smiles zinc_id prot_id files.db2 substance.inchikey net_charge ph_mod_fk substance.mwt substance.logp purchasable reactive features tranche_name CCCCCNC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000360836343 520199991 /nfs/dbraw/zinc/19/99/91/520199991.db2.gz RSHGTXAWOIITPJ-UHFFFAOYSA-N 0 0 286.278 2.722 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000455342465 500728141 /nfs/dbraw/zinc/72/81/41/500728141.db2.gz YBITZSMMPSABOD-BDAKNGLRSA-N 0 0 281.287 2.902 20 5 CFBDRN Cc1c(OCC(=O)N2CCCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000059012825 500950593 /nfs/dbraw/zinc/95/05/93/500950593.db2.gz MUPHMBPROCPJDU-LLVKDONJSA-N 0 0 292.335 2.683 20 5 CFBDRN CCC[C@](C)(O)CNc1c(C)cccc1[N+](=O)[O-] ZINC000226233802 521294755 /nfs/dbraw/zinc/29/47/55/521294755.db2.gz DDHZMDQVHKTXPO-ZDUSSCGKSA-N 0 0 252.314 2.866 20 5 CFBDRN C[C@H](CC(F)F)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000414750570 533748141 /nfs/dbraw/zinc/74/81/41/533748141.db2.gz YDACPTBJEPMVHK-MRVPVSSYSA-N 0 0 284.262 2.775 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000175681226 533755293 /nfs/dbraw/zinc/75/52/93/533755293.db2.gz ASDSVIQKJCHXDJ-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN COC(=O)Cc1ccc(NC[C@@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000418981890 533761156 /nfs/dbraw/zinc/76/11/56/533761156.db2.gz QWADKENYRGDJDN-NSHDSACASA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1c(C(=O)NCC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000175123375 533781827 /nfs/dbraw/zinc/78/18/27/533781827.db2.gz FMQLITLBKAAHNW-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN COc1cc(CN(C)[C@H]2CC2(C)C)c([N+](=O)[O-])cc1OC ZINC000426565214 533793383 /nfs/dbraw/zinc/79/33/83/533793383.db2.gz MJJRZKWTQPQHFY-AWEZNQCLSA-N 0 0 294.351 2.842 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc(F)cc2[N+](=O)[O-])[C@@H](C)O1 ZINC000413528713 533876337 /nfs/dbraw/zinc/87/63/37/533876337.db2.gz HJBQVFYFILBPDH-OPRDCNLKSA-N 0 0 268.288 2.959 20 5 CFBDRN COc1cccc2c1C[C@@H](Nc1ncccc1[N+](=O)[O-])C2 ZINC000413423819 533883781 /nfs/dbraw/zinc/88/37/81/533883781.db2.gz FBMBMFZZCXZELE-NSHDSACASA-N 0 0 285.303 2.578 20 5 CFBDRN COc1cccc2c1C[C@H](Nc1ccc([N+](=O)[O-])nc1)C2 ZINC000413422873 533893453 /nfs/dbraw/zinc/89/34/53/533893453.db2.gz DAWNGLHKPVWKAD-GFCCVEGCSA-N 0 0 285.303 2.578 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)on1 ZINC000176502960 534050158 /nfs/dbraw/zinc/05/01/58/534050158.db2.gz YJGJGVFVXCTHKR-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN Cc1ccc(C[NH2+]CC2(CC(=O)[O-])CCC2)cc1[N+](=O)[O-] ZINC000426645716 534055242 /nfs/dbraw/zinc/05/52/42/534055242.db2.gz FVHAIOFLSOEZDJ-UHFFFAOYSA-N 0 0 292.335 2.638 20 5 CFBDRN C[C@]1(C(=O)[O-])CCCC[C@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000426721151 534169013 /nfs/dbraw/zinc/16/90/13/534169013.db2.gz RHRWBMQNPINXRJ-HIFRSBDPSA-N 0 0 292.335 2.718 20 5 CFBDRN Cc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cn1 ZINC000154617050 534192592 /nfs/dbraw/zinc/19/25/92/534192592.db2.gz IVFKDOVWZBUAEV-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCc1cncc(F)c1 ZINC000232467941 518293476 /nfs/dbraw/zinc/29/34/76/518293476.db2.gz CTGYNCWPNSURMW-UHFFFAOYSA-N 0 0 290.250 2.911 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])nc1 ZINC000340669833 518380099 /nfs/dbraw/zinc/38/00/99/518380099.db2.gz RCUXPBJRHNWWJE-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)CC[C@@H]1CCCO1 ZINC000340917080 518411258 /nfs/dbraw/zinc/41/12/58/518411258.db2.gz ZBYGTAWVDXTELP-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CCC[C@@H]1F)c1ccc([N+](=O)[O-])cc1 ZINC000340795417 518532020 /nfs/dbraw/zinc/53/20/20/518532020.db2.gz XINYSZVSAHSXKI-STQMWFEESA-N 0 0 294.326 2.879 20 5 CFBDRN CC=Cc1ccc(Nc2ncc([N+](=O)[O-])c(N)n2)cc1 ZINC000340925175 518552285 /nfs/dbraw/zinc/55/22/85/518552285.db2.gz YKEOBIHJROVXLG-NSCUHMNNSA-N 0 0 271.280 2.574 20 5 CFBDRN C/C=C\c1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2N)cc1 ZINC000360321145 518568168 /nfs/dbraw/zinc/56/81/68/518568168.db2.gz XWIVDJJWIFZRPF-IHWYPQMZSA-N 0 0 298.302 2.857 20 5 CFBDRN CC(C)(C)CC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049401892 518622906 /nfs/dbraw/zinc/62/29/06/518622906.db2.gz WYAPSGYCURREMY-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN CC(C)(C)CCn1nc(-c2ccc([N+](=O)[O-])cc2)oc1=O ZINC000340708336 518660976 /nfs/dbraw/zinc/66/09/76/518660976.db2.gz BAMHLNUMZNZGCJ-UHFFFAOYSA-N 0 0 291.307 2.848 20 5 CFBDRN CC(C)(C)CNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000194260003 518665397 /nfs/dbraw/zinc/66/53/97/518665397.db2.gz IGNQXTJHCXBADF-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN CC(C)(C)NC(=O)CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000169081861 518724542 /nfs/dbraw/zinc/72/45/42/518724542.db2.gz OWUCMUPIVROJLW-UHFFFAOYSA-N 0 0 299.758 2.965 20 5 CFBDRN Cc1ccnc(N[C@@H]2Cc3cccc(O)c3C2)c1[N+](=O)[O-] ZINC000428673006 534405755 /nfs/dbraw/zinc/40/57/55/534405755.db2.gz SETXSPSVYBYTTK-LLVKDONJSA-N 0 0 285.303 2.583 20 5 CFBDRN CC(C)N(C(=O)c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000078185511 519580869 /nfs/dbraw/zinc/58/08/69/519580869.db2.gz RYARWJAWBGECKB-UHFFFAOYSA-N 0 0 262.309 2.998 20 5 CFBDRN CC(C)CN(CC(C)C)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000050706075 519713149 /nfs/dbraw/zinc/71/31/49/519713149.db2.gz PIRDOBXAARXDQJ-UHFFFAOYSA-N 0 0 293.367 2.931 20 5 CFBDRN CC(=O)[C@@H](C)SCc1c(F)cccc1[N+](=O)[O-] ZINC000175187071 519760690 /nfs/dbraw/zinc/76/06/90/519760690.db2.gz RVFZOPBLSAECNI-MRVPVSSYSA-N 0 0 257.286 2.945 20 5 CFBDRN CC(C)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000336332367 519824608 /nfs/dbraw/zinc/82/46/08/519824608.db2.gz MKCDBOFJYWHFNB-LLVKDONJSA-N 0 0 279.340 2.584 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000340028893 519830555 /nfs/dbraw/zinc/83/05/55/519830555.db2.gz XFSQCVIYFDXUOE-ZJUUUORDSA-N 0 0 294.355 2.607 20 5 CFBDRN CCC1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000334360589 519858431 /nfs/dbraw/zinc/85/84/31/519858431.db2.gz ZKRAARCJLFKANY-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CC(C)c1nc(COc2ccc(F)cc2[N+](=O)[O-])no1 ZINC000067099547 519864759 /nfs/dbraw/zinc/86/47/59/519864759.db2.gz QUWIVEBWZLXOIV-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN CCCC1(C(=O)NCCc2cccc([N+](=O)[O-])c2)CC1 ZINC000340381460 519887680 /nfs/dbraw/zinc/88/76/80/519887680.db2.gz CXQBFKPXMRXLDT-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CCN(C(=O)Nc1cc([N+](=O)[O-])ccc1C)[C@@H]1CCOC1 ZINC000361671258 519995559 /nfs/dbraw/zinc/99/55/59/519995559.db2.gz SWFDHUISRBNEHA-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN CCN(C(=O)Nc1cccc([N+](=O)[O-])c1C)[C@H]1CCOC1 ZINC000361667497 520002630 /nfs/dbraw/zinc/00/26/30/520002630.db2.gz PXMCUSSZXGQUEB-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)c1cccc(C)c1 ZINC000340707936 520090686 /nfs/dbraw/zinc/09/06/86/520090686.db2.gz MRHXYHJHHGMWNI-UHFFFAOYSA-N 0 0 273.292 2.898 20 5 CFBDRN CCCCCNC(=O)Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000174160025 520198510 /nfs/dbraw/zinc/19/85/10/520198510.db2.gz GRYQUKWMHPVVGR-UHFFFAOYSA-N 0 0 289.335 2.856 20 5 CFBDRN CCCCCOCC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360515214 520241038 /nfs/dbraw/zinc/24/10/38/520241038.db2.gz NKDNLVNFMSTHTO-UHFFFAOYSA-N 0 0 294.351 2.726 20 5 CFBDRN O=C(CCc1ccoc1)Nc1cccc([N+](=O)[O-])c1 ZINC000177071096 534482408 /nfs/dbraw/zinc/48/24/08/534482408.db2.gz PNNWMKPLJORDPF-UHFFFAOYSA-N 0 0 260.249 2.759 20 5 CFBDRN CC1(C)CCCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000335160326 520307544 /nfs/dbraw/zinc/30/75/44/520307544.db2.gz LXYYSYQFUMYHCA-UHFFFAOYSA-N 0 0 265.313 2.575 20 5 CFBDRN Cc1nc(NC(=O)c2cccc([N+](=O)[O-])c2N)sc1C ZINC000157299636 534484817 /nfs/dbraw/zinc/48/48/17/534484817.db2.gz NXLZHQSVXLUOBT-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000361227764 520389088 /nfs/dbraw/zinc/38/90/88/520389088.db2.gz IFODFHATVOHPRX-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000162632817 520406375 /nfs/dbraw/zinc/40/63/75/520406375.db2.gz RUXBGSOCXARPKT-NSHDSACASA-N 0 0 262.309 2.903 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000162628746 520406731 /nfs/dbraw/zinc/40/67/31/520406731.db2.gz VHMGCPAAMBELCK-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN CCCCN(CC)C(=O)COc1c(F)cccc1[N+](=O)[O-] ZINC000361761432 520461389 /nfs/dbraw/zinc/46/13/89/520461389.db2.gz YUUPYULZLKKRDC-UHFFFAOYSA-N 0 0 298.314 2.761 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H]2c2ccccc2F)ncc1[N+](=O)[O-] ZINC000413413231 534496250 /nfs/dbraw/zinc/49/62/50/534496250.db2.gz SZDVPASYLKSYBZ-CMPLNLGQSA-N 0 0 288.282 2.800 20 5 CFBDRN CCCCN(CCOC)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000068114652 520534364 /nfs/dbraw/zinc/53/43/64/520534364.db2.gz QKFHNGCNGXEXPR-UHFFFAOYSA-N 0 0 294.351 2.792 20 5 CFBDRN CCCCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000229551805 520645199 /nfs/dbraw/zinc/64/51/99/520645199.db2.gz QVWSIXWDUXMPDU-UHFFFAOYSA-N 0 0 255.249 2.656 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000128965301 520681277 /nfs/dbraw/zinc/68/12/77/520681277.db2.gz TUWYKSCOFYVBQE-CHWSQXEVSA-N 0 0 287.319 2.714 20 5 CFBDRN CCOc1cc(N[C@@H](C)CCOC)ccc1[N+](=O)[O-] ZINC000081717441 520764667 /nfs/dbraw/zinc/76/46/67/520764667.db2.gz RKVOXFRDKMJXPE-JTQLQIEISA-N 0 0 268.313 2.830 20 5 CFBDRN CCC[C@@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)CCO1 ZINC000361045534 520840684 /nfs/dbraw/zinc/84/06/84/520840684.db2.gz XQJYXNXNQSLVDG-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CCC[C@H]2F)cc1[N+](=O)[O-] ZINC000340778696 520912904 /nfs/dbraw/zinc/91/29/04/520912904.db2.gz PRPBPRZEFCBCQH-GHMZBOCLSA-N 0 0 296.298 2.614 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H]2CC2(C)C)c1 ZINC000338458404 521277800 /nfs/dbraw/zinc/27/78/00/521277800.db2.gz KDQOUKXPQGQTCT-CYBMUJFWSA-N 0 0 292.335 2.864 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H](F)C2)c1 ZINC000360359538 521281001 /nfs/dbraw/zinc/28/10/01/521281001.db2.gz IWNNYUNBZFTAHA-UWVGGRQHSA-N 0 0 296.298 2.614 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(C)o2)c1 ZINC000338440356 521282260 /nfs/dbraw/zinc/28/22/60/521282260.db2.gz UDZBNJPAABDJAT-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccn2)c1 ZINC000338505888 521282316 /nfs/dbraw/zinc/28/23/16/521282316.db2.gz RYPBMJONXFGSNW-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN CCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000060177514 521349810 /nfs/dbraw/zinc/34/98/10/521349810.db2.gz IHMFIBBWYROHEX-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN CCOc1cccc(N2CCC[C@](C)(O)CC2)c1[N+](=O)[O-] ZINC000230372482 521381795 /nfs/dbraw/zinc/38/17/95/521381795.db2.gz WIAKFODQOMAELT-HNNXBMFYSA-N 0 0 294.351 2.735 20 5 CFBDRN CCOc1cccc(NCCOCC2CC2)c1[N+](=O)[O-] ZINC000231037097 521398163 /nfs/dbraw/zinc/39/81/63/521398163.db2.gz BTHWZLQYNCQXJS-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CCOc1cccc(N[C@@H]2CC[C@@H](OC)C2)c1[N+](=O)[O-] ZINC000231683635 521400374 /nfs/dbraw/zinc/40/03/74/521400374.db2.gz PRYVIXCQCJYMRM-GHMZBOCLSA-N 0 0 280.324 2.973 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@@H]1F ZINC000340805164 521427118 /nfs/dbraw/zinc/42/71/18/521427118.db2.gz CCSDNZNTGVYQTO-VHSXEESVSA-N 0 0 296.298 2.614 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)C1CC=CC1 ZINC000132523503 534567007 /nfs/dbraw/zinc/56/70/07/534567007.db2.gz GQVKDZWGJJKFAF-UHFFFAOYSA-N 0 0 251.213 2.606 20 5 CFBDRN CCC(CC)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049379664 521497708 /nfs/dbraw/zinc/49/77/08/521497708.db2.gz LMFDXQDBUDBLBI-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN CCOc1ccccc1N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000339188560 521511155 /nfs/dbraw/zinc/51/11/55/521511155.db2.gz HPKARYZBJKKNHX-UHFFFAOYSA-N 0 0 289.291 2.598 20 5 CFBDRN CCC(CC)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000340924935 521581844 /nfs/dbraw/zinc/58/18/44/521581844.db2.gz VYFHRTZPBSXERB-UHFFFAOYSA-N 0 0 279.340 2.625 20 5 CFBDRN CCS[C@H]1CC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000174395721 521732542 /nfs/dbraw/zinc/73/25/42/521732542.db2.gz PBFZVOLBFXVLRC-ZJUUUORDSA-N 0 0 298.364 2.934 20 5 CFBDRN CCNC(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334799678 521739595 /nfs/dbraw/zinc/73/95/95/521739595.db2.gz FMMJTMHARKSJCR-GFCCVEGCSA-N 0 0 277.324 2.504 20 5 CFBDRN COc1c(-c2nc(-c3ccco3)no2)cccc1[N+](=O)[O-] ZINC000340661448 521773317 /nfs/dbraw/zinc/77/33/17/521773317.db2.gz LHPCLKWKOWVCDV-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CC[C@@H](C)C1 ZINC000360099505 521793833 /nfs/dbraw/zinc/79/38/33/521793833.db2.gz AIEOUUDFRSXUEH-MNOVXSKESA-N 0 0 291.351 2.803 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000057378815 521808707 /nfs/dbraw/zinc/80/87/07/521808707.db2.gz VQULYLKJRKFJAH-NWDGAFQWSA-N 0 0 294.351 2.915 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC000159983904 521850440 /nfs/dbraw/zinc/85/04/40/521850440.db2.gz IUMSVLKAHRTYLM-VIFPVBQESA-N 0 0 277.324 2.555 20 5 CFBDRN CC[C@@H](C)C(=O)COc1cc([N+](=O)[O-])ccc1C ZINC000133105122 521901659 /nfs/dbraw/zinc/90/16/59/521901659.db2.gz VRLZVTOUIVJING-SECBINFHSA-N 0 0 251.282 2.897 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000156954015 522138634 /nfs/dbraw/zinc/13/86/34/522138634.db2.gz TWGWTRMYVIBZAE-GXSJLCMTSA-N 0 0 278.308 2.522 20 5 CFBDRN COC(=O)c1ccc(OCC2(C)CCC2)c([N+](=O)[O-])c1 ZINC000339113791 522141659 /nfs/dbraw/zinc/14/16/59/522141659.db2.gz GWELVSBULYSBQL-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@H]2F)cc([N+](=O)[O-])c1C ZINC000340856086 522144429 /nfs/dbraw/zinc/14/44/29/522144429.db2.gz UQTZWKPHPZTWAM-MNOVXSKESA-N 0 0 296.298 2.532 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Nc2ccc(F)cc2)n1 ZINC000166649376 522179842 /nfs/dbraw/zinc/17/98/42/522179842.db2.gz YDWJTKMKFPBRME-UHFFFAOYSA-N 0 0 291.238 2.659 20 5 CFBDRN CN(C)c1ncccc1CNc1ccccc1[N+](=O)[O-] ZINC000048559455 522370862 /nfs/dbraw/zinc/37/08/62/522370862.db2.gz RUTOZYKHVLKERM-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153759231 522411521 /nfs/dbraw/zinc/41/15/21/522411521.db2.gz UDBVVENUSOGJMM-PRHODGIISA-N 0 0 287.747 2.852 20 5 CFBDRN COC(=O)c1occc1COc1cccc([N+](=O)[O-])c1 ZINC000302898918 522416240 /nfs/dbraw/zinc/41/62/40/522416240.db2.gz NGJYHHILHCMVTL-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN CNc1c(C(=O)N2CCCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000161412926 522534098 /nfs/dbraw/zinc/53/40/98/522534098.db2.gz ONYPJPDSUZVHAD-SNVBAGLBSA-N 0 0 277.324 2.651 20 5 CFBDRN CNc1c(C(=O)NC[C@@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000361160163 522540258 /nfs/dbraw/zinc/54/02/58/522540258.db2.gz UTTPIRYUAAIARB-LLVKDONJSA-N 0 0 289.335 2.723 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H](C)CC(C)C)cc1[N+](=O)[O-] ZINC000050749761 522592000 /nfs/dbraw/zinc/59/20/00/522592000.db2.gz FHAVOBLDDDWRAE-JTQLQIEISA-N 0 0 279.340 2.801 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000360419574 522661073 /nfs/dbraw/zinc/66/10/73/522661073.db2.gz JLLFMCFILHVCTC-UWVGGRQHSA-N 0 0 280.324 2.760 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000230691569 522670535 /nfs/dbraw/zinc/67/05/35/522670535.db2.gz LCKBCRKGWDPAES-ZJUUUORDSA-N 0 0 266.345 2.739 20 5 CFBDRN COc1cc(N[C@H]2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000340890378 522766264 /nfs/dbraw/zinc/76/62/64/522766264.db2.gz ZDOCSPFFOOSCRS-IUCAKERBSA-N 0 0 254.261 2.906 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])n1C)c1cccs1 ZINC000340392231 522790215 /nfs/dbraw/zinc/79/02/15/522790215.db2.gz PGPNFWSPLUWRGK-SECBINFHSA-N 0 0 293.348 2.876 20 5 CFBDRN COC(=O)CC1CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000079031757 522937377 /nfs/dbraw/zinc/93/73/77/522937377.db2.gz XKHAXJUPCOPTMT-UHFFFAOYSA-N 0 0 296.298 2.513 20 5 CFBDRN CN(CCc1cccs1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000173320096 522973343 /nfs/dbraw/zinc/97/33/43/522973343.db2.gz SWYVZYYIIWMAHI-UHFFFAOYSA-N 0 0 290.344 2.971 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCCC[C@H]1C ZINC000334076941 522975867 /nfs/dbraw/zinc/97/58/67/522975867.db2.gz WAETVZBGXOPTMC-MFKMUULPSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCc2ncccc2C1 ZINC000366375415 522976479 /nfs/dbraw/zinc/97/64/79/522976479.db2.gz CBBBWPHOSWKXIJ-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000339206414 523058413 /nfs/dbraw/zinc/05/84/13/523058413.db2.gz POSPMZHEGVMMAP-SMDDNHRTSA-N 0 0 291.351 2.997 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@](C)(OC)CC1 ZINC000340932978 523141304 /nfs/dbraw/zinc/14/13/04/523141304.db2.gz UGPXATQBIMIUEB-AWEZNQCLSA-N 0 0 296.371 2.515 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCc1ccccn1 ZINC000361075507 523143716 /nfs/dbraw/zinc/14/37/16/523143716.db2.gz ZHRBPWVKIUXKJR-UHFFFAOYSA-N 0 0 289.339 2.559 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@@H]1CCO[C@H](C)C1 ZINC000361059543 523143860 /nfs/dbraw/zinc/14/38/60/523143860.db2.gz GNHXNTMQBWYHCU-ZYHUDNBSSA-N 0 0 296.371 2.737 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCOCCC(C)C ZINC000313271969 523143996 /nfs/dbraw/zinc/14/39/96/523143996.db2.gz BFACJRRDDZEYQY-UHFFFAOYSA-N 0 0 284.360 2.594 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CC1(C)C ZINC000310843029 523146575 /nfs/dbraw/zinc/14/65/75/523146575.db2.gz MTJNQSNYJJVUGS-SECBINFHSA-N 0 0 252.318 2.578 20 5 CFBDRN COCc1nc(COc2ccc([N+](=O)[O-])cc2)cs1 ZINC000360733840 523209123 /nfs/dbraw/zinc/20/91/23/523209123.db2.gz WVGCCHMCRUHHBE-UHFFFAOYSA-N 0 0 280.305 2.777 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000334761714 523363379 /nfs/dbraw/zinc/36/33/79/523363379.db2.gz OGUZINPQMCKPRY-VHSXEESVSA-N 0 0 265.313 2.574 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000428083332 534706020 /nfs/dbraw/zinc/70/60/20/534706020.db2.gz JEMGRELABIMWHN-GNXNZQSNSA-N 0 0 294.738 2.705 20 5 CFBDRN Cc1cnccc1CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000187454381 534707953 /nfs/dbraw/zinc/70/79/53/534707953.db2.gz LREJWRNIFRYAFC-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000360989560 523569163 /nfs/dbraw/zinc/56/91/63/523569163.db2.gz DFWQKQFNVVCRAO-GXSJLCMTSA-N 0 0 266.345 2.744 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000336042276 523569257 /nfs/dbraw/zinc/56/92/57/523569257.db2.gz RYAAVTOMWVFMAE-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccc3[nH]ccc3c2)c1[N+](=O)[O-] ZINC000172156650 523671825 /nfs/dbraw/zinc/67/18/25/523671825.db2.gz MAGHPLLGYXZXNE-UHFFFAOYSA-N 0 0 299.290 2.614 20 5 CFBDRN COC(=O)[C@@H]1CCCCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000364693118 523673540 /nfs/dbraw/zinc/67/35/40/523673540.db2.gz BWXJNVKIBBRIIL-AWEZNQCLSA-N 0 0 292.335 2.512 20 5 CFBDRN COCCN(CC(C)C)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000067037913 523678950 /nfs/dbraw/zinc/67/89/50/523678950.db2.gz DYTKUVOIKQZUSS-UHFFFAOYSA-N 0 0 294.351 2.648 20 5 CFBDRN CCc1c(C(=O)Nc2ccc([N+](=O)[O-])cc2F)ccn1C ZINC000361717233 523704370 /nfs/dbraw/zinc/70/43/70/523704370.db2.gz NWTCWJUXLYDECS-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000231682564 523721372 /nfs/dbraw/zinc/72/13/72/523721372.db2.gz ZRABOGUDRRFBSO-IONNQARKSA-N 0 0 271.704 2.623 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@@H](Nc2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000364992749 523785104 /nfs/dbraw/zinc/78/51/04/523785104.db2.gz DZLTTXATIFGEQD-OASPWFOLSA-N 0 0 277.324 2.605 20 5 CFBDRN CC[C@H](C)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000310938977 523883696 /nfs/dbraw/zinc/88/36/96/523883696.db2.gz VPPISHFBQDGNCP-QMMMGPOBSA-N 0 0 254.261 2.510 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000336116280 523886510 /nfs/dbraw/zinc/88/65/10/523886510.db2.gz XKITZNXGMRRHAZ-AWEZNQCLSA-N 0 0 280.299 2.731 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000356715398 523894225 /nfs/dbraw/zinc/89/42/25/523894225.db2.gz AJTJTUQARKNRMM-JOYOIKCWSA-N 0 0 262.309 2.686 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]1c1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000158352191 523901112 /nfs/dbraw/zinc/90/11/12/523901112.db2.gz BCIUQRGTWRIJTD-QWHCGFSZSA-N 0 0 286.287 2.954 20 5 CFBDRN CN(C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)c1cccnc1 ZINC000172187778 523916633 /nfs/dbraw/zinc/91/66/33/523916633.db2.gz HAWBEVJIVIRAKZ-UHFFFAOYSA-N 0 0 296.286 2.748 20 5 CFBDRN CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC(C)(C)C1 ZINC000335420397 523921609 /nfs/dbraw/zinc/92/16/09/523921609.db2.gz ARCXUSFNMDTNLP-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2N)c1 ZINC000157269721 534745308 /nfs/dbraw/zinc/74/53/08/534745308.db2.gz JUKDMZAUXPIGGJ-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@@H]1CCC(C)(C)C1 ZINC000335749177 523923452 /nfs/dbraw/zinc/92/34/52/523923452.db2.gz XJIVKTJKDJDKHV-SECBINFHSA-N 0 0 265.313 2.574 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])cn1C)C1CCCCCC1 ZINC000334936580 523923812 /nfs/dbraw/zinc/92/38/12/523923812.db2.gz OVYJDAXASIWIOF-UHFFFAOYSA-N 0 0 279.340 2.728 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc(F)c(Cl)c1 ZINC000334978572 523938322 /nfs/dbraw/zinc/93/83/22/523938322.db2.gz KKSYATABAIIAJX-UHFFFAOYSA-N 0 0 297.673 2.992 20 5 CFBDRN CN(C)C(=O)CCCNc1ccc([N+](=O)[O-])cc1Cl ZINC000194042368 523978686 /nfs/dbraw/zinc/97/86/86/523978686.db2.gz WMXLTFOENUHULY-UHFFFAOYSA-N 0 0 285.731 2.529 20 5 CFBDRN C[C@H]1CCCN(CC(=O)Nc2ccccc2[N+](=O)[O-])CC1 ZINC000068838187 524086353 /nfs/dbraw/zinc/08/63/53/524086353.db2.gz RBNMEEGRZAGYAM-LBPRGKRZSA-N 0 0 291.351 2.655 20 5 CFBDRN COc1ccc(CNc2cncc(F)c2)cc1[N+](=O)[O-] ZINC000341341762 524102750 /nfs/dbraw/zinc/10/27/50/524102750.db2.gz FLAYZCRKEZXODV-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000049757578 524124917 /nfs/dbraw/zinc/12/49/17/524124917.db2.gz QXCJCEAIMJQRND-ZYHUDNBSSA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@H]2F)cc([N+](=O)[O-])c1 ZINC000334164523 524150446 /nfs/dbraw/zinc/15/04/46/524150446.db2.gz ADMMJZFFJMEYKM-NEPJUHHUSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1cccc(C(=O)NC2CC(C)(F)C2)c1[N+](=O)[O-] ZINC000336296027 524190077 /nfs/dbraw/zinc/19/00/77/524190077.db2.gz DCAWMRRMEJPTKT-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000172663372 524194968 /nfs/dbraw/zinc/19/49/68/524194968.db2.gz IOCLKHDHROUZPU-VXGBXAGGSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C1 ZINC000050697760 524206688 /nfs/dbraw/zinc/20/66/88/524206688.db2.gz VCXKUEZVDOQJNP-MRVPVSSYSA-N 0 0 297.742 2.703 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@H]1C ZINC000050565152 524206928 /nfs/dbraw/zinc/20/69/28/524206928.db2.gz QSJQXSYWQZKCHG-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000360372234 524285952 /nfs/dbraw/zinc/28/59/52/524285952.db2.gz MGBUWNLGGOTVAH-MNOVXSKESA-N 0 0 279.340 2.869 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000056265910 524293191 /nfs/dbraw/zinc/29/31/91/524293191.db2.gz HSCCBFAILZVETN-NXEZZACHSA-N 0 0 266.297 2.744 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000340338766 524317967 /nfs/dbraw/zinc/31/79/67/524317967.db2.gz GWZARVNDUICURV-QMMMGPOBSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000339790382 524327515 /nfs/dbraw/zinc/32/75/15/524327515.db2.gz ATZYKAABURZCFP-ZYHUDNBSSA-N 0 0 294.326 2.829 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000334070097 524356362 /nfs/dbraw/zinc/35/63/62/524356362.db2.gz AGRCXAPEQCEUJR-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000157337770 524368880 /nfs/dbraw/zinc/36/88/80/524368880.db2.gz SHYMEDYGBCXQRC-WCBMZHEXSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)[C@@H]1C ZINC000050698492 524405112 /nfs/dbraw/zinc/40/51/12/524405112.db2.gz LGBNIQSKMOPXPH-RULNRJAQSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000362021821 524411713 /nfs/dbraw/zinc/41/17/13/524411713.db2.gz SJFOCXBLXRXHRD-ZETCQYMHSA-N 0 0 276.214 2.666 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000340034626 524418368 /nfs/dbraw/zinc/41/83/68/524418368.db2.gz WLZKMPCINQJZPJ-YPMHNXCESA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CCS1 ZINC000335819164 524481750 /nfs/dbraw/zinc/48/17/50/524481750.db2.gz STXUUUWBAMVWCK-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000336102420 524487973 /nfs/dbraw/zinc/48/79/73/524487973.db2.gz GYKIWRPTSCEYAD-SECBINFHSA-N 0 0 284.262 2.712 20 5 CFBDRN COc1ccccc1CSCCn1ccc([N+](=O)[O-])n1 ZINC000360403792 524495122 /nfs/dbraw/zinc/49/51/22/524495122.db2.gz WSEJQSDMRXCQEC-UHFFFAOYSA-N 0 0 293.348 2.733 20 5 CFBDRN Cc1cccc(N(C)CCN(C)CC(F)F)c1[N+](=O)[O-] ZINC000337948726 524519529 /nfs/dbraw/zinc/51/95/29/524519529.db2.gz SGPNVWZRYLFDIZ-UHFFFAOYSA-N 0 0 287.310 2.536 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]1c1ccccc1 ZINC000335050483 524526149 /nfs/dbraw/zinc/52/61/49/524526149.db2.gz PCCMLROIRYVDFX-HZMBPMFUSA-N 0 0 285.303 2.756 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000158417474 524609865 /nfs/dbraw/zinc/60/98/65/524609865.db2.gz MGAURLUSMDHMQF-MWLCHTKSSA-N 0 0 263.297 2.905 20 5 CFBDRN Cc1ccc(CNc2c([N+](=O)[O-])c(C)nn2C)s1 ZINC000048337272 524612356 /nfs/dbraw/zinc/61/23/56/524612356.db2.gz NRHNCZOFKRDDAJ-UHFFFAOYSA-N 0 0 266.326 2.619 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000070114247 524619126 /nfs/dbraw/zinc/61/91/26/524619126.db2.gz ODIROPADFCKDNH-CKYFFXLPSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1ccc(CNc2ncc([N+](=O)[O-])cc2C)cn1 ZINC000062054858 524622761 /nfs/dbraw/zinc/62/27/61/524622761.db2.gz GEBDNIRHPCEAEG-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1 ZINC000054057713 524637194 /nfs/dbraw/zinc/63/71/94/524637194.db2.gz BLJPQYSLHLIKGQ-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000228130538 524639612 /nfs/dbraw/zinc/63/96/12/524639612.db2.gz WJVBVCMMYMJHPU-SFYZADRCSA-N 0 0 258.705 2.820 20 5 CFBDRN COc1ccc(NC(=O)c2csnc2C)cc1[N+](=O)[O-] ZINC000360738736 524655007 /nfs/dbraw/zinc/65/50/07/524655007.db2.gz MXLWCBKOMLAWBS-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)C[C@@H]1C ZINC000161498457 524699265 /nfs/dbraw/zinc/69/92/65/524699265.db2.gz JSJQAJMMWOHQOB-VWYCJHECSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1ncc([N+](=O)[O-])cc1Br ZINC000336649137 524716257 /nfs/dbraw/zinc/71/62/57/524716257.db2.gz GSJAIDXAFZNYQH-HZGVNTEJSA-N 0 0 286.129 2.963 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000302029226 524733899 /nfs/dbraw/zinc/73/38/99/524733899.db2.gz QHPFXAWWQIFRMB-GZMMTYOYSA-N 0 0 254.261 2.571 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361717887 524842617 /nfs/dbraw/zinc/84/26/17/524842617.db2.gz RMXZGKXKQUMEGV-JGVFFNPUSA-N 0 0 252.245 2.719 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000334343497 524864385 /nfs/dbraw/zinc/86/43/85/524864385.db2.gz MFRFOPRZPVCHMW-SFYZADRCSA-N 0 0 268.700 2.729 20 5 CFBDRN Cc1ccc(F)cc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000334324467 524868943 /nfs/dbraw/zinc/86/89/43/524868943.db2.gz KJXQOEHOSSPVOG-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN COc1cnc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)s1 ZINC000339215448 524905117 /nfs/dbraw/zinc/90/51/17/524905117.db2.gz AXACUJNBFDBLIB-UHFFFAOYSA-N 0 0 299.333 2.682 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1Cc2ccccc2O1 ZINC000361176630 524909691 /nfs/dbraw/zinc/90/96/91/524909691.db2.gz FTYPIOCIKONWHW-AWEZNQCLSA-N 0 0 299.282 2.812 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CCS1 ZINC000171685895 524981499 /nfs/dbraw/zinc/98/14/99/524981499.db2.gz SWSWLVVTKWIPMQ-SECBINFHSA-N 0 0 270.329 2.671 20 5 CFBDRN COc1ncc(Cn2ccc3c2cccc3[N+](=O)[O-])c(C)n1 ZINC000337221250 525021421 /nfs/dbraw/zinc/02/14/21/525021421.db2.gz KZUBOEQYMCBWKB-UHFFFAOYSA-N 0 0 298.302 2.705 20 5 CFBDRN COc1ncccc1CNc1cccc(C)c1[N+](=O)[O-] ZINC000218833319 525063694 /nfs/dbraw/zinc/06/36/94/525063694.db2.gz CDZDNSTZQZQURS-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@@H]1C ZINC000336447803 525073732 /nfs/dbraw/zinc/07/37/32/525073732.db2.gz IXNRDBKQYKGPBS-RKDXNWHRSA-N 0 0 298.339 2.700 20 5 CFBDRN O=C1[C@@H](Nc2ccccc2)CCN1c1cccc([N+](=O)[O-])c1 ZINC000177117242 534831659 /nfs/dbraw/zinc/83/16/59/534831659.db2.gz LAEBMWROTARDLX-HNNXBMFYSA-N 0 0 297.314 2.812 20 5 CFBDRN C[C@H]1c2ccccc2CCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000335108305 525118646 /nfs/dbraw/zinc/11/86/46/525118646.db2.gz UACMFSVHNFOZBY-NSHDSACASA-N 0 0 299.330 2.693 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000337875038 525183955 /nfs/dbraw/zinc/18/39/55/525183955.db2.gz QBGDQOYAWUWMID-XROYCOCOSA-N 0 0 284.262 2.673 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2C)o1 ZINC000445252710 534838230 /nfs/dbraw/zinc/83/82/30/534838230.db2.gz URIQBZAAASWMRC-UHFFFAOYSA-N 0 0 288.259 2.951 20 5 CFBDRN C[C@@H](NC(=O)NCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000049212001 525193403 /nfs/dbraw/zinc/19/34/03/525193403.db2.gz YJEYUMVEASSNBX-SSDOTTSWSA-N 0 0 291.229 2.517 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c(C)c1 ZINC000336487380 525241420 /nfs/dbraw/zinc/24/14/20/525241420.db2.gz IUUGGYZIUJUKDQ-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000086015905 525271599 /nfs/dbraw/zinc/27/15/99/525271599.db2.gz JGLWMGDONKLWGC-FZMZJTMJSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000152532725 525272633 /nfs/dbraw/zinc/27/26/33/525272633.db2.gz GMFUUJUEYKHWEC-LLVKDONJSA-N 0 0 271.704 2.624 20 5 CFBDRN Cc1cc(NCc2csc([N+](=O)[O-])c2)ccc1C(N)=O ZINC000360359765 525282520 /nfs/dbraw/zinc/28/25/20/525282520.db2.gz KOECGLRONPZQJX-UHFFFAOYSA-N 0 0 291.332 2.676 20 5 CFBDRN Cc1cc(N[C@@H](C)c2cccnc2)ncc1[N+](=O)[O-] ZINC000073000260 525292797 /nfs/dbraw/zinc/29/27/97/525292797.db2.gz VARBASRTVYRENO-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1ccc(N[C@H](CO)CC(F)(F)F)c([N+](=O)[O-])c1 ZINC000339701548 525318284 /nfs/dbraw/zinc/31/82/84/525318284.db2.gz OCQCKAQASUIVQO-QMMMGPOBSA-N 0 0 278.230 2.628 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000335683603 525394063 /nfs/dbraw/zinc/39/40/63/525394063.db2.gz KOJWJEXZKPXVBT-PHIMTYICSA-N 0 0 287.319 2.559 20 5 CFBDRN Cc1ccc(OCC2(O)CCCC2)cc1[N+](=O)[O-] ZINC000305438412 525399758 /nfs/dbraw/zinc/39/97/58/525399758.db2.gz CQELAMVFFLBZNF-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN Cc1cccn(Cc2c(Cl)cccc2[N+](=O)[O-])c1=O ZINC000129179849 525452879 /nfs/dbraw/zinc/45/28/79/525452879.db2.gz QENOHVIEMDJLEN-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1C[C@H]1C ZINC000361334678 525467879 /nfs/dbraw/zinc/46/78/79/525467879.db2.gz HEDPFKWDVIFDKW-BDAKNGLRSA-N 0 0 264.281 2.588 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361717888 525483005 /nfs/dbraw/zinc/48/30/05/525483005.db2.gz RMXZGKXKQUMEGV-SFYZADRCSA-N 0 0 252.245 2.719 20 5 CFBDRN Cc1n[nH]cc1CCCNc1cccc(C)c1[N+](=O)[O-] ZINC000218831973 525498405 /nfs/dbraw/zinc/49/84/05/525498405.db2.gz ZUPGCLUSMLDRQH-UHFFFAOYSA-N 0 0 274.324 2.979 20 5 CFBDRN C[C@@H]1C[C@H]1Nc1ncc(Br)cc1[N+](=O)[O-] ZINC000052290572 525501496 /nfs/dbraw/zinc/50/14/96/525501496.db2.gz MRLRYMLQICCZQG-IYSWYEEDSA-N 0 0 272.102 2.573 20 5 CFBDRN Cc1c(C(=O)N2CC(C(F)(F)F)C2)cccc1[N+](=O)[O-] ZINC000336135216 525566972 /nfs/dbraw/zinc/56/69/72/525566972.db2.gz YXSDFAKXJHWCAF-UHFFFAOYSA-N 0 0 288.225 2.538 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CSC[C@H]1C ZINC000334286564 525567968 /nfs/dbraw/zinc/56/79/68/525567968.db2.gz HCRSSYNTJJOSAF-BXKDBHETSA-N 0 0 295.364 2.776 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1cccnc1 ZINC000156077848 525569253 /nfs/dbraw/zinc/56/92/53/525569253.db2.gz AIDCHMUXFCWANP-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1ccn(C[C@H]2CCC(F)(F)C2)c(=O)c1[N+](=O)[O-] ZINC000336260749 525602422 /nfs/dbraw/zinc/60/24/22/525602422.db2.gz YAAXQDHTIBDQIZ-VIFPVBQESA-N 0 0 272.251 2.500 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2ncccc12)[C@@H]1CCOC1 ZINC000166381974 525659361 /nfs/dbraw/zinc/65/93/61/525659361.db2.gz UYEPETXBZASUHK-WDEREUQCSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2ncccc12)[C@H]1CCOC1 ZINC000166382189 525659983 /nfs/dbraw/zinc/65/99/83/525659983.db2.gz UYEPETXBZASUHK-QWRGUYRKSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccnc(Cn2ccc3c2cccc3[N+](=O)[O-])n1 ZINC000339401264 525662501 /nfs/dbraw/zinc/66/25/01/525662501.db2.gz KENISXDXGATXAB-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)c1cccnc1 ZINC000226769931 525682871 /nfs/dbraw/zinc/68/28/71/525682871.db2.gz ZWOMXZCHLRLNRG-ZETCQYMHSA-N 0 0 250.283 2.619 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc([N+](=O)[O-])ccc2C)c1 ZINC000049376783 525688553 /nfs/dbraw/zinc/68/85/53/525688553.db2.gz VTOHGFZITVZVSP-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1c(CNC(=O)[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000360523766 525718109 /nfs/dbraw/zinc/71/81/09/525718109.db2.gz BUTSUMPALPMOJY-SNVBAGLBSA-N 0 0 262.309 2.566 20 5 CFBDRN Cc1c(CNC(=O)[C@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000360526091 525718688 /nfs/dbraw/zinc/71/86/88/525718688.db2.gz RWRDCGIUVMPIMT-QMMMGPOBSA-N 0 0 290.241 2.718 20 5 CFBDRN Cc1ccncc1CN(C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000360103849 525740392 /nfs/dbraw/zinc/74/03/92/525740392.db2.gz XOGYJZLUOAFYTN-UHFFFAOYSA-N 0 0 297.318 2.811 20 5 CFBDRN Cc1c(Cl)cccc1C(=O)Nc1c([N+](=O)[O-])cnn1C ZINC000192840682 525757238 /nfs/dbraw/zinc/75/72/38/525757238.db2.gz LIPDVWZSOZPVIW-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1c(F)cccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000336410010 525813351 /nfs/dbraw/zinc/81/33/51/525813351.db2.gz FSAHAHUYYGOSCB-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN O=[N+]([O-])c1cccc(COc2ccc(CCO)cc2)c1 ZINC000158077133 534885873 /nfs/dbraw/zinc/88/58/73/534885873.db2.gz KMGLOJXSGJIYQP-UHFFFAOYSA-N 0 0 273.288 2.709 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ncncc1Cl ZINC000097847755 534887012 /nfs/dbraw/zinc/88/70/12/534887012.db2.gz DKFUZDSMMLTANT-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2ncccc2[N+](=O)[O-])C1 ZINC000078477202 525914674 /nfs/dbraw/zinc/91/46/74/525914674.db2.gz LVTMLIWRLWQWHR-BDAKNGLRSA-N 0 0 253.327 2.686 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@](C)(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000336472811 525995262 /nfs/dbraw/zinc/99/52/62/525995262.db2.gz FBEKVICPRBNJIX-LKFCYVNXSA-N 0 0 298.289 2.798 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2cccc(F)c2)c1 ZINC000172747893 526053444 /nfs/dbraw/zinc/05/34/44/526053444.db2.gz JDDAAWGWAJNQMJ-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2cccn2C)cc1[N+](=O)[O-] ZINC000160358763 526063149 /nfs/dbraw/zinc/06/31/49/526063149.db2.gz CSHGFNMERIGBOJ-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C1CC1 ZINC000370757304 526068763 /nfs/dbraw/zinc/06/87/63/526068763.db2.gz HIIRROULYCENON-CQSZACIVSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C)C1 ZINC000049397898 526070277 /nfs/dbraw/zinc/07/02/77/526070277.db2.gz LOHVSXFKDLWUQD-JTQLQIEISA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H](F)C1 ZINC000336372437 526074378 /nfs/dbraw/zinc/07/43/78/526074378.db2.gz GIKVSZSGSUCXHG-VHSXEESVSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)COc1ccsc1 ZINC000337230108 526078589 /nfs/dbraw/zinc/07/85/89/526078589.db2.gz SMOKZXCZDGGDFZ-UHFFFAOYSA-N 0 0 292.316 2.982 20 5 CFBDRN Cc1ccc(C(=O)N2CC3(C2)CCCC3)cc1[N+](=O)[O-] ZINC000336112235 526080329 /nfs/dbraw/zinc/08/03/29/526080329.db2.gz WOJVWLIMOJLIIK-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCC[C@@H]1F ZINC000334701499 526080838 /nfs/dbraw/zinc/08/08/38/526080838.db2.gz GSVVWWQVMSYIMC-WDEREUQCSA-N 0 0 281.287 2.915 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000334907231 526130880 /nfs/dbraw/zinc/13/08/80/526130880.db2.gz RXXUPAZVUVKOAV-LBPRGKRZSA-N 0 0 260.293 2.528 20 5 CFBDRN COc1cccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)c1 ZINC000151347994 526183041 /nfs/dbraw/zinc/18/30/41/526183041.db2.gz LHVYJFQZFHURSW-UHFFFAOYSA-N 0 0 286.287 2.785 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000340890682 526235384 /nfs/dbraw/zinc/23/53/84/526235384.db2.gz RFCYFUNTDXWTFG-FZMZJTMJSA-N 0 0 274.320 2.813 20 5 CFBDRN Cc1nn(CCOc2ccc(F)cc2Cl)cc1[N+](=O)[O-] ZINC000181833353 526281950 /nfs/dbraw/zinc/28/19/50/526281950.db2.gz NBCYGCKWJOSFTI-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN Cc1nn(Cc2ncc(-c3ccccc3)o2)cc1[N+](=O)[O-] ZINC000181827755 526299829 /nfs/dbraw/zinc/29/98/29/526299829.db2.gz JWCHCJXBEYBONH-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413237241 526331831 /nfs/dbraw/zinc/33/18/31/526331831.db2.gz UBTHRPQQHDSVAP-OTDNITJGSA-N 0 0 287.319 2.882 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCCC2(CC2)C1 ZINC000335350580 526619988 /nfs/dbraw/zinc/61/99/88/526619988.db2.gz BVNJUKFGSKSRMG-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC(F)(F)C1 ZINC000336476162 526680759 /nfs/dbraw/zinc/68/07/59/526680759.db2.gz FEISTBXIFCIWSE-UHFFFAOYSA-N 0 0 290.653 2.729 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)[C@H]1C[C@H]1C1CC1 ZINC000186304098 526694677 /nfs/dbraw/zinc/69/46/77/526694677.db2.gz OIKZCHOZJKCJTH-RYUDHWBXSA-N 0 0 294.738 2.911 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1Cc2ccccc2C2(CC2)C1 ZINC000336073970 526713539 /nfs/dbraw/zinc/71/35/39/526713539.db2.gz URXXSWZYQDDFEE-UHFFFAOYSA-N 0 0 297.314 2.611 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCCc2occc2C1 ZINC000335147013 526714620 /nfs/dbraw/zinc/71/46/20/526714620.db2.gz HECSLZCKBVGRMX-UHFFFAOYSA-N 0 0 286.287 2.776 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@H]1C1CC1 ZINC000370768614 526717398 /nfs/dbraw/zinc/71/73/98/526717398.db2.gz VAHDNNWLTAKMFS-VIFPVBQESA-N 0 0 266.322 2.671 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC(Cc2ccccc2)C1 ZINC000370614016 526811495 /nfs/dbraw/zinc/81/14/95/526811495.db2.gz JIIVCUKSWNXJOD-UHFFFAOYSA-N 0 0 296.326 2.910 20 5 CFBDRN Cc1occc1CN(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000048913316 526821464 /nfs/dbraw/zinc/82/14/64/526821464.db2.gz HEGFWZATHLKVEO-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN Cc1nc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2)cs1 ZINC000049411988 526837535 /nfs/dbraw/zinc/83/75/35/526837535.db2.gz IMCSAXVBFSSGEZ-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1sc(C(=O)Nc2cccnc2)cc1[N+](=O)[O-] ZINC000045154065 526874629 /nfs/dbraw/zinc/87/46/29/526874629.db2.gz CEMRZGYWMZPBMI-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN O=C(N[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1Cl ZINC000335120780 526879494 /nfs/dbraw/zinc/87/94/94/526879494.db2.gz ZPNIRGNXDOKBBX-SNVBAGLBSA-N 0 0 266.684 2.531 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc[nH]1 ZINC000048687522 526907463 /nfs/dbraw/zinc/90/74/63/526907463.db2.gz NFPHRGCAKFZPAB-NSHDSACASA-N 0 0 291.332 2.962 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1Cc2ccccc2CO1 ZINC000377196487 526907791 /nfs/dbraw/zinc/90/77/91/526907791.db2.gz KURNTIYDVQCCOS-UHFFFAOYSA-N 0 0 290.300 2.744 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000335431753 526908197 /nfs/dbraw/zinc/90/81/97/526908197.db2.gz YMSLEWNWULQQBD-VHSXEESVSA-N 0 0 266.272 2.605 20 5 CFBDRN Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2C)cs1 ZINC000338132537 526992307 /nfs/dbraw/zinc/99/23/07/526992307.db2.gz OECXTCKTDZMXKA-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1nc(NC2(C3CC3)CCOCC2)ccc1[N+](=O)[O-] ZINC000368780505 526995984 /nfs/dbraw/zinc/99/59/84/526995984.db2.gz VZBGPFMMFLLDHD-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN O=C(CSc1cccc([N+](=O)[O-])c1)NC1CCCC1 ZINC000337917339 527039084 /nfs/dbraw/zinc/03/90/84/527039084.db2.gz SMGLKFJDGIAMSL-UHFFFAOYSA-N 0 0 280.349 2.746 20 5 CFBDRN Cn1c(C(=O)NCC2CCC(C)(C)CC2)ccc1[N+](=O)[O-] ZINC000340355661 527042835 /nfs/dbraw/zinc/04/28/35/527042835.db2.gz MIPQJAZAUGNQOK-UHFFFAOYSA-N 0 0 293.367 2.880 20 5 CFBDRN Cn1c(C(=O)NCCC2=CCCCCC2)ccc1[N+](=O)[O-] ZINC000362005184 527043127 /nfs/dbraw/zinc/04/31/27/527043127.db2.gz YLSAJGXLLCPMQV-UHFFFAOYSA-N 0 0 291.351 2.944 20 5 CFBDRN Cn1c(C(=O)NC2CC(c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000337300599 527043301 /nfs/dbraw/zinc/04/33/01/527043301.db2.gz NUSSYDKWYILFGW-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN O=C(N[C@H]1CCc2c1cccc2O)c1ccc([N+](=O)[O-])cc1 ZINC000076688376 527069686 /nfs/dbraw/zinc/06/96/86/527069686.db2.gz LDDIEWXTOWHGKP-AWEZNQCLSA-N 0 0 298.298 2.718 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCCOc2ccccc2)c1 ZINC000050517367 527150647 /nfs/dbraw/zinc/15/06/47/527150647.db2.gz OWDSOYXHDKOBER-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCc2nccn2C(F)F)c1 ZINC000050517459 527152446 /nfs/dbraw/zinc/15/24/46/527152446.db2.gz UIQUZHUKGKMGTA-UHFFFAOYSA-N 0 0 297.217 2.578 20 5 CFBDRN Cn1cc(Cl)cc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000049253185 527238846 /nfs/dbraw/zinc/23/88/46/527238846.db2.gz GEPMJKOYJRUGGY-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cccc2c[nH]nc21 ZINC000026871627 527244805 /nfs/dbraw/zinc/24/48/05/527244805.db2.gz NPKKDWLRRRMPAU-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1ccco1 ZINC000049374405 527262043 /nfs/dbraw/zinc/26/20/43/527262043.db2.gz IEPDYZKUKUTHEI-VXGBXAGGSA-N 0 0 272.260 2.930 20 5 CFBDRN CC(C)(NC(=O)N[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000413664409 527277642 /nfs/dbraw/zinc/27/76/42/527277642.db2.gz DYSAEQIDYPVFGH-LBPRGKRZSA-N 0 0 291.351 2.928 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000421480337 527281833 /nfs/dbraw/zinc/28/18/33/527281833.db2.gz HFLUDNUIJMZRRK-GFCCVEGCSA-N 0 0 274.320 2.746 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC2(C1)CCCC2 ZINC000335439475 527293345 /nfs/dbraw/zinc/29/33/45/527293345.db2.gz GUOGJENWMVLIPB-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCc1cccc(F)c1 ZINC000247292996 527294693 /nfs/dbraw/zinc/29/46/93/527294693.db2.gz BNXDCHPNMTWSRW-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1[C@@H](C)CCC[C@@H]1C ZINC000193263868 527313084 /nfs/dbraw/zinc/31/30/84/527313084.db2.gz CFRGOWKUSOTQGX-UWVGGRQHSA-N 0 0 291.351 2.853 20 5 CFBDRN O=[N+]([O-])c1c(NCc2ccncn2)ccc2ncccc21 ZINC000236416051 527321349 /nfs/dbraw/zinc/32/13/49/527321349.db2.gz AEDPAYTUYULJIE-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN Cc1nccc(NCCCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000361055991 527324134 /nfs/dbraw/zinc/32/41/34/527324134.db2.gz YGRDNYKMZNSKGM-UHFFFAOYSA-N 0 0 286.335 2.550 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ccc2ncccc2c1 ZINC000340868393 527324668 /nfs/dbraw/zinc/32/46/68/527324668.db2.gz LVJQXBFOAFRRBH-UHFFFAOYSA-N 0 0 280.287 2.572 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCc1cccnn1 ZINC000236060546 527334221 /nfs/dbraw/zinc/33/42/21/527334221.db2.gz OTNBCUNLRJFNIA-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCc1ncccn1 ZINC000191559606 527334786 /nfs/dbraw/zinc/33/47/86/527334786.db2.gz XGQXSQLVDGFJLN-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@@H](O)c1ccccc1 ZINC000052064595 527338169 /nfs/dbraw/zinc/33/81/69/527338169.db2.gz NMWZSBIQCZNUGW-CYBMUJFWSA-N 0 0 277.251 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(N2Cc3ccc(O)cc3C3(CC3)C2)nc1 ZINC000360237123 527363780 /nfs/dbraw/zinc/36/37/80/527363780.db2.gz FUQLHQAYWBOICR-UHFFFAOYSA-N 0 0 297.314 2.747 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(F)cc2)cn1 ZINC000054614499 527365944 /nfs/dbraw/zinc/36/59/44/527365944.db2.gz BPBZVUOKLPVIMP-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(CO)c2)cc1 ZINC000071354663 527366790 /nfs/dbraw/zinc/36/67/90/527366790.db2.gz GEHCQVWMAPYUKJ-UHFFFAOYSA-N 0 0 258.277 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCO[C@@H]2C2CC2)c(F)c1 ZINC000230309031 527367535 /nfs/dbraw/zinc/36/75/35/527367535.db2.gz NILZHSLUTSTWNM-QWHCGFSZSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCO[C@H]2C2CC2)c(F)c1 ZINC000230309035 527368030 /nfs/dbraw/zinc/36/80/30/527368030.db2.gz NILZHSLUTSTWNM-OLZOCXBDSA-N 0 0 266.272 2.713 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1C[C@@]12CCOC2 ZINC000366016030 527374915 /nfs/dbraw/zinc/37/49/15/527374915.db2.gz DSPYDCXQLGYEBB-ISVAXAHUSA-N 0 0 296.710 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@@H]1CCCS1)CCN2 ZINC000302909912 527380673 /nfs/dbraw/zinc/38/06/73/527380673.db2.gz YUNWUWWLCHBDOV-VIFPVBQESA-N 0 0 279.365 2.870 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC[C@H]2c2ncc[nH]2)c1 ZINC000364721156 527386134 /nfs/dbraw/zinc/38/61/34/527386134.db2.gz GQCJKJGCILRMFC-ZDUSSCGKSA-N 0 0 272.308 2.655 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1CCC[C@@H](CO)C1 ZINC000312450482 527389559 /nfs/dbraw/zinc/38/95/59/527389559.db2.gz JZNKYKUYTYVRNW-GHMZBOCLSA-N 0 0 282.315 2.945 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC(CCCO)CC1 ZINC000360089036 527389672 /nfs/dbraw/zinc/38/96/72/527389672.db2.gz PBLMRNKSRWPFFS-UHFFFAOYSA-N 0 0 296.342 2.718 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1CCCC[C@H]1O ZINC000311396583 527390091 /nfs/dbraw/zinc/39/00/91/527390091.db2.gz ZSHVHHRIYHZWNS-JOYOIKCWSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1ccc(CO)cc1 ZINC000163268788 527403630 /nfs/dbraw/zinc/40/36/30/527403630.db2.gz PDOMDWNJXGQKPD-UHFFFAOYSA-N 0 0 259.261 2.666 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](C[C@H]3CCOC3)C2)s1 ZINC000369119287 527409620 /nfs/dbraw/zinc/40/96/20/527409620.db2.gz YAFWXZWBYIXKMQ-GHMZBOCLSA-N 0 0 297.380 2.694 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC[C@H](O)C2)c(Cl)c1 ZINC000078304272 527410924 /nfs/dbraw/zinc/41/09/24/527410924.db2.gz PJTNZQVKHNOCCR-SCZZXKLOSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(Cl)s2)nc1 ZINC000071319552 527411471 /nfs/dbraw/zinc/41/14/71/527411471.db2.gz RGXZEUQMBTWWGP-UHFFFAOYSA-N 0 0 270.701 2.712 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccncc2)c(Cl)c1 ZINC000230256531 527411674 /nfs/dbraw/zinc/41/16/74/527411674.db2.gz TZMNUXVKESFUMA-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1CCCCS1 ZINC000158369779 527414189 /nfs/dbraw/zinc/41/41/89/527414189.db2.gz XNVHBLVVYULRLV-SECBINFHSA-N 0 0 253.327 2.687 20 5 CFBDRN O=[N+]([O-])c1cnccc1Oc1cncc(Br)c1 ZINC000070488640 527414713 /nfs/dbraw/zinc/41/47/13/527414713.db2.gz CVDJHXUPCIATSB-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN O=C(Nc1ccccc1Cl)c1ccc([N+](=O)[O-])[nH]1 ZINC000336440303 527416705 /nfs/dbraw/zinc/41/67/05/527416705.db2.gz HSZQZBQRVFQLAE-UHFFFAOYSA-N 0 0 265.656 2.829 20 5 CFBDRN O=C(Cn1nccc1[N+](=O)[O-])c1csc2ccccc12 ZINC000194532301 527426120 /nfs/dbraw/zinc/42/61/20/527426120.db2.gz RBCPPEHEMHZKHZ-UHFFFAOYSA-N 0 0 287.300 2.889 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCO[C@H](CF)C1 ZINC000414466418 527502346 /nfs/dbraw/zinc/50/23/46/527502346.db2.gz BOVRNPPVINCNSV-WASHYIJTSA-N 0 0 294.326 2.668 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000428093822 527533569 /nfs/dbraw/zinc/53/35/69/527533569.db2.gz TZLGVVWJSOJISV-BTTYYORXSA-N 0 0 288.347 2.787 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CC[C@@H](C2CC2)C1 ZINC000362684260 527636415 /nfs/dbraw/zinc/63/64/15/527636415.db2.gz HECRKMZCPXIUNT-HSBSLETESA-N 0 0 286.331 2.867 20 5 CFBDRN CC(C)(C)C1CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413370170 527638734 /nfs/dbraw/zinc/63/87/34/527638734.db2.gz UQWVHFWUVOLIHO-UHFFFAOYSA-N 0 0 253.277 2.611 20 5 CFBDRN Cc1nn(C)c(Oc2cnc3ccccc3c2)c1[N+](=O)[O-] ZINC000341527850 527680259 /nfs/dbraw/zinc/68/02/59/527680259.db2.gz HNKIRUGCFSRGIR-UHFFFAOYSA-N 0 0 284.275 2.977 20 5 CFBDRN Cc1nn(CC(=O)C2CCCCC2)c(C)c1[N+](=O)[O-] ZINC000078390234 527700906 /nfs/dbraw/zinc/70/09/06/527700906.db2.gz LQWWFBDFUCBNOC-UHFFFAOYSA-N 0 0 265.313 2.558 20 5 CFBDRN CC1(C)C[C@H](CNc2ccc([N+](=O)[O-])c(N)c2F)CCO1 ZINC000413342724 527729561 /nfs/dbraw/zinc/72/95/61/527729561.db2.gz WDFYMLYHVDCWPL-SECBINFHSA-N 0 0 297.330 2.933 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])o2)CCCC1 ZINC000154723036 527742298 /nfs/dbraw/zinc/74/22/98/527742298.db2.gz SCXHSPCWXWEDQA-UHFFFAOYSA-N 0 0 266.297 2.888 20 5 CFBDRN CC(C)CCNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000132185534 527744005 /nfs/dbraw/zinc/74/40/05/527744005.db2.gz MZRQCPDCJZSYNO-UHFFFAOYSA-N 0 0 277.324 2.938 20 5 CFBDRN CC1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000427198696 527975066 /nfs/dbraw/zinc/97/50/66/527975066.db2.gz PZHWIXSFARBHDP-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CC(CF)C2)cc1[N+](=O)[O-] ZINC000412518727 528059934 /nfs/dbraw/zinc/05/99/34/528059934.db2.gz LKEWGPCADJWHNA-UHFFFAOYSA-N 0 0 280.299 2.760 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000413389949 528075058 /nfs/dbraw/zinc/07/50/58/528075058.db2.gz WBGKHZDXEFKORQ-PHIMTYICSA-N 0 0 287.319 2.544 20 5 CFBDRN CC(C)Oc1cc(C(=O)NC(C)(C)C)ccc1[N+](=O)[O-] ZINC000413107684 528100690 /nfs/dbraw/zinc/10/06/90/528100690.db2.gz ODHVFXAYKONBRQ-UHFFFAOYSA-N 0 0 280.324 2.910 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000413383291 528105679 /nfs/dbraw/zinc/10/56/79/528105679.db2.gz BEOHVSHNWMYGFA-DTORHVGOSA-N 0 0 284.287 2.722 20 5 CFBDRN CCOC(=O)c1cc(N2C[C@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000301663531 528178846 /nfs/dbraw/zinc/17/88/46/528178846.db2.gz YSNOCRNAXYIUOH-PHIMTYICSA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)[C@@H](C)SCCn1cc([N+](=O)[O-])ccc1=O ZINC000179484881 528421997 /nfs/dbraw/zinc/42/19/97/528421997.db2.gz UVBAPADVPLXWLQ-SNVBAGLBSA-N 0 0 270.354 2.534 20 5 CFBDRN CCOCC(C)(C)CNc1ncc([N+](=O)[O-])cc1F ZINC000413293241 528568868 /nfs/dbraw/zinc/56/88/68/528568868.db2.gz FHJDPVNBJBXPJC-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN CCOC(=O)C(CC)(CC)NCc1ccc([N+](=O)[O-])cc1 ZINC000429277854 528571116 /nfs/dbraw/zinc/57/11/16/528571116.db2.gz HMFDFLSZTMAAEY-UHFFFAOYSA-N 0 0 294.351 2.806 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)C[C@@H]1F ZINC000425564165 528614899 /nfs/dbraw/zinc/61/48/99/528614899.db2.gz KTBGAOJSWXYYFR-WCQYABFASA-N 0 0 282.271 2.680 20 5 CFBDRN CCOc1cccc(NCc2ncc(C)o2)c1[N+](=O)[O-] ZINC000192736437 528675361 /nfs/dbraw/zinc/67/53/61/528675361.db2.gz RSFHPLIVDTVIFS-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN CCC(CC)(CNc1nc(C)ccc1[N+](=O)[O-])C(=O)OC ZINC000178557147 528696094 /nfs/dbraw/zinc/69/60/94/528696094.db2.gz QWRGIBKAJUTQQD-UHFFFAOYSA-N 0 0 295.339 2.690 20 5 CFBDRN CCOC(=O)CCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413079303 528737926 /nfs/dbraw/zinc/73/79/26/528737926.db2.gz CAHGZXNWLCJBLX-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN CC/C=C\CCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000428076289 528791361 /nfs/dbraw/zinc/79/13/61/528791361.db2.gz SRGDAHQURUEDGA-ARJAWSKDSA-N 0 0 251.282 2.822 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000414394364 528801435 /nfs/dbraw/zinc/80/14/35/528801435.db2.gz BCFBSHNKOQCDGZ-JOYOIKCWSA-N 0 0 281.287 2.900 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000413448792 528884317 /nfs/dbraw/zinc/88/43/17/528884317.db2.gz ZDBJDTLKZDRTKN-SMDDNHRTSA-N 0 0 277.324 2.540 20 5 CFBDRN CCC(O)(CC)COc1cc([N+](=O)[O-])ccc1OC ZINC000165224684 528976529 /nfs/dbraw/zinc/97/65/29/528976529.db2.gz GHVCWJGBVYTUCP-UHFFFAOYSA-N 0 0 269.297 2.533 20 5 CFBDRN CCOC[C@H]1CCCCN1c1ccc([N+](=O)[O-])c(C)n1 ZINC000413418051 529015025 /nfs/dbraw/zinc/01/50/25/529015025.db2.gz DNBLOOLJYFWOKG-GFCCVEGCSA-N 0 0 279.340 2.694 20 5 CFBDRN CCC1(CC)CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413301714 529087403 /nfs/dbraw/zinc/08/74/03/529087403.db2.gz RYLPFOQLEFGKJL-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN CCn1ccc(CNc2c(F)cccc2[N+](=O)[O-])n1 ZINC000413487422 529175927 /nfs/dbraw/zinc/17/59/27/529175927.db2.gz BZMHHCXQAAOWJH-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN CC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413549162 529209900 /nfs/dbraw/zinc/20/99/00/529209900.db2.gz VPLJBPNRGYMDEH-ZWNOBZJWSA-N 0 0 270.304 2.941 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@@H](O)C(C)C ZINC000413542982 529210024 /nfs/dbraw/zinc/21/00/24/529210024.db2.gz FIXXDYHFGKBDRT-MFKMUULPSA-N 0 0 267.329 2.506 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000427406168 529234668 /nfs/dbraw/zinc/23/46/68/529234668.db2.gz SWFYXAQKUPCQHQ-GXFFZTMASA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@H](CC)O1 ZINC000421956627 529283111 /nfs/dbraw/zinc/28/31/11/529283111.db2.gz QONJYHZQXPNQSL-KBPBESRZSA-N 0 0 292.335 2.624 20 5 CFBDRN CC(C)(NC(=O)COc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000456150002 535220331 /nfs/dbraw/zinc/22/03/31/535220331.db2.gz SJPKPDPIPJJWAU-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000457994716 535290006 /nfs/dbraw/zinc/29/00/06/535290006.db2.gz PHOLEGBSRNTEGZ-BXUZGUMPSA-N 0 0 294.326 2.827 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC2C(C)(C)C2(C)C)c1[N+](=O)[O-] ZINC000434009879 535321445 /nfs/dbraw/zinc/32/14/45/535321445.db2.gz ADJGKLPQPINNRB-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2cc(C)c([N+](=O)[O-])cc2F)[C@@H]1OC ZINC000360044917 535419606 /nfs/dbraw/zinc/41/96/06/535419606.db2.gz AUWBMGBLXHFHHW-BNOWGMLFSA-N 0 0 298.314 2.647 20 5 CFBDRN CCOc1ccc2c(c1)CCN(c1ccc([N+](=O)[O-])cn1)C2 ZINC000433518690 535435652 /nfs/dbraw/zinc/43/56/52/535435652.db2.gz VERUKKLWALGRDX-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN CCN(C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)C1COC1 ZINC000452970762 535697992 /nfs/dbraw/zinc/69/79/92/535697992.db2.gz GASUCEUTUZGRKR-UHFFFAOYSA-N 0 0 292.335 2.579 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000454135615 535749474 /nfs/dbraw/zinc/74/94/74/535749474.db2.gz KMWHLKABMVEHJK-CHWSQXEVSA-N 0 0 293.323 2.674 20 5 CFBDRN CCC[C@H]1C[C@H]1NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000450005071 535751934 /nfs/dbraw/zinc/75/19/34/535751934.db2.gz NGDPQBMBERRABV-GZMMTYOYSA-N 0 0 296.298 2.661 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(F)F ZINC000452257742 535800104 /nfs/dbraw/zinc/80/01/04/535800104.db2.gz VYQUDUPOHQIOOF-SECBINFHSA-N 0 0 272.251 2.677 20 5 CFBDRN CN(C(=O)/C=C/c1cccc([N+](=O)[O-])c1)[C@H]1CC1(C)C ZINC000493361736 535937495 /nfs/dbraw/zinc/93/74/95/535937495.db2.gz YRCSMBMUJRGJOA-GWJCSSMESA-N 0 0 274.320 2.865 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000453001268 536074927 /nfs/dbraw/zinc/07/49/27/536074927.db2.gz PJZWUVRKXHHJMP-VHSXEESVSA-N 0 0 266.297 2.744 20 5 CFBDRN CCn1cccc(CNc2ccc([N+](=O)[O-])cc2F)c1=O ZINC000432826559 536098669 /nfs/dbraw/zinc/09/86/69/536098669.db2.gz ZUNZNLWHLGMPSK-UHFFFAOYSA-N 0 0 291.282 2.528 20 5 CFBDRN CNc1nc(-c2nc(C3CCCC3)no2)ccc1[N+](=O)[O-] ZINC000453060098 536422447 /nfs/dbraw/zinc/42/24/47/536422447.db2.gz JIVYWJDXQVVTEQ-UHFFFAOYSA-N 0 0 289.295 2.739 20 5 CFBDRN COCC1(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCCC1 ZINC000460072835 536815651 /nfs/dbraw/zinc/81/56/51/536815651.db2.gz ZUMOTPKGNFVOFJ-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN COc1cc(OCC(=O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000355939648 536874907 /nfs/dbraw/zinc/87/49/07/536874907.db2.gz IBHFKWAVASUTCM-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)/C=C/C1CCC1 ZINC000492415471 536952108 /nfs/dbraw/zinc/95/21/08/536952108.db2.gz KVKWMOXGQOBFLS-VMPITWQZSA-N 0 0 290.319 2.576 20 5 CFBDRN O=C(NOC1CCCC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935238471 649890301 /nfs/dbraw/zinc/89/03/01/649890301.db2.gz AGDXCEUZKYPWCL-KBPBESRZSA-N 0 0 290.319 2.689 20 5 CFBDRN Cc1ccc(/C=C/C(=O)Oc2cccc([N+](=O)[O-])c2)cn1 ZINC000753993237 574061758 /nfs/dbraw/zinc/06/17/58/574061758.db2.gz GSLJYAXWZPGGKX-BQYQJAHWSA-N 0 0 284.271 2.917 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1cccc2[nH]ccc21 ZINC000744273943 574062930 /nfs/dbraw/zinc/06/29/30/574062930.db2.gz RTSRSPZIQQPYBJ-UHFFFAOYSA-N 0 0 285.259 2.634 20 5 CFBDRN Cc1csc(COC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000744311639 574066041 /nfs/dbraw/zinc/06/60/41/574066041.db2.gz QFDODRKYQQFRFT-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)C[C@H]1CCOC1 ZINC000753625667 574069816 /nfs/dbraw/zinc/06/98/16/574069816.db2.gz HVCQUEFTBGTQFX-VXGBXAGGSA-N 0 0 293.319 2.934 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)C1CC(F)(F)C1 ZINC000753631813 574070553 /nfs/dbraw/zinc/07/05/53/574070553.db2.gz GOYCHFBAFLEYFW-UHFFFAOYSA-N 0 0 274.198 2.718 20 5 CFBDRN CCOCCCN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000754107719 574073531 /nfs/dbraw/zinc/07/35/31/574073531.db2.gz SZWBVSBRCZWIHB-UHFFFAOYSA-N 0 0 264.325 2.692 20 5 CFBDRN C[C@@H](OC(=O)/C=C/c1ccncn1)c1cccc([N+](=O)[O-])c1 ZINC000754109583 574073848 /nfs/dbraw/zinc/07/38/48/574073848.db2.gz JAEDTSXHZLRIDH-MVIFTORASA-N 0 0 299.286 2.702 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCOCC1CC1)CC2 ZINC000754115843 574074592 /nfs/dbraw/zinc/07/45/92/574074592.db2.gz UVFJVQSPGUJOTF-UHFFFAOYSA-N 0 0 276.336 2.692 20 5 CFBDRN CCNc1ccc(C(=O)OCCCSC)cc1[N+](=O)[O-] ZINC000744480097 574077038 /nfs/dbraw/zinc/07/70/38/574077038.db2.gz UTWSCQXDSIOOOD-UHFFFAOYSA-N 0 0 298.364 2.937 20 5 CFBDRN CCOCCCOC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000744490099 574077864 /nfs/dbraw/zinc/07/78/64/574077864.db2.gz NALBWCUNTIYDDP-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000736814365 574078194 /nfs/dbraw/zinc/07/81/94/574078194.db2.gz UHTPYVRTBUYZEM-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cccc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000736821102 574078613 /nfs/dbraw/zinc/07/86/13/574078613.db2.gz OPIQRTULRNRVKJ-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN C/C=C(/C)C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000750902341 574079864 /nfs/dbraw/zinc/07/98/64/574079864.db2.gz IFZKKHZZUBQLLZ-JYOAFUTRSA-N 0 0 279.292 2.873 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CCOC1 ZINC000754224740 574083035 /nfs/dbraw/zinc/08/30/35/574083035.db2.gz DKYNNUNQRZSOJT-GFCCVEGCSA-N 0 0 284.699 2.613 20 5 CFBDRN CCc1cnc(COC(=O)c2cc([N+](=O)[O-])c(C)s2)o1 ZINC000744681688 574083470 /nfs/dbraw/zinc/08/34/70/574083470.db2.gz LEBXXUPMTBAALF-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN CS[C@H](C)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000728167846 574083435 /nfs/dbraw/zinc/08/34/35/574083435.db2.gz VRTYFWHHCMUWCD-RKDXNWHRSA-N 0 0 269.322 2.951 20 5 CFBDRN Cc1sc(C(=O)OCC(=O)C2CCCC2)cc1[N+](=O)[O-] ZINC000744681309 574083633 /nfs/dbraw/zinc/08/36/33/574083633.db2.gz HCUCBBFFYYXYFU-UHFFFAOYSA-N 0 0 297.332 2.881 20 5 CFBDRN CCCOCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000730695670 574092405 /nfs/dbraw/zinc/09/24/05/574092405.db2.gz FVKFDIHSKPWDER-UHFFFAOYSA-N 0 0 293.275 2.924 20 5 CFBDRN CCOCCCOC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000744984212 574095660 /nfs/dbraw/zinc/09/56/60/574095660.db2.gz QSRIOJLRSOPPST-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnnc1C ZINC000754461042 574096660 /nfs/dbraw/zinc/09/66/60/574096660.db2.gz UBGZUTBYHAWYRA-MDZDMXLPSA-N 0 0 298.302 2.735 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000756357034 574097544 /nfs/dbraw/zinc/09/75/44/574097544.db2.gz YRQGHWLQTPBFEV-VIFPVBQESA-N 0 0 295.291 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1cscn1)CC2 ZINC000754599562 574107310 /nfs/dbraw/zinc/10/73/10/574107310.db2.gz QBXLEPHOZHCQAJ-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN(CCO)c1ccccc1 ZINC000754666765 574112147 /nfs/dbraw/zinc/11/21/47/574112147.db2.gz ZDVWLFCQAOUURX-UHFFFAOYSA-N 0 0 290.294 2.733 20 5 CFBDRN CCc1cnc(COC(=O)c2cc(C)ccc2[N+](=O)[O-])o1 ZINC000745391455 574112424 /nfs/dbraw/zinc/11/24/24/574112424.db2.gz OPIOJNMWXURTGA-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2CCCCC2=O)c1 ZINC000745386744 574112435 /nfs/dbraw/zinc/11/24/35/574112435.db2.gz XZTWGKPGAGBQAM-CYBMUJFWSA-N 0 0 277.276 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2cccc(C)n2)c1 ZINC000745391302 574112438 /nfs/dbraw/zinc/11/24/38/574112438.db2.gz LRLQHWNIPZNXDE-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC/C=C\Cl)c1 ZINC000745386336 574112542 /nfs/dbraw/zinc/11/25/42/574112542.db2.gz RWYGIYYNVGTIAY-DJWKRKHSSA-N 0 0 255.657 2.813 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC(n3cccn3)CC2)cs1 ZINC000731355448 574117816 /nfs/dbraw/zinc/11/78/16/574117816.db2.gz JVAAUZQEPLXQMB-UHFFFAOYSA-N 0 0 292.364 2.690 20 5 CFBDRN O=C(CC1CCC1)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000745572247 574119050 /nfs/dbraw/zinc/11/90/50/574119050.db2.gz OMGRYTKMOITUBH-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN COc1ccccc1OC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731456369 574120578 /nfs/dbraw/zinc/12/05/78/574120578.db2.gz GGGMDTOVQXCNOC-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN COc1ccc(OC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1 ZINC000731456453 574121179 /nfs/dbraw/zinc/12/11/79/574121179.db2.gz KSMISYHEMDLPEP-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN O=C(OCc1cscn1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000731519108 574122374 /nfs/dbraw/zinc/12/23/74/574122374.db2.gz PWULBZCQAMYVHL-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN O=C(OCc1nccs1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731548335 574123381 /nfs/dbraw/zinc/12/33/81/574123381.db2.gz YLUPBBGDSGQXFU-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN Nc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)c(F)c1 ZINC000754959346 574129467 /nfs/dbraw/zinc/12/94/67/574129467.db2.gz AYTQWOHHKROOEM-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN O=C(OC[C@H]1CCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000745960963 574129605 /nfs/dbraw/zinc/12/96/05/574129605.db2.gz OWGDHZFHLGKLGX-LLVKDONJSA-N 0 0 267.306 2.647 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c2nonc21)C(C)(C)C ZINC000745973984 574130244 /nfs/dbraw/zinc/13/02/44/574130244.db2.gz NHABPTMZLHGBGL-SNVBAGLBSA-N 0 0 294.311 2.604 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746057362 574132337 /nfs/dbraw/zinc/13/23/37/574132337.db2.gz HFBQRCDDQCGBMO-XVKPBYJWSA-N 0 0 269.684 2.947 20 5 CFBDRN Cc1ccc(OC(=O)c2cnn3ccccc23)c([N+](=O)[O-])c1 ZINC000731962899 574138507 /nfs/dbraw/zinc/13/85/07/574138507.db2.gz DCLUEBLHXZOZBN-UHFFFAOYSA-N 0 0 297.270 2.770 20 5 CFBDRN CC(C)OCCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728991040 574144141 /nfs/dbraw/zinc/14/41/41/574144141.db2.gz BUMLXWVNFNNXCS-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728989792 574144146 /nfs/dbraw/zinc/14/41/46/574144146.db2.gz HPGNVMZJQCOPFK-CRBCFSCISA-N 0 0 265.240 2.909 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]1c1ccccc1 ZINC000746317042 574144836 /nfs/dbraw/zinc/14/48/36/574144836.db2.gz INCLFLDBFBMIRU-HNNXBMFYSA-N 0 0 297.314 2.764 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](C)C1 ZINC000755215177 574147178 /nfs/dbraw/zinc/14/71/78/574147178.db2.gz WZZZTLKPODSHFW-ZGSOTFDTSA-N 0 0 274.320 2.867 20 5 CFBDRN CCc1ccc(OC(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000755241937 574149132 /nfs/dbraw/zinc/14/91/32/574149132.db2.gz BADYPYMHKIAWHB-UHFFFAOYSA-N 0 0 274.276 2.715 20 5 CFBDRN Cc1cc(NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)n[nH]1 ZINC000755245304 574149644 /nfs/dbraw/zinc/14/96/44/574149644.db2.gz DTNKDAWOEFXVBH-UHFFFAOYSA-N 0 0 295.686 2.924 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000755248813 574149745 /nfs/dbraw/zinc/14/97/45/574149745.db2.gz JRPIDQUHWBQYIX-MFKMUULPSA-N 0 0 279.292 2.766 20 5 CFBDRN CCCCO[C@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728999962 574155384 /nfs/dbraw/zinc/15/53/84/574155384.db2.gz HSCXVDBVWDSJOD-SNVBAGLBSA-N 0 0 299.298 2.982 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000729000384 574155389 /nfs/dbraw/zinc/15/53/89/574155389.db2.gz KOUOVZMZTUJPCN-SECBINFHSA-N 0 0 297.282 2.592 20 5 CFBDRN CC(C)(C)OCCNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000746684742 574162792 /nfs/dbraw/zinc/16/27/92/574162792.db2.gz DPMSDBIOLJSCTE-UHFFFAOYSA-N 0 0 297.380 2.696 20 5 CFBDRN Cc1c(C[NH2+]C(C)(C)CCC(=O)[O-])cccc1[N+](=O)[O-] ZINC000738288536 574162985 /nfs/dbraw/zinc/16/29/85/574162985.db2.gz GGQXIPNXCODLAL-UHFFFAOYSA-N 0 0 280.324 2.636 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1nocc1C ZINC000755551770 574168341 /nfs/dbraw/zinc/16/83/41/574168341.db2.gz VSDFXWZHTDWIGC-VQHVLOKHSA-N 0 0 287.275 2.933 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@H]2CCC[C@@H](C)C2)n1 ZINC000755575828 574168996 /nfs/dbraw/zinc/16/89/96/574168996.db2.gz OOONBVJSGIJQII-MNOVXSKESA-N 0 0 295.339 2.794 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000729082645 574171548 /nfs/dbraw/zinc/17/15/48/574171548.db2.gz UVVWVTOJUBFBPQ-SECBINFHSA-N 0 0 254.286 2.525 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000729086979 574172442 /nfs/dbraw/zinc/17/24/42/574172442.db2.gz IQPJCUPJLUWHJG-POYBYMJQSA-N 0 0 255.295 2.778 20 5 CFBDRN O=C(CC[C@@H]1CCCO1)OCc1ccc([N+](=O)[O-])cc1F ZINC000746956548 574173236 /nfs/dbraw/zinc/17/32/36/574173236.db2.gz SEGTZWJCMKHOKT-LBPRGKRZSA-N 0 0 297.282 2.736 20 5 CFBDRN O=C(CC1CCC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000746991803 574174983 /nfs/dbraw/zinc/17/49/83/574174983.db2.gz DCRSIOVKEJIALF-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN CCSCCOC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755675923 574177561 /nfs/dbraw/zinc/17/75/61/574177561.db2.gz DSDAQXCAKNNZBO-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)O[C@H]1CCCOC1 ZINC000755733854 574182344 /nfs/dbraw/zinc/18/23/44/574182344.db2.gz LOJWGTJULXJVIQ-FPAJZGIOSA-N 0 0 291.303 2.720 20 5 CFBDRN C[C@@H](C(=O)O[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000755731919 574182514 /nfs/dbraw/zinc/18/25/14/574182514.db2.gz AUHVXUBEQBJTQA-MWLCHTKSSA-N 0 0 297.282 2.560 20 5 CFBDRN O=C(OCC1CCOCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000733009496 574183024 /nfs/dbraw/zinc/18/30/24/574183024.db2.gz CLJAKMLTJBZPHZ-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1C)C(F)(F)F ZINC000747220415 574188206 /nfs/dbraw/zinc/18/82/06/574188206.db2.gz LHTQUOMWKNSVKY-JTQLQIEISA-N 0 0 278.230 2.892 20 5 CFBDRN C[C@@]1(Nc2nc3ccc([N+](=O)[O-])cc3s2)CCOC1 ZINC000755930554 574199004 /nfs/dbraw/zinc/19/90/04/574199004.db2.gz MKAGIHYLNJVCKW-GFCCVEGCSA-N 0 0 279.321 2.795 20 5 CFBDRN C[C@H]1CC(OC(=O)Cc2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000756033494 574205563 /nfs/dbraw/zinc/20/55/63/574205563.db2.gz TZOKIIQSLZVJPS-QWRGUYRKSA-N 0 0 293.319 2.637 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1ccccc1OC ZINC000733187936 574208848 /nfs/dbraw/zinc/20/88/48/574208848.db2.gz WVZPXLIDNVTHRK-LLVKDONJSA-N 0 0 290.323 2.900 20 5 CFBDRN CC(C)[C@@H](C)N(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000733187746 574208876 /nfs/dbraw/zinc/20/88/76/574208876.db2.gz VLLQGAHKFRBORL-SECBINFHSA-N 0 0 267.285 2.984 20 5 CFBDRN CCC[C@@H](C)N(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000733187814 574209287 /nfs/dbraw/zinc/20/92/87/574209287.db2.gz UGEOLVCGFSSGSO-MRVPVSSYSA-N 0 0 264.285 2.756 20 5 CFBDRN C[C@H](OC(=O)Cn1cc([N+](=O)[O-])cn1)C1CCCCCC1 ZINC000756167659 574214816 /nfs/dbraw/zinc/21/48/16/574214816.db2.gz HRHKFPDEUIXKJE-NSHDSACASA-N 0 0 295.339 2.693 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1cccc(F)n1 ZINC000747848883 574215659 /nfs/dbraw/zinc/21/56/59/574215659.db2.gz YOCQBENENCVYDC-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN CC[C@H](C)COC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000756332387 574225687 /nfs/dbraw/zinc/22/56/87/574225687.db2.gz PEWAVZNLWJECEB-JTQLQIEISA-N 0 0 267.281 2.563 20 5 CFBDRN COC[C@@H]1CCN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000739889757 574227664 /nfs/dbraw/zinc/22/76/64/574227664.db2.gz GQNBMNJWWXAPFT-SECBINFHSA-N 0 0 293.348 2.677 20 5 CFBDRN CC[C@H](C)COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000756349233 574227697 /nfs/dbraw/zinc/22/76/97/574227697.db2.gz CQWPBHOODZAJDT-NSHDSACASA-N 0 0 280.324 2.986 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000748109692 574229634 /nfs/dbraw/zinc/22/96/34/574229634.db2.gz AIJQLMOTMQWTHF-VIFPVBQESA-N 0 0 295.291 2.711 20 5 CFBDRN C[C@H](C(=O)OCCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000748141223 574232044 /nfs/dbraw/zinc/23/20/44/574232044.db2.gz HNSRRYQPWWDAGV-JTQLQIEISA-N 0 0 279.292 2.563 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1csc([N+](=O)[O-])c1 ZINC000748177123 574235157 /nfs/dbraw/zinc/23/51/57/574235157.db2.gz DFTGORLNXIKNII-MRVPVSSYSA-N 0 0 283.305 2.572 20 5 CFBDRN CC[C@@H](C)COC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000756426043 574236114 /nfs/dbraw/zinc/23/61/14/574236114.db2.gz QATLJNNGINELAO-LLVKDONJSA-N 0 0 280.324 2.620 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1cc([N+](=O)[O-])ccc1F ZINC000733450042 574237334 /nfs/dbraw/zinc/23/73/34/574237334.db2.gz RRWBARRPPKSXMM-JTQLQIEISA-N 0 0 265.240 2.999 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000733459053 574239617 /nfs/dbraw/zinc/23/96/17/574239617.db2.gz IMZYCXSULSTJDS-SNVBAGLBSA-N 0 0 265.240 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc(N2Cc3ccccc3C2)c2nonc21 ZINC000729987387 574240821 /nfs/dbraw/zinc/24/08/21/574240821.db2.gz YCFGVNLSFAJHLM-UHFFFAOYSA-N 0 0 282.259 2.651 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000733581518 574248081 /nfs/dbraw/zinc/24/80/81/574248081.db2.gz VUTOJIUQCIUIHB-NSHDSACASA-N 0 0 281.308 2.809 20 5 CFBDRN CCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000740395652 574249641 /nfs/dbraw/zinc/24/96/41/574249641.db2.gz YSDSOHASLRLMDR-UHFFFAOYSA-N 0 0 251.282 2.826 20 5 CFBDRN Cc1c(CC(=O)OCC(C)C)cccc1[N+](=O)[O-] ZINC000748470050 574254121 /nfs/dbraw/zinc/25/41/21/574254121.db2.gz GOTFGUPEMBMIGE-UHFFFAOYSA-N 0 0 251.282 2.645 20 5 CFBDRN Cc1ccc(C(=O)OCc2cscn2)cc1[N+](=O)[O-] ZINC000748848370 574271416 /nfs/dbraw/zinc/27/14/16/574271416.db2.gz XNEKNMLUKQXCEZ-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1ccc(N(CCCCO)C2CC2)c([N+](=O)[O-])c1 ZINC000746465668 574272472 /nfs/dbraw/zinc/27/24/72/574272472.db2.gz AUCKVLNSMMHXTD-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN C[Si](C)(C)COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000740956294 574275280 /nfs/dbraw/zinc/27/52/80/574275280.db2.gz AKBIXBWQFXTGCW-UHFFFAOYSA-N 0 0 271.320 2.768 20 5 CFBDRN C[C@H](OC(=O)c1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000749053211 574278167 /nfs/dbraw/zinc/27/81/67/574278167.db2.gz CTXNMMJAXOCIFR-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])nn1C ZINC000749058185 574278545 /nfs/dbraw/zinc/27/85/45/574278545.db2.gz XAPXWLNREFZXDV-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CN(C[C@H]1CCOC1)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000749280241 574287553 /nfs/dbraw/zinc/28/75/53/574287553.db2.gz BALRJPPJCFXZCP-SECBINFHSA-N 0 0 293.348 2.677 20 5 CFBDRN CCCc1n[nH]c(C(=O)NC[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000734549093 574292235 /nfs/dbraw/zinc/29/22/35/574292235.db2.gz JFEOMXGIIYXIOC-VIFPVBQESA-N 0 0 296.371 2.682 20 5 CFBDRN O=C(C[C@H]1CCCO1)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734782557 574301828 /nfs/dbraw/zinc/30/18/28/574301828.db2.gz UIQNHDUIJJRWQU-LLVKDONJSA-N 0 0 299.710 2.861 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])n[nH]1 ZINC000734892252 574306730 /nfs/dbraw/zinc/30/67/30/574306730.db2.gz BDXPAYCQBUHVKH-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN CCN(Cc1c(F)cccc1F)Cn1cc([N+](=O)[O-])cn1 ZINC000725781756 574307492 /nfs/dbraw/zinc/30/74/92/574307492.db2.gz RLYKDDKRBJSFFN-UHFFFAOYSA-N 0 0 296.277 2.549 20 5 CFBDRN CCN(CCOC)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000725788875 574308349 /nfs/dbraw/zinc/30/83/49/574308349.db2.gz MHIBKNUXDHIBGD-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CCC/C(C)=C/C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000742007070 574313955 /nfs/dbraw/zinc/31/39/55/574313955.db2.gz CHURNNNDWUXHLZ-VAWYXSNFSA-N 0 0 276.336 3.000 20 5 CFBDRN Cc1cnc(OCc2cccc(Cl)n2)c([N+](=O)[O-])c1 ZINC000750005679 574320885 /nfs/dbraw/zinc/32/08/85/574320885.db2.gz WIGCPIHRYCVQQG-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@H]2Nc1ccc([N+](=O)[O-])nc1 ZINC000735487525 574326605 /nfs/dbraw/zinc/32/66/05/574326605.db2.gz MLROBAHYCQAVHY-CYBMUJFWSA-N 0 0 285.307 2.578 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(Oc2ccc([N+](=O)[O-])nc2)c1 ZINC000735486398 574326656 /nfs/dbraw/zinc/32/66/56/574326656.db2.gz BKDQUOWKLXZVOM-UHFFFAOYSA-N 0 0 289.203 2.503 20 5 CFBDRN CCC1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000750185592 574330108 /nfs/dbraw/zinc/33/01/08/574330108.db2.gz OZCRPBGJDCISKJ-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCCCCCCO ZINC000742447431 574330612 /nfs/dbraw/zinc/33/06/12/574330612.db2.gz LVJYLCDXXLQBOK-UHFFFAOYSA-N 0 0 253.298 2.916 20 5 CFBDRN COC(C)(C)C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735901222 574342264 /nfs/dbraw/zinc/34/22/64/574342264.db2.gz PRSBLMCIDZEBMX-UHFFFAOYSA-N 0 0 287.699 2.716 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000742746995 574342752 /nfs/dbraw/zinc/34/27/52/574342752.db2.gz WSAOXEDQJIFUDZ-JGVFFNPUSA-N 0 0 286.378 2.670 20 5 CFBDRN CC1(C(=O)OCCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000750533551 574346368 /nfs/dbraw/zinc/34/63/68/574346368.db2.gz UZGSIUQPINMYMM-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN Cc1cc(C(=O)OCCOC2CCC2)ccc1[N+](=O)[O-] ZINC000750774296 574355074 /nfs/dbraw/zinc/35/50/74/574355074.db2.gz JDPVYZRSVAMKKV-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1CCCS1 ZINC000750896962 574360233 /nfs/dbraw/zinc/36/02/33/574360233.db2.gz OULLFRRGWIOJHH-QMMMGPOBSA-N 0 0 273.335 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2ccccc2)c2nonc21 ZINC000736294361 574360316 /nfs/dbraw/zinc/36/03/16/574360316.db2.gz IJDFDSPBWLRGKR-UHFFFAOYSA-N 0 0 299.290 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2cnccn2)c1 ZINC000751161476 574370559 /nfs/dbraw/zinc/37/05/59/574370559.db2.gz RGYPLGAYRVZKPS-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN O=C(OC/C=C/Cl)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000725936171 574372256 /nfs/dbraw/zinc/37/22/56/574372256.db2.gz VFYNNZSFSCELOY-OWOJBTEDSA-N 0 0 277.610 2.782 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC3(CO)CCCC3)sc2c1 ZINC000751232475 574372554 /nfs/dbraw/zinc/37/25/54/574372554.db2.gz IYOKETICSSOHRL-UHFFFAOYSA-N 0 0 293.348 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1CCC(=O)CC1 ZINC000751234373 574372610 /nfs/dbraw/zinc/37/26/10/574372610.db2.gz SOIYCTUIAWULDY-UHFFFAOYSA-N 0 0 277.276 2.568 20 5 CFBDRN CC(C)CC(=O)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000751628803 574380312 /nfs/dbraw/zinc/38/03/12/574380312.db2.gz SOLLEDGVRFJANN-YVMONPNESA-N 0 0 291.303 2.766 20 5 CFBDRN Cc1cc(C(=O)OCC(=O)CC(C)C)ccc1[N+](=O)[O-] ZINC000751627042 574380332 /nfs/dbraw/zinc/38/03/32/574380332.db2.gz FPCXLLVKLORGGW-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN C/C=C(\C)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751676255 574380894 /nfs/dbraw/zinc/38/08/94/574380894.db2.gz RXRZPUSZBDSGOT-FPYGCLRLSA-N 0 0 276.248 2.745 20 5 CFBDRN O=C(CC[C@@H]1CCOC1)OCc1csc([N+](=O)[O-])c1 ZINC000751703752 574381106 /nfs/dbraw/zinc/38/11/06/574381106.db2.gz LUMFRRBXDYLBBB-SECBINFHSA-N 0 0 285.321 2.516 20 5 CFBDRN O=C(CC[C@@H]1CCOC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000751711004 574381247 /nfs/dbraw/zinc/38/12/47/574381247.db2.gz VKKGSUYMMFFZAK-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1c(COC(=O)CC[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000751709399 574381289 /nfs/dbraw/zinc/38/12/89/574381289.db2.gz FFKBLGWQMIQCOJ-LBPRGKRZSA-N 0 0 293.319 2.763 20 5 CFBDRN Cc1c(COC(=O)CCOCC2CC2)cccc1[N+](=O)[O-] ZINC000751720296 574381361 /nfs/dbraw/zinc/38/13/61/574381361.db2.gz OAQAYEAYVWPISR-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1C[C@H]1C1CC1 ZINC000751734870 574381722 /nfs/dbraw/zinc/38/17/22/574381722.db2.gz KQTWLUDDEVOUTH-NWDGAFQWSA-N 0 0 261.277 2.684 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])[C@H]1C[C@H]1C1CC1 ZINC000751747031 574382091 /nfs/dbraw/zinc/38/20/91/574382091.db2.gz SOFVBOHEOTYVMN-RYUDHWBXSA-N 0 0 291.303 2.563 20 5 CFBDRN C[C@H](OC(=O)[C@@H](O)CC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000751777824 574382767 /nfs/dbraw/zinc/38/27/67/574382767.db2.gz XAZHVBCKZYTLNX-GWCFXTLKSA-N 0 0 295.335 2.996 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC1(C2CC2)CC1 ZINC000751850799 574383798 /nfs/dbraw/zinc/38/37/98/574383798.db2.gz VKZMZXHRTTWCBA-UHFFFAOYSA-N 0 0 261.277 2.623 20 5 CFBDRN CNc1ccc(C(=O)OC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000751850725 574383858 /nfs/dbraw/zinc/38/38/58/574383858.db2.gz PZQZPEASGVKUHL-UHFFFAOYSA-N 0 0 276.292 2.736 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])[C@@H](O)c1cccc(F)c1 ZINC000751964250 574385925 /nfs/dbraw/zinc/38/59/25/574385925.db2.gz CBWPTXOGLUTVRL-NOZJJQNGSA-N 0 0 291.282 2.663 20 5 CFBDRN CC(C)n1ccnc1COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000752063881 574387247 /nfs/dbraw/zinc/38/72/47/574387247.db2.gz CYLYXVZZVJNEID-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN COC[C@@H]1CCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000752088694 574387928 /nfs/dbraw/zinc/38/79/28/574387928.db2.gz NUMHFVDVVGSMBA-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000752349573 574390522 /nfs/dbraw/zinc/39/05/22/574390522.db2.gz UEVWDKXVWSZBRZ-USKTWTLRSA-N 0 0 291.303 2.909 20 5 CFBDRN CSCCNC(=S)Nc1cccc([N+](=O)[O-])c1C ZINC000752734239 574394226 /nfs/dbraw/zinc/39/42/26/574394226.db2.gz OHCJKKBCHKBVNL-UHFFFAOYSA-N 0 0 285.394 2.553 20 5 CFBDRN Cc1ccc(C(=O)Nc2cccc3nonc32)cc1[N+](=O)[O-] ZINC000752948525 574396838 /nfs/dbraw/zinc/39/68/38/574396838.db2.gz IUEROKHXCQFVCH-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN COC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000753498529 574403357 /nfs/dbraw/zinc/40/33/57/574403357.db2.gz OMPMEHRQXHBPMD-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN CC(C)C1CN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000753739856 574409189 /nfs/dbraw/zinc/40/91/89/574409189.db2.gz KNGMACYIEZIDRW-VMPITWQZSA-N 0 0 274.320 2.722 20 5 CFBDRN CC(C)C1CN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000753751078 574409817 /nfs/dbraw/zinc/40/98/17/574409817.db2.gz YLCXBLZMLDNLBL-UHFFFAOYSA-N 0 0 282.727 2.976 20 5 CFBDRN C/C(Cl)=C\C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000900099250 620638010 /nfs/dbraw/zinc/63/80/10/620638010.db2.gz NMFIRCIDLYBERU-SOFGYWHQSA-N 0 0 266.684 2.627 20 5 CFBDRN Cc1c([C@@H](C)[NH2+]CCCCC(=O)[O-])cccc1[N+](=O)[O-] ZINC000820940494 598171475 /nfs/dbraw/zinc/17/14/75/598171475.db2.gz NJNSWJWOCQQQKN-LLVKDONJSA-N 0 0 280.324 2.809 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])N1CCCCO1 ZINC000935570805 649918590 /nfs/dbraw/zinc/91/85/90/649918590.db2.gz UOEOOVWSCLIIRB-UHFFFAOYSA-N 0 0 298.726 2.735 20 5 CFBDRN C[C@]([NH2+]Cc1cc([N+](=O)[O-])ccc1Cl)(C(=O)[O-])C1CC1 ZINC000827740842 601176688 /nfs/dbraw/zinc/17/66/88/601176688.db2.gz CKNYZWCVRUANBR-CYBMUJFWSA-N 0 0 298.726 2.591 20 5 CFBDRN CC[C@](C)(CC(=O)[O-])[NH2+]C/C=C/c1ccccc1[N+](=O)[O-] ZINC000828657101 601431295 /nfs/dbraw/zinc/43/12/95/601431295.db2.gz DEZQTSYXTMZWLY-PMGBHYCQSA-N 0 0 292.335 2.841 20 5 CFBDRN CC(C)[C@H](C[NH2+][C@H](C)c1cccc([N+](=O)[O-])c1)NC(=O)[O-] ZINC000825486379 603621646 /nfs/dbraw/zinc/62/16/46/603621646.db2.gz XPHULSJGUZISSP-MFKMUULPSA-N 0 0 295.339 2.538 20 5 CFBDRN CC(C)[C@H](C[NH2+][C@H](C)c1ccc([N+](=O)[O-])cc1)NC(=O)[O-] ZINC000825483756 603832732 /nfs/dbraw/zinc/83/27/32/603832732.db2.gz AAAZWPKPXHUJFU-MFKMUULPSA-N 0 0 295.339 2.538 20 5 CFBDRN C/C(=C(/F)C(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000900402033 620670457 /nfs/dbraw/zinc/67/04/57/620670457.db2.gz HNLDIAKNULBGAV-LCYFTJDESA-N 0 0 278.283 2.865 20 5 CFBDRN C[C@]1(C(=O)[O-])CC[N@H+](Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000828028844 604795584 /nfs/dbraw/zinc/79/55/84/604795584.db2.gz FCUDKTSIIYKZOB-ZDUSSCGKSA-N 0 0 298.726 2.545 20 5 CFBDRN Cc1c(CN(C)c2cc(Cl)ccc2[N+](=O)[O-])cnn1C ZINC000804677439 617798611 /nfs/dbraw/zinc/79/86/11/617798611.db2.gz NHLWBYQNWAPJRJ-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN CSc1ccc(Cl)c(C(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000813106848 617928538 /nfs/dbraw/zinc/92/85/38/617928538.db2.gz ISQUZDSYJCLBAO-ZETCQYMHSA-N 0 0 289.740 2.884 20 5 CFBDRN CCCCOCCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000110049101 617949046 /nfs/dbraw/zinc/94/90/46/617949046.db2.gz ABXFKRGBDNEPOM-UHFFFAOYSA-N 0 0 257.261 2.929 20 5 CFBDRN Cc1cc(C(=O)OCc2cccnc2C)cc([N+](=O)[O-])c1 ZINC000810887236 618078636 /nfs/dbraw/zinc/07/86/36/618078636.db2.gz IYOQLNQYQJAPKS-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CCCCC1(NC(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000889533913 618085898 /nfs/dbraw/zinc/08/58/98/618085898.db2.gz DHUHKYHZXHBJOE-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCOC(=O)Cn2ccc([N+](=O)[O-])n2)C1 ZINC000160222379 617624130 /nfs/dbraw/zinc/62/41/30/617624130.db2.gz IIRDLHLEDOIBFS-NEPJUHHUSA-N 0 0 295.339 2.551 20 5 CFBDRN CCn1nccc1C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000120434944 617691642 /nfs/dbraw/zinc/69/16/42/617691642.db2.gz TXCOFDMERQIRPF-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN CCOc1ccc([C@H](C)Nc2c([N+](=O)[O-])cnn2C)cc1 ZINC000891646785 617695043 /nfs/dbraw/zinc/69/50/43/617695043.db2.gz BQPYCFFDXYIZLW-JTQLQIEISA-N 0 0 290.323 2.900 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000840532034 617705125 /nfs/dbraw/zinc/70/51/25/617705125.db2.gz SXCIOHSPWAGXKQ-MRVPVSSYSA-N 0 0 295.314 2.577 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000891827362 617774619 /nfs/dbraw/zinc/77/46/19/617774619.db2.gz WXAMAWXJGNHPKD-HTQZYQBOSA-N 0 0 251.242 2.571 20 5 CFBDRN O=C(NOC/C=C/Cl)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000812402141 617782333 /nfs/dbraw/zinc/78/23/33/617782333.db2.gz QGXDZUSTJRNJBJ-DAFODLJHSA-N 0 0 291.090 2.662 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1c1ccc(N)cc1[N+](=O)[O-] ZINC000804679925 617800703 /nfs/dbraw/zinc/80/07/03/617800703.db2.gz KWGPQZNPCMAAMO-CBAPKCEASA-N 0 0 289.257 2.954 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1cccnc1F ZINC000804680908 617800722 /nfs/dbraw/zinc/80/07/22/617800722.db2.gz XBHIZPAFYLPYJM-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CC[C@@H](C)C(=O)O[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000023519878 617801405 /nfs/dbraw/zinc/80/14/05/617801405.db2.gz ZAWRKNGVIHXXCZ-ZJUUUORDSA-N 0 0 294.307 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](C(F)F)C2)c([N+](=O)[O-])c1 ZINC000804688852 617812461 /nfs/dbraw/zinc/81/24/61/617812461.db2.gz QBCHTEWHMNSZGC-ZETCQYMHSA-N 0 0 287.222 2.594 20 5 CFBDRN Cc1nc(COC(=O)c2ccccc2[N+](=O)[O-])cs1 ZINC000003502485 617842050 /nfs/dbraw/zinc/84/20/50/617842050.db2.gz YTEVVGVVRLACPE-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1ccc([C@H](O)[C@@H](C)Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000804810355 617894300 /nfs/dbraw/zinc/89/43/00/617894300.db2.gz STFKAQKKGMGCSN-BXKDBHETSA-N 0 0 293.348 2.894 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2cccnc2C)c1 ZINC000890835008 617920013 /nfs/dbraw/zinc/92/00/13/617920013.db2.gz QWGOBNZWPQQGFE-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cccc(C(=O)NCCc2cccnc2C)c1[N+](=O)[O-] ZINC000890836567 617921073 /nfs/dbraw/zinc/92/10/73/617921073.db2.gz MXEPOJJZCYMIPD-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2Cc1cn2ccccc2n1 ZINC000084490835 617924285 /nfs/dbraw/zinc/92/42/85/617924285.db2.gz WQIBVUOOXIUQDY-UHFFFAOYSA-N 0 0 294.314 2.805 20 5 CFBDRN Cc1[nH]c2ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc2c1C ZINC000813105075 617926447 /nfs/dbraw/zinc/92/64/47/617926447.db2.gz VEWIGAKFTBHKCB-QMMMGPOBSA-N 0 0 276.292 2.607 20 5 CFBDRN C[C@@H](SCc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813111125 617936517 /nfs/dbraw/zinc/93/65/17/617936517.db2.gz OFJVJCHPFYDANF-WDEREUQCSA-N 0 0 283.349 2.517 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H](C)CO1 ZINC000891030285 617976660 /nfs/dbraw/zinc/97/66/60/617976660.db2.gz QYLDOYFILLOJAF-NOZJJQNGSA-N 0 0 278.308 2.521 20 5 CFBDRN CC[C@H](C)CON=c1nc[nH]c2sc([N+](=O)[O-])cc21 ZINC000813622466 618003959 /nfs/dbraw/zinc/00/39/59/618003959.db2.gz QBOSBBJREUMBRA-ZETCQYMHSA-N 0 0 282.325 2.989 20 5 CFBDRN CC[C@@H]1CCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000934459458 618039550 /nfs/dbraw/zinc/03/95/50/618039550.db2.gz CLNCTOBIQIMKCT-LLVKDONJSA-N 0 0 294.326 2.925 20 5 CFBDRN O=C(Nc1cccnc1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037231 618061764 /nfs/dbraw/zinc/06/17/64/618061764.db2.gz MJNXHUUOXHIHQV-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN Cc1csc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])n1 ZINC000050037323 618062061 /nfs/dbraw/zinc/06/20/61/618062061.db2.gz MGHSOXDNUAMLDP-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN O=C(NCc1cscn1)c1cc([N+](=O)[O-])ccc1Cl ZINC000050408002 618065610 /nfs/dbraw/zinc/06/56/10/618065610.db2.gz PMZGQAPFBMPSRM-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN CCCCNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000176686458 618066322 /nfs/dbraw/zinc/06/63/22/618066322.db2.gz VICFHDSHRJSOMM-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN CCCCC1(NC(=O)c2cc([N+](=O)[O-])cnc2C)CC1 ZINC000889532612 618077747 /nfs/dbraw/zinc/07/77/47/618077747.db2.gz LQEIVFKAEOSJKK-UHFFFAOYSA-N 0 0 277.324 2.751 20 5 CFBDRN CSCCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000254953606 618079094 /nfs/dbraw/zinc/07/90/94/618079094.db2.gz LDIPBRBEUNRZMA-YVMONPNESA-N 0 0 281.333 2.904 20 5 CFBDRN CCCOC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000924433463 618081898 /nfs/dbraw/zinc/08/18/98/618081898.db2.gz XBOBMKFCFWACFP-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN Cn1c(C(=O)OCCCCCCF)ccc1[N+](=O)[O-] ZINC000810910125 618082470 /nfs/dbraw/zinc/08/24/70/618082470.db2.gz DHWSNVDOVFLAHG-UHFFFAOYSA-N 0 0 272.276 2.620 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000135590158 618092327 /nfs/dbraw/zinc/09/23/27/618092327.db2.gz WPVHGHZSGOOLPQ-UHFFFAOYSA-N 0 0 266.272 2.796 20 5 CFBDRN CCOc1ccc(C(=O)O[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000780552150 618101444 /nfs/dbraw/zinc/10/14/44/618101444.db2.gz NDJAISKVSHESIL-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN C[C@@H](O)CCNc1cccc(Br)c1[N+](=O)[O-] ZINC000309388217 618110542 /nfs/dbraw/zinc/11/05/42/618110542.db2.gz HFUQHRSIVWUZNQ-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@@H]1CC[C@H](C)C1 ZINC000779258276 618116424 /nfs/dbraw/zinc/11/64/24/618116424.db2.gz LRBGDHYPVMETEX-GZMMTYOYSA-N 0 0 264.281 2.644 20 5 CFBDRN C/C=C\COC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 ZINC000255558739 618117746 /nfs/dbraw/zinc/11/77/46/618117746.db2.gz LCBMGNRWQCFUHD-IHWYPQMZSA-N 0 0 287.275 2.513 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235137260 618130749 /nfs/dbraw/zinc/13/07/49/618130749.db2.gz JSELYMPUZBCKJX-NKWVEPMBSA-N 0 0 276.695 2.816 20 5 CFBDRN C[C@H]1C[C@H](NC(=S)Nc2ccc([N+](=O)[O-])cc2)CS1 ZINC000840858650 618133656 /nfs/dbraw/zinc/13/36/56/618133656.db2.gz SPJLMWCMMSEVNU-WPRPVWTQSA-N 0 0 297.405 2.775 20 5 CFBDRN Cc1ccc(CNC(C)(C)C(=O)OC(C)C)cc1[N+](=O)[O-] ZINC000934820679 618138720 /nfs/dbraw/zinc/13/87/20/618138720.db2.gz LTKNAHGCPKTJRY-UHFFFAOYSA-N 0 0 294.351 2.723 20 5 CFBDRN CC[C@@H](CC(=O)Nc1c([N+](=O)[O-])ncn1C)C(C)(C)C ZINC000891345031 618141601 /nfs/dbraw/zinc/14/16/01/618141601.db2.gz RFVCBVJOCDEVRV-VIFPVBQESA-N 0 0 282.344 2.729 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2Nc1c([N+](=O)[O-])cnn1C ZINC000892339021 618160831 /nfs/dbraw/zinc/16/08/31/618160831.db2.gz DKBFTSBPVYEWNC-LBPRGKRZSA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCSC2)c1C ZINC000889354481 618162983 /nfs/dbraw/zinc/16/29/83/618162983.db2.gz GVKJXWBICDDVRW-LLVKDONJSA-N 0 0 294.376 2.837 20 5 CFBDRN CS[C@H](C)CNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935043585 618173647 /nfs/dbraw/zinc/17/36/47/618173647.db2.gz LFGQVAJKBOGDEZ-WQAKAFBOSA-N 0 0 294.376 2.566 20 5 CFBDRN COC1(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)CCC1 ZINC000781672700 618176927 /nfs/dbraw/zinc/17/69/27/618176927.db2.gz FTLHDNMNYIKCBH-UHFFFAOYSA-N 0 0 299.710 2.861 20 5 CFBDRN CCc1ccc2c(c1)CCN2C(=O)c1cc([N+](=O)[O-])cn1C ZINC000891387058 618180324 /nfs/dbraw/zinc/18/03/24/618180324.db2.gz SNGPLOCMFFSAHC-UHFFFAOYSA-N 0 0 299.330 2.699 20 5 CFBDRN Nc1ccc(C(=O)NC/C=C\c2ccccc2)cc1[N+](=O)[O-] ZINC000255300247 618181939 /nfs/dbraw/zinc/18/19/39/618181939.db2.gz CBIJEWYTRXQQIV-DAXSKMNVSA-N 0 0 297.314 2.620 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CC1(Cl)Cl ZINC000309533674 618194432 /nfs/dbraw/zinc/19/44/32/618194432.db2.gz WLZMNUHBZQBDBR-ZCFIWIBFSA-N 0 0 262.096 2.596 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235250517 618219526 /nfs/dbraw/zinc/21/95/26/618219526.db2.gz OULJDUSTXJALTM-NKWVEPMBSA-N 0 0 276.695 2.959 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1Oc1ccc2c(c1)CCC2 ZINC000891454302 618220655 /nfs/dbraw/zinc/22/06/55/618220655.db2.gz VTBRAOLHEUXVCT-UHFFFAOYSA-N 0 0 259.265 2.609 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1I ZINC000128171702 618249519 /nfs/dbraw/zinc/24/95/19/618249519.db2.gz RCGUBPXMIJRRNY-UHFFFAOYSA-N 0 0 293.060 2.598 20 5 CFBDRN C[C@@H](F)CCNc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000893982396 618260283 /nfs/dbraw/zinc/26/02/83/618260283.db2.gz ULPIZHGAFVDPMM-SSDOTTSWSA-N 0 0 257.221 2.663 20 5 CFBDRN C[C@@]1(F)CCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000894005012 618270617 /nfs/dbraw/zinc/27/06/17/618270617.db2.gz FQILNPQBJGGEDR-GFCCVEGCSA-N 0 0 283.259 2.831 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000177053389 618270986 /nfs/dbraw/zinc/27/09/86/618270986.db2.gz SQWIREAFQDDULS-SNVBAGLBSA-N 0 0 293.367 2.994 20 5 CFBDRN C[C@@]1(O)CCCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000235421775 618277814 /nfs/dbraw/zinc/27/78/14/618277814.db2.gz FCSWZTBCIVBBDZ-GFCCVEGCSA-N 0 0 288.706 2.739 20 5 CFBDRN O=[N+]([O-])c1cc(NCCOC(F)F)ccc1Cl ZINC000901352513 620792477 /nfs/dbraw/zinc/79/24/77/620792477.db2.gz HROSCPMSUUNTNJ-UHFFFAOYSA-N 0 0 266.631 2.899 20 5 CFBDRN Cc1ncc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000178993697 618291911 /nfs/dbraw/zinc/29/19/11/618291911.db2.gz AENCIWRIKLXFKC-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN Cc1cn(C)nc1CNc1cc([N+](=O)[O-])c(C)cc1C ZINC000901354762 620793472 /nfs/dbraw/zinc/79/34/72/620793472.db2.gz XAUHCKXJUWKYEQ-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H]1CC(C)(C)OC1(C)C ZINC000882110617 618318251 /nfs/dbraw/zinc/31/82/51/618318251.db2.gz RVCUCYONTRGUIK-SNVBAGLBSA-N 0 0 267.329 2.693 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OS(=O)(=O)CC1CCCC1 ZINC000179014405 618347105 /nfs/dbraw/zinc/34/71/05/618347105.db2.gz DJZQXZRCKIBOBI-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC[C@H]1CCCC1(F)F ZINC000895131094 618353391 /nfs/dbraw/zinc/35/33/91/618353391.db2.gz GENYSDQGEYKOMX-MRVPVSSYSA-N 0 0 274.271 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2C=CCC2)c1C ZINC000893326604 618360724 /nfs/dbraw/zinc/36/07/24/618360724.db2.gz OBINDQQVGBOIOH-LBPRGKRZSA-N 0 0 274.320 2.908 20 5 CFBDRN Cc1cc(NC[C@H]2COC(C)(C)O2)c(F)cc1[N+](=O)[O-] ZINC000312639142 618365539 /nfs/dbraw/zinc/36/55/39/618365539.db2.gz WXFSHOPKOMFXHJ-VIFPVBQESA-N 0 0 284.287 2.606 20 5 CFBDRN COC/C(C)=C/COc1cc(F)ccc1[N+](=O)[O-] ZINC000901381895 620799746 /nfs/dbraw/zinc/79/97/46/620799746.db2.gz SLSYGYZCDUWZSJ-WEVVVXLNSA-N 0 0 255.245 2.705 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCOCC1CCCC1 ZINC000894136169 618381370 /nfs/dbraw/zinc/38/13/70/618381370.db2.gz CYGMZGYGPUUXEH-UHFFFAOYSA-N 0 0 295.339 2.617 20 5 CFBDRN CN(Cc1cnccn1)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000177254382 618383939 /nfs/dbraw/zinc/38/39/39/618383939.db2.gz DWLUKAIPKHQKQV-UHFFFAOYSA-N 0 0 292.726 2.670 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)cccc1[N+](=O)[O-] ZINC000889598936 618408347 /nfs/dbraw/zinc/40/83/47/618408347.db2.gz FXSBTHJWIVBCAF-MELADBBJSA-N 0 0 288.347 2.751 20 5 CFBDRN CC(C)(CCC(=O)NCc1cscc1Cl)[N+](=O)[O-] ZINC000894166862 618412595 /nfs/dbraw/zinc/41/25/95/618412595.db2.gz HVJCYKVCBBCZES-UHFFFAOYSA-N 0 0 290.772 2.853 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000894168007 618415399 /nfs/dbraw/zinc/41/53/99/618415399.db2.gz PNWNZTHMQUQMGK-DVVUODLYSA-N 0 0 263.297 2.599 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867500244 618421940 /nfs/dbraw/zinc/42/19/40/618421940.db2.gz UVJIZZOIYYFXIQ-GWCFXTLKSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@@H]1C[C@H](COc2ccc([N+](=O)[O-])cc2C=O)C[C@H](C)O1 ZINC000901395646 620804596 /nfs/dbraw/zinc/80/45/96/620804596.db2.gz ZJYDEVXLFDHETI-GDNZZTSVSA-N 0 0 293.319 2.990 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cc(C[C@@H](O)C2CC2)on1 ZINC000901396723 620805455 /nfs/dbraw/zinc/80/54/55/620805455.db2.gz WSNMODQSBSDAOM-CQSZACIVSA-N 0 0 274.276 2.563 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000842115498 618443090 /nfs/dbraw/zinc/44/30/90/618443090.db2.gz QODDQJNNRNXCSD-ZDUSSCGKSA-N 0 0 299.298 2.838 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCc1ccc(Cl)s1 ZINC000814044884 618443374 /nfs/dbraw/zinc/44/33/74/618443374.db2.gz KRTSYNQGOSILQG-ZETCQYMHSA-N 0 0 277.729 2.543 20 5 CFBDRN CC(C)N1CC[C@H](Oc2ccc([N+](=O)[O-])c(Cl)c2)C1=O ZINC000177570082 618452279 /nfs/dbraw/zinc/45/22/79/618452279.db2.gz RPQGQNILHIKDCD-LBPRGKRZSA-N 0 0 298.726 2.636 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])C1(C(F)(F)F)CC1 ZINC000726225517 618455733 /nfs/dbraw/zinc/45/57/33/618455733.db2.gz LNZPMCVZWIJFON-UHFFFAOYSA-N 0 0 289.209 2.981 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000726324512 618462888 /nfs/dbraw/zinc/46/28/88/618462888.db2.gz BJZLNLMKELKZHV-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)NC1CCC=CCC1 ZINC000895207087 618471990 /nfs/dbraw/zinc/47/19/90/618471990.db2.gz OQDDNHMYZQASLL-UHFFFAOYSA-N 0 0 290.319 2.589 20 5 CFBDRN O=C(OC[C@H]1CCCO1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000057972309 618478458 /nfs/dbraw/zinc/47/84/58/618478458.db2.gz LAODJQHUIAGMKF-SECBINFHSA-N 0 0 285.683 2.584 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC1CCC=CCC1 ZINC000895215728 618480331 /nfs/dbraw/zinc/48/03/31/618480331.db2.gz SBQPFWVPTRJRTB-UHFFFAOYSA-N 0 0 274.320 2.752 20 5 CFBDRN CC(C)[C@@H](Nc1ccnc(F)c1[N+](=O)[O-])C1CC1 ZINC000882629884 618484195 /nfs/dbraw/zinc/48/41/95/618484195.db2.gz QNGGJIMRNVABKB-SNVBAGLBSA-N 0 0 253.277 2.975 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC/C=C(\C)COC ZINC000901414296 620811418 /nfs/dbraw/zinc/81/14/18/620811418.db2.gz ZLNDJFMPGQIIQN-YRNVUSSQSA-N 0 0 280.324 2.998 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC(F)CC1 ZINC000882806438 618516408 /nfs/dbraw/zinc/51/64/08/618516408.db2.gz AAUSSRXJDIJDBU-UHFFFAOYSA-N 0 0 259.668 2.582 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCCF ZINC000895297505 618518840 /nfs/dbraw/zinc/51/88/40/618518840.db2.gz XXFGHQLHWDLCJO-CYBMUJFWSA-N 0 0 296.342 2.982 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1Cc2ccccc2O1 ZINC000025259799 618544340 /nfs/dbraw/zinc/54/43/40/618544340.db2.gz OAVDOPQWXYGXHZ-HNNXBMFYSA-N 0 0 299.282 2.642 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NC1(C2CC2)CCC1 ZINC000895355040 618546991 /nfs/dbraw/zinc/54/69/91/618546991.db2.gz WOUKKOINKGGZLC-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN Cc1c(CC(=O)NC2(C3CC3)CCC2)cccc1[N+](=O)[O-] ZINC000895359524 618549407 /nfs/dbraw/zinc/54/94/07/618549407.db2.gz WVNQKXQZWXDVNX-UHFFFAOYSA-N 0 0 288.347 2.895 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1(C2CC2)CCC1 ZINC000895360908 618550095 /nfs/dbraw/zinc/55/00/95/618550095.db2.gz ZQMMLMYVONUKGX-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1nc([C@H]2CCN(c3ccc(C)cc3[N+](=O)[O-])C2)no1 ZINC000895404006 618575219 /nfs/dbraw/zinc/57/52/19/618575219.db2.gz OWBKMVBFAINUMD-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN CC1=NO[C@@H](CNCc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000895427675 618576651 /nfs/dbraw/zinc/57/66/51/618576651.db2.gz CXKDRABMXZKYFT-LLVKDONJSA-N 0 0 283.715 2.503 20 5 CFBDRN CSC1CCC(CNc2c([N+](=O)[O-])cnn2C)CC1 ZINC000895420441 618581608 /nfs/dbraw/zinc/58/16/08/618581608.db2.gz QVLVDQKYCMSLMW-UHFFFAOYSA-N 0 0 284.385 2.662 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2(c3ccccc3)CC2)nc1 ZINC000895436282 618584870 /nfs/dbraw/zinc/58/48/70/618584870.db2.gz ORBIPCPMKHTRDM-UHFFFAOYSA-N 0 0 284.319 2.919 20 5 CFBDRN O=C(NOC1CCCC1)c1cccc([N+](=O)[O-])c1Cl ZINC000236052341 618604666 /nfs/dbraw/zinc/60/46/66/618604666.db2.gz VTLCMGKPJOKESZ-UHFFFAOYSA-N 0 0 284.699 2.852 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1Cn1cc(Cl)cn1 ZINC000066350266 618607289 /nfs/dbraw/zinc/60/72/89/618607289.db2.gz BTEHDPXQUXCQIQ-VIFPVBQESA-N 0 0 292.726 2.853 20 5 CFBDRN O=C(OC[C@@H]1Cc2ccccc2O1)c1ccc([N+](=O)[O-])cc1 ZINC000177851946 618608529 /nfs/dbraw/zinc/60/85/29/618608529.db2.gz UCFRBBYBHBSLQJ-AWEZNQCLSA-N 0 0 299.282 2.755 20 5 CFBDRN Cc1cccc(C(=O)OCC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000177924483 618614016 /nfs/dbraw/zinc/61/40/16/618614016.db2.gz PCFHSOGKXMHQLL-LLVKDONJSA-N 0 0 279.292 2.629 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C[C@@H]1C ZINC000092112848 618618733 /nfs/dbraw/zinc/61/87/33/618618733.db2.gz JKQURWMMNAQSAA-YUMQZZPRSA-N 0 0 297.742 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NCC1(CCO)CC1 ZINC000236478302 618625078 /nfs/dbraw/zinc/62/50/78/618625078.db2.gz NYDAQAJUBFRENV-UHFFFAOYSA-N 0 0 288.706 2.962 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CCC[C@@H](CO)C1 ZINC000236501023 618626570 /nfs/dbraw/zinc/62/65/70/618626570.db2.gz XEMFQFSWOOMVFH-MRVPVSSYSA-N 0 0 288.706 2.596 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@H]1CCCO[C@H]1C(C)(C)C ZINC000892600515 618648775 /nfs/dbraw/zinc/64/87/75/618648775.db2.gz SDNTZPBGTPFRLW-ZYHUDNBSSA-N 0 0 296.371 2.582 20 5 CFBDRN Cc1ccc(C[C@@H](C)Nc2c([N+](=O)[O-])cnn2C)s1 ZINC000892616405 618655732 /nfs/dbraw/zinc/65/57/32/618655732.db2.gz LGSMODJGNJUSGK-MRVPVSSYSA-N 0 0 280.353 2.741 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000782969197 618666259 /nfs/dbraw/zinc/66/62/59/618666259.db2.gz MWGOWDVQJDLIAZ-YUMQZZPRSA-N 0 0 281.337 2.776 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892638190 618666814 /nfs/dbraw/zinc/66/68/14/618666814.db2.gz SXTDROKJTVTIFN-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN CC[C@H](OC)C(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892638744 618667125 /nfs/dbraw/zinc/66/71/25/618667125.db2.gz XBLNBWWLIBKOGC-LBPRGKRZSA-N 0 0 289.291 2.507 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OCc1ccccc1F ZINC000070186151 625282644 /nfs/dbraw/zinc/28/26/44/625282644.db2.gz LLGFYCSOOBLGLI-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN CC[C@H](C)[C@H](C)[NH2+]Cc1ccc(O)c(OC)c1[N+](=O)[O-] ZINC000892677226 618698922 /nfs/dbraw/zinc/69/89/22/618698922.db2.gz WSNQHRUNIPGLBK-UWVGGRQHSA-N 0 0 282.340 2.833 20 5 CFBDRN O=C(OCC(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000072509069 618700384 /nfs/dbraw/zinc/70/03/84/618700384.db2.gz WORGEDOYNDIGEI-UHFFFAOYSA-N 0 0 283.589 2.967 20 5 CFBDRN Cc1cc(NCc2ncc(Cl)n2C)ccc1[N+](=O)[O-] ZINC000311350649 618706991 /nfs/dbraw/zinc/70/69/91/618706991.db2.gz VPZKYNLJZGXCLM-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CCn1ccc(NCc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000311385963 618708922 /nfs/dbraw/zinc/70/89/22/618708922.db2.gz PAEBIKCZUBVVOZ-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN CCOc1cc(NC(=O)[C@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000892744293 618738816 /nfs/dbraw/zinc/73/88/16/618738816.db2.gz BKUQLNYDWLOKJE-AWEZNQCLSA-N 0 0 294.307 2.501 20 5 CFBDRN CCOc1cc(NC(=O)CCOC(C)C)ccc1[N+](=O)[O-] ZINC000892747861 618739316 /nfs/dbraw/zinc/73/93/16/618739316.db2.gz SWODPEWNEXHWOW-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@@H]2CCCS2)cc([N+](=O)[O-])c1 ZINC000892886091 618763471 /nfs/dbraw/zinc/76/34/71/618763471.db2.gz MXJZBRQKALEUEU-NSHDSACASA-N 0 0 283.309 2.811 20 5 CFBDRN Cn1c(=O)c([N+](=O)[O-])c(NC2CC=CC2)c2ccccc21 ZINC000892916996 618769460 /nfs/dbraw/zinc/76/94/60/618769460.db2.gz OALSSQKXZGAUDC-UHFFFAOYSA-N 0 0 285.303 2.577 20 5 CFBDRN Cc1cnn(CCOc2ccc(Cl)c([N+](=O)[O-])c2)c1 ZINC000311941335 618772340 /nfs/dbraw/zinc/77/23/40/618772340.db2.gz XOFADXSNHKECQE-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC(C(F)F)C1 ZINC000892942904 618776250 /nfs/dbraw/zinc/77/62/50/618776250.db2.gz BCZDWWOVDAEOAM-UHFFFAOYSA-N 0 0 279.246 2.844 20 5 CFBDRN CC1=CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000892981112 618789907 /nfs/dbraw/zinc/78/99/07/618789907.db2.gz KFJAVDAOZKFQNB-UHFFFAOYSA-N 0 0 263.253 2.659 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000893035163 618810333 /nfs/dbraw/zinc/81/03/33/618810333.db2.gz PSVKBYAWPHOOEJ-TXEJJXNPSA-N 0 0 293.319 2.936 20 5 CFBDRN C[C@H](COC(=O)CCCCc1ccccc1)[N+](=O)[O-] ZINC000893046420 618813992 /nfs/dbraw/zinc/81/39/92/618813992.db2.gz BDHVWWPBCVEVEW-GFCCVEGCSA-N 0 0 265.309 2.608 20 5 CFBDRN O=C(OCC1(O)CCCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000893165158 618856764 /nfs/dbraw/zinc/85/67/64/618856764.db2.gz KPUPLEDJFXDXTR-UHFFFAOYSA-N 0 0 297.282 2.586 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC1(O)CCCCC1 ZINC000893165439 618857054 /nfs/dbraw/zinc/85/70/54/618857054.db2.gz OIKFKWOFXDVZNT-UHFFFAOYSA-N 0 0 293.319 2.755 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853912507 618883112 /nfs/dbraw/zinc/88/31/12/618883112.db2.gz YQYBQABAJNOOFW-YUMQZZPRSA-N 0 0 270.285 2.617 20 5 CFBDRN CCCC[C@H](C)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853915842 618883340 /nfs/dbraw/zinc/88/33/40/618883340.db2.gz LLZSBRJBVUDLBC-QMMMGPOBSA-N 0 0 270.285 2.761 20 5 CFBDRN C[C@@]1(O)CCCN(c2ccc(C(F)F)cc2[N+](=O)[O-])C1 ZINC000312214744 618909685 /nfs/dbraw/zinc/90/96/85/618909685.db2.gz ATJSYOSEIAHOQS-CYBMUJFWSA-N 0 0 286.278 2.884 20 5 CFBDRN O=[N+]([O-])CCN1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000930686497 618921971 /nfs/dbraw/zinc/92/19/71/618921971.db2.gz HHUVNRMOUQMXIK-CQSZACIVSA-N 0 0 260.337 2.880 20 5 CFBDRN C[C@@H](NCc1nccn1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000893280415 618929315 /nfs/dbraw/zinc/92/93/15/618929315.db2.gz XRZXQAVUFTUXCK-LLVKDONJSA-N 0 0 286.335 2.977 20 5 CFBDRN C=C/C=C/CCNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000893395314 618961566 /nfs/dbraw/zinc/96/15/66/618961566.db2.gz ILBKGVBJFABRRT-AATRIKPKSA-N 0 0 289.335 2.523 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])c(N2CCCCO2)cc1C ZINC000893398514 618965611 /nfs/dbraw/zinc/96/56/11/618965611.db2.gz YGQRVPFVIXIUPK-UHFFFAOYSA-N 0 0 294.307 2.612 20 5 CFBDRN COC(=O)c1ccc(OC[Si](C)(C)C)c([N+](=O)[O-])c1 ZINC000199962383 618978323 /nfs/dbraw/zinc/97/83/23/618978323.db2.gz VURPISMXDGHFLI-UHFFFAOYSA-N 0 0 283.356 2.638 20 5 CFBDRN O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCC(F)(F)C1 ZINC000313317791 618979652 /nfs/dbraw/zinc/97/96/52/618979652.db2.gz VEQIJFDASAGMIA-UHFFFAOYSA-N 0 0 290.653 2.729 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC[C@@H](CF)C2)c1[N+](=O)[O-] ZINC000893415228 618982599 /nfs/dbraw/zinc/98/25/99/618982599.db2.gz GYXNRASYIMRPNE-JTQLQIEISA-N 0 0 298.289 2.864 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC000777838544 618996705 /nfs/dbraw/zinc/99/67/05/618996705.db2.gz OFDUSGQLDRAYHL-LBPRGKRZSA-N 0 0 262.265 2.534 20 5 CFBDRN C/C(=C\c1ccccc1)CN(C)c1c([N+](=O)[O-])cnn1C ZINC000893480512 619013709 /nfs/dbraw/zinc/01/37/09/619013709.db2.gz AUBVTKSIPUZSNT-FMIVXFBMSA-N 0 0 286.335 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](CF)C2)c1C ZINC000893482405 619014921 /nfs/dbraw/zinc/01/49/21/619014921.db2.gz DSQXUGQMWLDXQA-LLVKDONJSA-N 0 0 280.299 2.643 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CC[C@@H](CF)C1 ZINC000893487575 619016219 /nfs/dbraw/zinc/01/62/19/619016219.db2.gz INVAZAHXLLZOSU-QMMMGPOBSA-N 0 0 286.690 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCn2ccnc2)c(C(F)F)c1 ZINC000111290481 619016936 /nfs/dbraw/zinc/01/69/36/619016936.db2.gz ANBROSCWJREKOB-UHFFFAOYSA-N 0 0 282.250 2.841 20 5 CFBDRN COCCOCCNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000111290766 619016951 /nfs/dbraw/zinc/01/69/51/619016951.db2.gz RKTCYZQQXGSTPQ-UHFFFAOYSA-N 0 0 290.266 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)C2CC2)c(C(F)F)c1 ZINC000111614939 619020338 /nfs/dbraw/zinc/02/03/38/619020338.db2.gz JCYDRFHJDKWDSY-NSHDSACASA-N 0 0 272.251 2.715 20 5 CFBDRN C[C@@H](COC(=O)CCC(C)(C)[N+](=O)[O-])[C@H]1CCCCO1 ZINC000893509962 619028485 /nfs/dbraw/zinc/02/84/85/619028485.db2.gz AOYNVINERRZMIK-NWDGAFQWSA-N 0 0 287.356 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(=O)[C@H]1C[C@H]1C ZINC000909396495 619054103 /nfs/dbraw/zinc/05/41/03/619054103.db2.gz LSTHKNSRPBZOLA-KOLCDFICSA-N 0 0 291.303 2.594 20 5 CFBDRN CNc1ccc(C(=O)OCCC(C)C)cc1[N+](=O)[O-] ZINC000105218141 619081973 /nfs/dbraw/zinc/08/19/73/619081973.db2.gz QVDBFDSZCYJTLN-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN Cc1noc(C)c1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000066960919 619094625 /nfs/dbraw/zinc/09/46/25/619094625.db2.gz NZRWSPZORPQYDF-UHFFFAOYSA-N 0 0 297.217 2.730 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H]1CCC(F)(F)C1 ZINC000893647706 619143091 /nfs/dbraw/zinc/14/30/91/619143091.db2.gz XKTLAQQHDPPEPK-QMMMGPOBSA-N 0 0 287.266 2.846 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1C[C@H](c2ccccc2F)C1 ZINC000893654785 619146582 /nfs/dbraw/zinc/14/65/82/619146582.db2.gz HKYAERKLEYLYOL-MGCOHNPYSA-N 0 0 290.298 2.826 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)CC1(C)C ZINC000893670935 619151562 /nfs/dbraw/zinc/15/15/62/619151562.db2.gz DSOVFHVQCJEZGV-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])C(C)(C)C1 ZINC000893673527 619152478 /nfs/dbraw/zinc/15/24/78/619152478.db2.gz XHXNGVGJBXZEAB-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN CC[S@@](C)(=O)=NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000925862405 619188622 /nfs/dbraw/zinc/18/86/22/619188622.db2.gz QIDHBCRKUHHLRJ-GOSISDBHSA-N 0 0 290.728 2.506 20 5 CFBDRN CC(C)(CCC(=O)Oc1ccc2cnccc2c1)[N+](=O)[O-] ZINC000893834389 619224997 /nfs/dbraw/zinc/22/49/97/619224997.db2.gz BNTUAHBGVAVTJM-UHFFFAOYSA-N 0 0 288.303 2.976 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCOCC3)c2ncccc12 ZINC000893938758 619248231 /nfs/dbraw/zinc/24/82/31/619248231.db2.gz SRBYXTXYLZTARU-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN CC[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(C)cc1 ZINC000841165163 619261869 /nfs/dbraw/zinc/26/18/69/619261869.db2.gz QDPAUGSZOJGEEA-JHJVBQTASA-N 0 0 263.293 2.655 20 5 CFBDRN COC(=O)N1CCC[C@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000726787801 619262307 /nfs/dbraw/zinc/26/23/07/619262307.db2.gz XSOJFDGLWGBNOV-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1cnccn1 ZINC000747744956 619266212 /nfs/dbraw/zinc/26/62/12/619266212.db2.gz LVNFLLJLOGMYGX-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@@H](NC(=O)C[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000928244614 619328209 /nfs/dbraw/zinc/32/82/09/619328209.db2.gz ACIXFASCQFPMCF-NEPJUHHUSA-N 0 0 292.335 2.589 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000889602349 619342696 /nfs/dbraw/zinc/34/26/96/619342696.db2.gz CVHFPIQERRIKRB-SUZMYJTESA-N 0 0 290.319 2.522 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000894365444 619352578 /nfs/dbraw/zinc/35/25/78/619352578.db2.gz YHBDLOYUWHRRQA-OPRDCNLKSA-N 0 0 263.297 2.599 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000151245161 619366042 /nfs/dbraw/zinc/36/60/42/619366042.db2.gz YPGAPCJSDUPSJZ-SCZZXKLOSA-N 0 0 280.299 2.913 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ccc(Cl)nc1 ZINC000841208185 619405868 /nfs/dbraw/zinc/40/58/68/619405868.db2.gz QSJNGAALCYAZJJ-UHFFFAOYSA-N 0 0 285.731 2.533 20 5 CFBDRN CC1(C)CO[C@H](CCNC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000894506119 619406928 /nfs/dbraw/zinc/40/69/28/619406928.db2.gz ONDLKQZYQQJTEX-CYBMUJFWSA-N 0 0 292.335 2.530 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CC[C@H](C2CCCCC2)C1 ZINC000894537038 619413178 /nfs/dbraw/zinc/41/31/78/619413178.db2.gz QSAYREJMSOECEU-LBPRGKRZSA-N 0 0 278.356 2.735 20 5 CFBDRN CCSC1(CNc2ncc([N+](=O)[O-])cc2OC)CC1 ZINC000894591027 619423158 /nfs/dbraw/zinc/42/31/58/619423158.db2.gz AQUQYMBQINLPSN-UHFFFAOYSA-N 0 0 283.353 2.696 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1C[C@H](OC(C)(C)C)C1 ZINC000894631639 619433297 /nfs/dbraw/zinc/43/32/97/619433297.db2.gz MTXBSSNGDAVMDQ-HOMQSWHASA-N 0 0 295.339 2.756 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC2(CC2)C1 ZINC000894662802 619437865 /nfs/dbraw/zinc/43/78/65/619437865.db2.gz DJCPTLQOBAYZJW-UHFFFAOYSA-N 0 0 252.701 2.844 20 5 CFBDRN CCC[C@H](CNc1ncc([N+](=O)[O-])cc1OC)OCC ZINC000894704520 619446705 /nfs/dbraw/zinc/44/67/05/619446705.db2.gz UDFFSSWFEDDYMZ-LLVKDONJSA-N 0 0 283.328 2.616 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC1(C(F)(F)F)CCCC1 ZINC000894811344 619471545 /nfs/dbraw/zinc/47/15/45/619471545.db2.gz MKVCNJBXRPCALT-UHFFFAOYSA-N 0 0 292.261 2.863 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2nc(C)ccc2[N+](=O)[O-])C1 ZINC000223001851 619497904 /nfs/dbraw/zinc/49/79/04/619497904.db2.gz HMYMXLZVCALKSV-VHSXEESVSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1cc(N2Cc3c[nH]nc3[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000894892629 619500717 /nfs/dbraw/zinc/50/07/17/619500717.db2.gz BFNWPMZQXFWZQO-VIFPVBQESA-N 0 0 290.298 2.889 20 5 CFBDRN O=C(Nc1nccs1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134021331 619515759 /nfs/dbraw/zinc/51/57/59/619515759.db2.gz ZVPRJZZWPHAOSX-UHFFFAOYSA-N 0 0 285.231 2.582 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCc1ccc(C(C)(C)C)o1 ZINC000895020052 619528482 /nfs/dbraw/zinc/52/84/82/619528482.db2.gz GJSHQDWVKUVRFV-UHFFFAOYSA-N 0 0 278.312 2.831 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2c3ccccc3OC[C@H]2F)s1 ZINC000895560665 619555786 /nfs/dbraw/zinc/55/57/86/619555786.db2.gz RZWKSARXAQBUEQ-KCJUWKMLSA-N 0 0 295.295 2.935 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCN3CCSC[C@H]23)s1 ZINC000895572117 619556796 /nfs/dbraw/zinc/55/67/96/619556796.db2.gz SKCSIEYESODLPI-NXEZZACHSA-N 0 0 299.421 2.648 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCS[C@H](C(F)(F)F)C2)s1 ZINC000895596998 619566811 /nfs/dbraw/zinc/56/68/11/619566811.db2.gz JYWGVMZVCUODBX-YFKPBYRVSA-N 0 0 299.299 2.535 20 5 CFBDRN CC(C)(C)OC[C@@H]1CCCN1c1cccnc1[N+](=O)[O-] ZINC000895657949 619577326 /nfs/dbraw/zinc/57/73/26/619577326.db2.gz SQDOMNNXOAZYBR-NSHDSACASA-N 0 0 279.340 2.774 20 5 CFBDRN CC(C)(C)OC[C@@H]1CCCN1c1ccc(N)cc1[N+](=O)[O-] ZINC000895660579 619577955 /nfs/dbraw/zinc/57/79/55/619577955.db2.gz OLLSOLCXWAFUGF-LBPRGKRZSA-N 0 0 293.367 2.961 20 5 CFBDRN CC1(C)CC(=O)N(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1=O ZINC000087728539 619579733 /nfs/dbraw/zinc/57/97/33/619579733.db2.gz WYHJZXOWVHYEPF-UHFFFAOYSA-N 0 0 296.710 2.533 20 5 CFBDRN Cc1nsc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000895667572 619581598 /nfs/dbraw/zinc/58/15/98/619581598.db2.gz QWPMNXGNHGYAMI-SSDOTTSWSA-N 0 0 264.310 2.928 20 5 CFBDRN Cn1nccc1[C@H]1CCCN1c1ccsc1[N+](=O)[O-] ZINC000895719654 619590588 /nfs/dbraw/zinc/59/05/88/619590588.db2.gz UMDWSRMJTFPLAY-SNVBAGLBSA-N 0 0 278.337 2.731 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1F ZINC000895720166 619590695 /nfs/dbraw/zinc/59/06/95/619590695.db2.gz VXHVCDCRGQTVJI-AWEZNQCLSA-N 0 0 290.298 2.809 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1CCc2ncncc2C1 ZINC000895724467 619592057 /nfs/dbraw/zinc/59/20/57/619592057.db2.gz RYEPMIGMFFQPAL-UHFFFAOYSA-N 0 0 298.346 2.560 20 5 CFBDRN C[C@@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000895762243 619601733 /nfs/dbraw/zinc/60/17/33/619601733.db2.gz QHIXGAMNIGKQQM-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@@H]1CCN(c2ccnc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000895763128 619602291 /nfs/dbraw/zinc/60/22/91/619602291.db2.gz ZVDPEUXQPMYHIO-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@H](CNc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)(C)C ZINC000895824857 619615668 /nfs/dbraw/zinc/61/56/68/619615668.db2.gz CMPFFBYGNGZLFU-SNVBAGLBSA-N 0 0 295.339 2.770 20 5 CFBDRN C[C@@H](COCC1CCCCC1)Nc1c([N+](=O)[O-])ncn1C ZINC000895833814 619619779 /nfs/dbraw/zinc/61/97/79/619619779.db2.gz PLJLBNWGBALVEB-NSHDSACASA-N 0 0 296.371 2.726 20 5 CFBDRN CC(C)(C)NC(=O)[C@H]1CCCN1c1ccc([N+](=O)[O-])s1 ZINC000895852335 619622996 /nfs/dbraw/zinc/62/29/96/619622996.db2.gz DQWOHMSVYYNCFE-SECBINFHSA-N 0 0 297.380 2.540 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(OC(C)C)CCOC2)c1 ZINC000895870405 619624946 /nfs/dbraw/zinc/62/49/46/619624946.db2.gz YPSHWIUGOHDJKE-HNNXBMFYSA-N 0 0 294.351 2.899 20 5 CFBDRN C[C@H]1COC[C@@H]1Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000895904648 619631192 /nfs/dbraw/zinc/63/11/92/619631192.db2.gz GDBJCWXYZGHWDY-CBAPKCEASA-N 0 0 288.250 2.643 20 5 CFBDRN CC[C@@H](C)NC(=S)Nc1cc([N+](=O)[O-])ccc1OC ZINC000243756473 625360315 /nfs/dbraw/zinc/36/03/15/625360315.db2.gz PZHBZIOVDHKUGT-MRVPVSSYSA-N 0 0 283.353 2.688 20 5 CFBDRN Cc1ccc(CN2CC(OC(C)C)C2)cc1[N+](=O)[O-] ZINC000895952242 619643323 /nfs/dbraw/zinc/64/33/23/619643323.db2.gz KYXOEUPZEHUIIT-UHFFFAOYSA-N 0 0 264.325 2.512 20 5 CFBDRN C[C@H]1CO[C@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)C1 ZINC000895958646 619644785 /nfs/dbraw/zinc/64/47/85/619644785.db2.gz RMWOOYYGVOGIBL-SKDRFNHKSA-N 0 0 289.291 2.666 20 5 CFBDRN Cc1cc(N2CCCC[C@@H]2c2ncccn2)ncc1[N+](=O)[O-] ZINC000895974718 619647272 /nfs/dbraw/zinc/64/72/72/619647272.db2.gz JCSBIUOHXZPFRU-GFCCVEGCSA-N 0 0 299.334 2.820 20 5 CFBDRN Cc1ccc(-c2noc(COC3CC3)n2)cc1[N+](=O)[O-] ZINC000896015205 619655282 /nfs/dbraw/zinc/65/52/82/619655282.db2.gz QQZFFAKDJDQLQO-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc3ccccc3c([N+](=O)[O-])c2N)C1 ZINC000896049744 619660758 /nfs/dbraw/zinc/66/07/58/619660758.db2.gz UJRCOTZWHYDPOP-SNVBAGLBSA-N 0 0 299.330 2.812 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCN2CCc3ccccc32)s1 ZINC000896102924 619671327 /nfs/dbraw/zinc/67/13/27/619671327.db2.gz GQUSUTAZVYQVCG-UHFFFAOYSA-N 0 0 290.348 2.526 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCC1CC2(C1)OCCO2 ZINC000896160005 619684611 /nfs/dbraw/zinc/68/46/11/619684611.db2.gz TWEDVLJMCGIEIS-UHFFFAOYSA-N 0 0 298.726 2.813 20 5 CFBDRN CC1(NC(=S)Nc2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000840702599 619685232 /nfs/dbraw/zinc/68/52/32/619685232.db2.gz FWGFJYRXFZKFDW-UHFFFAOYSA-N 0 0 283.328 2.963 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H]1CCC2(CCOCC2)O1 ZINC000896172645 619686778 /nfs/dbraw/zinc/68/67/78/619686778.db2.gz CKRYEPNPZUKITA-JTQLQIEISA-N 0 0 298.364 2.796 20 5 CFBDRN CCC[C@H](O)CCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000896186085 619690094 /nfs/dbraw/zinc/69/00/94/619690094.db2.gz FIRXNDWBHDCTOK-VIFPVBQESA-N 0 0 273.720 2.606 20 5 CFBDRN CCC[C@@H](O)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000896190985 619691191 /nfs/dbraw/zinc/69/11/91/619691191.db2.gz GQLQCRUUZFYMLH-SNVBAGLBSA-N 0 0 256.277 2.697 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCS[C@@H](C)C1 ZINC000896245693 619700387 /nfs/dbraw/zinc/70/03/87/619700387.db2.gz ABWUCQXRKHGVCA-WCBMZHEXSA-N 0 0 284.385 2.816 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC(F)(C2CC2)CC1 ZINC000896236808 619702129 /nfs/dbraw/zinc/70/21/29/619702129.db2.gz KNZZCUOXMWFWNR-UHFFFAOYSA-N 0 0 295.314 2.717 20 5 CFBDRN CCc1nn(C)c(N2CCC(F)(C3CC3)CC2)c1[N+](=O)[O-] ZINC000896239624 619702607 /nfs/dbraw/zinc/70/26/07/619702607.db2.gz OIEPFYMTJOJULH-UHFFFAOYSA-N 0 0 296.346 2.609 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000896249279 619705175 /nfs/dbraw/zinc/70/51/75/619705175.db2.gz NJXFLQBLQCWSSJ-WPRPVWTQSA-N 0 0 267.354 2.873 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCS[C@H](C)C2)c1[N+](=O)[O-] ZINC000896249395 619705272 /nfs/dbraw/zinc/70/52/72/619705272.db2.gz ZPQSSNLROIBDQP-NXEZZACHSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2F)CCS1 ZINC000896250652 619705451 /nfs/dbraw/zinc/70/54/51/619705451.db2.gz VCAAIRGWKQTSNJ-HTQZYQBOSA-N 0 0 271.317 2.825 20 5 CFBDRN O=C(N[C@H]1CCCc2ccccc2C1)c1ccc([N+](=O)[O-])[nH]1 ZINC000888251622 619710479 /nfs/dbraw/zinc/71/04/79/619710479.db2.gz BAFLLSZDKDUSPK-ZDUSSCGKSA-N 0 0 299.330 2.600 20 5 CFBDRN C[C@@H]1C(=O)CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000896337966 619718911 /nfs/dbraw/zinc/71/89/11/619718911.db2.gz AQQGRHHKWPHVMN-MRVPVSSYSA-N 0 0 268.700 2.806 20 5 CFBDRN C[C@@H]1CCC(=O)CN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000896339817 619719614 /nfs/dbraw/zinc/71/96/14/619719614.db2.gz RDANNXJRUSEWGK-MRVPVSSYSA-N 0 0 291.332 2.762 20 5 CFBDRN CCOc1cc(N2CC[C@H](OCC)C2)ccc1[N+](=O)[O-] ZINC000896406397 619730775 /nfs/dbraw/zinc/73/07/75/619730775.db2.gz FXMKVEPPXYOETB-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN CCO[C@H]1CCN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000896405923 619730847 /nfs/dbraw/zinc/73/08/47/619730847.db2.gz RUKMTCXZZPMLRZ-NSHDSACASA-N 0 0 280.324 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCc3cccnc32)nc1 ZINC000896416272 619732758 /nfs/dbraw/zinc/73/27/58/619732758.db2.gz YBZLMSHOOIIXOT-LBPRGKRZSA-N 0 0 270.292 2.874 20 5 CFBDRN CC(C)[C@H](Nc1ccnc(F)c1[N+](=O)[O-])c1cnn(C)c1 ZINC000896422542 619734711 /nfs/dbraw/zinc/73/47/11/619734711.db2.gz KNBRSRFRAUZWTA-NSHDSACASA-N 0 0 293.302 2.672 20 5 CFBDRN Cc1nc(N[C@H](C2CC2)[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000896438244 619738920 /nfs/dbraw/zinc/73/89/20/619738920.db2.gz QVICSZRREPOGQI-SWLSCSKDSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cc(NCc2cc(C3CC3)nn2C)ncc1[N+](=O)[O-] ZINC000896512419 619747608 /nfs/dbraw/zinc/74/76/08/619747608.db2.gz QVOMHSPYGQLHKB-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN COC[C@@H](CNc1ncc(C)cc1[N+](=O)[O-])C(C)C ZINC000896504216 619752270 /nfs/dbraw/zinc/75/22/70/619752270.db2.gz CVQZQEQEUKAWAP-LLVKDONJSA-N 0 0 267.329 2.629 20 5 CFBDRN COC[C@H](CNc1cc(N)ccc1[N+](=O)[O-])C(C)C ZINC000896505716 619752613 /nfs/dbraw/zinc/75/26/13/619752613.db2.gz PJQHUNDUXORZHU-JTQLQIEISA-N 0 0 267.329 2.508 20 5 CFBDRN Cc1cc(NCc2cc(C3CC3)n(C)n2)ncc1[N+](=O)[O-] ZINC000896536907 619757503 /nfs/dbraw/zinc/75/75/03/619757503.db2.gz RORWICZVDWYSOV-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@]12C[C@H]1CCC2 ZINC000896546067 619759493 /nfs/dbraw/zinc/75/94/93/619759493.db2.gz UNGUEPXTJCHVOK-RNCFNFMXSA-N 0 0 263.297 2.601 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCOC[C@H]1CC1CC1 ZINC000896639069 619781134 /nfs/dbraw/zinc/78/11/34/619781134.db2.gz UGBQYUJNVKUZRL-LLVKDONJSA-N 0 0 297.742 2.649 20 5 CFBDRN Cc1cc(-c2nc(C3CSC3)no2)cc([N+](=O)[O-])c1 ZINC000896721913 619794656 /nfs/dbraw/zinc/79/46/56/619794656.db2.gz SSXLMGFCLMPYII-UHFFFAOYSA-N 0 0 277.305 2.784 20 5 CFBDRN C[C@@H](CNc1cc(CO)ccn1)c1ccccc1[N+](=O)[O-] ZINC000896794620 619820320 /nfs/dbraw/zinc/82/03/20/619820320.db2.gz UDKMLHWCTKWXCI-NSHDSACASA-N 0 0 287.319 2.698 20 5 CFBDRN C[C@]12CN(c3ncc([N+](=O)[O-])cc3F)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000896898217 619869470 /nfs/dbraw/zinc/86/94/70/619869470.db2.gz JSFKODUAJUFKMI-NPZRNVLXSA-N 0 0 289.310 2.777 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCc3ccccc3C2)nc1 ZINC000896976726 619907257 /nfs/dbraw/zinc/90/72/57/619907257.db2.gz DZPIAWHIVIRIKQ-ZDUSSCGKSA-N 0 0 284.319 2.744 20 5 CFBDRN COCC1(c2noc(-c3cc(C)cc([N+](=O)[O-])c3)n2)CC1 ZINC000897041483 619920008 /nfs/dbraw/zinc/92/00/08/619920008.db2.gz NRKNEQNVBRKJFK-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN COc1cccc(NC2CC3(C2)CO[C@@H](C)C3)c1[N+](=O)[O-] ZINC000897054124 619921271 /nfs/dbraw/zinc/92/12/71/619921271.db2.gz YJZQWLADYIEFGA-NLTNOIMHSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1cnc(NC2CC3(C2)CO[C@H](C)C3)c([N+](=O)[O-])c1 ZINC000897051657 619923141 /nfs/dbraw/zinc/92/31/41/619923141.db2.gz HWNHJXZXTOHBOY-CDWSIMAYSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@H](CNC(=O)N1CC[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000897067373 619924526 /nfs/dbraw/zinc/92/45/26/619924526.db2.gz IZIQMBQLRNFVCR-NWDGAFQWSA-N 0 0 291.351 2.750 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=S)NC(C)C ZINC000007522838 619931405 /nfs/dbraw/zinc/93/14/05/619931405.db2.gz PTZLQHAWIPHSBJ-UHFFFAOYSA-N 0 0 253.327 2.598 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000897143519 619940512 /nfs/dbraw/zinc/94/05/12/619940512.db2.gz CODPKGYYFNSZEI-JQWIXIFHSA-N 0 0 270.716 2.862 20 5 CFBDRN CCOc1cccc(N(C)[C@@H]2CC[C@H]2OC)c1[N+](=O)[O-] ZINC000897146999 619941170 /nfs/dbraw/zinc/94/11/70/619941170.db2.gz DVFBBXPVSWBUMZ-ZYHUDNBSSA-N 0 0 280.324 2.607 20 5 CFBDRN CC(C)(C)c1coc(CNc2ncc([N+](=O)[O-])s2)n1 ZINC000897173147 619943247 /nfs/dbraw/zinc/94/32/47/619943247.db2.gz JKANDBQSWZDOAD-UHFFFAOYSA-N 0 0 282.325 2.949 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897177392 619945037 /nfs/dbraw/zinc/94/50/37/619945037.db2.gz OUNVHLGXMADRBG-JMJZKYOTSA-N 0 0 263.297 2.599 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nc(CCCCF)no1 ZINC000897214492 619951538 /nfs/dbraw/zinc/95/15/38/619951538.db2.gz YFZZEZGGKFKPAD-UHFFFAOYSA-N 0 0 294.286 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@]1(C)CCCCO1 ZINC000832494304 625383848 /nfs/dbraw/zinc/38/38/48/625383848.db2.gz FGZXNGXHNGRRAC-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@H]1CC1(C)C ZINC000841357470 619978950 /nfs/dbraw/zinc/97/89/50/619978950.db2.gz APOPFJLRVXYMRO-MNOVXSKESA-N 0 0 270.373 2.763 20 5 CFBDRN C[C@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000018165144 619980074 /nfs/dbraw/zinc/98/00/74/619980074.db2.gz ZJSPWQLBGKEZHR-QMMMGPOBSA-N 0 0 265.338 2.680 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCCC1=CCCC1 ZINC000866930334 619983914 /nfs/dbraw/zinc/98/39/14/619983914.db2.gz OFCVZDITARZJQD-UHFFFAOYSA-N 0 0 292.310 2.893 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCOC12CCCC2 ZINC000897322304 619990373 /nfs/dbraw/zinc/99/03/73/619990373.db2.gz BSSWEWKBISFYCQ-NSHDSACASA-N 0 0 263.297 2.503 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCOC23CCCC3)s1 ZINC000897323108 619993147 /nfs/dbraw/zinc/99/31/47/619993147.db2.gz XOYHLHDEASNKOM-MRVPVSSYSA-N 0 0 269.326 2.565 20 5 CFBDRN Cc1nn(C)cc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000143613942 619997190 /nfs/dbraw/zinc/99/71/90/619997190.db2.gz MTUUJNSELWPGJM-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1SCc1cc(C)on1 ZINC000897334621 619999070 /nfs/dbraw/zinc/99/90/70/619999070.db2.gz XUQVEUUMIBQYLQ-UHFFFAOYSA-N 0 0 282.325 2.708 20 5 CFBDRN C[C@@H](NC(=O)N(C)C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000925234499 620059335 /nfs/dbraw/zinc/05/93/35/620059335.db2.gz MDPMLBRKWWCHTN-SNVBAGLBSA-N 0 0 277.324 2.850 20 5 CFBDRN CC(C)C1(COC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000799671886 620116201 /nfs/dbraw/zinc/11/62/01/620116201.db2.gz UVQVPCMEGPHBBW-UHFFFAOYSA-N 0 0 266.297 2.526 20 5 CFBDRN CCCNC(=S)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000006331351 620140209 /nfs/dbraw/zinc/14/02/09/620140209.db2.gz UDFBQTMVDFPIEA-UHFFFAOYSA-N 0 0 253.327 2.600 20 5 CFBDRN CCC[C@@H]([NH2+][C@H](C)CCc1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000263449711 620146301 /nfs/dbraw/zinc/14/63/01/620146301.db2.gz ZBWIVELKKSRJGA-DGCLKSJQSA-N 0 0 294.351 2.759 20 5 CFBDRN Cc1ccc(N[C@@H]2COC[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000897445461 620173529 /nfs/dbraw/zinc/17/35/29/620173529.db2.gz IGLHOGYZGUCBMF-WCQYABFASA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1cc(N[C@H]2COC[C@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000897450975 620173884 /nfs/dbraw/zinc/17/38/84/620173884.db2.gz LWLAQKHQKVJLOW-GWCFXTLKSA-N 0 0 280.299 2.879 20 5 CFBDRN CCOc1cc(N[C@@H]2COC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897446715 620175009 /nfs/dbraw/zinc/17/50/09/620175009.db2.gz IGZNFMSEDVHRED-QWHCGFSZSA-N 0 0 292.335 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COC[C@@H]2C2CC2)c(F)c1 ZINC000897446224 620175144 /nfs/dbraw/zinc/17/51/44/620175144.db2.gz SBWDZFBPXZITLH-ZWNOBZJWSA-N 0 0 266.272 2.571 20 5 CFBDRN Cc1cc(COc2cc(F)ccc2[N+](=O)[O-])on1 ZINC000070843263 625403947 /nfs/dbraw/zinc/40/39/47/625403947.db2.gz DLLUWZNOVDHVIB-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CSCCS1 ZINC000193304248 620234830 /nfs/dbraw/zinc/23/48/30/620234830.db2.gz GJLNOBFZZSJHDH-NSHDSACASA-N 0 0 299.373 2.657 20 5 CFBDRN COC(=O)C[C@@H]1CC[C@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000897544430 620236984 /nfs/dbraw/zinc/23/69/84/620236984.db2.gz RVHLZUYFGVSXGF-MNOVXSKESA-N 0 0 278.308 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@H]([C@@H]3CCOC3)C2)cn1 ZINC000897561580 620247367 /nfs/dbraw/zinc/24/73/67/620247367.db2.gz HDIZCDPIVJZIAV-QWHCGFSZSA-N 0 0 291.351 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2Cc3ccncc3C2)c1C ZINC000897740288 620281520 /nfs/dbraw/zinc/28/15/20/620281520.db2.gz XSCQKTNVMHSKKB-UHFFFAOYSA-N 0 0 297.314 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2cnccc2C)c1C ZINC000897906154 620303192 /nfs/dbraw/zinc/30/31/92/620303192.db2.gz XFQQGNUEPDPJLH-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC=C(Cl)C1 ZINC000898190124 620350287 /nfs/dbraw/zinc/35/02/87/620350287.db2.gz NIOPGDOEBKGKTR-UHFFFAOYSA-N 0 0 272.713 2.625 20 5 CFBDRN Cc1c(OCc2cnc(Cl)cn2)cccc1[N+](=O)[O-] ZINC000231290134 620375256 /nfs/dbraw/zinc/37/52/56/620375256.db2.gz HNZLUHANXRCKAP-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)c1 ZINC000889596298 620410518 /nfs/dbraw/zinc/41/05/18/620410518.db2.gz ISNFIIOPQQQJIV-NQBHXWOUSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1c(NC(=O)c2ccnc(F)c2)cccc1[N+](=O)[O-] ZINC000041039301 620427123 /nfs/dbraw/zinc/42/71/23/620427123.db2.gz ZGASEPPOJAZEES-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H]1CCCN(c2ccccc2OCC[N+](=O)[O-])C1 ZINC000899231529 620533662 /nfs/dbraw/zinc/53/36/62/620533662.db2.gz QUSLNIAFSGMDHL-GFCCVEGCSA-N 0 0 264.325 2.578 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000899418621 620562160 /nfs/dbraw/zinc/56/21/60/620562160.db2.gz XOYBBHMQYMSSQB-PSJXJDHFSA-N 0 0 292.310 2.756 20 5 CFBDRN CC(C)NC(=S)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621545 620586836 /nfs/dbraw/zinc/58/68/36/620586836.db2.gz NWKUJESXPUQHNJ-JTQLQIEISA-N 0 0 281.381 2.571 20 5 CFBDRN CN(CCCF)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000899816933 620604210 /nfs/dbraw/zinc/60/42/10/620604210.db2.gz IDLNDUMMFDBBQB-UHFFFAOYSA-N 0 0 297.330 2.605 20 5 CFBDRN O=[N+]([O-])CCNCc1ccccc1OCc1ccccc1 ZINC000899870101 620607262 /nfs/dbraw/zinc/60/72/62/620607262.db2.gz DMILCVAZWQNAFJ-UHFFFAOYSA-N 0 0 286.331 2.632 20 5 CFBDRN CCc1nc2ccccc2c(SCC[N+](=O)[O-])n1 ZINC000899890898 620608774 /nfs/dbraw/zinc/60/87/74/620608774.db2.gz JFWTXNKIVNSEGZ-UHFFFAOYSA-N 0 0 263.322 2.561 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCN2C(C)(C)C)cc1[N+](=O)[O-] ZINC000899910551 620611962 /nfs/dbraw/zinc/61/19/62/620611962.db2.gz HLRKYGSFIDESCU-GFCCVEGCSA-N 0 0 291.351 2.715 20 5 CFBDRN O=[N+]([O-])CCSc1cccc(Br)c1 ZINC000901486947 620843122 /nfs/dbraw/zinc/84/31/22/620843122.db2.gz IFTVICBPAIEOTB-UHFFFAOYSA-N 0 0 262.128 2.818 20 5 CFBDRN COC/C(C)=C\COc1cccc(C)c1[N+](=O)[O-] ZINC000901528140 620846116 /nfs/dbraw/zinc/84/61/16/620846116.db2.gz JAMLYKSOYDLYQA-YFHOEESVSA-N 0 0 251.282 2.875 20 5 CFBDRN CC(C)[C@@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000901518462 620854207 /nfs/dbraw/zinc/85/42/07/620854207.db2.gz QDOMDIVACIYEII-RISCZKNCSA-N 0 0 292.335 2.985 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@]12CCO[C@H]1CCCC2 ZINC000901519008 620854829 /nfs/dbraw/zinc/85/48/29/620854829.db2.gz VXBHBWTVICQEEN-DZGCQCFKSA-N 0 0 290.319 2.883 20 5 CFBDRN COC/C(C)=C\COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000901519127 620855037 /nfs/dbraw/zinc/85/50/37/620855037.db2.gz DVHMICCCUIHARG-POHAHGRESA-N 0 0 279.292 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CSC3COC3)co2)cc1 ZINC000901635084 620876423 /nfs/dbraw/zinc/87/64/23/620876423.db2.gz PYHCUHJBEYBYJG-UHFFFAOYSA-N 0 0 292.316 2.882 20 5 CFBDRN CCOC(=O)COc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000901655451 620883925 /nfs/dbraw/zinc/88/39/25/620883925.db2.gz SXOSRLGBICIFFX-UHFFFAOYSA-N 0 0 293.197 2.556 20 5 CFBDRN Cc1ccc(C[NH2+]C(C)(C)CCC(=O)[O-])cc1[N+](=O)[O-] ZINC000901663465 620885682 /nfs/dbraw/zinc/88/56/82/620885682.db2.gz BEWUQFCDSLBRNM-UHFFFAOYSA-N 0 0 280.324 2.636 20 5 CFBDRN CCCCOCCOc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000901700754 620898557 /nfs/dbraw/zinc/89/85/57/620898557.db2.gz VNPSKPDXGJDJQJ-UHFFFAOYSA-N 0 0 297.307 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSCCO[C@H]2CCOC2)cc1 ZINC000901837156 620925537 /nfs/dbraw/zinc/92/55/37/620925537.db2.gz QDNNUWAFHNKJOM-AWEZNQCLSA-N 0 0 297.376 2.676 20 5 CFBDRN CC(C)[C@](C)(CC(=O)[O-])[NH2+]Cc1csc([N+](=O)[O-])c1 ZINC000901818160 620926924 /nfs/dbraw/zinc/92/69/24/620926924.db2.gz PNNMSAKSJBAPQY-LBPRGKRZSA-N 0 0 286.353 2.635 20 5 CFBDRN CC(C)[C@@](C)(CC(=O)[O-])[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000901818439 620927351 /nfs/dbraw/zinc/92/73/51/620927351.db2.gz HXTQFQLMACAULH-CQSZACIVSA-N 0 0 280.324 2.574 20 5 CFBDRN Cc1nnc(CCSc2ccc([N+](=O)[O-])cc2)o1 ZINC000901894043 620931962 /nfs/dbraw/zinc/93/19/62/620931962.db2.gz MHTSLZZUXGNQKE-UHFFFAOYSA-N 0 0 265.294 2.621 20 5 CFBDRN Cc1nnc(CCSCCc2ccc([N+](=O)[O-])cc2)o1 ZINC000901892979 620932272 /nfs/dbraw/zinc/93/22/72/620932272.db2.gz SYVHQYQHOXVNIJ-UHFFFAOYSA-N 0 0 293.348 2.805 20 5 CFBDRN Cc1c(C[NH2+][C@](C)(CC(=O)[O-])C2CC2)cccc1[N+](=O)[O-] ZINC000901944976 620941591 /nfs/dbraw/zinc/94/15/91/620941591.db2.gz FJYQHSWDUUYCNG-OAHLLOKOSA-N 0 0 292.335 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NC[C@@H]2C[C@@]23CCOC3)c1 ZINC000901969787 620947590 /nfs/dbraw/zinc/94/75/90/620947590.db2.gz OKMBFEMMRNYYSF-TVQRCGJNSA-N 0 0 266.272 2.572 20 5 CFBDRN Cc1cc(C)c(NCc2cccnc2[N+](=O)[O-])cc1CO ZINC000902038523 620961329 /nfs/dbraw/zinc/96/13/29/620961329.db2.gz HVXHXKPZQWJUHB-UHFFFAOYSA-N 0 0 287.319 2.711 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC[C@H]1C[C@]12CCOC2 ZINC000902057557 620962744 /nfs/dbraw/zinc/96/27/44/620962744.db2.gz OCFLFNNLRDFQLQ-DOMZBBRYSA-N 0 0 276.336 2.996 20 5 CFBDRN CCOc1cc(CNc2cnn(CC)c2)ccc1[N+](=O)[O-] ZINC000902071220 620967854 /nfs/dbraw/zinc/96/78/54/620967854.db2.gz WEFZJWXEBYDVJF-UHFFFAOYSA-N 0 0 290.323 2.822 20 5 CFBDRN COc1cccc(Cl)c1NCc1cn(C)nc1[N+](=O)[O-] ZINC000902104686 620974729 /nfs/dbraw/zinc/97/47/29/620974729.db2.gz CMKBRLRDQXDEIM-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN C[C@H]1[C@H]([NH2+]Cc2ccccc2[N+](=O)[O-])CC[C@H]1CC(=O)[O-] ZINC000902097746 620978697 /nfs/dbraw/zinc/97/86/97/620978697.db2.gz YREHHTOUPWWQED-NTZNESFSSA-N 0 0 292.335 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(C[C@H]3C[C@@]34CCOC4)c2)cc1 ZINC000902236963 621004294 /nfs/dbraw/zinc/00/42/94/621004294.db2.gz ARLOXKROQIZFFI-GDBMZVCRSA-N 0 0 299.330 2.885 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cnc(CC3CC3)nc2)cc1 ZINC000902261288 621009023 /nfs/dbraw/zinc/00/90/23/621009023.db2.gz NJAVHNLHZGNURQ-UHFFFAOYSA-N 0 0 284.319 2.949 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSCc1nncs1 ZINC000902303535 621027277 /nfs/dbraw/zinc/02/72/77/621027277.db2.gz DGEMZLJSBYFSMJ-UHFFFAOYSA-N 0 0 297.361 2.759 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCCc1cncn1C ZINC000902309140 621028881 /nfs/dbraw/zinc/02/88/81/621028881.db2.gz JEPIUROLQLHPLX-UHFFFAOYSA-N 0 0 275.308 2.648 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H]1C[C@@]12CCOC2 ZINC000902309592 621029285 /nfs/dbraw/zinc/02/92/85/621029285.db2.gz QWAIADGQDFIRMD-BXUZGUMPSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1cc(OC[C@H]2C[C@]23CCOC3)c(F)cc1[N+](=O)[O-] ZINC000902341165 621032425 /nfs/dbraw/zinc/03/24/25/621032425.db2.gz BGLAUCWTBWAXEE-YGRLFVJLSA-N 0 0 281.283 2.848 20 5 CFBDRN COc1cc(COc2ccc(OC)c([N+](=O)[O-])c2)sn1 ZINC000902354841 621049848 /nfs/dbraw/zinc/04/98/48/621049848.db2.gz PBEDPIYRWIDFID-UHFFFAOYSA-N 0 0 296.304 2.648 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2C[C@@H]3[C@H](C2)C3(F)F)cc1CO ZINC000902360440 621052401 /nfs/dbraw/zinc/05/24/01/621052401.db2.gz UKXBBIBJKDDFNN-ZSPDNNCOSA-N 0 0 299.273 2.757 20 5 CFBDRN COC1(CCSCc2cn(C)nc2[N+](=O)[O-])CCC1 ZINC000902397155 621064806 /nfs/dbraw/zinc/06/48/06/621064806.db2.gz KTTBNUABNIOHQS-UHFFFAOYSA-N 0 0 285.369 2.521 20 5 CFBDRN Cn1cc(CSC[C@H]2CCC(C)(C)CO2)c([N+](=O)[O-])n1 ZINC000902436676 621079927 /nfs/dbraw/zinc/07/99/27/621079927.db2.gz RZJCBACISIMQFA-LLVKDONJSA-N 0 0 299.396 2.767 20 5 CFBDRN CC[C@H](NCc1csc(N)n1)c1cccc([N+](=O)[O-])c1 ZINC000902487716 621112673 /nfs/dbraw/zinc/11/26/73/621112673.db2.gz CZBQJKCTSTULNZ-LBPRGKRZSA-N 0 0 292.364 2.874 20 5 CFBDRN CCc1c(C)on(Cc2ccc(C)c([N+](=O)[O-])c2)c1=O ZINC000902579214 621129877 /nfs/dbraw/zinc/12/98/77/621129877.db2.gz JQQQKFHTJSTEKT-UHFFFAOYSA-N 0 0 276.292 2.577 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OC[C@@H]1C[C@@]12CCOC2 ZINC000902696893 621149303 /nfs/dbraw/zinc/14/93/03/621149303.db2.gz KQWIJJSEBDOYDO-ISVAXAHUSA-N 0 0 285.246 2.678 20 5 CFBDRN Cc1c(OC(=O)[C@@H](C)[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000902722894 621157620 /nfs/dbraw/zinc/15/76/20/621157620.db2.gz NVYFQASCIMVXQP-JQWIXIFHSA-N 0 0 279.292 2.624 20 5 CFBDRN COc1cccc(OCc2onc(C)c2[N+](=O)[O-])c1C ZINC000902794568 621178965 /nfs/dbraw/zinc/17/89/65/621178965.db2.gz JYMGBJSJNUBFKT-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN COc1cc([C@@H](C)Nc2cnn(C)c2C)cc([N+](=O)[O-])c1 ZINC000903141347 621266796 /nfs/dbraw/zinc/26/67/96/621266796.db2.gz OFBWHFOAGUSZBZ-SECBINFHSA-N 0 0 290.323 2.818 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000903317407 621330860 /nfs/dbraw/zinc/33/08/60/621330860.db2.gz KGVYCNGPMIOETJ-JFUSQASVSA-N 0 0 289.291 2.783 20 5 CFBDRN CC[C@H](C)[C@@H](C(=O)[O-])[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000903595801 621404322 /nfs/dbraw/zinc/40/43/22/621404322.db2.gz OQSUTGAVINYVLN-GWCFXTLKSA-N 0 0 280.324 2.526 20 5 CFBDRN CC(=O)c1ccc(N(C)Cc2cscn2)c([N+](=O)[O-])c1 ZINC000042804972 621477089 /nfs/dbraw/zinc/47/70/89/621477089.db2.gz HHIQNYJANOSXQS-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN Cc1ccc(C[N@H+]2CC[C@@H](C(=O)[O-])C[C@H]2C)cc1[N+](=O)[O-] ZINC000903939695 621481736 /nfs/dbraw/zinc/48/17/36/621481736.db2.gz XXYDACIJPBWMTK-DGCLKSJQSA-N 0 0 292.335 2.588 20 5 CFBDRN CC1(CCc2nc(-c3ccc([N+](=O)[O-])o3)no2)CC1 ZINC000904085857 621519485 /nfs/dbraw/zinc/51/94/85/621519485.db2.gz FHKSWLJSOKJYMF-UHFFFAOYSA-N 0 0 263.253 2.971 20 5 CFBDRN O=[N+]([O-])c1cc(-c2nc(-c3cccc(Cl)c3)no2)[nH]n1 ZINC000904289039 621565116 /nfs/dbraw/zinc/56/51/16/621565116.db2.gz NPBXEZMYNOTXHN-UHFFFAOYSA-N 0 0 291.654 2.688 20 5 CFBDRN Cc1noc(-c2cc3ccccc3c([N+](=O)[O-])c2N)n1 ZINC000904578186 621607804 /nfs/dbraw/zinc/60/78/04/621607804.db2.gz BKANICXIKDSXLZ-UHFFFAOYSA-N 0 0 270.248 2.689 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc(-c3ccncc3)no2)c1 ZINC000904580738 621608801 /nfs/dbraw/zinc/60/88/01/621608801.db2.gz OKXWFIFESIELDO-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN COC[C@H]1CCC[N@H+](Cc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000832740174 625503935 /nfs/dbraw/zinc/50/39/35/625503935.db2.gz TWRYBXDNFQYMHM-LBPRGKRZSA-N 0 0 296.342 2.982 20 5 CFBDRN Cc1csc(-c2cc([N+](=O)[O-])ccc2CCO)n1 ZINC000904814487 621646402 /nfs/dbraw/zinc/64/64/02/621646402.db2.gz YCYLJRRGPNQLST-UHFFFAOYSA-N 0 0 264.306 2.562 20 5 CFBDRN COC[C@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000832744524 625505518 /nfs/dbraw/zinc/50/55/18/625505518.db2.gz DWZQMRCWPQKWQI-LBPRGKRZSA-N 0 0 296.342 2.982 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000832752546 625506467 /nfs/dbraw/zinc/50/64/67/625506467.db2.gz YDPJGSGKDZGICV-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)[C@@H]1CCCO1 ZINC000905032311 621683491 /nfs/dbraw/zinc/68/34/91/621683491.db2.gz HHDYTUQGCXYYSL-MFKMUULPSA-N 0 0 278.308 2.657 20 5 CFBDRN CCO[C@H]1[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2C)[C@@H]1C ZINC000905084048 621691967 /nfs/dbraw/zinc/69/19/67/621691967.db2.gz KKEPPGSSIQNRRA-ZWKOPEQDSA-N 0 0 278.308 2.513 20 5 CFBDRN COc1ccccc1[C@@H](NCC[N+](=O)[O-])c1ccccc1 ZINC000905101361 621699689 /nfs/dbraw/zinc/69/96/89/621699689.db2.gz KBHFDJSKHSZPIB-INIZCTEOSA-N 0 0 286.331 2.651 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H](C)[C@H]1CCCO1 ZINC000905186371 621713063 /nfs/dbraw/zinc/71/30/63/621713063.db2.gz AJDBAUNZJHKBBG-BXKDBHETSA-N 0 0 296.298 2.796 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H]1C[C@]12CCOC2 ZINC000905237535 621732079 /nfs/dbraw/zinc/73/20/79/621732079.db2.gz WGNCHRDIYLDTIE-RISCZKNCSA-N 0 0 263.293 2.709 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nnc(C3CCC3)o2)c(F)c1 ZINC000905429009 621770218 /nfs/dbraw/zinc/77/02/18/621770218.db2.gz QZJIEDQDTXEYOS-UHFFFAOYSA-N 0 0 292.270 2.997 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2COc3ccccc3C2)nc1 ZINC000026758524 621775574 /nfs/dbraw/zinc/77/55/74/621775574.db2.gz ZDBDTHGJKLCWPB-NSHDSACASA-N 0 0 285.303 2.653 20 5 CFBDRN C[C@@H](C[NH2+]C1CC(C)(C(=O)[O-])C1)c1ccccc1[N+](=O)[O-] ZINC000905772980 621860502 /nfs/dbraw/zinc/86/05/02/621860502.db2.gz DCNHBJBAOBQIFC-NLTNOIMHSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1c(C[NH2+][C@@H]2CC[C@H](CC(=O)[O-])C2)cccc1[N+](=O)[O-] ZINC000905814279 621875334 /nfs/dbraw/zinc/87/53/34/621875334.db2.gz HAPWWDNGJDBTLW-WCQYABFASA-N 0 0 292.335 2.636 20 5 CFBDRN CC(C)(CCC(=O)NC1(C(F)F)CCCC1)[N+](=O)[O-] ZINC000832834871 625531119 /nfs/dbraw/zinc/53/11/19/625531119.db2.gz QLEUPPWFDWEPGU-UHFFFAOYSA-N 0 0 278.299 2.516 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000906117667 621933390 /nfs/dbraw/zinc/93/33/90/621933390.db2.gz CDNSZQWHHSZOEL-IINYFYTJSA-N 0 0 292.291 2.754 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000906246502 621958952 /nfs/dbraw/zinc/95/89/52/621958952.db2.gz OSRQOSMQAZLPGQ-SECBINFHSA-N 0 0 298.314 2.586 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])s1)C1(C(F)(F)F)CC1 ZINC000906228899 621961819 /nfs/dbraw/zinc/96/18/19/621961819.db2.gz RCRMSOHIYBJGGF-UHFFFAOYSA-N 0 0 294.254 2.823 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N=[S@@](C)(=O)C(C)(C)C)c1 ZINC000906326588 621974693 /nfs/dbraw/zinc/97/46/93/621974693.db2.gz XAQQUIKBQLNAEM-FQEVSTJZSA-N 0 0 298.364 2.940 20 5 CFBDRN O=C(NCc1cscn1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149216251 622034549 /nfs/dbraw/zinc/03/45/49/622034549.db2.gz WFBNPTIBWLKNDF-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN O=C(c1cc(Cl)c(F)c([N+](=O)[O-])c1)N1CCCCO1 ZINC000907634677 622144623 /nfs/dbraw/zinc/14/46/23/622144623.db2.gz IREKOFVWKXNJTK-UHFFFAOYSA-N 0 0 288.662 2.555 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000907818834 622164488 /nfs/dbraw/zinc/16/44/88/622164488.db2.gz UIRQZFZWZBBIQE-JDICNCQASA-N 0 0 298.701 2.916 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000907818833 622164491 /nfs/dbraw/zinc/16/44/91/622164491.db2.gz UIRQZFZWZBBIQE-IJBDUVHLSA-N 0 0 298.701 2.916 20 5 CFBDRN CC/C=C/CNC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000908672736 622262725 /nfs/dbraw/zinc/26/27/25/622262725.db2.gz DEFMNMKBBLJBIQ-ONEGZZNKSA-N 0 0 283.715 2.526 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000908701015 622265796 /nfs/dbraw/zinc/26/57/96/622265796.db2.gz TTXUEHCIRUEBRR-GDNZZTSVSA-N 0 0 293.319 2.955 20 5 CFBDRN COC/C(C)=C/COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000909000339 622297243 /nfs/dbraw/zinc/29/72/43/622297243.db2.gz YSCMVSZRPFLULU-RMKNXTFCSA-N 0 0 299.710 2.998 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000909082911 622307731 /nfs/dbraw/zinc/30/77/31/622307731.db2.gz GRCAMVUTYJNNLJ-UHFFFAOYSA-N 0 0 284.337 2.536 20 5 CFBDRN CO[C@@H](C)CCOC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000174815390 622381689 /nfs/dbraw/zinc/38/16/89/622381689.db2.gz GSTBSQCGBVFCRM-VIFPVBQESA-N 0 0 299.348 2.899 20 5 CFBDRN CCOCCCOC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026933 622387972 /nfs/dbraw/zinc/38/79/72/622387972.db2.gz GJSCKZIITXQSPA-KBPBESRZSA-N 0 0 293.319 2.668 20 5 CFBDRN C/C=C\COC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026947 622388066 /nfs/dbraw/zinc/38/80/66/622388066.db2.gz GRQZKEGAOILXOT-LLGAQUFESA-N 0 0 261.277 2.818 20 5 CFBDRN O=C(OCc1ccncc1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027346 622388291 /nfs/dbraw/zinc/38/82/91/622388291.db2.gz JWVUADPVASVEOH-LSDHHAIUSA-N 0 0 298.298 2.837 20 5 CFBDRN CC[C@H](NC(=O)Cc1ccccc1[N+](=O)[O-])c1ccccn1 ZINC000910362995 622435678 /nfs/dbraw/zinc/43/56/78/622435678.db2.gz BHCUYUGDLFLOLM-ZDUSSCGKSA-N 0 0 299.330 2.800 20 5 CFBDRN CC[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000911137633 622507404 /nfs/dbraw/zinc/50/74/04/622507404.db2.gz NDTJTRDQLFSAEU-CQSZACIVSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@]1(OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCOC1 ZINC000802905486 622527182 /nfs/dbraw/zinc/52/71/82/622527182.db2.gz RIQJMRGCKYBJBS-LBPRGKRZSA-N 0 0 285.683 2.584 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000911730219 622544332 /nfs/dbraw/zinc/54/43/32/622544332.db2.gz ICPFUDGUGMZSIG-UHFFFAOYSA-N 0 0 298.390 2.612 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CCC=C(Cl)C1 ZINC000911844252 622563347 /nfs/dbraw/zinc/56/33/47/622563347.db2.gz SPVYIDJCVBKDCD-UHFFFAOYSA-N 0 0 298.701 2.631 20 5 CFBDRN O=C(Nc1cc(C(F)F)ccc1Cl)[C@@H]1CC1[N+](=O)[O-] ZINC000840398871 622565904 /nfs/dbraw/zinc/56/59/04/622565904.db2.gz IDSLTUCUYBGIGX-HZGVNTEJSA-N 0 0 290.653 2.881 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000819915643 622570253 /nfs/dbraw/zinc/57/02/53/622570253.db2.gz BFSDBSGDVZLDSZ-UHFFFAOYSA-N 0 0 285.303 2.667 20 5 CFBDRN CC1(C)C(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1(C)C ZINC000819916105 622570340 /nfs/dbraw/zinc/57/03/40/622570340.db2.gz HKUDZWCQCLFEMR-UHFFFAOYSA-N 0 0 277.324 2.798 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(N[C@@H]2CC23CC3)cc1Cl ZINC000819942302 622578886 /nfs/dbraw/zinc/57/88/86/622578886.db2.gz YSCQQWWIMLFCPT-LLVKDONJSA-N 0 0 296.710 2.999 20 5 CFBDRN Cc1ccc(OC(=O)C[C@H](C)n2cccn2)c([N+](=O)[O-])c1 ZINC000819946766 622579653 /nfs/dbraw/zinc/57/96/53/622579653.db2.gz QKLLWNUWUCGMQG-NSHDSACASA-N 0 0 289.291 2.656 20 5 CFBDRN CN(C[C@@](C)(O)c1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000819951687 622582126 /nfs/dbraw/zinc/58/21/26/622582126.db2.gz YXCDJUHIIMCKOY-MRXNPFEDSA-N 0 0 286.331 2.939 20 5 CFBDRN O=C(/C=C\[C@@H]1CCCO1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000819963574 622586125 /nfs/dbraw/zinc/58/61/25/622586125.db2.gz FCWFEEDZVKNXOS-RNZFLTOJSA-N 0 0 295.266 2.512 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000820127855 622615516 /nfs/dbraw/zinc/61/55/16/622615516.db2.gz UEIBOWQCQKEANR-QMMMGPOBSA-N 0 0 253.254 2.923 20 5 CFBDRN CC[C@H](C)CONC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000820278392 622640815 /nfs/dbraw/zinc/64/08/15/622640815.db2.gz BGIXFNPXUFDGDH-JTQLQIEISA-N 0 0 298.314 2.760 20 5 CFBDRN Cc1ccc(OC(=O)/C=C\[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000820382519 622659878 /nfs/dbraw/zinc/65/98/78/622659878.db2.gz ZUCIXTSOXIKUPF-JMEBYUIHSA-N 0 0 277.276 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1C[C@@H](C)[C@H](C)C1 ZINC000820408892 622664710 /nfs/dbraw/zinc/66/47/10/622664710.db2.gz GORFECMPZZJUTL-GHMZBOCLSA-N 0 0 276.336 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCC[C@@H]1C ZINC000820418887 622668572 /nfs/dbraw/zinc/66/85/72/622668572.db2.gz XIYBKHWIMMCVCO-JTQLQIEISA-N 0 0 262.309 2.836 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])c(C2CC2)n1)c1ccsc1 ZINC000912330348 622681511 /nfs/dbraw/zinc/68/15/11/622681511.db2.gz GXDRFHDUTZMJIM-UHFFFAOYSA-N 0 0 277.305 2.613 20 5 CFBDRN CCc1[nH]nc(C(=O)O[C@@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000820538482 622698099 /nfs/dbraw/zinc/69/80/99/622698099.db2.gz IEFJGNFNTZGHLU-MRVPVSSYSA-N 0 0 281.312 2.616 20 5 CFBDRN O=C1C[C@H](Nc2c(Cl)cc([N+](=O)[O-])cc2Cl)CO1 ZINC000820580640 622707523 /nfs/dbraw/zinc/70/75/23/622707523.db2.gz AHHSTMPYWDUKJT-YFKPBYRVSA-N 0 0 291.090 2.629 20 5 CFBDRN C=C=CCNc1ncc(Br)cc1[N+](=O)[O-] ZINC000820586221 622708996 /nfs/dbraw/zinc/70/89/96/622708996.db2.gz GZSNLGZHRSJQQS-UHFFFAOYSA-N 0 0 270.086 2.505 20 5 CFBDRN CC/C=C/COC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000072007603 625588366 /nfs/dbraw/zinc/58/83/66/625588366.db2.gz ACJGBCGUZNIRFI-SNAWJCMRSA-N 0 0 265.265 2.726 20 5 CFBDRN Cc1ncoc1CNc1ccc([N+](=O)[O-])cc1F ZINC000820591440 622709943 /nfs/dbraw/zinc/70/99/43/622709943.db2.gz KXXCHQPWTRDCMH-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN COC(=O)CC1(CNc2ccc([N+](=O)[O-])nc2)CCCC1 ZINC000912459007 622713691 /nfs/dbraw/zinc/71/36/91/622713691.db2.gz NWORBUJSMGDPEX-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CC[C@H](C2CC2)C1 ZINC000867105698 625588627 /nfs/dbraw/zinc/58/86/27/625588627.db2.gz SMLKGRPLBRZZOL-LBPRGKRZSA-N 0 0 292.310 2.535 20 5 CFBDRN C[C@@H](F)CCNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000820624293 622719630 /nfs/dbraw/zinc/71/96/30/622719630.db2.gz GZZQQMAHSBTENM-SECBINFHSA-N 0 0 286.278 2.531 20 5 CFBDRN CCOC1CC(COC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000802946564 622720163 /nfs/dbraw/zinc/72/01/63/622720163.db2.gz UVXLQIUZPWNNBK-UHFFFAOYSA-N 0 0 297.282 2.706 20 5 CFBDRN COc1cc(N2C[C@H](C)OC(C)(C)C2)c([N+](=O)[O-])cc1C ZINC000820641142 622723217 /nfs/dbraw/zinc/72/32/17/622723217.db2.gz ZKEZQAWXGPSEMN-NSHDSACASA-N 0 0 294.351 2.916 20 5 CFBDRN Cn1c(C(=O)N2CC=CC23CCCCC3)ccc1[N+](=O)[O-] ZINC000912479328 622726532 /nfs/dbraw/zinc/72/65/32/622726532.db2.gz HVYJEKVGZPWXOV-UHFFFAOYSA-N 0 0 289.335 2.648 20 5 CFBDRN COC[C@H]1CCCN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000820665408 622732271 /nfs/dbraw/zinc/73/22/71/622732271.db2.gz YAYWTXCDGCWACW-LBPRGKRZSA-N 0 0 294.351 2.775 20 5 CFBDRN CSC[C@H](C)NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000150751276 622737609 /nfs/dbraw/zinc/73/76/09/622737609.db2.gz PUUFQWFVYZHSKW-QMMMGPOBSA-N 0 0 286.328 2.524 20 5 CFBDRN CC(F)(F)C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000912653241 622754796 /nfs/dbraw/zinc/75/47/96/622754796.db2.gz QPFPGYIJOGDOSI-UHFFFAOYSA-N 0 0 284.262 2.919 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923964211 622760868 /nfs/dbraw/zinc/76/08/68/622760868.db2.gz QQAFATRQBNKZGI-SECBINFHSA-N 0 0 297.282 2.577 20 5 CFBDRN O=c1ccc2ccc(Oc3ccc([N+](=O)[O-])nc3)cc2o1 ZINC000912728427 622770000 /nfs/dbraw/zinc/77/00/00/622770000.db2.gz FNFYYOBYOMZMHV-UHFFFAOYSA-N 0 0 284.227 2.889 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCCC2CC2)c1[N+](=O)[O-] ZINC000150963143 622789837 /nfs/dbraw/zinc/78/98/37/622789837.db2.gz RUUGGXSWKUDXCM-UHFFFAOYSA-N 0 0 266.272 2.572 20 5 CFBDRN Cc1ncoc1-c1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000820931576 622796679 /nfs/dbraw/zinc/79/66/79/622796679.db2.gz BXSIGCQOBVFVTM-UHFFFAOYSA-N 0 0 290.210 2.747 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])N1CC2(CC2)C1 ZINC000820952906 622804322 /nfs/dbraw/zinc/80/43/22/622804322.db2.gz UPDCTDZFPNVJPS-UHFFFAOYSA-N 0 0 294.738 2.803 20 5 CFBDRN CCC1(NC(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000821105373 622847014 /nfs/dbraw/zinc/84/70/14/622847014.db2.gz LGPZOBGACOPEPA-STQMWFEESA-N 0 0 274.320 2.757 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C1CC1 ZINC000821149955 622855705 /nfs/dbraw/zinc/85/57/05/622855705.db2.gz STQONWBXAYAJCU-RBSFLKMASA-N 0 0 286.331 2.709 20 5 CFBDRN CCCCO[C@@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151222024 622865897 /nfs/dbraw/zinc/86/58/97/622865897.db2.gz JXHRFOBKQINOAA-LBPRGKRZSA-N 0 0 295.335 2.886 20 5 CFBDRN CC(C)Oc1ccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])c(Cl)c1 ZINC000840429860 622872078 /nfs/dbraw/zinc/87/20/78/622872078.db2.gz HKDDZQYUAKOURP-BXKDBHETSA-N 0 0 298.726 2.731 20 5 CFBDRN C[C@@H](OC(=O)[C@H](C)n1cccn1)c1cccc([N+](=O)[O-])c1 ZINC000913330801 622877995 /nfs/dbraw/zinc/87/79/95/622877995.db2.gz DFCWXKOUUIMATN-WDEREUQCSA-N 0 0 289.291 2.657 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913384693 622891216 /nfs/dbraw/zinc/89/12/16/622891216.db2.gz BVPISRHAASYGKQ-XPUUQOCRSA-N 0 0 286.690 2.660 20 5 CFBDRN O=C(NCc1cc(F)c(Cl)c([N+](=O)[O-])c1)[C@@H]1CC12CC2 ZINC000913386170 622892113 /nfs/dbraw/zinc/89/21/13/622892113.db2.gz PHCCVAMUUODLQI-QMMMGPOBSA-N 0 0 298.701 2.804 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC[C@H]1C1CC1 ZINC000834319138 625604080 /nfs/dbraw/zinc/60/40/80/625604080.db2.gz QUKQHZGPLSRYDS-NSHDSACASA-N 0 0 280.711 2.873 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])C(F)=C1CCC1 ZINC000821376354 622908790 /nfs/dbraw/zinc/90/87/90/622908790.db2.gz XJEFQZTYJOCNQV-UHFFFAOYSA-N 0 0 295.266 2.924 20 5 CFBDRN O=C(/C=C\[C@H]1CCCO1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000821409421 622917062 /nfs/dbraw/zinc/91/70/62/622917062.db2.gz GAYAMJORMNEPAG-JYESYGNLSA-N 0 0 295.266 2.512 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC2(C)CCC2)c1[N+](=O)[O-] ZINC000151486431 622917775 /nfs/dbraw/zinc/91/77/75/622917775.db2.gz JEQBZSNCAIBDDU-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN Cc1c(COC(=O)/C=C\[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000821416358 622918721 /nfs/dbraw/zinc/91/87/21/622918721.db2.gz JDYJCUDPJKJICP-MEJMFZKBSA-N 0 0 291.303 2.682 20 5 CFBDRN C/C(=C/C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000055098354 622932968 /nfs/dbraw/zinc/93/29/68/622932968.db2.gz JKMDQZLQNJLUML-NTMALXAHSA-N 0 0 272.304 2.840 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CCO[C@H](C)C1 ZINC000821496620 622933891 /nfs/dbraw/zinc/93/38/91/622933891.db2.gz FFNHNSOZBJWWDV-ZYHUDNBSSA-N 0 0 292.335 2.509 20 5 CFBDRN Cc1ccc(NC(=O)N2C[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000821508298 622936602 /nfs/dbraw/zinc/93/66/02/622936602.db2.gz TVZSHDOUIQBDTN-NXEZZACHSA-N 0 0 263.297 2.775 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000055478401 622950874 /nfs/dbraw/zinc/95/08/74/622950874.db2.gz MFLIGERAVGXDAH-GHMZBOCLSA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1ccc(C(=O)Nc2cnnc(Cl)c2)cc1[N+](=O)[O-] ZINC000821659665 622959987 /nfs/dbraw/zinc/95/99/87/622959987.db2.gz NBRJVXUXDZEFRJ-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1nn(CCC(=O)O[C@@H]2CCC2(C)C)c(C)c1[N+](=O)[O-] ZINC000821842419 622990859 /nfs/dbraw/zinc/99/08/59/622990859.db2.gz PIHZCGRWAVDJLC-LLVKDONJSA-N 0 0 295.339 2.530 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@]1(C)C[C@]1(F)Cl)[N+](=O)[O-] ZINC000821914810 623006884 /nfs/dbraw/zinc/00/68/84/623006884.db2.gz NLKUUNDYFRIRAA-GHMZBOCLSA-N 0 0 281.711 2.680 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)OC1CCCC1 ZINC000058028587 623027991 /nfs/dbraw/zinc/02/79/91/623027991.db2.gz GYVWXAXNFWUMPV-UHFFFAOYSA-N 0 0 283.255 2.599 20 5 CFBDRN Cc1c(C(=O)OC[C@]2(C)C[C@@H]2F)cccc1[N+](=O)[O-] ZINC000822081285 623030135 /nfs/dbraw/zinc/03/01/35/623030135.db2.gz YCSDVPAYSZVYOQ-AAEUAGOBSA-N 0 0 267.256 2.808 20 5 CFBDRN CCOc1ccc(C(=O)OC[C@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000822080611 623030813 /nfs/dbraw/zinc/03/08/13/623030813.db2.gz VCOQENCQUMLMKH-JSGCOSHPSA-N 0 0 297.282 2.898 20 5 CFBDRN COc1cc(C(=O)OC[C@]2(C)C[C@H]2F)ccc1[N+](=O)[O-] ZINC000822112578 623039810 /nfs/dbraw/zinc/03/98/10/623039810.db2.gz SEHFSOUVJXEANA-YPMHNXCESA-N 0 0 283.255 2.508 20 5 CFBDRN Cc1nc(COC(=O)c2csc([N+](=O)[O-])c2)oc1C ZINC000058312892 623043850 /nfs/dbraw/zinc/04/38/50/623043850.db2.gz YZHXPCOCQLQKTM-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN COc1cc(C(=O)OC[C@@]2(C)C[C@H]2F)cc([N+](=O)[O-])c1C ZINC000822142045 623048805 /nfs/dbraw/zinc/04/88/05/623048805.db2.gz ZOQRFBJMGIOQMY-TZMCWYRMSA-N 0 0 297.282 2.817 20 5 CFBDRN CCCCC[C@H](O)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000822188717 623057538 /nfs/dbraw/zinc/05/75/38/623057538.db2.gz JPADOPGBALWWPE-ZDUSSCGKSA-N 0 0 280.324 2.783 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000226217223 623062355 /nfs/dbraw/zinc/06/23/55/623062355.db2.gz XQOPUBNWBHGWTL-GBIKHYSHSA-N 0 0 291.351 2.732 20 5 CFBDRN CC1(C)C[C@H]1CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000226234952 623063047 /nfs/dbraw/zinc/06/30/47/623063047.db2.gz RKHXFFXZAHKCQW-ZETCQYMHSA-N 0 0 262.269 2.589 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C(F)(F)F ZINC000822250299 623063229 /nfs/dbraw/zinc/06/32/29/623063229.db2.gz HMNIITCWYZRICA-RKDXNWHRSA-N 0 0 275.182 2.699 20 5 CFBDRN O=C(Nc1ccc(F)nc1)c1cc([N+](=O)[O-])ccc1F ZINC000226328795 623067642 /nfs/dbraw/zinc/06/76/42/623067642.db2.gz QPFQZMIPKQNQRV-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC(C)(C)c1nc[nH]c1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822304423 623075918 /nfs/dbraw/zinc/07/59/18/623075918.db2.gz NEVMAIMCYTVNFH-UHFFFAOYSA-N 0 0 297.355 2.586 20 5 CFBDRN CC1(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])CC1 ZINC000226818938 623090318 /nfs/dbraw/zinc/09/03/18/623090318.db2.gz TUFFQEFROUDLPA-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822394342 623095206 /nfs/dbraw/zinc/09/52/06/623095206.db2.gz OAXDKSLIJZFJCP-LLVKDONJSA-N 0 0 258.362 2.907 20 5 CFBDRN CCc1ccc2c(Cn3cc([N+](=O)[O-])cn3)cc(=O)oc2c1 ZINC000074251656 623108253 /nfs/dbraw/zinc/10/82/53/623108253.db2.gz PMCXOBDDKUGGLH-UHFFFAOYSA-N 0 0 299.286 2.508 20 5 CFBDRN CC1(CNc2ccc(Cl)c([N+](=O)[O-])c2)COC1 ZINC000227522720 623111821 /nfs/dbraw/zinc/11/18/21/623111821.db2.gz RMKNBEXSBGMEBU-UHFFFAOYSA-N 0 0 256.689 2.697 20 5 CFBDRN C[C@H](C1CC1)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1CC1 ZINC000822474707 623111837 /nfs/dbraw/zinc/11/18/37/623111837.db2.gz RYBWERPFJNOHAW-SNVBAGLBSA-N 0 0 268.357 2.611 20 5 CFBDRN CSC(C)(C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000822671202 623148787 /nfs/dbraw/zinc/14/87/87/623148787.db2.gz FFGZJHCEXLBNFR-SECBINFHSA-N 0 0 282.365 2.914 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCC1(O)CCCCC1 ZINC000087458472 623160657 /nfs/dbraw/zinc/16/06/57/623160657.db2.gz RDGDAENGKLYTRO-UHFFFAOYSA-N 0 0 251.282 2.669 20 5 CFBDRN O=C(/C=C/[C@H]1CCCO1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000822739165 623164281 /nfs/dbraw/zinc/16/42/81/623164281.db2.gz AFZXGUPKXDUWCY-MPJRPATESA-N 0 0 298.245 2.547 20 5 CFBDRN Cc1occc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000060944161 623168054 /nfs/dbraw/zinc/16/80/54/623168054.db2.gz RUCLYYFHSYGJKW-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822763132 623169407 /nfs/dbraw/zinc/16/94/07/623169407.db2.gz QQBMHBFHXLKZGQ-RYUDHWBXSA-N 0 0 270.373 2.764 20 5 CFBDRN COc1ccc(OC(=O)c2cccnc2C)c([N+](=O)[O-])c1 ZINC000170986922 623197494 /nfs/dbraw/zinc/19/74/94/623197494.db2.gz BWVCSZOUHCRINC-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CCC[C@H](CC)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000822990729 623216062 /nfs/dbraw/zinc/21/60/62/623216062.db2.gz DQYDOHDOVIVQPN-VIFPVBQESA-N 0 0 265.313 2.942 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC(C2CC2)C1 ZINC000823062957 623226466 /nfs/dbraw/zinc/22/64/66/623226466.db2.gz NDVHVJOEUCBLSY-UHFFFAOYSA-N 0 0 274.320 2.694 20 5 CFBDRN O=C(Nc1ccc(Cl)nc1)c1csc([N+](=O)[O-])c1 ZINC000171530731 623228457 /nfs/dbraw/zinc/22/84/57/623228457.db2.gz VBNVORRYGDCQPM-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN COCC1CCN(Cc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000171832209 623244846 /nfs/dbraw/zinc/24/48/46/623244846.db2.gz VFBPPXSBXZJLHP-UHFFFAOYSA-N 0 0 282.315 2.592 20 5 CFBDRN COCc1cccc(OC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000171877862 623245586 /nfs/dbraw/zinc/24/55/86/623245586.db2.gz JEUHDRXPJPCCJD-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])CC2 ZINC000823157600 623248281 /nfs/dbraw/zinc/24/82/81/623248281.db2.gz VHXNKSSWJJKLJB-AWEZNQCLSA-N 0 0 290.363 2.934 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])N[C@@H]1CC12CC2 ZINC000823220785 623266015 /nfs/dbraw/zinc/26/60/15/623266015.db2.gz JGCNBZDYBRQKAU-GFCCVEGCSA-N 0 0 294.738 2.850 20 5 CFBDRN CCC[C@@H](CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C)OC ZINC000823242025 623274557 /nfs/dbraw/zinc/27/45/57/623274557.db2.gz FNPZMEJPPAMIFY-LBPRGKRZSA-N 0 0 294.351 2.757 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@H](C)C1 ZINC000823319243 623292043 /nfs/dbraw/zinc/29/20/43/623292043.db2.gz DISTZDDOMWPLSA-UTUOFQBUSA-N 0 0 270.373 2.763 20 5 CFBDRN O=[N+]([O-])c1cc(NCCOCC(F)(F)F)ccc1F ZINC000172849504 623312203 /nfs/dbraw/zinc/31/22/03/623312203.db2.gz FBAYEMLPFMSXAK-UHFFFAOYSA-N 0 0 282.193 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000063100637 623322632 /nfs/dbraw/zinc/32/26/32/623322632.db2.gz HFYQHFBNKCMXEN-UHFFFAOYSA-N 0 0 279.174 2.867 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCC(C)C)c1 ZINC000063236266 623334111 /nfs/dbraw/zinc/33/41/11/623334111.db2.gz IPEGCSSCCBKGDY-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@H]1CC[C@H](C)O1 ZINC000823505273 623336290 /nfs/dbraw/zinc/33/62/90/623336290.db2.gz VIADKAYZARMPIA-CMPLNLGQSA-N 0 0 292.335 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cnc(Cl)cn2)cc1 ZINC000231289042 623337092 /nfs/dbraw/zinc/33/70/92/623337092.db2.gz SCOXPZILJWGEPN-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN CC[C@@]1(C)CCCN(Cn2nc(C)c([N+](=O)[O-])c2C)C1 ZINC000173422837 623347991 /nfs/dbraw/zinc/34/79/91/623347991.db2.gz DIULUBMAAJARRK-AWEZNQCLSA-N 0 0 280.372 2.878 20 5 CFBDRN COCC[C@H](C)CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000823570199 623348182 /nfs/dbraw/zinc/34/81/82/623348182.db2.gz HVIKXGXAGHGJPQ-JTQLQIEISA-N 0 0 294.351 2.614 20 5 CFBDRN C[C@H](COC(=O)Cc1cc2cc(Cl)ccc2[nH]1)[N+](=O)[O-] ZINC000842363152 623356541 /nfs/dbraw/zinc/35/65/41/623356541.db2.gz YXPUNHKSFOQDNW-MRVPVSSYSA-N 0 0 296.710 2.572 20 5 CFBDRN C[C@@H](COC(=O)Cc1cc2cc(Cl)ccc2[nH]1)[N+](=O)[O-] ZINC000842363153 623357690 /nfs/dbraw/zinc/35/76/90/623357690.db2.gz YXPUNHKSFOQDNW-QMMMGPOBSA-N 0 0 296.710 2.572 20 5 CFBDRN Cc1nc(NC(=O)C(F)=C2CCC2)ccc1[N+](=O)[O-] ZINC000823612724 623360963 /nfs/dbraw/zinc/36/09/63/623360963.db2.gz MSFAARBCZOHFEP-UHFFFAOYSA-N 0 0 265.244 2.644 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(Cl)cc1OCCCO ZINC000231650685 623364127 /nfs/dbraw/zinc/36/41/27/623364127.db2.gz WHXUANKTAGSUGM-UHFFFAOYSA-N 0 0 266.080 2.663 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NCC(C)C)cc1[N+](=O)[O-] ZINC000823671330 623374715 /nfs/dbraw/zinc/37/47/15/623374715.db2.gz LSISJNVZKQQQAS-UHFFFAOYSA-N 0 0 270.716 2.942 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)/C=C\[C@H]1CCCO1 ZINC000823814466 623399906 /nfs/dbraw/zinc/39/99/06/623399906.db2.gz KDVFMZOOGCTYPH-ISGFRBBESA-N 0 0 294.282 2.716 20 5 CFBDRN O=C(/C=C/[C@@H]1CCCO1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000823814743 623399991 /nfs/dbraw/zinc/39/99/91/623399991.db2.gz PEAVMXLDTCLSQW-UCUJLANTSA-N 0 0 296.710 2.922 20 5 CFBDRN CSCCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000174264208 623403255 /nfs/dbraw/zinc/40/32/55/623403255.db2.gz LDIPBRBEUNRZMA-VMPITWQZSA-N 0 0 281.333 2.904 20 5 CFBDRN CCCn1cc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)cn1 ZINC000174284264 623406223 /nfs/dbraw/zinc/40/62/23/623406223.db2.gz OZUOUUCKEXTORY-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN Nc1ccc(NC(=O)NC2CC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000823921306 623419577 /nfs/dbraw/zinc/41/95/77/623419577.db2.gz RPZMMVXXYZHBKD-UHFFFAOYSA-N 0 0 290.323 2.631 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823945433 623423839 /nfs/dbraw/zinc/42/38/39/623423839.db2.gz PIXRWZPKQFKREL-ZJUUUORDSA-N 0 0 292.339 2.735 20 5 CFBDRN CC(C)=CCCNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823955259 623425547 /nfs/dbraw/zinc/42/55/47/623425547.db2.gz FVEJIUGRFOTMOF-UHFFFAOYSA-N 0 0 278.312 2.655 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823980486 623429024 /nfs/dbraw/zinc/42/90/24/623429024.db2.gz NRWBICSPAVJTNE-SNVBAGLBSA-N 0 0 292.339 2.687 20 5 CFBDRN CN(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCCCC1 ZINC000824009034 623431466 /nfs/dbraw/zinc/43/14/66/623431466.db2.gz ZYLPNEJFHRTPNL-UHFFFAOYSA-N 0 0 292.339 2.973 20 5 CFBDRN C[C@H](NC(=O)NOCC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000824081908 623447936 /nfs/dbraw/zinc/44/79/36/623447936.db2.gz SGENYXXLGUVLSW-JTQLQIEISA-N 0 0 293.323 2.687 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1C[C@H]2[C@@H](C1)C2(F)F ZINC000824253049 623477395 /nfs/dbraw/zinc/47/73/95/623477395.db2.gz JLPZMJXLXWMDBD-AOOOYVTPSA-N 0 0 296.273 2.549 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCOC(C)(C)C ZINC000064149646 623495508 /nfs/dbraw/zinc/49/55/08/623495508.db2.gz UGPCSRWZWOWFLP-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929707702 623519318 /nfs/dbraw/zinc/51/93/18/623519318.db2.gz NKKZRYUJDZKQEQ-VCTAVGKDSA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N(C)CC(F)F)c1F ZINC000312577051 623531567 /nfs/dbraw/zinc/53/15/67/623531567.db2.gz PDBDJHVNEUNGHK-UHFFFAOYSA-N 0 0 294.204 2.519 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000824625639 623534106 /nfs/dbraw/zinc/53/41/06/623534106.db2.gz MNTIWKZEGDHKAD-RISCZKNCSA-N 0 0 294.351 2.713 20 5 CFBDRN CC(C)(C)c1nc(COc2cc(F)ccc2[N+](=O)[O-])no1 ZINC000076421997 623545996 /nfs/dbraw/zinc/54/59/96/623545996.db2.gz VNTVKNJSLMMUHA-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN Cc1ccc(OS(=O)(=O)CCC(C)C)cc1[N+](=O)[O-] ZINC000151698744 623557448 /nfs/dbraw/zinc/55/74/48/623557448.db2.gz MXONGMCSEPQAIQ-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000913807072 623595546 /nfs/dbraw/zinc/59/55/46/623595546.db2.gz ROBLMHPAQLSCTB-JTNHKYCSSA-N 0 0 292.335 2.587 20 5 CFBDRN CC(C)[C@@H](C)NC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000913860347 623625302 /nfs/dbraw/zinc/62/53/02/623625302.db2.gz IXVCRZQHFTXLFG-GHMZBOCLSA-N 0 0 279.340 3.000 20 5 CFBDRN Cn1ccnc1S/C=C\c1ccc([N+](=O)[O-])o1 ZINC000825191894 623647755 /nfs/dbraw/zinc/64/77/55/623647755.db2.gz MHJBKYBUJDORDO-DAXSKMNVSA-N 0 0 251.267 2.684 20 5 CFBDRN CC(C)(F)CCNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000825257340 623659388 /nfs/dbraw/zinc/65/93/88/623659388.db2.gz BWAOLJJDCLLZGT-QWHCGFSZSA-N 0 0 294.326 2.953 20 5 CFBDRN CC(C)CN(C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000825554648 623715058 /nfs/dbraw/zinc/71/50/58/623715058.db2.gz SZALAWGTHSALCO-AWEZNQCLSA-N 0 0 294.351 2.521 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CC[C@@H]2C[C@@H]2C1 ZINC000825606590 623722580 /nfs/dbraw/zinc/72/25/80/623722580.db2.gz WMYAPLGDPJUIFJ-ZYHUDNBSSA-N 0 0 292.310 2.535 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000825633133 623727559 /nfs/dbraw/zinc/72/75/59/623727559.db2.gz BGMSPRGWUCAXDE-IGHBBLSQSA-N 0 0 288.347 2.812 20 5 CFBDRN CCc1[nH]ccc1C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825640498 623728408 /nfs/dbraw/zinc/72/84/08/623728408.db2.gz HACRNEYPURMDKQ-UHFFFAOYSA-N 0 0 287.319 2.724 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000825640440 623728701 /nfs/dbraw/zinc/72/87/01/623728701.db2.gz FCKBQSXJTPNQQX-GFCCVEGCSA-N 0 0 294.376 2.663 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)c2ccncc2)cc1[N+](=O)[O-] ZINC000825641238 623729035 /nfs/dbraw/zinc/72/90/35/623729035.db2.gz SQTOEPYIJGMFAI-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000825648431 623730301 /nfs/dbraw/zinc/73/03/01/623730301.db2.gz RVCZXKPYHJELRY-IMRBUKKESA-N 0 0 274.320 2.566 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)OCC(C)C)cc1[N+](=O)[O-] ZINC000825654727 623730893 /nfs/dbraw/zinc/73/08/93/623730893.db2.gz BSJQFWSOKRXORU-GFCCVEGCSA-N 0 0 294.351 2.581 20 5 CFBDRN CC/C=C(/C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825655476 623730988 /nfs/dbraw/zinc/73/09/88/623730988.db2.gz PGBUQAOGZYASHE-WZUFQYTHSA-N 0 0 262.309 2.876 20 5 CFBDRN O=C(NCc1ccncc1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152542531 623732798 /nfs/dbraw/zinc/73/27/98/623732798.db2.gz AZOCMXXOFYPIKN-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NCC1=CCCC1 ZINC000825661132 623733452 /nfs/dbraw/zinc/73/34/52/623733452.db2.gz KFAXYGYIIIRRDD-UHFFFAOYSA-N 0 0 292.310 2.893 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152563887 623735760 /nfs/dbraw/zinc/73/57/60/623735760.db2.gz LYJPCPDIYJERAX-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])NCCCCC1CC1 ZINC000825695984 623741753 /nfs/dbraw/zinc/74/17/53/623741753.db2.gz QFADACQMRWFASU-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)c2ccc(F)cc2)cc1 ZINC000152616780 623745351 /nfs/dbraw/zinc/74/53/51/623745351.db2.gz KSNSEAABKFCNMF-AWEZNQCLSA-N 0 0 277.251 2.846 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCCCCCCO)CCCC2 ZINC000825907548 623768232 /nfs/dbraw/zinc/76/82/32/623768232.db2.gz XSYANEKXOKXPKJ-UHFFFAOYSA-N 0 0 293.367 2.833 20 5 CFBDRN CC(C)[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccn1 ZINC000825963847 623776618 /nfs/dbraw/zinc/77/66/18/623776618.db2.gz JJCYZIZUFXEAOA-AWEZNQCLSA-N 0 0 293.367 2.730 20 5 CFBDRN C[C@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])Cc1cccs1 ZINC000826086527 623792084 /nfs/dbraw/zinc/79/20/84/623792084.db2.gz WJYVVURJKVLWAM-NSHDSACASA-N 0 0 298.408 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(C)(CC(F)F)C2)n1 ZINC000826593469 623821191 /nfs/dbraw/zinc/82/11/91/623821191.db2.gz TYSKEVNRDNUMPJ-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN C[C@H]1C[C@H](Nc2nc3ccc([N+](=O)[O-])cc3s2)CO1 ZINC000826784496 623875762 /nfs/dbraw/zinc/87/57/62/623875762.db2.gz PRIFPIPRQLNBMW-YUMQZZPRSA-N 0 0 279.321 2.794 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000762145299 623877905 /nfs/dbraw/zinc/87/79/05/623877905.db2.gz IGCQNKSBCQHUAD-SNVBAGLBSA-N 0 0 281.333 2.813 20 5 CFBDRN COCCC1CN(Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000826899204 623905088 /nfs/dbraw/zinc/90/50/88/623905088.db2.gz GAKXJJSEPBUKDI-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN Cc1cccc(C)c1CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827028343 623926290 /nfs/dbraw/zinc/92/62/90/623926290.db2.gz YAYAYJKICJUEPG-UHFFFAOYSA-N 0 0 278.352 2.755 20 5 CFBDRN O=C(NCC1CC=CC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000827078409 623936963 /nfs/dbraw/zinc/93/69/63/623936963.db2.gz MRTRCRRAMVVCDX-GJZGRUSLSA-N 0 0 286.331 2.781 20 5 CFBDRN Cc1ccc(CCNc2ccc([N+](=O)[O-])c3nonc32)o1 ZINC000915212820 623997573 /nfs/dbraw/zinc/99/75/73/623997573.db2.gz DNVZSQBBBMUEJY-UHFFFAOYSA-N 0 0 288.263 2.687 20 5 CFBDRN CC[C@H](C)OCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000153532774 624007851 /nfs/dbraw/zinc/00/78/51/624007851.db2.gz VHJDSVMFMDVKIF-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)NCCF ZINC000888281212 624069149 /nfs/dbraw/zinc/06/91/49/624069149.db2.gz QKPDXUXWMHAYFQ-UHFFFAOYSA-N 0 0 275.667 2.648 20 5 CFBDRN CCC[C@H](C(=O)OCCn1c(C)ncc1[N+](=O)[O-])C(C)C ZINC000153647336 624079477 /nfs/dbraw/zinc/07/94/77/624079477.db2.gz FDRSHIWQBIYPLE-LBPRGKRZSA-N 0 0 297.355 2.715 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H]1CC[C@@H](C2CC2)O1 ZINC000915587982 624080317 /nfs/dbraw/zinc/08/03/17/624080317.db2.gz LWEJFKJIIRPNOO-OLZOCXBDSA-N 0 0 293.319 2.940 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1ccc(F)c(Cl)c1 ZINC000915658643 624090735 /nfs/dbraw/zinc/09/07/35/624090735.db2.gz BTXGAEASSDWBJJ-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN CC/C(C)=C\C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000827786957 624092371 /nfs/dbraw/zinc/09/23/71/624092371.db2.gz FTKNFOYDBXDOJX-LUAWRHEFSA-N 0 0 274.320 2.836 20 5 CFBDRN Cc1cc(C)n([C@H](C)C(=O)Oc2cccc([N+](=O)[O-])c2)n1 ZINC000194192350 624141466 /nfs/dbraw/zinc/14/14/66/624141466.db2.gz APVZVTILSGWVTI-LLVKDONJSA-N 0 0 289.291 2.575 20 5 CFBDRN Cc1nc(COC(=O)c2csc([N+](=O)[O-])c2)cs1 ZINC000916044530 624216855 /nfs/dbraw/zinc/21/68/55/624216855.db2.gz LYVLJGVHPRXHGE-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN Cc1ccc(OCc2noc3c2COCC3)c([N+](=O)[O-])c1 ZINC000916203532 624228508 /nfs/dbraw/zinc/22/85/08/624228508.db2.gz WCYAKGLGERVLDK-UHFFFAOYSA-N 0 0 290.275 2.543 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000916523207 624250980 /nfs/dbraw/zinc/25/09/80/624250980.db2.gz QKJAJSGBVJEQPB-UWVGGRQHSA-N 0 0 297.332 2.596 20 5 CFBDRN CCc1nc(C)c(COc2ccc(C=O)cc2[N+](=O)[O-])o1 ZINC000828663812 624284268 /nfs/dbraw/zinc/28/42/68/624284268.db2.gz PJFIRDNMBJMSAZ-UHFFFAOYSA-N 0 0 290.275 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)c2ccc(F)cc2)cc1F ZINC000154185958 624285423 /nfs/dbraw/zinc/28/54/23/624285423.db2.gz IKJLJXYZEDTEJJ-AWEZNQCLSA-N 0 0 295.241 2.985 20 5 CFBDRN Nc1ccc(NC(=O)c2c(F)cccc2F)cc1[N+](=O)[O-] ZINC000916827398 624286154 /nfs/dbraw/zinc/28/61/54/624286154.db2.gz UYGUVHCHKKQJLG-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CN(Cc1ccc(Cl)nc1)c1cccnc1[N+](=O)[O-] ZINC000917043699 624301582 /nfs/dbraw/zinc/30/15/82/624301582.db2.gz NRBFDPWLAYZMAR-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN Cc1c(OC(=O)c2cccnc2)cccc1[N+](=O)[O-] ZINC000917048256 624301602 /nfs/dbraw/zinc/30/16/02/624301602.db2.gz SZBWLRFEXSUCDW-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN COC(=O)/C=C\c1ccc(NC[C@@H]2C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000917067339 624306020 /nfs/dbraw/zinc/30/60/20/624306020.db2.gz APUNQFOTQQCMJG-IHZVSJIRSA-N 0 0 290.319 2.849 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccnc(F)c1 ZINC000154313070 624323130 /nfs/dbraw/zinc/32/31/30/624323130.db2.gz XGWMIWVLFNEGIY-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN CCCc1nnc(SCc2cccnc2[N+](=O)[O-])o1 ZINC000917233342 624326278 /nfs/dbraw/zinc/32/62/78/624326278.db2.gz FCHPEDXRWJSSAN-UHFFFAOYSA-N 0 0 280.309 2.618 20 5 CFBDRN CO[C@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000828903764 624326683 /nfs/dbraw/zinc/32/66/83/624326683.db2.gz JQCMNCYKVZFAND-UFBFGSQYSA-N 0 0 286.278 2.734 20 5 CFBDRN CCCSCC(=O)OCc1cc([N+](=O)[O-])ccc1OC ZINC000917334098 624331174 /nfs/dbraw/zinc/33/11/74/624331174.db2.gz INBXFENZFHHZTF-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN CCCSCC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000917334691 624331387 /nfs/dbraw/zinc/33/13/87/624331387.db2.gz PIFUNCPCLUJUPU-UHFFFAOYSA-N 0 0 269.322 2.781 20 5 CFBDRN C/C(=C\C(=O)OCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000917338434 624332170 /nfs/dbraw/zinc/33/21/70/624332170.db2.gz NMCFHFPDLPCRIW-CSKARUKUSA-N 0 0 261.277 2.994 20 5 CFBDRN CC(F)(F)CCCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000917321892 624332842 /nfs/dbraw/zinc/33/28/42/624332842.db2.gz URJLVDKQQDIHEB-UHFFFAOYSA-N 0 0 280.658 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(SC2CCSCC2)nc1 ZINC000917346820 624333925 /nfs/dbraw/zinc/33/39/25/624333925.db2.gz GUCRBWIGBYKHBU-UHFFFAOYSA-N 0 0 256.352 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@H]2CCC3(COC3)O2)cc1 ZINC000917350794 624334746 /nfs/dbraw/zinc/33/47/46/624334746.db2.gz MWISUXOGASEHLY-CYBMUJFWSA-N 0 0 295.360 2.776 20 5 CFBDRN CC(=O)OCCOc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000917373132 624336391 /nfs/dbraw/zinc/33/63/91/624336391.db2.gz ILXGFVPFJYOOTK-UHFFFAOYSA-N 0 0 293.197 2.556 20 5 CFBDRN CCC(F)(F)c1ccccc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000828996245 624350894 /nfs/dbraw/zinc/35/08/94/624350894.db2.gz CFRPKLKWDWBZAT-LDYMZIIASA-N 0 0 284.262 2.792 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC[C@]1(C)CC1(Cl)Cl ZINC000829059823 624363798 /nfs/dbraw/zinc/36/37/98/624363798.db2.gz ZDJQBBGMFDXRRY-SECBINFHSA-N 0 0 293.154 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)CC[C@@H]2C[C@H]2C2CC2)cc1 ZINC000917611583 624371898 /nfs/dbraw/zinc/37/18/98/624371898.db2.gz QHGGQLNGZGSESU-RISCZKNCSA-N 0 0 295.360 2.805 20 5 CFBDRN O=C1CC[C@H](COc2ccc([N+](=O)[O-])c(Cl)c2)CCN1 ZINC000829108691 624374218 /nfs/dbraw/zinc/37/42/18/624374218.db2.gz YLOOBCIQHDHPFN-VIFPVBQESA-N 0 0 298.726 2.543 20 5 CFBDRN C[C@H]1C[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])c2nccn21 ZINC000829126622 624378711 /nfs/dbraw/zinc/37/87/11/624378711.db2.gz IKNPOVDGZPGRMH-VHSXEESVSA-N 0 0 298.306 2.557 20 5 CFBDRN CC(C)CC(=O)OCOc1cc([N+](=O)[O-])c(F)cc1F ZINC000917829615 624389491 /nfs/dbraw/zinc/38/94/91/624389491.db2.gz TWTCMWQRZWOMAE-UHFFFAOYSA-N 0 0 289.234 2.799 20 5 CFBDRN CCc1nc(CNc2ncc(Cl)cc2[N+](=O)[O-])co1 ZINC000829184237 624393725 /nfs/dbraw/zinc/39/37/25/624393725.db2.gz YIMOQTYVIKPYJA-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2CC[C@H](C3CC3)O2)c1 ZINC000917914214 624394980 /nfs/dbraw/zinc/39/49/80/624394980.db2.gz JBGMCSZMOMQFIS-GXTWGEPZSA-N 0 0 293.319 2.940 20 5 CFBDRN CCc1nc(CNc2cc([N+](=O)[O-])ccc2C(C)=O)co1 ZINC000829190222 624395004 /nfs/dbraw/zinc/39/50/04/624395004.db2.gz GPCCFCLFXRZSME-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN CCc1ncc(CNc2cc(OC)cc(F)c2[N+](=O)[O-])o1 ZINC000829213295 624401686 /nfs/dbraw/zinc/40/16/86/624401686.db2.gz UMJOAPVYKXCOMF-UHFFFAOYSA-N 0 0 295.270 2.905 20 5 CFBDRN C[C@@H](NC(=O)N1CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000917999455 624406255 /nfs/dbraw/zinc/40/62/55/624406255.db2.gz FOBUMPZIGWWKNW-LLVKDONJSA-N 0 0 277.324 2.851 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000918049955 624410044 /nfs/dbraw/zinc/41/00/44/624410044.db2.gz VZJNOHSMLREXJD-MNOVXSKESA-N 0 0 272.308 2.899 20 5 CFBDRN Cc1ccc(N2CCC[C@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000829327532 624418747 /nfs/dbraw/zinc/41/87/47/624418747.db2.gz KYHQWSQZPLKHJG-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN C[C@H](Cc1ncc[nH]1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000829404309 624431246 /nfs/dbraw/zinc/43/12/46/624431246.db2.gz ZTSBWFNUAWRCGA-SECBINFHSA-N 0 0 279.271 2.605 20 5 CFBDRN Nc1ccc(N2CCC[C@@]3(CCSC3)C2)c([N+](=O)[O-])c1 ZINC000829453325 624436800 /nfs/dbraw/zinc/43/68/00/624436800.db2.gz BGMPHCORYPIKSU-CQSZACIVSA-N 0 0 293.392 2.901 20 5 CFBDRN CC/C=C/COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000918503141 624441056 /nfs/dbraw/zinc/44/10/56/624441056.db2.gz ODRWUYMZLOPXMY-ZZXKWVIFSA-N 0 0 278.308 2.906 20 5 CFBDRN O=C(OCCOC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000918517179 624446281 /nfs/dbraw/zinc/44/62/81/624446281.db2.gz GAEXEGZAWOSPOQ-UHFFFAOYSA-N 0 0 279.292 2.711 20 5 CFBDRN COc1cc(OCc2oc(C)nc2C)ccc1[N+](=O)[O-] ZINC000829524619 624451243 /nfs/dbraw/zinc/45/12/43/624451243.db2.gz QGXDECFAUPZLSW-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN COc1cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1F ZINC000829576945 624458514 /nfs/dbraw/zinc/45/85/14/624458514.db2.gz HKQMBVPWMBXIHI-UHFFFAOYSA-N 0 0 284.287 2.608 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)cc1C ZINC000918658755 624459210 /nfs/dbraw/zinc/45/92/10/624459210.db2.gz QPUNHUFJHIFUMS-UHFFFAOYSA-N 0 0 273.292 2.816 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000918932249 624511964 /nfs/dbraw/zinc/51/19/64/624511964.db2.gz JZRDQNGVBONHSZ-MRVPVSSYSA-N 0 0 255.245 2.823 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCc1cc(F)ccc1F ZINC000919025027 624516368 /nfs/dbraw/zinc/51/63/68/624516368.db2.gz SFVLLZXMTQOSKR-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN CC(C)=CCOC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000919220540 624540351 /nfs/dbraw/zinc/54/03/51/624540351.db2.gz QAMSGIAYUNXZDD-UHFFFAOYSA-N 0 0 280.236 2.626 20 5 CFBDRN CCC(F)(F)COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000851489817 634393614 /nfs/dbraw/zinc/39/36/14/634393614.db2.gz XBINVXCPSPZODT-UHFFFAOYSA-N 0 0 289.234 2.562 20 5 CFBDRN C[C@@H](Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccccc1 ZINC000829842667 624564597 /nfs/dbraw/zinc/56/45/97/624564597.db2.gz PMKCCBKJYPWQAB-VIFPVBQESA-N 0 0 276.252 2.503 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000194898804 624565582 /nfs/dbraw/zinc/56/55/82/624565582.db2.gz PTTRGAFEFQECPQ-JTQLQIEISA-N 0 0 295.295 2.812 20 5 CFBDRN Cc1c(COC(=O)C2(C(F)F)CC2)cccc1[N+](=O)[O-] ZINC000830014156 624599552 /nfs/dbraw/zinc/59/95/52/624599552.db2.gz VCJABCDCSBSZAI-UHFFFAOYSA-N 0 0 285.246 2.992 20 5 CFBDRN Cc1ccc(SCCn2cc([N+](=O)[O-])cn2)s1 ZINC000830051879 624611557 /nfs/dbraw/zinc/61/15/57/624611557.db2.gz FYRJLJMXFSAKGW-UHFFFAOYSA-N 0 0 269.351 2.954 20 5 CFBDRN CSc1ccc(C(=O)OCc2ccno2)cc1[N+](=O)[O-] ZINC000919932892 624643290 /nfs/dbraw/zinc/64/32/90/624643290.db2.gz LXEVXJFSRFADEI-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000830200349 624666742 /nfs/dbraw/zinc/66/67/42/624666742.db2.gz FHEZYDBKCGNNRD-IJLUTSLNSA-N 0 0 270.373 2.715 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000920125826 624683665 /nfs/dbraw/zinc/68/36/65/624683665.db2.gz GGOZOECCLPEJPV-SECBINFHSA-N 0 0 266.297 2.616 20 5 CFBDRN CCC/C(C)=C/C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000920221534 624700934 /nfs/dbraw/zinc/70/09/34/624700934.db2.gz IQVRECXCKTXVFC-PKNBQFBNSA-N 0 0 262.309 2.957 20 5 CFBDRN COCCCn1nc(-c2cccc([N+](=O)[O-])c2)nc1Cl ZINC000920412968 624719377 /nfs/dbraw/zinc/71/93/77/624719377.db2.gz WANUTGHJVNIIET-UHFFFAOYSA-N 0 0 296.714 2.543 20 5 CFBDRN Cc1nn(C[C@H]2CC2(Cl)Cl)c(C)c1[N+](=O)[O-] ZINC000921163307 624813618 /nfs/dbraw/zinc/81/36/18/624813618.db2.gz OVCFWAFFXODIJN-SSDOTTSWSA-N 0 0 264.112 2.602 20 5 CFBDRN CCCCSCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000921288599 624835829 /nfs/dbraw/zinc/83/58/29/624835829.db2.gz POVOVVPJHHPETH-UHFFFAOYSA-N 0 0 282.365 2.744 20 5 CFBDRN Cc1cc(C)c(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1CO ZINC000830798293 624875516 /nfs/dbraw/zinc/87/55/16/624875516.db2.gz GWWFMNSGBVDVTJ-UHFFFAOYSA-N 0 0 294.351 2.570 20 5 CFBDRN CCOc1ccc(C(=O)OC2CCC2)cc1[N+](=O)[O-] ZINC000175200182 624933881 /nfs/dbraw/zinc/93/38/81/624933881.db2.gz UFLVSAVIFAJLGZ-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN C[C@H]1[C@H](c2ccccc2)CC[N@@H+]1Cn1nccc1[N+](=O)[O-] ZINC000175265690 624942598 /nfs/dbraw/zinc/94/25/98/624942598.db2.gz YKSYFVCBESCUFQ-GXTWGEPZSA-N 0 0 286.335 2.627 20 5 CFBDRN Cc1cnc(COC(=O)c2cc([N+](=O)[O-])ccc2C)o1 ZINC000175380314 624949131 /nfs/dbraw/zinc/94/91/31/624949131.db2.gz QDGCJTAMQULPFJ-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CC[C@@H](O)CCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000233910793 624960736 /nfs/dbraw/zinc/96/07/36/624960736.db2.gz SVNUSQITHINPHG-SSDOTTSWSA-N 0 0 276.695 2.960 20 5 CFBDRN CCCOCC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000068008145 624969796 /nfs/dbraw/zinc/96/97/96/624969796.db2.gz KMOVDVXXUWAWOG-UHFFFAOYSA-N 0 0 272.688 2.613 20 5 CFBDRN C/C(=C\C(=O)OCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000193690990 624989086 /nfs/dbraw/zinc/98/90/86/624989086.db2.gz NSJROWVBMUBWTE-CSKARUKUSA-N 0 0 261.277 2.994 20 5 CFBDRN Cc1cccc(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2N)c1 ZINC000068513144 625004695 /nfs/dbraw/zinc/00/46/95/625004695.db2.gz WFXHVIPTSDBGKS-UHFFFAOYSA-N 0 0 299.330 2.724 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCCC1CC=CC1 ZINC000831423121 625017476 /nfs/dbraw/zinc/01/74/76/625017476.db2.gz MSCZUJLCOUEIDB-UHFFFAOYSA-N 0 0 292.310 2.749 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000068719795 625022302 /nfs/dbraw/zinc/02/23/02/625022302.db2.gz FBVBRHTVMFREBY-SNVBAGLBSA-N 0 0 277.276 2.865 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1OC2CCC1CC2 ZINC000831514275 625039409 /nfs/dbraw/zinc/03/94/09/625039409.db2.gz KJLZZJUMQRQBHV-XCVCLJGOSA-N 0 0 288.303 2.693 20 5 CFBDRN Cc1cnc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)c(C)c1 ZINC000831563529 625055112 /nfs/dbraw/zinc/05/51/12/625055112.db2.gz BUYKMPBPMJGKJT-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC2CC2)cc1F ZINC000181606190 625194766 /nfs/dbraw/zinc/19/47/66/625194766.db2.gz GQURVBOYFVQWOM-UHFFFAOYSA-N 0 0 255.245 2.539 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCS[C@H](C)C2)c1[N+](=O)[O-] ZINC000834695837 625788982 /nfs/dbraw/zinc/78/89/82/625788982.db2.gz OFBFSJZZTRWFHA-GHMZBOCLSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)CCS1 ZINC000834701614 625791765 /nfs/dbraw/zinc/79/17/65/625791765.db2.gz VQENVYNVDDSSNQ-YUMQZZPRSA-N 0 0 286.378 2.670 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000834704371 625792950 /nfs/dbraw/zinc/79/29/50/625792950.db2.gz BEKXYJRENJIUOT-PWSUYJOCSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000834707508 625796285 /nfs/dbraw/zinc/79/62/85/625796285.db2.gz VXAJFTGMRKUYHI-GXSJLCMTSA-N 0 0 280.349 2.609 20 5 CFBDRN CCOc1ccc(C(=O)OCCOC(C)C)cc1[N+](=O)[O-] ZINC000073188430 625798072 /nfs/dbraw/zinc/79/80/72/625798072.db2.gz KZMACHCVLNCWCG-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1nc(COC(=O)c2ccc([N+](=O)[O-])cc2C)oc1C ZINC000101706870 625850278 /nfs/dbraw/zinc/85/02/78/625850278.db2.gz AMQCISQKSROCMX-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC(F)(F)F ZINC000101706925 625851079 /nfs/dbraw/zinc/85/10/79/625851079.db2.gz SLWTZJBYGYKCOJ-UHFFFAOYSA-N 0 0 263.171 2.622 20 5 CFBDRN Cc1noc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000101707116 625853403 /nfs/dbraw/zinc/85/34/03/625853403.db2.gz CHFGEYGRYDUBSX-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN CCCCCOC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000112467068 625870077 /nfs/dbraw/zinc/87/00/77/625870077.db2.gz WMIVWNNZNUSSKG-UHFFFAOYSA-N 0 0 266.297 2.832 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000768898917 625909603 /nfs/dbraw/zinc/90/96/03/625909603.db2.gz QUXQSJOUWYPFAK-HUYFXPKMSA-N 0 0 278.308 2.797 20 5 CFBDRN CCc1ncc(CN[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)o1 ZINC000834898485 625914596 /nfs/dbraw/zinc/91/45/96/625914596.db2.gz DSUBZIZVZLORFZ-SECBINFHSA-N 0 0 290.323 2.578 20 5 CFBDRN Cc1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2)cn1 ZINC000073436006 626014413 /nfs/dbraw/zinc/01/44/13/626014413.db2.gz CRPRLWNAXOEMQM-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000756346328 626141016 /nfs/dbraw/zinc/14/10/16/626141016.db2.gz WHFIHTSYYQKDOA-VIFPVBQESA-N 0 0 295.291 2.584 20 5 CFBDRN COC[C@@H](COC(=O)c1ccc([N+](=O)[O-])s1)C(C)C ZINC000922157336 626220472 /nfs/dbraw/zinc/22/04/72/626220472.db2.gz SEFPJYMNCCBHIJ-VIFPVBQESA-N 0 0 287.337 2.732 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000922236706 626248672 /nfs/dbraw/zinc/24/86/72/626248672.db2.gz KBIXYTYWNJZMPP-QQUHWDOBSA-N 0 0 289.335 2.754 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1C[C@@H]2[C@H](C1)C2(F)F)[N+](=O)[O-] ZINC000922770567 626361724 /nfs/dbraw/zinc/36/17/24/626361724.db2.gz NVXNZWDDOHSMAB-ILWJIGKKSA-N 0 0 291.294 2.656 20 5 CFBDRN Cc1noc([C@H](C)Oc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000073904957 626399325 /nfs/dbraw/zinc/39/93/25/626399325.db2.gz WIZZTRQDRXMVMQ-LURJTMIESA-N 0 0 267.216 2.565 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000227357561 626403003 /nfs/dbraw/zinc/40/30/03/626403003.db2.gz FWEQRVWOXHFOAV-SECBINFHSA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000227357571 626403113 /nfs/dbraw/zinc/40/31/13/626403113.db2.gz FWEQRVWOXHFOAV-VIFPVBQESA-N 0 0 270.304 2.943 20 5 CFBDRN O=C(Nc1ccc(O)cc1)c1ccccc1[N+](=O)[O-] ZINC000003190816 626403953 /nfs/dbraw/zinc/40/39/53/626403953.db2.gz YWZKKZHDNXZODA-UHFFFAOYSA-N 0 0 258.233 2.553 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2cccc(F)c2[N+](=O)[O-])C1(C)C ZINC000227820375 626405139 /nfs/dbraw/zinc/40/51/39/626405139.db2.gz VQGMODSGKHSVAP-MNOVXSKESA-N 0 0 268.288 2.959 20 5 CFBDRN C[C@@H](C(=O)OCc1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000163726793 626408150 /nfs/dbraw/zinc/40/81/50/626408150.db2.gz OTJMYITVLGAPEH-LLVKDONJSA-N 0 0 293.319 2.701 20 5 CFBDRN Cc1ccc(OCCOc2cccnc2[N+](=O)[O-])cc1 ZINC000058815661 626409406 /nfs/dbraw/zinc/40/94/06/626409406.db2.gz MZNZCVDNSUETAI-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1nccs1 ZINC000041013232 626417713 /nfs/dbraw/zinc/41/77/13/626417713.db2.gz TVGSHMNBNCAAJA-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCO1 ZINC000923055167 626447011 /nfs/dbraw/zinc/44/70/11/626447011.db2.gz HLVHVYXAQUUPJB-NOZJJQNGSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@@H](NC(=O)NCC(C)(C)F)c1ccc([N+](=O)[O-])cc1 ZINC000923504845 626477564 /nfs/dbraw/zinc/47/75/64/626477564.db2.gz HOFACNURIWWAGI-SECBINFHSA-N 0 0 283.303 2.703 20 5 CFBDRN CC[C@](C)(CO)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000838209112 626488676 /nfs/dbraw/zinc/48/86/76/626488676.db2.gz MDDNYNBLZSGOKH-QMTHXVAHSA-N 0 0 281.308 2.608 20 5 CFBDRN C[C@H](OC(=O)CC1(O)CCC1)c1ccccc1[N+](=O)[O-] ZINC000838211931 626488823 /nfs/dbraw/zinc/48/88/23/626488823.db2.gz GYXSDVPKAJVQQD-JTQLQIEISA-N 0 0 279.292 2.504 20 5 CFBDRN CCC(C)(C)CCOC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283134 626491782 /nfs/dbraw/zinc/49/17/82/626491782.db2.gz FWKSLHQBXDEEHF-ZDUSSCGKSA-N 0 0 295.335 2.998 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838284144 626491815 /nfs/dbraw/zinc/49/18/15/626491815.db2.gz IDHJBTRQVWFEFB-MFKMUULPSA-N 0 0 281.308 2.606 20 5 CFBDRN CCC(C)(C)OCC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000838411041 626496389 /nfs/dbraw/zinc/49/63/89/626496389.db2.gz MBSLVQYOKTURTL-UHFFFAOYSA-N 0 0 281.308 2.843 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccc([N+](=O)[O-])cc1 ZINC000838421600 626496779 /nfs/dbraw/zinc/49/67/79/626496779.db2.gz PSQZWCUTAJYICF-JXJLXUTGSA-N 0 0 291.303 2.767 20 5 CFBDRN C/C=C(/C)COC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000842853093 626504909 /nfs/dbraw/zinc/50/49/09/626504909.db2.gz SFKLDBBTQLLQFB-UITAMQMPSA-N 0 0 295.291 2.735 20 5 CFBDRN CCC[C@H]1CCCN(Cn2nc(C)c([N+](=O)[O-])c2C)C1 ZINC000121346372 626506118 /nfs/dbraw/zinc/50/61/18/626506118.db2.gz GCPQQMCZLBHRLO-ZDUSSCGKSA-N 0 0 280.372 2.878 20 5 CFBDRN C[C@H](COC(=O)[C@]1(C)CC[C@H](C)C1(C)C)[N+](=O)[O-] ZINC000838963308 626517126 /nfs/dbraw/zinc/51/71/26/626517126.db2.gz AMROHLGJTBGGRV-CWSCBRNRSA-N 0 0 257.330 2.657 20 5 CFBDRN O=[N+]([O-])c1cc(CN2C[C@@H]3C[C@H]2CS3)ccc1Cl ZINC000839190819 626530607 /nfs/dbraw/zinc/53/06/07/626530607.db2.gz DCYOFGVWNIWDSX-UWVGGRQHSA-N 0 0 284.768 2.938 20 5 CFBDRN COC[C@H](C)OC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000867007453 626531980 /nfs/dbraw/zinc/53/19/80/626531980.db2.gz SJBIJTCJSITTCR-JTQLQIEISA-N 0 0 294.307 2.519 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2C[C@H]2C(C)C)c1F ZINC000839452818 626537315 /nfs/dbraw/zinc/53/73/15/626537315.db2.gz CXPOYASJGATIEJ-CMPLNLGQSA-N 0 0 280.299 2.817 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1C[C@@H]2C[C@H]1CS2 ZINC000839452569 626537326 /nfs/dbraw/zinc/53/73/26/626537326.db2.gz CIMLJKOMPOSEJJ-YUMQZZPRSA-N 0 0 298.751 2.578 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc2ccccc21 ZINC000839454223 626537399 /nfs/dbraw/zinc/53/73/99/626537399.db2.gz FHILLGKKEBABSE-VCTAVGKDSA-N 0 0 284.315 2.682 20 5 CFBDRN C[C@@H](c1ccccc1Cl)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839508162 626538321 /nfs/dbraw/zinc/53/83/21/626538321.db2.gz DSZKRFKXGAFSCY-MKPLZMMCSA-N 0 0 282.727 2.525 20 5 CFBDRN O=C(OCC1(F)CC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000789582949 626539930 /nfs/dbraw/zinc/53/99/30/626539930.db2.gz WXMCOWFMNYANCN-UHFFFAOYSA-N 0 0 279.223 3.000 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000749320882 626540667 /nfs/dbraw/zinc/54/06/67/626540667.db2.gz CEFMIKAVSYHLGY-BDAKNGLRSA-N 0 0 286.278 2.877 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000757122621 626545412 /nfs/dbraw/zinc/54/54/12/626545412.db2.gz NFBUCGCKYBOFQO-WCQYABFASA-N 0 0 297.282 2.735 20 5 CFBDRN CCCCOc1ccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])c(C)c1 ZINC000839569654 626546947 /nfs/dbraw/zinc/54/69/47/626546947.db2.gz TUNYLMQERIBZII-TZMCWYRMSA-N 0 0 292.335 2.778 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCC[C@H]1CC1CCCCC1 ZINC000839605406 626547863 /nfs/dbraw/zinc/54/78/63/626547863.db2.gz WGIIMAIMUFQYAU-BFHYXJOUSA-N 0 0 280.368 2.613 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Oc2ccc(C)cc2[N+](=O)[O-])O1 ZINC000757304677 626547942 /nfs/dbraw/zinc/54/79/42/626547942.db2.gz LXOOJDXBPGCDTE-GXFFZTMASA-N 0 0 279.292 2.766 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1csc2ccccc21 ZINC000839661667 626550180 /nfs/dbraw/zinc/55/01/80/626550180.db2.gz DRWPAELTLIBVPP-MKPLZMMCSA-N 0 0 290.344 2.744 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000867016856 626550736 /nfs/dbraw/zinc/55/07/36/626550736.db2.gz WVINYXPWPYWTMZ-YTWAJWBKSA-N 0 0 279.340 2.817 20 5 CFBDRN C/C(=C/C(=O)N1CC(C)(C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000790230319 626552141 /nfs/dbraw/zinc/55/21/41/626552141.db2.gz GRVJINDKQQRPCR-FLIBITNWSA-N 0 0 274.320 2.867 20 5 CFBDRN C[C@@H](CCC1CCCCC1)NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839683489 626552247 /nfs/dbraw/zinc/55/22/47/626552247.db2.gz NSDUJOYVZVKZHH-CYZMBNFOSA-N 0 0 268.357 2.517 20 5 CFBDRN C/C(=C\C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C1CC1 ZINC000757610018 626556339 /nfs/dbraw/zinc/55/63/39/626556339.db2.gz AJRGIVNBICSQPW-PKNBQFBNSA-N 0 0 286.331 2.836 20 5 CFBDRN Cc1ncc(COC(=O)c2ccc(F)cc2[N+](=O)[O-])s1 ZINC000757622405 626556657 /nfs/dbraw/zinc/55/66/57/626556657.db2.gz JHRFYEBGFOJSPJ-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CON(C(=O)c1ccc([N+](=O)[O-])c(C)c1)C1CCCC1 ZINC000757639139 626557121 /nfs/dbraw/zinc/55/71/21/626557121.db2.gz VRYQYVYNQYKXJD-UHFFFAOYSA-N 0 0 278.308 2.849 20 5 CFBDRN CON(C(=O)c1cc([N+](=O)[O-])c(C)s1)C1CCCC1 ZINC000757656162 626557373 /nfs/dbraw/zinc/55/73/73/626557373.db2.gz IKXKUFCMPGSQFH-UHFFFAOYSA-N 0 0 284.337 2.911 20 5 CFBDRN C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000757714047 626560449 /nfs/dbraw/zinc/56/04/49/626560449.db2.gz MFZBQTPTMBUTDK-LLVKDONJSA-N 0 0 286.287 2.837 20 5 CFBDRN CC1(CC(=O)Nc2cc([N+](=O)[O-])ccc2F)CC1 ZINC000757854756 626565654 /nfs/dbraw/zinc/56/56/54/626565654.db2.gz BHTCGZMEXRGJEM-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN CC(C)c1cnc(COc2ccc([N+](=O)[O-])c(CO)c2)o1 ZINC000757994269 626571697 /nfs/dbraw/zinc/57/16/97/626571697.db2.gz IQIASVBPCAHCET-UHFFFAOYSA-N 0 0 292.291 2.778 20 5 CFBDRN CC(C)(C)C(=O)COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000758115475 626577155 /nfs/dbraw/zinc/57/71/55/626577155.db2.gz TUFUAXPLPRPISC-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2ncccc2[N+](=O)[O-])c1 ZINC000758259861 626586083 /nfs/dbraw/zinc/58/60/83/626586083.db2.gz FJTFNDWBVXYLMA-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN COc1cc(NC2CCC(C)(O)CC2)c([N+](=O)[O-])cc1F ZINC000758461394 626594740 /nfs/dbraw/zinc/59/47/40/626594740.db2.gz OXLIRJTXHHEZGE-UHFFFAOYSA-N 0 0 298.314 2.848 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OC/C=C\Cl ZINC000758736640 626605667 /nfs/dbraw/zinc/60/56/67/626605667.db2.gz NXOUQSUXUNODMD-RJRFIUFISA-N 0 0 294.694 2.914 20 5 CFBDRN COCCC(C)(C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000759160925 626631860 /nfs/dbraw/zinc/63/18/60/626631860.db2.gz MHADFIAHYNCEES-UHFFFAOYSA-N 0 0 285.271 2.702 20 5 CFBDRN C[C@@H](OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000759270145 626641580 /nfs/dbraw/zinc/64/15/80/626641580.db2.gz ZXQRGJJJVOROMH-SECBINFHSA-N 0 0 288.303 2.960 20 5 CFBDRN COCCC(C)(C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000759671694 626682518 /nfs/dbraw/zinc/68/25/18/626682518.db2.gz PIUVVEAVOPIFJL-UHFFFAOYSA-N 0 0 281.308 2.701 20 5 CFBDRN CS[C@H](C)CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000759836734 626692766 /nfs/dbraw/zinc/69/27/66/626692766.db2.gz KKWYTJWBYDDNRX-SECBINFHSA-N 0 0 269.322 2.780 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CC12CCC2 ZINC000759821514 626693539 /nfs/dbraw/zinc/69/35/39/626693539.db2.gz KCTWCVGXHMGBJB-JTQLQIEISA-N 0 0 277.276 2.699 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccc(F)nc1 ZINC000760020130 626709668 /nfs/dbraw/zinc/70/96/68/626709668.db2.gz XNCXECCOOVCFNN-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccncc1 ZINC000760019119 626709829 /nfs/dbraw/zinc/70/98/29/626709829.db2.gz VPRZKBJFYBRHHD-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN CCc1nnsc1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760022215 626710350 /nfs/dbraw/zinc/71/03/50/626710350.db2.gz IVMUVQGOOIYBFY-UHFFFAOYSA-N 0 0 293.304 2.536 20 5 CFBDRN C[C@H](Nc1nc2sccn2c1[N+](=O)[O-])c1ccc[nH]1 ZINC000760271212 626726904 /nfs/dbraw/zinc/72/69/04/626726904.db2.gz AWAZPHVAIQNUMZ-ZETCQYMHSA-N 0 0 277.309 2.805 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000760563331 626756194 /nfs/dbraw/zinc/75/61/94/626756194.db2.gz WWLXCAMEOAGGHS-ZWNOBZJWSA-N 0 0 291.303 2.643 20 5 CFBDRN O=C(OC[C@H]1CCCOC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000760762495 626770344 /nfs/dbraw/zinc/77/03/44/626770344.db2.gz JEMWMKRIDQQKIQ-VIFPVBQESA-N 0 0 299.710 2.832 20 5 CFBDRN Cc1cc(C)cc(COC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000761977701 626876499 /nfs/dbraw/zinc/87/64/99/626876499.db2.gz ZQSARWVHXAXAME-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@H]1CC=CC[C@H]1COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762072220 626887472 /nfs/dbraw/zinc/88/74/72/626887472.db2.gz DOBCKTUHGILUJX-QWRGUYRKSA-N 0 0 276.292 2.749 20 5 CFBDRN O=C(OC[C@@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000762141719 626896826 /nfs/dbraw/zinc/89/68/26/626896826.db2.gz XLEYEBIPTWSZAG-VIFPVBQESA-N 0 0 267.306 2.505 20 5 CFBDRN O=C(OC[C@@H]1CCSC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000762150763 626897731 /nfs/dbraw/zinc/89/77/31/626897731.db2.gz TZBSMQQYWSXBGZ-QMMMGPOBSA-N 0 0 285.296 2.644 20 5 CFBDRN C[C@@H]1CC[C@H](COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)O1 ZINC000762176532 626900752 /nfs/dbraw/zinc/90/07/52/626900752.db2.gz KJRLWMKLZPAJPS-OAENJVGUSA-N 0 0 291.303 2.719 20 5 CFBDRN O=C1O[C@@H](Cn2ccc3c2cccc3[N+](=O)[O-])CC12CC2 ZINC000762212646 626904028 /nfs/dbraw/zinc/90/40/28/626904028.db2.gz SPINKFMNGBQPHX-SNVBAGLBSA-N 0 0 286.287 2.645 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CCSC1 ZINC000762262696 626908452 /nfs/dbraw/zinc/90/84/52/626908452.db2.gz GMTBMGUYZOEERJ-ZETCQYMHSA-N 0 0 286.740 2.940 20 5 CFBDRN Cc1ccncc1COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000762355268 626911685 /nfs/dbraw/zinc/91/16/85/626911685.db2.gz NUONYSVSOQYHBZ-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1cccnc1C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000762706932 626931529 /nfs/dbraw/zinc/93/15/29/626931529.db2.gz VZHTUWHCNWKMCQ-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN CC(=O)c1c(N(C)Cc2cc(C)on2)cccc1[N+](=O)[O-] ZINC000763202781 626970374 /nfs/dbraw/zinc/97/03/74/626970374.db2.gz FSPRGHZIFOGHRY-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN CCOC(=O)[C@H](C)NC/C(C)=C/c1cccc([N+](=O)[O-])c1 ZINC000763272266 626975453 /nfs/dbraw/zinc/97/54/53/626975453.db2.gz PIQJFVGWIDLWRN-OBIHZWKSSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H](C(=O)OC[C@H]1CC[C@@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000763400528 626985827 /nfs/dbraw/zinc/98/58/27/626985827.db2.gz BWTHTMFZGLGDQT-JTNHKYCSSA-N 0 0 293.319 2.809 20 5 CFBDRN CCCC(=O)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763420421 626987839 /nfs/dbraw/zinc/98/78/39/626987839.db2.gz DFJNYSJEAGPGIY-JTQLQIEISA-N 0 0 279.292 2.611 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@H]1C[C@@]12CCOC2 ZINC000763455390 626990436 /nfs/dbraw/zinc/99/04/36/626990436.db2.gz RHJBWROBOMJIJP-NOZJJQNGSA-N 0 0 296.710 2.613 20 5 CFBDRN Cc1occc1CNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000763466741 626990817 /nfs/dbraw/zinc/99/08/17/626990817.db2.gz MOGKUCYHVRCQNH-UHFFFAOYSA-N 0 0 296.229 2.704 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000763545735 626996786 /nfs/dbraw/zinc/99/67/86/626996786.db2.gz ZLJHEXJBDVJYLW-SNVBAGLBSA-N 0 0 291.307 2.532 20 5 CFBDRN COCCCCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763946932 627025054 /nfs/dbraw/zinc/02/50/54/627025054.db2.gz KLZJTRVGPFJLRD-NSHDSACASA-N 0 0 281.308 2.668 20 5 CFBDRN C[C@H](C(=O)OC[C@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000763953584 627025684 /nfs/dbraw/zinc/02/56/84/627025684.db2.gz ZJAFWTIRBVHMSO-JFGNBEQYSA-N 0 0 263.293 2.898 20 5 CFBDRN Nc1c(C(=O)OC/C(Cl)=C/Cl)cccc1[N+](=O)[O-] ZINC000764006381 627030739 /nfs/dbraw/zinc/03/07/39/627030739.db2.gz ISRIOVWYZWNLKG-XQRVVYSFSA-N 0 0 291.090 2.653 20 5 CFBDRN CCC1(COC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)COC1 ZINC000764015753 627031898 /nfs/dbraw/zinc/03/18/98/627031898.db2.gz FWOSKCHRDZZMBD-LLVKDONJSA-N 0 0 293.319 2.668 20 5 CFBDRN Cn1ccc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])c1 ZINC000764122643 627040293 /nfs/dbraw/zinc/04/02/93/627040293.db2.gz VEFGRBSDLBYESV-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCC1(C)CC1 ZINC000764244877 627047779 /nfs/dbraw/zinc/04/77/79/627047779.db2.gz GOOJSUGDRSIEAX-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CNc1c(C(=O)Oc2ccccc2)cccc1[N+](=O)[O-] ZINC000765361330 627132372 /nfs/dbraw/zinc/13/23/72/627132372.db2.gz DGICSIUIWVUCGB-UHFFFAOYSA-N 0 0 272.260 2.856 20 5 CFBDRN C[C@H]1OCCN(c2nc3ccc([N+](=O)[O-])cc3s2)[C@H]1C ZINC000765535699 627146166 /nfs/dbraw/zinc/14/61/66/627146166.db2.gz PZZXNJSKZSXDRD-DTWKUNHWSA-N 0 0 293.348 2.818 20 5 CFBDRN C[C@H]([NH2+]Cc1cc([N+](=O)[O-])ccc1[O-])c1cncs1 ZINC000765620826 627151256 /nfs/dbraw/zinc/15/12/56/627151256.db2.gz RYITYUKXTUYSQT-QMMMGPOBSA-N 0 0 279.321 2.608 20 5 CFBDRN C[C@@H](CO)COC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000766684529 627214583 /nfs/dbraw/zinc/21/45/83/627214583.db2.gz XIHKQZKXEAPNEL-JTQLQIEISA-N 0 0 295.335 2.678 20 5 CFBDRN CS[C@H](C)CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000766921750 627227246 /nfs/dbraw/zinc/22/72/46/627227246.db2.gz YGRSRLNHKBHZOK-SSDOTTSWSA-N 0 0 275.351 2.841 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)OCCCF)c1 ZINC000767282952 627242218 /nfs/dbraw/zinc/24/22/18/627242218.db2.gz RGLDNRYOMJSEMU-UHFFFAOYSA-N 0 0 285.271 2.575 20 5 CFBDRN CC/C=C\CCOC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000767502167 627255539 /nfs/dbraw/zinc/25/55/39/627255539.db2.gz PVHQCGUHTRPXPG-ARJAWSKDSA-N 0 0 282.271 2.829 20 5 CFBDRN CC/C=C/CCOC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000767576930 627262788 /nfs/dbraw/zinc/26/27/88/627262788.db2.gz JTDMUCKYZTVBDO-ONEGZZNKSA-N 0 0 265.265 2.814 20 5 CFBDRN Cc1cc(OC(=O)c2ccc(N)c([N+](=O)[O-])c2)ccc1F ZINC000768226761 627327173 /nfs/dbraw/zinc/32/71/73/627327173.db2.gz IVSKBXNCBJCFMY-UHFFFAOYSA-N 0 0 290.250 2.844 20 5 CFBDRN C[C@H](ON=C(N)COC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000768932310 627387793 /nfs/dbraw/zinc/38/77/93/627387793.db2.gz NKHFOISHAZODBG-JTQLQIEISA-N 0 0 295.339 2.969 20 5 CFBDRN CC(=O)CC1(C(=O)OCc2csc([N+](=O)[O-])c2)CCC1 ZINC000769254218 627416231 /nfs/dbraw/zinc/41/62/31/627416231.db2.gz PYYRKIVJPGILTH-UHFFFAOYSA-N 0 0 297.332 2.849 20 5 CFBDRN CO[C@H](C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000769862658 627481813 /nfs/dbraw/zinc/48/18/13/627481813.db2.gz OCKAVYGPCAXJFM-GWCFXTLKSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OC[C@@H]1CCOC1 ZINC000769881443 627484894 /nfs/dbraw/zinc/48/48/94/627484894.db2.gz JRRZRTKRDPOAFL-GFCCVEGCSA-N 0 0 293.319 2.713 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)NC1CCC1 ZINC000770011706 627496278 /nfs/dbraw/zinc/49/62/78/627496278.db2.gz SDIWCANTMWGENZ-UHFFFAOYSA-N 0 0 269.688 2.922 20 5 CFBDRN CC[C@@H]1CN(c2c(C)cc([N+](=O)[O-])cc2Cl)C[C@@H]1O ZINC000770132725 627503964 /nfs/dbraw/zinc/50/39/64/627503964.db2.gz VJRXBIVOFQFWRV-SKDRFNHKSA-N 0 0 284.743 2.764 20 5 CFBDRN Cc1cc(C)c(OC(=O)C2SCCS2)c([N+](=O)[O-])c1 ZINC000770265555 627510676 /nfs/dbraw/zinc/51/06/76/627510676.db2.gz QTASYFOAIHOWEO-UHFFFAOYSA-N 0 0 299.373 2.923 20 5 CFBDRN CC(C)[C@](C)(O)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000770533604 627527800 /nfs/dbraw/zinc/52/78/00/627527800.db2.gz CPHRPEGVMXDTAQ-YGRLFVJLSA-N 0 0 281.308 2.606 20 5 CFBDRN CO[C@@H](C)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000770685059 627541184 /nfs/dbraw/zinc/54/11/84/627541184.db2.gz SIRPLSWOIXIMQK-QMMMGPOBSA-N 0 0 279.248 2.533 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCO[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000770700300 627543150 /nfs/dbraw/zinc/54/31/50/627543150.db2.gz XTVBHJUVRQAHMH-CWSCBRNRSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H]1OCC[C@@H]1OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000771044764 627572726 /nfs/dbraw/zinc/57/27/26/627572726.db2.gz HVOJHHDRLRHQEC-GWCFXTLKSA-N 0 0 293.319 2.593 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2nccs2)c1 ZINC000771092581 627576679 /nfs/dbraw/zinc/57/66/79/627576679.db2.gz ZOGNPIHJFSCHAB-UHFFFAOYSA-N 0 0 283.284 2.811 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2cscn2)c1 ZINC000771145898 627581018 /nfs/dbraw/zinc/58/10/18/627581018.db2.gz YHMHFDLOIDBMLR-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC3(CC(F)C3)C2)c1 ZINC000771258248 627588368 /nfs/dbraw/zinc/58/83/68/627588368.db2.gz UPMCEQPTCCKDCO-UHFFFAOYSA-N 0 0 284.262 2.681 20 5 CFBDRN C[C@@H]1[C@H](C)N(c2ccc([N+](=O)[O-])cc2Cl)CCN1C ZINC000771290225 627591027 /nfs/dbraw/zinc/59/10/27/627591027.db2.gz SUTWQZOALRVRLT-ZJUUUORDSA-N 0 0 283.759 2.777 20 5 CFBDRN CS/C=C\C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000771629208 627619337 /nfs/dbraw/zinc/61/93/37/627619337.db2.gz DOAJQOBGNCYRLK-CLFYSBASSA-N 0 0 267.306 2.557 20 5 CFBDRN CS/C=C/C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000771629212 627619389 /nfs/dbraw/zinc/61/93/89/627619389.db2.gz DOAJQOBGNCYRLK-VQHVLOKHSA-N 0 0 267.306 2.557 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1Nc1cccc(CO)c1 ZINC000771906601 627646240 /nfs/dbraw/zinc/64/62/40/627646240.db2.gz BBJRYVZGROEEHC-UHFFFAOYSA-N 0 0 279.683 2.879 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H](O)c1cccnc1 ZINC000771922104 627649190 /nfs/dbraw/zinc/64/91/90/627649190.db2.gz LPHJDZFGSQEYJL-CYBMUJFWSA-N 0 0 293.710 2.789 20 5 CFBDRN Cc1ncc(CCNc2ncc([N+](=O)[O-])cc2C)s1 ZINC000771928895 627649908 /nfs/dbraw/zinc/64/99/08/627649908.db2.gz VMUWGKOJYIOGDE-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000772145397 627679222 /nfs/dbraw/zinc/67/92/22/627679222.db2.gz QXVWIFLVMHEEFA-GFCCVEGCSA-N 0 0 299.710 2.861 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000772320071 627701209 /nfs/dbraw/zinc/70/12/09/627701209.db2.gz ZVTSWXDZMNRVQL-QWRGUYRKSA-N 0 0 294.376 2.917 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000772487526 627712938 /nfs/dbraw/zinc/71/29/38/627712938.db2.gz UYBQNJGYIVKAKU-STQMWFEESA-N 0 0 291.303 2.613 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)C1(F)CCCC1 ZINC000772780288 627744539 /nfs/dbraw/zinc/74/45/39/627744539.db2.gz PTIIXIGDMNGUCK-UHFFFAOYSA-N 0 0 281.283 2.963 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000772896105 627757063 /nfs/dbraw/zinc/75/70/63/627757063.db2.gz AUEIULREHJJNIW-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN C[C@@H]1COCCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000772908624 627758382 /nfs/dbraw/zinc/75/83/82/627758382.db2.gz CQHXYPCDLLEGIB-SECBINFHSA-N 0 0 293.348 2.820 20 5 CFBDRN O=C(Nc1cc(=O)c(Cl)c[nH]1)c1ccccc1[N+](=O)[O-] ZINC000773651858 627846546 /nfs/dbraw/zinc/84/65/46/627846546.db2.gz MJBVCEZBZKXCOX-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN CC(=O)c1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])o1 ZINC000773683419 627848157 /nfs/dbraw/zinc/84/81/57/627848157.db2.gz CWODCKAJZCVWRG-UHFFFAOYSA-N 0 0 289.243 2.747 20 5 CFBDRN Cc1[nH]ccc1C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773991053 627876381 /nfs/dbraw/zinc/87/63/81/627876381.db2.gz IIHZNHKBCYGLSN-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN CCOCCCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773990124 627876465 /nfs/dbraw/zinc/87/64/65/627876465.db2.gz CAVJAQSKYGGBDC-UHFFFAOYSA-N 0 0 292.335 2.609 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@@H]1CCSC1)CC2 ZINC000773988593 627876909 /nfs/dbraw/zinc/87/69/09/627876909.db2.gz ILRVCNTYPYQZQR-LLVKDONJSA-N 0 0 292.360 2.545 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1CCCC1)CC2 ZINC000773997372 627877386 /nfs/dbraw/zinc/87/73/86/627877386.db2.gz UKHMVPDZPRWPRB-UHFFFAOYSA-N 0 0 274.320 2.983 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cscn1)CC2 ZINC000773996747 627877440 /nfs/dbraw/zinc/87/74/40/627877440.db2.gz PUKSEWJQTQNNAA-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN CC(=O)c1ccc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000774154266 627894738 /nfs/dbraw/zinc/89/47/38/627894738.db2.gz DJPVWDBQWWAOGT-UHFFFAOYSA-N 0 0 289.243 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC3(CC2)OCCO3)s1 ZINC000774514101 627933401 /nfs/dbraw/zinc/93/34/01/627933401.db2.gz KISUGANIIFFULZ-UHFFFAOYSA-N 0 0 284.337 2.754 20 5 CFBDRN Cc1cc(NCc2nccn2C)c(Cl)cc1[N+](=O)[O-] ZINC000774528223 627935374 /nfs/dbraw/zinc/93/53/74/627935374.db2.gz BODIJQMDUIXTQM-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cc1cccc(C(=O)O[C@H](C)C[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000774723408 627952934 /nfs/dbraw/zinc/95/29/34/627952934.db2.gz XSUQRRQQYDOWHY-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(C)[C@H]1C[C@H]1COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000774847033 627970378 /nfs/dbraw/zinc/97/03/78/627970378.db2.gz FRLGFDSIUMLQIF-SMDDNHRTSA-N 0 0 293.319 2.809 20 5 CFBDRN C[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H]1F ZINC000775058649 627988880 /nfs/dbraw/zinc/98/88/80/627988880.db2.gz VWCDTKJFSJJJIG-JOYOIKCWSA-N 0 0 297.286 2.683 20 5 CFBDRN CSC(C)(C)COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000775315350 628008704 /nfs/dbraw/zinc/00/87/04/628008704.db2.gz BYPYNFQJSGNGTJ-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000775464738 628028423 /nfs/dbraw/zinc/02/84/23/628028423.db2.gz KMBPDSLRJIBVLM-NXEZZACHSA-N 0 0 277.276 2.506 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000775480103 628029322 /nfs/dbraw/zinc/02/93/22/628029322.db2.gz CFDQYOHHVXQFOD-GXSJLCMTSA-N 0 0 291.303 2.814 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCC(=O)[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000775478280 628029629 /nfs/dbraw/zinc/02/96/29/628029629.db2.gz TWCRXAOADRQFRH-MNOVXSKESA-N 0 0 291.303 2.814 20 5 CFBDRN O=[N+]([O-])c1ccsc1Nc1cccc(CO)c1 ZINC000775665582 628052144 /nfs/dbraw/zinc/05/21/44/628052144.db2.gz UGJNRSJNLLDVMT-UHFFFAOYSA-N 0 0 250.279 2.892 20 5 CFBDRN O=C(OCc1cncs1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000776356225 628135380 /nfs/dbraw/zinc/13/53/80/628135380.db2.gz XRTALLBESZOPJZ-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000776423905 628149320 /nfs/dbraw/zinc/14/93/20/628149320.db2.gz LPKPGBYFKKRORI-PWSUYJOCSA-N 0 0 291.303 2.757 20 5 CFBDRN CCCN(CC(F)F)C[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776582409 628161393 /nfs/dbraw/zinc/16/13/93/628161393.db2.gz UAZHXMJTZYFBNY-LBPRGKRZSA-N 0 0 288.294 2.605 20 5 CFBDRN CN(C[C@@H](O)c1ccc([N+](=O)[O-])cc1)c1ccccc1F ZINC000776587177 628162479 /nfs/dbraw/zinc/16/24/79/628162479.db2.gz JQNKKSFQFZIKJP-OAHLLOKOSA-N 0 0 290.294 2.904 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1coc(C2CC2)n1 ZINC000776738656 628173507 /nfs/dbraw/zinc/17/35/07/628173507.db2.gz AYTUCCUSAOXVGI-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@](C)(O)C1CC1 ZINC000805581447 628210056 /nfs/dbraw/zinc/21/00/56/628210056.db2.gz XPBGNALRWIQJTH-MEBBXXQBSA-N 0 0 293.319 2.668 20 5 CFBDRN Cc1cc(C(=O)OCCc2ccoc2)ccc1[N+](=O)[O-] ZINC000777384613 628220877 /nfs/dbraw/zinc/22/08/77/628220877.db2.gz LLBIGUOYWQMAGU-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN CC[C@]1(O)CCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000777839700 628255100 /nfs/dbraw/zinc/25/51/00/628255100.db2.gz DJSVURXOBGKNKB-LBPRGKRZSA-N 0 0 270.716 2.599 20 5 CFBDRN Cc1cnccc1CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000777841829 628256021 /nfs/dbraw/zinc/25/60/21/628256021.db2.gz ZNKNRAQZFYNYIN-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(Cc3cscn3)c2)c1 ZINC000777936634 628265910 /nfs/dbraw/zinc/26/59/10/628265910.db2.gz VAJVJAFGCHUFSX-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN CC[C@H](C)N(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777939518 628266436 /nfs/dbraw/zinc/26/64/36/628266436.db2.gz FWFTVJBZLOGPLN-VIFPVBQESA-N 0 0 286.278 2.944 20 5 CFBDRN O=C(NOC1CCCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000777964710 628268723 /nfs/dbraw/zinc/26/87/23/628268723.db2.gz URIHIEMBKKVHMA-UHFFFAOYSA-N 0 0 282.271 2.728 20 5 CFBDRN C[C@@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@@H]1F ZINC000778002360 628271993 /nfs/dbraw/zinc/27/19/93/628271993.db2.gz ZNNSLSPKXYLBTR-SCZZXKLOSA-N 0 0 256.252 2.918 20 5 CFBDRN Cc1ccsc1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000778086859 628276484 /nfs/dbraw/zinc/27/64/84/628276484.db2.gz XRSQQGKEIIAVEO-UHFFFAOYSA-N 0 0 280.305 2.660 20 5 CFBDRN COCCC[C@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000778193341 628285312 /nfs/dbraw/zinc/28/53/12/628285312.db2.gz AGQOKLKEQLVKGO-NSHDSACASA-N 0 0 281.308 2.701 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000778288844 628294736 /nfs/dbraw/zinc/29/47/36/628294736.db2.gz YYODKMOFJDDACP-NXEZZACHSA-N 0 0 298.289 2.944 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000778357837 628298813 /nfs/dbraw/zinc/29/88/13/628298813.db2.gz LAQDCXTUMNQUCQ-RKDXNWHRSA-N 0 0 285.321 2.628 20 5 CFBDRN Cc1c(C(=O)OC[C@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000778362420 628299829 /nfs/dbraw/zinc/29/98/29/628299829.db2.gz MAMKGPKPJDEHKA-PWSUYJOCSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCO[C@H](C)C1 ZINC000778366502 628300698 /nfs/dbraw/zinc/30/06/98/628300698.db2.gz FTRPRAJTCMAIEC-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCO[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000778377710 628302597 /nfs/dbraw/zinc/30/25/97/628302597.db2.gz USSBPWBIUNKUGV-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN COc1cc(COC(=O)c2ccco2)ccc1[N+](=O)[O-] ZINC000778742648 628328721 /nfs/dbraw/zinc/32/87/21/628328721.db2.gz KKVYUQKWMLRRAR-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778779861 628331254 /nfs/dbraw/zinc/33/12/54/628331254.db2.gz DIDUNPUKNOMEQK-LEWSCRJBSA-N 0 0 293.319 2.932 20 5 CFBDRN CO[C@H](C)C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778781833 628331512 /nfs/dbraw/zinc/33/15/12/628331512.db2.gz OXEVREBVHYLPDF-VHSXEESVSA-N 0 0 267.281 2.542 20 5 CFBDRN CC[C@H](C)C(=O)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778792349 628332291 /nfs/dbraw/zinc/33/22/91/628332291.db2.gz LAUQYTRFYMLFAA-SMDDNHRTSA-N 0 0 292.335 2.763 20 5 CFBDRN COc1cc(COC(=O)[C@@H]2C[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000778816647 628334088 /nfs/dbraw/zinc/33/40/88/628334088.db2.gz RZCCPLVNXLBPFL-VXGBXAGGSA-N 0 0 291.303 2.693 20 5 CFBDRN Cn1c(C(=O)OCc2c(F)cccc2F)ccc1[N+](=O)[O-] ZINC000779264668 628366507 /nfs/dbraw/zinc/36/65/07/628366507.db2.gz JUICIFOONQTOLA-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)C1 ZINC000779271630 628367940 /nfs/dbraw/zinc/36/79/40/628367940.db2.gz VHQVQVDPPORKEU-RKDXNWHRSA-N 0 0 293.275 2.669 20 5 CFBDRN CC[C@@H]1C[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000779286155 628369573 /nfs/dbraw/zinc/36/95/73/628369573.db2.gz AJZCZXWMRLXOBR-CHWSQXEVSA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1cnc(C(=O)NCc2ccccc2[N+](=O)[O-])c(C)c1 ZINC000779851786 628413855 /nfs/dbraw/zinc/41/38/55/628413855.db2.gz XIMWPJQRMVBSAS-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1nn(CN2CCCc3c(F)cccc32)cc1[N+](=O)[O-] ZINC000779868218 628415527 /nfs/dbraw/zinc/41/55/27/628415527.db2.gz WWDHPJIZTFETHL-UHFFFAOYSA-N 0 0 290.298 2.649 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@H]1/C=C\c1ccccc1 ZINC000779889300 628416393 /nfs/dbraw/zinc/41/63/93/628416393.db2.gz PLMCTRRNNMVLRL-CDNLZTBQSA-N 0 0 298.346 2.927 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)C1=CCC1 ZINC000779907389 628417699 /nfs/dbraw/zinc/41/76/99/628417699.db2.gz QOCLFIZQLBOUON-UHFFFAOYSA-N 0 0 252.657 2.907 20 5 CFBDRN C[C@@H](OC(=O)c1cc(O)cc([N+](=O)[O-])c1)c1ccncc1 ZINC000780076381 628431136 /nfs/dbraw/zinc/43/11/36/628431136.db2.gz PROTXOBUCWDTFV-SECBINFHSA-N 0 0 288.259 2.613 20 5 CFBDRN Cc1cnc(C(=O)OCc2ccccc2[N+](=O)[O-])c(C)c1 ZINC000780223141 628440512 /nfs/dbraw/zinc/44/05/12/628440512.db2.gz NMXLFSRVRWOVSW-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)OC ZINC000780317279 628454812 /nfs/dbraw/zinc/45/48/12/628454812.db2.gz NJBQBRCZSIBNND-UHFFFAOYSA-N 0 0 284.337 2.730 20 5 CFBDRN CC1(COC(=O)Cn2cc([N+](=O)[O-])cn2)CCCCCC1 ZINC000780496473 628469139 /nfs/dbraw/zinc/46/91/39/628469139.db2.gz BBKASXYKRSKITG-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN O=C(O[C@H]1C=CCC1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 ZINC000780551501 628474002 /nfs/dbraw/zinc/47/40/02/628474002.db2.gz HPNWGOKLELHGCE-ZDUSSCGKSA-N 0 0 299.286 2.656 20 5 CFBDRN CCCC(=O)OCCOc1ccc([N+](=O)[O-])cc1C ZINC000780634386 628483671 /nfs/dbraw/zinc/48/36/71/628483671.db2.gz OIHMNDLLEFSVFK-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCO[C@H](CC)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000781172207 628528837 /nfs/dbraw/zinc/52/88/37/628528837.db2.gz UXPFUKVDIXDQIG-CYBMUJFWSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1cc(COC(=O)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000781171761 628528847 /nfs/dbraw/zinc/52/88/47/628528847.db2.gz FONCCRSJNUYRAS-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN Cc1cc(COC(=O)c2ccn(C)c2)ccc1[N+](=O)[O-] ZINC000781171225 628528960 /nfs/dbraw/zinc/52/89/60/628528960.db2.gz JMQRQZFGDZJYON-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN Cc1cc(C(=O)OCCOc2cccc([N+](=O)[O-])c2)co1 ZINC000781373296 628544039 /nfs/dbraw/zinc/54/40/39/628544039.db2.gz XFVRSVXWIUWSCK-UHFFFAOYSA-N 0 0 291.259 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](OC(F)F)C2)cc1 ZINC000781396781 628545897 /nfs/dbraw/zinc/54/58/97/628545897.db2.gz ROKHRPWHTHSCHM-LLVKDONJSA-N 0 0 272.251 2.803 20 5 CFBDRN Cc1nn(CN2CC[C@@]3(CC[C@H](C)C3)C2)cc1[N+](=O)[O-] ZINC000781584258 628562221 /nfs/dbraw/zinc/56/22/21/628562221.db2.gz PFATYVNSKWUBNY-SMDDNHRTSA-N 0 0 278.356 2.569 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2N(C)C)C1 ZINC000781623112 628567960 /nfs/dbraw/zinc/56/79/60/628567960.db2.gz RWNXRULHMFDPMT-GHMZBOCLSA-N 0 0 291.351 2.579 20 5 CFBDRN CN(C)c1ccc(COC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000781987574 628595437 /nfs/dbraw/zinc/59/54/37/628595437.db2.gz WGTDQICKEZFAMI-UHFFFAOYSA-N 0 0 290.275 2.611 20 5 CFBDRN COCC[C@H](C)OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000782006087 628596692 /nfs/dbraw/zinc/59/66/92/628596692.db2.gz HGWJJURRYXPBCJ-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN CN(C)c1cc(COc2ccc([N+](=O)[O-])cc2)ccn1 ZINC000782109524 628605176 /nfs/dbraw/zinc/60/51/76/628605176.db2.gz CAZCHROKIJZKQH-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN CO[C@@H](C)CCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000782252057 628619606 /nfs/dbraw/zinc/61/96/06/628619606.db2.gz RHCKKMJFSRHSLZ-VIFPVBQESA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)OCc1csc([N+](=O)[O-])c1 ZINC000782323898 628628500 /nfs/dbraw/zinc/62/85/00/628628500.db2.gz CLFBPEQPIITOPZ-ONEGZZNKSA-N 0 0 278.289 2.741 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)OCc1cccc([N+](=O)[O-])c1 ZINC000782325298 628628623 /nfs/dbraw/zinc/62/86/23/628628623.db2.gz GHHAXZZPSVQBNB-VOTSOKGWSA-N 0 0 272.260 2.680 20 5 CFBDRN COC(=O)N1CCC[C@@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000782345848 628631088 /nfs/dbraw/zinc/63/10/88/628631088.db2.gz ILJNWKYNAPPQTJ-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1ccc2cc[nH]c2n1 ZINC000782363687 628632199 /nfs/dbraw/zinc/63/21/99/628632199.db2.gz GGAHQWJAXPKGOD-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1ccc(N2CCc3ncsc3C2)c([N+](=O)[O-])c1 ZINC000782417797 628636471 /nfs/dbraw/zinc/63/64/71/628636471.db2.gz JCCXNFHGIGYIDZ-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cncs1 ZINC000782622260 628649460 /nfs/dbraw/zinc/64/94/60/628649460.db2.gz WVTJXKWZSVZBHY-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN C[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])[C@H](C)[C@H](C)O1 ZINC000782628455 628649843 /nfs/dbraw/zinc/64/98/43/628649843.db2.gz NLEHCHXUYFHBEF-MXWKQRLJSA-N 0 0 282.315 2.732 20 5 CFBDRN O=C(CC[C@H]1CCCO1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782728299 628657296 /nfs/dbraw/zinc/65/72/96/628657296.db2.gz ARGXGZUFCWILSB-LLVKDONJSA-N 0 0 297.282 2.736 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCCOC1CCC1 ZINC000782836496 628659610 /nfs/dbraw/zinc/65/96/10/628659610.db2.gz AFEJKOUGNVOXHD-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN C[C@H](Cc1ncc[nH]1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000782915930 628663672 /nfs/dbraw/zinc/66/36/72/628663672.db2.gz RIKHMMKUDFWGKF-SECBINFHSA-N 0 0 279.271 2.605 20 5 CFBDRN O=C(OC1CC1)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000783190274 628683707 /nfs/dbraw/zinc/68/37/07/628683707.db2.gz XRKZVIIAAUZIFM-UHFFFAOYSA-N 0 0 273.191 2.515 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1CCCCS1 ZINC000783231087 628689863 /nfs/dbraw/zinc/68/98/63/628689863.db2.gz PHNYTTKEPTYGLD-LBPRGKRZSA-N 0 0 281.333 2.924 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000783248776 628693554 /nfs/dbraw/zinc/69/35/54/628693554.db2.gz HNCPRPCHJIQDGZ-ZJUUUORDSA-N 0 0 297.282 2.706 20 5 CFBDRN COc1ccc(CC(=O)OCCC2CCC2)cc1[N+](=O)[O-] ZINC000783442190 628708602 /nfs/dbraw/zinc/70/86/02/628708602.db2.gz LSEOWGAVTKOPOM-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN CN(CC(=O)OCCC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000783444651 628709373 /nfs/dbraw/zinc/70/93/73/628709373.db2.gz GRMVUTSSMWTCPN-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN O=[N+]([O-])c1cccc(CSCCSCCO)c1 ZINC000783596981 628725055 /nfs/dbraw/zinc/72/50/55/628725055.db2.gz SUVIFVVMAWKNIR-UHFFFAOYSA-N 0 0 273.379 2.554 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CSCCSCCO ZINC000783595320 628725171 /nfs/dbraw/zinc/72/51/71/628725171.db2.gz JEADFFNRUGKGGJ-UHFFFAOYSA-N 0 0 291.369 2.693 20 5 CFBDRN C/C(=C/C(=O)NCc1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000783694652 628735979 /nfs/dbraw/zinc/73/59/79/628735979.db2.gz OBQIHHSDEXRTFF-BENRWUELSA-N 0 0 297.314 2.710 20 5 CFBDRN CC(=CC(=O)Nc1ccnc(C)c1)c1ccc([N+](=O)[O-])cc1 ZINC000784097268 628775894 /nfs/dbraw/zinc/77/58/94/628775894.db2.gz HVFJUDFSDNQBFN-LUAWRHEFSA-N 0 0 297.314 2.762 20 5 CFBDRN C/C(=C\C(=O)N1CC=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000784103663 628776757 /nfs/dbraw/zinc/77/67/57/628776757.db2.gz SQOSMLDSZVLEMF-VAWYXSNFSA-N 0 0 272.304 2.787 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H]1CO[C@@H](C)C1 ZINC000784108728 628777602 /nfs/dbraw/zinc/77/76/02/628777602.db2.gz KVVGKTKLDMKCGD-DTWKUNHWSA-N 0 0 298.726 2.920 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1CC12CCC2 ZINC000784138145 628782254 /nfs/dbraw/zinc/78/22/54/628782254.db2.gz ITWPFGZDRJMMGY-SNVBAGLBSA-N 0 0 279.267 2.967 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C1=CCCC1 ZINC000784151584 628784197 /nfs/dbraw/zinc/78/41/97/628784197.db2.gz HYESYLFSWQUNOT-UHFFFAOYSA-N 0 0 265.240 2.887 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000784273637 628797466 /nfs/dbraw/zinc/79/74/66/628797466.db2.gz IKVWFLAGZLDLED-PSASIEDQSA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@H](OC(=O)[C@@H](O)CC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000784340532 628807650 /nfs/dbraw/zinc/80/76/50/628807650.db2.gz SIDIZIUUZCDYJC-GWCFXTLKSA-N 0 0 295.335 2.996 20 5 CFBDRN C[C@H](OC(=O)C[C@@](C)(O)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000784363493 628810753 /nfs/dbraw/zinc/81/07/53/628810753.db2.gz AVRNNMHWPVLBGH-ZUZCIYMTSA-N 0 0 293.319 2.750 20 5 CFBDRN CC(C)c1ccc(C(=O)N(C)c2cn[nH]c2)cc1[N+](=O)[O-] ZINC000784422768 628816035 /nfs/dbraw/zinc/81/60/35/628816035.db2.gz CCFSUJONGBYVGY-UHFFFAOYSA-N 0 0 288.307 2.718 20 5 CFBDRN COC[C@@H](C)OC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000784487542 628820660 /nfs/dbraw/zinc/82/06/60/628820660.db2.gz VUEFDOXIJRXHRE-SNVBAGLBSA-N 0 0 281.308 2.712 20 5 CFBDRN CN(C)c1ccc(COC(=O)/C=C\C2CC2)cc1[N+](=O)[O-] ZINC000784492809 628821042 /nfs/dbraw/zinc/82/10/42/628821042.db2.gz DZZSTKUEMKJNBN-VURMDHGXSA-N 0 0 290.319 2.670 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CO[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000784500154 628821971 /nfs/dbraw/zinc/82/19/71/628821971.db2.gz SWWVOXSFEAKAKS-OUAUKWLOSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000784563256 628827244 /nfs/dbraw/zinc/82/72/44/628827244.db2.gz FOSSAPIVCUIDTP-IWIIMEHWSA-N 0 0 279.267 2.823 20 5 CFBDRN C/C(=C\C(=O)NOC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000784692224 628837929 /nfs/dbraw/zinc/83/79/29/628837929.db2.gz QXXUOJDSMPRKED-ZHACJKMWSA-N 0 0 290.319 2.989 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCC[C@H]1CCCCO1 ZINC000784955777 628855551 /nfs/dbraw/zinc/85/55/51/628855551.db2.gz KQHHGHABBFDOJY-CQSZACIVSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000784958896 628856316 /nfs/dbraw/zinc/85/63/16/628856316.db2.gz RXPZEDASCYAPKP-NSHDSACASA-N 0 0 279.292 2.711 20 5 CFBDRN Cc1nc(F)ccc1CNCc1ccccc1[N+](=O)[O-] ZINC000785428411 628882142 /nfs/dbraw/zinc/88/21/42/628882142.db2.gz ZWTGTBBTYGUJJW-UHFFFAOYSA-N 0 0 275.283 2.727 20 5 CFBDRN C[C@H](O)[C@H]1C[C@H](C)CC[N@@H+]1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000785546593 628893008 /nfs/dbraw/zinc/89/30/08/628893008.db2.gz QRVSWDGCIPEBPQ-JRPNMDOOSA-N 0 0 296.342 2.715 20 5 CFBDRN Cc1[nH]ncc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000785576186 628894441 /nfs/dbraw/zinc/89/44/41/628894441.db2.gz IVDNOLSJVCNMMD-SECBINFHSA-N 0 0 275.264 2.544 20 5 CFBDRN Cc1[nH]ncc1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000785578637 628894868 /nfs/dbraw/zinc/89/48/68/628894868.db2.gz UKLBOMCMCWTNPN-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN CC(C)([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C1CCOCC1 ZINC000785607684 628898088 /nfs/dbraw/zinc/89/80/88/628898088.db2.gz QLECNQJSLSXULI-UHFFFAOYSA-N 0 0 294.351 2.595 20 5 CFBDRN Cc1cncc(COC(=O)c2cccc([N+](=O)[O-])c2C)c1 ZINC000785642893 628900784 /nfs/dbraw/zinc/90/07/84/628900784.db2.gz IRIDRMKHCOWVHE-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2cncc(C)c2)c1 ZINC000785683361 628904651 /nfs/dbraw/zinc/90/46/51/628904651.db2.gz ZEOSCCIFLPNPLF-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN COc1ncccc1CN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000785770971 628912928 /nfs/dbraw/zinc/91/29/28/628912928.db2.gz ULOBFNSQBQJTLH-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN Cc1cnccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000786035773 628931886 /nfs/dbraw/zinc/93/18/86/628931886.db2.gz OMKRACHSKUIWMO-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)C(F)F)cc([N+](=O)[O-])c1 ZINC000786503830 628962010 /nfs/dbraw/zinc/96/20/10/628962010.db2.gz NRJXAXVIRIAHQX-ZETCQYMHSA-N 0 0 259.208 2.714 20 5 CFBDRN Nc1ccc(NCCc2ccccc2F)c([N+](=O)[O-])c1 ZINC000786573744 628968746 /nfs/dbraw/zinc/96/87/46/628968746.db2.gz DYPQZNALUITCSH-UHFFFAOYSA-N 0 0 275.283 2.971 20 5 CFBDRN Nc1ccc(N2CCOc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000786582452 628969823 /nfs/dbraw/zinc/96/98/23/628969823.db2.gz JTQLDMDEFHERNB-UHFFFAOYSA-N 0 0 285.303 2.576 20 5 CFBDRN COC/C=C\C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000786600661 628970865 /nfs/dbraw/zinc/97/08/65/628970865.db2.gz ACXXYVCQCASMEH-DOGVGXBMSA-N 0 0 279.292 2.710 20 5 CFBDRN Cc1ccccc1OCCOc1ccc(N)c([N+](=O)[O-])c1 ZINC000786866309 628981951 /nfs/dbraw/zinc/98/19/51/628981951.db2.gz VTPPUDZIDJYXIG-UHFFFAOYSA-N 0 0 288.303 2.943 20 5 CFBDRN Cc1cnc(CC(=O)OCc2ccccc2[N+](=O)[O-])s1 ZINC000787020259 628988905 /nfs/dbraw/zinc/98/89/05/628988905.db2.gz WXCFNEBUQODWCD-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN Cc1ccc2c(c1)CCN2C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000787212176 628999798 /nfs/dbraw/zinc/99/97/98/628999798.db2.gz VYUVRWKIEGXKIS-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCC(=O)C(C)(C)C)c1F ZINC000787939990 629043451 /nfs/dbraw/zinc/04/34/51/629043451.db2.gz RJCPZCAVQNOIPD-UHFFFAOYSA-N 0 0 297.282 2.814 20 5 CFBDRN CC1(C)SC[C@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000788319229 629058687 /nfs/dbraw/zinc/05/86/87/629058687.db2.gz RSJPKUXZKHLVLU-MRVPVSSYSA-N 0 0 278.337 2.548 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000788319230 629058714 /nfs/dbraw/zinc/05/87/14/629058714.db2.gz RSJPKUXZKHLVLU-QMMMGPOBSA-N 0 0 278.337 2.548 20 5 CFBDRN CN(Cc1ccc(Cl)c([N+](=O)[O-])c1)c1ccn(C)n1 ZINC000788459534 629070638 /nfs/dbraw/zinc/07/06/38/629070638.db2.gz LTYDOCWGMPCAJH-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN CC1(C)OC[C@H](CSc2ccc([N+](=O)[O-])cc2F)O1 ZINC000788503541 629075449 /nfs/dbraw/zinc/07/54/49/629075449.db2.gz VIDMRRCFINESIE-SECBINFHSA-N 0 0 287.312 2.978 20 5 CFBDRN COCC1(C)CN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000788576518 629083326 /nfs/dbraw/zinc/08/33/26/629083326.db2.gz JIIUZZKXQDCFAO-UHFFFAOYSA-N 0 0 270.716 2.721 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1nc(Cl)cs1 ZINC000788743928 629096212 /nfs/dbraw/zinc/09/62/12/629096212.db2.gz XAXMSATVSMWODC-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN CC(C)C[C@H](O)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000788842222 629104031 /nfs/dbraw/zinc/10/40/31/629104031.db2.gz OQCRNAAYRZFDOS-LBPRGKRZSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H]3CC[C@@H](O)C3)sc2c1 ZINC000788860061 629104928 /nfs/dbraw/zinc/10/49/28/629104928.db2.gz BPYUUFJNHGJBJZ-WCBMZHEXSA-N 0 0 293.348 2.777 20 5 CFBDRN Cc1ccc(CN(C)c2nc3ccccn3c2[N+](=O)[O-])nc1 ZINC000788864867 629105806 /nfs/dbraw/zinc/10/58/06/629105806.db2.gz HMUXCWLPPLLKHR-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN C/C=C(\CC)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000788931486 629109672 /nfs/dbraw/zinc/10/96/72/629109672.db2.gz DRCMUALKSKMDRO-QDEBKDIKSA-N 0 0 274.320 2.836 20 5 CFBDRN C[C@H]1CC[C@@H](COC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)O1 ZINC000789002481 629116287 /nfs/dbraw/zinc/11/62/87/629116287.db2.gz XFCPMDQDKGRGNY-WPRPVWTQSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)ccn1 ZINC000789026092 629118360 /nfs/dbraw/zinc/11/83/60/629118360.db2.gz WMZVXNUPESLCFB-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCOC1CC(CC(=O)OCc2ccccc2[N+](=O)[O-])C1 ZINC000789163040 629131161 /nfs/dbraw/zinc/13/11/61/629131161.db2.gz WGHOXQKDGOKHSG-UHFFFAOYSA-N 0 0 293.319 2.843 20 5 CFBDRN Cc1cnc(COC(=O)C2(F)CCCC2)c(C)c1[N+](=O)[O-] ZINC000789447461 629157827 /nfs/dbraw/zinc/15/78/27/629157827.db2.gz QBFIXDWODWIQBV-UHFFFAOYSA-N 0 0 296.298 2.932 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCC1(F)CC1 ZINC000789591969 629169964 /nfs/dbraw/zinc/16/99/64/629169964.db2.gz GBCCPOOTKQCLGG-UHFFFAOYSA-N 0 0 267.256 2.573 20 5 CFBDRN Cc1c(Cl)cnn1Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000789595640 629170837 /nfs/dbraw/zinc/17/08/37/629170837.db2.gz LGBDRDLXNXCNPQ-UHFFFAOYSA-N 0 0 296.670 2.710 20 5 CFBDRN CCN(C)C(=O)CNc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000789682369 629181566 /nfs/dbraw/zinc/18/15/66/629181566.db2.gz ZMHIBSXFGIJOQM-UHFFFAOYSA-N 0 0 293.367 2.783 20 5 CFBDRN O=C(OCC(F)(F)F)Oc1c(F)cccc1[N+](=O)[O-] ZINC000790271884 629224462 /nfs/dbraw/zinc/22/44/62/629224462.db2.gz BTUIQKNVJKWFMZ-UHFFFAOYSA-N 0 0 283.133 2.812 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000790371501 629235528 /nfs/dbraw/zinc/23/55/28/629235528.db2.gz UUMOGBLWWMSUCG-UHFFFAOYSA-N 0 0 293.254 2.899 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSCc2ncccn2)c1 ZINC000790554716 629247493 /nfs/dbraw/zinc/24/74/93/629247493.db2.gz WPSUBFZYQNLWRZ-UHFFFAOYSA-N 0 0 291.332 2.697 20 5 CFBDRN CN(C(=O)c1nc(Cl)cs1)c1ccc([N+](=O)[O-])cc1 ZINC000790686503 629257037 /nfs/dbraw/zinc/25/70/37/629257037.db2.gz PYFWFAOEVSPHFR-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN COc1cc(C(=O)OCC2(C)CC2)ccc1[N+](=O)[O-] ZINC000790801594 629263904 /nfs/dbraw/zinc/26/39/04/629263904.db2.gz AFRJFYFFSBQWPZ-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC2(C)CC2)c1 ZINC000790807583 629265271 /nfs/dbraw/zinc/26/52/71/629265271.db2.gz JYBRYGDATDLLRN-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN O=C(OCC1=CCCC1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000790833782 629268550 /nfs/dbraw/zinc/26/85/50/629268550.db2.gz XVTXTJVZNHCVKC-UHFFFAOYSA-N 0 0 291.259 2.591 20 5 CFBDRN Cc1cc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)ccn1 ZINC000790960194 629274363 /nfs/dbraw/zinc/27/43/63/629274363.db2.gz WJHQJQGEXVYZRL-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN C[C@@]1(C2CC2)CN(Cc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000852324951 634721803 /nfs/dbraw/zinc/72/18/03/634721803.db2.gz WIDWMEATRWLXJC-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN CC(C)=C(C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000791015809 629280962 /nfs/dbraw/zinc/28/09/62/629280962.db2.gz JTBDQTNBFKXZPE-UHFFFAOYSA-N 0 0 262.309 2.876 20 5 CFBDRN O=[N+]([O-])CCN[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC000791053065 629283904 /nfs/dbraw/zinc/28/39/04/629283904.db2.gz AGHVOUDTYKGLJB-AWEZNQCLSA-N 0 0 291.738 2.691 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@H]1c1cccc(Br)c1 ZINC000791051649 629284272 /nfs/dbraw/zinc/28/42/72/629284272.db2.gz HPSRPUFXCLOBBL-LBPRGKRZSA-N 0 0 299.168 2.863 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000791311993 629299774 /nfs/dbraw/zinc/29/97/74/629299774.db2.gz PMUFKVSMABBHCP-VIFPVBQESA-N 0 0 297.330 2.871 20 5 CFBDRN Cc1cc(F)c(F)cc1OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000791404690 629309982 /nfs/dbraw/zinc/30/99/82/629309982.db2.gz WABQDVITDQXRIU-UHFFFAOYSA-N 0 0 296.229 2.739 20 5 CFBDRN CCN(CC)C(=O)CN(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000791409042 629311001 /nfs/dbraw/zinc/31/10/01/629311001.db2.gz CSDSUOPWPLWRMC-UHFFFAOYSA-N 0 0 299.758 2.553 20 5 CFBDRN C/C(=C\C(=O)OCc1ncccn1)c1ccc([N+](=O)[O-])cc1 ZINC000791450296 629317285 /nfs/dbraw/zinc/31/72/85/629317285.db2.gz YCDVBIFBPQHBEH-PKNBQFBNSA-N 0 0 299.286 2.532 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791569482 629333975 /nfs/dbraw/zinc/33/39/75/629333975.db2.gz CHWVILKETRRQGZ-MRVPVSSYSA-N 0 0 282.252 2.592 20 5 CFBDRN CCCCOC(=O)c1ccc([N+](=O)[O-])c(-n2ccnc2)c1 ZINC000835077740 629373487 /nfs/dbraw/zinc/37/34/87/629373487.db2.gz JHVVUYRSGSCOIP-UHFFFAOYSA-N 0 0 289.291 2.737 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)c2ccnn2C)cc1[N+](=O)[O-] ZINC000791917225 629376691 /nfs/dbraw/zinc/37/66/91/629376691.db2.gz BVHBRAUNZCEWFY-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CC(C)(CCC(=O)OCc1cccs1)[N+](=O)[O-] ZINC000835081474 629378847 /nfs/dbraw/zinc/37/88/47/629378847.db2.gz IJXZQUVYRAFCDT-UHFFFAOYSA-N 0 0 257.311 2.627 20 5 CFBDRN CC[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(-n2ccnc2)c1 ZINC000835097059 629399123 /nfs/dbraw/zinc/39/91/23/629399123.db2.gz GTHXGHNKWKQEBT-SNVBAGLBSA-N 0 0 289.291 2.736 20 5 CFBDRN COc1ccc(OC(=O)c2cnccc2C)cc1[N+](=O)[O-] ZINC000792113922 629399038 /nfs/dbraw/zinc/39/90/38/629399038.db2.gz RYTNTZGIXPHFNT-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CC(C)/C=C/C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000792182645 629406559 /nfs/dbraw/zinc/40/65/59/629406559.db2.gz JUYAZDHNZOMLHK-ZZXKWVIFSA-N 0 0 294.263 2.758 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000835107080 629411252 /nfs/dbraw/zinc/41/12/52/629411252.db2.gz NBBCUDBRDFTQTB-ABZJQCSKSA-N 0 0 291.303 2.792 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000835106334 629412490 /nfs/dbraw/zinc/41/24/90/629412490.db2.gz JOEFWICQVZVJSM-FCDAOCCVSA-N 0 0 291.303 2.792 20 5 CFBDRN O=C(CSC1CC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000835112090 629416919 /nfs/dbraw/zinc/41/69/19/629416919.db2.gz MPLQZSWBDWIJIM-UHFFFAOYSA-N 0 0 285.296 2.673 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1c1cccnc1 ZINC000835114233 629418790 /nfs/dbraw/zinc/41/87/90/629418790.db2.gz NHXFITQOAFEQHH-LSDHHAIUSA-N 0 0 298.298 2.837 20 5 CFBDRN Cc1nc(C)c(COC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000835132044 629443628 /nfs/dbraw/zinc/44/36/28/629443628.db2.gz GRRGRHKCDYCSBP-UHFFFAOYSA-N 0 0 286.353 2.639 20 5 CFBDRN CCOC1(C(=O)OCc2ccccc2[N+](=O)[O-])CCCC1 ZINC000792832476 629455921 /nfs/dbraw/zinc/45/59/21/629455921.db2.gz FFZRXRNRZPSHPK-UHFFFAOYSA-N 0 0 293.319 2.987 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000792867567 629459911 /nfs/dbraw/zinc/45/99/11/629459911.db2.gz KGXVPELJHVXGOL-SKDRFNHKSA-N 0 0 267.281 2.561 20 5 CFBDRN Cc1ccc(COC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000835217914 629532214 /nfs/dbraw/zinc/53/22/14/629532214.db2.gz XLDXKRRQISPJHD-UHFFFAOYSA-N 0 0 271.338 2.935 20 5 CFBDRN CCc1ccc(C(=O)OC[C@@H]2CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000793433999 629545855 /nfs/dbraw/zinc/54/58/55/629545855.db2.gz ZONVUONDSDCLFC-MFKMUULPSA-N 0 0 293.319 2.739 20 5 CFBDRN CC(C)(CCC(=O)OCC(Cl)(Cl)Cl)[N+](=O)[O-] ZINC000835258080 629570049 /nfs/dbraw/zinc/57/00/49/629570049.db2.gz CZJDVYFIZAURGV-UHFFFAOYSA-N 0 0 292.546 2.735 20 5 CFBDRN C/C=C/C(=O)Nc1cc(Br)cc([N+](=O)[O-])c1 ZINC000794427011 629645202 /nfs/dbraw/zinc/64/52/02/629645202.db2.gz IODOEBGODSPWIO-NSCUHMNNSA-N 0 0 285.097 2.872 20 5 CFBDRN CS[C@@H](C)[C@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835381352 629663414 /nfs/dbraw/zinc/66/34/14/629663414.db2.gz AMSPOPXEBOSOQZ-IUCAKERBSA-N 0 0 263.359 2.505 20 5 CFBDRN COc1cc(C(=O)OCC2(C)CC2)c([N+](=O)[O-])cc1F ZINC000835373912 629664867 /nfs/dbraw/zinc/66/48/67/629664867.db2.gz JEVCTNKCMLMGPR-UHFFFAOYSA-N 0 0 283.255 2.699 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC(F)(F)CC1 ZINC000794754549 629684320 /nfs/dbraw/zinc/68/43/20/629684320.db2.gz CIFAXQCXNPRPSJ-UHFFFAOYSA-N 0 0 285.250 2.858 20 5 CFBDRN CCC(=O)c1ccc(N2CCO[C@@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000795112697 629735407 /nfs/dbraw/zinc/73/54/07/629735407.db2.gz LBDXJKVYFYGUSO-MNOVXSKESA-N 0 0 292.335 2.801 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000795164718 629740859 /nfs/dbraw/zinc/74/08/59/629740859.db2.gz KGBMTUGUFKZEKO-KCJUWKMLSA-N 0 0 265.338 2.680 20 5 CFBDRN CC1(F)CN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000795194612 629743762 /nfs/dbraw/zinc/74/37/62/629743762.db2.gz DSQNURKRJGREMU-UHFFFAOYSA-N 0 0 267.285 2.753 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cccnc2F)n1 ZINC000795228400 629748470 /nfs/dbraw/zinc/74/84/70/629748470.db2.gz DLNHNGJWKIGVMR-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCc3cccnc3F)c2c1 ZINC000795228570 629748479 /nfs/dbraw/zinc/74/84/79/629748479.db2.gz GVQXQZZTNRAXSW-UHFFFAOYSA-N 0 0 299.265 2.684 20 5 CFBDRN C[Si](C)(C)COc1c(Cl)cncc1[N+](=O)[O-] ZINC000795248342 629750741 /nfs/dbraw/zinc/75/07/41/629750741.db2.gz ZBNUEXRDGPNAHX-UHFFFAOYSA-N 0 0 260.753 2.899 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000795252371 629752509 /nfs/dbraw/zinc/75/25/09/629752509.db2.gz PFUIJUIARCAWQR-WCQYABFASA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCC[C@H](O)C1 ZINC000795269362 629755527 /nfs/dbraw/zinc/75/55/27/629755527.db2.gz AHSQZSINFMDQED-NEPJUHHUSA-N 0 0 293.319 2.611 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(F)(F)CC2)c([N+](=O)[O-])c1 ZINC000795273360 629756142 /nfs/dbraw/zinc/75/61/42/629756142.db2.gz ATJGLTWEEQDTPU-UHFFFAOYSA-N 0 0 287.222 2.739 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CCCOCC2)cc1[N+](=O)[O-] ZINC000835479883 629766786 /nfs/dbraw/zinc/76/67/86/629766786.db2.gz LOPVKMKBKGZXEC-ZDUSSCGKSA-N 0 0 293.319 2.763 20 5 CFBDRN CO[C@](C)(C(=O)OCc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000835482754 629771314 /nfs/dbraw/zinc/77/13/14/629771314.db2.gz YMHKDZWVGVCLCF-HNNXBMFYSA-N 0 0 293.319 2.762 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000835488606 629780382 /nfs/dbraw/zinc/78/03/82/629780382.db2.gz RMRCTHMEZFTCEB-UHFFFAOYSA-N 0 0 289.209 2.936 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCC1CC(F)(F)C1 ZINC000835490717 629781840 /nfs/dbraw/zinc/78/18/40/629781840.db2.gz VFJMMVTVNYKGDO-UHFFFAOYSA-N 0 0 285.246 2.726 20 5 CFBDRN COC(C)(C)COC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000835499184 629793238 /nfs/dbraw/zinc/79/32/38/629793238.db2.gz RGSKATWLXYTVBJ-PKNBQFBNSA-N 0 0 293.319 2.966 20 5 CFBDRN COC(C)(C)COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000835501530 629797560 /nfs/dbraw/zinc/79/75/60/629797560.db2.gz VSOQHOLYCMADJL-UHFFFAOYSA-N 0 0 292.291 2.658 20 5 CFBDRN CC1(C(=O)COC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CC1 ZINC000795573084 629803451 /nfs/dbraw/zinc/80/34/51/629803451.db2.gz KNXOAMKNTVOEOW-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN C[C@@H](C(=O)OCCC[C@H](C)O)c1ccc([N+](=O)[O-])cc1F ZINC000795592305 629808283 /nfs/dbraw/zinc/80/82/83/629808283.db2.gz VQKRAJJSWOMBAO-VHSXEESVSA-N 0 0 299.298 2.542 20 5 CFBDRN CCc1[nH]nc(C(=O)OCCC2CCCC2)c1[N+](=O)[O-] ZINC000795614769 629811952 /nfs/dbraw/zinc/81/19/52/629811952.db2.gz RLYHMZXGGJTXGO-UHFFFAOYSA-N 0 0 281.312 2.617 20 5 CFBDRN C[C@@H](OC(=O)C1CCC(O)CC1)c1ccccc1[N+](=O)[O-] ZINC000795642372 629815610 /nfs/dbraw/zinc/81/56/10/629815610.db2.gz BUIJVTIAADFTOS-VOMCLLRMSA-N 0 0 293.319 2.750 20 5 CFBDRN C[C@H]1C[C@H](c2ccccc2)N(Cn2nccc2[N+](=O)[O-])C1 ZINC000795744182 629828917 /nfs/dbraw/zinc/82/89/17/629828917.db2.gz LLIJVYQRCCNWDZ-GXTWGEPZSA-N 0 0 286.335 2.832 20 5 CFBDRN CC(C)(C(=O)OCc1ccccc1[N+](=O)[O-])C(F)F ZINC000795859836 629842610 /nfs/dbraw/zinc/84/26/10/629842610.db2.gz IYQMPCAQAXSWPY-UHFFFAOYSA-N 0 0 273.235 2.929 20 5 CFBDRN Cc1c(COC(=O)C[C@@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000795904719 629847700 /nfs/dbraw/zinc/84/77/00/629847700.db2.gz YTBIMDUZUSWSFY-LBPRGKRZSA-N 0 0 293.319 2.763 20 5 CFBDRN CC[C@@H](C)[C@H](CC)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000835588148 629860201 /nfs/dbraw/zinc/86/02/01/629860201.db2.gz KCGDTFYMFJYSIT-SKDRFNHKSA-N 0 0 268.313 2.915 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000796003766 629861933 /nfs/dbraw/zinc/86/19/33/629861933.db2.gz SVLAZBGTUZVPAX-GWCFXTLKSA-N 0 0 281.308 2.699 20 5 CFBDRN CCCN(C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000796011548 629863571 /nfs/dbraw/zinc/86/35/71/629863571.db2.gz LQGWLLNDNVEMFF-UHFFFAOYSA-N 0 0 279.340 2.531 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000796251524 629896414 /nfs/dbraw/zinc/89/64/14/629896414.db2.gz GNEWRKAOCORXDB-MRVPVSSYSA-N 0 0 269.301 2.787 20 5 CFBDRN COc1c(C(=O)OCCCSC)cccc1[N+](=O)[O-] ZINC000796312125 629902609 /nfs/dbraw/zinc/90/26/09/629902609.db2.gz OLJJMOXSGQALHO-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CC[C@@H](C)[C@H](COC(=O)Cc1ccccc1[N+](=O)[O-])OC ZINC000835642489 629911660 /nfs/dbraw/zinc/91/16/60/629911660.db2.gz LGFSILXHHJGXSS-RISCZKNCSA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@@](C)(OC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000796413510 629915901 /nfs/dbraw/zinc/91/59/01/629915901.db2.gz VHFXWIGFWMHILK-CYBMUJFWSA-N 0 0 285.271 2.592 20 5 CFBDRN COc1cccc(C(=O)OCC[C@@H](C)SC)c1[N+](=O)[O-] ZINC000835666815 629928491 /nfs/dbraw/zinc/92/84/91/629928491.db2.gz JRFXLRWSVMKDQW-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN CS[C@@H](C)CCOC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835673889 629935466 /nfs/dbraw/zinc/93/54/66/629935466.db2.gz UGPNNNUEBDAMSO-VIFPVBQESA-N 0 0 263.359 2.507 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000796591154 629939321 /nfs/dbraw/zinc/93/93/21/629939321.db2.gz HSCLATHWIFLZKI-NOZJJQNGSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C[C@H]1CCC(=O)[C@@H]1C ZINC000796650132 629945840 /nfs/dbraw/zinc/94/58/40/629945840.db2.gz ZMQKHQMTUZLJGM-GHMZBOCLSA-N 0 0 291.303 2.814 20 5 CFBDRN COc1c(C(=O)OC[C@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000796741036 629957972 /nfs/dbraw/zinc/95/79/72/629957972.db2.gz DMBMPUGSKOSMDQ-SECBINFHSA-N 0 0 297.332 2.656 20 5 CFBDRN C[C@@H](CC(C)(C)C)OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000835705044 629970612 /nfs/dbraw/zinc/97/06/12/629970612.db2.gz ISDQTXFQYHFBNG-JTQLQIEISA-N 0 0 283.328 2.549 20 5 CFBDRN COc1c(C(=O)OCCC2(C)CC2)cccc1[N+](=O)[O-] ZINC000796905753 629987736 /nfs/dbraw/zinc/98/77/36/629987736.db2.gz MQLVLBHUGHJDAK-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CNc1c(C(=O)OC2CC3(CC3)C2)cccc1[N+](=O)[O-] ZINC000835726814 629990520 /nfs/dbraw/zinc/99/05/20/629990520.db2.gz RCYWKCOFBFJBAJ-UHFFFAOYSA-N 0 0 276.292 2.736 20 5 CFBDRN O=C(OCCc1nccs1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000796949181 629994445 /nfs/dbraw/zinc/99/44/45/629994445.db2.gz PSPRGIMKMVZPFQ-UHFFFAOYSA-N 0 0 296.279 2.590 20 5 CFBDRN COc1cccc(C(=O)OC2CC3(CC3)C2)c1[N+](=O)[O-] ZINC000835728026 629995516 /nfs/dbraw/zinc/99/55/16/629995516.db2.gz UCSDSKCFHHNMQT-UHFFFAOYSA-N 0 0 277.276 2.703 20 5 CFBDRN O=C(OC1CC2(CC2)C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000835728113 629995555 /nfs/dbraw/zinc/99/55/55/629995555.db2.gz GBBTXKPFNNGMNL-UHFFFAOYSA-N 0 0 265.240 2.833 20 5 CFBDRN C[C@@H]1OCC[C@@H]1OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000797096577 630016538 /nfs/dbraw/zinc/01/65/38/630016538.db2.gz GQEAVQWCXKONAW-GWCFXTLKSA-N 0 0 293.319 2.593 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1OC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000797148207 630027459 /nfs/dbraw/zinc/02/74/59/630027459.db2.gz IIOWWVWPDVVGHN-CHWSQXEVSA-N 0 0 297.282 2.848 20 5 CFBDRN CS[C@H](C)[C@@H](C)OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000797188949 630035230 /nfs/dbraw/zinc/03/52/30/630035230.db2.gz ACAIDGBBLJEOKW-NXEZZACHSA-N 0 0 283.349 2.821 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)/C=C/C1CC1 ZINC000797474305 630070843 /nfs/dbraw/zinc/07/08/43/630070843.db2.gz GXSYWPOALMISIP-QPJJXVBHSA-N 0 0 277.276 2.613 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@@H]1CCCS1 ZINC000797474886 630070939 /nfs/dbraw/zinc/07/09/39/630070939.db2.gz SNMQNBXFLQHOOH-LBPRGKRZSA-N 0 0 297.332 2.542 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H]1CC12CCC2 ZINC000797474172 630070943 /nfs/dbraw/zinc/07/09/43/630070943.db2.gz DURHZNDZMXHYOY-GFCCVEGCSA-N 0 0 291.303 2.837 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@@H](C)C1CC1 ZINC000797475006 630071514 /nfs/dbraw/zinc/07/15/14/630071514.db2.gz UECCFSMZBOLVHM-VIFPVBQESA-N 0 0 279.292 2.693 20 5 CFBDRN CSCCCOC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000797495705 630074245 /nfs/dbraw/zinc/07/42/45/630074245.db2.gz NDXLACPWXUBTBP-UHFFFAOYSA-N 0 0 299.348 2.578 20 5 CFBDRN CNc1c(C(=O)O[C@H](C)CCSC)cccc1[N+](=O)[O-] ZINC000835810492 630076021 /nfs/dbraw/zinc/07/60/21/630076021.db2.gz BVDCRXAZJQRPQH-SECBINFHSA-N 0 0 298.364 2.935 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000797578557 630083359 /nfs/dbraw/zinc/08/33/59/630083359.db2.gz YLFUPIFMZAYRNC-RLKNZVFVSA-N 0 0 286.331 2.913 20 5 CFBDRN CC1=CCCN(Cn2ncc3cc([N+](=O)[O-])ccc32)C1 ZINC000797823327 630108822 /nfs/dbraw/zinc/10/88/22/630108822.db2.gz SEURCKKQAYLHOW-UHFFFAOYSA-N 0 0 272.308 2.554 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc[nH]1 ZINC000797859215 630114003 /nfs/dbraw/zinc/11/40/03/630114003.db2.gz VTUKDOZLPMKNFO-UHFFFAOYSA-N 0 0 280.667 2.933 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C12CCC2 ZINC000835886525 630135748 /nfs/dbraw/zinc/13/57/48/630135748.db2.gz NOFRAFSRSBYRRR-QWHCGFSZSA-N 0 0 291.303 2.709 20 5 CFBDRN Cc1c(NC(=O)Cc2ccc(F)cn2)cccc1[N+](=O)[O-] ZINC000798243991 630173262 /nfs/dbraw/zinc/17/32/62/630173262.db2.gz PNPSPCCBLLVABZ-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN CC[C@@](C)(COC(=O)c1ccc([N+](=O)[O-])c(C)c1)OC ZINC000835957605 630178155 /nfs/dbraw/zinc/17/81/55/630178155.db2.gz PMAMTZHHSJQTCA-AWEZNQCLSA-N 0 0 281.308 2.875 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cc(OC)ccc1[N+](=O)[O-])OC ZINC000835961230 630184509 /nfs/dbraw/zinc/18/45/09/630184509.db2.gz MQCJMXRDTBTUHH-AWEZNQCLSA-N 0 0 297.307 2.575 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3ccccn3)C2)c1 ZINC000798414063 630188546 /nfs/dbraw/zinc/18/85/46/630188546.db2.gz DDPHVLVTXLGHFX-GFCCVEGCSA-N 0 0 299.330 2.992 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](c3ccccn3)C2)cc1 ZINC000798417859 630189137 /nfs/dbraw/zinc/18/91/37/630189137.db2.gz YNIUNINVOITVKH-GFCCVEGCSA-N 0 0 269.304 2.984 20 5 CFBDRN Cc1cc(N2CC[C@@H](c3ccccn3)C2)ncc1[N+](=O)[O-] ZINC000798415415 630189469 /nfs/dbraw/zinc/18/94/69/630189469.db2.gz IALBZRQLPGRFOH-GFCCVEGCSA-N 0 0 284.319 2.687 20 5 CFBDRN CC(C)(CCC(=O)OCCOCC1CCCC1)[N+](=O)[O-] ZINC000835983254 630200254 /nfs/dbraw/zinc/20/02/54/630200254.db2.gz KYBOCQSAMMVWGK-UHFFFAOYSA-N 0 0 287.356 2.572 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)C1CC1 ZINC000798568106 630208253 /nfs/dbraw/zinc/20/82/53/630208253.db2.gz PEUSUJVXSYKXJE-ZETCQYMHSA-N 0 0 297.694 2.773 20 5 CFBDRN CC(C)C[C@H](C)COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000798586066 630210013 /nfs/dbraw/zinc/21/00/13/630210013.db2.gz HRZGVWUVBNKLPQ-JTQLQIEISA-N 0 0 268.313 2.772 20 5 CFBDRN Cc1cc(F)cc(C(=O)O[C@@H](C)C(=O)C2CC2)c1[N+](=O)[O-] ZINC000798632671 630218513 /nfs/dbraw/zinc/21/85/13/630218513.db2.gz QLCWXTWPGCFHBD-QMMMGPOBSA-N 0 0 295.266 2.567 20 5 CFBDRN Cc1c(C(=O)OCc2ccccc2[N+](=O)[O-])ccn1C ZINC000798727069 630235858 /nfs/dbraw/zinc/23/58/58/630235858.db2.gz CONMOCONUWJPAZ-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN Cc1cccc(C(=O)O[C@H](C)c2csnn2)c1[N+](=O)[O-] ZINC000836094067 630335494 /nfs/dbraw/zinc/33/54/94/630335494.db2.gz BYVICMHSUBIBDL-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2csnn2)cc([N+](=O)[O-])c1 ZINC000836096371 630347295 /nfs/dbraw/zinc/34/72/95/630347295.db2.gz NUUDZKVSLLQBMV-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000799861864 630348331 /nfs/dbraw/zinc/34/83/31/630348331.db2.gz LXKCLFVTDQZSNP-OUJBWJOFSA-N 0 0 279.292 2.622 20 5 CFBDRN CCC(C)(C)NC(=O)CNc1c(C)c([N+](=O)[O-])ccc1F ZINC000799973151 630357838 /nfs/dbraw/zinc/35/78/38/630357838.db2.gz KXUWBADNGLEADO-UHFFFAOYSA-N 0 0 297.330 2.759 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@H]1C[C@@H]1C(F)F ZINC000800802701 630405838 /nfs/dbraw/zinc/40/58/38/630405838.db2.gz SKJSDOLXCUUZRC-BQBZGAKWSA-N 0 0 274.198 2.574 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1C[C@@H]1C(F)F ZINC000800823948 630408905 /nfs/dbraw/zinc/40/89/05/630408905.db2.gz SFTOFENYUCRNBL-WHFBIAKZSA-N 0 0 292.188 2.713 20 5 CFBDRN CCCCNC(=S)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000800828815 630409605 /nfs/dbraw/zinc/40/96/05/630409605.db2.gz SOQVLEKOBLCHOO-UHFFFAOYSA-N 0 0 295.408 2.744 20 5 CFBDRN O=C1O[C@H](CSc2cccc([N+](=O)[O-])c2)CC12CC2 ZINC000800839200 630410076 /nfs/dbraw/zinc/41/00/76/630410076.db2.gz ZEPBLKMSNQFZNV-JTQLQIEISA-N 0 0 279.317 2.783 20 5 CFBDRN CSc1cc(CNc2cc(N)ccc2[N+](=O)[O-])ccn1 ZINC000800862142 630412252 /nfs/dbraw/zinc/41/22/52/630412252.db2.gz IUZOFMXLFHFSRK-UHFFFAOYSA-N 0 0 290.348 2.906 20 5 CFBDRN O=C(CCNc1cccnc1[N+](=O)[O-])OC1CCCCC1 ZINC000800886510 630414389 /nfs/dbraw/zinc/41/43/89/630414389.db2.gz QWRUGNXCBMUUQR-UHFFFAOYSA-N 0 0 293.323 2.668 20 5 CFBDRN Cc1nc(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)co1 ZINC000801390543 630443911 /nfs/dbraw/zinc/44/39/11/630443911.db2.gz HCVLUAJUUABKSP-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1nc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])co1 ZINC000801395580 630444510 /nfs/dbraw/zinc/44/45/10/630444510.db2.gz VFWWVJLHYHNAHS-QMMMGPOBSA-N 0 0 276.248 2.809 20 5 CFBDRN CCn1cc(CN(C)Cc2ccc([N+](=O)[O-])c(F)c2)cn1 ZINC000801517507 630452743 /nfs/dbraw/zinc/45/27/43/630452743.db2.gz PBAWZJXMCPLXSY-UHFFFAOYSA-N 0 0 292.314 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(C2)CC(F)(F)C3)cc1F ZINC000801525873 630453717 /nfs/dbraw/zinc/45/37/17/630453717.db2.gz QUOXGYIRRHZGIY-UHFFFAOYSA-N 0 0 286.253 2.965 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801815467 630472721 /nfs/dbraw/zinc/47/27/21/630472721.db2.gz GCLJHUOBHPPLNT-UHFFFAOYSA-N 0 0 295.314 2.577 20 5 CFBDRN CC[N@H+](Cc1cc([N+](=O)[O-])ccc1Cl)[C@H](C)C(=O)[O-] ZINC000852734165 634816497 /nfs/dbraw/zinc/81/64/97/634816497.db2.gz FMULOVWNYZDJEG-MRVPVSSYSA-N 0 0 286.715 2.543 20 5 CFBDRN CC(C)C(NC(=O)c1cc([N+](=O)[O-])c(F)cc1N)C(C)C ZINC000801927749 630490108 /nfs/dbraw/zinc/49/01/08/630490108.db2.gz ASPQAERTHIJOGY-UHFFFAOYSA-N 0 0 297.330 2.727 20 5 CFBDRN CCO[C@H](C)C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000103675937 630525883 /nfs/dbraw/zinc/52/58/83/630525883.db2.gz MNIULBWNKHNYJZ-VHSXEESVSA-N 0 0 267.281 2.624 20 5 CFBDRN Nc1ccc(C(=O)Oc2ccc3cc[nH]c3c2)cc1[N+](=O)[O-] ZINC000802958859 630571710 /nfs/dbraw/zinc/57/17/10/630571710.db2.gz GUFBCMPETSJHBE-UHFFFAOYSA-N 0 0 297.270 2.878 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H]1Cc2ccccc21 ZINC000803213367 630590371 /nfs/dbraw/zinc/59/03/71/630590371.db2.gz RSOMUCYVDXMTBR-LLVKDONJSA-N 0 0 298.298 2.674 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1csc([N+](=O)[O-])c1 ZINC000803289738 630599159 /nfs/dbraw/zinc/59/91/59/630599159.db2.gz RFHMNYKQFMBPGS-UHFFFAOYSA-N 0 0 283.305 2.572 20 5 CFBDRN CS/C=C\C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803398140 630612431 /nfs/dbraw/zinc/61/24/31/630612431.db2.gz MVTQEFZYSACRGF-IHWYPQMZSA-N 0 0 289.259 2.793 20 5 CFBDRN CC(C)=CC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000803409904 630613896 /nfs/dbraw/zinc/61/38/96/630613896.db2.gz PVDVPSAKVLRFJA-UHFFFAOYSA-N 0 0 262.309 2.562 20 5 CFBDRN C/C(=C\C(=O)OCCCCCO)c1ccc([N+](=O)[O-])cc1 ZINC000803430595 630617517 /nfs/dbraw/zinc/61/75/17/630617517.db2.gz HRLZAMSOCNPHFO-VAWYXSNFSA-N 0 0 293.319 2.704 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC[C@H]1C ZINC000803634374 630632151 /nfs/dbraw/zinc/63/21/51/630632151.db2.gz COSMNMWIEOMAEP-SCQHFZSPSA-N 0 0 274.320 2.913 20 5 CFBDRN C/C(=C\C(=O)N[C@@H]1CC[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000803636169 630632982 /nfs/dbraw/zinc/63/29/82/630632982.db2.gz VMIKZBXGWRERLB-HFXGUMCQSA-N 0 0 274.320 2.913 20 5 CFBDRN CCc1[nH]ccc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000803776294 630641319 /nfs/dbraw/zinc/64/13/19/630641319.db2.gz OGLZIIBQUSJSMM-UHFFFAOYSA-N 0 0 292.266 2.981 20 5 CFBDRN Cc1ccc2nc(CNc3ccc(N)cc3[N+](=O)[O-])cn2c1 ZINC000804367719 630676091 /nfs/dbraw/zinc/67/60/91/630676091.db2.gz CAFPSJXILBOTCJ-UHFFFAOYSA-N 0 0 297.318 2.745 20 5 CFBDRN O=C(CNc1cc(Cl)ccc1[N+](=O)[O-])NC1CCCC1 ZINC000804393403 630678876 /nfs/dbraw/zinc/67/88/76/630678876.db2.gz IPSMTCHZLQLTCJ-UHFFFAOYSA-N 0 0 297.742 2.719 20 5 CFBDRN CC(C)CN(C)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804388397 630678941 /nfs/dbraw/zinc/67/89/41/630678941.db2.gz SPTKHRMGKVGHKC-UHFFFAOYSA-N 0 0 253.258 2.595 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCC1(O)CCCCC1 ZINC000804401546 630679729 /nfs/dbraw/zinc/67/97/29/630679729.db2.gz XSQMGUFLFVSWOM-UHFFFAOYSA-N 0 0 295.295 2.610 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CCC[C@@H](O)C1 ZINC000804500061 630688306 /nfs/dbraw/zinc/68/83/06/630688306.db2.gz JRMHKXTWXKXADJ-WCQYABFASA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1nonc1CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804508677 630688781 /nfs/dbraw/zinc/68/87/81/630688781.db2.gz DOZGWHANDKNCLH-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN CO[C@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C(C)C ZINC000804528018 630689934 /nfs/dbraw/zinc/68/99/34/630689934.db2.gz YOTAUSFMTUHUEE-LLVKDONJSA-N 0 0 283.284 2.586 20 5 CFBDRN CC1(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCOCC1 ZINC000804537871 630691441 /nfs/dbraw/zinc/69/14/41/630691441.db2.gz AZSCLDZKWHVWMK-UHFFFAOYSA-N 0 0 295.295 2.732 20 5 CFBDRN Nc1ccc(NCCC[C@@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000804561555 630693130 /nfs/dbraw/zinc/69/31/30/630693130.db2.gz KOUWUCGRURAKJU-NHYWBVRUSA-N 0 0 293.367 2.920 20 5 CFBDRN C[C@H]1OCC[C@H]1Sc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804573557 630756997 /nfs/dbraw/zinc/75/69/97/630756997.db2.gz GVXMHGVSAZDURL-GMSGAONNSA-N 0 0 284.293 2.773 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804593945 630761679 /nfs/dbraw/zinc/76/16/79/630761679.db2.gz ISCMHZUGXWMBPK-CABZTGNLSA-N 0 0 295.295 2.730 20 5 CFBDRN C[C@H]1CC(Nc2ccc(N)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000804587579 630762061 /nfs/dbraw/zinc/76/20/61/630762061.db2.gz TWFYJVKFWSVLBF-IUCAKERBSA-N 0 0 265.313 2.545 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@@H]1F ZINC000804597782 630762685 /nfs/dbraw/zinc/76/26/85/630762685.db2.gz NWDKPMNERWXFNE-BDAKNGLRSA-N 0 0 283.259 2.687 20 5 CFBDRN Nc1ccc(NCCC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000804614319 630764917 /nfs/dbraw/zinc/76/49/17/630764917.db2.gz YYQDBAXVQYTQCD-GFCCVEGCSA-N 0 0 279.340 2.938 20 5 CFBDRN CCC(C)(C)c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000867170221 630765080 /nfs/dbraw/zinc/76/50/80/630765080.db2.gz GFVRTOMJMGDSBL-UHFFFAOYSA-N 0 0 255.318 2.745 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCCCCCC1 ZINC000836239179 630777835 /nfs/dbraw/zinc/77/78/35/630777835.db2.gz YCFXLLHZASKELX-UHFFFAOYSA-N 0 0 265.313 2.719 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NCC(F)(F)F)cc1Cl ZINC000836297691 630785930 /nfs/dbraw/zinc/78/59/30/630785930.db2.gz BGIQIHIFFCNZOY-UHFFFAOYSA-N 0 0 296.632 2.849 20 5 CFBDRN Cc1ccccc1[C@@H](O)Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000806631439 630824926 /nfs/dbraw/zinc/82/49/26/630824926.db2.gz OPWBVWQROUPITJ-AWEZNQCLSA-N 0 0 287.319 2.711 20 5 CFBDRN Cc1ccccc1OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000040876556 649987137 /nfs/dbraw/zinc/98/71/37/649987137.db2.gz CRGWPUTZMSDDQY-UHFFFAOYSA-N 0 0 287.271 2.888 20 5 CFBDRN CSCCNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807917217 630921861 /nfs/dbraw/zinc/92/18/61/630921861.db2.gz QUYNLZGJHGDPCA-UHFFFAOYSA-N 0 0 254.311 2.572 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H](O)c1ccco1 ZINC000807924995 630922719 /nfs/dbraw/zinc/92/27/19/630922719.db2.gz CXWVKHQCTQNRSG-CYBMUJFWSA-N 0 0 290.275 2.536 20 5 CFBDRN Cc1nc(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])co1 ZINC000808023404 630943330 /nfs/dbraw/zinc/94/33/30/630943330.db2.gz JMFNYXQTFKMORU-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN CC(C)COC(=O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000808056528 630945793 /nfs/dbraw/zinc/94/57/93/630945793.db2.gz YJIQVBORONHRGW-UHFFFAOYSA-N 0 0 287.699 2.826 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC1=CCCOC1 ZINC000808096851 630950141 /nfs/dbraw/zinc/95/01/41/630950141.db2.gz ITPHAYUWJOFUMK-UHFFFAOYSA-N 0 0 276.292 2.556 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@H](C3CC3)C2)nc2ccccn21 ZINC000808125187 630952938 /nfs/dbraw/zinc/95/29/38/630952938.db2.gz MPHJOXKYUGGJFC-LBPRGKRZSA-N 0 0 286.335 2.869 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000102708559 630954758 /nfs/dbraw/zinc/95/47/58/630954758.db2.gz XTZJSKMJVSBOGJ-CMPLNLGQSA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000102708563 630954912 /nfs/dbraw/zinc/95/49/12/630954912.db2.gz XTZJSKMJVSBOGJ-JQWIXIFHSA-N 0 0 279.292 2.626 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2COc3ccccc32)c1 ZINC000808156660 630960713 /nfs/dbraw/zinc/96/07/13/630960713.db2.gz NQDRMTONHYZDPY-JTQLQIEISA-N 0 0 285.303 2.765 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COc3ccccc3[C@@H]2O)s1 ZINC000808168639 630963279 /nfs/dbraw/zinc/96/32/79/630963279.db2.gz NZQDUAIAIYSLQQ-RNCFNFMXSA-N 0 0 292.316 2.563 20 5 CFBDRN CC1(C)CN(Cn2cc([N+](=O)[O-])cn2)[C@@H]1c1cccs1 ZINC000808221626 630968440 /nfs/dbraw/zinc/96/84/40/630968440.db2.gz BQCHEUFFXGRXGW-GFCCVEGCSA-N 0 0 292.364 2.893 20 5 CFBDRN Nc1ccc(OCc2ccc3c(c2)COC3)cc1[N+](=O)[O-] ZINC000808256380 630972011 /nfs/dbraw/zinc/97/20/11/630972011.db2.gz JPZALOKFNGCMCX-UHFFFAOYSA-N 0 0 286.287 2.786 20 5 CFBDRN CCON(CC1CCCCC1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000837200239 630975570 /nfs/dbraw/zinc/97/55/70/630975570.db2.gz ZIZJVYBUJWYULR-UHFFFAOYSA-N 0 0 295.339 2.897 20 5 CFBDRN COCC1(C(=O)Oc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000837318499 630996500 /nfs/dbraw/zinc/99/65/00/630996500.db2.gz QXYAVXAORPNOPH-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)OC/C=C/Cl ZINC000808562093 631014342 /nfs/dbraw/zinc/01/43/42/631014342.db2.gz CTSBMIKPZXROQE-OWOJBTEDSA-N 0 0 291.637 2.711 20 5 CFBDRN CC(=O)CCCCCOC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000837394351 631019746 /nfs/dbraw/zinc/01/97/46/631019746.db2.gz DNDVQQOSGJWNES-UHFFFAOYSA-N 0 0 294.307 2.604 20 5 CFBDRN CC(=O)CCCCCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000837447320 631032828 /nfs/dbraw/zinc/03/28/28/631032828.db2.gz VFCSZFUMGJOJEL-UHFFFAOYSA-N 0 0 285.321 2.963 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000808810318 631052124 /nfs/dbraw/zinc/05/21/24/631052124.db2.gz ZWPNPMCXDAUYAI-SKDRFNHKSA-N 0 0 296.298 2.796 20 5 CFBDRN CC(C)(C)C[C@@H]1CCN(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000840150966 631060977 /nfs/dbraw/zinc/06/09/77/631060977.db2.gz ZVWOLMVGTMFHQZ-NSHDSACASA-N 0 0 266.345 2.507 20 5 CFBDRN COC1(CCOC(=O)c2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000809054562 631073196 /nfs/dbraw/zinc/07/31/96/631073196.db2.gz XGDMBEPMKOLBBA-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN Cc1cnc(CNc2ccc(Cl)c([N+](=O)[O-])c2)nc1 ZINC000809187353 631094057 /nfs/dbraw/zinc/09/40/57/631094057.db2.gz STDSMTAYUJBAIE-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CCC[C@H](OC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000837806636 631131639 /nfs/dbraw/zinc/13/16/39/631131639.db2.gz URYXXYQAUQZVAR-VIFPVBQESA-N 0 0 273.310 2.515 20 5 CFBDRN Cc1c(COC(=O)CSC2CC2)cccc1[N+](=O)[O-] ZINC000837872478 631146217 /nfs/dbraw/zinc/14/62/17/631146217.db2.gz NREGJHAKIWUUPS-UHFFFAOYSA-N 0 0 281.333 2.842 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1Cc2ccccc21)[N+](=O)[O-] ZINC000837925138 631151710 /nfs/dbraw/zinc/15/17/10/631151710.db2.gz KWNYLSXAUCDCQM-GFCCVEGCSA-N 0 0 277.320 2.705 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc(-c2ccc([N+](=O)[O-])cc2)[nH]n1 ZINC000837964768 631155015 /nfs/dbraw/zinc/15/50/15/631155015.db2.gz VVPLWNQFUKXFRR-VIFPVBQESA-N 0 0 289.291 2.940 20 5 CFBDRN CC(C)n1nnnc1SCc1cc(F)ccc1[N+](=O)[O-] ZINC000042154491 649995813 /nfs/dbraw/zinc/99/58/13/649995813.db2.gz BUKGJXXLUZMDQT-UHFFFAOYSA-N 0 0 297.315 2.594 20 5 CFBDRN CC[C@@H](C)NC(=S)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000840764369 631220582 /nfs/dbraw/zinc/22/05/82/631220582.db2.gz SURBABGXGVAZAW-ZJUUUORDSA-N 0 0 281.381 2.918 20 5 CFBDRN O=C(NCc1cocn1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000809913198 631300962 /nfs/dbraw/zinc/30/09/62/631300962.db2.gz YTAZCHSEYFIKRB-UHFFFAOYSA-N 0 0 296.670 2.558 20 5 CFBDRN Cc1ncsc1COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000809949729 631303112 /nfs/dbraw/zinc/30/31/12/631303112.db2.gz YQFUUKOAQOKTET-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000841010538 631305905 /nfs/dbraw/zinc/30/59/05/631305905.db2.gz FUFBYWJSORCTLK-CYBMUJFWSA-N 0 0 281.308 2.699 20 5 CFBDRN CO[C@@H](C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-])C1CC1 ZINC000841015040 631307101 /nfs/dbraw/zinc/30/71/01/631307101.db2.gz BWALNHKIOWFADY-CYBMUJFWSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1ncsc1COc1ccc(N)c([N+](=O)[O-])c1 ZINC000809994806 631310429 /nfs/dbraw/zinc/31/04/29/631310429.db2.gz GWIYMHYJPWPYGF-UHFFFAOYSA-N 0 0 265.294 2.521 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000841059596 631325536 /nfs/dbraw/zinc/32/55/36/631325536.db2.gz HEOVSIVBFOKNIK-CYBMUJFWSA-N 0 0 281.308 2.870 20 5 CFBDRN CCCCc1ccc(OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000841162071 631351265 /nfs/dbraw/zinc/35/12/65/631351265.db2.gz SHTYEQQIXLKARX-CHWSQXEVSA-N 0 0 263.293 2.600 20 5 CFBDRN Cc1cccc2c1N(C(=O)[C@@H]1CC1[N+](=O)[O-])CC[C@@H]2C ZINC000841242490 631367369 /nfs/dbraw/zinc/36/73/69/631367369.db2.gz IPTSFNYNPKIAAE-ZWKOPEQDSA-N 0 0 274.320 2.500 20 5 CFBDRN Cc1ccc(Cl)c2c1CCCN2C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000841253399 631368995 /nfs/dbraw/zinc/36/89/95/631368995.db2.gz PDUYFIMWBNJTKO-ZYHUDNBSSA-N 0 0 294.738 2.593 20 5 CFBDRN CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1F)CC(C)C ZINC000841350411 631393161 /nfs/dbraw/zinc/39/31/61/631393161.db2.gz IBECTADGHVEHON-LLVKDONJSA-N 0 0 298.314 2.525 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000867031912 631456115 /nfs/dbraw/zinc/45/61/15/631456115.db2.gz ACRXVEYLTDDZML-SDJAHQOYSA-N 0 0 288.347 2.546 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H]1CCC(C)(C)C1=O ZINC000840219169 631490642 /nfs/dbraw/zinc/49/06/42/631490642.db2.gz GZGAIPXRNMDMBG-GFCCVEGCSA-N 0 0 291.303 2.818 20 5 CFBDRN COCCCCN(C)c1ccc([N+](=O)[O-])cc1COC ZINC000842050809 631513034 /nfs/dbraw/zinc/51/30/34/631513034.db2.gz XBYSGDOCUKDOTB-UHFFFAOYSA-N 0 0 282.340 2.604 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccn3c2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000842049467 631513067 /nfs/dbraw/zinc/51/30/67/631513067.db2.gz UNRQVMARCDZKBA-VWYCJHECSA-N 0 0 274.324 2.723 20 5 CFBDRN CC[C@H](COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)OC ZINC000842061611 631520350 /nfs/dbraw/zinc/52/03/50/631520350.db2.gz GEANOYJQWSVTEX-SNVBAGLBSA-N 0 0 292.291 2.658 20 5 CFBDRN CO[C@H](COC(=O)c1csc([N+](=O)[O-])c1)CC(C)C ZINC000842066020 631521704 /nfs/dbraw/zinc/52/17/04/631521704.db2.gz JFEKHHXGQQREKH-JTQLQIEISA-N 0 0 287.337 2.874 20 5 CFBDRN COC1(COC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000811165881 631526760 /nfs/dbraw/zinc/52/67/60/631526760.db2.gz BMILOMVZSBBSPP-NSHDSACASA-N 0 0 293.319 2.811 20 5 CFBDRN CC[C@@H](COC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1)OC ZINC000842089187 631534691 /nfs/dbraw/zinc/53/46/91/631534691.db2.gz BNMYVURYGRRZGB-MARXPDLDSA-N 0 0 293.319 2.966 20 5 CFBDRN CC[C@@H](COC(=O)c1cccc(SC)c1[N+](=O)[O-])OC ZINC000842091609 631536153 /nfs/dbraw/zinc/53/61/53/631536153.db2.gz OMKJYRGHWGOZKD-VIFPVBQESA-N 0 0 299.348 2.899 20 5 CFBDRN CC[C@@H](COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000842091670 631536400 /nfs/dbraw/zinc/53/64/00/631536400.db2.gz GAWAQENFVGOBPQ-GWCFXTLKSA-N 0 0 281.308 2.667 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])C1SCCCS1 ZINC000842122616 631549103 /nfs/dbraw/zinc/54/91/03/631549103.db2.gz ZXUURMQYUAAVCR-UHFFFAOYSA-N 0 0 299.373 2.834 20 5 CFBDRN O=C(OCC1(F)CC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842345467 631600106 /nfs/dbraw/zinc/60/01/06/631600106.db2.gz MIZNVSSTRGALTC-NWDGAFQWSA-N 0 0 279.267 2.744 20 5 CFBDRN CCc1noc(-c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)n1 ZINC000811825025 631628205 /nfs/dbraw/zinc/62/82/05/631628205.db2.gz FMYQULKTSMJMAD-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN Cc1cc(C)c(Cc2noc([C@@H]3CC3[N+](=O)[O-])n2)c(C)c1 ZINC000842486503 631642186 /nfs/dbraw/zinc/64/21/86/631642186.db2.gz APBVJSRKOHQCLO-CHWSQXEVSA-N 0 0 287.319 2.718 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ncc2c(n1)CCCC2 ZINC000840240969 631643287 /nfs/dbraw/zinc/64/32/87/631643287.db2.gz HVUQRAZMIMGPQY-UHFFFAOYSA-N 0 0 298.346 2.900 20 5 CFBDRN Cc1cc(=O)n(CC[C@H]2CC2(Cl)Cl)cc1[N+](=O)[O-] ZINC000842568604 631664931 /nfs/dbraw/zinc/66/49/31/631664931.db2.gz UOGLNRCDVYTTKD-QMMMGPOBSA-N 0 0 291.134 2.649 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCc2sc(Cl)cc2C1 ZINC000842617687 631682834 /nfs/dbraw/zinc/68/28/34/631682834.db2.gz ITQXHLFNYKBPTK-UHFFFAOYSA-N 0 0 298.755 2.522 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000812633469 631749532 /nfs/dbraw/zinc/74/95/32/631749532.db2.gz YOOVKQFHSFFFAZ-ZJUUUORDSA-N 0 0 299.298 2.696 20 5 CFBDRN CO[C@@](C)(C(=O)OCc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000812667734 631756367 /nfs/dbraw/zinc/75/63/67/631756367.db2.gz UDTGVZSELOCQED-OAHLLOKOSA-N 0 0 293.319 2.762 20 5 CFBDRN CC(C)(CCc1noc(CC[C@H]2CCCCO2)n1)[N+](=O)[O-] ZINC000812812124 631788427 /nfs/dbraw/zinc/78/84/27/631788427.db2.gz XHUOMARBTGOCCC-LLVKDONJSA-N 0 0 297.355 2.559 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CCC(F)(F)C2)n1)[N+](=O)[O-] ZINC000812811886 631788522 /nfs/dbraw/zinc/78/85/22/631788522.db2.gz NHIXGEUXWAWKTH-MRVPVSSYSA-N 0 0 289.282 2.960 20 5 CFBDRN Cc1occc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812042 631788863 /nfs/dbraw/zinc/78/88/63/631788863.db2.gz UFPZAZVPQYIMGH-UHFFFAOYSA-N 0 0 265.269 2.626 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812815237 631789598 /nfs/dbraw/zinc/78/95/98/631789598.db2.gz JHONZGNHWFANQH-FXPVBKGRSA-N 0 0 283.328 2.544 20 5 CFBDRN CSC[C@H](C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812814567 631789720 /nfs/dbraw/zinc/78/97/20/631789720.db2.gz KCVWXXZKMFUMML-QMMMGPOBSA-N 0 0 273.358 2.524 20 5 CFBDRN CCNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000812865776 631799977 /nfs/dbraw/zinc/79/99/77/631799977.db2.gz RTTQPUXDEQELGT-UHFFFAOYSA-N 0 0 263.080 2.651 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000812923467 631807661 /nfs/dbraw/zinc/80/76/61/631807661.db2.gz SBOKPTUIMDOMBA-NXEZZACHSA-N 0 0 281.308 2.727 20 5 CFBDRN O=C([O-])[C@@H]1CC[C@H]([NH2+]Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000843040831 631809544 /nfs/dbraw/zinc/80/95/44/631809544.db2.gz YWHNNFSERNGSAP-ZJUUUORDSA-N 0 0 298.726 2.591 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000813077449 631827938 /nfs/dbraw/zinc/82/79/38/631827938.db2.gz PGMIRNUCZCUORD-MNOVXSKESA-N 0 0 281.308 2.727 20 5 CFBDRN C[C@H](COC(=O)c1ccc(F)c2ccccc12)[N+](=O)[O-] ZINC000813102902 631837773 /nfs/dbraw/zinc/83/77/73/631837773.db2.gz LDFRTMJQQADYCL-SECBINFHSA-N 0 0 277.251 2.801 20 5 CFBDRN C[C@@H](COC(=O)C[C@@H]1CCCC(C)(C)C1)[N+](=O)[O-] ZINC000813101748 631837804 /nfs/dbraw/zinc/83/78/04/631837804.db2.gz IFRVUPVMUVHUBB-QWRGUYRKSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](COC(=O)CC1CCC(C(F)(F)F)CC1)[N+](=O)[O-] ZINC000813102824 631837899 /nfs/dbraw/zinc/83/78/99/631837899.db2.gz VZHZIGRQKNVIRH-XNWIYYODSA-N 0 0 297.273 2.954 20 5 CFBDRN C[C@@H](COC(=O)c1ccc2sccc2c1)[N+](=O)[O-] ZINC000813102967 631838504 /nfs/dbraw/zinc/83/85/04/631838504.db2.gz WWJIIJHDCYMVQY-QMMMGPOBSA-N 0 0 265.290 2.723 20 5 CFBDRN CCOc1cc(Cl)ccc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813104881 631839472 /nfs/dbraw/zinc/83/94/72/631839472.db2.gz SOLYJCOJQOVIHS-MRVPVSSYSA-N 0 0 287.699 2.561 20 5 CFBDRN C[C@H](CCCc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813104097 631840199 /nfs/dbraw/zinc/84/01/99/631840199.db2.gz JWQKMZTVDJPYCN-OLZOCXBDSA-N 0 0 279.336 2.854 20 5 CFBDRN CC(C)c1cccc(O[C@@H](C)C(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000813104819 631840222 /nfs/dbraw/zinc/84/02/22/631840222.db2.gz RYCAKDBFXWPJSL-RYUDHWBXSA-N 0 0 295.335 2.786 20 5 CFBDRN C[C@@H](COC(=O)[C@H]1CCc2c1cccc2Cl)[N+](=O)[O-] ZINC000813103534 631840316 /nfs/dbraw/zinc/84/03/16/631840316.db2.gz CZSQARORKHMWMI-KWQFWETISA-N 0 0 283.711 2.578 20 5 CFBDRN C[C@@H](C(=O)OC[C@H](C)[N+](=O)[O-])c1cccc(Cl)c1 ZINC000813103571 631840375 /nfs/dbraw/zinc/84/03/75/631840375.db2.gz QPJBZZUKEBFQSE-DTWKUNHWSA-N 0 0 271.700 2.652 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1-n1cccc1 ZINC000813106614 631841922 /nfs/dbraw/zinc/84/19/22/631841922.db2.gz FURGRSCFWCZNNQ-LBPRGKRZSA-N 0 0 288.303 2.608 20 5 CFBDRN C/C(=C/c1ccc(C)cc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813109102 631842621 /nfs/dbraw/zinc/84/26/21/631842621.db2.gz OKNYKYRWFSFLOM-NXIHDVOMSA-N 0 0 263.293 2.607 20 5 CFBDRN C/C(=C/c1ccc(C)cc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813109101 631842933 /nfs/dbraw/zinc/84/29/33/631842933.db2.gz OKNYKYRWFSFLOM-KGTBHZDVSA-N 0 0 263.293 2.607 20 5 CFBDRN C[C@H](COC(=O)/C=C\c1ccccc1Cl)[N+](=O)[O-] ZINC000813113686 631844484 /nfs/dbraw/zinc/84/44/84/631844484.db2.gz HVOHGMAEUCUXIF-ATJFRQLMSA-N 0 0 269.684 2.562 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CC[C@@H]2CCCC[C@H]2C1)[N+](=O)[O-] ZINC000813112362 631844985 /nfs/dbraw/zinc/84/49/85/631844985.db2.gz FYOSSRGKAGAHRZ-MROQNXINSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@H](COC(=O)c1cccc2ccccc21)[N+](=O)[O-] ZINC000813111296 631845157 /nfs/dbraw/zinc/84/51/57/631845157.db2.gz GDIUBNOEPVYZAC-SNVBAGLBSA-N 0 0 259.261 2.662 20 5 CFBDRN C[C@@H](COC(=O)CCCc1ccc(Cl)cc1)[N+](=O)[O-] ZINC000813111044 631845200 /nfs/dbraw/zinc/84/52/00/631845200.db2.gz BAIUDDXXUGEAPC-JTQLQIEISA-N 0 0 285.727 2.871 20 5 CFBDRN Cc1ccccc1C1(C(=O)OC[C@@H](C)[N+](=O)[O-])CCC1 ZINC000813114390 631845828 /nfs/dbraw/zinc/84/58/28/631845828.db2.gz ALPMQEMTQJSBJP-GFCCVEGCSA-N 0 0 277.320 2.625 20 5 CFBDRN CC[C@@H](CC1CCCC1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813114310 631845948 /nfs/dbraw/zinc/84/59/48/631845948.db2.gz PJPYLUIKQXWXHZ-PWSUYJOCSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1ccc2c(CCC(=O)OC[C@H](C)[N+](=O)[O-])c[nH]c2c1 ZINC000813115410 631846387 /nfs/dbraw/zinc/84/63/87/631846387.db2.gz REFXURHEONRWPE-NSHDSACASA-N 0 0 290.319 2.617 20 5 CFBDRN CO[C@@](C)(COC(=O)c1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000813186045 631875541 /nfs/dbraw/zinc/87/55/41/631875541.db2.gz MOMFRKQYXBAZOJ-HNNXBMFYSA-N 0 0 293.319 2.875 20 5 CFBDRN Cn1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1 ZINC000813247351 631890867 /nfs/dbraw/zinc/89/08/67/631890867.db2.gz UMPGXCJJFAEDMF-UHFFFAOYSA-N 0 0 279.683 2.839 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000813243315 631891017 /nfs/dbraw/zinc/89/10/17/631891017.db2.gz LURIITLXJFKNDY-IUCAKERBSA-N 0 0 298.314 2.899 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000867032188 631915446 /nfs/dbraw/zinc/91/54/46/631915446.db2.gz IEWZZGQFLSMUOW-ZIAGYGMSSA-N 0 0 290.363 2.936 20 5 CFBDRN CON(C)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000813396973 631917403 /nfs/dbraw/zinc/91/74/03/631917403.db2.gz SASPIYORLQTGFY-UHFFFAOYSA-N 0 0 279.079 2.535 20 5 CFBDRN CCc1cnc(CNc2cc([N+](=O)[O-])ccc2NC)o1 ZINC000843299234 631922214 /nfs/dbraw/zinc/92/22/14/631922214.db2.gz WFSAMVOLDMLTTF-UHFFFAOYSA-N 0 0 276.296 2.799 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1nnc(C2CC2)o1 ZINC000843298127 631922309 /nfs/dbraw/zinc/92/23/09/631922309.db2.gz MEHIVDURPFBBAX-UHFFFAOYSA-N 0 0 289.295 2.509 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1-c1nc(C2CC2)no1 ZINC000843321437 631927632 /nfs/dbraw/zinc/92/76/32/631927632.db2.gz VTWGEVCBHYWBLA-UHFFFAOYSA-N 0 0 274.280 2.588 20 5 CFBDRN Cc1c(NC(=O)C2(C(F)F)CC2)cccc1[N+](=O)[O-] ZINC000843523965 631975016 /nfs/dbraw/zinc/97/50/16/631975016.db2.gz RTHHKWASNVUFIB-UHFFFAOYSA-N 0 0 270.235 2.887 20 5 CFBDRN CCc1ccc([C@H](C)CC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814035218 631997230 /nfs/dbraw/zinc/99/72/30/631997230.db2.gz LOFIMMMXHMCOGZ-VXGBXAGGSA-N 0 0 279.336 2.951 20 5 CFBDRN C[C@H](CCC(=O)O[C@@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814035474 631997388 /nfs/dbraw/zinc/99/73/88/631997388.db2.gz NRRMTIAWVMXBRO-NEPJUHHUSA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@@H](C)c1c[nH]c2ccccc21 ZINC000814037213 631997744 /nfs/dbraw/zinc/99/77/44/631997744.db2.gz UKQZWEBASAZYGK-GHMZBOCLSA-N 0 0 290.319 2.870 20 5 CFBDRN CC[C@H](CC1CCCC1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814036366 631998058 /nfs/dbraw/zinc/99/80/58/631998058.db2.gz WJTZTZCDGYPJIQ-ZYHUDNBSSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])c(F)c1Cl ZINC000814036680 631998151 /nfs/dbraw/zinc/99/81/51/631998151.db2.gz IZFXXKCHJYRGNN-ZETCQYMHSA-N 0 0 275.663 2.610 20 5 CFBDRN Cc1cc(CCCC(=O)O[C@H](C)C[N+](=O)[O-])c(C)s1 ZINC000814038516 631998810 /nfs/dbraw/zinc/99/88/10/631998810.db2.gz DHACGHMJHVPUKO-SECBINFHSA-N 0 0 285.365 2.896 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)CSc1ccccc1 ZINC000814041222 631999673 /nfs/dbraw/zinc/99/96/73/631999673.db2.gz QUDUCUJZWIRVLZ-GHMZBOCLSA-N 0 0 283.349 2.623 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C(C)(C)c1ccc(Cl)cc1 ZINC000814042951 631999686 /nfs/dbraw/zinc/99/96/86/631999686.db2.gz RAOXHTPXFKDURJ-VIFPVBQESA-N 0 0 285.727 2.826 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCc1cc(Cl)cs1 ZINC000814041624 631999694 /nfs/dbraw/zinc/99/96/94/631999694.db2.gz RAUXNIBYMRTVOD-SSDOTTSWSA-N 0 0 277.729 2.543 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCc1ccc2ccccc2c1 ZINC000814043292 632000624 /nfs/dbraw/zinc/00/06/24/632000624.db2.gz CLGHTZUDQLQABV-LBPRGKRZSA-N 0 0 287.315 2.981 20 5 CFBDRN CCSc1ccccc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814045195 632000902 /nfs/dbraw/zinc/00/09/02/632000902.db2.gz YTUFEJGPIGPKJZ-VIFPVBQESA-N 0 0 269.322 2.621 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1csc(-c2ccccc2)n1 ZINC000814046422 632000945 /nfs/dbraw/zinc/00/09/45/632000945.db2.gz KELRUKLWHVHCHY-VIFPVBQESA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC000814047444 632001377 /nfs/dbraw/zinc/00/13/77/632001377.db2.gz MSKACIDUASNALT-SNVBAGLBSA-N 0 0 274.276 2.504 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(Cc2cccc(F)c2)CCC1 ZINC000814049901 632001901 /nfs/dbraw/zinc/00/19/01/632001901.db2.gz UPWOCNZTOSSOTA-NSHDSACASA-N 0 0 295.310 2.747 20 5 CFBDRN CC[C@@H](C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccc(F)cc1 ZINC000814048682 632002190 /nfs/dbraw/zinc/00/21/90/632002190.db2.gz MOROIPZFSZMHAB-JOYOIKCWSA-N 0 0 269.272 2.528 20 5 CFBDRN Cc1ccc([C@H](C)NC(=S)NC2CCC2)cc1[N+](=O)[O-] ZINC000814199160 632033646 /nfs/dbraw/zinc/03/36/46/632033646.db2.gz QCUJSOAYOPVBKV-JTQLQIEISA-N 0 0 293.392 2.981 20 5 CFBDRN COC(=O)/C=C\c1ccc(N[C@@H](C)CF)c([N+](=O)[O-])c1 ZINC000814262819 632048275 /nfs/dbraw/zinc/04/82/75/632048275.db2.gz YZWHDCZNGKRFKU-RNEXMXFGSA-N 0 0 282.271 2.551 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H](C)C1CC1 ZINC000843757100 632049608 /nfs/dbraw/zinc/04/96/08/632049608.db2.gz JJUIYPDDXCJYST-MRVPVSSYSA-N 0 0 266.272 2.571 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N(C)CC1CC1 ZINC000843769366 632053243 /nfs/dbraw/zinc/05/32/43/632053243.db2.gz JGKFBRWZVHNTEE-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)C1 ZINC000843782890 632056214 /nfs/dbraw/zinc/05/62/14/632056214.db2.gz ZIYMTEZXAFXNQK-MRVPVSSYSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1ccc(C(=O)OCCC2CCOCC2)cc1[N+](=O)[O-] ZINC000814431137 632062633 /nfs/dbraw/zinc/06/26/33/632062633.db2.gz JBUUEGPIKQXIJZ-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN O=C(OCCC1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000814431308 632062796 /nfs/dbraw/zinc/06/27/96/632062796.db2.gz JFVFMAQAOGAHLG-UHFFFAOYSA-N 0 0 279.292 2.568 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@H]1C(C)C ZINC000843847743 632066508 /nfs/dbraw/zinc/06/65/08/632066508.db2.gz JKRVRFFAAUQWMT-NSHDSACASA-N 0 0 280.299 2.913 20 5 CFBDRN CON(C(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C1CCCC1 ZINC000843854278 632067646 /nfs/dbraw/zinc/06/76/46/632067646.db2.gz JZRMAMUYMUUECD-UHFFFAOYSA-N 0 0 296.298 2.989 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@@H]1C ZINC000843884583 632071007 /nfs/dbraw/zinc/07/10/07/632071007.db2.gz DSNIEKSTIPZYOH-OIBJUYFYSA-N 0 0 266.272 2.571 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H](C)C(F)(F)F ZINC000843887067 632071903 /nfs/dbraw/zinc/07/19/03/632071903.db2.gz HGKHJSFIHRIVLZ-ZCFIWIBFSA-N 0 0 294.204 2.723 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@@H]1C1CC1 ZINC000843898261 632075088 /nfs/dbraw/zinc/07/50/88/632075088.db2.gz GDRBLJKJWCWFKP-LLVKDONJSA-N 0 0 278.283 2.667 20 5 CFBDRN Cc1c(NC(=O)NOCCC2CC2)cccc1[N+](=O)[O-] ZINC000814487735 632076056 /nfs/dbraw/zinc/07/60/56/632076056.db2.gz VZJJPBFQLUJHLX-UHFFFAOYSA-N 0 0 279.296 2.756 20 5 CFBDRN CCCCC[C@@H](O)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000843965165 632084144 /nfs/dbraw/zinc/08/41/44/632084144.db2.gz LRVSKXANXUURDJ-CYBMUJFWSA-N 0 0 295.339 2.516 20 5 CFBDRN C/C=C(/CC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000843968896 632086024 /nfs/dbraw/zinc/08/60/24/632086024.db2.gz UZZQQPHJJBOCIF-WTKPLQERSA-N 0 0 263.297 2.931 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CCC[C@H]3O)sc2c1 ZINC000840324733 632103467 /nfs/dbraw/zinc/10/34/67/632103467.db2.gz WLPCBWYQQMUTPJ-PSASIEDQSA-N 0 0 279.321 2.530 20 5 CFBDRN Cc1nn(CC=C(Cl)Cl)c(C)c1[N+](=O)[O-] ZINC000844235981 632142613 /nfs/dbraw/zinc/14/26/13/632142613.db2.gz ZGONDSGAQTXEOU-UHFFFAOYSA-N 0 0 250.085 2.727 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1CC[C@@H](C)O1 ZINC000840326787 632148569 /nfs/dbraw/zinc/14/85/69/632148569.db2.gz WPQPAUOUNWEXOT-BXKDBHETSA-N 0 0 278.308 2.777 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@]2(O)CCC[C@@H]2C)c1 ZINC000814939029 632175388 /nfs/dbraw/zinc/17/53/88/632175388.db2.gz BTKJHFDJRRZNPR-LKFCYVNXSA-N 0 0 298.314 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCCC(F)(F)C1 ZINC000844373949 632184153 /nfs/dbraw/zinc/18/41/53/632184153.db2.gz BEUQPCOMAWPKIR-UHFFFAOYSA-N 0 0 274.242 2.965 20 5 CFBDRN CS[C@@H](C)CC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000156487247 650054646 /nfs/dbraw/zinc/05/46/46/650054646.db2.gz QLUNPWCWZNXQDY-VIFPVBQESA-N 0 0 269.322 2.780 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844448940 632215921 /nfs/dbraw/zinc/21/59/21/632215921.db2.gz DABDUBKASAIKJS-AOOOYVTPSA-N 0 0 288.681 2.945 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000815404543 632268390 /nfs/dbraw/zinc/26/83/90/632268390.db2.gz NJDNWEBWMUJJNG-SECBINFHSA-N 0 0 299.710 2.750 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OCC(F)F)cc1[N+](=O)[O-] ZINC000815405759 632268857 /nfs/dbraw/zinc/26/88/57/632268857.db2.gz IIEVDKKBLUXDEY-UHFFFAOYSA-N 0 0 279.626 2.979 20 5 CFBDRN C[C@H](C(=O)OCc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000815488614 632280778 /nfs/dbraw/zinc/28/07/78/632280778.db2.gz FKFBOLKKGLZQTM-MRVPVSSYSA-N 0 0 273.235 2.929 20 5 CFBDRN Cc1nc(CN[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)cs1 ZINC000815561574 632289184 /nfs/dbraw/zinc/28/91/84/632289184.db2.gz JSKAADAUGUIXDA-QMMMGPOBSA-N 0 0 292.364 2.793 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1C[C@H]2[C@@H](C1)C2(F)F ZINC000844979522 632349848 /nfs/dbraw/zinc/34/98/48/632349848.db2.gz UICIZSVUBOIULZ-PHIMTYICSA-N 0 0 296.273 2.549 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C(F)=C/C1CCCCC1 ZINC000816097050 632371487 /nfs/dbraw/zinc/37/14/87/632371487.db2.gz SPNUAUBNFHSPDI-MDXIRLPMSA-N 0 0 259.277 2.629 20 5 CFBDRN COc1cc(C(=O)OCc2occc2C)ccc1[N+](=O)[O-] ZINC000816100813 632372192 /nfs/dbraw/zinc/37/21/92/632372192.db2.gz UTYXCMPHFKQJKM-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1ccoc1COC(=O)c1csc([N+](=O)[O-])c1 ZINC000816101275 632373009 /nfs/dbraw/zinc/37/30/09/632373009.db2.gz MSBJHIWQZCMRGI-UHFFFAOYSA-N 0 0 267.262 2.915 20 5 CFBDRN CNc1c(C(=O)OCc2occc2C)cccc1[N+](=O)[O-] ZINC000816101883 632373253 /nfs/dbraw/zinc/37/32/53/632373253.db2.gz LKBSDDOUNJIZNM-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN Cn1c(Cl)cnc1CNc1cc([N+](=O)[O-])ccc1F ZINC000121561580 632374346 /nfs/dbraw/zinc/37/43/46/632374346.db2.gz FWKPZOSOUGLLNK-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CCC1(COC(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000816184475 632389662 /nfs/dbraw/zinc/38/96/62/632389662.db2.gz ZMDHACMEPWCCKZ-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN COc1cc(C(=O)OCc2coc(C)c2)ccc1[N+](=O)[O-] ZINC000816242203 632406564 /nfs/dbraw/zinc/40/65/64/632406564.db2.gz XRAMXGFSNPAYQD-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1cc(COC(=O)Cc2cccc([N+](=O)[O-])c2)co1 ZINC000816242798 632407534 /nfs/dbraw/zinc/40/75/34/632407534.db2.gz VYMJJVNHYMCAIJ-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000816353109 632425621 /nfs/dbraw/zinc/42/56/21/632425621.db2.gz APIJPIQATIETCC-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN CO[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000816354626 632426492 /nfs/dbraw/zinc/42/64/92/632426492.db2.gz MQHHTRJGOWVHKW-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN CO[C@H](COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000816375769 632433342 /nfs/dbraw/zinc/43/33/42/632433342.db2.gz JLYQDEADMTYYLT-CEOUIICOSA-N 0 0 291.303 2.576 20 5 CFBDRN O=C(OCC[C@@H]1CCOC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000816436272 632458726 /nfs/dbraw/zinc/45/87/26/632458726.db2.gz HPTHOAYWIISJGD-VIFPVBQESA-N 0 0 299.710 2.832 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2c1ccc([N+](=O)[O-])cc1Cl ZINC000845556473 632463469 /nfs/dbraw/zinc/46/34/69/632463469.db2.gz MEAIPEXSCVSSRA-DTORHVGOSA-N 0 0 280.711 2.949 20 5 CFBDRN O=C(OCC[C@@H]1CCOC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000816445535 632464495 /nfs/dbraw/zinc/46/44/95/632464495.db2.gz HKPJAKMBJWOFIE-VIFPVBQESA-N 0 0 299.710 2.832 20 5 CFBDRN CCN(c1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CCCOC1 ZINC000845670760 632513377 /nfs/dbraw/zinc/51/33/77/632513377.db2.gz VTKDTHABBQFYLT-VIFPVBQESA-N 0 0 286.278 2.878 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])cc1)[C@@H]1CCCOC1 ZINC000845671912 632513392 /nfs/dbraw/zinc/51/33/92/632513392.db2.gz MWZPMJVDGRYSPZ-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN Cc1ccc(N2CCC([C@@H](C)CO)CC2)c([N+](=O)[O-])c1 ZINC000845708783 632530693 /nfs/dbraw/zinc/53/06/93/632530693.db2.gz YWPRPOFHWROTAP-LBPRGKRZSA-N 0 0 278.352 2.748 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000816980869 632544324 /nfs/dbraw/zinc/54/43/24/632544324.db2.gz VMYHJOJBKBAXMA-SECBINFHSA-N 0 0 291.307 2.784 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@]3(CC3(F)F)C2)n1 ZINC000845740884 632547584 /nfs/dbraw/zinc/54/75/84/632547584.db2.gz BHICHDTXHCKXKD-LBPRGKRZSA-N 0 0 283.278 2.924 20 5 CFBDRN Cc1nc(N2CCC[C@@]3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000845741860 632547605 /nfs/dbraw/zinc/54/76/05/632547605.db2.gz HCYROTWBZCJSKK-GFCCVEGCSA-N 0 0 283.278 2.924 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(CC3(F)F)C2)c([N+](=O)[O-])c1 ZINC000845769197 632559604 /nfs/dbraw/zinc/55/96/04/632559604.db2.gz PPYLQQKFOQDDSW-NSHDSACASA-N 0 0 299.233 2.739 20 5 CFBDRN CC[C@H]1C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000817123687 632561185 /nfs/dbraw/zinc/56/11/85/632561185.db2.gz BHKXKTNINGICLF-MNOVXSKESA-N 0 0 265.313 2.547 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCCC1(O)CCC1 ZINC000817124521 632561218 /nfs/dbraw/zinc/56/12/18/632561218.db2.gz JKXPUTJMILHDNR-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3nonc32)CCO1 ZINC000817124558 632561649 /nfs/dbraw/zinc/56/16/49/632561649.db2.gz HMFDJYGYSUZBFW-DTWKUNHWSA-N 0 0 292.295 2.501 20 5 CFBDRN O=C(OCCCOc1ccc([N+](=O)[O-])cc1)[C@@H]1CC12CC2 ZINC000817234884 632579094 /nfs/dbraw/zinc/57/90/94/632579094.db2.gz DGDJROBLYMQOHR-ZDUSSCGKSA-N 0 0 291.303 2.707 20 5 CFBDRN C[C@]1(C(=O)OCCc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000817249276 632584799 /nfs/dbraw/zinc/58/47/99/632584799.db2.gz RLLFKOXMMDMUHD-GFCCVEGCSA-N 0 0 285.246 2.726 20 5 CFBDRN C[C@H]([C@H](OC(=O)[C@H]1CC12CC2)c1ccccc1F)[N+](=O)[O-] ZINC000845882007 632589842 /nfs/dbraw/zinc/58/98/42/632589842.db2.gz PXKNVHUVAALRGP-XWIASGKRSA-N 0 0 293.294 2.875 20 5 CFBDRN COCCCC(=O)O[C@@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845878074 632590278 /nfs/dbraw/zinc/59/02/78/632590278.db2.gz TVDLASNROYIKKB-IINYFYTJSA-N 0 0 299.298 2.502 20 5 CFBDRN C[C@H]([C@H](OC(=O)[C@@H]1CC12CC2)c1ccccc1F)[N+](=O)[O-] ZINC000845882001 632590348 /nfs/dbraw/zinc/59/03/48/632590348.db2.gz PXKNVHUVAALRGP-CDMKHQONSA-N 0 0 293.294 2.875 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NOCCC1CC1 ZINC000817399072 632620659 /nfs/dbraw/zinc/62/06/59/632620659.db2.gz DLNYQOPWMXOMDU-ZHACJKMWSA-N 0 0 290.319 2.846 20 5 CFBDRN CCc1ccc(C(=O)NOCCC2CC2)cc1[N+](=O)[O-] ZINC000817441238 632635055 /nfs/dbraw/zinc/63/50/55/632635055.db2.gz ACSQCOUMHXVNEZ-UHFFFAOYSA-N 0 0 278.308 2.619 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@H]1CCO[C@@H]1C ZINC000817736702 632698534 /nfs/dbraw/zinc/69/85/34/632698534.db2.gz WHTBQPMEADTKOB-VXGBXAGGSA-N 0 0 293.319 2.793 20 5 CFBDRN Cc1cc(C(=O)NC2CC3(CCC3)C2)c(N)c([N+](=O)[O-])c1 ZINC000846608216 632758856 /nfs/dbraw/zinc/75/88/56/632758856.db2.gz WGVXPACRKSIWSU-UHFFFAOYSA-N 0 0 289.335 2.548 20 5 CFBDRN CC[C@@H](OC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])C(C)=O ZINC000885423790 632874437 /nfs/dbraw/zinc/87/44/37/632874437.db2.gz DCOLVEXWEVYZJF-GFCCVEGCSA-N 0 0 279.292 2.736 20 5 CFBDRN CC(C)(C)c1coc(NC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000847104631 632922335 /nfs/dbraw/zinc/92/23/35/632922335.db2.gz XVCLLXFXIZJRIV-UHFFFAOYSA-N 0 0 283.328 2.746 20 5 CFBDRN CC(C)(CCC(=O)N1Cc2cc(F)c(F)cc2C1)[N+](=O)[O-] ZINC000847205692 632953193 /nfs/dbraw/zinc/95/31/93/632953193.db2.gz MBUKKLBSJJSQBP-UHFFFAOYSA-N 0 0 298.289 2.643 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cccc(C(C)(C)C)c1 ZINC000847715623 633052785 /nfs/dbraw/zinc/05/27/85/633052785.db2.gz HZDLVVTYAKNOEM-JTQLQIEISA-N 0 0 265.309 2.806 20 5 CFBDRN CC[C@H](CCC(C)C)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000847742891 633065723 /nfs/dbraw/zinc/06/57/23/633065723.db2.gz RUSRCHZDVLCLCJ-LLVKDONJSA-N 0 0 283.328 2.549 20 5 CFBDRN CCCC[C@H](C(=O)OCCn1cc([N+](=O)[O-])cn1)C(C)C ZINC000856976080 635897088 /nfs/dbraw/zinc/89/70/88/635897088.db2.gz FSDORFOJWNTVOP-ZDUSSCGKSA-N 0 0 297.355 2.797 20 5 CFBDRN CC[C@@H](C)CCCCC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847783568 633076259 /nfs/dbraw/zinc/07/62/59/633076259.db2.gz BDRGJLJYDCUBSP-LLVKDONJSA-N 0 0 297.355 2.978 20 5 CFBDRN COC[C@H](C)CCOC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000847868442 633119031 /nfs/dbraw/zinc/11/90/31/633119031.db2.gz GHIQDSWUXKGAJC-SNVBAGLBSA-N 0 0 281.308 2.733 20 5 CFBDRN C[C@H]1C[C@@H]1C(N)=NOCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000848521523 633274725 /nfs/dbraw/zinc/27/47/25/633274725.db2.gz UXSCNMQRNDOJHT-CBAPKCEASA-N 0 0 283.715 2.903 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2NCc1cccnc1F ZINC000848549907 633286523 /nfs/dbraw/zinc/28/65/23/633286523.db2.gz XILYEPHAYFFOPH-CYBMUJFWSA-N 0 0 287.294 2.906 20 5 CFBDRN O=C([O-])C[N@H+](Cc1ccc([N+](=O)[O-])c(Cl)c1)C1CCC1 ZINC000848640980 633306613 /nfs/dbraw/zinc/30/66/13/633306613.db2.gz XJTQBBDXTTYOQZ-UHFFFAOYSA-N 0 0 298.726 2.687 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1(O)CCCCC1 ZINC000848660908 633312213 /nfs/dbraw/zinc/31/22/13/633312213.db2.gz NNBLGBYZYMYVJM-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN CCc1nocc1COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000848683675 633316220 /nfs/dbraw/zinc/31/62/20/633316220.db2.gz DXHIQEZYLVHSEH-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H](C2CC2)[C@H]2CCCCO2)c1 ZINC000848744450 633332290 /nfs/dbraw/zinc/33/22/90/633332290.db2.gz MZGVWESOVVIOOZ-CABCVRRESA-N 0 0 291.351 2.937 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](OC3CCC3)C2)n1 ZINC000848762813 633338556 /nfs/dbraw/zinc/33/85/56/633338556.db2.gz KXOFDAQIDOGKEY-ZDUSSCGKSA-N 0 0 291.351 2.836 20 5 CFBDRN Cc1noc([C@H](C)N(C)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000848777998 633341424 /nfs/dbraw/zinc/34/14/24/633341424.db2.gz USHSDVAVGBBOEN-ZETCQYMHSA-N 0 0 280.259 2.623 20 5 CFBDRN CNc1nccc(C(=O)O[C@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000848791325 633343347 /nfs/dbraw/zinc/34/33/47/633343347.db2.gz XVUMALQVZUBWKP-JTQLQIEISA-N 0 0 293.323 2.767 20 5 CFBDRN CNc1nccc(C(=O)O[C@@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000848791359 633343939 /nfs/dbraw/zinc/34/39/39/633343939.db2.gz ZGSIBWWFULYMMT-SECBINFHSA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1ccc(N2CCC[C@H](CO)[C@H]2C)c([N+](=O)[O-])c1 ZINC000840388106 633387773 /nfs/dbraw/zinc/38/77/73/633387773.db2.gz PDAKUQJYXAGQSZ-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC12CC2 ZINC000819627172 633402246 /nfs/dbraw/zinc/40/22/46/633402246.db2.gz OYCBTVYMEYDJMO-KJJQSCHISA-N 0 0 272.304 2.667 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@@H]1CC12CC2 ZINC000819626951 633402680 /nfs/dbraw/zinc/40/26/80/633402680.db2.gz KPLGGDCHUIRBAX-LLVKDONJSA-N 0 0 298.701 2.599 20 5 CFBDRN CCCCNc1nnc(-c2cccc([N+](=O)[O-])c2)o1 ZINC000849157301 633570870 /nfs/dbraw/zinc/57/08/70/633570870.db2.gz MXAALTDLEDKWNE-UHFFFAOYSA-N 0 0 262.269 2.857 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO)c(NCCCC2CCC2)c1 ZINC000849311734 633612581 /nfs/dbraw/zinc/61/25/81/633612581.db2.gz QVMQHFJHFISCHD-UHFFFAOYSA-N 0 0 293.367 2.991 20 5 CFBDRN CCCN(C(=O)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000849390908 633631031 /nfs/dbraw/zinc/63/10/31/633631031.db2.gz CXIZPQVYTRPCBC-UHFFFAOYSA-N 0 0 276.214 2.900 20 5 CFBDRN CO[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000849630247 633647502 /nfs/dbraw/zinc/64/75/02/633647502.db2.gz ZXWSIZXYPFKAGO-ZDUSSCGKSA-N 0 0 279.292 2.567 20 5 CFBDRN Cc1c(C(=O)O[C@@H]2COC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000849637240 633651408 /nfs/dbraw/zinc/65/14/08/633651408.db2.gz XEASOHGWPHTNSE-JTQLQIEISA-N 0 0 279.292 2.628 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000849647355 633655871 /nfs/dbraw/zinc/65/58/71/633655871.db2.gz HTQNQDLDSIIBRJ-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN CO[C@H](COC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CCC1 ZINC000849677786 633672081 /nfs/dbraw/zinc/67/20/81/633672081.db2.gz HOWMPLKNUWOZRL-LLVKDONJSA-N 0 0 299.348 2.937 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849696180 633677892 /nfs/dbraw/zinc/67/78/92/633677892.db2.gz DLPPDYXLJZRXEG-SNVBAGLBSA-N 0 0 299.298 2.838 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000849697694 633678670 /nfs/dbraw/zinc/67/86/70/633678670.db2.gz SSQCZAVVVSTOFK-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000849697692 633678884 /nfs/dbraw/zinc/67/88/84/633678884.db2.gz SSQCZAVVVSTOFK-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN O=C(OCc1cc(C2CC2)no1)c1csc([N+](=O)[O-])c1 ZINC000849701238 633680423 /nfs/dbraw/zinc/68/04/23/633680423.db2.gz XUHWCYJBOZLPTB-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN CO[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1C)C1CCC1 ZINC000849717424 633687282 /nfs/dbraw/zinc/68/72/82/633687282.db2.gz WGKJJHIGPXTMQV-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000849752269 633702237 /nfs/dbraw/zinc/70/22/37/633702237.db2.gz DDZLZUXSVHSXSP-UWVGGRQHSA-N 0 0 297.282 2.592 20 5 CFBDRN CCc1[nH]nc(C(=O)OCCCC2CCC2)c1[N+](=O)[O-] ZINC000849761398 633707517 /nfs/dbraw/zinc/70/75/17/633707517.db2.gz CVHMZBZADBICQL-UHFFFAOYSA-N 0 0 281.312 2.617 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000849811186 633731140 /nfs/dbraw/zinc/73/11/40/633731140.db2.gz ZEOVDTZSJVGSKX-SECBINFHSA-N 0 0 293.319 2.984 20 5 CFBDRN CC(=O)OCCCSCc1ccc(F)cc1[N+](=O)[O-] ZINC000849894653 633756582 /nfs/dbraw/zinc/75/65/82/633756582.db2.gz HDDHBISQKQKUSP-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(OCC2CCC2)cc([N+](=O)[O-])c1 ZINC000849956894 633775114 /nfs/dbraw/zinc/77/51/14/633775114.db2.gz UZJBPJXYFXYETB-UHFFFAOYSA-N 0 0 252.226 2.682 20 5 CFBDRN CSC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000849988444 633784541 /nfs/dbraw/zinc/78/45/41/633784541.db2.gz XWEQOOIJXHEHMQ-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN CO[C@H](C(=O)OCc1csc([N+](=O)[O-])c1)C1CCC1 ZINC000850174848 633872295 /nfs/dbraw/zinc/87/22/95/633872295.db2.gz HMVOUQDJBVFHJU-NSHDSACASA-N 0 0 285.321 2.515 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C1CC2(CC2)C1 ZINC000850214858 633898020 /nfs/dbraw/zinc/89/80/20/633898020.db2.gz HXUPZYZNFZZIGM-UHFFFAOYSA-N 0 0 291.303 2.837 20 5 CFBDRN CCOC1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000850289860 633927418 /nfs/dbraw/zinc/92/74/18/633927418.db2.gz PUGPGDUAXNPANS-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN Cn1ccnc1[C@H](N[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000850506767 634023016 /nfs/dbraw/zinc/02/30/16/634023016.db2.gz BRUNXQSHIHNWNO-DZGCQCFKSA-N 0 0 298.346 2.726 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000850795592 634097039 /nfs/dbraw/zinc/09/70/39/634097039.db2.gz DEHWJUGQXAYWEH-SCZZXKLOSA-N 0 0 299.710 2.969 20 5 CFBDRN O=C(CCCF)O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000850890664 634124782 /nfs/dbraw/zinc/12/47/82/634124782.db2.gz LWYXHWFWBNGIJC-MNOVXSKESA-N 0 0 299.302 2.568 20 5 CFBDRN C[C@@H](CC(=O)Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000850901068 634129690 /nfs/dbraw/zinc/12/96/90/634129690.db2.gz LKWMYZMABZIOMW-ZANVPECISA-N 0 0 297.282 2.845 20 5 CFBDRN CO[C@@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C1CCCC1 ZINC000850901532 634129883 /nfs/dbraw/zinc/12/98/83/634129883.db2.gz QWOLQGYPUXKCGN-CYBMUJFWSA-N 0 0 297.282 2.845 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000850934365 634144105 /nfs/dbraw/zinc/14/41/05/634144105.db2.gz GLQFDAYCRIBGBF-CHWSQXEVSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@@H](COC(=O)[C@@H]1CCCC[C@H]1C(F)(F)F)[N+](=O)[O-] ZINC000851036735 634190288 /nfs/dbraw/zinc/19/02/88/634190288.db2.gz MEBIXBYMJMNLBX-DJLDLDEBSA-N 0 0 283.246 2.564 20 5 CFBDRN CCC1(C(=O)OC[C@H](C)[N+](=O)[O-])CCC(F)(F)CC1 ZINC000851037198 634190339 /nfs/dbraw/zinc/19/03/39/634190339.db2.gz RZQVSOILGLQNHR-VIFPVBQESA-N 0 0 279.283 2.801 20 5 CFBDRN Cc1ccc(OCCC(=O)OCC(C)(C)[N+](=O)[O-])cc1C ZINC000851124891 634235400 /nfs/dbraw/zinc/23/54/00/634235400.db2.gz OWVADHHUDXCHNY-UHFFFAOYSA-N 0 0 295.335 2.671 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1C[C@H]1c1cc(F)ccc1F)[N+](=O)[O-] ZINC000851125476 634235876 /nfs/dbraw/zinc/23/58/76/634235876.db2.gz CYYRZCNPQMTKMN-GXSJLCMTSA-N 0 0 299.273 2.667 20 5 CFBDRN CC(C)(COC(=O)C1CCCCCCC1)[N+](=O)[O-] ZINC000851126610 634236861 /nfs/dbraw/zinc/23/68/61/634236861.db2.gz PWPINUQWTRSHGK-UHFFFAOYSA-N 0 0 257.330 2.945 20 5 CFBDRN CC(C)(COC(=O)/C=C/SCc1ccco1)[N+](=O)[O-] ZINC000851126965 634236926 /nfs/dbraw/zinc/23/69/26/634236926.db2.gz SFQDINGLFJRJRY-FNORWQNLSA-N 0 0 285.321 2.625 20 5 CFBDRN CCCCS[C@H](C)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126159 634236940 /nfs/dbraw/zinc/23/69/40/634236940.db2.gz OQVRMJOMFXXOLQ-SECBINFHSA-N 0 0 263.359 2.507 20 5 CFBDRN COc1ccc([C@H](C)CC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851127441 634237296 /nfs/dbraw/zinc/23/72/96/634237296.db2.gz XWHNYZDZEKWNSQ-LLVKDONJSA-N 0 0 295.335 2.787 20 5 CFBDRN CC(C)(COC(=O)c1ocnc1-c1ccccc1)[N+](=O)[O-] ZINC000851128849 634238516 /nfs/dbraw/zinc/23/85/16/634238516.db2.gz GLIQINBHIBFFHD-UHFFFAOYSA-N 0 0 290.275 2.554 20 5 CFBDRN CC(C)(COC(=O)c1cc(F)cc2ccncc21)[N+](=O)[O-] ZINC000851130275 634238657 /nfs/dbraw/zinc/23/86/57/634238657.db2.gz ORTYRQVBXQNKFS-UHFFFAOYSA-N 0 0 292.266 2.586 20 5 CFBDRN CC(C)O[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000851130059 634238820 /nfs/dbraw/zinc/23/88/20/634238820.db2.gz VMYBDSCPEMHGLQ-ZDUSSCGKSA-N 0 0 295.335 2.751 20 5 CFBDRN CC(C)(COC(=O)c1cc2cccnc2s1)[N+](=O)[O-] ZINC000851129696 634238900 /nfs/dbraw/zinc/23/89/00/634238900.db2.gz QUYIHRIJXITZTC-UHFFFAOYSA-N 0 0 280.305 2.508 20 5 CFBDRN CCC[C@@H]1CCC[C@@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851130306 634239619 /nfs/dbraw/zinc/23/96/19/634239619.db2.gz ZRUKAVDZLNUUOM-MNOVXSKESA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)(CCC(=O)OCCSc1ccccn1)[N+](=O)[O-] ZINC000851131463 634240387 /nfs/dbraw/zinc/24/03/87/634240387.db2.gz ZXLNDCAXYQSQMD-UHFFFAOYSA-N 0 0 298.364 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCCc2n[nH]cc2C1 ZINC000852967946 634868983 /nfs/dbraw/zinc/86/89/83/634868983.db2.gz LCAJMOLADKMPRF-UHFFFAOYSA-N 0 0 292.726 2.924 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCCC1SCCS1 ZINC000853014117 634875528 /nfs/dbraw/zinc/87/55/28/634875528.db2.gz OPQMIUVIUPYIQI-UHFFFAOYSA-N 0 0 299.373 2.982 20 5 CFBDRN CCOC1CCN(c2cc(OC)c(C)cc2[N+](=O)[O-])CC1 ZINC000853223698 634942976 /nfs/dbraw/zinc/94/29/76/634942976.db2.gz OHCIMGZVGGGCOD-UHFFFAOYSA-N 0 0 294.351 2.917 20 5 CFBDRN Cc1cc(NCC[S@@](=O)C(C)(C)C)c([N+](=O)[O-])s1 ZINC000853345348 634977420 /nfs/dbraw/zinc/97/74/20/634977420.db2.gz RIHXZTJYWGFRHQ-GOSISDBHSA-N 0 0 290.410 2.924 20 5 CFBDRN CC[C@]1(C)CN(c2cc(OC)c(C)cc2[N+](=O)[O-])CCO1 ZINC000853519920 635025941 /nfs/dbraw/zinc/02/59/41/635025941.db2.gz VAXJFCZJLNVSPA-OAHLLOKOSA-N 0 0 294.351 2.917 20 5 CFBDRN COc1cc(N2CC[C@@H](SC)C2)c([N+](=O)[O-])cc1C ZINC000853656257 635062939 /nfs/dbraw/zinc/06/29/39/635062939.db2.gz WNBLFDOBBBEXDW-SNVBAGLBSA-N 0 0 282.365 2.854 20 5 CFBDRN CC1=NO[C@H](CNc2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000853660497 635064545 /nfs/dbraw/zinc/06/45/45/635064545.db2.gz AMNTUEXPVSWNMM-JTQLQIEISA-N 0 0 286.291 2.720 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H]2CC(C)=NO2)c([N+](=O)[O-])c1 ZINC000853660520 635065277 /nfs/dbraw/zinc/06/52/77/635065277.db2.gz BABRNBSUMOYZEQ-NSHDSACASA-N 0 0 291.307 2.764 20 5 CFBDRN CC1=NO[C@@H](CNc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000853661357 635065434 /nfs/dbraw/zinc/06/54/34/635065434.db2.gz UNYMELFCBXKYRL-SECBINFHSA-N 0 0 269.688 2.825 20 5 CFBDRN Cc1cc(N2Cc3ccncc3C2)c([N+](=O)[O-])s1 ZINC000853696651 635078483 /nfs/dbraw/zinc/07/84/83/635078483.db2.gz JMHYGXZKGXHPKJ-UHFFFAOYSA-N 0 0 261.306 2.880 20 5 CFBDRN C[C@]1(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@]1(F)Cl ZINC000853711857 635082739 /nfs/dbraw/zinc/08/27/39/635082739.db2.gz ILYPZESCMCAPOR-WDEREUQCSA-N 0 0 289.694 2.812 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000853766459 635100051 /nfs/dbraw/zinc/10/00/51/635100051.db2.gz ZLVWOZNBEXVQMU-AWEZNQCLSA-N 0 0 298.289 2.878 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1COC2(CCC2)C1 ZINC000853828498 635120043 /nfs/dbraw/zinc/12/00/43/635120043.db2.gz MVHBAPVXFNUJMC-JTQLQIEISA-N 0 0 278.308 2.727 20 5 CFBDRN Cc1ccc(N2CCC[C@](O)(C3CC3)C2)c([N+](=O)[O-])c1 ZINC000853833127 635121762 /nfs/dbraw/zinc/12/17/62/635121762.db2.gz LGOCWPBIHSCVPS-OAHLLOKOSA-N 0 0 276.336 2.645 20 5 CFBDRN CC(C)(C)OCCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000853835607 635122078 /nfs/dbraw/zinc/12/20/78/635122078.db2.gz LVYXOPKWTLIOFP-UHFFFAOYSA-N 0 0 267.329 2.794 20 5 CFBDRN CN(CC1=CCCOC1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000853836799 635123236 /nfs/dbraw/zinc/12/32/36/635123236.db2.gz MWHRDVXUHDSHLV-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])O1 ZINC000853848636 635131004 /nfs/dbraw/zinc/13/10/04/635131004.db2.gz ABAGVLXOGIVJNT-KOLCDFICSA-N 0 0 295.295 2.873 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2ccc([N+](=O)[O-])c3nonc32)O1 ZINC000853849262 635131095 /nfs/dbraw/zinc/13/10/95/635131095.db2.gz IXLWONUILLNCRF-RKDXNWHRSA-N 0 0 292.295 2.501 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2ccc(N)cc2[N+](=O)[O-])O1 ZINC000853849048 635132030 /nfs/dbraw/zinc/13/20/30/635132030.db2.gz FXBZGTHQQNFCPB-MWLCHTKSSA-N 0 0 265.313 2.547 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1ccc([N+](=O)[O-])cc1Cl)C2 ZINC000853857392 635134372 /nfs/dbraw/zinc/13/43/72/635134372.db2.gz AZVIEGNPTCKPSH-TVQRCGJNSA-N 0 0 282.727 2.721 20 5 CFBDRN Cc1cc(N2CC[C@@]3(O)C[C@H]3C2)c(Cl)cc1[N+](=O)[O-] ZINC000853859963 635135214 /nfs/dbraw/zinc/13/52/14/635135214.db2.gz ZPMUFTRCLWXKNL-TVQRCGJNSA-N 0 0 282.727 2.518 20 5 CFBDRN COC(=O)C[C@@H](CNc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000853868144 635140287 /nfs/dbraw/zinc/14/02/87/635140287.db2.gz DMRSKXDLXQYAKI-JTQLQIEISA-N 0 0 296.298 2.735 20 5 CFBDRN Cc1noc(COC(=O)CC2CCCCC2)c1[N+](=O)[O-] ZINC000853882052 635145511 /nfs/dbraw/zinc/14/55/11/635145511.db2.gz RSTRPRQXRWLFGV-UHFFFAOYSA-N 0 0 282.296 2.905 20 5 CFBDRN O=C(OCCOC(F)F)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000853883167 635145540 /nfs/dbraw/zinc/14/55/40/635145540.db2.gz FVEBOIJEWSCXCW-UHFFFAOYSA-N 0 0 295.625 2.644 20 5 CFBDRN Cc1noc(COC(=O)/C=C\C(C)(C)C)c1[N+](=O)[O-] ZINC000853898293 635150998 /nfs/dbraw/zinc/15/09/98/635150998.db2.gz GTVUTUBMCNRZBE-WAYWQWQTSA-N 0 0 268.269 2.537 20 5 CFBDRN CSc1ccc(C(=O)OCc2onc(C)c2[N+](=O)[O-])o1 ZINC000853899536 635151786 /nfs/dbraw/zinc/15/17/86/635151786.db2.gz YBNMOHLNVKXSGZ-UHFFFAOYSA-N 0 0 298.276 2.563 20 5 CFBDRN Cc1noc(COC(=O)[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000853900577 635151975 /nfs/dbraw/zinc/15/19/75/635151975.db2.gz WGBJCDSUQRRRAD-SSDOTTSWSA-N 0 0 270.285 2.617 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H]3C[C@@H]3C2)c(F)c1 ZINC000853901488 635152836 /nfs/dbraw/zinc/15/28/36/635152836.db2.gz CFRAAJWKIFSWHG-HTQZYQBOSA-N 0 0 254.236 2.719 20 5 CFBDRN CCC(C)(C)CC(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853903326 635153844 /nfs/dbraw/zinc/15/38/44/635153844.db2.gz TWVHTPKJGFGKPP-UHFFFAOYSA-N 0 0 270.285 2.761 20 5 CFBDRN CCSC1(CNc2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000853904156 635155272 /nfs/dbraw/zinc/15/52/72/635155272.db2.gz SAQNAWIGTMPYDW-UHFFFAOYSA-N 0 0 267.354 2.875 20 5 CFBDRN CCCc1occc1C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853915189 635161447 /nfs/dbraw/zinc/16/14/47/635161447.db2.gz UVHBNSQXMLJBQY-UHFFFAOYSA-N 0 0 294.263 2.794 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000853920709 635164031 /nfs/dbraw/zinc/16/40/31/635164031.db2.gz MAGLAOHVOIEXRA-PSASIEDQSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2C[C@H]2C2CCCC2)c1[N+](=O)[O-] ZINC000853924162 635167090 /nfs/dbraw/zinc/16/70/90/635167090.db2.gz LHYMTIIKYIDVHM-WDEREUQCSA-N 0 0 294.307 2.761 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2C[C@H]2C2CCCC2)c1[N+](=O)[O-] ZINC000853924161 635167217 /nfs/dbraw/zinc/16/72/17/635167217.db2.gz LHYMTIIKYIDVHM-QWRGUYRKSA-N 0 0 294.307 2.761 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2c1ccc([N+](=O)[O-])c2nonc21 ZINC000853965244 635180266 /nfs/dbraw/zinc/18/02/66/635180266.db2.gz WUELPWPCDAGPBG-PBINXNQUSA-N 0 0 288.307 2.898 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC2CC1(C)C2 ZINC000853997334 635187757 /nfs/dbraw/zinc/18/77/57/635187757.db2.gz JCVLMFWIGKBZIA-UHFFFAOYSA-N 0 0 260.293 2.786 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@@](C)(C(F)F)O1 ZINC000853999858 635188619 /nfs/dbraw/zinc/18/86/19/635188619.db2.gz QLXHUVDEVDVWFY-RNCFNFMXSA-N 0 0 286.278 2.844 20 5 CFBDRN CSC(C)(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000854128092 635217607 /nfs/dbraw/zinc/21/76/07/635217607.db2.gz AIXHIGNDEIPJFL-UHFFFAOYSA-N 0 0 268.338 2.983 20 5 CFBDRN CCC(F)(F)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000854128770 635217785 /nfs/dbraw/zinc/21/77/85/635217785.db2.gz SVQGNQRSPMZQMK-UHFFFAOYSA-N 0 0 258.224 2.887 20 5 CFBDRN CCC(F)(F)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000854177555 635244593 /nfs/dbraw/zinc/24/45/93/635244593.db2.gz ZOXVAAUWKIIZCT-UHFFFAOYSA-N 0 0 258.224 2.887 20 5 CFBDRN C[C@H](O)c1cc(-c2ccc([N+](=O)[O-])nc2)cc2c1OCC2 ZINC000854190001 635248923 /nfs/dbraw/zinc/24/89/23/635248923.db2.gz SRDGRIQYGRSZGD-VIFPVBQESA-N 0 0 286.287 2.645 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@@H](C2CC2)C1 ZINC000854488609 635315946 /nfs/dbraw/zinc/31/59/46/635315946.db2.gz XMOMXSVZHLRQBJ-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN CC[C@H]1CCC[C@@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854494448 635316892 /nfs/dbraw/zinc/31/68/92/635316892.db2.gz CKQPPHCEPCOXOM-ONGXEEELSA-N 0 0 277.324 2.942 20 5 CFBDRN CCO[C@H](CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)C ZINC000854496494 635317713 /nfs/dbraw/zinc/31/77/13/635317713.db2.gz HEGBYQPVESXCRO-CYBMUJFWSA-N 0 0 295.339 2.567 20 5 CFBDRN Nc1ccc(NC(=O)C2(C(F)F)CCCC2)cc1[N+](=O)[O-] ZINC000854495541 635317901 /nfs/dbraw/zinc/31/79/01/635317901.db2.gz PHFSGOSETJWNHF-UHFFFAOYSA-N 0 0 299.277 2.941 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497306 635318729 /nfs/dbraw/zinc/31/87/29/635318729.db2.gz MZDSBVZBZZCDRD-IUCAKERBSA-N 0 0 263.297 2.552 20 5 CFBDRN C[C@H]1CC[C@@H](CC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497417 635318943 /nfs/dbraw/zinc/31/89/43/635318943.db2.gz NTEXHKZPKFPBFQ-VHSXEESVSA-N 0 0 277.324 2.942 20 5 CFBDRN CCc1nocc1C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000854679181 635363346 /nfs/dbraw/zinc/36/33/46/635363346.db2.gz ANKFDKUJLHKCPG-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cscn2)cc1F ZINC000112576727 635379552 /nfs/dbraw/zinc/37/95/52/635379552.db2.gz ZSRKYZGINPIPKW-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000855368361 635520669 /nfs/dbraw/zinc/52/06/69/635520669.db2.gz MIJHEURCEXIRGG-QMMMGPOBSA-N 0 0 297.330 2.871 20 5 CFBDRN C/C(=C/C(=O)N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000855430840 635543709 /nfs/dbraw/zinc/54/37/09/635543709.db2.gz LUJQACSCSGBVIB-BSYHEUMXSA-N 0 0 272.304 2.833 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1C=CCC1 ZINC000855436018 635548148 /nfs/dbraw/zinc/54/81/48/635548148.db2.gz SYNAMXZUHJSHIL-LLVKDONJSA-N 0 0 260.293 2.660 20 5 CFBDRN CC[C@](C)(NC(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CC1 ZINC000855876690 635679958 /nfs/dbraw/zinc/67/99/58/635679958.db2.gz HPXKYORSBLWAGL-AWEZNQCLSA-N 0 0 295.314 2.625 20 5 CFBDRN CC(C)CC1(NC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000856192287 635751595 /nfs/dbraw/zinc/75/15/95/635751595.db2.gz VRWVWXIRKVDRLW-UHFFFAOYSA-N 0 0 256.346 2.517 20 5 CFBDRN O=C(NOCC1CCC1)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000856348474 635791099 /nfs/dbraw/zinc/79/10/99/635791099.db2.gz HCUHLPVXQDGEIR-UHFFFAOYSA-N 0 0 289.291 2.538 20 5 CFBDRN CC12CC(C1)CN2C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000856511465 635829880 /nfs/dbraw/zinc/82/98/80/635829880.db2.gz ZYTPKYAOCVMWDJ-UHFFFAOYSA-N 0 0 296.273 2.698 20 5 CFBDRN C[C@H](CC(=O)Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000857571599 635985397 /nfs/dbraw/zinc/98/53/97/635985397.db2.gz JOFYTBRBHISLNL-NOZJJQNGSA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@@H](CC(=O)Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000857571601 635985672 /nfs/dbraw/zinc/98/56/72/635985672.db2.gz JOFYTBRBHISLNL-TVQRCGJNSA-N 0 0 296.298 2.878 20 5 CFBDRN C/C=C\C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000857666276 636006211 /nfs/dbraw/zinc/00/62/11/636006211.db2.gz TZZQVGOZDNTBOR-DJWKRKHSSA-N 0 0 260.293 2.840 20 5 CFBDRN CCc1ccc(OC(=O)c2cccnc2)c([N+](=O)[O-])c1 ZINC000115926195 636152756 /nfs/dbraw/zinc/15/27/56/636152756.db2.gz PNRSVNSVCKXSLB-UHFFFAOYSA-N 0 0 272.260 2.771 20 5 CFBDRN CCc1ccc(OC(=O)c2ncoc2C)c([N+](=O)[O-])c1 ZINC000115925924 636152790 /nfs/dbraw/zinc/15/27/90/636152790.db2.gz XGGDSJVKMHAUCV-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2cn[nH]c2)c(F)c1 ZINC000858618868 636309873 /nfs/dbraw/zinc/30/98/73/636309873.db2.gz HXAAOZUSNZCFRZ-GFCCVEGCSA-N 0 0 276.271 2.799 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000047868479 650247212 /nfs/dbraw/zinc/24/72/12/650247212.db2.gz XDKDNXUOIVPWDE-ZWNOBZJWSA-N 0 0 291.351 2.876 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCCO3)c(Cl)c1 ZINC000858720884 636331162 /nfs/dbraw/zinc/33/11/62/636331162.db2.gz HEOXANDQGWEYOF-UHFFFAOYSA-N 0 0 268.700 2.617 20 5 CFBDRN Cc1ccc(F)c2c1N(Cn1cc([N+](=O)[O-])cn1)CCC2 ZINC000159139048 650248064 /nfs/dbraw/zinc/24/80/64/650248064.db2.gz QPZYZYKDSGHJFA-UHFFFAOYSA-N 0 0 290.298 2.649 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000858734075 636335679 /nfs/dbraw/zinc/33/56/79/636335679.db2.gz MSYGHLNHTORWTO-SECBINFHSA-N 0 0 282.321 2.720 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000858773721 636342927 /nfs/dbraw/zinc/34/29/27/636342927.db2.gz ORMMMSZNTPMJCX-UXCLJVHYSA-N 0 0 297.355 2.584 20 5 CFBDRN Cc1cocc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000858774316 636343147 /nfs/dbraw/zinc/34/31/47/636343147.db2.gz SMQLCMSNSXZFTB-UHFFFAOYSA-N 0 0 265.269 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1C[C@@H]2CCC[C@]2(CO)C1 ZINC000858803880 636347505 /nfs/dbraw/zinc/34/75/05/636347505.db2.gz HHVNMQOYQZTTLX-IINYFYTJSA-N 0 0 296.754 2.847 20 5 CFBDRN Cc1ccc(N(C)OCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000858870250 636364974 /nfs/dbraw/zinc/36/49/74/636364974.db2.gz VQGPIRMHKOSFOC-UHFFFAOYSA-N 0 0 264.203 2.833 20 5 CFBDRN CCCOC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000859096976 636453164 /nfs/dbraw/zinc/45/31/64/636453164.db2.gz VMXXSXXDLBWCIA-SECBINFHSA-N 0 0 252.270 2.792 20 5 CFBDRN CCCOC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000859167738 636484345 /nfs/dbraw/zinc/48/43/45/636484345.db2.gz CGOARKMAWVOBCC-UHFFFAOYSA-N 0 0 266.297 2.966 20 5 CFBDRN O=C(CNc1cc([N+](=O)[O-])ccc1F)Nc1ccccc1 ZINC000048272063 650261199 /nfs/dbraw/zinc/26/11/99/650261199.db2.gz KCKAWMPLQOLWFE-UHFFFAOYSA-N 0 0 289.266 2.785 20 5 CFBDRN C[C@@H](NCc1cc(Cl)n(C)n1)c1ccccc1[N+](=O)[O-] ZINC000859237152 636519407 /nfs/dbraw/zinc/51/94/07/636519407.db2.gz PISKBFURBZADOP-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1nn(CC(=O)c2ccccc2C)c(C)c1[N+](=O)[O-] ZINC000048349170 650263814 /nfs/dbraw/zinc/26/38/14/650263814.db2.gz ZSPOPUGUYDBZPH-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000048433379 650266304 /nfs/dbraw/zinc/26/63/04/650266304.db2.gz HDCQJQXWRAZILQ-SNVBAGLBSA-N 0 0 279.340 2.997 20 5 CFBDRN CC[C@@H](C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859463273 636572318 /nfs/dbraw/zinc/57/23/18/636572318.db2.gz GGIQLSIJMUQBCD-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN CCO[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000859483391 636579613 /nfs/dbraw/zinc/57/96/13/636579613.db2.gz XZQNOPATLYPMHP-CYBMUJFWSA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000859499225 636582837 /nfs/dbraw/zinc/58/28/37/636582837.db2.gz PHSUMZUWMFMBGO-MNOVXSKESA-N 0 0 279.292 2.563 20 5 CFBDRN CCO[C@H](COC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000859526321 636589615 /nfs/dbraw/zinc/58/96/15/636589615.db2.gz HEJIRNWVUXCISD-CYBMUJFWSA-N 0 0 297.282 2.706 20 5 CFBDRN CCO[C@H](COC(=O)c1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000859570534 636604603 /nfs/dbraw/zinc/60/46/03/636604603.db2.gz CLOHTURLXHZSEB-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000859618241 636624215 /nfs/dbraw/zinc/62/42/15/636624215.db2.gz OALGHQXLSLPZKP-VHSXEESVSA-N 0 0 286.287 2.956 20 5 CFBDRN CC1(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)CCCCC1 ZINC000048551199 650271271 /nfs/dbraw/zinc/27/12/71/650271271.db2.gz ZPKOCFAOTCGVND-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN C/C=C(/CC)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859650225 636638163 /nfs/dbraw/zinc/63/81/63/636638163.db2.gz JXGKEXWRDVOEDS-OQFOIZHKSA-N 0 0 295.266 2.816 20 5 CFBDRN Cc1nn(C)c(Cl)c1CSc1ccc([N+](=O)[O-])cn1 ZINC000159664690 650271920 /nfs/dbraw/zinc/27/19/20/650271920.db2.gz HHABKVFVLHHHLE-UHFFFAOYSA-N 0 0 298.755 2.977 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000859664598 636644928 /nfs/dbraw/zinc/64/49/28/636644928.db2.gz ICOYIWZVTGJPPY-WPRPVWTQSA-N 0 0 283.711 2.990 20 5 CFBDRN CC/C(C)=C/C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859711641 636662632 /nfs/dbraw/zinc/66/26/32/636662632.db2.gz VMFSVDHSZJYMTG-RMKNXTFCSA-N 0 0 295.266 2.816 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@@H]1C[C@@H]1C ZINC000859731888 636671033 /nfs/dbraw/zinc/67/10/33/636671033.db2.gz IUXRULDGTAIJNZ-CBAPKCEASA-N 0 0 267.256 2.855 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2nc(C3CC3)no2)ccc1F ZINC000048657224 650274844 /nfs/dbraw/zinc/27/48/44/650274844.db2.gz DYPJHJGDQNCXLZ-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000859767895 636686000 /nfs/dbraw/zinc/68/60/00/636686000.db2.gz ZMXYOROUJKJXJT-MFKMUULPSA-N 0 0 295.335 2.996 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1S(=O)(=O)Oc1ccccc1 ZINC000048707556 650276647 /nfs/dbraw/zinc/27/66/47/650276647.db2.gz PUDCQSMRYNOKNS-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN C[C@H](CO)COC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859810964 636702636 /nfs/dbraw/zinc/70/26/36/636702636.db2.gz FDRIHSIJPKFKFJ-SNVBAGLBSA-N 0 0 289.287 2.533 20 5 CFBDRN COCCCCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000116989826 636714194 /nfs/dbraw/zinc/71/41/94/636714194.db2.gz ARDJLRPZHCVXMR-JXMROGBWSA-N 0 0 293.319 2.968 20 5 CFBDRN CCC[C@H](OCC)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000859836998 636717854 /nfs/dbraw/zinc/71/78/54/636717854.db2.gz DRNULSSKDACOFO-ZDUSSCGKSA-N 0 0 299.298 2.982 20 5 CFBDRN CCC[C@@H](OCC)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000859836997 636717986 /nfs/dbraw/zinc/71/79/86/636717986.db2.gz DRNULSSKDACOFO-CYBMUJFWSA-N 0 0 299.298 2.982 20 5 CFBDRN COC1CC(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000859846975 636723479 /nfs/dbraw/zinc/72/34/79/636723479.db2.gz OMIVVYWGQUGABW-WHXUTIOJSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](O)c1ccc(CNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000048894424 650282912 /nfs/dbraw/zinc/28/29/12/650282912.db2.gz HNHKTOQILXTDPE-SNVBAGLBSA-N 0 0 273.292 2.655 20 5 CFBDRN CCC[C@H](OCC)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000860465914 636891143 /nfs/dbraw/zinc/89/11/43/636891143.db2.gz QIGIWTHFLCXMOO-LBPRGKRZSA-N 0 0 297.307 2.714 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000860702368 636950893 /nfs/dbraw/zinc/95/08/93/636950893.db2.gz CFSYLDTZJFELDG-CYBMUJFWSA-N 0 0 297.307 2.714 20 5 CFBDRN Cc1ccc(C(C)C)c(OCC(=O)OCCC[N+](=O)[O-])c1 ZINC000860911311 637014102 /nfs/dbraw/zinc/01/41/02/637014102.db2.gz ILPNBSUNEGBXHE-UHFFFAOYSA-N 0 0 295.335 2.707 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000860914122 637015397 /nfs/dbraw/zinc/01/53/97/637015397.db2.gz PGBUYNUFYHZWQR-RKDXNWHRSA-N 0 0 283.246 2.565 20 5 CFBDRN CCc1ccc([C@H](C)C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860916622 637016017 /nfs/dbraw/zinc/01/60/17/637016017.db2.gz XHZQFEQNEXYWPD-NSHDSACASA-N 0 0 265.309 2.563 20 5 CFBDRN C[C@@H](Cc1cccc(Cl)c1)C(=O)OCCC[N+](=O)[O-] ZINC000860917382 637016048 /nfs/dbraw/zinc/01/60/48/637016048.db2.gz KPIZYAQTXCFOTH-JTQLQIEISA-N 0 0 285.727 2.729 20 5 CFBDRN CC1(C)C[C@@H](C(=O)OCCC[N+](=O)[O-])c2ccccc2O1 ZINC000860919274 637016998 /nfs/dbraw/zinc/01/69/98/637016998.db2.gz MQYKUXAGDGPDKZ-GFCCVEGCSA-N 0 0 293.319 2.541 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC000860919670 637017205 /nfs/dbraw/zinc/01/72/05/637017205.db2.gz UQHKBNYAEUYFPE-NWDGAFQWSA-N 0 0 283.711 2.654 20 5 CFBDRN C[C@H]1CC[C@@](C)(C(=O)OCCC[N+](=O)[O-])C1(C)C ZINC000860918943 637017241 /nfs/dbraw/zinc/01/72/41/637017241.db2.gz IHDITKWERCQTBX-GWCFXTLKSA-N 0 0 257.330 2.659 20 5 CFBDRN C/C(=C/c1ccc(C)cc1)C(=O)OCCC[N+](=O)[O-] ZINC000860919965 637017345 /nfs/dbraw/zinc/01/73/45/637017345.db2.gz ORZJBQADTUFPSI-BENRWUELSA-N 0 0 263.293 2.608 20 5 CFBDRN O=C(CC(C1CCC1)C1CCC1)OCCC[N+](=O)[O-] ZINC000860922198 637017852 /nfs/dbraw/zinc/01/78/52/637017852.db2.gz LJJDMDIHPMASQR-UHFFFAOYSA-N 0 0 269.341 2.803 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(Cc2cccc(F)c2)CCC1 ZINC000860921449 637018236 /nfs/dbraw/zinc/01/82/36/637018236.db2.gz SADRSLSQTPZCDA-UHFFFAOYSA-N 0 0 295.310 2.749 20 5 CFBDRN CSc1ccc(/C=C/C(=O)OCCC[N+](=O)[O-])s1 ZINC000860923202 637018999 /nfs/dbraw/zinc/01/89/99/637018999.db2.gz QEZWNVRLWPULQM-HWKANZROSA-N 0 0 287.362 2.693 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@]2(C)CCCOC2)cc1[N+](=O)[O-] ZINC000861038373 637050473 /nfs/dbraw/zinc/05/04/73/637050473.db2.gz DYVFGUVZZGGFGS-HNNXBMFYSA-N 0 0 293.319 2.877 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@@H]2CCC=CCCC2)n1 ZINC000861229741 637126394 /nfs/dbraw/zinc/12/63/94/637126394.db2.gz XOTYYRFMDIDALS-LLVKDONJSA-N 0 0 293.323 2.857 20 5 CFBDRN CC(F)(F)COC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000861229533 637126613 /nfs/dbraw/zinc/12/66/13/637126613.db2.gz JADVYYVJOSNZOA-UHFFFAOYSA-N 0 0 291.275 2.885 20 5 CFBDRN C[C@@H](C(=O)OC[C@@H]1CC[C@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000861332176 637167343 /nfs/dbraw/zinc/16/73/43/637167343.db2.gz BWTHTMFZGLGDQT-WDMOLILDSA-N 0 0 293.319 2.809 20 5 CFBDRN CCC[C@@H](OCC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000861848109 637245115 /nfs/dbraw/zinc/24/51/15/637245115.db2.gz GPRDLWKMAGLPCD-SMDDNHRTSA-N 0 0 294.351 2.977 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])OCC ZINC000862418130 637371226 /nfs/dbraw/zinc/37/12/26/637371226.db2.gz KYBYNTGPJDLDLN-NSHDSACASA-N 0 0 298.314 2.669 20 5 CFBDRN COC[C@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000885817021 637458898 /nfs/dbraw/zinc/45/88/98/637458898.db2.gz GNHWNHBAAKMLQF-ZDUSSCGKSA-N 0 0 294.351 2.552 20 5 CFBDRN Cc1ccccc1SC[C@@H](C)Cn1cnc([N+](=O)[O-])n1 ZINC000863293093 637618374 /nfs/dbraw/zinc/61/83/74/637618374.db2.gz NDUXIXKFNZFYCC-JTQLQIEISA-N 0 0 292.364 2.923 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1C[C@@H]1C[C@@H]1C ZINC000863295339 637620246 /nfs/dbraw/zinc/62/02/46/637620246.db2.gz QUKMMOBZAICNLT-FSPLSTOPSA-N 0 0 274.118 2.518 20 5 CFBDRN Cc1ccc(OCc2cc(Cl)n(C)n2)cc1[N+](=O)[O-] ZINC000863315419 637624270 /nfs/dbraw/zinc/62/42/70/637624270.db2.gz WNWFFZFDFPRPFB-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CCC(F)(F)Cl ZINC000863312150 637624323 /nfs/dbraw/zinc/62/43/23/637624323.db2.gz KKBXVQRMFLSCEW-UHFFFAOYSA-N 0 0 287.049 2.632 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2nc(C3CCCCC3)cs2)n1 ZINC000863373715 637644211 /nfs/dbraw/zinc/64/42/11/637644211.db2.gz BLGAACJCMQTPKU-UHFFFAOYSA-N 0 0 293.352 2.739 20 5 CFBDRN CC[C@@H](C)c1ccc(C(=O)Cn2ncc([N+](=O)[O-])n2)cc1 ZINC000863374473 637644235 /nfs/dbraw/zinc/64/42/35/637644235.db2.gz AFRQISZFIREXOK-SNVBAGLBSA-N 0 0 288.307 2.583 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)c2ccns2)cc1[N+](=O)[O-] ZINC000863606103 637709576 /nfs/dbraw/zinc/70/95/76/637709576.db2.gz PNGKHZXPPFRZNM-LURJTMIESA-N 0 0 297.361 2.912 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cccc(-n3nccn3)c2)c1 ZINC000864033428 637838247 /nfs/dbraw/zinc/83/82/47/637838247.db2.gz WFHFIXJRIZOHGE-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cc1ncc(COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)s1 ZINC000864047512 637841958 /nfs/dbraw/zinc/84/19/58/637841958.db2.gz KYUONUICWOQRRF-UHFFFAOYSA-N 0 0 295.276 2.847 20 5 CFBDRN CCOC1CC2(C[C@H]2C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000864404799 637963958 /nfs/dbraw/zinc/96/39/58/637963958.db2.gz PAXULFLOVRYZTN-OWYJLGKBSA-N 0 0 290.319 2.739 20 5 CFBDRN CC[C@@H](C)NC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000864420323 637971824 /nfs/dbraw/zinc/97/18/24/637971824.db2.gz NAXUEZIVCZNIKO-SNVBAGLBSA-N 0 0 265.313 2.501 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000864822292 638102154 /nfs/dbraw/zinc/10/21/54/638102154.db2.gz FHBVEKGPSPYOFB-CABNGKKXSA-N 0 0 288.347 2.845 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@]12C[C@@H]1CCCC2 ZINC000864979944 638146239 /nfs/dbraw/zinc/14/62/39/638146239.db2.gz WKKPDCLTSBMUFF-BBRMVZONSA-N 0 0 288.347 2.834 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865083497 638185080 /nfs/dbraw/zinc/18/50/80/638185080.db2.gz YOLDTKCEAUHSRR-VIFPVBQESA-N 0 0 268.288 2.533 20 5 CFBDRN CC(C)CCC1CCN(Cn2nccc2[N+](=O)[O-])CC1 ZINC000120409446 638214290 /nfs/dbraw/zinc/21/42/90/638214290.db2.gz IVVACOCYVWSBAK-UHFFFAOYSA-N 0 0 280.372 2.897 20 5 CFBDRN CCCN(C(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000865166549 638214443 /nfs/dbraw/zinc/21/44/43/638214443.db2.gz YHDRNSHFHPHOQE-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1CC1CC2(CCC2)C1 ZINC000865335216 638271001 /nfs/dbraw/zinc/27/10/01/638271001.db2.gz CXIHWNNEDNYPJK-UHFFFAOYSA-N 0 0 299.330 2.885 20 5 CFBDRN CCOC(=O)CSCc1ccc(C)c([N+](=O)[O-])c1 ZINC000865342766 638274212 /nfs/dbraw/zinc/27/42/12/638274212.db2.gz YLUSSEQQDAWANE-UHFFFAOYSA-N 0 0 269.322 2.700 20 5 CFBDRN CCOc1cc(OCOC2CCOCC2)ccc1[N+](=O)[O-] ZINC000865365155 638285951 /nfs/dbraw/zinc/28/59/51/638285951.db2.gz WNDUDLQQXZTFGG-UHFFFAOYSA-N 0 0 297.307 2.525 20 5 CFBDRN C[C@@H](C(=O)C(C)(C)C)n1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000865366469 638286928 /nfs/dbraw/zinc/28/69/28/638286928.db2.gz FNRJHGCXVSFIHD-QMMMGPOBSA-N 0 0 292.291 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1N1CCC[C@H](O)C1 ZINC000865401712 638311362 /nfs/dbraw/zinc/31/13/62/638311362.db2.gz HTGCBDJKSLPHQN-ZETCQYMHSA-N 0 0 291.134 2.863 20 5 CFBDRN CC(C)Cc1cc(Oc2cccnc2[N+](=O)[O-])ncn1 ZINC000865404886 638315187 /nfs/dbraw/zinc/31/51/87/638315187.db2.gz VNNPTXMNJWOROS-UHFFFAOYSA-N 0 0 274.280 2.771 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCCOC2CCCC2)cc1F ZINC000865451838 638344689 /nfs/dbraw/zinc/34/46/89/638344689.db2.gz BQIMLUMQQLLLJD-UHFFFAOYSA-N 0 0 298.314 2.597 20 5 CFBDRN CC[C@@H](C)CN(C)c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865533085 638402606 /nfs/dbraw/zinc/40/26/06/638402606.db2.gz WERNRKBXXWEGNJ-SECBINFHSA-N 0 0 270.304 2.709 20 5 CFBDRN O=[N+]([O-])c1cnn(C[N@@H+]2CCC[C@@H]2C2CCCCC2)c1 ZINC000077446190 650425096 /nfs/dbraw/zinc/42/50/96/650425096.db2.gz PZVSWXJVNCHEOR-CQSZACIVSA-N 0 0 278.356 2.794 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865717114 638532283 /nfs/dbraw/zinc/53/22/83/638532283.db2.gz OVYHBLAZPJSFLE-NSHDSACASA-N 0 0 286.328 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(NOC[C@@H]2CCOC2)c(C(F)F)c1 ZINC000865721610 638535459 /nfs/dbraw/zinc/53/54/59/638535459.db2.gz XJGSKXYHEFCVBH-MRVPVSSYSA-N 0 0 288.250 2.912 20 5 CFBDRN CC1(CCNc2cc(F)c([N+](=O)[O-])cc2CO)CC1 ZINC000865741492 638546460 /nfs/dbraw/zinc/54/64/60/638546460.db2.gz OXGKDZDIGKSTES-UHFFFAOYSA-N 0 0 268.288 2.828 20 5 CFBDRN Cc1ccc(C[NH2+]Cc2cnc(Cl)n2C)cc1[N+](=O)[O-] ZINC000865787876 638574212 /nfs/dbraw/zinc/57/42/12/638574212.db2.gz UNJYBIUPNTYHQQ-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN C[C@@H]1C[C@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)CS1 ZINC000865876363 638636699 /nfs/dbraw/zinc/63/66/99/638636699.db2.gz KYWYSCNZNZXNEL-APPZFPTMSA-N 0 0 286.328 2.532 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1CCCN(CCF)C1 ZINC000865927651 638660610 /nfs/dbraw/zinc/66/06/10/638660610.db2.gz FEMFNDSIECWIBT-VIFPVBQESA-N 0 0 273.333 2.502 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1CCCN(CCF)C1 ZINC000865927976 638662318 /nfs/dbraw/zinc/66/23/18/638662318.db2.gz MOBITTUNLUEXSV-SNVBAGLBSA-N 0 0 285.294 2.580 20 5 CFBDRN Cc1cc(N[C@@H]2CCCN(CCF)C2)ccc1[N+](=O)[O-] ZINC000865927924 638662677 /nfs/dbraw/zinc/66/26/77/638662677.db2.gz KYXAEKNABOEPJB-CYBMUJFWSA-N 0 0 281.331 2.749 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000865979131 638685846 /nfs/dbraw/zinc/68/58/46/638685846.db2.gz PTOCQENTUBRZEP-IXOXFDKPSA-N 0 0 286.331 2.776 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(c3ccccc3)C2)n1 ZINC000866000839 638692653 /nfs/dbraw/zinc/69/26/53/638692653.db2.gz QOMHPACJWFQJGJ-UHFFFAOYSA-N 0 0 269.304 2.902 20 5 CFBDRN Cc1cc(NCC[C@@H](O)C(F)F)c(Cl)cc1[N+](=O)[O-] ZINC000866038325 638706556 /nfs/dbraw/zinc/70/65/56/638706556.db2.gz KSCFQKBCDLNXSI-SNVBAGLBSA-N 0 0 294.685 2.985 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000866069480 638722739 /nfs/dbraw/zinc/72/27/39/638722739.db2.gz DXUAVSNVCIYJQP-WPRPVWTQSA-N 0 0 286.278 2.877 20 5 CFBDRN COc1cc(N2C[C@H](OC)CC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000866071732 638723842 /nfs/dbraw/zinc/72/38/42/638723842.db2.gz QNAYTOXSAOAJIB-NXEZZACHSA-N 0 0 298.314 2.746 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])s2)C1 ZINC000866072573 638724193 /nfs/dbraw/zinc/72/41/93/638724193.db2.gz UFQTVJGLELHQLV-IUCAKERBSA-N 0 0 256.327 2.660 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC[C@@H]1F ZINC000866075196 638726515 /nfs/dbraw/zinc/72/65/15/638726515.db2.gz SRVOJCSSVYNDHS-NWDGAFQWSA-N 0 0 281.287 2.997 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H]2CCCS2)c(F)c1 ZINC000866091042 638732001 /nfs/dbraw/zinc/73/20/01/638732001.db2.gz BHOGPOLPNWOZAV-SECBINFHSA-N 0 0 271.317 2.827 20 5 CFBDRN CC1(F)CCN(c2nc3ccccn3c2[N+](=O)[O-])CC1 ZINC000866100169 638737251 /nfs/dbraw/zinc/73/72/51/638737251.db2.gz KMPFTJHBVWLGCS-UHFFFAOYSA-N 0 0 278.287 2.571 20 5 CFBDRN CS[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000866101158 638738170 /nfs/dbraw/zinc/73/81/70/638738170.db2.gz GNARJEICTNBXAI-LJGSYFOKSA-N 0 0 271.317 2.622 20 5 CFBDRN CS[C@H]1C[C@H](Nc2nccc(C)c2[N+](=O)[O-])C1 ZINC000866101246 638738235 /nfs/dbraw/zinc/73/82/35/638738235.db2.gz JCBXAVRHRNBVBR-KYZUINATSA-N 0 0 253.327 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](O)[C@@H]3CCCC[C@H]32)c(F)c1 ZINC000866117259 638744939 /nfs/dbraw/zinc/74/49/39/638744939.db2.gz JILAEXXJYFKVRK-KYOSRNDESA-N 0 0 294.326 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](O)[C@@H]3CCCC[C@@H]32)c(F)c1 ZINC000866117262 638745139 /nfs/dbraw/zinc/74/51/39/638745139.db2.gz JILAEXXJYFKVRK-ZLDLUXBVSA-N 0 0 294.326 2.864 20 5 CFBDRN Cc1cnc(N[C@H](C2CCC2)[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000866142248 638755712 /nfs/dbraw/zinc/75/57/12/638755712.db2.gz AQNPIWIJIKICCL-GXTWGEPZSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1ccnc(N[C@@H](C2CCC2)[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000866142953 638756525 /nfs/dbraw/zinc/75/65/25/638756525.db2.gz DKLOBPBNWZSQMR-STQMWFEESA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](OC3CCC3)CC2)nc1 ZINC000866145596 638757101 /nfs/dbraw/zinc/75/71/01/638757101.db2.gz OCADGTHOHCBGOK-CQSZACIVSA-N 0 0 291.351 2.918 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H]1CC2(CO1)CCOCC2 ZINC000866174132 638763760 /nfs/dbraw/zinc/76/37/60/638763760.db2.gz HPLGWUYHUCCVFJ-SNVBAGLBSA-N 0 0 298.364 2.654 20 5 CFBDRN CCc1cc(NCc2ccc(C)c([N+](=O)[O-])c2)ncn1 ZINC000866181840 638764776 /nfs/dbraw/zinc/76/47/76/638764776.db2.gz NWRYYMHCCNUSER-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN Cc1ccnc(NC[C@@H]2C[C@H]2C2CCOCC2)c1[N+](=O)[O-] ZINC000866182409 638764800 /nfs/dbraw/zinc/76/48/00/638764800.db2.gz RVOXZJZINRFCBK-STQMWFEESA-N 0 0 291.351 2.773 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H]3CSC[C@H]3C2)c1 ZINC000866199981 638768388 /nfs/dbraw/zinc/76/83/88/638768388.db2.gz FFXYZAGBJCDEDC-WDEREUQCSA-N 0 0 294.376 2.793 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H]2CSC[C@H]2C1 ZINC000866201455 638768827 /nfs/dbraw/zinc/76/88/27/638768827.db2.gz NMMWSXBFGBPOJF-GHMZBOCLSA-N 0 0 294.376 2.793 20 5 CFBDRN COc1cc(N2CC[C@@H]3CSC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000866204321 638769794 /nfs/dbraw/zinc/76/97/94/638769794.db2.gz WFSXLEDVDQCXBZ-MNOVXSKESA-N 0 0 294.376 2.793 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H]2CSC[C@@H]2C1 ZINC000866206052 638769962 /nfs/dbraw/zinc/76/99/62/638769962.db2.gz ITYPBLQWPVXGLX-UWVGGRQHSA-N 0 0 282.340 2.923 20 5 CFBDRN C[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1ccnn1C ZINC000866250745 638780234 /nfs/dbraw/zinc/78/02/34/638780234.db2.gz DDYAKWGRKMOTRJ-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN Cc1nn(C)c(N2CC([C@H]3CCC[C@H](C)C3)C2)c1[N+](=O)[O-] ZINC000866254501 638781253 /nfs/dbraw/zinc/78/12/53/638781253.db2.gz PLRIGERJGJEXBU-JQWIXIFHSA-N 0 0 292.383 2.899 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1C[C@H]1C1CCOCC1 ZINC000866273488 638786880 /nfs/dbraw/zinc/78/68/80/638786880.db2.gz NLAKPGKMGMIVJE-WCQYABFASA-N 0 0 280.299 2.961 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000866300522 638791666 /nfs/dbraw/zinc/79/16/66/638791666.db2.gz BFFFZJTWXYMZNX-GXSJLCMTSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000866301547 638792061 /nfs/dbraw/zinc/79/20/61/638792061.db2.gz OAUZOCPIUVTXFS-MNOVXSKESA-N 0 0 289.335 2.526 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000866302088 638792479 /nfs/dbraw/zinc/79/24/79/638792479.db2.gz YKTOIZMOCYJEJP-RKDXNWHRSA-N 0 0 286.303 2.704 20 5 CFBDRN Cc1ccnc(NCC[C@H](O)C2CCCC2)c1[N+](=O)[O-] ZINC000866302889 638792679 /nfs/dbraw/zinc/79/26/79/638792679.db2.gz HSGSHCCNJOZTJA-LBPRGKRZSA-N 0 0 279.340 2.651 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000866303989 638792921 /nfs/dbraw/zinc/79/29/21/638792921.db2.gz SJCDBAKYNHVRGJ-WDEREUQCSA-N 0 0 289.335 2.526 20 5 CFBDRN Cc1c(N[C@@H](C)Cc2ccc(F)cc2[N+](=O)[O-])cnn1C ZINC000866326689 638797458 /nfs/dbraw/zinc/79/74/58/638797458.db2.gz XFIGMZSTPVUDQH-VIFPVBQESA-N 0 0 292.314 2.819 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866507533 638832339 /nfs/dbraw/zinc/83/23/39/638832339.db2.gz DNUJOSAELMUZDZ-GXFFZTMASA-N 0 0 294.326 2.971 20 5 CFBDRN Nc1ccc2c(c1)OCCN(Cc1ccc([N+](=O)[O-])cc1)C2 ZINC000866691583 638866391 /nfs/dbraw/zinc/86/63/91/638866391.db2.gz MCCLGFBZVIONHG-UHFFFAOYSA-N 0 0 299.330 2.572 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000867559373 638885133 /nfs/dbraw/zinc/88/51/33/638885133.db2.gz JVKMOLNOWUKXFK-HJQYOEGKSA-N 0 0 290.319 2.834 20 5 CFBDRN CC1(C)CC(CNC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867654341 638889535 /nfs/dbraw/zinc/88/95/35/638889535.db2.gz WEGCSNBAKXYJSZ-UHFFFAOYSA-N 0 0 294.326 2.829 20 5 CFBDRN CCN1CCCC[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000121748486 638890055 /nfs/dbraw/zinc/89/00/55/638890055.db2.gz NLTKCDCOTLXGBP-AWEZNQCLSA-N 0 0 292.335 2.512 20 5 CFBDRN Nc1ccc(NC(=O)[C@]23C[C@H]2CCCC3)cc1[N+](=O)[O-] ZINC000868411121 638943614 /nfs/dbraw/zinc/94/36/14/638943614.db2.gz SNZLRKNWZQMGCQ-YMTOWFKASA-N 0 0 275.308 2.696 20 5 CFBDRN Cc1ccc(CNC(=O)NCC2CC=CC2)cc1[N+](=O)[O-] ZINC000868802521 638981006 /nfs/dbraw/zinc/98/10/06/638981006.db2.gz CTHWLERAHHUOSO-UHFFFAOYSA-N 0 0 289.335 2.669 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC=C(C)C2)cc1[N+](=O)[O-] ZINC000869055381 639016257 /nfs/dbraw/zinc/01/62/57/639016257.db2.gz SUCBMRNIIHCIDV-UHFFFAOYSA-N 0 0 289.335 2.765 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CC2)c(C(F)F)c1 ZINC000122481000 639107933 /nfs/dbraw/zinc/10/79/33/639107933.db2.gz KMRZKQLYZNJRQP-UHFFFAOYSA-N 0 0 272.251 2.717 20 5 CFBDRN CC(C)[C@H](NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000869287526 639138826 /nfs/dbraw/zinc/13/88/26/639138826.db2.gz GTPPQPBULHBJMX-AWEZNQCLSA-N 0 0 294.326 2.827 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000869289815 639140327 /nfs/dbraw/zinc/14/03/27/639140327.db2.gz QVPCFQSNFUGRNG-AWEZNQCLSA-N 0 0 280.299 2.581 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000869305920 639157143 /nfs/dbraw/zinc/15/71/43/639157143.db2.gz BOGLPRSUCKZALE-UHFFFAOYSA-N 0 0 271.294 2.572 20 5 CFBDRN C[C@@H]1OCC[C@H]1COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000869314728 639165552 /nfs/dbraw/zinc/16/55/52/639165552.db2.gz VWRDDHRYWRDLLO-IUCAKERBSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1F)C(=O)C(C)(C)C ZINC000869352768 639191875 /nfs/dbraw/zinc/19/18/75/639191875.db2.gz KEMTXHKIVADZOI-QMMMGPOBSA-N 0 0 297.282 2.894 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1F)C(=O)C(C)(C)C ZINC000869363501 639196292 /nfs/dbraw/zinc/19/62/92/639196292.db2.gz XEEQNVNFGYIINE-QMMMGPOBSA-N 0 0 297.282 2.894 20 5 CFBDRN NC(=O)C1CCC(Nc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000122593206 639230504 /nfs/dbraw/zinc/23/05/04/639230504.db2.gz OXMHDZCXMOIJGA-UHFFFAOYSA-N 0 0 297.742 2.704 20 5 CFBDRN C[C@H](COC(=O)c1ccc([N+](=O)[O-])cn1)C(C)(C)C ZINC000869436364 639230853 /nfs/dbraw/zinc/23/08/53/639230853.db2.gz FSEQXXJRFUVJGT-SECBINFHSA-N 0 0 266.297 2.829 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC[C@H]1CCSC1 ZINC000869598982 639314680 /nfs/dbraw/zinc/31/46/80/639314680.db2.gz ZCWJPKXWKFNDFJ-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN O=C(NCCC1CCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000122751411 639377992 /nfs/dbraw/zinc/37/79/92/639377992.db2.gz IGFIYDALOCGZKT-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN CCOC(C)(C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000869769088 639393545 /nfs/dbraw/zinc/39/35/45/639393545.db2.gz RZVAMFKTFGXNKO-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000869896793 639458536 /nfs/dbraw/zinc/45/85/36/639458536.db2.gz HOXZMWCPHOHCBF-LBPRGKRZSA-N 0 0 283.255 2.504 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000869897000 639458803 /nfs/dbraw/zinc/45/88/03/639458803.db2.gz KNAIEHQZWPDGII-SSDOTTSWSA-N 0 0 299.151 2.791 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869899608 639459639 /nfs/dbraw/zinc/45/96/39/639459639.db2.gz YIMBKIPQUNGQTQ-HTQZYQBOSA-N 0 0 253.229 2.547 20 5 CFBDRN CO[C@@H](COC(=O)c1c(F)cccc1[N+](=O)[O-])C1CCC1 ZINC000869899017 639459690 /nfs/dbraw/zinc/45/96/90/639459690.db2.gz RCZQYMOFJOKGTA-LBPRGKRZSA-N 0 0 297.282 2.706 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000870151248 639529305 /nfs/dbraw/zinc/52/93/05/639529305.db2.gz XVMQKRWZARSIKU-OHBODLIOSA-N 0 0 291.303 2.801 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@]12CCC[C@H]1OCC2 ZINC000870248064 639562494 /nfs/dbraw/zinc/56/24/94/639562494.db2.gz QWVKCGYSUMQECA-OCCSQVGLSA-N 0 0 295.266 2.599 20 5 CFBDRN C[C@@H](COC(=O)CCc1cccc2ccccc21)[N+](=O)[O-] ZINC000870376308 639614943 /nfs/dbraw/zinc/61/49/43/639614943.db2.gz GEKMCYWGLDKDBH-LBPRGKRZSA-N 0 0 287.315 2.981 20 5 CFBDRN C[C@H](COC(=O)c1ccc(SC(F)(F)F)o1)[N+](=O)[O-] ZINC000870376471 639615159 /nfs/dbraw/zinc/61/51/59/639615159.db2.gz KEUOUSMPVVGZIP-RXMQYKEDSA-N 0 0 299.226 2.714 20 5 CFBDRN C[C@H](COC(=O)c1cc(Cl)cc(Cl)c1F)[N+](=O)[O-] ZINC000870378891 639617010 /nfs/dbraw/zinc/61/70/10/639617010.db2.gz ZSSRSMPXSKQXGH-RXMQYKEDSA-N 0 0 296.081 2.955 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC(C)(C)c1ccc(F)cc1 ZINC000870387146 639619706 /nfs/dbraw/zinc/61/97/06/639619706.db2.gz LRJUNUSWQDFWNV-SNVBAGLBSA-N 0 0 283.299 2.702 20 5 CFBDRN CCCCCCNC(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000078641065 650520569 /nfs/dbraw/zinc/52/05/69/650520569.db2.gz LXXWSSCWLZMJCS-UHFFFAOYSA-N 0 0 279.340 2.703 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000870576757 639730899 /nfs/dbraw/zinc/73/08/99/639730899.db2.gz NLTQSTZKCFJPHL-TXEJJXNPSA-N 0 0 281.333 2.575 20 5 CFBDRN COc1cc(C(=O)O[C@H]2C[C@@H](SC)C2)ccc1[N+](=O)[O-] ZINC000870579032 639733155 /nfs/dbraw/zinc/73/31/55/639733155.db2.gz VSPBMFBFJLIKQD-AOOOYVTPSA-N 0 0 297.332 2.654 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000870724684 639793036 /nfs/dbraw/zinc/79/30/36/639793036.db2.gz JDCKKAPMOUPHCG-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN COc1ccccc1[C@H](O)CNc1ccc([N+](=O)[O-])cc1 ZINC000192810664 650599099 /nfs/dbraw/zinc/59/90/99/650599099.db2.gz DTVROVVLHNYQCC-CQSZACIVSA-N 0 0 288.303 2.749 20 5 CFBDRN CC(C)(C)/C=C\C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870829757 641090645 /nfs/dbraw/zinc/09/06/45/641090645.db2.gz PVLTXDLHGQKFPC-PLNGDYQASA-N 0 0 293.323 2.640 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC[C@@H]1CCCS1 ZINC000871023881 641158167 /nfs/dbraw/zinc/15/81/67/641158167.db2.gz KEVLGVXLVDNAAL-LBPRGKRZSA-N 0 0 294.376 2.539 20 5 CFBDRN O=C(NCC[C@H]1CCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000871023933 641158243 /nfs/dbraw/zinc/15/82/43/641158243.db2.gz KQDKKWUEAOYHML-GFCCVEGCSA-N 0 0 280.349 2.610 20 5 CFBDRN O=C(NCC[C@@H]1CCCS1)c1ccc([N+](=O)[O-])s1 ZINC000871024798 641159474 /nfs/dbraw/zinc/15/94/74/641159474.db2.gz VLYSYQSFJRJUNM-QMMMGPOBSA-N 0 0 286.378 2.672 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000871093525 641200169 /nfs/dbraw/zinc/20/01/69/641200169.db2.gz VNWOMXRSXBOYNP-MGCOHNPYSA-N 0 0 280.349 2.527 20 5 CFBDRN CCCCC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(=O)OC ZINC000080233075 650652945 /nfs/dbraw/zinc/65/29/45/650652945.db2.gz LUMSWLJAOFKIPT-LLVKDONJSA-N 0 0 295.339 2.832 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871515714 641337117 /nfs/dbraw/zinc/33/71/17/641337117.db2.gz PEAIVWIUEBFJEH-OKILXGFUSA-N 0 0 286.331 2.562 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871516515 641337205 /nfs/dbraw/zinc/33/72/05/641337205.db2.gz DHGAZVXVPWWRPN-AOOOYVTPSA-N 0 0 278.333 2.695 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871522257 641342729 /nfs/dbraw/zinc/34/27/29/641342729.db2.gz WYGYOHJKWFDORE-BETUJISGSA-N 0 0 286.331 2.941 20 5 CFBDRN CN(CCCCc1ccccc1)Cn1cc([N+](=O)[O-])cn1 ZINC000193422475 650651882 /nfs/dbraw/zinc/65/18/82/650651882.db2.gz ONWDJIUFPZXVRB-UHFFFAOYSA-N 0 0 288.351 2.704 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@H]3CCC[C@@H]3O2)cc1 ZINC000871630911 641374927 /nfs/dbraw/zinc/37/49/27/641374927.db2.gz XKHLQDRDDGVQDH-SWHYSGLUSA-N 0 0 263.293 2.931 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H]1CC2(CCC2)CO1 ZINC000871630393 641374943 /nfs/dbraw/zinc/37/49/43/641374943.db2.gz BGEXRSZLXBUJOS-NSHDSACASA-N 0 0 263.293 2.933 20 5 CFBDRN COc1cc(OC[C@@H]2CC3(CCC3)CO2)ccc1[N+](=O)[O-] ZINC000871668576 641392278 /nfs/dbraw/zinc/39/22/78/641392278.db2.gz PWLNVNOSFOGKLB-LBPRGKRZSA-N 0 0 293.319 2.941 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000871670613 641393561 /nfs/dbraw/zinc/39/35/61/641393561.db2.gz AVKUKIJKHAMOJD-VDDIYKPWSA-N 0 0 298.726 2.980 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000871670611 641393830 /nfs/dbraw/zinc/39/38/30/641393830.db2.gz AVKUKIJKHAMOJD-HOTUBEGUSA-N 0 0 298.726 2.980 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2CC3(CCC3)CO2)c1 ZINC000871677535 641398661 /nfs/dbraw/zinc/39/86/61/641398661.db2.gz OBSRKTMFYDJIEX-LBPRGKRZSA-N 0 0 293.319 2.941 20 5 CFBDRN O=[N+]([O-])c1nc(Br)ccc1OCC1CCC1 ZINC000871693778 641408575 /nfs/dbraw/zinc/40/85/75/641408575.db2.gz UEGUSCDKKPGIRJ-UHFFFAOYSA-N 0 0 287.113 2.931 20 5 CFBDRN C[C@@H]1C[C@H]1COc1ccc(Br)nc1[N+](=O)[O-] ZINC000871693872 641408838 /nfs/dbraw/zinc/40/88/38/641408838.db2.gz GDBUKMQGDSYASN-RQJHMYQMSA-N 0 0 287.113 2.787 20 5 CFBDRN CO[C@H](C)COc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871694484 641409597 /nfs/dbraw/zinc/40/95/97/641409597.db2.gz NRGCPXBRBNJBPG-ZCFIWIBFSA-N 0 0 263.652 2.801 20 5 CFBDRN NC(=NOCc1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000871765645 641449099 /nfs/dbraw/zinc/44/90/99/641449099.db2.gz GFVUJFPPNMCICP-UHFFFAOYSA-N 0 0 267.260 2.532 20 5 CFBDRN COc1nnc(NCc2c(C)ccc([N+](=O)[O-])c2C)s1 ZINC000871863705 641487903 /nfs/dbraw/zinc/48/79/03/641487903.db2.gz ZMCVVLOGUOATKD-UHFFFAOYSA-N 0 0 294.336 2.684 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[NH2+]CC1(C(=O)[O-])CCC1 ZINC000871874088 641493287 /nfs/dbraw/zinc/49/32/87/641493287.db2.gz FAALPZQYDUQYNH-UHFFFAOYSA-N 0 0 292.335 2.556 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)[O-])C[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000871876274 641493972 /nfs/dbraw/zinc/49/39/72/641493972.db2.gz WSAFYPNBMCODTL-QKCSRTOESA-N 0 0 292.335 2.574 20 5 CFBDRN Cc1cc(CN[C@H](C)c2c(F)cccc2[N+](=O)[O-])on1 ZINC000872035196 641567354 /nfs/dbraw/zinc/56/73/54/641567354.db2.gz TYBLFFWPRQSMKU-SECBINFHSA-N 0 0 279.271 2.881 20 5 CFBDRN Cc1ccc(C[N@@H+](CCC(=O)[O-])CC(C)C)cc1[N+](=O)[O-] ZINC000872159444 641678722 /nfs/dbraw/zinc/67/87/22/641678722.db2.gz GUJAVXARSBUVOV-UHFFFAOYSA-N 0 0 294.351 2.836 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@@H](C(C)(C)O)C2)c1 ZINC000872673211 641893175 /nfs/dbraw/zinc/89/31/75/641893175.db2.gz GFVILWPZXWMAIU-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H]3CCC[C@H]3O2)n1 ZINC000872697399 641900838 /nfs/dbraw/zinc/90/08/38/641900838.db2.gz LBXYYZFMYBCJOA-NTZNESFSSA-N 0 0 277.324 2.668 20 5 CFBDRN COc1cccc(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1[N+](=O)[O-] ZINC000872697052 641900907 /nfs/dbraw/zinc/90/09/07/641900907.db2.gz FUTZFSNYMIWXEL-GVXVVHGQSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1nc(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)ccc1[N+](=O)[O-] ZINC000872698456 641903208 /nfs/dbraw/zinc/90/32/08/641903208.db2.gz XOYNQAKVBCUYFW-MDZLAQPJSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc(NCCC[C@H]2CCOC2=O)c([N+](=O)[O-])s1 ZINC000872702280 641904731 /nfs/dbraw/zinc/90/47/31/641904731.db2.gz YPCQOSOVGJPHSS-VIFPVBQESA-N 0 0 284.337 2.720 20 5 CFBDRN Cc1cc(NCCC[C@@H]2CCOC2=O)ccc1[N+](=O)[O-] ZINC000872703656 641905039 /nfs/dbraw/zinc/90/50/39/641905039.db2.gz GQSSJBQNNUVEIA-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN CC(C)OC1(CNc2ncc([N+](=O)[O-])s2)CCC1 ZINC000872707003 641906927 /nfs/dbraw/zinc/90/69/27/641906927.db2.gz SFONQTNOLAWMLJ-UHFFFAOYSA-N 0 0 271.342 2.811 20 5 CFBDRN Cc1cc(NC[C@H]2C[C@H](O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000872720692 641911050 /nfs/dbraw/zinc/91/10/50/641911050.db2.gz WZNKYAINOYCRHS-KYZUINATSA-N 0 0 270.716 2.739 20 5 CFBDRN C[C@@]1(C(F)(F)F)CCN(c2cc(N)ccc2[N+](=O)[O-])C1 ZINC000872729634 641915496 /nfs/dbraw/zinc/91/54/96/641915496.db2.gz ZDZVKDRRBCCJJC-LLVKDONJSA-N 0 0 289.257 2.956 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCO[C@H](C(F)(F)F)CC1 ZINC000872750732 641920929 /nfs/dbraw/zinc/92/09/29/641920929.db2.gz XCGMFJQACHXUAJ-QMMMGPOBSA-N 0 0 296.270 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C(F)(F)F)CC2)cc1 ZINC000872751414 641920967 /nfs/dbraw/zinc/92/09/67/641920967.db2.gz OBIZPEOZADKPBP-NSHDSACASA-N 0 0 290.241 2.752 20 5 CFBDRN Cc1cnc(N[C@@H](C)c2nccnc2C)c([N+](=O)[O-])c1 ZINC000872769121 641925996 /nfs/dbraw/zinc/92/59/96/641925996.db2.gz QIHFKVPRFHRHKG-JTQLQIEISA-N 0 0 273.296 2.570 20 5 CFBDRN CC[C@H](Nc1ncccc1[N+](=O)[O-])[C@@H](O)c1ccccc1 ZINC000872774296 641928458 /nfs/dbraw/zinc/92/84/58/641928458.db2.gz HLSJUQSBMHDQJY-JSGCOSHPSA-N 0 0 287.319 2.914 20 5 CFBDRN CCn1ncc(Nc2ccc([N+](=O)[O-])c(C)n2)c1C ZINC000872778021 641931445 /nfs/dbraw/zinc/93/14/45/641931445.db2.gz YEMASVLVTCNGOL-UHFFFAOYSA-N 0 0 261.285 2.567 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](C(C)(C)O)C2)c1[N+](=O)[O-] ZINC000872782480 641936839 /nfs/dbraw/zinc/93/68/39/641936839.db2.gz UEZPYDSLGYAUDP-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@@H](NC(=O)NC[C@@H]1C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000872825495 641948079 /nfs/dbraw/zinc/94/80/79/641948079.db2.gz PBASVLDPAZCLHK-AXFHLTTASA-N 0 0 277.324 2.611 20 5 CFBDRN CCCn1cc(NC(=O)c2ccc([N+](=O)[O-])s2)cn1 ZINC000080734175 650706492 /nfs/dbraw/zinc/70/64/92/650706492.db2.gz CHYSHKALDOIKDT-UHFFFAOYSA-N 0 0 280.309 2.515 20 5 CFBDRN C[C@H](NC(=O)NOC/C=C\Cl)c1ccccc1[N+](=O)[O-] ZINC000873019118 641986494 /nfs/dbraw/zinc/98/64/94/641986494.db2.gz JNLKLOZSTKMPLO-WYDVEAGSSA-N 0 0 299.714 2.639 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1ccccc1[N+](=O)[O-] ZINC000873317305 642133755 /nfs/dbraw/zinc/13/37/55/642133755.db2.gz KGXJFZNQTHWZCK-SUNKGSAMSA-N 0 0 291.303 2.709 20 5 CFBDRN CC[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(C)=O ZINC000873318899 642134392 /nfs/dbraw/zinc/13/43/92/642134392.db2.gz QDUSNZXYBNZQIN-LLVKDONJSA-N 0 0 285.683 2.773 20 5 CFBDRN O=C(OC[C@@H]1CC2(CCC2)CO1)c1ccc([N+](=O)[O-])cc1 ZINC000873340433 642141486 /nfs/dbraw/zinc/14/14/86/642141486.db2.gz VZBGDRLKCVRXIK-ZDUSSCGKSA-N 0 0 291.303 2.711 20 5 CFBDRN O=C(OC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1ccc([N+](=O)[O-])cc1 ZINC000873339775 642141581 /nfs/dbraw/zinc/14/15/81/642141581.db2.gz KTZNFUUVUOUVIT-FPMFFAJLSA-N 0 0 291.303 2.709 20 5 CFBDRN CC[C@@H](OC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873377917 642166509 /nfs/dbraw/zinc/16/65/09/642166509.db2.gz RMLFDUJQQNTQMD-CFYYFVFUSA-N 0 0 291.303 2.909 20 5 CFBDRN CSc1ccc(C(=O)Nc2ccon2)cc1[N+](=O)[O-] ZINC000194926637 650733445 /nfs/dbraw/zinc/73/34/45/650733445.db2.gz GXKXBGBWSDPEBL-UHFFFAOYSA-N 0 0 279.277 2.557 20 5 CFBDRN CCO[C@@H]1C[C@@H]1COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873525689 642234575 /nfs/dbraw/zinc/23/45/75/642234575.db2.gz VFJDBCJJGUXIHL-DGCLKSJQSA-N 0 0 293.319 2.793 20 5 CFBDRN CCO[C@H]1C[C@@H]1COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873525691 642234926 /nfs/dbraw/zinc/23/49/26/642234926.db2.gz VFJDBCJJGUXIHL-YPMHNXCESA-N 0 0 293.319 2.793 20 5 CFBDRN O=C(Cc1csc(C2CCCC2)n1)OCCC[N+](=O)[O-] ZINC000873547481 642243918 /nfs/dbraw/zinc/24/39/18/642243918.db2.gz CCSFHUGMLLTLHI-UHFFFAOYSA-N 0 0 298.364 2.553 20 5 CFBDRN O=C(OC1CCSCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000873558111 642249482 /nfs/dbraw/zinc/24/94/82/642249482.db2.gz NTCFMSXKNXCMQZ-UHFFFAOYSA-N 0 0 285.296 2.786 20 5 CFBDRN COc1cc(C(=O)OC2CCSCC2)ccc1[N+](=O)[O-] ZINC000873557907 642249490 /nfs/dbraw/zinc/24/94/90/642249490.db2.gz LAMUGQRIKUGYAN-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCC(C)C ZINC000873734258 642290394 /nfs/dbraw/zinc/29/03/94/642290394.db2.gz JIPUNMCJZWSGEZ-UHFFFAOYSA-N 0 0 264.325 2.988 20 5 CFBDRN O=C(C[C@@H]1CCCO1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000195263353 650745001 /nfs/dbraw/zinc/74/50/01/650745001.db2.gz SKLYOVNRSLIKSF-VIFPVBQESA-N 0 0 285.683 2.723 20 5 CFBDRN CCn1nccc1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124985263 642379046 /nfs/dbraw/zinc/37/90/46/642379046.db2.gz MLEDNGAWEAOGQU-UHFFFAOYSA-N 0 0 295.682 2.684 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000874625381 642428390 /nfs/dbraw/zinc/42/83/90/642428390.db2.gz IQEDUUITFKWKSZ-UHIISALHSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000874625588 642429146 /nfs/dbraw/zinc/42/91/46/642429146.db2.gz CCHPASBYDGOGMX-PRULPYPASA-N 0 0 292.335 2.586 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)c1c(Cl)cccc1[N+](=O)[O-] ZINC000874783805 642484231 /nfs/dbraw/zinc/48/42/31/642484231.db2.gz CRGINIQLBITGAP-JTQLQIEISA-N 0 0 280.711 2.921 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@H]1CC12CCC2 ZINC000874786606 642488266 /nfs/dbraw/zinc/48/82/66/642488266.db2.gz OVYWPYHQNNVEFP-AWEZNQCLSA-N 0 0 299.330 2.608 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1CC12CCC2 ZINC000874790615 642490932 /nfs/dbraw/zinc/49/09/32/642490932.db2.gz LTMBEBBWTRMEMO-LBPRGKRZSA-N 0 0 292.360 2.746 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H]1CC12CCC2 ZINC000874792630 642492295 /nfs/dbraw/zinc/49/22/95/642492295.db2.gz YZEIDAGTENFKDF-IRBQFCFKSA-N 0 0 272.304 2.667 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000875054328 642581451 /nfs/dbraw/zinc/58/14/51/642581451.db2.gz OBSGGJBNGPMYBQ-YJRXYDGGSA-N 0 0 260.293 2.825 20 5 CFBDRN CCON(CC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000875116643 642587177 /nfs/dbraw/zinc/58/71/77/642587177.db2.gz KTVBHHGZCNWSMA-SNVBAGLBSA-N 0 0 281.312 2.639 20 5 CFBDRN CC(C)[C@H](C)CNc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000083907093 650769817 /nfs/dbraw/zinc/76/98/17/650769817.db2.gz YUTWBNWQMJMQJJ-SECBINFHSA-N 0 0 293.323 2.630 20 5 CFBDRN CCON(CC)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000875349382 642611189 /nfs/dbraw/zinc/61/11/89/642611189.db2.gz YGMXEUKJUINFIH-LLVKDONJSA-N 0 0 295.339 2.947 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCSC[C@@H]1C ZINC000875351251 642611316 /nfs/dbraw/zinc/61/13/16/642611316.db2.gz SLONNBMCDHCVEB-JTQLQIEISA-N 0 0 294.376 2.789 20 5 CFBDRN COC[C@H](NC(=O)c1c(C)ccc([N+](=O)[O-])c1C)C(C)C ZINC000875364911 642613149 /nfs/dbraw/zinc/61/31/49/642613149.db2.gz YRMJKNPZABKWNQ-LBPRGKRZSA-N 0 0 294.351 2.612 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C ZINC000875500975 642630654 /nfs/dbraw/zinc/63/06/54/642630654.db2.gz JIZQEFHODIDTLR-BLFANLJRSA-N 0 0 293.319 2.868 20 5 CFBDRN CCO[C@H](C(=O)Oc1c(C)cccc1[N+](=O)[O-])C1CC1 ZINC000875501666 642631173 /nfs/dbraw/zinc/63/11/73/642631173.db2.gz SMBUEKUCUJQZBL-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)(CCC(=O)Nc1ncc(F)cc1Cl)[N+](=O)[O-] ZINC000875848830 642701930 /nfs/dbraw/zinc/70/19/30/642701930.db2.gz OLZMQGDDBJFVIT-UHFFFAOYSA-N 0 0 289.694 2.648 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000085067596 650786779 /nfs/dbraw/zinc/78/67/79/650786779.db2.gz AXUYBPKKJLWWJK-MNOVXSKESA-N 0 0 291.351 2.876 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC2(CC2)C1 ZINC000888698457 642772732 /nfs/dbraw/zinc/77/27/32/642772732.db2.gz MYQPQFQFZCBGOD-JTQLQIEISA-N 0 0 289.335 2.630 20 5 CFBDRN CCCN(CC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000876172622 642787551 /nfs/dbraw/zinc/78/75/51/642787551.db2.gz ZGLMGDMEPNSTLH-UHFFFAOYSA-N 0 0 279.340 2.845 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C1CCC1 ZINC000125817962 642795575 /nfs/dbraw/zinc/79/55/75/642795575.db2.gz BCKCVHPQRIKZBV-SSDOTTSWSA-N 0 0 284.262 2.791 20 5 CFBDRN CCc1ccc(C(=O)OCC2(CC)COC2)cc1[N+](=O)[O-] ZINC000084850259 650782694 /nfs/dbraw/zinc/78/26/94/650782694.db2.gz KQIJGEFCXUUKER-UHFFFAOYSA-N 0 0 293.319 2.741 20 5 CFBDRN O=C(OCCF)c1cc([N+](=O)[O-])ccc1N1CCCCC1 ZINC000125839644 642799106 /nfs/dbraw/zinc/79/91/06/642799106.db2.gz XIGSQRVLQAZATC-UHFFFAOYSA-N 0 0 296.298 2.711 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC12CCC2 ZINC000876426600 642864560 /nfs/dbraw/zinc/86/45/60/642864560.db2.gz PUARRRYXSWYSJI-LBPRGKRZSA-N 0 0 291.307 2.668 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C=C1CCC1 ZINC000087142131 650799630 /nfs/dbraw/zinc/79/96/30/650799630.db2.gz SJBDKTFMZLLLGT-SNVBAGLBSA-N 0 0 272.304 2.983 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN(C)Cc1cc[nH]n1 ZINC000876722474 642983304 /nfs/dbraw/zinc/98/33/04/642983304.db2.gz WBEBJKQOJOLFFM-UHFFFAOYSA-N 0 0 274.324 2.567 20 5 CFBDRN COc1ccc(Cl)cc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000876838452 643034234 /nfs/dbraw/zinc/03/42/34/643034234.db2.gz QSXHFPSCZPSNBU-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ccnn2CC2CC2)cc1 ZINC000877106644 643154267 /nfs/dbraw/zinc/15/42/67/643154267.db2.gz UJBZRZOESFQZNR-UHFFFAOYSA-N 0 0 286.335 2.856 20 5 CFBDRN CCc1ccc(NCc2cn(C)nc2[N+](=O)[O-])cc1C ZINC000877118659 643159769 /nfs/dbraw/zinc/15/97/69/643159769.db2.gz JCYFAAMUVGGWTK-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCc1ccoc1 ZINC000088805847 650820946 /nfs/dbraw/zinc/82/09/46/650820946.db2.gz FHVTVSGLBJQGFH-UHFFFAOYSA-N 0 0 275.260 2.864 20 5 CFBDRN C[C@@H]1C[C@H](OC(=O)Cn2ccc([N+](=O)[O-])n2)CC(C)(C)C1 ZINC000126563786 643337907 /nfs/dbraw/zinc/33/79/07/643337907.db2.gz MYMQTGSXUGQVOG-MNOVXSKESA-N 0 0 295.339 2.549 20 5 CFBDRN CSc1cc(C)ccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000877587195 643381725 /nfs/dbraw/zinc/38/17/25/643381725.db2.gz HROCBJQBYPPEQW-UHFFFAOYSA-N 0 0 292.364 2.971 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1CCO[C@H](CCF)C1 ZINC000877657649 643437329 /nfs/dbraw/zinc/43/73/29/643437329.db2.gz MFLVIOQZUJWBSK-CYBMUJFWSA-N 0 0 296.342 2.772 20 5 CFBDRN CC1(F)CCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000877700191 643463205 /nfs/dbraw/zinc/46/32/05/643463205.db2.gz HTSMNUWSERWLKO-UHFFFAOYSA-N 0 0 297.286 2.827 20 5 CFBDRN Cc1c([C@H]2Nc3ccccc3C(=O)N2)cccc1[N+](=O)[O-] ZINC000877843205 643606518 /nfs/dbraw/zinc/60/65/18/643606518.db2.gz JPTIMDAEKVETEX-AWEZNQCLSA-N 0 0 283.287 2.757 20 5 CFBDRN Cc1ccc2c(c1)C(=O)N[C@H](c1cccc([N+](=O)[O-])c1)N2 ZINC000877861123 643610430 /nfs/dbraw/zinc/61/04/30/643610430.db2.gz GFCGJPDJYZZJSX-CQSZACIVSA-N 0 0 283.287 2.757 20 5 CFBDRN Cc1ccc2c(c1)C(=O)N[C@H](c1csc([N+](=O)[O-])c1)N2 ZINC000877862353 643611418 /nfs/dbraw/zinc/61/14/18/643611418.db2.gz WDYOEUKGNHVTTF-GFCCVEGCSA-N 0 0 289.316 2.819 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(CCOC2CC2)c1 ZINC000877885019 643622037 /nfs/dbraw/zinc/62/20/37/643622037.db2.gz MLMKBNCQEGXOIG-UHFFFAOYSA-N 0 0 273.292 2.637 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@@H](CF)C1 ZINC000877902788 643631555 /nfs/dbraw/zinc/63/15/55/643631555.db2.gz VJLIJUWXWTZPJZ-JTQLQIEISA-N 0 0 281.287 2.808 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@H](CF)C2)cc1[N+](=O)[O-] ZINC000877902492 643631565 /nfs/dbraw/zinc/63/15/65/643631565.db2.gz BHKRUTDJWAROTP-SNVBAGLBSA-N 0 0 281.287 2.727 20 5 CFBDRN Cc1c(NC(=O)NC[C@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000877952622 643661027 /nfs/dbraw/zinc/66/10/27/643661027.db2.gz RPSKLQGHOGCSSY-NSHDSACASA-N 0 0 275.308 2.991 20 5 CFBDRN CC1(OC(=O)CCNc2ccccc2[N+](=O)[O-])CCC1 ZINC000126933859 643765886 /nfs/dbraw/zinc/76/58/86/643765886.db2.gz RDFWODJQVHLNQT-UHFFFAOYSA-N 0 0 278.308 2.883 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000126934900 643766420 /nfs/dbraw/zinc/76/64/20/643766420.db2.gz FDBDAFCYJYUHOR-SFYZADRCSA-N 0 0 258.705 2.820 20 5 CFBDRN Cc1conc1CN(C)CCc1cccc([N+](=O)[O-])c1 ZINC000878565210 643943611 /nfs/dbraw/zinc/94/36/11/643943611.db2.gz GXPQGRIBXNDRRC-UHFFFAOYSA-N 0 0 275.308 2.566 20 5 CFBDRN Cc1cnc(N[C@H](C)Cc2ccco2)c([N+](=O)[O-])c1 ZINC000090692096 650880771 /nfs/dbraw/zinc/88/07/71/650880771.db2.gz ZBYQAFRZDRHDKU-SNVBAGLBSA-N 0 0 261.281 2.934 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000127301920 644007968 /nfs/dbraw/zinc/00/79/68/644007968.db2.gz NIVNEIIKWUPSQI-SNVBAGLBSA-N 0 0 267.281 2.561 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000127370300 644052442 /nfs/dbraw/zinc/05/24/42/644052442.db2.gz YTEAUYWTHJLWFI-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN CCCc1ccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])cc1 ZINC000127471600 644113435 /nfs/dbraw/zinc/11/34/35/644113435.db2.gz GNBKOMDGYXTGDH-UHFFFAOYSA-N 0 0 274.280 2.523 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCCCCO2)cc1F ZINC000879512648 644296932 /nfs/dbraw/zinc/29/69/32/644296932.db2.gz STRGJBSRKYYCGU-UHFFFAOYSA-N 0 0 254.261 2.651 20 5 CFBDRN CN(Cc1cc(F)ccc1[N+](=O)[O-])OCC(F)(F)F ZINC000879512307 644297613 /nfs/dbraw/zinc/29/76/13/644297613.db2.gz XZAGVGITTBBTPY-UHFFFAOYSA-N 0 0 282.193 2.660 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@H]1CCC[C@H](C)C1 ZINC000127937314 644379813 /nfs/dbraw/zinc/37/98/13/644379813.db2.gz FUNMOCZSKJYLPC-JQWIXIFHSA-N 0 0 295.339 2.612 20 5 CFBDRN COc1ccc(OC(=O)C2CCCC2)c([N+](=O)[O-])c1 ZINC000247879866 650917782 /nfs/dbraw/zinc/91/77/82/650917782.db2.gz INTASNYECHYJEK-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN COCCCCCNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000128048209 644449105 /nfs/dbraw/zinc/44/91/05/644449105.db2.gz YVVHPBNRXUUKEZ-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN Cc1coc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 ZINC000879889536 644457652 /nfs/dbraw/zinc/45/76/52/644457652.db2.gz SHNSHRYCLHUIKF-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H](CF)C2)cs1 ZINC000880232782 644593196 /nfs/dbraw/zinc/59/31/96/644593196.db2.gz QHMIQMDFXXNNRN-SECBINFHSA-N 0 0 258.318 2.838 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC[C@@H](CF)C1 ZINC000880232247 644593978 /nfs/dbraw/zinc/59/39/78/644593978.db2.gz JPOZAHZGBIJZFV-JTQLQIEISA-N 0 0 270.279 2.915 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@H](CF)C1 ZINC000880233630 644594441 /nfs/dbraw/zinc/59/44/41/644594441.db2.gz YKZBBVMBYSRJON-SNVBAGLBSA-N 0 0 270.279 2.915 20 5 CFBDRN O=[N+]([O-])c1cc(CNC2(c3ncccn3)CCC2)cs1 ZINC000880365014 644668877 /nfs/dbraw/zinc/66/88/77/644668877.db2.gz AWEBLRNUZLWQNV-UHFFFAOYSA-N 0 0 290.348 2.615 20 5 CFBDRN CCOc1cc(NC(=O)NCC2CCC2)ccc1[N+](=O)[O-] ZINC000888835801 644834160 /nfs/dbraw/zinc/83/41/60/644834160.db2.gz CSQKQUBEPPPXLM-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)COC1CC1 ZINC000880866947 644853882 /nfs/dbraw/zinc/85/38/82/644853882.db2.gz WZZCJBRSWXHSSZ-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H](CO)c2ccccc2)cc1F ZINC000094773700 650963057 /nfs/dbraw/zinc/96/30/57/650963057.db2.gz MGRUQXYBVDEREV-CQSZACIVSA-N 0 0 290.294 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H](CO)c2ccccc2)cc1F ZINC000094773701 650963372 /nfs/dbraw/zinc/96/33/72/650963372.db2.gz MGRUQXYBVDEREV-AWEZNQCLSA-N 0 0 290.294 2.557 20 5 CFBDRN CC(C)(CO)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000211497450 644940155 /nfs/dbraw/zinc/94/01/55/644940155.db2.gz IUEXCHUUAXSPCM-UHFFFAOYSA-N 0 0 258.705 2.679 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000881490853 644990406 /nfs/dbraw/zinc/99/04/06/644990406.db2.gz TZVKPKVTXBLENO-VIFPVBQESA-N 0 0 280.711 2.944 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](CF)C1 ZINC000881594337 645066655 /nfs/dbraw/zinc/06/66/55/645066655.db2.gz IUOLJGPUBAGSNP-LLVKDONJSA-N 0 0 280.299 2.725 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H](CF)C1 ZINC000881595677 645067625 /nfs/dbraw/zinc/06/76/25/645067625.db2.gz MZNYSGSDPBNMGW-VIFPVBQESA-N 0 0 284.262 2.556 20 5 CFBDRN Cc1c(CC(=O)N2CCC[C@H](CF)C2)cccc1[N+](=O)[O-] ZINC000881600403 645071123 /nfs/dbraw/zinc/07/11/23/645071123.db2.gz RTGWHENNYJMTKM-GFCCVEGCSA-N 0 0 294.326 2.654 20 5 CFBDRN CC(C)(C(=O)N1CCC(F)CC1)c1ccccc1[N+](=O)[O-] ZINC000881612841 645082052 /nfs/dbraw/zinc/08/20/52/645082052.db2.gz LITHUTCDJCWDLO-UHFFFAOYSA-N 0 0 294.326 2.833 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@@H](CF)C1 ZINC000881664248 645093152 /nfs/dbraw/zinc/09/31/52/645093152.db2.gz HKKZDYZKXBAHOH-VIFPVBQESA-N 0 0 291.282 2.508 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@@H](CF)C1 ZINC000881665750 645094290 /nfs/dbraw/zinc/09/42/90/645094290.db2.gz LZOVECIQGSIWRW-VIFPVBQESA-N 0 0 292.266 2.773 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CC[C@H](CF)C1 ZINC000881677528 645103579 /nfs/dbraw/zinc/10/35/79/645103579.db2.gz PGDFGSRPCRHPHL-DDTOSNHZSA-N 0 0 292.310 2.516 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1C[C@@H](C)OC(C)(C)C1 ZINC000881848440 645139838 /nfs/dbraw/zinc/13/98/38/645139838.db2.gz XHIYNELZLUYELI-SNVBAGLBSA-N 0 0 292.335 2.801 20 5 CFBDRN CC(=O)c1c(NCCOCC2CC2)cccc1[N+](=O)[O-] ZINC000881958653 645163449 /nfs/dbraw/zinc/16/34/49/645163449.db2.gz RLKMRHOLNYTRMV-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882027897 645181262 /nfs/dbraw/zinc/18/12/62/645181262.db2.gz GPHIRKHFFIJOFU-HTQZYQBOSA-N 0 0 271.317 2.825 20 5 CFBDRN C[C@H](CCc1cccn1C)Nc1ccnc(F)c1[N+](=O)[O-] ZINC000882026536 645181611 /nfs/dbraw/zinc/18/16/11/645181611.db2.gz RCCUUDMVUJJNNN-SNVBAGLBSA-N 0 0 292.314 2.901 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccnc(F)c2[N+](=O)[O-])CCS1 ZINC000882035348 645183644 /nfs/dbraw/zinc/18/36/44/645183644.db2.gz JTODVEHRUTTWDP-JTQLQIEISA-N 0 0 285.344 2.707 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H]1CCC2(CCOCC2)O1 ZINC000882035698 645183725 /nfs/dbraw/zinc/18/37/25/645183725.db2.gz OWLUONWFBHYEPQ-LBPRGKRZSA-N 0 0 293.319 2.702 20 5 CFBDRN CC(C)COC(=O)N(C)COc1ccccc1[N+](=O)[O-] ZINC000882036268 645184177 /nfs/dbraw/zinc/18/41/77/645184177.db2.gz YMHRFOKRGWIJNB-UHFFFAOYSA-N 0 0 282.296 2.656 20 5 CFBDRN CC1(C)CCC[C@@H](COc2cccnc2[N+](=O)[O-])O1 ZINC000882050460 645187640 /nfs/dbraw/zinc/18/76/40/645187640.db2.gz BKOGKFHWUJTACX-JTQLQIEISA-N 0 0 266.297 2.716 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@H]1CCC(F)(F)C1 ZINC000882099966 645202616 /nfs/dbraw/zinc/20/26/16/645202616.db2.gz WKNSWUIOLTXESL-LURJTMIESA-N 0 0 261.203 2.729 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC[C@@H]1CCCC1(F)F ZINC000882111280 645205632 /nfs/dbraw/zinc/20/56/32/645205632.db2.gz ZKSNFXHNABTRGQ-VIFPVBQESA-N 0 0 259.256 2.925 20 5 CFBDRN CC1(C)CCC[C@@H](COc2ccc([N+](=O)[O-])c(CO)c2)O1 ZINC000882158297 645223582 /nfs/dbraw/zinc/22/35/82/645223582.db2.gz WEKFWIBXOXCKTF-ZDUSSCGKSA-N 0 0 295.335 2.814 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)c1ccnc(F)c1[N+](=O)[O-] ZINC000882176497 645231608 /nfs/dbraw/zinc/23/16/08/645231608.db2.gz QCZKMMWJXDVJMI-SNVBAGLBSA-N 0 0 265.288 2.921 20 5 CFBDRN COCC1=CCN(c2cccc([N+](=O)[O-])c2C(C)=O)CC1 ZINC000882202326 645243081 /nfs/dbraw/zinc/24/30/81/645243081.db2.gz BHDQJWIMLKEUNB-UHFFFAOYSA-N 0 0 290.319 2.580 20 5 CFBDRN CO[C@@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)CC[C@H]1C ZINC000882238237 645250333 /nfs/dbraw/zinc/25/03/33/645250333.db2.gz SUPWOZMFHBRAQC-QMTHXVAHSA-N 0 0 292.335 2.659 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC2(CCOCC2)CC1 ZINC000882329717 645284563 /nfs/dbraw/zinc/28/45/63/645284563.db2.gz HZPWHYPVQSUBFN-UHFFFAOYSA-N 0 0 295.314 2.526 20 5 CFBDRN CC(=O)c1c(NCCC2(O)CCC2)cccc1[N+](=O)[O-] ZINC000882330184 645285902 /nfs/dbraw/zinc/28/59/02/645285902.db2.gz VHVSRCWMUDEGLS-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1C[C@H]1c1ccco1 ZINC000882466789 645329382 /nfs/dbraw/zinc/32/93/82/645329382.db2.gz FUQYLKCRMVBJCV-VXNVDRBHSA-N 0 0 263.228 2.690 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C[C@H]1C ZINC000129750449 645373479 /nfs/dbraw/zinc/37/34/79/645373479.db2.gz XEQWYDNMENNRHP-VHSXEESVSA-N 0 0 280.299 2.852 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000129859164 645420819 /nfs/dbraw/zinc/42/08/19/645420819.db2.gz PFWWUIVJLXXEDR-QMMMGPOBSA-N 0 0 286.328 2.524 20 5 CFBDRN COc1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cn1 ZINC000212824643 645443710 /nfs/dbraw/zinc/44/37/10/645443710.db2.gz FEZKRFSJTPPZDZ-YWEYNIOJSA-N 0 0 299.286 2.650 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NC[C@H]1C=CCC1 ZINC000882756096 645443860 /nfs/dbraw/zinc/44/38/60/645443860.db2.gz NLCVRGNJQYURBO-ZETCQYMHSA-N 0 0 290.245 2.725 20 5 CFBDRN CCOc1cc(N2CCC(F)CC2)ccc1[N+](=O)[O-] ZINC000882806497 645465892 /nfs/dbraw/zinc/46/58/92/645465892.db2.gz DCSZXSZVCTWGAM-UHFFFAOYSA-N 0 0 268.288 2.932 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC[C@H](CF)C1 ZINC000882838292 645473927 /nfs/dbraw/zinc/47/39/27/645473927.db2.gz DKLNWRAAAVGOPS-SNVBAGLBSA-N 0 0 266.272 2.593 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC[C@H](CF)C1)CCCC2 ZINC000882839337 645475389 /nfs/dbraw/zinc/47/53/89/645475389.db2.gz ODFRRJMVTMTCDE-SNVBAGLBSA-N 0 0 279.315 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](CF)C2)c2ncccc12 ZINC000882841822 645477699 /nfs/dbraw/zinc/47/76/99/645477699.db2.gz GKYQCBPCKRPDJM-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN Nc1ccc(N2CC[C@H](c3nccs3)C2)c([N+](=O)[O-])c1 ZINC000882856600 645479934 /nfs/dbraw/zinc/47/99/34/645479934.db2.gz OKVASPFTGNNMJD-VIFPVBQESA-N 0 0 290.348 2.627 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](c3nccs3)C2)n1 ZINC000882858379 645480822 /nfs/dbraw/zinc/48/08/22/645480822.db2.gz GEXROQYMAGGQTI-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](c2nccs2)C1 ZINC000882860633 645480867 /nfs/dbraw/zinc/48/08/67/645480867.db2.gz HFMMECPVWFVWLQ-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC(CC2CCOCC2)C1 ZINC000882885306 645492048 /nfs/dbraw/zinc/49/20/48/645492048.db2.gz RVNUHNSOYYWOFW-UHFFFAOYSA-N 0 0 276.336 2.848 20 5 CFBDRN C[C@@]1(c2ccccc2)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000882902074 645502575 /nfs/dbraw/zinc/50/25/75/645502575.db2.gz XTICEUYFSFRZLW-HNNXBMFYSA-N 0 0 284.319 2.900 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCCCO3)CC2)nc1 ZINC000882949569 645524442 /nfs/dbraw/zinc/52/44/42/645524442.db2.gz WZLOPRGTCYTOIU-UHFFFAOYSA-N 0 0 277.324 2.529 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC3(CCCCO3)CC2)c1 ZINC000882949702 645524726 /nfs/dbraw/zinc/52/47/26/645524726.db2.gz DQQJRXNYUBIAEX-UHFFFAOYSA-N 0 0 291.351 2.717 20 5 CFBDRN Cc1ccnc(N2CCC3(CCCCO3)CC2)c1[N+](=O)[O-] ZINC000882949907 645524853 /nfs/dbraw/zinc/52/48/53/645524853.db2.gz MRPBXQCMMDFBSE-UHFFFAOYSA-N 0 0 291.351 2.838 20 5 CFBDRN CC[C@@H](Nc1cc(N)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000882956015 645527833 /nfs/dbraw/zinc/52/78/33/645527833.db2.gz XHMUJUQIQFMPDP-ZYHUDNBSSA-N 0 0 279.340 2.794 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@H](CF)C1 ZINC000883143445 645624065 /nfs/dbraw/zinc/62/40/65/645624065.db2.gz KYEKBRVUWOVMQY-MRVPVSSYSA-N 0 0 285.250 2.557 20 5 CFBDRN C=C/C=C/CCNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000883183379 645647118 /nfs/dbraw/zinc/64/71/18/645647118.db2.gz ZZURJDRFMWSOHR-ONEGZZNKSA-N 0 0 289.335 2.569 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000131339451 645704602 /nfs/dbraw/zinc/70/46/02/645704602.db2.gz AGRRYBXNWZCIIL-VXGBXAGGSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1nn(CCOc2ccccc2C)c(C)c1[N+](=O)[O-] ZINC000097604380 651036211 /nfs/dbraw/zinc/03/62/11/651036211.db2.gz ISOCSNQSEQSKJB-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN CSCCCC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131708744 645791071 /nfs/dbraw/zinc/79/10/71/645791071.db2.gz DZBGAJFTQPYQAF-UHFFFAOYSA-N 0 0 269.322 2.952 20 5 CFBDRN CCOCCN(CC)C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000131736957 645801007 /nfs/dbraw/zinc/80/10/07/645801007.db2.gz DMISXURFEJAWRF-UHFFFAOYSA-N 0 0 298.314 2.541 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccnc(F)c1F ZINC000097865090 651045988 /nfs/dbraw/zinc/04/59/88/651045988.db2.gz WFRKKQJFPJZUPQ-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000883553335 645885645 /nfs/dbraw/zinc/88/56/45/645885645.db2.gz QIJFXTNTIBMXTN-RYUDHWBXSA-N 0 0 289.335 2.921 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@H](CF)C1)c1ccccc1[N+](=O)[O-] ZINC000883552859 645885839 /nfs/dbraw/zinc/88/58/39/645885839.db2.gz DHPGWDGIEPXDGS-MNOVXSKESA-N 0 0 295.314 2.657 20 5 CFBDRN Cc1cccc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000132517420 645924371 /nfs/dbraw/zinc/92/43/71/645924371.db2.gz CELPSFHMIDIRBZ-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CC1(Cl)Cl ZINC000132516281 645924854 /nfs/dbraw/zinc/92/48/54/645924854.db2.gz FJJJEDAVXKFFTB-QMMMGPOBSA-N 0 0 294.065 2.833 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000132763263 645963931 /nfs/dbraw/zinc/96/39/31/645963931.db2.gz PKLGTDOLJMCSAC-UWVGGRQHSA-N 0 0 298.339 2.748 20 5 CFBDRN O=C(OC[C@H]1CCC2(CCC2)O1)c1cccc([N+](=O)[O-])c1 ZINC000883939225 646056068 /nfs/dbraw/zinc/05/60/68/646056068.db2.gz IQCYWWVVDGZKRI-CYBMUJFWSA-N 0 0 291.303 2.853 20 5 CFBDRN O=C(OCCCOC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000883939070 646056123 /nfs/dbraw/zinc/05/61/23/646056123.db2.gz DDQFLXUGRMHUBR-UHFFFAOYSA-N 0 0 293.197 2.678 20 5 CFBDRN O=C(OC[C@@H]1CCC2(CCC2)O1)c1cccc([N+](=O)[O-])c1 ZINC000883939226 646056193 /nfs/dbraw/zinc/05/61/93/646056193.db2.gz IQCYWWVVDGZKRI-ZDUSSCGKSA-N 0 0 291.303 2.853 20 5 CFBDRN Cc1cncc(C(=O)OCCc2ccccc2[N+](=O)[O-])c1 ZINC000133240219 646060951 /nfs/dbraw/zinc/06/09/51/646060951.db2.gz RUPRIWPTCJEESU-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000800043769 646120163 /nfs/dbraw/zinc/12/01/63/646120163.db2.gz YZLZXUAHJFKIJV-NWDGAFQWSA-N 0 0 299.710 2.973 20 5 CFBDRN CC(=O)CCC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000800090504 646135095 /nfs/dbraw/zinc/13/50/95/646135095.db2.gz FCRJHMRNIGDQBK-SNVBAGLBSA-N 0 0 265.265 2.568 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)O1 ZINC000800147825 646156256 /nfs/dbraw/zinc/15/62/56/646156256.db2.gz BKJKPXHHWHPAQP-JOYOIKCWSA-N 0 0 296.298 2.938 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccncc1F ZINC000800149532 646157305 /nfs/dbraw/zinc/15/73/05/646157305.db2.gz SQQLVIQMFGOJBX-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccnc(F)c1 ZINC000800151973 646158604 /nfs/dbraw/zinc/15/86/04/646158604.db2.gz VOIRKBROSVAXKU-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCCOCC(C)C)c1[N+](=O)[O-] ZINC000800179551 646173928 /nfs/dbraw/zinc/17/39/28/646173928.db2.gz RDMWMAUJNSDRIH-UHFFFAOYSA-N 0 0 299.298 2.872 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1ccc(F)cc1[N+](=O)[O-] ZINC000800181122 646174208 /nfs/dbraw/zinc/17/42/08/646174208.db2.gz GOFJZROVZGWBAR-QMMMGPOBSA-N 0 0 299.151 2.791 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NCCC1CCC1 ZINC000133726249 646217365 /nfs/dbraw/zinc/21/73/65/646217365.db2.gz OTPDIDQTCPVJOJ-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN O=C(Nc1ccccn1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134027278 646267149 /nfs/dbraw/zinc/26/71/49/646267149.db2.gz YASQEXYHMQKLQM-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN Cc1cc(C(=O)NCCC2CCC2)ccc1[N+](=O)[O-] ZINC000134083759 646279545 /nfs/dbraw/zinc/27/95/45/646279545.db2.gz RKPQQPYSXFZFER-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000134238531 646309691 /nfs/dbraw/zinc/30/96/91/646309691.db2.gz GWXFLLXAUUZGKA-MRVPVSSYSA-N 0 0 286.328 2.605 20 5 CFBDRN CC[C@H](CCO)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000134442666 646347303 /nfs/dbraw/zinc/34/73/03/646347303.db2.gz BXHJXUXRTSYYBG-SECBINFHSA-N 0 0 258.705 2.821 20 5 CFBDRN C[C@H](O)CCCNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884490404 646395975 /nfs/dbraw/zinc/39/59/75/646395975.db2.gz WDLQXFPERUHLHU-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@H](C)CCC(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884504847 646404943 /nfs/dbraw/zinc/40/49/43/646404943.db2.gz SMEIBCNXAQEARY-JTQLQIEISA-N 0 0 266.297 2.859 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])C1(F)CCCCC1 ZINC000884512413 646411475 /nfs/dbraw/zinc/41/14/75/646411475.db2.gz GNWQYGJWFVJNSU-UHFFFAOYSA-N 0 0 282.271 2.705 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(C3CC3)C2)c2ccccc21 ZINC000884513113 646411695 /nfs/dbraw/zinc/41/16/95/646411695.db2.gz ZGNLGXWWFCYZLA-UHFFFAOYSA-N 0 0 269.304 2.989 20 5 CFBDRN O=C(CCCC1CC1)OCc1cccnc1[N+](=O)[O-] ZINC000884516640 646413886 /nfs/dbraw/zinc/41/38/86/646413886.db2.gz PNXQSIACBBLHNS-UHFFFAOYSA-N 0 0 264.281 2.613 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](F)C2)c2ccccc21 ZINC000884518060 646414970 /nfs/dbraw/zinc/41/49/70/646414970.db2.gz DBFQYAOFGHZZGL-VIFPVBQESA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H](F)[C@@H](F)C2)c2ccccc21 ZINC000884519945 646416192 /nfs/dbraw/zinc/41/61/92/646416192.db2.gz IJTPRIZYPMGEKI-PHIMTYICSA-N 0 0 279.246 2.639 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCO2)c2ccccc21 ZINC000884553459 646443997 /nfs/dbraw/zinc/44/39/97/646443997.db2.gz YMZIYRFQKNWJJD-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOCCF)c2ccccc21 ZINC000884560610 646450055 /nfs/dbraw/zinc/45/00/55/646450055.db2.gz CQCHQRKKJMPGKF-UHFFFAOYSA-N 0 0 279.271 2.541 20 5 CFBDRN CC[C@@H](O)CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000135070912 646454250 /nfs/dbraw/zinc/45/42/50/646454250.db2.gz CGOPNIHXPSZQBU-SECBINFHSA-N 0 0 258.705 2.821 20 5 CFBDRN CCc1nccc(NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000884573878 646461296 /nfs/dbraw/zinc/46/12/96/646461296.db2.gz WNWCVOZIPCZTOR-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN Cc1sc(C(=O)Nc2ccc(F)nc2)cc1[N+](=O)[O-] ZINC000135085588 646464197 /nfs/dbraw/zinc/46/41/97/646464197.db2.gz TXDJVGNXULMXIZ-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3C[C@@H]3C2)c2ccncc21 ZINC000884587457 646472042 /nfs/dbraw/zinc/47/20/42/646472042.db2.gz JGHYUOMJVKZQNR-AOOOYVTPSA-N 0 0 255.277 2.599 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1C[C@@H]2C[C@@H]2C1 ZINC000884588097 646472344 /nfs/dbraw/zinc/47/23/44/646472344.db2.gz YBQYONOTHTZOKF-AOOOYVTPSA-N 0 0 255.277 2.599 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1C[C@@H]2C[C@@H]2C1 ZINC000884589578 646473153 /nfs/dbraw/zinc/47/31/53/646473153.db2.gz DQPMQUMLQWXCBJ-PHIMTYICSA-N 0 0 255.277 2.599 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1N1C[C@@H]2C[C@@H]2C1 ZINC000884590812 646474178 /nfs/dbraw/zinc/47/41/78/646474178.db2.gz FQJQKOGLCNRCCQ-OCAPTIKFSA-N 0 0 283.125 2.813 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N3C[C@@H]4C[C@@H]4C3)c2c1 ZINC000884591895 646475884 /nfs/dbraw/zinc/47/58/84/646475884.db2.gz BCVJMBKMAHRZNZ-AOOOYVTPSA-N 0 0 285.303 2.608 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@@]12C[C@@H]1CCCC2 ZINC000884603532 646482998 /nfs/dbraw/zinc/48/29/98/646482998.db2.gz LSCNJEHIZBFKND-FZMZJTMJSA-N 0 0 276.292 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cc(C3CC3)no2)n1 ZINC000884637876 646498539 /nfs/dbraw/zinc/49/85/39/646498539.db2.gz FGEHVPBRWPPKIE-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])c(N)n2)[C@H]1c1ccccc1 ZINC000884641873 646502879 /nfs/dbraw/zinc/50/28/79/646502879.db2.gz VLCODMNJGSHSSK-GXFFZTMASA-N 0 0 299.334 2.555 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CC3(CCC3)CO2)n1 ZINC000884662441 646515996 /nfs/dbraw/zinc/51/59/96/646515996.db2.gz PXYFRGKDPBCCCJ-NSHDSACASA-N 0 0 277.324 2.669 20 5 CFBDRN C[C@@H]1C[C@]2(CC[C@@H](CNc3ccsc3[N+](=O)[O-])O2)CO1 ZINC000884695835 646531276 /nfs/dbraw/zinc/53/12/76/646531276.db2.gz FICGIYVKVRUBHH-GBIKHYSHSA-N 0 0 298.364 2.795 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H](c2ncccn2)C1 ZINC000884708752 646536528 /nfs/dbraw/zinc/53/65/28/646536528.db2.gz KGEVEMUCAAOXIF-GFCCVEGCSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000884730507 646543153 /nfs/dbraw/zinc/54/31/53/646543153.db2.gz JUNFYPWDMIOYIJ-TZMCWYRMSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000884730506 646543555 /nfs/dbraw/zinc/54/35/55/646543555.db2.gz JUNFYPWDMIOYIJ-OCCSQVGLSA-N 0 0 276.336 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]([C@H]3CCCO3)C2)s1 ZINC000884731209 646544223 /nfs/dbraw/zinc/54/42/23/646544223.db2.gz UZIZRPKVUKTPMI-VHSXEESVSA-N 0 0 268.338 2.662 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCOC1 ZINC000884790824 646550811 /nfs/dbraw/zinc/55/08/11/646550811.db2.gz NXWWAUMRPIZWLY-QMTHXVAHSA-N 0 0 298.314 2.587 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])s1)[C@@H]1CCCOC1 ZINC000884795225 646551993 /nfs/dbraw/zinc/55/19/93/646551993.db2.gz ABRRGQHBAULFPU-NXEZZACHSA-N 0 0 286.353 2.510 20 5 CFBDRN CN(CCCF)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000884855864 646560574 /nfs/dbraw/zinc/56/05/74/646560574.db2.gz SNVGGEOXMOOMSJ-UHFFFAOYSA-N 0 0 263.272 2.939 20 5 CFBDRN CC1CC(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 ZINC000135824393 646643532 /nfs/dbraw/zinc/64/35/32/646643532.db2.gz YJBDOSLBXQBIDB-UHFFFAOYSA-N 0 0 268.700 2.777 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885423958 646684712 /nfs/dbraw/zinc/68/47/12/646684712.db2.gz GYJCCRRGZKGPQM-SNVBAGLBSA-N 0 0 279.292 2.736 20 5 CFBDRN CSCCOC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885424323 646684850 /nfs/dbraw/zinc/68/48/50/646684850.db2.gz OIWSVXDFAAXCSZ-UHFFFAOYSA-N 0 0 269.322 2.731 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CC[C@H](C)O2)c1C ZINC000885424176 646684919 /nfs/dbraw/zinc/68/49/19/646684919.db2.gz MLVUWTSWPFOOSL-JQWIXIFHSA-N 0 0 293.319 2.936 20 5 CFBDRN C[C@@H]1Cc2cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc2O1 ZINC000885597558 646715886 /nfs/dbraw/zinc/71/58/86/646715886.db2.gz RHQQCVGNTJWDAD-SNVBAGLBSA-N 0 0 292.335 2.784 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C1(C2CC2)CC1 ZINC000885730367 646741479 /nfs/dbraw/zinc/74/14/79/646741479.db2.gz KJLDWUMRDYHBPS-UHFFFAOYSA-N 0 0 291.303 2.837 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)C1(C2CC2)CC1 ZINC000885735114 646743347 /nfs/dbraw/zinc/74/33/47/646743347.db2.gz ZIUDSYHBJNCBHS-UHFFFAOYSA-N 0 0 267.306 2.890 20 5 CFBDRN CC1(C)C[C@@H](CNC(=O)c2ccc([N+](=O)[O-])o2)C(C)(C)O1 ZINC000885855835 646760218 /nfs/dbraw/zinc/76/02/18/646760218.db2.gz YTFMXXJDPGBEHX-VIFPVBQESA-N 0 0 296.323 2.511 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC[C@H](C(F)(F)F)C1 ZINC000885931360 646772740 /nfs/dbraw/zinc/77/27/40/646772740.db2.gz RZWYARQZWZTLID-JTQLQIEISA-N 0 0 289.257 2.764 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1Cc1cccnc1[N+](=O)[O-] ZINC000885945995 646778213 /nfs/dbraw/zinc/77/82/13/646778213.db2.gz BCEKYKKAQMJMSX-LLVKDONJSA-N 0 0 269.304 2.941 20 5 CFBDRN C[C@H]1Cc2ccccc2N1Cc1cccnc1[N+](=O)[O-] ZINC000885945996 646778418 /nfs/dbraw/zinc/77/84/18/646778418.db2.gz BCEKYKKAQMJMSX-NSHDSACASA-N 0 0 269.304 2.941 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCO[C@@H](c2ccccc2)C1 ZINC000885951839 646779441 /nfs/dbraw/zinc/77/94/41/646779441.db2.gz QDUKFOBWLDEWOL-OAHLLOKOSA-N 0 0 299.330 2.563 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCCc2ccccc2C1 ZINC000886002051 646807177 /nfs/dbraw/zinc/80/71/77/646807177.db2.gz PJAYEEMABXGQFX-UHFFFAOYSA-N 0 0 283.331 2.938 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CC[C@H]1c1ccccc1 ZINC000886033511 646831107 /nfs/dbraw/zinc/83/11/07/646831107.db2.gz VWRIYROUZSDNLK-AWEZNQCLSA-N 0 0 269.304 2.937 20 5 CFBDRN CN1CCCN(Cc2cccnc2[N+](=O)[O-])c2ccccc21 ZINC000886068257 646852495 /nfs/dbraw/zinc/85/24/95/646852495.db2.gz UDQSDOPDMRZAJA-UHFFFAOYSA-N 0 0 298.346 2.836 20 5 CFBDRN CN(CC(F)F)C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000136824861 646869251 /nfs/dbraw/zinc/86/92/51/646869251.db2.gz AAGGLWRIIMETFE-UHFFFAOYSA-N 0 0 278.642 2.585 20 5 CFBDRN COc1cccc2c1CCN(Cc1cccnc1[N+](=O)[O-])C2 ZINC000886122725 646881389 /nfs/dbraw/zinc/88/13/89/646881389.db2.gz IRXHIYKWPPKQOR-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN Cc1cnc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000137351756 646913157 /nfs/dbraw/zinc/91/31/57/646913157.db2.gz HBYVMAIMAXOXAQ-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CN(Cc1cccnc1[N+](=O)[O-])C1CC(OC(C)(C)C)C1 ZINC000886251917 646938047 /nfs/dbraw/zinc/93/80/47/646938047.db2.gz ODNMZQZQUHSSSW-UHFFFAOYSA-N 0 0 293.367 2.768 20 5 CFBDRN C[C@@H](N[C@H](CCO)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000886797685 647141949 /nfs/dbraw/zinc/14/19/49/647141949.db2.gz YVZLHATXZDOJOB-LDYMZIIASA-N 0 0 292.257 2.559 20 5 CFBDRN Cc1noc(CN[C@@H]2CCc3c2cccc3F)c1[N+](=O)[O-] ZINC000886928616 647178450 /nfs/dbraw/zinc/17/84/50/647178450.db2.gz GVHKUVHSNFKHDQ-GFCCVEGCSA-N 0 0 291.282 2.807 20 5 CFBDRN Cc1ccc(NC(=O)N(C)CCCF)cc1[N+](=O)[O-] ZINC000887163158 647237516 /nfs/dbraw/zinc/23/75/16/647237516.db2.gz MCJZMTJDYSCFIP-UHFFFAOYSA-N 0 0 269.276 2.727 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCC(C)(C)C1CC1 ZINC000887380642 647295368 /nfs/dbraw/zinc/29/53/68/647295368.db2.gz NJLCAYOXUYAFLW-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CC(C)(CNC(=O)COc1ccccc1[N+](=O)[O-])C1CC1 ZINC000887381691 647295553 /nfs/dbraw/zinc/29/55/53/647295553.db2.gz BQPYUIQQHKOGPJ-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@@H](CNC(=O)C1(C(F)F)CC1)c1ccccc1[N+](=O)[O-] ZINC000887399026 647302549 /nfs/dbraw/zinc/30/25/49/647302549.db2.gz LOCABTRHEWQXPQ-VIFPVBQESA-N 0 0 298.289 2.860 20 5 CFBDRN C[C@@H](CNC(=O)CC(C)(F)F)c1ccccc1[N+](=O)[O-] ZINC000887401242 647303041 /nfs/dbraw/zinc/30/30/41/647303041.db2.gz RRUPTRMWNWLQFD-VIFPVBQESA-N 0 0 286.278 2.860 20 5 CFBDRN C[C@H](CNC(=O)[C@H]1C[C@@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000887401092 647303112 /nfs/dbraw/zinc/30/31/12/647303112.db2.gz PHOQLBAMLJFLMW-HONMWMINSA-N 0 0 288.347 2.861 20 5 CFBDRN C[C@@H](CNC(=O)[C@H]1C[C@@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000887401091 647303232 /nfs/dbraw/zinc/30/32/32/647303232.db2.gz PHOQLBAMLJFLMW-GDLCADMTSA-N 0 0 288.347 2.861 20 5 CFBDRN C[C@@H](CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000887402931 647303661 /nfs/dbraw/zinc/30/36/61/647303661.db2.gz LMPPMOAZCLRRST-MUYACECFSA-N 0 0 288.347 2.861 20 5 CFBDRN C[C@@H](CNC(=O)C1=CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000887402506 647303738 /nfs/dbraw/zinc/30/37/38/647303738.db2.gz DBEBIKRSQQQCIT-NSHDSACASA-N 0 0 290.319 2.509 20 5 CFBDRN Cc1ncccc1C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887402996 647303893 /nfs/dbraw/zinc/30/38/93/647303893.db2.gz MQNCDCNSDGTFKF-NSHDSACASA-N 0 0 299.330 2.832 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H](C)CO1 ZINC000887732043 647401801 /nfs/dbraw/zinc/40/18/01/647401801.db2.gz FKLKNXQTHYSPRV-AMIZOPFISA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H](C)CO1 ZINC000887732046 647402006 /nfs/dbraw/zinc/40/20/06/647402006.db2.gz FKLKNXQTHYSPRV-SDBXPKJASA-N 0 0 278.308 2.575 20 5 CFBDRN CC1(C)CO[C@H](CC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC000887732109 647402702 /nfs/dbraw/zinc/40/27/02/647402702.db2.gz HNYSPDWORCOVRX-SNVBAGLBSA-N 0 0 296.298 2.878 20 5 CFBDRN O=C(c1cnc(C2CC2)o1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000887863069 647424008 /nfs/dbraw/zinc/42/40/08/647424008.db2.gz WJGHPTGVAGYKIT-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@@H](C(F)(F)F)C1 ZINC000888000675 647459361 /nfs/dbraw/zinc/45/93/61/647459361.db2.gz SUOWERAHNQZWTC-SECBINFHSA-N 0 0 288.225 2.619 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@H](C(F)(F)F)C1 ZINC000888000676 647459518 /nfs/dbraw/zinc/45/95/18/647459518.db2.gz SUOWERAHNQZWTC-VIFPVBQESA-N 0 0 288.225 2.619 20 5 CFBDRN COC[C@H](NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])C(C)C ZINC000889198641 647517611 /nfs/dbraw/zinc/51/76/11/647517611.db2.gz WPWYUVBMYXHDPT-LBPRGKRZSA-N 0 0 294.351 2.612 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2C[C@@H](C)CO2)cc1[N+](=O)[O-] ZINC000889371268 647552197 /nfs/dbraw/zinc/55/21/97/647552197.db2.gz KLNLXEXLWBNWFT-PUYPPJJSSA-N 0 0 292.335 2.505 20 5 CFBDRN Nc1ccc(C(=O)N2CC[C@H]2C2CCCC2)cc1[N+](=O)[O-] ZINC000889391909 647558339 /nfs/dbraw/zinc/55/83/39/647558339.db2.gz AIUNWBSMOWWWIZ-ZDUSSCGKSA-N 0 0 289.335 2.582 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C1CCCC1 ZINC000889400933 647561446 /nfs/dbraw/zinc/56/14/46/647561446.db2.gz UNAAFDOGNWDJKL-OAHLLOKOSA-N 0 0 288.347 2.928 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000889578661 647602377 /nfs/dbraw/zinc/60/23/77/647602377.db2.gz ODRICGUYIHRWKL-JIMOISOXSA-N 0 0 260.293 2.513 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000889584203 647604259 /nfs/dbraw/zinc/60/42/59/647604259.db2.gz KJLSVXSMSZVADE-CCUNJIBTSA-N 0 0 299.330 2.995 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000889582154 647604595 /nfs/dbraw/zinc/60/45/95/647604595.db2.gz WYUXRLXHROKDBW-RAIGVLPGSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000889584803 647605286 /nfs/dbraw/zinc/60/52/86/647605286.db2.gz OQGZAVJUFGQADM-JFGNBEQYSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000889590905 647607414 /nfs/dbraw/zinc/60/74/14/647607414.db2.gz MTCVSMPTFYJGCJ-DDTOSNHZSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000889591565 647607957 /nfs/dbraw/zinc/60/79/57/647607957.db2.gz TWNLMYWTABSMBV-YUSALJHKSA-N 0 0 299.330 2.995 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000889599885 647612258 /nfs/dbraw/zinc/61/22/58/647612258.db2.gz NVODTHDODUCQLA-OUJBWJOFSA-N 0 0 299.330 2.995 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000889602212 647613358 /nfs/dbraw/zinc/61/33/58/647613358.db2.gz CFRTWZIUHPHZSQ-GRYCIOLGSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1ccc(NC(=O)C2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000889900404 647694971 /nfs/dbraw/zinc/69/49/71/647694971.db2.gz COVNIBBDJRBTHE-UHFFFAOYSA-N 0 0 276.292 2.732 20 5 CFBDRN CCCN(C(=O)[C@H]1C[C@@H](C)CO1)c1cccc([N+](=O)[O-])c1 ZINC000890409300 647794441 /nfs/dbraw/zinc/79/44/41/647794441.db2.gz COVDYJBSSFTKGW-BXUZGUMPSA-N 0 0 292.335 2.763 20 5 CFBDRN CCCN(C(=O)COC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000890409311 647794501 /nfs/dbraw/zinc/79/45/01/647794501.db2.gz CQXNZLXXIMYCFL-UHFFFAOYSA-N 0 0 278.308 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CSC2(C)C)c1C ZINC000890447343 647803260 /nfs/dbraw/zinc/80/32/60/647803260.db2.gz CJTHJCLLGOASCH-LLVKDONJSA-N 0 0 294.376 2.835 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CSC[C@@H]2C)c1C ZINC000890516563 647813357 /nfs/dbraw/zinc/81/33/57/647813357.db2.gz IJBADCRDHSZWNB-ONGXEEELSA-N 0 0 294.376 2.693 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1ccccc1[N+](=O)[O-] ZINC000006059939 647854070 /nfs/dbraw/zinc/85/40/70/647854070.db2.gz CZCGPNDWXCFFFQ-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)C=CCC1 ZINC000924093622 647921840 /nfs/dbraw/zinc/92/18/40/647921840.db2.gz VFBPCRIAHXPNOJ-GFCCVEGCSA-N 0 0 261.237 2.846 20 5 CFBDRN CCN(C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000925229875 648137316 /nfs/dbraw/zinc/13/73/16/648137316.db2.gz YNNQMKAMZQRWAQ-SNVBAGLBSA-N 0 0 277.324 2.850 20 5 CFBDRN C[C@@H](NC(=O)N1CCC=C(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000925235154 648138401 /nfs/dbraw/zinc/13/84/01/648138401.db2.gz KEQDPUNUBMSKKP-SNVBAGLBSA-N 0 0 293.298 2.925 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N=S1(=O)CCCC1 ZINC000925900568 648361287 /nfs/dbraw/zinc/36/12/87/648361287.db2.gz IUATZGRUBQJNLY-UHFFFAOYSA-N 0 0 296.348 2.614 20 5 CFBDRN CC(C)[S@](C)(=O)=NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000926057952 648394840 /nfs/dbraw/zinc/39/48/40/648394840.db2.gz KCCOFFVVCCLGHF-SBKAZYGRSA-N 0 0 298.364 2.731 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N=[S@](C)(=O)C(C)C ZINC000926066034 648397823 /nfs/dbraw/zinc/39/78/23/648397823.db2.gz FYMLMHHYOVNVQC-LJQANCHMSA-N 0 0 284.337 2.550 20 5 CFBDRN C[C@@H](N[C@H]1C[C@H](C)n2ncnc21)c1ccccc1[N+](=O)[O-] ZINC000926837355 648631351 /nfs/dbraw/zinc/63/13/51/648631351.db2.gz SQYATZSDEALARG-UMNHJUIQSA-N 0 0 287.323 2.543 20 5 CFBDRN CC(C)(C)OC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000928239257 648883795 /nfs/dbraw/zinc/88/37/95/648883795.db2.gz MUCGHPRTJPCCCP-SNVBAGLBSA-N 0 0 296.323 2.608 20 5 CFBDRN CC(C)(C)OC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000928241993 648885615 /nfs/dbraw/zinc/88/56/15/648885615.db2.gz MUCGHPRTJPCCCP-JTQLQIEISA-N 0 0 296.323 2.608 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928244942 648886896 /nfs/dbraw/zinc/88/68/96/648886896.db2.gz HLOMJPYXSHAQIB-WDMOLILDSA-N 0 0 294.351 2.833 20 5 CFBDRN CCOCCCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246328 648887359 /nfs/dbraw/zinc/88/73/59/648887359.db2.gz AYHRPNUHTSHKHM-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN CS/C=C/C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246499 648887857 /nfs/dbraw/zinc/88/78/57/648887857.db2.gz AKPCVURQMKOKIN-FCZSHJHJSA-N 0 0 266.322 2.649 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000928245690 648887975 /nfs/dbraw/zinc/88/79/75/648887975.db2.gz VHJFKIBWZUNSIT-NWDGAFQWSA-N 0 0 299.330 2.971 20 5 CFBDRN COCC1(CC(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000928246123 648888002 /nfs/dbraw/zinc/88/80/02/648888002.db2.gz AOWAZNQHDFXAQY-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000928248647 648888427 /nfs/dbraw/zinc/88/84/27/648888427.db2.gz LPOWLNKTZHZWKQ-JGVFFNPUSA-N 0 0 290.241 2.970 20 5 CFBDRN CCO[C@H]1CCN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000929118740 648983601 /nfs/dbraw/zinc/98/36/01/648983601.db2.gz UWQMLPKNOPOCEU-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CC(C)[C@@H]1C[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCO1 ZINC000929498010 649061589 /nfs/dbraw/zinc/06/15/89/649061589.db2.gz NCDOGKGSIJHLIX-RISCZKNCSA-N 0 0 293.319 2.951 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929707701 649102827 /nfs/dbraw/zinc/10/28/27/649102827.db2.gz NKKZRYUJDZKQEQ-ONERCXAPSA-N 0 0 288.347 2.955 20 5 CFBDRN CC(C)(C)[C@@H](NCC[N+](=O)[O-])c1ccc(F)cc1F ZINC000930232407 649210564 /nfs/dbraw/zinc/21/05/64/649210564.db2.gz CYBCUOJYVILEKB-LBPRGKRZSA-N 0 0 272.295 2.918 20 5 CFBDRN C[C@@H](N[C@H](C)c1ncccn1)c1ccccc1[N+](=O)[O-] ZINC000930235871 649211426 /nfs/dbraw/zinc/21/14/26/649211426.db2.gz ROZLSRLRMDJMHY-GHMZBOCLSA-N 0 0 272.308 2.797 20 5 CFBDRN C[C@@H](c1ncccn1)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000930355201 649236226 /nfs/dbraw/zinc/23/62/26/649236226.db2.gz UZHWIRWQOFYRGA-LBPRGKRZSA-N 0 0 286.335 2.620 20 5 CFBDRN C[C@H](NCC[N+](=O)[O-])c1ccc(Br)cc1F ZINC000930477142 649257090 /nfs/dbraw/zinc/25/70/90/649257090.db2.gz XWGMBNLAWZUXOD-ZETCQYMHSA-N 0 0 291.120 2.516 20 5 CFBDRN C[C@H](CNC(=O)CCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000930644941 649295831 /nfs/dbraw/zinc/29/58/31/649295831.db2.gz HLOSMSKNCIRJPE-SNVBAGLBSA-N 0 0 294.326 2.829 20 5 CFBDRN O=C(NCc1ccoc1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000930655209 649298562 /nfs/dbraw/zinc/29/85/62/649298562.db2.gz UBZJFARTRYQPLY-KBPBESRZSA-N 0 0 286.287 2.608 20 5 CFBDRN CCOc1cc(CN2CC[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000931427778 649424812 /nfs/dbraw/zinc/42/48/12/649424812.db2.gz ZFFLQSJADOKUPZ-LLVKDONJSA-N 0 0 286.278 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCn2ccnc21 ZINC000931521500 649447301 /nfs/dbraw/zinc/44/73/01/649447301.db2.gz KJHVXBDZLBNQEP-LLVKDONJSA-N 0 0 272.308 2.681 20 5 CFBDRN CCOc1cc(NC(=O)NC/C=C\Cl)ccc1[N+](=O)[O-] ZINC000931902641 649506647 /nfs/dbraw/zinc/50/66/47/649506647.db2.gz ILRLCIYMNSOQDY-UTCJRWHESA-N 0 0 299.714 2.868 20 5 CFBDRN Cc1noc(CN2CCC[C@H]2COC(C)(C)C)c1[N+](=O)[O-] ZINC000932168786 649557356 /nfs/dbraw/zinc/55/73/56/649557356.db2.gz SUDFKDQQGMCNMG-NSHDSACASA-N 0 0 297.355 2.671 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@@H]2CC2CC2)cc1 ZINC000933212408 649679885 /nfs/dbraw/zinc/67/98/85/649679885.db2.gz GUQCJACJSUSECY-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN C[C@@]1(C(=O)NCCc2ccccc2[N+](=O)[O-])C=CCC1 ZINC000933624374 649707457 /nfs/dbraw/zinc/70/74/57/649707457.db2.gz UGGWCAPNAPAKAW-OAHLLOKOSA-N 0 0 274.320 2.610 20 5 CFBDRN C[C@]12C[N@H+](Cc3cccnc3[N+](=O)[O-])C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000933703527 649715652 /nfs/dbraw/zinc/71/56/52/649715652.db2.gz KRFVSRFVYZQIEC-ZGMNHVEMSA-N 0 0 285.347 2.634 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1C ZINC000933813341 649723159 /nfs/dbraw/zinc/72/31/59/649723159.db2.gz AWGRLFCKWJAELU-SECBINFHSA-N 0 0 280.349 2.941 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NC1(C2CC2)CC1 ZINC000934739029 649822107 /nfs/dbraw/zinc/82/21/07/649822107.db2.gz ALKGLXYNLWUPOC-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN C[C@H](OC(=O)c1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000098161121 651073040 /nfs/dbraw/zinc/07/30/40/651073040.db2.gz KQWRNUVTGGZCOU-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000118634351 651292256 /nfs/dbraw/zinc/29/22/56/651292256.db2.gz ZJNJFKIIVAUHCG-QMMMGPOBSA-N 0 0 286.715 2.920 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnccn1 ZINC000118634797 651292501 /nfs/dbraw/zinc/29/25/01/651292501.db2.gz DQXATQOEVTUSJH-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1ncc([N+](=O)[O-])cc1N ZINC001155078427 782203522 /nfs/dbraw/zinc/20/35/22/782203522.db2.gz RZLWQXLNJVZEHF-ZJUUUORDSA-N 0 0 264.329 2.810 20 5 CFBDRN CC(=O)c1ccc(N2CCC(F)CC2)c([N+](=O)[O-])c1 ZINC000689924703 782225745 /nfs/dbraw/zinc/22/57/45/782225745.db2.gz YCECIYNTVVYRMB-UHFFFAOYSA-N 0 0 266.272 2.736 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000700107273 780659324 /nfs/dbraw/zinc/65/93/24/780659324.db2.gz GOXUNEFTCKYEAE-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN CC(=O)Nc1ccc(Nc2cccc([N+](=O)[O-])c2)cn1 ZINC001204416216 769849903 /nfs/dbraw/zinc/84/99/03/769849903.db2.gz SBPUGEUTDUTIQM-UHFFFAOYSA-N 0 0 272.264 2.692 20 5 CFBDRN COc1nc(Cl)cnc1Nc1cccc([N+](=O)[O-])c1 ZINC001204416173 769850459 /nfs/dbraw/zinc/85/04/59/769850459.db2.gz RNGGGMNQZLAFBB-UHFFFAOYSA-N 0 0 280.671 2.790 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1N1CC(c2cccnc2)C1 ZINC001167067092 769897546 /nfs/dbraw/zinc/89/75/46/769897546.db2.gz VAWMNFZTGMRUMP-UHFFFAOYSA-N 0 0 290.710 2.642 20 5 CFBDRN CN(CC1CCCCC1)c1ncc([N+](=O)[O-])cc1N ZINC001167097067 769993548 /nfs/dbraw/zinc/99/35/48/769993548.db2.gz QBRAVJFXGMGACG-UHFFFAOYSA-N 0 0 264.329 2.589 20 5 CFBDRN Cn1nc2c(c1Nc1cccc([N+](=O)[O-])c1)CCCC2 ZINC001204440115 770060004 /nfs/dbraw/zinc/06/00/04/770060004.db2.gz SANAYBBYXBZJLZ-UHFFFAOYSA-N 0 0 272.308 2.951 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc2c(c1)CCCC2 ZINC001266423709 770122185 /nfs/dbraw/zinc/12/21/85/770122185.db2.gz LBLPMVBDZXPBTD-VCTAVGKDSA-N 0 0 289.331 2.835 20 5 CFBDRN Cc1ccc2c(c1Nc1cccc([N+](=O)[O-])c1)CNC2=O ZINC001204457479 770127227 /nfs/dbraw/zinc/12/72/27/770127227.db2.gz YXJGWVKREWNMRR-UHFFFAOYSA-N 0 0 283.287 2.890 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2ccc(-n3cncn3)cc2)c1 ZINC001204457492 770127322 /nfs/dbraw/zinc/12/73/22/770127322.db2.gz ZAUABNGCUFBQCB-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc2ccc(N3CC[C@H]4OCC[C@@H]4C3)nc2c1 ZINC001167216070 770149119 /nfs/dbraw/zinc/14/91/19/770149119.db2.gz TWGCEUUWDPGFIN-IUODEOHRSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@@H]2OCC[C@H]2C1 ZINC001167217240 770151276 /nfs/dbraw/zinc/15/12/76/770151276.db2.gz RXGTZDMEHNSIND-WFASDCNBSA-N 0 0 299.330 2.758 20 5 CFBDRN CN1C(=O)Cc2ccc(Nc3cccc([N+](=O)[O-])c3)cc21 ZINC001204468405 770153484 /nfs/dbraw/zinc/15/34/84/770153484.db2.gz HMTDHJAKMYNWND-UHFFFAOYSA-N 0 0 283.287 2.857 20 5 CFBDRN CC(=O)c1cccnc1Nc1ccc(N)c([N+](=O)[O-])c1 ZINC001209931405 770165876 /nfs/dbraw/zinc/16/58/76/770165876.db2.gz AAOKMJAVSMFILJ-UHFFFAOYSA-N 0 0 272.264 2.518 20 5 CFBDRN Nc1ccc(Nc2ccc3nnsc3c2)cc1[N+](=O)[O-] ZINC001209938768 770165944 /nfs/dbraw/zinc/16/59/44/770165944.db2.gz YWPNBJAXMVYXBV-UHFFFAOYSA-N 0 0 287.304 2.925 20 5 CFBDRN Cc1ccc(NC(=O)c2cncc(Cl)n2)cc1[N+](=O)[O-] ZINC000235873631 770335075 /nfs/dbraw/zinc/33/50/75/770335075.db2.gz WPVBATBLWFBKJX-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1nnc2cc(Nc3ccc(F)cc3[N+](=O)[O-])ccn12 ZINC001210952252 770403688 /nfs/dbraw/zinc/40/36/88/770403688.db2.gz CTQJNQKNADIHNJ-UHFFFAOYSA-N 0 0 287.254 2.829 20 5 CFBDRN COc1ccc(CO)cc1Nc1ccc(F)cc1[N+](=O)[O-] ZINC001210953626 770405914 /nfs/dbraw/zinc/40/59/14/770405914.db2.gz NEXNKUAGGRNGDU-UHFFFAOYSA-N 0 0 292.266 2.978 20 5 CFBDRN O=[N+]([O-])c1ccnc(NCCc2cc(F)cc(F)c2)c1 ZINC000060719125 770423881 /nfs/dbraw/zinc/42/38/81/770423881.db2.gz ASNJXFHLGDCVAU-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN Cn1ncc(Cl)c1Nc1ccc(F)c([N+](=O)[O-])c1 ZINC001211191180 770449731 /nfs/dbraw/zinc/44/97/31/770449731.db2.gz SPKNBLSHLAPXEG-UHFFFAOYSA-N 0 0 270.651 2.864 20 5 CFBDRN CCOc1nccnc1Nc1cc([N+](=O)[O-])ccc1C ZINC001211286007 770476598 /nfs/dbraw/zinc/47/65/98/770476598.db2.gz OIBFCLRWKDPVIT-UHFFFAOYSA-N 0 0 274.280 2.836 20 5 CFBDRN Cc1ccc(OCc2cnc(Cl)cn2)cc1[N+](=O)[O-] ZINC000306040665 770552945 /nfs/dbraw/zinc/55/29/45/770552945.db2.gz YPGMVIOXCBVPQD-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723870269 770696043 /nfs/dbraw/zinc/69/60/43/770696043.db2.gz DFTAAPUYWMNJOZ-NEPJUHHUSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C=Cc1n[nH]cc1C=O ZINC000588450077 770731579 /nfs/dbraw/zinc/73/15/79/770731579.db2.gz NGXJVTWIHVRUHW-HYXAFXHYSA-N 0 0 257.249 2.609 20 5 CFBDRN Cc1cccn2c(NC(=O)c3ccc([N+](=O)[O-])cc3)cnc12 ZINC001279232074 770891620 /nfs/dbraw/zinc/89/16/20/770891620.db2.gz GBTLMARBGPLPAE-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1ccc(F)cc1[N+](=O)[O-] ZINC000693609263 770950785 /nfs/dbraw/zinc/95/07/85/770950785.db2.gz LSEOFZKSVDYLIL-OTYXRUKQSA-N 0 0 278.283 2.654 20 5 CFBDRN COc1cc(C)c([N+](=O)[O-])cc1NCc1ccnc(N)c1 ZINC001167616389 770991711 /nfs/dbraw/zinc/99/17/11/770991711.db2.gz HWECJRRHXDNSAY-UHFFFAOYSA-N 0 0 288.307 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc(COC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC001224095765 775101054 /nfs/dbraw/zinc/10/10/54/775101054.db2.gz GFPFMQZIBQCWCG-LBPRGKRZSA-N 0 0 296.279 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2CCc3cccnc32)cn1 ZINC001224113397 775103529 /nfs/dbraw/zinc/10/35/29/775103529.db2.gz HSMZXOJZPCFFAW-GFCCVEGCSA-N 0 0 271.276 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@H]2CC(F)(F)C2(F)F)cn1 ZINC001224113129 775103831 /nfs/dbraw/zinc/10/38/31/775103831.db2.gz HHAQNWQNEBKOTN-ZETCQYMHSA-N 0 0 280.177 2.549 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC[C@@H]3OCC[C@@H]3C2)c1 ZINC000724801456 775109750 /nfs/dbraw/zinc/10/97/50/775109750.db2.gz ZFSSNNNAAHEWGH-YGRLFVJLSA-N 0 0 296.754 2.859 20 5 CFBDRN Cc1cnc(COC2CC(F)(F)C2)c(C)c1[N+](=O)[O-] ZINC001224185452 775121429 /nfs/dbraw/zinc/12/14/29/775121429.db2.gz GWNLNZZQSIAYFR-UHFFFAOYSA-N 0 0 272.251 2.921 20 5 CFBDRN CCC(=O)[C@H](CC)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224185912 775122472 /nfs/dbraw/zinc/12/24/72/775122472.db2.gz SLNQKDQDFJRGPG-ZDUSSCGKSA-N 0 0 280.324 2.881 20 5 CFBDRN CCN(C(=O)CO[C@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC001224308976 775152397 /nfs/dbraw/zinc/15/23/97/775152397.db2.gz KVHXYNGPCZGNSV-LLVKDONJSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@H](OCCc1ccc([N+](=O)[O-])cc1)c1ncccn1 ZINC001224328891 775158119 /nfs/dbraw/zinc/15/81/19/775158119.db2.gz UVRCQMZCXCJXRO-NSHDSACASA-N 0 0 273.292 2.705 20 5 CFBDRN CC(C)Oc1cc(C(=O)Nc2cnoc2)ccc1[N+](=O)[O-] ZINC001125693873 775180796 /nfs/dbraw/zinc/18/07/96/775180796.db2.gz QEEBEZDJJTVZDF-UHFFFAOYSA-N 0 0 291.263 2.622 20 5 CFBDRN CC[C@@H](C)CO[C@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC001224668187 775242442 /nfs/dbraw/zinc/24/24/42/775242442.db2.gz ONGVNVKRQHCWLW-YPMHNXCESA-N 0 0 279.340 2.631 20 5 CFBDRN C[C@H]1C[C@@H](OCCOc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001224778827 775271301 /nfs/dbraw/zinc/27/13/01/775271301.db2.gz MUPKMFHSWIKIQO-FZMZJTMJSA-N 0 0 281.308 2.558 20 5 CFBDRN O=[N+]([O-])C[C@H](OCCc1cccs1)c1cccnc1 ZINC001224784961 775272831 /nfs/dbraw/zinc/27/28/31/775272831.db2.gz AYGCUOLMDCEHCO-ZDUSSCGKSA-N 0 0 278.333 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](OCCn1cccc1)C2 ZINC001224890798 775300739 /nfs/dbraw/zinc/30/07/39/775300739.db2.gz NRUXMOXTBDHBCE-HNNXBMFYSA-N 0 0 272.304 2.580 20 5 CFBDRN O=[N+]([O-])C[C@H](OCCSc1ccccc1)C1CC1 ZINC001224911880 775307087 /nfs/dbraw/zinc/30/70/87/775307087.db2.gz QCBQXIRMRHPFAW-ZDUSSCGKSA-N 0 0 267.350 2.851 20 5 CFBDRN C[C@H]1CC[C@H](OCC(=O)OCc2ccc([N+](=O)[O-])cc2)C1 ZINC001224986560 775326639 /nfs/dbraw/zinc/32/66/39/775326639.db2.gz IDVFSNUQMSADPN-FZMZJTMJSA-N 0 0 293.319 2.843 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1COC1CCC(O)CC1 ZINC001217902548 775363673 /nfs/dbraw/zinc/36/36/73/775363673.db2.gz YIIBWEKYCVPVPD-UHFFFAOYSA-N 0 0 265.309 2.723 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2c(c1)CCC2)c1cccnc1 ZINC001225266767 775383738 /nfs/dbraw/zinc/38/37/38/775383738.db2.gz JFAMXXSOMUYQDS-INIZCTEOSA-N 0 0 284.315 2.967 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2[nH]ccc2c1)c1cccnc1 ZINC001225270107 775385480 /nfs/dbraw/zinc/38/54/80/775385480.db2.gz PUHSZQGEFQVOMO-OAHLLOKOSA-N 0 0 283.287 2.960 20 5 CFBDRN C[C@@H](Oc1cccnc1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001225282821 775390366 /nfs/dbraw/zinc/39/03/66/775390366.db2.gz BFODPFFWCGXMCK-SECBINFHSA-N 0 0 288.259 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cnc(Cl)nc1)C2 ZINC001225377076 775435820 /nfs/dbraw/zinc/43/58/20/775435820.db2.gz BFIVVRVRPIZCIE-NSHDSACASA-N 0 0 291.694 2.584 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ccc(F)c([N+](=O)[O-])c2)CCO1 ZINC001225385445 775438669 /nfs/dbraw/zinc/43/86/69/775438669.db2.gz SAYLQHJDSGZDEH-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN Cc1c([C@@H](C)Oc2c(Cl)nccc2[N+](=O)[O-])cnn1C ZINC001225430745 775454230 /nfs/dbraw/zinc/45/42/30/775454230.db2.gz DQTITBTZCUMPSC-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN C[C@@H](Oc1c(Cl)nccc1[N+](=O)[O-])C(F)(F)F ZINC001225430320 775454382 /nfs/dbraw/zinc/45/43/82/775454382.db2.gz AEGOFVODBUMJLV-SCSAIBSYSA-N 0 0 270.594 2.973 20 5 CFBDRN COc1cc(O[C@@H](C)c2cncnc2)ccc1[N+](=O)[O-] ZINC001225441812 775458500 /nfs/dbraw/zinc/45/85/00/775458500.db2.gz ZXNGBFYBPFKRJB-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN COc1cc(O[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC001225441164 775458828 /nfs/dbraw/zinc/45/88/28/775458828.db2.gz MHNOAALLKLCUIA-MWLCHTKSSA-N 0 0 267.281 2.550 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@H](C)C1CCC1 ZINC001225448919 775461071 /nfs/dbraw/zinc/46/10/71/775461071.db2.gz SGSTTWHWALFLJB-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc([N+](=O)[O-])ccc1C(=O)OC ZINC001225449979 775461907 /nfs/dbraw/zinc/46/19/07/775461907.db2.gz CSSLJIUGHKJUQB-GFCCVEGCSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1cncc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001225479119 775472856 /nfs/dbraw/zinc/47/28/56/775472856.db2.gz KSLMSCVUDFXYDH-AWEZNQCLSA-N 0 0 270.288 2.844 20 5 CFBDRN C[C@@H]1c2cnccc2C[C@@H]1Oc1cc([O-])ccc1[N+](=O)[O-] ZINC001225494409 775480542 /nfs/dbraw/zinc/48/05/42/775480542.db2.gz RLQZAEKCZKNSRZ-OTYXRUKQSA-N 0 0 286.287 2.803 20 5 CFBDRN CC(=O)c1ccccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225572837 775506393 /nfs/dbraw/zinc/50/63/93/775506393.db2.gz QZPXJJLBMRXELW-HNNXBMFYSA-N 0 0 286.287 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1O[C@@H]1CCCC2(C1)OCCO2 ZINC001225578766 775508901 /nfs/dbraw/zinc/50/89/01/775508901.db2.gz PQVZTUHBGMZWNG-LLVKDONJSA-N 0 0 297.282 2.798 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC001225577898 775509082 /nfs/dbraw/zinc/50/90/82/775509082.db2.gz KPNYWQJITUGWAS-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cccnc1Cl ZINC001225588643 775514404 /nfs/dbraw/zinc/51/44/04/775514404.db2.gz QWIDTOYZHTZDJT-QMMMGPOBSA-N 0 0 296.714 2.616 20 5 CFBDRN CC(C)Oc1ccc(COc2ncccc2[N+](=O)[O-])cn1 ZINC001225591963 775515457 /nfs/dbraw/zinc/51/54/57/775515457.db2.gz AADPXKIVKKIXPZ-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN O=[N+]([O-])c1cccnc1O[C@H]1COCc2ccccc21 ZINC001225592347 775515487 /nfs/dbraw/zinc/51/54/87/775515487.db2.gz FPJWYAYAVVYXIV-ZDUSSCGKSA-N 0 0 272.260 2.640 20 5 CFBDRN O=[N+]([O-])c1cccnc1OC[C@@H]1CCc2ccccc2O1 ZINC001225591266 775515742 /nfs/dbraw/zinc/51/57/42/775515742.db2.gz VWVLTYRUCJCMGC-LBPRGKRZSA-N 0 0 286.287 2.762 20 5 CFBDRN CCO[C@@H](C)COc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225594043 775516383 /nfs/dbraw/zinc/51/63/83/775516383.db2.gz GGIYXWWHQIMGMF-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCCCC2=O)c([N+](=O)[O-])c1 ZINC001225593887 775516938 /nfs/dbraw/zinc/51/69/38/775516938.db2.gz BSORTOOOPSUHGB-LBPRGKRZSA-N 0 0 294.263 2.702 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CCC(=O)CC2)c([N+](=O)[O-])c1 ZINC001225595640 775516955 /nfs/dbraw/zinc/51/69/55/775516955.db2.gz KEVWGSFZWMLYAY-UHFFFAOYSA-N 0 0 294.263 2.702 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC001225597802 775518080 /nfs/dbraw/zinc/51/80/80/775518080.db2.gz UZUMXLNUKDNYSZ-UWVGGRQHSA-N 0 0 296.279 2.758 20 5 CFBDRN CCOC[C@H](C)Oc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225601287 775519399 /nfs/dbraw/zinc/51/93/99/775519399.db2.gz NVEGAQNYLRYLJT-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1ccnc(O[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001225630766 775531993 /nfs/dbraw/zinc/53/19/93/775531993.db2.gz KQURUMNBTODRIE-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCCCOC[C@@H](C)Oc1cccnc1[N+](=O)[O-] ZINC001225629544 775532336 /nfs/dbraw/zinc/53/23/36/775532336.db2.gz OVHITWUFSSGFJW-SNVBAGLBSA-N 0 0 254.286 2.574 20 5 CFBDRN C[C@@H](COCc1ccccc1)Oc1cccnc1[N+](=O)[O-] ZINC001225629191 775532411 /nfs/dbraw/zinc/53/24/11/775532411.db2.gz GISVQJKMWHAURL-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN CC(C)Oc1ccc(COc2ccc([N+](=O)[O-])cn2)cn1 ZINC001225633578 775533923 /nfs/dbraw/zinc/53/39/23/775533923.db2.gz QNUJZZLKEHRDSU-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cccc(C)c2[N+](=O)[O-])C1 ZINC001225635237 775534413 /nfs/dbraw/zinc/53/44/13/775534413.db2.gz BQGFJRYZQGCBDC-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cccc(C)c2[N+](=O)[O-])C1 ZINC001225635238 775534900 /nfs/dbraw/zinc/53/49/00/775534900.db2.gz BQGFJRYZQGCBDC-WJONMLJTSA-N 0 0 279.292 2.624 20 5 CFBDRN CCOC(=O)[C@H](Oc1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC001225636277 775535061 /nfs/dbraw/zinc/53/50/61/775535061.db2.gz UVLZBMQIKCHKEA-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(O[C@H]2CC(F)(F)C2(F)F)ncc1[N+](=O)[O-] ZINC001225659219 775544999 /nfs/dbraw/zinc/54/49/99/775544999.db2.gz ORFDHXPOLLPTBP-ZETCQYMHSA-N 0 0 280.177 2.720 20 5 CFBDRN Cc1cc(O[C@H]2CCc3cccnc32)ncc1[N+](=O)[O-] ZINC001225660193 775545601 /nfs/dbraw/zinc/54/56/01/775545601.db2.gz CNXRBAYVVKOGHO-LBPRGKRZSA-N 0 0 271.276 2.760 20 5 CFBDRN C[C@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])C[C@]1(C)CO ZINC000694706578 775552592 /nfs/dbraw/zinc/55/25/92/775552592.db2.gz GQJUHUXMIORDCQ-TVQRCGJNSA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)c2cnccn2)c1 ZINC001225683034 775556563 /nfs/dbraw/zinc/55/65/63/775556563.db2.gz PLSGOWXWIPXOPE-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC001225713341 775569591 /nfs/dbraw/zinc/56/95/91/775569591.db2.gz SFLLZCUQQBEWEU-WAAGHKOSSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(Cl)cc1F)C1CC1 ZINC001225716635 775571375 /nfs/dbraw/zinc/57/13/75/775571375.db2.gz GRLKKJSRCOQYJK-NSHDSACASA-N 0 0 259.664 2.913 20 5 CFBDRN C[C@H](Oc1cccc(N)n1)c1cccc([N+](=O)[O-])c1 ZINC001225756372 775584186 /nfs/dbraw/zinc/58/41/86/775584186.db2.gz MCGAUEDDLVMSBO-VIFPVBQESA-N 0 0 259.265 2.712 20 5 CFBDRN COc1ccc(O[C@@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC001225769504 775587308 /nfs/dbraw/zinc/58/73/08/775587308.db2.gz MBYUNMOBVKGYGB-LURJTMIESA-N 0 0 265.187 2.933 20 5 CFBDRN COc1ccc(O[C@H](C)c2cn(C)nc2C)c([N+](=O)[O-])c1 ZINC001225767883 775587405 /nfs/dbraw/zinc/58/74/05/775587405.db2.gz VBORYDVGPWVRCZ-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN COc1ccc(O[C@@H]2CCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC001225768565 775587676 /nfs/dbraw/zinc/58/76/76/775587676.db2.gz AHNTYGAANHRSRB-LLVKDONJSA-N 0 0 281.308 2.940 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC001225770502 775587989 /nfs/dbraw/zinc/58/79/89/775587989.db2.gz WTAKPAUPNMQBFY-LLVKDONJSA-N 0 0 297.307 2.714 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2CCc3nccn3C2)c1Cl ZINC001225790018 775594837 /nfs/dbraw/zinc/59/48/37/775594837.db2.gz YGYQJNCITYJNIE-SECBINFHSA-N 0 0 293.710 2.839 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2CCC=CO2)c1F ZINC001225793625 775595008 /nfs/dbraw/zinc/59/50/08/775595008.db2.gz CEJCXYBLKGQWAZ-SECBINFHSA-N 0 0 253.229 2.805 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1cccc([N+](=O)[O-])c1F ZINC001225794217 775596284 /nfs/dbraw/zinc/59/62/84/775596284.db2.gz LBLPGUWREBJSFS-MWLCHTKSSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2cccc([N+](=O)[O-])c2F)C1 ZINC001225797121 775596419 /nfs/dbraw/zinc/59/64/19/775596419.db2.gz PQINDZQHIDFBRV-UWVGGRQHSA-N 0 0 297.282 2.845 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(OC2CN(C(C)(C)C)C2)c1 ZINC001225803563 775598654 /nfs/dbraw/zinc/59/86/54/775598654.db2.gz FEKXMIFJXYIACD-UHFFFAOYSA-N 0 0 292.335 2.659 20 5 CFBDRN CCO[C@H](C)COc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225804878 775598709 /nfs/dbraw/zinc/59/87/09/775598709.db2.gz CXMOTURSRZPYLY-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225803639 775598831 /nfs/dbraw/zinc/59/88/31/775598831.db2.gz GGSSOLNXCUBEEB-ZDUSSCGKSA-N 0 0 279.292 2.934 20 5 CFBDRN CC[C@H](COc1ncc(C(F)(F)F)cc1[N+](=O)[O-])OC ZINC001225845675 775611806 /nfs/dbraw/zinc/61/18/06/775611806.db2.gz GOPUEHSSEJZOPN-MRVPVSSYSA-N 0 0 294.229 2.812 20 5 CFBDRN CCOC[C@@H](C)Oc1ncc(C(F)(F)F)cc1[N+](=O)[O-] ZINC001225848082 775612088 /nfs/dbraw/zinc/61/20/88/775612088.db2.gz VZBXATDCGUHYRH-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1ccc(F)cc1[N+](=O)[O-] ZINC001225907822 775631098 /nfs/dbraw/zinc/63/10/98/775631098.db2.gz SBPCKWVDYVKAQP-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2ccc(F)cc2[N+](=O)[O-])C1 ZINC001225907019 775631433 /nfs/dbraw/zinc/63/14/33/775631433.db2.gz HTXASNKPYGGXIG-GXSJLCMTSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC001225916262 775634060 /nfs/dbraw/zinc/63/40/60/775634060.db2.gz QBDQOIOUUFFUSW-ZJUUUORDSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225915417 775634135 /nfs/dbraw/zinc/63/41/35/775634135.db2.gz IVOUDJSXBUVDHJ-PELKAZGASA-N 0 0 255.245 2.538 20 5 CFBDRN COC(C[C@H](C)Oc1ccc([N+](=O)[O-])c(F)c1)OC ZINC001225913924 775634302 /nfs/dbraw/zinc/63/43/02/775634302.db2.gz YLPPJQJBWFCNSB-QMMMGPOBSA-N 0 0 273.260 2.510 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC001225942347 775642299 /nfs/dbraw/zinc/64/22/99/775642299.db2.gz QNPVHKISUZWYSQ-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])cc1F ZINC001225941257 775642366 /nfs/dbraw/zinc/64/23/66/775642366.db2.gz FNNXZSKWKRQLGE-LLVKDONJSA-N 0 0 255.245 2.870 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1F ZINC001225942679 775642446 /nfs/dbraw/zinc/64/24/46/775642446.db2.gz SXJGXLOFYOVNLO-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN Cc1c([C@@H](C)Oc2ccc([N+](=O)[O-])cc2F)cnn1C ZINC001225947699 775645051 /nfs/dbraw/zinc/64/50/51/775645051.db2.gz IQOFQVQUSLGHJI-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCC3(CC2)OCCO3)c(F)c1 ZINC001225948056 775645101 /nfs/dbraw/zinc/64/51/01/775645101.db2.gz QBTDZUCKRZXQRO-UHFFFAOYSA-N 0 0 297.282 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(F)c1O[C@H]1COc2cnccc21 ZINC001225963403 775648589 /nfs/dbraw/zinc/64/85/89/775648589.db2.gz RBGHGRNMEQBDQK-NSHDSACASA-N 0 0 294.213 2.781 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1c(F)cccc1[N+](=O)[O-] ZINC001226010363 775667947 /nfs/dbraw/zinc/66/79/47/775667947.db2.gz TWOVXFFJQHNAJN-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN O=C1CCCc2c(O[C@H](C[N+](=O)[O-])C3CC3)cccc21 ZINC001226041519 775677751 /nfs/dbraw/zinc/67/77/51/775677751.db2.gz ZHTGDPIWGDYCJX-OAHLLOKOSA-N 0 0 275.304 2.640 20 5 CFBDRN Cc1ccnc(OC[C@@H]2Cc3ccccc3O2)c1[N+](=O)[O-] ZINC001226117137 775705998 /nfs/dbraw/zinc/70/59/98/775705998.db2.gz YMKVSXIBLBOIAL-LBPRGKRZSA-N 0 0 286.287 2.681 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ncc(Cl)cc1[N+](=O)[O-] ZINC001226129481 775710147 /nfs/dbraw/zinc/71/01/47/775710147.db2.gz DFPLHNKPAWYSFB-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cc([N+](=O)[O-])c(F)cc2F)CCO1 ZINC001226182058 775727763 /nfs/dbraw/zinc/72/77/63/775727763.db2.gz WMNULNYYLJXWIT-HTQZYQBOSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1cc([N+](=O)[O-])c(F)cc1Cl ZINC001226195484 775734116 /nfs/dbraw/zinc/73/41/16/775734116.db2.gz JUHIWCLGKHYEOD-KGFZYKRKSA-N 0 0 275.663 2.801 20 5 CFBDRN CO[C@H](C)COc1cc([N+](=O)[O-])c(F)cc1Cl ZINC001226196835 775734386 /nfs/dbraw/zinc/73/43/86/775734386.db2.gz UMAOWOTWIVJUCC-ZCFIWIBFSA-N 0 0 263.652 2.801 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1cc([N+](=O)[O-])ccc1F ZINC001226265220 775762063 /nfs/dbraw/zinc/76/20/63/775762063.db2.gz GDDYFWCABYKXKX-CMPLNLGQSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2cc([N+](=O)[O-])ccc2F)C1 ZINC001226269947 775763003 /nfs/dbraw/zinc/76/30/03/775763003.db2.gz LBAJCWTZKZMZGX-ONGXEEELSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cc([N+](=O)[O-])ccc2F)CCO1 ZINC001226271106 775763419 /nfs/dbraw/zinc/76/34/19/775763419.db2.gz XRTQMZZQHGEGFD-SCZZXKLOSA-N 0 0 255.245 2.680 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1F)C(=O)OC(C)(C)C ZINC001226270196 775764094 /nfs/dbraw/zinc/76/40/94/775764094.db2.gz NZWVVSQAQJJUEM-MRVPVSSYSA-N 0 0 285.271 2.843 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1F)c1cncnc1 ZINC001226270247 775764101 /nfs/dbraw/zinc/76/41/01/775764101.db2.gz ORBLVQHFWOWUHT-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@H]1CCC(F)(F)C1 ZINC001226334890 775782297 /nfs/dbraw/zinc/78/22/97/775782297.db2.gz ACRYDTKIOUEGSQ-ZETCQYMHSA-N 0 0 259.208 2.867 20 5 CFBDRN CC1(C)CC(=O)CC[C@H]1Oc1c(O)cccc1[N+](=O)[O-] ZINC001226334465 775782361 /nfs/dbraw/zinc/78/23/61/775782361.db2.gz VCEDQPALMUONTN-GFCCVEGCSA-N 0 0 279.292 2.827 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1nc(Cl)ccc1[N+](=O)[O-] ZINC001226366189 775791929 /nfs/dbraw/zinc/79/19/29/775791929.db2.gz JNIKRFQHKSIGGZ-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN CC(C)(C)c1ccc(O[C@@H]2COC(=O)C2)c([N+](=O)[O-])c1 ZINC001226447000 775825226 /nfs/dbraw/zinc/82/52/26/775825226.db2.gz RNUYNJHMKDKKCA-JTQLQIEISA-N 0 0 279.292 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC2CCC(OC)CC2)c1 ZINC001226447362 775825337 /nfs/dbraw/zinc/82/53/37/775825337.db2.gz RIWVPGATYNNRMV-UHFFFAOYSA-N 0 0 281.308 2.940 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c1 ZINC001226447337 775825771 /nfs/dbraw/zinc/82/57/71/775825771.db2.gz QTTOQJPPCSCPRQ-OPQQBVKSSA-N 0 0 261.277 2.947 20 5 CFBDRN CC(C)(C)c1ccc(OC[C@@H]2COCO2)c([N+](=O)[O-])c1 ZINC001226447947 775825912 /nfs/dbraw/zinc/82/59/12/775825912.db2.gz ZVUALCDVWMDNAJ-NSHDSACASA-N 0 0 281.308 2.644 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2cnoc2c1)c1cccnc1 ZINC001226448377 775826717 /nfs/dbraw/zinc/82/67/17/775826717.db2.gz COYPIXBISAFCRF-AWEZNQCLSA-N 0 0 285.259 2.620 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2cnccn2)c1 ZINC001226448488 775827304 /nfs/dbraw/zinc/82/73/04/775827304.db2.gz YGZZVRBTYBVJHN-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1cc(O)c(C)c(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001226524251 775859654 /nfs/dbraw/zinc/85/96/54/775859654.db2.gz UQTLOSVMORDTPM-HNNXBMFYSA-N 0 0 288.303 2.801 20 5 CFBDRN COc1cc(O[C@H](C)c2ccncc2)ncc1[N+](=O)[O-] ZINC001226575789 775879572 /nfs/dbraw/zinc/87/95/72/775879572.db2.gz IBRHSKSZRSDBOI-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COc1cc(O[C@@H](C)c2ccncc2F)ncc1[N+](=O)[O-] ZINC001226579158 775880275 /nfs/dbraw/zinc/88/02/75/775880275.db2.gz OCTKYCXAUZUPRO-QMMMGPOBSA-N 0 0 293.254 2.673 20 5 CFBDRN C[C@@H](Oc1ccc2nccn2n1)c1cccc([N+](=O)[O-])c1 ZINC001226583080 775882608 /nfs/dbraw/zinc/88/26/08/775882608.db2.gz RLOMLRUTFXVFSS-SNVBAGLBSA-N 0 0 284.275 2.778 20 5 CFBDRN CC[C@H](C[C@@H](C)CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733557 775941908 /nfs/dbraw/zinc/94/19/08/775941908.db2.gz QDHDISFMFDIWPB-GXSJLCMTSA-N 0 0 253.302 2.978 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226744715 775943627 /nfs/dbraw/zinc/94/36/27/775943627.db2.gz SUYGIZWBCPSZHX-QMMMGPOBSA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@H](COC(C)(C)C)Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226752468 775948744 /nfs/dbraw/zinc/94/87/44/775948744.db2.gz AZCGLHQGFBFBFA-MRVPVSSYSA-N 0 0 272.276 2.711 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCCOC2)c(Cl)c1 ZINC001226761828 775952437 /nfs/dbraw/zinc/95/24/37/775952437.db2.gz HBRDSDNOUSFTGS-SECBINFHSA-N 0 0 257.673 2.806 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H](C)Cc1ccco1 ZINC001226763866 775952698 /nfs/dbraw/zinc/95/26/98/775952698.db2.gz CTRKGLCGPPURNA-SNVBAGLBSA-N 0 0 262.265 2.901 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H](C)c1cccnc1F ZINC001226765336 775954796 /nfs/dbraw/zinc/95/47/96/775954796.db2.gz RECXXJORDKXQRW-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)c1ccncc1F ZINC001226765696 775955029 /nfs/dbraw/zinc/95/50/29/775955029.db2.gz URKMLDIANXYRDL-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN CC(C)(CCO)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC001167741788 775958861 /nfs/dbraw/zinc/95/88/61/775958861.db2.gz LWYMSSRKGCGABX-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccnc(F)c1)C2 ZINC001226784921 775962636 /nfs/dbraw/zinc/96/26/36/775962636.db2.gz RUVUGUOBHAIOBC-ZDUSSCGKSA-N 0 0 274.251 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@H]2CCOC[C@H]2F)c1 ZINC001226804765 775972105 /nfs/dbraw/zinc/97/21/05/775972105.db2.gz OMAXFDJMOATCDI-ZJUUUORDSA-N 0 0 275.663 2.754 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226809957 775973175 /nfs/dbraw/zinc/97/31/75/775973175.db2.gz IBWFODBMIIJCQU-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN Cc1cc(O[C@H](C)c2cncnc2)ccc1[N+](=O)[O-] ZINC001226842040 775987732 /nfs/dbraw/zinc/98/77/32/775987732.db2.gz RAPZXKZQYRFGFN-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc(O[C@@H]2C=C(C)OC(=O)C2)cc1[N+](=O)[O-] ZINC001226951389 776032875 /nfs/dbraw/zinc/03/28/75/776032875.db2.gz ZWZQLRXTTFKJKY-LLVKDONJSA-N 0 0 263.249 2.501 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1ccc(C)c([N+](=O)[O-])c1 ZINC001226952104 776033170 /nfs/dbraw/zinc/03/31/70/776033170.db2.gz DBRYGSUGGJKZIR-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cc(O[C@H](C)c2ccccc2[N+](=O)[O-])cc(=O)o1 ZINC001226956848 776034189 /nfs/dbraw/zinc/03/41/89/776034189.db2.gz CHHGMCOAXWXRJA-SNVBAGLBSA-N 0 0 275.260 2.996 20 5 CFBDRN COC(C[C@H](C)Oc1c(C)cccc1[N+](=O)[O-])OC ZINC001226971298 776039641 /nfs/dbraw/zinc/03/96/41/776039641.db2.gz LJJRIOMVXGXEPT-JTQLQIEISA-N 0 0 269.297 2.680 20 5 CFBDRN CC(=O)[C@H](C)Oc1c(C)ccc([N+](=O)[O-])c1Cl ZINC001226989904 776051052 /nfs/dbraw/zinc/05/10/52/776051052.db2.gz PPDJAAUYGSRKQW-QMMMGPOBSA-N 0 0 257.673 2.913 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1c(O)cccc1O)C2 ZINC001227036295 776067329 /nfs/dbraw/zinc/06/73/29/776067329.db2.gz IDNJESDJBSCHQM-LBPRGKRZSA-N 0 0 287.271 2.552 20 5 CFBDRN COc1ccc([C@H](C)Oc2nc(C)ccc2[N+](=O)[O-])cn1 ZINC001227061938 776080806 /nfs/dbraw/zinc/08/08/06/776080806.db2.gz CGCOWOATOAVFAO-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN CCCOC[C@@H](C)Oc1c(C(=O)OC)cccc1[N+](=O)[O-] ZINC001227122085 776106920 /nfs/dbraw/zinc/10/69/20/776106920.db2.gz KTGAJGGBYOAHJN-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN COc1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)c(C)c1 ZINC001227138197 776111397 /nfs/dbraw/zinc/11/13/97/776111397.db2.gz XWCVKEBWRGTRQM-OAHLLOKOSA-N 0 0 288.303 2.795 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H](C)C1CCOCC1 ZINC001227184791 776127445 /nfs/dbraw/zinc/12/74/45/776127445.db2.gz JVPGROIWGKBURI-SNVBAGLBSA-N 0 0 281.308 2.797 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H]1CCO[C@H](C)C1 ZINC001227188901 776128948 /nfs/dbraw/zinc/12/89/48/776128948.db2.gz YZTQMYLGDCGEOD-KOLCDFICSA-N 0 0 267.281 2.550 20 5 CFBDRN O=C1CC(Oc2c3ccccc3ccc2[N+](=O)[O-])C1 ZINC001227214308 776140382 /nfs/dbraw/zinc/14/03/82/776140382.db2.gz JHGLYMDLMRMASH-UHFFFAOYSA-N 0 0 257.245 2.858 20 5 CFBDRN COC(=O)[C@@H](C)Oc1c2ccccc2ccc1[N+](=O)[O-] ZINC001227214692 776140461 /nfs/dbraw/zinc/14/04/61/776140461.db2.gz OVQNZTCGQQNSGB-SECBINFHSA-N 0 0 275.260 2.688 20 5 CFBDRN O=C1OCCC[C@H]1Oc1c2ccccc2ccc1[N+](=O)[O-] ZINC001227215203 776140689 /nfs/dbraw/zinc/14/06/89/776140689.db2.gz ZEXMQISQLYABKX-CYBMUJFWSA-N 0 0 287.271 2.832 20 5 CFBDRN Cc1ccc(O[C@H]2COCc3ccccc32)c([N+](=O)[O-])n1 ZINC001227222328 776143823 /nfs/dbraw/zinc/14/38/23/776143823.db2.gz LJOGZRULXXUXEZ-AWEZNQCLSA-N 0 0 286.287 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(O[C@H]3COc4cnccc43)oc2c1 ZINC001227231166 776147958 /nfs/dbraw/zinc/14/79/58/776147958.db2.gz CYHICUBZWHZFST-ZDUSSCGKSA-N 0 0 299.242 2.644 20 5 CFBDRN COC1=CC[C@@H](Oc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC001227236402 776149728 /nfs/dbraw/zinc/14/97/28/776149728.db2.gz FTUNDXPTUHPOTJ-LLVKDONJSA-N 0 0 264.281 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)nc1OC1CCC1 ZINC001227243770 776154114 /nfs/dbraw/zinc/15/41/14/776154114.db2.gz MOAPNFOJMPQLHO-UHFFFAOYSA-N 0 0 262.187 2.940 20 5 CFBDRN Cc1cc(O[C@H]2CCN(c3ccccc3[N+](=O)[O-])C2)no1 ZINC001227276938 776167745 /nfs/dbraw/zinc/16/77/45/776167745.db2.gz WVFBCBRAYRUYDD-NSHDSACASA-N 0 0 289.291 2.549 20 5 CFBDRN CCOC(=O)c1ccc(O[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC001227297137 776173747 /nfs/dbraw/zinc/17/37/47/776173747.db2.gz MAIZFAVPSMYSFN-ZSBIGDGJSA-N 0 0 291.303 2.949 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nc2c(cccc2F)s1)C1CC1 ZINC001227353396 776184935 /nfs/dbraw/zinc/18/49/35/776184935.db2.gz VWEKJXSEIKZMFR-SECBINFHSA-N 0 0 282.296 2.870 20 5 CFBDRN CO[C@H](C)COc1ncnc2cc(Cl)c([N+](=O)[O-])cc21 ZINC001227364893 776186486 /nfs/dbraw/zinc/18/64/86/776186486.db2.gz WLDJCGGCSICHIP-SSDOTTSWSA-N 0 0 297.698 2.605 20 5 CFBDRN O=c1ccc2[n+]([O-])c3ccc(O[C@@H]4C=CCC4)cc3oc-2c1 ZINC001227451504 776207444 /nfs/dbraw/zinc/20/74/44/776207444.db2.gz JUJXJRMZGPZLMZ-GFCCVEGCSA-N 0 0 295.294 2.629 20 5 CFBDRN CC1(C)C[C@@H](Oc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC001227466723 776209906 /nfs/dbraw/zinc/20/99/06/776209906.db2.gz PGQNBRBQVVFAGT-JTQLQIEISA-N 0 0 296.279 2.839 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2ccccc2[N+](=O)[O-])C1 ZINC001227479790 776210969 /nfs/dbraw/zinc/21/09/69/776210969.db2.gz KKBQTQLSYGIJGG-WDEREUQCSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1c([C@H](C)Oc2ccccc2[N+](=O)[O-])cnn1C ZINC001227482870 776211950 /nfs/dbraw/zinc/21/19/50/776211950.db2.gz NBWYECTUALEWPF-JTQLQIEISA-N 0 0 261.281 2.777 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ccccc1[N+](=O)[O-] ZINC001227482717 776212527 /nfs/dbraw/zinc/21/25/27/776212527.db2.gz LJRKOTARRBHTKY-SNVBAGLBSA-N 0 0 261.281 2.777 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])c(OC2CC=CC2)c([N+](=O)[O-])c1 ZINC001227497358 776215261 /nfs/dbraw/zinc/21/52/61/776215261.db2.gz ADYPZTJWWYTGBG-UHFFFAOYSA-N 0 0 295.207 2.509 20 5 CFBDRN Cc1c([C@@H](C)Oc2ccc([N+](=O)[O-])cc2)cnn1C ZINC001227521507 776218672 /nfs/dbraw/zinc/21/86/72/776218672.db2.gz RRSVQSSGNZYHHD-SNVBAGLBSA-N 0 0 261.281 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2Cc3ccccc3O2)cc1 ZINC001227521517 776218780 /nfs/dbraw/zinc/21/87/80/776218780.db2.gz RTTOBHUCGAPLRE-CQSZACIVSA-N 0 0 271.272 2.977 20 5 CFBDRN CC1(C)CC[C@@H](COc2ccc([N+](=O)[O-])cc2)O1 ZINC001227521973 776219171 /nfs/dbraw/zinc/21/91/71/776219171.db2.gz ZUHOXDZKJQYEBS-LBPRGKRZSA-N 0 0 251.282 2.931 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCCC3(C2)OCCO3)cc1 ZINC001227524155 776219695 /nfs/dbraw/zinc/21/96/95/776219695.db2.gz XALWGDNBQJGFRI-CYBMUJFWSA-N 0 0 279.292 2.659 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1OC[C@@H]1CCC=CO1 ZINC001227541686 776224741 /nfs/dbraw/zinc/22/47/41/776224741.db2.gz LVCIRLJLNULSGP-QMMMGPOBSA-N 0 0 299.304 2.514 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1OC[C@@H]1CC[C@H](C)O1 ZINC001227694913 776261067 /nfs/dbraw/zinc/26/10/67/776261067.db2.gz CMVOBZPOYZVLRA-UWVGGRQHSA-N 0 0 267.281 2.550 20 5 CFBDRN Cc1cc(=O)[nH]nc1O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001227798026 776293877 /nfs/dbraw/zinc/29/38/77/776293877.db2.gz KQKRFCCWVCRKRT-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1c([C@H](C)OCc2cccc([N+](=O)[O-])c2)cnn1C ZINC001222767628 776296724 /nfs/dbraw/zinc/29/67/24/776296724.db2.gz BWIXAQPHUVBMIR-NSHDSACASA-N 0 0 275.308 2.915 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COCc1ccc([N+](=O)[O-])cc1 ZINC001222791942 776307810 /nfs/dbraw/zinc/30/78/10/776307810.db2.gz DJRVQPSCMSNVHV-NWDGAFQWSA-N 0 0 283.324 2.551 20 5 CFBDRN COC(=O)c1cccc(O[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC001227895191 776321176 /nfs/dbraw/zinc/32/11/76/776321176.db2.gz VPJXFQFNNRIQPI-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cccc(Cl)n1 ZINC001227928577 776332856 /nfs/dbraw/zinc/33/28/56/776332856.db2.gz DSOFVJRAAJPLAG-MRVPVSSYSA-N 0 0 296.714 2.616 20 5 CFBDRN CC(C)[C@@H](Oc1cncc([N+](=O)[O-])c1)C(F)(F)F ZINC001227986521 776350627 /nfs/dbraw/zinc/35/06/27/776350627.db2.gz ATFRDLYDBJNVHE-SECBINFHSA-N 0 0 264.203 2.956 20 5 CFBDRN CC/C=C\CCOC(=O)[C@H](C)Oc1cncc([N+](=O)[O-])c1 ZINC001227988745 776351839 /nfs/dbraw/zinc/35/18/39/776351839.db2.gz WQZUJACGLXGPAB-WYGGZMRJSA-N 0 0 294.307 2.657 20 5 CFBDRN C[C@H](Oc1cncc([N+](=O)[O-])c1)c1ncccc1F ZINC001227990278 776352421 /nfs/dbraw/zinc/35/24/21/776352421.db2.gz IUYXNBRCZBPPAE-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H](COc1cncc([N+](=O)[O-])c1)OCc1ccccc1 ZINC001227988735 776352611 /nfs/dbraw/zinc/35/26/11/776352611.db2.gz WLARSLQYKJNEQR-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN CC(=O)c1ccc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)nc1 ZINC001227993513 776353707 /nfs/dbraw/zinc/35/37/07/776353707.db2.gz KIGRCNRTDDZOOQ-HNNXBMFYSA-N 0 0 298.298 2.739 20 5 CFBDRN CC1(C)OC[C@H](COc2c(Cl)cccc2[N+](=O)[O-])O1 ZINC001228001615 776356223 /nfs/dbraw/zinc/35/62/23/776356223.db2.gz BJQPNWDRPBYOTC-QMMMGPOBSA-N 0 0 287.699 2.779 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228019042 776365773 /nfs/dbraw/zinc/36/57/73/776365773.db2.gz DQIBGZZIFUILSW-GMSGAONNSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)c(O[C@H]2CCn3ccnc32)c1 ZINC001228026162 776367078 /nfs/dbraw/zinc/36/70/78/776367078.db2.gz YTIUBEBCZKLBKL-VIFPVBQESA-N 0 0 281.218 2.593 20 5 CFBDRN C[C@@H](Oc1ccc(O)cn1)c1ccccc1[N+](=O)[O-] ZINC001228034888 776370348 /nfs/dbraw/zinc/37/03/48/776370348.db2.gz MDRPYPYZDZMVLU-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@@H]3CCC[C@H]32)n1 ZINC001126173449 776370738 /nfs/dbraw/zinc/37/07/38/776370738.db2.gz FTUHFFHUBSDUQW-SMDDNHRTSA-N 0 0 289.335 2.703 20 5 CFBDRN C[C@H](Oc1ccc(O)cn1)c1cccc([N+](=O)[O-])c1 ZINC001228036124 776370761 /nfs/dbraw/zinc/37/07/61/776370761.db2.gz WSJQTSFCXPJPSV-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN C[C@H](Oc1ccnc(Cl)c1[N+](=O)[O-])c1ncccn1 ZINC001228054321 776378956 /nfs/dbraw/zinc/37/89/56/776378956.db2.gz KTWMXEXJWPIUKE-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN Cc1ccc2c(c1)onc2O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001228082514 776389313 /nfs/dbraw/zinc/38/93/13/776389313.db2.gz LUMCWILFDRFYHK-AWEZNQCLSA-N 0 0 299.286 2.928 20 5 CFBDRN CCCC/C=C/[C@@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228140969 776406462 /nfs/dbraw/zinc/40/64/62/776406462.db2.gz OMMXEDQYOPCTHT-XCODYQFDSA-N 0 0 267.285 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCOC[C@H]2F)c2ncccc12 ZINC001228139777 776407132 /nfs/dbraw/zinc/40/71/32/776407132.db2.gz ZFQLVMVHWCKBSI-PWSUYJOCSA-N 0 0 292.266 2.649 20 5 CFBDRN COC(=O)[C@@H](C)Oc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC001228199427 776421302 /nfs/dbraw/zinc/42/13/02/776421302.db2.gz YWPXHTSIXPHTGH-RXMQYKEDSA-N 0 0 294.090 2.842 20 5 CFBDRN COC[C@H](C)Oc1nc2ccc([N+](=O)[O-])cc2s1 ZINC001228208564 776422587 /nfs/dbraw/zinc/42/25/87/776422587.db2.gz BMRIJZNOQVTPCZ-ZETCQYMHSA-N 0 0 268.294 2.618 20 5 CFBDRN CC1=C[C@@H](Oc2cc(Cl)cc([N+](=O)[O-])c2)CC(=O)O1 ZINC001228256056 776435610 /nfs/dbraw/zinc/43/56/10/776435610.db2.gz QBLGVPDPRBWDHV-SNVBAGLBSA-N 0 0 283.667 2.846 20 5 CFBDRN CCc1cnc(=O)[nH]c1O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001228416772 776485934 /nfs/dbraw/zinc/48/59/34/776485934.db2.gz VKGFUSRZMIXMBE-SECBINFHSA-N 0 0 289.291 2.793 20 5 CFBDRN Cc1c(O[C@H](C)c2ccccc2[N+](=O)[O-])c(=O)ccn1C ZINC001228434909 776490211 /nfs/dbraw/zinc/49/02/11/776490211.db2.gz ISAZQFIFAKHMHW-LLVKDONJSA-N 0 0 288.303 2.742 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1OC1CN(C(C)C)C1 ZINC001228451715 776494861 /nfs/dbraw/zinc/49/48/61/776494861.db2.gz CBCHTRCFQFRYKR-UHFFFAOYSA-N 0 0 292.335 2.577 20 5 CFBDRN CC[C@@H](COc1c(C(C)=O)cc(C)cc1[N+](=O)[O-])OC ZINC001228450931 776494920 /nfs/dbraw/zinc/49/49/20/776494920.db2.gz OOHRPLOMCYLLAO-NSHDSACASA-N 0 0 281.308 2.910 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1OC[C@H]1CCCO1 ZINC001228451972 776494992 /nfs/dbraw/zinc/49/49/92/776494992.db2.gz DMCJHHXYFCWRBY-LLVKDONJSA-N 0 0 279.292 2.664 20 5 CFBDRN CCC(=O)c1ccccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001228453849 776496436 /nfs/dbraw/zinc/49/64/36/776496436.db2.gz HCTAGMVDIQWHLC-AWEZNQCLSA-N 0 0 263.293 2.713 20 5 CFBDRN c1ccc2nc3c(cccc3O[C@@H]3CCOC3)nc2c1 ZINC001228522412 776511990 /nfs/dbraw/zinc/51/19/90/776511990.db2.gz NOGPIVUTPXVKJY-LLVKDONJSA-N 0 0 266.300 2.951 20 5 CFBDRN Cc1cnc(CO[C@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC001223206087 776518822 /nfs/dbraw/zinc/51/88/22/776518822.db2.gz WAHADSNXXAGSDG-LLVKDONJSA-N 0 0 273.292 2.971 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2c(C)cc([N+](=O)[O-])cc2C)C1 ZINC001228572343 776525916 /nfs/dbraw/zinc/52/59/16/776525916.db2.gz ZKRHIJKGCBHXDP-AWEZNQCLSA-N 0 0 292.335 2.601 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604855 776536966 /nfs/dbraw/zinc/53/69/66/776536966.db2.gz XEMUIZSMQOVSIF-HTQZYQBOSA-N 0 0 281.312 2.781 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2nc(C3CC3)[n-]c(=O)c2[N+](=O)[O-])C1 ZINC001228604053 776537554 /nfs/dbraw/zinc/53/75/54/776537554.db2.gz OLNIIFOYMNAUME-VXNVDRBHSA-N 0 0 279.296 2.535 20 5 CFBDRN CC[C@@H](COc1cc(F)cc(F)c1[N+](=O)[O-])OC ZINC001228613463 776539148 /nfs/dbraw/zinc/53/91/48/776539148.db2.gz QTNVQYWGVOSRDY-QMMMGPOBSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@@H](Oc1cc(F)cc(F)c1[N+](=O)[O-])c1cncnc1 ZINC001228612369 776540192 /nfs/dbraw/zinc/54/01/92/776540192.db2.gz HHHOBPMMIJNTMJ-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC2C[C@@H](C)C[C@H](C)C2)n1 ZINC001126293787 776553659 /nfs/dbraw/zinc/55/36/59/776553659.db2.gz IPMTXIXQVVAAOJ-UWVGGRQHSA-N 0 0 291.351 2.853 20 5 CFBDRN CC[C@H](C)CC[C@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228655374 776553672 /nfs/dbraw/zinc/55/36/72/776553672.db2.gz RKJNLYJAMMBVAL-UWVGGRQHSA-N 0 0 253.302 2.978 20 5 CFBDRN CC1(C)[C@H](Oc2ncncc2[N+](=O)[O-])[C@]2(C)CC[C@H]1C2 ZINC001228655298 776553692 /nfs/dbraw/zinc/55/36/92/776553692.db2.gz QLMBZAGNVRDCDK-DUFXMDAXSA-N 0 0 277.324 2.978 20 5 CFBDRN CC[C@H]1CC[C@H](Oc2ncncc2[N+](=O)[O-])CC1 ZINC001228654655 776553781 /nfs/dbraw/zinc/55/37/81/776553781.db2.gz LVXUWEPXEVKNKP-MGCOHNPYSA-N 0 0 251.286 2.732 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2Oc1ncncc1[N+](=O)[O-] ZINC001228657359 776554989 /nfs/dbraw/zinc/55/49/89/776554989.db2.gz OPMOBMOAEQLRHN-CYBMUJFWSA-N 0 0 271.276 2.760 20 5 CFBDRN Cc1cccc([C@H](C)Oc2ncncc2[N+](=O)[O-])c1 ZINC001228655939 776555210 /nfs/dbraw/zinc/55/52/10/776555210.db2.gz XSKVYGICSUBFTM-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc2[nH]c(O[C@@H]3CCOC[C@@H]3C)nc2cc1[N+](=O)[O-] ZINC001228675974 776563772 /nfs/dbraw/zinc/56/37/72/776563772.db2.gz BTWHZTRKIFDMQD-TVQRCGJNSA-N 0 0 291.307 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@@H](C(F)(F)F)O2)nc1 ZINC000722392869 776575388 /nfs/dbraw/zinc/57/53/88/776575388.db2.gz XCLBFBYCTGILGF-IUCAKERBSA-N 0 0 291.229 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@H](C(F)(F)F)O2)nc1 ZINC000722392870 776575495 /nfs/dbraw/zinc/57/54/95/776575495.db2.gz XCLBFBYCTGILGF-RKDXNWHRSA-N 0 0 291.229 2.512 20 5 CFBDRN Cc1c(CO[C@@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC001223330052 776582961 /nfs/dbraw/zinc/58/29/61/776582961.db2.gz GSZXWVLLKWKZNO-ZWNOBZJWSA-N 0 0 265.309 2.987 20 5 CFBDRN CC[N@@H+]1CC[C@H](Oc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC001228756664 776598935 /nfs/dbraw/zinc/59/89/35/776598935.db2.gz PQAMAYZBTODFAX-JTQLQIEISA-N 0 0 270.716 2.721 20 5 CFBDRN COC(=O)C1(C)CC(Oc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC001228758481 776600880 /nfs/dbraw/zinc/60/08/80/776600880.db2.gz GLUXHPBNWLNNPF-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN CCOC[C@@H](C)Oc1c2ccccc2oc(=O)c1[N+](=O)[O-] ZINC001228806051 776618231 /nfs/dbraw/zinc/61/82/31/776618231.db2.gz MIJMHHDCAYQKKK-SECBINFHSA-N 0 0 293.275 2.505 20 5 CFBDRN Cc1cc(O[C@H]2COc3cnccc32)cc([N+](=O)[O-])c1 ZINC001228837214 776627064 /nfs/dbraw/zinc/62/70/64/776627064.db2.gz GRBGMBORHLARJX-AWEZNQCLSA-N 0 0 272.260 2.811 20 5 CFBDRN C[C@H](COc1ccccc1)Oc1cnccc1[N+](=O)[O-] ZINC001228880305 776645434 /nfs/dbraw/zinc/64/54/34/776645434.db2.gz SUTGJYLILSWMGW-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@@H]1CCn2ccnc21 ZINC001228915618 776657333 /nfs/dbraw/zinc/65/73/33/776657333.db2.gz HXEGHSQDAVXNAK-GFCCVEGCSA-N 0 0 259.265 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2C[C@H]3C=C[C@@H]2C3)nc1Cl ZINC001228934566 776662025 /nfs/dbraw/zinc/66/20/25/776662025.db2.gz RMWBHVUAZYOVCU-XKSSXDPKSA-N 0 0 266.684 2.987 20 5 CFBDRN CC1(C)C[C@@H](Oc2ccc([N+](=O)[O-])c(Cl)n2)CCO1 ZINC001228939519 776664771 /nfs/dbraw/zinc/66/47/71/776664771.db2.gz XJIHMCMKRZBZLI-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cnncc1Cl)C2 ZINC001228943776 776666060 /nfs/dbraw/zinc/66/60/60/776666060.db2.gz JRDLXIXKZULGJO-LLVKDONJSA-N 0 0 291.694 2.584 20 5 CFBDRN COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1OC1CCC1 ZINC001228962165 776671102 /nfs/dbraw/zinc/67/11/02/776671102.db2.gz GLQUNLCEMQHWRR-UHFFFAOYSA-N 0 0 285.683 2.966 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1OC1CC(F)(F)C1 ZINC001228972289 776674544 /nfs/dbraw/zinc/67/45/44/776674544.db2.gz YJOJYKIYEOOONS-UHFFFAOYSA-N 0 0 271.219 2.974 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(C2CC2)cn1)c1cccnc1 ZINC001229000801 776685794 /nfs/dbraw/zinc/68/57/94/776685794.db2.gz SUTCSFDLYRNDJO-CQSZACIVSA-N 0 0 285.303 2.751 20 5 CFBDRN C[C@H](Oc1coccc1=O)c1cccc([N+](=O)[O-])c1 ZINC001229003458 776686104 /nfs/dbraw/zinc/68/61/04/776686104.db2.gz RTOWBWLVOFURAW-VIFPVBQESA-N 0 0 261.233 2.688 20 5 CFBDRN CCOC[C@@H](C)Oc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229007006 776687527 /nfs/dbraw/zinc/68/75/27/776687527.db2.gz DLDFEBKAGKQMKY-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)cc(Cl)n1)C1CC1 ZINC001229049043 776701345 /nfs/dbraw/zinc/70/13/45/776701345.db2.gz VKLOHJPCZZQFDN-QMMMGPOBSA-N 0 0 277.107 2.823 20 5 CFBDRN Cc1nc2cc(O[C@@H](C[N+](=O)[O-])c3cccnc3)ccc2o1 ZINC001229072802 776707591 /nfs/dbraw/zinc/70/75/91/776707591.db2.gz MEFJOBMJTAXEOV-HNNXBMFYSA-N 0 0 299.286 2.928 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229115776 776724188 /nfs/dbraw/zinc/72/41/88/776724188.db2.gz RQLZSZOQBOMGFJ-WTNCMQEWSA-N 0 0 279.292 2.655 20 5 CFBDRN CC(=O)c1cccc(O[C@H]2CCCCC2=O)c1[N+](=O)[O-] ZINC001229139313 776730306 /nfs/dbraw/zinc/73/03/06/776730306.db2.gz WSIDCCFUQAQVKT-LBPRGKRZSA-N 0 0 277.276 2.688 20 5 CFBDRN CC(=O)c1cccc(O[C@@H]2CC[N@@H+](C(C)C)C2)c1[N+](=O)[O-] ZINC001229136996 776730675 /nfs/dbraw/zinc/73/06/75/776730675.db2.gz AVYBIDXJHMPXGN-GFCCVEGCSA-N 0 0 292.335 2.659 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OCC[C@H](C)OC ZINC001229180833 776745396 /nfs/dbraw/zinc/74/53/96/776745396.db2.gz ZAYGUBFNZMZAAL-JTQLQIEISA-N 0 0 281.308 2.991 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229201779 776750581 /nfs/dbraw/zinc/75/05/81/776750581.db2.gz RULDMZCHLKORBO-PWSUYJOCSA-N 0 0 297.282 2.763 20 5 CFBDRN C[C@H](Oc1cc(N(C)C)cnn1)c1cccc([N+](=O)[O-])c1 ZINC001229222109 776756620 /nfs/dbraw/zinc/75/66/20/776756620.db2.gz DJZDGAYDAQOXJZ-JTQLQIEISA-N 0 0 288.307 2.591 20 5 CFBDRN C[C@@H](Oc1cc(N(C)C)cnn1)c1cccc([N+](=O)[O-])c1 ZINC001229222122 776757091 /nfs/dbraw/zinc/75/70/91/776757091.db2.gz DJZDGAYDAQOXJZ-SNVBAGLBSA-N 0 0 288.307 2.591 20 5 CFBDRN C[C@H]1CCC[C@@H]1Oc1n[nH]c(=O)c2ccc([N+](=O)[O-])cc21 ZINC001229362923 776791029 /nfs/dbraw/zinc/79/10/29/776791029.db2.gz XCPKPJSXKYDMFK-UFBFGSQYSA-N 0 0 289.291 2.811 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1O[C@H]1CCOC[C@@H]1F ZINC001229379848 776795449 /nfs/dbraw/zinc/79/54/49/776795449.db2.gz IJKYFLTVGWXIJC-WPRPVWTQSA-N 0 0 275.663 2.754 20 5 CFBDRN COc1cncnc1O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001229389409 776796755 /nfs/dbraw/zinc/79/67/55/776796755.db2.gz AVPCNLOTJGRMTO-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CC1(C)C(=O)CCC[C@@H]1Oc1cc([N+](=O)[O-])ccc1O ZINC001229396328 776799111 /nfs/dbraw/zinc/79/91/11/776799111.db2.gz RWXPLRRDNRYEEG-ZDUSSCGKSA-N 0 0 279.292 2.827 20 5 CFBDRN CCCOC[C@@H](C)Oc1ncnc2cc([N+](=O)[O-])ccc21 ZINC001229403934 776800963 /nfs/dbraw/zinc/80/09/63/776800963.db2.gz UGTPZBJAGORAGW-SNVBAGLBSA-N 0 0 291.307 2.732 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1cc([N+](=O)[O-])ccc1O ZINC001229399641 776801337 /nfs/dbraw/zinc/80/13/37/776801337.db2.gz MRKSKZFCHMXHNE-SECBINFHSA-N 0 0 269.297 2.883 20 5 CFBDRN O=[N+]([O-])c1c[n+]([O-])ccc1O[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC001229452172 776817122 /nfs/dbraw/zinc/81/71/22/776817122.db2.gz MSLKYUWLVSSFEG-RWMBFGLXSA-N 0 0 292.335 2.966 20 5 CFBDRN CC(C)C1CCC(Oc2cc[n+]([O-])cc2[N+](=O)[O-])CC1 ZINC001229451585 776817198 /nfs/dbraw/zinc/81/71/98/776817198.db2.gz GZGHPZROGAGZDD-UHFFFAOYSA-N 0 0 280.324 2.822 20 5 CFBDRN CC(C)CCC[C@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229451945 776817874 /nfs/dbraw/zinc/81/78/74/776817874.db2.gz KCNQVBVBCBJJLG-NSHDSACASA-N 0 0 268.313 2.822 20 5 CFBDRN CC[C@@H](C)C[C@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452878 776818974 /nfs/dbraw/zinc/81/89/74/776818974.db2.gz YJFRHRIYGXIDIL-MNOVXSKESA-N 0 0 268.313 2.822 20 5 CFBDRN O=c1c2ccc(O)cc2oc2cc(O[C@@H]3CCOC3)ccc12 ZINC001229518202 776837159 /nfs/dbraw/zinc/83/71/59/776837159.db2.gz RRXOHMOGJFGYHJ-GFCCVEGCSA-N 0 0 298.294 2.820 20 5 CFBDRN CC(C)Oc1cc(Br)cnc1[N+](=O)[O-] ZINC001229562803 776847998 /nfs/dbraw/zinc/84/79/98/776847998.db2.gz IQBGKWBIUGJVIA-UHFFFAOYSA-N 0 0 261.075 2.540 20 5 CFBDRN CC(C)Oc1ccccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001225209283 776890479 /nfs/dbraw/zinc/89/04/79/776890479.db2.gz KGSQAQKAOAOFEC-CQSZACIVSA-N 0 0 265.309 2.908 20 5 CFBDRN CC[C@@H](Oc1ccc([N+](=O)[O-])c(C)c1C)C(=O)OC ZINC001229881282 776909672 /nfs/dbraw/zinc/90/96/72/776909672.db2.gz RBEWCJAVRKLXFQ-LLVKDONJSA-N 0 0 267.281 2.542 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2ccc([N+](=O)[O-])c(C)c2C)C1 ZINC001229880279 776909696 /nfs/dbraw/zinc/90/96/96/776909696.db2.gz CJJWKMVOWWSHQA-NEPJUHHUSA-N 0 0 293.319 2.932 20 5 CFBDRN CCO[C@H](C)COc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229895188 776913031 /nfs/dbraw/zinc/91/30/31/776913031.db2.gz QCLGSZHWDKSDGM-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN CC[C@H](COC)Oc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229895985 776914034 /nfs/dbraw/zinc/91/40/34/776914034.db2.gz XLQSMIFTPHRXLV-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN C[C@@H](Oc1cnc2ccnn2c1)c1ccccc1[N+](=O)[O-] ZINC001229903149 776915197 /nfs/dbraw/zinc/91/51/97/776915197.db2.gz IFANHOAMBGHURD-SNVBAGLBSA-N 0 0 284.275 2.778 20 5 CFBDRN Cc1c([C@@H](C)Oc2ccc([N+](=O)[O-])nc2Cl)cnn1C ZINC001229916344 776916966 /nfs/dbraw/zinc/91/69/66/776916966.db2.gz WNROKLYUOKPAOD-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c(Cl)n1 ZINC001229915343 776916989 /nfs/dbraw/zinc/91/69/89/776916989.db2.gz NPMZLWUZOZAWFQ-QXFUBDJGSA-N 0 0 266.684 2.987 20 5 CFBDRN C[C@H](O)CCCC(=O)Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001229963998 776926084 /nfs/dbraw/zinc/92/60/84/776926084.db2.gz MWYLZXRMYGKIRO-QMMMGPOBSA-N 0 0 287.699 2.705 20 5 CFBDRN CC[N@@H+]1CC[C@@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229962114 776926441 /nfs/dbraw/zinc/92/64/41/776926441.db2.gz OHJWGPJVWLHCLX-SNVBAGLBSA-N 0 0 270.716 2.721 20 5 CFBDRN CCc1cc(F)c(O[C@@H](C[N+](=O)[O-])C2CC2)c(F)c1 ZINC001229967565 776927138 /nfs/dbraw/zinc/92/71/38/776927138.db2.gz QCFMRAHSGQUGCK-LBPRGKRZSA-N 0 0 271.263 2.961 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1ccc(C)cc1[N+](=O)[O-] ZINC001230146789 776955456 /nfs/dbraw/zinc/95/54/56/776955456.db2.gz WTCQAFPIKONKFS-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN CCOCC(COCC)Oc1ccc(C)cc1[N+](=O)[O-] ZINC001230142776 776955817 /nfs/dbraw/zinc/95/58/17/776955817.db2.gz BGGIJGIVBOSLDK-UHFFFAOYSA-N 0 0 283.324 2.724 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1ccc(C)cc1[N+](=O)[O-] ZINC001230145319 776955850 /nfs/dbraw/zinc/95/58/50/776955850.db2.gz DDUXFYLGEIGAAE-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(F)cc1F)c1cccnc1 ZINC001225312816 776970771 /nfs/dbraw/zinc/97/07/71/776970771.db2.gz YTICRUDLGHYLKY-CYBMUJFWSA-N 0 0 280.230 2.757 20 5 CFBDRN C[C@@H](Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C(F)(F)F ZINC001230312480 776977062 /nfs/dbraw/zinc/97/70/62/776977062.db2.gz HKPGJOAQMKCTRF-RXMQYKEDSA-N 0 0 280.158 2.833 20 5 CFBDRN CC1(C)CC[C@@H](COc2c(Cl)nccc2[N+](=O)[O-])O1 ZINC001225433249 776986134 /nfs/dbraw/zinc/98/61/34/776986134.db2.gz MLTCSCBKKXYIJE-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC001230491513 776999565 /nfs/dbraw/zinc/99/95/65/776999565.db2.gz UXISROCZXBTHMU-JTQLQIEISA-N 0 0 294.263 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC1CC2(COC2)C1 ZINC001230723551 777050360 /nfs/dbraw/zinc/05/03/60/777050360.db2.gz LESCMTWJBMIWPR-UHFFFAOYSA-N 0 0 263.293 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cnc(C3CC3)nc1)C2 ZINC001230745339 777056578 /nfs/dbraw/zinc/05/65/78/777056578.db2.gz DRJGQILQJMHCFD-CQSZACIVSA-N 0 0 297.314 2.808 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ncccc2[N+](=O)[O-])cn1 ZINC001225593204 777065771 /nfs/dbraw/zinc/06/57/71/777065771.db2.gz LQXGQJJIGFIOKL-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@@H](Oc2ccc(Cl)nc2[N+](=O)[O-])C1 ZINC001230878538 777079916 /nfs/dbraw/zinc/07/99/16/777079916.db2.gz FXQBAMMKXCYKNO-SNVBAGLBSA-N 0 0 299.758 2.895 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc(Cl)nc1[N+](=O)[O-] ZINC001230880129 777079945 /nfs/dbraw/zinc/07/99/45/777079945.db2.gz YDKNFDAQULUSMX-MRVPVSSYSA-N 0 0 272.688 2.780 20 5 CFBDRN CCOC[C@@H](C)Oc1ccc(C(=O)OCC)c([N+](=O)[O-])c1 ZINC001230913313 777091137 /nfs/dbraw/zinc/09/11/37/777091137.db2.gz ULPHPRAAFPSGSU-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN C[C@H](O)C(=O)Oc1ccc(-c2cccc([N+](=O)[O-])c2)cc1 ZINC001230940942 777098063 /nfs/dbraw/zinc/09/80/63/777098063.db2.gz HUMCJSHMTTWHRP-JTQLQIEISA-N 0 0 287.271 2.548 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ccc([N+](=O)[O-])cn2)cn1 ZINC001225634494 777107665 /nfs/dbraw/zinc/10/76/65/777107665.db2.gz YXSYYXBXAURZLU-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(-c2cnco2)cc1)C1CC1 ZINC001231049828 777124827 /nfs/dbraw/zinc/12/48/27/777124827.db2.gz FDTKMENROORQMQ-CQSZACIVSA-N 0 0 274.276 2.776 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@H]1CCOC[C@@H]1F ZINC001231058139 777128364 /nfs/dbraw/zinc/12/83/64/777128364.db2.gz VMTUSXNZAAPQHW-QWRGUYRKSA-N 0 0 269.272 2.717 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1c(C)ccc(C)c1[N+](=O)[O-] ZINC001231060365 777128728 /nfs/dbraw/zinc/12/87/28/777128728.db2.gz OHGQFCYQTAIPBB-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1cc(C)c([N+](=O)[O-])cn1 ZINC001225658252 777151372 /nfs/dbraw/zinc/15/13/72/777151372.db2.gz CARRZWVSJFEVEX-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN CC[C@H](COc1cnc2c(cccc2[N+](=O)[O-])c1)OC ZINC001231156459 777159526 /nfs/dbraw/zinc/15/95/26/777159526.db2.gz LDNHSKKRVFLCKK-LLVKDONJSA-N 0 0 276.292 2.947 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231159029 777160580 /nfs/dbraw/zinc/16/05/80/777160580.db2.gz RYLRGRTUIDZDFL-PWSUYJOCSA-N 0 0 288.303 2.947 20 5 CFBDRN O=C1SCC[C@@H]1Nc1cc2cccnc2c([N+](=O)[O-])c1 ZINC001167952296 777202149 /nfs/dbraw/zinc/20/21/49/777202149.db2.gz OHFRTBPRIYCVDI-JTQLQIEISA-N 0 0 289.316 2.587 20 5 CFBDRN O=c1cc(O[C@@H](C[N+](=O)[O-])C2CC2)c2cc(F)ccc2[nH]1 ZINC001231393896 777209461 /nfs/dbraw/zinc/20/94/61/777209461.db2.gz NQMFEQJHBGOZBS-ZDUSSCGKSA-N 0 0 292.266 2.514 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccnc(Cl)c1)c1cccnc1 ZINC001225781715 777273539 /nfs/dbraw/zinc/27/35/39/777273539.db2.gz NRJKQBOKBLDECA-NSHDSACASA-N 0 0 279.683 2.527 20 5 CFBDRN CC1(C)COC(=O)[C@@H]1Oc1cccc([N+](=O)[O-])c1Cl ZINC001225785368 777276191 /nfs/dbraw/zinc/27/61/91/777276191.db2.gz ATZFAGVLQLYAQI-JTQLQIEISA-N 0 0 285.683 2.579 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NCC(F)(F)F ZINC001161630402 777306331 /nfs/dbraw/zinc/30/63/31/777306331.db2.gz HRBIWGNVBQVUBX-UHFFFAOYSA-N 0 0 264.203 2.968 20 5 CFBDRN COc1ccc(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)o1 ZINC001270145369 777319533 /nfs/dbraw/zinc/31/95/33/777319533.db2.gz VWQVSBIMRKNWPT-UHFFFAOYSA-N 0 0 294.238 2.896 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cc2cc[nH]c2cn1 ZINC001277617778 777448310 /nfs/dbraw/zinc/44/83/10/777448310.db2.gz TYFGEEFVIGVPCT-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN O[C@@H]1CCC[N@H+](Cc2ccc3ccc4cccnc4c3n2)C1 ZINC001232219745 777478995 /nfs/dbraw/zinc/47/89/95/777478995.db2.gz UGZFJVLRHYXGDE-MRXNPFEDSA-N 0 0 293.370 2.740 20 5 CFBDRN O=C(OCC(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000126757268 777531998 /nfs/dbraw/zinc/53/19/98/777531998.db2.gz ZUBJBVHDMIBFTE-UHFFFAOYSA-N 0 0 265.599 2.670 20 5 CFBDRN COc1cc(O[C@@H](C)C2CCC2)ncc1[N+](=O)[O-] ZINC001226581374 777579844 /nfs/dbraw/zinc/57/98/44/777579844.db2.gz PVVAGXFJEXHLRR-QMMMGPOBSA-N 0 0 252.270 2.566 20 5 CFBDRN COc1cc(O[C@H]2CC[C@H](C)C2)ncc1[N+](=O)[O-] ZINC001226582652 777580123 /nfs/dbraw/zinc/58/01/23/777580123.db2.gz YPNJYCWLPRTLPL-IUCAKERBSA-N 0 0 252.270 2.566 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1Oc1ncc([N+](=O)[O-])cn1 ZINC001226732515 777626710 /nfs/dbraw/zinc/62/67/10/777626710.db2.gz WJTIOPHUOKGUCM-KOLCDFICSA-N 0 0 251.286 2.732 20 5 CFBDRN CO[C@@H](C)CCOc1c(F)cc([N+](=O)[O-])cc1F ZINC001226744693 777632501 /nfs/dbraw/zinc/63/25/01/777632501.db2.gz SJVUIYVPYVSCLY-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN CCc1nn(C)c(NCC(C)(C)C(C)(F)F)c1[N+](=O)[O-] ZINC000696654893 777659807 /nfs/dbraw/zinc/65/98/07/777659807.db2.gz LNMRHQFMFUFYJV-UHFFFAOYSA-N 0 0 290.314 2.984 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@@H](C)c1cnccn1 ZINC001226991519 777721778 /nfs/dbraw/zinc/72/17/78/777721778.db2.gz AAVUXQJYAUYXIS-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cnc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC001227057434 777751288 /nfs/dbraw/zinc/75/12/88/777751288.db2.gz ZMNFQQTTWVCFCD-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1O[C@H]1CCOC[C@H]1F ZINC001227158251 777775843 /nfs/dbraw/zinc/77/58/43/777775843.db2.gz TWEIQFBRFIWTEL-SCZZXKLOSA-N 0 0 293.653 2.893 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H](C)c1cnccn1 ZINC001227702641 777865540 /nfs/dbraw/zinc/86/55/40/777865540.db2.gz YAEVKVFEVDIFEW-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CC(=O)c1cc(OC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC001233497010 777968422 /nfs/dbraw/zinc/96/84/22/777968422.db2.gz BLNPPKPZMONOCR-UHFFFAOYSA-N 0 0 271.219 2.974 20 5 CFBDRN CC[C@@H](COc1ccc([N+](=O)[O-])c(C(C)=O)c1)OC ZINC001233496961 777968465 /nfs/dbraw/zinc/96/84/65/777968465.db2.gz AWHJPJWNECZZHO-JTQLQIEISA-N 0 0 267.281 2.601 20 5 CFBDRN CCOC[C@@H](C)Oc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233496807 777968939 /nfs/dbraw/zinc/96/89/39/777968939.db2.gz APRYQXLFGOTYDT-SSDOTTSWSA-N 0 0 299.714 2.928 20 5 CFBDRN CC(=O)c1cc(O[C@@H]2CCCCC2=O)ccc1[N+](=O)[O-] ZINC001233498649 777970782 /nfs/dbraw/zinc/97/07/82/777970782.db2.gz LGDLLVXLUBMXAI-CQSZACIVSA-N 0 0 277.276 2.688 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H](C)c1nccs1 ZINC001233553347 777989560 /nfs/dbraw/zinc/98/95/60/777989560.db2.gz JGGIIXNMMJBTJH-MRVPVSSYSA-N 0 0 265.294 2.895 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H](C)C(=O)OCCC(C)C ZINC001233556935 777990888 /nfs/dbraw/zinc/99/08/88/777990888.db2.gz QUFOPHVFYFCUKZ-LLVKDONJSA-N 0 0 296.323 2.655 20 5 CFBDRN COC(=O)C1CC(Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228003098 777991663 /nfs/dbraw/zinc/99/16/63/777991663.db2.gz URXSBXPLJQVGLB-UHFFFAOYSA-N 0 0 285.683 2.579 20 5 CFBDRN C[C@H](Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-])C1CCOCC1 ZINC001233573942 777996662 /nfs/dbraw/zinc/99/66/62/777996662.db2.gz WDOCZKWEWIQLGP-VIFPVBQESA-N 0 0 296.279 2.697 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2CCC=CO2)c1[N+](=O)[O-] ZINC001233574590 777996741 /nfs/dbraw/zinc/99/67/41/777996741.db2.gz JXXGRPKAUMVAMI-VIFPVBQESA-N 0 0 280.236 2.575 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC001233576301 777996826 /nfs/dbraw/zinc/99/68/26/777996826.db2.gz WSFLSPWMGYJZAO-AYMMMOKOSA-N 0 0 264.237 2.680 20 5 CFBDRN Cc1cc(O)c(Cl)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001233592330 778003452 /nfs/dbraw/zinc/00/34/52/778003452.db2.gz LKMNLAPHCBPOAX-GFCCVEGCSA-N 0 0 271.700 2.788 20 5 CFBDRN C[C@H](Oc1c(N)ncnc1Cl)c1ccccc1[N+](=O)[O-] ZINC001233632190 778018389 /nfs/dbraw/zinc/01/83/89/778018389.db2.gz VUVGOEFZGNAYPJ-ZETCQYMHSA-N 0 0 294.698 2.760 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OC[C@@H]1CCC=CO1 ZINC001233696848 778045210 /nfs/dbraw/zinc/04/52/10/778045210.db2.gz UOFXSZAUEOJGBM-VIFPVBQESA-N 0 0 271.219 2.945 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1)C(F)(F)F ZINC001233701492 778047599 /nfs/dbraw/zinc/04/75/99/778047599.db2.gz BACPSMZIAGNPFI-YFKPBYRVSA-N 0 0 280.158 2.833 20 5 CFBDRN CC1(C)CC[C@@H](COc2cc(Cl)cnc2[N+](=O)[O-])O1 ZINC001233713603 778051924 /nfs/dbraw/zinc/05/19/24/778051924.db2.gz UNQOYBIAVZWFMD-VIFPVBQESA-N 0 0 286.715 2.980 20 5 CFBDRN O=[N+]([O-])c1ncc(Cl)cc1OC1CC(F)(F)C1 ZINC001233713773 778052437 /nfs/dbraw/zinc/05/24/37/778052437.db2.gz WVWYCSPEGYDQOL-UHFFFAOYSA-N 0 0 264.615 2.820 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1O[C@@H]1CCCOC1 ZINC001233746220 778062799 /nfs/dbraw/zinc/06/27/99/778062799.db2.gz VIYTYCYMUURQLX-SSDOTTSWSA-N 0 0 292.213 2.566 20 5 CFBDRN CC[C@@H](COc1cc(F)c([N+](=O)[O-])c(F)c1)OC ZINC001233753570 778063763 /nfs/dbraw/zinc/06/37/63/778063763.db2.gz MTRGTFNNNNGICH-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1O[C@H]1CCn2ccnc21 ZINC001233779500 778075285 /nfs/dbraw/zinc/07/52/85/778075285.db2.gz RQYHOLUUQHSVJU-ZDUSSCGKSA-N 0 0 296.286 2.863 20 5 CFBDRN CC[C@@H](COC)Oc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233779107 778075944 /nfs/dbraw/zinc/07/59/44/778075944.db2.gz PJIDZLWGNYPNIX-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN COc1cnnc(O[C@@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC001228372990 778081253 /nfs/dbraw/zinc/08/12/53/778081253.db2.gz IEBYQFPQAYEZOI-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(O[C@H]3CCn4ccnc43)nc21 ZINC001233840975 778091786 /nfs/dbraw/zinc/09/17/86/778091786.db2.gz KXHXUAZBSKJARI-LBPRGKRZSA-N 0 0 296.286 2.863 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858646 778097120 /nfs/dbraw/zinc/09/71/20/778097120.db2.gz YBVFFNXYOBUFMV-LBPRGKRZSA-N 0 0 266.297 2.907 20 5 CFBDRN CC1(C)CC(Oc2ccnc([N+](=O)[O-])c2)CC(C)(C)N1O ZINC001233857048 778097230 /nfs/dbraw/zinc/09/72/30/778097230.db2.gz JISVOVBXWKIWFV-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN COC(=O)c1cnc(O[C@H](C)CCC(C)C)c([N+](=O)[O-])c1 ZINC001233860503 778098597 /nfs/dbraw/zinc/09/85/97/778098597.db2.gz AJFWCUMYXPAWAE-SNVBAGLBSA-N 0 0 296.323 2.980 20 5 CFBDRN COc1cc(C)c([N+](=O)[O-])cc1NCC1(F)CCOCC1 ZINC001168125506 778109169 /nfs/dbraw/zinc/10/91/69/778109169.db2.gz AHIXQMOQIZWJKI-UHFFFAOYSA-N 0 0 298.314 2.842 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1O[C@H](C)C(F)(F)F ZINC001233983715 778130657 /nfs/dbraw/zinc/13/06/57/778130657.db2.gz YRLLSZJZLFMKCZ-MRVPVSSYSA-N 0 0 293.241 2.844 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)cc1[N+](=O)[O-] ZINC001234050371 778152740 /nfs/dbraw/zinc/15/27/40/778152740.db2.gz JPRVFZPOKBCWBA-IMSIIYSGSA-N 0 0 289.287 2.725 20 5 CFBDRN CO[C@@H](C)CCOc1cc([N+](=O)[O-])c(C)cc1F ZINC001234058097 778155574 /nfs/dbraw/zinc/15/55/74/778155574.db2.gz CUWUQTKMVOORBR-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN c1cnc2c(c1)ccc1c(OC[C@@H]3COCCO3)ccnc12 ZINC001234087058 778162081 /nfs/dbraw/zinc/16/20/81/778162081.db2.gz LLHCHPCSGVIECP-ZDUSSCGKSA-N 0 0 296.326 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H](C(C)(C)O)C1 ZINC000721845283 778175809 /nfs/dbraw/zinc/17/58/09/778175809.db2.gz HFKNLAYKGJVUIT-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN CSc1cccc(O[C@@H](C[N+](=O)[O-])C2CC2)c1F ZINC001234254018 778194016 /nfs/dbraw/zinc/19/40/16/778194016.db2.gz QNHSRIPPMAEWCU-JTQLQIEISA-N 0 0 271.313 2.982 20 5 CFBDRN COc1cc(O[C@@H](C[N+](=O)[O-])c2cccnc2)ccc1C ZINC001234279033 778199765 /nfs/dbraw/zinc/19/97/65/778199765.db2.gz CFTPZHONAYTIJY-HNNXBMFYSA-N 0 0 288.303 2.795 20 5 CFBDRN CSc1cc(F)cc(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234293757 778202657 /nfs/dbraw/zinc/20/26/57/778202657.db2.gz DGRTZQVJOPWDFS-GFCCVEGCSA-N 0 0 271.313 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC2)cc1O[C@@H]1C=CCC1 ZINC001234360807 778214912 /nfs/dbraw/zinc/21/49/12/778214912.db2.gz VKHSVRDHAVDPEJ-CYBMUJFWSA-N 0 0 290.319 2.529 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)n(C)n1 ZINC000127650063 778229352 /nfs/dbraw/zinc/22/93/52/778229352.db2.gz RPGLIIPGINXARJ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(=O)OC ZINC001229056593 778241005 /nfs/dbraw/zinc/24/10/05/778241005.db2.gz QOKFWYGELXBYLU-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN CCc1cccnc1O[C@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001234577318 778256249 /nfs/dbraw/zinc/25/62/49/778256249.db2.gz IIXMKBKNFSYEPU-SNVBAGLBSA-N 0 0 290.323 2.525 20 5 CFBDRN COc1cccc(NC[C@H]2C[C@H](F)C2)c1[N+](=O)[O-] ZINC001168238400 778264545 /nfs/dbraw/zinc/26/45/45/778264545.db2.gz ZTYSUMZPJNJGTL-KYZUINATSA-N 0 0 254.261 2.763 20 5 CFBDRN O=[N+]([O-])c1c2[nH]cnc2ccc1NC[C@H]1C[C@H](F)C1 ZINC001168238793 778264939 /nfs/dbraw/zinc/26/49/39/778264939.db2.gz UEHAQWRCKFEZCZ-ZKCHVHJHSA-N 0 0 264.260 2.631 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc(Cl)n1 ZINC001234619666 778267308 /nfs/dbraw/zinc/26/73/08/778267308.db2.gz OJBYPDKHDRDREE-LBPRGKRZSA-N 0 0 293.710 2.835 20 5 CFBDRN CC(=O)[C@@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1C ZINC001234869868 778317084 /nfs/dbraw/zinc/31/70/84/778317084.db2.gz DGLWTRVYVBNVEH-LLVKDONJSA-N 0 0 251.282 2.876 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1C ZINC001234871474 778318357 /nfs/dbraw/zinc/31/83/57/778318357.db2.gz IOZKASRYQMHLID-SECBINFHSA-N 0 0 281.308 2.850 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CC3CCC2CC3)n1 ZINC001234875091 778319545 /nfs/dbraw/zinc/31/95/45/778319545.db2.gz BLXDYPYLMODVDS-CBINBANVSA-N 0 0 278.308 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2cccnc2F)n1 ZINC001234882148 778321088 /nfs/dbraw/zinc/32/10/88/778321088.db2.gz VRJYMJJXCMLHAN-MRVPVSSYSA-N 0 0 293.254 2.673 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H](C)c1cccnc1F ZINC001234902805 778325065 /nfs/dbraw/zinc/32/50/65/778325065.db2.gz ASNNTDHDJQUCIH-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H]1CCOC(C)(C)C1 ZINC001234901847 778325583 /nfs/dbraw/zinc/32/55/83/778325583.db2.gz SHNXGRZSRWQIIQ-SNVBAGLBSA-N 0 0 266.297 2.635 20 5 CFBDRN CCOc1noc2cc(O[C@H](C[N+](=O)[O-])C3CC3)ccc21 ZINC001234906462 778326881 /nfs/dbraw/zinc/32/68/81/778326881.db2.gz BMJWREYOZLRDIH-CYBMUJFWSA-N 0 0 292.291 2.661 20 5 CFBDRN CN(CCNc1ccccc1[N+](=O)[O-])C(=O)C1(C)CCC1 ZINC001101910250 778360822 /nfs/dbraw/zinc/36/08/22/778360822.db2.gz WJALIAKCRZODQB-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC=C(C)C)c1 ZINC000128169058 778487013 /nfs/dbraw/zinc/48/70/13/778487013.db2.gz QSBWAHVYZUORRL-UHFFFAOYSA-N 0 0 265.265 2.726 20 5 CFBDRN CCCCCO[C@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001224662298 778618372 /nfs/dbraw/zinc/61/83/72/778618372.db2.gz YLAYUUKUPRAIGB-SNVBAGLBSA-N 0 0 255.318 2.695 20 5 CFBDRN CC[C@@H](C)CO[C@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001224665963 778619530 /nfs/dbraw/zinc/61/95/30/778619530.db2.gz YOFVBZFAYOEJQT-NXEZZACHSA-N 0 0 255.318 2.551 20 5 CFBDRN COC[C@@H](C)COC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588485065 778690918 /nfs/dbraw/zinc/69/09/18/778690918.db2.gz MSCUIUDHJSXXFT-HQQGHWSLSA-N 0 0 293.319 2.824 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000721243454 778745520 /nfs/dbraw/zinc/74/55/20/778745520.db2.gz KERHXJLVZMRKMX-KSGYAGIASA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ncc(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)cn1 ZINC001168384592 778761372 /nfs/dbraw/zinc/76/13/72/778761372.db2.gz QDIQUDKWFQHHGD-UHFFFAOYSA-N 0 0 299.334 2.579 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC(=O)CCC1CCCC1 ZINC000060853721 778897168 /nfs/dbraw/zinc/89/71/68/778897168.db2.gz TZFQEMYACGHIHU-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN C=C/C=C/CCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC001288433685 779019172 /nfs/dbraw/zinc/01/91/72/779019172.db2.gz UBVPDZRVUVMPPG-GJIPYRLRSA-N 0 0 272.304 2.857 20 5 CFBDRN O=[N+]([O-])c1cc(OC(C(F)(F)F)C(F)(F)F)ccn1 ZINC001233855152 779095918 /nfs/dbraw/zinc/09/59/18/779095918.db2.gz OAUIBNKYQNLSNU-UHFFFAOYSA-N 0 0 290.119 2.862 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000151737593 779117958 /nfs/dbraw/zinc/11/79/58/779117958.db2.gz DEOCSQMBGHWKST-QMMMGPOBSA-N 0 0 284.262 2.888 20 5 CFBDRN Cc1cc(F)c(O[C@H]2CCCCC2=O)cc1[N+](=O)[O-] ZINC001234060867 779129353 /nfs/dbraw/zinc/12/93/53/779129353.db2.gz RAODNFCHVBUIHX-LBPRGKRZSA-N 0 0 267.256 2.933 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H]1CC(C)(C)O1 ZINC001168452779 779179605 /nfs/dbraw/zinc/17/96/05/779179605.db2.gz MFHBHQJEEPLUQH-JTQLQIEISA-N 0 0 250.298 2.883 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC[C@@H]2C[C@@H]2C1 ZINC000699221286 779221450 /nfs/dbraw/zinc/22/14/50/779221450.db2.gz XZFLEWROUUNCMA-RKDXNWHRSA-N 0 0 280.711 2.730 20 5 CFBDRN Cc1cccc(C2(Nc3ccc([N+](=O)[O-])cn3)COC2)c1 ZINC001168470164 779237808 /nfs/dbraw/zinc/23/78/08/779237808.db2.gz XRJRWOWELBYMQR-UHFFFAOYSA-N 0 0 285.303 2.636 20 5 CFBDRN CCC(=O)N(CC)CCNc1cc(C)ccc1[N+](=O)[O-] ZINC001106649313 779330478 /nfs/dbraw/zinc/33/04/78/779330478.db2.gz MTGCHQGOQTZBQG-UHFFFAOYSA-N 0 0 279.340 2.574 20 5 CFBDRN CCCC(=O)N(CC)CCNc1ccccc1[N+](=O)[O-] ZINC001106659508 779334081 /nfs/dbraw/zinc/33/40/81/779334081.db2.gz JFGYIPOKPYFHTJ-UHFFFAOYSA-N 0 0 279.340 2.655 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])cc1)C(=O)C=C(C)C ZINC001106671511 779334405 /nfs/dbraw/zinc/33/44/05/779334405.db2.gz BJQBQWJXYZEJQS-UHFFFAOYSA-N 0 0 291.351 2.821 20 5 CFBDRN CC[C@@H](OC1CCCCC1)C(=O)O[C@@H](CC)C[N+](=O)[O-] ZINC001123258343 779368648 /nfs/dbraw/zinc/36/86/48/779368648.db2.gz YKXLCKXUYYIIFP-WCQYABFASA-N 0 0 287.356 2.713 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)c1cc(F)ccc1Cl ZINC001123258251 779369452 /nfs/dbraw/zinc/36/94/52/779369452.db2.gz YDNCWCWEYNNROK-QMMMGPOBSA-N 0 0 275.663 2.691 20 5 CFBDRN CC(C)CC(=O)NC/C=C\CNc1ccccc1[N+](=O)[O-] ZINC001107006725 779736606 /nfs/dbraw/zinc/73/66/06/779736606.db2.gz ALNRNWJSXZRGSY-WAYWQWQTSA-N 0 0 291.351 2.725 20 5 CFBDRN CCCCC(=O)NC/C=C/CNc1ccc([N+](=O)[O-])cc1 ZINC001107045100 779759669 /nfs/dbraw/zinc/75/96/69/779759669.db2.gz FYBXMNPOFPWCHN-SNAWJCMRSA-N 0 0 291.351 2.869 20 5 CFBDRN CCc1cccnc1C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001116424257 780151297 /nfs/dbraw/zinc/15/12/97/780151297.db2.gz HUFHWGSGXUYPJQ-UHFFFAOYSA-N 0 0 297.314 2.708 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](C)c2cccs2)n1 ZINC001128135106 780209745 /nfs/dbraw/zinc/20/97/45/780209745.db2.gz GHAMSLGIJDDCAC-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)CC1CCCCCC1 ZINC001128217169 780363923 /nfs/dbraw/zinc/36/39/23/780363923.db2.gz SKOOYPBTXPLTNS-UHFFFAOYSA-N 0 0 280.328 2.627 20 5 CFBDRN COc1ccnc(N[C@H](C)CCCC(C)(C)O)c1[N+](=O)[O-] ZINC001168698206 780368168 /nfs/dbraw/zinc/36/81/68/780368168.db2.gz UKEXBPLCAVTIQK-SNVBAGLBSA-N 0 0 297.355 2.740 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2OC)[C@@H]1C ZINC001128281764 780377563 /nfs/dbraw/zinc/37/75/63/780377563.db2.gz SCWYPHUNWLGHEJ-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H](CCCC(C)(C)O)Nc1ncccc1[N+](=O)[O-] ZINC001168710145 780458759 /nfs/dbraw/zinc/45/87/59/780458759.db2.gz BAKNMIANUNKGFG-SNVBAGLBSA-N 0 0 267.329 2.731 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NC1CCOCC1 ZINC001161865837 780556299 /nfs/dbraw/zinc/55/62/99/780556299.db2.gz SYRYBONVFYUDLN-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN C/C=C/CO[C@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC001205051388 780576459 /nfs/dbraw/zinc/57/64/59/780576459.db2.gz OAFLCPMQXBURJR-JDGPPOGSSA-N 0 0 262.309 2.766 20 5 CFBDRN CN(C)c1ccc(NCCC2CCOCC2)c([N+](=O)[O-])c1 ZINC001162222771 780627784 /nfs/dbraw/zinc/62/77/84/780627784.db2.gz PERHJHQSRSPKAS-UHFFFAOYSA-N 0 0 293.367 2.889 20 5 CFBDRN CC(C)(C(=O)NC/C=C/C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC001117771514 780635425 /nfs/dbraw/zinc/63/54/25/780635425.db2.gz JUMUDZCCJHQKRU-ONEGZZNKSA-N 0 0 288.347 2.955 20 5 CFBDRN C[C@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])C[C@@H]1CO ZINC000700443657 780689996 /nfs/dbraw/zinc/68/99/96/780689996.db2.gz DOVSKOKDLPAEGO-VHSXEESVSA-N 0 0 284.743 2.703 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N[C@@H]1C=CCC1 ZINC000700562620 780696561 /nfs/dbraw/zinc/69/65/61/780696561.db2.gz PWLAMPFLFWXEKA-MRVPVSSYSA-N 0 0 284.113 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1OC[C@@H]1CCOC1 ZINC000700632135 780711320 /nfs/dbraw/zinc/71/13/20/780711320.db2.gz WUNHTWSYEAOMTN-SSDOTTSWSA-N 0 0 275.663 2.803 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(F)CC1 ZINC000701655891 780822101 /nfs/dbraw/zinc/82/21/01/780822101.db2.gz DCOZEILXAXFKRZ-UHFFFAOYSA-N 0 0 266.272 2.736 20 5 CFBDRN CCc1coc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])n1 ZINC001128789500 780845504 /nfs/dbraw/zinc/84/55/04/780845504.db2.gz MAESSODZHBKVDW-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Cc1cc(C)c(C(=O)Cn2ccnc2[N+](=O)[O-])c(C)c1 ZINC000702739314 780947867 /nfs/dbraw/zinc/94/78/67/780947867.db2.gz SKBLVRNEPHBLPY-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1OC[C@H]1COC(C)(C)O1 ZINC001229883137 780985764 /nfs/dbraw/zinc/98/57/64/780985764.db2.gz SFYLYAPZVDFOSY-NSHDSACASA-N 0 0 281.308 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCSC2)c2nonc21 ZINC000704844244 781124518 /nfs/dbraw/zinc/12/45/18/781124518.db2.gz YVIVOVWMVFXPMO-QMMMGPOBSA-N 0 0 294.336 2.686 20 5 CFBDRN Cc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)ccn1 ZINC001129183288 781143373 /nfs/dbraw/zinc/14/33/73/781143373.db2.gz JEOWLCOQMYJXGR-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CN(Cc1ccon1)C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000706600560 781188560 /nfs/dbraw/zinc/18/85/60/781188560.db2.gz ZASVYWQAMXTWDM-UHFFFAOYSA-N 0 0 295.682 2.508 20 5 CFBDRN CCN(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CCC1 ZINC000706839472 781205993 /nfs/dbraw/zinc/20/59/93/781205993.db2.gz NDBWRMGAJVZRBK-UHFFFAOYSA-N 0 0 284.262 2.888 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CCC2(C)C)c1F ZINC000706916357 781208907 /nfs/dbraw/zinc/20/89/07/781208907.db2.gz PSKKWOSYUJAAAB-UHFFFAOYSA-N 0 0 284.262 2.806 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC12CCCC2 ZINC000707109810 781223614 /nfs/dbraw/zinc/22/36/14/781223614.db2.gz VFRDPJGTMJLKRD-UHFFFAOYSA-N 0 0 278.283 2.893 20 5 CFBDRN CC1(C)CO[C@@H](COc2cc(C=O)ccc2[N+](=O)[O-])C1 ZINC000708377792 781271947 /nfs/dbraw/zinc/27/19/47/781271947.db2.gz ZFRMVZGOAJIONE-LLVKDONJSA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCCC3(CC3)C2)c1[N+](=O)[O-] ZINC000709966375 781324460 /nfs/dbraw/zinc/32/44/60/781324460.db2.gz KYJIZCWTTJHARR-JTQLQIEISA-N 0 0 264.329 2.771 20 5 CFBDRN CC[C@@]1(O)CCCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000710268742 781331127 /nfs/dbraw/zinc/33/11/27/781331127.db2.gz PQQOTRQTCWEVJQ-CQSZACIVSA-N 0 0 298.770 2.985 20 5 CFBDRN CC1(C)CC[C@H](CNc2ccc([N+](=O)[O-])nc2)OC1 ZINC000710714167 781335176 /nfs/dbraw/zinc/33/51/76/781335176.db2.gz QJBHQJLDHVLIAM-LLVKDONJSA-N 0 0 265.313 2.607 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ccc([N+](=O)[O-])cn2)OC1 ZINC000710714779 781336169 /nfs/dbraw/zinc/33/61/69/781336169.db2.gz YLKFRDUAXQOMAJ-NSHDSACASA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1cc(NC[C@H]2CCC(C)(C)CO2)ncc1[N+](=O)[O-] ZINC000710745822 781337479 /nfs/dbraw/zinc/33/74/79/781337479.db2.gz SXSYKKRSYKDMTI-LLVKDONJSA-N 0 0 279.340 2.915 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC[C@H]2C[C@H]2C1 ZINC000711091621 781357993 /nfs/dbraw/zinc/35/79/93/781357993.db2.gz IKLGPYYNDAGBKL-IUCAKERBSA-N 0 0 280.711 2.730 20 5 CFBDRN O=C(NOCC1CCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000711248453 781401701 /nfs/dbraw/zinc/40/17/01/781401701.db2.gz LXIOJXMFQACVIY-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@H](CF)C2)ccc1[N+](=O)[O-] ZINC000711516516 781451837 /nfs/dbraw/zinc/45/18/37/781451837.db2.gz CBASYWVZEZFBLN-LLVKDONJSA-N 0 0 280.299 2.983 20 5 CFBDRN COc1cc(NC[C@@H]2C=CCC2)c([N+](=O)[O-])cc1OC ZINC000711759576 781471091 /nfs/dbraw/zinc/47/10/91/781471091.db2.gz LIPZUBVPTCYLEC-SNVBAGLBSA-N 0 0 278.308 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CCC2)[C@@H]2CCOC2)nc1 ZINC000711840269 781476048 /nfs/dbraw/zinc/47/60/48/781476048.db2.gz DKMPKQZXBSPDPS-RISCZKNCSA-N 0 0 277.324 2.607 20 5 CFBDRN COC(C)(C)CCNc1ccc([N+](=O)[O-])c(N)c1F ZINC000711908998 781487735 /nfs/dbraw/zinc/48/77/35/781487735.db2.gz ZDJYQMKYKBASJN-UHFFFAOYSA-N 0 0 271.292 2.543 20 5 CFBDRN COc1nc(N(C(C)C)C(C)C)ccc1[N+](=O)[O-] ZINC001163574717 781512742 /nfs/dbraw/zinc/51/27/42/781512742.db2.gz OBSMCOLXIBIRHA-UHFFFAOYSA-N 0 0 253.302 2.622 20 5 CFBDRN CC(C)N(c1c(Cl)[n+]([O-])ccc1[N+](=O)[O-])C(C)C ZINC001163574548 781513846 /nfs/dbraw/zinc/51/38/46/781513846.db2.gz HZNACCSRGMTGES-UHFFFAOYSA-N 0 0 273.720 2.505 20 5 CFBDRN Cc1ccnc(N(C)C2CC(F)(F)C2)c1[N+](=O)[O-] ZINC001163766513 781569786 /nfs/dbraw/zinc/56/97/86/781569786.db2.gz PPPYSJDTPVEKJZ-UHFFFAOYSA-N 0 0 257.240 2.532 20 5 CFBDRN CSCCCNc1ccc2nsnc2c1[N+](=O)[O-] ZINC001163875737 781600527 /nfs/dbraw/zinc/60/05/27/781600527.db2.gz UMLJOBCCWDPXJL-UHFFFAOYSA-N 0 0 284.366 2.765 20 5 CFBDRN CCCOC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000605816436 781625571 /nfs/dbraw/zinc/62/55/71/781625571.db2.gz LYQZGLOONCXUHC-UHFFFAOYSA-N 0 0 255.295 2.640 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ncc1NC[C@@H]1CCCCO1 ZINC001164689424 781734282 /nfs/dbraw/zinc/73/42/82/781734282.db2.gz KFWIHBIMTREKTF-QMMMGPOBSA-N 0 0 271.704 2.624 20 5 CFBDRN CN(CCc1ccncc1)c1cc(Cl)ncc1[N+](=O)[O-] ZINC001166625659 781779901 /nfs/dbraw/zinc/77/99/01/781779901.db2.gz AAWXMCDEBMUDLD-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN O=[N+]([O-])c1cccc([S@@](=O)CC2CCCC2)c1 ZINC001119555940 781826426 /nfs/dbraw/zinc/82/64/26/781826426.db2.gz TULWYKSHTWHTSQ-KRWDZBQOSA-N 0 0 253.323 2.893 20 5 CFBDRN CO[C@@H](C)CCOc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492693 781858130 /nfs/dbraw/zinc/85/81/30/781858130.db2.gz SRDHTAQNKICDDM-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN C[C@H]1CCCCN1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000822409700 781900348 /nfs/dbraw/zinc/90/03/48/781900348.db2.gz LJOCUIXEASQEIV-VIFPVBQESA-N 0 0 297.742 2.767 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)COCC(F)(F)F)n1 ZINC000268985339 190457342 /nfs/dbraw/zinc/45/73/42/190457342.db2.gz IOMJXZKAPNXZBM-MRVPVSSYSA-N 0 0 293.245 2.678 20 5 CFBDRN O=[N+]([O-])c1cc(/C=C/c2cc3n(n2)CCCC3)ccn1 ZINC000594539484 349443363 /nfs/dbraw/zinc/44/33/63/349443363.db2.gz XLPVEFQAPJZXOI-SNAWJCMRSA-N 0 0 270.292 2.693 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCCOC(C)(C)C1 ZINC000594552176 349445806 /nfs/dbraw/zinc/44/58/06/349445806.db2.gz OGIYFVIQXBZXKY-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN CCC(CC)(CO)Nc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000594552651 349446032 /nfs/dbraw/zinc/44/60/32/349446032.db2.gz DACVYJMSDRVEDD-UHFFFAOYSA-N 0 0 297.355 2.740 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1(CO)CCCCC1 ZINC000594558423 349447264 /nfs/dbraw/zinc/44/72/64/349447264.db2.gz MCOSDBPOMXVJAW-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN CC(C)Oc1nc(N2CC(C(C)C)C2)ccc1[N+](=O)[O-] ZINC000594568681 349449376 /nfs/dbraw/zinc/44/93/76/349449376.db2.gz IVXOFCJHGSAJKZ-UHFFFAOYSA-N 0 0 279.340 2.869 20 5 CFBDRN Cc1cc(N2CCc3ccccc3[C@H]2CO)ccc1[N+](=O)[O-] ZINC000594582872 349451679 /nfs/dbraw/zinc/45/16/79/349451679.db2.gz MDYWXWGATLBCDN-QGZVFWFLSA-N 0 0 298.342 2.999 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1c(OC)cccc1[N+](=O)[O-] ZINC000594585612 349452431 /nfs/dbraw/zinc/45/24/31/349452431.db2.gz FCPXNPZUASQPOP-MNOVXSKESA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1cnc(N2CCC[C@@H](OC3CCC3)C2)c([N+](=O)[O-])c1 ZINC000594594724 349455632 /nfs/dbraw/zinc/45/56/32/349455632.db2.gz QJOJOBHAKWKZJE-CYBMUJFWSA-N 0 0 291.351 2.836 20 5 CFBDRN CC[C@H](Nc1ncccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000594598704 349457329 /nfs/dbraw/zinc/45/73/29/349457329.db2.gz HMSLVKSIWXAWTO-JQWIXIFHSA-N 0 0 265.313 2.749 20 5 CFBDRN CC[C@H](Nc1ncccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000594598703 349457374 /nfs/dbraw/zinc/45/73/74/349457374.db2.gz HMSLVKSIWXAWTO-CMPLNLGQSA-N 0 0 265.313 2.749 20 5 CFBDRN C[C@H](O)CN(c1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000594599776 349457417 /nfs/dbraw/zinc/45/74/17/349457417.db2.gz MXQKTQPPXVZVDK-QMMMGPOBSA-N 0 0 270.716 2.598 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])s2)CC2(CCCCC2)O1 ZINC000594598999 349457439 /nfs/dbraw/zinc/45/74/39/349457439.db2.gz KHQHVPKPGLGUHW-SNVBAGLBSA-N 0 0 297.380 2.979 20 5 CFBDRN CCC(CC)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124475205 187138935 /nfs/dbraw/zinc/13/89/35/187138935.db2.gz VUXZKALQFDYSLR-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CC(C)O[C@@]1(COc2ccc([N+](=O)[O-])cc2F)CCOC1 ZINC000594885990 349493853 /nfs/dbraw/zinc/49/38/53/349493853.db2.gz KWGHMKFCWMEQFI-AWEZNQCLSA-N 0 0 299.298 2.697 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CC[C@@H]1CCc2ccccc21 ZINC000594883268 349492708 /nfs/dbraw/zinc/49/27/08/349492708.db2.gz PYZYDXLBSMBGLP-ZDUSSCGKSA-N 0 0 284.315 2.877 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1cc(C)nc(C)n1 ZINC000594700946 349476368 /nfs/dbraw/zinc/47/63/68/349476368.db2.gz XGKIXFRFWAAFTG-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN C[C@@H](O)CCNc1cc(Br)cc([N+](=O)[O-])c1 ZINC000594708404 349477652 /nfs/dbraw/zinc/47/76/52/349477652.db2.gz VXFVBWFDSRQAIP-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN O=C(NCC(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000594731966 349483217 /nfs/dbraw/zinc/48/32/17/349483217.db2.gz HSCJXMXVIXPQOS-UHFFFAOYSA-N 0 0 299.277 2.534 20 5 CFBDRN O=[N+]([O-])c1cnn(CC[C@H]2CCc3ccccc32)c1 ZINC000594888706 349494288 /nfs/dbraw/zinc/49/42/88/349494288.db2.gz BTEMAXSWSSSWGZ-GFCCVEGCSA-N 0 0 257.293 2.911 20 5 CFBDRN Cc1cc(COc2cc([N+](=O)[O-])ccc2C)n(C)n1 ZINC000594886625 349493804 /nfs/dbraw/zinc/49/38/04/349493804.db2.gz ZLZNSAXCYNVETQ-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN CC(C)(C)n1cc(COc2ccc([N+](=O)[O-])cc2F)nn1 ZINC000594885982 349493813 /nfs/dbraw/zinc/49/38/13/349493813.db2.gz KNNAKXGAHJCRDR-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN CCC(CC)Cn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000594887817 349494677 /nfs/dbraw/zinc/49/46/77/349494677.db2.gz UERCWXHGXDCYFP-UHFFFAOYSA-N 0 0 258.705 2.846 20 5 CFBDRN O=c1ccn(CC[C@H]2CCc3ccccc32)cc1[N+](=O)[O-] ZINC000594891589 349495179 /nfs/dbraw/zinc/49/51/79/349495179.db2.gz ZMIHRHJHNTXQKR-CYBMUJFWSA-N 0 0 284.315 2.877 20 5 CFBDRN CC(C)O[C@]1(CSc2ccc([N+](=O)[O-])cn2)CCOC1 ZINC000594892685 349495998 /nfs/dbraw/zinc/49/59/98/349495998.db2.gz DNQBDNXYTXLNTJ-CYBMUJFWSA-N 0 0 298.364 2.666 20 5 CFBDRN CC(C)O[C@@]1(CSc2ccc([N+](=O)[O-])cn2)CCOC1 ZINC000594892686 349496047 /nfs/dbraw/zinc/49/60/47/349496047.db2.gz DNQBDNXYTXLNTJ-ZDUSSCGKSA-N 0 0 298.364 2.666 20 5 CFBDRN CCC(CC)COc1ccc([N+](=O)[O-])c(CO)c1 ZINC000594894201 349496796 /nfs/dbraw/zinc/49/67/96/349496796.db2.gz HQFHIFLDICLNNZ-UHFFFAOYSA-N 0 0 253.298 2.902 20 5 CFBDRN O=c1oc(-c2ccc([N+](=O)[O-])cc2)nn1CCC1CCC1 ZINC000594895238 349496965 /nfs/dbraw/zinc/49/69/65/349496965.db2.gz ZNBMHCCUNOQAFQ-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN CO[C@H](COc1c(Cl)cncc1[N+](=O)[O-])C1CCC1 ZINC000594898463 349498225 /nfs/dbraw/zinc/49/82/25/349498225.db2.gz BSCSOYGPBOMFGO-LLVKDONJSA-N 0 0 286.715 2.837 20 5 CFBDRN O=c1c2ccccc2n(CC2CC=CC2)cc1[N+](=O)[O-] ZINC000594902299 349499659 /nfs/dbraw/zinc/49/96/59/349499659.db2.gz XAYMVXRWMQCNLX-UHFFFAOYSA-N 0 0 270.288 2.876 20 5 CFBDRN CO[C@H](COc1c(C)c(C)ncc1[N+](=O)[O-])C1CCC1 ZINC000594904863 349500480 /nfs/dbraw/zinc/50/04/80/349500480.db2.gz HCMWDIJWEJBWLZ-CYBMUJFWSA-N 0 0 280.324 2.801 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1CC1CC=CC1)CCCC2 ZINC000594913570 349504080 /nfs/dbraw/zinc/50/40/80/349504080.db2.gz QAGXKPFNTOJCMB-UHFFFAOYSA-N 0 0 274.320 2.602 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000067722646 346817028 /nfs/dbraw/zinc/81/70/28/346817028.db2.gz IOGNVNNTCGMVJE-LLVKDONJSA-N 0 0 277.324 2.536 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1ncccc1F ZINC000127671213 187325938 /nfs/dbraw/zinc/32/59/38/187325938.db2.gz DDHLRZJNXYLZLD-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CCCCCCNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124622773 187146190 /nfs/dbraw/zinc/14/61/90/187146190.db2.gz PDRTWVRRZTYYCN-UHFFFAOYSA-N 0 0 266.301 2.692 20 5 CFBDRN CCCCN(C)c1ncc([N+](=O)[O-])cc1Br ZINC000082586735 178123427 /nfs/dbraw/zinc/12/34/27/178123427.db2.gz QAHDKCGKOVNTCG-UHFFFAOYSA-N 0 0 288.145 2.989 20 5 CFBDRN C[C@H](NCc1cnc2n1CCC2)c1ccccc1[N+](=O)[O-] ZINC000594934794 349517673 /nfs/dbraw/zinc/51/76/73/349517673.db2.gz LJMLVHIDCUOLOV-NSHDSACASA-N 0 0 286.335 2.588 20 5 CFBDRN Cc1ccc(-c2noc(COCC3CC3)n2)cc1[N+](=O)[O-] ZINC000273830751 192326114 /nfs/dbraw/zinc/32/61/14/192326114.db2.gz ORDWRAZVTUZCOH-UHFFFAOYSA-N 0 0 289.291 2.880 20 5 CFBDRN Cc1ccc(NC(=O)N2CCO[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000125001910 187164855 /nfs/dbraw/zinc/16/48/55/187164855.db2.gz YTVYRDLISDBFPH-GHMZBOCLSA-N 0 0 293.323 2.544 20 5 CFBDRN CC(C)OCCCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000269410847 190492236 /nfs/dbraw/zinc/49/22/36/190492236.db2.gz XBKMDOUZUHWQGK-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN CC(C)[C@H](O)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000125135655 187171089 /nfs/dbraw/zinc/17/10/89/187171089.db2.gz IQSNLUAOEDQBNH-LLVKDONJSA-N 0 0 258.705 2.677 20 5 CFBDRN COc1ccc(CC(=O)N(C(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000067799083 346819681 /nfs/dbraw/zinc/81/96/81/346819681.db2.gz QJIZNDPYAULCJU-UHFFFAOYSA-N 0 0 292.335 2.545 20 5 CFBDRN C[C@H](O)[C@@H](NCc1csc([N+](=O)[O-])c1)c1ccccc1 ZINC000595008454 349547303 /nfs/dbraw/zinc/54/73/03/349547303.db2.gz SYSHQXJPZKNTBA-IINYFYTJSA-N 0 0 292.360 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C(F)(F)F)c1 ZINC000290195637 197627971 /nfs/dbraw/zinc/62/79/71/197627971.db2.gz UHQGNIPNKSUBOE-SSDOTTSWSA-N 0 0 276.214 2.584 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)NCc1cscn1 ZINC000595017908 349552184 /nfs/dbraw/zinc/55/21/84/349552184.db2.gz XFKZTSRJRHPDGA-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN CCCN(CCC)C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000067798580 346819389 /nfs/dbraw/zinc/81/93/89/346819389.db2.gz XNNVCTGVRUICGA-UHFFFAOYSA-N 0 0 294.351 2.795 20 5 CFBDRN CNC(=O)c1ccc(N2CCC(C)(C)CC2)c([N+](=O)[O-])c1 ZINC000339139964 290626840 /nfs/dbraw/zinc/62/68/40/290626840.db2.gz CDVSWQHDCCHYAP-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN CCCCN(CCCC)C(=O)Cn1c(C)ncc1[N+](=O)[O-] ZINC000067807678 346820309 /nfs/dbraw/zinc/82/03/09/346820309.db2.gz GIDQWELFIIUVIY-UHFFFAOYSA-N 0 0 296.371 2.529 20 5 CFBDRN C[C@H](N[C@@H]1C[C@@H](C)n2ncnc21)c1cccc([N+](=O)[O-])c1 ZINC000595064127 349572459 /nfs/dbraw/zinc/57/24/59/349572459.db2.gz CXKSTVRIZZFGQS-GBIKHYSHSA-N 0 0 287.323 2.543 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000067904856 346827146 /nfs/dbraw/zinc/82/71/46/346827146.db2.gz QMXOILXDXKYXNI-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2sccc2Br)c1 ZINC000068139009 346836946 /nfs/dbraw/zinc/83/69/46/346836946.db2.gz SOKIULRYAGHIOR-UHFFFAOYSA-N 0 0 288.126 2.664 20 5 CFBDRN CCOCCN(CC)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595313140 349600199 /nfs/dbraw/zinc/60/01/99/349600199.db2.gz SMMYSSKEEJMCHS-UHFFFAOYSA-N 0 0 270.304 2.592 20 5 CFBDRN C[C@H](OCC1CC1)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000068289569 346845045 /nfs/dbraw/zinc/84/50/45/346845045.db2.gz UXNSDZUKTMIRAM-QWRGUYRKSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CC(C)(C)O1 ZINC000595294534 349593869 /nfs/dbraw/zinc/59/38/69/349593869.db2.gz NNIACXQCOZSUSM-SNVBAGLBSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1noc(CN(C)Cc2cc(Cl)cn2C)c1[N+](=O)[O-] ZINC000595340072 349605507 /nfs/dbraw/zinc/60/55/07/349605507.db2.gz VAAKCVPRBNRLCY-UHFFFAOYSA-N 0 0 298.730 2.515 20 5 CFBDRN CN(CCc1nccs1)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595397667 349616402 /nfs/dbraw/zinc/61/64/02/349616402.db2.gz QHBXLZXTFRMHRY-UHFFFAOYSA-N 0 0 295.339 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC(F)(F)C1 ZINC000595424514 349621510 /nfs/dbraw/zinc/62/15/10/349621510.db2.gz NXQYGGFPIHVILL-UHFFFAOYSA-N 0 0 260.215 2.575 20 5 CFBDRN C[C@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])C[C@@H]1F ZINC000595425234 349621701 /nfs/dbraw/zinc/62/17/01/349621701.db2.gz ZUHGVYCPCQCOCB-CABZTGNLSA-N 0 0 270.279 2.914 20 5 CFBDRN COc1cc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)on1 ZINC000595419260 349619906 /nfs/dbraw/zinc/61/99/06/349619906.db2.gz JKQJVUHGUSNEMY-SNVBAGLBSA-N 0 0 291.307 2.751 20 5 CFBDRN CC[C@@H](C)[C@@H](C)N(C(=O)c1[nH]nc(C)c1[N+](=O)[O-])C1CC1 ZINC000331440627 529391054 /nfs/dbraw/zinc/39/10/54/529391054.db2.gz FFSSHPWQZOFPKD-PSASIEDQSA-N 0 0 294.355 2.666 20 5 CFBDRN CC[C@]1(C)CCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413192854 529401177 /nfs/dbraw/zinc/40/11/77/529401177.db2.gz NWRZYFCCCUPTQE-GFCCVEGCSA-N 0 0 253.277 2.755 20 5 CFBDRN CSCCC[N@H+](C)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595469922 349637009 /nfs/dbraw/zinc/63/70/09/349637009.db2.gz JTPCCPBLSQAUDH-UHFFFAOYSA-N 0 0 272.345 2.919 20 5 CFBDRN CC1(C)CC(NC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000595477463 349638502 /nfs/dbraw/zinc/63/85/02/349638502.db2.gz TYRHOYZZBRMSLJ-UHFFFAOYSA-N 0 0 277.324 2.583 20 5 CFBDRN C[C@H]1CCCN(C(=O)CNc2ccccc2[N+](=O)[O-])CC1 ZINC000068819654 346872077 /nfs/dbraw/zinc/87/20/77/346872077.db2.gz PVWXELAGUMSVBR-LBPRGKRZSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@H](C)CC1 ZINC000188850194 282168024 /nfs/dbraw/zinc/16/80/24/282168024.db2.gz NRZKUBSBUSPGEK-JQWIXIFHSA-N 0 0 291.351 2.997 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000421660062 529430139 /nfs/dbraw/zinc/43/01/39/529430139.db2.gz ADUXOIGGKXDDSG-QWHCGFSZSA-N 0 0 292.335 2.591 20 5 CFBDRN CO[C@@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])CC1(C)C ZINC000595524763 349651189 /nfs/dbraw/zinc/65/11/89/349651189.db2.gz OWXHHVIDVTXGFB-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN CC[C@@H](CCO)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413153781 529471509 /nfs/dbraw/zinc/47/15/09/529471509.db2.gz LZQNUKQZMIROKY-NSHDSACASA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@@H](CNc1ncc([N+](=O)[O-])s1)CC(F)(F)F ZINC000402626484 529474676 /nfs/dbraw/zinc/47/46/76/529474676.db2.gz BIENIBGZZIXKLK-ZCFIWIBFSA-N 0 0 283.275 2.864 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000595633619 349684088 /nfs/dbraw/zinc/68/40/88/349684088.db2.gz QRDIZEPBZPTIEQ-ZIEJDFEHSA-N 0 0 290.363 2.749 20 5 CFBDRN CCc1cc(C)c(C(=O)NCc2ccc([N+](=O)[O-])cc2)o1 ZINC000178504522 529524166 /nfs/dbraw/zinc/52/41/66/529524166.db2.gz GVJDVDABNALMKV-UHFFFAOYSA-N 0 0 288.303 2.989 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1ccc(F)cc1F ZINC000069798248 346900755 /nfs/dbraw/zinc/90/07/55/346900755.db2.gz NUAZIUVHJOLVAP-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN CC[C@@]1(CO)CCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000187538593 529552091 /nfs/dbraw/zinc/55/20/91/529552091.db2.gz ODZSDVYXKKVXBP-OAHLLOKOSA-N 0 0 296.342 2.718 20 5 CFBDRN CN(Cc1ccc(F)cc1[N+](=O)[O-])CC1=CCCOC1 ZINC000595748465 349722570 /nfs/dbraw/zinc/72/25/70/349722570.db2.gz ZYQLZQBRQSMGNH-UHFFFAOYSA-N 0 0 280.299 2.512 20 5 CFBDRN Cc1ccc(Sc2nnnn2C(C)C)c([N+](=O)[O-])c1 ZINC000269882836 190563150 /nfs/dbraw/zinc/56/31/50/190563150.db2.gz ALFPPKJTEUYJGI-UHFFFAOYSA-N 0 0 279.325 2.622 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@@H]2C[C@@H]2C1 ZINC000595808952 349736497 /nfs/dbraw/zinc/73/64/97/349736497.db2.gz POASWPLIDNXQBP-NXEZZACHSA-N 0 0 250.273 2.576 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@H]2C[C@H]2C1 ZINC000595808953 349736966 /nfs/dbraw/zinc/73/69/66/349736966.db2.gz POASWPLIDNXQBP-UWVGGRQHSA-N 0 0 250.273 2.576 20 5 CFBDRN Cc1nnc(CN(C)[C@@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC000595884883 349760608 /nfs/dbraw/zinc/76/06/08/349760608.db2.gz IGICAQWMLAJPDZ-VIFPVBQESA-N 0 0 292.364 2.948 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000126110620 187217911 /nfs/dbraw/zinc/21/79/11/187217911.db2.gz RTHQVZLEAOSDGG-GFCCVEGCSA-N 0 0 291.351 2.721 20 5 CFBDRN C[C@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000595871967 349758846 /nfs/dbraw/zinc/75/88/46/349758846.db2.gz JFLNFXWICIPOQH-HZMBPMFUSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@]1(C)CO ZINC000595871972 349759085 /nfs/dbraw/zinc/75/90/85/349759085.db2.gz JFLNFXWICIPOQH-QMTHXVAHSA-N 0 0 298.770 2.699 20 5 CFBDRN Cc1nc(SCc2onc(C)c2[N+](=O)[O-])oc1C ZINC000596013226 349775535 /nfs/dbraw/zinc/77/55/35/349775535.db2.gz FROIOFXFCMNSGN-UHFFFAOYSA-N 0 0 269.282 2.788 20 5 CFBDRN COC(=O)c1ccc(OC[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000596018000 349776528 /nfs/dbraw/zinc/77/65/28/349776528.db2.gz CBTIISCBUWGEHS-YHPBZONMSA-N 0 0 291.303 2.806 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000596021068 349777142 /nfs/dbraw/zinc/77/71/42/349777142.db2.gz MJVHIELJNOYUMK-YHPBZONMSA-N 0 0 291.303 2.806 20 5 CFBDRN O=c1c2ccccc2n(C[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000596023525 349777155 /nfs/dbraw/zinc/77/71/55/349777155.db2.gz YYQVMWXKSLDKEH-ZSBIGDGJSA-N 0 0 284.315 2.956 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ncn(C[C@@H]3C[C@H]4C[C@H]4C3)n2)c1 ZINC000596024791 349777946 /nfs/dbraw/zinc/77/79/46/349777946.db2.gz ZGVYWKMFXQJDOJ-LMKPVCQUSA-N 0 0 284.319 2.899 20 5 CFBDRN C[C@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596075059 349788163 /nfs/dbraw/zinc/78/81/63/349788163.db2.gz YEGLUHNJFVVKEE-VHSXEESVSA-N 0 0 277.324 2.684 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1c(F)cccc1[N+](=O)[O-] ZINC000431494641 383677237 /nfs/dbraw/zinc/67/72/37/383677237.db2.gz LXHHXEIXUOFXPW-SNVBAGLBSA-N 0 0 297.330 2.698 20 5 CFBDRN COC(=O)c1c(Cl)c(N2CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000596087099 349791477 /nfs/dbraw/zinc/79/14/77/349791477.db2.gz FQTKQOBJBLDTHZ-ZETCQYMHSA-N 0 0 284.699 2.633 20 5 CFBDRN C[C@@H]1c2ccsc2CCN1c1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596064603 349785440 /nfs/dbraw/zinc/78/54/40/349785440.db2.gz XWEQJKYLSYWSQI-MRVPVSSYSA-N 0 0 291.332 2.881 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1)[C@@H](O)C(C)C ZINC000413548334 529676678 /nfs/dbraw/zinc/67/66/78/529676678.db2.gz UYUDXQGYQKFDEL-STQMWFEESA-N 0 0 252.314 2.802 20 5 CFBDRN Cc1nccnc1[C@H](C)NCc1ccc([N+](=O)[O-])cc1 ZINC000188171053 282222917 /nfs/dbraw/zinc/22/29/17/282222917.db2.gz KZFAJCZNSWCVFY-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN COc1cc(NCc2nccn2C)c(Cl)cc1[N+](=O)[O-] ZINC000596112962 349797473 /nfs/dbraw/zinc/79/74/73/349797473.db2.gz YBJYTEAGLHIXKK-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN C[C@H]1CN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000072539853 346928176 /nfs/dbraw/zinc/92/81/76/346928176.db2.gz DYMUCRLWPUVDCE-IUCAKERBSA-N 0 0 282.727 2.976 20 5 CFBDRN CC[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000596118987 349798541 /nfs/dbraw/zinc/79/85/41/349798541.db2.gz HPPXWFPIRGMJOS-BDAKNGLRSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000126389317 187236966 /nfs/dbraw/zinc/23/69/66/187236966.db2.gz ZVPLKGOXGLJLFY-SNVBAGLBSA-N 0 0 290.291 2.953 20 5 CFBDRN C[C@@]1(CNc2ncccc2[N+](=O)[O-])CCCS1 ZINC000126390894 187237026 /nfs/dbraw/zinc/23/70/26/187237026.db2.gz VYJVNCTVXIAKNH-NSHDSACASA-N 0 0 253.327 2.687 20 5 CFBDRN Cc1cc(NCCCN2CCCCC2=O)c([N+](=O)[O-])s1 ZINC000596116958 349798253 /nfs/dbraw/zinc/79/82/53/349798253.db2.gz RZUVLHBVQBXXMB-UHFFFAOYSA-N 0 0 297.380 2.779 20 5 CFBDRN CCCCN(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000126555947 187247432 /nfs/dbraw/zinc/24/74/32/187247432.db2.gz GZJVSLFSYFJTHC-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN CS[C@@H](C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000270151163 190604454 /nfs/dbraw/zinc/60/44/54/190604454.db2.gz RJEUPTUWFVDJLQ-QMMMGPOBSA-N 0 0 279.321 2.939 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC1(O)CCC(C)CC1 ZINC000270182079 190609435 /nfs/dbraw/zinc/60/94/35/190609435.db2.gz WZDCFVBZTIVMIZ-UHFFFAOYSA-N 0 0 295.335 2.923 20 5 CFBDRN C[C@@H]1CC(Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C[C@@H](C)C1 ZINC000596134424 349803489 /nfs/dbraw/zinc/80/34/89/349803489.db2.gz ILZCPZHMLZDKIP-UWVGGRQHSA-N 0 0 291.351 2.930 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000596138118 349804399 /nfs/dbraw/zinc/80/43/99/349804399.db2.gz FSTLHDJMNKDUGT-HTQZYQBOSA-N 0 0 287.772 2.973 20 5 CFBDRN O=c1[nH]ccc(N2CC3(CC3)c3ccccc32)c1[N+](=O)[O-] ZINC000596134477 349803859 /nfs/dbraw/zinc/80/38/59/349803859.db2.gz JQZGPAXFNUJFMB-UHFFFAOYSA-N 0 0 283.287 2.879 20 5 CFBDRN Cc1cc(NCCCn2ccnc2C)c([N+](=O)[O-])s1 ZINC000596136527 349805008 /nfs/dbraw/zinc/80/50/08/349805008.db2.gz JLZYHTACCIYZOP-UHFFFAOYSA-N 0 0 280.353 2.972 20 5 CFBDRN C[C@H](c1cccc(O)c1)N(C)c1ncc([N+](=O)[O-])s1 ZINC000596147826 349808582 /nfs/dbraw/zinc/80/85/82/349808582.db2.gz XCVDKQBGYYCFBO-MRVPVSSYSA-N 0 0 279.321 2.954 20 5 CFBDRN CCOC[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596148931 349808804 /nfs/dbraw/zinc/80/88/04/349808804.db2.gz IGCJQSONCODHQN-SECBINFHSA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1cc(N2CC[C@@]3(CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596156510 349811786 /nfs/dbraw/zinc/81/17/86/349811786.db2.gz JBWZHDKLBSMYFW-GFCCVEGCSA-N 0 0 268.338 2.582 20 5 CFBDRN Cc1nn(CCN2CCCc3ccccc32)cc1[N+](=O)[O-] ZINC000332004648 136383658 /nfs/dbraw/zinc/38/36/58/136383658.db2.gz WUVGNODHHHZQIY-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2[C@H]3CCc4ccccc4[C@@H]23)c1[N+](=O)[O-] ZINC000596169216 349816080 /nfs/dbraw/zinc/81/60/80/349816080.db2.gz CWTOHXUEUOHQCN-IACUBPJLSA-N 0 0 297.314 2.836 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC12CC2 ZINC000425679228 529730557 /nfs/dbraw/zinc/73/05/57/529730557.db2.gz GKFTWSMXVHCSCS-GFCCVEGCSA-N 0 0 275.308 2.831 20 5 CFBDRN CCc1nc(CNc2nc(C)ccc2[N+](=O)[O-])cs1 ZINC000178219059 529740989 /nfs/dbraw/zinc/74/09/89/529740989.db2.gz HODSYOBHZCLFEQ-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN O=c1[nH]ccc(N[C@@H](c2cccnc2)C2CC2)c1[N+](=O)[O-] ZINC000596176884 349817363 /nfs/dbraw/zinc/81/73/63/349817363.db2.gz GWYMFSXRKRGZNS-GFCCVEGCSA-N 0 0 286.291 2.654 20 5 CFBDRN CS[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000295031884 199310337 /nfs/dbraw/zinc/31/03/37/199310337.db2.gz RWLYRTVGPRDZDN-QMMMGPOBSA-N 0 0 273.745 2.585 20 5 CFBDRN CCc1nnc(CNc2cc(C)sc2[N+](=O)[O-])o1 ZINC000596174614 349817804 /nfs/dbraw/zinc/81/78/04/349817804.db2.gz MDHDTUSCVGUWAY-UHFFFAOYSA-N 0 0 268.298 2.522 20 5 CFBDRN O=c1[nH]ccc(N[C@H](c2cccnc2)C2CC2)c1[N+](=O)[O-] ZINC000596176885 349817953 /nfs/dbraw/zinc/81/79/53/349817953.db2.gz GWYMFSXRKRGZNS-LBPRGKRZSA-N 0 0 286.291 2.654 20 5 CFBDRN CCc1nnc(NCc2ccccc2[N+](=O)[O-])nc1CC ZINC000097837266 529769581 /nfs/dbraw/zinc/76/95/81/529769581.db2.gz WBXZSXFLVBOOAQ-UHFFFAOYSA-N 0 0 287.323 2.517 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@H](C2CC2)C1 ZINC000596179112 349818381 /nfs/dbraw/zinc/81/83/81/349818381.db2.gz MJQJVMAARJKDCA-VIFPVBQESA-N 0 0 267.716 2.880 20 5 CFBDRN Cc1nn(C)c(NC[C@@H](C)Oc2ccccc2)c1[N+](=O)[O-] ZINC000072945836 346951671 /nfs/dbraw/zinc/95/16/71/346951671.db2.gz XTBCCPVFULHMDX-SNVBAGLBSA-N 0 0 290.323 2.516 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@H](O)C2)c([N+](=O)[O-])s1 ZINC000596207573 349827122 /nfs/dbraw/zinc/82/71/22/349827122.db2.gz SPNNABIJLYIFSQ-BDAKNGLRSA-N 0 0 256.327 2.538 20 5 CFBDRN COC1(C)CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596185266 349821114 /nfs/dbraw/zinc/82/11/14/349821114.db2.gz NKSJNLXLIRISFP-UHFFFAOYSA-N 0 0 285.731 2.649 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC(C)(C)C1 ZINC000596191353 349822003 /nfs/dbraw/zinc/82/20/03/349822003.db2.gz PXGMHDMAZZVORT-JTQLQIEISA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@H]2CCO)c([N+](=O)[O-])s1 ZINC000596207126 349827031 /nfs/dbraw/zinc/82/70/31/349827031.db2.gz BOLXPGQEIRBZAV-UWVGGRQHSA-N 0 0 270.354 2.928 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@@H]1C ZINC000596198931 349824926 /nfs/dbraw/zinc/82/49/26/349824926.db2.gz OLOVHLRTSZIVAM-KXUCPTDWSA-N 0 0 265.313 2.932 20 5 CFBDRN C[C@H]1C[C@H](O)CN1c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596205466 349826496 /nfs/dbraw/zinc/82/64/96/349826496.db2.gz IOKCHJCBUZMIAG-CBAPKCEASA-N 0 0 290.241 2.573 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])s1 ZINC000596181438 349819240 /nfs/dbraw/zinc/81/92/40/349819240.db2.gz BKXOJMQIYDTUNH-APPZFPTMSA-N 0 0 256.327 2.944 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)C(F)(F)F)c([N+](=O)[O-])s1 ZINC000596180848 349819321 /nfs/dbraw/zinc/81/93/21/349819321.db2.gz XNGPROPZXYZCBY-MRVPVSSYSA-N 0 0 284.259 2.690 20 5 CFBDRN Cc1ccsc1CCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596183612 349820024 /nfs/dbraw/zinc/82/00/24/349820024.db2.gz HCSNJILUZJDNJF-UHFFFAOYSA-N 0 0 279.321 2.720 20 5 CFBDRN Cc1cc(NCc2nnc(C(C)C)n2C)c([N+](=O)[O-])s1 ZINC000596183030 349820325 /nfs/dbraw/zinc/82/03/25/349820325.db2.gz HXZMDLOPRWEHCH-UHFFFAOYSA-N 0 0 295.368 2.829 20 5 CFBDRN COC1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CCC(C)CC1 ZINC000596222848 349832330 /nfs/dbraw/zinc/83/23/30/349832330.db2.gz JORIILMPMIZGTP-UHFFFAOYSA-N 0 0 295.339 2.703 20 5 CFBDRN COc1cc(NCc2cc(C)nn2C)c([N+](=O)[O-])cc1C ZINC000596225468 349833113 /nfs/dbraw/zinc/83/31/13/349833113.db2.gz IINNPCIUUAPFIJ-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN Cc1cc(N2C[C@@H](C)O[C@H](C3CC3)C2)c([N+](=O)[O-])s1 ZINC000596224052 349833385 /nfs/dbraw/zinc/83/33/85/349833385.db2.gz UATFTMMWNIJMQG-PELKAZGASA-N 0 0 282.365 2.968 20 5 CFBDRN Cc1cc(NCC2([S@@](C)=O)CCC2)c([N+](=O)[O-])s1 ZINC000596226366 349833403 /nfs/dbraw/zinc/83/34/03/349833403.db2.gz SVXITLDTKDSINS-GOSISDBHSA-N 0 0 288.394 2.678 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000596210736 349827756 /nfs/dbraw/zinc/82/77/56/349827756.db2.gz NSJLHUMGUHYHGP-AWEZNQCLSA-N 0 0 277.324 2.583 20 5 CFBDRN C[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])CCS1 ZINC000596209220 349827915 /nfs/dbraw/zinc/82/79/15/349827915.db2.gz DLLNWVUIQZCXMA-MRVPVSSYSA-N 0 0 287.772 2.975 20 5 CFBDRN C[C@H]1CC[C@H](CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596216063 349829589 /nfs/dbraw/zinc/82/95/89/349829589.db2.gz CDTCDSORZORJAD-UWVGGRQHSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596221045 349831228 /nfs/dbraw/zinc/83/12/28/349831228.db2.gz UCAOSIZAEKKVFH-BDAKNGLRSA-N 0 0 251.286 2.544 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]2C(F)F)c(C(F)(F)F)c1 ZINC000596255363 349842710 /nfs/dbraw/zinc/84/27/10/349842710.db2.gz NVPQVPAESCXALL-SSDOTTSWSA-N 0 0 297.183 2.852 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3cc(C)sc3[N+](=O)[O-])C2)c1 ZINC000596236008 349835688 /nfs/dbraw/zinc/83/56/88/349835688.db2.gz HZKLWRTUGIHWEU-NSHDSACASA-N 0 0 292.364 2.921 20 5 CFBDRN CC[C@H]1CC[C@H](Nc2ncc([N+](=O)[O-])cn2)CC1 ZINC000431487775 383677377 /nfs/dbraw/zinc/67/73/77/383677377.db2.gz ZJYGPUBDKCCUER-MGCOHNPYSA-N 0 0 250.302 2.766 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1cc(C)sc1[N+](=O)[O-] ZINC000596240650 349837139 /nfs/dbraw/zinc/83/71/39/349837139.db2.gz ZGTDFGQWHFHFFT-VIFPVBQESA-N 0 0 299.396 2.929 20 5 CFBDRN CCOc1cc(N2C[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000596245814 349838925 /nfs/dbraw/zinc/83/89/25/349838925.db2.gz AMGZSICNCYJPSP-VHSXEESVSA-N 0 0 250.298 2.838 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2CCO[C@H]1CC1(F)F ZINC000596258105 349843782 /nfs/dbraw/zinc/84/37/82/349843782.db2.gz QWSLIJZAMLHOBJ-LBPRGKRZSA-N 0 0 282.246 2.974 20 5 CFBDRN C[C@@H]1CN(c2nc3c(cc2[N+](=O)[O-])CCCC3)[C@H]1C ZINC000596249011 349840556 /nfs/dbraw/zinc/84/05/56/349840556.db2.gz OVPHEAPPRZHLOM-ZJUUUORDSA-N 0 0 261.325 2.713 20 5 CFBDRN CC(C)C[C@@]1(C)CCCN1c1c([N+](=O)[O-])ncn1C ZINC000596252051 349841279 /nfs/dbraw/zinc/84/12/79/349841279.db2.gz FNTZNBPXOMUBKN-CYBMUJFWSA-N 0 0 266.345 2.733 20 5 CFBDRN Cc1cc(OCCO[C@@H]2CC2(F)F)c(F)cc1[N+](=O)[O-] ZINC000596269796 349848499 /nfs/dbraw/zinc/84/84/99/349848499.db2.gz GEPXAZIJWILKEW-LLVKDONJSA-N 0 0 291.225 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H]1CCCC[C@H]1C ZINC000596269526 349848803 /nfs/dbraw/zinc/84/88/03/349848803.db2.gz WBWHGYFHASAKOZ-ZYHUDNBSSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1cn(-c2cccc([N+](=O)[O-])c2)c(=O)n1CC1CCC1 ZINC000596278650 349851671 /nfs/dbraw/zinc/85/16/71/349851671.db2.gz RTLLWYQHJNIEHV-UHFFFAOYSA-N 0 0 287.319 2.656 20 5 CFBDRN C[C@@H](CC(C)(C)O)Nc1ccc([N+](=O)[O-])cc1F ZINC000295075910 199332440 /nfs/dbraw/zinc/33/24/40/199332440.db2.gz WZYSZHQKXILLKD-QMMMGPOBSA-N 0 0 256.277 2.695 20 5 CFBDRN COc1cc(C)cc(CNc2ccc([N+](=O)[O-])cn2)c1 ZINC000128043410 187348088 /nfs/dbraw/zinc/34/80/88/187348088.db2.gz BLPVBTWJQFLPLY-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1cc(NCCc2c(C)n[nH]c2C)c([N+](=O)[O-])s1 ZINC000596258965 349844666 /nfs/dbraw/zinc/84/46/66/349844666.db2.gz PGQQAKKUSIEKCM-UHFFFAOYSA-N 0 0 280.353 2.959 20 5 CFBDRN CC[C@@H](C)N1CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596259236 349844966 /nfs/dbraw/zinc/84/49/66/349844966.db2.gz VLIXJZYDWRSGHP-SNVBAGLBSA-N 0 0 298.774 2.564 20 5 CFBDRN Cc1ccc(CN(C)c2cc[nH]c(=O)c2[N+](=O)[O-])cc1C ZINC000596261149 349845634 /nfs/dbraw/zinc/84/56/34/349845634.db2.gz TYMZQTLXOVCXPE-UHFFFAOYSA-N 0 0 287.319 2.949 20 5 CFBDRN CC[N@@H+]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000596269265 349848095 /nfs/dbraw/zinc/84/80/95/349848095.db2.gz PWTMUQDXESYSOG-JTQLQIEISA-N 0 0 298.774 2.564 20 5 CFBDRN COC1CC(CCNc2ccccc2[N+](=O)[O-])C1 ZINC000596311199 349860147 /nfs/dbraw/zinc/86/01/47/349860147.db2.gz MQKNKZGAAJSURA-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596290759 349854020 /nfs/dbraw/zinc/85/40/20/349854020.db2.gz SFJKTJIVXNFEMH-JOYOIKCWSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1cc(N2CCC([C@@H](C)CO)CC2)c([N+](=O)[O-])s1 ZINC000596294305 349854603 /nfs/dbraw/zinc/85/46/03/349854603.db2.gz XDGXLXWDDQBGGM-VIFPVBQESA-N 0 0 284.381 2.810 20 5 CFBDRN Cc1noc(COc2cccc3c2CCC3)c1[N+](=O)[O-] ZINC000596304096 349857400 /nfs/dbraw/zinc/85/74/00/349857400.db2.gz IEOFWTXUIFFFHG-UHFFFAOYSA-N 0 0 274.276 2.959 20 5 CFBDRN COCC1(Nc2ncc([N+](=O)[O-])cc2F)CCCC1 ZINC000596316612 349861630 /nfs/dbraw/zinc/86/16/30/349861630.db2.gz MFIOUTVVUIUNOY-UHFFFAOYSA-N 0 0 269.276 2.500 20 5 CFBDRN COC[C@@H](CCNc1c(Cl)cccc1[N+](=O)[O-])OC ZINC000596320069 349862798 /nfs/dbraw/zinc/86/27/98/349862798.db2.gz LLJUWNRSWMWPLU-SECBINFHSA-N 0 0 288.731 2.712 20 5 CFBDRN CC[C@@H](COCC1CC1)Nc1ncccc1[N+](=O)[O-] ZINC000596326108 349863943 /nfs/dbraw/zinc/86/39/43/349863943.db2.gz HODPSRZWVHEMAJ-NSHDSACASA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)c2ncnn21 ZINC000596343923 349871015 /nfs/dbraw/zinc/87/10/15/349871015.db2.gz QJYFUNFMEHRPOK-WRWORJQWSA-N 0 0 293.714 2.958 20 5 CFBDRN COc1cccc(CSCc2onc(C)c2[N+](=O)[O-])n1 ZINC000596352564 349874703 /nfs/dbraw/zinc/87/47/03/349874703.db2.gz OEJSYWNJNXMBCH-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN C[C@@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)C(F)(F)F ZINC000074238132 347004361 /nfs/dbraw/zinc/00/43/61/347004361.db2.gz VIASJNNNRDKLGU-ZETCQYMHSA-N 0 0 288.225 2.682 20 5 CFBDRN C[S@](=O)[C@H]1CCC[C@@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000596371931 349881270 /nfs/dbraw/zinc/88/12/70/349881270.db2.gz FMULRHLCPIVEDX-IDHHQXPSSA-N 0 0 288.394 2.758 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000074235255 347003877 /nfs/dbraw/zinc/00/38/77/347003877.db2.gz OKDJTYFVBSTDLM-LURJTMIESA-N 0 0 262.187 2.732 20 5 CFBDRN COC[C@H](CSCc1ccc(F)cc1[N+](=O)[O-])OC ZINC000596395681 349888515 /nfs/dbraw/zinc/88/85/15/349888515.db2.gz RFFVPGMGIQDACL-LLVKDONJSA-N 0 0 289.328 2.629 20 5 CFBDRN CC(C)n1cnnc1CNc1ccc([N+](=O)[O-])cc1F ZINC000074258860 347005350 /nfs/dbraw/zinc/00/53/50/347005350.db2.gz LFZCRSXNWBDLGG-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1cscn1 ZINC000596430362 349900446 /nfs/dbraw/zinc/90/04/46/349900446.db2.gz JADAIKBRWGQJJA-SSDOTTSWSA-N 0 0 281.341 2.749 20 5 CFBDRN C[C@@H](CO)[C@@H]1CCCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000596434090 349901846 /nfs/dbraw/zinc/90/18/46/349901846.db2.gz IKJSIAKCSLBSIZ-ONGXEEELSA-N 0 0 299.758 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCC[C@@H]2[C@H](C)CO)c1 ZINC000596431819 349901202 /nfs/dbraw/zinc/90/12/02/349901202.db2.gz COWQFQRLVAGFOK-CHWSQXEVSA-N 0 0 278.352 2.891 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000074763681 347031487 /nfs/dbraw/zinc/03/14/87/347031487.db2.gz PYDFPFQTXGSVMG-GFCCVEGCSA-N 0 0 293.367 2.967 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2c(OC)cccc2[N+](=O)[O-])C1 ZINC000596437747 349902515 /nfs/dbraw/zinc/90/25/15/349902515.db2.gz YCZXUMRVHQBCGW-MGCOHNPYSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1cc(N2CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000074724845 347029031 /nfs/dbraw/zinc/02/90/31/347029031.db2.gz HGBWGHMBJSHHJR-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN Cc1cnc([C@H](C)CNc2ncccc2[N+](=O)[O-])s1 ZINC000273185826 192069141 /nfs/dbraw/zinc/06/91/41/192069141.db2.gz BWDYJQIMQQWLQN-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN COC(=O)[C@H](CNc1cc(C)c([N+](=O)[O-])cn1)CC(C)C ZINC000074766329 347031527 /nfs/dbraw/zinc/03/15/27/347031527.db2.gz NMVWMGXJHVCHFS-NSHDSACASA-N 0 0 295.339 2.545 20 5 CFBDRN Cc1cc(N[C@@H]2CCCc3c2cnn3C)ncc1[N+](=O)[O-] ZINC000074739881 347029721 /nfs/dbraw/zinc/02/97/21/347029721.db2.gz DJGWIJSOIJEBGV-LLVKDONJSA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1cc(Nc2ccc(N(C)C)nc2)ncc1[N+](=O)[O-] ZINC000074738919 347029739 /nfs/dbraw/zinc/02/97/39/347029739.db2.gz KVGPGMBSYRMECO-UHFFFAOYSA-N 0 0 273.296 2.503 20 5 CFBDRN C[C@@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])C[C@@H](C)C1=O ZINC000596446100 349905598 /nfs/dbraw/zinc/90/55/98/349905598.db2.gz FPSLVVHOAAZAGS-GHMZBOCLSA-N 0 0 299.330 2.804 20 5 CFBDRN COCCN(CCF)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000596447356 349905692 /nfs/dbraw/zinc/90/56/92/349905692.db2.gz SEPAXFUFNJLUQG-UHFFFAOYSA-N 0 0 293.298 2.565 20 5 CFBDRN CSCC[C@H](C)N(C)c1ncc(C)cc1[N+](=O)[O-] ZINC000074770045 347032214 /nfs/dbraw/zinc/03/22/14/347032214.db2.gz ICBBMUCIEHKXSY-JTQLQIEISA-N 0 0 269.370 2.876 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@@H]2n2cccn2)cn1 ZINC000074776499 347033195 /nfs/dbraw/zinc/03/31/95/347033195.db2.gz CBABIQRNPNNPDG-OLZOCXBDSA-N 0 0 287.323 2.782 20 5 CFBDRN COCC1(C2CCC2)CN(c2ccccc2[N+](=O)[O-])C1 ZINC000596441953 349904632 /nfs/dbraw/zinc/90/46/32/349904632.db2.gz SKZGAPREOGWWBQ-UHFFFAOYSA-N 0 0 276.336 2.848 20 5 CFBDRN Cc1cccc(N2CCC[C@@](O)(C3CC3)C2)c1[N+](=O)[O-] ZINC000596462471 349908240 /nfs/dbraw/zinc/90/82/40/349908240.db2.gz KHOQVJPXNJREFW-HNNXBMFYSA-N 0 0 276.336 2.645 20 5 CFBDRN CC(C)(C)OCCCNc1ccc([N+](=O)[O-])c(N)c1F ZINC000596461345 349908303 /nfs/dbraw/zinc/90/83/03/349908303.db2.gz GYUGRGPBKBUYSP-UHFFFAOYSA-N 0 0 285.319 2.933 20 5 CFBDRN Cc1cc(NCCO[C@H]2CC2(F)F)c([N+](=O)[O-])s1 ZINC000596448321 349906559 /nfs/dbraw/zinc/90/65/59/349906559.db2.gz STIVGZIKLFKRCB-QMMMGPOBSA-N 0 0 278.280 2.801 20 5 CFBDRN Nc1cc(N[C@@H]2CCc3ccccc32)cc(CO)c1[N+](=O)[O-] ZINC000596467214 349909127 /nfs/dbraw/zinc/90/91/27/349909127.db2.gz PMDDFPDUQRKHNA-OAHLLOKOSA-N 0 0 299.330 2.769 20 5 CFBDRN CC(C)(C)OCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000596468016 349909156 /nfs/dbraw/zinc/90/91/56/349909156.db2.gz FOAWIFUAXUPRGB-UHFFFAOYSA-N 0 0 295.339 2.922 20 5 CFBDRN COc1cccc(NC[C@@H]2CCC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000596472498 349910628 /nfs/dbraw/zinc/91/06/28/349910628.db2.gz BOXRDDIXFORINK-MNOVXSKESA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCC[C@H](C)O1 ZINC000596472525 349910659 /nfs/dbraw/zinc/91/06/59/349910659.db2.gz COCBWEOPVATXHE-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@H](C)[C@H]1O ZINC000596469622 349909906 /nfs/dbraw/zinc/90/99/06/349909906.db2.gz MZMXPLOTYKJFBB-WOFXILAISA-N 0 0 284.743 2.701 20 5 CFBDRN CO[C@H](CNc1ncccc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000075056046 347051148 /nfs/dbraw/zinc/05/11/48/347051148.db2.gz IELAZAHAOLWKIV-CYBMUJFWSA-N 0 0 291.282 2.929 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)C(C)C)ccc1[N+](=O)[O-] ZINC000075050820 347051218 /nfs/dbraw/zinc/05/12/18/347051218.db2.gz JQSUPLKCSFJXOW-CYBMUJFWSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cnc(NC[C@H](CCO)CC(C)C)c([N+](=O)[O-])c1 ZINC000075062180 347052074 /nfs/dbraw/zinc/05/20/74/347052074.db2.gz NGYGHELSAPUXHN-GFCCVEGCSA-N 0 0 281.356 2.755 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2ccc([N+](=O)[O-])c(N)c2F)O1 ZINC000596473004 349911025 /nfs/dbraw/zinc/91/10/25/349911025.db2.gz DQTYEZZOFFMKHF-RKDXNWHRSA-N 0 0 283.303 2.686 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000075062606 347052809 /nfs/dbraw/zinc/05/28/09/347052809.db2.gz UBKCPYNZMNZHBV-LBPRGKRZSA-N 0 0 256.277 2.817 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)(C)C ZINC000075063313 347052814 /nfs/dbraw/zinc/05/28/14/347052814.db2.gz TURNKCHVPYQLQG-LBPRGKRZSA-N 0 0 297.311 2.976 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000596473476 349911230 /nfs/dbraw/zinc/91/12/30/349911230.db2.gz IDZIZVOCZNKCIR-SDDRHHMPSA-N 0 0 278.356 2.968 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@@H](C)O2)c1 ZINC000596474553 349911795 /nfs/dbraw/zinc/91/17/95/349911795.db2.gz MCWLMDXUTUOIQS-ZYHUDNBSSA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)O1 ZINC000596475917 349912347 /nfs/dbraw/zinc/91/23/47/349912347.db2.gz VWCDEJUMIAEYSR-MNOVXSKESA-N 0 0 291.351 2.932 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H](CCF)C1 ZINC000596477492 349913034 /nfs/dbraw/zinc/91/30/34/349913034.db2.gz DIUWGKFOKXBTKH-LLVKDONJSA-N 0 0 284.335 2.696 20 5 CFBDRN C[C@]1(CCNc2ccc([N+](=O)[O-])nc2)CC1(F)F ZINC000596476846 349913134 /nfs/dbraw/zinc/91/31/34/349913134.db2.gz UGYHMAJLDMZRLJ-JTQLQIEISA-N 0 0 257.240 2.837 20 5 CFBDRN CC(C)CC[C@](C)(O)CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000075126108 347057523 /nfs/dbraw/zinc/05/75/23/347057523.db2.gz OPLWAXHURIEHRP-HNNXBMFYSA-N 0 0 294.351 2.512 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@@H](CCF)C2)nc2sccn21 ZINC000596480039 349914516 /nfs/dbraw/zinc/91/45/16/349914516.db2.gz YMDSRXNGJPMXHG-VIFPVBQESA-N 0 0 298.343 2.880 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1ccc([N+](=O)[O-])c3cccnc31)C2 ZINC000596481524 349915194 /nfs/dbraw/zinc/91/51/94/349915194.db2.gz BRILMGABJRPSFB-BZNIZROVSA-N 0 0 299.330 2.616 20 5 CFBDRN CN(Cc1cnc2ccccn12)Cc1ccccc1[N+](=O)[O-] ZINC000177264154 530016385 /nfs/dbraw/zinc/01/63/85/530016385.db2.gz FNEVCXUAEJTIAK-UHFFFAOYSA-N 0 0 296.330 2.875 20 5 CFBDRN CN(Cc1cnccn1)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000177245457 530017199 /nfs/dbraw/zinc/01/71/99/530017199.db2.gz IVOGELHJUMJOOO-UHFFFAOYSA-N 0 0 292.726 2.670 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1nc3ccccc3cc1[N+](=O)[O-])C2 ZINC000596480622 349915227 /nfs/dbraw/zinc/91/52/27/349915227.db2.gz NWUDOJXCOCVQML-LRDDRELGSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1c(Cl)cccc1[N+](=O)[O-])C2 ZINC000596481597 349915250 /nfs/dbraw/zinc/91/52/50/349915250.db2.gz CYSANGYSARKBSN-ZANVPECISA-N 0 0 282.727 2.721 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@H]2C[C@H]2C1 ZINC000596481367 349915307 /nfs/dbraw/zinc/91/53/07/349915307.db2.gz ASBSUOVYACHEHF-IUCAKERBSA-N 0 0 279.271 2.608 20 5 CFBDRN CCOCc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000075357349 347070645 /nfs/dbraw/zinc/07/06/45/347070645.db2.gz JTCYMTRDJIFUQC-UHFFFAOYSA-N 0 0 289.291 2.712 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000596485116 349916345 /nfs/dbraw/zinc/91/63/45/349916345.db2.gz SXXGCCVKOGQFGE-CYBMUJFWSA-N 0 0 263.297 2.905 20 5 CFBDRN CC(C)CN(C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000075432999 347076852 /nfs/dbraw/zinc/07/68/52/347076852.db2.gz VERRYVAVTFUNQV-UHFFFAOYSA-N 0 0 279.340 2.511 20 5 CFBDRN CC[C@@H](O)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000127269955 187293312 /nfs/dbraw/zinc/29/33/12/187293312.db2.gz IFIBPNAVICIQIA-GFCCVEGCSA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@H](O)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000127269763 187293355 /nfs/dbraw/zinc/29/33/55/187293355.db2.gz IFIBPNAVICIQIA-LBPRGKRZSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC(O)(C2CC2)C2CC2)c(Cl)c1 ZINC000596490514 349917851 /nfs/dbraw/zinc/91/78/51/349917851.db2.gz BERHUGCSCQXWQZ-UHFFFAOYSA-N 0 0 297.742 2.606 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000596496040 349919551 /nfs/dbraw/zinc/91/95/51/349919551.db2.gz MVQRNTRRZITZCK-GHMZBOCLSA-N 0 0 293.367 2.684 20 5 CFBDRN Cc1nc(N(C)C[C@H](C)c2nccs2)ccc1[N+](=O)[O-] ZINC000273232595 192082477 /nfs/dbraw/zinc/08/24/77/192082477.db2.gz WKPIYHLEIVVKGZ-VIFPVBQESA-N 0 0 292.364 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H](O)C12CCC2 ZINC000596500212 349921957 /nfs/dbraw/zinc/92/19/57/349921957.db2.gz AJCDMMQEWHDEKF-OLZOCXBDSA-N 0 0 262.309 2.619 20 5 CFBDRN Cn1ncc(C2CCC2)c1CNc1ccc([N+](=O)[O-])nc1 ZINC000596505442 349923537 /nfs/dbraw/zinc/92/35/37/349923537.db2.gz ZADDNGOQSAPRLR-UHFFFAOYSA-N 0 0 287.323 2.603 20 5 CFBDRN Cc1nc(N2CCC3(CCCC3=O)CC2)ccc1[N+](=O)[O-] ZINC000596507049 349923311 /nfs/dbraw/zinc/92/33/11/349923311.db2.gz ZFXAHQVTZVEBFQ-UHFFFAOYSA-N 0 0 289.335 2.638 20 5 CFBDRN CCSC1(CNc2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596510732 349924777 /nfs/dbraw/zinc/92/47/77/349924777.db2.gz GMBUKKMDKAHWBK-UHFFFAOYSA-N 0 0 287.772 2.763 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@@H]2C[C@@H]2C1 ZINC000596508564 349923814 /nfs/dbraw/zinc/92/38/14/349923814.db2.gz CTIJBCAVBJHYGJ-GHMZBOCLSA-N 0 0 269.304 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@@H]2C[C@@H]2C1 ZINC000596509227 349923834 /nfs/dbraw/zinc/92/38/34/349923834.db2.gz HKNWELOJIVWBBU-GHMZBOCLSA-N 0 0 269.304 2.989 20 5 CFBDRN Cc1csc([C@@H](C)CNc2ncc([N+](=O)[O-])c(C)n2)n1 ZINC000295099446 199345139 /nfs/dbraw/zinc/34/51/39/199345139.db2.gz TWRFMDXYAYYGEA-ZETCQYMHSA-N 0 0 293.352 2.674 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H](O)C12CCC2 ZINC000596512725 349926093 /nfs/dbraw/zinc/92/60/93/349926093.db2.gz SKZAGDVZEWPYPW-NEPJUHHUSA-N 0 0 262.309 2.619 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1C[C@H](O)C12CCC2 ZINC000596512727 349926147 /nfs/dbraw/zinc/92/61/47/349926147.db2.gz SKZAGDVZEWPYPW-RYUDHWBXSA-N 0 0 262.309 2.619 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000004077614 232172242 /nfs/dbraw/zinc/17/22/42/232172242.db2.gz GKHBCLMKQVHTTL-DTORHVGOSA-N 0 0 268.338 2.774 20 5 CFBDRN C[C@@H](O)C1(Nc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000596524430 349930086 /nfs/dbraw/zinc/93/00/86/349930086.db2.gz XSKCQEKTVICJMQ-SSDOTTSWSA-N 0 0 256.689 2.574 20 5 CFBDRN CC(C)(C)N1C[C@@H](CNc2sccc2[N+](=O)[O-])CC1=O ZINC000596519346 349928864 /nfs/dbraw/zinc/92/88/64/349928864.db2.gz INQHLVTWQNUNTE-SECBINFHSA-N 0 0 297.380 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@@H]3COC[C@H]3C2)c1 ZINC000596528975 349931209 /nfs/dbraw/zinc/93/12/09/349931209.db2.gz PENKODKKZIYPJP-CHWSQXEVSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@H]2COC[C@H]2C1 ZINC000596528162 349931224 /nfs/dbraw/zinc/93/12/24/349931224.db2.gz MEHBYFDVODIFLV-VHSXEESVSA-N 0 0 282.727 2.721 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000596529343 349931329 /nfs/dbraw/zinc/93/13/29/349931329.db2.gz RCQOILHBSRTFNO-LBPRGKRZSA-N 0 0 283.303 2.746 20 5 CFBDRN CS[C@@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000130108899 232204688 /nfs/dbraw/zinc/20/46/88/232204688.db2.gz CRAMXTRFMWXZJK-ZETCQYMHSA-N 0 0 272.301 2.814 20 5 CFBDRN CO[C@@H](CNc1c(Cl)cncc1[N+](=O)[O-])C1CCCC1 ZINC000596531320 349932986 /nfs/dbraw/zinc/93/29/86/349932986.db2.gz WMEUYWYGGXBTLW-LBPRGKRZSA-N 0 0 299.758 2.682 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC[C@H]4COC[C@H]4C3)ccnc21 ZINC000596530018 349931958 /nfs/dbraw/zinc/93/19/58/349931958.db2.gz SVUZAVGIJWXOOU-NWDGAFQWSA-N 0 0 299.330 2.616 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCCC[C@@H]1CC1CCC1 ZINC000596538549 349934726 /nfs/dbraw/zinc/93/47/26/349934726.db2.gz CYCNAURUGBXTCH-GFCCVEGCSA-N 0 0 278.356 2.877 20 5 CFBDRN CO[C@@H](CNc1c([N+](=O)[O-])c(C)nn1C)C1CCCCC1 ZINC000596543031 349936856 /nfs/dbraw/zinc/93/68/56/349936856.db2.gz SCTUGQRKEOAMHS-LBPRGKRZSA-N 0 0 296.371 2.644 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(C)nc2)cc([N+](=O)[O-])c1 ZINC000154645926 232226454 /nfs/dbraw/zinc/22/64/54/232226454.db2.gz FDIBBAVXNFGGCE-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2C)cn1 ZINC000154684907 232226643 /nfs/dbraw/zinc/22/66/43/232226643.db2.gz AWTMQQJRAHCSPK-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2C)cn1 ZINC000154768159 232227143 /nfs/dbraw/zinc/22/71/43/232227143.db2.gz WZBJGVIXZGEKBX-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@H]2CC2CCC2)nc1 ZINC000596542519 349936715 /nfs/dbraw/zinc/93/67/15/349936715.db2.gz KWPILCIWEDNMQS-LBPRGKRZSA-N 0 0 276.340 2.934 20 5 CFBDRN COCC(C)(C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000155217008 232229575 /nfs/dbraw/zinc/22/95/75/232229575.db2.gz ODYVUTAXDMPNAA-NSHDSACASA-N 0 0 294.351 2.753 20 5 CFBDRN C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1N)c1ccccc1 ZINC000157267397 232236640 /nfs/dbraw/zinc/23/66/40/232236640.db2.gz ORMQNJFSMXYYGB-JTQLQIEISA-N 0 0 285.303 2.668 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1ccnn1C ZINC000290720174 197798098 /nfs/dbraw/zinc/79/80/98/197798098.db2.gz YRHAFGAVKLMTAI-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN Cc1ccc(OCc2ccc(CO)cc2)c([N+](=O)[O-])c1 ZINC000168689330 232262477 /nfs/dbraw/zinc/26/24/77/232262477.db2.gz UBENKOFWWRQMIO-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000173595489 232277944 /nfs/dbraw/zinc/27/79/44/232277944.db2.gz TWUSHLOJUDRWJL-JTQLQIEISA-N 0 0 271.276 2.732 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2cccc([N+](=O)[O-])c2C)o1 ZINC000174172918 232289923 /nfs/dbraw/zinc/28/99/23/232289923.db2.gz ZXAMQDWAOFDAAK-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN Cc1cc(N(C)Cc2nnc(C)s2)c([N+](=O)[O-])s1 ZINC000596557380 349941143 /nfs/dbraw/zinc/94/11/43/349941143.db2.gz RIZGKGBOXMAZNM-UHFFFAOYSA-N 0 0 284.366 2.761 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000128264693 187361386 /nfs/dbraw/zinc/36/13/86/187361386.db2.gz FZXPEQFDVOZCSV-SNVBAGLBSA-N 0 0 263.297 2.859 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@@H]1CF ZINC000295557559 199562630 /nfs/dbraw/zinc/56/26/30/199562630.db2.gz JMYFUDQIHQFJON-SECBINFHSA-N 0 0 286.690 2.822 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1C=CCCC1 ZINC000177192237 232352711 /nfs/dbraw/zinc/35/27/11/232352711.db2.gz OOYPAGSAOSLXLC-GFCCVEGCSA-N 0 0 275.308 2.503 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccccn2)cc1[N+](=O)[O-] ZINC000177863748 232371543 /nfs/dbraw/zinc/37/15/43/232371543.db2.gz LOOCEZYGUWWTKC-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)on1 ZINC000177864549 232371813 /nfs/dbraw/zinc/37/18/13/232371813.db2.gz GSVBASNPNHOHJV-SNVBAGLBSA-N 0 0 289.291 2.691 20 5 CFBDRN Nc1c(C(=O)Nc2cccc3[nH]ccc32)cccc1[N+](=O)[O-] ZINC000178186513 232375504 /nfs/dbraw/zinc/37/55/04/232375504.db2.gz OYJJWBVHMQZTDE-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN Cc1cc(NC(=O)c2csc([N+](=O)[O-])c2)ccc1O ZINC000178965344 232392785 /nfs/dbraw/zinc/39/27/85/232392785.db2.gz UEJMVWAXCBVRAJ-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccccc2[N+](=O)[O-])cc1F ZINC000012487137 346230976 /nfs/dbraw/zinc/23/09/76/346230976.db2.gz UHIDJPWZONEAGY-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1N[C@H]1CCN(C(C)C)C1=O ZINC000085288446 179005183 /nfs/dbraw/zinc/00/51/83/179005183.db2.gz ZTJWPIGOYSLSDX-LBPRGKRZSA-N 0 0 291.351 2.633 20 5 CFBDRN CCC(=O)c1ccc(NCCOCCF)c([N+](=O)[O-])c1 ZINC000596571000 349944469 /nfs/dbraw/zinc/94/44/69/349944469.db2.gz WZIDBFMLWTWVFL-UHFFFAOYSA-N 0 0 284.287 2.586 20 5 CFBDRN Cc1ccccc1CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000007977235 346221450 /nfs/dbraw/zinc/22/14/50/346221450.db2.gz WFDDAWZNHMLOGV-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN O=C(CC1CCCC1)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000008175014 346222663 /nfs/dbraw/zinc/22/26/63/346222663.db2.gz GCJFHMYGYCVSLQ-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCCC[C@H]1C ZINC000009998256 346225189 /nfs/dbraw/zinc/22/51/89/346225189.db2.gz DRSWUJHOIOYMKJ-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN COc1ccc(C)cc1CCNc1ccc([N+](=O)[O-])cn1 ZINC000018062856 346241524 /nfs/dbraw/zinc/24/15/24/346241524.db2.gz HYMUPBSBYNJUPS-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1ccn2cc(C(=O)Nc3ccc([N+](=O)[O-])cc3)nc2c1 ZINC000019676930 346241806 /nfs/dbraw/zinc/24/18/06/346241806.db2.gz GDWNRKQETOCHPK-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CCCCNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000020898150 346244750 /nfs/dbraw/zinc/24/47/50/346244750.db2.gz XGIZAUDGWRPEIC-UHFFFAOYSA-N 0 0 279.340 2.703 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cccc(Cl)c1F ZINC000022325714 346248876 /nfs/dbraw/zinc/24/88/76/346248876.db2.gz ZEGZEUDYHKZBSP-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN COC(=O)c1cccc(Oc2ncccc2[N+](=O)[O-])c1 ZINC000022801267 346249871 /nfs/dbraw/zinc/24/98/71/346249871.db2.gz WGTXISAAZWMION-UHFFFAOYSA-N 0 0 274.232 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccc(N3CCCC3)nc2)nc1 ZINC000023618716 346253254 /nfs/dbraw/zinc/25/32/54/346253254.db2.gz PEIMGGCLISUMDS-UHFFFAOYSA-N 0 0 285.307 2.729 20 5 CFBDRN CCn1nccc1CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000596573853 349945387 /nfs/dbraw/zinc/94/53/87/349945387.db2.gz YFVPLXKSAHILSK-UHFFFAOYSA-N 0 0 290.323 2.740 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@H]1c1ccc(F)cc1 ZINC000026141976 346263462 /nfs/dbraw/zinc/26/34/62/346263462.db2.gz WBQVKTOZYBIACN-GFCCVEGCSA-N 0 0 290.298 2.809 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1ccc2c(c1)OCO2 ZINC000026760370 346266352 /nfs/dbraw/zinc/26/63/52/346266352.db2.gz OLYJLWHDJJEFSK-UHFFFAOYSA-N 0 0 286.287 2.978 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000027186022 346268671 /nfs/dbraw/zinc/26/86/71/346268671.db2.gz QLJMAVKMEWPMJI-SECBINFHSA-N 0 0 295.295 2.620 20 5 CFBDRN O=C(Cn1cnc([N+](=O)[O-])c1)C12CC3CC(CC(C3)C1)C2 ZINC000029210337 346279490 /nfs/dbraw/zinc/27/94/90/346279490.db2.gz QCNAOHFLLVWAPQ-UHFFFAOYSA-N 0 0 289.335 2.577 20 5 CFBDRN COC(=O)c1occc1COc1cc(C)ccc1[N+](=O)[O-] ZINC000029444232 346281366 /nfs/dbraw/zinc/28/13/66/346281366.db2.gz BOEWLYZJWJHURK-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000032031432 346299701 /nfs/dbraw/zinc/29/97/01/346299701.db2.gz XKVVQDULYDXEQR-DTWKUNHWSA-N 0 0 268.338 2.965 20 5 CFBDRN Cc1nn(C)c(SCc2ccccc2)c1[N+](=O)[O-] ZINC000034302381 346315698 /nfs/dbraw/zinc/31/56/98/346315698.db2.gz VMSVGXITZOPQKN-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1cccc(C(N)=O)c1 ZINC000042180362 346361970 /nfs/dbraw/zinc/36/19/70/346361970.db2.gz NTILBYLMFAWTKU-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN CCC[C@@H](C)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000043001074 346376801 /nfs/dbraw/zinc/37/68/01/346376801.db2.gz POEVGKSWSVRCDS-LLVKDONJSA-N 0 0 279.340 2.925 20 5 CFBDRN Cc1ccc(NC(=O)NCCc2ccco2)cc1[N+](=O)[O-] ZINC000043148374 346380000 /nfs/dbraw/zinc/38/00/00/346380000.db2.gz GLEOXVYQKUAHJD-UHFFFAOYSA-N 0 0 289.291 2.860 20 5 CFBDRN CCCc1noc(CNc2cc([N+](=O)[O-])ccc2OC)n1 ZINC000042627481 346369060 /nfs/dbraw/zinc/36/90/60/346369060.db2.gz MQUVXILUZKGOPX-UHFFFAOYSA-N 0 0 292.295 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@@H](C)C1 ZINC000045208972 346405233 /nfs/dbraw/zinc/40/52/33/346405233.db2.gz IYDPAGLPMKJJQC-MNOVXSKESA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1nc(CNC(=O)Nc2cccc([N+](=O)[O-])c2)cs1 ZINC000045344327 346407275 /nfs/dbraw/zinc/40/72/75/346407275.db2.gz QNKDDAWUJLECHZ-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN CCN(CCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000045488444 346410057 /nfs/dbraw/zinc/41/00/57/346410057.db2.gz JDPNCBFLRVXPEQ-UHFFFAOYSA-N 0 0 288.303 2.893 20 5 CFBDRN CCc1ncncc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000044333094 346391968 /nfs/dbraw/zinc/39/19/68/346391968.db2.gz ZRVVFYQOMSCZSF-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CCCCN(CC)C(=O)c1csc([N+](=O)[O-])c1 ZINC000044410653 346392764 /nfs/dbraw/zinc/39/27/64/346392764.db2.gz LQNNTKXMVZEGRA-UHFFFAOYSA-N 0 0 256.327 2.919 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000044484694 346393995 /nfs/dbraw/zinc/39/39/95/346393995.db2.gz LNGWFYAAEATVPH-WPRPVWTQSA-N 0 0 268.338 2.965 20 5 CFBDRN Cc1c(C(=O)N(C)CC(C)C)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000045528419 346410599 /nfs/dbraw/zinc/41/05/99/346410599.db2.gz PRQMUELPWDTEBO-UHFFFAOYSA-N 0 0 295.295 2.539 20 5 CFBDRN Cc1cc(C)c(CNc2ccc([N+](=O)[O-])cc2F)c(=O)[nH]1 ZINC000075667374 347091244 /nfs/dbraw/zinc/09/12/44/347091244.db2.gz GSLYHXIUQWBCJD-UHFFFAOYSA-N 0 0 291.282 2.651 20 5 CFBDRN CC(C)c1cccc(NC(=O)Cn2nccc2[N+](=O)[O-])c1 ZINC000047170022 346445716 /nfs/dbraw/zinc/44/57/16/346445716.db2.gz GVXYNWWJQCDPAY-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cn2ccccc2n1 ZINC000047220271 346447453 /nfs/dbraw/zinc/44/74/53/346447453.db2.gz DRIBVCOMIMUESH-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@]23C[C@@H]2CCC3)cc1[N+](=O)[O-] ZINC000596626271 349961078 /nfs/dbraw/zinc/96/10/78/349961078.db2.gz KOVFUDYFWIHZMY-HZMBPMFUSA-N 0 0 275.308 2.967 20 5 CFBDRN Cc1c(NC(=O)N2CC3CC2(C)C3)cccc1[N+](=O)[O-] ZINC000596635811 349963567 /nfs/dbraw/zinc/96/35/67/349963567.db2.gz PCLXISKJCAXITR-UHFFFAOYSA-N 0 0 275.308 2.919 20 5 CFBDRN CCCSCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000048637654 346475339 /nfs/dbraw/zinc/47/53/39/346475339.db2.gz CJYYXYKHUIVRTJ-UHFFFAOYSA-N 0 0 268.338 2.985 20 5 CFBDRN CCCOc1ccccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051863359 346507083 /nfs/dbraw/zinc/50/70/83/346507083.db2.gz HOERQQGVTCRYRP-UHFFFAOYSA-N 0 0 289.291 2.964 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c(C)n1 ZINC000052578791 346524473 /nfs/dbraw/zinc/52/44/73/346524473.db2.gz WUAXYJSDLPZLMI-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CCNC(=O)c1cccc(CNc2ccccc2[N+](=O)[O-])c1 ZINC000052281447 346520025 /nfs/dbraw/zinc/52/00/25/346520025.db2.gz YBZBKHCZJINIOO-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN CCc1cc(Oc2cc([N+](=O)[O-])ccc2C)n2ncnc2n1 ZINC000052361329 346520923 /nfs/dbraw/zinc/52/09/23/346520923.db2.gz PXUKIKGTNHHYFZ-UHFFFAOYSA-N 0 0 299.290 2.696 20 5 CFBDRN COCc1ccccc1-c1cc([N+](=O)[O-])ccc1CCO ZINC000596647582 349967506 /nfs/dbraw/zinc/96/75/06/349967506.db2.gz QMPXGTDUCDBEGA-UHFFFAOYSA-N 0 0 287.315 2.943 20 5 CFBDRN Cc1ncc(-c2cc([N+](=O)[O-])ccc2CCO)s1 ZINC000596647875 349967624 /nfs/dbraw/zinc/96/76/24/349967624.db2.gz XQLWGDQFURXZLG-UHFFFAOYSA-N 0 0 264.306 2.562 20 5 CFBDRN CCCC(C)(C)NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000050498461 346495619 /nfs/dbraw/zinc/49/56/19/346495619.db2.gz PCGPXQBTADJKHB-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cc2F)[C@H]2CCO[C@@H]21 ZINC000085637105 179110016 /nfs/dbraw/zinc/11/00/16/179110016.db2.gz CDKFECSHYGXVDT-WQAKAFBOSA-N 0 0 280.299 2.959 20 5 CFBDRN CC(C)(C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052603882 346525200 /nfs/dbraw/zinc/52/52/00/346525200.db2.gz WMQBVPNITHZDAQ-UHFFFAOYSA-N 0 0 261.281 2.604 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCN(Cc2ccco2)CC1 ZINC000053215917 346540857 /nfs/dbraw/zinc/54/08/57/346540857.db2.gz NCPVIXXIJPOVNY-UHFFFAOYSA-N 0 0 287.319 2.510 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000053437620 346547943 /nfs/dbraw/zinc/54/79/43/346547943.db2.gz MONZBWXMCNLBKE-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000055420312 346588378 /nfs/dbraw/zinc/58/83/78/346588378.db2.gz CUFRGFZRPVLLFX-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN Cc1ccsc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797266 346596905 /nfs/dbraw/zinc/59/69/05/346596905.db2.gz IXDWJYCFMKVMMO-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c(C)c1 ZINC000056343150 346607324 /nfs/dbraw/zinc/60/73/24/346607324.db2.gz CPKMTYRNPPVQCH-UHFFFAOYSA-N 0 0 289.291 2.882 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1cccc(N2CCCC2)c1 ZINC000054389071 346568480 /nfs/dbraw/zinc/56/84/80/346568480.db2.gz BVCWYYZPIUIJOD-UHFFFAOYSA-N 0 0 287.323 2.672 20 5 CFBDRN CN(C(=O)C[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000058520360 346645727 /nfs/dbraw/zinc/64/57/27/346645727.db2.gz ZBVSTESSABXUOI-NSHDSACASA-N 0 0 260.293 2.914 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCc2ccc(F)cc21 ZINC000058740782 346649290 /nfs/dbraw/zinc/64/92/90/346649290.db2.gz YDQQSEBJMFVHPY-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)COc1ccc([N+](=O)[O-])cc1F ZINC000059012227 346655397 /nfs/dbraw/zinc/65/53/97/346655397.db2.gz BCACHRPBXGNOOM-SNVBAGLBSA-N 0 0 296.298 2.514 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(SCCCO)sc2c1 ZINC000059880448 346658041 /nfs/dbraw/zinc/65/80/41/346658041.db2.gz ADJJZJOTDXTYGF-UHFFFAOYSA-N 0 0 270.335 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCNc2ccccc2)nc1 ZINC000060362859 346663616 /nfs/dbraw/zinc/66/36/16/346663616.db2.gz OAPVKBCTRXPGRF-UHFFFAOYSA-N 0 0 272.308 2.904 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](Nc3ccccc3)C2)nc1 ZINC000060369832 346663736 /nfs/dbraw/zinc/66/37/36/346663736.db2.gz NMYVIHVVZDKZEF-ZDUSSCGKSA-N 0 0 284.319 2.681 20 5 CFBDRN C[C@H]1CCN(CCn2ccc([N+](=O)[O-])n2)c2ccccc21 ZINC000176209248 136567058 /nfs/dbraw/zinc/56/70/58/136567058.db2.gz XDSOMQWTXYZRNO-LBPRGKRZSA-N 0 0 286.335 2.805 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC1 ZINC000060792996 346671765 /nfs/dbraw/zinc/67/17/65/346671765.db2.gz MCYNRRYHQGAKJR-UHFFFAOYSA-N 0 0 262.290 2.655 20 5 CFBDRN O=C1COc2cc(OCc3cccc([N+](=O)[O-])c3)ccc21 ZINC000057523974 346630779 /nfs/dbraw/zinc/63/07/79/346630779.db2.gz ORKGYEVISXOKOZ-UHFFFAOYSA-N 0 0 285.255 2.749 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000064088538 346714885 /nfs/dbraw/zinc/71/48/85/346714885.db2.gz SJHKPBOKJABLHF-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc2c(c1)COC2 ZINC000064384344 346719531 /nfs/dbraw/zinc/71/95/31/346719531.db2.gz MGXZQEUYLYMLAV-UHFFFAOYSA-N 0 0 284.271 2.877 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])s1)C(C1CC1)C1CC1 ZINC000064974985 346728978 /nfs/dbraw/zinc/72/89/78/346728978.db2.gz QYDSRAUKNXCQPC-UHFFFAOYSA-N 0 0 280.349 2.917 20 5 CFBDRN COc1cccc(CSc2c([N+](=O)[O-])ncn2C)c1 ZINC000064550174 346722528 /nfs/dbraw/zinc/72/25/28/346722528.db2.gz PGFIZTFOALPTGR-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)C1CC1 ZINC000064724104 346725228 /nfs/dbraw/zinc/72/52/28/346725228.db2.gz IDKLBFQKRKQKSC-QMMMGPOBSA-N 0 0 298.726 2.636 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000062927491 346694632 /nfs/dbraw/zinc/69/46/32/346694632.db2.gz OAOVSMNFKAETOA-NSHDSACASA-N 0 0 262.309 2.857 20 5 CFBDRN CCOc1cccc([C@H](C)Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000063282236 346698649 /nfs/dbraw/zinc/69/86/49/346698649.db2.gz YQFQOKTWZCUQLF-JTQLQIEISA-N 0 0 290.323 2.900 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000063447016 346700306 /nfs/dbraw/zinc/70/03/06/346700306.db2.gz ULSVZVNOLMBUBN-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN Cc1ccc(C)c(Cn2cc([N+](=O)[O-])c(C)cc2=O)c1 ZINC000128441408 187371321 /nfs/dbraw/zinc/37/13/21/187371321.db2.gz BGMIKEFNXQFXRY-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN CC(C)COC1CCN(c2ccncc2[N+](=O)[O-])CC1 ZINC000066810057 346780778 /nfs/dbraw/zinc/78/07/78/346780778.db2.gz LXSUGAVMYUROIL-UHFFFAOYSA-N 0 0 279.340 2.631 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1cccnc1 ZINC000065722358 346739031 /nfs/dbraw/zinc/73/90/31/346739031.db2.gz XWZFZHHIFYFHPR-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000075893952 347106951 /nfs/dbraw/zinc/10/69/51/347106951.db2.gz GWNFEADZVIIBFQ-DTORHVGOSA-N 0 0 272.395 2.982 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2C[C@H](O)Cc3ccccc32)c1 ZINC000278837673 136645353 /nfs/dbraw/zinc/64/53/53/136645353.db2.gz IXVGDKAUUNQVPR-OAHLLOKOSA-N 0 0 284.315 2.518 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@]1(O)CCCc2ccccc21 ZINC000066859867 346782591 /nfs/dbraw/zinc/78/25/91/346782591.db2.gz RMOPOLZCHKCDRZ-INIZCTEOSA-N 0 0 299.330 2.626 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C(C)C ZINC000067022556 346789502 /nfs/dbraw/zinc/78/95/02/346789502.db2.gz IYIBZEBTTIBMCJ-SNVBAGLBSA-N 0 0 250.298 2.678 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000067509522 346806690 /nfs/dbraw/zinc/80/66/90/346806690.db2.gz RDIKWKFPQGHWIK-LLVKDONJSA-N 0 0 279.340 2.559 20 5 CFBDRN CC[C@@H]1CN(c2ccc3cc([N+](=O)[O-])ccc3n2)CCO1 ZINC000076605833 347144670 /nfs/dbraw/zinc/14/46/70/347144670.db2.gz XBTWRWFTGASQST-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(N[C@@H](Cc1ccccc1)C1CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000076630022 347146127 /nfs/dbraw/zinc/14/61/27/347146127.db2.gz COYQMIHQBMWFRG-AWEZNQCLSA-N 0 0 299.330 2.674 20 5 CFBDRN Cc1cc(NC[C@H](O)c2ccco2)ccc1[N+](=O)[O-] ZINC000076685326 347148384 /nfs/dbraw/zinc/14/83/84/347148384.db2.gz OBRZTAWYZIODCD-LBPRGKRZSA-N 0 0 262.265 2.642 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC=C(c2ccc(O)cc2)CC1 ZINC000076920323 347159932 /nfs/dbraw/zinc/15/99/32/347159932.db2.gz XCTJJRGFRVFRED-UHFFFAOYSA-N 0 0 297.314 2.989 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000076291032 347133116 /nfs/dbraw/zinc/13/31/16/347133116.db2.gz HJBJJCULFQRCIP-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077445060 347192826 /nfs/dbraw/zinc/19/28/26/347192826.db2.gz NAXCWQXXKIBTJH-QMMMGPOBSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)[C@H](C)C1 ZINC000077544591 347199033 /nfs/dbraw/zinc/19/90/33/347199033.db2.gz NLZPLWGHULXIDB-VXGBXAGGSA-N 0 0 291.351 2.925 20 5 CFBDRN CC(C)COC1CCN(c2ncccc2[N+](=O)[O-])CC1 ZINC000077307460 347185368 /nfs/dbraw/zinc/18/53/68/347185368.db2.gz AKZOHIDGBXMISB-UHFFFAOYSA-N 0 0 279.340 2.631 20 5 CFBDRN CC1(C)[C@@H]2CN(c3cccc(F)c3[N+](=O)[O-])C[C@@H]21 ZINC000078411378 347250261 /nfs/dbraw/zinc/25/02/61/347250261.db2.gz NNBVILVTLNSDOY-DTORHVGOSA-N 0 0 250.273 2.826 20 5 CFBDRN CC[C@@H](c1ccncc1)N(C)c1ncccc1[N+](=O)[O-] ZINC000077989645 347223171 /nfs/dbraw/zinc/22/31/71/347223171.db2.gz KAMWGZYDXLETJA-LBPRGKRZSA-N 0 0 272.308 2.972 20 5 CFBDRN COc1ccccc1[C@@H]1CCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000078021508 347225135 /nfs/dbraw/zinc/22/51/35/347225135.db2.gz VEYFTMBOCQINAW-GFCCVEGCSA-N 0 0 299.330 2.992 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000078027698 347226018 /nfs/dbraw/zinc/22/60/18/347226018.db2.gz TULSSWLSJXDIAV-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1ncc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])s1 ZINC000078148847 347230836 /nfs/dbraw/zinc/23/08/36/347230836.db2.gz MQMDDDIAYCBDGS-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN C[C@H](Nc1cccc(F)c1[N+](=O)[O-])c1cnn(C)c1 ZINC000078306543 347240070 /nfs/dbraw/zinc/24/00/70/347240070.db2.gz MAWPUNRNXZUTHA-QMMMGPOBSA-N 0 0 264.260 2.641 20 5 CFBDRN CC(C)(C)OC(=O)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000078305874 347240296 /nfs/dbraw/zinc/24/02/96/347240296.db2.gz RROURKRBSTUVOH-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN Cc1cccc2c(CCNc3c([N+](=O)[O-])ncn3C)c[nH]c21 ZINC000078335465 347242706 /nfs/dbraw/zinc/24/27/06/347242706.db2.gz CUQXLEYNNJMRCR-UHFFFAOYSA-N 0 0 299.334 2.773 20 5 CFBDRN Cc1cc(NCCCOC2CCOCC2)ccc1[N+](=O)[O-] ZINC000078338578 347243384 /nfs/dbraw/zinc/24/33/84/347243384.db2.gz FZTIHHUJGSNBEP-UHFFFAOYSA-N 0 0 294.351 2.901 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1ccccc1[N+](=O)[O-] ZINC000078339347 347243777 /nfs/dbraw/zinc/24/37/77/347243777.db2.gz MZVRRZRBWGXXNI-JTQLQIEISA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1ccc([N+](=O)[O-])cc1 ZINC000078339866 347243798 /nfs/dbraw/zinc/24/37/98/347243798.db2.gz MIHDYNDMGPWPCP-SNVBAGLBSA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1cccc(F)c1[N+](=O)[O-] ZINC000078339133 347243805 /nfs/dbraw/zinc/24/38/05/347243805.db2.gz HJQLIKLSPHOYBX-SECBINFHSA-N 0 0 270.304 2.943 20 5 CFBDRN COC[C@H](Nc1ccc(C(C)=O)cc1[N+](=O)[O-])C(C)C ZINC000078358944 347245847 /nfs/dbraw/zinc/24/58/47/347245847.db2.gz YOESCRUNHVKYEW-ZDUSSCGKSA-N 0 0 280.324 2.880 20 5 CFBDRN Cc1ccccc1[C@H]1CN(c2ccc([N+](=O)[O-])nc2)CCO1 ZINC000078367179 347246763 /nfs/dbraw/zinc/24/67/63/347246763.db2.gz NSAYLJVFIHSZSY-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN CCn1nc(C)c(Nc2ccc([N+](=O)[O-])cn2)c1C ZINC000078452401 347253694 /nfs/dbraw/zinc/25/36/94/347253694.db2.gz VODYETDTVCYERG-UHFFFAOYSA-N 0 0 261.285 2.567 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H](C)S1 ZINC000078480575 347255973 /nfs/dbraw/zinc/25/59/73/347255973.db2.gz QUZMHOWMZJUUQB-OCAPTIKFSA-N 0 0 287.772 2.973 20 5 CFBDRN CC[C@@H]1CN(c2ccccc2[N+](=O)[O-])CCS1 ZINC000078590193 347264499 /nfs/dbraw/zinc/26/44/99/347264499.db2.gz OATUQGOGXJBHMW-SNVBAGLBSA-N 0 0 252.339 2.927 20 5 CFBDRN Cc1cnc(Oc2cccc(-n3cncn3)c2)c([N+](=O)[O-])c1 ZINC000078665341 347268989 /nfs/dbraw/zinc/26/89/89/347268989.db2.gz RFRGMTXWQQISOA-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN Cc1cnc(N2CCS[C@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000078671080 347269492 /nfs/dbraw/zinc/26/94/92/347269492.db2.gz XONQNMSZKSHSKE-LBPRGKRZSA-N 0 0 281.381 2.876 20 5 CFBDRN Cc1nc2cc(Nc3ccc([N+](=O)[O-])cn3)ccc2n1C ZINC000078674635 347269729 /nfs/dbraw/zinc/26/97/29/347269729.db2.gz ZJZAEBWNYAZGDX-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCOc2ccccc2C1 ZINC000080438602 347358576 /nfs/dbraw/zinc/35/85/76/347358576.db2.gz PQXKWEFJHVMOKI-UHFFFAOYSA-N 0 0 298.298 2.630 20 5 CFBDRN CC1CC(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000080056033 347341469 /nfs/dbraw/zinc/34/14/69/347341469.db2.gz UNSLSBODSVRWSM-UHFFFAOYSA-N 0 0 268.700 2.777 20 5 CFBDRN COCCn1nc(C)c(Nc2ccccc2[N+](=O)[O-])c1C ZINC000080249072 347351092 /nfs/dbraw/zinc/35/10/92/347351092.db2.gz JFANWIZSRHVIRN-UHFFFAOYSA-N 0 0 290.323 2.798 20 5 CFBDRN C[C@@]1(C(=O)[O-])CCC[N@@H+]1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000079488618 347306491 /nfs/dbraw/zinc/30/64/91/347306491.db2.gz MZFBIAZKVMNZPK-ZDUSSCGKSA-N 0 0 298.726 2.687 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000079630838 347317307 /nfs/dbraw/zinc/31/73/07/347317307.db2.gz IPDWMISQFDTNOT-LBPRGKRZSA-N 0 0 294.376 2.842 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000079657490 347319663 /nfs/dbraw/zinc/31/96/63/347319663.db2.gz SUWUHSSLZGIUQZ-DGCLKSJQSA-N 0 0 262.309 2.647 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCc2ccco2)c1 ZINC000080534278 347364760 /nfs/dbraw/zinc/36/47/60/347364760.db2.gz LVFVDKNMDOMIFY-UHFFFAOYSA-N 0 0 280.255 2.990 20 5 CFBDRN Cc1cc(N[C@H](CCO)c2ccccc2)ncc1[N+](=O)[O-] ZINC000080605772 347368775 /nfs/dbraw/zinc/36/87/75/347368775.db2.gz PIDKIXOOPVRXKD-CYBMUJFWSA-N 0 0 287.319 2.834 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@H]2CCC[C@@H](C1)C2O ZINC000080641608 347371526 /nfs/dbraw/zinc/37/15/26/347371526.db2.gz SEAOYKSQWTXICR-ODOQXGPZSA-N 0 0 276.336 2.500 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000080638608 347371843 /nfs/dbraw/zinc/37/18/43/347371843.db2.gz IMXNQSZYVPCDBN-MFKMUULPSA-N 0 0 252.314 2.722 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC(C)(C)C2)c1 ZINC000080652651 347372639 /nfs/dbraw/zinc/37/26/39/347372639.db2.gz IKOTYJPXFMJJSI-UHFFFAOYSA-N 0 0 268.288 2.979 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC12CCC2 ZINC000080780503 347380223 /nfs/dbraw/zinc/38/02/23/347380223.db2.gz PABAISQUSPZLQD-UHFFFAOYSA-N 0 0 261.281 2.755 20 5 CFBDRN CNc1ccc(C(=O)N2CCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000081352550 347414832 /nfs/dbraw/zinc/41/48/32/347414832.db2.gz KEXAIIHWNIREIN-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN Cc1n[nH]c(C(=O)NCCCCC2CCCC2)c1[N+](=O)[O-] ZINC000081360554 347414889 /nfs/dbraw/zinc/41/48/89/347414889.db2.gz URPAJAPCTCEJOW-UHFFFAOYSA-N 0 0 294.355 2.717 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000080944186 347386251 /nfs/dbraw/zinc/38/62/51/347386251.db2.gz OAOUIACXCHVMCQ-UHFFFAOYSA-N 0 0 293.254 2.615 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@@H](C)S[C@H](C)C2)cc1[N+](=O)[O-] ZINC000080953329 347387779 /nfs/dbraw/zinc/38/77/79/347387779.db2.gz YEWBQDANBVTBTM-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@@H](C)S1 ZINC000080957373 347389054 /nfs/dbraw/zinc/38/90/54/347389054.db2.gz MTNAXEVNUVAWIQ-NXEZZACHSA-N 0 0 280.349 2.561 20 5 CFBDRN CCOc1ccc(COc2cccc([N+](=O)[O-])c2)nc1 ZINC000083202569 347449670 /nfs/dbraw/zinc/44/96/70/347449670.db2.gz WJLHGKYBPXUONV-UHFFFAOYSA-N 0 0 274.276 2.968 20 5 CFBDRN Cc1nc(C)c(NC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000081562406 347426333 /nfs/dbraw/zinc/42/63/33/347426333.db2.gz DVXKPPAVOHQLLV-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CCOc1ccc(COc2ccc([N+](=O)[O-])cc2)nc1 ZINC000083202561 347449481 /nfs/dbraw/zinc/44/94/81/347449481.db2.gz LUUFMZLFRMVPSN-UHFFFAOYSA-N 0 0 274.276 2.968 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)Cc1cn[nH]c1 ZINC000085825643 347483136 /nfs/dbraw/zinc/48/31/36/347483136.db2.gz RQZPCHYEJQYDTK-SNVBAGLBSA-N 0 0 260.297 2.511 20 5 CFBDRN COCc1nc(CNc2cccc([N+](=O)[O-])c2)cs1 ZINC000086188165 347483957 /nfs/dbraw/zinc/48/39/57/347483957.db2.gz HAHSURMZMOSMGZ-UHFFFAOYSA-N 0 0 279.321 2.810 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000086702818 347488325 /nfs/dbraw/zinc/48/83/25/347488325.db2.gz ODJGIPQXIMTLSD-LBPRGKRZSA-N 0 0 252.314 2.986 20 5 CFBDRN CC1(C)CCC(O)(CNc2ncccc2[N+](=O)[O-])CC1 ZINC000087067079 347491490 /nfs/dbraw/zinc/49/14/90/347491490.db2.gz HKLCRFKULQREQN-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1(O)CCC(C)CC1 ZINC000087066602 347491600 /nfs/dbraw/zinc/49/16/00/347491600.db2.gz MSMUTJLSJWNFRO-UHFFFAOYSA-N 0 0 279.340 2.651 20 5 CFBDRN CCCCCOCC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000102833105 185793443 /nfs/dbraw/zinc/79/34/43/185793443.db2.gz MVMPFQNJNDJOEY-UHFFFAOYSA-N 0 0 280.324 2.764 20 5 CFBDRN Cc1noc(C)c1CCCNc1ncccc1[N+](=O)[O-] ZINC000084928285 347476543 /nfs/dbraw/zinc/47/65/43/347476543.db2.gz ZCPGICHGCRDUQL-UHFFFAOYSA-N 0 0 276.296 2.639 20 5 CFBDRN CCO[C@@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000088703395 347507025 /nfs/dbraw/zinc/50/70/25/347507025.db2.gz MHEBDDDQGQNXCO-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](O)c2ccco2)c1 ZINC000088700670 347507131 /nfs/dbraw/zinc/50/71/31/347507131.db2.gz MUAAUNPZUXOAJG-LBPRGKRZSA-N 0 0 262.265 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000088698432 347507246 /nfs/dbraw/zinc/50/72/46/347507246.db2.gz ODBGEWDEVMBLCY-PHIMTYICSA-N 0 0 250.298 2.517 20 5 CFBDRN CCOC[C@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000088727043 347508668 /nfs/dbraw/zinc/50/86/68/347508668.db2.gz MBBFIDPFLILMJC-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN CCc1noc(CC)c1CNc1ccncc1[N+](=O)[O-] ZINC000088742064 347509293 /nfs/dbraw/zinc/50/92/93/347509293.db2.gz BBCZEUNSDYLMIL-UHFFFAOYSA-N 0 0 276.296 2.715 20 5 CFBDRN Cc1ccnc(N(C)Cc2ccc(O)cc2)c1[N+](=O)[O-] ZINC000089635054 347523970 /nfs/dbraw/zinc/52/39/70/347523970.db2.gz NVLGGGIUZWBJRH-UHFFFAOYSA-N 0 0 273.292 2.640 20 5 CFBDRN CN(Cc1ccc(O)cc1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000089635160 347524381 /nfs/dbraw/zinc/52/43/81/347524381.db2.gz YACREXGFRXJRHQ-UHFFFAOYSA-N 0 0 293.710 2.985 20 5 CFBDRN O=[N+]([O-])c1cccnc1Oc1ccc2nccnc2c1 ZINC000089647756 347525164 /nfs/dbraw/zinc/52/51/64/347525164.db2.gz UUSGMTUBIUBLOK-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN Cc1cnc(NCC2(O)CCC(C)CC2)c([N+](=O)[O-])c1 ZINC000090692469 347554272 /nfs/dbraw/zinc/55/42/72/347554272.db2.gz DRZGMGFMSYCEIS-UHFFFAOYSA-N 0 0 279.340 2.651 20 5 CFBDRN CC[C@@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000091929142 347603805 /nfs/dbraw/zinc/60/38/05/347603805.db2.gz IKGZAGFAKOOQLK-SNVBAGLBSA-N 0 0 250.298 2.532 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1cc(OC)c([N+](=O)[O-])cc1F ZINC000091458137 347587756 /nfs/dbraw/zinc/58/77/56/347587756.db2.gz LRQUZJKFLKWATN-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(CC(F)F)c2)cc1 ZINC000091483551 347590116 /nfs/dbraw/zinc/59/01/16/347590116.db2.gz WFPJFXMUOUZKJF-UHFFFAOYSA-N 0 0 268.223 2.800 20 5 CFBDRN CSC[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1 ZINC000091486169 347590464 /nfs/dbraw/zinc/59/04/64/347590464.db2.gz OLODDKRDKDZLGJ-GFCCVEGCSA-N 0 0 252.339 2.927 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000091843118 347601233 /nfs/dbraw/zinc/60/12/33/347601233.db2.gz WFCPTLCGCNUIKF-UHFFFAOYSA-N 0 0 299.330 2.697 20 5 CFBDRN CC[C@H](NC(=O)[C@H]1CC[C@@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000090466936 347550844 /nfs/dbraw/zinc/55/08/44/347550844.db2.gz CNNMJTDYLZDSGZ-DDTOSNHZSA-N 0 0 292.335 2.730 20 5 CFBDRN Cc1nn(Cc2cc(Cl)ccn2)c(C)c1[N+](=O)[O-] ZINC000092416943 347624076 /nfs/dbraw/zinc/62/40/76/347624076.db2.gz FGJFOFWUOKFSHU-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000092443827 347624615 /nfs/dbraw/zinc/62/46/15/347624615.db2.gz OQXSRUIJELTMQQ-LOWVWBTDSA-N 0 0 292.335 2.505 20 5 CFBDRN CCc1nc(Sc2cc(OC)cc(F)c2[N+](=O)[O-])n[nH]1 ZINC000092584935 347633824 /nfs/dbraw/zinc/63/38/24/347633824.db2.gz RVQPKCPGZFBAOK-UHFFFAOYSA-N 0 0 298.299 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cn[nH]c2)cc1OC(F)F ZINC000092606099 347634586 /nfs/dbraw/zinc/63/45/86/347634586.db2.gz RGHBIQDUMRAXCB-UHFFFAOYSA-N 0 0 270.195 2.663 20 5 CFBDRN CCOc1cc(N2CCOC[C@@H]2CC)ccc1[N+](=O)[O-] ZINC000092655039 347637378 /nfs/dbraw/zinc/63/73/78/347637378.db2.gz VOFYFLUABDPTKZ-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1ccn(CC(F)F)n1 ZINC000092665748 347638240 /nfs/dbraw/zinc/63/82/40/347638240.db2.gz NSARIPTZFSTQGG-UHFFFAOYSA-N 0 0 283.238 2.503 20 5 CFBDRN CC[C@@](C)(CO)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092667921 347638881 /nfs/dbraw/zinc/63/88/81/347638881.db2.gz SOTAIWWOQVPAQZ-LBPRGKRZSA-N 0 0 290.266 2.769 20 5 CFBDRN CCOc1cc(NCC(C)(C)C(=O)OC)ccc1[N+](=O)[O-] ZINC000092677409 347639592 /nfs/dbraw/zinc/63/95/92/347639592.db2.gz XORWXFLYQOMDJU-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN Cc1cc(N[C@@H]2CCCCC[C@H]2C(N)=O)ccc1[N+](=O)[O-] ZINC000092686784 347641093 /nfs/dbraw/zinc/64/10/93/347641093.db2.gz NIWSVTLKXZTOJF-CHWSQXEVSA-N 0 0 291.351 2.749 20 5 CFBDRN CCc1nn(C)cc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000092856064 347649328 /nfs/dbraw/zinc/64/93/28/347649328.db2.gz YZCACIGCTJNCOY-LLVKDONJSA-N 0 0 288.351 2.742 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000098122503 347713004 /nfs/dbraw/zinc/71/30/04/347713004.db2.gz OXUBSQZMPZWDRA-QWRGUYRKSA-N 0 0 279.340 2.829 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000101998445 347716276 /nfs/dbraw/zinc/71/62/76/347716276.db2.gz ORMPAQYGYXPHMP-QWRGUYRKSA-N 0 0 279.340 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CC(F)F)c2)cc1 ZINC000113775343 347768773 /nfs/dbraw/zinc/76/87/73/347768773.db2.gz DMNSQKFFNMGUIH-UHFFFAOYSA-N 0 0 253.208 2.723 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCSCC(C)C ZINC000119196309 347800848 /nfs/dbraw/zinc/80/08/48/347800848.db2.gz PUOZTGCJZUOSSN-UHFFFAOYSA-N 0 0 257.359 2.879 20 5 CFBDRN C[C@@H](NC(=O)NCCc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000120559405 347807538 /nfs/dbraw/zinc/80/75/38/347807538.db2.gz OLPSRGDNHDONRV-LLVKDONJSA-N 0 0 293.367 2.871 20 5 CFBDRN O=C(NC1CC=CC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000126195296 347832102 /nfs/dbraw/zinc/83/21/02/347832102.db2.gz KCDCDEILWHGUID-UHFFFAOYSA-N 0 0 271.276 2.525 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCCS2)c([N+](=O)[O-])c1 ZINC000122082456 347814007 /nfs/dbraw/zinc/81/40/07/347814007.db2.gz NWLSJKAIUFCPSM-VIFPVBQESA-N 0 0 253.327 2.606 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCCC1CC1 ZINC000123045424 347817984 /nfs/dbraw/zinc/81/79/84/347817984.db2.gz ZPXNUINQZXJUOL-UHFFFAOYSA-N 0 0 279.296 2.525 20 5 CFBDRN CN(Cc1ccsc1)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124569881 347825140 /nfs/dbraw/zinc/82/51/40/347825140.db2.gz IHIMLAACGJDVTA-UHFFFAOYSA-N 0 0 292.320 2.715 20 5 CFBDRN Cc1cccc2c1CCN2C(=O)c1ccc([N+](=O)[O-])o1 ZINC000125796596 347829582 /nfs/dbraw/zinc/82/95/82/347829582.db2.gz NOJFDQKFQQBXFY-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000127266963 347840239 /nfs/dbraw/zinc/84/02/39/347840239.db2.gz VPDGGMVAVMFRTL-DGCLKSJQSA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000127266435 347840248 /nfs/dbraw/zinc/84/02/48/347840248.db2.gz VPDGGMVAVMFRTL-YPMHNXCESA-N 0 0 291.351 2.973 20 5 CFBDRN CCOC(=O)CCCCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000128632093 187381565 /nfs/dbraw/zinc/38/15/65/187381565.db2.gz DKHOORUWBZGRQD-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CC(C)(C)C(=O)CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000086512147 179304740 /nfs/dbraw/zinc/30/47/40/179304740.db2.gz YMKAIXQOBUWCMM-UHFFFAOYSA-N 0 0 298.726 2.593 20 5 CFBDRN CSCCCCNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000126116397 347832606 /nfs/dbraw/zinc/83/26/06/347832606.db2.gz QSXARYKGIQDLDK-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3ccccc3CC2)n1 ZINC000126402075 347834789 /nfs/dbraw/zinc/83/47/89/347834789.db2.gz VJXOYMFBFYXQEX-UHFFFAOYSA-N 0 0 283.331 2.903 20 5 CFBDRN CCCc1n[nH]c(C(=O)NCCCC(C)(C)C)c1[N+](=O)[O-] ZINC000126623234 347835973 /nfs/dbraw/zinc/83/59/73/347835973.db2.gz PZCVUKQZPKOIPF-UHFFFAOYSA-N 0 0 296.371 2.827 20 5 CFBDRN CC(C)c1noc(-c2n[nH]c3ccc([N+](=O)[O-])cc32)n1 ZINC000130454407 347871134 /nfs/dbraw/zinc/87/11/34/347871134.db2.gz ACDFNYNRWYLRMP-UHFFFAOYSA-N 0 0 273.252 2.645 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000130774844 347874075 /nfs/dbraw/zinc/87/40/75/347874075.db2.gz ATRZAPJLOUZGGT-SECBINFHSA-N 0 0 265.313 2.555 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)C2CCC2)cc([N+](=O)[O-])c1 ZINC000129520855 347862180 /nfs/dbraw/zinc/86/21/80/347862180.db2.gz ZIBCVSBZYRYQFY-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN COc1cccc(NCC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000134908569 347902307 /nfs/dbraw/zinc/90/23/07/347902307.db2.gz RWOXLQDYVZXFDD-SNVBAGLBSA-N 0 0 266.297 2.584 20 5 CFBDRN C[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1ncc[nH]1 ZINC000139869209 347922923 /nfs/dbraw/zinc/92/29/23/347922923.db2.gz GIBIYXHVRCISOA-ZCFIWIBFSA-N 0 0 267.676 2.539 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc([N+](=O)[O-])c[nH]2)c(C)c1 ZINC000140315584 347924817 /nfs/dbraw/zinc/92/48/17/347924817.db2.gz KHPQLISTCIPBCY-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN CCn1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)cn1 ZINC000140351999 347925007 /nfs/dbraw/zinc/92/50/07/347925007.db2.gz WZBVVQYDLYLIKB-UHFFFAOYSA-N 0 0 285.263 2.528 20 5 CFBDRN CC(C)Cn1ccnc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000150122734 347955169 /nfs/dbraw/zinc/95/51/69/347955169.db2.gz KNCGWNGVEKQZJP-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN Cc1noc(C)c1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000146267307 347945037 /nfs/dbraw/zinc/94/50/37/347945037.db2.gz LSOJYOYKKPEURW-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN CC(C)(NC(=O)NC1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000154972953 347984499 /nfs/dbraw/zinc/98/44/99/347984499.db2.gz FPOTXKZOBARPJK-UHFFFAOYSA-N 0 0 289.335 2.848 20 5 CFBDRN Nc1c(C(=O)N2CC[C@@H]3CCCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000157297113 347999503 /nfs/dbraw/zinc/99/95/03/347999503.db2.gz IQASCPSVMDVCQL-JQWIXIFHSA-N 0 0 289.335 2.582 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)N(C)c2cccc([N+](=O)[O-])c2)O1 ZINC000158273732 348006391 /nfs/dbraw/zinc/00/63/91/348006391.db2.gz OGLLOVCHCWJLLI-SMDDNHRTSA-N 0 0 292.335 2.905 20 5 CFBDRN CCC(C)(CC)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000158653251 348007906 /nfs/dbraw/zinc/00/79/06/348007906.db2.gz HJPWILMQPIRSTI-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN CC[C@@]1(C(=O)[O-])CCC[N@H+](Cc2csc([N+](=O)[O-])c2)C1 ZINC000086784082 179331845 /nfs/dbraw/zinc/33/18/45/179331845.db2.gz MEVIFXWRXANSIW-CYBMUJFWSA-N 0 0 298.364 2.733 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1CC=CC1 ZINC000154470377 347979803 /nfs/dbraw/zinc/97/98/03/347979803.db2.gz KBXYWYIDJQALHL-SNVBAGLBSA-N 0 0 292.360 2.910 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163842728 348034807 /nfs/dbraw/zinc/03/48/07/348034807.db2.gz ANVTWZMZSRQOCN-IINYFYTJSA-N 0 0 274.320 2.742 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163842598 348034919 /nfs/dbraw/zinc/03/49/19/348034919.db2.gz ANVTWZMZSRQOCN-QMTHXVAHSA-N 0 0 274.320 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC3CC=CC3)c2c1 ZINC000167233192 348045275 /nfs/dbraw/zinc/04/52/75/348045275.db2.gz LFQBOECBDQBOMA-UHFFFAOYSA-N 0 0 256.265 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCc3ccoc3)c2c1 ZINC000167222794 348045285 /nfs/dbraw/zinc/04/52/85/348045285.db2.gz XBDYTRAQPTZKPV-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@H]1Cc2ccccc21 ZINC000173142381 348106925 /nfs/dbraw/zinc/10/69/25/348106925.db2.gz QZVOQDGJFMWGCF-CQSZACIVSA-N 0 0 296.326 2.593 20 5 CFBDRN CC[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1nccs1 ZINC000172478254 348095969 /nfs/dbraw/zinc/09/59/69/348095969.db2.gz MWSGDWYHWNPUDR-MRVPVSSYSA-N 0 0 297.361 2.994 20 5 CFBDRN O=C(Cc1ccc(O)cc1)OCc1ccc([N+](=O)[O-])cc1 ZINC000196406361 348148186 /nfs/dbraw/zinc/14/81/86/348148186.db2.gz XCFMIIZNTBIKRK-UHFFFAOYSA-N 0 0 287.271 2.586 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N(Cc1ccccn1)C1CC1 ZINC000198364312 348149603 /nfs/dbraw/zinc/14/96/03/348149603.db2.gz WIDVDVAWUYGWBH-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1c2ccccc2C[C@@H]1O ZINC000209062546 348157161 /nfs/dbraw/zinc/15/71/61/348157161.db2.gz IKWDCTYWEDUQKU-GJZGRUSLSA-N 0 0 270.288 2.665 20 5 CFBDRN CCc1cnc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000223668385 348175126 /nfs/dbraw/zinc/17/51/26/348175126.db2.gz WQVXQHMYQRAFDB-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN C[C@H](CCO)Sc1c(F)cc([N+](=O)[O-])cc1F ZINC000227891077 348191604 /nfs/dbraw/zinc/19/16/04/348191604.db2.gz YLLXNGVHISKCBY-ZCFIWIBFSA-N 0 0 263.265 2.736 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC[C@H]2CCCO2)c(F)c1 ZINC000227887867 348191885 /nfs/dbraw/zinc/19/18/85/348191885.db2.gz JWOPPRLZNFKWLF-SECBINFHSA-N 0 0 272.251 2.854 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(-c2nc([C@@H]3CCC[NH2+]3)no2)c1 ZINC000228560357 348195745 /nfs/dbraw/zinc/19/57/45/348195745.db2.gz CZHOCBDLCHJTSP-JTQLQIEISA-N 0 0 294.698 2.723 20 5 CFBDRN C[C@@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000086999112 179377827 /nfs/dbraw/zinc/37/78/27/179377827.db2.gz MMCQUEWOXQVQNK-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN Cc1nccn1CCCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000273339706 192119226 /nfs/dbraw/zinc/11/92/26/192119226.db2.gz WJJRHVMNVXZTRL-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN CC(C)[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273340288 192119633 /nfs/dbraw/zinc/11/96/33/192119633.db2.gz AENHDLGQOJQYHD-MRVPVSSYSA-N 0 0 269.276 2.900 20 5 CFBDRN C[C@@H](CC[S@@](C)=O)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000128841441 187393321 /nfs/dbraw/zinc/39/33/21/187393321.db2.gz SAALFULCWIQCII-ASCAZGACSA-N 0 0 290.772 2.817 20 5 CFBDRN CC(C)(C)CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087174893 179399102 /nfs/dbraw/zinc/39/91/02/179399102.db2.gz QVLLDOXQAPJSQP-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)Cc2ccco2)cc1[N+](=O)[O-] ZINC000268813237 348328402 /nfs/dbraw/zinc/32/84/02/348328402.db2.gz MVNPULZEXBDSHR-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN C[C@H](O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1Br ZINC000270146133 348330843 /nfs/dbraw/zinc/33/08/43/348330843.db2.gz QXYWOGQNQFBZML-BQBZGAKWSA-N 0 0 290.113 2.505 20 5 CFBDRN Cc1cccc(CCNC(=O)c2cccc(C)c2[N+](=O)[O-])n1 ZINC000279278593 348339880 /nfs/dbraw/zinc/33/98/80/348339880.db2.gz BJQJVAANAQMGNX-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCCC1CC1 ZINC000280385093 348341110 /nfs/dbraw/zinc/34/11/10/348341110.db2.gz KBHNMDKIAPNDFC-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CCOC1CC(CSc2ncccc2[N+](=O)[O-])C1 ZINC000285164555 348345676 /nfs/dbraw/zinc/34/56/76/348345676.db2.gz MWFULPFCLZRIBD-UHFFFAOYSA-N 0 0 268.338 2.897 20 5 CFBDRN CCOC(=O)CC[C@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000285059007 348345726 /nfs/dbraw/zinc/34/57/26/348345726.db2.gz GWUQYJJPHQHHHV-VIFPVBQESA-N 0 0 284.337 2.814 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2CF)c(Cl)c1 ZINC000292791563 348359404 /nfs/dbraw/zinc/35/94/04/348359404.db2.gz MPQUQHQJLIPOSF-ZETCQYMHSA-N 0 0 259.668 2.582 20 5 CFBDRN Cc1cc(OC[C@@H](C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000310228544 348409004 /nfs/dbraw/zinc/40/90/04/348409004.db2.gz PILYPZTWGAYPDE-ZETCQYMHSA-N 0 0 259.689 2.564 20 5 CFBDRN Cc1nc(N[C@@H]2CCS[C@H]2C)ccc1[N+](=O)[O-] ZINC000310450780 348409541 /nfs/dbraw/zinc/40/95/41/348409541.db2.gz BMQNLTWSQAMQLG-DTWKUNHWSA-N 0 0 253.327 2.604 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000311137823 348411730 /nfs/dbraw/zinc/41/17/30/348411730.db2.gz KSAGCGQXLLBRQZ-MRVPVSSYSA-N 0 0 254.261 2.652 20 5 CFBDRN CCn1ccc(NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000311367512 348412433 /nfs/dbraw/zinc/41/24/33/348412433.db2.gz HCOBFYABANFALN-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN Nc1ccc(NCc2cccc(Cl)c2)nc1[N+](=O)[O-] ZINC000311512783 348412881 /nfs/dbraw/zinc/41/28/81/348412881.db2.gz HPUBOLHSAUTTIW-UHFFFAOYSA-N 0 0 278.699 2.838 20 5 CFBDRN Cc1cnc([C@H](C)Nc2ccc(N)c([N+](=O)[O-])n2)s1 ZINC000311633009 348413765 /nfs/dbraw/zinc/41/37/65/348413765.db2.gz JOJHTDQZAMOOLQ-ZETCQYMHSA-N 0 0 279.325 2.510 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCC(F)(F)C1 ZINC000311911120 348415578 /nfs/dbraw/zinc/41/55/78/348415578.db2.gz REOGDSRNGKIVNZ-MRVPVSSYSA-N 0 0 274.271 2.719 20 5 CFBDRN COC(=O)c1cc(-c2cc(C)ns2)cc([N+](=O)[O-])c1 ZINC000311969061 348416041 /nfs/dbraw/zinc/41/60/41/348416041.db2.gz AVUKQYRICNHVOI-UHFFFAOYSA-N 0 0 278.289 2.813 20 5 CFBDRN COc1cc(NCCc2ccccn2)c([N+](=O)[O-])cc1C ZINC000315537690 348429603 /nfs/dbraw/zinc/42/96/03/348429603.db2.gz GZZZWTZVWYDQTA-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1csc([C@H](C)CNc2ccc([N+](=O)[O-])cn2)n1 ZINC000273373154 192130159 /nfs/dbraw/zinc/13/01/59/192130159.db2.gz XXGORHQEXQFQDE-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN COC[C@H](C)CNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413088227 233016649 /nfs/dbraw/zinc/01/66/49/233016649.db2.gz WVLJHLUFCDMDHD-SSDOTTSWSA-N 0 0 293.245 2.703 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCS[C@H]2C)c1 ZINC000313379517 348422840 /nfs/dbraw/zinc/42/28/40/348422840.db2.gz QYOYIZODXCSQQA-WCBMZHEXSA-N 0 0 296.348 2.687 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@H](O)C2)c([N+](=O)[O-])cc1C ZINC000314715329 348427090 /nfs/dbraw/zinc/42/70/90/348427090.db2.gz IKWRPACOSCPEOH-RYUDHWBXSA-N 0 0 294.351 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C/C3CCOCC3)n2)o1 ZINC000351146422 348485898 /nfs/dbraw/zinc/48/58/98/348485898.db2.gz MRUNDEPIXVEXNZ-HNQUOIGGSA-N 0 0 291.263 2.678 20 5 CFBDRN O=C(CCCF)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000596799924 349991143 /nfs/dbraw/zinc/99/11/43/349991143.db2.gz FTOKLFOKSPABNQ-UHFFFAOYSA-N 0 0 292.213 2.884 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@H]1CCCc2c[nH]nc21 ZINC000273408218 192141247 /nfs/dbraw/zinc/14/12/47/192141247.db2.gz NXWRDKKRARYFBL-AWEZNQCLSA-N 0 0 286.335 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N[C@@H]3CCCOC3)c2c1 ZINC000413123899 233020945 /nfs/dbraw/zinc/02/09/45/233020945.db2.gz DLXATYSMQUIIAZ-LLVKDONJSA-N 0 0 273.292 2.734 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(C(C)C)no1 ZINC000349886853 348468299 /nfs/dbraw/zinc/46/82/99/348468299.db2.gz DCEHTZZAEZRLGX-UHFFFAOYSA-N 0 0 263.253 2.777 20 5 CFBDRN Cc1cc(-c2noc(-c3cc([N+](=O)[O-])cn3C)n2)c(C)o1 ZINC000351041536 348481864 /nfs/dbraw/zinc/48/18/64/348481864.db2.gz LJDFMTVLHZOJIN-UHFFFAOYSA-N 0 0 288.263 2.860 20 5 CFBDRN Cc1ccsc1[C@@H](CO)Nc1ncc([N+](=O)[O-])cc1F ZINC000413173756 233028676 /nfs/dbraw/zinc/02/86/76/233028676.db2.gz HHROAZHHYUJOIK-SNVBAGLBSA-N 0 0 297.311 2.644 20 5 CFBDRN Cc1c(-c2noc(C3(F)CC3)n2)cccc1[N+](=O)[O-] ZINC000351372836 348494767 /nfs/dbraw/zinc/49/47/67/348494767.db2.gz GUWLTAGXBBNCAS-UHFFFAOYSA-N 0 0 263.228 2.912 20 5 CFBDRN COC[C@H](C)Cc1noc(-c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000351446381 348496177 /nfs/dbraw/zinc/49/61/77/348496177.db2.gz OQMSXIWTVGERNG-SECBINFHSA-N 0 0 291.307 2.778 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000401773050 348578704 /nfs/dbraw/zinc/57/87/04/348578704.db2.gz ATQSXDXLBVJHJV-IONNQARKSA-N 0 0 256.689 2.838 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3cnccc23)CO1 ZINC000402223693 348580861 /nfs/dbraw/zinc/58/08/61/348580861.db2.gz RDZYGYYOVRDEAU-NXEZZACHSA-N 0 0 273.292 2.732 20 5 CFBDRN NC(=O)c1ccc(NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000403433644 348585289 /nfs/dbraw/zinc/58/52/89/348585289.db2.gz ZJTSLDKLHCJZPX-FOGDFJRCSA-N 0 0 289.335 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC2(C1)CC(F)(F)C2 ZINC000588040642 348767906 /nfs/dbraw/zinc/76/79/06/348767906.db2.gz IIRNOHRVLMIKAN-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN CCOc1cc(N2CCC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000588040624 348768067 /nfs/dbraw/zinc/76/80/67/348768067.db2.gz HOQAABOENCMTMS-UHFFFAOYSA-N 0 0 262.309 2.984 20 5 CFBDRN Cc1ccnc(N2CC3(C2)CC(F)(F)C3)c1[N+](=O)[O-] ZINC000588040500 348768086 /nfs/dbraw/zinc/76/80/86/348768086.db2.gz AOXYNJLMMAQZCZ-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN C[C@@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)c1ccsc1 ZINC000588043187 348768916 /nfs/dbraw/zinc/76/89/16/348768916.db2.gz VRRXVUCPQQOCMJ-MRVPVSSYSA-N 0 0 293.348 2.904 20 5 CFBDRN Cn1nccc1C1=CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000588064512 348769400 /nfs/dbraw/zinc/76/94/00/348769400.db2.gz FRSHUNZPSUVKCB-UHFFFAOYSA-N 0 0 290.348 2.684 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCc3cncn3C2)c(Cl)c1 ZINC000588067641 348769836 /nfs/dbraw/zinc/76/98/36/348769836.db2.gz FTWIAUPFKMAKLD-VIFPVBQESA-N 0 0 292.726 2.872 20 5 CFBDRN CCOc1cc(N2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000588066411 348769954 /nfs/dbraw/zinc/76/99/54/348769954.db2.gz NSBOZMRGRXGLTH-MFKMUULPSA-N 0 0 262.309 2.982 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2c1ccc([N+](=O)[O-])s1 ZINC000588068848 348770536 /nfs/dbraw/zinc/77/05/36/348770536.db2.gz HRWQBPZPXKYRDX-BDPUXZDNSA-N 0 0 280.349 2.516 20 5 CFBDRN C[C@@H](Nc1cccnc1[N+](=O)[O-])c1c(F)cncc1F ZINC000588082500 348771025 /nfs/dbraw/zinc/77/10/25/348771025.db2.gz SAELXNYEVDZRPP-SSDOTTSWSA-N 0 0 280.234 2.836 20 5 CFBDRN O=C(N[C@@H]1CCCC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000588180073 348778447 /nfs/dbraw/zinc/77/84/47/348778447.db2.gz GESHGMSNMSDQAO-SNVBAGLBSA-N 0 0 270.235 2.512 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)C(=O)NC(C)(C)C)c1 ZINC000088714971 179656835 /nfs/dbraw/zinc/65/68/35/179656835.db2.gz LUMKLTAKSMAZEL-JTQLQIEISA-N 0 0 279.340 2.618 20 5 CFBDRN CCN(Cc1ccccc1C)c1ncc([N+](=O)[O-])cn1 ZINC000273947956 192373679 /nfs/dbraw/zinc/37/36/79/192373679.db2.gz MJEVPQVIWNFJHJ-UHFFFAOYSA-N 0 0 272.308 2.720 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@H]2C[C@H]21 ZINC000586785937 348752059 /nfs/dbraw/zinc/75/20/59/348752059.db2.gz LSGQGYUGVCDHGC-QPUJVOFHSA-N 0 0 279.271 2.750 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000586899773 348760687 /nfs/dbraw/zinc/76/06/87/348760687.db2.gz YYJMVWUHPCOYOM-WCBMZHEXSA-N 0 0 266.322 2.589 20 5 CFBDRN Nc1cc(NCCC2CCCC2)cc(CO)c1[N+](=O)[O-] ZINC000587121369 348761139 /nfs/dbraw/zinc/76/11/39/348761139.db2.gz YZSICRYKGFVCKV-UHFFFAOYSA-N 0 0 279.340 2.662 20 5 CFBDRN COC(=O)c1cc(C)nc(N(C)CCC(C)C)c1[N+](=O)[O-] ZINC000587374995 348761955 /nfs/dbraw/zinc/76/19/55/348761955.db2.gz LGUCIVXPHRKOAL-UHFFFAOYSA-N 0 0 295.339 2.567 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCC[C@]2(C)CO)ccc1[N+](=O)[O-] ZINC000587985514 348763926 /nfs/dbraw/zinc/76/39/26/348763926.db2.gz MVFLVVPVNYUBIP-LSDHHAIUSA-N 0 0 292.335 2.760 20 5 CFBDRN CC1(C)CCC[C@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587993183 348764504 /nfs/dbraw/zinc/76/45/04/348764504.db2.gz DXUOPTHRGHKLCY-GFCCVEGCSA-N 0 0 279.340 2.660 20 5 CFBDRN C[C@@H]1c2ccccc2CN1c1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587989914 348764543 /nfs/dbraw/zinc/76/45/43/348764543.db2.gz HAPPVGSTGJWRHH-SNVBAGLBSA-N 0 0 299.330 2.751 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588016446 348766693 /nfs/dbraw/zinc/76/66/93/348766693.db2.gz XXOQXZOKWVMTJA-NSHDSACASA-N 0 0 293.367 2.542 20 5 CFBDRN O=c1ccn(-c2ccccc2)n1Cc1ccccc1[N+](=O)[O-] ZINC000589267612 348823455 /nfs/dbraw/zinc/82/34/55/348823455.db2.gz AMJGSFHQRGEHRU-UHFFFAOYSA-N 0 0 295.298 2.596 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc(F)cc2[N+](=O)[O-])[C@@H](C)O1 ZINC000413528711 233090575 /nfs/dbraw/zinc/09/05/75/233090575.db2.gz HJBQVFYFILBPDH-BBBLOLIVSA-N 0 0 268.288 2.959 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ncc(Cl)cc2[N+](=O)[O-])[C@@H](C)O1 ZINC000413535080 233091313 /nfs/dbraw/zinc/09/13/13/233091313.db2.gz PSPOFDIAVIVTHU-HLTSFMKQSA-N 0 0 285.731 2.869 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)c2ccn(C)n2)n1 ZINC000413445197 233074039 /nfs/dbraw/zinc/07/40/39/233074039.db2.gz BVCXBXXRXHUCNZ-JTQLQIEISA-N 0 0 275.312 2.513 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000589580537 348839552 /nfs/dbraw/zinc/83/95/52/348839552.db2.gz UGLKHGXDZSDEIF-VHSXEESVSA-N 0 0 281.356 2.906 20 5 CFBDRN COc1cc(N[C@@H](C)C[C@H]2CCOC2)c([N+](=O)[O-])cc1F ZINC000589584529 348840508 /nfs/dbraw/zinc/84/05/08/348840508.db2.gz NFMXQEYSVGXKLO-VHSXEESVSA-N 0 0 298.314 2.970 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000589585193 348840520 /nfs/dbraw/zinc/84/05/20/348840520.db2.gz VHYNMZMTKWFWJM-MNOVXSKESA-N 0 0 291.351 2.790 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])s1 ZINC000589584736 348840526 /nfs/dbraw/zinc/84/05/26/348840526.db2.gz OCVCSMNFDKVSBT-RKDXNWHRSA-N 0 0 256.327 2.883 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@]1(CO)C[C@@H]1c1ccccc1 ZINC000589603244 348841892 /nfs/dbraw/zinc/84/18/92/348841892.db2.gz NHHLSENYXQTTTB-CJNGLKHVSA-N 0 0 299.330 2.568 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1F)c1ccn(C)n1 ZINC000413467059 233078693 /nfs/dbraw/zinc/07/86/93/233078693.db2.gz YYIICDLRMTVERO-QMMMGPOBSA-N 0 0 264.260 2.641 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC(C2CC2)C1 ZINC000589656415 348850493 /nfs/dbraw/zinc/85/04/93/348850493.db2.gz AHXQIXDBKRFOIJ-UHFFFAOYSA-N 0 0 279.271 2.608 20 5 CFBDRN Cc1cc(N(CCO)CC(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000589689937 348851038 /nfs/dbraw/zinc/85/10/38/348851038.db2.gz CPVWGYBFVRSIJR-UHFFFAOYSA-N 0 0 284.331 2.887 20 5 CFBDRN O=C(CCCC1CC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000589692497 348851144 /nfs/dbraw/zinc/85/11/44/348851144.db2.gz CBUSHINSNQJDMI-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN CCOc1cc(N2CC(C3CC3)C2)ccc1[N+](=O)[O-] ZINC000589695349 348851275 /nfs/dbraw/zinc/85/12/75/348851275.db2.gz CZIPBUGWITWXKA-UHFFFAOYSA-N 0 0 262.309 2.840 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC(c2ccccc2F)C1 ZINC000589696242 348851904 /nfs/dbraw/zinc/85/19/04/348851904.db2.gz AFZVTNLALIWFPX-UHFFFAOYSA-N 0 0 273.267 2.733 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1ccco1 ZINC000413598297 233102541 /nfs/dbraw/zinc/10/25/41/233102541.db2.gz CHTBFZUDQBSELA-NXEZZACHSA-N 0 0 276.296 2.681 20 5 CFBDRN C[C@@H](C(=O)N1CC(C2CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000589724970 348853886 /nfs/dbraw/zinc/85/38/86/348853886.db2.gz JVQZVFSBYVHKCN-SNVBAGLBSA-N 0 0 274.320 2.567 20 5 CFBDRN Cc1ccc(NC(=O)N2CC(C3CC3)C2)cc1[N+](=O)[O-] ZINC000589753524 348855375 /nfs/dbraw/zinc/85/53/75/348855375.db2.gz OJUNVZKEIYRLDZ-UHFFFAOYSA-N 0 0 275.308 2.777 20 5 CFBDRN COc1ccncc1CNc1sccc1[N+](=O)[O-] ZINC000590061094 348871693 /nfs/dbraw/zinc/87/16/93/348871693.db2.gz MISPZHYRKFWZRV-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN CN(C[C@@H](O)Cc1ccccc1)c1ccsc1[N+](=O)[O-] ZINC000590063246 348872162 /nfs/dbraw/zinc/87/21/62/348872162.db2.gz JFSAWICXIFINOP-LBPRGKRZSA-N 0 0 292.360 2.696 20 5 CFBDRN CC(F)(F)CN[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000590260909 348888048 /nfs/dbraw/zinc/88/80/48/348888048.db2.gz WWTSCPPCPIZZHR-VHSXEESVSA-N 0 0 288.298 2.520 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@H]2CCC[C@H]2O)s1 ZINC000590714992 348934301 /nfs/dbraw/zinc/93/43/01/348934301.db2.gz CLTHCGNLKPCIFN-GMTAPVOTSA-N 0 0 282.365 2.786 20 5 CFBDRN CO[C@@](C)(CNc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000590715299 348934804 /nfs/dbraw/zinc/93/48/04/348934804.db2.gz KIQAXQIIJCAUPT-HNNXBMFYSA-N 0 0 287.319 2.964 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CCOC2(CCC2)C1 ZINC000590715507 348934982 /nfs/dbraw/zinc/93/49/82/348934982.db2.gz KSFCDJQDLVWWCH-NSHDSACASA-N 0 0 277.324 2.751 20 5 CFBDRN C[C@@H]1C[C@@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)[C@@H](C)O1 ZINC000413776587 233128295 /nfs/dbraw/zinc/12/82/95/233128295.db2.gz RIMUKKGWGIWJFP-MXWKQRLJSA-N 0 0 293.323 2.530 20 5 CFBDRN C[C@@H]1C[C@@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)[C@H](C)O1 ZINC000413776590 233128318 /nfs/dbraw/zinc/12/83/18/233128318.db2.gz RIMUKKGWGIWJFP-VWYCJHECSA-N 0 0 293.323 2.530 20 5 CFBDRN COc1cccc2c1CN(c1ccc([N+](=O)[O-])c(C)n1)CC2 ZINC000590723012 348937282 /nfs/dbraw/zinc/93/72/82/348937282.db2.gz LDUYCSWIZFNEBU-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@@H]2CCOC2)s1 ZINC000590733159 348938819 /nfs/dbraw/zinc/93/88/19/348938819.db2.gz AVVHYELSDQPGOH-SECBINFHSA-N 0 0 256.327 2.885 20 5 CFBDRN COC[C@@H]1CCCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000590738306 348939385 /nfs/dbraw/zinc/93/93/85/348939385.db2.gz RMCPKERMAUXVBK-SNVBAGLBSA-N 0 0 270.354 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cc[nH]c(=O)c1 ZINC000590550237 348908384 /nfs/dbraw/zinc/90/83/84/348908384.db2.gz PAXVLZOOGRWHHX-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C[C@@](C)(O)C1CC1 ZINC000590549405 348908586 /nfs/dbraw/zinc/90/85/86/348908586.db2.gz FNVFKYIYGLSEGN-OAHLLOKOSA-N 0 0 292.335 2.701 20 5 CFBDRN COC(=O)c1ccnc(N[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000590570449 348910097 /nfs/dbraw/zinc/91/00/97/348910097.db2.gz XOIISNBXIDKQIA-ONGXEEELSA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCn2cncc2C1 ZINC000590599023 348912860 /nfs/dbraw/zinc/91/28/60/348912860.db2.gz DEBNHCZCDNFYNE-LBPRGKRZSA-N 0 0 286.335 2.677 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC[C@H]1C(F)(F)F ZINC000590599542 348913057 /nfs/dbraw/zinc/91/30/57/348913057.db2.gz IKXZBQADRGJBPK-JTQLQIEISA-N 0 0 260.215 2.731 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1ccc(C)c([N+](=O)[O-])c1)CC2 ZINC000590610634 348915765 /nfs/dbraw/zinc/91/57/65/348915765.db2.gz KQQQJTLJCSQEBN-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC(C(F)(F)F)C3)c2c1 ZINC000590693982 348929750 /nfs/dbraw/zinc/92/97/50/348929750.db2.gz NLMZEOHKPKMXHF-UHFFFAOYSA-N 0 0 298.224 2.537 20 5 CFBDRN Cc1nc(N[C@@H]2CCC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000590696142 348930367 /nfs/dbraw/zinc/93/03/67/348930367.db2.gz AZBIMCIZYJHEBD-SECBINFHSA-N 0 0 250.302 2.684 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(CNc4ccccc43)C2)cn1 ZINC000590700620 348930861 /nfs/dbraw/zinc/93/08/61/348930861.db2.gz ARXPTIJXLLQUJB-MRXNPFEDSA-N 0 0 296.330 2.563 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC2(C1)CCCOC2 ZINC000590702791 348930884 /nfs/dbraw/zinc/93/08/84/348930884.db2.gz QJPZCEMHUIACRW-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@H]2[C@@H]2CCCO2)cn1 ZINC000590703224 348930978 /nfs/dbraw/zinc/93/09/78/348930978.db2.gz QSWWFNSXRZDMRF-GXTWGEPZSA-N 0 0 289.335 2.528 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC[C@@H](C2CCC2)C1 ZINC000591238172 349002054 /nfs/dbraw/zinc/00/20/54/349002054.db2.gz XEOWDFYWIUUIPG-CQSZACIVSA-N 0 0 288.347 2.786 20 5 CFBDRN Cn1cncc1CCSc1ccc([N+](=O)[O-])cc1F ZINC000591128909 348990976 /nfs/dbraw/zinc/99/09/76/348990976.db2.gz OEPOSDFOTQSSEC-UHFFFAOYSA-N 0 0 281.312 2.802 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N[C@H]3CCC[C@@H](O)C3)c2c1 ZINC000591140150 348991994 /nfs/dbraw/zinc/99/19/94/348991994.db2.gz IXUOFPPFRNMMPH-WCQYABFASA-N 0 0 287.319 2.858 20 5 CFBDRN Nc1cc(N[C@H](C2CC2)C2CCC2)cc(CO)c1[N+](=O)[O-] ZINC000591167957 348996129 /nfs/dbraw/zinc/99/61/29/348996129.db2.gz JKAROIZNWBYIAJ-AWEZNQCLSA-N 0 0 291.351 2.660 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000591175091 348997255 /nfs/dbraw/zinc/99/72/55/348997255.db2.gz XCQZLGVVRNTONB-JGZJWPJOSA-N 0 0 288.307 2.517 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@@H](C2CCC2)C1 ZINC000591186066 348997819 /nfs/dbraw/zinc/99/78/19/348997819.db2.gz MVKCYOYOSZRZTL-CQSZACIVSA-N 0 0 276.336 2.909 20 5 CFBDRN CC(C)[C@H]1N(c2ccc([N+](=O)[O-])nc2)CC12CCOCC2 ZINC000591195664 348999274 /nfs/dbraw/zinc/99/92/74/348999274.db2.gz FBRFTBRUQVFSNQ-CQSZACIVSA-N 0 0 291.351 2.631 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000591904901 349057193 /nfs/dbraw/zinc/05/71/93/349057193.db2.gz KJIQTVXWIXYNGX-RWMBFGLXSA-N 0 0 289.335 2.583 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1c1ncc([N+](=O)[O-])cc1F ZINC000591369020 349013214 /nfs/dbraw/zinc/01/32/14/349013214.db2.gz LDGCSCRYUBRJPB-HRDYMLBCSA-N 0 0 253.277 2.610 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC=CCC1 ZINC000591510913 349028041 /nfs/dbraw/zinc/02/80/41/349028041.db2.gz ZHOXNFMUWPBHKP-UHFFFAOYSA-N 0 0 260.293 2.614 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1nccc(C)c1[N+](=O)[O-] ZINC000591979926 349068631 /nfs/dbraw/zinc/06/86/31/349068631.db2.gz AOCXNYBYDNZCPX-MWLCHTKSSA-N 0 0 267.329 2.507 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000591982536 349069680 /nfs/dbraw/zinc/06/96/80/349069680.db2.gz QOGAZKQJUSDZHG-DTWKUNHWSA-N 0 0 287.747 2.852 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1cc(OC)ccc1[N+](=O)[O-] ZINC000591983677 349069682 /nfs/dbraw/zinc/06/96/82/349069682.db2.gz WRLKWNFFSNJQAY-MNOVXSKESA-N 0 0 282.340 2.812 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CCO)c2ccccc2F)s1 ZINC000591989397 349070529 /nfs/dbraw/zinc/07/05/29/349070529.db2.gz UGFPNIDYHAYSGX-JTQLQIEISA-N 0 0 297.311 2.726 20 5 CFBDRN Cc1cnc(N2CC3(C[C@@H]2C)CCOCC3)c([N+](=O)[O-])c1 ZINC000591990860 349071257 /nfs/dbraw/zinc/07/12/57/349071257.db2.gz FDFLMZFZBLGFMG-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN O=c1onc(-c2cccc([N+](=O)[O-])c2)n1CC1=CCCC1 ZINC000592349621 349106774 /nfs/dbraw/zinc/10/67/74/349106774.db2.gz RTYDRTWFLJLTDA-UHFFFAOYSA-N 0 0 287.275 2.522 20 5 CFBDRN CC(C)[C@H]1N(c2c([N+](=O)[O-])ncn2C)CC12CC=CC2 ZINC000591992923 349071804 /nfs/dbraw/zinc/07/18/04/349071804.db2.gz IGKXJIMTRIFBID-LLVKDONJSA-N 0 0 276.340 2.509 20 5 CFBDRN CC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000591992831 349071846 /nfs/dbraw/zinc/07/18/46/349071846.db2.gz NAFNOZVPXKSQRZ-JTQLQIEISA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1cnc(N2C[C@@H](C)OC3(CCCC3)C2)c([N+](=O)[O-])c1 ZINC000591999509 349073270 /nfs/dbraw/zinc/07/32/70/349073270.db2.gz KFTRCUXEQARYCC-GFCCVEGCSA-N 0 0 291.351 2.836 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000592121068 349085759 /nfs/dbraw/zinc/08/57/59/349085759.db2.gz MSPFIURRXVRSEO-JBLDHEPKSA-N 0 0 275.308 2.905 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CCS[C@H]1C ZINC000592248900 349103074 /nfs/dbraw/zinc/10/30/74/349103074.db2.gz DUQAXUABSATRLF-JOYOIKCWSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CCS[C@@H]2C)ccc1[N+](=O)[O-] ZINC000592242030 349103230 /nfs/dbraw/zinc/10/32/30/349103230.db2.gz CGYLSNXZAXDEHG-MWLCHTKSSA-N 0 0 295.364 2.919 20 5 CFBDRN CCCC[C@](C)(CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000592251967 349104151 /nfs/dbraw/zinc/10/41/51/349104151.db2.gz NMRRMYMYZDUJOR-CQSZACIVSA-N 0 0 295.339 2.658 20 5 CFBDRN CCOC(=O)Cc1ccc(NCCC2CC2)c([N+](=O)[O-])c1 ZINC000591917587 349060119 /nfs/dbraw/zinc/06/01/19/349060119.db2.gz XDTNSXHKXKIXOY-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1CC1=CCCC1 ZINC000592344452 349106307 /nfs/dbraw/zinc/10/63/07/349106307.db2.gz FEPXVBQYSBNJOP-UHFFFAOYSA-N 0 0 260.249 2.613 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@@H](F)C2)c(F)c1 ZINC000591955794 349064884 /nfs/dbraw/zinc/06/48/84/349064884.db2.gz PFPZQPRDGQKDHH-HTQZYQBOSA-N 0 0 257.240 2.822 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ccc(CO)cc2)n1 ZINC000591965317 349066322 /nfs/dbraw/zinc/06/63/22/349066322.db2.gz IQCHBLGCTXUIHT-UHFFFAOYSA-N 0 0 287.319 2.711 20 5 CFBDRN CCOc1cc(NC[C@H](CC)C(=O)OC)ccc1[N+](=O)[O-] ZINC000591975208 349067766 /nfs/dbraw/zinc/06/77/66/349067766.db2.gz NLLOUXKOSDXFSV-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CCC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000592664877 349147257 /nfs/dbraw/zinc/14/72/57/349147257.db2.gz KWJIWSRJSFIEGN-NXEZZACHSA-N 0 0 296.298 2.614 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H](C)CC(F)F)c1[N+](=O)[O-] ZINC000592670885 349148597 /nfs/dbraw/zinc/14/85/97/349148597.db2.gz CVNWFRWZRLXAOZ-MRVPVSSYSA-N 0 0 286.278 2.924 20 5 CFBDRN C[C@H](CNC(=O)Cc1ccc([N+](=O)[O-])cc1)CC(F)F ZINC000592671485 349148692 /nfs/dbraw/zinc/14/86/92/349148692.db2.gz GXEGBGQPAVXBIP-VIFPVBQESA-N 0 0 286.278 2.545 20 5 CFBDRN CN(CCC(C)(C)C)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000592684771 349150660 /nfs/dbraw/zinc/15/06/60/349150660.db2.gz PPEHUBOUNWFGMZ-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN CC[C@H](CSC)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000089264190 179762364 /nfs/dbraw/zinc/76/23/64/179762364.db2.gz CPQHPFVMTTYUIV-SECBINFHSA-N 0 0 283.353 2.858 20 5 CFBDRN CO[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000593013106 349187064 /nfs/dbraw/zinc/18/70/64/349187064.db2.gz CIOPPTJIOSYBKX-SECBINFHSA-N 0 0 292.213 2.501 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000592732413 349157885 /nfs/dbraw/zinc/15/78/85/349157885.db2.gz JFNNTXSPAUAXST-IJLUTSLNSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CCS[C@@H]1C ZINC000592735051 349159246 /nfs/dbraw/zinc/15/92/46/349159246.db2.gz JOVUBKIDBGPRGS-ZYHUDNBSSA-N 0 0 294.376 2.835 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCS[C@H]2C)cc1[N+](=O)[O-] ZINC000592736872 349160255 /nfs/dbraw/zinc/16/02/55/349160255.db2.gz VBKHJPSOIRUJIG-XPUUQOCRSA-N 0 0 286.378 2.589 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCS[C@@H]2C)cc1[N+](=O)[O-] ZINC000592736856 349160393 /nfs/dbraw/zinc/16/03/93/349160393.db2.gz VBKHJPSOIRUJIG-HTRCEHHLSA-N 0 0 286.378 2.589 20 5 CFBDRN CCN(CCSC)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000592743393 349162900 /nfs/dbraw/zinc/16/29/00/349162900.db2.gz GMFUJGKZGSWZCC-NSHDSACASA-N 0 0 296.392 2.910 20 5 CFBDRN CCN(CCSC)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000592744546 349163666 /nfs/dbraw/zinc/16/36/66/349163666.db2.gz BMAFJEGLWDHMKE-UHFFFAOYSA-N 0 0 286.328 2.559 20 5 CFBDRN CC1(CC(F)F)CN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000592834868 349170301 /nfs/dbraw/zinc/17/03/01/349170301.db2.gz JQEYCHAMDOYOKT-UHFFFAOYSA-N 0 0 290.291 2.774 20 5 CFBDRN CCCC[C@](C)(CO)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000592855354 349171365 /nfs/dbraw/zinc/17/13/65/349171365.db2.gz UDPLTHBCVGUSNZ-OAHLLOKOSA-N 0 0 294.351 2.574 20 5 CFBDRN CCCC[C@@](C)(CO)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000592855388 349171538 /nfs/dbraw/zinc/17/15/38/349171538.db2.gz USPRUNPQPROMQV-HNNXBMFYSA-N 0 0 294.351 2.574 20 5 CFBDRN CCCC[C@@](C)(CO)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000592855316 349171691 /nfs/dbraw/zinc/17/16/91/349171691.db2.gz SQDMGPWBEGIDKA-HNNXBMFYSA-N 0 0 294.351 2.574 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593096003 349208783 /nfs/dbraw/zinc/20/87/83/349208783.db2.gz PMGITJGXINJZEO-UWVGGRQHSA-N 0 0 280.299 2.676 20 5 CFBDRN CN(CCC1CC1)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593106426 349210096 /nfs/dbraw/zinc/21/00/96/349210096.db2.gz RRTMTWTVMPKARH-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593111739 349210891 /nfs/dbraw/zinc/21/08/91/349210891.db2.gz IBMANVBDRQYBOZ-GFCCVEGCSA-N 0 0 294.326 2.971 20 5 CFBDRN CCN(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000593132818 349217986 /nfs/dbraw/zinc/21/79/86/349217986.db2.gz ZFPIDLBMTAIFKI-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN CC1(CCNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593144895 349219305 /nfs/dbraw/zinc/21/93/05/349219305.db2.gz SOLJBONZBZMXRC-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1C1CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000593144341 349219533 /nfs/dbraw/zinc/21/95/33/349219533.db2.gz UYIGYMKQJLCKHJ-QWHCGFSZSA-N 0 0 289.335 2.779 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000593257855 349237014 /nfs/dbraw/zinc/23/70/14/349237014.db2.gz JIUGHIUNIBNXLP-VIFPVBQESA-N 0 0 298.364 2.686 20 5 CFBDRN CC(C)(NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000593074547 349202241 /nfs/dbraw/zinc/20/22/41/349202241.db2.gz DBKQVTXHXMNZEN-UHFFFAOYSA-N 0 0 280.299 2.581 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)ccc1[N+](=O)[O-] ZINC000593087348 349204908 /nfs/dbraw/zinc/20/49/08/349204908.db2.gz RFKJYNCQPWZUMB-GRYCIOLGSA-N 0 0 287.319 2.839 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593089749 349205503 /nfs/dbraw/zinc/20/55/03/349205503.db2.gz DYEARGMEICPFIE-OAHLLOKOSA-N 0 0 294.326 2.925 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C2(CCC2)CO1 ZINC000593090672 349207018 /nfs/dbraw/zinc/20/70/18/349207018.db2.gz CDNWEZWAXAQKQY-LBPRGKRZSA-N 0 0 276.336 2.738 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593093874 349207820 /nfs/dbraw/zinc/20/78/20/349207820.db2.gz VYHDCIDPDJGZRK-LBPRGKRZSA-N 0 0 294.326 2.781 20 5 CFBDRN Nc1c(F)c(N2CC[C@]3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000593521216 349289582 /nfs/dbraw/zinc/28/95/82/349289582.db2.gz NGRJLSBFAXQDSB-NSHDSACASA-N 0 0 287.241 2.552 20 5 CFBDRN Cc1nc(N2CC[C@@]3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000593521740 349290097 /nfs/dbraw/zinc/29/00/97/349290097.db2.gz PPNAQMNNWHVNOH-LLVKDONJSA-N 0 0 269.251 2.534 20 5 CFBDRN COc1cccc(N2CC[C@]3(CC3(F)F)C2)c1[N+](=O)[O-] ZINC000593521732 349290136 /nfs/dbraw/zinc/29/01/36/349290136.db2.gz PJOYQZNCHGQSGU-LBPRGKRZSA-N 0 0 284.262 2.839 20 5 CFBDRN Cn1nc(NC(=O)c2csc([N+](=O)[O-])c2)cc1C1CC1 ZINC000290842585 197836799 /nfs/dbraw/zinc/83/67/99/197836799.db2.gz HJIXCEBUYRXRIL-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN Cc1c(C(=O)N2CC[C@]3(CC3(F)F)C2)cccc1[N+](=O)[O-] ZINC000593354660 349251595 /nfs/dbraw/zinc/25/15/95/349251595.db2.gz ARVXOJGHBHMZAF-ZDUSSCGKSA-N 0 0 296.273 2.775 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CC[C@@H]2CCC[C@H]21 ZINC000593625964 349301196 /nfs/dbraw/zinc/30/11/96/349301196.db2.gz RGGVEBLFXQCXQJ-GXFFZTMASA-N 0 0 292.310 2.677 20 5 CFBDRN C[C@H]1OCCN(c2ccnc3cc([N+](=O)[O-])ccc32)[C@H]1C ZINC000593463751 349276031 /nfs/dbraw/zinc/27/60/31/349276031.db2.gz DXYBYMLDZPTUOZ-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN CC(C)C[C@H](CO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463274 349276197 /nfs/dbraw/zinc/27/61/97/349276197.db2.gz RUUBZYLHCXDHLE-LLVKDONJSA-N 0 0 289.335 2.962 20 5 CFBDRN CN(c1ccnc2cc([N+](=O)[O-])ccc21)[C@@H]1CCCOC1 ZINC000593475253 349278209 /nfs/dbraw/zinc/27/82/09/349278209.db2.gz JYRGOVGBQPZQQU-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)c([N+](=O)[O-])cn2)[C@@H](CC)CO1 ZINC000593493678 349284286 /nfs/dbraw/zinc/28/42/86/349284286.db2.gz IVNHDJLLIJAKDI-NWDGAFQWSA-N 0 0 279.340 2.692 20 5 CFBDRN CCN(c1ncc([N+](=O)[O-])cc1Cl)[C@@H]1CCCOC1 ZINC000593496358 349284468 /nfs/dbraw/zinc/28/44/68/349284468.db2.gz OTIPLIHARDKXHI-SECBINFHSA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1c1ncc([N+](=O)[O-])cc1F ZINC000593494207 349284522 /nfs/dbraw/zinc/28/45/22/349284522.db2.gz LUTYUBOKZLFGKO-KOLCDFICSA-N 0 0 283.303 2.523 20 5 CFBDRN CCN(c1nc(C)cc(C)c1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000593496982 349285106 /nfs/dbraw/zinc/28/51/06/349285106.db2.gz ZDPWZLPSIIMYGX-GFCCVEGCSA-N 0 0 279.340 2.612 20 5 CFBDRN CCN1C[C@@H](CNc2c(Cl)cccc2[N+](=O)[O-])CC1=O ZINC000593499275 349285244 /nfs/dbraw/zinc/28/52/44/349285244.db2.gz PAWCIBOEAPFCNT-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN C[C@@H](CO)C1CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000593506174 349286519 /nfs/dbraw/zinc/28/65/19/349286519.db2.gz OZTYZCPJYXSIDJ-JTQLQIEISA-N 0 0 282.315 2.579 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@@H](F)C1 ZINC000593893490 349333217 /nfs/dbraw/zinc/33/32/17/349333217.db2.gz JKYQUJFCQNFLPO-SECBINFHSA-N 0 0 291.282 2.650 20 5 CFBDRN C[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])CC1 ZINC000593735587 349316867 /nfs/dbraw/zinc/31/68/67/349316867.db2.gz QTKDOIYFUZTKID-LLVKDONJSA-N 0 0 292.335 2.915 20 5 CFBDRN CC[N@@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])CC1CC1 ZINC000593736443 349316909 /nfs/dbraw/zinc/31/69/09/349316909.db2.gz ABEFMRJPHZQENL-UHFFFAOYSA-N 0 0 278.308 2.525 20 5 CFBDRN C[C@H]([N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])C1(C)CC1 ZINC000593743904 349319117 /nfs/dbraw/zinc/31/91/17/349319117.db2.gz NKNIDTOEPXACJZ-JTQLQIEISA-N 0 0 292.335 2.913 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC12CCCC2 ZINC000593759388 349321662 /nfs/dbraw/zinc/32/16/62/349321662.db2.gz JVPNBJZVIJXUAO-UHFFFAOYSA-N 0 0 260.293 2.754 20 5 CFBDRN CC[C@H](NC(=O)c1csc([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000594172235 349389808 /nfs/dbraw/zinc/38/98/08/349389808.db2.gz GXGOLWFCMQDXOM-QWRGUYRKSA-N 0 0 298.364 2.734 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000594178884 349390847 /nfs/dbraw/zinc/39/08/47/349390847.db2.gz DNHYJUXJVCKYOE-UONOGXRCSA-N 0 0 292.335 2.672 20 5 CFBDRN CC[C@@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000594040525 349359870 /nfs/dbraw/zinc/35/98/70/349359870.db2.gz GNBZMXBXPRPJLQ-UKRRQHHQSA-N 0 0 294.351 2.738 20 5 CFBDRN Cc1cc(C(=O)N2CCCc3cccnc32)ccc1[N+](=O)[O-] ZINC000594069647 349368541 /nfs/dbraw/zinc/36/85/41/349368541.db2.gz FZGFJMRJXRNUMO-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN COc1cc(C(=O)NC2(C)CC=CC2)c([N+](=O)[O-])cc1F ZINC000594072092 349369158 /nfs/dbraw/zinc/36/91/58/349369158.db2.gz DRWXJZKZAQDZNP-UHFFFAOYSA-N 0 0 294.282 2.581 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000594136362 349383845 /nfs/dbraw/zinc/38/38/45/349383845.db2.gz UIYPAWLRVPDDGC-XYPYZODXSA-N 0 0 292.335 2.671 20 5 CFBDRN COC[C@@H](CC(C)(C)C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000594145471 349386314 /nfs/dbraw/zinc/38/63/14/349386314.db2.gz WDUDYNSBJWJYGA-LLVKDONJSA-N 0 0 294.351 2.776 20 5 CFBDRN O=[N+]([O-])c1cn(CCCc2c(F)cccc2F)cn1 ZINC000594443509 349423450 /nfs/dbraw/zinc/42/34/50/349423450.db2.gz QDBLOCWUUFIYSC-UHFFFAOYSA-N 0 0 267.235 2.702 20 5 CFBDRN CCN(CC)C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597094722 350029957 /nfs/dbraw/zinc/02/99/57/350029957.db2.gz ISFOJPGDDLEVQY-UHFFFAOYSA-N 0 0 286.719 2.704 20 5 CFBDRN C[C@@H]1CCCN1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597104176 350030078 /nfs/dbraw/zinc/03/00/78/350030078.db2.gz OXCMBRBROAHMSX-SSDOTTSWSA-N 0 0 298.730 2.847 20 5 CFBDRN CC1(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)CC=CC1 ZINC000594382874 349412583 /nfs/dbraw/zinc/41/25/83/349412583.db2.gz RCKQTFYUGSYQET-UHFFFAOYSA-N 0 0 285.303 2.915 20 5 CFBDRN COCCC1CN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000597184035 350046860 /nfs/dbraw/zinc/04/68/60/350046860.db2.gz RNHCRJMOIGOREG-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]nc(-c3nc(CCC4CC4)no3)c2c1 ZINC000274076773 192429973 /nfs/dbraw/zinc/42/99/73/192429973.db2.gz RACDNLKKFDHORA-UHFFFAOYSA-N 0 0 299.290 2.864 20 5 CFBDRN COc1ccc(NC(=O)C2CC3(CC3)C2)c([N+](=O)[O-])c1 ZINC000597537034 350109138 /nfs/dbraw/zinc/10/91/38/350109138.db2.gz IQVOASIXADLYBP-UHFFFAOYSA-N 0 0 276.292 2.732 20 5 CFBDRN Cc1cc(C(=O)Nc2cnccc2C)cc([N+](=O)[O-])c1 ZINC000104454958 186007147 /nfs/dbraw/zinc/00/71/47/186007147.db2.gz GCNMVRSJQRAVFS-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CCc1nsc(Oc2cc(OC)ccc2[N+](=O)[O-])n1 ZINC000564903296 290247332 /nfs/dbraw/zinc/24/73/32/290247332.db2.gz MKQRLDMYSHTYJZ-UHFFFAOYSA-N 0 0 281.293 2.810 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000271257076 191032642 /nfs/dbraw/zinc/03/26/42/191032642.db2.gz KEUBFMGKVZWAEV-SCZZXKLOSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000271257075 191032756 /nfs/dbraw/zinc/03/27/56/191032756.db2.gz KEUBFMGKVZWAEV-PSASIEDQSA-N 0 0 298.726 2.546 20 5 CFBDRN COCC1(NC(=O)c2cccc([N+](=O)[O-])c2C)CCCC1 ZINC000597882861 350147946 /nfs/dbraw/zinc/14/79/46/350147946.db2.gz LCADTSIOOXIZLE-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN COCC1(NC(=O)c2cc([N+](=O)[O-])c(C)s2)CCCC1 ZINC000597884639 350148137 /nfs/dbraw/zinc/14/81/37/350148137.db2.gz PHHAELYJDIAKGH-UHFFFAOYSA-N 0 0 298.364 2.654 20 5 CFBDRN CCc1ccc(C(=O)N[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000597887364 350148657 /nfs/dbraw/zinc/14/86/57/350148657.db2.gz FORNLDWWIKYSJG-IUODEOHRSA-N 0 0 274.320 2.830 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000104610599 186010287 /nfs/dbraw/zinc/01/02/87/186010287.db2.gz KVOXBDPROQEJCF-GXFFZTMASA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@H]1C[C@@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000271249385 191028436 /nfs/dbraw/zinc/02/84/36/191028436.db2.gz HGOBEXBBECKZKP-QWRGUYRKSA-N 0 0 293.323 2.531 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000597854155 350143168 /nfs/dbraw/zinc/14/31/68/350143168.db2.gz CWHGJWIHZDFDAK-NSHDSACASA-N 0 0 294.351 2.793 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000597951607 350162005 /nfs/dbraw/zinc/16/20/05/350162005.db2.gz KLUBNOVWMWHBFW-SNVBAGLBSA-N 0 0 279.340 2.853 20 5 CFBDRN C[C@H]1C[C@@H](CNc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000271275689 191042791 /nfs/dbraw/zinc/04/27/91/191042791.db2.gz BJEBJQICQIEFRI-UWVGGRQHSA-N 0 0 268.288 2.961 20 5 CFBDRN CC[C@H](C)CCNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000597977244 350170213 /nfs/dbraw/zinc/17/02/13/350170213.db2.gz INXDWURJWQCEOD-NSHDSACASA-N 0 0 278.352 2.998 20 5 CFBDRN CSCC1(CCNC(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000597979636 350170859 /nfs/dbraw/zinc/17/08/59/350170859.db2.gz VIPANZVFWPOMHP-UHFFFAOYSA-N 0 0 294.376 2.858 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000104775560 186021975 /nfs/dbraw/zinc/02/19/75/186021975.db2.gz WNCFFPFCYYZDQK-BXKDBHETSA-N 0 0 263.297 2.905 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])C[C@H]1C ZINC000091327264 180135963 /nfs/dbraw/zinc/13/59/63/180135963.db2.gz DVOLMZFGAMSMGH-DTORHVGOSA-N 0 0 282.727 2.976 20 5 CFBDRN CC[C@H](C)CCNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000597974914 350168429 /nfs/dbraw/zinc/16/84/29/350168429.db2.gz CNFRDPLIUARDPF-LBPRGKRZSA-N 0 0 294.351 2.916 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000597975302 350168446 /nfs/dbraw/zinc/16/84/46/350168446.db2.gz INLHRFOACHNZDG-JTQLQIEISA-N 0 0 280.324 2.769 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000597975278 350168595 /nfs/dbraw/zinc/16/85/95/350168595.db2.gz IBJFQLHZNOWHKA-SNVBAGLBSA-N 0 0 279.340 2.803 20 5 CFBDRN CC[C@@H](C)CCNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000597974913 350168624 /nfs/dbraw/zinc/16/86/24/350168624.db2.gz CNFRDPLIUARDPF-GFCCVEGCSA-N 0 0 294.351 2.916 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000597976429 350169472 /nfs/dbraw/zinc/16/94/72/350169472.db2.gz AUHRNPLCJHCLLH-VIFPVBQESA-N 0 0 298.314 2.909 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000597976428 350169647 /nfs/dbraw/zinc/16/96/47/350169647.db2.gz AUHRNPLCJHCLLH-SECBINFHSA-N 0 0 298.314 2.909 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCO[C@@H](C)C1 ZINC000271321296 191071878 /nfs/dbraw/zinc/07/18/78/191071878.db2.gz KFPZVIHWTKNPRU-NWDGAFQWSA-N 0 0 294.351 2.968 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H]2CCC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000598211586 350215349 /nfs/dbraw/zinc/21/53/49/350215349.db2.gz GKMLCDJFWLZJHA-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN CCc1nn(C)c(NCc2ccsc2)c1[N+](=O)[O-] ZINC000091559504 180191456 /nfs/dbraw/zinc/19/14/56/180191456.db2.gz TWAGULXKPIHHMI-UHFFFAOYSA-N 0 0 266.326 2.564 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2CCC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000598213394 350216056 /nfs/dbraw/zinc/21/60/56/350216056.db2.gz ORZMUACCTDXGLX-SCZZXKLOSA-N 0 0 298.364 2.652 20 5 CFBDRN C[C@@]1(CCNC(=O)c2csc([N+](=O)[O-])c2)CC1(F)F ZINC000598214865 350216599 /nfs/dbraw/zinc/21/65/99/350216599.db2.gz GUKDHLRJDCLUIZ-SNVBAGLBSA-N 0 0 290.291 2.822 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCCC1CCC1 ZINC000598218191 350217519 /nfs/dbraw/zinc/21/75/19/350217519.db2.gz JOTNLWMYBMVKSE-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc([N+](=O)[O-])cc2F)CC1(F)F ZINC000598219091 350218269 /nfs/dbraw/zinc/21/82/69/350218269.db2.gz OPHCGYGDEBVWOR-NSHDSACASA-N 0 0 288.225 2.509 20 5 CFBDRN CC[C@H](NC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1)C1CC1 ZINC000598231854 350220996 /nfs/dbraw/zinc/22/09/96/350220996.db2.gz BKZFKEAYURFPBF-LBPRGKRZSA-N 0 0 292.335 2.830 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cn2)cc1C ZINC000598144517 350209735 /nfs/dbraw/zinc/20/97/35/350209735.db2.gz YNVNDBDNMIQFJY-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCCOC(C)(C)C ZINC000598187634 350211246 /nfs/dbraw/zinc/21/12/46/350211246.db2.gz UFJSQCDXKTUQMX-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN CC(C)(F)CCNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000598196674 350211946 /nfs/dbraw/zinc/21/19/46/350211946.db2.gz DQVFDONAPFGOMT-UHFFFAOYSA-N 0 0 293.298 2.944 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CC[C@H]2C[C@H]2C1 ZINC000598331686 350237419 /nfs/dbraw/zinc/23/74/19/350237419.db2.gz QZHIAIYBGIZZBQ-NZBPQXDJSA-N 0 0 286.331 2.567 20 5 CFBDRN CC(C)(C(=O)N1CC[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000598331604 350237425 /nfs/dbraw/zinc/23/74/25/350237425.db2.gz PLUJCBMJPLVFSF-RYUDHWBXSA-N 0 0 288.347 2.741 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000271340129 191083311 /nfs/dbraw/zinc/08/33/11/191083311.db2.gz FXKKURDRULDQFF-CABZTGNLSA-N 0 0 278.308 2.777 20 5 CFBDRN CC1(C)[C@H](CNC(=O)Cc2ccc([N+](=O)[O-])cc2)C1(F)F ZINC000598340000 350239976 /nfs/dbraw/zinc/23/99/76/350239976.db2.gz FVPNKNMXEBWPDW-NSHDSACASA-N 0 0 298.289 2.545 20 5 CFBDRN CC[C@](C)(NC(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598251171 350224983 /nfs/dbraw/zinc/22/49/83/350224983.db2.gz QBSOWVSPRDERGW-HNNXBMFYSA-N 0 0 276.336 2.832 20 5 CFBDRN CO[C@H](CNC(=O)c1csc([N+](=O)[O-])c1)C1CCCC1 ZINC000598396923 350256671 /nfs/dbraw/zinc/25/66/71/350256671.db2.gz ZQFJNNXKEFIGCH-LLVKDONJSA-N 0 0 298.364 2.591 20 5 CFBDRN COc1ccc(C(=O)NC2(CC(C)C)CC2)cc1[N+](=O)[O-] ZINC000598402630 350257083 /nfs/dbraw/zinc/25/70/83/350257083.db2.gz KNPVQVNNDPERGR-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC(C)CC1(NC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000598403232 350257319 /nfs/dbraw/zinc/25/73/19/350257319.db2.gz YMIRCRMCYBWYAP-UHFFFAOYSA-N 0 0 262.309 2.903 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCO[C@H](C)C2)c1 ZINC000271346661 191087509 /nfs/dbraw/zinc/08/75/09/191087509.db2.gz NHCBSYLCKOXZSQ-GHMZBOCLSA-N 0 0 280.324 2.830 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCC1=CCCC1 ZINC000598377662 350252209 /nfs/dbraw/zinc/25/22/09/350252209.db2.gz YKOXNAMLDDHVEA-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000598381008 350253045 /nfs/dbraw/zinc/25/30/45/350253045.db2.gz IJLBEDJPBFHXGN-CYBMUJFWSA-N 0 0 280.299 2.898 20 5 CFBDRN CC(C)[C@@H](NC(=O)COc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598381410 350253736 /nfs/dbraw/zinc/25/37/36/350253736.db2.gz MTMJXFKIKBUCLW-OAHLLOKOSA-N 0 0 292.335 2.524 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000598384832 350254423 /nfs/dbraw/zinc/25/44/23/350254423.db2.gz GEMYRHDNQCJKLT-ZDUSSCGKSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1cc(OCC(=O)N[C@]2(C)CC2(C)C)ccc1[N+](=O)[O-] ZINC000598385489 350254461 /nfs/dbraw/zinc/25/44/61/350254461.db2.gz JUBCVAHPWHXFGD-OAHLLOKOSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@]1(C)CC1(C)C ZINC000598384509 350254490 /nfs/dbraw/zinc/25/44/90/350254490.db2.gz FTIAEWKRNKXMDD-AWEZNQCLSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@]1(C)CC1(C)C ZINC000598387173 350255146 /nfs/dbraw/zinc/25/51/46/350255146.db2.gz RVKYTRIJGDTKSC-AWEZNQCLSA-N 0 0 262.309 2.822 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000598386355 350255253 /nfs/dbraw/zinc/25/52/53/350255253.db2.gz QBKFYSWBAMKEPS-LLVKDONJSA-N 0 0 254.311 2.575 20 5 CFBDRN COc1c(C(=O)N[C@]2(C)CC2(C)C)cccc1[N+](=O)[O-] ZINC000598387989 350255275 /nfs/dbraw/zinc/25/52/75/350255275.db2.gz WDZGJNOHUWROGW-CQSZACIVSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@@H](C(=O)N1CC2CC1(C)C2)c1ccc([N+](=O)[O-])cc1F ZINC000598571559 350283940 /nfs/dbraw/zinc/28/39/40/350283940.db2.gz QLTUSGKVQYRUAG-SBTGLGLWSA-N 0 0 292.310 2.848 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000598439884 350269565 /nfs/dbraw/zinc/26/95/65/350269565.db2.gz YYUPWBYRKNJUDT-LLVKDONJSA-N 0 0 291.351 2.936 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000598449145 350271002 /nfs/dbraw/zinc/27/10/02/350271002.db2.gz REGSPLJWCLAIJW-QXMXGUDHSA-N 0 0 288.347 2.927 20 5 CFBDRN Cc1cc(C(=O)NC2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000598503638 350277682 /nfs/dbraw/zinc/27/76/82/350277682.db2.gz ZEEYKPXVNAJQLA-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN CCC(C)(C)CNc1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC000598621964 350295581 /nfs/dbraw/zinc/29/55/81/350295581.db2.gz ZAVAMTSLCYPTRI-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN Cc1nccnc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000598640893 350299984 /nfs/dbraw/zinc/29/99/84/350299984.db2.gz PBNWEQPRUBLCCW-JTQLQIEISA-N 0 0 272.308 2.544 20 5 CFBDRN CC1(c2ccccc2)CN(c2cccnc2[N+](=O)[O-])C1 ZINC000598639786 350300063 /nfs/dbraw/zinc/30/00/63/350300063.db2.gz VCARFLNSJMGHAC-UHFFFAOYSA-N 0 0 269.304 2.768 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CC(CC4CC4)C3)c21 ZINC000598643491 350300641 /nfs/dbraw/zinc/30/06/41/350300641.db2.gz LDYTVJXJBOOJJX-UHFFFAOYSA-N 0 0 284.319 2.774 20 5 CFBDRN Cc1c(CNC(=O)NCC2CC(C)C2)cccc1[N+](=O)[O-] ZINC000598655517 350303920 /nfs/dbraw/zinc/30/39/20/350303920.db2.gz UIZXCXRRQFPSCO-UHFFFAOYSA-N 0 0 291.351 2.749 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC[C@H](OC)C1 ZINC000598842125 350338142 /nfs/dbraw/zinc/33/81/42/350338142.db2.gz HSNGTKAYDYLBHA-YPMHNXCESA-N 0 0 292.335 2.911 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCc2cnoc2C2CC2)c1 ZINC000271362142 191096618 /nfs/dbraw/zinc/09/66/18/191096618.db2.gz IVJCNVBYICRFSV-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN O=C(C1CC1)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598883436 350344396 /nfs/dbraw/zinc/34/43/96/350344396.db2.gz NRQIPHWWWZYFIZ-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CCCOCC(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598884873 350345021 /nfs/dbraw/zinc/34/50/21/350345021.db2.gz ZTWQAIXYFHAGDV-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN C[C@H](CO)CSc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599049214 350366114 /nfs/dbraw/zinc/36/61/14/350366114.db2.gz NQEDODZADXLIQL-SECBINFHSA-N 0 0 278.333 2.864 20 5 CFBDRN CNc1c(C(=O)N2CC(CC3CC3)C2)cccc1[N+](=O)[O-] ZINC000598782059 350329331 /nfs/dbraw/zinc/32/93/31/350329331.db2.gz VBBFPBCVTQGUHH-UHFFFAOYSA-N 0 0 289.335 2.509 20 5 CFBDRN CN(C(=O)[C@H]1CCC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000598804628 350331037 /nfs/dbraw/zinc/33/10/37/350331037.db2.gz ZWVDRFGWUGSWFO-GFCCVEGCSA-N 0 0 262.309 2.994 20 5 CFBDRN C[C@@H](CC(=O)N(C)c1ccc([N+](=O)[O-])cc1)[C@H]1CCCO1 ZINC000598804115 350331082 /nfs/dbraw/zinc/33/10/82/350331082.db2.gz SHGRIZQEBSWCTL-SMDDNHRTSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599067080 350369154 /nfs/dbraw/zinc/36/91/54/350369154.db2.gz XTOQSSHQAHURLZ-NXEZZACHSA-N 0 0 275.308 2.714 20 5 CFBDRN COCC1=CCN(c2ccc3cnccc3c2[N+](=O)[O-])CC1 ZINC000599069320 350369972 /nfs/dbraw/zinc/36/99/72/350369972.db2.gz GLSCWMYOHYERGT-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CC[C@H](CNc1ccc2cnccc2c1[N+](=O)[O-])OC ZINC000599080855 350372535 /nfs/dbraw/zinc/37/25/35/350372535.db2.gz KVURMIWEPICTPM-LLVKDONJSA-N 0 0 275.308 2.980 20 5 CFBDRN C[C@H](CCCO)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599084209 350373574 /nfs/dbraw/zinc/37/35/74/350373574.db2.gz UMSJNHYRFPFMKA-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CCO[C@@H](C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000599102628 350376189 /nfs/dbraw/zinc/37/61/89/350376189.db2.gz NNEYGPQBFLISCS-QMTHXVAHSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1c1cn[nH]c1 ZINC000599108814 350376498 /nfs/dbraw/zinc/37/64/98/350376498.db2.gz WPHPGYXBZKUJKV-LLVKDONJSA-N 0 0 258.281 2.659 20 5 CFBDRN Cc1ccnc([C@H]2CCN(c3ccc([N+](=O)[O-])nc3)C2)c1 ZINC000599103519 350376669 /nfs/dbraw/zinc/37/66/69/350376669.db2.gz WSNAZHPDAQAHIL-LBPRGKRZSA-N 0 0 284.319 2.687 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(C23CC(C2)C3)n1 ZINC000599112924 350377437 /nfs/dbraw/zinc/37/74/37/350377437.db2.gz HUKNMAGCOWOSAW-UHFFFAOYSA-N 0 0 271.276 2.620 20 5 CFBDRN COc1cccc(NCC[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000599116335 350377847 /nfs/dbraw/zinc/37/78/47/350377847.db2.gz GXUISAPVHPROHY-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@H]2CCCOC2)nc2sccn21 ZINC000599117463 350378671 /nfs/dbraw/zinc/37/86/71/350378671.db2.gz UNXGTPDTRSRELT-SECBINFHSA-N 0 0 296.352 2.533 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2F)C[C@@H](C)C1(F)F ZINC000599119541 350379359 /nfs/dbraw/zinc/37/93/59/350379359.db2.gz SOOWXIWGEXONLT-HTQZYQBOSA-N 0 0 289.257 2.856 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCC(F)(F)CC1 ZINC000599134942 350381463 /nfs/dbraw/zinc/38/14/63/350381463.db2.gz DTCFLOJQBRFTRU-UHFFFAOYSA-N 0 0 288.298 2.745 20 5 CFBDRN CCOc1cc(Nc2cnn(CCF)c2)ccc1[N+](=O)[O-] ZINC000599141837 350383767 /nfs/dbraw/zinc/38/37/67/350383767.db2.gz RWPKOBOFWXJRPF-UHFFFAOYSA-N 0 0 294.286 2.903 20 5 CFBDRN NC(=O)c1ccc(NCC[C@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000599156016 350386347 /nfs/dbraw/zinc/38/63/47/350386347.db2.gz QLLBAQWZWCWMIY-NSHDSACASA-N 0 0 289.335 2.852 20 5 CFBDRN CCC(F)(F)C(C)(C)CNc1ncc([N+](=O)[O-])cn1 ZINC000599155628 350386422 /nfs/dbraw/zinc/38/64/22/350386422.db2.gz JRJHHDCRKKIKGG-UHFFFAOYSA-N 0 0 274.271 2.868 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C ZINC000599162855 350386935 /nfs/dbraw/zinc/38/69/35/350386935.db2.gz APKRTSNAJNXXEF-WDCWCFNPSA-N 0 0 265.313 2.522 20 5 CFBDRN Cc1nc(N[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000599164414 350387604 /nfs/dbraw/zinc/38/76/04/350387604.db2.gz MYXJZHFNUMFYKW-DTITTZBUSA-N 0 0 265.313 2.522 20 5 CFBDRN CC(C)(CNc1ccsc1[N+](=O)[O-])C(=O)N1CCCC1 ZINC000599170743 350388671 /nfs/dbraw/zinc/38/86/71/350388671.db2.gz PPYHKHWIAQJNMK-UHFFFAOYSA-N 0 0 297.380 2.717 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000599179760 350390156 /nfs/dbraw/zinc/39/01/56/350390156.db2.gz HMQRLSRXRPDNDD-IINYFYTJSA-N 0 0 278.308 2.583 20 5 CFBDRN C[C@H]1CC[C@@H](c2noc(-c3ccccc3[N+](=O)[O-])n2)O1 ZINC000599178067 350390175 /nfs/dbraw/zinc/39/01/75/350390175.db2.gz XZGXQKBLLVWCIW-KWQFWETISA-N 0 0 275.264 2.885 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000599179759 350390375 /nfs/dbraw/zinc/39/03/75/350390375.db2.gz HMQRLSRXRPDNDD-HZMBPMFUSA-N 0 0 278.308 2.583 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000599181082 350391013 /nfs/dbraw/zinc/39/10/13/350391013.db2.gz PNONVXOTZNXLMJ-JOYOIKCWSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000599181941 350391436 /nfs/dbraw/zinc/39/14/36/350391436.db2.gz VJRDLCCFUCQTDG-ONGXEEELSA-N 0 0 283.715 2.623 20 5 CFBDRN Cc1ccc(N[C@@H]2CO[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000599181988 350391496 /nfs/dbraw/zinc/39/14/96/350391496.db2.gz VWPSLAJZSLURML-FZMZJTMJSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1ccnc(N2CCc3c(O)cccc3C2)c1[N+](=O)[O-] ZINC000599183258 350392180 /nfs/dbraw/zinc/39/21/80/350392180.db2.gz SQUOUEVAFQUIJW-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)C1 ZINC000599189273 350392686 /nfs/dbraw/zinc/39/26/86/350392686.db2.gz BDKRXIZCYFSLMQ-KYZUINATSA-N 0 0 288.250 2.786 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCC2(CC2)CC1 ZINC000599191350 350393290 /nfs/dbraw/zinc/39/32/90/350393290.db2.gz LETMMZJGDHDRBP-UHFFFAOYSA-N 0 0 278.356 2.890 20 5 CFBDRN CO[C@H]1C[C@H](Nc2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000599193256 350393509 /nfs/dbraw/zinc/39/35/09/350393509.db2.gz IRCQWHHJWZSWRI-MGCOHNPYSA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@@H](c2ccc(O)cc2)C1 ZINC000599191945 350393561 /nfs/dbraw/zinc/39/35/61/350393561.db2.gz PGPDNLZBLBTTSO-GFCCVEGCSA-N 0 0 285.303 2.689 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000599198196 350395102 /nfs/dbraw/zinc/39/51/02/350395102.db2.gz ZMNDEYIPDXLJQI-KLPPZKSPSA-N 0 0 278.308 2.634 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCC23CC3)ccc1[N+](=O)[O-] ZINC000599205060 350395808 /nfs/dbraw/zinc/39/58/08/350395808.db2.gz BRYRNRHTCFKXCJ-NSHDSACASA-N 0 0 261.325 2.712 20 5 CFBDRN Nc1cc(NC[C@H]2CCCC23CC3)cc(CO)c1[N+](=O)[O-] ZINC000599206984 350396392 /nfs/dbraw/zinc/39/63/92/350396392.db2.gz UADKVIAMQMNDRE-LLVKDONJSA-N 0 0 291.351 2.662 20 5 CFBDRN Nc1cc(NC[C@@H]2CCCC23CC3)cc(CO)c1[N+](=O)[O-] ZINC000599206986 350396657 /nfs/dbraw/zinc/39/66/57/350396657.db2.gz UADKVIAMQMNDRE-NSHDSACASA-N 0 0 291.351 2.662 20 5 CFBDRN COc1cc(NC[C@H]2C[C@@H](OC)C2)c(F)cc1[N+](=O)[O-] ZINC000599206314 350396725 /nfs/dbraw/zinc/39/67/25/350396725.db2.gz QTCRNXFGFZAYOF-DTORHVGOSA-N 0 0 284.287 2.579 20 5 CFBDRN CCO[C@H](CN1CCc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000599340313 350418877 /nfs/dbraw/zinc/41/88/77/350418877.db2.gz SJRWSHDAFWFGQD-OAHLLOKOSA-N 0 0 276.336 2.772 20 5 CFBDRN CC(C)(CCc1noc(/C=C/c2cncs2)n1)[N+](=O)[O-] ZINC000291027776 197893538 /nfs/dbraw/zinc/89/35/38/197893538.db2.gz DOSLWYGIFGRCHQ-ONEGZZNKSA-N 0 0 294.336 2.684 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1Cc2ccccc2C1 ZINC000106261078 186105968 /nfs/dbraw/zinc/10/59/68/186105968.db2.gz ZIICRFGXHPYFKQ-UHFFFAOYSA-N 0 0 297.314 2.820 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN1Cc2ccccc2C1 ZINC000106303376 186107362 /nfs/dbraw/zinc/10/73/62/186107362.db2.gz JALAPLMKXCKOTJ-UHFFFAOYSA-N 0 0 284.315 2.989 20 5 CFBDRN O=C(N1CCc2cc([N+](=O)[O-])ccc21)C1(CCF)CC1 ZINC000291035279 197896384 /nfs/dbraw/zinc/89/63/84/197896384.db2.gz AFWORIJCWAJWLU-UHFFFAOYSA-N 0 0 278.283 2.624 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000291040531 197897111 /nfs/dbraw/zinc/89/71/11/197897111.db2.gz YFKYMUXQTNLZNE-JTQLQIEISA-N 0 0 268.288 2.881 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000599745765 350490724 /nfs/dbraw/zinc/49/07/24/350490724.db2.gz YITGIXIIKQKQPS-CYBMUJFWSA-N 0 0 296.323 2.747 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@H](CCF)C1 ZINC000599641001 350470824 /nfs/dbraw/zinc/47/08/24/350470824.db2.gz GJOSFRQGVXPHTE-BXUZGUMPSA-N 0 0 282.315 2.716 20 5 CFBDRN CC[C@H](C)CN[C@](C)(C(=O)OC)c1cccc([N+](=O)[O-])c1 ZINC000599652218 350474076 /nfs/dbraw/zinc/47/40/76/350474076.db2.gz KUCXYMBAXZBNTR-NHYWBVRUSA-N 0 0 294.351 2.619 20 5 CFBDRN Cc1nn(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2C)c1C ZINC000106718453 186133517 /nfs/dbraw/zinc/13/35/17/186133517.db2.gz QHTNOBMLGOEHJZ-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CCC(O)(CC)CN(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000599693809 350485342 /nfs/dbraw/zinc/48/53/42/350485342.db2.gz MPAWSKKZKGCZII-UHFFFAOYSA-N 0 0 295.339 2.610 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000599703002 350485999 /nfs/dbraw/zinc/48/59/99/350485999.db2.gz WGBNZQDBQDNBPC-OCCSQVGLSA-N 0 0 293.323 2.592 20 5 CFBDRN O=C(N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)C12CC(C1)C2 ZINC000599724661 350488602 /nfs/dbraw/zinc/48/86/02/350488602.db2.gz MUIRZPNRHNSIPV-UHFFFAOYSA-N 0 0 286.331 2.886 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccncc2C)c1 ZINC000107289074 186167542 /nfs/dbraw/zinc/16/75/42/186167542.db2.gz BBYNHYXGKZDVAF-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])c2ccncc12)C1CC1 ZINC000599830838 350495544 /nfs/dbraw/zinc/49/55/44/350495544.db2.gz WXFRGPJFJFGGNI-UHFFFAOYSA-N 0 0 286.291 2.769 20 5 CFBDRN Cc1cnccc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000107292232 186166511 /nfs/dbraw/zinc/16/65/11/186166511.db2.gz WULTZSUZRLMBFE-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000600187135 350535309 /nfs/dbraw/zinc/53/53/09/350535309.db2.gz ATHZJWCWJNGGDE-AOOOYVTPSA-N 0 0 298.289 2.958 20 5 CFBDRN C[N@H+](C/C=C\c1ccccc1[N+](=O)[O-])CC1(C)COC1 ZINC000600189678 350535800 /nfs/dbraw/zinc/53/58/00/350535800.db2.gz JANPLWCTNUZKAE-ALCCZGGFSA-N 0 0 276.336 2.576 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@H](C)C1(F)F ZINC000600189294 350536064 /nfs/dbraw/zinc/53/60/64/350536064.db2.gz OVDACUMPZAMDDR-UWVGGRQHSA-N 0 0 298.289 2.958 20 5 CFBDRN CC(C)(C)CNC(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000600547288 350568537 /nfs/dbraw/zinc/56/85/37/350568537.db2.gz ABSGBQQQACBXSS-UHFFFAOYSA-N 0 0 282.365 2.849 20 5 CFBDRN C[C@@H]1C[C@H]1Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000600564772 350570836 /nfs/dbraw/zinc/57/08/36/350570836.db2.gz YJWOWIZCLXZKNQ-ZJUUUORDSA-N 0 0 258.277 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCc2csnn2)cc1 ZINC000600567995 350571712 /nfs/dbraw/zinc/57/17/12/350571712.db2.gz GNWABMPBBINCSK-UHFFFAOYSA-N 0 0 297.361 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCn2cccn2)cc1 ZINC000600571649 350572776 /nfs/dbraw/zinc/57/27/76/350572776.db2.gz VOVSSJBQMAFPLU-UHFFFAOYSA-N 0 0 279.321 2.561 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCC1CC=CC1 ZINC000600225164 350543558 /nfs/dbraw/zinc/54/35/58/350543558.db2.gz ZITGKJYSAMGXMN-UHFFFAOYSA-N 0 0 274.320 2.610 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC1CC=CC1 ZINC000600224674 350543621 /nfs/dbraw/zinc/54/36/21/350543621.db2.gz PJWFTPZABMNUFI-UHFFFAOYSA-N 0 0 260.293 2.599 20 5 CFBDRN Cc1ccc(C(=O)N(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000600360891 350550575 /nfs/dbraw/zinc/55/05/75/350550575.db2.gz RUHBGNKLSIDERN-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC(Cc2ccco2)C1 ZINC000600368599 350551334 /nfs/dbraw/zinc/55/13/34/350551334.db2.gz WIPVWUYSCUWREC-UHFFFAOYSA-N 0 0 292.316 2.564 20 5 CFBDRN CCC(F)(F)CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000600380376 350552163 /nfs/dbraw/zinc/55/21/63/350552163.db2.gz UBAYVZKTWIBCJU-UHFFFAOYSA-N 0 0 297.261 2.851 20 5 CFBDRN C/C=C/CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000600494777 350558983 /nfs/dbraw/zinc/55/89/83/350558983.db2.gz XTVOTEDALQZTHJ-CDAZIORVSA-N 0 0 266.272 2.530 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2noc(C3CCC3)n2)c1 ZINC000600508227 350560073 /nfs/dbraw/zinc/56/00/73/350560073.db2.gz GUIFSVDVKDZBEX-UHFFFAOYSA-N 0 0 275.264 2.824 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2noc(C3CCC3)n2)cc1 ZINC000600508218 350560348 /nfs/dbraw/zinc/56/03/48/350560348.db2.gz GHEOVRHIOUHLSR-UHFFFAOYSA-N 0 0 275.264 2.824 20 5 CFBDRN C[C@H]1C[C@@H]1Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000600523976 350563074 /nfs/dbraw/zinc/56/30/74/350563074.db2.gz ZONMGBBYXIAZLM-GXSJLCMTSA-N 0 0 258.281 2.509 20 5 CFBDRN Cc1ccc(OCCCc2cnoc2)cc1[N+](=O)[O-] ZINC000600530852 350565591 /nfs/dbraw/zinc/56/55/91/350565591.db2.gz CSHWTLNQZQIVSB-UHFFFAOYSA-N 0 0 262.265 2.903 20 5 CFBDRN Cc1nn(CCCc2cnoc2)c2ccc([N+](=O)[O-])cc12 ZINC000600532845 350566527 /nfs/dbraw/zinc/56/65/27/350566527.db2.gz BUTBGTGUVOWPPP-UHFFFAOYSA-N 0 0 286.291 2.874 20 5 CFBDRN C[C@@H]1C[C@@H]1Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000600538414 350567695 /nfs/dbraw/zinc/56/76/95/350567695.db2.gz SPIJSVPXBLEWDQ-MWLCHTKSSA-N 0 0 258.281 2.509 20 5 CFBDRN CCn1nc(C)cc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000600603263 350578249 /nfs/dbraw/zinc/57/82/49/350578249.db2.gz GWLQCPQQUZFKBH-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCOc1cc(N[C@@H]2CCCC[C@@H]2CO)ccc1[N+](=O)[O-] ZINC000092656437 180425365 /nfs/dbraw/zinc/42/53/65/180425365.db2.gz OXKXCUVIAKAQAN-DGCLKSJQSA-N 0 0 294.351 2.957 20 5 CFBDRN C[C@@H](NCc1cscn1)c1ccc([N+](=O)[O-])cc1 ZINC000108941572 186236625 /nfs/dbraw/zinc/23/66/25/186236625.db2.gz OXOKKLHKYTWYJU-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN C[C@H](NCc1cscn1)c1ccc([N+](=O)[O-])cc1 ZINC000108941570 186237022 /nfs/dbraw/zinc/23/70/22/186237022.db2.gz OXOKKLHKYTWYJU-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1CCCOC1 ZINC000109548034 186262013 /nfs/dbraw/zinc/26/20/13/186262013.db2.gz MBMSRUPCAVHGPY-VIFPVBQESA-N 0 0 256.689 2.839 20 5 CFBDRN CCC(O)(CC)CN(C)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000600768165 350607813 /nfs/dbraw/zinc/60/78/13/350607813.db2.gz YANLCVBCDOFZEJ-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN CCc1[nH]nc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)c1C ZINC000109846015 186277130 /nfs/dbraw/zinc/27/71/30/186277130.db2.gz HQFWHPROKPFQSZ-UHFFFAOYSA-N 0 0 289.295 2.833 20 5 CFBDRN CCCN(CC)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600992961 350642463 /nfs/dbraw/zinc/64/24/63/350642463.db2.gz NFFOKJNOOKTAQZ-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)Nc1ccc([N+](=O)[O-])cc1 ZINC000110178020 186287925 /nfs/dbraw/zinc/28/79/25/186287925.db2.gz UJUKDWIIENVPQX-ZDUSSCGKSA-N 0 0 278.308 2.883 20 5 CFBDRN COC(=O)C1(NCc2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000109799468 186273902 /nfs/dbraw/zinc/27/39/02/186273902.db2.gz XSBQXBBSCCILDN-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN C[C@@H](NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1)C1CC1 ZINC000600956734 350636399 /nfs/dbraw/zinc/63/63/99/350636399.db2.gz YXFJFJTYTWZXFC-LLVKDONJSA-N 0 0 288.347 2.931 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ncc(F)cc2[N+](=O)[O-])C12CCC2 ZINC000601118884 350673093 /nfs/dbraw/zinc/67/30/93/350673093.db2.gz JDFBIAJNZUDMDW-NEPJUHHUSA-N 0 0 295.314 2.889 20 5 CFBDRN COc1ccc(OC[C@H]2CC(C)(C)CO2)c([N+](=O)[O-])c1 ZINC000601071850 350658075 /nfs/dbraw/zinc/65/80/75/350658075.db2.gz BCMLOEBHUZLQER-LLVKDONJSA-N 0 0 281.308 2.797 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000110961486 186338050 /nfs/dbraw/zinc/33/80/50/186338050.db2.gz JTGQVWHVZBQPHY-SECBINFHSA-N 0 0 290.323 2.589 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000601080731 350661542 /nfs/dbraw/zinc/66/15/42/350661542.db2.gz POCOPGTVMTXOAQ-DTWKUNHWSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1cc(OC[C@H]2CCO[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000601081692 350662101 /nfs/dbraw/zinc/66/21/01/350662101.db2.gz SIVVDDKAIJCDIW-NXEZZACHSA-N 0 0 269.272 2.846 20 5 CFBDRN COc1cc(Cn2cc(C)nc2C)c([N+](=O)[O-])cc1F ZINC000601081040 350662430 /nfs/dbraw/zinc/66/24/30/350662430.db2.gz SEYKMACVPPQFJS-UHFFFAOYSA-N 0 0 279.271 2.604 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@@H]2CC(C)(C)CO2)c1C ZINC000601083422 350662887 /nfs/dbraw/zinc/66/28/87/350662887.db2.gz GIMHRAQHDVWNSG-NSHDSACASA-N 0 0 280.324 2.801 20 5 CFBDRN O=C1[C@@H](Sc2cccc([N+](=O)[O-])c2)CCN1C1CCC1 ZINC000601082329 350663087 /nfs/dbraw/zinc/66/30/87/350663087.db2.gz GKKOKPLCAQRCMM-ZDUSSCGKSA-N 0 0 292.360 2.840 20 5 CFBDRN CCOC(=O)CCSCc1ccccc1[N+](=O)[O-] ZINC000601091253 350665657 /nfs/dbraw/zinc/66/56/57/350665657.db2.gz JIZBVUOPYNJOTF-UHFFFAOYSA-N 0 0 269.322 2.781 20 5 CFBDRN CCO[C@H](CCNc1ncc(F)cc1[N+](=O)[O-])C(C)C ZINC000601125251 350675040 /nfs/dbraw/zinc/67/50/40/350675040.db2.gz ZGQSPQYROOKBQH-GFCCVEGCSA-N 0 0 285.319 2.992 20 5 CFBDRN CC[C@@]1(O)CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000601232288 350704948 /nfs/dbraw/zinc/70/49/48/350704948.db2.gz BVSVWEJIQLKVLM-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1COC2(CCCC2)O1 ZINC000271403743 191118160 /nfs/dbraw/zinc/11/81/60/191118160.db2.gz WCACEJKDQOHPNW-LLVKDONJSA-N 0 0 278.308 2.692 20 5 CFBDRN CC1(F)CCN(c2ncnc3sc([N+](=O)[O-])cc32)CC1 ZINC000601246980 350708524 /nfs/dbraw/zinc/70/85/24/350708524.db2.gz XAYBOBVBUCIMPC-UHFFFAOYSA-N 0 0 296.327 2.928 20 5 CFBDRN CO[C@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])C(C)(C)C ZINC000601266847 350712406 /nfs/dbraw/zinc/71/24/06/350712406.db2.gz CJYSAWLEEPIYCS-LLVKDONJSA-N 0 0 298.314 2.525 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCCn2nccc21 ZINC000601269186 350713043 /nfs/dbraw/zinc/71/30/43/350713043.db2.gz DKAZQKPDJIORTM-SECBINFHSA-N 0 0 293.714 2.787 20 5 CFBDRN C[C@]1(C2CCCCC2)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000601269620 350713343 /nfs/dbraw/zinc/71/33/43/350713343.db2.gz JGNNWIHIENJPPP-CQSZACIVSA-N 0 0 276.340 2.934 20 5 CFBDRN CCc1nn(C)c(NCc2cccc3[nH]ccc32)c1[N+](=O)[O-] ZINC000601270362 350713671 /nfs/dbraw/zinc/71/36/71/350713671.db2.gz WMROKMGABGCPJD-UHFFFAOYSA-N 0 0 299.334 2.984 20 5 CFBDRN CC1(CNC(=O)C2(c3ccc([N+](=O)[O-])cc3)CCC2)CC1 ZINC000601274783 350714755 /nfs/dbraw/zinc/71/47/55/350714755.db2.gz WSXYJYOMUQSRMW-UHFFFAOYSA-N 0 0 288.347 2.933 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NCC1(C)CC1 ZINC000601126410 350676139 /nfs/dbraw/zinc/67/61/39/350676139.db2.gz XZBACRJDIFSSAY-UHFFFAOYSA-N 0 0 278.234 2.559 20 5 CFBDRN CC[C@@H](C)N(C)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601129090 350676661 /nfs/dbraw/zinc/67/66/61/350676661.db2.gz MRMCRRNLZKFRNS-ZCFIWIBFSA-N 0 0 280.250 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@@]4(CCOC4)C3)c2c1 ZINC000601129262 350676913 /nfs/dbraw/zinc/67/69/13/350676913.db2.gz OUGJRWBIFAECKN-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601128861 350676997 /nfs/dbraw/zinc/67/69/97/350676997.db2.gz FDSTZTPRYFGTGZ-KNVOCYPGSA-N 0 0 292.261 2.724 20 5 CFBDRN CC1(C)C[C@H](Nc2ncc(F)cc2[N+](=O)[O-])C(C)(C)O1 ZINC000601140608 350680718 /nfs/dbraw/zinc/68/07/18/350680718.db2.gz ZJXZHIVQACSRHA-JTQLQIEISA-N 0 0 283.303 2.887 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@H](OCC2CC2)C1 ZINC000601147256 350682219 /nfs/dbraw/zinc/68/22/19/350682219.db2.gz KFIKCMQTYZJOSO-LBPRGKRZSA-N 0 0 295.314 2.524 20 5 CFBDRN CCC[C@@H](C)C[NH2+][C@@](C)(CO)c1cccc([N+](=O)[O-])c1 ZINC000601150342 350683007 /nfs/dbraw/zinc/68/30/07/350683007.db2.gz WBBZFALEMUWEQT-DOMZBBRYSA-N 0 0 280.368 2.828 20 5 CFBDRN CO[C@H](CCNc1ncc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000601153840 350683660 /nfs/dbraw/zinc/68/36/60/350683660.db2.gz VBNGUMNENHJTNP-MRVPVSSYSA-N 0 0 297.208 2.508 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1c1ncc(F)cc1[N+](=O)[O-] ZINC000601158076 350684588 /nfs/dbraw/zinc/68/45/88/350684588.db2.gz MIFFGCDKTOUNOA-POYBYMJQSA-N 0 0 293.220 2.906 20 5 CFBDRN CCc1noc(C)c1CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601168810 350687020 /nfs/dbraw/zinc/68/70/20/350687020.db2.gz DWCKUYXWPYBDGR-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN C[C@H](F)CCNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601170284 350687489 /nfs/dbraw/zinc/68/74/89/350687489.db2.gz ZUMRWMXKJBVEGK-YFKPBYRVSA-N 0 0 284.213 2.507 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccsc2[N+](=O)[O-])c2nccn21 ZINC000601171392 350687966 /nfs/dbraw/zinc/68/79/66/350687966.db2.gz KYTNFWAYVBGLTH-IONNQARKSA-N 0 0 264.310 2.971 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)CS1 ZINC000601175573 350689533 /nfs/dbraw/zinc/68/95/33/350689533.db2.gz JGNLKDLTGGEQCK-NXEZZACHSA-N 0 0 289.360 2.871 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1nc(C(F)F)no1 ZINC000601178607 350690413 /nfs/dbraw/zinc/69/04/13/350690413.db2.gz VQFHRFHXIDCMTC-UHFFFAOYSA-N 0 0 276.224 2.589 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC[C@H](c2cccnc2)C1 ZINC000601181967 350690701 /nfs/dbraw/zinc/69/07/01/350690701.db2.gz PXXYBPQBGXRJIC-NSHDSACASA-N 0 0 288.282 2.518 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NC1(C)CC=CC1 ZINC000601187298 350691562 /nfs/dbraw/zinc/69/15/62/350691562.db2.gz QZLGZMBDMWZYMW-UHFFFAOYSA-N 0 0 290.245 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@@H]4C[C@@H]4C3)c2c1 ZINC000601195811 350694242 /nfs/dbraw/zinc/69/42/42/350694242.db2.gz CMEMQJMUULFXOB-GHMZBOCLSA-N 0 0 269.304 2.989 20 5 CFBDRN CC(C)(CNc1ncc(Cl)cc1[N+](=O)[O-])n1ccnc1 ZINC000601198302 350694856 /nfs/dbraw/zinc/69/48/56/350694856.db2.gz UPXFZSAOESGUKC-UHFFFAOYSA-N 0 0 295.730 2.687 20 5 CFBDRN C[C@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])c1ccncc1 ZINC000601204250 350696804 /nfs/dbraw/zinc/69/68/04/350696804.db2.gz WRGFOAUIONSBNN-NSHDSACASA-N 0 0 297.314 2.683 20 5 CFBDRN CC(C)(F)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000601206206 350696828 /nfs/dbraw/zinc/69/68/28/350696828.db2.gz QDCZJMYBICBDIW-UHFFFAOYSA-N 0 0 253.277 2.723 20 5 CFBDRN CCC[C@H](CCO)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000601212507 350698716 /nfs/dbraw/zinc/69/87/16/350698716.db2.gz MUAPXSVHJKEZAJ-SNVBAGLBSA-N 0 0 279.340 2.526 20 5 CFBDRN CCC[C@@H](CCO)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000601212505 350698827 /nfs/dbraw/zinc/69/88/27/350698827.db2.gz MUAPXSVHJKEZAJ-JTQLQIEISA-N 0 0 279.340 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H](CO)C2)cc1C(F)(F)F ZINC000601211507 350698848 /nfs/dbraw/zinc/69/88/48/350698848.db2.gz DZEGJJMLWXPYKQ-OTSSQURYSA-N 0 0 290.241 2.796 20 5 CFBDRN CCC[C@H](CCO)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601212654 350698899 /nfs/dbraw/zinc/69/88/99/350698899.db2.gz OVKSRFWXCZDQAS-LLVKDONJSA-N 0 0 289.335 2.528 20 5 CFBDRN CCC[C@@H](CCO)Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000601211998 350698941 /nfs/dbraw/zinc/69/89/41/350698941.db2.gz DECKYCBWFJMUEE-VIFPVBQESA-N 0 0 286.303 2.706 20 5 CFBDRN CCC[C@@H](CCO)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601212655 350699656 /nfs/dbraw/zinc/69/96/56/350699656.db2.gz OVKSRFWXCZDQAS-NSHDSACASA-N 0 0 289.335 2.528 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H](c2cccnc2)C1 ZINC000601216540 350700103 /nfs/dbraw/zinc/70/01/03/350700103.db2.gz ODEJPQIBARQREA-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN CC(C)OCCCN(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601217523 350700382 /nfs/dbraw/zinc/70/03/82/350700382.db2.gz RUIMXNYJFVMHDW-UHFFFAOYSA-N 0 0 298.314 2.621 20 5 CFBDRN COc1cc(N[C@@H](CO)c2ccccc2)ccc1[N+](=O)[O-] ZINC000111050145 186347926 /nfs/dbraw/zinc/34/79/26/186347926.db2.gz UKDSDXZNLWOYBF-ZDUSSCGKSA-N 0 0 288.303 2.749 20 5 CFBDRN CC(C)(C(=O)N1CC[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000601601858 350745132 /nfs/dbraw/zinc/74/51/32/350745132.db2.gz HQYBMFVEPXVIEX-LLVKDONJSA-N 0 0 298.289 2.738 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])OC ZINC000601610812 350747580 /nfs/dbraw/zinc/74/75/80/350747580.db2.gz FOHXLJNPKNCLPN-SKDRFNHKSA-N 0 0 298.314 2.525 20 5 CFBDRN CCN(CCSC)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601650726 350753495 /nfs/dbraw/zinc/75/34/95/350753495.db2.gz BANNDXCKAIOOEP-UHFFFAOYSA-N 0 0 286.328 2.559 20 5 CFBDRN O=C(NC1CC2(CCC2)C1)c1c(F)cccc1[N+](=O)[O-] ZINC000601658244 350754325 /nfs/dbraw/zinc/75/43/25/350754325.db2.gz NNDMHCFORDNCMG-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN CC(C)[C@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000601672510 350757429 /nfs/dbraw/zinc/75/74/29/350757429.db2.gz ZZICVIFCZLKPTN-ZDUSSCGKSA-N 0 0 280.299 2.898 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCC23CC3)c1 ZINC000601703953 350765013 /nfs/dbraw/zinc/76/50/13/350765013.db2.gz HQPBXOBDAJDBQS-ZDUSSCGKSA-N 0 0 290.319 2.666 20 5 CFBDRN CC(C)(F)CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000601688062 350759928 /nfs/dbraw/zinc/75/99/28/350759928.db2.gz MMZSWQLDNKMLSN-UHFFFAOYSA-N 0 0 279.271 2.554 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)[C@@H](C)O1 ZINC000601354730 350725834 /nfs/dbraw/zinc/72/58/34/350725834.db2.gz UYAWSGNGGQDGTP-LNLATYFQSA-N 0 0 289.291 2.926 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)[C@@H](C)O1 ZINC000601373831 350727592 /nfs/dbraw/zinc/72/75/92/350727592.db2.gz ZMUUYTTWZBOZCD-PRJMDXOYSA-N 0 0 295.320 2.987 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000130016866 187465729 /nfs/dbraw/zinc/46/57/29/187465729.db2.gz ZNCHKKKKDDGLLU-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000416261508 233559952 /nfs/dbraw/zinc/55/99/52/233559952.db2.gz VCXVDYXNQXDOMX-VIFPVBQESA-N 0 0 285.303 2.606 20 5 CFBDRN O=C(N[C@@H]1CC12CCCC2)c1c(F)cccc1[N+](=O)[O-] ZINC000601528719 350736778 /nfs/dbraw/zinc/73/67/78/350736778.db2.gz RTGRCTNYCWOXAP-LLVKDONJSA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1c(CNC(=O)[C@@H](C)c2ccncc2)cccc1[N+](=O)[O-] ZINC000601525340 350736851 /nfs/dbraw/zinc/73/68/51/350736851.db2.gz LQBNNQWDBGUZQR-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000601699634 350764224 /nfs/dbraw/zinc/76/42/24/350764224.db2.gz AOKJWEKCSZPNQF-LBPRGKRZSA-N 0 0 260.293 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1C[C@H](C)O[C@@H]1C ZINC000601584011 350742490 /nfs/dbraw/zinc/74/24/90/350742490.db2.gz AXNPXEIOHRLDLD-MVWJERBFSA-N 0 0 292.335 2.964 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCCC12CC2 ZINC000601705598 350765585 /nfs/dbraw/zinc/76/55/85/350765585.db2.gz PYHLYRPGUOLDBZ-ZDUSSCGKSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1scc(CNC(=O)c2ccc([N+](=O)[O-])o2)c1C ZINC000601745234 350771325 /nfs/dbraw/zinc/77/13/25/350771325.db2.gz UDUHUBUYOOGMJJ-UHFFFAOYSA-N 0 0 280.305 2.796 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC(CCF)CC1 ZINC000601746627 350771375 /nfs/dbraw/zinc/77/13/75/350771375.db2.gz DCIBGVDUEVQJHB-UHFFFAOYSA-N 0 0 298.289 2.946 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@]12C[C@@H]1CCCC2 ZINC000601776624 350775104 /nfs/dbraw/zinc/77/51/04/350775104.db2.gz QNYKKLCURGPJRU-NHYWBVRUSA-N 0 0 292.310 2.725 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCCC2)c1ccc([N+](=O)[O-])cc1F ZINC000601776652 350775115 /nfs/dbraw/zinc/77/51/15/350775115.db2.gz QTFDNISTKDLXQY-XPTSAGLGSA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1ccc(CNC(=O)CCc2cccc([N+](=O)[O-])c2)o1 ZINC000601855768 350792943 /nfs/dbraw/zinc/79/29/43/350792943.db2.gz PFWQXRNYSGJIRL-UHFFFAOYSA-N 0 0 288.303 2.745 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000601856644 350793036 /nfs/dbraw/zinc/79/30/36/350793036.db2.gz WTQUNZRSJJWSRC-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000601871026 350795292 /nfs/dbraw/zinc/79/52/92/350795292.db2.gz RILRJNZQUWBEGR-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2C[C@H]2C(F)(F)F)cc1[N+](=O)[O-] ZINC000601892509 350798900 /nfs/dbraw/zinc/79/89/00/350798900.db2.gz HPOJVYDUVVAUSU-PHDIDXHHSA-N 0 0 294.254 2.645 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1ccc(C)cn1 ZINC000601911569 350800746 /nfs/dbraw/zinc/80/07/46/350800746.db2.gz KLMDTKJQVBKIMO-UHFFFAOYSA-N 0 0 278.337 2.595 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCc1ccnn1C ZINC000601925451 350803836 /nfs/dbraw/zinc/80/38/36/350803836.db2.gz FYVMQWXWEQYADJ-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN CCCc1cc(=O)n(-c2cc([N+](=O)[O-])ccc2C)[nH]1 ZINC000601937069 350809249 /nfs/dbraw/zinc/80/92/49/350809249.db2.gz YGPBZYDTPFIZMI-UHFFFAOYSA-N 0 0 261.281 2.796 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1N=C(C)C[C@H](C)C1=O ZINC000601941931 350812122 /nfs/dbraw/zinc/81/21/22/350812122.db2.gz DVXQODKGNVQDSS-VIFPVBQESA-N 0 0 261.281 2.652 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2C)C(=O)[C@H]1C1CC1 ZINC000601944734 350812806 /nfs/dbraw/zinc/81/28/06/350812806.db2.gz ZXKYREGFJDDVMI-CYBMUJFWSA-N 0 0 273.292 2.652 20 5 CFBDRN C[C@H](C1CC1)N(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000602094776 350839985 /nfs/dbraw/zinc/83/99/85/350839985.db2.gz WDLWOHDWLCWQAQ-SSDOTTSWSA-N 0 0 266.326 2.539 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000602132205 350845355 /nfs/dbraw/zinc/84/53/55/350845355.db2.gz IJARMBQEZJIWRN-GWCFXTLKSA-N 0 0 294.351 2.569 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1OC2CCC1CC2 ZINC000602212002 350852104 /nfs/dbraw/zinc/85/21/04/350852104.db2.gz LBPPUGSXSGJSFU-UHFFFAOYSA-N 0 0 290.319 2.612 20 5 CFBDRN Cc1ccc(C(=O)N2OC3CCC2CC3)cc1[N+](=O)[O-] ZINC000602214941 350852614 /nfs/dbraw/zinc/85/26/14/350852614.db2.gz ASABYMZLWXPZPX-UHFFFAOYSA-N 0 0 276.292 2.602 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)C[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000602218180 350853231 /nfs/dbraw/zinc/85/32/31/350853231.db2.gz NIMBHGKQGUSDIU-SECBINFHSA-N 0 0 296.371 2.635 20 5 CFBDRN CCC[C@@H](OCC)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000602231668 350855190 /nfs/dbraw/zinc/85/51/90/350855190.db2.gz CBFOPTNJPYQHGZ-CQSZACIVSA-N 0 0 292.335 2.689 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@]12C[C@@H]1CCCC2 ZINC000602240889 350855685 /nfs/dbraw/zinc/85/56/85/350855685.db2.gz CASLZJFASZNLNF-WFASDCNBSA-N 0 0 289.335 2.727 20 5 CFBDRN CC[C@@H](CC(=O)Nc1c([N+](=O)[O-])cnn1C)C(C)(C)C ZINC000602309446 350862587 /nfs/dbraw/zinc/86/25/87/350862587.db2.gz PWDIDPSVWCSGTO-VIFPVBQESA-N 0 0 282.344 2.729 20 5 CFBDRN C[C@H]1CN(Cc2cn(C)nc2[N+](=O)[O-])[C@@H]1c1ccccc1 ZINC000602488005 350904363 /nfs/dbraw/zinc/90/43/63/350904363.db2.gz YBTKTRDFONPXRM-FZMZJTMJSA-N 0 0 286.335 2.521 20 5 CFBDRN COc1cc(NCCOCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000111639664 186435183 /nfs/dbraw/zinc/43/51/83/186435183.db2.gz XEKMGGXOUGXDEK-UHFFFAOYSA-N 0 0 294.229 2.594 20 5 CFBDRN C/C=C/CNC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000602376694 350871584 /nfs/dbraw/zinc/87/15/84/350871584.db2.gz XZIQAOLMMNYUCZ-NSCUHMNNSA-N 0 0 289.335 2.845 20 5 CFBDRN Cc1c(NC(=O)NCC(C)(C)F)cccc1[N+](=O)[O-] ZINC000602386170 350872253 /nfs/dbraw/zinc/87/22/53/350872253.db2.gz FRRBAFNQGSZKCT-UHFFFAOYSA-N 0 0 269.276 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNC2(C(F)F)CC2)cc1 ZINC000602659083 350965015 /nfs/dbraw/zinc/96/50/15/350965015.db2.gz MWWCBMVWUQCHLG-UHFFFAOYSA-N 0 0 256.252 2.525 20 5 CFBDRN Cn1cc(CN2CCc3cc(Cl)ccc32)c([N+](=O)[O-])n1 ZINC000602832990 351039702 /nfs/dbraw/zinc/03/97/02/351039702.db2.gz UZHASWKHTVIWDW-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC[C@H]1c1cn[nH]c1 ZINC000602884576 351058538 /nfs/dbraw/zinc/05/85/38/351058538.db2.gz BXGCBYWFADRDRW-ZDUSSCGKSA-N 0 0 290.298 2.794 20 5 CFBDRN CC(C)=CCn1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000603180025 351115670 /nfs/dbraw/zinc/11/56/70/351115670.db2.gz OJSKMOUKTLBRIA-UHFFFAOYSA-N 0 0 288.307 2.732 20 5 CFBDRN C[C@H](NC(=O)N[C@@H](C)C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000603214252 351118793 /nfs/dbraw/zinc/11/87/93/351118793.db2.gz GNTSXRDYTYWLBF-YUMQZZPRSA-N 0 0 287.266 2.609 20 5 CFBDRN CSCc1cn(Cc2ccc(Cl)cc2[N+](=O)[O-])nn1 ZINC000603219005 351120235 /nfs/dbraw/zinc/12/02/35/351120235.db2.gz QNCNKCFBQKMOBU-UHFFFAOYSA-N 0 0 298.755 2.751 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602953404 351071594 /nfs/dbraw/zinc/07/15/94/351071594.db2.gz BICIFYHCPXZFSQ-UHFFFAOYSA-N 0 0 294.355 2.620 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)N1CCCCC[C@@H]1C ZINC000602951432 351071657 /nfs/dbraw/zinc/07/16/57/351071657.db2.gz JGUOIFQVISUABF-NSHDSACASA-N 0 0 292.339 2.517 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000602959515 351072722 /nfs/dbraw/zinc/07/27/22/351072722.db2.gz PLXLTYFNGIWLAW-GBIKHYSHSA-N 0 0 293.323 2.591 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)C(F)F ZINC000603017339 351090949 /nfs/dbraw/zinc/09/09/49/351090949.db2.gz SDLDHGFMFIDILQ-SSDOTTSWSA-N 0 0 287.266 2.932 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1OC2CCC1CC2 ZINC000603030888 351092774 /nfs/dbraw/zinc/09/27/74/351092774.db2.gz JICAKQLKCQCPTF-UHFFFAOYSA-N 0 0 278.308 2.662 20 5 CFBDRN Cn1cc(CSC[C@@H]2CC[C@@H]3C[C@@H]3C2)c([N+](=O)[O-])n1 ZINC000603352066 351145089 /nfs/dbraw/zinc/14/50/89/351145089.db2.gz CZLSUZYNCASLNX-MXWKQRLJSA-N 0 0 281.381 2.998 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(C[C@@H](C)c2ccccc2)c1=O ZINC000603356806 351145596 /nfs/dbraw/zinc/14/55/96/351145596.db2.gz DMPAABHABKCDFJ-LLVKDONJSA-N 0 0 288.303 2.569 20 5 CFBDRN Cn1cc(CSC[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])n1 ZINC000603355695 351145796 /nfs/dbraw/zinc/14/57/96/351145796.db2.gz ANHPRHCDDKKVGI-ILWJIGKKSA-N 0 0 267.354 2.608 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1cc2n(n1)CCCC2 ZINC000603404239 351157474 /nfs/dbraw/zinc/15/74/74/351157474.db2.gz RUJRXYSLUBGRCP-UHFFFAOYSA-N 0 0 278.337 2.801 20 5 CFBDRN CCc1nnc(Sc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000603418243 351160214 /nfs/dbraw/zinc/16/02/14/351160214.db2.gz WEXKMXIYEVWAAG-UHFFFAOYSA-N 0 0 265.294 3.000 20 5 CFBDRN CC(C)Oc1ccc(OCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000603419616 351160921 /nfs/dbraw/zinc/16/09/21/351160921.db2.gz DVUWYANTSZELIP-UHFFFAOYSA-N 0 0 291.307 2.695 20 5 CFBDRN COc1ccc(NC(=O)CSC(C)C)c([N+](=O)[O-])c1 ZINC000603515235 351170657 /nfs/dbraw/zinc/17/06/57/351170657.db2.gz JYXLZLDFCBIZSJ-UHFFFAOYSA-N 0 0 284.337 2.684 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCc1cccnc1 ZINC000603569836 351174139 /nfs/dbraw/zinc/17/41/39/351174139.db2.gz TVVDYAODNLTPDT-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000603601152 351175841 /nfs/dbraw/zinc/17/58/41/351175841.db2.gz JBBAQZZVIXMPHX-UHFFFAOYSA-N 0 0 262.309 2.855 20 5 CFBDRN CN(Cc1ccc(F)c(F)c1)c1cccnc1[N+](=O)[O-] ZINC000603845638 351185447 /nfs/dbraw/zinc/18/54/47/351185447.db2.gz CYZWUCABODUWPO-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN C[C@H](Nc1cccnc1[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC000603846912 351185602 /nfs/dbraw/zinc/18/56/02/351185602.db2.gz JVPBZBKTCFOISH-VIFPVBQESA-N 0 0 287.275 2.892 20 5 CFBDRN O=C1CCc2cc(Oc3cccnc3[N+](=O)[O-])ccc2N1 ZINC000603845232 351185772 /nfs/dbraw/zinc/18/57/72/351185772.db2.gz OPLRZSPBYKPSIF-UHFFFAOYSA-N 0 0 285.259 2.667 20 5 CFBDRN COCc1ccc(CNc2cccnc2[N+](=O)[O-])cc1 ZINC000603852215 351186534 /nfs/dbraw/zinc/18/65/34/351186534.db2.gz KAIVJIAAUHBDNL-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN Cc1cccc(CN(C)c2cccnc2[N+](=O)[O-])c1 ZINC000603870746 351187771 /nfs/dbraw/zinc/18/77/71/351187771.db2.gz FFGUWSPICQJULP-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000603265048 351127572 /nfs/dbraw/zinc/12/75/72/351127572.db2.gz FBCSWXHPFHEPQB-SNVBAGLBSA-N 0 0 262.309 2.953 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ccc2c(n1)C(=O)CC2 ZINC000603270192 351128051 /nfs/dbraw/zinc/12/80/51/351128051.db2.gz DYRFTNZWYGTGGD-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN Cc1nn(CCc2nc3ccccc3[nH]2)c(C)c1[N+](=O)[O-] ZINC000603298959 351133578 /nfs/dbraw/zinc/13/35/78/351133578.db2.gz ZWRFXRNDNHZCQO-UHFFFAOYSA-N 0 0 285.307 2.527 20 5 CFBDRN COCc1ccc(SCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000603320232 351138809 /nfs/dbraw/zinc/13/88/09/351138809.db2.gz LNUVOAYXGIEWLU-UHFFFAOYSA-N 0 0 293.348 2.767 20 5 CFBDRN Cc1occc1SCc1cn(C)nc1[N+](=O)[O-] ZINC000603325016 351139445 /nfs/dbraw/zinc/13/94/45/351139445.db2.gz VANVRPJZUJXGIL-UHFFFAOYSA-N 0 0 253.283 2.522 20 5 CFBDRN Cc1nn(CC[C@H]2CCCCO2)c2ncc([N+](=O)[O-])cc12 ZINC000603327726 351140494 /nfs/dbraw/zinc/14/04/94/351140494.db2.gz QAEJXFIUNNTGQG-GFCCVEGCSA-N 0 0 290.323 2.607 20 5 CFBDRN CCCC[C@@H](CC)Cn1ccc(N)c([N+](=O)[O-])c1=O ZINC000603345155 351144189 /nfs/dbraw/zinc/14/41/89/351144189.db2.gz SFJOKWPMXYCXGI-SNVBAGLBSA-N 0 0 267.329 2.555 20 5 CFBDRN CC(F)(F)CCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000603999863 351196588 /nfs/dbraw/zinc/19/65/88/351196588.db2.gz XROSTZULKLESKR-UHFFFAOYSA-N 0 0 272.251 2.646 20 5 CFBDRN CCOC1CCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000604198024 351201549 /nfs/dbraw/zinc/20/15/49/351201549.db2.gz FVRVGBNMWHKMIF-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(F)(F)CCC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000604299174 351205326 /nfs/dbraw/zinc/20/53/26/351205326.db2.gz DWTGNIRWLDAKEJ-UHFFFAOYSA-N 0 0 286.278 2.689 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H]1C ZINC000604397952 351210735 /nfs/dbraw/zinc/21/07/35/351210735.db2.gz QBVAZEVTPNCBCD-ZJUUUORDSA-N 0 0 280.349 2.696 20 5 CFBDRN C[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000604400835 351210752 /nfs/dbraw/zinc/21/07/52/351210752.db2.gz VCWQBKSQWSARQR-VIFPVBQESA-N 0 0 263.297 2.762 20 5 CFBDRN CCC[C@@H](C)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000604432875 351212340 /nfs/dbraw/zinc/21/23/40/351212340.db2.gz UCUZLXBVOXDFHS-SNVBAGLBSA-N 0 0 282.365 2.992 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)C1CC1 ZINC000604470198 351213765 /nfs/dbraw/zinc/21/37/65/351213765.db2.gz PXOKSRUWXOTXDD-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN O=C(Nc1ccc[nH]c1=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000604526922 351217052 /nfs/dbraw/zinc/21/70/52/351217052.db2.gz UCWHBZRIVILTKR-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000604566755 351220000 /nfs/dbraw/zinc/22/00/00/351220000.db2.gz SQHDUSIIRPKFKP-SECBINFHSA-N 0 0 266.297 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1CC12CC2 ZINC000417005293 233645442 /nfs/dbraw/zinc/64/54/42/233645442.db2.gz XTNWFEKFRZUAJO-SNVBAGLBSA-N 0 0 275.308 2.825 20 5 CFBDRN CCC(CC)N(CC(C)C)C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000119008041 186794765 /nfs/dbraw/zinc/79/47/65/186794765.db2.gz GLPUWGKDRZXIMB-UHFFFAOYSA-N 0 0 282.344 2.605 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)CC2 ZINC000609289451 351494829 /nfs/dbraw/zinc/49/48/29/351494829.db2.gz ROAJNHJDHKYINS-ZDUSSCGKSA-N 0 0 285.303 2.649 20 5 CFBDRN COC(=O)c1ccc2c(c1)CN(c1ccccc1[N+](=O)[O-])C2 ZINC000609288020 351495090 /nfs/dbraw/zinc/49/50/90/351495090.db2.gz OZGFKHBCMRSJJL-UHFFFAOYSA-N 0 0 298.298 2.902 20 5 CFBDRN Cc1nn(C)c(N2CCCc3sccc3C2)c1[N+](=O)[O-] ZINC000609333738 351495577 /nfs/dbraw/zinc/49/55/77/351495577.db2.gz IGZJZFFKNWVKGU-UHFFFAOYSA-N 0 0 292.364 2.651 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](CC)OC ZINC000291413861 198015187 /nfs/dbraw/zinc/01/51/87/198015187.db2.gz JMDBJLQVDIRJEZ-LBPRGKRZSA-N 0 0 266.297 2.521 20 5 CFBDRN CCc1cc(Oc2ccc(OC)cc2[N+](=O)[O-])ncn1 ZINC000609585250 351510198 /nfs/dbraw/zinc/51/01/98/351510198.db2.gz NWCNKEZUWWUJSR-UHFFFAOYSA-N 0 0 275.264 2.748 20 5 CFBDRN CCC[C@@H](C)N(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000609585702 351510329 /nfs/dbraw/zinc/51/03/29/351510329.db2.gz ZRLPXJXDPXFSKV-MRVPVSSYSA-N 0 0 268.342 2.929 20 5 CFBDRN CC[C@H]1c2ccccc2CCN1c1c([N+](=O)[O-])ncn1C ZINC000609625330 351511774 /nfs/dbraw/zinc/51/17/74/351511774.db2.gz GKOADTTUWDZPRH-ZDUSSCGKSA-N 0 0 286.335 2.842 20 5 CFBDRN CCOC[C@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000609613634 351512280 /nfs/dbraw/zinc/51/22/80/351512280.db2.gz ULCLUPBKULASJB-JTQLQIEISA-N 0 0 270.354 2.909 20 5 CFBDRN COc1cccnc1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000608813849 351474047 /nfs/dbraw/zinc/47/40/47/351474047.db2.gz CCUDCSSZMXTCGA-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000609011711 351483537 /nfs/dbraw/zinc/48/35/37/351483537.db2.gz BXWXRKVRACPIFS-GHMZBOCLSA-N 0 0 294.351 2.697 20 5 CFBDRN COc1ccc(CC(=O)N(C)[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000609044431 351483995 /nfs/dbraw/zinc/48/39/95/351483995.db2.gz MXQJQGVXWZJUCY-LLVKDONJSA-N 0 0 294.351 2.649 20 5 CFBDRN CCOC1CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000609144465 351487962 /nfs/dbraw/zinc/48/79/62/351487962.db2.gz URJPSAKLZXHKNH-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H](n3ccnc3)C2)cs1 ZINC000609157303 351489745 /nfs/dbraw/zinc/48/97/45/351489745.db2.gz CFUAMQXLRPMIEP-GFCCVEGCSA-N 0 0 292.364 2.690 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CCCO[C@@H]1C(C)(C)C ZINC000609823058 351522061 /nfs/dbraw/zinc/52/20/61/351522061.db2.gz ACBPOSGEEMEYFH-MNOVXSKESA-N 0 0 296.371 2.582 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000609880861 351525896 /nfs/dbraw/zinc/52/58/96/351525896.db2.gz YURVSCPLLHWAFL-NQBHXWOUSA-N 0 0 291.351 2.727 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@@H](C(F)(F)F)C1 ZINC000610077599 351533473 /nfs/dbraw/zinc/53/34/73/351533473.db2.gz ZYCZRATVLZNBLJ-SNVBAGLBSA-N 0 0 290.241 2.671 20 5 CFBDRN C[C@H](C(=O)NCc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000610173414 351536689 /nfs/dbraw/zinc/53/66/89/351536689.db2.gz IAHAIZVUZDTKDX-JTQLQIEISA-N 0 0 274.276 2.608 20 5 CFBDRN C[C@H](CCO)SCc1c(F)cccc1[N+](=O)[O-] ZINC000610207073 351539210 /nfs/dbraw/zinc/53/92/10/351539210.db2.gz FHXPLBGMTVFLHE-MRVPVSSYSA-N 0 0 259.302 2.738 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C2CCCC1CCC2 ZINC000610235752 351542710 /nfs/dbraw/zinc/54/27/10/351542710.db2.gz AKUAMVUCQVDVIW-UHFFFAOYSA-N 0 0 264.281 2.735 20 5 CFBDRN CC(F)(F)CCC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000610237395 351542736 /nfs/dbraw/zinc/54/27/36/351542736.db2.gz HNQFKDGJKNEQPU-UHFFFAOYSA-N 0 0 286.278 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](n3ccnc3)C2)s1 ZINC000610276317 351546581 /nfs/dbraw/zinc/54/65/81/351546581.db2.gz KZWYGXFAHYPVDL-JTQLQIEISA-N 0 0 278.337 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C(C)C)[C@H]2CCOC2)c1 ZINC000291430658 198020447 /nfs/dbraw/zinc/02/04/47/198020447.db2.gz AFOGGTVFJNGSQD-LBPRGKRZSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cnc(NCc2ccc3c(c2)CCO3)c([N+](=O)[O-])c1 ZINC000610284237 351549255 /nfs/dbraw/zinc/54/92/55/351549255.db2.gz VHKCHQRGABPDFL-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC(C(F)(F)F)CC1 ZINC000610282410 351549336 /nfs/dbraw/zinc/54/93/36/351549336.db2.gz REOCOELPXBLXBH-UHFFFAOYSA-N 0 0 275.230 2.769 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@@H]1CCOC1 ZINC000291435182 198020783 /nfs/dbraw/zinc/02/07/83/198020783.db2.gz MDNSCCMDUNRQHV-LLVKDONJSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cnc(N2CC(Oc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000610284069 351549552 /nfs/dbraw/zinc/54/95/52/351549552.db2.gz NCNKSCDZNOJSHM-UHFFFAOYSA-N 0 0 285.303 2.566 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCCC[C@@H]1c1ccco1 ZINC000609704887 351516296 /nfs/dbraw/zinc/51/62/96/351516296.db2.gz ZYQUFWVTAFTILH-SNVBAGLBSA-N 0 0 276.296 2.653 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2ccccc2CC1 ZINC000609704451 351516306 /nfs/dbraw/zinc/51/63/06/351516306.db2.gz QLQNLACADYBUNW-UHFFFAOYSA-N 0 0 269.304 2.595 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000610401333 351563403 /nfs/dbraw/zinc/56/34/03/351563403.db2.gz BLWRVZJBSPIZTG-WCQYABFASA-N 0 0 291.351 2.925 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000610401331 351564016 /nfs/dbraw/zinc/56/40/16/351564016.db2.gz BLWRVZJBSPIZTG-AAEUAGOBSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@H](C(F)(F)F)O1 ZINC000610411896 351564833 /nfs/dbraw/zinc/56/48/33/351564833.db2.gz BXZAKMULPFFZDC-RNFRBKRXSA-N 0 0 296.270 2.812 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)c1c(C)noc1C ZINC000610433757 351566851 /nfs/dbraw/zinc/56/68/51/351566851.db2.gz XAEIPRRUVLVNIF-UHFFFAOYSA-N 0 0 291.307 2.845 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000610489054 351569702 /nfs/dbraw/zinc/56/97/02/351569702.db2.gz UMJCTQNKSTUTOD-SECBINFHSA-N 0 0 256.327 2.519 20 5 CFBDRN Cc1cnc(OCc2cccc(N(C)C)c2)c([N+](=O)[O-])c1 ZINC000610484341 351570139 /nfs/dbraw/zinc/57/01/39/351570139.db2.gz VZKPOCOLBCCMQW-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ncc(Cl)s1 ZINC000610492773 351570494 /nfs/dbraw/zinc/57/04/94/351570494.db2.gz SCGVMMULNCLCSU-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccncc2[N+](=O)[O-])C1(CC)CC ZINC000610516951 351576436 /nfs/dbraw/zinc/57/64/36/351576436.db2.gz MLUFFJZQXLSBJW-KGLIPLIRSA-N 0 0 293.367 2.807 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H](C)c2ccncc2)cc1[N+](=O)[O-] ZINC000610526281 351579087 /nfs/dbraw/zinc/57/90/87/351579087.db2.gz JGNFRZQULZYTQZ-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccco3)C2)s1 ZINC000610656494 351588209 /nfs/dbraw/zinc/58/82/09/351588209.db2.gz PDDHYAQKDLVOAB-SNVBAGLBSA-N 0 0 280.305 2.827 20 5 CFBDRN CC(C)(C)OCCCOc1cccnc1[N+](=O)[O-] ZINC000610684001 351592846 /nfs/dbraw/zinc/59/28/46/351592846.db2.gz OETVKYKAZRHYBO-UHFFFAOYSA-N 0 0 254.286 2.574 20 5 CFBDRN Cc1ccccc1CC1CN(c2cccnc2[N+](=O)[O-])C1 ZINC000610716335 351596115 /nfs/dbraw/zinc/59/61/15/351596115.db2.gz WACOKOCZJOXZDI-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000610734453 351599092 /nfs/dbraw/zinc/59/90/92/351599092.db2.gz BVEJATUQUOTUFV-MNOVXSKESA-N 0 0 293.323 2.531 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000610734730 351599296 /nfs/dbraw/zinc/59/92/96/351599296.db2.gz HYFIAMVTVVBIBU-ZJUUUORDSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1cncc(C)c1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000610753023 351603317 /nfs/dbraw/zinc/60/33/17/351603317.db2.gz ZCKBCXZNIZUORB-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)C1CCOCC1 ZINC000610755218 351603945 /nfs/dbraw/zinc/60/39/45/351603945.db2.gz SPMZETNRKUUOKP-GFCCVEGCSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1nc(N[C@@H]2CCCc3c2cnn3C)ccc1[N+](=O)[O-] ZINC000610754611 351604268 /nfs/dbraw/zinc/60/42/68/351604268.db2.gz MCQRJXVGGIGCSE-LLVKDONJSA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1nc(NCc2ccc(Cl)o2)ccc1[N+](=O)[O-] ZINC000610754501 351604496 /nfs/dbraw/zinc/60/44/96/351604496.db2.gz OMGHESNSPMHZRI-UHFFFAOYSA-N 0 0 267.672 2.579 20 5 CFBDRN CCOC(=O)CCN(CC1CC1)c1ccccc1[N+](=O)[O-] ZINC000610764489 351605793 /nfs/dbraw/zinc/60/57/93/351605793.db2.gz ZJLHJMYNAUPPSD-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000610770130 351606204 /nfs/dbraw/zinc/60/62/04/351606204.db2.gz MRPRQPXGEDOUFT-UHFFFAOYSA-N 0 0 299.330 2.697 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC(F)(F)C1 ZINC000610790555 351608984 /nfs/dbraw/zinc/60/89/84/351608984.db2.gz SPRPALLIYBETDY-LLVKDONJSA-N 0 0 298.289 2.831 20 5 CFBDRN Cc1nc(CNc2cccnc2[N+](=O)[O-])sc1C ZINC000610340348 351556154 /nfs/dbraw/zinc/55/61/54/351556154.db2.gz ZVFVNBGSDCOGCD-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCC[C@@H](C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000610346421 351557164 /nfs/dbraw/zinc/55/71/64/351557164.db2.gz LDIFREDESCGRMJ-LLVKDONJSA-N 0 0 279.340 2.625 20 5 CFBDRN CCCN(C)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000130381854 187489535 /nfs/dbraw/zinc/48/95/35/187489535.db2.gz VBVGEMURVIEZDT-UHFFFAOYSA-N 0 0 273.292 2.625 20 5 CFBDRN CCn1ccnc1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000291461760 198029060 /nfs/dbraw/zinc/02/90/60/198029060.db2.gz NQLCXALUWWKRQY-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC(C2CCOCC2)CC1 ZINC000611031962 351635305 /nfs/dbraw/zinc/63/53/05/351635305.db2.gz SJNJDEMPKQOYCX-UHFFFAOYSA-N 0 0 291.351 2.633 20 5 CFBDRN CC(C)C1CN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000611079310 351638385 /nfs/dbraw/zinc/63/83/85/351638385.db2.gz OFQLBPLGYDVYAN-UHFFFAOYSA-N 0 0 282.727 2.976 20 5 CFBDRN COc1ccc(CN2CC3(CC(F)C3)C2)cc1[N+](=O)[O-] ZINC000611080203 351638594 /nfs/dbraw/zinc/63/85/94/351638594.db2.gz GHYXINFVKCNOME-UHFFFAOYSA-N 0 0 280.299 2.537 20 5 CFBDRN C[C@H](Nc1cccnc1[N+](=O)[O-])[C@@H]1COc2ccccc21 ZINC000611103893 351639852 /nfs/dbraw/zinc/63/98/52/351639852.db2.gz CMYBGBNTSVBKLO-JQWIXIFHSA-N 0 0 285.303 2.966 20 5 CFBDRN C[C@@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000611121867 351642613 /nfs/dbraw/zinc/64/26/13/351642613.db2.gz BIFTZZAZQNBMRT-LLVKDONJSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])cc2C)ncn1 ZINC000611132885 351643764 /nfs/dbraw/zinc/64/37/64/351643764.db2.gz FIOJJQOYHRCUGV-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cn(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)c(C)n1 ZINC000611165018 351646234 /nfs/dbraw/zinc/64/62/34/351646234.db2.gz TUAVLPYYSGBUBC-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2cccc(F)c2C1 ZINC000611243229 351655973 /nfs/dbraw/zinc/65/59/73/351655973.db2.gz WALACEFTDBVIIE-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN CN(CCOC(C)(C)C)Cc1csc([N+](=O)[O-])c1 ZINC000610859736 351615490 /nfs/dbraw/zinc/61/54/90/351615490.db2.gz KKIWRXVCEIXUSY-UHFFFAOYSA-N 0 0 272.370 2.903 20 5 CFBDRN CC(C)C(NC(=O)COc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000610912173 351620751 /nfs/dbraw/zinc/62/07/51/351620751.db2.gz UFVFBMBQKOVGBC-UHFFFAOYSA-N 0 0 294.351 2.770 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000610930054 351622326 /nfs/dbraw/zinc/62/23/26/351622326.db2.gz BPVKSHKQQXVSGS-RKDXNWHRSA-N 0 0 285.731 2.870 20 5 CFBDRN O=C1CCCCC[C@H]1Sc1ccc([N+](=O)[O-])cn1 ZINC000610946976 351623156 /nfs/dbraw/zinc/62/31/56/351623156.db2.gz ZMGWJDPVASOGCA-LLVKDONJSA-N 0 0 266.322 2.984 20 5 CFBDRN Cc1ccc(NC(=O)N2CCCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC000610949151 351623508 /nfs/dbraw/zinc/62/35/08/351623508.db2.gz STGTVKOOQNTLLZ-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN COC(=O)C1(CNc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000610953088 351624342 /nfs/dbraw/zinc/62/43/42/351624342.db2.gz ZUOGMTZEZOJHQT-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ncccc2F)s1 ZINC000610958602 351624995 /nfs/dbraw/zinc/62/49/95/351624995.db2.gz QUDFYEUXIFCPFG-UHFFFAOYSA-N 0 0 283.284 2.681 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000610970884 351626758 /nfs/dbraw/zinc/62/67/58/351626758.db2.gz POFCYPYWPZWUGX-MNOVXSKESA-N 0 0 282.365 2.909 20 5 CFBDRN C[C@H]1COCCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000610990744 351628853 /nfs/dbraw/zinc/62/88/53/351628853.db2.gz LHQJBMVLFCMEHV-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000611377892 351678132 /nfs/dbraw/zinc/67/81/32/351678132.db2.gz ALRFWFOMGRZXTQ-ZYHUDNBSSA-N 0 0 274.320 2.962 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1ccc(O)cc1 ZINC000611411415 351684910 /nfs/dbraw/zinc/68/49/10/351684910.db2.gz UMWATSCOVJCRKL-UHFFFAOYSA-N 0 0 250.279 2.974 20 5 CFBDRN CN(C)c1ccc(Nc2sccc2[N+](=O)[O-])cn1 ZINC000611411352 351684980 /nfs/dbraw/zinc/68/49/80/351684980.db2.gz KGJDWVQVTZJCJB-UHFFFAOYSA-N 0 0 264.310 2.861 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1sccc1[N+](=O)[O-] ZINC000611414324 351686874 /nfs/dbraw/zinc/68/68/74/351686874.db2.gz RYBAMNFORHGEDL-MRVPVSSYSA-N 0 0 272.326 2.658 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000611413577 351686924 /nfs/dbraw/zinc/68/69/24/351686924.db2.gz FGZJFRNSWRSIFD-WDEREUQCSA-N 0 0 282.365 2.909 20 5 CFBDRN CN(CCOCC1CC1)c1sccc1[N+](=O)[O-] ZINC000611413392 351687054 /nfs/dbraw/zinc/68/70/54/351687054.db2.gz NZSIAXHYFUYJSE-UHFFFAOYSA-N 0 0 256.327 2.519 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1sccc1[N+](=O)[O-] ZINC000611413727 351687066 /nfs/dbraw/zinc/68/70/66/351687066.db2.gz PESWWGMTYGENGY-RKDXNWHRSA-N 0 0 256.327 2.883 20 5 CFBDRN CCC(CC)(CO)CNc1sccc1[N+](=O)[O-] ZINC000611414567 351687704 /nfs/dbraw/zinc/68/77/04/351687704.db2.gz JEQCBSJVPJOYKC-UHFFFAOYSA-N 0 0 258.343 2.867 20 5 CFBDRN Cc1c([C@H](C)NCc2cocn2)cccc1[N+](=O)[O-] ZINC000611474174 351694979 /nfs/dbraw/zinc/69/49/79/351694979.db2.gz IYXRHKPHBQZTKZ-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](C)[C@@H](F)C2)c1 ZINC000611494224 351696814 /nfs/dbraw/zinc/69/68/14/351696814.db2.gz HOSREFBSOBQLNL-KOLCDFICSA-N 0 0 268.288 2.788 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC[C@@H](C)[C@@H](F)C1 ZINC000611501293 351697812 /nfs/dbraw/zinc/69/78/12/351697812.db2.gz AIHPAWNYDUKRFB-MFKMUULPSA-N 0 0 282.315 2.783 20 5 CFBDRN C[C@H]1CCN(Cc2cccc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611502853 351700535 /nfs/dbraw/zinc/70/05/35/351700535.db2.gz ZKINTSXGAVCZAI-GWCFXTLKSA-N 0 0 252.289 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H](C)[C@H](F)C1 ZINC000611507139 351701054 /nfs/dbraw/zinc/70/10/54/351701054.db2.gz YHIUZGXXIUNUON-TVQRCGJNSA-N 0 0 280.299 2.723 20 5 CFBDRN C[C@H]1CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611505901 351701232 /nfs/dbraw/zinc/70/12/32/351701232.db2.gz FRUGYPKCVDGYJP-FZMZJTMJSA-N 0 0 294.326 2.734 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC=CCC1 ZINC000611513252 351702708 /nfs/dbraw/zinc/70/27/08/351702708.db2.gz UZDMXJRQIXWLEL-UHFFFAOYSA-N 0 0 272.260 2.743 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CC=CCC1 ZINC000611517914 351703821 /nfs/dbraw/zinc/70/38/21/351703821.db2.gz UWVSUQLVVWDXQV-UHFFFAOYSA-N 0 0 280.711 2.579 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cnoc1 ZINC000611280105 351663546 /nfs/dbraw/zinc/66/35/46/351663546.db2.gz QNLVOERFLIKRCK-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN Cc1ccncc1[C@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000611300822 351666266 /nfs/dbraw/zinc/66/62/66/351666266.db2.gz ZRAIOBFDBQSZJX-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](C[C@H]3CCOC3)C2)cn1 ZINC000611338912 351670831 /nfs/dbraw/zinc/67/08/31/351670831.db2.gz XGCNTSLSJDIFNU-CHWSQXEVSA-N 0 0 291.351 2.633 20 5 CFBDRN COCC1(C)CCN(c2ncc([N+](=O)[O-])cc2C)CC1 ZINC000611339070 351670946 /nfs/dbraw/zinc/67/09/46/351670946.db2.gz ZRCPUBGSQSIGBB-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000611370807 351675998 /nfs/dbraw/zinc/67/59/98/351675998.db2.gz RCFXDTUMHZUYSN-MNOVXSKESA-N 0 0 250.298 2.822 20 5 CFBDRN CN(c1ncnc2ccc([N+](=O)[O-])cc21)C1CCC1 ZINC000611709937 351727596 /nfs/dbraw/zinc/72/75/96/351727596.db2.gz YDEXHVPVRQUEQN-UHFFFAOYSA-N 0 0 258.281 2.527 20 5 CFBDRN CC1(C)CCN(c2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000611709938 351728033 /nfs/dbraw/zinc/72/80/33/351728033.db2.gz YFHBPEBXVMFPAX-UHFFFAOYSA-N 0 0 272.308 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC3(CC3)C2)c2ccncc21 ZINC000611765790 351738506 /nfs/dbraw/zinc/73/85/06/351738506.db2.gz JIIAPCXWLQZNAX-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)C[C@H]2CCCO2)n1 ZINC000611616061 351714704 /nfs/dbraw/zinc/71/47/04/351714704.db2.gz NDNAQVVMPHZQLU-NWDGAFQWSA-N 0 0 279.340 2.976 20 5 CFBDRN COC(=O)[C@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])C(C)(C)C ZINC000611617196 351715159 /nfs/dbraw/zinc/71/51/59/351715159.db2.gz OHCPURVVCWACCO-NSHDSACASA-N 0 0 295.339 2.606 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCO[C@@H](C)C2)n1 ZINC000611617403 351715588 /nfs/dbraw/zinc/71/55/88/351715588.db2.gz NHADJCWXGWVCEK-NWDGAFQWSA-N 0 0 279.340 2.834 20 5 CFBDRN CCO[C@H]1CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000611616872 351715694 /nfs/dbraw/zinc/71/56/94/351715694.db2.gz RONKDQRTOGOPFU-LBPRGKRZSA-N 0 0 279.340 2.612 20 5 CFBDRN COc1ccc2c(c1)CC[C@@H](Nc1ncccc1[N+](=O)[O-])C2 ZINC000612098123 351774480 /nfs/dbraw/zinc/77/44/80/351774480.db2.gz DHSLCSBAWCCDAL-CYBMUJFWSA-N 0 0 299.330 2.968 20 5 CFBDRN C[C@H]1CN(c2ccc3ncc([N+](=O)[O-])n3n2)CC(C)(C)C1 ZINC000612099889 351774575 /nfs/dbraw/zinc/77/45/75/351774575.db2.gz QYRHICVKVYLIMA-SNVBAGLBSA-N 0 0 289.339 2.510 20 5 CFBDRN COc1ccc2c(c1)CC[C@@H](Nc1ccc([N+](=O)[O-])cn1)C2 ZINC000612098285 351774631 /nfs/dbraw/zinc/77/46/31/351774631.db2.gz JEKLYSVFHUXPKE-CYBMUJFWSA-N 0 0 299.330 2.968 20 5 CFBDRN C[C@H]1C[C@H](c2cccnc2)N(c2cccnc2[N+](=O)[O-])C1 ZINC000612453175 351822928 /nfs/dbraw/zinc/82/29/28/351822928.db2.gz GLKLVVMXUPASFR-SMDDNHRTSA-N 0 0 284.319 2.972 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCS2)ncc1[N+](=O)[O-] ZINC000122077921 187014064 /nfs/dbraw/zinc/01/40/64/187014064.db2.gz MGLOVJIKKSVIPM-VIFPVBQESA-N 0 0 253.327 2.606 20 5 CFBDRN CC(C)NC(=O)CCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000122119195 187015848 /nfs/dbraw/zinc/01/58/48/187015848.db2.gz SWJBPYKUROEQSV-UHFFFAOYSA-N 0 0 285.731 2.575 20 5 CFBDRN C[C@@H](CO)SCc1c(Cl)cccc1[N+](=O)[O-] ZINC000122207402 187021141 /nfs/dbraw/zinc/02/11/41/187021141.db2.gz HHCLQQYVDWNSAZ-ZETCQYMHSA-N 0 0 261.730 2.862 20 5 CFBDRN CC(C)(NC(=O)N[C@@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000291773255 198087470 /nfs/dbraw/zinc/08/74/70/198087470.db2.gz BYGCOERADFCGPM-GFCCVEGCSA-N 0 0 291.351 2.928 20 5 CFBDRN O=[N+]([O-])c1cnc(Sc2nc(-c3ccccc3)c[nH]2)nc1 ZINC000612778854 351861715 /nfs/dbraw/zinc/86/17/15/351861715.db2.gz FZUUBDFMQMGUJF-UHFFFAOYSA-N 0 0 299.315 2.926 20 5 CFBDRN C[C@@H]1C[C@@H](C)CC[C@H]1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000122724646 187047474 /nfs/dbraw/zinc/04/74/74/187047474.db2.gz QNGCLVBZMPXBBP-DMDPSCGWSA-N 0 0 295.339 2.549 20 5 CFBDRN CC1CCC(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000122717862 187047836 /nfs/dbraw/zinc/04/78/36/187047836.db2.gz CIRORIPKVSTJTN-UHFFFAOYSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1ccc(C(=O)Nc2n[nH]c3ccc([N+](=O)[O-])cc32)o1 ZINC000122802592 187052444 /nfs/dbraw/zinc/05/24/44/187052444.db2.gz RJNJIVLIFHKSHN-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN C[C@@H]1CC(Nc2ccccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000122895137 187058458 /nfs/dbraw/zinc/05/84/58/187058458.db2.gz QVDCIVZEUUMORF-NXEZZACHSA-N 0 0 250.298 2.963 20 5 CFBDRN CCc1ncsc1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000124150471 187124513 /nfs/dbraw/zinc/12/45/13/187124513.db2.gz MVKUJLFVRPKMDD-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CC[C@@H](CC(F)(F)F)Nc1c([N+](=O)[O-])c(C)nn1C ZINC000612799497 351862614 /nfs/dbraw/zinc/86/26/14/351862614.db2.gz OLDACHXQCIZTSR-ZETCQYMHSA-N 0 0 280.250 2.780 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124196925 187126001 /nfs/dbraw/zinc/12/60/01/187126001.db2.gz JRXGLHINHDCRNJ-DTWKUNHWSA-N 0 0 268.288 2.898 20 5 CFBDRN COC[C@H](Nc1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000123508841 187096034 /nfs/dbraw/zinc/09/60/34/187096034.db2.gz WXNQLWBQNXJAQH-LBPRGKRZSA-N 0 0 250.298 2.740 20 5 CFBDRN CC(C)NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000123582145 187099706 /nfs/dbraw/zinc/09/97/06/187099706.db2.gz LOQWVSGZTNQLLD-UHFFFAOYSA-N 0 0 276.214 2.752 20 5 CFBDRN CCn1c(SCOC)nnc1-c1ccc([N+](=O)[O-])cc1 ZINC000123654540 187103190 /nfs/dbraw/zinc/10/31/90/187103190.db2.gz JAFKKZWYDYQOIR-UHFFFAOYSA-N 0 0 294.336 2.569 20 5 CFBDRN CN(Cc1nc(C2CCC2)no1)c1ccccc1[N+](=O)[O-] ZINC000612898090 351876003 /nfs/dbraw/zinc/87/60/03/351876003.db2.gz VQCOGVUWXGBNKU-UHFFFAOYSA-N 0 0 288.307 2.882 20 5 CFBDRN C[C@H]1OCC[C@@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000123914745 187114794 /nfs/dbraw/zinc/11/47/94/187114794.db2.gz JAZBGJDMMVHGBO-KOLCDFICSA-N 0 0 273.292 2.732 20 5 CFBDRN CC(C)O[C@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])C1(C)C ZINC000613284990 351932321 /nfs/dbraw/zinc/93/23/21/351932321.db2.gz DHMOQORNJPGKND-RYUDHWBXSA-N 0 0 279.340 2.994 20 5 CFBDRN C[C@@H]1c2ccc(F)cc2CCN1c1c([N+](=O)[O-])ncn1C ZINC000613284569 351932383 /nfs/dbraw/zinc/93/23/83/351932383.db2.gz NVUCJPNDYNSJCW-SECBINFHSA-N 0 0 290.298 2.591 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2ccc([N+](=O)[O-])o2)cc1O ZINC000613032539 351891488 /nfs/dbraw/zinc/89/14/88/351891488.db2.gz SCKTYTCIPNOFSE-UHFFFAOYSA-N 0 0 276.248 2.763 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1coc(C2CC2)n1 ZINC000613072334 351896144 /nfs/dbraw/zinc/89/61/44/351896144.db2.gz SWYHGKJTIOYINS-UHFFFAOYSA-N 0 0 273.248 2.713 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000613109842 351898639 /nfs/dbraw/zinc/89/86/39/351898639.db2.gz BMRJYILEBKNTGZ-SNVBAGLBSA-N 0 0 277.276 2.869 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ncc(Cl)s1 ZINC000613180399 351910499 /nfs/dbraw/zinc/91/04/99/351910499.db2.gz ZPKIBWZKTYNVNN-UHFFFAOYSA-N 0 0 269.713 2.739 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000131495481 187568890 /nfs/dbraw/zinc/56/88/90/187568890.db2.gz LLLAZZNTPFSIKM-VXGBXAGGSA-N 0 0 290.363 2.950 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc(O)c(F)c1 ZINC000613440818 351960482 /nfs/dbraw/zinc/96/04/82/351960482.db2.gz URHYKMZJXMRQLX-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CCO)c2ccco2)s1 ZINC000613579588 351982022 /nfs/dbraw/zinc/98/20/22/351982022.db2.gz YZBIHPFMGIZMMK-MRVPVSSYSA-N 0 0 268.294 2.785 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@@]2(C1)OCc1ccccc12 ZINC000613689334 351997535 /nfs/dbraw/zinc/99/75/35/351997535.db2.gz GEPISOBHKUUFGK-INIZCTEOSA-N 0 0 297.314 2.626 20 5 CFBDRN CC(C)N(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCOC1 ZINC000291986144 198125888 /nfs/dbraw/zinc/12/58/88/198125888.db2.gz UEXSKFDUHNRGQT-ZDUSSCGKSA-N 0 0 293.323 2.626 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000271457976 191149007 /nfs/dbraw/zinc/14/90/07/191149007.db2.gz ZUMXQJRUPMNGKW-NXEZZACHSA-N 0 0 268.288 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@H]2CCO)s1 ZINC000614534260 352102567 /nfs/dbraw/zinc/10/25/67/352102567.db2.gz ZIYKJJKDDNNLLY-IUCAKERBSA-N 0 0 256.327 2.619 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@H]21 ZINC000614644822 352108366 /nfs/dbraw/zinc/10/83/66/352108366.db2.gz ROBCNGAYXGRQRB-UKRRQHHQSA-N 0 0 288.347 2.928 20 5 CFBDRN COc1cc(C(=O)NC[C@@H](C)C2CC2)cc([N+](=O)[O-])c1C ZINC000616266989 352317122 /nfs/dbraw/zinc/31/71/22/352317122.db2.gz JAZCXSXZRARWEJ-SECBINFHSA-N 0 0 292.335 2.688 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N(C)Cc1ncc[nH]1 ZINC000616176533 352295915 /nfs/dbraw/zinc/29/59/15/352295915.db2.gz VBUCAZIIKGKYLL-LLVKDONJSA-N 0 0 274.324 2.819 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000292037011 198140890 /nfs/dbraw/zinc/14/08/90/198140890.db2.gz QZDBWVBDHVJLOP-SECBINFHSA-N 0 0 297.330 2.967 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000271494935 191173860 /nfs/dbraw/zinc/17/38/60/191173860.db2.gz WJNWCFHSCBLXHY-ZYHUDNBSSA-N 0 0 262.309 2.998 20 5 CFBDRN Cc1ccnc(CN2CCc3c2cccc3[N+](=O)[O-])c1 ZINC000616767895 352427449 /nfs/dbraw/zinc/42/74/49/352427449.db2.gz FFHHVEIZSJYBAU-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CSCC[C@@H](C)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000134402175 187745822 /nfs/dbraw/zinc/74/58/22/187745822.db2.gz NOHWNXHULUQJDW-LLVKDONJSA-N 0 0 296.392 2.737 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000617688833 352629268 /nfs/dbraw/zinc/62/92/68/352629268.db2.gz BYWUYDHLKYBRIQ-NEPJUHHUSA-N 0 0 299.330 2.971 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H](C)[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000617761734 352637818 /nfs/dbraw/zinc/63/78/18/352637818.db2.gz ISHKXMQTNQZMDW-UHIISALHSA-N 0 0 294.326 2.905 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@H]1CCOC1 ZINC000135253153 187811895 /nfs/dbraw/zinc/81/18/95/187811895.db2.gz BVTDCMJMTIDSCV-QWRGUYRKSA-N 0 0 287.319 2.980 20 5 CFBDRN CC[C@]1(C)CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000135278844 187814783 /nfs/dbraw/zinc/81/47/83/187814783.db2.gz LIJXDTAFWYVXKH-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])n1C ZINC000271625101 191245623 /nfs/dbraw/zinc/24/56/23/191245623.db2.gz ARFNYBAOJIEDDB-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN Cc1nc(C(=O)NCc2ccc([N+](=O)[O-])cc2)sc1C ZINC000618357849 352757905 /nfs/dbraw/zinc/75/79/05/352757905.db2.gz RKOSXSJLAAKWSW-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Oc2ccc(C)nc2)c1 ZINC000136300077 187874923 /nfs/dbraw/zinc/87/49/23/187874923.db2.gz AENMLCUWRVEJCE-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN Cc1ccc(CN(C)c2nc3cc([N+](=O)[O-])ccc3[nH]2)nc1 ZINC000618590205 352824797 /nfs/dbraw/zinc/82/47/97/352824797.db2.gz WJMXZTGHSSRYMI-UHFFFAOYSA-N 0 0 297.318 2.811 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000271630690 191250089 /nfs/dbraw/zinc/25/00/89/191250089.db2.gz PBASVLDPAZCLHK-DCAQKATOSA-N 0 0 277.324 2.611 20 5 CFBDRN COc1cccc(N[C@@H]2CCCSC2)c1[N+](=O)[O-] ZINC000136438129 187880088 /nfs/dbraw/zinc/88/00/88/187880088.db2.gz GIGDUTMAHKACLQ-SECBINFHSA-N 0 0 268.338 2.911 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CCOC(C)(C)C ZINC000618466679 352780478 /nfs/dbraw/zinc/78/04/78/352780478.db2.gz WJWDVCOQANLKKY-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3nccs3)n2)cc1 ZINC000618535459 352804407 /nfs/dbraw/zinc/80/44/07/352804407.db2.gz IGEAWSANNWOSQA-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nnc(C3CC3)o2)s1 ZINC000618681241 352857332 /nfs/dbraw/zinc/85/73/32/352857332.db2.gz PECYFTWUMNYKAU-UHFFFAOYSA-N 0 0 266.282 2.529 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2Br)C1 ZINC000618981397 352927035 /nfs/dbraw/zinc/92/70/35/352927035.db2.gz KPYSMHNWQWUCFA-UHFFFAOYSA-N 0 0 286.129 2.599 20 5 CFBDRN CC(C)(C(=O)NCc1cccc([N+](=O)[O-])c1)C(F)F ZINC000619282965 352989780 /nfs/dbraw/zinc/98/97/80/352989780.db2.gz UJGKIPMMMPALFU-UHFFFAOYSA-N 0 0 272.251 2.502 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000619146783 352965948 /nfs/dbraw/zinc/96/59/48/352965948.db2.gz HFFRHICBJNNKHH-UHFFFAOYSA-N 0 0 285.303 2.625 20 5 CFBDRN CCc1ccc(C(=O)NC(C)(C)C(F)F)cc1[N+](=O)[O-] ZINC000619422175 353020457 /nfs/dbraw/zinc/02/04/57/353020457.db2.gz GKLQPWDCTKYBNR-UHFFFAOYSA-N 0 0 286.278 2.931 20 5 CFBDRN COCC(C)(C)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000292235689 198212442 /nfs/dbraw/zinc/21/24/42/198212442.db2.gz JDOWQSGHHGYGQI-UHFFFAOYSA-N 0 0 286.715 2.859 20 5 CFBDRN COc1cnccc1CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000619570129 353036693 /nfs/dbraw/zinc/03/66/93/353036693.db2.gz HSHMFVUEDGCAHZ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1ccc(NC(=O)CCC(F)F)cc1[N+](=O)[O-] ZINC000619442488 353024294 /nfs/dbraw/zinc/02/42/94/353024294.db2.gz ZKNCTYBGCYEAMM-UHFFFAOYSA-N 0 0 274.223 2.587 20 5 CFBDRN COc1cnccc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000619570108 353036779 /nfs/dbraw/zinc/03/67/79/353036779.db2.gz BYTYEHALTLFEGV-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN C[C@H]1CN(c2sccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000619499891 353029594 /nfs/dbraw/zinc/02/95/94/353029594.db2.gz DSYWQWYZTKGXIQ-KWQFWETISA-N 0 0 268.338 2.660 20 5 CFBDRN O=[N+]([O-])c1c(N2CC(C3CCCC3)C2)nc2sccn21 ZINC000619503646 353030217 /nfs/dbraw/zinc/03/02/17/353030217.db2.gz YUFVHTRUSIYVJY-UHFFFAOYSA-N 0 0 292.364 2.930 20 5 CFBDRN COc1cccc(N2CCC(F)(F)CC2)c1[N+](=O)[O-] ZINC000619504180 353030474 /nfs/dbraw/zinc/03/04/74/353030474.db2.gz KVZUFESWIKYKDD-UHFFFAOYSA-N 0 0 272.251 2.839 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CCC(F)(F)C1 ZINC000619527751 353032754 /nfs/dbraw/zinc/03/27/54/353032754.db2.gz JNETUZKUFFQEJT-MRVPVSSYSA-N 0 0 257.240 2.837 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000151652259 187973047 /nfs/dbraw/zinc/97/30/47/187973047.db2.gz KWBHXQADNBLFSY-QMMMGPOBSA-N 0 0 286.278 2.991 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1nc(CC)no1 ZINC000619586893 353038159 /nfs/dbraw/zinc/03/81/59/353038159.db2.gz BBEMPPGMUPYYAL-UHFFFAOYSA-N 0 0 292.295 2.551 20 5 CFBDRN CCC(C)(C)N(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000619594009 353039146 /nfs/dbraw/zinc/03/91/46/353039146.db2.gz BQONGFYIPHFSTM-UHFFFAOYSA-N 0 0 293.367 2.967 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C3CCCC2CCC3)s1 ZINC000619696426 353052317 /nfs/dbraw/zinc/05/23/17/353052317.db2.gz WYGSLIURJWNETC-UHFFFAOYSA-N 0 0 253.327 2.963 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CC1(C)C ZINC000152213558 188003073 /nfs/dbraw/zinc/00/30/73/188003073.db2.gz NLADAVWMUHETDS-VIFPVBQESA-N 0 0 265.265 2.555 20 5 CFBDRN CCCc1n[nH]c(C(=O)NCCC2=CCCC2)c1[N+](=O)[O-] ZINC000152512869 188025625 /nfs/dbraw/zinc/02/56/25/188025625.db2.gz LNHRHIFZUVHRRC-UHFFFAOYSA-N 0 0 292.339 2.501 20 5 CFBDRN Cc1ccc(NC(=O)NC2(C)CCC2)cc1[N+](=O)[O-] ZINC000152899480 188051419 /nfs/dbraw/zinc/05/14/19/188051419.db2.gz ZATLEKNVBHPABX-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN CCN(C[C@H](C)OC)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000292300712 198235709 /nfs/dbraw/zinc/23/57/09/198235709.db2.gz LDVDSSUDDKJJDO-JTQLQIEISA-N 0 0 280.324 2.659 20 5 CFBDRN CCN(C[C@@H](C)OC)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000292300714 198235941 /nfs/dbraw/zinc/23/59/41/198235941.db2.gz LDVDSSUDDKJJDO-SNVBAGLBSA-N 0 0 280.324 2.659 20 5 CFBDRN O=C(C=C1CCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000153506352 188086681 /nfs/dbraw/zinc/08/66/81/188086681.db2.gz GPLKIQJWVHEFME-UHFFFAOYSA-N 0 0 258.277 2.594 20 5 CFBDRN Cc1cc(NC[C@H](C)C[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292361655 198258961 /nfs/dbraw/zinc/25/89/61/198258961.db2.gz CTLSAMUVGJMGOV-PSASIEDQSA-N 0 0 270.304 2.861 20 5 CFBDRN CCC[C@H](CCO)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153868933 188108661 /nfs/dbraw/zinc/10/86/61/188108661.db2.gz BTVNBUIKHVUWQX-SECBINFHSA-N 0 0 287.747 2.854 20 5 CFBDRN CN(CCOCC1CC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153939661 188112828 /nfs/dbraw/zinc/11/28/28/188112828.db2.gz IDVAPZVNTXRRFS-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CC2(C)C)c([N+](=O)[O-])c1 ZINC000154125957 188124414 /nfs/dbraw/zinc/12/44/14/188124414.db2.gz XKPIOWZGNANXMG-SECBINFHSA-N 0 0 264.281 2.588 20 5 CFBDRN CC[C@@H]1CCN1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000292404154 198274923 /nfs/dbraw/zinc/27/49/23/198274923.db2.gz LLKLGCIRVGGSFN-SECBINFHSA-N 0 0 261.281 2.720 20 5 CFBDRN CS[C@@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000154944577 188171793 /nfs/dbraw/zinc/17/17/93/188171793.db2.gz LPXSWIVTWSJUTR-QMMMGPOBSA-N 0 0 254.311 2.675 20 5 CFBDRN O=C(C=C1CCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000154527515 188148115 /nfs/dbraw/zinc/14/81/15/188148115.db2.gz VODRFJMSAWEOHA-UHFFFAOYSA-N 0 0 258.277 2.594 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000155622428 188217597 /nfs/dbraw/zinc/21/75/97/188217597.db2.gz QQGJLJYAZFTNRW-LBPRGKRZSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1C[C@H](C)C[N@@H+](Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000007049192 539988685 /nfs/dbraw/zinc/98/86/85/539988685.db2.gz HBTBRDFFNWXQKY-PHIMTYICSA-N 0 0 288.351 2.949 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H](n3cccn3)C2)c(F)c1 ZINC000292481757 198304755 /nfs/dbraw/zinc/30/47/55/198304755.db2.gz ZOWXENWLZAFLKG-VIFPVBQESA-N 0 0 294.261 2.521 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000155971110 188237777 /nfs/dbraw/zinc/23/77/77/188237777.db2.gz GQWBUXUDMSNMCU-CMPLNLGQSA-N 0 0 292.335 2.912 20 5 CFBDRN CCN(CC(C)(C)O)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000156059636 188240905 /nfs/dbraw/zinc/24/09/05/188240905.db2.gz VLJGEBMCTKYEOQ-UHFFFAOYSA-N 0 0 295.339 2.528 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000156259316 188251948 /nfs/dbraw/zinc/25/19/48/188251948.db2.gz GWJWSMQEOPWQRK-BXUZGUMPSA-N 0 0 292.335 2.669 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000156363493 188257438 /nfs/dbraw/zinc/25/74/38/188257438.db2.gz GRSUUMASQDARNP-IUCAKERBSA-N 0 0 268.338 2.965 20 5 CFBDRN CC1CCC(N(C)C(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000157284671 188307553 /nfs/dbraw/zinc/30/75/53/188307553.db2.gz SPCHABXHUGXVJU-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN CC1(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCC1 ZINC000156511567 188265949 /nfs/dbraw/zinc/26/59/49/188265949.db2.gz DBSOZJJTRYIGPR-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN CS[C@H](C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000156920732 188287729 /nfs/dbraw/zinc/28/77/29/188287729.db2.gz HDVZUTHLCUCRKW-SECBINFHSA-N 0 0 280.349 2.626 20 5 CFBDRN CCC[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000157078776 188295715 /nfs/dbraw/zinc/29/57/15/188295715.db2.gz PUAZOODJMFSNTN-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1ccc(NCCCNC(=O)C(C)(C)F)c([N+](=O)[O-])c1 ZINC000157393411 188314790 /nfs/dbraw/zinc/31/47/90/188314790.db2.gz FTBLVHLEJHPZTC-UHFFFAOYSA-N 0 0 297.330 2.570 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000157391201 188314890 /nfs/dbraw/zinc/31/48/90/188314890.db2.gz JEUDWDYBYVSMRB-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1cc(N[C@@H](C)CC[S@@](C)=O)c(F)cc1[N+](=O)[O-] ZINC000292573789 198338115 /nfs/dbraw/zinc/33/81/15/198338115.db2.gz KLOHHRWXIJNLAN-ZRNGKTOUSA-N 0 0 288.344 2.611 20 5 CFBDRN CN(CCc1nccs1)c1cccc(F)c1[N+](=O)[O-] ZINC000157808504 188340513 /nfs/dbraw/zinc/34/05/13/188340513.db2.gz PIWFSXCCFOXOSH-UHFFFAOYSA-N 0 0 281.312 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OC[C@@H](O)C(F)(F)F)c1 ZINC000158437619 188376182 /nfs/dbraw/zinc/37/61/82/188376182.db2.gz NKAMBIKMIZZRCV-MRVPVSSYSA-N 0 0 285.605 2.550 20 5 CFBDRN Cc1ccc(NC(=O)COC(C)(C)C)cc1[N+](=O)[O-] ZINC000158446261 188377165 /nfs/dbraw/zinc/37/71/65/188377165.db2.gz FULQFALFGCZDQB-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CC1CCCCC1 ZINC000158506708 188379244 /nfs/dbraw/zinc/37/92/44/188379244.db2.gz SZIUBMBDYRWGSP-UHFFFAOYSA-N 0 0 270.716 2.990 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCC(F)(F)F)c1 ZINC000158567259 188382695 /nfs/dbraw/zinc/38/26/95/188382695.db2.gz MQAWHBLTDJSNPP-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC(C)(CC)C1 ZINC000292737048 198394270 /nfs/dbraw/zinc/39/42/70/198394270.db2.gz GQNJSMNSGYKJKN-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCc1noc(C)c1CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000292742347 198396573 /nfs/dbraw/zinc/39/65/73/198396573.db2.gz IZVDLDDEHVMTBZ-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN CC(C)CC[C@@H](NC(=O)c1[nH]ncc1[N+](=O)[O-])C(C)(C)C ZINC000159974912 188449199 /nfs/dbraw/zinc/44/91/99/188449199.db2.gz AKNVFNGBQQNNLH-LLVKDONJSA-N 0 0 296.371 2.899 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@]1(O)CCCC1(C)C ZINC000292852488 198433963 /nfs/dbraw/zinc/43/39/63/198433963.db2.gz RMAKBZOPQWAJFY-CQSZACIVSA-N 0 0 279.340 2.651 20 5 CFBDRN CCCN(C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271718673 191290638 /nfs/dbraw/zinc/29/06/38/191290638.db2.gz WHCPZPXDNXQDDZ-JTQLQIEISA-N 0 0 265.313 2.707 20 5 CFBDRN CC(C)[C@H]1C[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000271725436 191293603 /nfs/dbraw/zinc/29/36/03/191293603.db2.gz ULWQASRRFXHVCX-WDEREUQCSA-N 0 0 298.364 2.590 20 5 CFBDRN C[C@H]1CSCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000178837828 291022392 /nfs/dbraw/zinc/02/23/92/291022392.db2.gz ZBTOGBWQHULUPQ-ZETCQYMHSA-N 0 0 273.745 2.585 20 5 CFBDRN CCc1nc(C)c(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)o1 ZINC000293408791 198628325 /nfs/dbraw/zinc/62/83/25/198628325.db2.gz SXLWEQRIGVLQMF-UHFFFAOYSA-N 0 0 294.311 2.583 20 5 CFBDRN CSCCCNC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271740755 191299318 /nfs/dbraw/zinc/29/93/18/191299318.db2.gz WIVALKNOIOTUJR-SNVBAGLBSA-N 0 0 297.380 2.708 20 5 CFBDRN Cc1cc(NCC2([C@H](O)C(C)C)CC2)ncc1[N+](=O)[O-] ZINC000271741658 191300302 /nfs/dbraw/zinc/30/03/02/191300302.db2.gz AELSELAOBKJMQS-CYBMUJFWSA-N 0 0 279.340 2.507 20 5 CFBDRN C[C@H](NC(=O)CC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000295310732 199453138 /nfs/dbraw/zinc/45/31/38/199453138.db2.gz KEGVXBUKTFVXCN-NWDGAFQWSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1c([N+](=O)[O-])ncn1C ZINC000042804607 290412624 /nfs/dbraw/zinc/41/26/24/290412624.db2.gz AZFLPPYZQSWJHC-UWVGGRQHSA-N 0 0 252.318 2.567 20 5 CFBDRN CC1(C)CCCN1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000170867981 188700103 /nfs/dbraw/zinc/70/01/03/188700103.db2.gz HUHZFGFHFPFEGP-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN Cc1ccc(NC(=O)N2CCCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000171242828 188724564 /nfs/dbraw/zinc/72/45/64/188724564.db2.gz FENXPNMNRUJYOL-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccc(C)c(C)c2)c1[N+](=O)[O-] ZINC000171366785 188732364 /nfs/dbraw/zinc/73/23/64/188732364.db2.gz NFQPLWQSCPDPSV-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN CC(C)CCCN1C(=O)c2ccc([N+](=O)[O-])cc2C1=O ZINC000202739638 540100953 /nfs/dbraw/zinc/10/09/53/540100953.db2.gz ULPLWCNXTKGAKO-UHFFFAOYSA-N 0 0 276.292 2.627 20 5 CFBDRN CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000172754563 188824826 /nfs/dbraw/zinc/82/48/26/188824826.db2.gz PKEXHNMRWRWHNW-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN C[C@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000173036589 188839247 /nfs/dbraw/zinc/83/92/47/188839247.db2.gz WELBKRLAWNQZFV-NSHDSACASA-N 0 0 276.336 2.832 20 5 CFBDRN CSCC[C@H](C)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173076908 188840931 /nfs/dbraw/zinc/84/09/31/188840931.db2.gz MDGOFBZCGSMVOT-NSHDSACASA-N 0 0 296.392 2.737 20 5 CFBDRN C[C@H](NC(=O)CC[C@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000271807441 191338754 /nfs/dbraw/zinc/33/87/54/191338754.db2.gz NUPKUOGMGHXBNW-RYUDHWBXSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H](NC(=O)CC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000271812800 191342936 /nfs/dbraw/zinc/34/29/36/191342936.db2.gz OQJBNVIZRWZXRU-SSDOTTSWSA-N 0 0 276.214 2.724 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000271827589 191352081 /nfs/dbraw/zinc/35/20/81/191352081.db2.gz DPQFZNGOYDIUTD-RYUDHWBXSA-N 0 0 293.319 2.932 20 5 CFBDRN C[C@@H](NC(=O)C=C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000271830908 191353224 /nfs/dbraw/zinc/35/32/24/191353224.db2.gz RRTUVHMSZWGEJX-SNVBAGLBSA-N 0 0 260.293 2.882 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC2(C1)CCCCC2 ZINC000174009112 188878585 /nfs/dbraw/zinc/87/85/85/188878585.db2.gz YJPDQVBBDRWCHT-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN Cc1cc(NCC2([C@H](C)CCO)CCC2)ncc1[N+](=O)[O-] ZINC000271840091 191361889 /nfs/dbraw/zinc/36/18/89/191361889.db2.gz QEBQFFLJBPXZCX-GFCCVEGCSA-N 0 0 293.367 2.899 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000272253080 191610506 /nfs/dbraw/zinc/61/05/06/191610506.db2.gz SYRWNMYKTVXYJE-SKDRFNHKSA-N 0 0 299.758 2.631 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000272895496 191964703 /nfs/dbraw/zinc/96/47/03/191964703.db2.gz ZKWWHZZVDAQSAU-GXSJLCMTSA-N 0 0 293.323 2.914 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1C[C@H]2CCC[C@@H]2C1 ZINC000273522494 192186343 /nfs/dbraw/zinc/18/63/43/192186343.db2.gz YWUCEYDOQUKFNK-NXEZZACHSA-N 0 0 293.298 2.998 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cn2)C12CCCC2 ZINC000273572878 192210187 /nfs/dbraw/zinc/21/01/87/192210187.db2.gz DKQUMZJMUWVOFS-VXGBXAGGSA-N 0 0 292.339 2.535 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2ccccc2F)C2CC2)nc1 ZINC000273590397 192219414 /nfs/dbraw/zinc/21/94/14/192219414.db2.gz HDJABRWXPVSMBC-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN CCN(c1ncc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000273593953 192221169 /nfs/dbraw/zinc/22/11/69/192221169.db2.gz HVVCCTOPMGSRJF-UHFFFAOYSA-N 0 0 250.302 2.544 20 5 CFBDRN COc1cc(NCCc2ncccc2C)ccc1[N+](=O)[O-] ZINC000295865186 199696637 /nfs/dbraw/zinc/69/66/37/199696637.db2.gz YOJOZPFGKFKTEO-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CC[C@@H](CNc1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000273634826 192238992 /nfs/dbraw/zinc/23/89/92/192238992.db2.gz QYXCGBSSVAHUOZ-NSHDSACASA-N 0 0 272.308 2.991 20 5 CFBDRN Nc1ccc(-c2noc([C@@H]3CCCS3)n2)cc1[N+](=O)[O-] ZINC000273644561 192244134 /nfs/dbraw/zinc/24/41/34/192244134.db2.gz FRHNGPGUJXGWPJ-JTQLQIEISA-N 0 0 292.320 2.795 20 5 CFBDRN CS[C@H](C)Cc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273736941 192284681 /nfs/dbraw/zinc/28/46/81/192284681.db2.gz SPZVLTYKQFBEFE-SSDOTTSWSA-N 0 0 294.336 2.521 20 5 CFBDRN Cc1c(C(=O)N2CCC(CF)CC2)cccc1[N+](=O)[O-] ZINC000295996184 199746971 /nfs/dbraw/zinc/74/69/71/199746971.db2.gz RLFCNZJYYGNSRI-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN CC(C)CCc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000273815490 192319067 /nfs/dbraw/zinc/31/90/67/192319067.db2.gz CLZFEBCJBKNXRF-UHFFFAOYSA-N 0 0 276.296 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(CCC3CCC3)n2)nc1 ZINC000273818048 192319416 /nfs/dbraw/zinc/31/94/16/192319416.db2.gz CQIKFQCPKNXWEZ-UHFFFAOYSA-N 0 0 288.307 2.696 20 5 CFBDRN CC[C@@H](C)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273805781 192314866 /nfs/dbraw/zinc/31/48/66/192314866.db2.gz YAYRBDXDUGIBBA-SSDOTTSWSA-N 0 0 262.269 2.741 20 5 CFBDRN CCC[C@H](CNc1ccc([N+](=O)[O-])c(OC)c1)OC ZINC000293793188 198775158 /nfs/dbraw/zinc/77/51/58/198775158.db2.gz XNVWHFQDHURXJN-LLVKDONJSA-N 0 0 268.313 2.830 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000274507207 192626724 /nfs/dbraw/zinc/62/67/24/192626724.db2.gz TWECIFBPOYAJDH-UFFNRZRYSA-N 0 0 250.298 2.642 20 5 CFBDRN CCc1ccc(C(=O)N2C[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000293942227 198837566 /nfs/dbraw/zinc/83/75/66/198837566.db2.gz FGELURLDPNZTKS-ZJUUUORDSA-N 0 0 262.309 2.638 20 5 CFBDRN CSC[C@H](C)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294067176 198894418 /nfs/dbraw/zinc/89/44/18/198894418.db2.gz KOZZMUJJOJIKGD-JTQLQIEISA-N 0 0 296.392 2.945 20 5 CFBDRN Cc1nn([C@@H](C)c2ccc(F)cc2F)cc1[N+](=O)[O-] ZINC000294174828 198939304 /nfs/dbraw/zinc/93/93/04/198939304.db2.gz JOOIXSXNBSVFCV-QMMMGPOBSA-N 0 0 267.235 2.987 20 5 CFBDRN Cc1ccc(NC(=O)CSC2CC2)cc1[N+](=O)[O-] ZINC000294178307 198940300 /nfs/dbraw/zinc/94/03/00/198940300.db2.gz DSVXYWDIZWIEIA-UHFFFAOYSA-N 0 0 266.322 2.737 20 5 CFBDRN C[C@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])[C@H]1C ZINC000267633619 290594789 /nfs/dbraw/zinc/59/47/89/290594789.db2.gz JYOVSOHAPMLSBC-YUMQZZPRSA-N 0 0 266.326 2.539 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H](C)CC(C)C ZINC000294354368 199009798 /nfs/dbraw/zinc/00/97/98/199009798.db2.gz ZMYPYFHMSSTJJS-JTQLQIEISA-N 0 0 252.314 2.747 20 5 CFBDRN COc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1F ZINC000294327398 199000706 /nfs/dbraw/zinc/00/07/06/199000706.db2.gz DTCJFVNOIQEELC-UHFFFAOYSA-N 0 0 284.287 2.608 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000294345885 199005784 /nfs/dbraw/zinc/00/57/84/199005784.db2.gz LLZHEBORCYCBHH-UHFFFAOYSA-N 0 0 298.314 2.861 20 5 CFBDRN Cc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc1N(C)C ZINC000294383197 199018793 /nfs/dbraw/zinc/01/87/93/199018793.db2.gz NPVWHPHXDWDUEI-UHFFFAOYSA-N 0 0 293.367 2.835 20 5 CFBDRN CCOCc1cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294410369 199029632 /nfs/dbraw/zinc/02/96/32/199029632.db2.gz DMYSZTAZUOGIAI-UHFFFAOYSA-N 0 0 294.351 2.997 20 5 CFBDRN COc1cccc([C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294500594 199064048 /nfs/dbraw/zinc/06/40/48/199064048.db2.gz VIHSLTDROSGCPY-LLVKDONJSA-N 0 0 294.351 2.708 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H](C)CC(C)(C)O)cc1[N+](=O)[O-] ZINC000296170617 199805588 /nfs/dbraw/zinc/80/55/88/199805588.db2.gz LHDVANBXNPCNKU-SNVBAGLBSA-N 0 0 295.339 2.574 20 5 CFBDRN C[C@@H]1[C@H](O)CCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000296244412 199829105 /nfs/dbraw/zinc/82/91/05/199829105.db2.gz NNZJRKAKBBZVFR-NOZJJQNGSA-N 0 0 284.743 2.593 20 5 CFBDRN CC(C)(CCC(=O)NC1CC(c2ccccc2)C1)[N+](=O)[O-] ZINC000294708802 199145997 /nfs/dbraw/zinc/14/59/97/199145997.db2.gz VWPYBZNPWSWLFQ-UHFFFAOYSA-N 0 0 290.363 2.884 20 5 CFBDRN CCOC1CN(C(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)C1 ZINC000294816648 199195932 /nfs/dbraw/zinc/19/59/32/199195932.db2.gz LQZDIYOUHAJFER-UHFFFAOYSA-N 0 0 292.335 2.579 20 5 CFBDRN CCOc1cccc(N2CC[C@@](C)(COC)C2)c1[N+](=O)[O-] ZINC000294849488 199212126 /nfs/dbraw/zinc/21/21/26/199212126.db2.gz AXOSLDFAVWSRNJ-OAHLLOKOSA-N 0 0 294.351 2.856 20 5 CFBDRN C[C@H](CCO)CCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294853901 199214314 /nfs/dbraw/zinc/21/43/14/199214314.db2.gz CLBDMQDIUAXRPB-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN COc1cc(NCC2(OC)CCCC2)ccc1[N+](=O)[O-] ZINC000294913685 199245192 /nfs/dbraw/zinc/24/51/92/199245192.db2.gz JGHJKMNLVKSVML-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCC(C)(F)F ZINC000294927802 199255109 /nfs/dbraw/zinc/25/51/09/199255109.db2.gz KLPGVYFIDUCBEB-UHFFFAOYSA-N 0 0 276.287 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC(C)(C)CO)c1 ZINC000294941954 199262339 /nfs/dbraw/zinc/26/23/39/199262339.db2.gz LHPRLEYCCRUIHC-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN C[C@H](CCCO)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294934032 199257826 /nfs/dbraw/zinc/25/78/26/199257826.db2.gz KMNLBGSQFAJBCB-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1nc(N(C)[C@@H]2CCCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC000296288466 199842011 /nfs/dbraw/zinc/84/20/11/199842011.db2.gz HROFXLPVFKUWKG-GXSJLCMTSA-N 0 0 264.329 2.708 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1CF ZINC000296454372 199898072 /nfs/dbraw/zinc/89/80/72/199898072.db2.gz YOSMRKJSUQPWMZ-LLVKDONJSA-N 0 0 281.287 2.869 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCc1ccc[nH]1 ZINC000421795162 236919049 /nfs/dbraw/zinc/91/90/49/236919049.db2.gz GVMNLORGFDMSQP-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN Cc1nc([C@@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000296707626 199975314 /nfs/dbraw/zinc/97/53/14/199975314.db2.gz LOEQMVAKWDYEOU-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN C[C@H]1N(c2ccc(F)cc2[N+](=O)[O-])CCOC1(C)C ZINC000408318696 291180516 /nfs/dbraw/zinc/18/05/16/291180516.db2.gz DKVWBHPVJLIVCQ-SECBINFHSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@H](CNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F)OC ZINC000296846669 200014049 /nfs/dbraw/zinc/01/40/49/200014049.db2.gz UKLZZXHFNFUAJF-SECBINFHSA-N 0 0 299.302 2.589 20 5 CFBDRN C[C@H](NCc1noc(C2CC2)n1)c1ccc([N+](=O)[O-])cc1 ZINC000296861194 200017803 /nfs/dbraw/zinc/01/78/03/200017803.db2.gz YWFBEHAMOPFTPO-VIFPVBQESA-N 0 0 288.307 2.706 20 5 CFBDRN Cc1cc(NC(=O)N2CCC[C@@H]2CF)ccc1[N+](=O)[O-] ZINC000296897746 200029052 /nfs/dbraw/zinc/02/90/52/200029052.db2.gz RSNWQCFVVJXJKY-LLVKDONJSA-N 0 0 281.287 2.869 20 5 CFBDRN CS[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000296862963 200019428 /nfs/dbraw/zinc/01/94/28/200019428.db2.gz KPLAJUMGWQPEPX-LLVKDONJSA-N 0 0 295.364 2.872 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H]2c2cccc(F)c2)ncc1[N+](=O)[O-] ZINC000296888790 200026582 /nfs/dbraw/zinc/02/65/82/200026582.db2.gz XSOSPELKFHUZGA-NWDGAFQWSA-N 0 0 288.282 2.800 20 5 CFBDRN CCS[C@@H]1CCCCN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000296937458 200040183 /nfs/dbraw/zinc/04/01/83/200040183.db2.gz BLKIOTNCPJRJEL-LLVKDONJSA-N 0 0 296.396 2.805 20 5 CFBDRN CCN(CCSC)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000592000342 540619589 /nfs/dbraw/zinc/61/95/89/540619589.db2.gz PGRGUQOFKHXJHA-UHFFFAOYSA-N 0 0 282.365 2.987 20 5 CFBDRN CCN(CCSC)c1ccc(F)cc1[N+](=O)[O-] ZINC000591999760 540619766 /nfs/dbraw/zinc/61/97/66/540619766.db2.gz GFBNRQKSZPMZHC-UHFFFAOYSA-N 0 0 258.318 2.923 20 5 CFBDRN C[C@H](CO)CCCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297330420 200150584 /nfs/dbraw/zinc/15/05/84/200150584.db2.gz AFYMQNSUBARSKM-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN CCS[C@H]1CCC[C@@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000297457033 200196610 /nfs/dbraw/zinc/19/66/10/200196610.db2.gz JWPVWLJPAZIGBF-ONGXEEELSA-N 0 0 282.369 2.779 20 5 CFBDRN Cc1cnccc1CN1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000297455766 200197016 /nfs/dbraw/zinc/19/70/16/200197016.db2.gz RDUVUZFXVZBFMK-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN COCCC1(CNc2ncc([N+](=O)[O-])c(C)n2)CCCC1 ZINC000297543350 200223411 /nfs/dbraw/zinc/22/34/11/200223411.db2.gz QEVJONYEHQPUAY-UHFFFAOYSA-N 0 0 294.355 2.702 20 5 CFBDRN CN(CCF)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000297593923 200237057 /nfs/dbraw/zinc/23/70/57/200237057.db2.gz YQGCWJBXRPJDPZ-UHFFFAOYSA-N 0 0 275.667 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CC1(F)F ZINC000298032848 200320040 /nfs/dbraw/zinc/32/00/40/200320040.db2.gz YSQYGUDJZBWUIX-MRVPVSSYSA-N 0 0 270.235 2.805 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000297980370 200312436 /nfs/dbraw/zinc/31/24/36/200312436.db2.gz HEJCUKHCJWDLDJ-UONOGXRCSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cccc(C)c1Cn1c(C)c([N+](=O)[O-])ccc1=O ZINC000298095215 200332037 /nfs/dbraw/zinc/33/20/37/200332037.db2.gz ABNWTCWTOLGGHN-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000298136559 200342745 /nfs/dbraw/zinc/34/27/45/200342745.db2.gz ODIJZZCTUTVMED-IGLBNKAOSA-N 0 0 274.320 2.865 20 5 CFBDRN O=C(CC1CC1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000298311347 200392198 /nfs/dbraw/zinc/39/21/98/200392198.db2.gz DKUWACIRZCKENR-UHFFFAOYSA-N 0 0 256.208 2.612 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCNc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000177007190 189020060 /nfs/dbraw/zinc/02/00/60/189020060.db2.gz LEGCKBOARQLQTI-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN CC(C)C[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000177006240 189020249 /nfs/dbraw/zinc/02/02/49/189020249.db2.gz FYGKUEIYVUFSAY-LLVKDONJSA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000177058091 189024478 /nfs/dbraw/zinc/02/44/78/189024478.db2.gz KPTSJWJSUKZPQX-DTWKUNHWSA-N 0 0 266.272 2.604 20 5 CFBDRN COC(=O)c1ccc(OC[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000596020569 540701060 /nfs/dbraw/zinc/70/10/60/540701060.db2.gz GNMPFNVGADRVOD-JGPRNRPPSA-N 0 0 291.303 2.806 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@H]1CCO ZINC000275034158 192886956 /nfs/dbraw/zinc/88/69/56/192886956.db2.gz OVXVJAYQGDFSQL-FZMZJTMJSA-N 0 0 294.351 2.704 20 5 CFBDRN CCCC[C@@H](CCC)CNC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000177294460 189042138 /nfs/dbraw/zinc/04/21/38/189042138.db2.gz SOTYTYLYMJRTRV-GFCCVEGCSA-N 0 0 296.371 2.514 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CCC2(CCC2)C1 ZINC000177488082 189053687 /nfs/dbraw/zinc/05/36/87/189053687.db2.gz RWLXYWDAUIKIOR-UHFFFAOYSA-N 0 0 289.335 2.680 20 5 CFBDRN CCCOCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177846792 189073474 /nfs/dbraw/zinc/07/34/74/189073474.db2.gz YCUZNRGJHRDKIT-NSHDSACASA-N 0 0 280.324 2.507 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC[C@@H]1CCCO1 ZINC000177986140 189083623 /nfs/dbraw/zinc/08/36/23/189083623.db2.gz BPPNVKWQZPYEFH-QMMMGPOBSA-N 0 0 257.673 2.806 20 5 CFBDRN CC[C@H](C)NC(=O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000177989552 189083624 /nfs/dbraw/zinc/08/36/24/189083624.db2.gz MFNQKUQTEZBNAE-QMMMGPOBSA-N 0 0 286.715 2.542 20 5 CFBDRN CCC[C@@H](CCO)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000178242815 189099965 /nfs/dbraw/zinc/09/99/65/189099965.db2.gz GIAPFJABRCGACT-NSHDSACASA-N 0 0 267.329 2.509 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000178440208 189116282 /nfs/dbraw/zinc/11/62/82/189116282.db2.gz RDMHJUBYXTZYIW-UWVGGRQHSA-N 0 0 262.309 2.818 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSC2CCCCC2)n1 ZINC000178456897 189118010 /nfs/dbraw/zinc/11/80/10/189118010.db2.gz NMBLTTOYWOIZGC-UHFFFAOYSA-N 0 0 255.343 2.857 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000178465368 189119153 /nfs/dbraw/zinc/11/91/53/189119153.db2.gz WXNQPVZHGOYODN-NSHDSACASA-N 0 0 284.337 2.684 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000299056996 200594682 /nfs/dbraw/zinc/59/46/82/200594682.db2.gz MVLDQLCMLPZBKK-LLVKDONJSA-N 0 0 295.295 2.508 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)C1CC1 ZINC000178391513 189112712 /nfs/dbraw/zinc/11/27/12/189112712.db2.gz XXORNWJDIZRFEG-QMMMGPOBSA-N 0 0 264.281 2.588 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000178836005 189149339 /nfs/dbraw/zinc/14/93/39/189149339.db2.gz SYPAVKYGKGPEDE-SSDOTTSWSA-N 0 0 295.730 2.858 20 5 CFBDRN Cc1c([C@@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])cnn1C ZINC000178833513 189149593 /nfs/dbraw/zinc/14/95/93/189149593.db2.gz FUQIIZSWMKWUJO-SSDOTTSWSA-N 0 0 295.730 2.858 20 5 CFBDRN Cc1nc(CN2CCc3c2cccc3[N+](=O)[O-])oc1C ZINC000178947369 189156900 /nfs/dbraw/zinc/15/69/00/189156900.db2.gz GPWFFRFZPKGETG-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000180042656 189234258 /nfs/dbraw/zinc/23/42/58/189234258.db2.gz FRRYKKPSXPKKSM-SNVBAGLBSA-N 0 0 280.324 2.904 20 5 CFBDRN Cc1cc(=O)n(CCCc2cccc(F)c2)cc1[N+](=O)[O-] ZINC000180081918 189236138 /nfs/dbraw/zinc/23/61/38/189236138.db2.gz QJRKMBFXVUQYSS-UHFFFAOYSA-N 0 0 290.294 2.837 20 5 CFBDRN Cc1cccc(N2CCC[C@H]2C[C@H](C)O)c1[N+](=O)[O-] ZINC000275510891 540975040 /nfs/dbraw/zinc/97/50/40/540975040.db2.gz UUVCWIRUHYUHHB-RYUDHWBXSA-N 0 0 264.325 2.643 20 5 CFBDRN C[C@H](NCc1noc(C2CC2)n1)c1cccc([N+](=O)[O-])c1 ZINC000180394963 189253134 /nfs/dbraw/zinc/25/31/34/189253134.db2.gz GYTFDBIEAICXPR-VIFPVBQESA-N 0 0 288.307 2.706 20 5 CFBDRN CCC[C@@](C)(O)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000230905328 540995850 /nfs/dbraw/zinc/99/58/50/540995850.db2.gz RCUQENRTDSQXIB-CYBMUJFWSA-N 0 0 268.313 2.566 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000180578090 189262922 /nfs/dbraw/zinc/26/29/22/189262922.db2.gz HSJZBYIPHIWGKX-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H](O)CC(C)C)c([N+](=O)[O-])c1 ZINC000301075632 200908275 /nfs/dbraw/zinc/90/82/75/200908275.db2.gz CXQNUGPEOGUINC-LBPRGKRZSA-N 0 0 280.324 2.616 20 5 CFBDRN CC[C@H](C)N(C(=O)CCn1cc([N+](=O)[O-])cn1)[C@@H](C)CC ZINC000180524363 189260634 /nfs/dbraw/zinc/26/06/34/189260634.db2.gz XULZAHPZQDFACG-RYUDHWBXSA-N 0 0 296.371 2.607 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000118286151 291257357 /nfs/dbraw/zinc/25/73/57/291257357.db2.gz UYTVVQQWEHBWES-NXEZZACHSA-N 0 0 280.349 2.561 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301423591 201004073 /nfs/dbraw/zinc/00/40/73/201004073.db2.gz DOHXYSRTYASNDT-VIFPVBQESA-N 0 0 284.287 2.734 20 5 CFBDRN COC[C@@H](Nc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC000301425950 201005030 /nfs/dbraw/zinc/00/50/30/201005030.db2.gz OYANKZSGKVAKFB-GFCCVEGCSA-N 0 0 273.292 2.789 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCNC(=O)c1ccccc1 ZINC000301440905 201010257 /nfs/dbraw/zinc/01/02/57/201010257.db2.gz MUUAUNLSLWPSEC-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H](C)C[C@@H](C)O)c1 ZINC000301453911 201014849 /nfs/dbraw/zinc/01/48/49/201014849.db2.gz BBESSSNPMKPOOW-DTWKUNHWSA-N 0 0 286.303 2.561 20 5 CFBDRN Cc1cnc(NCc2nc3ccccc3n2C)c([N+](=O)[O-])c1 ZINC000301461011 201017650 /nfs/dbraw/zinc/01/76/50/201017650.db2.gz UKUDJRNUCACRDY-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CC(C)Cc1nnc(NCc2cccc([N+](=O)[O-])c2)o1 ZINC000181771379 189305908 /nfs/dbraw/zinc/30/59/08/189305908.db2.gz NUWXVFOGBRJRIV-UHFFFAOYSA-N 0 0 276.296 2.788 20 5 CFBDRN Cc1nn(C)c(C)c1C[C@@H](C)Nc1ccccc1[N+](=O)[O-] ZINC000301467999 201019541 /nfs/dbraw/zinc/01/95/41/201019541.db2.gz RBGZJCTZDPWVLP-SNVBAGLBSA-N 0 0 288.351 2.988 20 5 CFBDRN Cc1nn(Cc2ccc3c(c2)CCC3)cc1[N+](=O)[O-] ZINC000181822035 189307619 /nfs/dbraw/zinc/30/76/19/189307619.db2.gz JJBLJJOTPQLBAG-UHFFFAOYSA-N 0 0 257.293 2.637 20 5 CFBDRN Cc1nn(CCCSCC(C)C)cc1[N+](=O)[O-] ZINC000181820031 189307656 /nfs/dbraw/zinc/30/76/56/189307656.db2.gz PTRYNQUTNGACJM-UHFFFAOYSA-N 0 0 257.359 2.879 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)[C@H](C)CO1 ZINC000182021840 189312353 /nfs/dbraw/zinc/31/23/53/189312353.db2.gz NGVFDIFSYGOQQJ-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNc1ccon1 ZINC000182192505 189316688 /nfs/dbraw/zinc/31/66/88/189316688.db2.gz DPIJWEXZLZKSRZ-UHFFFAOYSA-N 0 0 263.253 2.594 20 5 CFBDRN C[C@H]1[C@@H](C(=O)N2CCCc3c([N+](=O)[O-])cccc32)C1(F)F ZINC000334686348 291265468 /nfs/dbraw/zinc/26/54/68/291265468.db2.gz VBIIBIDMGQEPHX-UFBFGSQYSA-N 0 0 296.273 2.775 20 5 CFBDRN CCOCCCC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182405050 189322804 /nfs/dbraw/zinc/32/28/04/189322804.db2.gz JOZCHUOEFOIKIA-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN O=C1CCCCN1CSCc1ccc([N+](=O)[O-])cc1 ZINC000182763278 189334155 /nfs/dbraw/zinc/33/41/55/189334155.db2.gz WBQVODLPUZZTRU-UHFFFAOYSA-N 0 0 280.349 2.798 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000182517861 189326420 /nfs/dbraw/zinc/32/64/20/189326420.db2.gz GWFKGKCAKUSQHC-UHFFFAOYSA-N 0 0 294.204 2.600 20 5 CFBDRN CC(=O)N1CC[C@@H](Nc2cc(C)c([N+](=O)[O-])cc2Cl)C1 ZINC000301626075 201104557 /nfs/dbraw/zinc/10/45/57/201104557.db2.gz AWEDYFAUYXSYDZ-SNVBAGLBSA-N 0 0 297.742 2.589 20 5 CFBDRN COc1cc(N(C)Cc2ccncc2)c([N+](=O)[O-])cc1F ZINC000301632741 201106556 /nfs/dbraw/zinc/10/65/56/201106556.db2.gz UPRINMWWAKJEDF-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCCOCC1 ZINC000182987914 189341556 /nfs/dbraw/zinc/34/15/56/189341556.db2.gz GYLBHRXRSJMORX-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN CCOCCCNC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000183026437 189343572 /nfs/dbraw/zinc/34/35/72/189343572.db2.gz YMZJBCKNVCVZRQ-VAWYXSNFSA-N 0 0 292.335 2.541 20 5 CFBDRN CC[C@H](C)N(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000301610012 201092696 /nfs/dbraw/zinc/09/26/96/201092696.db2.gz BWAJVXYOUDIPLD-ZETCQYMHSA-N 0 0 254.315 2.539 20 5 CFBDRN O=C1OCCN1c1cc([N+](=O)[O-])ccc1NC1CC=CC1 ZINC000301620197 201100236 /nfs/dbraw/zinc/10/02/36/201100236.db2.gz DSRWZKJVOPVCTG-UHFFFAOYSA-N 0 0 289.291 2.682 20 5 CFBDRN C[C@@H](Cc1ccc(O)cc1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000301622474 201102291 /nfs/dbraw/zinc/10/22/91/201102291.db2.gz NZERXYQNYNRVJL-NSHDSACASA-N 0 0 287.319 2.763 20 5 CFBDRN Cc1nc(N(CC2CC2)CC2CC2)ccc1[N+](=O)[O-] ZINC000301657564 201119559 /nfs/dbraw/zinc/11/95/59/201119559.db2.gz LOUZOBREZDEILY-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@@H](Nc3ccccc3)C2)c1 ZINC000192788737 283667053 /nfs/dbraw/zinc/66/70/53/283667053.db2.gz HHEKCNMOMKYAGJ-CQSZACIVSA-N 0 0 298.346 2.868 20 5 CFBDRN Cc1cccc2nc(CNc3ccc([N+](=O)[O-])c(C)n3)cn21 ZINC000301674910 201129000 /nfs/dbraw/zinc/12/90/00/201129000.db2.gz RVTTYYRZSRBAHB-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCOc1ccc(Cl)cn1 ZINC000301681812 201135107 /nfs/dbraw/zinc/13/51/07/201135107.db2.gz VIDKPKGHGZIVFF-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@@H+]1CCCO[C@H](C)C1 ZINC000183327213 189354866 /nfs/dbraw/zinc/35/48/66/189354866.db2.gz VXUMPXWCOYECGY-GFCCVEGCSA-N 0 0 264.325 2.514 20 5 CFBDRN O=C(NCCC[C@H]1CCCCO1)c1csc([N+](=O)[O-])c1 ZINC000183364894 189356239 /nfs/dbraw/zinc/35/62/39/189356239.db2.gz OPDZPQHETUMKNH-LLVKDONJSA-N 0 0 298.364 2.735 20 5 CFBDRN CC1(NC(=O)Nc2ccncc2[N+](=O)[O-])CCCCC1 ZINC000183593950 189363848 /nfs/dbraw/zinc/36/38/48/189363848.db2.gz XKGFLEZHQLGJEN-UHFFFAOYSA-N 0 0 278.312 2.834 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183648865 189364955 /nfs/dbraw/zinc/36/49/55/189364955.db2.gz VJYNDACYCMCCSD-VIFPVBQESA-N 0 0 266.301 2.546 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCCOC(C)C ZINC000183646578 189365142 /nfs/dbraw/zinc/36/51/42/189365142.db2.gz DWDNXAODBZWRAN-ZRDIBKRKSA-N 0 0 292.335 2.539 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1ccc([N+](=O)[O-])cc1C ZINC000301761452 201181787 /nfs/dbraw/zinc/18/17/87/201181787.db2.gz GFFKDPBLEINGLF-JTQLQIEISA-N 0 0 266.297 2.514 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000301763752 201181926 /nfs/dbraw/zinc/18/19/26/201181926.db2.gz CIQIRGGZPHKDQW-JTQLQIEISA-N 0 0 286.278 2.878 20 5 CFBDRN CN(CCc1ccccn1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000301760633 201183146 /nfs/dbraw/zinc/18/31/46/201183146.db2.gz BJIMUFXYSRTIKI-UHFFFAOYSA-N 0 0 293.273 2.947 20 5 CFBDRN CCC(O)(CC)COc1ccc(C)c([N+](=O)[O-])c1 ZINC000301732840 201166601 /nfs/dbraw/zinc/16/66/01/201166601.db2.gz KALRYFYTGUXARV-UHFFFAOYSA-N 0 0 253.298 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CC(=O)Nc2ccccc2)c1 ZINC000301752718 201176323 /nfs/dbraw/zinc/17/63/23/201176323.db2.gz VSVJWVCTGUKCFC-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000184370293 189384110 /nfs/dbraw/zinc/38/41/10/189384110.db2.gz IHSKRGJWHHRTLW-VIFPVBQESA-N 0 0 268.338 2.919 20 5 CFBDRN C[C@H](CNc1c(F)cc([N+](=O)[O-])cc1F)Cn1cccn1 ZINC000301795867 201201394 /nfs/dbraw/zinc/20/13/94/201201394.db2.gz QJSOFWJMSLSXPU-SECBINFHSA-N 0 0 296.277 2.818 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])c(N)n1)C1CCCC1 ZINC000301777150 201190936 /nfs/dbraw/zinc/19/09/36/201190936.db2.gz PBJPDMTUFIAXJC-UHFFFAOYSA-N 0 0 279.344 2.595 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H](O)CCc2ccccc2)c1 ZINC000184726933 189394513 /nfs/dbraw/zinc/39/45/13/189394513.db2.gz GNJPMRSSPQWXBZ-OAHLLOKOSA-N 0 0 287.315 2.967 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000336540721 294070797 /nfs/dbraw/zinc/07/07/97/294070797.db2.gz BYNCXURNRAVVRH-UWVGGRQHSA-N 0 0 280.349 2.979 20 5 CFBDRN NC(=O)C[C@@H](Nc1ccccc1[N+](=O)[O-])C1CCCCC1 ZINC000301840584 201227895 /nfs/dbraw/zinc/22/78/95/201227895.db2.gz YFLQUBVKMQOAJC-CYBMUJFWSA-N 0 0 291.351 2.831 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000185102574 189403472 /nfs/dbraw/zinc/40/34/72/189403472.db2.gz AWQNXROMOJRTAZ-KGLIPLIRSA-N 0 0 276.336 2.546 20 5 CFBDRN COc1cc(NC2C[C@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301925105 201264922 /nfs/dbraw/zinc/26/49/22/201264922.db2.gz GUBLMSQECQKDEV-UWVGGRQHSA-N 0 0 280.324 2.971 20 5 CFBDRN O=[N+]([O-])c1ccsc1Nc1cnn(C[C@@H]2CCCO2)c1 ZINC000301930794 201266731 /nfs/dbraw/zinc/26/67/31/201266731.db2.gz KZNMVODRAJACRU-JTQLQIEISA-N 0 0 294.336 2.775 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301959530 201277258 /nfs/dbraw/zinc/27/72/58/201277258.db2.gz HCJKPEMYHSRCHL-QWRGUYRKSA-N 0 0 289.339 2.876 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301957016 201277281 /nfs/dbraw/zinc/27/72/81/201277281.db2.gz FZMQTQZEQSBHOH-QWRGUYRKSA-N 0 0 289.339 2.876 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](C)O[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000301961571 201279747 /nfs/dbraw/zinc/27/97/47/201279747.db2.gz MSKYEGIWHURNTA-JGPRNRPPSA-N 0 0 265.313 2.666 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000425472156 239071568 /nfs/dbraw/zinc/07/15/68/239071568.db2.gz GNWUKMNPYAMLIC-GWCFXTLKSA-N 0 0 289.335 2.816 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H](O)Cc1ccccc1 ZINC000301979426 201285705 /nfs/dbraw/zinc/28/57/05/201285705.db2.gz FXQWTMOAOVNVHD-LLVKDONJSA-N 0 0 278.333 2.672 20 5 CFBDRN CN(C)c1nnc(Sc2sccc2[N+](=O)[O-])s1 ZINC000301986162 201289217 /nfs/dbraw/zinc/28/92/17/201289217.db2.gz CUJVIYJSYDWHLX-UHFFFAOYSA-N 0 0 288.379 2.725 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCc1cn2c(n1)CCCC2 ZINC000302008071 201297524 /nfs/dbraw/zinc/29/75/24/201297524.db2.gz WSZZKARBUSHWTM-UHFFFAOYSA-N 0 0 292.364 2.844 20 5 CFBDRN CCOCCNc1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000302032635 201310284 /nfs/dbraw/zinc/31/02/84/201310284.db2.gz SPGDZYLXEHTOGD-UHFFFAOYSA-N 0 0 275.308 2.900 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1sccc1[N+](=O)[O-] ZINC000302022097 201302485 /nfs/dbraw/zinc/30/24/85/201302485.db2.gz OJOFDLGRWQIPLS-QMMMGPOBSA-N 0 0 258.343 2.865 20 5 CFBDRN C[C@H](c1cnn(C)c1)N(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000302025221 201304123 /nfs/dbraw/zinc/30/41/23/201304123.db2.gz HOMHDQJJQYUBJL-MRVPVSSYSA-N 0 0 295.730 2.574 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@@H]1CCCC[C@@H]1O ZINC000302031222 201309062 /nfs/dbraw/zinc/30/90/62/201309062.db2.gz ILCYDWZHSCLPFE-JSGCOSHPSA-N 0 0 278.352 2.891 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1sccc1[N+](=O)[O-] ZINC000302000792 201292944 /nfs/dbraw/zinc/29/29/44/201292944.db2.gz IXLMRMQDLFFNRE-ZCFIWIBFSA-N 0 0 261.324 2.700 20 5 CFBDRN CCN(CC(F)F)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000185806430 189422220 /nfs/dbraw/zinc/42/22/20/189422220.db2.gz WNVKHTLHPJJMAV-UHFFFAOYSA-N 0 0 272.251 2.630 20 5 CFBDRN Cn1nccc1CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000302145002 201364914 /nfs/dbraw/zinc/36/49/14/201364914.db2.gz IYUGDFYRGSOQAU-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN Cc1ccc(CNc2ncc([N+](=O)[O-])cn2)c(C)c1 ZINC000302145288 201365782 /nfs/dbraw/zinc/36/57/82/201365782.db2.gz HJDUVKWKPXLZPA-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(-n2ccnc2)nc1OCc1ccccc1 ZINC000302168024 201376480 /nfs/dbraw/zinc/37/64/80/201376480.db2.gz KIFPPJZLODLAHN-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000186840759 189452939 /nfs/dbraw/zinc/45/29/39/189452939.db2.gz DOUXZBUHMXRKCZ-NXEZZACHSA-N 0 0 263.297 2.529 20 5 CFBDRN Cc1cc(NCc2ccc([N+](=O)[O-])cc2Cl)ncn1 ZINC000302206552 201395189 /nfs/dbraw/zinc/39/51/89/201395189.db2.gz KOQYPJPWKRRSTD-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000187398677 189466745 /nfs/dbraw/zinc/46/67/45/189466745.db2.gz BIMPMVTXWMIQPC-TVQRCGJNSA-N 0 0 277.324 2.559 20 5 CFBDRN CCCNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000188593379 189507339 /nfs/dbraw/zinc/50/73/39/189507339.db2.gz FFELUPTYBKDJCD-UHFFFAOYSA-N 0 0 251.286 2.743 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000429245461 239593847 /nfs/dbraw/zinc/59/38/47/239593847.db2.gz KFWQTUZZFGKWBB-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H](C[C@H](O)c1ccccc1)Nc1ncc([N+](=O)[O-])s1 ZINC000189986386 189551148 /nfs/dbraw/zinc/55/11/48/189551148.db2.gz ZEJRLXPOGBNCNP-ONGXEEELSA-N 0 0 293.348 2.975 20 5 CFBDRN COc1cc(C(=O)N2CCC(C)CC2)cc([N+](=O)[O-])c1C ZINC000190411069 189563752 /nfs/dbraw/zinc/56/37/52/189563752.db2.gz NUVYMPULONSQGO-UHFFFAOYSA-N 0 0 292.335 2.784 20 5 CFBDRN COC(=O)[C@@H]1CCCCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000367964791 291310902 /nfs/dbraw/zinc/31/09/02/291310902.db2.gz QPHVMTRGHQBUBJ-AWEZNQCLSA-N 0 0 292.335 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CC[C@H](C)O1 ZINC000190677788 189571493 /nfs/dbraw/zinc/57/14/93/189571493.db2.gz ALMOBZSNTKPHLR-CMPLNLGQSA-N 0 0 251.282 2.850 20 5 CFBDRN CCOC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000190954570 189579116 /nfs/dbraw/zinc/57/91/16/189579116.db2.gz HUXXEQJYOILXPN-CQSZACIVSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1cnc(COC(=O)[C@H](C)C(C)C)c(C)c1[N+](=O)[O-] ZINC000191355351 189592489 /nfs/dbraw/zinc/59/24/89/189592489.db2.gz MDYLNOKWRALTNI-SNVBAGLBSA-N 0 0 280.324 2.942 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000431161394 239858318 /nfs/dbraw/zinc/85/83/18/239858318.db2.gz NXBHTBKWKFQNBG-NWDGAFQWSA-N 0 0 286.287 2.869 20 5 CFBDRN C[C@H]1c2ccccc2CN1c1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000342823283 291320446 /nfs/dbraw/zinc/32/04/46/291320446.db2.gz HVSWJKQRTBGYAJ-JTQLQIEISA-N 0 0 297.314 2.775 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H](C)[C@H](C)OC)ccc1[N+](=O)[O-] ZINC000191902151 189607575 /nfs/dbraw/zinc/60/75/75/189607575.db2.gz WXZSTSSLXIBWCB-UWVGGRQHSA-N 0 0 296.323 2.607 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000191901340 189607734 /nfs/dbraw/zinc/60/77/34/189607734.db2.gz IKPWWWVGIZRRAE-SNVBAGLBSA-N 0 0 295.364 2.954 20 5 CFBDRN Cc1cccc([C@@H](O)CNc2ncc([N+](=O)[O-])s2)c1 ZINC000192496773 189623374 /nfs/dbraw/zinc/62/33/74/189623374.db2.gz ACJFMMNLGQBSHL-JTQLQIEISA-N 0 0 279.321 2.505 20 5 CFBDRN CC[C@H](CSC)N(C)c1ncc([N+](=O)[O-])s1 ZINC000192520203 189623892 /nfs/dbraw/zinc/62/38/92/189623892.db2.gz OIEUFNUNDBGRPK-SSDOTTSWSA-N 0 0 261.372 2.629 20 5 CFBDRN CC[C@H]1CCCN1C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000431951527 239951251 /nfs/dbraw/zinc/95/12/51/239951251.db2.gz IBODNAKJYIOLCZ-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)CCc1cccs1 ZINC000192634237 189625925 /nfs/dbraw/zinc/62/59/25/189625925.db2.gz QXKDXSFSRJROJL-UHFFFAOYSA-N 0 0 294.380 2.860 20 5 CFBDRN Cc1cnc(Nc2ccc3c(c2)CC(=O)N3C)c([N+](=O)[O-])c1 ZINC000432835054 240054705 /nfs/dbraw/zinc/05/47/05/240054705.db2.gz MOXZCCWXVPBGPV-UHFFFAOYSA-N 0 0 298.302 2.561 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCOCC1CC1 ZINC000433523862 240156504 /nfs/dbraw/zinc/15/65/04/240156504.db2.gz FPQUCQKBGAZCRN-UHFFFAOYSA-N 0 0 292.335 2.967 20 5 CFBDRN Cc1ccc(NC(=O)CCOCC2CC2)cc1[N+](=O)[O-] ZINC000433516043 240157622 /nfs/dbraw/zinc/15/76/22/240157622.db2.gz MEOLMNQFVQVSSJ-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@@H]1C[C@@H]1c1cc(NC(=O)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000194590495 189664101 /nfs/dbraw/zinc/66/41/01/189664101.db2.gz SKEJYTHTAHKFBE-KCJUWKMLSA-N 0 0 286.291 2.694 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCO[C@@H]1CCCCO1 ZINC000194714836 189666172 /nfs/dbraw/zinc/66/61/72/189666172.db2.gz LNSOVLJCHSSHSO-CYBMUJFWSA-N 0 0 285.271 2.656 20 5 CFBDRN O=[N+]([O-])c1cccc(Cn2ccc(-c3nccs3)n2)c1 ZINC000434739853 240263555 /nfs/dbraw/zinc/26/35/55/240263555.db2.gz UCESMXFZQFGPOI-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN O=C1C[C@H](c2cccc(Nc3ccccc3[N+](=O)[O-])c2)CN1 ZINC000434951085 240280458 /nfs/dbraw/zinc/28/04/58/240280458.db2.gz OHQCRHOKYXFAIC-LBPRGKRZSA-N 0 0 297.314 2.942 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000435522149 240320640 /nfs/dbraw/zinc/32/06/40/240320640.db2.gz ZLCIDZIWGAKGJJ-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1cccc(NC[C@](C)(O)C(F)(F)F)c1[N+](=O)[O-] ZINC000275436764 193036757 /nfs/dbraw/zinc/03/67/57/193036757.db2.gz JMYQFQYWNFXCPU-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN Cc1cccc(N(C)CCc2ccncc2)c1[N+](=O)[O-] ZINC000275475560 193052934 /nfs/dbraw/zinc/05/29/34/193052934.db2.gz OQTASRRROUSQKX-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1C[C@@H]1C ZINC000275528076 193067501 /nfs/dbraw/zinc/06/75/01/193067501.db2.gz MCFPZFKXSMMJCC-WPRPVWTQSA-N 0 0 263.297 2.681 20 5 CFBDRN Cc1cccc(NC[C@](C)(O)c2ccco2)c1[N+](=O)[O-] ZINC000275501126 193059945 /nfs/dbraw/zinc/05/99/45/193059945.db2.gz SKXFUUKVXUXTOZ-AWEZNQCLSA-N 0 0 276.292 2.816 20 5 CFBDRN Cc1cn(Cc2ccc(Br)cc2)nc1[N+](=O)[O-] ZINC000439195429 240747628 /nfs/dbraw/zinc/74/76/28/240747628.db2.gz BKDIJKMULKZQML-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN COc1ccc(Cl)c(Cn2cc(C)c([N+](=O)[O-])n2)c1 ZINC000439186577 240747946 /nfs/dbraw/zinc/74/79/46/240747946.db2.gz HLCPOKNXTDFTCI-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)c1cccnc1C ZINC000439236891 240750342 /nfs/dbraw/zinc/75/03/42/240750342.db2.gz MDTOJKSACNZHQJ-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN CC(C)(C)CN(CCCO)c1ccc(F)cc1[N+](=O)[O-] ZINC000439797482 240797063 /nfs/dbraw/zinc/79/70/63/240797063.db2.gz AKLWTIIFMLMCNW-UHFFFAOYSA-N 0 0 284.331 2.969 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1ccc([N+](=O)[O-])nc1 ZINC000443905933 241094120 /nfs/dbraw/zinc/09/41/20/241094120.db2.gz QCAXNYGBXVUHPT-MRVPVSSYSA-N 0 0 276.296 2.810 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCCC1(O)CC1 ZINC000443131815 241040436 /nfs/dbraw/zinc/04/04/36/241040436.db2.gz JTOYDABSMXJGIH-UHFFFAOYSA-N 0 0 283.349 2.752 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSCCC1(O)CC1 ZINC000443134377 241040583 /nfs/dbraw/zinc/04/05/83/241040583.db2.gz OMYHJPZCVDNDRS-UHFFFAOYSA-N 0 0 283.349 2.622 20 5 CFBDRN CN(Cc1cccs1)c1ncc([N+](=O)[O-])s1 ZINC000443408730 241058448 /nfs/dbraw/zinc/05/84/48/241058448.db2.gz UPMKAVVVACPGSE-UHFFFAOYSA-N 0 0 255.324 2.749 20 5 CFBDRN O=C(COc1ccsc1)Nc1ccc([N+](=O)[O-])cc1F ZINC000443413461 241058888 /nfs/dbraw/zinc/05/88/88/241058888.db2.gz CNRYUGXEVCNQNS-UHFFFAOYSA-N 0 0 296.279 2.813 20 5 CFBDRN Cc1cc(Cn2nccc2[N+](=O)[O-])ccc1Br ZINC000443475916 241065080 /nfs/dbraw/zinc/06/50/80/241065080.db2.gz RNAZHGFKZTTYAI-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000444471906 241142893 /nfs/dbraw/zinc/14/28/93/241142893.db2.gz ZHLFIZWCNYCEJY-NXEZZACHSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCOCC1CC1 ZINC000444741106 241183526 /nfs/dbraw/zinc/18/35/26/241183526.db2.gz XOLTZTNTELKKBC-UHFFFAOYSA-N 0 0 292.335 2.967 20 5 CFBDRN C[C@H](Sc1ncco1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000446072308 241272657 /nfs/dbraw/zinc/27/26/57/241272657.db2.gz LXZVXJYBLHWXQM-QMMMGPOBSA-N 0 0 293.304 2.702 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC[C@@H](C)C1 ZINC000302488672 201461692 /nfs/dbraw/zinc/46/16/92/201461692.db2.gz ZJJKTXOCKMPZQW-LLVKDONJSA-N 0 0 291.351 2.581 20 5 CFBDRN Cc1nc(N(CCO)CC2CCCCC2)ccc1[N+](=O)[O-] ZINC000302503673 201465991 /nfs/dbraw/zinc/46/59/91/201465991.db2.gz VSJWYPJCQJBXHN-UHFFFAOYSA-N 0 0 293.367 2.677 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1CCO[C@@H](C)C1 ZINC000447285317 241361525 /nfs/dbraw/zinc/36/15/25/241361525.db2.gz OMJTZYZNNUERDX-WDEREUQCSA-N 0 0 281.308 2.797 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H]1CCO[C@H](C)C1 ZINC000447285314 241361695 /nfs/dbraw/zinc/36/16/95/241361695.db2.gz OMJTZYZNNUERDX-MNOVXSKESA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1ccc(OCC(=O)c2nccs2)cc1[N+](=O)[O-] ZINC000447292101 241362683 /nfs/dbraw/zinc/36/26/83/241362683.db2.gz JTUCZPKEASRPJM-UHFFFAOYSA-N 0 0 278.289 2.621 20 5 CFBDRN C[C@H]1C[C@H](COc2ccccc2[N+](=O)[O-])CCO1 ZINC000447295224 241363278 /nfs/dbraw/zinc/36/32/78/241363278.db2.gz JUKNMSSBVJYNBD-WDEREUQCSA-N 0 0 251.282 2.789 20 5 CFBDRN CCc1ccc(OCC(=O)c2nccs2)c([N+](=O)[O-])c1 ZINC000447307716 241365152 /nfs/dbraw/zinc/36/51/52/241365152.db2.gz WWVXUDJAHLSPBX-UHFFFAOYSA-N 0 0 292.316 2.875 20 5 CFBDRN COc1cc(N(C)Cc2ccc(O)cc2)ccc1[N+](=O)[O-] ZINC000302643609 201498364 /nfs/dbraw/zinc/49/83/64/201498364.db2.gz LVAJAIGLIJZPER-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ncccc2F)c(F)c1 ZINC000446876336 241334309 /nfs/dbraw/zinc/33/43/09/241334309.db2.gz RRBNUFTWOUCLDN-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCCC(=O)C1CC1 ZINC000448557554 241473545 /nfs/dbraw/zinc/47/35/45/241473545.db2.gz JWIUGDIDDBJDDJ-UHFFFAOYSA-N 0 0 290.319 2.991 20 5 CFBDRN CO[C@H](C)CNc1ccc(Br)cc1[N+](=O)[O-] ZINC000224347363 189742029 /nfs/dbraw/zinc/74/20/29/189742029.db2.gz DHCMJVPGADDFLG-SSDOTTSWSA-N 0 0 289.129 2.804 20 5 CFBDRN CO[C@@H](C)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000224342526 189742053 /nfs/dbraw/zinc/74/20/53/189742053.db2.gz PQYNVEFOXKDYAF-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN CO[C@@H](C)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000224354975 189741865 /nfs/dbraw/zinc/74/18/65/189741865.db2.gz PSWBUYBJCBRWEU-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN Cc1ccc(CN[C@H]2C[C@H](C)n3ccnc32)cc1[N+](=O)[O-] ZINC000449575747 242042841 /nfs/dbraw/zinc/04/28/41/242042841.db2.gz YRXWYSPMNADQNY-AAEUAGOBSA-N 0 0 286.335 2.895 20 5 CFBDRN CCn1ccnc1[C@@H](C)NCc1cccc([N+](=O)[O-])c1 ZINC000449563081 242034244 /nfs/dbraw/zinc/03/42/44/242034244.db2.gz QYAOGRAAILDMJK-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN CCn1ccnc1[C@H](C)NCc1cccc([N+](=O)[O-])c1 ZINC000449563082 242034454 /nfs/dbraw/zinc/03/44/54/242034454.db2.gz QYAOGRAAILDMJK-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN C[C@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCCC[C@H]1O ZINC000193464337 291391389 /nfs/dbraw/zinc/39/13/89/291391389.db2.gz LJUPTYQKXQFJRF-ZIAGYGMSSA-N 0 0 279.340 2.530 20 5 CFBDRN COc1cc(C(=O)NC[C@@H](C)C(C)C)c([N+](=O)[O-])cc1F ZINC000449919702 242208610 /nfs/dbraw/zinc/20/86/10/242208610.db2.gz SNTZVJGSSDWFNQ-SECBINFHSA-N 0 0 298.314 2.764 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC1CCOCC1 ZINC000450263903 242330509 /nfs/dbraw/zinc/33/05/09/242330509.db2.gz DKTPETDRCCRWQX-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1nc(N[C@@H](C)CCC(C)C)ncc1[N+](=O)[O-] ZINC000450274338 242334908 /nfs/dbraw/zinc/33/49/08/242334908.db2.gz GNYNAENISSYXFQ-VIFPVBQESA-N 0 0 252.318 2.930 20 5 CFBDRN CCCN(C)c1c2ccc(OC)cc2ncc1[N+](=O)[O-] ZINC000450292514 242345530 /nfs/dbraw/zinc/34/55/30/242345530.db2.gz VLRWKLNESOAQJD-UHFFFAOYSA-N 0 0 275.308 2.998 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCCOCC1CC1 ZINC000450323287 242357045 /nfs/dbraw/zinc/35/70/45/242357045.db2.gz GVSFVJRDXHYARD-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CSCCCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450340182 242363912 /nfs/dbraw/zinc/36/39/12/242363912.db2.gz DOHUUNMCWYEXDH-UHFFFAOYSA-N 0 0 277.349 2.730 20 5 CFBDRN Cc1nc(NCC(C)(C)C(C)C)ncc1[N+](=O)[O-] ZINC000450475245 242441521 /nfs/dbraw/zinc/44/15/21/242441521.db2.gz WNAVNULVHKYZOD-UHFFFAOYSA-N 0 0 252.318 2.787 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@H]3[C@@H]4CCC[C@@H]43)nc2c1 ZINC000450482736 242445530 /nfs/dbraw/zinc/44/55/30/242445530.db2.gz HUVNMZRTUGLKMJ-BZBKMWRSSA-N 0 0 258.281 2.682 20 5 CFBDRN Cc1ccc(C)c(CN(C)c2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000450490033 242451024 /nfs/dbraw/zinc/45/10/24/242451024.db2.gz RXRGGHOJXJTZNJ-UHFFFAOYSA-N 0 0 286.335 2.946 20 5 CFBDRN CC(C)Cn1cc(Nc2ccc([N+](=O)[O-])nc2)cn1 ZINC000450361382 242376932 /nfs/dbraw/zinc/37/69/32/242376932.db2.gz NMSXPBMOZFXCGW-UHFFFAOYSA-N 0 0 261.285 2.586 20 5 CFBDRN Cc1nc(N2CC[C@@](C)(c3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000450377032 242384182 /nfs/dbraw/zinc/38/41/82/242384182.db2.gz IAXUKBPYYHMDRU-MRXNPFEDSA-N 0 0 298.346 2.861 20 5 CFBDRN COc1ccc(CNC(=O)C(C)(C)C(C)C)cc1[N+](=O)[O-] ZINC000275897404 193158676 /nfs/dbraw/zinc/15/86/76/193158676.db2.gz MQOACQUHSZHADR-UHFFFAOYSA-N 0 0 294.351 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCOC3(CCC3)C2)cn1 ZINC000450719326 242561444 /nfs/dbraw/zinc/56/14/44/242561444.db2.gz PTORDCSUOSFYRJ-NSHDSACASA-N 0 0 277.324 2.751 20 5 CFBDRN Cc1ccnc(N[C@H]([C@H](CO)C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000450778038 242600904 /nfs/dbraw/zinc/60/09/04/242600904.db2.gz NAUPURUBISZLBL-OLZOCXBDSA-N 0 0 291.351 2.507 20 5 CFBDRN Cc1nc(N2C[C@H](C(C)C)[C@@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000450801617 242609820 /nfs/dbraw/zinc/60/98/20/242609820.db2.gz FGIPJVJEQOADIP-YPMHNXCESA-N 0 0 278.356 2.810 20 5 CFBDRN COc1cc(Cn2c(C)ncc2[N+](=O)[O-])ccc1Cl ZINC000450820126 242621442 /nfs/dbraw/zinc/62/14/42/242621442.db2.gz MYICDCDZPWXIPW-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN COCC1(CNc2ncc([N+](=O)[O-])s2)CCCC1 ZINC000450920302 242671771 /nfs/dbraw/zinc/67/17/71/242671771.db2.gz VSAIMZZZZUDMFD-UHFFFAOYSA-N 0 0 271.342 2.670 20 5 CFBDRN CCc1nn(C)c(SCc2cccnc2)c1[N+](=O)[O-] ZINC000450881464 242652573 /nfs/dbraw/zinc/65/25/73/242652573.db2.gz RMVLBOOKPGNSHV-UHFFFAOYSA-N 0 0 278.337 2.578 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC1=CCCCC1 ZINC000452289450 243116760 /nfs/dbraw/zinc/11/67/60/243116760.db2.gz QCLBPNDYICJTMT-UHFFFAOYSA-N 0 0 275.308 2.528 20 5 CFBDRN CC[C@@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)C(F)F ZINC000452247976 243098110 /nfs/dbraw/zinc/09/81/10/243098110.db2.gz QHGDCHLMLOQLGZ-LLVKDONJSA-N 0 0 286.278 2.687 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)OC ZINC000452423811 243165420 /nfs/dbraw/zinc/16/54/20/243165420.db2.gz XYWVUSXQXVDWCL-TVQRCGJNSA-N 0 0 298.314 2.525 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C(C)(F)F)ccc1[N+](=O)[O-] ZINC000452456421 243179403 /nfs/dbraw/zinc/17/94/03/243179403.db2.gz INQYQYMSBUTIPE-QMMMGPOBSA-N 0 0 272.251 2.677 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000452488220 243192208 /nfs/dbraw/zinc/19/22/08/243192208.db2.gz OIKTXBVDZMAPKV-YMTOWFKASA-N 0 0 292.310 2.848 20 5 CFBDRN C[C@]1(O)CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000224450567 291429216 /nfs/dbraw/zinc/42/92/16/291429216.db2.gz MCRXWCZVJYKVPE-NSHDSACASA-N 0 0 290.241 2.575 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000330481297 202876364 /nfs/dbraw/zinc/87/63/64/202876364.db2.gz QZFXYZBZEVIAGM-JTQLQIEISA-N 0 0 250.298 2.774 20 5 CFBDRN C[C@H](NC(=O)C1CCC(=O)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000452571186 243227477 /nfs/dbraw/zinc/22/74/77/243227477.db2.gz FWVAERBLDYHAGZ-JTQLQIEISA-N 0 0 290.319 2.531 20 5 CFBDRN C[C@H](NC(=O)C1=CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000452571081 243227991 /nfs/dbraw/zinc/22/79/91/243227991.db2.gz FCBQPVZSGIGXJW-JTQLQIEISA-N 0 0 260.293 2.882 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000452776983 243294623 /nfs/dbraw/zinc/29/46/23/243294623.db2.gz PMHOMSPEEXZSJO-MNOVXSKESA-N 0 0 262.309 2.679 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])OC ZINC000452799342 243302501 /nfs/dbraw/zinc/30/25/01/243302501.db2.gz BOAPBCKKBCKKNO-MFKMUULPSA-N 0 0 294.351 2.694 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@H]1CCCC1(F)F ZINC000452814533 243308738 /nfs/dbraw/zinc/30/87/38/243308738.db2.gz QKAZIDZAMSVRJH-LLVKDONJSA-N 0 0 298.289 2.689 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000452988237 243356572 /nfs/dbraw/zinc/35/65/72/243356572.db2.gz KMTLYDTUKHBDIH-NSHDSACASA-N 0 0 292.360 2.941 20 5 CFBDRN O=C(NC[C@@H]1CCCC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000452856497 243321877 /nfs/dbraw/zinc/32/18/77/243321877.db2.gz VVGYCBNEFHNXHF-VIFPVBQESA-N 0 0 284.262 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C(C)(F)F)c1 ZINC000452858828 243321988 /nfs/dbraw/zinc/32/19/88/243321988.db2.gz HZQWXHDLUMVVKF-MRVPVSSYSA-N 0 0 272.251 2.677 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)C(C)(F)F ZINC000452875212 243326891 /nfs/dbraw/zinc/32/68/91/243326891.db2.gz SJOIDLYNTSLTBH-QMMMGPOBSA-N 0 0 272.251 2.677 20 5 CFBDRN CCO[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@H]1C ZINC000452900004 243332626 /nfs/dbraw/zinc/33/26/26/243332626.db2.gz GQDQNAIHWIJJHQ-ZJUUUORDSA-N 0 0 298.364 2.543 20 5 CFBDRN CCO[C@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C[C@H]1C ZINC000452935347 243341934 /nfs/dbraw/zinc/34/19/34/243341934.db2.gz UGDXNBXEVQFQSH-KOLCDFICSA-N 0 0 298.364 2.543 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])[C@@H]1C ZINC000432175111 284069195 /nfs/dbraw/zinc/06/91/95/284069195.db2.gz VUDOUYIHJWMWJW-RKDXNWHRSA-N 0 0 298.726 2.636 20 5 CFBDRN Cc1o[nH]c(=NCc2ccc([N+](=O)[O-])cc2Cl)c1C ZINC000453051149 243376041 /nfs/dbraw/zinc/37/60/41/243376041.db2.gz KGUHTPYXIJVLPO-UHFFFAOYSA-N 0 0 281.699 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1cn(CC2CC2)nn1 ZINC000453068264 243387945 /nfs/dbraw/zinc/38/79/45/243387945.db2.gz YEFXOUQJBFNUQV-UHFFFAOYSA-N 0 0 287.323 2.517 20 5 CFBDRN CC(C)[C@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330533483 202934250 /nfs/dbraw/zinc/93/42/50/202934250.db2.gz OCJLFEVRIXWZEX-VXGBXAGGSA-N 0 0 279.340 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3CC3)n2)c2c1NCCC2 ZINC000453242802 243472483 /nfs/dbraw/zinc/47/24/83/243472483.db2.gz WZILYHVQGLGOCT-UHFFFAOYSA-N 0 0 286.291 2.880 20 5 CFBDRN CCN(C(=O)c1[nH]nc(C)c1[N+](=O)[O-])[C@H]1CCCC[C@H]1C ZINC000330600306 203024381 /nfs/dbraw/zinc/02/43/81/203024381.db2.gz HDRCRAGYZZHFNC-KOLCDFICSA-N 0 0 294.355 2.667 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@H]2CCCC[C@@H]2C(C)C)c1[N+](=O)[O-] ZINC000330608746 203033010 /nfs/dbraw/zinc/03/30/10/203033010.db2.gz QUXMQLCELPBTCZ-MNOVXSKESA-N 0 0 294.355 2.571 20 5 CFBDRN O=C(NC1CCc2ccccc2CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000454337999 243689161 /nfs/dbraw/zinc/68/91/61/243689161.db2.gz YUMLDZCQXNYNKD-UHFFFAOYSA-N 0 0 299.330 2.600 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1CCC[C@H]1F)c1ccccc1[N+](=O)[O-] ZINC000454753281 243800008 /nfs/dbraw/zinc/80/00/08/243800008.db2.gz FDXRCTYIMZBGAI-JLLWLGSASA-N 0 0 295.314 2.846 20 5 CFBDRN CC/C=C\CNC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000454756478 243801151 /nfs/dbraw/zinc/80/11/51/243801151.db2.gz IPHANOCPMCGLCP-MEQVVJDKSA-N 0 0 277.324 2.921 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000330653970 203083652 /nfs/dbraw/zinc/08/36/52/203083652.db2.gz OETCRNVZLLRTKN-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CCOc1ccc(C(=O)NC[C@@H]2C[C@H]2CC)cc1[N+](=O)[O-] ZINC000456112925 244077321 /nfs/dbraw/zinc/07/73/21/244077321.db2.gz FIRPXVSYSOUUSI-PWSUYJOCSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1C[C@H]1C(C)C ZINC000456294732 244129960 /nfs/dbraw/zinc/12/99/60/244129960.db2.gz HPKFQWIMHOLFLP-AAEUAGOBSA-N 0 0 276.336 2.925 20 5 CFBDRN CSCC(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456192946 244100654 /nfs/dbraw/zinc/10/06/54/244100654.db2.gz SOXXANCJOWRXJB-UHFFFAOYSA-N 0 0 283.353 2.717 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000456267113 244120750 /nfs/dbraw/zinc/12/07/50/244120750.db2.gz DAHZCOBHFGHGHT-AAEUAGOBSA-N 0 0 292.360 2.917 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000456290489 244128100 /nfs/dbraw/zinc/12/81/00/244128100.db2.gz WATPRUIAIBNCSL-LSDHHAIUSA-N 0 0 286.331 2.855 20 5 CFBDRN Cc1c(CC(=O)NC[C@@H]2C[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000456294075 244128859 /nfs/dbraw/zinc/12/88/59/244128859.db2.gz HJGNWQKISGWIIV-KBPBESRZSA-N 0 0 290.363 2.854 20 5 CFBDRN Cc1c(CN2CCO[C@@H](C(C)C)C2)cccc1[N+](=O)[O-] ZINC000330736245 203170820 /nfs/dbraw/zinc/17/08/20/203170820.db2.gz MDROBZLJFXJUEW-OAHLLOKOSA-N 0 0 278.352 2.760 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000456934667 244330408 /nfs/dbraw/zinc/33/04/08/244330408.db2.gz WFKNEQINACJXST-ZIAGYGMSSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000330801713 203251929 /nfs/dbraw/zinc/25/19/29/203251929.db2.gz JJCBSZSUTXODEP-GXSJLCMTSA-N 0 0 294.355 2.573 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@H]1CCC[C@@H](C)C1 ZINC000457016682 244361288 /nfs/dbraw/zinc/36/12/88/244361288.db2.gz VUSWIZYOGUJOMP-OUAUKWLOSA-N 0 0 279.340 2.868 20 5 CFBDRN C[C@@H]1CCC[C@H](CCNC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330829318 203286064 /nfs/dbraw/zinc/28/60/64/203286064.db2.gz XESSBDCTAWFEGC-GHMZBOCLSA-N 0 0 279.340 2.869 20 5 CFBDRN CC1(C)C[C@@H](CNC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000457158708 244411191 /nfs/dbraw/zinc/41/11/91/244411191.db2.gz OCTDQWYKEBGHED-NSHDSACASA-N 0 0 292.335 2.530 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCC1CC(F)(F)C1 ZINC000457221717 244442505 /nfs/dbraw/zinc/44/25/05/244442505.db2.gz XTIDFDCEDSYIRK-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC(C)(C)C ZINC000457224198 244444763 /nfs/dbraw/zinc/44/47/63/244444763.db2.gz LLKJPFBGDMXOFY-VIFPVBQESA-N 0 0 279.340 2.853 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H](O)CC(C)C ZINC000227759218 189787390 /nfs/dbraw/zinc/78/73/90/189787390.db2.gz CKFCCETZBWXOAZ-NSHDSACASA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@]2(C)CCOC2)cc1[N+](=O)[O-] ZINC000330858106 203322559 /nfs/dbraw/zinc/32/25/59/203322559.db2.gz OIBWLHPSOWBBMC-XHDPSFHLSA-N 0 0 292.335 2.507 20 5 CFBDRN COc1cc(NC[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000228582222 189794179 /nfs/dbraw/zinc/79/41/79/189794179.db2.gz QBCWQYKKWQNPEI-SECBINFHSA-N 0 0 268.338 2.768 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000230185644 189807568 /nfs/dbraw/zinc/80/75/68/189807568.db2.gz CHYVIFBSVADWFA-VHSXEESVSA-N 0 0 267.354 2.994 20 5 CFBDRN CSCC(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000330878069 203344884 /nfs/dbraw/zinc/34/48/84/203344884.db2.gz LDBRIQNPSRELHG-LBPRGKRZSA-N 0 0 298.389 2.532 20 5 CFBDRN C[C@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000276138455 193223163 /nfs/dbraw/zinc/22/31/63/193223163.db2.gz CCEOUNXWCOKBFP-GXSJLCMTSA-N 0 0 298.364 2.599 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000457444799 244549919 /nfs/dbraw/zinc/54/99/19/244549919.db2.gz PTHIDPBRYLMVMK-NSHDSACASA-N 0 0 276.336 2.989 20 5 CFBDRN CO[C@H](C)COc1cc([N+](=O)[O-])ccc1Br ZINC000230402051 189810052 /nfs/dbraw/zinc/81/00/52/189810052.db2.gz RTSHURJAFQBNQG-SSDOTTSWSA-N 0 0 290.113 2.771 20 5 CFBDRN CCOC(CCNc1ccc(F)cc1[N+](=O)[O-])OCC ZINC000276249063 193256108 /nfs/dbraw/zinc/25/61/08/193256108.db2.gz RQSQJVOIKGJPQH-UHFFFAOYSA-N 0 0 286.303 2.935 20 5 CFBDRN CC(=O)CCCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000276283035 193270127 /nfs/dbraw/zinc/27/01/27/193270127.db2.gz PQUWIDFDBZJQNE-UHFFFAOYSA-N 0 0 275.264 2.557 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000276281447 193270674 /nfs/dbraw/zinc/27/06/74/193270674.db2.gz IJDUGLUXBTVARA-WCBMZHEXSA-N 0 0 266.297 2.633 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@H](O)C1CC1 ZINC000276383108 193308981 /nfs/dbraw/zinc/30/89/81/193308981.db2.gz DCZKNMXEHXGVIL-NSHDSACASA-N 0 0 270.716 2.739 20 5 CFBDRN COCCCCCOc1cc(F)ccc1[N+](=O)[O-] ZINC000116610244 376197854 /nfs/dbraw/zinc/19/78/54/376197854.db2.gz XFOCAECQLOHXSW-UHFFFAOYSA-N 0 0 257.261 2.929 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000330991589 203446223 /nfs/dbraw/zinc/44/62/23/203446223.db2.gz SIVOMZSYXFZLSH-OAHLLOKOSA-N 0 0 292.335 2.622 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000457858018 244696608 /nfs/dbraw/zinc/69/66/08/244696608.db2.gz UDUYLLYOZHWXHK-YGRLFVJLSA-N 0 0 289.335 2.850 20 5 CFBDRN CC(C)C1CCC(NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000331036835 203485507 /nfs/dbraw/zinc/48/55/07/203485507.db2.gz AGMZFFZINQZYQS-UHFFFAOYSA-N 0 0 279.340 2.868 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000458026505 244741844 /nfs/dbraw/zinc/74/18/44/244741844.db2.gz YNKSDZGDGBERIK-DGCLKSJQSA-N 0 0 262.309 2.759 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000331090661 203533133 /nfs/dbraw/zinc/53/31/33/203533133.db2.gz VVPBLLSNHQSPCZ-YPMHNXCESA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000331097504 203538963 /nfs/dbraw/zinc/53/89/63/203538963.db2.gz CXQKXHWGNLTOGS-ZWNOBZJWSA-N 0 0 294.376 2.976 20 5 CFBDRN CC(C)=CCNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000276701478 193433440 /nfs/dbraw/zinc/43/34/40/193433440.db2.gz NIMOLKFYZPGNDS-UHFFFAOYSA-N 0 0 284.262 2.769 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC1(C2CCC2)CCC1 ZINC000458237388 244810602 /nfs/dbraw/zinc/81/06/02/244810602.db2.gz LPSJQEAGXVURER-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000458290366 244832814 /nfs/dbraw/zinc/83/28/14/244832814.db2.gz XAOAYHONRVRUGS-KGYLQXTDSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000276978042 193521485 /nfs/dbraw/zinc/52/14/85/193521485.db2.gz GVXDDJACKYTBNK-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277159708 193571841 /nfs/dbraw/zinc/57/18/41/193571841.db2.gz QQWVPIGPNUACPG-GXFFZTMASA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000459165280 245080051 /nfs/dbraw/zinc/08/00/51/245080051.db2.gz WCOAPZVUMGDYQP-BJHJDKERSA-N 0 0 293.323 2.672 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000277416057 193647824 /nfs/dbraw/zinc/64/78/24/193647824.db2.gz IGCMTXBTFSDJQR-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000459296814 245126172 /nfs/dbraw/zinc/12/61/72/245126172.db2.gz IFGNYJBWRXVTOS-ICCXJUOJSA-N 0 0 275.308 2.905 20 5 CFBDRN CCC[C@@H](NC(=O)Nc1ccncc1[N+](=O)[O-])C1CCC1 ZINC000459467330 245188921 /nfs/dbraw/zinc/18/89/21/245188921.db2.gz AWROMLPMQSCMMD-LLVKDONJSA-N 0 0 292.339 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cnc(C3CC3)nc2)cc1 ZINC000459471956 245190549 /nfs/dbraw/zinc/19/05/49/245190549.db2.gz WELNLEGOUDCYPV-UHFFFAOYSA-N 0 0 284.319 2.552 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000335802588 291509404 /nfs/dbraw/zinc/50/94/04/291509404.db2.gz PVOISQMWKQJBHI-FZMZJTMJSA-N 0 0 274.320 2.918 20 5 CFBDRN CCOC(C)(C)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000232215071 189816988 /nfs/dbraw/zinc/81/69/88/189816988.db2.gz GWZZAFXMYOEDOR-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1C(F)(F)F ZINC000459891667 245341907 /nfs/dbraw/zinc/34/19/07/245341907.db2.gz RKQDSNZJVPZLOP-RKDXNWHRSA-N 0 0 274.198 2.732 20 5 CFBDRN Cc1cnc(CCCC(=O)Nc2ccc([N+](=O)[O-])cc2)o1 ZINC000459887748 245341994 /nfs/dbraw/zinc/34/19/94/245341994.db2.gz LWQXJUULZLWCAM-UHFFFAOYSA-N 0 0 289.291 2.853 20 5 CFBDRN CCN(C(=O)c1cc(OC)c(F)cc1[N+](=O)[O-])C(C)C ZINC000460006336 245347143 /nfs/dbraw/zinc/34/71/43/245347143.db2.gz GIXPGUGZWALDJB-UHFFFAOYSA-N 0 0 284.287 2.613 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccn(C)n1 ZINC000459801086 245335235 /nfs/dbraw/zinc/33/52/35/245335235.db2.gz XDNMAJVMLBNLKI-WDEREUQCSA-N 0 0 274.324 2.740 20 5 CFBDRN Cc1cc(N(C)CC(=O)OC(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000461937030 245372586 /nfs/dbraw/zinc/37/25/86/245372586.db2.gz SLXWXSYMZYKSDY-UHFFFAOYSA-N 0 0 298.314 2.820 20 5 CFBDRN C[C@H]1[C@H](C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)C1(F)F ZINC000461029600 245365175 /nfs/dbraw/zinc/36/51/75/245365175.db2.gz OASPSOBTUOVLOT-GZMMTYOYSA-N 0 0 298.289 2.847 20 5 CFBDRN O=C(NCC1CCC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000331904260 204282123 /nfs/dbraw/zinc/28/21/23/204282123.db2.gz RVBVVKHBHDKLNT-UHFFFAOYSA-N 0 0 267.260 2.656 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H]2C(C)C)cc([N+](=O)[O-])c1C ZINC000332004874 204380627 /nfs/dbraw/zinc/38/06/27/204380627.db2.gz RKPIRFGRDSPMQY-LBPRGKRZSA-N 0 0 292.335 2.782 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2cn3ccccc3n2)c1 ZINC000000577030 371642043 /nfs/dbraw/zinc/64/20/43/371642043.db2.gz GTFJANXBRDWKNJ-UHFFFAOYSA-N 0 0 268.276 2.855 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CN1CCCC[C@H]1C ZINC000003538842 371807666 /nfs/dbraw/zinc/80/76/66/371807666.db2.gz GNRWLIYGJLSOHE-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000010413608 371908686 /nfs/dbraw/zinc/90/86/86/371908686.db2.gz YPXGNBDYLRGDBA-PWSUYJOCSA-N 0 0 262.309 2.903 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2nnc(NC3CC3)s2)nc1 ZINC000014264384 372067786 /nfs/dbraw/zinc/06/77/86/372067786.db2.gz KTVDKBUYGOXKGD-UHFFFAOYSA-N 0 0 295.349 2.567 20 5 CFBDRN Cc1c(C(=O)NCc2ccccc2F)cccc1[N+](=O)[O-] ZINC000014789928 372074404 /nfs/dbraw/zinc/07/44/04/372074404.db2.gz KRTDZZRSZSJFEH-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CN1C(=O)c2ccccc2N[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000015249106 372081892 /nfs/dbraw/zinc/08/18/92/372081892.db2.gz IHSKNVDKDDPCQW-CQSZACIVSA-N 0 0 283.287 2.791 20 5 CFBDRN CCCNC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000015394158 372083648 /nfs/dbraw/zinc/08/36/48/372083648.db2.gz XTHVSZRYLUYESL-MRVPVSSYSA-N 0 0 286.715 2.542 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277558187 193692104 /nfs/dbraw/zinc/69/21/04/193692104.db2.gz JRKSTNKPHZZYKO-SNVBAGLBSA-N 0 0 280.324 2.722 20 5 CFBDRN CN(C)c1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000019009033 372147414 /nfs/dbraw/zinc/14/74/14/372147414.db2.gz AUIJDCXBNVTZLZ-UHFFFAOYSA-N 0 0 285.303 2.913 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC1CCC(O)CC1 ZINC000019230803 372150631 /nfs/dbraw/zinc/15/06/31/372150631.db2.gz FRRUOBSWYJFNLX-UHFFFAOYSA-N 0 0 270.716 2.964 20 5 CFBDRN Cc1nc(NC(=O)c2ccc([N+](=O)[O-])s2)sc1C ZINC000024042418 372269461 /nfs/dbraw/zinc/26/94/61/372269461.db2.gz OKWVKTONMOLSGR-UHFFFAOYSA-N 0 0 283.334 2.982 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2coc(-c3cccc(F)c3)n2)cn1 ZINC000026124896 372312132 /nfs/dbraw/zinc/31/21/32/372312132.db2.gz FFBWNZLZHRWQDU-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)Cc1cc(C)on1 ZINC000029726893 372384420 /nfs/dbraw/zinc/38/44/20/372384420.db2.gz KGZSAFMNJLAYHW-UHFFFAOYSA-N 0 0 291.307 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nnsc2Cl)cc1 ZINC000029995315 372388720 /nfs/dbraw/zinc/38/87/20/372388720.db2.gz XOMOKJKGRYTKHJ-UHFFFAOYSA-N 0 0 271.685 2.679 20 5 CFBDRN C[C@@H]1c2cccn2CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000030797328 372397195 /nfs/dbraw/zinc/39/71/95/372397195.db2.gz SVSHKAXXUCHKJP-SECBINFHSA-N 0 0 291.332 2.675 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000032394570 372437873 /nfs/dbraw/zinc/43/78/73/372437873.db2.gz KFZLTLNCWPSBEL-YUMQZZPRSA-N 0 0 268.700 2.634 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1ccccc1 ZINC000032590632 372447244 /nfs/dbraw/zinc/44/72/44/372447244.db2.gz FXHVVAPUNVPEGR-UHFFFAOYSA-N 0 0 292.316 2.704 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccnc(Cl)c1 ZINC000034937969 372518301 /nfs/dbraw/zinc/51/83/01/372518301.db2.gz LIYQYQZDLXNRQK-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CC(=O)N1CCC(Nc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000035014121 372526675 /nfs/dbraw/zinc/52/66/75/372526675.db2.gz GNYFGUWKHHKHQO-UHFFFAOYSA-N 0 0 297.742 2.671 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCOCC2)c(Cl)c1 ZINC000036320055 372572183 /nfs/dbraw/zinc/57/21/83/372572183.db2.gz OWRYQNLROPOYTJ-UHFFFAOYSA-N 0 0 256.689 2.839 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCCC1 ZINC000292818192 296186915 /nfs/dbraw/zinc/18/69/15/296186915.db2.gz XTMBMDAKPVQIIV-UHFFFAOYSA-N 0 0 288.225 2.850 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc[nH]n2)c(Br)c1 ZINC000037131372 372651791 /nfs/dbraw/zinc/65/17/91/372651791.db2.gz WUWAZEWYIBEFPZ-UHFFFAOYSA-N 0 0 297.112 2.693 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1S[C@H]1CCOC1)CCCC2 ZINC000571320203 383684653 /nfs/dbraw/zinc/68/46/53/383684653.db2.gz JFERNJJRXJCYFG-JTQLQIEISA-N 0 0 280.349 2.750 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](O)C2)cc1C(F)(F)F ZINC000040412283 372781737 /nfs/dbraw/zinc/78/17/37/372781737.db2.gz XJWSAJQKYNENSH-SECBINFHSA-N 0 0 290.241 2.575 20 5 CFBDRN Cc1ncc(CNCc2csc([N+](=O)[O-])c2)s1 ZINC000040997849 372802769 /nfs/dbraw/zinc/80/27/69/372802769.db2.gz WSGMRCJHPNHNRG-UHFFFAOYSA-N 0 0 269.351 2.711 20 5 CFBDRN COc1c(C(=O)N2CCc3ccccc32)cccc1[N+](=O)[O-] ZINC000474099707 245561527 /nfs/dbraw/zinc/56/15/27/245561527.db2.gz VQQXUKVEMRZXLI-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCCN1c1ncccc1[N+](=O)[O-] ZINC000336841542 296190822 /nfs/dbraw/zinc/19/08/22/296190822.db2.gz RTTPFZWZEDTZLE-NEPJUHHUSA-N 0 0 279.340 2.510 20 5 CFBDRN Cc1nc(CNC(=O)c2cccc([N+](=O)[O-])c2C)cs1 ZINC000042118192 372873371 /nfs/dbraw/zinc/87/33/71/372873371.db2.gz LFKHUSGFTDHPQV-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CC(C)CCC[C@@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000042591346 372937275 /nfs/dbraw/zinc/93/72/75/372937275.db2.gz VHRPJWBNOJPAQK-SNVBAGLBSA-N 0 0 254.334 2.955 20 5 CFBDRN Cc1ccc(NC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000042822974 372960215 /nfs/dbraw/zinc/96/02/15/372960215.db2.gz SSUCBRSOJKVMHQ-NSHDSACASA-N 0 0 250.298 2.884 20 5 CFBDRN CCC(CC)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000042954833 372968192 /nfs/dbraw/zinc/96/81/92/372968192.db2.gz OEMBRZBJPBSJFW-UHFFFAOYSA-N 0 0 265.313 2.583 20 5 CFBDRN C[C@@H]1COCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000043341707 372989289 /nfs/dbraw/zinc/98/92/89/372989289.db2.gz LMVCTGVKPOEZIO-MRVPVSSYSA-N 0 0 299.714 2.501 20 5 CFBDRN CCC[C@H](C)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000045819680 373034912 /nfs/dbraw/zinc/03/49/12/373034912.db2.gz HBMGEAVICAKENT-VIFPVBQESA-N 0 0 265.313 2.555 20 5 CFBDRN Cc1ncc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000046773748 373062638 /nfs/dbraw/zinc/06/26/38/373062638.db2.gz BFQBPXRXYFDLMC-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CC(C)(C)CC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000046147011 373045906 /nfs/dbraw/zinc/04/59/06/373045906.db2.gz NWRVRCBYDZDYFK-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN Cc1noc(C)c1COc1ccc([N+](=O)[O-])cc1F ZINC000047355188 373089562 /nfs/dbraw/zinc/08/95/62/373089562.db2.gz HRKGFVOWQAAAPM-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN Cc1cnc(Nc2cccc(-n3ccnn3)c2)c([N+](=O)[O-])c1 ZINC000486909298 245703108 /nfs/dbraw/zinc/70/31/08/245703108.db2.gz CTZABFTYPOJCID-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN Cc1csc(NC(=O)NCc2ccccc2[N+](=O)[O-])n1 ZINC000047701423 373113919 /nfs/dbraw/zinc/11/39/19/373113919.db2.gz LGVWCAAXLOWBKY-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN Nc1c(C(=O)Nc2cccc(F)c2)cc(F)cc1[N+](=O)[O-] ZINC000048244283 373154116 /nfs/dbraw/zinc/15/41/16/373154116.db2.gz IBVRMMWBFKHZJA-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CCN(CC)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048298536 373158465 /nfs/dbraw/zinc/15/84/65/373158465.db2.gz LLTFZBDLKXCRRB-UHFFFAOYSA-N 0 0 261.281 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccccc2[N+](=O)[O-])nc1 ZINC000048337312 373165016 /nfs/dbraw/zinc/16/50/16/373165016.db2.gz OEVQRYRRUZLDSI-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)c1nncn1C ZINC000047991073 373138807 /nfs/dbraw/zinc/13/88/07/373138807.db2.gz BRESECAVZWPQIQ-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN CC(=O)c1ccc(N(C)C[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000048553584 373198468 /nfs/dbraw/zinc/19/84/68/373198468.db2.gz JKRIPDLIWUPIQB-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN COc1cc(CNc2cccc(F)c2[N+](=O)[O-])ccn1 ZINC000048962343 373239992 /nfs/dbraw/zinc/23/99/92/373239992.db2.gz KCDVFJCNKKXLAE-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CN(Cc1cscn1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000049029707 373251908 /nfs/dbraw/zinc/25/19/08/373251908.db2.gz QEQDBFDFZLNXLL-UHFFFAOYSA-N 0 0 292.320 2.715 20 5 CFBDRN CC(C)(C)OC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000049390646 373292126 /nfs/dbraw/zinc/29/21/26/373292126.db2.gz MHUSKYMFSQIDRH-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN Cc1n[nH]cc1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000049469871 373301708 /nfs/dbraw/zinc/30/17/08/373301708.db2.gz DQGDBPNLIAGLDO-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN O=[N+]([O-])c1cccc(CSc2nncs2)c1 ZINC000049824445 373318949 /nfs/dbraw/zinc/31/89/49/373318949.db2.gz TYOJFJAJQDHMSX-UHFFFAOYSA-N 0 0 253.308 2.739 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2cccnc2)ccc1[N+](=O)[O-] ZINC000049945271 373333260 /nfs/dbraw/zinc/33/32/60/373333260.db2.gz WLCMPXJLDVTJJC-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CC[C@@H](C(=O)[O-])[N@H+](C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000049964271 373338373 /nfs/dbraw/zinc/33/83/73/373338373.db2.gz LDWJUOADAYILAA-NSHDSACASA-N 0 0 286.715 2.543 20 5 CFBDRN CCc1ncc(CNCc2ccccc2[N+](=O)[O-])s1 ZINC000050015626 373340995 /nfs/dbraw/zinc/34/09/95/373340995.db2.gz UHUANYFHIIITEZ-UHFFFAOYSA-N 0 0 277.349 2.904 20 5 CFBDRN CCCNC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000050536358 373378365 /nfs/dbraw/zinc/37/83/65/373378365.db2.gz NGEKDPNBZAOOOM-UHFFFAOYSA-N 0 0 291.332 2.858 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000050164738 373359836 /nfs/dbraw/zinc/35/98/36/373359836.db2.gz PPSYBAAHPCRGLA-LLVKDONJSA-N 0 0 279.340 2.945 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCc1cc[nH]n1 ZINC000050352128 373372880 /nfs/dbraw/zinc/37/28/80/373372880.db2.gz WHKPYEYOXBTJMI-UHFFFAOYSA-N 0 0 252.661 2.583 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1cc(F)cc(F)c1 ZINC000051600636 373402152 /nfs/dbraw/zinc/40/21/52/373402152.db2.gz XXSCQSQQSSNXRX-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN Cc1cc(CCNc2c3ccccc3ncc2[N+](=O)[O-])on1 ZINC000488019772 245805511 /nfs/dbraw/zinc/80/55/11/245805511.db2.gz ZCJMMMDMXWEYRN-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN COc1cccc(CNc2ncccc2[N+](=O)[O-])c1OC ZINC000052471814 373445746 /nfs/dbraw/zinc/44/57/46/373445746.db2.gz UYSZCIVCBZKSSQ-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN COc1ccc(CNc2ncc([N+](=O)[O-])cc2C)cc1 ZINC000052812202 373450527 /nfs/dbraw/zinc/45/05/27/373450527.db2.gz RSRUGEMWESVSJD-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000053430960 373477584 /nfs/dbraw/zinc/47/75/84/373477584.db2.gz NPRWDOSJHTYFEP-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000054646650 373526880 /nfs/dbraw/zinc/52/68/80/373526880.db2.gz AESWSMRBQWKIIW-LLVKDONJSA-N 0 0 279.340 2.577 20 5 CFBDRN Cc1cc(NC(=O)N2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000571413824 383692613 /nfs/dbraw/zinc/69/26/13/383692613.db2.gz LTZVWTOHEMKFAO-SNVBAGLBSA-N 0 0 281.287 2.869 20 5 CFBDRN Cc1cccc(OCc2cn(C(C)C)nn2)c1[N+](=O)[O-] ZINC000488282118 245832167 /nfs/dbraw/zinc/83/21/67/245832167.db2.gz NBMIDGWWPQLWEK-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN CCOc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)cc1F ZINC000055918130 373560632 /nfs/dbraw/zinc/56/06/32/373560632.db2.gz AEAVSFHCVZVVNM-UHFFFAOYSA-N 0 0 294.238 2.978 20 5 CFBDRN Cc1cccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000056341662 373563391 /nfs/dbraw/zinc/56/33/91/373563391.db2.gz QCYKBJQJZYAEFU-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000058167799 373629566 /nfs/dbraw/zinc/62/95/66/373629566.db2.gz GTMPIIHTDXCLSA-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCOC(=O)CCCOc1ccc([N+](=O)[O-])cc1Cl ZINC000058918175 373673949 /nfs/dbraw/zinc/67/39/49/373673949.db2.gz YWYJOIDVNFPOMS-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CCCSCC1 ZINC000060833825 373705786 /nfs/dbraw/zinc/70/57/86/373705786.db2.gz JQSHHZLQXVWTLX-VOTSOKGWSA-N 0 0 292.360 2.574 20 5 CFBDRN CO[C@@H](C)[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000289549597 197398856 /nfs/dbraw/zinc/39/88/56/197398856.db2.gz CBBBTASHISUEPU-MNOVXSKESA-N 0 0 295.339 2.757 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@H]1F)c1ccc([N+](=O)[O-])s1 ZINC000335201372 220237492 /nfs/dbraw/zinc/23/74/92/220237492.db2.gz RDOIIAKRSZJPNV-HTQZYQBOSA-N 0 0 272.301 2.667 20 5 CFBDRN CN(CCC(F)(F)F)Cc1ccc([N+](=O)[O-])cc1 ZINC000064634840 373831932 /nfs/dbraw/zinc/83/19/32/373831932.db2.gz NVEKKODIUCZSMS-UHFFFAOYSA-N 0 0 262.231 2.979 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](CO)Cc1ccccc1 ZINC000063218664 373798659 /nfs/dbraw/zinc/79/86/59/373798659.db2.gz LQHYXLCBWUCGSV-CYBMUJFWSA-N 0 0 272.304 2.610 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C)OC(C)(C)C1 ZINC000065426812 373870492 /nfs/dbraw/zinc/87/04/92/373870492.db2.gz OEBUJHRIXXPKEZ-LLVKDONJSA-N 0 0 294.351 2.603 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000066756118 373949513 /nfs/dbraw/zinc/94/95/13/373949513.db2.gz VTBHCWHYZYXSMP-SECBINFHSA-N 0 0 268.338 2.841 20 5 CFBDRN COc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)cc1 ZINC000067071312 373969253 /nfs/dbraw/zinc/96/92/53/373969253.db2.gz PVKGHTOFONLRJI-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN Cc1nnc(CNc2cccc(C)c2[N+](=O)[O-])n1C1CC1 ZINC000289537876 197394471 /nfs/dbraw/zinc/39/44/71/197394471.db2.gz FFWJPHHGOPBBRV-UHFFFAOYSA-N 0 0 287.323 2.750 20 5 CFBDRN CCOC[C@H](C)Nc1ccc([N+](=O)[O-])c(C(=O)OCC)c1 ZINC000489927282 245986589 /nfs/dbraw/zinc/98/65/89/245986589.db2.gz WFSQLEYFVKZALV-JTQLQIEISA-N 0 0 296.323 2.608 20 5 CFBDRN CC[C@H]1CCN1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000490231485 246002142 /nfs/dbraw/zinc/00/21/42/246002142.db2.gz QXSKUCPVDAXSMX-JTQLQIEISA-N 0 0 297.742 2.942 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC(F)(F)F)cc1 ZINC000070166881 374133721 /nfs/dbraw/zinc/13/37/21/374133721.db2.gz CHQQTDUJNIRPDR-UHFFFAOYSA-N 0 0 264.203 2.586 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000490668422 246018563 /nfs/dbraw/zinc/01/85/63/246018563.db2.gz VNYHKGPRFDZOFK-YHYXMXQVSA-N 0 0 272.304 2.761 20 5 CFBDRN COC(C)(C)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000490417753 246008822 /nfs/dbraw/zinc/00/88/22/246008822.db2.gz FIGZYUAFXNPMOH-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN CC(C)CN(C(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000248209649 189946034 /nfs/dbraw/zinc/94/60/34/189946034.db2.gz CLPKCJHWINIHHG-UHFFFAOYSA-N 0 0 276.336 2.784 20 5 CFBDRN O=C(/C=C\c1cscn1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000491369241 246064179 /nfs/dbraw/zinc/06/41/79/246064179.db2.gz UWMPNKVXWIQEMI-RQOWECAXSA-N 0 0 293.279 2.842 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CCCSCC1 ZINC000491261240 246053378 /nfs/dbraw/zinc/05/33/78/246053378.db2.gz FWCDNDIDRIQCLZ-AATRIKPKSA-N 0 0 292.360 2.574 20 5 CFBDRN Cc1noc(C)c1[C@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000072946744 374304778 /nfs/dbraw/zinc/30/47/78/374304778.db2.gz MMRVAEAHPUIOMT-ZETCQYMHSA-N 0 0 262.269 2.768 20 5 CFBDRN CO[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000072930932 374304790 /nfs/dbraw/zinc/30/47/90/374304790.db2.gz KVLDVQWOPZRLGL-GFCCVEGCSA-N 0 0 295.339 2.777 20 5 CFBDRN COC(=O)CCSCCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000072947907 374305158 /nfs/dbraw/zinc/30/51/58/374305158.db2.gz NBVLRXBDTFSVSR-UHFFFAOYSA-N 0 0 298.364 2.611 20 5 CFBDRN C/C=C/C=C\C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000491855085 246099773 /nfs/dbraw/zinc/09/97/73/246099773.db2.gz ZPJGMHRJQVOUKJ-HOJFPOIOSA-N 0 0 258.277 2.616 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NCCC(F)(F)F ZINC000491911200 246119683 /nfs/dbraw/zinc/11/96/83/246119683.db2.gz QYMQRPIEDSUOSU-PLNGDYQASA-N 0 0 288.225 2.677 20 5 CFBDRN COC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1Cl ZINC000073713959 374373418 /nfs/dbraw/zinc/37/34/18/374373418.db2.gz HFLKNEFYMDIESJ-LURJTMIESA-N 0 0 275.713 2.902 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])nc1)N1CCc2ccccc21 ZINC000073588396 374353839 /nfs/dbraw/zinc/35/38/39/374353839.db2.gz HMLWNOAVQCZNQC-GFCCVEGCSA-N 0 0 298.346 2.853 20 5 CFBDRN COc1ccc(CNC(=O)/C=C/C2CCC2)cc1[N+](=O)[O-] ZINC000492051952 246167944 /nfs/dbraw/zinc/16/79/44/246167944.db2.gz UCGRYPNDVHHNFN-SOFGYWHQSA-N 0 0 290.319 2.576 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)C[C@H]1C ZINC000075412325 374479938 /nfs/dbraw/zinc/47/99/38/374479938.db2.gz IKLZKVQFTVDLEL-DTORHVGOSA-N 0 0 282.727 2.976 20 5 CFBDRN C[C@@H]1COCCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000492128329 246195031 /nfs/dbraw/zinc/19/50/31/246195031.db2.gz ITWUSUHLECYIIW-JTQLQIEISA-N 0 0 284.743 2.717 20 5 CFBDRN Cc1ccc(NC(=O)N2CCOC(C)(C)C2)cc1[N+](=O)[O-] ZINC000075954615 374515432 /nfs/dbraw/zinc/51/54/32/374515432.db2.gz QVHCTWAGTROLIM-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@@H](CSC)NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492338626 246260400 /nfs/dbraw/zinc/26/04/00/246260400.db2.gz AHVZGQFVLYZWNN-LAUAKBEESA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1c(CN2CCOC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000076431053 374549978 /nfs/dbraw/zinc/54/99/78/374549978.db2.gz ARMLKLFHHQFHMQ-UHFFFAOYSA-N 0 0 264.325 2.514 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H](C)CC2)c1 ZINC000250904045 189968540 /nfs/dbraw/zinc/96/85/40/189968540.db2.gz PUUZPGPPQVHTCH-XYPYZODXSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccc(/C=C\C(=O)Nc2cccc([N+](=O)[O-])c2)cn1 ZINC000492470981 246303193 /nfs/dbraw/zinc/30/31/93/246303193.db2.gz IJQTUBPKZRCLGJ-FPLPWBNLSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1ccc(/C=C/C(=O)NCc2ccccc2[N+](=O)[O-])cn1 ZINC000492379372 246274148 /nfs/dbraw/zinc/27/41/48/246274148.db2.gz KOVUVNOAHNHNJG-CMDGGOBGSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1ccncc1N(C)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000492591420 246336283 /nfs/dbraw/zinc/33/62/83/246336283.db2.gz MISOORMCZJGEAG-VMPITWQZSA-N 0 0 297.314 2.974 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NOC1CCCCC1 ZINC000492753498 246375495 /nfs/dbraw/zinc/37/54/95/246375495.db2.gz REVUFZQVLYTYMV-FLIBITNWSA-N 0 0 290.319 2.989 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000252012196 189972994 /nfs/dbraw/zinc/97/29/94/189972994.db2.gz SRDRWKWPYUWMFX-JTQLQIEISA-N 0 0 294.376 2.944 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1(O)CCCCCC1 ZINC000079618196 374622773 /nfs/dbraw/zinc/62/27/73/374622773.db2.gz PUPPTYIUICUFJP-UHFFFAOYSA-N 0 0 279.340 2.795 20 5 CFBDRN CC1(C)CCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000081352286 374696385 /nfs/dbraw/zinc/69/63/85/374696385.db2.gz KDOYGXLSABCNDT-UHFFFAOYSA-N 0 0 254.311 2.528 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000492952276 246435576 /nfs/dbraw/zinc/43/55/76/246435576.db2.gz GLAVNITWUXOOTP-BJVJZSAUSA-N 0 0 286.331 2.913 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCC2)cc1C(F)(F)F ZINC000080635321 374665917 /nfs/dbraw/zinc/66/59/17/374665917.db2.gz YAPNPSLLKPTQMV-UHFFFAOYSA-N 0 0 290.241 2.941 20 5 CFBDRN C[C@H](O)CCN(C)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000080651012 374667007 /nfs/dbraw/zinc/66/70/07/374667007.db2.gz REKWDMMRPPYUKA-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN Cc1c(C(=O)N2CCC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000081351667 374694628 /nfs/dbraw/zinc/69/46/28/374694628.db2.gz LBZAANWSALJBAC-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1ccnc(CNC(=O)/C=C\c2ccccc2[N+](=O)[O-])c1 ZINC000493088645 246483703 /nfs/dbraw/zinc/48/37/03/246483703.db2.gz HTDDTQLLQNFLRL-SREVYHEPSA-N 0 0 297.314 2.628 20 5 CFBDRN COc1ccc(CNc2cc(C)on2)cc1[N+](=O)[O-] ZINC000083458004 374746130 /nfs/dbraw/zinc/74/61/30/374746130.db2.gz MMBWYTHAETZREX-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN COc1ccccc1CNc1ncc([N+](=O)[O-])s1 ZINC000083481364 374749532 /nfs/dbraw/zinc/74/95/32/374749532.db2.gz UMANTBSESVMLJO-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN CC(C)(O)CNc1cc(Br)ccc1[N+](=O)[O-] ZINC000083730750 374754620 /nfs/dbraw/zinc/75/46/20/374754620.db2.gz UMSAYYOAEGAULL-UHFFFAOYSA-N 0 0 289.129 2.540 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccco2)c(Br)c1 ZINC000081940854 374724900 /nfs/dbraw/zinc/72/49/00/374724900.db2.gz AKMFOYISHYWYAN-UHFFFAOYSA-N 0 0 298.096 2.957 20 5 CFBDRN CC[C@@H]1CCC[C@@H](Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000085066553 374794217 /nfs/dbraw/zinc/79/42/17/374794217.db2.gz FBMYTGBIXQLREG-NXEZZACHSA-N 0 0 250.302 2.766 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H]1CCC[C@H]1O ZINC000089166621 374883004 /nfs/dbraw/zinc/88/30/04/374883004.db2.gz WLFPYKABQFNVJN-QPUJVOFHSA-N 0 0 270.716 2.821 20 5 CFBDRN O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C1=CCCC1 ZINC000089204760 374889370 /nfs/dbraw/zinc/88/93/70/374889370.db2.gz BTLXOAKJTMAZFP-UHFFFAOYSA-N 0 0 272.264 2.520 20 5 CFBDRN O=C(/C=C/c1cncs1)Nc1ccc([N+](=O)[O-])cc1 ZINC000493316092 246553779 /nfs/dbraw/zinc/55/37/79/246553779.db2.gz GOIMSQKJMJBHGS-AATRIKPKSA-N 0 0 275.289 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)C(=O)N2CCCCC2)c1 ZINC000088721481 374860820 /nfs/dbraw/zinc/86/08/20/374860820.db2.gz GKPUYFCLFRDBFV-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN CCc1cc(NCc2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000088760344 374861713 /nfs/dbraw/zinc/86/17/13/374861713.db2.gz UOGZFEONIMMRDH-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN CC[S@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000089588384 374926379 /nfs/dbraw/zinc/92/63/79/374926379.db2.gz CRUXESRYOHKWRE-LJQANCHMSA-N 0 0 280.305 2.518 20 5 CFBDRN CS[C@H](C)CC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089420724 374903579 /nfs/dbraw/zinc/90/35/79/374903579.db2.gz XXNVUOZMFRXOIS-SSDOTTSWSA-N 0 0 294.336 2.551 20 5 CFBDRN CCC[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)CCO1 ZINC000089471964 374911641 /nfs/dbraw/zinc/91/16/41/374911641.db2.gz CIRVGJOUPSDLPD-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN COC(=O)[C@H](C)CSc1ccc([N+](=O)[O-])c(C)c1 ZINC000090103741 374991892 /nfs/dbraw/zinc/99/18/92/374991892.db2.gz NVDYDKSQBMQYQA-SECBINFHSA-N 0 0 269.322 2.804 20 5 CFBDRN Cc1cnc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 ZINC000090692008 375023182 /nfs/dbraw/zinc/02/31/82/375023182.db2.gz APQJXJWBQMRCTL-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCc2cc(F)ccc2C1 ZINC000092006157 375080763 /nfs/dbraw/zinc/08/07/63/375080763.db2.gz ZMPDPMBLYUSAIB-UHFFFAOYSA-N 0 0 290.250 2.525 20 5 CFBDRN CCOCC(C)(C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493700115 246674499 /nfs/dbraw/zinc/67/44/99/246674499.db2.gz ZOBMBEAYILXIJG-YFHOEESVSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)[C@H](CO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000092401859 375108196 /nfs/dbraw/zinc/10/81/96/375108196.db2.gz IMXBIQUZFCTAHT-LBPRGKRZSA-N 0 0 275.308 2.572 20 5 CFBDRN CCOCCC1(CNc2c([N+](=O)[O-])c(CC)nn2C)CC1 ZINC000091671244 375056426 /nfs/dbraw/zinc/05/64/26/375056426.db2.gz NAFKZPQXFOUZFR-UHFFFAOYSA-N 0 0 296.371 2.509 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc2c(c1)COC2 ZINC000091681468 375057840 /nfs/dbraw/zinc/05/78/40/375057840.db2.gz RGEBHTNUFFPRIY-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN CC1(NC(=O)c2cccc([N+](=O)[O-])c2)Cc2ccccc2C1 ZINC000092595448 375129305 /nfs/dbraw/zinc/12/93/05/375129305.db2.gz PQNYSWYAWQXWQN-UHFFFAOYSA-N 0 0 296.326 2.882 20 5 CFBDRN Cc1cc(N[C@H](C)[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000092657542 375135251 /nfs/dbraw/zinc/13/52/51/375135251.db2.gz UQESPRLYMWPTPU-GHMZBOCLSA-N 0 0 250.298 2.740 20 5 CFBDRN CCOc1cc(S[C@@H](C)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000092658799 375135665 /nfs/dbraw/zinc/13/56/65/375135665.db2.gz PZRNINVCVXGRHV-BDAKNGLRSA-N 0 0 271.338 2.855 20 5 CFBDRN COCCN(Cc1ccc(C)c([N+](=O)[O-])c1)CC(F)F ZINC000092965986 375168806 /nfs/dbraw/zinc/16/88/06/375168806.db2.gz HLZGBTGGZOLKCI-UHFFFAOYSA-N 0 0 288.294 2.617 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178369740 296226557 /nfs/dbraw/zinc/22/65/57/296226557.db2.gz ICNBQZAHWICABN-BETUJISGSA-N 0 0 274.320 2.857 20 5 CFBDRN COc1cc(OCCOC2CCCC2)ccc1[N+](=O)[O-] ZINC000431926901 383707035 /nfs/dbraw/zinc/70/70/35/383707035.db2.gz PGDCADBTPHKPAI-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCOC1CCCC1 ZINC000431930263 383707189 /nfs/dbraw/zinc/70/71/89/383707189.db2.gz DXJSYFIDURMISK-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN CCc1nnc(COc2cc([N+](=O)[O-])ccc2Cl)o1 ZINC000093307914 375205878 /nfs/dbraw/zinc/20/58/78/375205878.db2.gz NMTOOFCCDJGORB-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C[C@@H]2CCCCC[C@@H]21 ZINC000370236268 296227837 /nfs/dbraw/zinc/22/78/37/296227837.db2.gz QCQCRDBSEDUUKJ-UWVGGRQHSA-N 0 0 264.281 2.593 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1ccncc1 ZINC000093900624 375329849 /nfs/dbraw/zinc/32/98/49/375329849.db2.gz XURNNFZXGFJYQS-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000094801102 375381243 /nfs/dbraw/zinc/38/12/43/375381243.db2.gz WBGUTJVIACWNCP-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1c(F)cccc1Cl ZINC000096553069 375490511 /nfs/dbraw/zinc/49/05/11/375490511.db2.gz PXEAYCFGIIFUBH-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccc(O)cc2)cc1[N+](=O)[O-] ZINC000097042024 375497763 /nfs/dbraw/zinc/49/77/63/375497763.db2.gz CZDCTPGPOWPZEJ-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC1(Cl)Cl ZINC000098073212 375600375 /nfs/dbraw/zinc/60/03/75/375600375.db2.gz QHGKZWXUEIZQEW-VIFPVBQESA-N 0 0 290.102 2.832 20 5 CFBDRN C[C@@H]1CC[N@H+](CCOc2cccc([N+](=O)[O-])c2)C[C@@H]1C ZINC000501796366 247106132 /nfs/dbraw/zinc/10/61/32/247106132.db2.gz SOLBHWGVAXHNIJ-OLZOCXBDSA-N 0 0 278.352 2.952 20 5 CFBDRN O=C(COc1ccccc1)COc1cccc([N+](=O)[O-])c1 ZINC000505466576 247139730 /nfs/dbraw/zinc/13/97/30/247139730.db2.gz HXMIZLVRWYCGDO-UHFFFAOYSA-N 0 0 287.271 2.622 20 5 CFBDRN CCOC1CC(COc2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000505546148 247141191 /nfs/dbraw/zinc/14/11/91/247141191.db2.gz CFXMUSKIQZMQLF-UHFFFAOYSA-N 0 0 280.324 2.801 20 5 CFBDRN C[C@@H](CO)CSCc1c(F)cc([N+](=O)[O-])cc1F ZINC000505565177 247142745 /nfs/dbraw/zinc/14/27/45/247142745.db2.gz TXVQNTDXPOKPEG-ZETCQYMHSA-N 0 0 277.292 2.735 20 5 CFBDRN CCOC1CC(CSc2ccc([N+](=O)[O-])cn2)C1 ZINC000505732160 247149336 /nfs/dbraw/zinc/14/93/36/247149336.db2.gz CPWCJWSTNLRQKO-UHFFFAOYSA-N 0 0 268.338 2.897 20 5 CFBDRN COCCN(Cc1cccc([N+](=O)[O-])c1C)CC(F)F ZINC000103485399 375752002 /nfs/dbraw/zinc/75/20/02/375752002.db2.gz UEMJDNJLSJKODK-UHFFFAOYSA-N 0 0 288.294 2.617 20 5 CFBDRN CCN(Cc1c(F)cccc1[N+](=O)[O-])C[C@@H]1CCCO1 ZINC000103555370 375754998 /nfs/dbraw/zinc/75/49/98/375754998.db2.gz KBAGHSNFDXQSRX-NSHDSACASA-N 0 0 282.315 2.735 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)[nH]n2)c1 ZINC000111589839 375856370 /nfs/dbraw/zinc/85/63/70/375856370.db2.gz LYURLQHREYBXJA-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCCOCC(F)(F)F)c1 ZINC000111639643 375859819 /nfs/dbraw/zinc/85/98/19/375859819.db2.gz ADOQLHCRWLAHKM-UHFFFAOYSA-N 0 0 282.193 2.725 20 5 CFBDRN CCN(Cc1cc([N+](=O)[O-])ccc1OC)CC(F)(F)F ZINC000112344778 375908538 /nfs/dbraw/zinc/90/85/38/375908538.db2.gz ZWHFERIOUCZHDC-UHFFFAOYSA-N 0 0 292.257 2.988 20 5 CFBDRN COc1cc(-c2nc(-c3ccncc3)no2)ccc1[N+](=O)[O-] ZINC000113738586 376031487 /nfs/dbraw/zinc/03/14/87/376031487.db2.gz ABIAOODVHQQSAN-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1c(F)cccc1F ZINC000113939969 376042607 /nfs/dbraw/zinc/04/26/07/376042607.db2.gz ZFLTWTRXPZRJLM-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN CN(C(=O)[C@H]1COc2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000113018763 375987717 /nfs/dbraw/zinc/98/77/17/375987717.db2.gz ZNXMLGVYCSALTP-AWEZNQCLSA-N 0 0 298.298 2.734 20 5 CFBDRN COC(=O)[C@@H](C)CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000115192991 376114422 /nfs/dbraw/zinc/11/44/22/376114422.db2.gz IGGAULIBVISQQZ-JTQLQIEISA-N 0 0 299.348 2.516 20 5 CFBDRN Cn1cnnc1SCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000115963598 376155696 /nfs/dbraw/zinc/15/56/96/376155696.db2.gz IHODUXOXIHVFKA-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN O=C([C@H]1CCCSC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000335676885 284558508 /nfs/dbraw/zinc/55/85/08/284558508.db2.gz YSFIMRARWIEEIE-JTQLQIEISA-N 0 0 292.360 2.627 20 5 CFBDRN Cc1c(NC(=O)CC2CCOCC2)cccc1[N+](=O)[O-] ZINC000117124629 376221007 /nfs/dbraw/zinc/22/10/07/376221007.db2.gz KNTUYHCWBZHVIE-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CC(C)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000117198251 376229032 /nfs/dbraw/zinc/22/90/32/376229032.db2.gz JRGGZRIGPYQJCA-SNVBAGLBSA-N 0 0 266.297 2.839 20 5 CFBDRN CCc1ccc(OS(=O)(=O)CC(C)C)c([N+](=O)[O-])c1 ZINC000118434612 376297004 /nfs/dbraw/zinc/29/70/04/376297004.db2.gz WRFNTDDPGTWVJW-UHFFFAOYSA-N 0 0 287.337 2.522 20 5 CFBDRN CC(C)CCNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000119794331 376471772 /nfs/dbraw/zinc/47/17/72/376471772.db2.gz FYEWIPVOXRATDY-UHFFFAOYSA-N 0 0 275.308 2.852 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000119789800 376473044 /nfs/dbraw/zinc/47/30/44/376473044.db2.gz JKGUDZOUUHYNOF-JTQLQIEISA-N 0 0 287.319 2.948 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@H](C)[C@H]2C)c1 ZINC000302149207 284572779 /nfs/dbraw/zinc/57/27/79/284572779.db2.gz HHLWKXZGVGOGSC-VHSXEESVSA-N 0 0 278.308 2.616 20 5 CFBDRN CC(C)CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000119872806 376493372 /nfs/dbraw/zinc/49/33/72/376493372.db2.gz PIIQZINZUBEREL-UHFFFAOYSA-N 0 0 273.292 2.529 20 5 CFBDRN CC[C@H](Cc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000263900279 190083975 /nfs/dbraw/zinc/08/39/75/190083975.db2.gz ACXCQHWZQKDREI-GFCCVEGCSA-N 0 0 287.319 2.674 20 5 CFBDRN CCCN(CC(C)(C)O)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000264114314 190091914 /nfs/dbraw/zinc/09/19/14/190091914.db2.gz JMPNWFCVSFHAQR-UHFFFAOYSA-N 0 0 295.339 2.610 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1CC1(Cl)Cl ZINC000120133761 376554749 /nfs/dbraw/zinc/55/47/49/376554749.db2.gz PERNEQVDIKQSPF-QMMMGPOBSA-N 0 0 276.075 2.694 20 5 CFBDRN CC[C@H](C)[C@H](C)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CC1 ZINC000264170581 190094002 /nfs/dbraw/zinc/09/40/02/190094002.db2.gz KPHJAQBFEMTADA-UWVGGRQHSA-N 0 0 279.340 2.962 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])cn2)cc1C ZINC000264259212 190099939 /nfs/dbraw/zinc/09/99/39/190099939.db2.gz CREUVQRNIBULRG-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000120089222 376545028 /nfs/dbraw/zinc/54/50/28/376545028.db2.gz WCNZCSVYMDKRSC-RYUDHWBXSA-N 0 0 276.336 2.784 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000264324412 190103762 /nfs/dbraw/zinc/10/37/62/190103762.db2.gz VYCDCJPZKVSSDD-KOLCDFICSA-N 0 0 278.308 2.522 20 5 CFBDRN COC1(CNc2c(C)cccc2[N+](=O)[O-])CCOCC1 ZINC000120537547 376635966 /nfs/dbraw/zinc/63/59/66/376635966.db2.gz QRAFLLRMVPEJIC-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN CON(C)c1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000120561258 376639836 /nfs/dbraw/zinc/63/98/36/376639836.db2.gz PTQLAKQCZYFXQM-UHFFFAOYSA-N 0 0 251.069 2.899 20 5 CFBDRN O=C([C@H]1C[C@@H]1C1CC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161984103 284584254 /nfs/dbraw/zinc/58/42/54/284584254.db2.gz SVXMGWNBKOOPPO-OLZOCXBDSA-N 0 0 286.331 2.920 20 5 CFBDRN CC1=C(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CCCO1 ZINC000120795221 376694802 /nfs/dbraw/zinc/69/48/02/376694802.db2.gz JVQIYXHRGMDKHW-SNVBAGLBSA-N 0 0 290.319 2.856 20 5 CFBDRN CCCCCOCC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000120800348 376697472 /nfs/dbraw/zinc/69/74/72/376697472.db2.gz AJJZHJMCKGCQPD-UHFFFAOYSA-N 0 0 284.287 2.879 20 5 CFBDRN COc1cc(N(C)Cc2ccco2)c([N+](=O)[O-])cc1F ZINC000121108191 376761242 /nfs/dbraw/zinc/76/12/42/376761242.db2.gz BUXNYLUNGZIKHM-UHFFFAOYSA-N 0 0 280.255 2.972 20 5 CFBDRN CCO[C@H](C)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264603144 190122001 /nfs/dbraw/zinc/12/20/01/190122001.db2.gz IHRNGVRZFOJZNG-MRVPVSSYSA-N 0 0 263.253 2.742 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000121519457 376851065 /nfs/dbraw/zinc/85/10/65/376851065.db2.gz QZAQOLRXKIVRAA-SECBINFHSA-N 0 0 295.295 2.667 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC2(CCO)CCC2)c(F)c1 ZINC000121780187 376902650 /nfs/dbraw/zinc/90/26/50/376902650.db2.gz NOLRMOZNXNUPAW-UHFFFAOYSA-N 0 0 286.278 2.838 20 5 CFBDRN CC[C@H]1CCCN(C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000121623234 376871186 /nfs/dbraw/zinc/87/11/86/376871186.db2.gz RIIYMQKPLVBOTM-LBPRGKRZSA-N 0 0 292.335 2.622 20 5 CFBDRN CNC(=O)c1cccc(NCc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000123935139 376997689 /nfs/dbraw/zinc/99/76/89/376997689.db2.gz QCDXUNPDCYCFEX-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CC[C@H](O)CNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000125074787 377114669 /nfs/dbraw/zinc/11/46/69/377114669.db2.gz VNGJFNCNGKKNBT-QMMMGPOBSA-N 0 0 278.230 2.796 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1C[C@@H]2CCC[C@H]2C1 ZINC000124631258 377071930 /nfs/dbraw/zinc/07/19/30/377071930.db2.gz ZBCKGFHVTRVUSZ-RYUDHWBXSA-N 0 0 292.310 2.535 20 5 CFBDRN COc1cc(F)c(NC(=O)c2ccc([N+](=O)[O-])o2)cc1F ZINC000125562586 377171284 /nfs/dbraw/zinc/17/12/84/377171284.db2.gz UVRVVVLRPDQCRQ-UHFFFAOYSA-N 0 0 298.201 2.727 20 5 CFBDRN CC1(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCC1 ZINC000125619329 377177773 /nfs/dbraw/zinc/17/77/73/377177773.db2.gz YKHHMPAUBZOCTB-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN O=C(N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12)c1ccccc1[N+](=O)[O-] ZINC000125662415 377181840 /nfs/dbraw/zinc/18/18/40/377181840.db2.gz RRZDKQMOSUJGMU-NUEKZKHPSA-N 0 0 294.310 2.663 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)C1CCSCC1 ZINC000264862426 190139871 /nfs/dbraw/zinc/13/98/71/190139871.db2.gz QXICRBBPDKLFEX-UHFFFAOYSA-N 0 0 284.312 2.816 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1CCOc1cccc2ccccc21 ZINC000127525968 377288278 /nfs/dbraw/zinc/28/82/78/377288278.db2.gz DHALEYJXJWFIHR-UHFFFAOYSA-N 0 0 298.302 2.606 20 5 CFBDRN CCOc1cccc(N[C@H]2C[C@@H](O)C2(C)C)c1[N+](=O)[O-] ZINC000128929732 377361459 /nfs/dbraw/zinc/36/14/59/377361459.db2.gz ORKHIRNEQJKUGC-NWDGAFQWSA-N 0 0 280.324 2.565 20 5 CFBDRN COc1ccc(CCNc2nc(C)ccc2[N+](=O)[O-])cc1 ZINC000128903262 377361508 /nfs/dbraw/zinc/36/15/08/377361508.db2.gz SSFUFNDGOYPSCX-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000128966890 377366686 /nfs/dbraw/zinc/36/66/86/377366686.db2.gz WHPKFHQXXUQRAQ-LLVKDONJSA-N 0 0 298.314 2.669 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000128977976 377367556 /nfs/dbraw/zinc/36/75/56/377367556.db2.gz IHCAXMQRIKYHIB-PWSUYJOCSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1cc(C(=O)NCC2CCSCC2)cc([N+](=O)[O-])c1 ZINC000264922604 190144425 /nfs/dbraw/zinc/14/44/25/190144425.db2.gz MXIAIFRJSQBRTI-UHFFFAOYSA-N 0 0 294.376 2.776 20 5 CFBDRN CCC[C@H](C)N(C)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000264941362 190146757 /nfs/dbraw/zinc/14/67/57/190146757.db2.gz TTZHMNXLNZMRKP-JTQLQIEISA-N 0 0 279.340 2.579 20 5 CFBDRN CC(C)NC(=O)c1ccc(NCC2CC2)c([N+](=O)[O-])c1 ZINC000264953897 190146950 /nfs/dbraw/zinc/14/69/50/190146950.db2.gz WAIRMLDWASBWSV-UHFFFAOYSA-N 0 0 277.324 2.555 20 5 CFBDRN O=C(c1ccco1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129553207 377444798 /nfs/dbraw/zinc/44/47/98/377444798.db2.gz GKJVGPUFTAGMFY-UHFFFAOYSA-N 0 0 286.287 2.993 20 5 CFBDRN O=C(C[C@H]1CSCCS1)Nc1cccc([N+](=O)[O-])c1 ZINC000130060339 377506516 /nfs/dbraw/zinc/50/65/16/377506516.db2.gz FALYLLIQHVTKGF-NSHDSACASA-N 0 0 298.389 2.772 20 5 CFBDRN Cc1c(CN(C)[C@@H](C)C(=O)OC(C)C)cccc1[N+](=O)[O-] ZINC000129690161 377460241 /nfs/dbraw/zinc/46/02/41/377460241.db2.gz DWHTXIHZUHELAK-LBPRGKRZSA-N 0 0 294.351 2.675 20 5 CFBDRN Cc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1OC(F)F ZINC000265104408 190158169 /nfs/dbraw/zinc/15/81/69/190158169.db2.gz FUJPUUFTSPBHNO-UHFFFAOYSA-N 0 0 298.249 2.982 20 5 CFBDRN Cc1nc(Sc2ccc(CO)cc2)ccc1[N+](=O)[O-] ZINC000130329164 377538328 /nfs/dbraw/zinc/53/83/28/377538328.db2.gz GELUHAYMMIXOTE-UHFFFAOYSA-N 0 0 276.317 2.942 20 5 CFBDRN O=[N+]([O-])c1cnccc1Sc1ccc(CO)cc1 ZINC000130331103 377538422 /nfs/dbraw/zinc/53/84/22/377538422.db2.gz JVPWCHOEJMIOMF-UHFFFAOYSA-N 0 0 262.290 2.633 20 5 CFBDRN C[C@H](CCNc1ccc(Cl)cc1[N+](=O)[O-])[S@@](C)=O ZINC000130336723 377541138 /nfs/dbraw/zinc/54/11/38/377541138.db2.gz VYHRZDVLSIWYCP-CVJBHZAOSA-N 0 0 290.772 2.817 20 5 CFBDRN CC(C)N1CC[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1=O ZINC000265123740 190159274 /nfs/dbraw/zinc/15/92/74/190159274.db2.gz KRSLNDJEBTZOKG-LLVKDONJSA-N 0 0 297.742 2.669 20 5 CFBDRN Cc1nn(C)c(C(=O)N[C@H](C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000130410642 377552811 /nfs/dbraw/zinc/55/28/11/377552811.db2.gz ZNSRCGXCTYPLLT-SECBINFHSA-N 0 0 296.371 2.581 20 5 CFBDRN Cn1cc(Nc2c3ccccc3ncc2[N+](=O)[O-])cn1 ZINC000130357781 377543370 /nfs/dbraw/zinc/54/33/70/377543370.db2.gz IKQDQQDPNSRQEX-UHFFFAOYSA-N 0 0 269.264 2.620 20 5 CFBDRN Cc1ccnc(NCc2cccc(N(C)C)c2)c1[N+](=O)[O-] ZINC000265188586 190164874 /nfs/dbraw/zinc/16/48/74/190164874.db2.gz KFUREXNDGCDKQW-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN C[C@@H](C(=O)NCc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000265251525 190169356 /nfs/dbraw/zinc/16/93/56/190169356.db2.gz KLSWTXLYNTYRTK-JTQLQIEISA-N 0 0 264.325 2.893 20 5 CFBDRN C[C@H](C(=O)NCc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000265251526 190169381 /nfs/dbraw/zinc/16/93/81/190169381.db2.gz KLSWTXLYNTYRTK-SNVBAGLBSA-N 0 0 264.325 2.893 20 5 CFBDRN Cc1ccnc(N(C)Cc2nccn2C(F)F)c1[N+](=O)[O-] ZINC000265277151 190171599 /nfs/dbraw/zinc/17/15/99/190171599.db2.gz VPNAEFNYGQZKBN-UHFFFAOYSA-N 0 0 297.265 2.526 20 5 CFBDRN C[C@H](C(=O)NCCc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000265302095 190173438 /nfs/dbraw/zinc/17/34/38/190173438.db2.gz RRYAYGMMUBEYFO-LLVKDONJSA-N 0 0 278.352 2.936 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CC1CCCCC1 ZINC000265514938 190187470 /nfs/dbraw/zinc/18/74/70/190187470.db2.gz NQEHLAZVBJSBAQ-UHFFFAOYSA-N 0 0 270.716 2.990 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000265430299 190181414 /nfs/dbraw/zinc/18/14/14/190181414.db2.gz FWKQOFXCGQJOLL-SECBINFHSA-N 0 0 266.322 2.803 20 5 CFBDRN CC(C)c1noc(NCc2ccccc2[N+](=O)[O-])n1 ZINC000265621015 190194156 /nfs/dbraw/zinc/19/41/56/190194156.db2.gz NKIMLVAMMDLAQN-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN CCOc1cccc(N[C@H](C)C[C@@H](C)O)c1[N+](=O)[O-] ZINC000130653467 377589651 /nfs/dbraw/zinc/58/96/51/377589651.db2.gz CKPVGDFHAJEPTK-NXEZZACHSA-N 0 0 268.313 2.565 20 5 CFBDRN Cc1cccc(OCC(=O)NCCC(C)(C)C)c1[N+](=O)[O-] ZINC000131306885 377692135 /nfs/dbraw/zinc/69/21/35/377692135.db2.gz SMZHKVHSFIDSNT-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN COC(=O)CCCSCc1ccc([N+](=O)[O-])cc1 ZINC000131186336 377673097 /nfs/dbraw/zinc/67/30/97/377673097.db2.gz KSXMREBZHCSTDL-UHFFFAOYSA-N 0 0 269.322 2.781 20 5 CFBDRN COC[C@H]1CCN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000265852618 190212930 /nfs/dbraw/zinc/21/29/30/190212930.db2.gz VPMWULWVGUMPME-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H](C)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000131670312 377745241 /nfs/dbraw/zinc/74/52/41/377745241.db2.gz AIWOQQRBUPHQES-MNOVXSKESA-N 0 0 292.335 2.722 20 5 CFBDRN COc1cc(C(=O)OC2CCCC2)cc([N+](=O)[O-])c1OC ZINC000265876675 190214395 /nfs/dbraw/zinc/21/43/95/190214395.db2.gz APXFIERXCAJRMD-UHFFFAOYSA-N 0 0 295.291 2.711 20 5 CFBDRN CC[C@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000132296142 377825452 /nfs/dbraw/zinc/82/54/52/377825452.db2.gz SWCLUKDVEBUWGI-STQMWFEESA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@H]1CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])[C@@H]1CO ZINC000133015931 377895835 /nfs/dbraw/zinc/89/58/35/377895835.db2.gz DSUMQHPAZGAGOV-IINYFYTJSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(NC1CCCCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134019018 377976380 /nfs/dbraw/zinc/97/63/80/377976380.db2.gz CAVBLSYGGVPPAK-UHFFFAOYSA-N 0 0 284.262 2.936 20 5 CFBDRN Cc1cccc(CNC(=O)/C=C/c2cccc([N+](=O)[O-])c2)n1 ZINC000134122778 377984919 /nfs/dbraw/zinc/98/49/19/377984919.db2.gz ZNOXWITWGAKHAJ-CMDGGOBGSA-N 0 0 297.314 2.628 20 5 CFBDRN CC(C)[C@H](C)Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000134221800 377993527 /nfs/dbraw/zinc/99/35/27/377993527.db2.gz CJTVZOJNSLZLIV-VIFPVBQESA-N 0 0 277.324 2.936 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCC(=O)C2CCCCC2)c1 ZINC000134211554 377994132 /nfs/dbraw/zinc/99/41/32/377994132.db2.gz KUQCKUIBIANIBR-UHFFFAOYSA-N 0 0 291.303 2.936 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC1CCSCC1 ZINC000134596931 378036678 /nfs/dbraw/zinc/03/66/78/378036678.db2.gz AUPVGCQSPNISBF-UHFFFAOYSA-N 0 0 253.327 2.545 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000266027369 190224516 /nfs/dbraw/zinc/22/45/16/190224516.db2.gz BNPLNXKQUGLVRS-ZIAGYGMSSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000266012608 190223090 /nfs/dbraw/zinc/22/30/90/190223090.db2.gz JQCLLEONIBSAHM-RYUDHWBXSA-N 0 0 276.336 2.784 20 5 CFBDRN O=c1ccccn1CCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000432158231 383726454 /nfs/dbraw/zinc/72/64/54/383726454.db2.gz MYZKAOQMSXQBDZ-UHFFFAOYSA-N 0 0 293.710 2.522 20 5 CFBDRN CO[C@H]1CCCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000266033584 190224911 /nfs/dbraw/zinc/22/49/11/190224911.db2.gz CUYUXRICWVFDFK-OCCSQVGLSA-N 0 0 292.335 2.591 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000266033586 190224937 /nfs/dbraw/zinc/22/49/37/190224937.db2.gz CUYUXRICWVFDFK-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN COC1(C)CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000271720764 284688164 /nfs/dbraw/zinc/68/81/64/284688164.db2.gz RHMOFAYXJBZTFD-UHFFFAOYSA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@@]1(C)CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000135278864 378110084 /nfs/dbraw/zinc/11/00/84/378110084.db2.gz LIJXDTAFWYVXKH-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000136307788 378194564 /nfs/dbraw/zinc/19/45/64/378194564.db2.gz VTFVIJAKIFETTI-TXEJJXNPSA-N 0 0 290.319 2.618 20 5 CFBDRN CCN(C(=O)c1n[nH]cc1[N+](=O)[O-])c1cc(C)cc(C)c1 ZINC000136587308 378203056 /nfs/dbraw/zinc/20/30/56/378203056.db2.gz QESTUYOPOIOEKD-UHFFFAOYSA-N 0 0 288.307 2.601 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NOC2CCCC2)c1 ZINC000135687968 378157879 /nfs/dbraw/zinc/15/78/79/378157879.db2.gz SEZJHXRITVCDKE-UHFFFAOYSA-N 0 0 264.281 2.507 20 5 CFBDRN Cc1c[nH]c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000135699464 378157950 /nfs/dbraw/zinc/15/79/50/378157950.db2.gz JYMJLVGXGMSMNZ-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000135801721 378164228 /nfs/dbraw/zinc/16/42/28/378164228.db2.gz HYBOXNAGFQHPIC-SFYZADRCSA-N 0 0 268.700 2.634 20 5 CFBDRN CCOc1cc(N[C@@H]2CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000150040600 378267409 /nfs/dbraw/zinc/26/74/09/378267409.db2.gz ZKPRIYCFHFGMSB-MWLCHTKSSA-N 0 0 266.297 2.583 20 5 CFBDRN CCCCN(CCOC)c1ccc([N+](=O)[O-])c(C)n1 ZINC000150802923 378273039 /nfs/dbraw/zinc/27/30/39/378273039.db2.gz DOURHJNDUHZGBT-UHFFFAOYSA-N 0 0 267.329 2.551 20 5 CFBDRN CC[C@H](NCc1ccon1)c1cccc([N+](=O)[O-])c1 ZINC000148646631 378259101 /nfs/dbraw/zinc/25/91/01/378259101.db2.gz KZUWFTWHSBJJIJ-ZDUSSCGKSA-N 0 0 261.281 2.824 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149170554 378262694 /nfs/dbraw/zinc/26/26/94/378262694.db2.gz LREWQMUCBDMCOO-SECBINFHSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1cscc1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000151280430 378313597 /nfs/dbraw/zinc/31/35/97/378313597.db2.gz VMBNSJIDLVMRPP-UHFFFAOYSA-N 0 0 277.305 2.799 20 5 CFBDRN Cc1nn(CCc2ccc(F)c(F)c2)c(C)c1[N+](=O)[O-] ZINC000151625222 378341837 /nfs/dbraw/zinc/34/18/37/378341837.db2.gz DGTOKXQLFXTFEA-UHFFFAOYSA-N 0 0 281.262 2.929 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc[nH]1 ZINC000266095039 190229725 /nfs/dbraw/zinc/22/97/25/190229725.db2.gz QJASOTPVSNCZAQ-OAHLLOKOSA-N 0 0 299.330 2.829 20 5 CFBDRN O=C(c1ccoc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129719833 296275858 /nfs/dbraw/zinc/27/58/58/296275858.db2.gz WRNRZKLAFIGUMP-UHFFFAOYSA-N 0 0 286.287 2.993 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266101761 190230386 /nfs/dbraw/zinc/23/03/86/190230386.db2.gz RSQLQYVYELJZBW-AWEZNQCLSA-N 0 0 276.336 2.784 20 5 CFBDRN CSCCN(C)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000152316858 378408783 /nfs/dbraw/zinc/40/87/83/378408783.db2.gz QTNDBAOYSIXBQE-UHFFFAOYSA-N 0 0 288.756 2.683 20 5 CFBDRN CC(=O)c1ccc(N[C@H](CCO)C(C)C)c([N+](=O)[O-])c1 ZINC000266105377 190230720 /nfs/dbraw/zinc/23/07/20/190230720.db2.gz IQRYKTTVIYZCAY-GFCCVEGCSA-N 0 0 280.324 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1nccs1)C2 ZINC000153053951 378470369 /nfs/dbraw/zinc/47/03/69/378470369.db2.gz QZLCTGQQBIJHPA-UHFFFAOYSA-N 0 0 275.333 2.610 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1cn2cccnc2n1 ZINC000152544487 378425715 /nfs/dbraw/zinc/42/57/15/378425715.db2.gz OETUGGNRSPPAEK-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN Cc1conc1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000153323025 378485780 /nfs/dbraw/zinc/48/57/80/378485780.db2.gz UXDCYJXKZYJNTR-UHFFFAOYSA-N 0 0 262.225 2.535 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000153865975 378518772 /nfs/dbraw/zinc/51/87/72/378518772.db2.gz MIKUKLBXTHZWPS-PWSUYJOCSA-N 0 0 292.335 2.912 20 5 CFBDRN Cn1cnnc1SCc1c(Cl)cccc1[N+](=O)[O-] ZINC000154273648 378554061 /nfs/dbraw/zinc/55/40/61/378554061.db2.gz LJOWHEFLQIUURI-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN CC[C@H]1[C@@H](C)CC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330448861 378559541 /nfs/dbraw/zinc/55/95/41/378559541.db2.gz HHICJFKGFDRJTH-GWCFXTLKSA-N 0 0 264.325 2.921 20 5 CFBDRN NC(=O)C[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000330532548 378579013 /nfs/dbraw/zinc/57/90/13/378579013.db2.gz HOYGJSDKKHNERS-MRVPVSSYSA-N 0 0 297.336 2.576 20 5 CFBDRN CC1(C)CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000154578986 378581917 /nfs/dbraw/zinc/58/19/17/378581917.db2.gz CHRZUKOWJWCFOE-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000331083366 378628757 /nfs/dbraw/zinc/62/87/57/378628757.db2.gz YQRKJBZICBHBLG-ZYHUDNBSSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@]1(C)COCCN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000330638129 378593354 /nfs/dbraw/zinc/59/33/54/378593354.db2.gz VVYQPVIULBMUFW-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(C)C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000330946751 378601335 /nfs/dbraw/zinc/60/13/35/378601335.db2.gz HDZOUUKIPKRLHK-GFCCVEGCSA-N 0 0 293.367 2.832 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000331013169 378614741 /nfs/dbraw/zinc/61/47/41/378614741.db2.gz YFRYSXGYCLCHRC-OAHLLOKOSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cc(C(=O)N2C[C@@H](C)C[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000331070161 378624978 /nfs/dbraw/zinc/62/49/78/378624978.db2.gz CDWIFSJLVFKOPO-UWVGGRQHSA-N 0 0 292.335 2.782 20 5 CFBDRN CC(C)CCN(C(=O)c1ccc([N+](=O)[O-])n1C)C1CC1 ZINC000331485453 378694450 /nfs/dbraw/zinc/69/44/50/378694450.db2.gz MFRRIMAIWUOONV-UHFFFAOYSA-N 0 0 279.340 2.584 20 5 CFBDRN CCOc1cc(CN2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000331188386 378646901 /nfs/dbraw/zinc/64/69/01/378646901.db2.gz UPASFXNYHMJKNK-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1c(CNC(=O)N2CCCCCC2)cccc1[N+](=O)[O-] ZINC000332358979 378753255 /nfs/dbraw/zinc/75/32/55/378753255.db2.gz WGBQLGLCVIWUQU-UHFFFAOYSA-N 0 0 291.351 2.989 20 5 CFBDRN CC[C@H](C)OCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000159332964 378702145 /nfs/dbraw/zinc/70/21/45/378702145.db2.gz VXFJGSGTEJLTHK-QWRGUYRKSA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000159334583 378703800 /nfs/dbraw/zinc/70/38/00/378703800.db2.gz BKADFTYTGOSXCL-SKDRFNHKSA-N 0 0 262.309 2.818 20 5 CFBDRN Cc1nn(CCOC2CCCCCC2)cc1[N+](=O)[O-] ZINC000331565282 378708597 /nfs/dbraw/zinc/70/85/97/378708597.db2.gz XRFKGZMTOICDTJ-UHFFFAOYSA-N 0 0 267.329 2.839 20 5 CFBDRN CC1(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCOCC1 ZINC000159493936 378711958 /nfs/dbraw/zinc/71/19/58/378711958.db2.gz IVGJXEZCILJWRG-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1ccc(N[C@H](C)[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000159607696 378719866 /nfs/dbraw/zinc/71/98/66/378719866.db2.gz FBJIBSSBBRMBEO-GHMZBOCLSA-N 0 0 250.298 2.740 20 5 CFBDRN C[C@@H](CCC1CCCCC1)NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000159665577 378723476 /nfs/dbraw/zinc/72/34/76/378723476.db2.gz YGOWTEYWPKBKQW-JTQLQIEISA-N 0 0 294.355 2.797 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266165841 190236737 /nfs/dbraw/zinc/23/67/37/190236737.db2.gz UUOQTUWXDCTBCI-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)C1 ZINC000332507155 378771483 /nfs/dbraw/zinc/77/14/83/378771483.db2.gz YUTDERSHNJZMHC-SNVBAGLBSA-N 0 0 280.349 2.554 20 5 CFBDRN CCC[C@H](C)[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000332674410 378785862 /nfs/dbraw/zinc/78/58/62/378785862.db2.gz IVIDIGOXVBNVFV-GWCFXTLKSA-N 0 0 279.340 2.964 20 5 CFBDRN CCC(C)(C)[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000332668878 378785882 /nfs/dbraw/zinc/78/58/82/378785882.db2.gz HSPZDRYLAZRWNR-LBPRGKRZSA-N 0 0 279.340 2.964 20 5 CFBDRN C[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)[C@@H](C)[C@H]1C ZINC000332672454 378786539 /nfs/dbraw/zinc/78/65/39/378786539.db2.gz IJSXZZCFAXZBLU-VGMNWLOBSA-N 0 0 268.338 2.773 20 5 CFBDRN CC(C)CC[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000332673437 378786547 /nfs/dbraw/zinc/78/65/47/378786547.db2.gz IQVMMQZDBPQGPB-NSHDSACASA-N 0 0 279.340 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@]2(O)CCCC[C@@H]2C1 ZINC000160667862 378789700 /nfs/dbraw/zinc/78/97/00/378789700.db2.gz IXMZXDCRJKAIBP-IAQYHMDHSA-N 0 0 294.326 2.865 20 5 CFBDRN CC(C)[C@@H](C)CC(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332741029 378797085 /nfs/dbraw/zinc/79/70/85/378797085.db2.gz ZDUTWSMFQVQBGP-NSHDSACASA-N 0 0 291.351 2.557 20 5 CFBDRN COc1ccc(C(=O)NCCC2=CCCC2)cc1[N+](=O)[O-] ZINC000160238349 378758393 /nfs/dbraw/zinc/75/83/93/378758393.db2.gz HIUFSTVCHOFWCU-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000338811464 220255150 /nfs/dbraw/zinc/25/51/50/220255150.db2.gz OINDUPPLOVDXCQ-JTQLQIEISA-N 0 0 266.345 2.875 20 5 CFBDRN Cc1nc(NC[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000161571922 378856124 /nfs/dbraw/zinc/85/61/24/378856124.db2.gz SXWLOEZETYKXKI-SECBINFHSA-N 0 0 253.327 2.606 20 5 CFBDRN Cc1cnc(N(C)Cc2nccn2C(F)F)c([N+](=O)[O-])c1 ZINC000266248397 190243290 /nfs/dbraw/zinc/24/32/90/190243290.db2.gz ZOQXMZRDMMPENC-UHFFFAOYSA-N 0 0 297.265 2.526 20 5 CFBDRN C[C@]1(O)CCCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000161871315 378877424 /nfs/dbraw/zinc/87/74/24/378877424.db2.gz YLEYMWKQCNHUIM-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN CN(C(=O)/C=C/c1ccccc1[N+](=O)[O-])[C@H]1CCSC1 ZINC000162878325 378939199 /nfs/dbraw/zinc/93/91/99/378939199.db2.gz KWTGOGVIIWMGLY-SYTKJHMZSA-N 0 0 292.360 2.572 20 5 CFBDRN C[C@]1(F)CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc32)C1 ZINC000334194344 378909549 /nfs/dbraw/zinc/90/95/49/378909549.db2.gz JUFCTLUUPYZPSL-AWEZNQCLSA-N 0 0 291.282 2.650 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000266306664 190247886 /nfs/dbraw/zinc/24/78/86/190247886.db2.gz ZKBSRGBKNRPBFA-BXKDBHETSA-N 0 0 278.308 2.634 20 5 CFBDRN O=C(N[C@H]1C=CCCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334243425 378972638 /nfs/dbraw/zinc/97/26/38/378972638.db2.gz POMCMADYHSMKIF-JTQLQIEISA-N 0 0 285.303 2.915 20 5 CFBDRN CCCC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163772475 378989706 /nfs/dbraw/zinc/98/97/06/378989706.db2.gz MUCZLPQKWRYDHU-UHFFFAOYSA-N 0 0 262.309 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@]1(C)CCOC1 ZINC000164231350 379008994 /nfs/dbraw/zinc/00/89/94/379008994.db2.gz FBRGLSSRXCKYLB-AWEZNQCLSA-N 0 0 293.323 2.512 20 5 CFBDRN O=C(NCCC1=CCCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000163934022 378997184 /nfs/dbraw/zinc/99/71/84/378997184.db2.gz MWNMEZDVRBZBBG-UHFFFAOYSA-N 0 0 289.335 2.894 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000334276026 379019685 /nfs/dbraw/zinc/01/96/85/379019685.db2.gz NEVHYKVBXGCJGS-WCBMZHEXSA-N 0 0 263.297 2.823 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCC[C@H]1CCCO1 ZINC000165106343 379033167 /nfs/dbraw/zinc/03/31/67/379033167.db2.gz WBSKZYIGQWGMCR-GFCCVEGCSA-N 0 0 279.292 2.745 20 5 CFBDRN O=C(CSc1ncccc1[N+](=O)[O-])OC1CCCCC1 ZINC000278673110 194095817 /nfs/dbraw/zinc/09/58/17/194095817.db2.gz GGCMZIKFWRSIHS-UHFFFAOYSA-N 0 0 296.348 2.958 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000166709817 379059598 /nfs/dbraw/zinc/05/95/98/379059598.db2.gz XMDZUCXROZCUAG-RKDXNWHRSA-N 0 0 281.312 2.623 20 5 CFBDRN Cc1nn(C)cc1CNc1c(C)cccc1[N+](=O)[O-] ZINC000168925722 379099848 /nfs/dbraw/zinc/09/98/48/379099848.db2.gz CIKOUSKVABKUAI-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CC[C@@](C)(O)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000169231242 379103886 /nfs/dbraw/zinc/10/38/86/379103886.db2.gz INZHGHFUNONFIQ-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN O=C(N[C@H]1CC[C@@H](F)C1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000334332506 379110467 /nfs/dbraw/zinc/11/04/67/379110467.db2.gz QGFKRSOSZPQIMM-ZJUUUORDSA-N 0 0 286.690 2.869 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)[C@H]1c1ccccc1 ZINC000334325277 379097144 /nfs/dbraw/zinc/09/71/44/379097144.db2.gz ARPKONGROWQRCI-XHDPSFHLSA-N 0 0 299.330 2.767 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000334338169 379118473 /nfs/dbraw/zinc/11/84/73/379118473.db2.gz XPPFPSZXIYMOAS-MNOVXSKESA-N 0 0 277.324 2.850 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCCOC1 ZINC000170787949 379158383 /nfs/dbraw/zinc/15/83/83/379158383.db2.gz SISTWQCEVVGAOY-NSHDSACASA-N 0 0 278.308 2.577 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccc([N+](=O)[O-])o1)SC ZINC000266403144 190254245 /nfs/dbraw/zinc/25/42/45/190254245.db2.gz YEBBSTUYBDMAHB-UHFFFAOYSA-N 0 0 286.353 2.839 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](c2ccccc2)C1 ZINC000334352022 379140290 /nfs/dbraw/zinc/14/02/90/379140290.db2.gz GSEGNEBVALEUPV-CYBMUJFWSA-N 0 0 299.330 2.563 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@](C)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000334352420 379140782 /nfs/dbraw/zinc/14/07/82/379140782.db2.gz HEAHCFZBEFHXQX-HZMBPMFUSA-N 0 0 295.314 2.799 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2cc[nH]n2)c(Cl)c1 ZINC000278803776 194162140 /nfs/dbraw/zinc/16/21/40/194162140.db2.gz YPSIGRJMLPKJGB-LLVKDONJSA-N 0 0 293.714 2.708 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000334394554 379219325 /nfs/dbraw/zinc/21/93/25/379219325.db2.gz BBCHMFGZPLERHW-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCCC(F)(F)F)c1 ZINC000266523080 190263838 /nfs/dbraw/zinc/26/38/38/190263838.db2.gz IUFQPUVVXOWZOE-UHFFFAOYSA-N 0 0 290.241 2.976 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000334405233 379242240 /nfs/dbraw/zinc/24/22/40/379242240.db2.gz GCIKYCKKDNDJIH-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H](F)C1)c1csc([N+](=O)[O-])c1 ZINC000335419241 379261533 /nfs/dbraw/zinc/26/15/33/379261533.db2.gz PZJHJAPVVDGEQE-RKDXNWHRSA-N 0 0 272.301 2.667 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])n2C)CC12CCC2 ZINC000334447662 379317043 /nfs/dbraw/zinc/31/70/43/379317043.db2.gz MYBLOBISVYXZCA-NSHDSACASA-N 0 0 291.351 2.586 20 5 CFBDRN CCCN(C(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000171483785 379320325 /nfs/dbraw/zinc/32/03/25/379320325.db2.gz YFHPKYFJVYREST-UHFFFAOYSA-N 0 0 254.311 2.671 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)C1CC1 ZINC000171483146 379320525 /nfs/dbraw/zinc/32/05/25/379320525.db2.gz DWGKMGAYYROJKB-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1c(C(=O)NC2CC(C)(F)C2)cccc1[N+](=O)[O-] ZINC000335459400 379330655 /nfs/dbraw/zinc/33/06/55/379330655.db2.gz YBLKFYFBDDLCCY-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000335459928 379332191 /nfs/dbraw/zinc/33/21/91/379332191.db2.gz NAJFXZLXFUHWSN-NSHDSACASA-N 0 0 276.336 2.927 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCc1cn2ccsc2n1 ZINC000171412605 379301504 /nfs/dbraw/zinc/30/15/04/379301504.db2.gz OKLPOXIQGAKZTQ-UHFFFAOYSA-N 0 0 292.345 2.991 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000334441184 379305477 /nfs/dbraw/zinc/30/54/77/379305477.db2.gz ZEFZVTVPGRLANW-LBPRGKRZSA-N 0 0 262.309 2.918 20 5 CFBDRN C[C@H](NC(=O)N1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000334520289 379443050 /nfs/dbraw/zinc/44/30/50/379443050.db2.gz XHTNRUWKRULGAR-VIFPVBQESA-N 0 0 299.277 2.706 20 5 CFBDRN C[C@@H](NC(=O)N1CCC=C(F)C1)c1ccccc1[N+](=O)[O-] ZINC000335494146 379398286 /nfs/dbraw/zinc/39/82/86/379398286.db2.gz WQXKJJFXXUDSHA-SNVBAGLBSA-N 0 0 293.298 2.925 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000171833019 379415291 /nfs/dbraw/zinc/41/52/91/379415291.db2.gz ZJWYSYNLKJGBAM-LLVKDONJSA-N 0 0 279.340 2.782 20 5 CFBDRN CCC1CN(C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000334510728 379425640 /nfs/dbraw/zinc/42/56/40/379425640.db2.gz NSPHUCWKAODHIL-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC[C@@H]1C1CC1 ZINC000334524496 379452094 /nfs/dbraw/zinc/45/20/94/379452094.db2.gz DQABBIFUMRFIBB-GFCCVEGCSA-N 0 0 280.711 2.873 20 5 CFBDRN CC(C)C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000266759567 190279338 /nfs/dbraw/zinc/27/93/38/190279338.db2.gz ZEZIKADIYMXIJP-SNVBAGLBSA-N 0 0 266.297 2.696 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC1CC(F)(F)C1 ZINC000335542892 379482232 /nfs/dbraw/zinc/48/22/32/379482232.db2.gz RQAISEBVZLNNJT-UHFFFAOYSA-N 0 0 284.262 2.739 20 5 CFBDRN CN(C[C@@H]1CCC[C@H]1O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432324525 383737118 /nfs/dbraw/zinc/73/71/18/383737118.db2.gz WGNCFIKRVBOLAR-JOYOIKCWSA-N 0 0 284.743 2.845 20 5 CFBDRN C[C@@H]1CSCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000172334216 379545320 /nfs/dbraw/zinc/54/53/20/379545320.db2.gz KKYNMWHJMDTKFO-SECBINFHSA-N 0 0 281.337 2.564 20 5 CFBDRN CSCC(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000172361579 379549218 /nfs/dbraw/zinc/54/92/18/379549218.db2.gz RWSBVSPZWRLXRV-UHFFFAOYSA-N 0 0 275.713 2.825 20 5 CFBDRN C[C@H](NC(=O)NCc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000172181357 379511820 /nfs/dbraw/zinc/51/18/20/379511820.db2.gz HBASIRCCOPCFJO-NSHDSACASA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@@H]1CSCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000172374399 379551145 /nfs/dbraw/zinc/55/11/45/379551145.db2.gz ZBKVLNWITGWWQY-MRVPVSSYSA-N 0 0 256.302 2.676 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000432333829 383737948 /nfs/dbraw/zinc/73/79/48/383737948.db2.gz HQCXVTWQPKVQHM-MRVPVSSYSA-N 0 0 286.715 2.859 20 5 CFBDRN COc1cc(C(=O)N2C[C@@H](C)CC2(C)C)ccc1[N+](=O)[O-] ZINC000266894684 190288228 /nfs/dbraw/zinc/28/82/28/190288228.db2.gz GEIJSFUANCZQHN-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H](C(=O)OC(C)(C)C)N(C)Cc1cccc([N+](=O)[O-])c1 ZINC000172530075 379591671 /nfs/dbraw/zinc/59/16/71/379591671.db2.gz JDVCMRNZGXOVIL-NSHDSACASA-N 0 0 294.351 2.757 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1CC=CC1 ZINC000172549649 379594293 /nfs/dbraw/zinc/59/42/93/379594293.db2.gz ZIGFGXGOGCRZPG-UHFFFAOYSA-N 0 0 260.293 2.519 20 5 CFBDRN CN(Cc1ccon1)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000266923534 190291060 /nfs/dbraw/zinc/29/10/60/190291060.db2.gz JDENHJCWNNAPOP-UHFFFAOYSA-N 0 0 281.699 2.868 20 5 CFBDRN CCn1nc(C)c(NC(=O)c2ccc([N+](=O)[O-])s2)c1C ZINC000172812134 379651211 /nfs/dbraw/zinc/65/12/11/379651211.db2.gz ZCQKQBDRYYNBPH-UHFFFAOYSA-N 0 0 294.336 2.742 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000172750469 379640211 /nfs/dbraw/zinc/64/02/11/379640211.db2.gz WPKRBOLFGMYQNF-YPMHNXCESA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@](C)(O)c1ccco1 ZINC000267102908 190303686 /nfs/dbraw/zinc/30/36/86/190303686.db2.gz WZILXWQGVNTBBO-CQSZACIVSA-N 0 0 276.292 2.816 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000172638518 379613113 /nfs/dbraw/zinc/61/31/13/379613113.db2.gz YVOYDDSYRSBVAL-RYUDHWBXSA-N 0 0 276.336 2.784 20 5 CFBDRN COC(=O)c1cc(OCCCOC(C)C)ccc1[N+](=O)[O-] ZINC000172696475 379625947 /nfs/dbraw/zinc/62/59/47/379625947.db2.gz BOPFPKGMFBOHGL-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN O=C(N[C@H]1CC[C@@H](F)C1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000335671757 379695152 /nfs/dbraw/zinc/69/51/52/379695152.db2.gz SLMQSSUOUHWKIU-SFYZADRCSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2c(C)cc(C)cc2C)c1[N+](=O)[O-] ZINC000267228578 190313480 /nfs/dbraw/zinc/31/34/80/190313480.db2.gz KLUQUUZMBHMYEY-UHFFFAOYSA-N 0 0 288.307 2.804 20 5 CFBDRN CCS[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000267236596 190313958 /nfs/dbraw/zinc/31/39/58/190313958.db2.gz KBTPPAPRYZPIAJ-NXEZZACHSA-N 0 0 297.380 2.717 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335674768 379701588 /nfs/dbraw/zinc/70/15/88/379701588.db2.gz PSLWVDIFHZCDFV-CMPLNLGQSA-N 0 0 274.320 2.526 20 5 CFBDRN CC(C)[C@@H](O)CCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432342776 383739136 /nfs/dbraw/zinc/73/91/36/383739136.db2.gz NOEFGLWKSAABAX-AWEZNQCLSA-N 0 0 289.335 2.962 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC(C)(C)CCO ZINC000267118518 190304852 /nfs/dbraw/zinc/30/48/52/190304852.db2.gz ZXQQGOGGAFDGPK-UHFFFAOYSA-N 0 0 282.340 2.562 20 5 CFBDRN Cc1cc[nH]c1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000335683011 379715626 /nfs/dbraw/zinc/71/56/26/379715626.db2.gz OAFUTRURAURLHD-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000335686123 379720446 /nfs/dbraw/zinc/72/04/46/379720446.db2.gz IEOXOCQDMCNJES-LLVKDONJSA-N 0 0 262.309 2.775 20 5 CFBDRN CCSCC[C@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000173297990 379722445 /nfs/dbraw/zinc/72/24/45/379722445.db2.gz OTZNKTMBXSJSEA-QMMMGPOBSA-N 0 0 288.394 2.918 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC2(CCC2)C1 ZINC000335688412 379723938 /nfs/dbraw/zinc/72/39/38/379723938.db2.gz JLDCDSBPVDOZLA-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN CCOCCSCCc1ccc([N+](=O)[O-])cc1 ZINC000173746553 379772552 /nfs/dbraw/zinc/77/25/52/379772552.db2.gz NGYPKGBTOJHNJW-UHFFFAOYSA-N 0 0 255.339 2.907 20 5 CFBDRN CCC[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OCC ZINC000173964326 379808942 /nfs/dbraw/zinc/80/89/42/379808942.db2.gz AWZIMFVDZHBPHR-SMDDNHRTSA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCC(C)(C)C1 ZINC000173812060 379782830 /nfs/dbraw/zinc/78/28/30/379782830.db2.gz UOBROOUCAMXMQO-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1C ZINC000174123782 379831694 /nfs/dbraw/zinc/83/16/94/379831694.db2.gz UDPXBVFDBFZQJW-SECBINFHSA-N 0 0 279.296 2.620 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@@H]2CCC[C@@H]21 ZINC000174266626 379853825 /nfs/dbraw/zinc/85/38/25/379853825.db2.gz VZKOFPMXZQWTLI-JSGCOSHPSA-N 0 0 288.347 2.928 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3cc(Cl)cc([N+](=O)[O-])c3)C[C@@H]21 ZINC000174052608 379821124 /nfs/dbraw/zinc/82/11/24/379821124.db2.gz PUFQDWCRDCPNNJ-TXEJJXNPSA-N 0 0 294.738 2.976 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)NCC(F)F)cc1[N+](=O)[O-] ZINC000174559449 379909372 /nfs/dbraw/zinc/90/93/72/379909372.db2.gz JKXVCISRNHTXMG-UHFFFAOYSA-N 0 0 286.278 2.887 20 5 CFBDRN C[C@@H]1CC[C@]2(CCN(C(=O)c3ccc([N+](=O)[O-])o3)C2)C1 ZINC000334748637 379876659 /nfs/dbraw/zinc/87/66/59/379876659.db2.gz WKOYDULZPJXNIC-YGRLFVJLSA-N 0 0 278.308 2.840 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335785197 379884019 /nfs/dbraw/zinc/88/40/19/379884019.db2.gz QDXZWZXCUMJKFO-DTWKUNHWSA-N 0 0 281.287 2.996 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335785752 379885265 /nfs/dbraw/zinc/88/52/65/379885265.db2.gz BSOALFSGYFKZTR-YUMQZZPRSA-N 0 0 267.260 2.749 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000175001665 379976972 /nfs/dbraw/zinc/97/69/72/379976972.db2.gz MTSWGSSKAZWFMZ-CYBMUJFWSA-N 0 0 276.336 2.832 20 5 CFBDRN COc1cc(N2CCS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000174728281 379931967 /nfs/dbraw/zinc/93/19/67/379931967.db2.gz DVYDTGDGWGQMTF-VIFPVBQESA-N 0 0 268.338 2.545 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000267686567 190347239 /nfs/dbraw/zinc/34/72/39/190347239.db2.gz ATGVPQGCQLZBJI-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000335825813 379953279 /nfs/dbraw/zinc/95/32/79/379953279.db2.gz DVVHNEJKJVQSJH-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC1CC(C)C1 ZINC000267805986 190355991 /nfs/dbraw/zinc/35/59/91/190355991.db2.gz YOXYEXVPHSMTIV-UHFFFAOYSA-N 0 0 279.296 2.523 20 5 CFBDRN CC1(CNC(=O)CSc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000175115267 379999652 /nfs/dbraw/zinc/99/96/52/379999652.db2.gz ZPVMQPNYFNAKQU-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN CSC(C)(C)CNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000175172344 380011733 /nfs/dbraw/zinc/01/17/33/380011733.db2.gz HVPAOGMUSQBJCJ-UHFFFAOYSA-N 0 0 297.380 2.536 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCS[C@H](C)C1 ZINC000175179162 380012905 /nfs/dbraw/zinc/01/29/05/380012905.db2.gz KPCLBENHGWKSIY-LLVKDONJSA-N 0 0 296.392 2.931 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000267735800 190351433 /nfs/dbraw/zinc/35/14/33/190351433.db2.gz KQXNXSCAHYFMRO-AOOOYVTPSA-N 0 0 263.297 2.715 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@H]1F)c1ccc([N+](=O)[O-])cc1F ZINC000335212944 380016784 /nfs/dbraw/zinc/01/67/84/380016784.db2.gz IXMARZQTTFIZAJ-ZYHUDNBSSA-N 0 0 284.262 2.744 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000267742791 190351968 /nfs/dbraw/zinc/35/19/68/190351968.db2.gz WYABVXLCICNZRK-PHIMTYICSA-N 0 0 262.309 2.631 20 5 CFBDRN CC(=O)[C@H](C)S[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000175199162 380019464 /nfs/dbraw/zinc/01/94/64/380019464.db2.gz BINLACYDRFZZSB-UWVGGRQHSA-N 0 0 296.348 2.633 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)NC2CCC2)cc1[N+](=O)[O-] ZINC000267759059 190353072 /nfs/dbraw/zinc/35/30/72/190353072.db2.gz QDZHCIHDHGZMAF-SNVBAGLBSA-N 0 0 277.324 2.816 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000175263030 380032033 /nfs/dbraw/zinc/03/20/33/380032033.db2.gz VITZTVVKTLQYSV-RYUDHWBXSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000335232296 380051027 /nfs/dbraw/zinc/05/10/27/380051027.db2.gz IPZZDGVQAVDUOE-JGPRNRPPSA-N 0 0 275.308 2.823 20 5 CFBDRN O=C(N[C@H]1CC[C@@H](F)C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000335892305 380061681 /nfs/dbraw/zinc/06/16/81/380061681.db2.gz DXGMBGSYKWHNTD-BDAKNGLRSA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H]1CF ZINC000335242712 380068483 /nfs/dbraw/zinc/06/84/83/380068483.db2.gz ZCHKQFVFKFHDNO-SNVBAGLBSA-N 0 0 285.250 2.700 20 5 CFBDRN C[C@H]1CC/C(=C\C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000267931963 190364072 /nfs/dbraw/zinc/36/40/72/190364072.db2.gz FRPRKWKLYDEGLH-OWRWYXLESA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@]1(F)CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc32)C1 ZINC000335907664 380088289 /nfs/dbraw/zinc/08/82/89/380088289.db2.gz CZUFXQFGRZOSOA-AWEZNQCLSA-N 0 0 291.282 2.650 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1(F)F ZINC000335879703 380043180 /nfs/dbraw/zinc/04/31/80/380043180.db2.gz SABXFMDKGMHRTA-SECBINFHSA-N 0 0 284.262 2.712 20 5 CFBDRN CC[C@H](OC)C(=O)O[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000267982284 190367148 /nfs/dbraw/zinc/36/71/48/190367148.db2.gz ONGHUWJSWFNQTA-GWCFXTLKSA-N 0 0 281.308 2.932 20 5 CFBDRN CCC1(NC(=O)NCCc2ccccc2[N+](=O)[O-])CCC1 ZINC000268081793 190374903 /nfs/dbraw/zinc/37/49/03/190374903.db2.gz YKMBJIKLFDJKPC-UHFFFAOYSA-N 0 0 291.351 2.769 20 5 CFBDRN C[C@H](N(C)C(=O)COc1ccc([N+](=O)[O-])cc1)C1(C)CC1 ZINC000175610250 380098748 /nfs/dbraw/zinc/09/87/48/380098748.db2.gz ZIHPRRDZZKDCRZ-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H](C[C@@H](O)c1ccccc1)Nc1ccc([N+](=O)[O-])cn1 ZINC000268132935 190379550 /nfs/dbraw/zinc/37/95/50/190379550.db2.gz AXHHKRXYBAIBAG-SMDDNHRTSA-N 0 0 287.319 2.914 20 5 CFBDRN CCc1n[nH]c(C(=O)NC2CCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000175648145 380106744 /nfs/dbraw/zinc/10/67/44/380106744.db2.gz DZWVSMGRRFJDCK-UHFFFAOYSA-N 0 0 294.355 2.579 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3CC[C@@H](F)C3)cc2N1 ZINC000340871852 284818282 /nfs/dbraw/zinc/81/82/82/284818282.db2.gz IJDUVGPMMLLOIA-ZJUUUORDSA-N 0 0 293.298 2.782 20 5 CFBDRN Cc1nc(NC(=O)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000175667520 380110329 /nfs/dbraw/zinc/11/03/29/380110329.db2.gz STPIZVJXRXOOIB-UHFFFAOYSA-N 0 0 263.297 2.817 20 5 CFBDRN CN(CCOCc1ccccc1)c1ncccc1[N+](=O)[O-] ZINC000268226298 190387894 /nfs/dbraw/zinc/38/78/94/190387894.db2.gz KVKDSXKMAOIUQP-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN Cc1cccc2c1CCN2C(=O)c1cccc([N+](=O)[O-])c1N ZINC000176263732 380205794 /nfs/dbraw/zinc/20/57/94/380205794.db2.gz FMKPPWCHVFNMJZ-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCO[C@H]1CCOC1 ZINC000268250936 190389652 /nfs/dbraw/zinc/38/96/52/190389652.db2.gz PHDCSPMPUHMPFT-ZDUSSCGKSA-N 0 0 280.324 2.511 20 5 CFBDRN CN(c1ccc(F)cc1[N+](=O)[O-])C1CCC(CO)CC1 ZINC000268262873 190390981 /nfs/dbraw/zinc/39/09/81/190390981.db2.gz LHDLZGQRCHVBQG-UHFFFAOYSA-N 0 0 282.315 2.721 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000268259680 190391105 /nfs/dbraw/zinc/39/11/05/190391105.db2.gz KGOUXQDCBTVNKC-LBPRGKRZSA-N 0 0 282.315 2.985 20 5 CFBDRN COC[C@@H](C)CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000176225244 380197586 /nfs/dbraw/zinc/19/75/86/380197586.db2.gz HJFDDHRORNAMRO-VIFPVBQESA-N 0 0 295.339 2.616 20 5 CFBDRN CC(C)CNC(=O)[C@H](C)SCc1ccc([N+](=O)[O-])cc1 ZINC000176241747 380201591 /nfs/dbraw/zinc/20/15/91/380201591.db2.gz KOODXQAZFIMGPD-NSHDSACASA-N 0 0 296.392 2.989 20 5 CFBDRN CC(C)CNC(=O)[C@@H](C)SCc1ccc([N+](=O)[O-])cc1 ZINC000176241733 380202080 /nfs/dbraw/zinc/20/20/80/380202080.db2.gz KOODXQAZFIMGPD-LLVKDONJSA-N 0 0 296.392 2.989 20 5 CFBDRN COc1csc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000176392665 380226264 /nfs/dbraw/zinc/22/62/64/380226264.db2.gz AOSWNTBCDWAILF-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN CCC1(C(=O)NCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000176937708 380284554 /nfs/dbraw/zinc/28/45/54/380284554.db2.gz BTFWJKXODJQHIE-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C)[C@H]2C)cc([N+](=O)[O-])c1 ZINC000176989078 380291482 /nfs/dbraw/zinc/29/14/82/380291482.db2.gz IZWNLGTWRIGYAA-WDEREUQCSA-N 0 0 262.309 2.774 20 5 CFBDRN O=C([C@H]1C[C@@H]1C1CC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000177416338 380340664 /nfs/dbraw/zinc/34/06/64/380340664.db2.gz JMTOMZPEJWCBDD-NEPJUHHUSA-N 0 0 272.304 2.530 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CCSC1 ZINC000279067615 194270195 /nfs/dbraw/zinc/27/01/95/194270195.db2.gz FYFKKNKUZWQWRD-JTQLQIEISA-N 0 0 295.364 2.778 20 5 CFBDRN COc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cc1O ZINC000178342681 380439840 /nfs/dbraw/zinc/43/98/40/380439840.db2.gz ODHYBTYGDJHZLU-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1C[C@@H]2CCCC[C@H]2C1 ZINC000178371598 380443912 /nfs/dbraw/zinc/44/39/12/380443912.db2.gz LPAWUZXXKMJTPW-QWRGUYRKSA-N 0 0 292.310 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1C(C)C ZINC000336594679 380520896 /nfs/dbraw/zinc/52/08/96/380520896.db2.gz WBBUXHLZUMPNDX-CYBMUJFWSA-N 0 0 262.309 2.774 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336564038 380472937 /nfs/dbraw/zinc/47/29/37/380472937.db2.gz PWWVMCLHNNCZNB-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CC(=O)c1ccc(OCc2cc(C)no2)c([N+](=O)[O-])c1 ZINC000178657000 380473129 /nfs/dbraw/zinc/47/31/29/380473129.db2.gz BUJCYDXGUMWFAW-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN Cc1nc(C(=O)NCc2cccc([N+](=O)[O-])c2)c(C)s1 ZINC000179257018 380524756 /nfs/dbraw/zinc/52/47/56/380524756.db2.gz XFXFRQGSADDACJ-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2CCOCC2)c(F)c1 ZINC000336815237 380676750 /nfs/dbraw/zinc/67/67/50/380676750.db2.gz ZJDZZOGGRYXRFC-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN CO[C@H](C)CCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000180836149 380703889 /nfs/dbraw/zinc/70/38/89/380703889.db2.gz XJLSRYSGCNHNAK-SECBINFHSA-N 0 0 266.297 2.657 20 5 CFBDRN CCC[C@@H](OC)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000295389940 380824079 /nfs/dbraw/zinc/82/40/79/380824079.db2.gz SZBHQQBCDKNEES-SMDDNHRTSA-N 0 0 294.351 2.896 20 5 CFBDRN CCCC(C)(C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000279192170 194325928 /nfs/dbraw/zinc/32/59/28/194325928.db2.gz JQPAKMSRSZOCLB-UHFFFAOYSA-N 0 0 283.303 2.625 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])c(C)c1)[C@H]1CCCO1 ZINC000279202476 194329610 /nfs/dbraw/zinc/32/96/10/194329610.db2.gz XLLIRHZTYLMPAZ-GXTWGEPZSA-N 0 0 280.324 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN(C1CC1)C1COC1 ZINC000295375432 380816557 /nfs/dbraw/zinc/81/65/57/380816557.db2.gz KBUPKQCVXUYPTM-UHFFFAOYSA-N 0 0 282.727 2.611 20 5 CFBDRN CC(C)(C)CCCCC(=O)NCCn1cc([N+](=O)[O-])cn1 ZINC000287392754 380821141 /nfs/dbraw/zinc/82/11/41/380821141.db2.gz RUJKZIYJVKAZOU-UHFFFAOYSA-N 0 0 296.371 2.514 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000295462816 380870232 /nfs/dbraw/zinc/87/02/32/380870232.db2.gz XRYNAFQCTHFYGQ-NEPJUHHUSA-N 0 0 299.330 2.971 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCC(C)(F)F ZINC000295468110 380875648 /nfs/dbraw/zinc/87/56/48/380875648.db2.gz HSCIUQNUFQYQGG-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN COC[C@@H](C)N(C)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000295469691 380876186 /nfs/dbraw/zinc/87/61/86/380876186.db2.gz IOLKNALETYGCNP-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN Cc1ccc(OCCOCC2CC2)cc1[N+](=O)[O-] ZINC000181728929 380877586 /nfs/dbraw/zinc/87/75/86/380877586.db2.gz GJKHGEJXDWNMHR-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN CC[C@@H](C)CN(C)c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000287462328 380837475 /nfs/dbraw/zinc/83/74/75/380837475.db2.gz SCOBWDWSZVVSEI-SECBINFHSA-N 0 0 290.323 2.726 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@H]2CCOC2)c(Cl)c1 ZINC000401987524 380879226 /nfs/dbraw/zinc/87/92/26/380879226.db2.gz FJVPDUJYAYMUNH-VIFPVBQESA-N 0 0 285.731 2.872 20 5 CFBDRN CC(C)n1cnnc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000287609435 380882387 /nfs/dbraw/zinc/88/23/87/380882387.db2.gz YSTIUQGSNYCCEX-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN O=C([C@@H]1Cc2ccccc21)N1CCc2c1cccc2[N+](=O)[O-] ZINC000358562795 380930183 /nfs/dbraw/zinc/93/01/83/380930183.db2.gz DIEXAKOQISWSMU-CQSZACIVSA-N 0 0 294.310 2.824 20 5 CFBDRN CCC[C@@](C)(O)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000287621556 380889480 /nfs/dbraw/zinc/88/94/80/380889480.db2.gz ZEOXNCYHVFIXHA-GFCCVEGCSA-N 0 0 296.352 2.563 20 5 CFBDRN C[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000279240349 194347760 /nfs/dbraw/zinc/34/77/60/194347760.db2.gz FXFZWMILTDIIIZ-ABAIWWIYSA-N 0 0 276.336 2.594 20 5 CFBDRN Nc1ccc(N2CC[C@@H](CC(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000287645049 380897789 /nfs/dbraw/zinc/89/77/89/380897789.db2.gz HUYPCFSTMJHUDV-QMMMGPOBSA-N 0 0 289.257 2.956 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCO[C@H]2C[C@H]21 ZINC000368047673 380901858 /nfs/dbraw/zinc/90/18/58/380901858.db2.gz ULMSAVQZDAAGES-MNOVXSKESA-N 0 0 288.225 2.591 20 5 CFBDRN Cc1nc(NCCCNc2ccccc2)ncc1[N+](=O)[O-] ZINC000295512418 380906200 /nfs/dbraw/zinc/90/62/00/380906200.db2.gz LQANVWAWFFGMGA-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)[C@H]1C1CC1 ZINC000368210999 380957341 /nfs/dbraw/zinc/95/73/41/380957341.db2.gz FNGKMPFNINSSLX-CYBMUJFWSA-N 0 0 289.335 2.559 20 5 CFBDRN CC(C)OC(C)(C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000410498507 380981399 /nfs/dbraw/zinc/98/13/99/380981399.db2.gz JXKBTZLAPBIJNW-UHFFFAOYSA-N 0 0 292.335 2.688 20 5 CFBDRN CCOCCCNC(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000353891727 380934873 /nfs/dbraw/zinc/93/48/73/380934873.db2.gz FFEIOXDFJCTYIK-UHFFFAOYSA-N 0 0 295.339 2.705 20 5 CFBDRN C[C@]1(F)CCCN(CCOc2ccccc2[N+](=O)[O-])C1 ZINC000295556016 380938828 /nfs/dbraw/zinc/93/88/28/380938828.db2.gz XLWGBQWGRAAMRW-AWEZNQCLSA-N 0 0 282.315 2.798 20 5 CFBDRN Cc1sc(C(=O)NCCC(C)(F)F)cc1[N+](=O)[O-] ZINC000295558921 380940850 /nfs/dbraw/zinc/94/08/50/380940850.db2.gz LEYIUDDNOPGSBC-UHFFFAOYSA-N 0 0 278.280 2.740 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341332733 380941396 /nfs/dbraw/zinc/94/13/96/380941396.db2.gz WWFHLPYTHXUKCG-IUODEOHRSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000287797861 380942109 /nfs/dbraw/zinc/94/21/09/380942109.db2.gz PCNNBIFWDMFKLY-RNCFNFMXSA-N 0 0 278.308 2.634 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cccc(O)c1 ZINC000181943686 380942938 /nfs/dbraw/zinc/94/29/38/380942938.db2.gz VOODYIXJGLMNQI-UHFFFAOYSA-N 0 0 286.287 2.573 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1CC[C@H](CO)CC1 ZINC000287798134 380942832 /nfs/dbraw/zinc/94/28/32/380942832.db2.gz JMQHMINRZRQTRX-MGCOHNPYSA-N 0 0 268.288 2.697 20 5 CFBDRN CCC[C@@](C)(CC)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347107089 380943098 /nfs/dbraw/zinc/94/30/98/380943098.db2.gz DXTULWGOAYLTOD-CYBMUJFWSA-N 0 0 293.327 2.846 20 5 CFBDRN Cn1nc(Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)cc1C1CC1 ZINC000295674758 381009332 /nfs/dbraw/zinc/00/93/32/381009332.db2.gz KROOCBIXUXVWLT-UHFFFAOYSA-N 0 0 298.306 2.826 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347238465 381022236 /nfs/dbraw/zinc/02/22/36/381022236.db2.gz RWFIVQYDSRBGQY-SFYZADRCSA-N 0 0 267.260 2.511 20 5 CFBDRN CSCCCN(C)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000341394017 380989575 /nfs/dbraw/zinc/98/95/75/380989575.db2.gz RYZOBYRACBMLCN-UHFFFAOYSA-N 0 0 269.370 2.796 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1c[nH]c(C2CC2)n1 ZINC000341394038 380990122 /nfs/dbraw/zinc/99/01/22/380990122.db2.gz KLOIZXPVYFLODO-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC[C@@H]2CCCCO2)s1 ZINC000288599536 197123969 /nfs/dbraw/zinc/12/39/69/197123969.db2.gz WGZCUIGVHNBZME-QMMMGPOBSA-N 0 0 294.336 2.581 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2Cc1ccc([N+](=O)[O-])cc1Cl ZINC000289640139 381081352 /nfs/dbraw/zinc/08/13/52/381081352.db2.gz WOJMMCRJVFPLDI-PHIMTYICSA-N 0 0 294.738 2.944 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCCC(F)(F)F ZINC000341552520 381082447 /nfs/dbraw/zinc/08/24/47/381082447.db2.gz UQAUGGFKLSNNPG-UHFFFAOYSA-N 0 0 291.229 2.977 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@@H]1C ZINC000279346581 194394330 /nfs/dbraw/zinc/39/43/30/194394330.db2.gz QEQNNONCNLTGTB-WDEREUQCSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(Nc1cccc2nccn21)c1ccc([N+](=O)[O-])s1 ZINC000358787097 381084709 /nfs/dbraw/zinc/08/47/09/381084709.db2.gz ZDCPXQLGVYOAPX-UHFFFAOYSA-N 0 0 288.288 2.556 20 5 CFBDRN CSCCCC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182436555 381088238 /nfs/dbraw/zinc/08/82/38/381088238.db2.gz LCWJOKCVUBUHQV-UHFFFAOYSA-N 0 0 268.338 2.985 20 5 CFBDRN CC(=Cc1cccc([N+](=O)[O-])c1)CN(C)Cc1cc[nH]n1 ZINC000347374971 381107992 /nfs/dbraw/zinc/10/79/92/381107992.db2.gz YLTBKEGSTVVWOR-XYOKQWHBSA-N 0 0 286.335 2.853 20 5 CFBDRN Cc1ccccc1CCN(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000295843634 381112850 /nfs/dbraw/zinc/11/28/50/381112850.db2.gz BFFDMCXUPVZEMR-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN Cc1cnc(COc2cc(C)c([N+](=O)[O-])cc2F)cn1 ZINC000358838687 381114546 /nfs/dbraw/zinc/11/45/46/381114546.db2.gz FQJQTBWHFBWESB-UHFFFAOYSA-N 0 0 277.255 2.720 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1)c1ncccc1C ZINC000341602449 381115862 /nfs/dbraw/zinc/11/58/62/381115862.db2.gz CGOVYHJTMWNJMH-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CC(C)(C)CCNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341541044 381076694 /nfs/dbraw/zinc/07/66/94/381076694.db2.gz CIKWURTTWMKPSD-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccn(C)c1 ZINC000341631113 381137683 /nfs/dbraw/zinc/13/76/83/381137683.db2.gz GENKYCOWSTYDQM-UHFFFAOYSA-N 0 0 289.291 2.584 20 5 CFBDRN CCCCN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@@H](C)CC ZINC000347424613 381144062 /nfs/dbraw/zinc/14/40/62/381144062.db2.gz DCUFKKKWAOHOLB-NSHDSACASA-N 0 0 281.356 2.974 20 5 CFBDRN Cc1cc(N2CC[C@@H](O)CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000295902295 381145499 /nfs/dbraw/zinc/14/54/99/381145499.db2.gz FDKKNPCYNIGUER-CYBMUJFWSA-N 0 0 278.352 2.891 20 5 CFBDRN CCC[C@H](C)CC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000354220759 381148789 /nfs/dbraw/zinc/14/87/89/381148789.db2.gz RCDBVVAYAFZHKN-LBPRGKRZSA-N 0 0 293.367 2.949 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCC3(C4CC4)CCC3)c21 ZINC000347444471 381154691 /nfs/dbraw/zinc/15/46/91/381154691.db2.gz JYQWTEDNUWHKMI-UHFFFAOYSA-N 0 0 298.346 2.952 20 5 CFBDRN C[C@]1(F)CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000347398557 381124869 /nfs/dbraw/zinc/12/48/69/381124869.db2.gz XTJORQDJWVHJBA-LBPRGKRZSA-N 0 0 256.252 2.668 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCSC1 ZINC000341618504 381128745 /nfs/dbraw/zinc/12/87/45/381128745.db2.gz SLLCCOGITJUALS-SECBINFHSA-N 0 0 296.348 2.685 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC1(C2CC2)CCOCC1 ZINC000368778325 381132042 /nfs/dbraw/zinc/13/20/42/381132042.db2.gz BLMBUNRTPQYTLS-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1)c1ncccc1C ZINC000341626852 381134579 /nfs/dbraw/zinc/13/45/79/381134579.db2.gz BERBDXOXZWWDBI-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000358951309 381172513 /nfs/dbraw/zinc/17/25/13/381172513.db2.gz MBCWXBXLWMDCCL-RXMQYKEDSA-N 0 0 296.270 2.893 20 5 CFBDRN O=C1CC[C@@H](COc2c(Cl)cccc2[N+](=O)[O-])CCN1 ZINC000295950021 381175508 /nfs/dbraw/zinc/17/55/08/381175508.db2.gz IOMKKSPPXOUYFR-SECBINFHSA-N 0 0 298.726 2.543 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1nccc(C(F)F)n1 ZINC000358958891 381176509 /nfs/dbraw/zinc/17/65/09/381176509.db2.gz ZPPLIUAXMPGTDO-UHFFFAOYSA-N 0 0 294.261 2.959 20 5 CFBDRN CCC[C@@H](CNC(=O)/C=C/c1ccccc1[N+](=O)[O-])OC ZINC000295968537 381189035 /nfs/dbraw/zinc/18/90/35/381189035.db2.gz HYMACRYNCRMLKJ-LXKVQUBZSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)nc(C)n1 ZINC000347506772 381192911 /nfs/dbraw/zinc/19/29/11/381192911.db2.gz JLIWTVKHFRKKFJ-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN O=C(NC1(C(F)(F)F)CCC1)c1ccccc1[N+](=O)[O-] ZINC000354307517 381194457 /nfs/dbraw/zinc/19/44/57/381194457.db2.gz FSMKGZYMECVRSE-UHFFFAOYSA-N 0 0 288.225 2.810 20 5 CFBDRN CC1CC(NC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000412171086 381195088 /nfs/dbraw/zinc/19/50/88/381195088.db2.gz SBDGOQYGLGHOKT-FDIUBAFMSA-N 0 0 274.320 2.613 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1nccn1C ZINC000341872769 381197761 /nfs/dbraw/zinc/19/77/61/381197761.db2.gz FMLDXPZTRFSUMF-GHMZBOCLSA-N 0 0 274.324 2.740 20 5 CFBDRN O=C(Nc1ccc(F)cc1F)c1ccc([O-])c([N+](=O)[O-])c1 ZINC000183298132 381252038 /nfs/dbraw/zinc/25/20/38/381252038.db2.gz SZEGPEVDCCYJNW-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN COc1cc(CNc2nc(C)cc(C)c2[N+](=O)[O-])ccn1 ZINC000359079556 381252457 /nfs/dbraw/zinc/25/24/57/381252457.db2.gz CAQRUEIVRVWQSC-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CS[C@H](C)CCC(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000359085179 381255469 /nfs/dbraw/zinc/25/54/69/381255469.db2.gz HKGZUYGBELGZOD-MRVPVSSYSA-N 0 0 283.353 2.768 20 5 CFBDRN CCC[C@](C)(O)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359093899 381261957 /nfs/dbraw/zinc/26/19/57/381261957.db2.gz KJRQRYMABVAAIE-ZDUSSCGKSA-N 0 0 267.329 2.570 20 5 CFBDRN COC[C@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])c1ccco1 ZINC000359097672 381263871 /nfs/dbraw/zinc/26/38/71/381263871.db2.gz QWFNDFFTEPUPQT-NSHDSACASA-N 0 0 291.307 2.999 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@@H]2CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000412350548 381265822 /nfs/dbraw/zinc/26/58/22/381265822.db2.gz YDUKDFSVIWQVOQ-ZYHUDNBSSA-N 0 0 292.335 2.910 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H](C)C(C)(C)O ZINC000296127788 381267375 /nfs/dbraw/zinc/26/73/75/381267375.db2.gz HYYLAGHHURNNLO-JTQLQIEISA-N 0 0 295.339 2.526 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CC ZINC000289933206 381223284 /nfs/dbraw/zinc/22/32/84/381223284.db2.gz WOGCLSGZZYRYDV-UHFFFAOYSA-N 0 0 251.286 2.641 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2c([N+](=O)[O-])ncn2C)cc1F ZINC000342227441 381223615 /nfs/dbraw/zinc/22/36/15/381223615.db2.gz HLJQRBNMZAVMIB-SNVBAGLBSA-N 0 0 292.314 2.627 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccc[nH]2)ccc1[N+](=O)[O-] ZINC000354365556 381228066 /nfs/dbraw/zinc/22/80/66/381228066.db2.gz JKSXLLYXTQMOCM-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCc2nccc(C(F)F)n2)c1 ZINC000342235765 381228768 /nfs/dbraw/zinc/22/87/68/381228768.db2.gz BORUBLZNMXTCNB-UHFFFAOYSA-N 0 0 294.261 2.612 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1F)C1CCC1 ZINC000296054303 381233723 /nfs/dbraw/zinc/23/37/23/381233723.db2.gz UIBHGBPISAWNBS-UHFFFAOYSA-N 0 0 271.219 2.621 20 5 CFBDRN CC(F)(F)CCNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000296070834 381241988 /nfs/dbraw/zinc/24/19/88/381241988.db2.gz ZUFIQLONDNJQDC-VOTSOKGWSA-N 0 0 284.262 2.770 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1CF ZINC000296077616 381245460 /nfs/dbraw/zinc/24/54/60/381245460.db2.gz DDGKGXSBFZKUGN-NSHDSACASA-N 0 0 267.260 2.561 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cccc(CCCO)c2)n1 ZINC000359071803 381248532 /nfs/dbraw/zinc/24/85/32/381248532.db2.gz WFACZGXKERFNOP-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])c([O-])c1)c1ccccc1 ZINC000183290312 381250398 /nfs/dbraw/zinc/25/03/98/381250398.db2.gz XXDUMHPNGIFQGI-UHFFFAOYSA-N 0 0 272.260 2.577 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000296169108 381286329 /nfs/dbraw/zinc/28/63/29/381286329.db2.gz FRKGNZZJCARYGM-NHCYSSNCSA-N 0 0 277.324 2.611 20 5 CFBDRN CC(C)n1cc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)nn1 ZINC000296168927 381286357 /nfs/dbraw/zinc/28/63/57/381286357.db2.gz XBDBAMMTTWNEMS-NSHDSACASA-N 0 0 289.339 2.618 20 5 CFBDRN COC1(CNC(=O)Nc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000183553160 381287620 /nfs/dbraw/zinc/28/76/20/381287620.db2.gz SMYJCGUYRLQKIZ-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN CC1(C)OCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000296179203 381289873 /nfs/dbraw/zinc/28/98/73/381289873.db2.gz CRPYIEJMDBZVCC-NSHDSACASA-N 0 0 254.261 2.713 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2C[C@H](O)Cc1ccccc1 ZINC000183632286 381298818 /nfs/dbraw/zinc/29/88/18/381298818.db2.gz BQRBNBIRBRFDLX-CQSZACIVSA-N 0 0 298.342 2.561 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000354493943 381303235 /nfs/dbraw/zinc/30/32/35/381303235.db2.gz JTPDXPPVIPDFEB-CMPLNLGQSA-N 0 0 276.336 2.787 20 5 CFBDRN O=C(NCCC[C@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000183478262 381274851 /nfs/dbraw/zinc/27/48/51/381274851.db2.gz ZDPBRDBBHQWFAG-GFCCVEGCSA-N 0 0 292.335 2.674 20 5 CFBDRN CC(=O)c1cc(NCCc2cscn2)ccc1[N+](=O)[O-] ZINC000342420889 381323973 /nfs/dbraw/zinc/32/39/73/381323973.db2.gz XLTKFRIBVBJTPI-UHFFFAOYSA-N 0 0 291.332 2.909 20 5 CFBDRN CCc1[nH]ccc1C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000354537305 381326892 /nfs/dbraw/zinc/32/68/92/381326892.db2.gz JVLSUCAXGKIWPT-SNVBAGLBSA-N 0 0 287.319 2.976 20 5 CFBDRN CC[C@@H](O)CCCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000342387501 381309433 /nfs/dbraw/zinc/30/94/33/381309433.db2.gz VVIRGLSZBQQZED-MRVPVSSYSA-N 0 0 296.352 2.563 20 5 CFBDRN C[C@@H](c1c(F)cccc1F)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000354506848 381309883 /nfs/dbraw/zinc/30/98/83/381309883.db2.gz LEQMLMOKSATVFR-QMMMGPOBSA-N 0 0 294.261 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@]23CCOc2ccccc23)nc1 ZINC000369694573 381315330 /nfs/dbraw/zinc/31/53/30/381315330.db2.gz HMSRQCWHGSPZPK-ZBFHGGJFSA-N 0 0 297.314 2.895 20 5 CFBDRN CSc1ccccc1NCc1c([N+](=O)[O-])ncn1C ZINC000347729872 381319606 /nfs/dbraw/zinc/31/96/06/381319606.db2.gz XELQDWQAGHZGNT-UHFFFAOYSA-N 0 0 278.337 2.662 20 5 CFBDRN CC(C)(C(=O)N[C@H]1C[C@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000354574214 381345793 /nfs/dbraw/zinc/34/57/93/381345793.db2.gz UYTFTLQAASNYGO-SCZZXKLOSA-N 0 0 298.289 2.642 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354576822 381347283 /nfs/dbraw/zinc/34/72/83/381347283.db2.gz WNJYKJRXIFNYEU-SFYZADRCSA-N 0 0 290.266 2.768 20 5 CFBDRN CC1(C)CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000342482603 381354316 /nfs/dbraw/zinc/35/43/16/381354316.db2.gz VZSFISPDMRCRTA-SNVBAGLBSA-N 0 0 265.313 2.622 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000347794805 381354390 /nfs/dbraw/zinc/35/43/90/381354390.db2.gz DUQVWNAVPHAMMM-LLVKDONJSA-N 0 0 291.351 2.702 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])C[C@H]1O ZINC000342534546 381379734 /nfs/dbraw/zinc/37/97/34/381379734.db2.gz CGTZHHVSBNSETA-QUBYGPBYSA-N 0 0 291.134 2.719 20 5 CFBDRN CCOC1CC(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000290264227 381362567 /nfs/dbraw/zinc/36/25/67/381362567.db2.gz KVZZKJHANAAEMO-UHFFFAOYSA-N 0 0 278.308 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@@H](CO)C2)c(Cl)c1 ZINC000296373917 381368164 /nfs/dbraw/zinc/36/81/64/381368164.db2.gz SWHDKRADUHHOLY-BDAKNGLRSA-N 0 0 270.716 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2cccc(-n3ccnn3)c2)cc1 ZINC000184296231 381397777 /nfs/dbraw/zinc/39/77/77/381397777.db2.gz MGYBMTMJIJLIEH-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN Cc1cccnc1CCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000296448462 381398972 /nfs/dbraw/zinc/39/89/72/381398972.db2.gz OCBPXPFMOSOEEQ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC1=C(c2ccco2)CN(c2ncc([N+](=O)[O-])cn2)CC1 ZINC000370290138 381421737 /nfs/dbraw/zinc/42/17/37/381421737.db2.gz UBYAJBAKJKQODS-UHFFFAOYSA-N 0 0 286.291 2.662 20 5 CFBDRN CC1(C)COC[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000313142570 270289641 /nfs/dbraw/zinc/28/96/41/270289641.db2.gz REOHMPPFLCJWSI-NSHDSACASA-N 0 0 254.261 2.571 20 5 CFBDRN O=C(NCc1nc2ccccc2o1)c1cccc([N+](=O)[O-])c1 ZINC000354737421 381429147 /nfs/dbraw/zinc/42/91/47/381429147.db2.gz USMUCMZSKZAVOI-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN CC(C)(C)CC(C)(C)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290493119 381450564 /nfs/dbraw/zinc/45/05/64/381450564.db2.gz ABDVMPGBQCEQIP-UHFFFAOYSA-N 0 0 294.355 2.715 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000347956210 381432975 /nfs/dbraw/zinc/43/29/75/381432975.db2.gz LPLGHFXHRDSHDL-NWDGAFQWSA-N 0 0 282.271 2.630 20 5 CFBDRN O=C(Cc1ccccc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347956094 381433069 /nfs/dbraw/zinc/43/30/69/381433069.db2.gz LCYIZMYTFAWJCE-UHFFFAOYSA-N 0 0 274.251 2.915 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000342613055 381434005 /nfs/dbraw/zinc/43/40/05/381434005.db2.gz CKVKQISEBSYRPC-UHFFFAOYSA-N 0 0 253.302 2.574 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CC[C@H](F)C1 ZINC000347962972 381435677 /nfs/dbraw/zinc/43/56/77/381435677.db2.gz IMNDKXJWEQUJCM-NWDGAFQWSA-N 0 0 295.314 2.627 20 5 CFBDRN CC(C)[C@@H]1OCC[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347962574 381436546 /nfs/dbraw/zinc/43/65/46/381436546.db2.gz SHPXDVUWBKENCK-OLZOCXBDSA-N 0 0 296.298 2.734 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347964592 381437862 /nfs/dbraw/zinc/43/78/62/381437862.db2.gz PJMPVRUGZZNELB-SDBXPKJASA-N 0 0 294.282 2.678 20 5 CFBDRN CNc1c(C(=O)N2CC(C)(C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000412779822 381440075 /nfs/dbraw/zinc/44/00/75/381440075.db2.gz KSGKDNXQQVWCCY-JTQLQIEISA-N 0 0 291.351 2.897 20 5 CFBDRN CNc1c(C(=O)N2CC(C)(C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000412779824 381440211 /nfs/dbraw/zinc/44/02/11/381440211.db2.gz KSGKDNXQQVWCCY-SNVBAGLBSA-N 0 0 291.351 2.897 20 5 CFBDRN COc1cccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000347986512 381445965 /nfs/dbraw/zinc/44/59/65/381445965.db2.gz RQDWFTTZLKMHGH-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2OCCc3ccccc32)c1 ZINC000370284507 381419971 /nfs/dbraw/zinc/41/99/71/381419971.db2.gz DERRJOYUXZUXIK-MRXNPFEDSA-N 0 0 299.330 2.903 20 5 CFBDRN Cc1nc(NCCCOC2CCCCC2)ncc1[N+](=O)[O-] ZINC000296612193 381473059 /nfs/dbraw/zinc/47/30/59/381473059.db2.gz UDWBEPLNYANZEN-UHFFFAOYSA-N 0 0 294.355 2.845 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@H]1CF)c1ccc([N+](=O)[O-])cc1F ZINC000359564969 381473383 /nfs/dbraw/zinc/47/33/83/381473383.db2.gz GVSDNHKBAJVDPH-ONGXEEELSA-N 0 0 298.289 2.798 20 5 CFBDRN Cc1ccc(C)c([C@@H]2CCCN2C(=O)[C@@H]2C[C@@H]2[N+](=O)[O-])c1 ZINC000370594144 381473397 /nfs/dbraw/zinc/47/33/97/381473397.db2.gz OKFWFCOTYYOSGT-ILXRZTDVSA-N 0 0 288.347 2.632 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2CCC[C@@H]2C1)c1cc([N+](=O)[O-])c[nH]1 ZINC000359565417 381474233 /nfs/dbraw/zinc/47/42/33/381474233.db2.gz WRYKZUHJXCZSSH-HBNTYKKESA-N 0 0 277.324 2.622 20 5 CFBDRN O=C(NC[C@H]1CCCCS1)c1cccc([N+](=O)[O-])c1 ZINC000342640018 381452181 /nfs/dbraw/zinc/45/21/81/381452181.db2.gz OZUGDZYDQHWVGY-GFCCVEGCSA-N 0 0 280.349 2.610 20 5 CFBDRN CO[C@H](C)CCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000296635984 381484060 /nfs/dbraw/zinc/48/40/60/381484060.db2.gz CWXXXCBKRLGBQQ-SECBINFHSA-N 0 0 299.302 2.589 20 5 CFBDRN NC(=O)c1cccc(N[C@@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000342648420 381454581 /nfs/dbraw/zinc/45/45/81/381454581.db2.gz AVAARVHIZARQCD-MRVPVSSYSA-N 0 0 299.277 2.684 20 5 CFBDRN COC(=O)c1cc(N[C@H](C)[C@H](C)OC)cc(C)c1[N+](=O)[O-] ZINC000290575012 381484152 /nfs/dbraw/zinc/48/41/52/381484152.db2.gz BLOIALOWOLPEDC-ZJUUUORDSA-N 0 0 296.323 2.525 20 5 CFBDRN Cc1nc(N[C@H]2CCc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000296576274 381456184 /nfs/dbraw/zinc/45/61/84/381456184.db2.gz QTHYFVAONGUVKH-ZDUSSCGKSA-N 0 0 284.319 2.663 20 5 CFBDRN Cc1nc(N[C@H](C)Cc2cccs2)ncc1[N+](=O)[O-] ZINC000296638292 381484292 /nfs/dbraw/zinc/48/42/92/381484292.db2.gz WGHWNIYUSVPUOK-MRVPVSSYSA-N 0 0 278.337 2.798 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1nccn1C ZINC000290514350 381460552 /nfs/dbraw/zinc/46/05/52/381460552.db2.gz FOZGRFCLAMTZQZ-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN CCCC[C@@H](CCC)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290525928 381466062 /nfs/dbraw/zinc/46/60/62/381466062.db2.gz CVDOWXVKXKKTIA-SNVBAGLBSA-N 0 0 294.355 2.860 20 5 CFBDRN CCOC1CN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000296690587 381506820 /nfs/dbraw/zinc/50/68/20/381506820.db2.gz JOENGNNXBYSFIL-UHFFFAOYSA-N 0 0 299.714 2.501 20 5 CFBDRN COC[C@@H]1CCN1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000296687347 381506881 /nfs/dbraw/zinc/50/68/81/381506881.db2.gz JESZSLWQTXQRJW-QMMMGPOBSA-N 0 0 299.714 2.501 20 5 CFBDRN CC1(CC(=O)N2CCOc3ccc([N+](=O)[O-])cc3C2)CC1 ZINC000359614639 381509551 /nfs/dbraw/zinc/50/95/51/381509551.db2.gz VQWOZFROBCGIMC-UHFFFAOYSA-N 0 0 290.319 2.506 20 5 CFBDRN CCC(CC)C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359620333 381511417 /nfs/dbraw/zinc/51/14/17/381511417.db2.gz VWLYHUBTCYHYOH-UHFFFAOYSA-N 0 0 292.335 2.752 20 5 CFBDRN Cc1cnc(COc2c(F)cccc2[N+](=O)[O-])o1 ZINC000185012776 381513335 /nfs/dbraw/zinc/51/33/35/381513335.db2.gz XHGJWPFKJMTTRE-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN Cc1nc(NC(=O)C(C)(C)C(F)F)ccc1[N+](=O)[O-] ZINC000348342548 381519405 /nfs/dbraw/zinc/51/94/05/381519405.db2.gz NTMYLGAXCPCZAP-UHFFFAOYSA-N 0 0 273.239 2.528 20 5 CFBDRN CCc1ccc(C(=O)Nc2ccc(=O)[nH]c2)cc1[N+](=O)[O-] ZINC000359627709 381519433 /nfs/dbraw/zinc/51/94/33/381519433.db2.gz YUIQBIJRYISMGI-UHFFFAOYSA-N 0 0 287.275 2.510 20 5 CFBDRN CCc1nc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)c(C)o1 ZINC000342755159 381519518 /nfs/dbraw/zinc/51/95/18/381519518.db2.gz KFTNIQQBNGWHBI-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN CC[C@@H](CNc1ccc([N+](=O)[O-])c2cnccc12)OC ZINC000290590278 381491476 /nfs/dbraw/zinc/49/14/76/381491476.db2.gz CYJRHLBHFGROLL-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000354872439 381499341 /nfs/dbraw/zinc/49/93/41/381499341.db2.gz AVUIUFBMVZCFHL-MRVPVSSYSA-N 0 0 288.298 2.881 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000296727374 381521132 /nfs/dbraw/zinc/52/11/32/381521132.db2.gz GRIKYFFWOXXCRL-QWRGUYRKSA-N 0 0 277.324 2.850 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCCO[C@H](CF)C1 ZINC000413475549 381544791 /nfs/dbraw/zinc/54/47/91/381544791.db2.gz CRBRZWWWPHQRIU-SNVBAGLBSA-N 0 0 288.706 2.813 20 5 CFBDRN CCC1(NC(=O)Nc2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000296735751 381524867 /nfs/dbraw/zinc/52/48/67/381524867.db2.gz HGPGPTZESBTFPF-UHFFFAOYSA-N 0 0 279.296 2.668 20 5 CFBDRN CCCOC(=O)[C@H](C)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296735357 381524970 /nfs/dbraw/zinc/52/49/70/381524970.db2.gz OJZXLVNRGFNMGL-QWRGUYRKSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000185075434 381530101 /nfs/dbraw/zinc/53/01/01/381530101.db2.gz LRLJQSYCVTZTII-OLZOCXBDSA-N 0 0 262.309 2.503 20 5 CFBDRN Cc1c(CN[C@H](CCO)c2ccco2)cccc1[N+](=O)[O-] ZINC000185092351 381533548 /nfs/dbraw/zinc/53/35/48/381533548.db2.gz XGKDBMPNRMXBNQ-CYBMUJFWSA-N 0 0 290.319 2.710 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CC1(C)C ZINC000289101387 197234823 /nfs/dbraw/zinc/23/48/23/197234823.db2.gz RQXIHOFHUQQFJH-GFCCVEGCSA-N 0 0 276.336 2.642 20 5 CFBDRN CN(Cc1nccs1)c1c(F)cccc1[N+](=O)[O-] ZINC000342845556 381582478 /nfs/dbraw/zinc/58/24/78/381582478.db2.gz GNMQTWKOXOXLEQ-UHFFFAOYSA-N 0 0 267.285 2.827 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H](CC)COC)ccc1[N+](=O)[O-] ZINC000290796248 381554321 /nfs/dbraw/zinc/55/43/21/381554321.db2.gz PALIDGQMMLCPSL-JTQLQIEISA-N 0 0 296.323 2.608 20 5 CFBDRN C[C@@H](C1CC1)N(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000298339266 381583137 /nfs/dbraw/zinc/58/31/37/381583137.db2.gz QBDAXHLMKWHRKQ-NSHDSACASA-N 0 0 288.347 2.927 20 5 CFBDRN Cc1ccncc1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000355006384 381562647 /nfs/dbraw/zinc/56/26/47/381562647.db2.gz ZXPXNQYWJKOQFU-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@@H](C2CC2)C1 ZINC000290923875 381599962 /nfs/dbraw/zinc/59/99/62/381599962.db2.gz OBGVQWSWIONCAU-CYBMUJFWSA-N 0 0 274.320 2.857 20 5 CFBDRN COc1cc(CN2CC[C@@H]2C(C)C)c([N+](=O)[O-])cc1OC ZINC000342860426 381590010 /nfs/dbraw/zinc/59/00/10/381590010.db2.gz JLADJWPKAFMSEW-GFCCVEGCSA-N 0 0 294.351 2.842 20 5 CFBDRN COCCC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000185301375 381590185 /nfs/dbraw/zinc/59/01/85/381590185.db2.gz UMMYRVQPQOJJKN-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1cc2c(cn1)CCC2 ZINC000359750363 381595987 /nfs/dbraw/zinc/59/59/87/381595987.db2.gz ZJJRUKYKAHZXTB-UHFFFAOYSA-N 0 0 297.314 2.660 20 5 CFBDRN CCOCCCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000290958533 381610627 /nfs/dbraw/zinc/61/06/27/381610627.db2.gz MZJFWVUELCOLQH-UHFFFAOYSA-N 0 0 256.277 2.881 20 5 CFBDRN CCc1cncc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000291007948 381628771 /nfs/dbraw/zinc/62/87/71/381628771.db2.gz APCPKSOQUQNQOT-UHFFFAOYSA-N 0 0 290.323 2.682 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1N1CC(CCO)C1 ZINC000342916071 381614050 /nfs/dbraw/zinc/61/40/50/381614050.db2.gz HTTKAUWHQMFOFS-UHFFFAOYSA-N 0 0 291.134 2.720 20 5 CFBDRN CCc1csc(NC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000355116000 381623975 /nfs/dbraw/zinc/62/39/75/381623975.db2.gz WUXIMRGSJIACAR-UHFFFAOYSA-N 0 0 283.334 2.928 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CC(C)C ZINC000298462271 381636128 /nfs/dbraw/zinc/63/61/28/381636128.db2.gz VHEGBPHAKDWIOJ-UHFFFAOYSA-N 0 0 250.298 2.631 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1(C(F)F)CC1 ZINC000359830590 381630222 /nfs/dbraw/zinc/63/02/22/381630222.db2.gz KBTPQAJKPCVJJK-UHFFFAOYSA-N 0 0 257.240 2.755 20 5 CFBDRN COC1CCC(C)(CNc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000359828925 381630352 /nfs/dbraw/zinc/63/03/52/381630352.db2.gz HCTZIZXCZNZZPI-UHFFFAOYSA-N 0 0 293.367 2.727 20 5 CFBDRN CCCN(CC)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000298466810 381639239 /nfs/dbraw/zinc/63/92/39/381639239.db2.gz XMKBQPVATILCDW-UHFFFAOYSA-N 0 0 279.340 2.533 20 5 CFBDRN Cc1cc(CNc2cc(C)c([N+](=O)[O-])cc2F)on1 ZINC000291035532 381642153 /nfs/dbraw/zinc/64/21/53/381642153.db2.gz XPQOHRXTXLFMFQ-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1c1ncccc1[N+](=O)[O-] ZINC000359867881 381650763 /nfs/dbraw/zinc/65/07/63/381650763.db2.gz BQZVOSBXLOEOEP-HTQZYQBOSA-N 0 0 275.230 2.767 20 5 CFBDRN O=C(N[C@H]1CCCCC12CCCC2)c1[nH]ncc1[N+](=O)[O-] ZINC000291218028 381711750 /nfs/dbraw/zinc/71/17/50/381711750.db2.gz NFXDOEPNXWTWMD-NSHDSACASA-N 0 0 292.339 2.551 20 5 CFBDRN COc1ccc(NC(=O)c2cnccc2C)cc1[N+](=O)[O-] ZINC000359991037 381715912 /nfs/dbraw/zinc/71/59/12/381715912.db2.gz GVSKDKMFDOTFBA-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cn1cc(NC(=O)c2csc([N+](=O)[O-])c2)c(C2CC2)n1 ZINC000291159065 381689770 /nfs/dbraw/zinc/68/97/70/381689770.db2.gz ZYQRZULMSPLDLR-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCCCCF ZINC000359952226 381696214 /nfs/dbraw/zinc/69/62/14/381696214.db2.gz KCWMKFMIJUGPRG-UHFFFAOYSA-N 0 0 284.287 2.851 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCCCF)CCN2 ZINC000359951969 381696390 /nfs/dbraw/zinc/69/63/90/381696390.db2.gz GWGCXVBEPLUFEA-UHFFFAOYSA-N 0 0 253.277 2.724 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCO[C@H]2CCCCO2)n1 ZINC000359953599 381696573 /nfs/dbraw/zinc/69/65/73/381696573.db2.gz XXBNVRHRUXFOCA-LBPRGKRZSA-N 0 0 295.339 2.562 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCCCF ZINC000359966987 381702309 /nfs/dbraw/zinc/70/23/09/381702309.db2.gz TYYLRULQNXUFSB-UHFFFAOYSA-N 0 0 256.277 2.903 20 5 CFBDRN O=C(Nc1ccn2ccnc2c1)c1csc([N+](=O)[O-])c1 ZINC000355288854 381729255 /nfs/dbraw/zinc/72/92/55/381729255.db2.gz KKIHGTNTSVMJHR-UHFFFAOYSA-N 0 0 288.288 2.556 20 5 CFBDRN CN(Cc1cc[nH]n1)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000360019366 381731375 /nfs/dbraw/zinc/73/13/75/381731375.db2.gz YBDZNIDWGYLWJD-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1(CCF)CC1 ZINC000291290583 381736776 /nfs/dbraw/zinc/73/67/76/381736776.db2.gz ZSQIQEHZZZIMTC-UHFFFAOYSA-N 0 0 266.272 2.982 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000360038030 381743892 /nfs/dbraw/zinc/74/38/92/381743892.db2.gz OXEGMMBTDBSBCH-ZYHUDNBSSA-N 0 0 262.309 2.647 20 5 CFBDRN CCC[C@@H]1C[C@@H]1C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000360040056 381745933 /nfs/dbraw/zinc/74/59/33/381745933.db2.gz WPPSWBMMPYVTSF-NEPJUHHUSA-N 0 0 292.335 2.656 20 5 CFBDRN CCOC(=O)C1(CNc2cc(C)c([N+](=O)[O-])cc2F)CC1 ZINC000360050123 381751413 /nfs/dbraw/zinc/75/14/13/381751413.db2.gz JCLZJGNLFNZRNT-UHFFFAOYSA-N 0 0 296.298 2.798 20 5 CFBDRN CCN(Cc1ccc(OC)c([N+](=O)[O-])c1)CC(F)F ZINC000185744778 381721146 /nfs/dbraw/zinc/72/11/46/381721146.db2.gz DWLQWYKIBPPEON-UHFFFAOYSA-N 0 0 274.267 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCc3c[nH]nc3C2)c(Cl)c1 ZINC000371928171 381723578 /nfs/dbraw/zinc/72/35/78/381723578.db2.gz KHEGMAKCVJPOGE-SECBINFHSA-N 0 0 292.726 2.941 20 5 CFBDRN COc1ccc(F)cc1[C@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000298722752 381725438 /nfs/dbraw/zinc/72/54/38/381725438.db2.gz PEBCWSNOLXWZKU-QMMMGPOBSA-N 0 0 294.286 2.649 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@H](C2CC2)C1 ZINC000372265710 381781476 /nfs/dbraw/zinc/78/14/76/381781476.db2.gz BJLJRXTZRGAHEW-AWEZNQCLSA-N 0 0 262.309 2.518 20 5 CFBDRN CCCCNC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000185939641 381782566 /nfs/dbraw/zinc/78/25/66/381782566.db2.gz JUFCEJYQTCYHBI-UHFFFAOYSA-N 0 0 268.338 2.847 20 5 CFBDRN O=C(Cc1ccoc1)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000185943385 381782677 /nfs/dbraw/zinc/78/26/77/381782677.db2.gz JROHECQVHLOMFS-UHFFFAOYSA-N 0 0 294.694 2.700 20 5 CFBDRN COC[C@@H]1CCCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000292342653 381800304 /nfs/dbraw/zinc/80/03/04/381800304.db2.gz BLUSLIWQVPXVLX-LLVKDONJSA-N 0 0 282.315 2.905 20 5 CFBDRN CCC(CC)NC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000298873431 381771677 /nfs/dbraw/zinc/77/16/77/381771677.db2.gz OTBGOMOJXIANMN-UHFFFAOYSA-N 0 0 295.339 2.591 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@](C)(OC)C1(C)C ZINC000361003734 381799510 /nfs/dbraw/zinc/79/95/10/381799510.db2.gz UTWNNKRYGDTBGQ-YGRLFVJLSA-N 0 0 296.371 2.735 20 5 CFBDRN Cc1cc(C(=O)N2Cc3ccccc3CO2)ccc1[N+](=O)[O-] ZINC000360404195 381779655 /nfs/dbraw/zinc/77/96/55/381779655.db2.gz CAKNKQDVJBMTRS-UHFFFAOYSA-N 0 0 298.298 2.991 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCO[C@H](C2CC2)C1 ZINC000372265586 381781040 /nfs/dbraw/zinc/78/10/40/381781040.db2.gz AXIICSRARCMCTK-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1nc(NC(=O)C(C)(C)CC(F)F)ccc1[N+](=O)[O-] ZINC000415275088 381822170 /nfs/dbraw/zinc/82/21/70/381822170.db2.gz YABOCUKDIMNKJN-UHFFFAOYSA-N 0 0 287.266 2.918 20 5 CFBDRN Cc1ccoc1CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000343597997 381827545 /nfs/dbraw/zinc/82/75/45/381827545.db2.gz BMEIVWSNVGYJJT-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CSC[C@@H]2C)cc1[N+](=O)[O-] ZINC000356216163 381831070 /nfs/dbraw/zinc/83/10/70/381831070.db2.gz STJZASDINYSKDF-JOYOIKCWSA-N 0 0 294.376 2.639 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC2(C)C)c1 ZINC000299128966 381833414 /nfs/dbraw/zinc/83/34/14/381833414.db2.gz MECFTQHONLPCQJ-NSHDSACASA-N 0 0 280.349 2.845 20 5 CFBDRN Cc1ccc([C@@H]2CCCCN2C(=O)[C@@H]2C[C@@H]2[N+](=O)[O-])cc1 ZINC000361479593 381835107 /nfs/dbraw/zinc/83/51/07/381835107.db2.gz VLYIXHBUYWRSQP-ILXRZTDVSA-N 0 0 288.347 2.714 20 5 CFBDRN CC(C)(C)CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000299132631 381835719 /nfs/dbraw/zinc/83/57/19/381835719.db2.gz KZYCWOPZXACLEH-UHFFFAOYSA-N 0 0 275.308 2.852 20 5 CFBDRN CCCCCC[C@H](C)NC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000299146932 381841448 /nfs/dbraw/zinc/84/14/48/381841448.db2.gz WAGPPTQRHWKAGJ-LBPRGKRZSA-N 0 0 296.371 2.657 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000349054413 381806022 /nfs/dbraw/zinc/80/60/22/381806022.db2.gz QGNDLNGYWPIWIT-MRVPVSSYSA-N 0 0 298.389 2.552 20 5 CFBDRN CC(C)(C)c1n[nH]cc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000292637017 381812997 /nfs/dbraw/zinc/81/29/97/381812997.db2.gz JCOUQYAXQPDJTE-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN Cc1noc([C@H]2CCCN(c3ccc([N+](=O)[O-])cc3)C2)n1 ZINC000299080106 381817579 /nfs/dbraw/zinc/81/75/79/381817579.db2.gz RYIMOCKONKWBKS-NSHDSACASA-N 0 0 288.307 2.670 20 5 CFBDRN CC/C=C(\C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000299087835 381819507 /nfs/dbraw/zinc/81/95/07/381819507.db2.gz YIUDNHYRBFJUBV-NYYWCZLTSA-N 0 0 262.309 2.610 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)[C@H]1C[C@@H]1C1CC1 ZINC000186304070 381877537 /nfs/dbraw/zinc/87/75/37/381877537.db2.gz OIKZCHOZJKCJTH-NEPJUHHUSA-N 0 0 294.738 2.911 20 5 CFBDRN CCCC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186321749 381881828 /nfs/dbraw/zinc/88/18/28/381881828.db2.gz GYPDJWAKORFPDB-UHFFFAOYSA-N 0 0 256.689 2.665 20 5 CFBDRN COCC1(CCNc2ccc(N)cc2[N+](=O)[O-])CCC1 ZINC000292812137 381845844 /nfs/dbraw/zinc/84/58/44/381845844.db2.gz OFPYXAHGZDBLNA-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CSC[C@H]2C)c([N+](=O)[O-])c1 ZINC000343632553 381855159 /nfs/dbraw/zinc/85/51/59/381855159.db2.gz OSQGXZBNFKFGQR-PRHODGIISA-N 0 0 280.349 2.961 20 5 CFBDRN Cc1ccnc(CNc2c3c(ccc2[N+](=O)[O-])NCC3)c1 ZINC000292830708 381855524 /nfs/dbraw/zinc/85/55/24/381855524.db2.gz PQWGYOUZJNYYBU-UHFFFAOYSA-N 0 0 284.319 2.878 20 5 CFBDRN O=[N+]([O-])c1cc(CN2C[C@H](CO)c3ccccc32)cs1 ZINC000292843515 381861424 /nfs/dbraw/zinc/86/14/24/381861424.db2.gz ZXRRBCWHWXZFMT-LLVKDONJSA-N 0 0 290.344 2.752 20 5 CFBDRN CCCN(C(=O)[C@H](OC)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000415619739 381862395 /nfs/dbraw/zinc/86/23/95/381862395.db2.gz LVMXOGIZMNPVCJ-CQSZACIVSA-N 0 0 292.335 2.763 20 5 CFBDRN COC[C@@H]1CCN1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000292856034 381866937 /nfs/dbraw/zinc/86/69/37/381866937.db2.gz RNYBDQYOEZSOIA-VIFPVBQESA-N 0 0 299.714 2.501 20 5 CFBDRN Cc1ccc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)nc1C ZINC000299250330 381871027 /nfs/dbraw/zinc/87/10/27/381871027.db2.gz JSAQKTQFGGCWOG-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCC1(CC)CCC(NC(=O)c2[nH]ncc2[N+](=O)[O-])CC1 ZINC000356916291 381904133 /nfs/dbraw/zinc/90/41/33/381904133.db2.gz LGTRCELNMZNXQJ-UHFFFAOYSA-N 0 0 294.355 2.797 20 5 CFBDRN CO[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)CC(C)C ZINC000416733165 381910101 /nfs/dbraw/zinc/91/01/01/381910101.db2.gz PSVIVRWINFYLES-CYBMUJFWSA-N 0 0 295.339 2.777 20 5 CFBDRN CC[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1Cl)C(C)(C)O ZINC000292947784 381917452 /nfs/dbraw/zinc/91/74/52/381917452.db2.gz IKEGIXRLZGUFJJ-LBPRGKRZSA-N 0 0 286.759 2.887 20 5 CFBDRN Cc1nc(CSCc2c([N+](=O)[O-])ncn2C)cs1 ZINC000349398348 381923574 /nfs/dbraw/zinc/92/35/74/381923574.db2.gz DETKNXFAYTUWPU-UHFFFAOYSA-N 0 0 284.366 2.527 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)c1c(F)cncc1F ZINC000343760542 381928994 /nfs/dbraw/zinc/92/89/94/381928994.db2.gz ISFDUDWGWKMHOT-ZETCQYMHSA-N 0 0 280.234 2.836 20 5 CFBDRN CSCCCCCNc1ccc([N+](=O)[O-])cn1 ZINC000186360921 381891387 /nfs/dbraw/zinc/89/13/87/381891387.db2.gz KYFFFOFJPUUGDX-UHFFFAOYSA-N 0 0 255.343 2.935 20 5 CFBDRN COc1cccc(N[C@H]2C[C@H]2C(F)F)c1[N+](=O)[O-] ZINC000343813958 381958631 /nfs/dbraw/zinc/95/86/31/381958631.db2.gz UOXMFJMQJAGUCT-SVRRBLITSA-N 0 0 258.224 2.669 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCCOCC1CCC1 ZINC000416900753 381963203 /nfs/dbraw/zinc/96/32/03/381963203.db2.gz AQCMFKJPKAXPQE-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN C[C@H](C(=O)NCCc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000293060150 381973403 /nfs/dbraw/zinc/97/34/03/381973403.db2.gz ABCWMSOWUNEVPU-SECBINFHSA-N 0 0 286.278 2.545 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCOCC1CCC1 ZINC000416909469 381966106 /nfs/dbraw/zinc/96/61/06/381966106.db2.gz KYQKTZSOXJNXQC-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN CC[C@@H](Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)OC ZINC000416924531 381972016 /nfs/dbraw/zinc/97/20/16/381972016.db2.gz XABGAOYYPJIHRJ-AWEZNQCLSA-N 0 0 275.308 2.883 20 5 CFBDRN CC(C)(NC(=O)NCCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000362164766 381938490 /nfs/dbraw/zinc/93/84/90/381938490.db2.gz WEVDFZKRQHUKEF-UHFFFAOYSA-N 0 0 297.330 2.879 20 5 CFBDRN CO[C@H]1CCCN(C(=O)c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000373159849 381939946 /nfs/dbraw/zinc/93/99/46/381939946.db2.gz PIMYDPGHDZYBEU-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H](C)CCCC(C)C)c1[N+](=O)[O-] ZINC000299441449 381942816 /nfs/dbraw/zinc/94/28/16/381942816.db2.gz KPWUDZASWWXINS-VIFPVBQESA-N 0 0 282.344 2.571 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1nnc(C(C)C)s1 ZINC000343903194 382012137 /nfs/dbraw/zinc/01/21/37/382012137.db2.gz XJXQARAQUIPETA-UHFFFAOYSA-N 0 0 293.352 2.885 20 5 CFBDRN CCc1nc(SCc2ccc([N+](=O)[O-])cc2OC)n[nH]1 ZINC000362338788 382015001 /nfs/dbraw/zinc/01/50/01/382015001.db2.gz GKIIZAGPTBKZSS-UHFFFAOYSA-N 0 0 294.336 2.576 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCCC(F)(F)F ZINC000357038133 381982608 /nfs/dbraw/zinc/98/26/08/381982608.db2.gz NHOZTVHXWUWDED-UHFFFAOYSA-N 0 0 269.248 2.514 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC1CCCC1 ZINC000299567559 381987126 /nfs/dbraw/zinc/98/71/26/381987126.db2.gz BTLPEJVGEIQLGN-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CCOc1cc(NCCc2cc(C)no2)ccc1[N+](=O)[O-] ZINC000343979629 382046134 /nfs/dbraw/zinc/04/61/34/382046134.db2.gz LYSWDWQJDOIIBK-UHFFFAOYSA-N 0 0 291.307 2.945 20 5 CFBDRN CCC(CC)CN(CC)C(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000186933453 382050557 /nfs/dbraw/zinc/05/05/57/382050557.db2.gz JYTGQENZYSTETQ-UHFFFAOYSA-N 0 0 295.339 2.594 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000279601596 194494620 /nfs/dbraw/zinc/49/46/20/194494620.db2.gz XISOGPXJLGDAGB-CYBMUJFWSA-N 0 0 298.364 2.606 20 5 CFBDRN CC[C@@H](NCc1snnc1C)c1cccc([N+](=O)[O-])c1 ZINC000293291510 382066363 /nfs/dbraw/zinc/06/63/63/382066363.db2.gz DHPUYNZJKJSMLW-GFCCVEGCSA-N 0 0 292.364 2.996 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](OC)C(C)C ZINC000362346066 382019390 /nfs/dbraw/zinc/01/93/90/382019390.db2.gz SOADMILFEQBERY-CYBMUJFWSA-N 0 0 280.324 2.767 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCSC1 ZINC000362346962 382019551 /nfs/dbraw/zinc/01/95/51/382019551.db2.gz ZFQRSIFSXSGHHK-SNVBAGLBSA-N 0 0 280.349 2.849 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000343930223 382026036 /nfs/dbraw/zinc/02/60/36/382026036.db2.gz RRGRLGPOYVVGFR-QPUJVOFHSA-N 0 0 282.727 2.705 20 5 CFBDRN Cc1cnc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)nc1 ZINC000343941089 382030765 /nfs/dbraw/zinc/03/07/65/382030765.db2.gz YEQWCDNRYJAAGC-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCn2ccnc2)c2ccncc21 ZINC000301059021 382068075 /nfs/dbraw/zinc/06/80/75/382068075.db2.gz PFFGYXLKFODXBI-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@@H]2C2CC2)c(Br)c1 ZINC000362376746 382034067 /nfs/dbraw/zinc/03/40/67/382034067.db2.gz TYUREXGPAIWHCI-SCZZXKLOSA-N 0 0 298.140 2.963 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(F)cn2)c(F)c1 ZINC000357173316 382037465 /nfs/dbraw/zinc/03/74/65/382037465.db2.gz ASJYAVPKXFNXMA-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000343962979 382038718 /nfs/dbraw/zinc/03/87/18/382038718.db2.gz PMJKEVHIABIFPW-NOZJJQNGSA-N 0 0 294.326 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@H+](CCO)CC2CCCCC2)cc1 ZINC000362527820 382127677 /nfs/dbraw/zinc/12/76/77/382127677.db2.gz GIZUAILIADOWAT-UHFFFAOYSA-N 0 0 292.379 2.969 20 5 CFBDRN CCCC[C@@H]1CCC[C@@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301209203 382106867 /nfs/dbraw/zinc/10/68/67/382106867.db2.gz VIRXXAMKWNULIL-ZJUUUORDSA-N 0 0 279.344 2.738 20 5 CFBDRN COCc1cc(CNc2ccc([N+](=O)[O-])cn2)ccc1F ZINC000301211566 382107198 /nfs/dbraw/zinc/10/71/98/382107198.db2.gz WVZVAPFVVFCGHM-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN Cc1cccc(-c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)c1 ZINC000357333277 382113790 /nfs/dbraw/zinc/11/37/90/382113790.db2.gz TVTBEKIFCYPURO-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCc3n[nH]cc3C2)c(Cl)c1 ZINC000301247453 382120277 /nfs/dbraw/zinc/12/02/77/382120277.db2.gz BXCCFWWUQJWZDG-VIFPVBQESA-N 0 0 292.726 2.941 20 5 CFBDRN CNc1ccc(Nc2ncc([N+](=O)[O-])cc2Cl)cn1 ZINC000301248359 382120712 /nfs/dbraw/zinc/12/07/12/382120712.db2.gz ABSLAMVUXRWLST-UHFFFAOYSA-N 0 0 279.687 2.824 20 5 CFBDRN C[C@H]1SCCN(c2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000301254637 382124671 /nfs/dbraw/zinc/12/46/71/382124671.db2.gz BAXMPHAFFAZYHQ-NXEZZACHSA-N 0 0 252.339 2.925 20 5 CFBDRN CC[C@H](CNc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1 ZINC000301069302 382072391 /nfs/dbraw/zinc/07/23/91/382072391.db2.gz FAORUPHYTJPDRD-SNVBAGLBSA-N 0 0 287.323 2.573 20 5 CFBDRN CC[C@@H](C)Nc1ccc(/C=C/C(=O)OC)cc1[N+](=O)[O-] ZINC000301077657 382075411 /nfs/dbraw/zinc/07/54/11/382075411.db2.gz NWILIJSICRYDBL-QEHWCHDUSA-N 0 0 278.308 2.991 20 5 CFBDRN COC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000187025427 382080004 /nfs/dbraw/zinc/08/00/04/382080004.db2.gz DTLPDZCVHYDFGL-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC[N@H+]1C[C@H](C)[C@@H]1C ZINC000293328573 382080312 /nfs/dbraw/zinc/08/03/12/382080312.db2.gz GHRJNXUYZIQKFD-RYUDHWBXSA-N 0 0 291.351 2.572 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H](O)c1ccco1 ZINC000301146692 382092460 /nfs/dbraw/zinc/09/24/60/382092460.db2.gz BAZXPSOPEVGLKZ-LLVKDONJSA-N 0 0 262.265 2.642 20 5 CFBDRN CO[C@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000301157302 382094538 /nfs/dbraw/zinc/09/45/38/382094538.db2.gz NSIFSFMGAUEQKT-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])nc2)C[C@@H](c2ccccc2)O1 ZINC000357303442 382096232 /nfs/dbraw/zinc/09/62/32/382096232.db2.gz JFNFBAABMBCQKA-WFASDCNBSA-N 0 0 299.330 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncccn2)cc1Cl ZINC000301185742 382100039 /nfs/dbraw/zinc/10/00/39/382100039.db2.gz UQYLVIIHVGSQMP-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN CC(C)COCCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344168150 382176384 /nfs/dbraw/zinc/17/63/84/382176384.db2.gz FLGZNDPSUQRAAI-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2cccc(F)c2)cn1 ZINC000357450130 382176649 /nfs/dbraw/zinc/17/66/49/382176649.db2.gz SEGFOMJDZUSZQJ-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN C[C@H](N(C)c1nc2sccn2c1[N+](=O)[O-])C1(C)CC1 ZINC000301560265 382177054 /nfs/dbraw/zinc/17/70/54/382177054.db2.gz KBNCSIKXAPTLTB-QMMMGPOBSA-N 0 0 280.353 2.929 20 5 CFBDRN COc1ccc(OCCc2cscn2)c([N+](=O)[O-])c1 ZINC000418801303 382177558 /nfs/dbraw/zinc/17/75/58/382177558.db2.gz XXVJKLXBLYOYCH-UHFFFAOYSA-N 0 0 280.305 2.681 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000187433516 382181431 /nfs/dbraw/zinc/18/14/31/382181431.db2.gz QJPALAKOPXXSGT-YPMHNXCESA-N 0 0 291.351 2.654 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCc1cscn1 ZINC000418854948 382181737 /nfs/dbraw/zinc/18/17/37/382181737.db2.gz CKUQINNUTBJPSL-UHFFFAOYSA-N 0 0 280.305 2.681 20 5 CFBDRN Cc1nn(Cc2cc(F)ccc2Cl)cc1[N+](=O)[O-] ZINC000187207024 382130323 /nfs/dbraw/zinc/13/03/23/382130323.db2.gz WSPHBPOVBKOMTJ-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301272479 382131514 /nfs/dbraw/zinc/13/15/14/382131514.db2.gz YADVKLCVCAHRMB-ZANVPECISA-N 0 0 270.304 2.943 20 5 CFBDRN CN(Cc1cnccn1)c1ccc([N+](=O)[O-])cc1Cl ZINC000301277781 382132961 /nfs/dbraw/zinc/13/29/61/382132961.db2.gz JIYBFAZQRYERID-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN Cn1cc(CCNc2ccc3cc([N+](=O)[O-])ccc3n2)cn1 ZINC000301275326 382133154 /nfs/dbraw/zinc/13/31/54/382133154.db2.gz RTJUZZBJDFNORC-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN COc1cc(CSCc2ccnn2C)ccc1[N+](=O)[O-] ZINC000352020501 382134581 /nfs/dbraw/zinc/13/45/81/382134581.db2.gz IPXWGYUIDYYKSA-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN C[C@@H]1CC[C@H](c2ccccc2)N(C(=O)[C@@H]2C[C@@H]2[N+](=O)[O-])C1 ZINC000376409111 382134672 /nfs/dbraw/zinc/13/46/72/382134672.db2.gz RZUAXOIDNSGUOH-NGFQHRJXSA-N 0 0 288.347 2.651 20 5 CFBDRN Cc1noc(C2CN(c3cc(Cl)ccc3[N+](=O)[O-])C2)n1 ZINC000376433285 382136313 /nfs/dbraw/zinc/13/63/13/382136313.db2.gz HLEWHLOYWYGGOS-UHFFFAOYSA-N 0 0 294.698 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCO[C@@H](C2CC2)C1 ZINC000376424123 382136375 /nfs/dbraw/zinc/13/63/75/382136375.db2.gz YMIDXXHIOMDAIN-CYBMUJFWSA-N 0 0 282.727 2.863 20 5 CFBDRN CN(CC1CCC1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301291715 382140405 /nfs/dbraw/zinc/14/04/05/382140405.db2.gz WYANBQUZMQHSFU-UHFFFAOYSA-N 0 0 265.269 2.739 20 5 CFBDRN C[C@H]1C[C@@H](CO)CCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000344122977 382142591 /nfs/dbraw/zinc/14/25/91/382142591.db2.gz FZBZUPMOAFXPJF-QWRGUYRKSA-N 0 0 298.770 2.841 20 5 CFBDRN NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 ZINC000344138288 382153405 /nfs/dbraw/zinc/15/34/05/382153405.db2.gz IQSJADPIOLZJHW-UHFFFAOYSA-N 0 0 273.248 2.878 20 5 CFBDRN O=C(NCCc1cc2ccccc2o1)c1cc([N+](=O)[O-])c[nH]1 ZINC000352128701 382185295 /nfs/dbraw/zinc/18/52/95/382185295.db2.gz ZYUZDLZGCFDUSK-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1CCc2c[nH]nc2C1 ZINC000376957494 382195463 /nfs/dbraw/zinc/19/54/63/382195463.db2.gz KOPBMDJUKKAIAK-SNVBAGLBSA-N 0 0 292.726 2.941 20 5 CFBDRN CCc1cc(CCCOc2ncc(C)cc2[N+](=O)[O-])on1 ZINC000352155120 382198462 /nfs/dbraw/zinc/19/84/62/382198462.db2.gz XEGAHONVYDOKMM-UHFFFAOYSA-N 0 0 291.307 2.860 20 5 CFBDRN Cc1ccnc(N[C@@H]2C[C@@H](CO)c3ccccc32)c1[N+](=O)[O-] ZINC000376998369 382200337 /nfs/dbraw/zinc/20/03/37/382200337.db2.gz TYUIUFUMXKGZBB-SMDDNHRTSA-N 0 0 299.330 2.931 20 5 CFBDRN CO[C@@H](CNc1nc2sccn2c1[N+](=O)[O-])CC(C)C ZINC000418976008 382204306 /nfs/dbraw/zinc/20/43/06/382204306.db2.gz RRUZGQYLNYCAEX-SECBINFHSA-N 0 0 298.368 2.777 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@H]1CC12CC2 ZINC000418977169 382204625 /nfs/dbraw/zinc/20/46/25/382204625.db2.gz JVKJKLLZUJVPOM-SNVBAGLBSA-N 0 0 269.304 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(OC3CCC3)CC2)nc1 ZINC000418978397 382204871 /nfs/dbraw/zinc/20/48/71/382204871.db2.gz LUKVNEWLAGMGLC-UHFFFAOYSA-N 0 0 277.324 2.528 20 5 CFBDRN CCc1cnccc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000357578281 382236753 /nfs/dbraw/zinc/23/67/53/382236753.db2.gz CKCRQKOCXKVSJM-UHFFFAOYSA-N 0 0 285.303 2.535 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC3(CCC3)C2)c1 ZINC000302146943 382210383 /nfs/dbraw/zinc/21/03/83/382210383.db2.gz GUJWERFDSSJNOD-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN CC[C@H]1C[C@@H]1NC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000344228040 382213530 /nfs/dbraw/zinc/21/35/30/382213530.db2.gz VPQJRQPMEYRISZ-RYUDHWBXSA-N 0 0 292.335 2.587 20 5 CFBDRN CN(C(=O)[C@H]1CCC(C)(C)C1)c1ccc([N+](=O)[O-])nc1 ZINC000344228237 382213735 /nfs/dbraw/zinc/21/37/35/382213735.db2.gz UXPBDYNXBGYSAY-JTQLQIEISA-N 0 0 277.324 2.779 20 5 CFBDRN C/C=C\c1ccc(Nc2nccc(C(N)=O)c2[N+](=O)[O-])cc1 ZINC000357535064 382213937 /nfs/dbraw/zinc/21/39/37/382213937.db2.gz OOFIJURVMONNGK-IHWYPQMZSA-N 0 0 298.302 2.865 20 5 CFBDRN Cc1nn(C)c(OCc2ccc([N+](=O)[O-])cc2F)c1C ZINC000419005949 382214210 /nfs/dbraw/zinc/21/42/10/382214210.db2.gz WEDGRYPWCAMQEC-UHFFFAOYSA-N 0 0 279.271 2.663 20 5 CFBDRN Cc1cscc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344230959 382215647 /nfs/dbraw/zinc/21/56/47/382215647.db2.gz WIASXVMAKOBGTO-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN COCCCCN(C)c1cccc(C)c1[N+](=O)[O-] ZINC000419011813 382215960 /nfs/dbraw/zinc/21/59/60/382215960.db2.gz BXFHRUDDSSGUDL-UHFFFAOYSA-N 0 0 252.314 2.766 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3[C@@H]4CCC[C@@H]43)cc2N1 ZINC000362856278 382220396 /nfs/dbraw/zinc/22/03/96/382220396.db2.gz QRMMRJAIQUERGQ-RTUWITSCSA-N 0 0 287.319 2.690 20 5 CFBDRN COC1CC(N(C)Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000352204846 382227291 /nfs/dbraw/zinc/22/72/91/382227291.db2.gz YTDGACPRYDKPPY-UHFFFAOYSA-N 0 0 284.743 2.857 20 5 CFBDRN CCCN(c1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CC1 ZINC000362651130 382188528 /nfs/dbraw/zinc/18/85/28/382188528.db2.gz VEKOIIXZCIYUCW-UHFFFAOYSA-N 0 0 260.297 2.850 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344190363 382189209 /nfs/dbraw/zinc/18/92/09/382189209.db2.gz REWSLYUEXXWKSW-DTWKUNHWSA-N 0 0 268.288 2.898 20 5 CFBDRN O=C(NCC1CC(F)(F)C1)c1cc(F)ccc1[N+](=O)[O-] ZINC000293594390 382189372 /nfs/dbraw/zinc/18/93/72/382189372.db2.gz GZFTUMUUWQBMKX-UHFFFAOYSA-N 0 0 288.225 2.509 20 5 CFBDRN Cc1cnccc1CNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000187469344 382191053 /nfs/dbraw/zinc/19/10/53/382191053.db2.gz XIXNGOGLWOPOQV-VOTSOKGWSA-N 0 0 297.314 2.628 20 5 CFBDRN CCCN(CC)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000357489920 382191964 /nfs/dbraw/zinc/19/19/64/382191964.db2.gz SAJMAIIDVRRWOQ-UHFFFAOYSA-N 0 0 276.296 2.881 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)ccc1F ZINC000344195892 382192651 /nfs/dbraw/zinc/19/26/51/382192651.db2.gz DNBXFFBLHGGPJE-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN CCOc1cc(N2CCC[C@@H]([C@H](C)O)C2)ccc1[N+](=O)[O-] ZINC000301791385 382193068 /nfs/dbraw/zinc/19/30/68/382193068.db2.gz RJMGSYDRIOKEOL-NWDGAFQWSA-N 0 0 294.351 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC1(C)CC1 ZINC000357692034 382282125 /nfs/dbraw/zinc/28/21/25/382282125.db2.gz QSNAXEWCBMWCMI-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN COCCCNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000302686946 382292244 /nfs/dbraw/zinc/29/22/44/382292244.db2.gz RNEQASLCDAVZSV-UHFFFAOYSA-N 0 0 260.240 2.981 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC[C@@H](C)O ZINC000302653822 382283262 /nfs/dbraw/zinc/28/32/62/382283262.db2.gz HCISALYGBKRXES-MRVPVSSYSA-N 0 0 258.705 2.739 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352355616 382287598 /nfs/dbraw/zinc/28/75/98/382287598.db2.gz IWNIDZNIXPTXFR-CHWSQXEVSA-N 0 0 287.319 2.714 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H]1CC2(CCC2)C(=O)O1 ZINC000187892389 382289982 /nfs/dbraw/zinc/28/99/82/382289982.db2.gz XZUUQGGQGYVUOM-LBPRGKRZSA-N 0 0 291.303 2.768 20 5 CFBDRN COCC[C@H]1CCCCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000377258220 382244322 /nfs/dbraw/zinc/24/43/22/382244322.db2.gz RFIODOPGJVUCIQ-GFCCVEGCSA-N 0 0 279.340 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(c3ccccn3)CC2)n1 ZINC000302426016 382249177 /nfs/dbraw/zinc/24/91/77/382249177.db2.gz JZMYCZBWCDPWPP-UHFFFAOYSA-N 0 0 284.319 2.837 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCC[C@@H]1CCO ZINC000302460200 382252418 /nfs/dbraw/zinc/25/24/18/382252418.db2.gz RJZVPFXBQMUKJR-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@H]1CCc2nc[nH]c2C1 ZINC000377333195 382254094 /nfs/dbraw/zinc/25/40/94/382254094.db2.gz ZQDHXBVZIFWZJU-VIFPVBQESA-N 0 0 292.726 2.941 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1CCc2nc[nH]c2C1 ZINC000377329322 382254213 /nfs/dbraw/zinc/25/42/13/382254213.db2.gz GPKSCANRTCUBLG-SECBINFHSA-N 0 0 292.726 2.941 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1cccc(C)c1[N+](=O)[O-] ZINC000302491074 382256999 /nfs/dbraw/zinc/25/69/99/382256999.db2.gz KBBDRUDOXLUNPJ-UHFFFAOYSA-N 0 0 288.351 2.908 20 5 CFBDRN CC1(C)CCC[C@@](O)(CNc2ccc([N+](=O)[O-])cn2)C1 ZINC000302494508 382258055 /nfs/dbraw/zinc/25/80/55/382258055.db2.gz LOKHZOGYPXPTCS-AWEZNQCLSA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1cc(Oc2cc(C)c([N+](=O)[O-])cc2F)ncn1 ZINC000302505006 382259588 /nfs/dbraw/zinc/25/95/88/382259588.db2.gz WCDALEPXUVJBLQ-UHFFFAOYSA-N 0 0 263.228 2.933 20 5 CFBDRN C[C@H]1CCOCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000302517114 382260848 /nfs/dbraw/zinc/26/08/48/382260848.db2.gz AGIKWTCEVSXNGO-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1cccc(NCc2nnc3ccccn32)c1[N+](=O)[O-] ZINC000302515572 382261149 /nfs/dbraw/zinc/26/11/49/382261149.db2.gz VFAVSKKUPGSMDU-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@@H]3OCCC[C@H]23)c([N+](=O)[O-])c1 ZINC000302544384 382265585 /nfs/dbraw/zinc/26/55/85/382265585.db2.gz IKGHMMCKPCEHEQ-KYOSRNDESA-N 0 0 290.319 2.777 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CSc2ccccc21 ZINC000344629034 382270433 /nfs/dbraw/zinc/27/04/33/382270433.db2.gz PBKDPINJAFPZJK-SECBINFHSA-N 0 0 276.321 2.587 20 5 CFBDRN Cc1ccc(C[C@@H](CO)Nc2ccccc2[N+](=O)[O-])cc1 ZINC000302726927 382302949 /nfs/dbraw/zinc/30/29/49/382302949.db2.gz SJAIBLGQKMOPSW-AWEZNQCLSA-N 0 0 286.331 2.919 20 5 CFBDRN CCOc1cc(Oc2nccc(C)n2)ccc1[N+](=O)[O-] ZINC000302737401 382307169 /nfs/dbraw/zinc/30/71/69/382307169.db2.gz XMPCDXVENSMFQC-UHFFFAOYSA-N 0 0 275.264 2.884 20 5 CFBDRN Cn1c(C(=O)NCc2coc3ccccc23)ccc1[N+](=O)[O-] ZINC000352400757 382308292 /nfs/dbraw/zinc/30/82/92/382308292.db2.gz TUUXBSKJKVTFGS-UHFFFAOYSA-N 0 0 299.286 2.610 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)C[C@H](C)O1 ZINC000352405623 382311865 /nfs/dbraw/zinc/31/18/65/382311865.db2.gz NNVXVIDDJPVLQG-AAEUAGOBSA-N 0 0 294.351 2.603 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCc1nc(CO)cs1 ZINC000302767992 382312947 /nfs/dbraw/zinc/31/29/47/382312947.db2.gz MDWUMXHCXVTRGW-UHFFFAOYSA-N 0 0 299.739 2.809 20 5 CFBDRN CCO[C@H]1C[C@@](O)(CNc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000302784810 382318003 /nfs/dbraw/zinc/31/80/03/382318003.db2.gz VBZIGIXMBMSHOG-DZGCQCFKSA-N 0 0 294.351 2.573 20 5 CFBDRN Cc1ccc(CN2CCC[C@H]2CF)cc1[N+](=O)[O-] ZINC000293873021 382317932 /nfs/dbraw/zinc/31/79/32/382317932.db2.gz CRWUGWGLCBONEK-LBPRGKRZSA-N 0 0 252.289 2.837 20 5 CFBDRN CN(c1ncc(Cl)cc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000302844379 382332107 /nfs/dbraw/zinc/33/21/07/382332107.db2.gz FUUIGSNLLXKYDH-VIFPVBQESA-N 0 0 255.705 2.878 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H](O)C2CCCCC2)nc1C1CC1 ZINC000352437481 382332317 /nfs/dbraw/zinc/33/23/17/382332317.db2.gz NLZFHEGMDZKRRZ-ZDUSSCGKSA-N 0 0 279.340 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1cccc(O)c1)CCN2 ZINC000302840875 382332740 /nfs/dbraw/zinc/33/27/40/382332740.db2.gz LUVXCYQYTGLEBB-UHFFFAOYSA-N 0 0 285.303 2.881 20 5 CFBDRN CC(C)C1(NC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000293915542 382335020 /nfs/dbraw/zinc/33/50/20/382335020.db2.gz LLCDMFSTKPYDNM-UHFFFAOYSA-N 0 0 254.311 2.575 20 5 CFBDRN CCOC(C)(C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352368178 382293728 /nfs/dbraw/zinc/29/37/28/382293728.db2.gz SWMYXPIMCWAHJZ-UHFFFAOYSA-N 0 0 289.335 2.792 20 5 CFBDRN Cc1ccc(CN(C)c2ncc([N+](=O)[O-])cc2Cl)nc1 ZINC000302696410 382295073 /nfs/dbraw/zinc/29/50/73/382295073.db2.gz SWHYAQLZSTYNQZ-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@@H](c2ccco2)C1 ZINC000377605039 382299136 /nfs/dbraw/zinc/29/91/36/382299136.db2.gz VTLBVYRRECUVOG-GFCCVEGCSA-N 0 0 286.287 2.818 20 5 CFBDRN C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000357852444 382351707 /nfs/dbraw/zinc/35/17/07/382351707.db2.gz WMCFPQIJTCZATQ-VIFPVBQESA-N 0 0 294.326 2.926 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@](C)(F)C1 ZINC000346420827 382355121 /nfs/dbraw/zinc/35/51/21/382355121.db2.gz QRKKGCDGBCTANY-CYBMUJFWSA-N 0 0 297.286 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@H]([C@H]2CCOC2)C1 ZINC000364974871 382359290 /nfs/dbraw/zinc/35/92/90/382359290.db2.gz KCBDMMYFYFJNBC-QWRGUYRKSA-N 0 0 280.299 2.597 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000352500408 382368118 /nfs/dbraw/zinc/36/81/18/382368118.db2.gz XXAJAFJZNTVPGH-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN COCC1(CCNC(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000293992362 382369957 /nfs/dbraw/zinc/36/99/57/382369957.db2.gz WNXCTKDIGOCNNJ-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN COc1cc(CN2CCOC(C)(C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000352526906 382381150 /nfs/dbraw/zinc/38/11/50/382381150.db2.gz TWRMPXRRGJLNIZ-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN C/C=C(\CC)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000188355326 382397884 /nfs/dbraw/zinc/39/78/84/382397884.db2.gz RXBIRVUGVMSXQC-RUDMXATFSA-N 0 0 264.281 2.898 20 5 CFBDRN COCCN(C[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])cn1 ZINC000358038866 382421910 /nfs/dbraw/zinc/42/19/10/382421910.db2.gz CYVCQXQCFQRTKW-CYBMUJFWSA-N 0 0 291.351 2.799 20 5 CFBDRN CC[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2C)CCO1 ZINC000420614684 382425819 /nfs/dbraw/zinc/42/58/19/382425819.db2.gz BAFOPVGHMURRKX-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@H]1C[C@H](Nc2nc(C)ccc2[N+](=O)[O-])CCO1 ZINC000420615891 382427523 /nfs/dbraw/zinc/42/75/23/382427523.db2.gz FRWXGJGEXLSUTN-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1N[C@@H]1CCCC(F)(F)C1 ZINC000420618963 382428530 /nfs/dbraw/zinc/42/85/30/382428530.db2.gz XWBFHDPNPBCARA-MRVPVSSYSA-N 0 0 299.277 2.684 20 5 CFBDRN CCCCCNC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000294178336 382447868 /nfs/dbraw/zinc/44/78/68/382447868.db2.gz DUGZLSSSHOGEOR-UHFFFAOYSA-N 0 0 284.287 2.663 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2Cc3ccccc32)c([N+](=O)[O-])c1 ZINC000358051636 382430039 /nfs/dbraw/zinc/43/00/39/382430039.db2.gz RHTSNGRVUPXDOY-CYBMUJFWSA-N 0 0 298.298 2.882 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000188533404 382430598 /nfs/dbraw/zinc/43/05/98/382430598.db2.gz DKPWKFNKKHLSCU-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCC1=CCCCC1 ZINC000420626668 382432937 /nfs/dbraw/zinc/43/29/37/382432937.db2.gz KHGNRUPOHZCGEZ-UHFFFAOYSA-N 0 0 275.308 2.606 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCC2(O)CCC2)c(Cl)c1 ZINC000420629859 382434585 /nfs/dbraw/zinc/43/45/85/382434585.db2.gz GWNOUCVHEUJVPM-UHFFFAOYSA-N 0 0 285.731 2.750 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCCC1(O)CCC1 ZINC000420629299 382435360 /nfs/dbraw/zinc/43/53/60/382435360.db2.gz GECDRQUMGYFXHQ-UHFFFAOYSA-N 0 0 268.288 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)CC2CCCC2)cc1 ZINC000188647261 382448452 /nfs/dbraw/zinc/44/84/52/382448452.db2.gz SLTRLTXZFBWFGU-ZDUSSCGKSA-N 0 0 265.309 2.915 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1C[C@H]2CCCC[C@H]21 ZINC000365780627 382442349 /nfs/dbraw/zinc/44/23/49/382442349.db2.gz OIFCGBCCGAJGHX-BXKDBHETSA-N 0 0 278.283 2.749 20 5 CFBDRN CCOc1cc(OC[C@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000188612137 382442452 /nfs/dbraw/zinc/44/24/52/382442452.db2.gz KQQQHHXFMRCJPX-CMPLNLGQSA-N 0 0 281.308 2.940 20 5 CFBDRN CCOc1cc(OC[C@@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000188612164 382442991 /nfs/dbraw/zinc/44/29/91/382442991.db2.gz KQQQHHXFMRCJPX-PWSUYJOCSA-N 0 0 281.308 2.940 20 5 CFBDRN CCCN1C[C@@H](CNc2cc(C)ccc2[N+](=O)[O-])CC1=O ZINC000420647184 382443953 /nfs/dbraw/zinc/44/39/53/382443953.db2.gz BWTYZVKZEWKWOT-GFCCVEGCSA-N 0 0 291.351 2.574 20 5 CFBDRN CCCN1C[C@@H](CNc2ccc([N+](=O)[O-])c(C)c2)CC1=O ZINC000420648124 382444434 /nfs/dbraw/zinc/44/44/34/382444434.db2.gz CUYUUOSFHGJNQB-GFCCVEGCSA-N 0 0 291.351 2.574 20 5 CFBDRN Cn1nc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(F)F)c1 ZINC000357997899 382402221 /nfs/dbraw/zinc/40/22/21/382402221.db2.gz ZAULZRNSUIPBDS-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@@H](C)[C@H]1C ZINC000294073189 382404818 /nfs/dbraw/zinc/40/48/18/382404818.db2.gz LOVVARTXKJKIRA-MXWKQRLJSA-N 0 0 294.376 2.942 20 5 CFBDRN Cn1cnc2cc(NC(=O)c3ccccc3[N+](=O)[O-])ccc21 ZINC000352588673 382407344 /nfs/dbraw/zinc/40/73/44/382407344.db2.gz NPCYOVFZDMFIKR-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN CCOc1cc(NCCOC(F)(F)F)ccc1[N+](=O)[O-] ZINC000352590073 382407377 /nfs/dbraw/zinc/40/73/77/382407377.db2.gz XBWKKWYSMKJKGX-UHFFFAOYSA-N 0 0 294.229 2.942 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1CCC12CCCCC2 ZINC000365519475 382407593 /nfs/dbraw/zinc/40/75/93/382407593.db2.gz DJTCEYACSWFMDL-UHFFFAOYSA-N 0 0 289.335 2.726 20 5 CFBDRN CC1(C)[C@@H]2C[N@@H+](Cc3ccc([N+](=O)[O-])cc3F)C[C@@H]21 ZINC000352611648 382417741 /nfs/dbraw/zinc/41/77/41/382417741.db2.gz JDOHRWQLGHNHIQ-TXEJJXNPSA-N 0 0 264.300 2.822 20 5 CFBDRN Cc1ccc(C(C)(C)NC(=O)c2ccc([N+](=O)[O-])o2)cn1 ZINC000352758289 382470210 /nfs/dbraw/zinc/47/02/10/382470210.db2.gz IVOGFBWWHHJKPF-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN C[C@H]1CSC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000306102916 382451285 /nfs/dbraw/zinc/45/12/85/382451285.db2.gz QJYGIONKCDCFEZ-WRWORJQWSA-N 0 0 256.302 2.897 20 5 CFBDRN C[C@@H]1CSC[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000306086932 382451663 /nfs/dbraw/zinc/45/16/63/382451663.db2.gz HDEBIQXWEWKXIG-XCBNKYQSSA-N 0 0 256.302 2.897 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000378403180 382455037 /nfs/dbraw/zinc/45/50/37/382455037.db2.gz NNBXUWKCHDXLRL-QWHCGFSZSA-N 0 0 291.351 2.633 20 5 CFBDRN COc1cc(C(=O)N2CCCC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000294211479 382462273 /nfs/dbraw/zinc/46/22/73/382462273.db2.gz CDQPJFSVYDVXPD-SECBINFHSA-N 0 0 296.298 2.757 20 5 CFBDRN CC[C@@H]1CCN1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000294217243 382463521 /nfs/dbraw/zinc/46/35/21/382463521.db2.gz YPJFDFONOMMRJM-SNVBAGLBSA-N 0 0 280.349 2.698 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])[C@H]1C[C@H]2CC[C@@H]1C2 ZINC000188730852 382465765 /nfs/dbraw/zinc/46/57/65/382465765.db2.gz REYVYLUBEPJMOG-TUAOUCFPSA-N 0 0 275.304 2.979 20 5 CFBDRN CC[C@@H](C)CNC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000420832164 382469793 /nfs/dbraw/zinc/46/97/93/382469793.db2.gz CVVBOSVHEUGKAZ-SNVBAGLBSA-N 0 0 279.340 2.749 20 5 CFBDRN Cc1cc(=O)n(CC23CCC(CC2)C3)cc1[N+](=O)[O-] ZINC000294239889 382473430 /nfs/dbraw/zinc/47/34/30/382473430.db2.gz PBPZQALOGUQQCC-UHFFFAOYSA-N 0 0 262.309 2.645 20 5 CFBDRN C[C@@H]1CCOCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000378501247 382474825 /nfs/dbraw/zinc/47/48/25/382474825.db2.gz MUFSGNDDLGTTTG-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc(-c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000294250812 382477665 /nfs/dbraw/zinc/47/76/65/382477665.db2.gz OUMKXNZOIAYCAY-SECBINFHSA-N 0 0 288.307 2.513 20 5 CFBDRN COc1ccncc1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000346709849 382485320 /nfs/dbraw/zinc/48/53/20/382485320.db2.gz ZSUKUYDVTXXSDM-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1ccc(CN(C)C(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000294265787 382485292 /nfs/dbraw/zinc/48/52/92/382485292.db2.gz GMFOTYSGEOMNAG-UHFFFAOYSA-N 0 0 278.352 2.789 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC12CCCC2 ZINC000313922517 382523554 /nfs/dbraw/zinc/52/35/54/382523554.db2.gz WPGLHGLCVGHJMS-UHFFFAOYSA-N 0 0 289.335 2.726 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000314253637 382524534 /nfs/dbraw/zinc/52/45/34/382524534.db2.gz USEAIAKRNOLCKS-PWSUYJOCSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1CCCC[C@H]1CCNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000358248578 382525567 /nfs/dbraw/zinc/52/55/67/382525567.db2.gz XQOJIPGGDNTZQZ-QWRGUYRKSA-N 0 0 279.340 2.869 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CCNc1c([N+](=O)[O-])ncn1C ZINC000358195078 382499420 /nfs/dbraw/zinc/49/94/20/382499420.db2.gz POZXTQDVRDJLNU-GHMZBOCLSA-N 0 0 266.345 2.957 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000294300844 382501562 /nfs/dbraw/zinc/50/15/62/382501562.db2.gz WTKIMIPEYRWICT-GFCCVEGCSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccncc1C ZINC000188907671 382502656 /nfs/dbraw/zinc/50/26/56/382502656.db2.gz BVTNRWSYLSMSNS-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)C1CC1 ZINC000279684121 194526022 /nfs/dbraw/zinc/52/60/22/194526022.db2.gz HFBHAXGRLAJKCJ-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1cccc(CO[C@H]2CCCc3c[nH]nc32)c1 ZINC000188924210 382505635 /nfs/dbraw/zinc/50/56/35/382505635.db2.gz MZGKSLKJXLTNJP-ZDUSSCGKSA-N 0 0 273.292 2.912 20 5 CFBDRN CO[C@@](C)(CNc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000311601668 382506309 /nfs/dbraw/zinc/50/63/09/382506309.db2.gz TXIBQKBAGDEZIR-ZDUSSCGKSA-N 0 0 268.288 2.961 20 5 CFBDRN CO[C@H](C)CN(C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000353201901 382528602 /nfs/dbraw/zinc/52/86/02/382528602.db2.gz OFGQDNOLRUGZRE-SECBINFHSA-N 0 0 272.732 2.715 20 5 CFBDRN CN(CCF)C(=O)/C=C/c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000294437058 382514562 /nfs/dbraw/zinc/51/45/62/382514562.db2.gz PCXUTKDUFHIMMF-HWKANZROSA-N 0 0 286.690 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2cnccn2)c(F)c1 ZINC000381297500 382518099 /nfs/dbraw/zinc/51/80/99/382518099.db2.gz ZIXUOXNGZRBSMV-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN CC(C)(C)Cc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])n[nH]1 ZINC000294955238 382549189 /nfs/dbraw/zinc/54/91/89/382549189.db2.gz MHZCVVYYRZRCSH-UHFFFAOYSA-N 0 0 296.371 2.772 20 5 CFBDRN Cc1cc(Cc2noc(COC(F)F)n2)ccc1[N+](=O)[O-] ZINC000346867104 382551409 /nfs/dbraw/zinc/55/14/09/382551409.db2.gz TUZSIIQLQULDMP-UHFFFAOYSA-N 0 0 299.233 2.616 20 5 CFBDRN O=C([C@H]1CC12CC2)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000421486971 382555527 /nfs/dbraw/zinc/55/55/27/382555527.db2.gz DBWFRQCJXINLIW-CQSZACIVSA-N 0 0 286.331 2.886 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCCC[C@H]1c1nc[nH]n1 ZINC000295028452 382563617 /nfs/dbraw/zinc/56/36/17/382563617.db2.gz VILJNRUEDJCOOK-NSHDSACASA-N 0 0 291.286 2.584 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000346915770 382569439 /nfs/dbraw/zinc/56/94/39/382569439.db2.gz KHTMQOCFKNERSA-JTQLQIEISA-N 0 0 290.241 2.974 20 5 CFBDRN C[C@H](CCCO)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000295060394 382571665 /nfs/dbraw/zinc/57/16/65/382571665.db2.gz YPSXKFQMOSMFPL-MRVPVSSYSA-N 0 0 274.267 2.694 20 5 CFBDRN Cc1cnc(OCC(=O)[C@H]2C[C@H]3CC[C@@H]2C3)c([N+](=O)[O-])c1 ZINC000189054530 382532501 /nfs/dbraw/zinc/53/25/01/382532501.db2.gz MKHIYGRHCKAJBH-TUAOUCFPSA-N 0 0 290.319 2.682 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC1CCCC1 ZINC000421425983 382532512 /nfs/dbraw/zinc/53/25/12/382532512.db2.gz YJMQENXYYYLSCU-UHFFFAOYSA-N 0 0 262.309 2.884 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H](C)[C@H]2C)c([N+](=O)[O-])cc1F ZINC000294870427 382535377 /nfs/dbraw/zinc/53/53/77/382535377.db2.gz GUYSTRRCERNBFK-RKDXNWHRSA-N 0 0 296.298 2.613 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000353312996 382537197 /nfs/dbraw/zinc/53/71/97/382537197.db2.gz LGKRILLYZSZRKF-RNCFNFMXSA-N 0 0 280.324 2.903 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C)OC ZINC000421568407 382583629 /nfs/dbraw/zinc/58/36/29/382583629.db2.gz GUCAEOWRWTUYLT-LBPRGKRZSA-N 0 0 294.351 2.757 20 5 CFBDRN COc1cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c(C)cc1C ZINC000358363895 382589141 /nfs/dbraw/zinc/58/91/41/382589141.db2.gz YCGXXPLZEOMBBO-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN Cc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)cc(C)n1 ZINC000421578887 382589500 /nfs/dbraw/zinc/58/95/00/382589500.db2.gz SFGORNIJDXASGJ-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000421583747 382592774 /nfs/dbraw/zinc/59/27/74/382592774.db2.gz ZWIWPGUMVJQBHH-SECBINFHSA-N 0 0 250.298 2.679 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)O[C@@H]1CCc2cccnc21 ZINC000189326976 382592948 /nfs/dbraw/zinc/59/29/48/382592948.db2.gz RPDQBIBUFZBBAJ-CQSZACIVSA-N 0 0 298.298 2.763 20 5 CFBDRN CCc1ccc(OC[C@H](O)CC(F)(F)F)c([N+](=O)[O-])c1 ZINC000189420257 382606407 /nfs/dbraw/zinc/60/64/07/382606407.db2.gz JSXUNRCWLQMUHR-SECBINFHSA-N 0 0 293.241 2.849 20 5 CFBDRN CCCCNc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000295300035 382611236 /nfs/dbraw/zinc/61/12/36/382611236.db2.gz JXCMUYYEYBQYPX-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])OC ZINC000295315215 382614991 /nfs/dbraw/zinc/61/49/91/382614991.db2.gz AOLOMQIOYNQWFF-GXFFZTMASA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1ccccc1CCN(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295333579 382619977 /nfs/dbraw/zinc/61/99/77/382619977.db2.gz FGGXDYOKVOCARN-UHFFFAOYSA-N 0 0 292.379 2.831 20 5 CFBDRN Cc1nnc(CNc2cc(C)ccc2[N+](=O)[O-])s1 ZINC000391970813 382621504 /nfs/dbraw/zinc/62/15/04/382621504.db2.gz XYRVVEYLJWQZJE-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1cc(NC(=O)NCc2ccn(C)c2)ccc1[N+](=O)[O-] ZINC000353739605 382622100 /nfs/dbraw/zinc/62/21/00/382622100.db2.gz QGQDCNYKZHEYSP-UHFFFAOYSA-N 0 0 288.307 2.563 20 5 CFBDRN Cc1ccnc(NCC(C)(C)C(C)(C)O)c1[N+](=O)[O-] ZINC000393579921 382623873 /nfs/dbraw/zinc/62/38/73/382623873.db2.gz ZNVZGTPBIQTZBH-UHFFFAOYSA-N 0 0 267.329 2.507 20 5 CFBDRN COc1ccc(OCc2cnc(C)s2)cc1[N+](=O)[O-] ZINC000190189293 382656418 /nfs/dbraw/zinc/65/64/18/382656418.db2.gz WRVONBPTNHQQRC-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CCCCCNC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000190208357 382657074 /nfs/dbraw/zinc/65/70/74/382657074.db2.gz NPJQPSHQGSLEEC-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000190330625 382662678 /nfs/dbraw/zinc/66/26/78/382662678.db2.gz HQFVJTDSJFGKQA-DTWKUNHWSA-N 0 0 283.353 2.811 20 5 CFBDRN C[C@@H]1CSCC[N@H+](Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000190426474 382667496 /nfs/dbraw/zinc/66/74/96/382667496.db2.gz BJYJJMSDTNKGHH-NSHDSACASA-N 0 0 266.366 2.780 20 5 CFBDRN C[C@H]1CSCC[N@H+](Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000190426456 382667528 /nfs/dbraw/zinc/66/75/28/382667528.db2.gz BJYJJMSDTNKGHH-LLVKDONJSA-N 0 0 266.366 2.780 20 5 CFBDRN C[C@H]1CSCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000190441378 382668456 /nfs/dbraw/zinc/66/84/56/382668456.db2.gz RLBGDSGYVBPSAT-SECBINFHSA-N 0 0 272.395 2.841 20 5 CFBDRN CC[C@@H](C)CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000190573274 382673633 /nfs/dbraw/zinc/67/36/33/382673633.db2.gz RNSVEFSBKQTNIM-SECBINFHSA-N 0 0 266.297 2.978 20 5 CFBDRN CCCCO[C@H](C)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191021940 382688623 /nfs/dbraw/zinc/68/86/23/382688623.db2.gz VESVCIZBHMEIDR-SNVBAGLBSA-N 0 0 297.307 2.714 20 5 CFBDRN CC[C@H](C)CC(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000190851318 382682518 /nfs/dbraw/zinc/68/25/18/382682518.db2.gz VHFVJAJPLOWFRD-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cc(F)ccc2F)s1 ZINC000189686783 382635310 /nfs/dbraw/zinc/63/53/10/382635310.db2.gz OJPLSJAVGARRHF-UHFFFAOYSA-N 0 0 271.248 2.942 20 5 CFBDRN Cc1cccc(N2C[C@@H]3CC[C@H](O)C[C@@H]3C2)c1[N+](=O)[O-] ZINC000398921323 382636896 /nfs/dbraw/zinc/63/68/96/382636896.db2.gz QPJJTGCTMCEWNF-XQQFMLRXSA-N 0 0 276.336 2.500 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@H](C)CCCC(C)C)n1 ZINC000191451942 382700592 /nfs/dbraw/zinc/70/05/92/382700592.db2.gz KPVJMOVGYANURK-LLVKDONJSA-N 0 0 296.371 2.756 20 5 CFBDRN C[C@@H]1CSCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000191567912 382701346 /nfs/dbraw/zinc/70/13/46/382701346.db2.gz YUPKZCNWAMRWRU-VIFPVBQESA-N 0 0 298.339 2.559 20 5 CFBDRN CC[C@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000191851051 382702865 /nfs/dbraw/zinc/70/28/65/382702865.db2.gz MTVOVTRTXAHGAE-VIFPVBQESA-N 0 0 266.297 2.978 20 5 CFBDRN CC(C)N(Cc1cocn1)Cc1ccc([N+](=O)[O-])cc1 ZINC000191927629 382703509 /nfs/dbraw/zinc/70/35/09/382703509.db2.gz HADRVJBOBLULKU-UHFFFAOYSA-N 0 0 275.308 2.993 20 5 CFBDRN CCC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000192194514 382705437 /nfs/dbraw/zinc/70/54/37/382705437.db2.gz KOQGJLWNGLKOQU-UHFFFAOYSA-N 0 0 256.327 2.822 20 5 CFBDRN CCCOc1ccc(CNc2ncc([N+](=O)[O-])s2)cn1 ZINC000192452272 382709050 /nfs/dbraw/zinc/70/90/50/382709050.db2.gz QJHNZCBGCFWGQC-UHFFFAOYSA-N 0 0 294.336 2.847 20 5 CFBDRN CC(C)C[C@H](CCO)CNc1ncc([N+](=O)[O-])s1 ZINC000192473181 382709361 /nfs/dbraw/zinc/70/93/61/382709361.db2.gz BZGZGWLEKWWUQL-VIFPVBQESA-N 0 0 273.358 2.508 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccc(O)c(F)c1 ZINC000192613912 382710612 /nfs/dbraw/zinc/71/06/12/382710612.db2.gz OACKXUSAVFWTIV-UHFFFAOYSA-N 0 0 294.286 2.576 20 5 CFBDRN CCCC[C@H](CCC)NC(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000192683373 382711170 /nfs/dbraw/zinc/71/11/70/382711170.db2.gz KCBCZSRTOOPCFF-JTQLQIEISA-N 0 0 296.371 2.969 20 5 CFBDRN CN(CCO[C@@H]1CCCCO1)Cc1ccccc1[N+](=O)[O-] ZINC000193040569 382714816 /nfs/dbraw/zinc/71/48/16/382714816.db2.gz XSEMAXOGKUWLOR-OAHLLOKOSA-N 0 0 294.351 2.570 20 5 CFBDRN Cc1ncc(CN(C)c2cc(N)ccc2[N+](=O)[O-])s1 ZINC000193389521 382719589 /nfs/dbraw/zinc/71/95/89/382719589.db2.gz QSXAWDKIMQAVRW-UHFFFAOYSA-N 0 0 278.337 2.578 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCCC1(C)CC1 ZINC000279995516 194661650 /nfs/dbraw/zinc/66/16/50/194661650.db2.gz KSUFALLNBWVYAV-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN C[C@H](CCC(C)(C)C)NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000193601080 382721456 /nfs/dbraw/zinc/72/14/56/382721456.db2.gz HMWDPULCCUALJY-SECBINFHSA-N 0 0 295.339 2.640 20 5 CFBDRN CC1(C)[C@@H]2C[N@@H+](Cc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])C[C@@H]21 ZINC000194004895 382732754 /nfs/dbraw/zinc/73/27/54/382732754.db2.gz MAVWDSVFCVCXTJ-TXEJJXNPSA-N 0 0 291.307 2.591 20 5 CFBDRN Cc1c(OC[C@H]2CC[C@H](C)O2)cccc1[N+](=O)[O-] ZINC000191096587 382690951 /nfs/dbraw/zinc/69/09/51/382690951.db2.gz XCTHOJRFGVEDDK-GXSJLCMTSA-N 0 0 251.282 2.850 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000195414156 382767281 /nfs/dbraw/zinc/76/72/81/382767281.db2.gz CDSMAVLCKMJNII-NXEZZACHSA-N 0 0 294.355 2.531 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000195411400 382767431 /nfs/dbraw/zinc/76/74/31/382767431.db2.gz JTLNEXAAACMELH-KOLCDFICSA-N 0 0 294.355 2.531 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000195414139 382767529 /nfs/dbraw/zinc/76/75/29/382767529.db2.gz CDSMAVLCKMJNII-VHSXEESVSA-N 0 0 294.355 2.531 20 5 CFBDRN COc1cc(NC2CCCC2)c([N+](=O)[O-])cc1OC ZINC000213705490 382776971 /nfs/dbraw/zinc/77/69/71/382776971.db2.gz HKIRYVVKJXEORZ-UHFFFAOYSA-N 0 0 266.297 2.967 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCO1 ZINC000220162826 382795317 /nfs/dbraw/zinc/79/53/17/382795317.db2.gz JTVKLXKFDPSWQY-RKDXNWHRSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cnc(N[C@H]2CCC[C@H]2OC(F)F)c([N+](=O)[O-])c1 ZINC000336912018 382741443 /nfs/dbraw/zinc/74/14/43/382741443.db2.gz YPCKRUCUDIFINF-WCBMZHEXSA-N 0 0 287.266 2.870 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@@H]1CCCC(F)(F)C1 ZINC000336923517 382742876 /nfs/dbraw/zinc/74/28/76/382742876.db2.gz JEWMXNGUPUWTFZ-SECBINFHSA-N 0 0 271.267 2.649 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1ccccc1[N+](=O)[O-] ZINC000336928999 382743218 /nfs/dbraw/zinc/74/32/18/382743218.db2.gz ZNSRKQSYIXMHJR-UHFFFAOYSA-N 0 0 275.312 2.553 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000336932967 382743589 /nfs/dbraw/zinc/74/35/89/382743589.db2.gz RAKOPMRKZRUQEZ-GXSJLCMTSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000336953578 382745505 /nfs/dbraw/zinc/74/55/05/382745505.db2.gz SPBXHBQJFMVIJH-SNVBAGLBSA-N 0 0 285.294 2.958 20 5 CFBDRN CC(C)(C)CC[C@H](CO)Nc1ncc([N+](=O)[O-])s1 ZINC000336955670 382745817 /nfs/dbraw/zinc/74/58/17/382745817.db2.gz VGZAMGBTFSJZHF-MRVPVSSYSA-N 0 0 273.358 2.650 20 5 CFBDRN CSC1(CNC(=O)c2cccc([N+](=O)[O-])c2C)CC1 ZINC000194534616 382747348 /nfs/dbraw/zinc/74/73/48/382747348.db2.gz BJSQXPLWUHAYEO-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN C[C@@H]1C[C@H]1c1cc(NC(=O)c2csc([N+](=O)[O-])c2)n[nH]1 ZINC000194545910 382747920 /nfs/dbraw/zinc/74/79/20/382747920.db2.gz HUZVIVVXCSIUTA-HTRCEHHLSA-N 0 0 292.320 2.755 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1CCC(F)(F)CC1 ZINC000337003618 382750842 /nfs/dbraw/zinc/75/08/42/382750842.db2.gz XGBVFLDDLBKMAP-UHFFFAOYSA-N 0 0 274.271 2.566 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC2(CCC2)C1 ZINC000194836444 382755364 /nfs/dbraw/zinc/75/53/64/382755364.db2.gz ZPCZXHYSOSFDSF-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CCCN(c1cccc([N+](=O)[O-])c1)S(=O)(=O)CCC ZINC000194826947 382755541 /nfs/dbraw/zinc/75/55/41/382755541.db2.gz HDEJCRQITJOVRQ-UHFFFAOYSA-N 0 0 286.353 2.551 20 5 CFBDRN CC(=O)c1cc(N(C)CC(C)C)ccc1[N+](=O)[O-] ZINC000228257201 382846050 /nfs/dbraw/zinc/84/60/50/382846050.db2.gz IJHYWWTYIAARBF-UHFFFAOYSA-N 0 0 250.298 2.890 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000577067196 382846604 /nfs/dbraw/zinc/84/66/04/382846604.db2.gz CSHHDPIUPRPVJF-JQWIXIFHSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1ncc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)s1 ZINC000337294628 382796136 /nfs/dbraw/zinc/79/61/36/382796136.db2.gz PIVZWUVYKICUGN-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN CC(C)(CCCO)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000221190995 382797869 /nfs/dbraw/zinc/79/78/69/382797869.db2.gz SAVLVZRTTMZVCM-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN CC(=O)c1cc(NCc2nnc(C)s2)ccc1[N+](=O)[O-] ZINC000575771610 382798867 /nfs/dbraw/zinc/79/88/67/382798867.db2.gz QMYKZSXQXRHQQG-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN Cc1cc([C@H](C)NCc2ccc([N+](=O)[O-])cc2)no1 ZINC000337309087 382799140 /nfs/dbraw/zinc/79/91/40/382799140.db2.gz VKVHOVIBXSIDLI-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1ncncc1[C@H](C)[NH2+]CCc1ccc([N+](=O)[O-])cc1 ZINC000575881006 382802890 /nfs/dbraw/zinc/80/28/90/382802890.db2.gz DAVUANQIWKIBPN-NSHDSACASA-N 0 0 286.335 2.587 20 5 CFBDRN CCc1nn(C)cc1NCc1ccc([N+](=O)[O-])cc1 ZINC000222954055 382803817 /nfs/dbraw/zinc/80/38/17/382803817.db2.gz NFIRADDVPYHRBO-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN COC(=O)CCCCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000223955802 382807909 /nfs/dbraw/zinc/80/79/09/382807909.db2.gz FYXOAJPYWQWNKO-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN CN(CCc1ccccc1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000337359428 382809181 /nfs/dbraw/zinc/80/91/81/382809181.db2.gz FANHVKICJVBEJF-UHFFFAOYSA-N 0 0 284.315 2.910 20 5 CFBDRN CC(C)C[C@H](O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000224293553 382810161 /nfs/dbraw/zinc/81/01/61/382810161.db2.gz BZBZGOFDHQXOQW-NSHDSACASA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1noc([C@@H](C)N(C)Cc2ccc([N+](=O)[O-])cc2F)n1 ZINC000576118860 382811467 /nfs/dbraw/zinc/81/14/67/382811467.db2.gz ZAUBLWNYZXJWSN-MRVPVSSYSA-N 0 0 294.286 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC2CCC2)cc1 ZINC000280097595 194701277 /nfs/dbraw/zinc/70/12/77/194701277.db2.gz AOVMMYDHFZZQTK-LBPRGKRZSA-N 0 0 250.298 2.558 20 5 CFBDRN C[C@H](F)CCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000576373032 382820424 /nfs/dbraw/zinc/82/04/24/382820424.db2.gz GRRUBQPCMOLZAU-UWVGGRQHSA-N 0 0 268.288 2.563 20 5 CFBDRN COC(C)(C)CCn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000576703744 382832851 /nfs/dbraw/zinc/83/28/51/382832851.db2.gz OVMHRLWWZNCZEC-UHFFFAOYSA-N 0 0 290.319 2.725 20 5 CFBDRN CCC[C@@](C)(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000227284675 382835367 /nfs/dbraw/zinc/83/53/67/382835367.db2.gz ACERXINBRACVTP-LLVKDONJSA-N 0 0 273.720 2.606 20 5 CFBDRN COc1ncccc1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000227648957 382839022 /nfs/dbraw/zinc/83/90/22/382839022.db2.gz FSLBLABGTRWJQS-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])cc2F)CCOCC1 ZINC000227825000 382840970 /nfs/dbraw/zinc/84/09/70/382840970.db2.gz ABNVHCOXHOFZEH-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN C[C@@H](O)[C@H](C)Sc1c(F)cc([N+](=O)[O-])cc1F ZINC000227891120 382842012 /nfs/dbraw/zinc/84/20/12/382842012.db2.gz GIWXJXDGABNTPG-RITPCOANSA-N 0 0 263.265 2.734 20 5 CFBDRN CSC1(CNc2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000227989221 382843553 /nfs/dbraw/zinc/84/35/53/382843553.db2.gz SZUPWJPQXXFCLI-UHFFFAOYSA-N 0 0 273.745 2.951 20 5 CFBDRN COCC1CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CC1 ZINC000228260685 382845943 /nfs/dbraw/zinc/84/59/43/382845943.db2.gz WQAGPMLZDKAAJL-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN COCCCCCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000577318238 382854850 /nfs/dbraw/zinc/85/48/50/382854850.db2.gz WOJYRYLKBGMXMO-LBPRGKRZSA-N 0 0 294.351 2.631 20 5 CFBDRN CSCCCCCCNc1c([N+](=O)[O-])ncn1C ZINC000230097665 382855663 /nfs/dbraw/zinc/85/56/63/382855663.db2.gz HTEKAXFIYBKOMI-UHFFFAOYSA-N 0 0 272.374 2.664 20 5 CFBDRN NC(=O)c1cccc(CSc2cccc([N+](=O)[O-])c2)c1 ZINC000337738127 382859998 /nfs/dbraw/zinc/85/99/98/382859998.db2.gz BNGZBTUSOHPKSI-UHFFFAOYSA-N 0 0 288.328 2.986 20 5 CFBDRN CCCn1ncnc1CSc1cccc([N+](=O)[O-])c1 ZINC000337757537 382862217 /nfs/dbraw/zinc/86/22/17/382862217.db2.gz MRERBEOTZPJYRL-UHFFFAOYSA-N 0 0 278.337 2.889 20 5 CFBDRN COCCCCCNc1cc(OC)ccc1[N+](=O)[O-] ZINC000230902348 382863309 /nfs/dbraw/zinc/86/33/09/382863309.db2.gz DHBVTQGXDDWNBD-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN CC(C)(C)NC(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000337772447 382863679 /nfs/dbraw/zinc/86/36/79/382863679.db2.gz WBCONUJPBSZCKI-UHFFFAOYSA-N 0 0 268.338 2.602 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1c1ncc([N+](=O)[O-])cc1F ZINC000577587812 382863969 /nfs/dbraw/zinc/86/39/69/382863969.db2.gz NUCJDVCERHEHIS-IUCAKERBSA-N 0 0 253.277 2.896 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1C ZINC000231243755 382864160 /nfs/dbraw/zinc/86/41/60/382864160.db2.gz TXOLBUOJLKIYCU-UKRRQHHQSA-N 0 0 278.352 2.950 20 5 CFBDRN CC1(CCNC(=O)CSc2ccc([N+](=O)[O-])cc2)CC1 ZINC000280198035 194745079 /nfs/dbraw/zinc/74/50/79/194745079.db2.gz ZGPYBTSOOPYOAY-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000337842726 382871339 /nfs/dbraw/zinc/87/13/39/382871339.db2.gz GTFGKGAMTMRIHA-GHMZBOCLSA-N 0 0 298.289 2.847 20 5 CFBDRN Cc1cn(Cc2cc(F)cc3cccnc32)nc1[N+](=O)[O-] ZINC000337935179 382874275 /nfs/dbraw/zinc/87/42/75/382874275.db2.gz GAXILRLKKBVFFZ-UHFFFAOYSA-N 0 0 286.266 2.835 20 5 CFBDRN COCCOCCCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000234649017 382874571 /nfs/dbraw/zinc/87/45/71/382874571.db2.gz CAYBXHDINCXGTQ-UHFFFAOYSA-N 0 0 288.731 2.713 20 5 CFBDRN Cc1nccn1CCSc1cccc([N+](=O)[O-])c1 ZINC000337939269 382874610 /nfs/dbraw/zinc/87/46/10/382874610.db2.gz XPSAWXXBHQWXOP-UHFFFAOYSA-N 0 0 263.322 2.892 20 5 CFBDRN C[C@@H](CCCO)Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235100216 382876845 /nfs/dbraw/zinc/87/68/45/382876845.db2.gz URWITLZDGZMOOO-ZETCQYMHSA-N 0 0 293.245 2.582 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000421662045 382887873 /nfs/dbraw/zinc/88/78/73/382887873.db2.gz JPHWNULJLOFXFK-RYUDHWBXSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCC2(C3CC3)CC2)c1F ZINC000235989377 382891674 /nfs/dbraw/zinc/89/16/74/382891674.db2.gz KEXFTQNFLVFZAW-UHFFFAOYSA-N 0 0 292.310 2.962 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCCOCC(F)F ZINC000236140005 382892005 /nfs/dbraw/zinc/89/20/05/382892005.db2.gz VHVXZOLUFINGTN-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN CC/C=C\CNC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000455324522 382896592 /nfs/dbraw/zinc/89/65/92/382896592.db2.gz IXOMLDWYGYBUES-DAXSKMNVSA-N 0 0 291.351 2.745 20 5 CFBDRN C[C@@H]1C[C@@H](Sc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000421830743 382937231 /nfs/dbraw/zinc/93/72/31/382937231.db2.gz CPTDFLSIQDXBOX-SCZZXKLOSA-N 0 0 254.311 2.649 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](F)C1 ZINC000578663633 382942841 /nfs/dbraw/zinc/94/28/41/382942841.db2.gz CKWOWOHIHYXDAP-SNVBAGLBSA-N 0 0 281.287 2.869 20 5 CFBDRN CCCN(C(=O)COc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000578904204 382964732 /nfs/dbraw/zinc/96/47/32/382964732.db2.gz ICQLFEYMHHZZKG-UHFFFAOYSA-N 0 0 280.324 2.621 20 5 CFBDRN O=C(Nc1scnc1C1CC1)c1ccc([N+](=O)[O-])cn1 ZINC000534220487 382918133 /nfs/dbraw/zinc/91/81/33/382918133.db2.gz JXAOBPNNFPUWHF-UHFFFAOYSA-N 0 0 290.304 2.576 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000494675003 382918555 /nfs/dbraw/zinc/91/85/55/382918555.db2.gz SCRSHAFXYUEVIS-WTDIUWLJSA-N 0 0 289.335 2.754 20 5 CFBDRN CC[C@@H](F)COc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000441825319 382926316 /nfs/dbraw/zinc/92/63/16/382926316.db2.gz IIDGUNLEDFGDLM-SECBINFHSA-N 0 0 255.245 2.924 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1CC(F)(F)C1 ZINC000338141689 382932135 /nfs/dbraw/zinc/93/21/35/382932135.db2.gz JYBOPQIHFPFYFH-UHFFFAOYSA-N 0 0 298.289 2.641 20 5 CFBDRN CSCCCC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000338141919 382932215 /nfs/dbraw/zinc/93/22/15/382932215.db2.gz GZHDPSKZLMTLGD-UHFFFAOYSA-N 0 0 296.392 2.739 20 5 CFBDRN CC1(C)C[C@H](CNC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000457158707 382973426 /nfs/dbraw/zinc/97/34/26/382973426.db2.gz OCTDQWYKEBGHED-LLVKDONJSA-N 0 0 292.335 2.530 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1CCOC1CCCCCC1 ZINC000579006732 382974562 /nfs/dbraw/zinc/97/45/62/382974562.db2.gz NYULITWRYCIIOF-UHFFFAOYSA-N 0 0 298.314 2.635 20 5 CFBDRN COC(=O)c1cc(Nc2ccc(C)cc2[N+](=O)[O-])cn1C ZINC000338289217 382978450 /nfs/dbraw/zinc/97/84/50/382978450.db2.gz NXLNUSDIVRQHNH-UHFFFAOYSA-N 0 0 289.291 2.772 20 5 CFBDRN CC1(C)C[C@H](CNC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000457173006 382978470 /nfs/dbraw/zinc/97/84/70/382978470.db2.gz ZMQLYBTXTZNIMC-LLVKDONJSA-N 0 0 292.335 2.530 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])nc1)C(F)(F)F ZINC000548873619 382989601 /nfs/dbraw/zinc/98/96/01/382989601.db2.gz YCIANOVRWKHPCR-UHFFFAOYSA-N 0 0 263.219 2.990 20 5 CFBDRN O=C(N[C@H](C1CC1)C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000457218432 382993772 /nfs/dbraw/zinc/99/37/72/382993772.db2.gz ISSIHDCBMSZRHL-AWEZNQCLSA-N 0 0 274.320 2.903 20 5 CFBDRN C[C@H]1CC(C)(C)CN1c1ccc([N+](=O)[O-])c(N)c1F ZINC000579447581 383017735 /nfs/dbraw/zinc/01/77/35/383017735.db2.gz VSTOXOZHANWPNR-QMMMGPOBSA-N 0 0 267.304 2.941 20 5 CFBDRN C[C@H](NCc1ccccc1[N+](=O)[O-])c1ccncc1F ZINC000338349507 382999010 /nfs/dbraw/zinc/99/90/10/382999010.db2.gz NSJNBRWGLWCHOE-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN CCc1cc(N)nc(SCc2ccc([N+](=O)[O-])cc2)n1 ZINC000447776357 383004226 /nfs/dbraw/zinc/00/42/26/383004226.db2.gz BJVBHXVYYSWYIN-UHFFFAOYSA-N 0 0 290.348 2.652 20 5 CFBDRN CCC1(CC)CCC(NC(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000549357209 383006236 /nfs/dbraw/zinc/00/62/36/383006236.db2.gz WSJJXILBKVSGQM-UHFFFAOYSA-N 0 0 294.355 2.797 20 5 CFBDRN Cc1ccnc(NC[C@H]2[N@H+](C)CCCC2(C)C)c1[N+](=O)[O-] ZINC000447810696 383009429 /nfs/dbraw/zinc/00/94/29/383009429.db2.gz AGNRHXLKGRRRAG-GFCCVEGCSA-N 0 0 292.383 2.831 20 5 CFBDRN O=C([O-])CCC[N@@H+]1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000579436458 383015797 /nfs/dbraw/zinc/01/57/97/383015797.db2.gz QPDBWSQFAWLZTD-ZDUSSCGKSA-N 0 0 292.335 2.639 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)c2cc[nH]c(=O)c2)n1 ZINC000338734165 383032965 /nfs/dbraw/zinc/03/29/65/383032965.db2.gz PXEQFRIVEDOUFZ-JTQLQIEISA-N 0 0 288.307 2.880 20 5 CFBDRN C[C@H](F)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000338757517 383040536 /nfs/dbraw/zinc/04/05/36/383040536.db2.gz UIXNJJDUHQVCPC-VIFPVBQESA-N 0 0 263.272 2.725 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Cn1cc(C(C)C)cn1 ZINC000579711216 383045801 /nfs/dbraw/zinc/04/58/01/383045801.db2.gz BERUTJDDKVBWPC-UHFFFAOYSA-N 0 0 275.308 2.972 20 5 CFBDRN CN(Cc1c(F)cccc1F)c1ccc([N+](=O)[O-])nc1 ZINC000338782045 383049689 /nfs/dbraw/zinc/04/96/89/383049689.db2.gz HURRVWPASZJIDF-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CCC[C@@H]2F)ccc1[N+](=O)[O-] ZINC000338824774 383061342 /nfs/dbraw/zinc/06/13/42/383061342.db2.gz QLSIXTRJSBAPEU-NKWVEPMBSA-N 0 0 257.240 2.619 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000246121596 383062553 /nfs/dbraw/zinc/06/25/53/383062553.db2.gz YQYBTESHHRMQDJ-UHIISALHSA-N 0 0 292.335 2.648 20 5 CFBDRN COc1ccc(NC(=O)[C@@]2(C)CCCCO2)cc1[N+](=O)[O-] ZINC000457690578 383073513 /nfs/dbraw/zinc/07/35/13/383073513.db2.gz FGCCIQOVVVCUQE-CQSZACIVSA-N 0 0 294.307 2.501 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000457334744 383025883 /nfs/dbraw/zinc/02/58/83/383025883.db2.gz XUXFCALKUNJQRW-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN O=C(Nc1cc(C2CC2)ccn1)c1ccc([N+](=O)[O-])cn1 ZINC000579540527 383026665 /nfs/dbraw/zinc/02/66/65/383026665.db2.gz AQYJRQAJPBURSZ-UHFFFAOYSA-N 0 0 284.275 2.515 20 5 CFBDRN Cc1ccc(CN2CCc3c2cccc3[N+](=O)[O-])cn1 ZINC000593968344 383123041 /nfs/dbraw/zinc/12/30/41/383123041.db2.gz RYTNTJWVKHWGNR-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1cc(N2CCCC[C@@H]2[C@@H](C)CO)ccc1[N+](=O)[O-] ZINC000596435235 383126196 /nfs/dbraw/zinc/12/61/96/383126196.db2.gz LUONAANHPSGANN-GXTWGEPZSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@H](CO)[C@@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000596436123 383126493 /nfs/dbraw/zinc/12/64/93/383126493.db2.gz QYLVZXJKHHGEGS-PWSUYJOCSA-N 0 0 282.315 2.721 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2C[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000458130202 383129618 /nfs/dbraw/zinc/12/96/18/383129618.db2.gz PVTRSSGLHPSEMG-NWDGAFQWSA-N 0 0 262.309 2.678 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@@]1(C)C(C)C ZINC000458152200 383130163 /nfs/dbraw/zinc/13/01/63/383130163.db2.gz RCFWGXNPCVBZOX-HIFRSBDPSA-N 0 0 292.335 2.768 20 5 CFBDRN CN(CC(C)(C)C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000608892362 383133779 /nfs/dbraw/zinc/13/37/79/383133779.db2.gz CCXMDVOMLSEXQZ-UHFFFAOYSA-N 0 0 279.340 2.782 20 5 CFBDRN CCCCN(CC)c1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000552886625 383093060 /nfs/dbraw/zinc/09/30/60/383093060.db2.gz YJNVJOSJYCHPFT-UHFFFAOYSA-N 0 0 295.339 2.711 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000448918058 383107132 /nfs/dbraw/zinc/10/71/32/383107132.db2.gz OUXHXTLUHTVNJH-KWQFWETISA-N 0 0 296.298 2.661 20 5 CFBDRN CC[C@](C)(OC)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000280517143 194867575 /nfs/dbraw/zinc/86/75/75/194867575.db2.gz NYLQLUBXOSHRKM-LBPRGKRZSA-N 0 0 288.250 2.627 20 5 CFBDRN COCCN(CC(C)C)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000247978211 383203509 /nfs/dbraw/zinc/20/35/09/383203509.db2.gz FLHDLMYHFCSRKU-UHFFFAOYSA-N 0 0 294.351 2.648 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)C1CCCCCC1 ZINC000248042605 383209246 /nfs/dbraw/zinc/20/92/46/383209246.db2.gz LMYCITKJUAFURX-UHFFFAOYSA-N 0 0 266.297 2.983 20 5 CFBDRN CC[C@@H](C)[C@@H](C)N(C(=O)c1cc([N+](=O)[O-])cn1C)C1CC1 ZINC000519115875 383210338 /nfs/dbraw/zinc/21/03/38/383210338.db2.gz VBDMZHSOHDCCGT-GHMZBOCLSA-N 0 0 293.367 2.973 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H](C)C[C@H]1C ZINC000247161873 383148738 /nfs/dbraw/zinc/14/87/38/383148738.db2.gz ZMYAJKCZLUWXSJ-GPCCPHFNSA-N 0 0 291.351 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@@H]2CCC[C@@H]21 ZINC000339099673 383148812 /nfs/dbraw/zinc/14/88/12/383148812.db2.gz NZXZNXDTKLWMIE-GWCFXTLKSA-N 0 0 262.309 2.675 20 5 CFBDRN O=c1ccn(Cc2sccc2Cl)cc1[N+](=O)[O-] ZINC000339113804 383153500 /nfs/dbraw/zinc/15/35/00/383153500.db2.gz HNCBBIRTGMNDTG-UHFFFAOYSA-N 0 0 270.697 2.520 20 5 CFBDRN CC[C@@](C)(OC)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000280503688 194863315 /nfs/dbraw/zinc/86/33/15/194863315.db2.gz CJVKYJIDCPXDNP-CYBMUJFWSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])N1CCCCCC1 ZINC000247351698 383166275 /nfs/dbraw/zinc/16/62/75/383166275.db2.gz WDNWGXMLIWIWRC-UHFFFAOYSA-N 0 0 291.351 2.799 20 5 CFBDRN Cc1nn(C[C@@H]2CCC3(CCCC3)O2)cc1[N+](=O)[O-] ZINC000567190710 383250564 /nfs/dbraw/zinc/25/05/64/383250564.db2.gz RZJJPPLGYLWCEE-NSHDSACASA-N 0 0 265.313 2.592 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000458628846 383218603 /nfs/dbraw/zinc/21/86/03/383218603.db2.gz XUJSUJXMNCSMLS-GWCFXTLKSA-N 0 0 289.335 2.850 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000520957176 383279832 /nfs/dbraw/zinc/27/98/32/383279832.db2.gz AIKYMGFWVFWLLK-VIFPVBQESA-N 0 0 294.307 2.632 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000520957175 383280083 /nfs/dbraw/zinc/28/00/83/383280083.db2.gz AIKYMGFWVFWLLK-SECBINFHSA-N 0 0 294.307 2.632 20 5 CFBDRN CCc1cccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)n1 ZINC000449371170 383243213 /nfs/dbraw/zinc/24/32/13/383243213.db2.gz RAIFJDGOLLTCSV-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000425136326 383329346 /nfs/dbraw/zinc/32/93/46/383329346.db2.gz IEIYLBBUQICXSX-ILWJIGKKSA-N 0 0 285.303 2.604 20 5 CFBDRN O=c1[nH]c(Cc2ccccc2[N+](=O)[O-])nc2ccc(F)cc12 ZINC000521183368 383287664 /nfs/dbraw/zinc/28/76/64/383287664.db2.gz ZTIOOQCAHNRUIA-UHFFFAOYSA-N 0 0 299.261 2.974 20 5 CFBDRN CC1(C)C[C@H](NCc2ncccn2)c2cc([N+](=O)[O-])ccc21 ZINC000521464236 383297453 /nfs/dbraw/zinc/29/74/53/383297453.db2.gz OOVIQXAGXRWKJY-AWEZNQCLSA-N 0 0 298.346 2.897 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](CO)C(C)C)c([N+](=O)[O-])c1 ZINC000280635810 194910129 /nfs/dbraw/zinc/91/01/29/194910129.db2.gz CSFBXNYQAIJYBY-GFCCVEGCSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000424981805 383303365 /nfs/dbraw/zinc/30/33/65/383303365.db2.gz ZPCXNPYVCATCBO-GFCCVEGCSA-N 0 0 292.310 2.680 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2C[C@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000424979449 383303463 /nfs/dbraw/zinc/30/34/63/383303463.db2.gz JJWHNOPRGDDEIB-VHSXEESVSA-N 0 0 280.349 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@@H]2CC2CCC2)cc1 ZINC000339774388 383314263 /nfs/dbraw/zinc/31/42/63/383314263.db2.gz HFRJKYMDFFPFJC-INIZCTEOSA-N 0 0 290.363 2.986 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])cn2)C[C@H]1C ZINC000251603198 383391154 /nfs/dbraw/zinc/39/11/54/383391154.db2.gz CSVSBELSUDJCHA-OPRDCNLKSA-N 0 0 250.302 2.621 20 5 CFBDRN C[C@@H](C1CC1)n1nccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000252012183 383403432 /nfs/dbraw/zinc/40/34/32/383403432.db2.gz SQGKMOBMNWHSNX-QMMMGPOBSA-N 0 0 290.279 2.608 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCc2ccccc2N1 ZINC000525706808 383404771 /nfs/dbraw/zinc/40/47/71/383404771.db2.gz LHBOWYOSWIFYLG-CYBMUJFWSA-N 0 0 298.346 2.559 20 5 CFBDRN CN1CC[C@H](CNc2ccc(Cl)cc2[N+](=O)[O-])CC1=O ZINC000425270636 383354932 /nfs/dbraw/zinc/35/49/32/383354932.db2.gz WMUUUGLIGQPKRE-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN CC[C@H](C)N(C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000340116561 383359246 /nfs/dbraw/zinc/35/92/46/383359246.db2.gz HVGNMPTXHSEXMQ-NSHDSACASA-N 0 0 280.324 2.621 20 5 CFBDRN Cc1nc(CCNc2ncc(Cl)cc2[N+](=O)[O-])c(C)o1 ZINC000340207536 383381352 /nfs/dbraw/zinc/38/13/52/383381352.db2.gz SZARLRCWNZNNNW-UHFFFAOYSA-N 0 0 296.714 2.903 20 5 CFBDRN CC[C@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2C)C1 ZINC000480054127 383414894 /nfs/dbraw/zinc/41/48/94/383414894.db2.gz ASSPFPXALRKXIC-LBPRGKRZSA-N 0 0 291.351 2.845 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2cc(C)ccc2[N+](=O)[O-])[C@H]1OC ZINC000252751299 383432231 /nfs/dbraw/zinc/43/22/31/383432231.db2.gz ACSCTUKFRAPJOO-IACUBPJLSA-N 0 0 280.324 2.508 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc(C)cc2[N+](=O)[O-])[C@H]1OC ZINC000252755999 383432432 /nfs/dbraw/zinc/43/24/32/383432432.db2.gz BQEREMBPWWFXSX-IACUBPJLSA-N 0 0 280.324 2.508 20 5 CFBDRN CCCC[C@@H](Sc1ccc([N+](=O)[O-])nc1)C(=O)OC ZINC000450495373 383436736 /nfs/dbraw/zinc/43/67/36/383436736.db2.gz VAQKJLJBBYWOGD-SNVBAGLBSA-N 0 0 284.337 2.814 20 5 CFBDRN CCC[C@H](CC)S(=O)(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000568832686 383440592 /nfs/dbraw/zinc/44/05/92/383440592.db2.gz GRQYIAFMUFYDEG-LBPRGKRZSA-N 0 0 286.353 2.915 20 5 CFBDRN CC(C)(C)N1CC[C@H](Nc2ccc(F)cc2[N+](=O)[O-])C1=O ZINC000568861028 383444269 /nfs/dbraw/zinc/44/42/69/383444269.db2.gz UOVDCSLLPCNIGP-NSHDSACASA-N 0 0 295.314 2.545 20 5 CFBDRN Cc1cc(SCCC(C)(C)O)ncc1[N+](=O)[O-] ZINC000568878967 383446924 /nfs/dbraw/zinc/44/69/24/383446924.db2.gz BUDIFBWCUWFHMA-UHFFFAOYSA-N 0 0 256.327 2.551 20 5 CFBDRN CC[C@H](CNC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC000340595044 383482063 /nfs/dbraw/zinc/48/20/63/383482063.db2.gz RLJZIOURZYGNTL-LLVKDONJSA-N 0 0 287.319 2.847 20 5 CFBDRN CCCC[C@@H](CCC)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000484698979 383456649 /nfs/dbraw/zinc/45/66/49/383456649.db2.gz DMRWGZVEVYSLNC-SECBINFHSA-N 0 0 267.333 2.568 20 5 CFBDRN Cc1cnc(NCCCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000450557431 383460236 /nfs/dbraw/zinc/46/02/36/383460236.db2.gz PLQPLGZHDNOAHB-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCSC2)n1 ZINC000359100839 291827270 /nfs/dbraw/zinc/82/72/70/291827270.db2.gz QDQDHTIZOWJZPJ-VIFPVBQESA-N 0 0 253.327 2.524 20 5 CFBDRN Cc1cn([C@@H](C)c2noc(-c3ccccc3)n2)nc1[N+](=O)[O-] ZINC000340596306 383482615 /nfs/dbraw/zinc/48/26/15/383482615.db2.gz OCBDPAHYYGUAQJ-JTQLQIEISA-N 0 0 299.290 2.759 20 5 CFBDRN C[C@@H](c1ccco1)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000253605803 383465081 /nfs/dbraw/zinc/46/50/81/383465081.db2.gz BZNRBTGVLLGERP-NSHDSACASA-N 0 0 288.303 2.950 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCC2(F)CC2)c(Cl)c1 ZINC000527233887 383465721 /nfs/dbraw/zinc/46/57/21/383465721.db2.gz XAGRELDXONBMOF-UHFFFAOYSA-N 0 0 258.680 2.840 20 5 CFBDRN Cc1cncc(CNc2nc(C)ccc2[N+](=O)[O-])c1 ZINC000340575792 383475416 /nfs/dbraw/zinc/47/54/16/383475416.db2.gz NQARAGCOLLBUIR-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cnc([C@@H](C)N(C)Cc2ccccc2[N+](=O)[O-])cn1 ZINC000428454442 383475907 /nfs/dbraw/zinc/47/59/07/383475907.db2.gz AYDHMBLQUUEJEE-GFCCVEGCSA-N 0 0 286.335 2.886 20 5 CFBDRN Cc1cc(N2CCC[C@H]2[C@@H]2CCCOC2)ncc1[N+](=O)[O-] ZINC000568624968 383420532 /nfs/dbraw/zinc/42/05/32/383420532.db2.gz DJEQESHXIOKDIX-OLZOCXBDSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1ccsc1CN(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340445698 383422298 /nfs/dbraw/zinc/42/22/98/383422298.db2.gz YCDXMYZRPUGBQH-UHFFFAOYSA-N 0 0 279.321 2.565 20 5 CFBDRN CCc1ccc([C@H](C)NCc2c([N+](=O)[O-])ncn2C)cc1 ZINC000341066029 383552505 /nfs/dbraw/zinc/55/25/05/383552505.db2.gz VSNXRLUVHVIEAS-NSHDSACASA-N 0 0 288.351 2.742 20 5 CFBDRN CCO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(N)c2F)C[C@H]1C ZINC000450743412 383485004 /nfs/dbraw/zinc/48/50/04/383485004.db2.gz HFUGIWSNQYYQBW-BXKDBHETSA-N 0 0 297.330 2.567 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CSC2(C)C)n1 ZINC000338423914 291827434 /nfs/dbraw/zinc/82/74/34/291827434.db2.gz UAZFZJWTBTWUIP-VIFPVBQESA-N 0 0 267.354 2.913 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CC23CCOCC3)c([N+](=O)[O-])c1 ZINC000450793323 383493053 /nfs/dbraw/zinc/49/30/53/383493053.db2.gz LIJGMZPROXKTCA-CQSZACIVSA-N 0 0 290.319 2.778 20 5 CFBDRN CC(C)(C)c1ccc(NS(=O)(=O)C2CC2)cc1[N+](=O)[O-] ZINC000340728364 383493546 /nfs/dbraw/zinc/49/35/46/383493546.db2.gz XOVIAXRLSRCUAI-UHFFFAOYSA-N 0 0 298.364 2.796 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])c2nccn21 ZINC000450830221 383509471 /nfs/dbraw/zinc/50/94/71/383509471.db2.gz NNKNTTLIEIUOGE-CBAPKCEASA-N 0 0 293.714 2.958 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H]1C[C@H](C)CCC1=O ZINC000450835598 383513693 /nfs/dbraw/zinc/51/36/93/383513693.db2.gz XHITZGJBDFBMOK-NOZJJQNGSA-N 0 0 279.292 2.740 20 5 CFBDRN O=[N+]([O-])c1c(NCCC2(F)CCC2)nc2sccn21 ZINC000340973752 383515337 /nfs/dbraw/zinc/51/53/37/383515337.db2.gz QZABUHKVFWNDEK-UHFFFAOYSA-N 0 0 284.316 2.998 20 5 CFBDRN Cc1cc(N[C@@H](C)Cc2cnn(C)c2)c(F)cc1[N+](=O)[O-] ZINC000450839974 383515890 /nfs/dbraw/zinc/51/58/90/383515890.db2.gz IAOSVTGVCPRCHT-JTQLQIEISA-N 0 0 292.314 2.819 20 5 CFBDRN CCCc1ncc(CNc2ncc(C)cc2[N+](=O)[O-])o1 ZINC000450844117 383517470 /nfs/dbraw/zinc/51/74/70/383517470.db2.gz GZNQKJDCBKCVRM-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)CSC ZINC000569607871 383518515 /nfs/dbraw/zinc/51/85/15/383518515.db2.gz XALKOLLAYZYCGE-QMMMGPOBSA-N 0 0 283.353 2.574 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nccc3ccc([N+](=O)[O-])cc32)CO1 ZINC000569600773 383518543 /nfs/dbraw/zinc/51/85/43/383518543.db2.gz ZEGUVQHQHVZEFY-KOLCDFICSA-N 0 0 273.292 2.732 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000450859189 383525867 /nfs/dbraw/zinc/52/58/67/383525867.db2.gz MOUYBZYHTCWPAB-ZWNOBZJWSA-N 0 0 279.340 2.832 20 5 CFBDRN CC(=O)CCCCCOc1ncc(C)cc1[N+](=O)[O-] ZINC000450862741 383526777 /nfs/dbraw/zinc/52/67/77/383526777.db2.gz RSCQYYAAMPGFED-UHFFFAOYSA-N 0 0 266.297 2.826 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000450867125 383528809 /nfs/dbraw/zinc/52/88/09/383528809.db2.gz YBGDHYYSNRXBCO-BXUZGUMPSA-N 0 0 280.324 2.759 20 5 CFBDRN CCc1ccc([C@@H](C)NCc2c([N+](=O)[O-])ncn2C)cc1 ZINC000341066027 383551952 /nfs/dbraw/zinc/55/19/52/383551952.db2.gz VSNXRLUVHVIEAS-LLVKDONJSA-N 0 0 288.351 2.742 20 5 CFBDRN Cc1nn(C)cc1COc1ccc(C)c([N+](=O)[O-])c1 ZINC000450891938 383537778 /nfs/dbraw/zinc/53/77/78/383537778.db2.gz CFFOPJSLUGHBMS-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2CO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000569806661 383539174 /nfs/dbraw/zinc/53/91/74/383539174.db2.gz QBPPIYLTIQVTOE-GXSJLCMTSA-N 0 0 278.308 2.777 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCC[C@H]1CCOC1 ZINC000450900389 383542237 /nfs/dbraw/zinc/54/22/37/383542237.db2.gz HBGSGOXOMWJTMQ-SECBINFHSA-N 0 0 255.245 2.539 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@H](F)C1 ZINC000569858355 383544680 /nfs/dbraw/zinc/54/46/80/383544680.db2.gz HJVDIMLSRAOYRL-VIFPVBQESA-N 0 0 291.282 2.650 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C1=CCCC1 ZINC000570215453 383583204 /nfs/dbraw/zinc/58/32/04/383583204.db2.gz ISJYLFMHGIMJHZ-UHFFFAOYSA-N 0 0 261.281 2.685 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@@H](CSC)C1 ZINC000488980802 383588279 /nfs/dbraw/zinc/58/82/79/383588279.db2.gz LJCFUBGOPOEOIL-LLVKDONJSA-N 0 0 298.412 2.699 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1C[C@@H](C)OC(C)(C)C1 ZINC000430193346 383591137 /nfs/dbraw/zinc/59/11/37/383591137.db2.gz DPOSTOUUTNUSFO-LLVKDONJSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000430786962 383601647 /nfs/dbraw/zinc/60/16/47/383601647.db2.gz DPNYRNGOHLEHJB-ZYHUDNBSSA-N 0 0 292.335 2.587 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000450936794 383558191 /nfs/dbraw/zinc/55/81/91/383558191.db2.gz INLNFYYPYCOWHY-DGCLKSJQSA-N 0 0 290.323 2.841 20 5 CFBDRN CS[C@@H](C)CNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000341083050 383558255 /nfs/dbraw/zinc/55/82/55/383558255.db2.gz BNRLPJVJGBNLAG-UWVGGRQHSA-N 0 0 297.380 2.707 20 5 CFBDRN COc1ccc(NC(=O)N(C)[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000488578900 383563636 /nfs/dbraw/zinc/56/36/36/383563636.db2.gz IEOWJBLWMTYZPS-GFCCVEGCSA-N 0 0 293.323 2.866 20 5 CFBDRN COCC(C)(C)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000488697313 383568372 /nfs/dbraw/zinc/56/83/72/383568372.db2.gz DOBOKWCULKFOSS-UHFFFAOYSA-N 0 0 267.329 2.691 20 5 CFBDRN Cc1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)c(C)o1 ZINC000431247039 383641327 /nfs/dbraw/zinc/64/13/27/383641327.db2.gz KNLLBRMXSPCOIB-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCn3ccnc3C2)c1 ZINC000489910926 383641665 /nfs/dbraw/zinc/64/16/65/383641665.db2.gz JBIQFFQXNBWEQP-LLVKDONJSA-N 0 0 272.308 2.527 20 5 CFBDRN CO[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000451547933 383642490 /nfs/dbraw/zinc/64/24/90/383642490.db2.gz RGFKYOFQLRMYGA-IINYFYTJSA-N 0 0 282.315 2.591 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000280878796 195011983 /nfs/dbraw/zinc/01/19/83/195011983.db2.gz ATUOQHSEUYTPEE-MWLCHTKSSA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1cc(=O)n(Cc2cc3ccccc3o2)cc1[N+](=O)[O-] ZINC000489689110 383632826 /nfs/dbraw/zinc/63/28/26/383632826.db2.gz IKPYCHONXILIKK-UHFFFAOYSA-N 0 0 284.271 2.859 20 5 CFBDRN O=C(CCCC(=O)C1CC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000451526973 383636088 /nfs/dbraw/zinc/63/60/88/383636088.db2.gz CUHXFFDZGCFIHG-UHFFFAOYSA-N 0 0 294.282 2.822 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCC[C@H](O)C(F)(F)F ZINC000432163500 322455188 /nfs/dbraw/zinc/45/51/88/322455188.db2.gz QRPIBZVNZRBEGO-QMMMGPOBSA-N 0 0 298.648 2.973 20 5 CFBDRN CC/C=C/CCn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000571045968 383661783 /nfs/dbraw/zinc/66/17/83/383661783.db2.gz QXBMRBGFRJFSSJ-ONEGZZNKSA-N 0 0 276.336 2.992 20 5 CFBDRN COc1ccncc1CNc1cc(C)ccc1[N+](=O)[O-] ZINC000431431280 383671124 /nfs/dbraw/zinc/67/11/24/383671124.db2.gz NXTWPPKRHKMYNP-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCOC(=O)[C@H](C)[C@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000436331761 383806104 /nfs/dbraw/zinc/80/61/04/383806104.db2.gz ODPHGTPKBYBTHY-MNOVXSKESA-N 0 0 295.339 2.606 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H]1CO[C@H](C)C1 ZINC000572758183 383813605 /nfs/dbraw/zinc/81/36/05/383813605.db2.gz BEMHGVZJCJTPGB-MNOVXSKESA-N 0 0 293.323 2.511 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H]1CO[C@@H](C)C1 ZINC000572758185 383813866 /nfs/dbraw/zinc/81/38/66/383813866.db2.gz BEMHGVZJCJTPGB-WDEREUQCSA-N 0 0 293.323 2.511 20 5 CFBDRN COCCN(Cc1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000436432160 383817449 /nfs/dbraw/zinc/81/74/49/383817449.db2.gz NDEMSZHGPVLLGB-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN Cc1c(-c2noc(CC3CC3)n2)cccc1[N+](=O)[O-] ZINC000453255125 383817541 /nfs/dbraw/zinc/81/75/41/383817541.db2.gz LIJNVOMLMDCIJW-UHFFFAOYSA-N 0 0 259.265 2.906 20 5 CFBDRN Cc1nn(CC(=O)c2c(C)[nH]c3ccccc32)cc1[N+](=O)[O-] ZINC000436474684 383821009 /nfs/dbraw/zinc/82/10/09/383821009.db2.gz QOYZRYYCYAGEIP-UHFFFAOYSA-N 0 0 298.302 2.772 20 5 CFBDRN CC1(C)C(NC(=O)NCc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000530727420 383788354 /nfs/dbraw/zinc/78/83/54/383788354.db2.gz MGXZBWVDBRTFET-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN CC(C)C[C@H](C)Cn1cnc2ccc([N+](=O)[O-])cc2c1=O ZINC000280985889 195057701 /nfs/dbraw/zinc/05/77/01/195057701.db2.gz MCCCCNHSOKZWDK-NSHDSACASA-N 0 0 289.335 2.987 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1nnc(C2CC2)o1 ZINC000530886726 383801227 /nfs/dbraw/zinc/80/12/27/383801227.db2.gz NIKLVAVNKVCQTF-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000572634709 383801540 /nfs/dbraw/zinc/80/15/40/383801540.db2.gz HFBPBZHTOAGITL-HNNXBMFYSA-N 0 0 293.367 2.688 20 5 CFBDRN Cc1cc(NCCCO[C@@H]2CCCCO2)ncc1[N+](=O)[O-] ZINC000281013817 195069253 /nfs/dbraw/zinc/06/92/53/195069253.db2.gz REQYJEHEFCQKQA-CQSZACIVSA-N 0 0 295.339 2.643 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1cc(C)on1 ZINC000572974925 383852111 /nfs/dbraw/zinc/85/21/11/383852111.db2.gz DMPYUQNZBDGUJC-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN Cc1nc(CCOc2c(C)c(C)ncc2[N+](=O)[O-])cs1 ZINC000573104377 383872112 /nfs/dbraw/zinc/87/21/12/383872112.db2.gz PJBAMLDVRGAZEB-UHFFFAOYSA-N 0 0 293.348 2.993 20 5 CFBDRN CC(=O)[C@H](Sc1ncccc1[N+](=O)[O-])C(C)C ZINC000573119413 383876673 /nfs/dbraw/zinc/87/66/73/383876673.db2.gz UDSGINKZUMIDSC-SNVBAGLBSA-N 0 0 254.311 2.696 20 5 CFBDRN CC[C@@](C)(CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1)OC ZINC000454138259 383910933 /nfs/dbraw/zinc/91/09/33/383910933.db2.gz CIRVOUIDEZCPBW-AWEZNQCLSA-N 0 0 295.339 2.840 20 5 CFBDRN CCOC1(C)CN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000454145644 383916620 /nfs/dbraw/zinc/91/66/20/383916620.db2.gz LMIVWCKXTGVMDL-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1nc(NC[C@@H]2CCc3ccccc3N2)ccc1[N+](=O)[O-] ZINC000531853806 383932317 /nfs/dbraw/zinc/93/23/17/383932317.db2.gz HIXKVIMCHVEGBD-ZDUSSCGKSA-N 0 0 298.346 2.559 20 5 CFBDRN Cc1nc(N2CCC(OC(C)C)CC2)ccc1[N+](=O)[O-] ZINC000531599885 383894800 /nfs/dbraw/zinc/89/48/00/383894800.db2.gz XDNGRCPYKGGICM-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531629037 383899914 /nfs/dbraw/zinc/89/99/14/383899914.db2.gz NJHQPPLFEJWXET-NXEZZACHSA-N 0 0 265.313 2.544 20 5 CFBDRN CC[C@@H](CO)N[C@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000573853554 384001948 /nfs/dbraw/zinc/00/19/48/384001948.db2.gz KMOUSBSTEFVQSP-GXSJLCMTSA-N 0 0 292.257 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCOC2)c(F)c1 ZINC000532132129 383979442 /nfs/dbraw/zinc/97/94/42/383979442.db2.gz QGDWWQBUZHEYEB-SECBINFHSA-N 0 0 255.245 2.539 20 5 CFBDRN CC(C)(C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532139678 383981496 /nfs/dbraw/zinc/98/14/96/383981496.db2.gz QOENEFIQYJOZNS-GFCCVEGCSA-N 0 0 277.324 2.641 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])cn1)C1CCCC1 ZINC000531882751 383937657 /nfs/dbraw/zinc/93/76/57/383937657.db2.gz JJQAZXMFAXGEEK-UHFFFAOYSA-N 0 0 277.324 2.783 20 5 CFBDRN CC(C)[C@@H](C)N(C(=O)c1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000531882098 383938038 /nfs/dbraw/zinc/93/80/38/383938038.db2.gz MOJUNXFIPDHSGP-SNVBAGLBSA-N 0 0 277.324 2.639 20 5 CFBDRN C[C@H]1CCCC[C@H]1CCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531882481 383938071 /nfs/dbraw/zinc/93/80/71/383938071.db2.gz MFBDNMRMWAJAEH-RYUDHWBXSA-N 0 0 291.351 2.936 20 5 CFBDRN COCC1(CCNc2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000573679998 383970551 /nfs/dbraw/zinc/97/05/51/383970551.db2.gz ZZQPKJZZMHIDPA-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000573689455 383973137 /nfs/dbraw/zinc/97/31/37/383973137.db2.gz RZOXRUAUCXEABI-CMPLNLGQSA-N 0 0 295.314 2.799 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@@]2(CCOC2)C1 ZINC000573980649 384024638 /nfs/dbraw/zinc/02/46/38/384024638.db2.gz BVYUCBWTPALOSI-OAHLLOKOSA-N 0 0 292.335 2.610 20 5 CFBDRN CCN(Cc1cccs1)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532397474 384024839 /nfs/dbraw/zinc/02/48/39/384024839.db2.gz TXDYHDUPCZNEKO-UHFFFAOYSA-N 0 0 291.332 2.714 20 5 CFBDRN C[C@H]1CC=C(C(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000454800619 384038503 /nfs/dbraw/zinc/03/85/03/384038503.db2.gz LNRMXEQZQNOAAV-NSHDSACASA-N 0 0 274.320 2.957 20 5 CFBDRN Cc1c(CNC(=O)N2CCC[C@H]2CF)cccc1[N+](=O)[O-] ZINC000454852109 384056052 /nfs/dbraw/zinc/05/60/52/384056052.db2.gz IQWMOYHZLJYOOW-LBPRGKRZSA-N 0 0 295.314 2.547 20 5 CFBDRN Cc1ccc(CC(=O)N(C)c2cccc([N+](=O)[O-])c2)o1 ZINC000532825991 384075252 /nfs/dbraw/zinc/07/52/52/384075252.db2.gz NHLVOJOARDDUHQ-UHFFFAOYSA-N 0 0 274.276 2.702 20 5 CFBDRN CC(C)C(C)(C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000574469193 384101790 /nfs/dbraw/zinc/10/17/90/384101790.db2.gz HNCSZWGNXFIUQB-UHFFFAOYSA-N 0 0 250.298 2.759 20 5 CFBDRN Cc1ccnc(N2CCS[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000266197074 384112162 /nfs/dbraw/zinc/11/21/62/384112162.db2.gz IBVAHAJOGHQRKG-NSHDSACASA-N 0 0 281.381 2.876 20 5 CFBDRN COc1cc(N[C@@H]2[C@H]3CCO[C@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000266209331 384115808 /nfs/dbraw/zinc/11/58/08/384115808.db2.gz FWORMPPGIJISGN-LERXQTSPSA-N 0 0 292.335 2.829 20 5 CFBDRN C[C@@H](F)CCNC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000455056085 384127227 /nfs/dbraw/zinc/12/72/27/384127227.db2.gz WDFAQJGCCCXBDE-LLVKDONJSA-N 0 0 297.330 2.527 20 5 CFBDRN COc1ccc(NC(=O)N2CC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000455110642 384142854 /nfs/dbraw/zinc/14/28/54/384142854.db2.gz XJPJUPPVRLLXIL-NSHDSACASA-N 0 0 291.307 2.620 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000277144043 384213694 /nfs/dbraw/zinc/21/36/94/384213694.db2.gz QQIIJVHOTFUJRD-ZETCQYMHSA-N 0 0 293.302 2.531 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@H](C)C(C)C ZINC000277193025 384228297 /nfs/dbraw/zinc/22/82/97/384228297.db2.gz BOFKPPJCOCHLML-SNVBAGLBSA-N 0 0 280.324 2.720 20 5 CFBDRN O=C(NC1CCC2(CC2)CC1)c1ccc([N+](=O)[O-])o1 ZINC000277492214 384318168 /nfs/dbraw/zinc/31/81/68/384318168.db2.gz RYFOFRKHZVBVOG-UHFFFAOYSA-N 0 0 264.281 2.640 20 5 CFBDRN CC[C@H](C)c1nnc([C@@H](C)Nc2ncccc2[N+](=O)[O-])[nH]1 ZINC000277501876 384321243 /nfs/dbraw/zinc/32/12/43/384321243.db2.gz VJMHGORMFSGBBX-DTWKUNHWSA-N 0 0 290.327 2.795 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H](C)CC[C@H]1C ZINC000277396061 384289628 /nfs/dbraw/zinc/28/96/28/384289628.db2.gz GLJMZSNYXSTPPB-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)CC(C)(C)C ZINC000277592201 384348016 /nfs/dbraw/zinc/34/80/16/384348016.db2.gz NNAYSVKZMMMWSO-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ncc[nH]3)no2)c(Cl)c1 ZINC000349762290 285104942 /nfs/dbraw/zinc/10/49/42/285104942.db2.gz CWEJVRGOJPZMEF-UHFFFAOYSA-N 0 0 291.654 2.688 20 5 CFBDRN C[C@@H]1CO[C@@H](c2ccccc2)CN1c1ccc([N+](=O)[O-])cn1 ZINC000267236241 384331950 /nfs/dbraw/zinc/33/19/50/384331950.db2.gz GNAGWABFCPGRGV-IUODEOHRSA-N 0 0 299.330 2.956 20 5 CFBDRN CCC[C@]1(CO)CCC[N@@H+]1Cc1ccccc1[N+](=O)[O-] ZINC000277787008 384405579 /nfs/dbraw/zinc/40/55/79/384405579.db2.gz OIQJWRPZKKOECM-OAHLLOKOSA-N 0 0 278.352 2.722 20 5 CFBDRN C[C@@H]1CN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C[C@H]1C ZINC000267741165 384454373 /nfs/dbraw/zinc/45/43/73/384454373.db2.gz WTWIGAMSXUJUCC-NXEZZACHSA-N 0 0 289.335 2.572 20 5 CFBDRN CCC[N@@H+]1CCC[C@@H]1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000343950584 220279667 /nfs/dbraw/zinc/27/96/67/220279667.db2.gz BESOHEWXIVOCJS-CYBMUJFWSA-N 0 0 292.383 2.893 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@H]1C[C@H]1C1CC1 ZINC000347970315 220288454 /nfs/dbraw/zinc/28/84/54/220288454.db2.gz RPFVLFJTDFDUFH-RYUDHWBXSA-N 0 0 264.256 2.719 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)C[C@H]1CCCCO1 ZINC000278122936 384478488 /nfs/dbraw/zinc/47/84/88/384478488.db2.gz LWOAHIVOYWCMRS-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc(OC(=O)OC2CCOCC2)cc1[N+](=O)[O-] ZINC000289399095 197328646 /nfs/dbraw/zinc/32/86/46/197328646.db2.gz FLFSPVSKFSNRSY-UHFFFAOYSA-N 0 0 281.264 2.598 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CCCOC1 ZINC000352221448 220300478 /nfs/dbraw/zinc/30/04/78/220300478.db2.gz MDFGXFAYVNZPLM-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCc2n[nH]cc2C1 ZINC000271491730 285117028 /nfs/dbraw/zinc/11/70/28/285117028.db2.gz BTSFFGGAWIACNG-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000352290542 220301513 /nfs/dbraw/zinc/30/15/13/220301513.db2.gz RMEKVOWHGLIBDP-QMMMGPOBSA-N 0 0 266.272 2.510 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCC(C)(C)O1 ZINC000278279800 384516465 /nfs/dbraw/zinc/51/64/65/384516465.db2.gz PZQIRNQJCLHTFH-NSHDSACASA-N 0 0 279.292 2.766 20 5 CFBDRN CC[C@H](C)[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C(F)(F)F ZINC000278283289 384516715 /nfs/dbraw/zinc/51/67/15/384516715.db2.gz ZWORPKJJHPPZRQ-RCOVLWMOSA-N 0 0 293.245 2.630 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H]3CCC[C@H]32)c1 ZINC000268052403 384518892 /nfs/dbraw/zinc/51/88/92/384518892.db2.gz UICUYTRBJQIQHC-TZMCWYRMSA-N 0 0 262.309 2.661 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC([C@@H]3CCOC3)C2)c2ccncc21 ZINC000366479282 285120173 /nfs/dbraw/zinc/12/01/73/285120173.db2.gz PGUKRIWFKMAJQA-LLVKDONJSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC([C@H]3CCOC3)C2)c2ncccc12 ZINC000366486766 285120393 /nfs/dbraw/zinc/12/03/93/285120393.db2.gz BPDPWIOOUDILCL-NSHDSACASA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000131421512 285122412 /nfs/dbraw/zinc/12/24/12/285122412.db2.gz WSJDIOFHZWQAMQ-UHFFFAOYSA-N 0 0 277.280 2.883 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)c(F)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000268188687 384558545 /nfs/dbraw/zinc/55/85/45/384558545.db2.gz MHBDJPRWGDNQLA-UWVGGRQHSA-N 0 0 298.314 2.746 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(CF)CC2)c([N+](=O)[O-])c1 ZINC000294881008 285121076 /nfs/dbraw/zinc/12/10/76/285121076.db2.gz BZQJTSUFWYNSFK-UHFFFAOYSA-N 0 0 283.259 2.689 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC(C)CC1 ZINC000281146060 195123294 /nfs/dbraw/zinc/12/32/94/195123294.db2.gz KMGDULLKZRCNBZ-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)C1CC1 ZINC000278448144 384576584 /nfs/dbraw/zinc/57/65/84/384576584.db2.gz VVFOGMZICHLQMK-UHFFFAOYSA-N 0 0 288.225 2.640 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H]3OCC[C@H]32)cc1 ZINC000268419717 384618619 /nfs/dbraw/zinc/61/86/19/384618619.db2.gz WXWRCSAMZHQAAV-BFHYXJOUSA-N 0 0 262.309 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]([C@@H]3CCOC3)C2)c(F)c1 ZINC000378236026 285128985 /nfs/dbraw/zinc/12/89/85/285128985.db2.gz YCEIUSQGDDZPHA-GHMZBOCLSA-N 0 0 280.299 2.597 20 5 CFBDRN Cc1nc(Nc2cnn(CC(C)C)c2)ccc1[N+](=O)[O-] ZINC000268529441 384630415 /nfs/dbraw/zinc/63/04/15/384630415.db2.gz WXYVJOXAWJNZTG-UHFFFAOYSA-N 0 0 275.312 2.894 20 5 CFBDRN Cc1nc(NCC2(CCO)CCCC2)ccc1[N+](=O)[O-] ZINC000268541489 384631368 /nfs/dbraw/zinc/63/13/68/384631368.db2.gz ZGRDYTVOUOBIPX-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](C3CCOCC3)C2)c(F)c1 ZINC000377184222 285129322 /nfs/dbraw/zinc/12/93/22/285129322.db2.gz LPUXYBVZVXPUQU-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000279544887 384673564 /nfs/dbraw/zinc/67/35/64/384673564.db2.gz SQIDEVFYHFAHAG-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1cc(C(=O)NCCC2(C)CC2)ccc1[N+](=O)[O-] ZINC000279466908 384646875 /nfs/dbraw/zinc/64/68/75/384646875.db2.gz QKNIIUGWMMREAG-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000279467342 384647273 /nfs/dbraw/zinc/64/72/73/384647273.db2.gz NMAMFACMHYIULR-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000269084417 384724105 /nfs/dbraw/zinc/72/41/05/384724105.db2.gz JHFBBMNUXZJBKX-GFCCVEGCSA-N 0 0 294.376 2.871 20 5 CFBDRN CC(C)(C)[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000279674561 384718519 /nfs/dbraw/zinc/71/85/19/384718519.db2.gz DSFUSLRTNZFGIG-AWEZNQCLSA-N 0 0 278.352 2.842 20 5 CFBDRN CC(C)n1ncnc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000279725803 384738005 /nfs/dbraw/zinc/73/80/05/384738005.db2.gz MNEMDPOICZREBX-NSHDSACASA-N 0 0 289.339 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@]23CCOc2ccccc23)nc1 ZINC000369694572 285136878 /nfs/dbraw/zinc/13/68/78/285136878.db2.gz HMSRQCWHGSPZPK-HOCLYGCPSA-N 0 0 297.314 2.895 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCCOC(C)C)c1 ZINC000269295248 384745181 /nfs/dbraw/zinc/74/51/81/384745181.db2.gz RGUJPCNKINJOGZ-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN CCCCN(C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])C1CC1 ZINC000269316500 384747247 /nfs/dbraw/zinc/74/72/47/384747247.db2.gz BMFQMKGACGTBJT-UHFFFAOYSA-N 0 0 294.355 2.846 20 5 CFBDRN CCCCOC(=O)Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000269411833 384755553 /nfs/dbraw/zinc/75/55/53/384755553.db2.gz ZISVIMKWHKXKDS-UHFFFAOYSA-N 0 0 291.307 2.596 20 5 CFBDRN CSCCONC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000279982997 384837657 /nfs/dbraw/zinc/83/76/57/384837657.db2.gz LCOSRFYJFKNGAX-UHFFFAOYSA-N 0 0 298.364 2.743 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC1(C)C ZINC000269688832 384837704 /nfs/dbraw/zinc/83/77/04/384837704.db2.gz IGENPXUMSQZVJC-NSHDSACASA-N 0 0 262.309 2.599 20 5 CFBDRN CC1(C(=O)COc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000279965955 384831900 /nfs/dbraw/zinc/83/19/00/384831900.db2.gz OIGUGASWPUUMQP-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccnc2Br)nc1 ZINC000048894377 285138006 /nfs/dbraw/zinc/13/80/06/285138006.db2.gz CKLAUZOLZZHJIJ-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1ccco1)CCO2 ZINC000356522831 285142659 /nfs/dbraw/zinc/14/26/59/285142659.db2.gz JCCQTWPPOVZUCQ-UHFFFAOYSA-N 0 0 274.276 2.582 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@H+]1CCC[C@H]1C[C@H](C)O ZINC000269771787 384864815 /nfs/dbraw/zinc/86/48/15/384864815.db2.gz BJANXLHJBMLMHI-SCRDCRAPSA-N 0 0 278.352 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[nH]cc2-c1nc(-c2ncc[nH]2)no1 ZINC000349754125 285145793 /nfs/dbraw/zinc/14/57/93/285145793.db2.gz JOCXFGTUZLMBIS-UHFFFAOYSA-N 0 0 296.246 2.516 20 5 CFBDRN CO[C@@H](c1nc(-c2ccc([N+](=O)[O-])cc2)no1)C1CC1 ZINC000280269740 384952481 /nfs/dbraw/zinc/95/24/81/384952481.db2.gz KRUJZNIRXWYRMR-LLVKDONJSA-N 0 0 275.264 2.742 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000280116920 384890385 /nfs/dbraw/zinc/89/03/85/384890385.db2.gz WFHNXYOSQQXMDV-LLVKDONJSA-N 0 0 278.308 2.778 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC1(C)C ZINC000269881007 384892669 /nfs/dbraw/zinc/89/26/69/384892669.db2.gz YQWDNYQHNWRFDN-VIFPVBQESA-N 0 0 264.281 2.588 20 5 CFBDRN Cc1ccc(N[C@H]2c3ccccc3C[C@H]2O)c([N+](=O)[O-])c1 ZINC000269884711 384894274 /nfs/dbraw/zinc/89/42/74/384894274.db2.gz AVWYQVDUDYUOAE-CVEARBPZSA-N 0 0 284.315 2.973 20 5 CFBDRN C[C@H]1OCC[C@H]1Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000269891566 384896014 /nfs/dbraw/zinc/89/60/14/384896014.db2.gz CLUIJOSCLHKQDP-HZGVNTEJSA-N 0 0 274.729 2.913 20 5 CFBDRN COC(=O)CCCSc1ccc(C)cc1[N+](=O)[O-] ZINC000269914267 384903585 /nfs/dbraw/zinc/90/35/85/384903585.db2.gz JBDZUFQIYKYMLG-UHFFFAOYSA-N 0 0 269.322 2.949 20 5 CFBDRN Cc1cnccc1CCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000269957575 384920939 /nfs/dbraw/zinc/92/09/39/384920939.db2.gz IJIYUDYZNRRSSI-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccoc1C1CC1 ZINC000281165752 195131853 /nfs/dbraw/zinc/13/18/53/195131853.db2.gz KWNGZFIUUHPCCF-UHFFFAOYSA-N 0 0 286.287 2.995 20 5 CFBDRN Cc1nn(C)c(NCCCSCC(C)C)c1[N+](=O)[O-] ZINC000270054352 384959741 /nfs/dbraw/zinc/95/97/41/384959741.db2.gz CZYPSIKHLWNUFF-UHFFFAOYSA-N 0 0 286.401 2.828 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccn(C(C)C)n1 ZINC000280336747 384981189 /nfs/dbraw/zinc/98/11/89/384981189.db2.gz AZBKOZNGSULWGF-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CCC[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000270198092 385011353 /nfs/dbraw/zinc/01/13/53/385011353.db2.gz BWIUMRUAWXWMSE-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@](C)(OC)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000280427216 385012869 /nfs/dbraw/zinc/01/28/69/385012869.db2.gz UBWLFYIVMFTIEY-HNNXBMFYSA-N 0 0 292.335 2.689 20 5 CFBDRN CC1=CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000270201860 385013688 /nfs/dbraw/zinc/01/36/88/385013688.db2.gz ZAGNUIGIIFZWJX-UHFFFAOYSA-N 0 0 285.303 2.868 20 5 CFBDRN CCN(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@@H](C)CO ZINC000338791530 285146747 /nfs/dbraw/zinc/14/67/47/285146747.db2.gz FUHRBIWQBXCULA-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN CC1=CCN(Cc2cc([N+](=O)[O-])cc3c2OCOC3)CC1 ZINC000270373085 385075368 /nfs/dbraw/zinc/07/53/68/385075368.db2.gz URJIFOWTPAJVDE-UHFFFAOYSA-N 0 0 290.319 2.613 20 5 CFBDRN CCCN(CC)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000270234989 385024985 /nfs/dbraw/zinc/02/49/85/385024985.db2.gz JDSVYJLDSHZBMM-UHFFFAOYSA-N 0 0 289.335 2.877 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2COc3ccccc32)s1 ZINC000289208601 197262717 /nfs/dbraw/zinc/26/27/17/197262717.db2.gz YCQFPLHDWOOVBB-QMMMGPOBSA-N 0 0 277.305 2.639 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@@H](C2CC2)O1 ZINC000280496709 385035853 /nfs/dbraw/zinc/03/58/53/385035853.db2.gz OYYILZNNBCEAHK-HZMBPMFUSA-N 0 0 262.309 2.599 20 5 CFBDRN CO[C@H](C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C)C1CC1 ZINC000280628946 385092076 /nfs/dbraw/zinc/09/20/76/385092076.db2.gz YRGRDOYJOGXORR-LBPRGKRZSA-N 0 0 299.710 2.887 20 5 CFBDRN Cc1ccc(CN2C[C@@H](C3CC3)O[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000280628170 385092113 /nfs/dbraw/zinc/09/21/13/385092113.db2.gz QERMHLBEDKZTPV-LRDDRELGSA-N 0 0 290.363 2.903 20 5 CFBDRN CCC(=O)c1ccc(N2CC[C@H](COC)C2)c([N+](=O)[O-])c1 ZINC000280670332 385106960 /nfs/dbraw/zinc/10/69/60/385106960.db2.gz HLUWHNYFCVKSPY-NSHDSACASA-N 0 0 292.335 2.660 20 5 CFBDRN CCOCC(C)(C)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000289163584 197250544 /nfs/dbraw/zinc/25/05/44/197250544.db2.gz UPCYKQBEKCPOBF-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN CCC(=O)c1ccc(N(C)CCCOC)c([N+](=O)[O-])c1 ZINC000280776780 385149277 /nfs/dbraw/zinc/14/92/77/385149277.db2.gz SKKLTGKUFBYXNX-UHFFFAOYSA-N 0 0 280.324 2.660 20 5 CFBDRN CCC(=O)c1ccc(NCC[C@@H](O)CC)c([N+](=O)[O-])c1 ZINC000280787315 385154982 /nfs/dbraw/zinc/15/49/82/385154982.db2.gz UFCMQYKQLNGTER-NSHDSACASA-N 0 0 280.324 2.760 20 5 CFBDRN CS[C@@H](C)CC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289146545 197245996 /nfs/dbraw/zinc/24/59/96/197245996.db2.gz WYNHFZYXPYWIDI-NSHDSACASA-N 0 0 296.392 2.737 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1C[C@@H](F)[C@@H](F)C1 ZINC000450709114 285157985 /nfs/dbraw/zinc/15/79/85/285157985.db2.gz LFCXRDQUFIZLMD-PHIMTYICSA-N 0 0 279.246 2.639 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1)[C@@H](O)C(F)(F)F ZINC000270768488 385217453 /nfs/dbraw/zinc/21/74/53/385217453.db2.gz GJNCVCACQAWELI-NXEZZACHSA-N 0 0 278.230 2.709 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000289112893 197238011 /nfs/dbraw/zinc/23/80/11/197238011.db2.gz QFNVWCRADVXORU-CQSZACIVSA-N 0 0 264.325 2.584 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCCSCC1 ZINC000270722880 385204173 /nfs/dbraw/zinc/20/41/73/385204173.db2.gz ZVQQLVOHESAYOZ-UHFFFAOYSA-N 0 0 296.392 2.932 20 5 CFBDRN Cc1cccc(CCCNC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000289068893 197226432 /nfs/dbraw/zinc/22/64/32/197226432.db2.gz OPBMEHHPEQVRTR-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN CC(C)SCCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000282318331 385282690 /nfs/dbraw/zinc/28/26/90/385282690.db2.gz ZHPHJGCSJCDFMO-UHFFFAOYSA-N 0 0 269.322 2.928 20 5 CFBDRN Cc1cc(N2CCCN(CC(F)F)CC2)ccc1[N+](=O)[O-] ZINC000270980590 385289073 /nfs/dbraw/zinc/28/90/73/385289073.db2.gz RUIIKQCPLIQFAI-UHFFFAOYSA-N 0 0 299.321 2.680 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CCCS1 ZINC000282367120 385294478 /nfs/dbraw/zinc/29/44/78/385294478.db2.gz QGEQEWQCKIKLLT-SNVBAGLBSA-N 0 0 284.312 2.876 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@H]1CC1(C)C ZINC000282502594 385328905 /nfs/dbraw/zinc/32/89/05/385328905.db2.gz RZNCMJFFFRMSIC-ZDUSSCGKSA-N 0 0 291.351 2.577 20 5 CFBDRN COc1cc(NC[C@H](OC)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000271077737 385331102 /nfs/dbraw/zinc/33/11/02/385331102.db2.gz HSGLBXPNSOPIFI-ZDUSSCGKSA-N 0 0 286.303 2.825 20 5 CFBDRN O=C(NC[C@H]1CCCC(F)(F)C1)c1ccc([N+](=O)[O-])o1 ZINC000282563421 385341873 /nfs/dbraw/zinc/34/18/73/385341873.db2.gz FSPCURJXZZMNIV-QMMMGPOBSA-N 0 0 288.250 2.743 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NOC1CCCC1 ZINC000271694025 385389248 /nfs/dbraw/zinc/38/92/48/385389248.db2.gz RHIFZUCEHHUWKI-UHFFFAOYSA-N 0 0 293.323 2.610 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000282789689 385389169 /nfs/dbraw/zinc/38/91/69/385389169.db2.gz JDXWAUPRXRXHGU-VXGBXAGGSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1ccc(CN2CCO[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000271131050 385345057 /nfs/dbraw/zinc/34/50/57/385345057.db2.gz PVETTZNMOWZHJH-ZFWWWQNUSA-N 0 0 276.336 2.657 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@]2(C)CCCOC2)cc1[N+](=O)[O-] ZINC000282584338 385346963 /nfs/dbraw/zinc/34/69/63/385346963.db2.gz ZZSBSUZOIPAIQJ-AWEZNQCLSA-N 0 0 296.298 2.798 20 5 CFBDRN CO[C@@H](Cn1nc(C)c([N+](=O)[O-])c1C)c1ccccc1 ZINC000282672112 385366539 /nfs/dbraw/zinc/36/65/39/385366539.db2.gz PDGHNJYHKHUXOF-ZDUSSCGKSA-N 0 0 275.308 2.796 20 5 CFBDRN COCC1(CNC(=O)c2cc([N+](=O)[O-])c(C)s2)CCC1 ZINC000282709111 385374644 /nfs/dbraw/zinc/37/46/44/385374644.db2.gz QSKLOHPLKYXTAW-UHFFFAOYSA-N 0 0 298.364 2.511 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000282713187 385374702 /nfs/dbraw/zinc/37/47/02/385374702.db2.gz RCQBMLYPCFFSAK-VXGBXAGGSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1nccn1CCCOc1ccc(F)cc1[N+](=O)[O-] ZINC000271205684 385376064 /nfs/dbraw/zinc/37/60/64/385376064.db2.gz KEMRSPPLQUCJLX-UHFFFAOYSA-N 0 0 279.271 2.708 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C2CC2)c1 ZINC000282730291 385378087 /nfs/dbraw/zinc/37/80/87/385378087.db2.gz XJJMXQHFIUGHDM-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@@H](C)C[C@H]1C ZINC000282735157 385378847 /nfs/dbraw/zinc/37/88/47/385378847.db2.gz ZZSIUQPWVBNUKO-GBIKHYSHSA-N 0 0 278.308 2.655 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCC(=O)[C@@H](C)C1 ZINC000283019064 385424621 /nfs/dbraw/zinc/42/46/21/385424621.db2.gz HRNSHRACQAFBJZ-WDEREUQCSA-N 0 0 262.309 2.567 20 5 CFBDRN CCCc1noc(-c2cc(OCC)ccc2[N+](=O)[O-])n1 ZINC000282838382 385398173 /nfs/dbraw/zinc/39/81/73/385398173.db2.gz AIXPNOWCIIPSND-UHFFFAOYSA-N 0 0 277.280 2.996 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC=C(C)C2)c1 ZINC000282906365 385409291 /nfs/dbraw/zinc/40/92/91/385409291.db2.gz XGPOCIKJCAFFTN-UHFFFAOYSA-N 0 0 290.319 2.786 20 5 CFBDRN CC(C)(C)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000272070006 385440719 /nfs/dbraw/zinc/44/07/19/385440719.db2.gz CCUPGXHMSPBPOF-UHFFFAOYSA-N 0 0 277.280 2.960 20 5 CFBDRN Cc1c(OC[C@H](O)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000283221540 385448242 /nfs/dbraw/zinc/44/82/42/385448242.db2.gz ZXKQPFJRGJHSRT-LBPRGKRZSA-N 0 0 253.298 2.689 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000155269009 285166968 /nfs/dbraw/zinc/16/69/68/285166968.db2.gz DOOXAPSOCFDUKV-IACUBPJLSA-N 0 0 267.288 2.740 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1cncc(Cl)c1 ZINC000288952706 197199336 /nfs/dbraw/zinc/19/93/36/197199336.db2.gz SNTILBVCBRFDTI-UHFFFAOYSA-N 0 0 282.687 2.960 20 5 CFBDRN O=C(/C=C/C1CC1)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272129327 385455455 /nfs/dbraw/zinc/45/54/55/385455455.db2.gz AACAPMGEUBCTSF-ZZXKWVIFSA-N 0 0 294.738 2.873 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N[C@H]1CCSC1 ZINC000236112060 285171201 /nfs/dbraw/zinc/17/12/01/285171201.db2.gz AILGKHCJRMQANR-LURJTMIESA-N 0 0 293.270 2.926 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1O[C@H](C)C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000283553236 385475182 /nfs/dbraw/zinc/47/51/82/385475182.db2.gz PEMDUUDCIDJEJO-OXIWPEFWSA-N 0 0 292.335 2.586 20 5 CFBDRN CC/C=C(\C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283562637 385475778 /nfs/dbraw/zinc/47/57/78/385475778.db2.gz JVMLVWNSIIPHLH-WUXMJOGZSA-N 0 0 276.336 2.952 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@H]2[C@H]2CCCO2)s1 ZINC000370672806 285171911 /nfs/dbraw/zinc/17/19/11/285171911.db2.gz HTEOIQMNUQWQNV-MWLCHTKSSA-N 0 0 295.364 2.589 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C[C@@H]1C=CCC1 ZINC000283678186 385487433 /nfs/dbraw/zinc/48/74/33/385487433.db2.gz SGXNZLUYFSWSPF-CYBMUJFWSA-N 0 0 288.347 2.952 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)[C@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000272152037 385460425 /nfs/dbraw/zinc/46/04/25/385460425.db2.gz NTXDPYHVOALUOP-SMDDNHRTSA-N 0 0 292.335 2.591 20 5 CFBDRN CSC[C@H](C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283680629 385487841 /nfs/dbraw/zinc/48/78/41/385487841.db2.gz SNUUFGCERMYMMQ-NSHDSACASA-N 0 0 296.392 2.595 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000272266259 385493131 /nfs/dbraw/zinc/49/31/31/385493131.db2.gz MQIHVKAUUJXPOX-LLVKDONJSA-N 0 0 266.322 2.737 20 5 CFBDRN CC[C@H](C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272308519 385506652 /nfs/dbraw/zinc/50/66/52/385506652.db2.gz OPEKYJWAVPTKQG-VIFPVBQESA-N 0 0 284.743 2.953 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](C3CC3)C2)c(F)c1 ZINC000413306303 285172836 /nfs/dbraw/zinc/17/28/36/285172836.db2.gz XMLXXILGVCHJMT-SNVBAGLBSA-N 0 0 265.288 2.755 20 5 CFBDRN Nc1ccc(N[C@@H]2CCC[C@H]3OCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000283939105 385516794 /nfs/dbraw/zinc/51/67/94/385516794.db2.gz XXLKRRNUVHWRNF-JTNHKYCSSA-N 0 0 277.324 2.547 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ccsc3C2)c(F)c1 ZINC000413215228 285179042 /nfs/dbraw/zinc/17/90/42/285179042.db2.gz XTSKPBGZIZPQIY-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN CCc1ccccc1CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288844975 197178423 /nfs/dbraw/zinc/17/84/23/197178423.db2.gz OWMNBNJZDYFZNZ-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCS[C@@H]2CCCOC2)c1 ZINC000272437004 385536912 /nfs/dbraw/zinc/53/69/12/385536912.db2.gz KMRXXWJINJHIDH-CYBMUJFWSA-N 0 0 283.349 2.886 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](COc3ccccc3)C2)nc1 ZINC000272435311 385537380 /nfs/dbraw/zinc/53/73/80/385537380.db2.gz HGQMRHBXSMVYEP-ZDUSSCGKSA-N 0 0 299.330 2.895 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)[C@H]1C ZINC000284193526 385546440 /nfs/dbraw/zinc/54/64/40/385546440.db2.gz ZCNIOULTCNLQSN-BDAKNGLRSA-N 0 0 295.314 2.577 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000272369786 385521110 /nfs/dbraw/zinc/52/11/10/385521110.db2.gz DMFVYPXNHDHSCV-RYUDHWBXSA-N 0 0 278.352 2.936 20 5 CFBDRN CCCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000283984741 385521511 /nfs/dbraw/zinc/52/15/11/385521511.db2.gz MNJDSXFCBIQMPS-UHFFFAOYSA-N 0 0 255.249 2.574 20 5 CFBDRN Cc1cn(CCOc2c(C)cccc2C)nc1[N+](=O)[O-] ZINC000281192110 195143007 /nfs/dbraw/zinc/14/30/07/195143007.db2.gz OMMIWRVOBYRDOQ-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCS[C@H]2CCCOC2)cc1 ZINC000272482737 385549303 /nfs/dbraw/zinc/54/93/03/385549303.db2.gz RDGBHEGAOCOIBX-ZDUSSCGKSA-N 0 0 283.349 2.886 20 5 CFBDRN CCOC1CC2(C1)CCN(c1ccc([N+](=O)[O-])cc1)C2 ZINC000286227460 385574561 /nfs/dbraw/zinc/57/45/61/385574561.db2.gz CKHSRDNORIUJOE-UHFFFAOYSA-N 0 0 276.336 2.990 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@H](C)[S@@]1=O ZINC000286234119 385575672 /nfs/dbraw/zinc/57/56/72/385575672.db2.gz DWVICLDMJWAXTN-SCLGINMFSA-N 0 0 296.392 2.639 20 5 CFBDRN CC(=O)c1cc(NCCC[C@H](C)CO)ccc1[N+](=O)[O-] ZINC000286111424 385560365 /nfs/dbraw/zinc/56/03/65/385560365.db2.gz DQJQBVXWEBTZEM-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN CCc1nn(C)c(Oc2cccc(F)c2F)c1[N+](=O)[O-] ZINC000288809758 197171030 /nfs/dbraw/zinc/17/10/30/197171030.db2.gz HJRVNHNBRCDNQH-UHFFFAOYSA-N 0 0 283.234 2.961 20 5 CFBDRN Cc1cc2c(cc1C)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)CC2 ZINC000286180660 385568069 /nfs/dbraw/zinc/56/80/69/385568069.db2.gz AYCOCRBBMGWAPW-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@H]2CCCCO2)c1 ZINC000272620570 385577255 /nfs/dbraw/zinc/57/72/55/385577255.db2.gz UHEDGMJEUBTYGH-GFCCVEGCSA-N 0 0 292.335 2.592 20 5 CFBDRN CCCN(CC(C)C)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272715847 385595507 /nfs/dbraw/zinc/59/55/07/385595507.db2.gz LQTHECXLGYWPDF-UHFFFAOYSA-N 0 0 280.328 2.890 20 5 CFBDRN CO[C@H](C)CCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000272726912 385596779 /nfs/dbraw/zinc/59/67/79/385596779.db2.gz ABHYEUPNBWGXQF-SECBINFHSA-N 0 0 277.280 2.612 20 5 CFBDRN C[C@@H](C[NH+](C)C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000286373735 385601008 /nfs/dbraw/zinc/60/10/08/385601008.db2.gz KAQKXTNNQJJPHC-JTQLQIEISA-N 0 0 274.324 2.505 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000272810399 385621963 /nfs/dbraw/zinc/62/19/63/385621963.db2.gz JCSFFDJIBZKCDA-LLVKDONJSA-N 0 0 279.296 2.523 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272823447 385624726 /nfs/dbraw/zinc/62/47/26/385624726.db2.gz PPOHUMVJZRWLOW-LLVKDONJSA-N 0 0 284.337 2.684 20 5 CFBDRN CCOc1cccc(NCc2cnn(CC)c2)c1[N+](=O)[O-] ZINC000286481106 385631845 /nfs/dbraw/zinc/63/18/45/385631845.db2.gz UYWKGKMENFHVGL-UHFFFAOYSA-N 0 0 290.323 2.822 20 5 CFBDRN Cc1ccc(NC[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC000286492414 385634319 /nfs/dbraw/zinc/63/43/19/385634319.db2.gz VUYUWVLPLNZQHG-NEPJUHHUSA-N 0 0 264.325 2.988 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000286493944 385634559 /nfs/dbraw/zinc/63/45/59/385634559.db2.gz VXSNLLSAODARKH-IUCAKERBSA-N 0 0 285.731 2.728 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000286390047 385606938 /nfs/dbraw/zinc/60/69/38/385606938.db2.gz OXIPLWCKUUUXKM-TXEJJXNPSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H](C)CCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000272764693 385607613 /nfs/dbraw/zinc/60/76/13/385607613.db2.gz RDTNYEACHGCIJD-SECBINFHSA-N 0 0 277.280 2.612 20 5 CFBDRN Cc1cnn(C)c1CNCc1cc([N+](=O)[O-])ccc1Cl ZINC000286499079 385636033 /nfs/dbraw/zinc/63/60/33/385636033.db2.gz MHPFRHFTRJINAC-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN CCN(C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1)C1CC1 ZINC000272890116 385642394 /nfs/dbraw/zinc/64/23/94/385642394.db2.gz YKXHCHZMRSAJQJ-UHFFFAOYSA-N 0 0 279.296 2.620 20 5 CFBDRN C[C@H]1C[C@@H](CNc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000286544489 385644511 /nfs/dbraw/zinc/64/45/11/385644511.db2.gz PSNSJRKNPYWPFK-UWVGGRQHSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@H](CCO)C1(CNc2ccc(N)cc2[N+](=O)[O-])CCC1 ZINC000286581148 385656923 /nfs/dbraw/zinc/65/69/23/385656923.db2.gz SPCSCBODHQQUMK-LLVKDONJSA-N 0 0 293.367 2.778 20 5 CFBDRN CC1(C)CC[C@@H]1Nc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000286592420 385660005 /nfs/dbraw/zinc/66/00/05/385660005.db2.gz ZBNKUOCCOFNNMV-NSHDSACASA-N 0 0 288.307 2.844 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](C(C)(C)C)[C@H](O)C2)n1 ZINC000273325372 385676508 /nfs/dbraw/zinc/67/65/08/385676508.db2.gz QEQXQSLLBOPVCB-WCQYABFASA-N 0 0 293.367 2.532 20 5 CFBDRN CN(C(=O)C[C@@H]1C=CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000288650839 197137187 /nfs/dbraw/zinc/13/71/87/197137187.db2.gz BDBALYAGEOPLQP-LLVKDONJSA-N 0 0 275.308 2.699 20 5 CFBDRN CCC[C@@H]1[C@@H](C)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000273665583 385693154 /nfs/dbraw/zinc/69/31/54/385693154.db2.gz WTXCXZXIGQAPDN-CMPLNLGQSA-N 0 0 264.329 2.790 20 5 CFBDRN CCCCS[C@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288674591 197142174 /nfs/dbraw/zinc/14/21/74/197142174.db2.gz CKQTYQPMVHBILD-SNVBAGLBSA-N 0 0 297.380 2.874 20 5 CFBDRN C[C@H]1CC[C@H](CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)C1 ZINC000288669464 197141691 /nfs/dbraw/zinc/14/16/91/197141691.db2.gz CBWIHNJTFOMURD-QWRGUYRKSA-N 0 0 277.324 2.779 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000286774734 385708103 /nfs/dbraw/zinc/70/81/03/385708103.db2.gz QLKASXZFZQSMEF-JTQLQIEISA-N 0 0 268.338 2.883 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000286784663 385709493 /nfs/dbraw/zinc/70/94/93/385709493.db2.gz RJOOHOFPWDISSM-NSHDSACASA-N 0 0 262.309 2.822 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@H]1CCO ZINC000286799819 385713010 /nfs/dbraw/zinc/71/30/10/385713010.db2.gz WJXSVYCZOHECLF-SMDDNHRTSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc([C@@H]2CCCO2)no1 ZINC000274073906 385714957 /nfs/dbraw/zinc/71/49/57/385714957.db2.gz FUQSPYZZSPTXIN-NSHDSACASA-N 0 0 275.264 2.805 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC1(C)C ZINC000286962192 385751077 /nfs/dbraw/zinc/75/10/77/385751077.db2.gz FZKDQXFFADSGLZ-GFCCVEGCSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@H](CNc1ccc(N)cc1[N+](=O)[O-])c1nccs1 ZINC000286975470 385753416 /nfs/dbraw/zinc/75/34/16/385753416.db2.gz STLFIOONXAPFCS-MRVPVSSYSA-N 0 0 278.337 2.849 20 5 CFBDRN CCN(CCOC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000274306827 385762316 /nfs/dbraw/zinc/76/23/16/385762316.db2.gz VMVAOAASHQQBCT-UHFFFAOYSA-N 0 0 295.339 2.712 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/c1nc([C@@H]2CCCO2)no1 ZINC000274102237 385719896 /nfs/dbraw/zinc/71/98/96/385719896.db2.gz ICIDGXINFLNUTQ-GUOLPTJISA-N 0 0 287.275 3.000 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H](CCO)c1ccco1 ZINC000274439342 385798919 /nfs/dbraw/zinc/79/89/19/385798919.db2.gz UFEPAFVZYIMRGR-VIFPVBQESA-N 0 0 297.698 2.772 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@@H](C)C1 ZINC000274684392 385848690 /nfs/dbraw/zinc/84/86/90/385848690.db2.gz KJVJEETZYHPOJI-SECBINFHSA-N 0 0 263.297 2.777 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000274429152 385795420 /nfs/dbraw/zinc/79/54/20/385795420.db2.gz DRJZUZJMFCHXJB-PCAWENJQSA-N 0 0 278.308 2.536 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000274990447 385907819 /nfs/dbraw/zinc/90/78/19/385907819.db2.gz YTYLSIQVAUYSHA-ZBFHGGJFSA-N 0 0 290.363 2.936 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000274988814 385907853 /nfs/dbraw/zinc/90/78/53/385907853.db2.gz YTYLSIQVAUYSHA-GDBMZVCRSA-N 0 0 290.363 2.936 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000274998668 385909075 /nfs/dbraw/zinc/90/90/75/385909075.db2.gz FZWUIOYEUSLWPM-HNNXBMFYSA-N 0 0 294.351 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@@H]2CCO)c(Cl)c1 ZINC000275014344 385913112 /nfs/dbraw/zinc/91/31/12/385913112.db2.gz KCSBXEWINBTYGN-LDYMZIIASA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H]2CCO)cc1 ZINC000275024782 385915126 /nfs/dbraw/zinc/91/51/26/385915126.db2.gz MXUQMODGYDLUSF-GXFFZTMASA-N 0 0 250.298 2.558 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@@H]1CCO ZINC000275034155 385918004 /nfs/dbraw/zinc/91/80/04/385918004.db2.gz OVXVJAYQGDFSQL-BXUZGUMPSA-N 0 0 294.351 2.704 20 5 CFBDRN CC(C)(O)c1ccc(CNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000274763794 385866018 /nfs/dbraw/zinc/86/60/18/385866018.db2.gz SCGWICKQNDYZGQ-UHFFFAOYSA-N 0 0 287.319 2.829 20 5 CFBDRN CC[C@@H]1CN(c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000275480396 385976963 /nfs/dbraw/zinc/97/69/63/385976963.db2.gz PRGKMUWPEYHVRN-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC([C@H]2CCCO2)CC1 ZINC000275999295 385981960 /nfs/dbraw/zinc/98/19/60/385981960.db2.gz KUFGJADKALRIEQ-OAHLLOKOSA-N 0 0 276.336 2.990 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ccn1CC1CC(F)(F)C1 ZINC000335361507 285209167 /nfs/dbraw/zinc/20/91/67/285209167.db2.gz NISGSALAQZAKAA-UHFFFAOYSA-N 0 0 294.257 2.955 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NC[C@@H]1C[C@H]1C ZINC000276617954 385993567 /nfs/dbraw/zinc/99/35/67/385993567.db2.gz QKDHCFXDKNLBHP-APPZFPTMSA-N 0 0 282.727 2.942 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276659485 386006544 /nfs/dbraw/zinc/00/65/44/386006544.db2.gz RUCLGQGQWGCKRJ-UWVGGRQHSA-N 0 0 275.308 2.978 20 5 CFBDRN COCCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276700350 386018706 /nfs/dbraw/zinc/01/87/06/386018706.db2.gz XFLQFOZTWGNSBZ-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000276829442 386054759 /nfs/dbraw/zinc/05/47/59/386054759.db2.gz UYZAAKIWTLBKRZ-CQSZACIVSA-N 0 0 279.340 2.733 20 5 CFBDRN CCOc1cc(CN2[C@H](C)COC[C@H]2C)ccc1[N+](=O)[O-] ZINC000276990817 386100489 /nfs/dbraw/zinc/10/04/89/386100489.db2.gz ZZVZNKFUSKEVBL-VXGBXAGGSA-N 0 0 294.351 2.603 20 5 CFBDRN CC(C)(O)CCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288459952 197084597 /nfs/dbraw/zinc/08/45/97/197084597.db2.gz PVYMOZIITFAZLI-UHFFFAOYSA-N 0 0 259.689 2.788 20 5 CFBDRN CCOc1cc(CN2CCO[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000276859465 386061549 /nfs/dbraw/zinc/06/15/49/386061549.db2.gz GEWGJFIZSLQYFK-NWDGAFQWSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCO[C@@H]2CCCCO2)n1 ZINC000288444892 197080772 /nfs/dbraw/zinc/08/07/72/197080772.db2.gz OOMVRFZBCJRDNU-CYBMUJFWSA-N 0 0 295.339 2.643 20 5 CFBDRN CCOC1CC(CCNc2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000276985832 386098991 /nfs/dbraw/zinc/09/89/91/386098991.db2.gz VJQGZSVDFCNYSR-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1cnc(C)s1 ZINC000288226244 197031585 /nfs/dbraw/zinc/03/15/85/197031585.db2.gz VZHUFZUICXSPED-UHFFFAOYSA-N 0 0 295.368 2.521 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccc(F)cc1 ZINC000288280765 197042628 /nfs/dbraw/zinc/04/26/28/197042628.db2.gz ZYFDGLDJBAQSHR-UHFFFAOYSA-N 0 0 278.287 2.871 20 5 CFBDRN Cc1cnc(NCc2noc3c2CCCC3)c([N+](=O)[O-])c1 ZINC000288183404 197021315 /nfs/dbraw/zinc/02/13/15/197021315.db2.gz JEUPFHPOGFJABM-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN CN(C(=O)C1CCCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000281212360 195151482 /nfs/dbraw/zinc/15/14/82/195151482.db2.gz QPXRTFLCEKSVQW-UHFFFAOYSA-N 0 0 263.297 2.533 20 5 CFBDRN C[C@@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCCS1 ZINC000287891539 196963844 /nfs/dbraw/zinc/96/38/44/196963844.db2.gz OQHUXQOONBUMOB-LBPRGKRZSA-N 0 0 267.354 2.875 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CCOC(C)C ZINC000281218656 195154241 /nfs/dbraw/zinc/15/42/41/195154241.db2.gz DGFLNMDTTHHZSI-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN Cc1ccc(OC(=O)OC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000287689601 196918989 /nfs/dbraw/zinc/91/89/89/196918989.db2.gz FEDATBLBUZRJKR-LLVKDONJSA-N 0 0 281.264 2.598 20 5 CFBDRN COC[C@@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000286081745 285273624 /nfs/dbraw/zinc/27/36/24/285273624.db2.gz AHDQMVJPFOMNIJ-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2C[C@H](O)C2(C)C)c([N+](=O)[O-])c1 ZINC000281326372 195197525 /nfs/dbraw/zinc/19/75/25/195197525.db2.gz AIBUCQSMIMXRSV-KBPBESRZSA-N 0 0 292.335 2.759 20 5 CFBDRN CCc1cnc(CNc2cc(OC)c(F)cc2[N+](=O)[O-])o1 ZINC000281370065 195214303 /nfs/dbraw/zinc/21/43/03/195214303.db2.gz DOFLAKIORXTRCT-UHFFFAOYSA-N 0 0 295.270 2.905 20 5 CFBDRN COc1ncc(C)cc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000173526853 533208557 /nfs/dbraw/zinc/20/85/57/533208557.db2.gz XAANKYHVBNTQMG-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C(C)C ZINC000281444242 195242363 /nfs/dbraw/zinc/24/23/63/195242363.db2.gz ROFXAPXIVOMMFI-UHFFFAOYSA-N 0 0 252.270 2.588 20 5 CFBDRN CC[C@](C)(OC)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000281447978 195243951 /nfs/dbraw/zinc/24/39/51/195243951.db2.gz KQYPQULVUUEPBO-NHYWBVRUSA-N 0 0 294.351 2.896 20 5 CFBDRN CSCCCN(C)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000281425318 195235015 /nfs/dbraw/zinc/23/50/15/195235015.db2.gz XXDIYPLHAMXBFO-UHFFFAOYSA-N 0 0 288.394 2.790 20 5 CFBDRN CNC(=O)c1ccc(NCCC2(C)CC2)c([N+](=O)[O-])c1 ZINC000281464920 195251211 /nfs/dbraw/zinc/25/12/11/195251211.db2.gz LZQPGDOCNWBDTJ-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000081352516 177791696 /nfs/dbraw/zinc/79/16/96/177791696.db2.gz ZNQUDQVFFFQNRB-UHFFFAOYSA-N 0 0 254.311 2.528 20 5 CFBDRN CC[C@H](CCO)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000094957482 184904074 /nfs/dbraw/zinc/90/40/74/184904074.db2.gz NARIWMDSMJIEFX-LLVKDONJSA-N 0 0 252.314 2.724 20 5 CFBDRN CCOCC1(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000281740317 195360267 /nfs/dbraw/zinc/36/02/67/195360267.db2.gz WYSUHPVNKWKUSJ-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cccc(OC[C@](C)(O)C(F)(F)F)c1[N+](=O)[O-] ZINC000281762722 195370414 /nfs/dbraw/zinc/37/04/14/195370414.db2.gz GGIRDIBAHHISPD-JTQLQIEISA-N 0 0 279.214 2.595 20 5 CFBDRN CCC(C)(C)N(C)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000281819469 195396409 /nfs/dbraw/zinc/39/64/09/195396409.db2.gz WMIKOXVXFIYHPF-UHFFFAOYSA-N 0 0 294.351 2.929 20 5 CFBDRN Cn1cc2c(n1)CCC[C@H]2Nc1ccc([N+](=O)[O-])cc1F ZINC000282365991 195587881 /nfs/dbraw/zinc/58/78/81/195587881.db2.gz XTXYSDBLCROFOE-GFCCVEGCSA-N 0 0 290.298 2.957 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C1CCC1 ZINC000282294015 195565825 /nfs/dbraw/zinc/56/58/25/195565825.db2.gz LSHQIVPMJGJUGA-UHFFFAOYSA-N 0 0 252.245 2.781 20 5 CFBDRN CCC(CC)(CCO)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000283227273 195821349 /nfs/dbraw/zinc/82/13/49/195821349.db2.gz TYBQJMNWHRJSLO-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN Cc1cc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)ccn1 ZINC000283630083 195890567 /nfs/dbraw/zinc/89/05/67/195890567.db2.gz OVZGTURWGJVENX-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CCc1nc(CNc2c(C)c([N+](=O)[O-])ccc2F)no1 ZINC000283674438 195898644 /nfs/dbraw/zinc/89/86/44/195898644.db2.gz YMOKSYBPVODSSB-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN CO[C@@H](C)CCNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413105616 533328861 /nfs/dbraw/zinc/32/88/61/533328861.db2.gz AAOWMPCXOICKIJ-ZETCQYMHSA-N 0 0 293.245 2.846 20 5 CFBDRN COc1cccc(C(=O)Nc2cccc(F)c2)c1[N+](=O)[O-] ZINC000193760733 533419515 /nfs/dbraw/zinc/41/95/15/533419515.db2.gz OWZBVGMHQUDOHW-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COc1cccc(N[C@H]2C[C@@H](OC)C23CCC3)c1[N+](=O)[O-] ZINC000413441794 533620086 /nfs/dbraw/zinc/62/00/86/533620086.db2.gz LZYDYEISSPGQMW-QWHCGFSZSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000336011822 296463607 /nfs/dbraw/zinc/46/36/07/296463607.db2.gz CSFKDUPEHQLVSD-MNOVXSKESA-N 0 0 279.340 2.584 20 5 CFBDRN CCN(C(=O)Cc1ccccc1[N+](=O)[O-])c1ncccc1C ZINC000341627089 129945547 /nfs/dbraw/zinc/94/55/47/129945547.db2.gz BTBYQZBMKMJHOE-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN C[C@H](NC(=O)C1=CCCC1)c1ccccc1[N+](=O)[O-] ZINC000341778923 130041338 /nfs/dbraw/zinc/04/13/38/130041338.db2.gz RUCKVRCLQWSRFQ-JTQLQIEISA-N 0 0 260.293 2.882 20 5 CFBDRN C[C@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000341779330 130041779 /nfs/dbraw/zinc/04/17/79/130041779.db2.gz TWDNIRAKRBUKLZ-SFYZADRCSA-N 0 0 290.241 2.970 20 5 CFBDRN Cc1cccc(CCNc2cccc(C(N)=O)c2[N+](=O)[O-])c1 ZINC000341849038 130092741 /nfs/dbraw/zinc/09/27/41/130092741.db2.gz VQNVAHUCUJYTDD-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000342008186 130195329 /nfs/dbraw/zinc/19/53/29/130195329.db2.gz QKZLBFSMMRPLQO-PSASIEDQSA-N 0 0 297.742 2.703 20 5 CFBDRN Cc1oc2ccccc2c1CNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000341986116 130209160 /nfs/dbraw/zinc/20/91/60/130209160.db2.gz RNFUSKNGMWEURG-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000341991338 130212698 /nfs/dbraw/zinc/21/26/98/130212698.db2.gz LOQIIXZQVHRJCI-NSHDSACASA-N 0 0 297.742 2.846 20 5 CFBDRN Cc1ccc(CNc2cccc3c2CNC3=O)cc1[N+](=O)[O-] ZINC000342101571 130261468 /nfs/dbraw/zinc/26/14/68/130261468.db2.gz KITSUARDAOEQAX-UHFFFAOYSA-N 0 0 297.314 2.759 20 5 CFBDRN CO[C@H](C)CCc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355684526 130335374 /nfs/dbraw/zinc/33/53/74/130335374.db2.gz ZCBYIUHODQJCKC-SNVBAGLBSA-N 0 0 291.307 2.536 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCOC1 ZINC000355957361 130359008 /nfs/dbraw/zinc/35/90/08/130359008.db2.gz QSFPTKQHCFWDJV-GHMZBOCLSA-N 0 0 293.323 2.531 20 5 CFBDRN Cc1cc(OCc2ccnc(C)n2)c(F)cc1[N+](=O)[O-] ZINC000355966350 130364122 /nfs/dbraw/zinc/36/41/22/130364122.db2.gz DQMVOSSEGZCIPC-UHFFFAOYSA-N 0 0 277.255 2.720 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000356560099 130389388 /nfs/dbraw/zinc/38/93/88/130389388.db2.gz IBNHNPARFVMMAD-QMMMGPOBSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1ccc(CCNc2cccc(C(N)=O)c2[N+](=O)[O-])cc1 ZINC000357489706 130479976 /nfs/dbraw/zinc/47/99/76/130479976.db2.gz REVFSQYLIMRTME-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2CCCCC2(C)C)ccc1[N+](=O)[O-] ZINC000342313375 130482888 /nfs/dbraw/zinc/48/28/88/130482888.db2.gz MIOPFOMHTSLZAN-LLVKDONJSA-N 0 0 279.340 2.632 20 5 CFBDRN COC1CCC(C)(CNc2ccc([N+](=O)[O-])nc2)CC1 ZINC000359812577 130547139 /nfs/dbraw/zinc/54/71/39/130547139.db2.gz GQFSHSKLPDNGKJ-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN Nc1c(F)c(NCC2(C(F)F)CC2)ccc1[N+](=O)[O-] ZINC000359851467 130553098 /nfs/dbraw/zinc/55/30/98/130553098.db2.gz XLSIFCZCKLWZAL-UHFFFAOYSA-N 0 0 275.230 2.773 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCN2CCC[C@H]2C(F)F)c1 ZINC000353168717 130590689 /nfs/dbraw/zinc/59/06/89/130590689.db2.gz NMZSZFHRRXFGBJ-LBPRGKRZSA-N 0 0 286.278 2.703 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@H](C)C2)c1 ZINC000220082560 130778536 /nfs/dbraw/zinc/77/85/36/130778536.db2.gz XYWPTONTIPQOKX-NXEZZACHSA-N 0 0 266.297 2.583 20 5 CFBDRN CCC(CC)(CO)CNc1ncc([N+](=O)[O-])cc1C ZINC000229177218 130880084 /nfs/dbraw/zinc/88/00/84/130880084.db2.gz NJRUSVJDZIABMH-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)C(C)(C)C ZINC000335431994 291995078 /nfs/dbraw/zinc/99/50/78/291995078.db2.gz ZIVWCFBVVPHOGN-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)C[C@H]1C ZINC000336014368 291995967 /nfs/dbraw/zinc/99/59/67/291995967.db2.gz VEKVBHAVRPCDFX-MWLCHTKSSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOC(C)C)n1 ZINC000269056282 132105621 /nfs/dbraw/zinc/10/56/21/132105621.db2.gz WUYPYTTZJMWVGF-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN C[C@H]1CCSCCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000192354918 300026781 /nfs/dbraw/zinc/02/67/81/300026781.db2.gz LRIFUMIAPKFEIX-JTQLQIEISA-N 0 0 280.349 2.562 20 5 CFBDRN O=C(CSCc1ccc([N+](=O)[O-])cc1)NC1CCCC1 ZINC000007093553 393466650 /nfs/dbraw/zinc/46/66/50/393466650.db2.gz UNEWPXSALZOVKT-UHFFFAOYSA-N 0 0 294.376 2.887 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCOC(C)(C)C1 ZINC000301449131 393470106 /nfs/dbraw/zinc/47/01/06/393470106.db2.gz RZKIILJWVCLAPP-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cc(N2CC[C@@H](O)c3ccccc3C2)ncc1[N+](=O)[O-] ZINC000375889209 393513117 /nfs/dbraw/zinc/51/31/17/393513117.db2.gz JIEAPYCMYLOYRV-OAHLLOKOSA-N 0 0 299.330 2.742 20 5 CFBDRN Cc1ccc(CNCc2csc([N+](=O)[O-])c2)nc1C ZINC000313899247 393524473 /nfs/dbraw/zinc/52/44/73/393524473.db2.gz GHVWYKKUNSYAHS-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccc(C)c(C)n1 ZINC000314186569 393525621 /nfs/dbraw/zinc/52/56/21/393525621.db2.gz PCCLKUOQERZBHY-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN Cc1noc(C)c1C(=O)CSc1ncccc1[N+](=O)[O-] ZINC000186594829 393547027 /nfs/dbraw/zinc/54/70/27/393547027.db2.gz ACUXQUBUDLOIDM-UHFFFAOYSA-N 0 0 293.304 2.570 20 5 CFBDRN CC[C@@H]1Cc2ccccc2CN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000331915118 393558509 /nfs/dbraw/zinc/55/85/09/393558509.db2.gz DGHKIPBOUDYUMS-CYBMUJFWSA-N 0 0 299.330 2.900 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000042804447 393555089 /nfs/dbraw/zinc/55/50/89/393555089.db2.gz SHHCPRHEUJUJRC-CMPLNLGQSA-N 0 0 291.351 2.932 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@H](C)[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000332656728 393562114 /nfs/dbraw/zinc/56/21/14/393562114.db2.gz FEEJWNALNVAXAD-LPEHRKFASA-N 0 0 294.355 2.558 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)Nc1ccn(C)n1 ZINC000191328766 393593922 /nfs/dbraw/zinc/59/39/22/393593922.db2.gz SYVSXUBTPXDILC-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN Cc1cccc(C(=O)N2CCS[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000191949270 393611616 /nfs/dbraw/zinc/61/16/16/393611616.db2.gz UUEQSUOFDAIOHO-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@@H]1C[C@H](C)N(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000408216146 393625062 /nfs/dbraw/zinc/62/50/62/393625062.db2.gz BAJPDGYYCOZACQ-SFYZADRCSA-N 0 0 266.326 2.539 20 5 CFBDRN CNc1nnc(Sc2ccc([N+](=O)[O-])cc2)s1 ZINC000192714787 393631634 /nfs/dbraw/zinc/63/16/34/393631634.db2.gz PMRCMZPLDJNLAD-UHFFFAOYSA-N 0 0 268.323 2.639 20 5 CFBDRN CCCCCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000192887149 393635722 /nfs/dbraw/zinc/63/57/22/393635722.db2.gz ZSUSLGKRUMRJHY-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN COc1cccc(C(=O)N2CCCC3(CC3)C2)c1[N+](=O)[O-] ZINC000192905285 393636203 /nfs/dbraw/zinc/63/62/03/393636203.db2.gz BXSSEMCEXVHOQX-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CCC[C@@H](C)C1 ZINC000193287421 393647935 /nfs/dbraw/zinc/64/79/35/393647935.db2.gz FEBULYBLWUWQPS-ZYHUDNBSSA-N 0 0 291.351 2.949 20 5 CFBDRN CN(C(=O)c1c(N)cccc1[N+](=O)[O-])C1CCCCC1 ZINC000193329058 393648108 /nfs/dbraw/zinc/64/81/08/393648108.db2.gz OONYUFGKQPGBHC-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN Cc1noc2ncnc(Oc3cc(C)ccc3[N+](=O)[O-])c12 ZINC000193382529 393649686 /nfs/dbraw/zinc/64/96/86/393649686.db2.gz PEKVGRQGNGYLFO-UHFFFAOYSA-N 0 0 286.247 2.935 20 5 CFBDRN O=C(C1[C@@H]2CCCC[C@H]12)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000073414700 393652060 /nfs/dbraw/zinc/65/20/60/393652060.db2.gz ACJZSRLUNYGPQJ-NNQSOWQGSA-N 0 0 286.331 2.920 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1cncc(C)c1 ZINC000074234638 393655033 /nfs/dbraw/zinc/65/50/33/393655033.db2.gz QYWXKNHQNIYWDP-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCOCCCCNc1ccc([N+](=O)[O-])cc1F ZINC000194073209 393676086 /nfs/dbraw/zinc/67/60/86/393676086.db2.gz YARWOYZKVPZJPT-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN CCC(CC)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000195132299 393732653 /nfs/dbraw/zinc/73/26/53/393732653.db2.gz LHWNEJZOHANRBC-UHFFFAOYSA-N 0 0 275.308 2.995 20 5 CFBDRN C[C@H]1CC[C@@]2(CCN(C(=O)c3cc([N+](=O)[O-])cn3C)C2)C1 ZINC000336592962 393742484 /nfs/dbraw/zinc/74/24/84/393742484.db2.gz MRWGRKHNXOLZIZ-XHDPSFHLSA-N 0 0 291.351 2.586 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000336835596 393751001 /nfs/dbraw/zinc/75/10/01/393751001.db2.gz JPQDOPFVLSLISG-PSASIEDQSA-N 0 0 275.308 2.690 20 5 CFBDRN Cc1ccncc1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087250228 393757977 /nfs/dbraw/zinc/75/79/77/393757977.db2.gz OTAFKVOHIXCSHJ-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2Cc3ccccc32)cc([N+](=O)[O-])c1 ZINC000194424705 393694630 /nfs/dbraw/zinc/69/46/30/393694630.db2.gz IEICUQKGOYRPIU-CQSZACIVSA-N 0 0 296.326 2.973 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000079735635 393721179 /nfs/dbraw/zinc/72/11/79/393721179.db2.gz XKQJGDDNAFWEAI-RYUDHWBXSA-N 0 0 293.367 2.582 20 5 CFBDRN CC[C@@H](C)C(=O)COc1ccc(C)c([N+](=O)[O-])c1 ZINC000089692706 393769085 /nfs/dbraw/zinc/76/90/85/393769085.db2.gz HXDQCJSNSAREQR-SECBINFHSA-N 0 0 251.282 2.897 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000089852753 393771344 /nfs/dbraw/zinc/77/13/44/393771344.db2.gz RSTPRULFTVNUKP-UHFFFAOYSA-N 0 0 289.295 2.887 20 5 CFBDRN COC1(CCNc2ncc([N+](=O)[O-])cc2F)CCC1 ZINC000413304684 393781753 /nfs/dbraw/zinc/78/17/53/393781753.db2.gz YDENAKYKLZVCCF-UHFFFAOYSA-N 0 0 269.276 2.500 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000415742763 393822626 /nfs/dbraw/zinc/82/26/26/393822626.db2.gz JUPPVEFTKKPDFJ-FZMZJTMJSA-N 0 0 294.351 2.833 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@H]1CC(C)C ZINC000458004538 393823406 /nfs/dbraw/zinc/82/34/06/393823406.db2.gz NOIBSQHLINCMOG-ZWNOBZJWSA-N 0 0 292.335 2.768 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2cccc([N+](=O)[O-])c2)[C@@H](C)[C@H]1C ZINC000416082906 393868242 /nfs/dbraw/zinc/86/82/42/393868242.db2.gz XRPWMKRMUHUYCX-WOPDTQHZSA-N 0 0 276.336 2.640 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCS[C@@H](C)[C@@H]1C ZINC000118283290 393910878 /nfs/dbraw/zinc/91/08/78/393910878.db2.gz WUHXYRMIXJIIEP-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)[C@H](C)[C@@H]1C ZINC000416108290 393873060 /nfs/dbraw/zinc/87/30/60/393873060.db2.gz HFIUITMXEXOKGD-DCAQKATOSA-N 0 0 292.335 2.720 20 5 CFBDRN COCCC1(CNc2ccc([N+](=O)[O-])nc2)CCCC1 ZINC000471739317 393911162 /nfs/dbraw/zinc/91/11/62/393911162.db2.gz FIBJKIRHWVMSMT-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1Cc2ccccc2C1 ZINC000108349801 393875063 /nfs/dbraw/zinc/87/50/63/393875063.db2.gz RRJYZZIITJMZBS-UHFFFAOYSA-N 0 0 255.277 2.818 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000109477428 393878875 /nfs/dbraw/zinc/87/88/75/393878875.db2.gz IMMUNAWJTQXTCZ-ZDUSSCGKSA-N 0 0 291.351 2.897 20 5 CFBDRN CN(C(=O)c1n[nH]cc1[N+](=O)[O-])[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000416296114 393898593 /nfs/dbraw/zinc/89/85/93/393898593.db2.gz UYNMWNKYTAYIIB-VHSXEESVSA-N 0 0 294.355 2.605 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)C2(C)CCCC2)c1[N+](=O)[O-] ZINC000428664659 393904503 /nfs/dbraw/zinc/90/45/03/393904503.db2.gz MUVMMUPMEZPYBG-UHFFFAOYSA-N 0 0 294.355 2.846 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@]2(C)C(C)C)c1 ZINC000458091374 393844249 /nfs/dbraw/zinc/84/42/49/393844249.db2.gz FTOUANBHDCSBJM-DZGCQCFKSA-N 0 0 292.335 2.768 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000341057638 393849213 /nfs/dbraw/zinc/84/92/13/393849213.db2.gz CYWPIJYZYYWCSI-CZUORRHYSA-N 0 0 299.330 2.744 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000458116253 393850632 /nfs/dbraw/zinc/85/06/32/393850632.db2.gz SNMGRHYPOMXAMO-HIFRSBDPSA-N 0 0 292.335 2.524 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)CC(C)C ZINC000416044281 393853968 /nfs/dbraw/zinc/85/39/68/393853968.db2.gz OXWLSAFYESNPQO-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000458129239 393854483 /nfs/dbraw/zinc/85/44/83/393854483.db2.gz FNHDZUKLVJIIOI-DZGCQCFKSA-N 0 0 292.335 2.524 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)[C@H]1CC1(C)C ZINC000416051055 393855524 /nfs/dbraw/zinc/85/55/24/393855524.db2.gz AODKGCAOGUARJB-RKDXNWHRSA-N 0 0 266.297 2.742 20 5 CFBDRN CO[C@@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])CC(C)C ZINC000416053031 393856080 /nfs/dbraw/zinc/85/60/80/393856080.db2.gz DGDIIGCNHICUCW-GFCCVEGCSA-N 0 0 294.351 2.694 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000458146300 393858177 /nfs/dbraw/zinc/85/81/77/393858177.db2.gz UFBUVMWWBIQYNZ-NWDGAFQWSA-N 0 0 266.272 2.508 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000416691516 393942337 /nfs/dbraw/zinc/94/23/37/393942337.db2.gz SAQRTOYKUFYPBS-CMPLNLGQSA-N 0 0 289.335 2.755 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CC[C@H](C)C1 ZINC000429229340 393946048 /nfs/dbraw/zinc/94/60/48/393946048.db2.gz VOOKARJJEAGMPQ-GXSJLCMTSA-N 0 0 277.324 2.559 20 5 CFBDRN COc1c(C(=O)NCCCC(C)C)cccc1[N+](=O)[O-] ZINC000474789541 393946174 /nfs/dbraw/zinc/94/61/74/393946174.db2.gz GFLSDGXWZBSJAO-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1ccnc(CNC(C)(C)c2ccccc2[N+](=O)[O-])n1 ZINC000429228806 393946176 /nfs/dbraw/zinc/94/61/76/393946176.db2.gz FYPAIXDYQCFQGV-UHFFFAOYSA-N 0 0 286.335 2.718 20 5 CFBDRN CCc1nnc(CNC(C)(C)c2ccccc2[N+](=O)[O-])o1 ZINC000429258707 393949747 /nfs/dbraw/zinc/94/97/47/393949747.db2.gz WNKRPDTUPAKCPO-UHFFFAOYSA-N 0 0 290.323 2.565 20 5 CFBDRN CCC(C)(CC)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000476038882 393957727 /nfs/dbraw/zinc/95/77/27/393957727.db2.gz GGDBVXXJHLKVIF-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+]1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC000263346003 393961257 /nfs/dbraw/zinc/96/12/57/393961257.db2.gz OQGQOMSETLTTJR-AAEUAGOBSA-N 0 0 292.335 2.588 20 5 CFBDRN CC(C)(C)NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000349159794 393964327 /nfs/dbraw/zinc/96/43/27/393964327.db2.gz NDGSPEUOMWKOSZ-UHFFFAOYSA-N 0 0 299.758 2.888 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000487770366 394023810 /nfs/dbraw/zinc/02/38/10/394023810.db2.gz VUDIDFZJVCVGRP-MSRIBSCDSA-N 0 0 269.304 2.775 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)CC1CC1 ZINC000122988395 393974555 /nfs/dbraw/zinc/97/45/55/393974555.db2.gz CFHWDZMGRQORLR-VIFPVBQESA-N 0 0 293.323 2.914 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000430450257 393987789 /nfs/dbraw/zinc/98/77/89/393987789.db2.gz LSQKRHULPRJWEQ-SCZZXKLOSA-N 0 0 295.314 2.577 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000267821341 393988760 /nfs/dbraw/zinc/98/87/60/393988760.db2.gz XVWAMSXECFNYBR-PHIMTYICSA-N 0 0 262.309 2.631 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccccc1O ZINC000436824976 394025186 /nfs/dbraw/zinc/02/51/86/394025186.db2.gz BLDFFBBWXWTEML-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN Cc1cncc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1 ZINC000430654247 394002044 /nfs/dbraw/zinc/00/20/44/394002044.db2.gz PZEGNWBUTQGBQJ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)Cc1ccncc1 ZINC000487862073 394026202 /nfs/dbraw/zinc/02/62/02/394026202.db2.gz REEKFORFOVKXSG-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN CC[C@@H](F)Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487865354 394026591 /nfs/dbraw/zinc/02/65/91/394026591.db2.gz DJENTPWQRXADCR-SECBINFHSA-N 0 0 264.256 2.658 20 5 CFBDRN CC1=CCN(C(=O)CCNc2ccccc2[N+](=O)[O-])CC1 ZINC000270136816 394010925 /nfs/dbraw/zinc/01/09/25/394010925.db2.gz QIRHLQKMAPHLOW-UHFFFAOYSA-N 0 0 289.335 2.575 20 5 CFBDRN CC(C)(C)C[C@@H](O)CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000487568994 394012616 /nfs/dbraw/zinc/01/26/16/394012616.db2.gz ZUYJNUXPLPDWIE-LBPRGKRZSA-N 0 0 298.314 2.860 20 5 CFBDRN O=C1CC[C@@H](CSCc2cccc([N+](=O)[O-])c2)CCN1 ZINC000419397530 394037872 /nfs/dbraw/zinc/03/78/72/394037872.db2.gz VEOLOEHJQKOVMV-LLVKDONJSA-N 0 0 294.376 2.744 20 5 CFBDRN COc1cc(N[C@H](CCO)c2ccco2)ccc1[N+](=O)[O-] ZINC000274370732 394042146 /nfs/dbraw/zinc/04/21/46/394042146.db2.gz GOERDKJTGDLGQS-LLVKDONJSA-N 0 0 292.291 2.732 20 5 CFBDRN Cc1nc(N2CCC([C@@H]3CCCO3)CC2)ccc1[N+](=O)[O-] ZINC000276047510 394048256 /nfs/dbraw/zinc/04/82/56/394048256.db2.gz QEOLWYYLPGVAAW-AWEZNQCLSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cccc(N2CCc3cc[nH]c(=O)c3C2)c1[N+](=O)[O-] ZINC000276928186 394053251 /nfs/dbraw/zinc/05/32/51/394053251.db2.gz QINVWAMIWAXNKV-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN Cc1cc(N2CCO[C@H](C(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000279609608 394067498 /nfs/dbraw/zinc/06/74/98/394067498.db2.gz XODRMWVMKPMIKB-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000439158611 394102868 /nfs/dbraw/zinc/10/28/68/394102868.db2.gz PSZZPGROJZOVIB-IUCAKERBSA-N 0 0 265.313 2.540 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCO1 ZINC000128696753 394074826 /nfs/dbraw/zinc/07/48/26/394074826.db2.gz JXUVKMFPLJSEPT-QWHCGFSZSA-N 0 0 293.323 2.674 20 5 CFBDRN CC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000356211442 394075499 /nfs/dbraw/zinc/07/54/99/394075499.db2.gz IIONZBAZJUQJPY-SECBINFHSA-N 0 0 267.304 2.943 20 5 CFBDRN Cc1cn(Cc2cc(-c3ccccc3)on2)nc1[N+](=O)[O-] ZINC000439192084 394104181 /nfs/dbraw/zinc/10/41/81/394104181.db2.gz SIWVCJWOJCVRHG-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000492879224 394087280 /nfs/dbraw/zinc/08/72/80/394087280.db2.gz CVIZOENQSNRAKY-XKRWLLEASA-N 0 0 260.293 2.523 20 5 CFBDRN Cc1cc(OCC(=O)N2CC[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000281875329 394087555 /nfs/dbraw/zinc/08/75/55/394087555.db2.gz ZNZKJMPEROANHI-CMPLNLGQSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1cncnc1 ZINC000438952339 394089374 /nfs/dbraw/zinc/08/93/74/394089374.db2.gz WJRQMEXYBQFYFV-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492885455 394089564 /nfs/dbraw/zinc/08/95/64/394089564.db2.gz ZNTJPXKYUGGHQM-XKGOSNOPSA-N 0 0 260.293 2.523 20 5 CFBDRN CC(C)(NC(=O)/C=C\c1ccc[nH]1)c1ccccc1[N+](=O)[O-] ZINC000492896433 394092124 /nfs/dbraw/zinc/09/21/24/394092124.db2.gz QLELPZIFZSKHLH-KTKRTIGZSA-N 0 0 299.330 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC(F)(F)C2)nc1 ZINC000281983208 394105136 /nfs/dbraw/zinc/10/51/36/394105136.db2.gz MSOAARWMRXJAOJ-QMMMGPOBSA-N 0 0 257.240 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC=C(C)C2)c1 ZINC000281931753 394096826 /nfs/dbraw/zinc/09/68/26/394096826.db2.gz ZYHAURLGYQNULK-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN CC[C@@H]1CCN1C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492917409 394097538 /nfs/dbraw/zinc/09/75/38/394097538.db2.gz CFHJRZYUGLULHY-NNNHXZLVSA-N 0 0 260.293 2.619 20 5 CFBDRN Cn1ccnc1[C@H](NC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000272484897 394029117 /nfs/dbraw/zinc/02/91/17/394029117.db2.gz CRCDUALEHJXPMK-CQSZACIVSA-N 0 0 286.335 2.560 20 5 CFBDRN C[C@H]1CCN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000488122827 394036280 /nfs/dbraw/zinc/03/62/80/394036280.db2.gz IDSAVMOIDCTGSJ-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCC[C@@H](O)C1 ZINC000439244696 394106537 /nfs/dbraw/zinc/10/65/37/394106537.db2.gz HIJZHWPGYODYQU-NWDGAFQWSA-N 0 0 292.335 2.701 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccn(C)c1)c1ccccc1[N+](=O)[O-] ZINC000493208708 394179393 /nfs/dbraw/zinc/17/93/93/394179393.db2.gz QKJHEBNROWHIIJ-ABZNLYFFSA-N 0 0 299.330 2.824 20 5 CFBDRN CCC[C@@H](CNC(=O)/C=C/c1cccc([N+](=O)[O-])c1)OC ZINC000492978509 394114143 /nfs/dbraw/zinc/11/41/43/394114143.db2.gz BCQZEQUAYGFRJY-VFNNOXKTSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC[C@H]1CCCS1 ZINC000130927715 394121829 /nfs/dbraw/zinc/12/18/29/394121829.db2.gz BLOQHKACSKZQAQ-OQHXTRMZSA-N 0 0 292.360 2.620 20 5 CFBDRN CCOCc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000439708442 394127664 /nfs/dbraw/zinc/12/76/64/394127664.db2.gz OPVXDWSZUOALIM-UHFFFAOYSA-N 0 0 276.296 2.608 20 5 CFBDRN Cc1cnc(Nc2ccc3c(c2)ncn3C)c([N+](=O)[O-])c1 ZINC000439710079 394128022 /nfs/dbraw/zinc/12/80/22/394128022.db2.gz XIPHUUBVOZCIHA-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN O=C(Nc1ccccc1-c1cn[nH]c1)c1ccc([N+](=O)[O-])o1 ZINC000439748976 394129371 /nfs/dbraw/zinc/12/93/71/394129371.db2.gz WQGVBUFTGYOIQB-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283174185 394130405 /nfs/dbraw/zinc/13/04/05/394130405.db2.gz PNLSGRFEQBNYMV-PRHODGIISA-N 0 0 295.314 2.577 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000131375505 394131477 /nfs/dbraw/zinc/13/14/77/394131477.db2.gz GUGXBZPOXFWEHC-WDEREUQCSA-N 0 0 294.326 2.781 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)NCCC(C)(C)C ZINC000131486146 394134388 /nfs/dbraw/zinc/13/43/88/394134388.db2.gz HHIMCHQJUZUZHP-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN C[C@H]1CCCCN1Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000284163612 394135445 /nfs/dbraw/zinc/13/54/45/394135445.db2.gz QHUCXLAYDZJDGZ-JTQLQIEISA-N 0 0 279.296 2.877 20 5 CFBDRN Cc1noc([C@H]2CCCN2Cc2cccc([N+](=O)[O-])c2)n1 ZINC000285837417 394154141 /nfs/dbraw/zinc/15/41/41/394154141.db2.gz XFXHGZLGVLJYFH-CYBMUJFWSA-N 0 0 288.307 2.623 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000132549261 394157565 /nfs/dbraw/zinc/15/75/65/394157565.db2.gz LLHJLUIAZMSXIG-TZMCWYRMSA-N 0 0 278.308 2.777 20 5 CFBDRN CCCN(CCOc1cccc([N+](=O)[O-])c1)CC(F)F ZINC000133307283 394174207 /nfs/dbraw/zinc/17/42/07/394174207.db2.gz PSKROJRVWAZJFE-UHFFFAOYSA-N 0 0 288.294 2.951 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])[C@@H](CO)C(C)(C)C ZINC000134430558 394197190 /nfs/dbraw/zinc/19/71/90/394197190.db2.gz KSGWFJSAPCHUGI-ZDUSSCGKSA-N 0 0 284.331 2.573 20 5 CFBDRN C[C@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCCC[C@@H]1O ZINC000288536099 394213158 /nfs/dbraw/zinc/21/31/58/394213158.db2.gz UVOYYKLALKDPPH-UONOGXRCSA-N 0 0 279.340 2.530 20 5 CFBDRN Cc1cc(F)ccc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000135330168 394217578 /nfs/dbraw/zinc/21/75/78/394217578.db2.gz KNOWEHOGFIIQIF-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CC[C@]1(C)CN(Cc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000135605861 394222656 /nfs/dbraw/zinc/22/26/56/394222656.db2.gz QUMJUWVBJVANHE-CQSZACIVSA-N 0 0 282.315 2.735 20 5 CFBDRN CN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@H]1CC1(C)C ZINC000493373109 394225223 /nfs/dbraw/zinc/22/52/23/394225223.db2.gz TZAYQSBALYKDTG-XURPKSDJSA-N 0 0 274.320 2.865 20 5 CFBDRN CCCc1ccccc1NC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000135687272 394225306 /nfs/dbraw/zinc/22/53/06/394225306.db2.gz VVIMWPDSAAROHO-UHFFFAOYSA-N 0 0 274.280 2.523 20 5 CFBDRN CC(C)n1cc(CN[C@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC000290028226 394225826 /nfs/dbraw/zinc/22/58/26/394225826.db2.gz DRXYFBYOMZVDPI-LLVKDONJSA-N 0 0 289.339 2.618 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C/[C@@H]1CCOC1 ZINC000493377948 394226541 /nfs/dbraw/zinc/22/65/41/394226541.db2.gz ACJBHJIMGKPEMM-AAOUONPWSA-N 0 0 290.319 2.743 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\c1ccncn1 ZINC000493490303 394258030 /nfs/dbraw/zinc/25/80/30/394258030.db2.gz PEBZVZCYIXYCTN-XQRVVYSFSA-N 0 0 298.302 2.599 20 5 CFBDRN CCc1nnc(CNc2cc(C)ccc2[N+](=O)[O-])s1 ZINC000290607748 394231941 /nfs/dbraw/zinc/23/19/41/394231941.db2.gz FKIIJHJEUXNZPP-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@@H](C(C)C)C2)c1 ZINC000136307166 394232757 /nfs/dbraw/zinc/23/27/57/394232757.db2.gz XOYHOTPTLXYPPF-GFCCVEGCSA-N 0 0 292.335 2.864 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000493421780 394238562 /nfs/dbraw/zinc/23/85/62/394238562.db2.gz MALDHHCCZDFHLY-SYTKJHMZSA-N 0 0 260.293 2.523 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCSc1ccc(F)cc1 ZINC000151020252 394258969 /nfs/dbraw/zinc/25/89/69/394258969.db2.gz UVOOKGLPLOAHPM-UHFFFAOYSA-N 0 0 294.307 2.688 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@H]2C1 ZINC000150773326 394251916 /nfs/dbraw/zinc/25/19/16/394251916.db2.gz BDWDMAFWWZFHSP-QWRGUYRKSA-N 0 0 292.310 2.996 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000367282390 394253459 /nfs/dbraw/zinc/25/34/59/394253459.db2.gz JYBWGDNSGMLTRC-LLVKDONJSA-N 0 0 278.356 2.517 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000448795836 394187471 /nfs/dbraw/zinc/18/74/71/394187471.db2.gz WWSJAKWSIVZXDB-DTWKUNHWSA-N 0 0 298.314 2.907 20 5 CFBDRN Cc1cc(N[C@H]2COc3cccc(F)c32)ncc1[N+](=O)[O-] ZINC000367783603 394262200 /nfs/dbraw/zinc/26/22/00/394262200.db2.gz MPYNNNUARDBBTL-JTQLQIEISA-N 0 0 289.266 2.983 20 5 CFBDRN CO[C@@](C)(CNC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000291805612 394263466 /nfs/dbraw/zinc/26/34/66/394263466.db2.gz HFXIYJFWJCZGOO-AWEZNQCLSA-N 0 0 293.323 2.531 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCOC(C)(C)C1 ZINC000153371643 394282634 /nfs/dbraw/zinc/28/26/34/394282634.db2.gz VJYWWZPKEKWTDK-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc(NC(=O)N(C)CC(F)F)ccc1[N+](=O)[O-] ZINC000153630710 394287160 /nfs/dbraw/zinc/28/71/60/394287160.db2.gz XCLXVAIMRCEAMC-UHFFFAOYSA-N 0 0 273.239 2.632 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]1C1CC1 ZINC000493597192 394287398 /nfs/dbraw/zinc/28/73/98/394287398.db2.gz MHEJNBWMJZKBRJ-SSNWNQLTSA-N 0 0 272.304 2.523 20 5 CFBDRN Cc1nc(N[C@@H]2CC[C@@H](C)[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000450440995 394292416 /nfs/dbraw/zinc/29/24/16/394292416.db2.gz PEHKJZQVBBEGHO-FXPVBKGRSA-N 0 0 264.329 2.930 20 5 CFBDRN Cc1nc(N2C[C@H](C)[C@H]3CCCC[C@H]32)ncc1[N+](=O)[O-] ZINC000294871516 394295219 /nfs/dbraw/zinc/29/52/19/394295219.db2.gz DVSDDDNIDOTPLZ-MVWJERBFSA-N 0 0 276.340 2.708 20 5 CFBDRN Cc1csc2c1CN(C(=O)c1ccc([N+](=O)[O-])o1)CC2 ZINC000371638034 394301761 /nfs/dbraw/zinc/30/17/61/394301761.db2.gz NUTLAQQLOQXAIP-UHFFFAOYSA-N 0 0 292.316 2.756 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000371724696 394302253 /nfs/dbraw/zinc/30/22/53/394302253.db2.gz BGUHHVBOFMAMQY-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)COc1ccccc1[N+](=O)[O-] ZINC000450625885 394302462 /nfs/dbraw/zinc/30/24/62/394302462.db2.gz URCLMNIOXPYRGE-CYBMUJFWSA-N 0 0 293.319 2.892 20 5 CFBDRN Cc1nc(N[C@H]2CCCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC000296625740 394311347 /nfs/dbraw/zinc/31/13/47/394311347.db2.gz VHYPAFQQFQNXGW-WPRPVWTQSA-N 0 0 250.302 2.684 20 5 CFBDRN COc1ccc(O[C@@H]2C[C@@H](C)CCC2=O)c([N+](=O)[O-])c1 ZINC000450687076 394318037 /nfs/dbraw/zinc/31/80/37/394318037.db2.gz WOQJXYAUWZGQSR-LKFCYVNXSA-N 0 0 279.292 2.740 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000155531247 394318179 /nfs/dbraw/zinc/31/81/79/394318179.db2.gz SZMVNUCBZLZGOY-GXFFZTMASA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)ncn1 ZINC000155809518 394323847 /nfs/dbraw/zinc/32/38/47/394323847.db2.gz FBTSYWJFCPGBEP-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000493846427 394328601 /nfs/dbraw/zinc/32/86/01/394328601.db2.gz BQLBKMFIWBEGQE-KFTMDGLLSA-N 0 0 272.304 2.523 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1(CF)CCOCC1 ZINC000450732297 394329414 /nfs/dbraw/zinc/32/94/14/394329414.db2.gz GBNDVGUYOOPWLB-UHFFFAOYSA-N 0 0 268.288 2.773 20 5 CFBDRN CC(C)[C@@H](CO)[C@H](Nc1ccc([N+](=O)[O-])nc1)C(C)C ZINC000450764990 394337277 /nfs/dbraw/zinc/33/72/77/394337277.db2.gz IMECAYDANWNRTH-TZMCWYRMSA-N 0 0 281.356 2.691 20 5 CFBDRN CC(C)[C@H](CO)[C@@H](Nc1ncccc1[N+](=O)[O-])C(C)C ZINC000450772387 394338850 /nfs/dbraw/zinc/33/88/50/394338850.db2.gz PFSLHYJJCNYLEV-AAEUAGOBSA-N 0 0 281.356 2.691 20 5 CFBDRN Cc1cc(N2C[C@H](C3CC3)[C@H]2C2CC2)ncc1[N+](=O)[O-] ZINC000450802637 394340890 /nfs/dbraw/zinc/34/08/90/394340890.db2.gz ZNNWIIPGKFQWQK-IUODEOHRSA-N 0 0 273.336 2.923 20 5 CFBDRN COCCN(Cc1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC000494206282 394344272 /nfs/dbraw/zinc/34/42/72/394344272.db2.gz WEUMZLXQHNKLTE-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1C=CCCC1 ZINC000157560254 394347140 /nfs/dbraw/zinc/34/71/40/394347140.db2.gz ONYTYOFCEQUQMI-ZDUSSCGKSA-N 0 0 274.320 2.752 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2CC[C@H]3C[C@H]32)c([N+](=O)[O-])c1 ZINC000451086313 394356096 /nfs/dbraw/zinc/35/60/96/394356096.db2.gz SIMJYXJQJSJLSE-JBLDHEPKSA-N 0 0 290.319 2.978 20 5 CFBDRN C[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)[C@@H]1C ZINC000518721827 394371468 /nfs/dbraw/zinc/37/14/68/394371468.db2.gz DAZLRFBWPHDYOJ-RKDXNWHRSA-N 0 0 253.277 2.754 20 5 CFBDRN CCN(Cc1cc([N+](=O)[O-])ccc1OC(C)C)C1COC1 ZINC000451283051 394372988 /nfs/dbraw/zinc/37/29/88/394372988.db2.gz FYHSNUIGGWIYKH-UHFFFAOYSA-N 0 0 294.351 2.603 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@H]1C[C@H]2CC[C@@H]1C2 ZINC000172932594 394374699 /nfs/dbraw/zinc/37/46/99/394374699.db2.gz GBWNLWZQDHLKST-BFHYXJOUSA-N 0 0 288.347 2.690 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ccn1CCC1CC1 ZINC000519704494 394376081 /nfs/dbraw/zinc/37/60/81/394376081.db2.gz IFQPFBSYRDFWKH-UHFFFAOYSA-N 0 0 258.277 2.710 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000177021038 394382728 /nfs/dbraw/zinc/38/27/28/394382728.db2.gz SWYUDZGOJQZBEJ-GXSJLCMTSA-N 0 0 262.309 2.774 20 5 CFBDRN CC[C@]1(C)CN(c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000276038143 135118766 /nfs/dbraw/zinc/11/87/66/135118766.db2.gz MZJFJDRVDYDCBX-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@H](NC(=O)NCC1(C)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000454601134 394422872 /nfs/dbraw/zinc/42/28/72/394422872.db2.gz VWZCBNOYNBFUDX-JTQLQIEISA-N 0 0 277.324 2.755 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCCC2(C1)OCCO2 ZINC000134216846 296498756 /nfs/dbraw/zinc/49/87/56/296498756.db2.gz SHYCSIQRADMTBJ-UHFFFAOYSA-N 0 0 298.726 2.592 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(C[C@@H]3CCCOC3)c2)c1 ZINC000537555019 394585075 /nfs/dbraw/zinc/58/50/75/394585075.db2.gz ACWFOVOHMMYLSI-LBPRGKRZSA-N 0 0 287.319 2.885 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC(OC(C)C)CC1 ZINC000530528877 394525072 /nfs/dbraw/zinc/52/50/72/394525072.db2.gz HVHRQQIIMLGWTB-UHFFFAOYSA-N 0 0 296.371 2.513 20 5 CFBDRN CCc1ccc(C(=O)Nc2cc(C)ncc2C)cc1[N+](=O)[O-] ZINC000535748624 394542228 /nfs/dbraw/zinc/54/22/28/394542228.db2.gz UMDHRZWBCJYEJR-UHFFFAOYSA-N 0 0 299.330 2.843 20 5 CFBDRN CN1C(=O)COc2cc(Nc3ccccc3[N+](=O)[O-])ccc21 ZINC000541078104 394665040 /nfs/dbraw/zinc/66/50/40/394665040.db2.gz GNHYDKFEOMNIBP-UHFFFAOYSA-N 0 0 299.286 2.694 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCC1(C)C ZINC000538735794 394614083 /nfs/dbraw/zinc/61/40/83/394614083.db2.gz DODYLQZSXMACLK-UHFFFAOYSA-N 0 0 264.325 2.978 20 5 CFBDRN COC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000541786614 394684076 /nfs/dbraw/zinc/68/40/76/394684076.db2.gz YNYFIOPHGFGVER-GFCCVEGCSA-N 0 0 299.302 2.526 20 5 CFBDRN Cc1ccccc1[C@@H](CO)NCc1ccccc1[N+](=O)[O-] ZINC000541983837 394691860 /nfs/dbraw/zinc/69/18/60/394691860.db2.gz STNNTPCGAITNJB-OAHLLOKOSA-N 0 0 286.331 2.726 20 5 CFBDRN COc1ccc(Cc2nc([C@H]3C[C@H]3C)no2)cc1[N+](=O)[O-] ZINC000545065131 394778192 /nfs/dbraw/zinc/77/81/92/394778192.db2.gz GINMVHSONMRJCD-SCZZXKLOSA-N 0 0 289.291 2.701 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000545768742 394802283 /nfs/dbraw/zinc/80/22/83/394802283.db2.gz HZBPOJHABAZPJS-LHLIQPBNSA-N 0 0 263.228 2.907 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000547187103 394854455 /nfs/dbraw/zinc/85/44/55/394854455.db2.gz WTCVURKXYVERTH-IMTBSYHQSA-N 0 0 263.228 2.907 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(COc2ccccc2[N+](=O)[O-])n1 ZINC000547186652 394854863 /nfs/dbraw/zinc/85/48/63/394854863.db2.gz QVZVFYITCOKQNC-BDAKNGLRSA-N 0 0 275.264 2.680 20 5 CFBDRN Cc1ccncc1N(C)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000547363936 394861527 /nfs/dbraw/zinc/86/15/27/394861527.db2.gz QYGAXTVKPZMCPK-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN COC[C@@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000547760896 394887061 /nfs/dbraw/zinc/88/70/61/394887061.db2.gz GQDYSWKKIWKWPT-GFCCVEGCSA-N 0 0 299.302 2.526 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1[C@H](C)C[C@@H]1C ZINC000555682901 394981767 /nfs/dbraw/zinc/98/17/67/394981767.db2.gz GLDHKUZZFBKBSE-AOOOYVTPSA-N 0 0 250.298 2.586 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000556552530 395003265 /nfs/dbraw/zinc/00/32/65/395003265.db2.gz PYLMXOMDKKHUHS-AWEZNQCLSA-N 0 0 295.314 2.628 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@]2(C1)CCCOC2 ZINC000343137280 296500328 /nfs/dbraw/zinc/50/03/28/296500328.db2.gz CWNZUPFJQZLUNH-CYBMUJFWSA-N 0 0 297.742 2.650 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(c2cccnn2)C2CC2)cc1 ZINC000556684970 395008704 /nfs/dbraw/zinc/00/87/04/395008704.db2.gz UBTPPTVUBZGWED-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN CC1(CN2CCOc3ccc([N+](=O)[O-])cc3C2)CC1 ZINC000555104280 394965891 /nfs/dbraw/zinc/96/58/91/394965891.db2.gz GBMGXILDZULOSS-UHFFFAOYSA-N 0 0 262.309 2.589 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCCSCC1 ZINC000298707923 296501135 /nfs/dbraw/zinc/50/11/35/296501135.db2.gz JOVYBBZJXIZCNQ-UHFFFAOYSA-N 0 0 256.302 2.677 20 5 CFBDRN Cc1cccc(CC[N@@H+]2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000570628262 395085879 /nfs/dbraw/zinc/08/58/79/395085879.db2.gz PNTGCYIKQPWCDA-UHFFFAOYSA-N 0 0 297.358 2.899 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC[C@H](F)C1 ZINC000557663135 395042832 /nfs/dbraw/zinc/04/28/32/395042832.db2.gz UQHROSKIPFEUOR-JTQLQIEISA-N 0 0 296.298 2.628 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1C[C@@H]2CCC[C@H]2C1 ZINC000301612382 296501907 /nfs/dbraw/zinc/50/19/07/296501907.db2.gz SFSKYOLNWIEIRI-UWVGGRQHSA-N 0 0 250.273 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CCO[C@@H]3CCC[C@H]32)c1 ZINC000297596410 296510200 /nfs/dbraw/zinc/51/02/00/296510200.db2.gz UPIHWZFRULCUFQ-HUUCEWRRSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@H]1CCCOC1 ZINC000103964574 296511125 /nfs/dbraw/zinc/51/11/25/296511125.db2.gz HSQWRODPHSQZJP-QMMMGPOBSA-N 0 0 256.689 2.839 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC([C@@H]2CCCO2)CC1 ZINC000275999291 296514438 /nfs/dbraw/zinc/51/44/38/296514438.db2.gz KUFGJADKALRIEQ-HNNXBMFYSA-N 0 0 276.336 2.990 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@](CO)(c2ccccc2)C1 ZINC000292448369 296515256 /nfs/dbraw/zinc/51/52/56/296515256.db2.gz WOQTUKNKHZAZLJ-KRWDZBQOSA-N 0 0 298.342 2.735 20 5 CFBDRN CO[C@@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000376869621 285656798 /nfs/dbraw/zinc/65/67/98/285656798.db2.gz MACHGQNEQHXKHQ-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC([C@@H]2CCCOC2)CC1 ZINC000376176658 296516919 /nfs/dbraw/zinc/51/69/19/296516919.db2.gz CAAPEOSWZVEMNB-CYBMUJFWSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@H]1c1ccccc1 ZINC000354046528 296517856 /nfs/dbraw/zinc/51/78/56/296517856.db2.gz DBSGPTQVATWEEJ-LBPRGKRZSA-N 0 0 255.277 2.941 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1N1CCCCC1 ZINC000270379973 296521553 /nfs/dbraw/zinc/52/15/53/296521553.db2.gz PIPBLQSTHQTWRM-UHFFFAOYSA-N 0 0 286.129 2.743 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC=C(c2ccncc2)C1 ZINC000343919879 296521649 /nfs/dbraw/zinc/52/16/49/296521649.db2.gz JRZCDDQWUPRXJL-UHFFFAOYSA-N 0 0 282.303 2.679 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC2(CCC(O)CC2)CC1 ZINC000450575983 296521683 /nfs/dbraw/zinc/52/16/83/296521683.db2.gz NXYNNUGLSAOKEV-UHFFFAOYSA-N 0 0 291.351 2.511 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000176998783 292061923 /nfs/dbraw/zinc/06/19/23/292061923.db2.gz HBCJRPIXVWNSOH-KOLCDFICSA-N 0 0 262.309 2.774 20 5 CFBDRN COC1(C)CCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000271796616 292101001 /nfs/dbraw/zinc/10/10/01/292101001.db2.gz VVEOEKMNMWZZCU-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1C[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000293969946 304808109 /nfs/dbraw/zinc/80/81/09/304808109.db2.gz HRUIIWVFXVCCKB-CABCVRRESA-N 0 0 274.320 2.743 20 5 CFBDRN Cc1noc(C)c1CN(C)c1ccc([N+](=O)[O-])c(C)n1 ZINC000301663627 397638663 /nfs/dbraw/zinc/63/86/63/397638663.db2.gz VOVJDTGWDHBPBP-UHFFFAOYSA-N 0 0 276.296 2.539 20 5 CFBDRN CO[C@@](C)([C@@H](C)Nc1ncccc1[N+](=O)[O-])C1CC1 ZINC000413524843 285904183 /nfs/dbraw/zinc/90/41/83/285904183.db2.gz XBDFNPMYVZRTDN-RNCFNFMXSA-N 0 0 265.313 2.605 20 5 CFBDRN Cc1ccc(-c2noc([C@H]3CCO[C@@H]3C)n2)cc1[N+](=O)[O-] ZINC000355982513 155136827 /nfs/dbraw/zinc/13/68/27/155136827.db2.gz JDAAKWVFWZFCCP-KOLCDFICSA-N 0 0 289.291 2.846 20 5 CFBDRN CC1CCC(c2noc(-c3c([N+](=O)[O-])cnn3C)n2)CC1 ZINC000356195926 155182303 /nfs/dbraw/zinc/18/23/03/155182303.db2.gz RHBWRJJPHXESBC-UHFFFAOYSA-N 0 0 291.311 2.672 20 5 CFBDRN Cc1cccc(-c2noc(-c3cc([N+](=O)[O-])cnc3C)n2)n1 ZINC000356218308 155186133 /nfs/dbraw/zinc/18/61/33/155186133.db2.gz LPQHSMYODWSBNN-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CCOC1CC2(C1)CCN(c1nc(C)ccc1[N+](=O)[O-])C2 ZINC000286409305 286018911 /nfs/dbraw/zinc/01/89/11/286018911.db2.gz OYXXDZYVHMLZOE-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN CO[C@](C)(CNc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000292448319 286146787 /nfs/dbraw/zinc/14/67/87/286146787.db2.gz WNDZIQJBAYCIMP-CYBMUJFWSA-N 0 0 250.298 2.822 20 5 CFBDRN CO[C@]1(C)C[C@@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000301673923 286165191 /nfs/dbraw/zinc/16/51/91/286165191.db2.gz RNJVGCXKANSNCV-IUODEOHRSA-N 0 0 293.367 2.938 20 5 CFBDRN C[C@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000356443205 155268005 /nfs/dbraw/zinc/26/80/05/155268005.db2.gz ZISXPNVXRISDFD-ZCFIWIBFSA-N 0 0 294.204 2.662 20 5 CFBDRN CC(C)c1cccc(NC(=O)c2cc([N+](=O)[O-])nn2C)c1 ZINC000356487871 155281027 /nfs/dbraw/zinc/28/10/27/155281027.db2.gz MOJGNMNYFZSCJA-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)CC(C)C)c1 ZINC000301429165 286225624 /nfs/dbraw/zinc/22/56/24/286225624.db2.gz FMRYZRMGHPKDQJ-UHFFFAOYSA-N 0 0 256.277 2.835 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@@H](OC)C2)c([N+](=O)[O-])cc1F ZINC000275816076 286230478 /nfs/dbraw/zinc/23/04/78/286230478.db2.gz FDDAREJPPNRXFC-XPTSAGLGSA-N 0 0 298.314 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)C2CCC2)c1 ZINC000356546811 155300127 /nfs/dbraw/zinc/30/01/27/155300127.db2.gz LPESFWLFJDHRSH-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)(C)c1noc(OCc2ccc([N+](=O)[O-])cc2F)n1 ZINC000356571212 155305263 /nfs/dbraw/zinc/30/52/63/155305263.db2.gz ZRXFUDDLBXVVDX-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1cccs1 ZINC000356610992 155315769 /nfs/dbraw/zinc/31/57/69/155315769.db2.gz PUTDUVIVVOOUTR-UHFFFAOYSA-N 0 0 255.324 2.682 20 5 CFBDRN C[C@H](F)CCNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000356720715 155338875 /nfs/dbraw/zinc/33/88/75/155338875.db2.gz ZKOSBHULQWIHEC-VIFPVBQESA-N 0 0 295.314 2.647 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC[C@@H]1C ZINC000356718173 155339385 /nfs/dbraw/zinc/33/93/85/155339385.db2.gz AAKUZVKTTHZRDO-KWBADKCTSA-N 0 0 294.376 2.990 20 5 CFBDRN CC(C)N(Cc1c([N+](=O)[O-])ncn1C)c1ccc(F)cc1 ZINC000356784571 155366830 /nfs/dbraw/zinc/36/68/30/155366830.db2.gz BLXBAAHNRIZGIK-UHFFFAOYSA-N 0 0 292.314 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H]1CCC[C@@H](C)C1 ZINC000361966983 292281887 /nfs/dbraw/zinc/28/18/87/292281887.db2.gz IGJFTTMCTKOGFI-PWSUYJOCSA-N 0 0 264.325 2.891 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCCOCC1 ZINC000574100035 413275220 /nfs/dbraw/zinc/27/52/20/413275220.db2.gz SBLGWINQEZXAEL-SNVBAGLBSA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H](C)O[C@H](C)[C@@H]2C)c1 ZINC000408440792 292289698 /nfs/dbraw/zinc/28/96/98/292289698.db2.gz APBAGLMLHMJRQS-SDDRHHMPSA-N 0 0 264.325 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@@H](C)C2)c1 ZINC000123960424 292289933 /nfs/dbraw/zinc/28/99/33/292289933.db2.gz QKEKNHKPBKDRLZ-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@]3(C2)CCCOC3)c1 ZINC000343146089 292288497 /nfs/dbraw/zinc/28/84/97/292288497.db2.gz YGUOINBQUSKFMI-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1[C@H](C)C[C@H]1C ZINC000335680035 292293245 /nfs/dbraw/zinc/29/32/45/292293245.db2.gz UTWIRMGHNPXPPJ-NXEZZACHSA-N 0 0 263.297 2.918 20 5 CFBDRN CC(=O)CCC(C)(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000343227876 154149083 /nfs/dbraw/zinc/14/90/83/154149083.db2.gz SHJLILNNLNMMEY-UHFFFAOYSA-N 0 0 292.335 2.606 20 5 CFBDRN CCc1nn(C)c(NCC2(C(F)(F)F)CC2)c1[N+](=O)[O-] ZINC000343349237 154181848 /nfs/dbraw/zinc/18/18/48/154181848.db2.gz NFWYZSPCCQCHOD-UHFFFAOYSA-N 0 0 292.261 2.645 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)ccn1 ZINC000345651744 154231122 /nfs/dbraw/zinc/23/11/22/154231122.db2.gz QEBKLZYMPDDLSM-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN CC(C)(c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1)C(F)F ZINC000345659362 154233774 /nfs/dbraw/zinc/23/37/74/154233774.db2.gz ZJZOPCDFFXYFEL-UHFFFAOYSA-N 0 0 298.249 2.770 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCC(CO)CC2)c(Cl)c1 ZINC000345698004 154242578 /nfs/dbraw/zinc/24/25/78/154242578.db2.gz ZDLHOIJXTKKBAO-UHFFFAOYSA-N 0 0 285.731 2.606 20 5 CFBDRN O=C(NCCC1(F)CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000345750720 154258294 /nfs/dbraw/zinc/25/82/94/154258294.db2.gz QINYIZISMHQHIU-UHFFFAOYSA-N 0 0 284.262 2.746 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000345773937 154263266 /nfs/dbraw/zinc/26/32/66/154263266.db2.gz IGYWNVLSQMFXCJ-JTQLQIEISA-N 0 0 294.376 2.699 20 5 CFBDRN O=C(N[C@H]1C[C@H]1C(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000345774355 154263614 /nfs/dbraw/zinc/26/36/14/154263614.db2.gz KQQIMVQQKFYCJU-SFYZADRCSA-N 0 0 290.653 2.632 20 5 CFBDRN CC(C)(NC(=O)Cc1ccoc1)c1ccccc1[N+](=O)[O-] ZINC000345804122 154270149 /nfs/dbraw/zinc/27/01/49/154270149.db2.gz HRJDRQVOEMRTIY-UHFFFAOYSA-N 0 0 288.303 2.782 20 5 CFBDRN CC(C)(NC(=O)c1ccoc1)c1ccccc1[N+](=O)[O-] ZINC000345809723 154271163 /nfs/dbraw/zinc/27/11/63/154271163.db2.gz UTQCTVZKPVAUIC-UHFFFAOYSA-N 0 0 274.276 2.853 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000348038055 154293538 /nfs/dbraw/zinc/29/35/38/154293538.db2.gz JOJBAYJHRGAJFC-UFBFGSQYSA-N 0 0 280.299 2.752 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]1c1ccccc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000348076450 154307698 /nfs/dbraw/zinc/30/76/98/154307698.db2.gz DDEVLNCWXYLUNN-KGLIPLIRSA-N 0 0 299.330 2.989 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000348191255 154356037 /nfs/dbraw/zinc/35/60/37/154356037.db2.gz UOZZGUNFPZDEMA-LLVKDONJSA-N 0 0 263.297 2.823 20 5 CFBDRN CCc1cc(CCCn2nc(C)c([N+](=O)[O-])c2C)on1 ZINC000352154223 154485275 /nfs/dbraw/zinc/48/52/75/154485275.db2.gz RRCCWDGZSAMOAZ-UHFFFAOYSA-N 0 0 278.312 2.591 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352355258 154541988 /nfs/dbraw/zinc/54/19/88/154541988.db2.gz HNSHHOOSNPTECJ-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN COc1cccc(N2CCC[C@H](OC)CC2)c1[N+](=O)[O-] ZINC000364880830 286456647 /nfs/dbraw/zinc/45/66/47/286456647.db2.gz WFHZSUJEZMXDEO-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1nccn1CCCOc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000354550026 154744753 /nfs/dbraw/zinc/74/47/53/154744753.db2.gz VORTXCIKNXCTIK-UHFFFAOYSA-N 0 0 290.323 2.581 20 5 CFBDRN CC[C@H](C)C(=O)Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000355440309 155008543 /nfs/dbraw/zinc/00/85/43/155008543.db2.gz QTAFIUHJCMNXNP-VIFPVBQESA-N 0 0 275.308 2.868 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000355487093 155020651 /nfs/dbraw/zinc/02/06/51/155020651.db2.gz RWYVCKPPFJJZTH-ONGXEEELSA-N 0 0 296.298 2.878 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(C[C@H]3CCCCO3)n2)c1 ZINC000355549991 155037777 /nfs/dbraw/zinc/03/77/77/155037777.db2.gz XGYNQLUMYISSEY-GFCCVEGCSA-N 0 0 289.291 2.756 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CCOCC3CC3)n2)c1 ZINC000355550596 155037914 /nfs/dbraw/zinc/03/79/14/155037914.db2.gz ZBWCZTKTVYSXOB-UHFFFAOYSA-N 0 0 289.291 2.614 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cccc([N+](=O)[O-])c2OC)C1 ZINC000355843962 155099736 /nfs/dbraw/zinc/09/97/36/155099736.db2.gz KFRHOQKCNCKOCP-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1cnc(OCc2ncc(Cl)s2)c([N+](=O)[O-])c1 ZINC000358777300 155874849 /nfs/dbraw/zinc/87/48/49/155874849.db2.gz FJTCTNNCANYRTB-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1cc([N+](=O)[O-])cn1C)CCC2 ZINC000334235580 292331716 /nfs/dbraw/zinc/33/17/16/292331716.db2.gz KLIGVVBOCXGPLX-UHFFFAOYSA-N 0 0 299.330 2.835 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H](O)C2)n1 ZINC000359091217 155981733 /nfs/dbraw/zinc/98/17/33/155981733.db2.gz GSDDSQJAEUOIEP-VXGBXAGGSA-N 0 0 279.340 2.570 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@@H]1C[C@H]1c1ccccc1 ZINC000359174410 156014120 /nfs/dbraw/zinc/01/41/20/156014120.db2.gz IHXZNDGGUXTCMD-STQMWFEESA-N 0 0 270.288 2.560 20 5 CFBDRN CSC1(C(=O)NCCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000362820221 156093080 /nfs/dbraw/zinc/09/30/80/156093080.db2.gz LYRGXXFTLYGBQL-UHFFFAOYSA-N 0 0 294.376 2.539 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1ncc(C)cc1[N+](=O)[O-])OC ZINC000362975904 156134118 /nfs/dbraw/zinc/13/41/18/156134118.db2.gz HKGJXHWLADMQSM-ZYHUDNBSSA-N 0 0 267.329 2.771 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1ncc(C)cc1[N+](=O)[O-])OC ZINC000362975903 156134941 /nfs/dbraw/zinc/13/49/41/156134941.db2.gz HKGJXHWLADMQSM-PWSUYJOCSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1ccc(N[C@@H]2CCc3nc(C)ncc3C2)c([N+](=O)[O-])c1 ZINC000363111375 156149770 /nfs/dbraw/zinc/14/97/70/156149770.db2.gz IRWSDVLMLRBRCM-CYBMUJFWSA-N 0 0 298.346 2.971 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@H]1c1ccccc1 ZINC000363874474 156200604 /nfs/dbraw/zinc/20/06/04/156200604.db2.gz JNBFMLUIPCAJJH-IAQYHMDHSA-N 0 0 297.314 2.823 20 5 CFBDRN CO[C@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000366918076 156254417 /nfs/dbraw/zinc/25/44/17/156254417.db2.gz SYZLIRUOTHCJNJ-LBPRGKRZSA-N 0 0 282.315 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[NH+]3CCC2CC3)c(Cl)c1 ZINC000367667307 156375010 /nfs/dbraw/zinc/37/50/10/156375010.db2.gz OFHNOPNDTNJLGE-UHFFFAOYSA-N 0 0 295.770 2.528 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000367936608 156407643 /nfs/dbraw/zinc/40/76/43/156407643.db2.gz YEIWTJGLPNWBSE-BPLDGKMQSA-N 0 0 290.319 2.777 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc(F)c1F)N1CC[C@H](C2CC2)C1 ZINC000374285694 157093124 /nfs/dbraw/zinc/09/31/24/157093124.db2.gz CWHMXOJMROFXPF-VIFPVBQESA-N 0 0 296.273 2.745 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CC[C@H](C2CC2)C1 ZINC000374303662 157096418 /nfs/dbraw/zinc/09/64/18/157096418.db2.gz WPHJTTUHJLFXRF-GBXSZLQWSA-N 0 0 286.331 2.867 20 5 CFBDRN Cc1cc(N2CC[C@H](c3nccn3C)C2)ccc1[N+](=O)[O-] ZINC000374347087 157103896 /nfs/dbraw/zinc/10/38/96/157103896.db2.gz AVZXVZHAGJRZCI-LBPRGKRZSA-N 0 0 286.335 2.631 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC([C@H]3CCCOC3)CC2)s1 ZINC000374492603 157120871 /nfs/dbraw/zinc/12/08/71/157120871.db2.gz PPYHWXRYNXIIMN-NSHDSACASA-N 0 0 297.380 2.694 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@H](Nc2ncccc2[N+](=O)[O-])C12CCC2 ZINC000374874519 157167370 /nfs/dbraw/zinc/16/73/70/157167370.db2.gz KKMAGDJGGNOLKF-ADEWGFFLSA-N 0 0 277.324 2.605 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC2CCC1CC2 ZINC000375442035 157244071 /nfs/dbraw/zinc/24/40/71/157244071.db2.gz YXAZGIDVYBSQBD-UHFFFAOYSA-N 0 0 290.319 2.618 20 5 CFBDRN CCOC1CC2(C1)CCN(c1cc(C)c([N+](=O)[O-])cn1)C2 ZINC000376171733 157330232 /nfs/dbraw/zinc/33/02/32/157330232.db2.gz IUEAPMKIYAZANV-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc(NC[C@@H]2[C@H]3COC[C@H]32)c2cccc([N+](=O)[O-])c2n1 ZINC000376184402 157331242 /nfs/dbraw/zinc/33/12/42/157331242.db2.gz LSTJWZZNJSDDLK-XYYAHUGASA-N 0 0 299.330 2.756 20 5 CFBDRN CC1(C)CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000408257863 157389578 /nfs/dbraw/zinc/38/95/78/157389578.db2.gz SSVNKCQCYDIWHR-LLVKDONJSA-N 0 0 265.313 2.622 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cccc3[nH]ccc32)c1[N+](=O)[O-] ZINC000188356426 161527713 /nfs/dbraw/zinc/52/77/13/161527713.db2.gz YPEFOXYSGXRAJS-UHFFFAOYSA-N 0 0 299.290 2.614 20 5 CFBDRN O=c1cc(CNc2ccc3ncccc3c2[N+](=O)[O-])cc[nH]1 ZINC000340837216 161601511 /nfs/dbraw/zinc/60/15/11/161601511.db2.gz TXXNZHSXIRBRAF-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCO[C@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000343422583 161761818 /nfs/dbraw/zinc/76/18/18/161761818.db2.gz FOZILSQDJFHTPY-MNOVXSKESA-N 0 0 296.371 2.642 20 5 CFBDRN Cc1cc(C(=O)Nc2cnn(C(F)F)c2)cc([N+](=O)[O-])c1 ZINC000343508923 161787985 /nfs/dbraw/zinc/78/79/85/161787985.db2.gz IVRFKJRKZZCBFZ-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN Cc1ccoc1CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000343568195 161806159 /nfs/dbraw/zinc/80/61/59/161806159.db2.gz ZLQYGQBHAZZCHW-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H]2C(F)F)c(Cl)c1 ZINC000343847019 161876906 /nfs/dbraw/zinc/87/69/06/161876906.db2.gz ILVJTUGCDWCWNO-VDTYLAMSSA-N 0 0 263.631 2.709 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2CC[C@H]2C)c1[N+](=O)[O-] ZINC000343982559 161905679 /nfs/dbraw/zinc/90/56/79/161905679.db2.gz KMLAWRVRDNLVET-SCZZXKLOSA-N 0 0 280.349 2.845 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000344182368 161966013 /nfs/dbraw/zinc/96/60/13/161966013.db2.gz UADPHRYJOJQWSQ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCOC(=O)C1CCC(Nc2ccc([N+](=O)[O-])nc2)CC1 ZINC000344436046 162043751 /nfs/dbraw/zinc/04/37/51/162043751.db2.gz PITNQPZXULAKAD-UHFFFAOYSA-N 0 0 293.323 2.524 20 5 CFBDRN COCc1cccc(Nc2ccc([N+](=O)[O-])nc2)c1 ZINC000344443233 162044689 /nfs/dbraw/zinc/04/46/89/162044689.db2.gz SPFOYYIWRWOLQB-UHFFFAOYSA-N 0 0 259.265 2.880 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1ccc(F)cn1 ZINC000344662384 162092618 /nfs/dbraw/zinc/09/26/18/162092618.db2.gz IWOSEMLVBFWGID-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCC2(C)CC2)c1 ZINC000344876113 162139806 /nfs/dbraw/zinc/13/98/06/162139806.db2.gz CWZAOAWAZHCLNU-UHFFFAOYSA-N 0 0 292.335 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(Cc3cccnc3)n2)cc1 ZINC000345016188 162163382 /nfs/dbraw/zinc/16/33/82/162163382.db2.gz HREZLNKFGKNGQT-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN Cc1ccoc1CN(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000345029335 162165012 /nfs/dbraw/zinc/16/50/12/162165012.db2.gz TXEPVCJBQQXLCJ-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC[C@@H](C)C1 ZINC000345076008 162174706 /nfs/dbraw/zinc/17/47/06/162174706.db2.gz LXJSCWUJSBCUNV-NXEZZACHSA-N 0 0 278.308 2.978 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000345081429 162176579 /nfs/dbraw/zinc/17/65/79/162176579.db2.gz XVYZBICJPBBIME-VHSXEESVSA-N 0 0 278.308 2.978 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000345156612 162189475 /nfs/dbraw/zinc/18/94/75/162189475.db2.gz BNXOMOAEOXKLAX-AAEUAGOBSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000345347545 162231050 /nfs/dbraw/zinc/23/10/50/162231050.db2.gz LKTSCXVJLUGITN-DGCLKSJQSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1c(CN[C@H]2C[C@H](C)n3ccnc32)cccc1[N+](=O)[O-] ZINC000345355450 162234373 /nfs/dbraw/zinc/23/43/73/162234373.db2.gz MCUBRBNBXJMWGR-GWCFXTLKSA-N 0 0 286.335 2.895 20 5 CFBDRN Cc1sc(C(=O)NCCC2(F)CCC2)cc1[N+](=O)[O-] ZINC000345865135 162266610 /nfs/dbraw/zinc/26/66/10/162266610.db2.gz SCWBODDMQWZCOQ-UHFFFAOYSA-N 0 0 286.328 2.977 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2ncccn2)no1 ZINC000346089149 162316842 /nfs/dbraw/zinc/31/68/42/162316842.db2.gz YFYCDDWAOIQOSE-VOTSOKGWSA-N 0 0 295.258 2.605 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](CCO)c2ccco2)n1 ZINC000346172981 162337842 /nfs/dbraw/zinc/33/78/42/162337842.db2.gz FTSXJYBJZCKXCW-LLVKDONJSA-N 0 0 291.307 2.735 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000346195529 162348249 /nfs/dbraw/zinc/34/82/49/162348249.db2.gz PZMUFSPSWQTUCV-LBPRGKRZSA-N 0 0 294.376 2.992 20 5 CFBDRN CC(=O)c1ccc(CNc2ccc([N+](=O)[O-])nc2)nc1C ZINC000346263735 162364354 /nfs/dbraw/zinc/36/43/54/162364354.db2.gz PQMBZURZMCYUFA-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000346354085 162391489 /nfs/dbraw/zinc/39/14/89/162391489.db2.gz UAZWTWJDQPWUIR-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)c1ccc(OC)cc1 ZINC000346383787 162397703 /nfs/dbraw/zinc/39/77/03/162397703.db2.gz WQUCDLRNMAAJRB-UHFFFAOYSA-N 0 0 290.275 2.863 20 5 CFBDRN CN(Cc1ccoc1)c1ncc([N+](=O)[O-])cc1Cl ZINC000346421728 162408903 /nfs/dbraw/zinc/40/89/03/162408903.db2.gz VFEGWCVENSEIBE-UHFFFAOYSA-N 0 0 267.672 2.873 20 5 CFBDRN C[C@H]1CCCC[C@@H]1c1noc(-c2cc([N+](=O)[O-])nn2C)n1 ZINC000346426054 162410941 /nfs/dbraw/zinc/41/09/41/162410941.db2.gz SALMDMKBAANIJY-IUCAKERBSA-N 0 0 291.311 2.672 20 5 CFBDRN CCCN(Cc1ccc(F)cc1)c1c([N+](=O)[O-])ncn1C ZINC000346568838 162448909 /nfs/dbraw/zinc/44/89/09/162448909.db2.gz HUHPVADCMHIISF-UHFFFAOYSA-N 0 0 292.314 2.884 20 5 CFBDRN Cc1ccc(C)c(CN(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000346648887 162473935 /nfs/dbraw/zinc/47/39/35/162473935.db2.gz BPMVMNWFYVDMHZ-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN C[C@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000347304098 162648783 /nfs/dbraw/zinc/64/87/83/162648783.db2.gz PBWLQUBBWYQVDJ-ZETCQYMHSA-N 0 0 267.260 2.654 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncnc3cccc([N+](=O)[O-])c32)CCO1 ZINC000347407183 162681684 /nfs/dbraw/zinc/68/16/84/162681684.db2.gz LFAWRUNTBZUMNZ-UWVGGRQHSA-N 0 0 288.307 2.517 20 5 CFBDRN Cc1cnc([C@H](C)CNc2ccc([N+](=O)[O-])nc2)s1 ZINC000347879175 162793220 /nfs/dbraw/zinc/79/32/20/162793220.db2.gz MWGZRMFAVOUNBO-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN CCO[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000348399396 162842734 /nfs/dbraw/zinc/84/27/34/162842734.db2.gz LZELCZPCMLOTLW-CYBMUJFWSA-N 0 0 280.324 2.985 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000349675811 163002576 /nfs/dbraw/zinc/00/25/76/163002576.db2.gz BJVVANCNRVAYAA-QWHCGFSZSA-N 0 0 274.320 2.566 20 5 CFBDRN C[C@H](Cc1ncc[nH]1)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000349818164 163019535 /nfs/dbraw/zinc/01/95/35/163019535.db2.gz HBAOEORFPCRNBS-MRVPVSSYSA-N 0 0 297.261 2.744 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(Cc2ccccn2)no1 ZINC000349840856 163023213 /nfs/dbraw/zinc/02/32/13/163023213.db2.gz QHWLUMCBLNQWES-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3C[C@]34CCOC4)n2)cc1 ZINC000349890280 163033636 /nfs/dbraw/zinc/03/36/36/163033636.db2.gz KCRQCTUFDFDTQQ-RISCZKNCSA-N 0 0 287.275 2.539 20 5 CFBDRN CN(Cc1cc2ccccc2o1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000349908437 163035679 /nfs/dbraw/zinc/03/56/79/163035679.db2.gz JSKFDRICZVHVRQ-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@H]3C[C@]34CCOC4)n2)c1 ZINC000349903999 163036085 /nfs/dbraw/zinc/03/60/85/163036085.db2.gz QIIGSZXGGPGBRE-RISCZKNCSA-N 0 0 287.275 2.539 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C)Cc1cccn1C ZINC000349970315 163046619 /nfs/dbraw/zinc/04/66/19/163046619.db2.gz RDDXEXUAVNEXFM-UHFFFAOYSA-N 0 0 273.336 2.874 20 5 CFBDRN CO[C@@H](C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000350016088 163050676 /nfs/dbraw/zinc/05/06/76/163050676.db2.gz GUANTAZLOGDZMK-GFCCVEGCSA-N 0 0 266.297 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cn[nH]c2)c(Br)c1 ZINC000350286197 163070177 /nfs/dbraw/zinc/07/01/77/163070177.db2.gz KISVXSZBONSFJQ-UHFFFAOYSA-N 0 0 283.085 2.824 20 5 CFBDRN COCc1noc(/C(C)=C/c2ccc([N+](=O)[O-])cc2)n1 ZINC000350393862 163076296 /nfs/dbraw/zinc/07/62/96/163076296.db2.gz MKYFANKTLQCSNL-VQHVLOKHSA-N 0 0 275.264 2.685 20 5 CFBDRN CC[C@@](C)(OC)c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000350461159 163083875 /nfs/dbraw/zinc/08/38/75/163083875.db2.gz NPJVCQQULWOJQO-CYBMUJFWSA-N 0 0 277.280 2.916 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@H](O)C(F)(F)F ZINC000350510701 163090962 /nfs/dbraw/zinc/09/09/62/163090962.db2.gz ILASXYVJICZSGO-QMMMGPOBSA-N 0 0 298.648 2.892 20 5 CFBDRN CO[C@H](C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000350643081 163095973 /nfs/dbraw/zinc/09/59/73/163095973.db2.gz UWFOULUTORYAIH-LBPRGKRZSA-N 0 0 266.297 2.513 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000350789301 163106082 /nfs/dbraw/zinc/10/60/82/163106082.db2.gz XNSDOGRLXKYSSX-ONGXEEELSA-N 0 0 295.364 2.776 20 5 CFBDRN COc1c(F)cc(F)cc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000350903002 163113300 /nfs/dbraw/zinc/11/33/00/163113300.db2.gz XFNLRHZTEFGAHC-UHFFFAOYSA-N 0 0 298.201 2.727 20 5 CFBDRN CCc1[nH]nc(-c2nc(C3CCCCC3)no2)c1[N+](=O)[O-] ZINC000351028320 163116714 /nfs/dbraw/zinc/11/67/14/163116714.db2.gz PKDWLCGUIRRJPP-UHFFFAOYSA-N 0 0 291.311 2.978 20 5 CFBDRN O=C(NCCC1(F)CCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000351106038 163117545 /nfs/dbraw/zinc/11/75/45/163117545.db2.gz WWKODIYDVGPTFQ-UHFFFAOYSA-N 0 0 281.287 2.999 20 5 CFBDRN CCc1[nH]nc(-c2nc(-c3ccccc3C)no2)c1[N+](=O)[O-] ZINC000351080788 163118080 /nfs/dbraw/zinc/11/80/80/163118080.db2.gz ZHDLWZPHOBFYID-UHFFFAOYSA-N 0 0 299.290 2.906 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccnc(C2CC2)n1 ZINC000351513661 163138064 /nfs/dbraw/zinc/13/80/64/163138064.db2.gz XQWWOFDXFITRBK-UHFFFAOYSA-N 0 0 284.275 2.515 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000351546811 163142812 /nfs/dbraw/zinc/14/28/12/163142812.db2.gz VWMGQGSIPSLBLD-VSQXVHSFSA-N 0 0 250.298 2.642 20 5 CFBDRN Cc1ccc(CN[C@H](C)c2ccon2)cc1[N+](=O)[O-] ZINC000351600156 163153831 /nfs/dbraw/zinc/15/38/31/163153831.db2.gz ZDEXWTDKGSDIIC-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1ncsc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000351676430 163172134 /nfs/dbraw/zinc/17/21/34/163172134.db2.gz BEAWPNURFJNOTE-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN O=C(NCCNc1ccc([N+](=O)[O-])cc1)C1=CCCCC1 ZINC000351793490 163195493 /nfs/dbraw/zinc/19/54/93/163195493.db2.gz XMQLUELDNFGCFI-UHFFFAOYSA-N 0 0 289.335 2.623 20 5 CFBDRN COc1cc(CS[C@H](C)C(C)=O)ccc1[N+](=O)[O-] ZINC000351828127 163200388 /nfs/dbraw/zinc/20/03/88/163200388.db2.gz UMYASCZNIOKAJF-SECBINFHSA-N 0 0 269.322 2.814 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@H]1CCC[C@H]1O ZINC000352689932 163222693 /nfs/dbraw/zinc/22/26/93/163222693.db2.gz DUPHLWYCKFJWRB-QWHCGFSZSA-N 0 0 284.743 2.593 20 5 CFBDRN COc1cc(CN2CC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000352767003 163231176 /nfs/dbraw/zinc/23/11/76/163231176.db2.gz FAJNNXIIMVYBQE-CYBMUJFWSA-N 0 0 268.288 2.537 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000352849149 163251181 /nfs/dbraw/zinc/25/11/81/163251181.db2.gz NNOHEKWKSYYSIC-MRVPVSSYSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1cc(NC(=O)N[C@@]2(C)CCCOC2)ccc1[N+](=O)[O-] ZINC000352916254 163269558 /nfs/dbraw/zinc/26/95/58/163269558.db2.gz RKQKDWPRQCKKPD-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1ccc2cc[nH]c2n1 ZINC000353223721 163318800 /nfs/dbraw/zinc/31/88/00/163318800.db2.gz SZRDOUITLOOSFQ-UHFFFAOYSA-N 0 0 282.303 2.761 20 5 CFBDRN C[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1ccon1 ZINC000353265935 163333040 /nfs/dbraw/zinc/33/30/40/163333040.db2.gz NDKJGWCEMQGFCO-ZCFIWIBFSA-N 0 0 268.660 2.804 20 5 CFBDRN CCO[C@@H]1COCC[C@@H]1Nc1cc(C)ccc1[N+](=O)[O-] ZINC000353267100 163333431 /nfs/dbraw/zinc/33/34/31/163333431.db2.gz OQJPKGHXWCQVSU-SMDDNHRTSA-N 0 0 280.324 2.509 20 5 CFBDRN CCC[C@]1(NC(=O)Nc2cccc([N+](=O)[O-])c2)CCOC1 ZINC000353354262 163350301 /nfs/dbraw/zinc/35/03/01/163350301.db2.gz QOQYPIQOQVQZNE-AWEZNQCLSA-N 0 0 293.323 2.676 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCOC[C@H]1CCOC1 ZINC000353441739 163366522 /nfs/dbraw/zinc/36/65/22/163366522.db2.gz UANSATKXIFNHPU-CYBMUJFWSA-N 0 0 294.351 2.758 20 5 CFBDRN Cn1c(C(=O)Nc2ccc3[nH]ccc3c2)ccc1[N+](=O)[O-] ZINC000353542248 163388929 /nfs/dbraw/zinc/38/89/29/163388929.db2.gz BNNANPCLGWEIOZ-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CC[C@H]1CCCCO1 ZINC000353552781 163393066 /nfs/dbraw/zinc/39/30/66/163393066.db2.gz ZQELSQXELKJAIB-GFCCVEGCSA-N 0 0 276.336 2.917 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)O[C@@H](C)[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000353715903 163433812 /nfs/dbraw/zinc/43/38/12/163433812.db2.gz ASOJMGHVZZNCJV-SRVKXCTJSA-N 0 0 292.335 2.541 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1ccn(-c2ccccc2)n1 ZINC000354258931 163546873 /nfs/dbraw/zinc/54/68/73/163546873.db2.gz JTQSUKSBFXVLNK-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000269840068 287106816 /nfs/dbraw/zinc/10/68/16/287106816.db2.gz HNFUFUIMGGWXST-MWLCHTKSSA-N 0 0 284.743 2.988 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000271494930 287119062 /nfs/dbraw/zinc/11/90/62/287119062.db2.gz WJNWCFHSCBLXHY-CMPLNLGQSA-N 0 0 262.309 2.998 20 5 CFBDRN CN(CC(F)F)C(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000825682607 782013690 /nfs/dbraw/zinc/01/36/90/782013690.db2.gz UDCWMCWPWCEYDQ-UHFFFAOYSA-N 0 0 296.632 2.724 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])NC1CCCCCC1 ZINC000005109439 170066748 /nfs/dbraw/zinc/06/67/48/170066748.db2.gz HWARGGXBMPNMBK-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1cn2ccccc2n1 ZINC000005172808 170073788 /nfs/dbraw/zinc/07/37/88/170073788.db2.gz UFLKEGWTRQGFAF-UHFFFAOYSA-N 0 0 287.250 2.961 20 5 CFBDRN CCC(CC)NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000005501617 170105181 /nfs/dbraw/zinc/10/51/81/170105181.db2.gz JSKXMVUSYSFZQK-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN COc1ccccc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000005635143 170122649 /nfs/dbraw/zinc/12/26/49/170122649.db2.gz AQOPVFXHZPTYLO-UHFFFAOYSA-N 0 0 273.244 2.823 20 5 CFBDRN O=C(Nc1ccncc1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000005936395 170158393 /nfs/dbraw/zinc/15/83/93/170158393.db2.gz RCLMMUKTFJIYOP-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000006061092 170173187 /nfs/dbraw/zinc/17/31/87/170173187.db2.gz DTFADNIQGLHSCF-SMDDNHRTSA-N 0 0 292.335 2.669 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=S)NCC(C)C ZINC000006326519 170191630 /nfs/dbraw/zinc/19/16/30/170191630.db2.gz KTXKFMSNYFLCDM-UHFFFAOYSA-N 0 0 283.353 2.546 20 5 CFBDRN CCCCNC(=O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000006454406 170202470 /nfs/dbraw/zinc/20/24/70/170202470.db2.gz YDUJYKXYDIUYHI-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN C[C@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1CCC(C)CC1 ZINC000007389342 170282333 /nfs/dbraw/zinc/28/23/33/170282333.db2.gz VKUIAGZXQILKBU-GFCCVEGCSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC000007903666 170306664 /nfs/dbraw/zinc/30/66/64/170306664.db2.gz UMKAUKVLVABKTK-ZETCQYMHSA-N 0 0 251.242 2.713 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCC1=CCCCC1 ZINC000008337417 170331731 /nfs/dbraw/zinc/33/17/31/170331731.db2.gz RMCHUPRFSOHPBV-UHFFFAOYSA-N 0 0 250.302 2.631 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)ccn1 ZINC000010163044 170399268 /nfs/dbraw/zinc/39/92/68/170399268.db2.gz WJNXASRFLNUMJK-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccccn1 ZINC000013208259 170539849 /nfs/dbraw/zinc/53/98/49/170539849.db2.gz XUJCAOMRNBBXTN-RMKNXTFCSA-N 0 0 269.260 2.642 20 5 CFBDRN CC(C)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 ZINC000014898431 170647814 /nfs/dbraw/zinc/64/78/14/170647814.db2.gz FLQCBFNAKQOONA-UHFFFAOYSA-N 0 0 294.354 2.679 20 5 CFBDRN C[C@@H]1CCC(=O)[C@@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC000450900380 287244336 /nfs/dbraw/zinc/24/43/36/287244336.db2.gz GZOXEAHYIWOXRJ-PELKAZGASA-N 0 0 267.256 2.870 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)n(C)n1 ZINC000019386083 170779449 /nfs/dbraw/zinc/77/94/49/170779449.db2.gz JZYISGMGPYGNON-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cccc(CS(=O)(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000019821894 170882401 /nfs/dbraw/zinc/88/24/01/170882401.db2.gz JYJLARBZZQQBLL-UHFFFAOYSA-N 0 0 291.328 2.877 20 5 CFBDRN CNC(=O)c1cccc(NCc2ccccc2[N+](=O)[O-])c1 ZINC000020124743 171007201 /nfs/dbraw/zinc/00/72/01/171007201.db2.gz PZYNVGXQAUJLGD-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284558950 196085105 /nfs/dbraw/zinc/08/51/05/196085105.db2.gz YEERZMYPZFWGEB-QPUJVOFHSA-N 0 0 295.314 2.625 20 5 CFBDRN CC(C)COCCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000022159697 171363869 /nfs/dbraw/zinc/36/38/69/171363869.db2.gz WZSAXTDQUPOOEM-UHFFFAOYSA-N 0 0 298.368 2.779 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000022385194 171385878 /nfs/dbraw/zinc/38/58/78/171385878.db2.gz SKPYFYOIIYIQDJ-UHFFFAOYSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1noc(C)c1COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000024723947 171439842 /nfs/dbraw/zinc/43/98/42/171439842.db2.gz DZBBCZOYSKUHLM-UHFFFAOYSA-N 0 0 276.248 2.591 20 5 CFBDRN CCCCN(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000025608735 171462752 /nfs/dbraw/zinc/46/27/52/171462752.db2.gz PKCFQVVTUFQAMM-UHFFFAOYSA-N 0 0 295.295 2.684 20 5 CFBDRN Cc1cccc(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)n1 ZINC000033297223 171779662 /nfs/dbraw/zinc/77/96/62/171779662.db2.gz TYABJDBXBCOSQI-HJWRWDBZSA-N 0 0 283.287 2.950 20 5 CFBDRN CCOC1CC(COc2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000284976265 196169314 /nfs/dbraw/zinc/16/93/14/196169314.db2.gz BLZUPWXIGGVLMI-UHFFFAOYSA-N 0 0 293.319 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cccc(F)c2)nc1 ZINC000035137417 172004882 /nfs/dbraw/zinc/00/48/82/172004882.db2.gz DXAXPAAKFQGIHZ-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN COc1cc(CNc2ccccc2[N+](=O)[O-])ccc1O ZINC000035252181 172081803 /nfs/dbraw/zinc/08/18/03/172081803.db2.gz MKGNSBUWJXKJBQ-UHFFFAOYSA-N 0 0 274.276 2.921 20 5 CFBDRN COCCc1ccnc(NCc2cccc([N+](=O)[O-])c2)c1 ZINC000285289829 196242496 /nfs/dbraw/zinc/24/24/96/196242496.db2.gz SUMJYACJGXPMBM-UHFFFAOYSA-N 0 0 287.319 2.791 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C1CCCCC1 ZINC000036136630 172459897 /nfs/dbraw/zinc/45/98/97/172459897.db2.gz ZEQARQCSZHNSAR-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN COc1ncccc1NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000037301241 173107571 /nfs/dbraw/zinc/10/75/71/173107571.db2.gz SUMASDAYRLYASP-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1ncccc1CNc1ccc([N+](=O)[O-])cc1 ZINC000037396841 173176912 /nfs/dbraw/zinc/17/69/12/173176912.db2.gz CIELLPQFJUBLBP-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CC(C)(C)C(=O)COc1ccc([N+](=O)[O-])cc1F ZINC000037991106 173951076 /nfs/dbraw/zinc/95/10/76/173951076.db2.gz WMASKMCKVZPZGF-UHFFFAOYSA-N 0 0 255.245 2.728 20 5 CFBDRN C[C@@H](NCc1cscn1)c1cccc([N+](=O)[O-])c1 ZINC000040505344 174301521 /nfs/dbraw/zinc/30/15/21/174301521.db2.gz XYPYDVRMFLEISE-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ccncc2[N+](=O)[O-])cc1 ZINC000040676210 174373714 /nfs/dbraw/zinc/37/37/14/174373714.db2.gz MMSMEEICGZJECV-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1Oc1cccnc1 ZINC000042388866 174705663 /nfs/dbraw/zinc/70/56/63/174705663.db2.gz CBLAWCRNGMTONS-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN COc1cc(CNc2ccccc2[N+](=O)[O-])ccn1 ZINC000042677925 174833766 /nfs/dbraw/zinc/83/37/66/174833766.db2.gz LBEFWFAVOSTINB-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CCCOC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000043369166 175028179 /nfs/dbraw/zinc/02/81/79/175028179.db2.gz JHYMZIDNUHENSX-UHFFFAOYSA-N 0 0 265.265 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)c2ccccc2)cc1F ZINC000043472821 175053946 /nfs/dbraw/zinc/05/39/46/175053946.db2.gz VIOHFFLZHFFNER-AWEZNQCLSA-N 0 0 277.251 2.846 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000286279818 196553153 /nfs/dbraw/zinc/55/31/53/196553153.db2.gz GVUPMSWRHRFUEV-NXEZZACHSA-N 0 0 268.288 2.818 20 5 CFBDRN CCC(CC)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000043728374 175104854 /nfs/dbraw/zinc/10/48/54/175104854.db2.gz VILBPFXRGVIIRD-UHFFFAOYSA-N 0 0 251.286 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H]2c2ccccc2)nc1 ZINC000044416670 175173404 /nfs/dbraw/zinc/17/34/04/175173404.db2.gz PTWOYGQVKOLRFT-OLZOCXBDSA-N 0 0 255.277 2.958 20 5 CFBDRN Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2)sn1 ZINC000044453996 175176862 /nfs/dbraw/zinc/17/68/62/175176862.db2.gz UVEUIUVXOOQDFW-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN C[C@@H](Nc1ncnc2sc([N+](=O)[O-])cc21)[C@@H]1CCCO1 ZINC000286315255 196564120 /nfs/dbraw/zinc/56/41/20/196564120.db2.gz ZJXIDPCIIDTEGR-APPZFPTMSA-N 0 0 294.336 2.579 20 5 CFBDRN Cc1c(C(=O)N(C)Cc2cccnc2)cccc1[N+](=O)[O-] ZINC000049945330 175505093 /nfs/dbraw/zinc/50/50/93/175505093.db2.gz HFIWEAUENDYJLX-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1cnn(C[C@H]2CCCO2)c1 ZINC000052428879 175534970 /nfs/dbraw/zinc/53/49/70/175534970.db2.gz GFOAUWBFROOIGT-GFCCVEGCSA-N 0 0 288.307 2.714 20 5 CFBDRN Cc1ccc(Cn2cnc3cc([N+](=O)[O-])ccc3c2=O)cc1 ZINC000058103905 175607701 /nfs/dbraw/zinc/60/77/01/175607701.db2.gz YHHDSGZCHVQFHG-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])c1nccn1C ZINC000070444079 175935967 /nfs/dbraw/zinc/93/59/67/175935967.db2.gz IKWHOTCZVAWOLV-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN Cc1cccnc1CNCc1csc([N+](=O)[O-])c1 ZINC000071339926 176129843 /nfs/dbraw/zinc/12/98/43/176129843.db2.gz DLGKGMDSBYVNAZ-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN CO[C@]1(C)C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000073275789 176464685 /nfs/dbraw/zinc/46/46/85/176464685.db2.gz HRWPJXSKRGKSKZ-SWLSCSKDSA-N 0 0 292.335 2.528 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000074767638 176611890 /nfs/dbraw/zinc/61/18/90/176611890.db2.gz QXUWRXVPQXIRBP-VHSXEESVSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000075289524 176683340 /nfs/dbraw/zinc/68/33/40/176683340.db2.gz YKKUTKHKKUNFIT-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN CSC[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000077178379 177230850 /nfs/dbraw/zinc/23/08/50/177230850.db2.gz BIRUCNOTCRLWIO-VIFPVBQESA-N 0 0 283.353 2.776 20 5 CFBDRN C[C@@H]1CCN(C(=O)COc2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000078002568 177316015 /nfs/dbraw/zinc/31/60/15/177316015.db2.gz BANLCFXCIHGKJQ-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000078004297 177316900 /nfs/dbraw/zinc/31/69/00/177316900.db2.gz UMFBAGODXVHHEO-DTWKUNHWSA-N 0 0 268.338 2.917 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000078309305 177332330 /nfs/dbraw/zinc/33/23/30/177332330.db2.gz ATGQWRKRKRLHIP-ZDUSSCGKSA-N 0 0 291.351 2.973 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H](CO)Cc1ccccc1 ZINC000078495413 177347105 /nfs/dbraw/zinc/34/71/05/177347105.db2.gz POXVIUKIPXWMLC-CYBMUJFWSA-N 0 0 290.294 2.749 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCc1ccccn1 ZINC000078574671 177355859 /nfs/dbraw/zinc/35/58/59/177355859.db2.gz ZYPLSDZQIOQUTM-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN C[C@@H](O)[C@@H](C)Sc1ncc([N+](=O)[O-])cc1Cl ZINC000078582263 177356182 /nfs/dbraw/zinc/35/61/82/177356182.db2.gz NQQZJJHQYYVXPI-PHDIDXHHSA-N 0 0 262.718 2.505 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])[C@@H](O)c1ccc(F)cc1 ZINC000078620034 177360865 /nfs/dbraw/zinc/36/08/65/177360865.db2.gz KTHODQXDYZCZRB-TVQRCGJNSA-N 0 0 291.282 2.663 20 5 CFBDRN CCC[C@H](CCO)CNc1ccc([N+](=O)[O-])cc1F ZINC000078673094 177369220 /nfs/dbraw/zinc/36/92/20/177369220.db2.gz QJMUKYXLSWPKFU-SNVBAGLBSA-N 0 0 270.304 2.945 20 5 CFBDRN CCSCC[C@@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000078675598 177369948 /nfs/dbraw/zinc/36/99/48/177369948.db2.gz FXPNFSLRUWJPJY-SECBINFHSA-N 0 0 255.343 2.933 20 5 CFBDRN C[C@@H](O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000078771403 177384817 /nfs/dbraw/zinc/38/48/17/177384817.db2.gz VKXNNQBHVVSNDA-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCO)C2CCCCC2)nc1 ZINC000078798604 177389929 /nfs/dbraw/zinc/38/99/29/177389929.db2.gz KZNPGJKILLDQML-ZDUSSCGKSA-N 0 0 279.340 2.733 20 5 CFBDRN COC(=O)[C@H](C)CSCCc1ccc([N+](=O)[O-])cc1 ZINC000078823311 177393992 /nfs/dbraw/zinc/39/39/92/177393992.db2.gz AJCOPZHRZXGGQL-SNVBAGLBSA-N 0 0 283.349 2.680 20 5 CFBDRN COC(=O)[C@H](C)CSCc1cc([N+](=O)[O-])ccc1OC ZINC000078829081 177395336 /nfs/dbraw/zinc/39/53/36/177395336.db2.gz VJJKFORPJYMRAW-SECBINFHSA-N 0 0 299.348 2.646 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NCC(CC)(CC)CC)n1 ZINC000191668917 227122156 /nfs/dbraw/zinc/12/21/56/227122156.db2.gz WXWGZNWAIHWIBV-UHFFFAOYSA-N 0 0 296.371 2.757 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)[C@H]1C ZINC000248534290 287487003 /nfs/dbraw/zinc/48/70/03/287487003.db2.gz UYVHESQXXCKXQV-NRUUGDAUSA-N 0 0 291.351 2.732 20 5 CFBDRN O=c1cc(C(F)(F)F)ccn1Cc1ccc([N+](=O)[O-])cc1 ZINC000192560195 228097103 /nfs/dbraw/zinc/09/71/03/228097103.db2.gz NIWXNHNLIHHBFS-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3cccc([N+](=O)[O-])c32)[C@H]1C ZINC000408196185 287609066 /nfs/dbraw/zinc/60/90/66/287609066.db2.gz YSIITSDZEXCUKZ-ZJUUUORDSA-N 0 0 272.308 2.773 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1C ZINC000007070520 420500727 /nfs/dbraw/zinc/50/07/27/420500727.db2.gz IHHQAHBQFRMEMJ-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1cc(Cl)nc(NC2CC(F)C2)c1[N+](=O)[O-] ZINC001154832456 782032161 /nfs/dbraw/zinc/03/21/61/782032161.db2.gz BHSDSVSDNQAZST-UHFFFAOYSA-N 0 0 259.668 2.864 20 5 CFBDRN COc1cccc(NCc2cnc(C)o2)c1[N+](=O)[O-] ZINC000398019907 270321089 /nfs/dbraw/zinc/32/10/89/270321089.db2.gz UUKLQYWZSFFXCU-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000313169773 260134816 /nfs/dbraw/zinc/13/48/16/260134816.db2.gz VMZRZFLLNZLKLY-WCBMZHEXSA-N 0 0 273.720 2.605 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000312894837 260219126 /nfs/dbraw/zinc/21/91/26/260219126.db2.gz SCRCBYDRFXTNNJ-WDEREUQCSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1cc(N[C@@H]2COCC2(C)C)ccc1[N+](=O)[O-] ZINC000313073205 260243450 /nfs/dbraw/zinc/24/34/50/260243450.db2.gz GWIHUNNDPIQBEZ-GFCCVEGCSA-N 0 0 250.298 2.740 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000313098520 260248263 /nfs/dbraw/zinc/24/82/63/260248263.db2.gz FEFJQQORZDSPST-DTWKUNHWSA-N 0 0 296.352 2.561 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000313124767 260251475 /nfs/dbraw/zinc/25/14/75/260251475.db2.gz XBXROHPXMHKMOE-AAEUAGOBSA-N 0 0 252.314 2.865 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000313169039 260255929 /nfs/dbraw/zinc/25/59/29/260255929.db2.gz LIKRIXNADUZUBH-CABZTGNLSA-N 0 0 256.277 2.695 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCc2ccc(F)cc21 ZINC000518560592 260453528 /nfs/dbraw/zinc/45/35/28/260453528.db2.gz IABMRLWPNHKGKP-LLVKDONJSA-N 0 0 276.271 2.567 20 5 CFBDRN Cc1cnc(N(C)Cc2nc3ccccc3[nH]2)c([N+](=O)[O-])c1 ZINC000525704106 260931819 /nfs/dbraw/zinc/93/18/19/260931819.db2.gz AGLBJUFDMFZIIM-UHFFFAOYSA-N 0 0 297.318 2.811 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCc2ccccc2C1 ZINC000051449028 260935199 /nfs/dbraw/zinc/93/51/99/260935199.db2.gz ARPVIZFZEZZKHH-CYBMUJFWSA-N 0 0 269.304 2.959 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1cccc(C(N)=O)c1 ZINC000172851506 261155710 /nfs/dbraw/zinc/15/57/10/261155710.db2.gz DJQQUSJSMUPYLH-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)c(C)n1 ZINC000176188161 261268607 /nfs/dbraw/zinc/26/86/07/261268607.db2.gz BGKGFMSMUSGIRT-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COc1ccc(Cc2nc(CC3CC3)no2)cc1[N+](=O)[O-] ZINC000525750314 262105646 /nfs/dbraw/zinc/10/56/46/262105646.db2.gz HRGHPPVPQXLTHN-UHFFFAOYSA-N 0 0 289.291 2.530 20 5 CFBDRN CSC1(CNc2ncc([N+](=O)[O-])cc2F)CCC1 ZINC000521404313 262303878 /nfs/dbraw/zinc/30/38/78/262303878.db2.gz LBEFNPHGWXUDMH-UHFFFAOYSA-N 0 0 271.317 2.827 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=NC[C@@H]3CCCC3(F)F)[nH]c2c1 ZINC000390853980 262353678 /nfs/dbraw/zinc/35/36/78/262353678.db2.gz JLBDNKPXICWVKW-QMMMGPOBSA-N 0 0 296.277 2.740 20 5 CFBDRN Cc1ccc(N(C)C(=O)Cc2cccc([N+](=O)[O-])c2C)nc1 ZINC000289037797 263107191 /nfs/dbraw/zinc/10/71/91/263107191.db2.gz WKMXXLOMCZVPRD-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC2(CCC2)[C@H]1C1CC1 ZINC000291098870 263120126 /nfs/dbraw/zinc/12/01/26/263120126.db2.gz LLVBGSYJFOARGZ-CQSZACIVSA-N 0 0 286.331 3.000 20 5 CFBDRN Cc1cc(F)c(NC(=O)N[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000296742843 263196175 /nfs/dbraw/zinc/19/61/75/263196175.db2.gz NIJBRNGFIJSKGY-MRVPVSSYSA-N 0 0 299.327 2.669 20 5 CFBDRN Cc1c(Cn2cnc(-c3ccccn3)n2)cccc1[N+](=O)[O-] ZINC000342708172 263477339 /nfs/dbraw/zinc/47/73/39/263477339.db2.gz ZIFRIHOPKLZZRD-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN C[C@@H]1CCN(CC[NH2+][C@H]2CCc3c2cccc3[N+](=O)[O-])C1 ZINC000518999642 265291526 /nfs/dbraw/zinc/29/15/26/265291526.db2.gz IQGLWTBOSSWHIJ-DOMZBBRYSA-N 0 0 289.379 2.514 20 5 CFBDRN Cc1cc(C(=O)COc2cccnc2[N+](=O)[O-])ccc1F ZINC000096550193 265373622 /nfs/dbraw/zinc/37/36/22/265373622.db2.gz VMHGMCXCMUZYKP-UHFFFAOYSA-N 0 0 290.250 2.699 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCCCO1 ZINC000514614880 266019231 /nfs/dbraw/zinc/01/92/31/266019231.db2.gz QJWICJYTPYCPEX-JTQLQIEISA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(CCC(C)C)C1CC1 ZINC000193394732 270238157 /nfs/dbraw/zinc/23/81/57/270238157.db2.gz GEBBESXXKBJRIS-UHFFFAOYSA-N 0 0 291.351 2.949 20 5 CFBDRN COCCO[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000193556071 270238842 /nfs/dbraw/zinc/23/88/42/270238842.db2.gz NHDWDZZLSZRYIO-AWEZNQCLSA-N 0 0 294.351 2.535 20 5 CFBDRN CCN(C(=O)/C=C/c1ccccc1[N+](=O)[O-])C(C)C ZINC000193547136 270238867 /nfs/dbraw/zinc/23/88/67/270238867.db2.gz MXZLXGRQXMJKRJ-MDZDMXLPSA-N 0 0 262.309 2.865 20 5 CFBDRN COCCO[C@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000193559299 270238983 /nfs/dbraw/zinc/23/89/83/270238983.db2.gz MMYFRXGFQCEILW-ZDUSSCGKSA-N 0 0 294.351 2.535 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000193544482 270239093 /nfs/dbraw/zinc/23/90/93/270239093.db2.gz WFZXWVFJXNGWSL-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN CN(CCOCC(F)(F)F)Cc1ccccc1[N+](=O)[O-] ZINC000193811264 270240038 /nfs/dbraw/zinc/24/00/38/270240038.db2.gz FSKBRLBZMZAMIR-UHFFFAOYSA-N 0 0 292.257 2.606 20 5 CFBDRN Cc1ncc(COc2cccc([N+](=O)[O-])c2)s1 ZINC000193861020 270240787 /nfs/dbraw/zinc/24/07/87/270240787.db2.gz TURPTGZDQDRJHG-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000194297908 270243850 /nfs/dbraw/zinc/24/38/50/270243850.db2.gz GISQXYMKONRAPH-UWVGGRQHSA-N 0 0 280.324 2.768 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000194297864 270244013 /nfs/dbraw/zinc/24/40/13/270244013.db2.gz GISQXYMKONRAPH-NXEZZACHSA-N 0 0 280.324 2.768 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)c1ccccn1 ZINC000194765293 270247261 /nfs/dbraw/zinc/24/72/61/270247261.db2.gz YBTCAMXKUJZHTD-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)on1 ZINC000194837333 270247551 /nfs/dbraw/zinc/24/75/51/270247551.db2.gz ANFJUVIXNCRSEA-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000194901220 270248176 /nfs/dbraw/zinc/24/81/76/270248176.db2.gz BTTJMTHQHKXLNS-NSHDSACASA-N 0 0 250.298 2.903 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H](OC)C2(C)C)ccc1[N+](=O)[O-] ZINC000227821210 270258009 /nfs/dbraw/zinc/25/80/09/270258009.db2.gz BRYTZHXMZIPKSP-OLZOCXBDSA-N 0 0 280.324 2.829 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H](O)C1CCC1 ZINC000316127211 270294083 /nfs/dbraw/zinc/29/40/83/270294083.db2.gz UXDWRMUNMBRKQQ-LBPRGKRZSA-N 0 0 270.716 2.821 20 5 CFBDRN CC[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])[C@@H](C)O ZINC000395432999 270312940 /nfs/dbraw/zinc/31/29/40/270312940.db2.gz NJVTYABUSOHLCV-VXNVDRBHSA-N 0 0 258.705 2.820 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCC1(CO)CC1 ZINC000395908583 270314344 /nfs/dbraw/zinc/31/43/44/270314344.db2.gz OOEIKNNGHKJNOR-UHFFFAOYSA-N 0 0 270.716 2.823 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000397117971 270316286 /nfs/dbraw/zinc/31/62/86/270316286.db2.gz JYQFZGWXYWEJAL-OCCSQVGLSA-N 0 0 280.299 2.898 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2ncc(Cl)cc2[N+](=O)[O-])O1 ZINC000397856046 270320140 /nfs/dbraw/zinc/32/01/40/270320140.db2.gz BCSALXUPGUEFSA-CBAPKCEASA-N 0 0 271.704 2.623 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000399587393 270329513 /nfs/dbraw/zinc/32/95/13/270329513.db2.gz DPWOKOIKTLFZTM-DCAQKATOSA-N 0 0 291.351 2.753 20 5 CFBDRN COC[C@@H]1CCN1c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000432167626 270333667 /nfs/dbraw/zinc/33/36/67/270333667.db2.gz WZFMYWKWLPBDCU-VIFPVBQESA-N 0 0 290.241 2.839 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)C(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000481450853 270340608 /nfs/dbraw/zinc/34/06/08/270340608.db2.gz YOKRZAODCBTRQH-TXEJJXNPSA-N 0 0 293.323 2.544 20 5 CFBDRN COc1ccc(NC(=O)/C=C\C2CC2)c([N+](=O)[O-])c1 ZINC000491927371 270344049 /nfs/dbraw/zinc/34/40/49/270344049.db2.gz TXMYGIVGWBCKNS-DAXSKMNVSA-N 0 0 262.265 2.508 20 5 CFBDRN COCC1(C)CN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000514906517 270357569 /nfs/dbraw/zinc/35/75/69/270357569.db2.gz FQFHHXCCAGFCKG-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN CSCCCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000515265881 270365806 /nfs/dbraw/zinc/36/58/06/270365806.db2.gz UPADTDBZNODTLH-SNVBAGLBSA-N 0 0 282.365 2.568 20 5 CFBDRN C[C@@H](c1cccs1)N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000516115326 270377945 /nfs/dbraw/zinc/37/79/45/270377945.db2.gz QEWHTCOWPLQQQT-VIFPVBQESA-N 0 0 293.348 2.828 20 5 CFBDRN C[C@@H]1C[C@@H]1c1ccc(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)o1 ZINC000517457588 270405716 /nfs/dbraw/zinc/40/57/16/270405716.db2.gz FRWLMMBFZNNKFU-SCZZXKLOSA-N 0 0 289.291 2.569 20 5 CFBDRN CCc1nc(CN(C)c2ncc([N+](=O)[O-])s2)cs1 ZINC000518473371 270411862 /nfs/dbraw/zinc/41/18/62/270411862.db2.gz AOWJOSJOXZLESB-UHFFFAOYSA-N 0 0 284.366 2.707 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC(C)(C)C1 ZINC000526946385 270487693 /nfs/dbraw/zinc/48/76/93/270487693.db2.gz OHSBXGFTSGGZQB-JTQLQIEISA-N 0 0 279.340 2.632 20 5 CFBDRN O=c1[nH]c(-c2ccc([N+](=O)[O-])s2)nc2ccc(F)cc12 ZINC000531836279 270844541 /nfs/dbraw/zinc/84/45/41/270844541.db2.gz WXJHLPWWACZWBW-UHFFFAOYSA-N 0 0 291.263 2.699 20 5 CFBDRN CC(C)[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000531882595 270846026 /nfs/dbraw/zinc/84/60/26/270846026.db2.gz KAIGFIJBZVACNN-LBPRGKRZSA-N 0 0 291.351 2.888 20 5 CFBDRN O=C(Nc1cc(F)c(F)cc1F)c1ccc([N+](=O)[O-])cn1 ZINC000532139013 270853911 /nfs/dbraw/zinc/85/39/11/270853911.db2.gz OSAYECZHAQUYLB-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N(Cc1ccccc1)C1CC1 ZINC000532143443 270854114 /nfs/dbraw/zinc/85/41/14/270854114.db2.gz OQOGOWFXYZOMRT-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN COC[C@H](C)N(C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000266881161 274047635 /nfs/dbraw/zinc/04/76/35/274047635.db2.gz AJZFTUASHYJBRQ-VIFPVBQESA-N 0 0 272.732 2.715 20 5 CFBDRN Cn1ccc2cccc(NC(=O)c3ccc([N+](=O)[O-])n3C)c21 ZINC000471713390 274896057 /nfs/dbraw/zinc/89/60/57/274896057.db2.gz MUKDZUZFKOJRHR-UHFFFAOYSA-N 0 0 298.302 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@H]3CCCc4c[nH]nc43)nc2c1 ZINC000297631553 275037641 /nfs/dbraw/zinc/03/76/41/275037641.db2.gz XCZRGIZHQPMYBH-LLVKDONJSA-N 0 0 298.306 2.684 20 5 CFBDRN CC1(C)CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000342482601 275811814 /nfs/dbraw/zinc/81/18/14/275811814.db2.gz VZSFISPDMRCRTA-JTQLQIEISA-N 0 0 265.313 2.622 20 5 CFBDRN CC1(C)CCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000486973506 275824518 /nfs/dbraw/zinc/82/45/18/275824518.db2.gz VPVZLYPRCYJHMM-UHFFFAOYSA-N 0 0 288.307 2.881 20 5 CFBDRN CC1CN(C(=O)c2cccc3c(=O)c4ccccc4[nH]c23)C1 ZINC000338190471 275943056 /nfs/dbraw/zinc/94/30/56/275943056.db2.gz LSHLSZSINZAJDZ-UHFFFAOYSA-N 0 0 292.338 2.773 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000186821473 276033804 /nfs/dbraw/zinc/03/38/04/276033804.db2.gz AYAQIPBZLNNIIL-VXGBXAGGSA-N 0 0 274.320 2.776 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2cnn(C)c2)cc1[N+](=O)[O-] ZINC000187385221 276051359 /nfs/dbraw/zinc/05/13/59/276051359.db2.gz IWTMPYDUKFUMJP-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC(C)c1ncsc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000456448609 276070963 /nfs/dbraw/zinc/07/09/63/276070963.db2.gz CXVYDAMYYSXWOI-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN CC1(C)CC(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000353926117 276100067 /nfs/dbraw/zinc/10/00/67/276100067.db2.gz PUPROTZBDUOTJJ-UHFFFAOYSA-N 0 0 299.330 2.940 20 5 CFBDRN CC1=CCN(c2ncnc3cccc([N+](=O)[O-])c32)CC1 ZINC000347415255 276183000 /nfs/dbraw/zinc/18/30/00/276183000.db2.gz VOUKAGASZXJWKX-UHFFFAOYSA-N 0 0 270.292 2.694 20 5 CFBDRN CCC(CC)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000273426232 276209862 /nfs/dbraw/zinc/20/98/62/276209862.db2.gz JIGBOCPLLOVXKW-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN CCC1(CO)CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000270786189 276219852 /nfs/dbraw/zinc/21/98/52/276219852.db2.gz QFSOYQBTDZICAL-UHFFFAOYSA-N 0 0 299.758 2.632 20 5 CFBDRN CCO[C@H]1CCN(c2cc(C)c([N+](=O)[O-])cn2)C[C@@H]1C ZINC000450745679 276359641 /nfs/dbraw/zinc/35/96/41/276359641.db2.gz PTJQYIVDIIDATQ-AAEUAGOBSA-N 0 0 279.340 2.550 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000357617310 276418484 /nfs/dbraw/zinc/41/84/84/276418484.db2.gz XEHWVJGTZBZJPT-MRVPVSSYSA-N 0 0 262.265 2.869 20 5 CFBDRN CC[C@@H](NCc1ccccc1[N+](=O)[O-])c1nccn1C ZINC000183178736 276533236 /nfs/dbraw/zinc/53/32/36/276533236.db2.gz LXXKMMFHXHUGRE-GFCCVEGCSA-N 0 0 274.324 2.569 20 5 CFBDRN CC[C@]1(C)CN(c2ccc([N+](=O)[O-])cc2C(C)=O)CCO1 ZINC000286662436 276653653 /nfs/dbraw/zinc/65/36/53/276653653.db2.gz MFBNNEPBGYWSQY-OAHLLOKOSA-N 0 0 292.335 2.803 20 5 CFBDRN CC[C@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000297007858 276653678 /nfs/dbraw/zinc/65/36/78/276653678.db2.gz VKJHWABTBSCPNZ-JTQLQIEISA-N 0 0 263.297 2.919 20 5 CFBDRN CC[C@H]1CCN1C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000347605545 276653689 /nfs/dbraw/zinc/65/36/89/276653689.db2.gz AZVQHBDZDMJBOG-UWVGGRQHSA-N 0 0 280.299 2.848 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000271787343 276655198 /nfs/dbraw/zinc/65/51/98/276655198.db2.gz KVCAYMMHHWPZQC-RYUDHWBXSA-N 0 0 291.351 2.796 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1c1ncc([N+](=O)[O-])cc1F ZINC000413184861 276668904 /nfs/dbraw/zinc/66/89/04/276668904.db2.gz OQYRXYJXMXUMQC-BDAKNGLRSA-N 0 0 253.277 2.754 20 5 CFBDRN CN(c1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CC[C@H](O)CC1 ZINC000275790744 277002452 /nfs/dbraw/zinc/00/24/52/277002452.db2.gz AZHOCDQYGGPAPQ-CZMCAQCFSA-N 0 0 286.278 2.613 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cn1)[C@@H]1CCc2ccccc2C1 ZINC000301260235 277003000 /nfs/dbraw/zinc/00/30/00/277003000.db2.gz GOLVAQVXCFWFKR-CQSZACIVSA-N 0 0 283.331 2.984 20 5 CFBDRN CNc1c(C(=O)Nc2cccnc2C)cccc1[N+](=O)[O-] ZINC000161504844 277042830 /nfs/dbraw/zinc/04/28/30/277042830.db2.gz NEJZXYUNQXKLRF-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN COC(C)(C)CC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000278199377 277176267 /nfs/dbraw/zinc/17/62/67/277176267.db2.gz FVJWNJHQZOWDLG-UHFFFAOYSA-N 0 0 281.308 2.932 20 5 CFBDRN CC(C)(C)OC1CCN(c2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000282269661 277211944 /nfs/dbraw/zinc/21/19/44/277211944.db2.gz TVCYCVYTQNWXPZ-UHFFFAOYSA-N 0 0 293.367 2.961 20 5 CFBDRN CC(C)(C)[C@@H]1CCCC[C@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000135120573 277229375 /nfs/dbraw/zinc/22/93/75/277229375.db2.gz LFUCRUDDHVQISL-NXEZZACHSA-N 0 0 294.355 2.653 20 5 CFBDRN COC[C@@H]1CCCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000450931748 277251239 /nfs/dbraw/zinc/25/12/39/277251239.db2.gz HBFJTPYFYDVOIB-VIFPVBQESA-N 0 0 285.731 2.649 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000231682334 277296522 /nfs/dbraw/zinc/29/65/22/277296522.db2.gz WUGKPXAQVRAKNV-IONNQARKSA-N 0 0 272.251 2.852 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281578359 277341657 /nfs/dbraw/zinc/34/16/57/277341657.db2.gz FQMSLZCJRPQEBR-ONGXEEELSA-N 0 0 295.295 2.507 20 5 CFBDRN CO[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CC[C@H]1C ZINC000276057138 277344316 /nfs/dbraw/zinc/34/43/16/277344316.db2.gz RFRRYZPILNBVMW-RNCFNFMXSA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@](C)([C@H](C)Nc1ncc([N+](=O)[O-])cc1F)C1CC1 ZINC000413520500 277357910 /nfs/dbraw/zinc/35/79/10/277357910.db2.gz PVLXOQBCFRAOLA-ISVAXAHUSA-N 0 0 283.303 2.744 20 5 CFBDRN COC(=O)c1cc(N2CC[C@H](C)[C@H]2C)cc(C)c1[N+](=O)[O-] ZINC000301676467 277423478 /nfs/dbraw/zinc/42/34/78/277423478.db2.gz HTTBESNQAVGLSX-GXSJLCMTSA-N 0 0 292.335 2.925 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000334599039 277773882 /nfs/dbraw/zinc/77/38/82/277773882.db2.gz JOXXCXPELCNQLG-RKDXNWHRSA-N 0 0 298.289 2.815 20 5 CFBDRN CC(C)[C@@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000176756021 277774826 /nfs/dbraw/zinc/77/48/26/277774826.db2.gz ZZUWHIAXSPUSHL-LBPRGKRZSA-N 0 0 291.351 2.828 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@@H](C)C[C@@H]1C ZINC000444899237 277840774 /nfs/dbraw/zinc/84/07/74/277840774.db2.gz POOOBHNJEMJAAB-UWVGGRQHSA-N 0 0 293.323 2.866 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000336577256 277851501 /nfs/dbraw/zinc/85/15/01/277851501.db2.gz OFRAXTWYAIZEHW-DTORHVGOSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@@H]1CSCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000172374861 277953392 /nfs/dbraw/zinc/95/33/92/277953392.db2.gz LDEGFCOJYZXSRZ-SSDOTTSWSA-N 0 0 273.745 2.585 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000408468824 277966443 /nfs/dbraw/zinc/96/64/43/277966443.db2.gz OGJNCVTUNRTERJ-RKDXNWHRSA-N 0 0 253.277 2.611 20 5 CFBDRN CC(C)c1ccc(CN2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC000091814906 278036007 /nfs/dbraw/zinc/03/60/07/278036007.db2.gz PVFFAGJADQBIEQ-GFCCVEGCSA-N 0 0 278.352 2.939 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])cc1F ZINC000413264043 278076390 /nfs/dbraw/zinc/07/63/90/278076390.db2.gz IYFQVHVXNHDBRB-SVRRBLITSA-N 0 0 293.220 2.906 20 5 CFBDRN CC(C)n1cc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC000290028235 278128157 /nfs/dbraw/zinc/12/81/57/278128157.db2.gz DRXYFBYOMZVDPI-NSHDSACASA-N 0 0 289.339 2.618 20 5 CFBDRN CC1(C)CC[C@H](Oc2c(F)cccc2[N+](=O)[O-])C1=O ZINC000412998767 278283124 /nfs/dbraw/zinc/28/31/24/278283124.db2.gz JWVYRJKYRGUEGL-JTQLQIEISA-N 0 0 267.256 2.870 20 5 CFBDRN CC1(C)CN(c2ccc(F)cc2[N+](=O)[O-])CCCO1 ZINC000377707839 278343296 /nfs/dbraw/zinc/34/32/96/278343296.db2.gz RFYIJLJRVBMSCK-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])nc2)[C@@H]1c1ccncc1 ZINC000450537029 278343549 /nfs/dbraw/zinc/34/35/49/278343549.db2.gz BRNWTVLLOSCHDU-CQSZACIVSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@H](c2ccco2)C1 ZINC000302118560 278371068 /nfs/dbraw/zinc/37/10/68/278371068.db2.gz SOXCYRXLQZSLGW-JQWIXIFHSA-N 0 0 288.307 2.955 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1cccc(F)c1[N+](=O)[O-] ZINC000277337019 278461161 /nfs/dbraw/zinc/46/11/61/278461161.db2.gz CSEOVJWXLBFFKL-WCBMZHEXSA-N 0 0 254.261 2.571 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000336351616 288049047 /nfs/dbraw/zinc/04/90/47/288049047.db2.gz NMSOZMQYLSBQKM-AOOOYVTPSA-N 0 0 280.349 2.561 20 5 CFBDRN C[C@H]1OCC[C@@H]1Sc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000269902709 278519032 /nfs/dbraw/zinc/51/90/32/278519032.db2.gz GANLWSAOGOWHJE-XCBNKYQSSA-N 0 0 284.293 2.773 20 5 CFBDRN C[C@]1(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)CC1(F)F ZINC000335071324 278555856 /nfs/dbraw/zinc/55/58/56/278555856.db2.gz BVZVLTVBYYHSGR-CYBMUJFWSA-N 0 0 296.273 2.919 20 5 CFBDRN C[C@@H]1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000263704111 278558105 /nfs/dbraw/zinc/55/81/05/278558105.db2.gz MAHRSMIJEUNAKP-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN C[C@@H]1CCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)[C@H]1C ZINC000486961758 278558568 /nfs/dbraw/zinc/55/85/68/278558568.db2.gz BIAAIWOISODBRU-ZJUUUORDSA-N 0 0 288.307 2.880 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])cn2)CCO[C@H]1C1CC1 ZINC000368779061 278562852 /nfs/dbraw/zinc/56/28/52/278562852.db2.gz IHXSCMOJDWRPHR-UONOGXRCSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2c3ccccc3C[C@H]2O)n1 ZINC000359084296 278665908 /nfs/dbraw/zinc/66/59/08/278665908.db2.gz QDYXQZHUTDUIRC-KGLIPLIRSA-N 0 0 299.330 2.677 20 5 CFBDRN Cc1cc(N2CC(C3CCCC3)C2)ncc1[N+](=O)[O-] ZINC000373684489 278706706 /nfs/dbraw/zinc/70/67/06/278706706.db2.gz RQGVEDCHAMAGMH-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cc(N2CC[C@@](C)(F)C2)c(F)cc1[N+](=O)[O-] ZINC000360064851 278715016 /nfs/dbraw/zinc/71/50/16/278715016.db2.gz FEZIIRPIFKKQBO-GFCCVEGCSA-N 0 0 256.252 2.981 20 5 CFBDRN Cc1ccc(CCn2c(C)ccc([N+](=O)[O-])c2=O)cc1 ZINC000276200016 278802336 /nfs/dbraw/zinc/80/23/36/278802336.db2.gz IKNLHOJJGWFBJU-UHFFFAOYSA-N 0 0 272.304 2.616 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000408102277 278886649 /nfs/dbraw/zinc/88/66/49/278886649.db2.gz DBMHZVJINDQZEI-HTQZYQBOSA-N 0 0 278.337 2.834 20 5 CFBDRN C[C@@H]1C[C@H](C)N(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000465057292 278955376 /nfs/dbraw/zinc/95/53/76/278955376.db2.gz NVLBDSDHLLLJOQ-ZJUUUORDSA-N 0 0 288.307 2.880 20 5 CFBDRN Cc1ccnc(NC2CCSCC2)c1[N+](=O)[O-] ZINC000134679729 278987701 /nfs/dbraw/zinc/98/77/01/278987701.db2.gz DOPCOTDEWVTYRQ-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN C[C@@H]1C[C@H](Nc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000220097412 278999795 /nfs/dbraw/zinc/99/97/95/278999795.db2.gz CPEASUXEXKRPHX-RKDXNWHRSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@@H]1C[C@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235284141 279008927 /nfs/dbraw/zinc/00/89/27/279008927.db2.gz ULGLWYOVMHNKMX-PHDIDXHHSA-N 0 0 261.203 2.829 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C1=CCC1 ZINC000355406293 279012832 /nfs/dbraw/zinc/01/28/32/279012832.db2.gz WLCUZCQOTCSKHS-SECBINFHSA-N 0 0 258.277 2.593 20 5 CFBDRN Cc1cnc(NC[C@]2(C)CCO[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000342013397 279019918 /nfs/dbraw/zinc/01/99/18/279019918.db2.gz DLOMCDJQPWIQMY-ZFWWWQNUSA-N 0 0 291.351 2.915 20 5 CFBDRN CC(C)C[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330959937 279020478 /nfs/dbraw/zinc/02/04/78/279020478.db2.gz XKGSXWHVPLRAHQ-NSHDSACASA-N 0 0 279.340 2.964 20 5 CFBDRN C[C@@H]1OCC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000149988643 279053198 /nfs/dbraw/zinc/05/31/98/279053198.db2.gz SRQPDKRKHIQURO-JOYOIKCWSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1nc(N2CCC[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000343973395 279090914 /nfs/dbraw/zinc/09/09/14/279090914.db2.gz QUFFOLSKSNRGOS-VIFPVBQESA-N 0 0 257.240 2.532 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2CCCCS2)ccc1[N+](=O)[O-] ZINC000272818122 279099979 /nfs/dbraw/zinc/09/99/79/279099979.db2.gz FGXKBCNAQSFRBR-SNVBAGLBSA-N 0 0 281.337 2.522 20 5 CFBDRN C[C@@H]1c2ccccc2CN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000359034180 279135094 /nfs/dbraw/zinc/13/50/94/279135094.db2.gz WQMGVYYUTIJZQF-SNVBAGLBSA-N 0 0 298.302 2.520 20 5 CFBDRN C[C@H](CF)Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1 ZINC000340961694 279276149 /nfs/dbraw/zinc/27/61/49/279276149.db2.gz DYXLVMGIRIYDPY-SECBINFHSA-N 0 0 293.273 2.988 20 5 CFBDRN O=C(NC12CCC(CC1)C2)c1ccc([N+](=O)[O-])cc1 ZINC000368168362 279817523 /nfs/dbraw/zinc/81/75/23/279817523.db2.gz QWRDWCKDFNZHND-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c3ncccc23)C[C@H](C)O1 ZINC000346651711 279883005 /nfs/dbraw/zinc/88/30/05/279883005.db2.gz IBHCISORPBSLJQ-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])CCC1=O ZINC000275392191 279884142 /nfs/dbraw/zinc/88/41/42/279884142.db2.gz QGBCLRUOOAATQO-JTQLQIEISA-N 0 0 285.303 2.558 20 5 CFBDRN CCOc1cccc(N2CCCCC2)c1[N+](=O)[O-] ZINC000154097246 279971309 /nfs/dbraw/zinc/97/13/09/279971309.db2.gz FMYAXPLBOPZTHD-UHFFFAOYSA-N 0 0 250.298 2.984 20 5 CFBDRN CCOc1cccc(N2C[C@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000273129567 279973662 /nfs/dbraw/zinc/97/36/62/279973662.db2.gz BGRTUQNRTIQIMM-QWRGUYRKSA-N 0 0 280.324 2.607 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)c2nccn21 ZINC000450827389 280014114 /nfs/dbraw/zinc/01/41/14/280014114.db2.gz HQBRVNUXAFISPD-XVKPBYJWSA-N 0 0 293.714 2.958 20 5 CFBDRN CC(C)[C@@H]1CN(c2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000192796640 280016203 /nfs/dbraw/zinc/01/62/03/280016203.db2.gz AHUBUSVCGXGCMI-ZDUSSCGKSA-N 0 0 281.381 2.755 20 5 CFBDRN C[C@H]1Cc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000020448882 280031553 /nfs/dbraw/zinc/03/15/53/280031553.db2.gz QUZJHQREBUHQGB-VIFPVBQESA-N 0 0 272.260 2.779 20 5 CFBDRN O=C([C@@H]1CCCSC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000336031196 280089642 /nfs/dbraw/zinc/08/96/42/280089642.db2.gz ACPSQJBCEMJHTC-LLVKDONJSA-N 0 0 292.360 2.627 20 5 CFBDRN O=C([C@H]1CC1(F)F)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000294822423 280125196 /nfs/dbraw/zinc/12/51/96/280125196.db2.gz DLXCJAWYZUMUBM-SNVBAGLBSA-N 0 0 282.246 2.529 20 5 CFBDRN C[C@]1(Cc2ccccc2)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000274266878 280161950 /nfs/dbraw/zinc/16/19/50/280161950.db2.gz OVAZOVUVBHRVPC-MRXNPFEDSA-N 0 0 298.346 2.986 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)C(C)(C)C ZINC000357212299 280182272 /nfs/dbraw/zinc/18/22/72/280182272.db2.gz PVXGPOVAJLGREP-SECBINFHSA-N 0 0 254.286 2.742 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1C1CCC1 ZINC000102875040 280190671 /nfs/dbraw/zinc/19/06/71/280190671.db2.gz BSXPOXFPLINFRZ-CQSZACIVSA-N 0 0 274.320 3.000 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@@H]2CCC[C@@H]21 ZINC000336280891 280190748 /nfs/dbraw/zinc/19/07/48/280190748.db2.gz JESSHRYYPKPLHD-GWCFXTLKSA-N 0 0 260.293 2.609 20 5 CFBDRN CC[C@@H]1CCCCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000134874414 280237849 /nfs/dbraw/zinc/23/78/49/280237849.db2.gz SIRCLVJMMHTWML-LLVKDONJSA-N 0 0 291.351 2.972 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCO[C@@H]1C1CC1 ZINC000230309176 280359104 /nfs/dbraw/zinc/35/91/04/280359104.db2.gz GSXUSDOQVNJVAU-MWLCHTKSSA-N 0 0 283.715 2.623 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC([C@H]2CCOC2)C1 ZINC000366495153 280361385 /nfs/dbraw/zinc/36/13/85/280361385.db2.gz SBHFHFWSVQTGLD-LBPRGKRZSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3C[C@@H]4CCC[C@@H]4C3)nc2c1 ZINC000277739499 280370132 /nfs/dbraw/zinc/37/01/32/280370132.db2.gz IKJUYMQRTRVMRT-AOOOYVTPSA-N 0 0 272.308 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@@H](F)C3)c2c1 ZINC000413294268 280371289 /nfs/dbraw/zinc/37/12/89/280371289.db2.gz ANDPSRYYXJJCCG-SNVBAGLBSA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]3CCCC[C@H]32)nc1 ZINC000273644709 280379208 /nfs/dbraw/zinc/37/92/08/280379208.db2.gz SSXOHFFKSUFJLR-CMPLNLGQSA-N 0 0 262.313 2.544 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@]3(CCCOC3)C2)c(F)c1 ZINC000408468113 280379582 /nfs/dbraw/zinc/37/95/82/280379582.db2.gz MSIDXWHMRPOJET-AWEZNQCLSA-N 0 0 295.314 2.526 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@H]1CCCC(F)(F)C1 ZINC000334429235 280394813 /nfs/dbraw/zinc/39/48/13/280394813.db2.gz YSUQAGHOBILKDR-VIFPVBQESA-N 0 0 272.251 2.582 20 5 CFBDRN CCc1nc(CN(C)c2ccc([N+](=O)[O-])cn2)cs1 ZINC000049056485 280436292 /nfs/dbraw/zinc/43/62/92/280436292.db2.gz UFOWMPRNZNLDQC-UHFFFAOYSA-N 0 0 278.337 2.645 20 5 CFBDRN COCCCCCNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000058752314 280457084 /nfs/dbraw/zinc/45/70/84/280457084.db2.gz BWNNROGLCRTZBL-CMDGGOBGSA-N 0 0 292.335 2.541 20 5 CFBDRN CCCCN(CC)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000061392005 280460364 /nfs/dbraw/zinc/46/03/64/280460364.db2.gz XASNHXLVTULWFV-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN CNc1ccc(C(=O)N(C)CC2CCCC2)cc1[N+](=O)[O-] ZINC000070198560 280481995 /nfs/dbraw/zinc/48/19/95/280481995.db2.gz JHGWLQXYYGLYEF-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)N(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000074238247 280500736 /nfs/dbraw/zinc/50/07/36/280500736.db2.gz OYHDSKTZKGTJLU-BXUZGUMPSA-N 0 0 292.335 2.905 20 5 CFBDRN CCCCCNc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000079088837 280532777 /nfs/dbraw/zinc/53/27/77/280532777.db2.gz BBEOSRLCXFWGPB-UHFFFAOYSA-N 0 0 266.297 2.984 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000079735626 280536328 /nfs/dbraw/zinc/53/63/28/280536328.db2.gz XKQJGDDNAFWEAI-VXGBXAGGSA-N 0 0 293.367 2.582 20 5 CFBDRN CCN(CC)C(=O)CCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000079915157 280537084 /nfs/dbraw/zinc/53/70/84/280537084.db2.gz ZTMIDVXHZLLNGP-UHFFFAOYSA-N 0 0 297.330 2.794 20 5 CFBDRN Cc1cc(N2CCC=C(Br)C2)ncc1[N+](=O)[O-] ZINC000281691958 280547663 /nfs/dbraw/zinc/54/76/63/280547663.db2.gz RYQSYQQPZQQSGM-UHFFFAOYSA-N 0 0 298.140 2.787 20 5 CFBDRN Cc1cc(N2CCCc3occc3C2)ncc1[N+](=O)[O-] ZINC000288704668 280557535 /nfs/dbraw/zinc/55/75/35/280557535.db2.gz CCQMKHIRAUSIPD-UHFFFAOYSA-N 0 0 273.292 2.844 20 5 CFBDRN CC[C@@H](C)COc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000088499609 280560574 /nfs/dbraw/zinc/56/05/74/280560574.db2.gz DTKODKPDAYNZKV-SECBINFHSA-N 0 0 267.281 2.806 20 5 CFBDRN CC[C@H](C)C(=O)COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000089692560 280564662 /nfs/dbraw/zinc/56/46/62/280564662.db2.gz YDMBCADNHHNBST-VIFPVBQESA-N 0 0 279.292 2.791 20 5 CFBDRN CC[C@@H]1OCCC[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000277980573 280578910 /nfs/dbraw/zinc/57/89/10/280578910.db2.gz YIZNWPADSZSSRS-STQMWFEESA-N 0 0 278.308 2.739 20 5 CFBDRN C[C@@H]1CN(Cc2c(Cl)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000119813862 280610369 /nfs/dbraw/zinc/61/03/69/280610369.db2.gz VANJVNGKEHEHGL-AOOOYVTPSA-N 0 0 284.743 2.857 20 5 CFBDRN O=C(/C=C/c1cccc(O)c1)Nc1cccc([N+](=O)[O-])c1 ZINC000121942708 280624919 /nfs/dbraw/zinc/62/49/19/280624919.db2.gz BRPQWKZVBSPFSD-BQYQJAHWSA-N 0 0 284.271 2.952 20 5 CFBDRN Cc1ccc(O)c(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c1 ZINC000122066668 280625504 /nfs/dbraw/zinc/62/55/04/280625504.db2.gz MPNKPCJXHQEHOP-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN CCc1oc(C(=O)NCc2ccccc2[N+](=O)[O-])cc1C ZINC000123636912 280634263 /nfs/dbraw/zinc/63/42/63/280634263.db2.gz ZRXSMKMDWBPVJH-UHFFFAOYSA-N 0 0 288.303 2.989 20 5 CFBDRN Cc1ccc(C(=O)NC2C[C@H](C)O[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000126688084 280656013 /nfs/dbraw/zinc/65/60/13/280656013.db2.gz SEATVLCOWKRTNN-QWRGUYRKSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC2(CCOCC2)CC1 ZINC000286148061 280663859 /nfs/dbraw/zinc/66/38/59/280663859.db2.gz AWFXGRNOKNVONN-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000128658365 280681441 /nfs/dbraw/zinc/68/14/41/280681441.db2.gz FJUSGDRZOKIZQU-NSHDSACASA-N 0 0 296.371 2.583 20 5 CFBDRN Cc1c[nH]c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)n1 ZINC000131157993 280712967 /nfs/dbraw/zinc/71/29/67/280712967.db2.gz WXPAJUIDUJBKSE-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN COc1ccc(COc2cccc(C)c2[N+](=O)[O-])cn1 ZINC000131183345 280713983 /nfs/dbraw/zinc/71/39/83/280713983.db2.gz BMPGDHQZYFUGSO-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSC1CCOCC1 ZINC000131270540 280715115 /nfs/dbraw/zinc/71/51/15/280715115.db2.gz OQAXVZSJSRGHJM-UHFFFAOYSA-N 0 0 283.349 2.886 20 5 CFBDRN CCC[C@@H](O)CNc1cccc(OCC)c1[N+](=O)[O-] ZINC000131512773 280718981 /nfs/dbraw/zinc/71/89/81/280718981.db2.gz ZNQPJEHZRSFVRV-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1nnc(C2CC2)o1 ZINC000131536060 280720101 /nfs/dbraw/zinc/72/01/01/280720101.db2.gz VJYYCMZIWPBZAI-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN C[C@H]1CC(NC(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000132638333 280734572 /nfs/dbraw/zinc/73/45/72/280734572.db2.gz TWRQANZZVFKABS-UWVGGRQHSA-N 0 0 293.323 2.672 20 5 CFBDRN CC(=O)c1cnc(NC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000135437750 280773192 /nfs/dbraw/zinc/77/31/92/280773192.db2.gz NXFCWFRJYRFNJR-UHFFFAOYSA-N 0 0 297.317 2.568 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccco2)cc([N+](=O)[O-])c1 ZINC000147258228 280793017 /nfs/dbraw/zinc/79/30/17/280793017.db2.gz MBLHAKNMGAGRKA-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN CCCNC(=O)[C@@H](C)SCc1ccc([N+](=O)[O-])cc1 ZINC000176241943 280813898 /nfs/dbraw/zinc/81/38/98/280813898.db2.gz ALBKLNVDINAGRM-SNVBAGLBSA-N 0 0 282.365 2.743 20 5 CFBDRN CC(F)(F)CCNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493352840 280821882 /nfs/dbraw/zinc/82/18/82/280821882.db2.gz NILQAWXZUYLVTO-WAYWQWQTSA-N 0 0 284.262 2.770 20 5 CFBDRN COc1ccc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)cc1F ZINC000185166022 280833530 /nfs/dbraw/zinc/83/35/30/280833530.db2.gz MCITZXXPCABLSM-UHFFFAOYSA-N 0 0 291.282 2.865 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCC(C)(C)O2)cc([N+](=O)[O-])c1 ZINC000187369691 280855693 /nfs/dbraw/zinc/85/56/93/280855693.db2.gz RBBMMIDQWHTFHM-CYBMUJFWSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2nc3ccccc3[nH]2)s1 ZINC000190306737 280886376 /nfs/dbraw/zinc/88/63/76/280886376.db2.gz OHHQPOXLZNYERD-UHFFFAOYSA-N 0 0 289.320 2.582 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000191029750 280894680 /nfs/dbraw/zinc/89/46/80/280894680.db2.gz ZXERQVCUEAYQMS-SECBINFHSA-N 0 0 265.265 2.555 20 5 CFBDRN COc1cccc(N[C@H]2C[C@H](OC)C2(C)C)c1[N+](=O)[O-] ZINC000228855655 280937232 /nfs/dbraw/zinc/93/72/32/280937232.db2.gz PEWKCWLRQYATTF-RYUDHWBXSA-N 0 0 280.324 2.829 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2C[C@@H](C)O[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000245565185 280955253 /nfs/dbraw/zinc/95/52/53/280955253.db2.gz DQGFTFDAEHROQO-DIYOJNKTSA-N 0 0 298.364 2.651 20 5 CFBDRN C[C@H]1C[C@H](CCn2cnc([N+](=O)[O-])n2)C[C@@H](C)C1 ZINC000247509067 281013530 /nfs/dbraw/zinc/01/35/30/281013530.db2.gz YGBZZKVABZPPEI-URLYPYJESA-N 0 0 252.318 2.649 20 5 CFBDRN Cc1cncc(/C=C\C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000255344123 281094491 /nfs/dbraw/zinc/09/44/91/281094491.db2.gz CLBIDQHHDSJTBU-WAYWQWQTSA-N 0 0 283.287 2.950 20 5 CFBDRN CCCCOCCNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255385964 281096460 /nfs/dbraw/zinc/09/64/60/281096460.db2.gz ZQTSLNVVJRWTRB-HJWRWDBZSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@H]1CCCN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255441168 281098718 /nfs/dbraw/zinc/09/87/18/281098718.db2.gz QZAUHTKRSWYXHG-DHHDDZJSSA-N 0 0 260.293 2.619 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC[C@H]1CCCS1 ZINC000255658997 281104594 /nfs/dbraw/zinc/10/45/94/281104594.db2.gz ITXNXSKTLOIMRE-FMFIFOJESA-N 0 0 292.360 2.620 20 5 CFBDRN CC[C@@H]1CCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000255772391 281107517 /nfs/dbraw/zinc/10/75/17/281107517.db2.gz NDDRBDWCMJUQNB-ZHRWSRJISA-N 0 0 274.320 2.867 20 5 CFBDRN COCCCCCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255827845 281107541 /nfs/dbraw/zinc/10/75/41/281107541.db2.gz JASINEPHPQHNKG-YFHOEESVSA-N 0 0 292.335 2.541 20 5 CFBDRN CCOCCCCNc1ncc([N+](=O)[O-])cc1C ZINC000264471898 281113355 /nfs/dbraw/zinc/11/33/55/281113355.db2.gz NONSZDWLYUKQJS-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000271471296 281114883 /nfs/dbraw/zinc/11/48/83/281114883.db2.gz RGKBJZQCWATSBZ-JQWIXIFHSA-N 0 0 262.309 2.998 20 5 CFBDRN CCC[C@@H](O)C[NH2+][C@H](C)c1cccc([N+](=O)[O-])c1C ZINC000268925590 281117869 /nfs/dbraw/zinc/11/78/69/281117869.db2.gz XUIJLHRWWNLPSS-VXGBXAGGSA-N 0 0 266.341 2.715 20 5 CFBDRN Cc1c([C@@H](C)[NH2+]C[C@H](O)C(C)C)cccc1[N+](=O)[O-] ZINC000268925888 281118284 /nfs/dbraw/zinc/11/82/84/281118284.db2.gz PYDDKCVLZHTXLT-RISCZKNCSA-N 0 0 266.341 2.571 20 5 CFBDRN CCN(CCc1cccs1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294467463 281139827 /nfs/dbraw/zinc/13/98/27/281139827.db2.gz SPSYFGOGTRGYTF-UHFFFAOYSA-N 0 0 298.408 2.975 20 5 CFBDRN CC[C@H]1CN(c2ccc(C)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000301432130 281177112 /nfs/dbraw/zinc/17/71/12/281177112.db2.gz HFPLWHMMWFYKEZ-RYUDHWBXSA-N 0 0 264.325 2.907 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1cnc(C)cc1C ZINC000396978668 281199863 /nfs/dbraw/zinc/19/98/63/281199863.db2.gz LWQSWLVLQWELEJ-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CS[C@H](C)C2)c1 ZINC000397793406 281202551 /nfs/dbraw/zinc/20/25/51/281202551.db2.gz SONZVAQDXUVVFP-BDAKNGLRSA-N 0 0 268.338 2.909 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc3cc([N+](=O)[O-])ccc3n2)CS1 ZINC000397975503 281203289 /nfs/dbraw/zinc/20/32/89/281203289.db2.gz JBEZDNHCUFZDFL-GXSJLCMTSA-N 0 0 289.360 2.871 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1csnn1 ZINC000398435820 281206267 /nfs/dbraw/zinc/20/62/67/281206267.db2.gz XEFRRGOMYBPHFO-DTWKUNHWSA-N 0 0 278.337 2.858 20 5 CFBDRN C[C@H]1C[C@@H](CO)CN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000398728796 281208436 /nfs/dbraw/zinc/20/84/36/281208436.db2.gz IGSWPDYOFYWVCR-WDEREUQCSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@@H]1CC[C@@H](O)CN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000399315168 281210262 /nfs/dbraw/zinc/21/02/62/281210262.db2.gz FKXXNHPMZDKBDF-PSASIEDQSA-N 0 0 270.716 2.598 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])[C@H]1C ZINC000399709200 281211196 /nfs/dbraw/zinc/21/11/96/281211196.db2.gz YESXHEWLNGZQJX-BBBLOLIVSA-N 0 0 280.299 2.850 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@H](C3CC3)C2)cc1[N+](=O)[O-] ZINC000400206400 281211896 /nfs/dbraw/zinc/21/18/96/281211896.db2.gz IDVNDURCTJVVNH-LBPRGKRZSA-N 0 0 289.335 2.509 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC12CCCC2 ZINC000430239606 281232615 /nfs/dbraw/zinc/23/26/15/281232615.db2.gz BFSIAQSKEJSEKP-CYBMUJFWSA-N 0 0 274.320 2.586 20 5 CFBDRN CC[C@H](C)N(C)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491418350 281274419 /nfs/dbraw/zinc/27/44/19/281274419.db2.gz OUABQACFBOXSDX-JUDLJHIGSA-N 0 0 262.309 2.865 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491418348 281274455 /nfs/dbraw/zinc/27/44/55/281274455.db2.gz OUABQACFBOXSDX-DWOQACPDSA-N 0 0 262.309 2.865 20 5 CFBDRN CC(C)c1cnn(CCOc2ccccc2[N+](=O)[O-])c1 ZINC000514859743 281287066 /nfs/dbraw/zinc/28/70/66/281287066.db2.gz FXDQKJDPYBEKEQ-UHFFFAOYSA-N 0 0 275.308 2.994 20 5 CFBDRN CCN(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000514846427 281287128 /nfs/dbraw/zinc/28/71/28/281287128.db2.gz AHLHAHPHQZZHEV-UHFFFAOYSA-N 0 0 287.319 2.596 20 5 CFBDRN Cc1cccc2c1OCC[C@H]2Nc1ncc([N+](=O)[O-])cn1 ZINC000302676950 281292904 /nfs/dbraw/zinc/29/29/04/281292904.db2.gz NFDVNGVZOSEJCP-GFCCVEGCSA-N 0 0 286.291 2.629 20 5 CFBDRN Cc1c(OC[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000515490025 281293766 /nfs/dbraw/zinc/29/37/66/281293766.db2.gz YOVLLUPWGLYIOZ-LLVKDONJSA-N 0 0 251.282 2.851 20 5 CFBDRN COc1c(C(=O)NC(C)(C)C(C)C)cccc1[N+](=O)[O-] ZINC000515539629 281294589 /nfs/dbraw/zinc/29/45/89/281294589.db2.gz JSIWGZKRCAKYAQ-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN CC[C@H](F)CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000516142446 281299487 /nfs/dbraw/zinc/29/94/87/281299487.db2.gz RQMMGKWFPQRLFH-NSHDSACASA-N 0 0 252.289 2.701 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@@H](F)C2)cc([N+](=O)[O-])c1 ZINC000519610948 281309039 /nfs/dbraw/zinc/30/90/39/281309039.db2.gz UUJAIZOTQUYBEQ-NEPJUHHUSA-N 0 0 280.299 2.914 20 5 CFBDRN CC[C@H]1CCCN1C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000522048273 281311206 /nfs/dbraw/zinc/31/12/06/281311206.db2.gz KPHPUUKYOHEHME-LBPRGKRZSA-N 0 0 292.335 2.547 20 5 CFBDRN CC[C@]1(C)COCCN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000330642416 281326965 /nfs/dbraw/zinc/32/69/65/281326965.db2.gz XOCODAXQXBPQHC-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccccc1[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000467705552 281399032 /nfs/dbraw/zinc/39/90/32/281399032.db2.gz QRCAVVJUVLRCJS-HAQNSBGRSA-N 0 0 299.330 2.907 20 5 CFBDRN Cc1c(NCc2nnc(C3CC3)o2)cccc1[N+](=O)[O-] ZINC000530647875 281427639 /nfs/dbraw/zinc/42/76/39/281427639.db2.gz UBDWQDDOXKQSJE-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531624661 281435643 /nfs/dbraw/zinc/43/56/43/281435643.db2.gz ZEALJYRNTYUCTC-CMPLNLGQSA-N 0 0 277.324 2.688 20 5 CFBDRN COC(C)(C)CN(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000532327997 281451014 /nfs/dbraw/zinc/45/10/14/281451014.db2.gz LSBXDWVMTREXIQ-UHFFFAOYSA-N 0 0 252.314 2.764 20 5 CFBDRN CC[C@@H]1COCCN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000534272034 281479996 /nfs/dbraw/zinc/47/99/96/281479996.db2.gz BEFHIDOVEXGRKH-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)C2CC2)ccc1[N+](=O)[O-] ZINC000535743535 281507493 /nfs/dbraw/zinc/50/74/93/281507493.db2.gz VMIWBSWBUYIOEJ-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1C2CCC1CC2 ZINC000536089114 281515735 /nfs/dbraw/zinc/51/57/35/281515735.db2.gz NDWPUQAOEMJUFG-UHFFFAOYSA-N 0 0 299.330 2.772 20 5 CFBDRN CCC[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])C(=O)OCC ZINC000537036895 281532748 /nfs/dbraw/zinc/53/27/48/281532748.db2.gz COXQKKACOFTWHB-WCQYABFASA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@@H]1COCCN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000361781735 288335478 /nfs/dbraw/zinc/33/54/78/288335478.db2.gz OWWXKWZSABFVQI-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCc1cccs1 ZINC000539866160 281577352 /nfs/dbraw/zinc/57/73/52/281577352.db2.gz LQRLPAWLGXYPSG-UHFFFAOYSA-N 0 0 277.349 2.826 20 5 CFBDRN CC1([C@@H]2CCCN(c3ccccc3[N+](=O)[O-])C2)OCCO1 ZINC000273194978 281608463 /nfs/dbraw/zinc/60/84/63/281608463.db2.gz RQFJQRLOBSJPOR-GFCCVEGCSA-N 0 0 292.335 2.574 20 5 CFBDRN Cc1nnc([C@H](C)NCc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000541684667 281608722 /nfs/dbraw/zinc/60/87/22/281608722.db2.gz RSEQCPXZOARADH-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN C[C@H](C(=O)N1CCC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000541725679 281609629 /nfs/dbraw/zinc/60/96/29/281609629.db2.gz ICUNIMYJIXTZKI-VIFPVBQESA-N 0 0 280.299 2.848 20 5 CFBDRN Cc1cc(N[C@@H]2CN(c3ccccc3)C2=O)ccc1[N+](=O)[O-] ZINC000544353254 281664049 /nfs/dbraw/zinc/66/40/49/281664049.db2.gz ADEACWNSEPXHJQ-CQSZACIVSA-N 0 0 297.314 2.731 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(C[C@@H]2CCCO2)n1 ZINC000544780454 281674139 /nfs/dbraw/zinc/67/41/39/281674139.db2.gz QFYBWJYRZZWJMY-NSHDSACASA-N 0 0 289.291 2.675 20 5 CFBDRN Cc1cc(C)nc(-c2noc(-c3ccc([N+](=O)[O-])cn3)n2)c1 ZINC000545729075 281692526 /nfs/dbraw/zinc/69/25/26/281692526.db2.gz BJAVSWQPSRWIDP-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@@H]1CCCCO1 ZINC000545914884 281697483 /nfs/dbraw/zinc/69/74/83/281697483.db2.gz RRANQHUDMYHXSL-VIFPVBQESA-N 0 0 255.245 2.682 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cccnc1 ZINC000545958694 281698766 /nfs/dbraw/zinc/69/87/66/281698766.db2.gz JSWDGPIIZLETSO-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(COC(C)C)n1 ZINC000546397844 281708546 /nfs/dbraw/zinc/70/85/46/281708546.db2.gz VMQLXXZIEYGZHR-UHFFFAOYSA-N 0 0 277.280 2.878 20 5 CFBDRN Cc1c(CC(=O)NC[C@@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000546668580 281716559 /nfs/dbraw/zinc/71/65/59/281716559.db2.gz NMGCPQUQDZSWBJ-FZMZJTMJSA-N 0 0 290.363 2.998 20 5 CFBDRN Cc1ccc(Cc2noc(-c3cc([N+](=O)[O-])cn3C)n2)cc1 ZINC000547026075 281724578 /nfs/dbraw/zinc/72/45/78/281724578.db2.gz HBYITOKNNILGSN-UHFFFAOYSA-N 0 0 298.302 2.883 20 5 CFBDRN Cc1cccc(Cc2noc(-c3cc([N+](=O)[O-])cn3C)n2)c1 ZINC000547025250 281724822 /nfs/dbraw/zinc/72/48/22/281724822.db2.gz QOTUPFDLPNNJRF-UHFFFAOYSA-N 0 0 298.302 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CCCOC2)n1 ZINC000547111003 281725776 /nfs/dbraw/zinc/72/57/76/281725776.db2.gz IKXMIIOZSAFYDL-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1cnn(Cc2nc(-c3ccc([N+](=O)[O-])cc3C)no2)c1 ZINC000547101494 281725914 /nfs/dbraw/zinc/72/59/14/281725914.db2.gz MKRGSXMCIMVHQJ-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CCc1nc(C)c(NC(=O)c2cc([N+](=O)[O-])cn2C)s1 ZINC000547968986 281761795 /nfs/dbraw/zinc/76/17/95/281761795.db2.gz ZBZZTEDFNXDSMD-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN CCc1nc(C)c(NC(=O)c2ccc([N+](=O)[O-])cn2)s1 ZINC000547971111 281761846 /nfs/dbraw/zinc/76/18/46/281761846.db2.gz PHWXSZDNVDWDSY-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CNc1c(C(=O)NCC2CC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000548055836 281765820 /nfs/dbraw/zinc/76/58/20/281765820.db2.gz YBEZRSUJOJMZAL-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN Cc1cnc(N2CCC[C@@H](OCC3CC3)C2)c([N+](=O)[O-])c1 ZINC000548288830 281776333 /nfs/dbraw/zinc/77/63/33/281776333.db2.gz XGVKQNAECVFTAB-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN CCCCC[C@@H]1CCCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000549218987 281813361 /nfs/dbraw/zinc/81/33/61/281813361.db2.gz KXAUFFCIFKUPKN-SNVBAGLBSA-N 0 0 280.328 2.503 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC2(C)C)c1 ZINC000549379876 281816242 /nfs/dbraw/zinc/81/62/42/281816242.db2.gz NAFQYIIJMPDWIQ-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cc[nH]c1C1CC1 ZINC000550215059 281825081 /nfs/dbraw/zinc/82/50/81/281825081.db2.gz ICDDNLBFRXLRPW-UHFFFAOYSA-N 0 0 285.303 2.730 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1cccc(F)c1[N+](=O)[O-] ZINC000277337016 288362900 /nfs/dbraw/zinc/36/29/00/288362900.db2.gz CSEOVJWXLBFFKL-SCZZXKLOSA-N 0 0 254.261 2.571 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000554034336 281883883 /nfs/dbraw/zinc/88/38/83/281883883.db2.gz ZISOSFPWPJAPSP-DLOVCJGASA-N 0 0 280.299 2.705 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000554935446 281906610 /nfs/dbraw/zinc/90/66/10/281906610.db2.gz DNENKIQLTNGWMY-QMMMGPOBSA-N 0 0 281.287 2.996 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCO[C@H](C(C)(C)C)C2)c1 ZINC000555197748 281909760 /nfs/dbraw/zinc/90/97/60/281909760.db2.gz GAJUILFHQNDLGZ-AWEZNQCLSA-N 0 0 294.351 2.855 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1nc(C)cc(C)n1 ZINC000555953813 281923978 /nfs/dbraw/zinc/92/39/78/281923978.db2.gz HWPAGHQKTWDRPY-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000274840494 288371470 /nfs/dbraw/zinc/37/14/70/288371470.db2.gz VYTMHOHVMYSQEV-UWVGGRQHSA-N 0 0 294.355 2.702 20 5 CFBDRN C[C@H]1C[C@H]1Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000558520453 281984792 /nfs/dbraw/zinc/98/47/92/281984792.db2.gz UAOWAIQTRCAWBE-BQBZGAKWSA-N 0 0 265.294 2.905 20 5 CFBDRN O=C(Nc1cc2c(cn1)CCCC2)c1ccc([N+](=O)[O-])cn1 ZINC000558652597 281989486 /nfs/dbraw/zinc/98/94/86/281989486.db2.gz PVSFOYQUSOETTP-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN CC(C)CC[C@H]1CCCCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000558764577 281996130 /nfs/dbraw/zinc/99/61/30/281996130.db2.gz HHVIBLWBIPHLSN-LLVKDONJSA-N 0 0 294.355 2.749 20 5 CFBDRN Cc1c(CN(C)[C@@H](C)c2cccc([N+](=O)[O-])c2)cnn1C ZINC000560435758 282026716 /nfs/dbraw/zinc/02/67/16/282026716.db2.gz DYYNSAYKSKOOPB-NSHDSACASA-N 0 0 288.351 2.830 20 5 CFBDRN C[C@@H](C(=O)N1CC(C2CCC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000561096114 282047279 /nfs/dbraw/zinc/04/72/79/282047279.db2.gz DULHDBBDLITEOO-LLVKDONJSA-N 0 0 288.347 2.957 20 5 CFBDRN O=c1[nH]cnc2cc(N[C@H]3CC[C@@H](F)C3)c([N+](=O)[O-])cc12 ZINC000561376442 282053452 /nfs/dbraw/zinc/05/34/52/282053452.db2.gz JDIGHHVUCNHRFC-SFYZADRCSA-N 0 0 292.270 2.546 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000192506704 288642266 /nfs/dbraw/zinc/64/22/66/288642266.db2.gz FUQKYPZGRNIQGE-RKDXNWHRSA-N 0 0 255.343 2.924 20 5 CFBDRN Cc1cccnc1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000267401437 292715272 /nfs/dbraw/zinc/71/52/72/292715272.db2.gz OLNSUQQFRXODKF-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN C[C@@]1(F)CCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000294914979 289182606 /nfs/dbraw/zinc/18/26/06/289182606.db2.gz JHRJGZPSEXTUBV-CQSZACIVSA-N 0 0 279.315 2.891 20 5 CFBDRN Cc1ccc(OCC(=O)c2cccn2C)c([N+](=O)[O-])c1 ZINC000024733272 289821807 /nfs/dbraw/zinc/82/18/07/289821807.db2.gz ZKTWVUJHSNCUKT-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN CN(Cc1cscn1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153621594 289872538 /nfs/dbraw/zinc/87/25/38/289872538.db2.gz REWAPTYFRMIQQW-UHFFFAOYSA-N 0 0 284.728 2.736 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)o1 ZINC000156525656 289940066 /nfs/dbraw/zinc/94/00/66/289940066.db2.gz JCXIJIPSDIXOBX-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN O=C(Nc1ccncc1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000157869351 289964596 /nfs/dbraw/zinc/96/45/96/289964596.db2.gz PFOKYPXLGABUFP-UHFFFAOYSA-N 0 0 298.302 2.580 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1C=CCCC1 ZINC000158152091 289970439 /nfs/dbraw/zinc/97/04/39/289970439.db2.gz CAJFMCZSISLMFH-NSHDSACASA-N 0 0 260.293 2.742 20 5 CFBDRN CN(C(=O)c1ccc(Cl)cn1)c1cccc([N+](=O)[O-])c1 ZINC000158217751 289971618 /nfs/dbraw/zinc/97/16/18/289971618.db2.gz MNHDPNNREJOSFT-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN Cc1sc(C(=O)Nc2cccc(O)c2)cc1[N+](=O)[O-] ZINC000158406656 289975330 /nfs/dbraw/zinc/97/53/30/289975330.db2.gz CFINIAXAHAXAAZ-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN Cc1ccnc(N2CCc3ccsc3C2)c1[N+](=O)[O-] ZINC000132400505 292780635 /nfs/dbraw/zinc/78/06/35/292780635.db2.gz WGLUVAMQSCRVHV-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)S[C@@H]1CCCNC1=O ZINC000352034450 290021095 /nfs/dbraw/zinc/02/10/95/290021095.db2.gz BWZOMDPYXQHEBZ-GXFFZTMASA-N 0 0 294.376 2.976 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(CCO)CC2)c1 ZINC000400974201 290025155 /nfs/dbraw/zinc/02/51/55/290025155.db2.gz FUUUZRKPDJKIEE-UHFFFAOYSA-N 0 0 264.325 2.502 20 5 CFBDRN C[C@H](C(=O)N1C[C@H](C)C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000562400389 290040545 /nfs/dbraw/zinc/04/05/45/290040545.db2.gz SQBXRYOZMHSQSC-UTUOFQBUSA-N 0 0 276.336 2.955 20 5 CFBDRN C[C@H](O)C1CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000235563612 290068729 /nfs/dbraw/zinc/06/87/29/290068729.db2.gz FRPQBEJDMWHIFC-VIFPVBQESA-N 0 0 284.743 2.845 20 5 CFBDRN C[C@H](C(=O)NCCOc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000562972909 290087546 /nfs/dbraw/zinc/08/75/46/290087546.db2.gz ADFHERQLDVOXHM-NSHDSACASA-N 0 0 292.335 2.526 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C1CC2(CCC2)C1 ZINC000563166786 290112058 /nfs/dbraw/zinc/11/20/58/290112058.db2.gz MXTCRQAOXCMFEO-UHFFFAOYSA-N 0 0 274.320 2.791 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](O)CC(C)(C)C1 ZINC000563423530 290148601 /nfs/dbraw/zinc/14/86/01/290148601.db2.gz GKDXMRRLTNYVSO-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000279360743 290149805 /nfs/dbraw/zinc/14/98/05/290149805.db2.gz GCKBPOYJGKSFIU-SMDDNHRTSA-N 0 0 264.325 2.725 20 5 CFBDRN CCc1nocc1CSc1ccc([N+](=O)[O-])cn1 ZINC000564584386 290216903 /nfs/dbraw/zinc/21/69/03/290216903.db2.gz HPWDQTRGICVINS-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2nc3c(cc2[N+](=O)[O-])CCCC3)O1 ZINC000564903219 290247260 /nfs/dbraw/zinc/24/72/60/290247260.db2.gz JXOFZEDDEKMZAB-ZYHUDNBSSA-N 0 0 291.351 2.848 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCC[C@@H]1F ZINC000565224866 290285165 /nfs/dbraw/zinc/28/51/65/290285165.db2.gz AFLZEMQGHQAMEC-DTWKUNHWSA-N 0 0 254.261 2.906 20 5 CFBDRN Cc1ccoc1CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000565498010 290305768 /nfs/dbraw/zinc/30/57/68/290305768.db2.gz IJIVJBJDHPTXRE-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN Cc1noc([C@H](C)N(C)Cc2cccc([N+](=O)[O-])c2C)n1 ZINC000565841680 290329911 /nfs/dbraw/zinc/32/99/11/290329911.db2.gz IAYSVWVAJNFYES-JTQLQIEISA-N 0 0 290.323 2.788 20 5 CFBDRN C[C@H](C(=O)NCCOC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000565875268 290331209 /nfs/dbraw/zinc/33/12/09/290331209.db2.gz XHVFWSJAVRRDPU-NSHDSACASA-N 0 0 294.351 2.630 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC(=O)CCC(C)C)c1 ZINC000565891285 290332681 /nfs/dbraw/zinc/33/26/81/290332681.db2.gz WWUXGLOZKYIOQL-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000408345042 290335736 /nfs/dbraw/zinc/33/57/36/290335736.db2.gz DYAXXZDZQIKUIV-ONGXEEELSA-N 0 0 279.340 2.868 20 5 CFBDRN C[C@H]1CC(O)C[C@H](C)N1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000429314124 290354861 /nfs/dbraw/zinc/35/48/61/290354861.db2.gz YGSAXEQPSRSPMP-UWVGGRQHSA-N 0 0 298.770 2.982 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2C[C@H](C)CCC2=O)c1 ZINC000566213977 290358890 /nfs/dbraw/zinc/35/88/90/290358890.db2.gz CKEYMWVIMJDFQX-OTYXRUKQSA-N 0 0 279.292 2.740 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc([C@@H]2C[C@H]2C)no1 ZINC000566482551 290393073 /nfs/dbraw/zinc/39/30/73/290393073.db2.gz UYUGVOJJLGOWLP-VXNVDRBHSA-N 0 0 275.264 2.777 20 5 CFBDRN CCC[C@@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])C(=O)OC ZINC000566484464 290393915 /nfs/dbraw/zinc/39/39/15/290393915.db2.gz UNLCQICASFUGBM-CHWSQXEVSA-N 0 0 292.335 2.513 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000193931880 290412369 /nfs/dbraw/zinc/41/23/69/290412369.db2.gz YBHHIZLLMAPFCQ-UWVGGRQHSA-N 0 0 266.297 2.744 20 5 CFBDRN Cc1ccc(CNc2nc(C)cc(C)c2[N+](=O)[O-])nc1 ZINC000566912623 290426416 /nfs/dbraw/zinc/42/64/16/290426416.db2.gz PAKWCEBODCBYLV-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149521981 290462079 /nfs/dbraw/zinc/46/20/79/290462079.db2.gz VAJFHCPWKBGNSZ-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1ccnc(N[C@H]2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000567768766 290493999 /nfs/dbraw/zinc/49/39/99/290493999.db2.gz XUHJNPFVHCACHU-UWVGGRQHSA-N 0 0 253.277 2.991 20 5 CFBDRN CCOc1cc(OCCn2cc(C)cn2)ccc1[N+](=O)[O-] ZINC000567843411 290498999 /nfs/dbraw/zinc/49/89/99/290498999.db2.gz ZFKLTAVLWPGSEH-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@@H](F)C1 ZINC000568643929 290563671 /nfs/dbraw/zinc/56/36/71/290563671.db2.gz UPKFHVSOVGVEBU-MRVPVSSYSA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1nocc1COc1cc(C)c([N+](=O)[O-])cc1F ZINC000569021151 290583679 /nfs/dbraw/zinc/58/36/79/290583679.db2.gz OGOHYZOYHVQUOW-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)Cc1cc(C)on1 ZINC000569051065 290583899 /nfs/dbraw/zinc/58/38/99/290583899.db2.gz LBIKMUIYMOFQLD-UHFFFAOYSA-N 0 0 277.280 2.536 20 5 CFBDRN Cc1nnc([C@H]2CCCCN2c2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000374585308 293387289 /nfs/dbraw/zinc/38/72/89/293387289.db2.gz OEYTUYYONSIIBF-CYBMUJFWSA-N 0 0 287.323 2.753 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])C12CCC2 ZINC000413458204 293904252 /nfs/dbraw/zinc/90/42/52/293904252.db2.gz ZMMFKYDXQGTDPM-VXGBXAGGSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1sc(C(=O)N2CCCC[C@H]2C)cc1[N+](=O)[O-] ZINC000045125591 294070210 /nfs/dbraw/zinc/07/02/10/294070210.db2.gz WMMKMEQNJSRCCF-MRVPVSSYSA-N 0 0 268.338 2.979 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000267256057 294072430 /nfs/dbraw/zinc/07/24/30/294072430.db2.gz NCQHHZNOCAHXEH-MRVPVSSYSA-N 0 0 286.378 2.590 20 5 CFBDRN Cn1ccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)c1 ZINC000268622547 294333584 /nfs/dbraw/zinc/33/35/84/294333584.db2.gz AMEQVBPKAROBRH-UHFFFAOYSA-N 0 0 285.303 2.526 20 5 CFBDRN CO[C@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000275420286 294522872 /nfs/dbraw/zinc/52/28/72/294522872.db2.gz HHLWXZAQPYXNQL-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN COc1ccc([C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000294239188 295235698 /nfs/dbraw/zinc/23/56/98/295235698.db2.gz FFNKFUZOVVWGMB-NSHDSACASA-N 0 0 294.351 2.708 20 5 CFBDRN COc1cccc(N2CCCO[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000294156172 295304716 /nfs/dbraw/zinc/30/47/16/295304716.db2.gz RGLHISSPIDGXOB-AWEZNQCLSA-N 0 0 294.351 2.855 20 5 CFBDRN Nc1c(F)c(N2CCC[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000341045447 295346611 /nfs/dbraw/zinc/34/66/11/295346611.db2.gz MLXNPHYNALBOOT-SNVBAGLBSA-N 0 0 279.315 2.943 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)c1 ZINC000192800312 295357135 /nfs/dbraw/zinc/35/71/35/295357135.db2.gz SHUMTZHHIKZVPH-GYSYKLTISA-N 0 0 277.324 2.547 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC1CCSCC1 ZINC000491422460 295404031 /nfs/dbraw/zinc/40/40/31/295404031.db2.gz WKAUVVRHFXHDPS-UTCJRWHESA-N 0 0 292.360 2.620 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000181252093 297350694 /nfs/dbraw/zinc/35/06/94/297350694.db2.gz HEONETRJZBPZEI-GHMZBOCLSA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])CC[C@@H]1C ZINC000131965717 300201252 /nfs/dbraw/zinc/20/12/52/300201252.db2.gz OPCBMXWRONQPEG-QWRGUYRKSA-N 0 0 262.309 2.713 20 5 CFBDRN C[C@H]1CN(c2ccccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000280136833 300300123 /nfs/dbraw/zinc/30/01/23/300300123.db2.gz BNZKYYOAFGFBFY-HZMBPMFUSA-N 0 0 262.309 2.599 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCO[C@@H](C(C)C)C2)n1 ZINC000338846731 301203040 /nfs/dbraw/zinc/20/30/40/301203040.db2.gz OQZGABQSRXBICZ-CYBMUJFWSA-N 0 0 293.367 2.858 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H](C)OC[C@H]2C)c1 ZINC000268197509 301855992 /nfs/dbraw/zinc/85/59/92/301855992.db2.gz YHZYXGDHUHJCSQ-MNOVXSKESA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1S(=O)(=O)NC1CCCCC1 ZINC000048659734 302047499 /nfs/dbraw/zinc/04/74/99/302047499.db2.gz KWWXCDXKDPEOMX-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN Cc1cnc(N2CCO[C@@H](C(C)(C)C)C2)c([N+](=O)[O-])c1 ZINC000279485647 302351528 /nfs/dbraw/zinc/35/15/28/302351528.db2.gz OIPFCBLVBVJISS-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1cnc(N2CCSCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000276833067 302352195 /nfs/dbraw/zinc/35/21/95/302352195.db2.gz OUBHZRBAAXMXIL-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1nc([C@@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000348773864 302618616 /nfs/dbraw/zinc/61/86/16/302618616.db2.gz JGIGZPNOYFLZJC-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCC[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000218168746 302786940 /nfs/dbraw/zinc/78/69/40/302786940.db2.gz BGGDVJPBMCTZDA-FXPVBKGRSA-N 0 0 266.345 2.873 20 5 CFBDRN Cc1nn(C)cc1[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1 ZINC000375874373 302803034 /nfs/dbraw/zinc/80/30/34/302803034.db2.gz CUKXJNZQRORULX-OAHLLOKOSA-N 0 0 286.335 2.978 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCCO[C@@H](CF)C1 ZINC000413475548 304799563 /nfs/dbraw/zinc/79/95/63/304799563.db2.gz CRBRZWWWPHQRIU-JTQLQIEISA-N 0 0 288.706 2.813 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2ccncc2)cn1 ZINC000450252581 304803224 /nfs/dbraw/zinc/80/32/24/304803224.db2.gz ZQGKEINZMRSDTE-ZDUSSCGKSA-N 0 0 270.292 2.726 20 5 CFBDRN CN(CCC1CC1)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000935010128 649864543 /nfs/dbraw/zinc/86/45/43/649864543.db2.gz CACBQVFBLHSGPG-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN CCCCCC(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000118723357 322320212 /nfs/dbraw/zinc/32/02/12/322320212.db2.gz IBTXEMOYASCMBT-UHFFFAOYSA-N 0 0 294.307 2.900 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1c(C)noc1C ZINC000160116647 322332991 /nfs/dbraw/zinc/33/29/91/322332991.db2.gz YQLVEHTXNOXSOG-SECBINFHSA-N 0 0 293.279 2.674 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2nnc(C3CC3)o2)nc1 ZINC000160290549 322335941 /nfs/dbraw/zinc/33/59/41/322335941.db2.gz SMMONNVKPDDMQR-UHFFFAOYSA-N 0 0 278.293 2.543 20 5 CFBDRN CC[C@@H](C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161293297 322349861 /nfs/dbraw/zinc/34/98/61/322349861.db2.gz BBILYLNQBGSDFO-SNVBAGLBSA-N 0 0 262.309 2.526 20 5 CFBDRN C[C@H](O)C(C)(C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000161417417 322351580 /nfs/dbraw/zinc/35/15/80/322351580.db2.gz MVSHEHRAGWRCFL-VIFPVBQESA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000161691931 322356069 /nfs/dbraw/zinc/35/60/69/322356069.db2.gz SXQUGUPNSVUXOH-GHMZBOCLSA-N 0 0 262.309 2.537 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1[C@@H](C)CC[C@@H]1C ZINC000162001738 322359426 /nfs/dbraw/zinc/35/94/26/322359426.db2.gz NRFCNLDIGRFUIU-QWRGUYRKSA-N 0 0 262.309 2.916 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000162307273 322363256 /nfs/dbraw/zinc/36/32/56/322363256.db2.gz INTKPWIIAAGPIZ-YUMQZZPRSA-N 0 0 254.311 2.669 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000162430048 322365075 /nfs/dbraw/zinc/36/50/75/322365075.db2.gz JNDONAQEVCCNTR-GHMZBOCLSA-N 0 0 262.309 2.537 20 5 CFBDRN C[C@@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000165358980 322384693 /nfs/dbraw/zinc/38/46/93/322384693.db2.gz IOPXZHHJYOZZQT-LLVKDONJSA-N 0 0 292.335 2.620 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000171167981 322401751 /nfs/dbraw/zinc/40/17/51/322401751.db2.gz FTJGIODDTCWNMX-VXGBXAGGSA-N 0 0 293.367 2.871 20 5 CFBDRN COc1cccc(COc2ccc([N+](=O)[O-])cc2OC)n1 ZINC000172319926 322422806 /nfs/dbraw/zinc/42/28/06/322422806.db2.gz AVBQSSOJCPWAJV-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)C(C)C ZINC000172456408 322425558 /nfs/dbraw/zinc/42/55/58/322425558.db2.gz OCGXTKSTQUSCHL-SNVBAGLBSA-N 0 0 280.324 2.625 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000172454664 322425577 /nfs/dbraw/zinc/42/55/77/322425577.db2.gz FFYKMOLGUWDULW-NSHDSACASA-N 0 0 264.325 2.925 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC(C(F)(F)F)C1 ZINC000569556356 322480727 /nfs/dbraw/zinc/48/07/27/322480727.db2.gz QUWSLEBQPICEMF-UHFFFAOYSA-N 0 0 290.241 2.598 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])sc2ncn1CCC1CCCC1 ZINC000569692859 322490415 /nfs/dbraw/zinc/49/04/15/322490415.db2.gz SJUPYMOQVWUOSO-UHFFFAOYSA-N 0 0 293.348 2.947 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000569978369 322507620 /nfs/dbraw/zinc/50/76/20/322507620.db2.gz FNXIAPZEPGGUIX-ZWNOBZJWSA-N 0 0 252.314 2.804 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H](F)C2)n1 ZINC000570326908 322526388 /nfs/dbraw/zinc/52/63/88/322526388.db2.gz LFVBQVSKQDZXHC-VHSXEESVSA-N 0 0 253.277 2.991 20 5 CFBDRN CCc1ccc(C(=O)N2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000570856309 322555771 /nfs/dbraw/zinc/55/57/71/322555771.db2.gz HPYBYJOIWZLQRB-GFCCVEGCSA-N 0 0 280.299 2.731 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1(OC)CCC1 ZINC000571462915 322584165 /nfs/dbraw/zinc/58/41/65/322584165.db2.gz ZZYCIKMVNSGINS-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1C[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000571597778 322588770 /nfs/dbraw/zinc/58/87/70/322588770.db2.gz UYQNFWXQGNCZFB-QCZZGDTMSA-N 0 0 274.320 2.613 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCC(=O)N[C@H](C)C(C)C ZINC000572927484 322637032 /nfs/dbraw/zinc/63/70/32/322637032.db2.gz PKXCOOAERNVNAF-LLVKDONJSA-N 0 0 293.367 2.730 20 5 CFBDRN CCCc1nc(COc2cc(OC)ccc2[N+](=O)[O-])no1 ZINC000574156654 322683044 /nfs/dbraw/zinc/68/30/44/322683044.db2.gz DZJPHQHMKFZSEM-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)C(C)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000574488883 322694554 /nfs/dbraw/zinc/69/45/54/322694554.db2.gz DHGOEIYHGKPJQF-BDAKNGLRSA-N 0 0 286.278 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)cn1 ZINC000575005079 322714859 /nfs/dbraw/zinc/71/48/59/322714859.db2.gz AEPNQABLGKEYSZ-IACUBPJLSA-N 0 0 289.335 2.749 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)C1CC1 ZINC000575408320 322725657 /nfs/dbraw/zinc/72/56/57/322725657.db2.gz KQOXNEPRSLSIEZ-QMMMGPOBSA-N 0 0 263.297 2.621 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000575416856 322725790 /nfs/dbraw/zinc/72/57/90/322725790.db2.gz ZAKKNVBLZPKFFR-MRVPVSSYSA-N 0 0 272.301 2.539 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC(c2nnco2)CC1 ZINC000575908124 322733910 /nfs/dbraw/zinc/73/39/10/322733910.db2.gz IVOPSEAWHRVXHV-UHFFFAOYSA-N 0 0 292.270 2.501 20 5 CFBDRN C[C@H]1CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000175973481 323671516 /nfs/dbraw/zinc/67/15/16/323671516.db2.gz DFVIFLNGCYEXQX-RYUDHWBXSA-N 0 0 291.351 2.925 20 5 CFBDRN O=C([C@@H]1C[C@H]1C1CC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000176595361 323680129 /nfs/dbraw/zinc/68/01/29/323680129.db2.gz COHFTZMUQBYCNP-QWHCGFSZSA-N 0 0 272.304 2.530 20 5 CFBDRN O=C([C@@H]1C[C@@H]1C1CC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000177416326 323695948 /nfs/dbraw/zinc/69/59/48/323695948.db2.gz JMTOMZPEJWCBDD-VXGBXAGGSA-N 0 0 272.304 2.530 20 5 CFBDRN CC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 ZINC000180283274 323777399 /nfs/dbraw/zinc/77/73/99/323777399.db2.gz BJZQIVBXISEONP-UHFFFAOYSA-N 0 0 277.305 2.701 20 5 CFBDRN O=c1ccn(CCSc2ccccc2)cc1[N+](=O)[O-] ZINC000182891129 323888006 /nfs/dbraw/zinc/88/80/06/323888006.db2.gz AENYGQNZHMAWMY-UHFFFAOYSA-N 0 0 276.317 2.549 20 5 CFBDRN C[C@H](C(=O)N1CCCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000184877142 323954895 /nfs/dbraw/zinc/95/48/95/323954895.db2.gz HSZBRWDQLNYNPU-JTQLQIEISA-N 0 0 280.299 2.850 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000186570080 323982647 /nfs/dbraw/zinc/98/26/47/323982647.db2.gz FUKWEMBIAZKTIV-ZFWWWQNUSA-N 0 0 276.336 2.893 20 5 CFBDRN CSc1cccc(C(=O)N2CCSCC2)c1[N+](=O)[O-] ZINC000188063889 324007220 /nfs/dbraw/zinc/00/72/20/324007220.db2.gz YEVDPBXSJOPBDU-UHFFFAOYSA-N 0 0 298.389 2.506 20 5 CFBDRN Cc1ccn(C)c1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000188894864 324022366 /nfs/dbraw/zinc/02/23/66/324022366.db2.gz IJEPIYKUKATDBG-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN Cc1cccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])n1 ZINC000192716309 324064206 /nfs/dbraw/zinc/06/42/06/324064206.db2.gz VXFVRUPLHQAYSP-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cccnc1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000195257344 324104358 /nfs/dbraw/zinc/10/43/58/324104358.db2.gz GUXSHWNDLPDOMY-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN CC(C)CCc1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000349867951 324190960 /nfs/dbraw/zinc/19/09/60/324190960.db2.gz YPNJJSVOFNJEDM-UHFFFAOYSA-N 0 0 264.285 2.572 20 5 CFBDRN CCc1[nH]nc(-c2nc(Cc3ccccc3)no2)c1[N+](=O)[O-] ZINC000350535927 324225263 /nfs/dbraw/zinc/22/52/63/324225263.db2.gz KGEJKOBHXIQZGF-UHFFFAOYSA-N 0 0 299.290 2.521 20 5 CFBDRN CCc1[nH]nc(-c2nc(-c3cccs3)no2)c1[N+](=O)[O-] ZINC000350534986 324225509 /nfs/dbraw/zinc/22/55/09/324225509.db2.gz IZRLRDSLAOONOW-UHFFFAOYSA-N 0 0 291.292 2.659 20 5 CFBDRN C[C@H]1OCC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350841128 324265876 /nfs/dbraw/zinc/26/58/76/324265876.db2.gz WEJMRTAULUJYQN-RQJHMYQMSA-N 0 0 281.293 2.599 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(-c2ccoc2)no1 ZINC000350841286 324265897 /nfs/dbraw/zinc/26/58/97/324265897.db2.gz NEXICFHERFDRSP-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc([C@H]3CCCOC3)no2)c1 ZINC000350866994 324271636 /nfs/dbraw/zinc/27/16/36/324271636.db2.gz OKXZCGKCBBMIIX-JTQLQIEISA-N 0 0 275.264 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nc(-c3cocn3)no2)cc1 ZINC000350873197 324273056 /nfs/dbraw/zinc/27/30/56/324273056.db2.gz LZCBXJVMHRUPOG-ZZXKWVIFSA-N 0 0 284.231 2.803 20 5 CFBDRN C[C@H]1CCC[C@@H](c2nc(-c3c([N+](=O)[O-])ncn3C)no2)C1 ZINC000350900309 324279276 /nfs/dbraw/zinc/27/92/76/324279276.db2.gz LCJDWRHICJUMBQ-DTWKUNHWSA-N 0 0 291.311 2.672 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@H]3CC[C@H](C)C3)n2)n1C ZINC000350925432 324284084 /nfs/dbraw/zinc/28/40/84/324284084.db2.gz KETRAYNRDISODN-CBAPKCEASA-N 0 0 291.311 2.590 20 5 CFBDRN CC[C@@H](SC)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350929654 324285155 /nfs/dbraw/zinc/28/51/55/324285155.db2.gz SWELLWGPFDGIFP-SSDOTTSWSA-N 0 0 297.340 2.501 20 5 CFBDRN CCSCCCc1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000351003269 324293975 /nfs/dbraw/zinc/29/39/75/324293975.db2.gz NMXIKAKXHUDAME-UHFFFAOYSA-N 0 0 282.325 2.659 20 5 CFBDRN CS[C@H](C)CCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351143224 324325798 /nfs/dbraw/zinc/32/57/98/324325798.db2.gz FSPXUTJUCKQJNP-SSDOTTSWSA-N 0 0 283.309 2.922 20 5 CFBDRN O=C1CCC(Cc2nc(-c3ccc([N+](=O)[O-])o3)no2)CC1 ZINC000351143934 324326952 /nfs/dbraw/zinc/32/69/52/324326952.db2.gz HLIPXIYSQOSQEK-UHFFFAOYSA-N 0 0 291.263 2.540 20 5 CFBDRN Cc1cc(-c2noc(COC(F)F)n2)cc([N+](=O)[O-])c1 ZINC000351146204 324327497 /nfs/dbraw/zinc/32/74/97/324327497.db2.gz LSVVQJBWWFTXCN-UHFFFAOYSA-N 0 0 285.206 2.692 20 5 CFBDRN CC[C@@H]1CC[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351147297 324327608 /nfs/dbraw/zinc/32/76/08/324327608.db2.gz NZYQOTQASLRHIF-VXNVDRBHSA-N 0 0 279.252 2.868 20 5 CFBDRN Cc1cc(-c2noc([C@@]3(C)CCCO3)n2)cc([N+](=O)[O-])c1 ZINC000351149998 324328995 /nfs/dbraw/zinc/32/89/95/324328995.db2.gz RVAXHNXIBLTNOM-CQSZACIVSA-N 0 0 289.291 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C[C@@H]3CC[C@H]4C[C@H]43)n2)o1 ZINC000351149339 324329330 /nfs/dbraw/zinc/32/93/30/324329330.db2.gz RMTQNAQVXJIEGQ-XHNCKOQMSA-N 0 0 275.264 2.826 20 5 CFBDRN CC(=O)CCCc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351150666 324330135 /nfs/dbraw/zinc/33/01/35/324330135.db2.gz UAHATCMKMHZXFT-UHFFFAOYSA-N 0 0 289.291 2.865 20 5 CFBDRN Cc1c(-c2noc([C@]3(C)CCOC3)n2)cccc1[N+](=O)[O-] ZINC000351152832 324330650 /nfs/dbraw/zinc/33/06/50/324330650.db2.gz XBDZDEWUCNKUIE-CQSZACIVSA-N 0 0 289.291 2.631 20 5 CFBDRN CC[C@@](C)(OC)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351153480 324330853 /nfs/dbraw/zinc/33/08/53/324330853.db2.gz YNQXVMCXHXGQSX-LLVKDONJSA-N 0 0 267.241 2.509 20 5 CFBDRN Cn1c(-c2nc(CC3CC(F)(F)C3)no2)ccc1[N+](=O)[O-] ZINC000351191947 324343529 /nfs/dbraw/zinc/34/35/29/324343529.db2.gz VDSFKCVJMGBWMG-UHFFFAOYSA-N 0 0 298.249 2.571 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@H](C)C3CCC3)n2)n1C ZINC000351230537 324354457 /nfs/dbraw/zinc/35/44/57/324354457.db2.gz ZOONKPGVFCOZHG-SSDOTTSWSA-N 0 0 291.311 2.590 20 5 CFBDRN CCS[C@@H](C)c1noc(-c2n[nH]c(CC)c2[N+](=O)[O-])n1 ZINC000351267009 324365619 /nfs/dbraw/zinc/36/56/19/324365619.db2.gz ILACWTDKYILVMN-LURJTMIESA-N 0 0 297.340 2.744 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCCCO1 ZINC000351277123 324368638 /nfs/dbraw/zinc/36/86/38/324368638.db2.gz PSDIVURQJXEZSG-GFCCVEGCSA-N 0 0 279.252 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CC34CC4)n2)s1 ZINC000351345920 324388989 /nfs/dbraw/zinc/38/89/89/324388989.db2.gz YJAAPTOONXRPTO-LURJTMIESA-N 0 0 263.278 2.974 20 5 CFBDRN C[C@H]1CC[C@H](c2nc(-c3c([N+](=O)[O-])ncn3C)no2)CC1 ZINC000351503987 324398417 /nfs/dbraw/zinc/39/84/17/324398417.db2.gz JHTZKNYQWCZISN-KYZUINATSA-N 0 0 291.311 2.672 20 5 CFBDRN COc1cc(N[C@@H](C)c2ccccc2[N+](=O)[O-])nn1C ZINC000383421941 324438656 /nfs/dbraw/zinc/43/86/56/324438656.db2.gz CPOVPEASFMMGGZ-VIFPVBQESA-N 0 0 276.296 2.510 20 5 CFBDRN COc1cc(N[C@H](C)[C@@H](OC)C2CC2)ccc1[N+](=O)[O-] ZINC000388282011 324503236 /nfs/dbraw/zinc/50/32/36/324503236.db2.gz NDAZQPTUAZPWMP-YMTOWFKASA-N 0 0 280.324 2.829 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@H]2C[C@H](C(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000576530985 324628083 /nfs/dbraw/zinc/62/80/83/324628083.db2.gz WMVMRQNSUIEMMN-HAQNSBGRSA-N 0 0 292.335 2.671 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@@H](C)C[C@H](C)C1 ZINC000576901448 324673239 /nfs/dbraw/zinc/67/32/39/324673239.db2.gz UQWDIHUSPINWAQ-UWVGGRQHSA-N 0 0 266.345 2.602 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000577313910 324721982 /nfs/dbraw/zinc/72/19/82/324721982.db2.gz BGTRACPZFCSTKW-SNVBAGLBSA-N 0 0 266.272 2.736 20 5 CFBDRN CC(C)Oc1nc(N2CCSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000577295614 324722121 /nfs/dbraw/zinc/72/21/21/324722121.db2.gz SZIFWBCLGZLBAF-SNVBAGLBSA-N 0 0 297.380 2.719 20 5 CFBDRN CC[C@H](F)Cn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000578506439 324858593 /nfs/dbraw/zinc/85/85/93/324858593.db2.gz IWJSOVDMKZZOFH-JTQLQIEISA-N 0 0 264.256 2.658 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])c(C)c2)n(C)n1 ZINC000578550910 324865109 /nfs/dbraw/zinc/86/51/09/324865109.db2.gz SLTCJOQYNZWVTL-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1([C@H](O)C(C)C)CC1 ZINC000578668853 324877581 /nfs/dbraw/zinc/87/75/81/324877581.db2.gz RSWZLNQXXDPPJX-CQSZACIVSA-N 0 0 294.351 2.812 20 5 CFBDRN O=c1[nH]cnc2cc(N[C@H]3CCC[C@H]3F)c([N+](=O)[O-])cc12 ZINC000578770589 324888646 /nfs/dbraw/zinc/88/86/46/324888646.db2.gz KMRZLEZPWGYOOP-BDAKNGLRSA-N 0 0 292.270 2.546 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCOCC1CCC1 ZINC000578929425 324902276 /nfs/dbraw/zinc/90/22/76/324902276.db2.gz QBISKVLNPPVEMY-UHFFFAOYSA-N 0 0 294.351 2.970 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@H](C)[C@H](C)[C@H]1C ZINC000579572821 324961185 /nfs/dbraw/zinc/96/11/85/324961185.db2.gz ORVKZNGXNFLQRO-ATZCPNFKSA-N 0 0 266.345 2.600 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000579846573 324985202 /nfs/dbraw/zinc/98/52/02/324985202.db2.gz VVDLQHOYEKKUIK-VHSXEESVSA-N 0 0 298.289 2.798 20 5 CFBDRN C[C@@H](c1nc(-c2ccncn2)no1)c1cccc([N+](=O)[O-])c1 ZINC000580003920 324998201 /nfs/dbraw/zinc/99/82/01/324998201.db2.gz UDWYVNBHOROSHR-SECBINFHSA-N 0 0 297.274 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCS[C@@H]3CCCC[C@H]32)cn1 ZINC000580522159 325042387 /nfs/dbraw/zinc/04/23/87/325042387.db2.gz QNLBEYDUAYHJAA-VXGBXAGGSA-N 0 0 279.365 2.854 20 5 CFBDRN COC[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000580609809 325049855 /nfs/dbraw/zinc/04/98/55/325049855.db2.gz HTUGAFTXCUQILG-ZDUSSCGKSA-N 0 0 295.339 2.696 20 5 CFBDRN COC[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000580609808 325049883 /nfs/dbraw/zinc/04/98/83/325049883.db2.gz HTUGAFTXCUQILG-CYBMUJFWSA-N 0 0 295.339 2.696 20 5 CFBDRN CC(C)[C@H](O)CCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000581426152 325120212 /nfs/dbraw/zinc/12/02/12/325120212.db2.gz HGBMJACKTVICOS-CQSZACIVSA-N 0 0 293.367 2.688 20 5 CFBDRN COC(=O)[C@H](CNc1ccc([N+](=O)[O-])cc1F)CC1CC1 ZINC000581730426 325146954 /nfs/dbraw/zinc/14/69/54/325146954.db2.gz HBDPSGLELKSSAI-JTQLQIEISA-N 0 0 296.298 2.735 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000581760399 325148848 /nfs/dbraw/zinc/14/88/48/325148848.db2.gz JVOLXKWPWJTJDA-MNOVXSKESA-N 0 0 262.309 2.567 20 5 CFBDRN CC[C@@H]1CN(c2c(OC)cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000582013352 325169450 /nfs/dbraw/zinc/16/94/50/325169450.db2.gz IZHXSNDDXOWMLL-GHMZBOCLSA-N 0 0 280.324 2.607 20 5 CFBDRN C[C@@H](C(=O)N1CC(CC(F)F)C1)c1cccc([N+](=O)[O-])c1 ZINC000582118322 325177439 /nfs/dbraw/zinc/17/74/39/325177439.db2.gz SOMZPPCYEVLXKU-SECBINFHSA-N 0 0 298.289 2.812 20 5 CFBDRN CC(C)C[C@@H](C(=O)[O-])[N@H+](C)Cc1cccc([N+](=O)[O-])c1 ZINC000582246789 325188959 /nfs/dbraw/zinc/18/89/59/325188959.db2.gz QMBUBBMROWYDAS-ZDUSSCGKSA-N 0 0 280.324 2.526 20 5 CFBDRN CCOc1cc(NCc2ncc(C)cn2)ccc1[N+](=O)[O-] ZINC000582311583 325195308 /nfs/dbraw/zinc/19/53/08/325195308.db2.gz QNEXZEKBMRCALD-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CCc1[nH]nc(N[C@@H]2CCc3c2cccc3[N+](=O)[O-])c1C ZINC000582370124 325199754 /nfs/dbraw/zinc/19/97/54/325199754.db2.gz GWSVIRNYDQOYPZ-CYBMUJFWSA-N 0 0 286.335 2.710 20 5 CFBDRN CNc1ccc(C(=O)N2CC(C)(C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000582533186 325212451 /nfs/dbraw/zinc/21/24/51/325212451.db2.gz QQFORGUNYXKUGR-SNVBAGLBSA-N 0 0 291.351 2.897 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000583447476 325288955 /nfs/dbraw/zinc/28/89/55/325288955.db2.gz GEGZPZBIEHACBH-NSHDSACASA-N 0 0 296.298 2.568 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2C2CCOCC2)c(F)c1 ZINC000583486560 325290843 /nfs/dbraw/zinc/29/08/43/325290843.db2.gz JMGOGJUWLJMIEU-CYBMUJFWSA-N 0 0 295.314 2.524 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@H]2CCC[C@@H]21 ZINC000583833009 325313458 /nfs/dbraw/zinc/31/34/58/325313458.db2.gz XEGDXCMNAMTFKN-AAEUAGOBSA-N 0 0 289.335 2.641 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000584115889 325331734 /nfs/dbraw/zinc/33/17/34/325331734.db2.gz YMMJGMOLLRMRCX-ZJUUUORDSA-N 0 0 295.314 2.647 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000584117932 325332037 /nfs/dbraw/zinc/33/20/37/325332037.db2.gz ZZUZAPHWAKKKQA-ZANVPECISA-N 0 0 292.339 2.680 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000584242553 325340873 /nfs/dbraw/zinc/34/08/73/325340873.db2.gz XYODGAVBSNXGHP-IINYFYTJSA-N 0 0 292.335 2.607 20 5 CFBDRN C[C@H](C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C)C1CC1 ZINC000178422168 335431195 /nfs/dbraw/zinc/43/11/95/335431195.db2.gz HGBPYYJHCOOHAK-UWVGGRQHSA-N 0 0 274.320 2.919 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCC1(Br)CC1 ZINC000829056350 782119163 /nfs/dbraw/zinc/11/91/63/782119163.db2.gz LXXHGUYEFKGWFC-UHFFFAOYSA-N 0 0 272.098 2.901 20 5 CFBDRN CC(C)CN(C)c1ncc([N+](=O)[O-])cc1Br ZINC000301557265 519709269 /nfs/dbraw/zinc/70/92/69/519709269.db2.gz SPWRKOZBUOWNHC-UHFFFAOYSA-N 0 0 288.145 2.845 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000295406532 500725608 /nfs/dbraw/zinc/72/56/08/500725608.db2.gz TVZXLSQZFVNMTF-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1c(NC(=O)CCC2(O)CCC2)cccc1[N+](=O)[O-] ZINC000600892706 500949053 /nfs/dbraw/zinc/94/90/53/500949053.db2.gz YQBSRVWEVBYHNY-UHFFFAOYSA-N 0 0 278.308 2.537 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CCCC1(F)F ZINC000334576907 500950817 /nfs/dbraw/zinc/95/08/17/500950817.db2.gz FZUKWGQSYIXBRK-SECBINFHSA-N 0 0 272.251 2.500 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000194170474 521809371 /nfs/dbraw/zinc/80/93/71/521809371.db2.gz KYJWTOXEXBUEHE-WDEREUQCSA-N 0 0 280.324 2.524 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cc1 ZINC000175673551 533756318 /nfs/dbraw/zinc/75/63/18/533756318.db2.gz FPAFEFGMPGCVNP-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN C[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1cccc(O)c1 ZINC000171305478 533779255 /nfs/dbraw/zinc/77/92/55/533779255.db2.gz OIAQHRDPODJQHK-QMMMGPOBSA-N 0 0 292.316 2.853 20 5 CFBDRN COC[C@@H](C)CNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000234678592 522206754 /nfs/dbraw/zinc/20/67/54/522206754.db2.gz BSQLJUCGYNPUTH-ZETCQYMHSA-N 0 0 293.245 2.703 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1)c1cc2n(n1)CCC2 ZINC000414068359 533925858 /nfs/dbraw/zinc/92/58/58/533925858.db2.gz AYZDLIOYTKLLMT-NSHDSACASA-N 0 0 286.335 2.588 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCc1ccsc1 ZINC000413001177 533960429 /nfs/dbraw/zinc/96/04/29/533960429.db2.gz KDCOWGXZMGQZIV-UHFFFAOYSA-N 0 0 278.333 2.759 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420626095 534243273 /nfs/dbraw/zinc/24/32/73/534243273.db2.gz MJXJQVDTKWPUBZ-ZJUUUORDSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2ncc([N+](=O)[O-])cc2F)C1 ZINC000413259225 534243341 /nfs/dbraw/zinc/24/33/41/534243341.db2.gz DBJYAZDACCBIHF-BDAKNGLRSA-N 0 0 253.277 2.977 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCCCS1 ZINC000336812084 517875185 /nfs/dbraw/zinc/87/51/85/517875185.db2.gz QBCBEHDFCJLSCB-JTQLQIEISA-N 0 0 284.385 2.817 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCOC(C)(C)C2)n1 ZINC000413341221 534327422 /nfs/dbraw/zinc/32/74/22/534327422.db2.gz SYUQMGKQMNAWPB-LLVKDONJSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1ccn(CCc2ccc(F)c(F)c2)c(=O)c1[N+](=O)[O-] ZINC000155901052 534342043 /nfs/dbraw/zinc/34/20/43/534342043.db2.gz UBNSDVMMCIMSOB-UHFFFAOYSA-N 0 0 294.257 2.586 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCc1cc(C)no1 ZINC000160777758 518292942 /nfs/dbraw/zinc/29/29/42/518292942.db2.gz GIZSEVTXKJNQFQ-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ncncc1Cl ZINC000175081226 534345611 /nfs/dbraw/zinc/34/56/11/534345611.db2.gz YRNLTTLCJAWXGK-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC(=O)c1ccc(OCCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000338557224 518393558 /nfs/dbraw/zinc/39/35/58/518393558.db2.gz LZPLCAVTRMATSI-UHFFFAOYSA-N 0 0 293.319 2.993 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)Cc1nccs1 ZINC000360993840 518411777 /nfs/dbraw/zinc/41/17/77/518411777.db2.gz FEGGXRLUTBUBKA-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN CC(C)(C)/C=C\C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000491647923 534407168 /nfs/dbraw/zinc/40/71/68/534407168.db2.gz XIZXDRYHGKVKGD-HJWRWDBZSA-N 0 0 262.309 2.813 20 5 CFBDRN CC(C)=CCNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000276831223 519439758 /nfs/dbraw/zinc/43/97/58/519439758.db2.gz VDLFGULNMFQOCP-UHFFFAOYSA-N 0 0 291.307 2.930 20 5 CFBDRN CC(C)N(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000173149468 519562282 /nfs/dbraw/zinc/56/22/82/519562282.db2.gz SUMHVKZWRAYAMM-UHFFFAOYSA-N 0 0 276.336 2.927 20 5 CFBDRN CC(C)CCN(C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037706 519650165 /nfs/dbraw/zinc/65/01/65/519650165.db2.gz PAUIVNMGAVFQNP-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN CC(C)CCNC(=O)[C@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418515 519661115 /nfs/dbraw/zinc/66/11/15/519661115.db2.gz ZJLWKNUOHCSAOL-JTQLQIEISA-N 0 0 297.380 2.633 20 5 CFBDRN CC(C)NC(=O)[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000229196792 519715550 /nfs/dbraw/zinc/71/55/50/519715550.db2.gz WRTAAAUNBRMPST-QMMMGPOBSA-N 0 0 285.731 2.573 20 5 CFBDRN Cc1nc(N2C[C@@H](O)C[C@@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000413498831 534449529 /nfs/dbraw/zinc/44/95/29/534449529.db2.gz HVVHDURZMZFVFA-DZGCQCFKSA-N 0 0 299.330 2.611 20 5 CFBDRN CC(C)c1noc(CSc2ncccc2[N+](=O)[O-])n1 ZINC000067649388 519905764 /nfs/dbraw/zinc/90/57/64/519905764.db2.gz YTOSBBPETGZPHY-UHFFFAOYSA-N 0 0 280.309 2.789 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@H]1CCCC[C@H]1C ZINC000340129467 520091223 /nfs/dbraw/zinc/09/12/23/520091223.db2.gz DWJNWGUUKWBTKQ-ZYHUDNBSSA-N 0 0 279.340 2.964 20 5 CFBDRN CCCCCNC(=O)[C@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418503 520217353 /nfs/dbraw/zinc/21/73/53/520217353.db2.gz SEUONXSYNIDVMY-JTQLQIEISA-N 0 0 297.380 2.777 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000193114687 520256826 /nfs/dbraw/zinc/25/68/26/520256826.db2.gz RDFCNOYEPJKGLW-JTQLQIEISA-N 0 0 266.297 2.575 20 5 CFBDRN CC1(C)CC(Cc2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)C1 ZINC000361364346 520283076 /nfs/dbraw/zinc/28/30/76/520283076.db2.gz KFBZYNCDTWDHRX-UHFFFAOYSA-N 0 0 276.296 2.952 20 5 CFBDRN Cc1nc(NC(=O)c2cc(F)ccc2F)ccc1[N+](=O)[O-] ZINC000175666158 534481264 /nfs/dbraw/zinc/48/12/64/534481264.db2.gz LJKCTKOMQIUYOX-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000174971167 520359829 /nfs/dbraw/zinc/35/98/29/520359829.db2.gz GDRPVMRQTZNQOE-CYBMUJFWSA-N 0 0 291.351 2.702 20 5 CFBDRN CC1(C)CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000334806274 520371664 /nfs/dbraw/zinc/37/16/64/520371664.db2.gz IVUUQXQUEWQEQS-UONOGXRCSA-N 0 0 288.347 2.957 20 5 CFBDRN CC1(C)CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000334259436 520375962 /nfs/dbraw/zinc/37/59/62/520375962.db2.gz QIYCXJUKLMKODK-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000162591479 520391605 /nfs/dbraw/zinc/39/16/05/520391605.db2.gz MJGGXFABRGUYDO-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN CCCCN(CC)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000173057140 520459430 /nfs/dbraw/zinc/45/94/30/520459430.db2.gz GEZFZXLMAFTABV-UHFFFAOYSA-N 0 0 293.367 2.680 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000181106355 520685415 /nfs/dbraw/zinc/68/54/15/520685415.db2.gz WXCVBMFZBDPUSK-SNVBAGLBSA-N 0 0 294.307 2.632 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000338692269 520866834 /nfs/dbraw/zinc/86/68/34/520866834.db2.gz ZDXPCGCKIGSHSA-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN CCC[C@](C)(CO)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000304750184 521270562 /nfs/dbraw/zinc/27/05/62/521270562.db2.gz WXRBPJIBMPKGDF-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)CC)c1 ZINC000338409888 521277011 /nfs/dbraw/zinc/27/70/11/521277011.db2.gz LARYLRZFTGXCHT-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@](C)(F)C2)c1 ZINC000338550109 521280264 /nfs/dbraw/zinc/28/02/64/521280264.db2.gz AHSKRCIDEATYAM-AWEZNQCLSA-N 0 0 296.298 2.568 20 5 CFBDRN CCOc1cccc(NC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000340329587 521397264 /nfs/dbraw/zinc/39/72/64/521397264.db2.gz XQCSGWPPPRLDEQ-UHFFFAOYSA-N 0 0 289.291 2.584 20 5 CFBDRN CCCN(C(=O)c1cc(C)no1)c1cccc([N+](=O)[O-])c1 ZINC000194135224 521440435 /nfs/dbraw/zinc/44/04/35/521440435.db2.gz IQPNISNQOYDBRZ-UHFFFAOYSA-N 0 0 289.291 2.948 20 5 CFBDRN CCC(CC)(CNc1ncc(C)cc1[N+](=O)[O-])OC ZINC000311426222 521445916 /nfs/dbraw/zinc/44/59/16/521445916.db2.gz BPYFJNZLBDJIPJ-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN CCCN(C)C(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000186337817 521472149 /nfs/dbraw/zinc/47/21/49/521472149.db2.gz JFFUIQDUHUBOEQ-UHFFFAOYSA-N 0 0 268.338 2.799 20 5 CFBDRN CCCN(CC(C)C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000339197585 521582187 /nfs/dbraw/zinc/58/21/87/521582187.db2.gz BFRMLFRDTNQIQX-UHFFFAOYSA-N 0 0 279.340 2.806 20 5 CFBDRN CCC(CC)NC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050697474 521592965 /nfs/dbraw/zinc/59/29/65/521592965.db2.gz URCNNWHSABQSOO-UHFFFAOYSA-N 0 0 285.731 2.749 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCC(C)(C)C ZINC000339956027 521792776 /nfs/dbraw/zinc/79/27/76/521792776.db2.gz ZKIAGHMPTOPBJE-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000302994836 521817010 /nfs/dbraw/zinc/81/70/10/521817010.db2.gz JVFKCACDRYYNTC-VHSXEESVSA-N 0 0 250.298 2.759 20 5 CFBDRN CNC(=O)c1cccc(CNc2cccc([N+](=O)[O-])c2)c1 ZINC000076652226 521890151 /nfs/dbraw/zinc/89/01/51/521890151.db2.gz VMJNDIHUBLZMJO-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CC[C@@H](C)C(=O)COc1cccc([N+](=O)[O-])c1C ZINC000133104711 521901937 /nfs/dbraw/zinc/90/19/37/521901937.db2.gz XADUXTUFFUUEIA-SECBINFHSA-N 0 0 251.282 2.897 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc([N+](=O)[O-])ccc1NC ZINC000050748635 521964443 /nfs/dbraw/zinc/96/44/43/521964443.db2.gz BOXBRLIHIJOTMT-SNVBAGLBSA-N 0 0 279.340 2.755 20 5 CFBDRN CCc1ccnc(C(=O)NCc2cccc([N+](=O)[O-])c2C)c1 ZINC000360527140 521981629 /nfs/dbraw/zinc/98/16/29/521981629.db2.gz WXLAJPCDWNNPBP-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361642464 522006626 /nfs/dbraw/zinc/00/66/26/522006626.db2.gz JCJSTQDTJZAUKC-UFBFGSQYSA-N 0 0 284.287 2.734 20 5 CFBDRN CCc1cnc(NC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000081231748 522055715 /nfs/dbraw/zinc/05/57/15/522055715.db2.gz JQMAAQXEFFIEQO-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CCc1cnccc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000180546743 522068747 /nfs/dbraw/zinc/06/87/47/522068747.db2.gz SSKDOVMSRJLZHF-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN COc1cc(C(=O)N2C[C@H]3CCCC[C@H]32)ccc1[N+](=O)[O-] ZINC000365772630 522097428 /nfs/dbraw/zinc/09/74/28/522097428.db2.gz ORGSDZSYCMWLKW-VXGBXAGGSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000333973343 522145288 /nfs/dbraw/zinc/14/52/88/522145288.db2.gz DJZUGGWLDUCCPH-CABZTGNLSA-N 0 0 292.335 2.830 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000156953728 522147493 /nfs/dbraw/zinc/14/74/93/522147493.db2.gz TWGWTRMYVIBZAE-ONGXEEELSA-N 0 0 278.308 2.522 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC2=CCCC2)n1 ZINC000228770577 522175610 /nfs/dbraw/zinc/17/56/10/522175610.db2.gz LDNJVFBDUCFOLM-UHFFFAOYSA-N 0 0 291.307 2.689 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H](C)SC)c1 ZINC000167342065 522177346 /nfs/dbraw/zinc/17/73/46/522177346.db2.gz REABCLGMEQTJFB-QMMMGPOBSA-N 0 0 284.337 2.545 20 5 CFBDRN Cc1cnc([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000177946379 534618603 /nfs/dbraw/zinc/61/86/03/534618603.db2.gz YVGBAVNLGQNZRJ-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN CC[C@H](COC)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214000739 522265179 /nfs/dbraw/zinc/26/51/79/522265179.db2.gz RGYDMKIPDXLTDY-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CN(C)c1cccc(CN(C)c2ccncc2[N+](=O)[O-])c1 ZINC000361043253 522266138 /nfs/dbraw/zinc/26/61/38/522266138.db2.gz DFEWQDPOVRLHSB-UHFFFAOYSA-N 0 0 286.335 2.692 20 5 CFBDRN COc1cc(C)nc(CNCc2ccccc2[N+](=O)[O-])c1 ZINC000213481863 522292216 /nfs/dbraw/zinc/29/22/16/522292216.db2.gz YYDYFLHQCZGDGH-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN CC[C@H](Cc1ccccc1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000360250036 522309499 /nfs/dbraw/zinc/30/94/99/522309499.db2.gz GOXDYJGDJPVRAJ-CYBMUJFWSA-N 0 0 288.351 2.786 20 5 CFBDRN CC[C@@H](C)n1ncc(NC(=O)c2ccc([N+](=O)[O-])o2)c1C ZINC000361308846 522459237 /nfs/dbraw/zinc/45/92/37/522459237.db2.gz HCABIVZYKNXHGT-MRVPVSSYSA-N 0 0 292.295 2.916 20 5 CFBDRN COC(C)(C)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000160618951 522496727 /nfs/dbraw/zinc/49/67/27/522496727.db2.gz SSYNEPNHPCCKQO-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN CNc1c(C(=O)NC[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000230551084 522539539 /nfs/dbraw/zinc/53/95/39/522539539.db2.gz RCGGHKFJVGXIMY-GHMZBOCLSA-N 0 0 291.351 2.803 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000161434000 522539551 /nfs/dbraw/zinc/53/95/51/522539551.db2.gz PPIUFUJAEFFSCK-GHMZBOCLSA-N 0 0 291.351 2.945 20 5 CFBDRN CNc1c(C(=O)NC[C@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000230713933 522540669 /nfs/dbraw/zinc/54/06/69/522540669.db2.gz BQHVNGQMOVPDMQ-GHMZBOCLSA-N 0 0 291.351 2.803 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000158338482 522543808 /nfs/dbraw/zinc/54/38/08/522543808.db2.gz CCQZEYMUZDDLFY-AWEZNQCLSA-N 0 0 285.303 2.871 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000360419575 522652339 /nfs/dbraw/zinc/65/23/39/522652339.db2.gz JLLFMCFILHVCTC-VHSXEESVSA-N 0 0 280.324 2.760 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000360391368 522661356 /nfs/dbraw/zinc/66/13/56/522661356.db2.gz GOJJFKPPHVQZFW-QWRGUYRKSA-N 0 0 280.324 2.760 20 5 CFBDRN CCc1nn(C)c(N[C@H]2C[C@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000091562316 522670086 /nfs/dbraw/zinc/67/00/86/522670086.db2.gz RHTZKYMESZNRRX-AAEUAGOBSA-N 0 0 286.335 2.859 20 5 CFBDRN COc1cc(NCCc2cscn2)c(F)cc1[N+](=O)[O-] ZINC000218858756 522744270 /nfs/dbraw/zinc/74/42/70/522744270.db2.gz ZWXKCTDJFIURBL-UHFFFAOYSA-N 0 0 297.311 2.854 20 5 CFBDRN COc1cc([C@@H](C)Nc2ncccc2[N+](=O)[O-])ccn1 ZINC000340887094 522913438 /nfs/dbraw/zinc/91/34/38/522913438.db2.gz DEYWPHJXXMUDRM-SECBINFHSA-N 0 0 274.280 2.567 20 5 CFBDRN COC(=O)CC1CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000338657321 522938947 /nfs/dbraw/zinc/93/89/47/522938947.db2.gz QJIAYSXNPRCEJL-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN COc1cc([C@H](C)Nc2ncc([N+](=O)[O-])s2)ccn1 ZINC000340935431 522949731 /nfs/dbraw/zinc/94/97/31/522949731.db2.gz NUEFQVZPYDEKJV-ZETCQYMHSA-N 0 0 280.309 2.628 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H](O)c1ccccc1 ZINC000050505001 522984406 /nfs/dbraw/zinc/98/44/06/522984406.db2.gz IDUIBEKMOVTAKB-CYBMUJFWSA-N 0 0 289.287 2.716 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000340553281 523106674 /nfs/dbraw/zinc/10/66/74/523106674.db2.gz MEPHUJGPYJCFCA-NSHDSACASA-N 0 0 278.308 2.618 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H](C(C)(C)C)C1 ZINC000361046933 523143560 /nfs/dbraw/zinc/14/35/60/523143560.db2.gz UCEONKFHADSOJP-NSHDSACASA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CC(C)(C)OC1(C)C ZINC000361046074 523145421 /nfs/dbraw/zinc/14/54/21/523145421.db2.gz ZOYGKZPNLKCLSA-SNVBAGLBSA-N 0 0 296.371 2.878 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCO[C@H](C(C)C)C1 ZINC000361060116 523146635 /nfs/dbraw/zinc/14/66/35/523146635.db2.gz UOSMKRQTKXHNAO-RYUDHWBXSA-N 0 0 296.371 2.735 20 5 CFBDRN COCc1cnc(NC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000340804836 523152043 /nfs/dbraw/zinc/15/20/43/523152043.db2.gz IKNNABTXTSKERF-UHFFFAOYSA-N 0 0 299.333 2.512 20 5 CFBDRN COCCCCc1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000356332385 523190531 /nfs/dbraw/zinc/19/05/31/523190531.db2.gz GBMSJZPLSXOFNM-UHFFFAOYSA-N 0 0 291.307 2.538 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334798372 523262112 /nfs/dbraw/zinc/26/21/12/523262112.db2.gz DUSPURRHGBGTDS-LLVKDONJSA-N 0 0 266.272 2.749 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000156201904 523294541 /nfs/dbraw/zinc/29/45/41/523294541.db2.gz NMRXKZUHZBWUTR-MFKMUULPSA-N 0 0 262.309 2.903 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000334763107 523364779 /nfs/dbraw/zinc/36/47/79/523364779.db2.gz YQUZUHQVWCGCLK-WDEREUQCSA-N 0 0 279.340 2.584 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1CCCC(F)(F)C1 ZINC000427353219 534704781 /nfs/dbraw/zinc/70/47/81/534704781.db2.gz XBXQTRFJMJFLJE-NSHDSACASA-N 0 0 298.289 2.831 20 5 CFBDRN CO[C@@H](C)[C@H](C)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000188038404 523438047 /nfs/dbraw/zinc/43/80/47/523438047.db2.gz RTUIEKWQOKFWCS-QWRGUYRKSA-N 0 0 295.339 2.757 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000336433062 523538428 /nfs/dbraw/zinc/53/84/28/523538428.db2.gz XWRFCNDMPBKMRL-PSASIEDQSA-N 0 0 268.338 2.917 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1F)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000156740864 523641586 /nfs/dbraw/zinc/64/15/86/523641586.db2.gz ZAWJPYHJLSKAHN-GDLCADMTSA-N 0 0 294.326 2.984 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Nc1ccc(OC)cc1 ZINC000195328860 523667940 /nfs/dbraw/zinc/66/79/40/523667940.db2.gz NBSLERDSJMBRSU-UHFFFAOYSA-N 0 0 276.296 2.872 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000231683660 523701852 /nfs/dbraw/zinc/70/18/52/523701852.db2.gz MDLHQHPXISCRHA-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN CCc1c(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)ccn1C ZINC000362206657 523702803 /nfs/dbraw/zinc/70/28/03/523702803.db2.gz DPBSUTPIXPAIQS-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000133960973 523725671 /nfs/dbraw/zinc/72/56/71/523725671.db2.gz TZRZQJKHKIUWOL-RNCFNFMXSA-N 0 0 263.297 2.673 20 5 CFBDRN COC(=O)[C@H](C)CSCc1ccc([N+](=O)[O-])cc1OC ZINC000362341444 523873310 /nfs/dbraw/zinc/87/33/10/523873310.db2.gz WJBMJWUXYJADQS-SECBINFHSA-N 0 0 299.348 2.646 20 5 CFBDRN CN(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CC1(C)C ZINC000362415544 523889063 /nfs/dbraw/zinc/88/90/63/523889063.db2.gz CCBLMWVXMZGBTK-NSHDSACASA-N 0 0 263.297 2.857 20 5 CFBDRN CC[C@H](C)N(C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000339936281 523911096 /nfs/dbraw/zinc/91/10/96/523911096.db2.gz BWMYGMOSRMXQGZ-JTQLQIEISA-N 0 0 295.339 2.543 20 5 CFBDRN CN(C(=O)[C@H]1C[C@@H]1c1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000158352347 523911226 /nfs/dbraw/zinc/91/12/26/523911226.db2.gz BCIUQRGTWRIJTD-STQMWFEESA-N 0 0 286.287 2.954 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000360392527 523912480 /nfs/dbraw/zinc/91/24/80/523912480.db2.gz YGYWTJDWNYBZCI-VIFPVBQESA-N 0 0 281.312 2.866 20 5 CFBDRN CN(C(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000050037440 523920174 /nfs/dbraw/zinc/92/01/74/523920174.db2.gz GHEOBWOVENXESM-UHFFFAOYSA-N 0 0 284.262 2.888 20 5 CFBDRN CCc1ccc(COc2ccc([N+](=O)[O-])c(CO)c2)nc1 ZINC000338567506 523950341 /nfs/dbraw/zinc/95/03/41/523950341.db2.gz PCEGGJKKEAFVKK-UHFFFAOYSA-N 0 0 288.303 2.624 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000338462958 523966529 /nfs/dbraw/zinc/96/65/29/523966529.db2.gz VGZWSKYXLDTWQW-OLZOCXBDSA-N 0 0 278.308 2.739 20 5 CFBDRN CO[C@H]1CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000172020618 523973143 /nfs/dbraw/zinc/97/31/43/523973143.db2.gz QKRQXHLIBQWKSI-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1cccnc1 ZINC000362345509 524057472 /nfs/dbraw/zinc/05/74/72/524057472.db2.gz NNAKRBMTGMIUAN-UHFFFAOYSA-N 0 0 285.303 2.733 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1cn(C(C)C)nn1 ZINC000315113985 524057963 /nfs/dbraw/zinc/05/79/63/524057963.db2.gz JNOPHIVYQVHFRF-UHFFFAOYSA-N 0 0 289.339 2.942 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000362020655 524116396 /nfs/dbraw/zinc/11/63/96/524116396.db2.gz PUBOAPKWSYMGMZ-QMMMGPOBSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)n1 ZINC000339188875 524218749 /nfs/dbraw/zinc/21/87/49/524218749.db2.gz NCCRVCTXSSMIJT-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cc(C)c(C(=O)Cn2cc([N+](=O)[O-])cn2)c(C)c1 ZINC000055386900 524221084 /nfs/dbraw/zinc/22/10/84/524221084.db2.gz RIFYBYMDIZDBTR-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN Cc1cc(C)c(C(=O)NCc2ccc([N+](=O)[O-])cc2)o1 ZINC000175658880 524235066 /nfs/dbraw/zinc/23/50/66/524235066.db2.gz JWAKPAGXTJTYTL-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000230695559 524329438 /nfs/dbraw/zinc/32/94/38/524329438.db2.gz KZZTWWYIPCARND-MWLCHTKSSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@H]1C1CC1 ZINC000335010010 524337222 /nfs/dbraw/zinc/33/72/22/524337222.db2.gz ZRMXSOLVUSVDRW-ZDUSSCGKSA-N 0 0 274.320 2.836 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1ccnc(C)n1 ZINC000159728732 524340683 /nfs/dbraw/zinc/34/06/83/524340683.db2.gz HDOZHFJEVOLWIU-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1ccc(CC[C@@H](C)Nc2c([N+](=O)[O-])c(C)nn2C)o1 ZINC000361290620 524348721 /nfs/dbraw/zinc/34/87/21/524348721.db2.gz SMOGQUZYEARNDA-SECBINFHSA-N 0 0 292.339 2.971 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000362009701 524410177 /nfs/dbraw/zinc/41/01/77/524410177.db2.gz ICQPCVQCZWPJIU-QMMMGPOBSA-N 0 0 290.241 2.594 20 5 CFBDRN C[C@@H](CC(F)(F)F)Nc1ncc([N+](=O)[O-])s1 ZINC000235120539 524411010 /nfs/dbraw/zinc/41/10/10/524411010.db2.gz KKMGBIJRIAPYKA-BYPYZUCNSA-N 0 0 255.221 2.804 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000361978027 524412417 /nfs/dbraw/zinc/41/24/17/524412417.db2.gz BXXHZVSUMWAEQH-YFKPBYRVSA-N 0 0 282.243 2.727 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)[C@H]1C ZINC000335785196 524469160 /nfs/dbraw/zinc/46/91/60/524469160.db2.gz QDXZWZXCUMJKFO-BDAKNGLRSA-N 0 0 281.287 2.996 20 5 CFBDRN Cc1cccc(Cl)c1NC(=O)c1cc([N+](=O)[O-])nn1C ZINC000360748518 524489406 /nfs/dbraw/zinc/48/94/06/524489406.db2.gz WQEUKORVEDFFTJ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C[C@H](CO)CNC(=O)c1cc2ccccc2c2cccnc12 ZINC000305223342 524530289 /nfs/dbraw/zinc/53/02/89/524530289.db2.gz URJYLXNKNRVIAH-LBPRGKRZSA-N 0 0 294.354 2.746 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)CSC)c([N+](=O)[O-])c1 ZINC000066756181 524580685 /nfs/dbraw/zinc/58/06/85/524580685.db2.gz QGERGCYSUKVHSA-QMMMGPOBSA-N 0 0 284.337 2.541 20 5 CFBDRN C[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])CC(C)(C)O1 ZINC000049177145 524670890 /nfs/dbraw/zinc/67/08/90/524670890.db2.gz WZCKENGTXMEMKD-VIFPVBQESA-N 0 0 268.288 2.738 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000070114245 524705807 /nfs/dbraw/zinc/70/58/07/524705807.db2.gz ODIROPADFCKDNH-FOGDFJRCSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2N)c1 ZINC000050700453 524824781 /nfs/dbraw/zinc/82/47/81/524824781.db2.gz IPFUCKLJNAKXBH-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1c(Br)cncc1[N+](=O)[O-] ZINC000227536990 524847799 /nfs/dbraw/zinc/84/77/99/524847799.db2.gz ZICXBBOMNDZQEF-NKWVEPMBSA-N 0 0 286.129 2.820 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCCOC(C)(C)C1 ZINC000365649163 524902365 /nfs/dbraw/zinc/90/23/65/524902365.db2.gz MKLYSAVITRHBJS-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CC(F)(F)F ZINC000192654971 524902580 /nfs/dbraw/zinc/90/25/80/524902580.db2.gz NWSCKXLASDHLGW-UHFFFAOYSA-N 0 0 276.214 2.538 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cccnc1C ZINC000194784460 524903369 /nfs/dbraw/zinc/90/33/69/524903369.db2.gz VCAONHYXPZIRCH-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCOc1ncccc1F ZINC000341581010 524905528 /nfs/dbraw/zinc/90/55/28/524905528.db2.gz FVSVNLVNDUJZHT-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCCOC(C)(C)C1 ZINC000377696891 524906556 /nfs/dbraw/zinc/90/65/56/524906556.db2.gz GZJWSUHEYQRJKU-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H](C)CC(C)(C)O ZINC000294960437 524908836 /nfs/dbraw/zinc/90/88/36/524908836.db2.gz JYZMPFQYZYRGOZ-SNVBAGLBSA-N 0 0 252.314 2.865 20 5 CFBDRN Cc1cc(Cn2ccc3c2cccc3[N+](=O)[O-])no1 ZINC000135121042 524922667 /nfs/dbraw/zinc/92/26/67/524922667.db2.gz NAXCOWKFPXQHJF-UHFFFAOYSA-N 0 0 257.249 2.894 20 5 CFBDRN COc1cncc(COc2ccc([N+](=O)[O-])cc2F)c1 ZINC000341343153 524923909 /nfs/dbraw/zinc/92/39/09/524923909.db2.gz ROVWLVYMXJFLIT-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN C[C@@H](Cn1ccc(=O)c([N+](=O)[O-])c1)C1CCCCC1 ZINC000338550484 524946993 /nfs/dbraw/zinc/94/69/93/524946993.db2.gz CMPYDTMDJUWIRH-NSHDSACASA-N 0 0 264.325 2.973 20 5 CFBDRN C[C@@H](Cn1ccnc1)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000315399569 524965420 /nfs/dbraw/zinc/96/54/20/524965420.db2.gz UWNCDRCTQVTSQS-NSHDSACASA-N 0 0 297.318 2.840 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCC[C@H]2O)c(F)cc1[N+](=O)[O-] ZINC000360090075 525027448 /nfs/dbraw/zinc/02/74/48/525027448.db2.gz NUAHVJQTFJZXKY-IINYFYTJSA-N 0 0 282.315 2.640 20 5 CFBDRN O=C(NCCC1CC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412149632 534829812 /nfs/dbraw/zinc/82/98/12/534829812.db2.gz QNVSFPHNTCCNOW-UONOGXRCSA-N 0 0 274.320 2.615 20 5 CFBDRN Cc1cc(N2CCO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000372260178 525087218 /nfs/dbraw/zinc/08/72/18/525087218.db2.gz GUTNQOCDUIGNKU-AWEZNQCLSA-N 0 0 262.309 2.518 20 5 CFBDRN Cc1cc(N2CCO[C@H](C3CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000360051261 525088340 /nfs/dbraw/zinc/08/83/40/525088340.db2.gz NGMJXBOBEPCIIE-AWEZNQCLSA-N 0 0 280.299 2.658 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H](C)c2cn[nH]c2)cc1[N+](=O)[O-] ZINC000339906286 525170120 /nfs/dbraw/zinc/17/01/20/525170120.db2.gz LMQVUSWXAVDJGA-VIFPVBQESA-N 0 0 289.295 2.509 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000334177030 525173819 /nfs/dbraw/zinc/17/38/19/525173819.db2.gz CKTODAIUBRVOKZ-NXEZZACHSA-N 0 0 281.287 2.915 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])c2cccnc12)C1CC1 ZINC000314442398 525181896 /nfs/dbraw/zinc/18/18/96/525181896.db2.gz XLXVYEJAHBWLAU-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc(NC(=O)N2[C@@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000336465288 525186092 /nfs/dbraw/zinc/18/60/92/525186092.db2.gz DSTKPBKXJRZZGD-UWVGGRQHSA-N 0 0 263.297 2.918 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC[C@H]1F ZINC000413779559 534838201 /nfs/dbraw/zinc/83/82/01/534838201.db2.gz HSIWBKKKTXSSEN-VXGBXAGGSA-N 0 0 281.287 2.997 20 5 CFBDRN C[C@@H](NC(=O)NCCCCF)c1ccccc1[N+](=O)[O-] ZINC000361544301 525198617 /nfs/dbraw/zinc/19/86/17/525198617.db2.gz DJCQACJVUQAUOG-SNVBAGLBSA-N 0 0 283.303 2.705 20 5 CFBDRN C[C@H](NC(=O)c1ccncc1F)c1cccc([N+](=O)[O-])c1 ZINC000078086626 525252008 /nfs/dbraw/zinc/25/20/08/525252008.db2.gz UUYMOAWOCKANJY-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN Cc1ccc(NC[C@@]2(O)CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000328307383 525281601 /nfs/dbraw/zinc/28/16/01/525281601.db2.gz WAHZQGSPCMONBV-FZMZJTMJSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cc(NCc2noc(C3CC3)n2)c(F)cc1[N+](=O)[O-] ZINC000360082979 525284909 /nfs/dbraw/zinc/28/49/09/525284909.db2.gz OEIMBQFGHPBBCL-UHFFFAOYSA-N 0 0 292.270 2.915 20 5 CFBDRN Cc1ccc(NCc2cc[nH]c(=O)c2)c([N+](=O)[O-])c1 ZINC000340828622 525285561 /nfs/dbraw/zinc/28/55/61/525285561.db2.gz FLJFQBAKKXLODI-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN C[C@]1(NC(=O)c2ccc([N+](=O)[O-])cc2F)CC=CCC1 ZINC000335145171 525293979 /nfs/dbraw/zinc/29/39/79/525293979.db2.gz BRVAQOMJFLWOEK-AWEZNQCLSA-N 0 0 278.283 2.963 20 5 CFBDRN Cc1cc(N[C@@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000086874267 525294288 /nfs/dbraw/zinc/29/42/88/525294288.db2.gz RYCPPOAWRMUZLV-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](CO)c3ccccc32)ncc1[N+](=O)[O-] ZINC000364443519 525297323 /nfs/dbraw/zinc/29/73/23/525297323.db2.gz UHPFVIWLDLHOLB-BXUZGUMPSA-N 0 0 299.330 2.931 20 5 CFBDRN Cc1cc(N[C@@]2(C)CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000230100311 525299025 /nfs/dbraw/zinc/29/90/25/525299025.db2.gz DUPWGAXKLWIZRQ-MFKMUULPSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc(N[C@@H]2CCc3c[nH]nc3C2)c([N+](=O)[O-])c1 ZINC000376793151 525314641 /nfs/dbraw/zinc/31/46/41/525314641.db2.gz DQMFPQZWZVAJOS-LLVKDONJSA-N 0 0 272.308 2.596 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1cccnc1 ZINC000078361665 525333281 /nfs/dbraw/zinc/33/32/81/525333281.db2.gz BAYOYZTTZAAZBJ-MRVPVSSYSA-N 0 0 277.305 2.542 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1cccnc1 ZINC000054128921 525334180 /nfs/dbraw/zinc/33/41/80/525334180.db2.gz ZVGKSCZLDYLPQD-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC3(CCC3)C2)c1 ZINC000158064839 525445993 /nfs/dbraw/zinc/44/59/93/525445993.db2.gz IVNWTUDTOFCKCN-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCCC2CCCC2)c1 ZINC000146600795 525448905 /nfs/dbraw/zinc/44/89/05/525448905.db2.gz UGBKQTREJFRVSF-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2ccncc2)c1 ZINC000338641926 525450814 /nfs/dbraw/zinc/45/08/14/525450814.db2.gz LPOHOMLSTXVCGW-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2([C@H](O)C(C)C)CC2)c1 ZINC000338689203 525450963 /nfs/dbraw/zinc/45/09/63/525450963.db2.gz OOSHVHIGYBVHNW-CQSZACIVSA-N 0 0 294.351 2.812 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H](C)C[C@H]2CCCO2)c1 ZINC000338661440 525452379 /nfs/dbraw/zinc/45/23/79/525452379.db2.gz GWTYPRBLCDGTES-CMPLNLGQSA-N 0 0 280.324 2.973 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSc1ncc[nH]1 ZINC000078993840 525464136 /nfs/dbraw/zinc/46/41/36/525464136.db2.gz LRAUDXPKHSNRPX-UHFFFAOYSA-N 0 0 265.294 2.619 20 5 CFBDRN Cc1cccnc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360514911 525488337 /nfs/dbraw/zinc/48/83/37/525488337.db2.gz KZOVJCGUBUNOFG-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CSCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000083408004 525512328 /nfs/dbraw/zinc/51/23/28/525512328.db2.gz UIOVUVUGKAPKGZ-UHFFFAOYSA-N 0 0 263.322 2.918 20 5 CFBDRN Cc1c(Br)c(NCC(F)F)ncc1[N+](=O)[O-] ZINC000167054111 525524326 /nfs/dbraw/zinc/52/43/26/525524326.db2.gz QIVKURUOFRJRMO-UHFFFAOYSA-N 0 0 296.071 2.738 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1CC(C)(F)C1 ZINC000334844053 525561848 /nfs/dbraw/zinc/56/18/48/525561848.db2.gz FMDBHKGCWFNOHZ-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1ccn(Cc2cnc3ccccc3c2)c(=O)c1[N+](=O)[O-] ZINC000338553989 525604597 /nfs/dbraw/zinc/60/45/97/525604597.db2.gz DMGFVIMNTQBFBN-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c(N)c1F)c1cncs1 ZINC000340938229 525659616 /nfs/dbraw/zinc/65/96/16/525659616.db2.gz YKBSHNHNUGIASC-LURJTMIESA-N 0 0 282.300 2.946 20 5 CFBDRN CSC[C@H](CCO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360070397 525700597 /nfs/dbraw/zinc/70/05/97/525700597.db2.gz HQICMGQSXQHBII-VIFPVBQESA-N 0 0 288.344 2.568 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1cncs1 ZINC000340930117 525712064 /nfs/dbraw/zinc/71/20/64/525712064.db2.gz RMGKFCCYIQSGLS-SSDOTTSWSA-N 0 0 250.283 2.619 20 5 CFBDRN Cc1c(CNC(=O)Cc2ccsc2)cccc1[N+](=O)[O-] ZINC000360524361 525713405 /nfs/dbraw/zinc/71/34/05/525713405.db2.gz HKNAKSYDWHVJQL-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN Cc1c(CNC(=O)[C@@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000360526090 525717482 /nfs/dbraw/zinc/71/74/82/525717482.db2.gz RWRDCGIUVMPIMT-MRVPVSSYSA-N 0 0 290.241 2.718 20 5 CFBDRN Cc1c(CNC(=O)c2ccsc2)cccc1[N+](=O)[O-] ZINC000360525493 525722120 /nfs/dbraw/zinc/72/21/20/525722120.db2.gz OPSBGTGYORKILL-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1c(CNc2c3ccccc3ncc2[N+](=O)[O-])cnn1C ZINC000318754438 525731144 /nfs/dbraw/zinc/73/11/44/525731144.db2.gz QRTUHISARWTGMD-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN C[C@@H](O)COc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000083676491 525810128 /nfs/dbraw/zinc/81/01/28/525810128.db2.gz BXRMPVOKCOTVGZ-RXMQYKEDSA-N 0 0 266.080 2.661 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000228131034 525819791 /nfs/dbraw/zinc/81/97/91/525819791.db2.gz GLJFEFHWTJZESC-NXEZZACHSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CS[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000334823196 525849414 /nfs/dbraw/zinc/84/94/14/525849414.db2.gz UUKOPJGDEFUMLH-WPRPVWTQSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1c(NC(=O)[C@H]2C[C@@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000337771132 525859637 /nfs/dbraw/zinc/85/96/37/525859637.db2.gz VKGALEGVLMPQCQ-YUMQZZPRSA-N 0 0 270.235 2.743 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](CC3CC3)C2)c(F)c1 ZINC000413297989 534888288 /nfs/dbraw/zinc/88/82/88/534888288.db2.gz MGNDIBYLRLSZKH-JTQLQIEISA-N 0 0 265.288 2.755 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1Cc1ccc(Cl)cc1 ZINC000071526631 525929359 /nfs/dbraw/zinc/92/93/59/525929359.db2.gz BBOPLHMIEANMDY-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2CCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000340779708 525969154 /nfs/dbraw/zinc/96/91/54/525969154.db2.gz OUPNJUPZFMQBOB-UWVGGRQHSA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1cnc(C)c(NCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000088057860 525985050 /nfs/dbraw/zinc/98/50/50/525985050.db2.gz OOFHQCYWYXDCFX-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1cnc(COc2ccc([N+](=O)[O-])cc2F)o1 ZINC000150896539 526056340 /nfs/dbraw/zinc/05/63/40/526056340.db2.gz TZJLEBWVGDDABK-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOCCC(C)C)n1 ZINC000162616530 526059311 /nfs/dbraw/zinc/05/93/11/526059311.db2.gz QYDRPSWKBFDBOB-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](O)CC(C)C)c1 ZINC000088716375 526061123 /nfs/dbraw/zinc/06/11/23/526061123.db2.gz LXPQGXXSVRVJSB-NSHDSACASA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)CCCO)c1 ZINC000294953625 526062021 /nfs/dbraw/zinc/06/20/21/526062021.db2.gz MHEAFYTVTALKBZ-LLVKDONJSA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1 ZINC000049397782 526073185 /nfs/dbraw/zinc/07/31/85/526073185.db2.gz BFHRUGSBBFBXOC-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C[C@@H]1CCCO1 ZINC000333976666 526073955 /nfs/dbraw/zinc/07/39/55/526073955.db2.gz RYNRAYLCASXFHG-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccoc1 ZINC000163571582 526080864 /nfs/dbraw/zinc/08/08/64/526080864.db2.gz BPSGCLXAIZVVKE-UHFFFAOYSA-N 0 0 260.249 2.677 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Sc1nc[nH]n1 ZINC000179978901 526090052 /nfs/dbraw/zinc/09/00/52/526090052.db2.gz VEFWRZZURATBBD-MRVPVSSYSA-N 0 0 264.310 2.875 20 5 CFBDRN Cc1cnc(NC[C@H]2CCC[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000312309348 526092434 /nfs/dbraw/zinc/09/24/34/526092434.db2.gz PLWGVEIWLOZPME-RYUDHWBXSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1cc(C(=O)N(C)CC(F)(F)F)cc([N+](=O)[O-])c1 ZINC000194395768 526219768 /nfs/dbraw/zinc/21/97/68/526219768.db2.gz LLJZCMFAPJIQRP-UHFFFAOYSA-N 0 0 276.214 2.538 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c(C)n1 ZINC000339193904 526223308 /nfs/dbraw/zinc/22/33/08/526223308.db2.gz OLJRQEVNZIEMEO-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000335823586 526232932 /nfs/dbraw/zinc/23/29/32/526232932.db2.gz COJHFIYNRSWIRP-GFCCVEGCSA-N 0 0 288.347 2.928 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000335194229 526237610 /nfs/dbraw/zinc/23/76/10/526237610.db2.gz SFVACYZMRSWDGB-NSHDSACASA-N 0 0 276.336 2.927 20 5 CFBDRN Cc1cnn(CCn2cc(-c3cccc([N+](=O)[O-])c3)cn2)c1 ZINC000340705959 526264181 /nfs/dbraw/zinc/26/41/81/526264181.db2.gz QIVMYUQCMQYCIQ-UHFFFAOYSA-N 0 0 297.318 2.663 20 5 CFBDRN Cc1nn(C[C@@H]2CCCC2(F)F)c(C)c1[N+](=O)[O-] ZINC000336190406 526285051 /nfs/dbraw/zinc/28/50/51/526285051.db2.gz DHMKBQDMIVLIFC-VIFPVBQESA-N 0 0 259.256 2.844 20 5 CFBDRN Cc1nn(Cc2cccc(OC(F)F)c2)cc1[N+](=O)[O-] ZINC000181834657 526292889 /nfs/dbraw/zinc/29/28/89/526292889.db2.gz FRSMZKTVDHKLDO-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000049295611 526586209 /nfs/dbraw/zinc/58/62/09/526586209.db2.gz QPZQVCPPIUUMJM-NSHDSACASA-N 0 0 260.293 2.681 20 5 CFBDRN CC(C)(C)[C@@H](CCO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000139598670 526614482 /nfs/dbraw/zinc/61/44/82/526614482.db2.gz JZJJMJPGOHILPP-GFCCVEGCSA-N 0 0 295.339 2.513 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCc2c1cccc2Cl ZINC000334858022 526628508 /nfs/dbraw/zinc/62/85/08/526628508.db2.gz HTZLTDSXMDLVFP-UHFFFAOYSA-N 0 0 291.694 2.779 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C[C@@H]2CCCCC[C@H]21 ZINC000370236269 526716408 /nfs/dbraw/zinc/71/64/08/526716408.db2.gz QCQCRDBSEDUUKJ-VHSXEESVSA-N 0 0 264.281 2.593 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@@H]1c1ccccc1F ZINC000363351534 526716620 /nfs/dbraw/zinc/71/66/20/526716620.db2.gz HWODDUGLUXHHMN-LLVKDONJSA-N 0 0 290.250 2.914 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C[C@H]2CCCCC[C@@H]21 ZINC000370236270 526717852 /nfs/dbraw/zinc/71/78/52/526717852.db2.gz QCQCRDBSEDUUKJ-ZJUUUORDSA-N 0 0 264.281 2.593 20 5 CFBDRN CC(C)(C)c1ccncc1CNc1ccncc1[N+](=O)[O-] ZINC000413522787 526806363 /nfs/dbraw/zinc/80/63/63/526806363.db2.gz XAMAJPMRUYSCQU-UHFFFAOYSA-N 0 0 286.335 2.716 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCSC[C@@H]1C1CC1 ZINC000334826336 526811502 /nfs/dbraw/zinc/81/15/02/526811502.db2.gz AZJKKZLQACKYLS-CYBMUJFWSA-N 0 0 292.360 2.562 20 5 CFBDRN Cc1occc1SCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000181281396 526828546 /nfs/dbraw/zinc/82/85/46/526828546.db2.gz AIZCIGQSCXCXHW-UHFFFAOYSA-N 0 0 292.316 2.887 20 5 CFBDRN CC(C)(C)c1nc(Cn2ccc(=O)c([N+](=O)[O-])c2)cs1 ZINC000178236412 526868490 /nfs/dbraw/zinc/86/84/90/526868490.db2.gz XWVJSQHXYCCUPV-UHFFFAOYSA-N 0 0 293.348 2.559 20 5 CFBDRN Cc1sc(C(=O)N2C[C@@H](C)OC(C)(C)C2)cc1[N+](=O)[O-] ZINC000049181171 526871553 /nfs/dbraw/zinc/87/15/53/526871553.db2.gz NHAWJYTXKOGVFY-MRVPVSSYSA-N 0 0 298.364 2.604 20 5 CFBDRN COC[C@@H](C)CC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000445949748 536492325 /nfs/dbraw/zinc/49/23/25/536492325.db2.gz PQAPHPCNCVNSAG-CMPLNLGQSA-N 0 0 294.351 2.753 20 5 CFBDRN Cc1nc(NC(=O)Cc2cccc([N+](=O)[O-])c2)cs1 ZINC000338134540 526978912 /nfs/dbraw/zinc/97/89/12/526978912.db2.gz FJXWHVYWXQPMKJ-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@@H]2c2ccco2)ccc1[N+](=O)[O-] ZINC000365922526 526988342 /nfs/dbraw/zinc/98/83/42/526988342.db2.gz GTVBEYAVYAHHGH-VHSXEESVSA-N 0 0 287.275 2.633 20 5 CFBDRN O=C(CSc1cccc([N+](=O)[O-])c1)NCC1CCC1 ZINC000337913553 527039356 /nfs/dbraw/zinc/03/93/56/527039356.db2.gz LPVYLBZLAKHQSH-UHFFFAOYSA-N 0 0 280.349 2.603 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H]1CCC[C@@H]1F ZINC000340810561 527044045 /nfs/dbraw/zinc/04/40/45/527044045.db2.gz ZPNOBGLVYDPRLK-VHSXEESVSA-N 0 0 298.339 2.694 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NCc1ccoc1 ZINC000339254196 527045079 /nfs/dbraw/zinc/04/50/79/527045079.db2.gz NRBCGQRROPXEBG-UHFFFAOYSA-N 0 0 292.316 2.596 20 5 CFBDRN NC(=O)c1ccc(CSCc2ccco2)c([N+](=O)[O-])c1 ZINC000072991715 527052699 /nfs/dbraw/zinc/05/26/99/527052699.db2.gz ZFZQDLKTIJQQCA-UHFFFAOYSA-N 0 0 292.316 2.720 20 5 CFBDRN O=C(N[C@H]1CC[C@@H](F)C1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000336401311 527063784 /nfs/dbraw/zinc/06/37/84/527063784.db2.gz UFTTYXMMDGMSJD-BDAKNGLRSA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccc(F)cn1 ZINC000337014089 527124073 /nfs/dbraw/zinc/12/40/73/527124073.db2.gz OVYJRXCTVDQUMR-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=C(Nc1ccc(O)c(Cl)c1)c1ccc([N+](=O)[O-])o1 ZINC000082192756 527236076 /nfs/dbraw/zinc/23/60/76/527236076.db2.gz YJKLSDLKVTZVRO-UHFFFAOYSA-N 0 0 282.639 2.799 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC(C(F)F)CC1 ZINC000362092542 527243718 /nfs/dbraw/zinc/24/37/18/527243718.db2.gz ABVHEHDVUGXTDZ-UHFFFAOYSA-N 0 0 298.289 2.641 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCSC1 ZINC000334399760 527261450 /nfs/dbraw/zinc/26/14/50/527261450.db2.gz YAFWVEUMLUAPCM-SECBINFHSA-N 0 0 266.322 2.677 20 5 CFBDRN CC(C)(NC(=O)C1=COCCC1)c1ccccc1[N+](=O)[O-] ZINC000294162801 527266052 /nfs/dbraw/zinc/26/60/52/527266052.db2.gz QXHRPLXJUSXPRT-UHFFFAOYSA-N 0 0 290.319 2.640 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)Cc1cccs1 ZINC000047835637 527312037 /nfs/dbraw/zinc/31/20/37/527312037.db2.gz OEHZKIFLAMHPHR-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC1CCC(C)CC1 ZINC000055490554 527313194 /nfs/dbraw/zinc/31/31/94/527313194.db2.gz MWVVLOGENOEQIE-UHFFFAOYSA-N 0 0 291.351 2.854 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)c1cc(C)c(C)cc1C ZINC000064331904 527315272 /nfs/dbraw/zinc/31/52/72/527315272.db2.gz AGADFDXEKDYHHC-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1CCO[C@@H]1C1CC1 ZINC000230308996 527322290 /nfs/dbraw/zinc/32/22/90/527322290.db2.gz XZDGYRWLBLEQFO-DGCLKSJQSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCC1(Cc2ccccc2)CC1 ZINC000361056506 527323114 /nfs/dbraw/zinc/32/31/14/527323114.db2.gz IIENHTDWCCYOKZ-UHFFFAOYSA-N 0 0 283.331 2.847 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(CC3CCCC3)no2)c1 ZINC000340888634 527323299 /nfs/dbraw/zinc/32/32/99/527323299.db2.gz QETJMZQVIHIRTN-UHFFFAOYSA-N 0 0 262.269 2.706 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C(C)(C)C)C1 ZINC000334551451 527327244 /nfs/dbraw/zinc/32/72/44/527327244.db2.gz YHFCIYZSPIGZPR-NSHDSACASA-N 0 0 293.367 2.832 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1OCCF ZINC000340704649 527328858 /nfs/dbraw/zinc/32/88/58/527328858.db2.gz OTAATXAALVWEOH-UHFFFAOYSA-N 0 0 253.151 2.962 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H]1CCC[C@H](CO)C1 ZINC000312595401 527335718 /nfs/dbraw/zinc/33/57/18/527335718.db2.gz FCNKXIQTAWYUIT-ZJUUUORDSA-N 0 0 299.758 2.854 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H](CO)CC2CCC2)c(F)c1 ZINC000360093734 527337070 /nfs/dbraw/zinc/33/70/70/527337070.db2.gz NUEDOOWOIGRUSL-VIFPVBQESA-N 0 0 286.278 2.836 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(C[C@@H]3CCOC3)c2c(Cl)c1 ZINC000340855516 527341827 /nfs/dbraw/zinc/34/18/27/527341827.db2.gz MZIDLFNLJOXOLG-QMMMGPOBSA-N 0 0 281.699 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CC2)nc1OCc1ccccc1 ZINC000360594485 527345828 /nfs/dbraw/zinc/34/58/28/527345828.db2.gz HWQOALHOMVONGB-UHFFFAOYSA-N 0 0 285.303 2.565 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC(F)(F)C2)c(F)c1 ZINC000313293523 527348691 /nfs/dbraw/zinc/34/86/91/527348691.db2.gz BHLLHJHDYGNPKZ-UHFFFAOYSA-N 0 0 260.215 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCO[C@@H](C3CC3)C2)c1 ZINC000368800872 527355327 /nfs/dbraw/zinc/35/53/27/527355327.db2.gz SULNOGCRYIITIY-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@H](CO)C2)c(F)c1 ZINC000312495613 527365209 /nfs/dbraw/zinc/36/52/09/527365209.db2.gz PUAXXHODNOCOBX-QWRGUYRKSA-N 0 0 282.315 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@@H](CO)C2)c(F)c1 ZINC000312495609 527365786 /nfs/dbraw/zinc/36/57/86/527365786.db2.gz PUAXXHODNOCOBX-GHMZBOCLSA-N 0 0 282.315 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(-c3cc[nH]n3)cc2)nc1 ZINC000315194014 527366184 /nfs/dbraw/zinc/36/61/84/527366184.db2.gz FDRICDCULATARU-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSc2nncs2)cc1 ZINC000067006675 527373020 /nfs/dbraw/zinc/37/30/20/527373020.db2.gz ZQXTVVFAMAKYGZ-UHFFFAOYSA-N 0 0 283.334 2.617 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1C[C@@H]1c1ccco1 ZINC000049412323 527374857 /nfs/dbraw/zinc/37/48/57/527374857.db2.gz ZEUQRTXYARCWKB-RYUDHWBXSA-N 0 0 272.260 2.930 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cccc(Cl)n1 ZINC000051072772 527375076 /nfs/dbraw/zinc/37/50/76/527375076.db2.gz SUGAASHSVWRRLL-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CCCC[C@@H]3O)ccc2c1 ZINC000052006940 527381870 /nfs/dbraw/zinc/38/18/70/527381870.db2.gz JGKUACRPSXUXDY-KBPBESRZSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(Cc2ncccn2)C2CC2)c1 ZINC000192079152 527386822 /nfs/dbraw/zinc/38/68/22/527386822.db2.gz NBRUYUPMKFSDDB-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC1(CO)CCCC1 ZINC000311981843 527389799 /nfs/dbraw/zinc/38/97/99/527389799.db2.gz LWWQNBWZLRVKNA-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCOc2cccc(CO)c2)c1 ZINC000181680621 527391221 /nfs/dbraw/zinc/39/12/21/527391221.db2.gz SSGBHGLECPOTHX-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@@H]3CC[C@H](O)C3)ccnc21 ZINC000227662260 527395905 /nfs/dbraw/zinc/39/59/05/527395905.db2.gz SVGOSWLURYBADE-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(Cc2cccnc2)no1 ZINC000340644984 527396305 /nfs/dbraw/zinc/39/63/05/527396305.db2.gz BOWKGIVAYBAPPS-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCOC2(CCCC2)C1 ZINC000158059749 527401483 /nfs/dbraw/zinc/40/14/83/527401483.db2.gz TXWYLTPBIUMMSG-UHFFFAOYSA-N 0 0 276.336 2.740 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nc(-c2ccco2)no1 ZINC000044090841 527403941 /nfs/dbraw/zinc/40/39/41/527403941.db2.gz WUAYZLQXHAPJIE-UHFFFAOYSA-N 0 0 287.231 2.817 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1ncn(-c2ccccc2)n1 ZINC000092250387 527404320 /nfs/dbraw/zinc/40/43/20/527404320.db2.gz ZLQOKARSBOUPGG-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1COc2cccc(F)c21 ZINC000360708786 527407226 /nfs/dbraw/zinc/40/72/26/527407226.db2.gz ACOFXXUWILTMDJ-VIFPVBQESA-N 0 0 275.239 2.675 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1cc(F)cc2cccnc21 ZINC000048835019 527408317 /nfs/dbraw/zinc/40/83/17/527408317.db2.gz NDQSTRNDDYOBOJ-UHFFFAOYSA-N 0 0 272.239 2.527 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCO[C@H](c2cccs2)C1 ZINC000361042214 527412808 /nfs/dbraw/zinc/41/28/08/527412808.db2.gz JEHUAQPXPHGTSL-LBPRGKRZSA-N 0 0 291.332 2.629 20 5 CFBDRN O=[N+]([O-])c1cnn(CCOc2ccccc2Cl)c1 ZINC000067799270 527413858 /nfs/dbraw/zinc/41/38/58/527413858.db2.gz BVNVGZLJILDQPI-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2coc(-c3cccc(F)c3)n2)c1 ZINC000060817127 527416023 /nfs/dbraw/zinc/41/60/23/527416023.db2.gz ILMQTLRPDBNCDE-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1cccnc1Cl ZINC000052725219 527417295 /nfs/dbraw/zinc/41/72/95/527417295.db2.gz LLNVTYXPUWEUKR-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN Nc1c(Cl)cc(C(=O)NC2CCCC2)cc1[N+](=O)[O-] ZINC000050697441 527431259 /nfs/dbraw/zinc/43/12/59/527431259.db2.gz PWMMSVAXUNXOJJ-UHFFFAOYSA-N 0 0 283.715 2.503 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ccn1CC1CC(F)(F)C1 ZINC000335342695 527462880 /nfs/dbraw/zinc/46/28/80/527462880.db2.gz ZWXHGMNILCBEKT-UHFFFAOYSA-N 0 0 294.257 2.955 20 5 CFBDRN Cc1ncsc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049249038 527481342 /nfs/dbraw/zinc/48/13/42/527481342.db2.gz KEXGWKILCHXLLR-QMMMGPOBSA-N 0 0 291.332 2.851 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCCCCCF ZINC000340625468 527490368 /nfs/dbraw/zinc/49/03/68/527490368.db2.gz IOPRHMISQNFACQ-UHFFFAOYSA-N 0 0 261.322 2.701 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@H](Nc3ccccc3)C2)c1 ZINC000192788752 527502008 /nfs/dbraw/zinc/50/20/08/527502008.db2.gz HHEKCNMOMKYAGJ-AWEZNQCLSA-N 0 0 298.346 2.868 20 5 CFBDRN O=c1sccn1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000167321958 527502529 /nfs/dbraw/zinc/50/25/29/527502529.db2.gz OXEWSEZVNYZWAT-UHFFFAOYSA-N 0 0 270.697 2.520 20 5 CFBDRN CC(C)C1(CNC(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000177377421 527614189 /nfs/dbraw/zinc/61/41/89/527614189.db2.gz BMLJVMBRGPNUBS-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN O=C(NCCC1=CCCCCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000361989288 527627637 /nfs/dbraw/zinc/62/76/37/527627637.db2.gz RFJLMWMKVOCYQC-UHFFFAOYSA-N 0 0 277.324 2.933 20 5 CFBDRN Cc1nn(C)cc1CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000317362757 527693311 /nfs/dbraw/zinc/69/33/11/527693311.db2.gz SNCXMUZWKUGDJP-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412384409 527805461 /nfs/dbraw/zinc/80/54/61/527805461.db2.gz PVTXPQFLMNXVCE-RRFJBIMHSA-N 0 0 288.347 2.955 20 5 CFBDRN CC1(CNC(=O)Nc2cccc([N+](=O)[O-])c2)CCC1 ZINC000176417352 527967416 /nfs/dbraw/zinc/96/74/16/527967416.db2.gz CJPVFCTZJCIZNQ-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN CC(C)OCCNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413225979 527979426 /nfs/dbraw/zinc/97/94/26/527979426.db2.gz GYXUMSBXQKLZKP-UHFFFAOYSA-N 0 0 293.245 2.846 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000424979034 528102846 /nfs/dbraw/zinc/10/28/46/528102846.db2.gz XMCOAMJSCIXLFP-ZDUSSCGKSA-N 0 0 290.319 2.664 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000413385056 528104659 /nfs/dbraw/zinc/10/46/59/528104659.db2.gz GSVPBUHTINZEEA-AOOOYVTPSA-N 0 0 277.324 2.542 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000413384762 528105357 /nfs/dbraw/zinc/10/53/57/528105357.db2.gz FZJIFFBLOPSHLB-PHIMTYICSA-N 0 0 250.298 2.883 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000413383356 528105692 /nfs/dbraw/zinc/10/56/92/528105692.db2.gz BOVQDPBTKIDGIT-TXEJJXNPSA-N 0 0 250.298 2.883 20 5 CFBDRN CCOc1cc(NCC2(CCO)CC2)ccc1[N+](=O)[O-] ZINC000157243736 528325509 /nfs/dbraw/zinc/32/55/09/528325509.db2.gz XAXTVRKZNVCWAX-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN CC(C)c1nccnc1NCc1ccccc1[N+](=O)[O-] ZINC000413058671 528362262 /nfs/dbraw/zinc/36/22/62/528362262.db2.gz BVYTWNUNDJFMON-UHFFFAOYSA-N 0 0 272.308 2.542 20 5 CFBDRN CC(C)c1nccnc1NCc1ccc([N+](=O)[O-])cc1 ZINC000413062528 528362583 /nfs/dbraw/zinc/36/25/83/528362583.db2.gz BOLLKMRETYFVFN-UHFFFAOYSA-N 0 0 272.308 2.542 20 5 CFBDRN CCNc1ccc(C(=O)N2CC[C@@H]2CC)cc1[N+](=O)[O-] ZINC000410940922 528428584 /nfs/dbraw/zinc/42/85/84/528428584.db2.gz UNNHHMCPDDBZQU-NSHDSACASA-N 0 0 277.324 2.651 20 5 CFBDRN CCN(CCOC)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413081174 528564758 /nfs/dbraw/zinc/56/47/58/528564758.db2.gz XNQNNHUZAWZFQH-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CCCCOC1CCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000413169221 528573422 /nfs/dbraw/zinc/57/34/22/528573422.db2.gz LWLFVDSDBXVAFG-UHFFFAOYSA-N 0 0 297.330 2.914 20 5 CFBDRN CC(C)[C@@H](Nc1ncc([N+](=O)[O-])cc1F)[C@@H](CO)C(C)C ZINC000413324886 528599866 /nfs/dbraw/zinc/59/98/66/528599866.db2.gz VIVHWXSOUPTJCU-WCQYABFASA-N 0 0 299.346 2.830 20 5 CFBDRN CC(C)[C@@H](O)C(C)(C)CNc1ncc([N+](=O)[O-])cc1F ZINC000413211717 528609171 /nfs/dbraw/zinc/60/91/71/528609171.db2.gz XTVMQIPPDSLTDN-LLVKDONJSA-N 0 0 285.319 2.584 20 5 CFBDRN CCOc1cccc(NCc2ccn(CC)n2)c1[N+](=O)[O-] ZINC000413497564 528675385 /nfs/dbraw/zinc/67/53/85/528675385.db2.gz WFZDDJPBVDEKCU-UHFFFAOYSA-N 0 0 290.323 2.822 20 5 CFBDRN CC/C=C/CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421569406 528785145 /nfs/dbraw/zinc/78/51/45/528785145.db2.gz LRXCGLCIELOYFA-AATRIKPKSA-N 0 0 262.309 2.908 20 5 CFBDRN CCOC[C@@H]1CCCCN1c1cc(C)c([N+](=O)[O-])cn1 ZINC000413427880 528918459 /nfs/dbraw/zinc/91/84/59/528918459.db2.gz OKVXQEBEACWWLW-LBPRGKRZSA-N 0 0 279.340 2.694 20 5 CFBDRN CCC1(C)CN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000292208320 529031273 /nfs/dbraw/zinc/03/12/73/529031273.db2.gz CZDYRGFIDRDWQL-UHFFFAOYSA-N 0 0 266.326 2.540 20 5 CFBDRN CC1(C)CC[C@H](n2cc([N+](=O)[O-])c(C3CC3)n2)C1=O ZINC000413008072 529050628 /nfs/dbraw/zinc/05/06/28/529050628.db2.gz WJCAKROOIJJILW-VIFPVBQESA-N 0 0 263.297 2.599 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2cccc(C)c2F)n1 ZINC000191700247 529154384 /nfs/dbraw/zinc/15/43/84/529154384.db2.gz ADXDCQCTRDTQBG-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN CCn1ccc(CNc2ccc([N+](=O)[O-])cc2COC)n1 ZINC000413500010 529175824 /nfs/dbraw/zinc/17/58/24/529175824.db2.gz ZGJSKDYFEIDVKA-UHFFFAOYSA-N 0 0 290.323 2.570 20 5 CFBDRN CCn1ccc(CNc2cc(OC)c([N+](=O)[O-])cc2F)n1 ZINC000413499390 529175925 /nfs/dbraw/zinc/17/59/25/529175925.db2.gz YLKNUURBJLEVQD-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)[C@H](O)C(C)C ZINC000413550692 529210079 /nfs/dbraw/zinc/21/00/79/529210079.db2.gz XDRIGFXZTQSBIN-DGCLKSJQSA-N 0 0 270.304 2.941 20 5 CFBDRN CC(C)CNC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491280596 535146840 /nfs/dbraw/zinc/14/68/40/535146840.db2.gz WUSOEQHUKXCSBU-XQRVVYSFSA-N 0 0 266.272 2.519 20 5 CFBDRN CCC(CC)n1ccc(Cn2c(C)ncc2[N+](=O)[O-])n1 ZINC000433379362 535173239 /nfs/dbraw/zinc/17/32/39/535173239.db2.gz YMWNRTJDOMSHJR-UHFFFAOYSA-N 0 0 277.328 2.706 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000457918382 535301839 /nfs/dbraw/zinc/30/18/39/535301839.db2.gz LSDQCGANIISIGO-HIFRSBDPSA-N 0 0 291.351 2.829 20 5 CFBDRN CC(C)OC(=O)[C@@H](NCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000449502843 535308932 /nfs/dbraw/zinc/30/89/32/535308932.db2.gz HANJPWKSQAWLMB-AWEZNQCLSA-N 0 0 294.351 2.661 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450334405 535692059 /nfs/dbraw/zinc/69/20/59/535692059.db2.gz ZWSAIZLPKRBWFE-YGRLFVJLSA-N 0 0 289.335 2.962 20 5 CFBDRN CCC[C@H]1CCCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301979559 535728811 /nfs/dbraw/zinc/72/88/11/535728811.db2.gz XJWYMEKSFOZBCE-NSHDSACASA-N 0 0 289.339 2.654 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1C)C(F)F ZINC000452241340 535800857 /nfs/dbraw/zinc/80/08/57/535800857.db2.gz BGMCGWRBVSNAQE-SECBINFHSA-N 0 0 272.251 2.677 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)[C@@H](C)O ZINC000456273021 535873814 /nfs/dbraw/zinc/87/38/14/535873814.db2.gz WBOSFORCYQKNPF-MFKMUULPSA-N 0 0 294.351 2.607 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000435673493 535922377 /nfs/dbraw/zinc/92/23/77/535922377.db2.gz VHUBUFIDEUMPDV-PSASIEDQSA-N 0 0 258.281 2.748 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000475187237 535941663 /nfs/dbraw/zinc/94/16/63/535941663.db2.gz WZLIIRIZNQWSMZ-UWVGGRQHSA-N 0 0 280.324 2.768 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)OC ZINC000452423814 535948156 /nfs/dbraw/zinc/94/81/56/535948156.db2.gz XYWVUSXQXVDWCL-ZANVPECISA-N 0 0 298.314 2.525 20 5 CFBDRN CC[C@H](C)CN(C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000447673516 536122888 /nfs/dbraw/zinc/12/28/88/536122888.db2.gz YIVZOOPZPODRBG-VIFPVBQESA-N 0 0 262.313 2.953 20 5 CFBDRN CN1C(=O)Cc2cc(OCc3cccc([N+](=O)[O-])c3)ccc21 ZINC000435884301 536320321 /nfs/dbraw/zinc/32/03/21/536320321.db2.gz QEWMYIGLVZGQOZ-UHFFFAOYSA-N 0 0 298.298 2.693 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])c(C)n2)CCC(C)CC1 ZINC000359938917 536631080 /nfs/dbraw/zinc/63/10/80/536631080.db2.gz AGKNAOGWAKIZBG-UHFFFAOYSA-N 0 0 293.367 2.727 20 5 CFBDRN COc1ccc(NC(=O)C(C)(C)OC(C)C)cc1[N+](=O)[O-] ZINC000448547732 536753982 /nfs/dbraw/zinc/75/39/82/536753982.db2.gz HVIRPMVWRZGCBY-UHFFFAOYSA-N 0 0 296.323 2.746 20 5 CFBDRN COCCC1(C)CN(C/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000459634814 536853749 /nfs/dbraw/zinc/85/37/49/536853749.db2.gz SKYOLGUZCCXQNH-ALCCZGGFSA-N 0 0 290.363 2.966 20 5 CFBDRN COCCCCCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450285642 536874416 /nfs/dbraw/zinc/87/44/16/536874416.db2.gz GGZUEZFCDGDTFG-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN COc1cc(OCc2cccnc2OC)ccc1[N+](=O)[O-] ZINC000355942336 536876774 /nfs/dbraw/zinc/87/67/74/536876774.db2.gz BFZCDNRLFZPBOM-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@](C)(F)C1 ZINC000452192383 536950977 /nfs/dbraw/zinc/95/09/77/536950977.db2.gz GFEGXHKBIFYFTI-CQSZACIVSA-N 0 0 296.298 2.568 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N(C)CC1CC1 ZINC000450264834 537020299 /nfs/dbraw/zinc/02/02/99/537020299.db2.gz VPDMMZUYLYTGLS-UHFFFAOYSA-N 0 0 287.319 2.998 20 5 CFBDRN C[C@]1(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)C=CCC1 ZINC000935101316 649875606 /nfs/dbraw/zinc/87/56/06/649875606.db2.gz SQMDKRMUEZXMCE-INIZCTEOSA-N 0 0 286.331 2.836 20 5 CFBDRN O=[N+]([O-])c1cc[n+]([O-])cc1NCCC1=CCCCC1 ZINC001168771690 782180795 /nfs/dbraw/zinc/18/07/95/782180795.db2.gz GANYULFXDACOGQ-UHFFFAOYSA-N 0 0 263.297 2.531 20 5 CFBDRN CCN(Cc1nnc(-c2ccccc2[N+](=O)[O-])o1)C1CC1 ZINC000753851329 574051407 /nfs/dbraw/zinc/05/14/07/574051407.db2.gz WDHKRDTZQNNTOR-UHFFFAOYSA-N 0 0 288.307 2.629 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])OCC1CC=CC1 ZINC000750380672 574055520 /nfs/dbraw/zinc/05/55/20/574055520.db2.gz RNXQWGXCNBHLQU-UHFFFAOYSA-N 0 0 276.292 2.516 20 5 CFBDRN CC(C)(C)n1cc(Nc2cccnc2[N+](=O)[O-])cn1 ZINC000744171320 574058407 /nfs/dbraw/zinc/05/84/07/574058407.db2.gz KMRQYRVBOHUUTM-UHFFFAOYSA-N 0 0 261.285 2.685 20 5 CFBDRN O=C(OCC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000744198095 574060212 /nfs/dbraw/zinc/06/02/12/574060212.db2.gz UYSXSEQCWXXVDT-UHFFFAOYSA-N 0 0 253.229 2.691 20 5 CFBDRN COC1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCCC1 ZINC000753994378 574062173 /nfs/dbraw/zinc/06/21/73/574062173.db2.gz JDAUSLOWZRTISR-UHFFFAOYSA-N 0 0 283.255 2.599 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H]1CCCOC1 ZINC000727711069 574062627 /nfs/dbraw/zinc/06/26/27/574062627.db2.gz FBTBFIMXICKTLQ-MRVPVSSYSA-N 0 0 284.699 2.613 20 5 CFBDRN Cc1ocnc1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000727712504 574062652 /nfs/dbraw/zinc/06/26/52/574062652.db2.gz XAOBHISLVDXPKX-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN COc1cc(C(=O)OCCC2CC2)ccc1[N+](=O)[O-] ZINC000744288427 574064501 /nfs/dbraw/zinc/06/45/01/574064501.db2.gz KSYHWEHDLWWNEH-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CO[C@@H](C)CCOC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000744308548 574065652 /nfs/dbraw/zinc/06/56/52/574065652.db2.gz YOEMRBSXDVAFDA-VIFPVBQESA-N 0 0 292.291 2.658 20 5 CFBDRN C[C@H]1COCCN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000754083885 574070478 /nfs/dbraw/zinc/07/04/78/574070478.db2.gz ZOIFTCVGGHFWAD-SECBINFHSA-N 0 0 293.348 2.677 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000727906591 574071823 /nfs/dbraw/zinc/07/18/23/574071823.db2.gz HVAFUQGTTDIAMK-ZYHUDNBSSA-N 0 0 279.292 2.626 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CC2(CC(F)C2)C1 ZINC000753671495 574072422 /nfs/dbraw/zinc/07/24/22/574072422.db2.gz RZQXYGNSZJJXBL-ZZXKWVIFSA-N 0 0 290.294 2.569 20 5 CFBDRN COc1cccc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)n1 ZINC000754112062 574073836 /nfs/dbraw/zinc/07/38/36/574073836.db2.gz CFOHSFDOGQDCGZ-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCC(F)(F)C(F)F ZINC000744470117 574076189 /nfs/dbraw/zinc/07/61/89/574076189.db2.gz UJCMSDRUKYSUGF-UHFFFAOYSA-N 0 0 295.188 2.581 20 5 CFBDRN Cc1cccc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])n1 ZINC000736802127 574076737 /nfs/dbraw/zinc/07/67/37/574076737.db2.gz CBYVBQQQYHLOIK-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCOCCCOC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000744475679 574076732 /nfs/dbraw/zinc/07/67/32/574076732.db2.gz KWCYWGYAFMNRRK-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN O=C(OCCOCC1CC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000744481280 574077422 /nfs/dbraw/zinc/07/74/22/574077422.db2.gz OMFQTYHVGOFSSI-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)OC/C=C\Cl ZINC000744616592 574082080 /nfs/dbraw/zinc/08/20/80/574082080.db2.gz TUQSSARJWCGORI-KTAJNNJTSA-N 0 0 294.694 2.845 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@]1(C)CCOC1 ZINC000754285698 574086506 /nfs/dbraw/zinc/08/65/06/574086506.db2.gz UOCHKVFSFGSEJQ-CYBMUJFWSA-N 0 0 298.726 2.922 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnc(C)n1 ZINC000754287748 574086767 /nfs/dbraw/zinc/08/67/67/574086767.db2.gz OGYRZMDRAMMNMC-MDZDMXLPSA-N 0 0 298.302 2.735 20 5 CFBDRN Cc1c([N+](=O)[O-])cc(C(=O)OCCC2CC2)cc1[N+](=O)[O-] ZINC000744767791 574087050 /nfs/dbraw/zinc/08/70/50/574087050.db2.gz ALIPUQMITKJIFI-UHFFFAOYSA-N 0 0 294.263 2.768 20 5 CFBDRN O=C(OCC(F)(F)C(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000744833552 574089875 /nfs/dbraw/zinc/08/98/75/574089875.db2.gz ZOPWGIPOYQHCSK-UHFFFAOYSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1ccc(CC(=O)OCc2cccc([N+](=O)[O-])c2)o1 ZINC000754333865 574098804 /nfs/dbraw/zinc/09/88/04/574098804.db2.gz JYWICEWERADUFK-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN CC[C@H](CCOC)OC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000754586869 574106053 /nfs/dbraw/zinc/10/60/53/574106053.db2.gz CVEMZZGAPIRNBK-LLVKDONJSA-N 0 0 297.307 2.575 20 5 CFBDRN NC(Cc1cccs1)=NOCc1ccc([N+](=O)[O-])cc1 ZINC000731029506 574106821 /nfs/dbraw/zinc/10/68/21/574106821.db2.gz VMCJJDVGSCLUKW-UHFFFAOYSA-N 0 0 291.332 2.897 20 5 CFBDRN O=C(OCc1ccc2c(c1)CCO2)c1ccc([N+](=O)[O-])cc1 ZINC000728771747 574108167 /nfs/dbraw/zinc/10/81/67/574108167.db2.gz LRDLTXXCCFEYHC-UHFFFAOYSA-N 0 0 299.282 2.887 20 5 CFBDRN O=C(/C=C/c1ccco1)Nc1ccc([N+](=O)[O-])cc1F ZINC000745340821 574110660 /nfs/dbraw/zinc/11/06/60/574110660.db2.gz STDJJRKWRZEDSJ-GQCTYLIASA-N 0 0 276.223 2.979 20 5 CFBDRN Cc1ncc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)s1 ZINC000745372412 574111059 /nfs/dbraw/zinc/11/10/59/574111059.db2.gz OYCUUPPTIZUISK-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[Si](C)(C)C)c1 ZINC000745389478 574112553 /nfs/dbraw/zinc/11/25/53/574112553.db2.gz LCIVRCOSBSSVGO-UHFFFAOYSA-N 0 0 267.357 2.937 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000754590880 574117129 /nfs/dbraw/zinc/11/71/29/574117129.db2.gz PGNDXIGSSIGVFB-NSHDSACASA-N 0 0 297.307 2.575 20 5 CFBDRN CCC(CC)OC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000754790380 574120957 /nfs/dbraw/zinc/12/09/57/574120957.db2.gz YILCSDCPZWUTCZ-UHFFFAOYSA-N 0 0 270.260 2.662 20 5 CFBDRN O=C(CCC1CCOCC1)OCc1csc([N+](=O)[O-])c1 ZINC000745657583 574121691 /nfs/dbraw/zinc/12/16/91/574121691.db2.gz PISNJPABFVRKJR-UHFFFAOYSA-N 0 0 299.348 2.906 20 5 CFBDRN O=C(OC/C=C\Cl)c1ccc([N+](=O)[O-])c(F)c1 ZINC000731519168 574122421 /nfs/dbraw/zinc/12/24/21/574122421.db2.gz QQJPDNNAXXIXPS-RJRFIUFISA-N 0 0 259.620 2.643 20 5 CFBDRN CC(C)CCOCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731547523 574122995 /nfs/dbraw/zinc/12/29/95/574122995.db2.gz NHZMEJRFQVRCFV-UHFFFAOYSA-N 0 0 299.298 2.953 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1cc(F)ccc1O ZINC000745731293 574124398 /nfs/dbraw/zinc/12/43/98/574124398.db2.gz GMJXKGBLGSEUCL-UHFFFAOYSA-N 0 0 297.263 2.858 20 5 CFBDRN CCC(CC)(NCc1cc(F)ccc1[N+](=O)[O-])C(=O)OC ZINC000754925930 574128242 /nfs/dbraw/zinc/12/82/42/574128242.db2.gz UDUJZHSGGHKDOL-UHFFFAOYSA-N 0 0 298.314 2.555 20 5 CFBDRN COc1ccc(C(=O)OC[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000745961167 574129522 /nfs/dbraw/zinc/12/95/22/574129522.db2.gz MNUVEIVIPVYDLI-JTQLQIEISA-N 0 0 297.332 2.656 20 5 CFBDRN COCCCOC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754984522 574130988 /nfs/dbraw/zinc/13/09/88/574130988.db2.gz PSNWBMBXJXNGKW-UHFFFAOYSA-N 0 0 291.662 2.581 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccon1 ZINC000755020327 574133105 /nfs/dbraw/zinc/13/31/05/574133105.db2.gz MBXUZNCXMORCKI-CSKARUKUSA-N 0 0 288.259 2.730 20 5 CFBDRN O=[N+]([O-])c1c(SC[C@H]2CCCO2)nc2ccccn21 ZINC000755055918 574134799 /nfs/dbraw/zinc/13/47/99/574134799.db2.gz NXKDGBUDDQGJJV-SECBINFHSA-N 0 0 279.321 2.514 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])CCOC1 ZINC000755114634 574139445 /nfs/dbraw/zinc/13/94/45/574139445.db2.gz FBFCTIBKSBEUEB-CYBMUJFWSA-N 0 0 299.710 2.718 20 5 CFBDRN Cc1cc(F)ccc1OC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000755131711 574140952 /nfs/dbraw/zinc/14/09/52/574140952.db2.gz OGVQUHDOJFDBCO-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1cccc(O)c1 ZINC000728990583 574144058 /nfs/dbraw/zinc/14/40/58/574144058.db2.gz IBNKEJKZMWPVRJ-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN Cc1ccc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])n1C ZINC000728990157 574144176 /nfs/dbraw/zinc/14/41/76/574144176.db2.gz FVGRLUYYWULAIC-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN Cc1ccc(OC(=O)[C@H](C)OCC(C)C)cc1[N+](=O)[O-] ZINC000755247785 574149604 /nfs/dbraw/zinc/14/96/04/574149604.db2.gz DRPPMVRFIQDSJV-NSHDSACASA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1ccc(OC(=O)c2ncccc2C)cc1[N+](=O)[O-] ZINC000755248815 574149688 /nfs/dbraw/zinc/14/96/88/574149688.db2.gz JSOUFIYMMVGRTB-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H](C)OCC(C)C)cc1[N+](=O)[O-] ZINC000755247784 574149771 /nfs/dbraw/zinc/14/97/71/574149771.db2.gz DRPPMVRFIQDSJV-LLVKDONJSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000755249669 574149785 /nfs/dbraw/zinc/14/97/85/574149785.db2.gz CDXOWMUIYSGIIU-VIFPVBQESA-N 0 0 267.306 2.562 20 5 CFBDRN C[C@H](OC(=O)c1cncc(O)c1)c1cccc([N+](=O)[O-])c1 ZINC000732394305 574154126 /nfs/dbraw/zinc/15/41/26/574154126.db2.gz KLYVVHZMYDWNDB-VIFPVBQESA-N 0 0 288.259 2.613 20 5 CFBDRN CCOc1ccccc1OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000755431877 574161825 /nfs/dbraw/zinc/16/18/25/574161825.db2.gz PRNINUOWTUXKCA-UHFFFAOYSA-N 0 0 290.275 2.551 20 5 CFBDRN CN(Cc1cc[nH]n1)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746674287 574162252 /nfs/dbraw/zinc/16/22/52/574162252.db2.gz TVDQGOXPGLCBRX-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1Oc1ncc(F)cn1 ZINC000746691554 574163330 /nfs/dbraw/zinc/16/33/30/574163330.db2.gz ZDDUNFMOTJIFTO-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN Cc1ccccc1CN(Cn1cc([N+](=O)[O-])cn1)C1CC1 ZINC000746708431 574163907 /nfs/dbraw/zinc/16/39/07/574163907.db2.gz HIDXVZIMSXAWFR-UHFFFAOYSA-N 0 0 286.335 2.722 20 5 CFBDRN COCCCCC(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000746908267 574170827 /nfs/dbraw/zinc/17/08/27/574170827.db2.gz ALXNIEVALHUJPL-UHFFFAOYSA-N 0 0 281.308 2.763 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746984013 574174060 /nfs/dbraw/zinc/17/40/60/574174060.db2.gz VEUOHACGIICHSR-MRVPVSSYSA-N 0 0 287.312 2.776 20 5 CFBDRN CC(C)(C)COC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000729096229 574174539 /nfs/dbraw/zinc/17/45/39/574174539.db2.gz ROGLMDXZISVYMK-UHFFFAOYSA-N 0 0 291.307 2.534 20 5 CFBDRN C[C@@H](C(=O)O[C@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000755731917 574182661 /nfs/dbraw/zinc/18/26/61/574182661.db2.gz AUHVXUBEQBJTQA-KOLCDFICSA-N 0 0 297.282 2.560 20 5 CFBDRN CCc1ccc(C(=O)O[C@H](COC)C(C)C)cc1[N+](=O)[O-] ZINC000747158223 574182782 /nfs/dbraw/zinc/18/27/82/574182782.db2.gz JVAJHWUEGQLQGU-CQSZACIVSA-N 0 0 295.335 2.985 20 5 CFBDRN Cc1nn(CN2CC=C(C)CC2)c2ccc([N+](=O)[O-])cc12 ZINC000755770711 574186137 /nfs/dbraw/zinc/18/61/37/574186137.db2.gz OVWYLNTUJDFHTI-UHFFFAOYSA-N 0 0 286.335 2.862 20 5 CFBDRN Cc1cc(N[C@@H]2CCCCNC2=O)c(Cl)cc1[N+](=O)[O-] ZINC000747213765 574187592 /nfs/dbraw/zinc/18/75/92/574187592.db2.gz XULJBHZTSLSHST-SNVBAGLBSA-N 0 0 297.742 2.637 20 5 CFBDRN CCC[C@](C)(O)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000747219913 574187869 /nfs/dbraw/zinc/18/78/69/574187869.db2.gz GGZYTDNSNFMMLD-ZDUSSCGKSA-N 0 0 286.303 2.706 20 5 CFBDRN CCC[C@@](C)(O)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000747219912 574188032 /nfs/dbraw/zinc/18/80/32/574188032.db2.gz GGZYTDNSNFMMLD-CYBMUJFWSA-N 0 0 286.303 2.706 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H](OC)C(C)C)c1 ZINC000747224681 574188214 /nfs/dbraw/zinc/18/82/14/574188214.db2.gz XKLOUKORIRPCOY-GFCCVEGCSA-N 0 0 286.303 2.825 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H]1CCSC1 ZINC000755820938 574190151 /nfs/dbraw/zinc/19/01/51/574190151.db2.gz XXTQLKKOKBYSIM-SECBINFHSA-N 0 0 297.332 2.513 20 5 CFBDRN CCC(CC)CCOC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000755837648 574191691 /nfs/dbraw/zinc/19/16/91/574191691.db2.gz XIJNUWDJNBQXDL-UHFFFAOYSA-N 0 0 283.328 2.794 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000747485639 574198035 /nfs/dbraw/zinc/19/80/35/574198035.db2.gz NOWALIPEWIADPE-SNVBAGLBSA-N 0 0 263.293 2.869 20 5 CFBDRN CC/C=C/CCOC(=O)c1cc([N+](=O)[O-])c(N)cc1OC ZINC000753450043 574206471 /nfs/dbraw/zinc/20/64/71/574206471.db2.gz CAXQIPDAMULJHG-SNAWJCMRSA-N 0 0 294.307 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(n3cccn3)CC2)s1 ZINC000747803593 574213325 /nfs/dbraw/zinc/21/33/25/574213325.db2.gz KHCQZUJRQJPSFR-UHFFFAOYSA-N 0 0 278.337 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc(CCCCNC(=S)NC2CC2)cc1 ZINC000739436775 574213863 /nfs/dbraw/zinc/21/38/63/574213863.db2.gz FMHGJMIEFVSART-UHFFFAOYSA-N 0 0 293.392 2.544 20 5 CFBDRN CC(C)CC(N)=NOCc1ccc([N+](=O)[O-])cc1F ZINC000747826736 574214542 /nfs/dbraw/zinc/21/45/42/574214542.db2.gz WTCUQBOLZAOUCB-UHFFFAOYSA-N 0 0 269.276 2.778 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCc1cscn1 ZINC000747844447 574214963 /nfs/dbraw/zinc/21/49/63/574214963.db2.gz SAXRBWGVURQEES-ZZXKWVIFSA-N 0 0 290.300 2.808 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000747842961 574214986 /nfs/dbraw/zinc/21/49/86/574214986.db2.gz DSJPHYHESUJMAJ-NWDGAFQWSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]([C@H]3CCOC3)C2)c(F)c1 ZINC000747844084 574215275 /nfs/dbraw/zinc/21/52/75/574215275.db2.gz KMQFMBFPFXLOEN-RYUDHWBXSA-N 0 0 294.326 2.987 20 5 CFBDRN CO[C@H](CNc1ccsc1[N+](=O)[O-])C(F)(F)F ZINC000733303022 574216303 /nfs/dbraw/zinc/21/63/03/574216303.db2.gz BXARJCWQZVHGHL-ZCFIWIBFSA-N 0 0 270.232 2.646 20 5 CFBDRN CO[C@H](CNc1cccc(F)c1[N+](=O)[O-])C(F)(F)F ZINC000733303379 574216668 /nfs/dbraw/zinc/21/66/68/574216668.db2.gz ODDYSSZZNINCFC-MRVPVSSYSA-N 0 0 282.193 2.723 20 5 CFBDRN Cc1ccc(C)c(OC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000756212834 574217004 /nfs/dbraw/zinc/21/70/04/574217004.db2.gz QNASZXAGBHUSDF-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN Cc1cc(C(=O)NCCC(C)(C)C)c(N)c([N+](=O)[O-])c1 ZINC000729519484 574221861 /nfs/dbraw/zinc/22/18/61/574221861.db2.gz VQRXHFFYRLSTIR-UHFFFAOYSA-N 0 0 279.340 2.651 20 5 CFBDRN CCc1ccc(N(CC)CCn2ccc([N+](=O)[O-])n2)cc1 ZINC000747958776 574222343 /nfs/dbraw/zinc/22/23/43/574222343.db2.gz DMDHEQHCXXNCHY-UHFFFAOYSA-N 0 0 288.351 2.880 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1ccc([N+](=O)[O-])s1 ZINC000733381091 574226802 /nfs/dbraw/zinc/22/68/02/574226802.db2.gz XMJBJXPIMLDTLQ-QMMMGPOBSA-N 0 0 253.279 2.922 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000756342878 574227179 /nfs/dbraw/zinc/22/71/79/574227179.db2.gz GICJYKCIZUJMMF-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN CCC[C@H](C)OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000748103440 574229012 /nfs/dbraw/zinc/22/90/12/574229012.db2.gz XDDKOSGBQNKNRP-JTQLQIEISA-N 0 0 251.282 2.869 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000748110434 574229691 /nfs/dbraw/zinc/22/96/91/574229691.db2.gz HDTQJRCLVYVCGD-MRVPVSSYSA-N 0 0 252.270 2.644 20 5 CFBDRN CCC[C@H](C)OC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000748121026 574230609 /nfs/dbraw/zinc/23/06/09/574230609.db2.gz XKZGOEPDZWOZIQ-JTQLQIEISA-N 0 0 281.308 2.878 20 5 CFBDRN COCC(C)(C)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000756388420 574232783 /nfs/dbraw/zinc/23/27/83/574232783.db2.gz SOVRRXVGONBHCP-UHFFFAOYSA-N 0 0 281.308 2.619 20 5 CFBDRN Cc1cccc(C(=O)OCC[C@@H]2CCCC2=O)c1[N+](=O)[O-] ZINC000748158769 574233489 /nfs/dbraw/zinc/23/34/89/574233489.db2.gz XKUQULPJBGZDNG-NSHDSACASA-N 0 0 291.303 2.819 20 5 CFBDRN Cc1ccc(C(=O)OCC[C@H]2CCCC2=O)cc1[N+](=O)[O-] ZINC000748160925 574233847 /nfs/dbraw/zinc/23/38/47/574233847.db2.gz KRHBGZUTFSTSBD-LLVKDONJSA-N 0 0 291.303 2.819 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@H](C)CC(C)C ZINC000729893833 574236045 /nfs/dbraw/zinc/23/60/45/574236045.db2.gz PCHUQULFNQEDMB-SECBINFHSA-N 0 0 266.297 2.890 20 5 CFBDRN CC(C)CCOCCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000733450060 574237322 /nfs/dbraw/zinc/23/73/22/574237322.db2.gz SBNDCRTUEMELDR-UHFFFAOYSA-N 0 0 299.298 2.953 20 5 CFBDRN Cc1nc(C)c(C(=O)OCc2c(F)cccc2[N+](=O)[O-])o1 ZINC000748205069 574239281 /nfs/dbraw/zinc/23/92/81/574239281.db2.gz AVTTUTYIWMFJFS-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN C[C@@H](COC(=O)Cc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000748217975 574240349 /nfs/dbraw/zinc/24/03/49/574240349.db2.gz ZOICNUUNBHCMIG-QMMMGPOBSA-N 0 0 291.225 2.879 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OC/C=C\Cl ZINC000756526519 574243712 /nfs/dbraw/zinc/24/37/12/574243712.db2.gz KTHAQCXUBDCMFG-UQCOIBPSSA-N 0 0 269.684 2.823 20 5 CFBDRN Cc1cc(N[C@@H]2CCCN(C)C2=O)c(Cl)cc1[N+](=O)[O-] ZINC000748281436 574245216 /nfs/dbraw/zinc/24/52/16/574245216.db2.gz FJZHCYDNCSVHGH-SNVBAGLBSA-N 0 0 297.742 2.589 20 5 CFBDRN CCc1ocnc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000740272288 574245454 /nfs/dbraw/zinc/24/54/54/574245454.db2.gz FNSKCSJKYKOQFW-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN COC[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000733707578 574252922 /nfs/dbraw/zinc/25/29/22/574252922.db2.gz XTPLRDLVIXYSHN-QMMMGPOBSA-N 0 0 279.248 2.533 20 5 CFBDRN O=C(C=C1CCC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000756724169 574258951 /nfs/dbraw/zinc/25/89/51/574258951.db2.gz OICHUNTZXHKDRP-UHFFFAOYSA-N 0 0 265.240 2.887 20 5 CFBDRN CCCCNC(=S)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000756852413 574268613 /nfs/dbraw/zinc/26/86/13/574268613.db2.gz GXNJPBHFSVRTSC-UHFFFAOYSA-N 0 0 293.392 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccccc2Cl)cn1 ZINC000740871805 574271770 /nfs/dbraw/zinc/27/17/70/574271770.db2.gz NBNYZUNJSKSSNF-GFCCVEGCSA-N 0 0 293.710 2.789 20 5 CFBDRN C[C@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000756914888 574273357 /nfs/dbraw/zinc/27/33/57/574273357.db2.gz VHPBHTQIGBOXRK-QMMMGPOBSA-N 0 0 297.742 2.846 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C1=COCC1 ZINC000734120999 574275396 /nfs/dbraw/zinc/27/53/96/574275396.db2.gz CPVBHZWYEAQQQV-UHFFFAOYSA-N 0 0 296.710 2.511 20 5 CFBDRN O=C(OCC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1Cl ZINC000749204945 574284493 /nfs/dbraw/zinc/28/44/93/574284493.db2.gz SFXXODWWFDQDMG-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN Cc1ccnc(CNc2cccc([N+](=O)[O-])c2C)n1 ZINC000339416137 574300096 /nfs/dbraw/zinc/30/00/96/574300096.db2.gz LJQDKYUKTAWWDC-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CCc1ccc(OC(=O)c2n[nH]c(C)c2C)c([N+](=O)[O-])c1 ZINC000734739625 574300754 /nfs/dbraw/zinc/30/07/54/574300754.db2.gz IIRBSGSDODBCIX-UHFFFAOYSA-N 0 0 289.291 2.716 20 5 CFBDRN COC(C)(C)C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734782542 574301704 /nfs/dbraw/zinc/30/17/04/574301704.db2.gz TULLRXXGDNMMAP-UHFFFAOYSA-N 0 0 287.699 2.716 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@@H]2Nc1ccc([N+](=O)[O-])cn1 ZINC000734833941 574305234 /nfs/dbraw/zinc/30/52/34/574305234.db2.gz UTIZXCDQFWJSMI-ZDUSSCGKSA-N 0 0 285.307 2.578 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734883789 574306719 /nfs/dbraw/zinc/30/67/19/574306719.db2.gz QMBAWYIBOYKWHF-XVKPBYJWSA-N 0 0 269.684 2.947 20 5 CFBDRN COCCCC(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734891735 574306747 /nfs/dbraw/zinc/30/67/47/574306747.db2.gz XZKPOHNZSOOTSO-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN CCO[C@H]1C[C@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734889299 574306801 /nfs/dbraw/zinc/30/68/01/574306801.db2.gz DUXKGTIPLFCHSD-PWSUYJOCSA-N 0 0 299.710 2.716 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2Cc3ccccc32)c([N+](=O)[O-])c1 ZINC000741884080 574309045 /nfs/dbraw/zinc/30/90/45/574309045.db2.gz CRXOHAMWJKMWST-CYBMUJFWSA-N 0 0 299.282 2.849 20 5 CFBDRN NC(=NOCc1cccc([N+](=O)[O-])c1)c1cccc(F)c1 ZINC000725794091 574309110 /nfs/dbraw/zinc/30/91/10/574309110.db2.gz IHAOOFBRZSLNMZ-UHFFFAOYSA-N 0 0 289.266 2.781 20 5 CFBDRN C[C@H](CNC(=O)Cc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000741932757 574310390 /nfs/dbraw/zinc/31/03/90/574310390.db2.gz VMOKIIGVXYUQTC-LLVKDONJSA-N 0 0 278.352 2.936 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000741970896 574312486 /nfs/dbraw/zinc/31/24/86/574312486.db2.gz RCZCSTMYZHWJNH-SECBINFHSA-N 0 0 298.314 2.667 20 5 CFBDRN CC[C@H](C)COC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755682174 574317004 /nfs/dbraw/zinc/31/70/04/574317004.db2.gz ZFVZPMMLTQMSAK-VIFPVBQESA-N 0 0 267.281 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]2(O)CCCc3ccccc32)cn1 ZINC000735487497 574326551 /nfs/dbraw/zinc/32/65/51/574326551.db2.gz LMMKQULCCDSSMV-MRXNPFEDSA-N 0 0 299.330 2.626 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@@H](O)c1ccc(F)cc1 ZINC000735487637 574326764 /nfs/dbraw/zinc/32/67/64/574326764.db2.gz RHBBFRBHOKNAMK-LKFCYVNXSA-N 0 0 291.282 2.663 20 5 CFBDRN COc1cc(NC[C@H](OC)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000742406230 574328164 /nfs/dbraw/zinc/32/81/64/574328164.db2.gz RZPWEJRANGWGSV-JTQLQIEISA-N 0 0 294.229 2.593 20 5 CFBDRN CC(C)CCOCCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000742564069 574334633 /nfs/dbraw/zinc/33/46/33/574334633.db2.gz PHVDWHDVWJYYJV-UHFFFAOYSA-N 0 0 292.339 2.717 20 5 CFBDRN CNc1ccc(C(=O)OCC2CC=CC2)cc1[N+](=O)[O-] ZINC000750359388 574335672 /nfs/dbraw/zinc/33/56/72/574335672.db2.gz DDLRHVNHEKIPKQ-UHFFFAOYSA-N 0 0 276.292 2.760 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000742737851 574342105 /nfs/dbraw/zinc/34/21/05/574342105.db2.gz GFUIEONFSIISTM-MNOVXSKESA-N 0 0 294.376 2.917 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000742737850 574342119 /nfs/dbraw/zinc/34/21/19/574342119.db2.gz GFUIEONFSIISTM-GHMZBOCLSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000735967713 574344764 /nfs/dbraw/zinc/34/47/64/574344764.db2.gz IUIRLPJPRWEILG-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000736059334 574349385 /nfs/dbraw/zinc/34/93/85/574349385.db2.gz DSXUPZWQYDSQNQ-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN C/C=C(/C)C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000751200189 574371880 /nfs/dbraw/zinc/37/18/80/574371880.db2.gz OBBDOYBSHCXRFU-OQFOIZHKSA-N 0 0 286.291 2.890 20 5 CFBDRN Cc1cc(COC(=O)c2ccc([N+](=O)[O-])cc2C)no1 ZINC000725986845 574376842 /nfs/dbraw/zinc/37/68/42/574376842.db2.gz CCEQQASUUDCFIY-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC(=O)C(C)(C)C ZINC000725986829 574376866 /nfs/dbraw/zinc/37/68/66/574376866.db2.gz BXWZUIZJHXNKOD-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN C[C@H](C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)C1CC1 ZINC000751675036 574380822 /nfs/dbraw/zinc/38/08/22/574380822.db2.gz UGUDNWZZTSRSAU-QMMMGPOBSA-N 0 0 290.275 2.825 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000751802486 574383322 /nfs/dbraw/zinc/38/33/22/574383322.db2.gz QUZCXSPOWMFYLN-JTQLQIEISA-N 0 0 279.292 2.563 20 5 CFBDRN O=C(CCOCC1CC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000751834010 574383639 /nfs/dbraw/zinc/38/36/39/574383639.db2.gz LUWOWIBVZSFNBD-UHFFFAOYSA-N 0 0 299.710 2.970 20 5 CFBDRN CC[C@@H](C)OCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000751869830 574384066 /nfs/dbraw/zinc/38/40/66/574384066.db2.gz BKRLCILULXHDNW-SECBINFHSA-N 0 0 285.271 2.592 20 5 CFBDRN COC[C@H]1CCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000752088691 574387924 /nfs/dbraw/zinc/38/79/24/574387924.db2.gz NUMHFVDVVGSMBA-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1cc(CC(=O)Nc2cccc([N+](=O)[O-])c2Cl)no1 ZINC000752985208 574397171 /nfs/dbraw/zinc/39/71/71/574397171.db2.gz XBDMZKTZSLZBKC-UHFFFAOYSA-N 0 0 295.682 2.726 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+]CCCCC(=O)[O-] ZINC000821103800 598171490 /nfs/dbraw/zinc/17/14/90/598171490.db2.gz RKEFJXDCNCZPGW-NSHDSACASA-N 0 0 280.324 2.809 20 5 CFBDRN CC[N@H+](CCC(=O)[O-])Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000093633506 601113014 /nfs/dbraw/zinc/11/30/14/601113014.db2.gz UMFICRKJPFCGKC-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN O=C([C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCCCCO1 ZINC000935592169 649920044 /nfs/dbraw/zinc/92/00/44/649920044.db2.gz GMDOWKAGWCZKSE-KGLIPLIRSA-N 0 0 290.319 2.642 20 5 CFBDRN Cc1c(CNC(=O)[C@@]2(C)C=CCC2)cccc1[N+](=O)[O-] ZINC000935611824 649922808 /nfs/dbraw/zinc/92/28/08/649922808.db2.gz KQTJXFKHGBGPAY-HNNXBMFYSA-N 0 0 274.320 2.876 20 5 CFBDRN CC1(C)CN(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935715003 649933268 /nfs/dbraw/zinc/93/32/68/649933268.db2.gz GPMGKZKYXDMUHP-OLZOCXBDSA-N 0 0 274.320 2.567 20 5 CFBDRN CC(C)[C@@H](C[NH2+][C@H](C)c1cccc([N+](=O)[O-])c1)NC(=O)[O-] ZINC000825486380 603621300 /nfs/dbraw/zinc/62/13/00/603621300.db2.gz XPHULSJGUZISSP-ZWNOBZJWSA-N 0 0 295.339 2.538 20 5 CFBDRN O=C(CCF)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000900840498 620719779 /nfs/dbraw/zinc/71/97/79/620719779.db2.gz ZIAGUIUGCXSGPS-GFCCVEGCSA-N 0 0 284.312 2.528 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC/C=C/Cl)c1C ZINC000891195926 618033622 /nfs/dbraw/zinc/03/36/22/618033622.db2.gz MKWFTPJZZHNRGB-ZZXKWVIFSA-N 0 0 268.700 2.694 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CCSC1 ZINC000807986790 618045932 /nfs/dbraw/zinc/04/59/32/618045932.db2.gz VFTYQPWWQQAOAZ-VIFPVBQESA-N 0 0 266.322 2.715 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2F)CCS1 ZINC000157471729 617621561 /nfs/dbraw/zinc/62/15/61/617621561.db2.gz TWOGXJPCXACXMQ-SNVBAGLBSA-N 0 0 298.339 2.702 20 5 CFBDRN CC[C@H](NC(=O)CCF)c1cccc([N+](=O)[O-])c1 ZINC000900968340 620738496 /nfs/dbraw/zinc/73/84/96/620738496.db2.gz RFLRIXYXXVMENN-NSHDSACASA-N 0 0 254.261 2.522 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCc1cccs1 ZINC000891518043 617648001 /nfs/dbraw/zinc/64/80/01/617648001.db2.gz YATCVQNJNNZCOU-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN Nc1c(C(=O)N2CCCCC2)cc2ccccc2c1[N+](=O)[O-] ZINC000891592273 617678913 /nfs/dbraw/zinc/67/89/13/617678913.db2.gz ORRQONFGWXXMGP-UHFFFAOYSA-N 0 0 299.330 2.956 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000859541664 617720861 /nfs/dbraw/zinc/72/08/61/617720861.db2.gz KRMMHRXBJNUSBR-CBAPKCEASA-N 0 0 253.229 2.547 20 5 CFBDRN O=C(NCCC1CC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933681103 617748897 /nfs/dbraw/zinc/74/88/97/617748897.db2.gz LXPOOPTUFSWFCF-UONOGXRCSA-N 0 0 274.320 2.615 20 5 CFBDRN O=C(NCCC1CC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933681104 617749901 /nfs/dbraw/zinc/74/99/01/617749901.db2.gz LXPOOPTUFSWFCF-ZIAGYGMSSA-N 0 0 274.320 2.615 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000120663910 617760663 /nfs/dbraw/zinc/76/06/63/617760663.db2.gz IBMPFNQSRKMCQT-GXSJLCMTSA-N 0 0 288.303 2.818 20 5 CFBDRN O=C(NOC/C=C\Cl)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000812402142 617782173 /nfs/dbraw/zinc/78/21/73/617782173.db2.gz QGXDZUSTJRNJBJ-RJRFIUFISA-N 0 0 291.090 2.662 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](C(F)F)C2)c1 ZINC000804688512 617812411 /nfs/dbraw/zinc/81/24/11/617812411.db2.gz LLIGJOKEHIRVNI-SSDOTTSWSA-N 0 0 290.241 2.834 20 5 CFBDRN CCOc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)CC)cc1OC ZINC000121651226 617859632 /nfs/dbraw/zinc/85/96/32/617859632.db2.gz WBSGURZRTDRFFH-SECBINFHSA-N 0 0 297.307 2.957 20 5 CFBDRN CC1CC(NC(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000934028060 617871376 /nfs/dbraw/zinc/87/13/76/617871376.db2.gz WUZDRHXOSGBQTO-UHFFFAOYSA-N 0 0 280.299 2.581 20 5 CFBDRN CON(CC(C)(C)C)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804815075 617896855 /nfs/dbraw/zinc/89/68/55/617896855.db2.gz NMVHVFZZCDKIIQ-UHFFFAOYSA-N 0 0 283.284 2.917 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1CCc2cc(F)ccc21 ZINC000891977644 617898392 /nfs/dbraw/zinc/89/83/92/617898392.db2.gz ZGEJHJQFPZRRBT-NSHDSACASA-N 0 0 276.271 2.567 20 5 CFBDRN CCCOc1cc(Br)cc([N+](=O)[O-])c1N ZINC000308814110 617900145 /nfs/dbraw/zinc/90/01/45/617900145.db2.gz DOZRKPFUPMXKAV-UHFFFAOYSA-N 0 0 275.102 2.728 20 5 CFBDRN C[C@H](COC(=O)c1ccc(Cl)cc1Cl)[N+](=O)[O-] ZINC000813102001 617924199 /nfs/dbraw/zinc/92/41/99/617924199.db2.gz MNXHFKJVYOEOMK-ZCFIWIBFSA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@@H](CNC(=O)NCC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000892045873 617924625 /nfs/dbraw/zinc/92/46/25/617924625.db2.gz JJGGGAAJQQPERL-NSHDSACASA-N 0 0 291.351 2.798 20 5 CFBDRN C/C(=C/C(=O)OC[C@@H](C)[N+](=O)[O-])c1cccc(Cl)c1 ZINC000813105015 617926420 /nfs/dbraw/zinc/92/64/20/617926420.db2.gz JDNHNQYSRLELHC-ABRRARGCSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@H](CNC(=O)NC[C@H]1C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000892061967 617932192 /nfs/dbraw/zinc/93/21/92/617932192.db2.gz WWUIZSCKZFQVLQ-IJLUTSLNSA-N 0 0 291.351 2.654 20 5 CFBDRN CCCC[C@@H](CCC)NC(=O)Cn1c(C)ncc1[N+](=O)[O-] ZINC000934171448 617932884 /nfs/dbraw/zinc/93/28/84/617932884.db2.gz ZIHIXSNEWBJVQR-GFCCVEGCSA-N 0 0 296.371 2.575 20 5 CFBDRN C[C@@H](COC(=O)c1cc2c(s1)CCCCC2)[N+](=O)[O-] ZINC000813109725 617933921 /nfs/dbraw/zinc/93/39/21/617933921.db2.gz OITDTEWMXDZOPZ-VIFPVBQESA-N 0 0 283.349 2.839 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC(O)(c2ccccc2)C1 ZINC000804837833 617937716 /nfs/dbraw/zinc/93/77/16/617937716.db2.gz XDCUYVDCMLOEEQ-UHFFFAOYSA-N 0 0 284.315 2.611 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])c1ccnn1C ZINC000235534666 617951581 /nfs/dbraw/zinc/95/15/81/617951581.db2.gz LWCQMQWVYJSQRM-QMMMGPOBSA-N 0 0 264.260 2.641 20 5 CFBDRN Cc1c(C(=O)OC[C@]2(C)CCCO2)cccc1[N+](=O)[O-] ZINC000177247731 617963166 /nfs/dbraw/zinc/96/31/66/617963166.db2.gz BBQJFMGOMWAZGD-AWEZNQCLSA-N 0 0 279.292 2.629 20 5 CFBDRN CC(C)(C(=O)NC/C=C\Cl)c1ccccc1[N+](=O)[O-] ZINC000891198633 618037635 /nfs/dbraw/zinc/03/76/35/618037635.db2.gz LOKOVNRCARJOQU-YVMONPNESA-N 0 0 282.727 2.741 20 5 CFBDRN C[C@]1(C2CC2)COCCN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000934483789 618053196 /nfs/dbraw/zinc/05/31/96/618053196.db2.gz YCQWQNRLMJPOCL-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CCN(CCC(=O)OC)c1ccc([N+](=O)[O-])cc1Cl ZINC000050085238 618054121 /nfs/dbraw/zinc/05/41/21/618054121.db2.gz BGIXOLAFBMDFMB-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000176681630 618058949 /nfs/dbraw/zinc/05/89/49/618058949.db2.gz CXJYHIGEOCPTHT-VIFPVBQESA-N 0 0 280.299 2.914 20 5 CFBDRN CCC[C@H](CC)Nc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000050405821 618065620 /nfs/dbraw/zinc/06/56/20/618065620.db2.gz SUZGFZWIPRSEMR-VIFPVBQESA-N 0 0 293.323 2.916 20 5 CFBDRN CC(C)c1nc(CCNc2c([N+](=O)[O-])cnn2C)cs1 ZINC000892268468 618066173 /nfs/dbraw/zinc/06/61/73/618066173.db2.gz QBNDTRRBCPOOHD-UHFFFAOYSA-N 0 0 295.368 2.563 20 5 CFBDRN COCC1(COC(=O)c2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000811065102 618106540 /nfs/dbraw/zinc/10/65/40/618106540.db2.gz XMHMGEVKTAWYFU-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1c(CNC(C)(C)C(=O)OC(C)C)cccc1[N+](=O)[O-] ZINC000934816298 618137286 /nfs/dbraw/zinc/13/72/86/618137286.db2.gz FAXWIOCLTISWPO-UHFFFAOYSA-N 0 0 294.351 2.723 20 5 CFBDRN CC(C)OC(=O)C(C)(C)NCc1ccc([N+](=O)[O-])cc1F ZINC000934818148 618138290 /nfs/dbraw/zinc/13/82/90/618138290.db2.gz TVECLULQNXUBQE-UHFFFAOYSA-N 0 0 298.314 2.554 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)/C(F)=C\C1CCCCC1 ZINC000891346010 618141590 /nfs/dbraw/zinc/14/15/90/618141590.db2.gz SGPVMLIYNYCAOZ-JXMROGBWSA-N 0 0 296.302 2.701 20 5 CFBDRN CC(C)CCN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000064963634 618154387 /nfs/dbraw/zinc/15/43/87/618154387.db2.gz DHXHJXJXCAQOKX-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN CC(=O)COc1ccc(Br)c(C)c1[N+](=O)[O-] ZINC000309492174 618170804 /nfs/dbraw/zinc/17/08/04/618170804.db2.gz JDNWCACGFCCZQY-UHFFFAOYSA-N 0 0 288.097 2.634 20 5 CFBDRN C[C@@H](NC(=O)CCc1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000935089683 618181886 /nfs/dbraw/zinc/18/18/86/618181886.db2.gz ZBHRIIFPXXLZER-SNVBAGLBSA-N 0 0 294.326 2.971 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(Cl)cc2c1N1CCOCC1 ZINC000891401125 618186326 /nfs/dbraw/zinc/18/63/26/618186326.db2.gz FQZBTUSBPGYKSE-UHFFFAOYSA-N 0 0 293.710 2.633 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CC1(Cl)Cl ZINC000309533673 618194368 /nfs/dbraw/zinc/19/43/68/618194368.db2.gz WLZMNUHBZQBDBR-LURJTMIESA-N 0 0 262.096 2.596 20 5 CFBDRN CCOc1ccc(C(=O)OCc2ccoc2)cc1[N+](=O)[O-] ZINC000052747326 618194769 /nfs/dbraw/zinc/19/47/69/618194769.db2.gz PKKCZXOILPEVDA-UHFFFAOYSA-N 0 0 291.259 2.944 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CC1 ZINC000176940470 618231968 /nfs/dbraw/zinc/23/19/68/618231968.db2.gz AFYAJAZNECGNGJ-QWHCGFSZSA-N 0 0 261.277 2.684 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@@H]1C[C@H]1c1ccccc1 ZINC000893987038 618265123 /nfs/dbraw/zinc/26/51/23/618265123.db2.gz XYGCQXKSACQBOS-RYUDHWBXSA-N 0 0 272.308 2.544 20 5 CFBDRN CCC(C)(C)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000102601165 618268225 /nfs/dbraw/zinc/26/82/25/618268225.db2.gz WZFLAXJCVPRRTL-UHFFFAOYSA-N 0 0 267.281 2.945 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2COc3ccccc32)cc1[N+](=O)[O-] ZINC000178993466 618291445 /nfs/dbraw/zinc/29/14/45/618291445.db2.gz VRNUQFBFVHCYJX-ZDUSSCGKSA-N 0 0 299.282 2.985 20 5 CFBDRN Cc1nnc(CCNc2ccc(Cl)c([N+](=O)[O-])c2)o1 ZINC000901351832 620792712 /nfs/dbraw/zinc/79/27/12/620792712.db2.gz DHIBTJGLMBCXPE-UHFFFAOYSA-N 0 0 282.687 2.594 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C(F)F)c1 ZINC000894054855 618294370 /nfs/dbraw/zinc/29/43/70/618294370.db2.gz UOFCMBKWCJWGQU-ZCFIWIBFSA-N 0 0 290.291 2.700 20 5 CFBDRN CCOc1ccc(C(=O)O[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000103826247 618330760 /nfs/dbraw/zinc/33/07/60/618330760.db2.gz HBTCEQHKDJPASW-JTQLQIEISA-N 0 0 297.332 2.656 20 5 CFBDRN COc1cc(NC[C@@H](O)CC(C)C)c([N+](=O)[O-])cc1C ZINC000312570443 618335972 /nfs/dbraw/zinc/33/59/72/618335972.db2.gz ZFHSDKPJRAOACE-NSHDSACASA-N 0 0 282.340 2.731 20 5 CFBDRN O=[N+]([O-])c1cc(OCc2ncccn2)ccc1Cl ZINC000310895197 618358798 /nfs/dbraw/zinc/35/87/98/618358798.db2.gz ZNIPNGZMUZNLDA-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN C[C@H](O)CCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235553103 618370343 /nfs/dbraw/zinc/37/03/43/618370343.db2.gz WGQQCRQCLXAVHV-LURJTMIESA-N 0 0 262.668 2.570 20 5 CFBDRN O=C(c1cc(-c2ccc([N+](=O)[O-])o2)no1)C1CCC1 ZINC000901399812 620799317 /nfs/dbraw/zinc/79/93/17/620799317.db2.gz WUAXFMZPYZBMKI-UHFFFAOYSA-N 0 0 262.221 2.826 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@H]2C=CCC2)c1[N+](=O)[O-] ZINC000893331094 618384578 /nfs/dbraw/zinc/38/45/78/618384578.db2.gz VKJVVPQXTBDJBE-JTQLQIEISA-N 0 0 278.283 2.738 20 5 CFBDRN Cc1cc(C(=O)OC[C@@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000177262698 618385176 /nfs/dbraw/zinc/38/51/76/618385176.db2.gz OUKPLPNHAHXKEE-CQSZACIVSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC(=O)[C@H]1C[C@@H]1C ZINC000909481175 618406803 /nfs/dbraw/zinc/40/68/03/618406803.db2.gz JUFYAEZQRQUNFN-ONGXEEELSA-N 0 0 291.303 2.594 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1c2ccccc2CC[C@@H]1F ZINC000895169948 618422320 /nfs/dbraw/zinc/42/23/20/618422320.db2.gz NHNNNGBAUZZVPU-WCQYABFASA-N 0 0 290.298 2.766 20 5 CFBDRN C[C@]1(NC(=O)c2cccc([N+](=O)[O-])c2Cl)CCCOC1 ZINC000235722460 618422482 /nfs/dbraw/zinc/42/24/82/618422482.db2.gz GARMJWMDKCBVSH-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)[C@H](C)[C@@H](C)O1 ZINC000782409661 618428674 /nfs/dbraw/zinc/42/86/74/618428674.db2.gz DSYQDDQEZGVMFG-IWSPIJDZSA-N 0 0 286.278 2.875 20 5 CFBDRN CC[C@@H](CNc1c([N+](=O)[O-])cnn1C)CC(F)(F)F ZINC000894197654 618438151 /nfs/dbraw/zinc/43/81/51/618438151.db2.gz LZCXWFNUXBQDNG-SSDOTTSWSA-N 0 0 280.250 2.719 20 5 CFBDRN Cc1[nH]c2c(cccc2C(=O)O[C@H](C)C[N+](=O)[O-])c1C ZINC000814038398 618439226 /nfs/dbraw/zinc/43/92/26/618439226.db2.gz PLSOTLPRGQZEIQ-MRVPVSSYSA-N 0 0 276.292 2.607 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C(C)(C)c1cccc(Cl)c1 ZINC000814039102 618439326 /nfs/dbraw/zinc/43/93/26/618439326.db2.gz XLYJBLACHZCDKV-SECBINFHSA-N 0 0 285.727 2.826 20 5 CFBDRN CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814039101 618439594 /nfs/dbraw/zinc/43/95/94/618439594.db2.gz XLLZISQMDCYMHC-XQHKEYJVSA-N 0 0 287.356 2.569 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CCCC[C@H]1F ZINC000894201725 618443380 /nfs/dbraw/zinc/44/33/80/618443380.db2.gz QPZWKOXNYDEELV-NXEZZACHSA-N 0 0 269.276 2.691 20 5 CFBDRN Cc1cc(NC(=O)c2cccnc2F)ccc1[N+](=O)[O-] ZINC000235827721 618448983 /nfs/dbraw/zinc/44/89/83/618448983.db2.gz IFLJKJPDEWFINB-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCC(=O)COC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000727181123 618453388 /nfs/dbraw/zinc/45/33/88/618453388.db2.gz MBADRYCASPIJSN-VIFPVBQESA-N 0 0 297.332 2.598 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCCC2CC2)c1F ZINC000235879199 618456383 /nfs/dbraw/zinc/45/63/83/618456383.db2.gz CXBNEPQWTJURJM-UHFFFAOYSA-N 0 0 266.272 2.572 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC(C)(C)C2CC2)c1F ZINC000235876656 618456399 /nfs/dbraw/zinc/45/63/99/618456399.db2.gz LZNMEHUKYSWMNU-UHFFFAOYSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC[C@@H]2CCCS2)c1F ZINC000235881076 618456513 /nfs/dbraw/zinc/45/65/13/618456513.db2.gz OICXBKGXXDQVNK-JTQLQIEISA-N 0 0 298.339 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCCC2CCC2)c1F ZINC000235879246 618456946 /nfs/dbraw/zinc/45/69/46/618456946.db2.gz CRTHQOQZKUMILR-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN CCC[N@H+](Cc1ccc(O)c(OC)c1[N+](=O)[O-])C(C)C ZINC000895206173 618471173 /nfs/dbraw/zinc/47/11/73/618471173.db2.gz UNOOWPSHHWMJMC-UHFFFAOYSA-N 0 0 282.340 2.929 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCCc1ccns1 ZINC000877887410 618472700 /nfs/dbraw/zinc/47/27/00/618472700.db2.gz LMYFLLAIJQZLAC-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN C[C@H](O)CSc1nc2ccccc2cc1[N+](=O)[O-] ZINC000882738187 618492627 /nfs/dbraw/zinc/49/26/27/618492627.db2.gz MOKYGDFPNOEYLZ-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCC(F)(F)C(F)F ZINC000020348941 618506121 /nfs/dbraw/zinc/50/61/21/618506121.db2.gz WSEGMPZRJGPVGK-UHFFFAOYSA-N 0 0 253.151 2.874 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000928592229 618508459 /nfs/dbraw/zinc/50/84/59/618508459.db2.gz MTWRULGUPLHHBN-QMMMGPOBSA-N 0 0 286.353 2.508 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N(C)CCCF)cc1[N+](=O)[O-] ZINC000895302456 618523568 /nfs/dbraw/zinc/52/35/68/618523568.db2.gz RFJFPPZROHKASP-UHFFFAOYSA-N 0 0 288.706 2.988 20 5 CFBDRN CCc1ncc(CNc2cc([N+](=O)[O-])ccc2NC)o1 ZINC000901423979 620814246 /nfs/dbraw/zinc/81/42/46/620814246.db2.gz QWAKURXQFVTOJB-UHFFFAOYSA-N 0 0 276.296 2.799 20 5 CFBDRN COC/C(C)=C\COc1ccc(C)cc1[N+](=O)[O-] ZINC000901425942 620814828 /nfs/dbraw/zinc/81/48/28/620814828.db2.gz ZTKYFAYCVVBRTI-WDZFZDKYSA-N 0 0 251.282 2.875 20 5 CFBDRN C[C@@H]1CSC[C@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000795136334 618553596 /nfs/dbraw/zinc/55/35/96/618553596.db2.gz MZZIUXLOCYUGQW-LDYMZIIASA-N 0 0 297.405 2.633 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CC[C@H]1C(F)F ZINC000895435650 618575614 /nfs/dbraw/zinc/57/56/14/618575614.db2.gz HJDFTWSBBSVTCT-PYEVWLCESA-N 0 0 268.263 2.947 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CC[C@H]1C(F)F ZINC000895435641 618575793 /nfs/dbraw/zinc/57/57/93/618575793.db2.gz HJDFTWSBBSVTCT-FHMRSRPSSA-N 0 0 268.263 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nccc3c2CCCC3)nc1 ZINC000895450277 618592663 /nfs/dbraw/zinc/59/26/63/618592663.db2.gz DXJYCALNWPCGPB-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)NC1CCCC1 ZINC000236153563 618607024 /nfs/dbraw/zinc/60/70/24/618607024.db2.gz FFONVJSZRVROSM-UHFFFAOYSA-N 0 0 267.260 2.798 20 5 CFBDRN CC(C)(CCc1noc(C[C@@H]2CC=CCC2)n1)[N+](=O)[O-] ZINC000924079370 618607241 /nfs/dbraw/zinc/60/72/41/618607241.db2.gz VQWDREWYXSVDKE-LLVKDONJSA-N 0 0 279.340 2.956 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@H]1CCOC1 ZINC000236187480 618610105 /nfs/dbraw/zinc/61/01/05/618610105.db2.gz UUCXPWUDCRTYBY-LURJTMIESA-N 0 0 260.652 2.588 20 5 CFBDRN Cc1ccc(Nc2c([N+](=O)[O-])cnn2C)cc1OC(F)F ZINC000892544393 618625580 /nfs/dbraw/zinc/62/55/80/618625580.db2.gz DZAQRBKBNFLCNI-UHFFFAOYSA-N 0 0 298.249 2.982 20 5 CFBDRN Cc1nnsc1COc1cc([N+](=O)[O-])ccc1Cl ZINC000310800265 618640284 /nfs/dbraw/zinc/64/02/84/618640284.db2.gz XADLQHBZHLMVJY-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000310911494 618656315 /nfs/dbraw/zinc/65/63/15/618656315.db2.gz HKUHJMQSDWWRDI-XCBNKYQSSA-N 0 0 268.700 2.777 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@](C)(O)CCC(C)C ZINC000892650387 618673828 /nfs/dbraw/zinc/67/38/28/618673828.db2.gz HRHJRNUCNJACLJ-CQSZACIVSA-N 0 0 297.355 2.598 20 5 CFBDRN CC[C@H](OC)C(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892653102 618675733 /nfs/dbraw/zinc/67/57/33/618675733.db2.gz LANXPZPAHFSXSG-LBPRGKRZSA-N 0 0 289.291 2.507 20 5 CFBDRN C[C@H]1C[C@H](CNc2ccc(F)c([N+](=O)[O-])c2)CCO1 ZINC000783192716 618688569 /nfs/dbraw/zinc/68/85/69/618688569.db2.gz FIOIDQIMBSZYKP-VHSXEESVSA-N 0 0 268.288 2.961 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CC[C@@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000851128731 618688590 /nfs/dbraw/zinc/68/85/90/618688590.db2.gz DCEUZMWVPWRAFD-HTQZYQBOSA-N 0 0 283.246 2.564 20 5 CFBDRN CCOC(=O)/C=C\COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000311247856 618697934 /nfs/dbraw/zinc/69/79/34/618697934.db2.gz KOHSZNLSZAIVPY-ARJAWSKDSA-N 0 0 285.683 2.746 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1CC[C@H](SC)C1 ZINC000892696362 618715727 /nfs/dbraw/zinc/71/57/27/618715727.db2.gz IAAAFNIJJAJMAZ-WPRPVWTQSA-N 0 0 283.353 2.694 20 5 CFBDRN C[C@@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000892698235 618716730 /nfs/dbraw/zinc/71/67/30/618716730.db2.gz ZIGBBIDZPWDAOO-DTORHVGOSA-N 0 0 297.336 2.833 20 5 CFBDRN COCCCNc1cccc(Br)c1[N+](=O)[O-] ZINC000309484324 618722875 /nfs/dbraw/zinc/72/28/75/618722875.db2.gz WPVGXBIRARNGRK-UHFFFAOYSA-N 0 0 289.129 2.806 20 5 CFBDRN CCOc1cc(NC(=O)[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000892738731 618736726 /nfs/dbraw/zinc/73/67/26/618736726.db2.gz CCDLPXNNCNFCOY-SNVBAGLBSA-N 0 0 276.292 2.732 20 5 CFBDRN CCC[C@@]1(C)CCCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000892739397 618736756 /nfs/dbraw/zinc/73/67/56/618736756.db2.gz DJLHAMCHYVQGKF-ZDUSSCGKSA-N 0 0 266.345 2.735 20 5 CFBDRN CCOc1cc(NC(=O)CCCF)ccc1[N+](=O)[O-] ZINC000892742274 618737383 /nfs/dbraw/zinc/73/73/83/618737383.db2.gz QVUIJPMEDHHRIM-UHFFFAOYSA-N 0 0 270.260 2.682 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000892745609 618738378 /nfs/dbraw/zinc/73/83/78/618738378.db2.gz FFHJIXCQISQNOV-VIFPVBQESA-N 0 0 278.308 2.978 20 5 CFBDRN CCOc1cc(NC(=O)COC(C)(C)C)ccc1[N+](=O)[O-] ZINC000892744124 618738471 /nfs/dbraw/zinc/73/84/71/618738471.db2.gz CCWFODBKLDLTOV-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN CCOc1cc(NC(=O)[C@@](C)(CC)OC)ccc1[N+](=O)[O-] ZINC000892745450 618738568 /nfs/dbraw/zinc/73/85/68/618738568.db2.gz YJFUJZVUGXTERV-CQSZACIVSA-N 0 0 296.323 2.747 20 5 CFBDRN CCOc1cc(NC(=O)CC[C@@H](C)OC)ccc1[N+](=O)[O-] ZINC000892744862 618738953 /nfs/dbraw/zinc/73/89/53/618738953.db2.gz PAXQTMPMUIRQDN-SNVBAGLBSA-N 0 0 296.323 2.747 20 5 CFBDRN CCOc1cc(NC(=O)C2CCC2)ccc1[N+](=O)[O-] ZINC000892751243 618739991 /nfs/dbraw/zinc/73/99/91/618739991.db2.gz SWJIAXPWRREQIY-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000892746870 618740054 /nfs/dbraw/zinc/74/00/54/618740054.db2.gz ODQPWIZCXLIYQX-LBPRGKRZSA-N 0 0 294.307 2.501 20 5 CFBDRN CSc1ccc(F)cc1CNc1c([N+](=O)[O-])cnn1C ZINC000892753557 618740923 /nfs/dbraw/zinc/74/09/23/618740923.db2.gz WDDOGZZMEKPOOV-UHFFFAOYSA-N 0 0 296.327 2.801 20 5 CFBDRN O=C(C1CC1)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892861000 618759027 /nfs/dbraw/zinc/75/90/27/618759027.db2.gz PEUFRYQRJHPMJB-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN C[C@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C1CCOCC1 ZINC000119078583 618798859 /nfs/dbraw/zinc/79/88/59/618798859.db2.gz UPMASUIQIFHCHF-VIFPVBQESA-N 0 0 297.282 2.702 20 5 CFBDRN CCC[C@@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCO1 ZINC000893018849 618805850 /nfs/dbraw/zinc/80/58/50/618805850.db2.gz NIVQEQSGVIMLAY-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1ccccc1C1=CCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000893098500 618830572 /nfs/dbraw/zinc/83/05/72/618830572.db2.gz PJHBVKLWWUTFFR-UHFFFAOYSA-N 0 0 284.319 2.540 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@@H]1CCO[C@@H](C)C1 ZINC000893138472 618844630 /nfs/dbraw/zinc/84/46/30/618844630.db2.gz IJIXWXHXFGFXCH-WDEREUQCSA-N 0 0 295.339 2.616 20 5 CFBDRN Cc1cccc([C@H]2CCN(c3c([N+](=O)[O-])cnn3C)C2)c1 ZINC000893141140 618845165 /nfs/dbraw/zinc/84/51/65/618845165.db2.gz BFUVQPUFDIBXFO-ZDUSSCGKSA-N 0 0 286.335 2.631 20 5 CFBDRN O=C(Nc1ccc(O)cc1F)c1cccc([N+](=O)[O-])c1 ZINC000070333198 625301308 /nfs/dbraw/zinc/30/13/08/625301308.db2.gz XYORNFYUSGINEA-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN Cc1c(C(=O)Nc2ccc(CO)cc2)cccc1[N+](=O)[O-] ZINC000070331568 625301954 /nfs/dbraw/zinc/30/19/54/625301954.db2.gz OHTDTFMCKQAWHV-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN CCO/C=C/C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000840585279 618902549 /nfs/dbraw/zinc/90/25/49/618902549.db2.gz CQBKGVFGLXWDLC-AATRIKPKSA-N 0 0 285.683 2.842 20 5 CFBDRN C=C/C=C\CCNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000893395315 618961745 /nfs/dbraw/zinc/96/17/45/618961745.db2.gz ILBKGVBJFABRRT-WAYWQWQTSA-N 0 0 289.335 2.523 20 5 CFBDRN O=C(NCC[C@@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])o1 ZINC000893404725 618974843 /nfs/dbraw/zinc/97/48/43/618974843.db2.gz YZHYAACNVQYTAG-QMMMGPOBSA-N 0 0 288.250 2.743 20 5 CFBDRN CCNc1ccc(C(=O)N2CCC(F)CC2)cc1[N+](=O)[O-] ZINC000893422112 618986357 /nfs/dbraw/zinc/98/63/57/618986357.db2.gz NCTIEQUNZBAKML-UHFFFAOYSA-N 0 0 295.314 2.601 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000070385092 625308776 /nfs/dbraw/zinc/30/87/76/625308776.db2.gz VDOWQVGYSDAZOA-IUCAKERBSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@H](COC(=O)c1cccc([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000893496245 619020557 /nfs/dbraw/zinc/02/05/57/619020557.db2.gz CUSSEZFYWBIANF-BXUZGUMPSA-N 0 0 293.319 2.957 20 5 CFBDRN C[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCCCO1 ZINC000893504427 619023774 /nfs/dbraw/zinc/02/37/74/619023774.db2.gz ZQPCHSFVKSNBAY-RISCZKNCSA-N 0 0 293.319 2.957 20 5 CFBDRN Cn1cncc1CCCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000314071350 619051309 /nfs/dbraw/zinc/05/13/09/619051309.db2.gz LLHQUMVNIOEYGF-UHFFFAOYSA-N 0 0 295.726 2.993 20 5 CFBDRN C/C=C\COC(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-] ZINC000053921176 619079331 /nfs/dbraw/zinc/07/93/31/619079331.db2.gz PYSIUVOYNRGLSU-XQRVVYSFSA-N 0 0 295.291 2.735 20 5 CFBDRN CC1(F)CN(c2c3cc(F)ccc3ncc2[N+](=O)[O-])C1 ZINC000893591344 619082039 /nfs/dbraw/zinc/08/20/39/619082039.db2.gz SQCGGVQJTXDGNT-UHFFFAOYSA-N 0 0 279.246 2.830 20 5 CFBDRN Cc1ccc(C(=O)OCC(=O)c2ccccc2)cc1[N+](=O)[O-] ZINC000000561007 619084396 /nfs/dbraw/zinc/08/43/96/619084396.db2.gz HVYVPUZIIRPPLH-UHFFFAOYSA-N 0 0 299.282 2.943 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1cccc(Cl)c1 ZINC000893653983 619146312 /nfs/dbraw/zinc/14/63/12/619146312.db2.gz DWSNFYCPFNGVGK-WDEREUQCSA-N 0 0 292.726 2.950 20 5 CFBDRN Cc1ccccc1[C@H]1C[C@H](Nc2c([N+](=O)[O-])cnn2C)C1 ZINC000893668159 619151204 /nfs/dbraw/zinc/15/12/04/619151204.db2.gz IVTXFSPYMPDZSI-HAQNSBGRSA-N 0 0 286.335 2.995 20 5 CFBDRN CC[S@@](C)(=O)=NC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000925828335 619158167 /nfs/dbraw/zinc/15/81/67/619158167.db2.gz FMLCDOPTLLFKTK-GDCKJWNLSA-N 0 0 296.348 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCSC[C@@H]2C)c1C ZINC000889089767 619181621 /nfs/dbraw/zinc/18/16/21/619181621.db2.gz NOFWSUXBYDNRIX-JTQLQIEISA-N 0 0 294.376 2.789 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000925868303 619191504 /nfs/dbraw/zinc/19/15/04/619191504.db2.gz YTTDALIIMOKRJL-UHFFFAOYSA-N 0 0 298.364 2.860 20 5 CFBDRN O=[N+]([O-])c1cnc2c(Cl)cccc2c1N1CCCCN1 ZINC000893833240 619225071 /nfs/dbraw/zinc/22/50/71/619225071.db2.gz NIVNHFPNCONZOX-UHFFFAOYSA-N 0 0 292.726 2.901 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(C2)CCOCC3)c1 ZINC000893938640 619248421 /nfs/dbraw/zinc/24/84/21/619248421.db2.gz QLBAJNJDHKEWTE-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN C/C(=C/C(=O)N[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000840503482 619252117 /nfs/dbraw/zinc/25/21/17/619252117.db2.gz MXBODJPYXUGASD-KJJQSCHISA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1cccc2c1N(C[C@H](O)c1cccc([N+](=O)[O-])c1)CC2 ZINC000178867929 619261927 /nfs/dbraw/zinc/26/19/27/619261927.db2.gz KYRWDPWNWVCLDA-INIZCTEOSA-N 0 0 298.342 2.999 20 5 CFBDRN O=C(O[C@H](C1CCCCC1)C(F)(F)F)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841167407 619264583 /nfs/dbraw/zinc/26/45/83/619264583.db2.gz AORKQVQQTDKORG-OPRDCNLKSA-N 0 0 295.257 2.706 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ccoc1 ZINC000016205967 619285820 /nfs/dbraw/zinc/28/58/20/619285820.db2.gz PDGGKERQIXKOSH-UHFFFAOYSA-N 0 0 250.185 2.579 20 5 CFBDRN Cc1nc(C(C)C)sc1[C@@H](C)OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841173747 619288956 /nfs/dbraw/zinc/28/89/56/619288956.db2.gz ZBJOFBAILRCFLO-OPRDCNLKSA-N 0 0 298.364 2.844 20 5 CFBDRN CC[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000840670536 619299463 /nfs/dbraw/zinc/29/94/63/619299463.db2.gz HYBVSGNYJNXAIM-IJLUTSLNSA-N 0 0 283.711 3.000 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CCC[C@H](C2CC2)C1 ZINC000894282763 619306857 /nfs/dbraw/zinc/30/68/57/619306857.db2.gz JYUKROYKRWEVPL-WDEREUQCSA-N 0 0 264.329 2.709 20 5 CFBDRN O=C(OCc1cc2ccccc2s1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840673575 619336208 /nfs/dbraw/zinc/33/62/08/619336208.db2.gz TXAHQZBLJIJUSC-GHMZBOCLSA-N 0 0 277.301 2.610 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889602351 619342498 /nfs/dbraw/zinc/34/24/98/619342498.db2.gz CVHFPIQERRIKRB-XHVZSJERSA-N 0 0 290.319 2.522 20 5 CFBDRN CC(C)Oc1cccc([C@H](C)OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000841188711 619342553 /nfs/dbraw/zinc/34/25/53/619342553.db2.gz MHARHYUVMGHORH-ZLKJLUDKSA-N 0 0 293.319 2.743 20 5 CFBDRN O=C(OCc1cc(Cl)cc(Cl)c1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840674923 619350256 /nfs/dbraw/zinc/35/02/56/619350256.db2.gz SSVMVAXQOWYGKX-NXEZZACHSA-N 0 0 290.102 2.702 20 5 CFBDRN CC1=NN(c2cccc([N+](=O)[O-])c2)C(=O)[C@@H]1C(C)C ZINC000108459828 619360848 /nfs/dbraw/zinc/36/08/48/619360848.db2.gz URMOYBKIQOHKKF-GFCCVEGCSA-N 0 0 261.281 2.590 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CC1(Cl)Cl ZINC000726915719 619377175 /nfs/dbraw/zinc/37/71/75/619377175.db2.gz KRXVOPMPHVOMSG-ZCFIWIBFSA-N 0 0 293.081 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCN=S2(=O)CCCC2)c1 ZINC000894418916 619379401 /nfs/dbraw/zinc/37/94/01/619379401.db2.gz MBUWKGUVRAFHAY-UHFFFAOYSA-N 0 0 297.380 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@H]2CCCO2)c1C ZINC000894513404 619406396 /nfs/dbraw/zinc/40/63/96/619406396.db2.gz WIUNTIJJBBPPII-GFCCVEGCSA-N 0 0 292.335 2.511 20 5 CFBDRN CC1(C)CO[C@H](CCNC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000894506571 619407190 /nfs/dbraw/zinc/40/71/90/619407190.db2.gz VVAGBMSLAKXHRM-LLVKDONJSA-N 0 0 292.335 2.530 20 5 CFBDRN Cn1c(=O)c([N+](=O)[O-])c(N[C@H]2C=CCC2)c2ccccc21 ZINC000894522314 619410212 /nfs/dbraw/zinc/41/02/12/619410212.db2.gz GTDGBIWNCXPRMN-JTQLQIEISA-N 0 0 285.303 2.577 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cn1cccnc1=S ZINC000178918770 619419457 /nfs/dbraw/zinc/41/94/57/619419457.db2.gz CWPSQXFBWABVCP-UHFFFAOYSA-N 0 0 288.332 2.541 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H](C)O ZINC000792117609 619424912 /nfs/dbraw/zinc/42/49/12/619424912.db2.gz KGAGRQFYYUNMNQ-SECBINFHSA-N 0 0 299.348 2.635 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000894587730 619425120 /nfs/dbraw/zinc/42/51/20/619425120.db2.gz GHDSBROBGXVWFR-NQBHXWOUSA-N 0 0 291.351 2.796 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H](OC)C1CCCC1 ZINC000894606277 619426594 /nfs/dbraw/zinc/42/65/94/619426594.db2.gz RKFKELZOVUUSAX-CYBMUJFWSA-N 0 0 295.339 2.616 20 5 CFBDRN CC(=O)c1ccc(N2CC(c3ccccn3)C2)c([N+](=O)[O-])c1 ZINC000894846561 619481328 /nfs/dbraw/zinc/48/13/28/619481328.db2.gz QKXHRBKGHSLAET-UHFFFAOYSA-N 0 0 297.314 2.796 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC(CCF)CC1 ZINC000894905729 619509734 /nfs/dbraw/zinc/50/97/34/619509734.db2.gz IFMWXNMYKNQTCN-UHFFFAOYSA-N 0 0 283.303 2.574 20 5 CFBDRN Cc1ccc(Nc2cnn3c2NC[C@@H](C)C3)c([N+](=O)[O-])c1 ZINC000894950795 619517503 /nfs/dbraw/zinc/51/75/03/619517503.db2.gz DETFXJISWJKJRI-SNVBAGLBSA-N 0 0 287.323 2.905 20 5 CFBDRN Cc1cc(Nc2cnn3c2NC[C@@H](C)C3)ccc1[N+](=O)[O-] ZINC000894954194 619517883 /nfs/dbraw/zinc/51/78/83/619517883.db2.gz KKXNUWXMNPBHCJ-SECBINFHSA-N 0 0 287.323 2.905 20 5 CFBDRN CC(C)OC1CN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000894971944 619519116 /nfs/dbraw/zinc/51/91/16/619519116.db2.gz QHWGLLPZPHCMRU-UHFFFAOYSA-N 0 0 287.319 2.757 20 5 CFBDRN COc1c(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)cccc1[N+](=O)[O-] ZINC000889604532 619536839 /nfs/dbraw/zinc/53/68/39/619536839.db2.gz DOMYLAYDEVYBOJ-JBLDHEPKSA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(c1cc(F)c(Cl)c([N+](=O)[O-])c1Cl)N1CCC1 ZINC000895521202 619544453 /nfs/dbraw/zinc/54/44/53/619544453.db2.gz BHBHXLABKLSKLT-UHFFFAOYSA-N 0 0 293.081 2.887 20 5 CFBDRN COC(=O)/C(C)=C\CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000895512846 619544766 /nfs/dbraw/zinc/54/47/66/619544766.db2.gz LFIYISOTCCZRKU-YWEYNIOJSA-N 0 0 282.271 2.574 20 5 CFBDRN Cc1nc(NCc2cc(C(F)F)on2)ccc1[N+](=O)[O-] ZINC000895604852 619564642 /nfs/dbraw/zinc/56/46/42/619564642.db2.gz HSFVPOXBSVQACF-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cc(C(F)F)on2)s1 ZINC000895604805 619564722 /nfs/dbraw/zinc/56/47/22/619564722.db2.gz GYGPWOGOTXTWLS-UHFFFAOYSA-N 0 0 276.224 2.589 20 5 CFBDRN Cn1ccc([C@H]2CCCN(c3ccccc3[N+](=O)[O-])C2)n1 ZINC000895632875 619572236 /nfs/dbraw/zinc/57/22/36/619572236.db2.gz UNLFESNNLURHCD-LBPRGKRZSA-N 0 0 286.335 2.712 20 5 CFBDRN Cn1ccc([C@H]2CCCN(c3ccsc3[N+](=O)[O-])C2)n1 ZINC000895635524 619573888 /nfs/dbraw/zinc/57/38/88/619573888.db2.gz MTNJGHOMVWWGKQ-JTQLQIEISA-N 0 0 292.364 2.774 20 5 CFBDRN C[C@@H](Nc1ccnc(N(C)C)n1)c1ccc([N+](=O)[O-])cc1 ZINC000895667167 619581718 /nfs/dbraw/zinc/58/17/18/619581718.db2.gz ASGQAVRLPZCPNF-SNVBAGLBSA-N 0 0 287.323 2.624 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN1c1c(F)cccc1[N+](=O)[O-] ZINC000895718002 619589745 /nfs/dbraw/zinc/58/97/45/619589745.db2.gz CMESZKDYWAELTC-LBPRGKRZSA-N 0 0 290.298 2.809 20 5 CFBDRN C[C@@H](CNC(=O)N[C@@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000895719244 619590128 /nfs/dbraw/zinc/59/01/28/619590128.db2.gz LKOJMPXGHULESS-NWDGAFQWSA-N 0 0 289.335 2.716 20 5 CFBDRN C[C@H]1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)CCO1 ZINC000895758733 619601015 /nfs/dbraw/zinc/60/10/15/619601015.db2.gz MPRJEYVECABYBT-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H]1CCN(c2nc3ccccc3cc2[N+](=O)[O-])CCO1 ZINC000895759738 619601623 /nfs/dbraw/zinc/60/16/23/619601623.db2.gz JTWADHQMQBFHLB-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1nc(N[C@H](c2ncccn2)C2CC2)ccc1[N+](=O)[O-] ZINC000895778455 619605426 /nfs/dbraw/zinc/60/54/26/619605426.db2.gz YRVJUDRCPYQHOG-ZDUSSCGKSA-N 0 0 285.307 2.651 20 5 CFBDRN COC(C)(C)[C@H](C)Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000895786404 619606469 /nfs/dbraw/zinc/60/64/69/619606469.db2.gz RKBJAPAOPXYQPP-VIFPVBQESA-N 0 0 290.323 2.763 20 5 CFBDRN C[C@@H]1COC[C@@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000895908980 619632689 /nfs/dbraw/zinc/63/26/89/619632689.db2.gz KOYAQIKPPSNUGQ-OTYXRUKQSA-N 0 0 273.292 2.590 20 5 CFBDRN CNC(=O)c1ccc(NC(C)(C)/C=C/Cl)c([N+](=O)[O-])c1 ZINC000895916311 619633832 /nfs/dbraw/zinc/63/38/32/619633832.db2.gz ZCVWUGWCNYZDDL-VOTSOKGWSA-N 0 0 297.742 2.897 20 5 CFBDRN Cc1cc(N(C)C[C@H](O)c2cccnc2)c([N+](=O)[O-])s1 ZINC000895935902 619639670 /nfs/dbraw/zinc/63/96/70/619639670.db2.gz LMODMBLICRBQAK-LBPRGKRZSA-N 0 0 293.348 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2ncccn2)c(F)c1 ZINC000895944000 619641739 /nfs/dbraw/zinc/64/17/39/619641739.db2.gz NAAMNZBMAMBIPV-ZDUSSCGKSA-N 0 0 288.282 2.865 20 5 CFBDRN Cc1c(CN2CC(OC(C)C)C2)cccc1[N+](=O)[O-] ZINC000895955139 619644005 /nfs/dbraw/zinc/64/40/05/619644005.db2.gz AHGRAGVAGSOTPX-UHFFFAOYSA-N 0 0 264.325 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@H]2c2ncccn2)cn1 ZINC000895976082 619644744 /nfs/dbraw/zinc/64/47/44/619644744.db2.gz LVBBHIDDXQUNMD-LBPRGKRZSA-N 0 0 285.307 2.511 20 5 CFBDRN C[C@H]1Cc2cc(CNc3ncccc3[N+](=O)[O-])ccc2O1 ZINC000896045315 619659000 /nfs/dbraw/zinc/65/90/00/619659000.db2.gz METSGGFLUCRJHF-JTQLQIEISA-N 0 0 285.303 2.925 20 5 CFBDRN Cc1cc(NC[C@@H]2C=C[C@H]3C[C@@H]2CO3)c([N+](=O)[O-])s1 ZINC000896078854 619668647 /nfs/dbraw/zinc/66/86/47/619668647.db2.gz QTRVAKWKOHCVEN-AXFHLTTASA-N 0 0 280.349 2.968 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H]2C(F)(F)F)c1 ZINC000896091160 619671519 /nfs/dbraw/zinc/67/15/19/619671519.db2.gz RKPAPTOSVABAFW-POYBYMJQSA-N 0 0 275.230 2.787 20 5 CFBDRN C[C@@]1(CNc2ccnc(F)c2[N+](=O)[O-])CCCCO1 ZINC000896182029 619687743 /nfs/dbraw/zinc/68/77/43/619687743.db2.gz PMSHYFZEZLKQJM-LBPRGKRZSA-N 0 0 269.276 2.500 20 5 CFBDRN CCC[C@H](O)CCNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000896183271 619688217 /nfs/dbraw/zinc/68/82/17/619688217.db2.gz NDLWKQCNILRVKM-LBPRGKRZSA-N 0 0 289.335 2.528 20 5 CFBDRN CCC[C@H](O)CCNc1cc(OC)ccc1[N+](=O)[O-] ZINC000896185992 619690112 /nfs/dbraw/zinc/69/01/12/619690112.db2.gz KOYLPFIDRJAIGT-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CCC[C@H](O)CCNc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000896186799 619690485 /nfs/dbraw/zinc/69/04/85/619690485.db2.gz LOVCFQZXPCMQDU-LBPRGKRZSA-N 0 0 282.340 2.957 20 5 CFBDRN CCC[C@H](O)CCNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000896186588 619690596 /nfs/dbraw/zinc/69/05/96/619690596.db2.gz PUQXGJSTVSAVHX-LBPRGKRZSA-N 0 0 280.324 2.760 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccnc(F)c2[N+](=O)[O-])CCS1 ZINC000896245097 619700013 /nfs/dbraw/zinc/70/00/13/619700013.db2.gz DRQDAVIKBAJHOM-YUMQZZPRSA-N 0 0 271.317 2.825 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1CCSC2(CCC2)C1 ZINC000896248942 619704789 /nfs/dbraw/zinc/70/47/89/619704789.db2.gz XTVZTCYPBKKMEM-VIFPVBQESA-N 0 0 282.369 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(O)(C3CCC3)CC2)s1 ZINC000896325448 619715682 /nfs/dbraw/zinc/71/56/82/619715682.db2.gz USEYXMIHSZAWLA-UHFFFAOYSA-N 0 0 282.365 2.788 20 5 CFBDRN C[C@H]1CCC(=O)CN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000896338716 619718939 /nfs/dbraw/zinc/71/89/39/619718939.db2.gz IHEDVZZQIKFWRS-QMMMGPOBSA-N 0 0 268.700 2.806 20 5 CFBDRN CCC(=O)c1ccc(N2CCCC(=O)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000896339181 619719398 /nfs/dbraw/zinc/71/93/98/619719398.db2.gz MYAOCEWZNUQPSZ-JTQLQIEISA-N 0 0 290.319 2.745 20 5 CFBDRN CCO[C@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000896408831 619731471 /nfs/dbraw/zinc/73/14/71/619731471.db2.gz AUHDZTCMJZXVSV-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCc3cccnc32)cn1 ZINC000896412734 619731888 /nfs/dbraw/zinc/73/18/88/619731888.db2.gz XURIQTNZBUALNB-GFCCVEGCSA-N 0 0 270.292 2.874 20 5 CFBDRN Cc1cnc(N[C@H](c2cnn(C)c2)C(C)C)c([N+](=O)[O-])c1 ZINC000896428114 619736035 /nfs/dbraw/zinc/73/60/35/619736035.db2.gz SDARIMGKCBIOIS-ZDUSSCGKSA-N 0 0 289.339 2.841 20 5 CFBDRN Cn1nc(CNc2ccccc2[N+](=O)[O-])cc1C1CC1 ZINC000896530541 619754305 /nfs/dbraw/zinc/75/43/05/619754305.db2.gz DOWBJXSKCRKAHF-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN Cn1nc(CNc2ccsc2[N+](=O)[O-])cc1C1CC1 ZINC000896527227 619756242 /nfs/dbraw/zinc/75/62/42/619756242.db2.gz IYVOJBFRFXNGRU-UHFFFAOYSA-N 0 0 278.337 2.879 20 5 CFBDRN CCc1onc(C)c1CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000896664120 619786412 /nfs/dbraw/zinc/78/64/12/619786412.db2.gz HMVKNTWAUUUGPJ-UHFFFAOYSA-N 0 0 296.714 2.536 20 5 CFBDRN Cc1cc(NCc2noc3c2COCC3)ccc1[N+](=O)[O-] ZINC000896704934 619791208 /nfs/dbraw/zinc/79/12/08/619791208.db2.gz UBELCCCJYINSGP-UHFFFAOYSA-N 0 0 289.291 2.576 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2C[C@H](CO)C2)cccc1C(F)(F)F ZINC000896694789 619792572 /nfs/dbraw/zinc/79/25/72/619792572.db2.gz HZXZEFVAOZGMQU-ZKCHVHJHSA-N 0 0 290.241 2.796 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC1(C2(O)CCC2)CC1 ZINC000896809787 619823603 /nfs/dbraw/zinc/82/36/03/619823603.db2.gz NSRVVORLHRJYLD-UHFFFAOYSA-N 0 0 268.338 2.763 20 5 CFBDRN Cc1ccnc(NCC[C@@H]2CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000896815680 619827777 /nfs/dbraw/zinc/82/77/77/619827777.db2.gz HVNLCQJZBIXBAX-RYUDHWBXSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCCC[C@@H]2O)n1 ZINC000896816879 619829086 /nfs/dbraw/zinc/82/90/86/619829086.db2.gz WDUUQZCATHNHHX-AAEUAGOBSA-N 0 0 279.340 2.651 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC[C@H]1CCCC[C@H]1O ZINC000896816928 619829598 /nfs/dbraw/zinc/82/95/98/619829598.db2.gz XEWUIVSPLAJYRX-BXKDBHETSA-N 0 0 299.758 2.996 20 5 CFBDRN C[C@H]1CC2(CC(Nc3ccc([N+](=O)[O-])c(N)c3F)C2)CO1 ZINC000897053252 619921125 /nfs/dbraw/zinc/92/11/25/619921125.db2.gz SNNXHDAWSDLZQL-JUXJKVCFSA-N 0 0 295.314 2.686 20 5 CFBDRN Cc1ccoc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000070706553 625381054 /nfs/dbraw/zinc/38/10/54/625381054.db2.gz XLYKDEBMUKJIJI-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN C[C@@H](CNC(=O)NC1(C)CCC1)c1ccccc1[N+](=O)[O-] ZINC000897062718 619923728 /nfs/dbraw/zinc/92/37/28/619923728.db2.gz YLBATSVVOTZLNO-NSHDSACASA-N 0 0 291.351 2.940 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000897148030 619939895 /nfs/dbraw/zinc/93/98/95/619939895.db2.gz UAWTZTQZOMRILP-GXTWGEPZSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000897148934 619940148 /nfs/dbraw/zinc/94/01/48/619940148.db2.gz LNCWPCCUQWMJOD-NEPJUHHUSA-N 0 0 270.716 2.862 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2C[C@H]3CCC[C@H]32)cc1F ZINC000897176937 619944964 /nfs/dbraw/zinc/94/49/64/619944964.db2.gz HBYXJXLPHPNOJK-ZDSQKVDBSA-N 0 0 280.299 2.827 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897177391 619944995 /nfs/dbraw/zinc/94/49/95/619944995.db2.gz OUNVHLGXMADRBG-INTQDDNPSA-N 0 0 263.297 2.599 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897177700 619945003 /nfs/dbraw/zinc/94/50/03/619945003.db2.gz UHULKOLTNNWFMM-HBNTYKKESA-N 0 0 264.329 2.720 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CC(C)(C)C ZINC000070747591 625383513 /nfs/dbraw/zinc/38/35/13/625383513.db2.gz NXAKLCJYKIPRSC-UHFFFAOYSA-N 0 0 267.281 2.945 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(CCCCF)no1 ZINC000897211306 619951813 /nfs/dbraw/zinc/95/18/13/619951813.db2.gz RSGBAUVGLJRLGR-UHFFFAOYSA-N 0 0 265.244 2.937 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nc(CCCCF)no1 ZINC000897212023 619952283 /nfs/dbraw/zinc/95/22/83/619952283.db2.gz PUKUXMWXFZHKLG-UHFFFAOYSA-N 0 0 295.270 2.849 20 5 CFBDRN Cc1nn(C)c(NCC[C@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000897239464 619957269 /nfs/dbraw/zinc/95/72/69/619957269.db2.gz FLZMKCYVDFHHFO-SECBINFHSA-N 0 0 288.298 2.874 20 5 CFBDRN CC1(C)CCC[C@H](CNc2ncc([N+](=O)[O-])s2)O1 ZINC000897255072 619960613 /nfs/dbraw/zinc/96/06/13/619960613.db2.gz XEWHOKUTFZJQSN-MRVPVSSYSA-N 0 0 271.342 2.811 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])nc2)CCN1c1ccccc1 ZINC000897274010 619965418 /nfs/dbraw/zinc/96/54/18/619965418.db2.gz ZSTPCPYVJSCAGH-CYBMUJFWSA-N 0 0 298.346 2.705 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909592297 619966893 /nfs/dbraw/zinc/96/68/93/619966893.db2.gz UYNOHGZCPQFORN-SECBINFHSA-N 0 0 299.348 2.899 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@H](Cc2nccs2)C1 ZINC000897279172 619966939 /nfs/dbraw/zinc/96/69/39/619966939.db2.gz IGHZAGIHEXLWBS-SNVBAGLBSA-N 0 0 290.348 2.515 20 5 CFBDRN COC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909592880 619967217 /nfs/dbraw/zinc/96/72/17/619967217.db2.gz ZHUDECKSORSILT-MRVPVSSYSA-N 0 0 285.321 2.508 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](Cc3nccs3)C2)s1 ZINC000897282472 619968615 /nfs/dbraw/zinc/96/86/15/619968615.db2.gz LUJUIEWJNNXOTL-QMMMGPOBSA-N 0 0 296.377 2.577 20 5 CFBDRN CCOC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000870277214 619972973 /nfs/dbraw/zinc/97/29/73/619972973.db2.gz XPLQMTHRNNSMIK-UHFFFAOYSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NOC2CCCC2)c1C ZINC000897307299 619975615 /nfs/dbraw/zinc/97/56/15/619975615.db2.gz KPVUMGQNUPOALQ-UHFFFAOYSA-N 0 0 278.308 2.816 20 5 CFBDRN Cc1c(CC(=O)O[C@@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000797244446 619986859 /nfs/dbraw/zinc/98/68/59/619986859.db2.gz MTLOAMKHDHBOEF-GFCCVEGCSA-N 0 0 261.277 2.708 20 5 CFBDRN Cc1cc(CSc2nccc(C)c2[N+](=O)[O-])no1 ZINC000897333154 619998152 /nfs/dbraw/zinc/99/81/52/619998152.db2.gz CINMDWSVHDFXAH-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN O=[N+]([O-])c1cccc(OS(=O)(=O)c2ccc(F)cc2)c1 ZINC000016091755 620000608 /nfs/dbraw/zinc/00/06/08/620000608.db2.gz QPYOROUUXGXIHW-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000121651930 620007776 /nfs/dbraw/zinc/00/77/76/620007776.db2.gz GFWNIONPVHGTFK-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@@H](C)[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000925247153 620067568 /nfs/dbraw/zinc/06/75/68/620067568.db2.gz KKYOMMZGXHPJKT-GMTAPVOTSA-N 0 0 277.324 2.706 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000928940175 620090680 /nfs/dbraw/zinc/09/06/80/620090680.db2.gz JSCTVZVHJWYDGA-FPLPWBNLSA-N 0 0 297.742 2.654 20 5 CFBDRN C[C@H](C(=O)[O-])[N@H+](Cc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000263058258 620100311 /nfs/dbraw/zinc/10/03/11/620100311.db2.gz FFHVRKKRQWBUHK-MRVPVSSYSA-N 0 0 298.726 2.686 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000861299395 620104995 /nfs/dbraw/zinc/10/49/95/620104995.db2.gz OZTZWLRHVQRGBQ-KYZUINATSA-N 0 0 285.683 2.582 20 5 CFBDRN O=[N+]([O-])c1cc(-c2nc(C3CC3)no2)ccc1-n1ccnc1 ZINC000109534186 620119636 /nfs/dbraw/zinc/11/96/36/620119636.db2.gz MYTUWPABVQGPRT-UHFFFAOYSA-N 0 0 297.274 2.708 20 5 CFBDRN COC[C@@H](C)OC(=O)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC000866963736 620135373 /nfs/dbraw/zinc/13/53/73/620135373.db2.gz XRALIADGSXUNLC-SSDOTTSWSA-N 0 0 289.671 2.799 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)cc1[N+](=O)[O-] ZINC000889581256 620149365 /nfs/dbraw/zinc/14/93/65/620149365.db2.gz OZGGZJSKIVXKIN-XHVZSJERSA-N 0 0 289.335 2.555 20 5 CFBDRN CC(=O)c1cc(N[C@H]2COC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897452468 620174235 /nfs/dbraw/zinc/17/42/35/620174235.db2.gz VOUPCXLQCVMDOO-KGLIPLIRSA-N 0 0 290.319 2.634 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1COC[C@@H]1C1CC1 ZINC000897446645 620175113 /nfs/dbraw/zinc/17/51/13/620175113.db2.gz XKCUPUBICOYMDS-PWSUYJOCSA-N 0 0 266.272 2.571 20 5 CFBDRN CCOc1cc(N[C@@H]2COC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897446713 620175148 /nfs/dbraw/zinc/17/51/48/620175148.db2.gz IGZNFMSEDVHRED-CHWSQXEVSA-N 0 0 292.335 2.830 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929103308 620235420 /nfs/dbraw/zinc/23/54/20/620235420.db2.gz DZQCRQIKECGNNT-TVYUQYBPSA-N 0 0 262.309 2.613 20 5 CFBDRN C[C@@H](C(=O)C(C)(C)C)n1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000865357885 620240719 /nfs/dbraw/zinc/24/07/19/620240719.db2.gz RJFPROAPOSGANY-ZETCQYMHSA-N 0 0 286.715 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(O[C@H](C)C(=O)C(C)(C)C)n1 ZINC000865364793 620241595 /nfs/dbraw/zinc/24/15/95/620241595.db2.gz UWNDWZHBJCSSHH-SNVBAGLBSA-N 0 0 280.324 2.989 20 5 CFBDRN Cc1nn(Cc2ccc(C)c(Cl)n2)cc1[N+](=O)[O-] ZINC000865368832 620242071 /nfs/dbraw/zinc/24/20/71/620242071.db2.gz YQQNXFVOROKLAE-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]([C@H]3CCOC3)C2)s1 ZINC000897567328 620248884 /nfs/dbraw/zinc/24/88/84/620248884.db2.gz JDTYXYVABDJSKA-MNOVXSKESA-N 0 0 297.380 2.694 20 5 CFBDRN CC(C)c1ccc(CN2CC[C@@H](O)[C@H](F)C2)cc1[N+](=O)[O-] ZINC000898194842 620351911 /nfs/dbraw/zinc/35/19/11/620351911.db2.gz PVAIEXZUZLUENU-UKRRQHHQSA-N 0 0 296.342 2.623 20 5 CFBDRN C[C@@H](NC(=O)c1ccnc(F)c1)c1cccc([N+](=O)[O-])c1 ZINC000041039136 620427512 /nfs/dbraw/zinc/42/75/12/620427512.db2.gz ZAWMUFCPOQOLRA-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN CC(C)(NC(=O)NC/C=C\Cl)c1ccccc1[N+](=O)[O-] ZINC000899042492 620515622 /nfs/dbraw/zinc/51/56/22/620515622.db2.gz JPAOXRAHANAEGV-YVMONPNESA-N 0 0 297.742 2.882 20 5 CFBDRN Cn1cc(-c2nc3cc([N+](=O)[O-])ccc3n2C)c(C2CC2)n1 ZINC000899259966 620538326 /nfs/dbraw/zinc/53/83/26/620538326.db2.gz WCJKUEVVRQPHET-UHFFFAOYSA-N 0 0 297.318 2.759 20 5 CFBDRN O=C(CSC(F)F)OCc1ccccc1[N+](=O)[O-] ZINC000899268032 620540346 /nfs/dbraw/zinc/54/03/46/620540346.db2.gz SQUQEDMRZSUJEG-UHFFFAOYSA-N 0 0 277.248 2.594 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000899418618 620562275 /nfs/dbraw/zinc/56/22/75/620562275.db2.gz XOYBBHMQYMSSQB-FIDNZITISA-N 0 0 292.310 2.756 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000899417589 620566931 /nfs/dbraw/zinc/56/69/31/620566931.db2.gz LDOFJJGFJFZQAF-VMXABPDPSA-N 0 0 288.347 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)c1 ZINC000899416828 620566981 /nfs/dbraw/zinc/56/69/81/620566981.db2.gz SIGWTYZFOIPGBC-CYDGBPFRSA-N 0 0 288.347 2.925 20 5 CFBDRN Cc1sc2nc(C)nc(SCC[N+](=O)[O-])c2c1C ZINC000899860314 620606444 /nfs/dbraw/zinc/60/64/44/620606444.db2.gz JNWQYDFPBHILNL-UHFFFAOYSA-N 0 0 283.378 2.985 20 5 CFBDRN O=[N+]([O-])CCSc1ncnc2sc3c(c21)CCC3 ZINC000899861500 620606638 /nfs/dbraw/zinc/60/66/38/620606638.db2.gz IAVCQSFEADSUAF-UHFFFAOYSA-N 0 0 281.362 2.549 20 5 CFBDRN Cc1cccc(Nc2nnc(SCC[N+](=O)[O-])s2)c1 ZINC000899862027 620606676 /nfs/dbraw/zinc/60/66/76/620606676.db2.gz QTGGWGOZCTXDJG-UHFFFAOYSA-N 0 0 296.377 2.959 20 5 CFBDRN Cc1ncc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)n1C ZINC000899938201 620616138 /nfs/dbraw/zinc/61/61/38/620616138.db2.gz XJHMYIPPNKDSMM-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CC(C)C[C@](C)(C[NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000900052817 620630316 /nfs/dbraw/zinc/63/03/16/620630316.db2.gz YVIWUPIFDIEXHE-OAHLLOKOSA-N 0 0 294.351 2.821 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@H](C(=O)[O-])C2CC2)cc1[N+](=O)[O-] ZINC000901478194 620826110 /nfs/dbraw/zinc/82/61/10/620826110.db2.gz VKFJTEVXZZFZTB-AWEZNQCLSA-N 0 0 292.335 2.671 20 5 CFBDRN CCc1ccc(OCc2nn(C)cc2C)c([N+](=O)[O-])c1 ZINC000901495294 620836638 /nfs/dbraw/zinc/83/66/38/620836638.db2.gz ZFJHMDAKYHEGOI-UHFFFAOYSA-N 0 0 275.308 2.778 20 5 CFBDRN CC(C)C[N@H+](C/C=C\c1ccccc1[N+](=O)[O-])CC(=O)[O-] ZINC000901590669 620864336 /nfs/dbraw/zinc/86/43/36/620864336.db2.gz PBYKCCSTQQHCMI-ALCCZGGFSA-N 0 0 292.335 2.651 20 5 CFBDRN C[C@H]([NH2+]Cc1cnn(CCF)c1)c1ccc([N+](=O)[O-])cc1 ZINC000901657936 620884254 /nfs/dbraw/zinc/88/42/54/620884254.db2.gz VDNLIHJWAIFQLP-NSHDSACASA-N 0 0 292.314 2.612 20 5 CFBDRN COC(C)(C)CCOc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000901700409 620898004 /nfs/dbraw/zinc/89/80/04/620898004.db2.gz SMZWUDKNCSARAD-UHFFFAOYSA-N 0 0 297.307 2.560 20 5 CFBDRN O=C([O-])CC1([NH2+]C/C=C/c2ccccc2[N+](=O)[O-])CCC1 ZINC000901706729 620903147 /nfs/dbraw/zinc/90/31/47/620903147.db2.gz JKFWNUYCBAMIKP-ZZXKWVIFSA-N 0 0 290.319 2.595 20 5 CFBDRN C[C@@]1(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)C=CCC1 ZINC000901778995 620913405 /nfs/dbraw/zinc/91/34/05/620913405.db2.gz FTRTXEPYCYINLU-OAHLLOKOSA-N 0 0 272.304 2.840 20 5 CFBDRN Cc1ccc(C[NH2+][C@](C)(CC(=O)[O-])C2CC2)cc1[N+](=O)[O-] ZINC000901951592 620944322 /nfs/dbraw/zinc/94/43/22/620944322.db2.gz ZPNXLIVAFQFHTL-OAHLLOKOSA-N 0 0 292.335 2.636 20 5 CFBDRN O=C([O-])CC[N@H+](C/C=C/c1ccccc1[N+](=O)[O-])C1CC1 ZINC000901972660 620948496 /nfs/dbraw/zinc/94/84/96/620948496.db2.gz WLYUGGUZIDAGAI-HWKANZROSA-N 0 0 290.319 2.547 20 5 CFBDRN CO[C@H]1Cc2ccc(NCc3cccnc3[N+](=O)[O-])cc2C1 ZINC000902035934 620960913 /nfs/dbraw/zinc/96/09/13/620960913.db2.gz UOGSFGZQCCYHOH-HNNXBMFYSA-N 0 0 299.330 2.716 20 5 CFBDRN CCC(=O)c1ccccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000902106243 620974871 /nfs/dbraw/zinc/97/48/71/620974871.db2.gz VMAHCNJFXXZKIW-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])cc(C)c1F)C1CC1 ZINC000323675458 620981943 /nfs/dbraw/zinc/98/19/43/620981943.db2.gz CXZBEAQMMOJNCB-GFCCVEGCSA-N 0 0 280.299 2.961 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@@H]1C[C@]12CCOC2 ZINC000902234545 621003616 /nfs/dbraw/zinc/00/36/16/621003616.db2.gz QNJWDRUTNCTNHP-ZANVPECISA-N 0 0 267.256 2.539 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OC[C@@H]2C[C@@]23CCOC3)c1 ZINC000902298890 621025811 /nfs/dbraw/zinc/02/58/11/621025811.db2.gz WDSAKFUCERQEHE-TVQRCGJNSA-N 0 0 267.256 2.539 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2C[C@@]23CCOC3)c([N+](=O)[O-])c1 ZINC000902305469 621028029 /nfs/dbraw/zinc/02/80/29/621028029.db2.gz QQOIARGHUWCDOX-IUODEOHRSA-N 0 0 291.303 2.603 20 5 CFBDRN CCOCCCSCc1cccnc1[N+](=O)[O-] ZINC000902330232 621038604 /nfs/dbraw/zinc/03/86/04/621038604.db2.gz GOSNQAHGMKCQAK-UHFFFAOYSA-N 0 0 256.327 2.650 20 5 CFBDRN Cn1cc(CSCCc2cccs2)c([N+](=O)[O-])n1 ZINC000902371129 621044309 /nfs/dbraw/zinc/04/43/09/621044309.db2.gz YCFPJYQXDZPRLH-UHFFFAOYSA-N 0 0 283.378 2.866 20 5 CFBDRN Cc1nccc(CSCc2cccnc2[N+](=O)[O-])n1 ZINC000902381499 621058513 /nfs/dbraw/zinc/05/85/13/621058513.db2.gz OMAXCJMNVCCFBG-UHFFFAOYSA-N 0 0 276.321 2.522 20 5 CFBDRN COCCCCSCc1cccnc1[N+](=O)[O-] ZINC000902387461 621060998 /nfs/dbraw/zinc/06/09/98/621060998.db2.gz PWOGDHHAGWJZKK-UHFFFAOYSA-N 0 0 256.327 2.650 20 5 CFBDRN C[C@@H](NC(=O)NC[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000923994167 625461336 /nfs/dbraw/zinc/46/13/36/625461336.db2.gz OHOZBGVVNOIYFU-VXGBXAGGSA-N 0 0 289.335 2.921 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@]23CCOC3)c(F)c1F ZINC000902424342 621074676 /nfs/dbraw/zinc/07/46/76/621074676.db2.gz MTRSOCRMRSPCMI-SDBXPKJASA-N 0 0 285.246 2.678 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(C[C@H]2C[C@@]23CCOC3)c1 ZINC000902490907 621102160 /nfs/dbraw/zinc/10/21/60/621102160.db2.gz IHCSKWVZFOWNTJ-CZUORRHYSA-N 0 0 299.330 2.885 20 5 CFBDRN C[C@@H]([NH2+]CCC(C)(C)C(=O)[O-])c1ccc([N+](=O)[O-])cc1 ZINC000902561576 621122506 /nfs/dbraw/zinc/12/25/06/621122506.db2.gz FVLFBRMEYPCHTM-SNVBAGLBSA-N 0 0 280.324 2.746 20 5 CFBDRN Cn1cc(CSCCCC(C)(F)F)c([N+](=O)[O-])n1 ZINC000902571799 621127966 /nfs/dbraw/zinc/12/79/66/621127966.db2.gz FNMDXLMUFIDRQE-UHFFFAOYSA-N 0 0 279.312 2.997 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCCOC2CC2)cc1 ZINC000902577243 621128952 /nfs/dbraw/zinc/12/89/52/621128952.db2.gz ZFOFLCAJKKMZJG-UHFFFAOYSA-N 0 0 283.349 2.886 20 5 CFBDRN Cc1c(OC(=O)[C@H](C)[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000902722895 621157903 /nfs/dbraw/zinc/15/79/03/621157903.db2.gz NVYFQASCIMVXQP-PWSUYJOCSA-N 0 0 279.292 2.624 20 5 CFBDRN COC/C(C)=C\COc1ccc(OC)c([N+](=O)[O-])c1 ZINC000902734415 621161429 /nfs/dbraw/zinc/16/14/29/621161429.db2.gz UHYFPLUMLAVEQW-POHAHGRESA-N 0 0 267.281 2.575 20 5 CFBDRN COc1cc([C@H](C)N[C@@H](C)C(F)F)cc([N+](=O)[O-])c1 ZINC000903221886 621293345 /nfs/dbraw/zinc/29/33/45/621293345.db2.gz VHLJUQCBBZSHFB-YUMQZZPRSA-N 0 0 274.267 2.908 20 5 CFBDRN C[C@H](c1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@@H]1CCCO1 ZINC000903315954 621329700 /nfs/dbraw/zinc/32/97/00/621329700.db2.gz AJJBYUUIFACFLM-CABZTGNLSA-N 0 0 289.291 2.927 20 5 CFBDRN C[C@@H](c1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@H]1CCCO1 ZINC000903315953 621329720 /nfs/dbraw/zinc/32/97/20/621329720.db2.gz AJJBYUUIFACFLM-BXKDBHETSA-N 0 0 289.291 2.927 20 5 CFBDRN CC[C@@H](C)[C@H](C(=O)[O-])[N@H+](C)CCc1cccc([N+](=O)[O-])c1 ZINC000903596874 621404468 /nfs/dbraw/zinc/40/44/68/621404468.db2.gz UXEOMBPZNCBKFW-BXUZGUMPSA-N 0 0 294.351 2.568 20 5 CFBDRN C[C@@H](c1nc(-c2ccc([N+](=O)[O-])s2)no1)[C@H]1CCCO1 ZINC000903669481 621413524 /nfs/dbraw/zinc/41/35/24/621413524.db2.gz DJKWDZRMMWMXQH-HTQZYQBOSA-N 0 0 295.320 2.989 20 5 CFBDRN CC[C@H](C[NH2+]Cc1ccc(C(C)C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000903704694 621422640 /nfs/dbraw/zinc/42/26/40/621422640.db2.gz KTWCGSNLMIVXJU-GFCCVEGCSA-N 0 0 294.351 2.919 20 5 CFBDRN Cc1nc(NC(=O)C[C@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000903817961 621443621 /nfs/dbraw/zinc/44/36/21/621443621.db2.gz QNRDDEHWIOVVED-NSHDSACASA-N 0 0 275.308 2.983 20 5 CFBDRN COc1ncccc1-c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000904578243 621607707 /nfs/dbraw/zinc/60/77/07/621607707.db2.gz BVJMSFKFKMYTGH-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H](C)[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000905032645 621683446 /nfs/dbraw/zinc/68/34/46/621683446.db2.gz VNNFDDDWBSQCPY-GXFFZTMASA-N 0 0 278.308 2.657 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000905032225 621683584 /nfs/dbraw/zinc/68/35/84/621683584.db2.gz BGTUMPSTCBCPDN-JIMOISOXSA-N 0 0 278.308 2.513 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCO[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000905084569 621692312 /nfs/dbraw/zinc/69/23/12/621692312.db2.gz MIWPKWPOJGGFNO-RISCZKNCSA-N 0 0 290.319 2.657 20 5 CFBDRN O=[N+]([O-])c1cc(NCCOCC2CCC2)ccc1F ZINC000905104894 621700152 /nfs/dbraw/zinc/70/01/52/621700152.db2.gz YMEFEAPWVKZDHQ-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN Cc1ccc(OC[C@@H]2C[C@]23CCOC3)c([N+](=O)[O-])c1 ZINC000905231335 621721679 /nfs/dbraw/zinc/72/16/79/621721679.db2.gz JPBOINOAMUOJOE-FZMZJTMJSA-N 0 0 263.293 2.709 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CS(=O)(=O)CC1CC1 ZINC000905256366 621728770 /nfs/dbraw/zinc/72/87/70/621728770.db2.gz CZGUCNCGZFATEU-UHFFFAOYSA-N 0 0 289.740 2.573 20 5 CFBDRN CC(C)Oc1c(Cl)cccc1NCC[N+](=O)[O-] ZINC000905322675 621741557 /nfs/dbraw/zinc/74/15/57/621741557.db2.gz USTKFLYKKHZVPJ-UHFFFAOYSA-N 0 0 258.705 2.816 20 5 CFBDRN CC(C)CCOc1ccc(NCC[N+](=O)[O-])cc1 ZINC000905327404 621743873 /nfs/dbraw/zinc/74/38/73/621743873.db2.gz HCNYCRCPHKUXJO-UHFFFAOYSA-N 0 0 252.314 2.800 20 5 CFBDRN CC(C)(C)Oc1c(F)cc(NCC[N+](=O)[O-])cc1F ZINC000905328271 621744333 /nfs/dbraw/zinc/74/43/33/621744333.db2.gz GZQDWQYIDDOXIL-UHFFFAOYSA-N 0 0 274.267 2.831 20 5 CFBDRN CC(C)(C)[C@@H](CC(=O)[O-])[NH2+]Cc1csc([N+](=O)[O-])c1 ZINC000905790262 621863190 /nfs/dbraw/zinc/86/31/90/621863190.db2.gz PSQXHLTZVQRNNO-SECBINFHSA-N 0 0 286.353 2.635 20 5 CFBDRN Cc1ccc2[nH]c(NC(=O)CCC(C)(C)[N+](=O)[O-])nc2c1 ZINC000832804900 625522548 /nfs/dbraw/zinc/52/25/48/625522548.db2.gz YQWCVOJQYQBRMW-UHFFFAOYSA-N 0 0 290.323 2.645 20 5 CFBDRN CCc1noc([C@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000905851981 621888959 /nfs/dbraw/zinc/88/89/59/621888959.db2.gz DZSOAXZIWWGYOC-ZJUUUORDSA-N 0 0 290.323 2.952 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)O[C@@H]1CCCC(C)(C)C1 ZINC000905918664 621900632 /nfs/dbraw/zinc/90/06/32/621900632.db2.gz CEZMWDRQCXLGSA-LLVKDONJSA-N 0 0 295.339 2.612 20 5 CFBDRN Cc1cccc([C@H](O)Cn2cc([N+](=O)[O-])c(C3CC3)n2)c1 ZINC000905957833 621911587 /nfs/dbraw/zinc/91/15/87/621911587.db2.gz PXFUCGWIWLYLTF-CQSZACIVSA-N 0 0 287.319 2.711 20 5 CFBDRN Cc1c(CS(=O)(=O)C/C=C\Cl)cccc1[N+](=O)[O-] ZINC000906019887 621918283 /nfs/dbraw/zinc/91/82/83/621918283.db2.gz VZIXKMIADAFGMR-UTCJRWHESA-N 0 0 289.740 2.571 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000906243588 621958190 /nfs/dbraw/zinc/95/81/90/621958190.db2.gz KAQHSSXOOBBTHS-LLVKDONJSA-N 0 0 294.351 2.755 20 5 CFBDRN Cc1cccc(C(=O)N=[S@](C)(=O)C(C)(C)C)c1[N+](=O)[O-] ZINC000906310897 621972475 /nfs/dbraw/zinc/97/24/75/621972475.db2.gz SGAZIZNQZLGAJG-HXUWFJFHSA-N 0 0 298.364 2.940 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)CCC(C)(C)[N+](=O)[O-])CC1(C)C ZINC000832833890 625531582 /nfs/dbraw/zinc/53/15/82/625531582.db2.gz HBBBWWAVRJMJKY-LBPRGKRZSA-N 0 0 270.373 2.715 20 5 CFBDRN Nc1c(Cl)cc(C(=O)N2CCC=C(F)C2)cc1[N+](=O)[O-] ZINC000907205425 622095577 /nfs/dbraw/zinc/09/55/77/622095577.db2.gz FSFQQOLYEIEROC-UHFFFAOYSA-N 0 0 299.689 2.530 20 5 CFBDRN CC1(C)CN(C(=O)c2cc(Cl)c(F)c([N+](=O)[O-])c2)C1 ZINC000907843024 622168200 /nfs/dbraw/zinc/16/82/00/622168200.db2.gz BWVJXSZTYSSERI-UHFFFAOYSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NN2[C@@H](C)CCC[C@@H]2C)c1 ZINC000907987055 622182846 /nfs/dbraw/zinc/18/28/46/622182846.db2.gz AHJFPBREEAWXNH-RYUDHWBXSA-N 0 0 291.351 2.811 20 5 CFBDRN O=C(OCCOCC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000842067391 622200212 /nfs/dbraw/zinc/20/02/12/622200212.db2.gz JOVHOERYTTZKSF-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN Nc1ccc(NC(=O)C2(C3CC3)CCC2)cc1[N+](=O)[O-] ZINC000908251155 622208192 /nfs/dbraw/zinc/20/81/92/622208192.db2.gz BZKRPLTWEQEKCS-UHFFFAOYSA-N 0 0 275.308 2.696 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000027461309 622233435 /nfs/dbraw/zinc/23/34/35/622233435.db2.gz NGLCZQXRXHKECB-QMMMGPOBSA-N 0 0 295.295 2.730 20 5 CFBDRN Cc1nnsc1[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])s1 ZINC000908675278 622263212 /nfs/dbraw/zinc/26/32/12/622263212.db2.gz IVZVDTLVHAYLHI-ZCFIWIBFSA-N 0 0 299.333 2.734 20 5 CFBDRN Cc1nnsc1[C@H](C)OC(=O)c1ccc([N+](=O)[O-])s1 ZINC000908675277 622263317 /nfs/dbraw/zinc/26/33/17/622263317.db2.gz IVZVDTLVHAYLHI-LURJTMIESA-N 0 0 299.333 2.734 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000908702626 622265995 /nfs/dbraw/zinc/26/59/95/622265995.db2.gz LMJRUJBJEFPETR-IONNQARKSA-N 0 0 297.694 2.630 20 5 CFBDRN O=C(OCC1CC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027002 622387983 /nfs/dbraw/zinc/38/79/83/622387983.db2.gz HSJYYXFBRZZGCG-CHWSQXEVSA-N 0 0 261.277 2.652 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)c1[N+](=O)[O-] ZINC000910214586 622411611 /nfs/dbraw/zinc/41/16/11/622411611.db2.gz WGKJUDBFAQOTDE-GARJFASQSA-N 0 0 294.307 2.761 20 5 CFBDRN CC[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000910364167 622436304 /nfs/dbraw/zinc/43/63/04/622436304.db2.gz IDMYREVZDPQSNS-AWEZNQCLSA-N 0 0 299.330 2.800 20 5 CFBDRN CCc1csc([C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000910739415 622472700 /nfs/dbraw/zinc/47/27/00/622472700.db2.gz NNJHUJNVQSPJRE-VIFPVBQESA-N 0 0 299.396 2.718 20 5 CFBDRN CC[C@@H](C)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911478922 622524729 /nfs/dbraw/zinc/52/47/29/622524729.db2.gz VQYOTJZCJYOVAV-SSDOTTSWSA-N 0 0 272.251 2.535 20 5 CFBDRN Nc1ccc(NC(=O)CCc2ccsc2)cc1[N+](=O)[O-] ZINC000819909952 622570284 /nfs/dbraw/zinc/57/02/84/622570284.db2.gz MKELEKFYAJAGMJ-UHFFFAOYSA-N 0 0 291.332 2.810 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819920108 622572033 /nfs/dbraw/zinc/57/20/33/622572033.db2.gz LKRWJHXVIVADHM-BDAKNGLRSA-N 0 0 265.313 2.798 20 5 CFBDRN Cc1ccc(OC(=O)/C=C/[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000819947291 622579431 /nfs/dbraw/zinc/57/94/31/622579431.db2.gz YBLIRAPKXRBZLH-ZRQQLDRUSA-N 0 0 277.276 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC(CC(F)F)C2)c(F)c1 ZINC000819949250 622581157 /nfs/dbraw/zinc/58/11/57/622581157.db2.gz GZAJLNJQQJSYDV-UHFFFAOYSA-N 0 0 278.205 2.964 20 5 CFBDRN C[N@@H+]1C[C@@H]2CCCN(c3ccc([N+](=O)[O-])cc3Cl)[C@@H]2C1 ZINC000819952851 622582772 /nfs/dbraw/zinc/58/27/72/622582772.db2.gz HMTTXSGWPDNUJP-IINYFYTJSA-N 0 0 295.770 2.779 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000820116206 622613353 /nfs/dbraw/zinc/61/33/53/622613353.db2.gz POXUMMUWPZNDBN-SECBINFHSA-N 0 0 282.365 2.693 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCC1(C)C ZINC000820177463 622621537 /nfs/dbraw/zinc/62/15/37/622621537.db2.gz VIKPIDBBEIMAHH-UHFFFAOYSA-N 0 0 262.309 2.836 20 5 CFBDRN CC(C)[C@H](O)COc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912363607 622699845 /nfs/dbraw/zinc/69/98/45/622699845.db2.gz ARFLQUATBAVNSY-SNVBAGLBSA-N 0 0 277.679 2.783 20 5 CFBDRN CCc1nnc(CNc2cc(Cl)ccc2[N+](=O)[O-])o1 ZINC000820574814 622705613 /nfs/dbraw/zinc/70/56/13/622705613.db2.gz CTWSISCKMQVUDS-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN CCOc1cc(NCc2ocnc2C)ccc1[N+](=O)[O-] ZINC000820591679 622710029 /nfs/dbraw/zinc/71/00/29/622710029.db2.gz MUTOKXUUNQLORX-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000150723450 622712100 /nfs/dbraw/zinc/71/21/00/622712100.db2.gz VRURGPHWOVPDBF-QMMMGPOBSA-N 0 0 283.280 2.567 20 5 CFBDRN CCC[C@H]1CCCN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000912464972 622715215 /nfs/dbraw/zinc/71/52/15/622715215.db2.gz YKPMCRNDCGMDMM-NSHDSACASA-N 0 0 266.345 2.889 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)c(C)cc2[N+](=O)[O-])CCO1 ZINC000820619481 622718196 /nfs/dbraw/zinc/71/81/96/622718196.db2.gz QEWWHDOPUPBTTH-NSHDSACASA-N 0 0 280.324 2.527 20 5 CFBDRN COC[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000927577907 622741430 /nfs/dbraw/zinc/74/14/30/622741430.db2.gz JKBXPWYIGRWILP-LLVKDONJSA-N 0 0 295.339 2.635 20 5 CFBDRN CC[C@H](COC(=O)CCc1cc(F)ccc1[N+](=O)[O-])OC ZINC000923964395 622763314 /nfs/dbraw/zinc/76/33/14/622763314.db2.gz ZBAMBAIAWAPKEL-GFCCVEGCSA-N 0 0 299.298 2.635 20 5 CFBDRN O=Cc1ccc(Oc2ccc([N+](=O)[O-])nc2)c([N+](=O)[O-])c1 ZINC000912729006 622769329 /nfs/dbraw/zinc/76/93/29/622769329.db2.gz JECMESTWMXUFHT-UHFFFAOYSA-N 0 0 289.203 2.503 20 5 CFBDRN Cc1cc(C)nc(Oc2ccc(C=O)cc2[N+](=O)[O-])n1 ZINC000913029816 622828357 /nfs/dbraw/zinc/82/83/57/622828357.db2.gz ZUKMXCKVJHUQSA-UHFFFAOYSA-N 0 0 273.248 2.606 20 5 CFBDRN O=C(Nc1cccc(C(F)F)c1Cl)[C@@H]1CC1[N+](=O)[O-] ZINC000840421162 622865067 /nfs/dbraw/zinc/86/50/67/622865067.db2.gz LBTHIRYSKAFCLR-HTRCEHHLSA-N 0 0 290.653 2.881 20 5 CFBDRN C[C@H](C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1)n1cccn1 ZINC000913330799 622878127 /nfs/dbraw/zinc/87/81/27/622878127.db2.gz DFCWXKOUUIMATN-MNOVXSKESA-N 0 0 289.291 2.657 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc(C)c1Cl ZINC000840444043 622878628 /nfs/dbraw/zinc/87/86/28/622878628.db2.gz SLTMHBFFRAPCFN-NXEZZACHSA-N 0 0 268.700 2.561 20 5 CFBDRN Cc1ccc(COC(=O)/C=C/[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000821273884 622882523 /nfs/dbraw/zinc/88/25/23/622882523.db2.gz LGVUZVVXCJBORR-YBJDMEARSA-N 0 0 291.303 2.682 20 5 CFBDRN Cc1ccc(C(=O)N2CC(c3ccccn3)C2)cc1[N+](=O)[O-] ZINC000913372596 622883191 /nfs/dbraw/zinc/88/31/91/622883191.db2.gz PRABRLYUVYWNLS-UHFFFAOYSA-N 0 0 297.314 2.538 20 5 CFBDRN CC/C=C\CNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000821309669 622891314 /nfs/dbraw/zinc/89/13/14/622891314.db2.gz URVRNOASQQCZOL-JHZNUDOXSA-N 0 0 274.320 2.781 20 5 CFBDRN CO[C@@H](C)CC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163914681 622930794 /nfs/dbraw/zinc/93/07/94/622930794.db2.gz ZBCHNMPVMBTIQH-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CCO[C@@H](C)C1 ZINC000821496614 622933683 /nfs/dbraw/zinc/93/36/83/622933683.db2.gz FFNHNSOZBJWWDV-JQWIXIFHSA-N 0 0 292.335 2.509 20 5 CFBDRN C[C@H](COC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccn1 ZINC000821523826 622940911 /nfs/dbraw/zinc/94/09/11/622940911.db2.gz YLVVGJSYOAGCOO-LLVKDONJSA-N 0 0 280.324 2.564 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCCC[C@@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000156555449 622946743 /nfs/dbraw/zinc/94/67/43/622946743.db2.gz JLAKRXNFWXXAIK-CMPLNLGQSA-N 0 0 291.351 2.794 20 5 CFBDRN CC(=O)c1cccc(COC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000164629322 622961677 /nfs/dbraw/zinc/96/16/77/622961677.db2.gz VTVKWWJMDVOVQR-UHFFFAOYSA-N 0 0 289.243 2.747 20 5 CFBDRN CCCCOC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000164849658 622969662 /nfs/dbraw/zinc/96/96/62/622969662.db2.gz AJEJCLZWQRBKPA-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])O[C@@H]1CCC12CCC2 ZINC000821824718 622984375 /nfs/dbraw/zinc/98/43/75/622984375.db2.gz GLTGLGYRZRKELO-CYBMUJFWSA-N 0 0 291.303 2.850 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN(C1CC1)[C@H]1CCc2ccccc21 ZINC000057089886 622995285 /nfs/dbraw/zinc/99/52/85/622995285.db2.gz MOKKQGRJCCGTJM-HNNXBMFYSA-N 0 0 298.346 2.901 20 5 CFBDRN CO[C@@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)CC(C)C ZINC000842080855 623021880 /nfs/dbraw/zinc/02/18/80/623021880.db2.gz JFYSCPOZDAQUCN-CQSZACIVSA-N 0 0 295.335 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccsc2)c2nonc21 ZINC000058000548 623027704 /nfs/dbraw/zinc/02/77/04/623027704.db2.gz XWZHXFYEADUAPT-UHFFFAOYSA-N 0 0 276.277 2.805 20 5 CFBDRN Cc1c(C(=O)OC[C@@]2(C)C[C@H]2F)cccc1[N+](=O)[O-] ZINC000822081286 623030365 /nfs/dbraw/zinc/03/03/65/623030365.db2.gz YCSDVPAYSZVYOQ-DGCLKSJQSA-N 0 0 267.256 2.808 20 5 CFBDRN C[C@@]1(COC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc32)C[C@H]1F ZINC000822087713 623031667 /nfs/dbraw/zinc/03/16/67/623031667.db2.gz GTQVZRGUDGQIAN-OCCSQVGLSA-N 0 0 292.266 2.981 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@]1(C)C[C@@H]1F ZINC000822099812 623035881 /nfs/dbraw/zinc/03/58/81/623035881.db2.gz PEYIHHLLYIEXLF-AAEUAGOBSA-N 0 0 267.256 2.808 20 5 CFBDRN C[C@]1(COC(=O)CCNc2ccccc2[N+](=O)[O-])C[C@@H]1F ZINC000822102064 623037058 /nfs/dbraw/zinc/03/70/58/623037058.db2.gz XPLVAPRZGWTOAM-GXTWGEPZSA-N 0 0 296.298 2.688 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCSC(F)(F)F ZINC000225732823 623047214 /nfs/dbraw/zinc/04/72/14/623047214.db2.gz LUHYWASCPDMERD-UHFFFAOYSA-N 0 0 267.232 2.655 20 5 CFBDRN COc1cc(C(=O)OC[C@]2(C)C[C@@H]2F)cc([N+](=O)[O-])c1C ZINC000822142042 623048736 /nfs/dbraw/zinc/04/87/36/623048736.db2.gz ZOQRFBJMGIOQMY-JSGCOSHPSA-N 0 0 297.282 2.817 20 5 CFBDRN COc1cccc(C(=O)OC[C@@]2(C)C[C@H]2F)c1[N+](=O)[O-] ZINC000822141833 623049339 /nfs/dbraw/zinc/04/93/39/623049339.db2.gz YWTDKLQXYMSZTO-ZWNOBZJWSA-N 0 0 283.255 2.508 20 5 CFBDRN C[C@H](N(C)C(=O)CCC(C)(C)[N+](=O)[O-])C(C)(C)C ZINC000822405929 623097107 /nfs/dbraw/zinc/09/71/07/623097107.db2.gz AQBJYGHPWPYMQS-JTQLQIEISA-N 0 0 258.362 2.715 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822490935 623114763 /nfs/dbraw/zinc/11/47/63/623114763.db2.gz JPALSZLSXTWUIP-NWDGAFQWSA-N 0 0 270.373 2.907 20 5 CFBDRN C[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])c2ccccc21 ZINC000822533806 623122751 /nfs/dbraw/zinc/12/27/51/623122751.db2.gz MXGQLQJYUBUEAH-LLVKDONJSA-N 0 0 276.336 2.972 20 5 CFBDRN Cc1ccc(CCNC(=O)CCC(C)(C)[N+](=O)[O-])c(C)c1 ZINC000822790870 623175533 /nfs/dbraw/zinc/17/55/33/623175533.db2.gz IJYCLWQCZPXJTJ-UHFFFAOYSA-N 0 0 292.379 2.798 20 5 CFBDRN CCCCCCNC(=O)COc1cc(C)ccc1[N+](=O)[O-] ZINC000061366132 623204728 /nfs/dbraw/zinc/20/47/28/623204728.db2.gz GALVOXFWAWUDOY-UHFFFAOYSA-N 0 0 294.351 2.979 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C)N(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000229504933 623208722 /nfs/dbraw/zinc/20/87/22/623208722.db2.gz KJUJYOVOZRGDMY-OUAUKWLOSA-N 0 0 291.351 2.684 20 5 CFBDRN CC(=O)c1ccc(OC(=O)c2ccc([N+](=O)[O-])o2)c(F)c1 ZINC000171215219 623211801 /nfs/dbraw/zinc/21/18/01/623211801.db2.gz GNXIVNKAJVJVDZ-UHFFFAOYSA-N 0 0 293.206 2.749 20 5 CFBDRN COC(=O)c1coc(COc2ccc([N+](=O)[O-])c(F)c2)c1 ZINC000171414866 623223031 /nfs/dbraw/zinc/22/30/31/623223031.db2.gz NAWBCVOKVJUXHV-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CCCCCC[C@H](C)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000171491185 623224520 /nfs/dbraw/zinc/22/45/20/623224520.db2.gz UBMJHQMUANBNJJ-NSHDSACASA-N 0 0 283.328 2.693 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000230105690 623252535 /nfs/dbraw/zinc/25/25/35/623252535.db2.gz VMSNLRYYKUYPPW-ISVAXAHUSA-N 0 0 298.726 2.546 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000230313799 623270805 /nfs/dbraw/zinc/27/08/05/623270805.db2.gz YVUHAFABGMVABX-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000172361521 623277778 /nfs/dbraw/zinc/27/77/78/623277778.db2.gz FAZZBPUGYJTGJU-QPUJVOFHSA-N 0 0 299.710 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC[C@@H]1C1CC1 ZINC000823285715 623284520 /nfs/dbraw/zinc/28/45/20/623284520.db2.gz SIKQCTUIMLSKSB-CYBMUJFWSA-N 0 0 274.320 2.836 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000230669628 623289282 /nfs/dbraw/zinc/28/92/82/623289282.db2.gz PXULQDUCKVRWMX-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000230669625 623289423 /nfs/dbraw/zinc/28/94/23/623289423.db2.gz PXULQDUCKVRWMX-ZJUUUORDSA-N 0 0 280.299 2.900 20 5 CFBDRN CC1=CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000230809048 623299142 /nfs/dbraw/zinc/29/91/42/623299142.db2.gz SIRZRKVEYBCDHK-UHFFFAOYSA-N 0 0 264.256 2.526 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000230917816 623310312 /nfs/dbraw/zinc/31/03/12/623310312.db2.gz GYLMJIMHMDGLEC-JGVFFNPUSA-N 0 0 294.336 2.827 20 5 CFBDRN CC1(C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)CC=CC1 ZINC000823408126 623310704 /nfs/dbraw/zinc/31/07/04/623310704.db2.gz VZLJRBILIUWIKT-UHFFFAOYSA-N 0 0 296.273 2.846 20 5 CFBDRN COC(C)(C)CCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000231027512 623323360 /nfs/dbraw/zinc/32/33/60/623323360.db2.gz BXORIKKOJNCZJG-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN CC/C=C/COC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000063236531 623332788 /nfs/dbraw/zinc/33/27/88/623332788.db2.gz NBOKBVNWKUMURY-SNAWJCMRSA-N 0 0 265.265 2.726 20 5 CFBDRN CCCCS(=O)(=O)Oc1ccc(CC)cc1[N+](=O)[O-] ZINC000173158440 623334291 /nfs/dbraw/zinc/33/42/91/623334291.db2.gz KWNIIRIVRDXGPD-UHFFFAOYSA-N 0 0 287.337 2.666 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000231753980 623366183 /nfs/dbraw/zinc/36/61/83/623366183.db2.gz DYYLKTRDFDOOEV-ZCFIWIBFSA-N 0 0 294.204 2.805 20 5 CFBDRN Cc1cnc(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000174247302 623402006 /nfs/dbraw/zinc/40/20/06/623402006.db2.gz HNBWWRZSXQXCEI-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CSCCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000174251816 623402881 /nfs/dbraw/zinc/40/28/81/623402881.db2.gz IKMWGCLWOHMILM-UHFFFAOYSA-N 0 0 255.295 2.505 20 5 CFBDRN CC(C)(C)OCCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000063975669 623411211 /nfs/dbraw/zinc/41/12/11/623411211.db2.gz UPQMRNGOKHUXGC-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823945431 623423277 /nfs/dbraw/zinc/42/32/77/623423277.db2.gz PIXRWZPKQFKREL-UWVGGRQHSA-N 0 0 292.339 2.735 20 5 CFBDRN CC(C)(NC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1CC1 ZINC000232528680 623423823 /nfs/dbraw/zinc/42/38/23/623423823.db2.gz ZRSOPPVZFVPCBD-UHFFFAOYSA-N 0 0 297.742 2.749 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823961709 623426133 /nfs/dbraw/zinc/42/61/33/623426133.db2.gz JZOJKAXJNRJDSZ-VIFPVBQESA-N 0 0 292.339 2.877 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823974393 623428284 /nfs/dbraw/zinc/42/82/84/623428284.db2.gz RDRHNDIMUAYILZ-SECBINFHSA-N 0 0 280.328 2.733 20 5 CFBDRN CCCC(C)(C)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823994494 623430162 /nfs/dbraw/zinc/43/01/62/623430162.db2.gz UFGNHGODFQOFJZ-UHFFFAOYSA-N 0 0 280.328 2.877 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000824003114 623430513 /nfs/dbraw/zinc/43/05/13/623430513.db2.gz PLAHFHIONBSLEL-UWVGGRQHSA-N 0 0 292.339 2.877 20 5 CFBDRN CCCN(CC1CC1)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824008251 623431890 /nfs/dbraw/zinc/43/18/90/623431890.db2.gz JVVQNGIYRQHIMY-UHFFFAOYSA-N 0 0 292.339 2.831 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccccc1 ZINC000016814202 623453896 /nfs/dbraw/zinc/45/38/96/623453896.db2.gz MUTVGFWVSVWYBS-UHFFFAOYSA-N 0 0 272.260 2.534 20 5 CFBDRN CCC(O)(CC)COc1ccc([N+](=O)[O-])c(F)c1F ZINC000834387045 625653977 /nfs/dbraw/zinc/65/39/77/625653977.db2.gz AGSSSBLNBDSYKZ-UHFFFAOYSA-N 0 0 275.251 2.803 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)N[C@H]1CC12CC2 ZINC000824331771 623491920 /nfs/dbraw/zinc/49/19/20/623491920.db2.gz OWIBGCPTQGNRGL-VIFPVBQESA-N 0 0 281.699 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCc1ccoc1 ZINC000824544287 623520673 /nfs/dbraw/zinc/52/06/73/623520673.db2.gz HAVGOHKMSPSTQT-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN C[C@@H](N(C)C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000824649307 623538481 /nfs/dbraw/zinc/53/84/81/623538481.db2.gz CKHYZUKCULXEEG-MFKMUULPSA-N 0 0 294.351 2.521 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000824957436 623594633 /nfs/dbraw/zinc/59/46/33/623594633.db2.gz NLRUHHWYIZLIRK-KWCYVHTRSA-N 0 0 272.304 2.533 20 5 CFBDRN CC(C)(C)NC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929778374 623663644 /nfs/dbraw/zinc/66/36/44/623663644.db2.gz IABIXMAKYMQNTA-NWDGAFQWSA-N 0 0 262.309 2.613 20 5 CFBDRN CC[C@H](C)OCC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000152435721 623706774 /nfs/dbraw/zinc/70/67/74/623706774.db2.gz RPHGOLYVKIFWLK-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@H]1CCN1C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] ZINC000914155783 623715589 /nfs/dbraw/zinc/71/55/89/623715589.db2.gz JLWJZAUWZGACPC-NSHDSACASA-N 0 0 297.314 2.891 20 5 CFBDRN Nc1c(NC(=O)N[C@H]2C=CCC2)cc(Cl)cc1[N+](=O)[O-] ZINC000825568408 623717599 /nfs/dbraw/zinc/71/75/99/623717599.db2.gz XRITZUHPUHHXAY-QMMMGPOBSA-N 0 0 296.714 2.671 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@@H]2C[C@@H]2C1 ZINC000825605734 623722425 /nfs/dbraw/zinc/72/24/25/623722425.db2.gz NRYLCMZNJBCUPY-PZTHBURQSA-N 0 0 286.331 2.567 20 5 CFBDRN CSC1(C(=O)NCc2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000825640461 623728645 /nfs/dbraw/zinc/72/86/45/623728645.db2.gz FOMUEIAZPUQOHR-UHFFFAOYSA-N 0 0 294.376 2.805 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000825640304 623728725 /nfs/dbraw/zinc/72/87/25/623728725.db2.gz CFGNOHPRSREOFC-SECBINFHSA-N 0 0 286.278 2.811 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2C[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000825641263 623729116 /nfs/dbraw/zinc/72/91/16/623729116.db2.gz TYPVQNQBQCXXDE-OLZOCXBDSA-N 0 0 274.320 2.566 20 5 CFBDRN CC/C(C)=C\C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825640831 623729212 /nfs/dbraw/zinc/72/92/12/623729212.db2.gz LHJRFFNRIHZATA-YFHOEESVSA-N 0 0 262.309 2.876 20 5 CFBDRN Cc1ccc(CNC(=O)CCc2ccco2)cc1[N+](=O)[O-] ZINC000825654786 623730878 /nfs/dbraw/zinc/73/08/78/623730878.db2.gz CPIXFBXQNYACCE-UHFFFAOYSA-N 0 0 288.303 2.745 20 5 CFBDRN C[C@@H](NC(=O)NCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000914205921 623734968 /nfs/dbraw/zinc/73/49/68/623734968.db2.gz CHPWLRMOCLEDFQ-SNVBAGLBSA-N 0 0 277.324 2.755 20 5 CFBDRN COc1cc(C(=O)NCCCCC2CC2)ccc1[N+](=O)[O-] ZINC000825681309 623739134 /nfs/dbraw/zinc/73/91/34/623739134.db2.gz ZPGQANSYHBWXSE-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CCOC(=O)Cc1ccc(N2CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000825791122 623754359 /nfs/dbraw/zinc/75/43/59/623754359.db2.gz ZCPCTKFUQOOMGM-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000825839350 623759989 /nfs/dbraw/zinc/75/99/89/623759989.db2.gz KYYKNVRVONHKKU-NSHDSACASA-N 0 0 256.346 2.613 20 5 CFBDRN CC1(C)C[C@@]1(C)CNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000914378646 623779636 /nfs/dbraw/zinc/77/96/36/623779636.db2.gz GGBVNFSPSTZRLA-HNNXBMFYSA-N 0 0 294.326 2.829 20 5 CFBDRN CC(C)[C@@H]1CCCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826038199 623786022 /nfs/dbraw/zinc/78/60/22/623786022.db2.gz ZQQLEVDICWTWOJ-LBPRGKRZSA-N 0 0 270.373 2.859 20 5 CFBDRN C[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1CCCCC1 ZINC000826063356 623788815 /nfs/dbraw/zinc/78/88/15/623788815.db2.gz LMKXRVWISSNCFN-LLVKDONJSA-N 0 0 270.373 2.907 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000072500907 625676751 /nfs/dbraw/zinc/67/67/51/625676751.db2.gz MPWKSAVXOOAKAD-WPRPVWTQSA-N 0 0 298.339 2.748 20 5 CFBDRN CC(C)(C)[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000826584490 623817848 /nfs/dbraw/zinc/81/78/48/623817848.db2.gz HMQZCNBOFQZRIA-VIFPVBQESA-N 0 0 298.305 2.915 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000826624512 623825143 /nfs/dbraw/zinc/82/51/43/623825143.db2.gz WLICBRISXLBODT-ZDUSSCGKSA-N 0 0 297.311 2.856 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(C[C@H]2C)CCOCC3)n1 ZINC000826767344 623869120 /nfs/dbraw/zinc/86/91/20/623869120.db2.gz AMAJGBSAVCKDRC-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN CC12CC(C1)CN2C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000826782663 623873874 /nfs/dbraw/zinc/87/38/74/623873874.db2.gz ABYKLBPSHJDAKQ-BNTIEQFTSA-N 0 0 286.331 2.709 20 5 CFBDRN CC[C@H](Cc1ccccc1)[N@H+](CC)CC[N+](=O)[O-] ZINC000929835046 623889808 /nfs/dbraw/zinc/88/98/08/623889808.db2.gz PFBDSEHCIYTWTF-CQSZACIVSA-N 0 0 250.342 2.606 20 5 CFBDRN CCN(CCSC)c1ccc([N+](=O)[O-])cc1C(C)=O ZINC000826850545 623891634 /nfs/dbraw/zinc/89/16/34/623891634.db2.gz GLLAPALVKRQHNI-UHFFFAOYSA-N 0 0 282.365 2.987 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000826848642 623892509 /nfs/dbraw/zinc/89/25/09/623892509.db2.gz YGHNZCSWEKZGBK-LDYMZIIASA-N 0 0 282.727 2.911 20 5 CFBDRN CCN(CC(C)C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000915210930 623996368 /nfs/dbraw/zinc/99/63/68/623996368.db2.gz GRVFHIMFQJFEDC-UHFFFAOYSA-N 0 0 262.313 2.725 20 5 CFBDRN CS[C@@H](C)CCOC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000835660143 624006586 /nfs/dbraw/zinc/00/65/86/624006586.db2.gz CEGCEVUDIXQLEC-JTQLQIEISA-N 0 0 283.349 2.822 20 5 CFBDRN CS[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000835681516 624015159 /nfs/dbraw/zinc/01/51/59/624015159.db2.gz RADUAHHSRVBJEV-QMMMGPOBSA-N 0 0 255.295 2.503 20 5 CFBDRN C/C=C/CNC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000827493811 624017575 /nfs/dbraw/zinc/01/75/75/624017575.db2.gz WIEWVBCMJVGPNG-ONEGZZNKSA-N 0 0 266.322 2.623 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)CCSC ZINC000835814345 624042627 /nfs/dbraw/zinc/04/26/27/624042627.db2.gz TWAPFUVPAOBVMX-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H]1CC[C@H](C2CC2)O1 ZINC000915603615 624083177 /nfs/dbraw/zinc/08/31/77/624083177.db2.gz OJPLMSVZYUVSEX-DGCLKSJQSA-N 0 0 263.293 2.931 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827751712 624085105 /nfs/dbraw/zinc/08/51/05/624085105.db2.gz IJLWMSDPAZDNLQ-GHMZBOCLSA-N 0 0 256.346 2.611 20 5 CFBDRN Cc1cccc(OCc2cscn2)c1[N+](=O)[O-] ZINC000915664566 624092819 /nfs/dbraw/zinc/09/28/19/624092819.db2.gz OCVOOBILTNSQDX-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1nn(CCOC2CCCCC2)c(C)c1[N+](=O)[O-] ZINC000915668098 624093571 /nfs/dbraw/zinc/09/35/71/624093571.db2.gz FNHDGNCCLNHQQR-UHFFFAOYSA-N 0 0 267.329 2.757 20 5 CFBDRN Cn1ncc(Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)c1C1CC1 ZINC000827931779 624131706 /nfs/dbraw/zinc/13/17/06/624131706.db2.gz GCLKZOKRLYUSBJ-UHFFFAOYSA-N 0 0 298.306 2.826 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1cncc(F)c1 ZINC000185185043 625698723 /nfs/dbraw/zinc/69/87/23/625698723.db2.gz NLYHBPZWUBOWKB-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCn3ccnc32)c(F)c1 ZINC000828358202 624233146 /nfs/dbraw/zinc/23/31/46/624233146.db2.gz PUICMSQZRGVAOL-SNVBAGLBSA-N 0 0 280.234 2.626 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CSC1CC1)CC2 ZINC000828435960 624245978 /nfs/dbraw/zinc/24/59/78/624245978.db2.gz QMUFNTUHNQAMJM-UHFFFAOYSA-N 0 0 292.360 2.688 20 5 CFBDRN CC(C)(C)c1nnc(SCc2cccnc2[N+](=O)[O-])[nH]1 ZINC000916545318 624253000 /nfs/dbraw/zinc/25/30/00/624253000.db2.gz UFWIXAWZBOXOGN-UHFFFAOYSA-N 0 0 293.352 2.698 20 5 CFBDRN Cc1cccc(C(=O)NN2[C@H](C)CCC[C@H]2C)c1[N+](=O)[O-] ZINC000916585956 624260520 /nfs/dbraw/zinc/26/05/20/624260520.db2.gz KMPMIWSNLGEDGK-VXGBXAGGSA-N 0 0 291.351 2.811 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000828519654 624261051 /nfs/dbraw/zinc/26/10/51/624261051.db2.gz HUNZCNGKCRXARJ-LLVKDONJSA-N 0 0 279.292 2.568 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H](C)CCCO)c1 ZINC000828524969 624261714 /nfs/dbraw/zinc/26/17/14/624261714.db2.gz UQAWBWGJJLOOLK-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN Cc1cc(C(=O)OCCC[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000828532533 624263360 /nfs/dbraw/zinc/26/33/60/624263360.db2.gz XHUIBGVFFJGCCI-LBPRGKRZSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1c(C(=O)OCCC[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000828542322 624265991 /nfs/dbraw/zinc/26/59/91/624265991.db2.gz LKMUGQVTTFSROU-LBPRGKRZSA-N 0 0 293.319 2.877 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000828541852 624266032 /nfs/dbraw/zinc/26/60/32/624266032.db2.gz KBWULKSLSVNMKS-CYBMUJFWSA-N 0 0 279.292 2.674 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000033097815 624289295 /nfs/dbraw/zinc/28/92/95/624289295.db2.gz VADSVXMWGAZIJB-CMPLNLGQSA-N 0 0 291.351 2.828 20 5 CFBDRN CCc1ncc(COc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000916865327 624289487 /nfs/dbraw/zinc/28/94/87/624289487.db2.gz NHAGRVVCRZKWJN-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1csc([N+](=O)[O-])c1 ZINC000828750432 624296246 /nfs/dbraw/zinc/29/62/46/624296246.db2.gz MHOCNZWAIBAAFC-SECBINFHSA-N 0 0 285.321 2.630 20 5 CFBDRN COc1ccc(C=O)cc1Oc1cccnc1[N+](=O)[O-] ZINC000916972195 624296672 /nfs/dbraw/zinc/29/66/72/624296672.db2.gz KRWRWFRPEWCSTB-UHFFFAOYSA-N 0 0 274.232 2.603 20 5 CFBDRN CCN(CC)C(=S)Sc1cccnc1[N+](=O)[O-] ZINC000916976319 624297346 /nfs/dbraw/zinc/29/73/46/624297346.db2.gz BTSFUPFWYSKOIJ-UHFFFAOYSA-N 0 0 271.367 2.709 20 5 CFBDRN CC[C@](C)(CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])OC ZINC000828778827 624299250 /nfs/dbraw/zinc/29/92/50/624299250.db2.gz CMCIGFRUZHXBJO-GFCCVEGCSA-N 0 0 283.284 2.730 20 5 CFBDRN Cc1cc(NC(=O)N2CCC(F)CC2)ccc1[N+](=O)[O-] ZINC000917032572 624302264 /nfs/dbraw/zinc/30/22/64/624302264.db2.gz LCNOZUCZESBZRD-UHFFFAOYSA-N 0 0 281.287 2.869 20 5 CFBDRN CC(C)CCN(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000917094740 624311250 /nfs/dbraw/zinc/31/12/50/624311250.db2.gz HCQDQZQYPACFQY-UHFFFAOYSA-N 0 0 264.285 2.613 20 5 CFBDRN CO[C@@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000828903762 624325992 /nfs/dbraw/zinc/32/59/92/624325992.db2.gz JQCMNCYKVZFAND-PRHODGIISA-N 0 0 286.278 2.734 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000828918686 624330494 /nfs/dbraw/zinc/33/04/94/624330494.db2.gz QVIXPQWOHMJFQT-GFCCVEGCSA-N 0 0 283.255 2.504 20 5 CFBDRN O=C(OC/C=C\Cl)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000917330264 624331273 /nfs/dbraw/zinc/33/12/73/624331273.db2.gz PHXQCEYIKPTKJQ-RJRFIUFISA-N 0 0 280.667 2.985 20 5 CFBDRN Cc1occc1C1=N/C(=C\c2ccc([N+](=O)[O-])cc2)C(=O)O1 ZINC000917417431 624341437 /nfs/dbraw/zinc/34/14/37/624341437.db2.gz REWXTSGYZRLYFG-JYRVWZFOSA-N 0 0 298.254 2.841 20 5 CFBDRN CCC[C@@H](C)c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000834562695 625713544 /nfs/dbraw/zinc/71/35/44/625713544.db2.gz VOICLYMHZGWYQU-SECBINFHSA-N 0 0 255.318 2.961 20 5 CFBDRN O=C(OCSc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000917478390 624344874 /nfs/dbraw/zinc/34/48/74/624344874.db2.gz XHNRZUMJFYUXAJ-UHFFFAOYSA-N 0 0 271.269 2.737 20 5 CFBDRN C[C@H](C1CC1)n1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])cn1 ZINC000828995384 624350890 /nfs/dbraw/zinc/35/08/90/624350890.db2.gz LLKUKZAEYYRIMR-SNVBAGLBSA-N 0 0 294.355 2.628 20 5 CFBDRN CC(F)(F)CCCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000917598513 624367427 /nfs/dbraw/zinc/36/74/27/624367427.db2.gz OQVRXORQLMYQGY-UHFFFAOYSA-N 0 0 286.234 2.938 20 5 CFBDRN Cc1cc(OCC(=O)OCC2=CCCC2)ccc1[N+](=O)[O-] ZINC000829092038 624370306 /nfs/dbraw/zinc/37/03/06/624370306.db2.gz SLEBFABJNWXNHY-UHFFFAOYSA-N 0 0 291.303 2.936 20 5 CFBDRN CCOCCOCCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000917740663 624379596 /nfs/dbraw/zinc/37/95/96/624379596.db2.gz KICGEZSAMHTXRP-UHFFFAOYSA-N 0 0 289.715 2.680 20 5 CFBDRN Cc1c(OC(=O)/C=C/c2cccnc2)cccc1[N+](=O)[O-] ZINC000917756040 624380332 /nfs/dbraw/zinc/38/03/32/624380332.db2.gz TXNJJZICGXZUIB-BQYQJAHWSA-N 0 0 284.271 2.917 20 5 CFBDRN Cc1ccc(C(=O)C(=O)Oc2cccc([N+](=O)[O-])c2C)cc1 ZINC000917756010 624380791 /nfs/dbraw/zinc/38/07/91/624380791.db2.gz RNEIQOMUKVJXGX-UHFFFAOYSA-N 0 0 299.282 3.000 20 5 CFBDRN Cc1nc(SCc2cccnc2[N+](=O)[O-])[nH]c1C ZINC000917765683 624382343 /nfs/dbraw/zinc/38/23/43/624382343.db2.gz SOBQYJZIHZBFHY-UHFFFAOYSA-N 0 0 264.310 2.622 20 5 CFBDRN CC(C)(CSc1ncc([N+](=O)[O-])c(N)n1)CC(F)F ZINC000917783578 624384146 /nfs/dbraw/zinc/38/41/46/624384146.db2.gz HFURYUPUHHZAOW-UHFFFAOYSA-N 0 0 292.311 2.741 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])n2C)c(C)c1 ZINC000917812980 624386825 /nfs/dbraw/zinc/38/68/25/624386825.db2.gz GOKAFNLXIPISAY-UHFFFAOYSA-N 0 0 287.319 2.827 20 5 CFBDRN CCc1nc(CNc2ccc([N+](=O)[O-])s2)co1 ZINC000829177769 624393648 /nfs/dbraw/zinc/39/36/48/624393648.db2.gz LAEZXBGLACVOPE-UHFFFAOYSA-N 0 0 253.283 2.819 20 5 CFBDRN C=C/C=C\CCNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000829186571 624394942 /nfs/dbraw/zinc/39/49/42/624394942.db2.gz OHFMSAMKDPJFCS-VUBDOFJKSA-N 0 0 286.331 2.947 20 5 CFBDRN Cc1ccc(N(C)CCn2cc([N+](=O)[O-])cn2)cc1Cl ZINC000174861519 624421033 /nfs/dbraw/zinc/42/10/33/624421033.db2.gz KDMSCZWMSUBKBL-UHFFFAOYSA-N 0 0 294.742 2.890 20 5 CFBDRN O=[N+]([O-])c1nccn1CCCOc1cccc(Cl)c1 ZINC000918360824 624433825 /nfs/dbraw/zinc/43/38/25/624433825.db2.gz VTERBYSDAZYIQX-UHFFFAOYSA-N 0 0 281.699 2.914 20 5 CFBDRN C[C@@H](COCc1ccccc1)Cn1ccnc1[N+](=O)[O-] ZINC000918396505 624434650 /nfs/dbraw/zinc/43/46/50/624434650.db2.gz JPJZBGZDUJXVNK-GFCCVEGCSA-N 0 0 275.308 2.644 20 5 CFBDRN COCC1(CNc2ccc(N)cc2[N+](=O)[O-])CCCC1 ZINC000829477146 624440989 /nfs/dbraw/zinc/44/09/89/624440989.db2.gz IGMMRHSGAGPMLY-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN O=C(OCc1cccnc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000918491007 624442367 /nfs/dbraw/zinc/44/23/67/624442367.db2.gz TZVZOZLEXIEGCH-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H](CCCO)C1 ZINC000829584619 624459462 /nfs/dbraw/zinc/45/94/62/624459462.db2.gz JGDRQTKQTYOIEZ-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000918868395 624505664 /nfs/dbraw/zinc/50/56/64/624505664.db2.gz DZZXGQOJRAXTPZ-MQQKCMAXSA-N 0 0 265.240 2.909 20 5 CFBDRN CCOc1ccc(C(=O)O[C@@H](C)C(=O)CC)cc1[N+](=O)[O-] ZINC000918994535 624513911 /nfs/dbraw/zinc/51/39/11/624513911.db2.gz PVHZGTXXIOCMCQ-VIFPVBQESA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCC1CCCC1 ZINC000919012925 624516342 /nfs/dbraw/zinc/51/63/42/624516342.db2.gz OEWDNZDYILVJGE-UHFFFAOYSA-N 0 0 264.281 2.645 20 5 CFBDRN CC(C)SCC(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000919074644 624521834 /nfs/dbraw/zinc/52/18/34/624521834.db2.gz UJAPNSVWBBIYGT-UHFFFAOYSA-N 0 0 283.349 2.822 20 5 CFBDRN COc1ccc(COC(=O)CSC(C)C)cc1[N+](=O)[O-] ZINC000919074178 624522050 /nfs/dbraw/zinc/52/20/50/624522050.db2.gz OFMKAVDJFASPDJ-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN Cc1cc(C(=O)OCc2csc([N+](=O)[O-])c2)c(C)[nH]1 ZINC000919089658 624524153 /nfs/dbraw/zinc/52/41/53/624524153.db2.gz AUARIUJNINQJAV-UHFFFAOYSA-N 0 0 280.305 2.958 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000919212874 624536153 /nfs/dbraw/zinc/53/61/53/624536153.db2.gz YFUDLQBWXAMKBJ-MRVPVSSYSA-N 0 0 291.259 2.865 20 5 CFBDRN CC(C)=CCOC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000919225456 624542355 /nfs/dbraw/zinc/54/23/55/624542355.db2.gz HANLYIGVPHBDHD-UHFFFAOYSA-N 0 0 294.263 2.934 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n([C@H]2CCCc3ccccc32)n1 ZINC000829847507 624565288 /nfs/dbraw/zinc/56/52/88/624565288.db2.gz IMZIANOPHJTPTM-NSHDSACASA-N 0 0 288.263 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCOC2)c(F)c1F ZINC000829884390 624576848 /nfs/dbraw/zinc/57/68/48/624576848.db2.gz VEMZMLRAZUWXBQ-QMMMGPOBSA-N 0 0 273.235 2.678 20 5 CFBDRN Nc1c(C(=O)OCC2CCCC2)cc(F)cc1[N+](=O)[O-] ZINC000919508232 624580681 /nfs/dbraw/zinc/58/06/81/624580681.db2.gz XUPRLRDFIWHWDU-UHFFFAOYSA-N 0 0 282.271 2.663 20 5 CFBDRN CC(C)C(CNC(=O)CCC(C)(C)[N+](=O)[O-])C(C)C ZINC000830119336 624635140 /nfs/dbraw/zinc/63/51/40/624635140.db2.gz IKFKGNYWXXWHIR-UHFFFAOYSA-N 0 0 272.389 2.866 20 5 CFBDRN C/C=C\C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000919925990 624640572 /nfs/dbraw/zinc/64/05/72/624640572.db2.gz MNHNOLUDUMPTCW-PLNGDYQASA-N 0 0 262.309 2.908 20 5 CFBDRN CCC/C(C)=C/C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000920221770 624701437 /nfs/dbraw/zinc/70/14/37/624701437.db2.gz LPJGCQBYMFMYFM-DHZHZOJOSA-N 0 0 292.335 2.966 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(Cl)n(CCCF)n2)c1 ZINC000920412670 624719745 /nfs/dbraw/zinc/71/97/45/624719745.db2.gz VLCNKNFVBJKXKN-UHFFFAOYSA-N 0 0 284.678 2.866 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCCOCC(C)C ZINC000830369862 624724003 /nfs/dbraw/zinc/72/40/03/624724003.db2.gz QEFQREDOPGDYRV-UHFFFAOYSA-N 0 0 299.298 2.872 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000920580648 624744081 /nfs/dbraw/zinc/74/40/81/624744081.db2.gz PWXJIIYGIGYSHC-UHFFFAOYSA-N 0 0 260.249 2.588 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC/C=C\Cl)c1 ZINC000920705543 624755647 /nfs/dbraw/zinc/75/56/47/624755647.db2.gz VRUQJEXRPFKGSI-DJWKRKHSSA-N 0 0 271.656 2.513 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC(OC(C)(C)C)C1 ZINC000830522806 624772029 /nfs/dbraw/zinc/77/20/29/624772029.db2.gz IAKKWUUPXMEQDU-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@H]1C ZINC000920810177 624772487 /nfs/dbraw/zinc/77/24/87/624772487.db2.gz RKSYQOUWNQFKHU-RKDXNWHRSA-N 0 0 298.726 2.636 20 5 CFBDRN C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@H]1C ZINC000920810173 624772758 /nfs/dbraw/zinc/77/27/58/624772758.db2.gz RKSYQOUWNQFKHU-BDAKNGLRSA-N 0 0 298.726 2.636 20 5 CFBDRN CC(C)OCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000921136484 624809387 /nfs/dbraw/zinc/80/93/87/624809387.db2.gz KEXHEIYKFSSWFF-UHFFFAOYSA-N 0 0 273.672 2.579 20 5 CFBDRN Cc1nn(C[C@@H]2CC2(Cl)Cl)c(C)c1[N+](=O)[O-] ZINC000921163313 624813712 /nfs/dbraw/zinc/81/37/12/624813712.db2.gz OVCFWAFFXODIJN-ZETCQYMHSA-N 0 0 264.112 2.602 20 5 CFBDRN CC(C)OC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000921365836 624848396 /nfs/dbraw/zinc/84/83/96/624848396.db2.gz WELKSXDDWQYZOK-SECBINFHSA-N 0 0 252.270 2.790 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])C1(F)CC1 ZINC000830762205 624850976 /nfs/dbraw/zinc/85/09/76/624850976.db2.gz ZHSOGGSERSHHFS-UHFFFAOYSA-N 0 0 273.647 2.794 20 5 CFBDRN CCC(C)(C)CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000233026448 624923081 /nfs/dbraw/zinc/92/30/81/624923081.db2.gz NHNXBSLXBLNPDI-UHFFFAOYSA-N 0 0 264.285 2.979 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)[C@H]1CCC(=O)C1 ZINC000830898137 624924070 /nfs/dbraw/zinc/92/40/70/624924070.db2.gz ZIULBALRYGOJSC-QMMMGPOBSA-N 0 0 297.694 2.661 20 5 CFBDRN CN(Cc1nccs1)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000175087102 624926224 /nfs/dbraw/zinc/92/62/24/624926224.db2.gz VPSLMKWGYVRWQD-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN CC(C)COC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000064444238 624931818 /nfs/dbraw/zinc/93/18/18/624931818.db2.gz VOUWHLDUAHESLN-UHFFFAOYSA-N 0 0 289.291 2.593 20 5 CFBDRN CCC[C@@H](O)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000233296754 624933901 /nfs/dbraw/zinc/93/39/01/624933901.db2.gz HVSWICFRCZJNEF-SSDOTTSWSA-N 0 0 276.695 2.960 20 5 CFBDRN Cc1cccnc1COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000180252361 625008821 /nfs/dbraw/zinc/00/88/21/625008821.db2.gz ZITUICRLMKOZNQ-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN CCON(CC)C(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000831499572 625036503 /nfs/dbraw/zinc/03/65/03/625036503.db2.gz RKVCYDUMSDZQCZ-UHFFFAOYSA-N 0 0 284.337 2.730 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)CO1 ZINC000831786522 625125753 /nfs/dbraw/zinc/12/57/53/625125753.db2.gz KBVIUGMLWWMOMQ-HTQZYQBOSA-N 0 0 299.714 2.547 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2nccn2C)cc([N+](=O)[O-])c1 ZINC000851581946 634444124 /nfs/dbraw/zinc/44/41/24/634444124.db2.gz WTBQZNSGAYQMTG-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1nc(CNc2cc([N+](=O)[O-])ccc2NC(C)C)no1 ZINC000834651270 625756920 /nfs/dbraw/zinc/75/69/20/625756920.db2.gz ZPPASQMJWZKCSM-UHFFFAOYSA-N 0 0 291.311 2.719 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])CCS1 ZINC000834666212 625769960 /nfs/dbraw/zinc/76/99/60/625769960.db2.gz QWSZUTJQKYVMSC-PSASIEDQSA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCS[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000834681226 625781180 /nfs/dbraw/zinc/78/11/80/625781180.db2.gz AWMSKWGUTXEAGN-ZYHUDNBSSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000834695011 625789240 /nfs/dbraw/zinc/78/92/40/625789240.db2.gz LGBOXKRGEKSVDZ-UWVGGRQHSA-N 0 0 280.349 2.609 20 5 CFBDRN CCOc1cc([N+](=O)[O-])c(C(=O)OCC(C)C)cc1OC ZINC000073192970 625799123 /nfs/dbraw/zinc/79/91/23/625799123.db2.gz KZEKECODZFYBEJ-UHFFFAOYSA-N 0 0 297.307 2.815 20 5 CFBDRN C/C=C/COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000073194697 625801376 /nfs/dbraw/zinc/80/13/76/625801376.db2.gz TVSFPPJLSZWCCP-NSCUHMNNSA-N 0 0 255.657 2.981 20 5 CFBDRN Cc1noc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000101707113 625852173 /nfs/dbraw/zinc/85/21/73/625852173.db2.gz CHFGEYGRYDUBSX-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN CC1(c2noc(-c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)n2)CC1 ZINC000834884916 625908840 /nfs/dbraw/zinc/90/88/40/625908840.db2.gz QWHYWSHWIFHMRE-UHFFFAOYSA-N 0 0 290.235 2.605 20 5 CFBDRN CCC[C@H](CNC(=S)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000840774246 625959685 /nfs/dbraw/zinc/95/96/85/625959685.db2.gz IBWDHYGHOOLJRL-GFCCVEGCSA-N 0 0 297.380 2.696 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000083905818 625999162 /nfs/dbraw/zinc/99/91/62/625999162.db2.gz KVCKAHHFQANUJU-MRVPVSSYSA-N 0 0 286.278 2.895 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NOc1ccccc1 ZINC000773471246 626165746 /nfs/dbraw/zinc/16/57/46/626165746.db2.gz ZFYINIWOKHQGBB-UHFFFAOYSA-N 0 0 272.260 2.627 20 5 CFBDRN COC[C@H](COC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(C)C ZINC000922413489 626284366 /nfs/dbraw/zinc/28/43/66/626284366.db2.gz VMNIWKGUTILFHF-LLVKDONJSA-N 0 0 299.298 2.809 20 5 CFBDRN C[C@@H](NCc1cc2n(n1)CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000922541332 626306872 /nfs/dbraw/zinc/30/68/72/626306872.db2.gz QYEQDSDLRLKVSR-LLVKDONJSA-N 0 0 286.335 2.588 20 5 CFBDRN CCn1cnnc1NCc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000922660670 626336743 /nfs/dbraw/zinc/33/67/43/626336743.db2.gz SEYXJLPLRVLMEK-UHFFFAOYSA-N 0 0 289.339 2.942 20 5 CFBDRN CCCOC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000073826059 626393407 /nfs/dbraw/zinc/39/34/07/626393407.db2.gz SQXJXZKHQRTELV-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN(C1CC1)[C@@H]1CCc2ccccc21 ZINC000057089889 626401286 /nfs/dbraw/zinc/40/12/86/626401286.db2.gz MOKKQGRJCCGTJM-OAHLLOKOSA-N 0 0 298.346 2.901 20 5 CFBDRN C[C@H](C(=O)OCc1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000163726690 626408013 /nfs/dbraw/zinc/40/80/13/626408013.db2.gz OTJMYITVLGAPEH-NSHDSACASA-N 0 0 293.319 2.701 20 5 CFBDRN CSCCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000174424499 626419124 /nfs/dbraw/zinc/41/91/24/626419124.db2.gz MPOAGUYINSQZNE-UHFFFAOYSA-N 0 0 255.295 2.505 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)[C@@H]1CCCO1 ZINC000923064801 626448625 /nfs/dbraw/zinc/44/86/25/626448625.db2.gz XZPPOKVHGRCSMU-ZANVPECISA-N 0 0 297.282 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=NOC[C@@H]3CCOC3)sc2c1 ZINC000788082484 626480997 /nfs/dbraw/zinc/48/09/97/626480997.db2.gz GRDYLMRLMJJQRD-MRVPVSSYSA-N 0 0 295.320 2.585 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000003502837 626485477 /nfs/dbraw/zinc/48/54/77/626485477.db2.gz JBGFFSDDCNYIBT-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN C[C@H](OC(=O)CC1(O)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000838212794 626488358 /nfs/dbraw/zinc/48/83/58/626488358.db2.gz KZXIGLWSMJBFPI-JTQLQIEISA-N 0 0 279.292 2.504 20 5 CFBDRN CC(C)C[C@H](C)COC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838286063 626491921 /nfs/dbraw/zinc/49/19/21/626491921.db2.gz SHKGDSMODLNNEO-FZMZJTMJSA-N 0 0 295.335 2.854 20 5 CFBDRN CO[C@H](C)COC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000838344211 626492832 /nfs/dbraw/zinc/49/28/32/626492832.db2.gz DHGLVTOSQAGNJP-MRVPVSSYSA-N 0 0 287.699 2.748 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)OCC(F)F)cc1Cl ZINC000838346984 626492968 /nfs/dbraw/zinc/49/29/68/626492968.db2.gz YNAHJAPVGQOHNA-UHFFFAOYSA-N 0 0 279.626 2.979 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000838346887 626492985 /nfs/dbraw/zinc/49/29/85/626492985.db2.gz YATSLMLHSPKFGL-QMMMGPOBSA-N 0 0 285.683 2.691 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC000838971035 626517640 /nfs/dbraw/zinc/51/76/40/626517640.db2.gz MQQLTCJKQXFTOJ-KWBADKCTSA-N 0 0 257.330 2.657 20 5 CFBDRN C[C@H](COC(=O)c1ccc([N+](=O)[O-])n1C)CC(F)(F)F ZINC000842948139 626522779 /nfs/dbraw/zinc/52/27/79/626522779.db2.gz RWJQWXLYBPFKET-ZETCQYMHSA-N 0 0 294.229 2.679 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1(C)C ZINC000839102754 626524951 /nfs/dbraw/zinc/52/49/51/626524951.db2.gz YPXLRAZNJIKQLK-NEPJUHHUSA-N 0 0 297.282 2.704 20 5 CFBDRN COC1CN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 ZINC000839130804 626527747 /nfs/dbraw/zinc/52/77/47/626527747.db2.gz HLNIKEDDMUFJLM-UHFFFAOYSA-N 0 0 291.134 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC2(C1)CCCOC2 ZINC000839137285 626528133 /nfs/dbraw/zinc/52/81/33/626528133.db2.gz COQRWAUCGIQFPE-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN C[C@@]1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCCCO1 ZINC000839222477 626531661 /nfs/dbraw/zinc/53/16/61/626531661.db2.gz IBXYSHJDIGMKCB-ZDUSSCGKSA-N 0 0 282.271 2.632 20 5 CFBDRN CC(C(=O)N1CCc2cc([N+](=O)[O-])ccc21)=C1CCC1 ZINC000839228273 626531780 /nfs/dbraw/zinc/53/17/80/626531780.db2.gz ZYPBRLCKTZTFEX-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1C[C@@H]2C[C@H]1CS2 ZINC000839453628 626537382 /nfs/dbraw/zinc/53/73/82/626537382.db2.gz WZWHZNGSIUPOOB-IUCAKERBSA-N 0 0 298.751 2.578 20 5 CFBDRN C/C=C(\CC)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000789238063 626539455 /nfs/dbraw/zinc/53/94/55/626539455.db2.gz PNFJIJPDCDGREN-QDEBKDIKSA-N 0 0 279.292 2.873 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCc2ccc(C)s2)n1 ZINC000755811521 626542037 /nfs/dbraw/zinc/54/20/37/626542037.db2.gz VQICHZIJTWKKQI-UHFFFAOYSA-N 0 0 295.320 2.538 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1C[C@H]1C1CC1 ZINC000756993054 626543114 /nfs/dbraw/zinc/54/31/14/626543114.db2.gz CIVBAXILSCDGMC-NWDGAFQWSA-N 0 0 294.738 2.911 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCc2ccccc2[N+](=O)[O-])O1 ZINC000757128309 626545609 /nfs/dbraw/zinc/54/56/09/626545609.db2.gz VVGFMZRLIIQMEV-YPMHNXCESA-N 0 0 279.292 2.596 20 5 CFBDRN C[C@H]1[C@@H](CO)CCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000839675457 626551852 /nfs/dbraw/zinc/55/18/52/626551852.db2.gz PJAVSFMAWWIPKH-CMPLNLGQSA-N 0 0 298.770 2.841 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)CC1(O)CCC1 ZINC000757544346 626554928 /nfs/dbraw/zinc/55/49/28/626554928.db2.gz DYBGWKNDKUDIQT-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1nc(COC(=O)c2ccc(F)cc2[N+](=O)[O-])cs1 ZINC000757550350 626555165 /nfs/dbraw/zinc/55/51/65/626555165.db2.gz DGGHAELPMQCICJ-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CON(C(=O)c1cc(F)cc(C)c1[N+](=O)[O-])C1CCCC1 ZINC000757632079 626556786 /nfs/dbraw/zinc/55/67/86/626556786.db2.gz VMKWYVVOVSTNPE-UHFFFAOYSA-N 0 0 296.298 2.989 20 5 CFBDRN CON(C(=O)c1cc(C)ccc1[N+](=O)[O-])C1CCCC1 ZINC000757652532 626557172 /nfs/dbraw/zinc/55/71/72/626557172.db2.gz BYWVYCOAVSNZSX-UHFFFAOYSA-N 0 0 278.308 2.849 20 5 CFBDRN COC1CCC(OC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000757933652 626568868 /nfs/dbraw/zinc/56/88/68/626568868.db2.gz DPMJCDNGUPEBDH-UHFFFAOYSA-N 0 0 293.319 2.638 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2cccc(F)n2)c1 ZINC000758264013 626586052 /nfs/dbraw/zinc/58/60/52/626586052.db2.gz XKLAPEDYYIRJHH-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCCCC2)c1[N+](=O)[O-] ZINC000758354184 626589009 /nfs/dbraw/zinc/58/90/09/626589009.db2.gz BIWKXGKXLWETKE-UHFFFAOYSA-N 0 0 266.272 2.668 20 5 CFBDRN C[C@@H](C[C@H](O)c1ccccc1)Nc1cccnc1[N+](=O)[O-] ZINC000758465668 626595003 /nfs/dbraw/zinc/59/50/03/626595003.db2.gz AVHZSUSCSCLOHV-FZMZJTMJSA-N 0 0 287.319 2.914 20 5 CFBDRN COc1ccc(OC(=O)CC2(C)CC2)cc1[N+](=O)[O-] ZINC000759336145 626646832 /nfs/dbraw/zinc/64/68/32/626646832.db2.gz DTJPAUVNYNWJLZ-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN CCC(=O)CCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000759436127 626658471 /nfs/dbraw/zinc/65/84/71/626658471.db2.gz OPDQQIBQWWWXHR-YFHOEESVSA-N 0 0 291.303 2.911 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000759502820 626665160 /nfs/dbraw/zinc/66/51/60/626665160.db2.gz BTAQPURPSCECDJ-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN COCCC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000759670005 626682033 /nfs/dbraw/zinc/68/20/33/626682033.db2.gz IMBLTKGKUJETTO-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CC23CCC3)c([N+](=O)[O-])c1 ZINC000759835532 626694745 /nfs/dbraw/zinc/69/47/45/626694745.db2.gz RZTYZRCNXSJVAA-SNVBAGLBSA-N 0 0 261.277 2.999 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1CC12CCC2 ZINC000759976736 626705555 /nfs/dbraw/zinc/70/55/55/626705555.db2.gz ISYCCUMCYCKWLM-SECBINFHSA-N 0 0 267.306 2.890 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000760015724 626709698 /nfs/dbraw/zinc/70/96/98/626709698.db2.gz HNRPMPZGPUVOIM-GHMZBOCLSA-N 0 0 261.277 2.855 20 5 CFBDRN CCc1ccc(C(=O)N[C@H](C)c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000760412529 626743325 /nfs/dbraw/zinc/74/33/25/626743325.db2.gz UMRFTNMVKQQTBR-SNVBAGLBSA-N 0 0 287.319 2.976 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NCCCCF ZINC000936065131 649982804 /nfs/dbraw/zinc/98/28/04/649982804.db2.gz ASZWCJSQAANGMA-UHFFFAOYSA-N 0 0 286.328 2.796 20 5 CFBDRN CCc1cnc(CN2CCc3cc([N+](=O)[O-])ccc32)o1 ZINC000760447661 626747145 /nfs/dbraw/zinc/74/71/45/626747145.db2.gz HDARTHTWMKMRFL-UHFFFAOYSA-N 0 0 273.292 2.708 20 5 CFBDRN O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C1CC1 ZINC000760463721 626747985 /nfs/dbraw/zinc/74/79/85/626747985.db2.gz GGPADTMMHJJLIY-UHFFFAOYSA-N 0 0 288.259 2.703 20 5 CFBDRN CCC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000760464624 626748319 /nfs/dbraw/zinc/74/83/19/626748319.db2.gz LMHYOYAUNLDHLP-UHFFFAOYSA-N 0 0 276.248 2.703 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cn1)c1nc2ccccc2o1 ZINC000760548714 626755091 /nfs/dbraw/zinc/75/50/91/626755091.db2.gz FNPPTUPQMAMOCI-SECBINFHSA-N 0 0 299.290 2.742 20 5 CFBDRN O=C(OC[C@H]1CCCOC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000760719651 626766699 /nfs/dbraw/zinc/76/66/99/626766699.db2.gz QRBZJHOYAZFHBG-VIFPVBQESA-N 0 0 299.710 2.832 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OC[C@H]1CCOC1 ZINC000760779656 626771914 /nfs/dbraw/zinc/77/19/14/626771914.db2.gz NTACPXNOOSKCCW-VIFPVBQESA-N 0 0 299.710 2.750 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccc[nH]1 ZINC000760961044 626788585 /nfs/dbraw/zinc/78/85/85/626788585.db2.gz OYMLSBMTMKVURQ-VIFPVBQESA-N 0 0 298.302 2.895 20 5 CFBDRN C[C@@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000761021102 626792147 /nfs/dbraw/zinc/79/21/47/626792147.db2.gz JEGGZOVKIHHKJB-SNVBAGLBSA-N 0 0 274.276 2.851 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1ccc(F)cc1 ZINC000761062111 626795932 /nfs/dbraw/zinc/79/59/32/626795932.db2.gz PTFGQMKGAMDHJD-SECBINFHSA-N 0 0 292.266 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)C2CC2)nc1-c1ccccc1 ZINC000761225778 626817554 /nfs/dbraw/zinc/81/75/54/626817554.db2.gz SQTPJMYFBAAFSX-CYBMUJFWSA-N 0 0 299.330 2.840 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000761877220 626868778 /nfs/dbraw/zinc/86/87/78/626868778.db2.gz VBYCYXFOVQTRER-JTQLQIEISA-N 0 0 265.313 2.688 20 5 CFBDRN Cc1ccc(CCOC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000761977548 626876948 /nfs/dbraw/zinc/87/69/48/626876948.db2.gz WBUMCLRQYOQRRY-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000762087971 626889169 /nfs/dbraw/zinc/88/91/69/626889169.db2.gz TXTKCWNEVCKJEJ-SNVBAGLBSA-N 0 0 281.333 2.816 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cn2)[C@H](C)C1 ZINC000762089363 626889234 /nfs/dbraw/zinc/88/92/34/626889234.db2.gz YPRCLGLQDGGRAN-GIPNMCIBSA-N 0 0 278.308 2.971 20 5 CFBDRN O=C(OC[C@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000762141715 626896597 /nfs/dbraw/zinc/89/65/97/626896597.db2.gz XLEYEBIPTWSZAG-SECBINFHSA-N 0 0 267.306 2.505 20 5 CFBDRN O=C1O[C@H](COc2ccc(Cl)cc2[N+](=O)[O-])CC12CC2 ZINC000762187994 626902006 /nfs/dbraw/zinc/90/20/06/626902006.db2.gz DZQNEFHRTQPHHD-VIFPVBQESA-N 0 0 297.694 2.723 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc[nH]1 ZINC000762191639 626902614 /nfs/dbraw/zinc/90/26/14/626902614.db2.gz ACMMUTOYSVZBSQ-SECBINFHSA-N 0 0 298.302 2.895 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC[C@@H]3SCCS[C@@H]23)nc1 ZINC000762566796 626924215 /nfs/dbraw/zinc/92/42/15/626924215.db2.gz YADBECIKHTVYDE-SCVCMEIPSA-N 0 0 297.405 2.781 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCCc2ccccc2[N+](=O)[O-])O1 ZINC000762706408 626931431 /nfs/dbraw/zinc/93/14/31/626931431.db2.gz QKAPHSZHSSKIRH-TZMCWYRMSA-N 0 0 293.319 2.638 20 5 CFBDRN C[C@@H](C(=O)OC[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000762942630 626952255 /nfs/dbraw/zinc/95/22/55/626952255.db2.gz AHTHMZXMTDNEBX-RISCZKNCSA-N 0 0 293.319 2.811 20 5 CFBDRN O=C(OC/C=C\Cl)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762973493 626953246 /nfs/dbraw/zinc/95/32/46/626953246.db2.gz CBILMRUJIPEYDS-UPHRSURJSA-N 0 0 299.695 2.509 20 5 CFBDRN CC(C)C(C)(C)SCCn1ccc([N+](=O)[O-])n1 ZINC000762972355 626953528 /nfs/dbraw/zinc/95/35/28/626953528.db2.gz IWCXPDHEPGLQGU-UHFFFAOYSA-N 0 0 257.359 2.959 20 5 CFBDRN CC(C)(C(=O)OCC(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000762987627 626954075 /nfs/dbraw/zinc/95/40/75/626954075.db2.gz FGFFQNPNUPYKDX-UHFFFAOYSA-N 0 0 291.225 2.820 20 5 CFBDRN CCCc1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)co1 ZINC000763190118 626969398 /nfs/dbraw/zinc/96/93/98/626969398.db2.gz SWHQXUUNRZMDFO-UHFFFAOYSA-N 0 0 293.254 2.927 20 5 CFBDRN C[C@]1(c2noc(-c3ccc([N+](=O)[O-])cc3)n2)CCCO1 ZINC000763327206 626979731 /nfs/dbraw/zinc/97/97/31/626979731.db2.gz YKRNQFDHESBKEH-CYBMUJFWSA-N 0 0 275.264 2.670 20 5 CFBDRN CCn1cc(OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000763346178 626982122 /nfs/dbraw/zinc/98/21/22/626982122.db2.gz CMUFZAOCECOJNF-SNVBAGLBSA-N 0 0 289.291 2.520 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763399577 626985843 /nfs/dbraw/zinc/98/58/43/626985843.db2.gz QVUOYRDLHREGAA-MNOVXSKESA-N 0 0 293.319 2.857 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763399578 626985885 /nfs/dbraw/zinc/98/58/85/626985885.db2.gz QVUOYRDLHREGAA-QWRGUYRKSA-N 0 0 293.319 2.857 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H]1C[C@]12CCOC2 ZINC000763455398 626990109 /nfs/dbraw/zinc/99/01/09/626990109.db2.gz RHJBWROBOMJIJP-ZANVPECISA-N 0 0 296.710 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])c(C[NH2+][C@H]2CCC(F)(F)C2)c1 ZINC000763522132 626994383 /nfs/dbraw/zinc/99/43/83/626994383.db2.gz PPMPIMZAXUJFFM-VIFPVBQESA-N 0 0 272.251 2.578 20 5 CFBDRN CC(C)[C@H](C)OC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000763549213 626997050 /nfs/dbraw/zinc/99/70/50/626997050.db2.gz TXMKYFIXUJGIPF-VIFPVBQESA-N 0 0 285.271 2.700 20 5 CFBDRN CCC[C@@H](C)C(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000763707036 627006851 /nfs/dbraw/zinc/00/68/51/627006851.db2.gz VZYCFAUBWFJTNL-SECBINFHSA-N 0 0 295.291 2.723 20 5 CFBDRN COC(=O)c1ccc(OC(=O)[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000763712913 627007898 /nfs/dbraw/zinc/00/78/98/627007898.db2.gz XUVVTSMHLGMIIY-VIFPVBQESA-N 0 0 295.291 2.579 20 5 CFBDRN O=C(OCC(F)F)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763720896 627007964 /nfs/dbraw/zinc/00/79/64/627007964.db2.gz PXRQVONBLUHNEV-UHFFFAOYSA-N 0 0 265.599 2.670 20 5 CFBDRN Nc1c(C(=O)OC/C(Cl)=C\Cl)cccc1[N+](=O)[O-] ZINC000764006380 627030961 /nfs/dbraw/zinc/03/09/61/627030961.db2.gz ISRIOVWYZWNLKG-GQCTYLIASA-N 0 0 291.090 2.653 20 5 CFBDRN C[C@@H](C(=O)O[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000764055663 627033647 /nfs/dbraw/zinc/03/36/47/627033647.db2.gz UPSQJCGLAFUCSE-MFKMUULPSA-N 0 0 261.277 2.960 20 5 CFBDRN C[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(F)F ZINC000764084235 627036222 /nfs/dbraw/zinc/03/62/22/627036222.db2.gz FQHCOJVXCDSSFN-YUMQZZPRSA-N 0 0 273.235 2.895 20 5 CFBDRN C/C=C\C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000764080412 627036342 /nfs/dbraw/zinc/03/63/42/627036342.db2.gz RVNIDJCAEIFHIV-IHWYPQMZSA-N 0 0 260.293 2.662 20 5 CFBDRN CCn1nccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000764157359 627042986 /nfs/dbraw/zinc/04/29/86/627042986.db2.gz SXVBIFPOJWHRPL-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN CC1(CCOC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000764229452 627046867 /nfs/dbraw/zinc/04/68/67/627046867.db2.gz WZMSWDDYLVJJJQ-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN CS/C=C\C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000764231379 627046886 /nfs/dbraw/zinc/04/68/86/627046886.db2.gz BQONCHBSHJIYKE-IHWYPQMZSA-N 0 0 274.248 2.688 20 5 CFBDRN CCNc1ccc(C(=O)O[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000764382101 627055235 /nfs/dbraw/zinc/05/52/35/627055235.db2.gz STSCCKPAVXPLCV-SECBINFHSA-N 0 0 278.308 2.982 20 5 CFBDRN Cc1nn(Cc2cscn2)c2ccc([N+](=O)[O-])cc12 ZINC000764589407 627073812 /nfs/dbraw/zinc/07/38/12/627073812.db2.gz JYLJPGWMRYVXGC-UHFFFAOYSA-N 0 0 274.305 2.758 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)COC ZINC000764646911 627079930 /nfs/dbraw/zinc/07/99/30/627079930.db2.gz IBLLPIUEAMUALF-VIFPVBQESA-N 0 0 299.348 2.899 20 5 CFBDRN C[C@@H](OC(=O)COc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000764787746 627095036 /nfs/dbraw/zinc/09/50/36/627095036.db2.gz DBOVCFPMHVFIHJ-SNVBAGLBSA-N 0 0 291.259 2.871 20 5 CFBDRN C[C@@H](OC(=O)COc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000764795356 627095643 /nfs/dbraw/zinc/09/56/43/627095643.db2.gz WJIGOUTVQNCJSP-SNVBAGLBSA-N 0 0 291.259 2.871 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCOCC2CCC2)c1 ZINC000765070706 627117505 /nfs/dbraw/zinc/11/75/05/627117505.db2.gz FFAXCJJZWQAABY-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN Cn1ccc(CNc2nc3ccc([N+](=O)[O-])cc3s2)n1 ZINC000765626096 627150973 /nfs/dbraw/zinc/15/09/73/627150973.db2.gz YCBYPEOICYHPBK-UHFFFAOYSA-N 0 0 289.320 2.550 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000766173355 627182219 /nfs/dbraw/zinc/18/22/19/627182219.db2.gz RMWNZZSHJBHJOL-MRVPVSSYSA-N 0 0 285.271 2.832 20 5 CFBDRN COc1cc(COC(=O)C2CC=CC2)c([N+](=O)[O-])cc1F ZINC000766205864 627184251 /nfs/dbraw/zinc/18/42/51/627184251.db2.gz FPUNRYTXQPMEGA-UHFFFAOYSA-N 0 0 295.266 2.752 20 5 CFBDRN C/C=C(\C)C(=O)OCc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000766216060 627185357 /nfs/dbraw/zinc/18/53/57/627185357.db2.gz XQGPIOGKPHNGJR-XBXARRHUSA-N 0 0 283.255 2.752 20 5 CFBDRN CCCOC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000766230050 627186536 /nfs/dbraw/zinc/18/65/36/627186536.db2.gz NIHIJJKXGAMBJR-UHFFFAOYSA-N 0 0 260.249 2.710 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)ns1 ZINC000766367064 627197216 /nfs/dbraw/zinc/19/72/16/627197216.db2.gz JZSAMDVPSMHRLL-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN C[C@@H]1CCN(c2nc3ccccn3c2[N+](=O)[O-])C[C@H]1C ZINC000766442548 627203555 /nfs/dbraw/zinc/20/35/55/627203555.db2.gz AYTQCBIUSJZASJ-GHMZBOCLSA-N 0 0 274.324 2.725 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1C(=O)OCCCC1CCCCC1 ZINC000766617090 627211657 /nfs/dbraw/zinc/21/16/57/627211657.db2.gz BIYAUTSTUSYUNH-UHFFFAOYSA-N 0 0 295.339 2.846 20 5 CFBDRN C[C@H]1CCC[C@H](CCOC(=O)c2c([N+](=O)[O-])cnn2C)C1 ZINC000766660139 627213522 /nfs/dbraw/zinc/21/35/22/627213522.db2.gz DHWPTLGZYSHHGE-WDEREUQCSA-N 0 0 295.339 2.702 20 5 CFBDRN COc1cccc(C(=O)O[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000766875330 627224096 /nfs/dbraw/zinc/22/40/96/627224096.db2.gz FFYDRNBNJDLRIY-NXEZZACHSA-N 0 0 279.292 2.949 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000805337063 627224437 /nfs/dbraw/zinc/22/44/37/627224437.db2.gz FOCNSVYKQALFMI-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN COc1ccc(COC(=O)C[C@H](C)SC)cc1[N+](=O)[O-] ZINC000766920323 627227062 /nfs/dbraw/zinc/22/70/62/627227062.db2.gz SNWRSMWGMHLVRI-VIFPVBQESA-N 0 0 299.348 2.788 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCC(Cl)(Cl)Cl ZINC000767098817 627235074 /nfs/dbraw/zinc/23/50/74/627235074.db2.gz DIOSFIPCGBVWLD-UHFFFAOYSA-N 0 0 272.519 2.860 20 5 CFBDRN O=C(OCCCF)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000767252471 627241267 /nfs/dbraw/zinc/24/12/67/627241267.db2.gz BYTWHGGLZGUWCJ-UHFFFAOYSA-N 0 0 261.636 2.765 20 5 CFBDRN CC1(C)CN(Cn2nccc2[N+](=O)[O-])CC(C)(C)C1 ZINC000767513604 627256777 /nfs/dbraw/zinc/25/67/77/627256777.db2.gz HPFNIPVFQSNDPN-UHFFFAOYSA-N 0 0 266.345 2.507 20 5 CFBDRN CS/C=C/C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000767664864 627271137 /nfs/dbraw/zinc/27/11/37/627271137.db2.gz OPGYLHFSERBSBG-VQHVLOKHSA-N 0 0 267.306 2.557 20 5 CFBDRN Cc1cccc([C@@H](O)CNc2ncc(C)cc2[N+](=O)[O-])c1 ZINC000767813528 627287993 /nfs/dbraw/zinc/28/79/93/627287993.db2.gz BJGWKGURMNMKAB-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN CN(CCOC(C)(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000767821374 627288754 /nfs/dbraw/zinc/28/87/54/627288754.db2.gz WWQFSKZRNUFGFU-UHFFFAOYSA-N 0 0 270.304 2.985 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC000768125985 627318045 /nfs/dbraw/zinc/31/80/45/627318045.db2.gz KPSHMQWVKIRWFJ-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN CC(C)(C)C(=O)NOCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000768516916 627348220 /nfs/dbraw/zinc/34/82/20/627348220.db2.gz HFXXMFPRDYLCQG-UHFFFAOYSA-N 0 0 286.715 2.842 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000768900538 627385586 /nfs/dbraw/zinc/38/55/86/627385586.db2.gz VNHYKBFFNASVEE-NSHDSACASA-N 0 0 295.339 2.585 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@H](F)c1ccccc1 ZINC000768979806 627392225 /nfs/dbraw/zinc/39/22/25/627392225.db2.gz RUEOGPNWPBNRCF-CQSZACIVSA-N 0 0 288.278 2.922 20 5 CFBDRN Cc1cc(C)c(OC(=O)Cc2ccco2)c([N+](=O)[O-])c1 ZINC000769618892 627462109 /nfs/dbraw/zinc/46/21/09/627462109.db2.gz LAGAXFAVXRXYEV-UHFFFAOYSA-N 0 0 275.260 2.953 20 5 CFBDRN CCOCCOC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000769882458 627484711 /nfs/dbraw/zinc/48/47/11/627484711.db2.gz PSNNWTLBJGHCJR-UHFFFAOYSA-N 0 0 281.308 2.713 20 5 CFBDRN CO[C@@H](C(=O)OCc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000770629075 627535515 /nfs/dbraw/zinc/53/55/15/627535515.db2.gz PADIPRQZDLOPHF-CYBMUJFWSA-N 0 0 281.308 2.617 20 5 CFBDRN CO[C@@H](C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-])C(C)C ZINC000770843235 627551194 /nfs/dbraw/zinc/55/11/94/627551194.db2.gz QABYANQBSOMKLL-GXFFZTMASA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1cc(NC(=O)NCCOC2CCC2)ccc1[N+](=O)[O-] ZINC000771185122 627583423 /nfs/dbraw/zinc/58/34/23/627583423.db2.gz CZRJEEBJZQPUMP-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN CC(C)=C(C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771488162 627608291 /nfs/dbraw/zinc/60/82/91/627608291.db2.gz IMOCEAYOKKJHFG-UHFFFAOYSA-N 0 0 253.229 2.996 20 5 CFBDRN C[C@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000771488342 627608408 /nfs/dbraw/zinc/60/84/08/627608408.db2.gz KVAHPMQXLOJCKX-RXMQYKEDSA-N 0 0 281.161 2.838 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)C1(C(F)(F)F)CC1 ZINC000771486735 627608475 /nfs/dbraw/zinc/60/84/75/627608475.db2.gz LMBGZHRUUPZQRQ-UHFFFAOYSA-N 0 0 293.172 2.982 20 5 CFBDRN Cc1cnc(COC(=O)c2cc([N+](=O)[O-])ccc2F)s1 ZINC000771920539 627647781 /nfs/dbraw/zinc/64/77/81/627647781.db2.gz ISSNWVDIVNUUAQ-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1ncc(CCNc2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000771926766 627649051 /nfs/dbraw/zinc/64/90/51/627649051.db2.gz JQHDBGLDKHYOIM-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN Cc1cccnc1COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000771942303 627651777 /nfs/dbraw/zinc/65/17/77/627651777.db2.gz YIWSGYUZRVWNJX-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000772258817 627693184 /nfs/dbraw/zinc/69/31/84/627693184.db2.gz UNKJNNMRQYQHSM-WDEREUQCSA-N 0 0 274.324 2.867 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000772261148 627693768 /nfs/dbraw/zinc/69/37/68/627693768.db2.gz NCXIWCVZLLZNNO-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000772309048 627699887 /nfs/dbraw/zinc/69/98/87/627699887.db2.gz AQYPISHUOJIKDP-GFCCVEGCSA-N 0 0 299.710 2.861 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H]1C[C@@H]1C(C)C ZINC000772508345 627716261 /nfs/dbraw/zinc/71/62/61/627716261.db2.gz UVLHQLWPNMNQIV-CHWSQXEVSA-N 0 0 293.319 2.939 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C1(F)CCCC1 ZINC000772795991 627746420 /nfs/dbraw/zinc/74/64/20/627746420.db2.gz ZKCOXILXOIUPLE-UHFFFAOYSA-N 0 0 297.282 2.929 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000772896110 627756766 /nfs/dbraw/zinc/75/67/66/627756766.db2.gz AUEIULREHJJNIW-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)COC1(C)CCC1 ZINC000773013614 627769350 /nfs/dbraw/zinc/76/93/50/627769350.db2.gz QXLVTDSBYWXXJE-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN O=C(OCc1cnoc1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000773475647 627825825 /nfs/dbraw/zinc/82/58/25/627825825.db2.gz AJQWQCOUFIWDKB-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1ccc(O)cc1F ZINC000773570086 627837971 /nfs/dbraw/zinc/83/79/71/627837971.db2.gz MQDCMCBBOBSDFY-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)/C=C\C1CC1)CC2 ZINC000773984776 627875666 /nfs/dbraw/zinc/87/56/66/627875666.db2.gz FFZRFMIDSYIIRG-PLNGDYQASA-N 0 0 272.304 2.759 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@@H](C)C1CC1)CC2 ZINC000773995727 627876808 /nfs/dbraw/zinc/87/68/08/627876808.db2.gz IAMASXAMPCVWGI-JTQLQIEISA-N 0 0 274.320 2.838 20 5 CFBDRN CC[C@H](SC)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773995486 627876930 /nfs/dbraw/zinc/87/69/30/627876930.db2.gz GASQPZGABNLGQB-ZDUSSCGKSA-N 0 0 294.376 2.934 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCCCN1C)c1ccccc1[N+](=O)[O-] ZINC000774012053 627879059 /nfs/dbraw/zinc/87/90/59/627879059.db2.gz AVJHOAWTHOHORX-FZMZJTMJSA-N 0 0 292.335 2.683 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000774216363 627904754 /nfs/dbraw/zinc/90/47/54/627904754.db2.gz SJVYQYQBAMKUDR-NEPJUHHUSA-N 0 0 267.256 2.931 20 5 CFBDRN C[C@@H]1CCN(Cn2ncc3cc([N+](=O)[O-])cc(Cl)c32)C1 ZINC000774627690 627943629 /nfs/dbraw/zinc/94/36/29/627943629.db2.gz RMMNTFXYPCYCAL-SECBINFHSA-N 0 0 294.742 2.897 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000774714301 627950881 /nfs/dbraw/zinc/95/08/81/627950881.db2.gz AWXYFZGQWPGWER-RNCFNFMXSA-N 0 0 295.295 2.730 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000774720406 627952251 /nfs/dbraw/zinc/95/22/51/627952251.db2.gz SHHUARGLBIKAHV-WDEREUQCSA-N 0 0 279.292 2.567 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)C[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000774743944 627956655 /nfs/dbraw/zinc/95/66/55/627956655.db2.gz BKFKFXZMYWSJPB-CMPLNLGQSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C[C@@H]1CCOC1 ZINC000774744875 627957444 /nfs/dbraw/zinc/95/74/44/627957444.db2.gz KZIVAXXUNWLPLP-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN CC1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCOCC1 ZINC000774813925 627966201 /nfs/dbraw/zinc/96/62/01/627966201.db2.gz BDNYVVKDGHNXMZ-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN COc1cc(C(=O)OCC(C)(C)SC)ccc1[N+](=O)[O-] ZINC000775319036 628008749 /nfs/dbraw/zinc/00/87/49/628008749.db2.gz CDIXKOSIGKGQDH-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCC(=O)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000775492498 628031408 /nfs/dbraw/zinc/03/14/08/628031408.db2.gz PHCAJPOOCGYFSS-MNOVXSKESA-N 0 0 291.303 2.814 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H](O)c1cccc(F)c1 ZINC000775669279 628051941 /nfs/dbraw/zinc/05/19/41/628051941.db2.gz JDGYBVBPXYLYCA-LLVKDONJSA-N 0 0 282.296 2.941 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1ccn(C(F)F)n1 ZINC000775941500 628086598 /nfs/dbraw/zinc/08/65/98/628086598.db2.gz KSCKETVAWPPZDM-UHFFFAOYSA-N 0 0 297.217 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)COc2cc(F)cc(F)c2)cc1 ZINC000776277270 628125218 /nfs/dbraw/zinc/12/52/18/628125218.db2.gz LZKCLGMBPOVOLQ-CQSZACIVSA-N 0 0 295.241 2.985 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CCC(=O)C[C@@H]1C ZINC000776342207 628133722 /nfs/dbraw/zinc/13/37/22/628133722.db2.gz CCPLDHHDCRYFEH-JQWIXIFHSA-N 0 0 291.303 2.814 20 5 CFBDRN Cc1c(CC(=O)OCCCCCCO)cccc1[N+](=O)[O-] ZINC000776396172 628143223 /nfs/dbraw/zinc/14/32/23/628143223.db2.gz QEUOJBVWVIICJU-UHFFFAOYSA-N 0 0 295.335 2.542 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)OCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000776420802 628147718 /nfs/dbraw/zinc/14/77/18/628147718.db2.gz CSGSDGHKUKJEGL-RYUDHWBXSA-N 0 0 293.319 2.953 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)OCCOc2cccc([N+](=O)[O-])c2)C1 ZINC000776427674 628149680 /nfs/dbraw/zinc/14/96/80/628149680.db2.gz XAIBEYDIBVZBQU-NEPJUHHUSA-N 0 0 293.319 2.953 20 5 CFBDRN Cc1cccc2c1N(C[C@H](O)c1ccc([N+](=O)[O-])cc1)CC2 ZINC000776587371 628162078 /nfs/dbraw/zinc/16/20/78/628162078.db2.gz MXYJVQFPZYDRAE-INIZCTEOSA-N 0 0 298.342 2.999 20 5 CFBDRN Cc1cccc2c1CCN2C[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776589056 628162126 /nfs/dbraw/zinc/16/21/26/628162126.db2.gz ZMZJUIBFTKAKIX-KRWDZBQOSA-N 0 0 298.342 2.999 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccncn1 ZINC000777671933 628244928 /nfs/dbraw/zinc/24/49/28/628244928.db2.gz BIGGOVOGRAJTAK-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1ccc(Oc2ncc([N+](=O)[O-])cn2)c(Cl)n1 ZINC000777789605 628252577 /nfs/dbraw/zinc/25/25/77/628252577.db2.gz LMGVCPYTEJWKES-UHFFFAOYSA-N 0 0 266.644 2.534 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1ccc2c(c1)COC2 ZINC000777895478 628262444 /nfs/dbraw/zinc/26/24/44/628262444.db2.gz YQURQIGCXUYHJQ-UHFFFAOYSA-N 0 0 272.260 2.599 20 5 CFBDRN Cc1cc(C(=O)NOC2CCCCC2)ccc1[N+](=O)[O-] ZINC000777960162 628267829 /nfs/dbraw/zinc/26/78/29/628267829.db2.gz SHDZDBZXEHRGPE-UHFFFAOYSA-N 0 0 278.308 2.897 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@@H](C)[C@H](F)C2)c([N+](=O)[O-])c1 ZINC000778000990 628271986 /nfs/dbraw/zinc/27/19/86/628271986.db2.gz RSLZMARLRPTVGR-BXKDBHETSA-N 0 0 280.299 2.982 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)c1cocn1 ZINC000778094443 628277102 /nfs/dbraw/zinc/27/71/02/628277102.db2.gz BBGFHJTZKLNENN-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN COCCC[C@@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000778193340 628285401 /nfs/dbraw/zinc/28/54/01/628285401.db2.gz AGQOKLKEQLVKGO-LLVKDONJSA-N 0 0 281.308 2.701 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H]1CCO[C@@H](C)C1 ZINC000778363837 628299706 /nfs/dbraw/zinc/29/97/06/628299706.db2.gz FLPHHUSLXQNTDW-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCO[C@H](C)C2)c1 ZINC000778374965 628302046 /nfs/dbraw/zinc/30/20/46/628302046.db2.gz WRAXQSYWTRREQO-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000778374926 628302187 /nfs/dbraw/zinc/30/21/87/628302187.db2.gz MDDNAWXXRKFQGP-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCO[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000778377713 628302524 /nfs/dbraw/zinc/30/25/24/628302524.db2.gz USSBPWBIUNKUGV-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000778473657 628309982 /nfs/dbraw/zinc/30/99/82/628309982.db2.gz ZQKDMRMRNLZTNU-ZHVYSYIMSA-N 0 0 292.310 2.815 20 5 CFBDRN CCO[C@H](C)C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778771742 628330924 /nfs/dbraw/zinc/33/09/24/628330924.db2.gz BUXWYYNHBOJWGZ-WDEREUQCSA-N 0 0 281.308 2.932 20 5 CFBDRN CCCOCC(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778789249 628331703 /nfs/dbraw/zinc/33/17/03/628331703.db2.gz TUTRXRQZVMIPNS-NSHDSACASA-N 0 0 281.308 2.934 20 5 CFBDRN CC[C@@H](OC)C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778786676 628331889 /nfs/dbraw/zinc/33/18/89/628331889.db2.gz AOPRHICOTBSZKX-ZWNOBZJWSA-N 0 0 281.308 2.932 20 5 CFBDRN CC1(C(=O)O[C@@H]2CCN(c3ccc([N+](=O)[O-])cc3)C2)CC1 ZINC000778796261 628332259 /nfs/dbraw/zinc/33/22/59/628332259.db2.gz ZCPZIDWOFKLPDJ-CYBMUJFWSA-N 0 0 290.319 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)C(C)(C)CO ZINC000778794632 628332299 /nfs/dbraw/zinc/33/22/99/628332299.db2.gz DIULUKSXLYUEIH-SNVBAGLBSA-N 0 0 281.308 2.526 20 5 CFBDRN CC(C)CCOC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000778896757 628339337 /nfs/dbraw/zinc/33/93/37/628339337.db2.gz OIFDSNMLJQRQHU-UHFFFAOYSA-N 0 0 281.264 2.526 20 5 CFBDRN COc1ccc(CC(=O)O[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000779263583 628366220 /nfs/dbraw/zinc/36/62/20/628366220.db2.gz NPJHUZNFIGNJEN-JQWIXIFHSA-N 0 0 293.319 2.878 20 5 CFBDRN CNc1ccc(C(=O)O[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000779266177 628366976 /nfs/dbraw/zinc/36/69/76/628366976.db2.gz VGQZDEQTZRJRDG-ONGXEEELSA-N 0 0 278.308 2.982 20 5 CFBDRN C[C@@H]1CCC[C@H](OC(=O)c2cc([N+](=O)[O-])cn2C)[C@H]1C ZINC000779276076 628368424 /nfs/dbraw/zinc/36/84/24/628368424.db2.gz SZWFHCWXKGDXDI-NRUUGDAUSA-N 0 0 280.324 2.915 20 5 CFBDRN CC[C@H]1C[C@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000779294886 628370921 /nfs/dbraw/zinc/37/09/21/628370921.db2.gz AANRWBXLRZHYBX-QWHCGFSZSA-N 0 0 297.282 2.848 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@H]1O ZINC000779426742 628381210 /nfs/dbraw/zinc/38/12/10/628381210.db2.gz HVZXDOAQEWGPDT-SMDDNHRTSA-N 0 0 296.342 2.967 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C[C@@H]1O ZINC000779426643 628381337 /nfs/dbraw/zinc/38/13/37/628381337.db2.gz FNWXLZHLRFJIIS-KGLIPLIRSA-N 0 0 278.352 2.828 20 5 CFBDRN Cc1nn(CN2CC[C@H]2c2cccc(F)c2)cc1[N+](=O)[O-] ZINC000779862739 628415551 /nfs/dbraw/zinc/41/55/51/628415551.db2.gz DZCDRCWNOUSNDL-ZDUSSCGKSA-N 0 0 290.298 2.643 20 5 CFBDRN CC/C(C)=C(\C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000779879225 628415996 /nfs/dbraw/zinc/41/59/96/628415996.db2.gz IUAKFQFFYYXIDJ-ZHACJKMWSA-N 0 0 262.309 2.957 20 5 CFBDRN CC(C)(C)OCCCOC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780074091 628430513 /nfs/dbraw/zinc/43/05/13/628430513.db2.gz CKJIFUWFLNCKHO-UHFFFAOYSA-N 0 0 297.307 2.662 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)OC[C@H]2CCCC(C)(C)C2)n1 ZINC000780450509 628465706 /nfs/dbraw/zinc/46/57/06/628465706.db2.gz OCRDIKKZLZQWHC-JTQLQIEISA-N 0 0 295.339 2.702 20 5 CFBDRN O=C(O[C@H]1C=CCC1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 ZINC000780563269 628477636 /nfs/dbraw/zinc/47/76/36/628477636.db2.gz RRRBIKWIDFJYGH-AWEZNQCLSA-N 0 0 299.286 2.656 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)C1CCC1 ZINC000780634775 628483962 /nfs/dbraw/zinc/48/39/62/628483962.db2.gz CFZLQSABYMMNEY-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CC(=O)c1sc(-c2cc([N+](=O)[O-])ccc2N)nc1C ZINC000780933925 628505747 /nfs/dbraw/zinc/50/57/47/628505747.db2.gz SNXSINONAAVIJM-UHFFFAOYSA-N 0 0 277.305 2.812 20 5 CFBDRN C[C@@]1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCCOC1 ZINC000781294931 628539175 /nfs/dbraw/zinc/53/91/75/628539175.db2.gz WTBFXIASWKBVPE-CQSZACIVSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1[nH]nc(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)c1C ZINC000781472491 628551319 /nfs/dbraw/zinc/55/13/19/628551319.db2.gz YPDLVFNRDAUSAN-UHFFFAOYSA-N 0 0 289.291 2.600 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000781614405 628566175 /nfs/dbraw/zinc/56/61/75/628566175.db2.gz FTPPWQAJCIPFCM-LDYMZIIASA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ncc(Cl)n2C)n1 ZINC000781661491 628570267 /nfs/dbraw/zinc/57/02/67/628570267.db2.gz JXKDFVRUYPCTNO-UHFFFAOYSA-N 0 0 295.730 2.606 20 5 CFBDRN COc1ccc(NC(=S)NC(C)(C)C)cc1[N+](=O)[O-] ZINC000782205665 628614307 /nfs/dbraw/zinc/61/43/07/628614307.db2.gz CAQPOLCJLAKWLY-UHFFFAOYSA-N 0 0 283.353 2.688 20 5 CFBDRN Cc1nn(Cc2ccc([N+](=O)[O-])cc2Cl)cc1[N+](=O)[O-] ZINC000782345028 628631101 /nfs/dbraw/zinc/63/11/01/628631101.db2.gz MVYCZMBNPRVTGY-UHFFFAOYSA-N 0 0 296.670 2.710 20 5 CFBDRN Cc1ccc(N2C[C@H](C)O[C@@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000782410902 628636492 /nfs/dbraw/zinc/63/64/92/628636492.db2.gz VMTBOOLBZWFLCZ-SRVKXCTJSA-N 0 0 264.325 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H]3C[C@@H]32)c(Cl)c1 ZINC000782485534 628640829 /nfs/dbraw/zinc/64/08/29/628640829.db2.gz AVRXAXZBULKRFS-PELKAZGASA-N 0 0 252.701 2.842 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000783248774 628693284 /nfs/dbraw/zinc/69/32/84/628693284.db2.gz HNCPRPCHJIQDGZ-VHSXEESVSA-N 0 0 297.282 2.706 20 5 CFBDRN COCCCCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783282627 628697173 /nfs/dbraw/zinc/69/71/73/628697173.db2.gz PHEPDJVULNQNPD-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCC2CCC2)c1 ZINC000783443173 628708556 /nfs/dbraw/zinc/70/85/56/628708556.db2.gz SJQIHEGTKLYLIC-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN C[C@@H](OC(=O)c1cncc(O)c1)c1ccccc1[N+](=O)[O-] ZINC000783625878 628728189 /nfs/dbraw/zinc/72/81/89/628728189.db2.gz WGNXKYSQNDHYHJ-SECBINFHSA-N 0 0 288.259 2.613 20 5 CFBDRN C[C@H](OC(=O)c1ccc(CO)o1)c1ccccc1[N+](=O)[O-] ZINC000783884218 628753559 /nfs/dbraw/zinc/75/35/59/628753559.db2.gz GQYIYXIWEZJGSG-VIFPVBQESA-N 0 0 291.259 2.598 20 5 CFBDRN O=C(CC1CCC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783909197 628755059 /nfs/dbraw/zinc/75/50/59/628755059.db2.gz FUNBQRICIFBVMW-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000852175836 634680828 /nfs/dbraw/zinc/68/08/28/634680828.db2.gz MATXYIWZBBTKMI-YPMHNXCESA-N 0 0 289.335 2.982 20 5 CFBDRN Cc1nn(CN2C[C@@H](C)CC(C)(C)C2)c(C)c1[N+](=O)[O-] ZINC000784007094 628761676 /nfs/dbraw/zinc/76/16/76/628761676.db2.gz IUIJRHHQTUNATO-JTQLQIEISA-N 0 0 280.372 2.734 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000784017866 628764057 /nfs/dbraw/zinc/76/40/57/628764057.db2.gz NUHTVGNPSMCWBD-LLVKDONJSA-N 0 0 255.245 2.632 20 5 CFBDRN COc1ccc(NC(=O)[C@H](F)C(C)C)c([N+](=O)[O-])c1 ZINC000784023434 628764921 /nfs/dbraw/zinc/76/49/21/628764921.db2.gz CRRAXMMZLZQOOX-LLVKDONJSA-N 0 0 270.260 2.536 20 5 CFBDRN CC(C)[C@@H](F)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000784024640 628765900 /nfs/dbraw/zinc/76/59/00/628765900.db2.gz QZLDAJXIJLXCOZ-SNVBAGLBSA-N 0 0 258.224 2.667 20 5 CFBDRN C/C(=C/C(=O)Nc1cc(C)ncn1)c1ccc([N+](=O)[O-])cc1 ZINC000784046038 628768749 /nfs/dbraw/zinc/76/87/49/628768749.db2.gz HIVSPEPPTPIGDX-YFHOEESVSA-N 0 0 298.302 2.735 20 5 CFBDRN O=C(CC[C@@H]1CCOC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784050039 628769166 /nfs/dbraw/zinc/76/91/66/628769166.db2.gz XWIFUZFJAJAZLP-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000784055672 628769582 /nfs/dbraw/zinc/76/95/82/628769582.db2.gz UVCYSFFXFOSRLC-SECBINFHSA-N 0 0 285.271 2.624 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C1CC(F)(F)C1 ZINC000784065281 628770880 /nfs/dbraw/zinc/77/08/80/628770880.db2.gz GQWQVVSGFJYQDG-UHFFFAOYSA-N 0 0 289.209 2.822 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000784076293 628772854 /nfs/dbraw/zinc/77/28/54/628772854.db2.gz BHMNQEFLLCIGLC-LLVKDONJSA-N 0 0 273.235 2.771 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccc(F)cn1 ZINC000784089970 628774800 /nfs/dbraw/zinc/77/48/00/628774800.db2.gz WGMQUIWLBVXEFX-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN CSCCCCCCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000784144685 628783135 /nfs/dbraw/zinc/78/31/35/628783135.db2.gz XDAFKGANRGLFPT-UHFFFAOYSA-N 0 0 297.380 2.643 20 5 CFBDRN CC(C)c1ccc(C(=O)OC[C@@H](C)CO)cc1[N+](=O)[O-] ZINC000784257016 628795756 /nfs/dbraw/zinc/79/57/56/628795756.db2.gz OFMNAASTPKRUGP-JTQLQIEISA-N 0 0 281.308 2.503 20 5 CFBDRN Cc1cc(F)ccc1COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000784266761 628796438 /nfs/dbraw/zinc/79/64/38/628796438.db2.gz QMOUZBLRUOLWFL-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC(C)(C)C[C@@H](O)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784326177 628805933 /nfs/dbraw/zinc/80/59/33/628805933.db2.gz SSVICQKLMNUMGR-GFCCVEGCSA-N 0 0 299.298 2.574 20 5 CFBDRN CS/C=C/C(=O)OCc1ccc(N(C)C)c([N+](=O)[O-])c1 ZINC000784501615 628822423 /nfs/dbraw/zinc/82/24/23/628822423.db2.gz MVKVPHCNWAHUKU-VOTSOKGWSA-N 0 0 296.348 2.581 20 5 CFBDRN C/C=C\COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000784534344 628825738 /nfs/dbraw/zinc/82/57/38/628825738.db2.gz MFRKBYUJZXRFOD-IHWYPQMZSA-N 0 0 255.657 2.981 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000784566909 628827925 /nfs/dbraw/zinc/82/79/25/628827925.db2.gz ILXDPEQMXAPIMQ-KOLCDFICSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCCOC1 ZINC000784631676 628832506 /nfs/dbraw/zinc/83/25/06/628832506.db2.gz WPHOWCDWLRFPCU-AWEZNQCLSA-N 0 0 297.282 2.594 20 5 CFBDRN Cn1cc(OCc2ccc(Cl)c([N+](=O)[O-])c2)cn1 ZINC000784702005 628837918 /nfs/dbraw/zinc/83/79/18/628837918.db2.gz QDFLUONLOIBECA-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN O=C(Cc1ccoc1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784787645 628842691 /nfs/dbraw/zinc/84/26/91/628842691.db2.gz KSDFTAVZYJSQBO-UHFFFAOYSA-N 0 0 279.223 2.613 20 5 CFBDRN CC(C)[C@](C)(O)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000784787266 628842724 /nfs/dbraw/zinc/84/27/24/628842724.db2.gz XFMBYHKUJUTFBD-YGRLFVJLSA-N 0 0 281.308 2.606 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000784952103 628855086 /nfs/dbraw/zinc/85/50/86/628855086.db2.gz CWFFFFBIAUFFIE-ZDUSSCGKSA-N 0 0 279.292 2.711 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)O1 ZINC000785235784 628872456 /nfs/dbraw/zinc/87/24/56/628872456.db2.gz ACAISENSRYFQRI-LBPRGKRZSA-N 0 0 297.282 2.735 20 5 CFBDRN CC1(C)CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)O1 ZINC000785235779 628872485 /nfs/dbraw/zinc/87/24/85/628872485.db2.gz ACAISENSRYFQRI-GFCCVEGCSA-N 0 0 297.282 2.735 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)OCc2ccccc2[N+](=O)[O-])O1 ZINC000785242789 628872712 /nfs/dbraw/zinc/87/27/12/628872712.db2.gz JESAJURXOYVQOE-LBPRGKRZSA-N 0 0 279.292 2.596 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccc(F)nc1 ZINC000785628296 628899589 /nfs/dbraw/zinc/89/95/89/628899589.db2.gz QPNHDWARRLZRJO-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1cncc(COC(=O)Cc2ccccc2[N+](=O)[O-])c1 ZINC000785650067 628901550 /nfs/dbraw/zinc/90/15/50/628901550.db2.gz PEFPLDMYZARBSG-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN Cc1cncc(COC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000785667622 628902858 /nfs/dbraw/zinc/90/28/58/628902858.db2.gz VPFNRDMHLQLBLL-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1ccncc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000785886651 628919169 /nfs/dbraw/zinc/91/91/69/628919169.db2.gz ZDHXNYBMGLYQAN-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN COc1cc(C(=O)OCC(C)(C)F)ccc1[N+](=O)[O-] ZINC000785925134 628923860 /nfs/dbraw/zinc/92/38/60/628923860.db2.gz IHJZESQXOPMOSG-UHFFFAOYSA-N 0 0 271.244 2.508 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000786106646 628937704 /nfs/dbraw/zinc/93/77/04/628937704.db2.gz GDOXWQCGBCKHLY-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1coc(C2CC2)n1 ZINC000786157027 628940459 /nfs/dbraw/zinc/94/04/59/628940459.db2.gz OIFSAZIHUFQTHN-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN C[C@@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000786518008 628964377 /nfs/dbraw/zinc/96/43/77/628964377.db2.gz NGUWSDFFZXXLJU-SSDOTTSWSA-N 0 0 291.275 2.884 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1nccs1 ZINC000786575504 628969414 /nfs/dbraw/zinc/96/94/14/628969414.db2.gz MGUFRIOEOWEQSG-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CCC(CC)N(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CC1 ZINC000786860886 628982079 /nfs/dbraw/zinc/98/20/79/628982079.db2.gz FKNIEYRUVSAAJV-UHFFFAOYSA-N 0 0 291.351 2.970 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCC=CO1)c1ccccc1[N+](=O)[O-] ZINC000787035676 628989452 /nfs/dbraw/zinc/98/94/52/628989452.db2.gz OABDKHILNVJWOM-GXFFZTMASA-N 0 0 277.276 2.892 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCOCC1 ZINC000787105719 628993881 /nfs/dbraw/zinc/99/38/81/628993881.db2.gz HGTQZJDBPGLVBQ-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN CC(C)C(NC(=O)c1cc([N+](=O)[O-])ccc1N)C(C)C ZINC000787133243 628995742 /nfs/dbraw/zinc/99/57/42/628995742.db2.gz QJLXPHNLTVMGOG-UHFFFAOYSA-N 0 0 279.340 2.587 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2N)CC(C)(C)C1 ZINC000787265165 629002603 /nfs/dbraw/zinc/00/26/03/629002603.db2.gz YLUFZXVPEJMDCB-JTQLQIEISA-N 0 0 291.351 2.685 20 5 CFBDRN O=C(OCc1ccc(F)cn1)c1csc([N+](=O)[O-])c1 ZINC000787647504 629023452 /nfs/dbraw/zinc/02/34/52/629023452.db2.gz AEYZWAKYHFCRHK-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN COC(C)(C)CCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000787722284 629029298 /nfs/dbraw/zinc/02/92/98/629029298.db2.gz JHMOVKMSLRAIHQ-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000787724924 629029874 /nfs/dbraw/zinc/02/98/74/629029874.db2.gz YEIQUIVVMIATCK-DJYQVHTNSA-N 0 0 286.331 2.913 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1cc([N+](=O)[O-])ccc1Cl ZINC000787929772 629042690 /nfs/dbraw/zinc/04/26/90/629042690.db2.gz QOJJLGKDXYFUSX-BZBKMWRSSA-N 0 0 280.711 2.777 20 5 CFBDRN CC(C)CC(=O)O[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000788593511 629084345 /nfs/dbraw/zinc/08/43/45/629084345.db2.gz ZODRESCYQDIYJJ-JTQLQIEISA-N 0 0 294.307 2.511 20 5 CFBDRN C[C@H](NCc1cccnc1F)c1ccc(N)c([N+](=O)[O-])c1 ZINC000788801440 629101049 /nfs/dbraw/zinc/10/10/49/629101049.db2.gz ABFKLWCDSCCSRM-VIFPVBQESA-N 0 0 290.298 2.562 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1Oc1cccc[n+]1[O-] ZINC000788829607 629103114 /nfs/dbraw/zinc/10/31/14/629103114.db2.gz RRCXHOYJOILLOH-UHFFFAOYSA-N 0 0 283.243 2.569 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2)ccn1 ZINC000789028115 629118663 /nfs/dbraw/zinc/11/86/63/629118663.db2.gz OAWNORGHDXAXQO-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C[C@H]1CC[C@H](COC(=O)c2c(Cl)cccc2[N+](=O)[O-])O1 ZINC000789052403 629120462 /nfs/dbraw/zinc/12/04/62/629120462.db2.gz UCZGJYLFIVAZLR-DTWKUNHWSA-N 0 0 299.710 2.973 20 5 CFBDRN O=C(COc1ccccc1)OCc1ccccc1[N+](=O)[O-] ZINC000789305927 629146152 /nfs/dbraw/zinc/14/61/52/629146152.db2.gz MJMYAKANHPJBEO-UHFFFAOYSA-N 0 0 287.271 2.717 20 5 CFBDRN C/C=C(/CC)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000789400053 629153883 /nfs/dbraw/zinc/15/38/83/629153883.db2.gz RAZUHEVNYRIJON-BAQGIRSFSA-N 0 0 253.229 2.996 20 5 CFBDRN CSc1ccc(C(=O)OCC2(F)CC2)cc1[N+](=O)[O-] ZINC000789598597 629171198 /nfs/dbraw/zinc/17/11/98/629171198.db2.gz JXMUVVIFRDILET-UHFFFAOYSA-N 0 0 285.296 2.976 20 5 CFBDRN C[C@@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000789605036 629172146 /nfs/dbraw/zinc/17/21/46/629172146.db2.gz BSDVSHOLGFUAHL-QMMMGPOBSA-N 0 0 267.256 2.937 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/Sc2nc3cncnc3[nH]2)o1 ZINC000789967391 629201015 /nfs/dbraw/zinc/20/10/15/629201015.db2.gz LSLCTJHBMAZVNT-ONEGZZNKSA-N 0 0 289.276 2.617 20 5 CFBDRN COC(=O)N1CC(C)(Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000790085903 629209271 /nfs/dbraw/zinc/20/92/71/629209271.db2.gz HQRZMPVDPLCHQP-UHFFFAOYSA-N 0 0 299.714 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCCCCO)sc2c1 ZINC000790285963 629225690 /nfs/dbraw/zinc/22/56/90/629225690.db2.gz UWLYPLXXKIJMER-UHFFFAOYSA-N 0 0 281.337 2.779 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000790287985 629225835 /nfs/dbraw/zinc/22/58/35/629225835.db2.gz QMLBNNHLMAXVRX-CYBMUJFWSA-N 0 0 282.315 2.985 20 5 CFBDRN Cc1c(CNC(=O)NCCC2CCC2)cccc1[N+](=O)[O-] ZINC000790424650 629238332 /nfs/dbraw/zinc/23/83/32/629238332.db2.gz NOLNENGTYXHTGR-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN COC(=O)c1cnc(NC[C@H](C)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000790767099 629261621 /nfs/dbraw/zinc/26/16/21/629261621.db2.gz FQTIIOTXVAWRBD-VIFPVBQESA-N 0 0 295.339 2.871 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC1(C)CC1 ZINC000790808034 629265011 /nfs/dbraw/zinc/26/50/11/629265011.db2.gz OFDUTUODASIJLH-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CC1(COC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000790811382 629265737 /nfs/dbraw/zinc/26/57/37/629265737.db2.gz OZSAPDSQVRKEOV-UHFFFAOYSA-N 0 0 288.303 2.962 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2cccc(C)c2[N+](=O)[O-])c1Cl ZINC000790985373 629277319 /nfs/dbraw/zinc/27/73/19/629277319.db2.gz AEZYVWJETOMNGO-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN CCC/C=C\C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000791016436 629281156 /nfs/dbraw/zinc/28/11/56/629281156.db2.gz OZMCQGPNGQLLDO-UITAMQMPSA-N 0 0 262.309 2.876 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@@H]1c1nc2ccccc2s1 ZINC000791053703 629284171 /nfs/dbraw/zinc/28/41/71/629284171.db2.gz MVOJUJAPBISEIG-LLVKDONJSA-N 0 0 277.349 2.710 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccccc1F ZINC000791293110 629297670 /nfs/dbraw/zinc/29/76/70/629297670.db2.gz UNHCLCHHSBLBQI-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN Cc1ccnc(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1 ZINC000791350472 629303520 /nfs/dbraw/zinc/30/35/20/629303520.db2.gz DAMCCLLJBIBGCF-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC(C)(C(=O)Oc1cccc([N+](=O)[O-])c1)C(F)F ZINC000791442198 629316230 /nfs/dbraw/zinc/31/62/30/629316230.db2.gz DQODKRUWIDQHHP-UHFFFAOYSA-N 0 0 259.208 2.792 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@@H](O)c1ccccc1)CC2 ZINC000791487543 629320408 /nfs/dbraw/zinc/32/04/08/629320408.db2.gz FFBOJMNNXFMBQN-QGZVFWFLSA-N 0 0 298.342 2.999 20 5 CFBDRN CC/C=C(\C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791573463 629335220 /nfs/dbraw/zinc/33/52/20/629335220.db2.gz MPZYEGSOQRDYCC-RUDMXATFSA-N 0 0 294.263 2.903 20 5 CFBDRN CCC/C=C\C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791736334 629349294 /nfs/dbraw/zinc/34/92/94/629349294.db2.gz KAAHFQIEEGKIOI-PLNGDYQASA-N 0 0 294.263 2.903 20 5 CFBDRN COc1ccc(OC(=O)C2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000792125205 629400146 /nfs/dbraw/zinc/40/01/46/629400146.db2.gz SIJZMEUOLNBSAP-UHFFFAOYSA-N 0 0 287.218 2.554 20 5 CFBDRN C[C@@H]1CCC[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000835099762 629401673 /nfs/dbraw/zinc/40/16/73/629401673.db2.gz MTPYKVKMOOHOBZ-GHMZBOCLSA-N 0 0 257.330 2.944 20 5 CFBDRN C[C@H](OC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000835102669 629406944 /nfs/dbraw/zinc/40/69/44/629406944.db2.gz GRFLDWMCXJTSFE-JTQLQIEISA-N 0 0 291.303 2.899 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000835107082 629412892 /nfs/dbraw/zinc/41/28/92/629412892.db2.gz NBBCUDBRDFTQTB-WTIVYXKASA-N 0 0 291.303 2.792 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835109334 629413909 /nfs/dbraw/zinc/41/39/09/629413909.db2.gz OMHSYJAUJAZGOA-PWSUYJOCSA-N 0 0 291.303 2.757 20 5 CFBDRN CCCc1[nH]nc(C(=O)OCCCCCF)c1[N+](=O)[O-] ZINC000792278085 629416169 /nfs/dbraw/zinc/41/61/69/629416169.db2.gz FUFZMPQHOZPACN-UHFFFAOYSA-N 0 0 287.291 2.567 20 5 CFBDRN Cc1cc(OCC(=O)OCCCCCF)ccc1[N+](=O)[O-] ZINC000792281785 629416856 /nfs/dbraw/zinc/41/68/56/629416856.db2.gz OWEKKWHTGCDMAL-UHFFFAOYSA-N 0 0 299.298 2.965 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835117645 629423437 /nfs/dbraw/zinc/42/34/37/629423437.db2.gz KGGZUKJOWNAKIT-NEPJUHHUSA-N 0 0 269.341 2.967 20 5 CFBDRN C/C(=C\C(=O)N1CC(C)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000792525687 629430450 /nfs/dbraw/zinc/43/04/50/629430450.db2.gz KHCZQMJKTLMGNI-JXMROGBWSA-N 0 0 278.283 2.569 20 5 CFBDRN CCn1cc(OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000792577357 629435816 /nfs/dbraw/zinc/43/58/16/629435816.db2.gz JPIIAQLHOUDQBA-UHFFFAOYSA-N 0 0 295.682 2.684 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CC1(F)F ZINC000835170752 629486078 /nfs/dbraw/zinc/48/60/78/629486078.db2.gz ZIHRNTGBRQANRX-ZETCQYMHSA-N 0 0 291.637 2.947 20 5 CFBDRN CC(C)(CCC(=O)OCCOCc1ccccc1)[N+](=O)[O-] ZINC000835181063 629497143 /nfs/dbraw/zinc/49/71/43/629497143.db2.gz WQIFVQWUUFGJLK-UHFFFAOYSA-N 0 0 295.335 2.582 20 5 CFBDRN O=C(CCC(=O)c1cccc([N+](=O)[O-])c1)OCC1CCC1 ZINC000835182401 629498720 /nfs/dbraw/zinc/49/87/20/629498720.db2.gz YGVSWFVFCCWMKI-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN Cc1cc(C(=O)OCCc2ccccc2[N+](=O)[O-])ccn1 ZINC000793178528 629500035 /nfs/dbraw/zinc/50/00/35/629500035.db2.gz PQWSQZMRGKNIGM-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN COCC(C)(C)CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000793280120 629518971 /nfs/dbraw/zinc/51/89/71/629518971.db2.gz DBZNJTLOFYREIS-UHFFFAOYSA-N 0 0 297.380 2.554 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccc2c(c1)COC2)[N+](=O)[O-] ZINC000835227725 629541501 /nfs/dbraw/zinc/54/15/01/629541501.db2.gz KMXDLCBXAPJJOH-UHFFFAOYSA-N 0 0 293.319 2.596 20 5 CFBDRN O=C(CCC(F)F)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000793636777 629581611 /nfs/dbraw/zinc/58/16/11/629581611.db2.gz BNOGXHYJMLHJLZ-UHFFFAOYSA-N 0 0 292.669 2.910 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCc2csc(C)c2)n1 ZINC000806023085 629584269 /nfs/dbraw/zinc/58/42/69/629584269.db2.gz CYLDDFFAIIQIAB-UHFFFAOYSA-N 0 0 295.320 2.538 20 5 CFBDRN COc1cc(C(=O)O[C@H]2C=CCC2)c([N+](=O)[O-])cc1F ZINC000835283670 629595445 /nfs/dbraw/zinc/59/54/45/629595445.db2.gz KVXGVCMXVCXZKG-QMMMGPOBSA-N 0 0 281.239 2.618 20 5 CFBDRN CC[C@H]1CCN1Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000793817853 629597938 /nfs/dbraw/zinc/59/79/38/629597938.db2.gz BMNWGZRFJIIWLC-NSHDSACASA-N 0 0 274.324 2.695 20 5 CFBDRN Nc1ccc(OCCN2CCc3ccccc32)cc1[N+](=O)[O-] ZINC000793835072 629600579 /nfs/dbraw/zinc/60/05/79/629600579.db2.gz KPTUASFISCZSNO-UHFFFAOYSA-N 0 0 299.330 2.619 20 5 CFBDRN C/C(=C/C(=O)NOC/C=C/Cl)c1ccc([N+](=O)[O-])cc1 ZINC000794288338 629631348 /nfs/dbraw/zinc/63/13/48/629631348.db2.gz GOPLUPWSKXYPAG-DSHADFJZSA-N 0 0 296.710 2.798 20 5 CFBDRN CON(C[C@H]1CCC[C@@H](C)C1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000794323081 629636559 /nfs/dbraw/zinc/63/65/59/629636559.db2.gz WBNJTVYNRVKZHO-MNOVXSKESA-N 0 0 295.339 2.753 20 5 CFBDRN O=C(OC[C@@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000806051871 629639304 /nfs/dbraw/zinc/63/93/04/629639304.db2.gz AZWKUZKSFFSTKI-JTQLQIEISA-N 0 0 281.333 2.895 20 5 CFBDRN CC(C)(C)c1cc(COC(=O)CCC(C)(C)[N+](=O)[O-])no1 ZINC000835358480 629656558 /nfs/dbraw/zinc/65/65/58/629656558.db2.gz BAXLPSNQMBJLIA-UHFFFAOYSA-N 0 0 298.339 2.851 20 5 CFBDRN COc1cc(C(=O)OC[C@@H](C)C2CC2)c([N+](=O)[O-])cc1F ZINC000835373217 629663165 /nfs/dbraw/zinc/66/31/65/629663165.db2.gz GQWWURHETCDYSN-MRVPVSSYSA-N 0 0 297.282 2.945 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C[C@@H](C)C1=O ZINC000852473961 634754415 /nfs/dbraw/zinc/75/44/15/634754415.db2.gz IKACKKIQUXGPFA-NXEZZACHSA-N 0 0 296.754 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc2cnn(CN3CCC(F)(F)CC3)c2c1 ZINC000794686715 629675916 /nfs/dbraw/zinc/67/59/16/629675916.db2.gz DWKWJBOVOHIRGU-UHFFFAOYSA-N 0 0 296.277 2.633 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000794737245 629682205 /nfs/dbraw/zinc/68/22/05/629682205.db2.gz WFVVZADIVCZZFX-YPMHNXCESA-N 0 0 278.308 2.657 20 5 CFBDRN C[C@@H](C(=O)O[C@@H](C)CC(C)(C)O)c1cccc([N+](=O)[O-])c1 ZINC000835420919 629706528 /nfs/dbraw/zinc/70/65/28/629706528.db2.gz SFZXIMXKMDDHPE-WDEREUQCSA-N 0 0 295.335 2.791 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)CC(C)(C)O)cc([N+](=O)[O-])c1 ZINC000835424236 629710104 /nfs/dbraw/zinc/71/01/04/629710104.db2.gz XCKGKDIUGFFWRQ-SNVBAGLBSA-N 0 0 281.308 2.610 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCC[C@@H]1c1ccccc1)[N+](=O)[O-] ZINC000835455735 629739051 /nfs/dbraw/zinc/73/90/51/629739051.db2.gz OJXZHEMUMUCEHW-MRVWCRGKSA-N 0 0 277.320 2.779 20 5 CFBDRN Cc1ncc(COc2ccc([N+](=O)[O-])c(Cl)c2)cn1 ZINC000795205204 629745460 /nfs/dbraw/zinc/74/54/60/629745460.db2.gz OQNABRVPXHCBGC-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CC(C)(CCC(=O)OCCC[C@H]1CCCCC1=O)[N+](=O)[O-] ZINC000835463123 629750204 /nfs/dbraw/zinc/75/02/04/629750204.db2.gz GKLWMKTZOQCGEO-GFCCVEGCSA-N 0 0 299.367 2.905 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCC(F)(F)CC3)c21 ZINC000795274778 629756113 /nfs/dbraw/zinc/75/61/13/629756113.db2.gz SOBSOEFBZULWJH-UHFFFAOYSA-N 0 0 294.261 2.774 20 5 CFBDRN Cc1c(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)ccn1C ZINC000835479782 629767451 /nfs/dbraw/zinc/76/74/51/629767451.db2.gz JVERTWKRGFUZTA-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN Cc1ccc(COC(=O)C[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000835481462 629770091 /nfs/dbraw/zinc/77/00/91/629770091.db2.gz WQJRFLBRXAKOBH-LBPRGKRZSA-N 0 0 293.319 2.763 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000835490621 629782280 /nfs/dbraw/zinc/78/22/80/629782280.db2.gz NNYBYGMKIKPGAF-UHFFFAOYSA-N 0 0 289.209 2.936 20 5 CFBDRN CCC[C@H](COC(=O)c1cccc([N+](=O)[O-])c1)OC ZINC000835539844 629822676 /nfs/dbraw/zinc/82/26/76/629822676.db2.gz GLEGQNRNXGBGNV-GFCCVEGCSA-N 0 0 267.281 2.567 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCCOC(C)C ZINC000795710071 629824927 /nfs/dbraw/zinc/82/49/27/629824927.db2.gz UNMYZNGIEXICDY-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)CC ZINC000795713215 629825167 /nfs/dbraw/zinc/82/51/67/629825167.db2.gz WGCGJUFTWGQLOY-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN O=C(CCC(F)F)OCc1ccccc1[N+](=O)[O-] ZINC000796011525 629863389 /nfs/dbraw/zinc/86/33/89/629863389.db2.gz QGHHFMOXCIAERT-UHFFFAOYSA-N 0 0 259.208 2.683 20 5 CFBDRN CC[C@H](C)COC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000796251507 629895422 /nfs/dbraw/zinc/89/54/22/629895422.db2.gz GABIERSTQMFDBM-QMMMGPOBSA-N 0 0 269.301 2.644 20 5 CFBDRN CCC(CC)OC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000796255372 629895921 /nfs/dbraw/zinc/89/59/21/629895921.db2.gz QLKQFTJBWLBHIS-UHFFFAOYSA-N 0 0 269.301 2.787 20 5 CFBDRN CCCCCOC(=O)c1n[nH]c(CCC)c1[N+](=O)[O-] ZINC000796260969 629897028 /nfs/dbraw/zinc/89/70/28/629897028.db2.gz IUKPGUKYUIAKTD-UHFFFAOYSA-N 0 0 269.301 2.617 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000796321148 629903831 /nfs/dbraw/zinc/90/38/31/629903831.db2.gz GWQSTFJJKPTBSG-YPMHNXCESA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@@H](C)[C@@H](COC(=O)Cc1ccccc1[N+](=O)[O-])OC ZINC000835642487 629911196 /nfs/dbraw/zinc/91/11/96/629911196.db2.gz LGFSILXHHJGXSS-BXUZGUMPSA-N 0 0 295.335 2.742 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(Cc1ccnc(C)n1)CC2 ZINC000796447800 629919064 /nfs/dbraw/zinc/91/90/64/629919064.db2.gz DVRRILLRFAOLFR-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CC[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000835659594 629922618 /nfs/dbraw/zinc/92/26/18/629922618.db2.gz XOGLLJRWLAIDTM-ZETCQYMHSA-N 0 0 271.235 2.706 20 5 CFBDRN CS[C@H](C)CCOC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835673887 629935789 /nfs/dbraw/zinc/93/57/89/629935789.db2.gz UGPNNNUEBDAMSO-SECBINFHSA-N 0 0 263.359 2.507 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H](C)SC ZINC000835677984 629942144 /nfs/dbraw/zinc/94/21/44/629942144.db2.gz QQALQTBLBZDIMQ-QMMMGPOBSA-N 0 0 285.321 2.512 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H](C)SC ZINC000835677983 629942234 /nfs/dbraw/zinc/94/22/34/629942234.db2.gz QQALQTBLBZDIMQ-MRVPVSSYSA-N 0 0 285.321 2.512 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C[C@H]1CCC(=O)[C@H]1C ZINC000796650141 629945909 /nfs/dbraw/zinc/94/59/09/629945909.db2.gz ZMQKHQMTUZLJGM-WDEREUQCSA-N 0 0 291.303 2.814 20 5 CFBDRN CCC[C@@](C)(CC)C(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000796656780 629946391 /nfs/dbraw/zinc/94/63/91/629946391.db2.gz LHDWRYVOZDWTQE-CQSZACIVSA-N 0 0 297.355 2.859 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H](C)SC ZINC000835687301 629958566 /nfs/dbraw/zinc/95/85/66/629958566.db2.gz BUMHMKMVKGNCKI-QMMMGPOBSA-N 0 0 285.321 2.512 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H](C)SC ZINC000835687300 629959044 /nfs/dbraw/zinc/95/90/44/629959044.db2.gz BUMHMKMVKGNCKI-MRVPVSSYSA-N 0 0 285.321 2.512 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000796767000 629963107 /nfs/dbraw/zinc/96/31/07/629963107.db2.gz BICSEQPPEYDTOR-RISCZKNCSA-N 0 0 295.335 2.742 20 5 CFBDRN Cc1ccc(OC(=O)C[C@@H]2CCC(=O)[C@H]2C)cc1[N+](=O)[O-] ZINC000796786023 629966917 /nfs/dbraw/zinc/96/69/17/629966917.db2.gz FJKKJAOFUAKIOR-QWRGUYRKSA-N 0 0 291.303 2.814 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000796790372 629967536 /nfs/dbraw/zinc/96/75/36/629967536.db2.gz WWMIWEITLMPSGV-RNCFNFMXSA-N 0 0 281.308 2.870 20 5 CFBDRN O=C(OCCOc1ccccc1F)c1ccc([N+](=O)[O-])o1 ZINC000797164297 630030253 /nfs/dbraw/zinc/03/02/53/630030253.db2.gz IUXVZBLEFHIUTL-UHFFFAOYSA-N 0 0 295.222 2.563 20 5 CFBDRN CS[C@@H](C)[C@@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000797192855 630035555 /nfs/dbraw/zinc/03/55/55/630035555.db2.gz IFWCVIVJNDUEJW-ZJUUUORDSA-N 0 0 299.348 2.657 20 5 CFBDRN CS[C@H](C)[C@H](C)OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000797210505 630039631 /nfs/dbraw/zinc/03/96/31/630039631.db2.gz NTHSSQUWHNURLA-VHSXEESVSA-N 0 0 283.349 2.821 20 5 CFBDRN C[C@H]1COCC[C@H]1OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000835782005 630039975 /nfs/dbraw/zinc/03/99/75/630039975.db2.gz RMVQMGULKHKIAJ-QPUJVOFHSA-N 0 0 299.710 2.830 20 5 CFBDRN COc1cccc(C(=O)O[C@@H](C)[C@H](C)SC)c1[N+](=O)[O-] ZINC000797225282 630043350 /nfs/dbraw/zinc/04/33/50/630043350.db2.gz UYUHEBSXMMYKNL-IUCAKERBSA-N 0 0 299.348 2.900 20 5 CFBDRN COc1ccc(C(=O)OCCC(C)(F)F)cc1[N+](=O)[O-] ZINC000797226894 630044135 /nfs/dbraw/zinc/04/41/35/630044135.db2.gz BCNAACRGECTGSW-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])C1 ZINC000797265019 630049149 /nfs/dbraw/zinc/04/91/49/630049149.db2.gz GLHJIIRMILWOIM-PHIMTYICSA-N 0 0 293.319 2.593 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(C(C)(F)F)cc1)[N+](=O)[O-] ZINC000797280102 630050551 /nfs/dbraw/zinc/05/05/51/630050551.db2.gz MJJOUVDCUKDMLX-QMMMGPOBSA-N 0 0 273.235 2.620 20 5 CFBDRN Cc1cn(C[C@@H](O)c2ccc(Cl)c(F)c2)nc1[N+](=O)[O-] ZINC000797398395 630060972 /nfs/dbraw/zinc/06/09/72/630060972.db2.gz RWTRVGZHAKRBJC-LLVKDONJSA-N 0 0 299.689 2.626 20 5 CFBDRN CC1=C(Br)CN(c2cccnc2[N+](=O)[O-])CC1 ZINC000797439581 630066277 /nfs/dbraw/zinc/06/62/77/630066277.db2.gz SBRSWFXLUUHWJQ-UHFFFAOYSA-N 0 0 298.140 2.869 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C=C(C)C ZINC000797471710 630070887 /nfs/dbraw/zinc/07/08/87/630070887.db2.gz PEGBXPCVQIOTNS-UHFFFAOYSA-N 0 0 265.265 2.613 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C1=CCCC1 ZINC000797476122 630071721 /nfs/dbraw/zinc/07/17/21/630071721.db2.gz LOZGXKHJMUMKOK-UHFFFAOYSA-N 0 0 277.276 2.757 20 5 CFBDRN CSCC[C@@H](C)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000835818377 630086614 /nfs/dbraw/zinc/08/66/14/630086614.db2.gz IWZATRSJHNTMDH-SECBINFHSA-N 0 0 269.322 2.893 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2ccccc2[N+](=O)[O-])C12CCC2 ZINC000835881403 630130577 /nfs/dbraw/zinc/13/05/77/630130577.db2.gz DHRNZXFGTPPZAD-STQMWFEESA-N 0 0 291.303 2.709 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cccn1C ZINC000798542824 630203463 /nfs/dbraw/zinc/20/34/63/630203463.db2.gz IRKHXYASVPBQCB-UHFFFAOYSA-N 0 0 289.291 2.584 20 5 CFBDRN CC(C)C[C@@H](C)COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000798586068 630210209 /nfs/dbraw/zinc/21/02/09/630210209.db2.gz HRZGVWUVBNKLPQ-SNVBAGLBSA-N 0 0 268.313 2.772 20 5 CFBDRN C[C@H](NCc1cnc(Cl)cn1)c1cccc([N+](=O)[O-])c1 ZINC000799155717 630281036 /nfs/dbraw/zinc/28/10/36/630281036.db2.gz RURFTVFFLQIKLV-VIFPVBQESA-N 0 0 292.726 2.889 20 5 CFBDRN CCOCC1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000799320842 630297444 /nfs/dbraw/zinc/29/74/44/630297444.db2.gz LIOKIAXABJRQNH-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN CCc1[nH]nc(C(=O)O[C@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000799510312 630318069 /nfs/dbraw/zinc/31/80/69/630318069.db2.gz JYXZGQPEMROOJJ-VIFPVBQESA-N 0 0 281.312 2.616 20 5 CFBDRN COc1cc(C(=O)OCC2(SC)CC2)ccc1[N+](=O)[O-] ZINC000799687825 630335753 /nfs/dbraw/zinc/33/57/53/630335753.db2.gz NSUSTQUPKRCZKQ-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN CSC1(COC(=O)CCc2cccc([N+](=O)[O-])c2)CC1 ZINC000799687595 630335841 /nfs/dbraw/zinc/33/58/41/630335841.db2.gz DEHMUAQWNRSIAL-UHFFFAOYSA-N 0 0 295.360 2.966 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2O[C@H](C)C[C@H]2C)c([N+](=O)[O-])c1 ZINC000799861862 630348729 /nfs/dbraw/zinc/34/87/29/630348729.db2.gz LXKCLFVTDQZSNP-GIPNMCIBSA-N 0 0 279.292 2.622 20 5 CFBDRN Cc1c(OC(=O)COc2ccsc2)cccc1[N+](=O)[O-] ZINC000799907098 630353638 /nfs/dbraw/zinc/35/36/38/630353638.db2.gz JYWWPKRUSHAKDM-UHFFFAOYSA-N 0 0 293.300 2.949 20 5 CFBDRN C[C@H](COc1ccccc1)Nc1ncc([N+](=O)[O-])s1 ZINC000799932489 630354675 /nfs/dbraw/zinc/35/46/75/630354675.db2.gz PVZCQVNDOHHKDL-SECBINFHSA-N 0 0 279.321 2.931 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CCO)c2cccs2)s1 ZINC000799940064 630355044 /nfs/dbraw/zinc/35/50/44/630355044.db2.gz UZUWOPBFXIRLNT-SSDOTTSWSA-N 0 0 285.350 2.648 20 5 CFBDRN CC(=O)CCC(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000800404442 630379734 /nfs/dbraw/zinc/37/97/34/630379734.db2.gz JLQRNVMOZJUVSL-UHFFFAOYSA-N 0 0 297.332 2.599 20 5 CFBDRN CC1(C)OC[C@@H](CSc2cccc([N+](=O)[O-])c2)O1 ZINC000800838107 630410175 /nfs/dbraw/zinc/41/01/75/630410175.db2.gz RZSUTBNWSSJTPH-JTQLQIEISA-N 0 0 269.322 2.838 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCc3ccccc3CC2)c1 ZINC000800852318 630411108 /nfs/dbraw/zinc/41/11/08/630411108.db2.gz DVFUVJQDOUTCAR-UHFFFAOYSA-N 0 0 283.331 2.782 20 5 CFBDRN Cc1ncc(CCNc2cc(N)ccc2[N+](=O)[O-])s1 ZINC000800860484 630412017 /nfs/dbraw/zinc/41/20/17/630412017.db2.gz UFEPVRGCPSAHHS-UHFFFAOYSA-N 0 0 278.337 2.597 20 5 CFBDRN Cc1cc(C)nc(C(=O)NCCc2ccccc2[N+](=O)[O-])c1 ZINC000800902353 630415629 /nfs/dbraw/zinc/41/56/29/630415629.db2.gz FVLWKBCICSHLTR-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cc(C)nc(C(=O)NCc2cccc([N+](=O)[O-])c2C)c1 ZINC000800961538 630418351 /nfs/dbraw/zinc/41/83/51/630418351.db2.gz RKXUZHVXZMIDGI-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1nc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000801390973 630444009 /nfs/dbraw/zinc/44/40/09/630444009.db2.gz AVPLAYSUHDNWTB-MRVPVSSYSA-N 0 0 276.248 2.809 20 5 CFBDRN Cc1c(COC(=O)[C@H]2C[C@@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000801480162 630449924 /nfs/dbraw/zinc/44/99/24/630449924.db2.gz HWJFILVTPAQCRN-UWVGGRQHSA-N 0 0 285.246 2.848 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1C[C@@H]1C(F)F ZINC000801480624 630450241 /nfs/dbraw/zinc/45/02/41/630450241.db2.gz QXZXOQOKSNYGQC-IUCAKERBSA-N 0 0 271.219 2.539 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)OCCc1ccccc1[N+](=O)[O-] ZINC000802111248 630503323 /nfs/dbraw/zinc/50/33/23/630503323.db2.gz GZEDTNRYRZHMTN-BQYQJAHWSA-N 0 0 286.287 2.722 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000802115902 630504098 /nfs/dbraw/zinc/50/40/98/630504098.db2.gz JOGCIZSHKKRLPA-ONEGZZNKSA-N 0 0 276.223 2.681 20 5 CFBDRN CNc1ccc(C(=O)OCCCCF)cc1[N+](=O)[O-] ZINC000803220155 630590815 /nfs/dbraw/zinc/59/08/15/630590815.db2.gz BZWNMCUSOQUKKT-UHFFFAOYSA-N 0 0 270.260 2.543 20 5 CFBDRN CNc1ccc(C(=O)OCCSC(C)C)cc1[N+](=O)[O-] ZINC000803221363 630591174 /nfs/dbraw/zinc/59/11/74/630591174.db2.gz XJUBIXMXTVOKTI-UHFFFAOYSA-N 0 0 298.364 2.935 20 5 CFBDRN COCCCCOC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000803221855 630591301 /nfs/dbraw/zinc/59/13/01/630591301.db2.gz RLSFADYLBZXLON-UHFFFAOYSA-N 0 0 299.348 2.657 20 5 CFBDRN COCCCCOC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000803226457 630591849 /nfs/dbraw/zinc/59/18/49/630591849.db2.gz WHEYZUOLVDIKBV-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN CC(C)SCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000803267337 630596570 /nfs/dbraw/zinc/59/65/70/630596570.db2.gz LWQOYYXPGPTMFR-UHFFFAOYSA-N 0 0 269.322 2.893 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)C1CCC1 ZINC000803268462 630596641 /nfs/dbraw/zinc/59/66/41/630596641.db2.gz XAZJWXXIUUIMNW-UHFFFAOYSA-N 0 0 271.219 2.716 20 5 CFBDRN O=C(CCOc1cccc([N+](=O)[O-])c1)OCCCCF ZINC000803279028 630598269 /nfs/dbraw/zinc/59/82/69/630598269.db2.gz IMRODPHQRQIJNM-UHFFFAOYSA-N 0 0 285.271 2.657 20 5 CFBDRN CCOC1CC(COC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000803318906 630601199 /nfs/dbraw/zinc/60/11/99/630601199.db2.gz ARPHPBUNAJDNKH-UHFFFAOYSA-N 0 0 297.282 2.706 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1(F)CCCC1 ZINC000803411822 630614370 /nfs/dbraw/zinc/61/43/70/630614370.db2.gz ZEFULPAEMFSELN-UHFFFAOYSA-N 0 0 294.326 2.878 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)OC[C@H]1Cc2ccccc21 ZINC000803422392 630616315 /nfs/dbraw/zinc/61/63/15/630616315.db2.gz KJDMYBBKEUSXAK-LLVKDONJSA-N 0 0 298.298 2.674 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2ccccc2[N+](=O)[O-])C1=O ZINC000840001915 630619070 /nfs/dbraw/zinc/61/90/70/630619070.db2.gz BMNWCPNOVGYSOG-NSHDSACASA-N 0 0 277.276 2.509 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCC[C@H]2CCCO2)c1F ZINC000803458469 630619808 /nfs/dbraw/zinc/61/98/08/630619808.db2.gz IFEAAVUZMGYGTG-LLVKDONJSA-N 0 0 297.282 2.768 20 5 CFBDRN COc1c(C(=O)OCCCCF)cccc1[N+](=O)[O-] ZINC000803514631 630625297 /nfs/dbraw/zinc/62/52/97/630625297.db2.gz FSJXKZISHJLKKY-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN CC1(C)CC(C(=O)OCCOc2cccc([N+](=O)[O-])c2)C1 ZINC000803755138 630639619 /nfs/dbraw/zinc/63/96/19/630639619.db2.gz GISSUWKSCSRGKZ-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]2C[C@@H]21 ZINC000804298421 630669464 /nfs/dbraw/zinc/66/94/64/630669464.db2.gz NGZNHDGISXSMSZ-HPFKKHKDSA-N 0 0 272.304 2.619 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@H]2C[C@H]21 ZINC000804302054 630670054 /nfs/dbraw/zinc/67/00/54/630670054.db2.gz YRTTVTNXVZVEFL-QPUJVOFHSA-N 0 0 280.711 2.873 20 5 CFBDRN C[C@H]1CCCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804315188 630671006 /nfs/dbraw/zinc/67/10/06/630671006.db2.gz DTXOINDGTJBNPX-VIFPVBQESA-N 0 0 265.269 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCOC1CCCC1 ZINC000804358750 630674995 /nfs/dbraw/zinc/67/49/95/630674995.db2.gz PUSZVHKZOSUQBN-UHFFFAOYSA-N 0 0 295.295 2.874 20 5 CFBDRN CSc1cnc(NCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000804361009 630676199 /nfs/dbraw/zinc/67/61/99/630676199.db2.gz WYYQHRJTKXAJDK-UHFFFAOYSA-N 0 0 276.321 2.719 20 5 CFBDRN CN(Cc1cscn1)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804377427 630677208 /nfs/dbraw/zinc/67/72/08/630677208.db2.gz GOCVHLRDIKSDCE-UHFFFAOYSA-N 0 0 294.292 2.596 20 5 CFBDRN CCc1nc(CNc2ccc(N)cc2[N+](=O)[O-])cs1 ZINC000804442623 630682044 /nfs/dbraw/zinc/68/20/44/630682044.db2.gz HALPQYROWFUVLQ-UHFFFAOYSA-N 0 0 278.337 2.808 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@]1(C)CCOC1 ZINC000804453649 630682919 /nfs/dbraw/zinc/68/29/19/630682919.db2.gz ISAIJJSXFVVWLY-AWEZNQCLSA-N 0 0 278.308 2.636 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCOC1CCC1 ZINC000804522084 630689299 /nfs/dbraw/zinc/68/92/99/630689299.db2.gz OKXNDSYGKPRFIW-UHFFFAOYSA-N 0 0 278.308 2.778 20 5 CFBDRN CC1(C)CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804523661 630689884 /nfs/dbraw/zinc/68/98/84/630689884.db2.gz YBLGMZINCPSAAR-UHFFFAOYSA-N 0 0 265.269 2.739 20 5 CFBDRN Nc1ccc(NCC2(CCO)CCCCC2)c([N+](=O)[O-])c1 ZINC000804538472 630691320 /nfs/dbraw/zinc/69/13/20/630691320.db2.gz OSBKIZPUQGWWHM-UHFFFAOYSA-N 0 0 293.367 2.922 20 5 CFBDRN C[C@@H](C[C@H](O)c1ccco1)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000804549751 630692156 /nfs/dbraw/zinc/69/21/56/630692156.db2.gz KSUWIARUVKPABL-ZANVPECISA-N 0 0 291.307 2.694 20 5 CFBDRN CC(C)C(=O)NCCCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804576684 630757394 /nfs/dbraw/zinc/75/73/94/630757394.db2.gz BCUJLLBFCFDKCQ-UHFFFAOYSA-N 0 0 299.758 2.822 20 5 CFBDRN Nc1ccc(NCc2ccc(Cl)cn2)c([N+](=O)[O-])c1 ZINC000804595287 630762773 /nfs/dbraw/zinc/76/27/73/630762773.db2.gz QNCDSZDDBXUIAK-UHFFFAOYSA-N 0 0 278.699 2.838 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC[C@H](C)[C@H](F)C1 ZINC000804597181 630762836 /nfs/dbraw/zinc/76/28/36/630762836.db2.gz DVZJURUMRMYVGA-TVQRCGJNSA-N 0 0 280.299 2.982 20 5 CFBDRN C[C@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H]1F ZINC000804597784 630762980 /nfs/dbraw/zinc/76/29/80/630762980.db2.gz NWDKPMNERWXFNE-DTWKUNHWSA-N 0 0 283.259 2.687 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC1(CO)CCCC1 ZINC000804621432 630765828 /nfs/dbraw/zinc/76/58/28/630765828.db2.gz VLGDPECDQDNJMP-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN NC(=O)c1coc(CNc2cc(Cl)ccc2[N+](=O)[O-])c1 ZINC000804624311 630766747 /nfs/dbraw/zinc/76/67/47/630766747.db2.gz NQIJJRKCJBZXIH-UHFFFAOYSA-N 0 0 295.682 2.552 20 5 CFBDRN CC[C@@]1(C)CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000804645605 630767991 /nfs/dbraw/zinc/76/79/91/630767991.db2.gz UBCTWQDGRSUMJT-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC1CC(F)(F)C1 ZINC000804650313 630769253 /nfs/dbraw/zinc/76/92/53/630769253.db2.gz GJVBGTWGTBRIPW-UHFFFAOYSA-N 0 0 273.195 2.713 20 5 CFBDRN CC(C)(C)COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000103700559 630834108 /nfs/dbraw/zinc/83/41/08/630834108.db2.gz SWZSYRJETOWSQM-UHFFFAOYSA-N 0 0 267.281 2.563 20 5 CFBDRN Cc1c(CNC(=O)N2CCC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000807171571 630864632 /nfs/dbraw/zinc/86/46/32/630864632.db2.gz VBXOVGGLUVLVGB-RISCZKNCSA-N 0 0 289.335 2.597 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NCC(C)(F)F)cc1Cl ZINC000836790695 630883246 /nfs/dbraw/zinc/88/32/46/630883246.db2.gz SPMXMTRCXRPKKT-UHFFFAOYSA-N 0 0 292.669 2.942 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NOC1CCC1 ZINC000836799769 630886854 /nfs/dbraw/zinc/88/68/54/630886854.db2.gz NOIUTTVIEYXJQD-UHFFFAOYSA-N 0 0 278.308 2.734 20 5 CFBDRN CC[C@](C)(CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])OC ZINC000836824118 630892269 /nfs/dbraw/zinc/89/22/69/630892269.db2.gz UUNQKNCOPWMXDI-CQSZACIVSA-N 0 0 298.314 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(=S)n(CN3CC[C@H](F)C3)c2c1 ZINC000840102801 630892607 /nfs/dbraw/zinc/89/26/07/630892607.db2.gz DQOQGLZAZUPLLN-QMMMGPOBSA-N 0 0 297.311 2.873 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])o2)CC1(Cl)Cl ZINC000836831465 630895926 /nfs/dbraw/zinc/89/59/26/630895926.db2.gz QQJYSGMEHJNMBH-SECBINFHSA-N 0 0 293.106 2.502 20 5 CFBDRN CCC[C@@](C)(O)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807972325 630928119 /nfs/dbraw/zinc/92/81/19/630928119.db2.gz OWUSCXRMJRPEBJ-CQSZACIVSA-N 0 0 280.324 2.760 20 5 CFBDRN CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1CCCC1 ZINC000837036392 630941230 /nfs/dbraw/zinc/94/12/30/630941230.db2.gz BNSDOXLAAYAIDI-LBPRGKRZSA-N 0 0 270.373 2.907 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1CCC[C@@H]1CCO ZINC000808022547 630943713 /nfs/dbraw/zinc/94/37/13/630943713.db2.gz LHCKRZRHBPHAII-BXUZGUMPSA-N 0 0 292.335 2.760 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000808055970 630945430 /nfs/dbraw/zinc/94/54/30/630945430.db2.gz WMGOBTWGGGTMCN-VIFPVBQESA-N 0 0 299.710 2.623 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H]1CCOC[C@H]1C ZINC000808071399 630947656 /nfs/dbraw/zinc/94/76/56/630947656.db2.gz YAZJNMKXWFIOFZ-PWSUYJOCSA-N 0 0 292.335 2.882 20 5 CFBDRN CC(C)(CCC(=O)N1CC(C)(CC(F)(F)F)C1)[N+](=O)[O-] ZINC000837186335 630972148 /nfs/dbraw/zinc/97/21/48/630972148.db2.gz NTFPSUJWGGCRNH-UHFFFAOYSA-N 0 0 296.289 2.623 20 5 CFBDRN COc1ccccc1COc1ccc(N)c([N+](=O)[O-])c1 ZINC000808256317 630972256 /nfs/dbraw/zinc/97/22/56/630972256.db2.gz HAUJEWNHQPVZIA-UHFFFAOYSA-N 0 0 274.276 2.765 20 5 CFBDRN CCCCOC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000808561174 631014163 /nfs/dbraw/zinc/01/41/63/631014163.db2.gz CZTVOKIKWKSNPD-UHFFFAOYSA-N 0 0 273.235 2.759 20 5 CFBDRN Cc1nc(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)co1 ZINC000808714610 631033615 /nfs/dbraw/zinc/03/36/15/631033615.db2.gz NTJMHFHPHNVEGV-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000837501193 631049119 /nfs/dbraw/zinc/04/91/19/631049119.db2.gz LDFNXNWEINCMRD-UHFFFAOYSA-N 0 0 289.209 2.936 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000809300199 631106214 /nfs/dbraw/zinc/10/62/14/631106214.db2.gz ANGVQQPAPQSJEO-ZCFIWIBFSA-N 0 0 294.204 2.723 20 5 CFBDRN CCC[C@@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000837795936 631129376 /nfs/dbraw/zinc/12/93/76/631129376.db2.gz DVDVFFBNLJRVBV-GFCCVEGCSA-N 0 0 285.271 2.592 20 5 CFBDRN CCC[C@H](OC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000837803387 631130840 /nfs/dbraw/zinc/13/08/40/631130840.db2.gz FEVSZYPDTGEHEE-LBPRGKRZSA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1ccncc1 ZINC000837925685 631151604 /nfs/dbraw/zinc/15/16/04/631151604.db2.gz SDBNGFPXWQUKDM-JTQLQIEISA-N 0 0 266.297 2.521 20 5 CFBDRN CC(C)c1noc([C@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000837924925 631151753 /nfs/dbraw/zinc/15/17/53/631151753.db2.gz UBOUXQBRWIWGMR-VIFPVBQESA-N 0 0 299.327 2.633 20 5 CFBDRN COCC(C)(C)CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000840590635 631167689 /nfs/dbraw/zinc/16/76/89/631167689.db2.gz IFGXYIQXMYHPRG-UHFFFAOYSA-N 0 0 281.308 2.701 20 5 CFBDRN COCC(C)(C)CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000840594301 631168005 /nfs/dbraw/zinc/16/80/05/631168005.db2.gz GVEMSHOOEQAYAL-UHFFFAOYSA-N 0 0 281.308 2.701 20 5 CFBDRN COCCCOC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000840649744 631172951 /nfs/dbraw/zinc/17/29/51/631172951.db2.gz VFAJCCDHQLWCCS-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CSCCCOC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840656106 631173751 /nfs/dbraw/zinc/17/37/51/631173751.db2.gz PKAZMPKSQLSYMC-QWHCGFSZSA-N 0 0 295.360 2.995 20 5 CFBDRN Cc1ccc(OS(=O)(=O)CCC2CCC2)c([N+](=O)[O-])c1 ZINC000809388868 631220878 /nfs/dbraw/zinc/22/08/78/631220878.db2.gz GHBMAEZJZANPEC-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN CC/C=C/CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840790425 631225212 /nfs/dbraw/zinc/22/52/12/631225212.db2.gz HXWQXHKSAPMODG-ONEGZZNKSA-N 0 0 265.338 2.847 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000042772859 650001614 /nfs/dbraw/zinc/00/16/14/650001614.db2.gz GKALNCYPWSMHEB-UHFFFAOYSA-N 0 0 294.204 2.758 20 5 CFBDRN CN(CC(F)F)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000809913043 631299447 /nfs/dbraw/zinc/29/94/47/631299447.db2.gz SSYDXWHNNIUXRB-UHFFFAOYSA-N 0 0 293.657 2.977 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1SCCCS1 ZINC000841016386 631306965 /nfs/dbraw/zinc/30/69/65/631306965.db2.gz UWAUKYZKEKBDAG-UHFFFAOYSA-N 0 0 284.362 2.730 20 5 CFBDRN Cc1nc(C)c(CN2CCc3ccc([N+](=O)[O-])cc32)o1 ZINC000809975985 631307214 /nfs/dbraw/zinc/30/72/14/631307214.db2.gz YCAYNFHXLGRSHB-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN Cc1ccc(C(=O)n2ccccc2=NC(C)C)cc1[N+](=O)[O-] ZINC000841024633 631308975 /nfs/dbraw/zinc/30/89/75/631308975.db2.gz KSUMRKDTSDUEDH-UHFFFAOYSA-N 0 0 299.330 2.702 20 5 CFBDRN COc1cc(CON=C(N)C2CCCC2)ccc1[N+](=O)[O-] ZINC000809993627 631311154 /nfs/dbraw/zinc/31/11/54/631311154.db2.gz CUIDJAGDSYVSFT-UHFFFAOYSA-N 0 0 293.323 2.792 20 5 CFBDRN CC1(C)CC[C@H](Oc2ccc([N+](=O)[O-])c(F)c2)C1=O ZINC000840194061 631349913 /nfs/dbraw/zinc/34/99/13/631349913.db2.gz UEPDVINXFOGUFL-NSHDSACASA-N 0 0 267.256 2.870 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1=O ZINC000840196236 631355543 /nfs/dbraw/zinc/35/55/43/631355543.db2.gz LWEVOKNGLHIJDN-NSHDSACASA-N 0 0 277.276 2.509 20 5 CFBDRN CCC(=O)c1ccc(Cl)c(NC(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC000841209277 631359749 /nfs/dbraw/zinc/35/97/49/631359749.db2.gz LBIZLFAZVJPWCV-LDYMZIIASA-N 0 0 296.710 2.536 20 5 CFBDRN C[C@@H](O)CSCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000840212323 631460640 /nfs/dbraw/zinc/46/06/40/631460640.db2.gz VYAYNDQZYSVLHW-SSDOTTSWSA-N 0 0 261.730 2.862 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCc1cccnc1C ZINC000810889900 631470283 /nfs/dbraw/zinc/47/02/83/631470283.db2.gz KBWBEROKRFJEPM-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN COC1(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)CCC1 ZINC000842036400 631508930 /nfs/dbraw/zinc/50/89/30/631508930.db2.gz QRWGMASLAKXQNP-UHFFFAOYSA-N 0 0 275.308 2.822 20 5 CFBDRN Nc1ccc(N2CCC(OC3CCC3)CC2)c([N+](=O)[O-])c1 ZINC000842045538 631511542 /nfs/dbraw/zinc/51/15/42/631511542.db2.gz VYRTVXBDTKICKQ-UHFFFAOYSA-N 0 0 291.351 2.715 20 5 CFBDRN CO[C@@H](CNc1cc(N)ccc1[N+](=O)[O-])CC(C)C ZINC000842047037 631512384 /nfs/dbraw/zinc/51/23/84/631512384.db2.gz MNDOGZJFPLNPBI-LLVKDONJSA-N 0 0 267.329 2.650 20 5 CFBDRN CO[C@@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])CC(C)C ZINC000842046079 631512644 /nfs/dbraw/zinc/51/26/44/631512644.db2.gz HUZHJIIBPJVWSQ-SNVBAGLBSA-N 0 0 297.311 2.976 20 5 CFBDRN CO[C@H](COC(=O)Cc1ccccc1[N+](=O)[O-])CC(C)C ZINC000842054095 631515306 /nfs/dbraw/zinc/51/53/06/631515306.db2.gz JZJSBNYZKPEYNZ-ZDUSSCGKSA-N 0 0 295.335 2.742 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCCOCC1CCC1 ZINC000842059407 631518438 /nfs/dbraw/zinc/51/84/38/631518438.db2.gz RUTNIEREMNKFSF-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1ncccc1COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000811114799 631518747 /nfs/dbraw/zinc/51/87/47/631518747.db2.gz MYCRCLBNKZXYAB-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CO[C@H](COC(=O)c1cc(F)ccc1[N+](=O)[O-])CC(C)C ZINC000842072896 631524963 /nfs/dbraw/zinc/52/49/63/631524963.db2.gz PVEDWDFGFBBGGH-NSHDSACASA-N 0 0 299.298 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(C3CC3)no2)c(F)c1F ZINC000842076940 631526757 /nfs/dbraw/zinc/52/67/57/631526757.db2.gz UULFDSQDPPIQGM-UHFFFAOYSA-N 0 0 297.217 2.712 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])c(F)c1)CC(C)C ZINC000842078461 631527539 /nfs/dbraw/zinc/52/75/39/631527539.db2.gz YSERNEUYFGJGSJ-LLVKDONJSA-N 0 0 299.298 2.952 20 5 CFBDRN CC[C@H](COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)OC ZINC000842084513 631531377 /nfs/dbraw/zinc/53/13/77/631531377.db2.gz GAMRIYLTLKGCFX-SECBINFHSA-N 0 0 287.699 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1cn(C)cn1 ZINC000811228617 631538761 /nfs/dbraw/zinc/53/87/61/631538761.db2.gz PLKOZBOSGPXYQE-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CCC(CC)(CO)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000842110505 631545043 /nfs/dbraw/zinc/54/50/43/631545043.db2.gz JOXKUCGIUYEOJV-UHFFFAOYSA-N 0 0 299.298 2.576 20 5 CFBDRN CCn1nc(C)c(CSCc2ccccc2[N+](=O)[O-])n1 ZINC000842190022 631564971 /nfs/dbraw/zinc/56/49/71/631564971.db2.gz FWSWEVXQBOSCPI-UHFFFAOYSA-N 0 0 292.364 2.948 20 5 CFBDRN C=COCCOC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000842332749 631595829 /nfs/dbraw/zinc/59/58/29/631595829.db2.gz ZPUHVMAANBMEOG-UHFFFAOYSA-N 0 0 295.291 2.699 20 5 CFBDRN O=C(Oc1ccc(C2CC2)cc1Cl)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842373840 631611363 /nfs/dbraw/zinc/61/13/63/631611363.db2.gz GNCSBOMAHJTRJC-MWLCHTKSSA-N 0 0 281.695 2.788 20 5 CFBDRN C[C@@H](COC(=O)CCC(C)(C)[N+](=O)[O-])CC(F)F ZINC000842439480 631629609 /nfs/dbraw/zinc/62/96/09/631629609.db2.gz FWYRYVRWYSOKRY-MRVPVSSYSA-N 0 0 267.272 2.656 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@H](F)C1 ZINC000811841668 631630967 /nfs/dbraw/zinc/63/09/67/631630967.db2.gz OURKFHAJKULPGG-NSHDSACASA-N 0 0 268.288 2.680 20 5 CFBDRN COc1ccc(C(C)(C)C)cc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840239727 631640772 /nfs/dbraw/zinc/64/07/72/631640772.db2.gz UJIRCSUXJAGSJT-ZYHUDNBSSA-N 0 0 292.335 2.596 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(CSc2ccc(F)cc2)no1 ZINC000842487188 631642980 /nfs/dbraw/zinc/64/29/80/631642980.db2.gz NVMMSHTXQNWSFP-NXEZZACHSA-N 0 0 295.295 2.634 20 5 CFBDRN COc1cc(Cl)ccc1-c1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000842499751 631646713 /nfs/dbraw/zinc/64/67/13/631646713.db2.gz RCTPLQVJKZZXGF-RKDXNWHRSA-N 0 0 295.682 2.531 20 5 CFBDRN Cc1c2ccccc2oc1-c1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000842500983 631648219 /nfs/dbraw/zinc/64/82/19/631648219.db2.gz FNPMIQRYCYWJCY-NXEZZACHSA-N 0 0 285.259 2.924 20 5 CFBDRN Cc1c(-c2noc([C@@](C)(O)C3CC3)n2)cccc1[N+](=O)[O-] ZINC000842507652 631649653 /nfs/dbraw/zinc/64/96/53/631649653.db2.gz WWRIOUVAASKPFH-AWEZNQCLSA-N 0 0 289.291 2.571 20 5 CFBDRN Cc1cc(C(=O)NCc2ccccc2C)c(N)c([N+](=O)[O-])c1 ZINC000104824158 631663858 /nfs/dbraw/zinc/66/38/58/631663858.db2.gz RLLNKRMHXMFKJW-UHFFFAOYSA-N 0 0 299.330 2.724 20 5 CFBDRN O=C(NOC/C=C\Cl)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000812409113 631707095 /nfs/dbraw/zinc/70/70/95/631707095.db2.gz VVMNMIBQTDVXOC-RJRFIUFISA-N 0 0 291.090 2.662 20 5 CFBDRN COc1ccc(OC(=O)C2(C(F)F)CC2)c([N+](=O)[O-])c1 ZINC000842688083 631707365 /nfs/dbraw/zinc/70/73/65/631707365.db2.gz IZWXWMGQUMOOLV-UHFFFAOYSA-N 0 0 287.218 2.554 20 5 CFBDRN COCC1(CC(=O)Oc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000842690658 631708473 /nfs/dbraw/zinc/70/84/73/631708473.db2.gz XFYCMAMRTOQMLE-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000043747209 650024381 /nfs/dbraw/zinc/02/43/81/650024381.db2.gz PSICFZZEMWKFKX-NSHDSACASA-N 0 0 299.330 2.976 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])cc1)C1CCCCC1 ZINC000812531037 631729669 /nfs/dbraw/zinc/72/96/69/631729669.db2.gz PXFNZEFITBDIOX-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000812619210 631744487 /nfs/dbraw/zinc/74/44/87/631744487.db2.gz COWFGYXNHHENGS-NXEZZACHSA-N 0 0 299.298 2.696 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000812624848 631747291 /nfs/dbraw/zinc/74/72/91/631747291.db2.gz IUTOZXASEUIPMV-QWRGUYRKSA-N 0 0 281.308 2.557 20 5 CFBDRN CC[C@@H](SC)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812161 631788446 /nfs/dbraw/zinc/78/84/46/631788446.db2.gz ZFOYUJBNBBFUMT-MRVPVSSYSA-N 0 0 273.358 2.872 20 5 CFBDRN CC(C)(CCc1noc(CC[C@@H]2CCCCO2)n1)[N+](=O)[O-] ZINC000812812125 631788767 /nfs/dbraw/zinc/78/87/67/631788767.db2.gz XHUOMARBTGOCCC-NSHDSACASA-N 0 0 297.355 2.559 20 5 CFBDRN CC(C)(CCc1noc(CC2CCCC2)n1)[N+](=O)[O-] ZINC000812814544 631789510 /nfs/dbraw/zinc/78/95/10/631789510.db2.gz JQMDAHUGWUMAPC-UHFFFAOYSA-N 0 0 267.329 2.790 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2Cc3ccccc32)n1)[N+](=O)[O-] ZINC000812815507 631789768 /nfs/dbraw/zinc/78/97/68/631789768.db2.gz NZXHMHBZVKDFDE-GFCCVEGCSA-N 0 0 287.319 2.746 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])cc2F)C1 ZINC000840270661 631799811 /nfs/dbraw/zinc/79/98/11/631799811.db2.gz YSIUKBPIIIZQNH-APPZFPTMSA-N 0 0 271.317 2.825 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000813074961 631826285 /nfs/dbraw/zinc/82/62/85/631826285.db2.gz QUPBBNWMAGRXCI-IUCAKERBSA-N 0 0 285.271 2.558 20 5 CFBDRN C[C@H](COC(=O)c1csc2ccccc12)[N+](=O)[O-] ZINC000813103442 631838312 /nfs/dbraw/zinc/83/83/12/631838312.db2.gz ATAZMQJFHOHHOC-MRVPVSSYSA-N 0 0 265.290 2.723 20 5 CFBDRN Cc1cccc(OCCCCC(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000813102568 631838911 /nfs/dbraw/zinc/83/89/11/631838911.db2.gz RZNQCTDARCUXMK-ZDUSSCGKSA-N 0 0 295.335 2.753 20 5 CFBDRN Cc1c2cccc(F)c2oc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813104136 631840019 /nfs/dbraw/zinc/84/00/19/631840019.db2.gz WQGFEVBWXPLDSB-ZETCQYMHSA-N 0 0 281.239 2.702 20 5 CFBDRN CC(C)COc1cccc(C(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000813107232 631840969 /nfs/dbraw/zinc/84/09/69/631840969.db2.gz NHFSJULZYLVDMG-LLVKDONJSA-N 0 0 281.308 2.543 20 5 CFBDRN C[C@@H](COC(=O)/C=C\c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000813106834 631841679 /nfs/dbraw/zinc/84/16/79/631841679.db2.gz IMUPLRBSATZJGD-WYDVEAGSSA-N 0 0 269.684 2.562 20 5 CFBDRN C[C@@H](COC(=O)Cn1ccc2ccc(Cl)cc21)[N+](=O)[O-] ZINC000813106995 631841861 /nfs/dbraw/zinc/84/18/61/631841861.db2.gz JTVVHVGFHCGPOH-VIFPVBQESA-N 0 0 296.710 2.503 20 5 CFBDRN C[C@@H](COC(=O)CC1CCC(C)(C)CC1)[N+](=O)[O-] ZINC000813106272 631842001 /nfs/dbraw/zinc/84/20/01/631842001.db2.gz BWHPYZALCUFYEB-JTQLQIEISA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](COC(=O)COc1ccccc1C(C)(C)C)[N+](=O)[O-] ZINC000813108944 631842814 /nfs/dbraw/zinc/84/28/14/631842814.db2.gz MSHPWIVGDCJMLV-LLVKDONJSA-N 0 0 295.335 2.571 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(Cl)c(Cl)c1)[N+](=O)[O-] ZINC000813108489 631843147 /nfs/dbraw/zinc/84/31/47/631843147.db2.gz YZAZZKOLBURVOO-LURJTMIESA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@@H](COC(=O)c1cccc(C(F)(F)F)c1)[N+](=O)[O-] ZINC000813112980 631844245 /nfs/dbraw/zinc/84/42/45/631844245.db2.gz NAZNPWGGFYAJNG-ZETCQYMHSA-N 0 0 277.198 2.527 20 5 CFBDRN C[C@@H](COC(=O)c1cc(F)cc(C(F)(F)F)c1)[N+](=O)[O-] ZINC000813113723 631844294 /nfs/dbraw/zinc/84/42/94/631844294.db2.gz UDFGQUQSIVVYJX-LURJTMIESA-N 0 0 295.188 2.667 20 5 CFBDRN Cc1ccc(CCCC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813112990 631844570 /nfs/dbraw/zinc/84/45/70/631844570.db2.gz NIQPAVIPPYUIJI-GFCCVEGCSA-N 0 0 265.309 2.526 20 5 CFBDRN C[C@H](COC(=O)CCCc1ccc(Cl)cc1)[N+](=O)[O-] ZINC000813111045 631844739 /nfs/dbraw/zinc/84/47/39/631844739.db2.gz BAIUDDXXUGEAPC-SNVBAGLBSA-N 0 0 285.727 2.871 20 5 CFBDRN C/C(=C\c1ccccc1Cl)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813112645 631845140 /nfs/dbraw/zinc/84/51/40/631845140.db2.gz SAGIFKAOAQTJPL-TTZKWOQHSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@H](COC(=O)c1cc2cc(Cl)ccc2o1)[N+](=O)[O-] ZINC000813115040 631846635 /nfs/dbraw/zinc/84/66/35/631846635.db2.gz ZQIGWFVQUONMLJ-SSDOTTSWSA-N 0 0 283.667 2.908 20 5 CFBDRN CCNCc1noc(-c2ccc(C(C)C)c([N+](=O)[O-])c2)n1 ZINC000843140769 631855525 /nfs/dbraw/zinc/85/55/25/631855525.db2.gz CZJZFGXUGAIVQQ-UHFFFAOYSA-N 0 0 290.323 2.878 20 5 CFBDRN CO[C@](C)(COC(=O)c1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000813183276 631873406 /nfs/dbraw/zinc/87/34/06/631873406.db2.gz KZGFPYJFLLNHAC-OAHLLOKOSA-N 0 0 293.319 2.875 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cccnc1 ZINC000813242691 631890772 /nfs/dbraw/zinc/89/07/72/631890772.db2.gz UZEYBSPNMGKRGY-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN Cc1nnsc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813246689 631891214 /nfs/dbraw/zinc/89/12/14/631891214.db2.gz NZSLLTTZVODXGK-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN CC1(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 ZINC000813246315 631891227 /nfs/dbraw/zinc/89/12/27/631891227.db2.gz HGTORMPFEBAPFL-UHFFFAOYSA-N 0 0 254.673 2.987 20 5 CFBDRN CC(C)C[C@H](N)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000843218673 631901303 /nfs/dbraw/zinc/90/13/03/631901303.db2.gz BGPGJDGJYVMVDU-ZETCQYMHSA-N 0 0 282.325 2.752 20 5 CFBDRN Cc1c(-c2noc([C@@H](N)CC(F)F)n2)cccc1[N+](=O)[O-] ZINC000843238751 631910659 /nfs/dbraw/zinc/91/06/59/631910659.db2.gz XXOUZOXQZSEUPN-QMMMGPOBSA-N 0 0 298.249 2.608 20 5 CFBDRN CC1=C(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)SCCO1 ZINC000813394321 631917451 /nfs/dbraw/zinc/91/74/51/631917451.db2.gz QJXMXKBHGHOVSX-UHFFFAOYSA-N 0 0 298.295 2.667 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cncn1C ZINC000813456470 631922618 /nfs/dbraw/zinc/92/26/18/631922618.db2.gz FXKUPMCQBTYUFZ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2snnc2C)c1 ZINC000813630946 631944117 /nfs/dbraw/zinc/94/41/17/631944117.db2.gz SLOFPTMQMLPNQR-UHFFFAOYSA-N 0 0 298.299 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc2cc[nH]c(=NOC[C@@H]3CCOC3)c2c1 ZINC000840292875 631945855 /nfs/dbraw/zinc/94/58/55/631945855.db2.gz CGENWCYUSGYTJA-SNVBAGLBSA-N 0 0 289.291 2.523 20 5 CFBDRN CC(C)Cn1nccc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000842678389 631955171 /nfs/dbraw/zinc/95/51/71/631955171.db2.gz IDLDKNGLVMLNFB-UHFFFAOYSA-N 0 0 289.291 2.667 20 5 CFBDRN CC[C@@H](C)CONC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000813822173 631962105 /nfs/dbraw/zinc/96/21/05/631962105.db2.gz GQNNYHYORPQJBQ-SECBINFHSA-N 0 0 267.285 2.694 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)[C@@H]1CC=CCC1 ZINC000843514838 631972911 /nfs/dbraw/zinc/97/29/11/631972911.db2.gz ISGUDZHJLRZWHF-SECBINFHSA-N 0 0 296.273 2.846 20 5 CFBDRN O=C(OC/C=C\Br)c1cccc([N+](=O)[O-])c1 ZINC000813926633 631978973 /nfs/dbraw/zinc/97/89/73/631978973.db2.gz BDYKRSIDLHDARH-DJWKRKHSSA-N 0 0 286.081 2.660 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc(Cl)cc(Cl)c1 ZINC000814042628 631997353 /nfs/dbraw/zinc/99/73/53/631997353.db2.gz YNCWJGZNLSLDMA-LURJTMIESA-N 0 0 278.091 2.815 20 5 CFBDRN CCc1ccc([C@@H](C)CC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814035216 631997398 /nfs/dbraw/zinc/99/73/98/631997398.db2.gz LOFIMMMXHMCOGZ-NWDGAFQWSA-N 0 0 279.336 2.951 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(Cc2ccccc2Cl)CC1 ZINC000814035162 631997406 /nfs/dbraw/zinc/99/74/06/631997406.db2.gz KNZDPGCSPATHEZ-SNVBAGLBSA-N 0 0 297.738 2.871 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cccc(C(F)(F)F)c1 ZINC000814037870 631997779 /nfs/dbraw/zinc/99/77/79/631997779.db2.gz ICTUZXYANOBDNZ-ZETCQYMHSA-N 0 0 277.198 2.527 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccc(F)cc2)o1 ZINC000814038013 631998092 /nfs/dbraw/zinc/99/80/92/631998092.db2.gz KQJDOWSPVABJSA-SECBINFHSA-N 0 0 293.250 2.908 20 5 CFBDRN C/C(=C\c1ccccc1Cl)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814040510 631998579 /nfs/dbraw/zinc/99/85/79/631998579.db2.gz HYQSOKRLMUFNEL-PCYYEKQGSA-N 0 0 283.711 2.952 20 5 CFBDRN Cc1oc(-c2cccs2)nc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814039412 631998706 /nfs/dbraw/zinc/99/87/06/631998706.db2.gz PASHNEQMJZXLKO-SSDOTTSWSA-N 0 0 296.304 2.534 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(Oc2ccccc2)o1 ZINC000814038082 631998890 /nfs/dbraw/zinc/99/88/90/631998890.db2.gz LUEIUBGKOAQOQL-SNVBAGLBSA-N 0 0 291.259 2.894 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cccc(Cl)c1Cl ZINC000814039866 631998945 /nfs/dbraw/zinc/99/89/45/631998945.db2.gz BFCLHJJYMYBEFA-LURJTMIESA-N 0 0 278.091 2.815 20 5 CFBDRN CC[C@H](C)c1ccccc1OCC(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814042573 631999290 /nfs/dbraw/zinc/99/92/90/631999290.db2.gz MIUZPUKUJGIDBQ-NWDGAFQWSA-N 0 0 295.335 2.787 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cccc(-c2ccoc2)c1 ZINC000814041354 631999441 /nfs/dbraw/zinc/99/94/41/631999441.db2.gz PUHZTHPVFYUEAF-JTQLQIEISA-N 0 0 275.260 2.769 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1sccc1SC(F)F ZINC000814041024 631999472 /nfs/dbraw/zinc/99/94/72/631999472.db2.gz NYXPKZJJOVQEHU-YFKPBYRVSA-N 0 0 297.304 2.885 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C/Sc1ccccc1 ZINC000814041919 631999709 /nfs/dbraw/zinc/99/97/09/631999709.db2.gz SWOZTGWQDMRJTL-QROSGCPLSA-N 0 0 267.306 2.501 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000814044782 632000124 /nfs/dbraw/zinc/00/01/24/632000124.db2.gz UIPZGBZFURFAJE-MROQNXINSA-N 0 0 269.341 2.801 20 5 CFBDRN Cc1ccc(OCCCC(=O)O[C@@H](C)C[N+](=O)[O-])c(C)c1 ZINC000814044853 632000524 /nfs/dbraw/zinc/00/05/24/632000524.db2.gz VRGPHWRXJUGOEF-ZDUSSCGKSA-N 0 0 295.335 2.671 20 5 CFBDRN CC(C)Cc1ccccc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814044261 632000587 /nfs/dbraw/zinc/00/05/87/632000587.db2.gz CBZUAOSAZNOMOC-NSHDSACASA-N 0 0 265.309 2.707 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1csc(-c2ccccc2)n1 ZINC000814046421 632001172 /nfs/dbraw/zinc/00/11/72/632001172.db2.gz KELRUKLWHVHCHY-SECBINFHSA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1csc2ccccc12 ZINC000814046203 632001311 /nfs/dbraw/zinc/00/13/11/632001311.db2.gz HSXQVAWYZONNSQ-MRVPVSSYSA-N 0 0 265.290 2.723 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@H]1CCCC(C)(C)C1 ZINC000814045322 632001319 /nfs/dbraw/zinc/00/13/19/632001319.db2.gz PBGUALKZVHLFSM-WDEREUQCSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1[nH]c2ccccc2c1Cl ZINC000814049100 632002076 /nfs/dbraw/zinc/00/20/76/632002076.db2.gz YZBANVQKGNSBRY-SSDOTTSWSA-N 0 0 282.683 2.643 20 5 CFBDRN Cc1ncoc1COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000814098149 632012448 /nfs/dbraw/zinc/01/24/48/632012448.db2.gz IOGKQTDJMRYIEB-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CC1(C)C[C@H](CNc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000840313538 632016323 /nfs/dbraw/zinc/01/63/23/632016323.db2.gz ZUYRFKCODAJZFL-SNVBAGLBSA-N 0 0 279.340 2.794 20 5 CFBDRN Cc1c(NC(=S)NC2CCC2)cccc1[N+](=O)[O-] ZINC000814189231 632027402 /nfs/dbraw/zinc/02/74/02/632027402.db2.gz MJLWCHITTPJFTM-UHFFFAOYSA-N 0 0 265.338 2.742 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@H](c3ccccc3)C2)c1 ZINC000814224638 632040872 /nfs/dbraw/zinc/04/08/72/632040872.db2.gz KYFRSGMKCRFDFV-AWEZNQCLSA-N 0 0 286.335 2.628 20 5 CFBDRN CC[C@H](C)NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843749515 632048382 /nfs/dbraw/zinc/04/83/82/632048382.db2.gz KFVBQSJQZDAHNY-ZETCQYMHSA-N 0 0 254.261 2.571 20 5 CFBDRN C[C@@H]1SCC[C@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000843748147 632048698 /nfs/dbraw/zinc/04/86/98/632048698.db2.gz VAKDTNCCFVHLKN-NJDNHLCCSA-N 0 0 292.360 2.618 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC[C@H](C)C1 ZINC000843750821 632049577 /nfs/dbraw/zinc/04/95/77/632049577.db2.gz WEWFPFWIEFLGMI-VIFPVBQESA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCCSC1 ZINC000843811732 632060587 /nfs/dbraw/zinc/06/05/87/632060587.db2.gz DWBGJYINHCAGQR-SECBINFHSA-N 0 0 298.339 2.668 20 5 CFBDRN CSC1(CNC(=O)c2c(C)c(F)ccc2[N+](=O)[O-])CC1 ZINC000843873268 632069672 /nfs/dbraw/zinc/06/96/72/632069672.db2.gz XMGSYMWSJZOESR-UHFFFAOYSA-N 0 0 298.339 2.668 20 5 CFBDRN CCC/C=C/C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000843965601 632085357 /nfs/dbraw/zinc/08/53/57/632085357.db2.gz GWZOHSCVEZQAJB-AATRIKPKSA-N 0 0 263.297 2.931 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)/C=C(\C)C1CC1 ZINC000843968939 632086222 /nfs/dbraw/zinc/08/62/22/632086222.db2.gz WPTCBXJSXVXMNX-VQHVLOKHSA-N 0 0 275.308 2.931 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)OCc2cccc([N+](=O)[O-])c2)C1 ZINC000814557830 632091195 /nfs/dbraw/zinc/09/11/95/632091195.db2.gz QBLVZJQZBHUZPK-GXTWGEPZSA-N 0 0 293.319 2.843 20 5 CFBDRN CCSCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000814755626 632117948 /nfs/dbraw/zinc/11/79/48/632117948.db2.gz GYTIIIRLEBPGCR-UHFFFAOYSA-N 0 0 255.295 2.887 20 5 CFBDRN CC[C@H](C)NC(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814775094 632121695 /nfs/dbraw/zinc/12/16/95/632121695.db2.gz FDCSHTXDYIZIGU-QMMMGPOBSA-N 0 0 286.715 2.542 20 5 CFBDRN Cc1cc(COc2cccc(Cl)c2[N+](=O)[O-])ncn1 ZINC000814774593 632121942 /nfs/dbraw/zinc/12/19/42/632121942.db2.gz VEXNQLJOFIJLFD-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1cc(C(=O)OCc2cccc([N+](=O)[O-])c2)c(C)n1C ZINC000844179284 632131886 /nfs/dbraw/zinc/13/18/86/632131886.db2.gz AZCQWYMEOCEAEQ-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000840326685 632142137 /nfs/dbraw/zinc/14/21/37/632142137.db2.gz IZEVOQKGELDKKR-PHIMTYICSA-N 0 0 265.313 2.545 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C(C)C)C2CC2)c(N)c([N+](=O)[O-])c1 ZINC000856126661 635733376 /nfs/dbraw/zinc/73/33/76/635733376.db2.gz ZFHXCCIDHFWTFN-AWEZNQCLSA-N 0 0 291.351 2.650 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H](OC)C2CC2)c1 ZINC000814928043 632169618 /nfs/dbraw/zinc/16/96/18/632169618.db2.gz SXSVESPUTQJMMS-LBPRGKRZSA-N 0 0 284.287 2.579 20 5 CFBDRN COC/C(C)=C/C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000844397205 632193873 /nfs/dbraw/zinc/19/38/73/632193873.db2.gz WBDWCQONNKWVGR-WEVVVXLNSA-N 0 0 299.710 2.884 20 5 CFBDRN C[C@H](OC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000844460439 632219790 /nfs/dbraw/zinc/21/97/90/632219790.db2.gz ZKKMUGVYJUNRKF-QMMMGPOBSA-N 0 0 267.256 2.618 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)c2cc(O)cc([N+](=O)[O-])c2)C1 ZINC000815218794 632231975 /nfs/dbraw/zinc/23/19/75/632231975.db2.gz FTLQUNCUCRXZIH-UFBFGSQYSA-N 0 0 265.265 2.646 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)/C=C(/C)COC ZINC000844509750 632234612 /nfs/dbraw/zinc/23/46/12/632234612.db2.gz BHYYXLGVRUECMX-YFHOEESVSA-N 0 0 294.307 2.525 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000844535062 632240314 /nfs/dbraw/zinc/24/03/14/632240314.db2.gz OJWQIICMQOEEIK-LBPRGKRZSA-N 0 0 280.299 2.581 20 5 CFBDRN COC/C(C)=C\C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000844544401 632241976 /nfs/dbraw/zinc/24/19/76/632241976.db2.gz PTYWYFPRPLGWDS-LUAWRHEFSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000815404680 632267921 /nfs/dbraw/zinc/26/79/21/632267921.db2.gz SQLRDQGUFQWKIG-VIFPVBQESA-N 0 0 299.710 2.892 20 5 CFBDRN CO[C@@H](C)COC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000815405041 632268427 /nfs/dbraw/zinc/26/84/27/632268427.db2.gz HCPDVEANOKWNOP-QMMMGPOBSA-N 0 0 287.699 2.748 20 5 CFBDRN C[C@H](NCc1cccc(F)n1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000815597918 632295719 /nfs/dbraw/zinc/29/57/19/632295719.db2.gz IVMYVDVGXLTZEA-VIFPVBQESA-N 0 0 290.298 2.562 20 5 CFBDRN CCc1nc(C(C)C)ccc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000816097066 632371464 /nfs/dbraw/zinc/37/14/64/632371464.db2.gz SVCIKLBCGGOFIQ-JTQLQIEISA-N 0 0 280.324 2.589 20 5 CFBDRN CCC1(COC(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000816184159 632388768 /nfs/dbraw/zinc/38/87/68/632388768.db2.gz NVUSDZHNPCYGQD-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CCc1nc(SCCn2ccc([N+](=O)[O-])n2)sc1C ZINC000845325802 632410687 /nfs/dbraw/zinc/41/06/87/632410687.db2.gz WBMCIJLFJJZJLU-UHFFFAOYSA-N 0 0 298.393 2.911 20 5 CFBDRN Cn1cc(CSCc2ccc([N+](=O)[O-])c(F)c2)cn1 ZINC000845332594 632412383 /nfs/dbraw/zinc/41/23/83/632412383.db2.gz DKVHIFGAMCBQFS-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN CO[C@H](COC(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000816355262 632426334 /nfs/dbraw/zinc/42/63/34/632426334.db2.gz FIEPLNLWLRKZDR-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CC2(F)F)ccc1[N+](=O)[O-] ZINC000816416685 632448558 /nfs/dbraw/zinc/44/85/58/632448558.db2.gz YMNXUSMFMYZMDZ-VIFPVBQESA-N 0 0 271.219 2.715 20 5 CFBDRN Cc1ccc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000816431896 632456536 /nfs/dbraw/zinc/45/65/36/632456536.db2.gz ZQTONESPKBWRRQ-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN CCc1ccc(C(=O)OCC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000816434903 632458394 /nfs/dbraw/zinc/45/83/94/632458394.db2.gz CQOOAQGKSPZTBY-NSHDSACASA-N 0 0 293.319 2.741 20 5 CFBDRN C[C@H](COC(=O)[C@@H](C)c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000845577019 632468227 /nfs/dbraw/zinc/46/82/27/632468227.db2.gz QKHWACPISDPERZ-BDAKNGLRSA-N 0 0 271.700 2.652 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2)[C@@H](C)CO1 ZINC000845660340 632509399 /nfs/dbraw/zinc/50/93/99/632509399.db2.gz CMNRIRQISGOIOO-GWCFXTLKSA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@H](C)CONC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000816980468 632544244 /nfs/dbraw/zinc/54/42/44/632544244.db2.gz LQXBBOXAYSUSMT-VIFPVBQESA-N 0 0 291.307 2.784 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@]2(CC2(F)F)C1 ZINC000845741498 632547460 /nfs/dbraw/zinc/54/74/60/632547460.db2.gz GBKMOZIDSBVBQR-GFCCVEGCSA-N 0 0 283.278 2.924 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@]2(CC2(F)F)C1 ZINC000845743798 632549025 /nfs/dbraw/zinc/54/90/25/632549025.db2.gz LPMGJWLFKUQUDT-LLVKDONJSA-N 0 0 269.251 2.615 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@]2(CC2(F)F)C1 ZINC000845743440 632549162 /nfs/dbraw/zinc/54/91/62/632549162.db2.gz TWOCTNDPXWZPSS-LLVKDONJSA-N 0 0 269.251 2.615 20 5 CFBDRN Cc1ccc(-c2noc(CCC(C)(C)[N+](=O)[O-])n2)nc1C ZINC000817093555 632555461 /nfs/dbraw/zinc/55/54/61/632555461.db2.gz CJTSNIPKSBCUAJ-UHFFFAOYSA-N 0 0 290.323 2.736 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CC[C@@H]2C[C@@H]2C1)[N+](=O)[O-] ZINC000845767155 632558476 /nfs/dbraw/zinc/55/84/76/632558476.db2.gz BBKYOPXZSQKSQT-UTUOFQBUSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@H](CNc1c(C(N)=O)cccc1[N+](=O)[O-])C(C)(C)C ZINC000817117643 632559300 /nfs/dbraw/zinc/55/93/00/632559300.db2.gz KVWAEERLJSPBOB-SECBINFHSA-N 0 0 279.340 2.788 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000817125807 632561923 /nfs/dbraw/zinc/56/19/23/632561923.db2.gz OKPWALFACNLTKH-WDEREUQCSA-N 0 0 265.313 2.547 20 5 CFBDRN Cc1ccc(N2CCC[C@@H](CCO)CC2)c([N+](=O)[O-])c1 ZINC000817131024 632562757 /nfs/dbraw/zinc/56/27/57/632562757.db2.gz VIFUAIQOZYGHOS-CYBMUJFWSA-N 0 0 278.352 2.892 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC000845804470 632567869 /nfs/dbraw/zinc/56/78/69/632567869.db2.gz KYAXCIKMNPBVIT-JTQLQIEISA-N 0 0 287.337 2.800 20 5 CFBDRN COc1cc(COC(=O)[C@@H]2CC23CC3)c([N+](=O)[O-])cc1F ZINC000817232446 632576973 /nfs/dbraw/zinc/57/69/73/632576973.db2.gz JDJQSRYGMBKQBD-VIFPVBQESA-N 0 0 295.266 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC[C@H]1CCOC1 ZINC000817239110 632580758 /nfs/dbraw/zinc/58/07/58/632580758.db2.gz PPJOJMKJTOXVKG-GFCCVEGCSA-N 0 0 293.319 2.795 20 5 CFBDRN CC(=O)CCCOC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817239882 632581415 /nfs/dbraw/zinc/58/14/15/632581415.db2.gz QOQUQYCIBQLAML-UHFFFAOYSA-N 0 0 279.292 2.738 20 5 CFBDRN CC(C)CCNC(=O)[C@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000106074821 632583850 /nfs/dbraw/zinc/58/38/50/632583850.db2.gz BFVKREHMFRXGBA-JTQLQIEISA-N 0 0 298.314 2.664 20 5 CFBDRN CC(C)OCC(=O)O[C@@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845878235 632590293 /nfs/dbraw/zinc/59/02/93/632590293.db2.gz VLUJQWZJXFCLCD-QMTHXVAHSA-N 0 0 299.298 2.500 20 5 CFBDRN CS/C=C/C(=O)O[C@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845878038 632590437 /nfs/dbraw/zinc/59/04/37/632590437.db2.gz QIXHBPMATCDATM-IMZSQVOUSA-N 0 0 299.323 2.952 20 5 CFBDRN CS/C=C\C(=O)O[C@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845877541 632590452 /nfs/dbraw/zinc/59/04/52/632590452.db2.gz QIXHBPMATCDATM-BZNLFDHESA-N 0 0 299.323 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@H](O)CC12CCC2 ZINC000817292733 632596334 /nfs/dbraw/zinc/59/63/34/632596334.db2.gz VUQTZMWLOZYARP-ZDUSSCGKSA-N 0 0 294.326 2.613 20 5 CFBDRN CSc1cccc(C(=O)NOCCC2CC2)c1[N+](=O)[O-] ZINC000817378838 632617069 /nfs/dbraw/zinc/61/70/69/632617069.db2.gz AKXGSZVAQRWGAX-UHFFFAOYSA-N 0 0 296.348 2.778 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000817423633 632629247 /nfs/dbraw/zinc/62/92/47/632629247.db2.gz PAGVERFRRQYSAK-AXFHLTTASA-N 0 0 291.303 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)cc1Cl ZINC000846112862 632635424 /nfs/dbraw/zinc/63/54/24/632635424.db2.gz TYHKXYZUTSQQQO-DTORHVGOSA-N 0 0 288.681 2.945 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CC12CC2 ZINC000817449337 632638088 /nfs/dbraw/zinc/63/80/88/632638088.db2.gz HFWMUAPIOWZJTH-MRVPVSSYSA-N 0 0 264.256 2.781 20 5 CFBDRN CC(C)[C@@H](c1ccccc1)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840342661 632653438 /nfs/dbraw/zinc/65/34/38/632653438.db2.gz PCYFLWQPEDAPQG-MCIONIFRSA-N 0 0 276.336 2.507 20 5 CFBDRN CN(C(=O)[C@@H]1CC1[N+](=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000840346767 632685979 /nfs/dbraw/zinc/68/59/79/632685979.db2.gz KQYYFMNNXLZJQJ-NXEZZACHSA-N 0 0 289.118 2.621 20 5 CFBDRN CCc1cc(NCc2ccc(C)c([N+](=O)[O-])c2)nn1C ZINC000817709111 632688980 /nfs/dbraw/zinc/68/89/80/632688980.db2.gz KWXPCYQDZCDTGW-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817742949 632700942 /nfs/dbraw/zinc/70/09/42/632700942.db2.gz KRNLRJHVAQZZRW-UHFFFAOYSA-N 0 0 293.319 2.984 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCC(C)(F)F ZINC000818253223 632819787 /nfs/dbraw/zinc/81/97/87/632819787.db2.gz ZMUZZXRHVDZHPE-CLFYSBASSA-N 0 0 284.262 2.770 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCC2(O)CCC2)c1C ZINC000885423628 632869507 /nfs/dbraw/zinc/86/95/07/632869507.db2.gz BEIHHTKGEFDAKR-UHFFFAOYSA-N 0 0 293.319 2.674 20 5 CFBDRN Cc1c(NC(=O)/C=C\[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000819001810 632927139 /nfs/dbraw/zinc/92/71/39/632927139.db2.gz FFGJANDALUQJJH-TVRMLOFPSA-N 0 0 276.292 2.577 20 5 CFBDRN CCCC[C@@H](C(=O)OCCn1cc([N+](=O)[O-])cn1)C(C)C ZINC000856976078 635897220 /nfs/dbraw/zinc/89/72/20/635897220.db2.gz FSDORFOJWNTVOP-CYBMUJFWSA-N 0 0 297.355 2.797 20 5 CFBDRN Cn1cc(COC(=O)CCCCC(C)(C)C)c([N+](=O)[O-])n1 ZINC000847785508 633076117 /nfs/dbraw/zinc/07/61/17/633076117.db2.gz SYWIZJGAMBZSBZ-UHFFFAOYSA-N 0 0 297.355 2.978 20 5 CFBDRN CCCCCC[C@@H](C)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847782678 633076211 /nfs/dbraw/zinc/07/62/11/633076211.db2.gz IKEVHSLFUGYYBP-LLVKDONJSA-N 0 0 297.355 2.978 20 5 CFBDRN Cc1cc(N[C@H](C)C(=O)NC(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000840382491 633149403 /nfs/dbraw/zinc/14/94/03/633149403.db2.gz RAUFGGMVRGSUCJ-SECBINFHSA-N 0 0 299.758 2.882 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000847924831 633150000 /nfs/dbraw/zinc/15/00/00/633150000.db2.gz XXHHBPVHQDFCJD-BPNCWPANSA-N 0 0 293.319 2.809 20 5 CFBDRN CC(C)(CNc1ccc(N)cc1[N+](=O)[O-])c1cccnc1 ZINC000840385197 633166946 /nfs/dbraw/zinc/16/69/46/633166946.db2.gz NSPDYUALEBVSMP-UHFFFAOYSA-N 0 0 286.335 2.962 20 5 CFBDRN C[C@H](NC(=O)/C=C/[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000819461928 633169710 /nfs/dbraw/zinc/16/97/10/633169710.db2.gz GTINRBUEHGOLQS-OMJLJAAMSA-N 0 0 290.319 2.507 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCC(C)(C)CC(F)F ZINC000847993681 633178040 /nfs/dbraw/zinc/17/80/40/633178040.db2.gz QDVSISBGAFHQFM-UHFFFAOYSA-N 0 0 290.266 2.772 20 5 CFBDRN COC(=O)[C@]1(C)CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000840386100 633179171 /nfs/dbraw/zinc/17/91/71/633179171.db2.gz CYESIOXOQBMZMI-CQSZACIVSA-N 0 0 296.298 2.513 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cccnc1Cl ZINC000006330494 633219397 /nfs/dbraw/zinc/21/93/97/633219397.db2.gz MTLAZUTXSVFJBA-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN Cc1nc(CN2c3ccc([N+](=O)[O-])cc3C[C@H]2C)co1 ZINC000848660310 633311682 /nfs/dbraw/zinc/31/16/82/633311682.db2.gz AABXFUITDYZDRG-SECBINFHSA-N 0 0 273.292 2.842 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000848664876 633313053 /nfs/dbraw/zinc/31/30/53/633313053.db2.gz DCDPKTYCBOIXTE-IINYFYTJSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000848664875 633313287 /nfs/dbraw/zinc/31/32/87/633313287.db2.gz DCDPKTYCBOIXTE-HZMBPMFUSA-N 0 0 282.340 2.812 20 5 CFBDRN CC(C)Oc1nc(NC[C@@H]2CCC=CO2)ccc1[N+](=O)[O-] ZINC000848687247 633318063 /nfs/dbraw/zinc/31/80/63/633318063.db2.gz YFTSAIKRMYUUTI-NSHDSACASA-N 0 0 293.323 2.882 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](c2ccccn2)C1 ZINC000848696453 633319456 /nfs/dbraw/zinc/31/94/56/633319456.db2.gz JEZGIYDXEOXLTG-GFCCVEGCSA-N 0 0 299.330 2.992 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(-c2cc([N+](=O)[O-])ccc2N(C)C)n1 ZINC000848710546 633321812 /nfs/dbraw/zinc/32/18/12/633321812.db2.gz SCNIYJSIYJGSNQ-WCBMZHEXSA-N 0 0 288.307 2.834 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(-c2cc([N+](=O)[O-])ccc2N(C)C)n1 ZINC000848710543 633322270 /nfs/dbraw/zinc/32/22/70/633322270.db2.gz SCNIYJSIYJGSNQ-PSASIEDQSA-N 0 0 288.307 2.834 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1Cc3ccncc3C1)CCCC2 ZINC000848726934 633327169 /nfs/dbraw/zinc/32/71/69/633327169.db2.gz KVOILMUKIOABDF-UHFFFAOYSA-N 0 0 296.330 2.784 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC3(CC3(F)F)C2)c(F)c1 ZINC000848743097 633331668 /nfs/dbraw/zinc/33/16/68/633331668.db2.gz NKKAOJQSSPKVPG-UHFFFAOYSA-N 0 0 276.189 2.718 20 5 CFBDRN Cc1cnc(CCNc2ccc(N)cc2[N+](=O)[O-])c(C)c1 ZINC000848755675 633336447 /nfs/dbraw/zinc/33/64/47/633336447.db2.gz AWGNWKCBGZXIIW-UHFFFAOYSA-N 0 0 286.335 2.843 20 5 CFBDRN CNc1nccc(C(=O)O[C@@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000848791326 633343073 /nfs/dbraw/zinc/34/30/73/633343073.db2.gz XVUMALQVZUBWKP-SNVBAGLBSA-N 0 0 293.323 2.767 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1C[C@]1(F)c1ccccc1)[N+](=O)[O-] ZINC000819629681 633404094 /nfs/dbraw/zinc/40/40/94/633404094.db2.gz VIHPCBLOIJNVPY-WFASDCNBSA-N 0 0 294.326 2.575 20 5 CFBDRN Cc1ccc([C@H](C)NC(=S)NCC2CC2)cc1[N+](=O)[O-] ZINC000849259312 633595926 /nfs/dbraw/zinc/59/59/26/633595926.db2.gz YOJIIWPKYVOXBV-JTQLQIEISA-N 0 0 293.392 2.838 20 5 CFBDRN CN(C)c1nnc(S/C=C/c2ccc([N+](=O)[O-])o2)s1 ZINC000108666468 633639696 /nfs/dbraw/zinc/63/96/96/633639696.db2.gz OTTKWNDEFGORFI-AATRIKPKSA-N 0 0 298.349 2.868 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H]2COC(C)(C)C2)cc1[N+](=O)[O-] ZINC000849627293 633645809 /nfs/dbraw/zinc/64/58/09/633645809.db2.gz KVVDTZCBMXFLTR-NSHDSACASA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@H](COC(=O)c1ccc(C)c([N+](=O)[O-])c1)C1CCC1 ZINC000849629202 633646121 /nfs/dbraw/zinc/64/61/21/633646121.db2.gz VILZNLWHFKKTPA-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(C)CC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849628703 633646511 /nfs/dbraw/zinc/64/65/11/633646511.db2.gz RKVDYNAFFQMQRM-UHFFFAOYSA-N 0 0 255.245 2.823 20 5 CFBDRN O=C(OCc1cc(C2CC2)no1)c1ccccc1[N+](=O)[O-] ZINC000849630322 633647222 /nfs/dbraw/zinc/64/72/22/633647222.db2.gz XANUCCWZYKSVTP-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN CC[C@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849648962 633657153 /nfs/dbraw/zinc/65/71/53/633657153.db2.gz PIEFXAIPLFZLKD-QMMMGPOBSA-N 0 0 255.245 2.823 20 5 CFBDRN Cc1sc(C(=O)O[C@@H]2COC(C)(C)C2)cc1[N+](=O)[O-] ZINC000849677768 633672086 /nfs/dbraw/zinc/67/20/86/633672086.db2.gz GYCWGDHBOITTGC-QMMMGPOBSA-N 0 0 285.321 2.689 20 5 CFBDRN CC(C)[C@H](O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000849691914 633675551 /nfs/dbraw/zinc/67/55/51/633675551.db2.gz CXWUKHIFSYAPSC-LLVKDONJSA-N 0 0 293.275 2.515 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCCOCC1 ZINC000849767392 633710277 /nfs/dbraw/zinc/71/02/77/633710277.db2.gz FSGJOSYUXVPAHR-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN CC(C)=CCCOC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000849799220 633724801 /nfs/dbraw/zinc/72/48/01/633724801.db2.gz KWDDRTAMTZJNKT-CYBMUJFWSA-N 0 0 279.292 2.528 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849807165 633729442 /nfs/dbraw/zinc/72/94/42/633729442.db2.gz NZVPCPVJYNMQAX-CYBMUJFWSA-N 0 0 299.298 2.838 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000849811187 633730971 /nfs/dbraw/zinc/73/09/71/633730971.db2.gz ZEOVDTZSJVGSKX-VIFPVBQESA-N 0 0 293.319 2.984 20 5 CFBDRN Cc1cnc(COc2c(C)c(C)ncc2[N+](=O)[O-])s1 ZINC000849907771 633760565 /nfs/dbraw/zinc/76/05/65/633760565.db2.gz ICZWUNJLXVUWTA-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN O=[N+]([O-])c1cc(OCC2CC(F)(F)C2)cc([N+](=O)[O-])c1 ZINC000849956669 633775325 /nfs/dbraw/zinc/77/53/25/633775325.db2.gz QUGVJBFATXEWKP-UHFFFAOYSA-N 0 0 288.206 2.927 20 5 CFBDRN O=[N+]([O-])c1cc(OCCOCC2CCC2)cc([N+](=O)[O-])c1 ZINC000849958526 633776246 /nfs/dbraw/zinc/77/62/46/633776246.db2.gz VVAPLYHLJCUYNY-UHFFFAOYSA-N 0 0 296.279 2.699 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000850039086 633798884 /nfs/dbraw/zinc/79/88/84/633798884.db2.gz UGNVEGMMXNHZEH-CQSZACIVSA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(CCCF)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000850195526 633884290 /nfs/dbraw/zinc/88/42/90/633884290.db2.gz UOSXDTBSJHLWSW-UHFFFAOYSA-N 0 0 282.227 2.529 20 5 CFBDRN O=C(COC(=O)C1CC2(CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000850215706 633898798 /nfs/dbraw/zinc/89/87/98/633898798.db2.gz PJQFXQMWFBKFIH-UHFFFAOYSA-N 0 0 289.287 2.511 20 5 CFBDRN Cc1cc(COC(=O)[C@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000850934941 634143999 /nfs/dbraw/zinc/14/39/99/634143999.db2.gz QBUMAUAYUUAEJW-ITGUQSILSA-N 0 0 275.304 2.993 20 5 CFBDRN C[C@H]([C@@H](OC(=O)CCCF)c1ccccc1F)[N+](=O)[O-] ZINC000851109362 634226495 /nfs/dbraw/zinc/22/64/95/634226495.db2.gz XGRNFQCIECLGIW-NOZJJQNGSA-N 0 0 287.262 2.825 20 5 CFBDRN CC(C)(COC(=O)c1cc2ccccc2o1)[N+](=O)[O-] ZINC000851122442 634234189 /nfs/dbraw/zinc/23/41/89/634234189.db2.gz DHSGMSGKLGSIIP-UHFFFAOYSA-N 0 0 263.249 2.645 20 5 CFBDRN C[C@H](CCc1ccccc1F)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125425 634235427 /nfs/dbraw/zinc/23/54/27/634235427.db2.gz DFIXQMXMTMJOBX-LLVKDONJSA-N 0 0 297.326 2.993 20 5 CFBDRN CC(C)(CC(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000851125566 634235825 /nfs/dbraw/zinc/23/58/25/634235825.db2.gz IGFROLYXKKJTQE-UHFFFAOYSA-N 0 0 279.336 2.953 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1C[C@@H]1c1c(F)cccc1F)[N+](=O)[O-] ZINC000851126322 634236426 /nfs/dbraw/zinc/23/64/26/634236426.db2.gz NAPMALJNXFMEAS-DTWKUNHWSA-N 0 0 299.273 2.667 20 5 CFBDRN C[C@H](Cc1ccccc1F)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126663 634236808 /nfs/dbraw/zinc/23/68/08/634236808.db2.gz PYAHRDLOGWQWCR-SNVBAGLBSA-N 0 0 283.299 2.603 20 5 CFBDRN CC(C)(C)c1nc(C(=O)OCC(C)(C)[N+](=O)[O-])cs1 ZINC000851126517 634236815 /nfs/dbraw/zinc/23/68/15/634236815.db2.gz RAWNRYRCWGZJNF-UHFFFAOYSA-N 0 0 286.353 2.653 20 5 CFBDRN CC[C@H](Cc1ccccc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125949 634236924 /nfs/dbraw/zinc/23/69/24/634236924.db2.gz JFDAKYQYPIZWKN-CYBMUJFWSA-N 0 0 279.336 2.854 20 5 CFBDRN CC(C)(COC(=O)CCCOCc1ccccc1)[N+](=O)[O-] ZINC000851126746 634236933 /nfs/dbraw/zinc/23/69/33/634236933.db2.gz UVOJWUWZZABAHZ-UHFFFAOYSA-N 0 0 295.335 2.582 20 5 CFBDRN Cc1ccc2cc(C(=O)OCC(C)(C)[N+](=O)[O-])ccc2n1 ZINC000851127823 634237226 /nfs/dbraw/zinc/23/72/26/634237226.db2.gz XVODYAJXYICJOQ-UHFFFAOYSA-N 0 0 288.303 2.755 20 5 CFBDRN CC(C)(COC(=O)C1(C2CCC2)CCC1)[N+](=O)[O-] ZINC000851128834 634238523 /nfs/dbraw/zinc/23/85/23/634238523.db2.gz GHCRPBKHBNVJQL-UHFFFAOYSA-N 0 0 255.314 2.555 20 5 CFBDRN CC(C)(COC(=O)c1cnc2c(F)cccc2c1)[N+](=O)[O-] ZINC000851129053 634238618 /nfs/dbraw/zinc/23/86/18/634238618.db2.gz GRAMBTBKOHTXIK-UHFFFAOYSA-N 0 0 292.266 2.586 20 5 CFBDRN CC(C)(COC(=O)c1cccc2c1OC(C)(C)C2)[N+](=O)[O-] ZINC000851128848 634238913 /nfs/dbraw/zinc/23/89/13/634238913.db2.gz CCMHHMBDEDIGNE-UHFFFAOYSA-N 0 0 293.319 2.612 20 5 CFBDRN Cc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])c(F)c1Cl ZINC000851130542 634239535 /nfs/dbraw/zinc/23/95/35/634239535.db2.gz YNMPKINFCKHNHT-UHFFFAOYSA-N 0 0 289.690 3.000 20 5 CFBDRN CC(C)(COC(=O)CC(C)(C)C(F)(F)F)[N+](=O)[O-] ZINC000851130073 634239800 /nfs/dbraw/zinc/23/98/00/634239800.db2.gz QQQRYAPRARDHCO-UHFFFAOYSA-N 0 0 271.235 2.564 20 5 CFBDRN C[C@]1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1(F)F ZINC000851261743 634298187 /nfs/dbraw/zinc/29/81/87/634298187.db2.gz JCJWRWUDOHNZJQ-LLVKDONJSA-N 0 0 289.209 2.936 20 5 CFBDRN C[C@@]1(COC(=O)Cc2ccccc2[N+](=O)[O-])CC1(F)F ZINC000851267638 634300579 /nfs/dbraw/zinc/30/05/79/634300579.db2.gz QZZWKLJKEDXXMW-LBPRGKRZSA-N 0 0 285.246 2.726 20 5 CFBDRN O=C(OC[C@H]1CCC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000851312217 634319081 /nfs/dbraw/zinc/31/90/81/634319081.db2.gz PUFVLVDMAGAWJF-SECBINFHSA-N 0 0 271.219 2.797 20 5 CFBDRN O=C(OCC[C@H]1CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000851377874 634347752 /nfs/dbraw/zinc/34/77/52/634347752.db2.gz XITDWUMYVJRFGN-QMMMGPOBSA-N 0 0 271.219 2.797 20 5 CFBDRN COc1ccc(C(=O)OC[C@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000851417418 634359418 /nfs/dbraw/zinc/35/94/18/634359418.db2.gz YIYQYUWFJDCVAN-WLLOZRIZSA-N 0 0 291.303 2.806 20 5 CFBDRN CCC[C@@H]1CCCC[C@H]1OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000851453774 634369705 /nfs/dbraw/zinc/36/97/05/634369705.db2.gz TVFVSYVRYGXOIK-DGCLKSJQSA-N 0 0 295.339 2.693 20 5 CFBDRN CCC[C@@H]1CCCC[C@@H]1OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000851453776 634370842 /nfs/dbraw/zinc/37/08/42/634370842.db2.gz TVFVSYVRYGXOIK-YPMHNXCESA-N 0 0 295.339 2.693 20 5 CFBDRN CC1CC(COC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000851462326 634375030 /nfs/dbraw/zinc/37/50/30/634375030.db2.gz KWMMRODTXSIWIM-UHFFFAOYSA-N 0 0 279.292 2.563 20 5 CFBDRN Cc1noc(COc2ccc([N+](=O)[O-])c(C)c2)c1[N+](=O)[O-] ZINC000853015489 634876770 /nfs/dbraw/zinc/87/67/70/634876770.db2.gz ORIZJIASHYFMGV-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN CCSCCSCc1onc(C)c1[N+](=O)[O-] ZINC000853020056 634877545 /nfs/dbraw/zinc/87/75/45/634877545.db2.gz ULFFLSNGSVBMBK-UHFFFAOYSA-N 0 0 262.356 2.878 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2C[C@H]3C[C@H]3C2)c(Br)n1 ZINC000853022223 634879654 /nfs/dbraw/zinc/87/96/54/634879654.db2.gz FISPMNRMNWUWEY-RNLVFQAGSA-N 0 0 286.129 2.600 20 5 CFBDRN O=C([O-])C1=CC[C@@H]([NH2+]Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000853039825 634886512 /nfs/dbraw/zinc/88/65/12/634886512.db2.gz RDSZDUGHBYASFI-SNVBAGLBSA-N 0 0 296.710 2.511 20 5 CFBDRN Cc1noc(CNc2cccc([N+](=O)[O-])c2C)c1[N+](=O)[O-] ZINC000853055431 634891858 /nfs/dbraw/zinc/89/18/58/634891858.db2.gz MVKVNTCQUPZHLQ-UHFFFAOYSA-N 0 0 292.251 2.720 20 5 CFBDRN COc1cc(NC[C@@H](O)c2ccco2)c([N+](=O)[O-])cc1C ZINC000853155343 634920860 /nfs/dbraw/zinc/92/08/60/634920860.db2.gz LKNUXUNBXSDWRM-GFCCVEGCSA-N 0 0 292.291 2.650 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)c(C)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000853312914 634965245 /nfs/dbraw/zinc/96/52/45/634965245.db2.gz AEFBYFJJWOCIQI-VXGBXAGGSA-N 0 0 294.351 2.916 20 5 CFBDRN COc1cc(N2CC[C@@]3(CCOC3)C2)c([N+](=O)[O-])cc1C ZINC000853442115 635001435 /nfs/dbraw/zinc/00/14/35/635001435.db2.gz JQMIKUNYEVQZRH-OAHLLOKOSA-N 0 0 292.335 2.529 20 5 CFBDRN CC1(C)C[C@@H](Oc2cc(F)ccc2[N+](=O)[O-])CO1 ZINC000853636361 635055839 /nfs/dbraw/zinc/05/58/39/635055839.db2.gz CDJBTISPALNZRI-SECBINFHSA-N 0 0 255.245 2.680 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H]2C(F)F)c1 ZINC000853650485 635061302 /nfs/dbraw/zinc/06/13/02/635061302.db2.gz ROZANDFTVXJESP-QMMMGPOBSA-N 0 0 276.214 2.586 20 5 CFBDRN COc1cc(N2CC[C@@H](OC)[C@@H](C)C2)c([N+](=O)[O-])cc1C ZINC000853665309 635067319 /nfs/dbraw/zinc/06/73/19/635067319.db2.gz ZLVBOCCDLHJSNG-SMDDNHRTSA-N 0 0 294.351 2.773 20 5 CFBDRN CCc1cccc(C=O)c1OCc1onc(C)c1[N+](=O)[O-] ZINC000853731061 635087286 /nfs/dbraw/zinc/08/72/86/635087286.db2.gz SNLQWXAVKDBJGS-UHFFFAOYSA-N 0 0 290.275 2.845 20 5 CFBDRN C[C@H]1C[C@H](COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCO1 ZINC000853740199 635090147 /nfs/dbraw/zinc/09/01/47/635090147.db2.gz UIYCKOSTXNVWJG-VHSXEESVSA-N 0 0 296.279 2.697 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(c1c(F)cc([N+](=O)[O-])cc1F)C2 ZINC000853812571 635114156 /nfs/dbraw/zinc/11/41/56/635114156.db2.gz NHOFKJCJAJHVGH-OKILXGFUSA-N 0 0 298.289 2.736 20 5 CFBDRN COCC1(C2CCC2)CN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000853811567 635114493 /nfs/dbraw/zinc/11/44/93/635114493.db2.gz JFCCYIQNWLLRKC-UHFFFAOYSA-N 0 0 276.336 2.848 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CC1=CCCOC1 ZINC000853836389 635122821 /nfs/dbraw/zinc/12/28/21/635122821.db2.gz HKWZNKXKAHWCMZ-UHFFFAOYSA-N 0 0 292.335 2.524 20 5 CFBDRN Cc1ccnc(N[C@@H]2C[C@H](O)c3ccccc32)c1[N+](=O)[O-] ZINC000853837980 635124473 /nfs/dbraw/zinc/12/44/73/635124473.db2.gz IIXVIZRYNSMPHW-OLZOCXBDSA-N 0 0 285.303 2.889 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@@H](CCF)C2)c1 ZINC000853855512 635133899 /nfs/dbraw/zinc/13/38/99/635133899.db2.gz VOVGRWJZQKZNAW-JTQLQIEISA-N 0 0 267.304 2.753 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@@H](CCF)C2)nc2ccccn21 ZINC000853855273 635134208 /nfs/dbraw/zinc/13/42/08/635134208.db2.gz RVLQHOOHAXLVQE-NSHDSACASA-N 0 0 292.314 2.819 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@H](CCF)C2)c1 ZINC000853855513 635134329 /nfs/dbraw/zinc/13/43/29/635134329.db2.gz VOVGRWJZQKZNAW-SNVBAGLBSA-N 0 0 267.304 2.753 20 5 CFBDRN O=C(OCC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000111678163 635137086 /nfs/dbraw/zinc/13/70/86/635137086.db2.gz BHGSCKMXNASQCD-UHFFFAOYSA-N 0 0 255.657 2.815 20 5 CFBDRN C[C@@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000853872371 635143202 /nfs/dbraw/zinc/14/32/02/635143202.db2.gz QZASYYQVWDORTI-BDAKNGLRSA-N 0 0 286.278 2.877 20 5 CFBDRN Cc1noc(COC(=O)[C@@H](C)c2cccs2)c1[N+](=O)[O-] ZINC000853898569 635150659 /nfs/dbraw/zinc/15/06/59/635150659.db2.gz FLODXWYDZZBMMZ-ZETCQYMHSA-N 0 0 296.304 2.800 20 5 CFBDRN C/C(=C/C(=O)OCc1onc(C)c1[N+](=O)[O-])C(C)(C)C ZINC000853901395 635152796 /nfs/dbraw/zinc/15/27/96/635152796.db2.gz ABOFLTAKPHODEC-VURMDHGXSA-N 0 0 282.296 2.927 20 5 CFBDRN CC1(C)[C@H](CNc2ccc([N+](=O)[O-])c3nonc32)C1(F)F ZINC000853904291 635154885 /nfs/dbraw/zinc/15/48/85/635154885.db2.gz MYFGYWBSMFHWIM-QMMMGPOBSA-N 0 0 298.249 2.834 20 5 CFBDRN Cc1noc(COC(=O)CCCC(F)(F)F)c1[N+](=O)[O-] ZINC000853908581 635157876 /nfs/dbraw/zinc/15/78/76/635157876.db2.gz SGMGOWHETMIEPC-UHFFFAOYSA-N 0 0 296.201 2.667 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3COC[C@H]3C2)c(Cl)c1 ZINC000853933517 635169487 /nfs/dbraw/zinc/16/94/87/635169487.db2.gz FPKOLCXHLNHAHQ-NXEZZACHSA-N 0 0 282.727 2.721 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@](C)(C(F)F)O1 ZINC000853999859 635188976 /nfs/dbraw/zinc/18/89/76/635188976.db2.gz QLXHUVDEVDVWFY-TVQRCGJNSA-N 0 0 286.278 2.844 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@](C)(C(F)F)O1 ZINC000853999857 635189380 /nfs/dbraw/zinc/18/93/80/635189380.db2.gz QLXHUVDEVDVWFY-NOZJJQNGSA-N 0 0 286.278 2.844 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000111972595 635239953 /nfs/dbraw/zinc/23/99/53/635239953.db2.gz AEFTUNOYAGDKNA-CHWSQXEVSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2COCC2(C)C)cc1[N+](=O)[O-] ZINC000854326202 635291100 /nfs/dbraw/zinc/29/11/00/635291100.db2.gz AFVGGZJZPNMDSO-UHFFFAOYSA-N 0 0 298.726 2.765 20 5 CFBDRN CS[C@@H](C)CCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496277 635317481 /nfs/dbraw/zinc/31/74/81/635317481.db2.gz CXXFVTFJMNOUEM-QMMMGPOBSA-N 0 0 283.353 2.647 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854496268 635317770 /nfs/dbraw/zinc/31/77/70/635317770.db2.gz CUDQEGKWZRECJN-SECBINFHSA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C[C@H]2C[C@H]2C1 ZINC000854495844 635317788 /nfs/dbraw/zinc/31/77/88/635317788.db2.gz VXVXIEQHXHUSAM-QRJZZWFUSA-N 0 0 275.308 2.552 20 5 CFBDRN CCCC1(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000854496404 635317849 /nfs/dbraw/zinc/31/78/49/635317849.db2.gz FNJAMBXCNGYOKD-UHFFFAOYSA-N 0 0 263.297 2.696 20 5 CFBDRN C[C@H]1CC/C(=C\C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497591 635318475 /nfs/dbraw/zinc/31/84/75/635318475.db2.gz RNKNJPNGJRILJW-HZAKCSEPSA-N 0 0 275.308 2.862 20 5 CFBDRN C[C@H]1CC[C@H](CC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497416 635318508 /nfs/dbraw/zinc/31/85/08/635318508.db2.gz NTEXHKZPKFPBFQ-UWVGGRQHSA-N 0 0 277.324 2.942 20 5 CFBDRN CC1=C(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CCC1 ZINC000854497460 635318937 /nfs/dbraw/zinc/31/89/37/635318937.db2.gz PKHRJANNXTVBOZ-UHFFFAOYSA-N 0 0 261.281 2.616 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497305 635318985 /nfs/dbraw/zinc/31/89/85/635318985.db2.gz MZDSBVZBZZCDRD-DTWKUNHWSA-N 0 0 263.297 2.552 20 5 CFBDRN CO[C@@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000854679016 635363540 /nfs/dbraw/zinc/36/35/40/635363540.db2.gz PMZTWPDIDXNHFZ-IINYFYTJSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C=CCC1 ZINC000855418439 635535471 /nfs/dbraw/zinc/53/54/71/635535471.db2.gz BPJSVBJYKCWHDK-WDEREUQCSA-N 0 0 292.360 2.910 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000855428959 635541920 /nfs/dbraw/zinc/54/19/20/635541920.db2.gz AILOWQZVFSDZNB-QMMMGPOBSA-N 0 0 298.245 2.645 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H]1C=CCC1 ZINC000855429340 635541978 /nfs/dbraw/zinc/54/19/78/635541978.db2.gz FLAYNQKBBYGIQG-LBPRGKRZSA-N 0 0 274.320 2.968 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000855429447 635542831 /nfs/dbraw/zinc/54/28/31/635542831.db2.gz IAYCMRJGZDLUFI-SNVBAGLBSA-N 0 0 282.246 2.521 20 5 CFBDRN C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000113465032 635586778 /nfs/dbraw/zinc/58/67/78/635586778.db2.gz WJKRRIXMLPEGRC-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN Cc1cc(C)cc(CNC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000855614433 635600969 /nfs/dbraw/zinc/60/09/69/635600969.db2.gz JEICIHKWDZOHNM-UHFFFAOYSA-N 0 0 278.352 2.755 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc([N+](=O)[O-])ccc1F ZINC000855773990 635640103 /nfs/dbraw/zinc/64/01/03/635640103.db2.gz RMXWIMZKUMMOKL-SCVCMEIPSA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1cc(C(=O)OCCc2cccnc2)cc([N+](=O)[O-])c1 ZINC000113664596 635646369 /nfs/dbraw/zinc/64/63/69/635646369.db2.gz XSYDLHICFXNZLC-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN C[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1CCC(F)CC1 ZINC000856080270 635719996 /nfs/dbraw/zinc/71/99/96/635719996.db2.gz IBOHEMBXWUTCOX-UNXYVOJBSA-N 0 0 288.363 2.855 20 5 CFBDRN O=C(NOCC1CCC1)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000856346486 635788808 /nfs/dbraw/zinc/78/88/08/635788808.db2.gz BXMABAHZNOPKHD-UHFFFAOYSA-N 0 0 289.291 2.538 20 5 CFBDRN C/C(=C/C(=O)OCC(C)(C)[N+](=O)[O-])c1cccs1 ZINC000857036439 635913208 /nfs/dbraw/zinc/91/32/08/635913208.db2.gz JEDMCVAUNZJZQS-CLFYSBASSA-N 0 0 269.322 2.750 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC000857573002 635985373 /nfs/dbraw/zinc/98/53/73/635985373.db2.gz NQVVZOMHMBKQDA-NWDGAFQWSA-N 0 0 293.319 2.932 20 5 CFBDRN CO[C@@H](C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-])C1CCC1 ZINC000857573090 635985492 /nfs/dbraw/zinc/98/54/92/635985492.db2.gz RLZMZRHZHFAQPI-CQSZACIVSA-N 0 0 293.319 2.932 20 5 CFBDRN O=C(CCCF)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000857575076 635985982 /nfs/dbraw/zinc/98/59/82/635985982.db2.gz IWKMFBFHFNXGTK-UHFFFAOYSA-N 0 0 262.187 2.561 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)C1 ZINC000857618707 635996101 /nfs/dbraw/zinc/99/61/01/635996101.db2.gz UMPNMHIYVZAZRI-UWVGGRQHSA-N 0 0 296.298 2.796 20 5 CFBDRN CC(C)=C(C)C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857637210 635999792 /nfs/dbraw/zinc/99/97/92/635999792.db2.gz YDJDHGRYZJVGDA-UHFFFAOYSA-N 0 0 280.236 2.765 20 5 CFBDRN CO[C@@H](C)CC(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000857664363 636005662 /nfs/dbraw/zinc/00/56/62/636005662.db2.gz BNUYGAMZYUYWPP-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000857682447 636007699 /nfs/dbraw/zinc/00/76/99/636007699.db2.gz CULCDTNFLWIUSE-MRVPVSSYSA-N 0 0 298.289 2.991 20 5 CFBDRN CC1CC(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000857690127 636009245 /nfs/dbraw/zinc/00/92/45/636009245.db2.gz QKPNPEBSNCWJEU-DAXSKMNVSA-N 0 0 274.320 2.770 20 5 CFBDRN Cc1c(CN[C@H]2CCCc3c[nH]nc32)cccc1[N+](=O)[O-] ZINC000857864683 636038867 /nfs/dbraw/zinc/03/88/67/636038867.db2.gz JPOBLPPDXANDDP-ZDUSSCGKSA-N 0 0 286.335 2.794 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc2ccccc21)N1CCC(=O)CC1 ZINC000858292505 636178313 /nfs/dbraw/zinc/17/83/13/636178313.db2.gz AOVULWIWQIOBRE-UHFFFAOYSA-N 0 0 298.298 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(CCCCNc2nccnc2F)cc1 ZINC000858420774 636230625 /nfs/dbraw/zinc/23/06/25/636230625.db2.gz SOVWHUBHXYEUNS-UHFFFAOYSA-N 0 0 290.298 2.959 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000159073140 650246691 /nfs/dbraw/zinc/24/66/91/650246691.db2.gz YROBRBOYPOPFTL-SFYZADRCSA-N 0 0 268.700 2.634 20 5 CFBDRN Cc1ccnc(NCC(F)(F)Br)c1[N+](=O)[O-] ZINC000858645747 636316143 /nfs/dbraw/zinc/31/61/43/636316143.db2.gz VWXLEJLVKQXRPU-UHFFFAOYSA-N 0 0 296.071 2.698 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(S[C@H]2COC(C)(C)C2)n1 ZINC000858676525 636322714 /nfs/dbraw/zinc/32/27/14/636322714.db2.gz HMDAZHIJGHITLR-SECBINFHSA-N 0 0 268.338 2.958 20 5 CFBDRN CCO[C@@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CC1 ZINC000858773793 636343004 /nfs/dbraw/zinc/34/30/04/636343004.db2.gz LZIYAKJYVPXDSL-LLVKDONJSA-N 0 0 283.328 2.545 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000858775749 636344129 /nfs/dbraw/zinc/34/41/29/636344129.db2.gz HSDIBJJMYHGLMT-YDEXJTGXSA-N 0 0 295.295 2.727 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000858775747 636344342 /nfs/dbraw/zinc/34/43/42/636344342.db2.gz HSDIBJJMYHGLMT-NMSKTSORSA-N 0 0 295.295 2.727 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000858808497 636349160 /nfs/dbraw/zinc/34/91/60/636349160.db2.gz HPHMKWCVLZKOFU-IAQYHMDHSA-N 0 0 290.319 2.777 20 5 CFBDRN C[C@@H]1C[C@H](CO)CCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000858836597 636354152 /nfs/dbraw/zinc/35/41/52/636354152.db2.gz DOVJABBHLPBKMW-NXEZZACHSA-N 0 0 284.743 2.845 20 5 CFBDRN CN(OCC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000858870047 636364899 /nfs/dbraw/zinc/36/48/99/636364899.db2.gz SALDZSOZJUQQRT-UHFFFAOYSA-N 0 0 250.176 2.525 20 5 CFBDRN CN(OCC(F)(F)F)c1c(F)cc([N+](=O)[O-])cc1F ZINC000858869455 636365394 /nfs/dbraw/zinc/36/53/94/636365394.db2.gz IIEGEHNBWBHVHK-UHFFFAOYSA-N 0 0 286.156 2.803 20 5 CFBDRN CCc1ccc(OC(=O)OCCF)c([N+](=O)[O-])c1 ZINC000858988973 636403068 /nfs/dbraw/zinc/40/30/68/636403068.db2.gz TTXBFPJIIQKWFX-UHFFFAOYSA-N 0 0 257.217 2.642 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)OCCF)cc1[N+](=O)[O-] ZINC000859055483 636429271 /nfs/dbraw/zinc/42/92/71/636429271.db2.gz KOIHXDBMLDXRAJ-SECBINFHSA-N 0 0 270.260 2.660 20 5 CFBDRN CCO[C@@H](COC(=O)c1c(C)cccc1[N+](=O)[O-])C1CC1 ZINC000859462888 636571926 /nfs/dbraw/zinc/57/19/26/636571926.db2.gz UUGLIYGOKJDKKH-ZDUSSCGKSA-N 0 0 293.319 2.875 20 5 CFBDRN CO[C@@]1(C)C[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])C1(C)C ZINC000159576992 650267414 /nfs/dbraw/zinc/26/74/14/650267414.db2.gz OIRDGSSLZWKXNA-DOMZBBRYSA-N 0 0 293.319 2.955 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000859505609 636583882 /nfs/dbraw/zinc/58/38/82/636583882.db2.gz BIBWOANXLKGKEA-YUMQZZPRSA-N 0 0 253.229 2.547 20 5 CFBDRN CCO[C@@H](COC(=O)c1cc(C)cc([N+](=O)[O-])c1)C1CC1 ZINC000859523195 636588398 /nfs/dbraw/zinc/58/83/98/636588398.db2.gz QAYWDCRHOWSSDE-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000859664595 636644815 /nfs/dbraw/zinc/64/48/15/636644815.db2.gz ICOYIWZVTGJPPY-PSASIEDQSA-N 0 0 283.711 2.990 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@@H]1c1cccs1 ZINC000048619771 650272950 /nfs/dbraw/zinc/27/29/50/650272950.db2.gz FYLLSDVBBJQBNZ-SNVBAGLBSA-N 0 0 278.337 2.647 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000859762563 636682880 /nfs/dbraw/zinc/68/28/80/636682880.db2.gz GVIADSUAMRWEPV-LBPRGKRZSA-N 0 0 299.298 2.574 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000859762350 636682912 /nfs/dbraw/zinc/68/29/12/636682912.db2.gz BYSMESNSCYWOQV-ZDUSSCGKSA-N 0 0 295.335 2.744 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000859762562 636683211 /nfs/dbraw/zinc/68/32/11/636683211.db2.gz GVIADSUAMRWEPV-GFCCVEGCSA-N 0 0 299.298 2.574 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000859767897 636686190 /nfs/dbraw/zinc/68/61/90/636686190.db2.gz ZMXYOROUJKJXJT-ZWNOBZJWSA-N 0 0 295.335 2.996 20 5 CFBDRN CCC[C@@H](OCC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000859840542 636720780 /nfs/dbraw/zinc/72/07/80/636720780.db2.gz XRPQCRUZRLYYLD-CYBMUJFWSA-N 0 0 299.298 2.982 20 5 CFBDRN CCC[C@@H](OCC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000859841148 636721333 /nfs/dbraw/zinc/72/13/33/636721333.db2.gz XEXPARMKBHZVDC-CYBMUJFWSA-N 0 0 299.298 2.982 20 5 CFBDRN CCO[C@@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C(C)C ZINC000860368631 636867824 /nfs/dbraw/zinc/86/78/24/636867824.db2.gz FZVLTJHCUFTMPM-CYBMUJFWSA-N 0 0 299.298 2.838 20 5 CFBDRN CC(C)C1CN(C(=O)c2cccc([N+](=O)[O-])c2Cl)C1 ZINC000860385434 636872647 /nfs/dbraw/zinc/87/26/47/636872647.db2.gz DQLUDGCLSRHRGF-UHFFFAOYSA-N 0 0 282.727 2.976 20 5 CFBDRN CCO[C@@H](C(=O)Oc1ccc(C)cc1[N+](=O)[O-])C1CC1 ZINC000860476152 636893583 /nfs/dbraw/zinc/89/35/83/636893583.db2.gz CUYGDXXGSLMOHQ-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1c(OC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000860479975 636893710 /nfs/dbraw/zinc/89/37/10/636893710.db2.gz YFHRADABFDFDFS-ZMZKGCHWSA-N 0 0 293.319 2.868 20 5 CFBDRN CCO[C@@H](C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000860507702 636900817 /nfs/dbraw/zinc/90/08/17/636900817.db2.gz XSXPOWXJNODKTN-GFCCVEGCSA-N 0 0 299.710 2.969 20 5 CFBDRN CSc1ccc(/C=C/C(=O)OC[C@@H](C)[N+](=O)[O-])s1 ZINC000860776664 636969102 /nfs/dbraw/zinc/96/91/02/636969102.db2.gz GKEYLNJKXRCJIF-RYEJSQLPSA-N 0 0 287.362 2.692 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1coc2cc(Cl)ccc12 ZINC000860787103 636971956 /nfs/dbraw/zinc/97/19/56/636971956.db2.gz JDMIEWQVVNBWQF-QMMMGPOBSA-N 0 0 297.694 2.837 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H]1CC[C@H]1c1ccc(F)cc1 ZINC000860786613 636972228 /nfs/dbraw/zinc/97/22/28/636972228.db2.gz FSXJYLXESUOHTG-ICCXJUOJSA-N 0 0 281.283 2.528 20 5 CFBDRN C[C@H](CSc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860914832 637015390 /nfs/dbraw/zinc/01/53/90/637015390.db2.gz IFCSBLACUZQBDB-LLVKDONJSA-N 0 0 283.349 2.625 20 5 CFBDRN COc1cc2ccccc2cc1C(=O)OCCC[N+](=O)[O-] ZINC000860913170 637015517 /nfs/dbraw/zinc/01/55/17/637015517.db2.gz RVXYWHMKEACHAL-UHFFFAOYSA-N 0 0 289.287 2.672 20 5 CFBDRN CC(C)COc1ccc(C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860912624 637015631 /nfs/dbraw/zinc/01/56/31/637015631.db2.gz UYZONOKMUJKDMP-UHFFFAOYSA-N 0 0 281.308 2.545 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc(Cl)sc1Cl ZINC000860912269 637015645 /nfs/dbraw/zinc/01/56/45/637015645.db2.gz JXGFCYBSPYKRAG-UHFFFAOYSA-N 0 0 284.120 2.879 20 5 CFBDRN C[C@H](Cc1ccccc1Cl)C(=O)OCCC[N+](=O)[O-] ZINC000860916975 637016054 /nfs/dbraw/zinc/01/60/54/637016054.db2.gz CVJWLGDYPWZIFM-SNVBAGLBSA-N 0 0 285.727 2.729 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1sccc1SC(F)F ZINC000860916073 637016170 /nfs/dbraw/zinc/01/61/70/637016170.db2.gz MJVWFWMWQMRGDZ-UHFFFAOYSA-N 0 0 297.304 2.886 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])/C(F)=C/C1CCCCC1 ZINC000860919358 637016944 /nfs/dbraw/zinc/01/69/44/637016944.db2.gz XKUMPISHBSABRZ-LUAWRHEFSA-N 0 0 259.277 2.630 20 5 CFBDRN Cc1ccc(C2CC2)c(C(=O)OCCC[N+](=O)[O-])c1 ZINC000860918874 637016989 /nfs/dbraw/zinc/01/69/89/637016989.db2.gz FKQGNKKIAOHKGN-UHFFFAOYSA-N 0 0 263.293 2.696 20 5 CFBDRN CC[C@@H](Cc1ccccc1C)C(=O)OCCC[N+](=O)[O-] ZINC000860918647 637017066 /nfs/dbraw/zinc/01/70/66/637017066.db2.gz BQXCTTYAJMHDKO-ZDUSSCGKSA-N 0 0 279.336 2.774 20 5 CFBDRN CC1(C)CCC[C@H](CC(=O)OCCC[N+](=O)[O-])C1 ZINC000860917898 637017083 /nfs/dbraw/zinc/01/70/83/637017083.db2.gz VUECKUPKOXUQGE-LLVKDONJSA-N 0 0 257.330 2.803 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1coc2ccc(Cl)cc12 ZINC000860919638 637017105 /nfs/dbraw/zinc/01/71/05/637017105.db2.gz SLQDEYIBKDTMOW-UHFFFAOYSA-N 0 0 283.667 2.910 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000860919308 637017178 /nfs/dbraw/zinc/01/71/78/637017178.db2.gz WONQULIUCQLOLI-ZIAGYGMSSA-N 0 0 277.320 2.780 20 5 CFBDRN C/C(=C\c1ccc(C)cc1)C(=O)OCCC[N+](=O)[O-] ZINC000860919966 637017187 /nfs/dbraw/zinc/01/71/87/637017187.db2.gz ORZJBQADTUFPSI-ZRDIBKRKSA-N 0 0 263.293 2.608 20 5 CFBDRN Cc1ccc(C(=O)OCCC[N+](=O)[O-])c2ccccc12 ZINC000860918878 637017194 /nfs/dbraw/zinc/01/71/94/637017194.db2.gz FLWXFPPABWRLRD-UHFFFAOYSA-N 0 0 273.288 2.972 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@H]1CC[C@H](C2CCC2)CC1 ZINC000860922801 637017959 /nfs/dbraw/zinc/01/79/59/637017959.db2.gz YBQZXTOCWRSCMQ-JOCQHMNTSA-N 0 0 269.341 2.803 20 5 CFBDRN O=C(CCC1CCCCCC1)OCCC[N+](=O)[O-] ZINC000860922096 637017981 /nfs/dbraw/zinc/01/79/81/637017981.db2.gz HNARJRMMWQKDEG-UHFFFAOYSA-N 0 0 257.330 2.947 20 5 CFBDRN CC(C)(C)c1cccc(C(=O)OCCC[N+](=O)[O-])c1 ZINC000860922153 637018026 /nfs/dbraw/zinc/01/80/26/637018026.db2.gz JFXLQYGVYJQUNB-UHFFFAOYSA-N 0 0 265.309 2.808 20 5 CFBDRN CCc1oc2ccccc2c1CC(=O)OCCC[N+](=O)[O-] ZINC000860923162 637018966 /nfs/dbraw/zinc/01/89/66/637018966.db2.gz PEPZENUUTSDPAI-UHFFFAOYSA-N 0 0 291.303 2.748 20 5 CFBDRN CCOCCC(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000118296124 637201308 /nfs/dbraw/zinc/20/13/08/637201308.db2.gz ARZNCHINOMKFRU-UHFFFAOYSA-N 0 0 287.699 2.889 20 5 CFBDRN CCO[C@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000861847791 637244801 /nfs/dbraw/zinc/24/48/01/637244801.db2.gz CQAQFXKKMKNDCC-HZMBPMFUSA-N 0 0 292.335 2.587 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC[C@@H]1C1CC1 ZINC000861875834 637248602 /nfs/dbraw/zinc/24/86/02/637248602.db2.gz USCBQZWAFWUCQY-SNVBAGLBSA-N 0 0 280.711 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC2(C1)CCCO2 ZINC000862484581 637399418 /nfs/dbraw/zinc/39/94/18/637399418.db2.gz VLEQNUDCCCDKFS-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N(C1CC1)C1CC1 ZINC000862852945 637509393 /nfs/dbraw/zinc/50/93/93/637509393.db2.gz YWUMGXNTXLKHLY-UHFFFAOYSA-N 0 0 264.256 2.501 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cc(C)ccc1C ZINC000863272484 637612598 /nfs/dbraw/zinc/61/25/98/637612598.db2.gz AQINYIDLAQQZSN-HZSPNIEDSA-N 0 0 276.336 2.536 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1C[C@@H]1C[C@H]1C ZINC000863295343 637620244 /nfs/dbraw/zinc/62/02/44/637620244.db2.gz QUKMMOBZAICNLT-VDTYLAMSSA-N 0 0 274.118 2.518 20 5 CFBDRN CCn1ncc(CSCc2ccc([N+](=O)[O-])cc2)n1 ZINC000863314272 637624062 /nfs/dbraw/zinc/62/40/62/637624062.db2.gz ZRSRHSOEIIGUCT-UHFFFAOYSA-N 0 0 278.337 2.640 20 5 CFBDRN CCSCn1cc([N+](=O)[O-])c(-c2ccc(F)cn2)n1 ZINC000863343645 637633034 /nfs/dbraw/zinc/63/30/34/637633034.db2.gz GIHDXTFJBAYZRE-UHFFFAOYSA-N 0 0 282.300 2.703 20 5 CFBDRN Nc1nc(SCCC(F)=C(F)F)ncc1[N+](=O)[O-] ZINC000863343638 637633043 /nfs/dbraw/zinc/63/30/43/637633043.db2.gz FTQLTTWFQHTVOF-UHFFFAOYSA-N 0 0 280.231 2.527 20 5 CFBDRN C[C@H]1C[C@H]1COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000863347866 637635206 /nfs/dbraw/zinc/63/52/06/637635206.db2.gz URIZXFSYYWDFHS-YUMQZZPRSA-N 0 0 252.226 2.538 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)c2ccns2)cc1[N+](=O)[O-] ZINC000863606104 637709627 /nfs/dbraw/zinc/70/96/27/637709627.db2.gz PNGKHZXPPFRZNM-ZCFIWIBFSA-N 0 0 297.361 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ccc(C=O)cc2)n1 ZINC000119720711 637820219 /nfs/dbraw/zinc/82/02/19/637820219.db2.gz RLMAAYHWGDKGRG-UHFFFAOYSA-N 0 0 258.233 2.903 20 5 CFBDRN Cc1ccc(CNc2ccc3c(c2)nnn3C)cc1[N+](=O)[O-] ZINC000864039262 637839360 /nfs/dbraw/zinc/83/93/60/637839360.db2.gz AOWHCSRIQRRPNY-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=C1CCN1c1ccc(OCc2cccc([N+](=O)[O-])c2)cc1 ZINC000864047795 637841743 /nfs/dbraw/zinc/84/17/43/637841743.db2.gz USHHYPXYLMSOGG-UHFFFAOYSA-N 0 0 298.298 2.911 20 5 CFBDRN Cc1c(NC(=O)C2CC3(CSC3)C2)cccc1[N+](=O)[O-] ZINC000864442248 637980943 /nfs/dbraw/zinc/98/09/43/637980943.db2.gz JVLQPHVJQLXHBK-UHFFFAOYSA-N 0 0 292.360 2.985 20 5 CFBDRN CC(C)(C)CCNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864857270 638108797 /nfs/dbraw/zinc/10/87/97/638108797.db2.gz ZIPVSMCIQVBFBB-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000864905388 638120274 /nfs/dbraw/zinc/12/02/74/638120274.db2.gz BRUNFFDVXGQFJB-LPTSXCQYSA-N 0 0 274.320 2.503 20 5 CFBDRN CC/C=C(\F)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000864979667 638146208 /nfs/dbraw/zinc/14/62/08/638146208.db2.gz NPEBBKGPPGSXNL-BASWHVEKSA-N 0 0 266.272 2.517 20 5 CFBDRN Cc1nn(C[C@H]2CCC(C)(C)CO2)c(C)c1[N+](=O)[O-] ZINC000865333105 638270983 /nfs/dbraw/zinc/27/09/83/638270983.db2.gz RHOMHQPZPXQHEY-LLVKDONJSA-N 0 0 267.329 2.613 20 5 CFBDRN C[C@H](Oc1cccc([N+](=O)[O-])c1)C(=O)C(C)(C)C ZINC000865342150 638273377 /nfs/dbraw/zinc/27/33/77/638273377.db2.gz FSGMAOPWBPSCKH-VIFPVBQESA-N 0 0 251.282 2.977 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1nc(CC2CC2)no1 ZINC000865343227 638274421 /nfs/dbraw/zinc/27/44/21/638274421.db2.gz GSGZGGHSRORQDH-UHFFFAOYSA-N 0 0 293.254 2.648 20 5 CFBDRN Cc1cnc(OC[C@H]2CCC(C)(C)CO2)c([N+](=O)[O-])c1 ZINC000865358805 638281673 /nfs/dbraw/zinc/28/16/73/638281673.db2.gz NRGWJQDIXLELQK-LLVKDONJSA-N 0 0 280.324 2.882 20 5 CFBDRN O=[N+]([O-])c1nc(Br)n(CCCC2CCC2)n1 ZINC000865389245 638302586 /nfs/dbraw/zinc/30/25/86/638302586.db2.gz OHNMKTBJYLXIAF-UHFFFAOYSA-N 0 0 289.133 2.529 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865399381 638309281 /nfs/dbraw/zinc/30/92/81/638309281.db2.gz NZKKIRLTDMQYHD-AOOOYVTPSA-N 0 0 282.315 2.709 20 5 CFBDRN Cc1occc1CN(C)c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865462259 638351619 /nfs/dbraw/zinc/35/16/19/638351619.db2.gz IEJDHQWCUVHQBS-UHFFFAOYSA-N 0 0 294.282 2.764 20 5 CFBDRN COC(=O)[C@@H](C)CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865498648 638380765 /nfs/dbraw/zinc/38/07/65/638380765.db2.gz FNJYYTBFNRUWEM-ZETCQYMHSA-N 0 0 288.250 2.753 20 5 CFBDRN CC1(C)CCCN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865537003 638406328 /nfs/dbraw/zinc/40/63/28/638406328.db2.gz UBVYQMWEZQDEEZ-UHFFFAOYSA-N 0 0 282.315 2.853 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2C(F)F)CCC1=O ZINC000865686257 638510343 /nfs/dbraw/zinc/51/03/43/638510343.db2.gz JKUWBMQPHJNDHR-QMMMGPOBSA-N 0 0 284.262 2.948 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3COC[C@@H]3C2)c(C(F)F)c1 ZINC000865757645 638557128 /nfs/dbraw/zinc/55/71/28/638557128.db2.gz WWUXRIXNJRONFA-DTORHVGOSA-N 0 0 284.262 2.615 20 5 CFBDRN Cc1ccc(C[NH2+]Cc2ccnn2C(C)C)cc1[N+](=O)[O-] ZINC000865787059 638574162 /nfs/dbraw/zinc/57/41/62/638574162.db2.gz GDUISEMJVVJWRH-UHFFFAOYSA-N 0 0 288.351 2.970 20 5 CFBDRN Cc1ccc(C[NH2+][C@@H](C)COC(C)C)cc1[N+](=O)[O-] ZINC000865786810 638574332 /nfs/dbraw/zinc/57/43/32/638574332.db2.gz CWTUGGYGLSLZQW-LBPRGKRZSA-N 0 0 266.341 2.806 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865871054 638629721 /nfs/dbraw/zinc/62/97/21/638629721.db2.gz BYUAASXQWZDKBO-JOYOIKCWSA-N 0 0 268.288 2.683 20 5 CFBDRN C[C@@H]1CN(c2cc(F)c([N+](=O)[O-])cc2CO)[C@@H](C)[C@H]1C ZINC000865882105 638640997 /nfs/dbraw/zinc/64/09/97/638640997.db2.gz MEPONEAQQAOQQA-UTLUCORTSA-N 0 0 282.315 2.707 20 5 CFBDRN CC(C)(F)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000865986526 638688111 /nfs/dbraw/zinc/68/81/11/638688111.db2.gz DIDGHKJGQJFIOO-UHFFFAOYSA-N 0 0 257.221 2.663 20 5 CFBDRN CC1(C)[C@H]2[C@H](CCCN2c2cccc(F)c2[N+](=O)[O-])[C@@H]1O ZINC000866000107 638692195 /nfs/dbraw/zinc/69/21/95/638692195.db2.gz QDNXCFVGUAOUJD-FZZIBODNSA-N 0 0 294.326 2.720 20 5 CFBDRN Nc1ccc(N2CCC(CCF)CC2)c([N+](=O)[O-])c1 ZINC000866024923 638702249 /nfs/dbraw/zinc/70/22/49/638702249.db2.gz YMGZTQGCYGWZAW-UHFFFAOYSA-N 0 0 267.304 2.753 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H](C)O[C@H]1C ZINC000866041616 638707588 /nfs/dbraw/zinc/70/75/88/638707588.db2.gz WYQWBYLQLQNFOX-QEVYDEPDSA-N 0 0 278.308 2.775 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32 ZINC000866043850 638709669 /nfs/dbraw/zinc/70/96/69/638709669.db2.gz NLLBGLATSHFNTC-UXBVWIGBSA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1cc(N[C@@H]2CCCC[C@@H]2F)ncc1[N+](=O)[O-] ZINC000866044788 638709948 /nfs/dbraw/zinc/70/99/48/638709948.db2.gz CCOKBHHAXXLHOS-VHSXEESVSA-N 0 0 253.277 2.991 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])[C@H]1C ZINC000866054597 638715854 /nfs/dbraw/zinc/71/58/54/638715854.db2.gz GTBRDSLSGMCGNP-QWRGUYRKSA-N 0 0 294.326 2.923 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000866069175 638722657 /nfs/dbraw/zinc/72/26/57/638722657.db2.gz JRUPISRPVWVYLP-ONGXEEELSA-N 0 0 268.288 2.738 20 5 CFBDRN COc1cc(N2C[C@H](OC)CC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000866071736 638723633 /nfs/dbraw/zinc/72/36/33/638723633.db2.gz QNAYTOXSAOAJIB-VHSXEESVSA-N 0 0 298.314 2.746 20 5 CFBDRN COc1cc(N2C[C@@H](OC)CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000866072044 638723756 /nfs/dbraw/zinc/72/37/56/638723756.db2.gz RZECHTVPSMQXME-PWSUYJOCSA-N 0 0 280.324 2.607 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC[C@@H]1F ZINC000866074862 638726597 /nfs/dbraw/zinc/72/65/97/638726597.db2.gz HSIWBKKKTXSSEN-NWDGAFQWSA-N 0 0 281.287 2.997 20 5 CFBDRN Nc1ccc(NCc2cccc3c2CCOC3)c([N+](=O)[O-])c1 ZINC000866092789 638733556 /nfs/dbraw/zinc/73/35/56/638733556.db2.gz RHUHALSDJGNTHR-UHFFFAOYSA-N 0 0 299.330 2.862 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cccc3c2CCOC3)n1 ZINC000866092391 638733636 /nfs/dbraw/zinc/73/36/36/638733636.db2.gz XBFXAKGIWQYDIG-UHFFFAOYSA-N 0 0 299.330 2.983 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000866094863 638735322 /nfs/dbraw/zinc/73/53/22/638735322.db2.gz OCKSGPINWIDSEJ-HOMQSWHASA-N 0 0 281.337 2.610 20 5 CFBDRN CC1(F)CCN(c2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000866100313 638737485 /nfs/dbraw/zinc/73/74/85/638737485.db2.gz QCQVMYNTBALSLE-UHFFFAOYSA-N 0 0 253.277 2.505 20 5 CFBDRN COc1cc(N[C@H]2C[C@H](SC)C2)ccc1[N+](=O)[O-] ZINC000866101690 638737916 /nfs/dbraw/zinc/73/79/16/638737916.db2.gz QNLKNMZGVYMNLA-MGCOHNPYSA-N 0 0 268.338 2.909 20 5 CFBDRN Nc1ccc(N[C@@H](C2CCC2)[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000866108686 638742011 /nfs/dbraw/zinc/74/20/11/638742011.db2.gz UYAUYXGYURWAPQ-GJZGRUSLSA-N 0 0 291.351 2.937 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@]12C[C@@H]1CCCC2 ZINC000866112825 638743038 /nfs/dbraw/zinc/74/30/38/638743038.db2.gz FXHTXFSMQXTXDQ-BBRMVZONSA-N 0 0 288.347 2.834 20 5 CFBDRN Cc1nc(N[C@@H](C2CCC2)[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000866141190 638755696 /nfs/dbraw/zinc/75/56/96/638755696.db2.gz IRXYHKGOKVCEMZ-WFASDCNBSA-N 0 0 291.351 2.915 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000866161919 638760966 /nfs/dbraw/zinc/76/09/66/638760966.db2.gz AQVHGMKHSZNCJQ-TXEJJXNPSA-N 0 0 276.340 2.522 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CCC12CCOCC2 ZINC000866173187 638763378 /nfs/dbraw/zinc/76/33/78/638763378.db2.gz KRNFQTAVFQAURO-NSHDSACASA-N 0 0 277.324 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC23CCOCC3)n1 ZINC000866176119 638763963 /nfs/dbraw/zinc/76/39/63/638763963.db2.gz ZNQYJJHBQIKJIM-LBPRGKRZSA-N 0 0 291.351 2.917 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC23CCOCC3)s1 ZINC000866175735 638764117 /nfs/dbraw/zinc/76/41/17/638764117.db2.gz VOUCVBZBKNDLSR-VIFPVBQESA-N 0 0 283.353 2.670 20 5 CFBDRN COCc1nnc(NCc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000866181609 638764843 /nfs/dbraw/zinc/76/48/43/638764843.db2.gz IZJGNABBAGNRSM-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@H](O)CC(C)(C)C1 ZINC000866226160 638774120 /nfs/dbraw/zinc/77/41/20/638774120.db2.gz JQUUOUJLDXCTQI-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc(N2C[C@H](O)CC(C)(C)C2)c(F)cc1[N+](=O)[O-] ZINC000866229420 638775033 /nfs/dbraw/zinc/77/50/33/638775033.db2.gz SLZCOOKFVQLJBH-SNVBAGLBSA-N 0 0 282.315 2.640 20 5 CFBDRN COc1cc(N2C[C@@H](O)CC(C)(C)C2)c([N+](=O)[O-])cc1C ZINC000866229725 638775178 /nfs/dbraw/zinc/77/51/78/638775178.db2.gz VLDCNHJDAZXJTN-NSHDSACASA-N 0 0 294.351 2.509 20 5 CFBDRN COc1cccc(N2CCC(C(C)(C)O)CC2)c1[N+](=O)[O-] ZINC000866231095 638775496 /nfs/dbraw/zinc/77/54/96/638775496.db2.gz ODGXXWNMWLMDNW-UHFFFAOYSA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866233062 638776241 /nfs/dbraw/zinc/77/62/41/638776241.db2.gz QYUSXUFJHQAGCM-WDEREUQCSA-N 0 0 294.326 2.923 20 5 CFBDRN CC(C)(O)C1CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000866234223 638776278 /nfs/dbraw/zinc/77/62/78/638776278.db2.gz XHGDUYWCIVHTTQ-UHFFFAOYSA-N 0 0 282.315 2.721 20 5 CFBDRN C[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1ccnn1C ZINC000866250746 638780179 /nfs/dbraw/zinc/78/01/79/638780179.db2.gz DDYAKWGRKMOTRJ-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)c2ccnn2C)c([N+](=O)[O-])c1 ZINC000866250611 638780269 /nfs/dbraw/zinc/78/02/69/638780269.db2.gz BFPUQQGJTJWITI-SECBINFHSA-N 0 0 288.307 2.704 20 5 CFBDRN COc1cc(N[C@H](C)c2ccnn2C)ccc1[N+](=O)[O-] ZINC000866251787 638780732 /nfs/dbraw/zinc/78/07/32/638780732.db2.gz RERZBMOLWTVKOX-SECBINFHSA-N 0 0 276.296 2.510 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000866301069 638791744 /nfs/dbraw/zinc/79/17/44/638791744.db2.gz FZEPWOKPSSFBJG-ONGXEEELSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000866301126 638791758 /nfs/dbraw/zinc/79/17/58/638791758.db2.gz GVAADBRGTHCGBA-ZJUUUORDSA-N 0 0 298.339 2.573 20 5 CFBDRN CCON(CC)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000866306406 638793865 /nfs/dbraw/zinc/79/38/65/638793865.db2.gz GYLSURLAJXGOIG-UHFFFAOYSA-N 0 0 267.310 2.982 20 5 CFBDRN CC(C)=CC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000121023832 638800527 /nfs/dbraw/zinc/80/05/27/638800527.db2.gz NTQLBCUEKHYTHW-UHFFFAOYSA-N 0 0 260.293 2.840 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)CC(C)C)c(N)c([N+](=O)[O-])c1 ZINC000121061043 638820223 /nfs/dbraw/zinc/82/02/23/638820223.db2.gz NEACCRLSGSEYLI-JTQLQIEISA-N 0 0 279.340 2.650 20 5 CFBDRN Cc1cc([C@@H](C)NCc2ccc(C)c([N+](=O)[O-])c2)nn1C ZINC000866540468 638838593 /nfs/dbraw/zinc/83/85/93/638838593.db2.gz OTEUQBILAICRJT-GFCCVEGCSA-N 0 0 288.351 2.796 20 5 CFBDRN Cc1ccc(CN[C@H]2CCCn3ccnc32)cc1[N+](=O)[O-] ZINC000866540606 638838737 /nfs/dbraw/zinc/83/87/37/638838737.db2.gz QWYFRBQMSPOOEP-ZDUSSCGKSA-N 0 0 286.335 2.724 20 5 CFBDRN CC(=O)c1ccc(OC/C=C/Cl)c([N+](=O)[O-])c1 ZINC000121202956 638873954 /nfs/dbraw/zinc/87/39/54/638873954.db2.gz UANAOLOJKOFDOE-GORDUTHDSA-N 0 0 255.657 2.929 20 5 CFBDRN CCN(CC1CCC1)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867947631 638908213 /nfs/dbraw/zinc/90/82/13/638908213.db2.gz INSGMJPMFMENKM-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN C/C(=C/C(=O)N1CC[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000868604654 638960625 /nfs/dbraw/zinc/96/06/25/638960625.db2.gz VPGPGSXNTSPBRP-JLVNDZCYSA-N 0 0 296.273 2.864 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCC1=CCCCC1 ZINC000868623812 638963070 /nfs/dbraw/zinc/96/30/70/638963070.db2.gz MEROFXHIABUVIQ-UHFFFAOYSA-N 0 0 292.310 2.893 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000868896777 638990024 /nfs/dbraw/zinc/99/00/24/638990024.db2.gz IXJYBBCUBZOGKO-VWYCJHECSA-N 0 0 294.326 2.779 20 5 CFBDRN CCc1ccc(OC(=O)c2ccc([N+](=O)[O-])o2)c(OC)c1 ZINC000122351792 638992561 /nfs/dbraw/zinc/99/25/61/638992561.db2.gz FRIUPYIVCYYDBV-UHFFFAOYSA-N 0 0 291.259 2.978 20 5 CFBDRN Cc1ccc(CNC(=O)N2CC[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000869052842 639015287 /nfs/dbraw/zinc/01/52/87/639015287.db2.gz FOOXSKKWAARCIR-JQWIXIFHSA-N 0 0 291.351 2.843 20 5 CFBDRN CC(C)[C@@H](NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000869287527 639138042 /nfs/dbraw/zinc/13/80/42/639138042.db2.gz GTPPQPBULHBJMX-CQSZACIVSA-N 0 0 294.326 2.827 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000869370977 639201060 /nfs/dbraw/zinc/20/10/60/639201060.db2.gz NHYSYXPMDUIIEL-JTQLQIEISA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1cc(C)c(COC(=O)CCC(C)(C)[N+](=O)[O-])c(C)n1 ZINC000869530452 639281113 /nfs/dbraw/zinc/28/11/13/639281113.db2.gz PACSUSBARAFIST-UHFFFAOYSA-N 0 0 294.351 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@H]2CCSC2)c1 ZINC000869605353 639319021 /nfs/dbraw/zinc/31/90/21/639319021.db2.gz KQJDEORYZTUSAQ-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1cc(C(=O)NCC[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000869612871 639322861 /nfs/dbraw/zinc/32/28/61/639322861.db2.gz MXOJBFUHERVROF-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)[C@@H](C)O1 ZINC000869654936 639343005 /nfs/dbraw/zinc/34/30/05/639343005.db2.gz MMXJVQVSEOBIOL-LNLATYFQSA-N 0 0 297.282 2.591 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2C[C@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000869655649 639343163 /nfs/dbraw/zinc/34/31/63/639343163.db2.gz ZIZDMAGQYQTRLO-XHVZSJERSA-N 0 0 293.319 2.760 20 5 CFBDRN CCOC(C)(C)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000869767262 639391812 /nfs/dbraw/zinc/39/18/12/639391812.db2.gz GLOHSXJVUCDEEF-UHFFFAOYSA-N 0 0 281.308 2.762 20 5 CFBDRN COC[C@@H](C)OC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869811342 639417976 /nfs/dbraw/zinc/41/79/76/639417976.db2.gz NOWMZOUTMDXBKR-LLVKDONJSA-N 0 0 293.319 2.595 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000869890152 639454634 /nfs/dbraw/zinc/45/46/34/639454634.db2.gz HDSWENWQMJDKTI-APHKKCJPSA-N 0 0 281.333 2.992 20 5 CFBDRN CCn1ncc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)c1C ZINC000870254956 639565813 /nfs/dbraw/zinc/56/58/13/639565813.db2.gz OIPJEJJXPOZDKR-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)cc1[N+](=O)[O-] ZINC000870254648 639566191 /nfs/dbraw/zinc/56/61/91/639566191.db2.gz JDRNPNNCOJKPQR-HOSYDEDBSA-N 0 0 279.292 2.622 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(SC(F)(F)F)o1)[N+](=O)[O-] ZINC000870376472 639615382 /nfs/dbraw/zinc/61/53/82/639615382.db2.gz KEUOUSMPVVGZIP-YFKPBYRVSA-N 0 0 299.226 2.714 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCc1cccc2ccccc21 ZINC000870387078 639619500 /nfs/dbraw/zinc/61/95/00/639619500.db2.gz KEADWBAIMYEBSD-GFCCVEGCSA-N 0 0 287.315 2.981 20 5 CFBDRN O=C(OC[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])o1 ZINC000123128125 639643111 /nfs/dbraw/zinc/64/31/11/639643111.db2.gz QDOBJCNYWMBBSV-VIFPVBQESA-N 0 0 251.238 2.701 20 5 CFBDRN CC(C)(COC(=O)c1cc2c(ccnc2Cl)o1)[N+](=O)[O-] ZINC000870467504 639652727 /nfs/dbraw/zinc/65/27/27/639652727.db2.gz GGSIGPYMWFWQHE-UHFFFAOYSA-N 0 0 298.682 2.693 20 5 CFBDRN COC(C)(C)C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870699596 639777897 /nfs/dbraw/zinc/77/78/97/639777897.db2.gz IPJJEJZWCVRRGC-UHFFFAOYSA-N 0 0 281.308 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)COC(C)C ZINC000870699240 639778328 /nfs/dbraw/zinc/77/83/28/639778328.db2.gz CBTPPWJZMPGOPC-UHFFFAOYSA-N 0 0 281.308 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C1=COCC1 ZINC000870700577 639778933 /nfs/dbraw/zinc/77/89/33/639778933.db2.gz IRRWWKKDRAGWFW-UHFFFAOYSA-N 0 0 277.276 2.559 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000870711571 639784594 /nfs/dbraw/zinc/78/45/94/639784594.db2.gz BRDCPKDDQZDRJP-FZMZJTMJSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1ncc(Cl)n1C ZINC000192454704 650562614 /nfs/dbraw/zinc/56/26/14/650562614.db2.gz GVDNNXNSTILMFC-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=C(Cc1ccsc1)Nc1cc([N+](=O)[O-])ccc1F ZINC000192600218 650579644 /nfs/dbraw/zinc/57/96/44/650579644.db2.gz SSQHQRJFWHDSBQ-UHFFFAOYSA-N 0 0 280.280 2.977 20 5 CFBDRN CC(C)C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000192577819 650578173 /nfs/dbraw/zinc/57/81/73/650578173.db2.gz IAMUSKLRNWJQSW-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN O=Cc1ccc(OCC(=O)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000192773117 650593616 /nfs/dbraw/zinc/59/36/16/650593616.db2.gz SGVDWVPNELSEFR-UHFFFAOYSA-N 0 0 291.303 2.936 20 5 CFBDRN C[C@@H]1C[C@H](OC(=O)Cn2cc([N+](=O)[O-])cn2)CC(C)(C)C1 ZINC000193126859 650625341 /nfs/dbraw/zinc/62/53/41/650625341.db2.gz LAJWIDRIBSMPGB-PWSUYJOCSA-N 0 0 295.339 2.549 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC[C@H]1CCCS1 ZINC000871023472 641158133 /nfs/dbraw/zinc/15/81/33/641158133.db2.gz FBBIXUFLGCCXKT-CYBMUJFWSA-N 0 0 294.376 2.539 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC[C@@H]1CCCS1 ZINC000871031940 641164029 /nfs/dbraw/zinc/16/40/29/641164029.db2.gz GPBKWNABNNFGDA-ZDUSSCGKSA-N 0 0 294.376 2.539 20 5 CFBDRN Cc1conc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000123512671 641219054 /nfs/dbraw/zinc/21/90/54/641219054.db2.gz FSNGDMFYJCZJRP-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN COCCCCCNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000888576782 641327364 /nfs/dbraw/zinc/32/73/64/641327364.db2.gz JKHGKLDNPIMOOO-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN CCCCC[C@@H](Nc1ccc([N+](=O)[O-])cn1)C(=O)OC ZINC000080233018 650652794 /nfs/dbraw/zinc/65/27/94/650652794.db2.gz AHHIVBIJBCIRIL-LLVKDONJSA-N 0 0 281.312 2.524 20 5 CFBDRN Cc1cccc(OCc2nccn2CC(F)F)c1[N+](=O)[O-] ZINC000871662075 641387783 /nfs/dbraw/zinc/38/77/83/641387783.db2.gz BIPOAMRHCFUTDY-UHFFFAOYSA-N 0 0 297.261 2.944 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2CC3(CCC3)CO2)n1 ZINC000871665510 641389751 /nfs/dbraw/zinc/38/97/51/641389751.db2.gz OGPWNXWAWZMMJH-LBPRGKRZSA-N 0 0 292.335 2.945 20 5 CFBDRN COc1cc(OC[C@@H]2C[C@H]3CCC[C@@H]3O2)ccc1[N+](=O)[O-] ZINC000871667828 641392639 /nfs/dbraw/zinc/39/26/39/641392639.db2.gz DPCISUKQLFGJMH-OSMZGAPFSA-N 0 0 293.319 2.940 20 5 CFBDRN CC[C@@H](C)COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000123753955 641411407 /nfs/dbraw/zinc/41/14/07/641411407.db2.gz IERMDYTUFDFNHM-SNVBAGLBSA-N 0 0 267.281 2.563 20 5 CFBDRN Cc1ccc(CON=C(N)COC(C)(C)C)cc1[N+](=O)[O-] ZINC000871742312 641433752 /nfs/dbraw/zinc/43/37/52/641433752.db2.gz GIWKVGRCXISQDN-UHFFFAOYSA-N 0 0 295.339 2.717 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CONC(=O)CC(C)(C)C ZINC000871752633 641439918 /nfs/dbraw/zinc/43/99/18/641439918.db2.gz DIFOYFDKAPCGBM-UHFFFAOYSA-N 0 0 296.323 2.587 20 5 CFBDRN Cc1ccc(CON=C(N)[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000871759359 641441887 /nfs/dbraw/zinc/44/18/87/641441887.db2.gz JHLGHVNWBNQMJT-GXSJLCMTSA-N 0 0 263.297 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CNc1ccn(CCF)n1 ZINC000871861450 641486828 /nfs/dbraw/zinc/48/68/28/641486828.db2.gz BGLNRIGCRJCQIQ-UHFFFAOYSA-N 0 0 282.250 2.512 20 5 CFBDRN CC[C@](C)(C[NH2+]Cc1c(C)ccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000871877822 641494811 /nfs/dbraw/zinc/49/48/11/641494811.db2.gz VOMQMMHJJPJPML-OAHLLOKOSA-N 0 0 294.351 2.802 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[NH2+]CC(C)(C)CC(=O)[O-] ZINC000871877951 641494997 /nfs/dbraw/zinc/49/49/97/641494997.db2.gz ZXUHXMLPKMGNHE-UHFFFAOYSA-N 0 0 294.351 2.802 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1[C@H](C)NCc1cc[nH]n1 ZINC000872033428 641564481 /nfs/dbraw/zinc/56/44/81/641564481.db2.gz GYEPQASFLJGTIQ-VIFPVBQESA-N 0 0 292.364 2.891 20 5 CFBDRN Cc1ccc(C[N@@H+](C[C@H](C)C(=O)[O-])C2CC2)cc1[N+](=O)[O-] ZINC000872160997 641682605 /nfs/dbraw/zinc/68/26/05/641682605.db2.gz QKKCKGAIYGJJFM-NSHDSACASA-N 0 0 292.335 2.588 20 5 CFBDRN Cc1ccc(C[N@@H+]([C@@H](C)C(=O)[O-])C2CCC2)cc1[N+](=O)[O-] ZINC000872162011 641683633 /nfs/dbraw/zinc/68/36/33/641683633.db2.gz KIZKSYSJQPCMNF-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN O=C(OCC1CCOCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000123983477 641698064 /nfs/dbraw/zinc/69/80/64/641698064.db2.gz XKMSWXYZCOLDAG-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)c2ccccn2)c1[N+](=O)[O-] ZINC000124028367 641743290 /nfs/dbraw/zinc/74/32/90/641743290.db2.gz OMSOYPPGAXZTTA-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN COc1ccc(C=O)cc1OCc1cccc([N+](=O)[O-])c1 ZINC000019489303 641814304 /nfs/dbraw/zinc/81/43/04/641814304.db2.gz BXRYTKFUJYMPRI-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN Cc1cc(N2CCC[C@H](C(C)(C)O)C2)c([N+](=O)[O-])s1 ZINC000872672700 641893039 /nfs/dbraw/zinc/89/30/39/641893039.db2.gz WCXRMCHWWFEYHN-JTQLQIEISA-N 0 0 284.381 2.952 20 5 CFBDRN COc1cc(N2CCC[C@H](C(C)(C)O)C2)ccc1[N+](=O)[O-] ZINC000872675718 641894028 /nfs/dbraw/zinc/89/40/28/641894028.db2.gz ICNYNIQAVQPLHK-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN NC(=O)c1cc(NCCC[C@@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000872694266 641899089 /nfs/dbraw/zinc/89/90/89/641899089.db2.gz QBECNAQAHAJCRB-LLVKDONJSA-N 0 0 289.335 2.852 20 5 CFBDRN Cc1nc(NC[C@@H]2C[C@H]3CCC[C@H]3O2)ccc1[N+](=O)[O-] ZINC000872698457 641902864 /nfs/dbraw/zinc/90/28/64/641902864.db2.gz XOYNQAKVBCUYFW-NTZNESFSSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000872699673 641904035 /nfs/dbraw/zinc/90/40/35/641904035.db2.gz OMAAFVQOBDIJEN-COPLHBTASA-N 0 0 262.309 2.964 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@H](O)c1ccccc1 ZINC000872774162 641928535 /nfs/dbraw/zinc/92/85/35/641928535.db2.gz DZPPNQJAZYYKQM-DZGCQCFKSA-N 0 0 287.319 2.914 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@H](O)c1ccccc1 ZINC000872774611 641929037 /nfs/dbraw/zinc/92/90/37/641929037.db2.gz LJIFLUSFPNBOKA-ZYHUDNBSSA-N 0 0 293.348 2.975 20 5 CFBDRN COC(=O)[C@]12CCC[C@H]1N(c1ccc([N+](=O)[O-])cc1)CC2 ZINC000872787419 641938806 /nfs/dbraw/zinc/93/88/06/641938806.db2.gz HBRHAZQYLZGDHY-HIFRSBDPSA-N 0 0 290.319 2.517 20 5 CFBDRN C[C@@H](NC(=O)NC[C@H]1C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000872825496 641948245 /nfs/dbraw/zinc/94/82/45/641948245.db2.gz PBASVLDPAZCLHK-GMTAPVOTSA-N 0 0 277.324 2.611 20 5 CFBDRN CSCC[C@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000124285120 641953832 /nfs/dbraw/zinc/95/38/32/641953832.db2.gz JMKPVPMXSACQTJ-JTQLQIEISA-N 0 0 296.392 2.704 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN[C@@H]1CCn2ccnc21 ZINC000873129393 642022431 /nfs/dbraw/zinc/02/24/31/642022431.db2.gz OUVHHSHDCUGCLN-CYBMUJFWSA-N 0 0 286.335 2.643 20 5 CFBDRN Cc1c(C[N@@H+]2CCCCC[C@H]2C(=O)[O-])cccc1[N+](=O)[O-] ZINC000080992681 650718029 /nfs/dbraw/zinc/71/80/29/650718029.db2.gz LKKVUNYBBCEUOG-AWEZNQCLSA-N 0 0 292.335 2.732 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000873320757 642135037 /nfs/dbraw/zinc/13/50/37/642135037.db2.gz XWRALTFUSUIDEG-WAAGHKOSSA-N 0 0 299.710 2.830 20 5 CFBDRN CCc1ccc(C(=O)O[C@H](CC)C(C)=O)cc1[N+](=O)[O-] ZINC000873364328 642155750 /nfs/dbraw/zinc/15/57/50/642155750.db2.gz UOPOOGCIAMQDTL-CYBMUJFWSA-N 0 0 279.292 2.682 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000873402078 642185205 /nfs/dbraw/zinc/18/52/05/642185205.db2.gz HFMGJXZAQQVZFF-TXEJJXNPSA-N 0 0 293.319 2.793 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000873405256 642187862 /nfs/dbraw/zinc/18/78/62/642187862.db2.gz RCOPJLSLXRJKCF-AOOOYVTPSA-N 0 0 297.282 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCCCF ZINC000873438351 642204593 /nfs/dbraw/zinc/20/45/93/642204593.db2.gz JSSYEAONUJPLTQ-UHFFFAOYSA-N 0 0 255.245 2.728 20 5 CFBDRN CC(=O)CCCOC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873438537 642204849 /nfs/dbraw/zinc/20/48/49/642204849.db2.gz PYXGGDYJXRQBTP-UHFFFAOYSA-N 0 0 279.292 2.738 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873438447 642204855 /nfs/dbraw/zinc/20/48/55/642204855.db2.gz MQTKGUFUFHCXGC-SNVBAGLBSA-N 0 0 281.308 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCCOC(F)F ZINC000873438396 642205183 /nfs/dbraw/zinc/20/51/83/642205183.db2.gz LECDTHXCSNSWNJ-UHFFFAOYSA-N 0 0 289.234 2.608 20 5 CFBDRN CC(C)(C)SCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000194949505 650733254 /nfs/dbraw/zinc/73/32/54/650733254.db2.gz CWIWMFNGKWRTIL-UHFFFAOYSA-N 0 0 282.365 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@H]1CCCOC1 ZINC000873510919 642228468 /nfs/dbraw/zinc/22/84/68/642228468.db2.gz OPJDUJCNNIYUCV-NSHDSACASA-N 0 0 279.292 2.547 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000194905070 650733345 /nfs/dbraw/zinc/73/33/45/650733345.db2.gz RVHAHNFLDTXOJS-NSHDSACASA-N 0 0 293.367 2.969 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@@H]1CCO[C@H]1C ZINC000873520132 642232544 /nfs/dbraw/zinc/23/25/44/642232544.db2.gz KUGODJMAJGAAQS-CMPLNLGQSA-N 0 0 279.292 2.546 20 5 CFBDRN CCO[C@@H]1C[C@H]1COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873525690 642234623 /nfs/dbraw/zinc/23/46/23/642234623.db2.gz VFJDBCJJGUXIHL-WCQYABFASA-N 0 0 293.319 2.793 20 5 CFBDRN C[C@H](COC(=O)c1sccc1C(F)F)[N+](=O)[O-] ZINC000873533454 642238212 /nfs/dbraw/zinc/23/82/12/642238212.db2.gz ZHHMXQFNVDMFPE-RXMQYKEDSA-N 0 0 265.237 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@@H]1CCOC[C@@H]1C ZINC000873536439 642239126 /nfs/dbraw/zinc/23/91/26/642239126.db2.gz KFLHBDFXDRTZBN-GXFFZTMASA-N 0 0 293.319 2.793 20 5 CFBDRN CC(C)(COC(=O)c1cc(F)c2ncccc2c1)[N+](=O)[O-] ZINC000873543756 642242676 /nfs/dbraw/zinc/24/26/76/642242676.db2.gz WUQKQJCOSBNGTI-UHFFFAOYSA-N 0 0 292.266 2.586 20 5 CFBDRN C[C@@H](OC(=O)/C=C\[C@@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000873579861 642259114 /nfs/dbraw/zinc/25/91/14/642259114.db2.gz NGDVXTSYLDJYEV-UXFRKABBSA-N 0 0 291.303 2.792 20 5 CFBDRN C[C@@H](OC(=O)/C=C/[C@@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000873579858 642259457 /nfs/dbraw/zinc/25/94/57/642259457.db2.gz NGDVXTSYLDJYEV-BYAJROORSA-N 0 0 291.303 2.792 20 5 CFBDRN C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])[C@H]1CC12CC2 ZINC000873629525 642280105 /nfs/dbraw/zinc/28/01/05/642280105.db2.gz TYVVOHLCDRZAFJ-MWLCHTKSSA-N 0 0 261.277 2.940 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ccc2c(c1)OCCO2 ZINC000020049455 642291302 /nfs/dbraw/zinc/29/13/02/642291302.db2.gz PGDKAZJQWQXDPM-UHFFFAOYSA-N 0 0 286.287 2.978 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2N)cc1 ZINC000195136397 650739189 /nfs/dbraw/zinc/73/91/89/650739189.db2.gz RFDDQFVGRJSFAT-NSHDSACASA-N 0 0 299.330 2.976 20 5 CFBDRN O=C(C[C@H]1CCCO1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000195263361 650745012 /nfs/dbraw/zinc/74/50/12/650745012.db2.gz SKLYOVNRSLIKSF-SECBINFHSA-N 0 0 285.683 2.723 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])cnc2C)cc1 ZINC000195393840 650751768 /nfs/dbraw/zinc/75/17/68/650751768.db2.gz YAKPGYPGVQWANT-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ccccn1 ZINC000874625402 642428025 /nfs/dbraw/zinc/42/80/25/642428025.db2.gz OTKPSPSXEWLYHV-NEPJUHHUSA-N 0 0 299.330 2.971 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000874779960 642479756 /nfs/dbraw/zinc/47/97/56/642479756.db2.gz KYUIIISVQFBMJB-GXFFZTMASA-N 0 0 274.320 2.757 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000874786344 642486916 /nfs/dbraw/zinc/48/69/16/642486916.db2.gz ZAOHCRQCFBVXPM-GFCCVEGCSA-N 0 0 285.303 2.749 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000874792116 642492706 /nfs/dbraw/zinc/49/27/06/642492706.db2.gz QEKGTAZJNBYYAV-GFCCVEGCSA-N 0 0 260.293 2.576 20 5 CFBDRN CCn1ncc(NC(=O)c2cccc(C)c2[N+](=O)[O-])c1C ZINC000874819381 642505983 /nfs/dbraw/zinc/50/59/83/642505983.db2.gz AWXAEDGFCZWBRK-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCn1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2C)c1C ZINC000874818910 642506629 /nfs/dbraw/zinc/50/66/29/642506629.db2.gz ZDNYBMIZBUOLHA-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CC1(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC(F)(F)C1 ZINC000874858817 642531016 /nfs/dbraw/zinc/53/10/16/642531016.db2.gz PAISESNYDLMNEO-QPJJXVBHSA-N 0 0 296.273 2.912 20 5 CFBDRN O=C(CNc1cc([N+](=O)[O-])ccc1F)N1CCCCCC1 ZINC000083135545 650760181 /nfs/dbraw/zinc/76/01/81/650760181.db2.gz CEHVPHPWNMGSCC-UHFFFAOYSA-N 0 0 295.314 2.548 20 5 CFBDRN O=C1NC(=O)C(=Cc2cc(Cl)ccc2[N+](=O)[O-])S1 ZINC000874927929 642554397 /nfs/dbraw/zinc/55/43/97/642554397.db2.gz MYBUWAVVYYJURV-YWEYNIOJSA-N 0 0 284.680 2.572 20 5 CFBDRN CC(C)OC1(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000875054355 642581464 /nfs/dbraw/zinc/58/14/64/642581464.db2.gz OTAJOGOIZSJSDY-UHFFFAOYSA-N 0 0 278.308 2.881 20 5 CFBDRN O=C(OCCF)c1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000125311469 642591015 /nfs/dbraw/zinc/59/10/15/642591015.db2.gz COYHCAXXTRIYLM-UHFFFAOYSA-N 0 0 296.279 2.845 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000875250438 642599267 /nfs/dbraw/zinc/59/92/67/642599267.db2.gz FPTXDDZCFFAMQZ-DQDDRIPDSA-N 0 0 278.283 2.965 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000875423145 642620644 /nfs/dbraw/zinc/62/06/44/642620644.db2.gz GNLGQOCFOIZGJB-CPOMMVLXSA-N 0 0 275.308 2.529 20 5 CFBDRN CC[C@@H](SC)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000125449354 642634376 /nfs/dbraw/zinc/63/43/76/642634376.db2.gz GSQLJFZGSBQFNI-LLVKDONJSA-N 0 0 269.322 2.950 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000875542437 642639675 /nfs/dbraw/zinc/63/96/75/642639675.db2.gz BCTRDPHURJREDB-IMRBUKKESA-N 0 0 289.335 2.501 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCC(C)(C)F)c1F ZINC000876135602 642779074 /nfs/dbraw/zinc/77/90/74/642779074.db2.gz SAVLLLQEEJYEGD-UHFFFAOYSA-N 0 0 272.251 2.520 20 5 CFBDRN C[Si](C)(C)COc1ccc([N+](=O)[O-])cc1C=O ZINC000199525956 650800610 /nfs/dbraw/zinc/80/06/10/650800610.db2.gz JZGTUQCWRGLAHH-UHFFFAOYSA-N 0 0 253.330 2.664 20 5 CFBDRN Cc1ccc(NCc2cn(C)nc2[N+](=O)[O-])cc1C ZINC000876819172 643024586 /nfs/dbraw/zinc/02/45/86/643024586.db2.gz DFVXNSGYEKFVHY-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1ccc(C)c(NCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000876837492 643033602 /nfs/dbraw/zinc/03/36/02/643033602.db2.gz IHIYBNXXGULMQX-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC(C2CC2)C2CC2)c2nonc21 ZINC000088460322 650813176 /nfs/dbraw/zinc/81/31/76/650813176.db2.gz BWXSILVITXYSKE-UHFFFAOYSA-N 0 0 288.307 2.979 20 5 CFBDRN Cc1noc(CN(C)Cc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000877618838 643404659 /nfs/dbraw/zinc/40/46/59/643404659.db2.gz GPGLFEWABJUPPH-UHFFFAOYSA-N 0 0 290.323 2.535 20 5 CFBDRN Cc1ccc2c(c1)N[C@@H](c1cccc([N+](=O)[O-])c1)NC2=O ZINC000877864534 643612648 /nfs/dbraw/zinc/61/26/48/643612648.db2.gz QMMSXDRTPYAMHU-CQSZACIVSA-N 0 0 283.287 2.757 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(CCOC3CC3)c2c(Cl)c1 ZINC000877880389 643619527 /nfs/dbraw/zinc/61/95/27/643619527.db2.gz MFXOJHHZWRNIAL-UHFFFAOYSA-N 0 0 281.699 2.777 20 5 CFBDRN COC[C@H](COc1ccc(OC)c([N+](=O)[O-])c1)C(C)C ZINC000877881631 643620348 /nfs/dbraw/zinc/62/03/48/643620348.db2.gz UVMGPWHENNNJPO-LLVKDONJSA-N 0 0 283.324 2.901 20 5 CFBDRN CC1CC(Cn2ccc3ccc([N+](=O)[O-])cc3c2=O)C1 ZINC000877885813 643623095 /nfs/dbraw/zinc/62/30/95/643623095.db2.gz PLTZDGAMLAOFIQ-UHFFFAOYSA-N 0 0 272.304 2.956 20 5 CFBDRN COC[C@@H](COc1cc(OC)ccc1[N+](=O)[O-])C(C)C ZINC000877886044 643623367 /nfs/dbraw/zinc/62/33/67/643623367.db2.gz YGZLEJKEQBYUBO-NSHDSACASA-N 0 0 283.324 2.901 20 5 CFBDRN CSCC1CCN(c2ncccc2[N+](=O)[O-])CC1 ZINC000877887095 643624118 /nfs/dbraw/zinc/62/41/18/643624118.db2.gz ASTKKBDQUNAIOJ-UHFFFAOYSA-N 0 0 267.354 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1C=CCC1 ZINC000877903116 643633261 /nfs/dbraw/zinc/63/32/61/643633261.db2.gz JZEHEBCBJXLBNR-NSHDSACASA-N 0 0 275.308 2.991 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000877917524 643639969 /nfs/dbraw/zinc/63/99/69/643639969.db2.gz WREAPRRHJPGGNN-INWMFGNUSA-N 0 0 290.363 2.984 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000877917523 643640130 /nfs/dbraw/zinc/64/01/30/643640130.db2.gz WREAPRRHJPGGNN-DUVNUKRYSA-N 0 0 290.363 2.984 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(F)n1 ZINC000127328734 644025620 /nfs/dbraw/zinc/02/56/20/644025620.db2.gz NBXHMKXFXJEBQN-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Nc1ccc(C(=O)Nc2ccccc2F)cc1[N+](=O)[O-] ZINC000022927353 644053990 /nfs/dbraw/zinc/05/39/90/644053990.db2.gz VFBOPFGOHYGFFT-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1cccc2cc[nH]c21 ZINC000879343980 644238956 /nfs/dbraw/zinc/23/89/56/644238956.db2.gz KQCFEGQCJYCPGL-UHFFFAOYSA-N 0 0 299.334 2.717 20 5 CFBDRN C[C@H]1CO[C@@H](C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000888770757 644244635 /nfs/dbraw/zinc/24/46/35/644244635.db2.gz QLBWELUSKPSODT-RDDDGLTNSA-N 0 0 284.699 2.612 20 5 CFBDRN O=C(Nc1ccnn1C1CCCC1)c1ccc([N+](=O)[O-])o1 ZINC000247305030 650903213 /nfs/dbraw/zinc/90/32/13/650903213.db2.gz GNCUTQDXNJLGKS-UHFFFAOYSA-N 0 0 290.279 2.752 20 5 CFBDRN CCCCC(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000247927521 650919099 /nfs/dbraw/zinc/91/90/99/650919099.db2.gz QVPRJOLWDMSYSN-UHFFFAOYSA-N 0 0 253.254 2.699 20 5 CFBDRN CCOc1cc(CN(CC)OCC)ccc1[N+](=O)[O-] ZINC000879799559 644429423 /nfs/dbraw/zinc/42/94/23/644429423.db2.gz OMMWOKXHSYRMGN-UHFFFAOYSA-N 0 0 268.313 2.767 20 5 CFBDRN C[C@H](c1ccco1)N(C)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000248076165 650923099 /nfs/dbraw/zinc/92/30/99/650923099.db2.gz BWPBWBLKLBYZKZ-SECBINFHSA-N 0 0 289.291 2.603 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@@]1(c2ccccc2)C[C@@H](O)C1 ZINC000880221303 644586767 /nfs/dbraw/zinc/58/67/67/644586767.db2.gz CZPGKBHXSWSPHR-WOVMCDHWSA-N 0 0 298.342 2.735 20 5 CFBDRN C[C@@]12CN(C(=O)c3ccc([N+](=O)[O-])o3)C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000957092137 650935886 /nfs/dbraw/zinc/93/58/86/650935886.db2.gz DSKOIETVZHZEQB-RSQPEXBXSA-N 0 0 290.319 2.696 20 5 CFBDRN C[C@@H]1c2sccc2CCN1Cc1cn(C)nc1[N+](=O)[O-] ZINC000880376382 644677532 /nfs/dbraw/zinc/67/75/32/644677532.db2.gz RCNTXSTZCQXYTE-SECBINFHSA-N 0 0 292.364 2.509 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000888812803 644684577 /nfs/dbraw/zinc/68/45/77/644684577.db2.gz GGWDSUKPGXNSFK-SNVBAGLBSA-N 0 0 294.376 2.999 20 5 CFBDRN CC[C@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000880470896 644725976 /nfs/dbraw/zinc/72/59/76/644725976.db2.gz QYGKBIKFIJMDSS-RISCZKNCSA-N 0 0 294.351 2.595 20 5 CFBDRN CSCCCC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000128469367 644740658 /nfs/dbraw/zinc/74/06/58/644740658.db2.gz MDXUJQXIPQKDRR-UHFFFAOYSA-N 0 0 269.322 2.952 20 5 CFBDRN CCOc1cc(NC(=O)N2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000888832084 644815689 /nfs/dbraw/zinc/81/56/89/644815689.db2.gz SWOGXJXHYPUAJQ-SECBINFHSA-N 0 0 297.286 2.569 20 5 CFBDRN CCOc1cc(NC(=O)NC2CC(C)C2)ccc1[N+](=O)[O-] ZINC000888833122 644816544 /nfs/dbraw/zinc/81/65/44/644816544.db2.gz WGJFTGHTYTYFNU-UHFFFAOYSA-N 0 0 293.323 2.914 20 5 CFBDRN CC(C)c1ccc(C(=O)NC2CSC2)cc1[N+](=O)[O-] ZINC000880731123 644818679 /nfs/dbraw/zinc/81/86/79/644818679.db2.gz IAXRNVIFVINWRX-UHFFFAOYSA-N 0 0 280.349 2.563 20 5 CFBDRN CCOc1cc(NC(=O)N2CCCC2)ccc1[N+](=O)[O-] ZINC000888836038 644835359 /nfs/dbraw/zinc/83/53/59/644835359.db2.gz KJLSKCWJBDQRPN-UHFFFAOYSA-N 0 0 279.296 2.621 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NC[C@@H]1C=CCC1 ZINC000881490751 644990370 /nfs/dbraw/zinc/99/03/70/644990370.db2.gz ITQPTRDIFYQQJQ-LLVKDONJSA-N 0 0 292.360 2.769 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H]1C=CCC1 ZINC000881499329 644999418 /nfs/dbraw/zinc/99/94/18/644999418.db2.gz SZZKIIWMYGXIHJ-LBPRGKRZSA-N 0 0 274.320 2.908 20 5 CFBDRN CCC[C@@H](C)CCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000881530655 645024351 /nfs/dbraw/zinc/02/43/51/645024351.db2.gz WJUFQRWPPULNKA-SNVBAGLBSA-N 0 0 265.313 2.546 20 5 CFBDRN COc1cccc(C(=O)NC[C@]2(C)CC2(C)C)c1[N+](=O)[O-] ZINC000881544131 645036350 /nfs/dbraw/zinc/03/63/50/645036350.db2.gz UMDNOWZEJHCPSQ-HNNXBMFYSA-N 0 0 292.335 2.769 20 5 CFBDRN C=C/C=C/CCNC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000881568147 645047600 /nfs/dbraw/zinc/04/76/00/645047600.db2.gz JVGPNGLSGPPYTL-AATRIKPKSA-N 0 0 290.319 2.774 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@H]1CCCC1(F)F)[N+](=O)[O-] ZINC000881587417 645061220 /nfs/dbraw/zinc/06/12/20/645061220.db2.gz FCEJVFOKEYPICB-SNVBAGLBSA-N 0 0 292.326 2.764 20 5 CFBDRN C[C@@H](C(=O)N1CCC(F)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000881611684 645081016 /nfs/dbraw/zinc/08/10/16/645081016.db2.gz RJRZQLCHQNOLPN-SECBINFHSA-N 0 0 298.289 2.798 20 5 CFBDRN CC1(C)[C@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C[C@@H]1O ZINC000129255854 645133794 /nfs/dbraw/zinc/13/37/94/645133794.db2.gz YPVYKTGSROXKOO-MNOVXSKESA-N 0 0 270.716 2.820 20 5 CFBDRN CC[C@@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)C[C@H](C)O1 ZINC000881966014 645164858 /nfs/dbraw/zinc/16/48/58/645164858.db2.gz RYAGWEUFQHDXGB-CMPLNLGQSA-N 0 0 292.335 2.801 20 5 CFBDRN Cc1nc(C)c(CNc2ccnc(F)c2[N+](=O)[O-])s1 ZINC000882019598 645178117 /nfs/dbraw/zinc/17/81/17/645178117.db2.gz MUOUJBHOWLWCJC-UHFFFAOYSA-N 0 0 282.300 2.814 20 5 CFBDRN Cn1cc(CSCCOc2ccccc2)c([N+](=O)[O-])n1 ZINC000882030020 645182083 /nfs/dbraw/zinc/18/20/83/645182083.db2.gz OSPBMCSLSJPUSB-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@@]2(CCCOC2)C1 ZINC000882047379 645186767 /nfs/dbraw/zinc/18/67/67/645186767.db2.gz PVTKCHBSPSCOKG-CQSZACIVSA-N 0 0 295.314 2.526 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCC[C@H]1CCCC[C@H]1O ZINC000882114781 645206870 /nfs/dbraw/zinc/20/68/70/645206870.db2.gz SLOHZVGGINMUBJ-ZYHUDNBSSA-N 0 0 297.330 2.872 20 5 CFBDRN CC(C)[C@@H](O)CCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000882154131 645222008 /nfs/dbraw/zinc/22/20/08/645222008.db2.gz XGOADZSVIBOSMV-HNNXBMFYSA-N 0 0 289.335 2.865 20 5 CFBDRN CC(=O)c1c(N[C@@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000882154358 645222111 /nfs/dbraw/zinc/22/21/11/645222111.db2.gz YXDAQIBVBDLXQJ-GXSJLCMTSA-N 0 0 278.308 2.777 20 5 CFBDRN CC(C)[C@H](O)CCOc1ccc([N+](=O)[O-])c(F)c1F ZINC000882173819 645229892 /nfs/dbraw/zinc/22/98/92/645229892.db2.gz LKVIURONGUNMQU-SECBINFHSA-N 0 0 275.251 2.659 20 5 CFBDRN CCc1csc(=O)n1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000882174179 645230040 /nfs/dbraw/zinc/23/00/40/645230040.db2.gz ORBQRGVUEIHDOK-UHFFFAOYSA-N 0 0 282.296 2.568 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC1(c2ccccn2)CC1 ZINC000882178308 645233449 /nfs/dbraw/zinc/23/34/49/645233449.db2.gz FYZMTXJJVWKOAC-UHFFFAOYSA-N 0 0 288.282 2.668 20 5 CFBDRN CO[C@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)CC[C@H]1C ZINC000882238238 645250529 /nfs/dbraw/zinc/25/05/29/645250529.db2.gz SUPWOZMFHBRAQC-YGRLFVJLSA-N 0 0 292.335 2.659 20 5 CFBDRN CCOC1CC(CCNc2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882243667 645252523 /nfs/dbraw/zinc/25/25/23/645252523.db2.gz BFCDDHWLWAKQMF-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN CC(=O)c1c(N[C@@H]2CCOC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000882265853 645257904 /nfs/dbraw/zinc/25/79/04/645257904.db2.gz KNTOSMHTSCUNQI-GXSJLCMTSA-N 0 0 278.308 2.634 20 5 CFBDRN CC(=O)c1c(N[C@H]2CCOC[C@H]2C)cccc1[N+](=O)[O-] ZINC000882265854 645258435 /nfs/dbraw/zinc/25/84/35/645258435.db2.gz KNTOSMHTSCUNQI-KOLCDFICSA-N 0 0 278.308 2.634 20 5 CFBDRN CC1=C(C)CN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000882294238 645267310 /nfs/dbraw/zinc/26/73/10/645267310.db2.gz PVZOSWUYUXFWSF-UHFFFAOYSA-N 0 0 251.261 2.675 20 5 CFBDRN CN(CC(F)(F)F)C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129595562 645283521 /nfs/dbraw/zinc/28/35/21/645283521.db2.gz VPMNERMXRWVKPF-UHFFFAOYSA-N 0 0 296.632 2.883 20 5 CFBDRN CC(C)(O)COc1cccc(Br)c1[N+](=O)[O-] ZINC000882363738 645291433 /nfs/dbraw/zinc/29/14/33/645291433.db2.gz JVZGGKJARIWZMB-UHFFFAOYSA-N 0 0 290.113 2.507 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2Cl)C[C@@H]1C ZINC000129636242 645297429 /nfs/dbraw/zinc/29/74/29/645297429.db2.gz UWEMVDRYGAKRET-IUCAKERBSA-N 0 0 282.727 2.976 20 5 CFBDRN CCc1noc(C)c1CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882401008 645299432 /nfs/dbraw/zinc/29/94/32/645299432.db2.gz PJUYXRFAHWXPMO-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN CC(=O)c1c(NC[C@H]2CC[C@H](C)O2)cccc1[N+](=O)[O-] ZINC000882438483 645314181 /nfs/dbraw/zinc/31/41/81/645314181.db2.gz GEORTRGVEORWGR-GXSJLCMTSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1c1ccnc(F)c1[N+](=O)[O-] ZINC000882462227 645327127 /nfs/dbraw/zinc/32/71/27/645327127.db2.gz QOTGUADXSJQCCQ-MRVPVSSYSA-N 0 0 253.277 2.754 20 5 CFBDRN C[C@@H]1CN(c2ccnc(F)c2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000882467118 645330214 /nfs/dbraw/zinc/33/02/14/645330214.db2.gz AYLFAIYVRSFZLX-VGMNWLOBSA-N 0 0 253.277 2.610 20 5 CFBDRN CCN(CCSC)c1cccc([N+](=O)[O-])c1C(C)=O ZINC000882519464 645352036 /nfs/dbraw/zinc/35/20/36/645352036.db2.gz XPCVXIULRLSTHM-UHFFFAOYSA-N 0 0 282.365 2.987 20 5 CFBDRN CC(=O)c1c(N[C@@H]2COC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000882577535 645374406 /nfs/dbraw/zinc/37/44/06/645374406.db2.gz IWBNYBZRGFEUIC-JTQLQIEISA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@@]1(CCNc2ccnc(F)c2[N+](=O)[O-])CC1(F)F ZINC000882620605 645391339 /nfs/dbraw/zinc/39/13/39/645391339.db2.gz PLCUUNQYRMVVID-SNVBAGLBSA-N 0 0 275.230 2.976 20 5 CFBDRN Cn1ncc2c1CN(c1ccc([N+](=O)[O-])cc1C(F)F)C2 ZINC000882646927 645399360 /nfs/dbraw/zinc/39/93/60/645399360.db2.gz WYWQKLQREZMLRN-UHFFFAOYSA-N 0 0 294.261 2.786 20 5 CFBDRN CC(=O)c1c(N[C@@H]2CO[C@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000882663263 645404780 /nfs/dbraw/zinc/40/47/80/645404780.db2.gz LSNKRJDFIIQIMQ-FZMZJTMJSA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H]1CCC12CCOCC2 ZINC000882695235 645414236 /nfs/dbraw/zinc/41/42/36/645414236.db2.gz SJEQPNJENPWKAH-SNVBAGLBSA-N 0 0 295.314 2.748 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882708218 645423895 /nfs/dbraw/zinc/42/38/95/645423895.db2.gz WCQBAYWSMCAMKS-ONGXEEELSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882708216 645424090 /nfs/dbraw/zinc/42/40/90/645424090.db2.gz WCQBAYWSMCAMKS-KOLCDFICSA-N 0 0 280.324 2.759 20 5 CFBDRN C[C@H](O)CSc1ccc2cnccc2c1[N+](=O)[O-] ZINC000882738360 645437507 /nfs/dbraw/zinc/43/75/07/645437507.db2.gz RQRIQPNBZJKWTA-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN CSc1cc(C(=O)Oc2cccc([N+](=O)[O-])c2)ccn1 ZINC000097024351 651011749 /nfs/dbraw/zinc/01/17/49/651011749.db2.gz ABWBJSQHTFBEMT-UHFFFAOYSA-N 0 0 290.300 2.931 20 5 CFBDRN CO[C@H]1C[C@H](CNc2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000882797489 645459738 /nfs/dbraw/zinc/45/97/38/645459738.db2.gz YDVGQJRBDBASJA-MJHDQNEOSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCC[C@@H](CF)C3)c2c1 ZINC000882801547 645463041 /nfs/dbraw/zinc/46/30/41/645463041.db2.gz UNSALOJRCLVSDX-JTQLQIEISA-N 0 0 290.298 2.724 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@H](CF)C3)ccc2c1 ZINC000882842353 645477315 /nfs/dbraw/zinc/47/73/15/645477315.db2.gz UJRRSHKMYGRWCM-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)Cc2ccoc2)c(F)c1 ZINC000882866756 645481845 /nfs/dbraw/zinc/48/18/45/645481845.db2.gz VPCJROMVVMVPKC-NSHDSACASA-N 0 0 294.282 2.590 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H](CO)Cc1ccoc1 ZINC000882866279 645482370 /nfs/dbraw/zinc/48/23/70/645482370.db2.gz JBFLTTXUGSVNBR-JTQLQIEISA-N 0 0 282.321 2.512 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])c(C)nn1CC)[C@@H]1CCCOC1 ZINC000882954891 645526591 /nfs/dbraw/zinc/52/65/91/645526591.db2.gz XZBDSYLBRWAMEH-VXGBXAGGSA-N 0 0 296.371 2.737 20 5 CFBDRN Nc1c(F)c(NC[C@H]2CCC3(CCC3)O2)ccc1[N+](=O)[O-] ZINC000882973815 645536673 /nfs/dbraw/zinc/53/66/73/645536673.db2.gz MDORBNLVPUVBRP-SECBINFHSA-N 0 0 295.314 2.830 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2c3ccccc3CC[C@@H]2F)nc1 ZINC000882991955 645548375 /nfs/dbraw/zinc/54/83/75/645548375.db2.gz LTSLGJVALRCYDS-STQMWFEESA-N 0 0 288.282 2.822 20 5 CFBDRN COc1cc(NCC(F)(F)F)c([N+](=O)[O-])cc1OC ZINC000214145169 645595875 /nfs/dbraw/zinc/59/58/75/645595875.db2.gz UQIDTYAXASCHSI-UHFFFAOYSA-N 0 0 280.202 2.586 20 5 CFBDRN COCc1cc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000883131499 645618166 /nfs/dbraw/zinc/61/81/66/645618166.db2.gz FFEXUHPZDOKMAW-JTQLQIEISA-N 0 0 291.307 2.580 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNc1cc(CO)ccn1 ZINC000883147871 645626704 /nfs/dbraw/zinc/62/67/04/645626704.db2.gz MDCLCVTTYDXAMP-UHFFFAOYSA-N 0 0 287.319 2.711 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000883153414 645629846 /nfs/dbraw/zinc/62/98/46/645629846.db2.gz CKBJAOTVNBTYLK-NWDGAFQWSA-N 0 0 289.335 2.921 20 5 CFBDRN CCN(Cc1ccccc1Cl)Cn1nccc1[N+](=O)[O-] ZINC000097506713 651029955 /nfs/dbraw/zinc/02/99/55/651029955.db2.gz QEGUZUCAIQTLPY-UHFFFAOYSA-N 0 0 294.742 2.924 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000131232048 645665871 /nfs/dbraw/zinc/66/58/71/645665871.db2.gz CBXJPYRIQBTLNL-QMMMGPOBSA-N 0 0 286.328 2.605 20 5 CFBDRN CC(C)OCCCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000131274026 645681140 /nfs/dbraw/zinc/68/11/40/645681140.db2.gz NTTUQJYETBFIOZ-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC2)c(C(F)F)c1 ZINC000131589781 645754756 /nfs/dbraw/zinc/75/47/56/645754756.db2.gz RJMHPPHMTQWOFZ-ZETCQYMHSA-N 0 0 258.224 2.733 20 5 CFBDRN C[C@H](Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H](C)C(F)F ZINC000883400093 645796579 /nfs/dbraw/zinc/79/65/79/645796579.db2.gz DEPSZFKYCOONJJ-SFYZADRCSA-N 0 0 276.258 2.908 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000131748350 645802304 /nfs/dbraw/zinc/80/23/04/645802304.db2.gz YPNJHAIJDYSEBV-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN C[C@@H](NC/C=C/c1ccccc1[N+](=O)[O-])C(F)F ZINC000883407163 645802649 /nfs/dbraw/zinc/80/26/49/645802649.db2.gz MVQPCLVUBYJJQX-OTQAPUNGSA-N 0 0 256.252 2.851 20 5 CFBDRN Cc1cncc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000131781710 645813872 /nfs/dbraw/zinc/81/38/72/645813872.db2.gz WKZZJSMXWWDRIH-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN COC[C@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C1CC1 ZINC000131811290 645827734 /nfs/dbraw/zinc/82/77/34/645827734.db2.gz CUHOUZPMTQWYQR-LBPRGKRZSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@@H](NC(=O)N1CCC(F)CC1)c1ccccc1[N+](=O)[O-] ZINC000883553139 645885975 /nfs/dbraw/zinc/88/59/75/645885975.db2.gz LLCUATMNTHMLFS-SNVBAGLBSA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1cccc(COC(=O)Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000132218961 645894361 /nfs/dbraw/zinc/89/43/61/645894361.db2.gz XZDOHAMVMJCPMQ-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C1 ZINC000132249435 645900493 /nfs/dbraw/zinc/90/04/93/645900493.db2.gz URHUMDYDFDIHQM-SFYZADRCSA-N 0 0 284.262 2.791 20 5 CFBDRN COC(=O)/C(C)=C\COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000214719893 645965232 /nfs/dbraw/zinc/96/52/32/645965232.db2.gz UWHWHCLLUQDICD-YVMONPNESA-N 0 0 285.683 2.746 20 5 CFBDRN O=C(OCCCOC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000883938428 646055607 /nfs/dbraw/zinc/05/56/07/646055607.db2.gz HWMOGGQYLOIZFK-UHFFFAOYSA-N 0 0 293.197 2.678 20 5 CFBDRN CSCCCNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000889057634 646067399 /nfs/dbraw/zinc/06/73/99/646067399.db2.gz HRLIIGOWOPTWFG-UHFFFAOYSA-N 0 0 282.365 2.695 20 5 CFBDRN C[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000133336904 646074239 /nfs/dbraw/zinc/07/42/39/646074239.db2.gz UFAUMMGNRVPCEI-YUMQZZPRSA-N 0 0 262.269 2.732 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000800025567 646106386 /nfs/dbraw/zinc/10/63/86/646106386.db2.gz VTKOVQWATWSVEU-WDEREUQCSA-N 0 0 297.282 2.767 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000800031189 646111536 /nfs/dbraw/zinc/11/15/36/646111536.db2.gz VUQJCBHMCKVWNU-ZLKJLUDKSA-N 0 0 293.319 2.809 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@H](F)C(F)(F)F ZINC000800082221 646130092 /nfs/dbraw/zinc/13/00/92/646130092.db2.gz TYDCITFVGZVTPJ-VIFPVBQESA-N 0 0 295.188 2.581 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000800123782 646148326 /nfs/dbraw/zinc/14/83/26/646148326.db2.gz PMNDEXZJDZLXLG-MRVPVSSYSA-N 0 0 281.161 2.652 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CCOC[C@H]1C ZINC000800146868 646156306 /nfs/dbraw/zinc/15/63/06/646156306.db2.gz JCHUBZFFAYQKDE-SCZZXKLOSA-N 0 0 296.298 2.653 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1ccc(F)cc1[N+](=O)[O-] ZINC000800181121 646174434 /nfs/dbraw/zinc/17/44/34/646174434.db2.gz GOFJZROVZGWBAR-MRVPVSSYSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1c(CC(=O)OCCOCC(C)C)cccc1[N+](=O)[O-] ZINC000800185819 646178497 /nfs/dbraw/zinc/17/84/97/646178497.db2.gz BXBNEKHIVZKICA-UHFFFAOYSA-N 0 0 295.335 2.662 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)NCCC1CCC1 ZINC000133687250 646209239 /nfs/dbraw/zinc/20/92/39/646209239.db2.gz CNYPWEAQUCNTPW-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN C[C@H]1CCC[C@H](C)N(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000133749455 646219931 /nfs/dbraw/zinc/21/99/31/646219931.db2.gz VPFLZXNXSDJOGV-QWRGUYRKSA-N 0 0 291.351 2.828 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ncccn2)cc1Cl ZINC000134170395 646297658 /nfs/dbraw/zinc/29/76/58/646297658.db2.gz DDRDATFFTKJSTJ-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN C[C@@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000134220023 646307033 /nfs/dbraw/zinc/30/70/33/646307033.db2.gz KKWRAWMDYFGZCO-QMMMGPOBSA-N 0 0 266.272 2.510 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000134224837 646308259 /nfs/dbraw/zinc/30/82/59/646308259.db2.gz GLEHKFZJHNUWJU-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN C[C@@H](CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C1CC1 ZINC000134299473 646323388 /nfs/dbraw/zinc/32/33/88/646323388.db2.gz FGZXDXSXPDDTDJ-ZETCQYMHSA-N 0 0 284.262 2.649 20 5 CFBDRN CN(CC(F)F)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000134335211 646331770 /nfs/dbraw/zinc/33/17/70/646331770.db2.gz OQMRLANUFUNGLV-UHFFFAOYSA-N 0 0 278.642 2.585 20 5 CFBDRN C[C@H](NC(=O)N(C)CCCF)c1ccc([N+](=O)[O-])cc1 ZINC000884377938 646333043 /nfs/dbraw/zinc/33/30/43/646333043.db2.gz ASDSJVNUVWPLIF-JTQLQIEISA-N 0 0 283.303 2.657 20 5 CFBDRN CCC[C@@H](O)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000134449048 646347788 /nfs/dbraw/zinc/34/77/88/646347788.db2.gz LBJUWHVUSNJDCU-SECBINFHSA-N 0 0 258.705 2.821 20 5 CFBDRN CSCC[C@@H](C)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000134833847 646392328 /nfs/dbraw/zinc/39/23/28/646392328.db2.gz LTUSSSYMBUTIFT-SSDOTTSWSA-N 0 0 286.382 2.858 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCO3)CC2)c2ccccc21 ZINC000884498088 646401480 /nfs/dbraw/zinc/40/14/80/646401480.db2.gz QDELDWFLEUQNHM-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN CC(C)(CO)CCNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884518987 646416076 /nfs/dbraw/zinc/41/60/76/646416076.db2.gz GMIWGQFIAUCBJS-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC[C@H]2O)c2ccccc21 ZINC000884524981 646421910 /nfs/dbraw/zinc/42/19/10/646421910.db2.gz KMFDKZRRORHAQP-IINYFYTJSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000134965274 646421923 /nfs/dbraw/zinc/42/19/23/646421923.db2.gz LJGHVAJIBSXTLB-JTQLQIEISA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@@H]1CCC[C@@]1(C)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884534938 646428153 /nfs/dbraw/zinc/42/81/53/646428153.db2.gz RSKZVXJPBPQSFO-QMTHXVAHSA-N 0 0 278.308 2.859 20 5 CFBDRN COCOCCCNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884543312 646435553 /nfs/dbraw/zinc/43/55/53/646435553.db2.gz AROLSYZEWUEJKY-UHFFFAOYSA-N 0 0 291.307 2.566 20 5 CFBDRN Cc1c(C(=O)Nc2ccc(F)nc2)cccc1[N+](=O)[O-] ZINC000135072368 646454139 /nfs/dbraw/zinc/45/41/39/646454139.db2.gz JJYUPYLLSWSFAL-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(C2)CCCO3)c2ccccc21 ZINC000884564963 646456274 /nfs/dbraw/zinc/45/62/74/646456274.db2.gz WEDRFWQYAVBWBG-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H](O)C(F)F)c2ccccc21 ZINC000884570257 646458725 /nfs/dbraw/zinc/45/87/25/646458725.db2.gz LIAKZMHKCINXJZ-LLVKDONJSA-N 0 0 297.261 2.571 20 5 CFBDRN C[C@@H](O)CSc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884580809 646467210 /nfs/dbraw/zinc/46/72/10/646467210.db2.gz MSUIDETWTFZNGW-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN CCC[C@H](CC)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884610706 646485550 /nfs/dbraw/zinc/48/55/50/646485550.db2.gz SXNZIUNZTVLLPH-JTQLQIEISA-N 0 0 266.297 2.859 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1cc(C2CC2)no1 ZINC000884637095 646497468 /nfs/dbraw/zinc/49/74/68/646497468.db2.gz ALEIASHVVFHFCW-UHFFFAOYSA-N 0 0 291.311 2.597 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@@H]2CSC[C@@H]2C1 ZINC000884645880 646505542 /nfs/dbraw/zinc/50/55/42/646505542.db2.gz ZCRBWPSXZKSEKJ-PHIMTYICSA-N 0 0 264.350 2.702 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000884650132 646507870 /nfs/dbraw/zinc/50/78/70/646507870.db2.gz ZOGRRGKWXXUKPB-LSKIRQOJSA-N 0 0 261.325 2.881 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1CC2(CCC2)CO1 ZINC000884659842 646512369 /nfs/dbraw/zinc/51/23/69/646512369.db2.gz FUHIITOYGCBALV-VIFPVBQESA-N 0 0 281.287 2.500 20 5 CFBDRN C[C@@H]1C[C@]2(CC[C@H](CNc3sccc3[N+](=O)[O-])O2)CO1 ZINC000884696156 646531575 /nfs/dbraw/zinc/53/15/75/646531575.db2.gz JDSDBCJRVLYODW-GIPNMCIBSA-N 0 0 298.364 2.795 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H](c2ncccn2)C1 ZINC000884708753 646536033 /nfs/dbraw/zinc/53/60/33/646536033.db2.gz KGEVEMUCAAOXIF-LBPRGKRZSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]([C@H]3CCCO3)C2)c(F)c1 ZINC000884730591 646543590 /nfs/dbraw/zinc/54/35/90/646543590.db2.gz LCLVQNDKZVTDPF-QMTHXVAHSA-N 0 0 280.299 2.739 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H]([C@@H]3CCCO3)C2)c1 ZINC000884731252 646544301 /nfs/dbraw/zinc/54/43/01/646544301.db2.gz VTTXDSGMIUEJJH-ABAIWWIYSA-N 0 0 292.335 2.609 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000884731727 646544994 /nfs/dbraw/zinc/54/49/94/646544994.db2.gz DTSVTRAILARXEL-SKDRFNHKSA-N 0 0 297.742 2.649 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000884732710 646545979 /nfs/dbraw/zinc/54/59/79/646545979.db2.gz SCIIPCZIZMJULI-IUODEOHRSA-N 0 0 276.336 2.909 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCOC1 ZINC000884790823 646550940 /nfs/dbraw/zinc/55/09/40/646550940.db2.gz NXWWAUMRPIZWLY-IINYFYTJSA-N 0 0 298.314 2.587 20 5 CFBDRN COC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000884796433 646551658 /nfs/dbraw/zinc/55/16/58/646551658.db2.gz SAQLJPHITSIBNA-GWCFXTLKSA-N 0 0 298.314 2.587 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])c(C)c1)[C@H]1CCCOC1 ZINC000884793241 646551749 /nfs/dbraw/zinc/55/17/49/646551749.db2.gz UYUZAUSNCHGCPR-JSGCOSHPSA-N 0 0 294.351 2.757 20 5 CFBDRN NC(=O)c1cc(NC2CCC=CCC2)ccc1[N+](=O)[O-] ZINC000884844459 646558467 /nfs/dbraw/zinc/55/84/67/646558467.db2.gz KTQFRRJUWSAHPN-UHFFFAOYSA-N 0 0 275.308 2.604 20 5 CFBDRN CN(CC(F)F)C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000135809146 646638677 /nfs/dbraw/zinc/63/86/77/646638677.db2.gz KVCUSEKUWPZSDX-UHFFFAOYSA-N 0 0 278.642 2.585 20 5 CFBDRN CC(C)(C)OCc1cccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC000885617845 646721412 /nfs/dbraw/zinc/72/14/12/646721412.db2.gz FALIUJFOVQGASQ-CHWSQXEVSA-N 0 0 292.335 2.605 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C1(C2CC2)CC1 ZINC000885733443 646742075 /nfs/dbraw/zinc/74/20/75/646742075.db2.gz UJQHKCOJCRUFHE-UHFFFAOYSA-N 0 0 279.267 2.967 20 5 CFBDRN Cc1cccc(CN(C)Cc2cccnc2[N+](=O)[O-])c1 ZINC000885960303 646785033 /nfs/dbraw/zinc/78/50/33/646785033.db2.gz JAAQGLVDUPIGFJ-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@]23C[C@H]2CCC3)c1[N+](=O)[O-] ZINC000886001268 646806024 /nfs/dbraw/zinc/80/60/24/646806024.db2.gz WBLQQIQVODDYDC-BMIGLBTASA-N 0 0 292.310 2.962 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1csc([N+](=O)[O-])c1 ZINC000886006918 646811413 /nfs/dbraw/zinc/81/14/13/646811413.db2.gz XZKIRUBCQGKNPI-SKDRFNHKSA-N 0 0 266.322 2.576 20 5 CFBDRN Cc1cc(C(=O)NC[C@]23C[C@H]2CCC3)ccc1[N+](=O)[O-] ZINC000886009634 646812608 /nfs/dbraw/zinc/81/26/08/646812608.db2.gz XAHJKXLPNBMEBG-DOMZBBRYSA-N 0 0 274.320 2.823 20 5 CFBDRN COc1ccc(C(=O)NC[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000886009232 646812780 /nfs/dbraw/zinc/81/27/80/646812780.db2.gz CYLZEPPTKKLMAD-ABAIWWIYSA-N 0 0 290.319 2.523 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCc2ccc(F)cc2C1 ZINC000886077176 646857545 /nfs/dbraw/zinc/85/75/45/646857545.db2.gz WWTMURHGKVTLHX-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN CCN(CCc1nccs1)Cc1cccnc1[N+](=O)[O-] ZINC000886082759 646859052 /nfs/dbraw/zinc/85/90/52/646859052.db2.gz AJMKWLCIQCIDNG-UHFFFAOYSA-N 0 0 292.364 2.511 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)Cc1cccnc1[N+](=O)[O-] ZINC000886091727 646864000 /nfs/dbraw/zinc/86/40/00/646864000.db2.gz GDKVLYRASAIBDL-NSHDSACASA-N 0 0 272.308 2.578 20 5 CFBDRN Cc1cnc(C(C)(C)NCc2cccnc2[N+](=O)[O-])s1 ZINC000886130212 646886514 /nfs/dbraw/zinc/88/65/14/646886514.db2.gz YRDQKBZZDBPDNQ-UHFFFAOYSA-N 0 0 292.364 2.780 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1cccnc1[N+](=O)[O-] ZINC000886181779 646911279 /nfs/dbraw/zinc/91/12/79/646911279.db2.gz TZVWLXFMDPQDHW-SCZZXKLOSA-N 0 0 289.257 2.763 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CC[C@@H](Oc2ccccc2)C1 ZINC000886286023 646952833 /nfs/dbraw/zinc/95/28/33/646952833.db2.gz ZDLHYIPYFPAHHN-OAHLLOKOSA-N 0 0 299.330 2.643 20 5 CFBDRN COc1ccc(Nc2nc3ccccn3c2[N+](=O)[O-])cc1 ZINC000137628112 646964594 /nfs/dbraw/zinc/96/45/94/646964594.db2.gz FNRMIXIHDFEIHJ-UHFFFAOYSA-N 0 0 284.275 2.995 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000886476879 647013365 /nfs/dbraw/zinc/01/33/65/647013365.db2.gz LUXIWFWJSDIGQI-GHMZBOCLSA-N 0 0 298.364 2.546 20 5 CFBDRN CC[C@](C)(OC)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887401087 647302854 /nfs/dbraw/zinc/30/28/54/647302854.db2.gz PFFNJBDRTPTYGF-NHYWBVRUSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@H](CNC(=O)C1(CCF)CC1)c1ccccc1[N+](=O)[O-] ZINC000887400516 647302959 /nfs/dbraw/zinc/30/29/59/647302959.db2.gz CVZMSZFTHQOUEF-LLVKDONJSA-N 0 0 294.326 2.954 20 5 CFBDRN CCC[C@@H](OC)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887400390 647302968 /nfs/dbraw/zinc/30/29/68/647302968.db2.gz ATSPRYJRBRTXGM-BXUZGUMPSA-N 0 0 294.351 2.630 20 5 CFBDRN Cc1ncccc1C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887402995 647304060 /nfs/dbraw/zinc/30/40/60/647304060.db2.gz MQNCDCNSDGTFKF-LLVKDONJSA-N 0 0 299.330 2.832 20 5 CFBDRN O=C(CC(F)(F)C(F)F)Oc1cccc([N+](=O)[O-])c1 ZINC000887598935 647367703 /nfs/dbraw/zinc/36/77/03/647367703.db2.gz NFLIMSNSWDOAJX-UHFFFAOYSA-N 0 0 281.161 2.791 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC000887711298 647395528 /nfs/dbraw/zinc/39/55/28/647395528.db2.gz XEYGUXFGGWELJE-JQWIXIFHSA-N 0 0 291.303 2.945 20 5 CFBDRN CC(C)Cn1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000887732229 647402739 /nfs/dbraw/zinc/40/27/39/647402739.db2.gz LPUWQIMWUOVAQJ-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN C[C@@H]1CO[C@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000887732458 647402758 /nfs/dbraw/zinc/40/27/58/647402758.db2.gz VRUOBAGIFJGGQI-CPCISQLKSA-N 0 0 284.699 2.612 20 5 CFBDRN O=C(CC(F)(F)C(F)F)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000887732127 647402789 /nfs/dbraw/zinc/40/27/89/647402789.db2.gz IFRMDLJEKRXFJO-UHFFFAOYSA-N 0 0 298.167 2.963 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1C[C@@H](C)CO1 ZINC000887937112 647440802 /nfs/dbraw/zinc/44/08/02/647440802.db2.gz LQFZLRRIOZJHCG-PRHODGIISA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1C[C@H](C)CO1 ZINC000887937113 647441047 /nfs/dbraw/zinc/44/10/47/647441047.db2.gz LQFZLRRIOZJHCG-QPUJVOFHSA-N 0 0 278.308 2.575 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC[C@@H]1C1CCCC1 ZINC000889392486 647558282 /nfs/dbraw/zinc/55/82/82/647558282.db2.gz NZASSRCOLCJLRQ-CQSZACIVSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@]2(C)CCCO2)c1C ZINC000889456673 647574666 /nfs/dbraw/zinc/57/46/66/647574666.db2.gz VCYJNLDDNGQJAG-HNNXBMFYSA-N 0 0 292.335 2.511 20 5 CFBDRN CCCCC1(NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000889533972 647594492 /nfs/dbraw/zinc/59/44/92/647594492.db2.gz GVNUNRWQYLPYOO-UHFFFAOYSA-N 0 0 252.270 2.640 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000889589420 647606934 /nfs/dbraw/zinc/60/69/34/647606934.db2.gz CGBRXAQCPQVZKE-ADEWGFFLSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000889611761 647616496 /nfs/dbraw/zinc/61/64/96/647616496.db2.gz LQRCXQIVDKSOOZ-JFGNBEQYSA-N 0 0 292.310 2.961 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)Cc1cccnc1 ZINC000889667572 647637028 /nfs/dbraw/zinc/63/70/28/647637028.db2.gz BZSDBPDHCAZPDZ-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2(C)CCOCC2)c1C ZINC000889681449 647645035 /nfs/dbraw/zinc/64/50/35/647645035.db2.gz ULUOOGZNEBIJJZ-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1ccc(OC(=O)c2cnc(C3CC3)o2)cc1[N+](=O)[O-] ZINC000889708311 647657903 /nfs/dbraw/zinc/65/79/03/647657903.db2.gz UPAVGBWSGJTBJA-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN C[C@H]1CSCCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000889914658 647699365 /nfs/dbraw/zinc/69/93/65/647699365.db2.gz IIIXJYZZFKNTGU-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1coc(C(=O)OCc2ncc(C)c([N+](=O)[O-])c2C)c1 ZINC000890153450 647758161 /nfs/dbraw/zinc/75/81/61/647758161.db2.gz YUHZPNVPFGCWTB-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2C2CC2)c1C ZINC000890357292 647785035 /nfs/dbraw/zinc/78/50/35/647785035.db2.gz IVBNWTLPDJXVNM-NWDGAFQWSA-N 0 0 274.320 2.740 20 5 CFBDRN O=C(OCCC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000122455788 647830522 /nfs/dbraw/zinc/83/05/22/647830522.db2.gz BESQYJTYLOZSLS-UHFFFAOYSA-N 0 0 263.171 2.704 20 5 CFBDRN CCCCC(=O)O[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000003511827 647832598 /nfs/dbraw/zinc/83/25/98/647832598.db2.gz CDXFNOMTQQFTTM-JTQLQIEISA-N 0 0 294.307 2.655 20 5 CFBDRN Cc1noc(C)c1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000005195105 647845182 /nfs/dbraw/zinc/84/51/82/647845182.db2.gz RYATYVRPQJESID-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CCCCC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000010529798 647878706 /nfs/dbraw/zinc/87/87/06/647878706.db2.gz AWTCPWCIQLEIKQ-UHFFFAOYSA-N 0 0 294.307 2.575 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])cc1O ZINC000010980210 647880267 /nfs/dbraw/zinc/88/02/67/647880267.db2.gz RYMWCMDUQVUUHA-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)C=CCC1 ZINC000924093623 647921659 /nfs/dbraw/zinc/92/16/59/647921659.db2.gz VFBPCRIAHXPNOJ-LBPRGKRZSA-N 0 0 261.237 2.846 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000924239863 647946122 /nfs/dbraw/zinc/94/61/22/647946122.db2.gz GGOUFWZCLGAILN-MRVPVSSYSA-N 0 0 299.710 2.702 20 5 CFBDRN CC(C)OC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000924243778 647946984 /nfs/dbraw/zinc/94/69/84/647946984.db2.gz RTYOOFKCEACREE-UHFFFAOYSA-N 0 0 257.673 2.742 20 5 CFBDRN COc1ccnc([C@H](C)NCc2ccccc2[N+](=O)[O-])c1 ZINC000925309535 648154570 /nfs/dbraw/zinc/15/45/70/648154570.db2.gz GVGRIMMTLLCSBN-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN O=C(Cc1ccc(O)cc1)OCc1ccccc1[N+](=O)[O-] ZINC000925446163 648171027 /nfs/dbraw/zinc/17/10/27/648171027.db2.gz KLQTWKIADCWZCB-UHFFFAOYSA-N 0 0 287.271 2.586 20 5 CFBDRN CC[S@](C)(=O)=NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000925829340 648336790 /nfs/dbraw/zinc/33/67/90/648336790.db2.gz BLRPKIXTEZMMAZ-SFHVURJKSA-N 0 0 290.728 2.506 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000925897258 648359747 /nfs/dbraw/zinc/35/97/47/648359747.db2.gz ZCRFMBCCJFKWCY-UHFFFAOYSA-N 0 0 284.337 2.551 20 5 CFBDRN CC(C)[S@](C)(=O)=NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000926073556 648399197 /nfs/dbraw/zinc/39/91/97/648399197.db2.gz NEBFBJFTSQLVKV-ZGBFETHSSA-N 0 0 296.348 2.641 20 5 CFBDRN Cc1csc(=O)n1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000034670448 648807718 /nfs/dbraw/zinc/80/77/18/648807718.db2.gz BIKKDFFMMONZND-UHFFFAOYSA-N 0 0 284.724 2.828 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000928245922 648887325 /nfs/dbraw/zinc/88/73/25/648887325.db2.gz ZIDVIGOJWNVADY-ZYHUDNBSSA-N 0 0 294.376 2.915 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245860 648887337 /nfs/dbraw/zinc/88/73/37/648887337.db2.gz XCKOCXZBBXHVJZ-BXKDBHETSA-N 0 0 268.288 2.766 20 5 CFBDRN COCCCCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246404 648887839 /nfs/dbraw/zinc/88/78/39/648887839.db2.gz DORINXVFFNHDQZ-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN CC1=C(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CCCO1 ZINC000928249942 648888434 /nfs/dbraw/zinc/88/84/34/648888434.db2.gz XSSAXEIBJXEABK-SNVBAGLBSA-N 0 0 290.319 2.856 20 5 CFBDRN C[C@@H](NC(=O)COC1(C)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000928248711 648888519 /nfs/dbraw/zinc/88/85/19/648888519.db2.gz MJEWPVJZSHVCSO-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H](NC(=O)CC1CSC1)c1ccc([N+](=O)[O-])cc1 ZINC000928247591 648888678 /nfs/dbraw/zinc/88/86/78/648888678.db2.gz YPWQLHMRGUVXRR-SECBINFHSA-N 0 0 280.349 2.525 20 5 CFBDRN C[C@@H](NC(=O)C1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928249964 648889259 /nfs/dbraw/zinc/88/92/59/648889259.db2.gz YBBAOUCFFWVWSO-SNVBAGLBSA-N 0 0 260.293 2.738 20 5 CFBDRN CCc1ccc(C(=O)N[C@H](C)C(C)(C)OC)cc1[N+](=O)[O-] ZINC000928596886 648930663 /nfs/dbraw/zinc/93/06/63/648930663.db2.gz KIFSMRCJJHVPBT-SNVBAGLBSA-N 0 0 294.351 2.701 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCCC1 ZINC000929196870 649000488 /nfs/dbraw/zinc/00/04/88/649000488.db2.gz NVXBTULCXNAYOO-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ccnn1C1CCC1 ZINC000929498876 649061372 /nfs/dbraw/zinc/06/13/72/649061372.db2.gz VVZTZDYJRNTLQZ-UHFFFAOYSA-N 0 0 287.275 2.736 20 5 CFBDRN O=C([C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1C2CCC1CC2 ZINC000929675668 649093376 /nfs/dbraw/zinc/09/33/76/649093376.db2.gz GYOVRMWWHZYCCY-VHMBPLKRSA-N 0 0 286.331 2.852 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000929677081 649093941 /nfs/dbraw/zinc/09/39/41/649093941.db2.gz VCQBXYAPFKUSCU-UHFFFAOYSA-N 0 0 292.310 2.820 20 5 CFBDRN CCN(C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000929863655 649141944 /nfs/dbraw/zinc/14/19/44/649141944.db2.gz OANLVWRFQKTAEG-UONOGXRCSA-N 0 0 274.320 2.709 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(C)CC=CC1 ZINC000930074204 649178761 /nfs/dbraw/zinc/17/87/61/649178761.db2.gz NYVIPBGCLPGUTA-UHFFFAOYSA-N 0 0 274.320 2.910 20 5 CFBDRN O=C(C[C@H]1CC=CCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000930276124 649220686 /nfs/dbraw/zinc/22/06/86/649220686.db2.gz MYBZZYOWMULEKN-LBPRGKRZSA-N 0 0 274.320 2.957 20 5 CFBDRN O=[N+]([O-])CCN1CC[C@H](c2cccc(Br)c2)C1 ZINC000930637426 649294053 /nfs/dbraw/zinc/29/40/53/649294053.db2.gz BNGBFNPLSVPQAS-NSHDSACASA-N 0 0 299.168 2.515 20 5 CFBDRN O=[N+]([O-])CCN1CC[C@@H](c2cccc(Br)c2)C1 ZINC000930637423 649294438 /nfs/dbraw/zinc/29/44/38/649294438.db2.gz BNGBFNPLSVPQAS-LLVKDONJSA-N 0 0 299.168 2.515 20 5 CFBDRN Cc1cc(NC(=O)N2CC(OC(C)C)C2)ccc1[N+](=O)[O-] ZINC000930732148 649316977 /nfs/dbraw/zinc/31/69/77/649316977.db2.gz AJINJOFGNXXWCY-UHFFFAOYSA-N 0 0 293.323 2.544 20 5 CFBDRN CC[C@H](C)Nc1ccc(/C=C\C(=O)OC)cc1[N+](=O)[O-] ZINC000931254688 649397162 /nfs/dbraw/zinc/39/71/62/649397162.db2.gz NWILIJSICRYDBL-ZEBCKKTISA-N 0 0 278.308 2.991 20 5 CFBDRN C[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000931457099 649431079 /nfs/dbraw/zinc/43/10/79/649431079.db2.gz VBECJMLECNDYDT-SECBINFHSA-N 0 0 290.298 2.576 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)Cc2c[nH]nc21 ZINC000931461511 649432759 /nfs/dbraw/zinc/43/27/59/649432759.db2.gz UWIOAUREUYEDEH-VIFPVBQESA-N 0 0 290.298 2.576 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000931608634 649461862 /nfs/dbraw/zinc/46/18/62/649461862.db2.gz WMHHYIKEVVMSKL-MRVPVSSYSA-N 0 0 299.302 2.669 20 5 CFBDRN C[C@@H](NCc1noc(C2CC2)n1)c1ccc([N+](=O)[O-])cc1 ZINC000932181652 649560368 /nfs/dbraw/zinc/56/03/68/649560368.db2.gz YWFBEHAMOPFTPO-SECBINFHSA-N 0 0 288.307 2.706 20 5 CFBDRN CC[C@@H]1COCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000036326253 649580419 /nfs/dbraw/zinc/58/04/19/649580419.db2.gz NNJGHTXLFXAXHE-LLVKDONJSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1noc(CN[C@H](c2ccccn2)C2CC2)c1[N+](=O)[O-] ZINC000932385989 649590509 /nfs/dbraw/zinc/59/05/09/649590509.db2.gz MVZHQDXBBSGIHI-ZDUSSCGKSA-N 0 0 288.307 2.527 20 5 CFBDRN Cc1noc(CN2CCC(F)(C3CC3)CC2)c1[N+](=O)[O-] ZINC000932629593 649631116 /nfs/dbraw/zinc/63/11/16/649631116.db2.gz UXVUNAJDSMCIIR-UHFFFAOYSA-N 0 0 283.303 2.605 20 5 CFBDRN CC1(C)CCN1C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000933298717 649685599 /nfs/dbraw/zinc/68/55/99/649685599.db2.gz HPIICLUQAOXJBK-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN C[C@@H]1CCCN1C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000933813937 649723065 /nfs/dbraw/zinc/72/30/65/649723065.db2.gz LYEDFUFXHQJIME-SNVBAGLBSA-N 0 0 280.299 2.677 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000934108057 649750093 /nfs/dbraw/zinc/75/00/93/649750093.db2.gz ZBEVCHZMOWWOPI-WCQYABFASA-N 0 0 284.743 2.857 20 5 CFBDRN CCOCCC(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062246 651278470 /nfs/dbraw/zinc/27/84/70/651278470.db2.gz AKIFJEACHGJYED-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN Nc1c(C(=O)OCc2ccccc2)cccc1[N+](=O)[O-] ZINC000117756283 651288018 /nfs/dbraw/zinc/28/80/18/651288018.db2.gz CCFMXNJBMKRXOK-UHFFFAOYSA-N 0 0 272.260 2.534 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCCO1 ZINC000118634934 651292422 /nfs/dbraw/zinc/29/24/22/651292422.db2.gz GRBXBTWTMJWPEA-LLVKDONJSA-N 0 0 284.699 2.674 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000120026078 651302940 /nfs/dbraw/zinc/30/29/40/651302940.db2.gz PFNFMJZNXHBANJ-CHWSQXEVSA-N 0 0 279.292 2.628 20 5 CFBDRN O=[N+]([O-])c1cnc(Cl)cc1N1CC(c2cccnc2)C1 ZINC001167067146 769898602 /nfs/dbraw/zinc/89/86/02/769898602.db2.gz YAHBQJHFVKACFN-UHFFFAOYSA-N 0 0 290.710 2.642 20 5 CFBDRN COc1cc(C)nc(N(C)C[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC001167091433 769972470 /nfs/dbraw/zinc/97/24/70/769972470.db2.gz KQSMTZWURXEKNZ-SNVBAGLBSA-N 0 0 279.340 2.789 20 5 CFBDRN Cc1cnc(N2Cc3cnc(C)cc3C2)c([N+](=O)[O-])c1 ZINC001167107218 770030194 /nfs/dbraw/zinc/03/01/94/770030194.db2.gz OFQFYRGTUVBAPV-UHFFFAOYSA-N 0 0 270.292 2.522 20 5 CFBDRN COc1cc(Nc2cccc([N+](=O)[O-])c2)c(F)cn1 ZINC001204439529 770049579 /nfs/dbraw/zinc/04/95/79/770049579.db2.gz GIKAMXCWHLUEDC-UHFFFAOYSA-N 0 0 263.228 2.881 20 5 CFBDRN CCOC(=O)c1ncsc1Nc1cccc([N+](=O)[O-])c1 ZINC001204439525 770050127 /nfs/dbraw/zinc/05/01/27/770050127.db2.gz GDXNCYCYGAGSEH-UHFFFAOYSA-N 0 0 293.304 2.972 20 5 CFBDRN NC(=O)c1c(F)cccc1Nc1cccc([N+](=O)[O-])c1 ZINC001204455920 770124843 /nfs/dbraw/zinc/12/48/43/770124843.db2.gz FUNGGOQJLZYUJF-UHFFFAOYSA-N 0 0 275.239 2.576 20 5 CFBDRN Nc1ccc2cn(-c3cccc([N+](=O)[O-])c3)nc2c1 ZINC001204457457 770127846 /nfs/dbraw/zinc/12/78/46/770127846.db2.gz YJZOIYBSXIVLSS-UHFFFAOYSA-N 0 0 254.249 2.516 20 5 CFBDRN Nc1ccc(Nc2cnc(C(F)(F)F)cn2)cc1[N+](=O)[O-] ZINC001209934303 770166024 /nfs/dbraw/zinc/16/60/24/770166024.db2.gz LGJXBHDGGRWVFL-UHFFFAOYSA-N 0 0 299.212 2.729 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1N[C@H]1CCC(=O)N(C)C1 ZINC001167247043 770172798 /nfs/dbraw/zinc/17/27/98/770172798.db2.gz VCOQEUYPFBKLGJ-LBPRGKRZSA-N 0 0 291.351 2.553 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N(C)Cc1ccoc1 ZINC001125371171 770219537 /nfs/dbraw/zinc/21/95/37/770219537.db2.gz QZHLYCHSKIXQNU-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cnc3c(c2)COCC3)c1F ZINC001210378968 770274918 /nfs/dbraw/zinc/27/49/18/770274918.db2.gz SKMDKTIQGNNAGC-UHFFFAOYSA-N 0 0 289.266 2.945 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cnn3cccnc23)c1F ZINC001210377925 770275067 /nfs/dbraw/zinc/27/50/67/770275067.db2.gz DICBTIQTINYRJR-UHFFFAOYSA-N 0 0 273.227 2.520 20 5 CFBDRN O=C1CC(Nc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)C1 ZINC001167341674 770317706 /nfs/dbraw/zinc/31/77/06/770317706.db2.gz ZRVYUEGERRDRMN-UHFFFAOYSA-N 0 0 274.198 2.757 20 5 CFBDRN O=C1CC(Nc2ccc(OC(F)(F)F)c([N+](=O)[O-])c2)C1 ZINC001167340584 770316203 /nfs/dbraw/zinc/31/62/03/770316203.db2.gz ASWAGVKONLSCER-UHFFFAOYSA-N 0 0 290.197 2.637 20 5 CFBDRN O=C1CC(Nc2cc3cccnc3c3ncccc23)C1 ZINC001167344321 770326881 /nfs/dbraw/zinc/32/68/81/770326881.db2.gz FUQUIQCNTFUYHV-UHFFFAOYSA-N 0 0 263.300 2.926 20 5 CFBDRN COc1c([N+](=O)[O-])cc(Cl)c(C)c1NC1CC(=O)C1 ZINC001167344901 770332318 /nfs/dbraw/zinc/33/23/18/770332318.db2.gz YLLBVILMBMSTMQ-UHFFFAOYSA-N 0 0 284.699 2.709 20 5 CFBDRN Cc1ccc(O)c(Nc2ccc(F)cc2[N+](=O)[O-])n1 ZINC001210951749 770404217 /nfs/dbraw/zinc/40/42/17/770404217.db2.gz OTTWAVKROGUOPD-UHFFFAOYSA-N 0 0 263.228 2.887 20 5 CFBDRN COc1ccnc(Nc2ccc(F)cc2[N+](=O)[O-])c1 ZINC001210950224 770404461 /nfs/dbraw/zinc/40/44/61/770404461.db2.gz VCBOAHQOGGBUCS-UHFFFAOYSA-N 0 0 263.228 2.881 20 5 CFBDRN CSc1nc(Nc2ccc(F)c([N+](=O)[O-])c2)cc(=O)[nH]1 ZINC001211190117 770448539 /nfs/dbraw/zinc/44/85/39/770448539.db2.gz LXWXQLRRVGROFB-UHFFFAOYSA-N 0 0 296.283 2.695 20 5 CFBDRN Cc1cc(Cl)c(N[C@](C)(C(N)=O)C(C)C)c([N+](=O)[O-])c1 ZINC001167422962 770459391 /nfs/dbraw/zinc/45/93/91/770459391.db2.gz IKIIXGAUKSVCDK-ZDUSSCGKSA-N 0 0 299.758 2.869 20 5 CFBDRN O=C(Cc1ccccc1)OCc1cccc([N+](=O)[O-])c1 ZINC001125485579 770517781 /nfs/dbraw/zinc/51/77/81/770517781.db2.gz NIVAEGCELMZZJH-UHFFFAOYSA-N 0 0 271.272 2.881 20 5 CFBDRN CC(C)c1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000126322745 770531016 /nfs/dbraw/zinc/53/10/16/770531016.db2.gz WAZXZLXCBGYHDC-UHFFFAOYSA-N 0 0 289.291 2.798 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H](C)C2(C)CC2)c([N+](=O)[O-])c1 ZINC000706938516 770549178 /nfs/dbraw/zinc/54/91/78/770549178.db2.gz YBUBVKUXMLIOPI-VIFPVBQESA-N 0 0 277.324 2.555 20 5 CFBDRN CN1C[C@H](CCNc2cc(Cl)ccc2[N+](=O)[O-])CC1=O ZINC000723396680 770562911 /nfs/dbraw/zinc/56/29/11/770562911.db2.gz JHRTVLAPAMXAIM-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN COc1cnc(N[C@@H](C)c2ccc(F)cn2)cc1[N+](=O)[O-] ZINC001167476251 770604241 /nfs/dbraw/zinc/60/42/41/770604241.db2.gz QORSUMNBOCVBPW-QMMMGPOBSA-N 0 0 292.270 2.706 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCCC1CC1 ZINC000588439669 770696773 /nfs/dbraw/zinc/69/67/73/770696773.db2.gz NOIMRUXQMXNDIQ-ZHACJKMWSA-N 0 0 274.320 2.914 20 5 CFBDRN Cc1c[nH]c(CNCc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000693488342 770725310 /nfs/dbraw/zinc/72/53/10/770725310.db2.gz YOIMVZVHXULEAZ-UHFFFAOYSA-N 0 0 280.715 2.570 20 5 CFBDRN CC[C@@H](Nc1ncc(OC)cc1[N+](=O)[O-])C(F)(F)F ZINC001161375228 770735020 /nfs/dbraw/zinc/73/50/20/770735020.db2.gz YQQMWYIBJASWMC-MRVPVSSYSA-N 0 0 279.218 2.751 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000724122876 770796909 /nfs/dbraw/zinc/79/69/09/770796909.db2.gz UWXNEPAYUORBTL-OLZOCXBDSA-N 0 0 284.743 2.857 20 5 CFBDRN COC1(C(F)(F)F)CN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000724198258 770811101 /nfs/dbraw/zinc/81/11/01/770811101.db2.gz VLYVBEHEYTZINL-UHFFFAOYSA-N 0 0 294.204 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2C[C@H]3CC[C@@H](C2)S3)cn1 ZINC000403646380 770820025 /nfs/dbraw/zinc/82/00/25/770820025.db2.gz SDSGVBQAVIAKHU-FGWVZKOKSA-N 0 0 265.338 2.828 20 5 CFBDRN C[C@H]1COC[C@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000687970687 770884474 /nfs/dbraw/zinc/88/44/74/770884474.db2.gz FFIHNSVWTYYPAR-OIBJUYFYSA-N 0 0 256.689 2.695 20 5 CFBDRN CC(C)(CNc1cc(Cl)ccc1/C=C/[N+](=O)[O-])C(N)=O ZINC001167605417 770975059 /nfs/dbraw/zinc/97/50/59/770975059.db2.gz CLDFRCYYZMPOPU-AATRIKPKSA-N 0 0 297.742 2.511 20 5 CFBDRN Nc1cc(CNc2ccc3cnccc3c2[N+](=O)[O-])ccn1 ZINC001167617198 770993275 /nfs/dbraw/zinc/99/32/75/770993275.db2.gz WUAFMGQKTAYCIB-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN Cc1cnc(CO[C@H](C)C2CC2)c(C)c1[N+](=O)[O-] ZINC001224183611 775121415 /nfs/dbraw/zinc/12/14/15/775121415.db2.gz PHPNCRBWTLYYPK-SNVBAGLBSA-N 0 0 250.298 2.922 20 5 CFBDRN CC1(C)OC[C@H](CCOCCc2ccc([N+](=O)[O-])cc2)O1 ZINC001224332233 775159243 /nfs/dbraw/zinc/15/92/43/775159243.db2.gz XESNINXJAXGUJN-AWEZNQCLSA-N 0 0 295.335 2.696 20 5 CFBDRN C[C@H](OCCc1ccc([N+](=O)[O-])cc1)c1cncnc1 ZINC001224330899 775159381 /nfs/dbraw/zinc/15/93/81/775159381.db2.gz LGVYYDAGIHGYHS-NSHDSACASA-N 0 0 273.292 2.705 20 5 CFBDRN C[C@@H]1C[C@H](OCCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001224333198 775160678 /nfs/dbraw/zinc/16/06/78/775160678.db2.gz BZMDTYRKOGUMMT-BXUZGUMPSA-N 0 0 265.309 2.721 20 5 CFBDRN C[C@H]1C[C@H](OCCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001224333203 775160774 /nfs/dbraw/zinc/16/07/74/775160774.db2.gz BZMDTYRKOGUMMT-SMDDNHRTSA-N 0 0 265.309 2.721 20 5 CFBDRN C[C@H]1COCC[C@@H]1OCCc1ccc([N+](=O)[O-])cc1 ZINC001224333282 775160902 /nfs/dbraw/zinc/16/09/02/775160902.db2.gz DNNKRZRCHUXYPJ-FZMZJTMJSA-N 0 0 265.309 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCC1SCCS1 ZINC000693839005 775197183 /nfs/dbraw/zinc/19/71/83/775197183.db2.gz KLQKSWOMIWCSQP-UHFFFAOYSA-N 0 0 285.394 2.906 20 5 CFBDRN C[C@@H](OCC1CC2(C1)OCCO2)c1ccccc1[N+](=O)[O-] ZINC001224631558 775232349 /nfs/dbraw/zinc/23/23/49/775232349.db2.gz ICSSOLABTRFZPD-LLVKDONJSA-N 0 0 293.319 2.826 20 5 CFBDRN CCO[C@@H]1C[C@@H]1COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000588599931 775262420 /nfs/dbraw/zinc/26/24/20/775262420.db2.gz TTXFOWLSGCJORG-GPTAZTBJSA-N 0 0 291.303 2.576 20 5 CFBDRN COCCOCCCO[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001224897052 775302562 /nfs/dbraw/zinc/30/25/62/775302562.db2.gz CJIWXPULDVGOMO-LBPRGKRZSA-N 0 0 283.324 2.726 20 5 CFBDRN C[C@H](COCc1ccccc1)CO[C@H](C[N+](=O)[O-])C1CC1 ZINC001225003712 775331811 /nfs/dbraw/zinc/33/18/11/775331811.db2.gz KPGCRLKYWLXGGV-CZUORRHYSA-N 0 0 293.363 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cccnc1)C2 ZINC001225285265 775392447 /nfs/dbraw/zinc/39/24/47/775392447.db2.gz YZXKHGGKFMMRNT-CQSZACIVSA-N 0 0 256.261 2.536 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(F)c(F)c1)c1cccnc1 ZINC001225313148 775407038 /nfs/dbraw/zinc/40/70/38/775407038.db2.gz FZSMUWUMIINESP-CYBMUJFWSA-N 0 0 280.230 2.757 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(C(F)(F)F)cn1)C1CC1 ZINC001225327555 775412546 /nfs/dbraw/zinc/41/25/46/775412546.db2.gz DXKXPZNBXRABPM-SECBINFHSA-N 0 0 276.214 2.535 20 5 CFBDRN Cc1ccnc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001225349644 775422851 /nfs/dbraw/zinc/42/28/51/775422851.db2.gz ISUWXBITIMHHDB-CQSZACIVSA-N 0 0 270.288 2.844 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382838 775436471 /nfs/dbraw/zinc/43/64/71/775436471.db2.gz SZKUAOFPYLCZTN-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC001225386434 775437881 /nfs/dbraw/zinc/43/78/81/775437881.db2.gz ZSQVYMRKMUPISV-NXEZZACHSA-N 0 0 297.282 2.845 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])c(OC)c1 ZINC001225441790 775458659 /nfs/dbraw/zinc/45/86/59/775458659.db2.gz ZHCLPZXYLFVKRO-JTQLQIEISA-N 0 0 297.307 2.714 20 5 CFBDRN COc1cc(O[C@@H]2COc3cnccc32)ccc1[N+](=O)[O-] ZINC001225439805 775459044 /nfs/dbraw/zinc/45/90/44/775459044.db2.gz BMFXTUNQVXIELA-CQSZACIVSA-N 0 0 288.259 2.511 20 5 CFBDRN COc1cc(O[C@H](C)c2cncnc2)ccc1[N+](=O)[O-] ZINC001225441811 775459079 /nfs/dbraw/zinc/45/90/79/775459079.db2.gz ZXNGBFYBPFKRJB-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COc1cc(O[C@H]2COc3cnccc32)ccc1[N+](=O)[O-] ZINC001225439792 775459098 /nfs/dbraw/zinc/45/90/98/775459098.db2.gz BMFXTUNQVXIELA-AWEZNQCLSA-N 0 0 288.259 2.511 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1OC1CC(F)(F)C1 ZINC001225447153 775460319 /nfs/dbraw/zinc/46/03/19/775460319.db2.gz HKLOAWJDGLCGJW-UHFFFAOYSA-N 0 0 287.218 2.558 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H]1CC[C@H](C)C1 ZINC001225452070 775462514 /nfs/dbraw/zinc/46/25/14/775462514.db2.gz XPICTFXFBUPHNI-GXSJLCMTSA-N 0 0 279.292 2.949 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001225452100 775462675 /nfs/dbraw/zinc/46/26/75/775462675.db2.gz YOLWDQXWFZBQJQ-DOFRTFSJSA-N 0 0 277.276 2.559 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@H]1CC[C@H](C)C1 ZINC001225452073 775463210 /nfs/dbraw/zinc/46/32/10/775463210.db2.gz XPICTFXFBUPHNI-ONGXEEELSA-N 0 0 279.292 2.949 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2cc(F)ccc2[N+](=O)[O-])C1 ZINC001225577060 775507338 /nfs/dbraw/zinc/50/73/38/775507338.db2.gz FDIQPFFYUOGHCU-GXSJLCMTSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1c([C@@H](C)Oc2cc(F)ccc2[N+](=O)[O-])cnn1C ZINC001225575839 775507366 /nfs/dbraw/zinc/50/73/66/775507366.db2.gz YJQFYGKMQUCTOS-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225576562 775507775 /nfs/dbraw/zinc/50/77/75/775507775.db2.gz AKKFTJXWLNLYPH-NSHDSACASA-N 0 0 255.245 2.870 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC001225577896 775509677 /nfs/dbraw/zinc/50/96/77/775509677.db2.gz KPNYWQJITUGWAS-SCZZXKLOSA-N 0 0 255.245 2.680 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2cc(F)ccc2[N+](=O)[O-])C1 ZINC001225577720 775509755 /nfs/dbraw/zinc/50/97/55/775509755.db2.gz IJAPOIVNWZPVGQ-KOLCDFICSA-N 0 0 297.282 2.845 20 5 CFBDRN COC[C@H](C)Oc1c(Br)cccc1[N+](=O)[O-] ZINC001225606286 775520184 /nfs/dbraw/zinc/52/01/84/775520184.db2.gz LVBMDYMXELVNOB-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN C[C@@H](COC(C)(C)C)Oc1ccc([N+](=O)[O-])cn1 ZINC001225627746 775530441 /nfs/dbraw/zinc/53/04/41/775530441.db2.gz HEMIEHAMLUYKDE-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN COc1ccc([C@@H](C)Oc2cccnc2[N+](=O)[O-])cn1 ZINC001225629316 775531638 /nfs/dbraw/zinc/53/16/38/775531638.db2.gz KFTTWQQAIXFYFV-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1ccc([N+](=O)[O-])cn1 ZINC001225630796 775531963 /nfs/dbraw/zinc/53/19/63/775531963.db2.gz FPXLLDDJNFVKPF-LBPRGKRZSA-N 0 0 266.297 2.907 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1cccnc1[N+](=O)[O-] ZINC001225628966 775532135 /nfs/dbraw/zinc/53/21/35/775532135.db2.gz BHKZTHBJACXRHK-LLVKDONJSA-N 0 0 266.297 2.907 20 5 CFBDRN CC(C)Oc1cncc(COc2cccnc2[N+](=O)[O-])c1 ZINC001225629434 775532359 /nfs/dbraw/zinc/53/23/59/775532359.db2.gz NIZOQGYHWGAJNT-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cccc(C)c2[N+](=O)[O-])=C1C ZINC001225631025 775533218 /nfs/dbraw/zinc/53/32/18/775533218.db2.gz CHSGTHLZCBOLHO-SNVBAGLBSA-N 0 0 277.276 2.891 20 5 CFBDRN CC(=O)[C@H](Oc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC001225631627 775533520 /nfs/dbraw/zinc/53/35/20/775533520.db2.gz GTXQIKMPJRBUTP-AWEZNQCLSA-N 0 0 272.260 2.699 20 5 CFBDRN Cc1cc(O[C@@H]2CCCC[C@@H]2F)ncc1[N+](=O)[O-] ZINC001225660903 775545358 /nfs/dbraw/zinc/54/53/58/775545358.db2.gz IEAUQFRMXCSJMQ-GXSJLCMTSA-N 0 0 254.261 2.958 20 5 CFBDRN COC(C[C@@H](C)Oc1cccc(F)c1[N+](=O)[O-])OC ZINC001225666844 775548555 /nfs/dbraw/zinc/54/85/55/775548555.db2.gz NFSVBMSREULADB-MRVPVSSYSA-N 0 0 273.260 2.510 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1cccc(F)c1[N+](=O)[O-] ZINC001225670228 775551041 /nfs/dbraw/zinc/55/10/41/775551041.db2.gz BLCARKHYUOJVJY-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)c2ncccn2)c1 ZINC001225679507 775555061 /nfs/dbraw/zinc/55/50/61/775555061.db2.gz VTCUAZYSCBKMEY-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC1=C(Oc2cc(C)ccc2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001225681507 775557152 /nfs/dbraw/zinc/55/71/52/775557152.db2.gz AHPQIXPGEJYHBA-VIFPVBQESA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1c(O[C@H]2COc3cnccc32)cccc1[N+](=O)[O-] ZINC001225692965 775560700 /nfs/dbraw/zinc/56/07/00/775560700.db2.gz JJCODOLNWXBBFR-AWEZNQCLSA-N 0 0 272.260 2.811 20 5 CFBDRN COC(=O)C1CC(Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC001225710287 775569625 /nfs/dbraw/zinc/56/96/25/775569625.db2.gz JEDXSWOUFFBNSB-UHFFFAOYSA-N 0 0 285.683 2.579 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC001225714154 775571080 /nfs/dbraw/zinc/57/10/80/775571080.db2.gz ZUOKIBMAXSZIMB-QMMMGPOBSA-N 0 0 285.683 2.745 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC2CCC(O)CC2)c(F)c1 ZINC001218364625 775581714 /nfs/dbraw/zinc/58/17/14/775581714.db2.gz KSHNOPCXASPQIQ-UHFFFAOYSA-N 0 0 273.235 2.555 20 5 CFBDRN COc1ccc(O[C@@H](C)c2cn(C)nc2C)c([N+](=O)[O-])c1 ZINC001225767882 775587427 /nfs/dbraw/zinc/58/74/27/775587427.db2.gz VBORYDVGPWVRCZ-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN C[C@@H](Oc1cc(F)c(F)cc1[N+](=O)[O-])c1cnccn1 ZINC001225775811 775588720 /nfs/dbraw/zinc/58/87/20/775588720.db2.gz HWGPMEQPLOYBDI-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN O=C1CCC(Oc2cc(F)c(F)cc2[N+](=O)[O-])CC1 ZINC001225775509 775589153 /nfs/dbraw/zinc/58/91/53/775589153.db2.gz DLMISWAUSOORMS-UHFFFAOYSA-N 0 0 271.219 2.764 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cc(F)c(F)cc2[N+](=O)[O-])CCO1 ZINC001225778933 775590353 /nfs/dbraw/zinc/59/03/53/775590353.db2.gz LFZPQUONCMTFJD-HTQZYQBOSA-N 0 0 273.235 2.819 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc([N+](=O)[O-])c1Cl)C1CC1 ZINC001225787185 775593604 /nfs/dbraw/zinc/59/36/04/775593604.db2.gz CRCPDSOJCYFHRI-JTQLQIEISA-N 0 0 286.671 2.682 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1cccc([N+](=O)[O-])c1Cl ZINC001225786215 775593639 /nfs/dbraw/zinc/59/36/39/775593639.db2.gz QHGQHMLHQFZCDB-XVKPBYJWSA-N 0 0 257.673 2.662 20 5 CFBDRN CC1=C(Oc2cccc([N+](=O)[O-])c2Cl)C(=O)O[C@H]1C ZINC001225790070 775595034 /nfs/dbraw/zinc/59/50/34/775595034.db2.gz ZNSRKYRNRGECTI-ZETCQYMHSA-N 0 0 283.667 2.846 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2CCO[C@H](C)C2)c1 ZINC001225804998 775598766 /nfs/dbraw/zinc/59/87/66/775598766.db2.gz GACLCFSIQQDHDJ-BXKDBHETSA-N 0 0 279.292 2.744 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC001225904364 775629509 /nfs/dbraw/zinc/62/95/09/775629509.db2.gz CAVSHZTVSNNBSX-HOMQSWHASA-N 0 0 297.282 2.845 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc(F)cc2[N+](=O)[O-])=C1C ZINC001225904508 775629636 /nfs/dbraw/zinc/62/96/36/775629636.db2.gz FGNBVZQDQUXZHO-SNVBAGLBSA-N 0 0 281.239 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCCC3(C2)OCCO3)cc1F ZINC001225916764 775634053 /nfs/dbraw/zinc/63/40/53/775634053.db2.gz VFXRYCBTDLXDHY-LLVKDONJSA-N 0 0 297.282 2.798 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC001225942345 775642243 /nfs/dbraw/zinc/64/22/43/775642243.db2.gz QNPVHKISUZWYSQ-SCZZXKLOSA-N 0 0 255.245 2.680 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2F)CC1 ZINC001225941658 775642532 /nfs/dbraw/zinc/64/25/32/775642532.db2.gz IKOQUZCKIRMYIR-HOMQSWHASA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(F)c(F)c1F)C1CC1 ZINC001225956843 775647858 /nfs/dbraw/zinc/64/78/58/775647858.db2.gz OLYLIHLOTYMRJG-VIFPVBQESA-N 0 0 261.199 2.538 20 5 CFBDRN CC[C@H](COC)Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225964395 775650216 /nfs/dbraw/zinc/65/02/16/775650216.db2.gz ZJYPTMFMQWLLKB-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CC1(C)OC[C@H](CCOc2c(F)cccc2[N+](=O)[O-])O1 ZINC001226006373 775664522 /nfs/dbraw/zinc/66/45/22/775664522.db2.gz CQHRTBXGBBOION-VIFPVBQESA-N 0 0 285.271 2.654 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226006308 775664528 /nfs/dbraw/zinc/66/45/28/775664528.db2.gz BOZGTGJUJKMBMH-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC1CCC2(CC1)OCCO2 ZINC001226007082 775664624 /nfs/dbraw/zinc/66/46/24/775664624.db2.gz JMPVIIXALCXVJA-UHFFFAOYSA-N 0 0 297.282 2.798 20 5 CFBDRN CCOC(=O)CC1CC(Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC001226006755 775664852 /nfs/dbraw/zinc/66/48/52/775664852.db2.gz HIHQEDIBLDFAKH-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1c(F)cccc1[N+](=O)[O-] ZINC001226010902 775667564 /nfs/dbraw/zinc/66/75/64/775667564.db2.gz XGSLBPDKGCVVTJ-GZMMTYOYSA-N 0 0 255.245 2.538 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1c(F)cccc1[N+](=O)[O-] ZINC001226010364 775667647 /nfs/dbraw/zinc/66/76/47/775667647.db2.gz TWOVXFFJQHNAJN-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN Cc1c(=O)[nH]cnc1O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001226077325 775690570 /nfs/dbraw/zinc/69/05/70/775690570.db2.gz RDGHTKYGPINURQ-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1ccnc(O[C@H]2CCCC[C@@H]2F)c1[N+](=O)[O-] ZINC001226120338 775706766 /nfs/dbraw/zinc/70/67/66/775706766.db2.gz UFYZASUEXLKBCZ-UWVGGRQHSA-N 0 0 254.261 2.958 20 5 CFBDRN Cc1ccnc(O[C@H]2CCc3cccnc32)c1[N+](=O)[O-] ZINC001226120072 775707712 /nfs/dbraw/zinc/70/77/12/775707712.db2.gz ROGNMNASMCVZIZ-NSHDSACASA-N 0 0 271.276 2.760 20 5 CFBDRN CC(C)(C)N1CC(Oc2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC001226130831 775709920 /nfs/dbraw/zinc/70/99/20/775709920.db2.gz WBUGOVDKROTMNK-UHFFFAOYSA-N 0 0 285.731 2.505 20 5 CFBDRN CCC[C@@H](CC)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226167459 775723877 /nfs/dbraw/zinc/72/38/77/775723877.db2.gz JQZAKSBFUUATGS-SNVBAGLBSA-N 0 0 266.297 2.651 20 5 CFBDRN Cc1cc(C(F)(F)F)cc(O[C@H](C[N+](=O)[O-])C2CC2)n1 ZINC001226188103 775730762 /nfs/dbraw/zinc/73/07/62/775730762.db2.gz ZXAOMOJZKWQYFW-SNVBAGLBSA-N 0 0 290.241 2.843 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCC=CO2)c(F)cc1F ZINC001226192989 775733216 /nfs/dbraw/zinc/73/32/16/775733216.db2.gz ZKUKKZOCDIEBEO-MRVPVSSYSA-N 0 0 271.219 2.945 20 5 CFBDRN COC(C[C@@H](C)Oc1cc([N+](=O)[O-])ccc1F)OC ZINC001226264771 775762461 /nfs/dbraw/zinc/76/24/61/775762461.db2.gz BJXPSDZWZLALOM-MRVPVSSYSA-N 0 0 273.260 2.510 20 5 CFBDRN COC(C[C@H](C)Oc1ccc(C(C)=O)cc1[N+](=O)[O-])OC ZINC001226293025 775770298 /nfs/dbraw/zinc/77/02/98/775770298.db2.gz QVBMZIPCTWBDCR-VIFPVBQESA-N 0 0 297.307 2.574 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cnccc1Cl ZINC001226292986 775770320 /nfs/dbraw/zinc/77/03/20/775770320.db2.gz CBNRICOKTUBYAF-MRVPVSSYSA-N 0 0 296.714 2.616 20 5 CFBDRN Cc1cn2c(n1)[C@H](Oc1c(O)cccc1[N+](=O)[O-])CCC2 ZINC001226334484 775782338 /nfs/dbraw/zinc/78/23/38/775782338.db2.gz VRNNBUQNKRKWRB-GFCCVEGCSA-N 0 0 289.291 2.719 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1OC[C@H]1Cc2ccccc2O1 ZINC001226336972 775784140 /nfs/dbraw/zinc/78/41/40/775784140.db2.gz UNJHWUZRFANNFD-LLVKDONJSA-N 0 0 287.271 2.683 20 5 CFBDRN COC1=CC[C@H](Oc2c(O)cccc2[N+](=O)[O-])CC1 ZINC001226340593 775785172 /nfs/dbraw/zinc/78/51/72/775785172.db2.gz OPGSDPHOXVFWQO-JTQLQIEISA-N 0 0 265.265 2.762 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1nc(Cl)ccc1[N+](=O)[O-] ZINC001226364722 775790732 /nfs/dbraw/zinc/79/07/32/775790732.db2.gz SDQQPVSNTNBSOP-SECBINFHSA-N 0 0 272.688 2.780 20 5 CFBDRN CC1(C)C[C@@H](Oc2nc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC001226363962 775791239 /nfs/dbraw/zinc/79/12/39/775791239.db2.gz BDNFZWNSQAZCQI-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN CC(C)(C)c1ccc(OC[C@@H]2COCCO2)c([N+](=O)[O-])c1 ZINC001226446751 775825196 /nfs/dbraw/zinc/82/51/96/775825196.db2.gz PWFSDHWIBHCUIS-LBPRGKRZSA-N 0 0 295.335 2.687 20 5 CFBDRN CC(C)(C)c1ccc(OC[C@H]2COC(=O)O2)c([N+](=O)[O-])c1 ZINC001226445514 775825441 /nfs/dbraw/zinc/82/54/41/775825441.db2.gz DSICNOUUPTWYMA-JTQLQIEISA-N 0 0 295.291 2.807 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CCO[C@H](C)C2)c1 ZINC001226445579 775826187 /nfs/dbraw/zinc/82/61/87/775826187.db2.gz HRGAOCLZLCYXPW-MWLCHTKSSA-N 0 0 267.281 2.550 20 5 CFBDRN CCc1nc(O[C@H](C)c2ccccc2[N+](=O)[O-])cc(=O)[nH]1 ZINC001226475942 775838997 /nfs/dbraw/zinc/83/89/97/775838997.db2.gz OVIKSHGHYIUHRS-SECBINFHSA-N 0 0 289.291 2.793 20 5 CFBDRN Cc1ccc2[nH]c(O[C@H](C[N+](=O)[O-])c3cccnc3)nc2c1 ZINC001226510341 775853107 /nfs/dbraw/zinc/85/31/07/775853107.db2.gz XXRUJSCBCKYUEU-CQSZACIVSA-N 0 0 298.302 2.663 20 5 CFBDRN CN1CC(Oc2ccc3c(c2)oc(=O)c2ccccc32)C1 ZINC001226511483 775854845 /nfs/dbraw/zinc/85/48/45/775854845.db2.gz DYYXXNKEKYXQCZ-UHFFFAOYSA-N 0 0 281.311 2.639 20 5 CFBDRN C[C@H](COc1ccccc1)Oc1ccc([N+](=O)[O-])nc1 ZINC001226710152 775931667 /nfs/dbraw/zinc/93/16/67/775931667.db2.gz NGEDFODUJUCSHM-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1ccc([N+](=O)[O-])nc1 ZINC001226708813 775932288 /nfs/dbraw/zinc/93/22/88/775932288.db2.gz CWSNKGVIJYIFBG-LBPRGKRZSA-N 0 0 266.297 2.907 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1ccc([N+](=O)[O-])nc1 ZINC001226712888 775935218 /nfs/dbraw/zinc/93/52/18/775935218.db2.gz RGRFQLPEAKZEMP-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN Cc1ccc(OC[C@@H](C)Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC001226733267 775940921 /nfs/dbraw/zinc/94/09/21/775940921.db2.gz LFMTUUOLOSDXJY-LLVKDONJSA-N 0 0 289.291 2.540 20 5 CFBDRN CC(C)=CCC[C@@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733458 775941313 /nfs/dbraw/zinc/94/13/13/775941313.db2.gz PJUHGTIWQNDVQR-SNVBAGLBSA-N 0 0 251.286 2.899 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CCc3cc(F)ccc32)nc1 ZINC001226732943 775941956 /nfs/dbraw/zinc/94/19/56/775941956.db2.gz BWOKUJVKHINKMQ-LBPRGKRZSA-N 0 0 275.239 2.590 20 5 CFBDRN CCN1CCC[C@H](Oc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC001226748600 775945360 /nfs/dbraw/zinc/94/53/60/775945360.db2.gz LXRMHQQMUXZLII-JTQLQIEISA-N 0 0 286.278 2.736 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226749126 775945802 /nfs/dbraw/zinc/94/58/02/775945802.db2.gz QLRHGPZZFIGXCL-HQJQHLMTSA-N 0 0 273.235 2.677 20 5 CFBDRN COc1ccc([C@H](C)Oc2ncc(F)cc2[N+](=O)[O-])cn1 ZINC001226751032 775946438 /nfs/dbraw/zinc/94/64/38/775946438.db2.gz CNBPAVAJSDAJST-QMMMGPOBSA-N 0 0 293.254 2.673 20 5 CFBDRN C[C@@H](Oc1ncc(F)cc1[N+](=O)[O-])c1ncccc1F ZINC001226751624 775946760 /nfs/dbraw/zinc/94/67/60/775946760.db2.gz NMUSETUIJSKJKI-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@H](Cc1ccco1)Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226750934 775947188 /nfs/dbraw/zinc/94/71/88/775947188.db2.gz BFVISFMLBXXTKH-MRVPVSSYSA-N 0 0 266.228 2.732 20 5 CFBDRN C[C@@H](Oc1ncc(F)cc1[N+](=O)[O-])c1cccnc1F ZINC001226752061 775948407 /nfs/dbraw/zinc/94/84/07/775948407.db2.gz XBGGHMMTHQUQKZ-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CN3CCC2CC3)c(Cl)c1 ZINC001226760842 775951240 /nfs/dbraw/zinc/95/12/40/775951240.db2.gz CJAWPLDVNCYZHQ-CYBMUJFWSA-N 0 0 282.727 2.721 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H]1CCc2cccnc21 ZINC001226761483 775951883 /nfs/dbraw/zinc/95/18/83/775951883.db2.gz IHESAOWHLDIJCH-LBPRGKRZSA-N 0 0 271.276 2.760 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1cccc([N+](=O)[O-])c1 ZINC001226762198 775952364 /nfs/dbraw/zinc/95/23/64/775952364.db2.gz AJLPOKKJLMGDAZ-QWHCGFSZSA-N 0 0 279.292 2.705 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1ncc([N+](=O)[O-])cc1C ZINC001226764552 775953995 /nfs/dbraw/zinc/95/39/95/775953995.db2.gz KQYFBGFWQSQLMJ-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1cn2c(n1)[C@H](Oc1ncc([N+](=O)[O-])cc1C)CCC2 ZINC001226764221 775954486 /nfs/dbraw/zinc/95/44/86/775954486.db2.gz HCMVIEDNNIOWGW-GFCCVEGCSA-N 0 0 288.307 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cncc(F)c1)C2 ZINC001226844040 775986901 /nfs/dbraw/zinc/98/69/01/775986901.db2.gz ROTOLXVWWBDBRD-CYBMUJFWSA-N 0 0 274.251 2.675 20 5 CFBDRN CCOC(=O)C1CC(Oc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC001226842007 775987473 /nfs/dbraw/zinc/98/74/73/775987473.db2.gz QUHQOIUAJXKVIN-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN CCOC(=O)[C@H](Oc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC001226843023 775987543 /nfs/dbraw/zinc/98/75/43/775987543.db2.gz DPVNRASOUUJDLH-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1O[C@H]1CCOC[C@@H]1F ZINC001226907927 776012173 /nfs/dbraw/zinc/01/21/73/776012173.db2.gz YQRSNFJAJLXJLZ-WPRPVWTQSA-N 0 0 293.653 2.893 20 5 CFBDRN Cc1c([C@H](C)Oc2cc(Cl)c([N+](=O)[O-])cn2)cnn1C ZINC001226916328 776016533 /nfs/dbraw/zinc/01/65/33/776016533.db2.gz BLZKFDOGJRIMJJ-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN CCOC(=O)C1CC(Oc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC001226949871 776031287 /nfs/dbraw/zinc/03/12/87/776031287.db2.gz ALWFOXJXZISDGY-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN CCC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001226957506 776033922 /nfs/dbraw/zinc/03/39/22/776033922.db2.gz HFVWFLMMOSVNKJ-CQSZACIVSA-N 0 0 263.293 2.713 20 5 CFBDRN Cc1ncc(O[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC001226956678 776034291 /nfs/dbraw/zinc/03/42/91/776034291.db2.gz JXRLTXHAGUPWMJ-SECBINFHSA-N 0 0 259.265 2.833 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1c(C)cccc1[N+](=O)[O-] ZINC001226971975 776040384 /nfs/dbraw/zinc/04/03/84/776040384.db2.gz UUVSPDQKLCGKTF-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1nc(=O)[nH]c(O[C@@H](C)c2ccccc2[N+](=O)[O-])c1C ZINC001226982659 776048355 /nfs/dbraw/zinc/04/83/55/776048355.db2.gz USAPUFVXQFVVQF-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2c(C)ccc([N+](=O)[O-])c2Cl)C1 ZINC001226988913 776050075 /nfs/dbraw/zinc/05/00/75/776050075.db2.gz KUEABOBASOZWMH-DTORHVGOSA-N 0 0 299.710 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@H]1CCO[C@@H](C)C1 ZINC001226991543 776051710 /nfs/dbraw/zinc/05/17/10/776051710.db2.gz ANENHBWWVOMIKO-JQWIXIFHSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@H]1COc2cnccc21 ZINC001226993454 776052940 /nfs/dbraw/zinc/05/29/40/776052940.db2.gz WXUPVWYODZXGAD-AWEZNQCLSA-N 0 0 272.260 2.811 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)CC(=O)OC(C)(C)C)n1 ZINC001227061983 776080655 /nfs/dbraw/zinc/08/06/55/776080655.db2.gz DSOCZAZMVQVRGJ-JTQLQIEISA-N 0 0 296.323 2.797 20 5 CFBDRN COc1ccc([C@@H](C)Oc2nc(C)ccc2[N+](=O)[O-])cn1 ZINC001227061939 776080853 /nfs/dbraw/zinc/08/08/53/776080853.db2.gz CGCOWOATOAVFAO-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1nc(C)ccc1[N+](=O)[O-] ZINC001227065394 776082368 /nfs/dbraw/zinc/08/23/68/776082368.db2.gz UZSSYQAZZOHAJR-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC2CN(Cc3ccccc3)C2)n1 ZINC001227066107 776082534 /nfs/dbraw/zinc/08/25/34/776082534.db2.gz FNMQLKJCKZYZDL-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN C[C@@H](Oc1[nH]c(=O)nc2ccc([N+](=O)[O-])cc21)C1CCC1 ZINC001227089895 776091124 /nfs/dbraw/zinc/09/11/24/776091124.db2.gz CUNGPVDDCNSHBB-MRVPVSSYSA-N 0 0 289.291 2.811 20 5 CFBDRN CCN(Cc1ccccc1C)Cn1nccc1[N+](=O)[O-] ZINC000123717352 776098093 /nfs/dbraw/zinc/09/80/93/776098093.db2.gz NTNNKOGVBIOLDS-UHFFFAOYSA-N 0 0 274.324 2.579 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2CCCC[C@H]2F)c([N+](=O)[O-])c1 ZINC001227110561 776102284 /nfs/dbraw/zinc/10/22/84/776102284.db2.gz AQVIDXIBMYESDH-PSASIEDQSA-N 0 0 285.231 2.558 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@H](C)C1CC1 ZINC001227122093 776106672 /nfs/dbraw/zinc/10/66/72/776106672.db2.gz KZMHILWUICVOGK-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1CCC[C@@H]1C ZINC001227121942 776107065 /nfs/dbraw/zinc/10/70/65/776107065.db2.gz HXJYYPZEGWRIFY-JOYOIKCWSA-N 0 0 279.292 2.949 20 5 CFBDRN COc1ccc(Cl)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001227141014 776112307 /nfs/dbraw/zinc/11/23/07/776112307.db2.gz ISAMNDLFPRHQOD-LBPRGKRZSA-N 0 0 271.700 2.783 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1OC[C@@H]1CCO1 ZINC001227154411 776117186 /nfs/dbraw/zinc/11/71/86/776117186.db2.gz RHIAQBAIVLXGNH-LURJTMIESA-N 0 0 261.636 2.555 20 5 CFBDRN CC[C@@H](Oc1cc(F)c(Cl)cc1[N+](=O)[O-])C(=O)OC ZINC001227156244 776118698 /nfs/dbraw/zinc/11/86/98/776118698.db2.gz FOEXRXDRBMOTNG-SECBINFHSA-N 0 0 291.662 2.718 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC[C@H]1COC(C)(C)O1 ZINC001227185631 776129286 /nfs/dbraw/zinc/12/92/86/776129286.db2.gz WBANFPYSPWHKAL-NSHDSACASA-N 0 0 297.307 2.524 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H]1CCC[N@@H+](C(C)C)C1 ZINC001227187674 776129433 /nfs/dbraw/zinc/12/94/33/776129433.db2.gz PVKFSRCFQPAXIU-CYBMUJFWSA-N 0 0 294.351 2.855 20 5 CFBDRN COC(=O)C[C@@H](C)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000312739439 776137197 /nfs/dbraw/zinc/13/71/97/776137197.db2.gz IXHCWJDILWUEJE-SSDOTTSWSA-N 0 0 288.250 2.896 20 5 CFBDRN O=c1cc(O[C@@H]2C=CCC2)c2cc([N+](=O)[O-])ccc2[nH]1 ZINC001227220459 776143525 /nfs/dbraw/zinc/14/35/25/776143525.db2.gz LEYVXHKQMFVXCH-SNVBAGLBSA-N 0 0 272.260 2.946 20 5 CFBDRN Cc1ccc(O[C@H]2CCC(=O)CC2(C)C)c([N+](=O)[O-])n1 ZINC001227222114 776143701 /nfs/dbraw/zinc/14/37/01/776143701.db2.gz JRNSHMIQCSVDBI-LBPRGKRZSA-N 0 0 278.308 2.825 20 5 CFBDRN COC1=CC[C@H](Oc2ccc(C)nc2[N+](=O)[O-])CC1 ZINC001227223695 776144271 /nfs/dbraw/zinc/14/42/71/776144271.db2.gz NXVHFCRWQMEVQP-NSHDSACASA-N 0 0 264.281 2.760 20 5 CFBDRN Cc1ccc(O[C@@H](C)CC(=O)OC(C)(C)C)c([N+](=O)[O-])n1 ZINC001227222335 776144376 /nfs/dbraw/zinc/14/43/76/776144376.db2.gz LKEDPZGKOWMNEX-JTQLQIEISA-N 0 0 296.323 2.797 20 5 CFBDRN Cc1ccc(O[C@@H]2CCC(=O)CC2(C)C)c([N+](=O)[O-])n1 ZINC001227222113 776144404 /nfs/dbraw/zinc/14/44/04/776144404.db2.gz JRNSHMIQCSVDBI-GFCCVEGCSA-N 0 0 278.308 2.825 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc(Cl)c(Cl)c1)C1CC1 ZINC001227230041 776147216 /nfs/dbraw/zinc/14/72/16/776147216.db2.gz YDRWCJAAKKNUGP-SECBINFHSA-N 0 0 277.107 2.823 20 5 CFBDRN C[C@H]1C[C@@H](Oc2nc3c(cccc3[N+](=O)[O-])o2)CCO1 ZINC001227235737 776149907 /nfs/dbraw/zinc/14/99/07/776149907.db2.gz HYPLLJSYTUPXQK-IUCAKERBSA-N 0 0 278.264 2.682 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(OC[C@H]3CCC=CO3)oc2c1 ZINC001227234395 776150040 /nfs/dbraw/zinc/15/00/40/776150040.db2.gz OJFOMQQBTCBIFU-SNVBAGLBSA-N 0 0 276.248 2.808 20 5 CFBDRN Cc1cnc(O[C@@H](C)c2cccnc2F)c([N+](=O)[O-])c1 ZINC001227236758 776150883 /nfs/dbraw/zinc/15/08/83/776150883.db2.gz JPRIBPYOJMZTAV-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN C[C@H](Oc1nc2c(cccc2[N+](=O)[O-])o1)c1ncccn1 ZINC001227237537 776151299 /nfs/dbraw/zinc/15/12/99/776151299.db2.gz IVULMLYEERXTSD-QMMMGPOBSA-N 0 0 286.247 2.666 20 5 CFBDRN CC[C@@H](COC)Oc1nc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001227243731 776154361 /nfs/dbraw/zinc/15/43/61/776154361.db2.gz LKGPFWFJXGTSSP-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN Cc1cccc2[nH]c(O[C@@H](C[N+](=O)[O-])c3cccnc3)nc21 ZINC001227267368 776165125 /nfs/dbraw/zinc/16/51/25/776165125.db2.gz CFMNXBFMDMIKFO-ZDUSSCGKSA-N 0 0 298.302 2.663 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2C[C@H]3CC[C@@H]2C3)c([N+](=O)[O-])c1 ZINC001227295046 776172589 /nfs/dbraw/zinc/17/25/89/776172589.db2.gz IIILZHWOEVOEBX-IMSIIYSGSA-N 0 0 291.303 2.949 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(-c2ccccn2)cn1)C1CC1 ZINC001227294111 776172694 /nfs/dbraw/zinc/17/26/94/776172694.db2.gz FSGJIZXQLUKKIV-AWEZNQCLSA-N 0 0 285.303 2.578 20 5 CFBDRN CCOC(=O)c1ccc(O[C@@H](C)CC)c([N+](=O)[O-])c1 ZINC001227297281 776173537 /nfs/dbraw/zinc/17/35/37/776173537.db2.gz OSWCBBPDEPMVFI-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1ccc(O[C@@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC001227296446 776173756 /nfs/dbraw/zinc/17/37/56/776173756.db2.gz XOHBMAJLMSLSLN-LURJTMIESA-N 0 0 293.197 2.711 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H]1COc2cscc2O1 ZINC001227483671 776212325 /nfs/dbraw/zinc/21/23/25/776212325.db2.gz BHHVYIGSXASTOG-SECBINFHSA-N 0 0 293.300 2.875 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1ccccc1[N+](=O)[O-] ZINC001227480961 776212506 /nfs/dbraw/zinc/21/25/06/776212506.db2.gz QSGMNSIXDHIASY-PWSUYJOCSA-N 0 0 279.292 2.705 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1ccccc1[N+](=O)[O-] ZINC001227480962 776212662 /nfs/dbraw/zinc/21/26/62/776212662.db2.gz QSGMNSIXDHIASY-ZYHUDNBSSA-N 0 0 279.292 2.705 20 5 CFBDRN CC(C)[C@@H](C)Oc1c([N+](=O)[O-])cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227498465 776214931 /nfs/dbraw/zinc/21/49/31/776214931.db2.gz PAGCKSYFJMLBJW-SSDOTTSWSA-N 0 0 299.239 2.835 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1ccc([N+](=O)[O-])cc1 ZINC001227521541 776218513 /nfs/dbraw/zinc/21/85/13/776218513.db2.gz SKDIYNXZFAVZAY-CYBMUJFWSA-N 0 0 281.308 2.951 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H](C)C(F)(F)F ZINC001227696107 776260974 /nfs/dbraw/zinc/26/09/74/776260974.db2.gz KJFIOCXVZFLGLR-LURJTMIESA-N 0 0 265.187 2.933 20 5 CFBDRN C[C@H](Oc1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CCOCC1 ZINC001227742363 776275762 /nfs/dbraw/zinc/27/57/62/776275762.db2.gz YGSWJJSUEVOKCL-VIFPVBQESA-N 0 0 291.307 2.665 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nccc2cc(Cl)ncc21)C1CC1 ZINC001227857337 776310691 /nfs/dbraw/zinc/31/06/91/776310691.db2.gz HTCMXTBEXVFLRC-LLVKDONJSA-N 0 0 293.710 2.717 20 5 CFBDRN COC(=O)c1cccc(OC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC001227895271 776320303 /nfs/dbraw/zinc/32/03/03/776320303.db2.gz XBAREFJXUOHEOR-UHFFFAOYSA-N 0 0 287.218 2.558 20 5 CFBDRN Cc1cccc2c1N[C@@H](c1ccccc1[N+](=O)[O-])NC2=O ZINC000027577980 776345736 /nfs/dbraw/zinc/34/57/36/776345736.db2.gz RUPSQCQKIFKOMU-CQSZACIVSA-N 0 0 283.287 2.757 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@@H]2CC[N@H+](Cc3ccccc3)C2)c1 ZINC001227984085 776349391 /nfs/dbraw/zinc/34/93/91/776349391.db2.gz IJNQXTBKXRHJIU-OAHLLOKOSA-N 0 0 299.330 2.643 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@@H]2CCCc3cccnc32)c1 ZINC001227987586 776351052 /nfs/dbraw/zinc/35/10/52/776351052.db2.gz ILEWBQWELFPDFW-CYBMUJFWSA-N 0 0 271.276 2.841 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@H](c2cccnc2)C2CC2)c1 ZINC001227990784 776353675 /nfs/dbraw/zinc/35/36/75/776353675.db2.gz QOPAKLFKMXTBBD-AWEZNQCLSA-N 0 0 271.276 2.915 20 5 CFBDRN CC[C@H](COC)Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228016231 776364827 /nfs/dbraw/zinc/36/48/27/776364827.db2.gz KYVUFNFLXPTPAJ-MRVPVSSYSA-N 0 0 261.224 2.677 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1nccc(Cl)c1[N+](=O)[O-] ZINC001228014986 776365054 /nfs/dbraw/zinc/36/50/54/776365054.db2.gz QCMMQMCRAPOUQD-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc([N+](=O)[O-])cc(F)c2F)=C1C ZINC001228017653 776366053 /nfs/dbraw/zinc/36/60/53/776366053.db2.gz YMMWEJXSIDFPAB-VIFPVBQESA-N 0 0 299.229 2.861 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2ccccc2n1)C1CC1 ZINC001228086266 776390532 /nfs/dbraw/zinc/39/05/32/776390532.db2.gz BWMFVIWJBPBLPW-ZDUSSCGKSA-N 0 0 258.277 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCCOC2)c2ncccc12 ZINC001228135750 776405476 /nfs/dbraw/zinc/40/54/76/776405476.db2.gz MLMIIMOBFOXYRY-SNVBAGLBSA-N 0 0 274.276 2.701 20 5 CFBDRN CC[C@@H](C)CC[C@@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228141077 776406499 /nfs/dbraw/zinc/40/64/99/776406499.db2.gz OYFYGNWPFFDIFC-RKDXNWHRSA-N 0 0 269.301 2.684 20 5 CFBDRN O=c1[nH]cnc(OC2CCCCCCC2)c1[N+](=O)[O-] ZINC001228139859 776407414 /nfs/dbraw/zinc/40/74/14/776407414.db2.gz BODHPMPBNVRAPJ-UHFFFAOYSA-N 0 0 267.285 2.582 20 5 CFBDRN C[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1cccc(Cl)c1 ZINC001228141944 776407961 /nfs/dbraw/zinc/40/79/61/776407961.db2.gz XGRXUHZJLJHDAC-ZETCQYMHSA-N 0 0 295.682 2.884 20 5 CFBDRN CC[C@@H](C)C[C@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228144182 776408246 /nfs/dbraw/zinc/40/82/46/776408246.db2.gz SLMNWFXSKHFYFD-BDAKNGLRSA-N 0 0 269.301 2.684 20 5 CFBDRN CC[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1ccccc1 ZINC001228143284 776408473 /nfs/dbraw/zinc/40/84/73/776408473.db2.gz KFPJTKNZJZGAGI-SNVBAGLBSA-N 0 0 275.264 2.621 20 5 CFBDRN C[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC001228144447 776410144 /nfs/dbraw/zinc/41/01/44/776410144.db2.gz UQXXQZGHLLVGNO-ZETCQYMHSA-N 0 0 295.682 2.884 20 5 CFBDRN CN1CC[C@H](Oc2c(Cl)cc([N+](=O)[O-])cc2Cl)C1 ZINC001228199457 776421568 /nfs/dbraw/zinc/42/15/68/776421568.db2.gz ZLXOESRIYCJXDB-QMMMGPOBSA-N 0 0 291.134 2.985 20 5 CFBDRN CC(C)N1CC(Oc2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC001228208835 776422593 /nfs/dbraw/zinc/42/25/93/776422593.db2.gz HDBMOTRTASHQMX-UHFFFAOYSA-N 0 0 293.348 2.676 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(O[C@@H]3CCOC[C@H]3F)sc2c1 ZINC001228210452 776422616 /nfs/dbraw/zinc/42/26/16/776422616.db2.gz GJXDNCBULJUSTM-PSASIEDQSA-N 0 0 298.295 2.710 20 5 CFBDRN Cc1cc(C)c(O[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC001228229184 776428487 /nfs/dbraw/zinc/42/84/87/776428487.db2.gz FJDIVFFGVYCMQA-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC001228237930 776430629 /nfs/dbraw/zinc/43/06/29/776430629.db2.gz QNYZIYNBUNMHKI-TXEJJXNPSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1nc2ccc(O[C@H](C[N+](=O)[O-])C3CC3)cc2o1 ZINC001228335803 776464098 /nfs/dbraw/zinc/46/40/98/776464098.db2.gz PZRIQANSWVCKQY-CYBMUJFWSA-N 0 0 262.265 2.570 20 5 CFBDRN CCO[C@@H](C)COc1c(C(C)=O)cc(C)cc1[N+](=O)[O-] ZINC001228451376 776495371 /nfs/dbraw/zinc/49/53/71/776495371.db2.gz VUYPQCXVUBMJBT-JTQLQIEISA-N 0 0 281.308 2.910 20 5 CFBDRN O=[N+]([O-])c1cc2cc[nH]c2c(NC2(CO)CCCC2)c1 ZINC001167805168 776503706 /nfs/dbraw/zinc/50/37/06/776503706.db2.gz SFYGRIFDWZDMIN-UHFFFAOYSA-N 0 0 275.308 2.793 20 5 CFBDRN CC(C)O[C@]1(CNc2ccccc2[N+](=O)[O-])CCOC1 ZINC000722348356 776504044 /nfs/dbraw/zinc/50/40/44/776504044.db2.gz UPTZUMHYZWNZKY-AWEZNQCLSA-N 0 0 280.324 2.591 20 5 CFBDRN CCN1CC(Oc2cccc3nc4ccccc4nc32)C1 ZINC001228523125 776511770 /nfs/dbraw/zinc/51/17/70/776511770.db2.gz RLLPKBYLQLDBSE-UHFFFAOYSA-N 0 0 279.343 2.866 20 5 CFBDRN Cc1cc(Cl)ncc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001228532808 776514120 /nfs/dbraw/zinc/51/41/20/776514120.db2.gz KYBBITXUVHWOFG-LBPRGKRZSA-N 0 0 293.710 2.835 20 5 CFBDRN Cc1cc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)cnc1F ZINC001228588384 776531822 /nfs/dbraw/zinc/53/18/22/776531822.db2.gz XFKVPRQVQODBIS-CYBMUJFWSA-N 0 0 288.278 2.984 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ncncc2[N+](=O)[O-])cc1 ZINC001228654387 776553433 /nfs/dbraw/zinc/55/34/33/776553433.db2.gz JYTJJXVBNVJXPM-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN C[C@H]1CCC[C@H]1Oc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC001228657912 776555005 /nfs/dbraw/zinc/55/50/05/776555005.db2.gz NFXYNSDGPQXUSS-JOYOIKCWSA-N 0 0 299.348 2.566 20 5 CFBDRN Cc1cc2[nH]c(OC[C@@H]3CCC=CO3)nc2cc1[N+](=O)[O-] ZINC001228674626 776562332 /nfs/dbraw/zinc/56/23/32/776562332.db2.gz KGRDXJQYPJXWCI-JTQLQIEISA-N 0 0 289.291 2.851 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2C[C@H]3CC[C@@H]2C3)c1[N+](=O)[O-] ZINC001228685579 776567429 /nfs/dbraw/zinc/56/74/29/776567429.db2.gz IIRSCFCVTDBHRQ-IVZWLZJFSA-N 0 0 264.281 2.571 20 5 CFBDRN CC[C@H](Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-])C(C)C ZINC001228687759 776567640 /nfs/dbraw/zinc/56/76/40/776567640.db2.gz AWHCAMIPAZLEDD-VIFPVBQESA-N 0 0 254.286 2.817 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CC[C@@H](C)CC2)c1[N+](=O)[O-] ZINC001228688600 776569448 /nfs/dbraw/zinc/56/94/48/776569448.db2.gz KGMTUFJKKMOESU-WAAGHKOSSA-N 0 0 266.297 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC001228687609 776569493 /nfs/dbraw/zinc/56/94/93/776569493.db2.gz ZALGVBOFYHZKMJ-CBAPKCEASA-N 0 0 252.270 2.571 20 5 CFBDRN COc1ccc(C)c(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001228731208 776587159 /nfs/dbraw/zinc/58/71/59/776587159.db2.gz KZAUZCRJRVSJIX-HNNXBMFYSA-N 0 0 288.303 2.795 20 5 CFBDRN c1ccc2nc3cc(O[C@@H]4CCOC4)ccc3nc2c1 ZINC001228739255 776592206 /nfs/dbraw/zinc/59/22/06/776592206.db2.gz XVJSTLZQUYCAED-GFCCVEGCSA-N 0 0 266.300 2.951 20 5 CFBDRN O=C1OCCC[C@H]1Oc1ccc2nc3ccccc3nc2c1 ZINC001228742469 776593697 /nfs/dbraw/zinc/59/36/97/776593697.db2.gz ZBTAXJWXIXMRLP-MRXNPFEDSA-N 0 0 294.310 2.867 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001228755020 776599118 /nfs/dbraw/zinc/59/91/18/776599118.db2.gz FHKJSFWAEKVHEB-CPCISQLKSA-N 0 0 257.673 2.662 20 5 CFBDRN CC1=C[C@H](Oc2ccc([N+](=O)[O-])c(Cl)c2)CC(=O)O1 ZINC001228754343 776599272 /nfs/dbraw/zinc/59/92/72/776599272.db2.gz BBLCTKBLAIPKKD-VIFPVBQESA-N 0 0 283.667 2.846 20 5 CFBDRN COC(=O)c1cc(O[C@@H]2C[C@H]3C[C@H]3C2)cc([N+](=O)[O-])c1 ZINC001228770686 776604414 /nfs/dbraw/zinc/60/44/14/776604414.db2.gz MDTWCGBDCKSKPO-BZBKMWRSSA-N 0 0 277.276 2.559 20 5 CFBDRN Cc1cc(O[C@@H]2CCO[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC001228839526 776628737 /nfs/dbraw/zinc/62/87/37/776628737.db2.gz WIBZBKLZHZMOKF-ZYHUDNBSSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cc(O[C@@H](C)c2cncnc2)cc([N+](=O)[O-])c1 ZINC001228838524 776629316 /nfs/dbraw/zinc/62/93/16/776629316.db2.gz NUZOIKXFEHOFTG-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN O=C1CCCc2ccc(O[C@H](C[N+](=O)[O-])C3CC3)cc21 ZINC001228842915 776630212 /nfs/dbraw/zinc/63/02/12/776630212.db2.gz ZOHXCCHFRIZLOV-OAHLLOKOSA-N 0 0 275.304 2.640 20 5 CFBDRN CC/C=C\CCOC(=O)[C@@H](C)Oc1cnccc1[N+](=O)[O-] ZINC001228879224 776643774 /nfs/dbraw/zinc/64/37/74/776643774.db2.gz DAADYFNDZKGGMM-DOGVGXBMSA-N 0 0 294.307 2.657 20 5 CFBDRN C[C@@H](Oc1cnccc1[N+](=O)[O-])c1ccncc1F ZINC001228881542 776645071 /nfs/dbraw/zinc/64/50/71/776645071.db2.gz GTSSFQRVVFHFPK-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CC(C)[C@@H](Oc1cnccc1[N+](=O)[O-])C(F)(F)F ZINC001228880009 776645085 /nfs/dbraw/zinc/64/50/85/776645085.db2.gz ONZQLHVJAYNGEG-SECBINFHSA-N 0 0 264.203 2.956 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1C ZINC001228916518 776657498 /nfs/dbraw/zinc/65/74/98/776657498.db2.gz UBCSBLVEMCXHNS-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ccc([N+](=O)[O-])c(Cl)n2)CCO1 ZINC001228937510 776663534 /nfs/dbraw/zinc/66/35/34/776663534.db2.gz GKFQZDNABSAXDT-SFYZADRCSA-N 0 0 272.688 2.590 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228971324 776672280 /nfs/dbraw/zinc/67/22/80/776672280.db2.gz OWAHWYOSPZPIEZ-JTQLQIEISA-N 0 0 295.291 2.518 20 5 CFBDRN C[C@H]1CC[C@H](Oc2cc(O)cc([O-])c2[N+](=O)[O-])CC1 ZINC001228969886 776672595 /nfs/dbraw/zinc/67/25/95/776672595.db2.gz DKUOHAJZWABAGL-CZMCAQCFSA-N 0 0 267.281 2.964 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1OC[C@H]1CCCCO1 ZINC001228972262 776674043 /nfs/dbraw/zinc/67/40/43/776674043.db2.gz XTFROPRPOCHKMP-LLVKDONJSA-N 0 0 279.292 2.745 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1CCOC[C@H]1C ZINC001228977777 776675758 /nfs/dbraw/zinc/67/57/58/776675758.db2.gz RCBOJUJACFXMAF-NOZJJQNGSA-N 0 0 279.292 2.601 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229007129 776687089 /nfs/dbraw/zinc/68/70/89/776687089.db2.gz FZLDECKWPZTKIA-QMTHXVAHSA-N 0 0 288.303 2.947 20 5 CFBDRN CC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(=O)OC ZINC001229056592 776703294 /nfs/dbraw/zinc/70/32/94/776703294.db2.gz QOKFWYGELXBYLU-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN C[C@H](Oc1ccc(/C=C/[N+](=O)[O-])cc1)c1cnccn1 ZINC001229113301 776721524 /nfs/dbraw/zinc/72/15/24/776721524.db2.gz ALJCDHFNLWYYPE-LAHYYIKRSA-N 0 0 271.276 2.864 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114997 776723037 /nfs/dbraw/zinc/72/30/37/776723037.db2.gz OLYIUWDGLRGZPK-FBOQAHMBSA-N 0 0 279.292 2.655 20 5 CFBDRN CC1=C[C@H](Oc2ccc(/C=C/[N+](=O)[O-])cc2)CC(=O)O1 ZINC001229118363 776725784 /nfs/dbraw/zinc/72/57/84/776725784.db2.gz CGCJMIRELNCHGD-YBJDMEARSA-N 0 0 275.260 2.532 20 5 CFBDRN CC(=O)c1cccc(O[C@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC001229136435 776728814 /nfs/dbraw/zinc/72/88/14/776728814.db2.gz BQYLRZZGVMHNQM-CABZTGNLSA-N 0 0 279.292 2.601 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229132264 776728831 /nfs/dbraw/zinc/72/88/31/776728831.db2.gz QYVRHYXCIOVAEI-JTQLQIEISA-N 0 0 295.291 2.518 20 5 CFBDRN CC(=O)c1cccc(O[C@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC001229136437 776729109 /nfs/dbraw/zinc/72/91/09/776729109.db2.gz BQYLRZZGVMHNQM-SKDRFNHKSA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC[C@H]1CCCO1 ZINC001229197636 776749760 /nfs/dbraw/zinc/74/97/60/776749760.db2.gz JANWVVZVBJJGJW-SNVBAGLBSA-N 0 0 255.245 2.600 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1COc2cnccc21 ZINC001229202602 776750694 /nfs/dbraw/zinc/75/06/94/776750694.db2.gz ZQAVJKVZBPQOQB-ZDUSSCGKSA-N 0 0 290.250 2.950 20 5 CFBDRN CC(C)[C@@H](C)Oc1n[nH]c(=O)c2cccc([N+](=O)[O-])c21 ZINC001229367577 776792553 /nfs/dbraw/zinc/79/25/53/776792553.db2.gz PEKQYTQVCPOVRN-MRVPVSSYSA-N 0 0 277.280 2.667 20 5 CFBDRN COC(=O)C1CC(Oc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC001229375981 776793338 /nfs/dbraw/zinc/79/33/38/776793338.db2.gz CPNIJTYAKMEQDP-UHFFFAOYSA-N 0 0 285.683 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OC1CCOCC1 ZINC001229376861 776795020 /nfs/dbraw/zinc/79/50/20/776795020.db2.gz MDMLIRQIFNYRAY-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1O[C@@H]1CCCOC1 ZINC001229378372 776795764 /nfs/dbraw/zinc/79/57/64/776795764.db2.gz WJOHZIUYHARONJ-SECBINFHSA-N 0 0 257.673 2.806 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1O)c1nccs1 ZINC001229396472 776799636 /nfs/dbraw/zinc/79/96/36/776799636.db2.gz UTCKNBAKSDJUEW-ZETCQYMHSA-N 0 0 266.278 2.897 20 5 CFBDRN C[C@@H](Cc1ccco1)Oc1cc([N+](=O)[O-])ccc1O ZINC001229395879 776799737 /nfs/dbraw/zinc/79/97/37/776799737.db2.gz MTUGLSWQHONYJW-VIFPVBQESA-N 0 0 263.249 2.903 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1O)c1ccccn1 ZINC001229396346 776800075 /nfs/dbraw/zinc/80/00/75/776800075.db2.gz SFSWRBZNAXOETP-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@@H](Oc2cc([N+](=O)[O-])ccc2[O-])C1 ZINC001229396260 776800223 /nfs/dbraw/zinc/80/02/23/776800223.db2.gz RUQWIFGITNIOKL-GFCCVEGCSA-N 0 0 280.324 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)ncnc2O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001229402488 776800743 /nfs/dbraw/zinc/80/07/43/776800743.db2.gz KPTCTMHDRVQCKD-IMSIIYSGSA-N 0 0 283.287 2.881 20 5 CFBDRN COC1=CC[C@@H](Oc2cc([N+](=O)[O-])ccc2O)CC1 ZINC001229400257 776801524 /nfs/dbraw/zinc/80/15/24/776801524.db2.gz SWDNYUYLELVFFO-LLVKDONJSA-N 0 0 265.265 2.762 20 5 CFBDRN Cc1nc2nc[nH]c2c(O[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001229438290 776810484 /nfs/dbraw/zinc/81/04/84/776810484.db2.gz HFNOBPVWXGAFTO-QMMMGPOBSA-N 0 0 299.290 2.710 20 5 CFBDRN CCC[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])[C@@H](C)CC ZINC001229451393 776817286 /nfs/dbraw/zinc/81/72/86/776817286.db2.gz FCMUESPAVNFILO-JQWIXIFHSA-N 0 0 268.313 2.822 20 5 CFBDRN C[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1cccc(F)c1F ZINC001229451235 776817442 /nfs/dbraw/zinc/81/74/42/776817442.db2.gz DGNCUQMJYUCHAQ-MRVPVSSYSA-N 0 0 296.229 2.646 20 5 CFBDRN Cc1cc(O[C@H]2CCCOC2)cc(C)c1[N+](=O)[O-] ZINC001229465338 776819938 /nfs/dbraw/zinc/81/99/38/776819938.db2.gz BUVDRXJCKOVPQV-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cc(C)c([N+](=O)[O-])c(C)c1)C1CC1 ZINC001229468136 776822178 /nfs/dbraw/zinc/82/21/78/776822178.db2.gz BZXXTBMBBJXHKN-AWEZNQCLSA-N 0 0 293.319 2.932 20 5 CFBDRN CCCC(=O)Nc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001229483903 776825116 /nfs/dbraw/zinc/82/51/16/776825116.db2.gz DAHNLQADQYNPJD-CQSZACIVSA-N 0 0 292.335 2.859 20 5 CFBDRN CCOC[C@@H](C)Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229486618 776826841 /nfs/dbraw/zinc/82/68/41/776826841.db2.gz HUTYECGKTZQLIM-MRVPVSSYSA-N 0 0 266.253 2.540 20 5 CFBDRN CC[N@@H+]1CCC[C@@H](Oc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC001229487765 776826896 /nfs/dbraw/zinc/82/68/96/776826896.db2.gz SQKHZAHQQXWYTO-LLVKDONJSA-N 0 0 291.307 2.599 20 5 CFBDRN CCO[C@@H](C)COc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229492680 776828164 /nfs/dbraw/zinc/82/81/64/776828164.db2.gz ZMAHCWXHSKTVOA-QMMMGPOBSA-N 0 0 266.253 2.540 20 5 CFBDRN COc1cccc2c1ccnc2O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001229554436 776845092 /nfs/dbraw/zinc/84/50/92/776845092.db2.gz HXVLHJUQTXSAMI-AWEZNQCLSA-N 0 0 288.303 2.678 20 5 CFBDRN Cc1cc(O)c(O[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC001229751624 776887848 /nfs/dbraw/zinc/88/78/48/776887848.db2.gz WWUOGEUHGPAUKW-ZCFIWIBFSA-N 0 0 265.187 2.938 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])c(C)c1C ZINC001229881452 776909958 /nfs/dbraw/zinc/90/99/58/776909958.db2.gz WUVMWIXCUKYXJY-LBPRGKRZSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CCC(=O)CC2)cc1F ZINC001229891102 776912073 /nfs/dbraw/zinc/91/20/73/776912073.db2.gz FMHQEANLSFTNRR-UHFFFAOYSA-N 0 0 267.256 2.933 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCOC[C@H]2C)cc1F ZINC001229896197 776913767 /nfs/dbraw/zinc/91/37/67/776913767.db2.gz LVDVXZUMOOWGEJ-BXKDBHETSA-N 0 0 269.272 2.846 20 5 CFBDRN C[C@H](Oc1cnc2ccnn2c1)c1ccccc1[N+](=O)[O-] ZINC001229903134 776914814 /nfs/dbraw/zinc/91/48/14/776914814.db2.gz IFANHOAMBGHURD-JTQLQIEISA-N 0 0 284.275 2.778 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])nc1Cl ZINC001229919594 776918122 /nfs/dbraw/zinc/91/81/22/776918122.db2.gz NHGBUTOZNKUXQW-MRVPVSSYSA-N 0 0 272.688 2.780 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2COc3cnccc32)c(Cl)n1 ZINC001229918708 776918443 /nfs/dbraw/zinc/91/84/43/776918443.db2.gz GMPCTARETSQELV-JTQLQIEISA-N 0 0 293.666 2.551 20 5 CFBDRN CC[C@H](C)Oc1cc(C)c([N+](=O)[O-])cc1C(=O)OC ZINC001229956263 776924517 /nfs/dbraw/zinc/92/45/17/776924517.db2.gz AZSWBRZCFPEUTK-VIFPVBQESA-N 0 0 267.281 2.867 20 5 CFBDRN CC(C)N1CC(Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229961887 776926134 /nfs/dbraw/zinc/92/61/34/776926134.db2.gz HBMXRHNYQREVKO-UHFFFAOYSA-N 0 0 270.716 2.720 20 5 CFBDRN Cc1cc(O[C@@H]2CCOC2)c([N+](=O)[O-])cc1Cl ZINC001229978271 776930363 /nfs/dbraw/zinc/93/03/63/776930363.db2.gz IWKDQZIFTCYUKU-MRVPVSSYSA-N 0 0 257.673 2.724 20 5 CFBDRN COC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(Cl)c1Cl ZINC001230041872 776947878 /nfs/dbraw/zinc/94/78/78/776947878.db2.gz SRABLONZJSDEQC-RXMQYKEDSA-N 0 0 294.090 2.842 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1ccc(C)cc1[N+](=O)[O-] ZINC001230145321 776955303 /nfs/dbraw/zinc/95/53/03/776955303.db2.gz DDUXFYLGEIGAAE-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)C1(C)CC(Oc2ccc(C)cc2[N+](=O)[O-])C1 ZINC001230145752 776955806 /nfs/dbraw/zinc/95/58/06/776955806.db2.gz HVENWPQESQITSY-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230229947 776961426 /nfs/dbraw/zinc/96/14/26/776961426.db2.gz IUHOMPGGPHIPLN-KCJUWKMLSA-N 0 0 276.296 2.824 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230229948 776961787 /nfs/dbraw/zinc/96/17/87/776961787.db2.gz IUHOMPGGPHIPLN-KWQFWETISA-N 0 0 276.296 2.824 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1OC[C@@H]1CCCCO1 ZINC001230246115 776966042 /nfs/dbraw/zinc/96/60/42/776966042.db2.gz IMNVTWCWHFSXSC-LBPRGKRZSA-N 0 0 294.307 2.501 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(F)cc1F)c1cccnc1 ZINC001225312818 776970731 /nfs/dbraw/zinc/97/07/31/776970731.db2.gz YTICRUDLGHYLKY-ZDUSSCGKSA-N 0 0 280.230 2.757 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1OC1CCSCC1 ZINC001230312835 776977470 /nfs/dbraw/zinc/97/74/70/776977470.db2.gz MISZNCALJUNZHZ-UHFFFAOYSA-N 0 0 284.293 2.777 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225383174 776981101 /nfs/dbraw/zinc/98/11/01/776981101.db2.gz VWZABXKWUKXXST-LBPRGKRZSA-N 0 0 255.245 2.870 20 5 CFBDRN COc1cc(O[C@@H](C)c2ncccn2)ccc1[N+](=O)[O-] ZINC001225435428 776986089 /nfs/dbraw/zinc/98/60/89/776986089.db2.gz GZYCYZXCBVAXBC-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN C[C@H]1C[C@@H](Oc2c(Cl)nccc2[N+](=O)[O-])CCO1 ZINC001225432357 776986274 /nfs/dbraw/zinc/98/62/74/776986274.db2.gz CIMMOXYNVLLGNB-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN Cc1cc(F)c(F)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001230447863 776993367 /nfs/dbraw/zinc/99/33/67/776993367.db2.gz YXWRXCPUWMAYBU-GFCCVEGCSA-N 0 0 257.236 2.707 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2cc(C)c(C)c([N+](=O)[O-])c2)C1 ZINC001230471850 776996446 /nfs/dbraw/zinc/99/64/46/776996446.db2.gz SOOSNHQIMYMGMR-KLPPZKSPSA-N 0 0 279.292 2.542 20 5 CFBDRN COC(=O)c1[nH]ccc1O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001230503496 777000424 /nfs/dbraw/zinc/00/04/24/777000424.db2.gz BCEIEMYUELOIAM-SECBINFHSA-N 0 0 290.275 2.850 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(C)c([N+](=O)[O-])cc1C ZINC001230723775 777051239 /nfs/dbraw/zinc/05/12/39/777051239.db2.gz NXKOIAWIWYHPNX-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN COc1c(F)cccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001230833772 777072592 /nfs/dbraw/zinc/07/25/92/777072592.db2.gz LCVDMXSQDIEBGX-CYBMUJFWSA-N 0 0 292.266 2.626 20 5 CFBDRN CCc1ccc(O[C@@H](C)Cn2c(C)ncc2[N+](=O)[O-])nc1 ZINC001230845866 777075381 /nfs/dbraw/zinc/07/53/81/777075381.db2.gz OXKUOXIYZKAJHQ-JTQLQIEISA-N 0 0 290.323 2.525 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H]1CCOC(C)(C)C1 ZINC001225614206 777089212 /nfs/dbraw/zinc/08/92/12/777089212.db2.gz ALXNPVSCRAJZOG-NSHDSACASA-N 0 0 281.308 2.940 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230915193 777092906 /nfs/dbraw/zinc/09/29/06/777092906.db2.gz ODJGBSOPNLGOTF-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230914947 777092983 /nfs/dbraw/zinc/09/29/83/777092983.db2.gz MMOFFVNRDOMFDH-SECBINFHSA-N 0 0 287.699 2.969 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cccc(Cl)c2[N+](=O)[O-])C1 ZINC001230915121 777093052 /nfs/dbraw/zinc/09/30/52/777093052.db2.gz MTNYNBGCJBXTEH-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@@H](O)CCCC(=O)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230915689 777093107 /nfs/dbraw/zinc/09/31/07/777093107.db2.gz TWEIGMHHDPOHKQ-MRVPVSSYSA-N 0 0 287.699 2.705 20 5 CFBDRN O=C1C[C@H](Oc2ccc(-c3cccc([N+](=O)[O-])c3)cc2)CO1 ZINC001230940903 777097781 /nfs/dbraw/zinc/09/77/81/777097781.db2.gz GGOWMDPVEVEWDW-HNNXBMFYSA-N 0 0 299.282 2.956 20 5 CFBDRN C[C@@H](CON)Oc1ccc(-c2cccc([N+](=O)[O-])c2)cc1 ZINC001230941775 777098207 /nfs/dbraw/zinc/09/82/07/777098207.db2.gz WZEZSVQOFATJOX-NSHDSACASA-N 0 0 288.303 2.919 20 5 CFBDRN O=C1OCC[C@H]1Oc1cccc(-c2cccc([N+](=O)[O-])c2)c1 ZINC001230937789 777098242 /nfs/dbraw/zinc/09/82/42/777098242.db2.gz BCJHBHTYPMJFTH-OAHLLOKOSA-N 0 0 299.282 2.956 20 5 CFBDRN C[C@H](Oc1cccnc1[N+](=O)[O-])c1nccs1 ZINC001225629337 777098640 /nfs/dbraw/zinc/09/86/40/777098640.db2.gz KUIUTIDMHQJFEX-ZETCQYMHSA-N 0 0 251.267 2.586 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cccc(C)c1[N+](=O)[O-] ZINC001225630928 777100459 /nfs/dbraw/zinc/10/04/59/777100459.db2.gz BLSNFKQMSDOMLJ-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN COc1ccc([C@H](C)Oc2ccc([N+](=O)[O-])cn2)cn1 ZINC001225634495 777107814 /nfs/dbraw/zinc/10/78/14/777107814.db2.gz YXSYYXBXAURZLU-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1nc(OC2CCC2)c([N+](=O)[O-])cc1Br ZINC001231122579 777148808 /nfs/dbraw/zinc/14/88/08/777148808.db2.gz UWCHSXSNKCZYGI-UHFFFAOYSA-N 0 0 287.113 2.992 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc2cccc(F)c2c1)C1CC1 ZINC001231135488 777152822 /nfs/dbraw/zinc/15/28/22/777152822.db2.gz MXYHEIFVULNHPS-CQSZACIVSA-N 0 0 276.267 2.808 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225666544 777155043 /nfs/dbraw/zinc/15/50/43/777155043.db2.gz JYKDRBNWOBKWGF-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN CCCOC[C@@H](C)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225667117 777157222 /nfs/dbraw/zinc/15/72/22/777157222.db2.gz ONFJKONUEHQYNL-SECBINFHSA-N 0 0 257.261 2.928 20 5 CFBDRN CO[C@H](C)COc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231155868 777159717 /nfs/dbraw/zinc/15/97/17/777159717.db2.gz FKAIMSZMMALXKA-SECBINFHSA-N 0 0 262.265 2.557 20 5 CFBDRN O=c1ccc2nc3ccc(OC[C@@H]4CCCO4)cc3oc-2c1 ZINC001231331136 777193118 /nfs/dbraw/zinc/19/31/18/777193118.db2.gz GHEDVDAYVFRQOS-ZDUSSCGKSA-N 0 0 297.310 2.851 20 5 CFBDRN O=c1ccc2nc3ccc(O[C@H]4CCCOC4)cc3oc-2c1 ZINC001231333191 777193174 /nfs/dbraw/zinc/19/31/74/777193174.db2.gz XBWODSLNBGCDTM-ZDUSSCGKSA-N 0 0 297.310 2.851 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC001225713297 777219757 /nfs/dbraw/zinc/21/97/57/777219757.db2.gz RUVYBMAUBCPAIJ-NSHDSACASA-N 0 0 286.671 2.682 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225776871 777269157 /nfs/dbraw/zinc/26/91/57/777269157.db2.gz ZCZBTSMGLVOYSC-LLVKDONJSA-N 0 0 271.219 2.764 20 5 CFBDRN Cc1c(NCC(F)(F)F)ccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001161631360 777314225 /nfs/dbraw/zinc/31/42/25/777314225.db2.gz ZUKUHJMMQGSGCV-UHFFFAOYSA-N 0 0 279.174 2.786 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(F)c(F)cc(F)c1F)C1CC1 ZINC001225882670 777326690 /nfs/dbraw/zinc/32/66/90/777326690.db2.gz ULZRIWFSEQPEJT-MRVPVSSYSA-N 0 0 279.189 2.677 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1F)c1cnccn1 ZINC001225947394 777346011 /nfs/dbraw/zinc/34/60/11/777346011.db2.gz WDOXXCBRJHAXGE-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225962642 777348832 /nfs/dbraw/zinc/34/88/32/777348832.db2.gz KGRKFHAXHOPWPS-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN Cc1ccc(F)cc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225998379 777370289 /nfs/dbraw/zinc/37/02/89/777370289.db2.gz UYAILZMFXBUYGB-CQSZACIVSA-N 0 0 276.267 2.926 20 5 CFBDRN C[C@H](O)[C@@H]1CCCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000688104372 777382182 /nfs/dbraw/zinc/38/21/82/777382182.db2.gz AMSDXMZRSAUVHO-GWCFXTLKSA-N 0 0 298.770 2.984 20 5 CFBDRN COCc1nc(COc2ccc([N+](=O)[O-])c(F)c2)cs1 ZINC000696459503 777439617 /nfs/dbraw/zinc/43/96/17/777439617.db2.gz ZABQGCOKZNVDJN-UHFFFAOYSA-N 0 0 298.295 2.916 20 5 CFBDRN CC1(CO)CN(Cc2ccc3ccc4cccnc4c3n2)C1 ZINC001232219737 777479087 /nfs/dbraw/zinc/47/90/87/777479087.db2.gz ZQMUOZQXFHALIS-UHFFFAOYSA-N 0 0 293.370 2.597 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1F)c1ncccn1 ZINC001226266485 777491088 /nfs/dbraw/zinc/49/10/88/777491088.db2.gz UOZDUYRAYHFBNT-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1c([C@H](C)Oc2cc([N+](=O)[O-])ccc2F)cnn1C ZINC001226266838 777491622 /nfs/dbraw/zinc/49/16/22/777491622.db2.gz ZODCAWGQEYMMFB-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN CC[C@H](C)Nc1cc(C)c(OCC(=O)OC)c([N+](=O)[O-])c1 ZINC001168003385 777568430 /nfs/dbraw/zinc/56/84/30/777568430.db2.gz LZFHGTFBKOCGKT-JTQLQIEISA-N 0 0 296.323 2.665 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N[C@H]2CCO[C@H](C)C2)nc1Cl ZINC001161691447 777573899 /nfs/dbraw/zinc/57/38/99/777573899.db2.gz OKVGWZGRWILDAV-BDAKNGLRSA-N 0 0 285.731 2.931 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OCC1CCCC1 ZINC000126843676 777577096 /nfs/dbraw/zinc/57/70/96/777577096.db2.gz QJXYDDLSXSIMQN-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN COC[C@H]1CCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000696585124 777588837 /nfs/dbraw/zinc/58/88/37/777588837.db2.gz QMSOZTAAYZWRHE-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN COCCO[C@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC001204978047 777595979 /nfs/dbraw/zinc/59/59/79/777595979.db2.gz JLYQOSRDNUWYAC-SNVBAGLBSA-N 0 0 279.214 2.861 20 5 CFBDRN C=C/C=C/CCNc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000713474020 777634423 /nfs/dbraw/zinc/63/44/23/777634423.db2.gz CBEXIIQYSFQNOR-SNAWJCMRSA-N 0 0 296.348 2.543 20 5 CFBDRN C=C/C=C\CCNc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000713495569 777640869 /nfs/dbraw/zinc/64/08/69/777640869.db2.gz ZGWQBWJBLZCWHG-PLNGDYQASA-N 0 0 276.292 2.926 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H]1CCOC[C@H]1C ZINC001226970619 777713043 /nfs/dbraw/zinc/71/30/43/777713043.db2.gz ASMHZZLNOUVJLB-PWSUYJOCSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)COC(C)(C)C)n1 ZINC001227065937 777755598 /nfs/dbraw/zinc/75/55/98/777755598.db2.gz CTXIDRYQTUPSQF-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H](C)c1cnccn1 ZINC001227702640 777866266 /nfs/dbraw/zinc/86/62/66/777866266.db2.gz YAEVKVFEVDIFEW-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CCC1(Nc2ncc([N+](=O)[O-])cc2[N+](=O)[O-])CCC1 ZINC001161562815 777915614 /nfs/dbraw/zinc/91/56/14/777915614.db2.gz QQHDECWZJNSLJF-UHFFFAOYSA-N 0 0 266.257 2.643 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233498420 777970663 /nfs/dbraw/zinc/97/06/63/777970663.db2.gz KQFDFWFVKLFSHU-AWEZNQCLSA-N 0 0 279.292 2.934 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccccc1OCC1CC1)C1CC1 ZINC001233509448 777974013 /nfs/dbraw/zinc/97/40/13/777974013.db2.gz YMZUZZOERFPCBG-HNNXBMFYSA-N 0 0 277.320 2.910 20 5 CFBDRN CC1(C)C[C@H](Oc2cccc([N+](=O)[O-])c2[N+](=O)[O-])CCO1 ZINC001233578580 777998022 /nfs/dbraw/zinc/99/80/22/777998022.db2.gz VFGUVAQEFNWJRC-SECBINFHSA-N 0 0 296.279 2.839 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc(Cl)cnc1[N+](=O)[O-] ZINC001233716697 778051467 /nfs/dbraw/zinc/05/14/67/778051467.db2.gz PYXLETMKFREYEJ-MRVPVSSYSA-N 0 0 274.704 2.837 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cc(Cl)cnc1[N+](=O)[O-] ZINC001233715018 778051512 /nfs/dbraw/zinc/05/15/12/778051512.db2.gz FWOHKRUTLDMJHO-VIFPVBQESA-N 0 0 270.672 2.534 20 5 CFBDRN O=[N+]([O-])c1ncc(Cl)cc1OC[C@H]1CCCCO1 ZINC001233716656 778052390 /nfs/dbraw/zinc/05/23/90/778052390.db2.gz PBVHWKLYLCAFMS-SECBINFHSA-N 0 0 272.688 2.591 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233748015 778062913 /nfs/dbraw/zinc/06/29/13/778062913.db2.gz DIBHAYPYPKRVJZ-RDDDGLTNSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1OC1CCOCC1 ZINC001233746892 778063253 /nfs/dbraw/zinc/06/32/53/778063253.db2.gz KEMPFGUWSMRYMK-UHFFFAOYSA-N 0 0 292.213 2.566 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1OC1CCC1 ZINC001233748413 778063451 /nfs/dbraw/zinc/06/34/51/778063451.db2.gz VGOSGZNEKLWSFE-UHFFFAOYSA-N 0 0 262.187 2.940 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(F)c([N+](=O)[O-])c(F)c1)OC ZINC001233754953 778064091 /nfs/dbraw/zinc/06/40/91/778064091.db2.gz WWNPCYJKTOQQAC-SSDOTTSWSA-N 0 0 291.250 2.649 20 5 CFBDRN C[C@@H](Oc1cc(F)c([N+](=O)[O-])c(F)c1)c1cncnc1 ZINC001233753828 778064687 /nfs/dbraw/zinc/06/46/87/778064687.db2.gz PFAZXWKUXPKYEI-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cc(F)c([N+](=O)[O-])c(F)c2)CCO1 ZINC001233753873 778064939 /nfs/dbraw/zinc/06/49/39/778064939.db2.gz POQMCGIAFZHNKK-SFYZADRCSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cc(F)c([N+](=O)[O-])c(F)c2)O1 ZINC001233751912 778065115 /nfs/dbraw/zinc/06/51/15/778065115.db2.gz YOMJHSDPEQMABE-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ccc([N+](=O)[O-])c(F)c2F)CCO1 ZINC001233767732 778069000 /nfs/dbraw/zinc/06/90/00/778069000.db2.gz AAIDXPIAOVHSOH-SFYZADRCSA-N 0 0 273.235 2.819 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCC=CO2)c(F)c1F ZINC001233765416 778069510 /nfs/dbraw/zinc/06/95/10/778069510.db2.gz VODDBSSHTVBRQJ-MRVPVSSYSA-N 0 0 271.219 2.945 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(F)c1F)c1cnccn1 ZINC001233769878 778071709 /nfs/dbraw/zinc/07/17/09/778071709.db2.gz IOSMHWPQBAEIAL-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CCc1ccc(OC[C@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000302449600 778075360 /nfs/dbraw/zinc/07/53/60/778075360.db2.gz VCCBZJHHLKZWBB-LBPRGKRZSA-N 0 0 253.298 2.553 20 5 CFBDRN CC/C=C\CCOC(=O)[C@H](C)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858097 778096664 /nfs/dbraw/zinc/09/66/64/778096664.db2.gz SJHVMIPIXUWQRY-WYGGZMRJSA-N 0 0 294.307 2.657 20 5 CFBDRN CC/C=C\CCOC(=O)[C@@H](C)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858096 778096844 /nfs/dbraw/zinc/09/68/44/778096844.db2.gz SJHVMIPIXUWQRY-DOGVGXBMSA-N 0 0 294.307 2.657 20 5 CFBDRN CC(C)[C@@H](Oc1ccnc([N+](=O)[O-])c1)C(F)(F)F ZINC001233858090 778097360 /nfs/dbraw/zinc/09/73/60/778097360.db2.gz SCXNBDBQKGFCJS-SECBINFHSA-N 0 0 264.203 2.956 20 5 CFBDRN CC(C)Oc1ncccc1COc1ccnc([N+](=O)[O-])c1 ZINC001233859265 778098242 /nfs/dbraw/zinc/09/82/42/778098242.db2.gz KTIBYULBMQPJDN-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN COC(=O)c1cnc(O[C@H]2CC[C@H](C)CC2)c([N+](=O)[O-])c1 ZINC001233861795 778098770 /nfs/dbraw/zinc/09/87/70/778098770.db2.gz KZSHRVKSEPCCIB-HOMQSWHASA-N 0 0 294.307 2.734 20 5 CFBDRN COC(=O)c1cnc(O[C@@H](C)C2CCCC2)c([N+](=O)[O-])c1 ZINC001233867745 778100314 /nfs/dbraw/zinc/10/03/14/778100314.db2.gz XQSHOBITHIJCLJ-VIFPVBQESA-N 0 0 294.307 2.734 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C[N+](=O)[O-])C2CC2)ccc1Cl ZINC001233970792 778127312 /nfs/dbraw/zinc/12/73/12/778127312.db2.gz SABPRVSOBZZIHO-LBPRGKRZSA-N 0 0 299.710 2.561 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1O[C@@H]1C=CCC1 ZINC001233986161 778130972 /nfs/dbraw/zinc/13/09/72/778130972.db2.gz IOYRBFYTAJCLSC-GFCCVEGCSA-N 0 0 263.293 2.612 20 5 CFBDRN COC(=O)c1ccc(O[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC001234050381 778153085 /nfs/dbraw/zinc/15/30/85/778153085.db2.gz JUPDFNFAZKSJIY-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN CCOC[C@@H](C)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234057895 778155367 /nfs/dbraw/zinc/15/53/67/778155367.db2.gz BGNDHEAXWGEIAG-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2COc3cnccc32)cc1[N+](=O)[O-] ZINC001234061627 778155947 /nfs/dbraw/zinc/15/59/47/778155947.db2.gz VVIBYAMBBOSZGQ-CQSZACIVSA-N 0 0 290.250 2.950 20 5 CFBDRN c1cnc2c(c1)ccc1c(O[C@@H]3CCOC3)ccnc12 ZINC001234089623 778162418 /nfs/dbraw/zinc/16/24/18/778162418.db2.gz JSJKKDHINNUQAD-GFCCVEGCSA-N 0 0 266.300 2.951 20 5 CFBDRN C[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)[C@H]1CCO ZINC000697241015 778168339 /nfs/dbraw/zinc/16/83/39/778168339.db2.gz VZFUERDSJDPECP-GWCFXTLKSA-N 0 0 282.315 2.721 20 5 CFBDRN COc1cc(C)cc(F)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001234228077 778189308 /nfs/dbraw/zinc/18/93/08/778189308.db2.gz PDJLVGUCISYABQ-GFCCVEGCSA-N 0 0 269.272 2.577 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000697387402 778205122 /nfs/dbraw/zinc/20/51/22/778205122.db2.gz OUDXFEPWGAGKSQ-UHFFFAOYSA-N 0 0 280.711 2.921 20 5 CFBDRN CCOC(=O)Cc1ccc([N+](=O)[O-])c(OC2CC=CC2)c1 ZINC001234408828 778219281 /nfs/dbraw/zinc/21/92/81/778219281.db2.gz RGSLFDUCILZXFG-UHFFFAOYSA-N 0 0 291.303 2.798 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(O)cc(Cl)cc1F)C1CC1 ZINC001234447530 778228780 /nfs/dbraw/zinc/22/87/80/778228780.db2.gz LDHHZCHHRQTZBQ-JTQLQIEISA-N 0 0 275.663 2.619 20 5 CFBDRN Cc1c(NC[C@H]2C[C@H](F)C2)ccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001168237726 778263874 /nfs/dbraw/zinc/26/38/74/778263874.db2.gz MDGVAQXFWFKTSL-KYZUINATSA-N 0 0 283.259 2.971 20 5 CFBDRN CC(=O)Nc1ccc(NC[C@H]2C[C@H](F)C2)cc1[N+](=O)[O-] ZINC001168238789 778264578 /nfs/dbraw/zinc/26/45/78/778264578.db2.gz TYBAUMWRSRZCLP-MGCOHNPYSA-N 0 0 281.287 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ncc1NC[C@H]1C[C@H](F)C1 ZINC001168237517 778266240 /nfs/dbraw/zinc/26/62/40/778266240.db2.gz PRYMBVRVGJAELC-LJGSYFOKSA-N 0 0 259.668 2.803 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc(Cl)n1 ZINC001234619665 778267822 /nfs/dbraw/zinc/26/78/22/778267822.db2.gz OJBYPDKHDRDREE-GFCCVEGCSA-N 0 0 293.710 2.835 20 5 CFBDRN COC(=O)c1cc(Cl)cc(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234623993 778268921 /nfs/dbraw/zinc/26/89/21/778268921.db2.gz VOILMNYSMFUBCU-LBPRGKRZSA-N 0 0 299.710 2.561 20 5 CFBDRN CC[C@H](COC)Oc1nccc2c1cccc2[N+](=O)[O-] ZINC001234674328 778286512 /nfs/dbraw/zinc/28/65/12/778286512.db2.gz NIUMBFDPINXZIT-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CC(=O)Nc1ccc(C(C)=O)c(OC2CCC2)c1[N+](=O)[O-] ZINC001234682004 778287475 /nfs/dbraw/zinc/28/74/75/778287475.db2.gz OALQKFSBQULYPY-UHFFFAOYSA-N 0 0 292.291 2.687 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2O[C@@H]1CCOC[C@H]1F ZINC001234677842 778287758 /nfs/dbraw/zinc/28/77/58/778287758.db2.gz ZNQJXAJBNNWDTM-DGCLKSJQSA-N 0 0 292.266 2.649 20 5 CFBDRN COc1cccc2nn(-c3ccc(C)cc3[N+](=O)[O-])c(N)c21 ZINC001214164797 778292945 /nfs/dbraw/zinc/29/29/45/778292945.db2.gz DBLUITQKYLKQCA-UHFFFAOYSA-N 0 0 298.302 2.833 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H](C)COC(C)(C)C ZINC001234903034 778325702 /nfs/dbraw/zinc/32/57/02/778325702.db2.gz HJFOTTOVPMYJHH-SECBINFHSA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1c(F)ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c1F ZINC001234926331 778330274 /nfs/dbraw/zinc/33/02/74/778330274.db2.gz AFNXDCUDDWEKPW-NSHDSACASA-N 0 0 257.236 2.707 20 5 CFBDRN CCOc1nccc(O[C@@H](C[N+](=O)[O-])C2CC2)c1Cl ZINC001234952075 778339487 /nfs/dbraw/zinc/33/94/87/778339487.db2.gz LLMPBGYQCSNKSH-JTQLQIEISA-N 0 0 286.715 2.568 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CO[C@@H]1CCOC[C@H]1C ZINC001223945427 778372368 /nfs/dbraw/zinc/37/23/68/778372368.db2.gz HPWWUGMNXAHOSZ-ZWNOBZJWSA-N 0 0 281.308 2.545 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)O[C@@H](C)c1nccs1 ZINC001224005240 778385998 /nfs/dbraw/zinc/38/59/98/778385998.db2.gz DGTJMIXJTORIBM-IUCAKERBSA-N 0 0 296.352 2.723 20 5 CFBDRN O=c1ccc(CNc2cc([N+](=O)[O-])ccc2Cl)c[nH]1 ZINC001168303296 778417780 /nfs/dbraw/zinc/41/77/80/778417780.db2.gz SGELDAJDVWSDDE-UHFFFAOYSA-N 0 0 279.683 2.961 20 5 CFBDRN CCC1(Nc2nc(C3CC3)nc(Cl)c2[N+](=O)[O-])COC1 ZINC001168316588 778428178 /nfs/dbraw/zinc/42/81/78/778428178.db2.gz KWQDOWYTOWYYSJ-UHFFFAOYSA-N 0 0 298.730 2.507 20 5 CFBDRN CCC1(Nc2ccc3ncccc3c2[N+](=O)[O-])COC1 ZINC001168322054 778431061 /nfs/dbraw/zinc/43/10/61/778431061.db2.gz TWJKBZDSPWIGRU-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1nnc(CN(C)Cc2ccc([N+](=O)[O-])c(F)c2)s1 ZINC000697834615 778476356 /nfs/dbraw/zinc/47/63/56/778476356.db2.gz FMYAOJJFYRSBSM-UHFFFAOYSA-N 0 0 296.327 2.526 20 5 CFBDRN Cc1nc(COC(=O)c2cccc(C)c2[N+](=O)[O-])oc1C ZINC000128713623 778600275 /nfs/dbraw/zinc/60/02/75/778600275.db2.gz JUMIRRHUCKQMDS-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCCCC1 ZINC000160526144 778606407 /nfs/dbraw/zinc/60/64/07/778606407.db2.gz IUZANMVJVWXGQJ-UHFFFAOYSA-N 0 0 279.296 2.621 20 5 CFBDRN Cc1ncc(CNc2ccc3cc([N+](=O)[O-])ccc3n2)cn1 ZINC001168379501 778750299 /nfs/dbraw/zinc/75/02/99/778750299.db2.gz NWSSESZYJYATJJ-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000698253343 778785755 /nfs/dbraw/zinc/78/57/55/778785755.db2.gz CNOAKIYZBRBPFL-VIFPVBQESA-N 0 0 266.684 2.697 20 5 CFBDRN CC(=O)C1CCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000232456537 778988942 /nfs/dbraw/zinc/98/89/42/778988942.db2.gz CUECQOXCFMNUMM-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN CC[C@H](COC)Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233596892 779056687 /nfs/dbraw/zinc/05/66/87/779056687.db2.gz GCCPHURNZPMNFA-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@@H](Oc1cc(F)c([N+](=O)[O-])cc1F)c1ncccn1 ZINC001233598214 779057730 /nfs/dbraw/zinc/05/77/30/779057730.db2.gz WPDUVMVCXZPLOI-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CC1(C)C(=O)CCC[C@@H]1Oc1ccnc([N+](=O)[O-])c1 ZINC001233860285 779096825 /nfs/dbraw/zinc/09/68/25/779096825.db2.gz YYMNKDNOFFQEHM-NSHDSACASA-N 0 0 264.281 2.516 20 5 CFBDRN CCO[C@H](C)COc1cc([N+](=O)[O-])c(C)cc1F ZINC001234058628 779128477 /nfs/dbraw/zinc/12/84/77/779128477.db2.gz HAZUWIYVWNOQGS-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN CC1(C)C[C@@H](CNc2c(Cl)nccc2[N+](=O)[O-])O1 ZINC001168451399 779177773 /nfs/dbraw/zinc/17/77/73/779177773.db2.gz LWBACYNMWYNADB-ZETCQYMHSA-N 0 0 271.704 2.623 20 5 CFBDRN CC1(C)C[C@H](CNc2cnc(Cl)cc2[N+](=O)[O-])O1 ZINC001168451784 779178438 /nfs/dbraw/zinc/17/84/38/779178438.db2.gz QFOIFSMMLGKLDM-SSDOTTSWSA-N 0 0 271.704 2.623 20 5 CFBDRN CC1(C)C[C@@H](CNc2ncc3cccc([N+](=O)[O-])c3n2)O1 ZINC001168453355 779180882 /nfs/dbraw/zinc/18/08/82/779180882.db2.gz VDBZCNWWGITLEX-JTQLQIEISA-N 0 0 288.307 2.517 20 5 CFBDRN COc1cc([N+](=O)[O-])c(NC[C@H]2CC(C)(C)O2)cc1F ZINC001168455633 779182753 /nfs/dbraw/zinc/18/27/53/779182753.db2.gz IFZKYQCUMAIHIO-MRVPVSSYSA-N 0 0 284.287 2.722 20 5 CFBDRN Cc1cccc(C2(Nc3cc(C)c([N+](=O)[O-])cn3)COC2)c1 ZINC001168469849 779236311 /nfs/dbraw/zinc/23/63/11/779236311.db2.gz FSXIIEBWVIOQBW-UHFFFAOYSA-N 0 0 299.330 2.944 20 5 CFBDRN Cc1ccc2[nH]c(C(=O)O[C@@H](C)C[N+](=O)[O-])c(Cl)c2c1 ZINC001122969064 779320908 /nfs/dbraw/zinc/32/09/08/779320908.db2.gz YBYQHDHLJICZCH-QMMMGPOBSA-N 0 0 296.710 2.952 20 5 CFBDRN CCN(CCNc1ccccc1[N+](=O)[O-])C(=O)C(C)C ZINC001106681780 779337653 /nfs/dbraw/zinc/33/76/53/779337653.db2.gz HQOJCUBUPVAZKF-UHFFFAOYSA-N 0 0 279.340 2.511 20 5 CFBDRN CC[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1CCC1 ZINC001123123996 779348262 /nfs/dbraw/zinc/34/82/62/779348262.db2.gz BDVHIOLROJJNOI-NSHDSACASA-N 0 0 257.330 2.944 20 5 CFBDRN CN(C)c1ccc(NC2(c3cccnn3)CC2)c([N+](=O)[O-])c1 ZINC001168515973 779428011 /nfs/dbraw/zinc/42/80/11/779428011.db2.gz PXXXBQGEGMZMPK-UHFFFAOYSA-N 0 0 299.334 2.552 20 5 CFBDRN CCCC(=O)NC/C=C\CNc1ccc(C)cc1[N+](=O)[O-] ZINC001107009445 779738984 /nfs/dbraw/zinc/73/89/84/779738984.db2.gz GELZUPNPUFVWMR-PLNGDYQASA-N 0 0 291.351 2.788 20 5 CFBDRN C/C=C(\C)C(=O)NC/C=C\CNc1ccc([N+](=O)[O-])cc1 ZINC001107100885 779775872 /nfs/dbraw/zinc/77/58/72/779775872.db2.gz DGISXCQOZAZBLJ-YPBGMLOESA-N 0 0 289.335 2.645 20 5 CFBDRN COc1cc(N[C@@H](CO)C(C)(C)C)cc([N+](=O)[O-])c1C ZINC001168558116 779967344 /nfs/dbraw/zinc/96/73/44/779967344.db2.gz VCHQILBQSZPYGP-ZDUSSCGKSA-N 0 0 282.340 2.731 20 5 CFBDRN CCOC(=O)C1=C(Nc2ccc([N+](=O)[O-])cn2)CCCC1 ZINC001168560147 779967764 /nfs/dbraw/zinc/96/77/64/779967764.db2.gz SQNSEKGLWYENDA-UHFFFAOYSA-N 0 0 291.307 2.793 20 5 CFBDRN C=Cc1ccc(CNc2cnc([N+](=O)[O-])cn2)cc1 ZINC001168578040 779992885 /nfs/dbraw/zinc/99/28/85/779992885.db2.gz NPWIGWFTWQBBHM-UHFFFAOYSA-N 0 0 256.265 2.640 20 5 CFBDRN COc1nc(C)c(NC2CCC(OC)CC2)cc1[N+](=O)[O-] ZINC001168615453 780073397 /nfs/dbraw/zinc/07/33/97/780073397.db2.gz YSMVZTYJVVIWSC-UHFFFAOYSA-N 0 0 295.339 2.676 20 5 CFBDRN COc1ncnc(NC[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000094739327 780125100 /nfs/dbraw/zinc/12/51/00/780125100.db2.gz DAXWWRGRMUTULZ-NXEZZACHSA-N 0 0 280.328 2.632 20 5 CFBDRN CCCc1ccc(CNc2c[n+]([O-])ccc2[N+](=O)[O-])cc1 ZINC001168635031 780142617 /nfs/dbraw/zinc/14/26/17/780142617.db2.gz DTZMRZOKIWPFKF-UHFFFAOYSA-N 0 0 287.319 2.793 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC001168683616 780320183 /nfs/dbraw/zinc/32/01/83/780320183.db2.gz PTCCIKRODGAXJP-VIFPVBQESA-N 0 0 295.339 2.931 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1nc(C)nc(OC)c1[N+](=O)[O-] ZINC001168693328 780348318 /nfs/dbraw/zinc/34/83/18/780348318.db2.gz JSYWMVNFABTKDA-DTWKUNHWSA-N 0 0 282.344 2.938 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1csc2cncn21 ZINC000357592856 780360152 /nfs/dbraw/zinc/36/01/52/780360152.db2.gz BRANWRJNVXKIFR-UHFFFAOYSA-N 0 0 288.288 2.556 20 5 CFBDRN Cc1cc(Cl)ccc1C(=O)Nc1c([N+](=O)[O-])cnn1C ZINC001128217441 780364640 /nfs/dbraw/zinc/36/46/40/780364640.db2.gz ZOJXGGPJUVPUGB-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CSc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)co1 ZINC001128350611 780405624 /nfs/dbraw/zinc/40/56/24/780405624.db2.gz GHSDWNKNQWVLEP-UHFFFAOYSA-N 0 0 293.304 2.581 20 5 CFBDRN O=[N+]([O-])c1cc(NC2CCOCC2)cc2cc[nH]c21 ZINC001161865404 780556305 /nfs/dbraw/zinc/55/63/05/780556305.db2.gz OVQXIOIMTREPAP-UHFFFAOYSA-N 0 0 261.281 2.667 20 5 CFBDRN CN1Cc2cc(NC(C3CC3)C3CC3)cc([N+](=O)[O-])c2N1 ZINC001168746666 780605868 /nfs/dbraw/zinc/60/58/68/780605868.db2.gz CTMGSEAHAXFKFQ-UHFFFAOYSA-N 0 0 288.351 2.968 20 5 CFBDRN CCc1cnc(COc2ccc(C=O)cc2[N+](=O)[O-])o1 ZINC000700318522 780676038 /nfs/dbraw/zinc/67/60/38/780676038.db2.gz VYSDWXYMUMJOBM-UHFFFAOYSA-N 0 0 276.248 2.537 20 5 CFBDRN Cc1conc1NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC001128827287 780872257 /nfs/dbraw/zinc/87/22/57/780872257.db2.gz RJYARBLLMLHYOK-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN CC1(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)CC=CC1 ZINC000702173568 780896194 /nfs/dbraw/zinc/89/61/94/780896194.db2.gz FPIUMLVNTYYJLK-UHFFFAOYSA-N 0 0 282.246 2.712 20 5 CFBDRN CC1(C)C[C@]1(C)CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000702367648 780918356 /nfs/dbraw/zinc/91/83/56/780918356.db2.gz PREKXGJJUQFNIC-CQSZACIVSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@H](F)CCNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000702570102 780933366 /nfs/dbraw/zinc/93/33/66/780933366.db2.gz MCRWHZNXUMYTRR-ZETCQYMHSA-N 0 0 274.679 2.726 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000702569024 780933861 /nfs/dbraw/zinc/93/38/61/780933861.db2.gz DXTFVQUTIKZXOT-SSDOTTSWSA-N 0 0 274.679 2.726 20 5 CFBDRN CC1(O)CCC(Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000702997342 780974865 /nfs/dbraw/zinc/97/48/65/780974865.db2.gz QUSQUBKYBHCNPW-UHFFFAOYSA-N 0 0 268.288 2.839 20 5 CFBDRN CC1(O)CCC(Nc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000702996500 780975432 /nfs/dbraw/zinc/97/54/32/780975432.db2.gz MPRXYIWJASDECA-UHFFFAOYSA-N 0 0 268.288 2.839 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000703254490 781004490 /nfs/dbraw/zinc/00/44/90/781004490.db2.gz JZYKIAFADKVKDI-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCOC[C@@H]2C)cc1F ZINC001229896199 781052621 /nfs/dbraw/zinc/05/26/21/781052621.db2.gz LVDVXZUMOOWGEJ-CABZTGNLSA-N 0 0 269.272 2.846 20 5 CFBDRN COC1CC(COc2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000704191274 781090702 /nfs/dbraw/zinc/09/07/02/781090702.db2.gz VXLSUXRMFXZTPO-UHFFFAOYSA-N 0 0 279.292 2.601 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1cc([N+](=O)[O-])ccc1F ZINC000704747557 781112523 /nfs/dbraw/zinc/11/25/23/781112523.db2.gz NGMYAGQUCCIJSF-ONGXEEELSA-N 0 0 278.283 2.510 20 5 CFBDRN O=C(NCC[C@H]1CCSC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000704940173 781127054 /nfs/dbraw/zinc/12/70/54/781127054.db2.gz JWLBIQHEWYIVPS-VIFPVBQESA-N 0 0 298.339 2.607 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@@H]1C1CC1 ZINC000706624453 781193551 /nfs/dbraw/zinc/19/35/51/781193551.db2.gz ZZLVYDJRYGMZFT-CYBMUJFWSA-N 0 0 278.283 2.749 20 5 CFBDRN CSC1CC([NH2+]Cc2ccccc2[N+](=O)[O-])C1 ZINC000706857607 781206172 /nfs/dbraw/zinc/20/61/72/781206172.db2.gz ORGJGUCAUCZVTL-UHFFFAOYSA-N 0 0 252.339 2.578 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)c1cn(C)cn1 ZINC000710153474 781329002 /nfs/dbraw/zinc/32/90/02/781329002.db2.gz KKPGUAHCEMWCCD-GHMZBOCLSA-N 0 0 274.324 2.740 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cn(C)cn1)c1ccccc1[N+](=O)[O-] ZINC000710152606 781329454 /nfs/dbraw/zinc/32/94/54/781329454.db2.gz HMHCTYIYKCHBRS-MNOVXSKESA-N 0 0 274.324 2.740 20 5 CFBDRN C[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1cn(C)cn1 ZINC000710177214 781330513 /nfs/dbraw/zinc/33/05/13/781330513.db2.gz NPBYHBFFXRJLMZ-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@H]1CCC2(CCC2)O1 ZINC000711399294 781423137 /nfs/dbraw/zinc/42/31/37/781423137.db2.gz KVVIGRWHRFXGRZ-SECBINFHSA-N 0 0 297.742 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1OCCOC1CC1 ZINC000711686023 781464605 /nfs/dbraw/zinc/46/46/05/781464605.db2.gz CMOHYRUWMJUTFF-UHFFFAOYSA-N 0 0 275.663 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OCCOC1CC1 ZINC000711687158 781464625 /nfs/dbraw/zinc/46/46/25/781464625.db2.gz HEGISNCRALFOBE-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000711722697 781468302 /nfs/dbraw/zinc/46/83/02/781468302.db2.gz PNNAIVXOYJUNSQ-MRVPVSSYSA-N 0 0 282.246 2.569 20 5 CFBDRN COc1cccc(NCCC(C)(C)OC)c1[N+](=O)[O-] ZINC000711864122 781480321 /nfs/dbraw/zinc/48/03/21/781480321.db2.gz SRJUGVWJWFJQLZ-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CCC2)[C@@H]2CCOC2)s1 ZINC000711896470 781487188 /nfs/dbraw/zinc/48/71/88/781487188.db2.gz WFVAZXGNIBCWIK-MWLCHTKSSA-N 0 0 283.353 2.668 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])nc1Cl)c1ncccn1 ZINC001229916019 781503060 /nfs/dbraw/zinc/50/30/60/781503060.db2.gz VCXREKDCPDPQTH-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN Cc1nc(N2CC[C@@](C)(F)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC001164328465 781706955 /nfs/dbraw/zinc/70/69/55/781706955.db2.gz URZRTJJNDZYAPA-CMPLNLGQSA-N 0 0 271.267 2.575 20 5 CFBDRN Cc1cc(Cl)nc(N2CC[C@](C)(O)[C@H](C)C2)c1[N+](=O)[O-] ZINC001164347009 781716398 /nfs/dbraw/zinc/71/63/98/781716398.db2.gz UZHVTVXRWYXEEK-RNCFNFMXSA-N 0 0 299.758 2.549 20 5 CFBDRN Cc1ncc(CN[C@H](C)c2c(F)cccc2[N+](=O)[O-])o1 ZINC001119002145 781738217 /nfs/dbraw/zinc/73/82/17/781738217.db2.gz GACYSDKLDURLMH-MRVPVSSYSA-N 0 0 279.271 2.881 20 5 CFBDRN O=[N+]([O-])c1cnc(Cl)nc1N1CCC[C@@H](C(F)F)C1 ZINC001166771529 781803460 /nfs/dbraw/zinc/80/34/60/781803460.db2.gz ACIYOQMBNOCFNX-ZCFIWIBFSA-N 0 0 292.673 2.520 20 5 CFBDRN C[C@H](N[C@@H]1CCCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000594505490 349434216 /nfs/dbraw/zinc/43/42/16/349434216.db2.gz WRYRCMOCIMFPFB-SMDDNHRTSA-N 0 0 286.335 2.977 20 5 CFBDRN C[C@H](N[C@@H]1CCCn2ccnc21)c1ccccc1[N+](=O)[O-] ZINC000594512326 349436732 /nfs/dbraw/zinc/43/67/32/349436732.db2.gz KPHFINPAZARVAV-WCQYABFASA-N 0 0 286.335 2.977 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc([C@@H]3CCC[C@@H]4C[C@@H]43)no2)c1 ZINC000594534765 349442617 /nfs/dbraw/zinc/44/26/17/349442617.db2.gz BSIDPUZKAXWQTL-QNSHHTMESA-N 0 0 274.280 2.877 20 5 CFBDRN Cn1c(-c2nc([C@@H]3CCC[C@H]4C[C@H]43)no2)ccc1[N+](=O)[O-] ZINC000594535223 349442643 /nfs/dbraw/zinc/44/26/43/349442643.db2.gz GYTWFTPAUSIHAV-IVZWLZJFSA-N 0 0 288.307 2.887 20 5 CFBDRN CC(C)[C@H](C[NH2+]C/C=C/c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000594540448 349443635 /nfs/dbraw/zinc/44/36/35/349443635.db2.gz ZPXZQRPHCATRJG-IYNGYCSASA-N 0 0 292.335 2.554 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@H](C)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000594576780 349450226 /nfs/dbraw/zinc/45/02/26/349450226.db2.gz ACCIKRRJXSWCFL-QWRGUYRKSA-N 0 0 297.330 2.961 20 5 CFBDRN CCO[C@H]1CCN(c2c(OC)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000594583547 349451693 /nfs/dbraw/zinc/45/16/93/349451693.db2.gz VIKGGKCKMPHLHH-AAEUAGOBSA-N 0 0 294.351 2.855 20 5 CFBDRN C[C@@H](CNc1sccc1[N+](=O)[O-])N1C[C@@H](C)O[C@@H](C)C1 ZINC000594591224 349453712 /nfs/dbraw/zinc/45/37/12/349453712.db2.gz IGKUVLNTHRHIQT-GARJFASQSA-N 0 0 299.396 2.566 20 5 CFBDRN C[C@@H](CNc1ccsc1[N+](=O)[O-])N1C[C@@H](C)O[C@@H](C)C1 ZINC000594591777 349453971 /nfs/dbraw/zinc/45/39/71/349453971.db2.gz KGGAEAYAQYXELW-GARJFASQSA-N 0 0 299.396 2.566 20 5 CFBDRN COCC1(CNc2nccc3ccc([N+](=O)[O-])cc32)CC1 ZINC000594591961 349454053 /nfs/dbraw/zinc/45/40/53/349454053.db2.gz JBLXZMNLMNGLFK-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN COC(=O)c1cc(C)nc(NC2(C)CC=CC2)c1[N+](=O)[O-] ZINC000594592129 349454102 /nfs/dbraw/zinc/45/41/02/349454102.db2.gz ZKUCYXPGEXTSHL-UHFFFAOYSA-N 0 0 291.307 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](OC3CCC3)C2)cn1 ZINC000594594249 349454425 /nfs/dbraw/zinc/45/44/25/349454425.db2.gz MQLXKDCLIRKADJ-CYBMUJFWSA-N 0 0 277.324 2.528 20 5 CFBDRN COCC1(CNc2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000594593128 349454464 /nfs/dbraw/zinc/45/44/64/349454464.db2.gz YHGMSJXBSTZTMJ-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cc(N2CCC[C@H](OC3CCC3)C2)ncc1[N+](=O)[O-] ZINC000594593445 349454500 /nfs/dbraw/zinc/45/45/00/349454500.db2.gz CSTGFIPOKHCVFM-ZDUSSCGKSA-N 0 0 291.351 2.836 20 5 CFBDRN Cc1cnc(CCNc2ncc(C)cc2[N+](=O)[O-])c(C)c1 ZINC000594594971 349455246 /nfs/dbraw/zinc/45/52/46/349455246.db2.gz DQDNVLBEZBFMCJ-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000269088657 190466852 /nfs/dbraw/zinc/46/68/52/190466852.db2.gz YPKGDTPPYKXEHM-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])nc(C)n1CC)[C@H]1CCCCO1 ZINC000594599342 349457353 /nfs/dbraw/zinc/45/73/53/349457353.db2.gz JJRPRHJGKOJZBQ-VXGBXAGGSA-N 0 0 296.371 2.879 20 5 CFBDRN CC(C)OC(=O)C[C@@H](Nc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000594602857 349459232 /nfs/dbraw/zinc/45/92/32/349459232.db2.gz NAUAJQKUIBUOJT-GFCCVEGCSA-N 0 0 295.339 2.768 20 5 CFBDRN CCc1ccc(OC[C@H](OC)[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000594887412 349493880 /nfs/dbraw/zinc/49/38/80/349493880.db2.gz LHAJAIPOOHTIBM-DOMZBBRYSA-N 0 0 295.335 2.588 20 5 CFBDRN Cc1c(OC[C@@]2(OC(C)C)CCOC2)cccc1[N+](=O)[O-] ZINC000594886380 349493931 /nfs/dbraw/zinc/49/39/31/349493931.db2.gz OOOFJCFPSPUNNP-OAHLLOKOSA-N 0 0 295.335 2.866 20 5 CFBDRN CC(C)O[C@]1(COc2ccc([N+](=O)[O-])cc2)CCOC1 ZINC000594878484 349491332 /nfs/dbraw/zinc/49/13/32/349491332.db2.gz BDEUJTWLTPSMPL-CQSZACIVSA-N 0 0 281.308 2.558 20 5 CFBDRN CO[C@H](COc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000594878630 349491601 /nfs/dbraw/zinc/49/16/01/349491601.db2.gz HSQUYVCHWDFDQP-CYBMUJFWSA-N 0 0 251.282 2.789 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CC[C@H]1CCc2ccccc21 ZINC000594883259 349493318 /nfs/dbraw/zinc/49/33/18/349493318.db2.gz PYZYDXLBSMBGLP-CYBMUJFWSA-N 0 0 284.315 2.877 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@]1(OC(C)C)CCOC1 ZINC000594886300 349493690 /nfs/dbraw/zinc/49/36/90/349493690.db2.gz NBDYKVPKMFQDAQ-OAHLLOKOSA-N 0 0 295.335 2.866 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1ncccc1F ZINC000127626164 187321312 /nfs/dbraw/zinc/32/13/12/187321312.db2.gz YVIIJPMOEKKEJV-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN C[C@@H](Cc1ncc[nH]1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000594893492 349496029 /nfs/dbraw/zinc/49/60/29/349496029.db2.gz CJIZKONOKYJKFM-VIFPVBQESA-N 0 0 279.271 2.605 20 5 CFBDRN CCc1nnc([C@H](C)Sc2ccc([N+](=O)[O-])cn2)[nH]1 ZINC000594893180 349496362 /nfs/dbraw/zinc/49/63/62/349496362.db2.gz RTPAAZUGRHWPLH-ZETCQYMHSA-N 0 0 279.325 2.524 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])cc2C)nc(C)n1 ZINC000594893772 349496937 /nfs/dbraw/zinc/49/69/37/349496937.db2.gz JCIZQPXDWGNKTH-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)CCCC2CCC2)cc1 ZINC000594895186 349497057 /nfs/dbraw/zinc/49/70/57/349497057.db2.gz GDNPOOSCYACRCL-UHFFFAOYSA-N 0 0 283.349 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)C[C@@H]2CC[C@H]3C[C@H]3C2)cc1 ZINC000594895649 349497750 /nfs/dbraw/zinc/49/77/50/349497750.db2.gz LLIMTOKWBHZMRP-GRYCIOLGSA-N 0 0 295.360 2.805 20 5 CFBDRN CC(C)=CCCn1cnc2sc([N+](=O)[O-])cc2c1=O ZINC000594896958 349498169 /nfs/dbraw/zinc/49/81/69/349498169.db2.gz DCUMZKGCPXUDAO-UHFFFAOYSA-N 0 0 279.321 2.723 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000594900360 349499195 /nfs/dbraw/zinc/49/91/95/349499195.db2.gz HEUINTPOSHMKFR-GRYCIOLGSA-N 0 0 299.330 2.741 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H](OC)C2CCC2)c1 ZINC000594906004 349500411 /nfs/dbraw/zinc/50/04/11/349500411.db2.gz GAKGLPNNPBPDIP-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN COCC[C@@H](C)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000127667640 187324671 /nfs/dbraw/zinc/32/46/71/187324671.db2.gz WHHGVSBFEFFUEA-SNVBAGLBSA-N 0 0 295.339 2.758 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCCCCC1 ZINC000067722663 346817035 /nfs/dbraw/zinc/81/70/35/346817035.db2.gz KJBCOWQTVBZPNW-UHFFFAOYSA-N 0 0 277.324 2.680 20 5 CFBDRN C[C@@H](Cn1ccnc1)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124761509 187152285 /nfs/dbraw/zinc/15/22/85/187152285.db2.gz MAVBCLKBEVHBBO-VIFPVBQESA-N 0 0 280.715 2.945 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]2CCCC[C@@H]21 ZINC000273072210 192035784 /nfs/dbraw/zinc/03/57/84/192035784.db2.gz NPKHQBCRWYBMDY-CKYFFXLPSA-N 0 0 277.324 2.574 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269386087 190490975 /nfs/dbraw/zinc/49/09/75/190490975.db2.gz KVYWXRVSBYQADC-IUCAKERBSA-N 0 0 282.344 2.606 20 5 CFBDRN Cc1ccc(NC(=O)N2CCO[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000125002168 187165042 /nfs/dbraw/zinc/16/50/42/187165042.db2.gz YTVYRDLISDBFPH-MNOVXSKESA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@H](C)[C@H]1C ZINC000125006141 187165885 /nfs/dbraw/zinc/16/58/85/187165885.db2.gz WXQJPPIKNVEQCW-GHMZBOCLSA-N 0 0 293.323 2.544 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)NCc1nccs1 ZINC000595020745 349552966 /nfs/dbraw/zinc/55/29/66/349552966.db2.gz ZVZOSCRIJJGDNV-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN COc1ccc(CC(=O)N2CCCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000067789244 346819834 /nfs/dbraw/zinc/81/98/34/346819834.db2.gz JCSFSMGATYEHCA-NSHDSACASA-N 0 0 292.335 2.547 20 5 CFBDRN Cc1csc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000067866566 346824543 /nfs/dbraw/zinc/82/45/43/346824543.db2.gz IGATUMTZBZAXES-ZETCQYMHSA-N 0 0 297.361 2.912 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000175178775 290628653 /nfs/dbraw/zinc/62/86/53/290628653.db2.gz HMCRQUZYECWZSA-IUCAKERBSA-N 0 0 268.338 2.917 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OC[C@H]1CCCO1 ZINC000125293503 187178066 /nfs/dbraw/zinc/17/80/66/187178066.db2.gz NQZQTWFLXDXZNQ-MRVPVSSYSA-N 0 0 257.673 2.806 20 5 CFBDRN C[C@H](c1ccccn1)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000068000096 346831244 /nfs/dbraw/zinc/83/12/44/346831244.db2.gz HPFPAXSPJAOWTP-LLVKDONJSA-N 0 0 285.303 2.823 20 5 CFBDRN CCCCOCCCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000125328384 187179446 /nfs/dbraw/zinc/17/94/46/187179446.db2.gz YXZUQFDIXVCENM-UHFFFAOYSA-N 0 0 267.329 2.917 20 5 CFBDRN CCCCN(CCOC)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000068140289 346838118 /nfs/dbraw/zinc/83/81/18/346838118.db2.gz NSZAFKILCPEVMB-UHFFFAOYSA-N 0 0 294.351 2.792 20 5 CFBDRN CCC(C)(C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000068184684 346840248 /nfs/dbraw/zinc/84/02/48/346840248.db2.gz CAPDRNZLWHBKHS-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN C[C@H](CO)CSc1c2ccccc2ncc1[N+](=O)[O-] ZINC000125360572 187180995 /nfs/dbraw/zinc/18/09/95/187180995.db2.gz XJXUIMQCAWUNHP-SECBINFHSA-N 0 0 278.333 2.864 20 5 CFBDRN CCC[C@@](C)(NCc1ccc(F)cc1[N+](=O)[O-])C(=O)OC ZINC000595320534 349601098 /nfs/dbraw/zinc/60/10/98/349601098.db2.gz ZJPUCXQPKOFRLR-CQSZACIVSA-N 0 0 298.314 2.555 20 5 CFBDRN CCC[C@](C)(NCc1ccc(F)cc1[N+](=O)[O-])C(=O)OC ZINC000595320529 349601464 /nfs/dbraw/zinc/60/14/64/349601464.db2.gz ZJPUCXQPKOFRLR-AWEZNQCLSA-N 0 0 298.314 2.555 20 5 CFBDRN Cc1noc(CN(C)[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000595289989 349592531 /nfs/dbraw/zinc/59/25/31/349592531.db2.gz GOVCDVBDWRAZNQ-VIFPVBQESA-N 0 0 255.318 2.758 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOC(C)(C)C)n1 ZINC000308534954 290013279 /nfs/dbraw/zinc/01/32/79/290013279.db2.gz WMIFSKDHNIOUPQ-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1noc(CN(C)Cc2ccc(C)cc2)c1[N+](=O)[O-] ZINC000595292695 349593271 /nfs/dbraw/zinc/59/32/71/349593271.db2.gz SZNCBOFMGKZTKV-UHFFFAOYSA-N 0 0 275.308 2.832 20 5 CFBDRN Cc1noc(CN(Cc2ccco2)C(C)C)c1[N+](=O)[O-] ZINC000595296676 349595234 /nfs/dbraw/zinc/59/52/34/349595234.db2.gz GYGXJSPMXXNWKC-UHFFFAOYSA-N 0 0 279.296 2.895 20 5 CFBDRN Cc1noc(CN2CCc3ccc(C)cc32)c1[N+](=O)[O-] ZINC000595365089 349610678 /nfs/dbraw/zinc/61/06/78/349610678.db2.gz VYTYAUBKEASOEZ-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@@H](CC)O1 ZINC000421945382 529380975 /nfs/dbraw/zinc/38/09/75/529380975.db2.gz FPGSEHGTVHBDHQ-ZIAGYGMSSA-N 0 0 292.335 2.624 20 5 CFBDRN Cc1noc(CN2CCc3ccc(F)cc3C2)c1[N+](=O)[O-] ZINC000595382330 349613353 /nfs/dbraw/zinc/61/33/53/349613353.db2.gz FNWHTYSCIDTYTD-UHFFFAOYSA-N 0 0 291.282 2.589 20 5 CFBDRN Cc1noc(CN2CCCOc3ccccc32)c1[N+](=O)[O-] ZINC000595388015 349614213 /nfs/dbraw/zinc/61/42/13/349614213.db2.gz SCKKDAMWPNFGHF-UHFFFAOYSA-N 0 0 289.291 2.680 20 5 CFBDRN CCc1nocc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000595417059 349618794 /nfs/dbraw/zinc/61/87/94/349618794.db2.gz XTNCPPUUPYZKFH-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN CC[C@]1(C(C)C)C[C@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000413385183 529391438 /nfs/dbraw/zinc/39/14/38/529391438.db2.gz RBFDEWYOSHPTES-DGCLKSJQSA-N 0 0 264.329 2.930 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H]2CCO[C@@H](C)C2)n1 ZINC000273108486 192045151 /nfs/dbraw/zinc/04/51/51/192045151.db2.gz FZNUVIWXHKNQKV-RYUDHWBXSA-N 0 0 279.340 2.915 20 5 CFBDRN CCc1nnc([C@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])[nH]1 ZINC000595427540 349622690 /nfs/dbraw/zinc/62/26/90/349622690.db2.gz ZJUYNFWEVXBUQX-ZJUUUORDSA-N 0 0 289.339 2.687 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000177205718 529412487 /nfs/dbraw/zinc/41/24/87/529412487.db2.gz CUERAIDYRIQBTG-GXTWGEPZSA-N 0 0 262.309 2.647 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H](OCc2ccncc2)C1 ZINC000269720923 190542243 /nfs/dbraw/zinc/54/22/43/190542243.db2.gz CDJCHWZGVCOLOI-CQSZACIVSA-N 0 0 299.330 2.785 20 5 CFBDRN CC[C@@H]1COCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116281485 529411883 /nfs/dbraw/zinc/41/18/83/529411883.db2.gz TVXBGYWXJGPVJF-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN CO[C@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000595473219 349637786 /nfs/dbraw/zinc/63/77/86/349637786.db2.gz UYCPWBASKOPCAP-MFKMUULPSA-N 0 0 282.315 2.733 20 5 CFBDRN CCC(CC)N(CC)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000341037564 282180720 /nfs/dbraw/zinc/18/07/20/282180720.db2.gz LFBQBUYRVNSKBG-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN Cc1noc(CN2CCC(c3ccco3)CC2)c1[N+](=O)[O-] ZINC000595614108 349677089 /nfs/dbraw/zinc/67/70/89/349677089.db2.gz FNZSJVZXXQNYSH-UHFFFAOYSA-N 0 0 291.307 2.864 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1c2ccccc2C[C@H]1CO ZINC000595656457 349692072 /nfs/dbraw/zinc/69/20/72/349692072.db2.gz SBMAKULQRBAZMP-AWEZNQCLSA-N 0 0 284.315 2.518 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@H]1Nc1c([N+](=O)[O-])c(C)nn1C ZINC000413386652 529521299 /nfs/dbraw/zinc/52/12/99/529521299.db2.gz UKEBXIYNBXVUGQ-MFKMUULPSA-N 0 0 266.345 2.873 20 5 CFBDRN C[C@H](NCCOc1cccc([N+](=O)[O-])c1)c1cscn1 ZINC000595669247 349694955 /nfs/dbraw/zinc/69/49/55/349694955.db2.gz ULNWLSBARLASJI-JTQLQIEISA-N 0 0 293.348 2.781 20 5 CFBDRN CCc1noc(C)c1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000069830411 346901246 /nfs/dbraw/zinc/90/12/46/346901246.db2.gz FKCZESXWRWJEKC-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1Cc1ccc([N+](=O)[O-])cc1F ZINC000595681826 349699652 /nfs/dbraw/zinc/69/96/52/349699652.db2.gz MQTUBCBAPJPRJC-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN CC[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H](O)C(C)C ZINC000414404066 529531172 /nfs/dbraw/zinc/53/11/72/529531172.db2.gz AJGFNWKKSVYMEG-STQMWFEESA-N 0 0 295.339 2.512 20 5 CFBDRN CC[C@@H](F)COc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC000413018275 529554735 /nfs/dbraw/zinc/55/47/35/529554735.db2.gz QQMHCZGNDQLREH-MRVPVSSYSA-N 0 0 271.244 2.508 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(Cc1ccc(Cl)cc1[N+](=O)[O-])C2 ZINC000595755812 349723798 /nfs/dbraw/zinc/72/37/98/349723798.db2.gz CYNODQCANAIEQZ-SMDDNHRTSA-N 0 0 296.754 2.717 20 5 CFBDRN Cc1nnc(CN(C)Cc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000595705892 349711451 /nfs/dbraw/zinc/71/14/51/349711451.db2.gz ZAKAPOKGXURDFC-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000595716092 349715032 /nfs/dbraw/zinc/71/50/32/349715032.db2.gz VFTKSYRLFFESHZ-UTUOFQBUSA-N 0 0 276.336 2.813 20 5 CFBDRN CC1(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])CCC1 ZINC000126064368 187214644 /nfs/dbraw/zinc/21/46/44/187214644.db2.gz UAWULMFPEPBUDY-BQYQJAHWSA-N 0 0 260.293 2.667 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000595764548 349727398 /nfs/dbraw/zinc/72/73/98/349727398.db2.gz BGBMFRZBZRYBJD-BXKDBHETSA-N 0 0 284.743 2.593 20 5 CFBDRN CC[C@@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000595788432 349733873 /nfs/dbraw/zinc/73/38/73/349733873.db2.gz OAEACCQWQJLBPT-CYBMUJFWSA-N 0 0 291.351 2.925 20 5 CFBDRN CC[N@H+](Cc1ccc(Cl)cc1[N+](=O)[O-])[C@H](C)C(=O)[O-] ZINC000595881270 349760629 /nfs/dbraw/zinc/76/06/29/349760629.db2.gz BYHFYJWLHTUXOT-MRVPVSSYSA-N 0 0 286.715 2.543 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000173445854 529606364 /nfs/dbraw/zinc/60/63/64/529606364.db2.gz KYSFKAQIWSPYQE-CYBMUJFWSA-N 0 0 274.320 2.996 20 5 CFBDRN Cc1nnc(CN(C)Cc2ccc([N+](=O)[O-])cc2F)s1 ZINC000595884080 349760908 /nfs/dbraw/zinc/76/09/08/349760908.db2.gz CPUSPPWMXUNLGO-UHFFFAOYSA-N 0 0 296.327 2.526 20 5 CFBDRN CCc1ccc(C(=O)Nc2ccccn2)cc1[N+](=O)[O-] ZINC000174040293 529611205 /nfs/dbraw/zinc/61/12/05/529611205.db2.gz UQGSXMZJKVVIFQ-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCOC(C)(C)C1 ZINC000413332174 529601876 /nfs/dbraw/zinc/60/18/76/529601876.db2.gz HZPDXLHPLXQBEP-NSHDSACASA-N 0 0 296.371 2.737 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC1CC(F)(F)C1 ZINC000413331012 529602322 /nfs/dbraw/zinc/60/23/22/529602322.db2.gz HDMWFPHKNNEXAP-UHFFFAOYSA-N 0 0 288.298 2.967 20 5 CFBDRN Cc1noc(COc2cccc3c2C(=O)CC3)c1[N+](=O)[O-] ZINC000596023598 349777122 /nfs/dbraw/zinc/77/71/22/349777122.db2.gz ZQPZYRGTWDVZCA-UHFFFAOYSA-N 0 0 288.259 2.599 20 5 CFBDRN CC1(CNc2cc([N+](=O)[O-])ccc2OC(F)F)COC1 ZINC000596026159 349777955 /nfs/dbraw/zinc/77/79/55/349777955.db2.gz DHBOJILYCPMKLP-UHFFFAOYSA-N 0 0 288.250 2.645 20 5 CFBDRN COc1cc(F)ccc1NCc1onc(C)c1[N+](=O)[O-] ZINC000596026736 349778033 /nfs/dbraw/zinc/77/80/33/349778033.db2.gz LDERSSDROXAEBZ-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN COc1cc(NCc2onc(C)c2[N+](=O)[O-])ccc1F ZINC000596031963 349778522 /nfs/dbraw/zinc/77/85/22/349778522.db2.gz HFTUQVOZRQUFSG-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN C[C@H]1OCC[C@H]1Sc1ncc([N+](=O)[O-])cc1Cl ZINC000269978853 190579213 /nfs/dbraw/zinc/57/92/13/190579213.db2.gz ZUPFMWJEQLRYLE-HZGVNTEJSA-N 0 0 274.729 2.913 20 5 CFBDRN CCc1cnc(CN(C)c2ncc([N+](=O)[O-])cc2F)s1 ZINC000413179223 529645391 /nfs/dbraw/zinc/64/53/91/529645391.db2.gz IDRLOMUIRIQMBO-UHFFFAOYSA-N 0 0 296.327 2.784 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596087118 349791332 /nfs/dbraw/zinc/79/13/32/349791332.db2.gz FVULOYFIHQVXAA-BDAKNGLRSA-N 0 0 265.313 2.540 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)sc2[N+](=O)[O-])CCO1 ZINC000596070617 349786074 /nfs/dbraw/zinc/78/60/74/349786074.db2.gz GTKQYHTTYGLKCC-SECBINFHSA-N 0 0 256.327 2.580 20 5 CFBDRN Cc1cc(CN(C)c2cc(C)sc2[N+](=O)[O-])no1 ZINC000596074412 349787198 /nfs/dbraw/zinc/78/71/98/349787198.db2.gz FSDXYSVNRBSEHE-UHFFFAOYSA-N 0 0 267.310 2.898 20 5 CFBDRN CC[C@H](C)CNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000421576547 529660044 /nfs/dbraw/zinc/66/00/44/529660044.db2.gz CKSKMIUAVMEHQG-NSHDSACASA-N 0 0 279.340 2.559 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])cnc1C)[C@@H]1CC1(C)C ZINC000416087439 529665871 /nfs/dbraw/zinc/66/58/71/529665871.db2.gz YFTJZZSUJOFYDU-QWHCGFSZSA-N 0 0 291.351 2.853 20 5 CFBDRN Cc1cc(NCC2CCOCC2)c([N+](=O)[O-])s1 ZINC000596099223 349794627 /nfs/dbraw/zinc/79/46/27/349794627.db2.gz PQEFVENFXZUQGD-UHFFFAOYSA-N 0 0 256.327 2.803 20 5 CFBDRN CC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413549113 529680941 /nfs/dbraw/zinc/68/09/41/529680941.db2.gz VMUGHRWWIWNBOR-CMPLNLGQSA-N 0 0 267.329 2.506 20 5 CFBDRN CC[C@H](C)N(C(=O)c1nn(CC)cc1[N+](=O)[O-])[C@@H](C)CC ZINC000191703018 529684640 /nfs/dbraw/zinc/68/46/40/529684640.db2.gz UANOZQURDDPXKP-QWRGUYRKSA-N 0 0 296.371 2.850 20 5 CFBDRN O=[N+]([O-])c1cc(NCC2(O)CCC2)ccc1C(F)(F)F ZINC000596119332 349798652 /nfs/dbraw/zinc/79/86/52/349798652.db2.gz CLDLKBYWSTZKNH-UHFFFAOYSA-N 0 0 290.241 2.941 20 5 CFBDRN COC(=O)c1c(Cl)c(NC2CCC2)ccc1[N+](=O)[O-] ZINC000596120008 349798860 /nfs/dbraw/zinc/79/88/60/349798860.db2.gz GJLKKVRFCZLHMB-UHFFFAOYSA-N 0 0 284.699 2.999 20 5 CFBDRN CCC[C@](C)(O)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596121651 349799795 /nfs/dbraw/zinc/79/97/95/349799795.db2.gz GTMLZPAYGPLHIX-NSHDSACASA-N 0 0 258.343 2.928 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000596124331 349800406 /nfs/dbraw/zinc/80/04/06/349800406.db2.gz VPRSMZKUWQRRAH-RNCFNFMXSA-N 0 0 282.340 2.731 20 5 CFBDRN COc1cc(N(C)Cc2cc[nH]n2)c(Cl)cc1[N+](=O)[O-] ZINC000596125143 349800498 /nfs/dbraw/zinc/80/04/98/349800498.db2.gz LGADKURZXUCMDX-UHFFFAOYSA-N 0 0 296.714 2.616 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000126535040 187246970 /nfs/dbraw/zinc/24/69/70/187246970.db2.gz COZHPAFAWAUMOT-GFCCVEGCSA-N 0 0 293.367 2.825 20 5 CFBDRN CS[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000294985899 199284324 /nfs/dbraw/zinc/28/43/24/199284324.db2.gz MPJREUWPGHNCNS-NSHDSACASA-N 0 0 252.339 2.845 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)[C@H](C)C1 ZINC000596127460 349801175 /nfs/dbraw/zinc/80/11/75/349801175.db2.gz ZVTMCMJRXPCCFP-GIPNMCIBSA-N 0 0 291.351 2.930 20 5 CFBDRN CCc1ccc(OCC(=O)c2ccc[nH]2)c([N+](=O)[O-])c1 ZINC000115200801 529695218 /nfs/dbraw/zinc/69/52/18/529695218.db2.gz REIAQWSKOOVLJI-UHFFFAOYSA-N 0 0 274.276 2.747 20 5 CFBDRN CC(C)OCCCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000126593488 187250620 /nfs/dbraw/zinc/25/06/20/187250620.db2.gz VHTLXOMQMKIGNR-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1cc(N[C@@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000294989740 199286623 /nfs/dbraw/zinc/28/66/23/199286623.db2.gz MWZKMFVTEXNHGZ-JTQLQIEISA-N 0 0 252.314 2.865 20 5 CFBDRN CS[C@@H]1CCC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596132293 349803154 /nfs/dbraw/zinc/80/31/54/349803154.db2.gz WUSKXXQLEGRMDP-DTWKUNHWSA-N 0 0 283.353 2.782 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596138970 349805799 /nfs/dbraw/zinc/80/57/99/349805799.db2.gz PTASEGDFUUSFHW-BDAKNGLRSA-N 0 0 253.302 2.932 20 5 CFBDRN Cc1cc(NC[C@@H](C)C(=O)OC(C)C)c([N+](=O)[O-])s1 ZINC000596139552 349805888 /nfs/dbraw/zinc/80/58/88/349805888.db2.gz LKZBCQQPWVSMRW-MRVPVSSYSA-N 0 0 286.353 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccc(-n3cncn3)cc2)cc1 ZINC000072673905 346935751 /nfs/dbraw/zinc/93/57/51/346935751.db2.gz ZMUOSGMAFKOCSO-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CCS1 ZINC000191949879 299954005 /nfs/dbraw/zinc/95/40/05/299954005.db2.gz HREQHKUYLCFZHM-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1cc(N[C@@H](C)CC[S@](C)=O)c([N+](=O)[O-])s1 ZINC000596143113 349807500 /nfs/dbraw/zinc/80/75/00/349807500.db2.gz XAAYTYHPCMMIDU-SKLCBMLGSA-N 0 0 276.383 2.534 20 5 CFBDRN CCOC[C@@H]1CCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596149797 349809495 /nfs/dbraw/zinc/80/94/95/349809495.db2.gz RDMSBIWSUMINPQ-SNVBAGLBSA-N 0 0 270.354 2.828 20 5 CFBDRN C[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])[C@H]1C ZINC000596149531 349809567 /nfs/dbraw/zinc/80/95/67/349809567.db2.gz MNZHZRBNFRZBRR-YUMQZZPRSA-N 0 0 255.705 2.878 20 5 CFBDRN CC(C)[C@H]1OCCC[C@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596152952 349810384 /nfs/dbraw/zinc/81/03/84/349810384.db2.gz UYXWSIHXHAZDGG-GXFFZTMASA-N 0 0 295.339 2.559 20 5 CFBDRN CC[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596164514 349814657 /nfs/dbraw/zinc/81/46/57/349814657.db2.gz ZEFFAVQKUAVSEY-BXKDBHETSA-N 0 0 277.324 2.684 20 5 CFBDRN CC[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596165937 349814858 /nfs/dbraw/zinc/81/48/58/349814858.db2.gz VPRUNQDYKNKBJU-MRVPVSSYSA-N 0 0 255.705 2.880 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2CCOC3(CCCC3)C2)c1[N+](=O)[O-] ZINC000596166339 349815179 /nfs/dbraw/zinc/81/51/79/349815179.db2.gz UMRXGUSOSXLCFH-SNVBAGLBSA-N 0 0 293.323 2.599 20 5 CFBDRN CS[C@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000295000761 199294383 /nfs/dbraw/zinc/29/43/83/199294383.db2.gz OFIGXNRYRKIGQH-QMMMGPOBSA-N 0 0 274.292 2.815 20 5 CFBDRN Cc1cccc([C@H]2CCCN2c2ccc(N)c([N+](=O)[O-])n2)n1 ZINC000596167380 349815692 /nfs/dbraw/zinc/81/56/92/349815692.db2.gz CJUIVRKCQZPNIZ-CYBMUJFWSA-N 0 0 299.334 2.617 20 5 CFBDRN Cc1cc(N2CC3(CC(F)C3)C2)c([N+](=O)[O-])s1 ZINC000596171305 349816378 /nfs/dbraw/zinc/81/63/78/349816378.db2.gz CZJQAFCWSPCVNK-UHFFFAOYSA-N 0 0 256.302 2.903 20 5 CFBDRN C[C@@H](CCO)CCNc1ccc([N+](=O)[O-])cc1F ZINC000295025193 199306551 /nfs/dbraw/zinc/30/65/51/199306551.db2.gz VHVVDGQFHYCAGU-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN CN(CCOc1ccccc1F)c1ccc([N+](=O)[O-])nc1 ZINC000072883566 346947074 /nfs/dbraw/zinc/94/70/74/346947074.db2.gz QOFJZDFPYAKIMX-UHFFFAOYSA-N 0 0 291.282 2.644 20 5 CFBDRN C[C@H](CN(C)C(=O)CCC(C)(C)[N+](=O)[O-])c1nccs1 ZINC000295031376 199309854 /nfs/dbraw/zinc/30/98/54/199309854.db2.gz WBDXHVZAKLTEPA-SNVBAGLBSA-N 0 0 299.396 2.541 20 5 CFBDRN CCC[C@@H](CNc1ccc([N+](=O)[O-])cc1COC)OC ZINC000295031273 199310342 /nfs/dbraw/zinc/31/03/42/199310342.db2.gz VZTDRPHAAVCUCH-ZDUSSCGKSA-N 0 0 282.340 2.968 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1nc(C)ccc1[N+](=O)[O-] ZINC000126878662 187267241 /nfs/dbraw/zinc/26/72/41/187267241.db2.gz JSISMXGMXHLUOC-SECBINFHSA-N 0 0 290.323 2.946 20 5 CFBDRN CC1(C)CC[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596179809 349818698 /nfs/dbraw/zinc/81/86/98/349818698.db2.gz UDHXBPQQCYXVDS-MRVPVSSYSA-N 0 0 251.286 2.686 20 5 CFBDRN CO[C@@H]1CCCN(c2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596190743 349822146 /nfs/dbraw/zinc/82/21/46/349822146.db2.gz XVPYJYGIFOFUGL-SNVBAGLBSA-N 0 0 270.354 2.970 20 5 CFBDRN C[C@H]1CN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC(C)(C)C1 ZINC000596191540 349822647 /nfs/dbraw/zinc/82/26/47/349822647.db2.gz WTMYYEJUQBMSGC-SECBINFHSA-N 0 0 265.313 2.568 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@@H]2CCO)c([N+](=O)[O-])s1 ZINC000596207125 349826968 /nfs/dbraw/zinc/82/69/68/349826968.db2.gz BOLXPGQEIRBZAV-NXEZZACHSA-N 0 0 270.354 2.928 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596193277 349822920 /nfs/dbraw/zinc/82/29/20/349822920.db2.gz MIYYHSQKHCGJSQ-SECBINFHSA-N 0 0 265.313 2.568 20 5 CFBDRN Cc1ccc([C@H]2CCN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)cc1 ZINC000596193748 349822957 /nfs/dbraw/zinc/82/29/57/349822957.db2.gz NJKOYWQPYPRGER-ZDUSSCGKSA-N 0 0 299.330 2.998 20 5 CFBDRN Cc1cc(NCC[C@H]2CCOC2)c([N+](=O)[O-])s1 ZINC000596196843 349824725 /nfs/dbraw/zinc/82/47/25/349824725.db2.gz WPJYZPPDAAIOKX-VIFPVBQESA-N 0 0 256.327 2.803 20 5 CFBDRN C[C@H](C1CC1)n1cc(Nc2cc[nH]c(=O)c2[N+](=O)[O-])cn1 ZINC000596201518 349825780 /nfs/dbraw/zinc/82/57/80/349825780.db2.gz ZOKJNGGSPZAMQI-MRVPVSSYSA-N 0 0 289.295 2.607 20 5 CFBDRN Cc1cc(N2CCc3ncsc3C2)c([N+](=O)[O-])s1 ZINC000596203554 349826184 /nfs/dbraw/zinc/82/61/84/349826184.db2.gz PMXKEPWBDBSNLB-UHFFFAOYSA-N 0 0 281.362 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](n3cccn3)C2)cc1 ZINC000301189307 136425083 /nfs/dbraw/zinc/42/50/83/136425083.db2.gz QYWZILJWWUPZQS-AWEZNQCLSA-N 0 0 272.308 2.633 20 5 CFBDRN CC(C)C[C@H]1C[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596182334 349819202 /nfs/dbraw/zinc/81/92/02/349819202.db2.gz AYEWZTQTMJAKPV-QWRGUYRKSA-N 0 0 295.339 2.701 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])s1 ZINC000596181441 349819294 /nfs/dbraw/zinc/81/92/94/349819294.db2.gz BKXOJMQIYDTUNH-VXNVDRBHSA-N 0 0 256.327 2.944 20 5 CFBDRN Cc1cc(NCc2noc(C3CC3)n2)c([N+](=O)[O-])s1 ZINC000596180823 349819338 /nfs/dbraw/zinc/81/93/38/349819338.db2.gz WKJTYLGYARBXNA-UHFFFAOYSA-N 0 0 280.309 2.837 20 5 CFBDRN CC(C)[C@H]1C[C@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596183213 349820293 /nfs/dbraw/zinc/82/02/93/349820293.db2.gz AGDMEPMIGWQBDQ-BXKDBHETSA-N 0 0 299.758 2.681 20 5 CFBDRN C[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H]1F ZINC000596184590 349820307 /nfs/dbraw/zinc/82/03/07/349820307.db2.gz CFVFRTLESIRIGB-APPZFPTMSA-N 0 0 273.695 2.828 20 5 CFBDRN O=[N+]([O-])c1cc(NCCF)ccc1C(F)(F)F ZINC000596224843 349833180 /nfs/dbraw/zinc/83/31/80/349833180.db2.gz IGNIGQGRZOICLO-UHFFFAOYSA-N 0 0 252.167 2.995 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H](C)CCCO)c([N+](=O)[O-])c1 ZINC000295065216 199327048 /nfs/dbraw/zinc/32/70/48/199327048.db2.gz ZCJWPKUEUWQFAT-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN Cc1cc(N2C[C@@H](C3CC3)O[C@@H](C)C2)c([N+](=O)[O-])s1 ZINC000596224058 349833399 /nfs/dbraw/zinc/83/33/99/349833399.db2.gz UATFTMMWNIJMQG-UFBFGSQYSA-N 0 0 282.365 2.968 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC[C@H]2C[C@H]21 ZINC000596230384 349833934 /nfs/dbraw/zinc/83/39/34/349833934.db2.gz JYEDYGOKMLFSEO-IONNQARKSA-N 0 0 253.689 2.632 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000127983179 187345199 /nfs/dbraw/zinc/34/51/99/187345199.db2.gz ZBSBTXFZTSGPBO-JTQLQIEISA-N 0 0 293.323 2.531 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000127983377 187345343 /nfs/dbraw/zinc/34/53/43/187345343.db2.gz ZBSBTXFZTSGPBO-SNVBAGLBSA-N 0 0 293.323 2.531 20 5 CFBDRN C[C@H]1CCC[C@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596221047 349830804 /nfs/dbraw/zinc/83/08/04/349830804.db2.gz UCAOSIZAEKKVFH-IUCAKERBSA-N 0 0 251.286 2.544 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596219847 349831215 /nfs/dbraw/zinc/83/12/15/349831215.db2.gz XKXPWUURFKVPDH-SNVBAGLBSA-N 0 0 279.340 2.958 20 5 CFBDRN CCO[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H]1C ZINC000596255186 349842690 /nfs/dbraw/zinc/84/26/90/349842690.db2.gz PKHOVTGBAPEHHT-CABZTGNLSA-N 0 0 299.758 2.895 20 5 CFBDRN CCn1ccnc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000295073645 199330661 /nfs/dbraw/zinc/33/06/61/199330661.db2.gz WRJHWCPUMOJKRZ-UHFFFAOYSA-N 0 0 282.250 2.702 20 5 CFBDRN COC(=O)C1(COc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000596240761 349837409 /nfs/dbraw/zinc/83/74/09/349837409.db2.gz YITHKWGSBNUGMM-UHFFFAOYSA-N 0 0 285.683 2.580 20 5 CFBDRN CCOc1cc(N2CCO[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000301724531 136437713 /nfs/dbraw/zinc/43/77/13/136437713.db2.gz TUWMTYFFPRFNAO-GHMZBOCLSA-N 0 0 280.324 2.607 20 5 CFBDRN CS[C@H]1CCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596250066 349840289 /nfs/dbraw/zinc/84/02/89/349840289.db2.gz MWCKHDGPXVEPRQ-QMMMGPOBSA-N 0 0 258.368 2.906 20 5 CFBDRN COC[C@]1(C)CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596249250 349840547 /nfs/dbraw/zinc/84/05/47/349840547.db2.gz FDWOFWJGKHRRTL-GFCCVEGCSA-N 0 0 285.731 2.506 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](c3ccncc3)C2)s1 ZINC000596254176 349841991 /nfs/dbraw/zinc/84/19/91/349841991.db2.gz MROVASXYSFBWME-NSHDSACASA-N 0 0 290.348 2.830 20 5 CFBDRN CC[C@@H](CC(F)F)Cn1c(C)ccc([N+](=O)[O-])c1=O ZINC000596269410 349848928 /nfs/dbraw/zinc/84/89/28/349848928.db2.gz AWHKNDOJPAZFBY-VIFPVBQESA-N 0 0 274.267 2.746 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596269949 349848944 /nfs/dbraw/zinc/84/89/44/349848944.db2.gz IGVRRGIVDQKJGM-JOYOIKCWSA-N 0 0 251.286 2.542 20 5 CFBDRN CC[C@@H](O)CNc1c(Br)cccc1[N+](=O)[O-] ZINC000596276782 349850696 /nfs/dbraw/zinc/85/06/96/349850696.db2.gz DUSMAIGLWGNUOF-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN Cc1nn(C)c(OCc2c(F)cc([N+](=O)[O-])cc2F)c1C ZINC000596278082 349850837 /nfs/dbraw/zinc/85/08/37/349850837.db2.gz JHZWZTUTHNVIPK-UHFFFAOYSA-N 0 0 297.261 2.802 20 5 CFBDRN Cc1cnc([C@H](C)CNc2ncc([N+](=O)[O-])c(C)n2)s1 ZINC000295075399 199331842 /nfs/dbraw/zinc/33/18/42/199331842.db2.gz QPSRMDPTQMQLKK-SSDOTTSWSA-N 0 0 293.352 2.674 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H](c1ccccc1)C1CC1 ZINC000073260143 346966779 /nfs/dbraw/zinc/96/67/79/346966779.db2.gz PURAJQVRCKEGER-LBPRGKRZSA-N 0 0 272.308 2.892 20 5 CFBDRN CCOc1cc(Cn2cc(C3CC3)nn2)ccc1[N+](=O)[O-] ZINC000596309410 349859433 /nfs/dbraw/zinc/85/94/33/349859433.db2.gz WYJIWSKOVDCFPX-UHFFFAOYSA-N 0 0 288.307 2.511 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2COC(C)(C)C2)n1 ZINC000596310686 349860150 /nfs/dbraw/zinc/86/01/50/349860150.db2.gz IXIIAJGGNABMRV-SNVBAGLBSA-N 0 0 265.313 2.586 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000073325481 346969718 /nfs/dbraw/zinc/96/97/18/346969718.db2.gz BTEMNTCYDBCJAD-WCQYABFASA-N 0 0 276.336 2.784 20 5 CFBDRN COC(=O)c1c(Cl)c(N[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000596281788 349852306 /nfs/dbraw/zinc/85/23/06/349852306.db2.gz WHKPZMFNOSDJDJ-VIFPVBQESA-N 0 0 296.710 2.999 20 5 CFBDRN C[C@H](CNc1c(Cl)cncc1[N+](=O)[O-])CC(F)F ZINC000596285459 349852833 /nfs/dbraw/zinc/85/28/33/349852833.db2.gz CICARKVGXJZVPE-LURJTMIESA-N 0 0 279.674 2.768 20 5 CFBDRN O=c1[nH]ccc(NCC2CCC(F)CC2)c1[N+](=O)[O-] ZINC000596291890 349854809 /nfs/dbraw/zinc/85/48/09/349854809.db2.gz LVORGMRACNUJPL-UHFFFAOYSA-N 0 0 269.276 2.636 20 5 CFBDRN Cc1cnc(NC[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 ZINC000596312345 349860860 /nfs/dbraw/zinc/86/08/60/349860860.db2.gz IYRRJWJWFJSGOK-LLVKDONJSA-N 0 0 272.308 2.909 20 5 CFBDRN COCc1ccccc1OCc1onc(C)c1[N+](=O)[O-] ZINC000596297198 349855546 /nfs/dbraw/zinc/85/55/46/349855546.db2.gz HLVWTJWELGFHRO-UHFFFAOYSA-N 0 0 278.264 2.617 20 5 CFBDRN O=c1c2cccc([N+](=O)[O-])c2ccn1CC1CC=CC1 ZINC000596301037 349857484 /nfs/dbraw/zinc/85/74/84/349857484.db2.gz XELWQVPXZXWBOK-UHFFFAOYSA-N 0 0 270.288 2.876 20 5 CFBDRN Cc1ncccc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000073355480 346973826 /nfs/dbraw/zinc/97/38/26/346973826.db2.gz FOFRRNMOVYRKHC-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1COC(C)(C)C1 ZINC000596312791 349861013 /nfs/dbraw/zinc/86/10/13/349861013.db2.gz XSVZFEZWTJMDAB-NSHDSACASA-N 0 0 280.324 2.721 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000073330914 346970502 /nfs/dbraw/zinc/97/05/02/346970502.db2.gz UAKHPZBZMPBVAG-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@]1(C2CC2)CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000596335882 349868213 /nfs/dbraw/zinc/86/82/13/349868213.db2.gz RTQWNSUVCNCZOS-GFCCVEGCSA-N 0 0 268.338 2.662 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](O)c2ccsc2)n1 ZINC000126988246 187274781 /nfs/dbraw/zinc/27/47/81/187274781.db2.gz HZCIMOUWIFULOU-LLVKDONJSA-N 0 0 279.321 2.505 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](C)n3ncnc32)ccc1[N+](=O)[O-] ZINC000596345115 349871651 /nfs/dbraw/zinc/87/16/51/349871651.db2.gz ZNQVQOKLVAXAKT-GXSJLCMTSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](C)n3ncnc32)c(F)cc1[N+](=O)[O-] ZINC000596345419 349871654 /nfs/dbraw/zinc/87/16/54/349871654.db2.gz KCKURVSEDWVJGR-GZMMTYOYSA-N 0 0 291.286 2.752 20 5 CFBDRN C[C@]1(C2CC2)CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000596333048 349867453 /nfs/dbraw/zinc/86/74/53/349867453.db2.gz FESLXNSTHZBJGH-CQSZACIVSA-N 0 0 280.299 2.739 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000073509048 346978837 /nfs/dbraw/zinc/97/88/37/346978837.db2.gz MLQVCKPQBWZBFQ-UHFFFAOYSA-N 0 0 290.241 2.548 20 5 CFBDRN CS[C@@H](C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000128111749 187352347 /nfs/dbraw/zinc/35/23/47/187352347.db2.gz LDPFWCJDVKROIF-JTQLQIEISA-N 0 0 294.376 2.621 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1S[C@H]1CCc2ccccc2C1 ZINC000596360010 349877276 /nfs/dbraw/zinc/87/72/76/349877276.db2.gz JPDFLYNXPJXLBW-LBPRGKRZSA-N 0 0 289.360 2.978 20 5 CFBDRN CCOC1CC(CSCc2onc(C)c2[N+](=O)[O-])C1 ZINC000596360375 349877305 /nfs/dbraw/zinc/87/73/05/349877305.db2.gz JVSRYCUQNYCBPK-UHFFFAOYSA-N 0 0 286.353 2.940 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)C(F)(F)F ZINC000074234663 347003598 /nfs/dbraw/zinc/00/35/98/347003598.db2.gz BOCQSFZEOQLSPQ-LURJTMIESA-N 0 0 292.213 2.740 20 5 CFBDRN CC(C)N(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000074233711 347003812 /nfs/dbraw/zinc/00/38/12/347003812.db2.gz HEIPSZYQLQOEFR-UHFFFAOYSA-N 0 0 262.309 2.537 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSC[C@@H]2CC[C@@H]3C[C@@H]3C2)c1 ZINC000596386876 349886499 /nfs/dbraw/zinc/88/64/99/349886499.db2.gz ZIRVGGRTRCTBAU-UTUOFQBUSA-N 0 0 281.381 2.961 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSC[C@@H]2CC[C@H]3C[C@H]3C2)c1 ZINC000596386874 349886566 /nfs/dbraw/zinc/88/65/66/349886566.db2.gz ZIRVGGRTRCTBAU-GRYCIOLGSA-N 0 0 281.381 2.961 20 5 CFBDRN COc1cc(CSCC[C@H](C)O)c([N+](=O)[O-])cc1F ZINC000596405695 349891145 /nfs/dbraw/zinc/89/11/45/349891145.db2.gz BGBNULPCVUOMPX-QMMMGPOBSA-N 0 0 289.328 2.747 20 5 CFBDRN CNC(=O)c1ccc(NC2(C)CCCC2)c([N+](=O)[O-])c1 ZINC000096523263 529942260 /nfs/dbraw/zinc/94/22/60/529942260.db2.gz HTXKJOJJXOBGGM-UHFFFAOYSA-N 0 0 277.324 2.699 20 5 CFBDRN CC[C@@H](C)CCNc1c([N+](=O)[O-])nc(C)n1CC ZINC000596414556 349895414 /nfs/dbraw/zinc/89/54/14/349895414.db2.gz AVIWIQKTRICIHL-SECBINFHSA-N 0 0 254.334 2.968 20 5 CFBDRN CSCC1(CCNc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000596418917 349897362 /nfs/dbraw/zinc/89/73/62/349897362.db2.gz ICYZFEGLSNHAEA-UHFFFAOYSA-N 0 0 281.381 2.665 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000074658710 347025259 /nfs/dbraw/zinc/02/52/59/347025259.db2.gz OQWLFSABUMHFBZ-LLVKDONJSA-N 0 0 262.309 3.000 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](C)C2(CO)CC2)c([N+](=O)[O-])c1 ZINC000596438392 349902527 /nfs/dbraw/zinc/90/25/27/349902527.db2.gz SZFZSMXATCINRB-JTQLQIEISA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1ccc(CN(C)c2cc(C)c([N+](=O)[O-])cn2)o1 ZINC000074725610 347029044 /nfs/dbraw/zinc/02/90/44/347029044.db2.gz PIISCXJSTSEHBY-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000596439248 349903248 /nfs/dbraw/zinc/90/32/48/349903248.db2.gz BOVQDPBTKIDGIT-HAQNSBGRSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@H]1CCCN(c2ccccc2[N+](=O)[O-])[C@H]1CCO ZINC000596443974 349905247 /nfs/dbraw/zinc/90/52/47/349905247.db2.gz RNDVWPPSGKPYCE-RYUDHWBXSA-N 0 0 264.325 2.582 20 5 CFBDRN CSCC[C@@H](C)N(C)c1ncc(C)cc1[N+](=O)[O-] ZINC000074770049 347032162 /nfs/dbraw/zinc/03/21/62/347032162.db2.gz ICBBMUCIEHKXSY-SNVBAGLBSA-N 0 0 269.370 2.876 20 5 CFBDRN CCO[C@@H](CCNc1ccc([N+](=O)[O-])nc1)C(C)C ZINC000074772280 347032342 /nfs/dbraw/zinc/03/23/42/347032342.db2.gz QTGCERWVUYNOAA-LBPRGKRZSA-N 0 0 267.329 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@H]2n2cccn2)nc1 ZINC000074776150 347033033 /nfs/dbraw/zinc/03/30/33/347033033.db2.gz VNRJMYZMSQQISW-QWHCGFSZSA-N 0 0 287.323 2.782 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO[C@@H]2CC2(F)F)c(F)c1 ZINC000596448303 349906286 /nfs/dbraw/zinc/90/62/86/349906286.db2.gz RZGIKCMQLKUKNC-SNVBAGLBSA-N 0 0 276.214 2.570 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@H](C2CCCCC2)C1 ZINC000596454706 349907234 /nfs/dbraw/zinc/90/72/34/349907234.db2.gz OEUCULMCWRAZNS-LBPRGKRZSA-N 0 0 278.356 2.735 20 5 CFBDRN CC(C)Oc1nc(NCCC(C)(C)F)ccc1[N+](=O)[O-] ZINC000596467455 349909216 /nfs/dbraw/zinc/90/92/16/349909216.db2.gz APIFNOMDKMQTPA-UHFFFAOYSA-N 0 0 285.319 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(C)(C)C[C@@H](C)O)n1 ZINC000127240854 187290710 /nfs/dbraw/zinc/29/07/10/187290710.db2.gz VZPMAGPPLWKHFG-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2ccccc2[N+](=O)[O-])O1 ZINC000596472494 349910589 /nfs/dbraw/zinc/91/05/89/349910589.db2.gz BMBCHVARLJKZMO-WDEREUQCSA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1ccnc(NCc2c(C3CC3)cnn2C)c1[N+](=O)[O-] ZINC000596472685 349910615 /nfs/dbraw/zinc/91/06/15/349910615.db2.gz NDSJTNVFBWDVBA-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN COc1cccc(NC[C@H]2CCC[C@H](C)O2)c1[N+](=O)[O-] ZINC000596472500 349910689 /nfs/dbraw/zinc/91/06/89/349910689.db2.gz BOXRDDIXFORINK-WDEREUQCSA-N 0 0 280.324 2.973 20 5 CFBDRN COCc1csc(CNc2cc(C)c([N+](=O)[O-])cn2)c1 ZINC000596472865 349911273 /nfs/dbraw/zinc/91/12/73/349911273.db2.gz LRXCBYRMSZXLJV-UHFFFAOYSA-N 0 0 293.348 2.540 20 5 CFBDRN Nc1cc(NCCCC2CCC2)cc(CO)c1[N+](=O)[O-] ZINC000596475005 349912343 /nfs/dbraw/zinc/91/23/43/349912343.db2.gz DQNIMDDMEBFAJZ-UHFFFAOYSA-N 0 0 279.340 2.662 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@]1(C)CC1(F)F ZINC000596478855 349913764 /nfs/dbraw/zinc/91/37/64/349913764.db2.gz SVYXVVHXWXTWHX-SNVBAGLBSA-N 0 0 274.271 2.577 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000075108622 347056222 /nfs/dbraw/zinc/05/62/22/347056222.db2.gz LVURNKLNHMUOSP-LBPRGKRZSA-N 0 0 293.367 2.825 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1nccc3cc([N+](=O)[O-])ccc31)C2 ZINC000596477487 349912978 /nfs/dbraw/zinc/91/29/78/349912978.db2.gz DHSJPQHZFDLBQA-LRDDRELGSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000596476182 349913046 /nfs/dbraw/zinc/91/30/46/349913046.db2.gz XPKWYPIXLSSTQV-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCC[C@H](C)O2)c1[N+](=O)[O-] ZINC000596476183 349913142 /nfs/dbraw/zinc/91/31/42/349913142.db2.gz XPKWYPIXLSSTQV-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](CCF)C2)cn1 ZINC000596477471 349913236 /nfs/dbraw/zinc/91/32/36/349913236.db2.gz CZBRBJOBRANALN-SNVBAGLBSA-N 0 0 253.277 2.566 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CC2(F)F)ncc1[N+](=O)[O-] ZINC000596480230 349914606 /nfs/dbraw/zinc/91/46/06/349914606.db2.gz ZRJREPOSCOPAKT-SNVBAGLBSA-N 0 0 257.240 2.755 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176681564 530004251 /nfs/dbraw/zinc/00/42/51/530004251.db2.gz UOMSWQVUODZYPN-OLZOCXBDSA-N 0 0 274.320 2.599 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1ccc([N+](=O)[O-])c3cccnc31)C2 ZINC000596481525 349915117 /nfs/dbraw/zinc/91/51/17/349915117.db2.gz BRILMGABJRPSFB-MEDUHNTESA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@]1(CCCO)CCCN1c1ccc([N+](=O)[O-])cc1 ZINC000273210150 192075489 /nfs/dbraw/zinc/07/54/89/192075489.db2.gz GLEAOBQKNSFCPU-CQSZACIVSA-N 0 0 264.325 2.726 20 5 CFBDRN CC(C)CN(C)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000075441700 347077810 /nfs/dbraw/zinc/07/78/10/347077810.db2.gz CHLSVGNQYCOIDD-BQYQJAHWSA-N 0 0 262.309 2.722 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000075438143 347076948 /nfs/dbraw/zinc/07/69/48/347076948.db2.gz MFCRNMYLUTXDDD-VHSXEESVSA-N 0 0 265.313 2.574 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N(CCC1CC1)CC1CC1 ZINC000596489767 349918035 /nfs/dbraw/zinc/91/80/35/349918035.db2.gz DPGHHFWONMOJFK-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN CN(C[C@@H]1CCc2ccccc21)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000596490312 349918058 /nfs/dbraw/zinc/91/80/58/349918058.db2.gz ARTWGQANPTXMOP-LBPRGKRZSA-N 0 0 299.330 2.725 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC(O)(C1CC1)C1CC1 ZINC000596490949 349918571 /nfs/dbraw/zinc/91/85/71/349918571.db2.gz AKBFSJUGVDBCRL-UHFFFAOYSA-N 0 0 280.299 2.697 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H](C)O[C@@H](C)C2)n1 ZINC000596492502 349918735 /nfs/dbraw/zinc/91/87/35/349918735.db2.gz CRWDHOFSMRCLTO-NEPJUHHUSA-N 0 0 279.340 2.610 20 5 CFBDRN C[C@@H]1CCN(c2sccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000596492535 349918927 /nfs/dbraw/zinc/91/89/27/349918927.db2.gz DZZSZZFQGNYMOR-BDAKNGLRSA-N 0 0 256.327 2.660 20 5 CFBDRN COC(=O)C[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000596489633 349917936 /nfs/dbraw/zinc/91/79/36/349917936.db2.gz CSGUPQZOQDGIEC-JTQLQIEISA-N 0 0 296.298 2.735 20 5 CFBDRN CC1(C)CC(NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000596493343 349919815 /nfs/dbraw/zinc/91/98/15/349919815.db2.gz NACAMLFAWFNODX-UHFFFAOYSA-N 0 0 263.297 2.905 20 5 CFBDRN C[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000596495255 349919670 /nfs/dbraw/zinc/91/96/70/349919670.db2.gz RXYGUBRWIANECZ-ZJUUUORDSA-N 0 0 268.288 2.738 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@@H]1CCCS1 ZINC000075547335 347084095 /nfs/dbraw/zinc/08/40/95/347084095.db2.gz PGKXGRICPLTBBX-JTQLQIEISA-N 0 0 270.285 2.568 20 5 CFBDRN Cc1c(C(=O)N2CCC23CCC3)cccc1[N+](=O)[O-] ZINC000336408658 300916260 /nfs/dbraw/zinc/91/62/60/300916260.db2.gz UPJQCIZISTYUEB-UHFFFAOYSA-N 0 0 260.293 2.672 20 5 CFBDRN CC1(C)C[C@@]1(NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1 ZINC000596499227 349921032 /nfs/dbraw/zinc/92/10/32/349921032.db2.gz QANIPUWWAQXVSE-MRXNPFEDSA-N 0 0 299.330 2.978 20 5 CFBDRN Cc1cncc(CCNc2ccc([N+](=O)[O-])cc2C)n1 ZINC000596499689 349921317 /nfs/dbraw/zinc/92/13/17/349921317.db2.gz VDCRIJMICUNBCW-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1nc(N(C)C[C@@H](C)c2nccs2)ccc1[N+](=O)[O-] ZINC000273232594 192082000 /nfs/dbraw/zinc/08/20/00/192082000.db2.gz WKPIYHLEIVVKGZ-SECBINFHSA-N 0 0 292.364 2.995 20 5 CFBDRN Cc1ccc(N[C@H]2C[C@@H](O)C23CCC3)c([N+](=O)[O-])c1 ZINC000596500554 349922010 /nfs/dbraw/zinc/92/20/10/349922010.db2.gz CIGMSMXHMCKBGI-QWHCGFSZSA-N 0 0 262.309 2.619 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC1CCC2(CC2(F)F)CC1 ZINC000596503632 349922547 /nfs/dbraw/zinc/92/25/47/349922547.db2.gz VPWPRJWNTNQKAD-UHFFFAOYSA-N 0 0 286.282 2.708 20 5 CFBDRN O=C1CCCC12CCN(c1ccccc1[N+](=O)[O-])CC2 ZINC000596506928 349923080 /nfs/dbraw/zinc/92/30/80/349923080.db2.gz WVIILUNODOAWNL-UHFFFAOYSA-N 0 0 274.320 2.934 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2C[C@@H](O)C23CCC3)c(F)c1 ZINC000596506586 349923198 /nfs/dbraw/zinc/92/31/98/349923198.db2.gz IQEVNXSJAQJHRD-WDEREUQCSA-N 0 0 284.262 2.588 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC2(CCCC2=O)CC1 ZINC000596504936 349923360 /nfs/dbraw/zinc/92/33/60/349923360.db2.gz JGNCBEZIGSACGA-UHFFFAOYSA-N 0 0 289.335 2.638 20 5 CFBDRN CCSC1(CNc2c([N+](=O)[O-])c(C)nn2CC)CC1 ZINC000596512007 349925402 /nfs/dbraw/zinc/92/54/02/349925402.db2.gz ZKIUFCMTDBZTHQ-UHFFFAOYSA-N 0 0 284.385 2.817 20 5 CFBDRN CC(=O)c1cc(N[C@H]2C[C@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596513898 349926747 /nfs/dbraw/zinc/92/67/47/349926747.db2.gz XAQFPFLKFDMASA-KBPBESRZSA-N 0 0 290.319 2.513 20 5 CFBDRN Cc1nc(N2CC3(CCCC3)OC[C@H]2C)ccc1[N+](=O)[O-] ZINC000596514303 349926772 /nfs/dbraw/zinc/92/67/72/349926772.db2.gz GARCJKJAEIZJFV-LLVKDONJSA-N 0 0 291.351 2.836 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H](O)C23CCC3)c1 ZINC000596513853 349926837 /nfs/dbraw/zinc/92/68/37/349926837.db2.gz WOIMNJRBDMSWKF-OLZOCXBDSA-N 0 0 262.309 2.619 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@@H]1Cc1ccccc1)[N+](=O)[O-] ZINC000295107160 199347724 /nfs/dbraw/zinc/34/77/24/199347724.db2.gz XYLSUBHRIWCFDR-UONOGXRCSA-N 0 0 290.363 2.569 20 5 CFBDRN Cn1cc(Nc2ccc(Br)cc2[N+](=O)[O-])cn1 ZINC000035781670 232173611 /nfs/dbraw/zinc/17/36/11/232173611.db2.gz KWXWGBMSOHMUES-UHFFFAOYSA-N 0 0 297.112 2.834 20 5 CFBDRN Cc1ccc(N[C@H](C)c2cnn(C)c2)c([N+](=O)[O-])c1 ZINC000044497376 232174543 /nfs/dbraw/zinc/17/45/43/232174543.db2.gz JKCNGZRBYQTBIZ-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)C1CCC(F)CC1 ZINC000596515697 349927110 /nfs/dbraw/zinc/92/71/10/349927110.db2.gz WPRXFGUTWHXOJP-XNWIYYODSA-N 0 0 270.308 2.657 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000596518065 349927816 /nfs/dbraw/zinc/92/78/16/349927816.db2.gz QKIVMKCSPLBYGG-GFCCVEGCSA-N 0 0 293.367 2.967 20 5 CFBDRN CO[C@@H](CNc1ncc(C)cc1[N+](=O)[O-])C1CCCC1 ZINC000596526034 349929614 /nfs/dbraw/zinc/92/96/14/349929614.db2.gz PBCBWQQSRHGKCW-ZDUSSCGKSA-N 0 0 279.340 2.915 20 5 CFBDRN CC1(C)C[C@]1(C)Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000596523469 349929746 /nfs/dbraw/zinc/92/97/46/349929746.db2.gz JKTVTRWEEPCLPS-ZDUSSCGKSA-N 0 0 298.364 2.599 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H](OC)C1CCCC1 ZINC000596528155 349931302 /nfs/dbraw/zinc/93/13/02/349931302.db2.gz MDDLWBGEIMDTPR-LBPRGKRZSA-N 0 0 296.371 2.737 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@@H]2COC[C@H]2C1 ZINC000596528689 349931483 /nfs/dbraw/zinc/93/14/83/349931483.db2.gz OABWAPCIHGKPGK-VXGBXAGGSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@@H]2COC[C@H]2C1 ZINC000596527371 349930539 /nfs/dbraw/zinc/93/05/39/349930539.db2.gz IWVFSDQQKVZUIZ-VXGBXAGGSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1C[C@@H](C)O[C@@H](C)C1 ZINC000075589725 347086735 /nfs/dbraw/zinc/08/67/35/347086735.db2.gz NOOLADZAPDLIQG-TUAOUCFPSA-N 0 0 264.325 2.765 20 5 CFBDRN Cc1cnc(NC[C@H]2CCOC2(C)C)c([N+](=O)[O-])c1 ZINC000596544751 349937183 /nfs/dbraw/zinc/93/71/83/349937183.db2.gz PRJAVVHURVZUBD-SNVBAGLBSA-N 0 0 265.313 2.525 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1ccccc1[N+](=O)[O-] ZINC000596541865 349935777 /nfs/dbraw/zinc/93/57/77/349935777.db2.gz GAXXAOUUZUFMLN-SNVBAGLBSA-N 0 0 250.298 2.822 20 5 CFBDRN CCOCCCC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000074017848 136482685 /nfs/dbraw/zinc/48/26/85/136482685.db2.gz VONDKHURBIQJJL-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCOC2(C)C)c1 ZINC000596543040 349936702 /nfs/dbraw/zinc/93/67/02/349936702.db2.gz JAQFYZPHWBHDLU-JTQLQIEISA-N 0 0 280.324 2.830 20 5 CFBDRN CCc1nn(C)c(NCCCc2ccco2)c1[N+](=O)[O-] ZINC000596545399 349938187 /nfs/dbraw/zinc/93/81/87/349938187.db2.gz YLDYHQNLCWJWEF-UHFFFAOYSA-N 0 0 278.312 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccc(CCO)cc2)c(F)c1 ZINC000158072410 232239610 /nfs/dbraw/zinc/23/96/10/232239610.db2.gz XEVAGYDJWCSIKU-UHFFFAOYSA-N 0 0 291.278 2.848 20 5 CFBDRN C[C@@H](c1ccccc1)[C@H](O)CNc1ncccc1[N+](=O)[O-] ZINC000596547718 349938620 /nfs/dbraw/zinc/93/86/20/349938620.db2.gz JLZASAOSLYOOAM-SMDDNHRTSA-N 0 0 287.319 2.566 20 5 CFBDRN CCOC(=O)[C@@]1(C)CCC[C@@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000596552906 349939914 /nfs/dbraw/zinc/93/99/14/349939914.db2.gz OEJANUGRYFWFCD-FZMZJTMJSA-N 0 0 293.323 2.524 20 5 CFBDRN Cc1cc(NCCc2ccccc2O)ncc1[N+](=O)[O-] ZINC000173913998 232283838 /nfs/dbraw/zinc/28/38/38/232283838.db2.gz DKLVCJHIXRRZDT-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN COCCSCCC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000173741106 232280708 /nfs/dbraw/zinc/28/07/08/232280708.db2.gz PAQJCBPVZAZSET-UHFFFAOYSA-N 0 0 298.364 2.611 20 5 CFBDRN CN(CC1=CCSC1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596554879 349940924 /nfs/dbraw/zinc/94/09/24/349940924.db2.gz WLJFZPZGOTTYCC-UHFFFAOYSA-N 0 0 285.756 2.753 20 5 CFBDRN Cc1nnc(CN(C)c2cc(C)c([N+](=O)[O-])cc2F)s1 ZINC000596556976 349941096 /nfs/dbraw/zinc/94/10/96/349941096.db2.gz NXYXYUXADBBFNB-UHFFFAOYSA-N 0 0 296.327 2.839 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC(CF)CC2)c([N+](=O)[O-])c1 ZINC000295122314 199357474 /nfs/dbraw/zinc/35/74/74/199357474.db2.gz WTBKKEAXBXSOHS-UHFFFAOYSA-N 0 0 297.286 2.685 20 5 CFBDRN C[C@H]1CCC[C@H](CNC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000176151815 232326921 /nfs/dbraw/zinc/32/69/21/232326921.db2.gz OLDPYOYLHRTREC-QWRGUYRKSA-N 0 0 291.351 2.733 20 5 CFBDRN CSCCN(C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000176335273 232329824 /nfs/dbraw/zinc/32/98/24/232329824.db2.gz PLKVIOCRKVHDCY-SNVBAGLBSA-N 0 0 297.380 2.660 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)on1 ZINC000177864558 232371572 /nfs/dbraw/zinc/37/15/72/232371572.db2.gz GSVBASNPNHOHJV-JTQLQIEISA-N 0 0 289.291 2.691 20 5 CFBDRN C[C@@H](c1ccco1)N(C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000178162633 232375626 /nfs/dbraw/zinc/37/56/26/232375626.db2.gz XUASKDPOVDOGMM-VIFPVBQESA-N 0 0 289.291 2.603 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSc1ncccn1 ZINC000010861190 346228196 /nfs/dbraw/zinc/22/81/96/346228196.db2.gz PPXXWXMJUORULC-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCOCCF ZINC000596569248 349943678 /nfs/dbraw/zinc/94/36/78/349943678.db2.gz HOKMLXGJMAOGPS-UHFFFAOYSA-N 0 0 262.668 2.646 20 5 CFBDRN O=[N+]([O-])c1c(NCCOCCF)ccc2ncccc21 ZINC000596568608 349943699 /nfs/dbraw/zinc/94/36/99/349943699.db2.gz APLXAGOYFAEXKQ-UHFFFAOYSA-N 0 0 279.271 2.541 20 5 CFBDRN CC1CCC(O)(CNc2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000596569640 349943779 /nfs/dbraw/zinc/94/37/79/349943779.db2.gz APCHGALNCZOGAH-UHFFFAOYSA-N 0 0 297.330 2.669 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@@H]2CCCC[C@H]21 ZINC000008368158 346223963 /nfs/dbraw/zinc/22/39/63/346223963.db2.gz UQAPHNVXYQRFSK-GXFFZTMASA-N 0 0 277.324 2.718 20 5 CFBDRN CNc1ccc(C(=O)Nc2ccnc(C)c2)cc1[N+](=O)[O-] ZINC000010162898 346226142 /nfs/dbraw/zinc/22/61/42/346226142.db2.gz ZGQHIJZTUATXHY-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CC12CC(C1)CN2c1ncc(Br)cc1[N+](=O)[O-] ZINC000596574512 349945575 /nfs/dbraw/zinc/94/55/75/349945575.db2.gz JIVVBBKPJINKQN-UHFFFAOYSA-N 0 0 298.140 2.741 20 5 CFBDRN Cc1cccc(C(=O)N(C)Cc2ccco2)c1[N+](=O)[O-] ZINC000017703711 346239916 /nfs/dbraw/zinc/23/99/16/346239916.db2.gz UQWWDURKLRHLDV-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000025568728 346259986 /nfs/dbraw/zinc/25/99/86/346259986.db2.gz IRHZSVMORZXMIA-PWSUYJOCSA-N 0 0 291.351 2.709 20 5 CFBDRN O=C(Nc1ccc2n[nH]cc2c1)c1ccc([N+](=O)[O-])cc1 ZINC000020479030 346243888 /nfs/dbraw/zinc/24/38/88/346243888.db2.gz FYZYDBMOAUJUCG-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000020495506 346244061 /nfs/dbraw/zinc/24/40/61/346244061.db2.gz FDQNZTQZXRKGHK-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCCC1=CCCCC1 ZINC000022236326 346248361 /nfs/dbraw/zinc/24/83/61/346248361.db2.gz HETIZIZNZOAKBC-UHFFFAOYSA-N 0 0 277.324 2.554 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ncccc2[N+](=O)[O-])c1 ZINC000022807991 346249682 /nfs/dbraw/zinc/24/96/82/346249682.db2.gz CDEABPNRDULOGS-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN C[C@H]1CCCCN(C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000187094674 232428011 /nfs/dbraw/zinc/42/80/11/232428011.db2.gz SAZZOIJRHTZORM-LBPRGKRZSA-N 0 0 292.335 2.622 20 5 CFBDRN CC(C)c1noc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000029137626 346278977 /nfs/dbraw/zinc/27/89/77/346278977.db2.gz FIFOCCBEIWUJFP-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN O=C(Cn1cnc([N+](=O)[O-])c1)Nc1cccc2ccccc21 ZINC000029209820 346279704 /nfs/dbraw/zinc/27/97/04/346279704.db2.gz DVLUYLKBJDYWSQ-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN CCCn1nccc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000031770954 346297116 /nfs/dbraw/zinc/29/71/16/346297116.db2.gz RTDJFPJSJQUUCI-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN C[C@@H]1c2cccn2CCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000030267176 346287396 /nfs/dbraw/zinc/28/73/96/346287396.db2.gz XYVLKVOBUCVJIW-LLVKDONJSA-N 0 0 285.303 2.613 20 5 CFBDRN CN(C)c1ccccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000033122014 346309673 /nfs/dbraw/zinc/30/96/73/346309673.db2.gz UOPNTTIJIOFKRO-UHFFFAOYSA-N 0 0 299.330 2.842 20 5 CFBDRN CC1(C)CC[C@@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000596596268 349951839 /nfs/dbraw/zinc/95/18/39/349951839.db2.gz MCISOVLSAHMRPV-LLVKDONJSA-N 0 0 288.307 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCc2ccc(O)cc2)nc1 ZINC000034535434 346318448 /nfs/dbraw/zinc/31/84/48/346318448.db2.gz VNNVYEXFUUVJGV-UHFFFAOYSA-N 0 0 273.292 2.740 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H](CO)Cc1ccccc1 ZINC000042103584 346360823 /nfs/dbraw/zinc/36/08/23/346360823.db2.gz PUWZSJNJSSRFFF-AWEZNQCLSA-N 0 0 286.331 2.858 20 5 CFBDRN COC(=O)c1ccoc1COc1cc([N+](=O)[O-])ccc1C ZINC000042180351 346361947 /nfs/dbraw/zinc/36/19/47/346361947.db2.gz IHLNFSOERYCBPM-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)c1ccn(C)c1 ZINC000037991596 346336040 /nfs/dbraw/zinc/33/60/40/346336040.db2.gz UZMXZUQTFITQHN-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000042857550 346372466 /nfs/dbraw/zinc/37/24/66/346372466.db2.gz JQWSSQXGBSMWNZ-LURJTMIESA-N 0 0 274.729 2.938 20 5 CFBDRN Cc1ncsc1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000043269656 346381842 /nfs/dbraw/zinc/38/18/42/346381842.db2.gz UVIZPDPZISZXTB-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CN(CC1CCCCC1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000044710844 346397298 /nfs/dbraw/zinc/39/72/98/346397298.db2.gz PWQCOBJXSDLIEV-UHFFFAOYSA-N 0 0 265.313 2.575 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000045204958 346405377 /nfs/dbraw/zinc/40/53/77/346405377.db2.gz MWPPDQKTXKMHGP-YPMHNXCESA-N 0 0 276.336 2.832 20 5 CFBDRN O=C([C@@H]1C[C@@H]1[N+](=O)[O-])N1CCC[C@H]1c1ccc(Cl)cc1 ZINC000044479400 346394169 /nfs/dbraw/zinc/39/41/69/346394169.db2.gz YECYTASDHZNNMN-AGIUHOORSA-N 0 0 294.738 2.669 20 5 CFBDRN COCCN(Cc1ccco1)Cc1cccc([N+](=O)[O-])c1 ZINC000046600821 346429960 /nfs/dbraw/zinc/42/99/60/346429960.db2.gz GVRZNNUVEQGRRK-UHFFFAOYSA-N 0 0 290.319 2.836 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H](O)c2ccco2)c([N+](=O)[O-])c1 ZINC000075668652 347091255 /nfs/dbraw/zinc/09/12/55/347091255.db2.gz OEKSQLRQORAIGE-CYBMUJFWSA-N 0 0 290.275 2.536 20 5 CFBDRN Cc1c(OCc2ccc(C(N)=O)cc2)cccc1[N+](=O)[O-] ZINC000047355442 346449901 /nfs/dbraw/zinc/44/99/01/346449901.db2.gz LZXNSIUGTOPOGH-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000047557301 346454026 /nfs/dbraw/zinc/45/40/26/346454026.db2.gz QYMRVPBYDAQUOT-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN C[C@H](CCc1ccco1)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000047741067 346458354 /nfs/dbraw/zinc/45/83/54/346458354.db2.gz DIMRXRZLMPJPIA-SECBINFHSA-N 0 0 278.264 2.532 20 5 CFBDRN CCC[C@H](C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000048980824 346478278 /nfs/dbraw/zinc/47/82/78/346478278.db2.gz HWHRAASFOLSZAE-NSHDSACASA-N 0 0 279.340 2.625 20 5 CFBDRN O=C(CCc1ccsc1)NCc1cccc([N+](=O)[O-])c1 ZINC000049374787 346482672 /nfs/dbraw/zinc/48/26/72/346482672.db2.gz KVPSTGSSVMOFJZ-UHFFFAOYSA-N 0 0 290.344 2.905 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000051530069 346505493 /nfs/dbraw/zinc/50/54/93/346505493.db2.gz UKVFGOJLWHNBIL-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN CCC1CCC(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000050077086 346489689 /nfs/dbraw/zinc/48/96/89/346489689.db2.gz QRGLPPQMWUVGQT-UHFFFAOYSA-N 0 0 279.340 2.869 20 5 CFBDRN Cc1nn(C)c(N[C@H](C)Cc2ccccc2C)c1[N+](=O)[O-] ZINC000052466115 346522837 /nfs/dbraw/zinc/52/28/37/346522837.db2.gz NJQPOUDVIJJIMB-LLVKDONJSA-N 0 0 288.351 2.988 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000050823047 346498265 /nfs/dbraw/zinc/49/82/65/346498265.db2.gz ZKONOIMAFYCUSA-SNVBAGLBSA-N 0 0 267.329 2.869 20 5 CFBDRN C[C@@H]1CCCN(C(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000075765477 347097466 /nfs/dbraw/zinc/09/74/66/347097466.db2.gz OWFFWOGBNKJTKX-GFCCVEGCSA-N 0 0 292.335 2.622 20 5 CFBDRN O=C(Nc1ccc(Cl)cc1F)c1cc([N+](=O)[O-])c[nH]1 ZINC000051202114 346501186 /nfs/dbraw/zinc/50/11/86/346501186.db2.gz AXPKBJFUWYRXDP-UHFFFAOYSA-N 0 0 283.646 2.968 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054057846 346558271 /nfs/dbraw/zinc/55/82/71/346558271.db2.gz CZMRTDFXINHLRP-MRVPVSSYSA-N 0 0 254.261 2.652 20 5 CFBDRN CCC1CCN(CC(=O)Nc2ccccc2[N+](=O)[O-])CC1 ZINC000053376397 346544391 /nfs/dbraw/zinc/54/43/91/346544391.db2.gz FZUBQFACNXYAJV-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CNC(=O)c1ccc(N(C)Cc2ccccc2)c([N+](=O)[O-])c1 ZINC000053548223 346549342 /nfs/dbraw/zinc/54/93/42/346549342.db2.gz YCAGDKMLDBZOBI-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN NC(=O)c1ccc(NCC2(C3CC3)CCC2)c([N+](=O)[O-])c1 ZINC000128416357 187369395 /nfs/dbraw/zinc/36/93/95/187369395.db2.gz RQGZDINTAOXMHU-UHFFFAOYSA-N 0 0 289.335 2.686 20 5 CFBDRN CC(C)CCN(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000054644336 346574145 /nfs/dbraw/zinc/57/41/45/346574145.db2.gz NNGBGKNZFWZRNM-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN O=C(Nc1cnc2ccccc2c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000055455465 346589963 /nfs/dbraw/zinc/58/99/63/346589963.db2.gz BDDOHFPFQJGVGO-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@@H]3CCCC[C@@H]32)cs1 ZINC000056494650 346609581 /nfs/dbraw/zinc/60/95/81/346609581.db2.gz BMEJWEAHYNHPNH-NWDGAFQWSA-N 0 0 282.365 2.800 20 5 CFBDRN Cc1ccnc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000059239605 346656128 /nfs/dbraw/zinc/65/61/28/346656128.db2.gz MJMLIYBXCUKOOG-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1cc(OCC(=O)N2CCC(C)CC2)ccc1[N+](=O)[O-] ZINC000060606943 346669842 /nfs/dbraw/zinc/66/98/42/346669842.db2.gz TYNOHERDPDGKST-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1F)NC1CCCCC1 ZINC000060807131 346671485 /nfs/dbraw/zinc/67/14/85/346671485.db2.gz UWGSXBFOTRRAPS-UHFFFAOYSA-N 0 0 296.298 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCN(CC(F)(F)F)C2)cc1 ZINC000057855724 346638553 /nfs/dbraw/zinc/63/85/53/346638553.db2.gz KQKJFCVHQAEPTK-SNVBAGLBSA-N 0 0 289.257 2.643 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1ccc([N+](=O)[O-])s1 ZINC000064029466 346714136 /nfs/dbraw/zinc/71/41/36/346714136.db2.gz YPIRMDWISRFVJM-UHFFFAOYSA-N 0 0 280.353 2.661 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2cccc(Cl)c2C)c1[N+](=O)[O-] ZINC000064334355 346717452 /nfs/dbraw/zinc/71/74/52/346717452.db2.gz GTUAPCGWMAUGJZ-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2ccc(Cl)cc2C)c1[N+](=O)[O-] ZINC000064335238 346718421 /nfs/dbraw/zinc/71/84/21/346718421.db2.gz RCHULKLDXWUUCI-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc3c(n2)CCCC3)s1 ZINC000282082899 232562702 /nfs/dbraw/zinc/56/27/02/232562702.db2.gz ZZBXLRCIADXIGO-UHFFFAOYSA-N 0 0 290.348 2.937 20 5 CFBDRN CCO[C@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000063265187 346698295 /nfs/dbraw/zinc/69/82/95/346698295.db2.gz STUAANMESLUSMI-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H](O)COc1ccccc1 ZINC000063258413 346698687 /nfs/dbraw/zinc/69/86/87/346698687.db2.gz SQPSVUCRBNLEAY-JTQLQIEISA-N 0 0 294.332 2.508 20 5 CFBDRN C[C@H]1CN(c2ncccc2[N+](=O)[O-])C[C@@H](c2ccccc2)O1 ZINC000063254961 346698717 /nfs/dbraw/zinc/69/87/17/346698717.db2.gz PWWSNJGXYIHESA-WFASDCNBSA-N 0 0 299.330 2.956 20 5 CFBDRN O=C(CCc1ccco1)Nc1ccc([N+](=O)[O-])cc1F ZINC000063447058 346700151 /nfs/dbraw/zinc/70/01/51/346700151.db2.gz IBJSTSWBQPBSGU-UHFFFAOYSA-N 0 0 278.239 2.898 20 5 CFBDRN CC(C)n1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)cn1 ZINC000066389978 346757597 /nfs/dbraw/zinc/75/75/97/346757597.db2.gz RZYNWQRFASVYQI-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN Cc1sc(C(=O)Nc2cnn(C(C)C)c2)cc1[N+](=O)[O-] ZINC000066388019 346757935 /nfs/dbraw/zinc/75/79/35/346757935.db2.gz UKKIOYRFGVDMMJ-UHFFFAOYSA-N 0 0 294.336 2.994 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1c(F)cccc1F ZINC000066809672 346780769 /nfs/dbraw/zinc/78/07/69/346780769.db2.gz AZYCQVIFIAJBOX-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN CC(C)(C)SCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000066739711 346775847 /nfs/dbraw/zinc/77/58/47/346775847.db2.gz GCSZSFUIVHWPNP-UHFFFAOYSA-N 0 0 288.394 2.918 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(OC(F)F)cc1 ZINC000065693318 346738382 /nfs/dbraw/zinc/73/83/82/346738382.db2.gz LNTMLIDZAQYFKP-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN CC[C@@H](OC)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000065744126 346740568 /nfs/dbraw/zinc/74/05/68/346740568.db2.gz BAASOCCSRYVWQL-CQSZACIVSA-N 0 0 294.351 2.757 20 5 CFBDRN CC(C)CCN(C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000065866131 346743750 /nfs/dbraw/zinc/74/37/50/346743750.db2.gz MXMVLQSXEWLDEU-UHFFFAOYSA-N 0 0 279.340 2.511 20 5 CFBDRN CN(Cc1ccc(F)cc1)c1ccncc1[N+](=O)[O-] ZINC000066796482 346779773 /nfs/dbraw/zinc/77/97/73/346779773.db2.gz BUQFXBDYTOPTHH-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN COc1ccc(Nc2ccncc2[N+](=O)[O-])c(OC)c1 ZINC000066796342 346779817 /nfs/dbraw/zinc/77/98/17/346779817.db2.gz QIYBKQJLOLVCIP-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1c(F)cccc1F ZINC000067072318 346790951 /nfs/dbraw/zinc/79/09/51/346790951.db2.gz HMKBRSFYSYXJJS-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN CCN(C(=O)COc1ccc([N+](=O)[O-])cc1)[C@H](C)C(C)C ZINC000067113959 346792130 /nfs/dbraw/zinc/79/21/30/346792130.db2.gz ITMLCCZVMDMUKH-GFCCVEGCSA-N 0 0 294.351 2.867 20 5 CFBDRN CCO[C@@H]1CCCN(Cc2ccc(OC)c([N+](=O)[O-])c2)C1 ZINC000076402649 347136657 /nfs/dbraw/zinc/13/66/57/347136657.db2.gz BLZMMBCFLUREEW-CYBMUJFWSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1cc(C)cc(CCNC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000077139316 347169167 /nfs/dbraw/zinc/16/91/67/347169167.db2.gz XIOKSXUALFWADK-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN Cc1nccc(CNc2ccc([N+](=O)[O-])c3cnccc23)n1 ZINC000076872520 347157889 /nfs/dbraw/zinc/15/78/89/347157889.db2.gz JPKACBWBAYWNLM-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCCO)c2ccccc2)nc1 ZINC000077238075 347179976 /nfs/dbraw/zinc/17/99/76/347179976.db2.gz JJUZZUSMAAVVSX-AWEZNQCLSA-N 0 0 287.319 2.916 20 5 CFBDRN CCN(C)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000101738179 185756848 /nfs/dbraw/zinc/75/68/48/185756848.db2.gz QJQKYGIYJWPWRM-UHFFFAOYSA-N 0 0 257.677 2.732 20 5 CFBDRN CNc1ccc(C(=O)NC[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000077897563 347219090 /nfs/dbraw/zinc/21/90/90/347219090.db2.gz MKLDMYJGPLJZRM-JTQLQIEISA-N 0 0 279.340 2.658 20 5 CFBDRN CNc1ccc(C(=O)NC[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000077897564 347219177 /nfs/dbraw/zinc/21/91/77/347219177.db2.gz MKLDMYJGPLJZRM-SNVBAGLBSA-N 0 0 279.340 2.658 20 5 CFBDRN CCC[C@H](C)Nc1nccc(C(=O)OCC)c1[N+](=O)[O-] ZINC000077909188 347220115 /nfs/dbraw/zinc/22/01/15/347220115.db2.gz XZFZJLVBZVHOGD-VIFPVBQESA-N 0 0 281.312 2.767 20 5 CFBDRN CC[C@H](c1ccncc1)N(C)c1ncccc1[N+](=O)[O-] ZINC000077989646 347223458 /nfs/dbraw/zinc/22/34/58/347223458.db2.gz KAMWGZYDXLETJA-GFCCVEGCSA-N 0 0 272.308 2.972 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ccncc1[N+](=O)[O-] ZINC000077991110 347223553 /nfs/dbraw/zinc/22/35/53/347223553.db2.gz ORKLLGNWWHJLFO-MRVPVSSYSA-N 0 0 276.296 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncccn2)c(Br)c1 ZINC000077989449 347223622 /nfs/dbraw/zinc/22/36/22/347223622.db2.gz UVVPJTMUMFQGQD-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN CNC(=O)c1ccc(N2CCC[C@@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000078335223 347242680 /nfs/dbraw/zinc/24/26/80/347242680.db2.gz KXUFCUJDPFGNOA-GHMZBOCLSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000078370909 347246819 /nfs/dbraw/zinc/24/68/19/347246819.db2.gz FXWFJMXEBXUGFT-TXEJJXNPSA-N 0 0 259.309 2.701 20 5 CFBDRN CC(=O)Nc1ccccc1CNc1ncccc1[N+](=O)[O-] ZINC000078377305 347248458 /nfs/dbraw/zinc/24/84/58/347248458.db2.gz AUXRYJJEKICWKY-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000078376650 347248701 /nfs/dbraw/zinc/24/87/01/347248701.db2.gz MYLPYLZONOPTDQ-CYBMUJFWSA-N 0 0 262.265 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)COC1CCCC1 ZINC000079209345 347293147 /nfs/dbraw/zinc/29/31/47/347293147.db2.gz KAJZSBQFTWHDIC-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000079320579 347298651 /nfs/dbraw/zinc/29/86/51/347298651.db2.gz VGGCSUAHJOAXOG-BXUZGUMPSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1ccnc(N2C[C@@H](C)S[C@@H](C)C2)c1[N+](=O)[O-] ZINC000078494765 347256758 /nfs/dbraw/zinc/25/67/58/347256758.db2.gz AKHQBWKDQWIFGK-AOOOYVTPSA-N 0 0 267.354 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccccc2F)cc1 ZINC000078502796 347258227 /nfs/dbraw/zinc/25/82/27/347258227.db2.gz MJGUQMRYOPFDGE-CQSZACIVSA-N 0 0 276.267 2.879 20 5 CFBDRN CC[C@@]1(C)CCCN(c2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000078559284 347260785 /nfs/dbraw/zinc/26/07/85/347260785.db2.gz NUYQICOBNISRPS-ZDUSSCGKSA-N 0 0 266.345 2.653 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCO[C@H](c2ccco2)C1 ZINC000133385497 136713784 /nfs/dbraw/zinc/71/37/84/136713784.db2.gz FKZHTHSGJBGOMS-AWEZNQCLSA-N 0 0 274.276 2.766 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])s2)CCS1 ZINC000078590529 347264317 /nfs/dbraw/zinc/26/43/17/347264317.db2.gz NNFHRTLKGAIMJU-MRVPVSSYSA-N 0 0 258.368 2.988 20 5 CFBDRN Cc1cnc(Nc2ccc(N(C)C)nc2)c([N+](=O)[O-])c1 ZINC000078628487 347266344 /nfs/dbraw/zinc/26/63/44/347266344.db2.gz BXPAQVLGUIJVQN-UHFFFAOYSA-N 0 0 273.296 2.503 20 5 CFBDRN Cc1cnc(NCC(C)(C)C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000078668156 347268968 /nfs/dbraw/zinc/26/89/68/347268968.db2.gz GHNZVZXQVABRBD-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cc([C@H](C)Nc2ncc([N+](=O)[O-])c(N)n2)c(C)s1 ZINC000079872412 347329906 /nfs/dbraw/zinc/32/99/06/347329906.db2.gz PYTSWTQSYNYJOM-ZETCQYMHSA-N 0 0 293.352 2.818 20 5 CFBDRN Cc1cc([C@@H](C)Nc2ncc([N+](=O)[O-])c(N)n2)c(C)s1 ZINC000079872410 347329946 /nfs/dbraw/zinc/32/99/46/347329946.db2.gz PYTSWTQSYNYJOM-SSDOTTSWSA-N 0 0 293.352 2.818 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(CCCO)c1ccccc1 ZINC000079919264 347332146 /nfs/dbraw/zinc/33/21/46/347332146.db2.gz DWIHVGKIHZQYTL-UHFFFAOYSA-N 0 0 286.331 2.984 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCSC2(CCCCC2)C1 ZINC000080247397 347351082 /nfs/dbraw/zinc/35/10/82/347351082.db2.gz ROEXZXKQHNCOHH-UHFFFAOYSA-N 0 0 296.396 2.584 20 5 CFBDRN CCC(C)(C)N(C)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000080370015 347356201 /nfs/dbraw/zinc/35/62/01/347356201.db2.gz DYYZPRNKIOLFNS-UHFFFAOYSA-N 0 0 293.367 2.678 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCCc2ncccc21 ZINC000079432904 347303566 /nfs/dbraw/zinc/30/35/66/347303566.db2.gz RFUCUSYADBVLAC-UHFFFAOYSA-N 0 0 289.316 2.644 20 5 CFBDRN CCC[C@H]1[C@H](C)CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000081179294 347406639 /nfs/dbraw/zinc/40/66/39/347406639.db2.gz DNDQUOWAKVHDJL-KOLCDFICSA-N 0 0 294.355 2.667 20 5 CFBDRN Cc1cc(Nc2cnn(CC(C)C)c2)ncc1[N+](=O)[O-] ZINC000080605955 347368905 /nfs/dbraw/zinc/36/89/05/347368905.db2.gz XEYUHDRRGLZIKQ-UHFFFAOYSA-N 0 0 275.312 2.894 20 5 CFBDRN CCC(O)(CC)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000080607746 347369601 /nfs/dbraw/zinc/36/96/01/347369601.db2.gz BZOUNVAAWLVPGE-UHFFFAOYSA-N 0 0 286.303 2.706 20 5 CFBDRN Cc1nc(CNc2cc(C)c([N+](=O)[O-])cn2)sc1C ZINC000080606053 347369628 /nfs/dbraw/zinc/36/96/28/347369628.db2.gz AWUVYADLWLXKIV-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN Cc1cc(NCc2ccc(O)c(F)c2)ncc1[N+](=O)[O-] ZINC000080607561 347369670 /nfs/dbraw/zinc/36/96/70/347369670.db2.gz PHKIQQHZJFVPAQ-UHFFFAOYSA-N 0 0 277.255 2.755 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000080638584 347371001 /nfs/dbraw/zinc/37/10/01/347371001.db2.gz BIXJDUHNMUNMSP-PRHODGIISA-N 0 0 286.303 2.561 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@](C)(O)C(C)C ZINC000080637933 347371035 /nfs/dbraw/zinc/37/10/35/347371035.db2.gz YNQIVQHXIMJFAG-CQSZACIVSA-N 0 0 282.340 2.560 20 5 CFBDRN COCC1CCN(c2cc(OC)cc(F)c2[N+](=O)[O-])CC1 ZINC000080638434 347371083 /nfs/dbraw/zinc/37/10/83/347371083.db2.gz PVWDXWTWXRFRDG-UHFFFAOYSA-N 0 0 298.314 2.605 20 5 CFBDRN Cc1cnc(N2CCC[C@@]3(CCCOC3)C2)c([N+](=O)[O-])c1 ZINC000080688993 347375719 /nfs/dbraw/zinc/37/57/19/347375719.db2.gz MITPTMHHTIQVRF-OAHLLOKOSA-N 0 0 291.351 2.695 20 5 CFBDRN Cc1cc(COc2ccc(F)cc2[N+](=O)[O-])no1 ZINC000102599826 185782498 /nfs/dbraw/zinc/78/24/98/185782498.db2.gz JODFCJNEMTXGMN-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1)Cc1cccc(O)c1 ZINC000080920680 347384944 /nfs/dbraw/zinc/38/49/44/347384944.db2.gz SJPDQXOFGKDDSA-UHFFFAOYSA-N 0 0 272.304 2.932 20 5 CFBDRN Cc1nc2[nH]ccc2c(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000080949567 347387297 /nfs/dbraw/zinc/38/72/97/347387297.db2.gz BJAJBHKYMMXYBI-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC12CCC2 ZINC000081577954 347428475 /nfs/dbraw/zinc/42/84/75/347428475.db2.gz HKWLNUALSMZXRE-UHFFFAOYSA-N 0 0 250.273 2.862 20 5 CFBDRN Cc1ccc(CCC(=O)NCc2ccc([N+](=O)[O-])cc2)o1 ZINC000081855706 347439074 /nfs/dbraw/zinc/43/90/74/347439074.db2.gz AXDZMYJUVGGQPU-UHFFFAOYSA-N 0 0 288.303 2.745 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@@H]2CCOC2)c(F)c1 ZINC000402027073 232683379 /nfs/dbraw/zinc/68/33/79/232683379.db2.gz XQKWYXGQHKQPAQ-SNVBAGLBSA-N 0 0 268.288 2.963 20 5 CFBDRN CC1CCC(O)(CNc2ccccc2[N+](=O)[O-])CC1 ZINC000087066580 347491611 /nfs/dbraw/zinc/49/16/11/347491611.db2.gz XEQGQWUVHUERRH-UHFFFAOYSA-N 0 0 264.325 2.948 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3c(F)ccc(F)c3C2)cn1 ZINC000084489033 347466110 /nfs/dbraw/zinc/46/61/10/347466110.db2.gz LQFJSVQRGPPBOR-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN COC[C@@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000088722978 347507846 /nfs/dbraw/zinc/50/78/46/347507846.db2.gz JYSUULRACPIYAJ-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN COC(=O)N1CCC[C@@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000088718233 347508112 /nfs/dbraw/zinc/50/81/12/347508112.db2.gz WVPHQGRPHIRBFV-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn(-c3ncccn3)c2)c1 ZINC000088724782 347508354 /nfs/dbraw/zinc/50/83/54/347508354.db2.gz LDWYUUVCKHOJOO-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN COC[C@H]1CCCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000088727303 347508385 /nfs/dbraw/zinc/50/83/85/347508385.db2.gz RMQHNSSRNULGTB-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCNC(=O)C2CCC2)c1 ZINC000088727314 347508451 /nfs/dbraw/zinc/50/84/51/347508451.db2.gz JSOCSKGNTOOEIS-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN CC(C)c1nc(CNc2cc([N+](=O)[O-])ccc2F)no1 ZINC000086201994 179248729 /nfs/dbraw/zinc/24/87/29/179248729.db2.gz BVWAJEKKWNDFCI-UHFFFAOYSA-N 0 0 280.259 2.852 20 5 CFBDRN CN(c1c([N+](=O)[O-])ncn1C)C1CCC(C)(C)CC1 ZINC000088756354 347509854 /nfs/dbraw/zinc/50/98/54/347509854.db2.gz KCSIBQSTOLHFPC-UHFFFAOYSA-N 0 0 266.345 2.733 20 5 CFBDRN CC(C)c1cc(-n2cc([N+](=O)[O-])cn2)nc(C(C)C)n1 ZINC000090070199 347538992 /nfs/dbraw/zinc/53/89/92/347538992.db2.gz VJTBCYIIZRUUFY-UHFFFAOYSA-N 0 0 275.312 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCC2)nc1-c1ccccc1 ZINC000090091999 347540418 /nfs/dbraw/zinc/54/04/18/347540418.db2.gz ICFGFQLCIRCRIX-UHFFFAOYSA-N 0 0 299.330 2.984 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[NH+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000091937320 347604019 /nfs/dbraw/zinc/60/40/19/347604019.db2.gz GGTWVQCCUVSZCH-NXEZZACHSA-N 0 0 250.298 2.673 20 5 CFBDRN CCOc1ccccc1Cn1cc([N+](=O)[O-])c(C)cc1=O ZINC000128596634 187378991 /nfs/dbraw/zinc/37/89/91/187378991.db2.gz CIAIVPOEMRAZGB-UHFFFAOYSA-N 0 0 288.303 2.512 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1cc(OC)c([N+](=O)[O-])cc1F ZINC000091458135 347587764 /nfs/dbraw/zinc/58/77/64/347587764.db2.gz LRQUZJKFLKWATN-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN CC(C)c1nnc(Sc2ccc([N+](=O)[O-])cc2)n1C ZINC000091478051 347589201 /nfs/dbraw/zinc/58/92/01/347589201.db2.gz ODVODUQOAWNIAJ-UHFFFAOYSA-N 0 0 278.337 2.998 20 5 CFBDRN O=C(CNc1ccc([N+](=O)[O-])cc1)OC1CCCCC1 ZINC000091485351 347590639 /nfs/dbraw/zinc/59/06/39/347590639.db2.gz IJCBHINMXJXDCA-UHFFFAOYSA-N 0 0 278.308 2.883 20 5 CFBDRN CCC[C@@H]1CCCN(c2c([N+](=O)[O-])c(CC)nn2C)C1 ZINC000091739388 347597079 /nfs/dbraw/zinc/59/70/79/347597079.db2.gz LSUNIOXSORCDDO-LLVKDONJSA-N 0 0 280.372 2.907 20 5 CFBDRN CCc1nn(C)c(N2C[C@@H]3CCCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000091734442 347597276 /nfs/dbraw/zinc/59/72/76/347597276.db2.gz GNTLCSSWLUKNAW-PHIMTYICSA-N 0 0 278.356 2.517 20 5 CFBDRN CC[C@H](NC(=O)[C@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000090466282 347550804 /nfs/dbraw/zinc/55/08/04/347550804.db2.gz ZXXYSEOIRSCATE-ICCXJUOJSA-N 0 0 262.309 2.818 20 5 CFBDRN CCOc1cc(Sc2nnc(CC)[nH]2)ccc1[N+](=O)[O-] ZINC000092584929 347633654 /nfs/dbraw/zinc/63/36/54/347633654.db2.gz VLBUEHMSZHPBLY-UHFFFAOYSA-N 0 0 294.336 2.825 20 5 CFBDRN COC(=O)CCCSc1ccc([N+](=O)[O-])c(C)c1 ZINC000092676636 347639729 /nfs/dbraw/zinc/63/97/29/347639729.db2.gz MZMXXZNQBJNQLK-UHFFFAOYSA-N 0 0 269.322 2.949 20 5 CFBDRN COc1cc(NCc2ncoc2C)c([N+](=O)[O-])cc1OC ZINC000092692169 347641594 /nfs/dbraw/zinc/64/15/94/347641594.db2.gz WAHMFVAAGHJFRL-UHFFFAOYSA-N 0 0 293.279 2.521 20 5 CFBDRN Cc1cc(Nc2ccc(F)cc2[N+](=O)[O-])nn1C ZINC000092826102 347648304 /nfs/dbraw/zinc/64/83/04/347648304.db2.gz HQCYEAXITUUFFF-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN CCc1nn(C)c(N(C)Cc2ccccc2)c1[N+](=O)[O-] ZINC000092826341 347648381 /nfs/dbraw/zinc/64/83/81/347648381.db2.gz VYYQNGOZFRZXCI-UHFFFAOYSA-N 0 0 274.324 2.527 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(C)c(F)c2)c1[N+](=O)[O-] ZINC000092827580 347648646 /nfs/dbraw/zinc/64/86/46/347648646.db2.gz BUORCMRCCHPFPJ-UHFFFAOYSA-N 0 0 292.314 2.950 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000092943446 347653585 /nfs/dbraw/zinc/65/35/85/347653585.db2.gz AGCFSMSPNYOGKX-MRVPVSSYSA-N 0 0 284.287 2.876 20 5 CFBDRN CCc1nn(C)c(OC)c1CNc1ccccc1[N+](=O)[O-] ZINC000092962384 347655265 /nfs/dbraw/zinc/65/52/65/347655265.db2.gz TXZQLMUOWIZNNM-UHFFFAOYSA-N 0 0 290.323 2.511 20 5 CFBDRN O=C(CCCc1ccccn1)Nc1ccc([N+](=O)[O-])cc1 ZINC000103940012 347723584 /nfs/dbraw/zinc/72/35/84/347723584.db2.gz OQMAUUJMXBSSDD-UHFFFAOYSA-N 0 0 285.303 2.951 20 5 CFBDRN CN(CC1CCC1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000111480095 347754296 /nfs/dbraw/zinc/75/42/96/347754296.db2.gz ICZUXJLZVGUETA-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C(C)(C)C)c1 ZINC000112250764 347759332 /nfs/dbraw/zinc/75/93/32/347759332.db2.gz BJSXZWKKGZWGOU-SECBINFHSA-N 0 0 280.324 2.768 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000125668858 347829064 /nfs/dbraw/zinc/82/90/64/347829064.db2.gz NZXKRRFSDVOMEX-VIFPVBQESA-N 0 0 277.324 2.555 20 5 CFBDRN O=C(NCc1ccccn1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000127798433 347844264 /nfs/dbraw/zinc/84/42/64/347844264.db2.gz UKQLYEOWVUBYBW-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN COc1ccc(C(=O)NCCCC2CC2)cc1[N+](=O)[O-] ZINC000128210611 347848553 /nfs/dbraw/zinc/84/85/53/347848553.db2.gz HHRGIJYBMRKCBI-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN C[C@@H](NC(=O)CCOc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000130773037 347873985 /nfs/dbraw/zinc/87/39/85/347873985.db2.gz VLGVADCVLGJUIG-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN CCOc1cccc(N2CCC[C@H](CCO)C2)c1[N+](=O)[O-] ZINC000135063046 347903427 /nfs/dbraw/zinc/90/34/27/347903427.db2.gz USMVYABIXQRXOD-GFCCVEGCSA-N 0 0 294.351 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cccc(-n3ccnn3)c2)cc1 ZINC000132354425 347884229 /nfs/dbraw/zinc/88/42/29/347884229.db2.gz QSIJAMPYCNBAEK-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H]1C[C@H]1c1ccccc1 ZINC000134403104 347897832 /nfs/dbraw/zinc/89/78/32/347897832.db2.gz RIAHKEAYLUVIFB-AAEUAGOBSA-N 0 0 255.277 2.958 20 5 CFBDRN O=C(Nc1ccccn1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000134545417 347899506 /nfs/dbraw/zinc/89/95/06/347899506.db2.gz XYKWZMRJLXMKNT-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CC(C)(CO)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000135112216 347904147 /nfs/dbraw/zinc/90/41/47/347904147.db2.gz BREINVARAXDGCJ-UHFFFAOYSA-N 0 0 258.705 2.679 20 5 CFBDRN C[C@]1(CNc2cccnc2[N+](=O)[O-])CCCS1 ZINC000135019054 347902974 /nfs/dbraw/zinc/90/29/74/347902974.db2.gz KWQCVVAXGRABMJ-LLVKDONJSA-N 0 0 253.327 2.687 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@H]1c1ccccc1 ZINC000141326254 347930459 /nfs/dbraw/zinc/93/04/59/347930459.db2.gz GHFCNZAFAQJOII-GFCCVEGCSA-N 0 0 272.308 2.670 20 5 CFBDRN COc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)c(O)c1 ZINC000135426150 347906538 /nfs/dbraw/zinc/90/65/38/347906538.db2.gz NWTRQRLKMYZJSX-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)c(O)c1 ZINC000135419051 347906685 /nfs/dbraw/zinc/90/66/85/347906685.db2.gz CECPLRISJIMRIJ-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN COCC1(CCNc2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000564204378 290196817 /nfs/dbraw/zinc/19/68/17/290196817.db2.gz FXUMQNABNLRHJA-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1cc(C(=O)Nc2cc(C3CC3)[nH]n2)cc([N+](=O)[O-])c1 ZINC000139812358 347922293 /nfs/dbraw/zinc/92/22/93/347922293.db2.gz LPGLJNRVFQBEJS-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CCn1nccc1-c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000140343562 347925024 /nfs/dbraw/zinc/92/50/24/347925024.db2.gz UCPWZDBAHNOWDP-UHFFFAOYSA-N 0 0 285.263 2.528 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000153243480 347972637 /nfs/dbraw/zinc/97/26/37/347972637.db2.gz YHSULLXOFLGFEH-BXUZGUMPSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000153243594 347972793 /nfs/dbraw/zinc/97/27/93/347972793.db2.gz YHSULLXOFLGFEH-SMDDNHRTSA-N 0 0 276.336 2.690 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCCCC[C@H]1CO ZINC000157004753 347998018 /nfs/dbraw/zinc/99/80/18/347998018.db2.gz JRUSSWQJPCGHSQ-ZDUSSCGKSA-N 0 0 298.770 2.985 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCc2ccc(F)c(F)c2)c1=O ZINC000157509293 348000757 /nfs/dbraw/zinc/00/07/57/348000757.db2.gz HYDXPRAEJQXEDW-UHFFFAOYSA-N 0 0 294.257 2.586 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OC[C@H]2CCCCO2)c1 ZINC000157905878 348003464 /nfs/dbraw/zinc/00/34/64/348003464.db2.gz ZDVBZQACMRZDNO-LLVKDONJSA-N 0 0 255.245 2.682 20 5 CFBDRN Cc1conc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000158812655 348008784 /nfs/dbraw/zinc/00/87/84/348008784.db2.gz UCODNUXXBLTRDQ-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CCOC(C)(C)CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000159509510 348013299 /nfs/dbraw/zinc/01/32/99/348013299.db2.gz FCVCNYXCWRIYLM-UHFFFAOYSA-N 0 0 290.323 2.765 20 5 CFBDRN CCn1nccc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000160023099 348015142 /nfs/dbraw/zinc/01/51/42/348015142.db2.gz CWLKTRDZYSFABF-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN CCn1nccc1CNc1ccc([N+](=O)[O-])cc1F ZINC000160123158 348016044 /nfs/dbraw/zinc/01/60/44/348016044.db2.gz KNQZUIKBNVRTNG-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN CNc1c(C(=O)N(C)[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000161441746 348024331 /nfs/dbraw/zinc/02/43/31/348024331.db2.gz DXNAEEPOGLCRNA-VIFPVBQESA-N 0 0 277.324 2.507 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CCCSC1 ZINC000162673385 348031401 /nfs/dbraw/zinc/03/14/01/348031401.db2.gz RUYKZLKCFAVGFE-SNVBAGLBSA-N 0 0 295.364 2.920 20 5 CFBDRN C[C@@](CO)(NCc1csc([N+](=O)[O-])c1)c1ccccc1 ZINC000169254927 348050858 /nfs/dbraw/zinc/05/08/58/348050858.db2.gz QMTQPPFREGZCCM-AWEZNQCLSA-N 0 0 292.360 2.654 20 5 CFBDRN Cc1cnc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)s1 ZINC000172710978 348101766 /nfs/dbraw/zinc/10/17/66/348101766.db2.gz KDFZJDMTQJDZTG-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000128708267 187386198 /nfs/dbraw/zinc/38/61/98/187386198.db2.gz LQMTYFHFXOFEKW-NXEZZACHSA-N 0 0 266.297 2.886 20 5 CFBDRN COc1cccc(NC2C[C@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000185658444 348128896 /nfs/dbraw/zinc/12/88/96/348128896.db2.gz KTDAAMJQLJVQOB-UWVGGRQHSA-N 0 0 280.324 2.971 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC1CCOCC1 ZINC000172024117 348085434 /nfs/dbraw/zinc/08/54/34/348085434.db2.gz WKZUUJBIVPUYRX-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN CSCCCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000172414787 348093652 /nfs/dbraw/zinc/09/36/52/348093652.db2.gz XPCMHDYKAHFRFS-JTQLQIEISA-N 0 0 282.365 2.915 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H](C)C(C)C ZINC000172455184 348095336 /nfs/dbraw/zinc/09/53/36/348095336.db2.gz YMMAQBQEMIKTAQ-LLVKDONJSA-N 0 0 264.325 2.925 20 5 CFBDRN COC[C@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214042581 348160963 /nfs/dbraw/zinc/16/09/63/348160963.db2.gz VYOBWRQZXMJJLM-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN Cc1cccnc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000215794482 348162991 /nfs/dbraw/zinc/16/29/91/348162991.db2.gz BVCSLVXRUMETQX-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1nc(N2CCCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000221965879 348172695 /nfs/dbraw/zinc/17/26/95/348172695.db2.gz ZZJXFIFBEGXDHR-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000226421608 348182751 /nfs/dbraw/zinc/18/27/51/348182751.db2.gz SQMLTGWGOFAYLE-RYUDHWBXSA-N 0 0 292.335 2.843 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2CCC(O)CC2)c(Cl)c1 ZINC000227714646 348190305 /nfs/dbraw/zinc/19/03/05/348190305.db2.gz CYLLMUQLKSZYDU-UHFFFAOYSA-N 0 0 285.731 2.606 20 5 CFBDRN CO[C@H]1C[C@H](Nc2nc3sccn3c2[N+](=O)[O-])C1(C)C ZINC000227820682 348190688 /nfs/dbraw/zinc/19/06/88/348190688.db2.gz JWMLSMOMKXSEEJ-YUMQZZPRSA-N 0 0 296.352 2.529 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC[C@H]3CCSC3)c2c1 ZINC000228582999 348196807 /nfs/dbraw/zinc/19/68/07/348196807.db2.gz RMUSQVBTBBQJKH-SECBINFHSA-N 0 0 290.348 2.703 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC(F)(F)C(F)F ZINC000230715031 348207062 /nfs/dbraw/zinc/20/70/62/348207062.db2.gz VIJSNLJKSLLCFV-UHFFFAOYSA-N 0 0 267.182 2.611 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC(F)(F)C(F)F)c(Cl)c1 ZINC000230712977 348207110 /nfs/dbraw/zinc/20/71/10/348207110.db2.gz IKPYHSCRCAHJQS-UHFFFAOYSA-N 0 0 287.600 2.956 20 5 CFBDRN COc1ccc(NC(=O)C[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000087060551 179389464 /nfs/dbraw/zinc/38/94/64/179389464.db2.gz OXNGQMWUSVHSAZ-LLVKDONJSA-N 0 0 294.307 2.501 20 5 CFBDRN O=C(NCCC1CC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000273376558 348333441 /nfs/dbraw/zinc/33/34/41/348333441.db2.gz HOEBOPLJOXGHQG-UHFFFAOYSA-N 0 0 267.260 2.656 20 5 CFBDRN Nc1nc(N2CCCC[C@@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000273852588 348334296 /nfs/dbraw/zinc/33/42/96/348334296.db2.gz KFIKUTYUASDHRA-GFCCVEGCSA-N 0 0 299.334 2.699 20 5 CFBDRN Cc1cccc(CCNC(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000279455769 348339973 /nfs/dbraw/zinc/33/99/73/348339973.db2.gz PSLPPOXQTRFVOP-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN COc1cc(NCCc2cccc(C)n2)ccc1[N+](=O)[O-] ZINC000281568464 348343017 /nfs/dbraw/zinc/34/30/17/348343017.db2.gz VHILTHKPIATHCK-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC[C@@H]2CF)s1 ZINC000292773006 348359280 /nfs/dbraw/zinc/35/92/80/348359280.db2.gz LEDSFHGKHKAHHD-SSDOTTSWSA-N 0 0 282.300 2.538 20 5 CFBDRN COC(=O)c1cc(N2CCC[C@@H]2CF)cc(C)c1[N+](=O)[O-] ZINC000292867901 348359875 /nfs/dbraw/zinc/35/98/75/348359875.db2.gz SSLZWHVWFJRVJV-SNVBAGLBSA-N 0 0 296.298 2.628 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCOC(F)(F)F ZINC000309535004 348406014 /nfs/dbraw/zinc/40/60/14/348406014.db2.gz WUMRGQIZOOEFRN-UHFFFAOYSA-N 0 0 256.205 2.605 20 5 CFBDRN Cc1cc(OC[C@H](C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000310228543 348409037 /nfs/dbraw/zinc/40/90/37/348409037.db2.gz PILYPZTWGAYPDE-SSDOTTSWSA-N 0 0 259.689 2.564 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCS[C@@H]1C ZINC000310526081 348409797 /nfs/dbraw/zinc/40/97/97/348409797.db2.gz XXMDOBPKGLNKIM-PSASIEDQSA-N 0 0 253.327 2.604 20 5 CFBDRN C[C@H](O)CCNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000310874608 348411132 /nfs/dbraw/zinc/41/11/32/348411132.db2.gz SGHVDZBRLBLAMA-ZETCQYMHSA-N 0 0 260.240 2.715 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSC1(CO)CCCCC1 ZINC000312982277 348420994 /nfs/dbraw/zinc/42/09/94/348420994.db2.gz IIIFFMJCKOHEQC-UHFFFAOYSA-N 0 0 299.396 2.528 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC1(CO)CCCC1 ZINC000311361847 348412513 /nfs/dbraw/zinc/41/25/13/348412513.db2.gz BECQYDNJWORKKE-UHFFFAOYSA-N 0 0 285.731 2.608 20 5 CFBDRN Cc1ccc(CNc2c(Cl)cncc2[N+](=O)[O-])cn1 ZINC000312033305 348416067 /nfs/dbraw/zinc/41/60/67/348416067.db2.gz YXVBAVSNZBWNSM-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCC(F)(F)C2)nc2sccn21 ZINC000312003483 348416131 /nfs/dbraw/zinc/41/61/31/348416131.db2.gz HHVPFKQNOVBHJB-ZCFIWIBFSA-N 0 0 288.279 2.904 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000413023458 233005430 /nfs/dbraw/zinc/00/54/30/233005430.db2.gz JBXLTDKVCJXUPJ-ZETCQYMHSA-N 0 0 258.224 2.825 20 5 CFBDRN Cc1cnn(C)c1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000413027070 233006725 /nfs/dbraw/zinc/00/67/25/233006725.db2.gz KXBOEQRACLXSMT-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cn1nc(CNCc2ccc([N+](=O)[O-])cc2)c2ccccc21 ZINC000315676620 348429836 /nfs/dbraw/zinc/42/98/36/348429836.db2.gz AQVITYZLZBVEKY-UHFFFAOYSA-N 0 0 296.330 2.771 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ccc3nccnc3n2)cc1 ZINC000317188732 348433323 /nfs/dbraw/zinc/43/33/23/348433323.db2.gz IASJHLWEYKMLTR-UHFFFAOYSA-N 0 0 295.302 2.588 20 5 CFBDRN CC(C)(CO)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000128903607 187398120 /nfs/dbraw/zinc/39/81/20/187398120.db2.gz WGIZZZUDSBJFND-UHFFFAOYSA-N 0 0 275.308 2.573 20 5 CFBDRN CC[C@](C)(CNc1ncnc2ccc([N+](=O)[O-])cc21)OC ZINC000323083177 348438360 /nfs/dbraw/zinc/43/83/60/348438360.db2.gz ZBSYKLOAURPZNM-CQSZACIVSA-N 0 0 290.323 2.765 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H](C(C)C)C2CC2)n1 ZINC000323852254 348439183 /nfs/dbraw/zinc/43/91/83/348439183.db2.gz MUZBLEWIHQPGED-LBPRGKRZSA-N 0 0 293.323 2.623 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000324125514 348439187 /nfs/dbraw/zinc/43/91/87/348439187.db2.gz OKDGXWGTCZJPBQ-CYBMUJFWSA-N 0 0 280.299 2.898 20 5 CFBDRN CCN(CC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273381752 192133326 /nfs/dbraw/zinc/13/33/26/192133326.db2.gz IISLTUAVXZAATP-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000313430612 348422376 /nfs/dbraw/zinc/42/23/76/348422376.db2.gz YWYIVASQTQXMND-LDYMZIIASA-N 0 0 290.348 2.844 20 5 CFBDRN Nc1ccc(N2CC[C@H](c3ccccc3)C2)nc1[N+](=O)[O-] ZINC000313897627 348424634 /nfs/dbraw/zinc/42/46/34/348424634.db2.gz QPIXSHVKDINBGW-LBPRGKRZSA-N 0 0 284.319 2.566 20 5 CFBDRN Cc1noc(CCNc2ccc(C(F)F)cc2[N+](=O)[O-])n1 ZINC000314198189 348425722 /nfs/dbraw/zinc/42/57/22/348425722.db2.gz UEMUYPVPCSTTQT-UHFFFAOYSA-N 0 0 298.249 2.878 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@@H](O)C2)c([N+](=O)[O-])cc1C ZINC000314715330 348426876 /nfs/dbraw/zinc/42/68/76/348426876.db2.gz IKWRPACOSCPEOH-VXGBXAGGSA-N 0 0 294.351 2.875 20 5 CFBDRN CCOC1(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000596846269 349996752 /nfs/dbraw/zinc/99/67/52/349996752.db2.gz LWJRZXYNJWVCAA-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000596846742 349996770 /nfs/dbraw/zinc/99/67/70/349996770.db2.gz SOOJXNZQPMVCDH-XEZLXBQYSA-N 0 0 274.320 2.818 20 5 CFBDRN CO[C@H](c1noc(-c2ccccc2[N+](=O)[O-])n1)C(C)C ZINC000351011058 348481317 /nfs/dbraw/zinc/48/13/17/348481317.db2.gz MYSWORAKRVWKNP-NSHDSACASA-N 0 0 277.280 2.988 20 5 CFBDRN CC(C)c1noc(CCC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000351058264 348482078 /nfs/dbraw/zinc/48/20/78/348482078.db2.gz QTDOBESFYZIABJ-UHFFFAOYSA-N 0 0 289.291 2.917 20 5 CFBDRN C[C@H](O)[C@H](C)Sc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413180098 233030219 /nfs/dbraw/zinc/03/02/19/233030219.db2.gz YOZYRGFCLKMFQZ-WDSKDSINSA-N 0 0 296.270 2.870 20 5 CFBDRN COC(C)(C)c1noc(Cc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000351403959 348495539 /nfs/dbraw/zinc/49/55/39/348495539.db2.gz KTOKWMXMLMCPBZ-UHFFFAOYSA-N 0 0 295.270 2.589 20 5 CFBDRN COC[C@H](C)Cc1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000351467059 348497139 /nfs/dbraw/zinc/49/71/39/348497139.db2.gz QLHOGUDNIRUBIH-MRVPVSSYSA-N 0 0 295.270 2.609 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC1CC(F)(F)C1 ZINC000401171582 348578144 /nfs/dbraw/zinc/57/81/44/348578144.db2.gz TVIDONJEDASLMM-UHFFFAOYSA-N 0 0 261.203 2.586 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCOC1 ZINC000402589434 348582253 /nfs/dbraw/zinc/58/22/53/348582253.db2.gz KFKJGSGZOFOBND-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000403304471 348583765 /nfs/dbraw/zinc/58/37/65/348583765.db2.gz IPMKXIKUOZGIHX-YUSALJHKSA-N 0 0 289.335 2.555 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403324191 348584465 /nfs/dbraw/zinc/58/44/65/348584465.db2.gz BAHRNCRLBKKWEC-GMTAPVOTSA-N 0 0 264.329 2.720 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCCN1c1ncc([N+](=O)[O-])cc1F ZINC000413283096 233046513 /nfs/dbraw/zinc/04/65/13/233046513.db2.gz RMIARVWMYUUGCY-QWRGUYRKSA-N 0 0 297.330 2.649 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H](CO)C2CCCCC2)c(F)c1 ZINC000413302249 233049650 /nfs/dbraw/zinc/04/96/50/233049650.db2.gz SXBPHKWOGWEZOJ-LLVKDONJSA-N 0 0 297.330 2.730 20 5 CFBDRN Cc1nc(N[C@H](C)c2cnn(C(C)C)c2)ncc1[N+](=O)[O-] ZINC000584332103 348677038 /nfs/dbraw/zinc/67/70/38/348677038.db2.gz UZTDFBVWOXXLIN-SECBINFHSA-N 0 0 290.327 2.644 20 5 CFBDRN Cc1cnc(CCNc2cc(C)c([N+](=O)[O-])cc2F)cn1 ZINC000413343588 233056836 /nfs/dbraw/zinc/05/68/36/233056836.db2.gz AWAQGLGTUUYPRG-UHFFFAOYSA-N 0 0 290.298 2.795 20 5 CFBDRN Cc1cnc(CCNc2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000413349922 233057432 /nfs/dbraw/zinc/05/74/32/233057432.db2.gz UXPLFVVFQJAOCP-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1Cc2ccc(C)cc2C1 ZINC000584695043 348705560 /nfs/dbraw/zinc/70/55/60/348705560.db2.gz NTAMYMYLQPEKJP-UHFFFAOYSA-N 0 0 286.335 2.948 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC2(C1)CC(F)(F)C2 ZINC000588040678 348767852 /nfs/dbraw/zinc/76/78/52/348767852.db2.gz KBDKDPGPUYPOSP-UHFFFAOYSA-N 0 0 254.236 2.830 20 5 CFBDRN Cc1cc(N2CC[C@H](C(F)F)C2)ccc1[N+](=O)[O-] ZINC000588040502 348767933 /nfs/dbraw/zinc/76/79/33/348767933.db2.gz APTBWMRKXHNYTO-VIFPVBQESA-N 0 0 256.252 2.995 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@H](C(F)F)C2)n1 ZINC000588040686 348767961 /nfs/dbraw/zinc/76/79/61/348767961.db2.gz KJDWVJAVWSEOJH-VIFPVBQESA-N 0 0 271.267 2.698 20 5 CFBDRN Cc1cc(CNc2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000588050978 348768658 /nfs/dbraw/zinc/76/86/58/348768658.db2.gz DESOBXNOIAJGQN-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@@H]2CCCO2)s1 ZINC000588048979 348768696 /nfs/dbraw/zinc/76/86/96/348768696.db2.gz GNMIIPFIBJLLDO-ZJUUUORDSA-N 0 0 268.338 2.804 20 5 CFBDRN C[C@@H]1C[C@@H]([NH+]2CCCC2)CN1c1ccc([N+](=O)[O-])s1 ZINC000588071851 348770293 /nfs/dbraw/zinc/77/02/93/348770293.db2.gz HETCFGJUVNCRSX-GHMZBOCLSA-N 0 0 281.381 2.719 20 5 CFBDRN C[C@@H]1C[C@@H]([NH+]2CCCC2)CN1c1sccc1[N+](=O)[O-] ZINC000588071900 348770361 /nfs/dbraw/zinc/77/03/61/348770361.db2.gz RMXUEKCQIDXWEK-GHMZBOCLSA-N 0 0 281.381 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cnn3ccccc23)s1 ZINC000588070531 348770676 /nfs/dbraw/zinc/77/06/76/348770676.db2.gz OWXWWJDKOGTFQS-UHFFFAOYSA-N 0 0 274.305 2.916 20 5 CFBDRN CC1(C)[C@H](Nc2nccc3c2cccc3[N+](=O)[O-])C[C@@H]1O ZINC000450455061 535079598 /nfs/dbraw/zinc/07/95/98/535079598.db2.gz TUGVGKSLMNJQTG-OLZOCXBDSA-N 0 0 287.319 2.714 20 5 CFBDRN Cc1ncc(CNc2nc(C)cc(C)c2[N+](=O)[O-])o1 ZINC000413419215 233070053 /nfs/dbraw/zinc/07/00/53/233070053.db2.gz GVLVGYMEDBENKV-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCCC1(F)F ZINC000588180338 348778082 /nfs/dbraw/zinc/77/80/82/348778082.db2.gz SYSHEOVZYZKNJT-NSHDSACASA-N 0 0 284.262 2.821 20 5 CFBDRN COc1ccc(NC(=O)N2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000588275438 348782217 /nfs/dbraw/zinc/78/22/17/348782217.db2.gz NNPQYHALSUCYEC-KOLCDFICSA-N 0 0 291.307 2.620 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1nnc(C(C)(C)C)[nH]1 ZINC000413420163 233070668 /nfs/dbraw/zinc/07/06/68/233070668.db2.gz UKIDZBCQKBXKMV-UHFFFAOYSA-N 0 0 289.339 2.931 20 5 CFBDRN COc1cc(NCc2cnc(C)o2)c([N+](=O)[O-])cc1F ZINC000413426199 233071591 /nfs/dbraw/zinc/07/15/91/233071591.db2.gz VEQFXPNSUMPUSV-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(C)(C)CC1 ZINC000588815510 348796555 /nfs/dbraw/zinc/79/65/55/348796555.db2.gz MAHGHOMQFHXIAK-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H](C)C[C@H](C)C1 ZINC000588822809 348797023 /nfs/dbraw/zinc/79/70/23/348797023.db2.gz AWNYVQIOAVKAQF-QWRGUYRKSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C)(C)OC(C)(C)C1 ZINC000588830802 348797539 /nfs/dbraw/zinc/79/75/39/348797539.db2.gz WDFQZMAGGTZGQF-UHFFFAOYSA-N 0 0 296.371 2.513 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)N2CCCCN2)cc1[N+](=O)[O-] ZINC000588847169 348798076 /nfs/dbraw/zinc/79/80/76/348798076.db2.gz ARDFETHGJPCGQN-UHFFFAOYSA-N 0 0 291.351 2.633 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]2C[C@@H]21 ZINC000586785943 348752226 /nfs/dbraw/zinc/75/22/26/348752226.db2.gz PMGBOEURNXWGNV-SKDRFNHKSA-N 0 0 261.281 2.611 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(C(F)F)CCCC2)nc1 ZINC000588861028 348799760 /nfs/dbraw/zinc/79/97/60/348799760.db2.gz FVEOLPJPSSQIDG-UHFFFAOYSA-N 0 0 257.240 2.980 20 5 CFBDRN Cc1c(C(=O)N2CCC[C@H]3C[C@H]32)cccc1[N+](=O)[O-] ZINC000586898530 348759920 /nfs/dbraw/zinc/75/99/20/348759920.db2.gz NSIREKZUSHNCTE-GXFFZTMASA-N 0 0 260.293 2.528 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000586899287 348760368 /nfs/dbraw/zinc/76/03/68/348760368.db2.gz UTXBBBHRONGSIA-RBZYPMLTSA-N 0 0 292.310 2.848 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]2C[C@H]21 ZINC000586899588 348760645 /nfs/dbraw/zinc/76/06/45/348760645.db2.gz WFBPEUIQENCCBB-GXFFZTMASA-N 0 0 260.293 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc([C@H]3CCCS3)n2)nc1 ZINC000273948862 192376142 /nfs/dbraw/zinc/37/61/42/192376142.db2.gz NBQLOLGAKKCISP-SNVBAGLBSA-N 0 0 292.320 2.532 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587446723 348761798 /nfs/dbraw/zinc/76/17/98/348761798.db2.gz YSQNZELVOIUTHY-GHMZBOCLSA-N 0 0 293.367 2.908 20 5 CFBDRN CC[C@H]1CCC[C@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587996188 348764594 /nfs/dbraw/zinc/76/45/94/348764594.db2.gz ZJJWZWILVDOZCX-TVQRCGJNSA-N 0 0 279.340 2.660 20 5 CFBDRN CC(C)CC1(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)CC1 ZINC000587995675 348764615 /nfs/dbraw/zinc/76/46/15/348764615.db2.gz BXIOJIXNEZVSOH-UHFFFAOYSA-N 0 0 293.367 2.908 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1c(F)cccc1[N+](=O)[O-] ZINC000587998391 348765575 /nfs/dbraw/zinc/76/55/75/348765575.db2.gz MAHSDKSYQAUTFL-UWVGGRQHSA-N 0 0 268.288 2.961 20 5 CFBDRN CC(=O)c1cc(N2CC[C@@H](C)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000588012997 348765885 /nfs/dbraw/zinc/76/58/85/348765885.db2.gz JYDIPNNWAFLWIB-RNCFNFMXSA-N 0 0 280.299 2.982 20 5 CFBDRN C[C@H]1CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)CC1(C)C ZINC000588017383 348766548 /nfs/dbraw/zinc/76/65/48/348766548.db2.gz TXBMHLPWFOFOQH-JTQLQIEISA-N 0 0 293.367 2.542 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCC[C@@H]2C2CC2)c1[N+](=O)[O-] ZINC000413477978 233080806 /nfs/dbraw/zinc/08/08/06/233080806.db2.gz HRIRTSBVKGWOBS-NWDGAFQWSA-N 0 0 278.356 2.875 20 5 CFBDRN COCC[C@@H](C)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000413484710 233081451 /nfs/dbraw/zinc/08/14/51/233081451.db2.gz UJVUMGUJCYOYCP-LLVKDONJSA-N 0 0 289.335 2.649 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@@H](C)C1 ZINC000589103873 348811013 /nfs/dbraw/zinc/81/10/13/348811013.db2.gz OQNFESLDPCJWHZ-SNVBAGLBSA-N 0 0 250.298 2.840 20 5 CFBDRN COCC[C@@H](C)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000413495369 233083619 /nfs/dbraw/zinc/08/36/19/233083619.db2.gz RTFRACZZBKHIAI-SNVBAGLBSA-N 0 0 280.324 2.882 20 5 CFBDRN C[C@@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000589147119 348813009 /nfs/dbraw/zinc/81/30/09/348813009.db2.gz VMPRNYXNLYCYBG-PHDIDXHHSA-N 0 0 251.267 2.830 20 5 CFBDRN COc1cc(Cc2noc([C@H]3C[C@@H]3C)n2)ccc1[N+](=O)[O-] ZINC000589136754 348813042 /nfs/dbraw/zinc/81/30/42/348813042.db2.gz UTOGXFYLQZFQLE-WPRPVWTQSA-N 0 0 289.291 2.701 20 5 CFBDRN COCC[C@@H](C)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000413493149 233083803 /nfs/dbraw/zinc/08/38/03/233083803.db2.gz NPIRROZAXLIIOV-SNVBAGLBSA-N 0 0 268.313 2.688 20 5 CFBDRN C[C@H](c1nc(-c2cncn2C)no1)c1cccc([N+](=O)[O-])c1 ZINC000589147347 348813109 /nfs/dbraw/zinc/81/31/09/348813109.db2.gz FENNDVCWLKDWRQ-VIFPVBQESA-N 0 0 299.290 2.530 20 5 CFBDRN COCC[C@@H](C)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000413498719 233084850 /nfs/dbraw/zinc/08/48/50/233084850.db2.gz XPWADHPUSVSIFR-SNVBAGLBSA-N 0 0 268.313 2.688 20 5 CFBDRN COC1([C@@H](C)Nc2ccc([N+](=O)[O-])c(C)n2)CCC1 ZINC000413568368 233096772 /nfs/dbraw/zinc/09/67/72/233096772.db2.gz ZAGXCNUPWDADGU-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC1CCC(COC)CC1 ZINC000413532542 233091323 /nfs/dbraw/zinc/09/13/23/233091323.db2.gz OIWHPPSRSBAJAW-UHFFFAOYSA-N 0 0 296.371 2.737 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCCC[C@H]1F ZINC000413536606 233091371 /nfs/dbraw/zinc/09/13/71/233091371.db2.gz VUTLHYDVJKQYAW-GHMZBOCLSA-N 0 0 253.277 2.991 20 5 CFBDRN C[C@@H]1C[C@H](CNc2nc3sccn3c2[N+](=O)[O-])[C@@H](C)O1 ZINC000413538965 233092083 /nfs/dbraw/zinc/09/20/83/233092083.db2.gz SVXYGKZMWHMMCQ-IWSPIJDZSA-N 0 0 296.352 2.529 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ncc([N+](=O)[O-])cc2Cl)[C@@H](C)O1 ZINC000413541839 233092907 /nfs/dbraw/zinc/09/29/07/233092907.db2.gz WHMOILYHRKTKFF-HLTSFMKQSA-N 0 0 285.731 2.869 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000413442453 233074134 /nfs/dbraw/zinc/07/41/34/233074134.db2.gz ADULBLBLWPKGRI-OUAUKWLOSA-N 0 0 264.329 2.720 20 5 CFBDRN COCC1(Nc2ccc([N+](=O)[O-])c3cnccc23)CC1 ZINC000589598268 348841123 /nfs/dbraw/zinc/84/11/23/348841123.db2.gz HGXKZYNZUICTQT-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCC3(CC3)C1)CCN2 ZINC000589599257 348841251 /nfs/dbraw/zinc/84/12/51/348841251.db2.gz AUYOJXKWXZDAPE-UHFFFAOYSA-N 0 0 259.309 2.553 20 5 CFBDRN CN(C)c1cccnc1CNc1ccc([N+](=O)[O-])s1 ZINC000589603761 348841802 /nfs/dbraw/zinc/84/18/02/348841802.db2.gz KQUKUHFFKYDQCP-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN CC(=O)c1cc(N2CC[C@H](C(F)F)C2)ccc1[N+](=O)[O-] ZINC000589600058 348841895 /nfs/dbraw/zinc/84/18/95/348841895.db2.gz NAMQKHIRZPURRT-VIFPVBQESA-N 0 0 284.262 2.889 20 5 CFBDRN COCc1nc(CNc2ccc([N+](=O)[O-])s2)cs1 ZINC000589604092 348842084 /nfs/dbraw/zinc/84/20/84/348842084.db2.gz SIQPGLHUQMYRER-UHFFFAOYSA-N 0 0 285.350 2.871 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000589608920 348842765 /nfs/dbraw/zinc/84/27/65/348842765.db2.gz NKCPLEHSLJUWAF-VIFPVBQESA-N 0 0 298.755 2.958 20 5 CFBDRN Cc1cc(N2CCC[C@H](CO)[C@H]2C)ccc1[N+](=O)[O-] ZINC000413598862 233102081 /nfs/dbraw/zinc/10/20/81/233102081.db2.gz WIJOTPWUMRQOQF-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCC[C@@H](CO)C2)n1 ZINC000413606207 233103016 /nfs/dbraw/zinc/10/30/16/233103016.db2.gz KXQLCADVGUFPAJ-NEPJUHHUSA-N 0 0 279.340 2.570 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC(C2CC2)C1 ZINC000589724454 348853665 /nfs/dbraw/zinc/85/36/65/348853665.db2.gz DAAHODLCJVNEOJ-UHFFFAOYSA-N 0 0 280.711 2.730 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]2c2ccco2)c(F)c1 ZINC000413605081 233103137 /nfs/dbraw/zinc/10/31/37/233103137.db2.gz NRTVPOSDYSPUAX-PSASIEDQSA-N 0 0 263.228 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(C2CC2)C1 ZINC000589753515 348855341 /nfs/dbraw/zinc/85/53/41/348855341.db2.gz KNCOUXITDSKZKR-UHFFFAOYSA-N 0 0 275.308 2.777 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)OCC1CC1 ZINC000589810961 348857846 /nfs/dbraw/zinc/85/78/46/348857846.db2.gz KLDDCUWIZQSTCX-SNVBAGLBSA-N 0 0 292.335 2.911 20 5 CFBDRN C[C@@H]1CN(c2cccnc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000589879585 348862951 /nfs/dbraw/zinc/86/29/51/348862951.db2.gz FGUBMUNNKBRHSO-LLVKDONJSA-N 0 0 285.303 2.777 20 5 CFBDRN CCCc1nc(C)c(CNc2cccnc2[N+](=O)[O-])o1 ZINC000589879195 348863207 /nfs/dbraw/zinc/86/32/07/348863207.db2.gz WYPPBFNILGEVHJ-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN CC(C)[C@@H]1CN(c2sccc2[N+](=O)[O-])CCCO1 ZINC000590152983 348879437 /nfs/dbraw/zinc/87/94/37/348879437.db2.gz DVDDBZKPPHDIKF-NSHDSACASA-N 0 0 270.354 2.908 20 5 CFBDRN COC[C@]1(C)CCN(c2sccc2[N+](=O)[O-])C1 ZINC000590709127 348932249 /nfs/dbraw/zinc/93/22/49/348932249.db2.gz IWUHWDJXDNEAGD-LLVKDONJSA-N 0 0 256.327 2.519 20 5 CFBDRN Cc1ccccc1CCN(C)c1cccnc1[N+](=O)[O-] ZINC000590706932 348932390 /nfs/dbraw/zinc/93/23/90/348932390.db2.gz CBMBSYKYBAQMPQ-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@@H]1C ZINC000590718145 348935031 /nfs/dbraw/zinc/93/50/31/348935031.db2.gz KJVZURIXPWANIO-UWVGGRQHSA-N 0 0 270.354 2.908 20 5 CFBDRN CC(C)[C@H](CO)[C@@H](Nc1cccnc1[N+](=O)[O-])C(C)C ZINC000590718655 348935668 /nfs/dbraw/zinc/93/56/68/348935668.db2.gz VKCKPGIYNAYSDS-AAEUAGOBSA-N 0 0 281.356 2.691 20 5 CFBDRN CC(C)[C@@H](CO)[C@H](Nc1cccnc1[N+](=O)[O-])C(C)C ZINC000590718656 348935767 /nfs/dbraw/zinc/93/57/67/348935767.db2.gz VKCKPGIYNAYSDS-DGCLKSJQSA-N 0 0 281.356 2.691 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCC23CCOCC3)c(F)c1 ZINC000590728682 348938000 /nfs/dbraw/zinc/93/80/00/348938000.db2.gz MTLOZZWTFDSOJY-UHFFFAOYSA-N 0 0 298.289 2.628 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1ccc([N+](=O)[O-])s1 ZINC000590736649 348939219 /nfs/dbraw/zinc/93/92/19/348939219.db2.gz DYLNSRGMVGRNLU-UHFFFAOYSA-N 0 0 258.343 2.865 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC(C2CC2)CC1 ZINC000590425052 348899642 /nfs/dbraw/zinc/89/96/42/348899642.db2.gz GAVAVNNRNYTZLK-UHFFFAOYSA-N 0 0 274.320 2.857 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC(C2CC2)CC1 ZINC000590429499 348900726 /nfs/dbraw/zinc/90/07/26/348900726.db2.gz OTCCRKSVKNTLJH-UHFFFAOYSA-N 0 0 289.335 2.560 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C[C@](C)(O)C1CC1 ZINC000590549395 348908574 /nfs/dbraw/zinc/90/85/74/348908574.db2.gz FNVFKYIYGLSEGN-HNNXBMFYSA-N 0 0 292.335 2.701 20 5 CFBDRN CCOc1cc(Oc2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000590569409 348909792 /nfs/dbraw/zinc/90/97/92/348909792.db2.gz OHKXBPGYDYSTEM-UHFFFAOYSA-N 0 0 275.264 2.884 20 5 CFBDRN COC(=O)c1ccnc(Sc2cccs2)c1[N+](=O)[O-] ZINC000590574577 348910621 /nfs/dbraw/zinc/91/06/21/348910621.db2.gz KDLQPTCUQZMRQS-UHFFFAOYSA-N 0 0 296.329 2.989 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCCCO1 ZINC000590607641 348915187 /nfs/dbraw/zinc/91/51/87/348915187.db2.gz KUIDJRCYVKAJCS-UHFFFAOYSA-N 0 0 295.295 2.553 20 5 CFBDRN C[C@@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])C[C@@H](C)O1 ZINC000590684048 348928081 /nfs/dbraw/zinc/92/80/81/348928081.db2.gz SZESZYHEXYUXNI-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC[C@H](C2CCC2)C1 ZINC000591126672 348990594 /nfs/dbraw/zinc/99/05/94/348990594.db2.gz JUHHTFHUUDONNI-AWEZNQCLSA-N 0 0 288.347 2.786 20 5 CFBDRN C[C@@H]1CCN1c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000591140974 348991610 /nfs/dbraw/zinc/99/16/10/348991610.db2.gz FRPRIECSRSYSCM-ZCFIWIBFSA-N 0 0 261.203 2.607 20 5 CFBDRN Cc1nnc(CNc2c(C)cc([N+](=O)[O-])cc2Cl)o1 ZINC000591140133 348992011 /nfs/dbraw/zinc/99/20/11/348992011.db2.gz DVHVUPFFEIOWQC-UHFFFAOYSA-N 0 0 282.687 2.860 20 5 CFBDRN C[C@H]1CC[C@H](C)N(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000591148369 348992476 /nfs/dbraw/zinc/99/24/76/348992476.db2.gz BQQZAANGCKBXSZ-IUCAKERBSA-N 0 0 253.277 2.754 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CCOC4(CCC4)C3)c2c1 ZINC000591152176 348993307 /nfs/dbraw/zinc/99/33/07/348993307.db2.gz YESJGERSLNQSSQ-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN CC1(C)C[C@@H](Nc2ncc([N+](=O)[O-])cc2F)C(C)(C)O1 ZINC000591153846 348993982 /nfs/dbraw/zinc/99/39/82/348993982.db2.gz RUGVUQYUZWROST-SNVBAGLBSA-N 0 0 283.303 2.887 20 5 CFBDRN COC1(c2noc(-c3cccc([N+](=O)[O-])c3)n2)CCC1 ZINC000273957118 192378724 /nfs/dbraw/zinc/37/87/24/192378724.db2.gz JGYLUVRUOLWXIL-UHFFFAOYSA-N 0 0 275.264 2.670 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cc2F)CC1(F)F ZINC000591158444 348994939 /nfs/dbraw/zinc/99/49/39/348994939.db2.gz ZCIIJWDHJSOMDF-ZETCQYMHSA-N 0 0 275.230 2.610 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(C3CC3)CC2)c(F)c1 ZINC000591163058 348995449 /nfs/dbraw/zinc/99/54/49/348995449.db2.gz UYJLLFVSBNKQAS-UHFFFAOYSA-N 0 0 265.288 2.755 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000591176542 348997155 /nfs/dbraw/zinc/99/71/55/348997155.db2.gz SWUWXHRXJQHFNF-NOZJJQNGSA-N 0 0 279.340 2.516 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])s2)[C@@H](C)O1 ZINC000591193701 348999307 /nfs/dbraw/zinc/99/93/07/348999307.db2.gz RUXXCIAPRWIYSD-IWSPIJDZSA-N 0 0 256.327 2.882 20 5 CFBDRN CCC[C@@H](O)Cc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000590778970 348948241 /nfs/dbraw/zinc/94/82/41/348948241.db2.gz YCLLBGVBQLGZPR-SNVBAGLBSA-N 0 0 291.307 2.657 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](C3CCC3)C2)c(F)c1 ZINC000591204090 348999944 /nfs/dbraw/zinc/99/99/44/348999944.db2.gz CKJYUOLBFATNPI-JTQLQIEISA-N 0 0 265.288 2.755 20 5 CFBDRN CC(C)[C@H](CNc1ncc([N+](=O)[O-])cn1)c1cccnc1 ZINC000273962320 192379573 /nfs/dbraw/zinc/37/95/73/192379573.db2.gz OARXFCWAPIAYOG-ZDUSSCGKSA-N 0 0 287.323 2.632 20 5 CFBDRN Cc1nn(C)c(N2CC[C@H](C3CCCC3)C2)c1[N+](=O)[O-] ZINC000591462510 349024977 /nfs/dbraw/zinc/02/49/77/349024977.db2.gz USKSFCQWTNUJPA-LBPRGKRZSA-N 0 0 278.356 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H](O)CC23CCC3)c(F)c1 ZINC000591480127 349026296 /nfs/dbraw/zinc/02/62/96/349026296.db2.gz QWBWQPBSVRBWFK-ZDUSSCGKSA-N 0 0 294.326 2.613 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1COC ZINC000591981678 349069067 /nfs/dbraw/zinc/06/90/67/349069067.db2.gz MYQZBVOEIGVZDT-DGCLKSJQSA-N 0 0 296.367 2.950 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000591982490 349069144 /nfs/dbraw/zinc/06/91/44/349069144.db2.gz POSOUJLWNXFJAF-KOLCDFICSA-N 0 0 267.329 2.507 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2nccc(C)c2[N+](=O)[O-])[C@@H]1SC ZINC000591990641 349071192 /nfs/dbraw/zinc/07/11/92/349071192.db2.gz KCAWKUCJWMMVQF-FOGDFJRCSA-N 0 0 297.380 2.619 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2sccc2[N+](=O)[O-])[C@@H]1SC ZINC000591990746 349071351 /nfs/dbraw/zinc/07/13/51/349071351.db2.gz LUDFTEJNXHEKBT-QNSHHTMESA-N 0 0 288.394 2.977 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000591995055 349071792 /nfs/dbraw/zinc/07/17/92/349071792.db2.gz NEDJHBJLBJOPMQ-SECBINFHSA-N 0 0 297.742 2.791 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@@H](C)OC3(CCC3)C2)n1 ZINC000591995002 349071934 /nfs/dbraw/zinc/07/19/34/349071934.db2.gz KUIMOMKPXVGIPL-GFCCVEGCSA-N 0 0 291.351 2.754 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000592001219 349073649 /nfs/dbraw/zinc/07/36/49/349073649.db2.gz QMBQSJYFEGPUPO-NKWVEPMBSA-N 0 0 271.317 2.622 20 5 CFBDRN Cc1cc(N2C[C@H](C)OC3(CCCC3)C2)ncc1[N+](=O)[O-] ZINC000592003226 349074177 /nfs/dbraw/zinc/07/41/77/349074177.db2.gz YHIYAFHXKJOHSI-LBPRGKRZSA-N 0 0 291.351 2.836 20 5 CFBDRN CCCC[C@@](C)(CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000592251966 349104100 /nfs/dbraw/zinc/10/41/00/349104100.db2.gz NMRRMYMYZDUJOR-AWEZNQCLSA-N 0 0 295.339 2.658 20 5 CFBDRN CCOC(=O)Cc1ccc(NCC[C@@H](C)F)c([N+](=O)[O-])c1 ZINC000591948431 349064427 /nfs/dbraw/zinc/06/44/27/349064427.db2.gz IFKBYFOVKXWZCH-SNVBAGLBSA-N 0 0 298.314 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC[C@H]2CCOC2)c(F)c1 ZINC000592345243 349106425 /nfs/dbraw/zinc/10/64/25/349106425.db2.gz QACYYLARBOADPB-JTQLQIEISA-N 0 0 269.272 2.929 20 5 CFBDRN CCCC[C@](C)(CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000591973019 349067789 /nfs/dbraw/zinc/06/77/89/349067789.db2.gz HKZJWFQKGFXVHF-GFCCVEGCSA-N 0 0 287.747 2.996 20 5 CFBDRN CCCC[C@](C)(CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000591975957 349068406 /nfs/dbraw/zinc/06/84/06/349068406.db2.gz VJKWJFFPUOTMIY-CQSZACIVSA-N 0 0 281.356 2.960 20 5 CFBDRN C[C@@H](CNC(=O)Cc1ccccc1[N+](=O)[O-])CC(F)F ZINC000592672523 349149488 /nfs/dbraw/zinc/14/94/88/349149488.db2.gz MKABJSJXEBDFKN-SECBINFHSA-N 0 0 286.278 2.545 20 5 CFBDRN Cc1nc(CNc2ccccc2[N+](=O)[O-])ccc1[C@H](C)O ZINC000271154217 190966545 /nfs/dbraw/zinc/96/65/45/190966545.db2.gz MKFHZJDCCYJYSC-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN Cc1ccccc1C1(NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000592461629 349124939 /nfs/dbraw/zinc/12/49/39/349124939.db2.gz ZMHYYUJDUXGMGY-UHFFFAOYSA-N 0 0 285.303 2.650 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(Cc3ccccc3)CC2)nc1 ZINC000273972091 192384171 /nfs/dbraw/zinc/38/41/71/192384171.db2.gz PMCKNJMZDZVSIV-UHFFFAOYSA-N 0 0 284.319 2.820 20 5 CFBDRN O=[N+]([O-])c1cc(CN2C3CCC2CC3)c2c(c1)COCO2 ZINC000592570769 349138077 /nfs/dbraw/zinc/13/80/77/349138077.db2.gz QQXZPMLCEXGXFR-UHFFFAOYSA-N 0 0 290.319 2.588 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593023933 349189961 /nfs/dbraw/zinc/18/99/61/349189961.db2.gz HGEKXHLCTSHPSY-MFKMUULPSA-N 0 0 294.326 2.971 20 5 CFBDRN O=C(NCC1CCC(F)CC1)c1csc([N+](=O)[O-])c1 ZINC000592723498 349157936 /nfs/dbraw/zinc/15/79/36/349157936.db2.gz ZAKHQPUCRZNOFL-UHFFFAOYSA-N 0 0 286.328 2.914 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000592732410 349158039 /nfs/dbraw/zinc/15/80/39/349158039.db2.gz IOYCWUWHBMGWNR-YUSALJHKSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000592735281 349159829 /nfs/dbraw/zinc/15/98/29/349159829.db2.gz MVEXDLFKOFXMDS-SDDRHHMPSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1ccccc1C1(NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000592826842 349168650 /nfs/dbraw/zinc/16/86/50/349168650.db2.gz WMLQJGUMQVRKFJ-UHFFFAOYSA-N 0 0 285.303 2.650 20 5 CFBDRN CCC[C@@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000089471428 179799126 /nfs/dbraw/zinc/79/91/26/179799126.db2.gz FHVOARBKBUCAKA-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN CCOc1cc(COCc2n[nH]cc2C)ccc1[N+](=O)[O-] ZINC000593237946 349233998 /nfs/dbraw/zinc/23/39/98/349233998.db2.gz WVOKQHLRWWFILK-UHFFFAOYSA-N 0 0 291.307 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCn2cc(C3CC3)cn2)cc1 ZINC000593237679 349234184 /nfs/dbraw/zinc/23/41/84/349234184.db2.gz PITWAINKCQSPRG-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)[C@@H](CC)CO1 ZINC000593257286 349236977 /nfs/dbraw/zinc/23/69/77/349236977.db2.gz BNULEWIIYAPTGD-VHSXEESVSA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)[C@H](CC)CO1 ZINC000593258919 349237067 /nfs/dbraw/zinc/23/70/67/349237067.db2.gz VJSMGORGOOACGJ-TZMCWYRMSA-N 0 0 292.335 2.624 20 5 CFBDRN CCN(C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000593071067 349201578 /nfs/dbraw/zinc/20/15/78/349201578.db2.gz XNJPIBIODHKLEJ-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])[C@H]1C ZINC000593081385 349203998 /nfs/dbraw/zinc/20/39/98/349203998.db2.gz CVESSBOMFHPPEJ-UWVGGRQHSA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC2(CO)CCC2)c1 ZINC000593511490 349287822 /nfs/dbraw/zinc/28/78/22/349287822.db2.gz AZXMGFAVCXPDDE-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC1CC2(CCC2)C1 ZINC000593517638 349288679 /nfs/dbraw/zinc/28/86/79/349288679.db2.gz ZDIRCNDYAAJILA-UHFFFAOYSA-N 0 0 264.329 2.864 20 5 CFBDRN Cn1c(=O)ccc2c1CCC[C@@H]2Nc1ccc([N+](=O)[O-])cc1 ZINC000593519622 349289284 /nfs/dbraw/zinc/28/92/84/349289284.db2.gz IVLMRBUHILJWTK-AWEZNQCLSA-N 0 0 299.330 2.783 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593586839 349295424 /nfs/dbraw/zinc/29/54/24/349295424.db2.gz BSGROPBMHTVLCM-GFCCVEGCSA-N 0 0 280.299 2.533 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)[C@@H](C)CO1 ZINC000593283053 349240756 /nfs/dbraw/zinc/24/07/56/349240756.db2.gz DPGUVCPPROZIRE-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593283586 349241554 /nfs/dbraw/zinc/24/15/54/349241554.db2.gz JBADIEOJYIVTTL-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(NC1(C2CCC2)CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000593303929 349244172 /nfs/dbraw/zinc/24/41/72/349244172.db2.gz GHMXRAAFRIDWAC-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@]2(CC2(F)F)C1 ZINC000593357427 349252507 /nfs/dbraw/zinc/25/25/07/349252507.db2.gz BPKKSPCNHVWOKJ-JTQLQIEISA-N 0 0 288.275 2.528 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593382306 349256761 /nfs/dbraw/zinc/25/67/61/349256761.db2.gz CNMZLBJWTJTRTB-JTQLQIEISA-N 0 0 280.299 2.535 20 5 CFBDRN C[C@H](NC(=O)N1CCCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000593406335 349262358 /nfs/dbraw/zinc/26/23/58/349262358.db2.gz BAWCRONRZAZVOH-NSHDSACASA-N 0 0 293.323 2.783 20 5 CFBDRN CCN(CCOC)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593456387 349274557 /nfs/dbraw/zinc/27/45/57/349274557.db2.gz DWKFSUGQJIQNLP-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463181 349275963 /nfs/dbraw/zinc/27/59/63/349275963.db2.gz OWEZGCWIHJUDCJ-ZUZCIYMTSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463124 349276087 /nfs/dbraw/zinc/27/60/87/349276087.db2.gz MVJWDTYTKQNQIV-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)(CO)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593465965 349276655 /nfs/dbraw/zinc/27/66/55/349276655.db2.gz DHIXFHDJIFHJII-UHFFFAOYSA-N 0 0 275.308 2.573 20 5 CFBDRN CC[C@@H](Nc1ccnc2cc([N+](=O)[O-])ccc21)[C@@H](C)O ZINC000593477536 349277980 /nfs/dbraw/zinc/27/79/80/349277980.db2.gz BXKSIDIGRGAIJX-BXKDBHETSA-N 0 0 275.308 2.714 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000593486744 349281880 /nfs/dbraw/zinc/28/18/80/349281880.db2.gz BUPZXPUGGBHTOF-DTWKUNHWSA-N 0 0 258.343 2.865 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H](OC(C)C)C1 ZINC000593487769 349282437 /nfs/dbraw/zinc/28/24/37/349282437.db2.gz WJBHEAGUZXXEDA-GFCCVEGCSA-N 0 0 296.371 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@H](OC(C)C)C1 ZINC000593487702 349282570 /nfs/dbraw/zinc/28/25/70/349282570.db2.gz VKKAKUOZYVAOQS-CYBMUJFWSA-N 0 0 279.340 2.692 20 5 CFBDRN CC(C)O[C@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000593487643 349282595 /nfs/dbraw/zinc/28/25/95/349282595.db2.gz UQGJCFSIGDYAAU-LBPRGKRZSA-N 0 0 264.325 2.989 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H](C1CC1)C1CCOCC1 ZINC000593490722 349283318 /nfs/dbraw/zinc/28/33/18/349283318.db2.gz DZXCQUKKZQKEOK-CYBMUJFWSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1c1ncc([N+](=O)[O-])cc1F ZINC000593493019 349284006 /nfs/dbraw/zinc/28/40/06/349284006.db2.gz FTUCDXAXXOXYDT-VIFPVBQESA-N 0 0 283.303 2.523 20 5 CFBDRN CC[C@@H]1CN(c2c([N+](=O)[O-])nc(C)n2CC)[C@@H](CC)CO1 ZINC000593495261 349284384 /nfs/dbraw/zinc/28/43/84/349284384.db2.gz VGPBAGPNZPRLLW-NWDGAFQWSA-N 0 0 296.371 2.513 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000593787643 349328021 /nfs/dbraw/zinc/32/80/21/349328021.db2.gz YLYHCAZZWYVYDY-GXSJLCMTSA-N 0 0 291.351 2.732 20 5 CFBDRN CNc1ccc(C(=O)NC2(C3CCC3)CC2)cc1[N+](=O)[O-] ZINC000593836788 349330982 /nfs/dbraw/zinc/33/09/82/349330982.db2.gz XBFFGWVYGGQBAB-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2CC3(CCC3)C2)c1 ZINC000593882478 349332016 /nfs/dbraw/zinc/33/20/16/349332016.db2.gz OWXZMGDNECTCRN-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](F)C2)c1 ZINC000593893313 349333089 /nfs/dbraw/zinc/33/30/89/349333089.db2.gz GDYKJFUQQUHDEP-SECBINFHSA-N 0 0 298.339 2.891 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CC12CCCC2 ZINC000593654082 349305246 /nfs/dbraw/zinc/30/52/46/349305246.db2.gz IXVGTAUXQKNPKF-CYBMUJFWSA-N 0 0 292.310 2.725 20 5 CFBDRN CC[C@H](C)C[N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593736328 349317082 /nfs/dbraw/zinc/31/70/82/349317082.db2.gz CNGNMOQDUJAMGK-JTQLQIEISA-N 0 0 280.324 2.771 20 5 CFBDRN CC[C@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593744784 349318875 /nfs/dbraw/zinc/31/88/75/349318875.db2.gz WXXCZRXIIPXEOV-JTQLQIEISA-N 0 0 278.308 2.525 20 5 CFBDRN COc1cc(C(=O)N2CCC23CCCC3)ccc1[N+](=O)[O-] ZINC000593756898 349321141 /nfs/dbraw/zinc/32/11/41/349321141.db2.gz IREFMWYVHVSGJA-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC12CCCC2 ZINC000593759785 349321869 /nfs/dbraw/zinc/32/18/69/349321869.db2.gz OVMBQOQFBVULSQ-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCCc2cccnc21 ZINC000594072594 349369089 /nfs/dbraw/zinc/36/90/89/349369089.db2.gz UQEVDRUMLSFBGE-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN Cc1cnc(CCNC(=O)c2ccccc2[N+](=O)[O-])c(C)c1 ZINC000594105235 349378722 /nfs/dbraw/zinc/37/87/22/349378722.db2.gz AFDIGIVNKNQNPJ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)Cc2ccccn2)c1[N+](=O)[O-] ZINC000594145346 349386429 /nfs/dbraw/zinc/38/64/29/349386429.db2.gz UNLWTVPKCNNMMQ-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1ccc(COc2nc(C)cc(C)c2[N+](=O)[O-])cn1 ZINC000594486584 349428410 /nfs/dbraw/zinc/42/84/10/349428410.db2.gz BNMPMXARYQSSFS-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2NCc1ccc(F)cn1 ZINC000594502635 349433190 /nfs/dbraw/zinc/43/31/90/349433190.db2.gz MJCGVKYDVROYMY-AWEZNQCLSA-N 0 0 287.294 2.906 20 5 CFBDRN Nc1c(NC(=O)NCC2CCC2)cc(Cl)cc1[N+](=O)[O-] ZINC000597095346 350030102 /nfs/dbraw/zinc/03/01/02/350030102.db2.gz UBKQCMPZLVVOFD-UHFFFAOYSA-N 0 0 298.730 2.752 20 5 CFBDRN O=C(c1c[nH]nc1[N+](=O)[O-])N1CCC[C@@H]1CC1CCCC1 ZINC000594417955 349416019 /nfs/dbraw/zinc/41/60/19/349416019.db2.gz KXPFAAJELSNVRY-LLVKDONJSA-N 0 0 292.339 2.503 20 5 CFBDRN Cc1ccc(NCCCNC(=O)CCCF)c([N+](=O)[O-])c1 ZINC000597282300 350079046 /nfs/dbraw/zinc/07/90/46/350079046.db2.gz KICQBCYGSHILDA-UHFFFAOYSA-N 0 0 297.330 2.571 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)n[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000090467422 179988332 /nfs/dbraw/zinc/98/83/32/179988332.db2.gz DIZKAGSTXUTVAA-LBPRGKRZSA-N 0 0 288.307 2.507 20 5 CFBDRN C[C@@H](NC(=O)CCCF)c1ccccc1[N+](=O)[O-] ZINC000597479442 350102527 /nfs/dbraw/zinc/10/25/27/350102527.db2.gz ULQLKMLDWJELNU-SECBINFHSA-N 0 0 254.261 2.522 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)c([N+](=O)[O-])c1 ZINC000597539047 350110016 /nfs/dbraw/zinc/11/00/16/350110016.db2.gz SNFXQQAQEZNIBV-JLLWLGSASA-N 0 0 290.319 2.978 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000597360836 350088709 /nfs/dbraw/zinc/08/87/09/350088709.db2.gz NCXJGZKFSIHYDP-GDNZZTSVSA-N 0 0 288.347 2.992 20 5 CFBDRN CCCCOCCNc1ncc(C)cc1[N+](=O)[O-] ZINC000090692108 180024589 /nfs/dbraw/zinc/02/45/89/180024589.db2.gz JCJGZFDUNSZWKF-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN Cc1cnc(Nc2cnn(C(C)C)c2)c([N+](=O)[O-])c1 ZINC000090692323 180025349 /nfs/dbraw/zinc/02/53/49/180025349.db2.gz WISWORFLCROPEJ-UHFFFAOYSA-N 0 0 261.285 2.819 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)[C@H](O)C2CC2)cc1[N+](=O)[O-] ZINC000597631706 350119642 /nfs/dbraw/zinc/11/96/42/350119642.db2.gz NCKAEKAWNGXPAE-CYBMUJFWSA-N 0 0 292.335 2.602 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000271257080 191032830 /nfs/dbraw/zinc/03/28/30/191032830.db2.gz KEUBFMGKVZWAEV-WPRPVWTQSA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(N[C@H]1CCCC12CCCCC2)c1[nH]ncc1[N+](=O)[O-] ZINC000597875010 350147254 /nfs/dbraw/zinc/14/72/54/350147254.db2.gz UJZKBZJSBMZSNJ-NSHDSACASA-N 0 0 292.339 2.551 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCC2)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000597887982 350149120 /nfs/dbraw/zinc/14/91/20/350149120.db2.gz HWOGFVXJUOKFTP-VFZGTOFNSA-N 0 0 285.303 2.749 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC1CSC1 ZINC000597745709 350131129 /nfs/dbraw/zinc/13/11/29/350131129.db2.gz KDQSNUOZLJIVIB-UHFFFAOYSA-N 0 0 294.376 2.613 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@]12C[C@H]1CCC2 ZINC000597890486 350149784 /nfs/dbraw/zinc/14/97/84/350149784.db2.gz SJUXFKLIGZDGCG-MLGOLLRUSA-N 0 0 299.330 2.608 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000104612748 186010346 /nfs/dbraw/zinc/01/03/46/186010346.db2.gz JQWCJSDKEZXCAH-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000104619283 186010533 /nfs/dbraw/zinc/01/05/33/186010533.db2.gz FBKJKXXFFWKMRN-PRHODGIISA-N 0 0 266.272 2.652 20 5 CFBDRN CCc1nn(C)cc1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000091026416 180089732 /nfs/dbraw/zinc/08/97/32/180089732.db2.gz XDFXSZMCFNCNBY-UHFFFAOYSA-N 0 0 264.260 2.773 20 5 CFBDRN Cn1nccc1CSCc1ccccc1[N+](=O)[O-] ZINC000091039360 180091631 /nfs/dbraw/zinc/09/16/31/180091631.db2.gz RCLLMNONCYZHPM-UHFFFAOYSA-N 0 0 263.322 2.762 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CCCC[C@@H]21 ZINC000597830692 350141530 /nfs/dbraw/zinc/14/15/30/350141530.db2.gz ZGCHUZBEKJIDJT-FZMZJTMJSA-N 0 0 274.320 2.918 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000597854371 350143170 /nfs/dbraw/zinc/14/31/70/350143170.db2.gz GTTJCBYLPMSCRA-LLVKDONJSA-N 0 0 264.325 2.784 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000597853931 350143195 /nfs/dbraw/zinc/14/31/95/350143195.db2.gz DWDQJLILZKJYKN-NSHDSACASA-N 0 0 280.324 2.621 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1C[C@H]1C ZINC000271253234 191030162 /nfs/dbraw/zinc/03/01/62/191030162.db2.gz LBLJNHLHWISERC-PELKAZGASA-N 0 0 276.336 2.906 20 5 CFBDRN CC[C@@H](COCC1CC1)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000597918962 350158065 /nfs/dbraw/zinc/15/80/65/350158065.db2.gz ILUJQYRCJFYPFB-NSHDSACASA-N 0 0 298.364 2.591 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC(C2CCC2)CC1 ZINC000597994484 350175433 /nfs/dbraw/zinc/17/54/33/350175433.db2.gz ITTJILXWYXSRGW-UHFFFAOYSA-N 0 0 278.308 2.840 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000597974979 350168401 /nfs/dbraw/zinc/16/84/01/350168401.db2.gz FOWPIRZQTNLYAG-SNVBAGLBSA-N 0 0 250.298 2.761 20 5 CFBDRN CC[C@H](C)CCNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000597975318 350168708 /nfs/dbraw/zinc/16/87/08/350168708.db2.gz IUKZIYITMMXPBS-NSHDSACASA-N 0 0 264.325 2.690 20 5 CFBDRN CC[C@@H](C)CCNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000597975969 350169166 /nfs/dbraw/zinc/16/91/66/350169166.db2.gz PNIYTHZTTCIAQV-LLVKDONJSA-N 0 0 280.324 2.526 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CCC[C@@H](C)O1 ZINC000598211405 350215312 /nfs/dbraw/zinc/21/53/12/350215312.db2.gz FCHHTVKHUSDWRO-NEPJUHHUSA-N 0 0 292.335 2.591 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCc1ccc(F)cc1 ZINC000091558955 180191621 /nfs/dbraw/zinc/19/16/21/180191621.db2.gz PMFXZQKQVXAIAG-UHFFFAOYSA-N 0 0 292.314 2.913 20 5 CFBDRN CCc1nn(C)c(NCCc2cccc(F)c2)c1[N+](=O)[O-] ZINC000091559839 180191692 /nfs/dbraw/zinc/19/16/92/180191692.db2.gz OFFMTESGSXQNBZ-UHFFFAOYSA-N 0 0 292.314 2.684 20 5 CFBDRN C[C@@]1(CCNC(=O)c2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000598215947 350216635 /nfs/dbraw/zinc/21/66/35/350216635.db2.gz OSJSQRFEGRSLDZ-GFCCVEGCSA-N 0 0 284.262 2.760 20 5 CFBDRN CNc1ccc(C(=O)NCCCC2CCC2)cc1[N+](=O)[O-] ZINC000598215916 350216894 /nfs/dbraw/zinc/21/68/94/350216894.db2.gz NMKVVQVICVNJOY-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN C[C@]1(CCNC(=O)c2csc([N+](=O)[O-])c2)CC1(F)F ZINC000598214864 350217125 /nfs/dbraw/zinc/21/71/25/350217125.db2.gz GUKDHLRJDCLUIZ-JTQLQIEISA-N 0 0 290.291 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCCCC2CCC2)c1 ZINC000598217327 350217291 /nfs/dbraw/zinc/21/72/91/350217291.db2.gz YINBEEHLGSIOQB-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@@H](CCF)C1 ZINC000598225050 350219939 /nfs/dbraw/zinc/21/99/39/350219939.db2.gz KPPLFKFANGFKSJ-JTQLQIEISA-N 0 0 298.289 2.946 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000598233170 350221684 /nfs/dbraw/zinc/22/16/84/350221684.db2.gz LMHUHGYGZYPTHM-ZDUSSCGKSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H](NC(=O)CCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598235549 350222421 /nfs/dbraw/zinc/22/24/21/350222421.db2.gz YFNBTDOVIYRHKR-GFCCVEGCSA-N 0 0 292.335 2.669 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000414733685 233339736 /nfs/dbraw/zinc/33/97/36/233339736.db2.gz CUMMCABEEHPKEH-CQSZACIVSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1cccc(C(=O)NCCCOC(C)(C)C)c1[N+](=O)[O-] ZINC000598190481 350210902 /nfs/dbraw/zinc/21/09/02/350210902.db2.gz JUTFFTLTNNYMPZ-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN CC(C)(F)CCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000598197834 350211769 /nfs/dbraw/zinc/21/17/69/350211769.db2.gz AKIOVZHCWHHIQL-UHFFFAOYSA-N 0 0 272.251 2.602 20 5 CFBDRN CC(C)(F)CCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000598197918 350211786 /nfs/dbraw/zinc/21/17/86/350211786.db2.gz FKTWZTQUGAVJPZ-UHFFFAOYSA-N 0 0 272.251 2.602 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]2C[C@H]21)c1cc(F)cc([N+](=O)[O-])c1 ZINC000598205899 350213154 /nfs/dbraw/zinc/21/31/54/350213154.db2.gz BGGFULBAYQOCQJ-PKFCDNJMSA-N 0 0 292.310 2.900 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000598208262 350213985 /nfs/dbraw/zinc/21/39/85/350213985.db2.gz LCNQSIIQHDMWPR-IPYPFGDCSA-N 0 0 288.347 2.690 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Sc1ccc(O)cc1 ZINC000091549618 180188923 /nfs/dbraw/zinc/18/89/23/180188923.db2.gz ZGEGKLDCTCIZCA-UHFFFAOYSA-N 0 0 279.321 2.976 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H](C)O[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000598298589 350229112 /nfs/dbraw/zinc/22/91/12/350229112.db2.gz ITPMIYXYPQIHKC-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN COC(=O)c1ccc(OCCC2CC2)cc1[N+](=O)[O-] ZINC000614088720 539776230 /nfs/dbraw/zinc/77/62/30/539776230.db2.gz VDMWYBZANUTMDR-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000598329340 350236205 /nfs/dbraw/zinc/23/62/05/350236205.db2.gz KRJXJIKWBDPRIF-ONGXEEELSA-N 0 0 292.360 2.799 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCC(F)CC1 ZINC000598367864 350251367 /nfs/dbraw/zinc/25/13/67/350251367.db2.gz WKPRKRCBXXZVDO-IDKOKCKLSA-N 0 0 283.303 2.570 20 5 CFBDRN CC(C)[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598379828 350252844 /nfs/dbraw/zinc/25/28/44/350252844.db2.gz AGCPOPGJRZEUEB-OAHLLOKOSA-N 0 0 276.336 2.688 20 5 CFBDRN CCc1nn(C)c(NCC2(C(C)C)CC2)c1[N+](=O)[O-] ZINC000091736133 180243214 /nfs/dbraw/zinc/24/32/14/180243214.db2.gz KCEGIBTWAUDMBL-UHFFFAOYSA-N 0 0 266.345 2.739 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000598385852 350254597 /nfs/dbraw/zinc/25/45/97/350254597.db2.gz KWJQHTCNSGMLJP-HNNXBMFYSA-N 0 0 291.351 2.702 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000598386293 350254989 /nfs/dbraw/zinc/25/49/89/350254989.db2.gz NCRMCFKDDNTOKL-LLVKDONJSA-N 0 0 254.311 2.575 20 5 CFBDRN Cc1ccc(C(=O)N[C@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598386939 350255036 /nfs/dbraw/zinc/25/50/36/350255036.db2.gz VBINSZKCRKDQEQ-CQSZACIVSA-N 0 0 262.309 2.822 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000598388471 350255184 /nfs/dbraw/zinc/25/51/84/350255184.db2.gz YCGBIHNQKNTNRO-OAHLLOKOSA-N 0 0 287.319 2.995 20 5 CFBDRN CCc1ccc(C(=O)N2CC3CC2(C)C3)cc1[N+](=O)[O-] ZINC000598570739 350284123 /nfs/dbraw/zinc/28/41/23/350284123.db2.gz JCAOJYMQYQKIGA-UHFFFAOYSA-N 0 0 274.320 2.782 20 5 CFBDRN CC1(C)CC(NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000598501467 350277943 /nfs/dbraw/zinc/27/79/43/350277943.db2.gz BPFDXGPLVTXIDS-UHFFFAOYSA-N 0 0 254.311 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC1=CCSC1 ZINC000598514257 350279470 /nfs/dbraw/zinc/27/94/70/350279470.db2.gz LJBQHTMIKYYCBE-UHFFFAOYSA-N 0 0 292.360 2.648 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(Cc3ccsc3)C2)c(F)c1 ZINC000598642641 350299775 /nfs/dbraw/zinc/29/97/75/350299775.db2.gz CQFQVOWAZRPCGV-UHFFFAOYSA-N 0 0 293.323 2.869 20 5 CFBDRN Cc1cnc(N[C@H](C)c2nccc(C)n2)c([N+](=O)[O-])c1 ZINC000598641187 350300033 /nfs/dbraw/zinc/30/00/33/350300033.db2.gz PQTXVAZSHZRKEX-SNVBAGLBSA-N 0 0 273.296 2.570 20 5 CFBDRN C[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2Cl)C[C@H]1CO ZINC000598645256 350300690 /nfs/dbraw/zinc/30/06/90/350300690.db2.gz BWONHQXHDYFWDO-JQWIXIFHSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@@H]1CO ZINC000598648328 350301577 /nfs/dbraw/zinc/30/15/77/350301577.db2.gz GEEBVHIFKVWFBG-ZYHUDNBSSA-N 0 0 298.770 2.699 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC000598595687 350289200 /nfs/dbraw/zinc/28/92/00/350289200.db2.gz FXRBRHQHMZBHRZ-BDAKNGLRSA-N 0 0 281.312 2.623 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC000598595688 350289322 /nfs/dbraw/zinc/28/93/22/350289322.db2.gz FXRBRHQHMZBHRZ-DTWKUNHWSA-N 0 0 281.312 2.623 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@]23C[C@@H]2CCC3)c1 ZINC000598854823 350340783 /nfs/dbraw/zinc/34/07/83/350340783.db2.gz HHTCWGIQHPBXKA-XPTSAGLGSA-N 0 0 292.360 2.989 20 5 CFBDRN CCNc1ccc(C(=O)NCCC(C)(C)F)cc1[N+](=O)[O-] ZINC000598866136 350342421 /nfs/dbraw/zinc/34/24/21/350342421.db2.gz NNTQTZJSSIWESE-UHFFFAOYSA-N 0 0 297.330 2.895 20 5 CFBDRN CC[C@](C)(NC(=O)c1cccc(OC)c1[N+](=O)[O-])C1CC1 ZINC000598868462 350342471 /nfs/dbraw/zinc/34/24/71/350342471.db2.gz BDUXMNQTRAYXNR-HNNXBMFYSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1c(NC(=O)c2cnn(C3CC3)c2)cccc1[N+](=O)[O-] ZINC000598958396 350348785 /nfs/dbraw/zinc/34/87/85/350348785.db2.gz OEZPYJIKUZESLO-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN CCOCCN(C)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000599062221 350368383 /nfs/dbraw/zinc/36/83/83/350368383.db2.gz KPHNKVODMPFPFY-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CC(=O)c1ccc(NCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000599028728 350361123 /nfs/dbraw/zinc/36/11/23/350361123.db2.gz WOTVMYSMNXAJBH-UHFFFAOYSA-N 0 0 262.187 2.772 20 5 CFBDRN O=[N+]([O-])c1c(NC2CCOCC2)ccc2cnccc21 ZINC000599025005 350361262 /nfs/dbraw/zinc/36/12/62/350361262.db2.gz WIAMFVKMHNGJQZ-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000092017317 180307838 /nfs/dbraw/zinc/30/78/38/180307838.db2.gz JEFRSIBOBZFSSA-JOVKLMRISA-N 0 0 276.336 2.717 20 5 CFBDRN CO[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000598803728 350331011 /nfs/dbraw/zinc/33/10/11/350331011.db2.gz SSHHAUJXPLKIBB-CYBMUJFWSA-N 0 0 278.308 2.739 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000598805345 350331076 /nfs/dbraw/zinc/33/10/76/350331076.db2.gz IMOUGAJDKOUFBZ-DCQANWLSSA-N 0 0 286.331 2.919 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)C1CC2(CC2)C1 ZINC000598809425 350331810 /nfs/dbraw/zinc/33/18/10/350331810.db2.gz WKDJNKMZFMSKPX-UHFFFAOYSA-N 0 0 264.256 2.863 20 5 CFBDRN C/C=C\CN[C@H](c1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000599081457 350372658 /nfs/dbraw/zinc/37/26/58/350372658.db2.gz LITQBEBKXDSXMS-NQHOJNORSA-N 0 0 286.335 2.583 20 5 CFBDRN Cc1ccnc([C@H]2CCN(c3ncc([N+](=O)[O-])cc3C)C2)c1 ZINC000599098947 350375864 /nfs/dbraw/zinc/37/58/64/350375864.db2.gz DXJACDRIBBENNB-ZDUSSCGKSA-N 0 0 298.346 2.996 20 5 CFBDRN CCO[C@@H](C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000599101922 350376048 /nfs/dbraw/zinc/37/60/48/350376048.db2.gz KSVQPMXPTDMRSR-BXUZGUMPSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1ccnc([C@H]2CCN(c3ccc([N+](=O)[O-])cn3)C2)c1 ZINC000599100112 350376126 /nfs/dbraw/zinc/37/61/26/350376126.db2.gz JVPICHYWIQVFMM-LBPRGKRZSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1ccnc([C@H]2CCN(c3ncc([N+](=O)[O-])s3)C2)c1 ZINC000599102694 350376446 /nfs/dbraw/zinc/37/64/46/350376446.db2.gz SOFZGALECZMOSJ-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@H]2C[C@H]2C1 ZINC000599110027 350377320 /nfs/dbraw/zinc/37/73/20/350377320.db2.gz CNYIZXBSUSOUPS-JQWIXIFHSA-N 0 0 269.304 2.989 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c1[N+](=O)[O-] ZINC000599114892 350377339 /nfs/dbraw/zinc/37/73/39/350377339.db2.gz HZSVWZGJWMHDNH-BBBLOLIVSA-N 0 0 263.297 2.544 20 5 CFBDRN C[C@@H]1CN(c2ncccc2[N+](=O)[O-])C[C@@H](C)C1(F)F ZINC000599118176 350378337 /nfs/dbraw/zinc/37/83/37/350378337.db2.gz QQMHUAKYSFLEPH-RKDXNWHRSA-N 0 0 271.267 2.717 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC[C@@H]1CCCOC1 ZINC000599117862 350378655 /nfs/dbraw/zinc/37/86/55/350378655.db2.gz ZGVJBTWIRUQEHY-JTQLQIEISA-N 0 0 268.288 2.963 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2F)C[C@H](C)C1(F)F ZINC000599119543 350379350 /nfs/dbraw/zinc/37/93/50/350379350.db2.gz SOOWXIWGEXONLT-YUMQZZPRSA-N 0 0 289.257 2.856 20 5 CFBDRN COCCC1CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000599121418 350379650 /nfs/dbraw/zinc/37/96/50/350379650.db2.gz YGGPTESHCJLFBD-UHFFFAOYSA-N 0 0 270.354 2.909 20 5 CFBDRN CCc1nn(C)c(N2CCCC(F)(F)CC2)c1[N+](=O)[O-] ZINC000599134443 350381721 /nfs/dbraw/zinc/38/17/21/350381721.db2.gz UQTAEHBJQYPZNK-UHFFFAOYSA-N 0 0 288.298 2.516 20 5 CFBDRN Cc1cc(N[C@H](CO)CCC(C)C)ncc1[N+](=O)[O-] ZINC000599136914 350382383 /nfs/dbraw/zinc/38/23/83/350382383.db2.gz DEQACXNDGBYOFL-NSHDSACASA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cc(N[C@@H](CO)CCC(C)C)ncc1[N+](=O)[O-] ZINC000599136913 350382528 /nfs/dbraw/zinc/38/25/28/350382528.db2.gz DEQACXNDGBYOFL-LLVKDONJSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC(=O)CC(C)(C)C2)n1 ZINC000599137462 350382545 /nfs/dbraw/zinc/38/25/45/350382545.db2.gz OFJIIWYCSKYSOC-UHFFFAOYSA-N 0 0 291.351 2.802 20 5 CFBDRN Cc1cc(Cc2noc(C34CC(C3)C4)n2)ccc1[N+](=O)[O-] ZINC000599140245 350383059 /nfs/dbraw/zinc/38/30/59/350383059.db2.gz ANGOPRRNAMPBGO-UHFFFAOYSA-N 0 0 285.303 2.929 20 5 CFBDRN COc1cc(N2CC3(C2)CCCO3)c(Cl)cc1[N+](=O)[O-] ZINC000599144670 350384300 /nfs/dbraw/zinc/38/43/00/350384300.db2.gz MMJJQDSYYVRSGE-UHFFFAOYSA-N 0 0 298.726 2.626 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000599148166 350385404 /nfs/dbraw/zinc/38/54/04/350385404.db2.gz XMJNKVANYHMASW-KOLCDFICSA-N 0 0 271.704 2.623 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1sccc1[N+](=O)[O-] ZINC000599162956 350386962 /nfs/dbraw/zinc/38/69/62/350386962.db2.gz BVPNJNWDFWDGRI-JIOCBJNQSA-N 0 0 256.327 2.880 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000599166101 350388100 /nfs/dbraw/zinc/38/81/00/350388100.db2.gz VHQJXQKNUOVYLE-UVMAFCGOSA-N 0 0 285.731 2.867 20 5 CFBDRN CCO[C@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C(C)C ZINC000599166235 350388111 /nfs/dbraw/zinc/38/81/11/350388111.db2.gz XJBRMZPOAKNMLM-JTQLQIEISA-N 0 0 281.268 2.971 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000599172550 350388679 /nfs/dbraw/zinc/38/86/79/350388679.db2.gz PBUOXHXFELZRKE-GNXNZQSNSA-N 0 0 278.356 2.968 20 5 CFBDRN C/C=C/CNc1ccc([N+](=O)[O-])c(C(=O)OCC)c1 ZINC000599172700 350388704 /nfs/dbraw/zinc/38/87/04/350388704.db2.gz RKBZOASPFLTKBN-HWKANZROSA-N 0 0 264.281 2.760 20 5 CFBDRN CCc1nn(C)c(NC[C@]2(C)C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000599170649 350388753 /nfs/dbraw/zinc/38/87/53/350388753.db2.gz DBLXEJYHNIQRSS-WDAIWFPHSA-N 0 0 278.356 2.739 20 5 CFBDRN C/C=C\CNc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000599171409 350388775 /nfs/dbraw/zinc/38/87/75/350388775.db2.gz GDZLOULEUHMXDK-PLNGDYQASA-N 0 0 264.281 2.678 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H]2CCC[C@]2(CO)C1 ZINC000599174626 350389362 /nfs/dbraw/zinc/38/93/62/350389362.db2.gz WCXJDAMDUFXINW-SWLSCSKDSA-N 0 0 276.336 2.502 20 5 CFBDRN COc1cc(N[C@H]2CO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179768 350390318 /nfs/dbraw/zinc/39/03/18/350390318.db2.gz HOLVAPCCHDSIMM-DGCLKSJQSA-N 0 0 278.308 2.583 20 5 CFBDRN Cc1cccc(N[C@H]2CO[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599179017 350390459 /nfs/dbraw/zinc/39/04/59/350390459.db2.gz DNHQGLRMGHLEMO-DGCLKSJQSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000599178984 350390471 /nfs/dbraw/zinc/39/04/71/350390471.db2.gz DDKMIFSBGSDCPL-IAQYHMDHSA-N 0 0 299.330 2.544 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000599181080 350390641 /nfs/dbraw/zinc/39/06/41/350390641.db2.gz PNONVXOTZNXLMJ-BXKDBHETSA-N 0 0 266.272 2.713 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc([C@H]2CC[C@H](C)O2)no1 ZINC000599180752 350390767 /nfs/dbraw/zinc/39/07/67/350390767.db2.gz PFPKNEYOXIZMGZ-WRWORJQWSA-N 0 0 290.279 2.588 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CO[C@H](C3CC3)C2)c(F)c1 ZINC000599181127 350390842 /nfs/dbraw/zinc/39/08/42/350390842.db2.gz QHDQETYETVZPOJ-PELKAZGASA-N 0 0 284.262 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CO[C@H](C3CC3)C2)s1 ZINC000599180517 350391148 /nfs/dbraw/zinc/39/11/48/350391148.db2.gz KZIAPUYKCZZCKB-BDAKNGLRSA-N 0 0 254.311 2.636 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000599181709 350391287 /nfs/dbraw/zinc/39/12/87/350391287.db2.gz SNOCUEBGDGOXDZ-WFASDCNBSA-N 0 0 299.330 2.544 20 5 CFBDRN COc1cc(N[C@H]2CO[C@H](C3CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000599181950 350391714 /nfs/dbraw/zinc/39/17/14/350391714.db2.gz VKIRFWASKZWZPR-RNCFNFMXSA-N 0 0 296.298 2.722 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000599196282 350394121 /nfs/dbraw/zinc/39/41/21/350394121.db2.gz XQUHYJHATQYEQG-XYPYZODXSA-N 0 0 273.292 2.732 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000599201032 350395069 /nfs/dbraw/zinc/39/50/69/350395069.db2.gz ZATVXHAMRWVISP-OTDNITJGSA-N 0 0 276.340 2.755 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])ccc1Cl)C1CCOCC1 ZINC000092265616 180355201 /nfs/dbraw/zinc/35/52/01/180355201.db2.gz IROMVMMTSHVCFK-UHFFFAOYSA-N 0 0 299.710 2.623 20 5 CFBDRN COCCC1CCN(Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000599507287 350448145 /nfs/dbraw/zinc/44/81/45/350448145.db2.gz RPEQXMMMLCAFPP-UHFFFAOYSA-N 0 0 296.342 2.982 20 5 CFBDRN CCO[C@H](C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000599744957 350489919 /nfs/dbraw/zinc/48/99/19/350489919.db2.gz UCADXMMWFPLSLP-YGRLFVJLSA-N 0 0 292.335 2.587 20 5 CFBDRN CCO[C@@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C(C)C ZINC000599746008 350490851 /nfs/dbraw/zinc/49/08/51/350490851.db2.gz YVXOQJYSJQHUQP-SMDDNHRTSA-N 0 0 294.351 2.833 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN(CC(F)F)C1CC1 ZINC000106871651 186146123 /nfs/dbraw/zinc/14/61/23/186146123.db2.gz JGAVSWDHHQGZNZ-UHFFFAOYSA-N 0 0 286.278 2.703 20 5 CFBDRN COC1CC(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000599637991 350469955 /nfs/dbraw/zinc/46/99/55/350469955.db2.gz YRDKFNSPFULZLV-PKSQDBQZSA-N 0 0 292.335 2.505 20 5 CFBDRN CCC[C@H](OCC)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000599790672 350493275 /nfs/dbraw/zinc/49/32/75/350493275.db2.gz IBPAKVVSUQDJNV-ZDUSSCGKSA-N 0 0 296.323 2.747 20 5 CFBDRN CO[C@](C)(C(=O)Nc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000291055626 197901922 /nfs/dbraw/zinc/90/19/22/197901922.db2.gz CNKVHOCYIBBOPV-AWEZNQCLSA-N 0 0 278.308 2.657 20 5 CFBDRN CC1(C)Cc2ccccc2N1CCn1cc([N+](=O)[O-])cn1 ZINC000599660905 350474962 /nfs/dbraw/zinc/47/49/62/350474962.db2.gz PTQPAAHTCXODEY-UHFFFAOYSA-N 0 0 286.335 2.633 20 5 CFBDRN CC(C)(C)c1noc(OCc2ccc([N+](=O)[O-])cc2)n1 ZINC000107419576 186174028 /nfs/dbraw/zinc/17/40/28/186174028.db2.gz BFQHMPCRLJIEIW-UHFFFAOYSA-N 0 0 277.280 2.854 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ccncc12)N[C@H]1CC12CC2 ZINC000599838551 350495915 /nfs/dbraw/zinc/49/59/15/350495915.db2.gz KAEFELKYQFUUCU-ZDUSSCGKSA-N 0 0 298.302 2.817 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@@H]2[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000291067635 197906429 /nfs/dbraw/zinc/90/64/29/197906429.db2.gz GRGPVMJZHCTTNF-OCCSQVGLSA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccccc1 ZINC000107152108 186160268 /nfs/dbraw/zinc/16/02/68/186160268.db2.gz YMYPDTUTVVPWHJ-SNVBAGLBSA-N 0 0 285.303 2.789 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000600171911 350529629 /nfs/dbraw/zinc/52/96/29/350529629.db2.gz YCPFBBXDZYRYOG-HZSPNIEDSA-N 0 0 288.347 2.690 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])OCC ZINC000600174265 350531004 /nfs/dbraw/zinc/53/10/04/350531004.db2.gz KBVRKNNYJQFQCJ-LBPRGKRZSA-N 0 0 294.351 2.838 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC(C)(CC)C2)c1 ZINC000291123705 197924026 /nfs/dbraw/zinc/92/40/26/197924026.db2.gz VJSWNGXAWQRVNJ-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000600187137 350535050 /nfs/dbraw/zinc/53/50/50/350535050.db2.gz ATHZJWCWJNGGDE-UWVGGRQHSA-N 0 0 298.289 2.958 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC(Cc2ccco2)C1 ZINC000600198345 350537623 /nfs/dbraw/zinc/53/76/23/350537623.db2.gz VPOKJNHTXABMGI-UHFFFAOYSA-N 0 0 272.304 2.862 20 5 CFBDRN Cc1nc(CN(C)CCc2ccccc2[N+](=O)[O-])[nH]c1C ZINC000600159029 350524391 /nfs/dbraw/zinc/52/43/91/350524391.db2.gz FCSOMHTWJGQMTC-UHFFFAOYSA-N 0 0 288.351 2.609 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)CC(C)C ZINC000416049387 233527037 /nfs/dbraw/zinc/52/70/37/233527037.db2.gz XTUBAKBCWUBBAI-LLVKDONJSA-N 0 0 298.314 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCc2cc[nH]n2)cc1 ZINC000600567790 350572037 /nfs/dbraw/zinc/57/20/37/350572037.db2.gz DMPWSMNIHLBYJI-UHFFFAOYSA-N 0 0 279.321 2.630 20 5 CFBDRN CCC(C)(C)OC1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000600267587 350546222 /nfs/dbraw/zinc/54/62/22/350546222.db2.gz JWAIZLQIUYQFJN-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000600446480 350556207 /nfs/dbraw/zinc/55/62/07/350556207.db2.gz NBXNJZYNJKPNSD-GYAIJENASA-N 0 0 298.364 2.506 20 5 CFBDRN C/C=C\CNC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000600491507 350559180 /nfs/dbraw/zinc/55/91/80/350559180.db2.gz LDNDSOHEAYUQCB-XOULXFPDSA-N 0 0 280.349 2.768 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCCc1cnoc1 ZINC000600507895 350560152 /nfs/dbraw/zinc/56/01/52/350560152.db2.gz BEECNXDKHUXZHK-UHFFFAOYSA-N 0 0 278.264 2.603 20 5 CFBDRN CCO[C@H](COc1ccc([N+](=O)[O-])c(OC)c1)C1CC1 ZINC000600528939 350565260 /nfs/dbraw/zinc/56/52/60/350565260.db2.gz UCWDCWADDQNBJV-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN COC(=O)CCCCOc1cc(C)c([N+](=O)[O-])cc1F ZINC000600535622 350567046 /nfs/dbraw/zinc/56/70/46/350567046.db2.gz PPCBVIZUWDCGCS-UHFFFAOYSA-N 0 0 285.271 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(CCn2ccnc2C2CC2)cc1 ZINC000600611262 350580246 /nfs/dbraw/zinc/58/02/46/350580246.db2.gz ORFHLTAPXLJZMW-UHFFFAOYSA-N 0 0 257.293 2.911 20 5 CFBDRN CCO[C@H](CSCc1onc(C)c1[N+](=O)[O-])C1CC1 ZINC000600638016 350589117 /nfs/dbraw/zinc/58/91/17/350589117.db2.gz PXDWAYFZXQKBJS-SNVBAGLBSA-N 0 0 286.353 2.940 20 5 CFBDRN CC(C)(C)OC(=O)Oc1ccc(C=O)cc1[N+](=O)[O-] ZINC000108641347 186224752 /nfs/dbraw/zinc/22/47/52/186224752.db2.gz OCRIGWIHUPCJQB-UHFFFAOYSA-N 0 0 267.237 2.721 20 5 CFBDRN CC(C)(C)c1noc(-c2n[nH]c3ccc([N+](=O)[O-])cc32)n1 ZINC000109520812 186259504 /nfs/dbraw/zinc/25/95/04/186259504.db2.gz ICMKZMGUAKMCDE-UHFFFAOYSA-N 0 0 287.279 2.819 20 5 CFBDRN CC(C)Cc1noc(CNc2ccccc2[N+](=O)[O-])n1 ZINC000109563464 186263394 /nfs/dbraw/zinc/26/33/94/186263394.db2.gz FSHBIKYKPFUSEX-UHFFFAOYSA-N 0 0 276.296 2.788 20 5 CFBDRN CCN(CC)C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000109741507 186270558 /nfs/dbraw/zinc/27/05/58/186270558.db2.gz BTMNYMRWOMWODE-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN O=C(NCc1ccco1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000109737809 186271202 /nfs/dbraw/zinc/27/12/02/186271202.db2.gz KRUUKRDUDCCISD-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CC(C)Nc1ccc(-c2cc([N+](=O)[O-])ccc2CO)cn1 ZINC000600783426 350610711 /nfs/dbraw/zinc/61/07/11/350610711.db2.gz VJVPPTFDSGWHGN-UHFFFAOYSA-N 0 0 287.319 2.969 20 5 CFBDRN CCCCN(CCOC)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000109352910 186249277 /nfs/dbraw/zinc/24/92/77/186249277.db2.gz RLNMZDNMXXTMRH-UHFFFAOYSA-N 0 0 295.339 2.875 20 5 CFBDRN O=C(NC[C@H]1CCCC12CC2)c1ccc([N+](=O)[O-])s1 ZINC000600802502 350614552 /nfs/dbraw/zinc/61/45/52/350614552.db2.gz PRBVZWBULXJEFC-SECBINFHSA-N 0 0 280.349 2.966 20 5 CFBDRN O=C1CCC(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1 ZINC000109479429 186254926 /nfs/dbraw/zinc/25/49/26/186254926.db2.gz YVRFINDAQMSSJE-UHFFFAOYSA-N 0 0 287.275 2.872 20 5 CFBDRN C/C=C/C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000600808950 350615892 /nfs/dbraw/zinc/61/58/92/350615892.db2.gz MPLAXAWAUUCSOU-VUDBWIFFSA-N 0 0 277.324 2.741 20 5 CFBDRN CC(C)(C)Cn1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000600970961 350639515 /nfs/dbraw/zinc/63/95/15/350639515.db2.gz RMLRACFXNYZPQU-UHFFFAOYSA-N 0 0 290.323 2.811 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H](C)O[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000601003203 350644345 /nfs/dbraw/zinc/64/43/45/350644345.db2.gz JDNZQGKPGATKBK-DEKYYXRVSA-N 0 0 292.335 2.586 20 5 CFBDRN O=C(NCC(F)(F)F)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110195744 186288266 /nfs/dbraw/zinc/28/82/66/186288266.db2.gz WZNYJCBPVPHODP-UHFFFAOYSA-N 0 0 282.605 2.540 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C1CSC1 ZINC000601010621 350645565 /nfs/dbraw/zinc/64/55/65/350645565.db2.gz IJMYTSDQPYRMHD-UHFFFAOYSA-N 0 0 294.376 2.695 20 5 CFBDRN CCCN(C)C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601020826 350646675 /nfs/dbraw/zinc/64/66/75/350646675.db2.gz FLNUYKDBPYWKJB-UHFFFAOYSA-N 0 0 276.336 2.885 20 5 CFBDRN CN(CC1CCC1)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000110467360 186298234 /nfs/dbraw/zinc/29/82/34/186298234.db2.gz MYZPAECRGPCMSE-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CCCN(CC)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601124849 350675146 /nfs/dbraw/zinc/67/51/46/350675146.db2.gz UXZUOIRLPUBINP-UHFFFAOYSA-N 0 0 280.250 2.583 20 5 CFBDRN CCCc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2F)n[nH]1 ZINC000110849008 186329247 /nfs/dbraw/zinc/32/92/47/186329247.db2.gz WJUQAFSSPAVHRJ-UHFFFAOYSA-N 0 0 292.270 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(C3CCC3)no2)c(F)c1 ZINC000601075919 350659597 /nfs/dbraw/zinc/65/95/97/350659597.db2.gz WKTNJFUDVFYCSS-UHFFFAOYSA-N 0 0 293.254 2.963 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COc1ccc([N+](=O)[O-])cc1F ZINC000601075822 350659609 /nfs/dbraw/zinc/65/96/09/350659609.db2.gz VQKPYZWNCUHRGZ-DTWKUNHWSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1OCC[C@H]1Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000601076889 350660460 /nfs/dbraw/zinc/66/04/60/350660460.db2.gz SNLYUGURSADWAP-AAEUAGOBSA-N 0 0 287.319 2.883 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2CCO[C@H]2C)c([N+](=O)[O-])c1 ZINC000601079661 350660825 /nfs/dbraw/zinc/66/08/25/350660825.db2.gz ARROZQHHSULVAI-JQWIXIFHSA-N 0 0 279.292 2.601 20 5 CFBDRN COc1cc(COc2ccc(C)c([N+](=O)[O-])c2)sn1 ZINC000601079698 350661086 /nfs/dbraw/zinc/66/10/86/350661086.db2.gz BXIXGXUZIGKHHS-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN C[C@@H]1OCC[C@H]1Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000601079539 350661109 /nfs/dbraw/zinc/66/11/09/350661109.db2.gz AMWRVIWKOGHNDA-AAEUAGOBSA-N 0 0 287.319 2.883 20 5 CFBDRN Cc1nn(C[C@H]2CCO[C@H]2C)c2ccc([N+](=O)[O-])cc12 ZINC000601079904 350661626 /nfs/dbraw/zinc/66/16/26/350661626.db2.gz GAKRBZWFNBKDFG-WDEREUQCSA-N 0 0 275.308 2.678 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2CC(C)(C)CO2)n1 ZINC000601081107 350662125 /nfs/dbraw/zinc/66/21/25/350662125.db2.gz YGTVNHPADNQGTD-NSHDSACASA-N 0 0 280.324 2.801 20 5 CFBDRN CCCCC(=O)COc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000601083943 350663216 /nfs/dbraw/zinc/66/32/16/350663216.db2.gz RZEBQOIOIHQHJP-UHFFFAOYSA-N 0 0 266.297 2.745 20 5 CFBDRN C[C@@H]1OCC[C@H]1Cn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000601084721 350663783 /nfs/dbraw/zinc/66/37/83/350663783.db2.gz AFNWQCPKARZCBY-RYUDHWBXSA-N 0 0 287.319 2.883 20 5 CFBDRN CCOC(=O)CCSCc1csc([N+](=O)[O-])c1 ZINC000601096259 350667286 /nfs/dbraw/zinc/66/72/86/350667286.db2.gz SKWSNDKGXYXKSC-UHFFFAOYSA-N 0 0 275.351 2.843 20 5 CFBDRN CCCCNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601099149 350667424 /nfs/dbraw/zinc/66/74/24/350667424.db2.gz VTIDSHROENLMGW-UHFFFAOYSA-N 0 0 266.223 2.559 20 5 CFBDRN Cc1ccc(CCNc2ncc(F)cc2[N+](=O)[O-])o1 ZINC000601103549 350669110 /nfs/dbraw/zinc/66/91/10/350669110.db2.gz DFQUEQBMJYBCSG-UHFFFAOYSA-N 0 0 265.244 2.685 20 5 CFBDRN C[C@@H]1CCN(c2ccnc3ccc([N+](=O)[O-])cc32)C1 ZINC000601110617 350670597 /nfs/dbraw/zinc/67/05/97/350670597.db2.gz HCJYSDOPWWAAIP-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN C[C@H]1CCC[C@@H]1Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601114277 350671812 /nfs/dbraw/zinc/67/18/12/350671812.db2.gz OUELETQRUJNBAB-BQBZGAKWSA-N 0 0 292.261 2.948 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nccc3cc([N+](=O)[O-])ccc32)[C@H](C)O1 ZINC000601224050 350702050 /nfs/dbraw/zinc/70/20/50/350702050.db2.gz XLRWACJYSLBGFX-ISTVAULSSA-N 0 0 287.319 2.543 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H](C)O[C@H]1C ZINC000601223737 350702111 /nfs/dbraw/zinc/70/21/11/350702111.db2.gz MHFOVXJJUGKMHW-PKFCDNJMSA-N 0 0 280.324 2.719 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C(F)(F)F ZINC000601225554 350702817 /nfs/dbraw/zinc/70/28/17/350702817.db2.gz NTTFEWUPQDXBQF-SECBINFHSA-N 0 0 289.213 2.763 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)[C@H](C)O1 ZINC000601224116 350702872 /nfs/dbraw/zinc/70/28/72/350702872.db2.gz ZYQMRBQBDHTWBH-PTRXPTGYSA-N 0 0 277.324 2.541 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCO[C@H](C(F)F)CC1 ZINC000601230986 350703968 /nfs/dbraw/zinc/70/39/68/350703968.db2.gz GYRVDNAJPXGBOI-NSHDSACASA-N 0 0 290.241 2.594 20 5 CFBDRN CCOc1cc(N[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000111050450 186348528 /nfs/dbraw/zinc/34/85/28/186348528.db2.gz YGMKASIAIXQORL-NSHDSACASA-N 0 0 266.297 2.584 20 5 CFBDRN CC1(F)CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000601246249 350708282 /nfs/dbraw/zinc/70/82/82/350708282.db2.gz LGLPJESZARTZAU-UHFFFAOYSA-N 0 0 273.695 2.972 20 5 CFBDRN COc1cccc(N2CCC(C)(F)CC2)c1[N+](=O)[O-] ZINC000601245964 350708580 /nfs/dbraw/zinc/70/85/80/350708580.db2.gz HVGLHOCXWGBFON-UHFFFAOYSA-N 0 0 268.288 2.932 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](C1CCC1)[C@H]1CCCO1 ZINC000601249673 350709152 /nfs/dbraw/zinc/70/91/52/350709152.db2.gz HIJYXVANQXQNAY-CHWSQXEVSA-N 0 0 277.324 2.749 20 5 CFBDRN COc1cc(N[C@H]2CCCn3nccc32)ccc1[N+](=O)[O-] ZINC000601269647 350713117 /nfs/dbraw/zinc/71/31/17/350713117.db2.gz JYCNCCNWTKVPRA-NSHDSACASA-N 0 0 288.307 2.747 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1OC2CCC1CC2 ZINC000601273122 350714582 /nfs/dbraw/zinc/71/45/82/350714582.db2.gz QRJDXMVWIRZIDM-UHFFFAOYSA-N 0 0 252.245 2.797 20 5 CFBDRN CC(C)[C@H]1CCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601127629 350676112 /nfs/dbraw/zinc/67/61/12/350676112.db2.gz LPXJNMCYROZBEM-VIFPVBQESA-N 0 0 253.277 2.611 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)C[C@H](C)O1 ZINC000111008836 186342558 /nfs/dbraw/zinc/34/25/58/186342558.db2.gz UXUJLMZVPJEEGC-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@@H]1CCN(c2ncc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000601135452 350678002 /nfs/dbraw/zinc/67/80/02/350678002.db2.gz FEYSHCHOLRVGIB-RKDXNWHRSA-N 0 0 253.277 2.611 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601134765 350678402 /nfs/dbraw/zinc/67/84/02/350678402.db2.gz LOEFMQVTHVXMGX-SSDOTTSWSA-N 0 0 294.286 2.949 20 5 CFBDRN CCOCCN(C)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601136285 350679063 /nfs/dbraw/zinc/67/90/63/350679063.db2.gz CDAWRYOSMSWALS-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN COCC[C@@H]1CCCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601144960 350681273 /nfs/dbraw/zinc/68/12/73/350681273.db2.gz YKYKCAGHAKGUTN-NSHDSACASA-N 0 0 297.330 2.772 20 5 CFBDRN C[C@]12CCN(c3ncc(F)cc3[N+](=O)[O-])C[C@H]1C2(F)F ZINC000601168142 350687249 /nfs/dbraw/zinc/68/72/49/350687249.db2.gz PVPZUHGSYLHORZ-KOLCDFICSA-N 0 0 287.241 2.610 20 5 CFBDRN COc1cc(N(C)CCF)c(Cl)cc1[N+](=O)[O-] ZINC000601169699 350687411 /nfs/dbraw/zinc/68/74/11/350687411.db2.gz PVUDLMBEWVKIRX-UHFFFAOYSA-N 0 0 262.668 2.663 20 5 CFBDRN C[C@@]12CN(c3ncc(F)cc3[N+](=O)[O-])C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000601171977 350688689 /nfs/dbraw/zinc/68/86/89/350688689.db2.gz SJAYQOWMVJNYLI-YOLKCXPHSA-N 0 0 289.310 2.777 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1c1ncc(F)cc1[N+](=O)[O-] ZINC000601176281 350689663 /nfs/dbraw/zinc/68/96/63/350689663.db2.gz NEBQDDLTSALRIK-MRVPVSSYSA-N 0 0 253.277 2.754 20 5 CFBDRN C[C@@H]1CN(c2ncc(F)cc2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000601174632 350689745 /nfs/dbraw/zinc/68/97/45/350689745.db2.gz DNXLXVSSZPOFBB-VGMNWLOBSA-N 0 0 253.277 2.610 20 5 CFBDRN CC(C)(F)CNc1ncc(Br)cc1[N+](=O)[O-] ZINC000601206015 350697074 /nfs/dbraw/zinc/69/70/74/350697074.db2.gz MJYFYLHRJGJNMA-UHFFFAOYSA-N 0 0 292.108 2.912 20 5 CFBDRN CSC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000601207255 350697364 /nfs/dbraw/zinc/69/73/64/350697364.db2.gz CZTBBMXCPGZCJX-UHFFFAOYSA-N 0 0 252.339 2.927 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601213436 350699409 /nfs/dbraw/zinc/69/94/09/350699409.db2.gz DCIKGSCIODBZIY-SECBINFHSA-N 0 0 268.288 2.756 20 5 CFBDRN COc1cc(SCCCO)c(Cl)cc1[N+](=O)[O-] ZINC000601216376 350700356 /nfs/dbraw/zinc/70/03/56/350700356.db2.gz CITDQUORPHCYSH-UHFFFAOYSA-N 0 0 277.729 2.731 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC(CCF)CC1 ZINC000601217601 350700375 /nfs/dbraw/zinc/70/03/75/350700375.db2.gz KQUJVYWEHJQTFS-UHFFFAOYSA-N 0 0 284.335 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H](O)C(F)F)c(Cl)c1 ZINC000601221823 350701398 /nfs/dbraw/zinc/70/13/98/350701398.db2.gz ARWJYODHFOOOCV-SECBINFHSA-N 0 0 280.658 2.676 20 5 CFBDRN CCOC(=O)CCSc1ncc([N+](=O)[O-])cc1Cl ZINC000601221572 350701407 /nfs/dbraw/zinc/70/14/07/350701407.db2.gz PFKXIKMLWNRELR-UHFFFAOYSA-N 0 0 290.728 2.689 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601616696 350748994 /nfs/dbraw/zinc/74/89/94/350748994.db2.gz GKNPBTDBJFDBPV-UWVGGRQHSA-N 0 0 280.299 2.756 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1ccccc1[N+](=O)[O-] ZINC000601704966 350764841 /nfs/dbraw/zinc/76/48/41/350764841.db2.gz QAXAUVSLCHPHNB-LBPRGKRZSA-N 0 0 260.293 2.657 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCC(C)(C)F)c1 ZINC000601688901 350760595 /nfs/dbraw/zinc/76/05/95/350760595.db2.gz NWMUTPOFTZBEIG-UHFFFAOYSA-N 0 0 286.328 2.795 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1C[C@H]2CCC[C@@H]2C1 ZINC000601306140 350720855 /nfs/dbraw/zinc/72/08/55/350720855.db2.gz BENXEQIIYSXNKI-NXEZZACHSA-N 0 0 278.283 2.606 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)[C@@H](C)O1 ZINC000601354729 350725921 /nfs/dbraw/zinc/72/59/21/350725921.db2.gz UYAWSGNGGQDGTP-KBVBSXBZSA-N 0 0 289.291 2.926 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601361967 350726561 /nfs/dbraw/zinc/72/65/61/350726561.db2.gz GRHDOEBCGSLBSJ-SECBINFHSA-N 0 0 268.288 2.898 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CCCC23CC3)cccc1[N+](=O)[O-] ZINC000601703980 350765184 /nfs/dbraw/zinc/76/51/84/350765184.db2.gz IKIUAGKPOMFYHN-AWEZNQCLSA-N 0 0 288.347 2.895 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C[C@@H]1F ZINC000601413960 350730902 /nfs/dbraw/zinc/73/09/02/350730902.db2.gz MHBYTUOEOBPEOU-WPRPVWTQSA-N 0 0 284.262 2.554 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H](C)O[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000601410334 350730928 /nfs/dbraw/zinc/73/09/28/350730928.db2.gz CCHPASBYDGOGMX-DCQANWLSSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601546155 350738781 /nfs/dbraw/zinc/73/87/81/350738781.db2.gz ANCAWLADGWMTEH-ZJUUUORDSA-N 0 0 280.299 2.900 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCCC23CC3)cc1[N+](=O)[O-] ZINC000601706213 350765462 /nfs/dbraw/zinc/76/54/62/350765462.db2.gz UWMMVIKMCXAVQW-CYBMUJFWSA-N 0 0 289.335 2.699 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC23CC3)c1 ZINC000601706467 350765731 /nfs/dbraw/zinc/76/57/31/350765731.db2.gz VOEMWAARIVNKIB-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000601706057 350765787 /nfs/dbraw/zinc/76/57/87/350765787.db2.gz RXDDBKASHZAWQE-LBPRGKRZSA-N 0 0 278.283 2.796 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC(CCF)CC1 ZINC000601745124 350770734 /nfs/dbraw/zinc/77/07/34/350770734.db2.gz RITHSSXTOOOLTQ-UHFFFAOYSA-N 0 0 286.328 2.868 20 5 CFBDRN CCC(C)(C)CCNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000601744661 350770828 /nfs/dbraw/zinc/77/08/28/350770828.db2.gz MMIIVZZEYKBGSS-UHFFFAOYSA-N 0 0 254.286 2.744 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@]12C[C@@H]1CCCC2 ZINC000601771990 350774054 /nfs/dbraw/zinc/77/40/54/350774054.db2.gz HGNRQBZXTBVHFU-NHYWBVRUSA-N 0 0 274.320 2.966 20 5 CFBDRN COc1cc(C(=O)N[C@@]23C[C@@H]2CCCC3)ccc1[N+](=O)[O-] ZINC000601779785 350775065 /nfs/dbraw/zinc/77/50/65/350775065.db2.gz YAXYKDLMDNPTMZ-NHYWBVRUSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1c(C(=O)N[C@@]23C[C@@H]2CCCC3)cccc1[N+](=O)[O-] ZINC000601778841 350775081 /nfs/dbraw/zinc/77/50/81/350775081.db2.gz XDIQQISEWYLRRU-NHYWBVRUSA-N 0 0 274.320 2.966 20 5 CFBDRN C[C@H](CN(C)c1ncc([N+](=O)[O-])cn1)C(C)(C)C ZINC000601979992 350822688 /nfs/dbraw/zinc/82/26/88/350822688.db2.gz AEVZFHHCVKGMPX-SECBINFHSA-N 0 0 252.318 2.503 20 5 CFBDRN CC1(F)CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)CC1 ZINC000601868479 350794579 /nfs/dbraw/zinc/79/45/79/350794579.db2.gz MWZLTTGTBAWUEM-UHFFFAOYSA-N 0 0 294.326 2.878 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000601875929 350796743 /nfs/dbraw/zinc/79/67/43/350796743.db2.gz ZFGUIDLCNOKSSE-SNVBAGLBSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSC[C@@H]1CCO[C@@H](C)C1 ZINC000601917358 350801606 /nfs/dbraw/zinc/80/16/06/350801606.db2.gz IAUDAUDLRRSBHH-CMPLNLGQSA-N 0 0 299.396 2.648 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCC1CC1 ZINC000601918033 350801994 /nfs/dbraw/zinc/80/19/94/350801994.db2.gz OPALUHGVKYIFEU-UHFFFAOYSA-N 0 0 255.343 2.633 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCC[C@H]1CCOC1 ZINC000601918309 350802156 /nfs/dbraw/zinc/80/21/56/350802156.db2.gz UKQAWUHWHQYPIX-LBPRGKRZSA-N 0 0 299.396 2.650 20 5 CFBDRN C[C@H]1OCC[C@H]1Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000601921666 350802808 /nfs/dbraw/zinc/80/28/08/350802808.db2.gz DRXHGZAZSAOVHM-MNOVXSKESA-N 0 0 260.293 2.975 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1cc([N+](=O)[O-])cn1C)C(C)(C)C ZINC000601924244 350803717 /nfs/dbraw/zinc/80/37/17/350803717.db2.gz YSLYETJLIOUNGE-JTQLQIEISA-N 0 0 281.356 2.688 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H]1CCO[C@H]1C ZINC000601927146 350804432 /nfs/dbraw/zinc/80/44/32/350804432.db2.gz MROIVERMMDWWIM-QWRGUYRKSA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1N1N=C2CCC[C@H]2CC1=O ZINC000601937125 350809307 /nfs/dbraw/zinc/80/93/07/350809307.db2.gz YZYHNPWRXSJCFO-JTQLQIEISA-N 0 0 273.292 2.796 20 5 CFBDRN CC(C)=C(C)CC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000602043342 350836744 /nfs/dbraw/zinc/83/67/44/350836744.db2.gz DFZKAUITIGYYRO-UHFFFAOYSA-N 0 0 291.351 2.869 20 5 CFBDRN C[C@@H](C1CC1)N(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000602094778 350840004 /nfs/dbraw/zinc/84/00/04/350840004.db2.gz WDLWOHDWLCWQAQ-ZETCQYMHSA-N 0 0 266.326 2.539 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1OC2CCC1CC2 ZINC000602215807 350852645 /nfs/dbraw/zinc/85/26/45/350852645.db2.gz ICOHTZXSCFEPLW-UHFFFAOYSA-N 0 0 296.710 2.947 20 5 CFBDRN C[C@H](CN(C)C(=O)c1ccc([N+](=O)[O-])cn1)C(C)(C)C ZINC000602216554 350852764 /nfs/dbraw/zinc/85/27/64/350852764.db2.gz BHFNYXFFKYETMT-SNVBAGLBSA-N 0 0 279.340 2.744 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1ccc([N+](=O)[O-])n1C)C(C)(C)C ZINC000602219190 350853399 /nfs/dbraw/zinc/85/33/99/350853399.db2.gz XWBRNIRWEAYMCJ-JTQLQIEISA-N 0 0 281.356 2.688 20 5 CFBDRN CCO[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000602230204 350854109 /nfs/dbraw/zinc/85/41/09/350854109.db2.gz KIJFKRDNIVMMSD-LBPRGKRZSA-N 0 0 266.297 2.594 20 5 CFBDRN CCOC(C)(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000602226693 350854274 /nfs/dbraw/zinc/85/42/74/350854274.db2.gz CQKDMSCTVDJFCO-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000111273847 186385098 /nfs/dbraw/zinc/38/50/98/186385098.db2.gz FFMVUJCESNRCKQ-SMDDNHRTSA-N 0 0 264.325 2.594 20 5 CFBDRN CC[C@H]1CN(Cc2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000111275948 186387177 /nfs/dbraw/zinc/38/71/77/186387177.db2.gz CANRWYCPSZRTLW-AAEUAGOBSA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@@H]1CN(Cc2cn(C)nc2[N+](=O)[O-])[C@H]1c1ccccc1 ZINC000602488004 350904157 /nfs/dbraw/zinc/90/41/57/350904157.db2.gz YBTKTRDFONPXRM-BXUZGUMPSA-N 0 0 286.335 2.521 20 5 CFBDRN Cc1cc(C)cc(N(C)Cc2cn(C)nc2[N+](=O)[O-])c1 ZINC000602600209 350940751 /nfs/dbraw/zinc/94/07/51/350940751.db2.gz UHUSVXFIJBOABC-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602379732 350871495 /nfs/dbraw/zinc/87/14/95/350871495.db2.gz MAWVAHQFIFMBDE-SCZZXKLOSA-N 0 0 271.276 2.738 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602379733 350871631 /nfs/dbraw/zinc/87/16/31/350871631.db2.gz MAWVAHQFIFMBDE-WCBMZHEXSA-N 0 0 271.276 2.738 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C2CC2)C2CC2)c1 ZINC000602388036 350872844 /nfs/dbraw/zinc/87/28/44/350872844.db2.gz BYWQZRWAPSKKDP-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCCC2)c1ccccc1[N+](=O)[O-] ZINC000602412466 350876181 /nfs/dbraw/zinc/87/61/81/350876181.db2.gz IINZPNBCDMFFEX-HZMBPMFUSA-N 0 0 260.293 2.657 20 5 CFBDRN CN(Cc1ccns1)Cc1cccc([N+](=O)[O-])c1 ZINC000602438237 350882799 /nfs/dbraw/zinc/88/27/99/350882799.db2.gz CTEAEVUUQHCXNN-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN CC1(C)SC[C@@H]1NCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602651893 350961835 /nfs/dbraw/zinc/96/18/35/350961835.db2.gz HIWXJXVKUZVKJA-NSHDSACASA-N 0 0 293.348 2.720 20 5 CFBDRN CC[C@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)C(F)F ZINC000602673398 350971996 /nfs/dbraw/zinc/97/19/96/350971996.db2.gz UCUFQMLKJDJDCM-QMMMGPOBSA-N 0 0 285.250 2.869 20 5 CFBDRN C[C@H]1CCc2ccccc2N1Cc1cn(C)nc1[N+](=O)[O-] ZINC000602761175 351015965 /nfs/dbraw/zinc/01/59/65/351015965.db2.gz UCGCUSPFNSEALP-NSHDSACASA-N 0 0 286.335 2.670 20 5 CFBDRN C[C@@H]1CCc2ccccc2N1Cc1cn(C)nc1[N+](=O)[O-] ZINC000602761174 351016277 /nfs/dbraw/zinc/01/62/77/351016277.db2.gz UCGCUSPFNSEALP-LLVKDONJSA-N 0 0 286.335 2.670 20 5 CFBDRN Cc1cccc(C)c1OCCCn1nccc1[N+](=O)[O-] ZINC000112562332 186506353 /nfs/dbraw/zinc/50/63/53/186506353.db2.gz MJDSOUDQSSPQNR-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1c(F)cccc1F ZINC000602792435 351027362 /nfs/dbraw/zinc/02/73/62/351027362.db2.gz XWDOWFPYARYYAK-UHFFFAOYSA-N 0 0 296.277 2.514 20 5 CFBDRN C[C@@H](c1ccco1)N(Cc1cn(C)nc1[N+](=O)[O-])C1CC1 ZINC000602798937 351029128 /nfs/dbraw/zinc/02/91/28/351029128.db2.gz YXKTUWRXCXNSNC-JTQLQIEISA-N 0 0 290.323 2.647 20 5 CFBDRN CC(C)[C@H]1CCCC[C@@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602811246 351034619 /nfs/dbraw/zinc/03/46/19/351034619.db2.gz PCMZEZKUFLPWJM-OLZOCXBDSA-N 0 0 280.372 2.633 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602811248 351035020 /nfs/dbraw/zinc/03/50/20/351035020.db2.gz PCMZEZKUFLPWJM-STQMWFEESA-N 0 0 280.372 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC1CSC1 ZINC000602883656 351057578 /nfs/dbraw/zinc/05/75/78/351057578.db2.gz QKCGXKNCIPOBNJ-UHFFFAOYSA-N 0 0 295.364 2.696 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC[C@@H]1c1ncccn1 ZINC000602901132 351061635 /nfs/dbraw/zinc/06/16/35/351061635.db2.gz WZUFNLGCMOLLFD-CQSZACIVSA-N 0 0 284.319 2.722 20 5 CFBDRN CO[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)CC(C)C ZINC000416733166 233606556 /nfs/dbraw/zinc/60/65/56/233606556.db2.gz PSVIVRWINFYLES-ZDUSSCGKSA-N 0 0 295.339 2.777 20 5 CFBDRN CSCc1cn([C@@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC000603220493 351120042 /nfs/dbraw/zinc/12/00/42/351120042.db2.gz VTAWDGBOOUDRCS-VIFPVBQESA-N 0 0 278.337 2.659 20 5 CFBDRN C[C@H](CN(C)C(=O)Nc1ccncc1[N+](=O)[O-])C(C)(C)C ZINC000602923502 351067992 /nfs/dbraw/zinc/06/79/92/351067992.db2.gz XAIMWSOQGBHEQA-SNVBAGLBSA-N 0 0 294.355 2.558 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2C[C@@H](C)O[C@@H]2C)ccc1[N+](=O)[O-] ZINC000602925610 351068043 /nfs/dbraw/zinc/06/80/43/351068043.db2.gz JJFIRXIOFWVUFL-CKYFFXLPSA-N 0 0 293.323 2.591 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])[C@H](CO)C1 ZINC000602930248 351069052 /nfs/dbraw/zinc/06/90/52/351069052.db2.gz AJXKDKIYULNOQW-MFKMUULPSA-N 0 0 298.770 2.841 20 5 CFBDRN Cn1cc(CN(c2ccccc2)C2CCC2)c([N+](=O)[O-])n1 ZINC000602963548 351073423 /nfs/dbraw/zinc/07/34/23/351073423.db2.gz XZOQHPWQBXHKKO-UHFFFAOYSA-N 0 0 286.335 2.887 20 5 CFBDRN Cc1cc(N(C)Cc2ccc([N+](=O)[O-])cc2Cl)n[nH]1 ZINC000603015266 351090973 /nfs/dbraw/zinc/09/09/73/351090973.db2.gz WMUDFIBQFOZWEX-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN Cn1cc(C[N@@H+]2CC[C@]2(C)C2CCCCC2)c([N+](=O)[O-])n1 ZINC000603029251 351092047 /nfs/dbraw/zinc/09/20/47/351092047.db2.gz METDJQLNMYOBAE-OAHLLOKOSA-N 0 0 292.383 2.873 20 5 CFBDRN CN1C[C@H](Nc2ncc([N+](=O)[O-])s2)Cc2ccccc21 ZINC000603414811 351160153 /nfs/dbraw/zinc/16/01/53/351160153.db2.gz FPWOZQRMXBXBNA-SNVBAGLBSA-N 0 0 290.348 2.524 20 5 CFBDRN CCCc1ccc(OCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000603419227 351160392 /nfs/dbraw/zinc/16/03/92/351160392.db2.gz BFLRUJHIBZQZDO-UHFFFAOYSA-N 0 0 275.308 2.860 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1C2CCC1CC2 ZINC000603934130 351193050 /nfs/dbraw/zinc/19/30/50/351193050.db2.gz BFKITKHWWQSZAB-UHFFFAOYSA-N 0 0 264.256 2.501 20 5 CFBDRN C[C@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])C(F)F ZINC000603427122 351162286 /nfs/dbraw/zinc/16/22/86/351162286.db2.gz BFGGXQLJHKYSOV-OIBJUYFYSA-N 0 0 256.252 2.825 20 5 CFBDRN COc1ncccc1CNc1ccc([N+](=O)[O-])s1 ZINC000603938426 351193152 /nfs/dbraw/zinc/19/31/52/351193152.db2.gz FDEXXUIAMLZZHR-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC(c2ccccc2)CC1 ZINC000603438390 351164735 /nfs/dbraw/zinc/16/47/35/351164735.db2.gz CWCDIGABZYXYTB-UHFFFAOYSA-N 0 0 286.335 2.712 20 5 CFBDRN CCN(Cc1ccc(C)cc1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000603523910 351171857 /nfs/dbraw/zinc/17/18/57/351171857.db2.gz MCLUKLVITWSWDH-UHFFFAOYSA-N 0 0 287.319 2.894 20 5 CFBDRN CC(C)[C@@H](C)N(C(=O)c1cc([N+](=O)[O-])cn1C)C1CC1 ZINC000603578826 351174987 /nfs/dbraw/zinc/17/49/87/351174987.db2.gz LRFKXTXGYFCGPD-SNVBAGLBSA-N 0 0 279.340 2.582 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000603601049 351175790 /nfs/dbraw/zinc/17/57/90/351175790.db2.gz BDYDGKOBMSZWNW-UHFFFAOYSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]2CCCC[C@@H]12 ZINC000603626447 351176457 /nfs/dbraw/zinc/17/64/57/351176457.db2.gz ZLDPZATVGPKFSI-SCDSUCTJSA-N 0 0 291.351 2.964 20 5 CFBDRN Cc1ccccc1N1CCN(c2cccnc2[N+](=O)[O-])CC1 ZINC000603838068 351184751 /nfs/dbraw/zinc/18/47/51/351184751.db2.gz RQMSGTTVBHDXAM-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CCN(c2ccccc2)C1 ZINC000603850947 351186641 /nfs/dbraw/zinc/18/66/41/351186641.db2.gz KVICQFCNXLPPJW-CYBMUJFWSA-N 0 0 298.346 2.928 20 5 CFBDRN Cc1nn([C@H]2CCC(C)(C)C2=O)c2ncc([N+](=O)[O-])cc12 ZINC000603319381 351138092 /nfs/dbraw/zinc/13/80/92/351138092.db2.gz HRARRYIRPQGTFQ-NSHDSACASA-N 0 0 288.307 2.578 20 5 CFBDRN C[C@@H](Nc1ccc2c(n1)C(=O)CC2)c1ccccc1[N+](=O)[O-] ZINC000603328091 351140401 /nfs/dbraw/zinc/14/04/01/351140401.db2.gz FLUFRFXKOXOBOO-SNVBAGLBSA-N 0 0 297.314 2.714 20 5 CFBDRN C[C@@H](Cn1ccc(N)c([N+](=O)[O-])c1=O)C1CCCCC1 ZINC000603345667 351144342 /nfs/dbraw/zinc/14/43/42/351144342.db2.gz VXJFNVZAQYQIJI-JTQLQIEISA-N 0 0 279.340 2.555 20 5 CFBDRN COc1ccccc1N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000603992614 351196241 /nfs/dbraw/zinc/19/62/41/351196241.db2.gz ZMKZWRUBHAEBLS-UHFFFAOYSA-N 0 0 292.316 2.942 20 5 CFBDRN CCC[C@H](C)NC(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000604079191 351199501 /nfs/dbraw/zinc/19/95/01/351199501.db2.gz YBOHKGAPMXCVHP-JTQLQIEISA-N 0 0 295.339 2.591 20 5 CFBDRN CCOC1CCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000604199031 351201808 /nfs/dbraw/zinc/20/18/08/351201808.db2.gz ZHRJQMUOEAUZEQ-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CCOC1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000604229148 351202548 /nfs/dbraw/zinc/20/25/48/351202548.db2.gz DUVKRNLEQPKGKR-UHFFFAOYSA-N 0 0 293.323 2.628 20 5 CFBDRN COC(=O)C[C@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000604304905 351205358 /nfs/dbraw/zinc/20/53/58/351205358.db2.gz LAHWXONTLUIYLX-LLVKDONJSA-N 0 0 298.364 2.574 20 5 CFBDRN COC(=O)[C@H](C)CSCCOc1cccc([N+](=O)[O-])c1 ZINC000115194744 186661356 /nfs/dbraw/zinc/66/13/56/186661356.db2.gz OUSMJLLGXUGNLR-SNVBAGLBSA-N 0 0 299.348 2.516 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000604397871 351210698 /nfs/dbraw/zinc/21/06/98/351210698.db2.gz KUGGMUSSONYECZ-MRVPVSSYSA-N 0 0 266.322 2.551 20 5 CFBDRN CCOc1ccc(C(=O)NC[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000604395840 351210972 /nfs/dbraw/zinc/21/09/72/351210972.db2.gz DPTARJMXERAZBL-JTQLQIEISA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000604396302 351211013 /nfs/dbraw/zinc/21/10/13/351211013.db2.gz VJJJAABPKXQOCL-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N(C1CC1)C1CCCCC1 ZINC000604466078 351213794 /nfs/dbraw/zinc/21/37/94/351213794.db2.gz SZQBRFZNFIPFRI-UHFFFAOYSA-N 0 0 277.324 2.860 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Oc1cc2c(nn1)CCC2 ZINC000604500435 351215451 /nfs/dbraw/zinc/21/54/51/351215451.db2.gz YPRUIKHFBBXRDI-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN COc1cccnc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000604537220 351217629 /nfs/dbraw/zinc/21/76/29/351217629.db2.gz MOVFYSJVRABTTI-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H](C)C1CC1 ZINC000604562732 351219984 /nfs/dbraw/zinc/21/99/84/351219984.db2.gz TVLWRDQNFFKSBY-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NCC1CC1 ZINC000116721473 186721707 /nfs/dbraw/zinc/72/17/07/186721707.db2.gz OFINCXAXGSDGTO-UHFFFAOYSA-N 0 0 298.726 2.543 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)COC ZINC000291363804 198000086 /nfs/dbraw/zinc/00/00/86/198000086.db2.gz BUJQXNRVNQVVFZ-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C(C)C)[C@@H]1CCOC1 ZINC000291389310 198008007 /nfs/dbraw/zinc/00/80/07/198008007.db2.gz CVLOFTSKHBFQMU-CYBMUJFWSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H]1C1CC1 ZINC000609447986 351501882 /nfs/dbraw/zinc/50/18/82/351501882.db2.gz JAZPJNCWDDTGIH-CYBMUJFWSA-N 0 0 278.283 2.749 20 5 CFBDRN Cc1sc(-c2nc(C3CC3)no2)cc1[N+](=O)[O-] ZINC000609474837 351503330 /nfs/dbraw/zinc/50/33/30/351503330.db2.gz PLZYTZLOBFVPEI-UHFFFAOYSA-N 0 0 251.267 2.892 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC(C)CC2)c1 ZINC000609494930 351504133 /nfs/dbraw/zinc/50/41/33/351504133.db2.gz RWBCGJPNTYOJLC-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN C[C@@H](c1ccccc1)[C@@H](CO)Nc1ncccc1[N+](=O)[O-] ZINC000609496689 351504496 /nfs/dbraw/zinc/50/44/96/351504496.db2.gz OKEXADFVBKYOLK-WCQYABFASA-N 0 0 287.319 2.566 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000609514623 351505012 /nfs/dbraw/zinc/50/50/12/351505012.db2.gz QQLKFXOYABGSLT-JOYOIKCWSA-N 0 0 272.308 2.674 20 5 CFBDRN CC[C@H]1CN(c2ccsc2[N+](=O)[O-])C[C@H](C)O1 ZINC000609513170 351505154 /nfs/dbraw/zinc/50/51/54/351505154.db2.gz HHJIGYIFPKJWRV-IUCAKERBSA-N 0 0 256.327 2.660 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccccc2CO)s1 ZINC000609560423 351506928 /nfs/dbraw/zinc/50/69/28/351506928.db2.gz SMCZMTUPEPDRMF-UHFFFAOYSA-N 0 0 264.306 2.761 20 5 CFBDRN Cc1noc([C@@H]2CCCN(c3ccsc3[N+](=O)[O-])C2)n1 ZINC000609560352 351506948 /nfs/dbraw/zinc/50/69/48/351506948.db2.gz BHMADETTWYILPJ-SECBINFHSA-N 0 0 294.336 2.732 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC(n2ccnc2)CC1 ZINC000609560672 351507088 /nfs/dbraw/zinc/50/70/88/351507088.db2.gz JDIMUYPMVUUDCA-UHFFFAOYSA-N 0 0 278.337 2.694 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC000291419223 198016319 /nfs/dbraw/zinc/01/63/19/198016319.db2.gz KDTMDXVLMGYNTP-CMPLNLGQSA-N 0 0 292.335 2.911 20 5 CFBDRN COCCN(c1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000609585902 351510272 /nfs/dbraw/zinc/51/02/72/351510272.db2.gz WWCUOUPXUVJEBT-UHFFFAOYSA-N 0 0 264.325 2.990 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])c3cnccc23)[nH]n1 ZINC000609595785 351511015 /nfs/dbraw/zinc/51/10/15/351511015.db2.gz KEWHQDHJZHDWBK-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN CCn1cc(CN(C)c2ccsc2[N+](=O)[O-])cn1 ZINC000609613882 351512005 /nfs/dbraw/zinc/51/20/05/351512005.db2.gz ZFQNLBMZNNWIPM-UHFFFAOYSA-N 0 0 266.326 2.509 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1(C(F)(F)F)CC1 ZINC000608785948 351470717 /nfs/dbraw/zinc/47/07/17/351470717.db2.gz YJKGBLYNAHNUGK-UHFFFAOYSA-N 0 0 274.198 2.876 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)C1CCCCC1 ZINC000608779104 351470785 /nfs/dbraw/zinc/47/07/85/351470785.db2.gz JQTKLJNBIILMFJ-SNVBAGLBSA-N 0 0 279.344 2.568 20 5 CFBDRN Cc1cccc(CC(=O)NCCc2ccc([N+](=O)[O-])cc2)c1 ZINC000608847089 351480355 /nfs/dbraw/zinc/48/03/55/351480355.db2.gz JTDXGOPFAGFANT-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN Cc1ccccc1C[C@@H](C)Nc1ccncc1[N+](=O)[O-] ZINC000608972937 351482959 /nfs/dbraw/zinc/48/29/59/351482959.db2.gz VJWRCMGBCRSPOH-GFCCVEGCSA-N 0 0 271.320 2.763 20 5 CFBDRN COC(=O)CSCc1cc([N+](=O)[O-])ccc1OC(C)C ZINC000609148971 351488674 /nfs/dbraw/zinc/48/86/74/351488674.db2.gz TYWTXVFEZNDBKD-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN COc1ccccc1CCN(C)c1cccnc1[N+](=O)[O-] ZINC000609150155 351488688 /nfs/dbraw/zinc/48/86/88/351488688.db2.gz RNYHVBSXBYIXEV-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2Nc1c([N+](=O)[O-])ncn1C ZINC000609192882 351490525 /nfs/dbraw/zinc/49/05/25/351490525.db2.gz OWYQDPDBZUCREM-LBPRGKRZSA-N 0 0 272.308 2.736 20 5 CFBDRN C[C@@H](c1ccccc1)[C@H](CO)Nc1ccc([N+](=O)[O-])nc1 ZINC000609802488 351521108 /nfs/dbraw/zinc/52/11/08/351521108.db2.gz YXTCHDLVLJLBMG-FZMZJTMJSA-N 0 0 287.319 2.566 20 5 CFBDRN CCc1cc(CNc2ccsc2[N+](=O)[O-])on1 ZINC000610160235 351535694 /nfs/dbraw/zinc/53/56/94/351535694.db2.gz CAKAVOPSNMPCJQ-UHFFFAOYSA-N 0 0 253.283 2.819 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1ccco1 ZINC000610170203 351536515 /nfs/dbraw/zinc/53/65/15/351536515.db2.gz GCWXLESMQVRXDB-SNVBAGLBSA-N 0 0 286.287 2.881 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nccn3c2)c1 ZINC000610228250 351541518 /nfs/dbraw/zinc/54/15/18/351541518.db2.gz ALRDFEVCRCFFID-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2cccc(C)n2)c1 ZINC000610238955 351542888 /nfs/dbraw/zinc/54/28/88/351542888.db2.gz ZFMAZSGUKDPWIU-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1cnc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])s1 ZINC000610244226 351543241 /nfs/dbraw/zinc/54/32/41/351543241.db2.gz ICTJZAUTLFLQQI-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000291430129 198019824 /nfs/dbraw/zinc/01/98/24/198019824.db2.gz JCYCWOYWAIHVCR-SNVBAGLBSA-N 0 0 298.314 2.539 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1nccn1C(F)F ZINC000610274620 351547004 /nfs/dbraw/zinc/54/70/04/351547004.db2.gz TVKYFHBCFPMDMT-UHFFFAOYSA-N 0 0 274.252 2.860 20 5 CFBDRN Cc1cnc(N(C)Cc2ccsc2)c([N+](=O)[O-])c1 ZINC000610283870 351548871 /nfs/dbraw/zinc/54/88/71/351548871.db2.gz PXEVCBZQXJVIDE-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CC1(C)CN(c2ccsc2[N+](=O)[O-])CCCO1 ZINC000609764904 351518574 /nfs/dbraw/zinc/51/85/74/351518574.db2.gz CUEZLSZUHJDSLY-UHFFFAOYSA-N 0 0 256.327 2.662 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1C[C@H]2CC[C@@H](C1)O2 ZINC000610410624 351563878 /nfs/dbraw/zinc/56/38/78/351563878.db2.gz LGQULWZFURKQBG-UTUOFQBUSA-N 0 0 262.309 2.519 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000610432274 351566804 /nfs/dbraw/zinc/56/68/04/351566804.db2.gz QTUARWWQTPTVDY-UHFFFAOYSA-N 0 0 281.293 2.538 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC[C@H]1CCCO1 ZINC000120237126 186885858 /nfs/dbraw/zinc/88/58/58/186885858.db2.gz WAWJZZWRIZOSPR-LLVKDONJSA-N 0 0 293.323 2.512 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])s1)c1nnc2ccccn21 ZINC000610514689 351575657 /nfs/dbraw/zinc/57/56/57/351575657.db2.gz ORQGWSKOHKACOP-QMMMGPOBSA-N 0 0 289.320 2.872 20 5 CFBDRN Cc1cccc(CC2CN(C(=O)c3cc([N+](=O)[O-])c[nH]3)C2)c1 ZINC000610518814 351576155 /nfs/dbraw/zinc/57/61/55/351576155.db2.gz SIAPCQQZOOKTRL-UHFFFAOYSA-N 0 0 299.330 2.546 20 5 CFBDRN CCC[C@@H]1[C@@H](C)CCCN1c1c([N+](=O)[O-])ncn1C ZINC000610518966 351576254 /nfs/dbraw/zinc/57/62/54/351576254.db2.gz FPWUIMFUANWQMM-WDEREUQCSA-N 0 0 266.345 2.733 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000119756048 186849898 /nfs/dbraw/zinc/84/98/98/186849898.db2.gz GHLUKIOUVPOOHU-JTQLQIEISA-N 0 0 298.314 2.605 20 5 CFBDRN CCOC(=O)c1ccc(CNc2ccccc2[N+](=O)[O-])o1 ZINC000610588716 351584988 /nfs/dbraw/zinc/58/49/88/351584988.db2.gz GVCXXKJHGNFHAB-UHFFFAOYSA-N 0 0 290.275 2.977 20 5 CFBDRN C[C@H](Nc1ccncc1[N+](=O)[O-])[C@H]1Oc2ccccc2[C@H]1C ZINC000610647756 351587433 /nfs/dbraw/zinc/58/74/33/351587433.db2.gz LOZBJURUKIEQBF-GDLVEWKHSA-N 0 0 299.330 2.777 20 5 CFBDRN Cc1nc([C@@H]2CCCN2c2cc(C)ccc2[N+](=O)[O-])no1 ZINC000610677343 351591031 /nfs/dbraw/zinc/59/10/31/351591031.db2.gz UQESGGQCKBRBHO-LBPRGKRZSA-N 0 0 288.307 2.936 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCOC(C)(C)C2)c1 ZINC000610677594 351591289 /nfs/dbraw/zinc/59/12/89/351591289.db2.gz YBPPQJXCRAOJBN-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cc(C(=O)NC2(C(F)(F)F)CC2)ccc1[N+](=O)[O-] ZINC000610705686 351594336 /nfs/dbraw/zinc/59/43/36/351594336.db2.gz MGEUCWARJHXPNN-UHFFFAOYSA-N 0 0 288.225 2.728 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1N(C)c1c([N+](=O)[O-])ncn1C ZINC000610709883 351595064 /nfs/dbraw/zinc/59/50/64/351595064.db2.gz ITEWKKLEOQWLIO-QWRGUYRKSA-N 0 0 266.345 2.733 20 5 CFBDRN Cc1ccnc(N[C@@H](C)C[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000610734726 351599243 /nfs/dbraw/zinc/59/92/43/351599243.db2.gz HNYORWHNDIBMTK-WDEREUQCSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000610734839 351600324 /nfs/dbraw/zinc/60/03/24/351600324.db2.gz PWNXTKLBWMNDMN-NXEZZACHSA-N 0 0 268.288 2.961 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC(CC(F)(F)F)C1 ZINC000610744709 351602163 /nfs/dbraw/zinc/60/21/63/351602163.db2.gz FDMMNRLQRJZOBN-UHFFFAOYSA-N 0 0 288.225 2.619 20 5 CFBDRN O=C(NCCC1CCCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000610770134 351606665 /nfs/dbraw/zinc/60/66/65/351606665.db2.gz NYQAJLDUMAFFCP-UHFFFAOYSA-N 0 0 277.324 2.690 20 5 CFBDRN COC(=O)[C@H](Nc1cc(C)ccc1[N+](=O)[O-])C(C)(C)C ZINC000610782838 351607199 /nfs/dbraw/zinc/60/71/99/351607199.db2.gz WRSUFZYUKLWERE-LBPRGKRZSA-N 0 0 280.324 2.903 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1c(O)cccc1F ZINC000610339700 351555200 /nfs/dbraw/zinc/55/52/00/351555200.db2.gz GSBSZVUWJIZWHQ-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000611002027 351632323 /nfs/dbraw/zinc/63/23/23/351632323.db2.gz YUEVZCVAYBOCLE-NXEZZACHSA-N 0 0 268.338 2.519 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CCC[C@@H]1C1CC1 ZINC000611268529 351661510 /nfs/dbraw/zinc/66/15/10/351661510.db2.gz DSALKMJUDREARE-CYBMUJFWSA-N 0 0 262.309 2.675 20 5 CFBDRN CCc1ccc(C(=O)N2CC(C(C)C)C2)cc1[N+](=O)[O-] ZINC000611078966 351638349 /nfs/dbraw/zinc/63/83/49/351638349.db2.gz FMINNGHUVJZXPJ-UHFFFAOYSA-N 0 0 276.336 2.885 20 5 CFBDRN CC(C)C1CN(C(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000611078941 351638358 /nfs/dbraw/zinc/63/83/58/351638358.db2.gz DIOPQNCEGYISHA-UHFFFAOYSA-N 0 0 291.351 2.511 20 5 CFBDRN CC(C)C1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000611080351 351638390 /nfs/dbraw/zinc/63/83/90/351638390.db2.gz ZZNWJEOSWAOBJY-UHFFFAOYSA-N 0 0 263.297 2.715 20 5 CFBDRN Cc1ccc(CC(=O)N2CCc3c2cccc3[N+](=O)[O-])o1 ZINC000611083296 351639067 /nfs/dbraw/zinc/63/90/67/351639067.db2.gz GJDRYMFSARKQTP-UHFFFAOYSA-N 0 0 286.287 2.628 20 5 CFBDRN Cc1cc(CNc2c(C)cccc2[N+](=O)[O-])ncn1 ZINC000611133076 351643349 /nfs/dbraw/zinc/64/33/49/351643349.db2.gz XXVITPGGCQKIJX-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cc(CNc2ccc3ncccc3c2[N+](=O)[O-])ncn1 ZINC000611132988 351643666 /nfs/dbraw/zinc/64/36/66/351643666.db2.gz SZYHDEJPJCGPGY-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@H](C(F)F)C1 ZINC000611179550 351648633 /nfs/dbraw/zinc/64/86/33/351648633.db2.gz DWVNDMSUKKWCHV-QMMMGPOBSA-N 0 0 274.242 2.821 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1noc(C2CC2)n1 ZINC000611204203 351651644 /nfs/dbraw/zinc/65/16/44/351651644.db2.gz ULSLEWDOLBRWTI-UHFFFAOYSA-N 0 0 266.282 2.529 20 5 CFBDRN Cc1cc(N[C@@H](CO)CC2CCCC2)ncc1[N+](=O)[O-] ZINC000611222218 351652389 /nfs/dbraw/zinc/65/23/89/351652389.db2.gz HNDCMKHXQFMJIW-GFCCVEGCSA-N 0 0 279.340 2.651 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC(C(F)F)C1 ZINC000610871372 351617494 /nfs/dbraw/zinc/61/74/94/351617494.db2.gz KTYRQLPSBPLZEM-UHFFFAOYSA-N 0 0 290.653 2.585 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccn(C2CCCC2)n1 ZINC000610909363 351620480 /nfs/dbraw/zinc/62/04/80/351620480.db2.gz BYVIWYLESVBTAN-UHFFFAOYSA-N 0 0 287.323 2.914 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2ccc(F)cc2C1 ZINC000610915844 351621448 /nfs/dbraw/zinc/62/14/48/351621448.db2.gz NWHAAIFHLMTINZ-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN CC(C)C(NC(=O)c1cccc([N+](=O)[O-])c1N)C(C)C ZINC000610926051 351621666 /nfs/dbraw/zinc/62/16/66/351621666.db2.gz KINUCNXCSRBGOZ-UHFFFAOYSA-N 0 0 279.340 2.587 20 5 CFBDRN COc1ccc(CN(C)c2ccccc2[N+](=O)[O-])cn1 ZINC000610970178 351626757 /nfs/dbraw/zinc/62/67/57/351626757.db2.gz RWLIKEAZZPKDAC-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]([C@H]3CCOC3)C2)s1 ZINC000610970912 351626826 /nfs/dbraw/zinc/62/68/26/351626826.db2.gz XJAMELOUIRQZSI-QWRGUYRKSA-N 0 0 282.365 2.909 20 5 CFBDRN Cc1c(C(=O)N2CC3(C2)CC(F)(F)C3)cccc1[N+](=O)[O-] ZINC000610968314 351626936 /nfs/dbraw/zinc/62/69/36/351626936.db2.gz UTGLHSPJWVPXKG-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN CC(C)(C)CN(CCO)c1ccc(F)cc1[N+](=O)[O-] ZINC000610983306 351627805 /nfs/dbraw/zinc/62/78/05/351627805.db2.gz BKUHBLGERIPSGC-UHFFFAOYSA-N 0 0 270.304 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@@H](c2ccco2)C1 ZINC000611271352 351661125 /nfs/dbraw/zinc/66/11/25/351661125.db2.gz VCKRMGVODRDHBS-LLVKDONJSA-N 0 0 288.303 2.883 20 5 CFBDRN Cc1nccn1CCCNc1sccc1[N+](=O)[O-] ZINC000611412542 351685788 /nfs/dbraw/zinc/68/57/88/351685788.db2.gz BQSHRRMCTMKDER-UHFFFAOYSA-N 0 0 266.326 2.663 20 5 CFBDRN COC(=O)C1(CNc2sccc2[N+](=O)[O-])CCCC1 ZINC000611413024 351685934 /nfs/dbraw/zinc/68/59/34/351685934.db2.gz UXDWQFVYHIMVFF-UHFFFAOYSA-N 0 0 284.337 2.802 20 5 CFBDRN O=C(CCNc1sccc1[N+](=O)[O-])N1CCCCCC1 ZINC000611412491 351686139 /nfs/dbraw/zinc/68/61/39/351686139.db2.gz RXIJFIXUXRMLRB-UHFFFAOYSA-N 0 0 297.380 2.861 20 5 CFBDRN CO[C@H]1C[C@H](Nc2sccc2[N+](=O)[O-])C1(C)C ZINC000611413299 351686209 /nfs/dbraw/zinc/68/62/09/351686209.db2.gz DSYDKYDIRPGYIE-IUCAKERBSA-N 0 0 256.327 2.882 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H](CO)c1ccccc1 ZINC000611413373 351686611 /nfs/dbraw/zinc/68/66/11/351686611.db2.gz MNMMYJWJLYTWCN-LLVKDONJSA-N 0 0 278.333 2.844 20 5 CFBDRN Cc1nnc([C@H](C)Nc2sccc2[N+](=O)[O-])o1 ZINC000611413605 351686627 /nfs/dbraw/zinc/68/66/27/351686627.db2.gz HRMDBLNDOQAPGH-YFKPBYRVSA-N 0 0 254.271 2.521 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1noc(C2CC2)n1 ZINC000611414092 351687048 /nfs/dbraw/zinc/68/70/48/351687048.db2.gz HGCBBHWZABXJDR-UHFFFAOYSA-N 0 0 266.282 2.529 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC(O)(C(F)(F)F)CC1 ZINC000611414526 351687303 /nfs/dbraw/zinc/68/73/03/351687303.db2.gz FDCAELUGBZXNJJ-UHFFFAOYSA-N 0 0 296.270 2.550 20 5 CFBDRN Cc1cc(NCC(C)(C)CO)c2cccc([N+](=O)[O-])c2n1 ZINC000611444785 351691049 /nfs/dbraw/zinc/69/10/49/351691049.db2.gz JLCDDXDTSSDSGV-UHFFFAOYSA-N 0 0 289.335 2.882 20 5 CFBDRN C[C@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@H]1F ZINC000611502203 351699101 /nfs/dbraw/zinc/69/91/01/351699101.db2.gz PHJJZLGGSCVZSV-JOYOIKCWSA-N 0 0 270.279 2.914 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)C[C@H]1F ZINC000611502386 351699433 /nfs/dbraw/zinc/69/94/33/351699433.db2.gz RWRSIGGSDKHZTN-NOZJJQNGSA-N 0 0 270.279 2.914 20 5 CFBDRN C[C@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C[C@H]1F ZINC000611502406 351699476 /nfs/dbraw/zinc/69/94/76/351699476.db2.gz RZTWXVRLWZJELG-GXSJLCMTSA-N 0 0 293.298 2.916 20 5 CFBDRN C[C@@H]1CCN(Cc2cccc([N+](=O)[O-])c2)C[C@H]1F ZINC000611502856 351700164 /nfs/dbraw/zinc/70/01/64/351700164.db2.gz ZKINTSXGAVCZAI-ZWNOBZJWSA-N 0 0 252.289 2.775 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)[C@H](F)C2)cc([N+](=O)[O-])c1 ZINC000611507016 351700967 /nfs/dbraw/zinc/70/09/67/351700967.db2.gz VXLNJRZQSKFBLR-ZWNOBZJWSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@H](C)[C@@H](F)C2)c1[N+](=O)[O-] ZINC000611506632 351700998 /nfs/dbraw/zinc/70/09/98/351700998.db2.gz PHULTZBLPJTUJO-CABZTGNLSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@H](C)[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000611506540 351701027 /nfs/dbraw/zinc/70/10/27/351701027.db2.gz OJHPOMWOEJDWFC-GXFFZTMASA-N 0 0 294.326 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)[C@@H](F)C1 ZINC000611505870 351701197 /nfs/dbraw/zinc/70/11/97/351701197.db2.gz UAHPEOQUCAPLOD-GWCFXTLKSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C)[C@@H](F)C2)cc([N+](=O)[O-])c1 ZINC000611507013 351701243 /nfs/dbraw/zinc/70/12/43/351701243.db2.gz VXLNJRZQSKFBLR-GWCFXTLKSA-N 0 0 280.299 2.723 20 5 CFBDRN CCc1cnccc1CNc1cccnc1[N+](=O)[O-] ZINC000611510146 351701816 /nfs/dbraw/zinc/70/18/16/351701816.db2.gz IQVPUINGHVBZIT-UHFFFAOYSA-N 0 0 258.281 2.559 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCCOC[C@@H]1CCCO1 ZINC000611289948 351664746 /nfs/dbraw/zinc/66/47/46/351664746.db2.gz FAXMVFVWCJJJSV-JTQLQIEISA-N 0 0 286.353 2.654 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000291604528 198048122 /nfs/dbraw/zinc/04/81/22/198048122.db2.gz YNXAKOIMLCWLMY-SNVBAGLBSA-N 0 0 295.339 2.792 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1c2ccccc2C[C@H]1O ZINC000611369978 351675150 /nfs/dbraw/zinc/67/51/50/351675150.db2.gz FVMCVNKBYHKZKD-NEPJUHHUSA-N 0 0 276.317 2.727 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])cc1F ZINC000611373275 351676334 /nfs/dbraw/zinc/67/63/34/351676334.db2.gz CRCLYJDBGRVJDJ-TVQRCGJNSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000611370809 351676432 /nfs/dbraw/zinc/67/64/32/351676432.db2.gz RCFXDTUMHZUYSN-WDEREUQCSA-N 0 0 250.298 2.822 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCCc2c(F)cccc21 ZINC000450562246 282775544 /nfs/dbraw/zinc/77/55/44/282775544.db2.gz QVVAGFDYKNNUKS-LBPRGKRZSA-N 0 0 290.298 2.957 20 5 CFBDRN CC[C@H](C)CONc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000291654773 198052380 /nfs/dbraw/zinc/05/23/80/198052380.db2.gz CFVSCDNRXCVNSR-QMMMGPOBSA-N 0 0 269.257 2.893 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCOCC2(CC2)C1 ZINC000611765339 351738664 /nfs/dbraw/zinc/73/86/64/351738664.db2.gz WVBYJDAEGVXRFS-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCOCC2(CC2)C1 ZINC000611765308 351738688 /nfs/dbraw/zinc/73/86/88/351738688.db2.gz RCHGSOUZEVMWQX-UHFFFAOYSA-N 0 0 282.727 2.865 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@@H](OC(F)F)C1 ZINC000611584260 351712218 /nfs/dbraw/zinc/71/22/18/351712218.db2.gz ZXEQGCDBMAQWII-SSDOTTSWSA-N 0 0 278.280 2.864 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616394 351714372 /nfs/dbraw/zinc/71/43/72/351714372.db2.gz KDWBLNMRYFYALT-QMTHXVAHSA-N 0 0 281.356 2.816 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(S[C@@H]2CCO[C@@H]2C)n1 ZINC000611617263 351715122 /nfs/dbraw/zinc/71/51/22/351715122.db2.gz AGIVCEHWLUZXGG-NXEZZACHSA-N 0 0 268.338 2.876 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)[C@H]2CCSC2)n1 ZINC000611617469 351715177 /nfs/dbraw/zinc/71/51/77/351715177.db2.gz YMJXYKAUINLLLT-JTQLQIEISA-N 0 0 267.354 2.548 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCO[C@H](C)C2)n1 ZINC000611617402 351715277 /nfs/dbraw/zinc/71/52/77/351715277.db2.gz NHADJCWXGWVCEK-NEPJUHHUSA-N 0 0 279.340 2.834 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2(CCO)CCC2)n1 ZINC000611617108 351715353 /nfs/dbraw/zinc/71/53/53/351715353.db2.gz FWICJKFBTGEDHV-UHFFFAOYSA-N 0 0 279.340 2.571 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCOC[C@H]2CC(C)C)n1 ZINC000611616665 351715402 /nfs/dbraw/zinc/71/54/02/351715402.db2.gz DOCRIMHRULFOIO-CYBMUJFWSA-N 0 0 293.367 2.858 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000612134139 351778889 /nfs/dbraw/zinc/77/88/89/351778889.db2.gz KZJPOAUJUNTUCE-GVAFMPQTSA-N 0 0 290.363 2.667 20 5 CFBDRN COCCCCC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000121235996 186959739 /nfs/dbraw/zinc/95/97/39/186959739.db2.gz NGVNTXFEMMUNLL-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCc1nn(C)c(N2C[C@@H](C)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000612099890 351774254 /nfs/dbraw/zinc/77/42/54/351774254.db2.gz QZZHLRDWFTYKSX-JTQLQIEISA-N 0 0 280.372 2.763 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC([C@H]2CCOC2)CC1 ZINC000612099377 351774284 /nfs/dbraw/zinc/77/42/84/351774284.db2.gz VDTNJIPVKBXMAB-NSHDSACASA-N 0 0 282.365 2.909 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@H]1O ZINC000612449306 351822424 /nfs/dbraw/zinc/82/24/24/351822424.db2.gz RSBFQXLBJDFZKH-NXEZZACHSA-N 0 0 284.381 2.890 20 5 CFBDRN CCOCCCCNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000122324995 187026807 /nfs/dbraw/zinc/02/68/07/187026807.db2.gz QULLCGLZRXNBMX-UHFFFAOYSA-N 0 0 286.303 2.971 20 5 CFBDRN CC(C)[C@H](C)NC(=O)Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000122009383 187009651 /nfs/dbraw/zinc/00/96/51/187009651.db2.gz FKADORFFHNPJQS-NSHDSACASA-N 0 0 289.335 2.710 20 5 CFBDRN CC1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000122227676 187021906 /nfs/dbraw/zinc/02/19/06/187021906.db2.gz ZDQCKSAKNCIEAA-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CSCCS2)cc1 ZINC000122385153 187029613 /nfs/dbraw/zinc/02/96/13/187029613.db2.gz RFLZRHHCEWGTMD-LLVKDONJSA-N 0 0 270.379 2.855 20 5 CFBDRN O=C(CCCNc1cc(Cl)ccc1[N+](=O)[O-])NC1CC1 ZINC000122612047 187041247 /nfs/dbraw/zinc/04/12/47/187041247.db2.gz KSOMZXYBJFZBMA-UHFFFAOYSA-N 0 0 297.742 2.719 20 5 CFBDRN CC(C)Oc1cncc(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000122643901 187042827 /nfs/dbraw/zinc/04/28/27/187042827.db2.gz CAHQKJWHPUHQGC-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN CCOCCCCNc1ncc([N+](=O)[O-])cc1Cl ZINC000122649345 187043613 /nfs/dbraw/zinc/04/36/13/187043613.db2.gz VYJJWAFNZRVZIP-UHFFFAOYSA-N 0 0 273.720 2.872 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@H](C)C(C)(C)C2)c1[N+](=O)[O-] ZINC000612666763 351849878 /nfs/dbraw/zinc/84/98/78/351849878.db2.gz DWKOKNLXAABOFZ-SNVBAGLBSA-N 0 0 280.372 2.763 20 5 CFBDRN CCCCN(CCOC)c1ncc([N+](=O)[O-])cc1Cl ZINC000122999095 187065053 /nfs/dbraw/zinc/06/50/53/187065053.db2.gz AYHUQOJADZCOMZ-UHFFFAOYSA-N 0 0 287.747 2.896 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124197367 187126072 /nfs/dbraw/zinc/12/60/72/187126072.db2.gz JRXGLHINHDCRNJ-IUCAKERBSA-N 0 0 268.288 2.898 20 5 CFBDRN CCc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000123326284 187084755 /nfs/dbraw/zinc/08/47/55/187084755.db2.gz SZBGSHBMDBEYPV-UHFFFAOYSA-N 0 0 288.303 2.723 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2ccc([N+](=O)[O-])n2C)cc1O ZINC000613031597 351891499 /nfs/dbraw/zinc/89/14/99/351891499.db2.gz KLDHQDRIIZYBTP-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN CC[C@H](C)CONC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000291942022 198111871 /nfs/dbraw/zinc/11/18/71/198111871.db2.gz UKNLPVRKHNEJNN-NSHDSACASA-N 0 0 295.339 2.714 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCO[C@@H](C3CC3)C2)n1 ZINC000613185326 351911721 /nfs/dbraw/zinc/91/17/21/351911721.db2.gz UOQUFASGMHEUTH-QWHCGFSZSA-N 0 0 291.351 2.976 20 5 CFBDRN Cc1cc(NC(=O)N2CC=CCC2)ccc1[N+](=O)[O-] ZINC000613784441 352007381 /nfs/dbraw/zinc/00/73/81/352007381.db2.gz YUMRSXSDEQYESG-UHFFFAOYSA-N 0 0 261.281 2.697 20 5 CFBDRN COC[C@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000613784397 352007592 /nfs/dbraw/zinc/00/75/92/352007592.db2.gz LEGFUZMLHNBTKB-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN CSc1cncc(NCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000131801010 187588114 /nfs/dbraw/zinc/58/81/14/187588114.db2.gz HFAUERYHZJFLNL-UHFFFAOYSA-N 0 0 290.348 2.761 20 5 CFBDRN CCC[C@@H](O)Cc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000614499402 352100212 /nfs/dbraw/zinc/10/02/12/352100212.db2.gz RTPGNZXIFHEJHW-LLVKDONJSA-N 0 0 291.307 2.657 20 5 CFBDRN COc1ccc(C(=O)N2CC[C@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000614672469 352110350 /nfs/dbraw/zinc/11/03/50/352110350.db2.gz SZKFOLUVGGWAJA-ZYHUDNBSSA-N 0 0 290.319 2.618 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])s2)CC[C@@H]1C ZINC000615179128 352163313 /nfs/dbraw/zinc/16/33/13/352163313.db2.gz GFWMYJNXZOVVEK-IUCAKERBSA-N 0 0 256.327 2.518 20 5 CFBDRN Cc1cccc(N[C@H]2CCC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000614974360 352137843 /nfs/dbraw/zinc/13/78/43/352137843.db2.gz RYKZJUNCOWABRH-WDEREUQCSA-N 0 0 250.298 2.619 20 5 CFBDRN COc1cc(N2C[C@H](C)O[C@H](C)[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000614980195 352139100 /nfs/dbraw/zinc/13/91/00/352139100.db2.gz IHGWAOKOFNHFFG-IVZWLZJFSA-N 0 0 298.314 2.745 20 5 CFBDRN Cc1cccc([C@H](O)CNc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000132580369 187636099 /nfs/dbraw/zinc/63/60/99/187636099.db2.gz ZFJILDYDORTPLG-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN CN(Cc1ccc(O)cc1)c1ccc([N+](=O)[O-])s1 ZINC000615708918 352233912 /nfs/dbraw/zinc/23/39/12/352233912.db2.gz RXRVUXZPTZHKFM-UHFFFAOYSA-N 0 0 264.306 2.998 20 5 CFBDRN C[C@@H]1C[C@@H](O)CN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000615946078 352265915 /nfs/dbraw/zinc/26/59/15/352265915.db2.gz STOYVHZDQCUTHC-ZYHUDNBSSA-N 0 0 294.351 2.732 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@H]1C ZINC000132774754 187647054 /nfs/dbraw/zinc/64/70/54/187647054.db2.gz RASGHRATTYXBJW-RKDXNWHRSA-N 0 0 268.338 2.774 20 5 CFBDRN CCc1nnc(COc2ccc(OC)c([N+](=O)[O-])c2)s1 ZINC000616269709 352317917 /nfs/dbraw/zinc/31/79/17/352317917.db2.gz FGBLTVNMXNMQOI-UHFFFAOYSA-N 0 0 295.320 2.596 20 5 CFBDRN COC[C@H]1CCCN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000616060734 352280107 /nfs/dbraw/zinc/28/01/07/352280107.db2.gz JFGTUSHGPKVRNU-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000133004846 187659590 /nfs/dbraw/zinc/65/95/90/187659590.db2.gz WBUSKRUYZDERBM-GXTWGEPZSA-N 0 0 292.335 2.591 20 5 CFBDRN CCn1ccc(CN(C)C(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000616481484 352356667 /nfs/dbraw/zinc/35/66/67/352356667.db2.gz DDPJIPWBLTXOAI-UHFFFAOYSA-N 0 0 293.348 2.750 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000292041724 198143346 /nfs/dbraw/zinc/14/33/46/198143346.db2.gz DRYQLXXYUYZCDL-UHFFFAOYSA-N 0 0 279.340 2.685 20 5 CFBDRN Cc1nc(NC[C@@H](O)c2cccc(F)c2)ccc1[N+](=O)[O-] ZINC000133669597 187699839 /nfs/dbraw/zinc/69/98/39/187699839.db2.gz HHLKOOICLYKKFT-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1ccnc(CN(C)Cc2ccccc2[N+](=O)[O-])c1 ZINC000616745314 352427192 /nfs/dbraw/zinc/42/71/92/352427192.db2.gz AEVDDFYXTSLCIJ-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN Cc1ccoc1CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000292074965 198153830 /nfs/dbraw/zinc/15/38/30/198153830.db2.gz IZUJWQMFBQWLEJ-UHFFFAOYSA-N 0 0 280.305 2.796 20 5 CFBDRN CCOc1cc(N2CC(C)(C)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000617004897 352459576 /nfs/dbraw/zinc/45/95/76/352459576.db2.gz OQBIKBHRNSBUSJ-NSHDSACASA-N 0 0 294.351 2.997 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000292070558 198151661 /nfs/dbraw/zinc/15/16/61/198151661.db2.gz VMGFNIODRAEYDT-JTQLQIEISA-N 0 0 279.340 2.828 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000292113718 198169050 /nfs/dbraw/zinc/16/90/50/198169050.db2.gz NTADVZFFNCHRGS-VIFPVBQESA-N 0 0 266.297 2.611 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1ccsc1[N+](=O)[O-] ZINC000617460376 352566535 /nfs/dbraw/zinc/56/65/35/352566535.db2.gz YLEMCRUAEPKZIG-MRVPVSSYSA-N 0 0 272.326 2.658 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1Cc2cccc(O)c2C1 ZINC000617480663 352571137 /nfs/dbraw/zinc/57/11/37/352571137.db2.gz IEVVQMUFJCIYLN-VIFPVBQESA-N 0 0 276.317 2.941 20 5 CFBDRN COc1ccc(OCCCCCCO)c([N+](=O)[O-])c1 ZINC000134573140 187761561 /nfs/dbraw/zinc/76/15/61/187761561.db2.gz PTZXMDMUMAQTBL-UHFFFAOYSA-N 0 0 269.297 2.535 20 5 CFBDRN C[C@@H](C(=O)N(C)c1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000617837645 352656002 /nfs/dbraw/zinc/65/60/02/352656002.db2.gz QNAXNZBMXVWULW-LLVKDONJSA-N 0 0 285.303 2.756 20 5 CFBDRN CCOc1cccc(N[C@@H](C)CCCO)c1[N+](=O)[O-] ZINC000134926823 187782083 /nfs/dbraw/zinc/78/20/83/187782083.db2.gz XKUQDNDPLMRTET-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN C[C@@H](CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000617709611 352630286 /nfs/dbraw/zinc/63/02/86/352630286.db2.gz NOJROLFEVPQKJQ-WDEREUQCSA-N 0 0 276.336 2.861 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H](C)[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000617761731 352637838 /nfs/dbraw/zinc/63/78/38/352637838.db2.gz ISHKXMQTNQZMDW-MJVIPROJSA-N 0 0 294.326 2.905 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H](C)[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000617761733 352637853 /nfs/dbraw/zinc/63/78/53/352637853.db2.gz ISHKXMQTNQZMDW-SUNKGSAMSA-N 0 0 294.326 2.905 20 5 CFBDRN COc1cccc(C(=O)N[C@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000618123946 352719256 /nfs/dbraw/zinc/71/92/56/352719256.db2.gz DTHIOUYTLMNDPI-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN CSC(C)(C)CNc1nccc(C)c1[N+](=O)[O-] ZINC000135361343 187823719 /nfs/dbraw/zinc/82/37/19/187823719.db2.gz YORXZQOBNCZHHO-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000618174609 352730168 /nfs/dbraw/zinc/73/01/68/352730168.db2.gz VQLXMUGYSDFZHY-STQMWFEESA-N 0 0 273.292 2.732 20 5 CFBDRN CC1CC(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000135559146 187838811 /nfs/dbraw/zinc/83/88/11/187838811.db2.gz NDZCYZZJNYQJMR-UHFFFAOYSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1ccc(-c2noc(-c3ccc([N+](=O)[O-])cn3)n2)o1 ZINC000618406588 352768639 /nfs/dbraw/zinc/76/86/39/352768639.db2.gz BFSNTIRLEILVQO-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CCn1ccc(CN(C)c2nc3cc([N+](=O)[O-])ccc3[nH]2)c1 ZINC000618590186 352824377 /nfs/dbraw/zinc/82/43/77/352824377.db2.gz PVWIUIABRAXDGE-UHFFFAOYSA-N 0 0 299.334 2.929 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)C1CCC1 ZINC000618469218 352780361 /nfs/dbraw/zinc/78/03/61/352780361.db2.gz ZYQCKNATIKYYEE-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN COc1cc(NCc2snnc2C)c([N+](=O)[O-])cc1F ZINC000292166577 198188818 /nfs/dbraw/zinc/18/88/18/198188818.db2.gz DJPXODITWALWJJ-UHFFFAOYSA-N 0 0 298.299 2.515 20 5 CFBDRN COCCC(C)(C)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000230838465 539930890 /nfs/dbraw/zinc/93/08/90/539930890.db2.gz BMKUQRDYBGKVMJ-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN CC(C)[C@H]1CCC[C@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000505582943 535191319 /nfs/dbraw/zinc/19/13/19/535191319.db2.gz XLUHDMMSBFSINB-QWRGUYRKSA-N 0 0 266.345 2.955 20 5 CFBDRN O=[N+]([O-])c1cn(CCCC2CCOCC2)nc1C1CC1 ZINC000619166076 352970394 /nfs/dbraw/zinc/97/03/94/352970394.db2.gz OLWVGWUYCORGLB-UHFFFAOYSA-N 0 0 279.340 2.876 20 5 CFBDRN CC1(C)CN(C(=O)CCCNc2ccc([N+](=O)[O-])cc2)C1 ZINC000619147670 352965814 /nfs/dbraw/zinc/96/58/14/352965814.db2.gz MFVNUMCUEMQVGE-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC(C)(F)C1 ZINC000619420080 353019593 /nfs/dbraw/zinc/01/95/93/353019593.db2.gz VLVUCIUSYYWXMH-UHFFFAOYSA-N 0 0 280.299 2.704 20 5 CFBDRN COc1cc(CN2CC(C(C)C)C2)c([N+](=O)[O-])cc1OC ZINC000619431177 353022312 /nfs/dbraw/zinc/02/23/12/353022312.db2.gz VWHLUWKZTDNWHN-UHFFFAOYSA-N 0 0 294.351 2.700 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](c2ccco2)C1 ZINC000619481103 353028317 /nfs/dbraw/zinc/02/83/17/353028317.db2.gz GMSAAXYTBBEQOF-LLVKDONJSA-N 0 0 290.323 2.707 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H](C)c1cnccc1C ZINC000619488098 353028935 /nfs/dbraw/zinc/02/89/35/353028935.db2.gz TVEDWRIRXXUCGH-JTQLQIEISA-N 0 0 289.339 2.996 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000619499882 353029556 /nfs/dbraw/zinc/02/95/56/353029556.db2.gz CIYVXGULKUDFCY-FZMZJTMJSA-N 0 0 276.336 2.907 20 5 CFBDRN C[C@@H]1C[C@H](C)N1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000619500812 353029689 /nfs/dbraw/zinc/02/96/89/353029689.db2.gz YRUJXMXWGWHPHE-DTORHVGOSA-N 0 0 258.281 2.525 20 5 CFBDRN C[C@@H](Sc1cccnc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000619505937 353030503 /nfs/dbraw/zinc/03/05/03/353030503.db2.gz UWGPXIYNYYNQBO-MRVPVSSYSA-N 0 0 284.337 2.812 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC[C@H](C)[C@H](F)C1 ZINC000619531735 353033321 /nfs/dbraw/zinc/03/33/21/353033321.db2.gz XABXAFJSFYYXLL-GXFFZTMASA-N 0 0 282.315 2.783 20 5 CFBDRN CC1=CCCN(c2ncc([N+](=O)[O-])cc2Br)C1 ZINC000619549409 353035277 /nfs/dbraw/zinc/03/52/77/353035277.db2.gz MBQRPPONQCBLNS-UHFFFAOYSA-N 0 0 298.140 2.909 20 5 CFBDRN C[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])c1ncccc1F ZINC000619574277 353037497 /nfs/dbraw/zinc/03/74/97/353037497.db2.gz MRJIOFOISKHTLU-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN CC(F)(F)CCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000452207173 535197398 /nfs/dbraw/zinc/19/73/98/535197398.db2.gz SMYVRRWQIHECBJ-UHFFFAOYSA-N 0 0 290.241 2.899 20 5 CFBDRN CCCNC(=O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000151743550 187977110 /nfs/dbraw/zinc/97/71/10/187977110.db2.gz CRZSGYPPEJYBEQ-UHFFFAOYSA-N 0 0 288.303 2.653 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000292295936 198233541 /nfs/dbraw/zinc/23/35/41/198233541.db2.gz VNASYXQBODPIDY-UHFFFAOYSA-N 0 0 279.340 2.828 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CCC[C@@H]2C1 ZINC000152412713 188016084 /nfs/dbraw/zinc/01/60/84/188016084.db2.gz INAOSHGTICOZEW-TXEJJXNPSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1sc(C(=O)N2C[C@@H]3CCC[C@@H]3C2)cc1[N+](=O)[O-] ZINC000152831099 188046208 /nfs/dbraw/zinc/04/62/08/188046208.db2.gz VCTRTRRWZALIBB-AOOOYVTPSA-N 0 0 280.349 2.837 20 5 CFBDRN Cc1cnccc1CCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000153171853 188068720 /nfs/dbraw/zinc/06/87/20/188068720.db2.gz YYYCSQQNXMTLNF-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000153373689 188078977 /nfs/dbraw/zinc/07/89/77/188078977.db2.gz UJVKKTBBUMNWOD-NSHDSACASA-N 0 0 277.324 2.536 20 5 CFBDRN Cc1cc(NCc2nnc(C3CC3)o2)c(F)cc1[N+](=O)[O-] ZINC000292336918 198250075 /nfs/dbraw/zinc/25/00/75/198250075.db2.gz BESSRYOFBFZESH-UHFFFAOYSA-N 0 0 292.270 2.915 20 5 CFBDRN CCOCCCCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153602879 188091818 /nfs/dbraw/zinc/09/18/18/188091818.db2.gz YTHJMAFZMFLIRK-UHFFFAOYSA-N 0 0 273.720 2.872 20 5 CFBDRN Cc1cc(N[C@@H](C)CO[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000292345600 198253679 /nfs/dbraw/zinc/25/36/79/198253679.db2.gz BRLIBQQIXWGWTA-QWRGUYRKSA-N 0 0 298.314 2.648 20 5 CFBDRN CCc1ccnc(C(=O)NCCc2cccc([N+](=O)[O-])c2)c1 ZINC000271663030 191266725 /nfs/dbraw/zinc/26/67/25/191266725.db2.gz LLSZURJEQOVKMJ-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@H](C3CC3)C2)c1 ZINC000274186558 192478593 /nfs/dbraw/zinc/47/85/93/192478593.db2.gz PXBVNPAHYHXQNW-NHYWBVRUSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@](CO)(c3ccccc3)C2)cc1 ZINC000292370103 198261825 /nfs/dbraw/zinc/26/18/25/198261825.db2.gz PKXHMZDVJKSGKK-QGZVFWFLSA-N 0 0 298.342 2.735 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@]1(C)CCCO1 ZINC000153881367 188110294 /nfs/dbraw/zinc/11/02/94/188110294.db2.gz OWJVSTBHOLXEPA-AWEZNQCLSA-N 0 0 278.308 2.719 20 5 CFBDRN O=[N+]([O-])c1cccc([C@H](O)CN2Cc3ccccc3C2)c1 ZINC000154135569 188125014 /nfs/dbraw/zinc/12/50/14/188125014.db2.gz HUFHHFQNJLRHSW-MRXNPFEDSA-N 0 0 284.315 2.644 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000154132125 188125655 /nfs/dbraw/zinc/12/56/55/188125655.db2.gz BDBJVHSPTXWGNW-MRVPVSSYSA-N 0 0 252.245 2.719 20 5 CFBDRN CC[C@H](C)OCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000154492730 188145891 /nfs/dbraw/zinc/14/58/91/188145891.db2.gz FNGQTYUMPQBJBM-ZETCQYMHSA-N 0 0 288.250 2.627 20 5 CFBDRN CSC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000292427951 198285323 /nfs/dbraw/zinc/28/53/23/198285323.db2.gz VWMOCRCFWWLALF-NSHDSACASA-N 0 0 294.376 2.810 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CCCSC1 ZINC000155050715 188179162 /nfs/dbraw/zinc/17/91/62/188179162.db2.gz HZZQGZWKNYRXTG-LBPRGKRZSA-N 0 0 294.376 2.539 20 5 CFBDRN Cc1nnsc1CNc1ccc(C)cc1[N+](=O)[O-] ZINC000292457191 198295680 /nfs/dbraw/zinc/29/56/80/198295680.db2.gz ZQGXXJRURLAQLD-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000155979306 188237848 /nfs/dbraw/zinc/23/78/48/188237848.db2.gz PXIOCIILUGDRBD-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000155979529 188238123 /nfs/dbraw/zinc/23/81/23/188238123.db2.gz PXIOCIILUGDRBD-CMPLNLGQSA-N 0 0 292.335 2.912 20 5 CFBDRN CCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000156097987 188242648 /nfs/dbraw/zinc/24/26/48/188242648.db2.gz AICZVEQIGQGGDS-UHFFFAOYSA-N 0 0 281.312 2.914 20 5 CFBDRN Cc1cc(N[C@H]2CCSC2)c(F)cc1[N+](=O)[O-] ZINC000292512398 198315834 /nfs/dbraw/zinc/31/58/34/198315834.db2.gz GMOVDQHFRWPANM-QMMMGPOBSA-N 0 0 256.302 2.960 20 5 CFBDRN CCN(Cc1cnn(C)c1)c1cc(C)c([N+](=O)[O-])cc1F ZINC000292514318 198316056 /nfs/dbraw/zinc/31/60/56/198316056.db2.gz GNKDNRMFXPBNHY-UHFFFAOYSA-N 0 0 292.314 2.802 20 5 CFBDRN CS[C@@H](C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000156920587 188287988 /nfs/dbraw/zinc/28/79/88/188287988.db2.gz HDVZUTHLCUCRKW-VIFPVBQESA-N 0 0 280.349 2.626 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000157310850 188309520 /nfs/dbraw/zinc/30/95/20/188309520.db2.gz RDTVLCPZDKNRSR-MNOVXSKESA-N 0 0 291.351 2.828 20 5 CFBDRN CC(C)(C)CCOCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000292552085 198330341 /nfs/dbraw/zinc/33/03/41/198330341.db2.gz CDZUBYWGRNOTAN-UHFFFAOYSA-N 0 0 288.731 2.820 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2C[C@H](CO)c3ccccc32)c1 ZINC000292563564 198334084 /nfs/dbraw/zinc/33/40/84/198334084.db2.gz BXWMXVAYECHJGR-CYBMUJFWSA-N 0 0 284.315 2.691 20 5 CFBDRN CCOC[C@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000292563443 198334143 /nfs/dbraw/zinc/33/41/43/198334143.db2.gz JUWWWZVNCSHBNC-NSHDSACASA-N 0 0 282.315 2.905 20 5 CFBDRN Cc1cc(NC(C)(C)[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292568714 198335778 /nfs/dbraw/zinc/33/57/78/198335778.db2.gz KCSFKRAZSYGRJG-QMMMGPOBSA-N 0 0 256.277 2.614 20 5 CFBDRN CNC(=O)c1ccc(NCCC2=CCCC2)c([N+](=O)[O-])c1 ZINC000157453662 188319072 /nfs/dbraw/zinc/31/90/72/188319072.db2.gz YRODIAZUKNXCQC-UHFFFAOYSA-N 0 0 289.335 2.867 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292533117 198322915 /nfs/dbraw/zinc/32/29/15/198322915.db2.gz HVERVROVORLGKA-NXEZZACHSA-N 0 0 297.330 2.757 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292533120 198323125 /nfs/dbraw/zinc/32/31/25/198323125.db2.gz HVERVROVORLGKA-UWVGGRQHSA-N 0 0 297.330 2.757 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000271683728 191275473 /nfs/dbraw/zinc/27/54/73/191275473.db2.gz CJAZAAFJKMMZRH-WDEREUQCSA-N 0 0 277.324 2.707 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000292593059 198344134 /nfs/dbraw/zinc/34/41/34/198344134.db2.gz LSYMHZNVPHBGLN-JTQLQIEISA-N 0 0 268.288 2.515 20 5 CFBDRN CN(Cc1nccn1C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000292592534 198344184 /nfs/dbraw/zinc/34/41/84/198344184.db2.gz VEFQQSNJZAJLLT-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN CC[C@](C)(CO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292615392 198351777 /nfs/dbraw/zinc/35/17/77/198351777.db2.gz NEZHOFWGEAVDKA-GFCCVEGCSA-N 0 0 256.277 2.615 20 5 CFBDRN Cc1ccc(C)c(N(C)CCn2cc([N+](=O)[O-])cn2)c1 ZINC000157745455 188336571 /nfs/dbraw/zinc/33/65/71/188336571.db2.gz MPVQITMHRPZVDB-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN CC[C@@H]1CCN1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000292576882 198339407 /nfs/dbraw/zinc/33/94/07/198339407.db2.gz LREYVJVVLGIVGE-SNVBAGLBSA-N 0 0 297.742 2.942 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000157820530 188341183 /nfs/dbraw/zinc/34/11/83/188341183.db2.gz HCPNMNUPDIXSQR-LBPRGKRZSA-N 0 0 280.349 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)C(F)(F)F)c(Cl)c1 ZINC000158101862 188357799 /nfs/dbraw/zinc/35/77/99/188357799.db2.gz NMYOXCWDHLBGIO-QMMMGPOBSA-N 0 0 285.605 2.550 20 5 CFBDRN Cc1nc(CCOc2ncc(C)cc2[N+](=O)[O-])cs1 ZINC000157937859 188348281 /nfs/dbraw/zinc/34/82/81/188348281.db2.gz DSCDJBWRUSVTKY-UHFFFAOYSA-N 0 0 279.321 2.685 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H](CO)C2CCCCC2)c1 ZINC000292659830 198365356 /nfs/dbraw/zinc/36/53/56/198365356.db2.gz CITNGNAHFOVKJP-GFCCVEGCSA-N 0 0 293.367 2.778 20 5 CFBDRN CC[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000158714349 188391168 /nfs/dbraw/zinc/39/11/68/188391168.db2.gz VGDQWIMEOOPAKK-HNNXBMFYSA-N 0 0 299.330 2.800 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)C(C)(C)O ZINC000292688836 198376526 /nfs/dbraw/zinc/37/65/26/198376526.db2.gz FHKLDBUIUYIIQZ-GFCCVEGCSA-N 0 0 252.314 2.865 20 5 CFBDRN COc1cc(NC[C@H](OC)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000292699794 198380921 /nfs/dbraw/zinc/38/09/21/198380921.db2.gz FZMVVBTZVROBOR-ZDUSSCGKSA-N 0 0 284.287 2.579 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1F ZINC000292704985 198382731 /nfs/dbraw/zinc/38/27/31/198382731.db2.gz KGFYBAOJAYXMKT-JTQLQIEISA-N 0 0 297.330 2.698 20 5 CFBDRN CCc1nnc([C@H](C)Nc2cc(C)c([N+](=O)[O-])cc2F)[nH]1 ZINC000292751447 198399832 /nfs/dbraw/zinc/39/98/32/198399832.db2.gz VRFKHKRJVBDDJH-QMMMGPOBSA-N 0 0 293.302 2.896 20 5 CFBDRN CCOC(=O)[C@H](CC)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271695620 191281161 /nfs/dbraw/zinc/28/11/61/191281161.db2.gz GEMIKJLVUAXSFI-JQWIXIFHSA-N 0 0 280.324 2.587 20 5 CFBDRN COC[C@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000292775516 198406733 /nfs/dbraw/zinc/40/67/33/198406733.db2.gz LNEYCSYHASGEEZ-SECBINFHSA-N 0 0 299.714 2.501 20 5 CFBDRN Cc1c(CC(=O)N[C@@H](C)c2cccnc2)cccc1[N+](=O)[O-] ZINC000159749802 188440037 /nfs/dbraw/zinc/44/00/37/188440037.db2.gz HURMWJIPRWCILB-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN C[C@H](NC(=O)OCC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000271704828 191283653 /nfs/dbraw/zinc/28/36/53/191283653.db2.gz OIBJKHLVQGVUDQ-ZETCQYMHSA-N 0 0 292.213 2.944 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1nnc(C(F)F)o1 ZINC000292927636 198464519 /nfs/dbraw/zinc/46/45/19/198464519.db2.gz DKLUBTGRGXXHJK-UHFFFAOYSA-N 0 0 298.249 2.594 20 5 CFBDRN CCCCN(C)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000160594103 188482431 /nfs/dbraw/zinc/48/24/31/188482431.db2.gz PMRCKIGMTOMHEI-UHFFFAOYSA-N 0 0 264.325 2.704 20 5 CFBDRN Cc1nc(NCc2ccccc2[N+](=O)[O-])ccc1[N+](=O)[O-] ZINC000161524741 188532788 /nfs/dbraw/zinc/53/27/88/188532788.db2.gz AQCRJEMYWMRQAC-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN CC(C)c1noc(CN[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271722646 191291157 /nfs/dbraw/zinc/29/11/57/191291157.db2.gz LFYDLFVYRKLZLY-SNVBAGLBSA-N 0 0 290.323 2.952 20 5 CFBDRN COC1(CNC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCC1 ZINC000161885468 188549454 /nfs/dbraw/zinc/54/94/54/188549454.db2.gz FHTUMAYTNOILSX-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CCCCOC(=O)CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271724701 191292452 /nfs/dbraw/zinc/29/24/52/191292452.db2.gz LRLYOGSFTHCEDV-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN CC[C@H](O)CNc1ccc([N+](=O)[O-])cc1Br ZINC000164111074 188620315 /nfs/dbraw/zinc/62/03/15/188620315.db2.gz MVTNZIGQHYEADF-QMMMGPOBSA-N 0 0 289.129 2.540 20 5 CFBDRN C[C@@H](O)c1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc1F ZINC000295270303 199432483 /nfs/dbraw/zinc/43/24/83/199432483.db2.gz HOSDVMUZWDAAAW-SECBINFHSA-N 0 0 298.314 2.653 20 5 CFBDRN Cc1nn(C)cc1CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000168934561 188653381 /nfs/dbraw/zinc/65/33/81/188653381.db2.gz PPUYWSICSQHAMZ-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CCc1nocc1CN(C)Cc1csc([N+](=O)[O-])c1 ZINC000293456171 198642827 /nfs/dbraw/zinc/64/28/27/198642827.db2.gz PUGQDTWTYIGUGD-UHFFFAOYSA-N 0 0 281.337 2.839 20 5 CFBDRN Cc1nc(N(C)[C@H](C)c2cccs2)ncc1[N+](=O)[O-] ZINC000295425229 199502561 /nfs/dbraw/zinc/50/25/61/199502561.db2.gz FGRPFBGLSYXBOT-SECBINFHSA-N 0 0 278.337 2.952 20 5 CFBDRN CC(C)=CCC[C@@H](C)NC(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000295701449 199626808 /nfs/dbraw/zinc/62/68/08/199626808.db2.gz VNUMRBKHXUYNCV-SNVBAGLBSA-N 0 0 294.355 2.501 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1ccc([C@@H](C)O)cc1 ZINC000171830875 188762228 /nfs/dbraw/zinc/76/22/28/188762228.db2.gz APWSVMIZDMXALB-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN CN(CC(F)(F)F)C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000171918377 188767805 /nfs/dbraw/zinc/76/78/05/188767805.db2.gz WFYQUVVXNPJMEU-UHFFFAOYSA-N 0 0 296.632 2.883 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1ccn(C(C)C)n1 ZINC000172216612 188788795 /nfs/dbraw/zinc/78/87/95/188788795.db2.gz CPQTYRRGVJGXDR-UHFFFAOYSA-N 0 0 291.307 2.960 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000172642335 188818732 /nfs/dbraw/zinc/81/87/32/188818732.db2.gz FZGVRNILPHGPMO-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCO[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000271799685 191334172 /nfs/dbraw/zinc/33/41/72/191334172.db2.gz ABCPJKSMGRLRKS-WZRBSPASSA-N 0 0 292.335 2.587 20 5 CFBDRN CC(C)OCCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271834173 191355589 /nfs/dbraw/zinc/35/55/89/191355589.db2.gz SRHAPWUTLPKYBM-NSHDSACASA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@H](NC(=O)CC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000271812802 191342645 /nfs/dbraw/zinc/34/26/45/191342645.db2.gz OQJBNVIZRWZXRU-ZETCQYMHSA-N 0 0 276.214 2.724 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000271829471 191354577 /nfs/dbraw/zinc/35/45/77/191354577.db2.gz RRDPBWNIWYEPGY-UWVGGRQHSA-N 0 0 262.309 2.818 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000173845172 188871177 /nfs/dbraw/zinc/87/11/77/188871177.db2.gz MRNUNOHYWCTWKT-NSHDSACASA-N 0 0 294.351 2.837 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000271982529 191445087 /nfs/dbraw/zinc/44/50/87/191445087.db2.gz OVMLOHFZJNXRQS-ONGXEEELSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(NCC1CCCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272119070 191524494 /nfs/dbraw/zinc/52/44/94/191524494.db2.gz RMVRFZYXLDTCPW-UHFFFAOYSA-N 0 0 298.289 2.993 20 5 CFBDRN CCCCN[C@@H](c1nccn1C)c1ccc([N+](=O)[O-])cc1 ZINC000272540603 191776825 /nfs/dbraw/zinc/77/68/25/191776825.db2.gz PBCFVYHAVSUHLJ-CQSZACIVSA-N 0 0 288.351 2.807 20 5 CFBDRN COc1ccc(NC(=O)C[C@H](C)SC)cc1[N+](=O)[O-] ZINC000272816007 191930468 /nfs/dbraw/zinc/93/04/68/191930468.db2.gz NZKSGWXHUZAUAT-QMMMGPOBSA-N 0 0 284.337 2.684 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000272819192 191931408 /nfs/dbraw/zinc/93/14/08/191931408.db2.gz OQTSIESDRJFQCR-MRVPVSSYSA-N 0 0 264.281 2.588 20 5 CFBDRN CCC(C)(C)NC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272869308 191951494 /nfs/dbraw/zinc/95/14/94/191951494.db2.gz UFLYTMVMIYHQBY-UHFFFAOYSA-N 0 0 281.312 2.914 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCCS1 ZINC000272962743 191999691 /nfs/dbraw/zinc/99/96/91/191999691.db2.gz MSGZJACXUONUFD-LLVKDONJSA-N 0 0 284.312 2.958 20 5 CFBDRN C[C@H]1C[C@H](CCNc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000273025315 192023080 /nfs/dbraw/zinc/02/30/80/192023080.db2.gz CLRYNEKWOJIKQO-WDEREUQCSA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1nc(C2CC2)oc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000273523802 192186271 /nfs/dbraw/zinc/18/62/71/192186271.db2.gz PZLGLQNCNPRXBU-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN C[C@@H]1C[C@H](CCNC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000273563968 192205774 /nfs/dbraw/zinc/20/57/74/192205774.db2.gz NMTVLIAYNBWSHY-VXGBXAGGSA-N 0 0 292.335 2.530 20 5 CFBDRN C[C@@H]1C[C@@H](CCNC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000273583125 192215827 /nfs/dbraw/zinc/21/58/27/192215827.db2.gz PMVBLSZXUHTKPW-ZJUUUORDSA-N 0 0 298.364 2.591 20 5 CFBDRN CCS[C@@H]1CCC[C@@H](Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000273599182 192224931 /nfs/dbraw/zinc/22/49/31/192224931.db2.gz IZOPRVTWUFBOIU-MWLCHTKSSA-N 0 0 282.369 2.861 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1nc(C)cs1 ZINC000273684271 192259920 /nfs/dbraw/zinc/25/99/20/192259920.db2.gz ZTUCYAIXVIIYNZ-SECBINFHSA-N 0 0 279.325 2.713 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000005676652 291111889 /nfs/dbraw/zinc/11/18/89/291111889.db2.gz CZPGOZVPCXIUJB-RYUDHWBXSA-N 0 0 276.336 2.642 20 5 CFBDRN Cc1ccc(C[C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000296030484 199759612 /nfs/dbraw/zinc/75/96/12/199759612.db2.gz ZRCKPIYXWURUSQ-JTQLQIEISA-N 0 0 298.408 2.939 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000568091256 290523501 /nfs/dbraw/zinc/52/35/01/290523501.db2.gz PTVPYXLWXACLGX-QWRGUYRKSA-N 0 0 296.298 2.614 20 5 CFBDRN Cc1nnsc1CN[C@H](C)c1cccc([N+](=O)[O-])c1C ZINC000293888264 198812419 /nfs/dbraw/zinc/81/24/19/198812419.db2.gz ZAHVPCIMSNSAFR-SECBINFHSA-N 0 0 292.364 2.914 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CC12CCCC2 ZINC000568583403 290560124 /nfs/dbraw/zinc/56/01/24/290560124.db2.gz SNGJKLVDBBQMSM-CYBMUJFWSA-N 0 0 289.335 2.727 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000294033097 198878704 /nfs/dbraw/zinc/87/87/04/198878704.db2.gz JTMHDNGLDCBNAC-DTWKUNHWSA-N 0 0 273.292 2.557 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)CCCO1 ZINC000294035703 198879676 /nfs/dbraw/zinc/87/96/76/198879676.db2.gz LNBUYHRWILILCG-LBPRGKRZSA-N 0 0 297.330 2.567 20 5 CFBDRN Cc1cncc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1 ZINC000294124637 198918942 /nfs/dbraw/zinc/91/89/42/198918942.db2.gz OEKKEZLONUTZTF-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN Cc1ccoc1CN(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000294138937 198923571 /nfs/dbraw/zinc/92/35/71/198923571.db2.gz PFSMSZQISTYWHX-UHFFFAOYSA-N 0 0 288.303 2.697 20 5 CFBDRN Cc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000294222318 198957089 /nfs/dbraw/zinc/95/70/89/198957089.db2.gz KPWOGWUFVSJGAF-UHFFFAOYSA-N 0 0 295.295 2.677 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1ncc([N+](=O)[O-])s1)OC ZINC000294360408 199012203 /nfs/dbraw/zinc/01/22/03/199012203.db2.gz ZZDRXDPOQHUINA-HTQZYQBOSA-N 0 0 259.331 2.524 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)[C@@H]1C ZINC000294363150 199013382 /nfs/dbraw/zinc/01/33/82/199013382.db2.gz XFLLXVYCCVHDRR-NXEZZACHSA-N 0 0 289.335 2.650 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)[C@@H]1C ZINC000294368883 199014113 /nfs/dbraw/zinc/01/41/13/199014113.db2.gz XKUFVHSUDKVKBA-HTQZYQBOSA-N 0 0 268.700 2.729 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000294368894 199014578 /nfs/dbraw/zinc/01/45/78/199014578.db2.gz XKUFVHSUDKVKBA-SFYZADRCSA-N 0 0 268.700 2.729 20 5 CFBDRN CC(C)(CCC(=O)Nc1cc(Cl)ccn1)[N+](=O)[O-] ZINC000294492468 199060882 /nfs/dbraw/zinc/06/08/82/199060882.db2.gz JEWSOPSWNQFEGQ-UHFFFAOYSA-N 0 0 271.704 2.509 20 5 CFBDRN CC(C)CN(C)C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000294495040 199060987 /nfs/dbraw/zinc/06/09/87/199060987.db2.gz UYLZRSFMPVSHBC-UHFFFAOYSA-N 0 0 292.335 2.672 20 5 CFBDRN CCC1(CC)CN(C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000294479252 199055740 /nfs/dbraw/zinc/05/57/40/199055740.db2.gz RLGFNUKTOBKJPO-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])c1cccc(OC)c1 ZINC000294519583 199070611 /nfs/dbraw/zinc/07/06/11/199070611.db2.gz WILODOLPMPWYDW-UHFFFAOYSA-N 0 0 294.351 2.884 20 5 CFBDRN CC(C)c1nc(COc2ccc([N+](=O)[O-])c(F)c2F)no1 ZINC000296080788 199776952 /nfs/dbraw/zinc/77/69/52/199776952.db2.gz VXXNITPFVDSTEP-UHFFFAOYSA-N 0 0 299.233 2.958 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCc2cc(F)ccc21)[N+](=O)[O-] ZINC000294580138 199094832 /nfs/dbraw/zinc/09/48/32/199094832.db2.gz PVADFASCKFNGSZ-CYBMUJFWSA-N 0 0 294.326 2.765 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCc2cc(F)ccc21)[N+](=O)[O-] ZINC000294580147 199094852 /nfs/dbraw/zinc/09/48/52/199094852.db2.gz PVADFASCKFNGSZ-ZDUSSCGKSA-N 0 0 294.326 2.765 20 5 CFBDRN CC(C)(NC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000294614477 199108291 /nfs/dbraw/zinc/10/82/91/199108291.db2.gz DRUZZAMJHWAIBM-UHFFFAOYSA-N 0 0 278.352 2.873 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2ccccn2)cc([N+](=O)[O-])c1 ZINC000147253748 540375290 /nfs/dbraw/zinc/37/52/90/540375290.db2.gz BYRKQWATBJYLCT-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1nc(C(F)F)n(Cc2ccc([N+](=O)[O-])cc2F)n1 ZINC000418971078 236418662 /nfs/dbraw/zinc/41/86/62/236418662.db2.gz JXVXTEKXYUFCLS-UHFFFAOYSA-N 0 0 286.213 2.620 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])cc1F)CC(C)C ZINC000419000754 236424278 /nfs/dbraw/zinc/42/42/78/236424278.db2.gz YIJCOCPRVROCGG-SNVBAGLBSA-N 0 0 271.292 2.602 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NCC(F)F)cc1[N+](=O)[O-] ZINC000294780012 199179815 /nfs/dbraw/zinc/17/98/15/199179815.db2.gz AFKJYALOPCWKKG-UHFFFAOYSA-N 0 0 278.642 2.552 20 5 CFBDRN CCn1cc(N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)cn1 ZINC000294860601 199218509 /nfs/dbraw/zinc/21/85/09/199218509.db2.gz SYTXRHNQJVRTPL-VIFPVBQESA-N 0 0 275.312 2.567 20 5 CFBDRN C[C@H](CCCO)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000294845551 199210134 /nfs/dbraw/zinc/21/01/34/199210134.db2.gz AJUZEVSPJXYSJK-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1cccnc1CCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000294865170 199221153 /nfs/dbraw/zinc/22/11/53/199221153.db2.gz ANQPVFQCDOXOKB-UHFFFAOYSA-N 0 0 286.335 2.925 20 5 CFBDRN CC[C@H](C)[C@H](CNc1ccc([N+](=O)[O-])c(N)c1F)OC ZINC000294900022 199238316 /nfs/dbraw/zinc/23/83/16/199238316.db2.gz HAGLPSPAJZXTIH-KWQFWETISA-N 0 0 285.319 2.789 20 5 CFBDRN CC/C=C/CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000294934593 199257594 /nfs/dbraw/zinc/25/75/94/199257594.db2.gz HPKJASYFSLJODJ-ONEGZZNKSA-N 0 0 275.308 2.858 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC(CF)CC1 ZINC000296307057 199848557 /nfs/dbraw/zinc/84/85/57/199848557.db2.gz KQDKRGFOWQHIQF-UHFFFAOYSA-N 0 0 299.277 2.947 20 5 CFBDRN Cc1cccc(F)c1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000296348417 199861583 /nfs/dbraw/zinc/86/15/83/199861583.db2.gz QWVZDPKWHWQJIO-UHFFFAOYSA-N 0 0 268.288 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSC[C@H]2C[C@@H](O)C2)cc1 ZINC000421219579 236760698 /nfs/dbraw/zinc/76/06/98/236760698.db2.gz PKCUBPYUOKJIEP-BJHJDKERSA-N 0 0 267.350 2.641 20 5 CFBDRN CC1(C)OCC[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000296398545 199879876 /nfs/dbraw/zinc/87/98/76/199879876.db2.gz VOBFROXLYCFBNY-NSHDSACASA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1c(CNC(=O)[C@]23C[C@H]2CCC3)cccc1[N+](=O)[O-] ZINC000421509506 236829219 /nfs/dbraw/zinc/82/92/19/236829219.db2.gz RUCIGDCJAGSUBE-IUODEOHRSA-N 0 0 274.320 2.710 20 5 CFBDRN CC(C)(CCC(=O)OCCCc1ccccc1)[N+](=O)[O-] ZINC000296472380 199904139 /nfs/dbraw/zinc/90/41/39/199904139.db2.gz HOZRKFVQDARFNI-UHFFFAOYSA-N 0 0 279.336 2.998 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)NOC(C)C)cc1[N+](=O)[O-] ZINC000296578558 199938556 /nfs/dbraw/zinc/93/85/56/199938556.db2.gz STYQTGWGJYUYBC-SNVBAGLBSA-N 0 0 281.312 2.603 20 5 CFBDRN CCC(=O)[C@H]1CCCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000274773666 192768998 /nfs/dbraw/zinc/76/89/98/192768998.db2.gz IOKLTBICKHVXTB-SNVBAGLBSA-N 0 0 296.348 2.630 20 5 CFBDRN O=C(OC1CCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000496787017 295991719 /nfs/dbraw/zinc/99/17/19/295991719.db2.gz SJJWGYQVJCSLPL-UHFFFAOYSA-N 0 0 276.292 2.642 20 5 CFBDRN CCC1(NC(=O)Nc2ccc([N+](=O)[O-])cc2OC)CC1 ZINC000296914966 200033636 /nfs/dbraw/zinc/03/36/36/200033636.db2.gz PFAPSPABPDXACZ-UHFFFAOYSA-N 0 0 279.296 2.668 20 5 CFBDRN CCc1nc(CNc2cc([N+](=O)[O-])c(C)cc2F)no1 ZINC000297050478 200071865 /nfs/dbraw/zinc/07/18/65/200071865.db2.gz VOEDWYJTOFIQJW-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC(CF)CC1 ZINC000297010924 200061230 /nfs/dbraw/zinc/06/12/30/200061230.db2.gz SFXHBXLWTWUBIC-ZZXKWVIFSA-N 0 0 292.310 2.816 20 5 CFBDRN C[C@H](NC(=O)OC1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000297128914 200092429 /nfs/dbraw/zinc/09/24/29/200092429.db2.gz QGBXPGKHYKJDGH-JTQLQIEISA-N 0 0 294.307 2.561 20 5 CFBDRN CCC1(NC(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000297206977 200114804 /nfs/dbraw/zinc/11/48/04/200114804.db2.gz GUIPRUSCHUABHX-JTQLQIEISA-N 0 0 277.324 2.898 20 5 CFBDRN CC(C)[C@H](CCO)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297285382 200134315 /nfs/dbraw/zinc/13/43/15/200134315.db2.gz XOYWGRNSSPTKDX-AWEZNQCLSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1c(NC(=O)N(C)[C@@H](C)C(C)(C)O)cccc1[N+](=O)[O-] ZINC000297501342 200211593 /nfs/dbraw/zinc/21/15/93/200211593.db2.gz QHXKZMDNGITBDW-JTQLQIEISA-N 0 0 295.339 2.526 20 5 CFBDRN CCSCC[C@@H](C)N(C)c1ncc([N+](=O)[O-])c(C)n1 ZINC000297667284 200257092 /nfs/dbraw/zinc/25/70/92/200257092.db2.gz ZNMNTNAJDOWUBQ-SECBINFHSA-N 0 0 284.385 2.661 20 5 CFBDRN CN(C(=O)c1cnc(C2CC2)nc1)c1cccc([N+](=O)[O-])c1 ZINC000297625633 200245095 /nfs/dbraw/zinc/24/50/95/200245095.db2.gz FDMACLDWYJOJDR-UHFFFAOYSA-N 0 0 298.302 2.539 20 5 CFBDRN CC(C)(NC(=O)[C@]1(C)CCCO1)c1ccccc1[N+](=O)[O-] ZINC000297826016 200288066 /nfs/dbraw/zinc/28/80/66/200288066.db2.gz LLRGRYGCHIAERN-HNNXBMFYSA-N 0 0 292.335 2.515 20 5 CFBDRN O=C(NCC1=CCCCC1)Nc1ccncc1[N+](=O)[O-] ZINC000297921221 200304293 /nfs/dbraw/zinc/30/42/93/200304293.db2.gz ZGLIZLKZUQODQH-UHFFFAOYSA-N 0 0 276.296 2.612 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000297949118 200307925 /nfs/dbraw/zinc/30/79/25/200307925.db2.gz ZYFBZPHQASHTJQ-CYBMUJFWSA-N 0 0 280.324 2.911 20 5 CFBDRN O=[N+]([O-])c1ccccc1Sc1n[nH]c(C2CC2)n1 ZINC000298106364 200334611 /nfs/dbraw/zinc/33/46/11/200334611.db2.gz RSHVBNVKDXXDIO-UHFFFAOYSA-N 0 0 262.294 2.742 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1Cc1ccc2c(c1)CCC2 ZINC000298170112 200350393 /nfs/dbraw/zinc/35/03/93/200350393.db2.gz FQZRILNAOYEJAC-UHFFFAOYSA-N 0 0 284.315 2.602 20 5 CFBDRN Cc1ccc(C(=O)NCC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000298220756 200364228 /nfs/dbraw/zinc/36/42/28/200364228.db2.gz FXPAAYTZAFEXIW-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN CCOC1(C)CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000298200879 200358183 /nfs/dbraw/zinc/35/81/83/200358183.db2.gz CJBYLIBOUOKTBP-UHFFFAOYSA-N 0 0 292.335 2.626 20 5 CFBDRN CCC[C@@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000298200073 200358207 /nfs/dbraw/zinc/35/82/07/200358207.db2.gz FXONRZGPSNQAIB-GFCCVEGCSA-N 0 0 255.339 2.989 20 5 CFBDRN CCN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000298200135 200358675 /nfs/dbraw/zinc/35/86/75/200358675.db2.gz FTBAZJDUFUPFFB-BJMVGYQFSA-N 0 0 260.293 2.619 20 5 CFBDRN CC(C)[C@@H](C)N(C(=O)c1ccc([N+](=O)[O-])o1)C1CC1 ZINC000298273557 200379387 /nfs/dbraw/zinc/37/93/87/200379387.db2.gz CBACSJDCZGSWSA-SECBINFHSA-N 0 0 266.297 2.837 20 5 CFBDRN CC(C)[C@@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000298282030 200381333 /nfs/dbraw/zinc/38/13/33/200381333.db2.gz LZCIOWDVAJLJAP-LBPRGKRZSA-N 0 0 255.339 2.845 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)NCC(F)F)cc1[N+](=O)[O-] ZINC000176724567 189006144 /nfs/dbraw/zinc/00/61/44/189006144.db2.gz PHNMENCPULXHJS-MRVPVSSYSA-N 0 0 287.266 2.529 20 5 CFBDRN CC(C)CN(C(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000298332504 200398382 /nfs/dbraw/zinc/39/83/82/200398382.db2.gz JYCLTEAFJXYTIQ-UHFFFAOYSA-N 0 0 262.309 2.855 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])NCC1CCCC1 ZINC000298336957 200399477 /nfs/dbraw/zinc/39/94/77/200399477.db2.gz GZWBNLLHSRLYPG-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000176998768 189020063 /nfs/dbraw/zinc/02/00/63/189020063.db2.gz HBCJRPIXVWNSOH-ONGXEEELSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cc(NCc2cn(C)nc2C)c([N+](=O)[O-])s1 ZINC000596189339 540704815 /nfs/dbraw/zinc/70/48/15/540704815.db2.gz HJOSKMKDASIPDE-UHFFFAOYSA-N 0 0 266.326 2.619 20 5 CFBDRN C[C@]1(CNC(=O)c2csc([N+](=O)[O-])c2)CCCS1 ZINC000177299564 189042637 /nfs/dbraw/zinc/04/26/37/189042637.db2.gz BGIWPNIVMXMARN-LLVKDONJSA-N 0 0 286.378 2.672 20 5 CFBDRN CN(CC1CC1)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000298680907 200502339 /nfs/dbraw/zinc/50/23/39/200502339.db2.gz NAIIIWPNISYQQO-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN CCOC(=O)[C@@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000298746755 200523960 /nfs/dbraw/zinc/52/39/60/200523960.db2.gz HSJKDNUWRIQWSS-NSHDSACASA-N 0 0 298.364 2.574 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C2CCOCC2)cc1[N+](=O)[O-] ZINC000177852927 189074433 /nfs/dbraw/zinc/07/44/33/189074433.db2.gz OBUFENCXFKUKRB-LLVKDONJSA-N 0 0 292.335 2.507 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177866869 189075291 /nfs/dbraw/zinc/07/52/91/189075291.db2.gz GWOAZHZTBBYFIQ-ZKYQVNSYSA-N 0 0 292.335 2.505 20 5 CFBDRN CO[C@H](C)c1noc(CSc2ccc([N+](=O)[O-])cc2)n1 ZINC000177913717 189079090 /nfs/dbraw/zinc/07/90/90/189079090.db2.gz KVTADHWAQIYCLM-MRVPVSSYSA-N 0 0 295.320 2.978 20 5 CFBDRN CCS[C@@H]1CCC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000178107874 189091100 /nfs/dbraw/zinc/09/11/00/189091100.db2.gz UFTGWVLUWZNQMB-DGCLKSJQSA-N 0 0 294.376 2.999 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1[C@@H]2CCC[C@H]12 ZINC000178145140 189093205 /nfs/dbraw/zinc/09/32/05/189093205.db2.gz USAVNEXTUJRZQF-QYJAPNMZSA-N 0 0 260.293 2.888 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])c(C)s2)CC1 ZINC000178188435 189096856 /nfs/dbraw/zinc/09/68/56/189096856.db2.gz NKTLDFJXXKIVBA-UHFFFAOYSA-N 0 0 268.338 2.885 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](O)c2ccccc2C)n1 ZINC000178223027 189098662 /nfs/dbraw/zinc/09/86/62/189098662.db2.gz AKAGFUKUPQDNIY-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1ncsc1CN(C)c1nc(C)ccc1[N+](=O)[O-] ZINC000178219972 189098801 /nfs/dbraw/zinc/09/88/01/189098801.db2.gz ZREUFUQVFNCWSD-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](CCO)CC(C)C)n1 ZINC000178243388 189099746 /nfs/dbraw/zinc/09/97/46/189099746.db2.gz OSNHGEJJSUGBMO-LBPRGKRZSA-N 0 0 281.356 2.755 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000178440218 189116645 /nfs/dbraw/zinc/11/66/45/189116645.db2.gz RDMHJUBYXTZYIW-VHSXEESVSA-N 0 0 262.309 2.818 20 5 CFBDRN O=C(NCC1CC1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000178456847 189118052 /nfs/dbraw/zinc/11/80/52/189118052.db2.gz QGNGXXIALXIDAJ-UHFFFAOYSA-N 0 0 288.225 2.753 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)C(C)C)c1 ZINC000299191959 200635669 /nfs/dbraw/zinc/63/56/69/200635669.db2.gz SAUCFUOAJCLBIE-JTQLQIEISA-N 0 0 280.324 2.720 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C(C1CC1)C1CC1 ZINC000178818978 189148301 /nfs/dbraw/zinc/14/83/01/189148301.db2.gz IZUBUKSTIICVGK-UHFFFAOYSA-N 0 0 274.320 2.647 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])cnc1SCC1CCCCC1 ZINC000299336370 200684943 /nfs/dbraw/zinc/68/49/43/200684943.db2.gz RWLMBFXNLMMHTH-UHFFFAOYSA-N 0 0 295.364 2.761 20 5 CFBDRN COC[C@H](C)CNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000179472158 189197203 /nfs/dbraw/zinc/19/72/03/189197203.db2.gz KGKFYMLSIXEOBM-SECBINFHSA-N 0 0 294.351 2.532 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000299579936 200788060 /nfs/dbraw/zinc/78/80/60/200788060.db2.gz DHGNBXFFSULURC-SECBINFHSA-N 0 0 263.297 2.777 20 5 CFBDRN CCOC1CCN(c2cc(OC)c(F)cc2[N+](=O)[O-])CC1 ZINC000301612033 540914618 /nfs/dbraw/zinc/91/46/18/540914618.db2.gz FKUXKLMXGXBJEZ-UHFFFAOYSA-N 0 0 298.314 2.748 20 5 CFBDRN O=C(N[C@@H]1CCCOCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000180175442 189241438 /nfs/dbraw/zinc/24/14/38/189241438.db2.gz YQJGTCULQODAIK-SNVBAGLBSA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(N[C@@H]1CCCOCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000180032092 189233775 /nfs/dbraw/zinc/23/37/75/189233775.db2.gz VQUAAXJWLALFMZ-SNVBAGLBSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H](O)Cc1ccccc1 ZINC000301168566 200930427 /nfs/dbraw/zinc/93/04/27/200930427.db2.gz DNAXJZQPGXKBAV-CQSZACIVSA-N 0 0 286.331 2.919 20 5 CFBDRN CCCC[C@@H]1CCC[C@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301209200 200939141 /nfs/dbraw/zinc/93/91/41/200939141.db2.gz VIRXXAMKWNULIL-NXEZZACHSA-N 0 0 279.344 2.738 20 5 CFBDRN Cc1cc(NC[C@H](O)Cc2ccccc2)ccc1[N+](=O)[O-] ZINC000301155666 200927618 /nfs/dbraw/zinc/92/76/18/200927618.db2.gz ADNLKEGHMAANDM-OAHLLOKOSA-N 0 0 286.331 2.919 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1CCCCCCC1 ZINC000180898874 189282636 /nfs/dbraw/zinc/28/26/36/189282636.db2.gz RLYQIBJTXBCTMP-UHFFFAOYSA-N 0 0 277.324 2.583 20 5 CFBDRN CC1CCC(CNC(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000180971638 189285031 /nfs/dbraw/zinc/28/50/31/189285031.db2.gz CVTRUAMVXRLLJQ-UHFFFAOYSA-N 0 0 291.351 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2cccnc2)c(F)c1 ZINC000301296961 200967254 /nfs/dbraw/zinc/96/72/54/200967254.db2.gz SLNDEYQOIVSWJO-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN CO[C@H](C)COc1c(Br)cccc1[N+](=O)[O-] ZINC000181036863 189286691 /nfs/dbraw/zinc/28/66/91/189286691.db2.gz ATZYWZSEGVOGDE-SSDOTTSWSA-N 0 0 290.113 2.771 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Nc1cnn(CC(F)F)c1 ZINC000301312637 200974094 /nfs/dbraw/zinc/97/40/94/200974094.db2.gz JBDIINFRYWHMGE-UHFFFAOYSA-N 0 0 286.213 2.939 20 5 CFBDRN CC(C)CC1(CNC(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000181183173 189290087 /nfs/dbraw/zinc/29/00/87/189290087.db2.gz ISHAPOPGYJSMRH-UHFFFAOYSA-N 0 0 291.351 2.733 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000181182066 189290377 /nfs/dbraw/zinc/29/03/77/189290377.db2.gz TUZJSLYOHJWABX-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN C[C@@](O)(CNc1ccccc1[N+](=O)[O-])c1cccs1 ZINC000301341880 200981069 /nfs/dbraw/zinc/98/10/69/200981069.db2.gz GTZKRPQJVGOBFL-CYBMUJFWSA-N 0 0 278.333 2.976 20 5 CFBDRN Cc1cc(COC(=O)[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000181293486 189293411 /nfs/dbraw/zinc/29/34/11/189293411.db2.gz JCSCPYOYMRLKPF-JTQLQIEISA-N 0 0 263.293 2.993 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCCCO2)c1 ZINC000301432759 201006436 /nfs/dbraw/zinc/00/64/36/201006436.db2.gz HQMCDDGFZDHYSX-SECBINFHSA-N 0 0 284.287 2.724 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000301432316 201006594 /nfs/dbraw/zinc/00/65/94/201006594.db2.gz JHVDAZVGTQEUPS-GXFFZTMASA-N 0 0 267.329 2.507 20 5 CFBDRN C[C@@]1(CO)CCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000301369625 200988434 /nfs/dbraw/zinc/98/84/34/200988434.db2.gz RVYYSILZQSRTGO-STQMWFEESA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H](CCO)C3CC3)ccc2c1 ZINC000301368007 200988454 /nfs/dbraw/zinc/98/84/54/200988454.db2.gz RPLPAEVNEPGICQ-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)[C@@H](O)CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000301409680 201000047 /nfs/dbraw/zinc/00/00/47/201000047.db2.gz JIJFSOLQDFCNIL-AWEZNQCLSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](O)c1ccco1 ZINC000301425906 201003183 /nfs/dbraw/zinc/00/31/83/201003183.db2.gz CJSCYXBKKMQWIZ-LBPRGKRZSA-N 0 0 262.265 2.642 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1C ZINC000301425380 201003266 /nfs/dbraw/zinc/00/32/66/201003266.db2.gz BXHSLBTXQSDVNK-RNCFNFMXSA-N 0 0 252.314 2.722 20 5 CFBDRN COCc1ccc(Sc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000301455779 201014376 /nfs/dbraw/zinc/01/43/76/201014376.db2.gz BUTYCDWFEVDMQO-UHFFFAOYSA-N 0 0 293.348 2.934 20 5 CFBDRN Cc1cc(NCC(C)(C)O)c(Cl)cc1[N+](=O)[O-] ZINC000301455052 201014937 /nfs/dbraw/zinc/01/49/37/201014937.db2.gz WDRAZQIUWBOVBW-UHFFFAOYSA-N 0 0 258.705 2.739 20 5 CFBDRN Cc1cc(N(C)[C@@H]2[C@H]3CCO[C@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000301461456 201018298 /nfs/dbraw/zinc/01/82/98/201018298.db2.gz YZHCXIQUYNCHJT-LERXQTSPSA-N 0 0 291.351 2.548 20 5 CFBDRN CCCCc1nc(Cn2cc([N+](=O)[O-])c(C)n2)cs1 ZINC000181814319 189307760 /nfs/dbraw/zinc/30/77/60/189307760.db2.gz XUKJXBIBRPGICA-UHFFFAOYSA-N 0 0 280.353 2.947 20 5 CFBDRN CCCCN(CCCC)C(=O)Cn1cc([N+](=O)[O-])c(C)n1 ZINC000181835049 189308061 /nfs/dbraw/zinc/30/80/61/189308061.db2.gz VJOHHFMQSIUHDK-UHFFFAOYSA-N 0 0 296.371 2.529 20 5 CFBDRN CCOC(=O)[C@H](CC)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181841873 189308294 /nfs/dbraw/zinc/30/82/94/189308294.db2.gz ZIJMJHOJCXCDBW-YPMHNXCESA-N 0 0 294.351 2.896 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)C[C@H](C)O1 ZINC000182005717 189312000 /nfs/dbraw/zinc/31/20/00/189312000.db2.gz IYKYXVBDAFQHOQ-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@]1(CNc2ccccc2[N+](=O)[O-])CCCC[C@@H]1O ZINC000301498096 201031743 /nfs/dbraw/zinc/03/17/43/201031743.db2.gz VIHBCICUPKJIMU-UONOGXRCSA-N 0 0 264.325 2.948 20 5 CFBDRN CCOc1cc(N2C[C@@H](CC)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301505076 201035661 /nfs/dbraw/zinc/03/56/61/201035661.db2.gz GOYHUPLSHBKCJS-WCQYABFASA-N 0 0 294.351 2.997 20 5 CFBDRN CCOc1cc(NC[C@](C)(O)C(C)C)ccc1[N+](=O)[O-] ZINC000301508048 201037901 /nfs/dbraw/zinc/03/79/01/201037901.db2.gz DKCSGWCGQCTXFU-AWEZNQCLSA-N 0 0 282.340 2.812 20 5 CFBDRN COCCC[C@@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000182229049 189317853 /nfs/dbraw/zinc/31/78/53/189317853.db2.gz SQONNGMBWFYGRJ-SNVBAGLBSA-N 0 0 297.307 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@H](C)c2nccs2)n1 ZINC000182355347 189321077 /nfs/dbraw/zinc/32/10/77/189321077.db2.gz KGKWCXVAXYEXPM-VIFPVBQESA-N 0 0 292.364 2.995 20 5 CFBDRN CC[C@H](C)Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000182327839 189320133 /nfs/dbraw/zinc/32/01/33/189320133.db2.gz HAQKCWVRSURKHU-JTQLQIEISA-N 0 0 260.297 2.899 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)c2nccs2)n1 ZINC000182668291 189330721 /nfs/dbraw/zinc/33/07/21/189330721.db2.gz GCVSIKXIVWVWRE-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2ncnc3n[nH]cc32)cc1[N+](=O)[O-] ZINC000301600296 201090504 /nfs/dbraw/zinc/09/05/04/201090504.db2.gz UWTDKPJZRNJOQK-SECBINFHSA-N 0 0 298.306 2.743 20 5 CFBDRN CC(=O)c1ccc(NC[C@@]2(C)CCCO2)c([N+](=O)[O-])c1 ZINC000301577945 201077774 /nfs/dbraw/zinc/07/77/74/201077774.db2.gz RLVLNGDMGYJBMQ-CQSZACIVSA-N 0 0 278.308 2.778 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000182490919 189325690 /nfs/dbraw/zinc/32/56/90/189325690.db2.gz IOXGNNRNWCQMBW-UHFFFAOYSA-N 0 0 272.251 2.630 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCO1 ZINC000182572685 189327572 /nfs/dbraw/zinc/32/75/72/189327572.db2.gz DAAXZTYEIRQTHO-KOLCDFICSA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@@H](C[C@@H](O)c1ccco1)Nc1ncccc1[N+](=O)[O-] ZINC000301629551 201106371 /nfs/dbraw/zinc/10/63/71/201106371.db2.gz IETWNVCUKIRWEU-GXSJLCMTSA-N 0 0 277.280 2.507 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCCOCC2)c([N+](=O)[O-])c1 ZINC000182998451 189342412 /nfs/dbraw/zinc/34/24/12/189342412.db2.gz VXMVWJYPFAKONM-GFCCVEGCSA-N 0 0 278.308 2.778 20 5 CFBDRN Cc1nc(N[C@H](C)c2nnc3ccccn32)ccc1[N+](=O)[O-] ZINC000301637894 201110436 /nfs/dbraw/zinc/11/04/36/201110436.db2.gz LIEKZUCNLJNKLH-SNVBAGLBSA-N 0 0 298.306 2.514 20 5 CFBDRN O=C1C[C@H](CNc2ccc([N+](=O)[O-])cn2)c2ccccc2N1 ZINC000301607434 201093042 /nfs/dbraw/zinc/09/30/42/201093042.db2.gz ZHVSFLAEHWJVGM-SNVBAGLBSA-N 0 0 298.302 2.528 20 5 CFBDRN Cc1nc(N2CC[C@H](Nc3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000301624388 201101718 /nfs/dbraw/zinc/10/17/18/201101718.db2.gz GMNNZKUZUJEVKU-AWEZNQCLSA-N 0 0 298.346 2.989 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCOc1ncccc1Cl ZINC000301647883 201113120 /nfs/dbraw/zinc/11/31/20/201113120.db2.gz INXKYMOOKZBBOO-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN CCc1nc(CNc2ccc([N+](=O)[O-])c(C)n2)cs1 ZINC000301666984 201124235 /nfs/dbraw/zinc/12/42/35/201124235.db2.gz OTNTWGFLSGWGAW-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN Cc1nc(NC[C@](C)(O)CCC(C)C)ccc1[N+](=O)[O-] ZINC000301664760 201125019 /nfs/dbraw/zinc/12/50/19/201125019.db2.gz NVGISDCRFNMHGQ-CQSZACIVSA-N 0 0 281.356 2.897 20 5 CFBDRN CCc1c[nH]c(Sc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])n1 ZINC000301668190 201125377 /nfs/dbraw/zinc/12/53/77/201125377.db2.gz NBFADFKMPZGPAS-UHFFFAOYSA-N 0 0 294.292 2.940 20 5 CFBDRN Cc1c(NCc2nc(C(F)F)no2)cccc1[N+](=O)[O-] ZINC000424560416 238787006 /nfs/dbraw/zinc/78/70/06/238787006.db2.gz HTQFZEZZFGMDCE-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN CC(C)(C)CC(C)(C)NC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000183496431 189360240 /nfs/dbraw/zinc/36/02/40/189360240.db2.gz UQISJCFELVETPP-UHFFFAOYSA-N 0 0 294.355 2.517 20 5 CFBDRN O=C([O-])[C@@H]1CCCC[N@@H+]1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000050444694 304105633 /nfs/dbraw/zinc/10/56/33/304105633.db2.gz WSHSVMRANRQRNZ-NSHDSACASA-N 0 0 298.726 2.687 20 5 CFBDRN CCC1(CC)[C@H](Nc2c([N+](=O)[O-])c(C)nn2C)C[C@H]1OC ZINC000301721677 201160640 /nfs/dbraw/zinc/16/06/40/201160640.db2.gz QWYSDBOJCFISMM-GHMZBOCLSA-N 0 0 296.371 2.642 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1nc(C2CC2)no1 ZINC000301731540 201164631 /nfs/dbraw/zinc/16/46/31/201164631.db2.gz DWZYBNALMONEMT-UHFFFAOYSA-N 0 0 295.686 2.516 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000301763753 201181451 /nfs/dbraw/zinc/18/14/51/201181451.db2.gz CIQIRGGZPHKDQW-SNVBAGLBSA-N 0 0 286.278 2.878 20 5 CFBDRN Cc1cc(N(CCO)CC2CC2)c(Cl)cc1[N+](=O)[O-] ZINC000301752355 201175820 /nfs/dbraw/zinc/17/58/20/201175820.db2.gz BLTUUZCGRDVPQQ-UHFFFAOYSA-N 0 0 284.743 2.765 20 5 CFBDRN CC(C)[C@@H](C)N(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CC1 ZINC000184317681 189382967 /nfs/dbraw/zinc/38/29/67/189382967.db2.gz UETPCEHMNHEWSZ-SNVBAGLBSA-N 0 0 291.351 2.826 20 5 CFBDRN NC(=O)[C@H]1CCCCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000301792541 201199166 /nfs/dbraw/zinc/19/91/66/201199166.db2.gz RHRVBJULHGJWML-JQWIXIFHSA-N 0 0 295.314 2.580 20 5 CFBDRN CCOc1cccc(NCCOC(C)C)c1[N+](=O)[O-] ZINC000184149542 189378192 /nfs/dbraw/zinc/37/81/92/189378192.db2.gz NWGHYJAXFGUOPG-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN COC1(CNc2ccc(C)cc2[N+](=O)[O-])CCOCC1 ZINC000301802988 201205668 /nfs/dbraw/zinc/20/56/68/201205668.db2.gz XGCSRJXGHAQJCH-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN CN(C[C@H]1CCC[C@@H]1O)c1ccc([N+](=O)[O-])cc1Cl ZINC000301817058 201214499 /nfs/dbraw/zinc/21/44/99/201214499.db2.gz FAZNGSAAEQUILA-RNCFNFMXSA-N 0 0 284.743 2.845 20 5 CFBDRN CN(C[C@H]1CCC[C@H]1O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000301815474 201214879 /nfs/dbraw/zinc/21/48/79/201214879.db2.gz DCHGNPKAAOHXPX-NOZJJQNGSA-N 0 0 284.743 2.845 20 5 CFBDRN CCn1nccc1CN(C)c1cccc(C)c1[N+](=O)[O-] ZINC000425265237 238975647 /nfs/dbraw/zinc/97/56/47/238975647.db2.gz ZFPSXHPFHIRJLW-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN CCOc1cc(N(C)C[C@@H]2CCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000301881245 201245977 /nfs/dbraw/zinc/24/59/77/201245977.db2.gz ZWGPPYYYDRKEPM-SMDDNHRTSA-N 0 0 294.351 2.591 20 5 CFBDRN Nc1nc(N[C@H]2CCCc3sccc32)ncc1[N+](=O)[O-] ZINC000161063597 283691035 /nfs/dbraw/zinc/69/10/35/283691035.db2.gz PFSMYLDXFQOJNM-QMMMGPOBSA-N 0 0 291.336 2.518 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@@H]1CCCO1 ZINC000301842822 201228603 /nfs/dbraw/zinc/22/86/03/201228603.db2.gz KEONOPUIDGJSHT-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])[C@H]1COc2ccccc21 ZINC000301860164 201235579 /nfs/dbraw/zinc/23/55/79/201235579.db2.gz SVRRLBUIGLEMSM-ZYHUDNBSSA-N 0 0 285.303 2.966 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301865838 201239098 /nfs/dbraw/zinc/23/90/98/201239098.db2.gz BKDBJOJZVOSQMS-WDEREUQCSA-N 0 0 289.339 2.652 20 5 CFBDRN COc1cc(N2CCC(C)(C)C2)c(F)cc1[N+](=O)[O-] ZINC000301910396 201257249 /nfs/dbraw/zinc/25/72/49/201257249.db2.gz BUZMJAPMDSZLKR-UHFFFAOYSA-N 0 0 268.288 2.979 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NCCC3CCCC3)nn21 ZINC000301912766 201259476 /nfs/dbraw/zinc/25/94/76/201259476.db2.gz HGDMDPCUANJZIY-UHFFFAOYSA-N 0 0 275.312 2.630 20 5 CFBDRN C[C@@H](CNc1sccc1[N+](=O)[O-])Cn1ccnc1 ZINC000301929190 201267204 /nfs/dbraw/zinc/26/72/04/201267204.db2.gz JECPCHXKKMTLOX-VIFPVBQESA-N 0 0 266.326 2.601 20 5 CFBDRN Cc1noc([C@@H]2CCCN(c3sccc3[N+](=O)[O-])C2)n1 ZINC000301940841 201269520 /nfs/dbraw/zinc/26/95/20/201269520.db2.gz UXKMBJJJBNHBIB-SECBINFHSA-N 0 0 294.336 2.732 20 5 CFBDRN Cc1cc(NC2C[C@@H](C)O[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000301961573 201279985 /nfs/dbraw/zinc/27/99/85/201279985.db2.gz MSKYEGIWHURNTA-NXEZZACHSA-N 0 0 265.313 2.666 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000425472159 239073215 /nfs/dbraw/zinc/07/32/15/239073215.db2.gz GNWUKMNPYAMLIC-MFKMUULPSA-N 0 0 289.335 2.816 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000425472158 239073254 /nfs/dbraw/zinc/07/32/54/239073254.db2.gz GNWUKMNPYAMLIC-GXFFZTMASA-N 0 0 289.335 2.816 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CC2CCOCC2)c1 ZINC000301987295 201288486 /nfs/dbraw/zinc/28/84/86/201288486.db2.gz ZOZBTTMHXZHMGR-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1cc(NC[C@H](C)CCO)c2cccc([N+](=O)[O-])c2n1 ZINC000301992049 201289934 /nfs/dbraw/zinc/28/99/34/201289934.db2.gz GFWMYSMVZSTKTM-SNVBAGLBSA-N 0 0 289.335 2.882 20 5 CFBDRN Cc1cc(NCC2(CO)CC2)c2cccc([N+](=O)[O-])c2n1 ZINC000301991573 201290950 /nfs/dbraw/zinc/29/09/50/201290950.db2.gz GCZPJXYTHLELHS-UHFFFAOYSA-N 0 0 287.319 2.636 20 5 CFBDRN NC(=O)c1cncc(Oc2ccc([N+](=O)[O-])cc2Cl)c1 ZINC000302009391 201296121 /nfs/dbraw/zinc/29/61/21/201296121.db2.gz ACFJAKRYPDJIRL-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000302016680 201300929 /nfs/dbraw/zinc/30/09/29/201300929.db2.gz CJFPHVRNRYFMJU-IUODEOHRSA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@@H](C)O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000302029809 201308333 /nfs/dbraw/zinc/30/83/33/201308333.db2.gz MNCHLORVJAIURB-ZJUUUORDSA-N 0 0 284.743 2.764 20 5 CFBDRN COC(=O)C[C@H](C)Sc1sccc1[N+](=O)[O-] ZINC000302000791 201291981 /nfs/dbraw/zinc/29/19/81/201291981.db2.gz IXLMRMQDLFFNRE-LURJTMIESA-N 0 0 261.324 2.700 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCc1ccc(CO)cc1 ZINC000301994995 201292515 /nfs/dbraw/zinc/29/25/15/201292515.db2.gz HETLOAUHXFRGGO-UHFFFAOYSA-N 0 0 290.294 2.881 20 5 CFBDRN CC(C)OC(=O)CSc1sccc1[N+](=O)[O-] ZINC000302004513 201294307 /nfs/dbraw/zinc/29/43/07/201294307.db2.gz KHARXBPDKXTQSE-UHFFFAOYSA-N 0 0 261.324 2.700 20 5 CFBDRN Cc1ccc(N(C)C[C@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000302063724 201327488 /nfs/dbraw/zinc/32/74/88/201327488.db2.gz RZFPZTNOPGUPPS-DOMZBBRYSA-N 0 0 278.352 2.891 20 5 CFBDRN O=[N+]([O-])c1cccnc1Nc1cccc(CCCO)c1 ZINC000302041705 201314146 /nfs/dbraw/zinc/31/41/46/201314146.db2.gz IHLBLSBTZACSAT-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN O=[N+]([O-])c1cnc(N(CC2CCCCC2)C2CC2)nc1 ZINC000302116508 201347948 /nfs/dbraw/zinc/34/79/48/201347948.db2.gz RSHIEDVABUYCAS-UHFFFAOYSA-N 0 0 276.340 2.934 20 5 CFBDRN CCOc1cccc(NCC2(CO)CCC2)c1[N+](=O)[O-] ZINC000302158131 201372296 /nfs/dbraw/zinc/37/22/96/201372296.db2.gz OJKFDIYUBTTWKQ-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN Cc1cc(N[C@H](C)C[S@@](C)=O)c(Cl)cc1[N+](=O)[O-] ZINC000302157910 201372461 /nfs/dbraw/zinc/37/24/61/201372461.db2.gz NFPMQNRFZSRKLR-NTCNTBNZSA-N 0 0 290.772 2.736 20 5 CFBDRN Cc1cc(N[C@@H](C)C[S@](C)=O)c(Cl)cc1[N+](=O)[O-] ZINC000302157907 201372704 /nfs/dbraw/zinc/37/27/04/201372704.db2.gz NFPMQNRFZSRKLR-ASCAZGACSA-N 0 0 290.772 2.736 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc(Cl)cc2F)nc1 ZINC000302157735 201372860 /nfs/dbraw/zinc/37/28/60/201372860.db2.gz NMDIJONXOZCTNU-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc([N+](=O)[O-])c(Cl)c2)nc1 ZINC000302139039 201360055 /nfs/dbraw/zinc/36/00/55/201360055.db2.gz CULKGAQYVZJQMX-UHFFFAOYSA-N 0 0 296.626 2.739 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000186642389 189445713 /nfs/dbraw/zinc/44/57/13/189445713.db2.gz YRHWZGXACXJFKG-VHSXEESVSA-N 0 0 266.272 2.965 20 5 CFBDRN Cc1cc(N(C)C)ccc1Nc1ncc([N+](=O)[O-])cn1 ZINC000302172973 201380973 /nfs/dbraw/zinc/38/09/73/201380973.db2.gz VRHDPAMZWLGIFH-UHFFFAOYSA-N 0 0 273.296 2.503 20 5 CFBDRN CCOC(=O)[C@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000302183074 201386418 /nfs/dbraw/zinc/38/64/18/201386418.db2.gz ZNLNWUSUVQOZCW-MNOVXSKESA-N 0 0 280.324 2.903 20 5 CFBDRN O=C(C[C@H]1C=CCCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000187046500 189457297 /nfs/dbraw/zinc/45/72/97/189457297.db2.gz RRHOTIVXYDLFKG-AWEZNQCLSA-N 0 0 288.347 3.000 20 5 CFBDRN CC[C@]1(CO)CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000187627588 189471908 /nfs/dbraw/zinc/47/19/08/189471908.db2.gz TYPMJLJNVSESPP-ZDUSSCGKSA-N 0 0 284.381 2.641 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1Cl ZINC000428119277 239386388 /nfs/dbraw/zinc/38/63/88/239386388.db2.gz YWQPRMLDKWTHSG-GDGBQDQQSA-N 0 0 280.711 2.777 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000428090113 239377483 /nfs/dbraw/zinc/37/74/83/239377483.db2.gz KOIFXFPLTWRWNQ-WDAIWFPHSA-N 0 0 285.303 2.604 20 5 CFBDRN CCc1ccccc1Cn1cc([N+](=O)[O-])c(C)cc1=O ZINC000188224082 189494854 /nfs/dbraw/zinc/49/48/54/189494854.db2.gz SCKREDFTUHRPLM-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN COCc1cccc(CNc2ncc([N+](=O)[O-])s2)c1 ZINC000189683064 189542393 /nfs/dbraw/zinc/54/23/93/189542393.db2.gz MOANOCNKJFSVCR-UHFFFAOYSA-N 0 0 279.321 2.810 20 5 CFBDRN C[C@H]1CC[C@@H](CN2CCc3ccc([N+](=O)[O-])cc32)O1 ZINC000191095118 189581957 /nfs/dbraw/zinc/58/19/57/189581957.db2.gz QUFRZCCSUSKXMC-GWCFXTLKSA-N 0 0 262.309 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCSC[C@@H](C)C1 ZINC000191323290 189591820 /nfs/dbraw/zinc/59/18/20/189591820.db2.gz HBOKRIXTFCNIFU-JTQLQIEISA-N 0 0 294.376 2.728 20 5 CFBDRN Cc1cc(C(=O)OCc2ncc(C)c([N+](=O)[O-])c2C)co1 ZINC000191368752 189593846 /nfs/dbraw/zinc/59/38/46/189593846.db2.gz MXYVYWQHPDVRMH-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CS[C@H]1CCCCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000192501857 189623189 /nfs/dbraw/zinc/62/31/89/189623189.db2.gz XGFIIQMMJLIIBZ-QMMMGPOBSA-N 0 0 273.383 2.773 20 5 CFBDRN Cc1ccnc(-c2noc(-c3cccc([N+](=O)[O-])c3C)n2)n1 ZINC000432687976 240030194 /nfs/dbraw/zinc/03/01/94/240030194.db2.gz AYYZGZCCXAWETD-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN C/C=C/C[C@H](NCc1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC000432911126 240065718 /nfs/dbraw/zinc/06/57/18/240065718.db2.gz HMDXZRLWMRVTRZ-KQIUPUNMSA-N 0 0 292.335 2.582 20 5 CFBDRN CCC[C@H](CNc1ccccc1[N+](=O)[O-])C(=O)OCC ZINC000432916406 240067350 /nfs/dbraw/zinc/06/73/50/240067350.db2.gz HWAKPCZAHRAUJM-LLVKDONJSA-N 0 0 280.324 2.986 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H](CO)c1ccsc1 ZINC000302305684 201430044 /nfs/dbraw/zinc/43/00/44/201430044.db2.gz ZMMMZCPDKHFXDX-VIFPVBQESA-N 0 0 299.739 2.850 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000432931824 240072770 /nfs/dbraw/zinc/07/27/70/240072770.db2.gz NBRGNZQPVBBOJU-WDEREUQCSA-N 0 0 278.308 2.657 20 5 CFBDRN CCCN(C(=O)[C@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000194133092 189655359 /nfs/dbraw/zinc/65/53/59/189655359.db2.gz WSDSYEMBKNFNID-LBPRGKRZSA-N 0 0 292.335 2.764 20 5 CFBDRN O=[N+]([O-])c1ncn(Cc2ccc(-c3cccs3)cc2)n1 ZINC000434651154 240252448 /nfs/dbraw/zinc/25/24/48/240252448.db2.gz VECARKQHROPIKY-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2cccnc2)C2CC2)s1 ZINC000195510470 189676801 /nfs/dbraw/zinc/67/68/01/189676801.db2.gz KXMFWCLSAXJMKP-UHFFFAOYSA-N 0 0 276.321 2.615 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(OC(F)F)C2)c2ncccc12 ZINC000435604306 240329469 /nfs/dbraw/zinc/32/94/69/240329469.db2.gz OJEIOHFOXDDWMG-UHFFFAOYSA-N 0 0 295.245 2.571 20 5 CFBDRN Cc1cccc(N(C)C[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000275400455 193021990 /nfs/dbraw/zinc/02/19/90/193021990.db2.gz DVPNNKIUAJIDIV-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CC1(C)CN(Cc2cc(Cl)ccc2[N+](=O)[O-])CC[C@@H]1O ZINC000275486270 193055298 /nfs/dbraw/zinc/05/52/98/193055298.db2.gz OYKPGZBBWXOWJI-ZDUSSCGKSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)[C@H](C)[C@H](C)O1 ZINC000438359926 240669336 /nfs/dbraw/zinc/66/93/36/240669336.db2.gz RKVLHWFIIQLPRM-BBBLOLIVSA-N 0 0 270.354 2.654 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2cccc(C)c2[N+](=O)[O-])[C@H]1OC ZINC000275526839 193068482 /nfs/dbraw/zinc/06/84/82/193068482.db2.gz XNMFPHARUYXWOC-OUCADQQQSA-N 0 0 280.324 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2nc3ccccc3o2)cn1 ZINC000439701959 240790158 /nfs/dbraw/zinc/79/01/58/240790158.db2.gz BEMQIOQMPCSORF-UHFFFAOYSA-N 0 0 284.275 2.786 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NCC(F)F ZINC000275739315 193117143 /nfs/dbraw/zinc/11/71/43/193117143.db2.gz BQQRDWZPPSGMMD-UHFFFAOYSA-N 0 0 278.642 2.552 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)C1 ZINC000275739512 193118165 /nfs/dbraw/zinc/11/81/65/193118165.db2.gz BVPOURYQRJXEFJ-LBPRGKRZSA-N 0 0 292.335 2.579 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC=C(C)CC1 ZINC000275833809 193142181 /nfs/dbraw/zinc/14/21/81/193142181.db2.gz YXJKANAYLOYUDZ-UHFFFAOYSA-N 0 0 291.307 2.787 20 5 CFBDRN N#CN(CC(=O)c1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000440202232 240822088 /nfs/dbraw/zinc/82/20/88/240822088.db2.gz LWTQQPQIJANGID-UHFFFAOYSA-N 0 0 281.271 2.765 20 5 CFBDRN COc1cccc(CCNc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000441797041 240951373 /nfs/dbraw/zinc/95/13/73/240951373.db2.gz AYALLZNQGUWNTJ-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN Cn1c2cc(NCc3ccccc3[N+](=O)[O-])ccc2[nH]c1=O ZINC000442967726 241025584 /nfs/dbraw/zinc/02/55/84/241025584.db2.gz PWKBOZXNYTVJRC-UHFFFAOYSA-N 0 0 298.302 2.799 20 5 CFBDRN CC(=O)Nc1ccc(Oc2ncc([N+](=O)[O-])s2)c(F)c1 ZINC000443513220 241070288 /nfs/dbraw/zinc/07/02/88/241070288.db2.gz YQFGIBIURNSSER-UHFFFAOYSA-N 0 0 297.267 2.941 20 5 CFBDRN Cc1ccc(C[N@H+]2CC[C@H](C)C[C@H]2[C@H](C)O)cc1[N+](=O)[O-] ZINC000443775004 241086476 /nfs/dbraw/zinc/08/64/76/241086476.db2.gz AYEGSESZYVYFNS-RBOXIYTFSA-N 0 0 292.379 2.885 20 5 CFBDRN CC(C)[C@H]1CCCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000443995157 241105328 /nfs/dbraw/zinc/10/53/28/241105328.db2.gz QDEKJYDHKKFOGI-NSHDSACASA-N 0 0 289.339 2.510 20 5 CFBDRN COc1ccc(NC(=O)c2coc(C)c2)cc1[N+](=O)[O-] ZINC000444287027 241133933 /nfs/dbraw/zinc/13/39/33/241133933.db2.gz AXBQKXJIPUFEMU-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(C2CC2)cn1 ZINC000445989613 241259004 /nfs/dbraw/zinc/25/90/04/241259004.db2.gz WMULRFYXESQQOQ-UHFFFAOYSA-N 0 0 297.314 2.797 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1ncco1 ZINC000446067598 241269805 /nfs/dbraw/zinc/26/98/05/241269805.db2.gz AVSDVSHKGIMVFN-UHFFFAOYSA-N 0 0 293.304 2.622 20 5 CFBDRN Cc1c(CN2C[C@H](C)O[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000446072140 241272146 /nfs/dbraw/zinc/27/21/46/241272146.db2.gz LNVFLFCYVDFQCH-DRZSPHRISA-N 0 0 278.352 2.901 20 5 CFBDRN Cc1c(CN2C[C@H](C)O[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000446072142 241272242 /nfs/dbraw/zinc/27/22/42/241272242.db2.gz LNVFLFCYVDFQCH-UHTWSYAYSA-N 0 0 278.352 2.901 20 5 CFBDRN Cc1ccc(Cn2nccc2[N+](=O)[O-])cc1Br ZINC000445595590 241225716 /nfs/dbraw/zinc/22/57/16/241225716.db2.gz ADXHJAUZLRCFCR-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN COC[C@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000445642121 241228118 /nfs/dbraw/zinc/22/81/18/241228118.db2.gz KPOLYHMOIKHBPD-SECBINFHSA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@]1(C)CCO[C@H]1C ZINC000446485535 241301953 /nfs/dbraw/zinc/30/19/53/241301953.db2.gz QIFCADVPKAQMCI-HZMBPMFUSA-N 0 0 293.323 2.592 20 5 CFBDRN O=C(CC1CC1)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000447294939 241363386 /nfs/dbraw/zinc/36/33/86/241363386.db2.gz INWNVPDFYATTPR-UHFFFAOYSA-N 0 0 285.303 2.828 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000302579233 201482004 /nfs/dbraw/zinc/48/20/04/201482004.db2.gz SSGJSEDLYPJCCH-BNOWGMLFSA-N 0 0 262.309 2.883 20 5 CFBDRN O=C(Nc1ccc2cc[nH]c2c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000447642751 241397527 /nfs/dbraw/zinc/39/75/27/241397527.db2.gz HHGNKIUJGCPWFA-UHFFFAOYSA-N 0 0 270.248 2.657 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000448674084 241509912 /nfs/dbraw/zinc/50/99/12/241509912.db2.gz DOOIIZIDDXPDEC-DYEKYZERSA-N 0 0 288.347 2.989 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@@H]2O)c2cccc([N+](=O)[O-])c2n1 ZINC000302667416 201504292 /nfs/dbraw/zinc/50/42/92/201504292.db2.gz MWYSJWBTIFOCSC-FZMZJTMJSA-N 0 0 287.319 2.777 20 5 CFBDRN CCn1ccnc1[C@H](C)NCc1ccc([N+](=O)[O-])cc1C ZINC000449563608 242034992 /nfs/dbraw/zinc/03/49/92/242034992.db2.gz WVPFVGUIMTUTGR-LBPRGKRZSA-N 0 0 288.351 2.970 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)NCCc1ccccc1[N+](=O)[O-] ZINC000449968421 242227645 /nfs/dbraw/zinc/22/76/45/242227645.db2.gz YCXOSFUXAKQFBM-MELADBBJSA-N 0 0 288.347 2.690 20 5 CFBDRN CCOCCCCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450263399 242330114 /nfs/dbraw/zinc/33/01/14/242330114.db2.gz QIEUYDBLLCMZKC-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN CCc1ccc([C@H](COC)Nc2ccc([N+](=O)[O-])nc2)o1 ZINC000450351563 242370448 /nfs/dbraw/zinc/37/04/48/242370448.db2.gz VLLRLVCCMFMPNP-LBPRGKRZSA-N 0 0 291.307 2.945 20 5 CFBDRN Cc1cc(C)c(CNc2ncc([N+](=O)[O-])c(C)n2)c(C)c1 ZINC000450286098 242340654 /nfs/dbraw/zinc/34/06/54/242340654.db2.gz KWNOXMVTGVNNJM-UHFFFAOYSA-N 0 0 286.335 2.652 20 5 CFBDRN C[C@@H](c1csnn1)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000450227727 242313791 /nfs/dbraw/zinc/31/37/91/242313791.db2.gz RQHXQVNBZKBLKK-VIFPVBQESA-N 0 0 278.337 2.639 20 5 CFBDRN CCc1ccc([C@@H](CO)Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000450402529 242398851 /nfs/dbraw/zinc/39/88/51/242398851.db2.gz IZXNWXLRLFXNLC-CQSZACIVSA-N 0 0 287.319 2.698 20 5 CFBDRN CCOc1cc(N2CC[C@@](C)(COC)C2)ccc1[N+](=O)[O-] ZINC000450606354 242501129 /nfs/dbraw/zinc/50/11/29/242501129.db2.gz TYPXRXKERXLOGR-OAHLLOKOSA-N 0 0 294.351 2.856 20 5 CFBDRN Cc1ccc(CN(C)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000302711485 201520676 /nfs/dbraw/zinc/52/06/76/201520676.db2.gz XBIOFYDSKMPLKK-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1cc(NC[C@H]2CCO[C@@H](C(C)C)C2)ncc1[N+](=O)[O-] ZINC000450741950 242574400 /nfs/dbraw/zinc/57/44/00/242574400.db2.gz ZCGQRPQCGWHFBH-GXTWGEPZSA-N 0 0 293.367 2.583 20 5 CFBDRN Cc1cc(N[C@H](C(C)C)[C@@H](CO)C(C)C)ncc1[N+](=O)[O-] ZINC000450771668 242595181 /nfs/dbraw/zinc/59/51/81/242595181.db2.gz OJDWDAJNNGLFLS-SWLSCSKDSA-N 0 0 295.383 2.999 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1ccc(F)c(F)c1 ZINC000302755212 201540089 /nfs/dbraw/zinc/54/00/89/201540089.db2.gz IGRGFIAGDALQFM-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN Cc1cc(N2CC[C@@H]3C[C@H](O)CC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000450810151 242615445 /nfs/dbraw/zinc/61/54/45/242615445.db2.gz KJJKDBDOMDEEDZ-VNHYZAJKSA-N 0 0 290.363 2.891 20 5 CFBDRN Cc1cnc(NCCNc2ccc([N+](=O)[O-])cc2)s1 ZINC000302827843 201569318 /nfs/dbraw/zinc/56/93/18/201569318.db2.gz IGKZBHVKGJXOJF-UHFFFAOYSA-N 0 0 278.337 2.884 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452181586 243078231 /nfs/dbraw/zinc/07/82/31/243078231.db2.gz AYNJSSOCTDDEAT-OPQQBVKSSA-N 0 0 262.309 2.818 20 5 CFBDRN CO[C@H](C)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000275987012 193181376 /nfs/dbraw/zinc/18/13/76/193181376.db2.gz DNQFJGHISUBNMH-SSDOTTSWSA-N 0 0 276.239 2.643 20 5 CFBDRN Cc1c(CC(=O)N[C@@H](C)C(C)(F)F)cccc1[N+](=O)[O-] ZINC000452456235 243178576 /nfs/dbraw/zinc/17/85/76/243178576.db2.gz LEZWOULFPHWNOM-VIFPVBQESA-N 0 0 286.278 2.606 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@H]1C1CC1 ZINC000452494527 243195543 /nfs/dbraw/zinc/19/55/43/243195543.db2.gz BLYGSCAVMMTOLX-HNNXBMFYSA-N 0 0 299.330 2.630 20 5 CFBDRN CC/C=C/CNC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000452614921 243247431 /nfs/dbraw/zinc/24/74/31/243247431.db2.gz SRXKTLADIMCQGD-AATRIKPKSA-N 0 0 262.309 2.853 20 5 CFBDRN CCC[C@H](NC(=O)CCn1cc([N+](=O)[O-])cn1)C(C)(C)C ZINC000452660599 243254677 /nfs/dbraw/zinc/25/46/77/243254677.db2.gz IAZXRDNDSMUOMH-LBPRGKRZSA-N 0 0 296.371 2.512 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN=c1[nH]oc(C)c1C ZINC000453053314 243377678 /nfs/dbraw/zinc/37/76/78/243377678.db2.gz SQBOBUHFWYKKKU-UHFFFAOYSA-N 0 0 291.307 2.632 20 5 CFBDRN CC(C)(C)n1cc(/C=C\c2ccnc([N+](=O)[O-])c2)nn1 ZINC000453038009 243372050 /nfs/dbraw/zinc/37/20/50/243372050.db2.gz XMZWRFAZCRXLLN-PLNGDYQASA-N 0 0 273.296 2.507 20 5 CFBDRN CCSCCNc1cc(C(=O)OC)cc([N+](=O)[O-])c1C ZINC000453122725 243414594 /nfs/dbraw/zinc/41/45/94/243414594.db2.gz OVEURRAGPZPZPU-UHFFFAOYSA-N 0 0 298.364 2.855 20 5 CFBDRN CCC(C)(C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000453262455 243483062 /nfs/dbraw/zinc/48/30/62/243483062.db2.gz SHAZHAVMVMXRFQ-UHFFFAOYSA-N 0 0 251.242 2.925 20 5 CFBDRN C[C@@H]1N(Cc2cccc([N+](=O)[O-])c2)CCOC1(C)C ZINC000330609252 203034638 /nfs/dbraw/zinc/03/46/38/203034638.db2.gz LVYANOASWALQAJ-NSHDSACASA-N 0 0 264.325 2.594 20 5 CFBDRN COC[C@@H]1CCCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000454133737 243637592 /nfs/dbraw/zinc/63/75/92/243637592.db2.gz HOGOPSFPYGKLPM-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN CC(C)(NC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000456152358 244089092 /nfs/dbraw/zinc/08/90/92/244089092.db2.gz XNFAGIZKLKRYCX-UHFFFAOYSA-N 0 0 276.336 2.832 20 5 CFBDRN C[C@@H](Nc1ccc2cc([N+](=O)[O-])ccc2n1)[C@H](C)CO ZINC000226147204 189769537 /nfs/dbraw/zinc/76/95/37/189769537.db2.gz HCNURCMHWMBGCV-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN CS(=O)(=O)c1cc([N+](=O)[O-])ccc1NC1CCCCC1 ZINC000276053634 193199757 /nfs/dbraw/zinc/19/97/57/193199757.db2.gz JLBQHDXOQPULDF-UHFFFAOYSA-N 0 0 298.364 2.743 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000456290484 244127321 /nfs/dbraw/zinc/12/73/21/244127321.db2.gz WATPRUIAIBNCSL-GJZGRUSLSA-N 0 0 286.331 2.855 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000456322922 244139311 /nfs/dbraw/zinc/13/93/11/244139311.db2.gz FCFZDXMRKUPPQQ-UWVGGRQHSA-N 0 0 282.365 2.987 20 5 CFBDRN CCCc1ncc(CNC(=O)c2csc([N+](=O)[O-])c2)o1 ZINC000456496616 244202463 /nfs/dbraw/zinc/20/24/63/244202463.db2.gz FSMSDPGKZCSEMK-UHFFFAOYSA-N 0 0 295.320 2.527 20 5 CFBDRN CCC[C@@](C)(O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000226232239 189770162 /nfs/dbraw/zinc/77/01/62/189770162.db2.gz OKMPJXMPWKAXGI-GFCCVEGCSA-N 0 0 256.277 2.697 20 5 CFBDRN CCC[C@@H]1CCCC[C@@H]1CNC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000456843159 244301619 /nfs/dbraw/zinc/30/16/19/244301619.db2.gz GXXSSGIJWOJHNT-GHMZBOCLSA-N 0 0 294.355 2.654 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000330807223 203259065 /nfs/dbraw/zinc/25/90/65/203259065.db2.gz LLYASABZGSUOAN-WDEREUQCSA-N 0 0 294.376 2.944 20 5 CFBDRN Cc1c(Cn2cnc(C(C)(C)C)n2)cccc1[N+](=O)[O-] ZINC000330812526 203267413 /nfs/dbraw/zinc/26/74/13/203267413.db2.gz KTTQHXIJUHLLJN-UHFFFAOYSA-N 0 0 274.324 2.841 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H](C)[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000457011978 244358169 /nfs/dbraw/zinc/35/81/69/244358169.db2.gz SMZBMBINLKCAGX-YWVKMMECSA-N 0 0 294.355 2.571 20 5 CFBDRN C[C@H]1CCC[C@@H](CCNC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330829320 203287414 /nfs/dbraw/zinc/28/74/14/203287414.db2.gz XESSBDCTAWFEGC-QWRGUYRKSA-N 0 0 279.340 2.869 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000457195613 244430548 /nfs/dbraw/zinc/43/05/48/244430548.db2.gz FJVXZKKKCRJJEQ-QMMMGPOBSA-N 0 0 254.286 2.742 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOC2CCCCC2)s1 ZINC000226772927 189778322 /nfs/dbraw/zinc/77/83/22/189778322.db2.gz BSSHTNRLAZPWRE-UHFFFAOYSA-N 0 0 271.342 2.813 20 5 CFBDRN COC1(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCC1 ZINC000227342332 189783172 /nfs/dbraw/zinc/78/31/72/189783172.db2.gz WXEBRRDSFBYDMF-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)[C@@H]1CCCO1 ZINC000227648962 189786980 /nfs/dbraw/zinc/78/69/80/189786980.db2.gz MQKBVRGRCAZGEV-XCBNKYQSSA-N 0 0 271.704 2.623 20 5 CFBDRN CSC[C@@](C)(O)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000230198828 189807325 /nfs/dbraw/zinc/80/73/25/189807325.db2.gz QJQWHBNXROOERS-NSHDSACASA-N 0 0 290.772 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CSC1(C)C ZINC000276171429 193232035 /nfs/dbraw/zinc/23/20/35/193232035.db2.gz BHKDWAGOSMIVOM-NSHDSACASA-N 0 0 280.349 2.527 20 5 CFBDRN CCC(O)(CC)CCNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000276222506 193248480 /nfs/dbraw/zinc/24/84/80/193248480.db2.gz CLHRGSCDFWOSSO-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN O=C(N[C@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])cc1 ZINC000351695199 284165183 /nfs/dbraw/zinc/16/51/83/284165183.db2.gz SLWGNHLMAPPNSM-MGULZYLOSA-N 0 0 274.320 2.903 20 5 CFBDRN NC(=O)[C@H]1CCCC[C@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000276234309 193251800 /nfs/dbraw/zinc/25/18/00/193251800.db2.gz OBMLGTRVUMFMJW-VHSXEESVSA-N 0 0 297.742 2.704 20 5 CFBDRN CCC(O)(CC)CCNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000276203858 193242676 /nfs/dbraw/zinc/24/26/76/193242676.db2.gz JBWMTZWZMVBBHE-UHFFFAOYSA-N 0 0 282.340 2.957 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC[C@@H]1C[C@H]1C ZINC000276446884 193335056 /nfs/dbraw/zinc/33/50/56/193335056.db2.gz SPLZDRBOBPXRSF-KCJUWKMLSA-N 0 0 277.324 2.989 20 5 CFBDRN Cc1ccnc(NCc2ccccc2N(C)C)c1[N+](=O)[O-] ZINC000276396277 193315016 /nfs/dbraw/zinc/31/50/16/193315016.db2.gz FTXMNKHMQFXMCK-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CCO)c2ccccc2)s1 ZINC000276435612 193330123 /nfs/dbraw/zinc/33/01/23/193330123.db2.gz KATQOZOLZVBBLN-SNVBAGLBSA-N 0 0 279.321 2.587 20 5 CFBDRN COC(=O)c1cnc(N2CCCCCCC2)c([N+](=O)[O-])c1 ZINC000276509121 193362345 /nfs/dbraw/zinc/36/23/45/193362345.db2.gz DNJYHPASCBRKRY-UHFFFAOYSA-N 0 0 293.323 2.547 20 5 CFBDRN CC1(C)SC[C@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000276486117 193351636 /nfs/dbraw/zinc/35/16/36/193351636.db2.gz OYWGBSWMZMQZEK-MRVPVSSYSA-N 0 0 273.745 2.949 20 5 CFBDRN COc1cc(-c2nc(C3CC3)no2)cc([N+](=O)[O-])c1C ZINC000276576746 193387208 /nfs/dbraw/zinc/38/72/08/193387208.db2.gz DNRSPEXDXJPKIV-UHFFFAOYSA-N 0 0 275.264 2.839 20 5 CFBDRN CCN(CC(C)(C)O)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000276542794 193374786 /nfs/dbraw/zinc/37/47/86/193374786.db2.gz DIHQFWGKQIZWJV-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN COC(=O)c1cnc(N(C)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000276549774 193376629 /nfs/dbraw/zinc/37/66/29/193376629.db2.gz KEXXWSQIGQNYCE-UHFFFAOYSA-N 0 0 293.323 2.545 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276681941 193426557 /nfs/dbraw/zinc/42/65/57/193426557.db2.gz VCZLQXPHVAGFIE-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@]1(NC(=O)Cc2ccc([N+](=O)[O-])cc2)CC=CCC1 ZINC000331309922 203705423 /nfs/dbraw/zinc/70/54/23/203705423.db2.gz BPKSJFRGXIAFHB-OAHLLOKOSA-N 0 0 274.320 2.752 20 5 CFBDRN C[C@]1(NC(=O)Cc2cccc([N+](=O)[O-])c2)CC=CCC1 ZINC000331349195 203744892 /nfs/dbraw/zinc/74/48/92/203744892.db2.gz NHURHXKSXYDIQY-HNNXBMFYSA-N 0 0 274.320 2.752 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CNCC(F)F ZINC000459550534 245214688 /nfs/dbraw/zinc/21/46/88/245214688.db2.gz UHFKTODTYSALKH-UITAMQMPSA-N 0 0 256.252 2.853 20 5 CFBDRN COC1CN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)C1 ZINC000459572467 245223525 /nfs/dbraw/zinc/22/35/25/245223525.db2.gz ALZQREUGPHADEH-UHFFFAOYSA-N 0 0 264.325 2.549 20 5 CFBDRN CCOC1(C)CN(C/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000459612410 245241607 /nfs/dbraw/zinc/24/16/07/245241607.db2.gz BBSGTSNHUSQRQN-VURMDHGXSA-N 0 0 276.336 2.719 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CC(OC(F)F)C1 ZINC000459630579 245251130 /nfs/dbraw/zinc/25/11/30/245251130.db2.gz PDFAPIVMHWJZOD-HYXAFXHYSA-N 0 0 284.262 2.531 20 5 CFBDRN CCOC1CN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)C1 ZINC000459617404 245242495 /nfs/dbraw/zinc/24/24/95/245242495.db2.gz ZKRJDSOKRZVQEV-UHFFFAOYSA-N 0 0 278.352 2.939 20 5 CFBDRN Cc1ccoc1CN1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000459624094 245246448 /nfs/dbraw/zinc/24/64/48/245246448.db2.gz UEBSNWWNPKVPRW-UHFFFAOYSA-N 0 0 288.303 2.759 20 5 CFBDRN CCOC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(C)C ZINC000233795681 189820249 /nfs/dbraw/zinc/82/02/49/189820249.db2.gz HDSCJHSPSCZQFJ-NSHDSACASA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1c(CNC(=O)N(C)C2CCC2)cccc1[N+](=O)[O-] ZINC000331829725 204213929 /nfs/dbraw/zinc/21/39/29/204213929.db2.gz DJUFEPLCKHBOHJ-UHFFFAOYSA-N 0 0 277.324 2.597 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000331872901 204250194 /nfs/dbraw/zinc/25/01/94/204250194.db2.gz BDYBOPUJBLSNKM-GFCCVEGCSA-N 0 0 292.335 2.864 20 5 CFBDRN CCN(C)C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000336563873 284287854 /nfs/dbraw/zinc/28/78/54/284287854.db2.gz SFDRRCLDBCSANL-LBPRGKRZSA-N 0 0 295.364 2.714 20 5 CFBDRN CC(C)CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000014795094 372073881 /nfs/dbraw/zinc/07/38/81/372073881.db2.gz FELLEUZLQJDLPV-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN CSc1ccc(C(=O)Nc2cccnc2)cc1[N+](=O)[O-] ZINC000015435769 372083366 /nfs/dbraw/zinc/08/33/66/372083366.db2.gz IQFYUWINOBAPPD-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN O=C(NC1CC1)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000015658138 372090099 /nfs/dbraw/zinc/09/00/99/372090099.db2.gz WGSMGUUHXZCJKA-UHFFFAOYSA-N 0 0 262.290 2.702 20 5 CFBDRN Cc1ccc(C(=O)COc2cccnc2[N+](=O)[O-])cc1C ZINC000016246089 372103492 /nfs/dbraw/zinc/10/34/92/372103492.db2.gz OJNXVTVATBMMPK-UHFFFAOYSA-N 0 0 286.287 2.868 20 5 CFBDRN O=C(NCCc1ccco1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000017910048 372137379 /nfs/dbraw/zinc/13/73/79/372137379.db2.gz QQSFCTDXJOKVFT-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1COc2ccccc2C1 ZINC000016963163 372122993 /nfs/dbraw/zinc/12/29/93/372122993.db2.gz PEEMHRFCWPBMBL-LBPRGKRZSA-N 0 0 299.282 2.752 20 5 CFBDRN Cc1cc(C(=O)COc2ccccc2[N+](=O)[O-])c(C)[nH]1 ZINC000023444894 372260222 /nfs/dbraw/zinc/26/02/22/372260222.db2.gz YPUCIVAQZHYVFC-UHFFFAOYSA-N 0 0 274.276 2.801 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1ccco1 ZINC000185449830 284316053 /nfs/dbraw/zinc/31/60/53/284316053.db2.gz SHIXMJRRALTPBJ-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN O=C1CCCN1c1cccc(Nc2ccc([N+](=O)[O-])cn2)c1 ZINC000026710565 372327317 /nfs/dbraw/zinc/32/73/17/372327317.db2.gz CAZDZLROBZZDSV-UHFFFAOYSA-N 0 0 298.302 2.860 20 5 CFBDRN COCc1ccccc1CNc1ccc([N+](=O)[O-])cn1 ZINC000026719255 372327494 /nfs/dbraw/zinc/32/74/94/372327494.db2.gz SQZCGIAUBSOSKK-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC1CCCC1 ZINC000031048268 372402954 /nfs/dbraw/zinc/40/29/54/372402954.db2.gz UXSNEQCCMIZXEH-UHFFFAOYSA-N 0 0 277.324 2.679 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc(NC)c([N+](=O)[O-])c2)C1 ZINC000031683510 372415828 /nfs/dbraw/zinc/41/58/28/372415828.db2.gz PNIZRKKABXKYNB-LLVKDONJSA-N 0 0 291.351 2.899 20 5 CFBDRN COC(=O)[C@H](CC(C)C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000035019269 372531910 /nfs/dbraw/zinc/53/19/10/372531910.db2.gz SDGMITJMDRGCPY-FZMZJTMJSA-N 0 0 294.351 2.833 20 5 CFBDRN CCn1cc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000035779814 372551795 /nfs/dbraw/zinc/55/17/95/372551795.db2.gz PJDCRDQOJZQPSM-SNVBAGLBSA-N 0 0 260.297 2.984 20 5 CFBDRN CC(=O)c1ccc(OCc2c(C)noc2C)c([N+](=O)[O-])c1 ZINC000036225568 372568065 /nfs/dbraw/zinc/56/80/65/372568065.db2.gz AJZRXFDOSNNSTC-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CCO1 ZINC000036769759 372592227 /nfs/dbraw/zinc/59/22/27/372592227.db2.gz GVLCSRXACQUJTJ-NSHDSACASA-N 0 0 284.743 2.859 20 5 CFBDRN COCCn1cc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000037090326 372647590 /nfs/dbraw/zinc/64/75/90/372647590.db2.gz QHWHZWKIBFASDL-NSHDSACASA-N 0 0 290.323 2.611 20 5 CFBDRN CCCN(C)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000037098456 372649183 /nfs/dbraw/zinc/64/91/83/372649183.db2.gz GPEICEQUCXIITP-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669659 372689245 /nfs/dbraw/zinc/68/92/45/372689245.db2.gz TYGPKGOSLANANM-SECBINFHSA-N 0 0 268.288 2.852 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277594250 193702396 /nfs/dbraw/zinc/70/23/96/193702396.db2.gz NVLUSFHTFZMDHK-NXEZZACHSA-N 0 0 280.324 2.768 20 5 CFBDRN Cc1nccc(CNc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000037999314 372757999 /nfs/dbraw/zinc/75/79/99/372757999.db2.gz JWWJXVFVYVXKRK-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CCCCN(C)c1ncc(Br)cc1[N+](=O)[O-] ZINC000041464321 372838926 /nfs/dbraw/zinc/83/89/26/372838926.db2.gz IVHMZACZBLAMAL-UHFFFAOYSA-N 0 0 288.145 2.989 20 5 CFBDRN Cc1nn(C[C@@H](O)c2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] ZINC000042656525 372942777 /nfs/dbraw/zinc/94/27/77/372942777.db2.gz DAWMVJHBWPGEKW-GFCCVEGCSA-N 0 0 295.726 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCCO2)c(F)c1 ZINC000042822930 372960773 /nfs/dbraw/zinc/96/07/73/372960773.db2.gz VEGGFQOQVUORPG-SNVBAGLBSA-N 0 0 254.261 2.715 20 5 CFBDRN CCC(CC)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000042955323 372968590 /nfs/dbraw/zinc/96/85/90/372968590.db2.gz ZHJFYKRUNDDSOL-UHFFFAOYSA-N 0 0 279.340 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)C1CC1 ZINC000043149152 372978883 /nfs/dbraw/zinc/97/88/83/372978883.db2.gz LVIVNFQCAYFCGH-SECBINFHSA-N 0 0 263.297 2.823 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000043148696 372979942 /nfs/dbraw/zinc/97/99/42/372979942.db2.gz QZWNULHJWGXIGR-SECBINFHSA-N 0 0 251.286 2.823 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CCCCCC1 ZINC000045625521 373022985 /nfs/dbraw/zinc/02/29/85/373022985.db2.gz IQHKLICZPUWXAD-UHFFFAOYSA-N 0 0 277.324 2.680 20 5 CFBDRN CN(C)c1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])cc1 ZINC000046146817 373044830 /nfs/dbraw/zinc/04/48/30/373044830.db2.gz JAUXTYVFQKSMGV-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN O=C(Nc1ccc2nccnc2c1)c1ccc([N+](=O)[O-])cc1 ZINC000047179060 373081940 /nfs/dbraw/zinc/08/19/40/373081940.db2.gz KZKYRYAIYUDLIV-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])n(C)n1 ZINC000047232368 373085236 /nfs/dbraw/zinc/08/52/36/373085236.db2.gz CKVREXOSDIOLND-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC(C)NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000047466458 373095713 /nfs/dbraw/zinc/09/57/13/373095713.db2.gz IYRMHPNNLUPMCY-UHFFFAOYSA-N 0 0 257.677 2.778 20 5 CFBDRN Cc1cc(NCc2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000048337342 373165856 /nfs/dbraw/zinc/16/58/56/373165856.db2.gz HZBKFEWCCSPTCO-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1nn(C)c(NCc2ccccc2C)c1[N+](=O)[O-] ZINC000048039077 373141530 /nfs/dbraw/zinc/14/15/30/373141530.db2.gz MWCSALAXCLYVMT-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2nnc(C3CC3)o2)c1 ZINC000048988096 373245914 /nfs/dbraw/zinc/24/59/14/373245914.db2.gz PTBVTNVLHHBFEQ-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000049094482 373267682 /nfs/dbraw/zinc/26/76/82/373267682.db2.gz SPFOYFGFBAFZOU-BDAKNGLRSA-N 0 0 290.323 2.678 20 5 CFBDRN Cc1nn(C)c(NCCc2ccccc2Cl)c1[N+](=O)[O-] ZINC000049390203 373290488 /nfs/dbraw/zinc/29/04/88/373290488.db2.gz UDWAZFIEDWYIMD-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN O=C(C[C@H]1C=CCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000049401918 373292496 /nfs/dbraw/zinc/29/24/96/373292496.db2.gz COWLFLNUDUBRDZ-NSHDSACASA-N 0 0 260.293 2.567 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(COC3CCC3)c2)c1 ZINC000289727250 197460909 /nfs/dbraw/zinc/46/09/09/197460909.db2.gz SLXHHPUWZTXDPW-UHFFFAOYSA-N 0 0 273.292 2.985 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000049243541 373280318 /nfs/dbraw/zinc/28/03/18/373280318.db2.gz BISMAQJKTAQGCI-JBLDHEPKSA-N 0 0 277.324 2.611 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1csc([N+](=O)[O-])c1 ZINC000049295187 373286911 /nfs/dbraw/zinc/28/69/11/373286911.db2.gz XBOIUEJWPVKHGT-SECBINFHSA-N 0 0 266.322 2.742 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)C(C1CC1)C1CC1 ZINC000050401274 373374498 /nfs/dbraw/zinc/37/44/98/373374498.db2.gz WDMJTGMMNHGNGW-UHFFFAOYSA-N 0 0 289.335 2.559 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1cncc(Cl)n1 ZINC000050505077 373379240 /nfs/dbraw/zinc/37/92/40/373379240.db2.gz RDTFZQRUOBTRTC-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN COc1ccc(CNCc2ccc(C)o2)cc1[N+](=O)[O-] ZINC000049948539 373335473 /nfs/dbraw/zinc/33/54/73/373335473.db2.gz HOCTZUVOFCCCRR-UHFFFAOYSA-N 0 0 276.292 2.795 20 5 CFBDRN Cc1n[nH]cc1CCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000050182987 373362149 /nfs/dbraw/zinc/36/21/49/373362149.db2.gz UJGOSVKWZUWHLM-UHFFFAOYSA-N 0 0 274.324 2.979 20 5 CFBDRN O=C([O-])CC[C@@H]1CCCC[N@@H+]1Cc1cccc([N+](=O)[O-])c1 ZINC000050924579 373384359 /nfs/dbraw/zinc/38/43/59/373384359.db2.gz AHYPEHOSCUXZMT-ZDUSSCGKSA-N 0 0 292.335 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCc3ccccc3C2)cn1 ZINC000051449017 373397534 /nfs/dbraw/zinc/39/75/34/373397534.db2.gz SXAXYAMOHJTWJM-ZDUSSCGKSA-N 0 0 269.304 2.959 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000053559265 373481962 /nfs/dbraw/zinc/48/19/62/373481962.db2.gz VGWFMORWHQELKQ-PWSUYJOCSA-N 0 0 291.351 2.945 20 5 CFBDRN O=C(Nc1ccccc1O)c1ccc([N+](=O)[O-])cc1F ZINC000054148415 373500395 /nfs/dbraw/zinc/50/03/95/373500395.db2.gz UBNUCIQYYGWYHK-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN Cc1c(C[N@@H+]2CCC[C@@H](CC(=O)[O-])C2)cccc1[N+](=O)[O-] ZINC000054298628 373513695 /nfs/dbraw/zinc/51/36/95/373513695.db2.gz DZIXRECXGOEQCU-LBPRGKRZSA-N 0 0 292.335 2.590 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC[C@H]1CCCOC1 ZINC000053734518 373486241 /nfs/dbraw/zinc/48/62/41/373486241.db2.gz FDODDOCLHPBBLX-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN C[C@@H](NC(=O)CC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000055466955 373553601 /nfs/dbraw/zinc/55/36/01/373553601.db2.gz MIVSNHNXHXFJEX-RISCZKNCSA-N 0 0 292.335 2.731 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCc2cn3ccsc3n2)c1 ZINC000057625174 373604798 /nfs/dbraw/zinc/60/47/98/373604798.db2.gz ZEBUZGMLRWUJBG-UHFFFAOYSA-N 0 0 288.332 2.594 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OC[C@H]1CCCCO1 ZINC000057964197 373618450 /nfs/dbraw/zinc/61/84/50/373618450.db2.gz FCYPATYBTWUSJN-VIIAAUOXSA-N 0 0 291.303 2.720 20 5 CFBDRN COCCCCCNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000058756242 373668951 /nfs/dbraw/zinc/66/89/51/373668951.db2.gz XBJYGAVJQPNVQZ-UHFFFAOYSA-N 0 0 286.353 2.511 20 5 CFBDRN Cc1cc(OCC(=O)N2CCC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000060606942 373699358 /nfs/dbraw/zinc/69/93/58/373699358.db2.gz ZFENNCQHIJMVHL-LLVKDONJSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1ccc(CNCc2ccccc2[N+](=O)[O-])cn1 ZINC000060942090 373708161 /nfs/dbraw/zinc/70/81/61/373708161.db2.gz MNOLTCCDIGKANE-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(O)cc1 ZINC000063582140 373808397 /nfs/dbraw/zinc/80/83/97/373808397.db2.gz YLQYUIJLMVCMIG-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H](CSC)C1 ZINC000488931298 245910623 /nfs/dbraw/zinc/91/06/23/245910623.db2.gz JVJIXAFXCPMDJX-NSHDSACASA-N 0 0 298.412 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccccc2)cc1 ZINC000063001509 373780159 /nfs/dbraw/zinc/78/01/59/373780159.db2.gz SFLIEUMIIRTVQG-AWEZNQCLSA-N 0 0 258.277 2.740 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)CSC ZINC000066755652 373949517 /nfs/dbraw/zinc/94/95/17/373949517.db2.gz GZIPWQIKWLAFNB-MRVPVSSYSA-N 0 0 285.321 2.508 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000066757852 373950614 /nfs/dbraw/zinc/95/06/14/373950614.db2.gz PUICNUMYXLJJII-LURJTMIESA-N 0 0 290.291 2.811 20 5 CFBDRN CSC[C@@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000066763741 373952410 /nfs/dbraw/zinc/95/24/10/373952410.db2.gz KJJSKIPTSSTLEX-NXEZZACHSA-N 0 0 282.365 2.771 20 5 CFBDRN CCCCC[C@H]1CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000489291490 245934495 /nfs/dbraw/zinc/93/44/95/245934495.db2.gz MBTZEMBSODQWKV-NSHDSACASA-N 0 0 294.355 2.811 20 5 CFBDRN CCS[C@@H](C(=O)NCc1ccccc1[N+](=O)[O-])C(C)C ZINC000066315624 373923619 /nfs/dbraw/zinc/92/36/19/373923619.db2.gz LCIFSTJOZYHRQB-CYBMUJFWSA-N 0 0 296.392 2.989 20 5 CFBDRN CC1(CNC(=O)NCc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000066597042 373941689 /nfs/dbraw/zinc/94/16/89/373941689.db2.gz YFNZIZQARMHUJR-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN CN(Cc1ccccc1F)c1ncccc1[N+](=O)[O-] ZINC000068838094 374040945 /nfs/dbraw/zinc/04/09/45/374040945.db2.gz DQYPBKNWIIQTMS-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN Cc1cc(NCc2cccc(C(N)=O)c2)ccc1[N+](=O)[O-] ZINC000070141383 374128327 /nfs/dbraw/zinc/12/83/27/374128327.db2.gz DKTOGMNOXNNLFA-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN CC(C)(NC(=O)N[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000431777918 383697167 /nfs/dbraw/zinc/69/71/67/383697167.db2.gz DYSAEQIDYPVFGH-GFCCVEGCSA-N 0 0 291.351 2.928 20 5 CFBDRN CN(C(=O)COc1cccc([N+](=O)[O-])c1)C1(C)CCCC1 ZINC000490575248 246012594 /nfs/dbraw/zinc/01/25/94/246012594.db2.gz AAFGNICQMJBUCZ-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN Cc1ccc(N[C@@H](C)c2nccn2C)c([N+](=O)[O-])c1 ZINC000070444400 374175411 /nfs/dbraw/zinc/17/54/11/374175411.db2.gz DKPBFEKGSIBMHT-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN CCCC(=O)COc1ccc(CC)cc1[N+](=O)[O-] ZINC000070497903 374187120 /nfs/dbraw/zinc/18/71/20/374187120.db2.gz CMGKHTIQPCBSNN-UHFFFAOYSA-N 0 0 251.282 2.905 20 5 CFBDRN Cc1ccnc(NC[C@@H]2Cc3ccccc3O2)c1[N+](=O)[O-] ZINC000070495943 374187868 /nfs/dbraw/zinc/18/78/68/374187868.db2.gz OREHMDUCDOWSJC-LBPRGKRZSA-N 0 0 285.303 2.714 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cnccn1)c1cccc([N+](=O)[O-])c1 ZINC000070479245 374187985 /nfs/dbraw/zinc/18/79/85/374187985.db2.gz OYCCOTVUMVZFKH-MNOVXSKESA-N 0 0 272.308 2.797 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C\c1cnccn1 ZINC000491168057 246046112 /nfs/dbraw/zinc/04/61/12/246046112.db2.gz NTKSRWHZSAGTAK-ARJAWSKDSA-N 0 0 298.302 2.654 20 5 CFBDRN CC(C)(C)OCCNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000491318999 246059872 /nfs/dbraw/zinc/05/98/72/246059872.db2.gz HQXCMAXPHKZGSB-CMDGGOBGSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)CC[C@@H]1C ZINC000072545267 374262544 /nfs/dbraw/zinc/26/25/44/374262544.db2.gz SBLIEXRZEKNZDL-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CCCN1CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000072875100 374298468 /nfs/dbraw/zinc/29/84/68/374298468.db2.gz RRGPZWCNXMUTEJ-SECBINFHSA-N 0 0 297.742 2.671 20 5 CFBDRN Cn1cccc1[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000072905314 374299525 /nfs/dbraw/zinc/29/95/25/374299525.db2.gz XPCUCIDXTUMCHS-OAHLLOKOSA-N 0 0 299.330 2.911 20 5 CFBDRN O=C(/C=C/c1cscn1)Nc1ccc([N+](=O)[O-])cc1F ZINC000491480818 246074717 /nfs/dbraw/zinc/07/47/17/246074717.db2.gz YSPOJELETKKODO-DAFODLJHSA-N 0 0 293.279 2.842 20 5 CFBDRN C[C@@H](S[C@H]1CCCNC1=O)c1ccccc1[N+](=O)[O-] ZINC000489573396 296212075 /nfs/dbraw/zinc/21/20/75/296212075.db2.gz PBQSWAOSAVFQMM-SKDRFNHKSA-N 0 0 280.349 2.668 20 5 CFBDRN CC(C)C[C@H]1COCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000072979479 374309539 /nfs/dbraw/zinc/30/95/39/374309539.db2.gz HBEMSHUWQZPEPU-HNNXBMFYSA-N 0 0 278.352 2.842 20 5 CFBDRN CCN(CC)C(=O)CCCNc1ccccc1[N+](=O)[O-] ZINC000072766908 374285576 /nfs/dbraw/zinc/28/55/76/374285576.db2.gz YSUZKZVVKBSSFO-UHFFFAOYSA-N 0 0 279.340 2.655 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CC=CCC1 ZINC000491847787 246099096 /nfs/dbraw/zinc/09/90/96/246099096.db2.gz RSRXEQUXEBCWNF-BUUCAEBMSA-N 0 0 290.294 2.972 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000073219836 374331237 /nfs/dbraw/zinc/33/12/37/374331237.db2.gz OCCVQDOCYAQFLI-LBPRGKRZSA-N 0 0 298.314 2.525 20 5 CFBDRN CCN(CC)C(=O)CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000073343468 374335461 /nfs/dbraw/zinc/33/54/61/374335461.db2.gz PZMOLPACHMHABE-UHFFFAOYSA-N 0 0 285.731 2.529 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\C1CC1 ZINC000491932431 246127801 /nfs/dbraw/zinc/12/78/01/246127801.db2.gz DIZUZWHBLVKYBH-DAXSKMNVSA-N 0 0 262.265 2.508 20 5 CFBDRN Cc1ccc(OCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000074015094 374402062 /nfs/dbraw/zinc/40/20/62/374402062.db2.gz SFUUKOCZENNLHE-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1ncccc1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491959568 246136796 /nfs/dbraw/zinc/13/67/96/246136796.db2.gz VQUPIHABMAGUON-FPLPWBNLSA-N 0 0 283.287 2.950 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000073785744 374384936 /nfs/dbraw/zinc/38/49/36/374384936.db2.gz CWUXEZMFBBOBEZ-ZETCQYMHSA-N 0 0 254.311 2.527 20 5 CFBDRN C[C@@H]1CCCN1C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000492064924 246173892 /nfs/dbraw/zinc/17/38/92/246173892.db2.gz GNDWALHFVSDTEF-BREXMAIKSA-N 0 0 278.283 2.758 20 5 CFBDRN CCN(CC)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000431252581 284460463 /nfs/dbraw/zinc/46/04/63/284460463.db2.gz LRUUXRZYZGWZEB-UHFFFAOYSA-N 0 0 264.325 2.741 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N1CCC12CCC2 ZINC000492080709 246179799 /nfs/dbraw/zinc/17/97/99/246179799.db2.gz DHSRDCUDXNTGSX-HYXAFXHYSA-N 0 0 290.294 2.902 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@H]1C=CCCC1 ZINC000492124152 246195983 /nfs/dbraw/zinc/19/59/83/246195983.db2.gz GXVBZBDHJMSUBW-OEYXZAGESA-N 0 0 272.304 2.833 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000075286381 374474187 /nfs/dbraw/zinc/47/41/87/374474187.db2.gz RQYGQBZGKSTWFS-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN O=C(/C=C/c1cccc(CO)c1)Nc1cccc([N+](=O)[O-])c1 ZINC000492138702 246201214 /nfs/dbraw/zinc/20/12/14/246201214.db2.gz VIFQRKCMMIYXJN-BQYQJAHWSA-N 0 0 298.298 2.739 20 5 CFBDRN CN(C(=O)CC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000075893346 374511315 /nfs/dbraw/zinc/51/13/15/374511315.db2.gz YIHYOCDRUMZPNN-ZDUSSCGKSA-N 0 0 278.308 2.517 20 5 CFBDRN O=C(/C=C/C1CCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000492286147 246245995 /nfs/dbraw/zinc/24/59/95/246245995.db2.gz FFNLUFRCYYPDQY-BQYQJAHWSA-N 0 0 274.320 2.610 20 5 CFBDRN Cc1ccc(/C=C\C(=O)N(C)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000492465846 246300145 /nfs/dbraw/zinc/30/01/45/246300145.db2.gz ZIEYAPWFAFBVMO-YHYXMXQVSA-N 0 0 297.314 2.974 20 5 CFBDRN Cc1ccc(/C=C/C(=O)Nc2cccc([N+](=O)[O-])c2)cn1 ZINC000492470979 246302795 /nfs/dbraw/zinc/30/27/95/246302795.db2.gz IJQTUBPKZRCLGJ-BQYQJAHWSA-N 0 0 283.287 2.950 20 5 CFBDRN COCCC(C)(C)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000492576661 246334079 /nfs/dbraw/zinc/33/40/79/246334079.db2.gz RJCLLKZTIKLEMY-RMKNXTFCSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1ccncc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000078505105 374568942 /nfs/dbraw/zinc/56/89/42/374568942.db2.gz IPCSHEFUSUNABU-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN CN(C(=O)C1[C@@H]2CCCC[C@H]12)c1ccc([N+](=O)[O-])cc1 ZINC000079388139 374608050 /nfs/dbraw/zinc/60/80/50/374608050.db2.gz FBYFBQMNPIWLBJ-PBWFPOADSA-N 0 0 274.320 2.994 20 5 CFBDRN O=C(NCC1CC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000079433020 374611784 /nfs/dbraw/zinc/61/17/84/374611784.db2.gz KUUAAJHWVQBNSS-UHFFFAOYSA-N 0 0 288.225 2.753 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000081351934 374696436 /nfs/dbraw/zinc/69/64/36/374696436.db2.gz SNTKWKIWEAZZAL-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCn1cccn1 ZINC000080553385 374660612 /nfs/dbraw/zinc/66/06/12/374660612.db2.gz WLQKGAJJMPGDEA-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN CC[C@@](C)(CO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000080882196 374675240 /nfs/dbraw/zinc/67/52/40/374675240.db2.gz ZVVAOSAZISTXDT-NSHDSACASA-N 0 0 258.705 2.821 20 5 CFBDRN O=C(NCCC1CC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000081023247 374682462 /nfs/dbraw/zinc/68/24/62/374682462.db2.gz DDGBCOIQVDDVRD-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CCC[C@@H]1C(F)F ZINC000492897724 246418646 /nfs/dbraw/zinc/41/86/46/246418646.db2.gz QVYCLKOLGNVQGX-ABZNLYFFSA-N 0 0 296.273 2.864 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc(F)cc1F ZINC000083480439 374748440 /nfs/dbraw/zinc/74/84/40/374748440.db2.gz OENXBNVLEPZPNT-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1ccccn1 ZINC000083480102 374748519 /nfs/dbraw/zinc/74/85/19/374748519.db2.gz XOVKUERSNKZGLI-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC(C)(C)c1cccs1 ZINC000083601607 374752599 /nfs/dbraw/zinc/75/25/99/374752599.db2.gz JLTNRHGPUNOZJW-UHFFFAOYSA-N 0 0 280.353 2.780 20 5 CFBDRN CC[C@H](CO)Nc1cc(Br)ccc1[N+](=O)[O-] ZINC000083730482 374755023 /nfs/dbraw/zinc/75/50/23/374755023.db2.gz NWNZSUOVOVCYAQ-MRVPVSSYSA-N 0 0 289.129 2.540 20 5 CFBDRN CC[C@@H](CO)Nc1cc(Br)ccc1[N+](=O)[O-] ZINC000083730480 374755223 /nfs/dbraw/zinc/75/52/23/374755223.db2.gz NWNZSUOVOVCYAQ-QMMMGPOBSA-N 0 0 289.129 2.540 20 5 CFBDRN Cc1cc(C(=O)N2CCSC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000081927080 374721919 /nfs/dbraw/zinc/72/19/19/374721919.db2.gz LGXQKNXNOBAEOE-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN CC1(F)CC(NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000493056787 246473442 /nfs/dbraw/zinc/47/34/42/246473442.db2.gz GKGHVJOQIIJQKB-AATRIKPKSA-N 0 0 278.283 2.615 20 5 CFBDRN CC[C@](C)(O)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000083421385 374744005 /nfs/dbraw/zinc/74/40/05/374744005.db2.gz BRJCXDRGGMMODZ-NSHDSACASA-N 0 0 258.705 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCCO)c(Cl)c1 ZINC000085163262 374796378 /nfs/dbraw/zinc/79/63/78/374796378.db2.gz YFMMAGDQUQUMBI-UHFFFAOYSA-N 0 0 261.730 2.864 20 5 CFBDRN C/C=C/C=C/C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000493374410 246569923 /nfs/dbraw/zinc/56/99/23/246569923.db2.gz WPMVHDOMTCSGJP-PRKJJMSOSA-N 0 0 260.293 2.652 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@H]1CCC[C@H]1O ZINC000089169583 374885186 /nfs/dbraw/zinc/88/51/86/374885186.db2.gz NFMKORUOLRKWQH-GZMMTYOYSA-N 0 0 270.716 2.821 20 5 CFBDRN CCC(C)(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089301652 374896067 /nfs/dbraw/zinc/89/60/67/374896067.db2.gz HGCMMHOJPVJIIF-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN CN(CCOCC1CC1)Cc1csc([N+](=O)[O-])c1 ZINC000088599870 374857382 /nfs/dbraw/zinc/85/73/82/374857382.db2.gz QAWQMSWVASHFSN-UHFFFAOYSA-N 0 0 270.354 2.515 20 5 CFBDRN COCCCCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000089740232 374944950 /nfs/dbraw/zinc/94/49/50/374944950.db2.gz GJJFABWBDNIXQN-UHFFFAOYSA-N 0 0 267.281 2.603 20 5 CFBDRN O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc21)[C@H]1CC=CCC1 ZINC000089421252 374904133 /nfs/dbraw/zinc/90/41/33/374904133.db2.gz SXKNBRFVGDVRSA-VIFPVBQESA-N 0 0 286.291 2.766 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1ccc([N+](=O)[O-])c(C)c1 ZINC000090100090 374991608 /nfs/dbraw/zinc/99/16/08/374991608.db2.gz RNBVUOOKNFHRFF-SECBINFHSA-N 0 0 269.322 2.947 20 5 CFBDRN COC(=O)[C@@H](C)CSc1ccc([N+](=O)[O-])c(C)c1 ZINC000090103745 374991753 /nfs/dbraw/zinc/99/17/53/374991753.db2.gz NVDYDKSQBMQYQA-VIFPVBQESA-N 0 0 269.322 2.804 20 5 CFBDRN CCCc1cc(NC(=O)c2cccc([N+](=O)[O-])c2)n(C)n1 ZINC000090817737 375032317 /nfs/dbraw/zinc/03/23/17/375032317.db2.gz KOBVXMWYJIEPKB-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1ccc(F)cn1 ZINC000092196132 375094288 /nfs/dbraw/zinc/09/42/88/375094288.db2.gz PQAHDQZULFTGMW-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN C[C@@H](NCc1ccccc1[N+](=O)[O-])c1ccc(F)cn1 ZINC000092196308 375094351 /nfs/dbraw/zinc/09/43/51/375094351.db2.gz OBPMFADGRLBRRN-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1c([N+](=O)[O-])c(C)nn1CC ZINC000091558998 375052605 /nfs/dbraw/zinc/05/26/05/375052605.db2.gz FKKFIKLPFZWMSJ-RKDXNWHRSA-N 0 0 254.334 2.966 20 5 CFBDRN CCOC(=O)C[C@@H](C)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000092684211 375139545 /nfs/dbraw/zinc/13/95/45/375139545.db2.gz YYJBOVJTXFNICK-SNVBAGLBSA-N 0 0 296.323 2.747 20 5 CFBDRN Cc1ocnc1CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000092710800 375143732 /nfs/dbraw/zinc/14/37/32/375143732.db2.gz DBZDRJZPHVXJQH-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN CCc1nn(C)cc1CNc1ccc([N+](=O)[O-])cc1C ZINC000092959338 375169192 /nfs/dbraw/zinc/16/91/92/375169192.db2.gz YWLSJTLJORLJLJ-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H]1C1CC1 ZINC000370752712 296226903 /nfs/dbraw/zinc/22/69/03/296226903.db2.gz HTXOJJKUVVBSPF-CYBMUJFWSA-N 0 0 278.283 2.749 20 5 CFBDRN CCC[C@H]([NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-])C(=O)[O-] ZINC000093633490 375276989 /nfs/dbraw/zinc/27/69/89/375276989.db2.gz XEDDFVMPMUNOAV-JTQLQIEISA-N 0 0 286.715 2.591 20 5 CFBDRN CC[C@H](CCO)CNc1ccc([N+](=O)[O-])cc1F ZINC000094957236 375397587 /nfs/dbraw/zinc/39/75/87/375397587.db2.gz DGCLZFFWWZXPSC-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN CCOc1cc(NC[C@H](CC)CCO)ccc1[N+](=O)[O-] ZINC000094957512 375398034 /nfs/dbraw/zinc/39/80/34/375398034.db2.gz YVCIEOPJVMDNDW-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN CC[C@@H](CCO)CNc1cccc(F)c1[N+](=O)[O-] ZINC000094957425 375398600 /nfs/dbraw/zinc/39/86/00/375398600.db2.gz RKODDOIEIHLIDU-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCOC2)c1 ZINC000094659448 375373638 /nfs/dbraw/zinc/37/36/38/375373638.db2.gz QZERFYKKWXPIKA-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cccc(C(=O)N(C)CCC(F)(F)F)c1[N+](=O)[O-] ZINC000098109464 375603039 /nfs/dbraw/zinc/60/30/39/375603039.db2.gz VIGSTDOWRBBCMA-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN CCN(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000101747477 375653375 /nfs/dbraw/zinc/65/33/75/375653375.db2.gz ZDHQDBBNDYFQNV-UHFFFAOYSA-N 0 0 289.238 2.680 20 5 CFBDRN Cc1ncc(COc2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000505434442 247138710 /nfs/dbraw/zinc/13/87/10/247138710.db2.gz XMRPYGFENWYZTI-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)Sc1ccc([N+](=O)[O-])cn1 ZINC000505740034 247150782 /nfs/dbraw/zinc/15/07/82/247150782.db2.gz NLQOARWWACTGET-SNVBAGLBSA-N 0 0 284.337 2.670 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1noc(C2CC2)n1 ZINC000102599422 375681798 /nfs/dbraw/zinc/68/17/98/375681798.db2.gz OHQRIHLULSNQNI-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N(C)CC(F)F)c1 ZINC000103398452 375741487 /nfs/dbraw/zinc/74/14/87/375741487.db2.gz GJXASEBXKADYGC-UHFFFAOYSA-N 0 0 290.291 2.654 20 5 CFBDRN Cc1ncsc1CCOc1ccc([N+](=O)[O-])cc1 ZINC000103658257 375758185 /nfs/dbraw/zinc/75/81/85/375758185.db2.gz XWDZODHMTGRODV-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1Cc2ccccc2C1 ZINC000105979306 375794895 /nfs/dbraw/zinc/79/48/95/375794895.db2.gz PHUMSKXGTRCTOM-UHFFFAOYSA-N 0 0 298.298 2.759 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000111475392 375851651 /nfs/dbraw/zinc/85/16/51/375851651.db2.gz KOJWYCGPLVKXKJ-GFCCVEGCSA-N 0 0 293.367 2.825 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000112334485 375904555 /nfs/dbraw/zinc/90/45/55/375904555.db2.gz YXAVJCSOMHZRHC-HZMBPMFUSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000112713209 375952255 /nfs/dbraw/zinc/95/22/55/375952255.db2.gz OKVCDGQJOHOWPA-VIFPVBQESA-N 0 0 263.297 2.905 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CCCC(C)(C)C2)c1[N+](=O)[O-] ZINC000112727469 375956155 /nfs/dbraw/zinc/95/61/55/375956155.db2.gz AAERUTJAJUJPFG-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)CC1CC1 ZINC000112732548 375957349 /nfs/dbraw/zinc/95/73/49/375957349.db2.gz RNKCZUOOAOVLKX-SECBINFHSA-N 0 0 293.323 2.914 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000112603513 375935618 /nfs/dbraw/zinc/93/56/18/375935618.db2.gz LYRVDJBRWQNZGY-ZETCQYMHSA-N 0 0 254.311 2.575 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000112689306 375943707 /nfs/dbraw/zinc/94/37/07/375943707.db2.gz WCEPLORVGUYYGQ-VIFPVBQESA-N 0 0 287.319 2.995 20 5 CFBDRN O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)c1ccc[nH]1 ZINC000113775534 376034320 /nfs/dbraw/zinc/03/43/20/376034320.db2.gz JLBAKAVBFAOPFT-UHFFFAOYSA-N 0 0 296.286 2.669 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1C)c1ccncc1 ZINC000114102273 376048195 /nfs/dbraw/zinc/04/81/95/376048195.db2.gz IJSVNMPKVUHPLU-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CCC1CC1 ZINC000115008061 376099146 /nfs/dbraw/zinc/09/91/46/376099146.db2.gz FTBPPLORNVURSQ-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN CCc1ccc(OCC(=O)NC2CCCC2)c([N+](=O)[O-])c1 ZINC000115200475 376115700 /nfs/dbraw/zinc/11/57/00/376115700.db2.gz UQKNTNLEICOMDX-UHFFFAOYSA-N 0 0 292.335 2.595 20 5 CFBDRN CC(C)[C@@]1(C)CC(=O)N(Cc2cccc([N+](=O)[O-])c2)C1=O ZINC000116874292 376211883 /nfs/dbraw/zinc/21/18/83/376211883.db2.gz OVWMQGAEWLHMHY-OAHLLOKOSA-N 0 0 290.319 2.516 20 5 CFBDRN CCCCOCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000118202762 376276676 /nfs/dbraw/zinc/27/66/76/376276676.db2.gz MHXJMDBIYLJRNA-UHFFFAOYSA-N 0 0 288.250 2.628 20 5 CFBDRN Cc1cccc(C(=O)N2CCS[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000118284708 376283974 /nfs/dbraw/zinc/28/39/74/376283974.db2.gz MVBLHPOWCSYTAJ-MNOVXSKESA-N 0 0 294.376 2.869 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000119391888 376406558 /nfs/dbraw/zinc/40/65/58/376406558.db2.gz GUXQTWFAUPXKFG-LBPRGKRZSA-N 0 0 276.336 2.992 20 5 CFBDRN CCO[C@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278094909 193832850 /nfs/dbraw/zinc/83/28/50/193832850.db2.gz HMXZEKXPXUOCEQ-BQBZGAKWSA-N 0 0 281.293 2.599 20 5 CFBDRN CN(CC1CC1)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000119897616 376499592 /nfs/dbraw/zinc/49/95/92/376499592.db2.gz MFAICRNKELGVER-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN COCC(C)(C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000119952774 376514158 /nfs/dbraw/zinc/51/41/58/376514158.db2.gz KVQZYOZFQNGELK-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN CN(C[C@H]1CCCO1)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000263893921 190084450 /nfs/dbraw/zinc/08/44/50/190084450.db2.gz GWLAJYQTRHLFNF-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000264183352 190095192 /nfs/dbraw/zinc/09/51/92/190095192.db2.gz BJEWHUNZZQABDR-SKDRFNHKSA-N 0 0 262.309 2.822 20 5 CFBDRN CC(C)CO[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000120009893 376525975 /nfs/dbraw/zinc/52/59/75/376525975.db2.gz YFRYUNSERBSYCV-GFCCVEGCSA-N 0 0 284.381 2.903 20 5 CFBDRN CC(C)CO[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000120010071 376526439 /nfs/dbraw/zinc/52/64/39/376526439.db2.gz YFRYUNSERBSYCV-LBPRGKRZSA-N 0 0 284.381 2.903 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000264314603 190103157 /nfs/dbraw/zinc/10/31/57/190103157.db2.gz TZJLRLFWTBHBQP-HTQZYQBOSA-N 0 0 254.311 2.575 20 5 CFBDRN Cc1nn(C)c(NC(=O)c2ccc([N+](=O)[O-])cc2C)c1C ZINC000264402845 190107258 /nfs/dbraw/zinc/10/72/58/190107258.db2.gz FUFBGTNVIWQEEV-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N(CC(F)F)C1CC1 ZINC000264444954 190109976 /nfs/dbraw/zinc/10/99/76/190109976.db2.gz RBXSGVDJHUATLW-UHFFFAOYSA-N 0 0 276.264 2.526 20 5 CFBDRN CC(C)c1noc(OCc2ccccc2[N+](=O)[O-])n1 ZINC000264489113 190113848 /nfs/dbraw/zinc/11/38/48/190113848.db2.gz CLTVSVOJVFDKFL-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN CC(C)c1noc(OCCOc2ccccc2[N+](=O)[O-])n1 ZINC000264505297 190114636 /nfs/dbraw/zinc/11/46/36/190114636.db2.gz HIAXBGBISUXDDN-UHFFFAOYSA-N 0 0 293.279 2.559 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)N[C@@H]1C=CCCC1 ZINC000120893957 376716938 /nfs/dbraw/zinc/71/69/38/376716938.db2.gz WJVKPZHADROGKT-CYBMUJFWSA-N 0 0 289.335 2.545 20 5 CFBDRN CSC[C@H](C)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000121066215 376754806 /nfs/dbraw/zinc/75/48/06/376754806.db2.gz XMLSYFTXEZHRJQ-JTQLQIEISA-N 0 0 294.376 2.873 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCC(C)(CC)CC2)c1[N+](=O)[O-] ZINC000264655788 190126211 /nfs/dbraw/zinc/12/62/11/190126211.db2.gz GUFVLVKWFZIJFT-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN CCCc1noc(COc2ccc([N+](=O)[O-])c(OC)c2)n1 ZINC000264660827 190126377 /nfs/dbraw/zinc/12/63/77/190126377.db2.gz UQOYTZLRJLTOLT-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN CC[C@H](CCO)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000121761893 376901338 /nfs/dbraw/zinc/90/13/38/376901338.db2.gz PHVNNPAHNIJVRD-MRVPVSSYSA-N 0 0 274.267 2.694 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OCC1(O)CCCC1 ZINC000122415526 376925882 /nfs/dbraw/zinc/92/58/82/376925882.db2.gz SEEPGGWVEVSLII-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCCC2(C1)OCCO2 ZINC000122578242 376934679 /nfs/dbraw/zinc/93/46/79/376934679.db2.gz KNSGULNWDJACRO-UHFFFAOYSA-N 0 0 298.726 2.592 20 5 CFBDRN CCCCN(CC)C(=O)COc1cccc(C)c1[N+](=O)[O-] ZINC000123977116 377001783 /nfs/dbraw/zinc/00/17/83/377001783.db2.gz IVASTWZHJRLMEE-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN CCC(C)(C)NC(=O)COc1cccc(C)c1[N+](=O)[O-] ZINC000123973288 377002694 /nfs/dbraw/zinc/00/26/94/377002694.db2.gz HSACWBFSZGHHEZ-UHFFFAOYSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)(O)CCOc1ccc([N+](=O)[O-])c2ncccc12 ZINC000125767831 377191905 /nfs/dbraw/zinc/19/19/05/377191905.db2.gz WBWVUAMCUUBSEJ-UHFFFAOYSA-N 0 0 276.292 2.683 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CC[C@@H](C)O2)c1 ZINC000125805357 377195406 /nfs/dbraw/zinc/19/54/06/377195406.db2.gz BQTNVFGZEZPSAL-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000264770617 190134275 /nfs/dbraw/zinc/13/42/75/190134275.db2.gz FZPZZIYFOXPVHH-RNCFNFMXSA-N 0 0 252.314 2.722 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@@H]21 ZINC000336110379 296254872 /nfs/dbraw/zinc/25/48/72/296254872.db2.gz PMNLMVQMVRYVMA-GWCFXTLKSA-N 0 0 260.293 2.609 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000125669625 377182262 /nfs/dbraw/zinc/18/22/62/377182262.db2.gz KJQVWLQNNFQAHH-OWCLPIDISA-N 0 0 294.310 2.663 20 5 CFBDRN CC(C)OCCCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000126629781 377238946 /nfs/dbraw/zinc/23/89/46/377238946.db2.gz HPZJQKVRTNDIJR-UHFFFAOYSA-N 0 0 290.323 2.668 20 5 CFBDRN CC(C)OCCCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000126660144 377240804 /nfs/dbraw/zinc/24/08/04/377240804.db2.gz YJFHHDZCWBNBQQ-UHFFFAOYSA-N 0 0 290.323 2.668 20 5 CFBDRN CN(C[C@H]1CCCCO1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000128045266 377314862 /nfs/dbraw/zinc/31/48/62/377314862.db2.gz QKBUBSTXZHFHPM-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000128444874 377337193 /nfs/dbraw/zinc/33/71/93/377337193.db2.gz KRJWAKKCCMNLPW-PWSUYJOCSA-N 0 0 250.298 2.883 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000129047309 377377394 /nfs/dbraw/zinc/37/73/94/377377394.db2.gz CLQJAEGVUPTNEM-LLVKDONJSA-N 0 0 280.324 2.530 20 5 CFBDRN CC1(C)[C@H](Nc2c3ccccc3ncc2[N+](=O)[O-])C[C@@H]1O ZINC000129075554 377381585 /nfs/dbraw/zinc/38/15/85/377381585.db2.gz ACYFQBNHUPVJHH-OLZOCXBDSA-N 0 0 287.319 2.714 20 5 CFBDRN CCC[C@@H](C)N(C)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000264941365 190146566 /nfs/dbraw/zinc/14/65/66/190146566.db2.gz TTZHMNXLNZMRKP-SNVBAGLBSA-N 0 0 279.340 2.579 20 5 CFBDRN Cc1cc(=O)n(CCO[C@@H]2CCCC[C@H]2C)cc1[N+](=O)[O-] ZINC000128507877 377343841 /nfs/dbraw/zinc/34/38/41/377343841.db2.gz ZXWISSFLAGDQOU-BXUZGUMPSA-N 0 0 294.351 2.660 20 5 CFBDRN COCCCCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000264996147 190151015 /nfs/dbraw/zinc/15/10/15/190151015.db2.gz LIDZBXSUNAAKEI-UHFFFAOYSA-N 0 0 277.280 2.614 20 5 CFBDRN CC[C@H](SC)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000129560785 377445728 /nfs/dbraw/zinc/44/57/28/377445728.db2.gz XSJURDGAHKELCI-NSHDSACASA-N 0 0 269.322 2.950 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000129258266 377404452 /nfs/dbraw/zinc/40/44/52/377404452.db2.gz WQDWQBIFLYNGOL-WDEREUQCSA-N 0 0 270.716 2.820 20 5 CFBDRN CS[C@H](C)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129900343 377488178 /nfs/dbraw/zinc/48/81/78/377488178.db2.gz HVMVEAOAQNBUDQ-SNVBAGLBSA-N 0 0 294.376 2.837 20 5 CFBDRN Cc1ccnc(N(C)Cc2cccs2)c1[N+](=O)[O-] ZINC000265146223 190161297 /nfs/dbraw/zinc/16/12/97/190161297.db2.gz AADUCGJPTZCMGG-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CC(C)(C)c1cnc(SCCn2cc([N+](=O)[O-])cn2)o1 ZINC000130264511 377530271 /nfs/dbraw/zinc/53/02/71/377530271.db2.gz ZBMWQDQWYNUGHJ-UHFFFAOYSA-N 0 0 296.352 2.869 20 5 CFBDRN COC1CCC(N(C)C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000265162054 190162665 /nfs/dbraw/zinc/16/26/65/190162665.db2.gz GNDNCGTYBFLBHD-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN COc1cccc(CSc2c([N+](=O)[O-])c(C)nn2C)c1 ZINC000265166315 190162998 /nfs/dbraw/zinc/16/29/98/190162998.db2.gz XLYWLAWDTAIGNN-UHFFFAOYSA-N 0 0 293.348 2.938 20 5 CFBDRN COC(=O)[C@@H](C)CSc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000265188203 190164805 /nfs/dbraw/zinc/16/48/05/190164805.db2.gz GSDGEYJVTIJDPJ-QMMMGPOBSA-N 0 0 297.332 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCC3CC3)n2)cc1 ZINC000265192136 190164850 /nfs/dbraw/zinc/16/48/50/190164850.db2.gz VIKYMKWSRBUVDE-UHFFFAOYSA-N 0 0 259.265 2.987 20 5 CFBDRN COCCC1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000130541119 377572452 /nfs/dbraw/zinc/57/24/52/377572452.db2.gz BFUKPLIQYDHIHP-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN COC(=O)CCCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000130488988 377566055 /nfs/dbraw/zinc/56/60/55/377566055.db2.gz UFNPPDJYGQIVCN-UHFFFAOYSA-N 0 0 273.672 2.580 20 5 CFBDRN Cc1ccnc(Nc2cnn(CC(F)F)c2)c1[N+](=O)[O-] ZINC000265363502 190177092 /nfs/dbraw/zinc/17/70/92/190177092.db2.gz YEGNTSFCRSVTGJ-UHFFFAOYSA-N 0 0 283.238 2.503 20 5 CFBDRN O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NC1CCC1 ZINC000265376754 190177790 /nfs/dbraw/zinc/17/77/90/190177790.db2.gz PPAHZKBSQCNEDY-UHFFFAOYSA-N 0 0 298.726 2.686 20 5 CFBDRN CCCC[C@H](COC)Nc1ncccc1[N+](=O)[O-] ZINC000265502872 190186783 /nfs/dbraw/zinc/18/67/83/190186783.db2.gz NKLQRAZJUKFYQN-SNVBAGLBSA-N 0 0 253.302 2.607 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1ncc([N+](=O)[O-])cc1Cl ZINC000265480109 190185220 /nfs/dbraw/zinc/18/52/20/190185220.db2.gz CNBNPGGCXVPEHK-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN CCOc1cccc(N[C@@H](C)C[C@H](C)O)c1[N+](=O)[O-] ZINC000130653705 377590482 /nfs/dbraw/zinc/59/04/82/377590482.db2.gz CKPVGDFHAJEPTK-UWVGGRQHSA-N 0 0 268.313 2.565 20 5 CFBDRN CCOc1cccc(N[C@@H](C)C[C@@H](C)O)c1[N+](=O)[O-] ZINC000130653317 377589664 /nfs/dbraw/zinc/58/96/64/377589664.db2.gz CKPVGDFHAJEPTK-VHSXEESVSA-N 0 0 268.313 2.565 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])N1CCCCC1 ZINC000130750276 377603213 /nfs/dbraw/zinc/60/32/13/377603213.db2.gz IMNUHQQWKQNNFE-UHFFFAOYSA-N 0 0 298.726 2.640 20 5 CFBDRN CCCCNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265718584 190201789 /nfs/dbraw/zinc/20/17/89/190201789.db2.gz QRSCZEQLNPGQTP-UHFFFAOYSA-N 0 0 264.325 2.789 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCCOC(C)C)cc1[N+](=O)[O-] ZINC000265770873 190205472 /nfs/dbraw/zinc/20/54/72/190205472.db2.gz IVPKGLABSMIYKC-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN CC(C)OCCCN(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000265827520 190210374 /nfs/dbraw/zinc/21/03/74/190210374.db2.gz YDFCFNIRBDSCAV-UHFFFAOYSA-N 0 0 298.314 2.621 20 5 CFBDRN CC[C@@H]1CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000131156309 377668809 /nfs/dbraw/zinc/66/88/09/377668809.db2.gz ATEGASQIPLJKJK-GFCCVEGCSA-N 0 0 276.336 2.786 20 5 CFBDRN C[C@H](NC(=O)NC1(C2CC2)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000571738811 383722140 /nfs/dbraw/zinc/72/21/40/383722140.db2.gz SKMSRXCQKORMDL-JTQLQIEISA-N 0 0 289.335 2.898 20 5 CFBDRN CC(C)N1CC[C@H](SCc2ccc([N+](=O)[O-])cc2)C1=O ZINC000131369486 377702422 /nfs/dbraw/zinc/70/24/22/377702422.db2.gz MJIOXSOYMFNSRM-ZDUSSCGKSA-N 0 0 294.376 2.837 20 5 CFBDRN CC(C)OCCCN(C)c1cccc(F)c1[N+](=O)[O-] ZINC000265851748 190212855 /nfs/dbraw/zinc/21/28/55/190212855.db2.gz QEPJBDHDVLVINT-UHFFFAOYSA-N 0 0 270.304 2.985 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000265853426 190213108 /nfs/dbraw/zinc/21/31/08/190213108.db2.gz QKZHQOWKVOAULW-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000131416679 377708907 /nfs/dbraw/zinc/70/89/07/377708907.db2.gz IIRMFEKBFPBRFM-LLVKDONJSA-N 0 0 280.349 2.529 20 5 CFBDRN CCCCOCCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000131697839 377748061 /nfs/dbraw/zinc/74/80/61/377748061.db2.gz PZEGPIYBDIRWMJ-UHFFFAOYSA-N 0 0 298.314 2.671 20 5 CFBDRN C[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1cccnc1 ZINC000131718136 377751854 /nfs/dbraw/zinc/75/18/54/377751854.db2.gz ZQKFFPCWVBUQMA-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)NC1CCC1 ZINC000265861959 190213612 /nfs/dbraw/zinc/21/36/12/190213612.db2.gz TUBZHJIRHKQRNT-UHFFFAOYSA-N 0 0 291.351 2.769 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])[C@H]1CCCO1 ZINC000132296754 377825381 /nfs/dbraw/zinc/82/53/81/377825381.db2.gz SWCLUKDVEBUWGI-CHWSQXEVSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000132672076 377865622 /nfs/dbraw/zinc/86/56/22/377865622.db2.gz ZCNKDVHYYGLWJC-BXKDBHETSA-N 0 0 297.282 2.987 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000133004660 377893858 /nfs/dbraw/zinc/89/38/58/377893858.db2.gz WBUSKRUYZDERBM-OCCSQVGLSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)CC[C@H]1CCC[C@@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000132481695 377844178 /nfs/dbraw/zinc/84/41/78/377844178.db2.gz MRVDGWUAUXMKEJ-MNOVXSKESA-N 0 0 294.355 2.653 20 5 CFBDRN COC(=O)c1ccc(CNc2c(F)cccc2[N+](=O)[O-])o1 ZINC000132510957 377847986 /nfs/dbraw/zinc/84/79/86/377847986.db2.gz FPODEIYBLBNNLR-UHFFFAOYSA-N 0 0 294.238 2.726 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCCCCCO ZINC000132580425 377855698 /nfs/dbraw/zinc/85/56/98/377855698.db2.gz NZVKLAYNFBUKKJ-UHFFFAOYSA-N 0 0 268.313 2.568 20 5 CFBDRN CSC[C@H]1CCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000133333660 377921043 /nfs/dbraw/zinc/92/10/43/377921043.db2.gz FOSNXDSUUMVGOC-MRVPVSSYSA-N 0 0 287.772 2.975 20 5 CFBDRN CSC[C@H]1CCCN1c1ccc([N+](=O)[O-])c(C)n1 ZINC000133334729 377921608 /nfs/dbraw/zinc/92/16/08/377921608.db2.gz KYHKYDBSRLHODM-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN CCc1nn(C)cc1CNc1c(C)cccc1[N+](=O)[O-] ZINC000133474271 377933073 /nfs/dbraw/zinc/93/30/73/377933073.db2.gz SZOSTOKHJCTFTA-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CCC[C@H](NC(=O)c1[nH]ncc1[N+](=O)[O-])C1CCCCC1 ZINC000133078840 377900260 /nfs/dbraw/zinc/90/02/60/377900260.db2.gz ZFIODFHYCNSBMO-NSHDSACASA-N 0 0 294.355 2.797 20 5 CFBDRN CC[C@@H](C)C(=O)COc1cc([N+](=O)[O-])ccc1OC ZINC000133104279 377904755 /nfs/dbraw/zinc/90/47/55/377904755.db2.gz GAYDKEBTJUPUHL-SECBINFHSA-N 0 0 267.281 2.597 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)COC(C)C ZINC000133130149 377906573 /nfs/dbraw/zinc/90/65/73/377906573.db2.gz GSEHGWCVXGUDQX-UHFFFAOYSA-N 0 0 266.297 2.575 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000134367979 378006289 /nfs/dbraw/zinc/00/62/89/378006289.db2.gz CETNHCGQMFWRPK-RNCFNFMXSA-N 0 0 276.292 2.539 20 5 CFBDRN CSCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000134379221 378007793 /nfs/dbraw/zinc/00/77/93/378007793.db2.gz FEONMSOHFGEWPD-JTQLQIEISA-N 0 0 282.365 2.808 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)[C@@H]1CCCO1 ZINC000133887068 377966393 /nfs/dbraw/zinc/96/63/93/377966393.db2.gz WHWOTURWXJQMFZ-KGLIPLIRSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC1(CC(C)C)CC1 ZINC000133907234 377966844 /nfs/dbraw/zinc/96/68/44/377966844.db2.gz LVUBSWMOGWZVLY-UHFFFAOYSA-N 0 0 291.351 2.854 20 5 CFBDRN O=C(NC[C@@H]1CCCS1)Nc1ccc([N+](=O)[O-])cc1 ZINC000134086010 377982634 /nfs/dbraw/zinc/98/26/34/377982634.db2.gz OGVWHVALWOPEJR-NSHDSACASA-N 0 0 281.337 2.612 20 5 CFBDRN CCC[C@@H](O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000134547371 378030806 /nfs/dbraw/zinc/03/08/06/378030806.db2.gz GUWWUKSDTFGYPD-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000266012604 190222905 /nfs/dbraw/zinc/22/29/05/190222905.db2.gz JQCLLEONIBSAHM-NEPJUHHUSA-N 0 0 276.336 2.784 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000134969772 378070934 /nfs/dbraw/zinc/07/09/34/378070934.db2.gz NDUXFKHVHLNKRW-VIFPVBQESA-N 0 0 266.272 2.606 20 5 CFBDRN CCC[C@@H]1SCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000135026984 378074775 /nfs/dbraw/zinc/07/47/75/378074775.db2.gz JIRMSOLZQQRAPZ-VIFPVBQESA-N 0 0 286.378 2.972 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CCS1 ZINC000135318894 378117337 /nfs/dbraw/zinc/11/73/37/378117337.db2.gz MTVSLCDTDNHYLE-SNVBAGLBSA-N 0 0 298.339 2.702 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2nc(C3CC3)no2)c1 ZINC000135529648 378141371 /nfs/dbraw/zinc/14/13/71/378141371.db2.gz URNVRBHVPPIXAQ-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NOC2CCCC2)c1 ZINC000135134234 378088140 /nfs/dbraw/zinc/08/81/40/378088140.db2.gz RIVIOKXHKUJIOI-UHFFFAOYSA-N 0 0 296.348 2.921 20 5 CFBDRN CCCC[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OC ZINC000135171566 378095546 /nfs/dbraw/zinc/09/55/46/378095546.db2.gz KTGYOPXNLKYGSS-RISCZKNCSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@@H]1CCOC1 ZINC000135253138 378105597 /nfs/dbraw/zinc/10/55/97/378105597.db2.gz BVTDCMJMTIDSCV-GHMZBOCLSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CCCOC(C)C)c1 ZINC000266042226 190225818 /nfs/dbraw/zinc/22/58/18/190225818.db2.gz QEPRMWJPJRCZDV-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CC2(C)C)c1 ZINC000136299231 378194386 /nfs/dbraw/zinc/19/43/86/378194386.db2.gz DMMJXDDTPNWJAB-LLVKDONJSA-N 0 0 264.281 2.592 20 5 CFBDRN CCOc1cc(N[C@@H](C)C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000136366477 378197511 /nfs/dbraw/zinc/19/75/11/378197511.db2.gz NJJVPPNHKYDUKA-UWVGGRQHSA-N 0 0 268.313 2.565 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NC3CCSCC3)nc2c1 ZINC000136450128 378200370 /nfs/dbraw/zinc/20/03/70/378200370.db2.gz MKDGKTVRTYOWQG-UHFFFAOYSA-N 0 0 278.337 2.779 20 5 CFBDRN Cc1cc(N(C)Cc2ccc(N)nc2)ccc1[N+](=O)[O-] ZINC000135986698 378174320 /nfs/dbraw/zinc/17/43/20/378174320.db2.gz SAPOPNIGNNUBEK-UHFFFAOYSA-N 0 0 272.308 2.517 20 5 CFBDRN C[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000148465318 378258210 /nfs/dbraw/zinc/25/82/10/378258210.db2.gz IXAYIHWCEMYZLU-GMSGAONNSA-N 0 0 271.704 2.623 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2ccon2)cc1[N+](=O)[O-] ZINC000148682959 378259196 /nfs/dbraw/zinc/25/91/96/378259196.db2.gz OQYSYYDJGZNKKS-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN CN(CC1CC1)C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149160709 378262269 /nfs/dbraw/zinc/26/22/69/378262269.db2.gz XGRWJDSERHNJCI-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000151400052 378323095 /nfs/dbraw/zinc/32/30/95/378323095.db2.gz HOAWFAVSEKYABS-NSHDSACASA-N 0 0 276.336 2.832 20 5 CFBDRN O=C(C1CCC1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152225807 378403890 /nfs/dbraw/zinc/40/38/90/378403890.db2.gz SNKRLYRSZSZSNJ-UHFFFAOYSA-N 0 0 274.320 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CC=CCC2)c1 ZINC000266099816 190230462 /nfs/dbraw/zinc/23/04/62/190230462.db2.gz YOPOGLQFSRLRGV-GFCCVEGCSA-N 0 0 274.320 2.989 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2ccco2)c1 ZINC000152417136 378413509 /nfs/dbraw/zinc/41/35/09/378413509.db2.gz UXMCFFYZTOSSMP-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000152932210 378461173 /nfs/dbraw/zinc/46/11/73/378461173.db2.gz PFPBAJXHJMBFMG-AOOOYVTPSA-N 0 0 263.297 3.000 20 5 CFBDRN Cc1ccc(CN2CCc3c(cccc3[N+](=O)[O-])C2)nc1 ZINC000153103490 378473576 /nfs/dbraw/zinc/47/35/76/378473576.db2.gz BABOEYWPCXBBDC-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCc1cccs1 ZINC000152595128 378431110 /nfs/dbraw/zinc/43/11/10/378431110.db2.gz RBKLFVQANJJISB-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN Cc1conc1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000153321492 378486172 /nfs/dbraw/zinc/48/61/72/378486172.db2.gz FUHBHBQLZPZAEI-UHFFFAOYSA-N 0 0 262.225 2.535 20 5 CFBDRN CCc1[nH]nc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)c1C ZINC000153696718 378506028 /nfs/dbraw/zinc/50/60/28/378506028.db2.gz WUSRPJRBWIYIMU-UHFFFAOYSA-N 0 0 294.336 2.811 20 5 CFBDRN Cc1cc(NC(=O)NC[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000153856736 378516481 /nfs/dbraw/zinc/51/64/81/378516481.db2.gz DCJVSQHLIGMSEX-NSHDSACASA-N 0 0 295.364 2.920 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000154128288 378541884 /nfs/dbraw/zinc/54/18/84/378541884.db2.gz NJRPOAYCEYVFGL-LBPRGKRZSA-N 0 0 293.367 2.871 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000266126492 190232293 /nfs/dbraw/zinc/23/22/93/190232293.db2.gz VJAUNCCPXHIPST-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@@H]1CCCC[C@H]1C ZINC000330479377 378566973 /nfs/dbraw/zinc/56/69/73/378566973.db2.gz NURHGCZNAOGNQM-ZWNOBZJWSA-N 0 0 279.340 2.964 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000330507125 378572949 /nfs/dbraw/zinc/57/29/49/378572949.db2.gz ASUSXSXCVLLMEI-VHSXEESVSA-N 0 0 276.292 2.539 20 5 CFBDRN NC(=O)C[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000330507538 378573163 /nfs/dbraw/zinc/57/31/63/378573163.db2.gz BDDLUDYAPJCROI-LBPRGKRZSA-N 0 0 291.307 2.515 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000330518738 378576691 /nfs/dbraw/zinc/57/66/91/378576691.db2.gz JVHKZNBJMYRHSL-GHMZBOCLSA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCC(=O)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000330528484 378579360 /nfs/dbraw/zinc/57/93/60/378579360.db2.gz QKXALMZVOFXCSS-ONGXEEELSA-N 0 0 290.319 2.847 20 5 CFBDRN COc1cccc(C(=O)N2CCC(C)=C(C)C2)c1[N+](=O)[O-] ZINC000331109484 378634594 /nfs/dbraw/zinc/63/45/94/378634594.db2.gz IHMSRZRMGVFXBG-UHFFFAOYSA-N 0 0 290.319 2.786 20 5 CFBDRN Cc1c(CNC(=O)C2CCSCC2)cccc1[N+](=O)[O-] ZINC000331140930 378639247 /nfs/dbraw/zinc/63/92/47/378639247.db2.gz UGDGLKGYLMVCCP-UHFFFAOYSA-N 0 0 294.376 2.663 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000330936060 378599937 /nfs/dbraw/zinc/59/99/37/378599937.db2.gz QKIYSKNLUCQZIB-AAEUAGOBSA-N 0 0 276.336 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1CCCOCC1)CCN2 ZINC000278413871 193971464 /nfs/dbraw/zinc/97/14/64/193971464.db2.gz NZZSBOJMTRAOLG-SNVBAGLBSA-N 0 0 277.324 2.544 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000156363732 378640319 /nfs/dbraw/zinc/64/03/19/378640319.db2.gz GRSUUMASQDARNP-DTWKUNHWSA-N 0 0 268.338 2.965 20 5 CFBDRN COc1c(C(=O)N2CC[C@@H](C)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000331041463 378621126 /nfs/dbraw/zinc/62/11/26/378621126.db2.gz DOGQQINRXWXFSB-MNOVXSKESA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CO[C@H](C)C1 ZINC000331268522 378660677 /nfs/dbraw/zinc/66/06/77/378660677.db2.gz SDBPYBBTXXDDIH-KOLCDFICSA-N 0 0 278.308 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2CCCC[C@@H]2O)nc1 ZINC000331316559 378667974 /nfs/dbraw/zinc/66/79/74/378667974.db2.gz DCLDEAHSRVBVRR-KOLCDFICSA-N 0 0 268.338 2.633 20 5 CFBDRN CC1(C)Cc2cccc(Cn3cc([N+](=O)[O-])cn3)c2O1 ZINC000331373410 378679359 /nfs/dbraw/zinc/67/93/59/378679359.db2.gz JOYUJLBYLHSEPL-UHFFFAOYSA-N 0 0 273.292 2.553 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)C[C@@H](C)C1 ZINC000331169351 378644196 /nfs/dbraw/zinc/64/41/96/378644196.db2.gz UVMUWQDMIYOHPX-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@H]1CCCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000332240579 378740030 /nfs/dbraw/zinc/74/00/30/378740030.db2.gz URFDALISEHCPNP-NSHDSACASA-N 0 0 264.325 2.922 20 5 CFBDRN Cc1nn(Cc2cccnc2)c2ccc([N+](=O)[O-])cc12 ZINC000432237105 383731062 /nfs/dbraw/zinc/73/10/62/383731062.db2.gz YVICWEIGNJXIHD-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN CCC(CC)(CO)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000159749087 378728002 /nfs/dbraw/zinc/72/80/02/378728002.db2.gz OLDYUEONNHZEOE-UHFFFAOYSA-N 0 0 295.339 2.515 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCC[C@H]2CC(C)C)c1[N+](=O)[O-] ZINC000332660676 378784153 /nfs/dbraw/zinc/78/41/53/378784153.db2.gz FWYQHKUENFATEC-JTQLQIEISA-N 0 0 294.355 2.531 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CC[C@@](C)(CC)C2)c1[N+](=O)[O-] ZINC000332680715 378788084 /nfs/dbraw/zinc/78/80/84/378788084.db2.gz STOOOIWPNRHVST-CQSZACIVSA-N 0 0 294.355 2.533 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC(C)(C)C[C@H]2C)c1[N+](=O)[O-] ZINC000332711197 378792834 /nfs/dbraw/zinc/79/28/34/378792834.db2.gz OZUXJYAPLHDARS-SECBINFHSA-N 0 0 294.355 2.702 20 5 CFBDRN CCc1ccc([C@@H](COC)Nc2ccc([N+](=O)[O-])cn2)o1 ZINC000266227993 190241727 /nfs/dbraw/zinc/24/17/27/190241727.db2.gz IYDAMTPSGRGBCT-GFCCVEGCSA-N 0 0 291.307 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ncccn2)c(Cl)c1 ZINC000161090410 378820956 /nfs/dbraw/zinc/82/09/56/378820956.db2.gz BVKNIQSABVRXOQ-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1nccn1CCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000266265234 190243904 /nfs/dbraw/zinc/24/39/04/190243904.db2.gz QBIOWCSUORAEIE-UHFFFAOYSA-N 0 0 278.287 2.741 20 5 CFBDRN CC1(C)C[C@H]1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162241124 378902662 /nfs/dbraw/zinc/90/26/62/378902662.db2.gz NDICJBFWYPLIQQ-NSHDSACASA-N 0 0 274.320 2.920 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC[C@@H]2c2cc[nH]n2)c1 ZINC000278511161 194019133 /nfs/dbraw/zinc/01/91/33/194019133.db2.gz BJMYYDMAHLKLAW-CQSZACIVSA-N 0 0 272.308 2.655 20 5 CFBDRN O=C(/C=C/C1CC1)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000266297447 190247198 /nfs/dbraw/zinc/24/71/98/190247198.db2.gz KCFLHGBQRCMWAC-DHZHZOJOSA-N 0 0 288.347 3.000 20 5 CFBDRN CC[C@@H](CSC)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000163803901 378991450 /nfs/dbraw/zinc/99/14/50/378991450.db2.gz UTHPPDAWFJQMJF-VIFPVBQESA-N 0 0 255.343 2.852 20 5 CFBDRN CC[C@H](CSC)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000163801324 378991996 /nfs/dbraw/zinc/99/19/96/378991996.db2.gz WSIXWXAAVWNVKD-SECBINFHSA-N 0 0 255.343 2.852 20 5 CFBDRN O=C(c1ccco1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163908204 378997515 /nfs/dbraw/zinc/99/75/15/378997515.db2.gz LXSZEAOUMVOYBD-UHFFFAOYSA-N 0 0 286.287 2.993 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2C[C@@H]1CCCOC1 ZINC000335283062 379024622 /nfs/dbraw/zinc/02/46/22/379024622.db2.gz UNQAETLQTZBEGG-NSHDSACASA-N 0 0 260.293 2.976 20 5 CFBDRN Cc1c(C(=O)N2CCC[C@@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000334306029 379064723 /nfs/dbraw/zinc/06/47/23/379064723.db2.gz GULSZKSCTHEGTF-LLVKDONJSA-N 0 0 284.262 2.773 20 5 CFBDRN C[C@@]1(O)CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000167351011 379070768 /nfs/dbraw/zinc/07/07/68/379070768.db2.gz SFLGBCSWLBXDRU-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H]2CC=CCC2)n1 ZINC000166740403 379061060 /nfs/dbraw/zinc/06/10/60/379061060.db2.gz WKJNDVVTYSVKOP-JTQLQIEISA-N 0 0 291.307 2.545 20 5 CFBDRN CCOc1cc(N2CCC[C@@H](CO)CC2)ccc1[N+](=O)[O-] ZINC000338826640 220257184 /nfs/dbraw/zinc/25/71/84/220257184.db2.gz CJLNZVCHQKAWHN-GFCCVEGCSA-N 0 0 294.351 2.592 20 5 CFBDRN CC(=O)OCCCSCCOc1ccccc1[N+](=O)[O-] ZINC000278738137 194131320 /nfs/dbraw/zinc/13/13/20/194131320.db2.gz VEEVUIKMRSFDEF-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN Cc1cc(OCc2nnc(C(C)C)o2)ccc1[N+](=O)[O-] ZINC000170697452 379143131 /nfs/dbraw/zinc/14/31/31/379143131.db2.gz ODPANVBHCDEYAI-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])ccc1Cl)CC1CC1 ZINC000278765217 194145960 /nfs/dbraw/zinc/14/59/60/194145960.db2.gz SCZROYPBAFIOIT-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN CN(C(=O)[C@@H]1CCC(C)(C)O1)c1cccc([N+](=O)[O-])c1 ZINC000278770687 194148255 /nfs/dbraw/zinc/14/82/55/194148255.db2.gz OKAWMQOVNUHFFN-LBPRGKRZSA-N 0 0 278.308 2.515 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266452362 190258229 /nfs/dbraw/zinc/25/82/29/190258229.db2.gz BGFASXOBMSBFRY-WCQYABFASA-N 0 0 291.351 2.625 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNCc1ccoc1 ZINC000171128450 379239293 /nfs/dbraw/zinc/23/92/93/379239293.db2.gz ZYCDJUGHZNLJTM-UHFFFAOYSA-N 0 0 276.292 2.876 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(C)=C[C@H](C)C2)c1 ZINC000335431924 379284936 /nfs/dbraw/zinc/28/49/36/379284936.db2.gz ZLKYQIDPABERHQ-NSHDSACASA-N 0 0 274.320 2.941 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000334408833 379247840 /nfs/dbraw/zinc/24/78/40/379247840.db2.gz JJAHLJIORIVGHB-LLVKDONJSA-N 0 0 279.340 2.586 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nc(CC3CC3)no2)c1 ZINC000266534972 190265073 /nfs/dbraw/zinc/26/50/73/190265073.db2.gz FYWSICIBVGZVJT-UHFFFAOYSA-N 0 0 275.264 2.509 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000335418416 379258010 /nfs/dbraw/zinc/25/80/10/379258010.db2.gz NFRVMDAVCWPSAZ-RKDXNWHRSA-N 0 0 286.328 2.975 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000335418413 379258713 /nfs/dbraw/zinc/25/87/13/379258713.db2.gz NFRVMDAVCWPSAZ-BDAKNGLRSA-N 0 0 286.328 2.975 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@H](F)C1 ZINC000335420158 379262973 /nfs/dbraw/zinc/26/29/73/379262973.db2.gz UDVJOUIEAXWZAX-WDEREUQCSA-N 0 0 280.299 2.914 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H](C)CCCC(C)C)c1[N+](=O)[O-] ZINC000171398573 379299358 /nfs/dbraw/zinc/29/93/58/379299358.db2.gz AIDFHSADCOZEGQ-JTQLQIEISA-N 0 0 296.371 2.825 20 5 CFBDRN COC(=O)c1coc(COc2ccc([N+](=O)[O-])cc2F)c1 ZINC000171412383 379300850 /nfs/dbraw/zinc/30/08/50/379300850.db2.gz RZZZPJRJNHQUFC-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@@H]1C1CC1 ZINC000334497870 379396477 /nfs/dbraw/zinc/39/64/77/379396477.db2.gz HRXTYWLOCYXDLG-GFCCVEGCSA-N 0 0 279.271 2.750 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1CF ZINC000335490271 379392797 /nfs/dbraw/zinc/39/27/97/379392797.db2.gz XSHNUPHLSGNFLK-LLVKDONJSA-N 0 0 280.299 2.786 20 5 CFBDRN C[C@@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)C(C)(F)F ZINC000334513768 379431419 /nfs/dbraw/zinc/43/14/19/379431419.db2.gz NAZLHHSCAAOQFL-QMMMGPOBSA-N 0 0 284.262 2.775 20 5 CFBDRN COC(C)(C)CC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000278961302 194231326 /nfs/dbraw/zinc/23/13/26/194231326.db2.gz IDNBGTOUZCBWHP-NSHDSACASA-N 0 0 294.351 2.896 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1ccc([C@H](C)O)cc1 ZINC000171830878 379415889 /nfs/dbraw/zinc/41/58/89/379415889.db2.gz APWSVMIZDMXALB-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN CC(C)[C@](C)(O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000171832324 379416301 /nfs/dbraw/zinc/41/63/01/379416301.db2.gz OOVVGQLOENGENM-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN COC[C@H](C)N(C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000171987664 379461591 /nfs/dbraw/zinc/46/15/91/379461591.db2.gz OFENIOAXNBEGSP-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCc1ccoc1 ZINC000172075117 379485418 /nfs/dbraw/zinc/48/54/18/379485418.db2.gz CZIVRQKSLRUZQB-UHFFFAOYSA-N 0 0 294.694 2.700 20 5 CFBDRN C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000172103451 379495448 /nfs/dbraw/zinc/49/54/48/379495448.db2.gz XVROMRNHJSOADR-NSHDSACASA-N 0 0 276.336 2.832 20 5 CFBDRN CN(C(=O)NCc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000172306867 379537788 /nfs/dbraw/zinc/53/77/88/379537788.db2.gz PUVOJGBBONGQSD-UHFFFAOYSA-N 0 0 277.324 2.679 20 5 CFBDRN COc1cccc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000172318614 379540156 /nfs/dbraw/zinc/54/01/56/379540156.db2.gz OGZLTRGATNXMCM-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN C[C@H]1CSCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000172334298 379544836 /nfs/dbraw/zinc/54/48/36/379544836.db2.gz FRWRAIFTBWSZIR-VIFPVBQESA-N 0 0 281.337 2.564 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1ccn(C(C)C)n1 ZINC000172220556 379518415 /nfs/dbraw/zinc/51/84/15/379518415.db2.gz CUNAMQBPQTVLPV-UHFFFAOYSA-N 0 0 291.307 2.960 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000266879983 190286763 /nfs/dbraw/zinc/28/67/63/190286763.db2.gz GFKGQGADLBERQU-NHAGDIPZSA-N 0 0 288.347 2.690 20 5 CFBDRN O=C(c1cscn1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000074017465 296298680 /nfs/dbraw/zinc/29/86/80/296298680.db2.gz HGFACQSNZBOFIO-UHFFFAOYSA-N 0 0 289.316 2.644 20 5 CFBDRN CCc1ccc(C(=O)NCCc2cccnc2)cc1[N+](=O)[O-] ZINC000266913096 190290185 /nfs/dbraw/zinc/29/01/85/190290185.db2.gz HXAMWXWPECZHLO-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN Cc1occc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172423533 379564776 /nfs/dbraw/zinc/56/47/76/379564776.db2.gz VCKBLTIIYUHQJO-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN CCC1(O)CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000266956245 190293820 /nfs/dbraw/zinc/29/38/20/190293820.db2.gz WJEZXHMNGKNPBG-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)C(C)C ZINC000172452718 379572098 /nfs/dbraw/zinc/57/20/98/379572098.db2.gz BUTXFIOKJPYEAP-LLVKDONJSA-N 0 0 264.325 2.925 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])N[C@H]1CC=CCC1 ZINC000172480894 379576241 /nfs/dbraw/zinc/57/62/41/379576241.db2.gz JBFPKWCHTPWZHX-LBPRGKRZSA-N 0 0 289.335 2.622 20 5 CFBDRN CN(c1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1)C1CC1 ZINC000342139031 220270695 /nfs/dbraw/zinc/27/06/95/220270695.db2.gz SJVLEBFYNDAVOS-UHFFFAOYSA-N 0 0 264.310 2.645 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000267077373 190301587 /nfs/dbraw/zinc/30/15/87/190301587.db2.gz JTKAPHHCNTWHQP-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN C[C@H]1Cc2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000172792281 379646732 /nfs/dbraw/zinc/64/67/32/379646732.db2.gz HLVBKFZSWNUJNE-JTQLQIEISA-N 0 0 286.287 2.775 20 5 CFBDRN COC(=O)[C@@H](C)S[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000267102270 190303869 /nfs/dbraw/zinc/30/38/69/190303869.db2.gz ZKYYGRSYSQRJLQ-DTWKUNHWSA-N 0 0 269.322 2.951 20 5 CFBDRN CCOC[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000267103358 190303897 /nfs/dbraw/zinc/30/38/97/190303897.db2.gz PLSGLKUCFWRSEU-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1ccc(C)o1 ZINC000266998533 190296344 /nfs/dbraw/zinc/29/63/44/190296344.db2.gz BPJHLKRQIYGTHS-LLVKDONJSA-N 0 0 277.280 2.691 20 5 CFBDRN CN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C1CCC1 ZINC000172696165 379626875 /nfs/dbraw/zinc/62/68/75/379626875.db2.gz CTIQISJXOPNWAK-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@H](C)c1ccccc1 ZINC000267049429 190299128 /nfs/dbraw/zinc/29/91/28/190299128.db2.gz WZBPPZLIHKNCEG-GHMZBOCLSA-N 0 0 287.319 2.845 20 5 CFBDRN CCCNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000173052767 379689562 /nfs/dbraw/zinc/68/95/62/379689562.db2.gz JBJYQWSVNCCFHT-UHFFFAOYSA-N 0 0 276.214 2.753 20 5 CFBDRN C[C@@H]1CCCN1C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000335668742 379689616 /nfs/dbraw/zinc/68/96/16/379689616.db2.gz MVEPSRGIPCHYCW-LLVKDONJSA-N 0 0 276.336 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@H]1CCCO1 ZINC000267163160 190308062 /nfs/dbraw/zinc/30/80/62/190308062.db2.gz ANLFWIVSHMNACN-GFCCVEGCSA-N 0 0 250.298 2.518 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000173077964 379693983 /nfs/dbraw/zinc/69/39/83/379693983.db2.gz VEXBECOBARQGRS-GFCCVEGCSA-N 0 0 276.336 2.786 20 5 CFBDRN CCCN(CC(C)C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000267165459 190308264 /nfs/dbraw/zinc/30/82/64/190308264.db2.gz BFGICGBQTGWLTI-UHFFFAOYSA-N 0 0 279.340 2.685 20 5 CFBDRN CCCN(CC(C)(C)O)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000267185900 190309888 /nfs/dbraw/zinc/30/98/88/190309888.db2.gz WFLXWFGUIJAXST-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN CCS[C@@H]1CCC[C@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000267238358 190314299 /nfs/dbraw/zinc/31/42/99/190314299.db2.gz XGHBGPKKJXTPQE-VHSXEESVSA-N 0 0 284.385 2.805 20 5 CFBDRN CCS[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000267236602 190314374 /nfs/dbraw/zinc/31/43/74/190314374.db2.gz KBTPPAPRYZPIAJ-ZJUUUORDSA-N 0 0 297.380 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCSC1 ZINC000267248081 190314561 /nfs/dbraw/zinc/31/45/61/190314561.db2.gz LSMSKRSMFUCMJT-SNVBAGLBSA-N 0 0 280.349 2.529 20 5 CFBDRN CCC(CC)CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172981805 379681536 /nfs/dbraw/zinc/68/15/36/379681536.db2.gz LBZJCAQRHDVBEO-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN CCN(CC(C)(C)O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000267295098 190319126 /nfs/dbraw/zinc/31/91/26/190319126.db2.gz DFVKYTGIQUFFGN-UHFFFAOYSA-N 0 0 295.339 2.528 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCSC1 ZINC000267298499 190319560 /nfs/dbraw/zinc/31/95/60/190319560.db2.gz CFRWZIZSXQAKLY-SNVBAGLBSA-N 0 0 281.337 2.612 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000335682836 379716322 /nfs/dbraw/zinc/71/63/22/379716322.db2.gz ADKBQXJWUWADRK-RKDXNWHRSA-N 0 0 273.292 2.699 20 5 CFBDRN CC1(C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CCS1 ZINC000335683840 379717314 /nfs/dbraw/zinc/71/73/14/379717314.db2.gz DZDQEGYAYSQONO-UHFFFAOYSA-N 0 0 298.339 2.702 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335685495 379718968 /nfs/dbraw/zinc/71/89/68/379718968.db2.gz LLTAMBZNOVTCCT-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H](NCc1nc(C2CC2)no1)c1cccc([N+](=O)[O-])c1 ZINC000173388148 379735044 /nfs/dbraw/zinc/73/50/44/379735044.db2.gz XRSFYGLCLRTCEM-VIFPVBQESA-N 0 0 288.307 2.706 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000267285872 190318529 /nfs/dbraw/zinc/31/85/29/190318529.db2.gz QBWBEGLWKBPOFP-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000173873419 379792175 /nfs/dbraw/zinc/79/21/75/379792175.db2.gz WKXAYJBQXBTUMN-VIFPVBQESA-N 0 0 298.314 2.667 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1C[C@H]2CCC[C@@H]2C1 ZINC000335731364 379798011 /nfs/dbraw/zinc/79/80/11/379798011.db2.gz VRQQNXPTXKKTEW-NXEZZACHSA-N 0 0 278.283 2.606 20 5 CFBDRN Cc1ccc(NC(=O)N2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000174187431 379841801 /nfs/dbraw/zinc/84/18/01/379841801.db2.gz WIDWTXYZFOIADG-JTQLQIEISA-N 0 0 263.297 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCSC1 ZINC000335759297 379842200 /nfs/dbraw/zinc/84/22/00/379842200.db2.gz RXODMQRSWANTQB-SNVBAGLBSA-N 0 0 280.349 2.903 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)[C@H]1C ZINC000334735039 379847451 /nfs/dbraw/zinc/84/74/51/379847451.db2.gz SBKNJZICJKHSFI-SFYZADRCSA-N 0 0 268.700 2.729 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000174258525 379852081 /nfs/dbraw/zinc/85/20/81/379852081.db2.gz QFRBELGRZGCTBD-ZDUSSCGKSA-N 0 0 294.376 2.808 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)/C=C/c3ccc([N+](=O)[O-])cc3)C[C@@H]21 ZINC000174039331 379818163 /nfs/dbraw/zinc/81/81/63/379818163.db2.gz XYXXCIVBLXBMOT-CSEUZHBESA-N 0 0 286.331 2.722 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@H]2CCC[C@H]21 ZINC000174337103 379867498 /nfs/dbraw/zinc/86/74/98/379867498.db2.gz HXZKTUCJYLMYMG-DGCLKSJQSA-N 0 0 274.320 3.000 20 5 CFBDRN CC[C@H]1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335806965 379921118 /nfs/dbraw/zinc/92/11/18/379921118.db2.gz BRTXCZVZKFIPJE-VIFPVBQESA-N 0 0 281.287 2.998 20 5 CFBDRN CC1(C)CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000174392346 379876937 /nfs/dbraw/zinc/87/69/37/379876937.db2.gz BCCSRCDZSGIVOK-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN CC(=O)[C@H](C)SCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000267563412 190338141 /nfs/dbraw/zinc/33/81/41/190338141.db2.gz HXYVDJGFGJHRMC-QMMMGPOBSA-N 0 0 280.305 2.947 20 5 CFBDRN O=C(N[C@@H]1CCCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000335833512 379964083 /nfs/dbraw/zinc/96/40/83/379964083.db2.gz KCEDCHMQHXYIEY-SNVBAGLBSA-N 0 0 284.262 2.903 20 5 CFBDRN CCC1(NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)CCC1 ZINC000267647573 190343936 /nfs/dbraw/zinc/34/39/36/190343936.db2.gz AXYQPIONRNCCTC-UHFFFAOYSA-N 0 0 296.298 2.562 20 5 CFBDRN Cc1cccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)c1O ZINC000174971196 379971960 /nfs/dbraw/zinc/97/19/60/379971960.db2.gz WVYGUMVMZIBYFY-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1c(C(=O)N(C)[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000335839956 379974771 /nfs/dbraw/zinc/97/47/71/379974771.db2.gz JPYYHZJXQKSJMT-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000335841640 379977565 /nfs/dbraw/zinc/97/75/65/379977565.db2.gz WUDATTCISIPSRF-RKDXNWHRSA-N 0 0 298.289 2.672 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NC[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000267684174 190347012 /nfs/dbraw/zinc/34/70/12/190347012.db2.gz GZXPWSVZRCQAQI-GVXVVHGQSA-N 0 0 291.351 2.919 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000267688348 190347357 /nfs/dbraw/zinc/34/73/57/190347357.db2.gz FKYZJESUFUTKES-YFHYCDLPSA-N 0 0 274.320 2.722 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCC[C@@](C)(CC)C2)c1[N+](=O)[O-] ZINC000174752900 379934865 /nfs/dbraw/zinc/93/48/65/379934865.db2.gz KCWYQKHHPFFHLW-CQSZACIVSA-N 0 0 294.355 2.533 20 5 CFBDRN COc1ccnc(N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000267695380 190348126 /nfs/dbraw/zinc/34/81/26/190348126.db2.gz RASICNCEDNZFDB-JTQLQIEISA-N 0 0 288.307 2.875 20 5 CFBDRN O=C(Nc1cc(F)ccc1O)c1ccccc1[N+](=O)[O-] ZINC000174880600 379955071 /nfs/dbraw/zinc/95/50/71/379955071.db2.gz PNIMCKWLUBCJNU-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN Cc1nc(NCc2nccc(C(F)F)n2)ccc1[N+](=O)[O-] ZINC000288241635 197034285 /nfs/dbraw/zinc/03/42/85/197034285.db2.gz OFKIUPXIOKXJTG-UHFFFAOYSA-N 0 0 295.249 2.638 20 5 CFBDRN CCOc1cc(N2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000174917601 379961069 /nfs/dbraw/zinc/96/10/69/379961069.db2.gz WUJCXHZWLLLGLT-JTQLQIEISA-N 0 0 250.298 2.982 20 5 CFBDRN C[C@@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000267808170 190355936 /nfs/dbraw/zinc/35/59/36/190355936.db2.gz VCVIQIHHXRFGGW-SECBINFHSA-N 0 0 282.727 2.802 20 5 CFBDRN CC(=O)[C@H](C)SCCOc1ccccc1[N+](=O)[O-] ZINC000175184498 380014172 /nfs/dbraw/zinc/01/41/72/380014172.db2.gz ZMEUXWDEKYBNPU-JTQLQIEISA-N 0 0 269.322 2.684 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1C[N@@H+]1CCC[C@H]1C ZINC000175285311 380038335 /nfs/dbraw/zinc/03/83/35/380038335.db2.gz HBNYQQBQMIQCDM-LLVKDONJSA-N 0 0 264.325 2.978 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000335226279 380038933 /nfs/dbraw/zinc/03/89/33/380038933.db2.gz XKUSZAGNSFMQHX-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN CCCN(CC)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000267957774 190365184 /nfs/dbraw/zinc/36/51/84/190365184.db2.gz HKAAHWKFLRKAKB-UHFFFAOYSA-N 0 0 264.325 2.786 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCc2cnn(C)c2)c1 ZINC000267995712 190367926 /nfs/dbraw/zinc/36/79/26/190367926.db2.gz AAWXFFUEGCCEDE-UHFFFAOYSA-N 0 0 274.324 2.681 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1C[C@@H]2CCC[C@H]2C1 ZINC000268098970 190376438 /nfs/dbraw/zinc/37/64/38/190376438.db2.gz FERUGSSZGXVBDV-RYUDHWBXSA-N 0 0 299.330 2.948 20 5 CFBDRN CN(CCC1CCOCC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000268108289 190377070 /nfs/dbraw/zinc/37/70/70/190377070.db2.gz BTZCMZYBDKVQGX-UHFFFAOYSA-N 0 0 299.758 2.896 20 5 CFBDRN CC(C)C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000335910166 380093373 /nfs/dbraw/zinc/09/33/73/380093373.db2.gz VQKFNNXQCYJWDO-ZDUSSCGKSA-N 0 0 280.349 2.825 20 5 CFBDRN C[C@H](N(C)C(=O)c1ccc([N+](=O)[O-])cc1)C1(C)CC1 ZINC000175619000 380100192 /nfs/dbraw/zinc/10/01/92/380100192.db2.gz CCUIMCDCPDPIFA-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN COc1ccc(C)cc1CSc1c([N+](=O)[O-])ncn1C ZINC000268129522 190379160 /nfs/dbraw/zinc/37/91/60/190379160.db2.gz AEARGQYCHVBKJT-UHFFFAOYSA-N 0 0 293.348 2.938 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000268155959 190381922 /nfs/dbraw/zinc/38/19/22/190381922.db2.gz GCIYNVSSQWPDGU-QPUJVOFHSA-N 0 0 286.303 2.561 20 5 CFBDRN COc1cc(NC[C@@H]2CCCC[C@H]2O)c([N+](=O)[O-])cc1F ZINC000268168028 190382743 /nfs/dbraw/zinc/38/27/43/190382743.db2.gz IKJKRIWOKZQRLF-TVQRCGJNSA-N 0 0 298.314 2.706 20 5 CFBDRN COc1cc(NCC[C@@H](C)OC)c([N+](=O)[O-])cc1F ZINC000268193926 190385089 /nfs/dbraw/zinc/38/50/89/190385089.db2.gz WZAISXKFIXIJSE-MRVPVSSYSA-N 0 0 272.276 2.579 20 5 CFBDRN N#CN(CCOc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000175757850 380126442 /nfs/dbraw/zinc/12/64/42/380126442.db2.gz RKMHVUVUXUKSGW-UHFFFAOYSA-N 0 0 283.287 2.961 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CSC[C@@H]1C ZINC000335961357 380165352 /nfs/dbraw/zinc/16/53/52/380165352.db2.gz LEETZOONSZHEBN-JOYOIKCWSA-N 0 0 295.364 2.776 20 5 CFBDRN CCCC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268226318 190387832 /nfs/dbraw/zinc/38/78/32/190387832.db2.gz KVSGEQITQAPIHN-UHFFFAOYSA-N 0 0 256.689 2.665 20 5 CFBDRN O=C(CCC1CCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000176130442 380184071 /nfs/dbraw/zinc/18/40/71/380184071.db2.gz DULLJPHVFJZFRY-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C2CCC(CO)CC2)c1 ZINC000268254800 190390193 /nfs/dbraw/zinc/39/01/93/190390193.db2.gz IEZPABHFRXEKNA-UHFFFAOYSA-N 0 0 278.352 2.891 20 5 CFBDRN COC[C@H](C)CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000176225232 380197221 /nfs/dbraw/zinc/19/72/21/380197221.db2.gz HJFDDHRORNAMRO-SECBINFHSA-N 0 0 295.339 2.616 20 5 CFBDRN Cc1cc(N2CC[C@@H](OCC(C)C)C2)ncc1[N+](=O)[O-] ZINC000268280764 190392525 /nfs/dbraw/zinc/39/25/25/190392525.db2.gz QMHWVSBWOIYRLU-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(F)(F)C1 ZINC000335954458 380154560 /nfs/dbraw/zinc/15/45/60/380154560.db2.gz WCXVSWAWXRJWRC-UHFFFAOYSA-N 0 0 285.250 2.776 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2C)O1 ZINC000268326437 190395445 /nfs/dbraw/zinc/39/54/45/190395445.db2.gz SOPRDFTWYLZFKT-GWCFXTLKSA-N 0 0 279.292 2.766 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000176548610 380253561 /nfs/dbraw/zinc/25/35/61/380253561.db2.gz DGNJBYZAYFDLQB-WDEREUQCSA-N 0 0 260.293 2.888 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1C[C@H]1C1CC1 ZINC000176681592 380264080 /nfs/dbraw/zinc/26/40/80/380264080.db2.gz UOMSWQVUODZYPN-STQMWFEESA-N 0 0 274.320 2.599 20 5 CFBDRN O=c1ccc(F)cn1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000336006657 380227128 /nfs/dbraw/zinc/22/71/28/380227128.db2.gz VSNSFKPTHDGLDG-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN Cc1cc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)sn1 ZINC000176413010 380228949 /nfs/dbraw/zinc/22/89/49/380228949.db2.gz ZBQKKHGXOAYAMN-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Nc2cc([N+](=O)[O-])ccc2OC)C1 ZINC000176454542 380238030 /nfs/dbraw/zinc/23/80/30/380238030.db2.gz LBRAHNKTTALMMY-SNVBAGLBSA-N 0 0 293.323 2.867 20 5 CFBDRN Cc1nc(C)c(C)c(NCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000177817225 380381753 /nfs/dbraw/zinc/38/17/53/380381753.db2.gz NOQCJDVDGXEOEM-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN CCOc1cccnc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000177571484 380355534 /nfs/dbraw/zinc/35/55/34/380355534.db2.gz KHQSBGIWXPLKSD-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@H]2C1 ZINC000178279553 380430965 /nfs/dbraw/zinc/43/09/65/380430965.db2.gz NOOLWSJFJFKXAI-KBPBESRZSA-N 0 0 288.347 2.786 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSc2nc3ccccc3o2)n1 ZINC000178454626 380452858 /nfs/dbraw/zinc/45/28/58/380452858.db2.gz KXLKDTZWZKKIPA-UHFFFAOYSA-N 0 0 290.304 2.725 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000336578864 380495531 /nfs/dbraw/zinc/49/55/31/380495531.db2.gz RLWXIKVDJOPNOU-JGVFFNPUSA-N 0 0 254.311 2.527 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC1 ZINC000179304419 380528868 /nfs/dbraw/zinc/52/88/68/380528868.db2.gz BSMSFJNIHYWYTO-UHFFFAOYSA-N 0 0 266.322 2.553 20 5 CFBDRN C[C@H]1[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1(F)F ZINC000336633902 380580344 /nfs/dbraw/zinc/58/03/44/380580344.db2.gz HEKUMSQNULBWAD-SSDLBLMSSA-N 0 0 274.198 2.574 20 5 CFBDRN COCC(C)(C)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000336788255 380633829 /nfs/dbraw/zinc/63/38/29/380633829.db2.gz GRZRZZLYLIIYBK-UHFFFAOYSA-N 0 0 252.314 2.988 20 5 CFBDRN COC(=O)CCCOc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000336808475 380667550 /nfs/dbraw/zinc/66/75/50/380667550.db2.gz CCIUXBQYTZNFBE-UHFFFAOYSA-N 0 0 287.699 2.889 20 5 CFBDRN CCc1cnccc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000180541899 380674557 /nfs/dbraw/zinc/67/45/57/380674557.db2.gz QVLWBFZMZYTLSG-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CCC(CC)(CNc1nc(C)ccc1[N+](=O)[O-])OC ZINC000336819200 380683770 /nfs/dbraw/zinc/68/37/70/380683770.db2.gz YWHHONRKIZQESK-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN CCC(CC)NC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000279159073 194309498 /nfs/dbraw/zinc/30/94/98/194309498.db2.gz IBLFDDYMXYNSNQ-UHFFFAOYSA-N 0 0 297.330 2.718 20 5 CFBDRN Cn1c(COc2ccc([N+](=O)[O-])cc2)nnc1C1CCC1 ZINC000180976746 380719884 /nfs/dbraw/zinc/71/98/84/380719884.db2.gz UMCYTPPXVWHXCM-UHFFFAOYSA-N 0 0 288.307 2.570 20 5 CFBDRN CC(C)(C(=O)NCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000287329658 380806511 /nfs/dbraw/zinc/80/65/11/380806511.db2.gz WXWJPNRLCVGRCL-UHFFFAOYSA-N 0 0 262.309 2.647 20 5 CFBDRN CCC1(NC(=O)CCc2cccc([N+](=O)[O-])c2)CC1 ZINC000295362397 380809229 /nfs/dbraw/zinc/80/92/29/380809229.db2.gz CNMAHPRPWHIVAE-UHFFFAOYSA-N 0 0 262.309 2.586 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287353612 380811447 /nfs/dbraw/zinc/81/14/47/380811447.db2.gz NMBPTSONFLVWIB-GZMMTYOYSA-N 0 0 256.277 2.553 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])c(C)c1)[C@@H]1CCCO1 ZINC000279202477 194329785 /nfs/dbraw/zinc/32/97/85/194329785.db2.gz XLLIRHZTYLMPAZ-JSGCOSHPSA-N 0 0 280.324 2.509 20 5 CFBDRN Cc1ccsc1CCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000358465492 380863576 /nfs/dbraw/zinc/86/35/76/380863576.db2.gz SCMUNXNRRMFICW-UHFFFAOYSA-N 0 0 277.349 2.745 20 5 CFBDRN CC[C@@H]1CCN1C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000295456447 380866074 /nfs/dbraw/zinc/86/60/74/380866074.db2.gz XWHRMLQKIYTWAJ-GFCCVEGCSA-N 0 0 290.319 2.569 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000287558217 380866338 /nfs/dbraw/zinc/86/63/38/380866338.db2.gz WOICEFDXJTVDLQ-LKFCYVNXSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1cc2n[nH]cc2cc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295462824 380871657 /nfs/dbraw/zinc/87/16/57/380871657.db2.gz QGALNNHICLSADE-UHFFFAOYSA-N 0 0 290.323 2.645 20 5 CFBDRN Cc1nc(C)c(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000295411024 380836995 /nfs/dbraw/zinc/83/69/95/380836995.db2.gz SJBCBLHBGFAPFB-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@H](C)CC2CCCCC2)c1[N+](=O)[O-] ZINC000279209804 194334666 /nfs/dbraw/zinc/33/46/66/194334666.db2.gz NLMGKIUGAGWCFY-SECBINFHSA-N 0 0 294.355 2.715 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000346979942 380840743 /nfs/dbraw/zinc/84/07/43/380840743.db2.gz OJYAWCAIZUGZJW-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN CC(F)(F)CCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000295417573 380841913 /nfs/dbraw/zinc/84/19/13/380841913.db2.gz CLLNKQIWJYYLGL-UHFFFAOYSA-N 0 0 278.280 2.822 20 5 CFBDRN COc1c(-c2nc(C(C)(C)C)no2)cccc1[N+](=O)[O-] ZINC000346984420 380848106 /nfs/dbraw/zinc/84/81/06/380848106.db2.gz OJGWCLBKHPRBRG-UHFFFAOYSA-N 0 0 277.280 2.951 20 5 CFBDRN COCCCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295430586 380850712 /nfs/dbraw/zinc/85/07/12/380850712.db2.gz MNEONCWBZMNOMM-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cn2cnc3c2CCCC3)c(F)c1 ZINC000295433660 380852874 /nfs/dbraw/zinc/85/28/74/380852874.db2.gz ZIJGEHPBECJLAN-UHFFFAOYSA-N 0 0 293.273 2.997 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N[C@@H](C)CF)cc1[N+](=O)[O-] ZINC000295450699 380861616 /nfs/dbraw/zinc/86/16/16/380861616.db2.gz KOTBDUISSBNRLO-ZETCQYMHSA-N 0 0 274.679 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@H]2CCOC2)c(F)c1 ZINC000402027072 380880975 /nfs/dbraw/zinc/88/09/75/380880975.db2.gz XQKWYXGQHKQPAQ-JTQLQIEISA-N 0 0 268.288 2.963 20 5 CFBDRN CCc1[nH]ccc1C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295484258 380887497 /nfs/dbraw/zinc/88/74/97/380887497.db2.gz FCRUPJKXMUPRIT-JTQLQIEISA-N 0 0 287.319 2.976 20 5 CFBDRN Cc1sc(C(=O)N2CCC(CF)CC2)cc1[N+](=O)[O-] ZINC000295493098 380893631 /nfs/dbraw/zinc/89/36/31/380893631.db2.gz BEBPQUFKMKTOQQ-UHFFFAOYSA-N 0 0 286.328 2.786 20 5 CFBDRN Nc1ccc(N2CC[C@H](CC(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000287645042 380897860 /nfs/dbraw/zinc/89/78/60/380897860.db2.gz HUYPCFSTMJHUDV-MRVPVSSYSA-N 0 0 289.257 2.956 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCC3)C2)c(F)c1 ZINC000408470576 380930822 /nfs/dbraw/zinc/93/08/22/380930822.db2.gz QYFWNYCIZPEWTN-UHFFFAOYSA-N 0 0 251.261 2.509 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CCSC1 ZINC000287685989 380910562 /nfs/dbraw/zinc/91/05/62/380910562.db2.gz JQYMNVWUBBGSOT-SNVBAGLBSA-N 0 0 280.349 2.962 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCS[C@H](C)[C@@H]2C)n1 ZINC000408172135 380916260 /nfs/dbraw/zinc/91/62/60/380916260.db2.gz SCBORRFWSJKAKW-WDEREUQCSA-N 0 0 281.381 2.937 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)n1 ZINC000408171228 380916275 /nfs/dbraw/zinc/91/62/75/380916275.db2.gz YSZBXDHSPJOWIG-UHTWSYAYSA-N 0 0 291.351 2.832 20 5 CFBDRN O=C1CC2(CCCCC2)N(Cc2ccc([N+](=O)[O-])cc2)N1 ZINC000289334806 296314735 /nfs/dbraw/zinc/31/47/35/296314735.db2.gz LHFZXAUMZTXIQA-UHFFFAOYSA-N 0 0 289.335 2.535 20 5 CFBDRN CC(C)CC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000341309122 380921986 /nfs/dbraw/zinc/92/19/86/380921986.db2.gz UTLXITGQNSXNPP-JTQLQIEISA-N 0 0 250.298 2.818 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000341307607 380922942 /nfs/dbraw/zinc/92/29/42/380922942.db2.gz RZWUZPKLEQHJLM-NXEZZACHSA-N 0 0 280.349 2.525 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000353878873 380923512 /nfs/dbraw/zinc/92/35/12/380923512.db2.gz ZTZOPYCXXCYEGX-QWRGUYRKSA-N 0 0 279.340 2.632 20 5 CFBDRN COCC1(CNc2c(C)cccc2[N+](=O)[O-])CCOCC1 ZINC000368193770 380951918 /nfs/dbraw/zinc/95/19/18/380951918.db2.gz NTEHLSHHAFHQMD-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN CC(F)(F)CCCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000295596077 380965123 /nfs/dbraw/zinc/96/51/23/380965123.db2.gz ORYIZDNDAYCDRI-UHFFFAOYSA-N 0 0 272.251 2.760 20 5 CFBDRN Nc1ccc(NC[C@@H](O)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000287892846 380965731 /nfs/dbraw/zinc/96/57/31/380965731.db2.gz NPMIIEMBFVQBAG-CQSZACIVSA-N 0 0 279.340 2.530 20 5 CFBDRN Cc1ccc(CN2CCOC(C)(C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000353933167 380968652 /nfs/dbraw/zinc/96/86/52/380968652.db2.gz VBPIDJMZUIUPGD-LBPRGKRZSA-N 0 0 278.352 2.903 20 5 CFBDRN Cc1oncc1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000353938185 380972276 /nfs/dbraw/zinc/97/22/76/380972276.db2.gz FQPPULUJHMEMAJ-UHFFFAOYSA-N 0 0 262.225 2.535 20 5 CFBDRN CC[C@@H](NCc1ncc(C)n1C)c1cccc([N+](=O)[O-])c1 ZINC000341371159 380972556 /nfs/dbraw/zinc/97/25/56/380972556.db2.gz ZPFGHANPECZARX-CQSZACIVSA-N 0 0 288.351 2.878 20 5 CFBDRN COc1ccc(CN2CCC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000295609929 380973281 /nfs/dbraw/zinc/97/32/81/380973281.db2.gz DRCLFLDGZVBUFZ-AWEZNQCLSA-N 0 0 282.315 2.927 20 5 CFBDRN Cc1cnc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])o1 ZINC000410523083 380983116 /nfs/dbraw/zinc/98/31/16/380983116.db2.gz IMKCSGCUERXEFU-UHFFFAOYSA-N 0 0 289.291 2.808 20 5 CFBDRN Cc1nnc(COc2cccc(C)c2[N+](=O)[O-])s1 ZINC000358569674 380938281 /nfs/dbraw/zinc/93/82/81/380938281.db2.gz WTZMRNFBRGAHHK-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN CCOc1cccc(N2CCCC[C@@H](CO)C2)c1[N+](=O)[O-] ZINC000368154418 380944949 /nfs/dbraw/zinc/94/49/49/380944949.db2.gz WKAKKVUKDIEHFQ-GFCCVEGCSA-N 0 0 294.351 2.592 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347113698 380946926 /nfs/dbraw/zinc/94/69/26/380946926.db2.gz NKQXCYAIUHWFRE-OQPBUACISA-N 0 0 291.311 2.528 20 5 CFBDRN Cc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c(C)c1O ZINC000295571767 380948902 /nfs/dbraw/zinc/94/89/02/380948902.db2.gz WDUMBSXPEOVBGV-UHFFFAOYSA-N 0 0 280.324 2.783 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000295699129 381023963 /nfs/dbraw/zinc/02/39/63/381023963.db2.gz PTCJDXYJRIMWNC-BETUJISGSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)[C@H]1C ZINC000295702719 381024918 /nfs/dbraw/zinc/02/49/18/381024918.db2.gz XUSZVTBVRNLUNW-SFYZADRCSA-N 0 0 268.700 2.729 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CCCCCC[C@H]32)nc1 ZINC000368343296 380996382 /nfs/dbraw/zinc/99/63/82/380996382.db2.gz HSJAOTHTLMUHIS-CMPLNLGQSA-N 0 0 262.313 2.544 20 5 CFBDRN Cc1cc(Br)ccc1Cn1cnc([N+](=O)[O-])c1 ZINC000182275581 381046030 /nfs/dbraw/zinc/04/60/30/381046030.db2.gz HAXHIJLMHHNEBJ-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1C[C@@H]1c1c(F)cccc1F ZINC000341500797 381051459 /nfs/dbraw/zinc/05/14/59/381051459.db2.gz OBGPFOQBBFAUAO-OIBJUYFYSA-N 0 0 294.261 2.575 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cncnc1C ZINC000341475038 381034672 /nfs/dbraw/zinc/03/46/72/381034672.db2.gz ILWPSMMUBQCIBP-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CC[C@H](C)N[C@H](c1nccn1C)c1ccc([N+](=O)[O-])cc1 ZINC000295795034 381080709 /nfs/dbraw/zinc/08/07/09/381080709.db2.gz BVSJSSXFQHADCX-FZMZJTMJSA-N 0 0 288.351 2.806 20 5 CFBDRN O=C(N[C@@H]1CC(=O)c2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000354109498 381085573 /nfs/dbraw/zinc/08/55/73/381085573.db2.gz SLUUVZMMUKXVPL-CQSZACIVSA-N 0 0 296.282 2.652 20 5 CFBDRN CN(C)c1ccccc1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000354117230 381088915 /nfs/dbraw/zinc/08/89/15/381088915.db2.gz DXZCPQOLJAURBH-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN CO[C@@H]1CCN(c2nc(C)ccc2[N+](=O)[O-])CC1(C)C ZINC000295808615 381089407 /nfs/dbraw/zinc/08/94/07/381089407.db2.gz TZCLNCDMCTVAHN-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)OC ZINC000295827656 381101937 /nfs/dbraw/zinc/10/19/37/381101937.db2.gz UQMAMVBWKXHWLL-IINYFYTJSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCc2ccncc21 ZINC000354144751 381104990 /nfs/dbraw/zinc/10/49/90/381104990.db2.gz VLBQKQSICHRNRM-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1c(Br)c(N(C)C2CC2)ncc1[N+](=O)[O-] ZINC000347397322 381123040 /nfs/dbraw/zinc/12/30/40/381123040.db2.gz FPYDYVUUGQRHEP-UHFFFAOYSA-N 0 0 286.129 2.659 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2ncccc2C)n1 ZINC000358825613 381108288 /nfs/dbraw/zinc/10/82/88/381108288.db2.gz FPZURTIIQCFLNA-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)C[N@@H+](C)CC1(CO)CC1 ZINC000347379166 381109955 /nfs/dbraw/zinc/10/99/55/381109955.db2.gz PCUJZAVJFLWGCS-MDWZMJQESA-N 0 0 290.363 2.702 20 5 CFBDRN Cc1cccc(C(=O)Nc2cncc(F)c2)c1[N+](=O)[O-] ZINC000341545896 381079209 /nfs/dbraw/zinc/07/92/09/381079209.db2.gz LRGZZPAFKWWRFH-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@H](NC(=O)C=C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000295796773 381079717 /nfs/dbraw/zinc/07/97/17/381079717.db2.gz ZRAAFGRLGUJKRY-JTQLQIEISA-N 0 0 260.293 2.882 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000358939021 381167037 /nfs/dbraw/zinc/16/70/37/381167037.db2.gz SNHZLSQUELXYAM-MRVPVSSYSA-N 0 0 290.241 2.831 20 5 CFBDRN CC1(CNc2ncnc3cccc([N+](=O)[O-])c32)CCCC1 ZINC000347418182 381137373 /nfs/dbraw/zinc/13/73/73/381137373.db2.gz WQSZJHLXDJMNLH-UHFFFAOYSA-N 0 0 286.335 2.952 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)NCC1=CCCCC1 ZINC000295886714 381138332 /nfs/dbraw/zinc/13/83/32/381138332.db2.gz WHJHHGAUXSPBKW-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])OC ZINC000295907681 381148021 /nfs/dbraw/zinc/14/80/21/381148021.db2.gz ZOQRSAPECZRJJR-BXUZGUMPSA-N 0 0 294.351 2.694 20 5 CFBDRN O=C1CCCCN1CCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000358909283 381148727 /nfs/dbraw/zinc/14/87/27/381148727.db2.gz WCSJIFDIGXQTTP-UHFFFAOYSA-N 0 0 295.314 2.548 20 5 CFBDRN COCCCCCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000182757142 381151887 /nfs/dbraw/zinc/15/18/87/381151887.db2.gz DVEMMOLVQFVBPN-UHFFFAOYSA-N 0 0 264.325 2.774 20 5 CFBDRN CC/C=C/CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000295916530 381154989 /nfs/dbraw/zinc/15/49/89/381154989.db2.gz INSAMPBECNJFBV-ONEGZZNKSA-N 0 0 262.309 2.610 20 5 CFBDRN CCN(CC1CC1)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412105481 381162828 /nfs/dbraw/zinc/16/28/28/381162828.db2.gz DLKSQZBFGSYXHJ-LSDHHAIUSA-N 0 0 288.347 2.957 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCCOC ZINC000289728888 381124137 /nfs/dbraw/zinc/12/41/37/381124137.db2.gz IURIAEBXDUUNBC-UHFFFAOYSA-N 0 0 295.339 2.657 20 5 CFBDRN COc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1C ZINC000347413411 381135404 /nfs/dbraw/zinc/13/54/04/381135404.db2.gz DKJNDMRJTMQDMW-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](C)COC ZINC000341628507 381136010 /nfs/dbraw/zinc/13/60/10/381136010.db2.gz CHCQHSQWMDSNOS-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN CCCCC[C@@H]1CCCN1C(=O)c1c([N+](=O)[O-])cnn1C ZINC000347475076 381175033 /nfs/dbraw/zinc/17/50/33/381175033.db2.gz ZSJKYVHIFHYVCH-LLVKDONJSA-N 0 0 294.355 2.513 20 5 CFBDRN Cc1cnc(NC(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000341694398 381176149 /nfs/dbraw/zinc/17/61/49/381176149.db2.gz HMALXPQRAODWBB-VIFPVBQESA-N 0 0 290.279 2.774 20 5 CFBDRN O=c1ccn(CCSc2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000182891050 381178838 /nfs/dbraw/zinc/17/88/38/381178838.db2.gz DJFHGPMRJOTOCE-UHFFFAOYSA-N 0 0 294.307 2.688 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000412161963 381189844 /nfs/dbraw/zinc/18/98/44/381189844.db2.gz KQOXJCFUMGWXHO-HKCMKHECSA-N 0 0 288.347 2.955 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC1(C)CC1 ZINC000358976574 381190130 /nfs/dbraw/zinc/19/01/30/381190130.db2.gz CUDKAMZWJBNMPZ-UHFFFAOYSA-N 0 0 250.298 2.953 20 5 CFBDRN CC(C)(CCc1nc(-c2ccccc2F)no1)[N+](=O)[O-] ZINC000295975167 381193320 /nfs/dbraw/zinc/19/33/20/381193320.db2.gz QRAQQGKRJODAFZ-UHFFFAOYSA-N 0 0 279.271 2.864 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)C2(C)COC2)cc1[N+](=O)[O-] ZINC000341784769 381194117 /nfs/dbraw/zinc/19/41/17/381194117.db2.gz SEBXUSOZRFCKKW-UHFFFAOYSA-N 0 0 292.335 2.867 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000347519339 381197408 /nfs/dbraw/zinc/19/74/08/381197408.db2.gz NQWBQPXYCSEBJK-JTQLQIEISA-N 0 0 294.326 2.971 20 5 CFBDRN Nc1ccc(-c2noc(CSC3CC3)n2)cc1[N+](=O)[O-] ZINC000296006548 381207495 /nfs/dbraw/zinc/20/74/95/381207495.db2.gz OPUVVJLMRXDKGI-UHFFFAOYSA-N 0 0 292.320 2.623 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H](O)CC(C)(C)C1 ZINC000296015368 381210712 /nfs/dbraw/zinc/21/07/12/381210712.db2.gz NTAVINIUKORYKR-ZDUSSCGKSA-N 0 0 278.352 2.891 20 5 CFBDRN CCc1nn(C)c(N2CCC(CC)CC2)c1[N+](=O)[O-] ZINC000354338061 381213494 /nfs/dbraw/zinc/21/34/94/381213494.db2.gz CZSVLQXDVJDNRN-UHFFFAOYSA-N 0 0 266.345 2.517 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@@H](Oc3ccccc3)C2)c1 ZINC000369216072 381215456 /nfs/dbraw/zinc/21/54/56/381215456.db2.gz SLFRMVKALGECNJ-CQSZACIVSA-N 0 0 299.330 2.835 20 5 CFBDRN CC/C=C/CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000296025451 381216432 /nfs/dbraw/zinc/21/64/32/381216432.db2.gz VGOBBMMLEKNKDM-ONEGZZNKSA-N 0 0 268.700 2.944 20 5 CFBDRN CCC1(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000296025784 381216605 /nfs/dbraw/zinc/21/66/05/381216605.db2.gz XKLPGTRMJNCMCM-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCC[N@@H+](C2CC2)CC1 ZINC000183309621 381251483 /nfs/dbraw/zinc/25/14/83/381251483.db2.gz FULBNVMLEYEALC-UHFFFAOYSA-N 0 0 289.379 2.573 20 5 CFBDRN CC[C@@H]1CCN1C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000347605542 381251413 /nfs/dbraw/zinc/25/14/13/381251413.db2.gz AZVQHBDZDMJBOG-NXEZZACHSA-N 0 0 280.299 2.848 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCC(F)(F)F)n1 ZINC000359085086 381255140 /nfs/dbraw/zinc/25/51/40/381255140.db2.gz SSAPPNFKTVZRLE-UHFFFAOYSA-N 0 0 263.219 2.971 20 5 CFBDRN Cn1c(C(=O)NCc2ccc(Cl)s2)ccc1[N+](=O)[O-] ZINC000347613252 381255121 /nfs/dbraw/zinc/25/51/21/381255121.db2.gz APKJIKRUSCDMIZ-UHFFFAOYSA-N 0 0 299.739 2.578 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2c3ccccc3C[C@@H]2O)n1 ZINC000359084295 381255629 /nfs/dbraw/zinc/25/56/29/381255629.db2.gz QDYXQZHUTDUIRC-KBPBESRZSA-N 0 0 299.330 2.677 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)[C@H](C)c2cnn(C)c2)n1 ZINC000359085387 381255641 /nfs/dbraw/zinc/25/56/41/381255641.db2.gz SVICUXONPRCDJU-LLVKDONJSA-N 0 0 289.339 2.538 20 5 CFBDRN CC1(C)C[C@H](O)CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000296104997 381256816 /nfs/dbraw/zinc/25/68/16/381256816.db2.gz UVJXCUHRJARXQL-CYBMUJFWSA-N 0 0 264.325 2.582 20 5 CFBDRN COC(=O)[C@H](C)CSc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359085873 381257421 /nfs/dbraw/zinc/25/74/21/381257421.db2.gz UIPUCAASCVBLRG-MRVPVSSYSA-N 0 0 284.337 2.508 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCCC(C)(C)CO)n1 ZINC000359093984 381261990 /nfs/dbraw/zinc/26/19/90/381261990.db2.gz KQCTXZCNOXGEHS-UHFFFAOYSA-N 0 0 281.356 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC(F)F)c(F)c1F ZINC000296116940 381262980 /nfs/dbraw/zinc/26/29/80/381262980.db2.gz YETBCUMJFHPENG-UHFFFAOYSA-N 0 0 283.177 2.534 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cccc(CO)c2)n1 ZINC000359110013 381270826 /nfs/dbraw/zinc/27/08/26/381270826.db2.gz QEZYVTNGJWNEOI-UHFFFAOYSA-N 0 0 287.319 2.711 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412351041 381265187 /nfs/dbraw/zinc/26/51/87/381265187.db2.gz YTWHDPIVNFQRGB-OLRMPSLUSA-N 0 0 274.320 2.613 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ccn3ccnc3c2)n1 ZINC000359100829 381265963 /nfs/dbraw/zinc/26/59/63/381265963.db2.gz QDBAGBXQHQIAPA-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ncccc2C)n1 ZINC000359100240 381266111 /nfs/dbraw/zinc/26/61/11/381266111.db2.gz CWBCGQTVTAFRHY-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000359033557 381226620 /nfs/dbraw/zinc/22/66/20/381226620.db2.gz UMBUEZRBDMSNST-GHMZBOCLSA-N 0 0 292.339 2.502 20 5 CFBDRN CCOCCN(C)C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000289942994 381226783 /nfs/dbraw/zinc/22/67/83/381226783.db2.gz XFADYCHMTUXWFC-UHFFFAOYSA-N 0 0 295.339 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](O)c3ccccc3C2)n1 ZINC000369285319 381227395 /nfs/dbraw/zinc/22/73/95/381227395.db2.gz VZTUYHNLZPOKMF-OAHLLOKOSA-N 0 0 299.330 2.742 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000296056517 381233817 /nfs/dbraw/zinc/23/38/17/381233817.db2.gz BQLZZLRNCJXCID-CYBMUJFWSA-N 0 0 281.287 2.951 20 5 CFBDRN CCC(C)(C)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000290032900 381270077 /nfs/dbraw/zinc/27/00/77/381270077.db2.gz QISIGECOLLQGCU-UHFFFAOYSA-N 0 0 251.282 2.979 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)Cc2nccs2)n1 ZINC000359107170 381270082 /nfs/dbraw/zinc/27/00/82/381270082.db2.gz ZAKZGZKDDYXWSO-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN CC1(C)OCC[C@@H]1Nc1cccc(F)c1[N+](=O)[O-] ZINC000296219612 381306186 /nfs/dbraw/zinc/30/61/86/381306186.db2.gz GPAOPWRUQKXQNH-JTQLQIEISA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccncc1[N+](=O)[O-])C(C)(C)C ZINC000183593490 381291272 /nfs/dbraw/zinc/29/12/72/381291272.db2.gz JKELSIHPESQVSV-MRVPVSSYSA-N 0 0 266.301 2.546 20 5 CFBDRN C[C@H](CCCCO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000296183379 381291341 /nfs/dbraw/zinc/29/13/41/381291341.db2.gz CZRVKJHWYCCAMY-MRVPVSSYSA-N 0 0 273.720 2.606 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)Nc2ccon2)cc1[N+](=O)[O-] ZINC000183592072 381291517 /nfs/dbraw/zinc/29/15/17/381291517.db2.gz FSUYOEDYFXNXMR-SECBINFHSA-N 0 0 290.279 2.774 20 5 CFBDRN CC(F)(F)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000296189470 381292994 /nfs/dbraw/zinc/29/29/94/381292994.db2.gz HCRVVAKHCIZFDU-UHFFFAOYSA-N 0 0 273.239 2.762 20 5 CFBDRN CCCCCCC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288754403 197159490 /nfs/dbraw/zinc/15/94/90/197159490.db2.gz IIDCCVXOPQMHOX-UHFFFAOYSA-N 0 0 265.313 2.923 20 5 CFBDRN Cc1c(CNC(=O)NCC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000359223956 381296857 /nfs/dbraw/zinc/29/68/57/381296857.db2.gz RLNNYXGIDWUEAW-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN CCc1nnc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000290099485 381299525 /nfs/dbraw/zinc/29/95/25/381299525.db2.gz HXPGQXYHAKGELM-VIFPVBQESA-N 0 0 292.364 2.860 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H]1CC=CCC1 ZINC000342381015 381306683 /nfs/dbraw/zinc/30/66/83/381306683.db2.gz UDEAXNCXWZGJJY-LLVKDONJSA-N 0 0 292.360 2.912 20 5 CFBDRN CC(C)CC1(CNC(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000183648216 381300910 /nfs/dbraw/zinc/30/09/10/381300910.db2.gz CFPISGOFVVYNHC-UHFFFAOYSA-N 0 0 292.339 2.938 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000412373720 381277016 /nfs/dbraw/zinc/27/70/16/381277016.db2.gz NACFLYSKAMAKSN-TUAOUCFPSA-N 0 0 298.289 2.858 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccon1 ZINC000347650771 381276893 /nfs/dbraw/zinc/27/68/93/381276893.db2.gz KZZYFMWVLXBIBX-SSDOTTSWSA-N 0 0 295.682 2.727 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)ccc1O ZINC000354457647 381282963 /nfs/dbraw/zinc/28/29/63/381282963.db2.gz ZNYZLTQJKLIYJQ-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)OC ZINC000296168259 381283457 /nfs/dbraw/zinc/28/34/57/381283457.db2.gz LALPMCUMOXKVFZ-ZWNOBZJWSA-N 0 0 295.339 2.777 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)[C@H]2CCCC[C@H]12 ZINC000183803224 381323903 /nfs/dbraw/zinc/32/39/03/381323903.db2.gz AQRVBNKWDTUBLI-MXWKQRLJSA-N 0 0 278.308 2.839 20 5 CFBDRN Cc1nc(C)c(NC(=O)c2c(N)cccc2[N+](=O)[O-])s1 ZINC000354533117 381325494 /nfs/dbraw/zinc/32/54/94/381325494.db2.gz KRWMKIXAPLFZBK-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H](C)CCOC)cc1[N+](=O)[O-] ZINC000412493112 381327074 /nfs/dbraw/zinc/32/70/74/381327074.db2.gz YWJWXPCHISEHLD-LLVKDONJSA-N 0 0 294.351 2.560 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCCSC ZINC000183865070 381332710 /nfs/dbraw/zinc/33/27/10/381332710.db2.gz JMDSATURUKCJLY-UHFFFAOYSA-N 0 0 272.374 2.675 20 5 CFBDRN CCOc1cc(N[C@H](C)[C@@H](C)OC)ccc1[N+](=O)[O-] ZINC000342455728 381340228 /nfs/dbraw/zinc/34/02/28/381340228.db2.gz UYARQDPDMOWAEO-NXEZZACHSA-N 0 0 268.313 2.829 20 5 CFBDRN COc1ccccc1[C@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000354515898 381313104 /nfs/dbraw/zinc/31/31/04/381313104.db2.gz YLMSFBFFPFCGAX-VIFPVBQESA-N 0 0 274.280 2.567 20 5 CFBDRN CCc1ccccc1NCc1c([N+](=O)[O-])ncn1C ZINC000347724523 381315259 /nfs/dbraw/zinc/31/52/59/381315259.db2.gz OSODUODCURUZPA-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC[C@@H]1CCCO1 ZINC000342456817 381341576 /nfs/dbraw/zinc/34/15/76/381341576.db2.gz VZGVINNFCQGZBA-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CCN(CCC1CC1)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000354585145 381353362 /nfs/dbraw/zinc/35/33/62/381353362.db2.gz HKGLFNXTYKODSV-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN COCC1(COc2cc([N+](=O)[O-])ccc2OC)CCC1 ZINC000359368539 381376257 /nfs/dbraw/zinc/37/62/57/381376257.db2.gz LPSDTGRPDORDJJ-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN CSc1ccc(F)cc1CNc1ccc([N+](=O)[O-])cn1 ZINC000342461713 381343622 /nfs/dbraw/zinc/34/36/22/381343622.db2.gz WZAOEVFSUONOAZ-UHFFFAOYSA-N 0 0 293.323 2.885 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCc3nc[nH]c3C2)c(F)c1 ZINC000370266741 381416941 /nfs/dbraw/zinc/41/69/41/381416941.db2.gz PDJZUHUKJFJUIH-SSDOTTSWSA-N 0 0 294.261 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1(CCF)CC1 ZINC000290390971 381409708 /nfs/dbraw/zinc/40/97/08/381409708.db2.gz CPRJJFKIWQWLAW-UHFFFAOYSA-N 0 0 267.256 2.948 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000184204471 381384714 /nfs/dbraw/zinc/38/47/14/381384714.db2.gz LIHFJDCWIMBGCL-OLZOCXBDSA-N 0 0 262.309 2.503 20 5 CFBDRN Cc1noc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])c1C ZINC000359379742 381385493 /nfs/dbraw/zinc/38/54/93/381385493.db2.gz PXGHFHGQOQRSIT-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN COC1CCC(CCNc2ncccc2[N+](=O)[O-])CC1 ZINC000347874649 381392757 /nfs/dbraw/zinc/39/27/57/381392757.db2.gz VXFDLYWDIINEAM-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN CCN(CC)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000184250816 381393257 /nfs/dbraw/zinc/39/32/57/381393257.db2.gz JOXRIHUFXMNXGL-UHFFFAOYSA-N 0 0 285.731 2.800 20 5 CFBDRN Cc1ccccc1CNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000195028263 270249256 /nfs/dbraw/zinc/24/92/56/270249256.db2.gz CGGROEJYCJQXSF-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000296450332 381401267 /nfs/dbraw/zinc/40/12/67/381401267.db2.gz UOMOBLYXWIFKSR-CQSZACIVSA-N 0 0 295.314 2.628 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCCC[C@H]2F)cc1[N+](=O)[O-] ZINC000412688976 381403544 /nfs/dbraw/zinc/40/35/44/381403544.db2.gz IDTKBYDRSGYKLF-RKDXNWHRSA-N 0 0 286.328 2.975 20 5 CFBDRN Cc1cccnc1CCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000296456389 381403592 /nfs/dbraw/zinc/40/35/92/381403592.db2.gz OVBDHABXQOSUCA-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])c(C)s1)c1ncc[nH]1 ZINC000347957677 381434505 /nfs/dbraw/zinc/43/45/05/381434505.db2.gz MDFKAXVUOYDWBH-MRVPVSSYSA-N 0 0 294.336 2.569 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)C[C@@H]1C ZINC000412774334 381436690 /nfs/dbraw/zinc/43/66/90/381436690.db2.gz CZBOYTUCDOGEEM-VIFPVBQESA-N 0 0 277.324 2.559 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000412772292 381436699 /nfs/dbraw/zinc/43/66/99/381436699.db2.gz XUXKGJCQSNHKJL-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN CC1(C)CC[C@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000347979143 381440607 /nfs/dbraw/zinc/44/06/07/381440607.db2.gz ZYEVCCXJDCALCM-LLVKDONJSA-N 0 0 282.271 2.630 20 5 CFBDRN CCc1cnccc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347977984 381441171 /nfs/dbraw/zinc/44/11/71/381441171.db2.gz YAFYLTSHXMCESE-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN Cc1nc(NCCO[C@H]2CCCC[C@H]2C)ncc1[N+](=O)[O-] ZINC000296552447 381445447 /nfs/dbraw/zinc/44/54/47/381445447.db2.gz OXTCYTJEJULKFX-MFKMUULPSA-N 0 0 294.355 2.700 20 5 CFBDRN Cc1cc(SCC(=O)OC(C)(C)C)ncc1[N+](=O)[O-] ZINC000184797039 381479713 /nfs/dbraw/zinc/47/97/13/381479713.db2.gz ZDETYAGQPILAMY-UHFFFAOYSA-N 0 0 284.337 2.732 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1CF ZINC000359581895 381485445 /nfs/dbraw/zinc/48/54/45/381485445.db2.gz HMGQUIJUJMMNDL-SNVBAGLBSA-N 0 0 298.339 2.647 20 5 CFBDRN Cc1c(CNC(=O)NC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000359532175 381453186 /nfs/dbraw/zinc/45/31/86/381453186.db2.gz MUMONNRRXQCOMA-UHFFFAOYSA-N 0 0 277.324 2.645 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2C=C[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000359536821 381456712 /nfs/dbraw/zinc/45/67/12/381456712.db2.gz YEGUAGOWOFRJMK-JQWIXIFHSA-N 0 0 290.319 2.536 20 5 CFBDRN Cc1c(CNC(=O)N2CC[C@@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000359542666 381458291 /nfs/dbraw/zinc/45/82/91/381458291.db2.gz AMJDCSOSUVWJBS-CYBMUJFWSA-N 0 0 291.351 2.843 20 5 CFBDRN O=C(NC[C@H]1CCCCS1)c1ccc([N+](=O)[O-])cc1F ZINC000342651631 381458753 /nfs/dbraw/zinc/45/87/53/381458753.db2.gz HLZGNIRYZUVJLD-SNVBAGLBSA-N 0 0 298.339 2.749 20 5 CFBDRN Cc1nc(N[C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000370531933 381462004 /nfs/dbraw/zinc/46/20/04/381462004.db2.gz VMVSWUQFGIIHDF-ZLKJLUDKSA-N 0 0 291.351 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@H](C)C(C)(C)O ZINC000296599875 381467232 /nfs/dbraw/zinc/46/72/32/381467232.db2.gz HFVUHHGBAFRQNQ-SNVBAGLBSA-N 0 0 295.339 2.526 20 5 CFBDRN CC(=O)c1cc(NCc2ncoc2C)ccc1[N+](=O)[O-] ZINC000342730255 381505872 /nfs/dbraw/zinc/50/58/72/381505872.db2.gz REOFRFKUCLJVTB-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000290646489 381510416 /nfs/dbraw/zinc/51/04/16/381510416.db2.gz IJDUGLUXBTVARA-PSASIEDQSA-N 0 0 266.297 2.633 20 5 CFBDRN O=c1cc(COc2ccccc2[N+](=O)[O-])nc(C2CC2)[nH]1 ZINC000412974789 381512315 /nfs/dbraw/zinc/51/23/15/381512315.db2.gz DCZYGUVCAZOOHT-UHFFFAOYSA-N 0 0 287.275 2.547 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1cn2ccccc2n1 ZINC000185013514 381513257 /nfs/dbraw/zinc/51/32/57/381513257.db2.gz ODHSEHWQAFBPEV-UHFFFAOYSA-N 0 0 287.250 2.961 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)COc1c(F)cccc1[N+](=O)[O-] ZINC000185025276 381516844 /nfs/dbraw/zinc/51/68/44/381516844.db2.gz HOQUKBYOYMZVHQ-SNVBAGLBSA-N 0 0 296.298 2.514 20 5 CFBDRN Cc1nc(N[C@H](CO)c2ccc(F)cc2)ccc1[N+](=O)[O-] ZINC000348372626 381538094 /nfs/dbraw/zinc/53/80/94/381538094.db2.gz YSGXLZXWWBYGOT-GFCCVEGCSA-N 0 0 291.282 2.583 20 5 CFBDRN CCC1CN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000413370683 381540606 /nfs/dbraw/zinc/54/06/06/381540606.db2.gz VMRGDDMKPFURQE-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN Cc1ccc(N2CCCC[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000371060990 381540801 /nfs/dbraw/zinc/54/08/01/381540801.db2.gz DDFRSORYQFAYLD-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN COc1cccc(NCCCOCC2CC2)c1[N+](=O)[O-] ZINC000185122122 381541960 /nfs/dbraw/zinc/54/19/60/381541960.db2.gz NYMAAYKKQFKGBZ-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2nc(C)ccc2[N+](=O)[O-])C12CCC2 ZINC000413443188 381544032 /nfs/dbraw/zinc/54/40/32/381544032.db2.gz NAEUOZSHBSROPB-VXGBXAGGSA-N 0 0 277.324 2.668 20 5 CFBDRN COc1cc(NCC2([C@H](O)C(C)C)CC2)ccc1[N+](=O)[O-] ZINC000342791799 381544152 /nfs/dbraw/zinc/54/41/52/381544152.db2.gz URVPTRKKXLHDOX-CQSZACIVSA-N 0 0 294.351 2.812 20 5 CFBDRN Cc1cccc2c1OC[C@@H](Nc1ncc([N+](=O)[O-])s1)C2 ZINC000290783661 381550318 /nfs/dbraw/zinc/55/03/18/381550318.db2.gz NHEGTZRBMLNDDF-JTQLQIEISA-N 0 0 291.332 2.775 20 5 CFBDRN CCO[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000348399397 381550808 /nfs/dbraw/zinc/55/08/08/381550808.db2.gz LZELCZPCMLOTLW-ZDUSSCGKSA-N 0 0 280.324 2.985 20 5 CFBDRN CCC[C@H](NC/C=C\c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000354933331 381527686 /nfs/dbraw/zinc/52/76/86/381527686.db2.gz VJCQNJLERWPIOF-XURPKSDJSA-N 0 0 292.335 2.539 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000342801003 381551829 /nfs/dbraw/zinc/55/18/29/381551829.db2.gz ZWBKEKVVQFHFBI-BZHVJNSISA-N 0 0 279.340 2.770 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000185075454 381529520 /nfs/dbraw/zinc/52/95/20/381529520.db2.gz LRLJQSYCVTZTII-QWHCGFSZSA-N 0 0 262.309 2.503 20 5 CFBDRN Cc1cc(N2C[C@@H](C3CC3)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000359714288 381575132 /nfs/dbraw/zinc/57/51/32/381575132.db2.gz JCYUGIGYLCFJSG-NHYWBVRUSA-N 0 0 276.336 2.907 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CC[C@@H](C2CC2)C1 ZINC000371240058 381575142 /nfs/dbraw/zinc/57/51/42/381575142.db2.gz SCMLGFJHWQIUPD-SMDADKPZSA-N 0 0 286.331 2.867 20 5 CFBDRN COCCC(C)(C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000185251322 381576032 /nfs/dbraw/zinc/57/60/32/381576032.db2.gz JENPUJWQFMYSOD-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC1CC1 ZINC000185259831 381580586 /nfs/dbraw/zinc/58/05/86/381580586.db2.gz BMZSMPZQOSUATE-ZDUSSCGKSA-N 0 0 276.336 2.690 20 5 CFBDRN COc1cc(N[C@H]2C[C@H](C)[N@@H+](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000290796020 381553071 /nfs/dbraw/zinc/55/30/71/381553071.db2.gz OXASNNVTGHYDAU-JQWIXIFHSA-N 0 0 291.351 2.641 20 5 CFBDRN CCc1nnc(CNc2c(F)cccc2[N+](=O)[O-])s1 ZINC000290799113 381555477 /nfs/dbraw/zinc/55/54/77/381555477.db2.gz YIXRFCVWLLHWLD-UHFFFAOYSA-N 0 0 282.300 2.760 20 5 CFBDRN CCOC(=O)c1cc(N[C@H](CC)COC)ccc1[N+](=O)[O-] ZINC000290796250 381555566 /nfs/dbraw/zinc/55/55/66/381555566.db2.gz PALIDGQMMLCPSL-SNVBAGLBSA-N 0 0 296.323 2.608 20 5 CFBDRN C[C@@H](SCc1cnn(C)n1)c1ccccc1[N+](=O)[O-] ZINC000290818507 381561932 /nfs/dbraw/zinc/56/19/32/381561932.db2.gz SCVUQAKGKGVFHY-SECBINFHSA-N 0 0 278.337 2.718 20 5 CFBDRN CC(=O)c1cc(N(CCCCO)C2CC2)ccc1[N+](=O)[O-] ZINC000342815943 381564534 /nfs/dbraw/zinc/56/45/34/381564534.db2.gz FKVVICFMANZHBD-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000359705486 381568685 /nfs/dbraw/zinc/56/86/85/381568685.db2.gz GROHOASHHVTHTR-SNVBAGLBSA-N 0 0 279.340 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cn3ccccc3n2)c(F)c1 ZINC000342828387 381571623 /nfs/dbraw/zinc/57/16/23/381571623.db2.gz GMRJVYNUVFUCSS-UHFFFAOYSA-N 0 0 287.250 2.961 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CC[C@H]1CCCO1 ZINC000298376578 381599023 /nfs/dbraw/zinc/59/90/23/381599023.db2.gz WERMQXXOKRSVDK-CYBMUJFWSA-N 0 0 292.335 2.512 20 5 CFBDRN CCCN(CC)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000298380611 381600339 /nfs/dbraw/zinc/60/03/39/381600339.db2.gz RRDFRNZTYCHALM-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN CCCCN(C)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000298398682 381607984 /nfs/dbraw/zinc/60/79/84/381607984.db2.gz SMFJXGUUPCQNLJ-UHFFFAOYSA-N 0 0 256.327 2.837 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC23CCC3)c(Cl)c1 ZINC000359772138 381606622 /nfs/dbraw/zinc/60/66/22/381606622.db2.gz WPKWNWPJYGHZFA-UHFFFAOYSA-N 0 0 253.689 2.776 20 5 CFBDRN O=C(NCC1CCCC1)c1ccc([N+](=O)[O-])s1 ZINC000298367397 381595116 /nfs/dbraw/zinc/59/51/16/381595116.db2.gz SSXZKTJWWKJRDD-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000298370489 381597506 /nfs/dbraw/zinc/59/75/06/381597506.db2.gz DLAIFEBQIWKSGC-LLVKDONJSA-N 0 0 264.325 2.640 20 5 CFBDRN O=C(Nc1scnc1C1CC1)c1ccc([N+](=O)[O-])o1 ZINC000342870306 381597552 /nfs/dbraw/zinc/59/75/52/381597552.db2.gz DFKTWPZBOXDWGP-UHFFFAOYSA-N 0 0 279.277 2.774 20 5 CFBDRN Cc1cc(N2CCCC[C@H]2CCO)c(F)cc1[N+](=O)[O-] ZINC000290920984 381597492 /nfs/dbraw/zinc/59/74/92/381597492.db2.gz HQCJOZDRUBTGDZ-NSHDSACASA-N 0 0 282.315 2.784 20 5 CFBDRN CCN(CC1CC1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342918955 381615931 /nfs/dbraw/zinc/61/59/31/381615931.db2.gz GHCISKBOTXPCJG-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1C[C@H]1C ZINC000298435838 381623794 /nfs/dbraw/zinc/62/37/94/381623794.db2.gz XWZCOAZRQHDVGM-OUAUKWLOSA-N 0 0 294.376 2.848 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1C[C@@H]1C ZINC000298435833 381624461 /nfs/dbraw/zinc/62/44/61/381624461.db2.gz XWZCOAZRQHDVGM-GARJFASQSA-N 0 0 294.376 2.848 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000185418298 381625921 /nfs/dbraw/zinc/62/59/21/381625921.db2.gz UMJWJXUCPOYXIZ-DTWKUNHWSA-N 0 0 268.338 2.917 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC2(C)CC2)c1 ZINC000359830656 381630178 /nfs/dbraw/zinc/63/01/78/381630178.db2.gz KEQHHLIVEXDIEU-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000298449488 381631199 /nfs/dbraw/zinc/63/11/99/381631199.db2.gz RTCKZPUINMIHCT-JTQLQIEISA-N 0 0 250.298 2.711 20 5 CFBDRN COc1cccc(N2C[C@@H]3[C@H](C2)C3(C)C)c1[N+](=O)[O-] ZINC000185484490 381648191 /nfs/dbraw/zinc/64/81/91/381648191.db2.gz APOSZNXPLPWRNC-AOOOYVTPSA-N 0 0 262.309 2.696 20 5 CFBDRN Cc1ccc(OCCOCC2CC2)c([N+](=O)[O-])c1 ZINC000185474986 381644401 /nfs/dbraw/zinc/64/44/01/381644401.db2.gz KGTLGBMGVCLBHX-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN C[C@H]1CCC[C@@H](Cn2cccc([N+](=O)[O-])c2=O)C1 ZINC000185527436 381658835 /nfs/dbraw/zinc/65/88/35/381658835.db2.gz PTGJJPZABJSMDV-WDEREUQCSA-N 0 0 250.298 2.583 20 5 CFBDRN Cc1nccn1CCCOc1cccc(C)c1[N+](=O)[O-] ZINC000298516950 381659555 /nfs/dbraw/zinc/65/95/55/381659555.db2.gz QLWSQUNVYBYMCE-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2CCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000185536316 381660226 /nfs/dbraw/zinc/66/02/26/381660226.db2.gz FWRZSFABRYIWAU-JQWIXIFHSA-N 0 0 264.325 2.891 20 5 CFBDRN CC[C@H]1CCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000185549944 381663593 /nfs/dbraw/zinc/66/35/93/381663593.db2.gz GGDNVPNGTGRDLP-JTQLQIEISA-N 0 0 250.298 2.840 20 5 CFBDRN CC(C)OC(=O)CC[C@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000359897180 381665220 /nfs/dbraw/zinc/66/52/20/381665220.db2.gz IRHMJSZBALYTEF-JTQLQIEISA-N 0 0 281.312 2.522 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCC[C@H]1O ZINC000343250496 381671103 /nfs/dbraw/zinc/67/11/03/381671103.db2.gz NGDJBWREOLIAEX-CMPLNLGQSA-N 0 0 270.716 2.598 20 5 CFBDRN Cc1cc2c(cc1C)N(c1c([N+](=O)[O-])ncn1C)CC2 ZINC000343266086 381672217 /nfs/dbraw/zinc/67/22/17/381672217.db2.gz QLLVVTYUHBGCFL-UHFFFAOYSA-N 0 0 272.308 2.639 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000185715682 381713721 /nfs/dbraw/zinc/71/37/21/381713721.db2.gz XDIVWDPKCUMXOE-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@@H+](C)[C@@H]1CCCOC1 ZINC000348706463 381675455 /nfs/dbraw/zinc/67/54/55/381675455.db2.gz HRSDYLFHEHTGHI-CQSZACIVSA-N 0 0 264.325 2.514 20 5 CFBDRN C[C@@H](NC(=O)NC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000298696411 381716056 /nfs/dbraw/zinc/71/60/56/381716056.db2.gz CRGSTICXVDJNSQ-SECBINFHSA-N 0 0 265.313 2.754 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000185602371 381676829 /nfs/dbraw/zinc/67/68/29/381676829.db2.gz WDJMAMITDRMIBG-OLZOCXBDSA-N 0 0 276.336 2.845 20 5 CFBDRN COc1ccc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)nc1 ZINC000359921661 381680197 /nfs/dbraw/zinc/68/01/97/381680197.db2.gz CYYPWALXFCEBLO-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1CCC[C@H](CO)C1 ZINC000298587790 381681983 /nfs/dbraw/zinc/68/19/83/381681983.db2.gz LZOPDJVADLTSET-VHSXEESVSA-N 0 0 268.288 2.697 20 5 CFBDRN Nc1c(F)c(NCCOc2ccccc2)ccc1[N+](=O)[O-] ZINC000348721148 381685449 /nfs/dbraw/zinc/68/54/49/381685449.db2.gz ICGGVELZIHZUBB-UHFFFAOYSA-N 0 0 291.282 2.807 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000355227263 381692122 /nfs/dbraw/zinc/69/21/22/381692122.db2.gz BYSUPLBHMTTWHA-BDAKNGLRSA-N 0 0 264.281 2.588 20 5 CFBDRN Cn1nc2c(c1CNc1ccc([N+](=O)[O-])cc1)CCCC2 ZINC000359953488 381697022 /nfs/dbraw/zinc/69/70/22/381697022.db2.gz CDJZBEQPUMBWAL-UHFFFAOYSA-N 0 0 286.335 2.819 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000359963621 381700126 /nfs/dbraw/zinc/70/01/26/381700126.db2.gz KVRFQTYUKXBLET-YGRLFVJLSA-N 0 0 278.308 2.978 20 5 CFBDRN CCC(=O)c1ccc(NCCc2cn[nH]c2)c([N+](=O)[O-])c1 ZINC000291188455 381700600 /nfs/dbraw/zinc/70/06/00/381700600.db2.gz SMIHMVMGEIPDIF-UHFFFAOYSA-N 0 0 288.307 2.565 20 5 CFBDRN Cc1cc(CCNc2cc(C)c([N+](=O)[O-])cc2F)on1 ZINC000359967334 381702507 /nfs/dbraw/zinc/70/25/07/381702507.db2.gz WHUCOTJPBXKFLN-UHFFFAOYSA-N 0 0 279.271 2.993 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cccc(F)c2C)c1[N+](=O)[O-] ZINC000185781367 381730669 /nfs/dbraw/zinc/73/06/69/381730669.db2.gz UMGVPPNIKCWGDU-UHFFFAOYSA-N 0 0 292.270 2.580 20 5 CFBDRN Cc1cc(NC(=O)NC[C@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000298746854 381734861 /nfs/dbraw/zinc/73/48/61/381734861.db2.gz UTTXINBDAMXARC-PSASIEDQSA-N 0 0 263.297 2.681 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCSCC1 ZINC000298769272 381743212 /nfs/dbraw/zinc/74/32/12/381743212.db2.gz GSKYQUSWJHNJEQ-UHFFFAOYSA-N 0 0 292.316 2.530 20 5 CFBDRN CS[C@H](CNc1c([N+](=O)[O-])c(C)nn1C)C(C)(C)C ZINC000343482019 381744023 /nfs/dbraw/zinc/74/40/23/381744023.db2.gz ZFEQFORRRUGWEW-SECBINFHSA-N 0 0 286.401 2.826 20 5 CFBDRN COC1(C(=O)NCc2ccccc2[N+](=O)[O-])CCCCC1 ZINC000185865299 381759131 /nfs/dbraw/zinc/75/91/31/381759131.db2.gz HFMREKVIQISDPX-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1ccc(C(F)F)cc1 ZINC000185833682 381749766 /nfs/dbraw/zinc/74/97/66/381749766.db2.gz PLFOFLOHLJKPEZ-UHFFFAOYSA-N 0 0 280.230 2.742 20 5 CFBDRN CC1(COc2c(Cl)cccc2[N+](=O)[O-])COC1 ZINC000348811775 381720217 /nfs/dbraw/zinc/72/02/17/381720217.db2.gz CEVJIDOTNXJMTI-UHFFFAOYSA-N 0 0 257.673 2.664 20 5 CFBDRN CCC(CC)CN(CC)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298985808 381792361 /nfs/dbraw/zinc/79/23/61/381792361.db2.gz KLKQRCKXXPNSLE-UHFFFAOYSA-N 0 0 267.329 2.821 20 5 CFBDRN CCCC1(CNc2c([N+](=O)[O-])ncn2C)CCC1 ZINC000343510978 381761799 /nfs/dbraw/zinc/76/17/99/381761799.db2.gz LKRBNHFRXICHLY-UHFFFAOYSA-N 0 0 252.318 2.711 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@@H](C3CC3)C2)c1 ZINC000360145161 381766940 /nfs/dbraw/zinc/76/69/40/381766940.db2.gz SNOXXLPRNOPXFZ-GFCCVEGCSA-N 0 0 290.319 2.618 20 5 CFBDRN C[C@H]1CCCC[C@@H]1N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298859255 381768682 /nfs/dbraw/zinc/76/86/82/381768682.db2.gz DVFDWTVIMPEOEJ-ONGXEEELSA-N 0 0 265.313 2.574 20 5 CFBDRN O=C(NCCC1CCCCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000298869418 381772222 /nfs/dbraw/zinc/77/22/22/381772222.db2.gz GFAVFDUBEXSEIO-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN CCC[C@H](C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000298879227 381773715 /nfs/dbraw/zinc/77/37/15/381773715.db2.gz ZDYLPSAQZZTIIX-JTQLQIEISA-N 0 0 280.324 2.656 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nccn2CC2(O)CCCC2)cc1 ZINC000348947973 381774820 /nfs/dbraw/zinc/77/48/20/381774820.db2.gz FJIKMUZHKZGERM-UHFFFAOYSA-N 0 0 287.319 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2Cc3ccccc3CO2)c1 ZINC000360408493 381779294 /nfs/dbraw/zinc/77/92/94/381779294.db2.gz RMNUWRKKYXIWQE-UHFFFAOYSA-N 0 0 298.298 2.991 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@H]3CCSC3)n2)c1 ZINC000355543846 381780447 /nfs/dbraw/zinc/78/04/47/381780447.db2.gz GOQKJVGDIRGYNK-VIFPVBQESA-N 0 0 277.305 2.865 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000299095549 381821241 /nfs/dbraw/zinc/82/12/41/381821241.db2.gz VGYYULUHZZEEBH-ABZNLYFFSA-N 0 0 260.293 2.523 20 5 CFBDRN Cc1ccoc1CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000343596676 381825626 /nfs/dbraw/zinc/82/56/26/381825626.db2.gz ACDKTQUEIPYNHD-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1cccc(F)c1[N+](=O)[O-] ZINC000292768931 381827133 /nfs/dbraw/zinc/82/71/33/381827133.db2.gz OVBCIWAFMJPABD-SNVBAGLBSA-N 0 0 297.330 2.698 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000292780172 381833079 /nfs/dbraw/zinc/83/30/79/381833079.db2.gz LVXSOPLTUHEQFI-LLVKDONJSA-N 0 0 263.297 2.919 20 5 CFBDRN CSC[C@@H]1CCCN(c2cc(N)ccc2[N+](=O)[O-])C1 ZINC000292398786 381803255 /nfs/dbraw/zinc/80/32/55/381803255.db2.gz UQQPVNUYSYINOR-SNVBAGLBSA-N 0 0 281.381 2.756 20 5 CFBDRN Cc1noc(C2CCN(c3ccc([N+](=O)[O-])cc3)CC2)n1 ZINC000299050267 381808835 /nfs/dbraw/zinc/80/88/35/381808835.db2.gz HCNJYYKKOAXVDF-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN COC1CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000292557571 381809650 /nfs/dbraw/zinc/80/96/50/381809650.db2.gz SAUMBIACHGZXOE-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000186055399 381813365 /nfs/dbraw/zinc/81/33/65/381813365.db2.gz GUCRMIURNCYCFX-HIFRSBDPSA-N 0 0 276.336 2.893 20 5 CFBDRN CCc1noc(C)c1CNc1nccc(C)c1[N+](=O)[O-] ZINC000292647264 381817083 /nfs/dbraw/zinc/81/70/83/381817083.db2.gz BKEVUOOOMLKXRE-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H](CO)C1CCCCC1 ZINC000292877481 381880208 /nfs/dbraw/zinc/88/02/08/381880208.db2.gz UMEDPFYIGGGWQM-GFCCVEGCSA-N 0 0 279.340 2.591 20 5 CFBDRN CC[C@@H](Nc1ccc(C(C)=O)cc1[N+](=O)[O-])C(C)(C)O ZINC000292816750 381847856 /nfs/dbraw/zinc/84/78/56/381847856.db2.gz OLSBRSRGPGMAMT-CYBMUJFWSA-N 0 0 280.324 2.759 20 5 CFBDRN CC1(CN2CCOc3ccc([N+](=O)[O-])cc3C2)CCC1 ZINC000356533385 381855474 /nfs/dbraw/zinc/85/54/74/381855474.db2.gz VKTFMTJVXCANOV-UHFFFAOYSA-N 0 0 276.336 2.979 20 5 CFBDRN CO[C@@H](CNc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000292916844 381900433 /nfs/dbraw/zinc/90/04/33/381900433.db2.gz XZVDJHXQCCEGMI-NSHDSACASA-N 0 0 272.251 2.710 20 5 CFBDRN Cc1cncnc1-c1noc(-c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000356929175 381910113 /nfs/dbraw/zinc/91/01/13/381910113.db2.gz IYVZJMCFYWXVOY-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1noc([C@H](C)NC/C=C/c2ccccc2[N+](=O)[O-])n1 ZINC000356933493 381911222 /nfs/dbraw/zinc/91/12/22/381911222.db2.gz ZZLBDIJFHOUMCZ-STUBTGCMSA-N 0 0 288.307 2.650 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1Cc1cccc2cccnc21 ZINC000356933418 381912280 /nfs/dbraw/zinc/91/22/80/381912280.db2.gz YDVSZEJBNBQGQC-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN CC(C)[C@@H](Oc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC1 ZINC000349375173 381916173 /nfs/dbraw/zinc/91/61/73/381916173.db2.gz SBIBHHYLPIQGDV-CQSZACIVSA-N 0 0 292.335 2.621 20 5 CFBDRN CC(C)[C@H](Oc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC1 ZINC000349375172 381916372 /nfs/dbraw/zinc/91/63/72/381916372.db2.gz SBIBHHYLPIQGDV-AWEZNQCLSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000343759129 381926676 /nfs/dbraw/zinc/92/66/76/381926676.db2.gz HJGNQZYLMRFQDQ-RKDXNWHRSA-N 0 0 258.281 2.748 20 5 CFBDRN CCCN(CC(F)F)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000299480322 381957094 /nfs/dbraw/zinc/95/70/94/381957094.db2.gz JWOQGIXEXSIJFY-UHFFFAOYSA-N 0 0 278.280 2.774 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000299510121 381967586 /nfs/dbraw/zinc/96/75/86/381967586.db2.gz GCBJCIUMXTUZKQ-LBPRGKRZSA-N 0 0 273.292 2.604 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000373278917 381967882 /nfs/dbraw/zinc/96/78/82/381967882.db2.gz BRUOOKPQCRZNTQ-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000186646974 381973825 /nfs/dbraw/zinc/97/38/25/381973825.db2.gz HGWIFMGPTMOZID-QWHCGFSZSA-N 0 0 274.320 2.776 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])c1c(F)cncc1F ZINC000343799602 381947576 /nfs/dbraw/zinc/94/75/76/381947576.db2.gz ZKOIEDDSNRGSBZ-SSDOTTSWSA-N 0 0 280.234 2.836 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1c(F)cncc1F ZINC000343799661 381948014 /nfs/dbraw/zinc/94/80/14/381948014.db2.gz ZZHVLOHVKMOXOV-SSDOTTSWSA-N 0 0 280.234 2.836 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3C[C@H]3C(F)F)c21 ZINC000343806743 381953187 /nfs/dbraw/zinc/95/31/87/381953187.db2.gz KWPHTGCNSORZFC-SVRRBLITSA-N 0 0 280.234 2.604 20 5 CFBDRN C[C@H]1[C@H](c2ccccc2)C[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000373395937 381995334 /nfs/dbraw/zinc/99/53/34/381995334.db2.gz ZYXFYPBINHVLRY-GDLCADMTSA-N 0 0 284.319 2.989 20 5 CFBDRN Cc1ocnc1-c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000349864827 381996044 /nfs/dbraw/zinc/99/60/44/381996044.db2.gz GDEIXVGUGVHARL-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc3ncccc3c2[N+](=O)[O-])[nH]1 ZINC000343905024 382011689 /nfs/dbraw/zinc/01/16/89/382011689.db2.gz AUMKYXHMMOSDGE-QMMMGPOBSA-N 0 0 298.306 2.743 20 5 CFBDRN COC1(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000186642877 381974201 /nfs/dbraw/zinc/97/42/01/381974201.db2.gz QXKQOUFHOKKKOE-UHFFFAOYSA-N 0 0 278.308 2.883 20 5 CFBDRN Cc1nc(N2CC[C@@H](Oc3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000362259291 381981109 /nfs/dbraw/zinc/98/11/09/381981109.db2.gz WVHQNECYFYHMNO-CQSZACIVSA-N 0 0 299.330 2.956 20 5 CFBDRN C[C@@H]1[C@H](C)[N@H+](C)CCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000357041224 381983876 /nfs/dbraw/zinc/98/38/76/381983876.db2.gz QLBDXAKZVQQNIJ-WDEREUQCSA-N 0 0 297.786 2.773 20 5 CFBDRN CCC1CCC(CNC(=O)c2[nH]nc(C)c2[N+](=O)[O-])CC1 ZINC000299565185 381984913 /nfs/dbraw/zinc/98/49/13/381984913.db2.gz UWHCJXKJFVUSNU-UHFFFAOYSA-N 0 0 294.355 2.573 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc(N2CCCCC2)nc1 ZINC000357044594 381986376 /nfs/dbraw/zinc/98/63/76/381986376.db2.gz SXCHGGAUYWFJFW-UHFFFAOYSA-N 0 0 299.334 2.541 20 5 CFBDRN COc1ccc([C@@H](C)Nc2ccncc2[N+](=O)[O-])cc1F ZINC000357047332 381987240 /nfs/dbraw/zinc/98/72/40/381987240.db2.gz WRNIXPUGFKTDJW-SECBINFHSA-N 0 0 291.282 2.733 20 5 CFBDRN CCC(CC)N(CC(C)C)C(=O)c1cc([N+](=O)[O-])nn1C ZINC000357060381 381992178 /nfs/dbraw/zinc/99/21/78/381992178.db2.gz TXLNHQPAFAKYNP-UHFFFAOYSA-N 0 0 296.371 2.615 20 5 CFBDRN Nc1c(F)c(NCCOC2CCCC2)ccc1[N+](=O)[O-] ZINC000357186851 382045874 /nfs/dbraw/zinc/04/58/74/382045874.db2.gz LPAAIQKXXHAVNX-UHFFFAOYSA-N 0 0 283.303 2.687 20 5 CFBDRN Cc1ccnc(C)c1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000362398648 382049393 /nfs/dbraw/zinc/04/93/93/382049393.db2.gz XDOZOPDVIZWICP-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccnc(C)c1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000362400783 382051667 /nfs/dbraw/zinc/05/16/67/382051667.db2.gz GMUCRKHFVDVZJO-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CC1(CO)CCN(Cc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000186959475 382058664 /nfs/dbraw/zinc/05/86/64/382058664.db2.gz LXCQBWHJBHAPGX-UHFFFAOYSA-N 0 0 298.770 2.843 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000186973230 382063013 /nfs/dbraw/zinc/06/30/13/382063013.db2.gz GYWKLNCZEOOLNJ-OLZOCXBDSA-N 0 0 262.309 2.850 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCCn3ccnc3)ccc2c1 ZINC000301063373 382070376 /nfs/dbraw/zinc/07/03/76/382070376.db2.gz COJCDPCJEIYJAU-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN Cc1cc(CCNc2ccc3cc([N+](=O)[O-])ccc3n2)on1 ZINC000343914759 382017771 /nfs/dbraw/zinc/01/77/71/382017771.db2.gz ONHIGQSGFAMWPL-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN O=C(NCc1cccs1)c1csc([N+](=O)[O-])c1 ZINC000343926317 382024574 /nfs/dbraw/zinc/02/45/74/382024574.db2.gz PTDKKZZLMFXUNT-UHFFFAOYSA-N 0 0 268.319 2.648 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3C[C@H]3C3CC3)cc2N1 ZINC000362365100 382025953 /nfs/dbraw/zinc/02/59/53/382025953.db2.gz MSHPXORCSQVYPH-CMPLNLGQSA-N 0 0 287.319 2.690 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000343930222 382026702 /nfs/dbraw/zinc/02/67/02/382026702.db2.gz RRGRLGPOYVVGFR-PRHODGIISA-N 0 0 282.727 2.705 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])c3cnccc23)[nH]1 ZINC000343935694 382028597 /nfs/dbraw/zinc/02/85/97/382028597.db2.gz CKWGJHTZMAQDPE-MRVPVSSYSA-N 0 0 298.306 2.743 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2C(F)F)c(Cl)c1 ZINC000343948575 382034472 /nfs/dbraw/zinc/03/44/72/382034472.db2.gz IDQTZURHRQGCQH-QMMMGPOBSA-N 0 0 277.658 2.877 20 5 CFBDRN C[C@H]1CCCN1C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000299735216 382040179 /nfs/dbraw/zinc/04/01/79/382040179.db2.gz YFEBMXUEVVCINK-IQQGHNRFSA-N 0 0 260.293 2.619 20 5 CFBDRN COc1c(C(=O)NC2(C(C)C)CC2)cccc1[N+](=O)[O-] ZINC000357179256 382040845 /nfs/dbraw/zinc/04/08/45/382040845.db2.gz VHXXMWZAEOBCCE-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN O=C(NCCC(F)(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000362500278 382103162 /nfs/dbraw/zinc/10/31/62/382103162.db2.gz UAUVXGLIBDBXMJ-UHFFFAOYSA-N 0 0 296.632 2.930 20 5 CFBDRN CCCN(C[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cn1 ZINC000301194993 382103927 /nfs/dbraw/zinc/10/39/27/382103927.db2.gz NQGQIVWZWRRBIK-ZDUSSCGKSA-N 0 0 279.340 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)Cc1nccn1C(F)F ZINC000301211937 382107346 /nfs/dbraw/zinc/10/73/46/382107346.db2.gz XIUYKVQIOTWPGM-UHFFFAOYSA-N 0 0 297.265 2.526 20 5 CFBDRN C[C@@H]1CC[C@@H](c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)C1 ZINC000357330262 382111834 /nfs/dbraw/zinc/11/18/34/382111834.db2.gz GIPXVACAYMBUQH-NXEZZACHSA-N 0 0 288.307 2.867 20 5 CFBDRN NC(=O)c1ccc(Oc2ncc([N+](=O)[O-])cc2Cl)cc1 ZINC000301249577 382121184 /nfs/dbraw/zinc/12/11/84/382121184.db2.gz APQOIZFOHYBNGY-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN Cc1ccc(CCNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000301256537 382124849 /nfs/dbraw/zinc/12/48/49/382124849.db2.gz AGBLGRYUFJUDKN-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1ccc(N2CCOCC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000375198041 382071663 /nfs/dbraw/zinc/07/16/63/382071663.db2.gz STZOXEFDDMADSQ-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N(C)CC1CCCC1 ZINC000301078908 382075808 /nfs/dbraw/zinc/07/58/08/382075808.db2.gz HNQUARXRVYNMOT-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@H](C)CC1 ZINC000301080614 382076181 /nfs/dbraw/zinc/07/61/81/382076181.db2.gz HWKOHGMEUXXFLD-NSHDSACASA-N 0 0 291.351 2.581 20 5 CFBDRN Cc1ccnc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000357350418 382126276 /nfs/dbraw/zinc/12/62/76/382126276.db2.gz GDDZPUFYJZDRTR-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncnc3n[nH]cc32)cc1Cl ZINC000301097095 382081413 /nfs/dbraw/zinc/08/14/13/382081413.db2.gz HDOQLOLBXCCGET-UHFFFAOYSA-N 0 0 291.654 2.707 20 5 CFBDRN O=c1[nH]c(NCc2ccc([N+](=O)[O-])cc2)nc2ccccc21 ZINC000301135405 382089266 /nfs/dbraw/zinc/08/92/66/382089266.db2.gz ZNAFVVAWLXABJV-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN O=c1[nH]c(NCc2ccccc2[N+](=O)[O-])nc2ccccc21 ZINC000301131647 382089615 /nfs/dbraw/zinc/08/96/15/382089615.db2.gz SDULDBALCBTBBI-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1c(C)cccc1[N+](=O)[O-] ZINC000301157017 382094197 /nfs/dbraw/zinc/09/41/97/382094197.db2.gz GHKWRMILFSOWIC-UHFFFAOYSA-N 0 0 288.351 2.908 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1ccc(Cl)nc1 ZINC000301160548 382094324 /nfs/dbraw/zinc/09/43/24/382094324.db2.gz AHJSXSJNNJUQRC-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CCCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000187100309 382098535 /nfs/dbraw/zinc/09/85/35/382098535.db2.gz VJSPWSXBRIIQGD-JTQLQIEISA-N 0 0 294.355 2.533 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CCCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000187100331 382098678 /nfs/dbraw/zinc/09/86/78/382098678.db2.gz VJSPWSXBRIIQGD-SNVBAGLBSA-N 0 0 294.355 2.533 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCS[C@H]2CCCC[C@H]21 ZINC000301575519 382178569 /nfs/dbraw/zinc/17/85/69/382178569.db2.gz PYVXYCLPYSDTNS-PWSUYJOCSA-N 0 0 279.365 2.854 20 5 CFBDRN Cc1ccc(C(=O)Nc2cccc3nccn32)cc1[N+](=O)[O-] ZINC000357456978 382178660 /nfs/dbraw/zinc/17/86/60/382178660.db2.gz UAXNFLREXJFDCS-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CCCCC[C@@H]1CCCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000344177483 382181332 /nfs/dbraw/zinc/18/13/32/382181332.db2.gz VALIKSBGWYCELJ-SNVBAGLBSA-N 0 0 280.328 2.503 20 5 CFBDRN CC1(CCNC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)CC1 ZINC000352121835 382181780 /nfs/dbraw/zinc/18/17/80/382181780.db2.gz RRIKPDRFURQVSF-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN C[C@H](CNc1cccc(F)c1[N+](=O)[O-])Cn1cccn1 ZINC000301283945 382135450 /nfs/dbraw/zinc/13/54/50/382135450.db2.gz UUGYIWLBVFKLQB-SNVBAGLBSA-N 0 0 278.287 2.679 20 5 CFBDRN Cn1cc(CCNc2ccc3ncccc3c2[N+](=O)[O-])cn1 ZINC000301290141 382138983 /nfs/dbraw/zinc/13/89/83/382138983.db2.gz WKYZFUYYLAVKHJ-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN COCc1cccc(Nc2c([N+](=O)[O-])c(C)nn2C)c1 ZINC000301295552 382140501 /nfs/dbraw/zinc/14/05/01/382140501.db2.gz SAYFHRXKVWPCKK-UHFFFAOYSA-N 0 0 276.296 2.527 20 5 CFBDRN Cc1ccnc(N2CC[C@H](Nc3ccccc3)C2)c1[N+](=O)[O-] ZINC000301299234 382142280 /nfs/dbraw/zinc/14/22/80/382142280.db2.gz QFHPNLDCSYWEDB-AWEZNQCLSA-N 0 0 298.346 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccon3)no2)c(Cl)c1 ZINC000357397980 382150229 /nfs/dbraw/zinc/15/02/29/382150229.db2.gz QRKRUTKVHYPHRO-UHFFFAOYSA-N 0 0 292.638 2.953 20 5 CFBDRN Cc1cc(Cc2noc(-c3ccncc3)n2)ccc1[N+](=O)[O-] ZINC000357402492 382153802 /nfs/dbraw/zinc/15/38/02/382153802.db2.gz QYDLCROXYBUTBJ-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN CCc1cc(CNc2ncc([N+](=O)[O-])cc2Cl)on1 ZINC000301358395 382158330 /nfs/dbraw/zinc/15/83/30/382158330.db2.gz NVNNLFXDESGBFV-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN C[C@@]1(CO)CCC[C@H]1Nc1ccccc1[N+](=O)[O-] ZINC000301359668 382158472 /nfs/dbraw/zinc/15/84/72/382158472.db2.gz NOPNAJPOVMSETC-OLZOCXBDSA-N 0 0 250.298 2.558 20 5 CFBDRN C[C@@H](C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(C)(F)F ZINC000352102668 382172016 /nfs/dbraw/zinc/17/20/16/382172016.db2.gz PWFATIJHQJAGNI-LURJTMIESA-N 0 0 276.214 2.964 20 5 CFBDRN C[C@H]1CC[C@H](C)N(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000301454549 382172075 /nfs/dbraw/zinc/17/20/75/382172075.db2.gz RLUNREDNIJHCDD-IUCAKERBSA-N 0 0 280.353 2.929 20 5 CFBDRN C[C@@H](CNC(=O)c1cc([N+](=O)[O-])c[nH]1)Cc1cccs1 ZINC000357512062 382199664 /nfs/dbraw/zinc/19/96/64/382199664.db2.gz LQOORPZUIJUMDL-SECBINFHSA-N 0 0 293.348 2.593 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCC1=CCCCC1 ZINC000418969221 382202606 /nfs/dbraw/zinc/20/26/06/382202606.db2.gz VBOWDESIDAEEMW-UHFFFAOYSA-N 0 0 262.309 2.955 20 5 CFBDRN CCOC(=O)N1CC[C@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000302295699 382236664 /nfs/dbraw/zinc/23/66/64/382236664.db2.gz XPVOCJSVARYMOJ-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344215489 382204331 /nfs/dbraw/zinc/20/43/31/382204331.db2.gz OJURVTRHBRLJJB-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])ncn1C)[C@H]1CC1(C)C ZINC000418983971 382205925 /nfs/dbraw/zinc/20/59/25/382205925.db2.gz DJXBFVSXTLRQFA-RKDXNWHRSA-N 0 0 252.318 2.565 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CC23CC3)nc2sccn21 ZINC000418992615 382209248 /nfs/dbraw/zinc/20/92/48/382209248.db2.gz OBJDONVLPAMLRT-ZETCQYMHSA-N 0 0 264.310 2.516 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2ccc([N+](=O)[O-])cc2OC(F)F)C1 ZINC000419007473 382214826 /nfs/dbraw/zinc/21/48/26/382214826.db2.gz XRGFGLDLDULTDX-OGXFKERWSA-N 0 0 288.250 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2nccn3ccnc23)cc1 ZINC000187610481 382224181 /nfs/dbraw/zinc/22/41/81/382224181.db2.gz ZEWOQGALGYJIKY-UHFFFAOYSA-N 0 0 286.316 2.930 20 5 CFBDRN Cc1ccc(C)c(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000344197289 382193864 /nfs/dbraw/zinc/19/38/64/382193864.db2.gz GDNSNSHQZODUKJ-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CC[C@H](C)CN(CC)c1ccc2ncc([N+](=O)[O-])n2n1 ZINC000357494448 382194362 /nfs/dbraw/zinc/19/43/62/382194362.db2.gz YGAOOLMBUGFUDJ-JTQLQIEISA-N 0 0 277.328 2.510 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000352367168 382292219 /nfs/dbraw/zinc/29/22/19/382292219.db2.gz LZKHBMPBTHKGAG-VXGBXAGGSA-N 0 0 287.319 2.544 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000352367167 382292317 /nfs/dbraw/zinc/29/23/17/382292317.db2.gz LZKHBMPBTHKGAG-RYUDHWBXSA-N 0 0 287.319 2.544 20 5 CFBDRN CCCCOCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352366157 382292352 /nfs/dbraw/zinc/29/23/52/382292352.db2.gz BQWMYAOBHYBGAV-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@H]1C1CC1 ZINC000377545537 382286756 /nfs/dbraw/zinc/28/67/56/382286756.db2.gz RNGPZHQHKGCTER-ZDUSSCGKSA-N 0 0 274.320 2.538 20 5 CFBDRN Cc1n[nH]cc1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352356996 382287461 /nfs/dbraw/zinc/28/74/61/382287461.db2.gz PFGXKQSQQBJGGM-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@@H]1CCCCO1 ZINC000352360424 382288280 /nfs/dbraw/zinc/28/82/80/382288280.db2.gz NKARGODSLNXVSR-LBPRGKRZSA-N 0 0 287.319 2.546 20 5 CFBDRN COC(=O)CCSc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352359254 382288967 /nfs/dbraw/zinc/28/89/67/382288967.db2.gz FABRFGAERRQGDM-UHFFFAOYSA-N 0 0 292.316 2.798 20 5 CFBDRN CSCCCCCNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000187668820 382240937 /nfs/dbraw/zinc/24/09/37/382240937.db2.gz MCQKVNGTEQJKGV-UHFFFAOYSA-N 0 0 298.368 2.645 20 5 CFBDRN Cc1cc(NCCOC(F)(F)F)ccc1[N+](=O)[O-] ZINC000352361328 382290191 /nfs/dbraw/zinc/29/01/91/382290191.db2.gz REMMUQGQUQKAAC-UHFFFAOYSA-N 0 0 264.203 2.852 20 5 CFBDRN C[C@](O)(CCNc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC000302404703 382246296 /nfs/dbraw/zinc/24/62/96/382246296.db2.gz KORXSCXYIAOFNM-HNNXBMFYSA-N 0 0 287.319 2.700 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CCC[C@H]2CCO)c1 ZINC000302410806 382247946 /nfs/dbraw/zinc/24/79/46/382247946.db2.gz GVDDRESRHBYDMF-CABZTGNLSA-N 0 0 298.314 2.706 20 5 CFBDRN CC(C)C[C@H](CO)N(C)c1ccc([N+](=O)[O-])cc1F ZINC000302430741 382249118 /nfs/dbraw/zinc/24/91/18/382249118.db2.gz POVNWYSYCWFHHU-LLVKDONJSA-N 0 0 270.304 2.577 20 5 CFBDRN Cc1nnc(Sc2cc(C)c([N+](=O)[O-])cn2)n1C(C)C ZINC000302438702 382251422 /nfs/dbraw/zinc/25/14/22/382251422.db2.gz QANLICHUMQTBPP-UHFFFAOYSA-N 0 0 293.352 2.930 20 5 CFBDRN C[C@H](C1CC1)n1cc(Nc2ccc([N+](=O)[O-])cn2)cn1 ZINC000302438660 382251438 /nfs/dbraw/zinc/25/14/38/382251438.db2.gz PYOATDAODUAMRX-SECBINFHSA-N 0 0 273.296 2.901 20 5 CFBDRN CCOc1cc(N[C@H]2CCC[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000302454490 382252051 /nfs/dbraw/zinc/25/20/51/382252051.db2.gz PZCUHRCUJZLZMA-YPMHNXCESA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1cccc(N(C)CC2CCOCC2)c1[N+](=O)[O-] ZINC000302481149 382255675 /nfs/dbraw/zinc/25/56/75/382255675.db2.gz GSCPEMIVRGKINX-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN O=[N+]([O-])c1c(NCCc2cn[nH]c2)ccc2ncccc21 ZINC000357621674 382255810 /nfs/dbraw/zinc/25/58/10/382255810.db2.gz AVYGRAKDVPOQJT-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1cccc2c1CCO2 ZINC000357713511 382290824 /nfs/dbraw/zinc/29/08/24/382290824.db2.gz YODGHHXNGLJROX-UHFFFAOYSA-N 0 0 298.298 2.711 20 5 CFBDRN Cc1cccc(NCCOC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000302502642 382259262 /nfs/dbraw/zinc/25/92/62/382259262.db2.gz PFEPEUWIFNGEOL-GFCCVEGCSA-N 0 0 280.324 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CC1(C)C ZINC000352282788 382261174 /nfs/dbraw/zinc/26/11/74/382261174.db2.gz ZZKOLAZYKJKXCD-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1cccc(N(C)C[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000302521987 382262185 /nfs/dbraw/zinc/26/21/85/382262185.db2.gz YBTWFTKLYYBNFT-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN CC(C)[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])C(C)(C)O ZINC000357747338 382304771 /nfs/dbraw/zinc/30/47/71/382304771.db2.gz NFYJTERSJMEAOC-MRVPVSSYSA-N 0 0 298.368 2.511 20 5 CFBDRN Cc1cc(N(C)CCCCO)c2cccc([N+](=O)[O-])c2n1 ZINC000302739123 382306966 /nfs/dbraw/zinc/30/69/66/382306966.db2.gz CEHADZDZHDNTPI-UHFFFAOYSA-N 0 0 289.335 2.660 20 5 CFBDRN CC(C)[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)C(C)(C)O ZINC000357761338 382308587 /nfs/dbraw/zinc/30/85/87/382308587.db2.gz VWIFIOYJORAGQX-SNVBAGLBSA-N 0 0 287.747 2.851 20 5 CFBDRN COC(=O)c1cnc(Nc2ccc(C)cc2)c([N+](=O)[O-])c1 ZINC000302757507 382311230 /nfs/dbraw/zinc/31/12/30/382311230.db2.gz IYSAIFVHRGXIHZ-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN CCc1nnc(CNc2ccc([N+](=O)[O-])cc2F)s1 ZINC000357777582 382316464 /nfs/dbraw/zinc/31/64/64/382316464.db2.gz AEJZUVXYYNXRSQ-UHFFFAOYSA-N 0 0 282.300 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)C2CC2)c(Cl)c1 ZINC000357780608 382316965 /nfs/dbraw/zinc/31/69/65/382316965.db2.gz IWDQZFINVSSPGU-VIFPVBQESA-N 0 0 270.716 2.679 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000302793549 382320528 /nfs/dbraw/zinc/32/05/28/382320528.db2.gz ZWRLERBSJNBTHP-NWDGAFQWSA-N 0 0 278.308 2.777 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CC[C@@H](OC)C1 ZINC000302793519 382320831 /nfs/dbraw/zinc/32/08/31/382320831.db2.gz ZVOBBLWMGQWJRL-DGCLKSJQSA-N 0 0 280.324 2.721 20 5 CFBDRN CCCC[C@@H](C)N(C)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000357786050 382321001 /nfs/dbraw/zinc/32/10/01/382321001.db2.gz SWAXUCQUJGXJRT-LLVKDONJSA-N 0 0 293.367 2.969 20 5 CFBDRN C[C@H]1OCC[C@]1(C)NCc1c(F)cc([N+](=O)[O-])cc1F ZINC000352421294 382322411 /nfs/dbraw/zinc/32/24/11/382322411.db2.gz KGMQVWAOWLXOMT-OQPBUACISA-N 0 0 286.278 2.530 20 5 CFBDRN CN(c1cc(F)ccc1[N+](=O)[O-])[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000302821070 382326370 /nfs/dbraw/zinc/32/63/70/382326370.db2.gz QCQLUCFVGMHHCY-GDLCADMTSA-N 0 0 294.326 2.984 20 5 CFBDRN CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000352427190 382326695 /nfs/dbraw/zinc/32/66/95/382326695.db2.gz CNABIDBZAUBZKS-QWRGUYRKSA-N 0 0 294.355 2.653 20 5 CFBDRN COc1cc(O)cc(NC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000352442337 382335236 /nfs/dbraw/zinc/33/52/36/382335236.db2.gz OLZFWIUXERIZNV-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CCc1nnc(CNc2nc(C)cc(C)c2[N+](=O)[O-])s1 ZINC000357823406 382339358 /nfs/dbraw/zinc/33/93/58/382339358.db2.gz GCSYCLNHOGEIIC-UHFFFAOYSA-N 0 0 293.352 2.633 20 5 CFBDRN CC1(CNc2nc3ccccc3cc2[N+](=O)[O-])CC1 ZINC000352367488 382293647 /nfs/dbraw/zinc/29/36/47/382293647.db2.gz PCRZTLDGPSVGNP-UHFFFAOYSA-N 0 0 257.293 2.777 20 5 CFBDRN COCc1nsc(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000302704685 382296454 /nfs/dbraw/zinc/29/64/54/382296454.db2.gz JBDSJUPQAVZNQF-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCCCO1 ZINC000302702940 382296599 /nfs/dbraw/zinc/29/65/99/382296599.db2.gz XUTNEZJVXHETIQ-UHFFFAOYSA-N 0 0 259.265 2.675 20 5 CFBDRN COc1cccc(N(C)CC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000302710921 382299199 /nfs/dbraw/zinc/29/91/99/382299199.db2.gz LHWFIDSAHVFWDA-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cccc(OC[C@H]2CC3(CCC3)C(=O)O2)c1[N+](=O)[O-] ZINC000188129704 382350846 /nfs/dbraw/zinc/35/08/46/382350846.db2.gz LKFCQZUYGXBNPJ-LLVKDONJSA-N 0 0 291.303 2.768 20 5 CFBDRN COc1ccccc1CSc1c([N+](=O)[O-])ncn1C ZINC000346441659 382363303 /nfs/dbraw/zinc/36/33/03/382363303.db2.gz KNTHIBINTLBQQB-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCCC[C@H]21 ZINC000378093338 382397571 /nfs/dbraw/zinc/39/75/71/382397571.db2.gz XNCSALWUTCLINS-GXTWGEPZSA-N 0 0 274.320 3.000 20 5 CFBDRN C[C@H](CCC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000346477068 382377813 /nfs/dbraw/zinc/37/78/13/382377813.db2.gz OVPVCQBVZJMWME-SECBINFHSA-N 0 0 267.329 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC1(O)CCCC1 ZINC000304262873 382384134 /nfs/dbraw/zinc/38/41/34/382384134.db2.gz FAKGNLFYRSSTAX-UHFFFAOYSA-N 0 0 278.308 2.537 20 5 CFBDRN CC(=O)c1ccc(NC[C@@]2(O)CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000294035565 382387968 /nfs/dbraw/zinc/38/79/68/382387968.db2.gz LMHZKCQOMMKMBH-BMIGLBTASA-N 0 0 292.335 2.760 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)CCCO1 ZINC000294035697 382388336 /nfs/dbraw/zinc/38/83/36/382388336.db2.gz LNBUYHRWILILCG-GFCCVEGCSA-N 0 0 297.330 2.567 20 5 CFBDRN CCc1ccc(C(=O)N2C[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000293942224 382346555 /nfs/dbraw/zinc/34/65/55/382346555.db2.gz FGELURLDPNZTKS-NXEZZACHSA-N 0 0 262.309 2.638 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC([C@@H]2CCOC2)CC1 ZINC000364644755 382346725 /nfs/dbraw/zinc/34/67/25/382346725.db2.gz JALYCRGXFSPAKI-CYBMUJFWSA-N 0 0 276.336 2.848 20 5 CFBDRN CN(Cc1ccc[nH]1)Cc1ccc([N+](=O)[O-])cc1F ZINC000352628336 382423086 /nfs/dbraw/zinc/42/30/86/382423086.db2.gz LXNQWSCEKPAKBK-UHFFFAOYSA-N 0 0 263.272 2.694 20 5 CFBDRN C[C@@H]1COCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000378265253 382426797 /nfs/dbraw/zinc/42/67/97/382426797.db2.gz ZRHFBBKXMKAWTC-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@@H]1CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000294136710 382428545 /nfs/dbraw/zinc/42/85/45/382428545.db2.gz OCYONDPXELLOGW-ZJUUUORDSA-N 0 0 280.349 2.554 20 5 CFBDRN Cc1cc(NC[C@@H]2CC23CCSCC3)ncc1[N+](=O)[O-] ZINC000420657932 382448770 /nfs/dbraw/zinc/44/87/70/382448770.db2.gz XNLOKHWDBLTZRE-NSHDSACASA-N 0 0 293.392 2.665 20 5 CFBDRN Cc1cc(NC[C@H]2CC23CCSCC3)ncc1[N+](=O)[O-] ZINC000420657931 382448640 /nfs/dbraw/zinc/44/86/40/382448640.db2.gz XNLOKHWDBLTZRE-LLVKDONJSA-N 0 0 293.392 2.665 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H](O)CC1CCCC1 ZINC000188647377 382448683 /nfs/dbraw/zinc/44/86/83/382448683.db2.gz CDKRPIPNMOZOJG-LBPRGKRZSA-N 0 0 265.309 2.915 20 5 CFBDRN Cc1nccn1CCCNc1cccc(C)c1[N+](=O)[O-] ZINC000188383702 382403582 /nfs/dbraw/zinc/40/35/82/382403582.db2.gz CKCJVNDRCCJSKE-UHFFFAOYSA-N 0 0 274.324 2.910 20 5 CFBDRN Cc1cc(N2CCC[C@H](CO)CC2)c(F)cc1[N+](=O)[O-] ZINC000294072136 382403710 /nfs/dbraw/zinc/40/37/10/382403710.db2.gz NJBKPXFOMBEWHZ-NSHDSACASA-N 0 0 282.315 2.641 20 5 CFBDRN CCOCCO[C@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000188387798 382405687 /nfs/dbraw/zinc/40/56/87/382405687.db2.gz SLOVKNQEFUXHCC-ZDUSSCGKSA-N 0 0 294.351 2.535 20 5 CFBDRN C[C@@H](N[C@@H](C)C(F)(F)F)c1ccc(N)c([N+](=O)[O-])c1 ZINC000294085277 382409759 /nfs/dbraw/zinc/40/97/59/382409759.db2.gz IPQKJOQXHFBHIO-RQJHMYQMSA-N 0 0 277.246 2.778 20 5 CFBDRN Cc1ccc(NC(=O)c2cnn(C(C)C)c2)cc1[N+](=O)[O-] ZINC000188415026 382409959 /nfs/dbraw/zinc/40/99/59/382409959.db2.gz XXMVGVJMHBUJIE-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CC12CCSCC2 ZINC000420653500 382447015 /nfs/dbraw/zinc/44/70/15/382447015.db2.gz OHPBOKMPIAYGMK-SNVBAGLBSA-N 0 0 279.365 2.935 20 5 CFBDRN C[C@H]1C[C@@H](NCc2ccc([N+](=O)[O-])cc2)c2nccn21 ZINC000294101478 382415605 /nfs/dbraw/zinc/41/56/05/382415605.db2.gz MTNOLDGICNYUBK-GXFFZTMASA-N 0 0 272.308 2.587 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000294105777 382417050 /nfs/dbraw/zinc/41/70/50/382417050.db2.gz RYONGSZBUIIVQB-SECBINFHSA-N 0 0 268.700 2.873 20 5 CFBDRN CC1(CNc2c(C(N)=O)cccc2[N+](=O)[O-])CCCC1 ZINC000420594876 382417088 /nfs/dbraw/zinc/41/70/88/382417088.db2.gz FCUWUVRWVRKQDT-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000358032008 382418923 /nfs/dbraw/zinc/41/89/23/382418923.db2.gz PUFBKDDUXHVYSZ-NXEZZACHSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000358035073 382420165 /nfs/dbraw/zinc/42/01/65/382420165.db2.gz KLAHPTOQUGDDGK-GHMZBOCLSA-N 0 0 294.326 2.829 20 5 CFBDRN Cc1cccc(N2CCC[C@@H](CO)CC2)c1[N+](=O)[O-] ZINC000294192088 382452758 /nfs/dbraw/zinc/45/27/58/382452758.db2.gz TUFFDSVZIJCJCK-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC(c2c[nH]cn2)CC1 ZINC000365887270 382455101 /nfs/dbraw/zinc/45/51/01/382455101.db2.gz XZWZHUIZGMRGPK-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN C[C@@H]1CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000294206396 382459060 /nfs/dbraw/zinc/45/90/60/382459060.db2.gz QTAUDURTIDXTTG-RKDXNWHRSA-N 0 0 284.262 2.553 20 5 CFBDRN C[C@@H](CF)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000294209016 382460437 /nfs/dbraw/zinc/46/04/37/382460437.db2.gz YDMJERNUXDPHAF-LURJTMIESA-N 0 0 294.204 2.701 20 5 CFBDRN CCCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294225111 382467147 /nfs/dbraw/zinc/46/71/47/382467147.db2.gz VBSBNFDIVBMPKB-UHFFFAOYSA-N 0 0 250.298 2.746 20 5 CFBDRN CCCN(CC)C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000294247088 382476100 /nfs/dbraw/zinc/47/61/00/382476100.db2.gz FUKRWYZTWPIHDE-UHFFFAOYSA-N 0 0 292.335 2.816 20 5 CFBDRN CC(C)(CCC(=O)NCC1(c2ccccc2)CC1)[N+](=O)[O-] ZINC000294245791 382476134 /nfs/dbraw/zinc/47/61/34/382476134.db2.gz BYSAEMWLJZXFMA-UHFFFAOYSA-N 0 0 290.363 2.670 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])OC ZINC000294249280 382477561 /nfs/dbraw/zinc/47/75/61/382477561.db2.gz PVAOCQPHNRPNMB-ZANVPECISA-N 0 0 298.314 2.525 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000358163547 382479518 /nfs/dbraw/zinc/47/95/18/382479518.db2.gz GCWAAMITSDMKAC-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCC2(CCC2)CC1 ZINC000308701502 382485068 /nfs/dbraw/zinc/48/50/68/382485068.db2.gz FDHWXZJMOJWORU-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CC=C(c2ccccc2)C1 ZINC000378600116 382489223 /nfs/dbraw/zinc/48/92/23/382489223.db2.gz PFKNYGHWVOHJRN-UHFFFAOYSA-N 0 0 295.298 2.529 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2c(F)cccc2[N+](=O)[O-])C1(C)C ZINC000382318358 382529273 /nfs/dbraw/zinc/52/92/73/382529273.db2.gz UIQGAROBVLBYAB-GHMZBOCLSA-N 0 0 268.288 2.959 20 5 CFBDRN C[C@H](N[C@@H]1CCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000294302002 382501603 /nfs/dbraw/zinc/50/16/03/382501603.db2.gz ZWOWPYRIBNUMET-GXFFZTMASA-N 0 0 272.308 2.587 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000188913151 382504145 /nfs/dbraw/zinc/50/41/45/382504145.db2.gz KEBKTJRSEAHOQS-NXEZZACHSA-N 0 0 294.355 2.579 20 5 CFBDRN Cc1ccc(NC[C@@]2(O)CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000328307385 382546763 /nfs/dbraw/zinc/54/67/63/382546763.db2.gz WAHZQGSPCMONBV-RISCZKNCSA-N 0 0 264.325 2.866 20 5 CFBDRN COc1cc(N2CCC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000294956512 382548852 /nfs/dbraw/zinc/54/88/52/382548852.db2.gz MYEMCMXAINOQQM-ZDUSSCGKSA-N 0 0 268.288 2.932 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCC[C@H]1CCCO1 ZINC000189138539 382552342 /nfs/dbraw/zinc/55/23/42/382552342.db2.gz URMKZHOZGGIEPQ-SECBINFHSA-N 0 0 255.245 2.682 20 5 CFBDRN O=C([C@@H]1CC12CC2)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000421486970 382555803 /nfs/dbraw/zinc/55/58/03/382555803.db2.gz DBWFRQCJXINLIW-AWEZNQCLSA-N 0 0 286.331 2.886 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@@H]1c1ccccc1 ZINC000358340623 382577089 /nfs/dbraw/zinc/57/70/89/382577089.db2.gz GLUQQRHSDSNMBE-HZPDHXFCSA-N 0 0 296.326 2.810 20 5 CFBDRN C[C@H]1[C@@H](O)CCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000384895249 382561050 /nfs/dbraw/zinc/56/10/50/382561050.db2.gz LYLHKOQQQRFJNO-ZANVPECISA-N 0 0 284.743 2.593 20 5 CFBDRN C[C@H]1[C@H](O)CCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000384895248 382561430 /nfs/dbraw/zinc/56/14/30/382561430.db2.gz LYLHKOQQQRFJNO-TVQRCGJNSA-N 0 0 284.743 2.593 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1C[C@H]1c1ccccc1 ZINC000358340621 382577244 /nfs/dbraw/zinc/57/72/44/382577244.db2.gz GLUQQRHSDSNMBE-HOTGVXAUSA-N 0 0 296.326 2.810 20 5 CFBDRN CC(F)(F)CCCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000295044832 382563743 /nfs/dbraw/zinc/56/37/43/382563743.db2.gz XMJIYKQUCUZAHY-UHFFFAOYSA-N 0 0 286.238 2.978 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCS1 ZINC000421529355 382570226 /nfs/dbraw/zinc/57/02/26/382570226.db2.gz VSESXBVCBNSXSP-LLVKDONJSA-N 0 0 294.376 2.837 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])s1)c1ccccn1 ZINC000295058952 382571633 /nfs/dbraw/zinc/57/16/33/382571633.db2.gz YMFFTVOTOMMQGU-UHFFFAOYSA-N 0 0 278.337 2.836 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@]12C[C@H]1CCC2 ZINC000421535647 382572071 /nfs/dbraw/zinc/57/20/71/382572071.db2.gz CZEIFCVQOKPVPG-CZUORRHYSA-N 0 0 288.347 2.786 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@]1(C)CC1(F)F ZINC000421541108 382572974 /nfs/dbraw/zinc/57/29/74/382572974.db2.gz LNMNGIPWZIYDJY-ZDUSSCGKSA-N 0 0 298.289 2.641 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000421419005 382529556 /nfs/dbraw/zinc/52/95/56/382529556.db2.gz IJJYPRRYBVHMJM-AXFHLTTASA-N 0 0 290.319 2.978 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2cccnn2)cs1 ZINC000358259101 382532568 /nfs/dbraw/zinc/53/25/68/382532568.db2.gz FEWCFBIOKOYVAF-UHFFFAOYSA-N 0 0 253.308 2.739 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]2CCC[C@H]21 ZINC000294857935 382533821 /nfs/dbraw/zinc/53/38/21/382533821.db2.gz SLTPYXCBGPUNST-MWLCHTKSSA-N 0 0 277.324 2.574 20 5 CFBDRN CC(C)Sc1ccc(Cn2cnc([N+](=O)[O-])n2)cc1 ZINC000358264263 382534906 /nfs/dbraw/zinc/53/49/06/382534906.db2.gz OGHWMGIOWATHHJ-UHFFFAOYSA-N 0 0 278.337 2.735 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])ncn1C)C1CCCC1 ZINC000382806271 382535846 /nfs/dbraw/zinc/53/58/46/382535846.db2.gz DBENCLRNLXFFQU-JTQLQIEISA-N 0 0 252.318 2.709 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H](C)C(C)(F)F ZINC000421568534 382583589 /nfs/dbraw/zinc/58/35/89/382583589.db2.gz HPAZJIMTJKYXBC-SECBINFHSA-N 0 0 286.278 2.985 20 5 CFBDRN CC[C@H]1[C@@H](CO)CCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000386260397 382584994 /nfs/dbraw/zinc/58/49/94/382584994.db2.gz KHZVPSVVBWACSR-YPMHNXCESA-N 0 0 264.325 2.500 20 5 CFBDRN CC[C@@H]1[C@H](CO)CCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000386260396 382585301 /nfs/dbraw/zinc/58/53/01/382585301.db2.gz KHZVPSVVBWACSR-WCQYABFASA-N 0 0 264.325 2.500 20 5 CFBDRN CC[C@@H](C)CNC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000421578659 382589540 /nfs/dbraw/zinc/58/95/40/382589540.db2.gz IWPWNWXSCMEMDR-LLVKDONJSA-N 0 0 289.335 2.712 20 5 CFBDRN COCC(C)(C)CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421583235 382592454 /nfs/dbraw/zinc/59/24/54/382592454.db2.gz ZZELVCKCKIFAJA-UHFFFAOYSA-N 0 0 294.351 2.614 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CC12CCOCC2 ZINC000386926602 382593459 /nfs/dbraw/zinc/59/34/59/382593459.db2.gz QLYSZEBJYSMOJI-GFCCVEGCSA-N 0 0 266.272 2.715 20 5 CFBDRN CCc1ccc(C(=O)Nc2cnn(CC)c2)cc1[N+](=O)[O-] ZINC000081854157 184597847 /nfs/dbraw/zinc/59/78/47/184597847.db2.gz FHORBXXBLZKENX-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CC[C@@H]1C[C@H]1CNc1c([N+](=O)[O-])c(C)nn1CC ZINC000388011644 382602279 /nfs/dbraw/zinc/60/22/79/382602279.db2.gz NMYZLDOVCXANDJ-ZJUUUORDSA-N 0 0 252.318 2.578 20 5 CFBDRN CC[C@@H]1C[C@H]1CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000388239074 382603311 /nfs/dbraw/zinc/60/33/11/382603311.db2.gz RFOIUPRZTAWZAS-KOLCDFICSA-N 0 0 289.335 2.938 20 5 CFBDRN CC(C)(C)CC[C@@H](CO)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000358418489 382605392 /nfs/dbraw/zinc/60/53/92/382605392.db2.gz WTYXTCFLSNPOIQ-LBPRGKRZSA-N 0 0 294.351 2.512 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1)C1CC1 ZINC000388367328 382606296 /nfs/dbraw/zinc/60/62/96/382606296.db2.gz VZYMTTQBSJDKPT-NOZJJQNGSA-N 0 0 265.313 2.524 20 5 CFBDRN COc1cc(N[C@@H](C)[C@@H](OC)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000388370843 382606415 /nfs/dbraw/zinc/60/64/15/382606415.db2.gz XFOMKQVYYLNZHA-RMLUDKJBSA-N 0 0 298.314 2.968 20 5 CFBDRN CO[C@H]1CC[C@@H](NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000189435322 382608100 /nfs/dbraw/zinc/60/81/00/382608100.db2.gz HGTUGYBPEDOYDZ-PWSUYJOCSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)O[C@@H](C)[C@@H]1C ZINC000353718744 382616934 /nfs/dbraw/zinc/61/69/34/382616934.db2.gz JCXWXRIULKHQJF-SRVKXCTJSA-N 0 0 292.335 2.541 20 5 CFBDRN O=C(Nc1ccsc1Cl)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000189502717 382617260 /nfs/dbraw/zinc/61/72/60/382617260.db2.gz FIXAMCBPKFYABY-UHFFFAOYSA-N 0 0 299.695 2.663 20 5 CFBDRN Cc1c(NC(=O)[C@H](O)C2CCCCC2)cccc1[N+](=O)[O-] ZINC000189533542 382621478 /nfs/dbraw/zinc/62/14/78/382621478.db2.gz WKRHZLVHFKTHBB-CQSZACIVSA-N 0 0 292.335 2.783 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000393576748 382623852 /nfs/dbraw/zinc/62/38/52/382623852.db2.gz UPLZSJJMLVUSSB-UHFFFAOYSA-N 0 0 287.747 2.852 20 5 CFBDRN Cc1cccc(NC[C@@H](CO)C(C)C)c1[N+](=O)[O-] ZINC000394024978 382625596 /nfs/dbraw/zinc/62/55/96/382625596.db2.gz SOAFYDBPRCEFQP-NSHDSACASA-N 0 0 252.314 2.580 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(Cc3cccnc3)c2)cc1 ZINC000353755934 382627859 /nfs/dbraw/zinc/62/78/59/382627859.db2.gz TWCQOKACIKHMER-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN CCn1ccnc1[C@@H](C)NCc1ccccc1[N+](=O)[O-] ZINC000295185114 382579832 /nfs/dbraw/zinc/57/98/32/382579832.db2.gz ICVOPLROTVRQJO-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN CCCc1n[nH]c(C(=O)NCC(C)(C)C(C)C)c1[N+](=O)[O-] ZINC000189290306 382582864 /nfs/dbraw/zinc/58/28/64/382582864.db2.gz ZYIYLHIGORRTHU-UHFFFAOYSA-N 0 0 296.371 2.682 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1ccc(Cl)c(F)c1 ZINC000189768885 382640160 /nfs/dbraw/zinc/64/01/60/382640160.db2.gz IXSJYPMSLCYUDH-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN C[C@H](C[C@H](O)c1ccccc1)Nc1ncc([N+](=O)[O-])s1 ZINC000189986405 382648631 /nfs/dbraw/zinc/64/86/31/382648631.db2.gz ZEJRLXPOGBNCNP-KOLCDFICSA-N 0 0 293.348 2.975 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2COC3(CCCCC3)O2)s1 ZINC000189989879 382648905 /nfs/dbraw/zinc/64/89/05/382648905.db2.gz SHKOQKNYHUFOPZ-SECBINFHSA-N 0 0 299.352 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCOCC(F)F)cc1 ZINC000190083855 382652886 /nfs/dbraw/zinc/65/28/86/382652886.db2.gz IBHTTZDFIFDZEX-UHFFFAOYSA-N 0 0 263.265 2.969 20 5 CFBDRN COC(=O)c1cnc(NCCCC(C)C)c([N+](=O)[O-])c1 ZINC000190166716 382655819 /nfs/dbraw/zinc/65/58/19/382655819.db2.gz YQMQOMJZDBXTSC-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN CC(C)COCCCNc1ncc([N+](=O)[O-])s1 ZINC000190307634 382661596 /nfs/dbraw/zinc/66/15/96/382661596.db2.gz IMKLTJFOPSAKHA-UHFFFAOYSA-N 0 0 259.331 2.526 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCOC2CCCC2)s1 ZINC000190322615 382661868 /nfs/dbraw/zinc/66/18/68/382661868.db2.gz YMOPHDKNXKJIEV-UHFFFAOYSA-N 0 0 271.342 2.813 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)[C@@H](O)c1ccc(F)cc1 ZINC000190328415 382662475 /nfs/dbraw/zinc/66/24/75/382662475.db2.gz WIYGHSDNCGUYFR-WRWORJQWSA-N 0 0 297.311 2.724 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H]1CC[C@H](C)O1 ZINC000190616687 382675104 /nfs/dbraw/zinc/67/51/04/382675104.db2.gz YPRGQBAEMBWXTI-GXSJLCMTSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1ccc(OC[C@H]2CC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000190612528 382675238 /nfs/dbraw/zinc/67/52/38/382675238.db2.gz AWBBOSLQVRECQO-MWLCHTKSSA-N 0 0 267.281 2.550 20 5 CFBDRN CCN(CCOC)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000337643802 284977608 /nfs/dbraw/zinc/97/76/08/284977608.db2.gz GOKOFFJXMDFCNP-UHFFFAOYSA-N 0 0 258.705 2.721 20 5 CFBDRN COc1ccc(OC(=O)C[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000191016191 382688643 /nfs/dbraw/zinc/68/86/43/382688643.db2.gz RNCPMBBHEJXZMV-JTQLQIEISA-N 0 0 277.276 2.865 20 5 CFBDRN CC(C)CCOCCNc1ncc([N+](=O)[O-])s1 ZINC000189685390 382635303 /nfs/dbraw/zinc/63/53/03/382635303.db2.gz VQKDEOXKHPSXCL-UHFFFAOYSA-N 0 0 259.331 2.526 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2C3CCC2CC3)c1 ZINC000399575457 382639346 /nfs/dbraw/zinc/63/93/46/382639346.db2.gz PYEAUWYOLFLQEK-UHFFFAOYSA-N 0 0 276.292 2.513 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CC[C@@H]1CCCO1 ZINC000189754312 382639576 /nfs/dbraw/zinc/63/95/76/382639576.db2.gz KPMNFNSLDCWHLA-NSHDSACASA-N 0 0 262.309 2.526 20 5 CFBDRN C[C@H]1CC(O)C[C@H](C)N1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000191176800 382694220 /nfs/dbraw/zinc/69/42/20/382694220.db2.gz OIZYFOAYNDHTDF-UWVGGRQHSA-N 0 0 298.770 2.982 20 5 CFBDRN C[C@@H]1CC(O)C[C@@H](C)N1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000191175061 382694274 /nfs/dbraw/zinc/69/42/74/382694274.db2.gz QSOMFSLRARTHIL-NXEZZACHSA-N 0 0 298.770 2.982 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCC1CC1 ZINC000191321678 382698444 /nfs/dbraw/zinc/69/84/44/382698444.db2.gz UHDMCTOBNRPGHY-UHFFFAOYSA-N 0 0 263.297 2.743 20 5 CFBDRN Cc1nnsc1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000191363036 382699136 /nfs/dbraw/zinc/69/91/36/382699136.db2.gz IEPNUZZLZLGKKY-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCS[C@H](C)CC1 ZINC000191396000 382699686 /nfs/dbraw/zinc/69/96/86/382699686.db2.gz PNOPYWGYWQQSHC-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN CC[C@H](C(=O)[O-])[N@H+](C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000191400750 382699795 /nfs/dbraw/zinc/69/97/95/382699795.db2.gz GMACOBMPAVDFDP-LLVKDONJSA-N 0 0 286.715 2.543 20 5 CFBDRN Cc1cnc(COC(=O)[C@H]2CC2(C)C)c(C)c1[N+](=O)[O-] ZINC000191404281 382699812 /nfs/dbraw/zinc/69/98/12/382699812.db2.gz YYMZSDNPYSRIGF-SNVBAGLBSA-N 0 0 278.308 2.696 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCCOC(C)C ZINC000191401548 382699865 /nfs/dbraw/zinc/69/98/65/382699865.db2.gz KRQLWQPVCRLHSB-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NC(C)(C)CC(C)(C)C)n1 ZINC000191494417 382701015 /nfs/dbraw/zinc/70/10/15/382701015.db2.gz WFHIRTWLSPAYBR-UHFFFAOYSA-N 0 0 296.371 2.756 20 5 CFBDRN CC(C)=CCNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000191790922 382702548 /nfs/dbraw/zinc/70/25/48/382702548.db2.gz KAIRZPSVCSEHMD-UHFFFAOYSA-N 0 0 262.309 2.610 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ncc(F)cc1F ZINC000192084070 382704721 /nfs/dbraw/zinc/70/47/21/382704721.db2.gz ORUOMPGXJXQPAL-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CCCN(C[C@@H]1CCCCO1)c1ncc([N+](=O)[O-])s1 ZINC000192451956 382709083 /nfs/dbraw/zinc/70/90/83/382709083.db2.gz XYKOPVDTOJTXRI-JTQLQIEISA-N 0 0 285.369 2.837 20 5 CFBDRN CC(C)[C@@H](O)C(C)(C)CNc1ncc([N+](=O)[O-])s1 ZINC000192508738 382709530 /nfs/dbraw/zinc/70/95/30/382709530.db2.gz QKTDIYANTBEGSJ-SECBINFHSA-N 0 0 273.358 2.506 20 5 CFBDRN C[C@@H](C1CC1)n1cc(Nc2ncc([N+](=O)[O-])s2)cn1 ZINC000192539229 382709966 /nfs/dbraw/zinc/70/99/66/382709966.db2.gz GJTWZRKLAFMERZ-ZETCQYMHSA-N 0 0 279.325 2.962 20 5 CFBDRN CC(C)COC1CCN(c2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000192785881 382712199 /nfs/dbraw/zinc/71/21/99/382712199.db2.gz ZOIDDDYZVCXVFM-UHFFFAOYSA-N 0 0 293.367 2.818 20 5 CFBDRN CCCN(C(=O)CCCOC)c1cccc([N+](=O)[O-])c1 ZINC000194135813 382735918 /nfs/dbraw/zinc/73/59/18/382735918.db2.gz PXLMRMVFHHSGJE-UHFFFAOYSA-N 0 0 280.324 2.764 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c(C)s1)C(C)C ZINC000193560352 382720992 /nfs/dbraw/zinc/72/09/92/382720992.db2.gz ARUDNJRIGNAIIV-UHFFFAOYSA-N 0 0 256.327 2.835 20 5 CFBDRN CSC1(C[NH2+]Cc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000193644020 382721878 /nfs/dbraw/zinc/72/18/78/382721878.db2.gz VZQRCHLWAILKJA-UHFFFAOYSA-N 0 0 266.366 2.970 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H](C)[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000193709147 382722873 /nfs/dbraw/zinc/72/28/73/382722873.db2.gz FNZKVKNDTXHMQQ-GWCFXTLKSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCOC[C@H]1C ZINC000193869257 382727897 /nfs/dbraw/zinc/72/78/97/382727897.db2.gz GCCTWRGKOJJDBM-VXGBXAGGSA-N 0 0 292.335 2.823 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCC[C@H]1C ZINC000193946441 382730185 /nfs/dbraw/zinc/73/01/85/382730185.db2.gz LYBCNNLVZFHYCN-ZYHUDNBSSA-N 0 0 291.351 2.854 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000575240566 382732517 /nfs/dbraw/zinc/73/25/17/382732517.db2.gz UGYOLSDRWQCSEZ-VHSXEESVSA-N 0 0 250.298 2.613 20 5 CFBDRN CC(C)[C@](C)(O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000336846878 382734171 /nfs/dbraw/zinc/73/41/71/382734171.db2.gz BUUVVXAKHDBMCK-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000336846274 382734346 /nfs/dbraw/zinc/73/43/46/382734346.db2.gz MDDFBRHMWZQDCA-ZYHUDNBSSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337133154 382765624 /nfs/dbraw/zinc/76/56/24/382765624.db2.gz LZANVQIECZWTNQ-PELKAZGASA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ncc([N+](=O)[O-])s2)cc1 ZINC000195508690 382768371 /nfs/dbraw/zinc/76/83/71/382768371.db2.gz XNJNRDFHVJSADN-SNVBAGLBSA-N 0 0 279.321 2.505 20 5 CFBDRN CN(CCc1ccc(F)cc1)c1ncc([N+](=O)[O-])s1 ZINC000195510638 382768720 /nfs/dbraw/zinc/76/87/20/382768720.db2.gz UYMKRMKMYGDJRH-UHFFFAOYSA-N 0 0 281.312 2.869 20 5 CFBDRN O=C(Cn1ncc2ccccc21)Nc1cccc([N+](=O)[O-])c1 ZINC000337165768 382770020 /nfs/dbraw/zinc/77/00/20/382770020.db2.gz ZAODJJPZXDUGNY-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000280032903 194675222 /nfs/dbraw/zinc/67/52/22/194675222.db2.gz WBHJAHNKYMLEPG-VIFPVBQESA-N 0 0 257.311 2.557 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])Nc1cccc(CO)c1 ZINC000212355040 382776264 /nfs/dbraw/zinc/77/62/64/382776264.db2.gz IFTACJWWHACZIE-HJWRWDBZSA-N 0 0 298.298 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@@H]3CCCOC3)ccnc21 ZINC000214729456 382777006 /nfs/dbraw/zinc/77/70/06/382777006.db2.gz ZFDQVKMBWLSJKF-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H]1C[C@@H]([NH2+]Cc2cc([N+](=O)[O-])ccc2Cl)CCO1 ZINC000219903287 382794703 /nfs/dbraw/zinc/79/47/03/382794703.db2.gz SFYGNKJUARDCCE-KOLCDFICSA-N 0 0 284.743 2.905 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000337239121 382782853 /nfs/dbraw/zinc/78/28/53/382782853.db2.gz DPZWZFDUBFDFBF-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCO1 ZINC000220210842 382795427 /nfs/dbraw/zinc/79/54/27/382795427.db2.gz WEAYEKHKVDFCMY-PSASIEDQSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cc(N[C@@H](CO)CC(C)C)c(F)cc1[N+](=O)[O-] ZINC000218855343 382791000 /nfs/dbraw/zinc/79/10/00/382791000.db2.gz BSPGIGHXWZVRKS-SECBINFHSA-N 0 0 286.303 2.561 20 5 CFBDRN CCOCCCNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000218837582 382791006 /nfs/dbraw/zinc/79/10/06/382791006.db2.gz AJNBZLFLAAXDQN-UHFFFAOYSA-N 0 0 272.276 2.581 20 5 CFBDRN Cc1cccc(N2CCC([C@H](C)O)CC2)c1[N+](=O)[O-] ZINC000218853249 382791071 /nfs/dbraw/zinc/79/10/71/382791071.db2.gz SLRLLLFCLCLIJX-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@H]2OC(F)F)ncc1[N+](=O)[O-] ZINC000336903418 382740106 /nfs/dbraw/zinc/74/01/06/382740106.db2.gz RXZBMNNKSNELSE-WCBMZHEXSA-N 0 0 287.266 2.870 20 5 CFBDRN Cc1nc(N[C@H]2CCC[C@H]2OC(F)F)ccc1[N+](=O)[O-] ZINC000336908892 382740882 /nfs/dbraw/zinc/74/08/82/382740882.db2.gz VULYHIBCSZAJLW-WCBMZHEXSA-N 0 0 287.266 2.870 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000336932970 382743510 /nfs/dbraw/zinc/74/35/10/382743510.db2.gz RAKOPMRKZRUQEZ-KOLCDFICSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccc3cc[nH]c32)s1 ZINC000336942820 382744682 /nfs/dbraw/zinc/74/46/82/382744682.db2.gz ZRLNAUOZZRRUEN-UHFFFAOYSA-N 0 0 274.305 2.567 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000194760966 382754010 /nfs/dbraw/zinc/75/40/10/382754010.db2.gz YXMRYYMIOIUNPV-PHIMTYICSA-N 0 0 274.320 2.631 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCSC1 ZINC000577231700 382852560 /nfs/dbraw/zinc/85/25/60/382852560.db2.gz PMTLJDJTEPOLBE-VIFPVBQESA-N 0 0 268.338 2.768 20 5 CFBDRN CCc1cnc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])o1 ZINC000228870983 382849313 /nfs/dbraw/zinc/84/93/13/382849313.db2.gz VBMDFMIRPXHORT-UHFFFAOYSA-N 0 0 292.251 2.666 20 5 CFBDRN COc1cccc(N[C@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000228860312 382849428 /nfs/dbraw/zinc/84/94/28/382849428.db2.gz USNFFNNBDJPKKZ-UWVGGRQHSA-N 0 0 266.297 2.583 20 5 CFBDRN CO[C@@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC[C@@H]1C ZINC000221014854 382796821 /nfs/dbraw/zinc/79/68/21/382796821.db2.gz CYNAXUQZIOGVBC-ZUZCIYMTSA-N 0 0 292.335 2.659 20 5 CFBDRN C[C@H](Cn1ccnc1)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000223214805 382804338 /nfs/dbraw/zinc/80/43/38/382804338.db2.gz QNZQVHTZRKDFAX-MRVPVSSYSA-N 0 0 282.250 2.570 20 5 CFBDRN CO[C@H](C)CNc1ccc([N+](=O)[O-])cc1Br ZINC000224330416 382810193 /nfs/dbraw/zinc/81/01/93/382810193.db2.gz YVMZGWHZKPMKEY-SSDOTTSWSA-N 0 0 289.129 2.804 20 5 CFBDRN CCC(CC)(CO)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000576119689 382811416 /nfs/dbraw/zinc/81/14/16/382811416.db2.gz OQKWWFXOLJSRCD-LLVKDONJSA-N 0 0 294.351 2.571 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@](C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000576213908 382814614 /nfs/dbraw/zinc/81/46/14/382814614.db2.gz OKAOOXPOYKKAKN-IINYFYTJSA-N 0 0 280.299 2.659 20 5 CFBDRN COc1ccc(COc2ccc([N+](=O)[O-])c(CO)c2)cc1 ZINC000337438710 382820926 /nfs/dbraw/zinc/82/09/26/382820926.db2.gz IWVAGKBWSWDYAF-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N(C1CCC1)C1CCC1 ZINC000576572079 382827458 /nfs/dbraw/zinc/82/74/58/382827458.db2.gz DCMQEMAGSZMKFY-UHFFFAOYSA-N 0 0 275.308 2.537 20 5 CFBDRN CC1(C)[C@H]([NH2+]Cc2cccc([N+](=O)[O-])c2)[C@H]2CCCO[C@H]21 ZINC000227084350 382833021 /nfs/dbraw/zinc/83/30/21/382833021.db2.gz CRJSTVHQWFZQME-RBSFLKMASA-N 0 0 290.363 2.888 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCCCO2)n1 ZINC000227315402 382835177 /nfs/dbraw/zinc/83/51/77/382835177.db2.gz BMOVDKONALVAND-NSHDSACASA-N 0 0 265.313 2.669 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000227356390 382836334 /nfs/dbraw/zinc/83/63/34/382836334.db2.gz BSENZZPUHSMNFP-MRVPVSSYSA-N 0 0 298.368 2.513 20 5 CFBDRN CS[C@H]1CCC[C@H](Nc2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000227360289 382836406 /nfs/dbraw/zinc/83/64/06/382836406.db2.gz LNNYBGRWYRWEDX-UWVGGRQHSA-N 0 0 284.385 2.723 20 5 CFBDRN CC1(CNc2ncc([N+](=O)[O-])cc2Cl)CCOCC1 ZINC000227825030 382841210 /nfs/dbraw/zinc/84/12/10/382841210.db2.gz PHEYQCUWEYKUAM-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN CC[C@H](COC)Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000227858442 382841534 /nfs/dbraw/zinc/84/15/34/382841534.db2.gz IMBYBNYHVBCTFD-MRVPVSSYSA-N 0 0 272.276 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCOCC2CC2)c(F)c1 ZINC000227890069 382842139 /nfs/dbraw/zinc/84/21/39/382842139.db2.gz BUNDUGZCYLYKJW-UHFFFAOYSA-N 0 0 272.251 2.712 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(S[C@H]2CCO[C@@H]2C)c1 ZINC000227967113 382843163 /nfs/dbraw/zinc/84/31/63/382843163.db2.gz SYSOVQQQPSHHPW-KCJUWKMLSA-N 0 0 297.332 2.651 20 5 CFBDRN C[C@@H](O)CCCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000227993891 382843340 /nfs/dbraw/zinc/84/33/40/382843340.db2.gz YIILKQKUSGGVIA-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2(SC)CC2)c1 ZINC000227991950 382843534 /nfs/dbraw/zinc/84/35/34/382843534.db2.gz CNWLRRMOGVTHKI-UHFFFAOYSA-N 0 0 268.338 2.911 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCC[C@@H]1CCO ZINC000577232304 382852950 /nfs/dbraw/zinc/85/29/50/382852950.db2.gz ZHDRBUOECCUWSI-MNOVXSKESA-N 0 0 280.324 2.566 20 5 CFBDRN C[C@H](NC(=O)NC1(C(F)F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000337692581 382855783 /nfs/dbraw/zinc/85/57/83/382855783.db2.gz VWHFZMSXKLVFNE-QMMMGPOBSA-N 0 0 299.277 2.753 20 5 CFBDRN C[C@H](CO)CCCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000230146962 382856336 /nfs/dbraw/zinc/85/63/36/382856336.db2.gz MXUUYCNCGWGATN-QMMMGPOBSA-N 0 0 274.267 2.694 20 5 CFBDRN Cc1cc(NCCC[C@@H](C)CO)ccc1[N+](=O)[O-] ZINC000230146881 382856343 /nfs/dbraw/zinc/85/63/43/382856343.db2.gz YGLWRGFMUCNNEH-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000230185647 382857164 /nfs/dbraw/zinc/85/71/64/382857164.db2.gz CHYVIFBSVADWFA-UWVGGRQHSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1cnc(N[C@H](C)C2CCOCC2)c([N+](=O)[O-])c1 ZINC000230217704 382857591 /nfs/dbraw/zinc/85/75/91/382857591.db2.gz RLJIVKNOFMZULU-SNVBAGLBSA-N 0 0 265.313 2.525 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337765482 382863207 /nfs/dbraw/zinc/86/32/07/382863207.db2.gz SHOYIXQUCFJJFA-NXEZZACHSA-N 0 0 282.365 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCOCC(F)F)ccc2c1 ZINC000231679279 382866394 /nfs/dbraw/zinc/86/63/94/382866394.db2.gz MWEPAKCFZDLGKK-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCCCn1ccnc1 ZINC000577650363 382866860 /nfs/dbraw/zinc/86/68/60/382866860.db2.gz OUSBVTOHWHMOQI-UHFFFAOYSA-N 0 0 290.323 2.692 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1)c1ccncc1F ZINC000337941991 382875582 /nfs/dbraw/zinc/87/55/82/382875582.db2.gz IICMEYVALDEPAK-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN CC[C@H](C)CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000421586178 382876915 /nfs/dbraw/zinc/87/69/15/382876915.db2.gz DVRVBMQLICQRAO-VHSXEESVSA-N 0 0 282.315 3.000 20 5 CFBDRN Cc1cccc(N[C@H](C)Cn2ccnc2)c1[N+](=O)[O-] ZINC000235457400 382882140 /nfs/dbraw/zinc/88/21/40/382882140.db2.gz SHOSNXWUKRXWFQ-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN C[C@H](C1CCCCC1)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000337969302 382882806 /nfs/dbraw/zinc/88/28/06/382882806.db2.gz JTHHWILOEACWRD-SNVBAGLBSA-N 0 0 279.340 2.964 20 5 CFBDRN CNc1nc(C(=O)N(CC(C)C)C(C)C)ccc1[N+](=O)[O-] ZINC000455252589 382885381 /nfs/dbraw/zinc/88/53/81/382885381.db2.gz NDIACHRABOFPTA-UHFFFAOYSA-N 0 0 294.355 2.538 20 5 CFBDRN CC(C)CC[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000533184584 382885391 /nfs/dbraw/zinc/88/53/91/382885391.db2.gz WDAKZUXOYPUJOY-GFCCVEGCSA-N 0 0 293.367 2.974 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSc2cccc(F)c2)n1 ZINC000440836444 382886376 /nfs/dbraw/zinc/88/63/76/382886376.db2.gz GBRZWPMWPXBGQL-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/c1ccn(C)c1 ZINC000493729423 382887568 /nfs/dbraw/zinc/88/75/68/382887568.db2.gz ULLVFVPFGKJFCP-GQCTYLIASA-N 0 0 285.303 2.894 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N[C@H]1CCC[C@H]1F ZINC000493735503 382887900 /nfs/dbraw/zinc/88/79/00/382887900.db2.gz BWTWHALBIXSONN-OXTPZFROSA-N 0 0 296.273 2.754 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCC1CC1 ZINC000236142130 382892996 /nfs/dbraw/zinc/89/29/96/382892996.db2.gz NXYRWWKYALUSCC-UHFFFAOYSA-N 0 0 261.203 2.831 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CC[C@H](O)C2)ccc2ncccc21 ZINC000236566352 382894870 /nfs/dbraw/zinc/89/48/70/382894870.db2.gz IAZQDLCJZBBLCL-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)SCC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000533862441 382911675 /nfs/dbraw/zinc/91/16/75/382911675.db2.gz LSKHBEGLMXFHMK-UHFFFAOYSA-N 0 0 294.376 2.621 20 5 CFBDRN COCC(C)(C)CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000455338397 382898856 /nfs/dbraw/zinc/89/88/56/382898856.db2.gz SIXWZNUWHQEWMR-UHFFFAOYSA-N 0 0 299.302 2.528 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@]1(C)CCCO1 ZINC000578206222 382901784 /nfs/dbraw/zinc/90/17/84/382901784.db2.gz LUKMPFNBSORBJV-ZDUSSCGKSA-N 0 0 266.297 2.584 20 5 CFBDRN CC(C)C(C)(C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000578689453 382944349 /nfs/dbraw/zinc/94/43/49/382944349.db2.gz RVYSNJWMHDKALT-UHFFFAOYSA-N 0 0 264.325 2.688 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000535054805 382947819 /nfs/dbraw/zinc/94/78/19/382947819.db2.gz QTQURMZTOBXYFM-ZSBIGDGJSA-N 0 0 292.335 2.589 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2ccncn2)no1 ZINC000338194434 382949477 /nfs/dbraw/zinc/94/94/77/382949477.db2.gz XHBHPZGVDYVNLW-AATRIKPKSA-N 0 0 295.258 2.605 20 5 CFBDRN CCCCOCCNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421749237 382913842 /nfs/dbraw/zinc/91/38/42/382913842.db2.gz PKRCFUPRNYBBPS-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@@H]1CF)c1cccc([N+](=O)[O-])c1 ZINC000578392304 382917413 /nfs/dbraw/zinc/91/74/13/382917413.db2.gz SGOVPOWEFNASFV-GXFFZTMASA-N 0 0 280.299 2.659 20 5 CFBDRN CCC(C)(C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000441587676 382920511 /nfs/dbraw/zinc/92/05/11/382920511.db2.gz ICIIWXKYHDVTKP-LLVKDONJSA-N 0 0 279.340 2.964 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C)[C@@H]1C ZINC000280276975 194777592 /nfs/dbraw/zinc/77/75/92/194777592.db2.gz DIDNVPILFQQENG-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@]1(C)CCCOC1 ZINC000421795542 382926711 /nfs/dbraw/zinc/92/67/11/382926711.db2.gz IVWFYOROGSGNTR-HNNXBMFYSA-N 0 0 292.335 2.511 20 5 CFBDRN CCC1(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)CC1 ZINC000338141018 382932021 /nfs/dbraw/zinc/93/20/21/382932021.db2.gz UTIYEMKTAWUWPL-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@@H]1CC1(C)C ZINC000338143222 382933362 /nfs/dbraw/zinc/93/33/62/382933362.db2.gz LZXWULGTZAQHOC-ZDUSSCGKSA-N 0 0 276.336 2.642 20 5 CFBDRN Cn1c(C(=O)Nc2cncc3ccccc32)ccc1[N+](=O)[O-] ZINC000534751726 382936133 /nfs/dbraw/zinc/93/61/33/382936133.db2.gz ZKQKNTJEYWYDGJ-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN COC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 ZINC000444369290 382973510 /nfs/dbraw/zinc/97/35/10/382973510.db2.gz QQBONIRRXDJBTA-UHFFFAOYSA-N 0 0 289.237 2.746 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](OC)C(C)(C)C1 ZINC000579004404 382974722 /nfs/dbraw/zinc/97/47/22/382974722.db2.gz IYTGXJYQYJBAGV-CYBMUJFWSA-N 0 0 294.351 2.855 20 5 CFBDRN Cn1c(C(=O)NCC(C)(C)C2=CCCC2)ccc1[N+](=O)[O-] ZINC000457170215 382977552 /nfs/dbraw/zinc/97/75/52/382977552.db2.gz XLGUOWSBQKHEHC-UHFFFAOYSA-N 0 0 291.351 2.800 20 5 CFBDRN O=C(N[C@H](C1CC1)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000457216976 382993698 /nfs/dbraw/zinc/99/36/98/382993698.db2.gz GOKGPLLFXIRBLE-AWEZNQCLSA-N 0 0 274.320 2.903 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H](C1CC1)C1CCC1 ZINC000457228714 382998033 /nfs/dbraw/zinc/99/80/33/382998033.db2.gz XYBSLKWWTXANGQ-MRXNPFEDSA-N 0 0 288.347 2.832 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@]1(C)CCCC[C@H]1C ZINC000457253236 383003941 /nfs/dbraw/zinc/00/39/41/383003941.db2.gz KIDCEEWWAYNNSV-MEBBXXQBSA-N 0 0 291.351 2.997 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@]1(C)CCCC[C@@H]1C ZINC000457253238 383003953 /nfs/dbraw/zinc/00/39/53/383003953.db2.gz KIDCEEWWAYNNSV-ZUZCIYMTSA-N 0 0 291.351 2.997 20 5 CFBDRN CCC(CC)(NCc1c(F)cccc1[N+](=O)[O-])C(=O)OC ZINC000338362880 383004326 /nfs/dbraw/zinc/00/43/26/383004326.db2.gz QINDWRHTPHTAAB-UHFFFAOYSA-N 0 0 298.314 2.555 20 5 CFBDRN CCC1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000457266328 383006308 /nfs/dbraw/zinc/00/63/08/383006308.db2.gz AIYXCNGRTYQNGY-UHFFFAOYSA-N 0 0 294.326 2.880 20 5 CFBDRN Cc1cccnc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000495159003 382965574 /nfs/dbraw/zinc/96/55/74/382965574.db2.gz NZYDZLUOWXGEMF-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CC(=O)c1cc(NCC[C@H](C)F)ccc1[N+](=O)[O-] ZINC000338757638 383041461 /nfs/dbraw/zinc/04/14/61/383041461.db2.gz VJMBAXYLMDAYCQ-QMMMGPOBSA-N 0 0 254.261 2.957 20 5 CFBDRN Cc1cc(C)n(CCSc2ccc([N+](=O)[O-])cn2)n1 ZINC000448047895 383042721 /nfs/dbraw/zinc/04/27/21/383042721.db2.gz GLFWQVAPPPPELF-UHFFFAOYSA-N 0 0 278.337 2.595 20 5 CFBDRN CC(C)(NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000246495405 383078826 /nfs/dbraw/zinc/07/88/26/383078826.db2.gz MRJJTEYDPQCGJZ-WDNDVIMCSA-N 0 0 288.347 2.992 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)ccn1 ZINC000448137981 383046225 /nfs/dbraw/zinc/04/62/25/383046225.db2.gz GUBLXDCWSYWYJF-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ncn(-c3ccccc3)n2)cc1 ZINC000338824631 383061292 /nfs/dbraw/zinc/06/12/92/383061292.db2.gz LLMCJFCACCQYDD-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CCc1cnc(CN(C)CCc2ccccc2[N+](=O)[O-])o1 ZINC000551995216 383061806 /nfs/dbraw/zinc/06/18/06/383061806.db2.gz SVLDKWOXRMSHDY-UHFFFAOYSA-N 0 0 289.335 2.820 20 5 CFBDRN Cc1c(CC(=O)N2CC(C(C)(C)C)C2)cccc1[N+](=O)[O-] ZINC000457339498 383026972 /nfs/dbraw/zinc/02/69/72/383026972.db2.gz HQMQBGDWPSXVML-UHFFFAOYSA-N 0 0 290.363 2.950 20 5 CFBDRN CC[C@H](O)CCCNc1cc(OC)ccc1[N+](=O)[O-] ZINC000338674538 383027448 /nfs/dbraw/zinc/02/74/48/383027448.db2.gz KLPKUFIOYROREI-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000592003703 383122035 /nfs/dbraw/zinc/12/20/35/383122035.db2.gz OQRWGFCOIYAGCC-IWSPIJDZSA-N 0 0 265.288 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)C2CCC2)c2ccncc21 ZINC000596324953 383125814 /nfs/dbraw/zinc/12/58/14/383125814.db2.gz NDFWLOJQQAHXEF-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000596435573 383126296 /nfs/dbraw/zinc/12/62/96/383126296.db2.gz BEOHVSHNWMYGFA-KYZUINATSA-N 0 0 284.287 2.722 20 5 CFBDRN C[C@H](CO)[C@H]1CCCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000596435883 383126515 /nfs/dbraw/zinc/12/65/15/383126515.db2.gz OYQVGKRTWGTULZ-BXKDBHETSA-N 0 0 299.758 2.631 20 5 CFBDRN COC(=O)CS[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000554365116 383131994 /nfs/dbraw/zinc/13/19/94/383131994.db2.gz KWCKOUMSNHDUCU-VIFPVBQESA-N 0 0 269.322 2.870 20 5 CFBDRN CCC1(c2ccccc2)CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000458215838 383134212 /nfs/dbraw/zinc/13/42/12/383134212.db2.gz CAFRUFYJFRFHGD-UHFFFAOYSA-N 0 0 299.330 2.727 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nc(-c3ccncn3)no2)cc1 ZINC000339065266 383136856 /nfs/dbraw/zinc/13/68/56/383136856.db2.gz DROASKGIQLRHGV-UTCJRWHESA-N 0 0 295.258 2.605 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C/c2nc(-c3ccncn3)no2)c1 ZINC000339066155 383137359 /nfs/dbraw/zinc/13/73/59/383137359.db2.gz ICDMSSCRYHPDFK-SNAWJCMRSA-N 0 0 295.258 2.605 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2cncs2)cc([N+](=O)[O-])c1 ZINC000338907804 383081925 /nfs/dbraw/zinc/08/19/25/383081925.db2.gz UOYHRPWJRMGXOX-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1cncs1 ZINC000338919062 383086641 /nfs/dbraw/zinc/08/66/41/383086641.db2.gz MYZCKSCGJGNCOA-SSDOTTSWSA-N 0 0 295.295 2.681 20 5 CFBDRN CN(C(=O)CCc1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000338937982 383090787 /nfs/dbraw/zinc/09/07/87/383090787.db2.gz JKNYHVOMHMDFCM-UHFFFAOYSA-N 0 0 291.332 2.647 20 5 CFBDRN CC[C@@H](C)[C@H](C)[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000339083976 383144030 /nfs/dbraw/zinc/14/40/30/383144030.db2.gz OOKHTZCYMHMVSA-ZJUUUORDSA-N 0 0 252.314 2.825 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1[C@@H](C)C[C@@H]1C ZINC000553232119 383103258 /nfs/dbraw/zinc/10/32/58/383103258.db2.gz TWKCXXCVNUGLGU-UWVGGRQHSA-N 0 0 278.308 2.677 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000553264936 383104203 /nfs/dbraw/zinc/10/42/03/383104203.db2.gz VPRPYALYZRYGJS-AOOOYVTPSA-N 0 0 270.716 2.862 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000458261003 383144903 /nfs/dbraw/zinc/14/49/03/383144903.db2.gz QFZLBOAVFKRYLM-HZSPNIEDSA-N 0 0 288.347 2.751 20 5 CFBDRN CC1=C[C@@H](C)C[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000339157525 383170970 /nfs/dbraw/zinc/17/09/70/383170970.db2.gz ZVGGUEPZTZKKQT-SNVBAGLBSA-N 0 0 262.309 2.698 20 5 CFBDRN CC(C)Oc1nc(N2CCCCCO2)ccc1[N+](=O)[O-] ZINC000517588847 383186664 /nfs/dbraw/zinc/18/66/64/383186664.db2.gz TWKIWAACNQJUSG-UHFFFAOYSA-N 0 0 281.312 2.699 20 5 CFBDRN COc1cc(C)nc(Oc2cccc(C)c2[N+](=O)[O-])n1 ZINC000339291923 383189283 /nfs/dbraw/zinc/18/92/83/383189283.db2.gz WXEJEGWAWXAMPR-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN COc1ccc(OC[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000518474816 383198773 /nfs/dbraw/zinc/19/87/73/383198773.db2.gz AKNQLPTVIBOQSS-QWRGUYRKSA-N 0 0 281.308 2.797 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@@H]2C2CCC2)cs1 ZINC000566676700 383200204 /nfs/dbraw/zinc/20/02/04/383200204.db2.gz BCMOEMFHBNXCPG-GFCCVEGCSA-N 0 0 282.365 2.657 20 5 CFBDRN Cc1ncc2c(n1)CCN([C@H](C)c1cccc([N+](=O)[O-])c1)C2 ZINC000518555882 383203479 /nfs/dbraw/zinc/20/34/79/383203479.db2.gz WHBZULPHLMPTLN-LLVKDONJSA-N 0 0 298.346 2.813 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000518833611 383209771 /nfs/dbraw/zinc/20/97/71/383209771.db2.gz HRZSHQAKGXBRKF-GFCCVEGCSA-N 0 0 294.351 2.614 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)Cc1ccncc1F ZINC000449309894 383211641 /nfs/dbraw/zinc/21/16/41/383211641.db2.gz FTJLXTJWMGZAEJ-UHFFFAOYSA-N 0 0 289.310 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccc3n[nH]cc3c2)nc1 ZINC000247331758 383163536 /nfs/dbraw/zinc/16/35/36/383163536.db2.gz PBTWRKMMDOYOED-UHFFFAOYSA-N 0 0 255.237 2.610 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C)(C)CC1 ZINC000339149266 383168018 /nfs/dbraw/zinc/16/80/18/383168018.db2.gz NTARETUHXRPMGW-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC[C@@H](F)C1 ZINC000449390215 383258371 /nfs/dbraw/zinc/25/83/71/383258371.db2.gz VJCUBKQSIAIKJI-LLVKDONJSA-N 0 0 268.288 2.537 20 5 CFBDRN CCC[C@@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000248244955 383220770 /nfs/dbraw/zinc/22/07/70/383220770.db2.gz GOKYZKMMDZLHCG-SNVBAGLBSA-N 0 0 262.309 2.920 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000458717383 383236025 /nfs/dbraw/zinc/23/60/25/383236025.db2.gz KBIXYTYWNJZMPP-WTDIUWLJSA-N 0 0 289.335 2.754 20 5 CFBDRN CC(C)[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000339820881 383330611 /nfs/dbraw/zinc/33/06/11/383330611.db2.gz NWWTZGYRLCBHNF-OAHLLOKOSA-N 0 0 296.342 2.981 20 5 CFBDRN CCO[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@@H]1C ZINC000449494442 383331344 /nfs/dbraw/zinc/33/13/44/383331344.db2.gz NBCBGCYHGRLHFT-CMPLNLGQSA-N 0 0 284.381 2.903 20 5 CFBDRN O=C(NCC12CCC(CC1)C2)c1ccc([N+](=O)[O-])s1 ZINC000339852911 383339737 /nfs/dbraw/zinc/33/97/37/383339737.db2.gz BQTZVCVNHAOIFA-UHFFFAOYSA-N 0 0 280.349 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@@H](CC2CC2)C1 ZINC000339679845 383286449 /nfs/dbraw/zinc/28/64/49/383286449.db2.gz NEZWUCOQQCCOPL-LBPRGKRZSA-N 0 0 276.336 2.922 20 5 CFBDRN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000248843447 383296503 /nfs/dbraw/zinc/29/65/03/383296503.db2.gz QSOMFSLRARTHIL-DDFAGTSDSA-N 0 0 298.770 2.982 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000424979464 383303604 /nfs/dbraw/zinc/30/36/04/383303604.db2.gz JNJUVOVODCXIKB-QWHCGFSZSA-N 0 0 260.293 2.513 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC23CC3)c1 ZINC000424988086 383305517 /nfs/dbraw/zinc/30/55/17/383305517.db2.gz OUEQFIJQHWTJNJ-NSHDSACASA-N 0 0 278.333 2.599 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])nc2)C12CCC2 ZINC000450396887 383393468 /nfs/dbraw/zinc/39/34/68/383393468.db2.gz WXHBWJRNAXIEHJ-OLZOCXBDSA-N 0 0 291.351 2.774 20 5 CFBDRN CCc1nocc1COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000568413998 383401636 /nfs/dbraw/zinc/40/16/36/383401636.db2.gz XMSGKGVWTWQGDO-UHFFFAOYSA-N 0 0 290.275 2.927 20 5 CFBDRN CCn1cc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000568466547 383404451 /nfs/dbraw/zinc/40/44/51/383404451.db2.gz UKBRYIDIEZQDPB-SNVBAGLBSA-N 0 0 288.307 2.553 20 5 CFBDRN CCc1cnc(COc2cc([N+](=O)[O-])ccc2OC)o1 ZINC000525700249 383404833 /nfs/dbraw/zinc/40/48/33/383404833.db2.gz MDQPDZYLVMTGSW-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN O=C(Nc1cc(F)ccc1O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000340329709 383413136 /nfs/dbraw/zinc/41/31/36/383413136.db2.gz KQBCNRFKRZRGFE-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN Cc1cc(Cl)cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1O ZINC000340326643 383413266 /nfs/dbraw/zinc/41/32/66/383413266.db2.gz BVWCDGIUPZWTKB-UHFFFAOYSA-N 0 0 295.682 2.843 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000425298191 383361935 /nfs/dbraw/zinc/36/19/35/383361935.db2.gz JBLQTAWHPFEOOT-PEGIJTEDSA-N 0 0 262.309 2.952 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2sccc2C1 ZINC000340156408 383363923 /nfs/dbraw/zinc/36/39/23/383363923.db2.gz DUJRDZMVMIMDNS-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc2ncsc2c1 ZINC000340153811 383364249 /nfs/dbraw/zinc/36/42/49/383364249.db2.gz WVFMXRVHYHUZMH-UHFFFAOYSA-N 0 0 272.289 2.765 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCCN(c2ccccc2)CC1 ZINC000340154525 383364312 /nfs/dbraw/zinc/36/43/12/383364312.db2.gz GHJGENCGCOMDJQ-UHFFFAOYSA-N 0 0 298.346 2.707 20 5 CFBDRN CS[C@@H](CNc1ncc([N+](=O)[O-])c(C)n1)C(C)(C)C ZINC000450443452 383413804 /nfs/dbraw/zinc/41/38/04/383413804.db2.gz VMSJGZAARNKZMF-JTQLQIEISA-N 0 0 284.385 2.883 20 5 CFBDRN COc1ccc(CC(=O)NC2(C)CCCC2)cc1[N+](=O)[O-] ZINC000524312686 383370404 /nfs/dbraw/zinc/37/04/04/383370404.db2.gz CIXDVBNBLXACGR-UHFFFAOYSA-N 0 0 292.335 2.595 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000450471486 383425347 /nfs/dbraw/zinc/42/53/47/383425347.db2.gz VZTDQKHEZSCDBU-JQWIXIFHSA-N 0 0 292.335 2.911 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@H]1CC[C@H](O)C1 ZINC000450477401 383427831 /nfs/dbraw/zinc/42/78/31/383427831.db2.gz WMJZEINWNYRGIS-QWRGUYRKSA-N 0 0 287.319 2.716 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])nc1)[C@H]1CC[C@H](C)C1 ZINC000450478946 383428856 /nfs/dbraw/zinc/42/88/56/383428856.db2.gz KHMZOQYAAGALBJ-RYUDHWBXSA-N 0 0 279.340 2.631 20 5 CFBDRN CS[C@@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000450676570 383481508 /nfs/dbraw/zinc/48/15/08/383481508.db2.gz FFBMLCPZBLFWTD-SNVBAGLBSA-N 0 0 267.354 2.548 20 5 CFBDRN CC(C)(C)N1CC[C@@H](Nc2ccc(F)cc2[N+](=O)[O-])C1=O ZINC000568861027 383443985 /nfs/dbraw/zinc/44/39/85/383443985.db2.gz UOVDCSLLPCNIGP-LLVKDONJSA-N 0 0 295.314 2.545 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@H](F)C1 ZINC000568868094 383444131 /nfs/dbraw/zinc/44/41/31/383444131.db2.gz XGLDOGZLWGHWLY-UWVGGRQHSA-N 0 0 296.298 2.614 20 5 CFBDRN C[C@@H](C(=O)NCC1(C(F)F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000527071459 383448775 /nfs/dbraw/zinc/44/87/75/383448775.db2.gz KLZNAXZIOGGVAX-SECBINFHSA-N 0 0 298.289 2.860 20 5 CFBDRN Cc1c(NC(=O)CC(C)(F)F)cccc1[N+](=O)[O-] ZINC000428316614 383448829 /nfs/dbraw/zinc/44/88/29/383448829.db2.gz DFSOHUGLQAWZRR-UHFFFAOYSA-N 0 0 258.224 2.887 20 5 CFBDRN CC[C@@H](CNc1nccc2c1cccc2[N+](=O)[O-])OC ZINC000450538764 383452156 /nfs/dbraw/zinc/45/21/56/383452156.db2.gz IKBHXRUIRGYKAI-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])nc(C)n1CC)C(F)F ZINC000450559194 383461364 /nfs/dbraw/zinc/46/13/64/383461364.db2.gz GJLSJCBXPXWPJS-ZETCQYMHSA-N 0 0 262.260 2.575 20 5 CFBDRN CN(C(=O)[C@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])nc1 ZINC000569086220 383465950 /nfs/dbraw/zinc/46/59/50/383465950.db2.gz DXQPNUUQFUYWJO-XIVSLSHWSA-N 0 0 289.335 2.779 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000486466823 383472349 /nfs/dbraw/zinc/47/23/49/383472349.db2.gz KTIUHFMNYCETMZ-MBTKJCJQSA-N 0 0 298.140 2.963 20 5 CFBDRN CC[C@H](COCC(F)(F)F)Nc1ncc([N+](=O)[O-])s1 ZINC000450597743 383475379 /nfs/dbraw/zinc/47/53/79/383475379.db2.gz ZPJUFZDSPQTCCH-ZCFIWIBFSA-N 0 0 299.274 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](F)C2)cc1OC(F)F ZINC000450603218 383476542 /nfs/dbraw/zinc/47/65/42/383476542.db2.gz GHLZSIMEUMSXDJ-ZETCQYMHSA-N 0 0 276.214 2.744 20 5 CFBDRN COc1ncccc1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000340584845 383478587 /nfs/dbraw/zinc/47/85/87/383478587.db2.gz ZSXACJIPZIINKS-UHFFFAOYSA-N 0 0 298.254 2.976 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(Cc2cccnc2)no1 ZINC000340652094 383489979 /nfs/dbraw/zinc/48/99/79/383489979.db2.gz OPQSIYQMAHEXIP-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1c(Nc2ccccc2[N+](=O)[O-])cnn1[C@@H]1CCOC1 ZINC000450795435 383494120 /nfs/dbraw/zinc/49/41/20/383494120.db2.gz OVDGTUDZRWHMPX-LLVKDONJSA-N 0 0 288.307 2.805 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)C[C@H](C)[C@H]1C ZINC000340910850 383500944 /nfs/dbraw/zinc/50/09/44/383500944.db2.gz SXNHULKVDDCZDL-OUAUKWLOSA-N 0 0 280.372 2.990 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000450816614 383501527 /nfs/dbraw/zinc/50/15/27/383501527.db2.gz WJYCPOSZSFDSGF-IJLUTSLNSA-N 0 0 294.326 2.721 20 5 CFBDRN CCn1ccnc1[C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000450831003 383510673 /nfs/dbraw/zinc/51/06/73/383510673.db2.gz PAXPBRZGORCOQI-JTQLQIEISA-N 0 0 275.312 2.688 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@@H](C)n3ccnc32)n1 ZINC000450831754 383510847 /nfs/dbraw/zinc/51/08/47/383510847.db2.gz QAVJJDZCORRGKH-GHMZBOCLSA-N 0 0 287.323 2.921 20 5 CFBDRN CCn1ccnc1[C@H](C)Nc1ncc([N+](=O)[O-])cc1C ZINC000450835161 383513207 /nfs/dbraw/zinc/51/32/07/383513207.db2.gz WLMXIXRYIMYINA-JTQLQIEISA-N 0 0 275.312 2.688 20 5 CFBDRN CCn1ccnc1[C@H](C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000450835306 383513402 /nfs/dbraw/zinc/51/34/02/383513402.db2.gz WVPKBMSBZXLEJT-JTQLQIEISA-N 0 0 275.312 2.688 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCCC[C@H]2O)n1 ZINC000450835764 383513669 /nfs/dbraw/zinc/51/36/69/383513669.db2.gz HJNAHZYJJVBYPJ-NWDGAFQWSA-N 0 0 279.340 2.570 20 5 CFBDRN CCC1(CNc2cc(OC)c([N+](=O)[O-])cc2F)COC1 ZINC000450844610 383518737 /nfs/dbraw/zinc/51/87/37/383518737.db2.gz LMZPWBJLIYNNDK-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN CCn1nccc1CN(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000429328678 383519849 /nfs/dbraw/zinc/51/98/49/383519849.db2.gz DVGYBGRNAPILAT-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN CCOC[C@H](C)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000429332289 383520238 /nfs/dbraw/zinc/52/02/38/383520238.db2.gz WQYSYUWVCWVWHD-JTQLQIEISA-N 0 0 295.339 2.758 20 5 CFBDRN CCCCN(C)c1cc(C)c([N+](=O)[O-])cc1C(=O)OC ZINC000429349193 383521721 /nfs/dbraw/zinc/52/17/21/383521721.db2.gz ZWBUUONXWNQPKD-UHFFFAOYSA-N 0 0 280.324 2.926 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC(C)CC1 ZINC000429341400 383521744 /nfs/dbraw/zinc/52/17/44/383521744.db2.gz NHKPFFIWMAAFJM-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN CO[C@H]([C@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000450859187 383525013 /nfs/dbraw/zinc/52/50/13/383525013.db2.gz MOUYBZYHTCWPAB-GXFFZTMASA-N 0 0 279.340 2.832 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCC[C@H]2CCOC2)c1 ZINC000450888360 383536765 /nfs/dbraw/zinc/53/67/65/383536765.db2.gz LSQWPODSKXLSNT-SECBINFHSA-N 0 0 255.245 2.539 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCC[C@@H]1CCOC1 ZINC000450891197 383538674 /nfs/dbraw/zinc/53/86/74/383538674.db2.gz YRSLAXYDOSXPAO-GFCCVEGCSA-N 0 0 294.351 2.970 20 5 CFBDRN Cc1nc([C@@H](C)Sc2ccc([N+](=O)[O-])cn2)no1 ZINC000450895660 383540349 /nfs/dbraw/zinc/54/03/49/383540349.db2.gz YHPLKOQSADJDFZ-ZCFIWIBFSA-N 0 0 266.282 2.535 20 5 CFBDRN Cc1ccc(OCC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000450902589 383543542 /nfs/dbraw/zinc/54/35/42/383543542.db2.gz YLKNLGBWLCAGDS-LLVKDONJSA-N 0 0 251.282 2.709 20 5 CFBDRN O=[N+]([O-])c1ccc(NS(=O)(=O)CCC2CCCC2)cc1 ZINC000450986821 383577897 /nfs/dbraw/zinc/57/78/97/383577897.db2.gz RQCCHOWHSPGFEJ-UHFFFAOYSA-N 0 0 298.364 2.917 20 5 CFBDRN CCOc1cc(N2CC[C@H]2CC)ccc1[N+](=O)[O-] ZINC000489048453 383593182 /nfs/dbraw/zinc/59/31/82/383593182.db2.gz UIFAXIVCYMAASE-SNVBAGLBSA-N 0 0 250.298 2.982 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Cn1cc([N+](=O)[O-])cc(F)c1=O ZINC000570529685 383612267 /nfs/dbraw/zinc/61/22/67/383612267.db2.gz XYSJRKSCAKTHAL-VHSXEESVSA-N 0 0 268.288 2.722 20 5 CFBDRN Cc1cc(NC[C@H]2C[C@H]2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000489161078 383598149 /nfs/dbraw/zinc/59/81/49/383598149.db2.gz HSCVCVDIKRFQQO-ZWNOBZJWSA-N 0 0 298.346 2.850 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)Cc1ccccn1 ZINC000451364409 383598494 /nfs/dbraw/zinc/59/84/94/383598494.db2.gz CWHFKCQREFBQOU-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1C[C@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000430884316 383608073 /nfs/dbraw/zinc/60/80/73/383608073.db2.gz HGLNYHKBTATVKL-JIMOISOXSA-N 0 0 289.335 2.754 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C[C@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000430884317 383608710 /nfs/dbraw/zinc/60/87/10/383608710.db2.gz HGLNYHKBTATVKL-TVYUQYBPSA-N 0 0 289.335 2.754 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCSC3(CCC3)CC2)c(F)c1 ZINC000569938172 383554090 /nfs/dbraw/zinc/55/40/90/383554090.db2.gz UQSSPECCFABSPJ-UHFFFAOYSA-N 0 0 297.355 2.995 20 5 CFBDRN CN(CCN(C)c1ccncc1[N+](=O)[O-])c1ccccc1 ZINC000341088989 383561029 /nfs/dbraw/zinc/56/10/29/383561029.db2.gz AKACSEMKHUHNKK-UHFFFAOYSA-N 0 0 286.335 2.562 20 5 CFBDRN Cc1nn(C)c(N2CC[C@H](CC(C)(C)C)C2)c1[N+](=O)[O-] ZINC000450946888 383563712 /nfs/dbraw/zinc/56/37/12/383563712.db2.gz MUCPLRPXKPTTNE-LLVKDONJSA-N 0 0 280.372 2.899 20 5 CFBDRN CC1CC(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])C1 ZINC000489360403 383610716 /nfs/dbraw/zinc/61/07/16/383610716.db2.gz ISTNXMTXFIKNQC-UHFFFAOYSA-N 0 0 291.351 2.928 20 5 CFBDRN CCc1nn(C)cc1N[C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000570059260 383566832 /nfs/dbraw/zinc/56/68/32/383566832.db2.gz KHRRFHRMAJDMIZ-ZDUSSCGKSA-N 0 0 286.335 2.990 20 5 CFBDRN CCc1nn(C)cc1N[C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000570059259 383567548 /nfs/dbraw/zinc/56/75/48/383567548.db2.gz KHRRFHRMAJDMIZ-CYBMUJFWSA-N 0 0 286.335 2.990 20 5 CFBDRN CC1=C(C)CN(CC(=O)Nc2ccccc2[N+](=O)[O-])CC1 ZINC000280852123 195001865 /nfs/dbraw/zinc/00/18/65/195001865.db2.gz YCYXFWMDXZGTSS-UHFFFAOYSA-N 0 0 289.335 2.575 20 5 CFBDRN CC[C@H](C)CCC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000570066166 383568364 /nfs/dbraw/zinc/56/83/64/383568364.db2.gz PXVNTHVVEBOMLO-LBPRGKRZSA-N 0 0 294.351 2.916 20 5 CFBDRN COCC[C@H](c1ccccc1)n1cc([N+](=O)[O-])c(C)n1 ZINC000450959500 383568630 /nfs/dbraw/zinc/56/86/30/383568630.db2.gz MYRZPABNBJWQAD-CYBMUJFWSA-N 0 0 275.308 2.726 20 5 CFBDRN Cc1nn(C2CC(OC(C)(C)C)C2)cc1[N+](=O)[O-] ZINC000450963897 383570733 /nfs/dbraw/zinc/57/07/33/383570733.db2.gz VDGAXRKKLXKNOP-UHFFFAOYSA-N 0 0 253.302 2.618 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000431170817 383637105 /nfs/dbraw/zinc/63/71/05/383637105.db2.gz XCFNFSDVBCPIEL-CWONEXCFSA-N 0 0 292.310 2.752 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000431185715 383638436 /nfs/dbraw/zinc/63/84/36/383638436.db2.gz AFTIUEIHXWYHPM-WCQYABFASA-N 0 0 275.308 2.823 20 5 CFBDRN CO[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1(C)C ZINC000451550303 383643566 /nfs/dbraw/zinc/64/35/66/383643566.db2.gz IKUVXMSQJIJYNZ-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN CCOC[C@H](C)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000489972417 383645668 /nfs/dbraw/zinc/64/56/68/383645668.db2.gz NFFQXUFLTAQGKH-VIFPVBQESA-N 0 0 266.297 2.634 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000263137429 383655388 /nfs/dbraw/zinc/65/53/88/383655388.db2.gz DHWDHZGAKHXHQC-WOPDTQHZSA-N 0 0 292.335 2.698 20 5 CFBDRN CC(C)(C)c1nnc(CSc2ncccc2[N+](=O)[O-])[nH]1 ZINC000430982492 383619165 /nfs/dbraw/zinc/61/91/65/383619165.db2.gz RGELUPRQCXUVCE-UHFFFAOYSA-N 0 0 293.352 2.698 20 5 CFBDRN CCOC(=O)CCCCOc1cc(OC)ccc1[N+](=O)[O-] ZINC000570800236 383636148 /nfs/dbraw/zinc/63/61/48/383636148.db2.gz IODZTVWJCCGVDC-UHFFFAOYSA-N 0 0 297.307 2.716 20 5 CFBDRN O=C(NCc1noc2ccccc12)c1ccc([N+](=O)[O-])cc1 ZINC000571224212 383675274 /nfs/dbraw/zinc/67/52/74/383675274.db2.gz CZXYLPKNNJWAFV-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN CC[C@@H](NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])C(C)(C)C ZINC000433755024 383764157 /nfs/dbraw/zinc/76/41/57/383764157.db2.gz BALJIOMAXAOZGB-SECBINFHSA-N 0 0 296.371 2.996 20 5 CFBDRN CC(F)(F)CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000572733239 383811831 /nfs/dbraw/zinc/81/18/31/383811831.db2.gz KAJLHMRYZGUNBA-UHFFFAOYSA-N 0 0 299.277 2.554 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@H](C2CC2)C1 ZINC000572749934 383813589 /nfs/dbraw/zinc/81/35/89/383813589.db2.gz RFVLCABRWZRBCW-NWDGAFQWSA-N 0 0 291.351 2.632 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCC=C(C)C1 ZINC000436250339 383792539 /nfs/dbraw/zinc/79/25/39/383792539.db2.gz FYVHWJXFPUKECV-UHFFFAOYSA-N 0 0 262.309 2.755 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)CCCO1 ZINC000281014019 195069589 /nfs/dbraw/zinc/06/95/89/195069589.db2.gz BTLLWQJQXVWTEW-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1c(NC(=O)N(C)C2CCOCC2)cccc1[N+](=O)[O-] ZINC000531315338 383848362 /nfs/dbraw/zinc/84/83/62/383848362.db2.gz WZAGTUAZYNBYRP-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1c(C(=O)NC(C)(C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000436721818 383880003 /nfs/dbraw/zinc/88/00/03/383880003.db2.gz KUEQBMKVVWVMNR-UHFFFAOYSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+](C)C[C@H](C)C(=O)[O-] ZINC000573098278 383871249 /nfs/dbraw/zinc/87/12/49/383871249.db2.gz VRFIEGBGBFDAQE-QWRGUYRKSA-N 0 0 280.324 2.617 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000573149511 383881349 /nfs/dbraw/zinc/88/13/49/383881349.db2.gz POWKNODAXPGGGU-NSHDSACASA-N 0 0 287.319 2.685 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000531566009 383888310 /nfs/dbraw/zinc/88/83/10/383888310.db2.gz XJIGUBFOQYYKOE-HNNXBMFYSA-N 0 0 291.351 2.926 20 5 CFBDRN O=C(Nc1ccc(F)c(F)c1)c1ccc([N+](=O)[O-])cn1 ZINC000531627238 383899938 /nfs/dbraw/zinc/89/99/38/383899938.db2.gz KBPYDSOBMGJMLJ-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CCCC[C@@H](C(=O)[O-])[N@H+](C)CCc1ccccc1[N+](=O)[O-] ZINC000573267356 383901740 /nfs/dbraw/zinc/90/17/40/383901740.db2.gz FTMYVXTZXYVSPB-AWEZNQCLSA-N 0 0 294.351 2.713 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H]1C(C)C ZINC000454130372 383905957 /nfs/dbraw/zinc/90/59/57/383905957.db2.gz HLGDKHMKANSPET-GFCCVEGCSA-N 0 0 293.323 2.866 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)(F)F ZINC000454289976 383978058 /nfs/dbraw/zinc/97/80/58/383978058.db2.gz CUXAFCCWZJFQJU-ZCFIWIBFSA-N 0 0 291.229 2.899 20 5 CFBDRN CC/C=C\CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000454294755 383979666 /nfs/dbraw/zinc/97/96/66/383979666.db2.gz DGAMUVPTNQLVLT-ARJAWSKDSA-N 0 0 267.260 2.822 20 5 CFBDRN Cc1ccc(Cn2cc([N+](=O)[O-])cn2)cc1Br ZINC000438315339 383980035 /nfs/dbraw/zinc/98/00/35/383980035.db2.gz BORAFLNYBYTCCT-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3cccs3)no2)nc1 ZINC000532138601 383981516 /nfs/dbraw/zinc/98/15/16/383981516.db2.gz JUHPFZABJCDDTH-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN CCN(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532143640 383984082 /nfs/dbraw/zinc/98/40/82/383984082.db2.gz VUBUYLRHQZRUIZ-UHFFFAOYSA-N 0 0 285.303 2.652 20 5 CFBDRN COc1ccc(CN2C[C@H](C)O[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000438382502 383997245 /nfs/dbraw/zinc/99/72/45/383997245.db2.gz PDDASBNCJMAOKR-SRVKXCTJSA-N 0 0 294.351 2.601 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531882479 383937941 /nfs/dbraw/zinc/93/79/41/383937941.db2.gz MFBDNMRMWAJAEH-NEPJUHHUSA-N 0 0 291.351 2.936 20 5 CFBDRN Cc1nc(NC(=O)C[C@H](C)n2cccc2)ccc1[N+](=O)[O-] ZINC000531890283 383939372 /nfs/dbraw/zinc/93/93/72/383939372.db2.gz XJVKTMLCAHRQSW-JTQLQIEISA-N 0 0 288.307 2.690 20 5 CFBDRN O=C(C1CCSCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000265604245 383955234 /nfs/dbraw/zinc/95/52/34/383955234.db2.gz IYEKFKDJHSTBLP-UHFFFAOYSA-N 0 0 292.360 2.627 20 5 CFBDRN Cc1ncc(C(=O)Oc2cccc([N+](=O)[O-])c2)c(C2CC2)n1 ZINC000281076448 195094971 /nfs/dbraw/zinc/09/49/71/195094971.db2.gz UARNUOSZCRMNCY-UHFFFAOYSA-N 0 0 299.286 2.790 20 5 CFBDRN Cc1cc(C(=O)NC2(C3CC3)CC2)ccc1[N+](=O)[O-] ZINC000532123443 383977013 /nfs/dbraw/zinc/97/70/13/383977013.db2.gz DQRCNBBUITUZAR-UHFFFAOYSA-N 0 0 260.293 2.576 20 5 CFBDRN Cc1sc(C(=O)NC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000532377412 384019881 /nfs/dbraw/zinc/01/98/81/384019881.db2.gz DJOHRKORVXBTGE-UHFFFAOYSA-N 0 0 266.322 2.637 20 5 CFBDRN CC(C)[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532392168 384023062 /nfs/dbraw/zinc/02/30/62/384023062.db2.gz ICIKQFPNLJRRGH-RYUDHWBXSA-N 0 0 291.351 2.934 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000532397704 384024448 /nfs/dbraw/zinc/02/44/48/384024448.db2.gz ZMCBFCAXJXQZEZ-UHFFFAOYSA-N 0 0 277.324 2.785 20 5 CFBDRN O=C(NCCCC1CCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000532397929 384025308 /nfs/dbraw/zinc/02/53/08/384025308.db2.gz XZWZWUYPEBXIJF-UHFFFAOYSA-N 0 0 277.324 2.690 20 5 CFBDRN O=C(NCCC[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000454348948 384003401 /nfs/dbraw/zinc/00/34/01/384003401.db2.gz VDAINDMNRKBVHT-NSHDSACASA-N 0 0 293.323 2.533 20 5 CFBDRN CO[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)C1(C)C ZINC000439859132 384045364 /nfs/dbraw/zinc/04/53/64/384045364.db2.gz RDAZNFLNTGMTNV-WDEREUQCSA-N 0 0 298.364 2.508 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1C[C@@H](C)C[C@@H]1C ZINC000532587790 384047439 /nfs/dbraw/zinc/04/74/39/384047439.db2.gz WTCALKTUOPNDEX-JQWIXIFHSA-N 0 0 291.351 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N(C)C(C)(C)C)c1 ZINC000574403136 384090054 /nfs/dbraw/zinc/09/00/54/384090054.db2.gz XNUDPDIZAGVZRE-UHFFFAOYSA-N 0 0 294.351 2.929 20 5 CFBDRN CNc1ccc(C(=O)NCCC2=CCCC2)cc1[N+](=O)[O-] ZINC000532798705 384071733 /nfs/dbraw/zinc/07/17/33/384071733.db2.gz VANBZACUUXTLMG-UHFFFAOYSA-N 0 0 289.335 2.867 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cc1cccnc1 ZINC000532896674 384082131 /nfs/dbraw/zinc/08/21/31/384082131.db2.gz XJLUDZWJGLOVHL-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCSCC2)cc1OC(F)F ZINC000266157609 384097537 /nfs/dbraw/zinc/09/75/37/384097537.db2.gz VQUWCLQMQIIWHS-UHFFFAOYSA-N 0 0 290.291 2.749 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCCn2ccnc2C)c1 ZINC000266207960 384115545 /nfs/dbraw/zinc/11/55/45/384115545.db2.gz FMDOEOHWVQGRAN-UHFFFAOYSA-N 0 0 290.323 2.611 20 5 CFBDRN CCN(C[C@H](C)OC)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000292279690 285076481 /nfs/dbraw/zinc/07/64/81/285076481.db2.gz JMGRFPRNOGCHQZ-QMMMGPOBSA-N 0 0 273.720 2.504 20 5 CFBDRN Cc1cc(NC(=O)N[C@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000455081103 384134173 /nfs/dbraw/zinc/13/41/73/384134173.db2.gz HEUZGLBOIZFOBW-SNVBAGLBSA-N 0 0 295.339 2.574 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000455084835 384135189 /nfs/dbraw/zinc/13/51/89/384135189.db2.gz PLHXIMWTBTVENK-DGCLKSJQSA-N 0 0 295.339 2.574 20 5 CFBDRN CC[C@H](O)[C@H](CC)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000455084834 384135225 /nfs/dbraw/zinc/13/52/25/384135225.db2.gz PLHXIMWTBTVENK-AAEUAGOBSA-N 0 0 295.339 2.574 20 5 CFBDRN Cc1nn(C)c(N[C@H]2C[C@@H]2Cc2ccccc2)c1[N+](=O)[O-] ZINC000266219429 384119198 /nfs/dbraw/zinc/11/91/98/384119198.db2.gz HNNKXCYNIWYZJH-STQMWFEESA-N 0 0 286.335 2.680 20 5 CFBDRN O=[N+]([O-])c1c(NC2CCOCC2)ccc2ncccc21 ZINC000037379127 285078365 /nfs/dbraw/zinc/07/83/65/285078365.db2.gz LNNUCUVPZUCNAQ-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@H](C)O[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000413526338 533035520 /nfs/dbraw/zinc/03/55/20/533035520.db2.gz BMYGJUASOJYPMZ-AEJSXWLSSA-N 0 0 298.314 2.968 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@@H](C)O[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000413532366 533035805 /nfs/dbraw/zinc/03/58/05/533035805.db2.gz NADGJJMTCBZJPZ-BBBLOLIVSA-N 0 0 298.314 2.968 20 5 CFBDRN CC[C@H](CC(F)F)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000574854558 384155283 /nfs/dbraw/zinc/15/52/83/384155283.db2.gz ODQCOHPEDONEKW-SNVBAGLBSA-N 0 0 286.278 2.892 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCSC2(CCC2)CC1 ZINC000574738164 384140547 /nfs/dbraw/zinc/14/05/47/384140547.db2.gz OCUZPYAIMLINSQ-UHFFFAOYSA-N 0 0 279.365 2.856 20 5 CFBDRN COc1ccc(NC(=O)NC2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000455106514 384142219 /nfs/dbraw/zinc/14/22/19/384142219.db2.gz RWJUKRTUSYBNAG-UHFFFAOYSA-N 0 0 297.286 2.616 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1CSc2ccccc21 ZINC000360909851 285081358 /nfs/dbraw/zinc/08/13/58/285081358.db2.gz MFOPPZINVVSGQG-NSHDSACASA-N 0 0 273.317 2.671 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCC[C@H](C)F ZINC000455174156 384159507 /nfs/dbraw/zinc/15/95/07/384159507.db2.gz UZYYWSGEDUCILW-VIFPVBQESA-N 0 0 269.276 2.773 20 5 CFBDRN COC1CCC(CNC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000427044341 533040832 /nfs/dbraw/zinc/04/08/32/533040832.db2.gz HGCHUWRWKKIZOT-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN Cc1cn(CCOc2cc(C)ccc2C)nc1[N+](=O)[O-] ZINC000281117718 195111899 /nfs/dbraw/zinc/11/18/99/195111899.db2.gz HEYAZHBOAMTYNM-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN C/C=C(\CC)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000277171515 384221303 /nfs/dbraw/zinc/22/13/03/384221303.db2.gz LUCOKFZZJUOHBM-RUDMXATFSA-N 0 0 264.281 2.898 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC(c2ncc[nH]2)CC1 ZINC000299519046 285095106 /nfs/dbraw/zinc/09/51/06/285095106.db2.gz KYTKUPJIFOXHRL-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000277182261 384224827 /nfs/dbraw/zinc/22/48/27/384224827.db2.gz NQIFHYIKDOJJMQ-UHFFFAOYSA-N 0 0 273.195 2.713 20 5 CFBDRN CCNC(=O)C1(CNc2ccc(C)cc2[N+](=O)[O-])CCC1 ZINC000277401052 384291601 /nfs/dbraw/zinc/29/16/01/384291601.db2.gz KQOBIZGCTRCLTJ-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN CCCNc1ccc(CNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000277526435 384328066 /nfs/dbraw/zinc/32/80/66/384328066.db2.gz VOZBXFLOYYXFFL-UHFFFAOYSA-N 0 0 289.339 2.762 20 5 CFBDRN Cc1nn(Cc2ccc(C(F)(F)F)cn2)cc1[N+](=O)[O-] ZINC000277752706 384395520 /nfs/dbraw/zinc/39/55/20/384395520.db2.gz ZKWXZFZGIOWLNY-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN CC[C@H](CO)Nc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000277767308 384400608 /nfs/dbraw/zinc/40/06/08/384400608.db2.gz KTXLUYFBRIFMFA-MRVPVSSYSA-N 0 0 258.705 2.739 20 5 CFBDRN CCn1cnnc1CNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000277785018 384405398 /nfs/dbraw/zinc/40/53/98/384405398.db2.gz MRATUKGMBCLOAV-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])NC1CCC2(CC2)CC1 ZINC000277960385 384449017 /nfs/dbraw/zinc/44/90/17/384449017.db2.gz HBLHRCMXEZVNLC-UHFFFAOYSA-N 0 0 290.323 2.834 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)[C@H](C)C1 ZINC000277976960 384453091 /nfs/dbraw/zinc/45/30/91/384453091.db2.gz MBJLROYTYVONCE-PHIMTYICSA-N 0 0 262.309 2.631 20 5 CFBDRN O=C(COc1cc(Cl)ccc1[N+](=O)[O-])C1CCC1 ZINC000277914375 384439425 /nfs/dbraw/zinc/43/94/25/384439425.db2.gz GESSIQZJXCHORO-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@H]([C@@H](C)O)C1 ZINC000277925369 384441269 /nfs/dbraw/zinc/44/12/69/384441269.db2.gz ZYMAEMWEMRKBAU-ZJUUUORDSA-N 0 0 284.743 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC[C@@H]3CCOC3)n2)s1 ZINC000278084594 384471624 /nfs/dbraw/zinc/47/16/24/384471624.db2.gz GPHAGZSZNSBNIW-MRVPVSSYSA-N 0 0 295.320 2.675 20 5 CFBDRN COCC(C)(C)CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000414741956 533069709 /nfs/dbraw/zinc/06/97/09/533069709.db2.gz LKWXMBOSKYBGRK-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000278213114 384501538 /nfs/dbraw/zinc/50/15/38/384501538.db2.gz SYKDZXMJJPYEIA-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@@H]1c1ncon1 ZINC000376227200 285117500 /nfs/dbraw/zinc/11/75/00/285117500.db2.gz ILDJHGVGNYSUDY-SNVBAGLBSA-N 0 0 294.698 2.973 20 5 CFBDRN CC(C)OCc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278155887 384486387 /nfs/dbraw/zinc/48/63/87/384486387.db2.gz MMARJMDXKFHKLD-UHFFFAOYSA-N 0 0 269.282 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(COCC3CC3)n2)s1 ZINC000278175289 384490689 /nfs/dbraw/zinc/49/06/89/384490689.db2.gz NUNNBZUORYOINN-UHFFFAOYSA-N 0 0 281.293 2.633 20 5 CFBDRN CO[C@@H](C)CCc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278286215 384518306 /nfs/dbraw/zinc/51/83/06/384518306.db2.gz WNMLGZWLYVMBEZ-ZETCQYMHSA-N 0 0 283.309 2.674 20 5 CFBDRN COc1cc(N2CC[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000268160212 384550066 /nfs/dbraw/zinc/55/00/66/384550066.db2.gz NGWLIZOWAAUPIW-QMMMGPOBSA-N 0 0 254.261 2.589 20 5 CFBDRN C[C@H]1C[C@H](CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCO1 ZINC000278384507 384551919 /nfs/dbraw/zinc/55/19/19/384551919.db2.gz FLWHTYBASDMQEF-VHSXEESVSA-N 0 0 290.323 2.698 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(C2)Oc2ccccc2O3)cc1 ZINC000289168007 285121736 /nfs/dbraw/zinc/12/17/36/285121736.db2.gz UYSIKSQXDGHFLH-UHFFFAOYSA-N 0 0 298.298 2.973 20 5 CFBDRN CO[C@]1(C)CCCN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000279389512 384623981 /nfs/dbraw/zinc/62/39/81/384623981.db2.gz UFOQBCRXVGDIJM-CQSZACIVSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1nc(NC[C@H](CCO)CC(C)C)ccc1[N+](=O)[O-] ZINC000268488942 384627174 /nfs/dbraw/zinc/62/71/74/384627174.db2.gz NAONRAXWOVPJPN-GFCCVEGCSA-N 0 0 281.356 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](c3ccccc3)C2)nc1 ZINC000299308754 285128962 /nfs/dbraw/zinc/12/89/62/285128962.db2.gz GFPRIXWRKLXDKZ-CYBMUJFWSA-N 0 0 269.304 2.984 20 5 CFBDRN CO[C@H](C)CN(C)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413292001 533080537 /nfs/dbraw/zinc/08/05/37/533080537.db2.gz LAJVOROITBGVIR-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN Cc1nc(NC(=O)/C=C2\CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000268604318 384637212 /nfs/dbraw/zinc/63/72/12/384637212.db2.gz VMZZBTYWMBIYGF-FJUNDMEASA-N 0 0 275.308 2.983 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000279617457 384697617 /nfs/dbraw/zinc/69/76/17/384697617.db2.gz YMLUMFSRJJQPQI-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cccc([C@@H](O)CNc2cc(C)c([N+](=O)[O-])cn2)c1 ZINC000268936517 384698044 /nfs/dbraw/zinc/69/80/44/384698044.db2.gz DNTUQPWMXINHDL-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(N(C)C)no1 ZINC000269305841 384745833 /nfs/dbraw/zinc/74/58/33/384745833.db2.gz UCOXQNCZSODPII-QMMMGPOBSA-N 0 0 294.336 2.897 20 5 CFBDRN CCN(C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])C1CCCC1 ZINC000269310985 384747809 /nfs/dbraw/zinc/74/78/09/384747809.db2.gz AFBGNTMWWIKCNR-UHFFFAOYSA-N 0 0 294.355 2.846 20 5 CFBDRN CC(C)c1[nH]nc(-c2nc(C(C)(C)C)no2)c1[N+](=O)[O-] ZINC000269338426 384749366 /nfs/dbraw/zinc/74/93/66/384749366.db2.gz JWFPSRKZSUGSMV-UHFFFAOYSA-N 0 0 279.300 2.789 20 5 CFBDRN Cc1cc(CN(C)c2ncc(Cl)cc2[N+](=O)[O-])no1 ZINC000269344316 384749707 /nfs/dbraw/zinc/74/97/07/384749707.db2.gz CWAMZZMORMBYTD-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc(F)cc2)cc1CO ZINC000428067954 285137182 /nfs/dbraw/zinc/13/71/82/285137182.db2.gz ABXGNHVBRLHVQM-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])C1 ZINC000269428984 384757943 /nfs/dbraw/zinc/75/79/43/384757943.db2.gz ZFYOTKSDVAEDNS-JTQLQIEISA-N 0 0 294.355 2.704 20 5 CFBDRN Cn1cc(CCCNc2c(F)cc([N+](=O)[O-])cc2F)cn1 ZINC000269656205 384823481 /nfs/dbraw/zinc/82/34/81/384823481.db2.gz RYKNSOLGWWZQHB-UHFFFAOYSA-N 0 0 296.277 2.651 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000279852293 384786848 /nfs/dbraw/zinc/78/68/48/384786848.db2.gz CKLUTMYUDVAXBF-CYBMUJFWSA-N 0 0 298.364 2.688 20 5 CFBDRN CC1(CCNC(=O)CCNc2ccccc2[N+](=O)[O-])CC1 ZINC000279856211 384789478 /nfs/dbraw/zinc/78/94/78/384789478.db2.gz BGAMJLFKZAOQIQ-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN Cc1noc(CCCNc2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000269621213 384806945 /nfs/dbraw/zinc/80/69/45/384806945.db2.gz IJQWLZOUQQWSIW-UHFFFAOYSA-N 0 0 298.249 2.609 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@H]1C[C@H](C)O ZINC000269849309 384881857 /nfs/dbraw/zinc/88/18/57/384881857.db2.gz IQBKZFJQXNRNDQ-NWDGAFQWSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1c(CC(=O)N(C)CC(C)(C)C)cccc1[N+](=O)[O-] ZINC000269699217 384841663 /nfs/dbraw/zinc/84/16/63/384841663.db2.gz LUVDAIRBSIPDMD-UHFFFAOYSA-N 0 0 278.352 2.950 20 5 CFBDRN CC[C@@H](C)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000269731404 384852974 /nfs/dbraw/zinc/85/29/74/384852974.db2.gz YMIWLDSJGFWXLM-SECBINFHSA-N 0 0 277.280 2.960 20 5 CFBDRN CS[C@H](C)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000269761332 384862856 /nfs/dbraw/zinc/86/28/56/384862856.db2.gz FABTWVHOUHSFMP-MRVPVSSYSA-N 0 0 268.338 2.961 20 5 CFBDRN C[C@H](O)C[C@@H]1CCC[N@@H+]1[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000269771785 384865320 /nfs/dbraw/zinc/86/53/20/384865320.db2.gz BJANXLHJBMLMHI-OBJOEFQTSA-N 0 0 278.352 2.891 20 5 CFBDRN CC[C@@](C)(OC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000280153518 384906103 /nfs/dbraw/zinc/90/61/03/384906103.db2.gz CNQNKXTZINBSMG-QMTHXVAHSA-N 0 0 280.324 2.587 20 5 CFBDRN CO[C@@H](c1nc(-c2cccc([N+](=O)[O-])c2)no1)C1CC1 ZINC000280201874 384925681 /nfs/dbraw/zinc/92/56/81/384925681.db2.gz FAKVYAPQRCLIHM-LLVKDONJSA-N 0 0 275.264 2.742 20 5 CFBDRN CC1=C(C)CN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000280205778 384927834 /nfs/dbraw/zinc/92/78/34/384927834.db2.gz JOANPIAMLDVTJZ-UHFFFAOYSA-N 0 0 266.322 2.839 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1C[C@@H]3CCC[C@@H]3C1)CCN2 ZINC000275389437 285146847 /nfs/dbraw/zinc/14/68/47/285146847.db2.gz PZOONAIGNPFAPC-PHIMTYICSA-N 0 0 273.336 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000450364532 285147378 /nfs/dbraw/zinc/14/73/78/285147378.db2.gz NHFSLMIHTHGMRQ-BETUJISGSA-N 0 0 285.303 2.511 20 5 CFBDRN CS[C@@H](C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000270128671 384986676 /nfs/dbraw/zinc/98/66/76/384986676.db2.gz MAMISSVIRKFHDH-QMMMGPOBSA-N 0 0 279.321 2.939 20 5 CFBDRN CC(C)c1nccn1C[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000270163533 384998612 /nfs/dbraw/zinc/99/86/12/384998612.db2.gz UEJKUFSQWMZAEB-CYBMUJFWSA-N 0 0 275.308 2.648 20 5 CFBDRN CC(C)c1nn(C)cc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000280292397 384962471 /nfs/dbraw/zinc/96/24/71/384962471.db2.gz UTJATTADRYJQJE-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC1=CCCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000280671765 385108668 /nfs/dbraw/zinc/10/86/68/385108668.db2.gz MGTABGBOHBWOMJ-UHFFFAOYSA-N 0 0 285.303 2.868 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000289197082 197259492 /nfs/dbraw/zinc/25/94/92/197259492.db2.gz XEFRTWZQCCBEOQ-OAHLLOKOSA-N 0 0 294.351 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2(CCO)CCCC2)c(Cl)c1 ZINC000289146919 197247120 /nfs/dbraw/zinc/24/71/20/197247120.db2.gz OTHSBBOERBGRGV-UHFFFAOYSA-N 0 0 285.731 2.750 20 5 CFBDRN Cc1ccc(OCc2ncnn2C(C)(C)C)cc1[N+](=O)[O-] ZINC000282122310 385218373 /nfs/dbraw/zinc/21/83/73/385218373.db2.gz BMQQYYWXAQQPRX-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281769962 385202923 /nfs/dbraw/zinc/20/29/23/385202923.db2.gz JZAZCSUMFDHCHK-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN CCOc1cccc(N2CCCO[C@H](CC)C2)c1[N+](=O)[O-] ZINC000281863776 385203904 /nfs/dbraw/zinc/20/39/04/385203904.db2.gz MYDFLXDUYPWSIU-GFCCVEGCSA-N 0 0 294.351 2.999 20 5 CFBDRN Cc1cc(F)c(NC(=O)C(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000282458373 385315719 /nfs/dbraw/zinc/31/57/19/385315719.db2.gz OHFFSEWFRKEKAS-UHFFFAOYSA-N 0 0 282.271 2.596 20 5 CFBDRN Cc1cc(F)c(NC(=O)C[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000282272877 385266556 /nfs/dbraw/zinc/26/65/56/385266556.db2.gz AQKVRHXORUFLNM-SECBINFHSA-N 0 0 282.271 2.550 20 5 CFBDRN Cc1cc(F)c(NC(=O)C[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000282272879 385267313 /nfs/dbraw/zinc/26/73/13/385267313.db2.gz AQKVRHXORUFLNM-VIFPVBQESA-N 0 0 282.271 2.550 20 5 CFBDRN CC(C)[C@H]1C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000282319953 385282487 /nfs/dbraw/zinc/28/24/87/385282487.db2.gz ZMHWSTXBABERCT-BXUZGUMPSA-N 0 0 279.340 2.793 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000282319956 385282666 /nfs/dbraw/zinc/28/26/66/385282666.db2.gz ZMHWSTXBABERCT-FZMZJTMJSA-N 0 0 279.340 2.793 20 5 CFBDRN COCCCCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282368859 385295403 /nfs/dbraw/zinc/29/54/03/385295403.db2.gz IGCAGAMXSDLJRP-UHFFFAOYSA-N 0 0 284.287 2.798 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(c2ncc[nH]2)CC1 ZINC000299509874 285162405 /nfs/dbraw/zinc/16/24/05/285162405.db2.gz JLWROISUGJGDIT-UHFFFAOYSA-N 0 0 272.308 2.702 20 5 CFBDRN O=[N+]([O-])c1cn(CCSC2CCCCC2)cn1 ZINC000282547089 385338287 /nfs/dbraw/zinc/33/82/87/385338287.db2.gz MVXFGYQMUYNKDA-UHFFFAOYSA-N 0 0 255.343 2.857 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000282584752 385346271 /nfs/dbraw/zinc/34/62/71/385346271.db2.gz HHIDNJREWSGGFD-UONOGXRCSA-N 0 0 293.319 2.638 20 5 CFBDRN COCC1=CCN(Cc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000282591012 385347662 /nfs/dbraw/zinc/34/76/62/385347662.db2.gz WYEKZHZIFGXGJS-UHFFFAOYSA-N 0 0 280.299 2.512 20 5 CFBDRN CC1(C)SC[C@@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000282623799 385355383 /nfs/dbraw/zinc/35/53/83/385355383.db2.gz FSBNXMQCASQMHN-NSHDSACASA-N 0 0 252.339 2.578 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CSC1(C)C ZINC000282628526 385356853 /nfs/dbraw/zinc/35/68/53/385356853.db2.gz KAHWQFBTMQWVCU-NSHDSACASA-N 0 0 295.364 2.919 20 5 CFBDRN CC[C@@H](C)NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000282682172 385368708 /nfs/dbraw/zinc/36/87/08/385368708.db2.gz SUWRGWRYZAAXJC-LLVKDONJSA-N 0 0 279.340 2.577 20 5 CFBDRN COC[C@@H]1CC[N@H+](C/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000271207535 385376326 /nfs/dbraw/zinc/37/63/26/385376326.db2.gz WMQGTNINTUXVDJ-DIECRNLCSA-N 0 0 276.336 2.576 20 5 CFBDRN COC1(C)CCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000271602997 385387028 /nfs/dbraw/zinc/38/70/28/385387028.db2.gz AYXWPKHJSFHIQJ-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN CCOC[C@@H]1CCN(c2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000271065169 385326274 /nfs/dbraw/zinc/32/62/74/385326274.db2.gz CGOOHLYOKNEJQE-SNVBAGLBSA-N 0 0 298.314 2.605 20 5 CFBDRN CC[C@@H](C)OCC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000282503075 385328535 /nfs/dbraw/zinc/32/85/35/385328535.db2.gz ZASVCLDRMJTSGK-MRVPVSSYSA-N 0 0 284.287 2.796 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](CC)C2)c1 ZINC000282905335 385408893 /nfs/dbraw/zinc/40/88/93/385408893.db2.gz UTBLHCDAEUIOPI-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2 ZINC000301826078 285164670 /nfs/dbraw/zinc/16/46/70/285164670.db2.gz OVPAZOIZNMYWME-HONMWMINSA-N 0 0 274.320 2.964 20 5 CFBDRN CC(C)C[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)CCO1 ZINC000271977682 385417213 /nfs/dbraw/zinc/41/72/13/385417213.db2.gz FJMPCKGZRGDVMN-GHMZBOCLSA-N 0 0 296.323 2.511 20 5 CFBDRN CCC(CC)(CCO)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000283211996 385446624 /nfs/dbraw/zinc/44/66/24/385446624.db2.gz SBCLDDBAJWBXPL-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN C[C@@H](C1CCCCC1)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000283292802 385453877 /nfs/dbraw/zinc/45/38/77/385453877.db2.gz TUMGKLBRWQPCLW-JTQLQIEISA-N 0 0 279.340 2.964 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)CC1CCC1 ZINC000288978569 197205470 /nfs/dbraw/zinc/20/54/70/197205470.db2.gz GDLVIOWAWPZYJP-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CC=CCC1 ZINC000288980044 197205518 /nfs/dbraw/zinc/20/55/18/197205518.db2.gz GHWNGFUBBWUDDY-AWEZNQCLSA-N 0 0 288.347 2.952 20 5 CFBDRN CC1(C)C[C@H](Nc2ccc([N+](=O)[O-])cn2)C(C)(C)O1 ZINC000272167464 385464897 /nfs/dbraw/zinc/46/48/97/385464897.db2.gz GFQITPWWCQBPKB-JTQLQIEISA-N 0 0 265.313 2.748 20 5 CFBDRN COC(=O)[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000413589049 533121641 /nfs/dbraw/zinc/12/16/41/533121641.db2.gz JABVIXLTRJCHDS-HNNXBMFYSA-N 0 0 292.335 2.683 20 5 CFBDRN CCCN(C)c1nnc(-c2ccc([N+](=O)[O-])cc2)n1CC ZINC000283503708 385470675 /nfs/dbraw/zinc/47/06/75/385470675.db2.gz PDBWOFVYZHURFQ-UHFFFAOYSA-N 0 0 289.339 2.719 20 5 CFBDRN CCN(Cc1ccccn1)c1c([N+](=O)[O-])nc(C)n1CC ZINC000288906174 197189574 /nfs/dbraw/zinc/18/95/74/197189574.db2.gz OGXAHDZJLLQAJF-UHFFFAOYSA-N 0 0 289.339 2.541 20 5 CFBDRN CCCc1noc(CNc2c(C)c([N+](=O)[O-])ccc2F)n1 ZINC000283613947 385480483 /nfs/dbraw/zinc/48/04/83/385480483.db2.gz URWREWUBGRUMHV-UHFFFAOYSA-N 0 0 294.286 2.990 20 5 CFBDRN CC(=O)c1cc(N(C)Cc2cc(C)on2)ccc1[N+](=O)[O-] ZINC000283671666 385486260 /nfs/dbraw/zinc/48/62/60/385486260.db2.gz WUHDYEWWXSUEAU-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCCO1 ZINC000283663267 385486911 /nfs/dbraw/zinc/48/69/11/385486911.db2.gz RQTQJYYXSFVALO-UHFFFAOYSA-N 0 0 295.295 2.553 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272283761 385498116 /nfs/dbraw/zinc/49/81/16/385498116.db2.gz YSLUCMUOJYFTCT-SKDRFNHKSA-N 0 0 298.289 2.991 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272283755 385498263 /nfs/dbraw/zinc/49/82/63/385498263.db2.gz YSLUCMUOJYFTCT-BXKDBHETSA-N 0 0 298.289 2.991 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2ncc(Cl)n2C)c1 ZINC000272332131 385511872 /nfs/dbraw/zinc/51/18/72/385511872.db2.gz VTLQHQHUFMSKJO-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN Cc1nn(Cc2ccccc2OC(C)C)cc1[N+](=O)[O-] ZINC000272336880 385513045 /nfs/dbraw/zinc/51/30/45/385513045.db2.gz GNPJQONSLVSGGT-UHFFFAOYSA-N 0 0 275.308 2.935 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](Cc3ccccc3)C2)nc1 ZINC000371898649 285172898 /nfs/dbraw/zinc/17/28/98/285172898.db2.gz GPOUIGGHJJZFIX-AWEZNQCLSA-N 0 0 298.346 2.844 20 5 CFBDRN COCC1(C(=O)Oc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000284137780 385539612 /nfs/dbraw/zinc/53/96/12/385539612.db2.gz DMFJIEVDWSZTDB-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CCC1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCOCC1 ZINC000272449302 385540206 /nfs/dbraw/zinc/54/02/06/385540206.db2.gz MKZPISHWYVWQDT-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCc3ccccc3CC2)nc1 ZINC000450845107 285180282 /nfs/dbraw/zinc/18/02/82/285180282.db2.gz QMOUUPFUYSUXJS-UHFFFAOYSA-N 0 0 284.319 2.744 20 5 CFBDRN C[C@H](CCc1cccn1C)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000283973965 385519378 /nfs/dbraw/zinc/51/93/78/385519378.db2.gz ZKICZBUERJOOPB-LLVKDONJSA-N 0 0 288.351 2.949 20 5 CFBDRN Cc1noc(C)c1CN[C@@H](C)c1ccc(N)c([N+](=O)[O-])c1 ZINC000283987425 385521464 /nfs/dbraw/zinc/52/14/64/385521464.db2.gz HDGITXMQPLFIMX-QMMMGPOBSA-N 0 0 290.323 2.633 20 5 CFBDRN Cc1cc(F)c(NC(=O)N2CCCC2)cc1[N+](=O)[O-] ZINC000284004489 385524073 /nfs/dbraw/zinc/52/40/73/385524073.db2.gz NJRZOCUHWQLCSF-UHFFFAOYSA-N 0 0 267.260 2.670 20 5 CFBDRN O=C(NCC1CC1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000284038969 385527575 /nfs/dbraw/zinc/52/75/75/385527575.db2.gz PDJVGVMJUBCBTQ-UHFFFAOYSA-N 0 0 269.688 2.780 20 5 CFBDRN CCCCSCCC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288825829 197173838 /nfs/dbraw/zinc/17/38/38/197173838.db2.gz NNJXFSKEQNPIOY-UHFFFAOYSA-N 0 0 297.380 2.876 20 5 CFBDRN Cc1nnc(CNc2ccc([N+](=O)[O-])c(C)c2)n1C1CC1 ZINC000272535072 385559563 /nfs/dbraw/zinc/55/95/63/385559563.db2.gz PJAYCHLMYALLTH-UHFFFAOYSA-N 0 0 287.323 2.750 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@@H]2C2CCCCC2)nc1 ZINC000273682649 285183454 /nfs/dbraw/zinc/18/34/54/285183454.db2.gz ZKBRJZYHTCWQOG-NEPJUHHUSA-N 0 0 262.313 2.766 20 5 CFBDRN CSCCCN(C)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000286153908 385565722 /nfs/dbraw/zinc/56/57/22/385565722.db2.gz AQXXAVGWGVCLLJ-UHFFFAOYSA-N 0 0 298.393 2.789 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2COc3ccccc32)s1 ZINC000189687112 285183718 /nfs/dbraw/zinc/18/37/18/285183718.db2.gz IKCPLVBDKJSHIK-QMMMGPOBSA-N 0 0 263.278 2.597 20 5 CFBDRN C[C@@H]1CCN(Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000286181735 385567962 /nfs/dbraw/zinc/56/79/62/385567962.db2.gz ZHJCRYJEJYLHND-MRVPVSSYSA-N 0 0 256.252 2.715 20 5 CFBDRN CN(Cc1nccs1)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000286389563 385606228 /nfs/dbraw/zinc/60/62/28/385606228.db2.gz KTYBBUYCZCHENB-UHFFFAOYSA-N 0 0 299.302 2.962 20 5 CFBDRN CO[C@@H](C)CCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000272726914 385596768 /nfs/dbraw/zinc/59/67/68/385596768.db2.gz ABHYEUPNBWGXQF-VIFPVBQESA-N 0 0 277.280 2.612 20 5 CFBDRN CC1(C)CC[C@H]1Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000286363155 385598884 /nfs/dbraw/zinc/59/88/84/385598884.db2.gz JOSWVIPGVBWKDU-GFCCVEGCSA-N 0 0 298.364 2.599 20 5 CFBDRN Cc1cc(N2CCOC[C@@H]2CC(F)F)ccc1[N+](=O)[O-] ZINC000286309145 385586152 /nfs/dbraw/zinc/58/61/52/385586152.db2.gz HVWIZFGEVWZZLE-NSHDSACASA-N 0 0 286.278 2.764 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Sc1ccncc1 ZINC000288778962 197164727 /nfs/dbraw/zinc/16/47/27/197164727.db2.gz DZYDXKWZMYWGIS-UHFFFAOYSA-N 0 0 264.310 2.666 20 5 CFBDRN CCn1cc(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])cn1 ZINC000286415453 385614267 /nfs/dbraw/zinc/61/42/67/385614267.db2.gz PKYFOFISBJGFQO-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CCc1ocnc1C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000272803519 385619883 /nfs/dbraw/zinc/61/98/83/385619883.db2.gz VBODSEPSXCBDNV-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1ccc(NC[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC000286492423 385634035 /nfs/dbraw/zinc/63/40/35/385634035.db2.gz VUYUWVLPLNZQHG-VXGBXAGGSA-N 0 0 264.325 2.988 20 5 CFBDRN COc1ccc(NC(=O)N[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000272774141 385611353 /nfs/dbraw/zinc/61/13/53/385611353.db2.gz CRRWFGVAVCCZTK-MRVPVSSYSA-N 0 0 279.296 2.523 20 5 CFBDRN Nc1ccc(OCCCC2CCOCC2)cc1[N+](=O)[O-] ZINC000288716079 197150828 /nfs/dbraw/zinc/15/08/28/197150828.db2.gz VPSQLYBOMJRDGQ-UHFFFAOYSA-N 0 0 280.324 2.763 20 5 CFBDRN CCCCO[C@H](C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272869982 385637878 /nfs/dbraw/zinc/63/78/78/385637878.db2.gz ZJQZDJHPTGRMKR-SNVBAGLBSA-N 0 0 296.323 2.747 20 5 CFBDRN COc1ccc(NC(=O)N2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000272893967 385644137 /nfs/dbraw/zinc/64/41/37/385644137.db2.gz ZENJZLFBUKLMLA-SECBINFHSA-N 0 0 279.296 2.620 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000272895499 385645525 /nfs/dbraw/zinc/64/55/25/385645525.db2.gz ZKWWHZZVDAQSAU-KOLCDFICSA-N 0 0 293.323 2.914 20 5 CFBDRN CSCCCN(C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000281196239 195144990 /nfs/dbraw/zinc/14/49/90/195144990.db2.gz IBJBOBACINDRIR-UHFFFAOYSA-N 0 0 286.328 2.559 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000272895504 385646123 /nfs/dbraw/zinc/64/61/23/385646123.db2.gz ZKWWHZZVDAQSAU-ONGXEEELSA-N 0 0 293.323 2.914 20 5 CFBDRN C[C@@H](NC(=O)[C@@]1(C)CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000272908471 385648405 /nfs/dbraw/zinc/64/84/05/385648405.db2.gz DOEMBXMSNNLXOW-ABAIWWIYSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC(CCCO)CC1 ZINC000272935866 385658246 /nfs/dbraw/zinc/65/82/46/385658246.db2.gz UILIQBIZALMDAD-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN Cc1nc(CN(C)c2cc(Cl)ccc2[N+](=O)[O-])no1 ZINC000286586234 385659164 /nfs/dbraw/zinc/65/91/64/385659164.db2.gz SZAANFYQCLJDKJ-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000286640443 385674204 /nfs/dbraw/zinc/67/42/04/385674204.db2.gz HUSHHWFPFPKLSQ-CYBMUJFWSA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@H]1CC[C@@H](CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)C1 ZINC000288669467 197141610 /nfs/dbraw/zinc/14/16/10/197141610.db2.gz CBWIHNJTFOMURD-WDEREUQCSA-N 0 0 277.324 2.779 20 5 CFBDRN CC(C)Cc1nc(CCNc2ccccc2[N+](=O)[O-])no1 ZINC000274029477 385704059 /nfs/dbraw/zinc/70/40/59/385704059.db2.gz SWJSEJSNIXIVJO-UHFFFAOYSA-N 0 0 290.323 2.831 20 5 CFBDRN Cc1c(CC(=O)NCCSC(C)C)cccc1[N+](=O)[O-] ZINC000286780073 385709177 /nfs/dbraw/zinc/70/91/77/385709177.db2.gz QXCVCLSCXRQYRA-UHFFFAOYSA-N 0 0 296.392 2.704 20 5 CFBDRN COc1ccc(-c2nc([C@H]3CCCO3)no2)cc1[N+](=O)[O-] ZINC000274090413 385716876 /nfs/dbraw/zinc/71/68/76/385716876.db2.gz HBISOKRNMJMRDW-LLVKDONJSA-N 0 0 291.263 2.505 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)CCc1ccccn1 ZINC000286888486 385732584 /nfs/dbraw/zinc/73/25/84/385732584.db2.gz IXQCOUFHHIZKMT-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000287008428 385761210 /nfs/dbraw/zinc/76/12/10/385761210.db2.gz QDLJWIHVDUNMSV-NSHDSACASA-N 0 0 263.297 2.905 20 5 CFBDRN CC(C)OCCCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000287014278 385761838 /nfs/dbraw/zinc/76/18/38/385761838.db2.gz VDERQRAQNKGVSP-UHFFFAOYSA-N 0 0 267.329 2.794 20 5 CFBDRN CC(C)CN(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000572664273 322627532 /nfs/dbraw/zinc/62/75/32/322627532.db2.gz MGUZTHPQNMBOSC-NSHDSACASA-N 0 0 264.325 2.813 20 5 CFBDRN CC[C@H](C)N(CCO)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000287043184 385768166 /nfs/dbraw/zinc/76/81/66/385768166.db2.gz SETLBMCKGVXPCA-JTQLQIEISA-N 0 0 295.339 2.528 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H](C)C[C@H](C)O ZINC000287232924 385808574 /nfs/dbraw/zinc/80/85/74/385808574.db2.gz JJRVHPPRTFNTST-UWVGGRQHSA-N 0 0 280.324 2.616 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC1(C)C ZINC000287275479 385816209 /nfs/dbraw/zinc/81/62/09/385816209.db2.gz SODCGXFMRXIKPN-CYBMUJFWSA-N 0 0 291.351 2.579 20 5 CFBDRN CCC[C@](C)(O)CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000274570683 385825364 /nfs/dbraw/zinc/82/53/64/385825364.db2.gz AWQUMQOTUMWRLT-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000288607944 197125538 /nfs/dbraw/zinc/12/55/38/197125538.db2.gz ZFNPEQGKUKEHDO-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCC[C@@H]1CCO ZINC000275026119 385915130 /nfs/dbraw/zinc/91/51/30/385915130.db2.gz NEMUVHGRPAKXCK-MWLCHTKSSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@@]1(C)CN(c2cc(OC)c([N+](=O)[O-])cc2F)CCO1 ZINC000276057525 385981758 /nfs/dbraw/zinc/98/17/58/385981758.db2.gz QBRODDAKWDQTRP-AWEZNQCLSA-N 0 0 298.314 2.748 20 5 CFBDRN CO[C@H](CNc1ccc(N)cc1[N+](=O)[O-])C(C)(C)C ZINC000288538437 197106441 /nfs/dbraw/zinc/10/64/41/197106441.db2.gz UXVHGDAYSYSRNH-GFCCVEGCSA-N 0 0 267.329 2.650 20 5 CFBDRN C[C@@H](NCc1cc(Cl)ccc1[N+](=O)[O-])c1cn[nH]c1 ZINC000275165233 385949182 /nfs/dbraw/zinc/94/91/82/385949182.db2.gz JSCJSFRXGZFMJG-MRVPVSSYSA-N 0 0 280.715 2.822 20 5 CFBDRN C[C@@H]1OCCN(c2nc3ccccc3cc2[N+](=O)[O-])[C@H]1C ZINC000276628884 385996921 /nfs/dbraw/zinc/99/69/21/385996921.db2.gz NXVVKXNLWHSUDM-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCc2noc3c2CCCC3)c1 ZINC000288510724 197098925 /nfs/dbraw/zinc/09/89/25/197098925.db2.gz SVRBYBXTEFTRJQ-UHFFFAOYSA-N 0 0 287.319 2.751 20 5 CFBDRN CCCCC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288507571 197098421 /nfs/dbraw/zinc/09/84/21/197098421.db2.gz WXABBUNRPFSWIM-UHFFFAOYSA-N 0 0 264.325 2.786 20 5 CFBDRN COc1ccc(OCCc2csc(C)n2)cc1[N+](=O)[O-] ZINC000276768166 386038527 /nfs/dbraw/zinc/03/85/27/386038527.db2.gz GLDWBCWJJUURCX-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000276804390 386047232 /nfs/dbraw/zinc/04/72/32/386047232.db2.gz MMXBYLCWEJGUGP-SECBINFHSA-N 0 0 296.323 2.714 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C[C@H]1C=CCC1 ZINC000288474458 197087859 /nfs/dbraw/zinc/08/78/59/197087859.db2.gz VBMMYKWBKZJSEL-ZDUSSCGKSA-N 0 0 288.347 2.952 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c(C(C)=O)c1)C(C)C ZINC000288460524 197084576 /nfs/dbraw/zinc/08/45/76/197084576.db2.gz PXTPTPSWBPAVGK-CQSZACIVSA-N 0 0 280.324 2.880 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2[nH]c1=NOC[C@@H]1CCOC1 ZINC000276926566 386081928 /nfs/dbraw/zinc/08/19/28/386081928.db2.gz PGDVYRBUXSWLIY-SNVBAGLBSA-N 0 0 289.291 2.523 20 5 CFBDRN C[C@@H](NC(=O)NC1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000276967502 386094191 /nfs/dbraw/zinc/09/41/91/386094191.db2.gz DZNRQPOKTLQRGP-MRVPVSSYSA-N 0 0 299.277 2.753 20 5 CFBDRN Cc1ccc(CN[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000288396151 197067055 /nfs/dbraw/zinc/06/70/55/197067055.db2.gz HNDUKSSRHAUDAG-QMMMGPOBSA-N 0 0 262.231 2.944 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000288364192 197059419 /nfs/dbraw/zinc/05/94/19/197059419.db2.gz HGLFHCISFAEFJY-UHFFFAOYSA-N 0 0 255.657 2.606 20 5 CFBDRN CC(C)n1ncnc1COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288314050 197050098 /nfs/dbraw/zinc/05/00/98/197050098.db2.gz CSBVLESSLPXYOJ-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC(C)(C)[C@H]1c1cccs1 ZINC000288295038 197046190 /nfs/dbraw/zinc/04/61/90/197046190.db2.gz SCFVYAVHOUSSDM-SNVBAGLBSA-N 0 0 292.364 2.977 20 5 CFBDRN O=c1oc(-c2ccc([N+](=O)[O-])cc2)nn1[C@H]1C=CCCC1 ZINC000339181643 285227837 /nfs/dbraw/zinc/22/78/37/285227837.db2.gz GFTZFOSWHNJYNG-NSHDSACASA-N 0 0 287.275 2.693 20 5 CFBDRN COC[C@@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000275487574 285273699 /nfs/dbraw/zinc/27/36/99/285273699.db2.gz QSAJJJDJAZMCAQ-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN COC[C@@H]1CCCN(c2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000268205898 285273870 /nfs/dbraw/zinc/27/38/70/285273870.db2.gz PGJYHVUJTZZHHY-SNVBAGLBSA-N 0 0 298.314 2.605 20 5 CFBDRN CC[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCCO1 ZINC000281382033 195219448 /nfs/dbraw/zinc/21/94/48/195219448.db2.gz PEJYVYKRWUITDZ-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN CC(C)=CCNC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000287094781 196779254 /nfs/dbraw/zinc/77/92/54/196779254.db2.gz LBIGLGKUAHWRTN-UHFFFAOYSA-N 0 0 291.351 2.745 20 5 CFBDRN COCC(C)(C)CCCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000286909411 196732286 /nfs/dbraw/zinc/73/22/86/196732286.db2.gz NGROJPWMXJJCMV-UHFFFAOYSA-N 0 0 294.351 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCCOC1CCC1 ZINC000286782466 196703554 /nfs/dbraw/zinc/70/35/54/196703554.db2.gz ZBQMGNROLNSBKV-UHFFFAOYSA-N 0 0 255.245 2.682 20 5 CFBDRN CCOc1cc(N[C@](C)(CC)CO)ccc1[N+](=O)[O-] ZINC000080882356 177722062 /nfs/dbraw/zinc/72/20/62/177722062.db2.gz LUEQCUHPJHCCTH-CYBMUJFWSA-N 0 0 268.313 2.566 20 5 CFBDRN C[C@H](CCO)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000080645808 177685873 /nfs/dbraw/zinc/68/58/73/177685873.db2.gz ANZAYAIAAXPBBM-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1ncccc1C ZINC000427488775 533228625 /nfs/dbraw/zinc/22/86/25/533228625.db2.gz XVNNIDQXCGHYQS-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000281535626 195279862 /nfs/dbraw/zinc/27/98/62/195279862.db2.gz RNLOJIAVYRDAAJ-PYEVWLCESA-N 0 0 292.335 2.927 20 5 CFBDRN CC1(CCNc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)CC1 ZINC000281541964 195281625 /nfs/dbraw/zinc/28/16/25/195281625.db2.gz RZWJQSGGYIUULN-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN CCOCC1(C(=O)Oc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000281545277 195282228 /nfs/dbraw/zinc/28/22/28/195282228.db2.gz BPRUXCJKCHBVLO-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H](O)c2ccccc2)s1 ZINC000281730407 195356178 /nfs/dbraw/zinc/35/61/78/195356178.db2.gz AQBFUZLYKCYUFC-JTQLQIEISA-N 0 0 279.321 2.587 20 5 CFBDRN CC[C@@H]1CCN(C(=O)COc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000281799339 195385914 /nfs/dbraw/zinc/38/59/14/195385914.db2.gz VGNOUGLLAZFJMJ-GFCCVEGCSA-N 0 0 292.335 2.541 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCC(F)(F)C2)nc1 ZINC000281910889 195429945 /nfs/dbraw/zinc/42/99/45/195429945.db2.gz NIGXPDIQIFNRJI-QMMMGPOBSA-N 0 0 272.255 2.622 20 5 CFBDRN C[C@H]1C[C@H](CCNc2ncc([N+](=O)[O-])s2)CCO1 ZINC000282050530 195487731 /nfs/dbraw/zinc/48/77/31/195487731.db2.gz XTZSVXKBLAIPJZ-DTWKUNHWSA-N 0 0 271.342 2.668 20 5 CFBDRN CN(C(=O)c1cc(C2CC2)no1)c1cccc([N+](=O)[O-])c1 ZINC000282474852 195620516 /nfs/dbraw/zinc/62/05/16/195620516.db2.gz UXTGGBPKKPWLML-UHFFFAOYSA-N 0 0 287.275 2.737 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCCC2(C)CC2)c1 ZINC000283331437 195840717 /nfs/dbraw/zinc/84/07/17/195840717.db2.gz XTFKEJQXIGPRHL-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@H]1C[C@H](C)O ZINC000283778832 195917330 /nfs/dbraw/zinc/91/73/30/195917330.db2.gz PZTVXFBDZOGSAR-JQWIXIFHSA-N 0 0 292.335 2.537 20 5 CFBDRN COCCCCNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413059923 533267622 /nfs/dbraw/zinc/26/76/22/533267622.db2.gz LPMYOSRULURFMG-UHFFFAOYSA-N 0 0 293.245 2.847 20 5 CFBDRN COCC[C@H](Nc1ncc([N+](=O)[O-])cc1F)c1ccco1 ZINC000413237173 533315634 /nfs/dbraw/zinc/31/56/34/533315634.db2.gz OMRIFIBBSRRMON-NSHDSACASA-N 0 0 295.270 2.912 20 5 CFBDRN COCCN(c1ncc([N+](=O)[O-])cc1F)[C@@H]1CC[C@H](C)C1 ZINC000413272837 533339135 /nfs/dbraw/zinc/33/91/35/533339135.db2.gz YMZDNBPDBFFGNL-WDEREUQCSA-N 0 0 297.330 2.770 20 5 CFBDRN CO[C@](C)(C(=O)OCc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000291845622 533329249 /nfs/dbraw/zinc/32/92/49/533329249.db2.gz UDYYLJVCAJTPSF-HNNXBMFYSA-N 0 0 293.319 2.762 20 5 CFBDRN COc1cccc(C(=O)Nc2ccccc2)c1[N+](=O)[O-] ZINC000193754027 533420146 /nfs/dbraw/zinc/42/01/46/533420146.db2.gz ABHFRHOOEKBGAS-UHFFFAOYSA-N 0 0 272.260 2.856 20 5 CFBDRN COc1cccc(COc2ccc([N+](=O)[O-])c(OC)c2)n1 ZINC000355948495 533531314 /nfs/dbraw/zinc/53/13/14/533531314.db2.gz VAZFJWIRRGLUKF-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN C[C@@H](N[C@@H](C)Cc1ccccc1[N+](=O)[O-])c1csnn1 ZINC000414308015 533500587 /nfs/dbraw/zinc/50/05/87/533500587.db2.gz SGNCTLLBYCTWNL-VHSXEESVSA-N 0 0 292.364 2.728 20 5 CFBDRN COc1cc(C(=O)N2CC(C)(C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000412782251 533513913 /nfs/dbraw/zinc/51/39/13/533513913.db2.gz NDPGWTQXFPZWPY-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN COC(=O)[C@]1(C)CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000413589052 533591238 /nfs/dbraw/zinc/59/12/38/533591238.db2.gz JABVIXLTRJCHDS-OAHLLOKOSA-N 0 0 292.335 2.683 20 5 CFBDRN COc1ccc(CN2CC(CC(F)F)C2)cc1[N+](=O)[O-] ZINC000425365988 533553578 /nfs/dbraw/zinc/55/35/78/533553578.db2.gz NSFOCDBYKFJCQJ-UHFFFAOYSA-N 0 0 286.278 2.690 20 5 CFBDRN Cc1[nH]ccc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000175670589 533649047 /nfs/dbraw/zinc/64/90/47/533649047.db2.gz QKZDOAPERONEEK-SECBINFHSA-N 0 0 273.292 2.722 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000425490742 533670926 /nfs/dbraw/zinc/67/09/26/533670926.db2.gz PLUMLNGBGAIZDU-JOYOIKCWSA-N 0 0 275.308 2.508 20 5 CFBDRN CC/C=C\CCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000341662544 129931794 /nfs/dbraw/zinc/93/17/94/129931794.db2.gz FWVRHXYJKHHXKP-ARJAWSKDSA-N 0 0 256.689 2.766 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1NCc1nc[nH]n1 ZINC000341800619 130038501 /nfs/dbraw/zinc/03/85/01/130038501.db2.gz RMFZKQBDRKJWFQ-UHFFFAOYSA-N 0 0 288.094 2.632 20 5 CFBDRN COC(=O)c1cc(C)nc(NC2(C)CCCC2)c1[N+](=O)[O-] ZINC000341789179 130049906 /nfs/dbraw/zinc/04/99/06/130049906.db2.gz CLMLUFUEYLGFLT-UHFFFAOYSA-N 0 0 293.323 2.829 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1nccn1C(F)F ZINC000341935904 130144710 /nfs/dbraw/zinc/14/47/10/130144710.db2.gz SXWAIWKRGWYIHW-UHFFFAOYSA-N 0 0 286.213 2.938 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1cccc(-c2ncc[nH]2)c1 ZINC000341932661 130161830 /nfs/dbraw/zinc/16/18/30/130161830.db2.gz IFGDCDQEACLYFO-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN Cc1ccc(NC(=O)NCc2cccn2C)cc1[N+](=O)[O-] ZINC000341966086 130178913 /nfs/dbraw/zinc/17/89/13/130178913.db2.gz ONAYWWWRDAVFRO-UHFFFAOYSA-N 0 0 288.307 2.563 20 5 CFBDRN Cc1cnc(NC[C@]2(C)CCO[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000342013392 130197809 /nfs/dbraw/zinc/19/78/09/130197809.db2.gz DLOMCDJQPWIQMY-HIFRSBDPSA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CSc1c[nH]nn1 ZINC000342081886 130243532 /nfs/dbraw/zinc/24/35/32/130243532.db2.gz ZFLVGBQAAMBGRE-UHFFFAOYSA-N 0 0 270.701 2.659 20 5 CFBDRN COc1cc(CN2CC[C@](C)(F)C2)c([N+](=O)[O-])cc1OC ZINC000355350393 130286042 /nfs/dbraw/zinc/28/60/42/130286042.db2.gz BXHNWWOEFOKSCK-AWEZNQCLSA-N 0 0 298.314 2.546 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(-c2ccncc2)n1 ZINC000355671021 130330975 /nfs/dbraw/zinc/33/09/75/130330975.db2.gz LSPIIOVOEOVXIT-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN COCc1noc(/C=C(/C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000342170186 130359436 /nfs/dbraw/zinc/35/94/36/130359436.db2.gz QXHPFCWCFCOSIM-CLFYSBASSA-N 0 0 275.264 2.685 20 5 CFBDRN CC[C@H](C)C(=O)COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000355963634 130361851 /nfs/dbraw/zinc/36/18/51/130361851.db2.gz ZLTIXHFIWJSHPV-VIFPVBQESA-N 0 0 267.281 2.597 20 5 CFBDRN Cc1ccccc1-c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000356074304 130373792 /nfs/dbraw/zinc/37/37/92/130373792.db2.gz PRLCTULWNOYGEX-UHFFFAOYSA-N 0 0 270.248 2.948 20 5 CFBDRN C[C@H](F)CCNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000356649926 130391539 /nfs/dbraw/zinc/39/15/39/130391539.db2.gz QBXPDBTXPOVONK-VIFPVBQESA-N 0 0 291.282 2.621 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1nnc(C2CC2)[nH]1 ZINC000357269458 130430570 /nfs/dbraw/zinc/43/05/70/130430570.db2.gz MVHPLGXOGGANOR-UHFFFAOYSA-N 0 0 287.323 2.765 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)c1cncc(F)c1 ZINC000357441854 130471138 /nfs/dbraw/zinc/47/11/38/130471138.db2.gz OGHLQNAKIMNGGB-QMMMGPOBSA-N 0 0 262.244 2.697 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCc2ncsc2C1 ZINC000357711259 130500953 /nfs/dbraw/zinc/50/09/53/130500953.db2.gz YLBGJMCASSJWGV-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2C=C[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000359536824 130520092 /nfs/dbraw/zinc/52/00/92/130520092.db2.gz YEGUAGOWOFRJMK-PWSUYJOCSA-N 0 0 290.319 2.536 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1ccnc(C)n1 ZINC000359605649 130524983 /nfs/dbraw/zinc/52/49/83/130524983.db2.gz XRFFLFWOWTYBDW-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1cc(N(C)C(=O)c2ccc([N+](=O)[O-])c(C)c2)ccn1 ZINC000359645842 130527649 /nfs/dbraw/zinc/52/76/49/130527649.db2.gz GWTIVYKZAIITBP-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cn1ccc(Cc2nc3cc4ccccc4cc3c(=O)[nH]2)n1 ZINC000359845069 130552213 /nfs/dbraw/zinc/55/22/13/130552213.db2.gz LOSAVDLSHZUYOK-UHFFFAOYSA-N 0 0 290.326 2.813 20 5 CFBDRN COC(=O)c1cnc(NC[C@@H]2CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000359931592 130564997 /nfs/dbraw/zinc/56/49/97/130564997.db2.gz LNXXCCYCAOVBDK-ZJUUUORDSA-N 0 0 293.323 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](C2CCOCC2)C1 ZINC000374352748 291998313 /nfs/dbraw/zinc/99/83/13/291998313.db2.gz QEHKTIFLOHHDCZ-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H](O)c1ccccc1F ZINC000301927042 393482089 /nfs/dbraw/zinc/48/20/89/393482089.db2.gz HVZGQQTWEVQFOK-LLVKDONJSA-N 0 0 282.296 2.941 20 5 CFBDRN C[C@H]1CCc2ccccc2[C@@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301896528 393482339 /nfs/dbraw/zinc/48/23/39/393482339.db2.gz UTVMYRYWPPYPJW-TVQRCGJNSA-N 0 0 299.334 2.703 20 5 CFBDRN CCC[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000179517052 393468453 /nfs/dbraw/zinc/46/84/53/393468453.db2.gz FGDDBBUYFRWLHZ-NSHDSACASA-N 0 0 291.351 2.972 20 5 CFBDRN CO[C@@]1(C)C[C@@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000301549721 393474881 /nfs/dbraw/zinc/47/48/81/393474881.db2.gz GLCWYSVDWGVRHQ-DOMZBBRYSA-N 0 0 293.367 2.938 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOCC[C@@H]2C)c1 ZINC000301990923 393484963 /nfs/dbraw/zinc/48/49/63/393484963.db2.gz MVWLODZRVGRTHB-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cccc2c1CCN2C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180993243 393486858 /nfs/dbraw/zinc/48/68/58/393486858.db2.gz LECALHJYXKQXPG-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000302337786 393491905 /nfs/dbraw/zinc/49/19/05/393491905.db2.gz BFAFBHWTZOFCJW-RKDXNWHRSA-N 0 0 280.353 2.929 20 5 CFBDRN Nc1c(C(=O)N[C@H]2CC23CCCCC3)cccc1[N+](=O)[O-] ZINC000181294068 393493278 /nfs/dbraw/zinc/49/32/78/393493278.db2.gz FJKACBLOVUVBLF-LBPRGKRZSA-N 0 0 289.335 2.630 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])o2)c1F ZINC000181779296 393501240 /nfs/dbraw/zinc/50/12/40/393501240.db2.gz BFLCKMMFUHNYLD-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/c1cncc(O)c1 ZINC000181772408 393501427 /nfs/dbraw/zinc/50/14/27/393501427.db2.gz YIIYMIHMPSOOCU-HWKANZROSA-N 0 0 299.286 2.656 20 5 CFBDRN Cc1cc(NC(=O)[C@@H](C)OCC2CC2)ccc1[N+](=O)[O-] ZINC000182423900 393510356 /nfs/dbraw/zinc/51/03/56/393510356.db2.gz JKEYDPIWBADHQX-SNVBAGLBSA-N 0 0 278.308 2.657 20 5 CFBDRN COC[C@H](NCc1c(F)cccc1[N+](=O)[O-])c1ccco1 ZINC000184589827 393529193 /nfs/dbraw/zinc/52/91/93/393529193.db2.gz ZFWQAHKIAGQJNT-LBPRGKRZSA-N 0 0 294.282 2.804 20 5 CFBDRN Cc1nc(CSc2ccc([N+](=O)[O-])cc2F)no1 ZINC000185150403 393531645 /nfs/dbraw/zinc/53/16/45/393531645.db2.gz ZXYHLFQSBXWBPC-UHFFFAOYSA-N 0 0 269.257 2.718 20 5 CFBDRN COc1ccc(CNCc2csc([N+](=O)[O-])c2)cc1 ZINC000037562447 393531822 /nfs/dbraw/zinc/53/18/22/393531822.db2.gz YESZDHUUGSUMMD-UHFFFAOYSA-N 0 0 278.333 2.955 20 5 CFBDRN CCOC[C@@H]1CCCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000185421143 393534217 /nfs/dbraw/zinc/53/42/17/393534217.db2.gz VSJPHLISRXEOCH-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)Cc1ccccc1 ZINC000038080475 393538997 /nfs/dbraw/zinc/53/89/97/393538997.db2.gz DSLFLUPLFDZRDL-UHFFFAOYSA-N 0 0 271.272 2.785 20 5 CFBDRN Cn1cc(CSc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000188085291 393561404 /nfs/dbraw/zinc/56/14/04/393561404.db2.gz WAGOJZLKXMBGMN-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000353910675 285556816 /nfs/dbraw/zinc/55/68/16/285556816.db2.gz LGDGCDOIWSCHIN-LBPRGKRZSA-N 0 0 294.351 2.789 20 5 CFBDRN O=c1ccn(Cc2cccc(Cl)c2F)cc1[N+](=O)[O-] ZINC000191831739 393608135 /nfs/dbraw/zinc/60/81/35/393608135.db2.gz PUGOZNNPXYRRCQ-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCCC[C@@H]2CO)c1 ZINC000048857607 393605583 /nfs/dbraw/zinc/60/55/83/393605583.db2.gz BTPOZUHFKYMIDH-GFCCVEGCSA-N 0 0 284.743 2.595 20 5 CFBDRN CCOCCNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000048607018 393589631 /nfs/dbraw/zinc/58/96/31/393589631.db2.gz CHQJPBVQSOSWAF-UHFFFAOYSA-N 0 0 294.332 2.576 20 5 CFBDRN Cc1cc(CN(C)Cc2csc([N+](=O)[O-])c2)no1 ZINC000048609597 393590226 /nfs/dbraw/zinc/59/02/26/393590226.db2.gz AROQLASFJGWWTR-UHFFFAOYSA-N 0 0 267.310 2.585 20 5 CFBDRN Cc1nccc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000194148197 393679337 /nfs/dbraw/zinc/67/93/37/393679337.db2.gz CAXHQLSUAKRZHP-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1nc(NC(=O)[C@@]2(C)CC=CCC2)ccc1[N+](=O)[O-] ZINC000410556120 393630470 /nfs/dbraw/zinc/63/04/70/393630470.db2.gz XZHFQSPWGDZMRF-AWEZNQCLSA-N 0 0 275.308 2.983 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CCCC[C@H]1C ZINC000192823331 393633912 /nfs/dbraw/zinc/63/39/12/393633912.db2.gz ZWSQGZFOQHLSMV-YGRLFVJLSA-N 0 0 291.351 2.949 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000410877394 393643700 /nfs/dbraw/zinc/64/37/00/393643700.db2.gz QCBUSHFTBZDTKJ-BZPMIXESSA-N 0 0 290.319 2.663 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)Cc1ccccc1 ZINC000193225091 393645318 /nfs/dbraw/zinc/64/53/18/393645318.db2.gz HVTIXFHGUJMAPI-UHFFFAOYSA-N 0 0 284.315 2.796 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC1(C(C)C)CC1 ZINC000410965699 393646937 /nfs/dbraw/zinc/64/69/37/393646937.db2.gz INHIJABHOLHNJU-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc(C[NH2+]CCCOC(F)F)cc1[N+](=O)[O-] ZINC000193664251 393660075 /nfs/dbraw/zinc/66/00/75/393660075.db2.gz PVXZMUCKIQIKFD-UHFFFAOYSA-N 0 0 274.267 2.622 20 5 CFBDRN COc1cccc(C(=O)N[C@@]2(C)CC=CCC2)c1[N+](=O)[O-] ZINC000411112176 393666298 /nfs/dbraw/zinc/66/62/98/393666298.db2.gz LCZZOTFUWARORI-HNNXBMFYSA-N 0 0 290.319 2.832 20 5 CFBDRN C[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000411147139 393673817 /nfs/dbraw/zinc/67/38/17/393673817.db2.gz WYUUJQOQNMEJID-BYCMXARLSA-N 0 0 288.347 2.957 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@H](C)C[C@@H](C)C1 ZINC000195329666 393738685 /nfs/dbraw/zinc/73/86/85/393738685.db2.gz UXMAJRCNYHMVPW-NXEZZACHSA-N 0 0 266.345 2.602 20 5 CFBDRN Cc1ccc(CN(C)c2ncc([N+](=O)[O-])s2)o1 ZINC000195505735 393742423 /nfs/dbraw/zinc/74/24/23/393742423.db2.gz NOSZFYNKFUPSEH-UHFFFAOYSA-N 0 0 253.283 2.589 20 5 CFBDRN CC(C)c1noc(OCc2csc([N+](=O)[O-])c2)n1 ZINC000337410551 393763091 /nfs/dbraw/zinc/76/30/91/393763091.db2.gz LKXYRNKMQMGEOU-UHFFFAOYSA-N 0 0 269.282 2.742 20 5 CFBDRN COc1cccc(C(=O)Nc2ccsc2)c1[N+](=O)[O-] ZINC000194384109 393691679 /nfs/dbraw/zinc/69/16/79/393691679.db2.gz UPEQYQLCYPSKJD-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000077227307 393695645 /nfs/dbraw/zinc/69/56/45/393695645.db2.gz JPDMDQJLSXIIQC-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)[C@@H]1C ZINC000335785199 393700754 /nfs/dbraw/zinc/70/07/54/393700754.db2.gz QDXZWZXCUMJKFO-RKDXNWHRSA-N 0 0 281.287 2.996 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H]1C(F)F ZINC000335955114 393710878 /nfs/dbraw/zinc/71/08/78/393710878.db2.gz YNASZLPYYYYPHQ-LLVKDONJSA-N 0 0 284.262 2.773 20 5 CFBDRN COc1cccc(C(=O)NCC2(C(C)C)CC2)c1[N+](=O)[O-] ZINC000194635851 393711224 /nfs/dbraw/zinc/71/12/24/393711224.db2.gz SUJNPMQFBZRMMB-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN C[C@H](c1ccncc1)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000194634211 393711264 /nfs/dbraw/zinc/71/12/64/393711264.db2.gz AJAQMTCXQVMJBT-SECBINFHSA-N 0 0 291.332 2.885 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000335965884 393711511 /nfs/dbraw/zinc/71/15/11/393711511.db2.gz GWYVPHLUCBJCGM-MNOVXSKESA-N 0 0 279.340 2.584 20 5 CFBDRN Cc1c(NC(=O)N2[C@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000336056837 393716466 /nfs/dbraw/zinc/71/64/66/393716466.db2.gz NSLVFFPFLNNXJV-RKDXNWHRSA-N 0 0 263.297 2.918 20 5 CFBDRN CC(C)(NC(=O)c1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000079629756 393720224 /nfs/dbraw/zinc/72/02/24/393720224.db2.gz BATLBSGEXATXQU-UHFFFAOYSA-N 0 0 285.303 2.655 20 5 CFBDRN C[C@H](NC(=O)N(C)CC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000080046185 393724077 /nfs/dbraw/zinc/72/40/77/393724077.db2.gz OACQZNWGPBJXSF-JTQLQIEISA-N 0 0 277.324 2.707 20 5 CFBDRN C[C@@H](F)CCNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412368608 393767176 /nfs/dbraw/zinc/76/71/76/393767176.db2.gz VQABFNIBJDHHHN-JIMOISOXSA-N 0 0 280.299 2.563 20 5 CFBDRN O=C(CCc1ccccc1)NCCc1cccc([N+](=O)[O-])c1 ZINC000089835331 393771326 /nfs/dbraw/zinc/77/13/26/393771326.db2.gz WQIFOISYXWYLNJ-UHFFFAOYSA-N 0 0 298.342 2.886 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(SCCO)c(Cl)c1 ZINC000228839436 393776221 /nfs/dbraw/zinc/77/62/21/393776221.db2.gz QGVIJMXDHDUNPA-UHFFFAOYSA-N 0 0 268.121 2.986 20 5 CFBDRN COc1cccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1F ZINC000090444533 393777204 /nfs/dbraw/zinc/77/72/04/393777204.db2.gz PFGDQVDXHYZSFL-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1nc(NC2Cc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000231039087 393780513 /nfs/dbraw/zinc/78/05/13/393780513.db2.gz CMMMLQKNFWOPRU-UHFFFAOYSA-N 0 0 269.304 2.878 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])cc(C)c2F)C1 ZINC000234091157 393782521 /nfs/dbraw/zinc/78/25/21/393782521.db2.gz DLRDLCXHRVFCMT-JTQLQIEISA-N 0 0 280.299 2.914 20 5 CFBDRN C[C@@H]1C[C@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)[C@H](C)O1 ZINC000413526533 393785160 /nfs/dbraw/zinc/78/51/60/393785160.db2.gz BWXWGQOPJJORPP-OUAUKWLOSA-N 0 0 291.351 2.788 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000245585226 393817177 /nfs/dbraw/zinc/81/71/77/393817177.db2.gz JPGMXPZXKBTICF-PTEHBNRSSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000416083327 393869246 /nfs/dbraw/zinc/86/92/46/393869246.db2.gz UNJYHTFJCVBDFJ-GMTAPVOTSA-N 0 0 262.309 2.711 20 5 CFBDRN CCOc1cc(N[C@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000111047797 393884064 /nfs/dbraw/zinc/88/40/64/393884064.db2.gz IOZPIOLRRBZOCK-LBPRGKRZSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/C1CCOCC1 ZINC000112164216 393889848 /nfs/dbraw/zinc/88/98/48/393889848.db2.gz SLJMAZYIRYCQTH-HWKANZROSA-N 0 0 290.319 2.825 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000471523032 393904401 /nfs/dbraw/zinc/90/44/01/393904401.db2.gz OOLXLSCXHUBADG-ZMWSMAPVSA-N 0 0 270.716 2.987 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2Cc3cccc(O)c3C2)n1 ZINC000428670367 393904622 /nfs/dbraw/zinc/90/46/22/393904622.db2.gz CNANOYWJBOMHJN-GFCCVEGCSA-N 0 0 299.330 2.892 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+]1CCC[C@@H](C)[C@H]1C(=O)[O-] ZINC000424412844 393843884 /nfs/dbraw/zinc/84/38/84/393843884.db2.gz DSTYQEAZQIGTBK-YGRLFVJLSA-N 0 0 292.335 2.588 20 5 CFBDRN Cc1ccc(C[N@H+]2CCC[C@@H](C)[C@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000424416693 393845003 /nfs/dbraw/zinc/84/50/03/393845003.db2.gz KXALCXUAKSAUHK-RISCZKNCSA-N 0 0 292.335 2.588 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000458115452 393849865 /nfs/dbraw/zinc/84/98/65/393849865.db2.gz OHLIOSWKSPIOKL-UONOGXRCSA-N 0 0 276.336 2.688 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000458117092 393850818 /nfs/dbraw/zinc/85/08/18/393850818.db2.gz SYJBDRJUXCSWJL-GXTWGEPZSA-N 0 0 280.299 2.898 20 5 CFBDRN COC1([C@@H](C)NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCC1 ZINC000416031033 393851116 /nfs/dbraw/zinc/85/11/16/393851116.db2.gz RYJBWVYEMMATDB-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN CSc1cccc(C(=O)NC[C@@H]2CC23CC3)c1[N+](=O)[O-] ZINC000416040198 393852324 /nfs/dbraw/zinc/85/23/24/393852324.db2.gz BGGZJMVMYGAVKI-VIFPVBQESA-N 0 0 292.360 2.847 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000458129246 393854457 /nfs/dbraw/zinc/85/44/57/393854457.db2.gz FNHDZUKLVJIIOI-ZFWWWQNUSA-N 0 0 292.335 2.524 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NC[C@@H]1CC12CC2 ZINC000416048048 393854930 /nfs/dbraw/zinc/85/49/30/393854930.db2.gz NLISSENXTLJRJI-JTQLQIEISA-N 0 0 292.360 2.603 20 5 CFBDRN Cc1nc(C)n(Cc2cc([N+](=O)[O-])ccc2OC(C)C)n1 ZINC000103719010 393858467 /nfs/dbraw/zinc/85/84/67/393858467.db2.gz VOQQYQNRIOYTAT-UHFFFAOYSA-N 0 0 290.323 2.639 20 5 CFBDRN CC(=O)c1ccc(OCc2nccs2)c([N+](=O)[O-])c1 ZINC000119106249 393914963 /nfs/dbraw/zinc/91/49/63/393914963.db2.gz RASFVZHDRGVPSO-UHFFFAOYSA-N 0 0 278.289 2.833 20 5 CFBDRN CN(C)c1ccccc1CNc1ncccc1[N+](=O)[O-] ZINC000471809925 393918487 /nfs/dbraw/zinc/91/84/87/393918487.db2.gz MQNYAZCNEDXGMM-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN Cc1c(CNC(=O)NC2CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000428980528 393929935 /nfs/dbraw/zinc/92/99/35/393929935.db2.gz VHMMHUZGVBULLR-UHFFFAOYSA-N 0 0 299.277 2.500 20 5 CFBDRN Cc1ccncc1NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000120727888 393939206 /nfs/dbraw/zinc/93/92/06/393939206.db2.gz GFWLBSQQWFJBJP-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN COC(=O)c1cc(N[C@H]2CCSC2)cc(C)c1[N+](=O)[O-] ZINC000474783191 393945978 /nfs/dbraw/zinc/94/59/78/393945978.db2.gz JUIFDHXOKCMUII-VIFPVBQESA-N 0 0 296.348 2.607 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000429245466 393948041 /nfs/dbraw/zinc/94/80/41/393948041.db2.gz KFWQTUZZFGKWBB-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN COCCCC[N@H+](C)Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000418134478 394018414 /nfs/dbraw/zinc/01/84/14/394018414.db2.gz NBCSMRGKVZKFPS-UHFFFAOYSA-N 0 0 266.341 2.762 20 5 CFBDRN CCC(CC)[C@H]1C[C@H](Nc2ccncc2[N+](=O)[O-])CCO1 ZINC000477108959 393963650 /nfs/dbraw/zinc/96/36/50/393963650.db2.gz ACHBYDALJFEGBZ-IUODEOHRSA-N 0 0 293.367 2.807 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1C[C@H](C)OC(C)(C)C1 ZINC000430193347 393966089 /nfs/dbraw/zinc/96/60/89/393966089.db2.gz DPOSTOUUTNUSFO-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(CC(F)F)C1CC1 ZINC000430215875 393968926 /nfs/dbraw/zinc/96/89/26/393968926.db2.gz DAYPARQCWCVQNA-UHFFFAOYSA-N 0 0 286.278 2.833 20 5 CFBDRN CCc1ccc(C(=O)Nc2nocc2C)cc1[N+](=O)[O-] ZINC000123774378 393987150 /nfs/dbraw/zinc/98/71/50/393987150.db2.gz VRNLQMLBAYACDF-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C[C@@H]1n1ccnc1 ZINC000268261968 393990802 /nfs/dbraw/zinc/99/08/02/393990802.db2.gz MRIJOPGNLFOMJL-WFASDCNBSA-N 0 0 286.335 2.879 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000268392537 393992154 /nfs/dbraw/zinc/99/21/54/393992154.db2.gz MSSCTEWDRWUQCY-XQQFMLRXSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCOC3(CCC3)C2)c1[N+](=O)[O-] ZINC000268942004 393996230 /nfs/dbraw/zinc/99/62/30/393996230.db2.gz GCMMSORYROOCDM-LLVKDONJSA-N 0 0 277.324 2.812 20 5 CFBDRN CO[C@@H](C)CN(C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432140124 394011493 /nfs/dbraw/zinc/01/14/93/394011493.db2.gz OWYDQMVXMHMLSW-QMMMGPOBSA-N 0 0 258.705 2.719 20 5 CFBDRN CC[C@@H]1CCN1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000490199979 394043535 /nfs/dbraw/zinc/04/35/35/394043535.db2.gz IELKQJXLXVRSRF-GFCCVEGCSA-N 0 0 277.324 2.597 20 5 CFBDRN Cc1cccc(N2CCN(c3ccccn3)CC2)c1[N+](=O)[O-] ZINC000275461928 394046684 /nfs/dbraw/zinc/04/66/84/394046684.db2.gz MVZRDKDBPLAYKF-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN C[C@@H](SC[C@@H]1COCCO1)c1ccccc1[N+](=O)[O-] ZINC000419565235 394054636 /nfs/dbraw/zinc/05/46/36/394054636.db2.gz ZHAHCFWSSZGOEW-MNOVXSKESA-N 0 0 283.349 2.804 20 5 CFBDRN C[C@H](NC(=O)C[C@H]1C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000355179146 394059523 /nfs/dbraw/zinc/05/95/23/394059523.db2.gz MXRLUZVSAVVCFY-OUAUKWLOSA-N 0 0 262.309 2.818 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCc2ccc(O)cc2)s1 ZINC000281964428 394102005 /nfs/dbraw/zinc/10/20/05/394102005.db2.gz QYCDKGSPRSADTG-UHFFFAOYSA-N 0 0 279.321 2.802 20 5 CFBDRN C[C@@H]1CCCN1c1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000278423573 394060402 /nfs/dbraw/zinc/06/04/02/394060402.db2.gz OWXCLISNCBWOLF-SSDOTTSWSA-N 0 0 275.230 2.997 20 5 CFBDRN NC(=O)c1cccc(NCCC2=CCCC2)c1[N+](=O)[O-] ZINC000439164805 394103308 /nfs/dbraw/zinc/10/33/08/394103308.db2.gz IOJOOYBGQMZCLN-UHFFFAOYSA-N 0 0 275.308 2.606 20 5 CFBDRN C[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000280506474 394073234 /nfs/dbraw/zinc/07/32/34/394073234.db2.gz PSASWKYMZRMIML-QPUJVOFHSA-N 0 0 297.742 2.647 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000280524267 394073418 /nfs/dbraw/zinc/07/34/18/394073418.db2.gz QYTFVRGQPMVMAL-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)[C@@H](C)[C@@H](C)O1 ZINC000438345685 394078420 /nfs/dbraw/zinc/07/84/20/394078420.db2.gz AHENWOIQRCFPPG-GRYCIOLGSA-N 0 0 264.325 2.593 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)[C@H](C)[C@@H](C)O1 ZINC000438345687 394079318 /nfs/dbraw/zinc/07/93/18/394079318.db2.gz AHENWOIQRCFPPG-IJLUTSLNSA-N 0 0 264.325 2.593 20 5 CFBDRN CC[C@@H]1CCN1C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000492854250 394082665 /nfs/dbraw/zinc/08/26/65/394082665.db2.gz QEGFAUBTRPLZBE-FVOPLDGLSA-N 0 0 278.283 2.758 20 5 CFBDRN CC[C@@H](NC(=O)/C=C/c1cccc([N+](=O)[O-])c1)C(F)F ZINC000493202931 394178772 /nfs/dbraw/zinc/17/87/72/394178772.db2.gz RRJZGKOWHBPYHO-XUIVZRPNSA-N 0 0 284.262 2.768 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@H]1CC[C@H](O)CC1 ZINC000439298620 394111255 /nfs/dbraw/zinc/11/12/55/394111255.db2.gz VHGAHFZAGJJOGM-XYPYZODXSA-N 0 0 298.770 2.984 20 5 CFBDRN CO[C@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000282041724 394114662 /nfs/dbraw/zinc/11/46/62/394114662.db2.gz ZWDYBOPPOQAKBY-GWCFXTLKSA-N 0 0 293.323 2.626 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2ncc([N+](=O)[O-])s2)CCO1 ZINC000282050525 394116300 /nfs/dbraw/zinc/11/63/00/394116300.db2.gz XTZSVXKBLAIPJZ-BDAKNGLRSA-N 0 0 271.342 2.668 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C1CC=CC1 ZINC000282267219 394123102 /nfs/dbraw/zinc/12/31/02/394123102.db2.gz JYHUAQQJOLLFIA-UHFFFAOYSA-N 0 0 264.256 2.947 20 5 CFBDRN CCO/C=C\C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000493012364 394123456 /nfs/dbraw/zinc/12/34/56/394123456.db2.gz ACMWWIGURIZSOT-BENRWUELSA-N 0 0 292.335 2.584 20 5 CFBDRN Cc1ccoc1CNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000493018472 394125689 /nfs/dbraw/zinc/12/56/89/394125689.db2.gz CZRVRQSJYVECFZ-VOTSOKGWSA-N 0 0 286.287 2.826 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000439717354 394128483 /nfs/dbraw/zinc/12/84/83/394128483.db2.gz UHFNSUKXZNABBC-NXEZZACHSA-N 0 0 270.304 2.943 20 5 CFBDRN CC1(F)CC(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000493056790 394135792 /nfs/dbraw/zinc/13/57/92/394135792.db2.gz GKGHVJOQIIJQKB-WAYWQWQTSA-N 0 0 278.283 2.615 20 5 CFBDRN CC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)C[C@H](CC)O1 ZINC000420961452 394141546 /nfs/dbraw/zinc/14/15/46/394141546.db2.gz SIKHIXLHLZRFFB-GJZGRUSLSA-N 0 0 278.352 2.984 20 5 CFBDRN Cc1ccc(OCc2nn(C)cc2Cl)cc1[N+](=O)[O-] ZINC000421211735 394181532 /nfs/dbraw/zinc/18/15/32/394181532.db2.gz IHAOZKGWHWYLMO-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000448772964 394183242 /nfs/dbraw/zinc/18/32/42/394183242.db2.gz FRJGLQPSYZZZEP-UKRRQHHQSA-N 0 0 290.363 2.936 20 5 CFBDRN CC[C@@](C)(CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000493185594 394172262 /nfs/dbraw/zinc/17/22/62/394172262.db2.gz GFUXHYSPPSZXQW-VSGCLNPGSA-N 0 0 292.335 2.539 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CC[C@H](C)C1 ZINC000133252398 394172925 /nfs/dbraw/zinc/17/29/25/394172925.db2.gz STVGHTBRQKICRB-WPRPVWTQSA-N 0 0 252.318 2.720 20 5 CFBDRN Cn1ccc(/C=C/C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000493191805 394174808 /nfs/dbraw/zinc/17/48/08/394174808.db2.gz PIJCDSSXEXLYET-AATRIKPKSA-N 0 0 271.276 2.585 20 5 CFBDRN CC(=O)c1cc(N2CCC=C(F)C2)ccc1[N+](=O)[O-] ZINC000286546884 394197539 /nfs/dbraw/zinc/19/75/39/394197539.db2.gz PUGMKTYEUVWEDO-UHFFFAOYSA-N 0 0 264.256 2.861 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1CCCC[C@@H]1O ZINC000134966938 394207696 /nfs/dbraw/zinc/20/76/96/394207696.db2.gz CSHRXNCRBVBFGZ-RNCFNFMXSA-N 0 0 268.288 2.697 20 5 CFBDRN COc1ccc(C)nc1NCc1cccc([N+](=O)[O-])c1 ZINC000150985646 394257825 /nfs/dbraw/zinc/25/78/25/394257825.db2.gz JLHDBPSGDOWDLU-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1nc(N2CCC(F)(F)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000291179695 394237191 /nfs/dbraw/zinc/23/71/91/394237191.db2.gz RSDOENQXIVDCNX-QMMMGPOBSA-N 0 0 271.267 2.780 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H]1C=CCCC1 ZINC000137312391 394238829 /nfs/dbraw/zinc/23/88/29/394238829.db2.gz CPSSJPYEWZARAN-LLVKDONJSA-N 0 0 292.360 2.912 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493449075 394246236 /nfs/dbraw/zinc/24/62/36/394246236.db2.gz HFWJSJGKNJDBJB-DGMVEKRQSA-N 0 0 292.360 2.618 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000493501007 394261349 /nfs/dbraw/zinc/26/13/49/394261349.db2.gz VJDDUZLRGCROQE-ATJFRQLMSA-N 0 0 284.262 2.768 20 5 CFBDRN Cc1c(CNC(=O)/C=C\c2cccnc2)cccc1[N+](=O)[O-] ZINC000493514324 394264164 /nfs/dbraw/zinc/26/41/64/394264164.db2.gz HFJOEVOXRZBNBW-FPLPWBNLSA-N 0 0 297.314 2.628 20 5 CFBDRN CCOC(=O)CN(Cc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000152089161 394270611 /nfs/dbraw/zinc/27/06/11/394270611.db2.gz QKRNWLNCJMTBEN-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H](n2cccn2)C1 ZINC000292401275 394273987 /nfs/dbraw/zinc/27/39/87/394273987.db2.gz RUIRDVFWWMTLSG-LBPRGKRZSA-N 0 0 272.308 2.551 20 5 CFBDRN COc1cc(N2CC[C@@H]3OCCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000152356800 394276433 /nfs/dbraw/zinc/27/64/33/394276433.db2.gz HCZBNHACATYKNY-RISCZKNCSA-N 0 0 292.335 2.609 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@@H]1C[C@H](C)n2ccnc21 ZINC000449574258 394281573 /nfs/dbraw/zinc/28/15/73/394281573.db2.gz UEUVJTYJYCQBSL-SMDDNHRTSA-N 0 0 286.335 2.895 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000294131249 394289624 /nfs/dbraw/zinc/28/96/24/394289624.db2.gz UBRIAMREAOAJPN-SNVBAGLBSA-N 0 0 274.276 2.966 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000493624984 394294075 /nfs/dbraw/zinc/29/40/75/394294075.db2.gz VGYYULUHZZEEBH-KRZKBDHCSA-N 0 0 260.293 2.523 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC2(CC2)CC1 ZINC000370886571 394295044 /nfs/dbraw/zinc/29/50/44/394295044.db2.gz PPGXOWKIWBQBDJ-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN Cc1cc(N2CCC[C@](C)(F)C2)ncc1[N+](=O)[O-] ZINC000294989529 394296052 /nfs/dbraw/zinc/29/60/52/394296052.db2.gz QJJKZNFRBBDILC-LBPRGKRZSA-N 0 0 253.277 2.627 20 5 CFBDRN CC(C)CCC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000154697454 394299921 /nfs/dbraw/zinc/29/99/21/394299921.db2.gz IOYVPNYHLGXGNU-UHFFFAOYSA-N 0 0 251.282 2.979 20 5 CFBDRN C[C@H]1COCCN(c2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000371319498 394300353 /nfs/dbraw/zinc/30/03/53/394300353.db2.gz RRGCRKVMWUUCDT-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1cc(N2CC[C@H](O)CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000295902300 394304654 /nfs/dbraw/zinc/30/46/54/394304654.db2.gz FDKKNPCYNIGUER-ZDUSSCGKSA-N 0 0 278.352 2.891 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@H]1F ZINC000493672941 394305320 /nfs/dbraw/zinc/30/53/20/394305320.db2.gz JODOORCYOXZGCU-XRMAHGQMSA-N 0 0 278.283 2.615 20 5 CFBDRN CCC[C@H](CNC(=O)/C=C\c1ccccc1[N+](=O)[O-])OC ZINC000493688180 394309632 /nfs/dbraw/zinc/30/96/32/394309632.db2.gz HYMACRYNCRMLKJ-ASCRHOAZSA-N 0 0 292.335 2.539 20 5 CFBDRN CCC[C@@H](CNC(=O)/C=C\c1ccccc1[N+](=O)[O-])OC ZINC000493688181 394309850 /nfs/dbraw/zinc/30/98/50/394309850.db2.gz HYMACRYNCRMLKJ-XPSMFNQNSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000297007861 394312866 /nfs/dbraw/zinc/31/28/66/394312866.db2.gz VKJHWABTBSCPNZ-SNVBAGLBSA-N 0 0 263.297 2.919 20 5 CFBDRN C[C@@H](Oc1cccnc1[N+](=O)[O-])C(=O)C1CCCCC1 ZINC000450669237 394314177 /nfs/dbraw/zinc/31/41/77/394314177.db2.gz BSHGAWCVPPUURH-SNVBAGLBSA-N 0 0 278.308 2.907 20 5 CFBDRN CCc1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2C)cn1 ZINC000155573050 394318726 /nfs/dbraw/zinc/31/87/26/394318726.db2.gz HTXNUJZPOSXUHU-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN Cc1occc1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156566513 394335786 /nfs/dbraw/zinc/33/57/86/394335786.db2.gz JIQQIFFNDKXXKB-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN CC[C@](C)(CNc1ccc([N+](=O)[O-])cc1COC)OC ZINC000450716087 394324161 /nfs/dbraw/zinc/32/41/61/394324161.db2.gz OJAFDVASVKIHKJ-CQSZACIVSA-N 0 0 282.340 2.968 20 5 CFBDRN CC[C@@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000493842263 394327931 /nfs/dbraw/zinc/32/79/31/394327931.db2.gz YXIYFGKRUFHGDS-UIJKISKDSA-N 0 0 284.262 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CF)CCOCC2)cc1 ZINC000450732760 394329514 /nfs/dbraw/zinc/32/95/14/394329514.db2.gz KHDWZJBWLNXGCZ-UHFFFAOYSA-N 0 0 268.288 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H]2CCCN(c3cnccn3)C2)cc1 ZINC000450770115 394338550 /nfs/dbraw/zinc/33/85/50/394338550.db2.gz XGNDQELLFBGVLD-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1nc(N[C@H](C(=O)OC(C)C)C(C)C)ccc1[N+](=O)[O-] ZINC000450771065 394339126 /nfs/dbraw/zinc/33/91/26/394339126.db2.gz FOJADJVNOXAJKO-ZDUSSCGKSA-N 0 0 295.339 2.686 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](C)n3ccnc32)ncc1[N+](=O)[O-] ZINC000450828094 394341366 /nfs/dbraw/zinc/34/13/66/394341366.db2.gz JRDKKSZTQANHAB-ZJUUUORDSA-N 0 0 273.296 2.613 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000450853535 394341876 /nfs/dbraw/zinc/34/18/76/394341876.db2.gz BIKCBXNRQQDEJD-GXTWGEPZSA-N 0 0 280.324 2.759 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)C1CCOCC1 ZINC000157382771 394344629 /nfs/dbraw/zinc/34/46/29/394344629.db2.gz MZRDIKNNUFYJDO-LLVKDONJSA-N 0 0 292.335 2.904 20 5 CFBDRN CN(C(=O)NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC1(C)C ZINC000494434771 394353718 /nfs/dbraw/zinc/35/37/18/394353718.db2.gz ZOAJUMSWHLATEO-CYBMUJFWSA-N 0 0 291.351 2.577 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1COc1ccc(CCO)cc1 ZINC000158117468 394354549 /nfs/dbraw/zinc/35/45/49/394354549.db2.gz BYFRCEYYVDEEJM-UHFFFAOYSA-N 0 0 291.278 2.848 20 5 CFBDRN C[C@@H]1CC(NC(=O)Nc2cccc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000158242778 394356133 /nfs/dbraw/zinc/35/61/33/394356133.db2.gz WAQUFGVRGDNRGV-NXEZZACHSA-N 0 0 293.323 2.672 20 5 CFBDRN C[C@]1(F)CCCN(CCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000451116615 394359323 /nfs/dbraw/zinc/35/93/23/394359323.db2.gz RZLKECZADWETBO-AWEZNQCLSA-N 0 0 282.315 2.798 20 5 CFBDRN C[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])CCC1=O ZINC000168870772 394370500 /nfs/dbraw/zinc/37/05/00/394370500.db2.gz VTEZVPZRWLHARS-SECBINFHSA-N 0 0 282.727 2.659 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1CCC1CCCC1 ZINC000178417773 394388075 /nfs/dbraw/zinc/38/80/75/394388075.db2.gz GKRJLWLUKULAAX-UHFFFAOYSA-N 0 0 287.319 2.885 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)c([N+](=O)[O-])cc2F)C[C@@H](C)O1 ZINC000271101057 135091401 /nfs/dbraw/zinc/09/14/01/135091401.db2.gz PHPQAZCIICJJIL-ZJUUUORDSA-N 0 0 298.314 2.746 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000275051523 135102587 /nfs/dbraw/zinc/10/25/87/135102587.db2.gz SZKGMELBWAQMNJ-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN CCC(C)(C)OCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000457467399 394462846 /nfs/dbraw/zinc/46/28/46/394462846.db2.gz SNNULVWFBGOWTK-NSHDSACASA-N 0 0 294.351 2.977 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CSc3cnccn3)nc2c1 ZINC000530387662 394510965 /nfs/dbraw/zinc/51/09/65/394510965.db2.gz HIYUIPOXOAEQNZ-UHFFFAOYSA-N 0 0 287.304 2.553 20 5 CFBDRN CCC(C)(C)OCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000457363324 394441528 /nfs/dbraw/zinc/44/15/28/394441528.db2.gz ASEPSHKSEZTTGP-UHFFFAOYSA-N 0 0 266.297 2.739 20 5 CFBDRN C[C@@H](C(=O)NCCNc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000457403498 394447336 /nfs/dbraw/zinc/44/73/36/394447336.db2.gz OIYHODCAMVRTHF-LLVKDONJSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1cc(N2CCO[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000537567180 394585051 /nfs/dbraw/zinc/58/50/51/394585051.db2.gz ZFNAKMFIZQSATA-AWEZNQCLSA-N 0 0 264.325 2.764 20 5 CFBDRN COc1cc(CN(C)c2cccc(O)c2)ccc1[N+](=O)[O-] ZINC000537606674 394586729 /nfs/dbraw/zinc/58/67/29/394586729.db2.gz FSUPKQBKKISNCX-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@@H](C)O[C@@H](C)C2)n1 ZINC000541007276 394662520 /nfs/dbraw/zinc/66/25/20/394662520.db2.gz SFPYDGSHEGWHCC-ZSBIGDGJSA-N 0 0 279.340 2.975 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000538385778 394602978 /nfs/dbraw/zinc/60/29/78/394602978.db2.gz HXKQSHAMNBJQEI-AWEZNQCLSA-N 0 0 291.351 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2[C@H](C)C[C@H]2C)c1 ZINC000540687792 394651732 /nfs/dbraw/zinc/65/17/32/394651732.db2.gz MYNNRLZGEJPNLW-VXGBXAGGSA-N 0 0 292.335 2.681 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc([C@H]3Cc4ccccc43)no2)c1 ZINC000544970735 394775566 /nfs/dbraw/zinc/77/55/66/394775566.db2.gz GJRCXFOFRXINOR-NSHDSACASA-N 0 0 282.259 2.661 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(CNc2ccccc2[N+](=O)[O-])n1 ZINC000545064649 394778343 /nfs/dbraw/zinc/77/83/43/394778343.db2.gz PWCLXNIYALYMKC-DTWKUNHWSA-N 0 0 274.280 2.713 20 5 CFBDRN O=C(Nc1ccnc2ccccc21)c1csc([N+](=O)[O-])c1 ZINC000545195122 394782150 /nfs/dbraw/zinc/78/21/50/394782150.db2.gz BUIKWNJAWOSKLN-UHFFFAOYSA-N 0 0 299.311 2.879 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000545267557 394786963 /nfs/dbraw/zinc/78/69/63/394786963.db2.gz NRTLMIJXHGWEAM-LLVKDONJSA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1cc(Cc2nc(-c3ccc([N+](=O)[O-])cc3C)no2)n[nH]1 ZINC000545688955 394799528 /nfs/dbraw/zinc/79/95/28/394799528.db2.gz OOUMHMHVTZAXRN-UHFFFAOYSA-N 0 0 299.290 2.576 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(CCc2cccc([N+](=O)[O-])c2)n1 ZINC000545773886 394802324 /nfs/dbraw/zinc/80/23/24/394802324.db2.gz DJJLUJFFALZHJS-SKDRFNHKSA-N 0 0 273.292 2.886 20 5 CFBDRN CCN(C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C(C)C ZINC000545891280 394806101 /nfs/dbraw/zinc/80/61/01/394806101.db2.gz YGEBKWPSRXXMGU-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN Cc1ccncc1N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000547336560 394859525 /nfs/dbraw/zinc/85/95/25/394859525.db2.gz LGXQXSGLFIIYDO-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN COC[C@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000547760898 394887408 /nfs/dbraw/zinc/88/74/08/394887408.db2.gz GQDYSWKKIWKWPT-LBPRGKRZSA-N 0 0 299.302 2.526 20 5 CFBDRN Cn1c(C(=O)Nc2cccc3cc[nH]c32)ccc1[N+](=O)[O-] ZINC000547817124 394892286 /nfs/dbraw/zinc/89/22/86/394892286.db2.gz DXIPWLWYBPYAMM-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548412652 394928419 /nfs/dbraw/zinc/92/84/19/394928419.db2.gz XRPBAOXNBPPJKU-SCVCMEIPSA-N 0 0 294.355 2.509 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC[C@H](CC(C)(C)C)C1 ZINC000556550837 395003649 /nfs/dbraw/zinc/00/36/49/395003649.db2.gz RSTMONOEIHLWFT-LLVKDONJSA-N 0 0 293.367 2.832 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000555222060 394968249 /nfs/dbraw/zinc/96/82/49/394968249.db2.gz QSPODOPZUSTXRQ-CMPLNLGQSA-N 0 0 254.261 2.632 20 5 CFBDRN O=C(Nc1cccc(C2CC2)n1)c1ccc([N+](=O)[O-])cn1 ZINC000558531921 395063639 /nfs/dbraw/zinc/06/36/39/395063639.db2.gz LORCJLGSPGQAGW-UHFFFAOYSA-N 0 0 284.275 2.515 20 5 CFBDRN O=C(NC[C@H]1CCCC(F)(F)C1)c1ccc([N+](=O)[O-])cn1 ZINC000558594013 395065771 /nfs/dbraw/zinc/06/57/71/395065771.db2.gz RENWVHFXEJZRLT-VIFPVBQESA-N 0 0 299.277 2.545 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@@H](F)C1 ZINC000450607653 296502536 /nfs/dbraw/zinc/50/25/36/296502536.db2.gz XVRRCLOKNKDFOC-SNVBAGLBSA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2ccncc2)cn1 ZINC000450252580 296506608 /nfs/dbraw/zinc/50/66/08/296506608.db2.gz ZQGKEINZMRSDTE-CYBMUJFWSA-N 0 0 270.292 2.726 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@@H]1c1ncc[nH]1 ZINC000359511789 296511353 /nfs/dbraw/zinc/51/13/53/296511353.db2.gz ADVADOGANFADGW-CYBMUJFWSA-N 0 0 290.298 2.794 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC2(CCC(O)CC2)CC1 ZINC000294920690 296516827 /nfs/dbraw/zinc/51/68/27/296516827.db2.gz JWKIFUJRBVZDGO-UHFFFAOYSA-N 0 0 291.351 2.511 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC=C(C(F)(F)F)CC1 ZINC000270250362 296516496 /nfs/dbraw/zinc/51/64/96/296516496.db2.gz SBWGDMRBQFLPEW-UHFFFAOYSA-N 0 0 273.214 2.689 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCO[C@H]3CCC[C@@H]32)c(Cl)c1 ZINC000377759947 296519594 /nfs/dbraw/zinc/51/95/94/296519594.db2.gz JNKXBNKXNCOTML-RYUDHWBXSA-N 0 0 297.742 2.791 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1[C@H]1C=CCCC1 ZINC000342181374 296528968 /nfs/dbraw/zinc/52/89/68/296528968.db2.gz ZKEFIYCFLOTIOV-JTQLQIEISA-N 0 0 271.276 2.586 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2c(F)cccc2[N+](=O)[O-])C1 ZINC000336785755 285745421 /nfs/dbraw/zinc/74/54/21/285745421.db2.gz FYJVZMQQAJQPKC-DTWKUNHWSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CCS1 ZINC000191363930 296540235 /nfs/dbraw/zinc/54/02/35/296540235.db2.gz RQAGGMOPEVXKST-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN CN(CC1CCC1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000110470297 397599103 /nfs/dbraw/zinc/59/91/03/397599103.db2.gz HOTVJZSFARFXIS-JXMROGBWSA-N 0 0 274.320 2.867 20 5 CFBDRN CO[C@@]1(C)CCCN(c2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000280385894 285919402 /nfs/dbraw/zinc/91/94/02/285919402.db2.gz ZUNIQQQDQPMCIQ-AWEZNQCLSA-N 0 0 279.340 2.694 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C1(C)C ZINC000288177616 285925502 /nfs/dbraw/zinc/92/55/02/285925502.db2.gz BHHYTUZWQGIQCX-OCCSQVGLSA-N 0 0 279.340 2.793 20 5 CFBDRN CC(C)c1cccc(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000356033176 155142411 /nfs/dbraw/zinc/14/24/11/155142411.db2.gz AVSSYEQBLBWHGC-UHFFFAOYSA-N 0 0 287.319 2.976 20 5 CFBDRN C[C@@H]1CCC[C@@H](c2noc(-c3c([N+](=O)[O-])cnn3C)n2)C1 ZINC000356186918 155180790 /nfs/dbraw/zinc/18/07/90/155180790.db2.gz KDZDFTYUZRANDT-RKDXNWHRSA-N 0 0 291.311 2.672 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000356246121 155195665 /nfs/dbraw/zinc/19/56/65/155195665.db2.gz NFFKMAXNHDAFOG-AAEUAGOBSA-N 0 0 276.336 2.642 20 5 CFBDRN CO[C@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000376869620 286042678 /nfs/dbraw/zinc/04/26/78/286042678.db2.gz MACHGQNEQHXKHQ-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN CO[C@H]1CCCN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000276673840 286042950 /nfs/dbraw/zinc/04/29/50/286042950.db2.gz TZTKBEQILFQMBZ-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccc([C@@H](C)N(C)Cc2c([N+](=O)[O-])ncn2C)cc1 ZINC000355803156 292191204 /nfs/dbraw/zinc/19/12/04/292191204.db2.gz QZJISNSNUVVJJE-GFCCVEGCSA-N 0 0 288.351 2.830 20 5 CFBDRN CO[C@H]1CCN(c2nccc(C)c2[N+](=O)[O-])CC1(C)C ZINC000295646830 286064616 /nfs/dbraw/zinc/06/46/16/286064616.db2.gz CPRXIWJUFWRPFG-NSHDSACASA-N 0 0 279.340 2.550 20 5 CFBDRN CO[C@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C[C@@H]1C ZINC000450771233 286063405 /nfs/dbraw/zinc/06/34/05/286063405.db2.gz YJVDFFKXKAFXEH-AAEUAGOBSA-N 0 0 264.325 2.764 20 5 CFBDRN CCC[C@H](CNc1cc(C(=O)OC)ccc1[N+](=O)[O-])OC ZINC000356302230 155216970 /nfs/dbraw/zinc/21/69/70/155216970.db2.gz ZLASCWPKEGXISH-LLVKDONJSA-N 0 0 296.323 2.608 20 5 CFBDRN CO[C@](C)(CNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000292317480 286146794 /nfs/dbraw/zinc/14/67/94/286146794.db2.gz MEBYRUOIMOFUBU-CYBMUJFWSA-N 0 0 250.298 2.822 20 5 CFBDRN CCC[C@H](CNc1c(F)cccc1[N+](=O)[O-])OC ZINC000356411404 155257345 /nfs/dbraw/zinc/25/73/45/155257345.db2.gz KGXKLNSWOCCHOQ-SECBINFHSA-N 0 0 256.277 2.961 20 5 CFBDRN COc1c(C(=O)N2CCC(C)(C)CC2)cccc1[N+](=O)[O-] ZINC000355543148 286175871 /nfs/dbraw/zinc/17/58/71/286175871.db2.gz LFHYIRPMQMSDTI-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000088704983 296638829 /nfs/dbraw/zinc/63/88/29/296638829.db2.gz DVRXIUHPLAJFLC-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN CCO[C@@H]1CCCN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000301331978 286206366 /nfs/dbraw/zinc/20/63/66/286206366.db2.gz DXNQAEOVNUPJNJ-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc([O-])cc([N+](=O)[O-])c2)CC1 ZINC000356499647 155283720 /nfs/dbraw/zinc/28/37/20/155283720.db2.gz DOPWDIPVNBJMPA-UHFFFAOYSA-N 0 0 278.308 2.563 20 5 CFBDRN CCO[C@@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000450748700 286216360 /nfs/dbraw/zinc/21/63/60/286216360.db2.gz XGOSTRBVOHGKRN-BXUZGUMPSA-N 0 0 264.325 2.846 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](C)[C@H]2C)c1 ZINC000301499716 286225831 /nfs/dbraw/zinc/22/58/31/286225831.db2.gz WJBAZSWLZDHUMI-RKDXNWHRSA-N 0 0 268.288 2.977 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000356751275 155352393 /nfs/dbraw/zinc/35/23/93/155352393.db2.gz SYAVNJNXPXZGBT-BXKDBHETSA-N 0 0 289.335 2.697 20 5 CFBDRN Cc1cc(-c2nc(Cc3ccon3)no2)ccc1[N+](=O)[O-] ZINC000356777374 155364947 /nfs/dbraw/zinc/36/49/47/155364947.db2.gz JOVCUPDPXQPBTD-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1Cc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000356795993 155371654 /nfs/dbraw/zinc/37/16/54/155371654.db2.gz BPCBNCCDYKEIRB-UKRRQHHQSA-N 0 0 296.326 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2(C(C)C)CC2)c1 ZINC000297661399 292283282 /nfs/dbraw/zinc/28/32/82/292283282.db2.gz FFFNDTPIXXSXKT-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H]1C[C@H](C)CCC1=O ZINC000450609929 286384535 /nfs/dbraw/zinc/38/45/35/286384535.db2.gz FPXQTQGBYXXZFS-RNCFNFMXSA-N 0 0 279.292 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@]3(CCOC3)C2)c1 ZINC000408246275 292288475 /nfs/dbraw/zinc/28/84/75/292288475.db2.gz KWCCBOIKBJKNCN-CQSZACIVSA-N 0 0 262.309 2.520 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCCO[C@@H](C(C)C)C2)c1 ZINC000294197746 286382139 /nfs/dbraw/zinc/38/21/39/286382139.db2.gz UAOQAQPZCIUELS-OAHLLOKOSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N(C)CC(C)C)c1 ZINC000344377041 292290439 /nfs/dbraw/zinc/29/04/39/292290439.db2.gz KROFVXIFMHAGFQ-UHFFFAOYSA-N 0 0 294.351 2.787 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ncccc1[N+](=O)[O-])CC2 ZINC000373696188 286396488 /nfs/dbraw/zinc/39/64/88/286396488.db2.gz UFXWMJBHZBNLBF-UHFFFAOYSA-N 0 0 299.330 2.604 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCSC[C@H](C)C1 ZINC000191323274 292292377 /nfs/dbraw/zinc/29/23/77/292292377.db2.gz HBOKRIXTFCNIFU-SNVBAGLBSA-N 0 0 294.376 2.728 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2Sc2ncco2)s1 ZINC000343088431 154111349 /nfs/dbraw/zinc/11/13/49/154111349.db2.gz YADAOIZNVNBYGH-UHFFFAOYSA-N 0 0 280.290 2.739 20 5 CFBDRN CCc1ccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])cc1Cl ZINC000343112589 154116962 /nfs/dbraw/zinc/11/69/62/154116962.db2.gz KXGPEOTUPUSCQB-UHFFFAOYSA-N 0 0 294.698 2.786 20 5 CFBDRN Cc1ccc(NCc2cc(C)nc(C)n2)c([N+](=O)[O-])c1 ZINC000343149425 154125810 /nfs/dbraw/zinc/12/58/10/154125810.db2.gz OJFHKXHCRZFIRY-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1cc(CNc2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000343161394 154129488 /nfs/dbraw/zinc/12/94/88/154129488.db2.gz NIBNXYQVOZSJGS-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CCOc1cccc(N(C)[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000343257513 154158111 /nfs/dbraw/zinc/15/81/11/154158111.db2.gz YDZFCSZUMHTHEK-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1nc(N[C@@H](c2nccn2C)C2CC2)ccc1[N+](=O)[O-] ZINC000343262164 154159847 /nfs/dbraw/zinc/15/98/47/154159847.db2.gz GPRMDMUYTQNSDI-CYBMUJFWSA-N 0 0 287.323 2.595 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c2cnccc21)[C@H]1CCCOC1 ZINC000343276039 154164270 /nfs/dbraw/zinc/16/42/70/154164270.db2.gz UREMBRWURMKBDB-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(N[C@H]1C[C@H]1C(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000345533641 154198421 /nfs/dbraw/zinc/19/84/21/154198421.db2.gz NJFDLOYTIKOUDM-BDAKNGLRSA-N 0 0 290.653 2.632 20 5 CFBDRN CO[C@@H](c1nc(-c2ccc([N+](=O)[O-])cc2)no1)C(C)C ZINC000345545326 154201928 /nfs/dbraw/zinc/20/19/28/154201928.db2.gz PRRWREXQBJBXAZ-LLVKDONJSA-N 0 0 277.280 2.988 20 5 CFBDRN C[C@H]1[C@@H](c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)C1(F)F ZINC000345650191 154231105 /nfs/dbraw/zinc/23/11/05/154231105.db2.gz XDGGIKVXMWZUNE-CDUCUWFYSA-N 0 0 296.233 2.596 20 5 CFBDRN C/C=C\c1ccc(NC(=O)c2cc([N+](=O)[O-])nn2C)cc1 ZINC000345679226 154237614 /nfs/dbraw/zinc/23/76/14/154237614.db2.gz INPLNAONKKPYTB-ARJAWSKDSA-N 0 0 286.291 2.614 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC2(C)C)cc([N+](=O)[O-])c1C ZINC000345738653 154254313 /nfs/dbraw/zinc/25/43/13/154254313.db2.gz HXXJKSGDPJPVMI-CYBMUJFWSA-N 0 0 292.335 2.830 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])no1 ZINC000345776709 154264585 /nfs/dbraw/zinc/26/45/85/154264585.db2.gz CNEKMVFPZZUMRZ-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN O=C(N[C@H]1C[C@H]1C(F)F)c1c(Cl)cccc1[N+](=O)[O-] ZINC000345807010 154270961 /nfs/dbraw/zinc/27/09/61/154270961.db2.gz PBODFNUFXLHSGB-VDTYLAMSSA-N 0 0 290.653 2.632 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)C(F)(F)F ZINC000348084014 154312911 /nfs/dbraw/zinc/31/29/11/154312911.db2.gz XTMYONMAFYBJAS-JTQLQIEISA-N 0 0 290.241 2.974 20 5 CFBDRN CC[C@H](C)N(CCO)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000348157797 154343515 /nfs/dbraw/zinc/34/35/15/154343515.db2.gz ROCHWCVASYUCHZ-NSHDSACASA-N 0 0 295.339 2.528 20 5 CFBDRN CC[C@@H](C)N(CCO)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000348157796 154343676 /nfs/dbraw/zinc/34/36/76/154343676.db2.gz ROCHWCVASYUCHZ-LLVKDONJSA-N 0 0 295.339 2.528 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC[C@H]1C ZINC000348173063 154349207 /nfs/dbraw/zinc/34/92/07/154349207.db2.gz UGBCCWUNLXNGHG-PSASIEDQSA-N 0 0 279.296 2.523 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CC[C@H]1CCCCO1)CC2 ZINC000348255653 154382590 /nfs/dbraw/zinc/38/25/90/154382590.db2.gz RWKNOOSBNHURSX-CQSZACIVSA-N 0 0 276.336 2.917 20 5 CFBDRN Cc1ccncc1CN1CCc2ccc([N+](=O)[O-])cc21 ZINC000348263348 154385685 /nfs/dbraw/zinc/38/56/85/154385685.db2.gz SVOYGQNRAJWKQH-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@H]2CC[C@H](C)O2)n1 ZINC000352562242 154612890 /nfs/dbraw/zinc/61/28/90/154612890.db2.gz IDCFEKAIUHXSKK-WDEREUQCSA-N 0 0 266.297 2.553 20 5 CFBDRN CC(C)(CF)NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000354469749 154721364 /nfs/dbraw/zinc/72/13/64/154721364.db2.gz UAYVQHKFVGOGAG-UHFFFAOYSA-N 0 0 286.328 2.551 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000354547347 154742174 /nfs/dbraw/zinc/74/21/74/154742174.db2.gz RQENJLCEXVKIIP-UHFFFAOYSA-N 0 0 298.302 2.676 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000354851212 154835253 /nfs/dbraw/zinc/83/52/53/154835253.db2.gz OMLFVCCCWPACSW-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CC(C)(C)CCCCNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000355083899 154908857 /nfs/dbraw/zinc/90/88/57/154908857.db2.gz HTQLYCACPFRRBK-UHFFFAOYSA-N 0 0 267.329 2.869 20 5 CFBDRN Cc1cccc(-c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)c1 ZINC000355545026 155036088 /nfs/dbraw/zinc/03/60/88/155036088.db2.gz KMPQZRPNFNBXPK-UHFFFAOYSA-N 0 0 270.248 2.948 20 5 CFBDRN C[C@@H](c1ccc(F)cc1)N(C)Cc1c([N+](=O)[O-])ncn1C ZINC000355660628 155057831 /nfs/dbraw/zinc/05/78/31/155057831.db2.gz NWTZBCMUYGBTTB-JTQLQIEISA-N 0 0 292.314 2.660 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCCCC[C@H]1c1ccccc1 ZINC000368759284 304395788 /nfs/dbraw/zinc/39/57/88/304395788.db2.gz ZDWSRXBHTLOPIO-QLFBSQMISA-N 0 0 288.347 2.796 20 5 CFBDRN CC1(c2nc(Cc3ccccc3[N+](=O)[O-])no2)CC1 ZINC000355688400 155063048 /nfs/dbraw/zinc/06/30/48/155063048.db2.gz IASSNGNWTIVWRI-UHFFFAOYSA-N 0 0 259.265 2.620 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@H]2CCSC2)n1 ZINC000355685743 155063073 /nfs/dbraw/zinc/06/30/73/155063073.db2.gz CZPJGXZDPSMETE-JTQLQIEISA-N 0 0 291.332 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(Cc2ccncc2)no1 ZINC000355800760 155091279 /nfs/dbraw/zinc/09/12/79/155091279.db2.gz FEGSUEKDEAWVKV-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN C[C@@H](c1ccccc1F)N(C)Cc1c([N+](=O)[O-])ncn1C ZINC000355803763 155093091 /nfs/dbraw/zinc/09/30/91/155093091.db2.gz RZWSNURQQINMEA-JTQLQIEISA-N 0 0 292.314 2.660 20 5 CFBDRN CSC1(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000355867535 155104573 /nfs/dbraw/zinc/10/45/73/155104573.db2.gz MQBWCMFGAZLTPC-SECBINFHSA-N 0 0 280.349 2.668 20 5 CFBDRN COc1cccc(CN(C)c2ccncc2[N+](=O)[O-])c1 ZINC000357035395 155478462 /nfs/dbraw/zinc/47/84/62/155478462.db2.gz FEQAHMMEODBGDC-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CCNC(=O)c1ccc([N+](=O)[O-])n1C ZINC000357869935 155561823 /nfs/dbraw/zinc/56/18/23/155561823.db2.gz YFWGJVFRIDRVQV-NWDGAFQWSA-N 0 0 293.367 2.880 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000358026846 155606157 /nfs/dbraw/zinc/60/61/57/155606157.db2.gz ZSHUTQXLNPSEEB-NEPJUHHUSA-N 0 0 291.351 2.559 20 5 CFBDRN CCOc1cccc(NCC[C@H](O)C(C)C)c1[N+](=O)[O-] ZINC000358278972 155702593 /nfs/dbraw/zinc/70/25/93/155702593.db2.gz NXEWOANTCCVLLK-LBPRGKRZSA-N 0 0 282.340 2.812 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000358416444 155758428 /nfs/dbraw/zinc/75/84/28/155758428.db2.gz UPEBVHMANOWHGZ-SNVBAGLBSA-N 0 0 294.326 2.971 20 5 CFBDRN CN(CCCOc1ccccc1)c1ccc([N+](=O)[O-])cn1 ZINC000358807509 155883540 /nfs/dbraw/zinc/88/35/40/155883540.db2.gz FMKGGXKTPLWOPI-UHFFFAOYSA-N 0 0 287.319 2.895 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]1C ZINC000359117711 155992212 /nfs/dbraw/zinc/99/22/12/155992212.db2.gz JYIZWCMLVFPOIE-VWYCJHECSA-N 0 0 279.340 2.725 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000359710236 156025485 /nfs/dbraw/zinc/02/54/85/156025485.db2.gz WVYFXVINYVOTKJ-SNVBAGLBSA-N 0 0 267.329 2.584 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)CC(F)(F)F ZINC000362736113 156076286 /nfs/dbraw/zinc/07/62/86/156076286.db2.gz SEYVRRCTYFZSCD-QMMMGPOBSA-N 0 0 290.241 2.974 20 5 CFBDRN COC(=O)c1cc(NCCC2CC2)c(C)c([N+](=O)[O-])c1 ZINC000362750959 156079781 /nfs/dbraw/zinc/07/97/81/156079781.db2.gz AIKRJFUJMTZUMC-UHFFFAOYSA-N 0 0 278.308 2.902 20 5 CFBDRN COC(=O)c1cc(NCC2CC2)c(C)c([N+](=O)[O-])c1 ZINC000362754966 156081349 /nfs/dbraw/zinc/08/13/49/156081349.db2.gz CSBXHYWZQGIGEW-UHFFFAOYSA-N 0 0 264.281 2.512 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CC1(C)C ZINC000362942854 156122273 /nfs/dbraw/zinc/12/22/73/156122273.db2.gz IBSPRSCIGIWVAM-GFCCVEGCSA-N 0 0 293.323 2.866 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000362950089 156123240 /nfs/dbraw/zinc/12/32/40/156123240.db2.gz XTYHLLJCVWXYDM-IWIIMEHWSA-N 0 0 275.308 2.823 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC(F)(c2ccccc2)C1 ZINC000367753174 156386573 /nfs/dbraw/zinc/38/65/73/156386573.db2.gz QWTWLSOEWIQJPY-UHFFFAOYSA-N 0 0 290.250 2.509 20 5 CFBDRN Cc1nc(NCC2(c3ccccn3)CCC2)ccc1[N+](=O)[O-] ZINC000367866159 156399471 /nfs/dbraw/zinc/39/94/71/156399471.db2.gz SFRYCOLNXZTZLL-UHFFFAOYSA-N 0 0 298.346 2.649 20 5 CFBDRN Cc1ccc(C(=O)N2CCC3(CC3)CC2)cc1[N+](=O)[O-] ZINC000369758773 156615833 /nfs/dbraw/zinc/61/58/33/156615833.db2.gz AXAWLFSSUGOBJU-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1cccnc1 ZINC000371476027 156786454 /nfs/dbraw/zinc/78/64/54/156786454.db2.gz UAFMJGVJYDORET-CABCVRRESA-N 0 0 298.298 2.837 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCC[C@H]1c1cccc(Cl)c1 ZINC000373934541 157049199 /nfs/dbraw/zinc/04/91/99/157049199.db2.gz ASKJNNGHTWTWIU-FRRDWIJNSA-N 0 0 294.738 2.669 20 5 CFBDRN Cc1cc(N2CCCC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000374104414 157069904 /nfs/dbraw/zinc/06/99/04/157069904.db2.gz DUYGTDUFCWRKEO-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2cccnc2)s1 ZINC000374496536 157121454 /nfs/dbraw/zinc/12/14/54/157121454.db2.gz OFDLAQPHVSQVEJ-JTQLQIEISA-N 0 0 276.321 2.788 20 5 CFBDRN O=[N+]([O-])c1cccc(CN[C@@H]2COc3cccc(F)c32)c1 ZINC000374676949 157147936 /nfs/dbraw/zinc/14/79/36/157147936.db2.gz IAZLELSRHMGHNC-CYBMUJFWSA-N 0 0 288.278 2.957 20 5 CFBDRN Cc1c(CN2CCO[C@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000375331707 157228392 /nfs/dbraw/zinc/22/83/92/157228392.db2.gz LPCJNBYOIACQSG-HNNXBMFYSA-N 0 0 276.336 2.514 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C3CC3)C2)c2ccncc21 ZINC000375330412 157229232 /nfs/dbraw/zinc/22/92/32/157229232.db2.gz DYERLBODZVHZRD-INIZCTEOSA-N 0 0 299.330 2.758 20 5 CFBDRN CC1(c2ccccc2F)CN(c2ncc([N+](=O)[O-])s2)C1 ZINC000375583911 157256924 /nfs/dbraw/zinc/25/69/24/157256924.db2.gz LUNZHPJMAOKGSW-UHFFFAOYSA-N 0 0 293.323 2.968 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])s2)CCO[C@H]1C1CC1 ZINC000375597137 157258461 /nfs/dbraw/zinc/25/84/61/157258461.db2.gz JUBJMTCPBBTGMC-CMPLNLGQSA-N 0 0 283.353 2.668 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2CCCOCC2)c([N+](=O)[O-])c1 ZINC000376120099 157324691 /nfs/dbraw/zinc/32/46/91/157324691.db2.gz XVODZIZCTCAATG-LBPRGKRZSA-N 0 0 293.319 2.934 20 5 CFBDRN Nc1ccc(NC[C@@H]2OCCc3ccccc32)c([N+](=O)[O-])c1 ZINC000376170353 157329556 /nfs/dbraw/zinc/32/95/56/157329556.db2.gz CBMIVKOWNIPQSQ-INIZCTEOSA-N 0 0 299.330 2.903 20 5 CFBDRN Nc1ccc(NC[C@H]2OCCc3ccccc32)c([N+](=O)[O-])c1 ZINC000376170354 157329697 /nfs/dbraw/zinc/32/96/97/157329697.db2.gz CBMIVKOWNIPQSQ-MRXNPFEDSA-N 0 0 299.330 2.903 20 5 CFBDRN CO[C@@H]1[C@H]2C[C@H]3CN(c4cc(F)ccc4[N+](=O)[O-])[C@H]1[C@H]3C2 ZINC000376206526 157333821 /nfs/dbraw/zinc/33/38/21/157333821.db2.gz JMUHMNUARRXLNQ-NOORDTQPSA-N 0 0 292.310 2.594 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000408345039 157392950 /nfs/dbraw/zinc/39/29/50/157392950.db2.gz DYAXXZDZQIKUIV-GXSJLCMTSA-N 0 0 279.340 2.868 20 5 CFBDRN CSC[C@@H]1CCCN(c2ccncc2[N+](=O)[O-])C1 ZINC000488953927 286677313 /nfs/dbraw/zinc/67/73/13/286677313.db2.gz LJNCVPWDWBQUOU-SNVBAGLBSA-N 0 0 267.354 2.569 20 5 CFBDRN CC[C@@H](C)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000074017677 286754745 /nfs/dbraw/zinc/75/47/45/286754745.db2.gz NHALUBDFXXIXKK-SNVBAGLBSA-N 0 0 262.309 2.920 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCCC[C@H]2F)c1[N+](=O)[O-] ZINC000335199481 292425785 /nfs/dbraw/zinc/42/57/85/292425785.db2.gz CBADZJXGTCHNCC-VXGBXAGGSA-N 0 0 280.299 2.914 20 5 CFBDRN CN(CC(F)(F)F)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000337560558 161569587 /nfs/dbraw/zinc/56/95/87/161569587.db2.gz MPGCOTNNKPXMLT-UHFFFAOYSA-N 0 0 295.192 2.760 20 5 CFBDRN C[C@@H](F)CCNc1ncc(Br)cc1[N+](=O)[O-] ZINC000338754107 161577640 /nfs/dbraw/zinc/57/76/40/161577640.db2.gz WKQUMRCOQYNPIM-ZCFIWIBFSA-N 0 0 292.108 2.912 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCCOC[C@H]1CCOC1 ZINC000342388751 161619703 /nfs/dbraw/zinc/61/97/03/161619703.db2.gz YCJHKFQPAYDSDO-LLVKDONJSA-N 0 0 298.314 2.589 20 5 CFBDRN CC(C)C1(CNc2cccc(C(N)=O)c2[N+](=O)[O-])CC1 ZINC000342586890 161663479 /nfs/dbraw/zinc/66/34/79/161663479.db2.gz WLKKDFNWJPIGKN-UHFFFAOYSA-N 0 0 277.324 2.542 20 5 CFBDRN O=C(NC[C@H]1COc2ccccc21)c1ccccc1[N+](=O)[O-] ZINC000342617719 161672465 /nfs/dbraw/zinc/67/24/65/161672465.db2.gz KRTDCNFHWZAHRK-NSHDSACASA-N 0 0 298.298 2.501 20 5 CFBDRN O=C(NC[C@@H]1CCCCS1)c1ccccc1[N+](=O)[O-] ZINC000342663337 161680833 /nfs/dbraw/zinc/68/08/33/161680833.db2.gz PHMBITLDZASNRB-JTQLQIEISA-N 0 0 280.349 2.610 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342948703 161744540 /nfs/dbraw/zinc/74/45/40/161744540.db2.gz MBWZKNFYMHJPOK-VIFPVBQESA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1c([C@H]2C[C@@H]2Nc2c(F)cccc2[N+](=O)[O-])cnn1C ZINC000343033919 161751424 /nfs/dbraw/zinc/75/14/24/161751424.db2.gz LBZHQILBAULHBI-SKDRFNHKSA-N 0 0 290.298 2.744 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1C(F)F ZINC000344359750 162024234 /nfs/dbraw/zinc/02/42/34/162024234.db2.gz YTXUYDSQORAXQE-LLVKDONJSA-N 0 0 299.277 2.534 20 5 CFBDRN O=C1CCCN1c1cccc(Nc2ccc([N+](=O)[O-])nc2)c1 ZINC000344429529 162041966 /nfs/dbraw/zinc/04/19/66/162041966.db2.gz BBFLJNNRBVCGEO-UHFFFAOYSA-N 0 0 298.302 2.860 20 5 CFBDRN Cc1ccc(CN(C)c2ccc([N+](=O)[O-])nc2)s1 ZINC000344429909 162042264 /nfs/dbraw/zinc/04/22/64/162042264.db2.gz BVXIVLVOKDTUAI-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(N(Cc2cccnc2)C2CC2)cn1 ZINC000344439076 162044053 /nfs/dbraw/zinc/04/40/53/162044053.db2.gz TYDLUWVWXLBIPK-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN C[C@H](c1cccnc1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344439089 162044776 /nfs/dbraw/zinc/04/47/76/162044776.db2.gz TZSRPBGAEDBBPF-SNVBAGLBSA-N 0 0 258.281 2.582 20 5 CFBDRN C[C@@H](CC(=O)OC(C)(C)C)Nc1ncccc1[N+](=O)[O-] ZINC000344445537 162046620 /nfs/dbraw/zinc/04/66/20/162046620.db2.gz PUYRRSKRBBNMNM-VIFPVBQESA-N 0 0 281.312 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2Cc3ccccc3C2)cn1 ZINC000344446376 162046646 /nfs/dbraw/zinc/04/66/46/162046646.db2.gz ZWUIQPULUCVBJO-UHFFFAOYSA-N 0 0 269.304 2.817 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2ncnn2-c2ccccc2)c1 ZINC000344612239 162080105 /nfs/dbraw/zinc/08/01/05/162080105.db2.gz CCTOETKCPMOBBY-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN COc1cc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])ccn1 ZINC000344669519 162095465 /nfs/dbraw/zinc/09/54/65/162095465.db2.gz XZUNJUGNXXOYPZ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cc(C(=O)Nc2csc(C)n2)ccc1[N+](=O)[O-] ZINC000344704922 162103003 /nfs/dbraw/zinc/10/30/03/162103003.db2.gz PIQSLCGERZQZGB-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CO[C@H](CC[NH2+]Cc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000344805100 162126634 /nfs/dbraw/zinc/12/66/34/162126634.db2.gz DCCBSGCNZSRDSN-LLVKDONJSA-N 0 0 292.257 2.652 20 5 CFBDRN CC(=Cc1cccc([N+](=O)[O-])c1)CNc1cc(C)n(C)n1 ZINC000344819990 162128077 /nfs/dbraw/zinc/12/80/77/162128077.db2.gz VWGFMOHQDYHVEB-XFFZJAGNSA-N 0 0 286.335 2.574 20 5 CFBDRN Cc1ccoc1CN(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000345065708 162172025 /nfs/dbraw/zinc/17/20/25/162172025.db2.gz IPTYAWTWDSQDAV-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC[C@H](C)C1 ZINC000345076009 162173657 /nfs/dbraw/zinc/17/36/57/162173657.db2.gz LXJSCWUJSBCUNV-UWVGGRQHSA-N 0 0 278.308 2.978 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000345137282 162184358 /nfs/dbraw/zinc/18/43/58/162184358.db2.gz FXQIJTKJQRUWRD-ZYHUDNBSSA-N 0 0 262.309 2.647 20 5 CFBDRN COc1ccc(CNC(=O)[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000345144042 162186972 /nfs/dbraw/zinc/18/69/72/162186972.db2.gz QRYUXFGCATXBEK-JQWIXIFHSA-N 0 0 292.335 2.656 20 5 CFBDRN O=C(CSc1ccncc1[N+](=O)[O-])Nc1ccccc1 ZINC000345168527 162192760 /nfs/dbraw/zinc/19/27/60/162192760.db2.gz FPHFTSHYYCTQJX-UHFFFAOYSA-N 0 0 289.316 2.721 20 5 CFBDRN CNC(=O)[C@H]1CCC[C@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000345168196 162192874 /nfs/dbraw/zinc/19/28/74/162192874.db2.gz ZRTHHZGDNFSQLI-VHSXEESVSA-N 0 0 297.742 2.575 20 5 CFBDRN CCOC1CC(C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000345202214 162201399 /nfs/dbraw/zinc/20/13/99/162201399.db2.gz PCNSLDKTQGOVSP-UHFFFAOYSA-N 0 0 278.308 2.657 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc2oc(=O)[nH]c2c1 ZINC000345203575 162201531 /nfs/dbraw/zinc/20/15/31/162201531.db2.gz REJGLYXRXBVJGK-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(CCC(C)C)no1 ZINC000345455269 162249207 /nfs/dbraw/zinc/24/92/07/162249207.db2.gz FVVDCTCGJOVKFL-UHFFFAOYSA-N 0 0 276.296 2.937 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2C[C@@H]2C2CCCCC2)ccc1[N+](=O)[O-] ZINC000345492760 162257415 /nfs/dbraw/zinc/25/74/15/162257415.db2.gz MOXHMTAZOWLGCU-VXGBXAGGSA-N 0 0 291.351 2.632 20 5 CFBDRN Cc1ccc(-c2noc(C[C@@H]3CCOC3)n2)cc1[N+](=O)[O-] ZINC000345930010 162277299 /nfs/dbraw/zinc/27/72/99/162277299.db2.gz BVDGBKZOVFXEAG-JTQLQIEISA-N 0 0 289.291 2.532 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000345961470 162286932 /nfs/dbraw/zinc/28/69/32/162286932.db2.gz PJDCACZZXVDMMP-LLVKDONJSA-N 0 0 291.351 2.973 20 5 CFBDRN COc1cccc(NC[C@H]2CCC[C@@H]2OC)c1[N+](=O)[O-] ZINC000346111984 162321092 /nfs/dbraw/zinc/32/10/92/162321092.db2.gz VGEGJPAMLAILGW-PWSUYJOCSA-N 0 0 280.324 2.830 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCC(F)(F)C1 ZINC000346234432 162360988 /nfs/dbraw/zinc/36/09/88/162360988.db2.gz LNVWRHAWBVKGLK-HWKANZROSA-N 0 0 268.263 2.949 20 5 CFBDRN O=C(NCc1ccc(F)cc1F)c1ccc([N+](=O)[O-])s1 ZINC000346358965 162392950 /nfs/dbraw/zinc/39/29/50/162392950.db2.gz HXASDXFHTBLXDT-UHFFFAOYSA-N 0 0 298.270 2.865 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000346543986 162439653 /nfs/dbraw/zinc/43/96/53/162439653.db2.gz OXZXJTYBLSDHQD-MRVPVSSYSA-N 0 0 268.338 2.965 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000346586613 162455912 /nfs/dbraw/zinc/45/59/12/162455912.db2.gz YPZQHNJZSDOYTQ-MRVPVSSYSA-N 0 0 268.338 2.965 20 5 CFBDRN CC[C@H](NC(=O)c1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000346923755 162557737 /nfs/dbraw/zinc/55/77/37/162557737.db2.gz QZBFDBDIYSTITH-LURJTMIESA-N 0 0 282.243 2.727 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347295463 162645731 /nfs/dbraw/zinc/64/57/31/162645731.db2.gz YCQDUAKDFRUVJT-UHFFFAOYSA-N 0 0 293.258 2.718 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H](C)CCC2CC2)c1[N+](=O)[O-] ZINC000347836084 162785183 /nfs/dbraw/zinc/78/51/83/162785183.db2.gz VSANDCBDHLXECA-VIFPVBQESA-N 0 0 294.355 2.750 20 5 CFBDRN CNc1c(C(=O)N[C@H](C)CCC2CC2)cccc1[N+](=O)[O-] ZINC000347851537 162785729 /nfs/dbraw/zinc/78/57/29/162785729.db2.gz VJBGQWVDWYRVKC-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN CC(C)(C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(F)F ZINC000347959644 162809096 /nfs/dbraw/zinc/80/90/96/162809096.db2.gz MYMXXUTTXKBJRQ-UHFFFAOYSA-N 0 0 276.214 2.964 20 5 CFBDRN CCCCCOC1CN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000348352350 162832671 /nfs/dbraw/zinc/83/26/71/162832671.db2.gz NMLFDSHZGIKIPR-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])c(N)c2F)cc1 ZINC000348730288 162894088 /nfs/dbraw/zinc/89/40/88/162894088.db2.gz ZIDICYWHACZFNW-UHFFFAOYSA-N 0 0 291.282 2.937 20 5 CFBDRN Cc1cccnc1N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000348815511 162904036 /nfs/dbraw/zinc/90/40/36/162904036.db2.gz PCJSTDYNBITLPA-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1ncc(COc2c(Cl)cccc2[N+](=O)[O-])cn1 ZINC000349237332 162954221 /nfs/dbraw/zinc/95/42/21/162954221.db2.gz ZGWQQANTQWEQMC-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1C=C[C@@H](CO)C1 ZINC000349268935 162957498 /nfs/dbraw/zinc/95/74/98/162957498.db2.gz REVJOAHXXWRAHS-SCZZXKLOSA-N 0 0 268.700 2.597 20 5 CFBDRN Cn1nccc1/C=C/c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000349862878 163027416 /nfs/dbraw/zinc/02/74/16/163027416.db2.gz VKYHPOGNMMCZIA-AATRIKPKSA-N 0 0 297.274 2.549 20 5 CFBDRN CN(Cc1cc2ccccc2o1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000349917556 163037234 /nfs/dbraw/zinc/03/72/34/163037234.db2.gz AVWHZTDZVKBGPG-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN COc1ccc(CNc2ccncc2Cl)cc1[N+](=O)[O-] ZINC000350243931 163068244 /nfs/dbraw/zinc/06/82/44/163068244.db2.gz GGSNLXIYSIJRMX-UHFFFAOYSA-N 0 0 293.710 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3C[C@]34CCOC4)n2)s1 ZINC000350265411 163070153 /nfs/dbraw/zinc/07/01/53/163070153.db2.gz WOXCIVKVSVJFEB-MADCSZMMSA-N 0 0 293.304 2.600 20 5 CFBDRN Cc1cnccc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000350979806 163115369 /nfs/dbraw/zinc/11/53/69/163115369.db2.gz SUGWOJQJMNDODR-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CO[C@H](C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)C(C)C ZINC000351054779 163116109 /nfs/dbraw/zinc/11/61/09/163116109.db2.gz KNHXVARJVRMFDE-ZDUSSCGKSA-N 0 0 280.324 2.821 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccc(C)cc1[N+](=O)[O-] ZINC000351549220 163145969 /nfs/dbraw/zinc/14/59/69/163145969.db2.gz ZQJLTAJFHUIBNR-BYCRGOAPSA-N 0 0 250.298 2.642 20 5 CFBDRN Cc1n[nH]c(C(=O)NC(CC(C)C)CC(C)C)c1[N+](=O)[O-] ZINC000351604840 163155993 /nfs/dbraw/zinc/15/59/93/163155993.db2.gz XOQLNQBAZCTLCK-UHFFFAOYSA-N 0 0 296.371 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@H]2CCCCO2)cc1 ZINC000351626640 163161044 /nfs/dbraw/zinc/16/10/44/163161044.db2.gz GRQPKPGGBBMRNB-GFCCVEGCSA-N 0 0 251.282 2.933 20 5 CFBDRN O=C(N[C@@H]1CC2CCC1CC2)c1ccccc1[N+](=O)[O-] ZINC000351688737 163175452 /nfs/dbraw/zinc/17/54/52/163175452.db2.gz YGZXYMXNEQMZRP-GCZXYKMCSA-N 0 0 274.320 2.903 20 5 CFBDRN CC(C)(C)c1cc(=O)n(Cc2csc([N+](=O)[O-])c2)cn1 ZINC000351784272 163193994 /nfs/dbraw/zinc/19/39/94/163193994.db2.gz XTJPEIGLNAKBIQ-UHFFFAOYSA-N 0 0 293.348 2.559 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@@H]1C ZINC000351808745 163196361 /nfs/dbraw/zinc/19/63/61/163196361.db2.gz NESMKABHCPGIPG-ONGXEEELSA-N 0 0 293.323 2.914 20 5 CFBDRN CN(Cc1cc[nH]n1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000351823790 163198829 /nfs/dbraw/zinc/19/88/29/163198829.db2.gz UXFDGXOEOZDDFZ-UHFFFAOYSA-N 0 0 266.688 2.608 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])n[nH]1)N1CCC2(CCCCC2)CC1 ZINC000351837449 163201544 /nfs/dbraw/zinc/20/15/44/163201544.db2.gz BCMSKWXLTIZIOU-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN COc1cc(CSc2nncs2)ccc1[N+](=O)[O-] ZINC000351838781 163202439 /nfs/dbraw/zinc/20/24/39/163202439.db2.gz KCMYPCJIPBJOCZ-UHFFFAOYSA-N 0 0 283.334 2.747 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2ccc([N+](=O)[O-])cc2C)n1 ZINC000352035121 163218332 /nfs/dbraw/zinc/21/83/32/163218332.db2.gz MIIFHNIXIDESOD-XQRVVYSFSA-N 0 0 271.276 2.878 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000352827416 163244278 /nfs/dbraw/zinc/24/42/78/163244278.db2.gz KUMBVGVSVRRTTM-SECBINFHSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@H](CCC1CCCCC1)NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000353060358 163299687 /nfs/dbraw/zinc/29/96/87/163299687.db2.gz GDPUJCQJTXYGQV-SNVBAGLBSA-N 0 0 294.355 2.797 20 5 CFBDRN CC(C)CC[C@@H](NC(=O)c1cc([N+](=O)[O-])n[nH]1)C(C)(C)C ZINC000353065165 163300504 /nfs/dbraw/zinc/30/05/04/163300504.db2.gz PITDQHKYCBSFMH-LLVKDONJSA-N 0 0 296.371 2.899 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000353233001 163324230 /nfs/dbraw/zinc/32/42/30/163324230.db2.gz FEKUYLVXRYFHSI-NEPJUHHUSA-N 0 0 291.351 2.830 20 5 CFBDRN C[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1ccon1 ZINC000353265927 163332231 /nfs/dbraw/zinc/33/22/31/163332231.db2.gz NDKJGWCEMQGFCO-LURJTMIESA-N 0 0 268.660 2.804 20 5 CFBDRN COCCC(C)(C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000353301678 163341432 /nfs/dbraw/zinc/34/14/32/163341432.db2.gz WKACURKZGYHDJW-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN CC[C@@H](N[C@@H](C)c1ncnn1C)c1cccc([N+](=O)[O-])c1 ZINC000353338485 163348810 /nfs/dbraw/zinc/34/88/10/163348810.db2.gz UWBWWYIENDFKBU-GXFFZTMASA-N 0 0 289.339 2.525 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000037669769 287051287 /nfs/dbraw/zinc/05/12/87/287051287.db2.gz GCLRIMNISKFBOL-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN CCc1coc(CNc2ncc(Cl)cc2[N+](=O)[O-])n1 ZINC001154772710 782018537 /nfs/dbraw/zinc/01/85/37/782018537.db2.gz FMXMLUUQUFTZQS-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN CC[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])CCCO1 ZINC000281927615 287212376 /nfs/dbraw/zinc/21/23/76/287212376.db2.gz RHCXVWKCCUTNQQ-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000335198937 287217135 /nfs/dbraw/zinc/21/71/35/287217135.db2.gz RRALLENHFQLSQM-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN CCc1cc(=O)[nH]c(SCc2cccc([N+](=O)[O-])c2)n1 ZINC000005154972 170071197 /nfs/dbraw/zinc/07/11/97/170071197.db2.gz FHVUDJXMKIBGGJ-UHFFFAOYSA-N 0 0 291.332 2.533 20 5 CFBDRN CCc1cc(=O)[nH]c(SCc2ccc([N+](=O)[O-])cc2)n1 ZINC000005154966 170071350 /nfs/dbraw/zinc/07/13/50/170071350.db2.gz JELAZJBSFZLNQI-UHFFFAOYSA-N 0 0 291.332 2.533 20 5 CFBDRN Cc1nc(SCc2ccc([N+](=O)[O-])cc2)[nH]c(=O)c1C ZINC000005155238 170071506 /nfs/dbraw/zinc/07/15/06/170071506.db2.gz NMXKPQGRHCSCKC-UHFFFAOYSA-N 0 0 291.332 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCc2ccncc2)nc1 ZINC000005331432 170086197 /nfs/dbraw/zinc/08/61/97/170086197.db2.gz DYZVDLJOGWZOSY-UHFFFAOYSA-N 0 0 261.306 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCCCC2)c2nonc21 ZINC000005344258 170087902 /nfs/dbraw/zinc/08/79/02/170087902.db2.gz ZVDHQOJOVKNWSU-UHFFFAOYSA-N 0 0 262.269 2.876 20 5 CFBDRN CCCCCNC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000005502815 170105170 /nfs/dbraw/zinc/10/51/70/170105170.db2.gz WTGDKHYDOCFDCW-UHFFFAOYSA-N 0 0 296.323 2.532 20 5 CFBDRN COc1ccc(CNC(=O)c2ccc([N+](=O)[O-])s2)cc1 ZINC000005681299 170127880 /nfs/dbraw/zinc/12/78/80/170127880.db2.gz ANOOOARYGAZNGE-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284305553 196026408 /nfs/dbraw/zinc/02/64/08/196026408.db2.gz GWEZZCUIRADKGQ-GFCCVEGCSA-N 0 0 295.314 2.625 20 5 CFBDRN COc1ccc(OCC(=O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000007035657 170262740 /nfs/dbraw/zinc/26/27/40/170262740.db2.gz RCIUIUUBVJMCGV-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccccc1 ZINC000007790997 170303089 /nfs/dbraw/zinc/30/30/89/170303089.db2.gz WPRVAHHITTZNTF-UHFFFAOYSA-N 0 0 273.244 2.823 20 5 CFBDRN CCCCCCNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000008147738 170321535 /nfs/dbraw/zinc/32/15/35/170321535.db2.gz ZJPDBMWEOUAYBZ-UHFFFAOYSA-N 0 0 265.313 2.686 20 5 CFBDRN C[C@@H](Oc1ccc(C(N)=O)cc1)c1cccc([N+](=O)[O-])c1 ZINC000008225143 170325642 /nfs/dbraw/zinc/32/56/42/170325642.db2.gz OSTOPDOPUXKSJK-SNVBAGLBSA-N 0 0 286.287 2.834 20 5 CFBDRN COC(=O)c1ccc(NCc2cccc([N+](=O)[O-])c2)cc1 ZINC000008701776 170347107 /nfs/dbraw/zinc/34/71/07/170347107.db2.gz HCNUSNZAMYXFER-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN CN(C(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccccn1 ZINC000019813893 170880484 /nfs/dbraw/zinc/88/04/84/170880484.db2.gz GXLJKDXPMXWRCA-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1ncc([N+](=O)[O-])cc1Cl ZINC000268061264 287249074 /nfs/dbraw/zinc/24/90/74/287249074.db2.gz YRRAEALYRLCTBS-DTWKUNHWSA-N 0 0 285.731 2.647 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000020123809 171005779 /nfs/dbraw/zinc/00/57/79/171005779.db2.gz DGMDJRKHNHXBHX-LLVKDONJSA-N 0 0 257.293 2.841 20 5 CFBDRN Cc1cccc(N2CCC[C@H]2C[C@@H](C)O)c1[N+](=O)[O-] ZINC000275510884 292559155 /nfs/dbraw/zinc/55/91/55/292559155.db2.gz UUVCWIRUHYUHHB-NEPJUHHUSA-N 0 0 264.325 2.643 20 5 CFBDRN COC(=O)c1cc(COc2cccc([N+](=O)[O-])c2)oc1C ZINC000021712269 171319575 /nfs/dbraw/zinc/31/95/75/171319575.db2.gz VIXPWUHFNBDREX-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCCCN(CC)c1nc2sccn2c1[N+](=O)[O-] ZINC000022159224 171363455 /nfs/dbraw/zinc/36/34/55/171363455.db2.gz OERDSVPMUZFKFH-UHFFFAOYSA-N 0 0 268.342 2.930 20 5 CFBDRN COc1ccc(Cl)cc1Cn1cnc([N+](=O)[O-])c1 ZINC000025451914 171457916 /nfs/dbraw/zinc/45/79/16/171457916.db2.gz XVWQTBXLIBNSBB-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1ccccc1[N+](=O)[O-] ZINC000268500153 287256617 /nfs/dbraw/zinc/25/66/17/287256617.db2.gz HNRXUBJPXAUUMJ-DGCLKSJQSA-N 0 0 264.325 2.594 20 5 CFBDRN O=C(NCCC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000030953298 171627569 /nfs/dbraw/zinc/62/75/69/171627569.db2.gz VZIRPZBILWDUJG-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN CC1CCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)CC1 ZINC000033285293 171777281 /nfs/dbraw/zinc/77/72/81/171777281.db2.gz ROMPPTSFWFBLDP-WAYWQWQTSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])n[nH]1)N1CCC[C@H]1C1CCCCC1 ZINC000284920429 196157775 /nfs/dbraw/zinc/15/77/75/196157775.db2.gz ASHRDHJRBJLEDN-LBPRGKRZSA-N 0 0 292.339 2.503 20 5 CFBDRN CC(C)c1[nH]ccc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000285001508 196175017 /nfs/dbraw/zinc/17/50/17/196175017.db2.gz GYSQJRCHHAIDQJ-UHFFFAOYSA-N 0 0 287.319 2.976 20 5 CFBDRN CCOC1CC(COc2ccccc2[N+](=O)[O-])C1 ZINC000285192015 196217388 /nfs/dbraw/zinc/21/73/88/196217388.db2.gz ONVSSEDJCFRADZ-UHFFFAOYSA-N 0 0 251.282 2.789 20 5 CFBDRN CC(=O)c1ccc(OCCCCF)c([N+](=O)[O-])c1 ZINC000285330487 196252464 /nfs/dbraw/zinc/25/24/64/196252464.db2.gz YFKMVDFMRVNVET-UHFFFAOYSA-N 0 0 255.245 2.926 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])n[nH]1)c1cc(C)cc(C)c1 ZINC000285271402 196237081 /nfs/dbraw/zinc/23/70/81/196237081.db2.gz SZFDVSBQDSVFKS-UHFFFAOYSA-N 0 0 288.307 2.601 20 5 CFBDRN Cc1c(CSc2nnc(N)s2)cccc1[N+](=O)[O-] ZINC000035649457 172326114 /nfs/dbraw/zinc/32/61/14/172326114.db2.gz ZHAPVFQQQRXBOM-UHFFFAOYSA-N 0 0 282.350 2.629 20 5 CFBDRN O=Cc1ccc(OCCCCCF)c([N+](=O)[O-])c1 ZINC000285290850 196242546 /nfs/dbraw/zinc/24/25/46/196242546.db2.gz QWDWWAWGINPHEZ-UHFFFAOYSA-N 0 0 255.245 2.926 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CCO1 ZINC000036769758 172688164 /nfs/dbraw/zinc/68/81/64/172688164.db2.gz GVLCSRXACQUJTJ-LLVKDONJSA-N 0 0 284.743 2.859 20 5 CFBDRN CC(C)CCC1CCN(C(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000285552091 196324881 /nfs/dbraw/zinc/32/48/81/196324881.db2.gz FBYDCWJUMGIEFY-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN CC(C)CSCCCNc1ccc([N+](=O)[O-])cn1 ZINC000339360205 270301836 /nfs/dbraw/zinc/30/18/36/270301836.db2.gz ULCUVKKPVFCSBY-UHFFFAOYSA-N 0 0 269.370 2.603 20 5 CFBDRN COc1ccc(CNc2ccccc2[N+](=O)[O-])cc1O ZINC000037058884 172924247 /nfs/dbraw/zinc/92/42/47/172924247.db2.gz DKLXUBKQWDFNSZ-UHFFFAOYSA-N 0 0 274.276 2.921 20 5 CFBDRN CCCCCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286073 173092296 /nfs/dbraw/zinc/09/22/96/173092296.db2.gz GKOPERBVMHMYIH-UHFFFAOYSA-N 0 0 254.261 2.654 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1Nc1ccccc1[N+](=O)[O-] ZINC000037298576 173102588 /nfs/dbraw/zinc/10/25/88/173102588.db2.gz KZTCSENCHIJYSR-WCQYABFASA-N 0 0 250.298 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCCC[C@H]2CCO)c1 ZINC000037403761 173180049 /nfs/dbraw/zinc/18/00/49/173180049.db2.gz RVKYTKYARDTANX-LBPRGKRZSA-N 0 0 298.770 2.985 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669661 173611031 /nfs/dbraw/zinc/61/10/31/173611031.db2.gz TYGPKGOSLANANM-VIFPVBQESA-N 0 0 268.288 2.852 20 5 CFBDRN Cc1n[nH]cc1CNc1ccc([N+](=O)[O-])cc1Cl ZINC000037883069 173822172 /nfs/dbraw/zinc/82/21/72/173822172.db2.gz WRYWCBLYOMKJKU-UHFFFAOYSA-N 0 0 266.688 2.892 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)C(C)(C)C ZINC000037991585 173952086 /nfs/dbraw/zinc/95/20/86/173952086.db2.gz VKBCISKCHDYZTK-UHFFFAOYSA-N 0 0 251.282 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H]3CCOC3)ccc2c1 ZINC000037998938 173960408 /nfs/dbraw/zinc/96/04/08/173960408.db2.gz IBSSHDBSEHKZRQ-JTQLQIEISA-N 0 0 273.292 2.591 20 5 CFBDRN Cc1nn(C)c(NCCC2=CCCCC2)c1[N+](=O)[O-] ZINC000040444195 174264186 /nfs/dbraw/zinc/26/41/86/174264186.db2.gz NVCIBULHGSMDCQ-UHFFFAOYSA-N 0 0 264.329 2.939 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCSc2ccccc2)nc1 ZINC000040454770 174267045 /nfs/dbraw/zinc/26/70/45/174267045.db2.gz RGLVIHDUVDZBFZ-UHFFFAOYSA-N 0 0 276.321 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc(CCO)cc2)cc1 ZINC000040738488 174389905 /nfs/dbraw/zinc/38/99/05/174389905.db2.gz CPOXZJQWRZFOPR-UHFFFAOYSA-N 0 0 272.304 2.742 20 5 CFBDRN C[C@H]1C[C@H]1CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286186708 196519530 /nfs/dbraw/zinc/51/95/30/196519530.db2.gz QQKAXAVJDHNWQI-BQBZGAKWSA-N 0 0 264.310 2.667 20 5 CFBDRN Cc1cc(C)nc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000041005373 174448109 /nfs/dbraw/zinc/44/81/09/174448109.db2.gz IAODKLPFHYUNTD-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC[C@H]1CCCC[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000042580939 174804481 /nfs/dbraw/zinc/80/44/81/174804481.db2.gz COBSGJLLRGULRX-GXSJLCMTSA-N 0 0 250.302 2.766 20 5 CFBDRN CC1(C)C[C@@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000044367992 175168376 /nfs/dbraw/zinc/16/83/76/175168376.db2.gz DNZXQZPQJPBPML-LURJTMIESA-N 0 0 252.299 2.514 20 5 CFBDRN Cc1cc(N[C@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000044500759 175185421 /nfs/dbraw/zinc/18/54/21/175185421.db2.gz PETDOLABPGSJMD-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])cc2F)on1 ZINC000044995829 175287125 /nfs/dbraw/zinc/28/71/25/175287125.db2.gz OBUAARJEMQLHMR-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN O=[N+]([O-])c1cccc(CS(=O)(=O)Cc2ccccc2)c1 ZINC000045307898 175356354 /nfs/dbraw/zinc/35/63/54/175356354.db2.gz CRCBRTKJDUPBEM-UHFFFAOYSA-N 0 0 291.328 2.710 20 5 CFBDRN O=C(Nc1nccs1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048298461 175425006 /nfs/dbraw/zinc/42/50/06/175425006.db2.gz XOJGRCXRHHGPFE-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1cc(C)nc(NCc2ccccc2[N+](=O)[O-])n1 ZINC000048337344 175428586 /nfs/dbraw/zinc/42/85/86/175428586.db2.gz ABGBOYSMXAVLBT-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cccc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])n1 ZINC000048346411 175429393 /nfs/dbraw/zinc/42/93/93/175429393.db2.gz CJQOXKJHARAWFH-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN CCCCOCCCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000058163782 175609569 /nfs/dbraw/zinc/60/95/69/175609569.db2.gz VEPVAKJEXMGOQS-UHFFFAOYSA-N 0 0 280.324 2.531 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000067704174 175785557 /nfs/dbraw/zinc/78/55/57/175785557.db2.gz UFJBRCWIZUFSNX-TXEJJXNPSA-N 0 0 291.351 2.511 20 5 CFBDRN O=[N+]([O-])c1nn(CCC(F)(F)F)cc1Br ZINC000070650131 175999050 /nfs/dbraw/zinc/99/90/50/175999050.db2.gz VQINEKBXQVSAPH-UHFFFAOYSA-N 0 0 288.023 2.506 20 5 CFBDRN Nc1ccc(NCc2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000071186792 176060054 /nfs/dbraw/zinc/06/00/54/176060054.db2.gz UGAOPXZQJGSTGK-UHFFFAOYSA-N 0 0 261.256 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCOC[C@@H]2C)c1 ZINC000286408999 196594515 /nfs/dbraw/zinc/59/45/15/196594515.db2.gz OVTUVDQEFNAKDT-NWDGAFQWSA-N 0 0 264.325 2.988 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000042568038 287317021 /nfs/dbraw/zinc/31/70/21/287317021.db2.gz CJOPYROWNDAZSW-PSASIEDQSA-N 0 0 268.338 2.965 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccc(F)cc2)cc1 ZINC000071833310 176273476 /nfs/dbraw/zinc/27/34/76/176273476.db2.gz WVLZTWJOUJEDQG-AWEZNQCLSA-N 0 0 276.267 2.879 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCC(F)(F)F ZINC000072068018 176312318 /nfs/dbraw/zinc/31/23/18/176312318.db2.gz SHGHJMDDVFRTTM-UHFFFAOYSA-N 0 0 265.187 2.935 20 5 CFBDRN CCC[C@@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000072673046 176421851 /nfs/dbraw/zinc/42/18/51/176421851.db2.gz DPTXLUBTLPGCIC-SNVBAGLBSA-N 0 0 250.298 2.994 20 5 CFBDRN Cc1nn(C[C@H](O)c2cccc(Cl)c2)c(C)c1[N+](=O)[O-] ZINC000073757189 176491401 /nfs/dbraw/zinc/49/14/01/176491401.db2.gz CSLPFHOYHBFZFN-LBPRGKRZSA-N 0 0 295.726 2.795 20 5 CFBDRN COc1ccc(C(=O)N2C[C@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000075277559 176680891 /nfs/dbraw/zinc/68/08/91/176680891.db2.gz DVCLWBLRXZRARF-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)(O)CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000286500493 196624081 /nfs/dbraw/zinc/62/40/81/196624081.db2.gz ATBHUOSJFPDNEL-UHFFFAOYSA-N 0 0 258.705 2.821 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCCC[C@@H]1CO ZINC000077209951 177235324 /nfs/dbraw/zinc/23/53/24/177235324.db2.gz NLBSGHCTDMZBDK-KOLCDFICSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1c(CN2[C@H](C)COC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000077367939 177258232 /nfs/dbraw/zinc/25/82/32/177258232.db2.gz MJCKFFDVFFLNPT-PHIMTYICSA-N 0 0 264.325 2.512 20 5 CFBDRN CCC[C@H](C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078149263 177323816 /nfs/dbraw/zinc/32/38/16/177323816.db2.gz JYKUSSUPPFTQDO-JTQLQIEISA-N 0 0 262.309 2.920 20 5 CFBDRN CC(C)n1nnnc1S/C=C/c1ccc([N+](=O)[O-])o1 ZINC000078384313 177336225 /nfs/dbraw/zinc/33/62/25/177336225.db2.gz VANGWORGIKJAST-AATRIKPKSA-N 0 0 281.297 2.518 20 5 CFBDRN C[C@H](O)CCN(C)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000078416366 177339779 /nfs/dbraw/zinc/33/97/79/177339779.db2.gz UDGVCEMZDGNDPM-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN C[C@H](O)[C@H](C)Sc1ncc([N+](=O)[O-])cc1Cl ZINC000078582271 177356268 /nfs/dbraw/zinc/35/62/68/177356268.db2.gz NQQZJJHQYYVXPI-WDSKDSINSA-N 0 0 262.718 2.505 20 5 CFBDRN Cc1cnc(NCC2CCSCC2)c([N+](=O)[O-])c1 ZINC000078663324 177367912 /nfs/dbraw/zinc/36/79/12/177367912.db2.gz JRZDNCOPGSRHGK-UHFFFAOYSA-N 0 0 267.354 2.853 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@H]1CF ZINC000335978614 304479911 /nfs/dbraw/zinc/47/99/11/304479911.db2.gz OERAJNFOBHHHOJ-VIFPVBQESA-N 0 0 291.282 2.650 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050696612 287441992 /nfs/dbraw/zinc/44/19/92/287441992.db2.gz CTPPRQIDTPPCEN-AOOOYVTPSA-N 0 0 277.324 2.580 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CC[C@H](C)O2)c1 ZINC000397896368 270320268 /nfs/dbraw/zinc/32/02/68/270320268.db2.gz ISYMVODUCJHXEZ-ONGXEEELSA-N 0 0 266.297 2.583 20 5 CFBDRN CC(F)(F)CNc1ncnc2cc([N+](=O)[O-])ccc21 ZINC001154854961 782037004 /nfs/dbraw/zinc/03/70/04/782037004.db2.gz HPYOKWJPIPSMQR-UHFFFAOYSA-N 0 0 268.223 2.605 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000517745562 260017921 /nfs/dbraw/zinc/01/79/21/260017921.db2.gz FPGNDMIZKDETBY-MNOVXSKESA-N 0 0 296.298 2.614 20 5 CFBDRN CON1CCC(Nc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000323074890 260169908 /nfs/dbraw/zinc/16/99/08/260169908.db2.gz RDCRHJFTSRWYFL-UHFFFAOYSA-N 0 0 285.731 2.686 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCCN1c1ccc([N+](=O)[O-])nc1 ZINC000312758908 260198104 /nfs/dbraw/zinc/19/81/04/260198104.db2.gz CFJZFROTIVRZRL-VXGBXAGGSA-N 0 0 279.340 2.510 20 5 CFBDRN CCOC(C)(C)CNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000189682616 260227145 /nfs/dbraw/zinc/22/71/45/260227145.db2.gz JGQDXHIRMBTJIB-CMDGGOBGSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000313124768 260251618 /nfs/dbraw/zinc/25/16/18/260251618.db2.gz XBXROHPXMHKMOE-DGCLKSJQSA-N 0 0 252.314 2.865 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOCC2CCCC2)s1 ZINC000313481462 260297966 /nfs/dbraw/zinc/29/79/66/260297966.db2.gz LWPAYTRRURVKKF-UHFFFAOYSA-N 0 0 271.342 2.670 20 5 CFBDRN Cc1cnc(N[C@@H](C)c2nccs2)c([N+](=O)[O-])c1 ZINC000090692421 260382292 /nfs/dbraw/zinc/38/22/92/260382292.db2.gz MLSMAMPILYWUBN-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN C[C@@H]1CCC[C@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000176882824 261315841 /nfs/dbraw/zinc/31/58/41/261315841.db2.gz ZRCIODARCXCWHQ-WDEREUQCSA-N 0 0 291.351 2.828 20 5 CFBDRN COc1ccc(NC(=O)N(C)CC(C)C)cc1[N+](=O)[O-] ZINC000272764054 263008732 /nfs/dbraw/zinc/00/87/32/263008732.db2.gz AYNRGMXONLTIIM-UHFFFAOYSA-N 0 0 281.312 2.723 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000297933101 263212415 /nfs/dbraw/zinc/21/24/15/263212415.db2.gz VEJMWQXUAHCCHL-KGLIPLIRSA-N 0 0 292.335 2.763 20 5 CFBDRN CCCCOCCNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000195127493 265113642 /nfs/dbraw/zinc/11/36/42/265113642.db2.gz ZQTSLNVVJRWTRB-CMDGGOBGSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@H](C(=O)Nc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000518880398 265193253 /nfs/dbraw/zinc/19/32/53/265193253.db2.gz CNKCUTDLWUOAHG-JTQLQIEISA-N 0 0 271.276 2.732 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000094659047 265248950 /nfs/dbraw/zinc/24/89/50/265248950.db2.gz CSVBNIOJHVNCKJ-GFCCVEGCSA-N 0 0 280.324 2.903 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCC1(OC)CCC1 ZINC000519000056 265293015 /nfs/dbraw/zinc/29/30/15/265293015.db2.gz ROJYACYUZLZDPY-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)c1cccn1C ZINC000037991608 270226334 /nfs/dbraw/zinc/22/63/34/270226334.db2.gz STZCSKTYMKOEOL-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN O=C(Oc1cccnc1[N+](=O)[O-])N1CCc2ccccc21 ZINC000193412697 270238291 /nfs/dbraw/zinc/23/82/91/270238291.db2.gz IOBSDLZDTPMVKJ-UHFFFAOYSA-N 0 0 285.259 2.551 20 5 CFBDRN CCC(CC)NC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000193522952 270238697 /nfs/dbraw/zinc/23/86/97/270238697.db2.gz JNDLTOBQWBTEEJ-UHFFFAOYSA-N 0 0 281.312 2.914 20 5 CFBDRN CCCCOCCNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000194415675 270245941 /nfs/dbraw/zinc/24/59/41/270245941.db2.gz SJDPMXLQEROQQB-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN CCCCOCCNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000194415586 270245944 /nfs/dbraw/zinc/24/59/44/270245944.db2.gz XSYQYZWEUVHHNB-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN Cc1cc(C(=O)NCCOC2CCCC2)cc([N+](=O)[O-])c1 ZINC000194425031 270245998 /nfs/dbraw/zinc/24/59/98/270245998.db2.gz OUTZXRFFYPJGNE-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000194896737 270248064 /nfs/dbraw/zinc/24/80/64/270248064.db2.gz RLPUEQVCBSGXAO-MRVPVSSYSA-N 0 0 256.327 2.965 20 5 CFBDRN CCC[C@@H](CC)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000194896707 270248233 /nfs/dbraw/zinc/24/82/33/270248233.db2.gz LKIZXQQKOLRTKW-GFCCVEGCSA-N 0 0 264.325 2.832 20 5 CFBDRN CC(C)C(C)(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000275899503 270280923 /nfs/dbraw/zinc/28/09/23/270280923.db2.gz MVYUEVTUEAVOMV-UHFFFAOYSA-N 0 0 278.352 2.936 20 5 CFBDRN CCOc1cc(N[C@H](CCO)C(C)C)ccc1[N+](=O)[O-] ZINC000226571847 270256980 /nfs/dbraw/zinc/25/69/80/270256980.db2.gz IDSBHUKKMIQZFT-GFCCVEGCSA-N 0 0 282.340 2.812 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H](OC)C2(C)C)ccc1[N+](=O)[O-] ZINC000227821198 270257950 /nfs/dbraw/zinc/25/79/50/270257950.db2.gz BRYTZHXMZIPKSP-CHWSQXEVSA-N 0 0 280.324 2.829 20 5 CFBDRN Cc1c(C[N@H+]2CC[C@H](C)C[C@H]2C(=O)[O-])cccc1[N+](=O)[O-] ZINC000229298134 270258338 /nfs/dbraw/zinc/25/83/38/270258338.db2.gz GNNJGRRKVOMYTK-HZMBPMFUSA-N 0 0 292.335 2.588 20 5 CFBDRN Cc1c(CNC(=O)OCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000231879099 270261520 /nfs/dbraw/zinc/26/15/20/270261520.db2.gz YBJQXHKBKHTXCX-UHFFFAOYSA-N 0 0 292.213 2.692 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(F)n1 ZINC000313380103 270290593 /nfs/dbraw/zinc/29/05/93/270290593.db2.gz AMXBQFZIKKWABU-TWGQIWQCSA-N 0 0 287.250 2.781 20 5 CFBDRN CC[C@@](C)(CNc1cc(C(=O)OC)ccc1[N+](=O)[O-])OC ZINC000322529313 270296046 /nfs/dbraw/zinc/29/60/46/270296046.db2.gz PBYHPHHDEYANEY-AWEZNQCLSA-N 0 0 296.323 2.608 20 5 CFBDRN CC[C@@](C)(CNc1ncc([N+](=O)[O-])cc1C)OC ZINC000322644690 270297110 /nfs/dbraw/zinc/29/71/10/270297110.db2.gz VEKXISRUENXWSO-LBPRGKRZSA-N 0 0 253.302 2.525 20 5 CFBDRN COc1cc(NCC(C)(C)C(C)(C)O)ccc1[N+](=O)[O-] ZINC000393541531 270307446 /nfs/dbraw/zinc/30/74/46/270307446.db2.gz BAOKHVSHECAYLA-UHFFFAOYSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@H]1[C@H](CO)CCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000395096553 270310903 /nfs/dbraw/zinc/31/09/03/270310903.db2.gz FIUUDHZSSMTXTC-JQWIXIFHSA-N 0 0 282.315 2.640 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000397885833 270320495 /nfs/dbraw/zinc/32/04/95/270320495.db2.gz PRYCRRLTVADFPS-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H](N[C@@H](C)c1csnn1)c1ccccc1[N+](=O)[O-] ZINC000398366689 270321711 /nfs/dbraw/zinc/32/17/11/270321711.db2.gz GDQNMUPPUPHZHZ-BDAKNGLRSA-N 0 0 278.337 2.858 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CSc2cnccn2)c(F)c1 ZINC000516621197 270385345 /nfs/dbraw/zinc/38/53/45/270385345.db2.gz DVKCEWFYIVTVNY-UHFFFAOYSA-N 0 0 283.259 2.955 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000516657593 270386859 /nfs/dbraw/zinc/38/68/59/270386859.db2.gz HPXKMOLOTHPLQP-AWEZNQCLSA-N 0 0 299.330 2.659 20 5 CFBDRN CCn1nccc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000521165576 270424818 /nfs/dbraw/zinc/42/48/18/270424818.db2.gz BQFPPUKCOONISV-SNVBAGLBSA-N 0 0 288.307 2.553 20 5 CFBDRN CCC[C@H](CC)Nc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000521588301 270430627 /nfs/dbraw/zinc/43/06/27/270430627.db2.gz GOYBBLXHASRVBV-JTQLQIEISA-N 0 0 279.340 2.945 20 5 CFBDRN COc1cccnc1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000522820283 270449588 /nfs/dbraw/zinc/44/95/88/270449588.db2.gz HDVFWZJKDJFRSO-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN COc1cccc(NCc2ncccc2F)c1[N+](=O)[O-] ZINC000530534184 270798692 /nfs/dbraw/zinc/79/86/92/270798692.db2.gz HTXBJZWGOJTIBR-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1ccc(F)c(NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000531887986 270846918 /nfs/dbraw/zinc/84/69/18/270846918.db2.gz QWQSCFMZJNBAGP-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H]1CCCCN1Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000284163615 274286815 /nfs/dbraw/zinc/28/68/15/274286815.db2.gz QHUCXLAYDZJDGZ-SNVBAGLBSA-N 0 0 279.296 2.877 20 5 CFBDRN C[C@@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@@H]1C ZINC000444690542 274303176 /nfs/dbraw/zinc/30/31/76/274303176.db2.gz UCIGSZKSLCCAHY-MNOVXSKESA-N 0 0 288.351 2.949 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1c1nc2sccn2c1[N+](=O)[O-] ZINC000301611440 287752681 /nfs/dbraw/zinc/75/26/81/287752681.db2.gz DSJNOLMYLVJZES-OCAPTIKFSA-N 0 0 266.326 2.681 20 5 CFBDRN Cc1cccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])n1 ZINC000348365891 274789122 /nfs/dbraw/zinc/78/91/22/274789122.db2.gz DMYDCBXYYOOZTL-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCSC1 ZINC000273223401 275400268 /nfs/dbraw/zinc/40/02/68/275400268.db2.gz BHCHSJHDXVBJSD-OANVXVOSSA-N 0 0 292.360 2.620 20 5 CFBDRN CC(C)(C)CNc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000286427930 275511556 /nfs/dbraw/zinc/51/15/56/275511556.db2.gz PULGVZSRMHEVPL-UHFFFAOYSA-N 0 0 276.296 2.702 20 5 CFBDRN CC(C)N(C)C(=O)[C@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271635055 275606977 /nfs/dbraw/zinc/60/69/77/275606977.db2.gz PZMGRLUSFWJUIF-RYUDHWBXSA-N 0 0 293.367 2.501 20 5 CFBDRN CC(C)NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000050535242 275656419 /nfs/dbraw/zinc/65/64/19/275656419.db2.gz BHJXXWZMOWFBMV-UHFFFAOYSA-N 0 0 291.332 2.857 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)N2CC(CF)C2)cc1[N+](=O)[O-] ZINC000413932165 275845910 /nfs/dbraw/zinc/84/59/10/275845910.db2.gz MJEITSKEFJIGKH-UHFFFAOYSA-N 0 0 294.326 2.934 20 5 CFBDRN CC1(C)OCC[C@@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000296184758 275881591 /nfs/dbraw/zinc/88/15/91/275881591.db2.gz DDUHXPLKJWJUHX-QMMMGPOBSA-N 0 0 294.336 2.579 20 5 CFBDRN CC1=CCCN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000435587099 275908349 /nfs/dbraw/zinc/90/83/49/275908349.db2.gz DPWRASQJYFCIMN-UHFFFAOYSA-N 0 0 270.292 2.694 20 5 CFBDRN CCC1(CC)[C@@H](Nc2c([N+](=O)[O-])c(C)nn2C)C[C@@H]1OC ZINC000301721679 275962233 /nfs/dbraw/zinc/96/22/33/275962233.db2.gz QWYSDBOJCFISMM-QWRGUYRKSA-N 0 0 296.371 2.642 20 5 CFBDRN CCC1(Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)CC1 ZINC000296208591 275965428 /nfs/dbraw/zinc/96/54/28/275965428.db2.gz FWVAHRCSHLFAEK-UHFFFAOYSA-N 0 0 275.308 2.834 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271341390 276000488 /nfs/dbraw/zinc/00/04/88/276000488.db2.gz HNGFVWVYEHYWGK-JSGCOSHPSA-N 0 0 292.335 2.528 20 5 CFBDRN CCC[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000301838203 276049732 /nfs/dbraw/zinc/04/97/32/276049732.db2.gz NGPOPZMUWNYRLM-JTQLQIEISA-N 0 0 286.278 2.878 20 5 CFBDRN CC1(C)CCC[C@@H]1Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301975068 276109823 /nfs/dbraw/zinc/10/98/23/276109823.db2.gz VPYHEYGOWFBQFX-VIFPVBQESA-N 0 0 275.312 2.628 20 5 CFBDRN CC1(C)CCN(c2ccc([N+](=O)[O-])cn2)CCS1 ZINC000278829228 276113831 /nfs/dbraw/zinc/11/38/31/276113831.db2.gz JXVHTDGPIQRIFG-UHFFFAOYSA-N 0 0 267.354 2.712 20 5 CFBDRN CCC1(CO)CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000270678874 276219822 /nfs/dbraw/zinc/21/98/22/276219822.db2.gz CBZXHHJLABXZMS-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN CCC1(O)CCN(c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000288527131 276221165 /nfs/dbraw/zinc/22/11/65/276221165.db2.gz UJIBRUCQQCGCQE-UHFFFAOYSA-N 0 0 284.743 2.990 20 5 CFBDRN CCO[C@@H]1CCN(c2cc(C)c([N+](=O)[O-])cn2)C[C@H]1C ZINC000450745680 276339162 /nfs/dbraw/zinc/33/91/62/276339162.db2.gz PTJQYIVDIIDATQ-DGCLKSJQSA-N 0 0 279.340 2.550 20 5 CFBDRN CCOC1(C)CCN(c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000291990888 276449354 /nfs/dbraw/zinc/44/93/54/276449354.db2.gz XZIAKUDZDHDCSH-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN CC[C@@H]1COCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000156056624 276508233 /nfs/dbraw/zinc/50/82/33/276508233.db2.gz PDHNPWPEAJPAEI-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@H]1CCN1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000292808598 276613095 /nfs/dbraw/zinc/61/30/95/276613095.db2.gz NYYLTNBSQGPQIQ-JTQLQIEISA-N 0 0 263.297 2.919 20 5 CFBDRN CC[C@H]1CCN1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000336465062 276653729 /nfs/dbraw/zinc/65/37/29/276653729.db2.gz OSMMOMRBMIWYDG-JTQLQIEISA-N 0 0 263.297 2.919 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](CC2CC2)C1 ZINC000338808191 276722166 /nfs/dbraw/zinc/72/21/66/276722166.db2.gz GYHKEFHPMKCJOE-LBPRGKRZSA-N 0 0 278.356 2.746 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000248665052 276722655 /nfs/dbraw/zinc/72/26/55/276722655.db2.gz LDMWDQZHXJFTAL-BFHYXJOUSA-N 0 0 298.346 2.870 20 5 CFBDRN CN(C(=O)CSc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000172697380 276726561 /nfs/dbraw/zinc/72/65/61/276726561.db2.gz XFIORLJYXKYGFZ-UHFFFAOYSA-N 0 0 280.349 2.698 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])[C@@H]1C ZINC000344973816 277092969 /nfs/dbraw/zinc/09/29/69/277092969.db2.gz CCFLNHHIZZDCOY-PSASIEDQSA-N 0 0 298.726 2.636 20 5 CFBDRN CC(=O)c1ccc(N2CCSC[C@H]2C)c([N+](=O)[O-])c1 ZINC000070220094 277111580 /nfs/dbraw/zinc/11/15/80/277111580.db2.gz FLUWDDAPMDUEIP-SECBINFHSA-N 0 0 280.349 2.739 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@@H](F)C1 ZINC000357709607 277113759 /nfs/dbraw/zinc/11/37/59/277113759.db2.gz VOAPDCGMMJVJDL-SNVBAGLBSA-N 0 0 266.272 2.736 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000287340395 277150732 /nfs/dbraw/zinc/15/07/32/277150732.db2.gz OTWVFABWXNNVIG-SNVBAGLBSA-N 0 0 291.307 2.605 20 5 CFBDRN COC1CCN(c2c3ccccc3ncc2[N+](=O)[O-])CC1 ZINC000487937973 277194722 /nfs/dbraw/zinc/19/47/22/277194722.db2.gz DMVCTUADYCUKKF-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN COC1CCN(c2ccc([N+](=O)[O-])c3ncccc23)CC1 ZINC000340821534 277194854 /nfs/dbraw/zinc/19/48/54/277194854.db2.gz MGJYJJISLBMNSJ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN COCCC1(C)CN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000289180917 277202985 /nfs/dbraw/zinc/20/29/85/277202985.db2.gz VXKOWIXHMMQJHS-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@@H](OC)CC1 ZINC000371734721 277270103 /nfs/dbraw/zinc/27/01/03/277270103.db2.gz HBWGTQGEGHCJDU-CQSZACIVSA-N 0 0 294.351 2.747 20 5 CFBDRN CNc1c(C(=O)Nc2ccnc(C)c2)cccc1[N+](=O)[O-] ZINC000161505840 277301524 /nfs/dbraw/zinc/30/15/24/277301524.db2.gz UJBPUUKGGRFSIQ-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CO[C@H]1CCCN(c2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000432141180 277337457 /nfs/dbraw/zinc/33/74/57/277337457.db2.gz ZHBNJQGNWXATAW-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN COc1cc(C(=O)N2[C@H](C)CC[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000189289496 277369847 /nfs/dbraw/zinc/36/98/47/277369847.db2.gz QHCYWKURNPQQJN-AOOOYVTPSA-N 0 0 292.335 2.925 20 5 CFBDRN COc1cc(CN2CCOC(C)(C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000352526905 277376887 /nfs/dbraw/zinc/37/68/87/277376887.db2.gz TWRMPXRRGJLNIZ-LLVKDONJSA-N 0 0 294.351 2.603 20 5 CFBDRN COc1cc(N2C[C@@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000301425074 277382544 /nfs/dbraw/zinc/38/25/44/277382544.db2.gz GJXQVWRIEZVZNS-NXEZZACHSA-N 0 0 250.298 2.696 20 5 CFBDRN COC(=O)c1cc(N2CCC[C@H](C)C2)cc(C)c1[N+](=O)[O-] ZINC000301546167 277423304 /nfs/dbraw/zinc/42/33/04/277423304.db2.gz DWSJLVHLWXUHHY-JTQLQIEISA-N 0 0 292.335 2.926 20 5 CFBDRN CC(C)NC(=O)c1ccc(N2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000172811815 277661221 /nfs/dbraw/zinc/66/12/21/277661221.db2.gz OZVZTUHKBSKWDZ-NSHDSACASA-N 0 0 291.351 2.722 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000042804442 277680654 /nfs/dbraw/zinc/68/06/54/277680654.db2.gz SHHCPRHEUJUJRC-ZYHUDNBSSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301910567 277730988 /nfs/dbraw/zinc/73/09/88/277730988.db2.gz GEEPEQHBMRFKHZ-ZJUUUORDSA-N 0 0 275.312 2.628 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000363677545 277739367 /nfs/dbraw/zinc/73/93/67/277739367.db2.gz YQBPEZJQKSURSJ-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3cccc([N+](=O)[O-])c32)[C@@H]1C ZINC000408196182 277760323 /nfs/dbraw/zinc/76/03/23/277760323.db2.gz YSIITSDZEXCUKZ-NXEZZACHSA-N 0 0 272.308 2.773 20 5 CFBDRN CC(C)[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413188886 277791740 /nfs/dbraw/zinc/79/17/40/277791740.db2.gz VHZCXGUEGVGXDD-SECBINFHSA-N 0 0 253.277 2.611 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000450965668 277800257 /nfs/dbraw/zinc/80/02/57/277800257.db2.gz FWGAJUMUQIKUDZ-DTWKUNHWSA-N 0 0 296.298 2.661 20 5 CFBDRN CC(=O)CN(CC(C)C)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000421918925 277945338 /nfs/dbraw/zinc/94/53/38/277945338.db2.gz LSFVSAQLRKBBMI-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN C[C@@H]1CSC[C@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000343620371 277956877 /nfs/dbraw/zinc/95/68/77/277956877.db2.gz YEWBYWGPJGVPQB-HTRCEHHLSA-N 0 0 273.745 2.807 20 5 CFBDRN COc1ccc2nc(-c3csc([N+](=O)[O-])c3)[nH]c2n1 ZINC000340087232 278001135 /nfs/dbraw/zinc/00/11/35/278001135.db2.gz VMHKSIVRWQVPCY-UHFFFAOYSA-N 0 0 276.277 2.603 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1c1ncc([N+](=O)[O-])cc1Cl ZINC000408218670 278004477 /nfs/dbraw/zinc/00/44/77/278004477.db2.gz JJGDFVUGKGTBGF-JGVFFNPUSA-N 0 0 255.705 2.878 20 5 CFBDRN COc1cccc2c1CCN(c1ccc([N+](=O)[O-])nc1)CC2 ZINC000362841611 278031073 /nfs/dbraw/zinc/03/10/73/278031073.db2.gz WRSLRXMZWARTLH-UHFFFAOYSA-N 0 0 299.330 2.604 20 5 CFBDRN CC(C)c1ccc(CN2CCOCC2)cc1[N+](=O)[O-] ZINC000154532923 278036136 /nfs/dbraw/zinc/03/61/36/278036136.db2.gz DQTFSQWYKASXPR-UHFFFAOYSA-N 0 0 264.325 2.550 20 5 CFBDRN C[C@@H]1OCC[C@H]1Sc1ncc([N+](=O)[O-])cc1Cl ZINC000269978855 278062670 /nfs/dbraw/zinc/06/26/70/278062670.db2.gz ZUPFMWJEQLRYLE-IMTBSYHQSA-N 0 0 274.729 2.913 20 5 CFBDRN COc1ncccc1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000358368536 278096951 /nfs/dbraw/zinc/09/69/51/278096951.db2.gz SSQLPQQGGFJXCU-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC(=O)NCCCS[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000266305111 278103474 /nfs/dbraw/zinc/10/34/74/278103474.db2.gz BLLYZLBDVZPFEA-SNVBAGLBSA-N 0 0 282.365 2.915 20 5 CFBDRN CSC[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000133335995 278136218 /nfs/dbraw/zinc/13/62/18/278136218.db2.gz OAMYPPCXOXKVRW-JTQLQIEISA-N 0 0 297.336 2.835 20 5 CFBDRN CSc1cccc(NC(=O)c2ccc([N+](=O)[O-])o2)n1 ZINC000345476586 278176289 /nfs/dbraw/zinc/17/62/89/278176289.db2.gz CFXHCIRPUVOFLE-UHFFFAOYSA-N 0 0 279.277 2.557 20 5 CFBDRN CC1(C)CCC(Nc2ncc([N+](=O)[O-])cn2)CC1 ZINC000079680199 278201460 /nfs/dbraw/zinc/20/14/60/278201460.db2.gz HZTGCBJLSWZJKZ-UHFFFAOYSA-N 0 0 250.302 2.766 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@H]2C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000301776621 278247113 /nfs/dbraw/zinc/24/71/13/278247113.db2.gz OMYZWYDHDKUBHK-MFKMUULPSA-N 0 0 292.335 2.537 20 5 CFBDRN C[C@@H](F)CCN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352621525 278302485 /nfs/dbraw/zinc/30/24/85/278302485.db2.gz QOPDDYWHLKMHMA-SNVBAGLBSA-N 0 0 268.288 2.537 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C(C)(C)C1 ZINC000266909051 288035592 /nfs/dbraw/zinc/03/55/92/288035592.db2.gz KUCOMRBNDXHJNX-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000264314605 278354803 /nfs/dbraw/zinc/35/48/03/278354803.db2.gz TZJLRLFWTBHBQP-JGVFFNPUSA-N 0 0 254.311 2.575 20 5 CFBDRN C[C@H]1CC[C@@H]([N@H+](C)Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000339123465 278378152 /nfs/dbraw/zinc/37/81/52/278378152.db2.gz FYGHUAIBWMCBQO-CMPLNLGQSA-N 0 0 264.325 2.921 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000343759121 278384980 /nfs/dbraw/zinc/38/49/80/278384980.db2.gz HJGNQZYLMRFQDQ-DTWKUNHWSA-N 0 0 258.281 2.748 20 5 CFBDRN C[C@H]1CN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C[C@@H]1C ZINC000267741169 278441273 /nfs/dbraw/zinc/44/12/73/278441273.db2.gz WTWIGAMSXUJUCC-UWVGGRQHSA-N 0 0 289.335 2.572 20 5 CFBDRN CC(C)(C)[C@H]1CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000345726289 278547161 /nfs/dbraw/zinc/54/71/61/278547161.db2.gz UMYGMFWIQDYWAC-JTQLQIEISA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@@H]1CCc2ccccc2[C@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301896527 278623316 /nfs/dbraw/zinc/62/33/16/278623316.db2.gz UTVMYRYWPPYPJW-RNCFNFMXSA-N 0 0 299.334 2.703 20 5 CFBDRN Cc1cc(N2CCO[C@H](C)[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000292640998 278713359 /nfs/dbraw/zinc/71/33/59/278713359.db2.gz OSOXQDIYNZPAEN-NXEZZACHSA-N 0 0 268.288 2.656 20 5 CFBDRN Cc1cc(N2C[C@@H](C)O[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000359714287 278717927 /nfs/dbraw/zinc/71/79/27/278717927.db2.gz JCYUGIGYLCFJSG-IAQYHMDHSA-N 0 0 276.336 2.907 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1C[C@@H]2CCCC[C@@H]2C1 ZINC000301565630 278747902 /nfs/dbraw/zinc/74/79/02/278747902.db2.gz PUDJNYYLKPSDGS-TXEJJXNPSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1ccc(N2CCC[C@H]([C@@H](C)O)C2)c([N+](=O)[O-])c1 ZINC000301751249 278821366 /nfs/dbraw/zinc/82/13/66/278821366.db2.gz AUMGJIIIFITNLY-NEPJUHHUSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCOC[C@@H]2C)c1 ZINC000277311198 278865121 /nfs/dbraw/zinc/86/51/21/278865121.db2.gz BJLJRAXJBOQOFB-QWRGUYRKSA-N 0 0 250.298 2.740 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000335873558 278886634 /nfs/dbraw/zinc/88/66/34/278886634.db2.gz JJHDCCTYGAQQRE-RKDXNWHRSA-N 0 0 274.276 2.964 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000465057281 278886772 /nfs/dbraw/zinc/88/67/72/278886772.db2.gz NVLBDSDHLLLJOQ-NXEZZACHSA-N 0 0 288.307 2.880 20 5 CFBDRN Cc1cccc(N2CCC(n3ccnc3)CC2)c1[N+](=O)[O-] ZINC000275472928 278922063 /nfs/dbraw/zinc/92/20/63/278922063.db2.gz OIIQWJGLTNBNCL-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN C[C@@H]1Cc2ccccc2CN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000336021072 279015772 /nfs/dbraw/zinc/01/57/72/279015772.db2.gz DYSATDPXQHGLHS-LLVKDONJSA-N 0 0 299.330 2.520 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000110568176 279021073 /nfs/dbraw/zinc/02/10/73/279021073.db2.gz YGWNXPAEZLBQOP-YMTOWFKASA-N 0 0 286.287 2.851 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000347112421 279023356 /nfs/dbraw/zinc/02/33/56/279023356.db2.gz ZNDDGJWFDXKHCN-BMIGLBTASA-N 0 0 299.330 2.597 20 5 CFBDRN CC(C)C[C@@H]1CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000180835200 279030592 /nfs/dbraw/zinc/03/05/92/279030592.db2.gz MJEODTKAJKQMBJ-NSHDSACASA-N 0 0 291.351 2.685 20 5 CFBDRN CC(C)C[C@@H]1CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342793579 279030836 /nfs/dbraw/zinc/03/08/36/279030836.db2.gz VRZIGALEWOHDGL-NSHDSACASA-N 0 0 291.351 2.566 20 5 CFBDRN CC(C)C[C@@H]1COCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000267222201 279043478 /nfs/dbraw/zinc/04/34/78/279043478.db2.gz DGFCUELGKNWAHY-LLVKDONJSA-N 0 0 299.758 2.895 20 5 CFBDRN Cc1nc(N2CCC(C3CC3)CC2)ccc1[N+](=O)[O-] ZINC000366201262 279089234 /nfs/dbraw/zinc/08/92/34/279089234.db2.gz FWPKKODMMPMUEO-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1nc(N2CCCC[C@@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000297217407 279089864 /nfs/dbraw/zinc/08/98/64/279089864.db2.gz SNWMTGRIHNDMEX-LLVKDONJSA-N 0 0 264.329 2.708 20 5 CFBDRN Cc1nc(N2C[C@@H]3CCCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000301664429 279094343 /nfs/dbraw/zinc/09/43/43/279094343.db2.gz NUACPJGAPKCXLI-RYUDHWBXSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1nc(N2C[C@@H](C)[C@@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000296910215 279094366 /nfs/dbraw/zinc/09/43/66/279094366.db2.gz ADVSVPBOFSCWHJ-QMTHXVAHSA-N 0 0 284.319 2.891 20 5 CFBDRN C[C@@H]1c2ccccc2CN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000334388381 279136368 /nfs/dbraw/zinc/13/63/68/279136368.db2.gz QOQGYVKYZKPFTM-SNVBAGLBSA-N 0 0 285.303 2.650 20 5 CFBDRN Cc1sc(C(=O)N2C[C@@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000267639349 279282783 /nfs/dbraw/zinc/28/27/83/279282783.db2.gz KUCBVLANCPBDSR-HTQZYQBOSA-N 0 0 268.338 2.693 20 5 CFBDRN CCOC(=O)C1CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000054613659 279533590 /nfs/dbraw/zinc/53/35/90/279533590.db2.gz CHWPDTDXCYUUHP-UHFFFAOYSA-N 0 0 296.298 2.513 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@@H]3OCC[C@@H]32)c1 ZINC000192800328 279699689 /nfs/dbraw/zinc/69/96/89/279699689.db2.gz SHUMTZHHIKZVPH-SUNKGSAMSA-N 0 0 277.324 2.547 20 5 CFBDRN CCO[C@@H]1CCN(c2cc(OC)ccc2[N+](=O)[O-])C[C@H]1C ZINC000450747830 279783279 /nfs/dbraw/zinc/78/32/79/279783279.db2.gz VARZSDBKMVXTKC-IAQYHMDHSA-N 0 0 294.351 2.855 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450483280 279918567 /nfs/dbraw/zinc/91/85/67/279918567.db2.gz FJUKVBUHOSMHNY-GWCFXTLKSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1CSCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000172334221 279932313 /nfs/dbraw/zinc/93/23/13/279932313.db2.gz KKYNMWHJMDTKFO-VIFPVBQESA-N 0 0 281.337 2.564 20 5 CFBDRN CC(C)[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000294272377 280016318 /nfs/dbraw/zinc/01/63/18/280016318.db2.gz XIAFFXANNSAEHU-ZDUSSCGKSA-N 0 0 282.315 2.985 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000005469060 288195307 /nfs/dbraw/zinc/19/53/07/288195307.db2.gz FTAQSYQELFESHA-NSHDSACASA-N 0 0 262.309 3.000 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000377176255 280086572 /nfs/dbraw/zinc/08/65/72/280086572.db2.gz QMVXAZXLUPRRSI-OTYXRUKQSA-N 0 0 271.276 2.924 20 5 CFBDRN C[C@H]1SCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000118460020 280088523 /nfs/dbraw/zinc/08/85/23/280088523.db2.gz JWGIHSRRINOGED-NXEZZACHSA-N 0 0 295.364 2.953 20 5 CFBDRN C[C@H]1[C@@H](C(=O)N2c3ccc([N+](=O)[O-])cc3C[C@H]2C)C1(F)F ZINC000359043748 280094010 /nfs/dbraw/zinc/09/40/10/280094010.db2.gz OIWIZLVONXJUDY-LWINAJNOSA-N 0 0 296.273 2.774 20 5 CFBDRN C[C@H]1[C@H](C(=O)N2CCCc3c([N+](=O)[O-])cccc32)C1(F)F ZINC000334686347 280107718 /nfs/dbraw/zinc/10/77/18/280107718.db2.gz VBIIBIDMGQEPHX-QPUJVOFHSA-N 0 0 296.273 2.775 20 5 CFBDRN C[C@]1(O)CCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 ZINC000342823938 280170470 /nfs/dbraw/zinc/17/04/70/280170470.db2.gz JZKMGTXBSYRUEB-NSHDSACASA-N 0 0 291.134 2.863 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@H](C2CC2)C1 ZINC000334928796 280191330 /nfs/dbraw/zinc/19/13/30/280191330.db2.gz QLURUPSHOIIIDN-JTQLQIEISA-N 0 0 278.283 2.606 20 5 CFBDRN O=C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 ZINC000037115643 280255718 /nfs/dbraw/zinc/25/57/18/280255718.db2.gz XWFKHEIQFOPBIL-UHFFFAOYSA-N 0 0 288.225 2.783 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CCc2ccccc2C1 ZINC000332557733 280259154 /nfs/dbraw/zinc/25/91/54/280259154.db2.gz UMBPUDPZDYAXOH-ZDUSSCGKSA-N 0 0 298.342 2.870 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000334422580 280283630 /nfs/dbraw/zinc/28/36/30/280283630.db2.gz URRIKCAIDWRWFD-LLVKDONJSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1cc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)cc(=O)[nH]1 ZINC000346581117 280286771 /nfs/dbraw/zinc/28/67/71/280286771.db2.gz VGOVORWMVJEKKG-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000271859382 280335459 /nfs/dbraw/zinc/33/54/59/280335459.db2.gz XKKIXYMHEWJTFO-VHSXEESVSA-N 0 0 294.355 2.844 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1N1CC[C@@H](F)C1 ZINC000309544197 280355874 /nfs/dbraw/zinc/35/58/74/280355874.db2.gz IEGDNDOEVLFZBT-MRVPVSSYSA-N 0 0 289.104 2.906 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H]([C@@H]3CCOC3)C2)c(F)c1 ZINC000366634493 280359541 /nfs/dbraw/zinc/35/95/41/280359541.db2.gz FLQVYHUKHZWERO-VHSXEESVSA-N 0 0 298.289 2.736 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC1CCOCC1 ZINC000276686580 280362001 /nfs/dbraw/zinc/36/20/01/280362001.db2.gz VWEGGCOAPVLCTG-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@@H]2C2CC2)nc1 ZINC000290691558 280365575 /nfs/dbraw/zinc/36/55/75/280365575.db2.gz MLMGWFIMYKXPCW-ZDUSSCGKSA-N 0 0 259.309 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCN(C3CC3)CC2)c(Cl)c1 ZINC000049390431 280366835 /nfs/dbraw/zinc/36/68/35/280366835.db2.gz RNGQDDXRQFFEBC-UHFFFAOYSA-N 0 0 281.743 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H](C3CCC3)C2)c(F)c1 ZINC000292427846 280366914 /nfs/dbraw/zinc/36/69/14/280366914.db2.gz XAXQDWXASHOHCZ-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](C3CCOCC3)C2)c(F)c1 ZINC000377184221 280367247 /nfs/dbraw/zinc/36/72/47/280367247.db2.gz LPUXYBVZVXPUQU-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](F)C2)c2cccnc21 ZINC000413322779 280367423 /nfs/dbraw/zinc/36/74/23/280367423.db2.gz HIVZZKTXGMOQSB-SECBINFHSA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(C2)CCCOC3)c(F)c1 ZINC000376485856 280367651 /nfs/dbraw/zinc/36/76/51/280367651.db2.gz GEKZLLOJHSWESF-CQSZACIVSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3OCCC[C@H]3C2)cc1 ZINC000301735683 280367777 /nfs/dbraw/zinc/36/77/77/280367777.db2.gz UXVINJNRAVYINA-SMDDNHRTSA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1C[C@H]3CCC[C@@H]3C1)CCN2 ZINC000275389431 280370825 /nfs/dbraw/zinc/37/08/25/280370825.db2.gz PZOONAIGNPFAPC-GHMZBOCLSA-N 0 0 273.336 2.799 20 5 CFBDRN CC(C)[C@H]1CCCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000444530623 280375943 /nfs/dbraw/zinc/37/59/43/280375943.db2.gz ZXUCWYDDNNSMMT-NSHDSACASA-N 0 0 291.351 2.685 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCc2ccccc2CC1 ZINC000450806250 280376308 /nfs/dbraw/zinc/37/63/08/280376308.db2.gz DUZJUXYMPYOWNR-UHFFFAOYSA-N 0 0 283.331 2.985 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@H]2C2CC2)s1 ZINC000290741903 280378369 /nfs/dbraw/zinc/37/83/69/280378369.db2.gz RNFQNXXGNHBNJF-SNVBAGLBSA-N 0 0 265.338 2.820 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCOC3(CCCCC3)C2)nc1 ZINC000273994916 280381650 /nfs/dbraw/zinc/38/16/50/280381650.db2.gz SCELCGJBOJIJBI-LLVKDONJSA-N 0 0 292.339 2.679 20 5 CFBDRN O=[N+]([O-])c1cnn([C@@H]2CCCc3ccc(F)cc32)c1 ZINC000180509695 280383855 /nfs/dbraw/zinc/38/38/55/280383855.db2.gz OCRJETDJFBIFCX-CYBMUJFWSA-N 0 0 261.256 2.856 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cnns1 ZINC000189583290 280398259 /nfs/dbraw/zinc/39/82/59/280398259.db2.gz ILKHWVNFHONXOQ-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccn2)c1 ZINC000048389589 280430370 /nfs/dbraw/zinc/43/03/70/280430370.db2.gz QDFSOBJSHJQXLP-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN CN(Cc1ccco1)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054057204 280448007 /nfs/dbraw/zinc/44/80/07/280448007.db2.gz MMIHHFIUXXUAQJ-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCS1 ZINC000266235769 280449551 /nfs/dbraw/zinc/44/95/51/280449551.db2.gz VQFGXHPMXCNQDS-SECBINFHSA-N 0 0 287.772 2.975 20 5 CFBDRN CCCCc1cc(NC(=O)c2ccc([N+](=O)[O-])s2)n[nH]1 ZINC000064078560 280464063 /nfs/dbraw/zinc/46/40/63/280464063.db2.gz DOEQHUBWKISMHO-UHFFFAOYSA-N 0 0 294.336 2.974 20 5 CFBDRN CCCc1cc(Oc2ccc([N+](=O)[O-])cc2)n2ncnc2n1 ZINC000064904422 280464934 /nfs/dbraw/zinc/46/49/34/280464934.db2.gz SPXFMXGEIPJBPD-UHFFFAOYSA-N 0 0 299.290 2.777 20 5 CFBDRN COc1cccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000067071382 280470598 /nfs/dbraw/zinc/47/05/98/280470598.db2.gz QOYHLVNGYKLYSW-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOC2CCCC2)cc1 ZINC000070183717 280482144 /nfs/dbraw/zinc/48/21/44/280482144.db2.gz BVJZNBXJPFOQOE-UHFFFAOYSA-N 0 0 250.298 2.966 20 5 CFBDRN CNc1ccc(C(=O)N2CCCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000070269918 280483500 /nfs/dbraw/zinc/48/35/00/280483500.db2.gz VZKNJQQMCQYHSD-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CN(Cc1nccs1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000072736559 280490182 /nfs/dbraw/zinc/49/01/82/280490182.db2.gz QDZTUSVJWTUENS-UHFFFAOYSA-N 0 0 292.320 2.715 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000268050846 280513276 /nfs/dbraw/zinc/51/32/76/280513276.db2.gz SMVIHIPWBWUPKC-VHSXEESVSA-N 0 0 295.295 2.507 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1cc(C)ccc1[N+](=O)[O-] ZINC000447060389 280518640 /nfs/dbraw/zinc/51/86/40/280518640.db2.gz PYADCTIASNDVEK-VXGBXAGGSA-N 0 0 264.325 2.907 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCC(C)(C)C ZINC000076408445 280519307 /nfs/dbraw/zinc/51/93/07/280519307.db2.gz UCDLCFDMMVBYMA-UHFFFAOYSA-N 0 0 281.312 2.771 20 5 CFBDRN CCN(C)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000078278554 280527783 /nfs/dbraw/zinc/52/77/83/280527783.db2.gz LNYLBFIBYXYTAM-UHFFFAOYSA-N 0 0 264.306 2.901 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000330934647 280530552 /nfs/dbraw/zinc/53/05/52/280530552.db2.gz JSBRIFAVZFROLR-MWLCHTKSSA-N 0 0 277.324 2.559 20 5 CFBDRN CC(C)(NC(=O)c1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000079631022 280535562 /nfs/dbraw/zinc/53/55/62/280535562.db2.gz XWSRHXVWLGPXSN-UHFFFAOYSA-N 0 0 285.303 2.655 20 5 CFBDRN COC[C@H](NCc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000080239883 280538390 /nfs/dbraw/zinc/53/83/90/280538390.db2.gz NBPVXCUDAHHRMO-ZDUSSCGKSA-N 0 0 276.292 2.665 20 5 CFBDRN Cc1cc(N2CC(C)(C)[C@H]2[C@@H]2CCCO2)ncc1[N+](=O)[O-] ZINC000291232758 280541743 /nfs/dbraw/zinc/54/17/43/280541743.db2.gz WWTWYQDTHIFWMH-GXTWGEPZSA-N 0 0 291.351 2.692 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000084205835 280549830 /nfs/dbraw/zinc/54/98/30/280549830.db2.gz RUWULEXEDQGRLB-UHFFFAOYSA-N 0 0 285.303 2.652 20 5 CFBDRN Cc1cc(N2CCC[C@@H]([C@@H]3CCOC3)C2)ncc1[N+](=O)[O-] ZINC000439083472 280552742 /nfs/dbraw/zinc/55/27/42/280552742.db2.gz GSGAZSFUZDDYMG-CHWSQXEVSA-N 0 0 291.351 2.551 20 5 CFBDRN CCC(C)(C)NC(=O)CNc1c(C)ccc([N+](=O)[O-])c1C ZINC000085288556 280553339 /nfs/dbraw/zinc/55/33/39/280553339.db2.gz AERXRFHDWARFGW-UHFFFAOYSA-N 0 0 293.367 2.928 20 5 CFBDRN Cc1ccc(NC[C@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000086016119 280553929 /nfs/dbraw/zinc/55/39/29/280553929.db2.gz JCIPKQHZMLOIKJ-RISCZKNCSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cc(N2CCC[C@H]([C@@H]3CCOC3)C2)ncc1[N+](=O)[O-] ZINC000439083474 280556135 /nfs/dbraw/zinc/55/61/35/280556135.db2.gz GSGAZSFUZDDYMG-QWHCGFSZSA-N 0 0 291.351 2.551 20 5 CFBDRN CN(CC1CCC1)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087274360 280557591 /nfs/dbraw/zinc/55/75/91/280557591.db2.gz JLSUPZLNHOQBAD-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1ccsc1 ZINC000089835189 280565337 /nfs/dbraw/zinc/56/53/37/280565337.db2.gz YSIZHSFSOSDJLF-UHFFFAOYSA-N 0 0 276.317 2.629 20 5 CFBDRN CSCCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000091007487 280570907 /nfs/dbraw/zinc/57/09/07/280570907.db2.gz PMLHDZPFACWGCR-UHFFFAOYSA-N 0 0 255.295 2.539 20 5 CFBDRN CC[C@H](CSC)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000091606534 280571781 /nfs/dbraw/zinc/57/17/81/280571781.db2.gz NJIPSNJEPJPJIX-LLVKDONJSA-N 0 0 297.380 2.536 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Cn1ccc(C(F)(F)F)n1 ZINC000103463054 280582420 /nfs/dbraw/zinc/58/24/20/280582420.db2.gz NSALFVYNBKYSKE-UHFFFAOYSA-N 0 0 289.188 2.998 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000185418265 280598867 /nfs/dbraw/zinc/59/88/67/280598867.db2.gz UMJWJXUCPOYXIZ-RKDXNWHRSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1conc1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000123832843 280636117 /nfs/dbraw/zinc/63/61/17/280636117.db2.gz AFZIWKYTINCNRS-UHFFFAOYSA-N 0 0 293.304 2.622 20 5 CFBDRN Cc1cccc(C(=O)NC2C[C@@H](C)O[C@H](C)C2)c1[N+](=O)[O-] ZINC000126178948 280650359 /nfs/dbraw/zinc/65/03/59/280650359.db2.gz MYGADOWPTLFENU-GHMZBOCLSA-N 0 0 292.335 2.589 20 5 CFBDRN CC(C)(C)CCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000126447065 280653444 /nfs/dbraw/zinc/65/34/44/280653444.db2.gz BRMRQFVMHWYYNS-UHFFFAOYSA-N 0 0 297.330 2.872 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC[C@](C)(O)C1 ZINC000302802414 280656380 /nfs/dbraw/zinc/65/63/80/280656380.db2.gz DAZGHLXLNDAVHZ-ZDUSSCGKSA-N 0 0 284.743 2.908 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000130224582 280700569 /nfs/dbraw/zinc/70/05/69/280700569.db2.gz YUFFARZGTCDWQD-MRVPVSSYSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1nnc(COc2cc([N+](=O)[O-])ccc2C)n1C1CC1 ZINC000130646320 280706690 /nfs/dbraw/zinc/70/66/90/280706690.db2.gz KXHRNDKMGVMXHQ-UHFFFAOYSA-N 0 0 288.307 2.717 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1C=CCCC1 ZINC000130828893 280708961 /nfs/dbraw/zinc/70/89/61/280708961.db2.gz FZYXBEWITOWYNM-GFCCVEGCSA-N 0 0 275.308 2.503 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1nnsc1Cl ZINC000131476556 280718277 /nfs/dbraw/zinc/71/82/77/280718277.db2.gz ZHDBLFYJIDJBNC-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN Cc1ccc(OCC(=O)N(C(C)C)C(C)C)cc1[N+](=O)[O-] ZINC000131616151 280720601 /nfs/dbraw/zinc/72/06/01/280720601.db2.gz JQRKNLOATKFGAV-UHFFFAOYSA-N 0 0 294.351 2.927 20 5 CFBDRN Cc1ccc(Cl)cc1NC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000133505863 280744434 /nfs/dbraw/zinc/74/44/34/280744434.db2.gz QQEAQKIWQXJYNC-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cscn1 ZINC000134325069 280757001 /nfs/dbraw/zinc/75/70/01/280757001.db2.gz LPSTZRREVYYQPK-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cccc(N(C)C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)n1 ZINC000135235872 280768859 /nfs/dbraw/zinc/76/88/59/280768859.db2.gz MVLUWPXOGCOSAU-DHZHZOJOSA-N 0 0 297.314 2.974 20 5 CFBDRN CC[C@@H](C)CN(C)c1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000136303065 280782625 /nfs/dbraw/zinc/78/26/25/280782625.db2.gz XFIPFPQPQLTEHF-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000150745391 280794541 /nfs/dbraw/zinc/79/45/41/280794541.db2.gz FRUVFVRNSXBKQS-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cscn1 ZINC000186316455 280845732 /nfs/dbraw/zinc/84/57/32/280845732.db2.gz AKAFPHBCORHRTR-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000187360464 280854911 /nfs/dbraw/zinc/85/49/11/280854911.db2.gz SFSXJFIVYXKNDN-LBPRGKRZSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2CCC(C)(C)O2)cc1[N+](=O)[O-] ZINC000187376701 280855662 /nfs/dbraw/zinc/85/56/62/280855662.db2.gz KKWDENDCWNAAQE-LBPRGKRZSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc(NC[C@@H](C)N2CCCCC2=O)c([N+](=O)[O-])c1 ZINC000190291817 280886591 /nfs/dbraw/zinc/88/65/91/280886591.db2.gz SVEZYQXZZVSSDU-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)C2CCCCC2)cc1 ZINC000191360273 280898776 /nfs/dbraw/zinc/89/87/76/280898776.db2.gz KOGUKFKZECASPH-CQSZACIVSA-N 0 0 265.309 2.915 20 5 CFBDRN Cc1cc(NC(=O)N(C)C(C)C)ccc1[N+](=O)[O-] ZINC000193591320 280914469 /nfs/dbraw/zinc/91/44/69/280914469.db2.gz SZAUFELZCWJWOR-UHFFFAOYSA-N 0 0 251.286 2.775 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H](C)[C@@H](C)O1 ZINC000408442587 288278344 /nfs/dbraw/zinc/27/83/44/288278344.db2.gz JVKNTJYONULTJL-IWSPIJDZSA-N 0 0 285.731 2.645 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ccc([N+](=O)[O-])cn1)CCO2 ZINC000286477425 281073277 /nfs/dbraw/zinc/07/32/77/281073277.db2.gz WHDLTIXLLCLCFQ-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1ccc([N+](=O)[O-])n1C)CCC2 ZINC000336385391 281076944 /nfs/dbraw/zinc/07/69/44/281076944.db2.gz XNHYOHXXKWPIBV-UHFFFAOYSA-N 0 0 299.330 2.835 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC(C1CC1)C1CC1 ZINC000254936020 281081662 /nfs/dbraw/zinc/08/16/62/281081662.db2.gz FXXOHTILPNZHFC-KMKOMSMNSA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1cncc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c1 ZINC000254944591 281082159 /nfs/dbraw/zinc/08/21/59/281082159.db2.gz CNYAJSYIGBZOKZ-DAXSKMNVSA-N 0 0 283.287 2.950 20 5 CFBDRN CC[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000286639076 288298854 /nfs/dbraw/zinc/29/88/54/288298854.db2.gz DEWKVBOCWMLVMD-ZJUUUORDSA-N 0 0 268.288 2.738 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC[C@H]1CCCS1 ZINC000255500233 281099387 /nfs/dbraw/zinc/09/93/87/281099387.db2.gz CGMRHCLIROUFNF-QDZRJHCZSA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1cc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)ccn1 ZINC000255615774 281103432 /nfs/dbraw/zinc/10/34/32/281103432.db2.gz LGOSZSHWGBACTK-DAXSKMNVSA-N 0 0 283.287 2.950 20 5 CFBDRN COC(C)(C)C[C@@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000266242460 281114334 /nfs/dbraw/zinc/11/43/34/281114334.db2.gz LPJIVZUSYWBUCG-MRVPVSSYSA-N 0 0 294.311 2.747 20 5 CFBDRN CC1(C(=O)COc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000288340067 281133636 /nfs/dbraw/zinc/13/36/36/281133636.db2.gz FNZARCHFLQTRJW-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN CC(C)Oc1ncccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294371743 281139235 /nfs/dbraw/zinc/13/92/35/281139235.db2.gz GWJQJETTXSCWEY-UHFFFAOYSA-N 0 0 295.339 2.643 20 5 CFBDRN Cc1nc(Cl)ccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000296131356 281141741 /nfs/dbraw/zinc/14/17/41/281141741.db2.gz GBKFRWKMRQPGTM-UHFFFAOYSA-N 0 0 285.731 2.817 20 5 CFBDRN CC(C)CNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 ZINC000298792409 281146093 /nfs/dbraw/zinc/14/60/93/281146093.db2.gz TZMXPXQTIOXHLY-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CC(C)N(Cc1ccco1)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000344479184 281170613 /nfs/dbraw/zinc/17/06/13/281170613.db2.gz XZKFDDOSUUFPGC-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)c(F)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000268188692 281176492 /nfs/dbraw/zinc/17/64/92/281176492.db2.gz MHBDJPRWGDNQLA-ZJUUUORDSA-N 0 0 298.314 2.746 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H]2COc3ccc(F)cc32)cc1 ZINC000358024593 281181322 /nfs/dbraw/zinc/18/13/22/281181322.db2.gz RFPVXAQZMVACAD-CQSZACIVSA-N 0 0 288.278 2.957 20 5 CFBDRN Cc1c(NCc2nn(C)cc2Cl)cccc1[N+](=O)[O-] ZINC000393346737 281193828 /nfs/dbraw/zinc/19/38/28/281193828.db2.gz FZRVQEXRBUPVHX-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC[C@H]1COCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000156056736 281202880 /nfs/dbraw/zinc/20/28/80/281202880.db2.gz PDHNPWPEAJPAEI-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN COc1cccc(NC[C@@H]2CC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000397937853 281203062 /nfs/dbraw/zinc/20/30/62/281203062.db2.gz XHHKARLHFIEYDB-ZJUUUORDSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CS[C@@H](C)C2)n1 ZINC000397956401 281203476 /nfs/dbraw/zinc/20/34/76/281203476.db2.gz FKAQSLWCMTUVMY-DTWKUNHWSA-N 0 0 253.327 2.604 20 5 CFBDRN CCOc1cc(NC[C@@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000397963878 281203586 /nfs/dbraw/zinc/20/35/86/281203586.db2.gz WOVDLEKFECFONB-PWSUYJOCSA-N 0 0 280.324 2.973 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCCCOC(F)F ZINC000398204135 281205420 /nfs/dbraw/zinc/20/54/20/281205420.db2.gz BFDOGHARFWGSPL-UHFFFAOYSA-N 0 0 297.261 2.606 20 5 CFBDRN CC1(C)CN(C(=O)c2ccccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000188974783 281223823 /nfs/dbraw/zinc/22/38/23/281223823.db2.gz MJENWLSIVHDHGA-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN O=C(N[C@@H]1CC12CCCC2)c1cc(F)cc([N+](=O)[O-])c1 ZINC000430239005 281232650 /nfs/dbraw/zinc/23/26/50/281232650.db2.gz MJCHLCJWSXDFMR-GFCCVEGCSA-N 0 0 278.283 2.796 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1C[C@H]1C1CC1 ZINC000433436431 281234495 /nfs/dbraw/zinc/23/44/95/281234495.db2.gz KVRHRZUAIVVMPS-VHSXEESVSA-N 0 0 264.256 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nccn2CC(F)(F)F)nc1 ZINC000439537406 281238946 /nfs/dbraw/zinc/23/89/46/281238946.db2.gz YWVASUDWLMWMMN-DUXPYHPUSA-N 0 0 298.224 2.919 20 5 CFBDRN C[C@H]1C[C@H](COc2cccc([N+](=O)[O-])c2)CCO1 ZINC000447300835 281240492 /nfs/dbraw/zinc/24/04/92/281240492.db2.gz ZJDTVBXJYCPWJO-WDEREUQCSA-N 0 0 251.282 2.789 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC1CCc2ccccc2CC1 ZINC000450846060 281244947 /nfs/dbraw/zinc/24/49/47/281244947.db2.gz SMNXZKNFIYAZBJ-UHFFFAOYSA-N 0 0 286.335 2.688 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283174192 281262471 /nfs/dbraw/zinc/26/24/71/281262471.db2.gz PNLSGRFEQBNYMV-UFBFGSQYSA-N 0 0 295.314 2.577 20 5 CFBDRN Cc1cccc2c1CN(c1ncc([N+](=O)[O-])s1)CC2 ZINC000282079517 281276190 /nfs/dbraw/zinc/27/61/90/281276190.db2.gz ZRSJCMXPQZVOLM-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN CC(F)(F)CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000516204762 281301038 /nfs/dbraw/zinc/30/10/38/281301038.db2.gz VDCTZUJFNGTFJI-UHFFFAOYSA-N 0 0 286.278 2.644 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCCc1ccc[nH]1)CCCC2 ZINC000516565435 281304418 /nfs/dbraw/zinc/30/44/18/281304418.db2.gz BCOLNFZPMXDVTH-UHFFFAOYSA-N 0 0 286.335 2.851 20 5 CFBDRN COc1cc(OC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000526995418 281323297 /nfs/dbraw/zinc/32/32/97/281323297.db2.gz CNWWBCCLEJIUJA-LLVKDONJSA-N 0 0 267.281 2.551 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cn1)[C@@H]1CCC(C)(C)C1 ZINC000527032685 281324471 /nfs/dbraw/zinc/32/44/71/281324471.db2.gz KWILTJXZPYHDIZ-SNVBAGLBSA-N 0 0 277.324 2.641 20 5 CFBDRN Cc1ccccc1CN1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332520348 281342933 /nfs/dbraw/zinc/34/29/33/281342933.db2.gz LYAFBMOYXVXIBW-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN C[C@H]1CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000531627101 281436027 /nfs/dbraw/zinc/43/60/27/281436027.db2.gz YUFGIOHTUKPDEK-QWRGUYRKSA-N 0 0 277.324 2.546 20 5 CFBDRN CC[C@H](CNC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000531627257 281436056 /nfs/dbraw/zinc/43/60/56/281436056.db2.gz FUGRXJAAKJSAAG-GFCCVEGCSA-N 0 0 299.330 2.913 20 5 CFBDRN CCc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)on1 ZINC000532232603 281446905 /nfs/dbraw/zinc/44/69/05/281446905.db2.gz QTVNUTMVSWGXRP-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN CC(C)C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000532346417 281452332 /nfs/dbraw/zinc/45/23/32/281452332.db2.gz HTWYVELLBVCWBD-LBPRGKRZSA-N 0 0 293.367 2.832 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2c(F)cc([N+](=O)[O-])cc2F)o1 ZINC000533529405 281469359 /nfs/dbraw/zinc/46/93/59/281469359.db2.gz MVRWJPPMNVXKPL-RXMQYKEDSA-N 0 0 284.222 2.738 20 5 CFBDRN Cc1ccc(OC[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000534388108 281486289 /nfs/dbraw/zinc/48/62/89/281486289.db2.gz MJHJCJGCGOCCPU-NSHDSACASA-N 0 0 251.282 2.709 20 5 CFBDRN COC(=O)[C@H](CNc1ccc([N+](=O)[O-])cc1)CC(C)C ZINC000536988035 281531258 /nfs/dbraw/zinc/53/12/58/281531258.db2.gz YHHHMJQYOLADSD-NSHDSACASA-N 0 0 280.324 2.842 20 5 CFBDRN C[C@@H](NC(=O)CCc1cccnc1)c1ccccc1[N+](=O)[O-] ZINC000537133658 281532779 /nfs/dbraw/zinc/53/27/79/281532779.db2.gz KLRXNMLHRLOHSZ-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN CC(C)=Cc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000546241804 281705906 /nfs/dbraw/zinc/70/59/06/281705906.db2.gz SJXKOBNVVOEITD-UHFFFAOYSA-N 0 0 259.265 2.992 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@H]2CCCC[C@@H]12 ZINC000546180738 281706476 /nfs/dbraw/zinc/70/64/76/281706476.db2.gz IUCNEMYEIOYZGZ-WXHSDQCUSA-N 0 0 276.340 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc[nH]n2)c(OC(F)F)c1 ZINC000546188404 281706546 /nfs/dbraw/zinc/70/65/46/281706546.db2.gz NTDTZPKJTYAYSH-UHFFFAOYSA-N 0 0 284.222 2.531 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@]2(C)CCOC2)n1 ZINC000546395067 281708253 /nfs/dbraw/zinc/70/82/53/281708253.db2.gz BBVFLPZWFAKYHS-AWEZNQCLSA-N 0 0 289.291 2.631 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccncc1 ZINC000547372374 281736746 /nfs/dbraw/zinc/73/67/46/281736746.db2.gz DKLHLYFYLZAGEX-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN CC(C)C1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000548317484 281778301 /nfs/dbraw/zinc/77/83/01/281778301.db2.gz JOGUEWOCYBXOGY-UHFFFAOYSA-N 0 0 291.351 2.888 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ncccc1Cl ZINC000550766169 281828313 /nfs/dbraw/zinc/82/83/13/281828313.db2.gz MWVHJYZNSVGJHE-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1ncc(Cl)cn1 ZINC000553241744 281873626 /nfs/dbraw/zinc/87/36/26/281873626.db2.gz QJWAOUMVLHTRDJ-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN C[C@@H]1[C@@H](C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])C1(F)F ZINC000553713488 281880007 /nfs/dbraw/zinc/88/00/07/281880007.db2.gz AUBPTTDOJTUETC-KCJUWKMLSA-N 0 0 298.289 2.847 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCCn1cccn1 ZINC000553806597 281881952 /nfs/dbraw/zinc/88/19/52/281881952.db2.gz HBBVWPCADZSQFD-UHFFFAOYSA-N 0 0 260.297 2.520 20 5 CFBDRN Cc1nc(C2CCN(c3ccccc3[N+](=O)[O-])CC2)no1 ZINC000301131937 281889018 /nfs/dbraw/zinc/88/90/18/281889018.db2.gz SJPKVFFEXAXNLV-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN Cc1nc(N2CC[C@H](O)c3ccccc3C2)ccc1[N+](=O)[O-] ZINC000369283637 281938706 /nfs/dbraw/zinc/93/87/06/281938706.db2.gz OEPJFJXBXJPEOS-HNNXBMFYSA-N 0 0 299.330 2.742 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000296657934 281976592 /nfs/dbraw/zinc/97/65/92/281976592.db2.gz YAXUASRSNPNAAV-WCBMZHEXSA-N 0 0 250.302 2.684 20 5 CFBDRN CC(C)(C(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000558470574 281983069 /nfs/dbraw/zinc/98/30/69/281983069.db2.gz KSTMPFHDVAOTHT-UHFFFAOYSA-N 0 0 262.309 2.647 20 5 CFBDRN C[C@H]1C[C@H]1Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000562104470 282066532 /nfs/dbraw/zinc/06/65/32/282066532.db2.gz VLUGOXYDFYSIMD-WPRPVWTQSA-N 0 0 259.265 2.843 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCc1csnn1 ZINC000562290688 282071841 /nfs/dbraw/zinc/07/18/41/282071841.db2.gz VJQZFLYVOKZMEY-UHFFFAOYSA-N 0 0 271.685 2.679 20 5 CFBDRN CNc1ccc(C(=O)Nc2ccc(C)nc2)cc1[N+](=O)[O-] ZINC000159208207 289989972 /nfs/dbraw/zinc/98/99/72/289989972.db2.gz HYMGGNOCDRNKMB-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN C[C@@H]1CSCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000178837815 288423246 /nfs/dbraw/zinc/42/32/46/288423246.db2.gz ZBTOGBWQHULUPQ-SSDOTTSWSA-N 0 0 273.745 2.585 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000051732298 288467381 /nfs/dbraw/zinc/46/73/81/288467381.db2.gz VPWHTVZGFNQVQM-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000275019396 288479884 /nfs/dbraw/zinc/47/98/84/288479884.db2.gz LSQONJFIDDARNX-AWEZNQCLSA-N 0 0 282.315 2.723 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])C[C@H](C)O1 ZINC000301911572 288570415 /nfs/dbraw/zinc/57/04/15/288570415.db2.gz BOUWIDDUOAJHQG-AYMMMOKOSA-N 0 0 296.352 2.672 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@@H](C2CC2)C1 ZINC000341067393 288756990 /nfs/dbraw/zinc/75/69/90/288756990.db2.gz QHLDKMBXDQSSOH-GFCCVEGCSA-N 0 0 278.356 2.746 20 5 CFBDRN C[C@@H]1Cc2ccccc2N(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000336134754 288813540 /nfs/dbraw/zinc/81/35/40/288813540.db2.gz QOBJOLHONXLCHE-LLVKDONJSA-N 0 0 299.330 2.772 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1C[C@@H]2CCC[C@@H]2C1 ZINC000152505781 289848938 /nfs/dbraw/zinc/84/89/38/289848938.db2.gz QGCFNXAKNNZEDX-AOOOYVTPSA-N 0 0 278.283 2.606 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1CC1(C)C ZINC000155534392 289920122 /nfs/dbraw/zinc/92/01/22/289920122.db2.gz WJBVWRZVIIJJEE-CABZTGNLSA-N 0 0 274.320 2.919 20 5 CFBDRN CC[C@H](C)OCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000155534620 289920325 /nfs/dbraw/zinc/92/03/25/289920325.db2.gz VZBOIBFUJPCYLY-QWRGUYRKSA-N 0 0 292.335 2.688 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C=CCCC1 ZINC000158070584 289969375 /nfs/dbraw/zinc/96/93/75/289969375.db2.gz SQFKCKZNZNTCDC-NSHDSACASA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1cncc(CNC(=O)/C=C/c2cccc([N+](=O)[O-])c2)c1 ZINC000158151366 289970329 /nfs/dbraw/zinc/97/03/29/289970329.db2.gz AGGMHYXZTIRSNZ-AATRIKPKSA-N 0 0 297.314 2.628 20 5 CFBDRN C[C@H](Nc1c2ccccc2ncc1[N+](=O)[O-])c1ccon1 ZINC000488093721 290032414 /nfs/dbraw/zinc/03/24/14/290032414.db2.gz GMQOSCFIQGVNJI-VIFPVBQESA-N 0 0 284.275 2.726 20 5 CFBDRN CC[C@@H](CNc1nc2c(cc1[N+](=O)[O-])CCCC2)OC ZINC000563034486 290095521 /nfs/dbraw/zinc/09/55/21/290095521.db2.gz AOKUERGQCOYRNS-NSHDSACASA-N 0 0 279.340 2.706 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@H](F)C1 ZINC000563035777 290096127 /nfs/dbraw/zinc/09/61/27/290096127.db2.gz QRBICUSJOORRQF-RYUDHWBXSA-N 0 0 295.314 2.675 20 5 CFBDRN COC(=O)[C@@H](CNc1ccccc1[N+](=O)[O-])CC1CC1 ZINC000563245183 290123691 /nfs/dbraw/zinc/12/36/91/290123691.db2.gz LISSZRFLPKZNEA-LLVKDONJSA-N 0 0 278.308 2.596 20 5 CFBDRN Cc1cc(CC[NH2+][C@@H]2CCc3c2cccc3[N+](=O)[O-])on1 ZINC000563415702 290145994 /nfs/dbraw/zinc/14/59/94/290145994.db2.gz FKTSPSXMKBNYSI-CQSZACIVSA-N 0 0 287.319 2.711 20 5 CFBDRN Cc1nc(CNCc2ccc([N+](=O)[O-])cc2Cl)[nH]c1C ZINC000563437954 290150825 /nfs/dbraw/zinc/15/08/25/290150825.db2.gz BLYJZDZLFAQDRL-UHFFFAOYSA-N 0 0 294.742 2.878 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(C[C@H]2CCOC2)n1 ZINC000563478920 290154734 /nfs/dbraw/zinc/15/47/34/290154734.db2.gz CHITVIIBCOWYFC-SNVBAGLBSA-N 0 0 289.291 2.532 20 5 CFBDRN C[C@]1(C(=O)NCc2cccc([N+](=O)[O-])c2)CC=CCC1 ZINC000563594624 290161458 /nfs/dbraw/zinc/16/14/58/290161458.db2.gz LBTOGWRMOUFCBV-HNNXBMFYSA-N 0 0 274.320 2.957 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1ccc(F)cc1F ZINC000563868632 290180030 /nfs/dbraw/zinc/18/00/30/290180030.db2.gz RPSUGFRNLDMCEJ-UHFFFAOYSA-N 0 0 293.273 2.653 20 5 CFBDRN Cc1c(CNC(=O)N(C)[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000564388926 290206768 /nfs/dbraw/zinc/20/67/68/290206768.db2.gz YLQTVWWOADXSOJ-LLVKDONJSA-N 0 0 291.351 2.843 20 5 CFBDRN Cc1ccc(N[C@H]2CCN(C(C)(C)C)C2=O)c([N+](=O)[O-])c1 ZINC000564903154 290247379 /nfs/dbraw/zinc/24/73/79/290247379.db2.gz IGEIWKVNWAVYRX-LBPRGKRZSA-N 0 0 291.351 2.715 20 5 CFBDRN C[C@@H](NC(=O)N1CCCCCO1)c1ccccc1[N+](=O)[O-] ZINC000565066008 290264686 /nfs/dbraw/zinc/26/46/86/290264686.db2.gz ZZWLGNVGPMXTCB-LLVKDONJSA-N 0 0 293.323 2.783 20 5 CFBDRN Cc1c(NC(=O)N2CCC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000565156382 290272836 /nfs/dbraw/zinc/27/28/36/290272836.db2.gz IVWBMRUWWQLVLE-SNVBAGLBSA-N 0 0 281.287 2.869 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2NCc1nccs1 ZINC000565217443 290283221 /nfs/dbraw/zinc/28/32/21/290283221.db2.gz LNOZHUDZCMNVKV-LLVKDONJSA-N 0 0 275.333 2.828 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H](C)C[C@H]1CCCO1 ZINC000565222900 290285202 /nfs/dbraw/zinc/28/52/02/290285202.db2.gz RHZGXOGBBXPJBZ-WDEREUQCSA-N 0 0 280.324 2.973 20 5 CFBDRN COc1cccc(C(=O)NC(C)(C)C2CC2)c1[N+](=O)[O-] ZINC000565577257 290310470 /nfs/dbraw/zinc/31/04/70/290310470.db2.gz KPIRUDXOACKFMJ-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000565895234 290333592 /nfs/dbraw/zinc/33/35/92/290333592.db2.gz PMXVLLHFAFAHCV-CMPLNLGQSA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1nn(CCCN(C)c2ccccc2)cc1[N+](=O)[O-] ZINC000566208096 290358022 /nfs/dbraw/zinc/35/80/22/290358022.db2.gz HLXGXKWDRXHTRE-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000566219970 290359059 /nfs/dbraw/zinc/35/90/59/290359059.db2.gz IGVCPNATLHOTSJ-NTZNESFSSA-N 0 0 292.335 2.698 20 5 CFBDRN C[C@H](O)[C@H](Nc1ncc([N+](=O)[O-])s1)c1ccccc1F ZINC000566329882 290371606 /nfs/dbraw/zinc/37/16/06/290371606.db2.gz ODTUZGKZUPDBQS-CPCISQLKSA-N 0 0 297.311 2.724 20 5 CFBDRN CCC(CC)(CO)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000566432041 290384085 /nfs/dbraw/zinc/38/40/85/290384085.db2.gz WQIMULZJFXOESL-UHFFFAOYSA-N 0 0 280.324 2.640 20 5 CFBDRN CCC[C@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])C(=O)OC ZINC000566484562 290393708 /nfs/dbraw/zinc/39/37/08/290393708.db2.gz UNLCQICASFUGBM-STQMWFEESA-N 0 0 292.335 2.513 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCCC2(CC2)C1 ZINC000566485149 290394297 /nfs/dbraw/zinc/39/42/97/290394297.db2.gz ZTHNHCUVVZRPAJ-UHFFFAOYSA-N 0 0 262.309 2.984 20 5 CFBDRN C[C@@H](c1nc(-c2cocn2)no1)c1cccc([N+](=O)[O-])c1 ZINC000566645745 290407095 /nfs/dbraw/zinc/40/70/95/290407095.db2.gz XEZUANBUPOCCNV-MRVPVSSYSA-N 0 0 286.247 2.785 20 5 CFBDRN C[C@@H](C(=O)N1C[C@@H]2[C@H](C1)C2(C)C)c1cccc([N+](=O)[O-])c1 ZINC000567210622 290446793 /nfs/dbraw/zinc/44/67/93/290446793.db2.gz FTDFULVQECGBJV-HONMWMINSA-N 0 0 288.347 2.813 20 5 CFBDRN C[C@H](C(=O)N1C[C@@H]2[C@H](C1)C2(C)C)c1cccc([N+](=O)[O-])c1 ZINC000567210623 290447047 /nfs/dbraw/zinc/44/70/47/290447047.db2.gz FTDFULVQECGBJV-LEWSCRJBSA-N 0 0 288.347 2.813 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000188807613 290476204 /nfs/dbraw/zinc/47/62/04/290476204.db2.gz PYOYHHOGNGBNQL-VHSXEESVSA-N 0 0 266.297 2.886 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000568387552 290551118 /nfs/dbraw/zinc/55/11/18/290551118.db2.gz HWVHJPUCPBJQCI-NWDGAFQWSA-N 0 0 272.308 2.605 20 5 CFBDRN Cc1csc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)n1 ZINC000162072145 293042403 /nfs/dbraw/zinc/04/24/03/293042403.db2.gz QOGAEHBWZVUXRG-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN COC[C@H]1CCCCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000341983370 293196187 /nfs/dbraw/zinc/19/61/87/293196187.db2.gz MDKOTIBTWCKMND-ZDUSSCGKSA-N 0 0 293.367 2.860 20 5 CFBDRN Cc1nc(N(C)[C@H]2CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000296196860 293290405 /nfs/dbraw/zinc/29/04/05/293290405.db2.gz BWPBUCOUVGQWDW-ZDUSSCGKSA-N 0 0 284.319 2.817 20 5 CFBDRN Cc1nc(N2CC3(CCC3)[C@@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000295638865 293292548 /nfs/dbraw/zinc/29/25/48/293292548.db2.gz DHGPBULRYBIHBQ-LBPRGKRZSA-N 0 0 276.340 2.708 20 5 CFBDRN Cc1nc(N2CCCC[C@H]2c2cc[nH]n2)ccc1[N+](=O)[O-] ZINC000288819416 293294751 /nfs/dbraw/zinc/29/47/51/293294751.db2.gz AQSZXACNTCZQKG-ZDUSSCGKSA-N 0 0 287.323 2.753 20 5 CFBDRN Cc1nc(N2C[C@@H](C)C[C@@H]2c2cccnc2)ncc1[N+](=O)[O-] ZINC000450444633 293304346 /nfs/dbraw/zinc/30/43/46/293304346.db2.gz ZIEFUPZTKGNDJH-GXFFZTMASA-N 0 0 299.334 2.676 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000177009292 294071184 /nfs/dbraw/zinc/07/11/84/294071184.db2.gz FHZFXPFSRHHPIJ-SFYZADRCSA-N 0 0 268.338 2.835 20 5 CFBDRN Cn1cc2c(n1)CCC[C@H]2Nc1ccccc1[N+](=O)[O-] ZINC000374588121 294325851 /nfs/dbraw/zinc/32/58/51/294325851.db2.gz YWVQIGJOUGCEHH-LLVKDONJSA-N 0 0 272.308 2.818 20 5 CFBDRN C[C@@H]1CN(c2cccc(C(N)=O)c2[N+](=O)[O-])CC(C)(C)C1 ZINC000342832414 297080546 /nfs/dbraw/zinc/08/05/46/297080546.db2.gz SDEQSJIVFCPVCG-JTQLQIEISA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000049177208 297082116 /nfs/dbraw/zinc/08/21/16/297082116.db2.gz FSDYXYIHOPFUTQ-SNVBAGLBSA-N 0 0 250.298 2.599 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])[C@@H](C)[C@@H](C)O1 ZINC000408443693 297082147 /nfs/dbraw/zinc/08/21/47/297082147.db2.gz OQCGJUSTSBXCIZ-OUAUKWLOSA-N 0 0 250.298 2.597 20 5 CFBDRN CO[C@H]1CCCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000292592844 294522946 /nfs/dbraw/zinc/52/29/46/294522946.db2.gz VGSAPGOVIYPBKH-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN COc1cccc(C(=O)N2CCC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000194173465 295284597 /nfs/dbraw/zinc/28/45/97/295284597.db2.gz MGUHVANNCJKVBV-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN Nc1c(C(=O)N2CCC[C@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000157351406 295345171 /nfs/dbraw/zinc/34/51/71/295345171.db2.gz VRWLRDPUTFPTRE-PWSUYJOCSA-N 0 0 289.335 2.582 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC([C@@H]3CCCO3)CC2)c1 ZINC000193523805 295355626 /nfs/dbraw/zinc/35/56/26/295355626.db2.gz GWKQEQYXXAWQAX-HNNXBMFYSA-N 0 0 291.351 2.572 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H]3OCC[C@H]32)c1 ZINC000248657305 295356895 /nfs/dbraw/zinc/35/68/95/295356895.db2.gz SHUMTZHHIKZVPH-MISXGVKJSA-N 0 0 277.324 2.547 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1C=CCCC1 ZINC000492160889 295404102 /nfs/dbraw/zinc/40/41/02/295404102.db2.gz HFOBNZHEDDPSSP-BIRHUZIMSA-N 0 0 272.304 2.833 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])CC1(C)C ZINC000274178376 299951371 /nfs/dbraw/zinc/95/13/71/299951371.db2.gz JHOIKOUDFZPGHY-JTQLQIEISA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@H]1CN(c2c(C(N)=O)cccc2[N+](=O)[O-])CC(C)(C)C1 ZINC000420612127 300294593 /nfs/dbraw/zinc/29/45/93/300294593.db2.gz NZXPHOLCQRJNMB-SNVBAGLBSA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CC(C)(C)O1 ZINC000068463522 300297634 /nfs/dbraw/zinc/29/76/34/300297634.db2.gz AIEKCAAGXCBFSM-VIFPVBQESA-N 0 0 268.288 2.738 20 5 CFBDRN C[C@]1(C(=O)[O-])CCCC[C@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000426726781 300776585 /nfs/dbraw/zinc/77/65/85/300776585.db2.gz LFGGDVCSBWIIHS-HIFRSBDPSA-N 0 0 292.335 2.718 20 5 CFBDRN Cc1ccc(C)c(Cn2c(C)ccc([N+](=O)[O-])c2=O)c1 ZINC000361966454 301546854 /nfs/dbraw/zinc/54/68/54/301546854.db2.gz GJMBXRBBGAFLHV-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2[C@H](C)CC[C@@H]2C)c1 ZINC000335331392 301849964 /nfs/dbraw/zinc/84/99/64/301849964.db2.gz BZPSEQZLHDXYCV-PHIMTYICSA-N 0 0 262.309 2.916 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nccs2)c1 ZINC000358148295 301850492 /nfs/dbraw/zinc/85/04/92/301850492.db2.gz XPQAYZLKFONBEB-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)[C@@H](C)C1 ZINC000277976966 302039264 /nfs/dbraw/zinc/03/92/64/302039264.db2.gz MBJLROYTYVONCE-QWRGUYRKSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](C(C)(C)C)[C@H](O)C2)c1[N+](=O)[O-] ZINC000272589708 302273918 /nfs/dbraw/zinc/27/39/18/302273918.db2.gz WHAXCEXEHQPRKM-VXGBXAGGSA-N 0 0 293.367 2.532 20 5 CFBDRN Cn1ccnc1[C@H]1N(c2ccc([N+](=O)[O-])cc2)CC1(C)C ZINC000296208735 303010875 /nfs/dbraw/zinc/01/08/75/303010875.db2.gz FXNNHGKWAUKNLP-CYBMUJFWSA-N 0 0 286.335 2.916 20 5 CFBDRN Nc1ccc(N2CCC[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000288253665 303496329 /nfs/dbraw/zinc/49/63/29/303496329.db2.gz PAGIPZCNHJTSMA-LLVKDONJSA-N 0 0 261.325 2.803 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H]2OCCC[C@@H]2C1 ZINC000152324202 304800947 /nfs/dbraw/zinc/80/09/47/304800947.db2.gz CSBKZZRPICVVSU-QMTHXVAHSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@@]2(O)CCCC[C@@H]2C1 ZINC000160668005 304802664 /nfs/dbraw/zinc/80/26/64/304802664.db2.gz IXMZXDCRJKAIBP-ABAIWWIYSA-N 0 0 294.326 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2[C@@H]2CCC[C@@H]2O)cn1 ZINC000450688329 304802887 /nfs/dbraw/zinc/80/28/87/304802887.db2.gz WJTGNSPNYCVRAF-MJBXVCDLSA-N 0 0 291.351 2.510 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CCO[C@@H]4CCC[C@H]43)ccnc21 ZINC000432144379 304807026 /nfs/dbraw/zinc/80/70/26/304807026.db2.gz XJSVZXMDWFWJKU-UKRRQHHQSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC2(CCOCC2)[C@@H]1C1CC1 ZINC000292867991 304807640 /nfs/dbraw/zinc/80/76/40/304807640.db2.gz SUPLQKKEWKCJGW-HNNXBMFYSA-N 0 0 288.347 2.990 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1[C@H]1CCCO1 ZINC000286617193 304807771 /nfs/dbraw/zinc/80/77/71/304807771.db2.gz YQLRZQXMIVSUOZ-ZIAGYGMSSA-N 0 0 262.309 2.743 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCO[C@H](C2CCC2)C1 ZINC000413479153 304807941 /nfs/dbraw/zinc/80/79/41/304807941.db2.gz PVXLGHULSNBSPZ-AWEZNQCLSA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000290783580 304810455 /nfs/dbraw/zinc/81/04/55/304810455.db2.gz WSDJROSPOMOZMJ-STQMWFEESA-N 0 0 289.335 2.528 20 5 CFBDRN CC(C)c1nc(CSc2ccc([N+](=O)[O-])cn2)no1 ZINC000159734706 322327242 /nfs/dbraw/zinc/32/72/42/322327242.db2.gz AYMIGVMVOIBOLQ-UHFFFAOYSA-N 0 0 280.309 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2nccn2C(F)F)nc1 ZINC000159729103 322327340 /nfs/dbraw/zinc/32/73/40/322327340.db2.gz QKPKRQQUNFNGDC-UHFFFAOYSA-N 0 0 286.263 2.874 20 5 CFBDRN O=C1c2ccccc2C[C@H]1Sc1ccc([N+](=O)[O-])cn1 ZINC000160152486 322333606 /nfs/dbraw/zinc/33/36/06/322333606.db2.gz GUNYLXJELNIKKU-GFCCVEGCSA-N 0 0 286.312 2.890 20 5 CFBDRN Cc1nnc([C@H](C)Sc2ccc([N+](=O)[O-])cn2)o1 ZINC000160229889 322334525 /nfs/dbraw/zinc/33/45/25/322334525.db2.gz JOIJFKLHVCIKTN-LURJTMIESA-N 0 0 266.282 2.535 20 5 CFBDRN COc1ccc(CSc2ccc([N+](=O)[O-])cn2)cn1 ZINC000160288997 322335648 /nfs/dbraw/zinc/33/56/48/322335648.db2.gz RBDUELKUGFDKRM-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN CC(C)(C)CCNC(=O)CSc1ccc([N+](=O)[O-])cn1 ZINC000160291818 322336451 /nfs/dbraw/zinc/33/64/51/322336451.db2.gz VFAJCSIMPQMBCL-UHFFFAOYSA-N 0 0 297.380 2.634 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000160421492 322338108 /nfs/dbraw/zinc/33/81/08/322338108.db2.gz GBMYLEUYCNYNCG-SWLSCSKDSA-N 0 0 274.320 2.920 20 5 CFBDRN CC(C)c1ccccc1NC(=O)c1c([N+](=O)[O-])cnn1C ZINC000161131908 322347564 /nfs/dbraw/zinc/34/75/64/322347564.db2.gz MXKVCDSNZFZAIR-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN O=C(C1CCCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161266992 322350012 /nfs/dbraw/zinc/35/00/12/322350012.db2.gz HJYXKTLSORPVSM-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN C[C@@H](O)C(C)(C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000161417528 322351527 /nfs/dbraw/zinc/35/15/27/322351527.db2.gz MVSHEHRAGWRCFL-SECBINFHSA-N 0 0 275.308 2.714 20 5 CFBDRN CC(C)CCC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161464845 322352057 /nfs/dbraw/zinc/35/20/57/322352057.db2.gz UHYXNZYFWMPWOB-UHFFFAOYSA-N 0 0 276.336 2.916 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000162307410 322363225 /nfs/dbraw/zinc/36/32/25/322363225.db2.gz INTKPWIIAAGPIZ-HTQZYQBOSA-N 0 0 254.311 2.669 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cncc(F)c1 ZINC000164227079 322381011 /nfs/dbraw/zinc/38/10/11/322381011.db2.gz MHJMWCUVNOIYSG-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=C(/C=C/c1ccc(O)cc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000164298644 322381645 /nfs/dbraw/zinc/38/16/45/322381645.db2.gz FEIBSYHVZMCWFP-BJMVGYQFSA-N 0 0 298.298 2.630 20 5 CFBDRN CCCN(C(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000171434206 322406729 /nfs/dbraw/zinc/40/67/29/322406729.db2.gz HGYNFQYHBRPFNK-UHFFFAOYSA-N 0 0 277.324 2.679 20 5 CFBDRN C[C@@H](NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000172185175 322421139 /nfs/dbraw/zinc/42/11/39/322421139.db2.gz PEIIACVJLHVSBO-LLVKDONJSA-N 0 0 291.351 2.973 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCC(C)(C)O1 ZINC000569590972 322483916 /nfs/dbraw/zinc/48/39/16/322483916.db2.gz RLQBYIYCLGNDMZ-JTQLQIEISA-N 0 0 280.324 2.973 20 5 CFBDRN CCO[C@H]1C[C@@H]1NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000570510786 322536090 /nfs/dbraw/zinc/53/60/90/322536090.db2.gz WGOLSWJDGDGNQP-AAEUAGOBSA-N 0 0 293.323 2.511 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCCc2c(cnn2C)C1 ZINC000570540619 322538315 /nfs/dbraw/zinc/53/83/15/322538315.db2.gz ALRDXKMHPDNASX-UHFFFAOYSA-N 0 0 286.335 2.590 20 5 CFBDRN CCOC(=O)CSc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000570712706 322547604 /nfs/dbraw/zinc/54/76/04/322547604.db2.gz PVCZRENIOPWNFS-UHFFFAOYSA-N 0 0 296.348 2.524 20 5 CFBDRN COc1ccc(CN2Cc3cccnc3C2)cc1[N+](=O)[O-] ZINC000571160614 322572445 /nfs/dbraw/zinc/57/24/45/322572445.db2.gz NDZYIVWKYIXSLV-UHFFFAOYSA-N 0 0 285.303 2.514 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2CCO[C@@H](C)C2)c1 ZINC000571164632 322572769 /nfs/dbraw/zinc/57/27/69/322572769.db2.gz RHELVQMPHQGTTF-WDEREUQCSA-N 0 0 281.308 2.797 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@@H](c2cccnc2)C1 ZINC000573002867 322641173 /nfs/dbraw/zinc/64/11/73/322641173.db2.gz YNVNDGPRMXBGFB-CYBMUJFWSA-N 0 0 297.314 2.620 20 5 CFBDRN O=C(Nc1cc(F)cc(F)c1F)c1ccc([N+](=O)[O-])cn1 ZINC000573587703 322661979 /nfs/dbraw/zinc/66/19/79/322661979.db2.gz JNGMYYQTQKPYQE-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN CO[C@@H]1C[C@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C12CCC2 ZINC000573773548 322668037 /nfs/dbraw/zinc/66/80/37/322668037.db2.gz XRZQGRZAJJMITL-QWHCGFSZSA-N 0 0 291.351 2.692 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000575184944 322721276 /nfs/dbraw/zinc/72/12/76/322721276.db2.gz HPTYSDQYVKKTLU-NWDGAFQWSA-N 0 0 290.319 2.978 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC3(CC3)C2)c(F)c1 ZINC000575230722 322722765 /nfs/dbraw/zinc/72/27/65/322722765.db2.gz CTCWXQIPRFHSJJ-UHFFFAOYSA-N 0 0 251.261 2.509 20 5 CFBDRN CCOc1cc(OC[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000575402045 322725575 /nfs/dbraw/zinc/72/55/75/322725575.db2.gz QFEPKKGAWOPFFO-NSHDSACASA-N 0 0 281.308 2.799 20 5 CFBDRN O=C([C@@H]1C[C@H]1C1CC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000176610028 323679882 /nfs/dbraw/zinc/67/98/82/323679882.db2.gz UCNHXCWXEVMINL-QWHCGFSZSA-N 0 0 272.304 2.530 20 5 CFBDRN O=C(Nc1cc(F)ccc1O)c1ccc([N+](=O)[O-])s1 ZINC000178330331 323716026 /nfs/dbraw/zinc/71/60/26/323716026.db2.gz SXOZCHALDYWMPI-UHFFFAOYSA-N 0 0 282.252 2.753 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1ccoc1 ZINC000179111502 323734358 /nfs/dbraw/zinc/73/43/58/323734358.db2.gz PJLGZWNGHYKXCJ-UHFFFAOYSA-N 0 0 299.286 2.528 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C ZINC000179751172 323756701 /nfs/dbraw/zinc/75/67/01/323756701.db2.gz DMZJWUMJZMIJGC-UHFFFAOYSA-N 0 0 255.295 2.882 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc2cc[nH]cc-2n1 ZINC000182169812 323844498 /nfs/dbraw/zinc/84/44/98/323844498.db2.gz QNXNKODVWYIJRD-UHFFFAOYSA-N 0 0 254.249 2.842 20 5 CFBDRN COc1ccc(NC(=O)c2csnc2C)c([N+](=O)[O-])c1 ZINC000182969327 323891965 /nfs/dbraw/zinc/89/19/65/323891965.db2.gz UIZUYWFIRVKPRN-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCc2c1cccc2F ZINC000183764307 323927802 /nfs/dbraw/zinc/92/78/02/323927802.db2.gz SWEVNPPSYLIXEK-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN Cc1nn(Cc2ccncc2Cl)c(C)c1[N+](=O)[O-] ZINC000184531997 323947410 /nfs/dbraw/zinc/94/74/10/323947410.db2.gz LFUVFUYFWLJJHS-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000186570059 323982510 /nfs/dbraw/zinc/98/25/10/323982510.db2.gz FUKWEMBIAZKTIV-UKRRQHHQSA-N 0 0 276.336 2.893 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCSCC[C@@H]1C ZINC000190102087 324038045 /nfs/dbraw/zinc/03/80/45/324038045.db2.gz SIVVZVZPHJFSMB-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H](O)Cc1ccccc1 ZINC000192477377 324060180 /nfs/dbraw/zinc/06/01/80/324060180.db2.gz FHQSALLDYNVYGT-CYBMUJFWSA-N 0 0 273.288 2.577 20 5 CFBDRN Cc1ccc(OC[C@@H](O)Cc2ccccc2)c([N+](=O)[O-])c1 ZINC000192482066 324060345 /nfs/dbraw/zinc/06/03/45/324060345.db2.gz XRCNOVSHCXLMMO-AWEZNQCLSA-N 0 0 287.315 2.886 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)Cc2ccccc2)cc1 ZINC000192477275 324060422 /nfs/dbraw/zinc/06/04/22/324060422.db2.gz BOUCEHPPUXRDGB-AWEZNQCLSA-N 0 0 273.288 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H](O)Cc2ccccc2)c1 ZINC000192481889 324060489 /nfs/dbraw/zinc/06/04/89/324060489.db2.gz IHIFKJMMCHHQTG-CQSZACIVSA-N 0 0 287.315 2.886 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cccnc1 ZINC000192848226 324065803 /nfs/dbraw/zinc/06/58/03/324065803.db2.gz PPHXOWGWNIILFM-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN CCC(CC)NC(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000193401479 324072405 /nfs/dbraw/zinc/07/24/05/324072405.db2.gz RMNFFZDXMVSPFZ-UHFFFAOYSA-N 0 0 295.339 2.591 20 5 CFBDRN COc1cccc(-c2nc(-c3ccccn3)no2)c1[N+](=O)[O-] ZINC000194873492 324096479 /nfs/dbraw/zinc/09/64/79/324096479.db2.gz RBCGVAUAYBAGNH-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN Cc1cncc(CNC(=O)c2c(C)cccc2[N+](=O)[O-])c1 ZINC000194961169 324098733 /nfs/dbraw/zinc/09/87/33/324098733.db2.gz IOIYQGYZYCTRGD-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN C/C=C\C[C@H](CO)[NH2+][C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000349384292 324170574 /nfs/dbraw/zinc/17/05/74/324170574.db2.gz WSRNHNJMMZJXDH-CWBMUHOVSA-N 0 0 264.325 2.573 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccc(=O)[nH]c2)no1 ZINC000350801514 324259447 /nfs/dbraw/zinc/25/94/47/324259447.db2.gz VBTITODFGKSXBY-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccoc3)no2)cc1 ZINC000350813253 324261789 /nfs/dbraw/zinc/26/17/89/324261789.db2.gz JBXXSOKRANWCLF-UHFFFAOYSA-N 0 0 257.205 2.905 20 5 CFBDRN Cc1ocnc1-c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350825227 324264051 /nfs/dbraw/zinc/26/40/51/324264051.db2.gz SSZVMAZPZZFPII-UHFFFAOYSA-N 0 0 278.249 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[nH]cc2-c1nc(-c2cocn2)no1 ZINC000350870481 324272395 /nfs/dbraw/zinc/27/23/95/324272395.db2.gz CTMCPLDCGXCDKM-UHFFFAOYSA-N 0 0 297.230 2.781 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(-c2cocn2)no1 ZINC000350876448 324274996 /nfs/dbraw/zinc/27/49/96/324274996.db2.gz IAFBSZFMNCRNOT-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCOCC3CC3)n2)s1 ZINC000350890859 324278610 /nfs/dbraw/zinc/27/86/10/324278610.db2.gz VMFZYTMIHVQYGL-UHFFFAOYSA-N 0 0 295.320 2.675 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(-c3cncs3)no2)c1 ZINC000350911368 324281688 /nfs/dbraw/zinc/28/16/88/324281688.db2.gz RCBKZLLTYWWNFA-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350918911 324282606 /nfs/dbraw/zinc/28/26/06/324282606.db2.gz BNEZJGNRBOIENS-OQPBUACISA-N 0 0 291.311 2.590 20 5 CFBDRN CCC[C@H](C)Cc1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350926566 324284093 /nfs/dbraw/zinc/28/40/93/324284093.db2.gz MQMGWPGQSPQNTH-QMMMGPOBSA-N 0 0 293.327 2.665 20 5 CFBDRN Cc1cc(-c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)c(C)o1 ZINC000351067840 324303626 /nfs/dbraw/zinc/30/36/26/324303626.db2.gz LFEGZNYYDCCILG-UHFFFAOYSA-N 0 0 274.236 2.850 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C/[C@H]3CCOC3)n2)cc1 ZINC000351080584 324305922 /nfs/dbraw/zinc/30/59/22/324305922.db2.gz PKXGITJKBUGHJA-KJTBDMATSA-N 0 0 287.275 2.695 20 5 CFBDRN CC/C(C)=C/c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000351102080 324311809 /nfs/dbraw/zinc/31/18/09/324311809.db2.gz HTMAAFGFQXRVCP-VOTSOKGWSA-N 0 0 277.284 2.500 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC3CCCC3)n2)o1 ZINC000351140164 324324166 /nfs/dbraw/zinc/32/41/66/324324166.db2.gz BIZSUSOTDMQOBL-UHFFFAOYSA-N 0 0 263.253 2.971 20 5 CFBDRN COC[C@H](C)[C@@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351143304 324326069 /nfs/dbraw/zinc/32/60/69/324326069.db2.gz GDJUKOYAEVQVIW-JGVFFNPUSA-N 0 0 281.268 2.624 20 5 CFBDRN CSC1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CC1 ZINC000351148451 324328469 /nfs/dbraw/zinc/32/84/69/324328469.db2.gz QFJXURUPZOANSQ-UHFFFAOYSA-N 0 0 267.266 2.590 20 5 CFBDRN CCC[C@@H](OC)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351152301 324330715 /nfs/dbraw/zinc/33/07/15/324330715.db2.gz WLQYMQGJKDNDIY-MRVPVSSYSA-N 0 0 267.241 2.725 20 5 CFBDRN CC(=O)CC(C)(C)Cc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351153341 324330787 /nfs/dbraw/zinc/33/07/87/324330787.db2.gz XZZLGZJHMSKHJF-UHFFFAOYSA-N 0 0 293.279 2.786 20 5 CFBDRN CSC[C@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351154143 324331310 /nfs/dbraw/zinc/33/13/10/324331310.db2.gz ZIBJXNXDJLGKCS-LURJTMIESA-N 0 0 269.282 2.704 20 5 CFBDRN COc1cccc(-c2nc(C3(C)CC3)no2)c1[N+](=O)[O-] ZINC000351178423 324339464 /nfs/dbraw/zinc/33/94/64/324339464.db2.gz UYOODBNIPXZGJK-UHFFFAOYSA-N 0 0 275.264 2.705 20 5 CFBDRN Cc1noc(-c2ccc([N+](=O)[O-])c(OC(C)C)c2)n1 ZINC000351186141 324341358 /nfs/dbraw/zinc/34/13/58/324341358.db2.gz PECZGAXLDMQESJ-UHFFFAOYSA-N 0 0 263.253 2.740 20 5 CFBDRN Cc1ccc(-c2noc([C@H]3CCC(=O)C3)n2)cc1[N+](=O)[O-] ZINC000351340800 324388274 /nfs/dbraw/zinc/38/82/74/324388274.db2.gz SNHGNBVZCNIZLY-JTQLQIEISA-N 0 0 287.275 2.790 20 5 CFBDRN CC(C)n1cc(CNc2ccc([N+](=O)[O-])cc2F)nn1 ZINC000383811116 324441804 /nfs/dbraw/zinc/44/18/04/324441804.db2.gz PTAJYFVFAMCGQR-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN CC[C@@H]1[C@@H](CO)CCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000386260395 324475799 /nfs/dbraw/zinc/47/57/99/324475799.db2.gz KHZVPSVVBWACSR-DGCLKSJQSA-N 0 0 264.325 2.500 20 5 CFBDRN CC[C@@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@@H](C)O ZINC000386949618 324484925 /nfs/dbraw/zinc/48/49/25/324484925.db2.gz XESOPSZDIQFWFE-MWLCHTKSSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1C[C@@H]2CC[C@@H](O)C[C@@H]2C1 ZINC000398870892 324552496 /nfs/dbraw/zinc/55/24/96/324552496.db2.gz DJSLRXMSDMZEOG-HOSYDEDBSA-N 0 0 296.754 2.845 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1ccn(C(C)C)n1 ZINC000576837261 324666190 /nfs/dbraw/zinc/66/61/90/324666190.db2.gz HURFSZMYRXTEQR-UHFFFAOYSA-N 0 0 290.323 2.993 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000578109839 324816603 /nfs/dbraw/zinc/81/66/03/324816603.db2.gz VUZIFMDKDOVQSX-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H]2CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000578215250 324829168 /nfs/dbraw/zinc/82/91/68/324829168.db2.gz SOHVODDWECZZKV-JOYOIKCWSA-N 0 0 278.308 2.982 20 5 CFBDRN COCCCN[C@@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000578540179 324863068 /nfs/dbraw/zinc/86/30/68/324863068.db2.gz POQICJCBXXJTKP-NSHDSACASA-N 0 0 292.257 2.824 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCOC1CCCC1 ZINC000578549652 324864214 /nfs/dbraw/zinc/86/42/14/324864214.db2.gz VGYJHBLJQBCPNU-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN C[C@H](C(=O)N(C)CC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000579785166 324978532 /nfs/dbraw/zinc/97/85/32/324978532.db2.gz RLUDBIFYXQDYPL-NSHDSACASA-N 0 0 276.336 2.957 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@H](F)C1 ZINC000580546927 325044624 /nfs/dbraw/zinc/04/46/24/325044624.db2.gz MHZHLMQKMFHKHL-VHSXEESVSA-N 0 0 281.287 2.997 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)(C)C ZINC000580557029 325046053 /nfs/dbraw/zinc/04/60/53/325046053.db2.gz CSDDQNGKCYQONS-MRVPVSSYSA-N 0 0 268.288 2.898 20 5 CFBDRN C[C@H](CC(N)=O)Nc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000580634562 325052577 /nfs/dbraw/zinc/05/25/77/325052577.db2.gz IMTCZXFCROXCNZ-RXMQYKEDSA-N 0 0 292.122 2.577 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCCOC(C)C ZINC000580659511 325054573 /nfs/dbraw/zinc/05/45/73/325054573.db2.gz PUOVMFVJNPIMRC-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000580759099 325061362 /nfs/dbraw/zinc/06/13/62/325061362.db2.gz QBODLAQLHLMOQG-KWCYVHTRSA-N 0 0 289.335 2.583 20 5 CFBDRN CCCN(C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000580926807 325074822 /nfs/dbraw/zinc/07/48/22/325074822.db2.gz RRKAPWXACCCPBU-SNVBAGLBSA-N 0 0 250.298 2.567 20 5 CFBDRN CCc1coc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000581739101 325147607 /nfs/dbraw/zinc/14/76/07/325147607.db2.gz ONFMAQSIDMXKNY-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1C[C@@H](C)[C@@](C)(C(=O)[O-])C1 ZINC000582009249 325168583 /nfs/dbraw/zinc/16/85/83/325168583.db2.gz XRINEDXLXUYQON-HFAKWTLXSA-N 0 0 292.335 2.698 20 5 CFBDRN C[C@@H]1C[C@@H]1Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000582033148 325170699 /nfs/dbraw/zinc/17/06/99/325170699.db2.gz RKKSKLWRQOHECN-PSASIEDQSA-N 0 0 259.265 2.843 20 5 CFBDRN C[C@H](C(=O)N(C)[C@@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000582463575 325206839 /nfs/dbraw/zinc/20/68/39/325206839.db2.gz IPMCQRXWYMVJOC-QWRGUYRKSA-N 0 0 276.336 2.955 20 5 CFBDRN CSc1cccc(C(=O)NCC(C)(F)F)c1[N+](=O)[O-] ZINC000582983815 325250145 /nfs/dbraw/zinc/25/01/45/325250145.db2.gz NLXUFGSWXZBIAF-UHFFFAOYSA-N 0 0 290.291 2.702 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1CS[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000583070856 325257521 /nfs/dbraw/zinc/25/75/21/325257521.db2.gz ZXRIRCJUCDMZGV-FOGDFJRCSA-N 0 0 294.376 2.709 20 5 CFBDRN CC[C@H](CCO)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000583496362 325291792 /nfs/dbraw/zinc/29/17/92/325291792.db2.gz HQAKOODGKUYNLN-LLVKDONJSA-N 0 0 293.367 2.689 20 5 CFBDRN CC(C)=CCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000583675401 325302868 /nfs/dbraw/zinc/30/28/68/325302868.db2.gz KBLNGLPWHUKUOF-LLVKDONJSA-N 0 0 277.324 2.855 20 5 CFBDRN Cc1cc(Cl)cnc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000584088832 325329522 /nfs/dbraw/zinc/32/95/22/325329522.db2.gz OBYFWMWHEOAHAQ-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])nc2)[C@H]2CCCO[C@@H]21 ZINC000584206148 325337277 /nfs/dbraw/zinc/33/72/77/325337277.db2.gz DHDMDKVYLZAHPO-RTXFEEFZSA-N 0 0 277.324 2.605 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])nc2)[C@@H]2CCCO[C@H]21 ZINC000584206146 325337430 /nfs/dbraw/zinc/33/74/30/325337430.db2.gz DHDMDKVYLZAHPO-CYZMBNFOSA-N 0 0 277.324 2.605 20 5 CFBDRN O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC1 ZINC000435824728 330663776 /nfs/dbraw/zinc/66/37/76/330663776.db2.gz IMYJHCZYCGUGBR-UHFFFAOYSA-N 0 0 296.301 2.666 20 5 CFBDRN O=C(NC1CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 ZINC000435833540 330663887 /nfs/dbraw/zinc/66/38/87/330663887.db2.gz FSLAMXRWPNAUHW-UHFFFAOYSA-N 0 0 296.301 2.713 20 5 CFBDRN O=c1ccc(-c2nc3cc4ccccc4cc3c(=O)[nH]2)c[nH]1 ZINC000492248264 332389111 /nfs/dbraw/zinc/38/91/11/332389111.db2.gz LHEMIWVIHNRFQU-GFCCVEGCSA-N 0 0 289.294 2.739 20 5 CFBDRN CC(C)CC[C@H](O)CSc1ccc([N+](=O)[O-])cn1 ZINC000360686226 519693590 /nfs/dbraw/zinc/69/35/90/519693590.db2.gz RLGBRSHWJAMHDV-NSHDSACASA-N 0 0 270.354 2.879 20 5 CFBDRN CCC1CN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336289581 519859901 /nfs/dbraw/zinc/85/99/01/519859901.db2.gz XTNYGITYUNYEPH-UHFFFAOYSA-N 0 0 267.260 2.608 20 5 CFBDRN CCCCC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000193224725 519905791 /nfs/dbraw/zinc/90/57/91/519905791.db2.gz NRMGHLDWSMVTBO-UHFFFAOYSA-N 0 0 250.298 2.743 20 5 CFBDRN CCC1(CO)CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000270817068 500652428 /nfs/dbraw/zinc/65/24/28/500652428.db2.gz XVSMPAZTADFVFN-UHFFFAOYSA-N 0 0 264.325 2.584 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@@H]1CF ZINC000294168957 501074146 /nfs/dbraw/zinc/07/41/46/501074146.db2.gz SMAKRUDTICUEOO-MRVPVSSYSA-N 0 0 286.690 2.822 20 5 CFBDRN CCSCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000362579386 521711564 /nfs/dbraw/zinc/71/15/64/521711564.db2.gz CMEHIXZHRHDBSO-SECBINFHSA-N 0 0 285.369 2.527 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000048866322 522294447 /nfs/dbraw/zinc/29/44/47/522294447.db2.gz OAGOAPKXPUZTTD-NXEZZACHSA-N 0 0 279.340 2.801 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000176151802 533856895 /nfs/dbraw/zinc/85/68/95/533856895.db2.gz OLDPYOYLHRTREC-MNOVXSKESA-N 0 0 291.351 2.733 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])c(N)c2F)[C@@H](C)O1 ZINC000413531820 533876074 /nfs/dbraw/zinc/87/60/74/533876074.db2.gz MEDDPKBSXKPYAE-IWSPIJDZSA-N 0 0 283.303 2.542 20 5 CFBDRN C[C@H](CCO)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413174405 533973801 /nfs/dbraw/zinc/97/38/01/533973801.db2.gz RZNVULKJBVNFJC-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](CC(C)C)OC)cc1[N+](=O)[O-] ZINC000415428390 534149735 /nfs/dbraw/zinc/14/97/35/534149735.db2.gz HEISDWPEEMMJNX-CYBMUJFWSA-N 0 0 296.323 2.603 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000421419007 534154273 /nfs/dbraw/zinc/15/42/73/534154273.db2.gz IJJYPRRYBVHMJM-GMTAPVOTSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1ccc(NC(=O)Cc2cncs2)cc1[N+](=O)[O-] ZINC000188008945 534177966 /nfs/dbraw/zinc/17/79/66/534177966.db2.gz BDIBBGAQCAYSET-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC000193868228 534187065 /nfs/dbraw/zinc/18/70/65/534187065.db2.gz RTNMFUJZUSWPTO-CMPLNLGQSA-N 0 0 278.308 2.514 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2N)cc1 ZINC000157271300 534191845 /nfs/dbraw/zinc/19/18/45/534191845.db2.gz DTXLBELSIHJAIZ-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412161965 534232092 /nfs/dbraw/zinc/23/20/92/534232092.db2.gz KQOXJCFUMGWXHO-IDTSFGKNSA-N 0 0 288.347 2.955 20 5 CFBDRN C[C@@H](N(C)c1ncc([N+](=O)[O-])cc1F)C1(C)CC1 ZINC000413181890 534286586 /nfs/dbraw/zinc/28/65/86/534286586.db2.gz FSMWRYRDGKXOQO-MRVPVSSYSA-N 0 0 253.277 2.754 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000424968480 534294130 /nfs/dbraw/zinc/29/41/30/534294130.db2.gz JOFSIPSLCFGZQJ-QPUJVOFHSA-N 0 0 278.283 2.506 20 5 CFBDRN CC(C)(C(=O)NCC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000431787677 534320574 /nfs/dbraw/zinc/32/05/74/534320574.db2.gz WRTAAJUYGOMVSA-UHFFFAOYSA-N 0 0 290.241 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nnc(C(C)(C)C)[nH]2)c1 ZINC000413419769 534328476 /nfs/dbraw/zinc/32/84/76/534328476.db2.gz SWNNXWFZKYPLBQ-UHFFFAOYSA-N 0 0 289.339 2.931 20 5 CFBDRN O=C(Nc1cccc(O)c1)c1ccc([N+](=O)[O-])s1 ZINC000193112124 534360285 /nfs/dbraw/zinc/36/02/85/534360285.db2.gz AGKQDIDOHDNMFF-UHFFFAOYSA-N 0 0 264.262 2.614 20 5 CFBDRN CC(C)(C)C1=CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000336359359 518599805 /nfs/dbraw/zinc/59/98/05/518599805.db2.gz IWTDZWGNSBELBD-UHFFFAOYSA-N 0 0 277.324 2.741 20 5 CFBDRN CC(C)(C)CCCCNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000355070293 518642648 /nfs/dbraw/zinc/64/26/48/518642648.db2.gz MPMDZRIYZKHBSK-UHFFFAOYSA-N 0 0 267.329 2.869 20 5 CFBDRN CC(C)(C)CC[C@@H](CO)Nc1ncccc1[N+](=O)[O-] ZINC000360247717 518657129 /nfs/dbraw/zinc/65/71/29/518657129.db2.gz CWCBVKUHZHSJIR-JTQLQIEISA-N 0 0 267.329 2.589 20 5 CFBDRN CC(C)CCO[C@@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000066023700 519670628 /nfs/dbraw/zinc/67/06/28/519670628.db2.gz YSAYJQSITCPYJU-LBPRGKRZSA-N 0 0 294.351 2.662 20 5 CFBDRN CC(C)NC(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000335419615 519710316 /nfs/dbraw/zinc/71/03/16/519710316.db2.gz DWJPAWBOCUMBQV-CYBMUJFWSA-N 0 0 291.351 2.892 20 5 CFBDRN CC(C)OCCCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000341028898 519760527 /nfs/dbraw/zinc/76/05/27/519760527.db2.gz SHYIHWCDPUCWIF-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN CC(C)[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1ncc[nH]1 ZINC000339970146 519799779 /nfs/dbraw/zinc/79/97/79/519799779.db2.gz OFDRMXYAFFXPHM-LLVKDONJSA-N 0 0 261.285 2.522 20 5 CFBDRN CC(C)[C@H](C)NC(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000337915760 519812508 /nfs/dbraw/zinc/81/25/08/519812508.db2.gz PLECYBGEMCMPEL-JTQLQIEISA-N 0 0 282.365 2.848 20 5 CFBDRN CC(C)[C@H](CCO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000231087961 519814062 /nfs/dbraw/zinc/81/40/62/519814062.db2.gz VKLUXIDPPCADDT-LBPRGKRZSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000340028887 519830556 /nfs/dbraw/zinc/83/05/56/519830556.db2.gz XFSQCVIYFDXUOE-UWVGGRQHSA-N 0 0 294.355 2.607 20 5 CFBDRN CCCC1(CNC(=O)c2cc([N+](=O)[O-])cnc2C)CCC1 ZINC000157392717 519892289 /nfs/dbraw/zinc/89/22/89/519892289.db2.gz KIFSTSLHBNTMBY-UHFFFAOYSA-N 0 0 291.351 2.998 20 5 CFBDRN CC(C)n1c(N)nnc1SCc1ccc([N+](=O)[O-])cc1 ZINC000057950098 519921819 /nfs/dbraw/zinc/92/18/19/519921819.db2.gz NTOJYNQLBFFGNZ-UHFFFAOYSA-N 0 0 293.352 2.642 20 5 CFBDRN CC(C)n1cc(NCc2ccccc2[N+](=O)[O-])cn1 ZINC000061682782 519965268 /nfs/dbraw/zinc/96/52/68/519965268.db2.gz JPVWVKOPMWBSIB-UHFFFAOYSA-N 0 0 260.297 2.984 20 5 CFBDRN CCN(C(=O)Nc1cc([N+](=O)[O-])ccc1C)[C@H]1CCOC1 ZINC000361671259 519996180 /nfs/dbraw/zinc/99/61/80/519996180.db2.gz SWFDHUISRBNEHA-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)c1cccc(OC)c1 ZINC000340716027 520090140 /nfs/dbraw/zinc/09/01/40/520090140.db2.gz QPXYWFGWZQDQEN-UHFFFAOYSA-N 0 0 289.291 2.598 20 5 CFBDRN O=C(CCc1ccoc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000177071598 534480270 /nfs/dbraw/zinc/48/02/70/534480270.db2.gz ZRXWLVOJFQKHNG-UHFFFAOYSA-N 0 0 260.249 2.759 20 5 CFBDRN CCNc1ccc(C(=O)NC[C@H](C)SC)cc1[N+](=O)[O-] ZINC000159407092 520289764 /nfs/dbraw/zinc/28/97/64/520289764.db2.gz URLXPYXEGUACGM-VIFPVBQESA-N 0 0 297.380 2.508 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000174932079 520361856 /nfs/dbraw/zinc/36/18/56/520361856.db2.gz VTENWAWALNVMRC-SECBINFHSA-N 0 0 268.338 2.965 20 5 CFBDRN CC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000336360820 520373087 /nfs/dbraw/zinc/37/30/87/520373087.db2.gz VOGXKHQLGVCLCH-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN O=C(NCCc1cccs1)c1ccc(F)cc1[N+](=O)[O-] ZINC000159787441 534489002 /nfs/dbraw/zinc/48/90/02/534489002.db2.gz CZTKYOZQOFBXAS-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN CCOC(=O)C(C)(C)N(C)Cc1ccc([N+](=O)[O-])cc1C ZINC000354754739 520418804 /nfs/dbraw/zinc/41/88/04/520418804.db2.gz JIPGPDVUXPGYMC-UHFFFAOYSA-N 0 0 294.351 2.677 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000071874643 520419236 /nfs/dbraw/zinc/41/92/36/520419236.db2.gz VJUJAOCTEIPXRZ-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN CCO[C@H]1COCC[C@@H]1Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360082662 520533745 /nfs/dbraw/zinc/53/37/45/520533745.db2.gz MSQDLCIRPFBAPB-FZMZJTMJSA-N 0 0 298.314 2.648 20 5 CFBDRN CCN(CC)C(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000185936376 520561356 /nfs/dbraw/zinc/56/13/56/520561356.db2.gz UEAVVPOAFZHWQL-UHFFFAOYSA-N 0 0 268.338 2.799 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000338438854 520620546 /nfs/dbraw/zinc/62/05/46/520620546.db2.gz JUTWYWVBOZSQKV-JTQLQIEISA-N 0 0 299.327 2.749 20 5 CFBDRN CCCCNC(=O)[C@@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337771786 520652543 /nfs/dbraw/zinc/65/25/43/520652543.db2.gz VVJLRUUYSNDKGV-SNVBAGLBSA-N 0 0 282.365 2.992 20 5 CFBDRN CC1(CNc2c(Br)cncc2[N+](=O)[O-])CC1 ZINC000231630431 520739184 /nfs/dbraw/zinc/73/91/84/520739184.db2.gz JHCPAZSPAZTRAF-UHFFFAOYSA-N 0 0 286.129 2.964 20 5 CFBDRN CCOc1cc(N(C)[C@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000185881463 520747503 /nfs/dbraw/zinc/74/75/03/520747503.db2.gz NEWIOFFDJQFCAH-ZDUSSCGKSA-N 0 0 296.367 2.837 20 5 CFBDRN CCOc1cc(N2CC(C)(CCOC)C2)ccc1[N+](=O)[O-] ZINC000341130517 520749003 /nfs/dbraw/zinc/74/90/03/520749003.db2.gz URXLDDNRKPCARA-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN CCOc1cc(N2CC[C@H](O)C(C)(C)C2)ccc1[N+](=O)[O-] ZINC000313757403 520753090 /nfs/dbraw/zinc/75/30/90/520753090.db2.gz SILODANKKDJTFN-AWEZNQCLSA-N 0 0 294.351 2.591 20 5 CFBDRN CCOc1cc(NCC2(O)CCCC2)ccc1[N+](=O)[O-] ZINC000087029942 520760079 /nfs/dbraw/zinc/76/00/79/520760079.db2.gz WRYAGXAUIZKBKV-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN CCOc1cc(NCCCn2cccn2)ccc1[N+](=O)[O-] ZINC000080770108 520762465 /nfs/dbraw/zinc/76/24/65/520762465.db2.gz PAZZMWVTBNVTLJ-UHFFFAOYSA-N 0 0 290.323 2.692 20 5 CFBDRN CC1(Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCC1 ZINC000159902221 520770239 /nfs/dbraw/zinc/77/02/39/520770239.db2.gz FFPQAUQRYXEJSE-UHFFFAOYSA-N 0 0 251.242 2.858 20 5 CFBDRN CC1=C[C@@H](C)CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000362375967 520880143 /nfs/dbraw/zinc/88/01/43/520880143.db2.gz NLGBTGALVNTROH-SNVBAGLBSA-N 0 0 273.336 2.965 20 5 CFBDRN CCC[C@@](C)([NH2+]Cc1ccc([N+](=O)[O-])cc1C)C(=O)[O-] ZINC000340676705 520926643 /nfs/dbraw/zinc/92/66/43/520926643.db2.gz FCCKJPKSKJTGCZ-CQSZACIVSA-N 0 0 280.324 2.636 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161488990 520956128 /nfs/dbraw/zinc/95/61/28/520956128.db2.gz UTPQRRRGDQNAEO-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000230332456 520975498 /nfs/dbraw/zinc/97/54/98/520975498.db2.gz KXYIOGLPZYGORP-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN CCOC(=O)[C@@H](NCc1ccc([N+](=O)[O-])cc1)[C@@H](C)CC ZINC000181632265 521083060 /nfs/dbraw/zinc/08/30/60/521083060.db2.gz AIDLDJNZULRKPY-FZMZJTMJSA-N 0 0 294.351 2.662 20 5 CFBDRN CCC(=O)c1ccc(Oc2c([N+](=O)[O-])ncn2C)c(F)c1 ZINC000360762377 521249873 /nfs/dbraw/zinc/24/98/73/521249873.db2.gz FQJFRMRDPIIBAB-UHFFFAOYSA-N 0 0 293.254 2.852 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCC=C(C)C)c1 ZINC000338467727 521279609 /nfs/dbraw/zinc/27/96/09/521279609.db2.gz VUSHTWZBVZDIKH-UHFFFAOYSA-N 0 0 278.308 2.690 20 5 CFBDRN CCC[C@](C)(O)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000228850220 521295785 /nfs/dbraw/zinc/29/57/85/521295785.db2.gz QRVZHPONXHZDSY-ZDUSSCGKSA-N 0 0 268.313 2.566 20 5 CFBDRN CCCc1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000228372608 521374194 /nfs/dbraw/zinc/37/41/94/521374194.db2.gz SGLPLQHLDPHMDS-UHFFFAOYSA-N 0 0 289.295 2.914 20 5 CFBDRN CCCN(CC(C)(C)O)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000354529826 521576683 /nfs/dbraw/zinc/57/66/83/521576683.db2.gz HPQUAAYXVRBNLM-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN CCC(O)(CC)COc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000165230845 521658110 /nfs/dbraw/zinc/65/81/10/521658110.db2.gz MBBBJDQSEDYCHB-UHFFFAOYSA-N 0 0 281.308 2.727 20 5 CFBDRN CCOCC(C)(C)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000314102112 521669137 /nfs/dbraw/zinc/66/91/37/521669137.db2.gz DWOGPAMMKUVYMV-UHFFFAOYSA-N 0 0 292.339 2.946 20 5 CFBDRN CCOCCC1(CNc2ccncc2[N+](=O)[O-])CCCC1 ZINC000361056438 521682167 /nfs/dbraw/zinc/68/21/67/521682167.db2.gz HXNWJKATWFVXIL-UHFFFAOYSA-N 0 0 293.367 2.811 20 5 CFBDRN CNC(=O)c1ccc(COc2cccc([N+](=O)[O-])c2)cc1 ZINC000066746909 521822671 /nfs/dbraw/zinc/82/26/71/521822671.db2.gz XLFVVWHCOTVVOU-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN COCC[C@H](COC)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000311966287 521934155 /nfs/dbraw/zinc/93/41/55/521934155.db2.gz OHIVUTBHBFJFFB-SECBINFHSA-N 0 0 288.731 2.712 20 5 CFBDRN CC[C@@H](C)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000054474179 522002603 /nfs/dbraw/zinc/00/26/03/522002603.db2.gz YMLPEVBXOOEXEG-SSDOTTSWSA-N 0 0 254.315 2.762 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000174129873 522021858 /nfs/dbraw/zinc/02/18/58/522021858.db2.gz FYWAUEGPUPCXNM-NEPJUHHUSA-N 0 0 294.351 2.915 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000080693434 522022022 /nfs/dbraw/zinc/02/20/22/522022022.db2.gz VRZMBJWARGNJBR-NEPJUHHUSA-N 0 0 294.351 2.915 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000360392526 522045604 /nfs/dbraw/zinc/04/56/04/522045604.db2.gz YGYWTJDWNYBZCI-SECBINFHSA-N 0 0 281.312 2.866 20 5 CFBDRN COC(=O)c1ccc(COc2c(F)cccc2[N+](=O)[O-])o1 ZINC000185020579 522045873 /nfs/dbraw/zinc/04/58/73/522045873.db2.gz UJSNNPDBVYZDQL-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CCc1cnc(NC(=O)Cc2ccccc2[N+](=O)[O-])s1 ZINC000081229019 522046391 /nfs/dbraw/zinc/04/63/91/522046391.db2.gz DQXRBOOYTRVPOV-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@@H](C)CC2)ccc1[N+](=O)[O-] ZINC000155037416 522074753 /nfs/dbraw/zinc/07/47/53/522074753.db2.gz RYTCNAIQJXOMIV-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN COC(=O)c1ccc(Oc2ncc([N+](=O)[O-])cc2C)cc1 ZINC000086577320 522147906 /nfs/dbraw/zinc/14/79/06/522147906.db2.gz JOAGHSQPUNWCPC-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN CCc1nc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)c(C)o1 ZINC000361146545 522156910 /nfs/dbraw/zinc/15/69/10/522156910.db2.gz GSLSSMYOSYWGLH-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN COc1cc(C(=O)Nc2cccnc2C)ccc1[N+](=O)[O-] ZINC000073348790 522160750 /nfs/dbraw/zinc/16/07/50/522160750.db2.gz OVDBUIPPEJUSJX-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cc(C(=O)Nc2scnc2C)ccc1[N+](=O)[O-] ZINC000355975520 522167204 /nfs/dbraw/zinc/16/72/04/522167204.db2.gz WWOBGDCWVRLKKU-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@H]2C)n1 ZINC000230689245 522176293 /nfs/dbraw/zinc/17/62/93/522176293.db2.gz FSJBWJPKWJKHNT-NXEZZACHSA-N 0 0 293.323 2.625 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H]2C)n1 ZINC000230689232 522176733 /nfs/dbraw/zinc/17/67/33/522176733.db2.gz FSJBWJPKWJKHNT-UWVGGRQHSA-N 0 0 293.323 2.625 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)CF ZINC000360591205 522306130 /nfs/dbraw/zinc/30/61/30/522306130.db2.gz MEFIMWNGEMIZQL-ZYHUDNBSSA-N 0 0 282.315 2.638 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000049095022 522357570 /nfs/dbraw/zinc/35/75/70/522357570.db2.gz LMBNKLPRUSQKKG-BDAKNGLRSA-N 0 0 268.288 2.898 20 5 CFBDRN COC(C)(C)CCOc1c(F)cccc1[N+](=O)[O-] ZINC000340705975 522475710 /nfs/dbraw/zinc/47/57/10/522475710.db2.gz QUXJFVNYVIPBPJ-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN CNc1c(C(=O)NCC2(C(C)C)CC2)cccc1[N+](=O)[O-] ZINC000231524396 522537843 /nfs/dbraw/zinc/53/78/43/522537843.db2.gz WAFMIFQVHVVSJT-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN CN(CC1CC1)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000049236121 522632998 /nfs/dbraw/zinc/63/29/98/522632998.db2.gz ZOFIKEIAISPFDF-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN COc1cc(NCCc2ccncc2)c(F)cc1[N+](=O)[O-] ZINC000218871444 522745199 /nfs/dbraw/zinc/74/51/99/522745199.db2.gz ODYFSFDEILSLLR-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC[C@H]1OC ZINC000340945087 522939366 /nfs/dbraw/zinc/93/93/66/522939366.db2.gz CFAGUTHFOJMYEJ-XHDPSFHLSA-N 0 0 294.351 2.968 20 5 CFBDRN CN(CCc1ccccc1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172827722 522948944 /nfs/dbraw/zinc/94/89/44/522948944.db2.gz YGQMZNLUKUWBDI-UHFFFAOYSA-N 0 0 298.342 2.838 20 5 CFBDRN CC[C@@H](Nc1cncc2nncn21)c1cccc([N+](=O)[O-])c1 ZINC000360112619 522964548 /nfs/dbraw/zinc/96/45/48/522964548.db2.gz NMTSIRWYIKEZHQ-GFCCVEGCSA-N 0 0 298.306 2.596 20 5 CFBDRN COCCC(C)(C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360541593 522970126 /nfs/dbraw/zinc/97/01/26/522970126.db2.gz VPPGAAZGGUJHNH-UHFFFAOYSA-N 0 0 294.351 2.582 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N(C)CC1CCC1 ZINC000156094735 522978330 /nfs/dbraw/zinc/97/83/30/522978330.db2.gz SXVKAUXHDSMWHS-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCOCC(F)(F)F ZINC000195196340 522984022 /nfs/dbraw/zinc/98/40/22/522984022.db2.gz KTGSGBAXBNENKJ-UHFFFAOYSA-N 0 0 295.213 2.561 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2NC)C1 ZINC000161442172 523084247 /nfs/dbraw/zinc/08/42/47/523084247.db2.gz ASFXMUXZGANRAA-NSHDSACASA-N 0 0 291.351 2.899 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)C[C@H]1CC=CCC1 ZINC000361059861 523140206 /nfs/dbraw/zinc/14/02/06/523140206.db2.gz SXLQANATTXLAFM-LBPRGKRZSA-N 0 0 278.356 2.912 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCCC[C@H]1C ZINC000360901625 523140321 /nfs/dbraw/zinc/14/03/21/523140321.db2.gz LZYNEWNUTAKEJS-SNVBAGLBSA-N 0 0 266.345 2.889 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H](C(C)C)C1 ZINC000340937356 523141161 /nfs/dbraw/zinc/14/11/61/523141161.db2.gz XYZCYMGRPSVNPZ-LBPRGKRZSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000340910849 523143450 /nfs/dbraw/zinc/14/34/50/523143450.db2.gz SXNHULKVDDCZDL-MXWKQRLJSA-N 0 0 280.372 2.990 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@](C)(CC)C1 ZINC000361073612 523144400 /nfs/dbraw/zinc/14/44/00/523144400.db2.gz LZNIKRJBHOHLSA-ZDUSSCGKSA-N 0 0 266.345 2.746 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CC[C@H](C)C1 ZINC000311771533 523144472 /nfs/dbraw/zinc/14/44/72/523144472.db2.gz WDDMRSFSIICVDO-GXSJLCMTSA-N 0 0 266.345 2.968 20 5 CFBDRN COCc1csc(NC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000172152412 523160183 /nfs/dbraw/zinc/16/01/83/523160183.db2.gz BLOCWCHAVBAQSM-UHFFFAOYSA-N 0 0 299.333 2.512 20 5 CFBDRN COC(=O)C[C@@H](C)SCc1cc([N+](=O)[O-])ccc1OC ZINC000078818338 523269045 /nfs/dbraw/zinc/26/90/45/523269045.db2.gz RCRSGVWSHFVCLY-SECBINFHSA-N 0 0 299.348 2.788 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1c1c([N+](=O)[O-])nc(C)n1CC ZINC000361045779 523354318 /nfs/dbraw/zinc/35/43/18/523354318.db2.gz YNJYTMYXVFHKBE-MWLCHTKSSA-N 0 0 266.345 2.887 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccnc1 ZINC000046062626 523390144 /nfs/dbraw/zinc/39/01/44/523390144.db2.gz YBVQHUZJGGMPPI-UHFFFAOYSA-N 0 0 286.291 2.654 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000336048851 523567043 /nfs/dbraw/zinc/56/70/43/523567043.db2.gz AJYPTRCCJGIXQD-CQSZACIVSA-N 0 0 280.299 2.996 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Nc1ccc2c(c1)COC2 ZINC000192737430 523668758 /nfs/dbraw/zinc/66/87/58/523668758.db2.gz GZLUKCYBLGCDKR-UHFFFAOYSA-N 0 0 288.307 2.893 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC1(F)CCC1 ZINC000340940223 523669515 /nfs/dbraw/zinc/66/95/15/523669515.db2.gz BWERZUKIYFOYME-UHFFFAOYSA-N 0 0 270.308 2.814 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000231682810 523720460 /nfs/dbraw/zinc/72/04/60/523720460.db2.gz GTERTXLCOWLMCY-NWDGAFQWSA-N 0 0 250.298 2.883 20 5 CFBDRN CCn1ncnc1CNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000360088066 523760047 /nfs/dbraw/zinc/76/00/47/523760047.db2.gz MUQNBJBEHKSBBY-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN CN(C(=O)C(C)(C)c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000340769036 523783398 /nfs/dbraw/zinc/78/33/98/523783398.db2.gz GCTHNCMLHFTJIR-UHFFFAOYSA-N 0 0 276.336 2.883 20 5 CFBDRN COC(=O)[C@@]1(C)CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@H]1C ZINC000336921079 523837229 /nfs/dbraw/zinc/83/72/29/523837229.db2.gz RURWCMQELIJURZ-ABAIWWIYSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000340667985 523866609 /nfs/dbraw/zinc/86/66/09/523866609.db2.gz CCBREMALYVSCSU-VIFPVBQESA-N 0 0 268.288 2.852 20 5 CFBDRN CCc1ccc(C(=O)N2[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000335793048 523888271 /nfs/dbraw/zinc/88/82/71/523888271.db2.gz WTGVSMIPWKBBQV-UWVGGRQHSA-N 0 0 262.309 2.780 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]1c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000158380745 523901358 /nfs/dbraw/zinc/90/13/58/523901358.db2.gz HZZWFPCZPBIGIL-HUUCEWRRSA-N 0 0 297.314 2.756 20 5 CFBDRN CN(C(=O)[C@@H]1Cc2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000336155251 523904003 /nfs/dbraw/zinc/90/40/03/523904003.db2.gz ZLHQGDJKPQUXLQ-OAHLLOKOSA-N 0 0 282.299 2.898 20 5 CFBDRN CN(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)[C@H]1CC1(C)C ZINC000334184629 523915906 /nfs/dbraw/zinc/91/59/06/523915906.db2.gz BZIPQUINQINAKG-ZDUSSCGKSA-N 0 0 287.319 2.947 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccc(F)c(Cl)c1 ZINC000336145911 523923698 /nfs/dbraw/zinc/92/36/98/523923698.db2.gz RASVSRPPTPLOFL-UHFFFAOYSA-N 0 0 297.673 2.992 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])n1C)C1CCC(C)(C)CC1 ZINC000334571020 523938208 /nfs/dbraw/zinc/93/82/08/523938208.db2.gz JLVDQMVFBQKMCK-UHFFFAOYSA-N 0 0 293.367 2.974 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])s1)[C@@H]1CC1(C)C ZINC000336093113 523938429 /nfs/dbraw/zinc/93/84/29/523938429.db2.gz ROBRGMIJLPTPCC-MRVPVSSYSA-N 0 0 254.311 2.527 20 5 CFBDRN CCc1ccc(COc2cccnc2[N+](=O)[O-])nc1 ZINC000338521353 523950294 /nfs/dbraw/zinc/95/02/94/523950294.db2.gz VJEJHSWTRCSZPN-UHFFFAOYSA-N 0 0 259.265 2.526 20 5 CFBDRN CC[C@H](C)NC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000123603262 523969603 /nfs/dbraw/zinc/96/96/03/523969603.db2.gz DUQPXGNMHDFJRZ-NSHDSACASA-N 0 0 280.324 2.587 20 5 CFBDRN CC[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000049302857 523974141 /nfs/dbraw/zinc/97/41/41/523974141.db2.gz YEXKMGPIGZTIFT-QMMMGPOBSA-N 0 0 286.715 2.542 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000340879560 523978298 /nfs/dbraw/zinc/97/82/98/523978298.db2.gz KTWIWCMMQHREDV-MFKMUULPSA-N 0 0 293.323 2.531 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337765484 523986759 /nfs/dbraw/zinc/98/67/59/523986759.db2.gz SHOYIXQUCFJJFA-VHSXEESVSA-N 0 0 282.365 2.990 20 5 CFBDRN CN1c2ccccc2C(=O)N[C@@H]1c1csc([N+](=O)[O-])c1 ZINC000054424923 524033939 /nfs/dbraw/zinc/03/39/39/524033939.db2.gz YZXIKBGAMGSPDW-LBPRGKRZSA-N 0 0 289.316 2.535 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccncc1F ZINC000315017568 524057104 /nfs/dbraw/zinc/05/71/04/524057104.db2.gz USYLUMPZFWWCIL-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(C)C1 ZINC000336009654 524057124 /nfs/dbraw/zinc/05/71/24/524057124.db2.gz BPLMXVPRRYSUOX-UHFFFAOYSA-N 0 0 263.297 2.641 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050697128 524094558 /nfs/dbraw/zinc/09/45/58/524094558.db2.gz YPFJEOICASJGBG-MRVPVSSYSA-N 0 0 297.742 2.845 20 5 CFBDRN COc1ccc(COc2ccc(C)cn2)cc1[N+](=O)[O-] ZINC000077240610 524119078 /nfs/dbraw/zinc/11/90/78/524119078.db2.gz AWPOUSPREFKITM-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157285651 524128416 /nfs/dbraw/zinc/12/84/16/524128416.db2.gz NDYDEVOJFCGCLL-PWSUYJOCSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000157262478 524155049 /nfs/dbraw/zinc/15/50/49/524155049.db2.gz UPNUKIDSHNTLIT-CABZTGNLSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H]1CCC[C@H]1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000230695570 524217490 /nfs/dbraw/zinc/21/74/90/524217490.db2.gz KZZTWWYIPCARND-ONGXEEELSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](CO)CC2CCC2)n1 ZINC000360100222 524338530 /nfs/dbraw/zinc/33/85/30/524338530.db2.gz COFMTRCDJKTEJR-LBPRGKRZSA-N 0 0 279.340 2.570 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334168816 524371999 /nfs/dbraw/zinc/37/19/99/524371999.db2.gz AUZFCXDHKGWUBW-WPRPVWTQSA-N 0 0 273.292 2.604 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H]1C ZINC000336231336 524481105 /nfs/dbraw/zinc/48/11/05/524481105.db2.gz ZPIHJSXRKLXVLA-NXEZZACHSA-N 0 0 280.299 2.852 20 5 CFBDRN Cc1cccc(N(C)C(=O)Cc2cccc([N+](=O)[O-])c2)n1 ZINC000173052464 524513079 /nfs/dbraw/zinc/51/30/79/524513079.db2.gz VBFBZGQWFSFQMW-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN COc1ccc(NC(=O)N2CC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000360392956 524554040 /nfs/dbraw/zinc/55/40/40/524554040.db2.gz ZTCHQRAOZTZOOD-CYBMUJFWSA-N 0 0 297.286 2.569 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2F)cc1 ZINC000054059255 524560544 /nfs/dbraw/zinc/56/05/44/524560544.db2.gz QLUHFXDKIJZMFD-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1ccc(CNc2ccc3oc(=O)[nH]c3c2)cc1[N+](=O)[O-] ZINC000237813954 524616900 /nfs/dbraw/zinc/61/69/00/524616900.db2.gz UBJAAUSEOSCMRO-UHFFFAOYSA-N 0 0 299.286 2.950 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000356723156 524621092 /nfs/dbraw/zinc/62/10/92/524621092.db2.gz GQMDPRMWIQOZLV-SKDRFNHKSA-N 0 0 280.349 2.602 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000334406029 524621870 /nfs/dbraw/zinc/62/18/70/524621870.db2.gz JQVUBTTWVQONTA-PELKAZGASA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1cccc(NCC2(CCO)CCC2)c1[N+](=O)[O-] ZINC000338679121 524673792 /nfs/dbraw/zinc/67/37/92/524673792.db2.gz GBNHJQVCFDJLAO-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN Cc1cccc(NCCNc2ccccn2)c1[N+](=O)[O-] ZINC000338635141 524676777 /nfs/dbraw/zinc/67/67/77/524676777.db2.gz BUBOOTZCWMKBRB-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000336121458 524683421 /nfs/dbraw/zinc/68/34/21/524683421.db2.gz DXJQQGLGBKTHSL-MFKMUULPSA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1cccc(NC[C@H]2CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000218859205 524685315 /nfs/dbraw/zinc/68/53/15/524685315.db2.gz IDMZKXBCVKTSSL-DGCLKSJQSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@H](F)CCNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000356674860 524805737 /nfs/dbraw/zinc/80/57/37/524805737.db2.gz NYVPVLNUMIJWGI-VIFPVBQESA-N 0 0 286.328 2.551 20 5 CFBDRN C[C@H](F)CCNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000338752308 524807651 /nfs/dbraw/zinc/80/76/51/524807651.db2.gz GCBFYORMTRDZEJ-VIFPVBQESA-N 0 0 295.314 2.647 20 5 CFBDRN C[C@H](N(C)C(=O)c1cc([N+](=O)[O-])ccc1N)C(C)(C)C ZINC000050706671 524811893 /nfs/dbraw/zinc/81/18/93/524811893.db2.gz IEVRRGAQCPVDIZ-VIFPVBQESA-N 0 0 279.340 2.684 20 5 CFBDRN Cc1cccc([C@@H]2CCN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)c1 ZINC000335324644 524855037 /nfs/dbraw/zinc/85/50/37/524855037.db2.gz ZPGYGXADZQYDDY-CYBMUJFWSA-N 0 0 299.330 2.861 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)[C@@H]2CCCC[C@H]21 ZINC000360548752 524868312 /nfs/dbraw/zinc/86/83/12/524868312.db2.gz XPYWFPMMUYEVHG-GRYCIOLGSA-N 0 0 291.351 2.584 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCCCOC(C)C ZINC000192465289 524903824 /nfs/dbraw/zinc/90/38/24/524903824.db2.gz RLPLDBXIZBIWBD-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CS1 ZINC000334889688 524913109 /nfs/dbraw/zinc/91/31/09/524913109.db2.gz FXYZOXRFBLPTQL-XVKPBYJWSA-N 0 0 299.327 2.749 20 5 CFBDRN COc1cncc(COc2ccc(OC)c([N+](=O)[O-])c2)c1 ZINC000341286472 524922809 /nfs/dbraw/zinc/92/28/09/524922809.db2.gz RMWZUSNMMCQQAG-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN CC(=O)c1cc(NC(=O)c2ccc([N+](=O)[O-])o2)ccc1F ZINC000355994881 534820892 /nfs/dbraw/zinc/82/08/92/534820892.db2.gz QBSBCWPTCCXFCR-UHFFFAOYSA-N 0 0 292.222 2.782 20 5 CFBDRN COc1ccc(OCc2ccc(CO)cc2)c([N+](=O)[O-])c1 ZINC000168688461 524974256 /nfs/dbraw/zinc/97/42/56/524974256.db2.gz RJPSYUJWHNHZMC-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN COc1ccc(Oc2ncnc3[nH]ccc32)c([N+](=O)[O-])c1 ZINC000339230207 524996488 /nfs/dbraw/zinc/99/64/88/524996488.db2.gz KBOMOZQIVNIXRN-UHFFFAOYSA-N 0 0 286.247 2.667 20 5 CFBDRN Cc1cc(N(C)[C@H]2CCCC[C@H]2O)c(F)cc1[N+](=O)[O-] ZINC000313129915 525032143 /nfs/dbraw/zinc/03/21/43/525032143.db2.gz QDKROBBYVOESSI-SMDDNHRTSA-N 0 0 282.315 2.782 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccncc2C)cc1[N+](=O)[O-] ZINC000188034166 525095450 /nfs/dbraw/zinc/09/54/50/525095450.db2.gz DZXPUAFOHUDHKW-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1c1ccncc1[N+](=O)[O-] ZINC000340898111 525101798 /nfs/dbraw/zinc/10/17/98/525101798.db2.gz IPRQIXNRLMYRLN-JGVFFNPUSA-N 0 0 275.230 2.767 20 5 CFBDRN Cc1ccc(NC(=O)N(C)CC(F)F)cc1[N+](=O)[O-] ZINC000164181190 525108931 /nfs/dbraw/zinc/10/89/31/525108931.db2.gz FDBBNCXKTYFDSJ-UHFFFAOYSA-N 0 0 273.239 2.632 20 5 CFBDRN C[C@](O)(CNc1c2ccccc2ncc1[N+](=O)[O-])C1CC1 ZINC000314129344 525181933 /nfs/dbraw/zinc/18/19/33/525181933.db2.gz FCOIVRWMXUFBTD-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H](NC(=O)NC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000049241229 525190205 /nfs/dbraw/zinc/19/02/05/525190205.db2.gz SWAJCYFGTUHBBN-SNVBAGLBSA-N 0 0 277.324 2.898 20 5 CFBDRN Cc1ccccc1Cc1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000340646371 525204587 /nfs/dbraw/zinc/20/45/87/525204587.db2.gz MXVJLXDYCZCBQE-UHFFFAOYSA-N 0 0 298.302 2.883 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1c(F)cccc1F ZINC000340646217 525220180 /nfs/dbraw/zinc/22/01/80/525220180.db2.gz NBAVTHLRHWSJNQ-ZETCQYMHSA-N 0 0 295.245 2.692 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)CS1 ZINC000334817962 525256608 /nfs/dbraw/zinc/25/66/08/525256608.db2.gz BDZZAHAIJHYADB-PSASIEDQSA-N 0 0 281.337 2.610 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000311579289 525275337 /nfs/dbraw/zinc/27/53/37/525275337.db2.gz WOYREYKQDURAHN-CYBMUJFWSA-N 0 0 270.304 2.861 20 5 CFBDRN Cc1cc(NCc2ccccc2[N+](=O)[O-])ccc1C(N)=O ZINC000083139778 525279567 /nfs/dbraw/zinc/27/95/67/525279567.db2.gz XWABNVNSTVEATM-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cc1cc(N[C@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000086874270 525302134 /nfs/dbraw/zinc/30/21/34/525302134.db2.gz RYCPPOAWRMUZLV-NSHDSACASA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1cc(Nc2cnn(C(F)F)c2)ncc1[N+](=O)[O-] ZINC000361665457 525306751 /nfs/dbraw/zinc/30/67/51/525306751.db2.gz BITZSGKKMGUKKK-UHFFFAOYSA-N 0 0 269.211 2.633 20 5 CFBDRN Cc1cc(Nc2cnn(C)c2)c(F)cc1[N+](=O)[O-] ZINC000336791949 525307910 /nfs/dbraw/zinc/30/79/10/525307910.db2.gz PUHRXLHGJYPEKK-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN Cc1cc(OCCC[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000310798604 525369422 /nfs/dbraw/zinc/36/94/22/525369422.db2.gz WQXPGPLKOANVGI-VIFPVBQESA-N 0 0 257.261 2.582 20 5 CFBDRN C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccccn1 ZINC000044312780 525378731 /nfs/dbraw/zinc/37/87/31/525378731.db2.gz IDCCCQWCNUWKTB-MRVPVSSYSA-N 0 0 277.305 2.542 20 5 CFBDRN Cc1ccc(OCCCNc2ccncc2[N+](=O)[O-])cc1 ZINC000340153315 525411556 /nfs/dbraw/zinc/41/15/56/525411556.db2.gz SPUTZEJBEKOGJS-UHFFFAOYSA-N 0 0 287.319 2.601 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H](C)C[C@@H]2CCCO2)c1 ZINC000338661441 525453517 /nfs/dbraw/zinc/45/35/17/525453517.db2.gz GWTYPRBLCDGTES-JQWIXIFHSA-N 0 0 280.324 2.973 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1ccsc1 ZINC000063152867 525459779 /nfs/dbraw/zinc/45/97/79/525459779.db2.gz HXQIISMUIZWNQL-UHFFFAOYSA-N 0 0 278.333 2.955 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1C[C@@H]1C ZINC000361334680 525468495 /nfs/dbraw/zinc/46/84/95/525468495.db2.gz HEDPFKWDVIFDKW-IUCAKERBSA-N 0 0 264.281 2.588 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC2(C1)CCCC2 ZINC000335342021 525559875 /nfs/dbraw/zinc/55/98/75/525559875.db2.gz WRIOYPMPGLIKGD-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1nnc(C2CC2)n1C ZINC000361716158 525570522 /nfs/dbraw/zinc/57/05/22/525570522.db2.gz VBOVAOJSAJNDFO-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1ccn(C[C@H]2CCCCC2(F)F)c(=O)c1[N+](=O)[O-] ZINC000336260382 525603622 /nfs/dbraw/zinc/60/36/22/525603622.db2.gz NTPGBQREBPMBMU-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN C[C@@H]1SCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@H]1C ZINC000336447801 525624246 /nfs/dbraw/zinc/62/42/46/525624246.db2.gz IXNRDBKQYKGPBS-IUCAKERBSA-N 0 0 298.339 2.700 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)c2cccnc2)cccc1[N+](=O)[O-] ZINC000072651249 525632940 /nfs/dbraw/zinc/63/29/40/525632940.db2.gz STNCYZPVTBXREX-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccnc(CNc2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000339415123 525649457 /nfs/dbraw/zinc/64/94/57/525649457.db2.gz GCWQDIZICNVERV-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1ccnc(COc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000339302639 525652002 /nfs/dbraw/zinc/65/20/02/525652002.db2.gz CZJVKTXQVMXWKZ-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1ccnc(NCCC2(F)CCC2)c1[N+](=O)[O-] ZINC000340855735 525689904 /nfs/dbraw/zinc/68/99/04/525689904.db2.gz WTLRUZCGGVIBAO-UHFFFAOYSA-N 0 0 253.277 2.992 20 5 CFBDRN Cc1ccnc(N[C@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC000070495879 525701206 /nfs/dbraw/zinc/70/12/06/525701206.db2.gz YKOGZZNBZVWNRR-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1c(CNC(=O)CC2CCC2)cccc1[N+](=O)[O-] ZINC000360525854 525712061 /nfs/dbraw/zinc/71/20/61/525712061.db2.gz RBMCBTUEKVGNNU-UHFFFAOYSA-N 0 0 262.309 2.710 20 5 CFBDRN Cc1c(CNC(=O)N2CC[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000334073721 525713445 /nfs/dbraw/zinc/71/34/45/525713445.db2.gz AGFKCYSBOZGXLX-PWSUYJOCSA-N 0 0 291.351 2.843 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2Cc3ccccc32)cccc1[N+](=O)[O-] ZINC000360516058 525718257 /nfs/dbraw/zinc/71/82/57/525718257.db2.gz QWTATYQZSFPTOO-OAHLLOKOSA-N 0 0 296.326 2.859 20 5 CFBDRN C[C@@H](Nc1ccnc2c1cccc2[N+](=O)[O-])[C@H](C)CO ZINC000134105938 525721116 /nfs/dbraw/zinc/72/11/16/525721116.db2.gz FCWSPVKVWIYFOI-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN Cc1c(Cc2nc(-c3ccncc3)no2)cccc1[N+](=O)[O-] ZINC000160991800 525739281 /nfs/dbraw/zinc/73/92/81/525739281.db2.gz AASKCQNJISGZCA-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN C[C@@](O)(CNc1ccc2ncccc2c1[N+](=O)[O-])C1CC1 ZINC000314120465 525779261 /nfs/dbraw/zinc/77/92/61/525779261.db2.gz DGYGBUHJOZPYQP-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000167359118 525816142 /nfs/dbraw/zinc/81/61/42/525816142.db2.gz RTKYHQUWCPTVBR-UWVGGRQHSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@H]1CC13CC3)CCN2 ZINC000418981979 534884582 /nfs/dbraw/zinc/88/45/82/534884582.db2.gz RDASCASXSULVTN-SECBINFHSA-N 0 0 259.309 2.775 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000228128922 525819664 /nfs/dbraw/zinc/81/96/64/525819664.db2.gz FDSPEMVWBAPZEG-NXEZZACHSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCC3CCOCC3)c2c1 ZINC000413107197 534884860 /nfs/dbraw/zinc/88/48/60/534884860.db2.gz PHOVTMBRBBNGKV-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCC3(CCO)CC3)c2c1 ZINC000413229817 534884932 /nfs/dbraw/zinc/88/49/32/534884932.db2.gz CSIHCDZWENUUSD-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@@H]3CCC[C@H]3O)c2c1 ZINC000413165687 534885343 /nfs/dbraw/zinc/88/53/43/534885343.db2.gz JAMAKYBXRSLZCN-SMDDNHRTSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@@H]3CCCOC3)c2c1 ZINC000413061686 534885396 /nfs/dbraw/zinc/88/53/96/534885396.db2.gz CLZUPCHOKQUTSK-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])cn2)C[C@@H]1c1ccccc1 ZINC000338744392 525852368 /nfs/dbraw/zinc/85/23/68/525852368.db2.gz SUHGBYKKPHPLOJ-HIFRSBDPSA-N 0 0 284.319 2.991 20 5 CFBDRN Cc1cn2cc(Nc3nccc(C)c3[N+](=O)[O-])ccc2n1 ZINC000361043552 525952885 /nfs/dbraw/zinc/95/28/85/525952885.db2.gz HENQBFDEANWCCU-UHFFFAOYSA-N 0 0 283.291 2.998 20 5 CFBDRN Cc1c[nH]cc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000336320525 526011690 /nfs/dbraw/zinc/01/16/90/526011690.db2.gz WVMAZKXNAJSTIA-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1cnc(COc2ccc([N+](=O)[O-])cc2Cl)nc1 ZINC000361089944 526056960 /nfs/dbraw/zinc/05/69/60/526056960.db2.gz ZCNVNSKKPAHXMR-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1CC(C)(F)C1 ZINC000334864564 526071848 /nfs/dbraw/zinc/07/18/48/526071848.db2.gz PXICZKNCXKXMOW-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H](C)C1 ZINC000157074727 526073393 /nfs/dbraw/zinc/07/33/93/526073393.db2.gz HVGWWDIYBUSRJT-GXSJLCMTSA-N 0 0 262.309 2.822 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2C[C@H]2C)c1 ZINC000032386700 526079683 /nfs/dbraw/zinc/07/96/83/526079683.db2.gz NTAVNKNYPLHZPG-RKDXNWHRSA-N 0 0 280.349 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C[C@@H](C)O)C(C)C ZINC000304690958 526080255 /nfs/dbraw/zinc/08/02/55/526080255.db2.gz HDOUPXKKKQIUGP-LLVKDONJSA-N 0 0 295.339 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1[C@@H](C)C1(F)F ZINC000334592711 526082171 /nfs/dbraw/zinc/08/21/71/526082171.db2.gz AQHYBYPEEOGZBC-XCBNKYQSSA-N 0 0 270.235 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC[C@H]1CCOC1 ZINC000235766336 526083321 /nfs/dbraw/zinc/08/33/21/526083321.db2.gz BJYJNWFFSBDWIN-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cnc(NCCn2cnc3ccccc32)c([N+](=O)[O-])c1 ZINC000361262069 526091011 /nfs/dbraw/zinc/09/10/11/526091011.db2.gz LJVGNUCGWWCNBZ-UHFFFAOYSA-N 0 0 297.318 2.760 20 5 CFBDRN Cc1cnc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 ZINC000090692007 526094730 /nfs/dbraw/zinc/09/47/30/526094730.db2.gz APQJXJWBQMRCTL-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1cnc(N[C@@H]2CCC[C@H]2OC(F)F)c([N+](=O)[O-])c1 ZINC000336912016 526095221 /nfs/dbraw/zinc/09/52/21/526095221.db2.gz YPCKRUCUDIFINF-PSASIEDQSA-N 0 0 287.266 2.870 20 5 CFBDRN Cc1cc(=O)n(CCc2ccccc2Cl)cc1[N+](=O)[O-] ZINC000128664611 526141586 /nfs/dbraw/zinc/14/15/86/526141586.db2.gz IKZYNRADIWFWMP-UHFFFAOYSA-N 0 0 292.722 2.961 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000165081277 526146368 /nfs/dbraw/zinc/14/63/68/526146368.db2.gz JMOCWATZMOTEFC-JTQLQIEISA-N 0 0 278.308 2.596 20 5 CFBDRN Cc1cncc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c1 ZINC000173620068 526179243 /nfs/dbraw/zinc/17/92/43/526179243.db2.gz RASYSHCAIVBKSX-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)s1 ZINC000048826120 526187429 /nfs/dbraw/zinc/18/74/29/526187429.db2.gz CFCAKLTYCRPHFU-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000156831296 526215354 /nfs/dbraw/zinc/21/53/54/526215354.db2.gz JAENPYISULLOSU-CABZTGNLSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H]1CC(CCNC(=O)c2ccc([N+](=O)[O-])o2)C[C@H](C)O1 ZINC000338492796 526224968 /nfs/dbraw/zinc/22/49/68/526224968.db2.gz BBOAWVWUTJKAJY-UWVGGRQHSA-N 0 0 296.323 2.511 20 5 CFBDRN C[C@H]1CC(CCNc2ncccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000338483333 526225880 /nfs/dbraw/zinc/22/58/80/526225880.db2.gz DEELTWQKBDIFRS-QWRGUYRKSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1cc(C(=O)N(C)c2nccs2)cc([N+](=O)[O-])c1 ZINC000049156499 526230170 /nfs/dbraw/zinc/23/01/70/526230170.db2.gz MWMQAKFTNDDTCW-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000336319518 526234082 /nfs/dbraw/zinc/23/40/82/526234082.db2.gz YSPKSFGCYVERIA-LLVKDONJSA-N 0 0 274.320 3.000 20 5 CFBDRN Cc1cc(C(=O)N2CC(C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000335085935 526235283 /nfs/dbraw/zinc/23/52/83/526235283.db2.gz FQSMUMNYLGFPLX-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN C[C@H]1CC=CC[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000340970495 526236511 /nfs/dbraw/zinc/23/65/11/526236511.db2.gz IGCQQGBHFJKUKZ-WCQYABFASA-N 0 0 274.320 2.813 20 5 CFBDRN Cc1nnc(CSc2cccc([N+](=O)[O-])c2)o1 ZINC000337767288 526349297 /nfs/dbraw/zinc/34/92/97/526349297.db2.gz TYTAWOKUPPIJJY-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN Cc1noc(C)c1CCOc1c(F)cccc1[N+](=O)[O-] ZINC000361671379 526498093 /nfs/dbraw/zinc/49/80/93/526498093.db2.gz ULRPESYWTLWKLO-UHFFFAOYSA-N 0 0 280.255 2.960 20 5 CFBDRN O=C([C@@H]1C[C@@H]1[N+](=O)[O-])N1CCC[C@@H]1c1ccccc1Cl ZINC000048806640 526499851 /nfs/dbraw/zinc/49/98/51/526499851.db2.gz YTBVHIWHNPOPCE-RTXFEEFZSA-N 0 0 294.738 2.669 20 5 CFBDRN O=C(NC[C@@H]1CCCCS1)c1ccc(F)cc1[N+](=O)[O-] ZINC000312321477 526509010 /nfs/dbraw/zinc/50/90/10/526509010.db2.gz XPMXOTCQZKWZLJ-JTQLQIEISA-N 0 0 298.339 2.749 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@@H](C2CC2)C1 ZINC000336336653 526580882 /nfs/dbraw/zinc/58/08/82/526580882.db2.gz BLLZGUBGOYGDOQ-LLVKDONJSA-N 0 0 299.330 2.948 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCc1ccsc1 ZINC000087107349 526604544 /nfs/dbraw/zinc/60/45/44/526604544.db2.gz FEPNMNWZQRZIME-UHFFFAOYSA-N 0 0 290.344 2.905 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@@H](C2CCCC2)C1 ZINC000335015132 526713655 /nfs/dbraw/zinc/71/36/55/526713655.db2.gz ULFKPMDKIFQDSF-LLVKDONJSA-N 0 0 277.324 2.575 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H](C2CC2)C1 ZINC000335182080 526714493 /nfs/dbraw/zinc/71/44/93/526714493.db2.gz WEVLCZKBOZAZRE-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc[nH]1 ZINC000073843151 526778871 /nfs/dbraw/zinc/77/88/71/526778871.db2.gz GJOSOQIEGHKIPJ-CQSZACIVSA-N 0 0 285.303 2.900 20 5 CFBDRN O=C(NCc1ccoc1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000339252221 526799121 /nfs/dbraw/zinc/79/91/21/526799121.db2.gz AVBAMAOCMNSGTF-UHFFFAOYSA-N 0 0 286.243 2.864 20 5 CFBDRN Cc1occc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000048704395 526810202 /nfs/dbraw/zinc/81/02/02/526810202.db2.gz BUVKGZGBBVQIFP-VIFPVBQESA-N 0 0 274.276 2.987 20 5 CFBDRN Cc1occc1CN(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000049225006 526821219 /nfs/dbraw/zinc/82/12/19/526821219.db2.gz XNDMXRHAGPIASF-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN Cc1occc1CN(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000049236240 526826783 /nfs/dbraw/zinc/82/67/83/526826783.db2.gz PVVKSXUUZZSUNY-UHFFFAOYSA-N 0 0 292.320 2.842 20 5 CFBDRN Cc1nc(CCNc2ccc([N+](=O)[O-])cc2)c(C)o1 ZINC000340754793 526830421 /nfs/dbraw/zinc/83/04/21/526830421.db2.gz QNONSEHMIBDWPZ-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN Cc1sc(C(=O)N(C)Cc2cccnc2)cc1[N+](=O)[O-] ZINC000045123479 526871296 /nfs/dbraw/zinc/87/12/96/526871296.db2.gz DIFODEUCPBLSGA-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1sc(C(=O)N(C)Cc2cccn2C)cc1[N+](=O)[O-] ZINC000160460704 526871323 /nfs/dbraw/zinc/87/13/23/526871323.db2.gz JEVPOGBQCOUUTB-UHFFFAOYSA-N 0 0 293.348 2.575 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@H]2CCCC[C@H]21 ZINC000365801720 526907695 /nfs/dbraw/zinc/90/76/95/526907695.db2.gz NTPOFOZUPDTECD-PSASIEDQSA-N 0 0 266.322 2.671 20 5 CFBDRN Cc1nc(N2CC[C@@H](CC3CC3)C2)ccc1[N+](=O)[O-] ZINC000338804779 526972377 /nfs/dbraw/zinc/97/23/77/526972377.db2.gz BWGIKXDQGWCODR-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN O=C1COc2c(NCc3ccc([N+](=O)[O-])cc3)cccc2N1 ZINC000368070436 527014232 /nfs/dbraw/zinc/01/42/32/527014232.db2.gz JNLMIYLVZFGIPY-UHFFFAOYSA-N 0 0 299.286 2.538 20 5 CFBDRN O=C(N[C@H]1CC[C@@H](F)C1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336392776 527065200 /nfs/dbraw/zinc/06/52/00/527065200.db2.gz YWZBRMGHHNGIFZ-ZJUUUORDSA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@H]1C[C@@H]1C(F)F ZINC000337993188 527124348 /nfs/dbraw/zinc/12/43/48/527124348.db2.gz DCEZQDLGCAZOHS-IUCAKERBSA-N 0 0 274.198 2.574 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC2(CCCC2)C1 ZINC000173763092 527271052 /nfs/dbraw/zinc/27/10/52/527271052.db2.gz JNIVKMFVZMKSCZ-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cccc(F)c1 ZINC000151386483 527271445 /nfs/dbraw/zinc/27/14/45/527271445.db2.gz LQTHDFHSMWEFFC-UHFFFAOYSA-N 0 0 274.251 2.915 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C)[C@H]1C ZINC000172662345 527311269 /nfs/dbraw/zinc/31/12/69/527311269.db2.gz ORIALHQSUNNTAS-GXSJLCMTSA-N 0 0 277.324 2.559 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C1CCC1 ZINC000170852424 527311893 /nfs/dbraw/zinc/31/18/93/527311893.db2.gz BLJNYJKGYVJNCR-CQSZACIVSA-N 0 0 289.335 2.703 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]2CCC[C@H]21 ZINC000174333519 527312484 /nfs/dbraw/zinc/31/24/84/527312484.db2.gz WBUWDGFJBVPOTA-BXUZGUMPSA-N 0 0 289.335 2.703 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1CCC2(CCCCC2)O1 ZINC000340862248 527323216 /nfs/dbraw/zinc/32/32/16/527323216.db2.gz FZTWDTDECBHZJQ-GFCCVEGCSA-N 0 0 291.351 2.706 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCO[C@H]1C1CC1 ZINC000230309186 527335316 /nfs/dbraw/zinc/33/53/16/527335316.db2.gz GSXUSDOQVNJVAU-ONGXEEELSA-N 0 0 283.715 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc3cc[nH]c32)nc1 ZINC000341426765 527347937 /nfs/dbraw/zinc/34/79/37/527347937.db2.gz ADJNQHWDBMYRFY-UHFFFAOYSA-N 0 0 268.276 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCCO[C@@H]2CCC[C@H]21 ZINC000374127251 527357590 /nfs/dbraw/zinc/35/75/90/527357590.db2.gz XWLSYAZAARWCIG-TZMCWYRMSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2Cc3ccccc3C2)cn1 ZINC000231038883 527363792 /nfs/dbraw/zinc/36/37/92/527363792.db2.gz DECONESXWZIALT-UHFFFAOYSA-N 0 0 255.277 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC(F)F)c2cccnc21 ZINC000236140582 527365725 /nfs/dbraw/zinc/36/57/25/527365725.db2.gz RYYVRKOMBQPMIA-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCCS2)cn1 ZINC000158380521 527365950 /nfs/dbraw/zinc/36/59/50/527365950.db2.gz WRGAMWMMWBSWIL-JTQLQIEISA-N 0 0 253.327 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@H]2O)c(Cl)c1 ZINC000052006037 527367043 /nfs/dbraw/zinc/36/70/43/527367043.db2.gz NETWYIVEAWSVLC-VXGBXAGGSA-N 0 0 270.716 2.964 20 5 CFBDRN CC(=O)c1cccc(NC(=O)c2cccc([N+](=O)[O-])c2N)c1 ZINC000178146398 527370267 /nfs/dbraw/zinc/37/02/67/527370267.db2.gz DGHYJMXWGGSMLM-UHFFFAOYSA-N 0 0 299.286 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2(O)CCC2)c(Cl)c1 ZINC000339296391 527371756 /nfs/dbraw/zinc/37/17/56/527371756.db2.gz ARADINJSATZODG-UHFFFAOYSA-N 0 0 257.673 2.542 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1Cc2ccccc21 ZINC000336344581 527374939 /nfs/dbraw/zinc/37/49/39/527374939.db2.gz GROOQRYAPGFPPC-AWEZNQCLSA-N 0 0 268.272 2.873 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cccc2c1OCO2 ZINC000337936674 527375308 /nfs/dbraw/zinc/37/53/08/527375308.db2.gz BOEVMQHJPJUWDK-UHFFFAOYSA-N 0 0 286.243 2.576 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ncn(-c3ccccc3)n2)c1 ZINC000191151850 527388610 /nfs/dbraw/zinc/38/86/10/527388610.db2.gz NOJXUVQJFCSNBE-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H]1CCC[C@H](CO)C1 ZINC000312450486 527389397 /nfs/dbraw/zinc/38/93/97/527389397.db2.gz JZNKYKUYTYVRNW-QWRGUYRKSA-N 0 0 282.315 2.945 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC[C@@H]1CCOC1 ZINC000361589136 527389448 /nfs/dbraw/zinc/38/94/48/527389448.db2.gz GLDRHRBIBRRFDE-MRVPVSSYSA-N 0 0 257.673 2.664 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCC1CCOCC1 ZINC000185011556 527389522 /nfs/dbraw/zinc/38/95/22/527389522.db2.gz XJMJRVPPFXGHDW-UHFFFAOYSA-N 0 0 255.245 2.539 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(-c2ccco2)no1 ZINC000340658807 527395560 /nfs/dbraw/zinc/39/55/60/527395560.db2.gz LMFMPMNWSOVNBU-UHFFFAOYSA-N 0 0 257.205 2.905 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCC3(CCO)CC3)ccnc21 ZINC000097818700 527395741 /nfs/dbraw/zinc/39/57/41/527395741.db2.gz HMSJEKSBQJPOHB-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCCOCC(F)F)ccnc21 ZINC000236140900 527396351 /nfs/dbraw/zinc/39/63/51/527396351.db2.gz HSHAUHNNEHVTSQ-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(Cc1ncccn1)C1CC1 ZINC000192122102 527398778 /nfs/dbraw/zinc/39/87/78/527398778.db2.gz TTYKCUFSTKOJAE-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC[C@@H]1c1ncc[nH]1 ZINC000364721403 527400412 /nfs/dbraw/zinc/40/04/12/527400412.db2.gz HLAOJBBOPUNKMU-CYBMUJFWSA-N 0 0 272.308 2.655 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1cnn(C(F)F)c1 ZINC000361669680 527404650 /nfs/dbraw/zinc/40/46/50/527404650.db2.gz KUVWBVXQQXLFIH-UHFFFAOYSA-N 0 0 254.196 2.930 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1C[C@]12CCOc1ccccc12 ZINC000361827574 527407129 /nfs/dbraw/zinc/40/71/29/527407129.db2.gz ADRLRUXFDWRMOO-ZBFHGGJFSA-N 0 0 297.314 2.895 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2CCC3(CCCCC3)O2)cn1 ZINC000191819346 527408012 /nfs/dbraw/zinc/40/80/12/527408012.db2.gz CGOMAQUIIWEPHE-NSHDSACASA-N 0 0 265.313 2.673 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSc2cccs2)n1 ZINC000178502926 527408746 /nfs/dbraw/zinc/40/87/46/527408746.db2.gz MXPJZKFVOKIWSW-UHFFFAOYSA-N 0 0 255.324 2.645 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCCS2)s1 ZINC000226774979 527411524 /nfs/dbraw/zinc/41/15/24/527411524.db2.gz GCVLANMKTFLKTF-ZETCQYMHSA-N 0 0 259.356 2.749 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC(c2ccccc2F)C1 ZINC000360111052 527412273 /nfs/dbraw/zinc/41/22/73/527412273.db2.gz QUHUIICAZWMWPM-UHFFFAOYSA-N 0 0 273.267 2.733 20 5 CFBDRN O=[N+]([O-])c1cnccc1Oc1ccc2c[nH]nc2c1 ZINC000360993897 527414098 /nfs/dbraw/zinc/41/40/98/527414098.db2.gz FUJFAWJGBFQVMP-UHFFFAOYSA-N 0 0 256.221 2.658 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1cccc(F)c1F ZINC000071810207 527417112 /nfs/dbraw/zinc/41/71/12/527417112.db2.gz UYGGLCDCRARTBU-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN Cn1ccnc1CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000071274317 527437551 /nfs/dbraw/zinc/43/75/51/527437551.db2.gz UPIRBEKEBMGSKS-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000049214223 527463640 /nfs/dbraw/zinc/46/36/40/527463640.db2.gz MUIFOLNDPADGOH-UHFFFAOYSA-N 0 0 278.283 2.652 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCO[C@@H](CF)C1 ZINC000414466415 527528482 /nfs/dbraw/zinc/52/84/82/527528482.db2.gz BOVRNPPVINCNSV-BLMSOEDDSA-N 0 0 294.326 2.668 20 5 CFBDRN O=C(NCCCC1CCCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000340644195 527637642 /nfs/dbraw/zinc/63/76/42/527637642.db2.gz FHJBYRDCGPJCRP-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN Cc1nn(C)c(NC2CC(C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000338736255 527678361 /nfs/dbraw/zinc/67/83/61/527678361.db2.gz IFDOOKYYLYJTOD-UHFFFAOYSA-N 0 0 266.345 2.873 20 5 CFBDRN Cc1nn(C)c(NCc2ccc(F)c(F)c2)c1[N+](=O)[O-] ZINC000049577909 527678625 /nfs/dbraw/zinc/67/86/25/527678625.db2.gz FPYIHNUAAMWVDU-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN COCCCN(C)c1nccc2c1cccc2[N+](=O)[O-] ZINC000450277458 536515784 /nfs/dbraw/zinc/51/57/84/536515784.db2.gz MIUMTLCRGHPLIX-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CC(C)OCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000414008740 527903734 /nfs/dbraw/zinc/90/37/34/527903734.db2.gz VPFWHUDMXGCHSW-UHFFFAOYSA-N 0 0 286.353 2.590 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN(C)[C@H]1CCOC1 ZINC000106885756 528211037 /nfs/dbraw/zinc/21/10/37/528211037.db2.gz PSQNTKLXHFIBRE-AWEZNQCLSA-N 0 0 294.351 2.603 20 5 CFBDRN CCOc1cc(N2C[C@@H]3C[C@H]2CS3)ccc1[N+](=O)[O-] ZINC000413450156 528314128 /nfs/dbraw/zinc/31/41/28/528314128.db2.gz HKXDROVWFWLFJI-QWRGUYRKSA-N 0 0 280.349 2.688 20 5 CFBDRN CCOc1cc(NCC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000111047525 528327715 /nfs/dbraw/zinc/32/77/15/528327715.db2.gz QMHVBXQLXRHNOK-GFCCVEGCSA-N 0 0 280.324 2.975 20 5 CFBDRN CCOc1cc(N[C@@H](C)c2ccn(C)n2)ccc1[N+](=O)[O-] ZINC000413462256 528330193 /nfs/dbraw/zinc/33/01/93/528330193.db2.gz PXVLNCIYOHMNSH-JTQLQIEISA-N 0 0 290.323 2.900 20 5 CFBDRN CCNc1ccc(C(=O)N(C)CC(C)C)cc1[N+](=O)[O-] ZINC000055468346 528428040 /nfs/dbraw/zinc/42/80/40/528428040.db2.gz CVZAMKKPNIHKGS-UHFFFAOYSA-N 0 0 279.340 2.755 20 5 CFBDRN CCOc1ccc(Nc2ccncc2[N+](=O)[O-])cc1OC ZINC000340151666 528484761 /nfs/dbraw/zinc/48/47/61/528484761.db2.gz FTMLQMXESKYCGJ-UHFFFAOYSA-N 0 0 289.291 2.563 20 5 CFBDRN CCCCOCCCNc1ncc([N+](=O)[O-])cc1F ZINC000413023478 528588672 /nfs/dbraw/zinc/58/86/72/528588672.db2.gz JFMXUYYMLRSCCU-UHFFFAOYSA-N 0 0 271.292 2.748 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)C[C@@H]1F ZINC000425564163 528614450 /nfs/dbraw/zinc/61/44/50/528614450.db2.gz KTBGAOJSWXYYFR-AAEUAGOBSA-N 0 0 282.271 2.680 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)C[C@H]1F ZINC000425564166 528614958 /nfs/dbraw/zinc/61/49/58/528614958.db2.gz KTBGAOJSWXYYFR-YPMHNXCESA-N 0 0 282.271 2.680 20 5 CFBDRN CCOC(=O)C[C@@H](C)Sc1ncc([N+](=O)[O-])cc1F ZINC000413131173 528870515 /nfs/dbraw/zinc/87/05/15/528870515.db2.gz AATDWENKUQISEJ-SSDOTTSWSA-N 0 0 288.300 2.563 20 5 CFBDRN CCN(Cc1occc1C)c1ncccc1[N+](=O)[O-] ZINC000413548386 528920573 /nfs/dbraw/zinc/92/05/73/528920573.db2.gz FHNDTXNDSGVQJW-UHFFFAOYSA-N 0 0 261.281 2.918 20 5 CFBDRN CCN(C(=O)COc1ccc([N+](=O)[O-])c(C)c1)C1CCC1 ZINC000430700177 528963606 /nfs/dbraw/zinc/96/36/06/528963606.db2.gz KQUYEBJXHBEGRW-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN CCCC[S@@](=O)Cc1cc([N+](=O)[O-])ccc1OC ZINC000414255989 529009173 /nfs/dbraw/zinc/00/91/73/529009173.db2.gz KUSIBNQURQUVHM-GOSISDBHSA-N 0 0 271.338 2.652 20 5 CFBDRN CCn1ccc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000414212561 529172966 /nfs/dbraw/zinc/17/29/66/529172966.db2.gz SCGRMOJTILIMBO-LBPRGKRZSA-N 0 0 288.351 2.970 20 5 CFBDRN CCn1ccc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000414212560 529174313 /nfs/dbraw/zinc/17/43/13/529174313.db2.gz SCGRMOJTILIMBO-GFCCVEGCSA-N 0 0 288.351 2.970 20 5 CFBDRN CCn1ccc(CNc2cccc(C)c2[N+](=O)[O-])n1 ZINC000413499387 529175642 /nfs/dbraw/zinc/17/56/42/529175642.db2.gz YKMJOQPAUCNMME-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@@H](O)C(C)C ZINC000413561618 529208956 /nfs/dbraw/zinc/20/89/56/529208956.db2.gz ZVUDFXYUSOMNGZ-MFKMUULPSA-N 0 0 267.329 2.506 20 5 CFBDRN CC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413549161 529210086 /nfs/dbraw/zinc/21/00/86/529210086.db2.gz VPLJBPNRGYMDEH-MFKMUULPSA-N 0 0 270.304 2.941 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000410934213 529244137 /nfs/dbraw/zinc/24/41/37/529244137.db2.gz CIPSTMVIRANNLX-VIFPVBQESA-N 0 0 280.349 2.941 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000427406169 529311517 /nfs/dbraw/zinc/31/15/17/529311517.db2.gz SWFYXAQKUPCQHQ-MFKMUULPSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000421102254 529320134 /nfs/dbraw/zinc/32/01/34/529320134.db2.gz VRCGQMVPXSLACJ-AAEUAGOBSA-N 0 0 293.323 2.674 20 5 CFBDRN CC(C)(NC(=O)CSC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000450122170 535220375 /nfs/dbraw/zinc/22/03/75/535220375.db2.gz ZQIBGHPITYLBFV-UHFFFAOYSA-N 0 0 294.376 2.842 20 5 CFBDRN CC(C)(NC(=O)COc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000456142181 535221296 /nfs/dbraw/zinc/22/12/96/535221296.db2.gz DMVADEBCTJUYEW-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000450114693 535232511 /nfs/dbraw/zinc/23/25/11/535232511.db2.gz AXZYXQZPENPATE-JTQLQIEISA-N 0 0 284.262 2.601 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])s1)C1CCC1 ZINC000456145924 535240795 /nfs/dbraw/zinc/24/07/95/535240795.db2.gz KOHRUXXEKVIYNC-UHFFFAOYSA-N 0 0 268.338 2.965 20 5 CFBDRN CC1(/C=C\C(=O)Nc2cccc([N+](=O)[O-])c2)CCOCC1 ZINC000493021893 535273186 /nfs/dbraw/zinc/27/31/86/535273186.db2.gz UWJNLHGPPQKXAK-WAYWQWQTSA-N 0 0 290.319 2.906 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000464201480 535472297 /nfs/dbraw/zinc/47/22/97/535472297.db2.gz SQWNWHBLCMACTN-ZWNOBZJWSA-N 0 0 262.309 2.994 20 5 CFBDRN CC[C@@H](CO)N(C)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000450580706 535753962 /nfs/dbraw/zinc/75/39/62/535753962.db2.gz OOGWYGAXEMRHCW-NSHDSACASA-N 0 0 296.367 2.979 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000459992830 535905249 /nfs/dbraw/zinc/90/52/49/535905249.db2.gz WRXHHVXIZSVHNX-GIPNMCIBSA-N 0 0 274.320 2.919 20 5 CFBDRN COC[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000456938711 536502696 /nfs/dbraw/zinc/50/26/96/536502696.db2.gz NUGSXRVVDJDUFL-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452186382 536574847 /nfs/dbraw/zinc/57/48/47/536574847.db2.gz XTZUTNUFLHVSNP-UTUOFQBUSA-N 0 0 294.351 2.691 20 5 CFBDRN COc1cc(CN2CCC[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000353186916 536759285 /nfs/dbraw/zinc/75/92/85/536759285.db2.gz DUWUKJMLZIZMSM-NSHDSACASA-N 0 0 286.278 2.833 20 5 CFBDRN CC1(NC(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CCC1 ZINC000935080820 649872348 /nfs/dbraw/zinc/87/23/48/649872348.db2.gz VBOIMFQOGUYYIV-STQMWFEESA-N 0 0 274.320 2.757 20 5 CFBDRN O=C(C[C@H]1CC=CCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000935115717 649877196 /nfs/dbraw/zinc/87/71/96/649877196.db2.gz UWIHQVUUIMGNLA-ZDUSSCGKSA-N 0 0 288.347 3.000 20 5 CFBDRN O=C(NOC1CCCC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935238472 649890216 /nfs/dbraw/zinc/89/02/16/649890216.db2.gz AGDXCEUZKYPWCL-KGLIPLIRSA-N 0 0 290.319 2.689 20 5 CFBDRN CC(C)C1CN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000753850142 574051132 /nfs/dbraw/zinc/05/11/32/574051132.db2.gz ZZSVFUYXUXKNCT-UHFFFAOYSA-N 0 0 282.727 2.976 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)C1=COCC1 ZINC000744160629 574057417 /nfs/dbraw/zinc/05/74/17/574057417.db2.gz NWKZOHQZFIEQBU-UHFFFAOYSA-N 0 0 283.667 2.766 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccccn1 ZINC000736508442 574058118 /nfs/dbraw/zinc/05/81/18/574058118.db2.gz TXCYRNATXJJZKW-CYBMUJFWSA-N 0 0 289.291 2.710 20 5 CFBDRN CCOCCCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000744205873 574061124 /nfs/dbraw/zinc/06/11/24/574061124.db2.gz UJCHUAXXCIGAHN-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1cncs1 ZINC000727710829 574062416 /nfs/dbraw/zinc/06/24/16/574062416.db2.gz JMAUTFTVAXGYGO-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000727733513 574062976 /nfs/dbraw/zinc/06/29/76/574062976.db2.gz KNUIZGXXJLTLDB-SNVBAGLBSA-N 0 0 296.298 2.514 20 5 CFBDRN Cc1csc(COC(=O)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000744309391 574066156 /nfs/dbraw/zinc/06/61/56/574066156.db2.gz GRGZOPLVMYHRGV-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CC[C@@H]1CCCN1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000727826223 574067307 /nfs/dbraw/zinc/06/73/07/574067307.db2.gz GKHZWOFFKNGLNB-LLVKDONJSA-N 0 0 289.335 2.858 20 5 CFBDRN CCC(C)(C)CCOC(=O)c1cc([N+](=O)[O-])cn1C ZINC000744340370 574069403 /nfs/dbraw/zinc/06/94/03/574069403.db2.gz LUROUGNHLHQLEL-UHFFFAOYSA-N 0 0 268.313 2.916 20 5 CFBDRN C[C@@H]1COCCN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000754083886 574070581 /nfs/dbraw/zinc/07/05/81/574070581.db2.gz ZOIFTCVGGHFWAD-VIFPVBQESA-N 0 0 293.348 2.677 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1CCCS1 ZINC000744361292 574071121 /nfs/dbraw/zinc/07/11/21/574071121.db2.gz WEMGVPDWJYAEQP-NSHDSACASA-N 0 0 267.306 2.534 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(Cc1ccc(CO)cc1)CC2 ZINC000754104977 574073452 /nfs/dbraw/zinc/07/34/52/574073452.db2.gz HUPLELYDWVMUHO-UHFFFAOYSA-N 0 0 298.342 2.958 20 5 CFBDRN O=C(COC(=O)c1cccc(Cl)c1[N+](=O)[O-])C1CCC1 ZINC000754116184 574074376 /nfs/dbraw/zinc/07/43/76/574074376.db2.gz UGIIGDFPRXUBSW-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCC(F)(F)C(F)F ZINC000744530929 574079355 /nfs/dbraw/zinc/07/93/55/574079355.db2.gz YRNYQHBBYIMADG-UHFFFAOYSA-N 0 0 295.188 2.960 20 5 CFBDRN Cc1ncc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])s1 ZINC000744526382 574079452 /nfs/dbraw/zinc/07/94/52/574079452.db2.gz SXOHIDYWNOXBQK-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1ccc(CC(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)o1 ZINC000754278082 574086102 /nfs/dbraw/zinc/08/61/02/574086102.db2.gz FFUSAJUUVLYUKG-UHFFFAOYSA-N 0 0 296.229 2.956 20 5 CFBDRN CCc1cnc(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)o1 ZINC000744870195 574091018 /nfs/dbraw/zinc/09/10/18/574091018.db2.gz AHXJPQNQUYWYQG-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1cc(C(=O)OCC(=O)C2CCCC2)cc([N+](=O)[O-])c1 ZINC000744871306 574091106 /nfs/dbraw/zinc/09/11/06/574091106.db2.gz REKVMBKTLYUNST-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN Cc1c(N)cccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000728355281 574093492 /nfs/dbraw/zinc/09/34/92/574093492.db2.gz PWJIHYDGPWXQST-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN CS/C=C\C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000754402726 574094395 /nfs/dbraw/zinc/09/43/95/574094395.db2.gz ITCTUZGDLYVXNG-CLFYSBASSA-N 0 0 278.333 2.751 20 5 CFBDRN CS/C=C/C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000754402729 574094501 /nfs/dbraw/zinc/09/45/01/574094501.db2.gz ITCTUZGDLYVXNG-VQHVLOKHSA-N 0 0 278.333 2.751 20 5 CFBDRN CSCCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000744999107 574096362 /nfs/dbraw/zinc/09/63/62/574096362.db2.gz LQOARODINIEBDR-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN COc1cc(C)cnc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000754515436 574099706 /nfs/dbraw/zinc/09/97/06/574099706.db2.gz RLFLRGMJCOOTHK-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN COC1(C(=O)Oc2cc([N+](=O)[O-])ccc2C)CCCC1 ZINC000754517077 574099986 /nfs/dbraw/zinc/09/99/86/574099986.db2.gz QZKODPWVDSITTP-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@H]2C2CC2)nc2ccccn21 ZINC000728567762 574099971 /nfs/dbraw/zinc/09/99/71/574099971.db2.gz YGXDZMROMVNEHS-NSHDSACASA-N 0 0 272.308 2.621 20 5 CFBDRN COC1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCCC1 ZINC000754532516 574100616 /nfs/dbraw/zinc/10/06/16/574100616.db2.gz YBJAATGLDXLGJP-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN C[C@@H]1CCCN(c2ccc([N+](=O)[O-])c3nonc32)CC1 ZINC000745170476 574104544 /nfs/dbraw/zinc/10/45/44/574104544.db2.gz MUNPLQHFZQKIQL-SECBINFHSA-N 0 0 276.296 2.757 20 5 CFBDRN COCCCCOC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000728767811 574107640 /nfs/dbraw/zinc/10/76/40/574107640.db2.gz NHBXPQVPXXRGGL-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)O[C@@H]1C=CCCC1 ZINC000745331014 574109684 /nfs/dbraw/zinc/10/96/84/574109684.db2.gz AUBZEAZRGZQOKI-CYBMUJFWSA-N 0 0 261.277 2.789 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)O[C@H]1C=CCCC1 ZINC000745331016 574109871 /nfs/dbraw/zinc/10/98/71/574109871.db2.gz AUBZEAZRGZQOKI-ZDUSSCGKSA-N 0 0 261.277 2.789 20 5 CFBDRN O=C(OCCCF)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000741439630 574112733 /nfs/dbraw/zinc/11/27/33/574112733.db2.gz AMFWBIXNSDMUPQ-UHFFFAOYSA-N 0 0 266.228 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCn3cccn3)sc2c1 ZINC000731209529 574112904 /nfs/dbraw/zinc/11/29/04/574112904.db2.gz PKYYQKZANCUZDG-UHFFFAOYSA-N 0 0 289.320 2.513 20 5 CFBDRN O=C(OCCCF)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000741443332 574114338 /nfs/dbraw/zinc/11/43/38/574114338.db2.gz AJZACBOURHUIAV-UHFFFAOYSA-N 0 0 266.228 2.593 20 5 CFBDRN Cc1cncc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)c1 ZINC000745507179 574114366 /nfs/dbraw/zinc/11/43/66/574114366.db2.gz HKYDPXXBACWFHN-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN CSC[C@H](C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000731387259 574119015 /nfs/dbraw/zinc/11/90/15/574119015.db2.gz CZJIAIFNADXVTC-JTQLQIEISA-N 0 0 299.348 2.516 20 5 CFBDRN CSC[C@@H](C)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000731392813 574119064 /nfs/dbraw/zinc/11/90/64/574119064.db2.gz VSSCYMKNKIHQOV-SNVBAGLBSA-N 0 0 299.348 2.516 20 5 CFBDRN CCC(CC)OC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000754754494 574119233 /nfs/dbraw/zinc/11/92/33/574119233.db2.gz ZRTYPNPPQPVUGI-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000731391834 574120036 /nfs/dbraw/zinc/12/00/36/574120036.db2.gz OFOPHCOZLIDJHB-VIFPVBQESA-N 0 0 269.322 2.637 20 5 CFBDRN CN(CC(=O)O[C@@H]1C=CCCC1)c1ccccc1[N+](=O)[O-] ZINC000745619517 574120450 /nfs/dbraw/zinc/12/04/50/574120450.db2.gz YWHDZQAFAFXDSC-GFCCVEGCSA-N 0 0 290.319 2.683 20 5 CFBDRN O=C(OC/C=C\Cl)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731529738 574123113 /nfs/dbraw/zinc/12/31/13/574123113.db2.gz DDLPIKBHXWBJKA-UPHRSURJSA-N 0 0 259.620 2.643 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@@H](C(F)(F)F)O1 ZINC000745975262 574130270 /nfs/dbraw/zinc/13/02/70/574130270.db2.gz HOVXMPBFULFCAO-KCJUWKMLSA-N 0 0 290.241 2.751 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755015845 574132557 /nfs/dbraw/zinc/13/25/57/574132557.db2.gz WLKVYQPZUPGHER-ANYFNZRUSA-N 0 0 277.276 2.519 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccon1 ZINC000755020329 574133150 /nfs/dbraw/zinc/13/31/50/574133150.db2.gz MBXUZNCXMORCKI-NTMALXAHSA-N 0 0 288.259 2.730 20 5 CFBDRN Cc1noc(C)c1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728991262 574144007 /nfs/dbraw/zinc/14/40/07/574144007.db2.gz WFWKILXYNBEIOD-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C1CCC1 ZINC000728989655 574144114 /nfs/dbraw/zinc/14/41/14/574144114.db2.gz CFUJNNYHXVIPGS-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1cscn1 ZINC000755250785 574149556 /nfs/dbraw/zinc/14/95/56/574149556.db2.gz ULQGVQCRSGMYCV-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000755252147 574150134 /nfs/dbraw/zinc/15/01/34/574150134.db2.gz YJILYCWYTZTJTF-NSHDSACASA-N 0 0 261.277 2.999 20 5 CFBDRN Cc1ccc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000755252013 574150256 /nfs/dbraw/zinc/15/02/56/574150256.db2.gz RAVFCGLIRUMPPB-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CN(C(=S)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCSC1 ZINC000755403181 574160024 /nfs/dbraw/zinc/16/00/24/574160024.db2.gz QUJCPTLNKNGIDH-LLVKDONJSA-N 0 0 297.405 2.729 20 5 CFBDRN O=C(NCCC1CCC1)c1csc([N+](=O)[O-])c1 ZINC000755504591 574166595 /nfs/dbraw/zinc/16/65/95/574166595.db2.gz VJEXOXBZMCOPDH-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN CC(C)CC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746900835 574170564 /nfs/dbraw/zinc/17/05/64/574170564.db2.gz AHXJFXDVRZZTEX-UHFFFAOYSA-N 0 0 255.245 2.823 20 5 CFBDRN CC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746910621 574170747 /nfs/dbraw/zinc/17/07/47/574170747.db2.gz VCIYBQZQLXFDPV-QMMMGPOBSA-N 0 0 255.245 2.823 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000729082081 574171020 /nfs/dbraw/zinc/17/10/20/574171020.db2.gz PRWFMEYJYZAGKM-SECBINFHSA-N 0 0 254.286 2.525 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000729088140 574172798 /nfs/dbraw/zinc/17/27/98/574172798.db2.gz QDXBNNWTKZWDJP-SCZZXKLOSA-N 0 0 274.276 2.889 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000729087891 574172898 /nfs/dbraw/zinc/17/28/98/574172898.db2.gz UJZXVFWMYSTQBO-ZYHUDNBSSA-N 0 0 288.303 2.749 20 5 CFBDRN O=C(COC1CCCC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000746968812 574173563 /nfs/dbraw/zinc/17/35/63/574173563.db2.gz QLMPATWVRUSUKB-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN CCO[C@H](CC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746970382 574173593 /nfs/dbraw/zinc/17/35/93/574173593.db2.gz FTOUVIANTGTMBQ-GFCCVEGCSA-N 0 0 285.271 2.592 20 5 CFBDRN CCCC(=O)COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000738573216 574173826 /nfs/dbraw/zinc/17/38/26/574173826.db2.gz ITJRGJWUUXONAK-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN Cc1cncc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)c1 ZINC000746991183 574174844 /nfs/dbraw/zinc/17/48/44/574174844.db2.gz ALIKKHGOWJXORR-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000746992362 574174955 /nfs/dbraw/zinc/17/49/55/574174955.db2.gz BDZQHYMVTJRAEQ-LURJTMIESA-N 0 0 295.188 2.976 20 5 CFBDRN CCc1ccc(C(=O)OCC(C)C)cc1[N+](=O)[O-] ZINC000747010221 574175144 /nfs/dbraw/zinc/17/51/44/574175144.db2.gz IEMNQPLNLFERFU-UHFFFAOYSA-N 0 0 251.282 2.970 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)C(C)C)cc1[N+](=O)[O-] ZINC000747010615 574175382 /nfs/dbraw/zinc/17/53/82/574175382.db2.gz JTINFGHRAKLUCL-UHFFFAOYSA-N 0 0 279.292 2.539 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)C1CCSCC1 ZINC000732936545 574179665 /nfs/dbraw/zinc/17/96/65/574179665.db2.gz BRDKEVYYUZLUQD-UHFFFAOYSA-N 0 0 281.333 2.781 20 5 CFBDRN COCC[C@@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755744430 574183825 /nfs/dbraw/zinc/18/38/25/574183825.db2.gz BSDROPYQMCLRDV-NXEZZACHSA-N 0 0 299.298 2.806 20 5 CFBDRN COCC[C@@H](C)OC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755747501 574184230 /nfs/dbraw/zinc/18/42/30/574184230.db2.gz LFRXLEBIRSSVJG-NLYDNYMLSA-N 0 0 293.319 2.966 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCSC(C)(C)C ZINC000733063778 574184937 /nfs/dbraw/zinc/18/49/37/574184937.db2.gz GZZSCDCMZRIWEI-UHFFFAOYSA-N 0 0 286.353 2.622 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)C1CC1 ZINC000755763058 574185267 /nfs/dbraw/zinc/18/52/67/574185267.db2.gz OVWSEUGAXJGYSC-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN CC[S@](=O)CCNc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000747217443 574187530 /nfs/dbraw/zinc/18/75/30/574187530.db2.gz HZRMOPRLWWIIBT-SFHVURJKSA-N 0 0 290.772 2.737 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC(C)(C)F ZINC000755853742 574192333 /nfs/dbraw/zinc/19/23/33/574192333.db2.gz WKFFVHGQVPIDQR-UHFFFAOYSA-N 0 0 271.244 2.508 20 5 CFBDRN CC(=O)CCCOC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755058609 574196571 /nfs/dbraw/zinc/19/65/71/574196571.db2.gz AALIOQDZRADIMR-SNVBAGLBSA-N 0 0 297.282 2.750 20 5 CFBDRN Cc1nn(CN2Cc3ccccc3[C@@H]2C)c(C)c1[N+](=O)[O-] ZINC000747464804 574196840 /nfs/dbraw/zinc/19/68/40/574196840.db2.gz XWIWBTQLKDSUFE-NSHDSACASA-N 0 0 286.335 2.942 20 5 CFBDRN CC(C)[C@@H]1CC[N@H+](Cn2ncc3ccc([N+](=O)[O-])cc32)C1 ZINC000755919140 574197678 /nfs/dbraw/zinc/19/76/78/574197678.db2.gz HYMCWIYMGINPFE-CYBMUJFWSA-N 0 0 288.351 2.880 20 5 CFBDRN C[C@]1(Nc2nc3ccc([N+](=O)[O-])cc3s2)CCOC1 ZINC000755930555 574198746 /nfs/dbraw/zinc/19/87/46/574198746.db2.gz MKAGIHYLNJVCKW-LBPRGKRZSA-N 0 0 279.321 2.795 20 5 CFBDRN C[C@H](C1CC1)N(C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000733187928 574209509 /nfs/dbraw/zinc/20/95/09/574209509.db2.gz WZLSVWSQSMPONC-SECBINFHSA-N 0 0 289.335 2.714 20 5 CFBDRN O=C(OC1CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000747771012 574211675 /nfs/dbraw/zinc/21/16/75/574211675.db2.gz ONWCCHROGFWGLZ-UHFFFAOYSA-N 0 0 260.249 2.785 20 5 CFBDRN CO[C@H](CNc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000733302625 574216135 /nfs/dbraw/zinc/21/61/35/574216135.db2.gz GUVQBXHRPLJEQH-SECBINFHSA-N 0 0 264.203 2.584 20 5 CFBDRN CCc1ocnc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000739538358 574217387 /nfs/dbraw/zinc/21/73/87/574217387.db2.gz KNPLXDGVCHYLSO-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN CCc1ccc(OC(=O)C[C@@H](C)COC)c([N+](=O)[O-])c1 ZINC000756283115 574221559 /nfs/dbraw/zinc/22/15/59/574221559.db2.gz APDGVJULLMIPNB-SNVBAGLBSA-N 0 0 281.308 2.735 20 5 CFBDRN CCc1ccc(OC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000755241887 574224067 /nfs/dbraw/zinc/22/40/67/574224067.db2.gz AAKPQBGMESPRPZ-UHFFFAOYSA-N 0 0 274.276 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(Nc3cn[nH]c3)sc2c1 ZINC000729630324 574225629 /nfs/dbraw/zinc/22/56/29/574225629.db2.gz DHGFMKWKBGYBOE-UHFFFAOYSA-N 0 0 261.266 2.671 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000748101713 574229163 /nfs/dbraw/zinc/22/91/63/574229163.db2.gz KCVCSCYUBLSRHN-SECBINFHSA-N 0 0 266.297 2.982 20 5 CFBDRN C[C@@H](CC(=O)OCCn1ccc([N+](=O)[O-])n1)CC(C)(C)C ZINC000748116962 574230190 /nfs/dbraw/zinc/23/01/90/574230190.db2.gz UGHFVCOQSAIHJD-NSHDSACASA-N 0 0 297.355 2.797 20 5 CFBDRN C[C@H]1CCCC[C@H]1CC(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000748124321 574230572 /nfs/dbraw/zinc/23/05/72/574230572.db2.gz ZCGOVENTAIPUKA-RYUDHWBXSA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CC(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000748124319 574230714 /nfs/dbraw/zinc/23/07/14/574230714.db2.gz ZCGOVENTAIPUKA-NWDGAFQWSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000756375179 574230772 /nfs/dbraw/zinc/23/07/72/574230772.db2.gz RTGYGARSILEHIG-MRVPVSSYSA-N 0 0 252.270 2.501 20 5 CFBDRN O=C(/C=C\C1CC1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000745556844 574232842 /nfs/dbraw/zinc/23/28/42/574232842.db2.gz NTSXZOGLUZGDIS-YVMONPNESA-N 0 0 261.277 2.647 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1cccnc1 ZINC000733422845 574233699 /nfs/dbraw/zinc/23/36/99/574233699.db2.gz BMKHRCQJZKVZGP-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@@H]1C=CCCC1 ZINC000733423811 574233889 /nfs/dbraw/zinc/23/38/89/574233889.db2.gz LLCABFXXNFMEFI-LLVKDONJSA-N 0 0 262.265 2.564 20 5 CFBDRN CC[C@@H](C)OC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000729893995 574236048 /nfs/dbraw/zinc/23/60/48/574236048.db2.gz SZCGYNQARHKFOM-SNVBAGLBSA-N 0 0 267.281 2.705 20 5 CFBDRN Nc1c(C(=O)O[C@H]2C=CCCC2)cc(F)cc1[N+](=O)[O-] ZINC000733457008 574239682 /nfs/dbraw/zinc/23/96/82/574239682.db2.gz SSAKFOUGCWEWHE-VIFPVBQESA-N 0 0 280.255 2.582 20 5 CFBDRN C[C@H](COC(=O)Cc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000748217974 574240324 /nfs/dbraw/zinc/24/03/24/574240324.db2.gz ZOICNUUNBHCMIG-MRVPVSSYSA-N 0 0 291.225 2.879 20 5 CFBDRN C[C@@H](COC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000748216941 574240354 /nfs/dbraw/zinc/24/03/54/574240354.db2.gz KPXMOAOJPHOQSL-ZETCQYMHSA-N 0 0 277.198 2.950 20 5 CFBDRN CC(C)OCCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000733493905 574242029 /nfs/dbraw/zinc/24/20/29/574242029.db2.gz AXMXIUKGGXOLCW-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN O=C(C[C@H]1CCCCO1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000756523607 574243814 /nfs/dbraw/zinc/24/38/14/574243814.db2.gz ZKZGCOSSQSHBPZ-SNVBAGLBSA-N 0 0 297.282 2.736 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C(F)(F)c1nccs1 ZINC000756628708 574253608 /nfs/dbraw/zinc/25/36/08/574253608.db2.gz RTGFXJIHHUKNSP-UHFFFAOYSA-N 0 0 299.258 2.782 20 5 CFBDRN CC(C)c1cc(C(=O)Oc2cccc([N+](=O)[O-])c2)on1 ZINC000733755375 574255922 /nfs/dbraw/zinc/25/59/22/574255922.db2.gz XSCIPHYWYSUODI-UHFFFAOYSA-N 0 0 276.248 2.925 20 5 CFBDRN COC(=O)CCC(C)(C)[NH2+]Cc1cccc([N+](=O)[O-])c1C ZINC000733897024 574263569 /nfs/dbraw/zinc/26/35/69/574263569.db2.gz HXKJQCFNZWSYOS-UHFFFAOYSA-N 0 0 294.351 2.725 20 5 CFBDRN CCCOCC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000749058052 574278202 /nfs/dbraw/zinc/27/82/02/574278202.db2.gz WCNKCZRHPFTMNL-SNVBAGLBSA-N 0 0 267.281 2.626 20 5 CFBDRN C[C@@H](OC(=O)c1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000749053214 574278204 /nfs/dbraw/zinc/27/82/04/574278204.db2.gz CTXNMMJAXOCIFR-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN C[C@@H](CNc1nc2ccccn2c1[N+](=O)[O-])c1ccncc1 ZINC000749286145 574288130 /nfs/dbraw/zinc/28/81/30/574288130.db2.gz KKNGIXKXJLWJDM-NSHDSACASA-N 0 0 297.318 2.853 20 5 CFBDRN O=C(OC/C=C\Cl)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000749314196 574289121 /nfs/dbraw/zinc/28/91/21/574289121.db2.gz ALKSJRSBUUWCHX-RJRFIUFISA-N 0 0 280.667 2.985 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCCCF ZINC000741444515 574290284 /nfs/dbraw/zinc/29/02/84/574290284.db2.gz PEZXAKLFHJSCFO-VIFPVBQESA-N 0 0 287.312 2.978 20 5 CFBDRN O=C(C[C@H]1CCCO1)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734890663 574306608 /nfs/dbraw/zinc/30/66/08/574306608.db2.gz HRWYTBGLAHWNEP-LLVKDONJSA-N 0 0 299.710 2.861 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734883788 574306807 /nfs/dbraw/zinc/30/68/07/574306807.db2.gz QMBAWYIBOYKWHF-XCBNKYQSSA-N 0 0 269.684 2.947 20 5 CFBDRN CO[C@@H](C)CC(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734895245 574307170 /nfs/dbraw/zinc/30/71/70/574307170.db2.gz XPSFYNKNIUIETK-QMMMGPOBSA-N 0 0 287.699 2.716 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1Cc2ccccc21 ZINC000741885486 574309033 /nfs/dbraw/zinc/30/90/33/574309033.db2.gz RTHMNOUIOMHLAR-OAHLLOKOSA-N 0 0 283.283 2.978 20 5 CFBDRN C[C@@H](ONC(=O)C1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000749792545 574309741 /nfs/dbraw/zinc/30/97/41/574309741.db2.gz WYNVMUNTASURQK-SNVBAGLBSA-N 0 0 278.308 2.894 20 5 CFBDRN CC(C)n1ccc(COC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000735124613 574313911 /nfs/dbraw/zinc/31/39/11/574313911.db2.gz RDSOXSFWHXHFCB-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN C[C@H](COC(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000735132415 574314221 /nfs/dbraw/zinc/31/42/21/574314221.db2.gz YFRDGKSRYKURDC-LLVKDONJSA-N 0 0 288.303 2.894 20 5 CFBDRN Cc1cccc(N)c1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000735146810 574315254 /nfs/dbraw/zinc/31/52/54/574315254.db2.gz HIDCIIWQQHKAEJ-UHFFFAOYSA-N 0 0 292.316 2.904 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@H]3CCCC[C@@H]3C2)nc2ccccn21 ZINC000750031209 574321979 /nfs/dbraw/zinc/32/19/79/574321979.db2.gz LYIBPDJWVFPGOT-VXGBXAGGSA-N 0 0 286.335 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3cn[nH]c3)CC2)s1 ZINC000742399227 574327863 /nfs/dbraw/zinc/32/78/63/574327863.db2.gz PREIFFOMWMTCSL-UHFFFAOYSA-N 0 0 278.337 2.763 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H](OC)C(F)(F)F)c1 ZINC000742405911 574328226 /nfs/dbraw/zinc/32/82/26/574328226.db2.gz OXNKYSKSAKAWAA-JTQLQIEISA-N 0 0 294.229 2.593 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H](O)c2ccc(C)o2)c1 ZINC000742409433 574328471 /nfs/dbraw/zinc/32/84/71/574328471.db2.gz DREPHMWPYHUUIA-CYBMUJFWSA-N 0 0 292.291 2.650 20 5 CFBDRN Cc1ccc(OC(=O)c2ncccc2C)c([N+](=O)[O-])c1 ZINC000750169817 574329212 /nfs/dbraw/zinc/32/92/12/574329212.db2.gz ZJVLABDMQZUBSM-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CCCCOCC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000735729881 574334417 /nfs/dbraw/zinc/33/44/17/574334417.db2.gz FNSWFYXAPVPRRY-UHFFFAOYSA-N 0 0 273.310 2.516 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)OCC1CC=CC1 ZINC000750359839 574335301 /nfs/dbraw/zinc/33/53/01/574335301.db2.gz HXVRXKWXKSIMNX-UHFFFAOYSA-N 0 0 295.266 2.622 20 5 CFBDRN Cc1ccc([C@@H](C)C(=O)NCc2cccc([N+](=O)[O-])c2)o1 ZINC000742591014 574335818 /nfs/dbraw/zinc/33/58/18/574335818.db2.gz VWESRBKPCBGROO-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN Cc1cc(C(=O)NCCNc2ccccc2)ccc1[N+](=O)[O-] ZINC000735825065 574337211 /nfs/dbraw/zinc/33/72/11/574337211.db2.gz CSFZUMLXTQDDEX-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN CO[C@@H](C)CC(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735902139 574342641 /nfs/dbraw/zinc/34/26/41/574342641.db2.gz ZZXRLOCZDAWTHM-QMMMGPOBSA-N 0 0 287.699 2.716 20 5 CFBDRN Cc1[nH]ccc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000750532841 574346218 /nfs/dbraw/zinc/34/62/18/574346218.db2.gz LKXDOKYJYPJAFP-UHFFFAOYSA-N 0 0 274.276 2.631 20 5 CFBDRN CC(C)C(=O)COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750542149 574346920 /nfs/dbraw/zinc/34/69/20/574346920.db2.gz SGHHYCULOBFKFK-UHFFFAOYSA-N 0 0 285.683 2.630 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCCCN1C)c1cccc([N+](=O)[O-])c1 ZINC000745080408 574347044 /nfs/dbraw/zinc/34/70/44/574347044.db2.gz AGFCOGNNDBAOJO-RISCZKNCSA-N 0 0 292.335 2.683 20 5 CFBDRN C/C(=C\C(=O)OCCOc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000742888713 574347183 /nfs/dbraw/zinc/34/71/83/574347183.db2.gz XEBSIFBHWWDUDD-ZHACJKMWSA-N 0 0 291.303 2.873 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000736054626 574349244 /nfs/dbraw/zinc/34/92/44/574349244.db2.gz GSJVXVNMXMMFGZ-ZETCQYMHSA-N 0 0 274.367 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2ccccc2)nc1 ZINC000736294450 574360202 /nfs/dbraw/zinc/36/02/02/574360202.db2.gz KLNVJGHIUKRBBJ-UHFFFAOYSA-N 0 0 258.281 2.514 20 5 CFBDRN C/C=C(\C)C(=O)OCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000750896710 574360269 /nfs/dbraw/zinc/36/02/69/574360269.db2.gz UCCWIWJCHRFKHO-RUDMXATFSA-N 0 0 265.265 2.613 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000743461175 574365644 /nfs/dbraw/zinc/36/56/44/574365644.db2.gz VTKCSVLYDZTNNX-NXEZZACHSA-N 0 0 270.304 2.943 20 5 CFBDRN C[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)c1cnccn1 ZINC000751166507 574370744 /nfs/dbraw/zinc/37/07/44/574370744.db2.gz FURLNLSZMUJVHJ-ZPMKCOPCSA-N 0 0 299.286 2.702 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000751216383 574372144 /nfs/dbraw/zinc/37/21/44/574372144.db2.gz HHSOUDJPUNVWCQ-UHFFFAOYSA-N 0 0 273.292 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(OCC(F)F)C2)c(Cl)c1 ZINC000751257676 574373025 /nfs/dbraw/zinc/37/30/25/574373025.db2.gz CYGHBFNZLMPHLR-UHFFFAOYSA-N 0 0 292.669 2.719 20 5 CFBDRN CC(C)=CC(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751624764 574380202 /nfs/dbraw/zinc/38/02/02/574380202.db2.gz SMPNMZFOPQBNCL-UHFFFAOYSA-N 0 0 276.248 2.745 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751637788 574380513 /nfs/dbraw/zinc/38/05/13/574380513.db2.gz OKBYGXFVMKANSK-MRVPVSSYSA-N 0 0 278.264 2.825 20 5 CFBDRN O=C(/C=C\C1CC1)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751665167 574380708 /nfs/dbraw/zinc/38/07/08/574380708.db2.gz DXPNLRLSIOGRKP-UTCJRWHESA-N 0 0 288.259 2.745 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)[C@H]1C[C@H]1C1CC1 ZINC000751745658 574382100 /nfs/dbraw/zinc/38/21/00/574382100.db2.gz MOLHJDIJJKYCAX-KBPBESRZSA-N 0 0 291.303 2.563 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000751798988 574383102 /nfs/dbraw/zinc/38/31/02/574383102.db2.gz QWOGMJOAHLUTAL-GFCCVEGCSA-N 0 0 279.292 2.563 20 5 CFBDRN CC[C@@H](C)OCC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000751873634 574384065 /nfs/dbraw/zinc/38/40/65/574384065.db2.gz FJKPVEDGJYAJGG-MRVPVSSYSA-N 0 0 273.310 2.515 20 5 CFBDRN CC1CC(NC(=S)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000751902932 574384707 /nfs/dbraw/zinc/38/47/07/574384707.db2.gz JCONTJAZVQMLCL-UHFFFAOYSA-N 0 0 265.338 2.680 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])[C@H](O)c1cccc(F)c1 ZINC000751964252 574385929 /nfs/dbraw/zinc/38/59/29/574385929.db2.gz CBWPTXOGLUTVRL-RNCFNFMXSA-N 0 0 291.282 2.663 20 5 CFBDRN Cc1ncc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])s1 ZINC000752413364 574391155 /nfs/dbraw/zinc/39/11/55/574391155.db2.gz KOTLDWIISMAKFM-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN C/C(=C\C(=O)NCCn1cccc1)c1ccc([N+](=O)[O-])cc1 ZINC000752485850 574391778 /nfs/dbraw/zinc/39/17/78/574391778.db2.gz RSNJDFWKZFAUPM-OUKQBFOZSA-N 0 0 299.330 2.616 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCC1(C)CCCC1 ZINC000752545502 574392656 /nfs/dbraw/zinc/39/26/56/574392656.db2.gz JAHLGTMBLJVLDV-UHFFFAOYSA-N 0 0 266.297 2.670 20 5 CFBDRN CCCCOC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000752552161 574392714 /nfs/dbraw/zinc/39/27/14/574392714.db2.gz CWZIODZAPCBREH-UHFFFAOYSA-N 0 0 255.245 2.999 20 5 CFBDRN Cc1cc(COC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])on1 ZINC000752556594 574392717 /nfs/dbraw/zinc/39/27/17/574392717.db2.gz DWISQAIHGFXLRL-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN O=C(OCc1ccccn1)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752558656 574392732 /nfs/dbraw/zinc/39/27/32/574392732.db2.gz JCLUDMSNZVHKDU-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC/C=C\Cl)c1[N+](=O)[O-] ZINC000752552907 574392753 /nfs/dbraw/zinc/39/27/53/574392753.db2.gz GBJISDZNSYZFQC-IHWYPQMZSA-N 0 0 273.647 2.952 20 5 CFBDRN CSCCNC(=S)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000752727493 574394028 /nfs/dbraw/zinc/39/40/28/574394028.db2.gz KAKRQHVUDQTJQN-UHFFFAOYSA-N 0 0 285.394 2.553 20 5 CFBDRN CS/C=C\C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000752746926 574394576 /nfs/dbraw/zinc/39/45/76/574394576.db2.gz QWUJKSKDZRGABP-GFVADAIESA-N 0 0 280.349 2.957 20 5 CFBDRN Cc1cnc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cn1 ZINC000752980185 574397103 /nfs/dbraw/zinc/39/71/03/574397103.db2.gz SUMRXVKTXJYDRO-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1nc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)co1 ZINC000753005429 574397460 /nfs/dbraw/zinc/39/74/60/574397460.db2.gz UXMIJTGZHMSFMW-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CCCC(CCC)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000753439692 574402438 /nfs/dbraw/zinc/40/24/38/574402438.db2.gz QYIUURRPHGWNMK-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN COC1(C(=O)OCCc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000753503543 574403417 /nfs/dbraw/zinc/40/34/17/574403417.db2.gz MNGHBAUMGWUYHH-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN C[C@H]([NH2+]CCCCC(=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000820141383 598171340 /nfs/dbraw/zinc/17/13/40/598171340.db2.gz BBACZPNQTMSRPN-JTQLQIEISA-N 0 0 266.297 2.500 20 5 CFBDRN CCC(CC)(CNC(=O)[O-])[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000739254575 602571084 /nfs/dbraw/zinc/57/10/84/602571084.db2.gz PWTIOAJZSAMUTG-UHFFFAOYSA-N 0 0 295.339 2.511 20 5 CFBDRN CC1=CCCN(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935792154 649945843 /nfs/dbraw/zinc/94/58/43/649945843.db2.gz OVMIZEAOTZLXSG-CABCVRRESA-N 0 0 286.331 2.877 20 5 CFBDRN CC(C)C[C@H](C)Nc1ccc([N+](=O)[O-])cc1-c1nn[nH]n1 ZINC000824229873 607731231 /nfs/dbraw/zinc/73/12/31/607731231.db2.gz WQDUDUXHOJZFAQ-VIFPVBQESA-N 0 0 290.327 2.621 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[N@H+](C)CCCNC(=O)[O-] ZINC000833907308 605547571 /nfs/dbraw/zinc/54/75/71/605547571.db2.gz GDSRZAXKWAWNMW-LLVKDONJSA-N 0 0 295.339 2.554 20 5 CFBDRN COc1ccc(Cn2cc(C3CCC3)nn2)cc1[N+](=O)[O-] ZINC000900533152 620687259 /nfs/dbraw/zinc/68/72/59/620687259.db2.gz WYQOHQYMCNEEPJ-UHFFFAOYSA-N 0 0 288.307 2.511 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCC[C@H]2C[C@H]21 ZINC000935923471 649961095 /nfs/dbraw/zinc/96/10/95/649961095.db2.gz NUUORGJGCCIWIJ-ZGKBOVNRSA-N 0 0 286.331 2.709 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2cc(C3CCC3)nn2)cs1 ZINC000900532544 620687228 /nfs/dbraw/zinc/68/72/28/620687228.db2.gz NSRALPLDPAULBL-UHFFFAOYSA-N 0 0 264.310 2.564 20 5 CFBDRN Nc1ccc(NC(=O)C[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000936023450 649976039 /nfs/dbraw/zinc/97/60/39/649976039.db2.gz GMBGRKLFQLRKBA-JTQLQIEISA-N 0 0 275.308 2.862 20 5 CFBDRN CCCOC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000306106193 617799205 /nfs/dbraw/zinc/79/92/05/617799205.db2.gz SMILIIIEDMXEDZ-VIFPVBQESA-N 0 0 252.270 2.792 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2c([N+](=O)[O-])cnn2C)s1 ZINC000891963139 617880888 /nfs/dbraw/zinc/88/08/88/617880888.db2.gz BGWZXXLVTNWJPJ-MRVPVSSYSA-N 0 0 266.326 2.871 20 5 CFBDRN CCN(c1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1)C(C)C ZINC000813108399 617933767 /nfs/dbraw/zinc/93/37/67/617933767.db2.gz XUNKKDQQSAUWIK-LBPRGKRZSA-N 0 0 294.351 2.743 20 5 CFBDRN C[C@@H](C(=O)NC/C=C/Cl)c1cccc([N+](=O)[O-])c1 ZINC000891200696 618038263 /nfs/dbraw/zinc/03/82/63/618038263.db2.gz DYMHHTJPHYOWFY-BSPAPZMXSA-N 0 0 268.700 2.567 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@]12C[C@H]1CCC2 ZINC000924432743 618078709 /nfs/dbraw/zinc/07/87/09/618078709.db2.gz NBKWHEBCEYXEMV-IAQYHMDHSA-N 0 0 291.303 2.837 20 5 CFBDRN CCCCCCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049397187 617603227 /nfs/dbraw/zinc/60/32/27/617603227.db2.gz LWMJESDNPAXFBH-UHFFFAOYSA-N 0 0 279.340 2.974 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)nc1 ZINC000120117811 617612682 /nfs/dbraw/zinc/61/26/82/617612682.db2.gz UMPVSQZIVFSISS-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CN(Cc1ccc(Cl)s1)c1c([N+](=O)[O-])cnn1C ZINC000891533934 617653712 /nfs/dbraw/zinc/65/37/12/617653712.db2.gz HEKIEVPRVSAFCP-UHFFFAOYSA-N 0 0 286.744 2.680 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000175727583 617659526 /nfs/dbraw/zinc/65/95/26/617659526.db2.gz ZAKRNDNGCOQCMT-NSHDSACASA-N 0 0 297.282 2.768 20 5 CFBDRN COc1ccc(CN(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000891622327 617689445 /nfs/dbraw/zinc/68/94/45/617689445.db2.gz WYLOIAVQPHVUFL-UHFFFAOYSA-N 0 0 276.292 2.828 20 5 CFBDRN COC(=O)CSCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000036336620 617695812 /nfs/dbraw/zinc/69/58/12/617695812.db2.gz OTNLQULYXUCNEE-UHFFFAOYSA-N 0 0 275.713 2.654 20 5 CFBDRN CC(C)Oc1ccc(CNc2c([N+](=O)[O-])cnn2C)cc1 ZINC000891725365 617729909 /nfs/dbraw/zinc/72/99/09/617729909.db2.gz UDZHXSIUOLSPMR-UHFFFAOYSA-N 0 0 290.323 2.728 20 5 CFBDRN O=Cc1ccccc1OCCOc1ccccc1[N+](=O)[O-] ZINC000060800856 617785803 /nfs/dbraw/zinc/78/58/03/617785803.db2.gz YLKHKMNMDJIPLY-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN CCOc1ccc(C(=O)O[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000121610403 617794321 /nfs/dbraw/zinc/79/43/21/617794321.db2.gz XTRIBQKFZNZVRS-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCCC2(F)F)nc2ccccn21 ZINC000804687585 617811585 /nfs/dbraw/zinc/81/15/85/617811585.db2.gz CRZRCAYOIPPOGJ-QMMMGPOBSA-N 0 0 282.250 2.842 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@H]2C[C@H]21 ZINC000804688707 617812197 /nfs/dbraw/zinc/81/21/97/617812197.db2.gz QQLSRDXZYAKSRB-WRWORJQWSA-N 0 0 296.710 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCO[C@H](C(F)F)C1 ZINC000804694236 617816350 /nfs/dbraw/zinc/81/63/50/617816350.db2.gz PJSYXTOZDIKOEX-JTQLQIEISA-N 0 0 292.669 2.719 20 5 CFBDRN Cc1nc(COC(=O)c2ccc([N+](=O)[O-])s2)cs1 ZINC000003496355 617820480 /nfs/dbraw/zinc/82/04/80/617820480.db2.gz MTBMJAYTAOOIPW-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CC=CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000890766880 617855097 /nfs/dbraw/zinc/85/50/97/617855097.db2.gz JSSVDLWOGXWOFN-ZYHUDNBSSA-N 0 0 290.319 2.688 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCn2cccc2C1 ZINC000932529186 617858240 /nfs/dbraw/zinc/85/82/40/617858240.db2.gz JATKQVSMWQMNLA-LBPRGKRZSA-N 0 0 271.320 2.973 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000121652086 617860121 /nfs/dbraw/zinc/86/01/21/617860121.db2.gz GFWNIONPVHGTFK-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC=CC[C@H]1C ZINC000890770769 617866647 /nfs/dbraw/zinc/86/66/47/617866647.db2.gz SPLSSSUTAIGWBO-ZWNOBZJWSA-N 0 0 290.319 2.688 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000890770979 617867852 /nfs/dbraw/zinc/86/78/52/617867852.db2.gz HNYDLCLWNWHXFM-BXKDBHETSA-N 0 0 278.283 2.818 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CC=CC[C@H]2C)cc1[N+](=O)[O-] ZINC000890772481 617870795 /nfs/dbraw/zinc/87/07/95/617870795.db2.gz VQDUZNLOFLVXCM-ZYHUDNBSSA-N 0 0 290.319 2.688 20 5 CFBDRN Cc1ccc([C@H](O)[C@@H](C)Nc2ncccc2[N+](=O)[O-])cc1 ZINC000804809396 617891490 /nfs/dbraw/zinc/89/14/90/617891490.db2.gz AGLMFVNFQCAEAP-BXUZGUMPSA-N 0 0 287.319 2.832 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000049757581 617892639 /nfs/dbraw/zinc/89/26/39/617892639.db2.gz QXCJCEAIMJQRND-JQWIXIFHSA-N 0 0 291.351 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H]2CC(F)F)c(F)c1 ZINC000804825714 617902543 /nfs/dbraw/zinc/90/25/43/617902543.db2.gz CXLFVKFTYATYLF-SECBINFHSA-N 0 0 290.241 2.594 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000934133435 617914333 /nfs/dbraw/zinc/91/43/33/617914333.db2.gz YWSUBSBSVCUMLK-STQMWFEESA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCc1cccnc1C ZINC000890836053 617920423 /nfs/dbraw/zinc/92/04/23/617920423.db2.gz UBSODQHZFPNCFB-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@@H](COC(=O)C1(c2ccc(Cl)cc2)CC1)[N+](=O)[O-] ZINC000813103386 617924478 /nfs/dbraw/zinc/92/44/78/617924478.db2.gz PPDJXIYCVZPOIK-VIFPVBQESA-N 0 0 283.711 2.580 20 5 CFBDRN C/C(=C\C(=O)OC[C@@H](C)[N+](=O)[O-])c1cccc(Cl)c1 ZINC000813105017 617926232 /nfs/dbraw/zinc/92/62/32/617926232.db2.gz JDNHNQYSRLELHC-OLKPEBQYSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@H](CNC(=O)NC[C@H]1C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000892061968 617931973 /nfs/dbraw/zinc/93/19/73/617931973.db2.gz WWUIZSCKZFQVLQ-QJPTWQEYSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@H](CCC(=O)OC[C@@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813107982 617933332 /nfs/dbraw/zinc/93/33/32/617933332.db2.gz SQOFDHWGGYUXTG-VXGBXAGGSA-N 0 0 265.309 2.779 20 5 CFBDRN COC(=O)/C=C\c1ccc(N[C@@H]2CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000804841167 617937590 /nfs/dbraw/zinc/93/75/90/617937590.db2.gz WHVUZVSNVQDREF-GMYULJSYSA-N 0 0 290.319 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\Sc2nncn2C2CC2)o1 ZINC000255088521 617959019 /nfs/dbraw/zinc/95/90/19/617959019.db2.gz GRVVFYHRCDWTMJ-WAYWQWQTSA-N 0 0 278.293 2.877 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H](C)CO1 ZINC000891030287 617976673 /nfs/dbraw/zinc/97/66/73/617976673.db2.gz QYLDOYFILLOJAF-TVQRCGJNSA-N 0 0 278.308 2.521 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1ccnc(Cl)c1 ZINC000051395949 617978227 /nfs/dbraw/zinc/97/82/27/617978227.db2.gz DBCYOJFJXUZHLP-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCCCF)c1C ZINC000891081819 617995185 /nfs/dbraw/zinc/99/51/85/617995185.db2.gz BXTHSPPLXIQGSL-UHFFFAOYSA-N 0 0 268.288 2.691 20 5 CFBDRN CCC1(CC(=O)OCc2ccc(C)c([N+](=O)[O-])c2)COC1 ZINC000924340004 618008901 /nfs/dbraw/zinc/00/89/01/618008901.db2.gz DIRSMJJFRNNSHJ-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN C[C@@H]1CCc2ccccc2N1Cn1cc([N+](=O)[O-])cn1 ZINC000158094991 618027040 /nfs/dbraw/zinc/02/70/40/618027040.db2.gz HXGRYBATONRRHD-LLVKDONJSA-N 0 0 272.308 2.590 20 5 CFBDRN C[C@@H](C(=O)NC/C=C/Cl)c1ccc([N+](=O)[O-])cc1F ZINC000891205141 618042047 /nfs/dbraw/zinc/04/20/47/618042047.db2.gz PXNJNYYRZRJHSK-IBDUZEINSA-N 0 0 286.690 2.706 20 5 CFBDRN COC(C)(C)CCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000230401482 618048367 /nfs/dbraw/zinc/04/83/67/618048367.db2.gz XPBIAGZQGYXPFK-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN Cc1ccc(OC(=O)/C=C\c2cccnc2)cc1[N+](=O)[O-] ZINC000255511000 618061304 /nfs/dbraw/zinc/06/13/04/618061304.db2.gz VLNYIUPHFVMJAO-ALCCZGGFSA-N 0 0 284.271 2.917 20 5 CFBDRN O=C(Nc1ccncc1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037299 618061927 /nfs/dbraw/zinc/06/19/27/618061927.db2.gz ZZBJJPNBGORHJH-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@]12C[C@H]1CCC2 ZINC000924430070 618063899 /nfs/dbraw/zinc/06/38/99/618063899.db2.gz HJHSMIVAVOCZGG-IUODEOHRSA-N 0 0 275.304 2.871 20 5 CFBDRN O=C(/C=C\c1cccnc1)OCc1ccc([N+](=O)[O-])cc1 ZINC000255182386 618063972 /nfs/dbraw/zinc/06/39/72/618063972.db2.gz HJUUQYYOOZELCU-YVMONPNESA-N 0 0 284.271 2.746 20 5 CFBDRN CC[C@H](C)N(C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000160440080 618064815 /nfs/dbraw/zinc/06/48/15/618064815.db2.gz WETKNVPKYNFEOG-VIFPVBQESA-N 0 0 277.324 2.714 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000177740653 618067297 /nfs/dbraw/zinc/06/72/97/618067297.db2.gz ALGZGBRJEJGECI-MRVPVSSYSA-N 0 0 252.270 2.522 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CC[C@H](SC)C1 ZINC000234211540 618068652 /nfs/dbraw/zinc/06/86/52/618068652.db2.gz QQICANKQXGKMCF-ZJUUUORDSA-N 0 0 284.385 2.816 20 5 CFBDRN Cc1cc(F)cc(C(=O)Nc2ccncc2)c1[N+](=O)[O-] ZINC000176697916 618071020 /nfs/dbraw/zinc/07/10/20/618071020.db2.gz BMEDJCOSPFCSCK-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC(C)(C)CC1 ZINC000892280915 618086704 /nfs/dbraw/zinc/08/67/04/618086704.db2.gz PGVAQSHLMGMDKV-UHFFFAOYSA-N 0 0 265.313 2.625 20 5 CFBDRN C[C@H](CN(C)c1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000892294673 618095194 /nfs/dbraw/zinc/09/51/94/618095194.db2.gz WRJUFPJWUYSONU-LLVKDONJSA-N 0 0 274.324 2.568 20 5 CFBDRN O=C(NC1CC=CC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000934688821 618107915 /nfs/dbraw/zinc/10/79/15/618107915.db2.gz XGYRFVCGAIISHQ-KGLIPLIRSA-N 0 0 272.304 2.533 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCCC(C)C)c1[N+](=O)[O-] ZINC000176684473 618153704 /nfs/dbraw/zinc/15/37/04/618153704.db2.gz GJZPPGFNEQBPJS-UHFFFAOYSA-N 0 0 268.288 2.818 20 5 CFBDRN Cc1ccccc1OCCCNc1c([N+](=O)[O-])cnn1C ZINC000892340758 618162610 /nfs/dbraw/zinc/16/26/10/618162610.db2.gz WOYCKWDKZAQPLQ-UHFFFAOYSA-N 0 0 290.323 2.518 20 5 CFBDRN Cc1nccnc1[C@H](C)NCc1cccc([N+](=O)[O-])c1 ZINC000219120774 618162620 /nfs/dbraw/zinc/16/26/20/618162620.db2.gz FFGKCVIJVISEIR-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN C/C=C\COC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000255575351 618173910 /nfs/dbraw/zinc/17/39/10/618173910.db2.gz OHVWPWYHEKAFES-IHWYPQMZSA-N 0 0 267.306 2.806 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000060518192 618182313 /nfs/dbraw/zinc/18/23/13/618182313.db2.gz TXEYPBBRXIRCPN-SVRRBLITSA-N 0 0 254.673 2.843 20 5 CFBDRN CC[C@H](C)Nc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000891390676 618182547 /nfs/dbraw/zinc/18/25/47/618182547.db2.gz NXLWKMGGNKUDRO-VIFPVBQESA-N 0 0 275.308 2.657 20 5 CFBDRN O=C(/C=C/c1ccccc1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000003070238 618194629 /nfs/dbraw/zinc/19/46/29/618194629.db2.gz SVJRWJWOWIVXDN-DHZHZOJOSA-N 0 0 296.326 2.967 20 5 CFBDRN CC/C=C\CCOC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000255596622 618213471 /nfs/dbraw/zinc/21/34/71/618213471.db2.gz HOMNCCQOPWTQIX-WAYWQWQTSA-N 0 0 295.339 2.698 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)Cc1cccc(=O)[nH]1 ZINC000933397360 618219122 /nfs/dbraw/zinc/21/91/22/618219122.db2.gz CCPXPPZIANDHNF-LLVKDONJSA-N 0 0 287.319 2.888 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)OCc1ccccc1[N+](=O)[O-] ZINC000782334378 618230980 /nfs/dbraw/zinc/23/09/80/618230980.db2.gz ZAEYKPBJFSSUNZ-BQYQJAHWSA-N 0 0 272.260 2.680 20 5 CFBDRN CC(C)(CNC(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000892418385 618234540 /nfs/dbraw/zinc/23/45/40/618234540.db2.gz PJWKVCPIHWKROM-UHFFFAOYSA-N 0 0 291.351 2.830 20 5 CFBDRN C[C@H](NC(=O)NC/C=C/Cl)c1ccc([N+](=O)[O-])cc1 ZINC000895067086 618252642 /nfs/dbraw/zinc/25/26/42/618252642.db2.gz OPJZJVLCRJXCOL-NOKZVFQSSA-N 0 0 283.715 2.708 20 5 CFBDRN CC(=O)c1ccc(OC(=O)Cc2ccccc2[N+](=O)[O-])cc1 ZINC000014464175 618252600 /nfs/dbraw/zinc/25/26/00/618252600.db2.gz MNJFAHGCJMIQIG-UHFFFAOYSA-N 0 0 299.282 2.946 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000749053196 618255525 /nfs/dbraw/zinc/25/55/25/618255525.db2.gz DYWXGCUDZISCNC-UFGOTCBOSA-N 0 0 279.292 2.624 20 5 CFBDRN CCO/C=C/C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000840589034 618263791 /nfs/dbraw/zinc/26/37/91/618263791.db2.gz ZHGGKWBNSWCPPP-AATRIKPKSA-N 0 0 285.683 2.842 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@@H]1CSc2ccccc21 ZINC000894008041 618266826 /nfs/dbraw/zinc/26/68/26/618266826.db2.gz VTEGMWRZTPDNKN-SECBINFHSA-N 0 0 290.348 2.630 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1CC[C@@H](F)C1 ZINC000894022870 618273520 /nfs/dbraw/zinc/27/35/20/618273520.db2.gz GUGYSWQOFIILRY-SECBINFHSA-N 0 0 279.246 2.830 20 5 CFBDRN Cc1nn(Cc2ccccc2CF)c(C)c1[N+](=O)[O-] ZINC000901347842 620791625 /nfs/dbraw/zinc/79/16/25/620791625.db2.gz DDJJXCBUFRJUQZ-UHFFFAOYSA-N 0 0 263.272 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1noc2c1COCC2 ZINC000901347835 620791627 /nfs/dbraw/zinc/79/16/27/620791627.db2.gz CQSBPTUJDIQNLE-UHFFFAOYSA-N 0 0 289.291 2.576 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000881736194 618277312 /nfs/dbraw/zinc/27/73/12/618277312.db2.gz YZUXFQPSMUSKPU-RKDXNWHRSA-N 0 0 253.277 2.611 20 5 CFBDRN C/C(=C/C(=O)N[C@@H](C)C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000894042709 618286113 /nfs/dbraw/zinc/28/61/13/618286113.db2.gz MVCDIZJWXTVFHO-FUOZMLNRSA-N 0 0 284.262 2.768 20 5 CFBDRN CC/C=C/CNc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000894045129 618287641 /nfs/dbraw/zinc/28/76/41/618287641.db2.gz CILPGGJJIHZPFB-QPJJXVBHSA-N 0 0 287.319 2.825 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CC[C@@H](c2ccco2)C1 ZINC000892455254 618289426 /nfs/dbraw/zinc/28/94/26/618289426.db2.gz WYIRUWGGDLOVHM-SNVBAGLBSA-N 0 0 289.291 2.585 20 5 CFBDRN CC[C@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000892461280 618297696 /nfs/dbraw/zinc/29/76/96/618297696.db2.gz FVFGQYYLZKSMJJ-RNCFNFMXSA-N 0 0 295.295 2.507 20 5 CFBDRN O=C(C[C@H]1C=CCC1)OCc1ccc([N+](=O)[O-])cc1 ZINC000072709355 618302095 /nfs/dbraw/zinc/30/20/95/618302095.db2.gz YZSGMACNAAQWGI-NSHDSACASA-N 0 0 261.277 2.994 20 5 CFBDRN CCOc1ccc(C(=O)O[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000103826242 618329218 /nfs/dbraw/zinc/32/92/18/618329218.db2.gz HBTCEQHKDJPASW-SNVBAGLBSA-N 0 0 297.332 2.656 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@@H]1CCSC1 ZINC000103825436 618330571 /nfs/dbraw/zinc/33/05/71/618330571.db2.gz SXYPNIKXSDNHBD-SNVBAGLBSA-N 0 0 299.373 2.736 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCCC2(CCCCC2)C1 ZINC000892475671 618335744 /nfs/dbraw/zinc/33/57/44/618335744.db2.gz KTUQIFHNHGQSDI-UHFFFAOYSA-N 0 0 278.356 2.879 20 5 CFBDRN Cc1cc(NC[C@@H]2COC(C)(C)O2)c(F)cc1[N+](=O)[O-] ZINC000312639139 618364750 /nfs/dbraw/zinc/36/47/50/618364750.db2.gz WXFSHOPKOMFXHJ-SECBINFHSA-N 0 0 284.287 2.606 20 5 CFBDRN COC/C(C)=C/COc1cc([N+](=O)[O-])ccc1OC ZINC000901378887 620799435 /nfs/dbraw/zinc/79/94/35/620799435.db2.gz ZPSOZPBOBITMMI-UXBLZVDNSA-N 0 0 267.281 2.575 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCCCc1ccoc1 ZINC000893335395 618399116 /nfs/dbraw/zinc/39/91/16/618399116.db2.gz RXQZVROQMQIUEO-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCC2(CCC2)O1 ZINC000895160802 618408426 /nfs/dbraw/zinc/40/84/26/618408426.db2.gz LYEMPYSFZANAKU-NSHDSACASA-N 0 0 293.323 2.512 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cc(C2(O)CCC2)on1 ZINC000901393808 620803855 /nfs/dbraw/zinc/80/38/55/620803855.db2.gz DGBGIBKLVFMMIR-UHFFFAOYSA-N 0 0 260.249 2.621 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1c2ccccc2CC[C@H]1F ZINC000895169949 618422214 /nfs/dbraw/zinc/42/22/14/618422214.db2.gz NHNNNGBAUZZVPU-YPMHNXCESA-N 0 0 290.298 2.766 20 5 CFBDRN CC(C)(O)Cc1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901396825 620805326 /nfs/dbraw/zinc/80/53/26/620805326.db2.gz ZKIMNTUVWDMDJA-UHFFFAOYSA-N 0 0 262.265 2.563 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC/C=C\c1ccccc1 ZINC000814037902 618437422 /nfs/dbraw/zinc/43/74/22/618437422.db2.gz IXZLFVDBXFPUMA-LRAPTIAOSA-N 0 0 263.293 2.688 20 5 CFBDRN CCNc1ccc(Cl)cc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814037083 618438243 /nfs/dbraw/zinc/43/82/43/618438243.db2.gz QRYZLKQLRZYZFW-MRVPVSSYSA-N 0 0 286.715 2.594 20 5 CFBDRN C[C@H](OC(=O)CCCF)c1ccccc1[N+](=O)[O-] ZINC000850195094 618440815 /nfs/dbraw/zinc/44/08/15/618440815.db2.gz XQCDNDPSPDHKEA-VIFPVBQESA-N 0 0 255.245 2.949 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC(C)(C)OCC1CC1 ZINC000894198067 618440930 /nfs/dbraw/zinc/44/09/30/618440930.db2.gz SZPHNJBKCUNJAT-UHFFFAOYSA-N 0 0 295.339 2.616 20 5 CFBDRN C/C(=C/c1ccc(C)cc1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814042393 618441529 /nfs/dbraw/zinc/44/15/29/618441529.db2.gz JOELNGDGBAXBGS-NXIHDVOMSA-N 0 0 263.293 2.607 20 5 CFBDRN Cc1c2cc(F)ccc2oc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814042496 618441857 /nfs/dbraw/zinc/44/18/57/618441857.db2.gz LQKWRYWVHANNSN-ZETCQYMHSA-N 0 0 281.239 2.702 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])c(N2C[C@@H]3C[C@@H]3C2)cc1C ZINC000895183167 618445928 /nfs/dbraw/zinc/44/59/28/618445928.db2.gz WZKACFCRLFJWBR-PHIMTYICSA-N 0 0 290.319 2.536 20 5 CFBDRN CS[C@H](C)CNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000162658357 618447036 /nfs/dbraw/zinc/44/70/36/618447036.db2.gz RJTJLGYSGBCLGS-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN CCCCc1ccc(CC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814048585 618449136 /nfs/dbraw/zinc/44/91/36/618449136.db2.gz VEPWMYHINGRLGA-LBPRGKRZSA-N 0 0 279.336 2.780 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1CC(c2ccc(F)cc2)C1 ZINC000814047939 618449323 /nfs/dbraw/zinc/44/93/23/618449323.db2.gz GQHWDZPXSCCVEG-GCVQQVDUSA-N 0 0 281.283 2.528 20 5 CFBDRN CC(C)c1cccc(O[C@H](C)C(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000814045814 618449658 /nfs/dbraw/zinc/44/96/58/618449658.db2.gz AAAQWUWAQMZESC-VXGBXAGGSA-N 0 0 295.335 2.786 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccccc2)o1 ZINC000814046272 618450662 /nfs/dbraw/zinc/45/06/62/618450662.db2.gz IMLCBSQJOABOPK-JTQLQIEISA-N 0 0 275.260 2.769 20 5 CFBDRN COC[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000747156613 618453626 /nfs/dbraw/zinc/45/36/26/618453626.db2.gz ASVACZXMXCQTNW-LBPRGKRZSA-N 0 0 285.271 2.562 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCc1ccncc1 ZINC000726384656 618470379 /nfs/dbraw/zinc/47/03/79/618470379.db2.gz HPOFHKUKXZBGLA-UTCJRWHESA-N 0 0 284.271 2.746 20 5 CFBDRN O=C(NC1CCC=CCC1)c1csc([N+](=O)[O-])c1 ZINC000895211517 618473756 /nfs/dbraw/zinc/47/37/56/618473756.db2.gz HCPLZQIJAQNNNB-UHFFFAOYSA-N 0 0 266.322 2.885 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC1CCC=CCC1 ZINC000895203874 618473834 /nfs/dbraw/zinc/47/38/34/618473834.db2.gz AARHFWCOBOTCKN-UHFFFAOYSA-N 0 0 274.320 2.752 20 5 CFBDRN CC(C)[C@H](Nc1ccnc(F)c1[N+](=O)[O-])C1CC1 ZINC000882629879 618484251 /nfs/dbraw/zinc/48/42/51/618484251.db2.gz QNGGJIMRNVABKB-JTQLQIEISA-N 0 0 253.277 2.975 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000842117146 618488034 /nfs/dbraw/zinc/48/80/34/618488034.db2.gz UJXBOBRVYHPLEN-ZDUSSCGKSA-N 0 0 299.298 2.838 20 5 CFBDRN CN(CCCF)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000895301147 618520140 /nfs/dbraw/zinc/52/01/40/618520140.db2.gz GKHIJDZNAFNTTD-UHFFFAOYSA-N 0 0 282.315 2.690 20 5 CFBDRN C[C@@H]1CSC[C@@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000795136327 618553559 /nfs/dbraw/zinc/55/35/59/618553559.db2.gz MZZIUXLOCYUGQW-KCJUWKMLSA-N 0 0 297.405 2.633 20 5 CFBDRN Cc1cc(N2CC[C@H](c3noc(C)n3)C2)c([N+](=O)[O-])s1 ZINC000895399398 618569886 /nfs/dbraw/zinc/56/98/86/618569886.db2.gz BPXZBLFEGICUMN-VIFPVBQESA-N 0 0 294.336 2.650 20 5 CFBDRN NC(=O)c1ccc(NC[C@@]23C[C@@H]2CCCC3)c([N+](=O)[O-])c1 ZINC000895426327 618576273 /nfs/dbraw/zinc/57/62/73/618576273.db2.gz IDLGXBHRDMPWNU-XHDPSFHLSA-N 0 0 289.335 2.686 20 5 CFBDRN CN(C)c1ccnc(CNc2ccsc2[N+](=O)[O-])c1 ZINC000895465902 618600174 /nfs/dbraw/zinc/60/01/74/618600174.db2.gz MNACSYBIXJVBHA-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1cccnc1F ZINC000236184004 618608732 /nfs/dbraw/zinc/60/87/32/618608732.db2.gz GDOXFNJCKJXOEX-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C2=COCCC2)cc1[N+](=O)[O-] ZINC000177857606 618609215 /nfs/dbraw/zinc/60/92/15/618609215.db2.gz DLOUHTNASQMGIR-LLVKDONJSA-N 0 0 290.319 2.775 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000310723092 618618286 /nfs/dbraw/zinc/61/82/86/618618286.db2.gz YUVOIQJETKWOAY-CBAPKCEASA-N 0 0 268.700 2.777 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2c([N+](=O)[O-])cnn2C)CC1 ZINC000892545643 618626257 /nfs/dbraw/zinc/62/62/57/618626257.db2.gz PFGUWNRAUFKRTE-LLVKDONJSA-N 0 0 266.345 2.591 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1[C@@H](C)C[C@@H]1C ZINC000935735441 618630854 /nfs/dbraw/zinc/63/08/54/618630854.db2.gz BICIEVFQKOXRHW-IUCAKERBSA-N 0 0 280.349 2.940 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1[C@H](C)C[C@@H]1C ZINC000935735438 618630984 /nfs/dbraw/zinc/63/09/84/618630984.db2.gz BICIEVFQKOXRHW-DTORHVGOSA-N 0 0 280.349 2.940 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC[C@H]1CCC[C@@H]1O ZINC000236551966 618632988 /nfs/dbraw/zinc/63/29/88/618632988.db2.gz WEIBRBYSXVFLDX-KRTXAFLBSA-N 0 0 288.706 2.960 20 5 CFBDRN CC1(C)CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCCO1 ZINC000892578934 618640908 /nfs/dbraw/zinc/64/09/08/618640908.db2.gz HPVLPRGPWJVJPF-UHFFFAOYSA-N 0 0 295.295 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCCCO)c(F)c1 ZINC000180216983 618641059 /nfs/dbraw/zinc/64/10/59/618641059.db2.gz ATFJPXAPYBNBRZ-UHFFFAOYSA-N 0 0 256.277 2.699 20 5 CFBDRN Cc1cccnc1COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000180338698 618656102 /nfs/dbraw/zinc/65/61/02/618656102.db2.gz ZHJDSLIXDHTINU-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000310911496 618657061 /nfs/dbraw/zinc/65/70/61/618657061.db2.gz HKUHJMQSDWWRDI-XVKPBYJWSA-N 0 0 268.700 2.777 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892652697 618675883 /nfs/dbraw/zinc/67/58/83/618675883.db2.gz GYWKYSWRIXHESA-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892652694 618676084 /nfs/dbraw/zinc/67/60/84/618676084.db2.gz GYWKYSWRIXHESA-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN CC(C)(COC(=O)CCCc1ccccc1)[N+](=O)[O-] ZINC000851124753 618688592 /nfs/dbraw/zinc/68/85/92/618688592.db2.gz KAFSXTFIALEZMW-UHFFFAOYSA-N 0 0 265.309 2.608 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N[C@@H]1CCSC1 ZINC000892704057 618721596 /nfs/dbraw/zinc/72/15/96/618721596.db2.gz OAFOPKRODBLORX-SECBINFHSA-N 0 0 293.323 2.621 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCS[C@@H](C(C)C)C1 ZINC000892731818 618734580 /nfs/dbraw/zinc/73/45/80/618734580.db2.gz NLJJUWRHWIHJPU-GFCCVEGCSA-N 0 0 297.380 2.576 20 5 CFBDRN CCOc1cc(NC(=O)CSC2CC2)ccc1[N+](=O)[O-] ZINC000892740497 618738156 /nfs/dbraw/zinc/73/81/56/618738156.db2.gz MAERGSYJQVJZLS-UHFFFAOYSA-N 0 0 296.348 2.828 20 5 CFBDRN CCOc1cc(NC(=O)C2=CCC2)ccc1[N+](=O)[O-] ZINC000892745975 618738341 /nfs/dbraw/zinc/73/83/41/618738341.db2.gz HTCOIWGZMGRACK-UHFFFAOYSA-N 0 0 262.265 2.652 20 5 CFBDRN COc1ccc([C@@H](C)Nc2c([N+](=O)[O-])cnn2C)cc1F ZINC000892783627 618746139 /nfs/dbraw/zinc/74/61/39/618746139.db2.gz BTQGFAWIOBIZMD-MRVPVSSYSA-N 0 0 294.286 2.649 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1Nc1ccc(OCC(F)F)cc1 ZINC000892797847 618747630 /nfs/dbraw/zinc/74/76/30/618747630.db2.gz YRWPOJXXADPFOF-UHFFFAOYSA-N 0 0 298.249 2.716 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000178305223 618747879 /nfs/dbraw/zinc/74/78/79/618747879.db2.gz WWYBJDUDPUEPBK-NSHDSACASA-N 0 0 265.309 2.973 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000784149853 618751249 /nfs/dbraw/zinc/75/12/49/618751249.db2.gz VIRAQWKZGWCZCP-SCZZXKLOSA-N 0 0 299.710 2.716 20 5 CFBDRN CC(=O)CCN(C)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000784456374 618777435 /nfs/dbraw/zinc/77/74/35/618777435.db2.gz OAUNBMVVXZQYMC-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CC1=CCN(c2c3ccccc3n(C)c(=O)c2[N+](=O)[O-])CC1 ZINC000892981026 618789994 /nfs/dbraw/zinc/78/99/94/618789994.db2.gz IPPIWGIRWAEMBI-UHFFFAOYSA-N 0 0 299.330 2.603 20 5 CFBDRN CC1(C)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000070259646 625293048 /nfs/dbraw/zinc/29/30/48/625293048.db2.gz RBRJRPDHLGHGMN-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1ncccc1C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000178329834 618790533 /nfs/dbraw/zinc/79/05/33/618790533.db2.gz BFFRTIFKMAJYDZ-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN C[C@@H](COC(=O)CC[C@H]1CCc2ccccc21)[N+](=O)[O-] ZINC000893043689 618813422 /nfs/dbraw/zinc/81/34/22/618813422.db2.gz AGCJMRRTHWLYAS-WCQYABFASA-N 0 0 277.320 2.705 20 5 CFBDRN Cc1nccn1CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000311999720 618818855 /nfs/dbraw/zinc/81/88/55/618818855.db2.gz RIRTVARBXKJFNI-UHFFFAOYSA-N 0 0 280.715 2.865 20 5 CFBDRN Cc1nc2c(s1)[C@H](Nc1c([N+](=O)[O-])cnn1C)CCC2 ZINC000893110519 618835305 /nfs/dbraw/zinc/83/53/05/618835305.db2.gz LDJKFQLOOMNKCX-SECBINFHSA-N 0 0 293.352 2.583 20 5 CFBDRN CC1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CC=CC1 ZINC000924093529 618850177 /nfs/dbraw/zinc/85/01/77/618850177.db2.gz SBSQARNWPPWUFP-UHFFFAOYSA-N 0 0 261.237 2.846 20 5 CFBDRN Cc1noc(COC(=O)CC2CCCC2)c1[N+](=O)[O-] ZINC000853882581 618882574 /nfs/dbraw/zinc/88/25/74/618882574.db2.gz MEOXSHXWIVMZCY-UHFFFAOYSA-N 0 0 268.269 2.515 20 5 CFBDRN CCC1(CC)CCN(c2c([N+](=O)[O-])cnn2C)CC1 ZINC000893256903 618909768 /nfs/dbraw/zinc/90/97/68/618909768.db2.gz KNKURPOETGTQSU-UHFFFAOYSA-N 0 0 266.345 2.735 20 5 CFBDRN Cc1nn(C)c(NCC[C@@H]2CC2(Cl)Cl)c1[N+](=O)[O-] ZINC000856784746 618930800 /nfs/dbraw/zinc/93/08/00/618930800.db2.gz BAVRASYCDULLGH-SSDOTTSWSA-N 0 0 293.154 2.633 20 5 CFBDRN CCC[C@H](C)CCNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000893365574 618935535 /nfs/dbraw/zinc/93/55/35/618935535.db2.gz FWPDYFJBSZNXKH-VIFPVBQESA-N 0 0 254.286 2.744 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@H]1C(F)F ZINC000931423661 618966861 /nfs/dbraw/zinc/96/68/61/618966861.db2.gz CEBLDGDUENEHJA-VIFPVBQESA-N 0 0 260.215 2.573 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N(C)C2CCC2)c1F ZINC000313161082 618976503 /nfs/dbraw/zinc/97/65/03/618976503.db2.gz SRNPTAYRQHCBCO-UHFFFAOYSA-N 0 0 284.262 2.806 20 5 CFBDRN COC[C@H](COC(=O)c1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000893456767 619000060 /nfs/dbraw/zinc/00/00/60/619000060.db2.gz RBFQQOWWCDTSEJ-SNVBAGLBSA-N 0 0 299.298 2.809 20 5 CFBDRN C[C@H](OC(=O)C[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000066878237 619003345 /nfs/dbraw/zinc/00/33/45/619003345.db2.gz NOAUGESQBDVENN-GXFFZTMASA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@@H](OC(=O)COCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000066877237 619003529 /nfs/dbraw/zinc/00/35/29/619003529.db2.gz FWSQKPSFYAHXCW-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@@H](OC(=O)c1cccn1C)c1ccc([N+](=O)[O-])cc1 ZINC000066877829 619003571 /nfs/dbraw/zinc/00/35/71/619003571.db2.gz MIVUOSPMMUPFEH-SNVBAGLBSA-N 0 0 274.276 2.851 20 5 CFBDRN Cc1nonc1COc1cc([N+](=O)[O-])ccc1Cl ZINC000093307929 619005380 /nfs/dbraw/zinc/00/53/80/619005380.db2.gz QRIUGQRTIQNZIJ-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1NC1CC(F)(F)C1 ZINC000893491400 619017784 /nfs/dbraw/zinc/01/77/84/619017784.db2.gz SODWVZQKHCFKSJ-UHFFFAOYSA-N 0 0 297.236 2.914 20 5 CFBDRN C/C(=C/C(=O)N1CC[C@H](CF)C1)c1ccc([N+](=O)[O-])cc1 ZINC000893494080 619019310 /nfs/dbraw/zinc/01/93/10/619019310.db2.gz NOSLMANTBYVXJM-NXIHDVOMSA-N 0 0 292.310 2.816 20 5 CFBDRN Cc1c(OC(=O)COc2ccccc2)cccc1[N+](=O)[O-] ZINC000051704834 619090821 /nfs/dbraw/zinc/09/08/21/619090821.db2.gz XGJKTWDPPOSNIP-UHFFFAOYSA-N 0 0 287.271 2.888 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccoc1 ZINC000066961067 619094219 /nfs/dbraw/zinc/09/42/19/619094219.db2.gz YBDJUHDARYTROJ-UHFFFAOYSA-N 0 0 268.175 2.718 20 5 CFBDRN COCCCCOC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000077866930 619103618 /nfs/dbraw/zinc/10/36/18/619103618.db2.gz POKIJEDANYYPDU-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)CC2(C)C)c1 ZINC000893671865 619151938 /nfs/dbraw/zinc/15/19/38/619151938.db2.gz NBDUVHMZBCBPQI-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN CSc1ccc(C(=O)OCCOC2CC2)cc1[N+](=O)[O-] ZINC000893682289 619155435 /nfs/dbraw/zinc/15/54/35/619155435.db2.gz ZFOBVODEIRPVHU-UHFFFAOYSA-N 0 0 297.332 2.653 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000893691278 619158552 /nfs/dbraw/zinc/15/85/52/619158552.db2.gz FWSAYWVBIAEPQL-AEGPPILISA-N 0 0 288.347 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCCO2)cc1F ZINC000067015609 619163114 /nfs/dbraw/zinc/16/31/14/619163114.db2.gz PQUUWAWAESKONB-SNVBAGLBSA-N 0 0 255.245 2.682 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])s2)C1 ZINC000235115083 619189675 /nfs/dbraw/zinc/18/96/75/619189675.db2.gz BTFPWQBYHWKBHG-RNFRBKRXSA-N 0 0 259.356 2.747 20 5 CFBDRN CCc1ccc(C(=O)N=S(=O)(CC)CC)cc1[N+](=O)[O-] ZINC000925886681 619204788 /nfs/dbraw/zinc/20/47/88/619204788.db2.gz WUGPEUGWDCGAGQ-UHFFFAOYSA-N 0 0 298.364 2.805 20 5 CFBDRN C[C@@H]1CSC[C@H]1Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000893826400 619226540 /nfs/dbraw/zinc/22/65/40/619226540.db2.gz KBSOAXBTZBBCKQ-RDDDGLTNSA-N 0 0 283.309 2.666 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCCc2occc2C1 ZINC000893898400 619236508 /nfs/dbraw/zinc/23/65/08/619236508.db2.gz RLFGLYBIRXWGRE-UHFFFAOYSA-N 0 0 289.291 2.544 20 5 CFBDRN CC[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(C)cc1 ZINC000841165164 619261856 /nfs/dbraw/zinc/26/18/56/619261856.db2.gz QDPAUGSZOJGEEA-UPJWGTAASA-N 0 0 263.293 2.655 20 5 CFBDRN COC(=O)N1CCC[C@@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000726787800 619262407 /nfs/dbraw/zinc/26/24/07/619262407.db2.gz XSOJFDGLWGBNOV-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CCC(C)(C)C(=O)OCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000726833460 619265220 /nfs/dbraw/zinc/26/52/20/619265220.db2.gz YBLCZMKVIPMBPI-UHFFFAOYSA-N 0 0 294.307 2.513 20 5 CFBDRN C[C@@H](CC(=O)OCCn1cc([N+](=O)[O-])cn1)CC(C)(C)C ZINC000748116565 619337361 /nfs/dbraw/zinc/33/73/61/619337361.db2.gz PTNWVBSLAAZMSG-NSHDSACASA-N 0 0 297.355 2.797 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1cc([N+](=O)[O-])ccc1F ZINC000048592224 619359497 /nfs/dbraw/zinc/35/94/97/619359497.db2.gz XPPBOIHGUWORLD-UHFFFAOYSA-N 0 0 278.283 2.652 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1S(=O)(=O)Oc1ccccc1 ZINC000048660047 619365949 /nfs/dbraw/zinc/36/59/49/619365949.db2.gz SZLCHSBXIWXJEV-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN CN(Cc1ccsc1)c1ccc([N+](=O)[O-])c2nonc21 ZINC000018061803 619366100 /nfs/dbraw/zinc/36/61/00/619366100.db2.gz RPWPLSSPHCDQHO-UHFFFAOYSA-N 0 0 290.304 2.829 20 5 CFBDRN Cc1nnc([C@H](C)NCc2ccc(Cl)cc2[N+](=O)[O-])[nH]1 ZINC000894468494 619393548 /nfs/dbraw/zinc/39/35/48/619393548.db2.gz WIBRMHZRKHJKPG-ZETCQYMHSA-N 0 0 295.730 2.526 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2ccc(Cl)cc2[N+](=O)[O-])[nH]1 ZINC000894468493 619393578 /nfs/dbraw/zinc/39/35/78/619393578.db2.gz WIBRMHZRKHJKPG-SSDOTTSWSA-N 0 0 295.730 2.526 20 5 CFBDRN Cc1nnc([C@H](C)NCc2ccc([N+](=O)[O-])c(Cl)c2)[nH]1 ZINC000894773759 619460518 /nfs/dbraw/zinc/46/05/18/619460518.db2.gz KMCVNYTXSWEJPI-ZETCQYMHSA-N 0 0 295.730 2.526 20 5 CFBDRN CC(C)[C@@H](O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000304217772 619477124 /nfs/dbraw/zinc/47/71/24/619477124.db2.gz RYDJRQOUQWKZCD-JTQLQIEISA-N 0 0 259.689 2.644 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@@H]1CCSC1 ZINC000894857031 619485399 /nfs/dbraw/zinc/48/53/99/619485399.db2.gz VJCNIKRWCJXZNY-SECBINFHSA-N 0 0 283.353 2.554 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CCCC[C@@H]1F ZINC000894925897 619514119 /nfs/dbraw/zinc/51/41/19/619514119.db2.gz QPZWKOXNYDEELV-VHSXEESVSA-N 0 0 269.276 2.691 20 5 CFBDRN C[C@@H]1CNc2c(Nc3ccc([N+](=O)[O-])cc3F)cnn2C1 ZINC000894949810 619516989 /nfs/dbraw/zinc/51/69/89/619516989.db2.gz UDFULPJRTIGJOK-MRVPVSSYSA-N 0 0 291.286 2.736 20 5 CFBDRN CC(C)OC1CN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000894967278 619518246 /nfs/dbraw/zinc/51/82/46/619518246.db2.gz KDVDPYRICLDEPP-UHFFFAOYSA-N 0 0 287.319 2.757 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@@H](C2CCC2)CC1 ZINC000894994668 619522425 /nfs/dbraw/zinc/52/24/25/619522425.db2.gz DPJZMDNYZPCNBE-GFCCVEGCSA-N 0 0 278.356 2.735 20 5 CFBDRN CC(C)(C)OC[C@H]1CCCN1c1ccnc(F)c1[N+](=O)[O-] ZINC000895655489 619576689 /nfs/dbraw/zinc/57/66/89/619576689.db2.gz SIYZFGWLLUQBBY-SNVBAGLBSA-N 0 0 297.330 2.913 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@H](C)CC1 ZINC000895757818 619600586 /nfs/dbraw/zinc/60/05/86/619600586.db2.gz GFFNECFDAGPODY-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@@H](C)CC1 ZINC000895759006 619601172 /nfs/dbraw/zinc/60/11/72/619601172.db2.gz SHRDDBLFZPJLJM-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cnc(N[C@@H](c2ncccn2)C2CC2)c([N+](=O)[O-])c1 ZINC000895778117 619604999 /nfs/dbraw/zinc/60/49/99/619604999.db2.gz RPCXVJBCTMLNEZ-GFCCVEGCSA-N 0 0 285.307 2.651 20 5 CFBDRN Cc1cccc(CNC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])n1 ZINC000895795824 619610606 /nfs/dbraw/zinc/61/06/06/619610606.db2.gz YLBJYGQZAPYWPX-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC[C@H](CNc1cccnc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000895825558 619615600 /nfs/dbraw/zinc/61/56/00/619615600.db2.gz QUGHLMJGUXRPAE-SNVBAGLBSA-N 0 0 295.339 2.770 20 5 CFBDRN CC(C)(/C=C/Cl)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000895916348 619634064 /nfs/dbraw/zinc/63/40/64/619634064.db2.gz ZYIXZPXZESAMFE-AATRIKPKSA-N 0 0 283.715 2.637 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H]1c1ncccn1 ZINC000895944422 619641600 /nfs/dbraw/zinc/64/16/00/619641600.db2.gz OAGMKJGLMWGEAL-LBPRGKRZSA-N 0 0 288.282 2.865 20 5 CFBDRN CC(C)OC1CN(Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000895955086 619644034 /nfs/dbraw/zinc/64/40/34/619644034.db2.gz OIGZUFKVAORKTK-UHFFFAOYSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@H]1CO[C@@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)C1 ZINC000895958643 619644728 /nfs/dbraw/zinc/64/47/28/619644728.db2.gz RMWOOYYGVOGIBL-BXKDBHETSA-N 0 0 289.291 2.666 20 5 CFBDRN C[C@@H]1CO[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000895964056 619645051 /nfs/dbraw/zinc/64/50/51/619645051.db2.gz UAIRDTLCWRSZGU-KWQFWETISA-N 0 0 275.264 2.742 20 5 CFBDRN Cc1ccc(-c2noc(C3(N(C)C)CC3)n2)cc1[N+](=O)[O-] ZINC000896015212 619655299 /nfs/dbraw/zinc/65/52/99/619655299.db2.gz RFFZFZSZVUUQPD-UHFFFAOYSA-N 0 0 288.307 2.504 20 5 CFBDRN COc1cccc(NC[C@@H]2C=C[C@H]3C[C@@H]2CO3)c1[N+](=O)[O-] ZINC000896078228 619665239 /nfs/dbraw/zinc/66/52/39/619665239.db2.gz WUKXOCJCCBBFBI-TUAOUCFPSA-N 0 0 290.319 2.606 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000928603751 619676346 /nfs/dbraw/zinc/67/63/46/619676346.db2.gz VYPZKZKPLHAKQB-GHMZBOCLSA-N 0 0 294.351 2.628 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ncccc2C)c1C ZINC000896181016 619689672 /nfs/dbraw/zinc/68/96/72/619689672.db2.gz PWIRUQHNKWCTGE-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CCC[C@@H](O)CCNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000896187965 619690552 /nfs/dbraw/zinc/69/05/52/619690552.db2.gz XEPYEQZJKGGOMJ-LLVKDONJSA-N 0 0 268.313 2.566 20 5 CFBDRN CCC[C@H](O)CCNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000896187775 619690635 /nfs/dbraw/zinc/69/06/35/619690635.db2.gz ULYJKCSDHWHLOW-VIFPVBQESA-N 0 0 286.303 2.706 20 5 CFBDRN Cc1nc(N[C@@H]2CCS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000896243311 619699407 /nfs/dbraw/zinc/69/94/07/619699407.db2.gz AXLDHFRLODPECG-PSASIEDQSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000896249274 619705179 /nfs/dbraw/zinc/70/51/79/619705179.db2.gz NJXFLQBLQCWSSJ-PSASIEDQSA-N 0 0 267.354 2.873 20 5 CFBDRN C[C@@H]1C(=O)CCCN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000896339496 619719510 /nfs/dbraw/zinc/71/95/10/619719510.db2.gz RMTXTTQLLSILOW-SNVBAGLBSA-N 0 0 285.303 2.701 20 5 CFBDRN Cc1ccc(N[C@@H]2C[C@H]3OC(C)(C)O[C@H]3C2)c([N+](=O)[O-])c1 ZINC000896359944 619724079 /nfs/dbraw/zinc/72/40/79/619724079.db2.gz YNEZYQYYWHPCHB-OJNYZHBWSA-N 0 0 292.335 2.998 20 5 CFBDRN C[C@@H](NC/C=C\c1ccccc1[N+](=O)[O-])C(F)F ZINC000883407165 619728682 /nfs/dbraw/zinc/72/86/82/619728682.db2.gz MVQPCLVUBYJJQX-XTULLQBASA-N 0 0 256.252 2.851 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000896405621 619730144 /nfs/dbraw/zinc/73/01/44/619730144.db2.gz HFABNDFQYVBPKM-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CC2)[C@H]2CCCOC2)c(F)c1 ZINC000896435259 619733740 /nfs/dbraw/zinc/73/37/40/619733740.db2.gz KDKGOBGCJZFHBH-GXFFZTMASA-N 0 0 295.314 2.746 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](c1cnn(C)c1)C(C)C ZINC000896427366 619736040 /nfs/dbraw/zinc/73/60/40/619736040.db2.gz HJJUZLYRHFYICO-ZDUSSCGKSA-N 0 0 289.339 2.841 20 5 CFBDRN Cc1cc(N[C@H](c2cnn(C)c2)C(C)C)ncc1[N+](=O)[O-] ZINC000896427616 619736204 /nfs/dbraw/zinc/73/62/04/619736204.db2.gz QPQJZEHLTJMTSF-AWEZNQCLSA-N 0 0 289.339 2.841 20 5 CFBDRN Cc1ccc(NCCNc2nc(C)ccc2[N+](=O)[O-])nc1 ZINC000896454898 619738090 /nfs/dbraw/zinc/73/80/90/619738090.db2.gz BDVUVUUMRQRGDF-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1cc(F)c(CNc2c([N+](=O)[O-])cnn2C)c(F)c1 ZINC000896495652 619748879 /nfs/dbraw/zinc/74/88/79/619748879.db2.gz MHMNQAVWITYSLS-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN COC[C@@H](CNc1nccc(C)c1[N+](=O)[O-])C(C)C ZINC000896505744 619752540 /nfs/dbraw/zinc/75/25/40/619752540.db2.gz PSHJSGDORPQGPI-LLVKDONJSA-N 0 0 267.329 2.629 20 5 CFBDRN COCC(C)(C)c1noc(-c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000896573421 619764932 /nfs/dbraw/zinc/76/49/32/619764932.db2.gz XIXWXPALGKBKSM-UHFFFAOYSA-N 0 0 291.307 2.877 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H](C2CCOCC2)C1 ZINC000896587849 619769286 /nfs/dbraw/zinc/76/92/86/619769286.db2.gz HBQQKFJMITVOSR-ZDUSSCGKSA-N 0 0 291.351 2.633 20 5 CFBDRN CCn1cnc2c1CCN(c1c(C)cccc1[N+](=O)[O-])C2 ZINC000896661090 619782808 /nfs/dbraw/zinc/78/28/08/619782808.db2.gz YRONNCGEFBNLRM-UHFFFAOYSA-N 0 0 286.335 2.682 20 5 CFBDRN Cc1nc(N[C@H]2c3ccccc3CC[C@H]2O)ccc1[N+](=O)[O-] ZINC000896668913 619784982 /nfs/dbraw/zinc/78/49/82/619784982.db2.gz MQLYOHCHBDKSIB-ZBFHGGJFSA-N 0 0 299.330 2.759 20 5 CFBDRN O=C(OC[C@H]1CCCCO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000070668765 625371606 /nfs/dbraw/zinc/37/16/06/625371606.db2.gz UCZXCSHKHJURFS-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN C[C@H](c1nc(C2CSC2)no1)c1cccc([N+](=O)[O-])c1 ZINC000896728760 619798341 /nfs/dbraw/zinc/79/83/41/619798341.db2.gz ZDKKVCJDDOKWCD-QMMMGPOBSA-N 0 0 291.332 2.960 20 5 CFBDRN CNC(=O)c1ccc(NCC(C)(C)C2CC2)c([N+](=O)[O-])c1 ZINC000896785010 619814667 /nfs/dbraw/zinc/81/46/67/619814667.db2.gz CRUYYYRRHAFCMP-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cc1F)C1CCOCC1 ZINC000896785799 619815263 /nfs/dbraw/zinc/81/52/63/619815263.db2.gz LLCMAEAEJNQNQM-UHFFFAOYSA-N 0 0 297.330 2.994 20 5 CFBDRN CC(C)(CNc1ccnc(F)c1[N+](=O)[O-])C1CCOCC1 ZINC000896786242 619815434 /nfs/dbraw/zinc/81/54/34/619815434.db2.gz XZFXNZOTQSAHBE-UHFFFAOYSA-N 0 0 297.330 2.994 20 5 CFBDRN Cc1nsc(NC[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000896793102 619819988 /nfs/dbraw/zinc/81/99/88/619819988.db2.gz DMRRQKKAVVPUJR-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN Cc1nc(CC(=O)OCc2csc([N+](=O)[O-])c2)cs1 ZINC000108802396 619830362 /nfs/dbraw/zinc/83/03/62/619830362.db2.gz JWRKFDQDAGOMLI-UHFFFAOYSA-N 0 0 298.345 2.707 20 5 CFBDRN Cc1cccc(C)c1CN(C)c1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000896918281 619886446 /nfs/dbraw/zinc/88/64/46/619886446.db2.gz NZOULLZNYSGUNA-UHFFFAOYSA-N 0 0 287.319 2.949 20 5 CFBDRN O=[N+]([O-])c1c(SC2COC2)ccc2ncccc21 ZINC000896959402 619902783 /nfs/dbraw/zinc/90/27/83/619902783.db2.gz XBOSEGRCOCBNMG-UHFFFAOYSA-N 0 0 262.290 2.634 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1SC1COC1 ZINC000896962917 619905833 /nfs/dbraw/zinc/90/58/33/619905833.db2.gz SRFWCTIKLUSKCV-UHFFFAOYSA-N 0 0 262.290 2.634 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1SC1COC1 ZINC000896963443 619905923 /nfs/dbraw/zinc/90/59/23/619905923.db2.gz YXUHOUKBQCCYLC-UHFFFAOYSA-N 0 0 280.280 2.773 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1cnn(CCF)c1 ZINC000896992880 619909988 /nfs/dbraw/zinc/90/99/88/619909988.db2.gz ZLPLHVBQRVUOLV-UHFFFAOYSA-N 0 0 282.250 2.512 20 5 CFBDRN COCC1(c2noc(-c3ccc([N+](=O)[O-])c(C)c3)n2)CC1 ZINC000897031819 619915130 /nfs/dbraw/zinc/91/51/30/619915130.db2.gz BPODDDGLRMSUAT-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN C[C@H](CNC(=O)N1CCCCC1)c1ccccc1[N+](=O)[O-] ZINC000897049091 619919421 /nfs/dbraw/zinc/91/94/21/619919421.db2.gz BXHSVUQKTDIJOX-GFCCVEGCSA-N 0 0 291.351 2.894 20 5 CFBDRN Cc1cc(NC2CC3(C2)CO[C@H](C)C3)ncc1[N+](=O)[O-] ZINC000897051143 619922594 /nfs/dbraw/zinc/92/25/94/619922594.db2.gz CMHISJHZYLNQNZ-CDWSIMAYSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000897072692 619923009 /nfs/dbraw/zinc/92/30/09/619923009.db2.gz YBVHSOFBHMRBLR-GXZBSRFJSA-N 0 0 297.314 2.731 20 5 CFBDRN C[C@H](CNC(=O)N1CCC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000897068233 619925060 /nfs/dbraw/zinc/92/50/60/619925060.db2.gz RPDLODJDESETDJ-LLVKDONJSA-N 0 0 291.351 2.892 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H]1CC12CC(O)C2 ZINC000897090690 619928266 /nfs/dbraw/zinc/92/82/66/619928266.db2.gz WRLODZUDEJIBPZ-QDYJBQRPSA-N 0 0 282.727 2.882 20 5 CFBDRN CCCNC(=S)Nc1cc([N+](=O)[O-])ccc1C ZINC000007522847 619931231 /nfs/dbraw/zinc/93/12/31/619931231.db2.gz NBZXHCBMTNLZLW-UHFFFAOYSA-N 0 0 253.327 2.600 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Nc1cnn(C2CCC2)c1 ZINC000897105709 619934299 /nfs/dbraw/zinc/93/42/99/619934299.db2.gz JRWUFGLNJHWDIF-UHFFFAOYSA-N 0 0 290.327 2.785 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000897142050 619938597 /nfs/dbraw/zinc/93/85/97/619938597.db2.gz FSGZYCMNLZPKMX-LSDHHAIUSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000897149136 619940070 /nfs/dbraw/zinc/94/00/70/619940070.db2.gz PCHOINTVPHLBQR-LSDHHAIUSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000897150212 619940093 /nfs/dbraw/zinc/94/00/93/619940093.db2.gz ZGHWAQWPIBOBKW-TZMCWYRMSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000897145642 619940825 /nfs/dbraw/zinc/94/08/25/619940825.db2.gz SWAOZWSXRPPMBN-GXTWGEPZSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000897144844 619940880 /nfs/dbraw/zinc/94/08/80/619940880.db2.gz NOFNVTVSEGBQAC-UONOGXRCSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1cccc(C)c1[N+](=O)[O-] ZINC000897145862 619940897 /nfs/dbraw/zinc/94/08/97/619940897.db2.gz VKLZATDCIOEBDH-PWSUYJOCSA-N 0 0 250.298 2.517 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000897144894 619941007 /nfs/dbraw/zinc/94/10/07/619941007.db2.gz NXHLEHZFMMJBRW-JSGCOSHPSA-N 0 0 287.319 2.757 20 5 CFBDRN CCOc1cc(N(C)[C@H]2CC[C@@H]2OC)ccc1[N+](=O)[O-] ZINC000897147155 619941179 /nfs/dbraw/zinc/94/11/79/619941179.db2.gz HFPULCKDBSGRLD-AAEUAGOBSA-N 0 0 280.324 2.607 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]3CCC[C@H]32)c(F)c1 ZINC000897176360 619944628 /nfs/dbraw/zinc/94/46/28/619944628.db2.gz UAAUUXFHOAVLBC-BCMRRPTOSA-N 0 0 251.261 2.729 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897179456 619945196 /nfs/dbraw/zinc/94/51/96/619945196.db2.gz IYGTZDHIJXTOLE-HBNTYKKESA-N 0 0 264.329 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC3CC(CCO)C3)c2c1 ZINC000897233073 619955861 /nfs/dbraw/zinc/95/58/61/619955861.db2.gz CBOCAHPTTLETNT-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN CC1(C)CCC[C@@H](CNc2ccnc(F)c2[N+](=O)[O-])O1 ZINC000897254098 619960322 /nfs/dbraw/zinc/96/03/22/619960322.db2.gz LFIWQNHBMAOTSB-VIFPVBQESA-N 0 0 283.303 2.889 20 5 CFBDRN CN(CCc1ccncc1)c1cccc(F)c1[N+](=O)[O-] ZINC000054269505 619968570 /nfs/dbraw/zinc/96/85/70/619968570.db2.gz QXUYRMYOKRPZAZ-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CN(CCc1nccs1)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000179081082 619978545 /nfs/dbraw/zinc/97/85/45/619978545.db2.gz FXIWYSMTTUAMSH-UHFFFAOYSA-N 0 0 295.339 2.865 20 5 CFBDRN CC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000841357476 619979131 /nfs/dbraw/zinc/97/91/31/619979131.db2.gz APOPFJLRVXYMRO-WDEREUQCSA-N 0 0 270.373 2.763 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1ccc(C=O)cc1 ZINC000797406149 619993847 /nfs/dbraw/zinc/99/38/47/619993847.db2.gz CFPSRDQGQUSBNN-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](Cc3ccccc3)[C@H](O)C2)cc1 ZINC000897341921 620004203 /nfs/dbraw/zinc/00/42/03/620004203.db2.gz OZIWHLAPBHEPSQ-RHSMWYFYSA-N 0 0 298.342 2.635 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCc1cccc(Cl)c1 ZINC000021839844 620005156 /nfs/dbraw/zinc/00/51/56/620005156.db2.gz KDCXJBPWRKJLSV-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN COC[C@@H](C)OC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000866933991 620040630 /nfs/dbraw/zinc/04/06/30/620040630.db2.gz ZYUACIWTTIJKLU-SNVBAGLBSA-N 0 0 296.323 2.591 20 5 CFBDRN O=C(OCC1CC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000168705674 620064808 /nfs/dbraw/zinc/06/48/08/620064808.db2.gz JTBLDHKZGQFITD-UHFFFAOYSA-N 0 0 255.657 2.815 20 5 CFBDRN COC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000224028141 620077474 /nfs/dbraw/zinc/07/74/74/620077474.db2.gz WVEYKCUPNVOXPM-UHFFFAOYSA-N 0 0 272.688 2.612 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000928936767 620085639 /nfs/dbraw/zinc/08/56/39/620085639.db2.gz GTAMUMSPLXWVRF-BQYQJAHWSA-N 0 0 298.726 2.621 20 5 CFBDRN CCCC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000738575652 620109859 /nfs/dbraw/zinc/10/98/59/620109859.db2.gz ZKMRAOACWYMPGS-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN CCC[N@H+](CC(=O)[O-])Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000263439675 620144709 /nfs/dbraw/zinc/14/47/09/620144709.db2.gz VXMFEBPVNGKBNZ-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN O=C(Nc1cccc(Cl)n1)c1cccc([N+](=O)[O-])c1 ZINC000080797792 625400170 /nfs/dbraw/zinc/40/01/70/625400170.db2.gz HKVSYKSORDFMMS-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN Cc1c(C(=O)NC[C@]2(C)CCCCO2)cccc1[N+](=O)[O-] ZINC000832519986 625400181 /nfs/dbraw/zinc/40/01/81/625400181.db2.gz UEUOTPHJMKZSPI-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CC2(C1)CCCC2 ZINC000832522342 625400690 /nfs/dbraw/zinc/40/06/90/625400690.db2.gz NSEWBMAPNIYTES-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1csc([N+](=O)[O-])c1 ZINC000889587977 620169866 /nfs/dbraw/zinc/16/98/66/620169866.db2.gz SFRHRRSKTLXHBE-FXBDTBDDSA-N 0 0 266.322 2.575 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1COC[C@@H]1C1CC1 ZINC000897446234 620175198 /nfs/dbraw/zinc/17/51/98/620175198.db2.gz SGKAFUAFLGUVRS-SKDRFNHKSA-N 0 0 266.272 2.571 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1ccc([N+](=O)[O-])cc1F ZINC000889591732 620185001 /nfs/dbraw/zinc/18/50/01/620185001.db2.gz VWUMAMGJJNHDAM-GMOODISLSA-N 0 0 278.283 2.652 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H]2CSC[C@]2(C)C1 ZINC000897491491 620189312 /nfs/dbraw/zinc/18/93/12/620189312.db2.gz WFOYAIHYPJUAGT-YGRLFVJLSA-N 0 0 294.376 2.793 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1ccccc1 ZINC000110049259 620215349 /nfs/dbraw/zinc/21/53/49/620215349.db2.gz WJYMETHDAGRGBP-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN COC(=O)C[C@@H]1CC[C@H](Nc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000897544298 620237037 /nfs/dbraw/zinc/23/70/37/620237037.db2.gz NAINPTXPAYXHTM-KOLCDFICSA-N 0 0 296.298 2.878 20 5 CFBDRN COC(=O)[C@H](C)SCc1ccc(C)c([N+](=O)[O-])c1 ZINC000865352053 620239971 /nfs/dbraw/zinc/23/99/71/620239971.db2.gz VOYTUBSQADRUGS-VIFPVBQESA-N 0 0 269.322 2.698 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]([C@@H]3CCOC3)C2)cn1 ZINC000897561578 620247282 /nfs/dbraw/zinc/24/72/82/620247282.db2.gz HDIZCDPIVJZIAV-CHWSQXEVSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]([C@@H]3CCOC3)C2)s1 ZINC000897567327 620248839 /nfs/dbraw/zinc/24/88/39/620248839.db2.gz JDTYXYVABDJSKA-GHMZBOCLSA-N 0 0 297.380 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)c1C ZINC000897594083 620254066 /nfs/dbraw/zinc/25/40/66/620254066.db2.gz NBWWTXDJFSIRHE-GNXNZQSNSA-N 0 0 274.320 2.740 20 5 CFBDRN C[C@H]1CCCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000929138909 620264349 /nfs/dbraw/zinc/26/43/49/620264349.db2.gz OEVLSXLGHHRDOZ-NSHDSACASA-N 0 0 294.326 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2Cc3cccnc3C2)c1C ZINC000897639482 620266280 /nfs/dbraw/zinc/26/62/80/620266280.db2.gz ADFMHFJTYJYELT-UHFFFAOYSA-N 0 0 297.314 2.763 20 5 CFBDRN COC(=O)C[C@H](C)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000897676119 620268747 /nfs/dbraw/zinc/26/87/47/620268747.db2.gz WDWREZHXBFFBQC-ZETCQYMHSA-N 0 0 295.320 2.568 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC=C(Cl)C1 ZINC000898193841 620351497 /nfs/dbraw/zinc/35/14/97/620351497.db2.gz UYOFKVSKQWXWNU-UHFFFAOYSA-N 0 0 280.711 2.872 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1ccc(F)cc1[N+](=O)[O-] ZINC000889596098 620409196 /nfs/dbraw/zinc/40/91/96/620409196.db2.gz FXVLFFQSRVPWMD-PTOFAABTSA-N 0 0 278.283 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)c1 ZINC000889596296 620410703 /nfs/dbraw/zinc/41/07/03/620410703.db2.gz ISNFIIOPQQQJIV-DMDPSCGWSA-N 0 0 274.320 2.822 20 5 CFBDRN CCCCCOC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000040734462 620419146 /nfs/dbraw/zinc/41/91/46/620419146.db2.gz FCIMIHIHTPBWOR-UHFFFAOYSA-N 0 0 252.270 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(CC2CSC2)n1 ZINC000923887139 620426616 /nfs/dbraw/zinc/42/66/16/620426616.db2.gz RPBHWZXEXPBMKJ-UHFFFAOYSA-N 0 0 291.332 2.859 20 5 CFBDRN O=C(OC[C@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])cc1 ZINC000078301334 620447717 /nfs/dbraw/zinc/44/77/17/620447717.db2.gz NHFPKUBBFPXPOT-MRVPVSSYSA-N 0 0 290.102 2.945 20 5 CFBDRN CCCc1noc(CCc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000923801548 620449578 /nfs/dbraw/zinc/44/95/78/620449578.db2.gz VPECMJXSHPKCHI-UHFFFAOYSA-N 0 0 279.271 2.855 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2OC3CCC2CC3)c1C ZINC000899187959 620530045 /nfs/dbraw/zinc/53/00/45/620530045.db2.gz HWWYZWNPXREGIF-UHFFFAOYSA-N 0 0 290.319 2.910 20 5 CFBDRN C[C@H]1CCCN(c2ccccc2OCC[N+](=O)[O-])C1 ZINC000899231530 620533674 /nfs/dbraw/zinc/53/36/74/620533674.db2.gz QUSLNIAFSGMDHL-LBPRGKRZSA-N 0 0 264.325 2.578 20 5 CFBDRN CCCCc1nc(-c2ccc(OCC[N+](=O)[O-])cc2)no1 ZINC000899232198 620534084 /nfs/dbraw/zinc/53/40/84/620534084.db2.gz KWILAMIAYWCOFL-UHFFFAOYSA-N 0 0 291.307 2.735 20 5 CFBDRN O=[N+]([O-])CCOc1ccc(Br)c(Cl)c1 ZINC000899233009 620534523 /nfs/dbraw/zinc/53/45/23/620534523.db2.gz ZRIXZHICFSOLCK-UHFFFAOYSA-N 0 0 280.505 2.758 20 5 CFBDRN CC1=NN(c2cc([N+](=O)[O-])ccc2C)C(=O)[C@H]1C(C)C ZINC000899349626 620549824 /nfs/dbraw/zinc/54/98/24/620549824.db2.gz HSMOTDGYUGQHST-ZDUSSCGKSA-N 0 0 275.308 2.898 20 5 CFBDRN O=[N+]([O-])CCSc1nnc(NC2CCCCC2)s1 ZINC000899862026 620606802 /nfs/dbraw/zinc/60/68/02/620606802.db2.gz QTAMUBQCGOXIFN-UHFFFAOYSA-N 0 0 288.398 2.652 20 5 CFBDRN CC(C)(O)COc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000899906913 620611529 /nfs/dbraw/zinc/61/15/29/620611529.db2.gz SPBXOYJRAAXEKD-UHFFFAOYSA-N 0 0 279.214 2.763 20 5 CFBDRN CCCCNC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000899917620 620613698 /nfs/dbraw/zinc/61/36/98/620613698.db2.gz NZFWZUSOAADQKY-UHFFFAOYSA-N 0 0 274.679 2.917 20 5 CFBDRN CC(C)C[C@@](C)(C[NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000900052816 620630026 /nfs/dbraw/zinc/63/00/26/620630026.db2.gz YVIWUPIFDIEXHE-HNNXBMFYSA-N 0 0 294.351 2.821 20 5 CFBDRN Cc1nnc(CCSCc2ccc([N+](=O)[O-])cc2)o1 ZINC000901526682 620845385 /nfs/dbraw/zinc/84/53/85/620845385.db2.gz CXETVBSMMSMINU-UHFFFAOYSA-N 0 0 279.321 2.762 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000901512453 620851560 /nfs/dbraw/zinc/85/15/60/620851560.db2.gz GPYPPXGMYHNONK-OAHLLOKOSA-N 0 0 292.335 2.508 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@]12CCO[C@@H]1CCCC2 ZINC000901519012 620855029 /nfs/dbraw/zinc/85/50/29/620855029.db2.gz VXBHBWTVICQEEN-UKRRQHHQSA-N 0 0 290.319 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@@H+](CC(=O)[O-])CC(C)C ZINC000901588217 620862908 /nfs/dbraw/zinc/86/29/08/620862908.db2.gz BMLLSUQUKXWYGG-UHFFFAOYSA-N 0 0 294.351 2.754 20 5 CFBDRN C[C@@]1(C(=O)[O-])CCC[N@@H+]1C/C=C\c1ccccc1[N+](=O)[O-] ZINC000902005357 620955470 /nfs/dbraw/zinc/95/54/70/620955470.db2.gz DMBMLAMYRIIUAY-QZDDGCDVSA-N 0 0 290.319 2.547 20 5 CFBDRN CO[C@@H]1Cc2ccc(NCc3cccnc3[N+](=O)[O-])cc2C1 ZINC000902035935 620961050 /nfs/dbraw/zinc/96/10/50/620961050.db2.gz UOGSFGZQCCYHOH-OAHLLOKOSA-N 0 0 299.330 2.716 20 5 CFBDRN Cc1ccc(C[N@@H+]2CCCCC[C@@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000902039541 620961835 /nfs/dbraw/zinc/96/18/35/620961835.db2.gz YPMLJCIVHAUGQY-CYBMUJFWSA-N 0 0 292.335 2.732 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC[C@H]1C[C@]12CCOC2 ZINC000902049196 620963946 /nfs/dbraw/zinc/96/39/46/620963946.db2.gz OLNQTFZPDHJUMA-YGRLFVJLSA-N 0 0 280.299 2.881 20 5 CFBDRN COc1cccc(NCc2onc(C)c2[N+](=O)[O-])c1OC ZINC000902165163 620991779 /nfs/dbraw/zinc/99/17/79/620991779.db2.gz PYTINPASUDJDIO-UHFFFAOYSA-N 0 0 293.279 2.521 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OC[C@H]2C[C@@]23CCOC3)c1 ZINC000902298888 621025626 /nfs/dbraw/zinc/02/56/26/621025626.db2.gz WDSAKFUCERQEHE-NOZJJQNGSA-N 0 0 267.256 2.539 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H]1C[C@@]12CCOC2 ZINC000902308536 621028735 /nfs/dbraw/zinc/02/87/35/621028735.db2.gz OOHFWJQLHMKENY-IAQYHMDHSA-N 0 0 291.303 2.603 20 5 CFBDRN Cc1ncc(CSCc2cn(C)nc2[N+](=O)[O-])s1 ZINC000902322941 621035580 /nfs/dbraw/zinc/03/55/80/621035580.db2.gz BEGWDFHNUWGSDW-UHFFFAOYSA-N 0 0 284.366 2.527 20 5 CFBDRN Cc1ccc([C@@H](C)[NH2+]C2CC(C)(C(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000902397318 621065451 /nfs/dbraw/zinc/06/54/51/621065451.db2.gz VKFKDUKLIWYKTN-CKQAKLJMSA-N 0 0 292.335 2.807 20 5 CFBDRN CC(C)=CCCSCc1cn(C)nc1[N+](=O)[O-] ZINC000902424840 621074604 /nfs/dbraw/zinc/07/46/04/621074604.db2.gz QDIFPNJLQSICGG-UHFFFAOYSA-N 0 0 255.343 2.918 20 5 CFBDRN Cc1cnc(CSCc2cccnc2[N+](=O)[O-])o1 ZINC000902434309 621078907 /nfs/dbraw/zinc/07/89/07/621078907.db2.gz VQAXZSGEDXXOOO-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN Cc1conc1CSCc1cccnc1[N+](=O)[O-] ZINC000902439062 621080689 /nfs/dbraw/zinc/08/06/89/621080689.db2.gz WEKVABOATFNGOH-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN CC[C@@H]1C[C@H]1CSCCn1c(C)ncc1[N+](=O)[O-] ZINC000902578301 621129354 /nfs/dbraw/zinc/12/93/54/621129354.db2.gz UZOLUYOXSATLSN-MNOVXSKESA-N 0 0 269.370 2.879 20 5 CFBDRN CC[C@H]1c2ccccc2CN1Cn1cc([N+](=O)[O-])cn1 ZINC000902666694 621147947 /nfs/dbraw/zinc/14/79/47/621147947.db2.gz SUDHXSVGCQGGPL-AWEZNQCLSA-N 0 0 272.308 2.716 20 5 CFBDRN CCCc1noc(COc2c(F)cc(F)cc2[N+](=O)[O-])n1 ZINC000902698192 621149724 /nfs/dbraw/zinc/14/97/24/621149724.db2.gz JPNQRKASRYFILM-UHFFFAOYSA-N 0 0 299.233 2.788 20 5 CFBDRN COC/C(C)=C/Cn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000902754255 621165203 /nfs/dbraw/zinc/16/52/03/621165203.db2.gz YQWKQQXDDYTUGQ-VZUCSPMQSA-N 0 0 288.303 2.502 20 5 CFBDRN CN(CCCF)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000902800868 621180424 /nfs/dbraw/zinc/18/04/24/621180424.db2.gz WDFHFVAKUYEAMA-UHFFFAOYSA-N 0 0 273.239 2.557 20 5 CFBDRN CCn1cc(N[C@H](C)c2cc(OC)cc([N+](=O)[O-])c2)cn1 ZINC000903141609 621266741 /nfs/dbraw/zinc/26/67/41/621266741.db2.gz PVTIKYODCJMKJY-SNVBAGLBSA-N 0 0 290.323 2.993 20 5 CFBDRN COc1cc([C@H](C)[NH2+]C[C@@H]2CC[C@@H](C)O2)cc([N+](=O)[O-])c1 ZINC000903183252 621277501 /nfs/dbraw/zinc/27/75/01/621277501.db2.gz NBZUFUWEJAFAMA-SUNKGSAMSA-N 0 0 294.351 2.822 20 5 CFBDRN C[C@@H]([NH2+][C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903252989 621299342 /nfs/dbraw/zinc/29/93/42/621299342.db2.gz HGMLOWSUZLVPOE-VPQRTEOYSA-N 0 0 276.336 2.996 20 5 CFBDRN C[C@@H]1CCC[C@@H]1Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000071208304 625479412 /nfs/dbraw/zinc/47/94/12/625479412.db2.gz HUGXIBLCSIKUBV-SFYZADRCSA-N 0 0 262.269 2.732 20 5 CFBDRN C[C@H](c1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@H]1CCCO1 ZINC000903315955 621329500 /nfs/dbraw/zinc/32/95/00/621329500.db2.gz AJJBYUUIFACFLM-JOYOIKCWSA-N 0 0 289.291 2.927 20 5 CFBDRN CCC[C@@H](NCc1cn(C)cn1)c1cccc([N+](=O)[O-])c1 ZINC000903353141 621344520 /nfs/dbraw/zinc/34/45/20/621344520.db2.gz ZKPIJUIWLMMGEN-OAHLLOKOSA-N 0 0 288.351 2.959 20 5 CFBDRN C[C@@H](c1nc(-c2ccc([N+](=O)[O-])s2)no1)[C@@H]1CCCO1 ZINC000903669483 621413513 /nfs/dbraw/zinc/41/35/13/621413513.db2.gz DJKWDZRMMWMXQH-SFYZADRCSA-N 0 0 295.320 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2[C@H]3CCC(=O)[C@H]32)n1 ZINC000903651294 621414293 /nfs/dbraw/zinc/41/42/93/621414293.db2.gz ZZCBYIHTUMJGNS-WCFLWFBJSA-N 0 0 299.286 2.646 20 5 CFBDRN C[C@@H](c1noc(-c2ccc([N+](=O)[O-])s2)n1)[C@H]1CCCO1 ZINC000903792341 621438946 /nfs/dbraw/zinc/43/89/46/621438946.db2.gz PXZRLSPOHZHMDD-HTQZYQBOSA-N 0 0 295.320 2.989 20 5 CFBDRN C[C@@]1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)C=CCC1 ZINC000904003184 621504778 /nfs/dbraw/zinc/50/47/78/621504778.db2.gz CYEGCDQTRCWFIX-CYBMUJFWSA-N 0 0 265.240 2.996 20 5 CFBDRN CN(Cc1nc(CCC(C)(C)[N+](=O)[O-])no1)CC(C)(C)C ZINC000904080116 621518055 /nfs/dbraw/zinc/51/80/55/621518055.db2.gz LWXMYKDDMKFDCG-UHFFFAOYSA-N 0 0 298.387 2.535 20 5 CFBDRN Nc1ncc(-c2nc(C3CCCCC3)no2)cc1[N+](=O)[O-] ZINC000904357269 621583292 /nfs/dbraw/zinc/58/32/92/621583292.db2.gz KMHFBAMGYAVDPB-UHFFFAOYSA-N 0 0 289.295 2.670 20 5 CFBDRN COCc1noc(-c2cc([N+](=O)[O-])cc3ccccc32)n1 ZINC000904534102 621605446 /nfs/dbraw/zinc/60/54/46/621605446.db2.gz WPQQYKQVKSXFHW-UHFFFAOYSA-N 0 0 285.259 2.944 20 5 CFBDRN Cc1ccc(-c2noc(-c3cc([N+](=O)[O-])cnc3N)n2)cc1 ZINC000904568270 621611349 /nfs/dbraw/zinc/61/13/49/621611349.db2.gz APKZCYUDGXIFQN-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN COC[C@@H]1CCC[N@H+](Cc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000832740172 625504305 /nfs/dbraw/zinc/50/43/05/625504305.db2.gz TWRYBXDNFQYMHM-GFCCVEGCSA-N 0 0 296.342 2.982 20 5 CFBDRN Cc1nc(C)c(CNc2ccc(F)c([N+](=O)[O-])c2)o1 ZINC000905104925 621700235 /nfs/dbraw/zinc/70/02/35/621700235.db2.gz ZIWFHLRHAQPZIZ-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1ccc(OC[C@H]2C[C@]23CCOC3)c([N+](=O)[O-])c1 ZINC000905231338 621721622 /nfs/dbraw/zinc/72/16/22/621721622.db2.gz JPBOINOAMUOJOE-RISCZKNCSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H]2C[C@]23CCOC3)c1 ZINC000905235686 621731451 /nfs/dbraw/zinc/73/14/51/621731451.db2.gz SCDOXFQIDLWXLS-RISCZKNCSA-N 0 0 263.293 2.709 20 5 CFBDRN O=[N+]([O-])CCNc1ccc(N2CCCC2)c(Cl)c1 ZINC000905318502 621740362 /nfs/dbraw/zinc/74/03/62/621740362.db2.gz IMIDWWNBFQQMMM-UHFFFAOYSA-N 0 0 269.732 2.629 20 5 CFBDRN COc1ccc(NCC[N+](=O)[O-])cc1Cc1ccccc1 ZINC000905301466 621745430 /nfs/dbraw/zinc/74/54/30/621745430.db2.gz USVVHIQKEPYXCY-UHFFFAOYSA-N 0 0 286.331 2.975 20 5 CFBDRN Cc1cc(C)c(NCC[N+](=O)[O-])cc1Br ZINC000905334039 621749879 /nfs/dbraw/zinc/74/98/79/621749879.db2.gz LEECQHDXOLXVPR-UHFFFAOYSA-N 0 0 273.130 2.755 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CC1(C)COC1 ZINC000905709213 621825428 /nfs/dbraw/zinc/82/54/28/621825428.db2.gz IOVGXGBZOUTYHZ-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN CCC[C@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC000905852038 621888753 /nfs/dbraw/zinc/88/87/53/621888753.db2.gz FWVAMDXHDJNENO-RISCZKNCSA-N 0 0 294.351 2.977 20 5 CFBDRN CCc1noc([C@@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000905851979 621888756 /nfs/dbraw/zinc/88/87/56/621888756.db2.gz DZSOAXZIWWGYOC-NXEZZACHSA-N 0 0 290.323 2.952 20 5 CFBDRN CC[C@H](O)COc1cccc(Br)c1[N+](=O)[O-] ZINC000905981769 621913545 /nfs/dbraw/zinc/91/35/45/621913545.db2.gz ZAFUKKIBDMYWFC-ZETCQYMHSA-N 0 0 290.113 2.507 20 5 CFBDRN CC1=NO[C@](C)(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000906117669 621933314 /nfs/dbraw/zinc/93/33/14/621933314.db2.gz CDNSZQWHHSZOEL-YGRLFVJLSA-N 0 0 292.291 2.754 20 5 CFBDRN CC(C)Oc1cncc(-c2cc(CO)cc([N+](=O)[O-])c2)c1 ZINC000906195246 621957009 /nfs/dbraw/zinc/95/70/09/621957009.db2.gz ANNPIXYGKSHECC-UHFFFAOYSA-N 0 0 288.303 2.936 20 5 CFBDRN CCC(CC)N(CC)C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000832822372 625527708 /nfs/dbraw/zinc/52/77/08/625527708.db2.gz DNNQGKKOKZFLPH-AWEZNQCLSA-N 0 0 294.351 2.665 20 5 CFBDRN Cc1ccc2cc(OC(=O)[C@@H]3C[C@H]3[N+](=O)[O-])ccc2c1 ZINC000906280293 621966292 /nfs/dbraw/zinc/96/62/92/621966292.db2.gz GOHDDTKMQYQCSI-ZIAGYGMSSA-N 0 0 271.272 2.719 20 5 CFBDRN CC(C)(C)[S@@](C)(=O)=NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000906311431 621972413 /nfs/dbraw/zinc/97/24/13/621972413.db2.gz WIPMYWNFFRMGKX-HXUWFJFHSA-N 0 0 298.364 2.560 20 5 CFBDRN CC1=CCN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)CC1 ZINC000907134315 622089373 /nfs/dbraw/zinc/08/93/73/622089373.db2.gz XDVMQNOUMUYDRX-UHFFFAOYSA-N 0 0 295.726 2.623 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@H]2C[C@H]21)c1cc([N+](=O)[O-])ccc1F ZINC000927481671 622177156 /nfs/dbraw/zinc/17/71/56/622177156.db2.gz REKCRCPGHOMXPZ-NHCYSSNCSA-N 0 0 292.310 2.900 20 5 CFBDRN O=C(OC[C@@H]1CCCC2(CCC2)O1)c1ccc([N+](=O)[O-])o1 ZINC000908694513 622261476 /nfs/dbraw/zinc/26/14/76/622261476.db2.gz ZFTACIKUOXKUQB-JTQLQIEISA-N 0 0 295.291 2.836 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000908702622 622266050 /nfs/dbraw/zinc/26/60/50/622266050.db2.gz LMJRUJBJEFPETR-APPZFPTMSA-N 0 0 297.694 2.630 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000908712059 622267631 /nfs/dbraw/zinc/26/76/31/622267631.db2.gz FJGJTVQJGSGPKK-JXMROGBWSA-N 0 0 279.292 2.653 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000908951004 622288802 /nfs/dbraw/zinc/28/88/02/622288802.db2.gz AJGPIUGPCDDLGC-POHAHGRESA-N 0 0 279.292 2.653 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000909038143 622300061 /nfs/dbraw/zinc/30/00/61/622300061.db2.gz IRUJEOYUUIMBGK-CABZTGNLSA-N 0 0 291.303 2.539 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000909082736 622307844 /nfs/dbraw/zinc/30/78/44/622307844.db2.gz PTYXXZSYHYYSIF-UHFFFAOYSA-N 0 0 292.335 2.782 20 5 CFBDRN COC/C(C)=C/COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000909113641 622314670 /nfs/dbraw/zinc/31/46/70/622314670.db2.gz OLAVEPGPOVEACH-WEVVVXLNSA-N 0 0 299.710 2.998 20 5 CFBDRN COCCCCOC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026409 622388054 /nfs/dbraw/zinc/38/80/54/622388054.db2.gz CMRIUARSMPTTTK-KGLIPLIRSA-N 0 0 293.319 2.668 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)N2CC=CC2)cc1[N+](=O)[O-] ZINC000910006072 622389609 /nfs/dbraw/zinc/38/96/09/622389609.db2.gz SPDBMGGOOJAMLV-UHFFFAOYSA-N 0 0 274.320 2.904 20 5 CFBDRN CO[C@H](C)CCOC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000174839426 622395327 /nfs/dbraw/zinc/39/53/27/622395327.db2.gz ZBMIKHKAWAHJBB-SECBINFHSA-N 0 0 299.348 2.899 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000081053835 625569264 /nfs/dbraw/zinc/56/92/64/625569264.db2.gz HGKATKATVMJQDY-SECBINFHSA-N 0 0 268.288 2.756 20 5 CFBDRN CC(C)[C@@H](C)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475792 622522221 /nfs/dbraw/zinc/52/22/21/622522221.db2.gz JJBVTNQJENBPLP-MRVPVSSYSA-N 0 0 286.278 2.781 20 5 CFBDRN CC1(C)CC(C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC000911474597 622522360 /nfs/dbraw/zinc/52/23/60/622522360.db2.gz MCSDRTYIGOAUTO-UHFFFAOYSA-N 0 0 298.289 2.925 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2C[C@H]2C2CCC2)c1[N+](=O)[O-] ZINC000819630872 622540065 /nfs/dbraw/zinc/54/00/65/622540065.db2.gz OQYHUHQOLKISGV-WCQYABFASA-N 0 0 292.310 2.961 20 5 CFBDRN Cc1cscc1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819893966 622568467 /nfs/dbraw/zinc/56/84/67/622568467.db2.gz XTPHIKJDGLFDLO-UHFFFAOYSA-N 0 0 277.305 2.799 20 5 CFBDRN CCC[C@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819905691 622569222 /nfs/dbraw/zinc/56/92/22/622569222.db2.gz TXPJDLPPNZVDTR-QMMMGPOBSA-N 0 0 251.286 2.552 20 5 CFBDRN CC(=O)c1ccc(N2CC(CC(F)F)C2)c([N+](=O)[O-])c1 ZINC000819950715 622581345 /nfs/dbraw/zinc/58/13/45/622581345.db2.gz USNHEZGOIMPDGD-UHFFFAOYSA-N 0 0 284.262 2.889 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000819964694 622586600 /nfs/dbraw/zinc/58/66/00/622586600.db2.gz VUXPVDWQNXZLOS-PKZQLUFMSA-N 0 0 291.303 2.934 20 5 CFBDRN CCC1(C)CN(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000819969527 622587698 /nfs/dbraw/zinc/58/76/98/622587698.db2.gz NJOXXDWBRAOIKI-KBPBESRZSA-N 0 0 288.347 2.957 20 5 CFBDRN Cc1cc(C(=O)NOc2ccccc2)cc([N+](=O)[O-])c1 ZINC000820042765 622602580 /nfs/dbraw/zinc/60/25/80/622602580.db2.gz GKFNCVIBOZYQKJ-UHFFFAOYSA-N 0 0 272.260 2.627 20 5 CFBDRN Cc1cc(F)cc(C(=O)NN2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000912141824 622644766 /nfs/dbraw/zinc/64/47/66/622644766.db2.gz DCCJVHCOMKZAEB-JTQLQIEISA-N 0 0 295.314 2.562 20 5 CFBDRN CC(C)(C(=O)O[C@H]1CCC(C)(C)C1)n1cc([N+](=O)[O-])cn1 ZINC000820331115 622651505 /nfs/dbraw/zinc/65/15/05/622651505.db2.gz DMMYDGIMASTXQX-NSHDSACASA-N 0 0 295.339 2.648 20 5 CFBDRN CO[C@H](COC(=O)c1cccc(Cl)c1[N+](=O)[O-])C1CC1 ZINC000820422135 622669208 /nfs/dbraw/zinc/66/92/08/622669208.db2.gz FBNQBWCGLAXCPX-LLVKDONJSA-N 0 0 299.710 2.830 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC000820474953 622683795 /nfs/dbraw/zinc/68/37/95/622683795.db2.gz IWMHTVKMKRHWRO-GFCCVEGCSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(COc1c(F)cc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000912359242 622693369 /nfs/dbraw/zinc/69/33/69/622693369.db2.gz OGWZDGZXQCSJNU-UHFFFAOYSA-N 0 0 273.647 2.745 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000912388245 622702919 /nfs/dbraw/zinc/70/29/19/622702919.db2.gz JXLJPGCLEZYRDR-QMMMGPOBSA-N 0 0 284.674 2.836 20 5 CFBDRN CCSCCOC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000072007510 625588156 /nfs/dbraw/zinc/58/81/56/625588156.db2.gz ASXMLXFDUDPFPB-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1CCc2cccnc21 ZINC000820759922 622751757 /nfs/dbraw/zinc/75/17/57/622751757.db2.gz GELKRWRDKNZQDW-CQSZACIVSA-N 0 0 298.298 2.763 20 5 CFBDRN O=C(c1cnco1)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000912653811 622755401 /nfs/dbraw/zinc/75/54/01/622755401.db2.gz VWXZUYZHCMPFAN-UHFFFAOYSA-N 0 0 287.275 2.566 20 5 CFBDRN COc1ccc(C=O)cc1Oc1ccc([N+](=O)[O-])nc1 ZINC000912732582 622771436 /nfs/dbraw/zinc/77/14/36/622771436.db2.gz WIAFZLWATNEMCQ-UHFFFAOYSA-N 0 0 274.232 2.603 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@H]1C1CC1 ZINC000821149957 622856068 /nfs/dbraw/zinc/85/60/68/622856068.db2.gz STQONWBXAYAJCU-ZNMIVQPWSA-N 0 0 286.331 2.709 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000821179931 622863067 /nfs/dbraw/zinc/86/30/67/622863067.db2.gz XAHKXNUZPIDXKN-IIMNLJJBSA-N 0 0 274.320 2.613 20 5 CFBDRN CC/C=C\CNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000821308096 622890397 /nfs/dbraw/zinc/89/03/97/622890397.db2.gz KFXGDCJEZTXZCD-ARJAWSKDSA-N 0 0 280.299 2.749 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Oc1ccc(F)cc1 ZINC000151436284 622910897 /nfs/dbraw/zinc/91/08/97/622910897.db2.gz KCFHNWIRDKGMBH-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN O=C(/C=C\c1cccs1)NCc1ccccc1[N+](=O)[O-] ZINC000221558046 622919523 /nfs/dbraw/zinc/91/95/23/622919523.db2.gz TVIHZQMHKDNERV-FPLPWBNLSA-N 0 0 288.328 2.986 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000163694316 622919825 /nfs/dbraw/zinc/91/98/25/622919825.db2.gz QNHYGSQCBOAMFW-LLVKDONJSA-N 0 0 293.319 2.701 20 5 CFBDRN CC[C@H](CSC)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000163810384 622924186 /nfs/dbraw/zinc/92/41/86/622924186.db2.gz KONHSXOIGXYDCZ-SSDOTTSWSA-N 0 0 282.325 2.685 20 5 CFBDRN CC(C)c1ccccc1OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000044668562 622940231 /nfs/dbraw/zinc/94/02/31/622940231.db2.gz YCYYVPSEKTZJCJ-UHFFFAOYSA-N 0 0 289.291 2.520 20 5 CFBDRN O=C(Nc1n[nH]c2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000055351298 622942989 /nfs/dbraw/zinc/94/29/89/622942989.db2.gz SNGVTBQSZVPEFV-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 ZINC000156646387 622952450 /nfs/dbraw/zinc/95/24/50/622952450.db2.gz BKLWZOHBRCOZOC-POYBYMJQSA-N 0 0 299.124 2.952 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCC(C)C ZINC000078341599 622957009 /nfs/dbraw/zinc/95/70/09/622957009.db2.gz YACKZBHGSORACR-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSC2=Nc3ccccc3C2)c1 ZINC000074783473 622983208 /nfs/dbraw/zinc/98/32/08/622983208.db2.gz BGNQKHGCTSMCKZ-UHFFFAOYSA-N 0 0 288.332 2.811 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC=CCC1 ZINC000166006242 622999768 /nfs/dbraw/zinc/99/97/68/622999768.db2.gz XKYHDGZZNWSRMX-UHFFFAOYSA-N 0 0 266.684 2.650 20 5 CFBDRN CCCCCCOC(=O)c1ccc([N+](=O)[O-])cc1N ZINC000057454336 623001257 /nfs/dbraw/zinc/00/12/57/623001257.db2.gz RZAWAOSYSOKXAS-UHFFFAOYSA-N 0 0 266.297 2.914 20 5 CFBDRN CCC[C@@H](CC)OC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000157206967 623013330 /nfs/dbraw/zinc/01/33/30/623013330.db2.gz DOBUIASHBQZHQT-GFCCVEGCSA-N 0 0 297.355 2.920 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccoc1 ZINC000057799223 623014884 /nfs/dbraw/zinc/01/48/84/623014884.db2.gz RPKPTHRSDPPNIQ-UHFFFAOYSA-N 0 0 250.185 2.579 20 5 CFBDRN CCOc1ccc(C(=O)OC[C@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000822080618 623030599 /nfs/dbraw/zinc/03/05/99/623030599.db2.gz VCOQENCQUMLMKH-OCCSQVGLSA-N 0 0 297.282 2.898 20 5 CFBDRN Cc1noc(C)c1CC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000045997468 623039082 /nfs/dbraw/zinc/03/90/82/623039082.db2.gz CUPBHEIOXVIUNQ-UHFFFAOYSA-N 0 0 290.275 2.656 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)Oc1ccccc1F ZINC000046114449 623042302 /nfs/dbraw/zinc/04/23/02/623042302.db2.gz NJTFVEAEVWVVQE-UHFFFAOYSA-N 0 0 291.234 2.718 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2C(F)F)CC[C@@H]1O ZINC000167082774 623045636 /nfs/dbraw/zinc/04/56/36/623045636.db2.gz JBLYXOVENNTJDN-UFBFGSQYSA-N 0 0 286.278 2.740 20 5 CFBDRN COc1c(C(=O)OC[C@@]2(C)C[C@H]2F)cccc1[N+](=O)[O-] ZINC000822136871 623047371 /nfs/dbraw/zinc/04/73/71/623047371.db2.gz GEYHVCZQAWBSJB-ZWNOBZJWSA-N 0 0 283.255 2.508 20 5 CFBDRN CN(Cc1ccc(F)cc1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822211026 623058460 /nfs/dbraw/zinc/05/84/60/623058460.db2.gz CHEIKSYKHLPNDY-UHFFFAOYSA-N 0 0 282.315 2.620 20 5 CFBDRN O=[N+]([O-])c1cccc(OS(=O)(=O)CC2CCCCC2)c1 ZINC000078503074 623068022 /nfs/dbraw/zinc/06/80/22/623068022.db2.gz MJWPXWLCAYGIRH-UHFFFAOYSA-N 0 0 299.348 2.884 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000822321447 623080968 /nfs/dbraw/zinc/08/09/68/623080968.db2.gz QASFMZIBKURQRY-VIFPVBQESA-N 0 0 296.289 2.623 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822432513 623102296 /nfs/dbraw/zinc/10/22/96/623102296.db2.gz IEYPFCOQTWMDLG-VXGBXAGGSA-N 0 0 270.373 2.859 20 5 CFBDRN CSC1(CNC(=O)c2c(C)ccc([N+](=O)[O-])c2C)CC1 ZINC000822526210 623120911 /nfs/dbraw/zinc/12/09/11/623120911.db2.gz DJDSLGXGWTVVAG-UHFFFAOYSA-N 0 0 294.376 2.837 20 5 CFBDRN CNc1ccc(C(=O)NCCC2CCC2)cc1[N+](=O)[O-] ZINC000228261714 623137889 /nfs/dbraw/zinc/13/78/89/623137889.db2.gz CVFDBEVGNCUCSA-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN Cc1c(OCC2(O)CCCCC2)cccc1[N+](=O)[O-] ZINC000087458435 623160823 /nfs/dbraw/zinc/16/08/23/623160823.db2.gz CXCVGFFZHMAGIX-UHFFFAOYSA-N 0 0 265.309 2.977 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CSC1(C)C ZINC000822773840 623171988 /nfs/dbraw/zinc/17/19/88/623171988.db2.gz LQSMGTRGTCAQIO-NSHDSACASA-N 0 0 294.376 2.835 20 5 CFBDRN C[S@](=O)CCCNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000229294424 623195817 /nfs/dbraw/zinc/19/58/17/623195817.db2.gz OFDWSYXFRDAUQY-IBGZPJMESA-N 0 0 292.307 2.713 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H](C)C(F)(F)F ZINC000822963832 623210440 /nfs/dbraw/zinc/21/04/40/623210440.db2.gz JSORKJYKFSSHER-QMMMGPOBSA-N 0 0 290.241 2.892 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NOC/C=C\Cl ZINC000823046203 623223763 /nfs/dbraw/zinc/22/37/63/623223763.db2.gz HOPSGTJPRPMWPJ-UTCJRWHESA-N 0 0 284.699 2.626 20 5 CFBDRN CC(C)n1cc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000061683422 623228077 /nfs/dbraw/zinc/22/80/77/623228077.db2.gz UYTBZQMEBQOPTA-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000823077462 623229353 /nfs/dbraw/zinc/22/93/53/623229353.db2.gz VNNCSVYMIVZIKY-NSHDSACASA-N 0 0 294.351 2.709 20 5 CFBDRN CC[C@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000171965775 623250882 /nfs/dbraw/zinc/25/08/82/623250882.db2.gz SQBBMBPFOCHFKF-GWCFXTLKSA-N 0 0 282.315 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(C[NH2+]CCCCCCO)c(Cl)c1 ZINC000230147800 623255809 /nfs/dbraw/zinc/25/58/09/623255809.db2.gz CUKGKOLTAVYHNO-UHFFFAOYSA-N 0 0 286.759 2.891 20 5 CFBDRN CC1(C)OC[C@@H](CNc2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000230236781 623266036 /nfs/dbraw/zinc/26/60/36/623266036.db2.gz IHERRBOYDJANSI-SECBINFHSA-N 0 0 286.715 2.812 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000230415402 623274685 /nfs/dbraw/zinc/27/46/85/623274685.db2.gz XSISKRCZPWGRAN-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN CC(C)OC(=O)CSCc1cc(F)ccc1[N+](=O)[O-] ZINC000172698806 623299583 /nfs/dbraw/zinc/29/95/83/623299583.db2.gz VMDRTBXCSSUFIO-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1ccc(C)cc1C ZINC000172722701 623300160 /nfs/dbraw/zinc/30/01/60/623300160.db2.gz KDLNYYFMZLORJS-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)C1CC=CC1 ZINC000172728160 623300800 /nfs/dbraw/zinc/30/08/00/623300800.db2.gz PZSKZVRNTJFNPC-UHFFFAOYSA-N 0 0 261.277 2.647 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N1CCC[C@H]1C ZINC000172812498 623308972 /nfs/dbraw/zinc/30/89/72/623308972.db2.gz WDDYWSMZIZEJCE-SECBINFHSA-N 0 0 296.298 2.899 20 5 CFBDRN O=C(NCCC(F)(F)F)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000063100696 623322613 /nfs/dbraw/zinc/32/26/13/623322613.db2.gz YXCLSVVVWAAQFP-UHFFFAOYSA-N 0 0 296.632 2.930 20 5 CFBDRN Cc1nn(CN2CCC(C(C)C)CC2)c(C)c1[N+](=O)[O-] ZINC000172956199 623325678 /nfs/dbraw/zinc/32/56/78/623325678.db2.gz JTKIMAVBPGFUNI-UHFFFAOYSA-N 0 0 280.372 2.734 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nn2cnc3ccccc32)c1 ZINC000173020152 623329228 /nfs/dbraw/zinc/32/92/28/623329228.db2.gz KQEDLCJPIVCIRY-UHFFFAOYSA-N 0 0 296.286 2.637 20 5 CFBDRN C[C@@H](O)[C@H](C)SCc1cc(F)ccc1[N+](=O)[O-] ZINC000231168386 623330372 /nfs/dbraw/zinc/33/03/72/623330372.db2.gz RFXROPCRNYUPER-SFYZADRCSA-N 0 0 259.302 2.736 20 5 CFBDRN CC(C)CCOC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173502778 623353688 /nfs/dbraw/zinc/35/36/88/623353688.db2.gz RAFHVTAWVVDNIB-UHFFFAOYSA-N 0 0 251.282 2.727 20 5 CFBDRN CCON(C(=O)CCc1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000823603208 623357440 /nfs/dbraw/zinc/35/74/40/623357440.db2.gz SWXHKGSTOQNJTN-UHFFFAOYSA-N 0 0 298.314 2.855 20 5 CFBDRN Cn1cccc1CNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000231694603 623365150 /nfs/dbraw/zinc/36/51/50/623365150.db2.gz ATHLQQGZBFAXCP-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN O=C(CCC(F)(F)F)OCc1cccc([N+](=O)[O-])c1 ZINC000174125143 623390145 /nfs/dbraw/zinc/39/01/45/623390145.db2.gz IQLYJFLDMOSWRF-UHFFFAOYSA-N 0 0 277.198 2.981 20 5 CFBDRN Cc1c(NC(=O)C2=CCCCO2)cccc1[N+](=O)[O-] ZINC000174173011 623394642 /nfs/dbraw/zinc/39/46/42/623394642.db2.gz NBDYUVZKCODTPF-UHFFFAOYSA-N 0 0 262.265 2.536 20 5 CFBDRN CC(C)(C)OCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000063901705 623401042 /nfs/dbraw/zinc/40/10/42/623401042.db2.gz MGWDYCQIOPJLOP-RMKNXTFCSA-N 0 0 293.319 2.966 20 5 CFBDRN CCCn1cc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000174266552 623403315 /nfs/dbraw/zinc/40/33/15/623403315.db2.gz GVLZNBOHOZLWQB-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823913860 623417603 /nfs/dbraw/zinc/41/76/03/623417603.db2.gz GFVUVRLIAFHKOP-SECBINFHSA-N 0 0 292.339 2.687 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823926786 623419889 /nfs/dbraw/zinc/41/98/89/623419889.db2.gz HKWCIGQUKHWIOQ-BXKDBHETSA-N 0 0 292.339 2.733 20 5 CFBDRN Nc1ccc(NC(=O)NCC2=CCCCC2)cc1[N+](=O)[O-] ZINC000823932947 623420906 /nfs/dbraw/zinc/42/09/06/623420906.db2.gz HMQAKHABSXBLPS-UHFFFAOYSA-N 0 0 290.323 2.799 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823956492 623425156 /nfs/dbraw/zinc/42/51/56/623425156.db2.gz RTGOFYJRECXAHG-UHFFFAOYSA-N 0 0 294.355 2.981 20 5 CFBDRN COc1ccc(C(=O)OCC2CCC2)cc1[N+](=O)[O-] ZINC000174528996 623426108 /nfs/dbraw/zinc/42/61/08/623426108.db2.gz BUXZSFPKCWHKRX-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823961708 623426473 /nfs/dbraw/zinc/42/64/73/623426473.db2.gz JZOJKAXJNRJDSZ-SECBINFHSA-N 0 0 292.339 2.877 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823968749 623427206 /nfs/dbraw/zinc/42/72/06/623427206.db2.gz ZUDOLVJGWFPFSU-KOLCDFICSA-N 0 0 292.339 2.972 20 5 CFBDRN Nc1ccc(NC(=O)NC23CCC(CC2)C3)cc1[N+](=O)[O-] ZINC000823968573 623427473 /nfs/dbraw/zinc/42/74/73/623427473.db2.gz WNUGQBWDXQGQOK-UHFFFAOYSA-N 0 0 290.323 2.631 20 5 CFBDRN CCOCCCCOC(=O)c1cccc([N+](=O)[O-])c1C ZINC000174567883 623428717 /nfs/dbraw/zinc/42/87/17/623428717.db2.gz IHOJSLPCJFGUES-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN CC[C@H]1CCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823994664 623429983 /nfs/dbraw/zinc/42/99/83/623429983.db2.gz XREZLTOMFNZSCO-JTQLQIEISA-N 0 0 278.312 2.583 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C(C)(C)C1 ZINC000823988222 623430084 /nfs/dbraw/zinc/43/00/84/623430084.db2.gz VEIWJROEGQPCAI-SECBINFHSA-N 0 0 292.339 2.829 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC2(CC2(F)F)C1 ZINC000824286340 623482772 /nfs/dbraw/zinc/48/27/72/623482772.db2.gz OGAVHKYHORADHN-UHFFFAOYSA-N 0 0 296.273 2.693 20 5 CFBDRN O=C(OC/C=C\Cl)c1ccc(F)cc1[N+](=O)[O-] ZINC000758112505 623499803 /nfs/dbraw/zinc/49/98/03/623499803.db2.gz AANMTJNTPXYIMU-RJRFIUFISA-N 0 0 259.620 2.643 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])c(Cl)c1)C1COC1 ZINC000824471737 623511057 /nfs/dbraw/zinc/51/10/57/623511057.db2.gz HBXWPZPEZKHGMW-UHFFFAOYSA-N 0 0 284.743 2.857 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC2(CC2)C1 ZINC000824533675 623518920 /nfs/dbraw/zinc/51/89/20/623518920.db2.gz JRVPJTRDYHLNPV-UHFFFAOYSA-N 0 0 252.701 2.844 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000824681062 623544503 /nfs/dbraw/zinc/54/45/03/623544503.db2.gz HICIDTUERDIZFI-VXGBXAGGSA-N 0 0 274.320 2.694 20 5 CFBDRN CC(C(=O)NCCc1ccc([N+](=O)[O-])cc1)=C1CCC1 ZINC000825388926 623684888 /nfs/dbraw/zinc/68/48/88/623684888.db2.gz FMPRXYJDFAIDEV-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000825634120 623727326 /nfs/dbraw/zinc/72/73/26/623727326.db2.gz MSXMINRGRKOREU-LBPRGKRZSA-N 0 0 276.336 2.956 20 5 CFBDRN CCC/C=C/C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825634601 623727625 /nfs/dbraw/zinc/72/76/25/623727625.db2.gz RWCHIQQGGWTUSK-AATRIKPKSA-N 0 0 262.309 2.876 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)n(C)n1 ZINC000152525814 623728614 /nfs/dbraw/zinc/72/86/14/623728614.db2.gz DEOUFJRGWNZEFN-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CCc1occc1C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825641123 623729334 /nfs/dbraw/zinc/72/93/34/623729334.db2.gz RHHPNOMSTSFOFP-UHFFFAOYSA-N 0 0 288.303 2.989 20 5 CFBDRN CS[C@H](C)CC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825647474 623729911 /nfs/dbraw/zinc/72/99/11/623729911.db2.gz FYMJEGUCPGQTFS-SNVBAGLBSA-N 0 0 282.365 2.661 20 5 CFBDRN CC[C@H](C)CC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825647145 623730194 /nfs/dbraw/zinc/73/01/94/623730194.db2.gz CVXQZZLTJYWKPL-JTQLQIEISA-N 0 0 264.325 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)CCC(C)C)cc1[N+](=O)[O-] ZINC000825655393 623731054 /nfs/dbraw/zinc/73/10/54/623731054.db2.gz LTRQOOPSNUYEPF-UHFFFAOYSA-N 0 0 264.325 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000825648733 623731332 /nfs/dbraw/zinc/73/13/32/623731332.db2.gz ZXRAGYLJVSSLNJ-LLVKDONJSA-N 0 0 262.309 2.566 20 5 CFBDRN Cc1ccc(CNC(=O)CCc2cccnc2)cc1[N+](=O)[O-] ZINC000825655153 623731411 /nfs/dbraw/zinc/73/14/11/623731411.db2.gz JTHZYBUBCUOADT-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN Cc1cc(C(=O)NCc2ccc(C)c([N+](=O)[O-])c2)c(C)[nH]1 ZINC000825655566 623732014 /nfs/dbraw/zinc/73/20/14/623732014.db2.gz QUNURCDWBUTCTD-UHFFFAOYSA-N 0 0 287.319 2.778 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1ccccn1 ZINC000152540612 623732131 /nfs/dbraw/zinc/73/21/31/623732131.db2.gz IKOHTRMYHIQOIT-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CC[C@H](NC(=O)O[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000914227201 623741794 /nfs/dbraw/zinc/74/17/94/623741794.db2.gz LFYMADNYAWYIEU-STQMWFEESA-N 0 0 294.307 2.561 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000072500909 625677125 /nfs/dbraw/zinc/67/71/25/625677125.db2.gz MPWKSAVXOOAKAD-PSASIEDQSA-N 0 0 298.339 2.748 20 5 CFBDRN CCCC[C@](C)(CO)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000826624229 623824916 /nfs/dbraw/zinc/82/49/16/623824916.db2.gz PJVMWKDZLJDQPI-CQSZACIVSA-N 0 0 292.339 2.596 20 5 CFBDRN O=C(NOCC1CCC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000826627366 623826159 /nfs/dbraw/zinc/82/61/59/623826159.db2.gz HDUJNSKWGFIKQS-KGLIPLIRSA-N 0 0 290.319 2.546 20 5 CFBDRN CCS[C@H]1CCC[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826646856 623828989 /nfs/dbraw/zinc/82/89/89/623828989.db2.gz GNVYQTFGAAEVQI-MNOVXSKESA-N 0 0 288.413 2.612 20 5 CFBDRN O=C(CC(F)(F)C(F)F)NCc1ccccc1[N+](=O)[O-] ZINC000888268926 623834204 /nfs/dbraw/zinc/83/42/04/623834204.db2.gz LJEULTLIJBRKID-UHFFFAOYSA-N 0 0 294.204 2.502 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2CC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000762168138 623880289 /nfs/dbraw/zinc/88/02/89/623880289.db2.gz AJDUPWDVKWSVIV-CBAPKCEASA-N 0 0 285.321 2.689 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000826804118 623881823 /nfs/dbraw/zinc/88/18/23/623881823.db2.gz ZIBDDYSPWAUXID-SFYZADRCSA-N 0 0 283.309 2.809 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)cc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000826816285 623884089 /nfs/dbraw/zinc/88/40/89/623884089.db2.gz DZYJHQWDWBSHSQ-LLVKDONJSA-N 0 0 291.351 2.715 20 5 CFBDRN CCN(CCSC)c1ccc([N+](=O)[O-])cc1COC ZINC000826850262 623891863 /nfs/dbraw/zinc/89/18/63/623891863.db2.gz CMYMVLCLUNQKIN-UHFFFAOYSA-N 0 0 284.381 2.931 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826860345 623896437 /nfs/dbraw/zinc/89/64/37/623896437.db2.gz CBJHKYLHNCXRFI-GHMZBOCLSA-N 0 0 256.346 2.517 20 5 CFBDRN Cc1ccc([C@H]2CCCN2Cn2cc([N+](=O)[O-])cn2)cc1 ZINC000914566055 623899971 /nfs/dbraw/zinc/89/99/71/623899971.db2.gz PFVPMIMIBVKSNE-OAHLLOKOSA-N 0 0 286.335 2.894 20 5 CFBDRN Cc1ccc(C2=CCN(Cn3cc([N+](=O)[O-])cn3)CC2)cc1 ZINC000914565950 623900353 /nfs/dbraw/zinc/90/03/53/623900353.db2.gz LRMJMDCEILNIAQ-UHFFFAOYSA-N 0 0 298.346 2.847 20 5 CFBDRN Cc1sc(C(=O)OC/C=C/Cl)cc1[N+](=O)[O-] ZINC000914585447 623902167 /nfs/dbraw/zinc/90/21/67/623902167.db2.gz RURXRCWMZCXOED-NSCUHMNNSA-N 0 0 261.686 2.874 20 5 CFBDRN COCCN(CCF)C/C(C)=C/c1cccc([N+](=O)[O-])c1 ZINC000827054686 623933282 /nfs/dbraw/zinc/93/32/82/623933282.db2.gz VRBNXGDEXCNMNA-JLHYYAGUSA-N 0 0 296.342 2.916 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)[C@H]1CC1(F)F ZINC000835166136 623947994 /nfs/dbraw/zinc/94/79/94/623947994.db2.gz AABCCNJKEHHIRS-MRVPVSSYSA-N 0 0 291.637 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(C(Cl)=Cc3ncc[nH]3)nc2c1 ZINC000731157693 623960057 /nfs/dbraw/zinc/96/00/57/623960057.db2.gz ZTEKPTUHTUJOGI-VURMDHGXSA-N 0 0 289.682 2.931 20 5 CFBDRN CC1(C)CCC[C@@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000836165356 624065830 /nfs/dbraw/zinc/06/58/30/624065830.db2.gz YOKWERXRIFQXFK-GFCCVEGCSA-N 0 0 295.339 2.693 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C1CCOCC1 ZINC000153644136 624080514 /nfs/dbraw/zinc/08/05/14/624080514.db2.gz FGHXAZFQPAAKGV-VIFPVBQESA-N 0 0 296.298 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCC3(COC3)O2)c(Cl)c1 ZINC000915603157 624083066 /nfs/dbraw/zinc/08/30/66/624083066.db2.gz HTTDCMDDVLJZRD-JTQLQIEISA-N 0 0 299.710 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2cccc([N+](=O)[O-])c2)n1 ZINC000765447211 624083079 /nfs/dbraw/zinc/08/30/79/624083079.db2.gz AAPHNULZRUEHCS-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN CC(C)c1cnc(COC(=O)CCC(C)(C)[N+](=O)[O-])o1 ZINC000837925838 624124598 /nfs/dbraw/zinc/12/45/98/624124598.db2.gz XFDHNWGSAAUSCQ-UHFFFAOYSA-N 0 0 284.312 2.677 20 5 CFBDRN Cc1nn(C)cc1CN1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000834531335 625702679 /nfs/dbraw/zinc/70/26/79/625702679.db2.gz WEVOAAPYBWADIP-SNVBAGLBSA-N 0 0 286.335 2.588 20 5 CFBDRN CCONC(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000888285126 624137865 /nfs/dbraw/zinc/13/78/65/624137865.db2.gz VMORIDIFWRGPRT-UHFFFAOYSA-N 0 0 273.676 2.630 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CC[C@H](C2CC2)O1 ZINC000915930200 624190678 /nfs/dbraw/zinc/19/06/78/624190678.db2.gz TZUDUPRMAWZOHY-UKRRQHHQSA-N 0 0 291.303 2.744 20 5 CFBDRN CCCONC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000828283629 624221693 /nfs/dbraw/zinc/22/16/93/624221693.db2.gz WWYSWSWJAYKZSM-SNVBAGLBSA-N 0 0 281.312 2.605 20 5 CFBDRN CCCNC(=S)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000916112304 624223474 /nfs/dbraw/zinc/22/34/74/624223474.db2.gz QAIWAVQZSGRDOW-UHFFFAOYSA-N 0 0 267.354 2.908 20 5 CFBDRN CCOC1(C)CN(c2ccc(C(=O)CC)cc2[N+](=O)[O-])C1 ZINC000828365409 624234777 /nfs/dbraw/zinc/23/47/77/624234777.db2.gz VDRKVOHGUPQDAU-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@]2(C)C=CCC2)cc1[N+](=O)[O-] ZINC000828466363 624251555 /nfs/dbraw/zinc/25/15/55/624251555.db2.gz RUNBYEUYKOQLET-HNNXBMFYSA-N 0 0 274.320 2.876 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000916586438 624261301 /nfs/dbraw/zinc/26/13/01/624261301.db2.gz YCXMMCVZLZXCCI-GHMZBOCLSA-N 0 0 277.324 2.503 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCc1ccccn1 ZINC000916605980 624262736 /nfs/dbraw/zinc/26/27/36/624262736.db2.gz YSJZGHCRCMPDGC-TWGQIWQCSA-N 0 0 284.271 2.746 20 5 CFBDRN O=[N+]([O-])c1ccnn1C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000916723490 624280494 /nfs/dbraw/zinc/28/04/94/624280494.db2.gz TWASIAVSAASDON-ZYHUDNBSSA-N 0 0 279.246 2.840 20 5 CFBDRN CCSc1ccccc1Cn1nccc1[N+](=O)[O-] ZINC000916722828 624280652 /nfs/dbraw/zinc/28/06/52/624280652.db2.gz NLLOOGQECABZMK-UHFFFAOYSA-N 0 0 263.322 2.952 20 5 CFBDRN CC(C)CC(=O)OCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000916787344 624284489 /nfs/dbraw/zinc/28/44/89/624284489.db2.gz LNFMVFFOOYUMIA-UHFFFAOYSA-N 0 0 271.244 2.660 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)COCc1ccccc1 ZINC000916772217 624284705 /nfs/dbraw/zinc/28/47/05/624284705.db2.gz ALGPJBCHRVATMQ-GFCCVEGCSA-N 0 0 289.335 2.953 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000828675350 624285704 /nfs/dbraw/zinc/28/57/04/624285704.db2.gz MKKJBFDYINDLBF-NSHDSACASA-N 0 0 279.292 2.568 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@H]2CC[C@H](C3CC3)O2)c1 ZINC000916819276 624286730 /nfs/dbraw/zinc/28/67/30/624286730.db2.gz IRAJPLQMKXCVIQ-TZMCWYRMSA-N 0 0 291.303 2.744 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](SC)C2)c1 ZINC000828688254 624287309 /nfs/dbraw/zinc/28/73/09/624287309.db2.gz SGFPBYIIWCNIQX-VIFPVBQESA-N 0 0 286.328 2.684 20 5 CFBDRN CCCCN(C)c1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000916971554 624296492 /nfs/dbraw/zinc/29/64/92/624296492.db2.gz PJEDRWMCOXRRHJ-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN CC(C)CNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000916973500 624297251 /nfs/dbraw/zinc/29/72/51/624297251.db2.gz KQVNNUWDDJMNJF-UHFFFAOYSA-N 0 0 277.324 2.555 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCC[C@H]1CCOC1 ZINC000828807010 624304223 /nfs/dbraw/zinc/30/42/23/624304223.db2.gz HLWSUFFUKFEJSE-LBPRGKRZSA-N 0 0 293.319 2.877 20 5 CFBDRN COC(=O)/C=C\c1ccc(NC[C@@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000917067340 624305885 /nfs/dbraw/zinc/30/58/85/624305885.db2.gz APUNQFOTQQCMJG-RDVLDQKLSA-N 0 0 290.319 2.849 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C1CC2(CCC2)C1 ZINC000917082572 624307566 /nfs/dbraw/zinc/30/75/66/624307566.db2.gz SUESPHNOEIHBRA-UHFFFAOYSA-N 0 0 268.700 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OC[C@H]2CCC3(COC3)O2)c1 ZINC000917142246 624318135 /nfs/dbraw/zinc/31/81/35/624318135.db2.gz WSUBYRYOKOAZNN-SNVBAGLBSA-N 0 0 299.710 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCC[C@H]2CCOC2)c1 ZINC000828876394 624318218 /nfs/dbraw/zinc/31/82/18/624318218.db2.gz DZZLCALDJMMIQI-LBPRGKRZSA-N 0 0 293.319 2.877 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000828877119 624319416 /nfs/dbraw/zinc/31/94/16/624319416.db2.gz OOXBJNXNHAXYCJ-CYBMUJFWSA-N 0 0 279.292 2.674 20 5 CFBDRN Cc1cnc(OC[C@H]2CC[C@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000917150375 624320719 /nfs/dbraw/zinc/32/07/19/624320719.db2.gz WHTOGOSLNOJUOB-DGCLKSJQSA-N 0 0 278.308 2.635 20 5 CFBDRN Cc1cc(=O)n(CCCC(C)(F)F)cc1[N+](=O)[O-] ZINC000917221516 624324260 /nfs/dbraw/zinc/32/42/60/624324260.db2.gz VHTBSUWCYWGNLW-UHFFFAOYSA-N 0 0 260.240 2.500 20 5 CFBDRN O=C(OCc1ccno1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000917265382 624327995 /nfs/dbraw/zinc/32/79/95/624327995.db2.gz XMJIMCJGKFVSAL-UHFFFAOYSA-N 0 0 288.215 2.686 20 5 CFBDRN CCCSCC(=O)OCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000917333023 624331179 /nfs/dbraw/zinc/33/11/79/624331179.db2.gz CXOMPVOISFSXAA-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2Cc3ccccc32)nc2ccccn21 ZINC000917389674 624338862 /nfs/dbraw/zinc/33/88/62/624338862.db2.gz MOUXLCJNNKCDDC-LBPRGKRZSA-N 0 0 294.314 2.994 20 5 CFBDRN C[C@@](O)(CSc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000917476362 624345246 /nfs/dbraw/zinc/34/52/46/624345246.db2.gz HYUJNEOAYFGUCD-SNVBAGLBSA-N 0 0 281.255 2.842 20 5 CFBDRN CC(F)(F)CCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000917611709 624371686 /nfs/dbraw/zinc/37/16/86/624371686.db2.gz URPKUMCJDLMEAC-UHFFFAOYSA-N 0 0 293.291 2.804 20 5 CFBDRN Cc1c(OC(=O)c2cncs2)cccc1[N+](=O)[O-] ZINC000917755748 624380416 /nfs/dbraw/zinc/38/04/16/624380416.db2.gz LOQBQXKKKPLDPT-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN Cc1ccc(N2CC[S@](=O)C(C)(C)CC2)c([N+](=O)[O-])c1 ZINC000829139816 624384037 /nfs/dbraw/zinc/38/40/37/624384037.db2.gz KAXJFRIGVXRKOP-FQEVSTJZSA-N 0 0 296.392 2.641 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H]2CC[C@@H](C3CC3)O2)c1 ZINC000917914216 624394301 /nfs/dbraw/zinc/39/43/01/624394301.db2.gz JBGMCSZMOMQFIS-OCCSQVGLSA-N 0 0 293.319 2.940 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@H](CF)C1 ZINC000829231499 624404814 /nfs/dbraw/zinc/40/48/14/624404814.db2.gz HTRUDOZSYIFLBB-DDTOSNHZSA-N 0 0 292.310 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@]3(CCOC3)C2)c(F)c1 ZINC000829326668 624417929 /nfs/dbraw/zinc/41/79/29/624417929.db2.gz BLXMSCZUZXXRRP-AWEZNQCLSA-N 0 0 280.299 2.741 20 5 CFBDRN C[C@@H](Cc1ncc[nH]1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000829404310 624431053 /nfs/dbraw/zinc/43/10/53/624431053.db2.gz ZTSBWFNUAWRCGA-VIFPVBQESA-N 0 0 279.271 2.605 20 5 CFBDRN COc1ccc(C(C)C)cc1Cn1ccnc1[N+](=O)[O-] ZINC000918386067 624435390 /nfs/dbraw/zinc/43/53/90/624435390.db2.gz FJWFSXIGRNTZDG-UHFFFAOYSA-N 0 0 275.308 2.972 20 5 CFBDRN O=C(OCC1CCCC1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000918506233 624441095 /nfs/dbraw/zinc/44/10/95/624441095.db2.gz MTDBFPNWJRNQTN-UHFFFAOYSA-N 0 0 293.275 2.671 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2C[C@H]2C2CC2)nc2ccccn21 ZINC000829477656 624441228 /nfs/dbraw/zinc/44/12/28/624441228.db2.gz PGEOHMODNRBGKB-QWRGUYRKSA-N 0 0 272.308 2.701 20 5 CFBDRN COC[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000829515932 624450611 /nfs/dbraw/zinc/45/06/11/624450611.db2.gz KBRYPAZUBBGMOB-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN Cc1nn(C)cc1COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000829606283 624468027 /nfs/dbraw/zinc/46/80/27/624468027.db2.gz HKQRFLYNGMHOFV-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CCn1nc(C)c(COc2cccc(Cl)c2[N+](=O)[O-])n1 ZINC000829617307 624476458 /nfs/dbraw/zinc/47/64/58/624476458.db2.gz DDQFEUWCXOUPFT-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000918855099 624504298 /nfs/dbraw/zinc/50/42/98/624504298.db2.gz QUIGJYLNYGCBQT-ZETCQYMHSA-N 0 0 285.683 2.773 20 5 CFBDRN C/C=C/C=C\C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000918868928 624506345 /nfs/dbraw/zinc/50/63/45/624506345.db2.gz TUNFUDRQQRPZGM-OQIXSKIXSA-N 0 0 277.276 2.649 20 5 CFBDRN O=[N+]([O-])CCN1CCCC[C@H]1c1ccc(F)cc1F ZINC000930043088 624509243 /nfs/dbraw/zinc/50/92/43/624509243.db2.gz NEXUTYJOOVZOQP-ZDUSSCGKSA-N 0 0 270.279 2.769 20 5 CFBDRN CC[C@H](C)C(=O)OCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000918932303 624511537 /nfs/dbraw/zinc/51/15/37/624511537.db2.gz KWKKAAHYXIPPFA-VIFPVBQESA-N 0 0 267.281 2.693 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1ccoc1 ZINC000919022849 624516338 /nfs/dbraw/zinc/51/63/38/624516338.db2.gz VRKDMEFGJSAGJW-UHFFFAOYSA-N 0 0 265.196 2.684 20 5 CFBDRN O=C(CSC1CCC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000829763722 624525582 /nfs/dbraw/zinc/52/55/82/624525582.db2.gz QJVVWFIJGSCGHS-UHFFFAOYSA-N 0 0 284.312 2.958 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@]2(C)CCO[C@H]2C)c1C ZINC000889919059 624531828 /nfs/dbraw/zinc/53/18/28/624531828.db2.gz DJCDCNSACGFSEA-NHYWBVRUSA-N 0 0 292.335 2.509 20 5 CFBDRN CCC/C=C\C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000919240588 624543225 /nfs/dbraw/zinc/54/32/25/624543225.db2.gz GCVBSULEHVYRKO-YWEYNIOJSA-N 0 0 279.292 2.873 20 5 CFBDRN C[C@@H]1CCC[C@H](CCn2nc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000829838010 624562305 /nfs/dbraw/zinc/56/23/05/624562305.db2.gz QEKUOLMLBZAEAV-NXEZZACHSA-N 0 0 282.300 2.916 20 5 CFBDRN Cc1cccc(CCCn2nc([N+](=O)[O-])cc2[N+](=O)[O-])c1 ZINC000829837842 624563188 /nfs/dbraw/zinc/56/31/88/624563188.db2.gz NFKWSLAIRVIZBD-UHFFFAOYSA-N 0 0 290.279 2.641 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCS[C@H](C)C1 ZINC000829927480 624583651 /nfs/dbraw/zinc/58/36/51/624583651.db2.gz FCTAQRDXFNSXPO-SNVBAGLBSA-N 0 0 294.376 2.789 20 5 CFBDRN CC1(C)CN(Cn2cc([N+](=O)[O-])cn2)[C@@H]1c1ccccc1 ZINC000919947191 624648306 /nfs/dbraw/zinc/64/83/06/624648306.db2.gz ALYFZFQPCZMXRK-CQSZACIVSA-N 0 0 286.335 2.832 20 5 CFBDRN COc1ccc(CNc2cccc(F)n2)cc1[N+](=O)[O-] ZINC000919964240 624651023 /nfs/dbraw/zinc/65/10/23/624651023.db2.gz IDPKFDQOHBXTRI-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1ncc(C(=O)Oc2cccc([N+](=O)[O-])c2C)s1 ZINC000195252432 624675959 /nfs/dbraw/zinc/67/59/59/624675959.db2.gz DAGSAWKPPHQMAH-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000174893038 624691631 /nfs/dbraw/zinc/69/16/31/624691631.db2.gz GZDPVDOXBNLBNA-ZCFIWIBFSA-N 0 0 263.171 2.702 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C1CC1 ZINC000930122736 624696753 /nfs/dbraw/zinc/69/67/53/624696753.db2.gz ZYDZMVFKKVMRMJ-UHFFFAOYSA-N 0 0 266.322 2.551 20 5 CFBDRN CCC/C(C)=C/C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000920217258 624698299 /nfs/dbraw/zinc/69/82/99/624698299.db2.gz YLJYATGCRORHCV-VAWYXSNFSA-N 0 0 291.351 2.869 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000920317301 624712193 /nfs/dbraw/zinc/71/21/93/624712193.db2.gz JJJCZYGHEXLHCV-OJIASSBASA-N 0 0 297.314 2.880 20 5 CFBDRN CCC/C=C/C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000920453694 624724657 /nfs/dbraw/zinc/72/46/57/624724657.db2.gz CTVGIHMMRJGGME-BJMVGYQFSA-N 0 0 262.309 2.910 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@@H]1C ZINC000920810174 624772624 /nfs/dbraw/zinc/77/26/24/624772624.db2.gz RKSYQOUWNQFKHU-DTWKUNHWSA-N 0 0 298.726 2.636 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN(Cc1cccc(F)c1)C1CC1 ZINC000921027450 624797686 /nfs/dbraw/zinc/79/76/86/624797686.db2.gz VOZCJFOGOJTJMD-UHFFFAOYSA-N 0 0 290.298 2.553 20 5 CFBDRN CO[C@H](C)CC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000195493862 624808130 /nfs/dbraw/zinc/80/81/30/624808130.db2.gz YKWUZLFCBTULMZ-SSDOTTSWSA-N 0 0 273.672 2.579 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCCC[C@H]12)c1cc([O-])cc([N+](=O)[O-])c1 ZINC000921376346 624860480 /nfs/dbraw/zinc/86/04/80/624860480.db2.gz PPGLPBGNDCEXKH-QCZZGDTMSA-N 0 0 290.319 2.609 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCC(=O)C1)c1ccccc1[N+](=O)[O-] ZINC000830891164 624919136 /nfs/dbraw/zinc/91/91/36/624919136.db2.gz CINMFBBOGJBISV-NXEZZACHSA-N 0 0 277.276 2.568 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CCC(=O)C1 ZINC000830900633 624925427 /nfs/dbraw/zinc/92/54/27/624925427.db2.gz KSPRHEBSAIUCRI-QMMMGPOBSA-N 0 0 297.694 2.661 20 5 CFBDRN CCN(CC)C(=O)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000064387199 624927383 /nfs/dbraw/zinc/92/73/83/624927383.db2.gz AUKYAYLTENQPIC-UHFFFAOYSA-N 0 0 285.731 2.529 20 5 CFBDRN Cc1cncc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)c1 ZINC000175136047 624928840 /nfs/dbraw/zinc/92/88/40/624928840.db2.gz JDFSNUYDSITFCF-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1cc(C(=O)OCC(F)(F)C(F)F)cc([N+](=O)[O-])c1 ZINC000175377450 624949122 /nfs/dbraw/zinc/94/91/22/624949122.db2.gz PPPCSVUYINZGGL-UHFFFAOYSA-N 0 0 295.188 2.960 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCC(F)F ZINC000064607938 624951117 /nfs/dbraw/zinc/95/11/17/624951117.db2.gz FEIKYSUDVYCHNT-ZETCQYMHSA-N 0 0 291.275 2.884 20 5 CFBDRN Cc1c(OC(=O)[C@H](C)OCC2CC2)cccc1[N+](=O)[O-] ZINC000064682590 624956439 /nfs/dbraw/zinc/95/64/39/624956439.db2.gz ZWJXRHIRQZRCNY-JTQLQIEISA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1C1CC1 ZINC000175570509 624958471 /nfs/dbraw/zinc/95/84/71/624958471.db2.gz AVQGIEFBGUNFHC-ZIAGYGMSSA-N 0 0 275.304 2.727 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1ncc(C)s1 ZINC000179747911 624975940 /nfs/dbraw/zinc/97/59/40/624975940.db2.gz AAABQHIANRUWGE-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2ccccc2)c(N)c([N+](=O)[O-])c1 ZINC000068509734 625004673 /nfs/dbraw/zinc/00/46/73/625004673.db2.gz AIDMHWUVWDDNOX-NSHDSACASA-N 0 0 299.330 2.976 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000068719791 625022349 /nfs/dbraw/zinc/02/23/49/625022349.db2.gz FBVBRHTVMFREBY-JTQLQIEISA-N 0 0 277.276 2.865 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C[C@@H]1F ZINC000831800826 625136300 /nfs/dbraw/zinc/13/63/00/625136300.db2.gz XWDYXLBIASYKBZ-UFBFGSQYSA-N 0 0 284.262 2.554 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000069525039 625138907 /nfs/dbraw/zinc/13/89/07/625138907.db2.gz TXEYPBBRXIRCPN-XPUUQOCRSA-N 0 0 254.673 2.843 20 5 CFBDRN CC(=O)c1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc1F ZINC000831814832 625141913 /nfs/dbraw/zinc/14/19/13/625141913.db2.gz RBQVMCIRGIEJAN-UHFFFAOYSA-N 0 0 296.298 2.802 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)CCS1 ZINC000834701610 625791610 /nfs/dbraw/zinc/79/16/10/625791610.db2.gz VQENVYNVDDSSNQ-HTQZYQBOSA-N 0 0 286.378 2.670 20 5 CFBDRN Cc1ccc(-c2noc(CCC(C)(C)[N+](=O)[O-])n2)o1 ZINC000834702326 625792100 /nfs/dbraw/zinc/79/21/00/625792100.db2.gz LXNZUYGNSLMUEI-UHFFFAOYSA-N 0 0 265.269 2.626 20 5 CFBDRN Cc1cc(-c2noc(CCC(C)(C)[N+](=O)[O-])n2)ccc1O ZINC000834705313 625794776 /nfs/dbraw/zinc/79/47/76/625794776.db2.gz IORFQWCPEAHQHP-UHFFFAOYSA-N 0 0 291.307 2.739 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCCO2)c1 ZINC000073194186 625799785 /nfs/dbraw/zinc/79/97/85/625799785.db2.gz WZLHHARCFRZLTM-VIFPVBQESA-N 0 0 297.332 2.653 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000083402669 625842246 /nfs/dbraw/zinc/84/22/46/625842246.db2.gz RWCPCBRPFGYTQX-UHFFFAOYSA-N 0 0 268.288 2.995 20 5 CFBDRN O=C(N[C@@H]1CCSC2(CCC2)C1)c1ccc([N+](=O)[O-])o1 ZINC000834765034 625842135 /nfs/dbraw/zinc/84/21/35/625842135.db2.gz MRALNLQJAZBBEW-SECBINFHSA-N 0 0 296.348 2.736 20 5 CFBDRN Cc1cc(C(=O)OCc2ccccc2)c(N)c([N+](=O)[O-])c1 ZINC000112467088 625869135 /nfs/dbraw/zinc/86/91/35/625869135.db2.gz IFDGCLMPIDUMOS-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000768898919 625909333 /nfs/dbraw/zinc/90/93/33/625909333.db2.gz QUXQSJOUWYPFAK-ZJRUKIMVSA-N 0 0 278.308 2.797 20 5 CFBDRN CCCNC(=S)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000840764639 625915637 /nfs/dbraw/zinc/91/56/37/625915637.db2.gz XBVCUBRLGOAGKN-VIFPVBQESA-N 0 0 267.354 2.530 20 5 CFBDRN O=C(CC(F)(F)C(F)F)NCc1ccc([N+](=O)[O-])cc1 ZINC000888309072 625975105 /nfs/dbraw/zinc/97/51/05/625975105.db2.gz XSFLXTJUVSSCPQ-UHFFFAOYSA-N 0 0 294.204 2.502 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1cnn(C2CCC2)c1 ZINC000921549768 625984968 /nfs/dbraw/zinc/98/49/68/625984968.db2.gz MLQGWWCMAWYETR-UHFFFAOYSA-N 0 0 286.335 2.806 20 5 CFBDRN CS[C@@H](C)CNC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000921613955 625993908 /nfs/dbraw/zinc/99/39/08/625993908.db2.gz JTYHNBVNQFPWED-VHSXEESVSA-N 0 0 297.380 2.707 20 5 CFBDRN O=C(NC1C[C@H]2CC[C@@H](C1)S2)c1csc([N+](=O)[O-])c1 ZINC000888358353 626003267 /nfs/dbraw/zinc/00/32/67/626003267.db2.gz NSKBJOCYIWSCBN-PBINXNQUSA-N 0 0 298.389 2.813 20 5 CFBDRN COc1ccc(F)cc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000073436001 626014363 /nfs/dbraw/zinc/01/43/63/626014363.db2.gz HDOUAVDOIQJCQH-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN CC[C@H](C)COC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000756372113 626172363 /nfs/dbraw/zinc/17/23/63/626172363.db2.gz GSESVIVUUGIVCF-NSHDSACASA-N 0 0 281.308 2.953 20 5 CFBDRN Cc1c(CC(=O)OCc2ccoc2)cccc1[N+](=O)[O-] ZINC000788082233 626419924 /nfs/dbraw/zinc/41/99/24/626419924.db2.gz YCUTUUPWIRVGFW-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN CCc1onc(C)c1CNCc1cccc([N+](=O)[O-])c1 ZINC000922986526 626439178 /nfs/dbraw/zinc/43/91/78/626439178.db2.gz BPLBBSBGATZQSP-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN C[C@@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000923055064 626446951 /nfs/dbraw/zinc/44/69/51/626446951.db2.gz VLWWJFLDNDFYTB-NOZJJQNGSA-N 0 0 297.282 2.592 20 5 CFBDRN CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1CCC1 ZINC000838315408 626493107 /nfs/dbraw/zinc/49/31/07/626493107.db2.gz VNPQAIOGKMFZAK-LBPRGKRZSA-N 0 0 270.373 2.907 20 5 CFBDRN O=C(COc1cc(F)ccc1[N+](=O)[O-])NC1CCCCC1 ZINC000003572579 626497932 /nfs/dbraw/zinc/49/79/32/626497932.db2.gz FIKYUIKDYCIKRS-UHFFFAOYSA-N 0 0 296.298 2.562 20 5 CFBDRN CC(C)c1cccc(CC(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000838973629 626517765 /nfs/dbraw/zinc/51/77/65/626517765.db2.gz YPUCTADGNFCKQL-NSHDSACASA-N 0 0 265.309 2.561 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1cscn1 ZINC000103336829 626529406 /nfs/dbraw/zinc/52/94/06/626529406.db2.gz SFVMQDATFWZHSF-UHFFFAOYSA-N 0 0 284.680 2.924 20 5 CFBDRN Cc1ccc(C(=O)OCC2(F)CC2)cc1[N+](=O)[O-] ZINC000789595059 626539928 /nfs/dbraw/zinc/53/99/28/626539928.db2.gz GCXQAYZOEIKNRC-UHFFFAOYSA-N 0 0 253.229 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)C2CC2)cc1C(F)(F)F ZINC000756949278 626542514 /nfs/dbraw/zinc/54/25/14/626542514.db2.gz AVQJYDUFNIBDNZ-JTQLQIEISA-N 0 0 290.241 2.796 20 5 CFBDRN Cc1cc(NCc2cc([N+](=O)[O-])ccc2Cl)ncn1 ZINC000756972979 626542926 /nfs/dbraw/zinc/54/29/26/626542926.db2.gz HIQBMWISFGUGGA-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN C[C@@H](CC(C)(C)c1ccccc1)NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839584535 626547018 /nfs/dbraw/zinc/54/70/18/626547018.db2.gz IUYICQYKULSCRV-IACUBPJLSA-N 0 0 290.363 2.524 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Oc2ccc(C)cc2[N+](=O)[O-])O1 ZINC000757304679 626547994 /nfs/dbraw/zinc/54/79/94/626547994.db2.gz LXOOJDXBPGCDTE-ZWNOBZJWSA-N 0 0 279.292 2.766 20 5 CFBDRN CCN[C@H](c1cccc([N+](=O)[O-])c1F)C(F)(F)F ZINC000839650578 626549535 /nfs/dbraw/zinc/54/95/35/626549535.db2.gz CBHXNXIYTFXHLL-SECBINFHSA-N 0 0 266.194 2.947 20 5 CFBDRN Cc1cnoc1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000757379475 626549808 /nfs/dbraw/zinc/54/98/08/626549808.db2.gz ACKKBVFVRVBILS-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CCc1ccc([C@H](NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C(C)C)cc1 ZINC000839686799 626550979 /nfs/dbraw/zinc/55/09/79/626550979.db2.gz PTWKXKFXEQCCMG-RBSFLKMASA-N 0 0 290.363 2.728 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CC2[N+](=O)[O-])sc2c1CCCC2 ZINC000839689433 626551107 /nfs/dbraw/zinc/55/11/07/626551107.db2.gz AMYFYNVVZCLZRZ-NXEZZACHSA-N 0 0 280.349 2.539 20 5 CFBDRN Cc1cccc([C@H](NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C(C)(C)C)c1 ZINC000839698523 626551400 /nfs/dbraw/zinc/55/14/00/626551400.db2.gz GAAAUUPMIYFRAM-MCIONIFRSA-N 0 0 290.363 2.864 20 5 CFBDRN CC(C)(CCc1noc(C2(C(F)F)CCC2)n1)[N+](=O)[O-] ZINC000867017331 626551890 /nfs/dbraw/zinc/55/18/90/626551890.db2.gz KUAPEICDCPQKTJ-UHFFFAOYSA-N 0 0 289.282 2.744 20 5 CFBDRN O=C(N[C@@H](CC1CCC1)c1ccccc1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839726119 626553445 /nfs/dbraw/zinc/55/34/45/626553445.db2.gz UTIHVVVATZKCEH-QLFBSQMISA-N 0 0 288.347 2.699 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1ccncc1 ZINC000757683968 626558022 /nfs/dbraw/zinc/55/80/22/626558022.db2.gz YFNXZSPKKGRJHB-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1c1nc2ccccn2c1[N+](=O)[O-] ZINC000757784932 626563044 /nfs/dbraw/zinc/56/30/44/626563044.db2.gz AUVZXNVODSNVAP-NXEZZACHSA-N 0 0 260.297 2.620 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1c1nc2ccccn2c1[N+](=O)[O-] ZINC000757784928 626563163 /nfs/dbraw/zinc/56/31/63/626563163.db2.gz AUVZXNVODSNVAP-AOOOYVTPSA-N 0 0 260.297 2.620 20 5 CFBDRN Cc1cc(COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)on1 ZINC000757958204 626570307 /nfs/dbraw/zinc/57/03/07/626570307.db2.gz GLWBGPAATLCLDC-DAXSKMNVSA-N 0 0 288.259 2.648 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cccc(Cl)n2)cc1CO ZINC000757994967 626571743 /nfs/dbraw/zinc/57/17/43/626571743.db2.gz OLVZIXBTMCAGIT-UHFFFAOYSA-N 0 0 294.694 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CC2(Cl)Cl)cc1CO ZINC000757994965 626571787 /nfs/dbraw/zinc/57/17/87/626571787.db2.gz OIMVWRFHEZJPCC-QMMMGPOBSA-N 0 0 292.118 2.660 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c([N-]c2cnn(C)c2C(F)F)n1 ZINC000758230795 626583825 /nfs/dbraw/zinc/58/38/25/626583825.db2.gz URKSUKVMBDJUNJ-UHFFFAOYSA-N 0 0 283.238 2.713 20 5 CFBDRN C/C(=C/C(=O)NC1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000758288442 626587290 /nfs/dbraw/zinc/58/72/90/626587290.db2.gz OVYVFKVMEXGXPS-TWGQIWQCSA-N 0 0 296.273 2.912 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccc[nH]1 ZINC000758361352 626589434 /nfs/dbraw/zinc/58/94/34/626589434.db2.gz FNLFZXJFXYLAIT-MRVPVSSYSA-N 0 0 292.270 2.945 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1COC(=O)OC1CCCCC1 ZINC000758391703 626591468 /nfs/dbraw/zinc/59/14/68/626591468.db2.gz JTLBHSCRQSGPTR-UHFFFAOYSA-N 0 0 283.284 2.543 20 5 CFBDRN COc1cc(NCCOC2CCC2)c([N+](=O)[O-])cc1F ZINC000758463318 626594858 /nfs/dbraw/zinc/59/48/58/626594858.db2.gz FTNZBMUFKRQBGX-UHFFFAOYSA-N 0 0 284.287 2.724 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)Cc2ccc[nH]2)c1[N+](=O)[O-] ZINC000758510218 626596351 /nfs/dbraw/zinc/59/63/51/626596351.db2.gz POFCOILYOJLCRK-UHFFFAOYSA-N 0 0 291.282 2.643 20 5 CFBDRN COc1cc(N)c([N+](=O)[O-])cc1C(=O)OCCCC(C)C ZINC000758595430 626599307 /nfs/dbraw/zinc/59/93/07/626599307.db2.gz PTRFNVDVAUCSDI-UHFFFAOYSA-N 0 0 296.323 2.779 20 5 CFBDRN CC1(CC(=O)OCCOc2ccccc2[N+](=O)[O-])CC1 ZINC000758808737 626608754 /nfs/dbraw/zinc/60/87/54/626608754.db2.gz PEUFZNMALSNQSY-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN COC(C)(C)CN(C)C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000758859280 626613588 /nfs/dbraw/zinc/61/35/88/626613588.db2.gz BNWADEBFJUOCRU-UHFFFAOYSA-N 0 0 298.314 2.539 20 5 CFBDRN COCCC(C)(C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000759020464 626624617 /nfs/dbraw/zinc/62/46/17/626624617.db2.gz VFQFFKHOXDXDTP-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN CN(C)c1ccc(COC(=O)CC2(C)CC2)cc1[N+](=O)[O-] ZINC000759110883 626628988 /nfs/dbraw/zinc/62/89/88/626628988.db2.gz HSBGNWISUBQSCQ-UHFFFAOYSA-N 0 0 292.335 2.894 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CCc1ccncc1 ZINC000759223527 626638245 /nfs/dbraw/zinc/63/82/45/626638245.db2.gz XCLAZUDARISORX-UHFFFAOYSA-N 0 0 286.287 2.836 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000759436989 626658234 /nfs/dbraw/zinc/65/82/34/626658234.db2.gz PYTZOVRAJIQSCN-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000759476339 626663198 /nfs/dbraw/zinc/66/31/98/626663198.db2.gz LUEBVRLNBZSUKP-UHFFFAOYSA-N 0 0 285.321 2.881 20 5 CFBDRN Cc1sc(C(=O)OCCOCC(C)C)cc1[N+](=O)[O-] ZINC000759710800 626686629 /nfs/dbraw/zinc/68/66/29/626686629.db2.gz GACGDKIKZKIEFB-UHFFFAOYSA-N 0 0 287.337 2.794 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CC12CCC2 ZINC000759821515 626693395 /nfs/dbraw/zinc/69/33/95/626693395.db2.gz KCTWCVGXHMGBJB-SNVBAGLBSA-N 0 0 277.276 2.699 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000759820359 626693647 /nfs/dbraw/zinc/69/36/47/626693647.db2.gz ULVDNAIZQKXYAY-JTQLQIEISA-N 0 0 261.277 2.999 20 5 CFBDRN CC[C@H](C)C(=O)O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000759931298 626703197 /nfs/dbraw/zinc/70/31/97/626703197.db2.gz LOMJFAUKPQHANO-SRVKXCTJSA-N 0 0 295.339 2.864 20 5 CFBDRN CC[C@H](C)C(=O)O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000759931294 626703374 /nfs/dbraw/zinc/70/33/74/626703374.db2.gz LOMJFAUKPQHANO-SDDRHHMPSA-N 0 0 295.339 2.864 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])[C@H]1CC12CCC2 ZINC000759977609 626706115 /nfs/dbraw/zinc/70/61/15/626706115.db2.gz PYKRMRHWUXJMDP-LLVKDONJSA-N 0 0 291.303 2.707 20 5 CFBDRN CCC/C(C)=C\C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000759991579 626708013 /nfs/dbraw/zinc/70/80/13/626708013.db2.gz LDIARFYZNFBDSS-BENRWUELSA-N 0 0 276.336 3.000 20 5 CFBDRN CC(C)=C(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000759997880 626708474 /nfs/dbraw/zinc/70/84/74/626708474.db2.gz HGZWDMDIRJDYGC-UHFFFAOYSA-N 0 0 262.309 2.610 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1cccnc1 ZINC000760018413 626709705 /nfs/dbraw/zinc/70/97/05/626709705.db2.gz QDXIIKISTQSSOC-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CC12CCC2 ZINC000760021960 626710272 /nfs/dbraw/zinc/71/02/72/626710272.db2.gz HELOMQZSFHARTE-SNVBAGLBSA-N 0 0 261.277 2.999 20 5 CFBDRN C[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])c1ccc[nH]1 ZINC000760271210 626727146 /nfs/dbraw/zinc/72/71/46/626727146.db2.gz AWAZPHVAIQNUMZ-SSDOTTSWSA-N 0 0 277.309 2.805 20 5 CFBDRN Cc1ccc(C2=CCN(c3ncc([N+](=O)[O-])cn3)CC2)cc1 ZINC000760466441 626748130 /nfs/dbraw/zinc/74/81/30/626748130.db2.gz PJWRXSSDVNOYME-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000760550943 626755700 /nfs/dbraw/zinc/75/57/00/626755700.db2.gz FWFSBQKNTDGJDH-KWQFWETISA-N 0 0 297.332 2.705 20 5 CFBDRN C[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc[nH]1 ZINC000760955660 626788100 /nfs/dbraw/zinc/78/81/00/626788100.db2.gz YSUNSUXYIBGAFH-VIFPVBQESA-N 0 0 298.302 2.895 20 5 CFBDRN C/C=C(\C)C(=O)O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000761007651 626790825 /nfs/dbraw/zinc/79/08/25/626790825.db2.gz UTVDEUMEABCCOD-WHKYXBHASA-N 0 0 293.323 2.784 20 5 CFBDRN Cn1c(C(=O)OCC2CCCCC2)ccc1[N+](=O)[O-] ZINC000761062155 626795778 /nfs/dbraw/zinc/79/57/78/626795778.db2.gz RRMIQXQYTDJRAX-UHFFFAOYSA-N 0 0 266.297 2.670 20 5 CFBDRN Cn1c(C(=O)OC/C=C\c2ccccc2)ccc1[N+](=O)[O-] ZINC000761061649 626795906 /nfs/dbraw/zinc/79/59/06/626795906.db2.gz MVSOYPXPKMGFFR-YVMONPNESA-N 0 0 286.287 2.804 20 5 CFBDRN CCC(CC)(CC)C(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000761066861 626797036 /nfs/dbraw/zinc/79/70/36/626797036.db2.gz HSZPWXJDAOXZBJ-UHFFFAOYSA-N 0 0 297.355 2.859 20 5 CFBDRN CSc1ccc(C(=O)O[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000761351218 626826944 /nfs/dbraw/zinc/82/69/44/626826944.db2.gz ZRHUKIYWULAQMT-SNVBAGLBSA-N 0 0 297.332 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@H+]2CCC[C@@H](CCO)C2)cc1Cl ZINC000761511058 626841791 /nfs/dbraw/zinc/84/17/91/626841791.db2.gz JATVZOKMOGNRFJ-NSHDSACASA-N 0 0 298.770 2.843 20 5 CFBDRN CSCC[C@@H](C)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000761931172 626872623 /nfs/dbraw/zinc/87/26/23/626872623.db2.gz PKPMKMCHTZZVGW-GHMZBOCLSA-N 0 0 296.392 2.956 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000762017635 626881508 /nfs/dbraw/zinc/88/15/08/626881508.db2.gz FJTOYCJVUROMMF-GXSJLCMTSA-N 0 0 281.333 2.952 20 5 CFBDRN O=C(OC[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])cn1 ZINC000762077330 626887984 /nfs/dbraw/zinc/88/79/84/626887984.db2.gz YVFZAKSTLMWVMQ-SNVBAGLBSA-N 0 0 262.265 2.503 20 5 CFBDRN CCc1ccc(OC(=O)[C@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000762087962 626889224 /nfs/dbraw/zinc/88/92/24/626889224.db2.gz TXTKCWNEVCKJEJ-JTQLQIEISA-N 0 0 281.333 2.816 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ncccc21)c1cccc([N+](=O)[O-])c1 ZINC000762107432 626892248 /nfs/dbraw/zinc/89/22/48/626892248.db2.gz PHSQYMFTKFPBNH-LLVKDONJSA-N 0 0 297.314 2.683 20 5 CFBDRN Cc1ccncc1COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000762119965 626893924 /nfs/dbraw/zinc/89/39/24/626893924.db2.gz KDLWZEVYUMNRDT-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(OCc1ccc2c(c1)COC2)c1ccc([N+](=O)[O-])cc1 ZINC000762144306 626896804 /nfs/dbraw/zinc/89/68/04/626896804.db2.gz JDSDGODAINDUFM-UHFFFAOYSA-N 0 0 299.282 2.982 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc[nH]1 ZINC000762191646 626902678 /nfs/dbraw/zinc/90/26/78/626902678.db2.gz ACMMUTOYSVZBSQ-VIFPVBQESA-N 0 0 298.302 2.895 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H]1CCSC1 ZINC000762304806 626909931 /nfs/dbraw/zinc/90/99/31/626909931.db2.gz MBQDBKXMGAQZEL-SSDOTTSWSA-N 0 0 286.740 2.940 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H]1CCC=CO1)c1cccc([N+](=O)[O-])c1 ZINC000762322475 626910253 /nfs/dbraw/zinc/91/02/53/626910253.db2.gz ZBFZWNMFCOUPIV-RISCZKNCSA-N 0 0 290.319 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC[C@@H]3SCCS[C@H]23)nc1 ZINC000762566793 626924470 /nfs/dbraw/zinc/92/44/70/626924470.db2.gz YADBECIKHTVYDE-JFGNBEQYSA-N 0 0 297.405 2.781 20 5 CFBDRN O=C(OCC1CCC1)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762967395 626953164 /nfs/dbraw/zinc/95/31/64/626953164.db2.gz JQCZYXNHTITBHA-UHFFFAOYSA-N 0 0 293.304 2.556 20 5 CFBDRN CCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000762978011 626954048 /nfs/dbraw/zinc/95/40/48/626954048.db2.gz AENHUFWURQOGIG-UHFFFAOYSA-N 0 0 269.272 2.965 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1c1ccc([N+](=O)[O-])c2nonc21 ZINC000763037529 626958135 /nfs/dbraw/zinc/95/81/35/626958135.db2.gz SWFJSQHRQSLQOY-VIFPVBQESA-N 0 0 288.307 2.900 20 5 CFBDRN Cc1cc(=O)[nH]c(CSc2ccc([N+](=O)[O-])cc2)n1 ZINC000763218250 626971017 /nfs/dbraw/zinc/97/10/17/626971017.db2.gz UUVHSRUHRJOWSG-UHFFFAOYSA-N 0 0 277.305 2.691 20 5 CFBDRN CCCn1ccc(CNC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000763418637 626987599 /nfs/dbraw/zinc/98/75/99/626987599.db2.gz CSXHDJMRFUWRJH-UHFFFAOYSA-N 0 0 287.319 2.736 20 5 CFBDRN C[C@@H](OC(=O)c1ccn(C)c1)c1ccc([N+](=O)[O-])cc1 ZINC000763494077 626992012 /nfs/dbraw/zinc/99/20/12/626992012.db2.gz YKSLFABQLBTLSU-SNVBAGLBSA-N 0 0 274.276 2.851 20 5 CFBDRN CC(C)[C@H](C)OC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000763548399 626997141 /nfs/dbraw/zinc/99/71/41/626997141.db2.gz MTRUUQJCFCNYEG-QMMMGPOBSA-N 0 0 255.245 2.935 20 5 CFBDRN COc1ccc(CC(=O)O[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000763550894 626997314 /nfs/dbraw/zinc/99/73/14/626997314.db2.gz OMSVLLHAAGLHJZ-JTQLQIEISA-N 0 0 281.308 2.734 20 5 CFBDRN O=C(O[C@H]1CCCCC1=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763714147 627007456 /nfs/dbraw/zinc/00/74/56/627007456.db2.gz ARQDMRKQHXGKCY-LBPRGKRZSA-N 0 0 297.694 2.917 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)OCCCCO ZINC000763810764 627015012 /nfs/dbraw/zinc/01/50/12/627015012.db2.gz OBBXKLZHYJNZHT-UHFFFAOYSA-N 0 0 299.348 2.636 20 5 CFBDRN C[C@@H](C(=O)OCC1(O)CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000764044923 627033093 /nfs/dbraw/zinc/03/30/93/627033093.db2.gz WHTZFFZRPMBDTR-LLVKDONJSA-N 0 0 293.319 2.547 20 5 CFBDRN COCC[C@H](C)OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764042143 627033181 /nfs/dbraw/zinc/03/31/81/627033181.db2.gz OJZGBWSKYJEUKU-QWRGUYRKSA-N 0 0 281.308 2.667 20 5 CFBDRN Cn1ccc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])c1 ZINC000764111981 627039287 /nfs/dbraw/zinc/03/92/87/627039287.db2.gz OUZLBKLACLNIKZ-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN Cc1c(COC(=O)c2ccn(C)c2)cccc1[N+](=O)[O-] ZINC000764120481 627040537 /nfs/dbraw/zinc/04/05/37/627040537.db2.gz JCFZGCUILAGGPR-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](C3CC3)C2)c1 ZINC000764188588 627044119 /nfs/dbraw/zinc/04/41/19/627044119.db2.gz SBXHVDSSFODEET-JTQLQIEISA-N 0 0 280.299 2.979 20 5 CFBDRN CC(C)(O)CCOC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000765434050 627137451 /nfs/dbraw/zinc/13/74/51/627137451.db2.gz RWJLNJNTYYIHAP-UHFFFAOYSA-N 0 0 287.699 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2COc3ccccc3C2)n1 ZINC000765455890 627139478 /nfs/dbraw/zinc/13/94/78/627139478.db2.gz KNQVNLRUDHDIRQ-GFCCVEGCSA-N 0 0 299.330 2.961 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NOCC(C)C ZINC000765609113 627150825 /nfs/dbraw/zinc/15/08/25/627150825.db2.gz RWUBJHNBOBKMIP-ZDUSSCGKSA-N 0 0 294.351 2.867 20 5 CFBDRN C[C@@H](CC(F)(F)F)[NH2+]Cc1cc([N+](=O)[O-])ccc1[O-] ZINC000765620797 627151025 /nfs/dbraw/zinc/15/10/25/627151025.db2.gz QXIWRWOHBKTTMK-ZETCQYMHSA-N 0 0 278.230 2.731 20 5 CFBDRN C[C@@H]([NH2+]Cc1cc([N+](=O)[O-])ccc1[O-])c1cncs1 ZINC000765620825 627151149 /nfs/dbraw/zinc/15/11/49/627151149.db2.gz RYITYUKXTUYSQT-MRVPVSSYSA-N 0 0 279.321 2.608 20 5 CFBDRN COc1cc(COC(=O)CC2CCC2)c([N+](=O)[O-])cc1F ZINC000766211512 627184275 /nfs/dbraw/zinc/18/42/75/627184275.db2.gz ZOTBNGOZSOIKKJ-UHFFFAOYSA-N 0 0 297.282 2.976 20 5 CFBDRN O=C(OC[C@H]1CCCOC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000766291799 627191804 /nfs/dbraw/zinc/19/18/04/627191804.db2.gz SRZRDFYGNQQNKG-VIFPVBQESA-N 0 0 299.710 2.832 20 5 CFBDRN CCc1cccnc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000766310527 627194346 /nfs/dbraw/zinc/19/43/46/627194346.db2.gz FOBXWBZTZKCGBY-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN O=C(OCCCF)c1cccc(Cl)c1[N+](=O)[O-] ZINC000767369660 627245838 /nfs/dbraw/zinc/24/58/38/627245838.db2.gz UWVKBCSHKHDLKX-UHFFFAOYSA-N 0 0 261.636 2.765 20 5 CFBDRN Cc1cc(NCc2cccnn2)c(Cl)cc1[N+](=O)[O-] ZINC000767398703 627247889 /nfs/dbraw/zinc/24/78/89/627247889.db2.gz QOLDOSPFVZWAMC-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000767930683 627295494 /nfs/dbraw/zinc/29/54/94/627295494.db2.gz XGRAXMHRSYHRTB-UHFFFAOYSA-N 0 0 294.238 2.600 20 5 CFBDRN Nc1cccc(CN2CCc3c(cccc3[N+](=O)[O-])C2)c1 ZINC000851869676 634565779 /nfs/dbraw/zinc/56/57/79/634565779.db2.gz OGBXXDSDERQWCN-UHFFFAOYSA-N 0 0 283.331 2.735 20 5 CFBDRN O=c1c([N+](=O)[O-])cn(CCCC2CCCC2)cc1[N+](=O)[O-] ZINC000768463854 627345127 /nfs/dbraw/zinc/34/51/27/627345127.db2.gz KZGQWWPVKHJDTR-UHFFFAOYSA-N 0 0 295.295 2.635 20 5 CFBDRN CC(C)(F)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000768639958 627360148 /nfs/dbraw/zinc/36/01/48/627360148.db2.gz UFIDUBNMTQOWMY-UHFFFAOYSA-N 0 0 259.208 2.525 20 5 CFBDRN O=C(OCc1ccc2c[nH]nc2c1)c1ccc([N+](=O)[O-])cc1 ZINC000768792865 627374468 /nfs/dbraw/zinc/37/44/68/627374468.db2.gz BSDHFQMHZHGUJV-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1cc(Cl)ccc1Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000768803513 627375175 /nfs/dbraw/zinc/37/51/75/627375175.db2.gz NBGBSWFVEVMARW-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN CC(C)CCOC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000769048940 627399059 /nfs/dbraw/zinc/39/90/59/627399059.db2.gz NYDAOUTWCQIXOU-UHFFFAOYSA-N 0 0 253.254 2.503 20 5 CFBDRN CN(CCOC(C)(C)C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000769323140 627423935 /nfs/dbraw/zinc/42/39/35/627423935.db2.gz POAPJORLWIYYBN-UHFFFAOYSA-N 0 0 298.314 2.621 20 5 CFBDRN COc1cccc(C(=O)OCCC2CC2)c1[N+](=O)[O-] ZINC000769577701 627457884 /nfs/dbraw/zinc/45/78/84/627457884.db2.gz KIJWBYPBTIVBMU-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN COc1cccc(C(=O)OC/C=C/Cl)c1[N+](=O)[O-] ZINC000769602203 627460034 /nfs/dbraw/zinc/46/00/34/627460034.db2.gz DBVZJAJLRHTTHL-ZZXKWVIFSA-N 0 0 271.656 2.513 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000770224367 627508356 /nfs/dbraw/zinc/50/83/56/627508356.db2.gz ZJQRDSWLKDMKTK-HPOULIHZSA-N 0 0 293.348 2.944 20 5 CFBDRN CO[C@H](C)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000770685057 627541037 /nfs/dbraw/zinc/54/10/37/627541037.db2.gz SIRPLSWOIXIMQK-MRVPVSSYSA-N 0 0 279.248 2.533 20 5 CFBDRN C[C@H]1CC/C(=C\C(=O)Nc2cc([N+](=O)[O-])ccc2[O-])C1 ZINC000770856996 627551701 /nfs/dbraw/zinc/55/17/01/627551701.db2.gz OXHMYHILUXCVGC-HZAKCSEPSA-N 0 0 276.292 2.985 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)Cc2ccccc2[N+](=O)[O-])o1 ZINC000770909210 627556768 /nfs/dbraw/zinc/55/67/68/627556768.db2.gz SNGLKBORUHSHDA-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2cc([N+](=O)[O-])cnc2C)o1 ZINC000770929311 627559938 /nfs/dbraw/zinc/55/99/38/627559938.db2.gz SEYMJVLFJRUQBC-VIFPVBQESA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cccc(CO)c1 ZINC000770957256 627564136 /nfs/dbraw/zinc/56/41/36/627564136.db2.gz QRABMALXOKEMEK-UHFFFAOYSA-N 0 0 259.265 2.534 20 5 CFBDRN Cc1nn(C)c(C(=O)OC[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000770959476 627564318 /nfs/dbraw/zinc/56/43/18/627564318.db2.gz DGLAWCCACYISKI-ONGXEEELSA-N 0 0 295.339 2.620 20 5 CFBDRN Cc1ccc(-c2noc(-c3ccc([N+](=O)[O-])cc3)n2)c(=O)[nH]1 ZINC000771114547 627579045 /nfs/dbraw/zinc/57/90/45/627579045.db2.gz DSMFJZLDSVLXJI-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CC12CCC2 ZINC000771485811 627608514 /nfs/dbraw/zinc/60/85/14/627608514.db2.gz DWCYNINWXICZFV-LLVKDONJSA-N 0 0 265.240 2.830 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000771493666 627609013 /nfs/dbraw/zinc/60/90/13/627609013.db2.gz NUTBLSBDHSIYJA-SECBINFHSA-N 0 0 296.371 2.853 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C1=CCOCC1 ZINC000771725728 627626095 /nfs/dbraw/zinc/62/60/95/627626095.db2.gz ZJVYXNASQGMYLI-UHFFFAOYSA-N 0 0 282.683 2.533 20 5 CFBDRN Cc1cnc(COC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000771919354 627645446 /nfs/dbraw/zinc/64/54/46/627645446.db2.gz QYAHOIVNWGJPQE-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN Cc1cccnc1COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000771926410 627648824 /nfs/dbraw/zinc/64/88/24/627648824.db2.gz QKRKTNMQLVTNCC-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2)c(C)n1 ZINC000771967365 627655435 /nfs/dbraw/zinc/65/54/35/627655435.db2.gz GYDDRBKVEIEJDP-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccc(COC(=O)c2csc([N+](=O)[O-])c2)nc1 ZINC000772261654 627693793 /nfs/dbraw/zinc/69/37/93/627693793.db2.gz HIRMKJMVSMZYAR-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000772497466 627714030 /nfs/dbraw/zinc/71/40/30/627714030.db2.gz DBKDGOBVRFNXKV-ZJUUUORDSA-N 0 0 269.322 2.992 20 5 CFBDRN O=C(Oc1ccc2cncnc2c1)c1ccccc1[N+](=O)[O-] ZINC000772517160 627717936 /nfs/dbraw/zinc/71/79/36/627717936.db2.gz SSXQVJFDWRLGJP-UHFFFAOYSA-N 0 0 295.254 2.757 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1ccon1 ZINC000772517964 627718439 /nfs/dbraw/zinc/71/84/39/627718439.db2.gz LSDRCOHIWCTCCU-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN O=C(NCc1cnc(Cl)s1)c1ccccc1[N+](=O)[O-] ZINC000773330990 627806933 /nfs/dbraw/zinc/80/69/33/627806933.db2.gz AEECAWAXFUXZIJ-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1ccc(O)cc1F ZINC000773569083 627838063 /nfs/dbraw/zinc/83/80/63/627838063.db2.gz ULLJEEZILBGGLS-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN CNc1ccc(C(=O)OCC2(C)CC2)cc1[N+](=O)[O-] ZINC000790795764 627870445 /nfs/dbraw/zinc/87/04/45/627870445.db2.gz AFGYXVOQNMVNDG-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN Cc1ccncc1[C@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000773938158 627871566 /nfs/dbraw/zinc/87/15/66/627871566.db2.gz JEKGQZZGRCAMNT-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CSCCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773985922 627875714 /nfs/dbraw/zinc/87/57/14/627875714.db2.gz SMEROAPAENPBPT-UHFFFAOYSA-N 0 0 280.349 2.545 20 5 CFBDRN CCOc1nc(C(=O)Oc2cccc([N+](=O)[O-])c2C)co1 ZINC000773984721 627876164 /nfs/dbraw/zinc/87/61/64/627876164.db2.gz QCRIOJPJRKZNGP-UHFFFAOYSA-N 0 0 292.247 2.509 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CC1CCC1)CC2 ZINC000773991694 627876692 /nfs/dbraw/zinc/87/66/92/627876692.db2.gz XQKLZNPBQLGCKO-UHFFFAOYSA-N 0 0 274.320 2.983 20 5 CFBDRN CC[C@@H](C)OCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773996724 627877492 /nfs/dbraw/zinc/87/74/92/627877492.db2.gz OJGQIQKHXAIQDN-LLVKDONJSA-N 0 0 292.335 2.607 20 5 CFBDRN CC(=O)c1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2C)o1 ZINC000774054786 627882509 /nfs/dbraw/zinc/88/25/09/627882509.db2.gz MGAWTKRJQWAUHX-UHFFFAOYSA-N 0 0 289.243 2.918 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)C1(F)CCCC1 ZINC000774211361 627903872 /nfs/dbraw/zinc/90/38/72/627903872.db2.gz NBUXGCBSRVSAHV-UHFFFAOYSA-N 0 0 271.219 2.922 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(CC(F)(F)F)C2)cc1 ZINC000774534708 627935925 /nfs/dbraw/zinc/93/59/25/627935925.db2.gz OPLZADBSMPJLQD-UHFFFAOYSA-N 0 0 260.215 2.983 20 5 CFBDRN C[C@@H](Nc1nccc(N(C)C)n1)c1ccccc1[N+](=O)[O-] ZINC000774732046 627953332 /nfs/dbraw/zinc/95/33/32/627953332.db2.gz XPRLUMSPUJNSON-SNVBAGLBSA-N 0 0 287.323 2.624 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)C[C@H]2CCOC2)cc([N+](=O)[O-])c1 ZINC000774736287 627954709 /nfs/dbraw/zinc/95/47/09/627954709.db2.gz RXJYXIPOCAENJW-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000774740402 627955981 /nfs/dbraw/zinc/95/59/81/627955981.db2.gz CPUIDRMYJNSLNH-QWRGUYRKSA-N 0 0 279.292 2.567 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000774847030 627970270 /nfs/dbraw/zinc/97/02/70/627970270.db2.gz FRLGFDSIUMLQIF-RISCZKNCSA-N 0 0 293.319 2.809 20 5 CFBDRN CC(C)[C@H]1C[C@H]1COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000774847424 627970510 /nfs/dbraw/zinc/97/05/10/627970510.db2.gz GZRZASOBNFVTFV-NWDGAFQWSA-N 0 0 293.319 2.809 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000774868024 627975183 /nfs/dbraw/zinc/97/51/83/627975183.db2.gz TUJRVVDDOJOBSM-GHMZBOCLSA-N 0 0 278.308 2.626 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000851995888 634620244 /nfs/dbraw/zinc/62/02/44/634620244.db2.gz BABZHVGZRILFFX-PWSUYJOCSA-N 0 0 275.308 2.674 20 5 CFBDRN CCc1ccnc(C(=O)OCc2csc([N+](=O)[O-])c2)c1 ZINC000775102337 627994111 /nfs/dbraw/zinc/99/41/11/627994111.db2.gz FBBBSRPEFNQVRZ-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN CC(C)=CCOC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000775280925 628006304 /nfs/dbraw/zinc/00/63/04/628006304.db2.gz ZNYSGVZTGHQMLA-UHFFFAOYSA-N 0 0 283.255 2.622 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000775478282 628029448 /nfs/dbraw/zinc/02/94/48/628029448.db2.gz TWCRXAOADRQFRH-WDEREUQCSA-N 0 0 291.303 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)CSc2ccccn2)cc1 ZINC000776271051 628125270 /nfs/dbraw/zinc/12/52/70/628125270.db2.gz HDZHMDHJLBZULK-LBPRGKRZSA-N 0 0 276.317 2.816 20 5 CFBDRN C/C=C/COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000805569407 628159178 /nfs/dbraw/zinc/15/91/78/628159178.db2.gz IIWYCUVHWMJFPQ-NSCUHMNNSA-N 0 0 260.249 2.809 20 5 CFBDRN Cc1cccc2c1CCN2C[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776589058 628162115 /nfs/dbraw/zinc/16/21/15/628162115.db2.gz ZMZJUIBFTKAKIX-QGZVFWFLSA-N 0 0 298.342 2.999 20 5 CFBDRN Cc1ccc2c(c1)CCN2C[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776605531 628164319 /nfs/dbraw/zinc/16/43/19/628164319.db2.gz LURSVZZRQZZIHA-QGZVFWFLSA-N 0 0 298.342 2.999 20 5 CFBDRN CS/C=C/C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000777131946 628203334 /nfs/dbraw/zinc/20/33/34/628203334.db2.gz URONZYSCXCUYFV-AATRIKPKSA-N 0 0 252.295 2.718 20 5 CFBDRN CSc1cccc(C(=O)NOC2CCCC2)c1[N+](=O)[O-] ZINC000777175548 628205660 /nfs/dbraw/zinc/20/56/60/628205660.db2.gz VACIMQNNCHGBEM-UHFFFAOYSA-N 0 0 296.348 2.921 20 5 CFBDRN CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NOC ZINC000777290862 628212531 /nfs/dbraw/zinc/21/25/31/628212531.db2.gz QXTROBFHYCZJTE-UHFFFAOYSA-N 0 0 284.337 2.778 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@H]1CCCS1 ZINC000777327804 628215180 /nfs/dbraw/zinc/21/51/80/628215180.db2.gz RNQIBYUYZPKXCH-GFCCVEGCSA-N 0 0 281.333 2.576 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000777345345 628216686 /nfs/dbraw/zinc/21/66/86/628216686.db2.gz RSKFXEUMDJXYOS-SECBINFHSA-N 0 0 299.348 2.899 20 5 CFBDRN O=C(NCc1ccco1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777875582 628260002 /nfs/dbraw/zinc/26/00/02/628260002.db2.gz RPPJEXAVBFNOOF-UHFFFAOYSA-N 0 0 296.229 2.596 20 5 CFBDRN C[C@@H](CNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000777956139 628267096 /nfs/dbraw/zinc/26/70/96/628267096.db2.gz BYFKRVRTECRPDZ-VIFPVBQESA-N 0 0 298.289 2.849 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](C)[C@@H](F)C1 ZINC000777998848 628271398 /nfs/dbraw/zinc/27/13/98/628271398.db2.gz FHBGXEFPNVRMNR-GWCFXTLKSA-N 0 0 282.315 2.926 20 5 CFBDRN Cc1cnoc1C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000778092936 628277128 /nfs/dbraw/zinc/27/71/28/628277128.db2.gz FIQGYWCELICFNV-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CC(C)(C)OCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000778155791 628281326 /nfs/dbraw/zinc/28/13/26/628281326.db2.gz STEVJNUGHAZMDA-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000778357833 628298712 /nfs/dbraw/zinc/29/87/12/628298712.db2.gz LAQDCXTUMNQUCQ-DTWKUNHWSA-N 0 0 285.321 2.628 20 5 CFBDRN Cc1c(C(=O)OC[C@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000778362418 628299834 /nfs/dbraw/zinc/29/98/34/628299834.db2.gz MAMKGPKPJDEHKA-JQWIXIFHSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000778374929 628301962 /nfs/dbraw/zinc/30/19/62/628301962.db2.gz MDDNAWXXRKFQGP-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)ON=C(N)COC(C)C ZINC000778592021 628318930 /nfs/dbraw/zinc/31/89/30/628318930.db2.gz HMTXMURVKFMHNF-NSHDSACASA-N 0 0 295.339 2.888 20 5 CFBDRN CCOCC(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778746206 628329295 /nfs/dbraw/zinc/32/92/95/628329295.db2.gz MARZBQBGCZTGDO-JTQLQIEISA-N 0 0 267.281 2.544 20 5 CFBDRN CCC[C@H](C)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000778749182 628329841 /nfs/dbraw/zinc/32/98/41/628329841.db2.gz SNFUQXPSYLYIJQ-UWVGGRQHSA-N 0 0 268.313 2.915 20 5 CFBDRN CCC[C@H](C)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000778749080 628329920 /nfs/dbraw/zinc/32/99/20/628329920.db2.gz ATFVGSBDZLQTEY-UWVGGRQHSA-N 0 0 268.313 2.915 20 5 CFBDRN COCCCC(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778778447 628331098 /nfs/dbraw/zinc/33/10/98/628331098.db2.gz JOXWCSVQVCPWFU-NSHDSACASA-N 0 0 281.308 2.934 20 5 CFBDRN COc1cc(COC(=O)[C@@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000778804449 628332921 /nfs/dbraw/zinc/33/29/21/628332921.db2.gz NXZKPTQYMJSKLM-JTQLQIEISA-N 0 0 281.308 2.939 20 5 CFBDRN COc1cc(COC(=O)/C=C/SC)ccc1[N+](=O)[O-] ZINC000778818475 628333952 /nfs/dbraw/zinc/33/39/52/628333952.db2.gz CCOJFORQZRJMHB-AATRIKPKSA-N 0 0 283.305 2.523 20 5 CFBDRN O=C(OC1CCCCC1)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000779194358 628359071 /nfs/dbraw/zinc/35/90/71/628359071.db2.gz LLEKWGXFTPPSFC-UHFFFAOYSA-N 0 0 293.275 2.813 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)C1 ZINC000779261283 628365653 /nfs/dbraw/zinc/36/56/53/628365653.db2.gz YBLOZJOGMXHPOD-SCZZXKLOSA-N 0 0 293.275 2.669 20 5 CFBDRN CC[C@H]1C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000779291011 628369913 /nfs/dbraw/zinc/36/99/13/628369913.db2.gz AUGYHMPJNRTAHN-STQMWFEESA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1nn(CN2CCC[C@H]2c2ccsc2)cc1[N+](=O)[O-] ZINC000779860513 628414708 /nfs/dbraw/zinc/41/47/08/628414708.db2.gz ZVJMNBFRFUSOFD-LBPRGKRZSA-N 0 0 292.364 2.956 20 5 CFBDRN Cc1nn(CN(C)C[C@@H](C)c2ccccc2)cc1[N+](=O)[O-] ZINC000779859428 628414743 /nfs/dbraw/zinc/41/47/43/628414743.db2.gz LCAIZUMVPIGNTM-GFCCVEGCSA-N 0 0 288.351 2.793 20 5 CFBDRN Cc1nn(C[N@H+]2CC[C@H](C)[C@H]3CCCC[C@H]32)cc1[N+](=O)[O-] ZINC000779860356 628414909 /nfs/dbraw/zinc/41/49/09/628414909.db2.gz XOWXUYUKIJOINO-IACUBPJLSA-N 0 0 292.383 2.958 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780077473 628431047 /nfs/dbraw/zinc/43/10/47/628431047.db2.gz ZBUJYZPMIFOPRS-QMMMGPOBSA-N 0 0 253.254 2.503 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)OCc2ccccc2[N+](=O)[O-])CCO1 ZINC000780146766 628435179 /nfs/dbraw/zinc/43/51/79/628435179.db2.gz QYBMRSQYCBMLFL-WCQYABFASA-N 0 0 293.319 2.843 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)OCC2(C)CCCCCC2)n1 ZINC000780496442 628468960 /nfs/dbraw/zinc/46/89/60/628468960.db2.gz AMRIUCMQAHXKOC-UHFFFAOYSA-N 0 0 295.339 2.846 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000780628574 628483034 /nfs/dbraw/zinc/48/30/34/628483034.db2.gz WUKOOFONIWJVLY-NXEZZACHSA-N 0 0 260.297 2.843 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)c1ccoc1 ZINC000780635503 628483535 /nfs/dbraw/zinc/48/35/35/628483535.db2.gz XTPZFHJXQFLNDT-UHFFFAOYSA-N 0 0 291.259 2.732 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)C1CC=CC1 ZINC000780635957 628483789 /nfs/dbraw/zinc/48/37/89/628483789.db2.gz JEQYHNHXBJLGTF-UHFFFAOYSA-N 0 0 291.303 2.791 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)C1=CCCC1 ZINC000780637768 628483876 /nfs/dbraw/zinc/48/38/76/628483876.db2.gz WSECPIOFALLIOO-UHFFFAOYSA-N 0 0 291.303 2.936 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CCC=CO1 ZINC000780747586 628493186 /nfs/dbraw/zinc/49/31/86/628493186.db2.gz DMJMGPZPZPYQGF-LBPRGKRZSA-N 0 0 291.307 2.717 20 5 CFBDRN COC1CCC(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CC1 ZINC000781023359 628513386 /nfs/dbraw/zinc/51/33/86/628513386.db2.gz WABDVEFCOAALQC-UHFFFAOYSA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@@]1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCCOC1 ZINC000781149553 628526784 /nfs/dbraw/zinc/52/67/84/628526784.db2.gz MYMHXFQXRPJXFO-CQSZACIVSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000781172789 628528707 /nfs/dbraw/zinc/52/87/07/628528707.db2.gz PWUYOWXBJXXWOP-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1cc(COC(=O)c2ccc(F)nc2)ccc1[N+](=O)[O-] ZINC000781170214 628528873 /nfs/dbraw/zinc/52/88/73/628528873.db2.gz LLOZJVNZXRKFPZ-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cc(COC(=O)c2cncc(F)c2)ccc1[N+](=O)[O-] ZINC000781170393 628528886 /nfs/dbraw/zinc/52/88/86/628528886.db2.gz NTJUJSIKWYGCMC-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1nscc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000781271224 628537247 /nfs/dbraw/zinc/53/72/47/628537247.db2.gz HEPJHNJBNOZNSO-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CSc1cccnn1 ZINC000781385591 628545223 /nfs/dbraw/zinc/54/52/23/628545223.db2.gz PUEMCQZLAYMOMV-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](OC(F)F)C2)c(F)c1 ZINC000781396852 628545861 /nfs/dbraw/zinc/54/58/61/628545861.db2.gz SRYNKVQOVUEZCX-SECBINFHSA-N 0 0 290.241 2.942 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H](O)c2ccccc2)n1 ZINC000781658648 628570084 /nfs/dbraw/zinc/57/00/84/628570084.db2.gz MRNMGCKUMYGBSE-CYBMUJFWSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC[S@@](=O)C(C)(C)C)n1 ZINC000781661456 628570678 /nfs/dbraw/zinc/57/06/78/628570678.db2.gz ZZANJCOHUHBKGI-HXUWFJFHSA-N 0 0 299.396 2.566 20 5 CFBDRN COc1ccc(OC(=O)C2(SC)CCC2)c([N+](=O)[O-])c1 ZINC000781868340 628587126 /nfs/dbraw/zinc/58/71/26/628587126.db2.gz MSPIDFIXBPSZEQ-UHFFFAOYSA-N 0 0 297.332 2.795 20 5 CFBDRN O=C(OC[C@@H]1CCC2(CCCC2)O1)c1ccc([N+](=O)[O-])o1 ZINC000781987027 628595448 /nfs/dbraw/zinc/59/54/48/628595448.db2.gz IWVZZJFMFHYBRM-JTQLQIEISA-N 0 0 295.291 2.836 20 5 CFBDRN CC[C@@H](C)NC(=S)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000782205788 628614206 /nfs/dbraw/zinc/61/42/06/628614206.db2.gz CRBBVWQMDXZAMC-MRVPVSSYSA-N 0 0 283.353 2.688 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)c1cc[nH]c1 ZINC000782343428 628631276 /nfs/dbraw/zinc/63/12/76/628631276.db2.gz SLTUGHCRBNODQF-UHFFFAOYSA-N 0 0 280.667 2.933 20 5 CFBDRN CCC/C=C\C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000782816305 628659102 /nfs/dbraw/zinc/65/91/02/628659102.db2.gz XNOIKSWIRKDTRY-WAYWQWQTSA-N 0 0 264.281 2.898 20 5 CFBDRN O=C(OC1CC1)c1cc(Br)cc([N+](=O)[O-])c1 ZINC000783197126 628683916 /nfs/dbraw/zinc/68/39/16/628683916.db2.gz XCXVWNIVBJATGT-UHFFFAOYSA-N 0 0 286.081 2.677 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000783248771 628693622 /nfs/dbraw/zinc/69/36/22/628693622.db2.gz HNCPRPCHJIQDGZ-UWVGGRQHSA-N 0 0 297.282 2.706 20 5 CFBDRN CCc1cc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)n[nH]1 ZINC000783626682 628727988 /nfs/dbraw/zinc/72/79/88/628727988.db2.gz OQPMBVGSHHBZHF-UHFFFAOYSA-N 0 0 294.742 2.824 20 5 CFBDRN C/C(=C/C(=O)NC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000783693628 628735280 /nfs/dbraw/zinc/73/52/80/628735280.db2.gz WEWUJFDSPLVFTN-KTKRTIGZSA-N 0 0 262.309 2.913 20 5 CFBDRN C[C@H](OC(=O)CC1(O)CCCC1)c1ccccc1[N+](=O)[O-] ZINC000783722273 628737453 /nfs/dbraw/zinc/73/74/53/628737453.db2.gz DXFPGZCSAAOCBA-NSHDSACASA-N 0 0 293.319 2.894 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CO[C@H](C)C1 ZINC000784108724 628777443 /nfs/dbraw/zinc/77/74/43/628777443.db2.gz KVVGKTKLDMKCGD-BDAKNGLRSA-N 0 0 298.726 2.920 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCCCS2)nc2ccccn21 ZINC000784173739 628787945 /nfs/dbraw/zinc/78/79/45/628787945.db2.gz YTLZCXRRFXBVJK-SNVBAGLBSA-N 0 0 292.364 2.940 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000784258852 628795664 /nfs/dbraw/zinc/79/56/64/628795664.db2.gz KJGZUBSFEZZMNN-SNVBAGLBSA-N 0 0 279.292 2.853 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000784308232 628803364 /nfs/dbraw/zinc/80/33/64/628803364.db2.gz WDXILGSNBBYZPA-LLVKDONJSA-N 0 0 273.235 2.771 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784315661 628804537 /nfs/dbraw/zinc/80/45/37/628804537.db2.gz HPRXGLZFFXYGJL-NSHDSACASA-N 0 0 287.312 2.919 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCCOCC2)cc1[N+](=O)[O-] ZINC000784405869 628814953 /nfs/dbraw/zinc/81/49/53/628814953.db2.gz JMKSGMRTZOGEQS-LLVKDONJSA-N 0 0 279.292 2.625 20 5 CFBDRN COCC[C@H](C)OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000784436230 628816713 /nfs/dbraw/zinc/81/67/13/628816713.db2.gz VMQZNPHWSHRJAR-VIFPVBQESA-N 0 0 292.291 2.658 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000784525404 628824517 /nfs/dbraw/zinc/82/45/17/628824517.db2.gz DXFUXCKVVOLUHI-LBPRGKRZSA-N 0 0 269.272 2.675 20 5 CFBDRN Cc1ccccc1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000784533226 628824964 /nfs/dbraw/zinc/82/49/64/628824964.db2.gz LQUZXUQEPUGFOL-UHFFFAOYSA-N 0 0 299.282 2.943 20 5 CFBDRN O=C(CSc1cccnc1[N+](=O)[O-])OC1CCCCC1 ZINC000784631161 628832591 /nfs/dbraw/zinc/83/25/91/628832591.db2.gz SSTWCDGLSCMSNR-UHFFFAOYSA-N 0 0 296.348 2.958 20 5 CFBDRN Cc1ccc(OCc2ccc(Cl)c([N+](=O)[O-])c2)c[n+]1[O-] ZINC000784694042 628837475 /nfs/dbraw/zinc/83/74/75/628837475.db2.gz IZTJNCPFZRWFII-UHFFFAOYSA-N 0 0 294.694 2.769 20 5 CFBDRN CC(=O)CCCOC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784878186 628847908 /nfs/dbraw/zinc/84/79/08/628847908.db2.gz HFVIWERNEDYUFU-KHPPLWFESA-N 0 0 291.303 2.911 20 5 CFBDRN CC(C)/C=C\C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000785036167 628861346 /nfs/dbraw/zinc/86/13/46/628861346.db2.gz PWZHVMYKGLJHMN-UTCJRWHESA-N 0 0 267.256 2.989 20 5 CFBDRN CC(C)/C=C/C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000785058774 628863037 /nfs/dbraw/zinc/86/30/37/628863037.db2.gz KISPXNXUXJDYOL-ZZXKWVIFSA-N 0 0 267.256 2.989 20 5 CFBDRN Cc1cncc(COC(=O)c2cc([N+](=O)[O-])ccc2C)c1 ZINC000785667728 628902894 /nfs/dbraw/zinc/90/28/94/628902894.db2.gz PKSHBRFNPVDNBG-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccncc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000785882534 628919000 /nfs/dbraw/zinc/91/90/00/628919000.db2.gz CXLIRVRCEPXDGV-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000786329934 628948755 /nfs/dbraw/zinc/94/87/55/628948755.db2.gz PUJFJSCQEIHSFE-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN CC(C)(NC(=O)c1csc([N+](=O)[O-])c1)C1CCOCC1 ZINC000786338517 628949661 /nfs/dbraw/zinc/94/96/61/628949661.db2.gz XAPFTKWZUCLUQA-UHFFFAOYSA-N 0 0 298.364 2.591 20 5 CFBDRN C[C@@H](OC(=O)CCNc1ccccc1[N+](=O)[O-])C(F)F ZINC000786507851 628962270 /nfs/dbraw/zinc/96/22/70/628962270.db2.gz BPVKCJXCSPZNDV-MRVPVSSYSA-N 0 0 288.250 2.594 20 5 CFBDRN CO[C@H](CNc1ccc(N)cc1[N+](=O)[O-])c1ccccc1 ZINC000786585059 628969879 /nfs/dbraw/zinc/96/98/79/628969879.db2.gz ZWUPQAVMLBWZIN-OAHLLOKOSA-N 0 0 287.319 2.977 20 5 CFBDRN CCc1cnc(CCNc2ccc(N)cc2[N+](=O)[O-])s1 ZINC000786802808 628979989 /nfs/dbraw/zinc/97/99/89/628979989.db2.gz CETDMKYFTZVCNS-UHFFFAOYSA-N 0 0 292.364 2.851 20 5 CFBDRN Cc1ncc(COc2ccc(N)c([N+](=O)[O-])c2)s1 ZINC000786861753 628981963 /nfs/dbraw/zinc/98/19/63/628981963.db2.gz CVOYETWJIKBGIR-UHFFFAOYSA-N 0 0 265.294 2.521 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1CCCOCC1 ZINC000787100440 628993393 /nfs/dbraw/zinc/99/33/93/628993393.db2.gz SMHRUUUYPKKLOD-JTQLQIEISA-N 0 0 285.321 2.516 20 5 CFBDRN CC1(C)CCC([S@@](=O)CCn2cc([N+](=O)[O-])cn2)CC1 ZINC000787222674 629000122 /nfs/dbraw/zinc/00/01/22/629000122.db2.gz YPTQMCBXNBQKHQ-FQEVSTJZSA-N 0 0 299.396 2.509 20 5 CFBDRN CSC1(C(=O)OCc2ccccc2[N+](=O)[O-])CCC1 ZINC000787233323 629000177 /nfs/dbraw/zinc/00/01/77/629000177.db2.gz CQDSTRUIBDZWPE-UHFFFAOYSA-N 0 0 281.333 2.924 20 5 CFBDRN CC(C)CCCCCCNC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000788274990 629055158 /nfs/dbraw/zinc/05/51/58/629055158.db2.gz SADDUHMWZSITSI-UHFFFAOYSA-N 0 0 282.344 2.654 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCCCCO1 ZINC000788489137 629074301 /nfs/dbraw/zinc/07/43/01/629074301.db2.gz RUIMWGSRKRBBPC-RMKNXTFCSA-N 0 0 276.292 2.552 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCCCCO1 ZINC000788489143 629074461 /nfs/dbraw/zinc/07/44/61/629074461.db2.gz RUIMWGSRKRBBPC-TWGQIWQCSA-N 0 0 276.292 2.552 20 5 CFBDRN COC(=O)/C(C)=C/CSc1ccc([N+](=O)[O-])cc1F ZINC000788502565 629075919 /nfs/dbraw/zinc/07/59/19/629075919.db2.gz OGFRUAVSVYWIPH-VMPITWQZSA-N 0 0 285.296 2.945 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cnc(C3CC3)nc2)c1 ZINC000788864793 629105580 /nfs/dbraw/zinc/10/55/80/629105580.db2.gz BLRBCVULRIABBZ-UHFFFAOYSA-N 0 0 270.292 2.874 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)ccn1 ZINC000789025759 629118160 /nfs/dbraw/zinc/11/81/60/629118160.db2.gz FBBPPTPITAAEPB-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@H]1CC[C@H](C)O1)CC2 ZINC000789355904 629150426 /nfs/dbraw/zinc/15/04/26/629150426.db2.gz FCTURBWTSZZPTH-WCQYABFASA-N 0 0 276.336 2.833 20 5 CFBDRN Cc1cnc(COC(=O)[C@@H](F)C(C)C)c(C)c1[N+](=O)[O-] ZINC000789442542 629157165 /nfs/dbraw/zinc/15/71/65/629157165.db2.gz KOPDMRVZHUYYKM-NSHDSACASA-N 0 0 284.287 2.644 20 5 CFBDRN Cc1ccc(OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])nc1 ZINC000789590623 629169379 /nfs/dbraw/zinc/16/93/79/629169379.db2.gz JNPINRMCWXLRPA-UHFFFAOYSA-N 0 0 289.247 2.785 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCC1(F)CC1 ZINC000789593119 629170141 /nfs/dbraw/zinc/17/01/41/629170141.db2.gz URPISSMRIDCARC-ZZXKWVIFSA-N 0 0 265.240 2.653 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCC2(F)CC2)c1 ZINC000789596349 629170716 /nfs/dbraw/zinc/17/07/16/629170716.db2.gz MWUVHKPZVQZYSC-UHFFFAOYSA-N 0 0 285.296 2.976 20 5 CFBDRN C[C@H](COC(=O)COc1ccccc1[N+](=O)[O-])C1CC1 ZINC000789610766 629173626 /nfs/dbraw/zinc/17/36/26/629173626.db2.gz YYOLSGMRKHTDJE-SNVBAGLBSA-N 0 0 279.292 2.563 20 5 CFBDRN CC(C)(C)c1ccc(NCC(=O)N2CCC2)cc1[N+](=O)[O-] ZINC000789682389 629181486 /nfs/dbraw/zinc/18/14/86/629181486.db2.gz ZURGFBCETZCZMU-UHFFFAOYSA-N 0 0 291.351 2.537 20 5 CFBDRN CCOC1CC(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000805903352 629185875 /nfs/dbraw/zinc/18/58/75/629185875.db2.gz YNLQWCMCUHHNFM-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(N)nc(S/C=C/c2ccc([N+](=O)[O-])o2)n1 ZINC000789890925 629194782 /nfs/dbraw/zinc/19/47/82/629194782.db2.gz YMMDIYRMUUNIQU-SNAWJCMRSA-N 0 0 278.293 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\Sc2nc3cncnc3[nH]2)o1 ZINC000789967390 629200802 /nfs/dbraw/zinc/20/08/02/629200802.db2.gz LSLCTJHBMAZVNT-ARJAWSKDSA-N 0 0 289.276 2.617 20 5 CFBDRN CC1(C)CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000790233629 629221458 /nfs/dbraw/zinc/22/14/58/629221458.db2.gz AEPFHNFDQRVYIB-UHFFFAOYSA-N 0 0 284.262 2.555 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1C[C@@H](O)C(C)(C)C1 ZINC000790296717 629226494 /nfs/dbraw/zinc/22/64/94/629226494.db2.gz CJXDBJFKPJNSRU-LLVKDONJSA-N 0 0 284.743 2.764 20 5 CFBDRN CC(C)=CCNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000790788662 629262975 /nfs/dbraw/zinc/26/29/75/629262975.db2.gz KLCUPFSKSQWHFY-UHFFFAOYSA-N 0 0 289.335 2.865 20 5 CFBDRN CC(C)=CCCNC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000791000806 629279642 /nfs/dbraw/zinc/27/96/42/629279642.db2.gz ZBBIXGKTEHXMQP-UHFFFAOYSA-N 0 0 293.279 2.589 20 5 CFBDRN Cc1c(CNC(=O)[C@@H](F)C(C)C)cccc1[N+](=O)[O-] ZINC000791019880 629281326 /nfs/dbraw/zinc/28/13/26/629281326.db2.gz FKIWAWFYZWUYQT-LBPRGKRZSA-N 0 0 268.288 2.514 20 5 CFBDRN O=[N+]([O-])CCN[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC000791053067 629284344 /nfs/dbraw/zinc/28/43/44/629284344.db2.gz AGHVOUDTYKGLJB-CQSZACIVSA-N 0 0 291.738 2.691 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1 ZINC000791291023 629297443 /nfs/dbraw/zinc/29/74/43/629297443.db2.gz QVIQSKFFHKVPCW-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000791344640 629302690 /nfs/dbraw/zinc/30/26/90/629302690.db2.gz RXTRGYYLTGSESQ-VIFPVBQESA-N 0 0 297.330 2.670 20 5 CFBDRN Cc1ccnc(COC(=O)c2cc([N+](=O)[O-])ccc2C)c1 ZINC000791352272 629303697 /nfs/dbraw/zinc/30/36/97/629303697.db2.gz ALNHJKVCHIIEPS-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN COC1(COC(=O)Cc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000791398821 629309299 /nfs/dbraw/zinc/30/92/99/629309299.db2.gz JZWMLELGGXOJRS-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CCCC1 ZINC000791497144 629321796 /nfs/dbraw/zinc/32/17/96/629321796.db2.gz LDAAEFGTGSPYQO-UHFFFAOYSA-N 0 0 294.263 2.736 20 5 CFBDRN COC1(CC(=O)OCc2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000791518892 629326011 /nfs/dbraw/zinc/32/60/11/629326011.db2.gz XZVADHRPWRTKHD-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN O=C(COCC1CCCC1)Oc1cccc([N+](=O)[O-])c1 ZINC000835046722 629343395 /nfs/dbraw/zinc/34/33/95/629343395.db2.gz MGSBGLKKUYXLSA-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CCCCOC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000791693108 629345415 /nfs/dbraw/zinc/34/54/15/629345415.db2.gz VAJVZCVUSJSRQH-UHFFFAOYSA-N 0 0 267.281 2.869 20 5 CFBDRN CC(C)(CCC(=O)Oc1ccc2ccncc2c1)[N+](=O)[O-] ZINC000835051716 629352249 /nfs/dbraw/zinc/35/22/49/629352249.db2.gz MPYSQTPAOXPYLV-UHFFFAOYSA-N 0 0 288.303 2.976 20 5 CFBDRN COc1cc(C(=O)OC[C@@H]2C[C@H]2C)cc([N+](=O)[O-])c1C ZINC000791788066 629357300 /nfs/dbraw/zinc/35/73/00/629357300.db2.gz PRMUHBBAXUVEAR-KCJUWKMLSA-N 0 0 279.292 2.725 20 5 CFBDRN CC/C(C)=C/C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000835058915 629361078 /nfs/dbraw/zinc/36/10/78/629361078.db2.gz LNRYDVCUNAXJNE-VQHVLOKHSA-N 0 0 265.265 2.865 20 5 CFBDRN COc1cc(C(=O)OCC(C)C)c([N+](=O)[O-])cc1F ZINC000835079240 629375336 /nfs/dbraw/zinc/37/53/36/629375336.db2.gz NSJIFDNOEZQEBC-UHFFFAOYSA-N 0 0 271.244 2.555 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2ccnn2C)c1 ZINC000791935725 629380215 /nfs/dbraw/zinc/38/02/15/629380215.db2.gz NDTBVZFCUXPDEP-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCCCCCF ZINC000791949227 629382665 /nfs/dbraw/zinc/38/26/65/629382665.db2.gz DFQSKAAURUVFOE-UHFFFAOYSA-N 0 0 269.272 2.820 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)c2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000792029243 629390200 /nfs/dbraw/zinc/39/02/00/629390200.db2.gz QMUXBZSHWXJMOS-GFCCVEGCSA-N 0 0 297.282 2.848 20 5 CFBDRN CCC/C=C/C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000792122415 629400419 /nfs/dbraw/zinc/40/04/19/629400419.db2.gz XDOZSHWYMGHWIZ-AATRIKPKSA-N 0 0 265.265 2.865 20 5 CFBDRN CC(C)/C=C\C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000792182643 629406870 /nfs/dbraw/zinc/40/68/70/629406870.db2.gz JUYAZDHNZOMLHK-UTCJRWHESA-N 0 0 294.263 2.758 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000835103935 629408928 /nfs/dbraw/zinc/40/89/28/629408928.db2.gz NGDVXTSYLDJYEV-MVSYMCDOSA-N 0 0 291.303 2.792 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000792530423 629431108 /nfs/dbraw/zinc/43/11/08/629431108.db2.gz XMAURJHPSQVGFI-YUMQZZPRSA-N 0 0 268.700 2.871 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000792672723 629443221 /nfs/dbraw/zinc/44/32/21/629443221.db2.gz GMOXSJGWAVIKLV-YUMQZZPRSA-N 0 0 268.700 2.871 20 5 CFBDRN COCC1(C(=O)OCc2csc([N+](=O)[O-])c2)CCC1 ZINC000792691150 629444852 /nfs/dbraw/zinc/44/48/52/629444852.db2.gz TZCFLPMLAYOWNT-UHFFFAOYSA-N 0 0 285.321 2.516 20 5 CFBDRN CCc1nc(COC(=O)CCC(C)(C)[N+](=O)[O-])cs1 ZINC000835149238 629464134 /nfs/dbraw/zinc/46/41/34/629464134.db2.gz QCPMKKWYAZYWOC-UHFFFAOYSA-N 0 0 286.353 2.584 20 5 CFBDRN CCc1ccc(OC(=O)/C=C/[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000835167423 629482741 /nfs/dbraw/zinc/48/27/41/629482741.db2.gz AWDIYTMZIMEEHO-FUEXJSSKSA-N 0 0 291.303 2.655 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC([C@@H]3CCOC3)C2)cc1Cl ZINC000793258928 629516192 /nfs/dbraw/zinc/51/61/92/629516192.db2.gz QCQBQHYIVRCFFR-LLVKDONJSA-N 0 0 296.754 2.717 20 5 CFBDRN CC[C@H]1CCN1C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000793343072 629529038 /nfs/dbraw/zinc/52/90/38/629529038.db2.gz FVBORRGNOHHGLN-JTQLQIEISA-N 0 0 265.338 2.776 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC(F)(F)CC2)cc1 ZINC000793362445 629531326 /nfs/dbraw/zinc/53/13/26/629531326.db2.gz SPQVFUTYIOMXKB-UHFFFAOYSA-N 0 0 256.252 2.826 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C12CCC2 ZINC000835229842 629543623 /nfs/dbraw/zinc/54/36/23/629543623.db2.gz PYQNQGGWVDARBI-RYUDHWBXSA-N 0 0 299.367 2.713 20 5 CFBDRN CC/C(C)=C\C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000835237794 629550590 /nfs/dbraw/zinc/55/05/90/629550590.db2.gz YQFDHMXELWDGPX-YWEYNIOJSA-N 0 0 253.229 2.996 20 5 CFBDRN Cc1cc(COC(=O)c2cc([N+](=O)[O-])cn2C)cs1 ZINC000806021687 629576066 /nfs/dbraw/zinc/57/60/66/629576066.db2.gz IRURNGMBTDNBJW-UHFFFAOYSA-N 0 0 280.305 2.660 20 5 CFBDRN Cc1cc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)n(C)n1 ZINC000793607604 629578542 /nfs/dbraw/zinc/57/85/42/629578542.db2.gz SMYOOAVFSIBJDJ-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000835268734 629579763 /nfs/dbraw/zinc/57/97/63/629579763.db2.gz CIFADRCUYIPGHN-MRVPVSSYSA-N 0 0 285.271 2.945 20 5 CFBDRN O=C(OC[C@@H]1CCCSC1)c1ccccc1[N+](=O)[O-] ZINC000806045288 629622716 /nfs/dbraw/zinc/62/27/16/629622716.db2.gz SILUNMFSWBANMM-JTQLQIEISA-N 0 0 281.333 2.895 20 5 CFBDRN COc1cc(OCC(F)(F)C(F)F)ccc1[N+](=O)[O-] ZINC000794449378 629647968 /nfs/dbraw/zinc/64/79/68/629647968.db2.gz IWEFMHMESHRYRQ-UHFFFAOYSA-N 0 0 283.177 2.883 20 5 CFBDRN O=C(CCC(=O)c1cccc([N+](=O)[O-])c1)OCC1(F)CC1 ZINC000835372994 629663318 /nfs/dbraw/zinc/66/33/18/629663318.db2.gz BJFBHCDTTYKGCQ-UHFFFAOYSA-N 0 0 295.266 2.603 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000794841025 629693181 /nfs/dbraw/zinc/69/31/81/629693181.db2.gz BVPGBKMGVLTOBM-MRVPVSSYSA-N 0 0 286.715 2.956 20 5 CFBDRN CC[C@H](C)CONC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000794865957 629697323 /nfs/dbraw/zinc/69/73/23/629697323.db2.gz RULOYEKVHIOAHC-JTQLQIEISA-N 0 0 280.324 2.540 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)CC(C)(C)O)cc([N+](=O)[O-])c1 ZINC000835424235 629709686 /nfs/dbraw/zinc/70/96/86/629709686.db2.gz XCKGKDIUGFFWRQ-JTQLQIEISA-N 0 0 281.308 2.610 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000795001162 629721017 /nfs/dbraw/zinc/72/10/17/629721017.db2.gz XEORIGFARFDNHQ-RKDXNWHRSA-N 0 0 265.338 2.774 20 5 CFBDRN Cc1nccc(CN2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)n1 ZINC000795050922 629730316 /nfs/dbraw/zinc/73/03/16/629730316.db2.gz OKOJDSQEUCYOEL-JTQLQIEISA-N 0 0 284.319 2.644 20 5 CFBDRN CC(C)(CCC(=O)O[C@H]1CCCC[C@@H]1F)[N+](=O)[O-] ZINC000835449774 629732209 /nfs/dbraw/zinc/73/22/09/629732209.db2.gz MOKYXXCNGJDKEF-UWVGGRQHSA-N 0 0 261.293 2.646 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](CCO)C2CC2)c([N+](=O)[O-])c1 ZINC000795100717 629734203 /nfs/dbraw/zinc/73/42/03/629734203.db2.gz KXTNJXAZAVBWQV-GFCCVEGCSA-N 0 0 292.335 2.760 20 5 CFBDRN C[C@H]1CSC[C@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000795136326 629738571 /nfs/dbraw/zinc/73/85/71/629738571.db2.gz MZZIUXLOCYUGQW-GZMMTYOYSA-N 0 0 297.405 2.633 20 5 CFBDRN Cc1ccc(N2C[C@H](C)O[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000795189901 629743027 /nfs/dbraw/zinc/74/30/27/629743027.db2.gz NTXYTJVNYHDQPW-XHDPSFHLSA-N 0 0 276.336 2.907 20 5 CFBDRN COc1cc(NCc2cccnc2F)c(F)cc1[N+](=O)[O-] ZINC000795232160 629749271 /nfs/dbraw/zinc/74/92/71/629749271.db2.gz XKGSVAHGTNFRKX-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN Cc1ccncc1CN(C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000795261505 629753802 /nfs/dbraw/zinc/75/38/02/629753802.db2.gz UEYCZGCCESLNPY-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN CCN(CC1CCC1)c1nc2ccccn2c1[N+](=O)[O-] ZINC000795274238 629756129 /nfs/dbraw/zinc/75/61/29/629756129.db2.gz LTWYKQKKOQXNJQ-UHFFFAOYSA-N 0 0 274.324 2.869 20 5 CFBDRN Cc1cccc(C(=O)OCc2cncc(F)c2)c1[N+](=O)[O-] ZINC000795319482 629760657 /nfs/dbraw/zinc/76/06/57/629760657.db2.gz QKFVJJXHXWJCOB-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000835478363 629765470 /nfs/dbraw/zinc/76/54/70/629765470.db2.gz BEONIHYYLMDLNV-LBPRGKRZSA-N 0 0 269.272 2.941 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCC[C@H](O)C2)cc([N+](=O)[O-])c1 ZINC000795355150 629766329 /nfs/dbraw/zinc/76/63/29/629766329.db2.gz MVCOSCYUSVSAKV-RISCZKNCSA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1ccc(COC(=O)CCOC(C)C)cc1[N+](=O)[O-] ZINC000835484046 629774061 /nfs/dbraw/zinc/77/40/61/629774061.db2.gz PHUDQZQAESTURS-UHFFFAOYSA-N 0 0 281.308 2.762 20 5 CFBDRN O=C(OCc1cncc(F)c1)c1ccc([N+](=O)[O-])cc1F ZINC000795467089 629783896 /nfs/dbraw/zinc/78/38/96/629783896.db2.gz ZPEVVJRIOKBDJW-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN COC(C)(C)COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000835504469 629802804 /nfs/dbraw/zinc/80/28/04/629802804.db2.gz PCGDJKBIKFAJIE-UHFFFAOYSA-N 0 0 295.335 2.841 20 5 CFBDRN CCC[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1C)OC ZINC000835535476 629818169 /nfs/dbraw/zinc/81/81/69/629818169.db2.gz BQOOCTULFXGQBM-GFCCVEGCSA-N 0 0 281.308 2.875 20 5 CFBDRN CCC[C@H](COC(=O)c1cc(C)ccc1[N+](=O)[O-])OC ZINC000835536991 629819582 /nfs/dbraw/zinc/81/95/82/629819582.db2.gz IPYFJECRIBXZMF-LLVKDONJSA-N 0 0 281.308 2.875 20 5 CFBDRN C[C@@H]1C[C@H](c2ccccc2)N(Cn2nccc2[N+](=O)[O-])C1 ZINC000795744192 629828515 /nfs/dbraw/zinc/82/85/15/629828515.db2.gz LLIJVYQRCCNWDZ-TZMCWYRMSA-N 0 0 286.335 2.832 20 5 CFBDRN C[C@H]1C[C@@H](c2ccccc2)N(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000795745447 629829122 /nfs/dbraw/zinc/82/91/22/629829122.db2.gz PGROMFWSOJGEGX-WFASDCNBSA-N 0 0 286.335 2.832 20 5 CFBDRN CO[C@@H](C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000795832169 629838551 /nfs/dbraw/zinc/83/85/51/629838551.db2.gz KWDCIYUFBPSPRR-TVQRCGJNSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(C[C@@H]1CCCOC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000795909769 629848781 /nfs/dbraw/zinc/84/87/81/629848781.db2.gz CPHNKOSDHVGRHD-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000796000568 629861279 /nfs/dbraw/zinc/86/12/79/629861279.db2.gz KLFZQJLCRJRDPD-NOZJJQNGSA-N 0 0 299.298 2.838 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000796003768 629862285 /nfs/dbraw/zinc/86/22/85/629862285.db2.gz SVLAZBGTUZVPAX-MFKMUULPSA-N 0 0 281.308 2.699 20 5 CFBDRN C[C@H]1C(=O)CC[C@@H]1CC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000796238844 629894963 /nfs/dbraw/zinc/89/49/63/629894963.db2.gz OUMRTJACZCXGBQ-ZYHUDNBSSA-N 0 0 291.303 2.643 20 5 CFBDRN CC/C=C\CCOC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000796256473 629895972 /nfs/dbraw/zinc/89/59/72/629895972.db2.gz VLYHWJJCPNCLAG-WAYWQWQTSA-N 0 0 281.312 2.954 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)O[C@@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000796255381 629896126 /nfs/dbraw/zinc/89/61/26/629896126.db2.gz QQMUACXBMVBLNY-SECBINFHSA-N 0 0 279.296 2.707 20 5 CFBDRN CCCCCOC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000796252640 629896169 /nfs/dbraw/zinc/89/61/69/629896169.db2.gz DHJGWNJZDNQMIO-UHFFFAOYSA-N 0 0 269.301 2.788 20 5 CFBDRN COc1c(C(=O)O[C@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC000796311002 629902191 /nfs/dbraw/zinc/90/21/91/629902191.db2.gz YNGPIGISVBYZPM-JTQLQIEISA-N 0 0 277.276 2.869 20 5 CFBDRN O=C(CCC(F)F)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000796534374 629931127 /nfs/dbraw/zinc/93/11/27/629931127.db2.gz RLDXSYDXAHAHEZ-UHFFFAOYSA-N 0 0 262.187 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC(F)(F)CC1 ZINC000796537086 629931771 /nfs/dbraw/zinc/93/17/71/629931771.db2.gz GPSBEGHVFDBIQA-UHFFFAOYSA-N 0 0 274.242 2.965 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CCC(F)F ZINC000796591121 629939717 /nfs/dbraw/zinc/93/97/17/629939717.db2.gz GUNDKZBKVVWQKP-UHFFFAOYSA-N 0 0 259.208 2.854 20 5 CFBDRN CS[C@H](C)COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000835681445 629947645 /nfs/dbraw/zinc/94/76/45/629947645.db2.gz QTCKZCZPSKIXPD-SECBINFHSA-N 0 0 269.322 2.812 20 5 CFBDRN COc1c(C(=O)OCc2ccoc2C)cccc1[N+](=O)[O-] ZINC000796707052 629953482 /nfs/dbraw/zinc/95/34/82/629953482.db2.gz AILYLMZBNINCBP-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1ccc(OC(=O)C[C@H]2CCC(=O)[C@@H]2C)cc1[N+](=O)[O-] ZINC000796786018 629967015 /nfs/dbraw/zinc/96/70/15/629967015.db2.gz FJKKJAOFUAKIOR-GHMZBOCLSA-N 0 0 291.303 2.814 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C1CC(C)(C)C1 ZINC000796787666 629967854 /nfs/dbraw/zinc/96/78/54/629967854.db2.gz OYLQSMVQHADTNC-UHFFFAOYSA-N 0 0 279.292 2.945 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000796870469 629983266 /nfs/dbraw/zinc/98/32/66/629983266.db2.gz ZXJZYMOISMSZDI-ZANVPECISA-N 0 0 281.308 2.870 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000796870464 629983326 /nfs/dbraw/zinc/98/33/26/629983326.db2.gz ZXJZYMOISMSZDI-NOZJJQNGSA-N 0 0 281.308 2.870 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000796899246 629986431 /nfs/dbraw/zinc/98/64/31/629986431.db2.gz JEAYERFAMAWXRQ-TVQRCGJNSA-N 0 0 297.307 2.570 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC1CC2(CC2)C1 ZINC000835726602 629990717 /nfs/dbraw/zinc/99/07/17/629990717.db2.gz AFGVTYVAPSLLAP-UHFFFAOYSA-N 0 0 277.276 2.703 20 5 CFBDRN CS[C@H](C)[C@@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000797204384 630037449 /nfs/dbraw/zinc/03/74/49/630037449.db2.gz IQVGHKJUONYZCV-NXEZZACHSA-N 0 0 299.348 2.657 20 5 CFBDRN C[C@H]1COCC[C@@H]1OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000835782010 630039486 /nfs/dbraw/zinc/03/94/86/630039486.db2.gz RMVQMGULKHKIAJ-UFBFGSQYSA-N 0 0 299.710 2.830 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@@H](F)C(C)C ZINC000797477537 630071610 /nfs/dbraw/zinc/07/16/10/630071610.db2.gz PKMWXBZXOAUSPZ-LBPRGKRZSA-N 0 0 285.271 2.641 20 5 CFBDRN CNc1ccc(C(=O)O[C@H](C)CCSC)cc1[N+](=O)[O-] ZINC000835820313 630087588 /nfs/dbraw/zinc/08/75/88/630087588.db2.gz XNUCPYYARJMCAC-SECBINFHSA-N 0 0 298.364 2.935 20 5 CFBDRN O=C(OCC1=CCCCC1)c1ccc([N+](=O)[O-])o1 ZINC000835822367 630090127 /nfs/dbraw/zinc/09/01/27/630090127.db2.gz SMNNCRVAFIAUAE-UHFFFAOYSA-N 0 0 251.238 2.845 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000798095544 630149475 /nfs/dbraw/zinc/14/94/75/630149475.db2.gz PBOWBQFWRDUDKW-KCSRWMALSA-N 0 0 274.320 2.913 20 5 CFBDRN CN(C(=O)c1csc([N+](=O)[O-])c1)c1ccc(Cl)nc1 ZINC000798235635 630170364 /nfs/dbraw/zinc/17/03/64/630170364.db2.gz XVBUNDQIMGOLLS-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccc(F)cn2)cc1[N+](=O)[O-] ZINC000798243198 630172690 /nfs/dbraw/zinc/17/26/90/630172690.db2.gz BMDDCLGLTFZFGV-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN CC[C@@](C)(COC(=O)c1ccc([N+](=O)[O-])cc1)OC ZINC000835957463 630177683 /nfs/dbraw/zinc/17/76/83/630177683.db2.gz OJTYAPAQOSKVCR-ZDUSSCGKSA-N 0 0 267.281 2.567 20 5 CFBDRN CC[C@@](C)(COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000835957177 630177778 /nfs/dbraw/zinc/17/77/78/630177778.db2.gz MHTOURVANUAEIC-XMELGKRDSA-N 0 0 293.319 2.966 20 5 CFBDRN CC[C@](C)(COC(=O)c1ccc(OC)c([N+](=O)[O-])c1)OC ZINC000835958432 630179849 /nfs/dbraw/zinc/17/98/49/630179849.db2.gz VKGUIWNSNXGBAN-CQSZACIVSA-N 0 0 297.307 2.575 20 5 CFBDRN CC[C@](C)(COC(=O)c1ccc([N+](=O)[O-])c(OC)c1)OC ZINC000835961386 630184277 /nfs/dbraw/zinc/18/42/77/630184277.db2.gz NPCLZOAGZGVOLI-CQSZACIVSA-N 0 0 297.307 2.575 20 5 CFBDRN COc1cccc(N2CC[C@@H](c3ccccn3)C2)c1[N+](=O)[O-] ZINC000798417278 630189109 /nfs/dbraw/zinc/18/91/09/630189109.db2.gz UKRORQQRBXVEQA-GFCCVEGCSA-N 0 0 299.330 2.992 20 5 CFBDRN Cc1cc(F)cc(C(=O)O[C@H](C)C(=O)C2CC2)c1[N+](=O)[O-] ZINC000798632669 630218821 /nfs/dbraw/zinc/21/88/21/630218821.db2.gz QLCWXTWPGCFHBD-MRVPVSSYSA-N 0 0 295.266 2.567 20 5 CFBDRN Cn1c(C(=O)O[C@@H](C2CC2)C2CCC2)ccc1[N+](=O)[O-] ZINC000836042910 630234115 /nfs/dbraw/zinc/23/41/15/630234115.db2.gz MENSULPWTKRCOQ-CYBMUJFWSA-N 0 0 278.308 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1cnc(Cl)cn1)CC2 ZINC000799165789 630281539 /nfs/dbraw/zinc/28/15/39/630281539.db2.gz OFEPLWFUDJBGOV-UHFFFAOYSA-N 0 0 290.710 2.601 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1cc(C2CC2)no1 ZINC000799296656 630293145 /nfs/dbraw/zinc/29/31/45/630293145.db2.gz INQJCSYISHYFOI-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@H]1CCCC1(C)C ZINC000799478323 630312946 /nfs/dbraw/zinc/31/29/46/630312946.db2.gz BHZIRFHINVYVHS-NSHDSACASA-N 0 0 295.339 2.612 20 5 CFBDRN CC1(C)CCC[C@H]1OC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000799499315 630316146 /nfs/dbraw/zinc/31/61/46/630316146.db2.gz UYIYUNPGSXGYEB-LLVKDONJSA-N 0 0 264.281 2.725 20 5 CFBDRN CCOCC1(C(=O)OCc2cccc([N+](=O)[O-])c2C)CC1 ZINC000799558682 630321118 /nfs/dbraw/zinc/32/11/18/630321118.db2.gz MPUQSJHYJYLJDE-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1cc(C2CC2)no1 ZINC000799578796 630322469 /nfs/dbraw/zinc/32/24/69/630322469.db2.gz UTMOPUQSFVLCLO-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN COCC1(COC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000799665311 630330331 /nfs/dbraw/zinc/33/03/31/630330331.db2.gz TXHXFKIEABBBIV-NSHDSACASA-N 0 0 293.319 2.668 20 5 CFBDRN CNc1ccc(C(=O)OCC2(SC)CC2)cc1[N+](=O)[O-] ZINC000799682163 630334333 /nfs/dbraw/zinc/33/43/33/630334333.db2.gz HPZLOZGCXNINKN-UHFFFAOYSA-N 0 0 296.348 2.689 20 5 CFBDRN COc1ccc(C(=O)OCC2(SC)CC2)cc1[N+](=O)[O-] ZINC000799685280 630335257 /nfs/dbraw/zinc/33/52/57/630335257.db2.gz AXWQTMOKJDYAMS-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN Cc1cccc(OS(=O)(=O)CC(C)(C)C)c1[N+](=O)[O-] ZINC000799792696 630344605 /nfs/dbraw/zinc/34/46/05/630344605.db2.gz FRPGQBWLEKAHFP-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN Cc1ccc(OC(=O)COc2ccsc2)c([N+](=O)[O-])c1 ZINC000799861650 630349080 /nfs/dbraw/zinc/34/90/80/630349080.db2.gz JPSCOWSPDCVCBI-UHFFFAOYSA-N 0 0 293.300 2.949 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c2ccccc12)C(F)F ZINC000799888468 630352118 /nfs/dbraw/zinc/35/21/18/630352118.db2.gz MXRQSTBYYHVCDI-UHFFFAOYSA-N 0 0 281.214 2.961 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccccc2[N+](=O)[O-])s1 ZINC000799939174 630355276 /nfs/dbraw/zinc/35/52/76/630355276.db2.gz FLENJJUGGKSQCC-UHFFFAOYSA-N 0 0 294.292 2.614 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1O[C@H](C)C[C@H]1C ZINC000799977495 630357820 /nfs/dbraw/zinc/35/78/20/630357820.db2.gz XQZDQDNTQJMRJZ-GIPNMCIBSA-N 0 0 279.292 2.622 20 5 CFBDRN CCc1noc(CNc2c(C)c([N+](=O)[O-])ccc2F)n1 ZINC000799973113 630357852 /nfs/dbraw/zinc/35/78/52/630357852.db2.gz JFIGNTOGRQANGC-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1C(F)F ZINC000800799052 630405894 /nfs/dbraw/zinc/40/58/94/630405894.db2.gz DZFVKLNVLBONPB-UWVGGRQHSA-N 0 0 271.219 2.539 20 5 CFBDRN CC1(C)OC[C@H](CSc2cccc([N+](=O)[O-])c2)O1 ZINC000800838108 630409928 /nfs/dbraw/zinc/40/99/28/630409928.db2.gz RZSUTBNWSSJTPH-SNVBAGLBSA-N 0 0 269.322 2.838 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H]2CCc3c2cccc3O)c1 ZINC000800856864 630411530 /nfs/dbraw/zinc/41/15/30/630411530.db2.gz WWXXKGJBFLYIEP-LBPRGKRZSA-N 0 0 285.303 2.982 20 5 CFBDRN Cc1cnccc1CCNc1cc(N)ccc1[N+](=O)[O-] ZINC000800861565 630412317 /nfs/dbraw/zinc/41/23/17/630412317.db2.gz TZMNCLRPZLAGEA-UHFFFAOYSA-N 0 0 272.308 2.535 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1C[C@@H]1C(F)F ZINC000800868956 630412923 /nfs/dbraw/zinc/41/29/23/630412923.db2.gz RZVVDSABFFQXCO-UWVGGRQHSA-N 0 0 271.219 2.539 20 5 CFBDRN CC(C)=C(C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000801090702 630427613 /nfs/dbraw/zinc/42/76/13/630427613.db2.gz CTBONTNIGITSEQ-UHFFFAOYSA-N 0 0 276.336 2.952 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC(=O)CCCC(C)(C)C ZINC000103645424 630446271 /nfs/dbraw/zinc/44/62/71/630446271.db2.gz AFNFCMJPYLSGFC-UHFFFAOYSA-N 0 0 297.355 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2C(F)F)cc1F ZINC000801525145 630453629 /nfs/dbraw/zinc/45/36/29/630453629.db2.gz FXUXGMCNCJULMN-NSHDSACASA-N 0 0 274.242 2.963 20 5 CFBDRN C[C@H]1CCN(Cc2ccc([N+](=O)[O-])c(F)c2)C[C@@H]1F ZINC000801537572 630455328 /nfs/dbraw/zinc/45/53/28/630455328.db2.gz VIHIGKOHKCKECM-CABZTGNLSA-N 0 0 270.279 2.914 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)[C@H](C)[C@H](C)O1 ZINC000801547115 630456902 /nfs/dbraw/zinc/45/69/02/630456902.db2.gz HAVGDOVIFXNNQJ-MXWKQRLJSA-N 0 0 282.315 2.732 20 5 CFBDRN Cc1ccc(OC(=O)/C=C\c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000802120369 630504479 /nfs/dbraw/zinc/50/44/79/630504479.db2.gz QSAKVIPDACEYDY-ALCCZGGFSA-N 0 0 272.260 2.850 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)C1=CCOCC1 ZINC000802127255 630504867 /nfs/dbraw/zinc/50/48/67/630504867.db2.gz JXQXNQIMJATOFS-UHFFFAOYSA-N 0 0 297.694 2.638 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCO[C@H](C(F)F)C1 ZINC000802654352 630535981 /nfs/dbraw/zinc/53/59/81/630535981.db2.gz HOQREIXHPJYUQG-LQPUYASZSA-N 0 0 298.289 2.574 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCO[C@H](C(F)F)C1 ZINC000802654345 630536102 /nfs/dbraw/zinc/53/61/02/630536102.db2.gz HOQREIXHPJYUQG-DXTPNHAXSA-N 0 0 298.289 2.574 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCO[C@@H](C(F)F)C1 ZINC000802654351 630536183 /nfs/dbraw/zinc/53/61/83/630536183.db2.gz HOQREIXHPJYUQG-HSBHDXMMSA-N 0 0 298.289 2.574 20 5 CFBDRN C[C@]1(OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)CCOC1 ZINC000802899680 630561798 /nfs/dbraw/zinc/56/17/98/630561798.db2.gz KUSRYSQENBRJGY-AWEZNQCLSA-N 0 0 291.259 2.677 20 5 CFBDRN Nc1ccc(C(=O)OC[C@H]2CCCCS2)cc1[N+](=O)[O-] ZINC000802922351 630564949 /nfs/dbraw/zinc/56/49/49/630564949.db2.gz NZXGGJOKIFYONJ-SNVBAGLBSA-N 0 0 296.348 2.620 20 5 CFBDRN CCc1[nH]ccc1C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000803016091 630578436 /nfs/dbraw/zinc/57/84/36/630578436.db2.gz QHPODYLQTCSSBE-UHFFFAOYSA-N 0 0 299.330 2.997 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1ccccc1[N+](=O)[O-] ZINC000803225438 630591633 /nfs/dbraw/zinc/59/16/33/630591633.db2.gz FFLLPQGHDYHAHE-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)c1ccccn1 ZINC000803227043 630591726 /nfs/dbraw/zinc/59/17/26/630591726.db2.gz WUEABFBHMWUWRM-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1cccc([N+](=O)[O-])c1 ZINC000803226248 630591825 /nfs/dbraw/zinc/59/18/25/630591825.db2.gz QXSDACDWIOTKGS-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN O=C(OCCCCF)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000803325447 630602169 /nfs/dbraw/zinc/60/21/69/630602169.db2.gz MHJBGENWLUHGDQ-UHFFFAOYSA-N 0 0 280.255 2.983 20 5 CFBDRN COc1cc(C(=O)OCCCCF)ccc1[N+](=O)[O-] ZINC000803328050 630602615 /nfs/dbraw/zinc/60/26/15/630602615.db2.gz MOVQEOHTFYWIEB-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN C[C@H](C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000803378950 630609170 /nfs/dbraw/zinc/60/91/70/630609170.db2.gz WVJLFEFYNGQVNY-ZETCQYMHSA-N 0 0 285.246 2.962 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCCCCF ZINC000803388773 630610982 /nfs/dbraw/zinc/61/09/82/630610982.db2.gz VGMQJOUVCUNDMQ-UHFFFAOYSA-N 0 0 269.272 2.820 20 5 CFBDRN COc1cccc(C(=O)OCCSC(C)C)c1[N+](=O)[O-] ZINC000803480097 630621524 /nfs/dbraw/zinc/62/15/24/630621524.db2.gz WFLNCNPFYIDWIQ-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN C/C(=C\C(=O)N[C@@H]1CC[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000803636172 630632545 /nfs/dbraw/zinc/63/25/45/630632545.db2.gz VMIKZBXGWRERLB-SCQHFZSPSA-N 0 0 274.320 2.913 20 5 CFBDRN C/C(=C/C(=O)N[C@@H]1CC[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000803636171 630632703 /nfs/dbraw/zinc/63/27/03/630632703.db2.gz VMIKZBXGWRERLB-PVKGADDOSA-N 0 0 274.320 2.913 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000803890883 630652610 /nfs/dbraw/zinc/65/26/10/630652610.db2.gz OHNSUUXREOHKIW-MFKMUULPSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1c(C(=O)O[C@@H]2CCC(C)(C)C2=O)cccc1[N+](=O)[O-] ZINC000840018189 630657721 /nfs/dbraw/zinc/65/77/21/630657721.db2.gz CISBTZQEXYHFIP-GFCCVEGCSA-N 0 0 291.303 2.818 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H]2C[C@@H]21 ZINC000804295733 630668754 /nfs/dbraw/zinc/66/87/54/630668754.db2.gz ZPASOQKJWBKOIH-MFKMUULPSA-N 0 0 294.738 2.802 20 5 CFBDRN CN(Cc1ccco1)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804324746 630671939 /nfs/dbraw/zinc/67/19/39/630671939.db2.gz JWANOKQHYYBFRZ-UHFFFAOYSA-N 0 0 277.236 2.732 20 5 CFBDRN CN(Cc1cccnc1)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804323013 630672145 /nfs/dbraw/zinc/67/21/45/630672145.db2.gz CTNCDVABEFQLTP-UHFFFAOYSA-N 0 0 288.263 2.534 20 5 CFBDRN CN(Cc1nccn1C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804330632 630672629 /nfs/dbraw/zinc/67/26/29/630672629.db2.gz AOXAQOOECQCGPT-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN Nc1ccc(NCCOc2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000804344700 630673828 /nfs/dbraw/zinc/67/38/28/630673828.db2.gz YSMIURAIUUBALA-UHFFFAOYSA-N 0 0 291.282 2.807 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2ccc(N)cc2[N+](=O)[O-])no1 ZINC000804341702 630673981 /nfs/dbraw/zinc/67/39/81/630673981.db2.gz GUWVPIHJYPJSBG-GFCCVEGCSA-N 0 0 288.307 2.815 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCc1ccc(O)cc1 ZINC000804340807 630673983 /nfs/dbraw/zinc/67/39/83/630673983.db2.gz KDOYPGYKMMIYDK-UHFFFAOYSA-N 0 0 289.247 2.821 20 5 CFBDRN Cc1nc(CNc2ccc(N)cc2[N+](=O)[O-])cs1 ZINC000804356530 630675329 /nfs/dbraw/zinc/67/53/29/630675329.db2.gz JRNMYVGVXIRNQK-UHFFFAOYSA-N 0 0 264.310 2.554 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1cc(C)on1 ZINC000804377824 630677442 /nfs/dbraw/zinc/67/74/42/630677442.db2.gz PWGIGZPBEDAMFR-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCC(CC)[C@H](O)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804448832 630682331 /nfs/dbraw/zinc/68/23/31/630682331.db2.gz QUTZKLZAKZIJOJ-GFCCVEGCSA-N 0 0 297.311 2.712 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCc1cscn1 ZINC000804464633 630684649 /nfs/dbraw/zinc/68/46/49/630684649.db2.gz XVAVAEFBVHDRAY-UHFFFAOYSA-N 0 0 294.292 2.614 20 5 CFBDRN CC1(C)[C@@H]2CN(c3c([N+](=O)[O-])cccc3[N+](=O)[O-])C[C@@H]21 ZINC000804505841 630688752 /nfs/dbraw/zinc/68/87/52/630688752.db2.gz KYZXPEUQWUHYNQ-DTORHVGOSA-N 0 0 277.280 2.595 20 5 CFBDRN C[C@H]1CN(c2ccc(N)cc2[N+](=O)[O-])C[C@H](C)S1 ZINC000804514996 630688836 /nfs/dbraw/zinc/68/88/36/630688836.db2.gz PUMQRUDCOMIPJA-IUCAKERBSA-N 0 0 267.354 2.507 20 5 CFBDRN C[C@@H](CNc1ccc(N)cc1[N+](=O)[O-])c1ccncc1 ZINC000804536544 630690981 /nfs/dbraw/zinc/69/09/81/630690981.db2.gz VEXLQAYGNMCHTE-JTQLQIEISA-N 0 0 272.308 2.788 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@]1(C)CCCO1 ZINC000804542285 630691401 /nfs/dbraw/zinc/69/14/01/630691401.db2.gz XEBVVWCCZWJNDO-AWEZNQCLSA-N 0 0 278.308 2.778 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804548726 630692128 /nfs/dbraw/zinc/69/21/28/630692128.db2.gz NDTHEEWZCLDIMN-VHSXEESVSA-N 0 0 295.295 2.730 20 5 CFBDRN Cc1cccc([C@@H](O)CNc2ccc(N)cc2[N+](=O)[O-])c1 ZINC000804559779 630693049 /nfs/dbraw/zinc/69/30/49/630693049.db2.gz HFCPOVJMBVOEGK-HNNXBMFYSA-N 0 0 287.319 2.631 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000836128533 630747808 /nfs/dbraw/zinc/74/78/08/630747808.db2.gz RHKFLNQYXUVQLV-OKILXGFUSA-N 0 0 293.319 2.637 20 5 CFBDRN O=C(Nc1ccon1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000867167249 630752725 /nfs/dbraw/zinc/75/27/25/630752725.db2.gz BFWIUJCUWRXMGC-UHFFFAOYSA-N 0 0 287.275 2.643 20 5 CFBDRN C[C@@H]1OCC[C@H]1Sc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804573558 630757482 /nfs/dbraw/zinc/75/74/82/630757482.db2.gz GVXMHGVSAZDURL-OIBJUYFYSA-N 0 0 284.293 2.773 20 5 CFBDRN CCc1cnc(CNc2ccc([N+](=O)[O-])cc2C(C)=O)o1 ZINC000804621218 630765777 /nfs/dbraw/zinc/76/57/77/630765777.db2.gz MLYCNNSZFZEEGN-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN Cc1coc(Sc2c([N+](=O)[O-])cccc2[N+](=O)[O-])n1 ZINC000804648056 630768771 /nfs/dbraw/zinc/76/87/71/630768771.db2.gz LKBHNTQINUJNFL-UHFFFAOYSA-N 0 0 281.249 2.951 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@H]2C)cc1Cl ZINC000836376145 630805717 /nfs/dbraw/zinc/80/57/17/630805717.db2.gz IVDVIQOXXQRAJV-APPZFPTMSA-N 0 0 282.727 2.942 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000840080434 630851368 /nfs/dbraw/zinc/85/13/68/630851368.db2.gz QZPOMICBPQXUTC-HNNXBMFYSA-N 0 0 298.346 2.976 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NOC1CCC1 ZINC000836593049 630855274 /nfs/dbraw/zinc/85/52/74/630855274.db2.gz WYPHOFBJBAIKHA-UHFFFAOYSA-N 0 0 295.295 2.599 20 5 CFBDRN Cc1nn(CCC(=O)OCCC(C)(C)C)c(C)c1[N+](=O)[O-] ZINC000102505935 630856912 /nfs/dbraw/zinc/85/69/12/630856912.db2.gz WGKORRSGPYGZAG-UHFFFAOYSA-N 0 0 297.355 2.778 20 5 CFBDRN Cc1nn(CCC(=O)OCC2CCCC2)c(C)c1[N+](=O)[O-] ZINC000102505655 630857110 /nfs/dbraw/zinc/85/71/10/630857110.db2.gz URJAQHAIVRYCQU-UHFFFAOYSA-N 0 0 295.339 2.532 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NOC1CCC1 ZINC000836798933 630886752 /nfs/dbraw/zinc/88/67/52/630886752.db2.gz FTSMIBNTTZLPLY-MDZDMXLPSA-N 0 0 276.292 2.598 20 5 CFBDRN COC/C=C/C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000836854268 630900678 /nfs/dbraw/zinc/90/06/78/630900678.db2.gz MYNVEAWZFOVYHL-SNAWJCMRSA-N 0 0 293.323 2.556 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1cccnc1 ZINC000807916801 630921731 /nfs/dbraw/zinc/92/17/31/630921731.db2.gz YKNVWWCFCFUOPN-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CCOCCCNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807916439 630922043 /nfs/dbraw/zinc/92/20/43/630922043.db2.gz UVVZKXFXQPVSBG-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H](O)CC(C)C ZINC000807950950 630925303 /nfs/dbraw/zinc/92/53/03/630925303.db2.gz ZWHCFCJEKVSPPQ-GFCCVEGCSA-N 0 0 280.324 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](C3CC3)C2)c2nonc21 ZINC000808125156 630953099 /nfs/dbraw/zinc/95/30/99/630953099.db2.gz LNYWTBANMANZJH-JTQLQIEISA-N 0 0 288.307 2.757 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@@H]2C[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000837111866 630959675 /nfs/dbraw/zinc/95/96/75/630959675.db2.gz CYRRZTYUYIDNAO-JQWIXIFHSA-N 0 0 292.310 2.818 20 5 CFBDRN O=C(Nc1cccc2c1ccn2C(F)F)[C@@H]1CC1[N+](=O)[O-] ZINC000855342378 635514130 /nfs/dbraw/zinc/51/41/30/635514130.db2.gz BGXVKQOZARVNCC-LDYMZIIASA-N 0 0 295.245 2.640 20 5 CFBDRN CC(C)(C)C1CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000837268841 630989871 /nfs/dbraw/zinc/98/98/71/630989871.db2.gz ATULDEVTQUONJW-UHFFFAOYSA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])o1)C(=O)C1CCCCC1 ZINC000837335197 631003143 /nfs/dbraw/zinc/00/31/43/631003143.db2.gz OHZUPNPCKXEQSM-VIFPVBQESA-N 0 0 295.291 2.883 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867230473 631025168 /nfs/dbraw/zinc/02/51/68/631025168.db2.gz SQYTUGIBQGRQJG-GFCCVEGCSA-N 0 0 280.299 2.533 20 5 CFBDRN O=[N+]([O-])c1cc(-c2nc(C3CC3)no2)cc2nsnc21 ZINC000809227803 631101745 /nfs/dbraw/zinc/10/17/45/631101745.db2.gz OUXCZNDFKAIGAQ-UHFFFAOYSA-N 0 0 289.276 2.527 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000837974741 631155748 /nfs/dbraw/zinc/15/57/48/631155748.db2.gz YKPFRBDCEYAAFE-PSASIEDQSA-N 0 0 297.282 2.832 20 5 CFBDRN CC(C)Oc1cc(C(=O)OCC(F)F)ccc1[N+](=O)[O-] ZINC000840650033 631172939 /nfs/dbraw/zinc/17/29/39/631172939.db2.gz ZAMMQNCZRVFTQP-UHFFFAOYSA-N 0 0 289.234 2.804 20 5 CFBDRN O=C(OCc1ccccn1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840655189 631173404 /nfs/dbraw/zinc/17/34/04/631173404.db2.gz GAWPTBGCDGHGML-LSDHHAIUSA-N 0 0 298.298 2.837 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=S)NC1(C)CCC1 ZINC000840705267 631175010 /nfs/dbraw/zinc/17/50/10/631175010.db2.gz YSAUSICAEPBSLY-UHFFFAOYSA-N 0 0 295.364 2.832 20 5 CFBDRN C[C@H](NC(=S)NC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000840764258 631220553 /nfs/dbraw/zinc/22/05/53/631220553.db2.gz PQVKWZPLQRUVOD-VIFPVBQESA-N 0 0 281.381 2.918 20 5 CFBDRN Cn1ccc(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)n1 ZINC000809919993 631300957 /nfs/dbraw/zinc/30/09/57/631300957.db2.gz QPDAPEAIAJJXNV-UHFFFAOYSA-N 0 0 295.686 2.626 20 5 CFBDRN COc1cc(COc2cccc(C=O)c2)ccc1[N+](=O)[O-] ZINC000809991067 631309633 /nfs/dbraw/zinc/30/96/33/631309633.db2.gz UWDKOENZNACSFQ-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN CC(C)[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)c(F)c1 ZINC000841166256 631350931 /nfs/dbraw/zinc/35/09/31/631350931.db2.gz MUPJPPBIRWPOOO-OASPWFOLSA-N 0 0 299.273 2.870 20 5 CFBDRN CC(C)N(C(=O)[C@@H]1CC1[N+](=O)[O-])c1cnc2ccccc2c1 ZINC000841207589 631359013 /nfs/dbraw/zinc/35/90/13/631359013.db2.gz SJFWTFUVTSAFCC-UKRRQHHQSA-N 0 0 299.330 2.641 20 5 CFBDRN O=Cc1cccc(C(=O)OCc2csc([N+](=O)[O-])c2)c1 ZINC000759372504 631366475 /nfs/dbraw/zinc/36/64/75/631366475.db2.gz USILBQWFFZNQHE-UHFFFAOYSA-N 0 0 291.284 2.826 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCCSC1 ZINC000810527897 631382578 /nfs/dbraw/zinc/38/25/78/631382578.db2.gz LZFNWRHRYPTOES-VIFPVBQESA-N 0 0 297.332 2.652 20 5 CFBDRN Cc1ccc(N(C)C(=O)CCC(C)(C)[N+](=O)[O-])c(F)c1 ZINC000841326389 631385117 /nfs/dbraw/zinc/38/51/17/631385117.db2.gz QHWICRCUKDCNLC-UHFFFAOYSA-N 0 0 282.315 2.932 20 5 CFBDRN Cc1nc(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)c(C)o1 ZINC000841338446 631388831 /nfs/dbraw/zinc/38/88/31/631388831.db2.gz LHZHJKUTWKAZCR-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CO[C@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])CC(C)C ZINC000841351087 631393274 /nfs/dbraw/zinc/39/32/74/631393274.db2.gz NDOQMUMNAFTMBF-NSHDSACASA-N 0 0 298.314 2.525 20 5 CFBDRN COCC(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000811034528 631501891 /nfs/dbraw/zinc/50/18/91/631501891.db2.gz WJNPEFXOCWNMGT-UHFFFAOYSA-N 0 0 294.090 2.591 20 5 CFBDRN Nc1ccc(OCCOCC2CCCC2)cc1[N+](=O)[O-] ZINC000842026610 631504274 /nfs/dbraw/zinc/50/42/74/631504274.db2.gz RDXDVPIUUOQXFT-UHFFFAOYSA-N 0 0 280.324 2.763 20 5 CFBDRN C[C@@H]1[C@H](C)CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H]1C ZINC000842048310 631512195 /nfs/dbraw/zinc/51/21/95/631512195.db2.gz CTOOMSMHACJZCP-BBBLOLIVSA-N 0 0 279.296 2.984 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c2nonc21)CC(C)C ZINC000842045823 631512252 /nfs/dbraw/zinc/51/22/52/631512252.db2.gz DWBCGIFPDSDZNX-VIFPVBQESA-N 0 0 294.311 2.604 20 5 CFBDRN COCCCCN(C)c1ccc(C)cc1[N+](=O)[O-] ZINC000842050486 631513177 /nfs/dbraw/zinc/51/31/77/631513177.db2.gz PNTRZSNZTCTOPI-UHFFFAOYSA-N 0 0 252.314 2.766 20 5 CFBDRN CCNc1ccc(C(=O)OC[C@@H](CC)OC)cc1[N+](=O)[O-] ZINC000842055355 631515765 /nfs/dbraw/zinc/51/57/65/631515765.db2.gz JDNOSKYHKFEXGF-LLVKDONJSA-N 0 0 296.323 2.608 20 5 CFBDRN CC[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1Cl)OC ZINC000842055504 631515988 /nfs/dbraw/zinc/51/59/88/631515988.db2.gz KVVYNBQDEGZOJT-VIFPVBQESA-N 0 0 287.699 2.830 20 5 CFBDRN Cc1c(C(=O)OCCc2cncs2)cccc1[N+](=O)[O-] ZINC000811122730 631521144 /nfs/dbraw/zinc/52/11/44/631521144.db2.gz SZDHQNXAFRBYGA-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN O=C(OCCc1cncs1)c1ccc([N+](=O)[O-])cc1F ZINC000811125157 631521969 /nfs/dbraw/zinc/52/19/69/631521969.db2.gz GKGWWXCJBWJBFK-UHFFFAOYSA-N 0 0 296.279 2.590 20 5 CFBDRN CC[C@@H](COC(=O)c1c(Cl)cccc1[N+](=O)[O-])OC ZINC000842075874 631526279 /nfs/dbraw/zinc/52/62/79/631526279.db2.gz HPVORUQDJAXFHJ-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])c(F)c1)CC(C)C ZINC000842078462 631527412 /nfs/dbraw/zinc/52/74/12/631527412.db2.gz YSERNEUYFGJGSJ-NSHDSACASA-N 0 0 299.298 2.952 20 5 CFBDRN COC1(COC(=O)c2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000811178431 631530782 /nfs/dbraw/zinc/53/07/82/631530782.db2.gz UJEJFXXXIHADNV-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN COC1(COC(=O)c2cc([N+](=O)[O-])c(C)s2)CCC1 ZINC000811176822 631530855 /nfs/dbraw/zinc/53/08/55/631530855.db2.gz DPQDJAYOCCJGOZ-UHFFFAOYSA-N 0 0 285.321 2.691 20 5 CFBDRN CC[C@H](COC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000842090158 631535297 /nfs/dbraw/zinc/53/52/97/631535297.db2.gz SFDUPEMHQVTPEQ-CFRMROPGSA-N 0 0 293.319 2.966 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000842116799 631548486 /nfs/dbraw/zinc/54/84/86/631548486.db2.gz ZVWQTSPNTRIPEW-CYBMUJFWSA-N 0 0 281.308 2.699 20 5 CFBDRN CC[C@H](CN1CCc2cc(C)c([N+](=O)[O-])cc21)OC ZINC000842154625 631554581 /nfs/dbraw/zinc/55/45/81/631554581.db2.gz VBEGYQRNPYPELP-GFCCVEGCSA-N 0 0 264.325 2.691 20 5 CFBDRN Cc1ccc(C(C)C)cc1OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842283252 631578984 /nfs/dbraw/zinc/57/89/84/631578984.db2.gz WKJJXJSSKTVJJH-VXGBXAGGSA-N 0 0 263.293 2.689 20 5 CFBDRN CC(C)S[C@@H]1CCCC[C@H]1OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842332699 631595497 /nfs/dbraw/zinc/59/54/97/631595497.db2.gz RLWPVTWFODWMMO-DDHJBXDOSA-N 0 0 287.381 2.648 20 5 CFBDRN CC(C)(CCOC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000842348467 631601032 /nfs/dbraw/zinc/60/10/32/631601032.db2.gz FEPCSDBZRVDWFB-CHWSQXEVSA-N 0 0 277.320 2.563 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCNc3ccccc3C2)c1 ZINC000811725418 631612941 /nfs/dbraw/zinc/61/29/41/631612941.db2.gz AJQYKWBKCAMITE-UHFFFAOYSA-N 0 0 284.319 2.609 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1cccc(F)c1[N+](=O)[O-] ZINC000811815645 631627331 /nfs/dbraw/zinc/62/73/31/631627331.db2.gz WVXVEXZWZLLZJT-ZJUUUORDSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H](C(F)F)C1 ZINC000811843634 631631350 /nfs/dbraw/zinc/63/13/50/631631350.db2.gz WBGRMGIJCZTFFK-VIFPVBQESA-N 0 0 256.252 2.995 20 5 CFBDRN CCCOc1ccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)cc1 ZINC000842489016 631643584 /nfs/dbraw/zinc/64/35/84/631643584.db2.gz WWEUJLUWVQJPQY-VXGBXAGGSA-N 0 0 289.291 2.658 20 5 CFBDRN CCC[C@](C)(O)c1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000842507664 631649741 /nfs/dbraw/zinc/64/97/41/631649741.db2.gz XJLLUMWSHCPOFG-AWEZNQCLSA-N 0 0 291.307 2.961 20 5 CFBDRN CCC[C@@](C)(O)c1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000842507665 631650135 /nfs/dbraw/zinc/65/01/35/631650135.db2.gz XJLLUMWSHCPOFG-CQSZACIVSA-N 0 0 291.307 2.961 20 5 CFBDRN O=[N+]([O-])c1c(F)c(F)c(OC[C@@H]2CCOC2)c(F)c1F ZINC000842663759 631696237 /nfs/dbraw/zinc/69/62/37/631696237.db2.gz ITPVFFUNZUWLAE-RXMQYKEDSA-N 0 0 295.188 2.567 20 5 CFBDRN CC(C)C[C@H](O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000840252841 631700378 /nfs/dbraw/zinc/70/03/78/631700378.db2.gz ZCAVRKXRIVNRON-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN O=C(NOC/C=C\Cl)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000812399562 631702127 /nfs/dbraw/zinc/70/21/27/631702127.db2.gz RIDZJOWKVIFOJA-RJRFIUFISA-N 0 0 296.666 2.755 20 5 CFBDRN O=C(NOC/C=C\Cl)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000812402468 631703206 /nfs/dbraw/zinc/70/32/06/631703206.db2.gz TZELVUSVNBMORT-UPHRSURJSA-N 0 0 291.090 2.662 20 5 CFBDRN C[C@@](O)(c1nc(-c2cccc([N+](=O)[O-])c2)no1)C1CCC1 ZINC000812456389 631720660 /nfs/dbraw/zinc/72/06/60/631720660.db2.gz DFWWDTCIYWEZNN-AWEZNQCLSA-N 0 0 289.291 2.652 20 5 CFBDRN CC(=O)OC1CCN(c2cc(C)c([N+](=O)[O-])cc2F)CC1 ZINC000812462766 631722428 /nfs/dbraw/zinc/72/24/28/631722428.db2.gz UTPLUEGOISNYKO-UHFFFAOYSA-N 0 0 296.298 2.574 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@](C)(OC)C2CC2)c1 ZINC000812478337 631723388 /nfs/dbraw/zinc/72/33/88/631723388.db2.gz JBTXVVXLZGATKH-AWEZNQCLSA-N 0 0 298.314 2.970 20 5 CFBDRN COC(=O)/C=C/c1ccc(NCCCCF)c([N+](=O)[O-])c1 ZINC000812479829 631724061 /nfs/dbraw/zinc/72/40/61/631724061.db2.gz TVWVNYKXVKUMQC-FNORWQNLSA-N 0 0 296.298 2.943 20 5 CFBDRN O=C(CCCC1CC1)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000812602510 631741694 /nfs/dbraw/zinc/74/16/94/631741694.db2.gz USJDOEQSDSOFDG-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN CC(C)=CCC[C@@H](C)CC(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000842807026 631746457 /nfs/dbraw/zinc/74/64/57/631746457.db2.gz RGLZDCZCCWLGBX-NEPJUHHUSA-N 0 0 257.330 2.967 20 5 CFBDRN CO[C@@](C)(C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C1CC1 ZINC000812667706 631756322 /nfs/dbraw/zinc/75/63/22/631756322.db2.gz SZNVURJZOARKMJ-CQSZACIVSA-N 0 0 297.282 2.592 20 5 CFBDRN CCC(CC)CNC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000104921804 631769115 /nfs/dbraw/zinc/76/91/15/631769115.db2.gz LPSQBTJQJWMIAX-UHFFFAOYSA-N 0 0 279.340 2.651 20 5 CFBDRN COC[C@@H](C)OC(=O)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC000867307464 631770351 /nfs/dbraw/zinc/77/03/51/631770351.db2.gz RBEDAURZYMBIMT-SSDOTTSWSA-N 0 0 289.671 2.799 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1ncc2c(n1)CCCC2 ZINC000840268448 631782038 /nfs/dbraw/zinc/78/20/38/631782038.db2.gz MAUHXWZZQVMNKR-UHFFFAOYSA-N 0 0 298.346 2.918 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812814032 631789380 /nfs/dbraw/zinc/78/93/80/631789380.db2.gz UFEINXBMTVMUCB-KOLCDFICSA-N 0 0 295.339 2.530 20 5 CFBDRN Cc1cnc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c(C)c1 ZINC000812814380 631789580 /nfs/dbraw/zinc/78/95/80/631789580.db2.gz WGFUCOILJJZECW-UHFFFAOYSA-N 0 0 290.323 2.736 20 5 CFBDRN CC(C)(CCc1noc(C2CCCC2)n1)[N+](=O)[O-] ZINC000812814066 631789779 /nfs/dbraw/zinc/78/97/79/631789779.db2.gz QWEUMVHQXYURQT-UHFFFAOYSA-N 0 0 253.302 2.715 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000812923470 631807517 /nfs/dbraw/zinc/80/75/17/631807517.db2.gz SBOKPTUIMDOMBA-VHSXEESVSA-N 0 0 281.308 2.727 20 5 CFBDRN CCc1ccc(OC(=O)[C@H](C)[C@@H](C)COC)c([N+](=O)[O-])c1 ZINC000813068928 631824624 /nfs/dbraw/zinc/82/46/24/631824624.db2.gz BBAGPZBBQWNJMU-WDEREUQCSA-N 0 0 295.335 2.981 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000813077450 631827893 /nfs/dbraw/zinc/82/78/93/631827893.db2.gz PGMIRNUCZCUORD-QWRGUYRKSA-N 0 0 281.308 2.727 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000813083874 631829428 /nfs/dbraw/zinc/82/94/28/631829428.db2.gz ZLXKHVFMMOSMMK-NEPJUHHUSA-N 0 0 295.335 2.865 20 5 CFBDRN Cc1cc(C)cc(C2(C(=O)OC[C@@H](C)[N+](=O)[O-])CC2)c1 ZINC000813100120 631836827 /nfs/dbraw/zinc/83/68/27/631836827.db2.gz NEEHDIMVNCQQKA-GFCCVEGCSA-N 0 0 277.320 2.543 20 5 CFBDRN C[C@H](COC(=O)c1csc2c1CC[C@@H](C)C2)[N+](=O)[O-] ZINC000813102777 631837847 /nfs/dbraw/zinc/83/78/47/631837847.db2.gz VHEHDUZHYJVFLL-RKDXNWHRSA-N 0 0 283.349 2.695 20 5 CFBDRN CCCCc1ccc(CC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813102516 631838621 /nfs/dbraw/zinc/83/86/21/631838621.db2.gz HKYCWSRERHMUOW-GFCCVEGCSA-N 0 0 279.336 2.780 20 5 CFBDRN C[C@@H](COC(=O)/C=C\c1ccc(Cl)s1)[N+](=O)[O-] ZINC000813103377 631838894 /nfs/dbraw/zinc/83/88/94/631838894.db2.gz POOQYHLUEMJUBI-GLCRXLCDSA-N 0 0 275.713 2.623 20 5 CFBDRN C[C@H](COC(=O)C[C@H]1CCCc2ccccc21)[N+](=O)[O-] ZINC000813105281 631839384 /nfs/dbraw/zinc/83/93/84/631839384.db2.gz XKZYIQKBIMPXJK-DGCLKSJQSA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@H](COC(=O)c1cc2cc(Cl)sc2[nH]1)[N+](=O)[O-] ZINC000813104815 631839637 /nfs/dbraw/zinc/83/96/37/631839637.db2.gz RXZJRNAHKRXGQI-RXMQYKEDSA-N 0 0 288.712 2.705 20 5 CFBDRN C[C@@H](COC(=O)CCC(=O)c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000813103605 631840356 /nfs/dbraw/zinc/84/03/56/631840356.db2.gz RHBZPUUHKLZIQR-VIFPVBQESA-N 0 0 299.710 2.511 20 5 CFBDRN Cc1ccc(-c2nc(C(=O)OC[C@@H](C)[N+](=O)[O-])cs2)o1 ZINC000813107228 631841481 /nfs/dbraw/zinc/84/14/81/631841481.db2.gz NGEDZYQCPYSULA-SSDOTTSWSA-N 0 0 296.304 2.534 20 5 CFBDRN CCCCN(C)c1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813107806 631841751 /nfs/dbraw/zinc/84/17/51/631841751.db2.gz WULNBCRRCDBTOJ-GFCCVEGCSA-N 0 0 294.351 2.745 20 5 CFBDRN Cc1ccc(-c2nc(C(=O)OC[C@H](C)[N+](=O)[O-])cs2)o1 ZINC000813107229 631842059 /nfs/dbraw/zinc/84/20/59/631842059.db2.gz NGEDZYQCPYSULA-ZETCQYMHSA-N 0 0 296.304 2.534 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(-c2ccc(F)cc2)o1)[N+](=O)[O-] ZINC000813107567 631842096 /nfs/dbraw/zinc/84/20/96/631842096.db2.gz TZHQOQWCDRPMNC-VIFPVBQESA-N 0 0 293.250 2.908 20 5 CFBDRN C[C@H](COC(=O)C1(c2ccccc2)CCCC1)[N+](=O)[O-] ZINC000813109435 631842602 /nfs/dbraw/zinc/84/26/02/631842602.db2.gz SHCIQCASSZMVKP-GFCCVEGCSA-N 0 0 277.320 2.707 20 5 CFBDRN C[C@H](COC(=O)[C@H](C)c1ccc(Cl)s1)[N+](=O)[O-] ZINC000813110090 631842765 /nfs/dbraw/zinc/84/27/65/631842765.db2.gz ZGMNZADVPHSPQI-RNFRBKRXSA-N 0 0 277.729 2.713 20 5 CFBDRN C[C@H](CCC(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813107979 631842969 /nfs/dbraw/zinc/84/29/69/631842969.db2.gz SQOFDHWGGYUXTG-NEPJUHHUSA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@@H](COC(=O)c1ccc2ccc(Cl)cc2n1)[N+](=O)[O-] ZINC000813107855 631843019 /nfs/dbraw/zinc/84/30/19/631843019.db2.gz REEDDTXCUHCHHB-QMMMGPOBSA-N 0 0 294.694 2.710 20 5 CFBDRN C[C@H](COC(=O)c1ccc(Cl)c(Cl)c1)[N+](=O)[O-] ZINC000813108490 631843119 /nfs/dbraw/zinc/84/31/19/631843119.db2.gz YZAZZKOLBURVOO-ZCFIWIBFSA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@H](COC(=O)[C@@H](C)c1ccc(Cl)s1)[N+](=O)[O-] ZINC000813110092 631843685 /nfs/dbraw/zinc/84/36/85/631843685.db2.gz ZGMNZADVPHSPQI-RQJHMYQMSA-N 0 0 277.729 2.713 20 5 CFBDRN Cc1ccc(SCC(=O)OC[C@H](C)[N+](=O)[O-])c(C)c1 ZINC000813110378 631843696 /nfs/dbraw/zinc/84/36/96/631843696.db2.gz ZCHVAJJRBWYHTA-NSHDSACASA-N 0 0 283.349 2.604 20 5 CFBDRN Cc1ccc(N(C)C(C)C)c(C(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000813111640 631844372 /nfs/dbraw/zinc/84/43/72/631844372.db2.gz JNASNVMYTLLXPL-LBPRGKRZSA-N 0 0 294.351 2.662 20 5 CFBDRN C[C@@H](COC(=O)c1cc2sccc2s1)[N+](=O)[O-] ZINC000813111440 631844621 /nfs/dbraw/zinc/84/46/21/631844621.db2.gz HFYJNVLIRMSMGE-LURJTMIESA-N 0 0 271.319 2.785 20 5 CFBDRN Cc1ccc(N(C)C(C)C)c(C(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000813111639 631844665 /nfs/dbraw/zinc/84/46/65/631844665.db2.gz JNASNVMYTLLXPL-GFCCVEGCSA-N 0 0 294.351 2.662 20 5 CFBDRN CCc1ccc([C@H](C)C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813110953 631845292 /nfs/dbraw/zinc/84/52/92/631845292.db2.gz MUVKNWXHEZPWMI-MNOVXSKESA-N 0 0 265.309 2.561 20 5 CFBDRN CO[C@](C)(COC(=O)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000813186624 631876687 /nfs/dbraw/zinc/87/66/87/631876687.db2.gz JWZBWKLFIWMNSK-OAHLLOKOSA-N 0 0 293.319 2.875 20 5 CFBDRN CO[C@@](C)(COC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000813190710 631878725 /nfs/dbraw/zinc/87/87/25/631878725.db2.gz XVEJOYRJXHCXOE-AWEZNQCLSA-N 0 0 279.292 2.567 20 5 CFBDRN CO[C@](C)(COC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000813194939 631880756 /nfs/dbraw/zinc/88/07/56/631880756.db2.gz KGAZTUVITJHSPP-CQSZACIVSA-N 0 0 297.282 2.706 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC1CC(C)C1 ZINC000813230374 631886561 /nfs/dbraw/zinc/88/65/61/631886561.db2.gz PVNUGHIVSVFOOR-UHFFFAOYSA-N 0 0 265.265 2.559 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H](C)NC2CC2)n1 ZINC000843186864 631889034 /nfs/dbraw/zinc/88/90/34/631889034.db2.gz GFEFHHBVNIXPDZ-VIFPVBQESA-N 0 0 288.307 2.766 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cncs1 ZINC000813246289 631890683 /nfs/dbraw/zinc/89/06/83/631890683.db2.gz GCUQRBRSHHQQRK-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CO1 ZINC000813246932 631890934 /nfs/dbraw/zinc/89/09/34/631890934.db2.gz SICAQZHJHFDPOD-JGVFFNPUSA-N 0 0 284.699 2.612 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)C(C)(C)C ZINC000813292024 631895957 /nfs/dbraw/zinc/89/59/57/631895957.db2.gz IQBBQPCAOLZDQZ-UHFFFAOYSA-N 0 0 278.308 2.711 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\SC ZINC000813292107 631896597 /nfs/dbraw/zinc/89/65/97/631896597.db2.gz JHXZTDZWACTEDL-SREVYHEPSA-N 0 0 266.322 2.972 20 5 CFBDRN Cc1c(-c2noc([C@H](C)NC3CC3)n2)cccc1[N+](=O)[O-] ZINC000843238279 631910142 /nfs/dbraw/zinc/91/01/42/631910142.db2.gz NHTJSPGDPUDPFX-VIFPVBQESA-N 0 0 288.307 2.766 20 5 CFBDRN Cc1cc(-c2noc([C@H](C)NC3CC3)n2)cc([N+](=O)[O-])c1 ZINC000843239115 631910766 /nfs/dbraw/zinc/91/07/66/631910766.db2.gz DHLQGWFPJYOIKA-VIFPVBQESA-N 0 0 288.307 2.766 20 5 CFBDRN O=[N+]([O-])c1cc(NCCC[C@H]2CCOC2)ccc1F ZINC000843293631 631920915 /nfs/dbraw/zinc/92/09/15/631920915.db2.gz KCLMYGYPQIYATD-JTQLQIEISA-N 0 0 268.288 2.963 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1nc(C)c(C)o1 ZINC000843297623 631921055 /nfs/dbraw/zinc/92/10/55/631921055.db2.gz IWDXGMJKDQORHV-UHFFFAOYSA-N 0 0 276.296 2.853 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1nn(C)cc1Cl ZINC000843300658 631921877 /nfs/dbraw/zinc/92/18/77/631921877.db2.gz OTURCDGGCUOPRB-UHFFFAOYSA-N 0 0 295.730 2.636 20 5 CFBDRN CC1CN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 ZINC000813542519 631930428 /nfs/dbraw/zinc/93/04/28/631930428.db2.gz BJLUKGQRLOBLOZ-UHFFFAOYSA-N 0 0 289.118 2.994 20 5 CFBDRN Cc1cc(COc2cc([N+](=O)[O-])ccc2Cl)ncn1 ZINC000813571613 631930800 /nfs/dbraw/zinc/93/08/00/631930800.db2.gz BPYBAMMKHDHZNF-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=C(OC/C=C\Br)c1ccccc1[N+](=O)[O-] ZINC000813928359 631978975 /nfs/dbraw/zinc/97/89/75/631978975.db2.gz HQDVWPDQYIGCFI-UTCJRWHESA-N 0 0 286.081 2.660 20 5 CFBDRN CC(C)c1cc(C(=O)O[C@H](C)C[N+](=O)[O-])nn1C(C)(C)C ZINC000814035220 631997244 /nfs/dbraw/zinc/99/72/44/631997244.db2.gz LQLLKPZWPQPDFC-SNVBAGLBSA-N 0 0 297.355 2.584 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)SCc1ccccc1 ZINC000814033820 631997349 /nfs/dbraw/zinc/99/73/49/631997349.db2.gz DRKZAPMVZHKBPC-MNOVXSKESA-N 0 0 283.349 2.517 20 5 CFBDRN COCC1(CC(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)CC1 ZINC000843589952 631997568 /nfs/dbraw/zinc/99/75/68/631997568.db2.gz LMCBEMOHWUAFCG-UHFFFAOYSA-N 0 0 296.298 2.798 20 5 CFBDRN C[C@@H](CSCc1ccccc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814037623 631997725 /nfs/dbraw/zinc/99/77/25/631997725.db2.gz ZUXSREVSKACDNH-RYUDHWBXSA-N 0 0 297.376 2.764 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)CSCc1ccccc1 ZINC000814037624 631997789 /nfs/dbraw/zinc/99/77/89/631997789.db2.gz ZUXSREVSKACDNH-VXGBXAGGSA-N 0 0 297.376 2.764 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc2cc(Cl)sc2[nH]1 ZINC000814036993 631997795 /nfs/dbraw/zinc/99/77/95/631997795.db2.gz OTYASTSANWNUHV-RXMQYKEDSA-N 0 0 288.712 2.705 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CSc1ccccc1Cl ZINC000814036857 631998022 /nfs/dbraw/zinc/99/80/22/631998022.db2.gz MHFJNYBFXCPPET-MRVPVSSYSA-N 0 0 289.740 2.641 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cccc(Cl)c1Cl ZINC000814039867 631998799 /nfs/dbraw/zinc/99/87/99/631998799.db2.gz BFCLHJJYMYBEFA-ZCFIWIBFSA-N 0 0 278.091 2.815 20 5 CFBDRN Cc1oc(-c2cccs2)nc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814039413 631998874 /nfs/dbraw/zinc/99/88/74/631998874.db2.gz PASHNEQMJZXLKO-ZETCQYMHSA-N 0 0 296.304 2.534 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000814040309 631998883 /nfs/dbraw/zinc/99/88/83/631998883.db2.gz FOOYCAQSZFNJEY-HLTSFMKQSA-N 0 0 283.246 2.564 20 5 CFBDRN CC(C)c1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814039797 631998900 /nfs/dbraw/zinc/99/89/00/631998900.db2.gz YAAINQKBDRJTNO-SNVBAGLBSA-N 0 0 251.282 2.632 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc2ccccc2c1 ZINC000814042252 631999665 /nfs/dbraw/zinc/99/96/65/631999665.db2.gz UXGYVOAGYCMKQO-JTQLQIEISA-N 0 0 259.261 2.662 20 5 CFBDRN CC[C@@H](C)c1ccccc1OCC(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814042572 631999684 /nfs/dbraw/zinc/99/96/84/631999684.db2.gz MIUZPUKUJGIDBQ-NEPJUHHUSA-N 0 0 295.335 2.787 20 5 CFBDRN Cc1ccc(OCCCC(=O)O[C@H](C)C[N+](=O)[O-])c(C)c1 ZINC000814044851 632000174 /nfs/dbraw/zinc/00/01/74/632000174.db2.gz VRGPHWRXJUGOEF-CYBMUJFWSA-N 0 0 295.335 2.671 20 5 CFBDRN C/C(=C/c1cccc(Cl)c1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814044672 632000184 /nfs/dbraw/zinc/00/01/84/632000184.db2.gz IFZLFXITQXJGKS-MBACFSSFSA-N 0 0 283.711 2.952 20 5 CFBDRN CC(C)COc1cccc(C(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000814043060 632000206 /nfs/dbraw/zinc/00/02/06/632000206.db2.gz AQFCYKOSCGXBKZ-LLVKDONJSA-N 0 0 281.308 2.543 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@@H](C)c1cccc(F)c1 ZINC000814044273 632000327 /nfs/dbraw/zinc/00/03/27/632000327.db2.gz OOXWYOMMCJEORJ-NXEZZACHSA-N 0 0 269.272 2.528 20 5 CFBDRN C/C(=C\c1cccc(Cl)c1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814044673 632000360 /nfs/dbraw/zinc/00/03/60/632000360.db2.gz IFZLFXITQXJGKS-OLKPEBQYSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@H](CC(=O)O[C@@H](C)C[N+](=O)[O-])c1ccc(F)cc1 ZINC000814044912 632000368 /nfs/dbraw/zinc/00/03/68/632000368.db2.gz LEWGFXYMAXQWPU-ZJUUUORDSA-N 0 0 269.272 2.528 20 5 CFBDRN Cc1cc(C)c(/C=C\C(=O)O[C@H](C)C[N+](=O)[O-])cc1C ZINC000814043081 632000380 /nfs/dbraw/zinc/00/03/80/632000380.db2.gz AUKCXXOPSMQCCG-CFHLNLSMSA-N 0 0 277.320 2.833 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1-n1cccc1 ZINC000814043223 632000517 /nfs/dbraw/zinc/00/05/17/632000517.db2.gz UZIUSHJZSRBSGO-GFCCVEGCSA-N 0 0 288.303 2.608 20 5 CFBDRN O=C(N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1)C1(F)CC1 ZINC000843603630 632000799 /nfs/dbraw/zinc/00/07/99/632000799.db2.gz LLYRPFRJCAMFTB-LBPRGKRZSA-N 0 0 292.310 2.803 20 5 CFBDRN C[C@H](NC(=O)C1(C(F)F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000843603335 632000821 /nfs/dbraw/zinc/00/08/21/632000821.db2.gz YSWILBWPZMTUHW-QMMMGPOBSA-N 0 0 284.262 2.817 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)C[N+](=O)[O-])c(Cl)cc1F ZINC000814047513 632001014 /nfs/dbraw/zinc/00/10/14/632001014.db2.gz NUTDGRVDYDZKQG-ZETCQYMHSA-N 0 0 275.663 2.610 20 5 CFBDRN CCCCN(C)c1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814045334 632001160 /nfs/dbraw/zinc/00/11/60/632001160.db2.gz PKXZVHHQGRKDQX-LBPRGKRZSA-N 0 0 294.351 2.745 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cncc(-c2ccccc2)c1 ZINC000814045521 632001193 /nfs/dbraw/zinc/00/11/93/632001193.db2.gz UKAYJUUHJOQNRD-LLVKDONJSA-N 0 0 286.287 2.571 20 5 CFBDRN C/C(=C/c1ccc(Cl)cc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814045678 632001415 /nfs/dbraw/zinc/00/14/15/632001415.db2.gz XHEXFASFRLJOPJ-RNKPRXRFSA-N 0 0 283.711 2.952 20 5 CFBDRN CC[C@H](C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccc(F)cc1 ZINC000814048681 632002092 /nfs/dbraw/zinc/00/20/92/632002092.db2.gz MOROIPZFSZMHAB-CABZTGNLSA-N 0 0 269.272 2.528 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@@H]2CCCC[C@@H]21)[N+](=O)[O-] ZINC000843621508 632005213 /nfs/dbraw/zinc/00/52/13/632005213.db2.gz STJRJJSFCWHTKE-RYUDHWBXSA-N 0 0 268.357 2.613 20 5 CFBDRN Cc1ncoc1COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000814100521 632013703 /nfs/dbraw/zinc/01/37/03/632013703.db2.gz WZPYNNIUVIJMPR-VIFPVBQESA-N 0 0 290.275 2.738 20 5 CFBDRN Cc1nnsc1CNCc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000814174935 632023138 /nfs/dbraw/zinc/02/31/38/632023138.db2.gz NLBYMBCCONAPPE-UHFFFAOYSA-N 0 0 298.755 2.698 20 5 CFBDRN Cc1c(C(=O)N(C)C(C)(C)C)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000843711747 632036271 /nfs/dbraw/zinc/03/62/71/632036271.db2.gz GFBCIVQMRRAZNN-UHFFFAOYSA-N 0 0 295.295 2.682 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H](C)C1 ZINC000843750820 632049167 /nfs/dbraw/zinc/04/91/67/632049167.db2.gz WEWFPFWIEFLGMI-SECBINFHSA-N 0 0 280.299 2.914 20 5 CFBDRN CCN(CC)C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843749902 632049368 /nfs/dbraw/zinc/04/93/68/632049368.db2.gz OGMBJCIFVXHDLT-UHFFFAOYSA-N 0 0 254.261 2.524 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC1CCCC1 ZINC000843766326 632052281 /nfs/dbraw/zinc/05/22/81/632052281.db2.gz ZBGHHQPXRSIYGG-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN CSC[C@H](C)NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843801580 632058912 /nfs/dbraw/zinc/05/89/12/632058912.db2.gz HIAAIKYFFZCGDD-ZETCQYMHSA-N 0 0 286.328 2.524 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCCC1CCOCC1 ZINC000814435197 632063751 /nfs/dbraw/zinc/06/37/51/632063751.db2.gz WSKDUZYHNDCVGG-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC1CC(F)(F)C1 ZINC000843865440 632068823 /nfs/dbraw/zinc/06/88/23/632068823.db2.gz QHBWYTNPSIGTDS-UHFFFAOYSA-N 0 0 288.225 2.570 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC[C@H](C)F ZINC000843895504 632073735 /nfs/dbraw/zinc/07/37/35/632073735.db2.gz QMFNFKTUFQPNIX-ZETCQYMHSA-N 0 0 272.251 2.520 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)[C@H]1C ZINC000843893665 632073887 /nfs/dbraw/zinc/07/38/87/632073887.db2.gz AJTZHABSDQKKAO-IONNQARKSA-N 0 0 266.272 2.523 20 5 CFBDRN Cc1nc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)c(C)o1 ZINC000814503603 632081089 /nfs/dbraw/zinc/08/10/89/632081089.db2.gz GWEAPWZEIZGQQD-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)OCc2cccc([N+](=O)[O-])c2)C1 ZINC000814557835 632090946 /nfs/dbraw/zinc/09/09/46/632090946.db2.gz QBLVZJQZBHUZPK-OCCSQVGLSA-N 0 0 293.319 2.843 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)C1 ZINC000814567547 632094737 /nfs/dbraw/zinc/09/47/37/632094737.db2.gz ZIAIDTZQISVODV-WDEREUQCSA-N 0 0 299.348 2.905 20 5 CFBDRN CCON(C(=O)c1cc([N+](=O)[O-])c(C)s1)C(C)C ZINC000844079011 632110192 /nfs/dbraw/zinc/11/01/92/632110192.db2.gz PLDYSUBHBWBVLL-UHFFFAOYSA-N 0 0 272.326 2.767 20 5 CFBDRN CCCONC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000844079995 632110311 /nfs/dbraw/zinc/11/03/11/632110311.db2.gz AQOZBTPZTUQMCI-UHFFFAOYSA-N 0 0 280.305 2.881 20 5 CFBDRN CCCONC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000844086248 632114470 /nfs/dbraw/zinc/11/44/70/632114470.db2.gz WHXFIDHKUOWPQB-UHFFFAOYSA-N 0 0 266.297 2.591 20 5 CFBDRN CCOC(=O)/C=C/COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814774254 632120978 /nfs/dbraw/zinc/12/09/78/632120978.db2.gz LOGLRJRTZAXRNN-QPJJXVBHSA-N 0 0 285.683 2.746 20 5 CFBDRN C[C@@H]1CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000844264850 632148915 /nfs/dbraw/zinc/14/89/15/632148915.db2.gz PQTFVRKGJSGPPR-ZJUUUORDSA-N 0 0 266.297 2.669 20 5 CFBDRN COc1ccnc(Oc2cccc(Cl)c2[N+](=O)[O-])n1 ZINC000814902461 632156724 /nfs/dbraw/zinc/15/67/24/632156724.db2.gz CZUYMTGQVSRQEA-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN COC/C(C)=C\C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000844371500 632183135 /nfs/dbraw/zinc/18/31/35/632183135.db2.gz MVYLCYKSDORDFV-YVMONPNESA-N 0 0 284.699 2.779 20 5 CFBDRN Cc1cc(NCCc2cn(C)cn2)c(Cl)cc1[N+](=O)[O-] ZINC000840327969 632187531 /nfs/dbraw/zinc/18/75/31/632187531.db2.gz YNBREIUSLPZBCM-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1C[C@H]2[C@@H](C1)C2(F)F ZINC000844451239 632216965 /nfs/dbraw/zinc/21/69/65/632216965.db2.gz NQLGNFCUPKXHMB-IEBDPFPHSA-N 0 0 268.263 2.853 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C)[C@@H]1C ZINC000815164018 632220843 /nfs/dbraw/zinc/22/08/43/632220843.db2.gz UBFPCTPINALPGM-MNOVXSKESA-N 0 0 264.325 2.834 20 5 CFBDRN COC/C(C)=C/C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000844469502 632223494 /nfs/dbraw/zinc/22/34/94/632223494.db2.gz ZLBGTUONTYUVRS-WEVVVXLNSA-N 0 0 299.710 2.884 20 5 CFBDRN COC/C(C)=C/C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000844476542 632226598 /nfs/dbraw/zinc/22/65/98/632226598.db2.gz GCLWNJSHJFCBKE-UXBLZVDNSA-N 0 0 279.292 2.539 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000815194702 632227277 /nfs/dbraw/zinc/22/72/77/632227277.db2.gz DOXBHFRTZWOUKQ-VXNVDRBHSA-N 0 0 282.271 2.662 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)/C=C/C1CCCCCC1 ZINC000844480728 632227964 /nfs/dbraw/zinc/22/79/64/632227964.db2.gz LJFWMIWCJGUGBX-CMDGGOBGSA-N 0 0 292.339 2.793 20 5 CFBDRN C[C@H](COC(=O)c1nn(C)cc1[N+](=O)[O-])C1CCCCC1 ZINC000815229473 632234513 /nfs/dbraw/zinc/23/45/13/632234513.db2.gz IJHOZIOBEGWFFR-SNVBAGLBSA-N 0 0 295.339 2.702 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000815381860 632262516 /nfs/dbraw/zinc/26/25/16/632262516.db2.gz VJKJPKKWTMTLEO-ZIAGYGMSSA-N 0 0 293.319 2.843 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCc2cc3n(n2)CCCC3)c1 ZINC000844606795 632262661 /nfs/dbraw/zinc/26/26/61/632262661.db2.gz WSXLLBUIUVSYIS-UHFFFAOYSA-N 0 0 290.298 2.879 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000815388567 632264451 /nfs/dbraw/zinc/26/44/51/632264451.db2.gz PZOCZKOJOAVOPO-GXTWGEPZSA-N 0 0 293.319 2.843 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OCCF)cc1[N+](=O)[O-] ZINC000815404643 632268276 /nfs/dbraw/zinc/26/82/76/632268276.db2.gz RHGUOMYGXKLPDV-UHFFFAOYSA-N 0 0 261.636 2.683 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OCc2ccon2)cc1[N+](=O)[O-] ZINC000815406958 632269118 /nfs/dbraw/zinc/26/91/18/632269118.db2.gz BMGZGAZBQIFDOD-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN O=C(OCCF)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000815510175 632284491 /nfs/dbraw/zinc/28/44/91/632284491.db2.gz MDTBVHMZWLLPRP-UHFFFAOYSA-N 0 0 281.161 2.740 20 5 CFBDRN C[C@H](NCc1ccc(F)cn1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000815589249 632293322 /nfs/dbraw/zinc/29/33/22/632293322.db2.gz MUMUSIMCCOVGMM-VIFPVBQESA-N 0 0 290.298 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cc3n(n2)CCCC3)cc1F ZINC000844763359 632300847 /nfs/dbraw/zinc/30/08/47/632300847.db2.gz ILLSMCIDGVQVIA-UHFFFAOYSA-N 0 0 291.282 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCc2cc3n(n2)CCC3)c1 ZINC000844765186 632301889 /nfs/dbraw/zinc/30/18/89/632301889.db2.gz VOQQIPALXKAEIR-UHFFFAOYSA-N 0 0 293.710 2.970 20 5 CFBDRN CCc1nc(C)c(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000815912552 632332178 /nfs/dbraw/zinc/33/21/78/632332178.db2.gz PTZQJSIEIHNLOT-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000816137212 632380376 /nfs/dbraw/zinc/38/03/76/632380376.db2.gz DOCYDSSXYKRWMN-WDAIWFPHSA-N 0 0 278.308 2.526 20 5 CFBDRN CCC1(COC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)CC1 ZINC000816186575 632390906 /nfs/dbraw/zinc/39/09/06/632390906.db2.gz HWIJZDOHDYULGS-UHFFFAOYSA-N 0 0 293.275 2.671 20 5 CFBDRN CCC1(COC(=O)Cc2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000816187006 632391332 /nfs/dbraw/zinc/39/13/32/632391332.db2.gz YTEIIHCITGLIHO-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCCc1cnoc1 ZINC000816230682 632402215 /nfs/dbraw/zinc/40/22/15/632402215.db2.gz ZCELOUDAWMLZNU-UHFFFAOYSA-N 0 0 290.275 2.681 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCc1coc(C)c1 ZINC000816245724 632409267 /nfs/dbraw/zinc/40/92/67/632409267.db2.gz IFCCRYBGEFKLSB-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CO[C@H](COC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000816386027 632439787 /nfs/dbraw/zinc/43/97/87/632439787.db2.gz ZSBCKYYMADVQPI-GFCCVEGCSA-N 0 0 299.710 2.830 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1C[C@H]2CC[C@@H](C1)O2 ZINC000845496605 632450294 /nfs/dbraw/zinc/45/02/94/632450294.db2.gz YCWKIYVSQIMWKC-OCAPTIKFSA-N 0 0 286.690 2.755 20 5 CFBDRN C[C@H](C(=O)OC[C@@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000816426025 632453405 /nfs/dbraw/zinc/45/34/05/632453405.db2.gz RLBYLRLRYZYAMD-WPRPVWTQSA-N 0 0 285.246 2.897 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CC[C@@H](F)C1 ZINC000845554543 632462597 /nfs/dbraw/zinc/46/25/97/632462597.db2.gz MCGLNPUASZKRFV-ZCFIWIBFSA-N 0 0 262.643 2.936 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1C[C@@H](F)[C@@H](F)C1 ZINC000845560262 632464804 /nfs/dbraw/zinc/46/48/04/632464804.db2.gz VPIKNACNYGGYCS-OCAPTIKFSA-N 0 0 280.633 2.884 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1coc2ccc(Cl)cc21 ZINC000845577797 632468706 /nfs/dbraw/zinc/46/87/06/632468706.db2.gz SNBRZAJZNHJTNI-ZETCQYMHSA-N 0 0 283.667 2.908 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000845635979 632497140 /nfs/dbraw/zinc/49/71/40/632497140.db2.gz LDUDFCODIXHVLI-JOYOIKCWSA-N 0 0 297.311 2.712 20 5 CFBDRN C[C@]1(CCNc2ccncc2[N+](=O)[O-])C[C@]1(F)Cl ZINC000845650860 632505230 /nfs/dbraw/zinc/50/52/30/632505230.db2.gz KPYIOZPWRIBKGH-WDEREUQCSA-N 0 0 273.695 2.528 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C2CC2)C2CCOCC2)n1 ZINC000845658080 632508477 /nfs/dbraw/zinc/50/84/77/632508477.db2.gz CJVZDQXMEWTZAK-AWEZNQCLSA-N 0 0 291.351 2.915 20 5 CFBDRN O=C(NCCc1ccc[nH]1)c1cccc([N+](=O)[O-])c1Cl ZINC000816957346 632539375 /nfs/dbraw/zinc/53/93/75/632539375.db2.gz MIRRHUKDNAJODP-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@]3(CC3(F)F)C2)n1 ZINC000845740882 632547164 /nfs/dbraw/zinc/54/71/64/632547164.db2.gz BHICHDTXHCKXKD-GFCCVEGCSA-N 0 0 283.278 2.924 20 5 CFBDRN Cc1cc(N2C[C@H]3[C@@H](C2)C3(F)F)ccc1[N+](=O)[O-] ZINC000845751706 632551650 /nfs/dbraw/zinc/55/16/50/632551650.db2.gz BYYNMVBECQATHB-AOOOYVTPSA-N 0 0 254.236 2.605 20 5 CFBDRN O=[N+]([O-])c1c(NC2CC3(CCC3)C2)nc2ccccn21 ZINC000845754394 632552652 /nfs/dbraw/zinc/55/26/52/632552652.db2.gz CRNUDPNQAMLHMB-UHFFFAOYSA-N 0 0 272.308 2.987 20 5 CFBDRN COC1CCC(Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000840333393 632553655 /nfs/dbraw/zinc/55/36/55/632553655.db2.gz AQHJZVJPUQLSAD-UHFFFAOYSA-N 0 0 295.295 2.873 20 5 CFBDRN CC(C)(C)c1nnc(CNc2cccc(F)c2[N+](=O)[O-])[nH]1 ZINC000840334089 632557091 /nfs/dbraw/zinc/55/70/91/632557091.db2.gz HALIQGODNMPMNR-UHFFFAOYSA-N 0 0 293.302 2.762 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000817126479 632561691 /nfs/dbraw/zinc/56/16/91/632561691.db2.gz VOUHOZYQESDGRZ-MWLCHTKSSA-N 0 0 295.295 2.873 20 5 CFBDRN CON1CCC(Nc2cc(C)c([N+](=O)[O-])cc2Cl)CC1 ZINC000817130767 632562801 /nfs/dbraw/zinc/56/28/01/632562801.db2.gz SEKDAWYRGORXNN-UHFFFAOYSA-N 0 0 299.758 2.994 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CC23CC3)cccc1[N+](=O)[O-] ZINC000817235572 632579126 /nfs/dbraw/zinc/57/91/26/632579126.db2.gz LGFACJPGNPCWIE-NSHDSACASA-N 0 0 261.277 2.747 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817238907 632580731 /nfs/dbraw/zinc/58/07/31/632580731.db2.gz OFJAPQZUCVTAAM-JTQLQIEISA-N 0 0 279.292 2.736 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCCC[C@@H](C)O ZINC000817238506 632580764 /nfs/dbraw/zinc/58/07/64/632580764.db2.gz JNYQGBLUUXOIQB-LLVKDONJSA-N 0 0 281.308 2.529 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@H]1CCCOC1 ZINC000817239916 632581420 /nfs/dbraw/zinc/58/14/20/632581420.db2.gz XPHLYTFGAOVENS-LBPRGKRZSA-N 0 0 293.319 2.795 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(=O)C(C)C ZINC000817239705 632581727 /nfs/dbraw/zinc/58/17/27/632581727.db2.gz VNIWRYYRAPKWJR-UHFFFAOYSA-N 0 0 279.292 2.594 20 5 CFBDRN CCOCCC(=O)O[C@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845873940 632589080 /nfs/dbraw/zinc/58/90/80/632589080.db2.gz FMRUSCBTSUGIBG-YGRLFVJLSA-N 0 0 299.298 2.502 20 5 CFBDRN C[C@H]([C@@H](OC(=O)[C@@H]1CC12CC2)c1ccccc1F)[N+](=O)[O-] ZINC000845882005 632590316 /nfs/dbraw/zinc/59/03/16/632590316.db2.gz PXKNVHUVAALRGP-SUZMYJTESA-N 0 0 293.294 2.875 20 5 CFBDRN CC(C)N(Cc1cccc(Cl)c1)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840338418 632600715 /nfs/dbraw/zinc/60/07/15/632600715.db2.gz BNZLMYAOJCNCFB-CHWSQXEVSA-N 0 0 296.754 2.742 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CCCC12CCC2 ZINC000846016089 632615307 /nfs/dbraw/zinc/61/53/07/632615307.db2.gz RKPGQRXFXCAEBQ-UHFFFAOYSA-N 0 0 292.310 2.822 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CC12CC2 ZINC000817423196 632629114 /nfs/dbraw/zinc/62/91/14/632629114.db2.gz BOEOWLOULTXMTP-QMMMGPOBSA-N 0 0 266.684 2.987 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@]1(C)CC1(F)F ZINC000817426966 632631367 /nfs/dbraw/zinc/63/13/67/632631367.db2.gz MEZIFTYUEWCWAE-LLVKDONJSA-N 0 0 271.219 2.854 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@@H]1CC13CC3)CC2 ZINC000817442808 632635898 /nfs/dbraw/zinc/63/58/98/632635898.db2.gz FXUMSKCMLFCCQP-NSHDSACASA-N 0 0 272.304 2.592 20 5 CFBDRN CCn1cc(OC(=O)c2cc([N+](=O)[O-])c(C)cc2C)cn1 ZINC000817448674 632638157 /nfs/dbraw/zinc/63/81/57/632638157.db2.gz WUPIHZPNDYYMMV-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NOC/C=C/Cl ZINC000817606612 632675610 /nfs/dbraw/zinc/67/56/10/632675610.db2.gz CYYWNQMEYQMBSN-ONEGZZNKSA-N 0 0 284.699 2.626 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@@H]1CCSC1 ZINC000817715652 632691123 /nfs/dbraw/zinc/69/11/23/632691123.db2.gz YFDWGUDOIAOAKA-SNVBAGLBSA-N 0 0 281.333 2.874 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@H]1CCCOC1 ZINC000817721542 632693051 /nfs/dbraw/zinc/69/30/51/632693051.db2.gz HMSUFFAQQNVCHF-NSHDSACASA-N 0 0 279.292 2.547 20 5 CFBDRN Cc1cc(C(=O)N2Cc3ccccc3C2)c(N)c([N+](=O)[O-])c1 ZINC000106289185 632694667 /nfs/dbraw/zinc/69/46/67/632694667.db2.gz KBFFFVHSDPSSQE-UHFFFAOYSA-N 0 0 297.314 2.641 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(Cc2cnsn2)C2CC2)c1 ZINC000846817175 632809699 /nfs/dbraw/zinc/80/96/99/632809699.db2.gz ABYKNABXZJQXPM-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN COCC[C@H](C)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000840356586 632821788 /nfs/dbraw/zinc/82/17/88/632821788.db2.gz CQXMYHMFRGXNKC-JTQLQIEISA-N 0 0 280.324 2.882 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCCc2c(cnn2C)C1 ZINC000840358083 632831342 /nfs/dbraw/zinc/83/13/42/632831342.db2.gz HCUXOEGLQDDPCZ-UHFFFAOYSA-N 0 0 286.335 2.590 20 5 CFBDRN COCC[C@@H](C)CNc1cccc(F)c1[N+](=O)[O-] ZINC000840359496 632841565 /nfs/dbraw/zinc/84/15/65/632841565.db2.gz UJANUVNETBZHBD-SECBINFHSA-N 0 0 256.277 2.818 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2C[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000818466113 632848036 /nfs/dbraw/zinc/84/80/36/632848036.db2.gz JIWPZCLZGHUYHM-DTWKUNHWSA-N 0 0 266.272 2.523 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCO[C@H]2C)c1C ZINC000885423922 632861985 /nfs/dbraw/zinc/86/19/85/632861985.db2.gz FQGCEURKVNUHCA-NWDGAFQWSA-N 0 0 293.319 2.793 20 5 CFBDRN CC[C@H](OC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])C(C)=O ZINC000885423791 632873830 /nfs/dbraw/zinc/87/38/30/632873830.db2.gz DCOLVEXWEVYZJF-LBPRGKRZSA-N 0 0 279.292 2.736 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1N1CC[C@H](F)C1 ZINC000856761540 635850014 /nfs/dbraw/zinc/85/00/14/635850014.db2.gz NLQBKVYNPHOAJP-ZETCQYMHSA-N 0 0 289.104 2.906 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2CCCC[C@H]2F)c1 ZINC000840370706 632941734 /nfs/dbraw/zinc/94/17/34/632941734.db2.gz ZWJCVCLIUSVBCB-NXEZZACHSA-N 0 0 253.277 2.870 20 5 CFBDRN CC[C@@H](CCC(C)C)OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000847743818 633066547 /nfs/dbraw/zinc/06/65/47/633066547.db2.gz XQKCOOODBLLYAT-ZDUSSCGKSA-N 0 0 297.355 2.939 20 5 CFBDRN Cc1cccc(CCOC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000847745386 633067278 /nfs/dbraw/zinc/06/72/78/633067278.db2.gz UNWGWYDMVUCEBI-UHFFFAOYSA-N 0 0 288.303 2.641 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@H](C)C2CCCC2)n1 ZINC000847802876 633080155 /nfs/dbraw/zinc/08/01/55/633080155.db2.gz GSRSTWFACFSGJZ-SECBINFHSA-N 0 0 281.312 2.547 20 5 CFBDRN Nc1ccc(NCc2nnc(C3CC3)s2)c([N+](=O)[O-])c1 ZINC000840380947 633092986 /nfs/dbraw/zinc/09/29/86/633092986.db2.gz CPVCGGFFXCKVLN-UHFFFAOYSA-N 0 0 291.336 2.518 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000847920847 633145523 /nfs/dbraw/zinc/14/55/23/633145523.db2.gz WYZZIBXQLKXUAZ-HAQNSBGRSA-N 0 0 279.292 2.628 20 5 CFBDRN C=C=CCNC(=O)[C@@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000848114621 633205937 /nfs/dbraw/zinc/20/59/37/633205937.db2.gz NQOFBCUKLCGXCC-ZDUSSCGKSA-N 0 0 274.320 2.621 20 5 CFBDRN CCOc1cc(CON=C(N)[C@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000848520195 633274068 /nfs/dbraw/zinc/27/40/68/633274068.db2.gz NZUQTUUJPDQXBS-KOLCDFICSA-N 0 0 293.323 2.648 20 5 CFBDRN C[C@@H]1C[C@H]1C(N)=NOCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000848521531 633275133 /nfs/dbraw/zinc/27/51/33/633275133.db2.gz UXSCNMQRNDOJHT-VXNVDRBHSA-N 0 0 283.715 2.903 20 5 CFBDRN CC(C)(CCc1nc([C@@H]2CCC[C@@H]3C[C@@H]32)no1)[N+](=O)[O-] ZINC000848618152 633299056 /nfs/dbraw/zinc/29/90/56/633299056.db2.gz HCWFRDZSMMAIDB-MXWKQRLJSA-N 0 0 279.340 2.961 20 5 CFBDRN CCC[C@](C)(O)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000848665163 633313445 /nfs/dbraw/zinc/31/34/45/633313445.db2.gz OAEYTIFATMQQPY-ZDUSSCGKSA-N 0 0 268.313 2.566 20 5 CFBDRN CCc1nocc1COC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000848698960 633319336 /nfs/dbraw/zinc/31/93/36/633319336.db2.gz CFZXIRYSVWDATQ-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN Cc1ccc(N2CCC[C@@H](CO)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000840388105 633387293 /nfs/dbraw/zinc/38/72/93/633387293.db2.gz PDAKUQJYXAGQSZ-RYUDHWBXSA-N 0 0 264.325 2.500 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1C[C@@]1(F)c1ccccc1)[N+](=O)[O-] ZINC000819629680 633403876 /nfs/dbraw/zinc/40/38/76/633403876.db2.gz VIHPCBLOIJNVPY-SWLSCSKDSA-N 0 0 294.326 2.575 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=S)NCC2CC2)cc1[N+](=O)[O-] ZINC000849259320 633595891 /nfs/dbraw/zinc/59/58/91/633595891.db2.gz YOJIIWPKYVOXBV-SNVBAGLBSA-N 0 0 293.392 2.838 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=S)NCC1CC1 ZINC000849263925 633597880 /nfs/dbraw/zinc/59/78/80/633597880.db2.gz XPFYJQXZYVHEOX-UHFFFAOYSA-N 0 0 279.365 2.854 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1CCC1(O)CCC1 ZINC000849313387 633612688 /nfs/dbraw/zinc/61/26/88/633612688.db2.gz WWZGYBTVXQANBZ-NSHDSACASA-N 0 0 276.336 2.651 20 5 CFBDRN Cc1nocc1CN1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000849313394 633612733 /nfs/dbraw/zinc/61/27/33/633612733.db2.gz XGEXRNNWJYIOAT-VIFPVBQESA-N 0 0 273.292 2.842 20 5 CFBDRN C[C@H]1CCCC[C@@H]1COC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000849719422 633688519 /nfs/dbraw/zinc/68/85/19/633688519.db2.gz SKZKLJSSIRNBKI-NWDGAFQWSA-N 0 0 295.339 2.551 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000849735205 633695267 /nfs/dbraw/zinc/69/52/67/633695267.db2.gz RZIDLBVWSXRZDG-JTQLQIEISA-N 0 0 279.292 2.675 20 5 CFBDRN Cc1cc(F)cc(C(=O)O[C@@H]2COC(C)(C)C2)c1[N+](=O)[O-] ZINC000849740850 633697726 /nfs/dbraw/zinc/69/77/26/633697726.db2.gz VKSDHLYQOQSWRP-JTQLQIEISA-N 0 0 297.282 2.767 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OC[C@H](O)C(C)C ZINC000849756872 633705032 /nfs/dbraw/zinc/70/50/32/633705032.db2.gz LALYMPSFOHWKTO-ZHZWZMEUSA-N 0 0 293.319 2.558 20 5 CFBDRN O=C(O[C@H]1CCCCC1=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000007108156 633717593 /nfs/dbraw/zinc/71/75/93/633717593.db2.gz PBYDJBWHVDLYPC-LBPRGKRZSA-N 0 0 297.694 2.917 20 5 CFBDRN CO[C@@H](COC(=O)CCC(C)(C)[N+](=O)[O-])C1CCCC1 ZINC000849792299 633722023 /nfs/dbraw/zinc/72/20/23/633722023.db2.gz VZDWYNNBPHLBHV-LBPRGKRZSA-N 0 0 287.356 2.570 20 5 CFBDRN CCC(CC)COC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000849799927 633724860 /nfs/dbraw/zinc/72/48/60/633724860.db2.gz UOJHJAKMKQAWEC-CYBMUJFWSA-N 0 0 281.308 2.608 20 5 CFBDRN COCC(C)(C)CC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849803951 633727546 /nfs/dbraw/zinc/72/75/46/633727546.db2.gz AKNUCYQROMSSHG-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN CC(C)(C)n1cc(COc2ccc([N+](=O)[O-])c(F)c2)nn1 ZINC000849880377 633751410 /nfs/dbraw/zinc/75/14/10/633751410.db2.gz IBCVJCQKDGNPBO-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN CC(=O)O[C@H](C)SCc1ccc([N+](=O)[O-])cc1 ZINC000849888533 633753177 /nfs/dbraw/zinc/75/31/77/633753177.db2.gz JVAQZLHRONIYOJ-VIFPVBQESA-N 0 0 255.295 2.737 20 5 CFBDRN CC[C@@H](C)COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849955869 633775202 /nfs/dbraw/zinc/77/52/02/633775202.db2.gz AHVLPWMLMYMENR-MRVPVSSYSA-N 0 0 254.242 2.928 20 5 CFBDRN CC(C)OCCCOc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849956326 633775209 /nfs/dbraw/zinc/77/52/09/633775209.db2.gz KZCKLAJMKBPRGG-UHFFFAOYSA-N 0 0 284.268 2.697 20 5 CFBDRN C[C@H]1CO[C@H](C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000885573926 633887379 /nfs/dbraw/zinc/88/73/79/633887379.db2.gz BKTNIUBULKTURY-PELKAZGASA-N 0 0 299.710 2.716 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@H]1C[C@H]2C[C@H]2C1 ZINC000850264661 633917698 /nfs/dbraw/zinc/91/76/98/633917698.db2.gz JWKZYVGMNUBTAF-URLYPYJESA-N 0 0 279.267 2.823 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@@H]1C[C@H](O)c2ccccc21 ZINC000850368842 633958475 /nfs/dbraw/zinc/95/84/75/633958475.db2.gz FISYXUBYCKAOHA-ZBFHGGJFSA-N 0 0 284.315 2.863 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCC1(C)C ZINC000850755125 634080392 /nfs/dbraw/zinc/08/03/92/634080392.db2.gz CQPVIRDQGMWKAR-SNVBAGLBSA-N 0 0 279.292 2.945 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000850795591 634097169 /nfs/dbraw/zinc/09/71/69/634097169.db2.gz DEHWJUGQXAYWEH-PSASIEDQSA-N 0 0 299.710 2.969 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000850934372 634144266 /nfs/dbraw/zinc/14/42/66/634144266.db2.gz GLQFDAYCRIBGBF-STQMWFEESA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@@H](COC(=O)[C@]1(c2ccccc2)CC1(C)C)[N+](=O)[O-] ZINC000851035754 634188943 /nfs/dbraw/zinc/18/89/43/634188943.db2.gz AFOWVPGRTZRIDK-XHDPSFHLSA-N 0 0 277.320 2.563 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2C(=O)OC[C@@H](C)[N+](=O)[O-])cc1C ZINC000851036323 634190160 /nfs/dbraw/zinc/19/01/60/634190160.db2.gz HCYZERMPIHMFRK-KWCYVHTRSA-N 0 0 277.320 2.615 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H]1CCC[C@@H]1Cc1ccccc1 ZINC000851046329 634195003 /nfs/dbraw/zinc/19/50/03/634195003.db2.gz PKIZYAMGXFTXOG-YUELXQCFSA-N 0 0 291.347 2.854 20 5 CFBDRN Cc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])sc1C ZINC000851122813 634234834 /nfs/dbraw/zinc/23/48/34/634234834.db2.gz JSDDAEYIRAVKCB-UHFFFAOYSA-N 0 0 257.311 2.577 20 5 CFBDRN COc1ccc(Cl)cc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851123671 634234874 /nfs/dbraw/zinc/23/48/74/634234874.db2.gz HGTDWJJQKGHMCL-UHFFFAOYSA-N 0 0 287.699 2.561 20 5 CFBDRN CC(C)(COC(=O)C(C)(C)c1ccccc1)[N+](=O)[O-] ZINC000851123274 634234906 /nfs/dbraw/zinc/23/49/06/634234906.db2.gz AIOGKCBXOYRUQK-UHFFFAOYSA-N 0 0 265.309 2.563 20 5 CFBDRN CC(C)(COC(=O)CCc1ccc(Cl)cc1)[N+](=O)[O-] ZINC000851125081 634235516 /nfs/dbraw/zinc/23/55/16/634235516.db2.gz WTHNLPWFECFCOL-UHFFFAOYSA-N 0 0 285.727 2.871 20 5 CFBDRN Cc1ccc2oc(C(=O)OCC(C)(C)[N+](=O)[O-])cc2c1 ZINC000851125870 634235907 /nfs/dbraw/zinc/23/59/07/634235907.db2.gz LOALKNUCRLIFJP-UHFFFAOYSA-N 0 0 277.276 2.953 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125486 634235962 /nfs/dbraw/zinc/23/59/62/634235962.db2.gz GISFFOQXWGOILU-MNOVXSKESA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)(COC(=O)CCc1cccc(Cl)c1)[N+](=O)[O-] ZINC000851124611 634235969 /nfs/dbraw/zinc/23/59/69/634235969.db2.gz DJRZSTVKDWEZHL-UHFFFAOYSA-N 0 0 285.727 2.871 20 5 CFBDRN CC(C)(COC(=O)c1ccccc1OC1CCC1)[N+](=O)[O-] ZINC000851126279 634236514 /nfs/dbraw/zinc/23/65/14/634236514.db2.gz MJXNCFFCZQILIG-UHFFFAOYSA-N 0 0 293.319 2.830 20 5 CFBDRN CC[C@H](Oc1cccc(C)c1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126387 634236705 /nfs/dbraw/zinc/23/67/05/634236705.db2.gz MJNXYYOSHLIRNN-ZDUSSCGKSA-N 0 0 295.335 2.751 20 5 CFBDRN Cc1cccc(C2(C(=O)OCC(C)(C)[N+](=O)[O-])CC2)c1 ZINC000851127024 634236888 /nfs/dbraw/zinc/23/68/88/634236888.db2.gz WNOARXMCVCCBJO-UHFFFAOYSA-N 0 0 277.320 2.625 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCc2c1cccc2Cl)[N+](=O)[O-] ZINC000851127668 634237763 /nfs/dbraw/zinc/23/77/63/634237763.db2.gz RIPDSWGTXJJYNI-LLVKDONJSA-N 0 0 297.738 2.968 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1C[C@H]1c1cccc(F)c1F)[N+](=O)[O-] ZINC000851127640 634237822 /nfs/dbraw/zinc/23/78/22/634237822.db2.gz ZWDLCEABTBTOCG-VHSXEESVSA-N 0 0 299.273 2.667 20 5 CFBDRN CC[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccc(OC)cc1 ZINC000851127152 634237883 /nfs/dbraw/zinc/23/78/83/634237883.db2.gz KUSRHONUUHKEAX-CYBMUJFWSA-N 0 0 295.335 2.787 20 5 CFBDRN CC(C)(COC(=O)C[C@]12CCCC[C@H]1C2(F)F)[N+](=O)[O-] ZINC000851128764 634238305 /nfs/dbraw/zinc/23/83/05/634238305.db2.gz AKILUHCDTUESGO-BXKDBHETSA-N 0 0 291.294 2.801 20 5 CFBDRN CC(C)(C)c1cnc(CCC(=O)OCC(C)(C)[N+](=O)[O-])o1 ZINC000851129106 634238314 /nfs/dbraw/zinc/23/83/14/634238314.db2.gz IVCADGIEKAQIMG-UHFFFAOYSA-N 0 0 298.339 2.503 20 5 CFBDRN CC(C)(COC(=O)c1ccc(C(F)F)cc1F)[N+](=O)[O-] ZINC000851128867 634238687 /nfs/dbraw/zinc/23/86/87/634238687.db2.gz CMBPEGKFSQSGLP-UHFFFAOYSA-N 0 0 291.225 2.975 20 5 CFBDRN CC(C)(COC(=O)CC[C@@H]1CC1(Cl)Cl)[N+](=O)[O-] ZINC000851129914 634238828 /nfs/dbraw/zinc/23/88/28/634238828.db2.gz MLGLQVWXCPLFGR-SSDOTTSWSA-N 0 0 284.139 2.559 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)C2CCCC2)n1 ZINC000851140456 634243630 /nfs/dbraw/zinc/24/36/30/634243630.db2.gz GSRSTWFACFSGJZ-VIFPVBQESA-N 0 0 281.312 2.547 20 5 CFBDRN C[C@]1(COC(=O)c2ccccc2[N+](=O)[O-])CC1(F)F ZINC000851264763 634300264 /nfs/dbraw/zinc/30/02/64/634300264.db2.gz RCCXAJXFEXLCRN-LLVKDONJSA-N 0 0 271.219 2.797 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@H]1CCC1(F)F ZINC000851312599 634318805 /nfs/dbraw/zinc/31/88/05/634318805.db2.gz SZNAOLVPSRTNKN-SNVBAGLBSA-N 0 0 285.246 2.726 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1C[C@H]2C[C@H]2C1 ZINC000851413570 634357542 /nfs/dbraw/zinc/35/75/42/634357542.db2.gz MZHSSCLHJHBOJM-RTCCRHLQSA-N 0 0 291.303 2.806 20 5 CFBDRN COc1cccc(C(=O)OCC2CC(C)C2)c1[N+](=O)[O-] ZINC000851464382 634376825 /nfs/dbraw/zinc/37/68/25/634376825.db2.gz YXJRJAKQTBAVJC-UHFFFAOYSA-N 0 0 279.292 2.806 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CCOC(F)F)c2)c1 ZINC000853020150 634877784 /nfs/dbraw/zinc/87/77/84/634877784.db2.gz XNKKIDXXGQYYAD-UHFFFAOYSA-N 0 0 283.234 2.698 20 5 CFBDRN Cc1noc(COc2cccc(C)c2[N+](=O)[O-])c1[N+](=O)[O-] ZINC000853019198 634877866 /nfs/dbraw/zinc/87/78/66/634877866.db2.gz JLZOLEODITUUGU-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN Cc1noc(COc2ccc(C)nc2Cl)c1[N+](=O)[O-] ZINC000853020130 634877992 /nfs/dbraw/zinc/87/79/92/634877992.db2.gz WVRBBWKXTUKIJX-UHFFFAOYSA-N 0 0 283.671 2.827 20 5 CFBDRN O=C([O-])C1=CC[C@H]([NH2+]Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000853039824 634886202 /nfs/dbraw/zinc/88/62/02/634886202.db2.gz RDSZDUGHBYASFI-JTQLQIEISA-N 0 0 296.710 2.511 20 5 CFBDRN COc1ccc(NCc2onc(C)c2[N+](=O)[O-])c(C)c1 ZINC000853059021 634892384 /nfs/dbraw/zinc/89/23/84/634892384.db2.gz RLGCOGBIVIJTTK-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN Cc1cnccc1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000111043553 634893129 /nfs/dbraw/zinc/89/31/29/634893129.db2.gz RHKUHUKBERUINO-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCC1(C)COC1 ZINC000853062042 634894260 /nfs/dbraw/zinc/89/42/60/634894260.db2.gz LYCUACZSTBRYAG-UHFFFAOYSA-N 0 0 279.340 2.864 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@H]1CC1(Cl)Cl ZINC000853091507 634900616 /nfs/dbraw/zinc/90/06/16/634900616.db2.gz SVMRCVCTCUYBSN-RXMQYKEDSA-N 0 0 296.541 2.671 20 5 CFBDRN CSc1ccc(C(=O)O[C@@H]2COC[C@H]2C)cc1[N+](=O)[O-] ZINC000853190623 634929233 /nfs/dbraw/zinc/92/92/33/634929233.db2.gz PHSMKMOAZWKUCA-LDYMZIIASA-N 0 0 297.332 2.508 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCCCO2)c([N+](=O)[O-])cc1C ZINC000853225496 634944452 /nfs/dbraw/zinc/94/44/52/634944452.db2.gz PIDUFLSTWDTTHS-GFCCVEGCSA-N 0 0 294.351 2.917 20 5 CFBDRN CC1(C)C(Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1(C)C ZINC000853403985 634995418 /nfs/dbraw/zinc/99/54/18/634995418.db2.gz DHYGQXQMZQWMPL-UHFFFAOYSA-N 0 0 277.324 2.540 20 5 CFBDRN Cc1cc(NC[C@H](O)c2ccncc2)c([N+](=O)[O-])s1 ZINC000853454755 635004014 /nfs/dbraw/zinc/00/40/14/635004014.db2.gz XZQIMAMFHQFZCB-NSHDSACASA-N 0 0 279.321 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(c2cc(F)ncn2)C2CC2)cc1 ZINC000853465275 635007469 /nfs/dbraw/zinc/00/74/69/635007469.db2.gz SPXUREUHTRJXEY-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN COc1cc(N2CCC(C)(OC)CC2)c([N+](=O)[O-])cc1C ZINC000853468986 635008300 /nfs/dbraw/zinc/00/83/00/635008300.db2.gz CBBMIACPFHGZOM-UHFFFAOYSA-N 0 0 294.351 2.917 20 5 CFBDRN Cn1ccnc1COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000111365293 635024359 /nfs/dbraw/zinc/02/43/59/635024359.db2.gz KKVBXPAHKXYKCA-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN Nc1ccc(N2CCCC3(CCCC3)C2)nc1[N+](=O)[O-] ZINC000853514331 635025117 /nfs/dbraw/zinc/02/51/17/635025117.db2.gz NSHXSASAPOQSAL-UHFFFAOYSA-N 0 0 276.340 2.733 20 5 CFBDRN Cc1cc(NOCCOC(C)C)c([N+](=O)[O-])s1 ZINC000853641521 635057260 /nfs/dbraw/zinc/05/72/60/635057260.db2.gz ODMUYYKNVMFKNP-UHFFFAOYSA-N 0 0 260.315 2.733 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])cc1C=O)C1CCCC1 ZINC000853643469 635058016 /nfs/dbraw/zinc/05/80/16/635058016.db2.gz HQUJPLOZGYANEU-OAHLLOKOSA-N 0 0 293.319 2.991 20 5 CFBDRN COc1cc(N2CC[C@H](OC)[C@H](C)C2)c([N+](=O)[O-])cc1C ZINC000853665308 635067805 /nfs/dbraw/zinc/06/78/05/635067805.db2.gz ZLVBOCCDLHJSNG-RISCZKNCSA-N 0 0 294.351 2.773 20 5 CFBDRN CC[C@@H](O)c1ccc(OCc2onc(C)c2[N+](=O)[O-])cc1 ZINC000853667656 635068400 /nfs/dbraw/zinc/06/84/00/635068400.db2.gz KIQNKOUTSMRXGL-GFCCVEGCSA-N 0 0 292.291 2.914 20 5 CFBDRN O=c1[nH]ccc(N2CCCCCCCC2)c1[N+](=O)[O-] ZINC000853668821 635068471 /nfs/dbraw/zinc/06/84/71/635068471.db2.gz BFRZCPSFCNIUJN-UHFFFAOYSA-N 0 0 265.313 2.856 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000853766098 635099813 /nfs/dbraw/zinc/09/98/13/635099813.db2.gz NSPMVNOFFPCLQV-AWEZNQCLSA-N 0 0 280.299 2.739 20 5 CFBDRN CCOC(=O)c1cc(N[C@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000853799493 635111020 /nfs/dbraw/zinc/11/10/20/635111020.db2.gz HQDOPRIDZRZITE-JTQLQIEISA-N 0 0 276.292 2.902 20 5 CFBDRN COCC1(C2CCC2)CN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000853811563 635114593 /nfs/dbraw/zinc/11/45/93/635114593.db2.gz JEABADWSLRBDAE-UHFFFAOYSA-N 0 0 294.326 2.987 20 5 CFBDRN Cc1nnc(CN(C)c2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000853815252 635115400 /nfs/dbraw/zinc/11/54/00/635115400.db2.gz NSHRRJWQPYZBLV-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H](O)c3ccccc32)n1 ZINC000853839647 635125021 /nfs/dbraw/zinc/12/50/21/635125021.db2.gz OXBFACGWXOVYTR-OCCSQVGLSA-N 0 0 285.303 2.889 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2cc(N)ccc2[N+](=O)[O-])O1 ZINC000853850872 635132432 /nfs/dbraw/zinc/13/24/32/635132432.db2.gz XBZRIFCALJEJTA-KOLCDFICSA-N 0 0 265.313 2.547 20 5 CFBDRN Nc1ccc(N2CCC[C@@H](CCF)C2)c([N+](=O)[O-])c1 ZINC000853855157 635132868 /nfs/dbraw/zinc/13/28/68/635132868.db2.gz QASPUBYWWSEGKC-JTQLQIEISA-N 0 0 267.304 2.753 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1ccc([N+](=O)[O-])cc1Cl)C2 ZINC000853857391 635134618 /nfs/dbraw/zinc/13/46/18/635134618.db2.gz AZVIEGNPTCKPSH-RNCFNFMXSA-N 0 0 282.727 2.721 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000111677965 635136836 /nfs/dbraw/zinc/13/68/36/635136836.db2.gz RHNFHPWKLIUXGK-LURJTMIESA-N 0 0 259.208 2.828 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@@H]1C[C@@H](O)C12CCC2 ZINC000853872175 635143215 /nfs/dbraw/zinc/14/32/15/635143215.db2.gz ZYEIAGWBVIYRRT-VXGBXAGGSA-N 0 0 282.727 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@H]1C[C@@H](O)C12CCC2 ZINC000853872173 635143505 /nfs/dbraw/zinc/14/35/05/635143505.db2.gz ZYEIAGWBVIYRRT-NWDGAFQWSA-N 0 0 282.727 2.964 20 5 CFBDRN Cc1noc(COC(=O)C2(C)CCCC2)c1[N+](=O)[O-] ZINC000853884945 635146741 /nfs/dbraw/zinc/14/67/41/635146741.db2.gz WYKKSOUHUDEDGI-UHFFFAOYSA-N 0 0 268.269 2.515 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1cc([N+](=O)[O-])ccc1F ZINC000853891511 635148715 /nfs/dbraw/zinc/14/87/15/635148715.db2.gz BVAFXQYTVBTJGK-ILWJIGKKSA-N 0 0 279.267 2.937 20 5 CFBDRN Cc1noc(COC(=O)[C@@H](C)c2ccsc2)c1[N+](=O)[O-] ZINC000853899756 635151732 /nfs/dbraw/zinc/15/17/32/635151732.db2.gz PPUJYEWVAXTWDJ-ZETCQYMHSA-N 0 0 296.304 2.800 20 5 CFBDRN Cc1noc(COC(=O)C[C@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000853912182 635160219 /nfs/dbraw/zinc/16/02/19/635160219.db2.gz XNMITXFSHWAQEP-PSASIEDQSA-N 0 0 282.296 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC(C3CC3)(C3CC3)C2)cc1 ZINC000853912770 635160355 /nfs/dbraw/zinc/16/03/55/635160355.db2.gz RCNGWKPJIOTHET-UHFFFAOYSA-N 0 0 288.347 2.990 20 5 CFBDRN Cc1noc(COC(=O)[C@@]2(C)CCC[C@H]2C)c1[N+](=O)[O-] ZINC000853923094 635165608 /nfs/dbraw/zinc/16/56/08/635165608.db2.gz WXBZJFRBNSBSNL-OQPBUACISA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)[C@]2(C)CCC[C@H]2C)c1[N+](=O)[O-] ZINC000853923092 635165909 /nfs/dbraw/zinc/16/59/09/635165909.db2.gz WXBZJFRBNSBSNL-AMIZOPFISA-N 0 0 282.296 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@H]2COC[C@@H]2C1 ZINC000853934899 635169204 /nfs/dbraw/zinc/16/92/04/635169204.db2.gz VOWHJGJAPPWGQB-UWVGGRQHSA-N 0 0 282.727 2.721 20 5 CFBDRN Cc1ncsc1COC(=O)c1ccccc1[N+](=O)[O-] ZINC000111955029 635222872 /nfs/dbraw/zinc/22/28/72/635222872.db2.gz BEHYMPWEQDWLKJ-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000111968702 635237843 /nfs/dbraw/zinc/23/78/43/635237843.db2.gz DHZHHZRDLSCRDR-VXGBXAGGSA-N 0 0 299.710 2.973 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000111975652 635239027 /nfs/dbraw/zinc/23/90/27/635239027.db2.gz UDGQWUMWEIKQBU-JRNZPDHYSA-N 0 0 291.303 2.719 20 5 CFBDRN Nc1ccc(NC(=O)[C@H]2CCCCC2(F)F)cc1[N+](=O)[O-] ZINC000854495889 635317568 /nfs/dbraw/zinc/31/75/68/635317568.db2.gz XCQNUPZVPOXJML-SECBINFHSA-N 0 0 299.277 2.941 20 5 CFBDRN CCC/C(C)=C\C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496113 635317609 /nfs/dbraw/zinc/31/76/09/635317609.db2.gz FGDHBMQXOPRZCS-CLFYSBASSA-N 0 0 263.297 2.862 20 5 CFBDRN CC1(C)CCC[C@@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496436 635317812 /nfs/dbraw/zinc/31/78/12/635317812.db2.gz FWDLVKLLJJHLAX-SNVBAGLBSA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@@H]1CCC[C@H]1CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496396 635317833 /nfs/dbraw/zinc/31/78/33/635317833.db2.gz FMBIZMALIBUXSD-ZJUUUORDSA-N 0 0 277.324 2.942 20 5 CFBDRN CC(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)=C1CCC1 ZINC000854496458 635317877 /nfs/dbraw/zinc/31/78/77/635317877.db2.gz LJCQXALCJPABTD-UHFFFAOYSA-N 0 0 261.281 2.616 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497307 635318200 /nfs/dbraw/zinc/31/82/00/635318200.db2.gz MZDSBVZBZZCDRD-RKDXNWHRSA-N 0 0 263.297 2.552 20 5 CFBDRN CO[C@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000854679015 635363338 /nfs/dbraw/zinc/36/33/38/635363338.db2.gz PMZTWPDIDXNHFZ-HZMBPMFUSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cn3ccccc3n2)cc1F ZINC000112590392 635380166 /nfs/dbraw/zinc/38/01/66/635380166.db2.gz OCYRWEHQAPPCHK-UHFFFAOYSA-N 0 0 287.250 2.961 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000854898321 635417193 /nfs/dbraw/zinc/41/71/93/635417193.db2.gz VPLIKEYCXZKDKB-SECBINFHSA-N 0 0 277.324 2.580 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H]3CCCC[C@@H]32)c(N)c([N+](=O)[O-])c1 ZINC000855060809 635452710 /nfs/dbraw/zinc/45/27/10/635452710.db2.gz MHMYVWFRSRVJKO-JQWIXIFHSA-N 0 0 289.335 2.500 20 5 CFBDRN CSc1ccc(Cl)c(NC(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC000855286003 635500973 /nfs/dbraw/zinc/50/09/73/635500973.db2.gz ZBESBJXXTUFAKH-GMSGAONNSA-N 0 0 286.740 2.666 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H]2C=CCC2)c1 ZINC000855421791 635537037 /nfs/dbraw/zinc/53/70/37/635537037.db2.gz KWANFTOGSULUQE-LBPRGKRZSA-N 0 0 290.319 2.507 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N2C[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000888492513 635617129 /nfs/dbraw/zinc/61/71/29/635617129.db2.gz ZARWXJMJGKUYEC-WCFLWFBJSA-N 0 0 289.335 2.626 20 5 CFBDRN CC(C)(F)CCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000855746550 635634944 /nfs/dbraw/zinc/63/49/44/635634944.db2.gz HVHIUECTARRRJQ-VMPITWQZSA-N 0 0 280.299 2.862 20 5 CFBDRN CC[C@H](NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C1CC1 ZINC000855856210 635671605 /nfs/dbraw/zinc/67/16/05/635671605.db2.gz QGODQXQIYFKDLW-NSHDSACASA-N 0 0 280.299 2.961 20 5 CFBDRN CC1(C)CC(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000856338661 635785251 /nfs/dbraw/zinc/78/52/51/635785251.db2.gz ZVIOANFEWUAREW-VMPITWQZSA-N 0 0 274.320 2.913 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@]3(CC=CCC3)C2)c1 ZINC000114445182 635834136 /nfs/dbraw/zinc/83/41/36/635834136.db2.gz PVRNDNLUPBQBDD-CQSZACIVSA-N 0 0 276.340 2.571 20 5 CFBDRN CC1CC(CNC(=S)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000857204146 635946399 /nfs/dbraw/zinc/94/63/99/635946399.db2.gz KSAAJUOOZJOSSK-UHFFFAOYSA-N 0 0 279.365 2.927 20 5 CFBDRN CC1CC(CNC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000857408372 635974800 /nfs/dbraw/zinc/97/48/00/635974800.db2.gz VNBYYQAHOUPYLS-UHFFFAOYSA-N 0 0 298.289 2.849 20 5 CFBDRN Cc1c(OC(=O)[C@]23C[C@H]2COC3(C)C)cccc1[N+](=O)[O-] ZINC000857580299 635986972 /nfs/dbraw/zinc/98/69/72/635986972.db2.gz WFKCHPXXNXFFMA-BONVTDFDSA-N 0 0 291.303 2.624 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)Oc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000857635959 635999503 /nfs/dbraw/zinc/99/95/03/635999503.db2.gz BYLVNJLNIRRLJH-IUCAKERBSA-N 0 0 294.263 2.845 20 5 CFBDRN C/C=C(/CC)C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857637185 635999658 /nfs/dbraw/zinc/99/96/58/635999658.db2.gz XOAXEXHNUGGLSR-BAQGIRSFSA-N 0 0 280.236 2.765 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000857708259 636013992 /nfs/dbraw/zinc/01/39/92/636013992.db2.gz JQYMJZMTYAYBRN-UHFFFAOYSA-N 0 0 295.295 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(C3OCCO3)cs2)cc1 ZINC000857833165 636029050 /nfs/dbraw/zinc/02/90/50/636029050.db2.gz JRKYTGVIEQRTEN-UHFFFAOYSA-N 0 0 278.289 2.764 20 5 CFBDRN CCc1ccc(OC(=O)CCOC(C)C)c([N+](=O)[O-])c1 ZINC000115925928 636153293 /nfs/dbraw/zinc/15/32/93/636153293.db2.gz QWQJCIAWZMVHJT-UHFFFAOYSA-N 0 0 281.308 2.878 20 5 CFBDRN CCc1ccc(OC(=O)c2c(C)noc2C)c([N+](=O)[O-])c1 ZINC000115927138 636153297 /nfs/dbraw/zinc/15/32/97/636153297.db2.gz HRYRZXDHMSGBAS-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN COC(OC)[C@H](C)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000858566052 636294896 /nfs/dbraw/zinc/29/48/96/636294896.db2.gz JJHHLROCHTVVHN-VIFPVBQESA-N 0 0 291.307 2.562 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC(F)(F)Br ZINC000858644949 636310882 /nfs/dbraw/zinc/31/08/82/636310882.db2.gz DTDZKTHJYPPFGY-UHFFFAOYSA-N 0 0 296.071 2.698 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000159073290 650246817 /nfs/dbraw/zinc/24/68/17/650246817.db2.gz YROBRBOYPOPFTL-YUMQZZPRSA-N 0 0 268.700 2.634 20 5 CFBDRN Cc1cccc2c1C[C@@H](Nc1ccc(N)cc1[N+](=O)[O-])CO2 ZINC000858670891 636321012 /nfs/dbraw/zinc/32/10/12/636321012.db2.gz MDWVPUKZOXNABM-GFCCVEGCSA-N 0 0 299.330 2.901 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000858776929 636343885 /nfs/dbraw/zinc/34/38/85/636343885.db2.gz CXICRIYJGMFTAP-VIFPVBQESA-N 0 0 296.277 2.867 20 5 CFBDRN C/C=C\CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000858800767 636347950 /nfs/dbraw/zinc/34/79/50/636347950.db2.gz VDQVMGXVVCXNGI-IHWYPQMZSA-N 0 0 277.280 2.539 20 5 CFBDRN CCSc1ccccc1-c1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000858820686 636349802 /nfs/dbraw/zinc/34/98/02/636349802.db2.gz CYUUBQJPRUFQRJ-NXEZZACHSA-N 0 0 291.332 2.981 20 5 CFBDRN CCCCOC(=O)COc1cc([N+](=O)[O-])ccc1C ZINC000048087304 650255063 /nfs/dbraw/zinc/25/50/63/650255063.db2.gz OCYJRULLEBLUKK-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN O=C(OCCF)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000859088935 636448837 /nfs/dbraw/zinc/44/88/37/636448837.db2.gz ZDXLBULMDYOUBP-UHFFFAOYSA-N 0 0 282.271 2.665 20 5 CFBDRN CCCOCCOC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000859096065 636451609 /nfs/dbraw/zinc/45/16/09/636451609.db2.gz FYDJQUJSEJIYJO-LLVKDONJSA-N 0 0 296.323 2.809 20 5 CFBDRN CCCOC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000859096478 636453006 /nfs/dbraw/zinc/45/30/06/636453006.db2.gz FAVZZXZYQYIGCA-UHFFFAOYSA-N 0 0 286.715 2.927 20 5 CFBDRN COCCCCCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000116610500 636509996 /nfs/dbraw/zinc/50/99/96/636509996.db2.gz FWTZWRHMJPAITK-UHFFFAOYSA-N 0 0 267.281 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCc3nc[nH]c3C2)c1 ZINC000859308860 636536658 /nfs/dbraw/zinc/53/66/58/636536658.db2.gz VZKWQBGCTXSBJN-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN CCO[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000859506409 636583699 /nfs/dbraw/zinc/58/36/99/636583699.db2.gz MZXSMYACHPGNNW-CYBMUJFWSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1c(C(=O)OC[C@H]2C[C@@H]2C)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000859506547 636584473 /nfs/dbraw/zinc/58/44/73/636584473.db2.gz RVEIWQJXQDYOMN-IONNQARKSA-N 0 0 294.263 2.624 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000859535748 636593573 /nfs/dbraw/zinc/59/35/73/636593573.db2.gz ZAWNKCQFPRGGEL-RNFRBKRXSA-N 0 0 271.219 2.686 20 5 CFBDRN CCC(C)(C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859540518 636594847 /nfs/dbraw/zinc/59/48/47/636594847.db2.gz IAUUAIHLMQMKIH-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859558817 636599940 /nfs/dbraw/zinc/59/99/40/636599940.db2.gz MSEKVXAIILALRG-VIFPVBQESA-N 0 0 297.282 2.752 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000859584366 636610535 /nfs/dbraw/zinc/61/05/35/636610535.db2.gz QOCBVIXJXNUACK-RQJHMYQMSA-N 0 0 271.219 2.686 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@H]2C[C@H]2C)c1[N+](=O)[O-] ZINC000859583499 636610596 /nfs/dbraw/zinc/61/05/96/636610596.db2.gz GAQRJYFEMXKZRK-VXNVDRBHSA-N 0 0 267.256 2.855 20 5 CFBDRN CCO[C@H](COC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000859592647 636615240 /nfs/dbraw/zinc/61/52/40/636615240.db2.gz XVBDSHRRZRSSCA-CYBMUJFWSA-N 0 0 297.282 2.706 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859603601 636619238 /nfs/dbraw/zinc/61/92/38/636619238.db2.gz APTDLEXRZOKRNA-SNVBAGLBSA-N 0 0 295.266 2.506 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000859704557 636658575 /nfs/dbraw/zinc/65/85/75/636658575.db2.gz RNVNVPHBXBCDOY-RKDXNWHRSA-N 0 0 296.298 2.613 20 5 CFBDRN COCCCCCOC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000116989537 636713219 /nfs/dbraw/zinc/71/32/19/636713219.db2.gz ZKBLLDUAKSFFRJ-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN COC1CC(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000859844863 636721988 /nfs/dbraw/zinc/72/19/88/636721988.db2.gz XCOXUYBRHMWBKZ-CBZQXFMTSA-N 0 0 279.292 2.624 20 5 CFBDRN COC1CC(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000859846569 636723196 /nfs/dbraw/zinc/72/31/96/636723196.db2.gz ZEKFREOQCFFOMT-UHFFFAOYSA-N 0 0 299.710 2.716 20 5 CFBDRN CCO[C@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000859867008 636732241 /nfs/dbraw/zinc/73/22/41/636732241.db2.gz PHHNEXOYUYYSPL-ZDUSSCGKSA-N 0 0 297.282 2.592 20 5 CFBDRN CCc1onc(C)c1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000194203045 636762544 /nfs/dbraw/zinc/76/25/44/636762544.db2.gz MUHVYIIPKPMKIZ-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN Cc1cc(N[C@H](C)Cc2ccco2)ncc1[N+](=O)[O-] ZINC000081275230 636775019 /nfs/dbraw/zinc/77/50/19/636775019.db2.gz CFUIZCKELPUWLJ-SNVBAGLBSA-N 0 0 261.281 2.934 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000860136078 636797735 /nfs/dbraw/zinc/79/77/35/636797735.db2.gz WELWKKNTOXSPMC-DEKYYXRVSA-N 0 0 293.319 2.698 20 5 CFBDRN CCO[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000860370686 636869760 /nfs/dbraw/zinc/86/97/60/636869760.db2.gz QOAPYJBHNTVLMP-CYBMUJFWSA-N 0 0 281.308 2.699 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC2(CC(F)C2)C1 ZINC000860380453 636871952 /nfs/dbraw/zinc/87/19/52/636871952.db2.gz GZTMCELXVHDWPX-UHFFFAOYSA-N 0 0 298.701 2.822 20 5 CFBDRN Cc1cocc1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000860436452 636883761 /nfs/dbraw/zinc/88/37/61/636883761.db2.gz GWZMFGSAIJPNOD-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000860476199 636893672 /nfs/dbraw/zinc/89/36/72/636893672.db2.gz FTAMTSHLQLAJFL-PUHVVEEASA-N 0 0 293.319 2.868 20 5 CFBDRN C[C@H](COC(=O)c1cccc(C(F)F)c1F)[N+](=O)[O-] ZINC000860777363 636969960 /nfs/dbraw/zinc/96/99/60/636969960.db2.gz OFWWYWJPFYIDSN-ZCFIWIBFSA-N 0 0 277.198 2.585 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cccc(C(F)F)c1F ZINC000860787393 636971837 /nfs/dbraw/zinc/97/18/37/636971837.db2.gz LDBQHWZCQXLJQG-LURJTMIESA-N 0 0 277.198 2.585 20 5 CFBDRN CSc1ccc(C)c(C(=O)OCCC[N+](=O)[O-])c1 ZINC000860913286 637015293 /nfs/dbraw/zinc/01/52/93/637015293.db2.gz WYJYIWYULMAYAX-UHFFFAOYSA-N 0 0 269.322 2.541 20 5 CFBDRN CC(C)(CC(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860915471 637016241 /nfs/dbraw/zinc/01/62/41/637016241.db2.gz FXBLPRRMIVDGHE-UHFFFAOYSA-N 0 0 265.309 2.564 20 5 CFBDRN CC(C)(CCC(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860917397 637016394 /nfs/dbraw/zinc/01/63/94/637016394.db2.gz KWRKISUASGXKPN-UHFFFAOYSA-N 0 0 279.336 2.954 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(c2ccc(F)cc2F)CCC1 ZINC000860918670 637017158 /nfs/dbraw/zinc/01/71/58/637017158.db2.gz DBJRYHLJSJVBIP-UHFFFAOYSA-N 0 0 299.273 2.597 20 5 CFBDRN C[C@@H](C(=O)OCCC[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000860918881 637017274 /nfs/dbraw/zinc/01/72/74/637017274.db2.gz FSINTUSBVDWKAR-SECBINFHSA-N 0 0 271.700 2.654 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(C(F)(F)F)CCCCC1 ZINC000860922807 637018279 /nfs/dbraw/zinc/01/82/79/637018279.db2.gz YFXXLCMRHPBMTK-UHFFFAOYSA-N 0 0 283.246 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@]1(C)CCCOC1 ZINC000861041339 637053047 /nfs/dbraw/zinc/05/30/47/637053047.db2.gz PNXXRKHOTDDLEW-HNNXBMFYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@]2(C)CCCOC2)c1 ZINC000861045897 637055636 /nfs/dbraw/zinc/05/56/36/637055636.db2.gz PVRUKNLGXABLQS-OAHLLOKOSA-N 0 0 293.319 2.877 20 5 CFBDRN CCc1ccc(C(=O)OCc2nocc2C)cc1[N+](=O)[O-] ZINC000861196013 637111412 /nfs/dbraw/zinc/11/14/12/637111412.db2.gz ZHEACVJFCZEHNI-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1cc(C(=O)OCC(C)(F)F)cc([N+](=O)[O-])c1 ZINC000861225444 637123546 /nfs/dbraw/zinc/12/35/46/637123546.db2.gz AFTCJEDOBPTLHQ-UHFFFAOYSA-N 0 0 259.208 2.715 20 5 CFBDRN CC(=O)O[C@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000861444687 637196329 /nfs/dbraw/zinc/19/63/29/637196329.db2.gz RKUJRUBFFHSTQI-SECBINFHSA-N 0 0 263.171 2.761 20 5 CFBDRN C[C@H](NC(=O)C12CC(C1)C2)c1ccc([N+](=O)[O-])cc1 ZINC000861849055 637245099 /nfs/dbraw/zinc/24/50/99/637245099.db2.gz RBKGKHOIAAMIHN-IPWFMCSPSA-N 0 0 260.293 2.572 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc2ccccc21)N1CC[C@H](F)C1 ZINC000861861310 637247152 /nfs/dbraw/zinc/24/71/52/637247152.db2.gz PXBHFUFTROFRDX-NSHDSACASA-N 0 0 288.278 2.932 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC1CC=CC1 ZINC000862628298 637454379 /nfs/dbraw/zinc/45/43/79/637454379.db2.gz FOKIIGDMZPIYEA-UHFFFAOYSA-N 0 0 278.283 2.738 20 5 CFBDRN COC(=O)c1ccc(OCSC(C)C)cc1[N+](=O)[O-] ZINC000863321638 637626451 /nfs/dbraw/zinc/62/64/51/637626451.db2.gz VINGOECOQRVELZ-UHFFFAOYSA-N 0 0 285.321 2.859 20 5 CFBDRN Cc1c(NCc2cc(Cl)n(C)n2)cccc1[N+](=O)[O-] ZINC000863343653 637632358 /nfs/dbraw/zinc/63/23/58/637632358.db2.gz GLRUUFSMZSRHRX-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC(C)(C)c1cccc(Cn2ncc([N+](=O)[O-])n2)c1 ZINC000863372590 637644523 /nfs/dbraw/zinc/64/45/23/637644523.db2.gz XEZDDJQAENZNQJ-UHFFFAOYSA-N 0 0 260.297 2.532 20 5 CFBDRN CC(C)(C)OC(=O)NOCc1ccc(F)cc1[N+](=O)[O-] ZINC000863462614 637666282 /nfs/dbraw/zinc/66/62/82/637666282.db2.gz SKMJLZHSZDMVPH-UHFFFAOYSA-N 0 0 286.259 2.690 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cccc(-n2nccn2)c1 ZINC000864033283 637838798 /nfs/dbraw/zinc/83/87/98/637838798.db2.gz QVLRTHRBXWAIDI-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1ccc2nonc2c1 ZINC000864044883 637839151 /nfs/dbraw/zinc/83/91/51/637839151.db2.gz BDNDKSBAJVCLGQ-UHFFFAOYSA-N 0 0 289.222 2.849 20 5 CFBDRN C[C@@H]1C[C@H]1Nc1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000864367635 637953061 /nfs/dbraw/zinc/95/30/61/637953061.db2.gz DJAAYDOKAIXMQB-HTRCEHHLSA-N 0 0 264.310 2.867 20 5 CFBDRN C[C@@H]1C[C@@H]1Nc1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000864367637 637953317 /nfs/dbraw/zinc/95/33/17/637953317.db2.gz DJAAYDOKAIXMQB-SVRRBLITSA-N 0 0 264.310 2.867 20 5 CFBDRN CC[C@H](C)NC(=S)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000865264004 638248571 /nfs/dbraw/zinc/24/85/71/638248571.db2.gz MZARSXBIWQTWPW-JTQLQIEISA-N 0 0 281.381 2.666 20 5 CFBDRN C[C@H](Cn1cnc2ccc([N+](=O)[O-])cc2c1=O)C(C)(C)C ZINC000865335756 638271010 /nfs/dbraw/zinc/27/10/10/638271010.db2.gz OPUMXIBAIDTKJT-SNVBAGLBSA-N 0 0 289.335 2.987 20 5 CFBDRN C[C@@H](Cn1cnc2ccc([N+](=O)[O-])cc2c1=O)C(C)(C)C ZINC000865335755 638271212 /nfs/dbraw/zinc/27/12/12/638271212.db2.gz OPUMXIBAIDTKJT-JTQLQIEISA-N 0 0 289.335 2.987 20 5 CFBDRN C[C@@H](Cn1ccc(=O)c([N+](=O)[O-])c1)CC(C)(C)C ZINC000865357731 638281757 /nfs/dbraw/zinc/28/17/57/638281757.db2.gz MGIOIRIQHYVFMK-SNVBAGLBSA-N 0 0 252.314 2.829 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CC1CC2(CCC2)C1 ZINC000865361328 638283765 /nfs/dbraw/zinc/28/37/65/638283765.db2.gz RGQWXDFJMAAEIM-UHFFFAOYSA-N 0 0 282.727 2.990 20 5 CFBDRN C[C@H]1OCC[C@@H]1COc1cc([N+](=O)[O-])c(F)cc1F ZINC000865370547 638289719 /nfs/dbraw/zinc/28/97/19/638289719.db2.gz NABRGBAJYHOWCK-HTQZYQBOSA-N 0 0 273.235 2.677 20 5 CFBDRN CCOC(=O)CCS/C=C/c1ccc([N+](=O)[O-])o1 ZINC000865377859 638295922 /nfs/dbraw/zinc/29/59/22/638295922.db2.gz AUSRYUYCBZAQNG-FNORWQNLSA-N 0 0 271.294 2.845 20 5 CFBDRN CCc1nc(C)cn1CCOc1ccc([N+](=O)[O-])cc1 ZINC000865392943 638304119 /nfs/dbraw/zinc/30/41/19/638304119.db2.gz SBQXVTJUYUPUNE-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCOCC2)c(C(F)F)c1 ZINC000865480788 638367888 /nfs/dbraw/zinc/36/78/88/638367888.db2.gz QUYKFZKEEQRABR-UHFFFAOYSA-N 0 0 272.251 2.759 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC2CCSCC2)cc1F ZINC000865492491 638376498 /nfs/dbraw/zinc/37/64/98/638376498.db2.gz YKAANRVGNCIFST-UHFFFAOYSA-N 0 0 286.328 2.534 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])cc2C(F)F)COC1 ZINC000865536542 638404379 /nfs/dbraw/zinc/40/43/79/638404379.db2.gz BUCANDSJMNDEEE-UHFFFAOYSA-N 0 0 272.251 2.981 20 5 CFBDRN CSC(C)(C)CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865571400 638430910 /nfs/dbraw/zinc/43/09/10/638430910.db2.gz CRHUQGVLJHPCGZ-UHFFFAOYSA-N 0 0 288.344 2.780 20 5 CFBDRN C[C@]1(O)CCN(c2c(Cl)c(Cl)ccc2[N+](=O)[O-])C1 ZINC000865583931 638438920 /nfs/dbraw/zinc/43/89/20/638438920.db2.gz INJKNAQGIWPQAM-NSHDSACASA-N 0 0 291.134 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CCCSC2)cc1F ZINC000865584115 638439574 /nfs/dbraw/zinc/43/95/74/638439574.db2.gz JKVCZELSDNOWMX-SECBINFHSA-N 0 0 286.328 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(n3ccnc3)C2)c(C(F)F)c1 ZINC000865609939 638458533 /nfs/dbraw/zinc/45/85/33/638458533.db2.gz WLWDZIBRKLISNG-UHFFFAOYSA-N 0 0 294.261 2.790 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CCC(F)(F)C2)cc1F ZINC000865617324 638464344 /nfs/dbraw/zinc/46/43/44/638464344.db2.gz QXJHECMNYPVNPK-MRVPVSSYSA-N 0 0 290.241 2.826 20 5 CFBDRN CC1(C)C[C@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)CCO1 ZINC000865638302 638480366 /nfs/dbraw/zinc/48/03/66/638480366.db2.gz AZLKCDHMIWSYRX-SNVBAGLBSA-N 0 0 298.314 2.596 20 5 CFBDRN C[C@H]1CCCCN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865684191 638510263 /nfs/dbraw/zinc/51/02/63/638510263.db2.gz QBRMWFYFSFKDTE-JTQLQIEISA-N 0 0 282.315 2.853 20 5 CFBDRN CC/C=C\CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865850125 638613313 /nfs/dbraw/zinc/61/33/13/638613313.db2.gz UQTANHGCKPUYRS-ARJAWSKDSA-N 0 0 254.261 2.604 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)CCO1 ZINC000865883229 638641698 /nfs/dbraw/zinc/64/16/98/638641698.db2.gz BXGHXDGHGAJRBK-WDEREUQCSA-N 0 0 298.314 2.596 20 5 CFBDRN CC[C@H]1C[C@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)CCO1 ZINC000865883227 638641755 /nfs/dbraw/zinc/64/17/55/638641755.db2.gz BXGHXDGHGAJRBK-MNOVXSKESA-N 0 0 298.314 2.596 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H]2c2cccnc2)n1 ZINC000865924997 638659641 /nfs/dbraw/zinc/65/96/41/638659641.db2.gz DHXMRCAEMSFROB-STQMWFEESA-N 0 0 284.319 2.909 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@H]2C(F)(F)F)c([N+](=O)[O-])c1 ZINC000865924021 638659788 /nfs/dbraw/zinc/65/97/88/638659788.db2.gz ZPHWSPWAEQUZGD-NSHDSACASA-N 0 0 288.225 2.938 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCCN(CCF)C1 ZINC000865927609 638660164 /nfs/dbraw/zinc/66/01/64/638660164.db2.gz DOQBNKXZHMHXHP-LLVKDONJSA-N 0 0 285.294 2.580 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2cc(F)c([N+](=O)[O-])cc2CO)O1 ZINC000865943561 638669909 /nfs/dbraw/zinc/66/99/09/638669909.db2.gz UGEUAFVDJDHLKS-GXSJLCMTSA-N 0 0 298.314 2.596 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCCCC1CC1 ZINC000865964882 638679963 /nfs/dbraw/zinc/67/99/63/638679963.db2.gz NRTOTONPSRSMHL-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000120858392 638697974 /nfs/dbraw/zinc/69/79/74/638697974.db2.gz WEUNKRLCQVLRMS-JTQLQIEISA-N 0 0 298.314 2.664 20 5 CFBDRN CCCCOC(=O)CSc1ccc([N+](=O)[O-])c(C)n1 ZINC000866031173 638705702 /nfs/dbraw/zinc/70/57/02/638705702.db2.gz ZTILAVZJAORKMI-UHFFFAOYSA-N 0 0 284.337 2.734 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000866042948 638708193 /nfs/dbraw/zinc/70/81/93/638708193.db2.gz HHZPRXSJHHPLMW-VAOFZXAKSA-N 0 0 284.287 2.720 20 5 CFBDRN Cc1ccc(N2CCO[C@H](C(F)F)CC2)c([N+](=O)[O-])c1 ZINC000866064138 638719479 /nfs/dbraw/zinc/71/94/79/638719479.db2.gz HWNUCCKXLSHRCM-LBPRGKRZSA-N 0 0 286.278 2.764 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000866070296 638722175 /nfs/dbraw/zinc/72/21/75/638722175.db2.gz ALAGZUVZRNIZEM-MWLCHTKSSA-N 0 0 295.295 2.507 20 5 CFBDRN CS[C@H]1C[C@H](Nc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000866101766 638738927 /nfs/dbraw/zinc/73/89/27/638738927.db2.gz AHUGXHZVBQAVCE-KYZUINATSA-N 0 0 290.348 2.844 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H](C2CCC2)[C@@H]2CCCO2)c1 ZINC000866109027 638741523 /nfs/dbraw/zinc/74/15/23/638741523.db2.gz YRJZBHKSYIVHID-LSDHHAIUSA-N 0 0 291.351 2.937 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H](C2CCC2)[C@H]2CCCO2)c1 ZINC000866109026 638742108 /nfs/dbraw/zinc/74/21/08/638742108.db2.gz YRJZBHKSYIVHID-HUUCEWRRSA-N 0 0 291.351 2.937 20 5 CFBDRN Cc1ccc(CNC(=O)OCC(F)(F)F)cc1[N+](=O)[O-] ZINC000866140174 638754870 /nfs/dbraw/zinc/75/48/70/638754870.db2.gz HPOLFHLJYIOFSB-UHFFFAOYSA-N 0 0 292.213 2.692 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])s2)C1 ZINC000866168275 638763233 /nfs/dbraw/zinc/76/32/33/638763233.db2.gz NATWFOLFHJRGEL-OCAPTIKFSA-N 0 0 299.352 2.584 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCC23CCOCC3)c([N+](=O)[O-])c1 ZINC000866175172 638764213 /nfs/dbraw/zinc/76/42/13/638764213.db2.gz PQTVYWRSVCSEEH-LBPRGKRZSA-N 0 0 291.351 2.917 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2C[C@H]2C2CCOCC2)s1 ZINC000866179702 638764602 /nfs/dbraw/zinc/76/46/02/638764602.db2.gz CHKDSCTVHHLMDZ-UWVGGRQHSA-N 0 0 283.353 2.526 20 5 CFBDRN CCON(CC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000866185823 638765773 /nfs/dbraw/zinc/76/57/73/638765773.db2.gz HJFSVFSRBPIHFD-UHFFFAOYSA-N 0 0 271.248 2.539 20 5 CFBDRN CN1Cc2ccccc2[C@H]1CNc1cc(N)ccc1[N+](=O)[O-] ZINC000866195574 638767606 /nfs/dbraw/zinc/76/76/06/638767606.db2.gz XLBVTYKJKJZCAF-MRXNPFEDSA-N 0 0 298.346 2.776 20 5 CFBDRN Nc1c(F)c(N2CC[C@@H]3CSC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000866204786 638769981 /nfs/dbraw/zinc/76/99/81/638769981.db2.gz ZRXMYAGCBCPLBF-BDAKNGLRSA-N 0 0 297.355 2.505 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866268098 638784882 /nfs/dbraw/zinc/78/48/82/638784882.db2.gz MMHJDSNTIDBWSX-ZYHUDNBSSA-N 0 0 294.326 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H]2C2CCOCC2)c(F)c1 ZINC000866274254 638786614 /nfs/dbraw/zinc/78/66/14/638786614.db2.gz YHHHHHDVCDCDJG-SMDDNHRTSA-N 0 0 280.299 2.961 20 5 CFBDRN CC(C)(C)OC1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000866279323 638788075 /nfs/dbraw/zinc/78/80/75/638788075.db2.gz PSTPEVOHZVQNEV-UHFFFAOYSA-N 0 0 295.295 2.507 20 5 CFBDRN CC(C)(C)OC1CN(c2ccccc2[N+](=O)[O-])C1 ZINC000866283462 638789315 /nfs/dbraw/zinc/78/93/15/638789315.db2.gz YKZUDBWOHGBYGG-UHFFFAOYSA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1ccc([N+](=O)[O-])cc1COC ZINC000866300190 638791826 /nfs/dbraw/zinc/79/18/26/638791826.db2.gz SIXFMSYTPYDDHP-PWSUYJOCSA-N 0 0 282.340 2.703 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000866301546 638791980 /nfs/dbraw/zinc/79/19/80/638791980.db2.gz OAUZOCPIUVTXFS-GHMZBOCLSA-N 0 0 289.335 2.526 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000866301548 638791997 /nfs/dbraw/zinc/79/19/97/638791997.db2.gz OAUZOCPIUVTXFS-QWRGUYRKSA-N 0 0 289.335 2.526 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000866302086 638792352 /nfs/dbraw/zinc/79/23/52/638792352.db2.gz YKTOIZMOCYJEJP-DTWKUNHWSA-N 0 0 286.303 2.704 20 5 CFBDRN Cc1cc(NCC[C@@H](O)C2CCCC2)ncc1[N+](=O)[O-] ZINC000866303556 638792677 /nfs/dbraw/zinc/79/26/77/638792677.db2.gz NNLYIIZDZOVYFI-CYBMUJFWSA-N 0 0 279.340 2.651 20 5 CFBDRN CCC1(NC(=O)Cc2cccc(F)c2[N+](=O)[O-])CCC1 ZINC000866323864 638797128 /nfs/dbraw/zinc/79/71/28/638797128.db2.gz APBXCQJRLRKNHW-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN Cc1ccc(CN[C@@H]2CCCn3ccnc32)cc1[N+](=O)[O-] ZINC000866540603 638838900 /nfs/dbraw/zinc/83/89/00/638838900.db2.gz QWYFRBQMSPOOEP-CYBMUJFWSA-N 0 0 286.335 2.724 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867946753 638907750 /nfs/dbraw/zinc/90/77/50/638907750.db2.gz VAUFIXQUQVNRNY-QWRGUYRKSA-N 0 0 294.326 2.829 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867946751 638907832 /nfs/dbraw/zinc/90/78/32/638907832.db2.gz VAUFIXQUQVNRNY-MNOVXSKESA-N 0 0 294.326 2.829 20 5 CFBDRN CC(=O)CN(C(=O)c1cccc([N+](=O)[O-])c1Cl)C(C)C ZINC000868597266 638959896 /nfs/dbraw/zinc/95/98/96/638959896.db2.gz ZVNJQJIOYVRDPZ-UHFFFAOYSA-N 0 0 298.726 2.688 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC[C@@H]1C(F)F ZINC000868602544 638960580 /nfs/dbraw/zinc/96/05/80/638960580.db2.gz ORGXCSBOABAQRK-MRVPVSSYSA-N 0 0 290.653 2.728 20 5 CFBDRN C[C@@]1(NC(=O)Cc2cccc(F)c2[N+](=O)[O-])CC=CCC1 ZINC000868854632 638986709 /nfs/dbraw/zinc/98/67/09/638986709.db2.gz HNLZJDUEZAMVCD-OAHLLOKOSA-N 0 0 292.310 2.891 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000869052704 639014861 /nfs/dbraw/zinc/01/48/61/639014861.db2.gz CUSQQCQHSVAVRU-LLVKDONJSA-N 0 0 277.324 2.597 20 5 CFBDRN CCCCC(=O)COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000869299574 639150378 /nfs/dbraw/zinc/15/03/78/639150378.db2.gz BHFDYWKSGZDGMN-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN C[C@H]1OCC[C@@H]1COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000869313421 639163802 /nfs/dbraw/zinc/16/38/02/639163802.db2.gz DGXDERDTIHDFNB-PSASIEDQSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@H]1OCC[C@H]1COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000869313422 639164213 /nfs/dbraw/zinc/16/42/13/639164213.db2.gz DGXDERDTIHDFNB-SCZZXKLOSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(/C=C/c1ccco1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148933 650477515 /nfs/dbraw/zinc/47/75/15/650477515.db2.gz PDECKRMUDXKIQY-VOTSOKGWSA-N 0 0 284.271 2.790 20 5 CFBDRN Cc1ccc(COC(=O)CC2(O)CCCC2)cc1[N+](=O)[O-] ZINC000869406022 639214659 /nfs/dbraw/zinc/21/46/59/639214659.db2.gz WIDGMTBUIUBXNH-UHFFFAOYSA-N 0 0 293.319 2.642 20 5 CFBDRN COc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c(F)c1 ZINC000869853978 639431312 /nfs/dbraw/zinc/43/13/12/639431312.db2.gz CYNAVRMMXLEDNH-UHFFFAOYSA-N 0 0 284.287 2.608 20 5 CFBDRN O=C(OCCCCF)c1c(F)cccc1[N+](=O)[O-] ZINC000869897528 639458510 /nfs/dbraw/zinc/45/85/10/639458510.db2.gz XMMZGSIOTWNXSL-UHFFFAOYSA-N 0 0 259.208 2.640 20 5 CFBDRN O=C(OCCC1CCOCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000869896997 639459071 /nfs/dbraw/zinc/45/90/71/639459071.db2.gz KMRHUPRQXUUPBH-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869899688 639459697 /nfs/dbraw/zinc/45/96/97/639459697.db2.gz ZXBQCTPFRXYKGL-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000870151249 639528602 /nfs/dbraw/zinc/52/86/02/639528602.db2.gz XVMQKRWZARSIKU-SASUGWTJSA-N 0 0 291.303 2.801 20 5 CFBDRN O=C(NCCCC1CC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000123010784 639559488 /nfs/dbraw/zinc/55/94/88/639559488.db2.gz FTNPPDHDRHSIRN-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOC2CCC2)c(Cl)c1 ZINC000078516712 650511171 /nfs/dbraw/zinc/51/11/71/650511171.db2.gz SBCFJURLECEWKM-UHFFFAOYSA-N 0 0 271.704 2.624 20 5 CFBDRN C[C@@H](COC(=O)CCc1ccc(C(F)F)cc1)[N+](=O)[O-] ZINC000870377297 639616302 /nfs/dbraw/zinc/61/63/02/639616302.db2.gz PSNIOCOWVHHWOL-VIFPVBQESA-N 0 0 287.262 2.765 20 5 CFBDRN O=C(Nc1ccc(F)nc1F)c1ccccc1[N+](=O)[O-] ZINC000191646284 650514901 /nfs/dbraw/zinc/51/49/01/650514901.db2.gz XTAHQDPCVQBGBC-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN Cc1ccc(CCOC(=O)CCC(C)(C)[N+](=O)[O-])o1 ZINC000870497860 639694338 /nfs/dbraw/zinc/69/43/38/639694338.db2.gz DAPBECHSALBHDV-UHFFFAOYSA-N 0 0 269.297 2.509 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@@H]1C[C@H]1C1CC1 ZINC000870668351 639758874 /nfs/dbraw/zinc/75/88/74/639758874.db2.gz YENQLOJDFLZCBW-STQMWFEESA-N 0 0 275.304 2.727 20 5 CFBDRN CSCC(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870699930 639778300 /nfs/dbraw/zinc/77/83/00/639778300.db2.gz NOQPGJZUUAKAAV-UHFFFAOYSA-N 0 0 269.322 2.618 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C[C@H]1CCOC1 ZINC000870700916 639778751 /nfs/dbraw/zinc/77/87/51/639778751.db2.gz LNTDEWOTFJHOJN-GFCCVEGCSA-N 0 0 293.319 2.681 20 5 CFBDRN COCC1(C(=O)OCc2c(C)ccc([N+](=O)[O-])c2C)CC1 ZINC000870700933 639778961 /nfs/dbraw/zinc/77/89/61/639778961.db2.gz MLNHZVZKQODGDN-UHFFFAOYSA-N 0 0 293.319 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@H]1CCC(=O)C1 ZINC000870700606 639779018 /nfs/dbraw/zinc/77/90/18/639779018.db2.gz JXKPSGDRKJYAAE-NSHDSACASA-N 0 0 291.303 2.624 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000870724685 639793339 /nfs/dbraw/zinc/79/33/39/639793339.db2.gz JDCKKAPMOUPHCG-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(NCc1ccc(Cl)nc1)c1csc([N+](=O)[O-])c1 ZINC000078978945 650553018 /nfs/dbraw/zinc/55/30/18/650553018.db2.gz FJIFSJXJPSBLFC-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN C[C@@H]1C[C@@H](OC(=O)Cn2cc([N+](=O)[O-])cn2)CC(C)(C)C1 ZINC000193126886 650625558 /nfs/dbraw/zinc/62/55/58/650625558.db2.gz LAJWIDRIBSMPGB-ZYHUDNBSSA-N 0 0 295.339 2.549 20 5 CFBDRN CCC(C)(C)CC(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870829749 641090702 /nfs/dbraw/zinc/09/07/02/641090702.db2.gz PGZGAOIGHRSARW-UHFFFAOYSA-N 0 0 295.339 2.864 20 5 CFBDRN CC[C@@H](C)NC(=O)CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000193273170 650640399 /nfs/dbraw/zinc/64/03/99/650640399.db2.gz LQPGHFLMCHXEKV-MRVPVSSYSA-N 0 0 285.731 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CC(F)(F)F ZINC000193304747 650642713 /nfs/dbraw/zinc/64/27/13/650642713.db2.gz SASLSOGPPNRSFQ-UHFFFAOYSA-N 0 0 263.171 2.761 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000123711366 641366779 /nfs/dbraw/zinc/36/67/79/641366779.db2.gz YSTASUKQWKAYAK-MRVPVSSYSA-N 0 0 255.245 2.935 20 5 CFBDRN COc1ccc(OC[C@@H]2C[C@@H]3CCC[C@H]3O2)c([N+](=O)[O-])c1 ZINC000871637879 641377194 /nfs/dbraw/zinc/37/71/94/641377194.db2.gz PZMIOTJYYQFVGY-VHRBIJSZSA-N 0 0 293.319 2.940 20 5 CFBDRN C/C(=C\Cl)CSCCn1c(C)ncc1[N+](=O)[O-] ZINC000871669952 641393588 /nfs/dbraw/zinc/39/35/88/641393588.db2.gz ILKLBGQOCGRLOX-VMPITWQZSA-N 0 0 275.761 2.976 20 5 CFBDRN CC(C)(C)SCSc1ncc([N+](=O)[O-])c(N)n1 ZINC000871673540 641395706 /nfs/dbraw/zinc/39/57/06/641395706.db2.gz KUHDMMKKYMBKPN-UHFFFAOYSA-N 0 0 274.371 2.548 20 5 CFBDRN CCC(=O)COc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871694968 641409410 /nfs/dbraw/zinc/40/94/10/641409410.db2.gz UHRIJOKSSBOGQN-UHFFFAOYSA-N 0 0 261.636 2.745 20 5 CFBDRN CC(C)(O)CCOc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871694448 641409421 /nfs/dbraw/zinc/40/94/21/641409421.db2.gz MEYRASNVRGNVFX-UHFFFAOYSA-N 0 0 277.679 2.927 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CS[C@@H]1CCOC1 ZINC000871709865 641417677 /nfs/dbraw/zinc/41/76/77/641417677.db2.gz IJLKKDDMYWEVDB-LLVKDONJSA-N 0 0 269.322 2.626 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCC(C)(C)O ZINC000871709485 641418155 /nfs/dbraw/zinc/41/81/55/641418155.db2.gz BPBYTKYNBAASDM-UHFFFAOYSA-N 0 0 271.338 2.608 20 5 CFBDRN Cc1ccc(CON=C(N)[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000871759361 641441951 /nfs/dbraw/zinc/44/19/51/641441951.db2.gz JHLGHVNWBNQMJT-MWLCHTKSSA-N 0 0 263.297 2.558 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Cn1cc(C(C)C)cn1 ZINC000871757570 641442534 /nfs/dbraw/zinc/44/25/34/641442534.db2.gz FOSWGJOFGAVIJN-UHFFFAOYSA-N 0 0 275.308 2.972 20 5 CFBDRN C[C@H](ON=C(N)COC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000871775493 641451374 /nfs/dbraw/zinc/45/13/74/641451374.db2.gz LCWQRFTVZGQSGD-JTQLQIEISA-N 0 0 293.323 2.723 20 5 CFBDRN Cc1noc(NCc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000871861894 641486861 /nfs/dbraw/zinc/48/68/61/641486861.db2.gz PQURONOAOIJAOW-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN COc1cncc(NCc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000871864476 641488875 /nfs/dbraw/zinc/48/88/75/641488875.db2.gz YQYMRWUZMRIIHS-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1c(C[NH2+][C@H]2CCC[C@]2(C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000871879022 641496255 /nfs/dbraw/zinc/49/62/55/641496255.db2.gz WVKLMKKVMGOELQ-ZFWWWQNUSA-N 0 0 292.335 2.636 20 5 CFBDRN C[C@@H](NC[C@H](O)c1ccco1)c1c(F)cccc1[N+](=O)[O-] ZINC000872019966 641550702 /nfs/dbraw/zinc/55/07/02/641550702.db2.gz BDMSUJKXKPIFRA-SKDRFNHKSA-N 0 0 294.282 2.711 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2c(F)cccc2[N+](=O)[O-])on1 ZINC000872035197 641567138 /nfs/dbraw/zinc/56/71/38/641567138.db2.gz TYBLFFWPRQSMKU-VIFPVBQESA-N 0 0 279.271 2.881 20 5 CFBDRN C[C@H](N[C@@H](C)c1c(F)cccc1[N+](=O)[O-])c1cn[nH]c1 ZINC000872050980 641584979 /nfs/dbraw/zinc/58/49/79/641584979.db2.gz GQIQTVICQGVGBU-IUCAKERBSA-N 0 0 278.287 2.869 20 5 CFBDRN COCC1(N[C@@H](C)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000872057075 641590494 /nfs/dbraw/zinc/59/04/94/641590494.db2.gz CFABHWWMZPKNLH-VIFPVBQESA-N 0 0 268.288 2.564 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1[C@@H](C)NCCF ZINC000872068590 641600174 /nfs/dbraw/zinc/60/01/74/641600174.db2.gz HOOUEWRNZYQJGX-MRVPVSSYSA-N 0 0 258.318 2.937 20 5 CFBDRN CCn1ccnc1CN[C@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872075114 641607215 /nfs/dbraw/zinc/60/72/15/641607215.db2.gz OMPVOBUZWUFMEY-SNVBAGLBSA-N 0 0 292.314 2.801 20 5 CFBDRN CCn1ncc(N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)c1C ZINC000872139769 641666938 /nfs/dbraw/zinc/66/69/38/641666938.db2.gz PKPYPJKCVUGUFP-SECBINFHSA-N 0 0 289.339 2.875 20 5 CFBDRN CCn1ncc(N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)c1C ZINC000872139770 641666970 /nfs/dbraw/zinc/66/69/70/641666970.db2.gz PKPYPJKCVUGUFP-VIFPVBQESA-N 0 0 289.339 2.875 20 5 CFBDRN CC(=O)c1cc(N2CCC(C(C)=O)CC2)ccc1[N+](=O)[O-] ZINC000872667973 641890111 /nfs/dbraw/zinc/89/01/11/641890111.db2.gz PNVDCOOABYHLKR-UHFFFAOYSA-N 0 0 290.319 2.603 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)ccc1[N+](=O)[O-] ZINC000872698618 641902778 /nfs/dbraw/zinc/90/27/78/641902778.db2.gz IYBOFPIWILFVKG-HOTUBEGUSA-N 0 0 295.314 2.686 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC[C@@H]2CCOC2=O)c1 ZINC000872703367 641904662 /nfs/dbraw/zinc/90/46/62/641904662.db2.gz FAYFRHIREKLJPW-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@@]1(C(F)(F)F)CCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000872728956 641914504 /nfs/dbraw/zinc/91/45/04/641914504.db2.gz GSHDEJWNIRYMIB-MRVPVSSYSA-N 0 0 281.259 2.830 20 5 CFBDRN CCOC(=O)[C@@H](C)C1CN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000872773371 641928114 /nfs/dbraw/zinc/92/81/14/641928114.db2.gz YQJWVIPSULMVEB-VIFPVBQESA-N 0 0 298.364 2.600 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CC23CCC3)c([N+](=O)[O-])c1 ZINC000872774671 641928914 /nfs/dbraw/zinc/92/89/14/641928914.db2.gz GTOBKMUGJKJARC-CYBMUJFWSA-N 0 0 290.319 2.665 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3CC34CCC4)cc2N1 ZINC000872775058 641929436 /nfs/dbraw/zinc/92/94/36/641929436.db2.gz NFOMJVLPLSTDOT-CYBMUJFWSA-N 0 0 287.319 2.834 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000872777012 641930144 /nfs/dbraw/zinc/93/01/44/641930144.db2.gz QPEKQJWOJPUXSR-PGMTZQPISA-N 0 0 295.314 2.686 20 5 CFBDRN Cc1nonc1CNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000872777688 641931337 /nfs/dbraw/zinc/93/13/37/641931337.db2.gz LOUXFNUFPAICJV-UHFFFAOYSA-N 0 0 282.687 2.860 20 5 CFBDRN COc1cc(NCc2c(C)ccc([N+](=O)[O-])c2C)[nH]n1 ZINC000873142854 642028570 /nfs/dbraw/zinc/02/85/70/642028570.db2.gz WGSNZVQJRPKXAM-UHFFFAOYSA-N 0 0 276.296 2.555 20 5 CFBDRN CCn1ncc(NCc2cccc([N+](=O)[O-])c2)c1C ZINC000873196617 642060787 /nfs/dbraw/zinc/06/07/87/642060787.db2.gz WRWVMHVXCFDIHL-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CCNc1ccc(C(=O)O[C@@H](CC)C(C)=O)cc1[N+](=O)[O-] ZINC000873317688 642133811 /nfs/dbraw/zinc/13/38/11/642133811.db2.gz BYAVRMKMSNMRIL-ZDUSSCGKSA-N 0 0 294.307 2.551 20 5 CFBDRN O=C(OC[C@H]1CC2(CCC2)CO1)c1ccccc1[N+](=O)[O-] ZINC000873317498 642133914 /nfs/dbraw/zinc/13/39/14/642133914.db2.gz ORUYIRBCXMAHHI-LLVKDONJSA-N 0 0 291.303 2.711 20 5 CFBDRN CCOc1ccc(C(=O)O[C@@H](CC)C(C)=O)cc1[N+](=O)[O-] ZINC000873337051 642140074 /nfs/dbraw/zinc/14/00/74/642140074.db2.gz LJIMAJUNEUPVKJ-LBPRGKRZSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1nnc(COc2ccc([N+](=O)[O-])c(F)c2)n1C1CC1 ZINC000194699287 650724708 /nfs/dbraw/zinc/72/47/08/650724708.db2.gz YABZTNHTDNKPRG-UHFFFAOYSA-N 0 0 292.270 2.548 20 5 CFBDRN CC[C@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(C)=O ZINC000873340098 642141610 /nfs/dbraw/zinc/14/16/10/642141610.db2.gz OVZFJEWYFMFHGZ-NSHDSACASA-N 0 0 285.683 2.773 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1ccc([N+](=O)[O-])cc1 ZINC000873339778 642141644 /nfs/dbraw/zinc/14/16/44/642141644.db2.gz KTZNFUUVUOUVIT-XBFCOCLRSA-N 0 0 291.303 2.709 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 ZINC000873365867 642157127 /nfs/dbraw/zinc/15/71/27/642157127.db2.gz PZZKQDWHKQOINH-DTORHVGOSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OC[C@H]1CCO[C@@H]1C ZINC000873438225 642204943 /nfs/dbraw/zinc/20/49/43/642204943.db2.gz FQGGHFLKDJVBBA-VXGBXAGGSA-N 0 0 293.319 2.793 20 5 CFBDRN Cc1cc(COC(=O)c2c(C)ccc([N+](=O)[O-])c2C)no1 ZINC000873438323 642205107 /nfs/dbraw/zinc/20/51/07/642205107.db2.gz IXYMGWQMBORLNC-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ncc(COC(=O)c2c(C)ccc([N+](=O)[O-])c2C)o1 ZINC000873545895 642243194 /nfs/dbraw/zinc/24/31/94/642243194.db2.gz FEJNPGXVWYYVFP-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN C[C@@H](OC(=O)/C=C\[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000873579497 642259177 /nfs/dbraw/zinc/25/91/77/642259177.db2.gz GOYDHGXNLHREBP-STNFYNBXSA-N 0 0 291.303 2.934 20 5 CFBDRN C[C@@H](OC(=O)/C=C\[C@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000873579860 642259431 /nfs/dbraw/zinc/25/94/31/642259431.db2.gz NGDVXTSYLDJYEV-PBWNMSGQSA-N 0 0 291.303 2.792 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000873628515 642279541 /nfs/dbraw/zinc/27/95/41/642279541.db2.gz MYZBJVCHTQPYLS-MWLCHTKSSA-N 0 0 291.303 2.949 20 5 CFBDRN CC(C)OC1(C(=O)Oc2cccc([N+](=O)[O-])c2)CCC1 ZINC000873851889 642300540 /nfs/dbraw/zinc/30/05/40/642300540.db2.gz SLFJBKLWKQIAMO-UHFFFAOYSA-N 0 0 279.292 2.848 20 5 CFBDRN CCN(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000195132599 650739399 /nfs/dbraw/zinc/73/93/99/650739399.db2.gz GUKBFGQVQRVPOJ-UHFFFAOYSA-N 0 0 299.330 2.839 20 5 CFBDRN Cc1cnc(COC(=O)CCc2cccc([N+](=O)[O-])c2)o1 ZINC000124774150 642321309 /nfs/dbraw/zinc/32/13/09/642321309.db2.gz IGCVSGVQETZYQB-UHFFFAOYSA-N 0 0 290.275 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@H]1CCC[C@@H]1O ZINC000124792877 642322599 /nfs/dbraw/zinc/32/25/99/642322599.db2.gz NOVCEKSYWNUIOJ-PELKAZGASA-N 0 0 270.716 2.821 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1cnc(C)s1 ZINC000195215464 650742104 /nfs/dbraw/zinc/74/21/04/650742104.db2.gz ZXAUIRJSLJWRPC-UHFFFAOYSA-N 0 0 294.288 2.588 20 5 CFBDRN CCn1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1C ZINC000874238328 642353559 /nfs/dbraw/zinc/35/35/59/642353559.db2.gz KQCBVIICEWYZFP-UHFFFAOYSA-N 0 0 287.319 2.655 20 5 CFBDRN CCCN(C)C(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000874248172 642357069 /nfs/dbraw/zinc/35/70/69/642357069.db2.gz HHSYFAKZSHLFPV-UHFFFAOYSA-N 0 0 250.298 2.694 20 5 CFBDRN O=C(Cc1ccoc1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124986145 642378701 /nfs/dbraw/zinc/37/87/01/642378701.db2.gz SCHJFNLKJYUPMW-UHFFFAOYSA-N 0 0 281.651 2.989 20 5 CFBDRN CCCC1(CCC)CCCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000874626007 642429328 /nfs/dbraw/zinc/42/93/28/642429328.db2.gz AVWBZBIOMGXGDT-UHFFFAOYSA-N 0 0 294.355 2.893 20 5 CFBDRN CC(C)c1nsc(NC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000874698194 642459088 /nfs/dbraw/zinc/45/90/88/642459088.db2.gz WPGFRYDKTDYWKR-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Oc1cccc(F)c1 ZINC000195519546 650756018 /nfs/dbraw/zinc/75/60/18/650756018.db2.gz BFQXVSMHFVUZGS-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC23CCC3)c1 ZINC000874782524 642483352 /nfs/dbraw/zinc/48/33/52/642483352.db2.gz CCACWEACRNZIPY-GFCCVEGCSA-N 0 0 260.293 2.576 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H]1CC12CCC2 ZINC000874784467 642485043 /nfs/dbraw/zinc/48/50/43/642485043.db2.gz JHHPMGSLQVGVGJ-AWEZNQCLSA-N 0 0 299.330 2.677 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000874790564 642490728 /nfs/dbraw/zinc/49/07/28/642490728.db2.gz KWBXNUBDLZJTET-GFCCVEGCSA-N 0 0 292.360 2.989 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000874790939 642491613 /nfs/dbraw/zinc/49/16/13/642491613.db2.gz DDNSMYGSGYHVFP-NSHDSACASA-N 0 0 280.711 2.921 20 5 CFBDRN Cc1cc(C(=O)NC2(C)CC(F)(F)C2)cc([N+](=O)[O-])c1 ZINC000874856846 642529528 /nfs/dbraw/zinc/52/95/28/642529528.db2.gz ODJFSZDSFSVHFW-UHFFFAOYSA-N 0 0 284.262 2.821 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000875054562 642581316 /nfs/dbraw/zinc/58/13/16/642581316.db2.gz WHIAUKLDWVNJLI-LOKDSWTASA-N 0 0 296.298 2.732 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000875079833 642582851 /nfs/dbraw/zinc/58/28/51/642582851.db2.gz ZMXMRNFOSVVPGM-HYNSBDGHSA-N 0 0 286.331 2.776 20 5 CFBDRN CCCN(C(=O)NCc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000876168991 642784603 /nfs/dbraw/zinc/78/46/03/642784603.db2.gz IISAFEPNCUVUIH-UHFFFAOYSA-N 0 0 291.351 2.987 20 5 CFBDRN Cc1ccc(CNC(=O)N2CC=C(C)CC2)cc1[N+](=O)[O-] ZINC000876169388 642785588 /nfs/dbraw/zinc/78/55/88/642785588.db2.gz VYOVWWPTTBNVNV-UHFFFAOYSA-N 0 0 289.335 2.765 20 5 CFBDRN Cc1ccc(CNC(=O)N(C(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000876170806 642786566 /nfs/dbraw/zinc/78/65/66/642786566.db2.gz GTPYYOOCWCMCPU-UHFFFAOYSA-N 0 0 291.351 2.986 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C1CCC1 ZINC000125817712 642795042 /nfs/dbraw/zinc/79/50/42/642795042.db2.gz BCKCVHPQRIKZBV-ZETCQYMHSA-N 0 0 284.262 2.791 20 5 CFBDRN CC(C)(NC(=O)c1ccccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000876312792 642819731 /nfs/dbraw/zinc/81/97/31/642819731.db2.gz IFPJXQQHAYBKQL-ZDUSSCGKSA-N 0 0 292.335 2.672 20 5 CFBDRN CO[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C(C)(C)C ZINC000876340785 642834011 /nfs/dbraw/zinc/83/40/11/642834011.db2.gz RIANTOKIHQXRIN-VIFPVBQESA-N 0 0 280.324 2.866 20 5 CFBDRN C[C@@H](OC(=O)[C@]1(C)CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000125929490 642861987 /nfs/dbraw/zinc/86/19/87/642861987.db2.gz ZSOAJGGDZZMQAC-YGRLFVJLSA-N 0 0 279.292 2.768 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2cccn2)ccc1Br ZINC000125980304 642892440 /nfs/dbraw/zinc/89/24/40/642892440.db2.gz YFNDYMJVXXUGKM-UHFFFAOYSA-N 0 0 282.097 2.602 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@H+](C)C[C@@H]1CCCO1 ZINC000876566154 642926730 /nfs/dbraw/zinc/92/67/30/642926730.db2.gz AEZKYBGTWDTUER-ZDUSSCGKSA-N 0 0 278.352 2.822 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C=C1CCC1 ZINC000087086468 650799290 /nfs/dbraw/zinc/79/92/90/650799290.db2.gz CTJOPJKHKZQXQA-UHFFFAOYSA-N 0 0 260.293 2.664 20 5 CFBDRN Cc1cc(Cl)ccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000876832080 643031200 /nfs/dbraw/zinc/03/12/00/643031200.db2.gz MYISDSAXGKQSMM-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=C(COC(=O)c1cccc([N+](=O)[O-])c1)C1CCCCC1 ZINC000877032256 643111296 /nfs/dbraw/zinc/11/12/96/643111296.db2.gz IONUOSHVXYLVSQ-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000088704940 650817369 /nfs/dbraw/zinc/81/73/69/650817369.db2.gz RERHGKMSZZOWGG-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1noc([C@@H](C)NCc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000877471310 643291019 /nfs/dbraw/zinc/29/10/19/643291019.db2.gz VBRMMISQCBZKBD-SNVBAGLBSA-N 0 0 290.323 2.754 20 5 CFBDRN CCCC[C@@H](C)OC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000126587120 643352639 /nfs/dbraw/zinc/35/26/39/643352639.db2.gz ZPESDZHPGPWNHF-SNVBAGLBSA-N 0 0 283.328 2.612 20 5 CFBDRN Cc1nnc(CCOc2cc([N+](=O)[O-])ccc2Cl)o1 ZINC000877877882 643617393 /nfs/dbraw/zinc/61/73/93/643617393.db2.gz HPIVIVLFYHIVDP-UHFFFAOYSA-N 0 0 283.671 2.561 20 5 CFBDRN Cc1cc(COc2cccc(C)c2[N+](=O)[O-])c(C)nn1 ZINC000877879853 643619596 /nfs/dbraw/zinc/61/95/96/643619596.db2.gz SLXKDMYXYQMRMZ-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN COC[C@H](COc1ncc(C)cc1[N+](=O)[O-])C(C)C ZINC000877890227 643626074 /nfs/dbraw/zinc/62/60/74/643626074.db2.gz VZQGWCRFDLSMKR-LLVKDONJSA-N 0 0 268.313 2.596 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C[C@H]1CC2(CCC2)CO1 ZINC000877916542 643639886 /nfs/dbraw/zinc/63/98/86/643639886.db2.gz FVVDLHKTQJWLBO-CQSZACIVSA-N 0 0 290.363 2.986 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1ccc(C)cc1 ZINC000878033918 643703553 /nfs/dbraw/zinc/70/35/53/643703553.db2.gz BTCLJZKVMDKYPW-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN O=C(Nc1ccc(F)nc1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000126907916 643735710 /nfs/dbraw/zinc/73/57/10/643735710.db2.gz SHPNJASCMFHLIA-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)NCc1ccccc1[N+](=O)[O-] ZINC000878274329 643817408 /nfs/dbraw/zinc/81/74/08/643817408.db2.gz KKBAXJOCVYKMMA-STQMWFEESA-N 0 0 274.320 2.647 20 5 CFBDRN CCCC[C@@H](C)OC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000127015350 643820311 /nfs/dbraw/zinc/82/03/11/643820311.db2.gz FPMMXHPEFBUAIS-SNVBAGLBSA-N 0 0 297.355 2.920 20 5 CFBDRN Cc1ccc(C[N@H+]2[C@H](C)C[C@@H](O)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000878475333 643907753 /nfs/dbraw/zinc/90/77/53/643907753.db2.gz MCUXRDLGKKBLRP-DABQJJPHSA-N 0 0 278.352 2.637 20 5 CFBDRN O=[N+]([O-])c1ncccc1O[C@H]1CCc2ccccc2C1 ZINC000127153040 643912466 /nfs/dbraw/zinc/91/24/66/643912466.db2.gz FVSICCFHIIBUNZ-ZDUSSCGKSA-N 0 0 270.288 2.926 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1Cc1nnc(-c2ccccc2[N+](=O)[O-])o1 ZINC000878533482 643931869 /nfs/dbraw/zinc/93/18/69/643931869.db2.gz POCBLYIZDWLZEB-NXEZZACHSA-N 0 0 288.307 2.628 20 5 CFBDRN CCCCOCCNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000127236153 643952743 /nfs/dbraw/zinc/95/27/43/643952743.db2.gz UYEWHQBUMIZGDA-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN Cc1ccc(CN2Cc3ccncc3C2)cc1[N+](=O)[O-] ZINC000878608714 643955904 /nfs/dbraw/zinc/95/59/04/643955904.db2.gz AWTIRSCWAFUNHF-UHFFFAOYSA-N 0 0 269.304 2.814 20 5 CFBDRN CC(=O)CN[C@@H](c1cccc([N+](=O)[O-])c1F)C(F)(F)F ZINC000878712362 644002185 /nfs/dbraw/zinc/00/21/85/644002185.db2.gz YVXOCHLJUQRJKW-JTQLQIEISA-N 0 0 294.204 2.516 20 5 CFBDRN Cc1ccc(CN2CCC(=O)CC23CCC3)cc1[N+](=O)[O-] ZINC000878716415 644005073 /nfs/dbraw/zinc/00/50/73/644005073.db2.gz JAXXGNRDQRSMBB-UHFFFAOYSA-N 0 0 288.347 2.991 20 5 CFBDRN Cn1nccc1COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000091583224 650903533 /nfs/dbraw/zinc/90/35/33/650903533.db2.gz QMSRQGVKWXFMHI-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000127785416 644283759 /nfs/dbraw/zinc/28/37/59/644283759.db2.gz XRKFDRWMUNLBHA-SNVBAGLBSA-N 0 0 267.281 2.561 20 5 CFBDRN CC(C)[C@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000127785592 644283975 /nfs/dbraw/zinc/28/39/75/644283975.db2.gz XRKFDRWMUNLBHA-JTQLQIEISA-N 0 0 267.281 2.561 20 5 CFBDRN C[C@@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1ccnn1C ZINC000879684064 644379075 /nfs/dbraw/zinc/37/90/75/644379075.db2.gz FMIJDWXJUFUPPS-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN COCC1(N(C)Cc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000880188296 644570641 /nfs/dbraw/zinc/57/06/41/644570641.db2.gz YMGMHAFKITZQTE-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN C[C@@]12CN(C(=O)c3ccc([N+](=O)[O-])o3)C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000957092136 650936138 /nfs/dbraw/zinc/93/61/38/650936138.db2.gz DSKOIETVZHZEQB-LMCGPQHNSA-N 0 0 290.319 2.696 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CC[C@@H](CF)C2)c(F)c1 ZINC000880300607 644640920 /nfs/dbraw/zinc/64/09/20/644640920.db2.gz UQUIBGLBKPWDFY-QMMMGPOBSA-N 0 0 274.242 2.664 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCc2ncncc2C1 ZINC000880593034 644780742 /nfs/dbraw/zinc/78/07/42/644780742.db2.gz KQWRTOOOVYBRIH-NSHDSACASA-N 0 0 284.319 2.504 20 5 CFBDRN CCO[C@H]1CCCN(Cc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000094774628 650963078 /nfs/dbraw/zinc/96/30/78/650963078.db2.gz KWOYZAQBKVQQKW-LBPRGKRZSA-N 0 0 282.315 2.735 20 5 CFBDRN CC1(C)CC[C@H](CNC(=O)c2cccc([N+](=O)[O-])c2)OC1 ZINC000881507731 645005007 /nfs/dbraw/zinc/00/50/07/645005007.db2.gz PMSKABMRMLTXGI-CYBMUJFWSA-N 0 0 292.335 2.530 20 5 CFBDRN C=C/C=C\CCNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000881565423 645044294 /nfs/dbraw/zinc/04/42/94/645044294.db2.gz KEUNYKLXQYTCGB-ARJAWSKDSA-N 0 0 274.320 2.776 20 5 CFBDRN CCc1ccc(C(=O)N2CCC[C@H](CF)C2)cc1[N+](=O)[O-] ZINC000881596987 645068242 /nfs/dbraw/zinc/06/82/42/645068242.db2.gz MBOPANHNMXTNKS-LLVKDONJSA-N 0 0 294.326 2.979 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000881602027 645072949 /nfs/dbraw/zinc/07/29/49/645072949.db2.gz QHRTYCRHPTVGOH-NWDGAFQWSA-N 0 0 294.326 2.906 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC(F)CC1 ZINC000881608366 645078212 /nfs/dbraw/zinc/07/82/12/645078212.db2.gz LLVROOQNZJFCHA-UHFFFAOYSA-N 0 0 291.282 2.650 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@@H](CF)C1 ZINC000881667010 645094691 /nfs/dbraw/zinc/09/46/91/645094691.db2.gz GLBMCOSHLPNTIT-VIFPVBQESA-N 0 0 291.282 2.508 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC[C@H](CF)C1 ZINC000881667739 645095773 /nfs/dbraw/zinc/09/57/73/645095773.db2.gz JCGFUTWMUDJWGY-MRVPVSSYSA-N 0 0 286.690 2.680 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000881736192 645118188 /nfs/dbraw/zinc/11/81/88/645118188.db2.gz YZUXFQPSMUSKPU-DTORHVGOSA-N 0 0 253.277 2.611 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC(C1CC1)C1CC1 ZINC000881785225 645127141 /nfs/dbraw/zinc/12/71/41/645127141.db2.gz DCNXVZXSAYFOLP-UHFFFAOYSA-N 0 0 251.261 2.729 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000129256061 645133735 /nfs/dbraw/zinc/13/37/35/645133735.db2.gz YPVYKTGSROXKOO-QWRGUYRKSA-N 0 0 270.716 2.820 20 5 CFBDRN CO[C@H](C)CCNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881905167 645150863 /nfs/dbraw/zinc/15/08/63/645150863.db2.gz OILCMHZWQUDPRG-SECBINFHSA-N 0 0 266.297 2.634 20 5 CFBDRN CC1(C)CCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000881980012 645167363 /nfs/dbraw/zinc/16/73/63/645167363.db2.gz WVHIKJCLXBHJGZ-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN C[C@](O)(CNc1ccnc(F)c1[N+](=O)[O-])c1cccs1 ZINC000882006222 645174839 /nfs/dbraw/zinc/17/48/39/645174839.db2.gz LUOOYXAVBWPBPB-LBPRGKRZSA-N 0 0 297.311 2.510 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H](O)c1ccccc1F ZINC000303038506 650986238 /nfs/dbraw/zinc/98/62/38/650986238.db2.gz KAARUSFUXYIOSS-ZDUSSCGKSA-N 0 0 277.251 2.846 20 5 CFBDRN CCC1(O)CCN(c2cccc([N+](=O)[O-])c2C(C)=O)CC1 ZINC000882027113 645181363 /nfs/dbraw/zinc/18/13/63/645181363.db2.gz ISHYSOGMQRHTNE-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN CCc1cnc(CN(C)c2ccnc(F)c2[N+](=O)[O-])s1 ZINC000882048977 645186949 /nfs/dbraw/zinc/18/69/49/645186949.db2.gz KBWSNPWGDZCKCY-UHFFFAOYSA-N 0 0 296.327 2.784 20 5 CFBDRN CCCCCOC1CN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882067149 645191579 /nfs/dbraw/zinc/19/15/79/645191579.db2.gz WVOGFCBNKTYLKX-UHFFFAOYSA-N 0 0 283.303 2.524 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CC[C@H]1CCCC1(F)F ZINC000882113665 645206839 /nfs/dbraw/zinc/20/68/39/645206839.db2.gz LOHALYHBOGJLPX-SECBINFHSA-N 0 0 272.251 2.582 20 5 CFBDRN Cc1nc(SCc2cn(C)nc2[N+](=O)[O-])sc1C ZINC000882129019 645213909 /nfs/dbraw/zinc/21/39/09/645213909.db2.gz DHDGNGPDDRNKBH-UHFFFAOYSA-N 0 0 284.366 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC[C@H]2CCCC2(F)F)c1=O ZINC000882145612 645218551 /nfs/dbraw/zinc/21/85/51/645218551.db2.gz PMHRCCQNOXTDAA-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCC3(CCC3)O2)cc1CO ZINC000882158057 645223385 /nfs/dbraw/zinc/22/33/85/645223385.db2.gz UGAYRWRVNUENFQ-ZDUSSCGKSA-N 0 0 293.319 2.568 20 5 CFBDRN CCN(CC)C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129571937 645278461 /nfs/dbraw/zinc/27/84/61/645278461.db2.gz AXXGBZSOPUKACI-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN CCCN(C)C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129586251 645281288 /nfs/dbraw/zinc/28/12/88/645281288.db2.gz GTGPCSNYAAICFE-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN CC(C)(CNc1ccnc(F)c1[N+](=O)[O-])C1(O)CCCC1 ZINC000882432744 645310894 /nfs/dbraw/zinc/31/08/94/645310894.db2.gz MMHGBVVAKZXMGR-UHFFFAOYSA-N 0 0 297.330 2.872 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCC1CC(F)(F)C1 ZINC000882435736 645311762 /nfs/dbraw/zinc/31/17/62/645311762.db2.gz KQXWPUFKOWLSKF-UHFFFAOYSA-N 0 0 275.230 2.976 20 5 CFBDRN CC(=O)c1c(NC[C@@H]2CC[C@H](C)O2)cccc1[N+](=O)[O-] ZINC000882438487 645314368 /nfs/dbraw/zinc/31/43/68/645314368.db2.gz GEORTRGVEORWGR-ONGXEEELSA-N 0 0 278.308 2.777 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCCOC1 ZINC000882445656 645319367 /nfs/dbraw/zinc/31/93/67/645319367.db2.gz MTXRRYQLSDOTOF-OCCSQVGLSA-N 0 0 292.335 2.530 20 5 CFBDRN CC(C)(CNc1ccnc(F)c1[N+](=O)[O-])OCC1CC1 ZINC000882456287 645322942 /nfs/dbraw/zinc/32/29/42/645322942.db2.gz IJGSHJYSHUGQKN-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000129745740 645372028 /nfs/dbraw/zinc/37/20/28/645372028.db2.gz SAGFRNBBJKVRKQ-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CN(C[C@H]1CC1(C)C)c1ccnc(F)c1[N+](=O)[O-] ZINC000882639680 645398435 /nfs/dbraw/zinc/39/84/35/645398435.db2.gz JQEZWLIMXHQHRB-MRVPVSSYSA-N 0 0 253.277 2.611 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](Nc2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882638961 645398442 /nfs/dbraw/zinc/39/84/42/645398442.db2.gz JAWNCTRRWJWOOC-KYZUINATSA-N 0 0 283.303 2.887 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC[C@@H]1CCCS1 ZINC000882687316 645410091 /nfs/dbraw/zinc/41/00/91/645410091.db2.gz LSGQHHLHHFBXEM-QMMMGPOBSA-N 0 0 271.317 2.827 20 5 CFBDRN C[C@@H](O)CSc1ccc2ncccc2c1[N+](=O)[O-] ZINC000882737701 645436305 /nfs/dbraw/zinc/43/63/05/645436305.db2.gz TXUVRRYHFXZRME-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CCC(C)(C)CO2)c1[N+](=O)[O-] ZINC000882764156 645447840 /nfs/dbraw/zinc/44/78/40/645447840.db2.gz LNVKIWKXLIOJHR-SNVBAGLBSA-N 0 0 296.371 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](CF)C2)c(F)c1 ZINC000882800526 645462216 /nfs/dbraw/zinc/46/22/16/645462216.db2.gz CACXLWJFNMSIFH-VIFPVBQESA-N 0 0 256.252 2.920 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](CF)C2)c(C(F)(F)F)c1 ZINC000882839004 645474134 /nfs/dbraw/zinc/47/41/34/645474134.db2.gz DKUSFMAQBRDBLK-ZETCQYMHSA-N 0 0 293.220 2.804 20 5 CFBDRN CCOc1cc(N2CC[C@H](CF)C2)ccc1[N+](=O)[O-] ZINC000882841447 645476454 /nfs/dbraw/zinc/47/64/54/645476454.db2.gz WLPBKOSEFGSJED-SNVBAGLBSA-N 0 0 268.288 2.789 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC[C@H](c2nccs2)C1 ZINC000882855341 645479549 /nfs/dbraw/zinc/47/95/49/645479549.db2.gz HKJFTCLFLVVPDU-QMMMGPOBSA-N 0 0 294.311 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](CO)Cc1ccoc1 ZINC000882866441 645482029 /nfs/dbraw/zinc/48/20/29/645482029.db2.gz MGIXKUNOPVXPHL-CYBMUJFWSA-N 0 0 290.319 2.759 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H](CO)Cc1ccoc1 ZINC000882866387 645482151 /nfs/dbraw/zinc/48/21/51/645482151.db2.gz LKPRZTIABYFTJM-JTQLQIEISA-N 0 0 282.321 2.512 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H](CO)Cc1ccoc1 ZINC000882866393 645482230 /nfs/dbraw/zinc/48/22/30/645482230.db2.gz LLVDSTMDUJEKPQ-CYBMUJFWSA-N 0 0 290.319 2.759 20 5 CFBDRN CC(C)c1c[nH]c(CNCc2ccc([N+](=O)[O-])cc2)n1 ZINC000882905019 645503600 /nfs/dbraw/zinc/50/36/00/645503600.db2.gz QWQKGQXXIJHGOE-UHFFFAOYSA-N 0 0 274.324 2.731 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)[C@@H]1CCCOC1 ZINC000882954518 645525807 /nfs/dbraw/zinc/52/58/07/645525807.db2.gz PUZUTDVAEBACRR-BXKDBHETSA-N 0 0 283.303 2.746 20 5 CFBDRN CC[N@@H+]1CC[C@H]1CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000882959110 645528323 /nfs/dbraw/zinc/52/83/23/645528323.db2.gz VFLFNXTXLXKERE-LBPRGKRZSA-N 0 0 286.335 2.649 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H]2CCN2CC)c([N+](=O)[O-])c1 ZINC000882959644 645530701 /nfs/dbraw/zinc/53/07/01/645530701.db2.gz MHFLVSJWAYQAIX-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN CC(C)[C@@H](O)CCn1ccc2c1cccc2[N+](=O)[O-] ZINC000882970965 645533597 /nfs/dbraw/zinc/53/35/97/645533597.db2.gz URVUQGZYTBXMEM-AWEZNQCLSA-N 0 0 262.309 2.957 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H]1CCC2(CCC2)O1 ZINC000882972057 645534928 /nfs/dbraw/zinc/53/49/28/645534928.db2.gz BHCRGMCIWCYAJD-SECBINFHSA-N 0 0 281.287 2.643 20 5 CFBDRN O=C(O[C@@H]1CCSC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000131191404 645651488 /nfs/dbraw/zinc/65/14/88/645651488.db2.gz VLZKGXIGVABJIQ-SNVBAGLBSA-N 0 0 287.724 2.911 20 5 CFBDRN CCCCCCNC(=O)COc1ccc(C)c([N+](=O)[O-])c1 ZINC000131636423 645768469 /nfs/dbraw/zinc/76/84/69/645768469.db2.gz XLJBBQUPAXZPHI-UHFFFAOYSA-N 0 0 294.351 2.979 20 5 CFBDRN CSC[C@H](C)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000131748151 645802010 /nfs/dbraw/zinc/80/20/10/645802010.db2.gz YPNJHAIJDYSEBV-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN CSCC[C@@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000131921881 645852377 /nfs/dbraw/zinc/85/23/77/645852377.db2.gz OREPNTUSLCZYLN-SNVBAGLBSA-N 0 0 297.380 2.536 20 5 CFBDRN CC[C@H](SC)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000132004315 645862867 /nfs/dbraw/zinc/86/28/67/645862867.db2.gz GUYAEDFVUFESNB-NSHDSACASA-N 0 0 269.322 2.950 20 5 CFBDRN COC[C@@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000132038814 645865738 /nfs/dbraw/zinc/86/57/38/645865738.db2.gz FZCSKJGGKIAOSS-GFCCVEGCSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C1 ZINC000132249968 645900499 /nfs/dbraw/zinc/90/04/99/645900499.db2.gz URHUMDYDFDIHQM-JGVFFNPUSA-N 0 0 284.262 2.791 20 5 CFBDRN Cc1c(CNC(=O)N2CCC(F)CC2)cccc1[N+](=O)[O-] ZINC000883598356 645903788 /nfs/dbraw/zinc/90/37/88/645903788.db2.gz FCOHLXRJTZDKBI-UHFFFAOYSA-N 0 0 295.314 2.547 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)C1CCCC1 ZINC000132525577 645928895 /nfs/dbraw/zinc/92/88/95/645928895.db2.gz JQRQRKFHSZONDX-UHFFFAOYSA-N 0 0 253.229 2.830 20 5 CFBDRN Cc1occc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132739501 645958633 /nfs/dbraw/zinc/95/86/33/645958633.db2.gz HKXMJILWFCILID-UHFFFAOYSA-N 0 0 265.196 2.855 20 5 CFBDRN C=C/C=C\CCNC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000883757831 645961714 /nfs/dbraw/zinc/96/17/14/645961714.db2.gz XQLJQJLHEHBBNH-PLNGDYQASA-N 0 0 289.335 2.835 20 5 CFBDRN CCCCCCNC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000132861754 645975666 /nfs/dbraw/zinc/97/56/66/645975666.db2.gz XAPQNOMLEYDZIG-UHFFFAOYSA-N 0 0 280.324 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCc2nnsc2Cl)c1 ZINC000133097751 646029939 /nfs/dbraw/zinc/02/99/39/646029939.db2.gz SOSRASFHHWJMPL-UHFFFAOYSA-N 0 0 288.691 2.851 20 5 CFBDRN CC1(C)C[C@@H](COC(=O)c2ccc([N+](=O)[O-])o2)C(C)(C)O1 ZINC000883938674 646055826 /nfs/dbraw/zinc/05/58/26/646055826.db2.gz PRXGYIPRVPJDFK-VIFPVBQESA-N 0 0 297.307 2.938 20 5 CFBDRN O=C(OC[C@@H]1CCC2(CCC2)O1)c1ccc([N+](=O)[O-])s1 ZINC000883937854 646056142 /nfs/dbraw/zinc/05/61/42/646056142.db2.gz OTNXKPLHLLCZAC-VIFPVBQESA-N 0 0 297.332 2.915 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000800123783 646147759 /nfs/dbraw/zinc/14/77/59/646147759.db2.gz PMNDEXZJDZLXLG-QMMMGPOBSA-N 0 0 281.161 2.652 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1csc([N+](=O)[O-])c1 ZINC000800140798 646153613 /nfs/dbraw/zinc/15/36/13/646153613.db2.gz VVZBKDFKOUJNJE-RXMQYKEDSA-N 0 0 287.190 2.714 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H](C)OCC1CC1 ZINC000800145564 646156301 /nfs/dbraw/zinc/15/63/01/646156301.db2.gz KQVLEGBICHFKLT-SECBINFHSA-N 0 0 296.298 2.796 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H](C)CO1 ZINC000889041459 646166546 /nfs/dbraw/zinc/16/65/46/646166546.db2.gz FEPKGHHSEOXZOG-KRTXAFLBSA-N 0 0 298.726 2.920 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N(C)CCCF)cc1[N+](=O)[O-] ZINC000884191426 646257617 /nfs/dbraw/zinc/25/76/17/646257617.db2.gz DAGDTTSTOPAOAX-NSHDSACASA-N 0 0 297.330 2.965 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)nc1 ZINC000134026598 646266791 /nfs/dbraw/zinc/26/67/91/646266791.db2.gz NEVHRSCGYNHURJ-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000134219134 646306745 /nfs/dbraw/zinc/30/67/45/646306745.db2.gz GGSBVVIFFHIVDA-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN CSCC[C@H](C)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000134833829 646392392 /nfs/dbraw/zinc/39/23/92/646392392.db2.gz LTUSSSYMBUTIFT-ZETCQYMHSA-N 0 0 286.382 2.858 20 5 CFBDRN CCCC[C@H](C)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884492548 646396969 /nfs/dbraw/zinc/39/69/69/646396969.db2.gz XBYRJFRCUGVQGX-JTQLQIEISA-N 0 0 266.297 2.859 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@@H]1C[C@H]1c1ccccc1 ZINC000884503006 646403607 /nfs/dbraw/zinc/40/36/07/646403607.db2.gz YZHAGAOXHKQQLC-UONOGXRCSA-N 0 0 298.298 2.837 20 5 CFBDRN CC(C)[C@@H](CO)CNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884508748 646408137 /nfs/dbraw/zinc/40/81/37/646408137.db2.gz OAXWPVYEQDPUPZ-LLVKDONJSA-N 0 0 289.335 2.819 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N3CC(C4CC4)C3)c2c1 ZINC000884513108 646411600 /nfs/dbraw/zinc/41/16/00/646411600.db2.gz ZFCZMPSMWPWKOY-UHFFFAOYSA-N 0 0 299.330 2.998 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000134957181 646413794 /nfs/dbraw/zinc/41/37/94/646413794.db2.gz KEFPRNAINASCRQ-DTWKUNHWSA-N 0 0 266.272 2.604 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C1 ZINC000134964881 646421058 /nfs/dbraw/zinc/42/10/58/646421058.db2.gz RLPYHTRVMLEGFF-SECBINFHSA-N 0 0 298.289 2.991 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000134965524 646421785 /nfs/dbraw/zinc/42/17/85/646421785.db2.gz YCUVKJLSXKDRJM-JTQLQIEISA-N 0 0 280.299 2.852 20 5 CFBDRN CC[C@@H]1CCC[C@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884531616 646427293 /nfs/dbraw/zinc/42/72/93/646427293.db2.gz QQQJUPOMJIBPNM-ZYHUDNBSSA-N 0 0 278.308 2.859 20 5 CFBDRN C[C@H]1CCC[C@@]1(C)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884534937 646428281 /nfs/dbraw/zinc/42/82/81/646428281.db2.gz RSKZVXJPBPQSFO-IINYFYTJSA-N 0 0 278.308 2.859 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](CF)C2)c2ccccc21 ZINC000884582014 646468330 /nfs/dbraw/zinc/46/83/30/646468330.db2.gz RHJFKXBLWVESIO-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1C[C@@H]2C[C@@H]2C1 ZINC000884590181 646474136 /nfs/dbraw/zinc/47/41/36/646474136.db2.gz QLBPGYODOMNIDV-DTORHVGOSA-N 0 0 254.236 2.989 20 5 CFBDRN C[C@@H]1C[C@@]2(CC[C@H](CNc3ccc([N+](=O)[O-])cc3)O2)CO1 ZINC000884698199 646532405 /nfs/dbraw/zinc/53/24/05/646532405.db2.gz KOXSWPWBENZHDW-DFBGVHRSSA-N 0 0 292.335 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]([C@@H]3CCCO3)C2)c(F)c1 ZINC000884730589 646543307 /nfs/dbraw/zinc/54/33/07/646543307.db2.gz LCLVQNDKZVTDPF-HZMBPMFUSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@H]([C@H]2CCCO2)C1 ZINC000884730247 646543362 /nfs/dbraw/zinc/54/33/62/646543362.db2.gz FDCBALVKNSXWBI-GXSJLCMTSA-N 0 0 268.338 2.662 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000884731360 646544269 /nfs/dbraw/zinc/54/42/69/646544269.db2.gz XITBDEVIZNUHTO-YGRLFVJLSA-N 0 0 280.299 2.739 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H]([C@H]3CCCO3)C2)c1 ZINC000884731253 646544527 /nfs/dbraw/zinc/54/45/27/646544527.db2.gz VTTXDSGMIUEJJH-IAQYHMDHSA-N 0 0 292.335 2.609 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H]([C@H]2CCCO2)C1 ZINC000884743133 646547620 /nfs/dbraw/zinc/54/76/20/646547620.db2.gz WEGICNFGGNGKGH-GXFFZTMASA-N 0 0 280.299 2.739 20 5 CFBDRN COC[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000884790980 646551263 /nfs/dbraw/zinc/55/12/63/646551263.db2.gz CYPNNPPOVCQWJY-TZMCWYRMSA-N 0 0 294.351 2.757 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])s1)[C@@H]1CCCOC1 ZINC000884795228 646551815 /nfs/dbraw/zinc/55/18/15/646551815.db2.gz ABRRGQHBAULFPU-ZJUUUORDSA-N 0 0 286.353 2.510 20 5 CFBDRN COCCOC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000884974073 646572578 /nfs/dbraw/zinc/57/25/78/646572578.db2.gz MBJPEFJZIVYRLS-UHFFFAOYSA-N 0 0 296.323 2.588 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1cnc(C2CC2)o1 ZINC000885330662 646661447 /nfs/dbraw/zinc/66/14/47/646661447.db2.gz LEVBCYGIUYZJPC-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN O=C(N[C@@H]1CCCc2cccnc21)c1cccc([N+](=O)[O-])c1 ZINC000885377109 646675545 /nfs/dbraw/zinc/67/55/45/646675545.db2.gz GYGSLRNGDYKWGA-CQSZACIVSA-N 0 0 297.314 2.797 20 5 CFBDRN CC1(C)CO[C@H](CC(=O)OCc2ccccc2[N+](=O)[O-])C1 ZINC000885624204 646721850 /nfs/dbraw/zinc/72/18/50/646721850.db2.gz SYIBXTCYZSANOG-GFCCVEGCSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@@H]([N@H+](C)Cc1cccnc1[N+](=O)[O-])C(C)(C)C ZINC000885951609 646778391 /nfs/dbraw/zinc/77/83/91/646778391.db2.gz LOHBEPDSAJAWJH-SNVBAGLBSA-N 0 0 251.330 2.856 20 5 CFBDRN C[C@H](c1ccco1)N(C)Cc1cccnc1[N+](=O)[O-] ZINC000885967284 646788178 /nfs/dbraw/zinc/78/81/78/646788178.db2.gz LKPULEOATABLKH-SNVBAGLBSA-N 0 0 261.281 2.776 20 5 CFBDRN C[C@@H]1Cc2ccccc2CN1Cc1cccnc1[N+](=O)[O-] ZINC000886021183 646823349 /nfs/dbraw/zinc/82/33/49/646823349.db2.gz QRPRJTYRHRMRDB-GFCCVEGCSA-N 0 0 283.331 2.937 20 5 CFBDRN COc1cccc2c1N(Cc1cccnc1[N+](=O)[O-])CCC2 ZINC000886049273 646842329 /nfs/dbraw/zinc/84/23/29/646842329.db2.gz JMQOCKAOADXMKD-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@@H]1C[C@@]13CCOC3)CC2 ZINC000886105389 646875229 /nfs/dbraw/zinc/87/52/29/646875229.db2.gz YXQKJWPVOMTRIR-XJKSGUPXSA-N 0 0 288.347 2.692 20 5 CFBDRN COc1ccc([C@@H](C)NCc2cccnc2[N+](=O)[O-])cc1 ZINC000886107763 646875588 /nfs/dbraw/zinc/87/55/88/646875588.db2.gz ZJNBMPZTRDLKMQ-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN C[C@H](CN(C)Cc1cccnc1[N+](=O)[O-])c1nccs1 ZINC000886124832 646882541 /nfs/dbraw/zinc/88/25/41/646882541.db2.gz BRPZBQXKHPQGJE-SNVBAGLBSA-N 0 0 292.364 2.682 20 5 CFBDRN CCc1nc([C@@H](C)NCc2cccnc2[N+](=O)[O-])cs1 ZINC000886130030 646886451 /nfs/dbraw/zinc/88/64/51/646886451.db2.gz TWYYAFHZGHTCRY-SECBINFHSA-N 0 0 292.364 2.860 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ncco1 ZINC000886528178 647030932 /nfs/dbraw/zinc/03/09/32/647030932.db2.gz IGAXUJWIGKGWPX-VHSXEESVSA-N 0 0 261.281 2.995 20 5 CFBDRN CCc1ncsc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000138378096 647055732 /nfs/dbraw/zinc/05/57/32/647055732.db2.gz MGPGTXBSTRCWFK-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN C/C(Cl)=C/C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887398846 647302503 /nfs/dbraw/zinc/30/25/03/647302503.db2.gz ILTCXGIPPANFDR-CBFJXKFUSA-N 0 0 282.727 2.957 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887401282 647303152 /nfs/dbraw/zinc/30/31/52/647303152.db2.gz SBWDXXFDIDUGGS-NQBHXWOUSA-N 0 0 276.336 2.861 20 5 CFBDRN C[C@H](CNC(=O)CC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000887404918 647304602 /nfs/dbraw/zinc/30/46/02/647304602.db2.gz OZQWBFVJVRXJAI-MRVPVSSYSA-N 0 0 290.241 2.767 20 5 CFBDRN CC(=O)CCCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887405344 647305099 /nfs/dbraw/zinc/30/50/99/647305099.db2.gz YEEBWYXZMBWDKW-LLVKDONJSA-N 0 0 292.335 2.574 20 5 CFBDRN Cc1ncc(CC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)o1 ZINC000887937152 647441168 /nfs/dbraw/zinc/44/11/68/647441168.db2.gz NDLBOKBDOAXUDE-UHFFFAOYSA-N 0 0 289.291 2.689 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@H](C)C2)c1C ZINC000889293312 647538604 /nfs/dbraw/zinc/53/86/04/647538604.db2.gz KEJCJQHRQJGLPL-PHIMTYICSA-N 0 0 276.336 2.940 20 5 CFBDRN CCc1cnc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)o1 ZINC000889390600 647557656 /nfs/dbraw/zinc/55/76/56/647557656.db2.gz YZVNIJLRDMCKIP-UHFFFAOYSA-N 0 0 289.291 2.635 20 5 CFBDRN Nc1ccc(C(=O)N2CC[C@@H]2C2CCCC2)cc1[N+](=O)[O-] ZINC000889391908 647558446 /nfs/dbraw/zinc/55/84/46/647558446.db2.gz AIUNWBSMOWWWIZ-CYBMUJFWSA-N 0 0 289.335 2.582 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@H]1C1CCCC1 ZINC000889394667 647559279 /nfs/dbraw/zinc/55/92/79/647559279.db2.gz OBGIVDPQWZDYFI-AWEZNQCLSA-N 0 0 289.335 2.703 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@@H]1C1CCCC1 ZINC000889394037 647559292 /nfs/dbraw/zinc/55/92/92/647559292.db2.gz HCUVXWAFFUORKM-CYBMUJFWSA-N 0 0 274.320 3.000 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2(C3CC3)CC2)c1C ZINC000889516411 647590062 /nfs/dbraw/zinc/59/00/62/647590062.db2.gz UDXRQTYORJTQHA-UHFFFAOYSA-N 0 0 274.320 2.884 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889577721 647602594 /nfs/dbraw/zinc/60/25/94/647602594.db2.gz AHRIRCMXIBYXDH-PTHZKAHSSA-N 0 0 286.331 2.913 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1cccc([N+](=O)[O-])c1 ZINC000889578663 647602943 /nfs/dbraw/zinc/60/29/43/647602943.db2.gz ODRICGUYIHRWKL-TVYUQYBPSA-N 0 0 260.293 2.513 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000889591564 647607646 /nfs/dbraw/zinc/60/76/46/647607646.db2.gz TWNLMYWTABSMBV-MVWJERBFSA-N 0 0 299.330 2.995 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000889591563 647607698 /nfs/dbraw/zinc/60/76/98/647607698.db2.gz TWNLMYWTABSMBV-ADEWGFFLSA-N 0 0 299.330 2.995 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccnn2C2CC2)cc1[N+](=O)[O-] ZINC000889695460 647655335 /nfs/dbraw/zinc/65/53/35/647655335.db2.gz NNJMIHOLDRYSGK-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCSC[C@H]1C ZINC000889914548 647699390 /nfs/dbraw/zinc/69/93/90/647699390.db2.gz GBMNBJJDOJLFSS-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@H]1CO[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000890437956 647802365 /nfs/dbraw/zinc/80/23/65/647802365.db2.gz VERDZABHVCLMQU-GMSGAONNSA-N 0 0 284.699 2.612 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1(C2CC2)CC1 ZINC000890504836 647812418 /nfs/dbraw/zinc/81/24/18/647812418.db2.gz UDMVTFDEKZFUQW-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN CCOC(=O)CCNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000111890744 647824619 /nfs/dbraw/zinc/82/46/19/647824619.db2.gz IXUCFZJAVHXSQJ-UHFFFAOYSA-N 0 0 288.250 2.898 20 5 CFBDRN CCCCC(=O)O[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000003511828 647832647 /nfs/dbraw/zinc/83/26/47/647832647.db2.gz CDXFNOMTQQFTTM-SNVBAGLBSA-N 0 0 294.307 2.655 20 5 CFBDRN CCCCC(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000005755806 647851691 /nfs/dbraw/zinc/85/16/91/647851691.db2.gz IUPURIKPPKIVOO-UHFFFAOYSA-N 0 0 294.307 2.575 20 5 CFBDRN COc1ccccc1COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000008329997 647872850 /nfs/dbraw/zinc/87/28/50/647872850.db2.gz CHIVFTSHJFEPHT-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN CCCCC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000010529877 647878717 /nfs/dbraw/zinc/87/87/17/647878717.db2.gz JTONCTJRFSVZAK-JTQLQIEISA-N 0 0 294.307 2.655 20 5 CFBDRN CC1(c2noc(CCc3cc(F)ccc3[N+](=O)[O-])n2)CC1 ZINC000924087652 647920890 /nfs/dbraw/zinc/92/08/90/647920890.db2.gz LLTOSSHTACLGBA-UHFFFAOYSA-N 0 0 291.282 2.954 20 5 CFBDRN Cc1c(-c2noc(CC3(C)COC3)n2)cccc1[N+](=O)[O-] ZINC000924093580 647921854 /nfs/dbraw/zinc/92/18/54/647921854.db2.gz UDJBWKNMNURAKN-UHFFFAOYSA-N 0 0 289.291 2.532 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@]12C[C@H]1CCC2 ZINC000924427567 647978694 /nfs/dbraw/zinc/97/86/94/647978694.db2.gz KABXSSDDVJRKLB-BXUZGUMPSA-N 0 0 261.277 2.828 20 5 CFBDRN O=C(Cc1ccc(O)cc1)OCc1cccc([N+](=O)[O-])c1 ZINC000925451310 648172048 /nfs/dbraw/zinc/17/20/48/648172048.db2.gz SJZHASSBUFQQQF-UHFFFAOYSA-N 0 0 287.271 2.586 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000925484687 648181003 /nfs/dbraw/zinc/18/10/03/648181003.db2.gz IBWSWZOEWKNHJN-SNVBAGLBSA-N 0 0 295.339 2.838 20 5 CFBDRN CC(C)[S@@](C)(=O)=NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000926057950 648394430 /nfs/dbraw/zinc/39/44/30/648394430.db2.gz KCCOFFVVCCLGHF-CFMSYZGJSA-N 0 0 298.364 2.731 20 5 CFBDRN CC(C)[S@](C)(=O)=NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000926073554 648399583 /nfs/dbraw/zinc/39/95/83/648399583.db2.gz NEBFBJFTSQLVKV-NSDNCLLMSA-N 0 0 296.348 2.641 20 5 CFBDRN COc1cc([C@@H](C)NCc2ccc(C)c([N+](=O)[O-])c2)on1 ZINC000926796776 648619794 /nfs/dbraw/zinc/61/97/94/648619794.db2.gz PLGPZVPLECUEAV-SNVBAGLBSA-N 0 0 291.307 2.751 20 5 CFBDRN COc1cc([C@H](C)NCc2ccc(C)c([N+](=O)[O-])c2)on1 ZINC000926796769 648620134 /nfs/dbraw/zinc/62/01/34/648620134.db2.gz PLGPZVPLECUEAV-JTQLQIEISA-N 0 0 291.307 2.751 20 5 CFBDRN O=C(NCC[C@@H]1C[C@H]1C1CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000927412257 648770590 /nfs/dbraw/zinc/77/05/90/648770590.db2.gz NEISPDXYEYFKQO-MFKMUULPSA-N 0 0 292.310 2.900 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@H]2C[C@H]21)c1cc(F)ccc1[N+](=O)[O-] ZINC000927491478 648791484 /nfs/dbraw/zinc/79/14/84/648791484.db2.gz HUZOQIQRVRKLMF-NHCYSSNCSA-N 0 0 292.310 2.900 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000928250189 648890344 /nfs/dbraw/zinc/89/03/44/648890344.db2.gz ZGSZOHLTYAKKBT-ZWNOBZJWSA-N 0 0 274.320 2.962 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000928936159 648967670 /nfs/dbraw/zinc/96/76/70/648967670.db2.gz AXICZHBPZIQWIF-AATRIKPKSA-N 0 0 274.729 2.917 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000929104060 648978993 /nfs/dbraw/zinc/97/89/93/648978993.db2.gz RLZKPFANZJMMLU-QMMMGPOBSA-N 0 0 268.338 2.845 20 5 CFBDRN Cc1ccc(NC(=O)N2CC(OC(C)C)C2)cc1[N+](=O)[O-] ZINC000929118373 648983665 /nfs/dbraw/zinc/98/36/65/648983665.db2.gz LQHDRCPORNJCGC-UHFFFAOYSA-N 0 0 293.323 2.544 20 5 CFBDRN CC1CCN(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000929208752 649002438 /nfs/dbraw/zinc/00/24/38/649002438.db2.gz FJWQEINBPKRTNF-GJZGRUSLSA-N 0 0 288.347 2.957 20 5 CFBDRN CCCNC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000929222262 649006677 /nfs/dbraw/zinc/00/66/77/649006677.db2.gz YQWBNAFUHPYQNZ-UHFFFAOYSA-N 0 0 270.716 2.707 20 5 CFBDRN O=[N+]([O-])CCN1CC=C(c2c[nH]c3cc(F)ccc23)CC1 ZINC000929882840 649143817 /nfs/dbraw/zinc/14/38/17/649143817.db2.gz XFAUWYCIWSTFKP-UHFFFAOYSA-N 0 0 289.310 2.673 20 5 CFBDRN Cc1[nH]c2ccccc2c1C1=CCN(CC[N+](=O)[O-])CC1 ZINC000929988482 649161335 /nfs/dbraw/zinc/16/13/35/649161335.db2.gz UDZBHAHAWQEJJR-UHFFFAOYSA-N 0 0 285.347 2.842 20 5 CFBDRN CCc1ncc(CN2CCc3c2cccc3[N+](=O)[O-])o1 ZINC000930089445 649182700 /nfs/dbraw/zinc/18/27/00/649182700.db2.gz AIUHTAPCJMOVEP-UHFFFAOYSA-N 0 0 273.292 2.708 20 5 CFBDRN O=C(C[C@H]1CC=CCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000930494024 649260762 /nfs/dbraw/zinc/26/07/62/649260762.db2.gz VDZZHMWQUNZORH-AWEZNQCLSA-N 0 0 288.347 3.000 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000930882861 649342666 /nfs/dbraw/zinc/34/26/66/649342666.db2.gz RBYBSDLLTQGKKG-SNVBAGLBSA-N 0 0 295.339 2.838 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C(F)F ZINC000931419017 649420846 /nfs/dbraw/zinc/42/08/46/649420846.db2.gz OGPQULIDGQBIQG-LDYMZIIASA-N 0 0 256.252 2.995 20 5 CFBDRN C[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000931460353 649432119 /nfs/dbraw/zinc/43/21/19/649432119.db2.gz WZKDMLBOEGMXPC-VIFPVBQESA-N 0 0 290.298 2.576 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000931769910 649487330 /nfs/dbraw/zinc/48/73/30/649487330.db2.gz QOIHVAZMVAEBBF-LDYMZIIASA-N 0 0 282.727 2.705 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN1Cc1ccccc1[N+](=O)[O-] ZINC000932262963 649570729 /nfs/dbraw/zinc/57/07/29/649570729.db2.gz YNYYBPWQWKQJND-HNNXBMFYSA-N 0 0 286.335 2.665 20 5 CFBDRN CSCCCNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933160927 649676194 /nfs/dbraw/zinc/67/61/94/649676194.db2.gz YZKFUMHCOVDSBV-OLZOCXBDSA-N 0 0 294.376 2.568 20 5 CFBDRN CN(C(=O)CCc1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000933614184 649706849 /nfs/dbraw/zinc/70/68/49/649706849.db2.gz LDUQPFWEOZPRLX-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000934115159 649752111 /nfs/dbraw/zinc/75/21/11/649752111.db2.gz FNFNMMDBLOIVDS-OLZOCXBDSA-N 0 0 284.743 2.857 20 5 CFBDRN O=C(NC1(C2CC2)CC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000934739685 649823140 /nfs/dbraw/zinc/82/31/40/649823140.db2.gz GULZPJMGZIOSMS-KGLIPLIRSA-N 0 0 286.331 2.757 20 5 CFBDRN Cc1ccc(C[N@H+]2CCCC[C@H]2[C@H](C)O)cc1[N+](=O)[O-] ZINC000305725305 651073542 /nfs/dbraw/zinc/07/35/42/651073542.db2.gz GJMREWUHLHMDSO-JSGCOSHPSA-N 0 0 278.352 2.639 20 5 CFBDRN CO[C@H](C)CC(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062482 651278365 /nfs/dbraw/zinc/27/83/65/651278365.db2.gz VSZZVPITOFJEAD-MRVPVSSYSA-N 0 0 287.699 2.716 20 5 CFBDRN CO[C@@H](C)CC(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062480 651278613 /nfs/dbraw/zinc/27/86/13/651278613.db2.gz VSZZVPITOFJEAD-QMMMGPOBSA-N 0 0 287.699 2.716 20 5 CFBDRN Cc1c([N+](=O)[O-])cc[n+]([O-])c1NC[C@@H]1CCCC[C@@H]1C ZINC001155075943 782202950 /nfs/dbraw/zinc/20/29/50/782202950.db2.gz BCSLPMOXVZZPNH-JQWIXIFHSA-N 0 0 279.340 2.775 20 5 CFBDRN Cc1cc(Cl)nc(NCc2cccn2C)c1[N+](=O)[O-] ZINC001155210803 782250678 /nfs/dbraw/zinc/25/06/78/782250678.db2.gz OIDMDTQRYVHBKY-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2c(F)cncc2F)c1F ZINC001210378645 770275086 /nfs/dbraw/zinc/27/50/86/770275086.db2.gz LKYKFUCGTNRWJW-UHFFFAOYSA-N 0 0 269.182 2.573 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H]1CCCOC1 ZINC000723856435 770690539 /nfs/dbraw/zinc/69/05/39/770690539.db2.gz AZKIVNOXERIOPP-PWSUYJOCSA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@H](Oc1ccnc(=S)[nH]1)c1ccccc1[N+](=O)[O-] ZINC001226939886 777704075 /nfs/dbraw/zinc/70/40/75/777704075.db2.gz JXRJEQPIASUMSD-QMMMGPOBSA-N 0 0 277.305 2.814 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(c3cccnc3)C2)c(Cl)c1 ZINC001167066933 769896565 /nfs/dbraw/zinc/89/65/65/769896565.db2.gz KENJUFSANZQHBT-UHFFFAOYSA-N 0 0 290.710 2.642 20 5 CFBDRN Cc1nc(Cl)nc(N(C)C[C@@H]2CC2(C)C)c1[N+](=O)[O-] ZINC001167090508 769970209 /nfs/dbraw/zinc/97/02/09/769970209.db2.gz BQASAPDAQGNTHV-QMMMGPOBSA-N 0 0 284.747 2.829 20 5 CFBDRN CCC(CC)N(CC)c1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC001167111171 770035680 /nfs/dbraw/zinc/03/56/80/770035680.db2.gz HEUBAVNLXAPBCU-UHFFFAOYSA-N 0 0 295.339 2.791 20 5 CFBDRN Cc1cc2c(cn1)CN(c1nc(C)cc(C)c1[N+](=O)[O-])C2 ZINC001167111987 770037173 /nfs/dbraw/zinc/03/71/73/770037173.db2.gz AWVWYLYCAFJVQQ-UHFFFAOYSA-N 0 0 284.319 2.830 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cc3n(n2)CC(F)(F)CO3)c1 ZINC001204450364 770111425 /nfs/dbraw/zinc/11/14/25/770111425.db2.gz NXQOTYSJOJCDRS-UHFFFAOYSA-N 0 0 296.233 2.563 20 5 CFBDRN Nc1cnc(N(c2cccc([N+](=O)[O-])c2)C2CCC2)nc1 ZINC001204450306 770113736 /nfs/dbraw/zinc/11/37/36/770113736.db2.gz MZGMFKDIBNKZEM-UHFFFAOYSA-N 0 0 285.307 2.658 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N2CC[C@H]3OCC[C@@H]3C2)nc1Cl ZINC001167217441 770151108 /nfs/dbraw/zinc/15/11/08/770151108.db2.gz ZEOLVAVUNGSRPM-MWLCHTKSSA-N 0 0 297.742 2.567 20 5 CFBDRN Cn1nnc2cc(Nc3cccc([N+](=O)[O-])c3)ccc21 ZINC001204468724 770154300 /nfs/dbraw/zinc/15/43/00/770154300.db2.gz KGCVERYOPDLCLW-UHFFFAOYSA-N 0 0 269.264 2.620 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H]3[C@H](CF)[C@H]3C2)c2ccccc21 ZINC001167221387 770155058 /nfs/dbraw/zinc/15/50/58/770155058.db2.gz DWGXFSHOTICCQM-XYYAHUGASA-N 0 0 287.294 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2C[C@H]3[C@H](CF)[C@H]3C2)c1 ZINC001167224517 770157238 /nfs/dbraw/zinc/15/72/38/770157238.db2.gz AOXUASXMRPRXTO-ZSOGYDGISA-N 0 0 287.294 2.795 20 5 CFBDRN CN1c2cccc(Nc3cccc([N+](=O)[O-])c3)c2CNC1=O ZINC001204475233 770168730 /nfs/dbraw/zinc/16/87/30/770168730.db2.gz BXDYUEKTZXIAPN-UHFFFAOYSA-N 0 0 298.302 2.998 20 5 CFBDRN COc1ncc(Nc2cccc3nc(C)cn32)cc1[N+](=O)[O-] ZINC001210135036 770214591 /nfs/dbraw/zinc/21/45/91/770214591.db2.gz LTXGLOAQBUEJJT-UHFFFAOYSA-N 0 0 299.290 2.698 20 5 CFBDRN COc1cnc(Cl)c(Nc2cccc([N+](=O)[O-])c2F)n1 ZINC001210382459 770276361 /nfs/dbraw/zinc/27/63/61/770276361.db2.gz XDZLAAAMVUTYCM-UHFFFAOYSA-N 0 0 298.661 2.930 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1cc(C)oc(=O)c1 ZINC001210444830 770285427 /nfs/dbraw/zinc/28/54/27/770285427.db2.gz SOPDOYGWZJEAQC-UHFFFAOYSA-N 0 0 276.248 2.609 20 5 CFBDRN O=C1CC(Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC001167340900 770316698 /nfs/dbraw/zinc/31/66/98/770316698.db2.gz LONNKHOLICFYBM-UHFFFAOYSA-N 0 0 274.198 2.757 20 5 CFBDRN Cc1conc1C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC001266572334 770356525 /nfs/dbraw/zinc/35/65/25/770356525.db2.gz DNAWDTZCJOTOMZ-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN COc1nc(Cl)cnc1Nc1ccc(F)c([N+](=O)[O-])c1 ZINC001211190115 770448202 /nfs/dbraw/zinc/44/82/02/770448202.db2.gz LWJWVCJXDPLDCV-UHFFFAOYSA-N 0 0 298.661 2.930 20 5 CFBDRN O=[N+]([O-])c1cc(Nc2ccnn2CCF)ccc1F ZINC001211191406 770448436 /nfs/dbraw/zinc/44/84/36/770448436.db2.gz VIDMLVAJIBCCKL-UHFFFAOYSA-N 0 0 268.223 2.644 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1cn[nH]c(=O)c1Cl ZINC001211287143 770476263 /nfs/dbraw/zinc/47/62/63/770476263.db2.gz FOEUMKHBMMLOFI-UHFFFAOYSA-N 0 0 280.671 2.796 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1cc(Br)c([N+](=O)[O-])n1 ZINC000723091429 770519647 /nfs/dbraw/zinc/51/96/47/770519647.db2.gz IPTNYYVZKJOXAH-RQJHMYQMSA-N 0 0 274.118 2.600 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCc1cccnc1 ZINC000588435205 770674972 /nfs/dbraw/zinc/67/49/72/770674972.db2.gz IRVPCFXXICVSGU-XFXZXTDPSA-N 0 0 297.314 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CC2)[C@@H]2CCCOC2)nc1 ZINC000723847104 770684725 /nfs/dbraw/zinc/68/47/25/770684725.db2.gz XKDUACSDKLRKKS-BXUZGUMPSA-N 0 0 277.324 2.607 20 5 CFBDRN CSC[C@H](C)NC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588439082 770696950 /nfs/dbraw/zinc/69/69/50/770696950.db2.gz PQHCKCSWSLLXQO-IEHMKBBKSA-N 0 0 294.376 2.866 20 5 CFBDRN COCCCOC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588445154 770705490 /nfs/dbraw/zinc/70/54/90/770705490.db2.gz MQMPVJDMUOBAIY-KHPPLWFESA-N 0 0 279.292 2.578 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCC(F)F ZINC000588445153 770705792 /nfs/dbraw/zinc/70/57/92/770705792.db2.gz MOQDNUMVVHMMJX-SOFGYWHQSA-N 0 0 271.219 2.806 20 5 CFBDRN CO[C@H](C)COC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588445111 770705929 /nfs/dbraw/zinc/70/59/29/770705929.db2.gz HFSYKQUCEGKKHF-HIJJYWJESA-N 0 0 279.292 2.576 20 5 CFBDRN Nc1cc(CNc2cc([N+](=O)[O-])cc3cc[nH]c32)ccn1 ZINC001167617464 770995021 /nfs/dbraw/zinc/99/50/21/770995021.db2.gz NTGMJFNSLBOWDX-UHFFFAOYSA-N 0 0 283.291 2.665 20 5 CFBDRN O=[N+]([O-])c1ccc(COC[C@H]2Cc3ccccc3O2)cn1 ZINC001224109335 775102525 /nfs/dbraw/zinc/10/25/25/775102525.db2.gz RDGUNRAUANEWPG-CYBMUJFWSA-N 0 0 286.287 2.510 20 5 CFBDRN CCOC(=O)/C=C/CO[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001224345556 775164296 /nfs/dbraw/zinc/16/42/96/775164296.db2.gz UKTVXXNBEAJEPV-LAHYYIKRSA-N 0 0 279.292 2.792 20 5 CFBDRN C[C@@H](OCC1(C)COC1)c1cccc([N+](=O)[O-])c1 ZINC001224471989 775196808 /nfs/dbraw/zinc/19/68/08/775196808.db2.gz RLESKYQDVNZNFN-SNVBAGLBSA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1cc(N[C@H](CCO)C2CCCC2)ncc1[N+](=O)[O-] ZINC000725038897 775241442 /nfs/dbraw/zinc/24/14/42/775241442.db2.gz ZAFYYEIHYBSIRB-GFCCVEGCSA-N 0 0 279.340 2.651 20 5 CFBDRN CCCCCCO[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001224669348 775242598 /nfs/dbraw/zinc/24/25/98/775242598.db2.gz CYHFKBLWSNJYDI-CYBMUJFWSA-N 0 0 252.314 2.996 20 5 CFBDRN COC(C)(CO[C@@H](C)c1ccccc1[N+](=O)[O-])OC ZINC001224678138 775244982 /nfs/dbraw/zinc/24/49/82/775244982.db2.gz IKGJCLIGNGWGDN-JTQLQIEISA-N 0 0 269.297 2.681 20 5 CFBDRN CCCC[C@H](CC)CO[C@@H]1COc2nc([N+](=O)[O-])cn2C1 ZINC001224708118 775256123 /nfs/dbraw/zinc/25/61/23/775256123.db2.gz WXPPVORDNMNNFV-RYUDHWBXSA-N 0 0 297.355 2.785 20 5 CFBDRN CC(C)=CCCO[C@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001224716627 775257872 /nfs/dbraw/zinc/25/78/72/775257872.db2.gz NZMRVYDHKVMWPN-LLVKDONJSA-N 0 0 267.329 2.861 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1OCCOc1ccc([N+](=O)[O-])cc1 ZINC001224777846 775271448 /nfs/dbraw/zinc/27/14/48/775271448.db2.gz GUIGYUWOXOMCGS-GJZGRUSLSA-N 0 0 295.335 2.948 20 5 CFBDRN Cc1ccccc1CCO[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001224869680 775294413 /nfs/dbraw/zinc/29/44/13/775294413.db2.gz GXTNTLQXHGRWHX-MRXNPFEDSA-N 0 0 286.331 2.967 20 5 CFBDRN C[C@@H]1CC[C@@H](OCC(=O)OCc2ccc([N+](=O)[O-])cc2)C1 ZINC001224986558 775327230 /nfs/dbraw/zinc/32/72/30/775327230.db2.gz IDVFSNUQMSADPN-BXUZGUMPSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@H]1CCC[C@@H]1OCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC001224985973 775327546 /nfs/dbraw/zinc/32/75/46/775327546.db2.gz BVRCTTYYRGUCQD-FZMZJTMJSA-N 0 0 293.319 2.843 20 5 CFBDRN CC(=O)N1CC[C@@H](CO[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC001225014864 775336153 /nfs/dbraw/zinc/33/61/53/775336153.db2.gz SWPASWZKOUYWMT-WCQYABFASA-N 0 0 292.335 2.541 20 5 CFBDRN COc1ccccc1O[C@@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001225214654 775367883 /nfs/dbraw/zinc/36/78/83/775367883.db2.gz HSJHJOWKHCKWCO-JTQLQIEISA-N 0 0 291.307 2.576 20 5 CFBDRN CN(C)c1cccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001225235109 775373302 /nfs/dbraw/zinc/37/33/02/775373302.db2.gz PNBPKSBSKCZDOA-HNNXBMFYSA-N 0 0 287.319 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](OCCCO)C(F)(F)F)cc1 ZINC001217916170 775374600 /nfs/dbraw/zinc/37/46/00/775374600.db2.gz DBNUQAPNUJWRKQ-SNVBAGLBSA-N 0 0 279.214 2.597 20 5 CFBDRN CCN(CC)c1cccc(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001225236895 775375195 /nfs/dbraw/zinc/37/51/95/775375195.db2.gz IGCRLEFZGAFVNW-HNNXBMFYSA-N 0 0 278.352 2.967 20 5 CFBDRN Cc1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc1 ZINC001225258491 775382703 /nfs/dbraw/zinc/38/27/03/775382703.db2.gz BWYUKJGYLQVKBV-CQSZACIVSA-N 0 0 258.277 2.787 20 5 CFBDRN Cc1ccc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC001225280571 775389221 /nfs/dbraw/zinc/38/92/21/775389221.db2.gz YPHIRQXSQUAYSV-OAHLLOKOSA-N 0 0 270.288 2.844 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2cccnc21)C1CC1 ZINC001225292565 775396304 /nfs/dbraw/zinc/39/63/04/775396304.db2.gz LAAHGRBMGILTSV-ZDUSSCGKSA-N 0 0 258.277 2.669 20 5 CFBDRN C[C@H](Oc1ccccc1C(N)=O)c1ccccc1[N+](=O)[O-] ZINC001225297229 775397277 /nfs/dbraw/zinc/39/72/77/775397277.db2.gz GLQMNBDEIIHKKN-JTQLQIEISA-N 0 0 286.287 2.834 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ccc(F)c([N+](=O)[O-])c2)O1 ZINC001225382822 775436597 /nfs/dbraw/zinc/43/65/97/775436597.db2.gz SLIPVGLMQSMKDF-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382427 775437489 /nfs/dbraw/zinc/43/74/89/775437489.db2.gz NHROXGGOAAEEFI-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1O[C@@H]1COc2cnccc21 ZINC001225432643 775454116 /nfs/dbraw/zinc/45/41/16/775454116.db2.gz DBLZPLXIKAEOGC-SNVBAGLBSA-N 0 0 293.666 2.551 20 5 CFBDRN CCCOC[C@@H](C)Oc1c(Cl)nccc1[N+](=O)[O-] ZINC001225431534 775454225 /nfs/dbraw/zinc/45/42/25/775454225.db2.gz ROUZEPWCIKJBAS-MRVPVSSYSA-N 0 0 274.704 2.837 20 5 CFBDRN CC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1C(=O)OC ZINC001225447579 775459935 /nfs/dbraw/zinc/45/99/35/775459935.db2.gz KSZQGPIYYGUTCL-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1OC(C1CC1)C1CC1 ZINC001225451400 775461179 /nfs/dbraw/zinc/46/11/79/775461179.db2.gz QXNKUOOWLJUDOM-UHFFFAOYSA-N 0 0 291.303 2.949 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc2sccc2c1)C1CC1 ZINC001225452258 775462804 /nfs/dbraw/zinc/46/28/04/775462804.db2.gz VLAZCLBZNMYMOY-NSHDSACASA-N 0 0 264.306 2.730 20 5 CFBDRN COC1=CC[C@H](Oc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC001225494749 775479820 /nfs/dbraw/zinc/47/98/20/775479820.db2.gz WEADLTFVLSZFSS-NSHDSACASA-N 0 0 265.265 2.762 20 5 CFBDRN Cc1cc(O[C@H](C)c2ccccc2[N+](=O)[O-])cc(=O)n1C ZINC001225532102 775493075 /nfs/dbraw/zinc/49/30/75/775493075.db2.gz YPYHHJYZKFQQPD-LLVKDONJSA-N 0 0 288.303 2.742 20 5 CFBDRN C[C@H](Oc1ncccc1[N+](=O)[O-])c1ccncc1F ZINC001225595664 775516978 /nfs/dbraw/zinc/51/69/78/775516978.db2.gz KJGOTTVIGAWEIS-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC001225595770 775517007 /nfs/dbraw/zinc/51/70/07/775517007.db2.gz LHHLAZMWKWJZGX-MRVPVSSYSA-N 0 0 266.253 2.989 20 5 CFBDRN CO[C@@H](COc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC001225593784 775517095 /nfs/dbraw/zinc/51/70/95/775517095.db2.gz YLQYIICEUWYUHQ-ZDUSSCGKSA-N 0 0 274.276 2.756 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC001225595771 775518454 /nfs/dbraw/zinc/51/84/54/775518454.db2.gz LHHLAZMWKWJZGX-QMMMGPOBSA-N 0 0 266.253 2.989 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H]1CCC=CO1 ZINC001225613409 775523816 /nfs/dbraw/zinc/52/38/16/775523816.db2.gz RCCNIVRABSEHKQ-LLVKDONJSA-N 0 0 265.265 2.675 20 5 CFBDRN CC1(C)CC(Oc2cccnc2[N+](=O)[O-])CC(C)(C)N1O ZINC001225628369 775530260 /nfs/dbraw/zinc/53/02/60/775530260.db2.gz KLEYOEUGUPBZSV-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN Cc1cccc(O[C@@H]2CCn3ccnc32)c1[N+](=O)[O-] ZINC001225632697 775533904 /nfs/dbraw/zinc/53/39/04/775533904.db2.gz NNDVWXIHJWIXNT-LLVKDONJSA-N 0 0 259.265 2.624 20 5 CFBDRN Cc1cccc(O[C@H]2CCn3ccnc32)c1[N+](=O)[O-] ZINC001225632698 775534016 /nfs/dbraw/zinc/53/40/16/775534016.db2.gz NNDVWXIHJWIXNT-NSHDSACASA-N 0 0 259.265 2.624 20 5 CFBDRN Cc1cccc(O[C@@H]2COc3cnccc32)c1[N+](=O)[O-] ZINC001225635828 775535162 /nfs/dbraw/zinc/53/51/62/775535162.db2.gz QJNQWDNFIFABTP-CYBMUJFWSA-N 0 0 272.260 2.811 20 5 CFBDRN CC(C)Oc1cc(COc2ccc([N+](=O)[O-])cn2)ccn1 ZINC001225634677 775535182 /nfs/dbraw/zinc/53/51/82/775535182.db2.gz LRDGMACUPGIJSL-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1ccc([N+](=O)[O-])cn1 ZINC001225634594 775535190 /nfs/dbraw/zinc/53/51/90/775535190.db2.gz IXTKIFJODQYGKO-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN C[C@H](Oc1cccc(F)c1[N+](=O)[O-])C1CCOCC1 ZINC001225664513 775547170 /nfs/dbraw/zinc/54/71/70/775547170.db2.gz PMSHIMGHRYSQOU-VIFPVBQESA-N 0 0 269.272 2.928 20 5 CFBDRN CC1(C)[C@@H]2C[C@@H](Oc3[nH]ccc(=O)c3[N+](=O)[O-])C[C@@H]21 ZINC001225665522 775548322 /nfs/dbraw/zinc/54/83/22/775548322.db2.gz BLHOTZNGVHXROS-PSVAKVPMSA-N 0 0 264.281 2.509 20 5 CFBDRN CCC[C@H](Oc1cccc(F)c1[N+](=O)[O-])C(=O)OCC ZINC001225667187 775549049 /nfs/dbraw/zinc/54/90/49/775549049.db2.gz QMHNKZCFODSFKV-NSHDSACASA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])[C@H]1C ZINC001225666759 775549245 /nfs/dbraw/zinc/54/92/45/775549245.db2.gz LZVPDKBLARHZSU-WCABBAIRSA-N 0 0 266.297 2.899 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C1 ZINC001225668151 775550995 /nfs/dbraw/zinc/55/09/95/775550995.db2.gz YXTMOLXQOVOYRJ-YWVKMMECSA-N 0 0 266.297 2.899 20 5 CFBDRN O=c1cc[nH]c(O[C@H]2CCc3ccccc3C2)c1[N+](=O)[O-] ZINC001225668188 775551159 /nfs/dbraw/zinc/55/11/59/775551159.db2.gz ZSGZZAZRZZWBEL-LBPRGKRZSA-N 0 0 286.287 2.632 20 5 CFBDRN COC(C[C@H](C)Oc1cccc([N+](=O)[O-])c1C)OC ZINC001225690217 775559716 /nfs/dbraw/zinc/55/97/16/775559716.db2.gz UHGOWSMBVGNQLP-VIFPVBQESA-N 0 0 269.297 2.680 20 5 CFBDRN CCOC(=O)C1CC(Oc2cccc([N+](=O)[O-])c2C)C1 ZINC001225690466 775559742 /nfs/dbraw/zinc/55/97/42/775559742.db2.gz YJUMNYLOSSGDMO-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccc(F)cn1)C2 ZINC001225692884 775561397 /nfs/dbraw/zinc/56/13/97/775561397.db2.gz CDGMAHZDWGFKMT-ZDUSSCGKSA-N 0 0 274.251 2.675 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC001225713237 775569607 /nfs/dbraw/zinc/56/96/07/775569607.db2.gz RBOUHALLJAFVKW-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC001225710283 775569633 /nfs/dbraw/zinc/56/96/33/775569633.db2.gz JEDXSWOUFFBNSB-OTSSQURYSA-N 0 0 285.683 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1O[C@@H]1CCn2ccnc21 ZINC001225709769 775569834 /nfs/dbraw/zinc/56/98/34/775569834.db2.gz CKJITPHRXRFUDR-SNVBAGLBSA-N 0 0 279.683 2.969 20 5 CFBDRN C[C@H](O)CCCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC001225714117 775571588 /nfs/dbraw/zinc/57/15/88/775571588.db2.gz ZCQWYMOLBUREPR-QMMMGPOBSA-N 0 0 287.699 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2C[C@H](O)C2)cc1C(F)(F)F ZINC001218360048 775580024 /nfs/dbraw/zinc/58/00/24/775580024.db2.gz DZPGSHYHPRNANV-FKQCQYRASA-N 0 0 277.198 2.516 20 5 CFBDRN COC[C@H](C)Oc1cccc([N+](=O)[O-])c1Br ZINC001225759476 775585583 /nfs/dbraw/zinc/58/55/83/775585583.db2.gz QGAFMWIICBGBTQ-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN COc1ccc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC001225770689 775587319 /nfs/dbraw/zinc/58/73/19/775587319.db2.gz ZSLJJYIXZMRQPA-IMSIIYSGSA-N 0 0 261.277 2.947 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc(F)c(F)cc2[N+](=O)[O-])=C1C ZINC001225780082 775590539 /nfs/dbraw/zinc/59/05/39/775590539.db2.gz UCTFCTLUIJEZMT-JTQLQIEISA-N 0 0 299.229 2.861 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225785613 775591659 /nfs/dbraw/zinc/59/16/59/775591659.db2.gz IRSYKCYOLFDEAO-OCAPTIKFSA-N 0 0 285.683 2.579 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225786428 775593295 /nfs/dbraw/zinc/59/32/95/775593295.db2.gz SOWCLBPLKSBUBE-ZETCQYMHSA-N 0 0 273.672 2.579 20 5 CFBDRN CC(=O)N1CCC(Oc2cccc([N+](=O)[O-])c2Cl)CC1 ZINC001225786127 775593316 /nfs/dbraw/zinc/59/33/16/775593316.db2.gz OLLYUNGHESFDSQ-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc(Cl)c(F)c1)c1cccnc1 ZINC001225788489 775593666 /nfs/dbraw/zinc/59/36/66/775593666.db2.gz AKMNELWGOOSHTL-NSHDSACASA-N 0 0 297.673 2.666 20 5 CFBDRN CCOC(=O)C1CC(Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225786571 775593683 /nfs/dbraw/zinc/59/36/83/775593683.db2.gz UMZYRBGNTDNSEK-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@H](Oc1cccc([N+](=O)[O-])c1F)c1cnccn1 ZINC001225795222 775595919 /nfs/dbraw/zinc/59/59/19/775595919.db2.gz DGEMMHCCKPAHIP-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CCCOC[C@H](C)Oc1cccc([N+](=O)[O-])c1F ZINC001225796949 775595928 /nfs/dbraw/zinc/59/59/28/775595928.db2.gz OJOPSLCUYNTULN-VIFPVBQESA-N 0 0 257.261 2.928 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2COc3cnccc32)c1F ZINC001225795105 775595982 /nfs/dbraw/zinc/59/59/82/775595982.db2.gz CTEBMZCZUJAZDG-LBPRGKRZSA-N 0 0 276.223 2.641 20 5 CFBDRN CC[C@@H](COC)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225804401 775598662 /nfs/dbraw/zinc/59/86/62/775598662.db2.gz SEORHEBQOKKZKH-NSHDSACASA-N 0 0 267.281 2.601 20 5 CFBDRN CC[C@@H](COC)Oc1ncc(C(F)(F)F)cc1[N+](=O)[O-] ZINC001225847642 775612109 /nfs/dbraw/zinc/61/21/09/775612109.db2.gz QPXOFSVIMFNKCJ-QMMMGPOBSA-N 0 0 294.229 2.812 20 5 CFBDRN CC(C)Oc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC001225893911 775624890 /nfs/dbraw/zinc/62/48/90/775624890.db2.gz AHHBYKCJGCWILW-UHFFFAOYSA-N 0 0 250.176 2.796 20 5 CFBDRN CCO[C@@H](C)COc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC001225893439 775625025 /nfs/dbraw/zinc/62/50/25/775625025.db2.gz RKFJTHHFOOIOHH-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc([N+](=O)[O-])c1OC1CCCC1 ZINC001225888833 775625331 /nfs/dbraw/zinc/62/53/31/775625331.db2.gz HFXPNCJTCUGTBX-UHFFFAOYSA-N 0 0 270.216 2.964 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225904356 775629352 /nfs/dbraw/zinc/62/93/52/775629352.db2.gz BTNCMDXFFWBCRF-PWSUYJOCSA-N 0 0 297.282 2.845 20 5 CFBDRN C[N@@H+]1CC[C@@H](Oc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC001225913328 775632361 /nfs/dbraw/zinc/63/23/61/775632361.db2.gz CLMLXDRVYLDUHV-SECBINFHSA-N 0 0 290.241 2.697 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225912720 775632382 /nfs/dbraw/zinc/63/23/82/775632382.db2.gz MTHNRVZFMFLBTF-GXFFZTMASA-N 0 0 297.282 2.845 20 5 CFBDRN CC(C)(C)N1CC(Oc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC001225912370 775632771 /nfs/dbraw/zinc/63/27/71/775632771.db2.gz HVVRKTAOHDXODK-UHFFFAOYSA-N 0 0 268.288 2.595 20 5 CFBDRN Cc1c([C@H](C)Oc2ccc([N+](=O)[O-])c(F)c2)cnn1C ZINC001225912438 775632789 /nfs/dbraw/zinc/63/27/89/775632789.db2.gz KEGWWCKQLIZZMI-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC001225914705 775633698 /nfs/dbraw/zinc/63/36/98/775633698.db2.gz BBIIGTRYTZDNCE-PSASIEDQSA-N 0 0 255.245 2.680 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1ccc([N+](=O)[O-])c(F)c1 ZINC001225915488 775634264 /nfs/dbraw/zinc/63/42/64/775634264.db2.gz JXRBJGOLINMVSJ-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN CCOCC(COCC)Oc1ccc([N+](=O)[O-])cc1F ZINC001225941631 775642288 /nfs/dbraw/zinc/64/22/88/775642288.db2.gz ICMRJTWPXRVUSS-UHFFFAOYSA-N 0 0 287.287 2.554 20 5 CFBDRN CC(C)(C)N1CC(Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC001225940805 775642371 /nfs/dbraw/zinc/64/23/71/775642371.db2.gz ADQKAYRCKKQQMD-UHFFFAOYSA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@@H](C)CCOc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225962749 775648601 /nfs/dbraw/zinc/64/86/01/775648601.db2.gz LXVXQLHSPYROPB-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@@H](Oc1c(F)c(F)ccc1[N+](=O)[O-])c1cncnc1 ZINC001225964231 775650654 /nfs/dbraw/zinc/65/06/54/775650654.db2.gz XETNBODQNFJIQW-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1c(F)cccc1[N+](=O)[O-] ZINC001226010361 775667467 /nfs/dbraw/zinc/66/74/67/775667467.db2.gz TWOVXFFJQHNAJN-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2C[C@@H](O)C2)cc(C(F)(F)F)c1 ZINC001218434127 775669324 /nfs/dbraw/zinc/66/93/24/775669324.db2.gz RCJSPGSIUJZFAT-WKFQBHICSA-N 0 0 291.225 2.763 20 5 CFBDRN CC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1Br ZINC001226041001 775677793 /nfs/dbraw/zinc/67/77/93/775677793.db2.gz JWEMOVKPRXQCLU-ZETCQYMHSA-N 0 0 288.097 2.714 20 5 CFBDRN COc1cncc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001226094107 775696501 /nfs/dbraw/zinc/69/65/01/775696501.db2.gz DMMXFCRZPAKOFU-CYBMUJFWSA-N 0 0 286.287 2.545 20 5 CFBDRN COc1cncc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001226094108 775696932 /nfs/dbraw/zinc/69/69/32/775696932.db2.gz DMMXFCRZPAKOFU-ZDUSSCGKSA-N 0 0 286.287 2.545 20 5 CFBDRN Cc1ccnc(O[C@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC001226121715 775706967 /nfs/dbraw/zinc/70/69/67/775706967.db2.gz WQGORVSJLMHVMU-QMMMGPOBSA-N 0 0 258.224 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001226129587 775709999 /nfs/dbraw/zinc/70/99/99/775709999.db2.gz FFORQSLLJTWEET-RNSXUZJQSA-N 0 0 266.684 2.987 20 5 CFBDRN CCCOC[C@H](C)Oc1ncc(Cl)cc1[N+](=O)[O-] ZINC001226129507 775710481 /nfs/dbraw/zinc/71/04/81/775710481.db2.gz DNAINZWHAYWCAX-QMMMGPOBSA-N 0 0 274.704 2.837 20 5 CFBDRN Cc1ccc2oc(=O)cc(O[C@H](C[N+](=O)[O-])C3CC3)c2c1 ZINC001226158353 775721307 /nfs/dbraw/zinc/72/13/07/775721307.db2.gz SLKCIBCDAIPYAQ-CQSZACIVSA-N 0 0 289.287 2.536 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1C(N)=O)c1cccs1 ZINC001226166815 775723914 /nfs/dbraw/zinc/72/39/14/775723914.db2.gz YORQAEBBVJHBNM-QMMMGPOBSA-N 0 0 292.316 2.895 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@H](CO)CC1 ZINC000294027274 775734448 /nfs/dbraw/zinc/73/44/48/775734448.db2.gz LAAIBLWHPYCSPY-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN C[C@H](CON)Oc1cc([N+](=O)[O-])c(Cl)cc1Cl ZINC001226207498 775737495 /nfs/dbraw/zinc/73/74/95/775737495.db2.gz NXWKCAAGZVOXQY-RXMQYKEDSA-N 0 0 281.095 2.559 20 5 CFBDRN COC(=O)Cc1ccc(O[C@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC001226213291 775739807 /nfs/dbraw/zinc/73/98/07/775739807.db2.gz AKUTVHQNMDPMKS-SNVBAGLBSA-N 0 0 293.319 2.878 20 5 CFBDRN CCCOC[C@H](C)Oc1ncc([N+](=O)[O-])cc1Cl ZINC001226255919 775757269 /nfs/dbraw/zinc/75/72/69/775757269.db2.gz QBNNALHWJQDSCS-QMMMGPOBSA-N 0 0 274.704 2.837 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1cc([N+](=O)[O-])ccc1F ZINC001226265222 775761728 /nfs/dbraw/zinc/76/17/28/775761728.db2.gz GDDYFWCABYKXKX-PWSUYJOCSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2cc([N+](=O)[O-])ccc2F)C1 ZINC001226269945 775763664 /nfs/dbraw/zinc/76/36/64/775763664.db2.gz LBAJCWTZKZMZGX-KOLCDFICSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226293029 775770232 /nfs/dbraw/zinc/77/02/32/775770232.db2.gz QZIKWPGGIAKITK-SECBINFHSA-N 0 0 295.291 2.518 20 5 CFBDRN CC[C@H](C)Oc1c(OC)cc(C(=O)OC)cc1[N+](=O)[O-] ZINC001226362560 775790228 /nfs/dbraw/zinc/79/02/28/775790228.db2.gz WIHBQQGDKYNFII-QMMMGPOBSA-N 0 0 283.280 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001226364430 775790298 /nfs/dbraw/zinc/79/02/98/775790298.db2.gz LTLWAIDDGGCLEH-XKSSXDPKSA-N 0 0 266.684 2.987 20 5 CFBDRN CCC[C@H](C)Oc1c(OC)cc(C(=O)OC)cc1[N+](=O)[O-] ZINC001226362790 775791191 /nfs/dbraw/zinc/79/11/91/775791191.db2.gz ZPMIQHZVTOJAJA-VIFPVBQESA-N 0 0 297.307 2.957 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1noc2ccccc21)c1cccnc1 ZINC001226400104 775804219 /nfs/dbraw/zinc/80/42/19/775804219.db2.gz IEXBWEYVTRSLEO-CYBMUJFWSA-N 0 0 285.259 2.620 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1noc2ccccc21)c1cccnc1 ZINC001226400105 775804976 /nfs/dbraw/zinc/80/49/76/775804976.db2.gz IEXBWEYVTRSLEO-ZDUSSCGKSA-N 0 0 285.259 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(OC3CCSCC3)c2c1 ZINC001226435551 775821096 /nfs/dbraw/zinc/82/10/96/775821096.db2.gz CVQSOWCTKHOGFS-UHFFFAOYSA-N 0 0 291.332 2.812 20 5 CFBDRN CC[C@H](C)Oc1cc([N+](=O)[O-])c(C(=O)OC)cc1OC ZINC001226431905 775821271 /nfs/dbraw/zinc/82/12/71/775821271.db2.gz UNKIHNNAZHKIQW-QMMMGPOBSA-N 0 0 283.280 2.567 20 5 CFBDRN CC(C)[C@H](C)Oc1ncnc2ccc([N+](=O)[O-])cc21 ZINC001226435545 775821694 /nfs/dbraw/zinc/82/16/94/775821694.db2.gz CVDFKVLKNVSYNH-VIFPVBQESA-N 0 0 261.281 2.961 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2cnn(C)c2C)c1 ZINC001226442781 775822527 /nfs/dbraw/zinc/82/25/27/775822527.db2.gz GPUSXYKDQJBZJK-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN CC(C)(C)c1ccc(OC2COC2)c([N+](=O)[O-])c1 ZINC001226446277 775826112 /nfs/dbraw/zinc/82/61/12/775826112.db2.gz NEWAAHYVSINZAX-UHFFFAOYSA-N 0 0 251.282 2.670 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nc2ccc(Cl)cc2o1)C1CC1 ZINC001226458482 775830943 /nfs/dbraw/zinc/83/09/43/775830943.db2.gz NDIWACZBGUCOMJ-NSHDSACASA-N 0 0 282.683 2.915 20 5 CFBDRN CCc1nc(O[C@H](C)c2cccc([N+](=O)[O-])c2)cc(=O)[nH]1 ZINC001226476801 775840112 /nfs/dbraw/zinc/84/01/12/775840112.db2.gz SNIPCXVEBOILGC-SECBINFHSA-N 0 0 289.291 2.793 20 5 CFBDRN COc1cc(O[C@H]2CCCC[C@H]2F)ncc1[N+](=O)[O-] ZINC001226579160 775879999 /nfs/dbraw/zinc/87/99/99/775879999.db2.gz ODPPOIYNCQGBCK-SCZZXKLOSA-N 0 0 270.260 2.658 20 5 CFBDRN CC[C@H](C)[C@@H](C)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226581509 775880721 /nfs/dbraw/zinc/88/07/21/775880721.db2.gz SKGQNTNRILIOJO-DTWKUNHWSA-N 0 0 254.286 2.812 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc(O)c1Cl)c1cccnc1 ZINC001226709616 775932467 /nfs/dbraw/zinc/93/24/67/775932467.db2.gz PFVMPCLORSKJAE-GFCCVEGCSA-N 0 0 294.694 2.837 20 5 CFBDRN CC(C)Oc1cc(COc2ccc([N+](=O)[O-])nc2)ccn1 ZINC001226712731 775935151 /nfs/dbraw/zinc/93/51/51/775935151.db2.gz RAVLHETUZPABDT-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN CC1(C)[C@@H]2CC[C@@]1(C)[C@H](Oc1ncc([N+](=O)[O-])cn1)C2 ZINC001226731968 775940946 /nfs/dbraw/zinc/94/09/46/775940946.db2.gz RWCLFKQKXIHCSI-UDZFHETQSA-N 0 0 277.324 2.978 20 5 CFBDRN CC(C)CCC[C@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733618 775941048 /nfs/dbraw/zinc/94/10/48/775941048.db2.gz QXCQIWHINHSDRN-JTQLQIEISA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1ccc([C@H](C)Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC001226734316 775942230 /nfs/dbraw/zinc/94/22/30/775942230.db2.gz XSICVCKPOVWWFC-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CCOc1ccc([C@@H](C)Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC001226733771 775942973 /nfs/dbraw/zinc/94/29/73/775942973.db2.gz SNNFQIFYPPXEBZ-SNVBAGLBSA-N 0 0 289.291 2.924 20 5 CFBDRN CCCCOC[C@@H](C)Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226751576 775946860 /nfs/dbraw/zinc/94/68/60/775946860.db2.gz MCRUGCDJTOJERV-SECBINFHSA-N 0 0 272.276 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@H]1CCC(F)(F)C1 ZINC001226753484 775948318 /nfs/dbraw/zinc/94/83/18/775948318.db2.gz FQGYDGIKDMNJHV-ZETCQYMHSA-N 0 0 262.187 2.696 20 5 CFBDRN CC1(C)CC(=O)CC[C@H]1Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226752198 775948594 /nfs/dbraw/zinc/94/85/94/775948594.db2.gz XSNJDHCYTBNMOH-LLVKDONJSA-N 0 0 282.271 2.656 20 5 CFBDRN C[C@H](Oc1ncc(F)cc1[N+](=O)[O-])c1cccnc1 ZINC001226757692 775950941 /nfs/dbraw/zinc/95/09/41/775950941.db2.gz WWYBCJZHZPTSLJ-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CCOC(=O)[C@H](Oc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC001226760200 775951006 /nfs/dbraw/zinc/95/10/06/775951006.db2.gz DWRUSFWYGGZPCC-GFCCVEGCSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)C1(C)CC(Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226760100 775951724 /nfs/dbraw/zinc/95/17/24/775951724.db2.gz DGTPPACTKKGFAG-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2cccc([N+](=O)[O-])c2)C1 ZINC001226762838 775952478 /nfs/dbraw/zinc/95/24/78/775952478.db2.gz BOXWKPHTYPWDQS-ZYHUDNBSSA-N 0 0 279.292 2.705 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1Cl ZINC001226762404 775953200 /nfs/dbraw/zinc/95/32/00/775953200.db2.gz SICFDRFXERLBOL-MRVPVSSYSA-N 0 0 285.683 2.745 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H]1CC(F)(F)C1(F)F ZINC001226765839 775954391 /nfs/dbraw/zinc/95/43/91/775954391.db2.gz WOBBLJPNYHFXQO-ZETCQYMHSA-N 0 0 280.177 2.720 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)c1ncccc1F ZINC001226765517 775954494 /nfs/dbraw/zinc/95/44/94/775954494.db2.gz RGCGHSHYBQPDJY-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@H]2CCOC[C@@H]2F)c1 ZINC001226804761 775972169 /nfs/dbraw/zinc/97/21/69/775972169.db2.gz OMAXFDJMOATCDI-UWVGGRQHSA-N 0 0 275.663 2.754 20 5 CFBDRN CC1=C(Oc2cc([N+](=O)[O-])ccc2Cl)C(=O)O[C@@H]1C ZINC001226811112 775973744 /nfs/dbraw/zinc/97/37/44/775973744.db2.gz VSUGCITVHZPVAP-SSDOTTSWSA-N 0 0 283.667 2.846 20 5 CFBDRN COc1ccnc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001226821406 775978383 /nfs/dbraw/zinc/97/83/83/775978383.db2.gz WENYTVPAUDGJES-CQSZACIVSA-N 0 0 286.287 2.545 20 5 CFBDRN Cc1cc(O[C@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC001226844612 775988815 /nfs/dbraw/zinc/98/88/15/775988815.db2.gz ZYLHZGXDILQBHN-MFKMUULPSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2COc3cnccc32)cc1Cl ZINC001226915678 776016102 /nfs/dbraw/zinc/01/61/02/776016102.db2.gz NKPYRDPJUCGJDC-NSHDSACASA-N 0 0 293.666 2.551 20 5 CFBDRN C[C@@H](Oc1cc(Cl)c([N+](=O)[O-])cn1)c1cncnc1 ZINC001226915460 776016573 /nfs/dbraw/zinc/01/65/73/776016573.db2.gz JNKPGPKOWQOEIR-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@@H](Oc1cc(Cl)c([N+](=O)[O-])cn1)C1CCOCC1 ZINC001226916453 776016604 /nfs/dbraw/zinc/01/66/04/776016604.db2.gz CZSBOHSWFLXMKR-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN CC1=C(Oc2ccc(C)c([N+](=O)[O-])c2)C(=O)O[C@H]1C ZINC001226950386 776031463 /nfs/dbraw/zinc/03/14/63/776031463.db2.gz IXBGHKORGVESCO-VIFPVBQESA-N 0 0 263.249 2.501 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC001226953107 776032472 /nfs/dbraw/zinc/03/24/72/776032472.db2.gz SBIFTPLTHDNZIB-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN CCOC(=O)[C@@H](Oc1c(C)cccc1[N+](=O)[O-])C1CC1 ZINC001226971707 776039564 /nfs/dbraw/zinc/03/95/64/776039564.db2.gz RKJFBIMTFCKIDE-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H](C)c1cnccn1 ZINC001226971279 776040233 /nfs/dbraw/zinc/04/02/33/776040233.db2.gz KXKHRKWWGWTIAX-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COC[C@H](C)Oc1c(C)ccc([N+](=O)[O-])c1Cl ZINC001226988925 776050110 /nfs/dbraw/zinc/05/01/10/776050110.db2.gz KWDYTYFUMWSCNC-QMMMGPOBSA-N 0 0 259.689 2.970 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1O[C@H]1C(=O)OCC1(C)C ZINC001226989462 776051699 /nfs/dbraw/zinc/05/16/99/776051699.db2.gz OHCGBMMUDUSURS-NSHDSACASA-N 0 0 299.710 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@@H]1CCCC2(C1)OCCO2 ZINC001226993004 776052872 /nfs/dbraw/zinc/05/28/72/776052872.db2.gz PAJJSHSPQGMUNV-CYBMUJFWSA-N 0 0 293.319 2.968 20 5 CFBDRN CCCN(Cc1ccccc1)Cn1nccc1[N+](=O)[O-] ZINC000123142695 776055542 /nfs/dbraw/zinc/05/55/42/776055542.db2.gz ZORMQVIYGCKQBE-UHFFFAOYSA-N 0 0 274.324 2.661 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OC(C2CC2)C2CC2)c1 ZINC001227043545 776070691 /nfs/dbraw/zinc/07/06/91/776070691.db2.gz FIAGLGZLSBTPKQ-UHFFFAOYSA-N 0 0 291.303 2.949 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c1 ZINC001227043641 776070936 /nfs/dbraw/zinc/07/09/36/776070936.db2.gz HEMRIUHVTCAWFU-OPQQBVKSSA-N 0 0 289.287 2.725 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccnc(C(F)(F)F)c1)C1CC1 ZINC001227070832 776083699 /nfs/dbraw/zinc/08/36/99/776083699.db2.gz GPRDSPDUXWWWBH-VIFPVBQESA-N 0 0 276.214 2.535 20 5 CFBDRN CC1(C)[C@@H]2C[C@@H](Oc3ncc([N+](=O)[O-])cc3[N+](=O)[O-])C[C@@H]21 ZINC001227110572 776101601 /nfs/dbraw/zinc/10/16/01/776101601.db2.gz ASGQPWRUYANRIW-OWUUHHOZSA-N 0 0 293.279 2.711 20 5 CFBDRN CC[C@H](C)Oc1c(C(=O)OC)cccc1[N+](=O)[O-] ZINC001227122334 776106931 /nfs/dbraw/zinc/10/69/31/776106931.db2.gz NIARPEQFIDJQRO-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1C[C@@H]2CC[C@H]1C2 ZINC001227123346 776108212 /nfs/dbraw/zinc/10/82/12/776108212.db2.gz ASTOZHDYBSZBCC-GBIKHYSHSA-N 0 0 291.303 2.949 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1O[C@@H]1COC[C@H]1F ZINC001227153248 776117128 /nfs/dbraw/zinc/11/71/28/776117128.db2.gz HDKRVRGARIOHFR-GMSGAONNSA-N 0 0 279.626 2.503 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H](C)c1ncccn1 ZINC001227184085 776127146 /nfs/dbraw/zinc/12/71/46/776127146.db2.gz CUSYZBZIROOYGR-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H](C)c1cn(C)nc1C ZINC001227185632 776129231 /nfs/dbraw/zinc/12/92/31/776129231.db2.gz WCVCLPISNDHHBZ-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H]1CCOC(C)(C)C1 ZINC001227186851 776129357 /nfs/dbraw/zinc/12/93/57/776129357.db2.gz IGSFPAFFOCNPAX-NSHDSACASA-N 0 0 281.308 2.940 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1csc(Cl)n1 ZINC000692744533 776129615 /nfs/dbraw/zinc/12/96/15/776129615.db2.gz GBZXVRUDXOMVPP-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN O=C1OC[C@H](COc2c3ccccc3ccc2[N+](=O)[O-])O1 ZINC001227214347 776140831 /nfs/dbraw/zinc/14/08/31/776140831.db2.gz KEIAKICGFIMJNG-JTQLQIEISA-N 0 0 289.243 2.662 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2cccnc2)c([N+](=O)[O-])n1 ZINC001227221253 776144403 /nfs/dbraw/zinc/14/44/03/776144403.db2.gz XCSBNEKQRHSMNX-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ccc(C)nc1[N+](=O)[O-] ZINC001227223458 776144419 /nfs/dbraw/zinc/14/44/19/776144419.db2.gz LJBFSQHNZQIOSI-QWRGUYRKSA-N 0 0 266.297 2.635 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227234176 776147652 /nfs/dbraw/zinc/14/76/52/776147652.db2.gz LDYZDFAMZLLMHI-NSHDSACASA-N 0 0 278.264 2.873 20 5 CFBDRN C[C@@H](Oc1nc2ccc([N+](=O)[O-])cc2o1)c1cnccn1 ZINC001227232111 776148532 /nfs/dbraw/zinc/14/85/32/776148532.db2.gz MAYKMWSKUYYOMS-MRVPVSSYSA-N 0 0 286.247 2.666 20 5 CFBDRN CO[C@H](C)CCOc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227234274 776148996 /nfs/dbraw/zinc/14/89/96/776148996.db2.gz MTALHGQGMPGWES-MRVPVSSYSA-N 0 0 266.253 2.540 20 5 CFBDRN C[C@H](Oc1nc2c(cccc2[N+](=O)[O-])o1)c1cnccn1 ZINC001227235744 776149227 /nfs/dbraw/zinc/14/92/27/776149227.db2.gz IGLBVWJOHNTAJV-QMMMGPOBSA-N 0 0 286.247 2.666 20 5 CFBDRN Cc1cnc(O[C@@H]2CC(F)(F)C2(F)F)c([N+](=O)[O-])c1 ZINC001227236094 776150000 /nfs/dbraw/zinc/15/00/00/776150000.db2.gz CGEQCNAOSUZGNS-SSDOTTSWSA-N 0 0 280.177 2.720 20 5 CFBDRN CCCOC[C@H](C)Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227238256 776150787 /nfs/dbraw/zinc/15/07/87/776150787.db2.gz NHPLKSWXXYTIDE-VIFPVBQESA-N 0 0 280.280 2.930 20 5 CFBDRN O=[N+]([O-])c1cccc2oc(OC3CC(F)(F)C3)nc21 ZINC001227237518 776151132 /nfs/dbraw/zinc/15/11/32/776151132.db2.gz HUQATXIMQSFMNB-UHFFFAOYSA-N 0 0 270.191 2.913 20 5 CFBDRN CC[C@H](COC)Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227237508 776151468 /nfs/dbraw/zinc/15/14/68/776151468.db2.gz HGKQXPTWACMEFF-MRVPVSSYSA-N 0 0 266.253 2.540 20 5 CFBDRN O=[N+]([O-])c1nc(Br)ccc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001227256441 776160400 /nfs/dbraw/zinc/16/04/00/776160400.db2.gz IHQGJTPRXUBEGH-RNLVFQAGSA-N 0 0 299.124 2.930 20 5 CFBDRN Cc1cc(CNc2nccc3c2cccc3[N+](=O)[O-])nn1C ZINC001167766392 776172505 /nfs/dbraw/zinc/17/25/05/776172505.db2.gz KCWFZEQTEUDOPJ-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H]2C[C@@H]3CC[C@H]2C3)c1[N+](=O)[O-] ZINC001227339364 776178877 /nfs/dbraw/zinc/17/88/77/776178877.db2.gz ZELQRGOFJVJDFY-HRDYMLBCSA-N 0 0 283.715 2.914 20 5 CFBDRN Cc1nc(Cl)nc(OC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC001227339806 776179195 /nfs/dbraw/zinc/17/91/95/776179195.db2.gz KXVNOCIOMLQIDK-UHFFFAOYSA-N 0 0 279.630 2.523 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nc2ccc(F)cc2s1)C1CC1 ZINC001227399576 776192241 /nfs/dbraw/zinc/19/22/41/776192241.db2.gz OCKIQXFGTQOGAR-JTQLQIEISA-N 0 0 282.296 2.870 20 5 CFBDRN COC1CCC(Oc2ccccc2[N+](=O)[O-])CC1 ZINC001227479323 776211022 /nfs/dbraw/zinc/21/10/22/776211022.db2.gz GUWCLWLIAQIMRN-UHFFFAOYSA-N 0 0 251.282 2.931 20 5 CFBDRN C[C@H](Oc1ccccc1[N+](=O)[O-])C1CCOCC1 ZINC001227482698 776211957 /nfs/dbraw/zinc/21/19/57/776211957.db2.gz LAUUPRFXFBFUQC-JTQLQIEISA-N 0 0 251.282 2.789 20 5 CFBDRN CCOC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 ZINC001227523115 776218477 /nfs/dbraw/zinc/21/84/77/776218477.db2.gz DEXVCRNRLRXTIY-UHFFFAOYSA-N 0 0 294.307 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCCC3(C2)OCCO3)cc1 ZINC001227524157 776219998 /nfs/dbraw/zinc/21/99/98/776219998.db2.gz XALWGDNBQJGFRI-ZDUSSCGKSA-N 0 0 279.292 2.659 20 5 CFBDRN Cc1cc(CO[C@@H](C)c2cccc([N+](=O)[O-])c2)n(C)n1 ZINC001222702803 776240807 /nfs/dbraw/zinc/24/08/07/776240807.db2.gz OMFULBZUCUFVQJ-NSHDSACASA-N 0 0 275.308 2.915 20 5 CFBDRN COc1ccc(Cl)c(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001227694160 776261136 /nfs/dbraw/zinc/26/11/36/776261136.db2.gz QWAANRMKAJQNCY-LBPRGKRZSA-N 0 0 271.700 2.783 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1c(OC)cccc1[N+](=O)[O-] ZINC001227698756 776261343 /nfs/dbraw/zinc/26/13/43/776261343.db2.gz WYABFGQRDMVRJI-SNVBAGLBSA-N 0 0 297.307 2.714 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H]1CCCC[C@@H]1OC ZINC001227699147 776262941 /nfs/dbraw/zinc/26/29/41/776262941.db2.gz DJEHLULHFXGTNN-RYUDHWBXSA-N 0 0 281.308 2.940 20 5 CFBDRN C[C@H](Oc1ccsn1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001227731391 776271436 /nfs/dbraw/zinc/27/14/36/776271436.db2.gz CJMURPKIABMYJG-ZETCQYMHSA-N 0 0 294.288 2.920 20 5 CFBDRN CCCOC[C@@H](C)Oc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC001227746780 776278271 /nfs/dbraw/zinc/27/82/71/776278271.db2.gz ULCJKNYZTBCLDU-SECBINFHSA-N 0 0 279.296 2.665 20 5 CFBDRN CC1(C)OC[C@H](CCOCc2ccccc2[N+](=O)[O-])O1 ZINC001222760041 776294422 /nfs/dbraw/zinc/29/44/22/776294422.db2.gz KFCFDCVEJSXACJ-LBPRGKRZSA-N 0 0 281.308 2.653 20 5 CFBDRN COC(=O)c1cccc(O[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC001227891479 776319315 /nfs/dbraw/zinc/31/93/15/776319315.db2.gz ALVZNIDHICZZKQ-ONGXEEELSA-N 0 0 279.292 2.949 20 5 CFBDRN COC(=O)c1cccc(OC2CCSCC2)c1[N+](=O)[O-] ZINC001227893830 776320234 /nfs/dbraw/zinc/32/02/34/776320234.db2.gz ITUTXELEEMGLKI-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2scnc21)C1CC1 ZINC001227952514 776338690 /nfs/dbraw/zinc/33/86/90/776338690.db2.gz FPDIMCHENRRLFN-JTQLQIEISA-N 0 0 264.306 2.730 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1OC1CCSCC1 ZINC001228014631 776365003 /nfs/dbraw/zinc/36/50/03/776365003.db2.gz NQAFOPCKHYWKNL-UHFFFAOYSA-N 0 0 274.729 2.918 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228019045 776365921 /nfs/dbraw/zinc/36/59/21/776365921.db2.gz DQIBGZZIFUILSW-OIBJUYFYSA-N 0 0 273.235 2.677 20 5 CFBDRN Cc1occc1CNC(=O)c1cc([N+](=O)[O-])c(F)cc1C ZINC001126171101 776372397 /nfs/dbraw/zinc/37/23/97/776372397.db2.gz OMHAGYUGKNBDPE-UHFFFAOYSA-N 0 0 292.266 2.874 20 5 CFBDRN CC(=O)c1ccncc1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228040951 776373897 /nfs/dbraw/zinc/37/38/97/776373897.db2.gz KFTTYXACRZZNOX-CQSZACIVSA-N 0 0 298.298 2.739 20 5 CFBDRN C[C@H](Oc1ccnc(Cl)c1[N+](=O)[O-])c1cnccn1 ZINC001228061303 776380994 /nfs/dbraw/zinc/38/09/94/776380994.db2.gz SSCMVLPLBZLHEF-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ccnc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228058872 776381039 /nfs/dbraw/zinc/38/10/39/776381039.db2.gz YECIAGZAHXUXIE-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN Cc1ccc2c(c1)onc2O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001228082515 776389110 /nfs/dbraw/zinc/38/91/10/776389110.db2.gz LUMCWILFDRFYHK-CQSZACIVSA-N 0 0 299.286 2.928 20 5 CFBDRN Cc1nc(N)ccc1CNc1cc2cc[nH]c2c([N+](=O)[O-])c1 ZINC001167786116 776390153 /nfs/dbraw/zinc/39/01/53/776390153.db2.gz LLGYXRFTQZNAKC-UHFFFAOYSA-N 0 0 297.318 2.974 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOC[C@H]2F)c2ncccc12 ZINC001228139778 776407276 /nfs/dbraw/zinc/40/72/76/776407276.db2.gz ZFQLVMVHWCKBSI-ZYHUDNBSSA-N 0 0 292.266 2.649 20 5 CFBDRN Cc1cc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)nc(C)n1 ZINC001228142082 776408290 /nfs/dbraw/zinc/40/82/90/776408290.db2.gz VXXDSUWBECXNQZ-AWEZNQCLSA-N 0 0 285.303 2.548 20 5 CFBDRN CCC[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])[C@H](C)CC ZINC001228142620 776408317 /nfs/dbraw/zinc/40/83/17/776408317.db2.gz FYYYNEHJVWNMHZ-BDAKNGLRSA-N 0 0 269.301 2.684 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2nc[nH]c(=O)c2[N+](=O)[O-])c(C)c1 ZINC001228142197 776408412 /nfs/dbraw/zinc/40/84/12/776408412.db2.gz ZZTIPXWFGMVJAN-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN CC/C=C(\C)[C@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228141968 776408733 /nfs/dbraw/zinc/40/87/33/776408733.db2.gz XPRCBVFFXJUYMP-ORZBULNSSA-N 0 0 267.285 2.604 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC[C@H]2COCO2)cc1Cl ZINC001228152055 776410623 /nfs/dbraw/zinc/41/06/23/776410623.db2.gz LMQKJJMUELVWSZ-ZCFIWIBFSA-N 0 0 294.090 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(OC3CC4(COC4)C3)sc2c1 ZINC001228209164 776422406 /nfs/dbraw/zinc/42/24/06/776422406.db2.gz KQOWGOWPAUHKBJ-UHFFFAOYSA-N 0 0 292.316 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(O[C@@H]3CCOC[C@@H]3F)sc2c1 ZINC001228210454 776422608 /nfs/dbraw/zinc/42/26/08/776422608.db2.gz GJXDNCBULJUSTM-WCBMZHEXSA-N 0 0 298.295 2.710 20 5 CFBDRN Cc1cc(C)c(O[C@@H]2CCn3ccnc32)c([N+](=O)[O-])c1 ZINC001228229206 776428317 /nfs/dbraw/zinc/42/83/17/776428317.db2.gz FWOBQXKZGISLLA-GFCCVEGCSA-N 0 0 273.292 2.932 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC001228237310 776430319 /nfs/dbraw/zinc/43/03/19/776430319.db2.gz JGJKJPMZHWNTID-WGRBQBNCSA-N 0 0 293.319 2.932 20 5 CFBDRN CC[C@@H](Oc1c(C)cc(C)cc1[N+](=O)[O-])C(=O)OC ZINC001228238908 776431753 /nfs/dbraw/zinc/43/17/53/776431753.db2.gz YZAPIXSJQPTUNN-LLVKDONJSA-N 0 0 267.281 2.542 20 5 CFBDRN CC(C)OC(=O)COc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228255282 776434477 /nfs/dbraw/zinc/43/44/77/776434477.db2.gz HDDAQSBTJCIOAS-UHFFFAOYSA-N 0 0 273.672 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(O[C@@H]2CCOC[C@H]2F)c1 ZINC001228258479 776435537 /nfs/dbraw/zinc/43/55/37/776435537.db2.gz ZOAVHCJPFHPGMJ-GHMZBOCLSA-N 0 0 275.663 2.754 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CO[C@@H]1CCOC[C@@H]1C ZINC001223108686 776474780 /nfs/dbraw/zinc/47/47/80/776474780.db2.gz WISUGGNDFUDJFI-GXFFZTMASA-N 0 0 281.308 2.545 20 5 CFBDRN COc1cnnc(O[C@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC001228372853 776475128 /nfs/dbraw/zinc/47/51/28/776475128.db2.gz CLOINCJPBMJKJV-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@@H](C3CC3)O2)cc1 ZINC000722335535 776483385 /nfs/dbraw/zinc/48/33/85/776483385.db2.gz YXEKRKXAGXYWAI-KBPBESRZSA-N 0 0 262.309 2.964 20 5 CFBDRN O=C1OC[C@@H](COc2cccc3nc4ccccc4nc32)O1 ZINC001228523977 776511481 /nfs/dbraw/zinc/51/14/81/776511481.db2.gz XCGOILYXZAJWAA-SNVBAGLBSA-N 0 0 296.282 2.697 20 5 CFBDRN c1ccc2nc3c(cccc3OC[C@H]3COCO3)nc2c1 ZINC001228522540 776511689 /nfs/dbraw/zinc/51/16/89/776511689.db2.gz PHUXQGWUYVSINF-LLVKDONJSA-N 0 0 282.299 2.535 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1c(C)cc([N+](=O)[O-])cc1C ZINC001228571244 776524720 /nfs/dbraw/zinc/52/47/20/776524720.db2.gz MWRFOYLHZDEOHK-LBPRGKRZSA-N 0 0 281.308 2.932 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(F)cc1O[C@H]1CCn2ccnc21 ZINC001228610212 776538506 /nfs/dbraw/zinc/53/85/06/776538506.db2.gz RGZUDZKOHMJWTQ-VIFPVBQESA-N 0 0 281.218 2.593 20 5 CFBDRN Cc1cccc2c1[C@H](Oc1ncncc1[N+](=O)[O-])CC2 ZINC001228658607 776555295 /nfs/dbraw/zinc/55/52/95/776555295.db2.gz ZYOLCFNJYLEOOM-GFCCVEGCSA-N 0 0 271.276 2.760 20 5 CFBDRN Cc1cc2[nH]c(O[C@@H](C)c3ncccn3)nc2cc1[N+](=O)[O-] ZINC001228672453 776562523 /nfs/dbraw/zinc/56/25/23/776562523.db2.gz UTAXFLBTTHKIHA-VIFPVBQESA-N 0 0 299.290 2.710 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228674730 776563557 /nfs/dbraw/zinc/56/35/57/776563557.db2.gz LWYSJHPIRIHEII-ZDUSSCGKSA-N 0 0 291.307 2.916 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)CC(C)C)c1[N+](=O)[O-] ZINC001228686615 776567153 /nfs/dbraw/zinc/56/71/53/776567153.db2.gz PHAAQXQDNHHCCJ-QMMMGPOBSA-N 0 0 254.286 2.817 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC001228687317 776570008 /nfs/dbraw/zinc/57/00/08/776570008.db2.gz VMHQMELKNAGQMD-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@H](C(F)(F)F)O2)nc1 ZINC000722392868 776576123 /nfs/dbraw/zinc/57/61/23/776576123.db2.gz XCLBFBYCTGILGF-DTWKUNHWSA-N 0 0 291.229 2.512 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](OCc2cccc([N+](=O)[O-])c2C)C1 ZINC001223330587 776583820 /nfs/dbraw/zinc/58/38/20/776583820.db2.gz VRFGVSJQOFGBJT-JNSHFYNHSA-N 0 0 293.319 2.762 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COCc1cccc([N+](=O)[O-])c1C ZINC001223330182 776583875 /nfs/dbraw/zinc/58/38/75/776583875.db2.gz KUVYJMHSWJDUKP-VXGBXAGGSA-N 0 0 297.351 2.860 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2cccc(F)c2n1)C1CC1 ZINC001228746336 776595040 /nfs/dbraw/zinc/59/50/40/776595040.db2.gz RLJPPUYKMZYTNH-LBPRGKRZSA-N 0 0 276.267 2.808 20 5 CFBDRN CCOC(=O)[C@@H](Oc1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC001228758147 776600548 /nfs/dbraw/zinc/60/05/48/776600548.db2.gz BRTBLRIEIQOSRZ-LBPRGKRZSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)c1cc(O[C@@H]2C[C@H]3CC[C@@H]2C3)cc([N+](=O)[O-])c1 ZINC001228769862 776604339 /nfs/dbraw/zinc/60/43/39/776604339.db2.gz CSYBGUMIDDSFEA-IMSIIYSGSA-N 0 0 291.303 2.949 20 5 CFBDRN O=c1oc2ccccc2c(OC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC001228804381 776618454 /nfs/dbraw/zinc/61/84/54/776618454.db2.gz DVWPNXRVDNPSGE-UHFFFAOYSA-N 0 0 297.213 2.878 20 5 CFBDRN CN(C)c1ccccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001228871547 776640958 /nfs/dbraw/zinc/64/09/58/776640958.db2.gz NHMAAKUNSTYTTN-HNNXBMFYSA-N 0 0 287.319 2.544 20 5 CFBDRN CC(C)[C@H](Oc1cnccc1[N+](=O)[O-])C(F)(F)F ZINC001228880010 776645399 /nfs/dbraw/zinc/64/53/99/776645399.db2.gz ONZQLHVJAYNGEG-VIFPVBQESA-N 0 0 264.203 2.956 20 5 CFBDRN O=[N+]([O-])c1ccncc1OC1Cc2ccccc2C1 ZINC001228879847 776645487 /nfs/dbraw/zinc/64/54/87/776645487.db2.gz LXKOGHMXBHCTJY-UHFFFAOYSA-N 0 0 256.261 2.536 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@@H]1C=C(C)OC(=O)C1 ZINC001228915694 776657346 /nfs/dbraw/zinc/65/73/46/776657346.db2.gz JQHUCHYWPZORHX-LLVKDONJSA-N 0 0 263.249 2.501 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1ccc([N+](=O)[O-])cc1C ZINC001228921618 776659279 /nfs/dbraw/zinc/65/92/79/776659279.db2.gz OSCYDPCCRNRBTA-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])c(Cl)n1 ZINC001228934101 776661760 /nfs/dbraw/zinc/66/17/60/776661760.db2.gz LWODLVAOOYLMLJ-MRVPVSSYSA-N 0 0 274.704 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)nc1Cl ZINC001228934563 776662152 /nfs/dbraw/zinc/66/21/52/776662152.db2.gz RMWBHVUAZYOVCU-QXFUBDJGSA-N 0 0 266.684 2.987 20 5 CFBDRN C[C@H](O[C@@H]1C(=O)OCC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC001223430821 776666223 /nfs/dbraw/zinc/66/62/23/776666223.db2.gz QLBMQGRWBKHERU-JOYOIKCWSA-N 0 0 279.292 2.624 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1cc(O)cc([O-])c1[N+](=O)[O-] ZINC001228970204 776671881 /nfs/dbraw/zinc/67/18/81/776671881.db2.gz HHSNKYNHFPIFEI-LBPRGKRZSA-N 0 0 297.307 2.923 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228969837 776672469 /nfs/dbraw/zinc/67/24/69/776672469.db2.gz BPIHIDBROKJRNA-ZDUSSCGKSA-N 0 0 279.292 2.934 20 5 CFBDRN C[C@H](Oc1cc(O)cc([O-])c1[N+](=O)[O-])C1CCC1 ZINC001228971307 776672557 /nfs/dbraw/zinc/67/25/57/776672557.db2.gz NLBMJPLNPLPXLP-ZETCQYMHSA-N 0 0 253.254 2.573 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1OC1CN(C(C)(C)C)C1 ZINC001228971334 776672764 /nfs/dbraw/zinc/67/27/64/776672764.db2.gz OXOOEFWWECWFDV-UHFFFAOYSA-N 0 0 292.335 2.659 20 5 CFBDRN O=[N+]([O-])c1c([O-])cc(O)cc1O[C@@H]1CC2CCC1CC2 ZINC001228970176 776672799 /nfs/dbraw/zinc/67/27/99/776672799.db2.gz GPNIOVCELYKCNC-SHVIVCPWSA-N 0 0 279.292 2.964 20 5 CFBDRN CCO[C@H](C)COc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228977731 776675752 /nfs/dbraw/zinc/67/57/52/776675752.db2.gz QRMRBNNODLQIRK-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1ccnc(Cl)c1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001228986779 776678657 /nfs/dbraw/zinc/67/86/57/776678657.db2.gz JTDIGOANNLLWNP-LLVKDONJSA-N 0 0 293.710 2.835 20 5 CFBDRN COC(=O)c1c(OC2CCSCC2)cccc1[N+](=O)[O-] ZINC001229044789 776699189 /nfs/dbraw/zinc/69/91/89/776699189.db2.gz KZXHMDIYUVKSOL-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1C[C@H]2CC[C@@H]1C2 ZINC001229059831 776704083 /nfs/dbraw/zinc/70/40/83/776704083.db2.gz WKCHKOKPPCSFSQ-IMSIIYSGSA-N 0 0 291.303 2.949 20 5 CFBDRN Cc1nc2cc(O[C@H](C[N+](=O)[O-])C3CC3)ccc2o1 ZINC001229073254 776707575 /nfs/dbraw/zinc/70/75/75/776707575.db2.gz QTVZXBHUPNQTQF-CYBMUJFWSA-N 0 0 262.265 2.570 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(O)cccc1Cl)c1cccnc1 ZINC001229115446 776724523 /nfs/dbraw/zinc/72/45/23/776724523.db2.gz BDUHIMMANYPMOB-GFCCVEGCSA-N 0 0 294.694 2.837 20 5 CFBDRN CC1(C)OC[C@H](CCOc2ccc(/C=C/[N+](=O)[O-])cc2)O1 ZINC001229120246 776725556 /nfs/dbraw/zinc/72/55/56/776725556.db2.gz WJTQMMFRFWBTBE-KGXGESDWSA-N 0 0 293.319 2.854 20 5 CFBDRN COC(C[C@H](C)Oc1cccc(C(C)=O)c1[N+](=O)[O-])OC ZINC001229137721 776730942 /nfs/dbraw/zinc/73/09/42/776730942.db2.gz KKMPIAMOBXIKEL-VIFPVBQESA-N 0 0 297.307 2.574 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H](CC)OC ZINC001229179581 776745135 /nfs/dbraw/zinc/74/51/35/776745135.db2.gz MXZPLRGHQRSAAN-NSHDSACASA-N 0 0 281.308 2.991 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](CC)COC ZINC001229180835 776745457 /nfs/dbraw/zinc/74/54/57/776745457.db2.gz ZEPRWIKPUBFSLV-LLVKDONJSA-N 0 0 281.308 2.991 20 5 CFBDRN CCCOC[C@@H](C)OCc1ccc(OC)c([N+](=O)[O-])c1 ZINC001223651377 776745493 /nfs/dbraw/zinc/74/54/93/776745493.db2.gz WRANAPNCIFSKLP-LLVKDONJSA-N 0 0 283.324 2.935 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229199772 776749281 /nfs/dbraw/zinc/74/92/81/776749281.db2.gz YRKIBJAEHKDRFP-VIFPVBQESA-N 0 0 285.271 2.763 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229199771 776750143 /nfs/dbraw/zinc/75/01/43/776750143.db2.gz YRKIBJAEHKDRFP-SECBINFHSA-N 0 0 285.271 2.763 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229347861 776786210 /nfs/dbraw/zinc/78/62/10/776786210.db2.gz VKKKILYSDLROAX-GWCFXTLKSA-N 0 0 288.303 2.947 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229347863 776786738 /nfs/dbraw/zinc/78/67/38/776786738.db2.gz VKKKILYSDLROAX-MFKMUULPSA-N 0 0 288.303 2.947 20 5 CFBDRN CC(=O)c1cc(C)cc(C)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001229362629 776791055 /nfs/dbraw/zinc/79/10/55/776791055.db2.gz NRFKTJQBIAZCMQ-AWEZNQCLSA-N 0 0 277.320 2.940 20 5 CFBDRN O=c1[nH]nc(OC2CCCCC2)c2cc([N+](=O)[O-])ccc12 ZINC001229364911 776791410 /nfs/dbraw/zinc/79/14/10/776791410.db2.gz PEMXBCMPBZTDHS-UHFFFAOYSA-N 0 0 289.291 2.955 20 5 CFBDRN CCC[C@@H](C)Oc1n[nH]c(=O)c2cccc([N+](=O)[O-])c21 ZINC001229367380 776792231 /nfs/dbraw/zinc/79/22/31/776792231.db2.gz LHWGNPUCRWEWKO-MRVPVSSYSA-N 0 0 277.280 2.811 20 5 CFBDRN C[C@H]1CCC[C@H]1Oc1n[nH]c(=O)c2cccc([N+](=O)[O-])c21 ZINC001229372988 776793882 /nfs/dbraw/zinc/79/38/82/776793882.db2.gz FVVNCTAJBSHIIT-GZMMTYOYSA-N 0 0 289.291 2.811 20 5 CFBDRN CC1(C)CC[C@@H](COc2cc([N+](=O)[O-])ccc2O)O1 ZINC001229398133 776799209 /nfs/dbraw/zinc/79/92/09/776799209.db2.gz QRJJSLYAASFNCN-JTQLQIEISA-N 0 0 267.281 2.637 20 5 CFBDRN C[C@@H](CC(=O)OC(C)(C)C)Oc1cc([N+](=O)[O-])ccc1O ZINC001229398705 776799723 /nfs/dbraw/zinc/79/97/23/776799723.db2.gz YUQKUADCSZRKGV-VIFPVBQESA-N 0 0 297.307 2.800 20 5 CFBDRN CC(C)CCOC(=O)[C@H](C)Oc1cc([N+](=O)[O-])ccc1O ZINC001229396256 776800294 /nfs/dbraw/zinc/80/02/94/776800294.db2.gz RUNXZZZUZXFKRR-JTQLQIEISA-N 0 0 297.307 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@@H]2COCc3ccccc32)c1 ZINC001229396717 776800318 /nfs/dbraw/zinc/80/03/18/776800318.db2.gz XEMAMACFNFKRRF-OAHLLOKOSA-N 0 0 287.271 2.951 20 5 CFBDRN CCCC[C@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229451495 776816939 /nfs/dbraw/zinc/81/69/39/776816939.db2.gz GKOGOBVRMXHENY-JTQLQIEISA-N 0 0 254.286 2.576 20 5 CFBDRN C[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1cccc(F)c1F ZINC001229451245 776817425 /nfs/dbraw/zinc/81/74/25/776817425.db2.gz DGNCUQMJYUCHAQ-QMMMGPOBSA-N 0 0 296.229 2.646 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1cc([N+](=O)[O-])c(C)cc1O ZINC001229754851 776889115 /nfs/dbraw/zinc/88/91/15/776889115.db2.gz UDJGXADHBLWMCJ-JTQLQIEISA-N 0 0 297.307 2.719 20 5 CFBDRN COc1nc(C)cc(O[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001229825422 776898138 /nfs/dbraw/zinc/89/81/38/776898138.db2.gz JMSKWDRSNSVMCR-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@@H]1CCCOC1 ZINC001229880700 776909603 /nfs/dbraw/zinc/90/96/03/776909603.db2.gz IFAFPROAEFEXEY-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN CCOC(=O)C1CC(Oc2cc(F)c(C)cc2[N+](=O)[O-])C1 ZINC001229893898 776912869 /nfs/dbraw/zinc/91/28/69/776912869.db2.gz DFFVICBEHQPYES-UHFFFAOYSA-N 0 0 297.282 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@@H]2CCO[C@@H]2C)cc1F ZINC001229893700 776913067 /nfs/dbraw/zinc/91/30/67/776913067.db2.gz YKZQXEIIPWLUDL-ZJUUUORDSA-N 0 0 269.272 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C[C@H]3C[C@H]3C2)c(Cl)n1 ZINC001229915216 776916295 /nfs/dbraw/zinc/91/62/95/776916295.db2.gz MFHGPJNCBNYQIJ-RNLVFQAGSA-N 0 0 254.673 2.821 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])nc1Cl)C1CCOCC1 ZINC001229915153 776917620 /nfs/dbraw/zinc/91/76/20/776917620.db2.gz KWUUGZQGZCPDIB-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229963359 776925596 /nfs/dbraw/zinc/92/55/96/776925596.db2.gz IQUBRYMCIHOKJN-SWZMTVOYSA-N 0 0 299.710 2.969 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001229961943 776925880 /nfs/dbraw/zinc/92/58/80/776925880.db2.gz KUHXGPZXNPDIGQ-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN Cc1cc(OC2CC(=O)C2)c([N+](=O)[O-])cc1Cl ZINC001229976569 776930827 /nfs/dbraw/zinc/93/08/27/776930827.db2.gz YYYVJXXHGXAFIQ-UHFFFAOYSA-N 0 0 255.657 2.667 20 5 CFBDRN COC[C@H](C)Oc1cc(C)c(Cl)cc1[N+](=O)[O-] ZINC001229979719 776931373 /nfs/dbraw/zinc/93/13/73/776931373.db2.gz VJUNOTOEQJUSSZ-QMMMGPOBSA-N 0 0 259.689 2.970 20 5 CFBDRN COc1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)c(F)c1 ZINC001229993363 776932530 /nfs/dbraw/zinc/93/25/30/776932530.db2.gz DUNYHRPONDKOKT-CQSZACIVSA-N 0 0 292.266 2.626 20 5 CFBDRN CCN1CC(Oc2ccc([N+](=O)[O-])c(Cl)c2Cl)C1 ZINC001230039966 776948000 /nfs/dbraw/zinc/94/80/00/776948000.db2.gz IPOXFAJBNRXTLG-UHFFFAOYSA-N 0 0 291.134 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2COC2)c(Cl)c1Cl ZINC001230042923 776948759 /nfs/dbraw/zinc/94/87/59/776948759.db2.gz ZFBHTDMKCVOBBY-UHFFFAOYSA-N 0 0 264.064 2.679 20 5 CFBDRN Cc1ccc(O[C@@H]2C=C(C)OC(=O)C2)c([N+](=O)[O-])c1 ZINC001230142936 776955291 /nfs/dbraw/zinc/95/52/91/776955291.db2.gz DKYSSIBICZCEEY-SNVBAGLBSA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1ccc(O[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001230145355 776955365 /nfs/dbraw/zinc/95/53/65/776955365.db2.gz FHDIWSJOAMAYIL-JQWIXIFHSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1c[nH]cc2nc(O[C@H]3CCCC[C@@H]3F)nc1-2 ZINC001230231833 776962725 /nfs/dbraw/zinc/96/27/25/776962725.db2.gz UYEOWHYHWPHBQL-XVKPBYJWSA-N 0 0 280.259 2.526 20 5 CFBDRN CCC(CC)CO[C@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001204938937 776975348 /nfs/dbraw/zinc/97/53/48/776975348.db2.gz KZIDFHIZSBORFC-SNVBAGLBSA-N 0 0 269.345 2.941 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1OC[C@@H]1CCC=CO1 ZINC001230312898 776977112 /nfs/dbraw/zinc/97/71/12/776977112.db2.gz OBBASOHJOVTYPE-VIFPVBQESA-N 0 0 280.236 2.575 20 5 CFBDRN CC1(C)C[C@H](Oc2c(Cl)nccc2[N+](=O)[O-])CCO1 ZINC001225434861 776985550 /nfs/dbraw/zinc/98/55/50/776985550.db2.gz NQPSNLSXMCQQFF-MRVPVSSYSA-N 0 0 286.715 2.980 20 5 CFBDRN Cc1cc(OCC(=O)OC(C)C)cc([N+](=O)[O-])c1C ZINC001230471660 776996351 /nfs/dbraw/zinc/99/63/51/776996351.db2.gz NGUHWSUSOYCCDC-UHFFFAOYSA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1ccc(OC2CCC(=O)CC2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230485136 776998699 /nfs/dbraw/zinc/99/86/99/776998699.db2.gz OXNDTJGPVPWUDA-UHFFFAOYSA-N 0 0 294.263 2.702 20 5 CFBDRN CC[C@@H](COc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-])OC ZINC001230493661 776999887 /nfs/dbraw/zinc/99/98/87/776999887.db2.gz IUBHDDSBLXAMDM-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@@H]1CCc2nccn2C1 ZINC001230722823 777050428 /nfs/dbraw/zinc/05/04/28/777050428.db2.gz AVVIMLDGECWTHG-GFCCVEGCSA-N 0 0 287.319 2.802 20 5 CFBDRN CCOC(=O)[C@H](Oc1cc(C)c([N+](=O)[O-])cc1C)C1CC1 ZINC001230728399 777051941 /nfs/dbraw/zinc/05/19/41/777051941.db2.gz LIPHHVODVWWBIX-CQSZACIVSA-N 0 0 293.319 2.932 20 5 CFBDRN CC[C@@H](COC)Oc1ccc([N+](=O)[O-])c(CC(C)=O)c1F ZINC001230793635 777066857 /nfs/dbraw/zinc/06/68/57/777066857.db2.gz CXGOVPWBFGOCAW-JTQLQIEISA-N 0 0 299.298 2.669 20 5 CFBDRN COc1c(F)cccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001230833773 777072363 /nfs/dbraw/zinc/07/23/63/777072363.db2.gz LCVDMXSQDIEBGX-ZDUSSCGKSA-N 0 0 292.266 2.626 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)nc1[N+](=O)[O-])C1CCOCC1 ZINC001230872443 777078616 /nfs/dbraw/zinc/07/86/16/777078616.db2.gz NMJIUIGXVDIGCZ-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc(Cl)nc1[N+](=O)[O-] ZINC001230878958 777079312 /nfs/dbraw/zinc/07/93/12/777079312.db2.gz JVWJYMOYMRZUED-QMMMGPOBSA-N 0 0 274.704 2.837 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)nc1[N+](=O)[O-])c1cncnc1 ZINC001230879643 777079438 /nfs/dbraw/zinc/07/94/38/777079438.db2.gz QYGJZSCBCAKOJI-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1O[C@@H]1CCOC[C@H]1F ZINC001230914522 777092368 /nfs/dbraw/zinc/09/23/68/777092368.db2.gz JKKJYWIQVPLNAT-RKDXNWHRSA-N 0 0 275.663 2.754 20 5 CFBDRN CC[C@@H](Oc1cccc(Cl)c1[N+](=O)[O-])C(=O)OC ZINC001230916061 777092929 /nfs/dbraw/zinc/09/29/29/777092929.db2.gz VUXXDQWOFDHEKP-MRVPVSSYSA-N 0 0 273.672 2.579 20 5 CFBDRN C[C@@H](COc1ccccc1)Oc1ccc([N+](=O)[O-])cn1 ZINC001225633555 777103970 /nfs/dbraw/zinc/10/39/70/777103970.db2.gz QFFINNRBGKIMLO-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(O[C@@H]3C[C@H]4C=C[C@@H]3C4)c21 ZINC001231053111 777126367 /nfs/dbraw/zinc/12/63/67/777126367.db2.gz INNWPTFJQJBCGT-OPQQBVKSSA-N 0 0 283.287 2.881 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ncnc2cccc([N+](=O)[O-])c21 ZINC001231055409 777126914 /nfs/dbraw/zinc/12/69/14/777126914.db2.gz IZYBFOVKPXDRMA-LBPRGKRZSA-N 0 0 289.291 2.675 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@H]1CCOC[C@H]1F ZINC001231058138 777128242 /nfs/dbraw/zinc/12/82/42/777128242.db2.gz VMTUSXNZAAPQHW-MNOVXSKESA-N 0 0 269.272 2.717 20 5 CFBDRN C[C@H](Oc1ccc2c(c1)C(=O)NC2)c1cccc([N+](=O)[O-])c1 ZINC001231103706 777140941 /nfs/dbraw/zinc/14/09/41/777140941.db2.gz SHHIOWQULCWOSE-JTQLQIEISA-N 0 0 298.298 2.978 20 5 CFBDRN C[C@@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])c1ccc(F)cc1 ZINC001225660378 777151542 /nfs/dbraw/zinc/15/15/42/777151542.db2.gz CUPPDRHIKWEMOL-MRVPVSSYSA-N 0 0 278.239 2.975 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@@H](C)[C@H]2C)n1 ZINC001126405743 777152180 /nfs/dbraw/zinc/15/21/80/777152180.db2.gz AGYHVAMBDYLYHO-MWLCHTKSSA-N 0 0 277.324 2.559 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc2cc(F)c(F)cc2c1)C1CC1 ZINC001231143418 777155301 /nfs/dbraw/zinc/15/53/01/777155301.db2.gz ZVCHYGVGBQKPSM-AWEZNQCLSA-N 0 0 294.257 2.947 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C[C@H]1C ZINC001225666357 777155472 /nfs/dbraw/zinc/15/54/72/777155472.db2.gz HJWGPSUCMAYUQW-BBBLOLIVSA-N 0 0 266.297 2.899 20 5 CFBDRN CO[C@H](C)COc1ccc2nc3ccc(=O)cc-3oc2c1 ZINC001231332177 777193778 /nfs/dbraw/zinc/19/37/78/777193778.db2.gz NCZMGDYKPQXWOR-SNVBAGLBSA-N 0 0 285.299 2.707 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1ccc2nc3ccc(=O)cc-3oc2c1 ZINC001231332448 777193848 /nfs/dbraw/zinc/19/38/48/777193848.db2.gz PEAAJRNRWYXMEJ-BTDLBPIBSA-N 0 0 297.310 2.707 20 5 CFBDRN CCCC(=O)Nc1c(OC[C@H]2CCO2)cccc1[N+](=O)[O-] ZINC001231358830 777201918 /nfs/dbraw/zinc/20/19/18/777201918.db2.gz HEVYFIDUDBQPKK-SNVBAGLBSA-N 0 0 294.307 2.501 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H]2C=CCC2)c1 ZINC000711756591 777233949 /nfs/dbraw/zinc/23/39/49/777233949.db2.gz AAKFXWYPTYUOCJ-JTQLQIEISA-N 0 0 276.292 2.760 20 5 CFBDRN C[C@@H](CC(=O)Nc1c([N+](=O)[O-])cnn1C)C1CCCCC1 ZINC001269828425 777254723 /nfs/dbraw/zinc/25/47/23/777254723.db2.gz NUAZYBHIQPHPTA-JTQLQIEISA-N 0 0 294.355 2.873 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccnc(Cl)c1 ZINC001225782100 777274165 /nfs/dbraw/zinc/27/41/65/777274165.db2.gz OWHWOCFDGCCTPH-QMMMGPOBSA-N 0 0 296.714 2.616 20 5 CFBDRN COc1cc([N+](=O)[O-])c(NCC(F)(F)F)cc1F ZINC001161631327 777313981 /nfs/dbraw/zinc/31/39/81/777313981.db2.gz YJUUAMORLMLVAA-UHFFFAOYSA-N 0 0 268.166 2.717 20 5 CFBDRN O=[N+]([O-])c1cnc(OC[C@@H]2CCCO2)c(C(F)(F)F)c1 ZINC001225893270 777328058 /nfs/dbraw/zinc/32/80/58/777328058.db2.gz PACUTXCNNXZNRG-QMMMGPOBSA-N 0 0 292.213 2.566 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(F)c1)c1cnccn1 ZINC001225915434 777336042 /nfs/dbraw/zinc/33/60/42/777336042.db2.gz IWLGASZLQBZEHK-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN c1cnc2c(c1)ccc1ccc(CN3CCO[C@@H]4C[C@@H]43)nc12 ZINC001232224262 777480814 /nfs/dbraw/zinc/48/08/14/777480814.db2.gz GINQUAXWVRYYFE-JKSUJKDBSA-N 0 0 291.354 2.756 20 5 CFBDRN COc1cc(O[C@@H]2CCC(F)(F)C2)ncc1[N+](=O)[O-] ZINC001226580053 777578660 /nfs/dbraw/zinc/57/86/60/777578660.db2.gz WOZNYCPNCORNKN-SSDOTTSWSA-N 0 0 274.223 2.565 20 5 CFBDRN COc1cc(O[C@H](C)C2CCC2)ncc1[N+](=O)[O-] ZINC001226581373 777579775 /nfs/dbraw/zinc/57/97/75/777579775.db2.gz PVVAGXFJEXHLRR-MRVPVSSYSA-N 0 0 252.270 2.566 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1ccc([N+](=O)[O-])c(C)c1 ZINC001226844564 777669509 /nfs/dbraw/zinc/66/95/09/777669509.db2.gz YSSFZRGILDQMDK-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ncccc1Cl ZINC001227118381 777766637 /nfs/dbraw/zinc/76/66/37/777766637.db2.gz NRZAPLODVADLSX-MRVPVSSYSA-N 0 0 296.714 2.616 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1c(C(=O)OC)cccc1[N+](=O)[O-] ZINC001227124312 777767838 /nfs/dbraw/zinc/76/78/38/777767838.db2.gz MEYUTQOMTXJHBX-LBPRGKRZSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1cc(Cl)cnc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001227206211 777789922 /nfs/dbraw/zinc/78/99/22/777789922.db2.gz HQATWEUWNRURGT-LBPRGKRZSA-N 0 0 293.710 2.835 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2ccccc2[N+](=O)[O-])C1 ZINC001227484155 777846433 /nfs/dbraw/zinc/84/64/33/777846433.db2.gz JTFCKBCVCPJCJV-WDEREUQCSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1ccc(COC2CCC(=O)CC2)cc1[N+](=O)[O-] ZINC000714047713 777895861 /nfs/dbraw/zinc/89/58/61/777895861.db2.gz AWSPZHHEZBWIBE-UHFFFAOYSA-N 0 0 263.293 2.932 20 5 CFBDRN Cc1ccc(NC(=O)c2c(F)cccc2[N+](=O)[O-])cn1 ZINC000714052948 777896961 /nfs/dbraw/zinc/89/69/61/777896961.db2.gz VANJCZWJBLJARH-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CO[C@@H](C)CCOc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233496977 777968885 /nfs/dbraw/zinc/96/88/85/777968885.db2.gz AZWZCQIOJWEEHR-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1OC[C@@H]1Cc2ccccc2O1 ZINC001233551202 777987999 /nfs/dbraw/zinc/98/79/99/777987999.db2.gz OEILYAXDKWURNK-LBPRGKRZSA-N 0 0 286.287 2.681 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1c(C)ccnc1[N+](=O)[O-] ZINC001233557017 777990741 /nfs/dbraw/zinc/99/07/41/777990741.db2.gz RHYJNYPZPNZCBT-LLVKDONJSA-N 0 0 296.323 2.655 20 5 CFBDRN C=Cc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(OC)c1 ZINC001233571815 777996886 /nfs/dbraw/zinc/99/68/86/777996886.db2.gz ZBLRSDIKTPPWFJ-CQSZACIVSA-N 0 0 263.293 2.772 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233597946 778004450 /nfs/dbraw/zinc/00/44/50/778004450.db2.gz RLBHODAJSFXYLW-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN Cc1cccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1F ZINC001228226469 778025615 /nfs/dbraw/zinc/02/56/15/778025615.db2.gz YJWZDBPUFPBRDA-ZDUSSCGKSA-N 0 0 276.267 2.926 20 5 CFBDRN C[C@H]1CC[C@@H](COc2c(F)cc(F)cc2[N+](=O)[O-])O1 ZINC001233695086 778042336 /nfs/dbraw/zinc/04/23/36/778042336.db2.gz ISRLMAOBJXQDQI-CBAPKCEASA-N 0 0 273.235 2.819 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1O[C@@H]1COc2cnccc21 ZINC001233697510 778044324 /nfs/dbraw/zinc/04/43/24/778044324.db2.gz CLSYIJIMRRJHKO-GFCCVEGCSA-N 0 0 294.213 2.781 20 5 CFBDRN CCO[C@@H](C)COc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233698085 778045399 /nfs/dbraw/zinc/04/53/99/778045399.db2.gz GVPRCTSMYWVZIJ-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC001233701504 778048916 /nfs/dbraw/zinc/04/89/16/778048916.db2.gz BGWIVWIYAAHAAF-VIFPVBQESA-N 0 0 264.237 2.991 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1)C1CC1 ZINC001233704933 778049920 /nfs/dbraw/zinc/04/99/20/778049920.db2.gz RVRMCQSBIHFXCP-SSDOTTSWSA-N 0 0 252.226 2.680 20 5 CFBDRN Cc1c(O[C@H](C[N+](=O)[O-])C2CC2)cnc2ccccc12 ZINC001233723534 778054243 /nfs/dbraw/zinc/05/42/43/778054243.db2.gz ONFCDZIWQVUDAO-OAHLLOKOSA-N 0 0 272.304 2.977 20 5 CFBDRN C[C@@H](Oc1cc(F)c([N+](=O)[O-])c(F)c1)c1cnccn1 ZINC001233753364 778064720 /nfs/dbraw/zinc/06/47/20/778064720.db2.gz LCQPWMABSOUKOG-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2COc3cnccc32)c(F)c1F ZINC001233770537 778073188 /nfs/dbraw/zinc/07/31/88/778073188.db2.gz NQAXCQXJVIFPET-NSHDSACASA-N 0 0 294.213 2.781 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(F)cc1-c1ccno1)C1CC1 ZINC001233810948 778085175 /nfs/dbraw/zinc/08/51/75/778085175.db2.gz MURKUHKKZQCIEY-CQSZACIVSA-N 0 0 292.266 2.915 20 5 CFBDRN C[C@H](Oc1ccnc([N+](=O)[O-])c1)c1cccnc1F ZINC001233859902 778098887 /nfs/dbraw/zinc/09/88/87/778098887.db2.gz RQWSRBCXLCVFOT-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CC(C)CCOCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000127378553 778124222 /nfs/dbraw/zinc/12/42/22/778124222.db2.gz QREZOYITBYZEPS-UHFFFAOYSA-N 0 0 281.308 2.814 20 5 CFBDRN CCCNc1cc([N+](=O)[O-])cc(Br)n1 ZINC001168132691 778127965 /nfs/dbraw/zinc/12/79/65/778127965.db2.gz WNDOIQTWGMOXEU-UHFFFAOYSA-N 0 0 260.091 2.574 20 5 CFBDRN Cc1c(F)cc([N+](=O)[O-])cc1NCC1(F)CCOCC1 ZINC001168132468 778128114 /nfs/dbraw/zinc/12/81/14/778128114.db2.gz JNXFVXXNKHGYOT-UHFFFAOYSA-N 0 0 286.278 2.973 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001233985617 778130996 /nfs/dbraw/zinc/13/09/96/778130996.db2.gz CGJCLUNNVCGESA-GZBFAFLISA-N 0 0 289.331 2.858 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1OC1CC(F)(F)C1 ZINC001233988951 778131715 /nfs/dbraw/zinc/13/17/15/778131715.db2.gz ZWFPTIMPZGPSHC-UHFFFAOYSA-N 0 0 287.262 2.691 20 5 CFBDRN Cc1cc(F)c(O[C@H]2CCOC[C@H]2F)cc1[N+](=O)[O-] ZINC001234058489 778155266 /nfs/dbraw/zinc/15/52/66/778155266.db2.gz FGJRETJFRHWRQI-KOLCDFICSA-N 0 0 273.235 2.548 20 5 CFBDRN Cc1cc(F)c(O[C@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC001234062399 778156281 /nfs/dbraw/zinc/15/62/81/778156281.db2.gz ZXGWZQMDLPOTDZ-CABZTGNLSA-N 0 0 269.272 2.846 20 5 CFBDRN CCOC[C@H](C)Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228614606 778174480 /nfs/dbraw/zinc/17/44/80/778174480.db2.gz WJRVTCMSZSSHSY-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN Cc1ccc(N2CC[C@@H](C(C)(C)O)C2)c([N+](=O)[O-])c1 ZINC000721845327 778175550 /nfs/dbraw/zinc/17/55/50/778175550.db2.gz HUILJDKBRRZLTF-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cc(C)cc([N+](=O)[O-])c2)C1 ZINC001228839056 778200789 /nfs/dbraw/zinc/20/07/89/778200789.db2.gz SYVOOCGRLNQGPX-XBXGTLAGSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)[C@@H](C)Oc1cc(N2CCOCC2)ccc1[N+](=O)[O-] ZINC001234359558 778214489 /nfs/dbraw/zinc/21/44/89/778214489.db2.gz HKYCOZPDFWHNTG-GFCCVEGCSA-N 0 0 294.351 2.855 20 5 CFBDRN CCOc1nccc(O[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001234437982 778224887 /nfs/dbraw/zinc/22/48/87/778224887.db2.gz HHZKMKBGVLLODJ-SNVBAGLBSA-N 0 0 289.291 2.924 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1F)ncnc2NC[C@H]1C[C@H](F)C1 ZINC001168233635 778262773 /nfs/dbraw/zinc/26/27/73/778262773.db2.gz MILYDJQRTZYXPI-ZKCHVHJHSA-N 0 0 294.261 2.837 20 5 CFBDRN COc1c(Cl)cccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001234639484 778272414 /nfs/dbraw/zinc/27/24/14/778272414.db2.gz CLRQLZPMTCGWSQ-NSHDSACASA-N 0 0 271.700 2.783 20 5 CFBDRN Cc1cc(Cl)c(N[C@H]2C[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC001168247770 778272371 /nfs/dbraw/zinc/27/23/71/778272371.db2.gz YFFZWVMXDHKYPA-DTORHVGOSA-N 0 0 270.716 2.739 20 5 CFBDRN CC[C@H](COc1cccc(C(C)=O)c1[N+](=O)[O-])OC ZINC001229137025 778272431 /nfs/dbraw/zinc/27/24/31/778272431.db2.gz BRIXJZDTEQNCCZ-SNVBAGLBSA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1ccc(Nc2cccc3c2CNC3=O)c([N+](=O)[O-])c1 ZINC001214171247 778301697 /nfs/dbraw/zinc/30/16/97/778301697.db2.gz ASZXHVRWWXYVLL-UHFFFAOYSA-N 0 0 283.287 2.890 20 5 CFBDRN Cc1ccc(Nc2cc3c(cc2N)OCO3)c([N+](=O)[O-])c1 ZINC001214174317 778309720 /nfs/dbraw/zinc/30/97/20/778309720.db2.gz PLYMSODIRQZLNJ-UHFFFAOYSA-N 0 0 287.275 2.958 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCC[C@H](C)C2)n1 ZINC001234879767 778319836 /nfs/dbraw/zinc/31/98/36/778319836.db2.gz SUJHGSUTTWXLHH-UWVGGRQHSA-N 0 0 266.297 2.956 20 5 CFBDRN CCCCOC[C@H](C)Oc1nc(OC)ccc1[N+](=O)[O-] ZINC001234879994 778320046 /nfs/dbraw/zinc/32/00/46/778320046.db2.gz VCNSLWWQEXSTCO-JTQLQIEISA-N 0 0 284.312 2.582 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2cccnc2F)n1 ZINC001234882150 778321000 /nfs/dbraw/zinc/32/10/00/778321000.db2.gz VRJYMJJXCMLHAN-QMMMGPOBSA-N 0 0 293.254 2.673 20 5 CFBDRN COC1=CC[C@@H](Oc2c(C)nccc2[N+](=O)[O-])CC1 ZINC001234902824 778325353 /nfs/dbraw/zinc/32/53/53/778325353.db2.gz BIPHAUVMBPQYAD-LLVKDONJSA-N 0 0 264.281 2.760 20 5 CFBDRN CCCC[C@H](C)Oc1nc(NC(C)=O)nc(C)c1[N+](=O)[O-] ZINC001234907636 778327696 /nfs/dbraw/zinc/32/76/96/778327696.db2.gz CMMKRLAXFNMNNX-QMMMGPOBSA-N 0 0 296.327 2.609 20 5 CFBDRN CCOc1cc(O[C@@H](C[N+](=O)[O-])C2CC2)c(Cl)cn1 ZINC001234929313 778331184 /nfs/dbraw/zinc/33/11/84/778331184.db2.gz CGZTXCYYKUPMKM-NSHDSACASA-N 0 0 286.715 2.568 20 5 CFBDRN COc1c(Cl)ccc(O[C@H](C[N+](=O)[O-])C2CC2)c1F ZINC001234964772 778342853 /nfs/dbraw/zinc/34/28/53/778342853.db2.gz RLDJADDQMFPKTR-SNVBAGLBSA-N 0 0 289.690 2.922 20 5 CFBDRN COc1cc(NCc2ccc(=O)[nH]c2)cc([N+](=O)[O-])c1C ZINC001168302994 778417659 /nfs/dbraw/zinc/41/76/59/778417659.db2.gz BKQVGMKDDTZKGQ-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN O=C(NCc1cc(Cl)ccn1)c1csc([N+](=O)[O-])c1 ZINC000344667156 778472784 /nfs/dbraw/zinc/47/27/84/778472784.db2.gz PBCQCMFZOXXSLI-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN COC[C@H](C)COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842336554 778551233 /nfs/dbraw/zinc/55/12/33/778551233.db2.gz JJMCFDPMSCZACE-LEWSCRJBSA-N 0 0 293.319 2.524 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000697951769 778566246 /nfs/dbraw/zinc/56/62/46/778566246.db2.gz LFTUFITWBRPGNQ-UHFFFAOYSA-N 0 0 294.204 2.648 20 5 CFBDRN CC1(CCNc2ccc([N+](=O)[O-])c3nonc32)CC1 ZINC000698116700 778714799 /nfs/dbraw/zinc/71/47/99/778714799.db2.gz OJDJUKFWVOYRNN-UHFFFAOYSA-N 0 0 262.269 2.733 20 5 CFBDRN O=C1CC(CNc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001168393538 778781903 /nfs/dbraw/zinc/78/19/03/778781903.db2.gz ZWIVHPFRJOZCNL-UHFFFAOYSA-N 0 0 254.673 2.639 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])Cn1ncsc1=S ZINC000046477596 778838640 /nfs/dbraw/zinc/83/86/40/778838640.db2.gz ZWORZJMUKMYIHM-UHFFFAOYSA-N 0 0 296.377 2.672 20 5 CFBDRN C[C@H]1CCC(F)(F)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC001120821642 778987407 /nfs/dbraw/zinc/98/74/07/778987407.db2.gz KFDUYHVUYMIBQN-ZETCQYMHSA-N 0 0 290.291 2.916 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]1F ZINC001120902017 779003097 /nfs/dbraw/zinc/00/30/97/779003097.db2.gz XDFDZGYXOWRHKC-RKDXNWHRSA-N 0 0 252.245 2.508 20 5 CFBDRN Cc1nn(Cc2ccc([N+](=O)[O-])c(F)c2)c(C)c1[N+](=O)[O-] ZINC000094775037 779055370 /nfs/dbraw/zinc/05/53/70/779055370.db2.gz WXJHKSQWAPUGEU-UHFFFAOYSA-N 0 0 294.242 2.504 20 5 CFBDRN Cc1cc(F)c(OC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC001234058627 779128265 /nfs/dbraw/zinc/12/82/65/779128265.db2.gz GZVMMGMLANFBPJ-SNVBAGLBSA-N 0 0 269.272 2.990 20 5 CFBDRN CC[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1Cc2ccc(Cl)cc21 ZINC001123354537 779392603 /nfs/dbraw/zinc/39/26/03/779392603.db2.gz AYOXTTPPSNKMPF-ZYHUDNBSSA-N 0 0 283.711 2.578 20 5 CFBDRN Cc1cc(NC(=O)N2CCCOC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC001123359137 779395006 /nfs/dbraw/zinc/39/50/06/779395006.db2.gz FFVMEXRDAZYUQQ-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1nccn1CCCOc1cc(F)ccc1[N+](=O)[O-] ZINC000699906267 779421149 /nfs/dbraw/zinc/42/11/49/779421149.db2.gz APGCVQSLFZJESJ-UHFFFAOYSA-N 0 0 279.271 2.708 20 5 CFBDRN CC(C)=CC(=O)NC/C=C/CNc1ccc([N+](=O)[O-])cc1 ZINC001107018696 779740605 /nfs/dbraw/zinc/74/06/05/779740605.db2.gz TZKMLABZEWJVJI-ONEGZZNKSA-N 0 0 289.335 2.645 20 5 CFBDRN O=C(NCc1cc(Cl)ccn1)c1ccc([N+](=O)[O-])cc1 ZINC000355787499 779979909 /nfs/dbraw/zinc/97/99/09/779979909.db2.gz HBQVKVLXUAVLQB-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN C=Cc1ccc(CNc2nccnc2[N+](=O)[O-])cc1 ZINC001168574133 779981072 /nfs/dbraw/zinc/98/10/72/779981072.db2.gz IMBQZZSZLGHTND-UHFFFAOYSA-N 0 0 256.265 2.640 20 5 CFBDRN COC1CCC(Nc2ccc3c([N+](=O)[O-])c[nH]c3n2)CC1 ZINC001168604041 780034946 /nfs/dbraw/zinc/03/49/46/780034946.db2.gz ZRCRNZFNJYEPCR-UHFFFAOYSA-N 0 0 290.323 2.841 20 5 CFBDRN Cc1cccc2c1N[C@H](c1cccc([N+](=O)[O-])c1)NC2=O ZINC000027578016 780126980 /nfs/dbraw/zinc/12/69/80/780126980.db2.gz APLOXWZHVRXHSS-AWEZNQCLSA-N 0 0 283.287 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCNc3ccccc32)nc1 ZINC001168638933 780159782 /nfs/dbraw/zinc/15/97/82/780159782.db2.gz MPVVNNYDUPYCKY-ZDUSSCGKSA-N 0 0 270.292 2.959 20 5 CFBDRN CNc1cc([N+](=O)[O-])cnc1N[C@H]1CCCc2cccnc21 ZINC001168656474 780211253 /nfs/dbraw/zinc/21/12/53/780211253.db2.gz PRZVLGRZDYFOTI-LBPRGKRZSA-N 0 0 299.334 2.916 20 5 CFBDRN Cc1nn(C)c(NC[C@]23C[C@H]2CCCC3)c1[N+](=O)[O-] ZINC000693522901 780220889 /nfs/dbraw/zinc/22/08/89/780220889.db2.gz MZIYUKGTBSTJSE-MFKMUULPSA-N 0 0 264.329 2.629 20 5 CFBDRN COc1cnc(N[C@H](C)CCCC(C)(C)O)cc1[N+](=O)[O-] ZINC001168697633 780361398 /nfs/dbraw/zinc/36/13/98/780361398.db2.gz DYHVCZLDMZSGDY-SNVBAGLBSA-N 0 0 297.355 2.740 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2ccc(OC)c([N+](=O)[O-])c2)[C@@H]1C ZINC001128282395 780377432 /nfs/dbraw/zinc/37/74/32/780377432.db2.gz WMFPHVNSVNJZSU-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN COC[C@H](Nc1nc(Cl)c(C)cc1[N+](=O)[O-])C1CC1 ZINC001161720608 780480180 /nfs/dbraw/zinc/48/01/80/780480180.db2.gz PDKZQXUPNDLRJQ-VIFPVBQESA-N 0 0 285.731 2.789 20 5 CFBDRN C[C@H](SCCn1cc([N+](=O)[O-])cn1)c1cccnc1 ZINC001117542661 780566860 /nfs/dbraw/zinc/56/68/60/780566860.db2.gz SOSCEXMDWHTVBS-JTQLQIEISA-N 0 0 278.337 2.681 20 5 CFBDRN C[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000132763988 780597031 /nfs/dbraw/zinc/59/70/31/780597031.db2.gz HVNRELNOHCTLFE-MRVPVSSYSA-N 0 0 266.272 2.510 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NC(C3CC3)C3CC3)cn21 ZINC001168744406 780602106 /nfs/dbraw/zinc/60/21/06/780602106.db2.gz PBXNIVSBYQRMNS-UHFFFAOYSA-N 0 0 272.308 2.843 20 5 CFBDRN O=[N+]([O-])c1cc(Br)nc(NC2CC(F)C2)c1 ZINC001162212281 780617010 /nfs/dbraw/zinc/61/70/10/780617010.db2.gz GJBNQGNHKXEGND-UHFFFAOYSA-N 0 0 290.092 2.665 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000700620668 780710071 /nfs/dbraw/zinc/71/00/71/780710071.db2.gz KBJAIMLURRMQGQ-MRVPVSSYSA-N 0 0 266.684 2.697 20 5 CFBDRN CC(C)O[C@@H]1CCN(Cc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000701666061 780821838 /nfs/dbraw/zinc/82/18/38/780821838.db2.gz IHOINQCJVIBYKM-GFCCVEGCSA-N 0 0 282.315 2.733 20 5 CFBDRN O=C(NCC1=CCCC1)c1cc([N+](=O)[O-])ccc1F ZINC000702410380 780923232 /nfs/dbraw/zinc/92/32/32/780923232.db2.gz LACGRSGAOVXSKI-UHFFFAOYSA-N 0 0 264.256 2.574 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCC[C@@H](C)F)c1F ZINC000702569708 780933758 /nfs/dbraw/zinc/93/37/58/780933758.db2.gz JPXBSOCSYQYFMZ-MRVPVSSYSA-N 0 0 272.251 2.520 20 5 CFBDRN CC(C)c1ccc(C(=O)Cn2ccnc2[N+](=O)[O-])cc1 ZINC000702729655 780947465 /nfs/dbraw/zinc/94/74/65/780947465.db2.gz KKEOBYVNIABVHA-UHFFFAOYSA-N 0 0 273.292 2.798 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1cccc(F)c1[N+](=O)[O-] ZINC000703178372 780995498 /nfs/dbraw/zinc/99/54/98/780995498.db2.gz GDHIRPNDQMNHDN-VIFPVBQESA-N 0 0 268.288 2.961 20 5 CFBDRN CCO[C@@H](COc1ccc([N+](=O)[O-])cc1C=O)C1CC1 ZINC000703513882 781018517 /nfs/dbraw/zinc/01/85/17/781018517.db2.gz CNYJXOBKXUJKLI-AWEZNQCLSA-N 0 0 279.292 2.601 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC3(C2)CCCO3)c1 ZINC000705796075 781147154 /nfs/dbraw/zinc/14/71/54/781147154.db2.gz MRKAAWBUELSMCG-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1ccc([N+](=O)[O-])s1)CC2 ZINC001129196211 781147204 /nfs/dbraw/zinc/14/72/04/781147204.db2.gz CDSPAXZWWZHZOW-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H](C(F)F)C1 ZINC000706334278 781171043 /nfs/dbraw/zinc/17/10/43/781171043.db2.gz LEKWVCLCTUQHQY-ZETCQYMHSA-N 0 0 260.215 2.825 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc([N+](=O)[O-])cc1C ZINC000706386703 781171536 /nfs/dbraw/zinc/17/15/36/781171536.db2.gz LWGAOMJDNRPEAT-UHFFFAOYSA-N 0 0 266.341 2.891 20 5 CFBDRN CCC(O)(CC)CN(C)c1c(F)cc([N+](=O)[O-])cc1F ZINC000706390720 781172180 /nfs/dbraw/zinc/17/21/80/781172180.db2.gz JLUOQPKNMRPWLF-UHFFFAOYSA-N 0 0 288.294 2.860 20 5 CFBDRN CO[C@H]1C[C@H]([NH2+]Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000706567857 781189733 /nfs/dbraw/zinc/18/97/33/781189733.db2.gz WBRAVYXCTOCYBD-XYPYZODXSA-N 0 0 270.716 2.515 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@H]3C[C@H]32)ccc1Br ZINC000707002713 781220855 /nfs/dbraw/zinc/22/08/55/781220855.db2.gz RQPWHTGHVXPXDM-GXSJLCMTSA-N 0 0 297.152 2.952 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC[C@H]3C[C@H]32)c1Br ZINC000706998475 781221737 /nfs/dbraw/zinc/22/17/37/781221737.db2.gz FPPLCEOEYFMLRP-GZMMTYOYSA-N 0 0 297.152 2.952 20 5 CFBDRN CC(C)(C)CN(CCO)c1ccc([N+](=O)[O-])cc1F ZINC000707984024 781260298 /nfs/dbraw/zinc/26/02/98/781260298.db2.gz UDPKHHKMUXPKCU-UHFFFAOYSA-N 0 0 270.304 2.579 20 5 CFBDRN Cc1conc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000708224928 781267291 /nfs/dbraw/zinc/26/72/91/781267291.db2.gz BUBYXTAGWOFTNM-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cn(C)cn1)c1cccc([N+](=O)[O-])c1 ZINC000709935543 781323650 /nfs/dbraw/zinc/32/36/50/781323650.db2.gz SZGJLVIBVJLWSW-MNOVXSKESA-N 0 0 274.324 2.740 20 5 CFBDRN CC[C@]1(O)CCCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000710268739 781330861 /nfs/dbraw/zinc/33/08/61/781330861.db2.gz PQQOTRQTCWEVJQ-AWEZNQCLSA-N 0 0 298.770 2.985 20 5 CFBDRN CC1(C)CC[C@H](CNc2ncccc2[N+](=O)[O-])OC1 ZINC000710714621 781336279 /nfs/dbraw/zinc/33/62/79/781336279.db2.gz VPDZDBGHTGXOFX-SNVBAGLBSA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@]1(O)CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000710589557 781345083 /nfs/dbraw/zinc/34/50/83/781345083.db2.gz CYCYEQNNDLSHDK-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN CC(=O)c1cc(N2CC[C@H](CF)C2)ccc1[N+](=O)[O-] ZINC000711410918 781427819 /nfs/dbraw/zinc/42/78/19/781427819.db2.gz XGERIMYROHIXKC-SNVBAGLBSA-N 0 0 266.272 2.593 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC3(CCC3)O2)s1 ZINC000711451159 781436000 /nfs/dbraw/zinc/43/60/00/781436000.db2.gz DPKJQJNMDQWLKA-QMMMGPOBSA-N 0 0 269.326 2.565 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC[C@@H](CF)C1 ZINC000711509580 781448801 /nfs/dbraw/zinc/44/88/01/781448801.db2.gz RCFFQLNJBGJFIN-QMMMGPOBSA-N 0 0 286.690 2.680 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@@H](CF)C2)c([N+](=O)[O-])c1 ZINC000711516902 781452270 /nfs/dbraw/zinc/45/22/70/781452270.db2.gz DUESMBZGRTUVNB-NSHDSACASA-N 0 0 280.299 2.983 20 5 CFBDRN CC1(C)CC[C@@H](COc2ccc([N+](=O)[O-])nc2Cl)O1 ZINC001229914767 781456539 /nfs/dbraw/zinc/45/65/39/781456539.db2.gz FXTYVBFXJDFBQU-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H](C1CCC1)[C@H]1CCOC1 ZINC000711839634 781473254 /nfs/dbraw/zinc/47/32/54/781473254.db2.gz DBMODPBBSFYBNU-AAEUAGOBSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CCC2)[C@H]2CCOC2)cn1 ZINC000711846501 781477675 /nfs/dbraw/zinc/47/76/75/781477675.db2.gz HOGBBICLOKBKAN-FZMZJTMJSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1ncoc1COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC001129300608 781521869 /nfs/dbraw/zinc/52/18/69/781521869.db2.gz PUEBQOMATLJJJT-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN O=[N+]([O-])c1cnc(Cl)cc1N1CC(F)(C2CC2)C1 ZINC001163967514 781622744 /nfs/dbraw/zinc/62/27/44/781622744.db2.gz BPVMIRHZOQAOPJ-UHFFFAOYSA-N 0 0 271.679 2.582 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCCSC1 ZINC001118462776 781637429 /nfs/dbraw/zinc/63/74/29/781637429.db2.gz HFPLXDFSUJWNEE-JTQLQIEISA-N 0 0 299.323 2.920 20 5 CFBDRN COc1cc(N[C@H]2C=CCC2)c(F)cc1[N+](=O)[O-] ZINC000698317644 781702101 /nfs/dbraw/zinc/70/21/01/781702101.db2.gz JOLHDOHCCMMGRU-QMMMGPOBSA-N 0 0 252.245 2.873 20 5 CFBDRN C[C@@]1(F)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1F ZINC001164327003 781705782 /nfs/dbraw/zinc/70/57/82/781705782.db2.gz MBZNTSNVFNICDZ-MWLCHTKSSA-N 0 0 291.685 2.920 20 5 CFBDRN CC1(F)CN(c2nc(Cl)nc3ccc([N+](=O)[O-])cc32)C1 ZINC001164581838 781730655 /nfs/dbraw/zinc/73/06/55/781730655.db2.gz HYPVOYYFPFXJTL-UHFFFAOYSA-N 0 0 296.689 2.740 20 5 CFBDRN CC1(F)CN(c2nc(Cl)cc(Cl)c2[N+](=O)[O-])C1 ZINC001164586169 781730665 /nfs/dbraw/zinc/73/06/65/781730665.db2.gz XJZUOEFHZAIZNB-UHFFFAOYSA-N 0 0 280.086 2.845 20 5 CFBDRN CC(=O)CN(C(=O)c1cc([N+](=O)[O-])ccc1Cl)C(C)C ZINC001119036696 781743801 /nfs/dbraw/zinc/74/38/01/781743801.db2.gz BNRZKTFDXWQSLS-UHFFFAOYSA-N 0 0 298.726 2.688 20 5 CFBDRN O=C(OC[C@@H]1CC[C@@H](C2CC2)O1)c1csc([N+](=O)[O-])c1 ZINC001119394433 781793199 /nfs/dbraw/zinc/79/31/99/781793199.db2.gz WPRCWMWYXHNYEH-QWRGUYRKSA-N 0 0 297.332 2.771 20 5 CFBDRN CC(=CC(=O)OC(C)C)Nc1nc(C)ccc1[N+](=O)[O-] ZINC001166763946 781802909 /nfs/dbraw/zinc/80/29/09/781802909.db2.gz RVYPHDGJMLJRKE-YFHOEESVSA-N 0 0 279.296 2.566 20 5 CFBDRN COC(C)(C)[C@H]1CCCN1c1ncc([N+](=O)[O-])cc1F ZINC001166793140 781810519 /nfs/dbraw/zinc/81/05/19/781810519.db2.gz YMIBRQCRSJONKM-LLVKDONJSA-N 0 0 283.303 2.523 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)COCC(F)(F)F)n1 ZINC000268985340 190457613 /nfs/dbraw/zinc/45/76/13/190457613.db2.gz IOMJXZKAPNXZBM-QMMMGPOBSA-N 0 0 293.245 2.678 20 5 CFBDRN C[C@@H](N[C@@H]1CCCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000594505487 349433657 /nfs/dbraw/zinc/43/36/57/349433657.db2.gz WRYRCMOCIMFPFB-BXUZGUMPSA-N 0 0 286.335 2.977 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(CC3(F)F)C2)c1 ZINC000594589982 349453223 /nfs/dbraw/zinc/45/32/23/349453223.db2.gz UOGVRGKXKDTEER-UHFFFAOYSA-N 0 0 254.236 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CC3(F)F)C2)c2ccncc21 ZINC000594590186 349453267 /nfs/dbraw/zinc/45/32/67/349453267.db2.gz WDSANXMSSODUHC-UHFFFAOYSA-N 0 0 291.257 2.988 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc([C@@H]2CCC[C@@H]3C[C@@H]32)no1 ZINC000594538234 349443447 /nfs/dbraw/zinc/44/34/47/349443447.db2.gz VPJYBIJMWZRGEA-IEBDPFPHSA-N 0 0 288.307 2.887 20 5 CFBDRN CC1(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)CC=CC1 ZINC000594538616 349443484 /nfs/dbraw/zinc/44/34/84/349443484.db2.gz SIYQYOCZKACSPO-UHFFFAOYSA-N 0 0 279.271 2.964 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCC[C@@H](O)C1 ZINC000594551571 349446063 /nfs/dbraw/zinc/44/60/63/349446063.db2.gz JYXIUUXSRONDNY-GHMZBOCLSA-N 0 0 280.324 2.566 20 5 CFBDRN CC(C)Oc1nc(S[C@H](C)CCO)ccc1[N+](=O)[O-] ZINC000594554365 349446535 /nfs/dbraw/zinc/44/65/35/349446535.db2.gz BLTSFUYPYOJZTD-SECBINFHSA-N 0 0 286.353 2.640 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H](OC2CCC2)C1 ZINC000594593831 349454450 /nfs/dbraw/zinc/45/44/50/349454450.db2.gz DNBMJMKWTZONSF-LBPRGKRZSA-N 0 0 277.324 2.528 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC1(COC)CC1 ZINC000594593068 349454504 /nfs/dbraw/zinc/45/45/04/349454504.db2.gz WKFFODRLGLDERE-UHFFFAOYSA-N 0 0 280.324 2.580 20 5 CFBDRN Cc1cnc(N[C@@H](C)Cc2ccccn2)c([N+](=O)[O-])c1 ZINC000594593440 349454785 /nfs/dbraw/zinc/45/47/85/349454785.db2.gz BKFABSMHIGHWKE-NSHDSACASA-N 0 0 272.308 2.736 20 5 CFBDRN COc1c(C)cccc1CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000594595582 349455424 /nfs/dbraw/zinc/45/54/24/349455424.db2.gz HTIMRIMFJGJEJU-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@@H]1CCCCO1 ZINC000594597674 349455920 /nfs/dbraw/zinc/45/59/20/349455920.db2.gz DQXXFSFGSFXUCO-RYUDHWBXSA-N 0 0 265.313 2.749 20 5 CFBDRN Cc1nc(NC[C@H]2CCC3(CCCC3)O2)ccc1[N+](=O)[O-] ZINC000594598238 349456526 /nfs/dbraw/zinc/45/65/26/349456526.db2.gz FUEUALOXKJGZGJ-GFCCVEGCSA-N 0 0 291.351 2.624 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])nc(C)n1CC)[C@H]1CCCCO1 ZINC000594599340 349456903 /nfs/dbraw/zinc/45/69/03/349456903.db2.gz JJRPRHJGKOJZBQ-NWDGAFQWSA-N 0 0 296.371 2.879 20 5 CFBDRN COc1ccc2c(c1)CN(c1nccc(C)c1[N+](=O)[O-])C2 ZINC000594604680 349460002 /nfs/dbraw/zinc/46/00/02/349460002.db2.gz VHQWDHZLRLUUBM-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN CC(C)O[C@@]1(COc2ccccc2[N+](=O)[O-])CCOC1 ZINC000594878566 349491480 /nfs/dbraw/zinc/49/14/80/349491480.db2.gz FGRPCTMXJNASTP-AWEZNQCLSA-N 0 0 281.308 2.558 20 5 CFBDRN COc1ccc(OCc2cc(C)nc(C)n2)c([N+](=O)[O-])c1 ZINC000594882093 349492496 /nfs/dbraw/zinc/49/24/96/349492496.db2.gz JTQLWFLGTVSLHZ-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN C[C@H](N[C@H]1C[C@H](C)n2ncnc21)c1ccc([N+](=O)[O-])cc1 ZINC000594710114 349478397 /nfs/dbraw/zinc/47/83/97/349478397.db2.gz IKCSLIVOMGWNKV-KWBADKCTSA-N 0 0 287.323 2.543 20 5 CFBDRN Cc1cc(CSc2ccc([N+](=O)[O-])cn2)nc(C)n1 ZINC000594893358 349495920 /nfs/dbraw/zinc/49/59/20/349495920.db2.gz ZBPKVFUVPIBMEO-UHFFFAOYSA-N 0 0 276.321 2.689 20 5 CFBDRN Cc1cnc(OCc2cnn(C(C)(C)C)c2)c([N+](=O)[O-])c1 ZINC000594896483 349497755 /nfs/dbraw/zinc/49/77/55/349497755.db2.gz UQYLHUQSIZBBCF-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])sc2ncn1CCC1CCC1 ZINC000594897506 349498154 /nfs/dbraw/zinc/49/81/54/349498154.db2.gz NBLISOYMLAFPDO-UHFFFAOYSA-N 0 0 279.321 2.556 20 5 CFBDRN CCC(CC)CN1C(=O)c2cccc([N+](=O)[O-])c2C1=O ZINC000594900368 349498873 /nfs/dbraw/zinc/49/88/73/349498873.db2.gz HKAWVFFMNOMOSC-UHFFFAOYSA-N 0 0 276.292 2.627 20 5 CFBDRN Cc1sc(C(=O)Nc2cccc(CO)c2)cc1[N+](=O)[O-] ZINC000067738887 346816903 /nfs/dbraw/zinc/81/69/03/346816903.db2.gz PDAMZKOBMIADOU-UHFFFAOYSA-N 0 0 292.316 2.709 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000067722581 346817000 /nfs/dbraw/zinc/81/70/00/346817000.db2.gz RBIFVDJLGLJBQK-RYUDHWBXSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1c[nH]c(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000594937302 349518508 /nfs/dbraw/zinc/51/85/08/349518508.db2.gz JXPQSSBDNWCETE-UHFFFAOYSA-N 0 0 274.324 2.651 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000124682587 187148785 /nfs/dbraw/zinc/14/87/85/187148785.db2.gz XJFBFXJFBRLDOL-ZJUUUORDSA-N 0 0 278.312 2.690 20 5 CFBDRN CCOc1cccc(N(C)C[C@H]2CCC[C@H]2O)c1[N+](=O)[O-] ZINC000273849308 192333874 /nfs/dbraw/zinc/33/38/74/192333874.db2.gz JMBLZJGZAMOPIX-DGCLKSJQSA-N 0 0 294.351 2.591 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NCC2CCCCC2)c1[N+](=O)[O-] ZINC000269334898 190487252 /nfs/dbraw/zinc/48/72/52/190487252.db2.gz NQWBIZTVEFADKD-UHFFFAOYSA-N 0 0 294.355 2.751 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000444469062 290620320 /nfs/dbraw/zinc/62/03/20/290620320.db2.gz SBZCKKNFTLXXJO-VHSXEESVSA-N 0 0 287.319 2.995 20 5 CFBDRN CCC(CC)(CNC(=O)c1cc(C)ccc1[N+](=O)[O-])OC ZINC000294590728 282116223 /nfs/dbraw/zinc/11/62/23/282116223.db2.gz IJMDSVBSSLFEOU-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN COc1ccc(CC(=O)Nc2ccccc2)cc1[N+](=O)[O-] ZINC000067786095 346818864 /nfs/dbraw/zinc/81/88/64/346818864.db2.gz BIXPCJFZSCBVCP-UHFFFAOYSA-N 0 0 286.287 2.785 20 5 CFBDRN C[C@@H](NCc1cc([O-])ccc1[N+](=O)[O-])c1cscn1 ZINC000594965836 349533453 /nfs/dbraw/zinc/53/34/53/349533453.db2.gz LMHCKHFBFGUOPQ-MRVPVSSYSA-N 0 0 279.321 2.608 20 5 CFBDRN CC(=O)[C@@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000083122303 178338710 /nfs/dbraw/zinc/33/87/10/178338710.db2.gz JUXWDBHYCHEBCV-SNVBAGLBSA-N 0 0 269.322 2.684 20 5 CFBDRN C[C@@H](O)[C@H](NCc1csc([N+](=O)[O-])c1)c1ccccc1 ZINC000595008456 349547472 /nfs/dbraw/zinc/54/74/72/349547472.db2.gz SYSHQXJPZKNTBA-YGRLFVJLSA-N 0 0 292.360 2.868 20 5 CFBDRN CC(C)[C@H](C)CC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000067817108 346821068 /nfs/dbraw/zinc/82/10/68/346821068.db2.gz LHQMWJWLKRBVSQ-LLVKDONJSA-N 0 0 264.325 2.893 20 5 CFBDRN C[C@@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])c1nccn1C ZINC000595110226 349583181 /nfs/dbraw/zinc/58/31/81/349583181.db2.gz YZYNDQKZVLOWFZ-ZWNOBZJWSA-N 0 0 286.335 2.666 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000125333118 187179951 /nfs/dbraw/zinc/17/99/51/187179951.db2.gz ZMHALILLKSNYTE-NSHDSACASA-N 0 0 268.338 2.983 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCOc2ccccc2)c1=O ZINC000096778392 185112432 /nfs/dbraw/zinc/11/24/32/185112432.db2.gz QTOQKOVRULKXIM-UHFFFAOYSA-N 0 0 288.303 2.534 20 5 CFBDRN C[C@H](c1cccnc1)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000068171867 346838620 /nfs/dbraw/zinc/83/86/20/346838620.db2.gz NEFSWZCCYIDRSE-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000068172210 346839025 /nfs/dbraw/zinc/83/90/25/346839025.db2.gz GQCRKUACXQUTJK-NSHDSACASA-N 0 0 285.303 2.823 20 5 CFBDRN Cc1noc(CN2Cc3ccccc3C[C@@H]2C)c1[N+](=O)[O-] ZINC000595325435 349602511 /nfs/dbraw/zinc/60/25/11/349602511.db2.gz IKVSQGNPYZNCEM-JTQLQIEISA-N 0 0 287.319 2.838 20 5 CFBDRN CCn1nc(C)c(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1C ZINC000068317608 346847647 /nfs/dbraw/zinc/84/76/47/346847647.db2.gz UPSIWAIRMNTTQQ-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCOC1CCN(Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000595294663 349593416 /nfs/dbraw/zinc/59/34/16/349593416.db2.gz BFFZITLPGUWEER-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1noc(CN(C)[C@H](C)c2ccco2)c1[N+](=O)[O-] ZINC000595296503 349594784 /nfs/dbraw/zinc/59/47/84/349594784.db2.gz FDWIAPTUGNKBAZ-SECBINFHSA-N 0 0 265.269 2.677 20 5 CFBDRN Cc1cc(CN(C)Cc2ccc(F)cc2[N+](=O)[O-])no1 ZINC000595298076 349595842 /nfs/dbraw/zinc/59/58/42/349595842.db2.gz MDMLGXIGPUNSPC-UHFFFAOYSA-N 0 0 279.271 2.662 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CCS1 ZINC000595301969 349597039 /nfs/dbraw/zinc/59/70/39/349597039.db2.gz HNRHTMVGLVKZJD-SECBINFHSA-N 0 0 270.329 2.671 20 5 CFBDRN O=C(NCCCNc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000068508582 346857211 /nfs/dbraw/zinc/85/72/11/346857211.db2.gz KLTZLRPFMFELMR-UHFFFAOYSA-N 0 0 299.330 2.827 20 5 CFBDRN CCC[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000595394534 349616413 /nfs/dbraw/zinc/61/64/13/349616413.db2.gz GXQUQYQFQWKATH-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN CCc1nocc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000595422294 349620664 /nfs/dbraw/zinc/62/06/64/349620664.db2.gz KWSDJIHCNBSVHU-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN CO[C@@H](CN1CCc2ccc([N+](=O)[O-])cc21)C1CCC1 ZINC000595430766 349624397 /nfs/dbraw/zinc/62/43/97/349624397.db2.gz LGFHJLOZBDLMKQ-HNNXBMFYSA-N 0 0 276.336 2.772 20 5 CFBDRN CC(C)(C)[C@H](CO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000269664442 190531119 /nfs/dbraw/zinc/53/11/19/190531119.db2.gz VGRNZKCLWDENEN-JTQLQIEISA-N 0 0 274.267 2.692 20 5 CFBDRN CCCCN(CCOC)c1ccc([N+](=O)[O-])s1 ZINC000068704320 346865696 /nfs/dbraw/zinc/86/56/96/346865696.db2.gz QHIQEYSWFFWHJG-UHFFFAOYSA-N 0 0 258.343 2.909 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCCc2ncccc21 ZINC000068745435 346867095 /nfs/dbraw/zinc/86/70/95/346867095.db2.gz FRHCCRZNAXXTDM-UHFFFAOYSA-N 0 0 289.316 2.644 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000068782230 346869678 /nfs/dbraw/zinc/86/96/78/346869678.db2.gz OAOVSMNFKAETOA-LLVKDONJSA-N 0 0 262.309 2.857 20 5 CFBDRN C[C@@H](O)[C@@H]1C[C@H](C)CC[N@@H+]1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595457163 349632708 /nfs/dbraw/zinc/63/27/08/349632708.db2.gz ATHHAOMPBCKZMX-GYSYKLTISA-N 0 0 296.342 2.715 20 5 CFBDRN O=C(NCCCC1CCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000595478383 349638608 /nfs/dbraw/zinc/63/86/08/349638608.db2.gz VIMDGSVYGJUBCO-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN C[C@@H]1CCCN(c2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000068824672 346872062 /nfs/dbraw/zinc/87/20/62/346872062.db2.gz MIQAVXFEUJBGTL-SECBINFHSA-N 0 0 280.353 2.930 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)c1ccc2c(c1)COC2 ZINC000068982225 346878463 /nfs/dbraw/zinc/87/84/63/346878463.db2.gz KJDJOCILQICWLD-UHFFFAOYSA-N 0 0 299.282 2.887 20 5 CFBDRN COC[C@H]1CCCCN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595522506 349650134 /nfs/dbraw/zinc/65/01/34/349650134.db2.gz KTILUYYZRLVXQF-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCCC1 ZINC000068934168 346877111 /nfs/dbraw/zinc/87/71/11/346877111.db2.gz OTADTXUNLZRDGH-LBPRGKRZSA-N 0 0 292.335 2.765 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)c2n[nH]cc21 ZINC000069046951 346881764 /nfs/dbraw/zinc/88/17/64/346881764.db2.gz OTZDGMHLSOLEFD-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2c(C)cccc2F)c1[N+](=O)[O-] ZINC000192849446 529469098 /nfs/dbraw/zinc/46/90/98/529469098.db2.gz POGIFSMNMJFZDW-UHFFFAOYSA-N 0 0 292.270 2.580 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)Cc1c[nH]cn1 ZINC000595595432 349668714 /nfs/dbraw/zinc/66/87/14/349668714.db2.gz HZKALUUSEMNISZ-JTQLQIEISA-N 0 0 260.297 2.511 20 5 CFBDRN C[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])C2(CCC2)CO1 ZINC000595563620 349661352 /nfs/dbraw/zinc/66/13/52/349661352.db2.gz NWEFVNWMRBCVIC-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN CN(Cc1c[nH]cn1)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000595591479 349667263 /nfs/dbraw/zinc/66/72/63/349667263.db2.gz INOWKVQBTBSQHK-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000413447090 529473506 /nfs/dbraw/zinc/47/35/06/529473506.db2.gz IHTATKGTTCHRDI-SKDRFNHKSA-N 0 0 284.287 2.722 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000413374203 529520427 /nfs/dbraw/zinc/52/04/27/529520427.db2.gz IOQKMHYPFXZVOM-JQWIXIFHSA-N 0 0 250.302 2.621 20 5 CFBDRN CC[C@@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000173504870 529523452 /nfs/dbraw/zinc/52/34/52/529523452.db2.gz BWXUGGPRZSCYPW-HNNXBMFYSA-N 0 0 291.351 2.951 20 5 CFBDRN CC[C@H](NC(=O)c1c(N)cccc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000416275812 529556257 /nfs/dbraw/zinc/55/62/57/529556257.db2.gz LUKRQOZMISCLBZ-KOLCDFICSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC1CCCCC1 ZINC000070249074 346909262 /nfs/dbraw/zinc/90/92/62/346909262.db2.gz FJLXKXLBLKKXKG-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN CCc1ccc(OCC(=O)c2ccn(C)c2)c([N+](=O)[O-])c1 ZINC000070497889 346910523 /nfs/dbraw/zinc/91/05/23/346910523.db2.gz QEMFSSNTDPAABU-UHFFFAOYSA-N 0 0 288.303 2.757 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H](C)CC)cc1[N+](=O)[O-] ZINC000421598258 529605015 /nfs/dbraw/zinc/60/50/15/529605015.db2.gz ZIVFESNHCMTQJW-SNVBAGLBSA-N 0 0 264.325 2.933 20 5 CFBDRN Cc1nnc(CN(C)Cc2c(F)cccc2[N+](=O)[O-])s1 ZINC000595885978 349761112 /nfs/dbraw/zinc/76/11/12/349761112.db2.gz SBINWWAUFKBUMR-UHFFFAOYSA-N 0 0 296.327 2.526 20 5 CFBDRN CC1CCC(N(C)C(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000070892341 346915970 /nfs/dbraw/zinc/91/59/70/346915970.db2.gz FKNLDLWCQKAOFN-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCCOC(C)C ZINC000192760665 529601896 /nfs/dbraw/zinc/60/18/96/529601896.db2.gz BSTNYENGFXXAOZ-UHFFFAOYSA-N 0 0 284.360 2.737 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC(C)(C)CCOC ZINC000192769087 529602264 /nfs/dbraw/zinc/60/22/64/529602264.db2.gz TUIMSXKENJSPOW-UHFFFAOYSA-N 0 0 284.360 2.594 20 5 CFBDRN COC[C@](C)(NCc1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000595872314 349758433 /nfs/dbraw/zinc/75/84/33/349758433.db2.gz VIUGGDXPHIUEHK-VIFPVBQESA-N 0 0 298.286 2.713 20 5 CFBDRN Cc1noc(CSc2nncn2C(C)(C)C)c1[N+](=O)[O-] ZINC000596022964 349777076 /nfs/dbraw/zinc/77/70/76/349777076.db2.gz QKFKXZJPIHXTFQ-UHFFFAOYSA-N 0 0 297.340 2.530 20 5 CFBDRN Cc1noc(COc2ccc3c(c2)CCC3=O)c1[N+](=O)[O-] ZINC000596024439 349777129 /nfs/dbraw/zinc/77/71/29/349777129.db2.gz RSGNIBGWJKGEDV-UHFFFAOYSA-N 0 0 288.259 2.599 20 5 CFBDRN Cc1noc(CSc2nnc(C3CC3)s2)c1[N+](=O)[O-] ZINC000596023455 349777232 /nfs/dbraw/zinc/77/72/32/349777232.db2.gz MNJBBWIFMVWGCR-UHFFFAOYSA-N 0 0 298.349 2.912 20 5 CFBDRN COCc1ccccc1NCc1onc(C)c1[N+](=O)[O-] ZINC000596027756 349777950 /nfs/dbraw/zinc/77/79/50/349777950.db2.gz WPWYYEYBXKFYEH-UHFFFAOYSA-N 0 0 277.280 2.650 20 5 CFBDRN Cc1noc(CNc2ccc3c(c2)COC3)c1[N+](=O)[O-] ZINC000596032241 349778716 /nfs/dbraw/zinc/77/87/16/349778716.db2.gz KAQWJXWYMGWAAS-UHFFFAOYSA-N 0 0 275.264 2.534 20 5 CFBDRN O=c1[nH]ccc(NCC2CCCCC2)c1[N+](=O)[O-] ZINC000596051942 349781919 /nfs/dbraw/zinc/78/19/19/349781919.db2.gz TWYLQSHZNVCMHR-UHFFFAOYSA-N 0 0 251.286 2.688 20 5 CFBDRN C[C@H]1CCCC[C@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596054891 349782608 /nfs/dbraw/zinc/78/26/08/349782608.db2.gz JEEHAXGVEBXDEB-DTWKUNHWSA-N 0 0 251.286 2.686 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596076297 349788358 /nfs/dbraw/zinc/78/83/58/349788358.db2.gz NMHFKFXWNNMEOP-MNOVXSKESA-N 0 0 291.351 2.709 20 5 CFBDRN CCc1ccc(CNc2cc[nH]c(=O)c2[N+](=O)[O-])s1 ZINC000596077033 349788366 /nfs/dbraw/zinc/78/83/66/349788366.db2.gz GMNQFHQEFAMNGZ-UHFFFAOYSA-N 0 0 279.321 2.931 20 5 CFBDRN CCOC1CCN(c2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596086498 349790575 /nfs/dbraw/zinc/79/05/75/349790575.db2.gz BVBVJCMXNHHETD-UHFFFAOYSA-N 0 0 270.354 2.970 20 5 CFBDRN CN(CC1CCCCC1)c1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596071775 349787131 /nfs/dbraw/zinc/78/71/31/349787131.db2.gz XOABNVZFNXKYAX-UHFFFAOYSA-N 0 0 265.313 2.712 20 5 CFBDRN CC[C@H](C)CNc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000420649822 529668955 /nfs/dbraw/zinc/66/89/55/529668955.db2.gz GUKXXLAKRWFATG-ZETCQYMHSA-N 0 0 292.382 2.518 20 5 CFBDRN CC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413549160 529676248 /nfs/dbraw/zinc/67/62/48/529676248.db2.gz VPLJBPNRGYMDEH-GXFFZTMASA-N 0 0 270.304 2.941 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@@H](O)C(C)C ZINC000413542980 529676840 /nfs/dbraw/zinc/67/68/40/529676840.db2.gz FIXXDYHFGKBDRT-GWCFXTLKSA-N 0 0 267.329 2.506 20 5 CFBDRN CC[C@H](Nc1ccccc1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413543423 529679977 /nfs/dbraw/zinc/67/99/77/529679977.db2.gz PJZFQRAAYKQUGT-GXFFZTMASA-N 0 0 252.314 2.802 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420593455 529683429 /nfs/dbraw/zinc/68/34/29/529683429.db2.gz BQXAMHCLHXLQPQ-UWVGGRQHSA-N 0 0 279.340 2.930 20 5 CFBDRN Cc1ncc2c(n1)CC[C@H](Nc1ccsc1[N+](=O)[O-])C2 ZINC000596100752 349794809 /nfs/dbraw/zinc/79/48/09/349794809.db2.gz BWLTVOBKRBTBON-JTQLQIEISA-N 0 0 290.348 2.724 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])nc2)cc1 ZINC000072521884 346927423 /nfs/dbraw/zinc/92/74/23/346927423.db2.gz SHJYZKOYKSGUKK-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)C(C)C)c([N+](=O)[O-])s1 ZINC000596119723 349798725 /nfs/dbraw/zinc/79/87/25/349798725.db2.gz AQNGFNMMTQDDJW-LLVKDONJSA-N 0 0 258.343 2.784 20 5 CFBDRN Cc1cc(NCc2nncn2C2CC2)c([N+](=O)[O-])s1 ZINC000596120618 349798928 /nfs/dbraw/zinc/79/89/28/349798928.db2.gz JJUIPGOUSFAYMQ-UHFFFAOYSA-N 0 0 279.325 2.503 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000126464131 187241605 /nfs/dbraw/zinc/24/16/05/187241605.db2.gz VPSNIPHWHNZSNX-LBPRGKRZSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CCOC2)c([N+](=O)[O-])s1 ZINC000596125478 349800521 /nfs/dbraw/zinc/80/05/21/349800521.db2.gz CJQQKDZCFNLJHF-LLVKDONJSA-N 0 0 256.327 2.803 20 5 CFBDRN Cc1cc(NCC[C@@H](O)C(F)(F)F)c([N+](=O)[O-])s1 ZINC000596125102 349800654 /nfs/dbraw/zinc/80/06/54/349800654.db2.gz KKNBWFPQLIKIKQ-SSDOTTSWSA-N 0 0 284.259 2.690 20 5 CFBDRN CCc1ccc(OCC(=O)N[C@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC000115200893 529694601 /nfs/dbraw/zinc/69/46/01/529694601.db2.gz UIDCVHNXNSCQPM-LLVKDONJSA-N 0 0 294.351 2.697 20 5 CFBDRN CCc1ccc(OC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000115200732 529695010 /nfs/dbraw/zinc/69/50/10/529695010.db2.gz LYVVZUBKDXDRGD-NSHDSACASA-N 0 0 251.282 2.715 20 5 CFBDRN CC[C@@H](CCO)Nc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596135519 349803775 /nfs/dbraw/zinc/80/37/75/349803775.db2.gz IULWEDFFWAAICA-QMMMGPOBSA-N 0 0 288.731 2.830 20 5 CFBDRN Nc1ccc(N2CC[C@H](Cc3ccccc3)C2)nc1[N+](=O)[O-] ZINC000596136429 349804418 /nfs/dbraw/zinc/80/44/18/349804418.db2.gz HTOSLHZFQZUIAK-CYBMUJFWSA-N 0 0 298.346 2.641 20 5 CFBDRN O=c1[nH]ccc(N2CC[C@@H](Cc3ccccc3)C2)c1[N+](=O)[O-] ZINC000596137350 349804851 /nfs/dbraw/zinc/80/48/51/349804851.db2.gz SCDBDZXFFHOLJJ-ZDUSSCGKSA-N 0 0 299.330 2.764 20 5 CFBDRN CCS[C@@H](C)c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000273912426 192359563 /nfs/dbraw/zinc/35/95/63/192359563.db2.gz KSXAAVZQKZLVFM-LURJTMIESA-N 0 0 268.298 2.787 20 5 CFBDRN CCC[C@@H]1C[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000126736859 187258993 /nfs/dbraw/zinc/25/89/93/187258993.db2.gz YOBYWXPSVOAPQV-CHWSQXEVSA-N 0 0 276.336 2.751 20 5 CFBDRN C[C@H](CO)Sc1c(Br)cccc1[N+](=O)[O-] ZINC000596145039 349808059 /nfs/dbraw/zinc/80/80/59/349808059.db2.gz PHYNGZCJWPQQLP-ZCFIWIBFSA-N 0 0 292.154 2.830 20 5 CFBDRN Cc1c(NC(=O)CN2CCC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000072827271 346942392 /nfs/dbraw/zinc/94/23/92/346942392.db2.gz VYJNHEJWAMPVHY-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN CC1(C)CN(c2c(Cl)cncc2[N+](=O)[O-])CCS1 ZINC000596154689 349811270 /nfs/dbraw/zinc/81/12/70/349811270.db2.gz MMLFETLUZBXKLL-UHFFFAOYSA-N 0 0 287.772 2.975 20 5 CFBDRN Cc1cc(N2CCC[C@@H]([C@@H](C)O)C2)c([N+](=O)[O-])s1 ZINC000596169110 349815164 /nfs/dbraw/zinc/81/51/64/349815164.db2.gz FMRVTMIAAWZPBG-NXEZZACHSA-N 0 0 270.354 2.562 20 5 CFBDRN CCC[C@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596159320 349812927 /nfs/dbraw/zinc/81/29/27/349812927.db2.gz AQSMWVWLJIPVDX-JTQLQIEISA-N 0 0 265.313 2.712 20 5 CFBDRN CCC[C@H]1CCCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596161889 349813461 /nfs/dbraw/zinc/81/34/61/349813461.db2.gz MTTQUPGRPBOYEI-JTQLQIEISA-N 0 0 264.329 2.589 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCc3ccc(F)cc32)c1[N+](=O)[O-] ZINC000301177104 282274377 /nfs/dbraw/zinc/27/43/77/282274377.db2.gz GHUKEUVXYLBXKQ-GFCCVEGCSA-N 0 0 290.298 2.875 20 5 CFBDRN Cc1cc(N2CC(C(F)(F)F)C2)c([N+](=O)[O-])s1 ZINC000596162533 349814028 /nfs/dbraw/zinc/81/40/28/349814028.db2.gz MEEGKZDACYBRCO-UHFFFAOYSA-N 0 0 266.244 2.963 20 5 CFBDRN CS[C@@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000295012643 199299579 /nfs/dbraw/zinc/29/95/79/199299579.db2.gz PLDWISRQWBBNAL-SNVBAGLBSA-N 0 0 252.339 2.845 20 5 CFBDRN COc1cc(N[C@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000295022283 199303747 /nfs/dbraw/zinc/30/37/47/199303747.db2.gz QQPYSNHADDYNFX-SECBINFHSA-N 0 0 268.313 2.565 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1N[C@@H]1CCOC1 ZINC000596173230 349817091 /nfs/dbraw/zinc/81/70/91/349817091.db2.gz RXBGWOMMMWSASC-SSDOTTSWSA-N 0 0 287.113 2.558 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596175034 349817374 /nfs/dbraw/zinc/81/73/74/349817374.db2.gz OSHBLJLXKNWSNZ-ZETCQYMHSA-N 0 0 292.295 2.516 20 5 CFBDRN CC[C@@H](SC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000126824544 187264376 /nfs/dbraw/zinc/26/43/76/187264376.db2.gz SSSFHSNQHWHDOG-JOYOIKCWSA-N 0 0 282.365 2.914 20 5 CFBDRN CCC[C@H]1CN(c2cc(C)sc2[N+](=O)[O-])CCO1 ZINC000596176606 349817942 /nfs/dbraw/zinc/81/79/42/349817942.db2.gz CMMJFRBRIPWRQV-JTQLQIEISA-N 0 0 270.354 2.970 20 5 CFBDRN O=c1[nH]ccc(NC2CCC(F)(F)CC2)c1[N+](=O)[O-] ZINC000596178158 349818509 /nfs/dbraw/zinc/81/85/09/349818509.db2.gz IKLTWWHTDBGQKS-UHFFFAOYSA-N 0 0 273.239 2.685 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCC[C@H]2O)c([N+](=O)[O-])s1 ZINC000596187147 349821118 /nfs/dbraw/zinc/82/11/18/349821118.db2.gz OFLSQPKKBNAHSG-ZYHUDNBSSA-N 0 0 284.381 2.952 20 5 CFBDRN COC1(CNc2cc(C)sc2[N+](=O)[O-])CCC1 ZINC000596188181 349821459 /nfs/dbraw/zinc/82/14/59/349821459.db2.gz DMCAXSBRTHYKLF-UHFFFAOYSA-N 0 0 256.327 2.946 20 5 CFBDRN Cc1cc(NCc2nnc(C)s2)c([N+](=O)[O-])s1 ZINC000596187635 349821466 /nfs/dbraw/zinc/82/14/66/349821466.db2.gz VGUVZMPAVNCPFW-UHFFFAOYSA-N 0 0 270.339 2.737 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000596188677 349821594 /nfs/dbraw/zinc/82/15/94/349821594.db2.gz ZMXBWFSAYUOVNM-HNNXBMFYSA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1(C)C ZINC000596191807 349822229 /nfs/dbraw/zinc/82/22/29/349822229.db2.gz GSQYHKOIITZJTA-JTQLQIEISA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@@H]1CC[C@]2(CCN(c3ccc(N)c([N+](=O)[O-])n3)C2)C1 ZINC000596190639 349822628 /nfs/dbraw/zinc/82/26/28/349822628.db2.gz VELKPKFTCBJSSZ-YGRLFVJLSA-N 0 0 276.340 2.589 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1(C)C ZINC000596191808 349823013 /nfs/dbraw/zinc/82/30/13/349823013.db2.gz GSQYHKOIITZJTA-SNVBAGLBSA-N 0 0 291.351 2.566 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCc2ncsc2C1 ZINC000596204331 349826592 /nfs/dbraw/zinc/82/65/92/349826592.db2.gz TXGXRWSBFSOZDT-UHFFFAOYSA-N 0 0 296.739 2.662 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596223955 349832290 /nfs/dbraw/zinc/83/22/90/349832290.db2.gz VIYSKDQHZZROJB-LLVKDONJSA-N 0 0 291.351 2.566 20 5 CFBDRN CCOCCN(C)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000295063055 199325296 /nfs/dbraw/zinc/32/52/96/199325296.db2.gz POSNIMPOTHROPU-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN Cc1cc(NCc2ncnn2C(C)C)c([N+](=O)[O-])s1 ZINC000596226715 349833127 /nfs/dbraw/zinc/83/31/27/349833127.db2.gz XJVOMICDFQIGKI-UHFFFAOYSA-N 0 0 281.341 2.749 20 5 CFBDRN CCC(C)(C)CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596209241 349827752 /nfs/dbraw/zinc/82/77/52/349827752.db2.gz DXPHMKWWJSLQHA-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN C[C@H]1CC[C@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596217704 349830308 /nfs/dbraw/zinc/83/03/08/349830308.db2.gz OTJUASWVMZHRNR-IUCAKERBSA-N 0 0 251.286 2.544 20 5 CFBDRN Cc1cc(N2CCC[C@H](n3cccn3)C2)ccc1[N+](=O)[O-] ZINC000301202872 136425308 /nfs/dbraw/zinc/42/53/08/136425308.db2.gz XFWKJAXZBDXBFI-AWEZNQCLSA-N 0 0 286.335 2.941 20 5 CFBDRN CC1(C)C(CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1(C)C ZINC000596218388 349830379 /nfs/dbraw/zinc/83/03/79/349830379.db2.gz AGQUUNIPKANMFS-UHFFFAOYSA-N 0 0 291.351 2.788 20 5 CFBDRN COCc1cccc(OCc2onc(C)c2[N+](=O)[O-])c1 ZINC000596256496 349842707 /nfs/dbraw/zinc/84/27/07/349842707.db2.gz CUNFJTOUIQIANZ-UHFFFAOYSA-N 0 0 278.264 2.617 20 5 CFBDRN CCCC[C@H](C)N(C)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596236009 349835470 /nfs/dbraw/zinc/83/54/70/349835470.db2.gz HZODLZWXZXLNHI-JTQLQIEISA-N 0 0 279.340 2.709 20 5 CFBDRN CCCC[C@@H](C)N(C)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596236010 349835635 /nfs/dbraw/zinc/83/56/35/349835635.db2.gz HZODLZWXZXLNHI-SNVBAGLBSA-N 0 0 279.340 2.709 20 5 CFBDRN COC(=O)c1cc(O[C@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000596258388 349843698 /nfs/dbraw/zinc/84/36/98/349843698.db2.gz NNXOEJNHTQWKFQ-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN CC(C)(C)C(C)(C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596239943 349837210 /nfs/dbraw/zinc/83/72/10/349837210.db2.gz MZWIKSYPTIEIJV-UHFFFAOYSA-N 0 0 279.340 2.930 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H](O)c2ccccc2)n1 ZINC000128012492 187346545 /nfs/dbraw/zinc/34/65/45/187346545.db2.gz GPVAARANYHBSBG-AWEZNQCLSA-N 0 0 287.319 2.834 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2C(F)(F)F)[C@@H]1C ZINC000596247711 349839515 /nfs/dbraw/zinc/83/95/15/349839515.db2.gz LBOCGIHKELCRGI-RNFRBKRXSA-N 0 0 275.230 2.853 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596248682 349839632 /nfs/dbraw/zinc/83/96/32/349839632.db2.gz AWGORPKOPZVASR-QWRGUYRKSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)[C@@H]1C ZINC000596248990 349839656 /nfs/dbraw/zinc/83/96/56/349839656.db2.gz ORISCRAOTDKVFL-NXEZZACHSA-N 0 0 257.293 2.988 20 5 CFBDRN C[C@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])[C@H]1C ZINC000596247619 349839712 /nfs/dbraw/zinc/83/97/12/349839712.db2.gz KISROZLMJPURGZ-UWVGGRQHSA-N 0 0 257.293 2.988 20 5 CFBDRN Cc1cc(NC[C@@H](C)CCCO)c([N+](=O)[O-])s1 ZINC000596249648 349840405 /nfs/dbraw/zinc/84/04/05/349840405.db2.gz JMHOKXCTKNYOQB-QMMMGPOBSA-N 0 0 258.343 2.785 20 5 CFBDRN Cc1cc(N[C@H](C)CC(C)(C)O)c([N+](=O)[O-])s1 ZINC000596250609 349840432 /nfs/dbraw/zinc/84/04/32/349840432.db2.gz IHFPLDBXVLZNBB-SSDOTTSWSA-N 0 0 258.343 2.926 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cccnc32)[C@@H]1C ZINC000596251942 349841305 /nfs/dbraw/zinc/84/13/05/349841305.db2.gz ZTZNVMPAHNKJGO-NXEZZACHSA-N 0 0 257.293 2.988 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596251381 349841440 /nfs/dbraw/zinc/84/14/40/349841440.db2.gz WMXMWALTUDQENC-ZJUUUORDSA-N 0 0 265.313 2.934 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@@H]3C(F)F)c2c1 ZINC000596254805 349842124 /nfs/dbraw/zinc/84/21/24/349842124.db2.gz HEJTZFOPWWCPKD-LLVKDONJSA-N 0 0 279.246 2.987 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCO[C@H](C2CCC2)C1 ZINC000596270829 349848673 /nfs/dbraw/zinc/84/86/73/349848673.db2.gz SQANYXNLHISILG-LBPRGKRZSA-N 0 0 297.742 2.649 20 5 CFBDRN Cc1cc(N2CCO[C@H](C3CCC3)C2)c([N+](=O)[O-])s1 ZINC000596269764 349848867 /nfs/dbraw/zinc/84/88/67/349848867.db2.gz FKCIHTVSQBSWLK-LBPRGKRZSA-N 0 0 282.365 2.970 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000596272283 349849215 /nfs/dbraw/zinc/84/92/15/349849215.db2.gz WLGDIYIMFVKDCC-WEDXCCLWSA-N 0 0 267.716 2.666 20 5 CFBDRN Cc1cn(-c2cccc([N+](=O)[O-])c2)c(=O)n1CC(C)C ZINC000596278345 349850817 /nfs/dbraw/zinc/85/08/17/349850817.db2.gz PKJJGKZJUFYICF-UHFFFAOYSA-N 0 0 275.308 2.512 20 5 CFBDRN Cc1cc(N2CCC[C@@]3(CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596260451 349845566 /nfs/dbraw/zinc/84/55/66/349845566.db2.gz IETAHQPYRFEXGW-CYBMUJFWSA-N 0 0 282.365 2.972 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596263125 349846375 /nfs/dbraw/zinc/84/63/75/349846375.db2.gz BQSGBFVQRJAOKB-BXKDBHETSA-N 0 0 277.324 2.540 20 5 CFBDRN Cc1ccc(NCc2ncc3c(n2)CCC3)c([N+](=O)[O-])c1 ZINC000596263253 349846476 /nfs/dbraw/zinc/84/64/76/349846476.db2.gz CJIDILSKWPLDKJ-UHFFFAOYSA-N 0 0 284.319 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ncc3c(n2)CCC3)c(F)c1 ZINC000596263238 349846610 /nfs/dbraw/zinc/84/66/10/349846610.db2.gz BUQMLCCHXZHFMG-UHFFFAOYSA-N 0 0 288.282 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCO[C@H]1CC1(F)F ZINC000596266982 349847783 /nfs/dbraw/zinc/84/77/83/349847783.db2.gz XJMJWADIQSWSTP-NSHDSACASA-N 0 0 273.235 2.706 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCCO[C@H]1CC1(F)F ZINC000596268271 349847999 /nfs/dbraw/zinc/84/79/99/349847999.db2.gz IWARTTGTECLIOO-VIFPVBQESA-N 0 0 277.198 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc(F)cc(F)c2)cn1 ZINC000073286349 346967172 /nfs/dbraw/zinc/96/71/72/346967172.db2.gz SVYWOYDDBSZMOD-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CN(c1ccccc1[N+](=O)[O-])[C@H](CO)Cc1ccccc1 ZINC000596313781 349860969 /nfs/dbraw/zinc/86/09/69/349860969.db2.gz SOQGYAUFFVTCJG-AWEZNQCLSA-N 0 0 286.331 2.635 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1COC(C)(C)C1 ZINC000596311154 349860016 /nfs/dbraw/zinc/86/00/16/349860016.db2.gz LDCFFGUVANHLBO-SECBINFHSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1cc(N(C)Cc2c[nH]cn2)c(Cl)cc1[N+](=O)[O-] ZINC000596313160 349860778 /nfs/dbraw/zinc/86/07/78/349860778.db2.gz OOWZCZOLMQDUPY-UHFFFAOYSA-N 0 0 296.714 2.616 20 5 CFBDRN CCN(CCSC)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596290055 349853987 /nfs/dbraw/zinc/85/39/87/349853987.db2.gz XJFIVXMGFCJOAO-UHFFFAOYSA-N 0 0 275.761 2.833 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CCCC[C@@H]32)c(F)c1 ZINC000596295049 349855767 /nfs/dbraw/zinc/85/57/67/349855767.db2.gz OBPATYHHJRQPCQ-KWQFWETISA-N 0 0 251.261 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1C[C@@H]3CCCC[C@@H]31)CCN2 ZINC000596295539 349855818 /nfs/dbraw/zinc/85/58/18/349855818.db2.gz WPELECMGWQYDFH-GWCFXTLKSA-N 0 0 273.336 2.942 20 5 CFBDRN CCC[C@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000301844751 136441708 /nfs/dbraw/zinc/44/17/08/136441708.db2.gz SLLBTIKTVHIBBX-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN CN(c1ccccc1[N+](=O)[O-])[C@@H](CO)Cc1ccccc1 ZINC000596313782 349861615 /nfs/dbraw/zinc/86/16/15/349861615.db2.gz SOQGYAUFFVTCJG-CQSZACIVSA-N 0 0 286.331 2.635 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000073336071 346971645 /nfs/dbraw/zinc/97/16/45/346971645.db2.gz OEXGNMWYZBFDTG-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@]12C[C@H]1CCC2 ZINC000596318183 349862338 /nfs/dbraw/zinc/86/23/38/349862338.db2.gz ZBASEBFNSNBEFO-RDDDGLTNSA-N 0 0 253.689 2.998 20 5 CFBDRN Cc1ncccc1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000073347590 346972534 /nfs/dbraw/zinc/97/25/34/346972534.db2.gz VQUPIHABMAGUON-BQYQJAHWSA-N 0 0 283.287 2.950 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cnn(-c3ccccc3)c2)cn1 ZINC000073350099 346973181 /nfs/dbraw/zinc/97/31/81/346973181.db2.gz CPKVSGCIILWTOW-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CC[C@H](COCC1CC1)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000596327372 349864608 /nfs/dbraw/zinc/86/46/08/349864608.db2.gz QHPIQGCFOLPZLI-GFCCVEGCSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1cc(F)ccc1C[C@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000596327977 349865223 /nfs/dbraw/zinc/86/52/23/349865223.db2.gz MHRKOILLOONOIH-JTQLQIEISA-N 0 0 290.298 2.875 20 5 CFBDRN COC[C@@H](CCNc1cc(C)c([N+](=O)[O-])cc1F)OC ZINC000596330366 349866087 /nfs/dbraw/zinc/86/60/87/349866087.db2.gz OZBGTCJSALQGTR-SNVBAGLBSA-N 0 0 286.303 2.506 20 5 CFBDRN Cc1noc(CSCCOC(C)C)c1[N+](=O)[O-] ZINC000596340225 349869652 /nfs/dbraw/zinc/86/96/52/349869652.db2.gz LFDQYGOTMDMYCT-UHFFFAOYSA-N 0 0 260.315 2.549 20 5 CFBDRN CCC(CC)(CO)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219363421 539365642 /nfs/dbraw/zinc/36/56/42/539365642.db2.gz UGWXOTDRJQVEDU-UHFFFAOYSA-N 0 0 292.339 2.682 20 5 CFBDRN O=c1c(C(F)(F)F)cccn1Cc1cccc([N+](=O)[O-])c1 ZINC000073921531 346985135 /nfs/dbraw/zinc/98/51/35/346985135.db2.gz GXEQFHLYULJMOV-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN COCCC1CN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000596359892 349877205 /nfs/dbraw/zinc/87/72/05/349877205.db2.gz FIZASQMYXSSCMH-UHFFFAOYSA-N 0 0 268.288 2.515 20 5 CFBDRN CO[C@@H](CSCCn1cc([N+](=O)[O-])cn1)C1CCCC1 ZINC000596404713 349891063 /nfs/dbraw/zinc/89/10/63/349891063.db2.gz ZSRWJQKMNSPNNF-ZDUSSCGKSA-N 0 0 299.396 2.730 20 5 CFBDRN CC[C@@H](C)CCNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000596414888 349895556 /nfs/dbraw/zinc/89/55/56/349895556.db2.gz DNRUHBGLEHCODG-SECBINFHSA-N 0 0 265.313 2.542 20 5 CFBDRN CSCC1(CCNc2ccc([N+](=O)[O-])nc2)CC1 ZINC000596419208 349898567 /nfs/dbraw/zinc/89/85/67/349898567.db2.gz KKJCJNCRKQULRT-UHFFFAOYSA-N 0 0 267.354 2.935 20 5 CFBDRN COCc1nc(CNc2ncc(C)cc2[N+](=O)[O-])cs1 ZINC000290581701 197756628 /nfs/dbraw/zinc/75/66/28/197756628.db2.gz CAQQBUMFSZHBDX-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCC[C@H]2[C@@H](C)CO)c1 ZINC000596431822 349901102 /nfs/dbraw/zinc/90/11/02/349901102.db2.gz COWQFQRLVAGFOK-STQMWFEESA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cccc([C@H]2CCN(c3c([N+](=O)[O-])ncn3C)C2)c1 ZINC000273185120 192068327 /nfs/dbraw/zinc/06/83/27/192068327.db2.gz QPQGZTRFDPPAIU-ZDUSSCGKSA-N 0 0 286.335 2.631 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000074662326 347026111 /nfs/dbraw/zinc/02/61/11/347026111.db2.gz PITUINQXGCMRNQ-GFCCVEGCSA-N 0 0 292.335 2.765 20 5 CFBDRN C[C@H](CO)[C@@H]1CCCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000596434088 349901880 /nfs/dbraw/zinc/90/18/80/349901880.db2.gz IKJSIAKCSLBSIZ-KOLCDFICSA-N 0 0 299.758 2.631 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)C1(CO)CC1 ZINC000596437786 349902326 /nfs/dbraw/zinc/90/23/26/349902326.db2.gz QEHSMZINZCJHBL-MRVPVSSYSA-N 0 0 270.716 2.821 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000596437661 349902442 /nfs/dbraw/zinc/90/24/42/349902442.db2.gz XCQZLGVVRNTONB-HOMQSWHASA-N 0 0 288.307 2.517 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074767142 347031396 /nfs/dbraw/zinc/03/13/96/347031396.db2.gz FPJOYUOMTLWKFT-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074747164 347030348 /nfs/dbraw/zinc/03/03/48/347030348.db2.gz JBSZISPQYLXNIQ-VIFPVBQESA-N 0 0 275.312 2.513 20 5 CFBDRN Cc1cc(N2CC[C@H](Nc3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000074746552 347030404 /nfs/dbraw/zinc/03/04/04/347030404.db2.gz CQWCFALBAGEZLZ-AWEZNQCLSA-N 0 0 298.346 2.989 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074767138 347031222 /nfs/dbraw/zinc/03/12/22/347031222.db2.gz FPJOYUOMTLWKFT-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1nccn1CCCCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074766038 347031249 /nfs/dbraw/zinc/03/12/49/347031249.db2.gz FZMQGIBXXTXSGJ-UHFFFAOYSA-N 0 0 289.339 2.695 20 5 CFBDRN Cc1cc(Oc2ccc(-n3cncn3)cc2)ncc1[N+](=O)[O-] ZINC000074764703 347031294 /nfs/dbraw/zinc/03/12/94/347031294.db2.gz MMWYFSSQYXXVAC-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@H](C)[C@@H]2CCO)n1 ZINC000596443606 349905233 /nfs/dbraw/zinc/90/52/33/349905233.db2.gz PKSXUWKAPTVJDL-GWCFXTLKSA-N 0 0 293.367 2.594 20 5 CFBDRN CN(C[C@H]1CC=CCC1)c1ncc([N+](=O)[O-])cc1F ZINC000413241944 529962976 /nfs/dbraw/zinc/96/29/76/529962976.db2.gz WKEBEXLRAIYNKA-JTQLQIEISA-N 0 0 265.288 2.921 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@@H]2n2cccn2)nc1 ZINC000074776154 347033218 /nfs/dbraw/zinc/03/32/18/347033218.db2.gz VNRJMYZMSQQISW-OLZOCXBDSA-N 0 0 287.323 2.782 20 5 CFBDRN Cc1cc(N(C)Cc2nccs2)ccc1[N+](=O)[O-] ZINC000074782671 347034256 /nfs/dbraw/zinc/03/42/56/347034256.db2.gz QSLUIJLWOTXAAM-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCO[C@H]1CC1(F)F ZINC000596448811 349906212 /nfs/dbraw/zinc/90/62/12/349906212.db2.gz ZKZGYDJPDAODHU-NSHDSACASA-N 0 0 272.251 2.739 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CC1=CCCOC1 ZINC000596465990 349909387 /nfs/dbraw/zinc/90/93/87/349909387.db2.gz PWRZTWMFDKCJAR-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN CC(C)(F)CCNc1ccc([N+](=O)[O-])c(N)c1F ZINC000596469511 349910022 /nfs/dbraw/zinc/91/00/22/349910022.db2.gz ZIJPYUXWTHIVQL-UHFFFAOYSA-N 0 0 259.256 2.866 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCC(C)(C)F ZINC000596469031 349909937 /nfs/dbraw/zinc/90/99/37/349909937.db2.gz TZGAFBPMZIXFKG-UHFFFAOYSA-N 0 0 283.303 2.505 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000596474083 349911741 /nfs/dbraw/zinc/91/17/41/349911741.db2.gz JCKFHMWJTREMGD-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1ccnc(NC[C@H](CCO)CC(C)C)c1[N+](=O)[O-] ZINC000075062384 347051864 /nfs/dbraw/zinc/05/18/64/347051864.db2.gz HYLJCIOBJXLYLG-GFCCVEGCSA-N 0 0 281.356 2.755 20 5 CFBDRN CO[C@H](CNc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000075062715 347052683 /nfs/dbraw/zinc/05/26/83/347052683.db2.gz OKKADFJFUQPHBX-GFCCVEGCSA-N 0 0 256.277 2.817 20 5 CFBDRN CO[C@H](CNc1nccc(C)c1[N+](=O)[O-])C(C)(C)C ZINC000075063393 347052730 /nfs/dbraw/zinc/05/27/30/347052730.db2.gz SIDYNPSJLDAWEK-SNVBAGLBSA-N 0 0 267.329 2.771 20 5 CFBDRN Cn1ncc(C2CC2)c1CNc1sccc1[N+](=O)[O-] ZINC000596473234 349911378 /nfs/dbraw/zinc/91/13/78/349911378.db2.gz PZDZGKNTQXENOM-UHFFFAOYSA-N 0 0 278.337 2.879 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2ccncc2[N+](=O)[O-])C1(C)C ZINC000075064818 347053634 /nfs/dbraw/zinc/05/36/34/347053634.db2.gz TXANWJZJVNANFI-AAEUAGOBSA-N 0 0 265.313 2.605 20 5 CFBDRN Cn1ncc(C2CC2)c1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000596474902 349912566 /nfs/dbraw/zinc/91/25/66/349912566.db2.gz PTGISSRBWABWTC-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000075182686 347058990 /nfs/dbraw/zinc/05/89/90/347058990.db2.gz MXMITYYJSWVZEB-LLVKDONJSA-N 0 0 266.322 2.737 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1nccc3cc([N+](=O)[O-])ccc31)C2 ZINC000596477486 349912954 /nfs/dbraw/zinc/91/29/54/349912954.db2.gz DHSJPQHZFDLBQA-BLLLJJGKSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H](CCF)C1 ZINC000596477207 349913066 /nfs/dbraw/zinc/91/30/66/349913066.db2.gz CJFIALRRQPNUEA-SNVBAGLBSA-N 0 0 253.277 2.566 20 5 CFBDRN C[C@]1(CCN=c2[nH]c3ccc([N+](=O)[O-])cc3[nH]2)CC1(F)F ZINC000596476636 349913321 /nfs/dbraw/zinc/91/33/21/349913321.db2.gz DIPKMWJSZNVEKN-LBPRGKRZSA-N 0 0 296.277 2.740 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@@]1(C)CC1(F)F ZINC000596477263 349913331 /nfs/dbraw/zinc/91/33/31/349913331.db2.gz ILRZMSZWUYLIPS-NSHDSACASA-N 0 0 288.298 2.967 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCCCC1CCC1 ZINC000596478828 349913904 /nfs/dbraw/zinc/91/39/04/349913904.db2.gz SKVBBGZYCBGHFX-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1ccc([N+](=O)[O-])c3cccnc31)C2 ZINC000596481523 349915158 /nfs/dbraw/zinc/91/51/58/349915158.db2.gz BRILMGABJRPSFB-BDJLRTHQSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1ccc([N+](=O)[O-])c3cnccc31)C2 ZINC000596483462 349915628 /nfs/dbraw/zinc/91/56/28/349915628.db2.gz OTRVNNOTKYGSJU-MEDUHNTESA-N 0 0 299.330 2.616 20 5 CFBDRN CN(Cc1nn(C)cc1Cl)Cc1ccccc1[N+](=O)[O-] ZINC000420899304 530029661 /nfs/dbraw/zinc/02/96/61/530029661.db2.gz GMWCCMLUVAHWRI-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)C1CC1 ZINC000596485461 349916295 /nfs/dbraw/zinc/91/62/95/349916295.db2.gz JFCBHRZPJSWVCA-LLVKDONJSA-N 0 0 298.364 2.599 20 5 CFBDRN CC(C)CN(C)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000075441668 347077710 /nfs/dbraw/zinc/07/77/10/347077710.db2.gz ISLSXSLLEZVGHT-RMKNXTFCSA-N 0 0 262.309 2.722 20 5 CFBDRN CC1(C)OCC[C@H]1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000596487873 349917434 /nfs/dbraw/zinc/91/74/34/349917434.db2.gz LBXMFSNZGIDYLS-JTQLQIEISA-N 0 0 293.323 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)CC2CC2)c(F)c1 ZINC000596491986 349918693 /nfs/dbraw/zinc/91/86/93/349918693.db2.gz GSCKHCRQWJAXBX-SNVBAGLBSA-N 0 0 268.288 2.554 20 5 CFBDRN Cc1cc(NC[C@@H](CO)CC2CC2)c([N+](=O)[O-])s1 ZINC000596493073 349918775 /nfs/dbraw/zinc/91/87/75/349918775.db2.gz SUIQMVNAXQJVEP-JTQLQIEISA-N 0 0 270.354 2.785 20 5 CFBDRN C[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000596494444 349919484 /nfs/dbraw/zinc/91/94/84/349919484.db2.gz PHYHWXOBRPUEEJ-BDAKNGLRSA-N 0 0 285.731 2.647 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H](C)O1 ZINC000596495290 349919509 /nfs/dbraw/zinc/91/95/09/349919509.db2.gz STISJYDMRASHLL-BDAKNGLRSA-N 0 0 285.731 2.647 20 5 CFBDRN COC(=O)C[C@@H](CNc1cc(C)sc1[N+](=O)[O-])C1CC1 ZINC000596495656 349919663 /nfs/dbraw/zinc/91/96/63/349919663.db2.gz ZBHCIHCBXCPBLT-JTQLQIEISA-N 0 0 298.364 2.966 20 5 CFBDRN Cc1cc(N2CC[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000596495283 349919732 /nfs/dbraw/zinc/91/97/32/349919732.db2.gz SLYZGBFNRRQIJT-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CC[C@@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000596499560 349921193 /nfs/dbraw/zinc/92/11/93/349921193.db2.gz PUJIZZXTIFNBSH-CHWSQXEVSA-N 0 0 286.335 2.995 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)OC ZINC000356027640 534980539 /nfs/dbraw/zinc/98/05/39/534980539.db2.gz XDTMSSITTUCHSQ-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1cccc2c1OC[C@@H](Nc1ccc([N+](=O)[O-])cn1)C2 ZINC000290683182 197787414 /nfs/dbraw/zinc/78/74/14/197787414.db2.gz IXOVISBRIWAUBB-LBPRGKRZSA-N 0 0 285.303 2.714 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)OCCN1c1ccccc1[N+](=O)[O-] ZINC000596504788 349923045 /nfs/dbraw/zinc/92/30/45/349923045.db2.gz WIINMXIHVMTBGZ-LDYMZIIASA-N 0 0 290.241 2.751 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]([C@H]3CCCCO3)C2)s1 ZINC000596504281 349922678 /nfs/dbraw/zinc/92/26/78/349922678.db2.gz MOSDBNYAQPFUAQ-GHMZBOCLSA-N 0 0 297.380 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H](O)C23CCC3)c2ccncc21 ZINC000596507518 349923922 /nfs/dbraw/zinc/92/39/22/349923922.db2.gz KLFCJYYBPFLOMT-LSDHHAIUSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H](O)C23CCC3)c2ccncc21 ZINC000596507515 349924064 /nfs/dbraw/zinc/92/40/64/349924064.db2.gz KLFCJYYBPFLOMT-CABCVRRESA-N 0 0 299.330 2.858 20 5 CFBDRN COc1cc(N2CC[C@H]3C[C@H]3C2)c([N+](=O)[O-])cc1F ZINC000596512900 349926096 /nfs/dbraw/zinc/92/60/96/349926096.db2.gz XVTCKFJRPRYLEY-IUCAKERBSA-N 0 0 266.272 2.589 20 5 CFBDRN CCOc1cc(N2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000596512835 349926187 /nfs/dbraw/zinc/92/61/87/349926187.db2.gz XHYLHMZRMAXMFF-QWRGUYRKSA-N 0 0 262.309 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H](O)C23CCC3)c2ncccc12 ZINC000596513813 349926813 /nfs/dbraw/zinc/92/68/13/349926813.db2.gz WHGKBOZKLCZIDN-UONOGXRCSA-N 0 0 299.330 2.858 20 5 CFBDRN C[C@@H]1COC2(CCCC2)CN1c1ncc([N+](=O)[O-])cc1F ZINC000596514732 349927125 /nfs/dbraw/zinc/92/71/25/349927125.db2.gz JBSTXZJEIIQUIJ-SNVBAGLBSA-N 0 0 295.314 2.667 20 5 CFBDRN CN(c1ccccc1)[C@@H]1CCN(c2ccncc2[N+](=O)[O-])C1 ZINC000596518108 349928265 /nfs/dbraw/zinc/92/82/65/349928265.db2.gz RBTYLPHVCLLQFQ-CQSZACIVSA-N 0 0 298.346 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCC(F)(F)F)c2nonc21 ZINC000097987398 232183635 /nfs/dbraw/zinc/18/36/35/232183635.db2.gz CDEIPBVFNPNEAX-UHFFFAOYSA-N 0 0 290.201 2.885 20 5 CFBDRN CN(c1ccccc1)[C@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000596517811 349927800 /nfs/dbraw/zinc/92/78/00/349927800.db2.gz ORXNSCOODQYRAD-HNNXBMFYSA-N 0 0 298.346 2.705 20 5 CFBDRN CC(C)(C)N1C[C@@H](CNc2ccc([N+](=O)[O-])cc2)CC1=O ZINC000596518780 349928014 /nfs/dbraw/zinc/92/80/14/349928014.db2.gz FFECLRCLJBHMCX-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)C1CC1 ZINC000105777475 232187866 /nfs/dbraw/zinc/18/78/66/232187866.db2.gz MKIRDTNFNOSYTH-VIFPVBQESA-N 0 0 263.297 2.823 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000596517254 349928130 /nfs/dbraw/zinc/92/81/30/349928130.db2.gz GDHCFHMZUOXIRE-HNNXBMFYSA-N 0 0 291.351 2.625 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1ccc(F)c(Cl)c1 ZINC000107449313 232190809 /nfs/dbraw/zinc/19/08/09/232190809.db2.gz QKRGRUZSTMOEPO-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CN(CC1(O)CCCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000127445985 187306282 /nfs/dbraw/zinc/30/62/82/187306282.db2.gz YTAPORPRGJCSJM-UHFFFAOYSA-N 0 0 284.743 2.990 20 5 CFBDRN Cc1ccccc1CC1CN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596523929 349929605 /nfs/dbraw/zinc/92/96/05/349929605.db2.gz ZJMGBIUAVQLKHI-UHFFFAOYSA-N 0 0 298.346 2.559 20 5 CFBDRN COc1cc(NCc2cccnc2OC)ccc1[N+](=O)[O-] ZINC000111046728 232192334 /nfs/dbraw/zinc/19/23/34/232192334.db2.gz SVNOUYNPZZOEJG-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN C[C@H](CC[S@@](C)=O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000130111082 232204892 /nfs/dbraw/zinc/20/48/92/232204892.db2.gz DGZTWJPRKUIELZ-CVJBHZAOSA-N 0 0 290.772 2.817 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000596538264 349934893 /nfs/dbraw/zinc/93/48/93/349934893.db2.gz BTDGUNNFSZJQJT-PWSUYJOCSA-N 0 0 288.250 2.786 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])nc1)C1CCCCC1 ZINC000596543864 349937192 /nfs/dbraw/zinc/93/71/92/349937192.db2.gz VDNXSXFWUNKQEG-ZDUSSCGKSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@H](NC(=O)N[C@]12C[C@H]1CCC2)c1ccccc1[N+](=O)[O-] ZINC000596541450 349935841 /nfs/dbraw/zinc/93/58/41/349935841.db2.gz MWAMFWCZPPUCNI-FIXISWKDSA-N 0 0 289.335 2.898 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cn1 ZINC000154530469 232225874 /nfs/dbraw/zinc/22/58/74/232225874.db2.gz MOKGNNLNJNGQSA-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN CCOc1cc(N[C@H](C)c2nccn2C)ccc1[N+](=O)[O-] ZINC000596543327 349936335 /nfs/dbraw/zinc/93/63/35/349936335.db2.gz RPIBIAXEAHOEDS-SNVBAGLBSA-N 0 0 290.323 2.900 20 5 CFBDRN CC(C)(C)C(F)(F)CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596542679 349936519 /nfs/dbraw/zinc/93/65/19/349936519.db2.gz NZDXGHMOSTUHDN-UHFFFAOYSA-N 0 0 275.255 2.789 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157279220 232236294 /nfs/dbraw/zinc/23/62/94/232236294.db2.gz YWLBEZLQBWZUPL-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157342872 232236895 /nfs/dbraw/zinc/23/68/95/232236895.db2.gz CKZURGBXIMXPKA-JTQLQIEISA-N 0 0 277.324 2.582 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)ns1 ZINC000170241787 232262896 /nfs/dbraw/zinc/26/28/96/232262896.db2.gz RQTYRMMPCWZEII-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC1CC(C)(C)C1 ZINC000596552030 349940149 /nfs/dbraw/zinc/94/01/49/349940149.db2.gz JGOQVDKBSUPCQY-UHFFFAOYSA-N 0 0 277.324 2.555 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@H]1C[C@H](O)C1 ZINC000596555500 349941127 /nfs/dbraw/zinc/94/11/27/349941127.db2.gz FVLPNRLDCVNBHJ-ZKCHVHJHSA-N 0 0 276.214 2.549 20 5 CFBDRN CCOC(=O)[C@]1(C)CCC[C@H]1Nc1ncccc1[N+](=O)[O-] ZINC000596558254 349941500 /nfs/dbraw/zinc/94/15/00/349941500.db2.gz YIMKVYUWKRQNIN-BXUZGUMPSA-N 0 0 293.323 2.524 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC1(C)C ZINC000176096699 232326195 /nfs/dbraw/zinc/32/61/95/232326195.db2.gz RTIRYWNBXFABMJ-CYBMUJFWSA-N 0 0 292.335 2.912 20 5 CFBDRN CC(C)CS(=O)(=O)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC000127569163 187315451 /nfs/dbraw/zinc/31/54/51/187315451.db2.gz YMYYXKLUIYGHRK-UHFFFAOYSA-N 0 0 293.728 2.613 20 5 CFBDRN Cc1c(CNc2ccc3ncccc3c2[N+](=O)[O-])cnn1C ZINC000179423604 232396697 /nfs/dbraw/zinc/39/66/97/232396697.db2.gz QLOGTNITIUWMDJ-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=C1OCC[C@H]1Sc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000006028003 346213686 /nfs/dbraw/zinc/21/36/86/346213686.db2.gz RONRGCOZIGSKRX-MRVPVSSYSA-N 0 0 296.329 2.612 20 5 CFBDRN Cc1cc(N[C@@H](c2ccccc2)[C@@H](C)O)ncc1[N+](=O)[O-] ZINC000596564051 349942198 /nfs/dbraw/zinc/94/21/98/349942198.db2.gz AYAPNCJBQNREHV-IAQYHMDHSA-N 0 0 287.319 2.832 20 5 CFBDRN CC(C)Cn1nccc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000010449100 346227252 /nfs/dbraw/zinc/22/72/52/346227252.db2.gz GTPLRQBDBFTWMN-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncccc2[N+](=O)[O-])cc1 ZINC000007185705 346217471 /nfs/dbraw/zinc/21/74/71/346217471.db2.gz XNKFINZINFWWQW-UHFFFAOYSA-N 0 0 261.193 2.690 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(F)c1 ZINC000007940282 346219869 /nfs/dbraw/zinc/21/98/69/346219869.db2.gz ZFEURTIRQRFLNZ-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])c1nc(C)cs1 ZINC000295131799 199361611 /nfs/dbraw/zinc/36/16/11/199361611.db2.gz ICBYMAWMDWEECU-UHFFFAOYSA-N 0 0 285.369 2.640 20 5 CFBDRN O=[N+]([O-])c1ccccc1Sc1nnc2ccccn21 ZINC000007982250 346221581 /nfs/dbraw/zinc/22/15/81/346221581.db2.gz VKHQQIQNXNHETG-UHFFFAOYSA-N 0 0 272.289 2.789 20 5 CFBDRN C[C@H]1c2ccsc2CCN1c1c([N+](=O)[O-])ncn1C ZINC000008376605 346224140 /nfs/dbraw/zinc/22/41/40/346224140.db2.gz DTCMCCCOUOQCCX-QMMMGPOBSA-N 0 0 278.337 2.514 20 5 CFBDRN Cc1cc(OCc2ccc(C(N)=O)cc2)ccc1[N+](=O)[O-] ZINC000010320510 346226245 /nfs/dbraw/zinc/22/62/45/346226245.db2.gz PLIIAVBLECQQSZ-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN CC(C)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000017300740 346240505 /nfs/dbraw/zinc/24/05/05/346240505.db2.gz LOAXFSVEFOSLHV-VIFPVBQESA-N 0 0 268.338 2.600 20 5 CFBDRN CCCCOCCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000018177944 346241229 /nfs/dbraw/zinc/24/12/29/346241229.db2.gz JTAJXHDQAMARSL-UHFFFAOYSA-N 0 0 295.339 2.923 20 5 CFBDRN CC(C)CCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000018176914 346241323 /nfs/dbraw/zinc/24/13/23/346241323.db2.gz KRICETBANNPVFS-UHFFFAOYSA-N 0 0 251.286 2.762 20 5 CFBDRN COc1ccc(F)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000022416041 346248750 /nfs/dbraw/zinc/24/87/50/346248750.db2.gz VNJANOAUPCNYEQ-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN C[C@H](c1ccccc1)N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000025616176 346260435 /nfs/dbraw/zinc/26/04/35/346260435.db2.gz KNFCNIHVBCNQQH-LLVKDONJSA-N 0 0 287.319 2.767 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1cn2ccccc2n1 ZINC000022874937 346251019 /nfs/dbraw/zinc/25/10/19/346251019.db2.gz WAZCKOTWLGXLIH-UHFFFAOYSA-N 0 0 282.303 2.897 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCSCC1 ZINC000075638592 347089572 /nfs/dbraw/zinc/08/95/72/347089572.db2.gz XWUQQOVMZAFELC-JTQLQIEISA-N 0 0 252.339 2.705 20 5 CFBDRN CCCNC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000025425727 346259503 /nfs/dbraw/zinc/25/95/03/346259503.db2.gz CNZIWKKUYUCMGS-SECBINFHSA-N 0 0 268.338 2.602 20 5 CFBDRN CC(C)(C)CCNC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000027365776 346269433 /nfs/dbraw/zinc/26/94/33/346269433.db2.gz HTOIOSNCGRGGHH-UHFFFAOYSA-N 0 0 290.323 2.637 20 5 CFBDRN C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000027915809 346274266 /nfs/dbraw/zinc/27/42/66/346274266.db2.gz COUHYSMGXYALAP-UFBFGSQYSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1ccccn1 ZINC000031674406 346296185 /nfs/dbraw/zinc/29/61/85/346296185.db2.gz BYFCCJWBPHMOPJ-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000032383600 346302909 /nfs/dbraw/zinc/30/29/09/346302909.db2.gz ZEDKTHGRROUOGM-NEPJUHHUSA-N 0 0 291.351 2.559 20 5 CFBDRN CSc1ccc(C(=O)NC[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000032382427 346302944 /nfs/dbraw/zinc/30/29/44/346302944.db2.gz YHXWXASGQKIKMD-PSASIEDQSA-N 0 0 280.349 2.703 20 5 CFBDRN CCCCNC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000032516402 346305228 /nfs/dbraw/zinc/30/52/28/346305228.db2.gz HMQOSLYONYIPAC-UHFFFAOYSA-N 0 0 266.297 2.523 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@@H]1Cc2ccccc21 ZINC000037566422 346330945 /nfs/dbraw/zinc/33/09/45/346330945.db2.gz ADURUKSQIXLOGI-NSHDSACASA-N 0 0 255.277 2.742 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1Cc2ccccc21 ZINC000037566421 346330950 /nfs/dbraw/zinc/33/09/50/346330950.db2.gz ADURUKSQIXLOGI-LLVKDONJSA-N 0 0 255.277 2.742 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCOCc2ccccc21 ZINC000034401417 346316424 /nfs/dbraw/zinc/31/64/24/346316424.db2.gz ZWBYFQFWOITPEV-UHFFFAOYSA-N 0 0 298.298 2.772 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccccc2)cc1CO ZINC000036428431 346322978 /nfs/dbraw/zinc/32/29/78/346322978.db2.gz YQVDGVOLLBQPKU-UHFFFAOYSA-N 0 0 259.261 2.666 20 5 CFBDRN Cc1nc(CNCc2ccc(C)c([N+](=O)[O-])c2)cs1 ZINC000040443860 346346287 /nfs/dbraw/zinc/34/62/87/346346287.db2.gz PZMJOZCULFCHGH-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN CNC(=O)c1cccc(OCc2cccc([N+](=O)[O-])c2)c1 ZINC000042112500 346361007 /nfs/dbraw/zinc/36/10/07/346361007.db2.gz ILNMMOQRSPAXAP-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccccc1 ZINC000042653839 346369769 /nfs/dbraw/zinc/36/97/69/346369769.db2.gz AHRRKQNZKNUGAL-UHFFFAOYSA-N 0 0 271.276 2.645 20 5 CFBDRN Cc1ccc(NC(=O)NC(C)(C)C)cc1[N+](=O)[O-] ZINC000098068305 185680997 /nfs/dbraw/zinc/68/09/97/185680997.db2.gz RSHVIHUJMLFNSH-UHFFFAOYSA-N 0 0 251.286 2.823 20 5 CFBDRN CC(C)Oc1ccccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000042969267 346374927 /nfs/dbraw/zinc/37/49/27/346374927.db2.gz LDTXWQLYUUOTOG-UHFFFAOYSA-N 0 0 289.291 2.962 20 5 CFBDRN CSCC1(Cc2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)CC1 ZINC000596612232 349956488 /nfs/dbraw/zinc/95/64/88/349956488.db2.gz VQYCRUDDSSVGBU-UHFFFAOYSA-N 0 0 294.336 2.659 20 5 CFBDRN C[C@H]1CCCC[C@@H]1N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000045032751 346402717 /nfs/dbraw/zinc/40/27/17/346402717.db2.gz CCFJQKDSVOIYBO-CABZTGNLSA-N 0 0 265.313 2.574 20 5 CFBDRN Cc1cc(NCCCCn2ccnc2)ccc1[N+](=O)[O-] ZINC000045108328 346403513 /nfs/dbraw/zinc/40/35/13/346403513.db2.gz DTNYKWRCWKEHKX-UHFFFAOYSA-N 0 0 274.324 2.992 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])c3cnccc32)CCO1 ZINC000075669742 347090992 /nfs/dbraw/zinc/09/09/92/347090992.db2.gz VBJDTVVSNXTOKG-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000596620217 349958559 /nfs/dbraw/zinc/95/85/59/349958559.db2.gz NGLCGJQZCABSGG-MFKMUULPSA-N 0 0 278.308 2.739 20 5 CFBDRN C[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000596620218 349958605 /nfs/dbraw/zinc/95/86/05/349958605.db2.gz NGLCGJQZCABSGG-ZWNOBZJWSA-N 0 0 278.308 2.739 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1onc2c1CCCC2 ZINC000596620781 349958646 /nfs/dbraw/zinc/95/86/46/349958646.db2.gz TYBFTTDNOHNEAH-UHFFFAOYSA-N 0 0 287.275 2.714 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000044522287 346395040 /nfs/dbraw/zinc/39/50/40/346395040.db2.gz GWQFSSNMHZAMJI-MRVPVSSYSA-N 0 0 256.327 2.917 20 5 CFBDRN COc1cc(N2C[C@@H](C)OC(C)(C)C2)c(F)cc1[N+](=O)[O-] ZINC000119373411 136535756 /nfs/dbraw/zinc/53/57/56/136535756.db2.gz UGJMMHKMVVDXIV-SECBINFHSA-N 0 0 298.314 2.746 20 5 CFBDRN Cc1ccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)cn1 ZINC000046872083 346436393 /nfs/dbraw/zinc/43/63/93/346436393.db2.gz FVTYOQNOKVOXPM-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1c(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000596628995 349961930 /nfs/dbraw/zinc/96/19/30/349961930.db2.gz AOHVYQAJRWGFCW-URLYPYJESA-N 0 0 260.293 2.888 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000047382466 346450006 /nfs/dbraw/zinc/45/00/06/346450006.db2.gz IJFOMFODJHDETH-SNVBAGLBSA-N 0 0 266.297 2.594 20 5 CFBDRN COc1cccc(C(=O)Nc2cncc(C)c2)c1[N+](=O)[O-] ZINC000194614905 232509015 /nfs/dbraw/zinc/50/90/15/232509015.db2.gz FTWSDQLNQKHZJR-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1c(NC(=O)N2CC[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000596634825 349963553 /nfs/dbraw/zinc/96/35/53/349963553.db2.gz DDLIOVVFNCYMPP-QWRGUYRKSA-N 0 0 275.308 2.777 20 5 CFBDRN CCCOc1ccc(-c2cc([N+](=O)[O-])ccc2CO)nc1 ZINC000596634247 349963764 /nfs/dbraw/zinc/96/37/64/349963764.db2.gz GWJXHCKCCAXYCQ-UHFFFAOYSA-N 0 0 288.303 2.938 20 5 CFBDRN Cn1ccnc1SCc1csc([N+](=O)[O-])c1 ZINC000048619776 346475678 /nfs/dbraw/zinc/47/56/78/346475678.db2.gz OFQGVJBNLAASSP-UHFFFAOYSA-N 0 0 255.324 2.682 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])s2)cn1 ZINC000048999582 346477882 /nfs/dbraw/zinc/47/78/82/346477882.db2.gz HNECHKLCTRVRMW-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN O=C(Nc1ccc(Cl)c(F)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000049241196 346481403 /nfs/dbraw/zinc/48/14/03/346481403.db2.gz DUJPBRFJNBTFIK-UHFFFAOYSA-N 0 0 283.646 2.968 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000049376404 346482624 /nfs/dbraw/zinc/48/26/24/346482624.db2.gz KYDMDHABCRXWPO-JTQLQIEISA-N 0 0 250.298 2.822 20 5 CFBDRN CCC[C@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049397191 346483342 /nfs/dbraw/zinc/48/33/42/346483342.db2.gz MUBNWUTUTHLYRK-JTQLQIEISA-N 0 0 265.313 2.583 20 5 CFBDRN CC1CCN(C(=O)CCOc2ccccc2[N+](=O)[O-])CC1 ZINC000075765589 347097942 /nfs/dbraw/zinc/09/79/42/347097942.db2.gz QXKWYPMJUQLYRM-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2ccc(F)c(F)c2)c1[N+](=O)[O-] ZINC000051738474 346505923 /nfs/dbraw/zinc/50/59/23/346505923.db2.gz OHERNLCPJDPBFE-UHFFFAOYSA-N 0 0 296.277 2.551 20 5 CFBDRN O=C(NCCC1=CCCCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000051865195 346507182 /nfs/dbraw/zinc/50/71/82/346507182.db2.gz XGJNUWUDUCXIGT-UHFFFAOYSA-N 0 0 263.297 2.543 20 5 CFBDRN CCNC(=O)c1cccc(CNc2ccc([N+](=O)[O-])cc2)c1 ZINC000052281445 346519840 /nfs/dbraw/zinc/51/98/40/346519840.db2.gz JNMHEFMZCZWTKD-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1)C(C1CC1)C1CC1 ZINC000050400972 346494336 /nfs/dbraw/zinc/49/43/36/346494336.db2.gz YPIMOIWOWYCPFE-UHFFFAOYSA-N 0 0 274.320 2.855 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000050823143 346498287 /nfs/dbraw/zinc/49/82/87/346498287.db2.gz JZEWXSLQVBWQKQ-SECBINFHSA-N 0 0 271.276 2.514 20 5 CFBDRN O=C(Nc1ccc(Cl)cc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000050823649 346498342 /nfs/dbraw/zinc/49/83/42/346498342.db2.gz SKXXJSQSNIIQAW-UHFFFAOYSA-N 0 0 265.656 2.829 20 5 CFBDRN O=C(NCc1ccccc1Cl)c1cc([N+](=O)[O-])c[nH]1 ZINC000051202408 346500491 /nfs/dbraw/zinc/50/04/91/346500491.db2.gz LRWHJFYNYGGFRN-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN CC[C@@H](C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052602227 346525729 /nfs/dbraw/zinc/52/57/29/346525729.db2.gz JMNDPQPVOIXCAS-MRVPVSSYSA-N 0 0 261.281 2.604 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H]1CC=CCC1 ZINC000053217115 346540913 /nfs/dbraw/zinc/54/09/13/346540913.db2.gz QPEOVWQPJCRSCY-ZDUSSCGKSA-N 0 0 274.320 2.610 20 5 CFBDRN Cc1cc(OCC(=O)NCCC(C)(C)C)ccc1[N+](=O)[O-] ZINC000053541490 346549596 /nfs/dbraw/zinc/54/95/96/346549596.db2.gz DDRVYWLDVREJPQ-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000596667280 349971766 /nfs/dbraw/zinc/97/17/66/349971766.db2.gz QWBXOPGIAHHCML-ZDUSSCGKSA-N 0 0 291.351 2.559 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1CCc2ccccc21 ZINC000053777977 346552127 /nfs/dbraw/zinc/55/21/27/346552127.db2.gz ILTWYNZVHFYWGF-HNNXBMFYSA-N 0 0 296.326 2.941 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CCc2ccccc21 ZINC000053777978 346552349 /nfs/dbraw/zinc/55/23/49/346552349.db2.gz ILTWYNZVHFYWGF-OAHLLOKOSA-N 0 0 296.326 2.941 20 5 CFBDRN Cc1nc2cc(NC(=O)c3ccc([N+](=O)[O-])o3)ccc2[nH]1 ZINC000054535767 346572202 /nfs/dbraw/zinc/57/22/02/346572202.db2.gz MEMUWVUTLQLCMV-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@](C)(F)C2)n1 ZINC000294931503 301852150 /nfs/dbraw/zinc/85/21/50/301852150.db2.gz KPNMVYKESPCFAW-GFCCVEGCSA-N 0 0 253.277 2.627 20 5 CFBDRN O=C(CCc1nccs1)Nc1cccc([N+](=O)[O-])c1 ZINC000055167244 346583786 /nfs/dbraw/zinc/58/37/86/346583786.db2.gz QYUYULQVRBULBK-UHFFFAOYSA-N 0 0 277.305 2.623 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC=CCC1 ZINC000055797144 346596798 /nfs/dbraw/zinc/59/67/98/346596798.db2.gz IFGLXYYYJGOCNH-GFCCVEGCSA-N 0 0 260.293 2.567 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@@H]1c1ccccc1 ZINC000056322261 346606862 /nfs/dbraw/zinc/60/68/62/346606862.db2.gz KCUXVEKALGGLHT-CYBMUJFWSA-N 0 0 271.276 2.510 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCc2ccc(Cl)cc21 ZINC000058544723 346646282 /nfs/dbraw/zinc/64/62/82/346646282.db2.gz XVHSHBUFFHKHOC-UHFFFAOYSA-N 0 0 278.699 2.676 20 5 CFBDRN O=C(CNc1cccc(F)c1[N+](=O)[O-])NC1CCCCC1 ZINC000058543822 346646305 /nfs/dbraw/zinc/64/63/05/346646305.db2.gz MFNKPWDQWMLLJO-UHFFFAOYSA-N 0 0 295.314 2.595 20 5 CFBDRN CCCCc1noc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000058603182 346646812 /nfs/dbraw/zinc/64/68/12/346646812.db2.gz UCNWDPBUEOVEHQ-UHFFFAOYSA-N 0 0 277.280 2.899 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)OC(C)(C)C ZINC000059248255 346656018 /nfs/dbraw/zinc/65/60/18/346656018.db2.gz ZXCGTAXUFCKSMO-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](C(F)(F)F)C2)nc1 ZINC000060846684 346672367 /nfs/dbraw/zinc/67/23/67/346672367.db2.gz SDVPRTAOVXAWSO-QMMMGPOBSA-N 0 0 275.230 2.769 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@H]1CC(C)(C)C ZINC000057831266 346637927 /nfs/dbraw/zinc/63/79/27/346637927.db2.gz MSAFONBVFNKOAH-JTQLQIEISA-N 0 0 266.345 2.733 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000057965953 346640536 /nfs/dbraw/zinc/64/05/36/346640536.db2.gz XXPOQVSOYIJUFC-LBPRGKRZSA-N 0 0 279.292 2.629 20 5 CFBDRN CN1CCOc2ccc(Nc3ncc([N+](=O)[O-])s3)cc21 ZINC000192474801 136579416 /nfs/dbraw/zinc/57/94/16/136579416.db2.gz OVYLYVWOWHLVNC-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000063815266 346711251 /nfs/dbraw/zinc/71/12/51/346711251.db2.gz YQVGKMUSLDGKNT-VIFPVBQESA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000063838488 346711999 /nfs/dbraw/zinc/71/19/99/346711999.db2.gz BYENLQJLNBOHKH-UHFFFAOYSA-N 0 0 299.290 2.668 20 5 CFBDRN CCCOc1ncccc1CNc1ncccc1[N+](=O)[O-] ZINC000063859548 346712706 /nfs/dbraw/zinc/71/27/06/346712706.db2.gz RZXYOJQTRVSMPC-UHFFFAOYSA-N 0 0 288.307 2.786 20 5 CFBDRN CN(C)c1ncccc1CNc1ccc([N+](=O)[O-])s1 ZINC000064029514 346714475 /nfs/dbraw/zinc/71/44/75/346714475.db2.gz YZQBIVNLZFICIJ-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN CSc1cccc(NC(=O)c2n[nH]c(C)c2[N+](=O)[O-])c1 ZINC000064334826 346717711 /nfs/dbraw/zinc/71/77/11/346717711.db2.gz BALNTSPRPLPPSN-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1csc(-c2ccco2)n1 ZINC000064332327 346717788 /nfs/dbraw/zinc/71/77/88/346717788.db2.gz TYPJQGQNAYLCSH-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cccc(OC(F)F)c1 ZINC000064854481 346727228 /nfs/dbraw/zinc/72/72/28/346727228.db2.gz YVMIHJUSXAOSCM-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1ccsc1[N+](=O)[O-] ZINC000063258528 346698600 /nfs/dbraw/zinc/69/86/00/346698600.db2.gz ZFXDQGPLCAXMQG-UHFFFAOYSA-N 0 0 280.353 2.661 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(-n3ccnc3)cc2)nc1 ZINC000066372233 346756327 /nfs/dbraw/zinc/75/63/27/346756327.db2.gz HAYUOUXWTYGBCM-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CCNC(=O)c1cccc(Oc2ccncc2[N+](=O)[O-])c1 ZINC000066811673 346780680 /nfs/dbraw/zinc/78/06/80/346780680.db2.gz GPSOBNYDGIYPNE-UHFFFAOYSA-N 0 0 287.275 2.532 20 5 CFBDRN C[C@H](CNc1ccncc1[N+](=O)[O-])N(C)c1ccccc1 ZINC000066808938 346780725 /nfs/dbraw/zinc/78/07/25/346780725.db2.gz AFGIKBXFXQWEGU-GFCCVEGCSA-N 0 0 286.335 2.927 20 5 CFBDRN O=[N+]([O-])c1cnccc1Oc1cccc(-c2nnco2)c1 ZINC000066808354 346780798 /nfs/dbraw/zinc/78/07/98/346780798.db2.gz GAGVLXRVXWOOBY-UHFFFAOYSA-N 0 0 284.231 2.832 20 5 CFBDRN C[C@H](Nc1ccncc1[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC000066797528 346779546 /nfs/dbraw/zinc/77/95/46/346779546.db2.gz MPVNGNBFLFZJPA-VIFPVBQESA-N 0 0 287.275 2.892 20 5 CFBDRN CCCNc1nnc(Sc2ccncc2[N+](=O)[O-])s1 ZINC000066797676 346779644 /nfs/dbraw/zinc/77/96/44/346779644.db2.gz DPOSIQIFRRTOEO-UHFFFAOYSA-N 0 0 297.365 2.814 20 5 CFBDRN COc1ccc(C)cc1CCNc1ccncc1[N+](=O)[O-] ZINC000066796993 346779811 /nfs/dbraw/zinc/77/98/11/346779811.db2.gz REDHUZIRSJCCKZ-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COc1ccc(CN2C[C@H](C)S[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000075895280 347106994 /nfs/dbraw/zinc/10/69/94/347106994.db2.gz PCHOXWGBHSJKDZ-QWRGUYRKSA-N 0 0 296.392 2.929 20 5 CFBDRN CC[C@]1(C)CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CCO1 ZINC000286527778 136670376 /nfs/dbraw/zinc/67/03/76/136670376.db2.gz CAIWLFJZCVGCJJ-OAHLLOKOSA-N 0 0 292.335 2.803 20 5 CFBDRN CCCCc1nc(COc2ccc([N+](=O)[O-])cc2)no1 ZINC000067096034 346791480 /nfs/dbraw/zinc/79/14/80/346791480.db2.gz DAJJQDHZHCHZQR-UHFFFAOYSA-N 0 0 277.280 2.899 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000077136113 347168935 /nfs/dbraw/zinc/16/89/35/347168935.db2.gz PUSRQFTYPZDPFE-UWVGGRQHSA-N 0 0 265.313 2.622 20 5 CFBDRN COc1cccc(CN(C)c2ncc([N+](=O)[O-])cc2C)c1 ZINC000076664281 347146987 /nfs/dbraw/zinc/14/69/87/347146987.db2.gz GXSZQHNPVRKJQU-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN O=C(Nc1nc[nH]n1)c1cc2ccccc2c2cccnc12 ZINC000076904981 347160100 /nfs/dbraw/zinc/16/01/00/347160100.db2.gz YVFNPFPDJCRMNS-UHFFFAOYSA-N 0 0 289.298 2.758 20 5 CFBDRN Cn1c(=O)oc2cc(Nc3ccccc3[N+](=O)[O-])ccc21 ZINC000076924615 347160727 /nfs/dbraw/zinc/16/07/27/347160727.db2.gz PNKRALHUMVBSTL-UHFFFAOYSA-N 0 0 285.259 2.783 20 5 CFBDRN Cc1nc(N2CC[C@H](C)C3(CCC3)C2)ncc1[N+](=O)[O-] ZINC000295140341 136687385 /nfs/dbraw/zinc/68/73/85/136687385.db2.gz ZKGQCDKPQURLLP-JTQLQIEISA-N 0 0 276.340 2.710 20 5 CFBDRN CC(C)CCCNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000077614717 347203815 /nfs/dbraw/zinc/20/38/15/347203815.db2.gz UGRUHXQDBVBUGV-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000077230055 347178353 /nfs/dbraw/zinc/17/83/53/347178353.db2.gz NCQBJOPUQGXKPV-NWDGAFQWSA-N 0 0 272.308 2.605 20 5 CFBDRN CC(C)Cc1ccc(C(=O)Cn2cnc([N+](=O)[O-])c2)cc1 ZINC000077234301 347179450 /nfs/dbraw/zinc/17/94/50/347179450.db2.gz FMPDWETYYFVADF-UHFFFAOYSA-N 0 0 287.319 2.873 20 5 CFBDRN CCCCCNc1nccc(C(=O)OCC)c1[N+](=O)[O-] ZINC000077902114 347219248 /nfs/dbraw/zinc/21/92/48/347219248.db2.gz KUPBQFWZDYVVSR-UHFFFAOYSA-N 0 0 281.312 2.769 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)N1CCC(C)CC1 ZINC000077910658 347219945 /nfs/dbraw/zinc/21/99/45/347219945.db2.gz UTXLWXCOIBLCFZ-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN CCCC(O)(CCC)CNc1ccc([N+](=O)[O-])cn1 ZINC000077988662 347223534 /nfs/dbraw/zinc/22/35/34/347223534.db2.gz JQGAHBKCNFDCFQ-UHFFFAOYSA-N 0 0 267.329 2.733 20 5 CFBDRN CN(C[C@@H]1CCCOC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000107675000 282524497 /nfs/dbraw/zinc/52/44/97/282524497.db2.gz QLBBRFNCDGBULK-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CCC[C@H](O)C2)c([N+](=O)[O-])c1 ZINC000078304605 347239128 /nfs/dbraw/zinc/23/91/28/347239128.db2.gz BDYJMVAGIZFIIU-AAEUAGOBSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(C)[C@@H](O)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000078341501 347244333 /nfs/dbraw/zinc/24/43/33/347244333.db2.gz FAILPCKQUFASML-LBPRGKRZSA-N 0 0 256.277 2.553 20 5 CFBDRN CC(C)[C@H](O)CCNc1ccc([N+](=O)[O-])cc1F ZINC000078341712 347244385 /nfs/dbraw/zinc/24/43/85/347244385.db2.gz FFQUWSAYUMVZNN-GFCCVEGCSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@@H]1CCCC[C@@]1(C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000308704086 232648334 /nfs/dbraw/zinc/64/83/34/232648334.db2.gz HUVGLNIENLCYMY-MEBBXXQBSA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1C[C@@H](C)S[C@H](C)C1 ZINC000078480269 347256340 /nfs/dbraw/zinc/25/63/40/347256340.db2.gz IJPMBYHRXXVZJG-NXEZZACHSA-N 0 0 267.354 2.628 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H](C)S1 ZINC000078495616 347257167 /nfs/dbraw/zinc/25/71/67/347257167.db2.gz WOULPOXEMIODBI-IUCAKERBSA-N 0 0 297.336 2.833 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@H](C)S1 ZINC000078495078 347257330 /nfs/dbraw/zinc/25/73/30/347257330.db2.gz ICCICJBJLUUPLE-OCAPTIKFSA-N 0 0 258.368 2.987 20 5 CFBDRN Cc1ccc(Cl)cc1Oc1ncc([N+](=O)[O-])c(N)n1 ZINC000078515663 347258920 /nfs/dbraw/zinc/25/89/20/347258920.db2.gz PHGYEVVPMIJLKN-UHFFFAOYSA-N 0 0 280.671 2.721 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](O)C2(C)C)c(F)cc1[N+](=O)[O-] ZINC000312044555 232655455 /nfs/dbraw/zinc/65/54/55/232655455.db2.gz YGBZJZQMPPCYNX-VXGBXAGGSA-N 0 0 268.288 2.614 20 5 CFBDRN Cc1cnc(N2C[C@H](C)S[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000078670304 347268952 /nfs/dbraw/zinc/26/89/52/347268952.db2.gz LZMWGPSSSHJKTK-UWVGGRQHSA-N 0 0 267.354 2.628 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078669713 347268973 /nfs/dbraw/zinc/26/89/73/347268973.db2.gz PKBHYNFRTXBHLU-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cnc(N2CCS[C@@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000078665152 347268985 /nfs/dbraw/zinc/26/89/85/347268985.db2.gz FSBCKQHTFHYHLN-UWVGGRQHSA-N 0 0 267.354 2.628 20 5 CFBDRN CSC1(CNc2ncc(C)cc2[N+](=O)[O-])CCOCC1 ZINC000078669343 347269027 /nfs/dbraw/zinc/26/90/27/347269027.db2.gz GGUKRYMXFXTTLW-UHFFFAOYSA-N 0 0 297.380 2.622 20 5 CFBDRN CCC[C@]1(C)CCCN(c2c([N+](=O)[O-])ncn2C)C1 ZINC000078690584 347270704 /nfs/dbraw/zinc/27/07/04/347270704.db2.gz AGPNQWNNKKYGCA-CYBMUJFWSA-N 0 0 266.345 2.735 20 5 CFBDRN C[C@@H](Sc1nnnn1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000078953900 347282365 /nfs/dbraw/zinc/28/23/65/347282365.db2.gz NKZMZEMFJHMQCN-MRVPVSSYSA-N 0 0 291.336 2.770 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000078978001 347282801 /nfs/dbraw/zinc/28/28/01/347282801.db2.gz CBLNHIODTJIMAC-SECBINFHSA-N 0 0 298.302 2.938 20 5 CFBDRN C[C@H](O)CCNc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000086016263 179216013 /nfs/dbraw/zinc/21/60/13/179216013.db2.gz ATQKCCLWHZZKQM-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN CCC1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000080429319 347358595 /nfs/dbraw/zinc/35/85/95/347358595.db2.gz KITHROGNFCILKE-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN C[S@@](=O)c1ccc(CNc2ccccc2[N+](=O)[O-])cc1 ZINC000080245933 347351088 /nfs/dbraw/zinc/35/10/88/347351088.db2.gz GZOKXDLSQWQCRI-FQEVSTJZSA-N 0 0 290.344 2.944 20 5 CFBDRN CC(C)CN(CC(C)C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000079407590 347302644 /nfs/dbraw/zinc/30/26/44/347302644.db2.gz QHSUQFLWNGYTSG-UHFFFAOYSA-N 0 0 267.329 2.677 20 5 CFBDRN CC(C)(NC(=O)Cc1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000079625221 347317240 /nfs/dbraw/zinc/31/72/40/347317240.db2.gz GLOKOACTCLNICQ-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC(C1CC1)C1CC1 ZINC000081242703 347408050 /nfs/dbraw/zinc/40/80/50/347408050.db2.gz LRDFXLOJPODXCJ-UHFFFAOYSA-N 0 0 288.347 2.690 20 5 CFBDRN O=C(NCC(C1CC1)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000081243353 347408640 /nfs/dbraw/zinc/40/86/40/347408640.db2.gz OSZHPBRHKQVFKZ-UHFFFAOYSA-N 0 0 274.320 2.761 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCN(c2ccccn2)CC1 ZINC000080531013 347364218 /nfs/dbraw/zinc/36/42/18/347364218.db2.gz PUFPYKYWUFKCAT-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CC[C@H](CO)Nc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000080530473 347364565 /nfs/dbraw/zinc/36/45/65/347364565.db2.gz NXTAEBBHKROLFJ-MRVPVSSYSA-N 0 0 258.705 2.739 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)Cc1ccncc1 ZINC000080543880 347365384 /nfs/dbraw/zinc/36/53/84/347365384.db2.gz XSLFMGHJBZWDMR-UHFFFAOYSA-N 0 0 287.319 2.773 20 5 CFBDRN Cc1ccc(NC[C@@H](O)c2ccco2)c([N+](=O)[O-])c1 ZINC000080568558 347366171 /nfs/dbraw/zinc/36/61/71/347366171.db2.gz OCWWHSVPVMHCRY-GFCCVEGCSA-N 0 0 262.265 2.642 20 5 CFBDRN Cc1ccc(NC[C@H](O)c2ccco2)c([N+](=O)[O-])c1 ZINC000080568556 347366394 /nfs/dbraw/zinc/36/63/94/347366394.db2.gz OCWWHSVPVMHCRY-LBPRGKRZSA-N 0 0 262.265 2.642 20 5 CFBDRN CN(Cc1nccs1)c1ncc([N+](=O)[O-])cc1Cl ZINC000080613293 347370501 /nfs/dbraw/zinc/37/05/01/347370501.db2.gz TUMYPXBHRCISOT-UHFFFAOYSA-N 0 0 284.728 2.736 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080638525 347371030 /nfs/dbraw/zinc/37/10/30/347371030.db2.gz AEPTWCWIMFOQPB-IAQYHMDHSA-N 0 0 296.367 2.950 20 5 CFBDRN Cc1cc(NCC[C@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000080640445 347371744 /nfs/dbraw/zinc/37/17/44/347371744.db2.gz SZHVPUNKHCUUAA-QMMMGPOBSA-N 0 0 258.705 2.739 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCC1CC1 ZINC000081022712 347397396 /nfs/dbraw/zinc/39/73/96/347397396.db2.gz OMVHILTVCFWYAK-JTQLQIEISA-N 0 0 294.376 2.992 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCC12CCC2 ZINC000081545697 347425403 /nfs/dbraw/zinc/42/54/03/347425403.db2.gz CXFVPRZKZWFOPR-UHFFFAOYSA-N 0 0 262.309 2.732 20 5 CFBDRN Cc1noc(C)c1N(C)Cc1csc([N+](=O)[O-])c1 ZINC000081602029 347428813 /nfs/dbraw/zinc/42/88/13/347428813.db2.gz PMZVAXBDJZIJMA-UHFFFAOYSA-N 0 0 267.310 2.898 20 5 CFBDRN CC(=O)[C@@H](C)SCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000083122758 347448915 /nfs/dbraw/zinc/44/89/15/347448915.db2.gz IOUIOQOTAHQATI-SECBINFHSA-N 0 0 297.332 2.672 20 5 CFBDRN CCOc1cc(NC[C@@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000086015241 347483099 /nfs/dbraw/zinc/48/30/99/347483099.db2.gz RNAGWPOTFWORCO-FZMZJTMJSA-N 0 0 294.351 2.957 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[NH+]1CCC(C)(C(=O)[O-])CC1 ZINC000086777063 347489277 /nfs/dbraw/zinc/48/92/77/347489277.db2.gz SINCOKGJLCCKEK-NSHDSACASA-N 0 0 292.335 2.843 20 5 CFBDRN CC1(C)CCC(O)(CNc2ccc([N+](=O)[O-])nc2)CC1 ZINC000087066943 347491309 /nfs/dbraw/zinc/49/13/09/347491309.db2.gz XTIRYKVDRFBDCQ-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)C1 ZINC000410614593 232702101 /nfs/dbraw/zinc/70/21/01/232702101.db2.gz KQUMMSMJRZJERA-BXUZGUMPSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCCC[C@H]2O)c1 ZINC000084726651 347470249 /nfs/dbraw/zinc/47/02/49/347470249.db2.gz ALHMUXUYOPWPGX-BXUZGUMPSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H]2CCCO2)c1 ZINC000084726399 347470503 /nfs/dbraw/zinc/47/05/03/347470503.db2.gz IZZAMNHUBRGCAX-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN CCO[C@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000088703397 347507170 /nfs/dbraw/zinc/50/71/70/347507170.db2.gz MHEBDDDQGQNXCO-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN COC(=O)CCCCNc1cc(C)ccc1[N+](=O)[O-] ZINC000088714940 347507304 /nfs/dbraw/zinc/50/73/04/347507304.db2.gz OMIXMDDOLXBBAG-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)Cn2cccn2)c1 ZINC000088701217 347507357 /nfs/dbraw/zinc/50/73/57/347507357.db2.gz XTLSGKXJHVBGKX-GFCCVEGCSA-N 0 0 274.324 2.848 20 5 CFBDRN COC(=O)N1CCC(Nc2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000088703287 347507404 /nfs/dbraw/zinc/50/74/04/347507404.db2.gz UJBLEUHMSRENNM-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN CCC[C@@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000089468789 347519434 /nfs/dbraw/zinc/51/94/34/347519434.db2.gz GQZOPRWZSIJUIV-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(C)CCCC[C@@H]2O)n1 ZINC000128587099 187378770 /nfs/dbraw/zinc/37/87/70/187378770.db2.gz LEICQFMZDVYQQA-GXTWGEPZSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](C)Cn1cccn1 ZINC000091452820 347586229 /nfs/dbraw/zinc/58/62/29/347586229.db2.gz XRBITLVWLQBGMI-NSHDSACASA-N 0 0 274.324 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCc3c2cccc3O)cn1 ZINC000091485037 347590788 /nfs/dbraw/zinc/59/07/88/347590788.db2.gz QOVQTRUEGLWCHE-GFCCVEGCSA-N 0 0 271.276 2.795 20 5 CFBDRN CCc1nn(C)c(N2CC[C@H](C)C[C@H](C)C2)c1[N+](=O)[O-] ZINC000091671940 347594919 /nfs/dbraw/zinc/59/49/19/347594919.db2.gz DZDXHZVJRMBNIY-QWRGUYRKSA-N 0 0 280.372 2.763 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@](C)(CC)C2)c1[N+](=O)[O-] ZINC000091728151 347596178 /nfs/dbraw/zinc/59/61/78/347596178.db2.gz CIDLHYBZLDIICH-AWEZNQCLSA-N 0 0 280.372 2.907 20 5 CFBDRN CCc1nn(C)c(N2CCCC[C@@H](SC)C2)c1[N+](=O)[O-] ZINC000091744965 347598033 /nfs/dbraw/zinc/59/80/33/347598033.db2.gz OCQZIQXWRYEMBC-SNVBAGLBSA-N 0 0 298.412 2.613 20 5 CFBDRN CC[C@H](NC(=O)[C@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000090466279 347550647 /nfs/dbraw/zinc/55/06/47/347550647.db2.gz ZXXYSEOIRSCATE-XDTLVQLUSA-N 0 0 262.309 2.818 20 5 CFBDRN Cc1cc(NCc2nncn2C(C)C)ccc1[N+](=O)[O-] ZINC000092653888 347637026 /nfs/dbraw/zinc/63/70/26/347637026.db2.gz QQZQCFVKMXPLBZ-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN Cc1nc(C)c(CNc2ncc([N+](=O)[O-])cc2C)s1 ZINC000092657573 347637959 /nfs/dbraw/zinc/63/79/59/347637959.db2.gz DOZMFCSWGVHSBN-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN CCOc1cc(SCCOC)ccc1[N+](=O)[O-] ZINC000092662647 347638560 /nfs/dbraw/zinc/63/85/60/347638560.db2.gz GXBKNDJSNPUJEM-UHFFFAOYSA-N 0 0 257.311 2.732 20 5 CFBDRN CCOc1cc(N[C@](C)(CO)C2CC2)ccc1[N+](=O)[O-] ZINC000092684428 347640691 /nfs/dbraw/zinc/64/06/91/347640691.db2.gz CEHQMTSXPJSMPQ-CQSZACIVSA-N 0 0 280.324 2.566 20 5 CFBDRN CCOc1cc(N[C@H](COC)C2CC2)ccc1[N+](=O)[O-] ZINC000092687523 347641156 /nfs/dbraw/zinc/64/11/56/347641156.db2.gz VHMMZQYZDPLDDT-GFCCVEGCSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1cnc(N[C@@H](c2nccc(C)n2)C2CC2)c([N+](=O)[O-])c1 ZINC000092692600 347641763 /nfs/dbraw/zinc/64/17/63/347641763.db2.gz GXSSZFRQTSSABZ-CYBMUJFWSA-N 0 0 299.334 2.960 20 5 CFBDRN Cc1cc(C(=O)NCCCc2ccco2)ccc1[N+](=O)[O-] ZINC000113932815 347769323 /nfs/dbraw/zinc/76/93/23/347769323.db2.gz URVCBZCXDKAQBQ-UHFFFAOYSA-N 0 0 288.303 2.859 20 5 CFBDRN COCC(C)(C)CC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000411367305 232746764 /nfs/dbraw/zinc/74/67/64/232746764.db2.gz GUTMLZBCAJHIMV-UHFFFAOYSA-N 0 0 294.351 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)c2nccn2C)c1 ZINC000125811991 347829770 /nfs/dbraw/zinc/82/97/70/347829770.db2.gz KCBWIQQWUHGUBL-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN O=C(NCCCC1CC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000119477106 347802337 /nfs/dbraw/zinc/80/23/37/347802337.db2.gz KSEHBGHVFSJVGR-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@@H]2CCCCO2)c1C ZINC000563975897 290187445 /nfs/dbraw/zinc/18/74/45/290187445.db2.gz NCEYGRQLAQGCPA-NSHDSACASA-N 0 0 266.297 2.555 20 5 CFBDRN CCC(CC)Cn1cc(Br)c([N+](=O)[O-])n1 ZINC000128272584 347849713 /nfs/dbraw/zinc/84/97/13/347849713.db2.gz LHTIYYKRMGHGNI-UHFFFAOYSA-N 0 0 276.134 2.990 20 5 CFBDRN Cc1c(C(=O)NCCCC2CC2)cccc1[N+](=O)[O-] ZINC000128279462 347849886 /nfs/dbraw/zinc/84/98/86/347849886.db2.gz FVEWTTJFMODMAO-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc(Cl)cn1 ZINC000128394257 347851360 /nfs/dbraw/zinc/85/13/60/347851360.db2.gz KABNKSMBHKIPAE-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000128610749 347852660 /nfs/dbraw/zinc/85/26/60/347852660.db2.gz USGSKYMSFQSGLP-VIFPVBQESA-N 0 0 263.297 2.905 20 5 CFBDRN CC(C)CCN(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000126375557 347834300 /nfs/dbraw/zinc/83/43/00/347834300.db2.gz BRPJHSAYPCLTMK-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN CCCn1ncnc1Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000129709980 347864304 /nfs/dbraw/zinc/86/43/04/347864304.db2.gz AIYFAFAJIKEUEZ-UHFFFAOYSA-N 0 0 285.307 2.599 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000129301221 347860460 /nfs/dbraw/zinc/86/04/60/347860460.db2.gz JLERNWUSTQUOAU-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2CC1CCOCC1 ZINC000135120548 347904060 /nfs/dbraw/zinc/90/40/60/347904060.db2.gz VAFSJSFHEIEEEF-UHFFFAOYSA-N 0 0 260.293 2.976 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)cn1 ZINC000142174377 347933101 /nfs/dbraw/zinc/93/31/01/347933101.db2.gz TWZSEMZNJIOKNR-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)cn1 ZINC000142172600 347933328 /nfs/dbraw/zinc/93/33/28/347933328.db2.gz HZQRIWZQNOMUSX-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN COC(=O)c1ccc(COc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000135355327 347906524 /nfs/dbraw/zinc/90/65/24/347906524.db2.gz WYKJDMSDEQNIJS-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN Cc1nnc(COc2cc(F)cc([N+](=O)[O-])c2)n1C1CC1 ZINC000135339874 347906624 /nfs/dbraw/zinc/90/66/24/347906624.db2.gz VNBKAVNUYUHSQU-UHFFFAOYSA-N 0 0 292.270 2.548 20 5 CFBDRN Cc1ccccc1[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000138081323 347914977 /nfs/dbraw/zinc/91/49/77/347914977.db2.gz PIVWDJFPRNMEPS-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000138516192 347916669 /nfs/dbraw/zinc/91/66/69/347916669.db2.gz VBQKSFULHIASGE-NEPJUHHUSA-N 0 0 291.351 2.702 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000128666556 187383479 /nfs/dbraw/zinc/38/34/79/187383479.db2.gz CTKBLYYUTUDDJU-SNVBAGLBSA-N 0 0 298.314 2.669 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000153159646 347971813 /nfs/dbraw/zinc/97/18/13/347971813.db2.gz ACJPCKSEHYKPKW-SECBINFHSA-N 0 0 293.323 2.767 20 5 CFBDRN CN(C(=O)CCc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000158000648 348004179 /nfs/dbraw/zinc/00/41/79/348004179.db2.gz KATAYYDLXRNVPD-UHFFFAOYSA-N 0 0 285.303 2.585 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1CCc2ccccc2C1 ZINC000159290504 348011555 /nfs/dbraw/zinc/01/15/55/348011555.db2.gz FAPMMTFUJMYWJB-CYBMUJFWSA-N 0 0 269.304 2.959 20 5 CFBDRN C[C@@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000160259218 348017215 /nfs/dbraw/zinc/01/72/15/348017215.db2.gz AFPKMKCUFMTESB-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 ZINC000161397471 348024484 /nfs/dbraw/zinc/02/44/84/348024484.db2.gz QDRILOMNAITZKA-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN C[C@H](CO)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000161607879 348025536 /nfs/dbraw/zinc/02/55/36/348025536.db2.gz NKZJXXUNDRPPIJ-SNVBAGLBSA-N 0 0 261.277 2.755 20 5 CFBDRN O=C(Nc1nccs1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000161562349 348025612 /nfs/dbraw/zinc/02/56/12/348025612.db2.gz QFMXXCCHDTVTTJ-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN O=c1[nH]c(Cc2cnccn2)nc2cc3ccccc3cc21 ZINC000349085110 539598026 /nfs/dbraw/zinc/59/80/26/539598026.db2.gz RCBSMBMXGLXIQD-UHFFFAOYSA-N 0 0 288.310 2.869 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2CCCCCC2)n1 ZINC000166665041 348043291 /nfs/dbraw/zinc/04/32/91/348043291.db2.gz GPUCRDJDVHVBPI-UHFFFAOYSA-N 0 0 293.323 2.911 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])cc2Cl)nn1C ZINC000168342284 348048031 /nfs/dbraw/zinc/04/80/31/348048031.db2.gz BQWUYRZWRDYMMI-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000128708069 187385699 /nfs/dbraw/zinc/38/56/99/187385699.db2.gz LQMTYFHFXOFEKW-UWVGGRQHSA-N 0 0 266.297 2.886 20 5 CFBDRN Cc1conc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000183388871 348126071 /nfs/dbraw/zinc/12/60/71/348126071.db2.gz HTDKXKYVNSERGP-MRVPVSSYSA-N 0 0 293.254 2.773 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000171841969 348082382 /nfs/dbraw/zinc/08/23/82/348082382.db2.gz RXTPWNVQULGVMB-LLVKDONJSA-N 0 0 279.340 2.782 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000172371398 348091682 /nfs/dbraw/zinc/09/16/82/348091682.db2.gz YRGZCMXWVGRTTI-NSHDSACASA-N 0 0 279.340 2.686 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000172450439 348095170 /nfs/dbraw/zinc/09/51/70/348095170.db2.gz FPRDJQYUPXRAOA-LLVKDONJSA-N 0 0 264.325 2.546 20 5 CFBDRN Cc1cccc(NCC[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000218886186 348167860 /nfs/dbraw/zinc/16/78/60/348167860.db2.gz KFDWFXGKVOCQQP-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cc(NCC2CCC(O)CC2)ccc1[N+](=O)[O-] ZINC000227709665 348190392 /nfs/dbraw/zinc/19/03/92/348190392.db2.gz RZUJRJUKHVUVLO-UHFFFAOYSA-N 0 0 264.325 2.866 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000227820419 348190782 /nfs/dbraw/zinc/19/07/82/348190782.db2.gz UDUFGGDGBXHCPO-MNOVXSKESA-N 0 0 265.313 2.524 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H](OC)C2(C)C)c1 ZINC000227821610 348190839 /nfs/dbraw/zinc/19/08/39/348190839.db2.gz KAEPUYZOYUQMQV-QWHCGFSZSA-N 0 0 280.324 2.829 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@](C)(O)CC2)c1 ZINC000230372461 348206185 /nfs/dbraw/zinc/20/61/85/348206185.db2.gz IZQZAKUJOSRTNU-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000245457547 348254091 /nfs/dbraw/zinc/25/40/91/348254091.db2.gz JVRYHBLPANIQEO-FICVDOATSA-N 0 0 288.347 2.690 20 5 CFBDRN C[C@H]([NH2+]C[C@H]1CCO[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000235528443 348228089 /nfs/dbraw/zinc/22/80/89/348228089.db2.gz MVFIRONDQYCRHG-QJPTWQEYSA-N 0 0 264.325 2.670 20 5 CFBDRN CC(C)c1nnc(CNc2cccc(F)c2[N+](=O)[O-])n1C ZINC000273327528 192114732 /nfs/dbraw/zinc/11/47/32/192114732.db2.gz QODIPVJUJWEXHL-UHFFFAOYSA-N 0 0 293.302 2.598 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCC1(O)CCCCC1 ZINC000087029500 179382389 /nfs/dbraw/zinc/38/23/89/179382389.db2.gz OHBWJQWFHMJIRF-UHFFFAOYSA-N 0 0 268.288 2.841 20 5 CFBDRN Cc1ccc(NCC2(O)CCCC2)c([N+](=O)[O-])c1 ZINC000087029896 179382595 /nfs/dbraw/zinc/38/25/95/179382595.db2.gz HFBSNMSOSGVVFW-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@H]1F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000412671220 232939527 /nfs/dbraw/zinc/93/95/27/232939527.db2.gz KFZLHWZANGDOJY-VXGBXAGGSA-N 0 0 284.262 2.744 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)C[C@H]1C ZINC000412774472 232957273 /nfs/dbraw/zinc/95/72/73/232957273.db2.gz DEXWBBYWQKVEPR-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cccc(CCNc2ccc([N+](=O)[O-])c(C)n2)n1 ZINC000280463046 348341137 /nfs/dbraw/zinc/34/11/37/348341137.db2.gz MGPHZTLDBWDJIY-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)Sc1ncccc1[N+](=O)[O-] ZINC000285148814 348345740 /nfs/dbraw/zinc/34/57/40/348345740.db2.gz LVRXOCHEYUEGIS-SNVBAGLBSA-N 0 0 284.337 2.670 20 5 CFBDRN C[C@H](C[C@H](O)c1cccs1)Nc1ncccc1[N+](=O)[O-] ZINC000128876331 187395978 /nfs/dbraw/zinc/39/59/78/187395978.db2.gz QEPUGLUOBJXLIV-KOLCDFICSA-N 0 0 293.348 2.975 20 5 CFBDRN COc1cc(N[C@H]2CCS[C@@H]2C)ccc1[N+](=O)[O-] ZINC000311120623 348411678 /nfs/dbraw/zinc/41/16/78/348411678.db2.gz ZAYNBLBCGWXCDZ-SCZZXKLOSA-N 0 0 268.338 2.909 20 5 CFBDRN C[C@@H](Nc1ccc(N)c([N+](=O)[O-])n1)C1CCCC1 ZINC000311024407 348411852 /nfs/dbraw/zinc/41/18/52/348411852.db2.gz ZTWZIFPSDJKSEK-MRVPVSSYSA-N 0 0 250.302 2.563 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1NC[C@H](O)C1CC1 ZINC000311370147 348412495 /nfs/dbraw/zinc/41/24/95/348412495.db2.gz RDMYWHFZJYXFIZ-NSHDSACASA-N 0 0 272.251 2.715 20 5 CFBDRN CSC1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000312862437 348421188 /nfs/dbraw/zinc/42/11/88/348421188.db2.gz RALKJEMEWAMWRE-UHFFFAOYSA-N 0 0 298.339 2.702 20 5 CFBDRN COc1cc(N[C@H]2CC[C@H](O)CC2)c([N+](=O)[O-])cc1C ZINC000312360575 348418206 /nfs/dbraw/zinc/41/82/06/348418206.db2.gz ZEKYSLJPUYFYMY-XYPYZODXSA-N 0 0 280.324 2.627 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOC2CCCCC2)c(F)c1 ZINC000413074614 233013929 /nfs/dbraw/zinc/01/39/29/233013929.db2.gz SHBASPAECDGFEP-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000413082409 233015854 /nfs/dbraw/zinc/01/58/54/233015854.db2.gz HIFLXOWSBYPDPC-NSHDSACASA-N 0 0 291.351 2.925 20 5 CFBDRN CC(C)[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000324125515 348439087 /nfs/dbraw/zinc/43/90/87/348439087.db2.gz OKDGXWGTCZJPBQ-ZDUSSCGKSA-N 0 0 280.299 2.898 20 5 CFBDRN Cc1nc(C)c(CCNc2ncc([N+](=O)[O-])cc2F)s1 ZINC000413132557 233023182 /nfs/dbraw/zinc/02/31/82/233023182.db2.gz IXMAQTGYWAABNX-UHFFFAOYSA-N 0 0 296.327 2.857 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N[C@H]3CCCOC3)c2c1 ZINC000413123900 233020926 /nfs/dbraw/zinc/02/09/26/233020926.db2.gz DLXATYSMQUIIAZ-NSHDSACASA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@]12C[C@@H]1CCC2 ZINC000596844428 349996519 /nfs/dbraw/zinc/99/65/19/349996519.db2.gz XRQDYFHFBUJFEW-HZMBPMFUSA-N 0 0 275.308 2.967 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000596844492 349996868 /nfs/dbraw/zinc/99/68/68/349996868.db2.gz OWPJVZXHPKSDML-NSHDSACASA-N 0 0 293.323 2.914 20 5 CFBDRN CC1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCOCC1 ZINC000349883770 348468515 /nfs/dbraw/zinc/46/85/15/348468515.db2.gz RGPYCBZYPOJPTI-UHFFFAOYSA-N 0 0 289.291 2.713 20 5 CFBDRN CCn1nccc1-c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000349910810 348468593 /nfs/dbraw/zinc/46/85/93/348468593.db2.gz UXPUALTUUJLUBH-UHFFFAOYSA-N 0 0 285.263 2.528 20 5 CFBDRN CCn1nccc1-c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350253688 348474064 /nfs/dbraw/zinc/47/40/64/348474064.db2.gz KPLPKWAWQAPEEX-UHFFFAOYSA-N 0 0 291.292 2.590 20 5 CFBDRN COCCSc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413176133 233028351 /nfs/dbraw/zinc/02/83/51/233028351.db2.gz UNILOXPTUPRHIT-UHFFFAOYSA-N 0 0 264.306 2.882 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000394133049 348547430 /nfs/dbraw/zinc/54/74/30/348547430.db2.gz KHSBOXPBJMVYNY-ZCFIWIBFSA-N 0 0 290.728 2.687 20 5 CFBDRN COC[C@H](C)Cc1noc(-c2cccc([N+](=O)[O-])c2C)n1 ZINC000351454601 348497168 /nfs/dbraw/zinc/49/71/68/348497168.db2.gz YKIIVDVPNXOCFG-SECBINFHSA-N 0 0 291.307 2.778 20 5 CFBDRN C[C@H](N(C)c1ncc([N+](=O)[O-])cc1F)C1(C)CC1 ZINC000413181891 233030785 /nfs/dbraw/zinc/03/07/85/233030785.db2.gz FSMWRYRDGKXOQO-QMMMGPOBSA-N 0 0 253.277 2.754 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC(CCO)CC1 ZINC000401069637 348577323 /nfs/dbraw/zinc/57/73/23/348577323.db2.gz ALBSVPYQUNHTBA-UHFFFAOYSA-N 0 0 284.743 2.847 20 5 CFBDRN Cc1nc(C)c(CNc2ncc(F)cc2[N+](=O)[O-])s1 ZINC000401154695 348577513 /nfs/dbraw/zinc/57/75/13/348577513.db2.gz HUOXNHOMGOMPBJ-UHFFFAOYSA-N 0 0 282.300 2.814 20 5 CFBDRN CCOC1(CNc2ncc(F)cc2[N+](=O)[O-])CCCC1 ZINC000401220674 348577926 /nfs/dbraw/zinc/57/79/26/348577926.db2.gz UMUKBNPCVNEVRT-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN Cc1cc(N[C@@H]2CO[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000402078445 348580625 /nfs/dbraw/zinc/58/06/25/348580625.db2.gz TYEFVQKMKFFOOG-BDAKNGLRSA-N 0 0 254.261 2.632 20 5 CFBDRN Cc1nc(CCN2CCc3ccc([N+](=O)[O-])cc32)cs1 ZINC000273446646 192153943 /nfs/dbraw/zinc/15/39/43/192153943.db2.gz WGPKYNRWBGRIJE-UHFFFAOYSA-N 0 0 289.360 2.965 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000273446605 192154657 /nfs/dbraw/zinc/15/46/57/192154657.db2.gz KFFIUCLUVMASLB-UHFFFAOYSA-N 0 0 267.260 2.750 20 5 CFBDRN Cc1cccc(N2CCC(CCO)CC2)c1[N+](=O)[O-] ZINC000400968853 348576611 /nfs/dbraw/zinc/57/66/11/348576611.db2.gz CWGGHMOBPRNTIE-UHFFFAOYSA-N 0 0 264.325 2.502 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@H]1CCC[C@@H]1O ZINC000413374392 233062050 /nfs/dbraw/zinc/06/20/50/233062050.db2.gz JNNOEFKGJZUHIA-YGRLFVJLSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000584851120 348723454 /nfs/dbraw/zinc/72/34/54/348723454.db2.gz UWPAZSULZCJFBY-NSHDSACASA-N 0 0 277.324 2.766 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H](OC(C)C)C2)c1 ZINC000413381432 233064143 /nfs/dbraw/zinc/06/41/43/233064143.db2.gz KGLHPIHHNGOJLC-KLPPZKSPSA-N 0 0 280.324 2.971 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CC[C@@H](C(F)F)C3)c21 ZINC000588040668 348768215 /nfs/dbraw/zinc/76/82/15/348768215.db2.gz JSSIIWPUKLLZNZ-MRVPVSSYSA-N 0 0 294.261 2.629 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCC[C@@H]4C[C@@H]43)c21 ZINC000588066195 348770060 /nfs/dbraw/zinc/77/00/60/348770060.db2.gz JFJLVSBMLNGDBN-SKDRFNHKSA-N 0 0 270.292 2.527 20 5 CFBDRN CCO[C@@H]1CCCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000588814640 348796724 /nfs/dbraw/zinc/79/67/24/348796724.db2.gz UFPYOWOFMRQGEF-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN O=C(c1occ2c1CCC2)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000588833634 348797344 /nfs/dbraw/zinc/79/73/44/348797344.db2.gz AVWQEKIQXXRLSM-UHFFFAOYSA-N 0 0 298.298 2.879 20 5 CFBDRN CC(C)(C)Cc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000273944766 192372963 /nfs/dbraw/zinc/37/29/63/192372963.db2.gz MRQNWRYEDHTDCS-UHFFFAOYSA-N 0 0 276.296 2.552 20 5 CFBDRN C[C@H](Cc1cccc(F)c1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000413439039 233072962 /nfs/dbraw/zinc/07/29/62/233072962.db2.gz AFOFMXLTRHGDKI-SNVBAGLBSA-N 0 0 290.298 2.591 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@@H]2C[C@@H]21 ZINC000586890702 348760024 /nfs/dbraw/zinc/76/00/24/348760024.db2.gz MQUWRCKUIDNLSS-PELKAZGASA-N 0 0 280.711 2.873 20 5 CFBDRN C[C@H]1CC(Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C[C@H](C)C1 ZINC000587982727 348763746 /nfs/dbraw/zinc/76/37/46/348763746.db2.gz SAXUWMJECYNRJL-NXEZZACHSA-N 0 0 293.367 2.906 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1S[C@@H](C)CCO ZINC000587987470 348763851 /nfs/dbraw/zinc/76/38/51/348763851.db2.gz YYNNUFSCILVPBS-VIFPVBQESA-N 0 0 299.348 2.553 20 5 CFBDRN CC[C@@]1(C)CCCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587987832 348764045 /nfs/dbraw/zinc/76/40/45/348764045.db2.gz GNCGWFJPNHJSNL-HNNXBMFYSA-N 0 0 293.367 2.686 20 5 CFBDRN CC(=O)c1cc(N2CCO[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000588013058 348766662 /nfs/dbraw/zinc/76/66/62/348766662.db2.gz USDQCUPNOWWEBO-OAHLLOKOSA-N 0 0 292.335 2.659 20 5 CFBDRN COCC[C@@H](C)CNc1cccc(C)c1[N+](=O)[O-] ZINC000413490542 233082317 /nfs/dbraw/zinc/08/23/17/233082317.db2.gz IUIUMXXMCYIWBG-SNVBAGLBSA-N 0 0 252.314 2.988 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CC(C)(C)O1 ZINC000589109869 348810876 /nfs/dbraw/zinc/81/08/76/348810876.db2.gz YZDIHJAWHANLNK-MRVPVSSYSA-N 0 0 285.731 2.647 20 5 CFBDRN COCC[C@H](C)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000413491951 233083021 /nfs/dbraw/zinc/08/30/21/233083021.db2.gz LCBSQKOLFCFJHU-VIFPVBQESA-N 0 0 267.329 2.691 20 5 CFBDRN COCC[C@H](C)CNc1ccc([N+](=O)[O-])cc1COC ZINC000413492918 233083425 /nfs/dbraw/zinc/08/34/25/233083425.db2.gz NCLGPZARVVFCCP-NSHDSACASA-N 0 0 282.340 2.826 20 5 CFBDRN CC(C)c1scnc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000589125652 348812617 /nfs/dbraw/zinc/81/26/17/348812617.db2.gz SRCXLCOWKGQOKZ-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H]3C[C@H]3C)n2)c1 ZINC000589148372 348813018 /nfs/dbraw/zinc/81/30/18/348813018.db2.gz BHSYFQCIBWHGIZ-LDYMZIIASA-N 0 0 289.291 2.701 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2cccnc2C)n1 ZINC000589267054 348823559 /nfs/dbraw/zinc/82/35/59/348823559.db2.gz CVWQFOKSMYAORB-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000589580534 348839577 /nfs/dbraw/zinc/83/95/77/348839577.db2.gz UGLKHGXDZSDEIF-UWVGGRQHSA-N 0 0 281.356 2.906 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000589580540 348839736 /nfs/dbraw/zinc/83/97/36/348839736.db2.gz UGLKHGXDZSDEIF-ZJUUUORDSA-N 0 0 281.356 2.906 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H](C)C[C@H]1CCOC1 ZINC000589584775 348840116 /nfs/dbraw/zinc/84/01/16/348840116.db2.gz OPSYULBAPQSUON-NWDGAFQWSA-N 0 0 294.351 2.968 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CC[C@@H](C(F)F)C1)CCN2 ZINC000589599717 348840987 /nfs/dbraw/zinc/84/09/87/348840987.db2.gz HDPCPPWEYVYMSV-MRVPVSSYSA-N 0 0 283.278 2.654 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])s2)c(OC)n1 ZINC000589608585 348842742 /nfs/dbraw/zinc/84/27/42/348842742.db2.gz KQMORRNOUADEKZ-UHFFFAOYSA-N 0 0 295.320 2.681 20 5 CFBDRN COC[C@H]1CCCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000589689212 348850002 /nfs/dbraw/zinc/85/00/02/348850002.db2.gz URZLGWQMAQCCRL-SNVBAGLBSA-N 0 0 268.288 2.658 20 5 CFBDRN COc1ccc(CNC(=O)CCCC2CC2)cc1[N+](=O)[O-] ZINC000589693964 348851412 /nfs/dbraw/zinc/85/14/12/348851412.db2.gz ZPHUNBIXKYGCSK-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN O=C(CCCC1CC1)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000589701630 348851967 /nfs/dbraw/zinc/85/19/67/348851967.db2.gz DBSSEZNFSSBNPD-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC(C2CC2)C1 ZINC000589763738 348855618 /nfs/dbraw/zinc/85/56/18/348855618.db2.gz UMPMCCDMCFUYDC-UHFFFAOYSA-N 0 0 275.308 2.777 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CCn1cccc1 ZINC000589809031 348857597 /nfs/dbraw/zinc/85/75/97/348857597.db2.gz WIOQZWNRTVNHIT-UHFFFAOYSA-N 0 0 287.319 2.988 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cccc2oc(=O)[nH]c21 ZINC000589829513 348859428 /nfs/dbraw/zinc/85/94/28/348859428.db2.gz QDHHJQJFTAHFFB-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(C2CC2)CC1 ZINC000589873630 348862476 /nfs/dbraw/zinc/86/24/76/348862476.db2.gz QTODVLQZRGJCDZ-UHFFFAOYSA-N 0 0 278.356 2.746 20 5 CFBDRN COCC1(CNc2sccc2[N+](=O)[O-])CCOCC1 ZINC000589869698 348862652 /nfs/dbraw/zinc/86/26/52/348862652.db2.gz TYMXIJSIUXNTAU-UHFFFAOYSA-N 0 0 286.353 2.511 20 5 CFBDRN CCN1CCN(c2c(Cl)cccc2[N+](=O)[O-])C[C@H]1C ZINC000590083852 348873361 /nfs/dbraw/zinc/87/33/61/348873361.db2.gz XQBATKJGEOOFMY-SNVBAGLBSA-N 0 0 283.759 2.779 20 5 CFBDRN CC(C)(C(=O)N1CC=CCC1)c1ccccc1[N+](=O)[O-] ZINC000590323375 348893985 /nfs/dbraw/zinc/89/39/85/348893985.db2.gz RDWNEJOUDBNUCS-UHFFFAOYSA-N 0 0 274.320 2.661 20 5 CFBDRN CCc1nocc1CN(C)c1ccsc1[N+](=O)[O-] ZINC000590146196 348878555 /nfs/dbraw/zinc/87/85/55/348878555.db2.gz WOMFZSSMPZIILK-UHFFFAOYSA-N 0 0 267.310 2.843 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccsc2[N+](=O)[O-])CCCO1 ZINC000590153063 348879096 /nfs/dbraw/zinc/87/90/96/348879096.db2.gz PKLOAFNWKPOXFV-NSHDSACASA-N 0 0 270.354 2.908 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000590711971 348933179 /nfs/dbraw/zinc/93/31/79/348933179.db2.gz VEHWJQLPINXLQP-QWRGUYRKSA-N 0 0 293.367 2.908 20 5 CFBDRN CCO[C@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@H]1C ZINC000590718100 348935025 /nfs/dbraw/zinc/93/50/25/348935025.db2.gz FAZPUAZORCHJLV-KOLCDFICSA-N 0 0 270.354 2.908 20 5 CFBDRN COc1cccc2c1CN(c1nccc(C)c1[N+](=O)[O-])CC2 ZINC000590722933 348937049 /nfs/dbraw/zinc/93/70/49/348937049.db2.gz GFGCNHLOEVDADJ-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[S@](=O)C(C)(C)CC1 ZINC000590723814 348937184 /nfs/dbraw/zinc/93/71/84/348937184.db2.gz BVOGIAYWHMSWHS-FQEVSTJZSA-N 0 0 296.392 2.641 20 5 CFBDRN C[C@H]1C[C@H](Nc2sccc2[N+](=O)[O-])c2nccn21 ZINC000590723725 348937348 /nfs/dbraw/zinc/93/73/48/348937348.db2.gz NPQBSXOCWHEZLB-YUMQZZPRSA-N 0 0 264.310 2.971 20 5 CFBDRN CCC[C@H](O)Cc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000590778969 348947944 /nfs/dbraw/zinc/94/79/44/348947944.db2.gz YCLLBGVBQLGZPR-JTQLQIEISA-N 0 0 291.307 2.657 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC(C2CC2)CC1 ZINC000590424572 348900112 /nfs/dbraw/zinc/90/01/12/348900112.db2.gz AALRJLPNQUGHHT-UHFFFAOYSA-N 0 0 274.320 2.857 20 5 CFBDRN CSCCc1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000273954508 192376973 /nfs/dbraw/zinc/37/69/73/192376973.db2.gz NOBLGGWPRZZCKL-UHFFFAOYSA-N 0 0 293.348 2.783 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)c2ncc[nH]2)c([N+](=O)[O-])c1 ZINC000590568098 348909467 /nfs/dbraw/zinc/90/94/67/348909467.db2.gz PBXVGQNHALRDNL-MRVPVSSYSA-N 0 0 274.280 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCn2cncc2C1 ZINC000590599021 348912993 /nfs/dbraw/zinc/91/29/93/348912993.db2.gz DEBNHCZCDNFYNE-GFCCVEGCSA-N 0 0 286.335 2.677 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)C2CCC2)c([N+](=O)[O-])cc1F ZINC000590685170 348927913 /nfs/dbraw/zinc/92/79/13/348927913.db2.gz BTFIGXFJZLGSIR-MRVPVSSYSA-N 0 0 296.298 2.661 20 5 CFBDRN CCC[C@H](C)Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000590684598 348927920 /nfs/dbraw/zinc/92/79/20/348927920.db2.gz LGJRYZNQBPCWKK-VIFPVBQESA-N 0 0 286.353 2.599 20 5 CFBDRN COC(=O)Cc1ccc(NC[C@@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000590687273 348928892 /nfs/dbraw/zinc/92/88/92/348928892.db2.gz JCDNAKLCXIWCAY-SNVBAGLBSA-N 0 0 292.335 2.768 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC(C(F)(F)F)C1 ZINC000590693490 348929306 /nfs/dbraw/zinc/92/93/06/348929306.db2.gz BIVKBHMWKAJPMC-UHFFFAOYSA-N 0 0 252.217 2.655 20 5 CFBDRN CC(C)C1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000590695611 348929715 /nfs/dbraw/zinc/92/97/15/348929715.db2.gz MPTTZITUTHFNKN-UHFFFAOYSA-N 0 0 260.297 2.563 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@]3(CCOC3)C2)c1 ZINC000590693009 348929741 /nfs/dbraw/zinc/92/97/41/348929741.db2.gz DIWXNPNLRYWYGI-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1nc(C)c(CNc2sccc2[N+](=O)[O-])o1 ZINC000590703246 348930876 /nfs/dbraw/zinc/93/08/76/348930876.db2.gz HMDABZFWAZRQFD-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(CC(F)C3)C2)c(F)c1 ZINC000590969353 348974434 /nfs/dbraw/zinc/97/44/34/348974434.db2.gz ZIUGKHOKPBZPGN-UHFFFAOYSA-N 0 0 268.263 2.668 20 5 CFBDRN Cc1ccc(CN2CC(C(F)F)C2)cc1[N+](=O)[O-] ZINC000590968779 348974930 /nfs/dbraw/zinc/97/49/30/348974930.db2.gz KMQMCCLTVMIIGG-UHFFFAOYSA-N 0 0 256.252 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]3COC[C@@H]32)c(Cl)c1 ZINC000590972123 348975700 /nfs/dbraw/zinc/97/57/00/348975700.db2.gz CRFAMZLCDQOLJQ-RISCZKNCSA-N 0 0 296.754 2.859 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CC[C@H](C2CCC2)C1 ZINC000591125999 348990390 /nfs/dbraw/zinc/99/03/90/348990390.db2.gz GPHQBNXZZOZGAI-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC[C@@H](C2CCC2)C1 ZINC000591126052 348990449 /nfs/dbraw/zinc/99/04/49/348990449.db2.gz HQNOLCNUQREFFQ-CQSZACIVSA-N 0 0 288.347 2.786 20 5 CFBDRN C[C@H](N(C)c1ncc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000591139099 348992085 /nfs/dbraw/zinc/99/20/85/348992085.db2.gz GTXXVVBYCUNTTJ-QMMMGPOBSA-N 0 0 255.293 3.000 20 5 CFBDRN CN(CC1CCCC1)c1ncc([N+](=O)[O-])cc1F ZINC000591143053 348992395 /nfs/dbraw/zinc/99/23/95/348992395.db2.gz RRFASSCTROACFY-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000591148367 348992449 /nfs/dbraw/zinc/99/24/49/348992449.db2.gz BQQZAANGCKBXSZ-BDAKNGLRSA-N 0 0 253.277 2.754 20 5 CFBDRN CC1(F)CN(c2ncc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000591159706 348994918 /nfs/dbraw/zinc/99/49/18/348994918.db2.gz NDCOLMVFVKKVAS-UHFFFAOYSA-N 0 0 279.193 2.557 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000591179617 348998047 /nfs/dbraw/zinc/99/80/47/348998047.db2.gz RKHMNMANBHJRSW-GXFFZTMASA-N 0 0 272.308 2.994 20 5 CFBDRN CNc1nc(C(=O)N(C)[C@@H](C)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000590806395 348952281 /nfs/dbraw/zinc/95/22/81/348952281.db2.gz AIBWPPURVQTBIG-VIFPVBQESA-N 0 0 294.355 2.538 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@@H]3CC34CC4)c2c1 ZINC000591827513 349048927 /nfs/dbraw/zinc/04/89/27/349048927.db2.gz OAYVCDIQOYTBPB-LLVKDONJSA-N 0 0 256.265 2.502 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCC[C@@H]1CCCCO1 ZINC000273962401 192380303 /nfs/dbraw/zinc/38/03/03/192380303.db2.gz OCKIKPMAVZKHFO-NSHDSACASA-N 0 0 265.313 2.751 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCCC1(O)CCC1 ZINC000591463691 349025824 /nfs/dbraw/zinc/02/58/24/349025824.db2.gz RDTQQFOZKGNKEJ-UHFFFAOYSA-N 0 0 256.327 2.763 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@@H](CCO)CC1 ZINC000591465587 349025917 /nfs/dbraw/zinc/02/59/17/349025917.db2.gz WTXHMOYIAAWRNK-SNVBAGLBSA-N 0 0 270.354 2.645 20 5 CFBDRN O=C(N1CCc2c(cccc2[N+](=O)[O-])C1)[C@]12C[C@H]1CCC2 ZINC000591510627 349027985 /nfs/dbraw/zinc/02/79/85/349027985.db2.gz WANKDQVFICJGIO-MLGOLLRUSA-N 0 0 286.331 2.670 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCC12COC2 ZINC000591589488 349032953 /nfs/dbraw/zinc/03/29/53/349032953.db2.gz KFKRWINTMRCREO-UHFFFAOYSA-N 0 0 288.225 2.593 20 5 CFBDRN C[C@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000129198645 187416255 /nfs/dbraw/zinc/41/62/55/187416255.db2.gz KGQFHFDEMHEYSQ-UFBFGSQYSA-N 0 0 298.726 2.546 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000591980445 349069106 /nfs/dbraw/zinc/06/91/06/349069106.db2.gz DFHGEJYRFACBLP-MNOVXSKESA-N 0 0 252.314 2.804 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1sccc1[N+](=O)[O-] ZINC000591980768 349069185 /nfs/dbraw/zinc/06/91/85/349069185.db2.gz HWOJHAAWWGQWBL-DTWKUNHWSA-N 0 0 258.343 2.865 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ncc([N+](=O)[O-])cc1C ZINC000591983724 349069719 /nfs/dbraw/zinc/06/97/19/349069719.db2.gz XJERSQMCZYJTPJ-MWLCHTKSSA-N 0 0 267.329 2.507 20 5 CFBDRN CCc1nn(C)c(N[C@H]2C[C@@H](OC(C)(C)C)C2)c1[N+](=O)[O-] ZINC000591986906 349070491 /nfs/dbraw/zinc/07/04/91/349070491.db2.gz DKUKSCLLMJBSGJ-AOOOYVTPSA-N 0 0 296.371 2.649 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000591995616 349072558 /nfs/dbraw/zinc/07/25/58/349072558.db2.gz WFRJVHKGDQVNGR-SECBINFHSA-N 0 0 268.338 2.804 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1CC1CC(F)(F)C1 ZINC000592349050 349106860 /nfs/dbraw/zinc/10/68/60/349106860.db2.gz NNRDBHJFONKCPN-UHFFFAOYSA-N 0 0 284.218 2.548 20 5 CFBDRN CCN(CCSC)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000592001748 349073540 /nfs/dbraw/zinc/07/35/40/349073540.db2.gz XDSRDRFFQBMJLP-UHFFFAOYSA-N 0 0 280.353 2.660 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1CCC1=CCCCC1 ZINC000592356114 349107581 /nfs/dbraw/zinc/10/75/81/349107581.db2.gz UIBFIWZJVOSNIF-UHFFFAOYSA-N 0 0 266.272 2.786 20 5 CFBDRN CCN(CCSC)Cc1csc([N+](=O)[O-])c1 ZINC000592201050 349098909 /nfs/dbraw/zinc/09/89/09/349098909.db2.gz BOXAQXRJNLSDKK-UHFFFAOYSA-N 0 0 260.384 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC[C@@H]2CCOC2)cc1 ZINC000592343137 349105216 /nfs/dbraw/zinc/10/52/16/349105216.db2.gz HBBAADKOYXUGAC-LLVKDONJSA-N 0 0 251.282 2.790 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCN(C(C)(C)C)C2=O)c1 ZINC000591957587 349064831 /nfs/dbraw/zinc/06/48/31/349064831.db2.gz OYWKFQSAVUFYTF-LLVKDONJSA-N 0 0 291.351 2.715 20 5 CFBDRN C[C@@H](CN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1)CC(F)F ZINC000591961169 349065812 /nfs/dbraw/zinc/06/58/12/349065812.db2.gz AQUYLIGJMJECHV-SSDOTTSWSA-N 0 0 284.266 2.596 20 5 CFBDRN COC(=O)[C@@H](CC(C)(C)C)Nc1ncc([N+](=O)[O-])cc1F ZINC000591973722 349067599 /nfs/dbraw/zinc/06/75/99/349067599.db2.gz JEUZKCOGGGECEG-SNVBAGLBSA-N 0 0 299.302 2.519 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000591975774 349068348 /nfs/dbraw/zinc/06/83/48/349068348.db2.gz UGMFLSURWWGUNV-LBPRGKRZSA-N 0 0 298.368 2.657 20 5 CFBDRN CC[C@H](CNc1ccc(C)cc1[N+](=O)[O-])C(=O)OC ZINC000591977184 349068430 /nfs/dbraw/zinc/06/84/30/349068430.db2.gz VFIZEAYNBKOECS-SNVBAGLBSA-N 0 0 266.297 2.514 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H](F)C2)c1 ZINC000592664793 349147290 /nfs/dbraw/zinc/14/72/90/349147290.db2.gz ANVULLNSDZSDBU-NXEZZACHSA-N 0 0 296.298 2.614 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H](C)CC(F)F)cc1[N+](=O)[O-] ZINC000592671161 349148668 /nfs/dbraw/zinc/14/86/68/349148668.db2.gz DPGRARXTICKYOI-MRVPVSSYSA-N 0 0 286.278 2.924 20 5 CFBDRN C[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1)CC(F)F ZINC000592672933 349149285 /nfs/dbraw/zinc/14/92/85/349149285.db2.gz QGTVSZCZSHYNGW-MRVPVSSYSA-N 0 0 272.251 2.616 20 5 CFBDRN Cc1c(NCc2ccc([N+](=O)[O-])cc2)n[nH]c1C(C)C ZINC000592374069 349111421 /nfs/dbraw/zinc/11/14/21/349111421.db2.gz CHZHYEQPQGRHAK-UHFFFAOYSA-N 0 0 274.324 2.784 20 5 CFBDRN Cc1ccc(C[NH+]2CCC(C)(C(=O)[O-])CC2)cc1[N+](=O)[O-] ZINC000592423062 349118328 /nfs/dbraw/zinc/11/83/28/349118328.db2.gz JIYUKGYCMMLJPY-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN Cc1c(CNC(=O)C2(CF)CCC2)cccc1[N+](=O)[O-] ZINC000592625792 349144145 /nfs/dbraw/zinc/14/41/45/349144145.db2.gz YPILVKMGFXAMAW-UHFFFAOYSA-N 0 0 280.299 2.659 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000592902373 349176973 /nfs/dbraw/zinc/17/69/73/349176973.db2.gz FDRNLALKMNLGKF-GMTAPVOTSA-N 0 0 299.330 2.995 20 5 CFBDRN CC[C@@H](SC)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000274000498 192397012 /nfs/dbraw/zinc/39/70/12/192397012.db2.gz RCWCMCUMMZTMQS-SNVBAGLBSA-N 0 0 294.336 2.778 20 5 CFBDRN CCC(C)(C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000592998009 349183898 /nfs/dbraw/zinc/18/38/98/349183898.db2.gz MVSPDMZIUXXBRC-UHFFFAOYSA-N 0 0 268.288 2.581 20 5 CFBDRN CC(C)CCCNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593028170 349190405 /nfs/dbraw/zinc/19/04/05/349190405.db2.gz FJZQBDPJFBDAMO-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCS[C@@H]2C)cc1[N+](=O)[O-] ZINC000592733236 349158650 /nfs/dbraw/zinc/15/86/50/349158650.db2.gz FJJIVGTVHGWBRG-KOLCDFICSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CCS[C@H]1C ZINC000592735049 349159072 /nfs/dbraw/zinc/15/90/72/349159072.db2.gz JOVUBKIDBGPRGS-JQWIXIFHSA-N 0 0 294.376 2.835 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCS[C@H]1C ZINC000592736007 349159564 /nfs/dbraw/zinc/15/95/64/349159564.db2.gz PBLKPBZBBZDCRJ-JOYOIKCWSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCS[C@@H]2C)c1 ZINC000592735862 349159634 /nfs/dbraw/zinc/15/96/34/349159634.db2.gz NXUIWRGRUZKDOE-MWLCHTKSSA-N 0 0 280.349 2.527 20 5 CFBDRN Cn1c(C(=O)N2CCCC[C@@H]2C2CCC2)ccc1[N+](=O)[O-] ZINC000592737080 349160404 /nfs/dbraw/zinc/16/04/04/349160404.db2.gz SVAUCZYEGHXHDQ-GFCCVEGCSA-N 0 0 291.351 2.728 20 5 CFBDRN CCN(CCSC)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000592740714 349162020 /nfs/dbraw/zinc/16/20/20/349162020.db2.gz GXCXBEUTRSQMJV-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CSCC1(CC(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000593038320 349191692 /nfs/dbraw/zinc/19/16/92/349191692.db2.gz HGYACXUTNALVDO-UHFFFAOYSA-N 0 0 294.376 2.744 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593147454 349220326 /nfs/dbraw/zinc/22/03/26/349220326.db2.gz VOFRHBMTBYGKSK-WDEREUQCSA-N 0 0 294.326 2.829 20 5 CFBDRN CCOCCSCc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000593188156 349225560 /nfs/dbraw/zinc/22/55/60/349225560.db2.gz WTOWYRGDNRATBO-UHFFFAOYSA-N 0 0 299.348 2.651 20 5 CFBDRN Cc1cccc(C(=O)N2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000593236953 349233289 /nfs/dbraw/zinc/23/32/89/349233289.db2.gz GTUQYXKKAMTHKM-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC(F)(F)C1 ZINC000593236478 349233428 /nfs/dbraw/zinc/23/34/28/349233428.db2.gz LHRUHMPYZWXMDV-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000593252217 349235472 /nfs/dbraw/zinc/23/54/72/349235472.db2.gz PCVGMLSFYYQUOO-QWHCGFSZSA-N 0 0 285.303 2.517 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000593259011 349237008 /nfs/dbraw/zinc/23/70/08/349237008.db2.gz XASKKQXBUTZRRQ-LBPRGKRZSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000593258889 349237134 /nfs/dbraw/zinc/23/71/34/349237134.db2.gz TVSBLUNXGDKSEG-LLVKDONJSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000593258918 349237166 /nfs/dbraw/zinc/23/71/66/349237166.db2.gz VJSMGORGOOACGJ-OCCSQVGLSA-N 0 0 292.335 2.624 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593039392 349192719 /nfs/dbraw/zinc/19/27/19/349192719.db2.gz RXSILNYRCUWCJU-NSHDSACASA-N 0 0 294.326 2.925 20 5 CFBDRN CN(CC(C)(C)C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593046264 349193470 /nfs/dbraw/zinc/19/34/70/349193470.db2.gz HNPAOSCIXGGQKB-UHFFFAOYSA-N 0 0 282.315 2.781 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CCC2(CC2)CC1 ZINC000593056350 349195970 /nfs/dbraw/zinc/19/59/70/349195970.db2.gz GQKVWNRWGOPOSY-UHFFFAOYSA-N 0 0 292.310 2.679 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593057841 349197299 /nfs/dbraw/zinc/19/72/99/349197299.db2.gz CNJVXVUWESCANH-MJHDQNEOSA-N 0 0 294.326 2.971 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)[C@H](C)CO1 ZINC000593061734 349198400 /nfs/dbraw/zinc/19/84/00/349198400.db2.gz DHCLDVXYGCZNGW-RISCZKNCSA-N 0 0 264.325 2.594 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCC1(CO)CCC1 ZINC000593512824 349287892 /nfs/dbraw/zinc/28/78/92/349287892.db2.gz URRQACHMHNFDOY-UHFFFAOYSA-N 0 0 250.298 2.559 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@]2(CC2(F)F)C1 ZINC000593520055 349289485 /nfs/dbraw/zinc/28/94/85/349289485.db2.gz FFCQZBBEZSBNQS-NSHDSACASA-N 0 0 272.226 2.969 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@]2(CC2(F)F)C1 ZINC000593523746 349289975 /nfs/dbraw/zinc/28/99/75/349289975.db2.gz YVZPGEMPKGOISG-SNVBAGLBSA-N 0 0 289.669 2.879 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@]3(CC3(F)F)C2)c1 ZINC000593523342 349290070 /nfs/dbraw/zinc/29/00/70/349290070.db2.gz XQNYLDJMKKLBKO-GFCCVEGCSA-N 0 0 284.262 2.839 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)[C@@H](C)CO1 ZINC000593284092 349241529 /nfs/dbraw/zinc/24/15/29/349241529.db2.gz LIHRKCJKUURQFY-CMPLNLGQSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])[N@@H+]1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000593333829 349249870 /nfs/dbraw/zinc/24/98/70/349249870.db2.gz WBQCVQDVCLYAHS-JDRNFJBZSA-N 0 0 290.319 2.546 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(CS[C@H](C)C(C)=O)c1 ZINC000593423454 349266473 /nfs/dbraw/zinc/26/64/73/349266473.db2.gz WHUCNAADUXQBJN-SECBINFHSA-N 0 0 297.332 2.592 20 5 CFBDRN COCCCN(C)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593456844 349274663 /nfs/dbraw/zinc/27/46/63/349274663.db2.gz HIOOYHHOQVNTRW-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN COCCCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593456787 349274768 /nfs/dbraw/zinc/27/47/68/349274768.db2.gz BNDJQCVFDCTULN-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H]1CCCOC1 ZINC000593461640 349275470 /nfs/dbraw/zinc/27/54/70/349275470.db2.gz SMQCJIZOLCBZTP-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593468284 349276862 /nfs/dbraw/zinc/27/68/62/349276862.db2.gz QWOHIYOGYOJGGZ-QUCGXOGASA-N 0 0 287.319 2.882 20 5 CFBDRN CN(c1ccnc2cc([N+](=O)[O-])ccc21)[C@H]1CCCOC1 ZINC000593475254 349278302 /nfs/dbraw/zinc/27/83/02/349278302.db2.gz JYRGOVGBQPZQQU-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC23CCCC3)c(F)c1 ZINC000593484434 349280948 /nfs/dbraw/zinc/28/09/48/349280948.db2.gz OIVYKPUISYDAKG-UHFFFAOYSA-N 0 0 251.261 2.652 20 5 CFBDRN Cc1nc(N2CCC[C@@H](OC(C)C)C2)ccc1[N+](=O)[O-] ZINC000593486926 349281591 /nfs/dbraw/zinc/28/15/91/349281591.db2.gz JXEVKOAIPSQXDJ-GFCCVEGCSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@H]2CC(C)(C)C)c1[N+](=O)[O-] ZINC000593488510 349282357 /nfs/dbraw/zinc/28/23/57/349282357.db2.gz KEJMNWQGPJNMCP-VHSXEESVSA-N 0 0 266.345 2.873 20 5 CFBDRN Cc1nc(N[C@@H](C2CC2)C2CCOCC2)ccc1[N+](=O)[O-] ZINC000593491772 349283254 /nfs/dbraw/zinc/28/32/54/349283254.db2.gz ORHJQPLYIIAUPJ-HNNXBMFYSA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CC2)C2CCOCC2)c(F)c1 ZINC000593490927 349283352 /nfs/dbraw/zinc/28/33/52/349283352.db2.gz DQKOLSKYZUOPIW-ZDUSSCGKSA-N 0 0 295.314 2.746 20 5 CFBDRN CC1(C)CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593492420 349283955 /nfs/dbraw/zinc/28/39/55/349283955.db2.gz NBVIWRUKWFFUJE-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593499521 349285988 /nfs/dbraw/zinc/28/59/88/349285988.db2.gz VCLGPQZUJIIFGD-GXFFZTMASA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@@H](COCC1CC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000593506298 349286390 /nfs/dbraw/zinc/28/63/90/349286390.db2.gz RLYPCRRXGRAGER-JTQLQIEISA-N 0 0 250.298 2.822 20 5 CFBDRN CCc1cccc(CNc2ncc([N+](=O)[O-])s2)c1 ZINC000593508104 349287140 /nfs/dbraw/zinc/28/71/40/349287140.db2.gz DHVVYAZNECRQPY-UHFFFAOYSA-N 0 0 263.322 2.648 20 5 CFBDRN O=C(NC1CC2(CCC2)C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000593883094 349332094 /nfs/dbraw/zinc/33/20/94/349332094.db2.gz RRJAFPMQDUXMBO-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1CC2(CCC2)C1 ZINC000593886302 349332600 /nfs/dbraw/zinc/33/26/00/349332600.db2.gz VQZLCLIVUHRZCR-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C([O-])CCCC[N@H+](Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000593731849 349316112 /nfs/dbraw/zinc/31/61/12/349316112.db2.gz FDRPKCWBFXORQY-UHFFFAOYSA-N 0 0 292.335 2.814 20 5 CFBDRN CCCC[N@H+](CC)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593732574 349316147 /nfs/dbraw/zinc/31/61/47/349316147.db2.gz PGJVYWMEWOKNMS-UHFFFAOYSA-N 0 0 280.324 2.915 20 5 CFBDRN C[C@@H]1CCCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593745001 349318657 /nfs/dbraw/zinc/31/86/57/349318657.db2.gz XUPUGKAFFXKEBU-LLVKDONJSA-N 0 0 292.335 2.915 20 5 CFBDRN Cc1noc(CN(C)Cc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000594055477 349366007 /nfs/dbraw/zinc/36/60/07/349366007.db2.gz MFTALWCCULDTBG-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000594062035 349367159 /nfs/dbraw/zinc/36/71/59/349367159.db2.gz CNLCHFMIEZFIRL-UHFFFAOYSA-N 0 0 272.251 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1(C)CC=CC1 ZINC000594074310 349369219 /nfs/dbraw/zinc/36/92/19/349369219.db2.gz FNVGAPXJDDWGTF-UHFFFAOYSA-N 0 0 260.293 2.742 20 5 CFBDRN CC1(C(=O)Cn2cc(-c3ccccc3[N+](=O)[O-])cn2)CC1 ZINC000594470953 349427568 /nfs/dbraw/zinc/42/75/68/349427568.db2.gz YZZGUJRZCISSTQ-UHFFFAOYSA-N 0 0 285.303 2.828 20 5 CFBDRN C[C@@H](Cc1ccco1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000596969655 350009666 /nfs/dbraw/zinc/00/96/66/350009666.db2.gz FPVICEJQFSVEDL-NSHDSACASA-N 0 0 288.303 2.683 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597095053 350030055 /nfs/dbraw/zinc/03/00/55/350030055.db2.gz VTZHTFMROZXTKZ-LURJTMIESA-N 0 0 286.719 2.750 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@@H]2c2cccnc2)c1 ZINC000594421058 349415926 /nfs/dbraw/zinc/41/59/26/349415926.db2.gz ULUPQTNXLXEBTJ-OCCSQVGLSA-N 0 0 297.314 2.584 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000271223964 191012852 /nfs/dbraw/zinc/01/28/52/191012852.db2.gz DLEISPDXQPDWKF-BDAKNGLRSA-N 0 0 284.287 2.722 20 5 CFBDRN Cc1ccncc1CC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000597478352 350101841 /nfs/dbraw/zinc/10/18/41/350101841.db2.gz RCDWTPOCSVUOMW-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)C1CC2(CC2)C1 ZINC000597365572 350089558 /nfs/dbraw/zinc/08/95/58/350089558.db2.gz XPVNWCGMHANSRS-UHFFFAOYSA-N 0 0 297.314 2.694 20 5 CFBDRN C[C@@H]1C[C@@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271238006 191021674 /nfs/dbraw/zinc/02/16/74/191021674.db2.gz CJVYILORBIJKRN-MNOVXSKESA-N 0 0 293.323 2.531 20 5 CFBDRN CCc1ccc(C(=O)NCCC2CSC2)cc1[N+](=O)[O-] ZINC000597790200 350136239 /nfs/dbraw/zinc/13/62/39/350136239.db2.gz UHFUVNRRQGTYCG-UHFFFAOYSA-N 0 0 294.376 2.640 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000104621114 186011515 /nfs/dbraw/zinc/01/15/15/186011515.db2.gz JBULJKFXVAXZCJ-NOZJJQNGSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000104620217 186011516 /nfs/dbraw/zinc/01/15/16/186011516.db2.gz UYKZDMJEFFKIGQ-GXFFZTMASA-N 0 0 262.309 2.822 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCCC[C@@H]21 ZINC000597830821 350141660 /nfs/dbraw/zinc/14/16/60/350141660.db2.gz SGISEUQYRAWYIW-AAEUAGOBSA-N 0 0 260.293 2.609 20 5 CFBDRN CC[C@H](OC)c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000274108424 192442593 /nfs/dbraw/zinc/44/25/93/192442593.db2.gz ZAEOADYMYMRDBM-LBPRGKRZSA-N 0 0 291.307 2.975 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000597853930 350143017 /nfs/dbraw/zinc/14/30/17/350143017.db2.gz DWDQJLILZKJYKN-LLVKDONJSA-N 0 0 280.324 2.621 20 5 CFBDRN Cc1c(C(=O)NC[C@@H](C)c2ccccn2)cccc1[N+](=O)[O-] ZINC000597862250 350144586 /nfs/dbraw/zinc/14/45/86/350144586.db2.gz BYSHSGNPYYKIPA-LLVKDONJSA-N 0 0 299.330 2.832 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])CO1 ZINC000597866400 350146030 /nfs/dbraw/zinc/14/60/30/350146030.db2.gz ZWOOVMMGBXTTDA-QMMMGPOBSA-N 0 0 298.726 2.546 20 5 CFBDRN CC[C@@H](COCC1CC1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000597918246 350157331 /nfs/dbraw/zinc/15/73/31/350157331.db2.gz DQGBGLJPSICYTE-JTQLQIEISA-N 0 0 298.364 2.591 20 5 CFBDRN CC[C@H](C)CCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000597978316 350171033 /nfs/dbraw/zinc/17/10/33/350171033.db2.gz QCKBHGCRFYAJFW-NSHDSACASA-N 0 0 264.325 2.690 20 5 CFBDRN CC[C@H](C)CCNC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000597980042 350171904 /nfs/dbraw/zinc/17/19/04/350171904.db2.gz XUCWFWBZCMDRJQ-LBPRGKRZSA-N 0 0 293.367 2.583 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000597974947 350168766 /nfs/dbraw/zinc/16/87/66/350168766.db2.gz DRGMKCCADCHRSR-SNVBAGLBSA-N 0 0 280.324 2.769 20 5 CFBDRN CC[C@H](C)CCNC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000597976815 350169295 /nfs/dbraw/zinc/16/92/95/350169295.db2.gz CYPOXVGDTARTMG-NSHDSACASA-N 0 0 294.351 2.834 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)nc1C ZINC000414741974 233341527 /nfs/dbraw/zinc/34/15/27/233341527.db2.gz LMLFPKBBRMZOIM-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1sc(C(=O)NC[C@H]2CCC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000598213395 350216077 /nfs/dbraw/zinc/21/60/77/350216077.db2.gz ORZMUACCTDXGLX-WCBMZHEXSA-N 0 0 298.364 2.652 20 5 CFBDRN COc1cccc(C(=O)NCCCC2CCC2)c1[N+](=O)[O-] ZINC000598219092 350218262 /nfs/dbraw/zinc/21/82/62/350218262.db2.gz OPJIESMPPAVFIH-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1cccc(C(=O)NC[C@]2(C)CC2(F)F)c1[N+](=O)[O-] ZINC000598219609 350218541 /nfs/dbraw/zinc/21/85/41/350218541.db2.gz SGMMGGDXDIUDFN-LBPRGKRZSA-N 0 0 284.262 2.678 20 5 CFBDRN CC[C@@H](NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000598232033 350220768 /nfs/dbraw/zinc/22/07/68/350220768.db2.gz BISBYIPTYIBOQO-GFCCVEGCSA-N 0 0 280.299 2.581 20 5 CFBDRN CC[C@@H](NC(=O)COc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000598232901 350221611 /nfs/dbraw/zinc/22/16/11/350221611.db2.gz JJOSFIREPGFOMR-CYBMUJFWSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H]1CN(c2cc(F)ccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000373641679 290889899 /nfs/dbraw/zinc/88/98/99/290889899.db2.gz SPLGALHGXQYNSF-XPTSAGLGSA-N 0 0 280.299 2.738 20 5 CFBDRN CCc1nn(C)c(NCc2ccccc2)c1[N+](=O)[O-] ZINC000091575830 180197366 /nfs/dbraw/zinc/19/73/66/180197366.db2.gz UNOUWCFTPASWKS-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCCC(C)(C)F ZINC000598198201 350212683 /nfs/dbraw/zinc/21/26/83/350212683.db2.gz IAENDMZZTZWJDT-UHFFFAOYSA-N 0 0 298.314 2.862 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000598205807 350213401 /nfs/dbraw/zinc/21/34/01/350213401.db2.gz ACYQIULJBQVKJL-IPYPFGDCSA-N 0 0 288.347 2.690 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccc(OC)cc1 ZINC000091549549 180189120 /nfs/dbraw/zinc/18/91/20/180189120.db2.gz JWJNJDQWMAHQHL-UHFFFAOYSA-N 0 0 290.323 2.740 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@@H]3C[C@@H]3C2)c1[N+](=O)[O-] ZINC000598333304 350237533 /nfs/dbraw/zinc/23/75/33/350237533.db2.gz VNKGETJQMBSRCO-NXEZZACHSA-N 0 0 292.360 2.799 20 5 CFBDRN CCSC1(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)CC1 ZINC000598335444 350238872 /nfs/dbraw/zinc/23/88/72/350238872.db2.gz OQEAMSIAMXYKLU-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN CC1(C)[C@@H](CNC(=O)c2ccccc2[N+](=O)[O-])C1(F)F ZINC000598341309 350240779 /nfs/dbraw/zinc/24/07/79/350240779.db2.gz SJSSNVCMZKDVAQ-SNVBAGLBSA-N 0 0 284.262 2.616 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2C(C)(C)C2(F)F)cccc1[N+](=O)[O-] ZINC000598340315 350240873 /nfs/dbraw/zinc/24/08/73/350240873.db2.gz JCEXBWBXGLXXOB-LLVKDONJSA-N 0 0 298.289 2.924 20 5 CFBDRN CO[C@@H](CNC(=O)c1csc([N+](=O)[O-])c1)C1CCCC1 ZINC000598396925 350256519 /nfs/dbraw/zinc/25/65/19/350256519.db2.gz ZQFJNNXKEFIGCH-NSHDSACASA-N 0 0 298.364 2.591 20 5 CFBDRN COCCn1cc(Nc2cc(C)c([N+](=O)[O-])cc2F)cn1 ZINC000290952095 197868806 /nfs/dbraw/zinc/86/88/06/197868806.db2.gz MCTLLZPKTCTSFE-UHFFFAOYSA-N 0 0 294.286 2.629 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCO[C@@H](C)C2)c1 ZINC000271346667 191087357 /nfs/dbraw/zinc/08/73/57/191087357.db2.gz NHCBSYLCKOXZSQ-QWRGUYRKSA-N 0 0 280.324 2.830 20 5 CFBDRN CC(C)[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000598381010 350252777 /nfs/dbraw/zinc/25/27/77/350252777.db2.gz IJLBEDJPBFHXGN-ZDUSSCGKSA-N 0 0 280.299 2.898 20 5 CFBDRN CC(C)[C@H](NC(=O)CNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598381832 350253773 /nfs/dbraw/zinc/25/37/73/350253773.db2.gz QCHNQQQUIFIZRZ-HNNXBMFYSA-N 0 0 291.351 2.558 20 5 CFBDRN CO[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000598395345 350255729 /nfs/dbraw/zinc/25/57/29/350255729.db2.gz QJRSADRLWQGRRY-CQSZACIVSA-N 0 0 292.335 2.530 20 5 CFBDRN Cc1c(C(=O)N2CC3CC2(C)C3)cccc1[N+](=O)[O-] ZINC000598567742 350283231 /nfs/dbraw/zinc/28/32/31/350283231.db2.gz FXZBVQYDAXMQBQ-UHFFFAOYSA-N 0 0 260.293 2.528 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000598567708 350283375 /nfs/dbraw/zinc/28/33/75/350283375.db2.gz CIVOTTSCBCVWJA-UHFFFAOYSA-N 0 0 285.303 2.701 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC3CC2(C)C3)c1 ZINC000598567757 350283476 /nfs/dbraw/zinc/28/34/76/350283476.db2.gz GTOKLWOSDKSBTJ-UHFFFAOYSA-N 0 0 292.360 2.941 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000598567766 350283692 /nfs/dbraw/zinc/28/36/92/350283692.db2.gz HBQDJXMHVVBXCG-UHFFFAOYSA-N 0 0 280.711 2.873 20 5 CFBDRN CC(C)(C(=O)N1CC2CC1(C)C2)c1ccc([N+](=O)[O-])cc1 ZINC000598572514 350284051 /nfs/dbraw/zinc/28/40/51/350284051.db2.gz WIKOZPVAKDANFZ-UHFFFAOYSA-N 0 0 288.347 2.883 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCc1noc(C)n1 ZINC000105629586 186065507 /nfs/dbraw/zinc/06/55/07/186065507.db2.gz IVLPUHCUQLZYPM-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN CC[C@@H]1CCC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000598461528 350271977 /nfs/dbraw/zinc/27/19/77/350271977.db2.gz IVUHSZFHXBTGSQ-VHSXEESVSA-N 0 0 265.313 2.716 20 5 CFBDRN CC[C@@H]1CCC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000598463326 350271986 /nfs/dbraw/zinc/27/19/86/350271986.db2.gz WBYCLNWJMBZMAC-VHSXEESVSA-N 0 0 265.313 2.716 20 5 CFBDRN COc1ccc(C(=O)NC2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000598502316 350277561 /nfs/dbraw/zinc/27/75/61/350277561.db2.gz KTWPQUMXTFFSCN-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cccc(C(=O)NC2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000598505103 350277800 /nfs/dbraw/zinc/27/78/00/350277800.db2.gz RZCQSFOQANRGQY-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN COC(=O)c1ccnc(NC[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000598625687 350296613 /nfs/dbraw/zinc/29/66/13/350296613.db2.gz RWJICUMXPNFYBT-ZJUUUORDSA-N 0 0 293.323 2.625 20 5 CFBDRN CC1CC(CNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000598642214 350299918 /nfs/dbraw/zinc/29/99/18/350299918.db2.gz DHOCFINZGBHKEU-UHFFFAOYSA-N 0 0 289.335 2.938 20 5 CFBDRN Cc1nccnc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000598644836 350300468 /nfs/dbraw/zinc/30/04/68/350300468.db2.gz RZRYJSRAXSMOEC-UHFFFAOYSA-N 0 0 286.335 2.718 20 5 CFBDRN COc1ccc(NC(=O)NCC2CC(C)C2)cc1[N+](=O)[O-] ZINC000598653686 350304051 /nfs/dbraw/zinc/30/40/51/350304051.db2.gz TVMHYKGLWLGDTN-UHFFFAOYSA-N 0 0 293.323 2.771 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCO[C@H](C)C1 ZINC000271358106 191093468 /nfs/dbraw/zinc/09/34/68/191093468.db2.gz OJKCXZIBPUKQDJ-GHMZBOCLSA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1c(CC(=O)NCC2CC(C)C2)cccc1[N+](=O)[O-] ZINC000598778032 350327983 /nfs/dbraw/zinc/32/79/83/350327983.db2.gz HHWKIXVUHCDWQN-UHFFFAOYSA-N 0 0 276.336 2.608 20 5 CFBDRN COC(=O)c1ccnc(NCCC(C)(C)C)c1[N+](=O)[O-] ZINC000598591639 350288481 /nfs/dbraw/zinc/28/84/81/350288481.db2.gz XKKKEUYIVYZHNB-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN CC[C@H](C)CSc1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC000598611292 350293850 /nfs/dbraw/zinc/29/38/50/350293850.db2.gz HEPSNRMKUSPAEN-QMMMGPOBSA-N 0 0 284.337 2.915 20 5 CFBDRN COC(=O)c1ccnc(N[C@H]2CC23CCCC3)c1[N+](=O)[O-] ZINC000598620249 350294584 /nfs/dbraw/zinc/29/45/84/350294584.db2.gz KWOVKTAFQHSADN-JTQLQIEISA-N 0 0 291.307 2.521 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC[C@H](OC)C1 ZINC000598842121 350338207 /nfs/dbraw/zinc/33/82/07/350338207.db2.gz HSNGTKAYDYLBHA-AAEUAGOBSA-N 0 0 292.335 2.911 20 5 CFBDRN O=[N+]([O-])c1cc(CO)cc(-c2ccc3c(c2)CCOC3)c1 ZINC000598930621 350346485 /nfs/dbraw/zinc/34/64/85/350346485.db2.gz YNDYFEGGCIPLSO-UHFFFAOYSA-N 0 0 285.299 2.827 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000598953932 350347946 /nfs/dbraw/zinc/34/79/46/350347946.db2.gz HPOCBLHIXXAGSS-QISWUMQESA-N 0 0 278.308 2.593 20 5 CFBDRN CCn1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)c(C)n1 ZINC000598957992 350348870 /nfs/dbraw/zinc/34/88/70/350348870.db2.gz IEMNRGPFTZYWOD-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN C/C=C\CNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000599022217 350360486 /nfs/dbraw/zinc/36/04/86/350360486.db2.gz OPWZKLHELMCBRL-XOULXFPDSA-N 0 0 263.297 2.531 20 5 CFBDRN C[C@H]1CCN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000599039929 350363384 /nfs/dbraw/zinc/36/33/84/350363384.db2.gz CBBHVGYUBJKEDO-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN O=C(NCC[C@H]1CC1(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000598781907 350329105 /nfs/dbraw/zinc/32/91/05/350329105.db2.gz LBTBAYBDFXBDEY-ZETCQYMHSA-N 0 0 288.225 2.509 20 5 CFBDRN C[C@@H](C(=O)N1CC(CC2CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000598780840 350329391 /nfs/dbraw/zinc/32/93/91/350329391.db2.gz IGWBFIBTDQECMJ-LLVKDONJSA-N 0 0 288.347 2.957 20 5 CFBDRN COc1cc(C(=O)NCC2CC(C)C2)c([N+](=O)[O-])cc1F ZINC000598783886 350330136 /nfs/dbraw/zinc/33/01/36/350330136.db2.gz HVJIEIQASRVTFG-UHFFFAOYSA-N 0 0 296.298 2.518 20 5 CFBDRN Cc1nc(N2CCC=C(c3ccccn3)C2)ccc1[N+](=O)[O-] ZINC000599096174 350374786 /nfs/dbraw/zinc/37/47/86/350374786.db2.gz LDPOBOOXKGWGNH-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN Cc1cc(N2CCC=C(c3ccccn3)C2)ncc1[N+](=O)[O-] ZINC000599096119 350374792 /nfs/dbraw/zinc/37/47/92/350374792.db2.gz KWEUILGVJSQOHK-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@H](O)C23CCC3)ccc2cnccc21 ZINC000599105920 350376317 /nfs/dbraw/zinc/37/63/17/350376317.db2.gz GNGHJXZBYAUIQZ-KGLIPLIRSA-N 0 0 299.330 2.858 20 5 CFBDRN NC(=O)c1cc(NC[C@@H]2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000599114884 350377445 /nfs/dbraw/zinc/37/74/45/350377445.db2.gz HOORLKFXXFURII-MXWKQRLJSA-N 0 0 289.335 2.542 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@H]1CCCOC1 ZINC000599116677 350378026 /nfs/dbraw/zinc/37/80/26/350378026.db2.gz JRLULRBIJHQEME-GFCCVEGCSA-N 0 0 294.351 2.970 20 5 CFBDRN CCC[C@@H](CNc1ncccc1[N+](=O)[O-])OCC ZINC000599117540 350378553 /nfs/dbraw/zinc/37/85/53/350378553.db2.gz BVZRCLGPLUHHPO-JTQLQIEISA-N 0 0 253.302 2.607 20 5 CFBDRN CCC[C@@H](CNc1nccc(C)c1[N+](=O)[O-])OCC ZINC000599119970 350378976 /nfs/dbraw/zinc/37/89/76/350378976.db2.gz WYACTEQVFIUFEN-NSHDSACASA-N 0 0 267.329 2.915 20 5 CFBDRN CCC[C@@H](CNc1nc2sccn2c1[N+](=O)[O-])OCC ZINC000599118918 350378996 /nfs/dbraw/zinc/37/89/96/350378996.db2.gz MADIZEQWZBITII-VIFPVBQESA-N 0 0 298.368 2.921 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ccns2)n1 ZINC000599119308 350379163 /nfs/dbraw/zinc/37/91/63/350379163.db2.gz PSMGSRKKOXAZGR-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NCC3CC=CC3)cc2N1 ZINC000599128020 350380967 /nfs/dbraw/zinc/38/09/67/350380967.db2.gz VOENKNCQSIDEKB-UHFFFAOYSA-N 0 0 287.319 2.858 20 5 CFBDRN O=c1[nH]c2c(cc1CNc1ccsc1[N+](=O)[O-])CCC2 ZINC000599136438 350382168 /nfs/dbraw/zinc/38/21/68/350382168.db2.gz MWAFTWMXTHLCCC-UHFFFAOYSA-N 0 0 291.332 2.858 20 5 CFBDRN Cc1cc(N(C)CC2(C)COC2)c(F)cc1[N+](=O)[O-] ZINC000599145358 350384345 /nfs/dbraw/zinc/38/43/45/350384345.db2.gz RXSUGRYPJYWVAP-UHFFFAOYSA-N 0 0 268.288 2.515 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1c(F)cccc1[N+](=O)[O-] ZINC000599146906 350384677 /nfs/dbraw/zinc/38/46/77/350384677.db2.gz CJWDZUVYNVAPHK-PWSUYJOCSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1ccnc(N2CC(Cc3ccco3)C2)c1[N+](=O)[O-] ZINC000599151084 350385534 /nfs/dbraw/zinc/38/55/34/350385534.db2.gz BOMLVJXAWQERHD-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC(Cc4ccco4)C3)nc2c1 ZINC000599151283 350385630 /nfs/dbraw/zinc/38/56/30/350385630.db2.gz IXTBGRKRPOPHJB-UHFFFAOYSA-N 0 0 298.302 2.743 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(Cc2ccco2)C1 ZINC000599152379 350386131 /nfs/dbraw/zinc/38/61/31/350386131.db2.gz WGFWCERUYANZMS-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1c1ncc([N+](=O)[O-])s1 ZINC000599162760 350386971 /nfs/dbraw/zinc/38/69/71/350386971.db2.gz PVQUKVRMHRLFSU-ZCFIWIBFSA-N 0 0 263.269 2.675 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCc2nc(C3CC3)ncc2C1 ZINC000599159928 350386972 /nfs/dbraw/zinc/38/69/72/350386972.db2.gz HUYJEDZNWPFKKW-UHFFFAOYSA-N 0 0 296.330 2.825 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C ZINC000599162852 350386980 /nfs/dbraw/zinc/38/69/80/350386980.db2.gz APKRTSNAJNXXEF-GMOBBJLQSA-N 0 0 265.313 2.522 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000599164844 350387520 /nfs/dbraw/zinc/38/75/20/350387520.db2.gz PHLYMHZVSPFRCQ-LOKDSWTASA-N 0 0 268.288 2.958 20 5 CFBDRN Cc1ccnc(N[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)c1[N+](=O)[O-] ZINC000599165489 350387581 /nfs/dbraw/zinc/38/75/81/350387581.db2.gz RUZUZFYUFKCXKB-NAKRPEOUSA-N 0 0 265.313 2.522 20 5 CFBDRN C[C@H]1CC[C@@H](c2noc(-c3ccc([N+](=O)[O-])cc3)n2)O1 ZINC000599179482 350390438 /nfs/dbraw/zinc/39/04/38/350390438.db2.gz HUJPVJNCGLAYHC-KWQFWETISA-N 0 0 275.264 2.885 20 5 CFBDRN CCOc1cc(N[C@H]2CO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179341 350390515 /nfs/dbraw/zinc/39/05/15/350390515.db2.gz DQXVEAMIEDTXLS-TZMCWYRMSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CO[C@H](C3CC3)C2)s1 ZINC000599180519 350391114 /nfs/dbraw/zinc/39/11/14/350391114.db2.gz KZIAPUYKCZZCKB-IUCAKERBSA-N 0 0 254.311 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](c3ccc(O)cc3)C2)nc1 ZINC000599190183 350392703 /nfs/dbraw/zinc/39/27/03/350392703.db2.gz AFCMJHXHXYOMOW-GFCCVEGCSA-N 0 0 285.303 2.689 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCN(C)CC(F)F)c1 ZINC000599201570 350395797 /nfs/dbraw/zinc/39/57/97/350395797.db2.gz FJJNCMOUIUTGCJ-UHFFFAOYSA-N 0 0 273.283 2.512 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(c2c([N+](=O)[O-])ncn2C)C1 ZINC000599203525 350395866 /nfs/dbraw/zinc/39/58/66/350395866.db2.gz ZWDAOGBPMBTZES-PGLGOXFNSA-N 0 0 264.329 2.511 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000599205552 350396027 /nfs/dbraw/zinc/39/60/27/350396027.db2.gz IRDXGRJXYHXGLP-PHIMTYICSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000599206101 350396533 /nfs/dbraw/zinc/39/65/33/350396533.db2.gz NVKDGMBGCOVDCK-KLPPZKSPSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000599207653 350396561 /nfs/dbraw/zinc/39/65/61/350396561.db2.gz YRPOXZQBNGWVKR-SNVBAGLBSA-N 0 0 264.329 2.629 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000599206234 350396568 /nfs/dbraw/zinc/39/65/68/350396568.db2.gz PAMLWBRITIHDQY-KLPPZKSPSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)cc1O ZINC000291009335 197887014 /nfs/dbraw/zinc/88/70/14/197887014.db2.gz ATOLKXWWOHTHLU-UHFFFAOYSA-N 0 0 291.307 2.739 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)N1Cc2ccccc2C1 ZINC000105978098 186096097 /nfs/dbraw/zinc/09/60/97/186096097.db2.gz UOGYUDSIGKHKJC-UHFFFAOYSA-N 0 0 298.298 2.516 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CCCC12CC2 ZINC000599597531 350462718 /nfs/dbraw/zinc/46/27/18/350462718.db2.gz HMPFVSJYJNWDLB-ZDUSSCGKSA-N 0 0 288.347 2.834 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C23CC(C2)C3)cc1[N+](=O)[O-] ZINC000599634722 350469120 /nfs/dbraw/zinc/46/91/20/350469120.db2.gz KTORJNNEVZIYDN-NLTNOIMHSA-N 0 0 274.320 2.881 20 5 CFBDRN COC(=O)[C@@](C)(NCC=C(C)C)c1cccc([N+](=O)[O-])c1 ZINC000599642807 350471050 /nfs/dbraw/zinc/47/10/50/350471050.db2.gz KIHSMFXLWJZBDJ-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1ccnc(CSc2ncccc2[N+](=O)[O-])c1 ZINC000106588360 186128446 /nfs/dbraw/zinc/12/84/46/186128446.db2.gz LDUKYOKJMGLVSC-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN CC(C)(CCc1noc(C[C@@H]2C=CCC2)n1)[N+](=O)[O-] ZINC000291062939 197905215 /nfs/dbraw/zinc/90/52/15/197905215.db2.gz KFJVINNGQJNGRY-SNVBAGLBSA-N 0 0 265.313 2.566 20 5 CFBDRN C/C=C/CNC(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000599787668 350493066 /nfs/dbraw/zinc/49/30/66/350493066.db2.gz XRRNSOSUBHIQMF-HWKANZROSA-N 0 0 263.297 2.855 20 5 CFBDRN CCC[C@@H](OCC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000599821255 350495314 /nfs/dbraw/zinc/49/53/14/350495314.db2.gz ZBJZUDGJHAFJLT-CQSZACIVSA-N 0 0 294.351 2.725 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ccncc12)N1CCCC1 ZINC000599830048 350495838 /nfs/dbraw/zinc/49/58/38/350495838.db2.gz DNUGLIOHTCSKGA-UHFFFAOYSA-N 0 0 286.291 2.771 20 5 CFBDRN CCC[C@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)OCC ZINC000600174669 350530938 /nfs/dbraw/zinc/53/09/38/350530938.db2.gz MASWOOAXQUUNDD-CYBMUJFWSA-N 0 0 294.351 2.838 20 5 CFBDRN CCC[C@@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)OCC ZINC000600174670 350531139 /nfs/dbraw/zinc/53/11/39/350531139.db2.gz MASWOOAXQUUNDD-ZDUSSCGKSA-N 0 0 294.351 2.838 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCCC[C@@H]1CCO ZINC000107904855 186197283 /nfs/dbraw/zinc/19/72/83/186197283.db2.gz CKNUNJNPAUJARH-LLVKDONJSA-N 0 0 298.770 2.985 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@](=O)c1ccccc1 ZINC000107936514 186198573 /nfs/dbraw/zinc/19/85/73/186198573.db2.gz CUYXVDIMCWUTBP-HXUWFJFHSA-N 0 0 291.328 2.911 20 5 CFBDRN CC(C)SCn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000600561984 350570405 /nfs/dbraw/zinc/57/04/05/350570405.db2.gz BGSFJYDZQMZWGI-UHFFFAOYSA-N 0 0 278.337 2.952 20 5 CFBDRN CC(C)[C@H](O)CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000600569397 350572856 /nfs/dbraw/zinc/57/28/56/350572856.db2.gz ODXKKXQUCFWHFA-CYBMUJFWSA-N 0 0 285.365 2.724 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000600220721 350542834 /nfs/dbraw/zinc/54/28/34/350542834.db2.gz PZUZAUNLTIMDMS-AOOOYVTPSA-N 0 0 292.360 2.799 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H](O)C1CCCCC1 ZINC000108349682 186213251 /nfs/dbraw/zinc/21/32/51/186213251.db2.gz AJTHKNQTOGAWHU-CYBMUJFWSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2CC=CC2)c1 ZINC000600225129 350543889 /nfs/dbraw/zinc/54/38/89/350543889.db2.gz XLHMCOPLAPJMEZ-UHFFFAOYSA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NCC1CC=CC1 ZINC000600229526 350544213 /nfs/dbraw/zinc/54/42/13/350544213.db2.gz LIRLZZJGPKXFMI-UHFFFAOYSA-N 0 0 292.360 2.769 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N(C1CC1)C1CC1 ZINC000600360743 350550271 /nfs/dbraw/zinc/55/02/71/350550271.db2.gz RHYIQOGYIQIHOD-UHFFFAOYSA-N 0 0 285.303 2.843 20 5 CFBDRN CCC(F)(F)CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000600379508 350551669 /nfs/dbraw/zinc/55/16/69/350551669.db2.gz NRAUAICHKVAUFS-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN CCC(F)(F)CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000600379241 350551775 /nfs/dbraw/zinc/55/17/75/350551775.db2.gz DTDHZYGEZFMPAB-SECBINFHSA-N 0 0 286.278 2.860 20 5 CFBDRN CCC(F)(F)C(C)(C)CNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000600390591 350553008 /nfs/dbraw/zinc/55/30/08/350553008.db2.gz UOLJPPHYMFGXGP-UHFFFAOYSA-N 0 0 289.282 2.724 20 5 CFBDRN O=C(NCC[C@@H]1CC=CCC1)c1cccc([O-])c1[N+](=O)[O-] ZINC000600391529 350553334 /nfs/dbraw/zinc/55/33/34/350553334.db2.gz DKLHOQSNIQSGQB-LLVKDONJSA-N 0 0 290.319 2.777 20 5 CFBDRN C[C@]1(CNC(=O)Cc2ccccc2[N+](=O)[O-])C[C@H]2C[C@H]2C1 ZINC000600498834 350559704 /nfs/dbraw/zinc/55/97/04/350559704.db2.gz KZTIJHNSVKSYMT-VIKVFOODSA-N 0 0 288.347 2.690 20 5 CFBDRN CCn1nc(C)cc1NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000600605134 350579257 /nfs/dbraw/zinc/57/92/57/350579257.db2.gz KVIHLNXAUUFTHN-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Cn1ccnc1C1CC1 ZINC000600610003 350579864 /nfs/dbraw/zinc/57/98/64/350579864.db2.gz BSXZIZUVXQZECJ-UHFFFAOYSA-N 0 0 261.256 2.856 20 5 CFBDRN Cc1cn(-c2cccc([N+](=O)[O-])c2)c(=O)n1C[C@H]1C[C@@H]1C ZINC000600673732 350594895 /nfs/dbraw/zinc/59/48/95/350594895.db2.gz IBVWOCIKLHUUOW-CMPLNLGQSA-N 0 0 287.319 2.512 20 5 CFBDRN C[C@H]1C[C@@H]1Cn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000600674657 350595052 /nfs/dbraw/zinc/59/50/52/350595052.db2.gz HDEGJYZWYBHPOZ-VHSXEESVSA-N 0 0 258.277 2.566 20 5 CFBDRN C[C@H]1C[C@@H]1Cn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000600676537 350595874 /nfs/dbraw/zinc/59/58/74/350595874.db2.gz WWNFBAOZGFGWBD-GXSJLCMTSA-N 0 0 258.277 2.566 20 5 CFBDRN C[C@@H]1C[C@H]1Cn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000600676538 350595879 /nfs/dbraw/zinc/59/58/79/350595879.db2.gz WWNFBAOZGFGWBD-KOLCDFICSA-N 0 0 258.277 2.566 20 5 CFBDRN COc1cc(-c2nc(C(C)(C)C)no2)ccc1[N+](=O)[O-] ZINC000109522542 186259328 /nfs/dbraw/zinc/25/93/28/186259328.db2.gz UPTNBOPXBQUKID-UHFFFAOYSA-N 0 0 277.280 2.951 20 5 CFBDRN CC(C)(C)c1noc(CNc2ccccc2[N+](=O)[O-])n1 ZINC000109525530 186260028 /nfs/dbraw/zinc/26/00/28/186260028.db2.gz RXTGJBRHEGBZRQ-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN CC(C)c1ncc(-c2cc([N+](=O)[O-])ccc2CO)n1C ZINC000600845044 350619470 /nfs/dbraw/zinc/61/94/70/350619470.db2.gz GNWHDMAXELHNFK-UHFFFAOYSA-N 0 0 275.308 2.611 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@H]1CCCC12CC2 ZINC000600802405 350614249 /nfs/dbraw/zinc/61/42/49/350614249.db2.gz OPTVQRYFXHRXOD-ZDUSSCGKSA-N 0 0 288.347 2.834 20 5 CFBDRN O=C(NC[C@H]1CCCC12CC2)c1csc([N+](=O)[O-])c1 ZINC000600803462 350615133 /nfs/dbraw/zinc/61/51/33/350615133.db2.gz JQMSPEMPFUOJLY-SNVBAGLBSA-N 0 0 280.349 2.966 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000600994285 350643605 /nfs/dbraw/zinc/64/36/05/350643605.db2.gz YHTYRDOCVQQMMF-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN CCc1nnc([C@@H](C)Sc2ncccc2[N+](=O)[O-])o1 ZINC000110143359 186287001 /nfs/dbraw/zinc/28/70/01/186287001.db2.gz GGXJXDILJRNGIL-SSDOTTSWSA-N 0 0 280.309 2.789 20 5 CFBDRN CN(C(=O)CC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000110186686 186287774 /nfs/dbraw/zinc/28/77/74/186287774.db2.gz PLFIBJUUKKREPC-AWEZNQCLSA-N 0 0 292.335 2.907 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000601004007 350644143 /nfs/dbraw/zinc/64/41/43/350644143.db2.gz UAVJKOOWOZMJIH-NWDGAFQWSA-N 0 0 299.330 2.971 20 5 CFBDRN O=C(NC[C@H]1CCCCO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110249577 186288471 /nfs/dbraw/zinc/28/84/71/186288471.db2.gz TZSNVEULOSGQKE-SECBINFHSA-N 0 0 298.726 2.547 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C(C)C)C(C)C ZINC000110294112 186290487 /nfs/dbraw/zinc/29/04/87/186290487.db2.gz ONDPIFKTMZNSLF-UHFFFAOYSA-N 0 0 280.324 2.862 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601039011 350649544 /nfs/dbraw/zinc/64/95/44/350649544.db2.gz CFPQTPGOPHFDAA-SCZZXKLOSA-N 0 0 266.272 2.652 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1c1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000110452451 186297261 /nfs/dbraw/zinc/29/72/61/186297261.db2.gz WXNADHOYCAVIGA-RYUDHWBXSA-N 0 0 286.287 2.721 20 5 CFBDRN Nc1nc(N[C@H]2CCC[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000110603830 186304396 /nfs/dbraw/zinc/30/43/96/186304396.db2.gz XGRTVGUYQMPJSU-RYUDHWBXSA-N 0 0 299.334 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC[S@](=O)C2CCC2)cc1 ZINC000600914092 350629413 /nfs/dbraw/zinc/62/94/13/350629413.db2.gz ACKHQBWYSLHBME-IBGZPJMESA-N 0 0 283.349 2.665 20 5 CFBDRN CC(C)n1ccc(CNc2ncc(F)cc2[N+](=O)[O-])n1 ZINC000601122564 350674305 /nfs/dbraw/zinc/67/43/05/350674305.db2.gz ZXRRHWXOACPFNB-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000110909267 186331581 /nfs/dbraw/zinc/33/15/81/186331581.db2.gz RESXHAZGOLERTH-CMPLNLGQSA-N 0 0 291.351 2.945 20 5 CFBDRN CCOC(=O)CCSCc1ccc([N+](=O)[O-])cc1OC ZINC000601095359 350666459 /nfs/dbraw/zinc/66/64/59/350666459.db2.gz KWUVOJRFIAMRRD-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN CCOC(=O)CCSCCOc1cccc([N+](=O)[O-])c1 ZINC000601096324 350666978 /nfs/dbraw/zinc/66/69/78/350666978.db2.gz UIOLBSXCCQLBGY-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN CCC[C@@H](C)Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601101075 350668353 /nfs/dbraw/zinc/66/83/53/350668353.db2.gz HHHOUAKJDDIJOG-ZCFIWIBFSA-N 0 0 280.250 2.948 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601124980 350675032 /nfs/dbraw/zinc/67/50/32/350675032.db2.gz LEJMKHMTIUFOSA-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@H]1CC1(C)C ZINC000601105784 350669989 /nfs/dbraw/zinc/66/99/89/350669989.db2.gz IEMUGZVVHVWTBO-YFKPBYRVSA-N 0 0 278.234 2.558 20 5 CFBDRN COCCCN(C)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601108511 350670728 /nfs/dbraw/zinc/67/07/28/350670728.db2.gz MDHBDHJOLCJUCD-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CO[C@@H]1CCCN(c2ccnc3ccc([N+](=O)[O-])cc32)C1 ZINC000601110687 350671011 /nfs/dbraw/zinc/67/10/11/350671011.db2.gz KLPAJJOGIHEYLT-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@]23C[C@@H]2CCCC3)c(F)c1 ZINC000601225311 350702589 /nfs/dbraw/zinc/70/25/89/350702589.db2.gz FKFXVRWOWAVQRS-UFBFGSQYSA-N 0 0 251.261 2.874 20 5 CFBDRN Cc1ncc([C@@H](C)Nc2ccc([N+](=O)[O-])cn2)s1 ZINC000601225595 350702697 /nfs/dbraw/zinc/70/26/97/350702697.db2.gz NXRMSANCXREZQP-SSDOTTSWSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1cc(N2CCO[C@H](C(F)F)CC2)c([N+](=O)[O-])s1 ZINC000601230397 350703980 /nfs/dbraw/zinc/70/39/80/350703980.db2.gz AVOIMFUOMXBWAP-VIFPVBQESA-N 0 0 292.307 2.825 20 5 CFBDRN Cc1cnc(Nc2cnn3c2CCCC3)c([N+](=O)[O-])c1 ZINC000601232156 350704680 /nfs/dbraw/zinc/70/46/80/350704680.db2.gz OBBUKIOCZFAPFQ-UHFFFAOYSA-N 0 0 273.296 2.575 20 5 CFBDRN COc1cc(CNc2ccc([N+](=O)[O-])c(OC)c2)ccn1 ZINC000111050090 186348503 /nfs/dbraw/zinc/34/85/03/186348503.db2.gz JPDOXMOCNULFSQ-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CCN(C)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000291153553 197934997 /nfs/dbraw/zinc/93/49/97/197934997.db2.gz DZATXAPYZYIUBT-UHFFFAOYSA-N 0 0 277.107 2.994 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CCC2)[C@@H]2CCCO2)c(F)c1 ZINC000601250102 350708981 /nfs/dbraw/zinc/70/89/81/350708981.db2.gz JZXZOQNEURBOHP-STQMWFEESA-N 0 0 295.314 2.889 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H](O)[C@H]2CCCC[C@H]21 ZINC000601252969 350710192 /nfs/dbraw/zinc/71/01/92/350710192.db2.gz XTQVCKOLVOEOHQ-ZOWXZIJZSA-N 0 0 294.326 2.864 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111004869 186341868 /nfs/dbraw/zinc/34/18/68/186341868.db2.gz MPYYKVMZOYYSCX-SMDDNHRTSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC(C(F)F)CC1 ZINC000601130658 350677751 /nfs/dbraw/zinc/67/77/51/350677751.db2.gz NGYAZMGGSQAKGE-UHFFFAOYSA-N 0 0 275.230 2.610 20 5 CFBDRN CC(C)[C@@H]1C[C@H](Nc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601137446 350678915 /nfs/dbraw/zinc/67/89/15/350678915.db2.gz YAEXNGZSYWEWIA-PWSUYJOCSA-N 0 0 283.303 2.744 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000601136314 350679275 /nfs/dbraw/zinc/67/92/75/350679275.db2.gz CPJWGXSVOVJAMY-MNOVXSKESA-N 0 0 287.319 2.544 20 5 CFBDRN COCC[C@H]1CCCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601144959 350681188 /nfs/dbraw/zinc/68/11/88/350681188.db2.gz YKYKCAGHAKGUTN-LLVKDONJSA-N 0 0 297.330 2.772 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@H]1C ZINC000601277153 350715196 /nfs/dbraw/zinc/71/51/96/350715196.db2.gz TYPUTOJENWPUTC-IUCAKERBSA-N 0 0 266.272 2.604 20 5 CFBDRN CC1=C[C@H](C)CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601159439 350684946 /nfs/dbraw/zinc/68/49/46/350684946.db2.gz LWDTZQCYOSLERU-QMMMGPOBSA-N 0 0 251.261 2.531 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601163705 350685855 /nfs/dbraw/zinc/68/58/55/350685855.db2.gz PMUPDUQMLSGOFU-NTSWFWBYSA-N 0 0 278.234 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@@H]1CCOC2(CCC2)C1 ZINC000601171993 350688761 /nfs/dbraw/zinc/68/87/61/350688761.db2.gz SOAQJOZHHGGCOX-SNVBAGLBSA-N 0 0 295.314 2.890 20 5 CFBDRN Cc1cc(NCc2nc(C(F)F)no2)ccc1[N+](=O)[O-] ZINC000601177871 350690290 /nfs/dbraw/zinc/69/02/90/350690290.db2.gz BQQXDXFROXJQJC-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601184405 350691452 /nfs/dbraw/zinc/69/14/52/350691452.db2.gz KVTYAPGPMOWRKL-CABZTGNLSA-N 0 0 289.360 2.871 20 5 CFBDRN C[C@H]1CN(c2ncc(F)cc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000601185831 350691569 /nfs/dbraw/zinc/69/15/69/350691569.db2.gz VEKLWAUJMCLJRM-JTQLQIEISA-N 0 0 295.314 2.667 20 5 CFBDRN Cc1cnc(CCNc2ncc(F)cc2[N+](=O)[O-])c(C)c1 ZINC000601186791 350691607 /nfs/dbraw/zinc/69/16/07/350691607.db2.gz BBKQUZYWEPFDOC-UHFFFAOYSA-N 0 0 290.298 2.795 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@H](C1CC1)C1CCOCC1 ZINC000601185543 350691730 /nfs/dbraw/zinc/69/17/30/350691730.db2.gz XDXLKOSDYQOWER-CYBMUJFWSA-N 0 0 295.314 2.746 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)c2cnccn2)n1 ZINC000601192577 350693620 /nfs/dbraw/zinc/69/36/20/350693620.db2.gz XENBKEBQBFNLLT-JTQLQIEISA-N 0 0 273.296 2.570 20 5 CFBDRN CC(C)(CNc1ccc(F)cc1[N+](=O)[O-])n1cccn1 ZINC000601197830 350694492 /nfs/dbraw/zinc/69/44/92/350694492.db2.gz HXRKFOHIZYNHGE-UHFFFAOYSA-N 0 0 278.287 2.778 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCCC2(CC2)CC1 ZINC000601204867 350696704 /nfs/dbraw/zinc/69/67/04/350696704.db2.gz TXOAKTAOHZGBKC-UHFFFAOYSA-N 0 0 265.288 2.899 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](CO)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000601211624 350698676 /nfs/dbraw/zinc/69/86/76/350698676.db2.gz FUDMPHBDXJQYBY-PHIMTYICSA-N 0 0 287.319 2.634 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N[C@H]1C[C@@H](CO)C1 ZINC000601212039 350698874 /nfs/dbraw/zinc/69/88/74/350698874.db2.gz QCCCUCOVYUIVBS-OTSSQURYSA-N 0 0 272.251 2.715 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601213437 350699782 /nfs/dbraw/zinc/69/97/82/350699782.db2.gz DCIKGSCIODBZIY-VIFPVBQESA-N 0 0 268.288 2.756 20 5 CFBDRN O=[N+]([O-])c1c(SCCCO)ccc2cnccc21 ZINC000601216968 350700146 /nfs/dbraw/zinc/70/01/46/350700146.db2.gz KLNPJDAQNSEAEK-UHFFFAOYSA-N 0 0 264.306 2.618 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2SCCCO ZINC000601217094 350700171 /nfs/dbraw/zinc/70/01/71/350700171.db2.gz MZMCBPWXICLYNO-UHFFFAOYSA-N 0 0 264.306 2.618 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@H](c2cccnc2)C1 ZINC000601216541 350700296 /nfs/dbraw/zinc/70/02/96/350700296.db2.gz ODEJPQIBARQREA-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3cccnc3)C2)cn1 ZINC000601217063 350700405 /nfs/dbraw/zinc/70/04/05/350700405.db2.gz VWEYJKJAIACTDM-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1cc(NCc2conc2C)c([N+](=O)[O-])s1 ZINC000601216365 350700418 /nfs/dbraw/zinc/70/04/18/350700418.db2.gz CHJVNIIPQZEBGV-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@@H](O)C(F)F)ccc2cnccc21 ZINC000601222748 350701745 /nfs/dbraw/zinc/70/17/45/350701745.db2.gz OYMCSEFDUPRFFX-LLVKDONJSA-N 0 0 297.261 2.571 20 5 CFBDRN CC(F)(F)CCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601605593 350746775 /nfs/dbraw/zinc/74/67/75/350746775.db2.gz ZGTFCCAMBGKXGX-UHFFFAOYSA-N 0 0 290.241 2.899 20 5 CFBDRN CC(=O)CN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)C(C)C ZINC000601610284 350747577 /nfs/dbraw/zinc/74/75/77/350747577.db2.gz ZOPLYSHYWDRCRG-UHFFFAOYSA-N 0 0 298.726 2.688 20 5 CFBDRN O=C(NC1(C(F)(F)F)CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601615950 350748075 /nfs/dbraw/zinc/74/80/75/350748075.db2.gz RKGQQLUZAIUCPD-UHFFFAOYSA-N 0 0 292.188 2.559 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601629899 350750687 /nfs/dbraw/zinc/75/06/87/350750687.db2.gz UTDZGIPQGMLFFV-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])n[nH]1)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000416297566 233566147 /nfs/dbraw/zinc/56/61/47/233566147.db2.gz XMJFNXQYDAIMKE-ONGXEEELSA-N 0 0 294.355 2.605 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601642654 350752178 /nfs/dbraw/zinc/75/21/78/350752178.db2.gz ATGFMKADUAGQLS-KXUCPTDWSA-N 0 0 280.299 2.850 20 5 CFBDRN CC(C)(F)CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000601687406 350759421 /nfs/dbraw/zinc/75/94/21/350759421.db2.gz FDSSWWSBPWJMQB-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN CSC1CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000601694145 350762682 /nfs/dbraw/zinc/76/26/82/350762682.db2.gz YTRYXUHSEOQDCL-UHFFFAOYSA-N 0 0 286.378 2.624 20 5 CFBDRN CC(C)C1(CNC(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601298738 350719103 /nfs/dbraw/zinc/71/91/03/350719103.db2.gz KFQPGSIHNCVNJB-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)[C@@H](C)O1 ZINC000601353407 350725873 /nfs/dbraw/zinc/72/58/73/350725873.db2.gz KFYDKBNWGGRXAC-LNLATYFQSA-N 0 0 289.291 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3(F)CCOCC3)n2)cc1 ZINC000601353444 350725965 /nfs/dbraw/zinc/72/59/65/350725965.db2.gz LMZLUPBGSIOHFW-UHFFFAOYSA-N 0 0 293.254 2.620 20 5 CFBDRN O=C(NC[C@@H]1CCCCS1)c1c(F)cccc1[N+](=O)[O-] ZINC000601429415 350732371 /nfs/dbraw/zinc/73/23/71/350732371.db2.gz PKYBGMPNSXSWQA-VIFPVBQESA-N 0 0 298.339 2.749 20 5 CFBDRN O=C(NC[C@H]1CCCSC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601579268 350742482 /nfs/dbraw/zinc/74/24/82/350742482.db2.gz BBAHKGJGVPJBSW-SECBINFHSA-N 0 0 298.339 2.607 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCCC12CC2 ZINC000601705597 350765475 /nfs/dbraw/zinc/76/54/75/350765475.db2.gz PYHLYRPGUOLDBZ-CYBMUJFWSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CCCC12CC2 ZINC000601706778 350765712 /nfs/dbraw/zinc/76/57/12/350765712.db2.gz ZBLXAHVPBPOUGP-CYBMUJFWSA-N 0 0 274.320 2.586 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC(CCF)CC1 ZINC000601743658 350770825 /nfs/dbraw/zinc/77/08/25/350770825.db2.gz DGKUTUREMLZBQF-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN CCC(C)(C)CCNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000601745305 350771264 /nfs/dbraw/zinc/77/12/64/350771264.db2.gz VQDORXPNSALQQI-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC(CCF)CC1 ZINC000601746604 350771573 /nfs/dbraw/zinc/77/15/73/350771573.db2.gz CVHLJDKGGCFBKC-UHFFFAOYSA-N 0 0 286.328 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@]12C[C@H]1CCCC2 ZINC000601771989 350774162 /nfs/dbraw/zinc/77/41/62/350774162.db2.gz HGNRQBZXTBVHFU-IAQYHMDHSA-N 0 0 274.320 2.966 20 5 CFBDRN COc1ccc(C(=O)N[C@@]23C[C@@H]2CCCC3)cc1[N+](=O)[O-] ZINC000601775689 350774681 /nfs/dbraw/zinc/77/46/81/350774681.db2.gz OSSDHOYSXQVBKT-NHYWBVRUSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@]12C[C@H]1CCCC2 ZINC000601779018 350775058 /nfs/dbraw/zinc/77/50/58/350775058.db2.gz WSHXNACRCSOUQC-IUODEOHRSA-N 0 0 274.320 2.586 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000601870971 350795169 /nfs/dbraw/zinc/79/51/69/350795169.db2.gz QTUIZHRVWAEHRR-QMMMGPOBSA-N 0 0 268.338 2.965 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCC(F)(F)F ZINC000601913149 350800686 /nfs/dbraw/zinc/80/06/86/350800686.db2.gz PURYLEVDAKMHLX-UHFFFAOYSA-N 0 0 283.275 2.785 20 5 CFBDRN Cn1nccc1CCOc1ccc([N+](=O)[O-])cc1Cl ZINC000601917573 350801329 /nfs/dbraw/zinc/80/13/29/350801329.db2.gz YVEBPWXPLLLYNV-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN CCOC(=O)c1cc(C)n(-c2cc([N+](=O)[O-])ccc2C)n1 ZINC000601937194 350809221 /nfs/dbraw/zinc/80/92/21/350809221.db2.gz ZTCBRLDSDGNXNO-UHFFFAOYSA-N 0 0 289.291 2.574 20 5 CFBDRN C[C@H]1CCc2c(=O)n(-c3ccc([N+](=O)[O-])cc3Cl)[n-]c21 ZINC000601937078 350809463 /nfs/dbraw/zinc/80/94/63/350809463.db2.gz BNDPQOWVKJVEAB-IONNQARKSA-N 0 0 293.710 2.997 20 5 CFBDRN CC(C)=C(C)CC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000602096193 350840733 /nfs/dbraw/zinc/84/07/33/350840733.db2.gz HASFSTCEULYLKA-UHFFFAOYSA-N 0 0 292.335 2.836 20 5 CFBDRN C[C@H](CN(C)C(=O)c1ccc([N+](=O)[O-])[nH]1)C(C)(C)C ZINC000602218850 350853195 /nfs/dbraw/zinc/85/31/95/350853195.db2.gz RZICYBVJWVTFGU-SECBINFHSA-N 0 0 267.329 2.677 20 5 CFBDRN C[C@H](CN(C)C(=O)c1ccc([N+](=O)[O-])o1)C(C)(C)C ZINC000602218572 350853237 /nfs/dbraw/zinc/85/32/37/350853237.db2.gz QDEYLAOOTBYEAL-SECBINFHSA-N 0 0 268.313 2.942 20 5 CFBDRN Cc1sc(C(=O)N2OC3CCC2CC3)cc1[N+](=O)[O-] ZINC000602217882 350853262 /nfs/dbraw/zinc/85/32/62/350853262.db2.gz TWUYRWYQUPFTAO-UHFFFAOYSA-N 0 0 282.321 2.663 20 5 CFBDRN CCCc1ccc(C(=O)Nc2c([N+](=O)[O-])cnn2C)s1 ZINC000602310626 350862642 /nfs/dbraw/zinc/86/26/42/350862642.db2.gz WPUXBIDEGVWMDF-UHFFFAOYSA-N 0 0 294.336 2.595 20 5 CFBDRN C[C@H](CC(=O)N(C)c1ccc([N+](=O)[O-])nc1)C(F)(F)F ZINC000602323540 350863157 /nfs/dbraw/zinc/86/31/57/350863157.db2.gz UOPRFSHCAPFYTI-SSDOTTSWSA-N 0 0 291.229 2.541 20 5 CFBDRN CC[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000111275947 186387186 /nfs/dbraw/zinc/38/71/86/186387186.db2.gz CANRWYCPSZRTLW-WCQYABFASA-N 0 0 264.325 2.594 20 5 CFBDRN CCCCOCCNCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602525877 350914920 /nfs/dbraw/zinc/91/49/20/350914920.db2.gz SYSBOIKKVAVGJC-UHFFFAOYSA-N 0 0 293.323 2.642 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2cnc3c(c2)COCC3)cc1 ZINC000602585716 350935266 /nfs/dbraw/zinc/93/52/66/350935266.db2.gz IIAXEEWCRFYEHX-UHFFFAOYSA-N 0 0 299.330 2.717 20 5 CFBDRN Cn1cc(C[N@@H+]2CCC[C@H](C(C)(C)C)C2)c([N+](=O)[O-])n1 ZINC000602645975 350959790 /nfs/dbraw/zinc/95/97/90/350959790.db2.gz VWMVWMJQHXSVJM-LBPRGKRZSA-N 0 0 280.372 2.586 20 5 CFBDRN C[C@@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)C(F)(F)F ZINC000602660890 350965523 /nfs/dbraw/zinc/96/55/23/350965523.db2.gz AICBRILCODMUMP-ZCFIWIBFSA-N 0 0 289.213 2.776 20 5 CFBDRN CC[C@@H](NCCc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000602671046 350970007 /nfs/dbraw/zinc/97/00/07/350970007.db2.gz HYNXNDSQMMJQIE-LLVKDONJSA-N 0 0 258.268 2.771 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC4(CC4(F)F)C3)nc2c1 ZINC000602704295 350989369 /nfs/dbraw/zinc/98/93/69/350989369.db2.gz FZCJAVUMYKIJMW-UHFFFAOYSA-N 0 0 295.245 2.577 20 5 CFBDRN Cn1cc(C[N@@H+]2CCC[C@@H]2CC2CCCC2)c([N+](=O)[O-])n1 ZINC000602713001 350994782 /nfs/dbraw/zinc/99/47/82/350994782.db2.gz XRHJCIFLIZMGBI-CQSZACIVSA-N 0 0 292.383 2.873 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1cc(C)cc(C)c1 ZINC000602767860 351018423 /nfs/dbraw/zinc/01/84/23/351018423.db2.gz JYVOYRXFPLLMRY-UHFFFAOYSA-N 0 0 288.351 2.853 20 5 CFBDRN Cc1cccc(N(C)Cc2cn(C)nc2[N+](=O)[O-])c1C ZINC000602767867 351018486 /nfs/dbraw/zinc/01/84/86/351018486.db2.gz KDLAFGXKIAJEBY-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN C[C@@H](NCc1cn(C)nc1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000602783816 351023656 /nfs/dbraw/zinc/02/36/56/351023656.db2.gz MPUXTIAARWNYDF-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN Cn1cc(CN(CC2CC2)c2ccccc2)c([N+](=O)[O-])n1 ZINC000602793371 351027225 /nfs/dbraw/zinc/02/72/25/351027225.db2.gz RASDPMWNUNACOI-UHFFFAOYSA-N 0 0 286.335 2.745 20 5 CFBDRN Cn1cc(CN2CCC=Cc3ccccc32)c([N+](=O)[O-])n1 ZINC000602814996 351036053 /nfs/dbraw/zinc/03/60/53/351036053.db2.gz IPVSNPNEIHHXEO-UHFFFAOYSA-N 0 0 284.319 2.752 20 5 CFBDRN CN(Cc1ccns1)Cc1c(F)cccc1[N+](=O)[O-] ZINC000602885422 351058558 /nfs/dbraw/zinc/05/85/58/351058558.db2.gz WNVRFTBVCPSUII-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)n1cc(C2CC2)nn1 ZINC000603251628 351125719 /nfs/dbraw/zinc/12/57/19/351125719.db2.gz IKNTUDRCMZUBOF-SNVBAGLBSA-N 0 0 272.308 2.981 20 5 CFBDRN C[C@@](CO)([NH2+]CC1=CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000602962085 351072495 /nfs/dbraw/zinc/07/24/95/351072495.db2.gz GRMIWXLOWPPHLK-HNNXBMFYSA-N 0 0 276.336 2.502 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602956884 351072615 /nfs/dbraw/zinc/07/26/15/351072615.db2.gz MKQZFBIZKXQKID-GXSJLCMTSA-N 0 0 294.355 2.666 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)N(C)CCC(C)(C)C ZINC000602960042 351072761 /nfs/dbraw/zinc/07/27/61/351072761.db2.gz IBCLGQJSPHWQOP-UHFFFAOYSA-N 0 0 294.355 2.620 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCC(C)(F)CC1 ZINC000602992364 351086552 /nfs/dbraw/zinc/08/65/52/351086552.db2.gz OXCPHWZSGCATKH-UHFFFAOYSA-N 0 0 282.315 2.927 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc3n(n2)CCCC3)cc1 ZINC000603403859 351157792 /nfs/dbraw/zinc/15/77/92/351157792.db2.gz WDLVNBQPRULPKR-UHFFFAOYSA-N 0 0 272.308 2.740 20 5 CFBDRN O=C(NCc1ccc(Cl)o1)c1ccc([N+](=O)[O-])cc1F ZINC000603934359 351192799 /nfs/dbraw/zinc/19/27/99/351192799.db2.gz YKGITMLEEJVBQC-UHFFFAOYSA-N 0 0 298.657 2.910 20 5 CFBDRN Cc1ccc(Cl)cc1OCc1cn(C)nc1[N+](=O)[O-] ZINC000603419246 351160164 /nfs/dbraw/zinc/16/01/64/351160164.db2.gz BTXHASCEQFBVFE-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1cccc(OCc2cn(C)nc2[N+](=O)[O-])c1Cl ZINC000603423242 351161638 /nfs/dbraw/zinc/16/16/38/351161638.db2.gz OELAOWYQALCMSG-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000603432141 351163841 /nfs/dbraw/zinc/16/38/41/351163841.db2.gz JPMYKNHGRCAIKJ-RXMQYKEDSA-N 0 0 277.202 2.509 20 5 CFBDRN CC(=O)c1ccc(NCC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000603438513 351164641 /nfs/dbraw/zinc/16/46/41/351164641.db2.gz ZZVJLWOWJFQAQR-GFCCVEGCSA-N 0 0 278.308 2.778 20 5 CFBDRN C[C@H](N(C)C(=O)c1ccc([N+](=O)[O-])o1)C(C)(C)C ZINC000603555150 351173342 /nfs/dbraw/zinc/17/33/42/351173342.db2.gz LDZBPYVPSJMRNY-QMMMGPOBSA-N 0 0 254.286 2.694 20 5 CFBDRN CCCCN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@@H](C)CC ZINC000603559605 351174096 /nfs/dbraw/zinc/17/40/96/351174096.db2.gz AGZWOTROURCJJY-NSHDSACASA-N 0 0 281.356 2.974 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]2CCCC[C@@H]12 ZINC000603626446 351176504 /nfs/dbraw/zinc/17/65/04/351176504.db2.gz ZLDPZATVGPKFSI-OSMZGAPFSA-N 0 0 291.351 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCCO2)c(F)c1 ZINC000603676769 351177988 /nfs/dbraw/zinc/17/79/88/351177988.db2.gz QFPNCVUPYXBSMT-JTQLQIEISA-N 0 0 255.245 2.682 20 5 CFBDRN O=C(NCc1ccc(Cl)o1)c1csc([N+](=O)[O-])c1 ZINC000603751652 351180528 /nfs/dbraw/zinc/18/05/28/351180528.db2.gz XDKXMYKFDZGYPL-UHFFFAOYSA-N 0 0 286.696 2.833 20 5 CFBDRN C[NH+](C)[C@@H](CNc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000603837372 351183932 /nfs/dbraw/zinc/18/39/32/351183932.db2.gz CXTNFJGHLSSBSO-AWEZNQCLSA-N 0 0 286.335 2.705 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ccc(-c2nnco2)cc1 ZINC000603838271 351184566 /nfs/dbraw/zinc/18/45/66/351184566.db2.gz WDSYDYATYWJXKZ-UHFFFAOYSA-N 0 0 284.231 2.832 20 5 CFBDRN COCc1cccc(Nc2cccnc2[N+](=O)[O-])c1 ZINC000603847728 351185460 /nfs/dbraw/zinc/18/54/60/351185460.db2.gz OYPIKQKGTFFFIT-UHFFFAOYSA-N 0 0 259.265 2.880 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2sccc2C1 ZINC000603847160 351185778 /nfs/dbraw/zinc/18/57/78/351185778.db2.gz SDZGHWDTICMDKC-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN C[C@@H](CNc1cccnc1[N+](=O)[O-])[N@@H+]1CCCC[C@H]1C ZINC000603853705 351186214 /nfs/dbraw/zinc/18/62/14/351186214.db2.gz KBHCITNHSSUCSX-NEPJUHHUSA-N 0 0 278.356 2.665 20 5 CFBDRN C[C@@H]1C[C@@H]1c1ccc(CNc2c([N+](=O)[O-])ncn2C)o1 ZINC000603869507 351188195 /nfs/dbraw/zinc/18/81/95/351188195.db2.gz OLKIASIPLSMLED-SCZZXKLOSA-N 0 0 276.296 2.657 20 5 CFBDRN Cc1nn(CCC2CCC2)c2ncc([N+](=O)[O-])cc12 ZINC000603317693 351138120 /nfs/dbraw/zinc/13/81/20/351138120.db2.gz AHBPPOPQBXFEGA-UHFFFAOYSA-N 0 0 260.297 2.838 20 5 CFBDRN COc1ccccc1SCc1cn(C)nc1[N+](=O)[O-] ZINC000603317420 351138126 /nfs/dbraw/zinc/13/81/26/351138126.db2.gz IONXECZOLSARGC-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN CO[C@@H](CC(C)C)Cn1nc(C)c2cc([N+](=O)[O-])cnc21 ZINC000603319598 351138245 /nfs/dbraw/zinc/13/82/45/351138245.db2.gz JUIXIUIOWHPBHC-LBPRGKRZSA-N 0 0 292.339 2.709 20 5 CFBDRN O=C1CCC(c2ccccc2)=NN1c1ccc([N+](=O)[O-])cn1 ZINC000603920942 351191535 /nfs/dbraw/zinc/19/15/35/351191535.db2.gz GVVBBLGIEAZTFI-UHFFFAOYSA-N 0 0 296.286 2.521 20 5 CFBDRN Cc1nn(CC2CC=CC2)c2ncc([N+](=O)[O-])cc12 ZINC000603323585 351139432 /nfs/dbraw/zinc/13/94/32/351139432.db2.gz ZKZGZURPGUPEQC-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN COC1(Cn2nc(C)c3cc([N+](=O)[O-])cnc32)CCCC1 ZINC000603328637 351140178 /nfs/dbraw/zinc/14/01/78/351140178.db2.gz STVIPWBIZCEZHV-UHFFFAOYSA-N 0 0 290.323 2.607 20 5 CFBDRN C[C@@H](NC(=O)N1C2CCC1CC2)c1cccc([N+](=O)[O-])c1 ZINC000604127071 351200070 /nfs/dbraw/zinc/20/00/70/351200070.db2.gz HKAIOLXVFIZUIG-QFWMXSHPSA-N 0 0 289.335 2.992 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]2CCCC[C@@H]12 ZINC000604101957 351200262 /nfs/dbraw/zinc/20/02/62/351200262.db2.gz DQTUCSQQNJUKIZ-NTZNESFSSA-N 0 0 291.351 2.964 20 5 CFBDRN CCOC1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000604229211 351202209 /nfs/dbraw/zinc/20/22/09/351202209.db2.gz ZRSLJPOIAWXFFU-UHFFFAOYSA-N 0 0 293.323 2.628 20 5 CFBDRN CCOC(=O)C1CCC(Nc2cccnc2[N+](=O)[O-])CC1 ZINC000604271832 351204836 /nfs/dbraw/zinc/20/48/36/351204836.db2.gz VQFBHKCFQLAFGU-UHFFFAOYSA-N 0 0 293.323 2.524 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)c(C)[nH]1 ZINC000604311031 351206151 /nfs/dbraw/zinc/20/61/51/351206151.db2.gz JTZCDVVKDIFAQE-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN C[C@@H](CNC(=O)CCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000604395911 351210871 /nfs/dbraw/zinc/21/08/71/351210871.db2.gz ITJRTXCQXWGKSQ-NSHDSACASA-N 0 0 291.351 2.559 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N(CC1CC1)CC1CC1 ZINC000115250216 186662764 /nfs/dbraw/zinc/66/27/64/186662764.db2.gz BPDWQPNXINXWIW-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCCn1ccnc1 ZINC000604453301 351213150 /nfs/dbraw/zinc/21/31/50/351213150.db2.gz ARKQVBPLBPASLK-UHFFFAOYSA-N 0 0 288.307 2.519 20 5 CFBDRN CCC1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000604476268 351213889 /nfs/dbraw/zinc/21/38/89/351213889.db2.gz HAFPSFZJFWNJGL-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@H](c2ccccc2)C1 ZINC000604502701 351215104 /nfs/dbraw/zinc/21/51/04/351215104.db2.gz FQCOXGKWKMWTSS-ZDUSSCGKSA-N 0 0 269.304 2.984 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1cc([N+](=O)[O-])cnc1C)CC2 ZINC000604503765 351215356 /nfs/dbraw/zinc/21/53/56/351215356.db2.gz QBJGTWQHDCYPRH-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=[N+]([O-])c1cccc(Oc2cc3c(nn2)CCC3)c1 ZINC000604500028 351215691 /nfs/dbraw/zinc/21/56/91/351215691.db2.gz HSSYXPAXDBXUQU-UHFFFAOYSA-N 0 0 257.249 2.666 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC(OC2CCCC2)CC1 ZINC000604540976 351217659 /nfs/dbraw/zinc/21/76/59/351217659.db2.gz SGJHTKQCSSBBJQ-UHFFFAOYSA-N 0 0 291.351 2.918 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1C2CCC1CC2 ZINC000604546365 351218654 /nfs/dbraw/zinc/21/86/54/351218654.db2.gz FMWXKQDLEKFEKA-UHFFFAOYSA-N 0 0 285.303 2.843 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])cc2)CCOCC1 ZINC000227824776 535159793 /nfs/dbraw/zinc/15/97/93/535159793.db2.gz VFGUYHYBXBWFFJ-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C(C)C)[C@H]1CCOC1 ZINC000291375710 198003790 /nfs/dbraw/zinc/00/37/90/198003790.db2.gz BWVKOSZARAFIKN-ZDUSSCGKSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H](NC(=O)CNc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000609232447 351492682 /nfs/dbraw/zinc/49/26/82/351492682.db2.gz NGCJBPUUKIBBBD-CYBMUJFWSA-N 0 0 293.367 2.948 20 5 CFBDRN CC1(C)CN(C(=O)c2csc([N+](=O)[O-])c2)C(C)(C)CO1 ZINC000609646605 351513800 /nfs/dbraw/zinc/51/38/00/351513800.db2.gz UCMCDVFUTLBWSG-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN CCCCN(CCOC)c1ccc(F)cc1[N+](=O)[O-] ZINC000609464060 351501913 /nfs/dbraw/zinc/50/19/13/351501913.db2.gz AIFVRNMGDAUJRJ-UHFFFAOYSA-N 0 0 270.304 2.987 20 5 CFBDRN C[C@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000609442944 351502553 /nfs/dbraw/zinc/50/25/53/351502553.db2.gz UJJKQLGUJXXCIO-MRVPVSSYSA-N 0 0 266.272 2.510 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCO[C@@H](C)C1 ZINC000291419227 198015850 /nfs/dbraw/zinc/01/58/50/198015850.db2.gz KDTMDXVLMGYNTP-JQWIXIFHSA-N 0 0 292.335 2.911 20 5 CFBDRN CNC(=O)c1ccc(N(C)[C@H](C)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000609586171 351509880 /nfs/dbraw/zinc/50/98/80/351509880.db2.gz OHPWIVWZMCWYBT-SNVBAGLBSA-N 0 0 293.367 2.825 20 5 CFBDRN COc1cccnc1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000608813926 351473657 /nfs/dbraw/zinc/47/36/57/351473657.db2.gz JFVXCAMVYHHVRG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC(C)SCC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000608870503 351480878 /nfs/dbraw/zinc/48/08/78/351480878.db2.gz IORJFSPWRHSLMW-UHFFFAOYSA-N 0 0 272.301 2.814 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCCCNc1ccccn1 ZINC000608885562 351480978 /nfs/dbraw/zinc/48/09/78/351480978.db2.gz YWJBXGXPYOLYPZ-UHFFFAOYSA-N 0 0 287.323 2.689 20 5 CFBDRN Cc1cccc2c1CCN2c1ccncc1[N+](=O)[O-] ZINC000608973589 351482881 /nfs/dbraw/zinc/48/28/81/351482881.db2.gz OKBYLHCNSJQSKT-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN COc1ccc(CC(=O)N(C)C2CCCC2)cc1[N+](=O)[O-] ZINC000609010192 351483762 /nfs/dbraw/zinc/48/37/62/351483762.db2.gz QWKYLXWHLVVBNM-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609128317 351485463 /nfs/dbraw/zinc/48/54/63/351485463.db2.gz GCOILGKHEPYRLO-QWHCGFSZSA-N 0 0 293.367 2.878 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1C1CC1 ZINC000609134987 351486821 /nfs/dbraw/zinc/48/68/21/351486821.db2.gz JZSIYXFYRCPAJO-CQSZACIVSA-N 0 0 289.335 2.679 20 5 CFBDRN Cc1cccc2c1CC[C@H]2Nc1c([N+](=O)[O-])ncn1C ZINC000609192881 351490759 /nfs/dbraw/zinc/49/07/59/351490759.db2.gz OWYQDPDBZUCREM-GFCCVEGCSA-N 0 0 272.308 2.736 20 5 CFBDRN COc1ccc(CC(=O)Nc2ccsc2)cc1[N+](=O)[O-] ZINC000609880607 351526061 /nfs/dbraw/zinc/52/60/61/351526061.db2.gz XVJGSPKDHPAAHF-UHFFFAOYSA-N 0 0 292.316 2.846 20 5 CFBDRN Cc1cc(C)cc(CCNc2ncc([N+](=O)[O-])cc2C)c1 ZINC000610131899 351534302 /nfs/dbraw/zinc/53/43/02/351534302.db2.gz PTYOHJNWHFAYQF-UHFFFAOYSA-N 0 0 285.347 2.992 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNc1cccnc1[N+](=O)[O-] ZINC000610131962 351534344 /nfs/dbraw/zinc/53/43/44/351534344.db2.gz ZINHUNJJODKXOD-CYBMUJFWSA-N 0 0 267.329 2.589 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])s2)[C@@H]2CCO[C@H]21 ZINC000610160736 351535870 /nfs/dbraw/zinc/53/58/70/351535870.db2.gz GWMXGEZDXFXAJH-WHGOUJPWSA-N 0 0 268.338 2.882 20 5 CFBDRN COc1cc(CCNc2cccnc2[N+](=O)[O-])ccc1C ZINC000610160264 351535874 /nfs/dbraw/zinc/53/58/74/351535874.db2.gz GKPSFTCHNRLKNW-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN C[C@]1(c2ccccc2)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000610190120 351537608 /nfs/dbraw/zinc/53/76/08/351537608.db2.gz DZJYEDOMKIAMBV-IUODEOHRSA-N 0 0 286.287 2.648 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@H](C)C[C@H]1C ZINC000291427630 198018777 /nfs/dbraw/zinc/01/87/77/198018777.db2.gz LIWZOKGZNWJZLD-GPCCPHFNSA-N 0 0 292.335 2.909 20 5 CFBDRN NC(=O)Nc1ccc(CNc2ccsc2[N+](=O)[O-])cc1 ZINC000610272932 351545587 /nfs/dbraw/zinc/54/55/87/351545587.db2.gz HUZOONLTRPFMIS-UHFFFAOYSA-N 0 0 292.320 2.759 20 5 CFBDRN NC(=O)Nc1ccc(CNc2ccc([N+](=O)[O-])s2)cc1 ZINC000610272979 351545624 /nfs/dbraw/zinc/54/56/24/351545624.db2.gz YHBBGMYOUYBEGO-UHFFFAOYSA-N 0 0 292.320 2.759 20 5 CFBDRN Cc1cc(N2CCC[C@@H](n3ccnc3)C2)ccc1[N+](=O)[O-] ZINC000610276209 351547013 /nfs/dbraw/zinc/54/70/13/351547013.db2.gz CZKYUZSLHWMKNZ-CQSZACIVSA-N 0 0 286.335 2.941 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000610277896 351547134 /nfs/dbraw/zinc/54/71/34/351547134.db2.gz HDYSNJBLDDWBKU-WDEREUQCSA-N 0 0 280.324 2.607 20 5 CFBDRN C[C@@]1(c2ccccc2)C[C@@H]1Nc1ccncc1[N+](=O)[O-] ZINC000610280226 351547916 /nfs/dbraw/zinc/54/79/16/351547916.db2.gz JFWYLCUQWUBLET-GJZGRUSLSA-N 0 0 269.304 2.554 20 5 CFBDRN CN(Cc1nc2ccccc2n1C)c1cccnc1[N+](=O)[O-] ZINC000610278728 351547965 /nfs/dbraw/zinc/54/79/65/351547965.db2.gz VHSSANRSSRMWJC-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CC2CCC2)c1 ZINC000610278023 351548065 /nfs/dbraw/zinc/54/80/65/351548065.db2.gz ZNOWBRDEIMJBKV-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN C[C@@H](CCc1cccn1C)Nc1cccnc1[N+](=O)[O-] ZINC000610280984 351548305 /nfs/dbraw/zinc/54/83/05/351548305.db2.gz QDCPPTIHJHICMV-NSHDSACASA-N 0 0 274.324 2.762 20 5 CFBDRN CC(C)[C@H]1CN(c2cccnc2[N+](=O)[O-])CCS1 ZINC000610283433 351548916 /nfs/dbraw/zinc/54/89/16/351548916.db2.gz KSTUJNGOYVSHIZ-LLVKDONJSA-N 0 0 267.354 2.568 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])C[C@@H](C(F)(F)F)O1 ZINC000610412163 351564875 /nfs/dbraw/zinc/56/48/75/351564875.db2.gz QHIXWMXIBIXWTM-SVRRBLITSA-N 0 0 296.270 2.812 20 5 CFBDRN C[C@H]1CN(c2ccccc2[N+](=O)[O-])C[C@H](C(F)(F)F)O1 ZINC000610411993 351564988 /nfs/dbraw/zinc/56/49/88/351564988.db2.gz JVDNDMVRQLCSCN-GZMMTYOYSA-N 0 0 290.241 2.751 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1c2ccccc2C[C@@H]1O ZINC000610468483 351568238 /nfs/dbraw/zinc/56/82/38/351568238.db2.gz FVMCVNKBYHKZKD-NWDGAFQWSA-N 0 0 276.317 2.727 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1cn[nH]c1 ZINC000610487759 351569823 /nfs/dbraw/zinc/56/98/23/351569823.db2.gz VVDJUYIQNHQRHN-JTQLQIEISA-N 0 0 260.297 2.511 20 5 CFBDRN Cc1cnc(NC[C@@H](C)c2ccncc2)c([N+](=O)[O-])c1 ZINC000610516864 351575481 /nfs/dbraw/zinc/57/54/81/351575481.db2.gz FXLWGOXNAYYWEJ-LLVKDONJSA-N 0 0 272.308 2.909 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])s1)c1ccncc1 ZINC000610526266 351578900 /nfs/dbraw/zinc/57/89/00/351578900.db2.gz LHXLYONSKRWMDO-SECBINFHSA-N 0 0 291.332 2.585 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)c2ccncc2)cc([N+](=O)[O-])c1 ZINC000610526789 351578987 /nfs/dbraw/zinc/57/89/87/351578987.db2.gz WTNQSQDTRKUCBI-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN O=C(Nc1cccnc1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000119762010 186850271 /nfs/dbraw/zinc/85/02/71/186850271.db2.gz MMBYOJURHWQWMO-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)c2ccncc2)ccc1[N+](=O)[O-] ZINC000610526542 351579422 /nfs/dbraw/zinc/57/94/22/351579422.db2.gz OKDFRCCJBRXETA-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN COC(=O)C1(CNc2ccc(F)cc2[N+](=O)[O-])CCCC1 ZINC000610587872 351584909 /nfs/dbraw/zinc/58/49/09/351584909.db2.gz NDATWMBNWHAYSB-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN Cc1ccc([C@H](C)Nc2cc3c(nn2)CCC3)cc1[N+](=O)[O-] ZINC000610588012 351584950 /nfs/dbraw/zinc/58/49/50/351584950.db2.gz MZOTVXLJQTTZNP-NSHDSACASA-N 0 0 298.346 2.777 20 5 CFBDRN COCc1ccc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000119838947 186856078 /nfs/dbraw/zinc/85/60/78/186856078.db2.gz QYLFIVDXOQXLCV-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2c(F)ccc(F)c2C1 ZINC000610647796 351587623 /nfs/dbraw/zinc/58/76/23/351587623.db2.gz WVEXTOWUDUEHDA-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN Cc1cnc(OCCCOC(C)(C)C)c([N+](=O)[O-])c1 ZINC000610684735 351593665 /nfs/dbraw/zinc/59/36/65/351593665.db2.gz LUQOMRADMWCACT-UHFFFAOYSA-N 0 0 268.313 2.882 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1N(C)c1c([N+](=O)[O-])ncn1C ZINC000610709881 351595478 /nfs/dbraw/zinc/59/54/78/351595478.db2.gz ITEWKKLEOQWLIO-GHMZBOCLSA-N 0 0 266.345 2.733 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000610734948 351600073 /nfs/dbraw/zinc/60/00/73/351600073.db2.gz WEGRKWRGNVMQSQ-IUCAKERBSA-N 0 0 296.352 2.531 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000610735816 351600103 /nfs/dbraw/zinc/60/01/03/351600103.db2.gz VGOKHYRAOVIMFB-GWCFXTLKSA-N 0 0 274.320 2.962 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)[C@@H]1CC12CCC2 ZINC000610740614 351601042 /nfs/dbraw/zinc/60/10/42/351601042.db2.gz RDCROPYWZUYJDA-LBPRGKRZSA-N 0 0 297.314 2.694 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC(CC(F)(F)F)C1 ZINC000610744925 351602999 /nfs/dbraw/zinc/60/29/99/351602999.db2.gz LEYWXTISRPIJKJ-UHFFFAOYSA-N 0 0 294.254 2.681 20 5 CFBDRN O=C(NC1CC=CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000610748108 351603207 /nfs/dbraw/zinc/60/32/07/351603207.db2.gz QVWLFJBNLNLSED-UHFFFAOYSA-N 0 0 271.276 2.525 20 5 CFBDRN Cc1ccccc1[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000610768792 351606243 /nfs/dbraw/zinc/60/62/43/351606243.db2.gz NZBJOUBQIBQCCK-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)c2ccccc21 ZINC000610770623 351606498 /nfs/dbraw/zinc/60/64/98/351606498.db2.gz IKCCYUYRZTXKBH-JTQLQIEISA-N 0 0 283.287 2.754 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000610790282 351608915 /nfs/dbraw/zinc/60/89/15/351608915.db2.gz AJVXEASXHCWLQF-SNVBAGLBSA-N 0 0 284.262 2.821 20 5 CFBDRN COCCCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000120075577 186873302 /nfs/dbraw/zinc/87/33/02/186873302.db2.gz XHCBXYVHHFLBLD-UHFFFAOYSA-N 0 0 281.312 2.533 20 5 CFBDRN CC(C)C1CN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000611079407 351638337 /nfs/dbraw/zinc/63/83/37/351638337.db2.gz UZCDHVBLVQTJFI-UHFFFAOYSA-N 0 0 282.727 2.976 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC2(CC(F)C2)C1 ZINC000611078596 351638445 /nfs/dbraw/zinc/63/84/45/351638445.db2.gz PCEUMIGRCFCKPM-UHFFFAOYSA-N 0 0 271.679 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC2CC2)c(Cl)c1 ZINC000611104354 351639816 /nfs/dbraw/zinc/63/98/16/351639816.db2.gz SWBBSPZMYRDGDX-VIFPVBQESA-N 0 0 270.716 2.821 20 5 CFBDRN C[C@H](Nc1cccnc1[N+](=O)[O-])[C@H]1COc2ccccc21 ZINC000611103890 351639878 /nfs/dbraw/zinc/63/98/78/351639878.db2.gz CMYBGBNTSVBKLO-CMPLNLGQSA-N 0 0 285.303 2.966 20 5 CFBDRN Cc1cc(COc2ccc(C)c([N+](=O)[O-])c2)nn1C ZINC000611112483 351641084 /nfs/dbraw/zinc/64/10/84/351641084.db2.gz WQYIYDREGXWHGX-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H](c1cccnc1)C1CC1 ZINC000611144042 351645064 /nfs/dbraw/zinc/64/50/64/351645064.db2.gz RUZAPGZSXJGIGK-ZDUSSCGKSA-N 0 0 270.292 2.948 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CCC1 ZINC000611197251 351651896 /nfs/dbraw/zinc/65/18/96/351651896.db2.gz DGMIRRWWLATGFR-VIFPVBQESA-N 0 0 287.319 2.995 20 5 CFBDRN CC[N@@H+]1C[C@H](C)N(c2ccc([N+](=O)[O-])s2)C[C@@H]1C ZINC000611222024 351652572 /nfs/dbraw/zinc/65/25/72/351652572.db2.gz HMAXENQELHFMEW-UWVGGRQHSA-N 0 0 269.370 2.575 20 5 CFBDRN CN(CC1CCOCC1)c1ccc([N+](=O)[O-])s1 ZINC000611242723 351656205 /nfs/dbraw/zinc/65/62/05/351656205.db2.gz QIODUHGMSARCRF-UHFFFAOYSA-N 0 0 256.327 2.519 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3cccnc3)CC2)nc1 ZINC000611256735 351658723 /nfs/dbraw/zinc/65/87/23/351658723.db2.gz UXYNXDKLZQQMNW-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1cccc(C(=O)N(C)CCOC(C)(C)C)c1[N+](=O)[O-] ZINC000610862449 351616949 /nfs/dbraw/zinc/61/69/49/351616949.db2.gz IESFQVSWMAMNRF-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC(C(C)C)C(C)C ZINC000610912050 351620342 /nfs/dbraw/zinc/62/03/42/351620342.db2.gz OMJJQXMTYMISEX-UHFFFAOYSA-N 0 0 279.340 2.709 20 5 CFBDRN CS[C@@H]1CCCCN(c2cccnc2[N+](=O)[O-])C1 ZINC000610930167 351622393 /nfs/dbraw/zinc/62/23/93/351622393.db2.gz JKTNQNZXUFBWBF-SNVBAGLBSA-N 0 0 267.354 2.712 20 5 CFBDRN Cc1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cn2)n1 ZINC000610946321 351623371 /nfs/dbraw/zinc/62/33/71/351623371.db2.gz ATUMELADPLFQHZ-ZCFIWIBFSA-N 0 0 266.282 2.535 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]([C@@H]3CCOC3)C2)s1 ZINC000610970913 351626878 /nfs/dbraw/zinc/62/68/78/351626878.db2.gz XJAMELOUIRQZSI-WDEREUQCSA-N 0 0 282.365 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]([C@H]3CCOC3)C2)s1 ZINC000610970911 351626889 /nfs/dbraw/zinc/62/68/89/351626889.db2.gz XJAMELOUIRQZSI-MNOVXSKESA-N 0 0 282.365 2.909 20 5 CFBDRN Cc1c(CC(=O)NC[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000610969007 351626914 /nfs/dbraw/zinc/62/69/14/351626914.db2.gz XLGYTFOSRNQUDB-SNVBAGLBSA-N 0 0 276.336 2.608 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H](CC)CCC)c1[N+](=O)[O-] ZINC000291464447 198028526 /nfs/dbraw/zinc/02/85/26/198028526.db2.gz IMKGVWAVAGATEK-VIFPVBQESA-N 0 0 282.344 2.579 20 5 CFBDRN C[C@@H](N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F)C1(C)CC1 ZINC000120381206 186895796 /nfs/dbraw/zinc/89/57/96/186895796.db2.gz QHRGTRGUOIPTHX-SNVBAGLBSA-N 0 0 294.326 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CCC[C@H]1C1CC1 ZINC000611268530 351661212 /nfs/dbraw/zinc/66/12/12/351661212.db2.gz DSALKMJUDREARE-ZDUSSCGKSA-N 0 0 262.309 2.675 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000611370806 351676795 /nfs/dbraw/zinc/67/67/95/351676795.db2.gz RCFXDTUMHZUYSN-GHMZBOCLSA-N 0 0 250.298 2.822 20 5 CFBDRN CC(C)(NCc1ccon1)c1ccc([N+](=O)[O-])cc1 ZINC000611402674 351683642 /nfs/dbraw/zinc/68/36/42/351683642.db2.gz NDQHQINKNQQDFL-UHFFFAOYSA-N 0 0 261.281 2.608 20 5 CFBDRN CN(CCCNc1sccc1[N+](=O)[O-])CC(F)(F)F ZINC000611411515 351685184 /nfs/dbraw/zinc/68/51/84/351685184.db2.gz IPSAEMXNBCRALS-UHFFFAOYSA-N 0 0 297.302 2.952 20 5 CFBDRN CCOC(=O)CC1CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000611411454 351685229 /nfs/dbraw/zinc/68/52/29/351685229.db2.gz BDFRTLDSDXTJBV-UHFFFAOYSA-N 0 0 298.364 2.826 20 5 CFBDRN CN(C(=O)CNc1sccc1[N+](=O)[O-])C1CCCCC1 ZINC000611413362 351686106 /nfs/dbraw/zinc/68/61/06/351686106.db2.gz LBRAWMYIFSGSOA-UHFFFAOYSA-N 0 0 297.380 2.859 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@H](C2CCOCC2)C1 ZINC000611414729 351687754 /nfs/dbraw/zinc/68/77/54/351687754.db2.gz RKRYUUURJZBVQQ-NSHDSACASA-N 0 0 282.365 2.909 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCO[C@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000611467882 351693520 /nfs/dbraw/zinc/69/35/20/351693520.db2.gz NFVAVRDKFWUHSJ-NWDGAFQWSA-N 0 0 296.371 2.642 20 5 CFBDRN CC(=O)CCCCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000291592811 198045385 /nfs/dbraw/zinc/04/53/85/198045385.db2.gz HSHDXSHSXPJFRK-UHFFFAOYSA-N 0 0 288.303 2.669 20 5 CFBDRN CCn1nc(C)cc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000611475395 351695101 /nfs/dbraw/zinc/69/51/01/351695101.db2.gz HYVASHLKBDTAFW-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCOc1cccc(NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000611480335 351696046 /nfs/dbraw/zinc/69/60/46/351696046.db2.gz PWJNQJZKGWWOGD-UHFFFAOYSA-N 0 0 287.319 2.731 20 5 CFBDRN CCC(CC)[C@@H]1C[C@H](Nc2c([N+](=O)[O-])ncn2C)CCO1 ZINC000611495059 351697279 /nfs/dbraw/zinc/69/72/79/351697279.db2.gz VHTGWPFUNTYVRY-NEPJUHHUSA-N 0 0 296.371 2.724 20 5 CFBDRN C[C@@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C[C@@H]1F ZINC000611502407 351698865 /nfs/dbraw/zinc/69/88/65/351698865.db2.gz RZTWXVRLWZJELG-KOLCDFICSA-N 0 0 293.298 2.916 20 5 CFBDRN COc1ccc(CN2CC[C@H](C)[C@H](F)C2)cc1[N+](=O)[O-] ZINC000611502422 351699257 /nfs/dbraw/zinc/69/92/57/351699257.db2.gz SDMJIRCLNJTFBT-CMPLNLGQSA-N 0 0 282.315 2.783 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H]1F ZINC000611507043 351701136 /nfs/dbraw/zinc/70/11/36/351701136.db2.gz WMYSEJHILYQNEV-QPUJVOFHSA-N 0 0 284.262 2.554 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC=CCC1 ZINC000611513347 351702752 /nfs/dbraw/zinc/70/27/52/351702752.db2.gz ZXJMZHRWZWEORK-UHFFFAOYSA-N 0 0 266.684 2.650 20 5 CFBDRN C[C@@H]1CCN1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000611524273 351704493 /nfs/dbraw/zinc/70/44/93/351704493.db2.gz RDWWLOXCJPCKMT-SECBINFHSA-N 0 0 297.742 2.595 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCNc2ccccc2C1 ZINC000611296490 351665583 /nfs/dbraw/zinc/66/55/83/351665583.db2.gz LYOZEYDNKZOFFR-UHFFFAOYSA-N 0 0 284.319 2.730 20 5 CFBDRN CCCCn1cc(CNc2cccnc2[N+](=O)[O-])c(C)n1 ZINC000611338198 351669852 /nfs/dbraw/zinc/66/98/52/351669852.db2.gz GOEQFNLREUGQBL-UHFFFAOYSA-N 0 0 289.339 2.907 20 5 CFBDRN CC(C)(C)OC(=O)CCCCNc1ncccc1[N+](=O)[O-] ZINC000611338240 351670069 /nfs/dbraw/zinc/67/00/69/351670069.db2.gz CRRHYTZMRRORCE-UHFFFAOYSA-N 0 0 295.339 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2c3ccccc3C[C@@H]2O)s1 ZINC000611369970 351675619 /nfs/dbraw/zinc/67/56/19/351675619.db2.gz DOMFPVXRVRRUJB-GWCFXTLKSA-N 0 0 276.317 2.727 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1nc(C)ccc1[N+](=O)[O-] ZINC000611373293 351676293 /nfs/dbraw/zinc/67/62/93/351676293.db2.gz GKOITUJOJOBWDC-CMPLNLGQSA-N 0 0 265.313 2.525 20 5 CFBDRN COc1cc(N2CCC[C@@H](OC)CC2)c(F)cc1[N+](=O)[O-] ZINC000611931482 351751818 /nfs/dbraw/zinc/75/18/18/351751818.db2.gz ZQTUDEGUFYJJPK-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN CC(C)C1CN(c2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000611712905 351727679 /nfs/dbraw/zinc/72/76/79/351727679.db2.gz SJASXABLHBFJRE-UHFFFAOYSA-N 0 0 272.308 2.630 20 5 CFBDRN CO[C@@H]1CCCN(c2sccc2[N+](=O)[O-])CC1 ZINC000611931190 351751922 /nfs/dbraw/zinc/75/19/22/351751922.db2.gz INYJYDSTHXBWBK-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN Cc1nccc(NC(=O)c2cccc([N+](=O)[O-])c2)c1Cl ZINC000611561954 351708724 /nfs/dbraw/zinc/70/87/24/351708724.db2.gz IAFOKWJIDFBMPU-UHFFFAOYSA-N 0 0 291.694 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H](OC(F)F)C2)cc1 ZINC000611579982 351712264 /nfs/dbraw/zinc/71/22/64/351712264.db2.gz ONJNMEWGYVBFEK-GFCCVEGCSA-N 0 0 286.278 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H](OC(F)F)C2)cc1 ZINC000611579984 351712420 /nfs/dbraw/zinc/71/24/20/351712420.db2.gz ONJNMEWGYVBFEK-LBPRGKRZSA-N 0 0 286.278 2.798 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@H](OC(F)F)C1 ZINC000611584261 351712476 /nfs/dbraw/zinc/71/24/76/351712476.db2.gz ZXEQGCDBMAQWII-ZETCQYMHSA-N 0 0 278.280 2.864 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)C2CCOCC2)n1 ZINC000611617337 351715141 /nfs/dbraw/zinc/71/51/41/351715141.db2.gz YPGJNYSYBFWLBP-LLVKDONJSA-N 0 0 279.340 2.834 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)CCOC(C)(C)C)n1 ZINC000611617119 351715482 /nfs/dbraw/zinc/71/54/82/351715482.db2.gz HHUMOMGMUKVDRM-UHFFFAOYSA-N 0 0 281.356 2.858 20 5 CFBDRN CCC1(CO)CCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000611617481 351715654 /nfs/dbraw/zinc/71/56/54/351715654.db2.gz ZOWMXUKZRSWOSU-UHFFFAOYSA-N 0 0 293.367 2.596 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611617545 351715978 /nfs/dbraw/zinc/71/59/78/351715978.db2.gz KGAQEBIGXOZPOR-NEPJUHHUSA-N 0 0 279.340 2.834 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@H](C)[C@H](F)C2)n1 ZINC000611617719 351716073 /nfs/dbraw/zinc/71/60/73/351716073.db2.gz ZUMXKSZYADAVKP-GZMMTYOYSA-N 0 0 267.304 2.791 20 5 CFBDRN C[C@H]1C[C@H](CCNc2cccnc2[N+](=O)[O-])CCO1 ZINC000612103672 351775110 /nfs/dbraw/zinc/77/51/10/351775110.db2.gz FZEJWBMURYIWHU-WDEREUQCSA-N 0 0 265.313 2.607 20 5 CFBDRN CCc1nnc(CSc2ccc([N+](=O)[O-])cn2)s1 ZINC000612003040 351759901 /nfs/dbraw/zinc/75/99/01/351759901.db2.gz KYAWAAWTYLHHKN-UHFFFAOYSA-N 0 0 282.350 2.696 20 5 CFBDRN Cc1cnc(N2CCC([C@H]3CCOC3)CC2)c([N+](=O)[O-])c1 ZINC000612099131 351774265 /nfs/dbraw/zinc/77/42/65/351774265.db2.gz NXAUICQGPQVEGG-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NC3CC=CC3)c21 ZINC000612447743 351822226 /nfs/dbraw/zinc/82/22/26/351822226.db2.gz SGVRFNFSSBUZTG-UHFFFAOYSA-N 0 0 256.265 2.669 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2sccc2[N+](=O)[O-])C[C@H]1O ZINC000612449153 351822320 /nfs/dbraw/zinc/82/23/20/351822320.db2.gz HHGZNFGJABEOSY-GXSJLCMTSA-N 0 0 284.381 2.890 20 5 CFBDRN C[C@@H]1OCC[C@H]1Sc1ncnc2cccc([N+](=O)[O-])c21 ZINC000612451738 351823006 /nfs/dbraw/zinc/82/30/06/351823006.db2.gz FBICCYUTBZIISW-GZMMTYOYSA-N 0 0 291.332 2.808 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3cccc([N+](=O)[O-])c32)C[C@H]1F ZINC000612449934 351823123 /nfs/dbraw/zinc/82/31/23/351823123.db2.gz OHLDOUIUCBTWQM-NXEZZACHSA-N 0 0 290.298 2.722 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCO[C@H](C2CCCCC2)C1 ZINC000612457713 351823907 /nfs/dbraw/zinc/82/39/07/351823907.db2.gz KVTZFXJGDAMGBC-HNNXBMFYSA-N 0 0 291.351 2.775 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000121872968 187001941 /nfs/dbraw/zinc/00/19/41/187001941.db2.gz RMPMAXYULKQAED-NSHDSACASA-N 0 0 264.325 2.688 20 5 CFBDRN O=c1c2ccccc2n(CC2CCC2)cc1[N+](=O)[O-] ZINC000291747823 198078740 /nfs/dbraw/zinc/07/87/40/198078740.db2.gz ZJTNEIHTMCUVDH-UHFFFAOYSA-N 0 0 258.277 2.710 20 5 CFBDRN Cc1cc(NCCCC(=O)[O-])c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000122157453 187018182 /nfs/dbraw/zinc/01/81/82/187018182.db2.gz OKBGEVIMSIZBEG-UHFFFAOYSA-N 0 0 289.291 2.728 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000122200351 187020352 /nfs/dbraw/zinc/02/03/52/187020352.db2.gz UTVIRSBOSQYRNJ-NSHDSACASA-N 0 0 278.352 2.950 20 5 CFBDRN Cc1c(CC(=O)N[C@@H](C)CC(C)C)cccc1[N+](=O)[O-] ZINC000121701504 186990309 /nfs/dbraw/zinc/99/03/09/186990309.db2.gz CGKFCSYGKDINRY-NSHDSACASA-N 0 0 278.352 2.997 20 5 CFBDRN COc1c(CNc2ccc(C)cc2[N+](=O)[O-])c(C)nn1C ZINC000123049338 187067975 /nfs/dbraw/zinc/06/79/75/187067975.db2.gz ACBPFBFCIWIOSO-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)sn1 ZINC000123159151 187074990 /nfs/dbraw/zinc/07/49/90/187074990.db2.gz BFFFZAFSMYCXCU-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CNC(=O)c1cccc(NCc2ccccc2[N+](=O)[O-])c1C ZINC000122468850 187033873 /nfs/dbraw/zinc/03/38/73/187033873.db2.gz HOKZHFUALHPPFJ-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccsc2[N+](=O)[O-])[C@]12CCCO2 ZINC000612664411 351848990 /nfs/dbraw/zinc/84/89/90/351848990.db2.gz NPBWSFWAMXBAPJ-DMDPSCGWSA-N 0 0 298.364 2.795 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC(C)(C)COC ZINC000291928046 198107963 /nfs/dbraw/zinc/10/79/63/198107963.db2.gz SAFPEFFFDCEQPE-UHFFFAOYSA-N 0 0 282.340 2.826 20 5 CFBDRN CC[C@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000124110722 187122864 /nfs/dbraw/zinc/12/28/64/187122864.db2.gz ORRJWJKSKWXLFN-LBPRGKRZSA-N 0 0 276.336 2.786 20 5 CFBDRN COCC(C)(C)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000291904737 198101360 /nfs/dbraw/zinc/10/13/60/198101360.db2.gz QFDPUDPPDYEXDY-UHFFFAOYSA-N 0 0 273.720 2.728 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H](C)SC)cc1[N+](=O)[O-] ZINC000130553060 187502943 /nfs/dbraw/zinc/50/29/43/187502943.db2.gz MCHWCSVLYANFHE-VIFPVBQESA-N 0 0 282.365 2.639 20 5 CFBDRN COC(=O)c1ccc(OCCOC(C)(C)C)cc1[N+](=O)[O-] ZINC000613110206 351899200 /nfs/dbraw/zinc/89/92/00/351899200.db2.gz IQYQHVFYXRTIMM-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCNc2ncc(Cl)s2)cc1 ZINC000613181046 351910423 /nfs/dbraw/zinc/91/04/23/351910423.db2.gz ZQQPQLGCFMNNMQ-UHFFFAOYSA-N 0 0 299.739 2.618 20 5 CFBDRN C[C@H]1CN(C(=O)CNc2ccccc2[N+](=O)[O-])CC[C@@H]1C ZINC000131731577 187584198 /nfs/dbraw/zinc/58/41/98/187584198.db2.gz KGQFZLYNDIKAGZ-RYUDHWBXSA-N 0 0 291.351 2.511 20 5 CFBDRN COC1(CNc2cccnc2[N+](=O)[O-])CCCCC1 ZINC000613896151 352023908 /nfs/dbraw/zinc/02/39/08/352023908.db2.gz AUGKJEQZOSHQPQ-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN Nc1cc(NCCC2=CCCCC2)cc(CO)c1[N+](=O)[O-] ZINC000613917332 352026485 /nfs/dbraw/zinc/02/64/85/352026485.db2.gz XFLVGOUOQLIQCQ-UHFFFAOYSA-N 0 0 291.351 2.972 20 5 CFBDRN COC1CCC(C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000614785335 352120048 /nfs/dbraw/zinc/12/00/48/352120048.db2.gz BMGWDAIDKHFKHD-UHFFFAOYSA-N 0 0 278.308 2.739 20 5 CFBDRN O=C(c1coc(C2CC2)n1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000614395049 352088811 /nfs/dbraw/zinc/08/88/11/352088811.db2.gz UALHEGXWEZXDQM-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN COc1ccc(C(=O)N2CC[C@@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000614672464 352109831 /nfs/dbraw/zinc/10/98/31/352109831.db2.gz SZKFOLUVGGWAJA-CMPLNLGQSA-N 0 0 290.319 2.618 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])s2)CC[C@H]1C ZINC000615179129 352163573 /nfs/dbraw/zinc/16/35/73/352163573.db2.gz GFWMYJNXZOVVEK-RKDXNWHRSA-N 0 0 256.327 2.518 20 5 CFBDRN Cc1cccc(C[C@H](CO)Nc2ccc([N+](=O)[O-])s2)c1 ZINC000615528138 352203948 /nfs/dbraw/zinc/20/39/48/352203948.db2.gz YRVGJWBEXZEVHB-GFCCVEGCSA-N 0 0 292.360 2.980 20 5 CFBDRN C=C/C(C)=C/CC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000616031625 352276054 /nfs/dbraw/zinc/27/60/54/352276054.db2.gz LKLCINVNPHPIBS-DHZHZOJOSA-N 0 0 260.293 2.733 20 5 CFBDRN Cc1ccc(CN(C)c2sccc2[N+](=O)[O-])nc1 ZINC000616064641 352280889 /nfs/dbraw/zinc/28/08/89/352280889.db2.gz HRTWQJUWWVFYLZ-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2)nc1 ZINC000616113865 352285742 /nfs/dbraw/zinc/28/57/42/352285742.db2.gz IMYNOXIBWAWVTK-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN Cc1c(CNC(=O)N2CC(C(C)C)C2)cccc1[N+](=O)[O-] ZINC000616313112 352326052 /nfs/dbraw/zinc/32/60/52/352326052.db2.gz QSCRSVKRAYKMMU-UHFFFAOYSA-N 0 0 291.351 2.701 20 5 CFBDRN Cc1ccc2c(c1)CCN(c1cccnc1[N+](=O)[O-])C2 ZINC000616383560 352337386 /nfs/dbraw/zinc/33/73/86/352337386.db2.gz NXAYSHUFAQOMLP-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000617350016 352548453 /nfs/dbraw/zinc/54/84/53/352548453.db2.gz YKCUGDKFONETEX-SECBINFHSA-N 0 0 266.297 2.522 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H]2CCC[C@H]21 ZINC000617410455 352559438 /nfs/dbraw/zinc/55/94/38/352559438.db2.gz ONMIMHKIVKMPGK-ZWNOBZJWSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1cccc(NCCc2nccs2)c1[N+](=O)[O-] ZINC000134918277 187781552 /nfs/dbraw/zinc/78/15/52/187781552.db2.gz JDIQIHOFOWYXKV-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN CC(C)CCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000617687292 352628663 /nfs/dbraw/zinc/62/86/63/352628663.db2.gz JHQYAESWJNTRRD-LLVKDONJSA-N 0 0 264.325 2.861 20 5 CFBDRN C[C@@H](C(=O)NCCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000617739639 352630874 /nfs/dbraw/zinc/63/08/74/352630874.db2.gz VBSYBCXIMCYCIF-SNVBAGLBSA-N 0 0 262.309 2.615 20 5 CFBDRN CC1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000302455712 539917936 /nfs/dbraw/zinc/91/79/36/539917936.db2.gz QJXDJFIJSWIHAI-UHFFFAOYSA-N 0 0 261.325 2.799 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC1CCC2(CC1)OCCO2 ZINC000271611267 191239922 /nfs/dbraw/zinc/23/99/22/191239922.db2.gz KGVYGYFRZRAGKM-UHFFFAOYSA-N 0 0 296.298 2.832 20 5 CFBDRN CCCCNC(=O)[C@@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271592661 191230020 /nfs/dbraw/zinc/23/00/20/191230020.db2.gz FZTKDVADZJMIJE-NWDGAFQWSA-N 0 0 293.367 2.550 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2nccs2)cc1[N+](=O)[O-] ZINC000618382125 352763435 /nfs/dbraw/zinc/76/34/35/352763435.db2.gz HJLGJUIUDFNMBK-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000618410760 352771298 /nfs/dbraw/zinc/77/12/98/352771298.db2.gz VMPRNYXNLYCYBG-NTSWFWBYSA-N 0 0 251.267 2.830 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC2CC2)c1 ZINC000136305243 187874276 /nfs/dbraw/zinc/87/42/76/187874276.db2.gz RGHJJWRHASHFLZ-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN COc1cc(NCCCOCC2CC2)c([N+](=O)[O-])cc1F ZINC000136406911 187877372 /nfs/dbraw/zinc/87/73/72/187877372.db2.gz NTTVAEOSVNGLOV-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN Cc1ccoc1CN(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000292166987 198188477 /nfs/dbraw/zinc/18/84/77/198188477.db2.gz JQYOPYXZDVSSTG-UHFFFAOYSA-N 0 0 280.305 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)CCc2ccccc2)cc1 ZINC000206177893 539933608 /nfs/dbraw/zinc/93/36/08/539933608.db2.gz LPRSRUWATBBXJO-UHFFFAOYSA-N 0 0 291.328 2.611 20 5 CFBDRN CCCc1nc(C)c(CNc2ncccc2[N+](=O)[O-])o1 ZINC000292179241 198192696 /nfs/dbraw/zinc/19/26/96/198192696.db2.gz FFJYISCBVRDOSP-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN Cc1cccc(NC(=O)[C@@H](C)n2cc([N+](=O)[O-])cn2)c1C ZINC000144711580 187912283 /nfs/dbraw/zinc/91/22/83/187912283.db2.gz XRABVXHKSQUMIQ-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN CC1(C)CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000618972389 352923571 /nfs/dbraw/zinc/92/35/71/352923571.db2.gz UPNJBLYQIXXVLD-UHFFFAOYSA-N 0 0 267.260 2.608 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1cscn1 ZINC000148528274 187917711 /nfs/dbraw/zinc/91/77/11/187917711.db2.gz UMAPRYKNRUBQKU-UHFFFAOYSA-N 0 0 270.701 2.712 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC(C)(F)C1 ZINC000619327715 352991363 /nfs/dbraw/zinc/99/13/63/352991363.db2.gz SGAFPSHTFPVRQN-UHFFFAOYSA-N 0 0 281.287 2.787 20 5 CFBDRN CC1(C)CN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000619146789 352965750 /nfs/dbraw/zinc/96/57/50/352965750.db2.gz HOZHGJVAWOYIOE-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN CCc1ccc(C(=O)N2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000619147010 352965800 /nfs/dbraw/zinc/96/58/00/352965800.db2.gz LJBSNAINAXHBOB-UHFFFAOYSA-N 0 0 262.309 2.639 20 5 CFBDRN CC(C)(C(=O)N1CC(C)(C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000619146600 352966044 /nfs/dbraw/zinc/96/60/44/352966044.db2.gz CQIKOIABYHXBTK-UHFFFAOYSA-N 0 0 294.326 2.880 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)C1 ZINC000619147434 352966090 /nfs/dbraw/zinc/96/60/90/352966090.db2.gz VXCAMTHPPSSGPJ-UHFFFAOYSA-N 0 0 289.335 2.651 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC(C)(F)C2)c1 ZINC000619419862 353019574 /nfs/dbraw/zinc/01/95/74/353019574.db2.gz OCUYFVJTJMGNIX-UHFFFAOYSA-N 0 0 284.312 2.501 20 5 CFBDRN Cc1c(CC(=O)NC(C)(C)C(F)F)cccc1[N+](=O)[O-] ZINC000619422222 353020089 /nfs/dbraw/zinc/02/00/89/353020089.db2.gz QSFGAOGGFNDMAJ-UHFFFAOYSA-N 0 0 286.278 2.606 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)C(F)F)cc([N+](=O)[O-])c1 ZINC000619421982 353020544 /nfs/dbraw/zinc/02/05/44/353020544.db2.gz QJFAPAKTTIZRFW-UHFFFAOYSA-N 0 0 272.251 2.677 20 5 CFBDRN C[C@H](NC(=O)CCC(F)F)c1cccc([N+](=O)[O-])c1 ZINC000619429921 353022563 /nfs/dbraw/zinc/02/25/63/353022563.db2.gz VWVVTOUYFDQMRN-QMMMGPOBSA-N 0 0 272.251 2.817 20 5 CFBDRN COc1c(C(=O)N2CCC3(CC3)CC2)cccc1[N+](=O)[O-] ZINC000619435869 353023862 /nfs/dbraw/zinc/02/38/62/353023862.db2.gz NJHNBWYIZFCOGF-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN C[C@H](NC(=O)CCC(F)F)c1ccccc1[N+](=O)[O-] ZINC000619441909 353024259 /nfs/dbraw/zinc/02/42/59/353024259.db2.gz CUWBQQKMOMRXIL-QMMMGPOBSA-N 0 0 272.251 2.817 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(F)(F)CC1 ZINC000619457288 353026426 /nfs/dbraw/zinc/02/64/26/353026426.db2.gz ADERVBHKFKWBDL-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H](C)c1ccncc1 ZINC000619484345 353028242 /nfs/dbraw/zinc/02/82/42/353028242.db2.gz OEXLSUXCDNOFGR-SNVBAGLBSA-N 0 0 289.339 2.730 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccc(Cl)o1 ZINC000619474131 353028468 /nfs/dbraw/zinc/02/84/68/353028468.db2.gz DKQPSOAKHMEJFJ-UHFFFAOYSA-N 0 0 284.703 2.978 20 5 CFBDRN CCOc1cc(N2CC(C)(F)C2)ccc1[N+](=O)[O-] ZINC000619500639 353029772 /nfs/dbraw/zinc/02/97/72/353029772.db2.gz SSLDGNFUQGQADW-UHFFFAOYSA-N 0 0 254.261 2.542 20 5 CFBDRN Cc1cc(NC(=O)CCC(F)F)ccc1[N+](=O)[O-] ZINC000619519863 353031405 /nfs/dbraw/zinc/03/14/05/353031405.db2.gz KYFBEHIHZUWUIL-UHFFFAOYSA-N 0 0 258.224 2.887 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CCC(F)F ZINC000619516741 353031634 /nfs/dbraw/zinc/03/16/34/353031634.db2.gz DOBLBAMLSSRVDE-MRVPVSSYSA-N 0 0 284.262 2.918 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1C[C@H](c2ccccc2)C1 ZINC000619548816 353035214 /nfs/dbraw/zinc/03/52/14/353035214.db2.gz TXKDCMLDMGUCQQ-JOCQHMNTSA-N 0 0 269.304 2.770 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c(C)n1)C(C)(C)C ZINC000150968232 187936063 /nfs/dbraw/zinc/93/60/63/187936063.db2.gz SDKMVBBMLDFNLS-LLVKDONJSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1ccoc1CN(C)c1ncc([N+](=O)[O-])s1 ZINC000292228132 198210129 /nfs/dbraw/zinc/21/01/29/198210129.db2.gz INDZABIGHJXMQE-UHFFFAOYSA-N 0 0 253.283 2.589 20 5 CFBDRN Cc1ccoc1CN(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000292259607 198220760 /nfs/dbraw/zinc/22/07/60/198220760.db2.gz PKDXMTTZPWIKTP-UHFFFAOYSA-N 0 0 288.303 2.697 20 5 CFBDRN CC(C)(C(=O)NCc1ccccc1[N+](=O)[O-])c1ccccn1 ZINC000619877158 353069954 /nfs/dbraw/zinc/06/99/54/353069954.db2.gz PYYWIMCUSQDWFP-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN CC[C@@H]1CN(c2sccc2[N+](=O)[O-])CCCO1 ZINC000619589998 353038510 /nfs/dbraw/zinc/03/85/10/353038510.db2.gz NCYPASGBESCHST-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN CSCCCN(C)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000619590006 353038515 /nfs/dbraw/zinc/03/85/15/353038515.db2.gz VFFDXPDWYOTVHG-UHFFFAOYSA-N 0 0 292.364 2.727 20 5 CFBDRN Cn1cc(Nc2ncc(Cl)cc2[N+](=O)[O-])c(C2CC2)n1 ZINC000292281662 198229397 /nfs/dbraw/zinc/22/93/97/198229397.db2.gz JQCKTXXVGSSRSO-UHFFFAOYSA-N 0 0 293.714 2.998 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@@H]3CCC[C@@H]3C2)cc1[N+](=O)[O-] ZINC000152266716 188005625 /nfs/dbraw/zinc/00/56/25/188005625.db2.gz CPHYDHCGTZIOSH-BETUJISGSA-N 0 0 274.320 2.775 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000292314598 198241115 /nfs/dbraw/zinc/24/11/15/198241115.db2.gz VMSNUENMDNSLRN-QMMMGPOBSA-N 0 0 286.715 2.956 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000230904983 539968465 /nfs/dbraw/zinc/96/84/65/539968465.db2.gz SNIXYPJCNQZMCA-CYBMUJFWSA-N 0 0 282.340 2.812 20 5 CFBDRN CCCCCC(C)(C)CNC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000153423323 188081940 /nfs/dbraw/zinc/08/19/40/188081940.db2.gz FYWXVLJFCXBYIG-UHFFFAOYSA-N 0 0 282.344 2.654 20 5 CFBDRN C[C@](O)(CNc1ncc(Cl)cc1[N+](=O)[O-])c1ccco1 ZINC000153839210 188107377 /nfs/dbraw/zinc/10/73/77/188107377.db2.gz JFUYELBMMGDGTC-LBPRGKRZSA-N 0 0 297.698 2.556 20 5 CFBDRN C[C@H](O)C1CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000153899639 188110960 /nfs/dbraw/zinc/11/09/60/188110960.db2.gz HUCWNMPSWKOGAR-JTQLQIEISA-N 0 0 298.770 2.841 20 5 CFBDRN CC1(C)C[C@H]1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000154492337 188145422 /nfs/dbraw/zinc/14/54/22/188145422.db2.gz XMEJBJFJGLIEPZ-LURJTMIESA-N 0 0 270.235 2.858 20 5 CFBDRN CC[C@@H](C)OCC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000154181893 188127785 /nfs/dbraw/zinc/12/77/85/188127785.db2.gz XZUOJKZHARHELY-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN COC(=O)[C@H](C)SCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000154423789 188141402 /nfs/dbraw/zinc/14/14/02/188141402.db2.gz YWSFBKCFYULNKJ-ZETCQYMHSA-N 0 0 296.304 2.531 20 5 CFBDRN C[C@H]1C[C@@H](O)CCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000292450605 198293892 /nfs/dbraw/zinc/29/38/92/198293892.db2.gz PJKHOYXMSJUQOL-CABZTGNLSA-N 0 0 284.743 2.593 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCO[C@@H]1CCCCO1 ZINC000292481685 198305552 /nfs/dbraw/zinc/30/55/52/198305552.db2.gz ZNPNVJKTVODWIH-GFCCVEGCSA-N 0 0 284.287 2.689 20 5 CFBDRN CS[C@@H](CO)[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292508951 198313942 /nfs/dbraw/zinc/31/39/42/198313942.db2.gz GHBRCUXPFREKLH-PELKAZGASA-N 0 0 288.344 2.567 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000156259202 188252184 /nfs/dbraw/zinc/25/21/84/188252184.db2.gz GWJWSMQEOPWQRK-FZMZJTMJSA-N 0 0 292.335 2.669 20 5 CFBDRN CCCC(=O)NCCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000156902563 188286475 /nfs/dbraw/zinc/28/64/75/188286475.db2.gz DZDLGPGULBEKIT-UHFFFAOYSA-N 0 0 279.340 2.622 20 5 CFBDRN CCC[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000157066162 188295171 /nfs/dbraw/zinc/29/51/71/188295171.db2.gz UOUGHCLWSCBLRY-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292526041 198320809 /nfs/dbraw/zinc/32/08/09/198320809.db2.gz HIQLGQDJVWEYBU-RKDXNWHRSA-N 0 0 256.277 2.878 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000157399838 188315036 /nfs/dbraw/zinc/31/50/36/188315036.db2.gz XFVSJNPGHSHEGY-SSDOTTSWSA-N 0 0 284.262 2.744 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000157640924 188330004 /nfs/dbraw/zinc/33/00/04/188330004.db2.gz OJHDUJHUUXWXGV-TZMCWYRMSA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1cc(N(C)Cc2ccon2)c(F)cc1[N+](=O)[O-] ZINC000292653707 198364103 /nfs/dbraw/zinc/36/41/03/198364103.db2.gz PKJMRDRQKPHZBP-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN CC[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000158714503 188390869 /nfs/dbraw/zinc/39/08/69/188390869.db2.gz VGDQWIMEOOPAKK-OAHLLOKOSA-N 0 0 299.330 2.800 20 5 CFBDRN CN(C(=O)CC[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000158536905 188380989 /nfs/dbraw/zinc/38/09/89/188380989.db2.gz IXDNKUHTIIFNPD-CQSZACIVSA-N 0 0 292.335 2.907 20 5 CFBDRN CN(C(=O)C1[C@@H]2CCCC[C@H]12)c1cccc([N+](=O)[O-])c1 ZINC000158627610 188386474 /nfs/dbraw/zinc/38/64/74/188386474.db2.gz LPQRTLXJMCDVRU-PBWFPOADSA-N 0 0 274.320 2.994 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000271699829 191282002 /nfs/dbraw/zinc/28/20/02/191282002.db2.gz SHZSENLUXZDXGT-TUAOUCFPSA-N 0 0 291.351 2.953 20 5 CFBDRN CCOCCC1(CNC(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000158764921 188392481 /nfs/dbraw/zinc/39/24/81/188392481.db2.gz YAZOJRXVXDYWCP-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000160331014 188466623 /nfs/dbraw/zinc/46/66/23/188466623.db2.gz ZVNRUVHCUSDVCF-JSGCOSHPSA-N 0 0 292.335 2.973 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000435268717 535247333 /nfs/dbraw/zinc/24/73/33/535247333.db2.gz FSLKUMUQDGUPNV-LBPRGKRZSA-N 0 0 288.347 2.952 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000295220509 199407427 /nfs/dbraw/zinc/40/74/27/199407427.db2.gz AKURDLZJFJWDQV-ZJUUUORDSA-N 0 0 262.309 2.818 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000446336034 535249330 /nfs/dbraw/zinc/24/93/30/535249330.db2.gz CWCGDYSQJSHHLS-CYBMUJFWSA-N 0 0 276.336 2.642 20 5 CFBDRN CC(C)(C)C[C@@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000161406210 188525710 /nfs/dbraw/zinc/52/57/10/188525710.db2.gz TYYAAKNULMNGPM-QMMMGPOBSA-N 0 0 287.747 2.852 20 5 CFBDRN C[C@@H](CO)Sc1ccc([N+](=O)[O-])cc1Br ZINC000162499947 188576046 /nfs/dbraw/zinc/57/60/46/188576046.db2.gz XPBFUCSLGXWAQL-LURJTMIESA-N 0 0 292.154 2.830 20 5 CFBDRN CC/C=C\CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000452613679 535257459 /nfs/dbraw/zinc/25/74/59/535257459.db2.gz QAQFFRQVPNCZSL-UMCURTJPSA-N 0 0 280.299 2.920 20 5 CFBDRN CSCCC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163771790 188615017 /nfs/dbraw/zinc/61/50/17/188615017.db2.gz JPRVASLEVNRCHQ-UHFFFAOYSA-N 0 0 294.376 2.839 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H](C)C2)n1 ZINC000166672475 188638535 /nfs/dbraw/zinc/63/85/35/188638535.db2.gz JJFVTFAUDOKBKR-NXEZZACHSA-N 0 0 293.323 2.767 20 5 CFBDRN C[C@@H](NC(=O)CC[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000271757785 191308561 /nfs/dbraw/zinc/30/85/61/191308561.db2.gz BZKQMYCYWXEJPF-VXGBXAGGSA-N 0 0 292.335 2.731 20 5 CFBDRN O=C1CC[C@@H](COc2cccc(Cl)c2[N+](=O)[O-])CCN1 ZINC000295409579 199495219 /nfs/dbraw/zinc/49/52/19/199495219.db2.gz SNDPCSNKVKMYEO-SECBINFHSA-N 0 0 298.726 2.543 20 5 CFBDRN COC1(C)CCN(Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000271764112 191313399 /nfs/dbraw/zinc/31/33/99/191313399.db2.gz PJSBKHDRWGHGOE-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN CC(C)[C@@H](C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000171929262 188768493 /nfs/dbraw/zinc/76/84/93/188768493.db2.gz DBYHBRDCZRZPIK-LLVKDONJSA-N 0 0 264.325 2.546 20 5 CFBDRN Cc1c(NC(=O)NOC2CCCC2)cccc1[N+](=O)[O-] ZINC000271771715 191317116 /nfs/dbraw/zinc/31/71/16/191317116.db2.gz GAZZMWKWKGCNGP-UHFFFAOYSA-N 0 0 279.296 2.899 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])O1 ZINC000271778157 191321442 /nfs/dbraw/zinc/32/14/42/191321442.db2.gz OTOOYNKDWNNFBN-GYSYKLTISA-N 0 0 292.335 2.730 20 5 CFBDRN CN(C(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000172314150 188794749 /nfs/dbraw/zinc/79/47/49/188794749.db2.gz CWVUSTMHIXLZKT-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN CSc1ccc(C(=O)N(C)C2CCC2)cc1[N+](=O)[O-] ZINC000172694389 188822031 /nfs/dbraw/zinc/82/20/31/188822031.db2.gz LENYZOLXVFYKBQ-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN C[C@H](c1ccccn1)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172899025 188833432 /nfs/dbraw/zinc/83/34/32/188833432.db2.gz FIYOVFHOPXTFFE-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN CSCC[C@@H](C)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173076914 188840982 /nfs/dbraw/zinc/84/09/82/188840982.db2.gz MDGOFBZCGSMVOT-LLVKDONJSA-N 0 0 296.392 2.737 20 5 CFBDRN CCc1ccc(C(=O)N(CC)C2CC2)cc1[N+](=O)[O-] ZINC000173288514 188848258 /nfs/dbraw/zinc/84/82/58/188848258.db2.gz OTWZOYSDVWRBIH-UHFFFAOYSA-N 0 0 262.309 2.782 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000271829441 191353162 /nfs/dbraw/zinc/35/31/62/191353162.db2.gz WTPNREZELVRMLG-KOLCDFICSA-N 0 0 262.309 2.818 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000271829474 191353354 /nfs/dbraw/zinc/35/33/54/191353354.db2.gz RRDPBWNIWYEPGY-ZJUUUORDSA-N 0 0 262.309 2.818 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000174044256 188879816 /nfs/dbraw/zinc/87/98/16/188879816.db2.gz PCOMQLCEGMSQOL-BETUJISGSA-N 0 0 274.320 2.631 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000271887449 191391059 /nfs/dbraw/zinc/39/10/59/191391059.db2.gz NTLMYIPMZVVDKC-MWLCHTKSSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1nc(N[C@H](C)[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272028384 191473171 /nfs/dbraw/zinc/47/31/71/191473171.db2.gz REGRICWIAZJWMM-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN CC(C)c1ccccc1OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000272446626 191724975 /nfs/dbraw/zinc/72/49/75/191724975.db2.gz VKUBPQDDQJOYGN-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN C[C@@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C(C)(F)F ZINC000293544012 198676343 /nfs/dbraw/zinc/67/63/43/198676343.db2.gz SHZRMDLBKAJHNA-LURJTMIESA-N 0 0 277.198 2.931 20 5 CFBDRN CCCCCN(CCCOC)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000272551207 191785211 /nfs/dbraw/zinc/78/52/11/191785211.db2.gz GZQVWTVNEXMELH-UHFFFAOYSA-N 0 0 298.339 2.857 20 5 CFBDRN Cc1cc(NC(=O)[C@]2(C)CCCOC2)ccc1[N+](=O)[O-] ZINC000272811706 191928964 /nfs/dbraw/zinc/92/89/64/191928964.db2.gz WCZMIOXYDHCETH-CQSZACIVSA-N 0 0 278.308 2.658 20 5 CFBDRN CCC[C@@H](CNc1cccc(OC)c1[N+](=O)[O-])OC ZINC000293613266 198702893 /nfs/dbraw/zinc/70/28/93/198702893.db2.gz HUJYIGFYOSCVPU-JTQLQIEISA-N 0 0 268.313 2.830 20 5 CFBDRN Cc1cnc(COC(=O)c2cc([N+](=O)[O-])c(C)s2)o1 ZINC000174730891 188915453 /nfs/dbraw/zinc/91/54/53/188915453.db2.gz YSNBEYLHQFIJSW-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN Cc1nnc([C@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000273517765 192184981 /nfs/dbraw/zinc/18/49/81/192184981.db2.gz RIUWNOGBRJISKH-ZETCQYMHSA-N 0 0 279.325 2.631 20 5 CFBDRN C[C@H]1C[C@@H](CCNC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000273563967 192205853 /nfs/dbraw/zinc/20/58/53/192205853.db2.gz NMTVLIAYNBWSHY-RYUDHWBXSA-N 0 0 292.335 2.530 20 5 CFBDRN Cc1nc([C@@H](C)Sc2ncccc2[N+](=O)[O-])no1 ZINC000295814118 199676684 /nfs/dbraw/zinc/67/66/84/199676684.db2.gz UODCWTRAIPIRKL-ZCFIWIBFSA-N 0 0 266.282 2.535 20 5 CFBDRN Cc1cc(C)cc(CCNc2ncc([N+](=O)[O-])cn2)c1 ZINC000273621589 192234467 /nfs/dbraw/zinc/23/44/67/192234467.db2.gz OTDWAUSWHPPFEA-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CC(C)n1ccc(CN2CCc3c2cccc3[N+](=O)[O-])n1 ZINC000273627131 192236692 /nfs/dbraw/zinc/23/66/92/192236692.db2.gz RNWKOQYYYFLUAV-UHFFFAOYSA-N 0 0 286.335 2.935 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](Cc2ccccc2)C2CC2)nc1 ZINC000273619131 192233309 /nfs/dbraw/zinc/23/33/09/192233309.db2.gz OBJPUKNBGSRSLD-AWEZNQCLSA-N 0 0 284.319 2.818 20 5 CFBDRN Cc1csc([C@H](Nc2ncc([N+](=O)[O-])cn2)C2CC2)n1 ZINC000273674864 192257426 /nfs/dbraw/zinc/25/74/26/192257426.db2.gz YDOHNJJUFFQVJW-SNVBAGLBSA-N 0 0 291.336 2.713 20 5 CFBDRN CCC[C@@H](CNc1ncc([N+](=O)[O-])cc1Cl)OC ZINC000293719443 198745429 /nfs/dbraw/zinc/74/54/29/198745429.db2.gz QIWQFSFMKFDXCO-VIFPVBQESA-N 0 0 273.720 2.870 20 5 CFBDRN CSC[C@H](C)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273687392 192262130 /nfs/dbraw/zinc/26/21/30/192262130.db2.gz LSSADXAQLBZPIL-ZETCQYMHSA-N 0 0 294.336 2.694 20 5 CFBDRN Nc1ccc(-c2noc(C[C@H]3C=CCC3)n2)cc1[N+](=O)[O-] ZINC000273721189 192276573 /nfs/dbraw/zinc/27/65/73/192276573.db2.gz QPLVRNMUMHVDAC-VIFPVBQESA-N 0 0 286.291 2.736 20 5 CFBDRN CC[C@@H](C)OCc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273762424 192296418 /nfs/dbraw/zinc/29/64/18/192296418.db2.gz WOINRDVQOARLMU-MRVPVSSYSA-N 0 0 292.295 2.542 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1nc(C)cnc1C ZINC000273813762 192317857 /nfs/dbraw/zinc/31/78/57/192317857.db2.gz BKSLAIIFTMZWPR-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN C[C@]12CN(c3ccc([N+](=O)[O-])cn3)C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000568356548 290548857 /nfs/dbraw/zinc/54/88/57/290548857.db2.gz YHNGVCULIBITPX-REJLFOLJSA-N 0 0 273.336 2.862 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000568751306 290568691 /nfs/dbraw/zinc/56/86/91/290568691.db2.gz GHGBDPPTAGQZGS-GHMZBOCLSA-N 0 0 295.314 2.647 20 5 CFBDRN C[C@H]1CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000294136703 198922742 /nfs/dbraw/zinc/92/27/42/198922742.db2.gz OCYONDPXELLOGW-UWVGGRQHSA-N 0 0 280.349 2.554 20 5 CFBDRN CCC[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000175679838 188962088 /nfs/dbraw/zinc/96/20/88/188962088.db2.gz MZGBQGVGLPQDEF-MRVPVSSYSA-N 0 0 251.286 2.673 20 5 CFBDRN CCCN(C[C@@H]1CCCCO1)c1ncccc1[N+](=O)[O-] ZINC000301296475 283280226 /nfs/dbraw/zinc/28/02/26/283280226.db2.gz VUPNQNYPSSYEGA-LBPRGKRZSA-N 0 0 279.340 2.775 20 5 CFBDRN Cc1c(NC(=O)CSC(F)F)cccc1[N+](=O)[O-] ZINC000294359213 199011484 /nfs/dbraw/zinc/01/14/84/199011484.db2.gz MKYYKBGMBNOTSI-UHFFFAOYSA-N 0 0 276.264 2.798 20 5 CFBDRN C[C@H]1C[C@H](C)N(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000408402293 291118355 /nfs/dbraw/zinc/11/83/55/291118355.db2.gz LLMVFBXYFPTUOE-YUMQZZPRSA-N 0 0 255.705 2.878 20 5 CFBDRN CC(=O)c1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000294469844 199052196 /nfs/dbraw/zinc/05/21/96/199052196.db2.gz QAHGGIOQNOCSBI-UHFFFAOYSA-N 0 0 278.308 2.663 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)C(C)(F)F ZINC000294488075 199059370 /nfs/dbraw/zinc/05/93/70/199059370.db2.gz IUIHILHLTPOCLX-SSDOTTSWSA-N 0 0 289.234 2.800 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000294526812 199072578 /nfs/dbraw/zinc/07/25/78/199072578.db2.gz USGOJLJDCFFIEG-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN CC(C)(CCC(=O)NC1(c2ccccc2)CC1)[N+](=O)[O-] ZINC000294582076 199094538 /nfs/dbraw/zinc/09/45/38/199094538.db2.gz OVLVRKLDLCGVII-UHFFFAOYSA-N 0 0 276.336 2.627 20 5 CFBDRN CC(C)N(Cc1ccco1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294622124 199110989 /nfs/dbraw/zinc/11/09/89/199110989.db2.gz FIJBCPTUESMDBL-UHFFFAOYSA-N 0 0 282.340 2.852 20 5 CFBDRN Cc1ccccc1OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000296163983 199803486 /nfs/dbraw/zinc/80/34/86/199803486.db2.gz YLLBICIBDWCKDU-UHFFFAOYSA-N 0 0 251.282 2.736 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H]1CC1(F)F ZINC000294638719 199117758 /nfs/dbraw/zinc/11/77/58/199117758.db2.gz UVZXLIHPZHLYQT-LBPRGKRZSA-N 0 0 298.289 2.987 20 5 CFBDRN CC1(C)OCC[C@@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000296225475 199823886 /nfs/dbraw/zinc/82/38/86/199823886.db2.gz HCGMPXCFHLJGBU-VIFPVBQESA-N 0 0 271.704 2.623 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H]1CC12CC2 ZINC000418977510 236418899 /nfs/dbraw/zinc/41/88/99/236418899.db2.gz KFSVUCYAKCJFOO-ZETCQYMHSA-N 0 0 253.689 2.855 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c(N)c1F)CC(C)C ZINC000418983944 236420037 /nfs/dbraw/zinc/42/00/37/236420037.db2.gz DBHFZJTVQZNTLS-SECBINFHSA-N 0 0 285.319 2.789 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])cc1C)CC(C)C ZINC000418982895 236420587 /nfs/dbraw/zinc/42/05/87/236420587.db2.gz BJHLSUUUTGUOHV-LBPRGKRZSA-N 0 0 267.329 2.771 20 5 CFBDRN CCCNC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000294760995 199169929 /nfs/dbraw/zinc/16/99/29/199169929.db2.gz LSKQQMXTGQBJGS-UHFFFAOYSA-N 0 0 256.689 2.696 20 5 CFBDRN COCc1nsc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000294831054 199202516 /nfs/dbraw/zinc/20/25/16/199202516.db2.gz ABAZNEWTBCTIME-QMMMGPOBSA-N 0 0 294.336 2.766 20 5 CFBDRN CS[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000294860669 199218739 /nfs/dbraw/zinc/21/87/39/199218739.db2.gz ABFBIKISYORSNN-SECBINFHSA-N 0 0 256.302 2.676 20 5 CFBDRN C[C@H](CCO)CCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000294846988 199211122 /nfs/dbraw/zinc/21/11/22/199211122.db2.gz BPPZWWWOAJDPMZ-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H](CCCO)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000294877302 199227043 /nfs/dbraw/zinc/22/70/43/199227043.db2.gz DTUZBWPOAYJHQV-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@H](CCCO)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000294866285 199220559 /nfs/dbraw/zinc/22/05/59/199220559.db2.gz CRTZLPWZYBPNGA-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1ncsc1CCNc1ncc(C)cc1[N+](=O)[O-] ZINC000294913933 199245297 /nfs/dbraw/zinc/24/52/97/199245297.db2.gz FZSXCPPANHMFMV-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CO[C@H](CNc1nccc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000294900729 199239569 /nfs/dbraw/zinc/23/95/69/199239569.db2.gz ICUSMYDXZNVLLB-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)CCC1CCC1 ZINC000176084545 188978404 /nfs/dbraw/zinc/97/84/04/188978404.db2.gz GHWLVJSIBDJUBF-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN CCn1ccnc1CNc1c(C)cccc1[N+](=O)[O-] ZINC000294920522 199249565 /nfs/dbraw/zinc/24/95/65/199249565.db2.gz GPPQSNTWIPVXDR-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCCC2(F)F)nc1 ZINC000294944607 199263811 /nfs/dbraw/zinc/26/38/11/199263811.db2.gz LUXKIJZERYQNDW-MRVPVSSYSA-N 0 0 272.255 2.622 20 5 CFBDRN C[C@H](NCc1nc(C2CC2)no1)c1ccc([N+](=O)[O-])cc1 ZINC000294947248 199264365 /nfs/dbraw/zinc/26/43/65/199264365.db2.gz AMCPQRLMTSBGKC-VIFPVBQESA-N 0 0 288.307 2.706 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCc1ccco1 ZINC000421418630 236809359 /nfs/dbraw/zinc/80/93/59/236809359.db2.gz HZPOSOOSNAVYRP-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN CC/C=C/CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000296411863 199883374 /nfs/dbraw/zinc/88/33/74/199883374.db2.gz VDHDCXPJVYYKQI-SNAWJCMRSA-N 0 0 263.297 2.991 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC[C@@H](C)F ZINC000421575856 236845125 /nfs/dbraw/zinc/84/51/25/236845125.db2.gz OLISJMKXBMRQND-SNVBAGLBSA-N 0 0 268.288 2.690 20 5 CFBDRN CCC(C)(C)CNC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000192224588 540435309 /nfs/dbraw/zinc/43/53/09/540435309.db2.gz XDFVCKZMQREBEW-UHFFFAOYSA-N 0 0 290.323 2.637 20 5 CFBDRN Cc1cnc(COC(=O)CSC2CC2)c(C)c1[N+](=O)[O-] ZINC000297090567 200082443 /nfs/dbraw/zinc/08/24/43/200082443.db2.gz VFBSDWCRHIYSSY-UHFFFAOYSA-N 0 0 296.348 2.545 20 5 CFBDRN CC[C@@H](COC)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297196400 200111641 /nfs/dbraw/zinc/11/16/41/200111641.db2.gz RCJYNTHMZCXEQX-NSHDSACASA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1nc(N[C@H]2C[C@H]2Cc2ccccc2)ncc1[N+](=O)[O-] ZINC000297299863 200140135 /nfs/dbraw/zinc/14/01/35/200140135.db2.gz YMBNFWDBEFMSAJ-OLZOCXBDSA-N 0 0 284.319 2.736 20 5 CFBDRN Cc1nc(Sc2nnc(C3CC3)s2)ncc1[N+](=O)[O-] ZINC000297420918 200184415 /nfs/dbraw/zinc/18/44/15/200184415.db2.gz HDUVWKYLPCGXSF-UHFFFAOYSA-N 0 0 295.349 2.573 20 5 CFBDRN C[C@@H](NC(=O)CSC1CC1)c1ccccc1[N+](=O)[O-] ZINC000297366722 200165163 /nfs/dbraw/zinc/16/51/63/200165163.db2.gz CMRPEZYHXWRXFK-SECBINFHSA-N 0 0 280.349 2.668 20 5 CFBDRN CCS[C@@H]1CCC[C@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000297457026 200197008 /nfs/dbraw/zinc/19/70/08/200197008.db2.gz JWPVWLJPAZIGBF-MWLCHTKSSA-N 0 0 282.369 2.779 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC(CF)CC2)c1F ZINC000297779362 200279154 /nfs/dbraw/zinc/27/91/54/200279154.db2.gz QNUBUWZDPXVADD-UHFFFAOYSA-N 0 0 298.289 2.864 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000297835490 200289574 /nfs/dbraw/zinc/28/95/74/200289574.db2.gz NRUJDYCCUFCOBN-GFCCVEGCSA-N 0 0 266.297 2.657 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(F)c([N+](=O)[O-])c1)[N+](=O)[O-] ZINC000297852266 200291684 /nfs/dbraw/zinc/29/16/84/200291684.db2.gz OLZNJWFJAYDTPN-UHFFFAOYSA-N 0 0 299.258 2.508 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000297935184 200306651 /nfs/dbraw/zinc/30/66/51/200306651.db2.gz TTYSHFWYPVRKDO-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000297980365 200312533 /nfs/dbraw/zinc/31/25/33/200312533.db2.gz HEJCUKHCJWDLDJ-KGLIPLIRSA-N 0 0 292.335 2.763 20 5 CFBDRN CCCOc1ccc(OCCn2cnc([N+](=O)[O-])c2)cc1 ZINC000298165425 200349362 /nfs/dbraw/zinc/34/93/62/200349362.db2.gz JKXHURFLYALYCE-UHFFFAOYSA-N 0 0 291.307 2.659 20 5 CFBDRN CCCCN(C1CC1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000298307290 200389896 /nfs/dbraw/zinc/38/98/96/200389896.db2.gz XWXIVZAQKXLUNE-UHFFFAOYSA-N 0 0 298.364 2.548 20 5 CFBDRN CC1(CNC(=O)NCc2ccccc2[N+](=O)[O-])CCC1 ZINC000176626376 189002117 /nfs/dbraw/zinc/00/21/17/189002117.db2.gz IFNUPDBZRHLGJK-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN C[C@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)[C@H]1C ZINC000177011744 189020706 /nfs/dbraw/zinc/02/07/06/189020706.db2.gz PSXIPNUUSHXHTQ-YUMQZZPRSA-N 0 0 254.311 2.527 20 5 CFBDRN CO[C@H](C)c1noc(COc2cccc([N+](=O)[O-])c2C)n1 ZINC000177255280 189039338 /nfs/dbraw/zinc/03/93/38/189039338.db2.gz YBDLIHMWNGLATI-SECBINFHSA-N 0 0 293.279 2.573 20 5 CFBDRN CCCC[C@H](CCC)NC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000177296603 189042566 /nfs/dbraw/zinc/04/25/66/189042566.db2.gz RPANGVVEZMQZLB-LBPRGKRZSA-N 0 0 296.371 2.657 20 5 CFBDRN COC[C@H](NCc1csc([N+](=O)[O-])c1)c1ccco1 ZINC000177572882 189058097 /nfs/dbraw/zinc/05/80/97/189058097.db2.gz KJWYMRURAMOEAV-JTQLQIEISA-N 0 0 282.321 2.727 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1CCC[C@H](CO)C1 ZINC000298587786 200477301 /nfs/dbraw/zinc/47/73/01/200477301.db2.gz LZOPDJVADLTSET-UWVGGRQHSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000298670341 200499847 /nfs/dbraw/zinc/49/98/47/200499847.db2.gz GVINQBKFPDAWNA-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H](NC(=O)C[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000177786808 189069725 /nfs/dbraw/zinc/06/97/25/189069725.db2.gz ZIOLLBPCRQRRGF-ZIAGYGMSSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000298744824 200523767 /nfs/dbraw/zinc/52/37/67/200523767.db2.gz DHXQTZMDBNTLKR-WCBMZHEXSA-N 0 0 274.276 2.727 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000177864830 189074971 /nfs/dbraw/zinc/07/49/71/189074971.db2.gz JYRIWQYZGQLMLF-SNVBAGLBSA-N 0 0 289.291 2.691 20 5 CFBDRN CS[C@@H](C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177873165 189076191 /nfs/dbraw/zinc/07/61/91/189076191.db2.gz ZGZGXHLRHXGILY-ZJUUUORDSA-N 0 0 282.365 2.832 20 5 CFBDRN CS[C@H](C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177873151 189076221 /nfs/dbraw/zinc/07/62/21/189076221.db2.gz ZGZGXHLRHXGILY-VHSXEESVSA-N 0 0 282.365 2.832 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(CC)C[C@H](C)CC)c1[N+](=O)[O-] ZINC000177993799 189084254 /nfs/dbraw/zinc/08/42/54/189084254.db2.gz XVTDFCIKLRDHIY-SNVBAGLBSA-N 0 0 296.371 2.779 20 5 CFBDRN CCCN(CCC)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000178145739 189093099 /nfs/dbraw/zinc/09/30/99/189093099.db2.gz KNPMNQPHHAERLQ-UHFFFAOYSA-N 0 0 279.340 2.748 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCOc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000178160045 189094221 /nfs/dbraw/zinc/09/42/21/189094221.db2.gz NELUEXGVHZLRDA-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1c([C@H](C)Nc2nc(C)ccc2[N+](=O)[O-])cnn1C ZINC000178168087 189094956 /nfs/dbraw/zinc/09/49/56/189094956.db2.gz COGCIMWXNCHOSS-VIFPVBQESA-N 0 0 275.312 2.513 20 5 CFBDRN Cc1occc1CN(C)c1nc(C)ccc1[N+](=O)[O-] ZINC000178183617 189096063 /nfs/dbraw/zinc/09/60/63/189096063.db2.gz YDPDBTWAFSUBAT-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN CCOC(=O)[C@@H](CC)Sc1nc(C)ccc1[N+](=O)[O-] ZINC000178243748 189100336 /nfs/dbraw/zinc/10/03/36/189100336.db2.gz RLIBFLRTWJDZMM-SNVBAGLBSA-N 0 0 284.337 2.732 20 5 CFBDRN CCc1cnc(CN(C)c2nc(C)ccc2[N+](=O)[O-])s1 ZINC000178245108 189100347 /nfs/dbraw/zinc/10/03/47/189100347.db2.gz GTPNMDYEBFBEAL-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000178472791 189119354 /nfs/dbraw/zinc/11/93/54/189119354.db2.gz VXWBVCLMCKVQJU-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1c(C(=O)NCC(C)(C)C)cccc1[N+](=O)[O-] ZINC000299111830 200612025 /nfs/dbraw/zinc/61/20/25/200612025.db2.gz UKBNBGTWQYKHFX-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(C)(C)[C@H](O)C(C)C)n1 ZINC000178770581 189144895 /nfs/dbraw/zinc/14/48/95/189144895.db2.gz VXDMQOLKQXIBBQ-GFCCVEGCSA-N 0 0 281.356 2.753 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000178836015 189149284 /nfs/dbraw/zinc/14/92/84/189149284.db2.gz SYPAVKYGKGPEDE-ZETCQYMHSA-N 0 0 295.730 2.858 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3ccc([N+](=O)[O-])c(Cl)c3)C[C@@H]21 ZINC000179333203 189186691 /nfs/dbraw/zinc/18/66/91/189186691.db2.gz ARAPHUAEKWKWMV-AOOOYVTPSA-N 0 0 294.738 2.976 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H]1CC=CCC1 ZINC000179502574 189198458 /nfs/dbraw/zinc/19/84/58/189198458.db2.gz OMXNSOUSOUJGTK-LBPRGKRZSA-N 0 0 299.330 2.844 20 5 CFBDRN CCOc1cccc(N[C@@H]2CCC[C@@H]2CCO)c1[N+](=O)[O-] ZINC000275068120 192901551 /nfs/dbraw/zinc/90/15/51/192901551.db2.gz YKVIJSTWCXCBOO-VXGBXAGGSA-N 0 0 294.351 2.957 20 5 CFBDRN CCc1ccnc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1 ZINC000180151247 189239953 /nfs/dbraw/zinc/23/99/53/189239953.db2.gz OASXIBRKCZCUHH-UHFFFAOYSA-N 0 0 299.330 2.824 20 5 CFBDRN CC(C)Cn1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)cn1 ZINC000180566361 189262501 /nfs/dbraw/zinc/26/25/01/189262501.db2.gz SSKIHQFOPMUSOW-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN O=C1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)CN1c1ccccc1 ZINC000301075528 200907235 /nfs/dbraw/zinc/90/72/35/200907235.db2.gz BOAUNLSVWZIECH-CYBMUJFWSA-N 0 0 297.314 2.812 20 5 CFBDRN Cc1cnc(C)c(NCCNc2ccccc2[N+](=O)[O-])n1 ZINC000301083924 200911395 /nfs/dbraw/zinc/91/13/95/200911395.db2.gz VPZDYBXNZSACPN-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1cc(Nc2ccc(CC(N)=O)cc2)ccc1[N+](=O)[O-] ZINC000301086983 200912833 /nfs/dbraw/zinc/91/28/33/200912833.db2.gz HVTPKUUDNUTBRH-UHFFFAOYSA-N 0 0 285.303 2.675 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccn(-c2ccccc2)n1 ZINC000301091695 200912856 /nfs/dbraw/zinc/91/28/56/200912856.db2.gz MZQBNBXJUQBPTF-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1CC12CCCCC2 ZINC000180720657 189272066 /nfs/dbraw/zinc/27/20/66/189272066.db2.gz UEEROWYYYLARCN-AWEZNQCLSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H](O)c1ccc(F)cc1 ZINC000301214096 200939772 /nfs/dbraw/zinc/93/97/72/200939772.db2.gz YVUHGUNERANMPA-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cc(COC(=O)C[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000181282202 189292665 /nfs/dbraw/zinc/29/26/65/189292665.db2.gz VRWOHEOZKWJWOK-ZDUSSCGKSA-N 0 0 293.319 2.906 20 5 CFBDRN CCOCCCC(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181288542 189292979 /nfs/dbraw/zinc/29/29/79/189292979.db2.gz XVQZFCHUVPZDCG-UHFFFAOYSA-N 0 0 281.308 2.763 20 5 CFBDRN CS[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181301820 189293115 /nfs/dbraw/zinc/29/31/15/189293115.db2.gz PAAJIAFSOLLHDA-VIFPVBQESA-N 0 0 269.322 2.698 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1nccc(C)c1[N+](=O)[O-] ZINC000301287463 200964452 /nfs/dbraw/zinc/96/44/52/200964452.db2.gz MFZNUGNPZXFPTF-MFKMUULPSA-N 0 0 267.329 2.507 20 5 CFBDRN CCN(CC1CC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000301286263 200964785 /nfs/dbraw/zinc/96/47/85/200964785.db2.gz QVQGAMSRMYODBI-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN Cc1sc(C(=O)NCC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000181533905 189299409 /nfs/dbraw/zinc/29/94/09/189299409.db2.gz SWANUFQNINWAAT-JTQLQIEISA-N 0 0 298.364 2.654 20 5 CFBDRN CCCOC(=O)[C@@H](C)N[C@H](CC)c1cccc([N+](=O)[O-])c1 ZINC000181538461 189299546 /nfs/dbraw/zinc/29/95/46/189299546.db2.gz AZDRWCPGSFIBQI-BXUZGUMPSA-N 0 0 294.351 2.977 20 5 CFBDRN COc1cc(N(C)Cc2c(C)noc2C)ccc1[N+](=O)[O-] ZINC000301371603 200990168 /nfs/dbraw/zinc/99/01/68/200990168.db2.gz SWQLSYQVVZDKBU-UHFFFAOYSA-N 0 0 291.307 2.845 20 5 CFBDRN CC(C)OC(=O)[C@H](C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301423589 201003752 /nfs/dbraw/zinc/00/37/52/201003752.db2.gz DOHXYSRTYASNDT-SECBINFHSA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@@H]1CCCCO1 ZINC000301460782 201016151 /nfs/dbraw/zinc/01/61/51/201016151.db2.gz YXGYHGKZAMUHOX-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN CC(C)OCCCC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000181724523 189304841 /nfs/dbraw/zinc/30/48/41/189304841.db2.gz JTDWEUMMVNWGNO-UHFFFAOYSA-N 0 0 280.324 2.763 20 5 CFBDRN Cc1cc(NCc2nccn2CC(C)C)ncc1[N+](=O)[O-] ZINC000301462096 201018311 /nfs/dbraw/zinc/01/83/11/201018311.db2.gz ZKQVKMMUUQVGMT-UHFFFAOYSA-N 0 0 289.339 2.763 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCC[C@@H](O)C2)c1 ZINC000301470413 201019305 /nfs/dbraw/zinc/01/93/05/201019305.db2.gz IXHZQOMHZOAUJD-VHSXEESVSA-N 0 0 298.314 2.706 20 5 CFBDRN CCc1nnc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000181807083 189307125 /nfs/dbraw/zinc/30/71/25/189307125.db2.gz NCFDJPRVJYHJEJ-SNVBAGLBSA-N 0 0 290.323 2.699 20 5 CFBDRN Cc1nn(CCCOc2ccccc2C)cc1[N+](=O)[O-] ZINC000181821861 189307778 /nfs/dbraw/zinc/30/77/78/189307778.db2.gz ZGAAWGIDHJYYMH-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN CO[C@H](C)CCC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000181959369 189310897 /nfs/dbraw/zinc/31/08/97/189310897.db2.gz KEIRPHUGUQNSOC-SNVBAGLBSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])cc2)CCCC[C@@H]1O ZINC000301491438 201026969 /nfs/dbraw/zinc/02/69/69/201026969.db2.gz NMBJZBSUZLKEAE-UONOGXRCSA-N 0 0 264.325 2.948 20 5 CFBDRN CO[C@H](C)CCC(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181984717 189311323 /nfs/dbraw/zinc/31/13/23/189311323.db2.gz ADTMYGNTXILRQX-LLVKDONJSA-N 0 0 281.308 2.762 20 5 CFBDRN CCOc1cc(N2C[C@@H](C)O[C@@H](CC)C2)ccc1[N+](=O)[O-] ZINC000301505078 201034692 /nfs/dbraw/zinc/03/46/92/201034692.db2.gz GOYHUPLSHBKCJS-YPMHNXCESA-N 0 0 294.351 2.997 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCC(=O)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000182432268 189323248 /nfs/dbraw/zinc/32/32/48/189323248.db2.gz KTMUSKNQDWAMRP-TVQRCGJNSA-N 0 0 290.319 2.847 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC(C1CC1)C1CC1 ZINC000301554455 201066528 /nfs/dbraw/zinc/06/65/28/201066528.db2.gz WHGSLSGTHAJFKG-UHFFFAOYSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1cc(N[C@H](CO)C(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000301595035 201088454 /nfs/dbraw/zinc/08/84/54/201088454.db2.gz YURLYNCWQZATJA-LLVKDONJSA-N 0 0 272.732 2.985 20 5 CFBDRN CCC(CC)(CO)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182762139 189333507 /nfs/dbraw/zinc/33/35/07/189333507.db2.gz QNALPQICPHQDPZ-UHFFFAOYSA-N 0 0 295.339 2.576 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000182966889 189340970 /nfs/dbraw/zinc/34/09/70/189340970.db2.gz CINIELBJDZWPDP-HZMBPMFUSA-N 0 0 290.319 2.563 20 5 CFBDRN COc1cc(N[C@@H]2CCCOCC2)c([N+](=O)[O-])cc1F ZINC000182990823 189341635 /nfs/dbraw/zinc/34/16/35/189341635.db2.gz CAWCDOSQKOLRCQ-SECBINFHSA-N 0 0 284.287 2.724 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CCCOCC1 ZINC000183000717 189342094 /nfs/dbraw/zinc/34/20/94/189342094.db2.gz RCFLRXHWPGHLJQ-LBPRGKRZSA-N 0 0 280.324 2.722 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCC1CC1 ZINC000183029548 189343441 /nfs/dbraw/zinc/34/34/41/189343441.db2.gz KYEDEXURNVLZCQ-CSKARUKUSA-N 0 0 260.293 2.524 20 5 CFBDRN Cc1nc(NCCOc2cccc(F)c2)ccc1[N+](=O)[O-] ZINC000301616386 201096551 /nfs/dbraw/zinc/09/65/51/201096551.db2.gz BYBMMFAYDLZWNL-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN CC(C)NC(=O)c1ccc(NC2CC=CC2)c([N+](=O)[O-])c1 ZINC000301619777 201099568 /nfs/dbraw/zinc/09/95/68/201099568.db2.gz DNJGADIXCZSUHM-UHFFFAOYSA-N 0 0 289.335 2.864 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000183251502 189352149 /nfs/dbraw/zinc/35/21/49/189352149.db2.gz UVRSPPIUGFZLQO-CQSZACIVSA-N 0 0 264.325 2.514 20 5 CFBDRN Cc1nc(NCc2nccn2CC(C)C)ccc1[N+](=O)[O-] ZINC000301673948 201129609 /nfs/dbraw/zinc/12/96/09/201129609.db2.gz ROIMEXVYNRKUCB-UHFFFAOYSA-N 0 0 289.339 2.763 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H](Oc2ccncc2)C1 ZINC000301647709 201113552 /nfs/dbraw/zinc/11/35/52/201113552.db2.gz QIDXSBFUTXBIBB-CYBMUJFWSA-N 0 0 285.303 2.648 20 5 CFBDRN O=C(NCCC[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000183421437 189358545 /nfs/dbraw/zinc/35/85/45/189358545.db2.gz JTLLEPGPOBZODX-CQSZACIVSA-N 0 0 292.335 2.674 20 5 CFBDRN Cc1cc(CN(C)Cc2ccc([N+](=O)[O-])cc2C)no1 ZINC000183279606 189353410 /nfs/dbraw/zinc/35/34/10/189353410.db2.gz YETRDXHPODUUOH-UHFFFAOYSA-N 0 0 275.308 2.832 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C)CC(=O)OC(C)(C)C ZINC000183311608 189354331 /nfs/dbraw/zinc/35/43/31/189354331.db2.gz PIKKMHGMDHAQTF-UHFFFAOYSA-N 0 0 294.351 2.677 20 5 CFBDRN CCSCC[C@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183583526 189362898 /nfs/dbraw/zinc/36/28/98/189362898.db2.gz XDXPRBHVHKKVLD-VIFPVBQESA-N 0 0 298.368 2.643 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183588773 189363565 /nfs/dbraw/zinc/36/35/65/189363565.db2.gz NMCZTMWXCBLERK-SNVBAGLBSA-N 0 0 278.312 2.786 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000416081195 291275221 /nfs/dbraw/zinc/27/52/21/291275221.db2.gz QHCUGMMBTJAIPH-GMTAPVOTSA-N 0 0 262.309 2.711 20 5 CFBDRN C[C@@]1(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1F ZINC000424776071 238859045 /nfs/dbraw/zinc/85/90/45/238859045.db2.gz IISWGUVALNLJAQ-CMPLNLGQSA-N 0 0 286.690 2.613 20 5 CFBDRN Cc1nnc(N(Cc2ccccc2[N+](=O)[O-])C2CC2)s1 ZINC000301752539 201176230 /nfs/dbraw/zinc/17/62/30/201176230.db2.gz BNCNMKQOGIAUDZ-UHFFFAOYSA-N 0 0 290.348 2.924 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000184353438 189384412 /nfs/dbraw/zinc/38/44/12/189384412.db2.gz XJBVXAWCSGXTPI-GFCCVEGCSA-N 0 0 276.336 2.786 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000301785157 201195099 /nfs/dbraw/zinc/19/50/99/201195099.db2.gz PBTUCFDGFYWSHQ-QMMMGPOBSA-N 0 0 286.715 2.859 20 5 CFBDRN CN(C[C@@H]1CCC[C@@H]1O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000301815480 201214808 /nfs/dbraw/zinc/21/48/08/201214808.db2.gz DCHGNPKAAOHXPX-ZANVPECISA-N 0 0 284.743 2.845 20 5 CFBDRN CC(C)C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000184468806 189387090 /nfs/dbraw/zinc/38/70/90/189387090.db2.gz UWFJJMONTIEDFP-LLVKDONJSA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@H](CCO)c1ccco1 ZINC000184501693 189387621 /nfs/dbraw/zinc/38/76/21/189387621.db2.gz HCXNXNIMBAYNNM-CQSZACIVSA-N 0 0 290.319 2.710 20 5 CFBDRN Cc1cc(N2CC[C@@](C)(O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000301875858 201243448 /nfs/dbraw/zinc/24/34/48/201243448.db2.gz KEOMZEMCYXAZJC-GFCCVEGCSA-N 0 0 270.716 2.518 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCCOC1CCOCC1 ZINC000301920592 201263832 /nfs/dbraw/zinc/26/38/32/201263832.db2.gz CUXXBZJIEDQCMO-UHFFFAOYSA-N 0 0 286.353 2.654 20 5 CFBDRN CCc1ncnc(Oc2cccc([N+](=O)[O-])c2)c1F ZINC000301942507 201270123 /nfs/dbraw/zinc/27/01/23/201270123.db2.gz VPHMXNIKCWKISG-UHFFFAOYSA-N 0 0 263.228 2.879 20 5 CFBDRN O=C(CNc1sccc1[N+](=O)[O-])NC1CCCCC1 ZINC000301975520 201285713 /nfs/dbraw/zinc/28/57/13/201285713.db2.gz BUWTZLPEJVRXTF-UHFFFAOYSA-N 0 0 283.353 2.517 20 5 CFBDRN Cc1cc(NCc2nccn2C)c2cccc([N+](=O)[O-])c2n1 ZINC000301981961 201287056 /nfs/dbraw/zinc/28/70/56/201287056.db2.gz BEBXKRCDFLZIQI-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000302012598 201297330 /nfs/dbraw/zinc/29/73/30/201297330.db2.gz ZIKFNCWVQNLQDZ-SECBINFHSA-N 0 0 278.337 2.763 20 5 CFBDRN Cc1cc(NCC2(O)CCC2)c2cccc([N+](=O)[O-])c2n1 ZINC000302019667 201303213 /nfs/dbraw/zinc/30/32/13/201303213.db2.gz NCSJBBFQTWCXJQ-UHFFFAOYSA-N 0 0 287.319 2.778 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@@H]1CCCC[C@H]1O ZINC000302031221 201307684 /nfs/dbraw/zinc/30/76/84/201307684.db2.gz ILCYDWZHSCLPFE-GXTWGEPZSA-N 0 0 278.352 2.891 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000185726560 189420527 /nfs/dbraw/zinc/42/05/27/189420527.db2.gz ACNFPNVVAZGQEI-UWVGGRQHSA-N 0 0 288.307 2.702 20 5 CFBDRN CN(C)C(=O)C1(CNc2sccc2[N+](=O)[O-])CCCC1 ZINC000302049650 201319654 /nfs/dbraw/zinc/31/96/54/201319654.db2.gz ZSPGDVUZYCFLLU-UHFFFAOYSA-N 0 0 297.380 2.717 20 5 CFBDRN Cc1cc(NCc2ccnc3ccnn32)ccc1[N+](=O)[O-] ZINC000302067398 201328997 /nfs/dbraw/zinc/32/89/97/201328997.db2.gz SUESQAFGCXSMKE-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC(C)(C)c1nc(NCc2ccccc2[N+](=O)[O-])no1 ZINC000302113811 201345553 /nfs/dbraw/zinc/34/55/53/201345553.db2.gz BSFWEOXAYSIDQX-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@H](C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000302129211 201352967 /nfs/dbraw/zinc/35/29/67/201352967.db2.gz ANOCUPRFGNTCQN-IUCAKERBSA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1cnc([C@H](C)CNc2c([N+](=O)[O-])c(C)nn2C)s1 ZINC000302113036 201346606 /nfs/dbraw/zinc/34/66/06/201346606.db2.gz BJIJXWGMQCEIKN-SSDOTTSWSA-N 0 0 295.368 2.617 20 5 CFBDRN CO[C@H](C)c1cccc(Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000302147252 201365552 /nfs/dbraw/zinc/36/55/52/201365552.db2.gz INTVUOJXVLIXJN-SECBINFHSA-N 0 0 274.280 2.836 20 5 CFBDRN CN(Cc1ccccc1Cl)c1ncc([N+](=O)[O-])cn1 ZINC000302172217 201380227 /nfs/dbraw/zinc/38/02/27/201380227.db2.gz QBTGYXMUJWCUQC-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN Cc1ccc(C[NH2+][C@@H]2CCC[C@@]2(C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000426752532 239257970 /nfs/dbraw/zinc/25/79/70/239257970.db2.gz AQGXOKKOUDEEQB-UKRRQHHQSA-N 0 0 292.335 2.636 20 5 CFBDRN CON(C)c1ccc([N+](=O)[O-])c(OCc2ccccc2)n1 ZINC000302189263 201388715 /nfs/dbraw/zinc/38/87/15/201388715.db2.gz XUKSHMXQZQXTFR-UHFFFAOYSA-N 0 0 289.291 2.566 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCCC(C)(C)C2)n1 ZINC000427338252 239301202 /nfs/dbraw/zinc/30/12/02/239301202.db2.gz OQNKTUZOGFBFHJ-JTQLQIEISA-N 0 0 294.355 2.510 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cnc(C)s1 ZINC000427401120 239307560 /nfs/dbraw/zinc/30/75/60/239307560.db2.gz YLFMJCUJIJDZAY-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cc(F)ccc2F)cc1CO ZINC000428069444 239365724 /nfs/dbraw/zinc/36/57/24/239365724.db2.gz HNUGBKFGJGARQY-UHFFFAOYSA-N 0 0 295.241 2.944 20 5 CFBDRN CCc1noc(C)c1COc1ccc([N+](=O)[O-])c(CO)c1 ZINC000428087197 239376646 /nfs/dbraw/zinc/37/66/46/239376646.db2.gz PSKOIWYNVQQVBZ-UHFFFAOYSA-N 0 0 292.291 2.525 20 5 CFBDRN CCOc1cc(OC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000188589183 189507292 /nfs/dbraw/zinc/50/72/92/189507292.db2.gz RVXWQSDEDPQZBB-NSHDSACASA-N 0 0 267.281 2.551 20 5 CFBDRN C/C=C(\CC)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000188636397 189508369 /nfs/dbraw/zinc/50/83/69/189508369.db2.gz ZFPIPJQFUOSNAK-ONNFQVAWSA-N 0 0 278.308 2.576 20 5 CFBDRN O=C(C1CC(F)(F)C1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000335708404 283748645 /nfs/dbraw/zinc/74/86/45/283748645.db2.gz CSWAIKWOEJMWLM-UHFFFAOYSA-N 0 0 282.246 2.529 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOCC(F)F ZINC000189330518 189530306 /nfs/dbraw/zinc/53/03/06/189530306.db2.gz SIXXWDXACKTPGM-UHFFFAOYSA-N 0 0 261.224 2.564 20 5 CFBDRN CC(=O)C[C@H](C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190258269 189558862 /nfs/dbraw/zinc/55/88/62/189558862.db2.gz CXDJLKYZRFYWDP-JTQLQIEISA-N 0 0 292.335 2.816 20 5 CFBDRN CC(C)[C@H]1CN(c2ncc([N+](=O)[O-])s2)CCS1 ZINC000190331913 189561010 /nfs/dbraw/zinc/56/10/10/189561010.db2.gz RYVFIWBBTIOTNV-MRVPVSSYSA-N 0 0 273.383 2.629 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000280531367 294133957 /nfs/dbraw/zinc/13/39/57/294133957.db2.gz RPGFGPVVRNGEAS-ZDUSSCGKSA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H]2CC3(CCC3)C(=O)O2)c1 ZINC000191712018 189603010 /nfs/dbraw/zinc/60/30/10/189603010.db2.gz AINXGWQCGQLJMD-LLVKDONJSA-N 0 0 291.303 2.768 20 5 CFBDRN CC(C)=CCNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000191965686 189609739 /nfs/dbraw/zinc/60/97/39/189609739.db2.gz DLOGPXKKOHAXBU-UHFFFAOYSA-N 0 0 268.700 2.944 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](c3ccccc3)C2)nc1 ZINC000431493909 239900164 /nfs/dbraw/zinc/90/01/64/239900164.db2.gz KIBJSYKKLFCYHQ-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)C(C)C ZINC000192903485 189631687 /nfs/dbraw/zinc/63/16/87/189631687.db2.gz MMDSWCNWSKBKFE-JTQLQIEISA-N 0 0 250.298 2.678 20 5 CFBDRN COc1cccc(COc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000433622412 240166046 /nfs/dbraw/zinc/16/60/46/240166046.db2.gz REKYBTWUAMLWFM-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1ccoc1Cl ZINC000433843793 240181504 /nfs/dbraw/zinc/18/15/04/240181504.db2.gz OOMWFLMWPHQIPN-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1c(F)cccc1Cl ZINC000434752592 240266976 /nfs/dbraw/zinc/26/69/76/240266976.db2.gz NYCNBKLUJGTVSZ-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCNc1ccccn1 ZINC000434946943 240279489 /nfs/dbraw/zinc/27/94/89/240279489.db2.gz MYRVVORZTDTVTJ-UHFFFAOYSA-N 0 0 293.714 2.562 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])s1)N(C)c1ccccc1 ZINC000195508883 189676954 /nfs/dbraw/zinc/67/69/54/189676954.db2.gz ZPFXCRWAYLKCEO-JTQLQIEISA-N 0 0 292.364 2.988 20 5 CFBDRN CSc1ccc(CCNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000435596917 240327947 /nfs/dbraw/zinc/32/79/47/240327947.db2.gz JMHBNCHDKADNBY-UHFFFAOYSA-N 0 0 289.360 2.788 20 5 CFBDRN COCCCSCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000435993370 240375257 /nfs/dbraw/zinc/37/52/57/240375257.db2.gz GGBRTBGNOSZLSY-UHFFFAOYSA-N 0 0 271.338 2.873 20 5 CFBDRN COc1cccc(C(=O)N[C@@H](C)CCC2CC2)c1[N+](=O)[O-] ZINC000436014524 240379851 /nfs/dbraw/zinc/37/98/51/240379851.db2.gz FHDJHSJHCFKTSO-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccc(/C=C\C(=O)NCc2ccc([N+](=O)[O-])cc2)o1 ZINC000205920829 189685053 /nfs/dbraw/zinc/68/50/53/189685053.db2.gz QXNOYGQPSHXMLL-HJWRWDBZSA-N 0 0 286.287 2.826 20 5 CFBDRN CC[C@H](C)[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C(F)(F)F ZINC000436121041 240391584 /nfs/dbraw/zinc/39/15/84/240391584.db2.gz XRRKHRBWQCJNTG-IMTBSYHQSA-N 0 0 293.245 2.630 20 5 CFBDRN C[C@H]1CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000436189041 240405596 /nfs/dbraw/zinc/40/55/96/240405596.db2.gz PSVZHXLKBOCHHT-PHIMTYICSA-N 0 0 294.376 2.801 20 5 CFBDRN Cc1cccc(N(C)C[C@H]2CCC[C@@H]2O)c1[N+](=O)[O-] ZINC000275447891 193043190 /nfs/dbraw/zinc/04/31/90/193043190.db2.gz LFPAHPUZXRRTJZ-YPMHNXCESA-N 0 0 264.325 2.500 20 5 CFBDRN CC1(C)CN(Cc2cc([N+](=O)[O-])ccc2Cl)CC[C@@H]1O ZINC000275523658 193066777 /nfs/dbraw/zinc/06/67/77/193066777.db2.gz VWUXAHUDXPOVIP-ZDUSSCGKSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1cn(Cc2ccc(OC(F)F)cc2)nc1[N+](=O)[O-] ZINC000439185720 240746955 /nfs/dbraw/zinc/74/69/55/240746955.db2.gz DDURDBBSCXSSOI-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN Cc1nc(C(F)F)n(CCOc2ccc([N+](=O)[O-])cc2)n1 ZINC000439193585 240747518 /nfs/dbraw/zinc/74/75/18/240747518.db2.gz XLPBSGVNPNAFCT-UHFFFAOYSA-N 0 0 298.249 2.511 20 5 CFBDRN CC[C@@H](F)COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000440586971 240859649 /nfs/dbraw/zinc/85/96/49/240859649.db2.gz DIMHJQJZNACHPV-SNVBAGLBSA-N 0 0 255.245 2.924 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCCC1(O)CC1 ZINC000442853868 241008301 /nfs/dbraw/zinc/00/83/01/241008301.db2.gz MYUVXZNQNBULOC-UHFFFAOYSA-N 0 0 257.673 2.542 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc3ccccc3n2)s1 ZINC000443518774 241070936 /nfs/dbraw/zinc/07/09/36/241070936.db2.gz TXMFZIMORCUWDJ-UHFFFAOYSA-N 0 0 286.316 2.634 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSCCC1(O)CC1 ZINC000443150769 241041544 /nfs/dbraw/zinc/04/15/44/241041544.db2.gz CZNBEFBRJHXZBP-UHFFFAOYSA-N 0 0 253.323 2.743 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000444463568 241140604 /nfs/dbraw/zinc/14/06/04/241140604.db2.gz GWCYBAFTZYEDEK-KOLCDFICSA-N 0 0 280.299 2.581 20 5 CFBDRN COc1cc(CN2C[C@H](C)O[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000446058790 241266556 /nfs/dbraw/zinc/26/65/56/241266556.db2.gz GOHDQNQNUHWEJV-TUAOUCFPSA-N 0 0 294.351 2.601 20 5 CFBDRN Cc1ccc(F)cc1Cn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000445608934 241225428 /nfs/dbraw/zinc/22/54/28/241225428.db2.gz QBJJLZUETBMSAZ-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1ccccc1CO)CCN2 ZINC000302479502 201461359 /nfs/dbraw/zinc/46/13/59/201461359.db2.gz WBIFRMDXIALTHW-UHFFFAOYSA-N 0 0 299.330 2.667 20 5 CFBDRN CNC(=O)c1ccc(CNc2cccc(C)c2[N+](=O)[O-])cc1 ZINC000302486587 201462100 /nfs/dbraw/zinc/46/21/00/201462100.db2.gz IJRFYSCSFGIFKK-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Cc1cccc(NC[C@@H](O)c2ccco2)c1[N+](=O)[O-] ZINC000302515589 201468834 /nfs/dbraw/zinc/46/88/34/201468834.db2.gz VGLGZBMFIARQAI-LLVKDONJSA-N 0 0 262.265 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)O[C@@H](C)[C@@H]2C)c1 ZINC000446613279 241308636 /nfs/dbraw/zinc/30/86/36/241308636.db2.gz USASBKFXUIQXHV-SRVKXCTJSA-N 0 0 292.335 2.541 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1(SC)CCC1 ZINC000447126990 241356824 /nfs/dbraw/zinc/35/68/24/241356824.db2.gz AQAOIHOYVRQWQT-UHFFFAOYSA-N 0 0 296.348 2.828 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H]1CCO[C@H](C)C1 ZINC000447286377 241362379 /nfs/dbraw/zinc/36/23/79/241362379.db2.gz FUOJUWFZWKEWEN-MNOVXSKESA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC(C)(C)O ZINC000302653892 201499747 /nfs/dbraw/zinc/49/97/47/201499747.db2.gz HDXOGVXFDFGVTC-UHFFFAOYSA-N 0 0 258.705 2.739 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000448646457 241497757 /nfs/dbraw/zinc/49/77/57/241497757.db2.gz IAJMNNGEHQLRBM-DYEKYZERSA-N 0 0 286.331 2.920 20 5 CFBDRN O=[N+]([O-])c1cccc(C[N@@H+]2CCSC[C@@H]2C2CC2)c1 ZINC000449528398 242005388 /nfs/dbraw/zinc/00/53/88/242005388.db2.gz PCDGBPJGDYWWEL-CQSZACIVSA-N 0 0 278.377 2.922 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@]3(CCOC3)C2)cs1 ZINC000449681072 242105054 /nfs/dbraw/zinc/10/50/54/242105054.db2.gz DKNWGIHUPQLRAN-CYBMUJFWSA-N 0 0 282.365 2.659 20 5 CFBDRN O=[N+]([O-])c1cc(CNCc2cnc(C3CC3)o2)cs1 ZINC000449701027 242114018 /nfs/dbraw/zinc/11/40/18/242114018.db2.gz VLTOCANQBDHITK-UHFFFAOYSA-N 0 0 279.321 2.812 20 5 CFBDRN O=C(CC1CC(F)(F)C1)NCc1ccccc1[N+](=O)[O-] ZINC000449748404 242134716 /nfs/dbraw/zinc/13/47/16/242134716.db2.gz LYZNDQCQEWQJHA-UHFFFAOYSA-N 0 0 284.262 2.646 20 5 CFBDRN C[C@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCCC[C@H]1O ZINC000288536108 291392116 /nfs/dbraw/zinc/39/21/16/291392116.db2.gz UVOYYKLALKDPPH-ZIAGYGMSSA-N 0 0 279.340 2.530 20 5 CFBDRN Cc1nc(N[C@H](C)CCC(C)C)ncc1[N+](=O)[O-] ZINC000450274337 242335006 /nfs/dbraw/zinc/33/50/06/242335006.db2.gz GNYNAENISSYXFQ-SECBINFHSA-N 0 0 252.318 2.930 20 5 CFBDRN Cc1nc(N2CCC[C@@H]2Cc2ccccc2)ncc1[N+](=O)[O-] ZINC000450243087 242321782 /nfs/dbraw/zinc/32/17/82/242321782.db2.gz MRNWFBYGZWZMEA-CQSZACIVSA-N 0 0 298.346 2.905 20 5 CFBDRN C[C@H]([C@@H]1Cc2ccccc2O1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000450397170 242394415 /nfs/dbraw/zinc/39/44/15/242394415.db2.gz YBUIWQVCWSYDLV-ABAIWWIYSA-N 0 0 299.330 2.818 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000295647111 291398500 /nfs/dbraw/zinc/39/85/00/291398500.db2.gz ULFWKEPVWORFJX-ZDUSSCGKSA-N 0 0 284.262 2.698 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CC[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC000450535544 242468460 /nfs/dbraw/zinc/46/84/60/242468460.db2.gz OARKQAMRUSGQJN-MNOVXSKESA-N 0 0 296.298 2.613 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)N(C)c1ccccc1[N+](=O)[O-] ZINC000450653048 242525467 /nfs/dbraw/zinc/52/54/67/242525467.db2.gz GJBDLVVGLNVVTC-CYBMUJFWSA-N 0 0 280.324 2.619 20 5 CFBDRN CCN(C)C(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000275933380 193166284 /nfs/dbraw/zinc/16/62/84/193166284.db2.gz ROWQKEAHARWWBJ-UHFFFAOYSA-N 0 0 256.689 2.649 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]([C@@H](CO)C2CC2)C2CC2)n1 ZINC000450783988 242602858 /nfs/dbraw/zinc/60/28/58/242602858.db2.gz YWZQKOZZVCEWKY-GXTWGEPZSA-N 0 0 291.351 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCC[C@@H]1CCOC1)CCN2 ZINC000450889442 242658672 /nfs/dbraw/zinc/65/86/72/242658672.db2.gz QWTVXLKLDOGZSV-LLVKDONJSA-N 0 0 291.351 2.791 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1[C@H](C)C1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000452185445 243076900 /nfs/dbraw/zinc/07/69/00/243076900.db2.gz NLEJUAGAWXMVKG-LAEOZQHASA-N 0 0 284.262 2.673 20 5 CFBDRN O=C(NCCCC1CCOCC1)c1ccc([N+](=O)[O-])s1 ZINC000452208832 243086535 /nfs/dbraw/zinc/08/65/35/243086535.db2.gz MUEOBBHROHWNIK-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCC1=CCCCC1 ZINC000452289303 243117234 /nfs/dbraw/zinc/11/72/34/243117234.db2.gz PCVACCJAAXRJQS-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC2CC(C)(F)C2)c1 ZINC000452281998 243112580 /nfs/dbraw/zinc/11/25/80/243112580.db2.gz UJJCOQKIIVKYMJ-UHFFFAOYSA-N 0 0 298.339 2.937 20 5 CFBDRN COCC1(C)CC[NH+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000330458456 202850717 /nfs/dbraw/zinc/85/07/17/202850717.db2.gz ROSLYIFKBAWLEZ-UHFFFAOYSA-N 0 0 294.351 2.549 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000452455619 243177482 /nfs/dbraw/zinc/17/74/82/243177482.db2.gz HGCMKFPFZKIYPY-QMMMGPOBSA-N 0 0 272.251 2.677 20 5 CFBDRN CC/C=C/CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000452611852 243245732 /nfs/dbraw/zinc/24/57/32/243245732.db2.gz JDUQDZOFZIGXNY-ONEGZZNKSA-N 0 0 289.335 2.865 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000330502279 202896262 /nfs/dbraw/zinc/89/62/62/202896262.db2.gz BHJLTEUPHFGXBJ-CQSZACIVSA-N 0 0 264.325 2.596 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000452771009 243293459 /nfs/dbraw/zinc/29/34/59/243293459.db2.gz LJRCFEPVUMPOPQ-PWSUYJOCSA-N 0 0 262.309 2.679 20 5 CFBDRN CC(C)C[C@]1(C)CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000452990004 243356367 /nfs/dbraw/zinc/35/63/67/243356367.db2.gz SGOOJNIOJFJJDH-AWEZNQCLSA-N 0 0 279.340 2.964 20 5 CFBDRN NC(=O)C[C@@H]1CCCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000330557360 202968263 /nfs/dbraw/zinc/96/82/63/202968263.db2.gz WQVCKONPEUZRAQ-VIFPVBQESA-N 0 0 297.336 2.576 20 5 CFBDRN CC1(c2noc(CCc3cccc([N+](=O)[O-])c3)n2)CC1 ZINC000453318510 243509123 /nfs/dbraw/zinc/50/91/23/243509123.db2.gz MFSRXXCWFMDUSE-UHFFFAOYSA-N 0 0 273.292 2.815 20 5 CFBDRN CN(CC1CCCC1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000330687681 203119339 /nfs/dbraw/zinc/11/93/39/203119339.db2.gz CGPMVWAPQPSJLT-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC(C)(C)C1CCC1 ZINC000456148762 244088788 /nfs/dbraw/zinc/08/87/88/244088788.db2.gz QWWFQIJEXJKMGD-UHFFFAOYSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1sc(C(=O)NCCC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000456723783 244268095 /nfs/dbraw/zinc/26/80/95/244268095.db2.gz HARURUWAMVPBNN-JTQLQIEISA-N 0 0 298.364 2.511 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000456888920 244320113 /nfs/dbraw/zinc/32/01/13/244320113.db2.gz WOHGQNLPXLSCLR-GWCFXTLKSA-N 0 0 278.283 2.510 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H](C)[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000457011976 244357939 /nfs/dbraw/zinc/35/79/39/244357939.db2.gz SMZBMBINLKCAGX-QXEWZRGKSA-N 0 0 294.355 2.571 20 5 CFBDRN O=C(NCC1(C2CCC2)CCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000457169023 244416774 /nfs/dbraw/zinc/41/67/74/244416774.db2.gz ZVKAIWMQPXMSIV-UHFFFAOYSA-N 0 0 277.324 2.623 20 5 CFBDRN CC[C@]1(C(C)C)C[C@@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000457276542 244464902 /nfs/dbraw/zinc/46/49/02/244464902.db2.gz KFJFJHYZPSAOHV-DZGCQCFKSA-N 0 0 291.351 2.853 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000330870214 203334364 /nfs/dbraw/zinc/33/43/64/203334364.db2.gz QMKHTNHNLSDYSW-QWRGUYRKSA-N 0 0 294.376 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCCSCCCO ZINC000230198794 189807581 /nfs/dbraw/zinc/80/75/81/189807581.db2.gz OJGAYEBYWVRNHF-UHFFFAOYSA-N 0 0 290.772 2.776 20 5 CFBDRN CCC(O)(CC)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000276222623 193248417 /nfs/dbraw/zinc/24/84/17/193248417.db2.gz MFPCVNHDBMYTLG-UHFFFAOYSA-N 0 0 295.339 2.658 20 5 CFBDRN NC(=O)[C@@H]1CCCC[C@@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000276234317 193251739 /nfs/dbraw/zinc/25/17/39/193251739.db2.gz OBMLGTRVUMFMJW-ZJUUUORDSA-N 0 0 297.742 2.704 20 5 CFBDRN C[C@H](CNc1ncccc1[N+](=O)[O-])c1nccs1 ZINC000230608787 189810939 /nfs/dbraw/zinc/81/09/39/189810939.db2.gz ZPXUIMCDVVMANR-MRVPVSSYSA-N 0 0 264.310 2.662 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000276358905 193298334 /nfs/dbraw/zinc/29/83/34/193298334.db2.gz VMRBHGBKMDZFOL-VHSXEESVSA-N 0 0 275.308 2.978 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1CCSC1 ZINC000276375338 193306662 /nfs/dbraw/zinc/30/66/62/193306662.db2.gz FADRDWCIGLDSAO-SNVBAGLBSA-N 0 0 295.364 2.839 20 5 CFBDRN CO[C@@H](C)CCNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000276398251 193314556 /nfs/dbraw/zinc/31/45/56/193314556.db2.gz KAFYLJQQROSWDJ-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2OC)C1 ZINC000330974826 203432495 /nfs/dbraw/zinc/43/24/95/203432495.db2.gz OUCDMIWEYUVBJQ-HNNXBMFYSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000331064450 203509296 /nfs/dbraw/zinc/50/92/96/203509296.db2.gz DXBHNIXEMVWMDK-MNOVXSKESA-N 0 0 262.309 2.761 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000331064452 203510066 /nfs/dbraw/zinc/51/00/66/203510066.db2.gz DXBHNIXEMVWMDK-WDEREUQCSA-N 0 0 262.309 2.761 20 5 CFBDRN Cc1nn(C[C@H]2CC[C@@H](C)O2)c2ccc([N+](=O)[O-])cc12 ZINC000276594196 193393871 /nfs/dbraw/zinc/39/38/71/193393871.db2.gz QGNOPXPMZXVUGC-BXKDBHETSA-N 0 0 275.308 2.820 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@@H]1CCC[C@H]1O ZINC000276595588 193394011 /nfs/dbraw/zinc/39/40/11/193394011.db2.gz JZUKQBGFEWBYOP-SMDDNHRTSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276681944 193426271 /nfs/dbraw/zinc/42/62/71/193426271.db2.gz VCZLQXPHVAGFIE-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000458126050 244773907 /nfs/dbraw/zinc/77/39/07/244773907.db2.gz FKKHROWPVUDYHP-IINYFYTJSA-N 0 0 287.319 2.850 20 5 CFBDRN C[C@@H](Cn1cccc([N+](=O)[O-])c1=O)c1ccccc1 ZINC000116612249 376199716 /nfs/dbraw/zinc/19/97/16/376199716.db2.gz PCZCTVINWAOYBU-NSHDSACASA-N 0 0 258.277 2.560 20 5 CFBDRN O=[N+]([O-])c1c(SC[C@@H]2CCCO2)nc2sccn21 ZINC000276902496 193494819 /nfs/dbraw/zinc/49/48/19/193494819.db2.gz LZSQXCKSELPEMK-ZETCQYMHSA-N 0 0 285.350 2.575 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC(C)(OCC)C1 ZINC000459629855 245251835 /nfs/dbraw/zinc/25/18/35/245251835.db2.gz ZQYCLFSACURWQL-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN CCOC[C@@H]1CCCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000459669604 245267174 /nfs/dbraw/zinc/26/71/74/245267174.db2.gz DPWUEKHBCXCASZ-ZDUSSCGKSA-N 0 0 294.351 2.691 20 5 CFBDRN COCC1(C)CN(C/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000459625599 245247538 /nfs/dbraw/zinc/24/75/38/245247538.db2.gz XZNDXMYYEMRSBK-FNORWQNLSA-N 0 0 276.336 2.576 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC3(CCC3)[C@@H]3COC[C@H]32)cs1 ZINC000459725504 245284754 /nfs/dbraw/zinc/28/47/54/245284754.db2.gz QAACJRAKHAZUFF-VXGBXAGGSA-N 0 0 294.376 2.657 20 5 CFBDRN CCC(C)(C)OCC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000459882465 245341382 /nfs/dbraw/zinc/34/13/82/245341382.db2.gz CDVPEFMLSGHJOU-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000459898662 245342809 /nfs/dbraw/zinc/34/28/09/245342809.db2.gz ZPKJQYJQVHBAHI-MFKMUULPSA-N 0 0 278.308 2.739 20 5 CFBDRN CCC[C@@H](O)CNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000233296695 189819489 /nfs/dbraw/zinc/81/94/89/189819489.db2.gz GHZIRBXHSHYOQO-SSDOTTSWSA-N 0 0 293.245 2.582 20 5 CFBDRN C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccn(C)n1 ZINC000459801084 245335728 /nfs/dbraw/zinc/33/57/28/245335728.db2.gz XDNMAJVMLBNLKI-QWRGUYRKSA-N 0 0 274.324 2.740 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000235036691 189825071 /nfs/dbraw/zinc/82/50/71/189825071.db2.gz VPLBISYCAORYMG-QMMMGPOBSA-N 0 0 286.328 2.524 20 5 CFBDRN O=C(Nc1cc(Cl)ccn1)c1ccc([N+](=O)[O-])cc1 ZINC000075285215 284278273 /nfs/dbraw/zinc/27/82/73/284278273.db2.gz KYUJHRWQSLPHTF-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000332336596 204607802 /nfs/dbraw/zinc/60/78/02/204607802.db2.gz RTCHZKMSYULLIX-LBPRGKRZSA-N 0 0 276.336 2.928 20 5 CFBDRN O=c1oc2ccccc2n1Cc1cccc([N+](=O)[O-])c1 ZINC000014375678 372069351 /nfs/dbraw/zinc/06/93/51/372069351.db2.gz CXPLJXKBFSMTRI-UHFFFAOYSA-N 0 0 270.244 2.551 20 5 CFBDRN COc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2C)cn1 ZINC000015617313 372088137 /nfs/dbraw/zinc/08/81/37/372088137.db2.gz WYNBPCLJLLZKEE-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC1CC(NC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000332471697 204730715 /nfs/dbraw/zinc/73/07/15/204730715.db2.gz NKCDXIOMEJCHJB-UHFFFAOYSA-N 0 0 267.260 2.654 20 5 CFBDRN COC1([C@H](C)NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCC1 ZINC000332473199 204731873 /nfs/dbraw/zinc/73/18/73/204731873.db2.gz NTVVTCMQFWURPN-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1cccc(COc2cccc(CO)c2)c1 ZINC000020031747 372221621 /nfs/dbraw/zinc/22/16/21/372221621.db2.gz SXLMYVROBOQJMI-UHFFFAOYSA-N 0 0 259.261 2.666 20 5 CFBDRN CCCNC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 ZINC000020904035 372242245 /nfs/dbraw/zinc/24/22/45/372242245.db2.gz GHCARVMMCUGTGA-UHFFFAOYSA-N 0 0 291.351 2.725 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H]1C(F)F ZINC000464718662 245417314 /nfs/dbraw/zinc/41/73/14/245417314.db2.gz FJIRPKOEGDFWHL-UWVGGRQHSA-N 0 0 284.262 2.997 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1noc2c1CCCC2 ZINC000299048829 284316354 /nfs/dbraw/zinc/31/63/54/284316354.db2.gz PBFPBNJPOVDOIU-UHFFFAOYSA-N 0 0 287.275 2.714 20 5 CFBDRN CNc1ccc(C(=O)NCC2CCCCC2)cc1[N+](=O)[O-] ZINC000023680272 372264113 /nfs/dbraw/zinc/26/41/13/372264113.db2.gz CFTADLZWJSSDNS-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])cn1)Nc1cccc2ccccc21 ZINC000028193031 372358829 /nfs/dbraw/zinc/35/88/29/372358829.db2.gz LWLZVERNGVTXCD-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN CN(C)c1ccc(Nc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000029587035 372382769 /nfs/dbraw/zinc/38/27/69/372382769.db2.gz KBEAQOYPKFXVFG-UHFFFAOYSA-N 0 0 276.271 2.939 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000029624265 372382777 /nfs/dbraw/zinc/38/27/77/372382777.db2.gz JMFXVCPTQYVWEB-ZJUUUORDSA-N 0 0 252.318 2.709 20 5 CFBDRN CCc1ccc(CC)c(C(=O)Cn2cnc([N+](=O)[O-])c2)c1 ZINC000029749576 372386064 /nfs/dbraw/zinc/38/60/64/372386064.db2.gz PXJAAJHNZIEQGQ-UHFFFAOYSA-N 0 0 287.319 2.799 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1cccnc1-n1ccnc1 ZINC000030587475 372394437 /nfs/dbraw/zinc/39/44/37/372394437.db2.gz SEMYXPKEIMFORO-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CCNc1ccc(C(=O)NCC2CCCC2)cc1[N+](=O)[O-] ZINC000031986086 372423738 /nfs/dbraw/zinc/42/37/38/372423738.db2.gz NCSFFLVARCRXBI-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CCC[C@H](C)[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000332674412 204881705 /nfs/dbraw/zinc/88/17/05/204881705.db2.gz IVIDIGOXVBNVFV-GXFFZTMASA-N 0 0 279.340 2.964 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCO1 ZINC000035056188 372534937 /nfs/dbraw/zinc/53/49/37/372534937.db2.gz QIZNNNXCOGMZOB-PELKAZGASA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCO1 ZINC000035056187 372535048 /nfs/dbraw/zinc/53/50/48/372535048.db2.gz QIZNNNXCOGMZOB-UFBFGSQYSA-N 0 0 254.261 2.713 20 5 CFBDRN COCCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000033994970 372503809 /nfs/dbraw/zinc/50/38/09/372503809.db2.gz LXOCMSLRKNZDMY-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN COc1ccc(O)c(C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000034556078 372510785 /nfs/dbraw/zinc/51/07/85/372510785.db2.gz RGPXZMZZKWMCJV-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN COC(=O)c1ccc(CNc2ccc([N+](=O)[O-])cc2)o1 ZINC000034993986 372524909 /nfs/dbraw/zinc/52/49/09/372524909.db2.gz OOQCIJGSEUEXKG-UHFFFAOYSA-N 0 0 276.248 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nnsc2Cl)c(F)c1 ZINC000036302920 372571698 /nfs/dbraw/zinc/57/16/98/372571698.db2.gz FTMXNJOHCRHKEW-UHFFFAOYSA-N 0 0 289.675 2.818 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])cc2)cc1O ZINC000036324075 372572123 /nfs/dbraw/zinc/57/21/23/372572123.db2.gz CKDCSSGHPLQDHU-UHFFFAOYSA-N 0 0 274.276 2.921 20 5 CFBDRN CCCN(C)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000037098460 372649524 /nfs/dbraw/zinc/64/95/24/372649524.db2.gz GKKMHALGBVXPNS-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN NC(=O)Nc1cccc(NCc2cccc([N+](=O)[O-])c2)c1 ZINC000037135734 372652550 /nfs/dbraw/zinc/65/25/50/372652550.db2.gz RLWCLCZLONFLHC-UHFFFAOYSA-N 0 0 286.291 2.698 20 5 CFBDRN CCCN(CCC)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669451 372687972 /nfs/dbraw/zinc/68/79/72/372687972.db2.gz UEIVRBSQEKEGCP-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1ccco1 ZINC000176707962 284339745 /nfs/dbraw/zinc/33/97/45/284339745.db2.gz QRCUGMRTOFOXIS-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN COc1ccc(OCC(=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000037974521 372742329 /nfs/dbraw/zinc/74/23/29/372742329.db2.gz AVRRPSOKKZVGRY-UHFFFAOYSA-N 0 0 267.281 2.597 20 5 CFBDRN Cc1c(OCC(=O)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000037991139 372751154 /nfs/dbraw/zinc/75/11/54/372751154.db2.gz KBAPMKZWRVEJJZ-UHFFFAOYSA-N 0 0 251.282 2.897 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037996007 372754518 /nfs/dbraw/zinc/75/45/18/372754518.db2.gz XSRFNWHKTCPZOY-VIFPVBQESA-N 0 0 268.288 2.995 20 5 CFBDRN Cc1ccc(N[C@@H](CO)CC(C)C)c([N+](=O)[O-])c1 ZINC000040779480 372789371 /nfs/dbraw/zinc/78/93/71/372789371.db2.gz BIEBGAGQVYFUFQ-LLVKDONJSA-N 0 0 252.314 2.722 20 5 CFBDRN CC(C)COCCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000040805101 372792593 /nfs/dbraw/zinc/79/25/93/372792593.db2.gz LBGYEOWBYAFBGM-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H](C)O[C@H](C)C1 ZINC000263706538 383685873 /nfs/dbraw/zinc/68/58/73/383685873.db2.gz HGFJPBJBSUXSEQ-GHMZBOCLSA-N 0 0 250.298 2.517 20 5 CFBDRN CCOC(=O)CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000041676742 372856591 /nfs/dbraw/zinc/85/65/91/372856591.db2.gz LKLBRSIOFIKBLB-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN COc1ncccc1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000045932382 373036444 /nfs/dbraw/zinc/03/64/44/373036444.db2.gz SPOYDGJBQSADFN-BQYQJAHWSA-N 0 0 299.286 2.650 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1cccc(F)c1 ZINC000046634568 373058577 /nfs/dbraw/zinc/05/85/77/373058577.db2.gz CGQUTPXQEPTVDM-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CN(Cc1cscn1)Cc1cccc([N+](=O)[O-])c1 ZINC000046783585 373062126 /nfs/dbraw/zinc/06/21/26/373062126.db2.gz PRZIPWUAFBQKOC-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN Cc1csc(=O)n1CCOc1cccc([N+](=O)[O-])c1C ZINC000047355510 373089646 /nfs/dbraw/zinc/08/96/46/373089646.db2.gz YXAKQVPXIHDHPY-UHFFFAOYSA-N 0 0 294.332 2.514 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCOC2(CCCCC2)C1 ZINC000486910228 245703528 /nfs/dbraw/zinc/70/35/28/245703528.db2.gz PAHSEVYYDDLLPV-NSHDSACASA-N 0 0 294.355 2.622 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1cccc(Cl)c1 ZINC000048545202 373196276 /nfs/dbraw/zinc/19/62/76/373196276.db2.gz WDYUMFDOWIYTJN-SSDOTTSWSA-N 0 0 293.714 2.794 20 5 CFBDRN CCNc1ccc(C(=O)NCc2ccco2)cc1[N+](=O)[O-] ZINC000048931126 373231279 /nfs/dbraw/zinc/23/12/79/373231279.db2.gz LKMVZOBFJATYGK-UHFFFAOYSA-N 0 0 289.291 2.550 20 5 CFBDRN CNC(=O)c1ccc(NCC2CCCC2)c([N+](=O)[O-])c1 ZINC000049000212 373248708 /nfs/dbraw/zinc/24/87/08/373248708.db2.gz HALZKGSFIAAAFZ-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CCC(CC)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000049027425 373252672 /nfs/dbraw/zinc/25/26/72/373252672.db2.gz SAGGFQKQTRTHJX-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2cscn2)ccc1[N+](=O)[O-] ZINC000049036342 373254440 /nfs/dbraw/zinc/25/44/40/373254440.db2.gz FPOPSXXNUORLID-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000049275291 373284742 /nfs/dbraw/zinc/28/47/42/373284742.db2.gz DXRGBVNUBOQJEG-LBPRGKRZSA-N 0 0 274.320 2.989 20 5 CFBDRN CCCCN(CCO)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000050106252 373354906 /nfs/dbraw/zinc/35/49/06/373354906.db2.gz FGMGCQVFBUAYEP-UHFFFAOYSA-N 0 0 286.759 2.843 20 5 CFBDRN Cc1ccsc1[C@H](CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000487621988 245772153 /nfs/dbraw/zinc/77/21/53/245772153.db2.gz TZIFHMWAFLNHTN-JTQLQIEISA-N 0 0 293.348 2.814 20 5 CFBDRN Cc1cc(NCC2CCOCC2)ccc1[N+](=O)[O-] ZINC000051924397 373421304 /nfs/dbraw/zinc/42/13/04/373421304.db2.gz PGALETPJPJEKHF-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@@H]2O)c2cccnc21 ZINC000052006395 373429088 /nfs/dbraw/zinc/42/90/88/373429088.db2.gz NFONEYDUPUBZEP-OCCSQVGLSA-N 0 0 287.319 2.858 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000054982291 220200358 /nfs/dbraw/zinc/20/03/58/220200358.db2.gz MBFPHADTVDUHBM-MNOVXSKESA-N 0 0 291.351 2.932 20 5 CFBDRN CCC(CC)(CO)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000053071192 373463114 /nfs/dbraw/zinc/46/31/14/373463114.db2.gz ANFUCRZOEHVLEJ-UHFFFAOYSA-N 0 0 252.314 2.866 20 5 CFBDRN CN(Cc1cnccn1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000488083555 245812070 /nfs/dbraw/zinc/81/20/70/245812070.db2.gz FGFIMACKVPGQRH-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN CC(C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054060023 373495201 /nfs/dbraw/zinc/49/52/01/373495201.db2.gz GRWVMJDTBLVTFE-MRVPVSSYSA-N 0 0 254.261 2.508 20 5 CFBDRN CC(C)n1cc(COc2ccc(Cl)cc2[N+](=O)[O-])nn1 ZINC000488238406 245825576 /nfs/dbraw/zinc/82/55/76/245825576.db2.gz WMKGOSGREYZXIB-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000055467394 373553913 /nfs/dbraw/zinc/55/39/13/373553913.db2.gz FVSIJNZDDZNCOL-RYUDHWBXSA-N 0 0 294.351 2.833 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000055467396 373554116 /nfs/dbraw/zinc/55/41/16/373554116.db2.gz FVSIJNZDDZNCOL-NEPJUHHUSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@H](NC(=O)c1ccccc1O)c1cccc([N+](=O)[O-])c1 ZINC000055465116 373554647 /nfs/dbraw/zinc/55/46/47/373554647.db2.gz BDFSZYXJOWXKDB-JTQLQIEISA-N 0 0 286.287 2.791 20 5 CFBDRN CN(C)c1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000055797317 373557950 /nfs/dbraw/zinc/55/79/50/373557950.db2.gz VDXUSJOQDNIAQI-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H](O)c1ccccc1F ZINC000058745457 373667116 /nfs/dbraw/zinc/66/71/16/373667116.db2.gz ORGBLQKGOFERMY-AWEZNQCLSA-N 0 0 276.267 2.879 20 5 CFBDRN CCCCN(CC)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000059010751 373676813 /nfs/dbraw/zinc/67/68/13/373676813.db2.gz PGIFGIWOFXAZCI-UHFFFAOYSA-N 0 0 295.295 2.765 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000059917499 373686025 /nfs/dbraw/zinc/68/60/25/373686025.db2.gz ACFUZVWDXQPOMQ-NSHDSACASA-N 0 0 260.297 2.892 20 5 CFBDRN CCCC[C@@H](CC)Cn1cc([N+](=O)[O-])ccc1=O ZINC000060815584 373704432 /nfs/dbraw/zinc/70/44/32/373704432.db2.gz LOVYEEJXUKBNNL-LLVKDONJSA-N 0 0 252.314 2.973 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000063404121 373803042 /nfs/dbraw/zinc/80/30/42/373803042.db2.gz FAOAAVDRAPURQI-GFCCVEGCSA-N 0 0 291.351 2.926 20 5 CFBDRN CC(C)CN(C)c1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000065945709 373904002 /nfs/dbraw/zinc/90/40/02/373904002.db2.gz IPSNSLBUBSOVHL-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000066756115 373949183 /nfs/dbraw/zinc/94/91/83/373949183.db2.gz VTBHCWHYZYXSMP-VIFPVBQESA-N 0 0 268.338 2.841 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000066756251 373949500 /nfs/dbraw/zinc/94/95/00/373949500.db2.gz XEHCQQUHPPTYBB-MRVPVSSYSA-N 0 0 254.311 2.532 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCCCO1 ZINC000067021213 373969608 /nfs/dbraw/zinc/96/96/08/373969608.db2.gz GLCPCYFDKQMSFI-LBPRGKRZSA-N 0 0 279.292 2.629 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2N)[C@H]1C ZINC000247381405 189938313 /nfs/dbraw/zinc/93/83/13/189938313.db2.gz UKAQOKCLGNXZRF-JFGNBEQYSA-N 0 0 291.351 2.732 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1CCCOC1 ZINC000070164803 374133829 /nfs/dbraw/zinc/13/38/29/374133829.db2.gz KMYFHIGTSKSAKG-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\c2ccncc2)cc1[N+](=O)[O-] ZINC000490792349 246025449 /nfs/dbraw/zinc/02/54/49/246025449.db2.gz VDLUJVZQJCRXEO-HYXAFXHYSA-N 0 0 283.287 2.950 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1nccn1C ZINC000070445062 374176057 /nfs/dbraw/zinc/17/60/57/374176057.db2.gz MKUVVVQTQMJFIT-WDEREUQCSA-N 0 0 274.324 2.740 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NCc1ccsc1 ZINC000490639267 246017871 /nfs/dbraw/zinc/01/78/71/246017871.db2.gz LKPABANYPCMYKK-WAYWQWQTSA-N 0 0 288.328 2.986 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000491113106 246042770 /nfs/dbraw/zinc/04/27/70/246042770.db2.gz ZNKLTKCGZHNKTK-CMPLNLGQSA-N 0 0 291.351 2.928 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N1CCCCC1 ZINC000491279643 246055196 /nfs/dbraw/zinc/05/51/96/246055196.db2.gz PMUGHWFYZIWMNN-ALCCZGGFSA-N 0 0 278.283 2.760 20 5 CFBDRN O=C(NCCC1CC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000072502416 374257842 /nfs/dbraw/zinc/25/78/42/374257842.db2.gz UGLSRIHLEVNHBV-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])Nc1nccs1 ZINC000491302903 246059306 /nfs/dbraw/zinc/05/93/06/246059306.db2.gz FDXNZIBILWLBBK-RQOWECAXSA-N 0 0 293.279 2.842 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC1 ZINC000072574930 374266257 /nfs/dbraw/zinc/26/62/57/374266257.db2.gz YEEKVSJSTAIHHM-JTQLQIEISA-N 0 0 280.349 2.698 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000491169512 246047062 /nfs/dbraw/zinc/04/70/62/246047062.db2.gz YZHLTWMVRWHRHU-QDZRJHCZSA-N 0 0 297.314 2.880 20 5 CFBDRN CC[C@H](C)N(C)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000491437018 246068156 /nfs/dbraw/zinc/06/81/56/246068156.db2.gz PYVTYRABSMFCEO-HUYFXPKMSA-N 0 0 262.309 2.865 20 5 CFBDRN C[C@H]1CCCN1CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000072874833 374297233 /nfs/dbraw/zinc/29/72/33/374297233.db2.gz CLFXWHWTIXMQOF-VIFPVBQESA-N 0 0 297.742 2.671 20 5 CFBDRN Cn1cccc1[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000072905312 374300474 /nfs/dbraw/zinc/30/04/74/374300474.db2.gz XPCUCIDXTUMCHS-HNNXBMFYSA-N 0 0 299.330 2.911 20 5 CFBDRN CC1(C)C(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000073000368 374314766 /nfs/dbraw/zinc/31/47/66/374314766.db2.gz NNXDLCWLAGLOOU-UHFFFAOYSA-N 0 0 276.336 2.893 20 5 CFBDRN CCCCSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000072991770 374315049 /nfs/dbraw/zinc/31/50/49/374315049.db2.gz DOLNSTUWGSXWRT-UHFFFAOYSA-N 0 0 268.338 2.727 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCC[C@H]21 ZINC000491889245 246113825 /nfs/dbraw/zinc/11/38/25/246113825.db2.gz YCUDIFOLXWISES-PDCNKGEESA-N 0 0 290.294 2.758 20 5 CFBDRN C[C@@H](NC(=O)/C=C\C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000491946209 246132621 /nfs/dbraw/zinc/13/26/21/246132621.db2.gz UITHYTJINMAPAK-GQYWMQPJSA-N 0 0 260.293 2.738 20 5 CFBDRN NC(=O)c1cccc(CSc2ccc([N+](=O)[O-])cc2)c1 ZINC000073753006 374378684 /nfs/dbraw/zinc/37/86/84/374378684.db2.gz LMLGSRKDAKJSQB-UHFFFAOYSA-N 0 0 288.328 2.986 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000073767635 374380236 /nfs/dbraw/zinc/38/02/36/374380236.db2.gz XLLNTPDATDQFOV-PBQZMEPESA-N 0 0 274.320 2.865 20 5 CFBDRN CCC(CC)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000074344754 374415620 /nfs/dbraw/zinc/41/56/20/374415620.db2.gz IMICBYZDPBCUPA-UHFFFAOYSA-N 0 0 256.327 2.883 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000492090434 246182910 /nfs/dbraw/zinc/18/29/10/246182910.db2.gz ZSSYJYBDMGLHPY-FOYPTKHBSA-N 0 0 292.310 2.862 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC1(C2CC2)CC1 ZINC000492105415 246188403 /nfs/dbraw/zinc/18/84/03/246188403.db2.gz AGKPGMXHKOYWKT-BAQGIRSFSA-N 0 0 272.304 2.667 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C\c1cnccn1 ZINC000492061916 246172236 /nfs/dbraw/zinc/17/22/36/246172236.db2.gz YTDDYKYGPKBDQD-XQRVVYSFSA-N 0 0 298.302 2.654 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000075286379 374473926 /nfs/dbraw/zinc/47/39/26/374473926.db2.gz RQYGQBZGKSTWFS-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000075881313 374510433 /nfs/dbraw/zinc/51/04/33/374510433.db2.gz QRYWRLMYMDWDHU-SSDOTTSWSA-N 0 0 272.688 2.612 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCOC(C)(C)C1 ZINC000075954983 374514156 /nfs/dbraw/zinc/51/41/56/374514156.db2.gz IOMFITGMQLXNJU-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)CCS1 ZINC000076073620 374530827 /nfs/dbraw/zinc/53/08/27/374530827.db2.gz WGHWYHWTWLLYGE-LBPRGKRZSA-N 0 0 296.392 2.931 20 5 CFBDRN O=C(/C=C/C1CCC1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000492287807 246245957 /nfs/dbraw/zinc/24/59/57/246245957.db2.gz MTOQRLAWDRQHJN-QPJJXVBHSA-N 0 0 286.291 2.766 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000492318185 246254605 /nfs/dbraw/zinc/25/46/05/246254605.db2.gz LDWBUNQRFSDBFH-LYKUJDHUSA-N 0 0 296.273 2.708 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCc2ccncc21 ZINC000492432868 246290846 /nfs/dbraw/zinc/29/08/46/246290846.db2.gz ARSHPUQZQZSXLM-UTCJRWHESA-N 0 0 295.298 2.592 20 5 CFBDRN CCN(CC(F)F)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492437998 246292544 /nfs/dbraw/zinc/29/25/44/246292544.db2.gz IWCKSEDNJMRWMH-SREVYHEPSA-N 0 0 284.262 2.722 20 5 CFBDRN Cc1cccnc1/C=C\C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000492517892 246316650 /nfs/dbraw/zinc/31/66/50/246316650.db2.gz FTGOPBGQOLFHBY-FPLPWBNLSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1c(CS[C@H](C)[C@H](C)O)cccc1[N+](=O)[O-] ZINC000079075474 374591309 /nfs/dbraw/zinc/59/13/09/374591309.db2.gz NVSNYIDWUFSBEH-VHSXEESVSA-N 0 0 255.339 2.906 20 5 CFBDRN COCCC(C)(C)NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492615745 246343878 /nfs/dbraw/zinc/34/38/78/246343878.db2.gz AFPJEGLZJLGXOB-HJWRWDBZSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(/C=C/c1cccnc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000492702376 246363793 /nfs/dbraw/zinc/36/37/93/246363793.db2.gz GTXKSJPTIFKBIH-ONEGZZNKSA-N 0 0 287.250 2.781 20 5 CFBDRN O=C(CNc1ccc(Cl)cc1[N+](=O)[O-])N1CCCCC1 ZINC000079905195 374633623 /nfs/dbraw/zinc/63/36/23/374633623.db2.gz HCSMWHUERRDJNV-UHFFFAOYSA-N 0 0 297.742 2.673 20 5 CFBDRN C[C@H]1C[C@@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)CCO1 ZINC000571518832 383701797 /nfs/dbraw/zinc/70/17/97/383701797.db2.gz LGTIZHSCELLYPA-JQWIXIFHSA-N 0 0 291.351 2.848 20 5 CFBDRN CCOc1cc(CN[C@H](C)c2ccon2)ccc1[N+](=O)[O-] ZINC000492816513 246395543 /nfs/dbraw/zinc/39/55/43/246395543.db2.gz IFAPIQSHOOLOFP-SNVBAGLBSA-N 0 0 291.307 2.832 20 5 CFBDRN O=C(CCC(F)(F)F)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000079450363 374614557 /nfs/dbraw/zinc/61/45/57/374614557.db2.gz JGBPDAMSDAVTBT-UHFFFAOYSA-N 0 0 288.225 2.826 20 5 CFBDRN Cc1ccc(N2CCC([C@H](C)O)CC2)c([N+](=O)[O-])c1 ZINC000080637659 374665232 /nfs/dbraw/zinc/66/52/32/374665232.db2.gz DCGDSOBDLXTCKW-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN CC(C)C1(NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)CC1 ZINC000493022963 246461037 /nfs/dbraw/zinc/46/10/37/246461037.db2.gz LCAPPERKNZJSEK-VOTSOKGWSA-N 0 0 274.320 2.913 20 5 CFBDRN C[C@@H]1C[C@H]1Nc1ncc([N+](=O)[O-])cc1Br ZINC000081941452 374724258 /nfs/dbraw/zinc/72/42/58/374724258.db2.gz LHLLCVPSARXFGZ-SVGQVSJJSA-N 0 0 272.102 2.573 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccn(C)c1)c1ccccc1[N+](=O)[O-] ZINC000493208709 246521661 /nfs/dbraw/zinc/52/16/61/246521661.db2.gz QKJHEBNROWHIIJ-GUOLPTJISA-N 0 0 299.330 2.824 20 5 CFBDRN CCc1nsc(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000087640689 374825437 /nfs/dbraw/zinc/82/54/37/374825437.db2.gz GJEZPEUKLUMFAC-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN CCCCCC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089204882 374888529 /nfs/dbraw/zinc/88/85/29/374888529.db2.gz ZLIKWIUXPCFYAK-UHFFFAOYSA-N 0 0 276.296 2.990 20 5 CFBDRN CCC(CC)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089303990 374896904 /nfs/dbraw/zinc/89/69/04/374896904.db2.gz OKPHIAQFWYAVCG-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)c2cnn(C)c2)c1 ZINC000088725604 374860592 /nfs/dbraw/zinc/86/05/92/374860592.db2.gz CYSXDFOHEOZKPC-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN CS(=O)(=O)c1cccc(N[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000089620388 374930286 /nfs/dbraw/zinc/93/02/86/374930286.db2.gz MFXVPMGCPBWQIM-JTQLQIEISA-N 0 0 296.348 2.519 20 5 CFBDRN CCCCCC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089767783 374948462 /nfs/dbraw/zinc/94/84/62/374948462.db2.gz RTVDINGEDJYCHU-UHFFFAOYSA-N 0 0 264.325 2.834 20 5 CFBDRN CCC[C@@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CCO1 ZINC000089469313 374911552 /nfs/dbraw/zinc/91/15/52/374911552.db2.gz QVLMAQYYAJWPQS-CQSZACIVSA-N 0 0 292.335 2.544 20 5 CFBDRN CC1=CCCN(C(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000493631306 246648750 /nfs/dbraw/zinc/64/87/50/246648750.db2.gz NMNBTXWIEONTRU-ALCCZGGFSA-N 0 0 290.294 2.926 20 5 CFBDRN Cc1cnc(N[C@@H](C)c2cccnc2)c([N+](=O)[O-])c1 ZINC000090692003 375023474 /nfs/dbraw/zinc/02/34/74/375023474.db2.gz FOGFDAFUHJIMLD-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ccc(C)nc2)c1 ZINC000090794501 375027420 /nfs/dbraw/zinc/02/74/20/375027420.db2.gz YHWBYGNWCROBNA-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCOCC(F)(F)F)c1 ZINC000090790203 375028264 /nfs/dbraw/zinc/02/82/64/375028264.db2.gz CPDVVQYKAYLJMN-UHFFFAOYSA-N 0 0 294.229 2.594 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2ncn(-c3ccccc3)n2)c1 ZINC000092250407 375097744 /nfs/dbraw/zinc/09/77/44/375097744.db2.gz QGPXQVLXTNGVAB-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC[C@H]1CC12CC2 ZINC000493730440 246684445 /nfs/dbraw/zinc/68/44/45/246684445.db2.gz XTDHXXVFINBOJC-FOQNGQEVSA-N 0 0 272.304 2.524 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000092656706 375135594 /nfs/dbraw/zinc/13/55/94/375135594.db2.gz YXTLVYPCQUCXHS-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCS[C@H]2CCCC[C@H]21 ZINC000175444046 296227703 /nfs/dbraw/zinc/22/77/03/296227703.db2.gz KNEIAELVZMRILX-KOLCDFICSA-N 0 0 296.348 2.688 20 5 CFBDRN COCC[C@H](C)NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000094083345 375340333 /nfs/dbraw/zinc/34/03/33/375340333.db2.gz DLXRRDGCRFDNLS-NSHDSACASA-N 0 0 294.351 2.675 20 5 CFBDRN CC[C@H](CCO)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000094957366 375398012 /nfs/dbraw/zinc/39/80/12/375398012.db2.gz QDAVVZMWALRCFE-LLVKDONJSA-N 0 0 252.314 2.724 20 5 CFBDRN COc1ccc(CNc2cc(C)ccc2[N+](=O)[O-])cn1 ZINC000094659656 375373406 /nfs/dbraw/zinc/37/34/06/375373406.db2.gz DMUQPQJJPJVKIW-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCCOC3CCCC3)nc2c1 ZINC000094771937 375377876 /nfs/dbraw/zinc/37/78/76/375377876.db2.gz AHGOKPYSCJFXPJ-UHFFFAOYSA-N 0 0 290.323 2.842 20 5 CFBDRN Cc1ncsc1CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000094771918 375377914 /nfs/dbraw/zinc/37/79/14/375377914.db2.gz DUANVIYRVHPJCA-UHFFFAOYSA-N 0 0 289.320 2.848 20 5 CFBDRN CCCN(CC(=O)OCC)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094775818 375380011 /nfs/dbraw/zinc/38/00/11/375380011.db2.gz PLGGBWWSAUTCEX-UHFFFAOYSA-N 0 0 298.314 2.509 20 5 CFBDRN Cc1cc(NC[C@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000094804803 375381645 /nfs/dbraw/zinc/38/16/45/375381645.db2.gz PESDRRYAOTYRFR-ZDUSSCGKSA-N 0 0 250.298 2.884 20 5 CFBDRN O=C(OC1CCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000495913851 246930151 /nfs/dbraw/zinc/93/01/51/246930151.db2.gz KEQGCLQPUPESRG-UHFFFAOYSA-N 0 0 262.265 2.646 20 5 CFBDRN Cc1ncc(CNC(=O)Nc2cccc([N+](=O)[O-])c2)s1 ZINC000098022744 375591875 /nfs/dbraw/zinc/59/18/75/375591875.db2.gz FQXVRFQUILHGMY-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN C[C@@H]1CC[N@H+](CCOc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000501795807 247106331 /nfs/dbraw/zinc/10/63/31/247106331.db2.gz SOLBHWGVAXHNIJ-CHWSQXEVSA-N 0 0 278.352 2.952 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000505634526 247146520 /nfs/dbraw/zinc/14/65/20/247146520.db2.gz HWFAUGJONAIITG-OCCSQVGLSA-N 0 0 278.352 2.903 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000509299247 247186237 /nfs/dbraw/zinc/18/62/37/247186237.db2.gz ALDGRSDPOPCOET-JEZHCXPESA-N 0 0 284.262 2.673 20 5 CFBDRN COCCCCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000103375458 375736424 /nfs/dbraw/zinc/73/64/24/375736424.db2.gz SFDBMYDBZGUCIO-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1cc(NCc2ccc([N+](=O)[O-])cc2)ccc1C(N)=O ZINC000103464673 375747869 /nfs/dbraw/zinc/74/78/69/375747869.db2.gz KCBCTTVJQRFNLE-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccoc1 ZINC000103930624 375762084 /nfs/dbraw/zinc/76/20/84/375762084.db2.gz VTMPZEDVSNBXBY-UHFFFAOYSA-N 0 0 298.258 2.626 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2C1 ZINC000105981621 375794385 /nfs/dbraw/zinc/79/43/85/375794385.db2.gz LOCWPNZYZMLKLC-UHFFFAOYSA-N 0 0 268.272 2.751 20 5 CFBDRN C[C@H](Cn1cccn1)NCc1c(Cl)cccc1[N+](=O)[O-] ZINC000111333742 375837892 /nfs/dbraw/zinc/83/78/92/375837892.db2.gz KGPKWIAYGXDNEK-SNVBAGLBSA-N 0 0 294.742 2.623 20 5 CFBDRN COC(=O)c1ccc(Oc2ccncc2[N+](=O)[O-])cc1 ZINC000111540317 375854691 /nfs/dbraw/zinc/85/46/91/375854691.db2.gz CZSDXAREZDSDJO-UHFFFAOYSA-N 0 0 274.232 2.569 20 5 CFBDRN COCCN(Cc1csc([N+](=O)[O-])c1)CC(F)(F)F ZINC000112349273 375911194 /nfs/dbraw/zinc/91/11/94/375911194.db2.gz OQJHRSWGOFKSMD-UHFFFAOYSA-N 0 0 298.286 2.667 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H]1CCCOC1 ZINC000112209118 375889918 /nfs/dbraw/zinc/88/99/18/375889918.db2.gz SXNGIYNIYQGPPZ-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN CCCCCN(CCO)Cc1c(F)cccc1[N+](=O)[O-] ZINC000112613121 375937151 /nfs/dbraw/zinc/93/71/51/375937151.db2.gz JJDQVUHSUGHZQY-UHFFFAOYSA-N 0 0 284.331 2.718 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)CC2CC2)cccc1[N+](=O)[O-] ZINC000112608400 375937800 /nfs/dbraw/zinc/93/78/00/375937800.db2.gz KHMGHIHJURDIJX-VIFPVBQESA-N 0 0 262.309 2.822 20 5 CFBDRN CC1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000112685154 375944225 /nfs/dbraw/zinc/94/42/25/375944225.db2.gz CWKCDYJBBAOQGE-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])cc2Cl)COC1 ZINC000112695957 375946655 /nfs/dbraw/zinc/94/66/55/375946655.db2.gz UBPKPZGUOJYEIN-UHFFFAOYSA-N 0 0 256.689 2.697 20 5 CFBDRN Cc1cc(Cn2cc([N+](=O)[O-])ccc2=O)c2ccccc2n1 ZINC000114095771 376047138 /nfs/dbraw/zinc/04/71/38/376047138.db2.gz JBCODPIDVSWJEA-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN COC(=O)[C@@H](C)CSCCOc1ccccc1[N+](=O)[O-] ZINC000115196119 376114242 /nfs/dbraw/zinc/11/42/42/376114242.db2.gz QXWYONWKYJBBBD-JTQLQIEISA-N 0 0 299.348 2.516 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N(CC1CC1)CC1CC1 ZINC000115251715 376119151 /nfs/dbraw/zinc/11/91/51/376119151.db2.gz JWPXFWBHUJEXNY-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2nccc(C)c2[N+](=O)[O-])cc1 ZINC000115515896 376134676 /nfs/dbraw/zinc/13/46/76/376134676.db2.gz AEPUGOSLBGRCHX-ZDUSSCGKSA-N 0 0 287.319 2.752 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cc1)c1nccs1 ZINC000116138826 376166087 /nfs/dbraw/zinc/16/60/87/376166087.db2.gz ZWASSMVQYPFBLC-UHFFFAOYSA-N 0 0 291.332 2.716 20 5 CFBDRN CC1(C)COCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116273744 376175959 /nfs/dbraw/zinc/17/59/59/376175959.db2.gz BGDHJTCWSPIMQO-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cnc2C)CC1 ZINC000117153518 376227414 /nfs/dbraw/zinc/22/74/14/376227414.db2.gz LTMPCRVAVKXLEF-LBPRGKRZSA-N 0 0 291.351 2.951 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2cnc(-c3ccccc3)n2)cs1 ZINC000117557169 376247215 /nfs/dbraw/zinc/24/72/15/376247215.db2.gz YUWKBOIVVASJOS-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN CCCCOCC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000118213317 376276574 /nfs/dbraw/zinc/27/65/74/376276574.db2.gz QGOBCKGWUUZWJX-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN COC(=O)c1cc(NC(=O)C2CCCC2)cc([N+](=O)[O-])c1 ZINC000118723781 376311831 /nfs/dbraw/zinc/31/18/31/376311831.db2.gz NFDXXHGWQZZYPL-UHFFFAOYSA-N 0 0 292.291 2.510 20 5 CFBDRN CCCC1(CNC(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000118963246 376328419 /nfs/dbraw/zinc/32/84/19/376328419.db2.gz KKTVWBFXAYEIQA-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN CCCOCC(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000118296678 376286285 /nfs/dbraw/zinc/28/62/85/376286285.db2.gz LADCQOPJSKRMRO-UHFFFAOYSA-N 0 0 287.699 2.889 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccsc1 ZINC000118628614 376305050 /nfs/dbraw/zinc/30/50/50/376305050.db2.gz CQOZYZANQKFFEC-UHFFFAOYSA-N 0 0 262.290 2.838 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000119391740 376406288 /nfs/dbraw/zinc/40/62/88/376406288.db2.gz GUXQTWFAUPXKFG-GFCCVEGCSA-N 0 0 276.336 2.992 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000263735308 190075624 /nfs/dbraw/zinc/07/56/24/190075624.db2.gz AJXJGFQJFAPQBJ-UHFFFAOYSA-N 0 0 290.323 2.589 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)CC(C)(C)C)c1 ZINC000263752673 190076329 /nfs/dbraw/zinc/07/63/29/190076329.db2.gz IVUNUVGNWNLFLE-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CO[C@@H](C)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000263784415 190078600 /nfs/dbraw/zinc/07/86/00/190078600.db2.gz IVZYFRGLFPLDQU-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCOc1ccccc1Cl ZINC000119715039 376457696 /nfs/dbraw/zinc/45/76/96/376457696.db2.gz HWLKSIOAROUJMA-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1C1CCC1 ZINC000263999999 190088597 /nfs/dbraw/zinc/08/85/97/190088597.db2.gz RHJDYZYNZYJLKY-HNNXBMFYSA-N 0 0 288.347 2.928 20 5 CFBDRN CN(CC(C)(C)C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000263829363 190081141 /nfs/dbraw/zinc/08/11/41/190081141.db2.gz OPHBXNYVVLDWSZ-UHFFFAOYSA-N 0 0 279.340 2.511 20 5 CFBDRN O=[N+]([O-])c1ccccc1OS(=O)(=O)C1CCCCC1 ZINC000264135890 190092760 /nfs/dbraw/zinc/09/27/60/190092760.db2.gz NJXLJRSHQIIHMT-UHFFFAOYSA-N 0 0 285.321 2.636 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000120009718 376526327 /nfs/dbraw/zinc/52/63/27/376526327.db2.gz UCHRQYQYDUXIGR-GWCFXTLKSA-N 0 0 292.335 2.514 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000264233967 190098467 /nfs/dbraw/zinc/09/84/67/190098467.db2.gz ISTAPUXWLLSWFN-RYUDHWBXSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1n[nH]c(C(=O)NC(C)(C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000264318426 190103380 /nfs/dbraw/zinc/10/33/80/190103380.db2.gz WYYOUMQNBHRTGD-UHFFFAOYSA-N 0 0 282.344 2.571 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000264320599 190103747 /nfs/dbraw/zinc/10/37/47/190103747.db2.gz UBVMUGNCOOGWFF-ZWNOBZJWSA-N 0 0 277.324 2.583 20 5 CFBDRN CC(C)CN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000264406345 190107734 /nfs/dbraw/zinc/10/77/34/190107734.db2.gz KPIXEPZTSJWSMK-UHFFFAOYSA-N 0 0 267.329 2.677 20 5 CFBDRN COc1ccc(CN(C)c2ncc([N+](=O)[O-])cc2C)cc1 ZINC000264463710 190111476 /nfs/dbraw/zinc/11/14/76/190111476.db2.gz LCJWNMMXPPREHP-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCOc3ccccc32)nc1 ZINC000264533937 190116946 /nfs/dbraw/zinc/11/69/46/190116946.db2.gz FGYPMDUGCBTXGN-NSHDSACASA-N 0 0 285.303 2.968 20 5 CFBDRN Cc1ccnc(NCc2ccc3c(c2)COC3)c1[N+](=O)[O-] ZINC000432035091 383714805 /nfs/dbraw/zinc/71/48/05/383714805.db2.gz DMVHIDXJANTPFJ-UHFFFAOYSA-N 0 0 285.303 2.941 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(C[C@@H]2CCCO2)no1 ZINC000264595270 190121219 /nfs/dbraw/zinc/12/12/19/190121219.db2.gz KAEAVSHVCAVLKD-NSHDSACASA-N 0 0 289.291 2.675 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Sc2n[nH]c(C(C)(C)C)n2)n1 ZINC000120786981 376692328 /nfs/dbraw/zinc/69/23/28/376692328.db2.gz SVDFHXKYWPORGM-UHFFFAOYSA-N 0 0 293.352 2.865 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000264524133 190115791 /nfs/dbraw/zinc/11/57/91/190115791.db2.gz LRDRSLCFZQHHQA-HAQNSBGRSA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000264525999 190116256 /nfs/dbraw/zinc/11/62/56/190116256.db2.gz LWFJJTWDIVJMTF-CZMCAQCFSA-N 0 0 268.338 2.965 20 5 CFBDRN CN(CCc1ccncc1)Cc1c(F)cccc1[N+](=O)[O-] ZINC000121563525 376859437 /nfs/dbraw/zinc/85/94/37/376859437.db2.gz KYZHHIQYKJXGON-UHFFFAOYSA-N 0 0 289.310 2.803 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CCCCCC1 ZINC000121607193 376868880 /nfs/dbraw/zinc/86/88/80/376868880.db2.gz HKPKJJOFTAXLRQ-UHFFFAOYSA-N 0 0 280.299 2.679 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000121824223 376915093 /nfs/dbraw/zinc/91/50/93/376915093.db2.gz XKCSLSSWXTUUFV-BXUZGUMPSA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1cccc(OCc2cccc(C(N)=O)c2)c1[N+](=O)[O-] ZINC000123948586 376999134 /nfs/dbraw/zinc/99/91/34/376999134.db2.gz HQUASSHECLWYOV-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN O=C(N[C@H]1CCCc2occc21)c1ccc([N+](=O)[O-])o1 ZINC000124748075 377085286 /nfs/dbraw/zinc/08/52/86/377085286.db2.gz YEZHRXUFPBGZLD-VIFPVBQESA-N 0 0 276.248 2.588 20 5 CFBDRN CCc1nc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)cs1 ZINC000264710842 190130152 /nfs/dbraw/zinc/13/01/52/190130152.db2.gz GIFRRPWWXMGNDR-SSDOTTSWSA-N 0 0 281.341 2.520 20 5 CFBDRN CN(CCNc1ccc(F)cc1[N+](=O)[O-])CC(F)(F)F ZINC000264854702 190139404 /nfs/dbraw/zinc/13/94/04/190139404.db2.gz PWNGNCGBWDEQJI-UHFFFAOYSA-N 0 0 295.236 2.640 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NC(C1CC1)C1CC1 ZINC000126738231 377244776 /nfs/dbraw/zinc/24/47/76/377244776.db2.gz VLAURFVQXKQHSE-UHFFFAOYSA-N 0 0 292.310 2.581 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N(Cc1cccc(F)c1)C1CC1 ZINC000264886478 190141802 /nfs/dbraw/zinc/14/18/02/190141802.db2.gz DXXPMLYIXUXOPI-UHFFFAOYSA-N 0 0 290.298 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccn(C3CCCC3)n2)cn1 ZINC000432078602 383720203 /nfs/dbraw/zinc/72/02/03/383720203.db2.gz QBQXWIQNOSDJKK-UHFFFAOYSA-N 0 0 287.323 2.914 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000128965139 377365249 /nfs/dbraw/zinc/36/52/49/377365249.db2.gz TUWYKSCOFYVBQE-STQMWFEESA-N 0 0 287.319 2.714 20 5 CFBDRN CC1(C)[C@H](Nc2ccc3cc([N+](=O)[O-])ccc3n2)C[C@@H]1O ZINC000129106822 377385561 /nfs/dbraw/zinc/38/55/61/377385561.db2.gz YVEPKDFRNJDQEF-OLZOCXBDSA-N 0 0 287.319 2.714 20 5 CFBDRN CCC(C)(CC)NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000265009720 190151779 /nfs/dbraw/zinc/15/17/79/190151779.db2.gz KYLBXGICYNKCKC-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CC(C)(C)C(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000264979307 190149547 /nfs/dbraw/zinc/14/95/47/190149547.db2.gz GQZQVCXULXPRCI-UHFFFAOYSA-N 0 0 284.699 2.802 20 5 CFBDRN COc1ccc(NC(=O)C[C@H](C)SC)c([N+](=O)[O-])c1 ZINC000130106506 377511474 /nfs/dbraw/zinc/51/14/74/377511474.db2.gz VVPWTHSPTAFVOJ-QMMMGPOBSA-N 0 0 284.337 2.684 20 5 CFBDRN C[C@H](NC(=O)CCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000265151346 190161834 /nfs/dbraw/zinc/16/18/34/190161834.db2.gz CNNJETHMWKPQQO-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN CC(C)N1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1=O ZINC000265126671 190159674 /nfs/dbraw/zinc/15/96/74/190159674.db2.gz LMWSQBMXCXIEEL-GFCCVEGCSA-N 0 0 297.742 2.669 20 5 CFBDRN CC(C)N1CC[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1=O ZINC000265126673 190159742 /nfs/dbraw/zinc/15/97/42/190159742.db2.gz LMWSQBMXCXIEEL-LBPRGKRZSA-N 0 0 297.742 2.669 20 5 CFBDRN CC(=O)c1ccc(Oc2c([N+](=O)[O-])c(C)nn2C)c(C)c1 ZINC000265134078 190160216 /nfs/dbraw/zinc/16/02/16/190160216.db2.gz NXKCFDOWHGTGEB-UHFFFAOYSA-N 0 0 289.291 2.940 20 5 CFBDRN Cc1noc(C)c1CCNc1nccc(C)c1[N+](=O)[O-] ZINC000265197594 190165131 /nfs/dbraw/zinc/16/51/31/190165131.db2.gz LZXBSQXJOMIQPQ-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN COc1cccc(CN(C)c2nccc(C)c2[N+](=O)[O-])c1 ZINC000265237036 190168553 /nfs/dbraw/zinc/16/85/53/190168553.db2.gz OBQLHZBLMYVSLZ-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cc1Cl)Cn1ccnc1 ZINC000265476981 190185069 /nfs/dbraw/zinc/18/50/69/190185069.db2.gz BGXJIOGYKMUMBV-VIFPVBQESA-N 0 0 295.730 2.588 20 5 CFBDRN CC[C@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265692154 190200553 /nfs/dbraw/zinc/20/05/53/190200553.db2.gz GRUJRGYCFYTUTO-JTQLQIEISA-N 0 0 264.325 2.787 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@](C)(O)c1cccs1 ZINC000265759630 190204985 /nfs/dbraw/zinc/20/49/85/190204985.db2.gz AQKLDEGPNBUVHV-ZDUSSCGKSA-N 0 0 293.348 2.679 20 5 CFBDRN CC(C)(O)CCSCc1ccc([N+](=O)[O-])cc1 ZINC000131122302 377660507 /nfs/dbraw/zinc/66/05/07/377660507.db2.gz QSOWNFBXAVUVPO-UHFFFAOYSA-N 0 0 255.339 2.989 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC3(CCC3)C2)nc2sccn21 ZINC000131333589 377695490 /nfs/dbraw/zinc/69/54/90/377695490.db2.gz AKQOIGGTEDHTIK-UHFFFAOYSA-N 0 0 278.337 2.684 20 5 CFBDRN C[C@H]1CCN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000265827684 190210696 /nfs/dbraw/zinc/21/06/96/190210696.db2.gz SFGYBNGJFZWHOP-NSHDSACASA-N 0 0 276.336 2.741 20 5 CFBDRN C[C@@H]1CCN(C(=O)CNc2ccccc2[N+](=O)[O-])C[C@@H]1C ZINC000131731347 377753456 /nfs/dbraw/zinc/75/34/56/377753456.db2.gz KGQFZLYNDIKAGZ-NEPJUHHUSA-N 0 0 291.351 2.511 20 5 CFBDRN CC[C@@H](C)OCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000131843443 377767435 /nfs/dbraw/zinc/76/74/35/377767435.db2.gz HWJQETVKIVFOKP-SECBINFHSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)CC[C@@H]1C ZINC000131850408 377767730 /nfs/dbraw/zinc/76/77/30/377767730.db2.gz MFZGHGWTIDVTQK-QWRGUYRKSA-N 0 0 262.309 2.713 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC000132393343 377833897 /nfs/dbraw/zinc/83/38/97/377833897.db2.gz PZERKWHMDPTIRB-UMVBOHGHSA-N 0 0 281.315 2.988 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132680104 377864890 /nfs/dbraw/zinc/86/48/90/377864890.db2.gz CGAAPUGGTSPLNI-LBPRGKRZSA-N 0 0 297.282 2.989 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132680284 377865301 /nfs/dbraw/zinc/86/53/01/377865301.db2.gz CGAAPUGGTSPLNI-GFCCVEGCSA-N 0 0 297.282 2.989 20 5 CFBDRN O=[N+]([O-])c1cc(NCCCCCCO)ccc1F ZINC000132479116 377843869 /nfs/dbraw/zinc/84/38/69/377843869.db2.gz MNABIVIISCDAQT-UHFFFAOYSA-N 0 0 256.277 2.699 20 5 CFBDRN CC[C@H](C)C(=O)COc1cc(C)ccc1[N+](=O)[O-] ZINC000133102461 377903458 /nfs/dbraw/zinc/90/34/58/377903458.db2.gz VUPIZUBWWOXRRP-JTQLQIEISA-N 0 0 251.282 2.897 20 5 CFBDRN CCCc1nnc(Sc2cc(C)c([N+](=O)[O-])cn2)o1 ZINC000133152725 377909740 /nfs/dbraw/zinc/90/97/40/377909740.db2.gz NYZSUGJROFMBBS-UHFFFAOYSA-N 0 0 280.309 2.785 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@H]1CCCO1 ZINC000133163728 377910843 /nfs/dbraw/zinc/91/08/43/377910843.db2.gz YJOTUCXXOUUHNS-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN CCC[C@H](O)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000134446928 378016234 /nfs/dbraw/zinc/01/62/34/378016234.db2.gz VIFHSTXBKGETMG-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN CSC(C)(C)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000133886710 377965889 /nfs/dbraw/zinc/96/58/89/377965889.db2.gz JIKPKDUQHMVUEY-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN CCC[C@@H](O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000134446273 378016520 /nfs/dbraw/zinc/01/65/20/378016520.db2.gz VTALOKMQCKBXAP-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC1(O)CCCCC1 ZINC000133949922 377970220 /nfs/dbraw/zinc/97/02/20/377970220.db2.gz WTZDUGSTEMPRRL-UHFFFAOYSA-N 0 0 268.288 2.841 20 5 CFBDRN Cc1nc(NC(=O)CC[C@@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000134021651 377976394 /nfs/dbraw/zinc/97/63/94/377976394.db2.gz UJXCNJYNBWWLHB-KOLCDFICSA-N 0 0 293.323 2.584 20 5 CFBDRN O=C(NC[C@@H]1CCCS1)Nc1cccc([N+](=O)[O-])c1 ZINC000134073525 377980786 /nfs/dbraw/zinc/98/07/86/377980786.db2.gz PLTKCSYUPLUCPW-NSHDSACASA-N 0 0 281.337 2.612 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Br)N1CCCC1 ZINC000134204355 377992665 /nfs/dbraw/zinc/99/26/65/377992665.db2.gz WNZYKHXFJYBBDA-UHFFFAOYSA-N 0 0 299.124 2.593 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000265974142 190220127 /nfs/dbraw/zinc/22/01/27/190220127.db2.gz ANDJHJFKKIATAC-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN CSCC[C@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000134322908 378002384 /nfs/dbraw/zinc/00/23/84/378002384.db2.gz YZFGYPLUODHEHC-NSHDSACASA-N 0 0 296.392 2.737 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCSCC2)nc1 ZINC000134597946 378037001 /nfs/dbraw/zinc/03/70/01/378037001.db2.gz WLNKLCRLJZJRJV-UHFFFAOYSA-N 0 0 253.327 2.545 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2Cc1noc(C2CC2)n1 ZINC000135120301 378084029 /nfs/dbraw/zinc/08/40/29/378084029.db2.gz LWEBPPVLSKMEAI-UHFFFAOYSA-N 0 0 284.275 2.858 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2CCSCC2)c1 ZINC000266018867 190223475 /nfs/dbraw/zinc/22/34/75/190223475.db2.gz LBUMNLCZSOGFOA-UHFFFAOYSA-N 0 0 294.376 2.776 20 5 CFBDRN CCOc1cccc(N[C@H](C)Cn2ccnc2)c1[N+](=O)[O-] ZINC000134912271 378061690 /nfs/dbraw/zinc/06/16/90/378061690.db2.gz ZHCHIQHOPNLCTE-LLVKDONJSA-N 0 0 290.323 2.691 20 5 CFBDRN CCNC(=O)[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)C(C)C ZINC000432154930 383726415 /nfs/dbraw/zinc/72/64/15/383726415.db2.gz JAXRQOHKLIQUAQ-LBPRGKRZSA-N 0 0 299.758 2.821 20 5 CFBDRN CC(C)(C)c1noc(COc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000135340014 378120409 /nfs/dbraw/zinc/12/04/09/378120409.db2.gz ITIUMNKJEKAZFK-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN CCC(CC)(CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000135587888 378147235 /nfs/dbraw/zinc/14/72/35/378147235.db2.gz AMAMRPGSXUZOMM-UHFFFAOYSA-N 0 0 256.277 2.697 20 5 CFBDRN C[C@H](NC(=O)OC1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000289475614 197366144 /nfs/dbraw/zinc/36/61/44/197366144.db2.gz NOJVGNNYIYKZLU-JTQLQIEISA-N 0 0 294.307 2.561 20 5 CFBDRN COC1(C)CCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000271746966 284688073 /nfs/dbraw/zinc/68/80/73/284688073.db2.gz XUOFKVYOLSAJTD-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@@H]1CCCN1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000136839882 378212021 /nfs/dbraw/zinc/21/20/21/378212021.db2.gz FYOMUWGLJFIGSA-SNVBAGLBSA-N 0 0 287.319 2.630 20 5 CFBDRN CC(C)(C)OC(=O)[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1 ZINC000135980023 378174416 /nfs/dbraw/zinc/17/44/16/378174416.db2.gz POQDXNJMCJKFKV-ZDUSSCGKSA-N 0 0 292.335 2.905 20 5 CFBDRN CC(C)C1(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000150988582 378285221 /nfs/dbraw/zinc/28/52/21/378285221.db2.gz SJQKXAPFDSWGBS-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN CC[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1ncc[nH]1 ZINC000139787520 378236166 /nfs/dbraw/zinc/23/61/66/378236166.db2.gz KZJSCUKAPXJGQC-QMMMGPOBSA-N 0 0 281.703 2.930 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)c1ncc[nH]1 ZINC000139773731 378237509 /nfs/dbraw/zinc/23/75/09/378237509.db2.gz WSPKQTAKHOMSCY-SECBINFHSA-N 0 0 261.285 2.585 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)c1ncc[nH]1 ZINC000140492423 378237933 /nfs/dbraw/zinc/23/79/33/378237933.db2.gz BRLBCDCPHIMJDV-GFCCVEGCSA-N 0 0 288.307 2.507 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000264332197 383727736 /nfs/dbraw/zinc/72/77/36/383727736.db2.gz XGAVZLYEGDKQOY-NEPJUHHUSA-N 0 0 291.351 2.702 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266053013 190226281 /nfs/dbraw/zinc/22/62/81/190226281.db2.gz OPOCINKFKUEWAH-LLVKDONJSA-N 0 0 264.325 2.784 20 5 CFBDRN O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1C[C@@H]2CCC[C@H]2C1 ZINC000148894844 378260359 /nfs/dbraw/zinc/26/03/59/378260359.db2.gz AYSZHPCVZZTOEP-IUCAKERBSA-N 0 0 296.273 2.745 20 5 CFBDRN CC(C)CC(CC(C)C)NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000152403007 378412827 /nfs/dbraw/zinc/41/28/27/378412827.db2.gz CAOARLHCIUBPJY-UHFFFAOYSA-N 0 0 282.344 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CCC[C@H]2C1 ZINC000152412889 378412993 /nfs/dbraw/zinc/41/29/93/378412993.db2.gz INAOSHGTICOZEW-RYUDHWBXSA-N 0 0 274.320 2.775 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@]1(C)CCCO1 ZINC000152546053 378426189 /nfs/dbraw/zinc/42/61/89/378426189.db2.gz WDUHNOGVTREVHD-AWEZNQCLSA-N 0 0 280.324 2.722 20 5 CFBDRN CC(C)c1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)no1 ZINC000152574513 378429223 /nfs/dbraw/zinc/42/92/23/378429223.db2.gz TTZFGGOYZBEMFJ-UHFFFAOYSA-N 0 0 275.264 2.959 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1Oc1cccnc1F ZINC000153929952 378524181 /nfs/dbraw/zinc/52/41/81/378524181.db2.gz MXAPSQUFZYYVAW-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN C[C@@H]1C[C@H](C)C[N@@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000330558834 378583821 /nfs/dbraw/zinc/58/38/21/378583821.db2.gz AJROTDPBQFMUNI-PHIMTYICSA-N 0 0 264.325 2.778 20 5 CFBDRN C[C@@H]1CCC[C@@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330414513 378548785 /nfs/dbraw/zinc/54/87/85/378548785.db2.gz TXMJBTFUQSXKSL-SKDRFNHKSA-N 0 0 250.298 2.579 20 5 CFBDRN C[C@H]1CCC[C@H](CCn2cc([N+](=O)[O-])ccc2=O)C1 ZINC000330440196 378556544 /nfs/dbraw/zinc/55/65/44/378556544.db2.gz PNPFEFHDAUQEOD-NWDGAFQWSA-N 0 0 264.325 2.973 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000330452141 378559389 /nfs/dbraw/zinc/55/93/89/378559389.db2.gz KIYAVLXGEOAEEM-DGCLKSJQSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000330502278 378571765 /nfs/dbraw/zinc/57/17/65/378571765.db2.gz BHJLTEUPHFGXBJ-AWEZNQCLSA-N 0 0 264.325 2.596 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000266126481 190232566 /nfs/dbraw/zinc/23/25/66/190232566.db2.gz VJAUNCCPXHIPST-GXTWGEPZSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000331079966 378627959 /nfs/dbraw/zinc/62/79/59/378627959.db2.gz NTFXBNLGKXUJEQ-DTWKUNHWSA-N 0 0 268.338 2.822 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000331079969 378628711 /nfs/dbraw/zinc/62/87/11/378628711.db2.gz NTFXBNLGKXUJEQ-IUCAKERBSA-N 0 0 268.338 2.822 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000331085277 378629921 /nfs/dbraw/zinc/62/99/21/378629921.db2.gz QLRUNELFJHAIRE-UWVGGRQHSA-N 0 0 294.355 2.607 20 5 CFBDRN CCC[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000330814901 378597469 /nfs/dbraw/zinc/59/74/69/378597469.db2.gz BYVLINVKHOZHRQ-LLVKDONJSA-N 0 0 279.340 2.728 20 5 CFBDRN CC[C@@H](C)N(C(=O)c1ccc([N+](=O)[O-])n1C)[C@H](C)CC ZINC000330958272 378604944 /nfs/dbraw/zinc/60/49/44/378604944.db2.gz WMASPSIPWPFOOJ-GHMZBOCLSA-N 0 0 281.356 2.973 20 5 CFBDRN CC(C)CCC1CCN(Cc2c([N+](=O)[O-])ncn2C)CC1 ZINC000330968181 378606695 /nfs/dbraw/zinc/60/66/95/378606695.db2.gz LUVHIRCINACPCR-UHFFFAOYSA-N 0 0 294.399 2.977 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000330968233 378606822 /nfs/dbraw/zinc/60/68/22/378606822.db2.gz DTNRAJIXIYIRDP-NEPJUHHUSA-N 0 0 293.367 2.974 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@H](O)C1CCCCC1 ZINC000331020162 378616429 /nfs/dbraw/zinc/61/64/29/378616429.db2.gz OLTSBNZPRHSYTC-CYBMUJFWSA-N 0 0 296.298 2.614 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000331033473 378617286 /nfs/dbraw/zinc/61/72/86/378617286.db2.gz JMXRQZHTWNPUTJ-SNVBAGLBSA-N 0 0 294.376 2.699 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000331064449 378624837 /nfs/dbraw/zinc/62/48/37/378624837.db2.gz DXBHNIXEMVWMDK-GHMZBOCLSA-N 0 0 262.309 2.761 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CO[C@H](C)C1 ZINC000331257711 378659790 /nfs/dbraw/zinc/65/97/90/378659790.db2.gz DIBCXLXDNUJVCH-GHMZBOCLSA-N 0 0 278.308 2.575 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000331334943 378671732 /nfs/dbraw/zinc/67/17/32/378671732.db2.gz XJAZLFHAFUZDQH-RYUDHWBXSA-N 0 0 276.336 2.784 20 5 CFBDRN CCOCCC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000158925109 378675197 /nfs/dbraw/zinc/67/51/97/378675197.db2.gz ZLYUPRCVKKLENK-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN CC(C)[C@@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000159180445 378690360 /nfs/dbraw/zinc/69/03/60/378690360.db2.gz UMPMENUAYDCIOB-MRVPVSSYSA-N 0 0 254.261 2.508 20 5 CFBDRN CC(C)CC[C@H](NC(=O)c1[nH]ncc1[N+](=O)[O-])C(C)(C)C ZINC000159974800 378740427 /nfs/dbraw/zinc/74/04/27/378740427.db2.gz AKNVFNGBQQNNLH-NSHDSACASA-N 0 0 296.371 2.899 20 5 CFBDRN CC[C@@H](C)OCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000159332833 378701459 /nfs/dbraw/zinc/70/14/59/378701459.db2.gz VXFJGSGTEJLTHK-MNOVXSKESA-N 0 0 280.324 2.587 20 5 CFBDRN CC[C@@H](C)OCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000159333091 378701524 /nfs/dbraw/zinc/70/15/24/378701524.db2.gz VXFJGSGTEJLTHK-GHMZBOCLSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1ccc(N[C@@H](C)[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000159607583 378720399 /nfs/dbraw/zinc/72/03/99/378720399.db2.gz FBJIBSSBBRMBEO-WDEREUQCSA-N 0 0 250.298 2.740 20 5 CFBDRN CCCC1(CNC(=O)c2ccc([N+](=O)[O-])n2C)CCC1 ZINC000332038167 378721032 /nfs/dbraw/zinc/72/10/32/378721032.db2.gz PRMLRFNSJDXCLJ-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H]2C2CC2)c(Cl)c1 ZINC000159636950 378721894 /nfs/dbraw/zinc/72/18/94/378721894.db2.gz RESXSRSUJZMIKY-CYBMUJFWSA-N 0 0 282.727 2.863 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000332129215 378728146 /nfs/dbraw/zinc/72/81/46/378728146.db2.gz JKCZQLZFUUCPAJ-VXNVDRBHSA-N 0 0 268.700 2.634 20 5 CFBDRN C[C@@H]1CCCCN1Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000332168252 378732951 /nfs/dbraw/zinc/73/29/51/378732951.db2.gz BKZFZOFSGAUCOL-SNVBAGLBSA-N 0 0 274.324 2.846 20 5 CFBDRN Cc1cnc(NCCCC(C)(C)CO)c([N+](=O)[O-])c1 ZINC000266181251 190237712 /nfs/dbraw/zinc/23/77/12/190237712.db2.gz CJUXNYKMXJRTEG-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN CCC(C)(C)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000160573657 378782181 /nfs/dbraw/zinc/78/21/81/378782181.db2.gz YUOPTIOQKMJUBU-UHFFFAOYSA-N 0 0 264.325 2.751 20 5 CFBDRN CNc1c(C(=O)N2C[C@H](C)C[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000161421783 378845750 /nfs/dbraw/zinc/84/57/50/378845750.db2.gz LDCTZYHXZXDYKD-PHIMTYICSA-N 0 0 291.351 2.755 20 5 CFBDRN CS[C@H](C)CNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000161441345 378847797 /nfs/dbraw/zinc/84/77/97/378847797.db2.gz HUMUAICFQRUYIA-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN Cc1nc(NCc2ccc3c(c2)OCO3)ccc1[N+](=O)[O-] ZINC000161489863 378851631 /nfs/dbraw/zinc/85/16/31/378851631.db2.gz CVSALYBRQOPGKJ-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN C[C@@H]1CCCN1c1c(Br)cncc1[N+](=O)[O-] ZINC000161632989 378862745 /nfs/dbraw/zinc/86/27/45/378862745.db2.gz FOSHEQCRCTUIEB-SSDOTTSWSA-N 0 0 286.129 2.741 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@](C)(O)c1ccco1 ZINC000266277668 190245642 /nfs/dbraw/zinc/24/56/42/190245642.db2.gz SMEJDEGRXAAATE-AWEZNQCLSA-N 0 0 276.292 2.816 20 5 CFBDRN Cn1c(C(=O)N2CC=C(C(C)(C)C)CC2)ccc1[N+](=O)[O-] ZINC000334208666 378927320 /nfs/dbraw/zinc/92/73/20/378927320.db2.gz FINUETVLGILHCE-UHFFFAOYSA-N 0 0 291.351 2.752 20 5 CFBDRN CCOCCCCNc1cc(OC)ccc1[N+](=O)[O-] ZINC000266311470 190248086 /nfs/dbraw/zinc/24/80/86/190248086.db2.gz DLARZIFUYQLTQV-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN C[C@H]1OCC[C@@H]1SCCOc1cccc([N+](=O)[O-])c1 ZINC000163516836 378977933 /nfs/dbraw/zinc/97/79/33/378977933.db2.gz QONRVFXNSSYYRB-MFKMUULPSA-N 0 0 283.349 2.884 20 5 CFBDRN CN(CCC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000163550914 378979821 /nfs/dbraw/zinc/97/98/21/378979821.db2.gz KYOPKWFHWZFZDW-UHFFFAOYSA-N 0 0 263.297 2.859 20 5 CFBDRN CS[C@H](C)C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163911970 378997531 /nfs/dbraw/zinc/99/75/31/378997531.db2.gz UFYICOQCCBNBQG-SNVBAGLBSA-N 0 0 294.376 2.837 20 5 CFBDRN CC(=O)OCCCSc1c(F)cc([N+](=O)[O-])cc1F ZINC000278599897 194063132 /nfs/dbraw/zinc/06/31/32/194063132.db2.gz AMAAUMOBGDIQSQ-UHFFFAOYSA-N 0 0 291.275 2.918 20 5 CFBDRN C[C@@H]1C[C@@H]1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000266331699 190249837 /nfs/dbraw/zinc/24/98/37/190249837.db2.gz YUURZBWOKNGJSF-MUWHJKNJSA-N 0 0 288.225 2.752 20 5 CFBDRN CCCCCC[C@H](C)C(=O)NCCn1cc([N+](=O)[O-])cn1 ZINC000164504689 379017116 /nfs/dbraw/zinc/01/71/16/379017116.db2.gz FWHCPKNVUSCOOR-LBPRGKRZSA-N 0 0 296.371 2.514 20 5 CFBDRN COC(=O)c1cccnc1Nc1cccc([N+](=O)[O-])c1 ZINC000164989709 379029614 /nfs/dbraw/zinc/02/96/14/379029614.db2.gz KTSPXWQCKUUZRC-UHFFFAOYSA-N 0 0 273.248 2.520 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@H](C)C2)n1 ZINC000166672389 379059748 /nfs/dbraw/zinc/05/97/48/379059748.db2.gz JJFVTFAUDOKBKR-UWVGGRQHSA-N 0 0 293.323 2.767 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000167238140 379068869 /nfs/dbraw/zinc/06/88/69/379068869.db2.gz JSNYDHPNRQJPEB-YUMQZZPRSA-N 0 0 258.705 2.677 20 5 CFBDRN C[C@]1(O)CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000167350941 379070265 /nfs/dbraw/zinc/07/02/65/379070265.db2.gz SFLGBCSWLBXDRU-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334302546 379061575 /nfs/dbraw/zinc/06/15/75/379061575.db2.gz FLRKUDSGKCGDDY-JOYOIKCWSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1c(OCc2ncnn2C(C)C)cccc1[N+](=O)[O-] ZINC000278708008 194113281 /nfs/dbraw/zinc/11/32/81/194113281.db2.gz NWCCJNHDPHDBIV-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCCCC(C)(C)C1 ZINC000334315924 379081301 /nfs/dbraw/zinc/08/13/01/379081301.db2.gz RRJSIWIRMPAXQQ-UHFFFAOYSA-N 0 0 279.340 2.586 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCC(C)(C)O1)c1ccccc1[N+](=O)[O-] ZINC000278732006 194127804 /nfs/dbraw/zinc/12/78/04/194127804.db2.gz KYZXRQKJFJCMOT-MFKMUULPSA-N 0 0 292.335 2.730 20 5 CFBDRN COC1(c2ccccc2)CN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000343757716 284754281 /nfs/dbraw/zinc/75/42/81/284754281.db2.gz AUJRYIXQTOMALB-UHFFFAOYSA-N 0 0 299.330 2.660 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000372894558 296292687 /nfs/dbraw/zinc/29/26/87/296292687.db2.gz ZZYNBIZNZDQZMP-SNVBAGLBSA-N 0 0 289.335 2.582 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@H](C2CC2)C1 ZINC000334390830 379213281 /nfs/dbraw/zinc/21/32/81/379213281.db2.gz UJFUHHDETRQUHJ-JTQLQIEISA-N 0 0 278.283 2.606 20 5 CFBDRN CCSCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000266480014 190260213 /nfs/dbraw/zinc/26/02/13/190260213.db2.gz OHGUYVWNIFUOPK-VIFPVBQESA-N 0 0 285.369 2.527 20 5 CFBDRN COC1CCN(c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000279491591 284774416 /nfs/dbraw/zinc/77/44/16/284774416.db2.gz PHUQXQOPKAFPMM-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN CCc1n[nH]c(C(=O)NC2CCCCCCC2)c1[N+](=O)[O-] ZINC000171367416 379290044 /nfs/dbraw/zinc/29/00/44/379290044.db2.gz CJRQZVDVSSWMFZ-UHFFFAOYSA-N 0 0 294.355 2.723 20 5 CFBDRN CCC[C@]1(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCOC1 ZINC000287958408 196978282 /nfs/dbraw/zinc/97/82/82/196978282.db2.gz SRMHLIZCBKBWDE-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCS1 ZINC000266545060 190265893 /nfs/dbraw/zinc/26/58/93/190265893.db2.gz JPUQNWLSIHSSIX-LLVKDONJSA-N 0 0 266.322 2.737 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCCC(F)(F)F ZINC000266547757 190266055 /nfs/dbraw/zinc/26/60/55/190266055.db2.gz NWZIWDGXIHRWHG-UHFFFAOYSA-N 0 0 290.241 2.976 20 5 CFBDRN Cc1cnc(NCC2(CO)CC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000278893685 194199240 /nfs/dbraw/zinc/19/92/40/194199240.db2.gz PZRABSWXLUNOQU-UHFFFAOYSA-N 0 0 291.351 2.653 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CS[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000335451116 379318232 /nfs/dbraw/zinc/31/82/32/379318232.db2.gz FARUOIFFCRSIOB-GXSJLCMTSA-N 0 0 280.349 2.527 20 5 CFBDRN CC(C)OCCC[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000171497740 379322536 /nfs/dbraw/zinc/32/25/36/379322536.db2.gz SRHZPRBKJAAAOR-UHFFFAOYSA-N 0 0 266.341 2.842 20 5 CFBDRN COc1ccc(-c2nc(CC3CC3)no2)cc1[N+](=O)[O-] ZINC000266594430 190269495 /nfs/dbraw/zinc/26/94/95/190269495.db2.gz OQOGTJCLJGIJIZ-UHFFFAOYSA-N 0 0 275.264 2.606 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])CCS1 ZINC000171579807 379346076 /nfs/dbraw/zinc/34/60/76/379346076.db2.gz YSJSIGQYKFCUBR-MLRMMBSGSA-N 0 0 292.360 2.572 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC=C(F)C1 ZINC000334434455 379294413 /nfs/dbraw/zinc/29/44/13/379294413.db2.gz YKJYVBXXKYEVFZ-UHFFFAOYSA-N 0 0 284.674 2.948 20 5 CFBDRN CCCCc1nc(Cn2cccc([N+](=O)[O-])c2=O)cs1 ZINC000171415520 379303851 /nfs/dbraw/zinc/30/38/51/379303851.db2.gz GYCIRKQYHIZMFO-UHFFFAOYSA-N 0 0 293.348 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCS[C@H](C)C1 ZINC000171700807 379376760 /nfs/dbraw/zinc/37/67/60/379376760.db2.gz DXGZHCJORLHEJX-SNVBAGLBSA-N 0 0 282.365 2.541 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000171608334 379352822 /nfs/dbraw/zinc/35/28/22/379352822.db2.gz AVYIETUKKKESBZ-MRVPVSSYSA-N 0 0 256.302 2.676 20 5 CFBDRN C[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000171634817 379361983 /nfs/dbraw/zinc/36/19/83/379361983.db2.gz NIOGAZGBBQZFRK-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CC12CC2 ZINC000335517188 379437708 /nfs/dbraw/zinc/43/77/08/379437708.db2.gz XSTGATHPSSFNBB-LLVKDONJSA-N 0 0 274.320 2.802 20 5 CFBDRN CC(C)[C@@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000171927312 379441405 /nfs/dbraw/zinc/44/14/05/379441405.db2.gz VEABPDPNIFZGFW-SNVBAGLBSA-N 0 0 250.298 2.503 20 5 CFBDRN CCC1CN(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000334502280 379404089 /nfs/dbraw/zinc/40/40/89/379404089.db2.gz WDRKSLAOJQOUDC-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN Cc1c(C(=O)N2CC[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000334525138 379452639 /nfs/dbraw/zinc/45/26/39/379452639.db2.gz HWFYEBNRPYWQDC-ZDUSSCGKSA-N 0 0 260.293 2.528 20 5 CFBDRN CCOC(=O)CCN(C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432335329 383737776 /nfs/dbraw/zinc/73/77/76/383737776.db2.gz JXKFESGWZCMCJR-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C ZINC000335543151 379483055 /nfs/dbraw/zinc/48/30/55/379483055.db2.gz HSAUNIDHQCJKTR-NSHDSACASA-N 0 0 262.309 2.836 20 5 CFBDRN CCC(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334541376 379489440 /nfs/dbraw/zinc/48/94/40/379489440.db2.gz DOTBOOPKGSVLQS-LBPRGKRZSA-N 0 0 262.309 2.711 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)C1CCCC1 ZINC000172103510 379494627 /nfs/dbraw/zinc/49/46/27/379494627.db2.gz DNTKBOPWSBTGGE-MRVPVSSYSA-N 0 0 268.338 2.965 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000172274635 379530009 /nfs/dbraw/zinc/53/00/09/379530009.db2.gz VVACCHWSFHJSGA-GFCCVEGCSA-N 0 0 295.339 2.513 20 5 CFBDRN Cc1cc(NCCCC(C)(C)CO)ncc1[N+](=O)[O-] ZINC000266854635 190284667 /nfs/dbraw/zinc/28/46/67/190284667.db2.gz SPICKDZYQZYMEU-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN CN(c1ncccc1[N+](=O)[O-])[C@H](CCO)c1ccccc1 ZINC000266861838 190285109 /nfs/dbraw/zinc/28/51/09/190285109.db2.gz PCIRYRIDKCINOK-CYBMUJFWSA-N 0 0 287.319 2.550 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2nccs2)c1 ZINC000266856941 190285209 /nfs/dbraw/zinc/28/52/09/190285209.db2.gz URKFBIAYLONOPN-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN Cc1ccc(NCc2ccc(N(C)C)nc2)c([N+](=O)[O-])c1 ZINC000266994268 190295529 /nfs/dbraw/zinc/29/55/29/190295529.db2.gz WKHRSJZJUWRQHU-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1Cl ZINC000335601884 379586334 /nfs/dbraw/zinc/58/63/34/379586334.db2.gz QZXIAUJXHMDWMR-AYMMMOKOSA-N 0 0 280.711 2.777 20 5 CFBDRN COC1CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000266942066 190292131 /nfs/dbraw/zinc/29/21/31/190292131.db2.gz WTKPVFCAZBVQRU-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CC=CCC1 ZINC000172481077 379576787 /nfs/dbraw/zinc/57/67/87/379576787.db2.gz SLTKMXICEIHART-ZDUSSCGKSA-N 0 0 289.335 2.845 20 5 CFBDRN C[C@H](O)[C@H](C)SCc1ccc([N+](=O)[O-])cc1F ZINC000267080840 190302249 /nfs/dbraw/zinc/30/22/49/190302249.db2.gz VENLQGPOXDGRMS-YUMQZZPRSA-N 0 0 259.302 2.736 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCOC1CCOCC1 ZINC000267000643 190296097 /nfs/dbraw/zinc/29/60/97/190296097.db2.gz FNSTXDNCELUUJJ-UHFFFAOYSA-N 0 0 294.351 2.901 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000172642340 379613613 /nfs/dbraw/zinc/61/36/13/379613613.db2.gz FZGVRNILPHGPMO-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN CN(CC(=O)OC(C)(C)C)Cc1ccc([N+](=O)[O-])cc1F ZINC000267027876 190297890 /nfs/dbraw/zinc/29/78/90/190297890.db2.gz LZJXKFPSLSXDJO-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN CCOC[C@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000267035421 190298374 /nfs/dbraw/zinc/29/83/74/190298374.db2.gz BPHPCFVKXNXDAF-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN C[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000173036594 379687666 /nfs/dbraw/zinc/68/76/66/379687666.db2.gz WELBKRLAWNQZFV-LLVKDONJSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCO[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000267189670 190309933 /nfs/dbraw/zinc/30/99/33/190309933.db2.gz HBFLAXTTXHEBQJ-AAEUAGOBSA-N 0 0 279.340 2.771 20 5 CFBDRN CCCc1nc(CNc2cccc([N+](=O)[O-])c2C)no1 ZINC000172851245 379659385 /nfs/dbraw/zinc/65/93/85/379659385.db2.gz WAIIYEGYJLYAFE-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000267208137 190311866 /nfs/dbraw/zinc/31/18/66/190311866.db2.gz FHDVUHXORFORII-LLVKDONJSA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1CC1CCC1 ZINC000172919923 379670852 /nfs/dbraw/zinc/67/08/52/379670852.db2.gz AKSZCQFKZCPACJ-UHFFFAOYSA-N 0 0 274.118 2.662 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1CCCSC1 ZINC000267298498 190319490 /nfs/dbraw/zinc/31/94/90/190319490.db2.gz CFRWZIZSXQAKLY-JTQLQIEISA-N 0 0 281.337 2.612 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000335687835 379723969 /nfs/dbraw/zinc/72/39/69/379723969.db2.gz ROZSOYVYDRPLPY-YUMQZZPRSA-N 0 0 254.311 2.527 20 5 CFBDRN C[C@@H](CO)CSCCCOc1ccc([N+](=O)[O-])cc1 ZINC000173314152 379724110 /nfs/dbraw/zinc/72/41/10/379724110.db2.gz VZWLAGJPVISYPP-NSHDSACASA-N 0 0 285.365 2.725 20 5 CFBDRN COc1ccc(OCc2nc(C)cs2)c([N+](=O)[O-])c1 ZINC000173322914 379725863 /nfs/dbraw/zinc/72/58/63/379725863.db2.gz ALRAYAWOIBQDAP-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CN(CCc1cccs1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000173335487 379728215 /nfs/dbraw/zinc/72/82/15/379728215.db2.gz SPYUYXTULMCZJF-UHFFFAOYSA-N 0 0 280.305 2.564 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]1C1CC1 ZINC000334667892 379738042 /nfs/dbraw/zinc/73/80/42/379738042.db2.gz DPDOZVQBQUPJIN-ZDUSSCGKSA-N 0 0 260.293 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCOCC2)cc1OC(F)F ZINC000267267277 190315991 /nfs/dbraw/zinc/31/59/91/190315991.db2.gz YOWDNBMINBYULR-UHFFFAOYSA-N 0 0 288.250 2.787 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H]1CCCSC1 ZINC000267283734 190318178 /nfs/dbraw/zinc/31/81/78/190318178.db2.gz SUGNJMUGZBJLGS-ZCRIDZFUSA-N 0 0 292.360 2.620 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CC[C@@H]2CCC[C@H]21 ZINC000334708526 379802741 /nfs/dbraw/zinc/80/27/41/379802741.db2.gz OAIVSAXXXRZXIE-IINYFYTJSA-N 0 0 292.310 2.677 20 5 CFBDRN CC[C@@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000335714474 379770125 /nfs/dbraw/zinc/77/01/25/379770125.db2.gz RXXDMUNGNAYFPE-SNVBAGLBSA-N 0 0 265.313 2.718 20 5 CFBDRN COCCSc1ccc(/C=C/C(=O)OC)cc1[N+](=O)[O-] ZINC000173785376 379778851 /nfs/dbraw/zinc/77/88/51/379778851.db2.gz UHLOCQUNGGPMMY-GQCTYLIASA-N 0 0 297.332 2.520 20 5 CFBDRN COC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)c1ccco1 ZINC000267442900 190330948 /nfs/dbraw/zinc/33/09/48/190330948.db2.gz ZDQWCVHYKQOIOI-JTQLQIEISA-N 0 0 277.280 2.691 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCCC1CC1 ZINC000174124371 379832013 /nfs/dbraw/zinc/83/20/13/379832013.db2.gz LMOVERALBUYATI-UHFFFAOYSA-N 0 0 279.296 2.525 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000174177870 379840498 /nfs/dbraw/zinc/84/04/98/379840498.db2.gz VURWLAOKCHGVCR-SNVBAGLBSA-N 0 0 295.339 2.920 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC2(CCC2(F)F)C1 ZINC000335811117 379926707 /nfs/dbraw/zinc/92/67/07/379926707.db2.gz WVGGCOBGRBGPNC-UHFFFAOYSA-N 0 0 297.261 2.858 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCCC2(C)C)cc1[N+](=O)[O-] ZINC000174931709 379964766 /nfs/dbraw/zinc/96/47/66/379964766.db2.gz FAYYDQVAXBRBHX-ZDUSSCGKSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC1(C)C ZINC000174984486 379972725 /nfs/dbraw/zinc/97/27/25/379972725.db2.gz OEPYUDWSFSOPEM-GFCCVEGCSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1c(C(=O)N(C)[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000335839954 379974549 /nfs/dbraw/zinc/97/45/49/379974549.db2.gz JPYYHZJXQKSJMT-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000335841634 379977345 /nfs/dbraw/zinc/97/73/45/379977345.db2.gz WUDATTCISIPSRF-DTWKUNHWSA-N 0 0 298.289 2.672 20 5 CFBDRN COc1cc(N2CCS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000174728264 379933363 /nfs/dbraw/zinc/93/33/63/379933363.db2.gz DVYDTGDGWGQMTF-SECBINFHSA-N 0 0 268.338 2.545 20 5 CFBDRN CCC[C@@H]1C[C@H]1NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000267690757 190347477 /nfs/dbraw/zinc/34/74/77/190347477.db2.gz HUKFNYMKHBYSGQ-CHWSQXEVSA-N 0 0 291.351 2.625 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CCS1 ZINC000174775304 379937483 /nfs/dbraw/zinc/93/74/83/379937483.db2.gz AYCKFFXTICCZOL-LBPRGKRZSA-N 0 0 294.376 2.871 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000174794559 379942783 /nfs/dbraw/zinc/94/27/83/379942783.db2.gz ASDDIZFMRIYOMP-JTQLQIEISA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1cn(C[C@H]2CCCCC2(F)F)nc1[N+](=O)[O-] ZINC000334949920 379947281 /nfs/dbraw/zinc/94/72/81/379947281.db2.gz GQNIDIOBTFTGPT-SECBINFHSA-N 0 0 259.256 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1CCCCC1(F)F ZINC000334965396 379949128 /nfs/dbraw/zinc/94/91/28/379949128.db2.gz BJPWZSDNTQTZKB-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccsc1Cl ZINC000335824577 379950106 /nfs/dbraw/zinc/95/01/06/379950106.db2.gz MFBNOCCJRKTYCS-UHFFFAOYSA-N 0 0 285.712 2.901 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC12CCC2 ZINC000335037618 379961440 /nfs/dbraw/zinc/96/14/40/379961440.db2.gz GHJNVWBQVDDQBO-UHFFFAOYSA-N 0 0 274.320 2.980 20 5 CFBDRN CN(C(=O)C1CC(C)(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000335847945 379987892 /nfs/dbraw/zinc/98/78/92/379987892.db2.gz OVFVTTLQIWHZMA-UHFFFAOYSA-N 0 0 262.309 2.994 20 5 CFBDRN CSc1ccc(C(=O)NC2CC(C)C2)cc1[N+](=O)[O-] ZINC000267829333 190357481 /nfs/dbraw/zinc/35/74/81/190357481.db2.gz WJGNLNHFHXZKBH-UHFFFAOYSA-N 0 0 280.349 2.845 20 5 CFBDRN CCS[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000175270834 380034371 /nfs/dbraw/zinc/03/43/71/380034371.db2.gz YNGZCMZQYXWNOR-MWLCHTKSSA-N 0 0 298.364 2.982 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)[C@@H]1C ZINC000267722199 190350392 /nfs/dbraw/zinc/35/03/92/190350392.db2.gz UYNDZPAELVJNEV-MNOVXSKESA-N 0 0 264.325 2.976 20 5 CFBDRN CC1CC(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000267732595 190350883 /nfs/dbraw/zinc/35/08/83/190350883.db2.gz GKGARVHUYBLXBY-UHFFFAOYSA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CS[C@@H](C)C1 ZINC000335216626 380023017 /nfs/dbraw/zinc/02/30/17/380023017.db2.gz CWPBLRFEWGNODY-VHSXEESVSA-N 0 0 280.349 2.527 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1ccoc1C ZINC000288276755 197041729 /nfs/dbraw/zinc/04/17/29/197041729.db2.gz ZRYFLWFMXKJOFW-UHFFFAOYSA-N 0 0 278.312 2.657 20 5 CFBDRN CO[C@@H](C)CCNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000268000380 190368642 /nfs/dbraw/zinc/36/86/42/190368642.db2.gz UDVLQGAUYYRIGE-JTQLQIEISA-N 0 0 295.339 2.758 20 5 CFBDRN Cc1noc(NC(=O)CCc2cccc([N+](=O)[O-])c2)c1C ZINC000268010757 190369222 /nfs/dbraw/zinc/36/92/22/190369222.db2.gz QYVQJNNUUFXDNR-UHFFFAOYSA-N 0 0 289.291 2.771 20 5 CFBDRN CC(C)C1(CNC(=O)CNc2ccccc2[N+](=O)[O-])CC1 ZINC000175488243 380073584 /nfs/dbraw/zinc/07/35/84/380073584.db2.gz PYGJWHIJEBDBEO-UHFFFAOYSA-N 0 0 291.351 2.559 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000175832411 380140873 /nfs/dbraw/zinc/14/08/73/380140873.db2.gz OOKRQORRJJKUIN-JGVFFNPUSA-N 0 0 284.262 2.744 20 5 CFBDRN COc1cc(C(=O)N(C)[C@H](C)C2(C)CC2)ccc1[N+](=O)[O-] ZINC000175593699 380096639 /nfs/dbraw/zinc/09/66/39/380096639.db2.gz JSLWTZCNHZUZKA-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc(NC[C@H]2CCCC[C@@H]2O)c([N+](=O)[O-])cc1F ZINC000268168026 190382953 /nfs/dbraw/zinc/38/29/53/190382953.db2.gz IKJKRIWOKZQRLF-RNCFNFMXSA-N 0 0 298.314 2.706 20 5 CFBDRN COC(=O)CCCSc1cc(C)ccc1[N+](=O)[O-] ZINC000268050939 190372648 /nfs/dbraw/zinc/37/26/48/190372648.db2.gz SWCUDWDQMCLUMC-UHFFFAOYSA-N 0 0 269.322 2.949 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2c3ccccc3C[C@H]2O)c1 ZINC000268064173 190374075 /nfs/dbraw/zinc/37/40/75/190374075.db2.gz ABBWGURODLKNEN-HZPDHXFCSA-N 0 0 284.315 2.973 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000175973467 380164105 /nfs/dbraw/zinc/16/41/05/380164105.db2.gz DFVIFLNGCYEXQX-NEPJUHHUSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCC(F)(F)C1 ZINC000335966256 380171868 /nfs/dbraw/zinc/17/18/68/380171868.db2.gz DVFUZVVDZDBNRR-VIFPVBQESA-N 0 0 256.252 2.997 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)O1 ZINC000268300290 190393852 /nfs/dbraw/zinc/39/38/52/190393852.db2.gz WSLDOYZLDUVXEH-SKDRFNHKSA-N 0 0 282.271 2.630 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2C)O1 ZINC000268326441 190395190 /nfs/dbraw/zinc/39/51/90/190395190.db2.gz SOPRDFTWYLZFKT-MFKMUULPSA-N 0 0 279.292 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000176547909 380253107 /nfs/dbraw/zinc/25/31/07/380253107.db2.gz YLZWSIYYJNMSPH-VXGBXAGGSA-N 0 0 260.293 2.888 20 5 CFBDRN Cc1c(NC(=O)[C@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000176548625 380253991 /nfs/dbraw/zinc/25/39/91/380253991.db2.gz DGNJBYZAYFDLQB-QWRGUYRKSA-N 0 0 260.293 2.888 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ncc(-c2cccc(F)c2)o1 ZINC000177254569 380321865 /nfs/dbraw/zinc/32/18/65/380321865.db2.gz AJNBZGLOSDUDRU-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1COc1cccc(CO)c1 ZINC000177097081 380304453 /nfs/dbraw/zinc/30/44/53/380304453.db2.gz XCTPOPJUVBYSBT-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC(C2CCC2)C1 ZINC000336511607 380388609 /nfs/dbraw/zinc/38/86/09/380388609.db2.gz BODBGBOHNYTPPP-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000279062406 194268368 /nfs/dbraw/zinc/26/83/68/194268368.db2.gz LAFUMSRYMUUTSJ-CMPLNLGQSA-N 0 0 278.308 2.657 20 5 CFBDRN CC(=O)OCCCSc1cc(C)ccc1[N+](=O)[O-] ZINC000279102496 194284635 /nfs/dbraw/zinc/28/46/35/194284635.db2.gz OLGYTNAVSHLYIL-UHFFFAOYSA-N 0 0 269.322 2.949 20 5 CFBDRN COC(C)(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000279086997 194278814 /nfs/dbraw/zinc/27/88/14/194278814.db2.gz VWWCOWZSYZZUJU-UHFFFAOYSA-N 0 0 277.280 2.612 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCc2cccc(F)c21 ZINC000336579698 380498883 /nfs/dbraw/zinc/49/88/83/380498883.db2.gz FKWDKOKUQGTZSH-UHFFFAOYSA-N 0 0 276.223 2.530 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCO[C@@H]2C(C)C)n1 ZINC000268793030 190433136 /nfs/dbraw/zinc/43/31/36/190433136.db2.gz GZVIPUQPHOYJAG-DGCLKSJQSA-N 0 0 279.340 2.771 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000447818202 299520337 /nfs/dbraw/zinc/52/03/37/299520337.db2.gz RNCWRFLVMKKOCF-CMPLNLGQSA-N 0 0 282.315 2.864 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000336557362 380462526 /nfs/dbraw/zinc/46/25/26/380462526.db2.gz MIZCSVKNFNXDPK-ZJUUUORDSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000336560745 380467037 /nfs/dbraw/zinc/46/70/37/380467037.db2.gz PEQFUEPQAQHALJ-VHSXEESVSA-N 0 0 266.272 2.524 20 5 CFBDRN CN(C(=O)[C@@H]1Cc2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000336565718 380475807 /nfs/dbraw/zinc/47/58/07/380475807.db2.gz WGOLKIISMNQJER-OAHLLOKOSA-N 0 0 282.299 2.898 20 5 CFBDRN CCc1cncc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1 ZINC000279116903 194291264 /nfs/dbraw/zinc/29/12/64/194291264.db2.gz ZIERLQOWUSJMEC-UHFFFAOYSA-N 0 0 299.330 2.824 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC=C(c2ccccc2)C1 ZINC000336600910 380529490 /nfs/dbraw/zinc/52/94/90/380529490.db2.gz PJOWSYONLSMLCS-UHFFFAOYSA-N 0 0 297.314 2.852 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2c(F)cccc2[N+](=O)[O-])C1 ZINC000336785756 380631199 /nfs/dbraw/zinc/63/11/99/380631199.db2.gz FYJVZMQQAJQPKC-IUCAKERBSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1cc(N[C@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000336793078 380639073 /nfs/dbraw/zinc/63/90/73/380639073.db2.gz VIXHIOMIWGUZJK-VIFPVBQESA-N 0 0 254.261 2.633 20 5 CFBDRN Cc1cc(N[C@H](C)C[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000336793493 380640973 /nfs/dbraw/zinc/64/09/73/380640973.db2.gz WXNYQUBYGKRVIM-RKDXNWHRSA-N 0 0 256.277 2.614 20 5 CFBDRN CC(C)(C)OCCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000179835222 380586383 /nfs/dbraw/zinc/58/63/83/380586383.db2.gz WMDXAYBMRFVLQZ-UHFFFAOYSA-N 0 0 290.323 2.668 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCC[C@@H]1CCO ZINC000336811747 380671685 /nfs/dbraw/zinc/67/16/85/380671685.db2.gz OIPMBCCLQSNKDK-GFCCVEGCSA-N 0 0 284.743 2.595 20 5 CFBDRN CCN(CC(C)(C)O)c1cc(C)c([N+](=O)[O-])cc1F ZINC000336814152 380674357 /nfs/dbraw/zinc/67/43/57/380674357.db2.gz DZVWOISSCWEGIV-UHFFFAOYSA-N 0 0 270.304 2.640 20 5 CFBDRN C[C@@H](NC(=O)c1c(N)cccc1[N+](=O)[O-])c1ccccc1 ZINC000180581130 380677541 /nfs/dbraw/zinc/67/75/41/380677541.db2.gz DELLPRINIRSYAL-SNVBAGLBSA-N 0 0 285.303 2.668 20 5 CFBDRN Cc1nc(C)c(CNc2nccc(C)c2[N+](=O)[O-])o1 ZINC000336816530 380678233 /nfs/dbraw/zinc/67/82/33/380678233.db2.gz MJNZBKOFEFKYGM-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN Cc1ccc(NC(=O)c2c(N)cccc2[N+](=O)[O-])cc1F ZINC000180588634 380679107 /nfs/dbraw/zinc/67/91/07/380679107.db2.gz XIRWMNZXCGZODY-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NCCCc1ccccc1 ZINC000180589439 380679837 /nfs/dbraw/zinc/67/98/37/380679837.db2.gz GSGPFDBAVSGSBS-UHFFFAOYSA-N 0 0 299.330 2.540 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)NCc1cccc([N+](=O)[O-])c1 ZINC000180977622 380719597 /nfs/dbraw/zinc/71/95/97/380719597.db2.gz PEDQDHWEVBGYKQ-AWEZNQCLSA-N 0 0 294.351 2.662 20 5 CFBDRN Cc1cc(N[C@H]2Cc3ccccc3[C@@H]2O)ccc1[N+](=O)[O-] ZINC000181068096 380733134 /nfs/dbraw/zinc/73/31/34/380733134.db2.gz PFIRIWRFOKPVBS-HOCLYGCPSA-N 0 0 284.315 2.973 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NC/C=C/c1ccccc1 ZINC000181074991 380735682 /nfs/dbraw/zinc/73/56/82/380735682.db2.gz WVVBPROHIOHRAF-VMPITWQZSA-N 0 0 297.314 2.620 20 5 CFBDRN O=C(NC[C@@H]1CCSC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000279174857 194317820 /nfs/dbraw/zinc/31/78/20/194317820.db2.gz RDADXLQJYQXTJA-QMMMGPOBSA-N 0 0 299.327 2.609 20 5 CFBDRN CCCCOCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295362811 380809444 /nfs/dbraw/zinc/80/94/44/380809444.db2.gz OCDJIDGRFSAVSN-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC[C@@H]2CCOC2)n1 ZINC000402003694 380879919 /nfs/dbraw/zinc/87/99/19/380879919.db2.gz PUGIQBBRWQSMKC-LLVKDONJSA-N 0 0 265.313 2.527 20 5 CFBDRN CS[C@H](C)CCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000358472353 380866261 /nfs/dbraw/zinc/86/62/61/380866261.db2.gz KPEQLONSNRIALD-SNVBAGLBSA-N 0 0 282.365 2.743 20 5 CFBDRN CC[C@@H](C(=O)c1ccccc1)n1cc([N+](=O)[O-])c(C)n1 ZINC000295467560 380876156 /nfs/dbraw/zinc/87/61/56/380876156.db2.gz XUCYQVQGMNVNMF-LBPRGKRZSA-N 0 0 273.292 2.934 20 5 CFBDRN CC[C@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295398505 380829359 /nfs/dbraw/zinc/82/93/59/380829359.db2.gz RDUARMAOIJZWPM-UWVGGRQHSA-N 0 0 250.298 2.818 20 5 CFBDRN CCOc1cccc(CNc2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000295473364 380878443 /nfs/dbraw/zinc/87/84/43/380878443.db2.gz IUEKZEJTNZMJOW-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H]1CC12CCCC2 ZINC000353767310 380837352 /nfs/dbraw/zinc/83/73/52/380837352.db2.gz MAHHUFWREQJWQN-CQSZACIVSA-N 0 0 288.347 2.834 20 5 CFBDRN Nc1ccc(N2CCC[C@]3(CCCCO3)C2)c([N+](=O)[O-])c1 ZINC000367829813 380840606 /nfs/dbraw/zinc/84/06/06/380840606.db2.gz XVJVUKDNIZJWHN-OAHLLOKOSA-N 0 0 291.351 2.717 20 5 CFBDRN CC(C)N1C[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])CC1=O ZINC000347015221 380878635 /nfs/dbraw/zinc/87/86/35/380878635.db2.gz RHBGPLNCZOBLTL-JTQLQIEISA-N 0 0 297.742 2.669 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000295423640 380846207 /nfs/dbraw/zinc/84/62/07/380846207.db2.gz FEDMNGSCBXXIFY-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)=CCNC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000295437407 380855377 /nfs/dbraw/zinc/85/53/77/380855377.db2.gz ONRFXHNVDJMNOO-UHFFFAOYSA-N 0 0 290.319 2.640 20 5 CFBDRN Cc1nn(COC(=O)OC2CCCCC2)cc1[N+](=O)[O-] ZINC000295448624 380861764 /nfs/dbraw/zinc/86/17/64/380861764.db2.gz WGVNKSUEWQTYMS-UHFFFAOYSA-N 0 0 283.284 2.543 20 5 CFBDRN Cc1oncc1CSc1ncccc1[N+](=O)[O-] ZINC000181756156 380886564 /nfs/dbraw/zinc/88/65/64/380886564.db2.gz NHAPDWRBQUTSMQ-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN CC[C@H](CNc1ccncc1[N+](=O)[O-])CC(F)(F)F ZINC000402667240 380888693 /nfs/dbraw/zinc/88/86/93/380888693.db2.gz MUWQAGBXNMNJHC-QMMMGPOBSA-N 0 0 277.246 2.802 20 5 CFBDRN O=[N+]([O-])c1cccc(C[NH2+]CCc2ccc3c[nH]nc3c2)c1 ZINC000341278167 380894465 /nfs/dbraw/zinc/89/44/65/380894465.db2.gz UTDWMKHSFAIVEI-UHFFFAOYSA-N 0 0 296.330 2.803 20 5 CFBDRN C[C@H](NC(=O)OC[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000287704148 380912179 /nfs/dbraw/zinc/91/21/79/380912179.db2.gz FYLVIWIMRQKJMG-QWRGUYRKSA-N 0 0 294.307 2.561 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3C[C@H]4CCC[C@@H]4C3)c21 ZINC000408165800 380915313 /nfs/dbraw/zinc/91/53/13/380915313.db2.gz NYLLAMWFGYVJEV-GHMZBOCLSA-N 0 0 284.319 2.774 20 5 CFBDRN C[C@H](NC(=O)/C=C/C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000295528224 380918084 /nfs/dbraw/zinc/91/80/84/380918084.db2.gz JHJRCZABSOBPTE-LLGDCAAFSA-N 0 0 260.293 2.738 20 5 CFBDRN Cc1ccc(CNc2nc(C3CC3)no2)cc1[N+](=O)[O-] ZINC000181860286 380920566 /nfs/dbraw/zinc/92/05/66/380920566.db2.gz MAOVQRFQKKHFOO-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000353878871 380922950 /nfs/dbraw/zinc/92/29/50/380922950.db2.gz ZTZOPYCXXCYEGX-GHMZBOCLSA-N 0 0 279.340 2.632 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000353878874 380924096 /nfs/dbraw/zinc/92/40/96/380924096.db2.gz ZTZOPYCXXCYEGX-WDEREUQCSA-N 0 0 279.340 2.632 20 5 CFBDRN CN(Cc1ccco1)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000341344520 380951409 /nfs/dbraw/zinc/95/14/09/380951409.db2.gz OCGLYOWNOXWABJ-UHFFFAOYSA-N 0 0 290.304 2.829 20 5 CFBDRN CC(C)(C(=O)NCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000287855993 380956950 /nfs/dbraw/zinc/95/69/50/380956950.db2.gz CSXDBICUBTUHJV-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN CC/C(C)=C/C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000295623200 380980520 /nfs/dbraw/zinc/98/05/20/380980520.db2.gz AOGATDTXNQSOQQ-VQHVLOKHSA-N 0 0 264.281 2.898 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCOC1CCC1 ZINC000287956688 380980960 /nfs/dbraw/zinc/98/09/60/380980960.db2.gz YLHKTGKOIZGHGT-UHFFFAOYSA-N 0 0 262.309 2.526 20 5 CFBDRN CC1(Nc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)CCCC1 ZINC000295629295 380982406 /nfs/dbraw/zinc/98/24/06/380982406.db2.gz XGMIYWVOLNBXPM-UHFFFAOYSA-N 0 0 298.364 2.743 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccoc2)no1 ZINC000347097265 380933655 /nfs/dbraw/zinc/93/36/55/380933655.db2.gz YFVCUFZQNWIANM-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)c1cccc(C)c1 ZINC000347099235 380937397 /nfs/dbraw/zinc/93/73/97/380937397.db2.gz LXKKCXVDVWYEOK-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN Cc1ncc(CSCc2c([N+](=O)[O-])ncn2C)s1 ZINC000347100906 380937702 /nfs/dbraw/zinc/93/77/02/380937702.db2.gz RICJZCNOJVPYFJ-UHFFFAOYSA-N 0 0 284.366 2.527 20 5 CFBDRN CCCCNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182186204 381014021 /nfs/dbraw/zinc/01/40/21/381014021.db2.gz OZVOLQOKYZVSLE-SECBINFHSA-N 0 0 268.288 2.754 20 5 CFBDRN COc1ccc(C(=O)N2CC3(C2)CCCC3)cc1[N+](=O)[O-] ZINC000368417625 381019485 /nfs/dbraw/zinc/01/94/85/381019485.db2.gz ANMAASGFAKWTFG-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN O=C(Nc1cccc2c1OCCC2)c1cc([N+](=O)[O-])c[nH]1 ZINC000341458564 381024587 /nfs/dbraw/zinc/02/45/87/381024587.db2.gz FCZSTWOLVSGMCU-UHFFFAOYSA-N 0 0 287.275 2.500 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC1(F)F ZINC000295705376 381027646 /nfs/dbraw/zinc/02/76/46/381027646.db2.gz CKBDXSVHNKORSU-LBPRGKRZSA-N 0 0 298.289 2.689 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)CCc1ccccc1 ZINC000288065109 381003095 /nfs/dbraw/zinc/00/30/95/381003095.db2.gz GGFDGHSSXMMWGT-UHFFFAOYSA-N 0 0 288.351 2.799 20 5 CFBDRN CCC[C@@]1(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCOC1 ZINC000288073780 381005157 /nfs/dbraw/zinc/00/51/57/381005157.db2.gz YWIBPBYTNGJVST-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1nc(-c2noc(Cc3cccc([N+](=O)[O-])c3)n2)co1 ZINC000347292783 381053245 /nfs/dbraw/zinc/05/32/45/381053245.db2.gz CILQDLJZGLFSMZ-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN C[C@H]1C[C@H]1N(CCn1ccc([N+](=O)[O-])n1)c1ccccc1 ZINC000411919469 381056747 /nfs/dbraw/zinc/05/67/47/381056747.db2.gz FFMYNPIBEJSWPN-GXTWGEPZSA-N 0 0 286.335 2.706 20 5 CFBDRN Cc1nc(-c2noc(-c3ccc([N+](=O)[O-])s3)n2)co1 ZINC000347305555 381059305 /nfs/dbraw/zinc/05/93/05/381059305.db2.gz UZYYSKASEKAXDT-UHFFFAOYSA-N 0 0 278.249 2.670 20 5 CFBDRN CCn1cc(NC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000347309293 381061889 /nfs/dbraw/zinc/06/18/89/381061889.db2.gz VKWGKMQEGULNFO-UHFFFAOYSA-N 0 0 293.258 2.594 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000289602418 381062995 /nfs/dbraw/zinc/06/29/95/381062995.db2.gz AMNHKCVHJGELRR-ZCFIWIBFSA-N 0 0 277.202 2.667 20 5 CFBDRN C[C@@H]1CCC[C@@H](CO)N1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182353768 381071837 /nfs/dbraw/zinc/07/18/37/381071837.db2.gz REBZHYOPCWXOQR-MFKMUULPSA-N 0 0 298.770 2.984 20 5 CFBDRN CCC1CCC(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000347266555 381036854 /nfs/dbraw/zinc/03/68/54/381036854.db2.gz HTGKLWPPRHCSGR-UHFFFAOYSA-N 0 0 279.340 2.869 20 5 CFBDRN CO[C@@H]1CCN(c2ccccc2[N+](=O)[O-])CC1(C)C ZINC000295798883 381082330 /nfs/dbraw/zinc/08/23/30/381082330.db2.gz SGSVPSINRYHHBV-CYBMUJFWSA-N 0 0 264.325 2.846 20 5 CFBDRN O=[N+]([O-])c1ccccc1CS(=O)(=O)C1CCCCC1 ZINC000295844557 381111544 /nfs/dbraw/zinc/11/15/44/381111544.db2.gz IFBOJCSBNUCWOJ-UHFFFAOYSA-N 0 0 283.349 2.842 20 5 CFBDRN CO[C@](C)([C@H](C)[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C1CC1 ZINC000411972853 381079385 /nfs/dbraw/zinc/07/93/85/381079385.db2.gz QSKDMKQBDYDTLM-ZUZCIYMTSA-N 0 0 294.351 2.594 20 5 CFBDRN COc1ccc(CN2CC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000347339304 381079816 /nfs/dbraw/zinc/07/98/16/381079816.db2.gz CBFJIYVAQSHQNT-CYBMUJFWSA-N 0 0 268.288 2.537 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H](C)CCCCO)c1 ZINC000295891183 381140354 /nfs/dbraw/zinc/14/03/54/381140354.db2.gz BPYZYEAJRVKGPT-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CCC(CC)N(CC(C)C)C(=O)c1c([N+](=O)[O-])cnn1C ZINC000347428764 381146322 /nfs/dbraw/zinc/14/63/22/381146322.db2.gz MTYWHWQCIKHNCG-UHFFFAOYSA-N 0 0 296.371 2.615 20 5 CFBDRN CC[C@@H](C)NC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000358912358 381150071 /nfs/dbraw/zinc/15/00/71/381150071.db2.gz XTYZBDYSLYOKPH-SSDOTTSWSA-N 0 0 288.250 2.725 20 5 CFBDRN Cc1c(CNC(=O)NCCC2CC2)cccc1[N+](=O)[O-] ZINC000358913687 381150271 /nfs/dbraw/zinc/15/02/71/381150271.db2.gz FGIJQIGOEBLIGK-UHFFFAOYSA-N 0 0 277.324 2.503 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000358913789 381151754 /nfs/dbraw/zinc/15/17/54/381151754.db2.gz JAVYVSKOQPZYQA-ZDUSSCGKSA-N 0 0 289.335 2.811 20 5 CFBDRN CC/C=C/CNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000295934760 381168429 /nfs/dbraw/zinc/16/84/29/381168429.db2.gz KHWBJHNMIHGYEP-ONEGZZNKSA-N 0 0 280.349 2.769 20 5 CFBDRN COc1ccc2nc(-c3ccc([N+](=O)[O-])cc3C)[nH]c2n1 ZINC000347403822 381127433 /nfs/dbraw/zinc/12/74/33/381127433.db2.gz JHYADFUEYQCFRX-UHFFFAOYSA-N 0 0 284.275 2.850 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000412048569 381128352 /nfs/dbraw/zinc/12/83/52/381128352.db2.gz UZZHCMRNYKTLLY-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])cn2)CCO[C@@H]1C1CC1 ZINC000368779062 381131570 /nfs/dbraw/zinc/13/15/70/381131570.db2.gz IHXSCMOJDWRPHR-ZIAGYGMSSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1ccc(C(=O)Nc2c[nH]c(=O)cc2C)cc1[N+](=O)[O-] ZINC000358947484 381170064 /nfs/dbraw/zinc/17/00/64/381170064.db2.gz HFTIGFHUTKSFTB-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000358958894 381176261 /nfs/dbraw/zinc/17/62/61/381176261.db2.gz ZQDWXTHGQVBHHG-MRVPVSSYSA-N 0 0 290.241 2.831 20 5 CFBDRN C[C@H](CF)NC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000289847078 381184410 /nfs/dbraw/zinc/18/44/10/381184410.db2.gz XQENOSLTLJDWFJ-ZCFIWIBFSA-N 0 0 275.667 2.728 20 5 CFBDRN C[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412158988 381188848 /nfs/dbraw/zinc/18/88/48/381188848.db2.gz RGETYYDMGPJJMT-DDTOSNHZSA-N 0 0 274.320 2.709 20 5 CFBDRN Cc1cnn([C@@H]2CCN(Cc3csc([N+](=O)[O-])c3)C2)c1 ZINC000289853394 381189404 /nfs/dbraw/zinc/18/94/04/381189404.db2.gz KUHIAOUCYBOBTI-GFCCVEGCSA-N 0 0 292.364 2.608 20 5 CFBDRN CC[C@@H](CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)OC ZINC000289858788 381191792 /nfs/dbraw/zinc/19/17/92/381191792.db2.gz GHYDUMSIXPCJNJ-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000347507838 381193497 /nfs/dbraw/zinc/19/34/97/381193497.db2.gz UABBGUFVWMOCKW-DGCLKSJQSA-N 0 0 291.351 2.625 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCC(F)(F)F ZINC000354315131 381198961 /nfs/dbraw/zinc/19/89/61/381198961.db2.gz FFMKROJAZJJZJK-UHFFFAOYSA-N 0 0 264.203 2.968 20 5 CFBDRN O=C(N[C@H]1C=CCCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412184026 381200284 /nfs/dbraw/zinc/20/02/84/381200284.db2.gz NKMKWRYRDNHDGI-AEGPPILISA-N 0 0 286.331 2.923 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(-c2ccn(C)c2)no1 ZINC000347531427 381204616 /nfs/dbraw/zinc/20/46/16/381204616.db2.gz LVOZZIJFXAOUBV-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN CC/C=C/CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000296005423 381207396 /nfs/dbraw/zinc/20/73/96/381207396.db2.gz SLEOQVSNEDODAW-ONEGZZNKSA-N 0 0 268.700 2.944 20 5 CFBDRN Cn1ccc(-c2noc(-c3ccccc3[N+](=O)[O-])n2)c1 ZINC000347540582 381210003 /nfs/dbraw/zinc/21/00/03/381210003.db2.gz XBXCKGMOWZOPFK-UHFFFAOYSA-N 0 0 270.248 2.650 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2nccn2C(F)F)n1 ZINC000359080724 381252138 /nfs/dbraw/zinc/25/21/38/381252138.db2.gz HKXBBPZMMQVHSV-UHFFFAOYSA-N 0 0 297.265 2.810 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2[C@H](C)C2(F)F)cc1[N+](=O)[O-] ZINC000359087886 381258951 /nfs/dbraw/zinc/25/89/51/381258951.db2.gz OFJOQJDRYJQOPM-OIBJUYFYSA-N 0 0 270.235 2.743 20 5 CFBDRN CC[C@@H](C)CCc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000290010777 381259475 /nfs/dbraw/zinc/25/94/75/381259475.db2.gz GNYMGLQGBVAVEM-SNVBAGLBSA-N 0 0 290.323 2.942 20 5 CFBDRN Cc1cncc(CNc2nc(C)cc(C)c2[N+](=O)[O-])c1 ZINC000359092722 381260581 /nfs/dbraw/zinc/26/05/81/381260581.db2.gz GPMKPJHZWYSPNX-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCCO[C@@H]2CCC[C@H]21 ZINC000369465952 381267639 /nfs/dbraw/zinc/26/76/39/381267639.db2.gz ILJVNJYCURXAOQ-TZMCWYRMSA-N 0 0 280.299 2.882 20 5 CFBDRN CCC(C)(C)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000290035738 381271118 /nfs/dbraw/zinc/27/11/18/381271118.db2.gz QOUDSEFTIIFTNH-UHFFFAOYSA-N 0 0 251.282 2.979 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000359034053 381225668 /nfs/dbraw/zinc/22/56/68/381225668.db2.gz UMBUEZRBDMSNST-QWRGUYRKSA-N 0 0 292.339 2.502 20 5 CFBDRN C[C@H](NC(=O)C(C)(Cl)Cl)c1cccc([N+](=O)[O-])c1 ZINC000183179662 381227010 /nfs/dbraw/zinc/22/70/10/381227010.db2.gz CXHNVPGPMNPIJW-ZETCQYMHSA-N 0 0 291.134 2.966 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H](O)CC(C)(C)C1 ZINC000296049122 381230198 /nfs/dbraw/zinc/23/01/98/381230198.db2.gz QIPSKPZRKCZNNP-GFCCVEGCSA-N 0 0 278.352 2.891 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ccc2cc[nH]c2c1 ZINC000296051280 381231639 /nfs/dbraw/zinc/23/16/39/381231639.db2.gz OPBGMMOBXAMBRB-UHFFFAOYSA-N 0 0 289.335 2.966 20 5 CFBDRN C[C@@]1(F)CCCN(Cc2cccc([N+](=O)[O-])c2)C1 ZINC000296057499 381235827 /nfs/dbraw/zinc/23/58/27/381235827.db2.gz PBPROSVAEILQFQ-CYBMUJFWSA-N 0 0 252.289 2.919 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)CCOC ZINC000289962566 381237103 /nfs/dbraw/zinc/23/71/03/381237103.db2.gz YKVVTEKHJUFFOY-JTQLQIEISA-N 0 0 295.339 2.704 20 5 CFBDRN CC[C@@H](NCc1nnc(C)s1)c1cccc([N+](=O)[O-])c1 ZINC000342270857 381239308 /nfs/dbraw/zinc/23/93/08/381239308.db2.gz WYQOEPKIXHIYSS-GFCCVEGCSA-N 0 0 292.364 2.996 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c([O-])c1)N(C1CC1)C1CCCC1 ZINC000183565923 381287873 /nfs/dbraw/zinc/28/78/73/381287873.db2.gz UQSHROGZKIFQQM-UHFFFAOYSA-N 0 0 290.319 2.848 20 5 CFBDRN CC1(C)OCC[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000296184749 381291482 /nfs/dbraw/zinc/29/14/82/381291482.db2.gz DDUHXPLKJWJUHX-MRVPVSSYSA-N 0 0 294.336 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(N(Cc2ccccn2)C2CC2)cn1 ZINC000347699507 381304307 /nfs/dbraw/zinc/30/43/07/381304307.db2.gz MPMXFXMGNHMDMO-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN Cc1cccc(Cc2noc(CCC(C)(C)[N+](=O)[O-])n2)c1 ZINC000296161148 381281447 /nfs/dbraw/zinc/28/14/47/381281447.db2.gz XFHLKROOOANOQR-UHFFFAOYSA-N 0 0 289.335 2.957 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)OC ZINC000296168254 381284336 /nfs/dbraw/zinc/28/43/36/381284336.db2.gz LALPMCUMOXKVFZ-GWCFXTLKSA-N 0 0 295.339 2.777 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000290148768 381321155 /nfs/dbraw/zinc/32/11/55/381321155.db2.gz ISHYOVUPADDZRV-ZJUUUORDSA-N 0 0 288.307 2.987 20 5 CFBDRN Cc1ncc(COc2nc(C)cc(C)c2[N+](=O)[O-])s1 ZINC000354535463 381326766 /nfs/dbraw/zinc/32/67/66/381326766.db2.gz JCWAOTRIZKHLSG-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN CCc1[nH]ccc1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000354537304 381326845 /nfs/dbraw/zinc/32/68/45/381326845.db2.gz JVLSUCAXGKIWPT-JTQLQIEISA-N 0 0 287.319 2.976 20 5 CFBDRN Cc1ccccc1CCNc1ncc([N+](=O)[O-])c(C)n1 ZINC000296272480 381327491 /nfs/dbraw/zinc/32/74/91/381327491.db2.gz GVXPTSUDFPODJX-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CCCc1nc(COc2nc(C)cc(C)c2[N+](=O)[O-])no1 ZINC000354539127 381328115 /nfs/dbraw/zinc/32/81/15/381328115.db2.gz GGRBEQJYXNXLSJ-UHFFFAOYSA-N 0 0 292.295 2.521 20 5 CFBDRN COc1cc(CSCC(C)=O)c([N+](=O)[O-])cc1F ZINC000183860191 381330560 /nfs/dbraw/zinc/33/05/60/381330560.db2.gz QUFPPMZRGKQVPM-UHFFFAOYSA-N 0 0 273.285 2.565 20 5 CFBDRN CO[C@@H](C)[C@@H](C)[NH2+][C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000290181595 381331501 /nfs/dbraw/zinc/33/15/01/381331501.db2.gz NNPGTHVTMJHBNU-MXWKQRLJSA-N 0 0 252.314 2.669 20 5 CFBDRN COc1ccc(Cl)c(NCc2c([N+](=O)[O-])ncn2C)c1 ZINC000347752442 381331951 /nfs/dbraw/zinc/33/19/51/381331951.db2.gz JZYFLWUGVDUNHE-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1ccc(NCc2c([N+](=O)[O-])ncn2C)c(C)c1 ZINC000347755127 381334172 /nfs/dbraw/zinc/33/41/72/381334172.db2.gz PFRQCNAHGXYYGT-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1ccn(C[C@@H]2CCC[C@H](C)C2)c(=O)c1[N+](=O)[O-] ZINC000354508167 381309664 /nfs/dbraw/zinc/30/96/64/381309664.db2.gz OVNPNBFDZRVJKP-CMPLNLGQSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1nc(CN(C)Cc2ccc(Cl)cc2[N+](=O)[O-])no1 ZINC000183703982 381309743 /nfs/dbraw/zinc/30/97/43/381309743.db2.gz VKVSKHZWCBZCOK-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cocn2)c(Cl)c1 ZINC000183739365 381313216 /nfs/dbraw/zinc/31/32/16/381313216.db2.gz VTMYIHLFUSZYGP-UHFFFAOYSA-N 0 0 267.672 2.526 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+](C)CCc1ccncc1 ZINC000183753610 381315085 /nfs/dbraw/zinc/31/50/85/381315085.db2.gz ANUWHEHQLZEXHM-UHFFFAOYSA-N 0 0 285.347 2.973 20 5 CFBDRN Cc1ncc(CNC(=O)c2cc(C)ccc2[N+](=O)[O-])s1 ZINC000359262102 381316191 /nfs/dbraw/zinc/31/61/91/381316191.db2.gz NWLSNVNNTQVVAN-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000290148765 381320411 /nfs/dbraw/zinc/32/04/11/381320411.db2.gz ISHYOVUPADDZRV-VHSXEESVSA-N 0 0 288.307 2.987 20 5 CFBDRN Cc1ccn(CCCC(C)(C)C)c(=O)c1[N+](=O)[O-] ZINC000347777268 381344173 /nfs/dbraw/zinc/34/41/73/381344173.db2.gz LTCLIWXFUGRVCE-UHFFFAOYSA-N 0 0 252.314 2.891 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H](O)C(F)(F)F)ccc1Cl ZINC000290253981 381359165 /nfs/dbraw/zinc/35/91/65/381359165.db2.gz YCQKFJGKFMGUPF-QMMMGPOBSA-N 0 0 285.605 2.550 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H](C2CC2)C1 ZINC000342498161 381361300 /nfs/dbraw/zinc/36/13/00/381361300.db2.gz OQIZZMMESWJGQZ-JTQLQIEISA-N 0 0 250.273 2.970 20 5 CFBDRN CCc1cnccc1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000359341444 381361787 /nfs/dbraw/zinc/36/17/87/381361787.db2.gz CUDVXHOCHZOAFT-UHFFFAOYSA-N 0 0 285.303 2.535 20 5 CFBDRN CCOc1cccc(N2CCCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000184106764 381371816 /nfs/dbraw/zinc/37/18/16/381371816.db2.gz PCHGNJORTAMQGN-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCC[C@H]1c1cccs1 ZINC000370055564 381375251 /nfs/dbraw/zinc/37/52/51/381375251.db2.gz KOLDLQIITWKQPO-JTQLQIEISA-N 0 0 292.364 2.727 20 5 CFBDRN C[C@H](CCCCO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000296398608 381377713 /nfs/dbraw/zinc/37/77/13/381377713.db2.gz VPQVNLRGPHQSRS-MRVPVSSYSA-N 0 0 273.720 2.606 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCCC[C@H]2F)cc1[N+](=O)[O-] ZINC000412690755 381403884 /nfs/dbraw/zinc/40/38/84/381403884.db2.gz KQSAAAKVLFUFMN-VXGBXAGGSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2[C@@H](C)C2(F)F)ccc1[N+](=O)[O-] ZINC000359420897 381404374 /nfs/dbraw/zinc/40/43/74/381404374.db2.gz LYQQRGNNENUQBC-XCBNKYQSSA-N 0 0 270.235 2.743 20 5 CFBDRN Cc1ccccc1CN(C)c1ncc([N+](=O)[O-])c(C)n1 ZINC000296462402 381406680 /nfs/dbraw/zinc/40/66/80/381406680.db2.gz JMWBPJOOBXACGV-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2C[C@@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000354704020 381411418 /nfs/dbraw/zinc/41/14/18/381411418.db2.gz KCHIDYZHKXBLJO-HOTGVXAUSA-N 0 0 288.347 2.738 20 5 CFBDRN CC[C@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000296483538 381414745 /nfs/dbraw/zinc/41/47/45/381414745.db2.gz AJTUQOGSIOYBKK-NSHDSACASA-N 0 0 263.297 2.919 20 5 CFBDRN Cc1cccc(C(N)=O)c1NCCc1ccc([N+](=O)[O-])cc1 ZINC000184437424 381415554 /nfs/dbraw/zinc/41/55/54/381415554.db2.gz NUTNRDFLXVZXPH-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN C[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1)CC(F)(F)F ZINC000412645457 381381303 /nfs/dbraw/zinc/38/13/03/381381303.db2.gz ANTLFXWMXXQRMO-QMMMGPOBSA-N 0 0 290.241 2.913 20 5 CFBDRN O=[N+]([O-])c1cccc(COc2cccc(-n3ccnn3)c2)c1 ZINC000184286529 381397221 /nfs/dbraw/zinc/39/72/21/381397221.db2.gz HUDCKRQVYBVGMM-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN Cc1ccc(CC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000347978533 381440618 /nfs/dbraw/zinc/44/06/18/381440618.db2.gz YRHAVZOGUOYSMC-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN Cc1nc(NCCCOc2ccccc2)ncc1[N+](=O)[O-] ZINC000296552452 381444865 /nfs/dbraw/zinc/44/48/65/381444865.db2.gz OXTNVOVYBVNXCF-UHFFFAOYSA-N 0 0 288.307 2.574 20 5 CFBDRN CCOCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000296550080 381445343 /nfs/dbraw/zinc/44/53/43/381445343.db2.gz JSBQHHRFJMAPEY-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCC2=O)c1cccc([N+](=O)[O-])c1 ZINC000347989782 381445567 /nfs/dbraw/zinc/44/55/67/381445567.db2.gz OADJDHMRGDRMLS-UHFFFAOYSA-N 0 0 296.282 2.976 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@H]1CF)c1ccc([N+](=O)[O-])cc1F ZINC000359564967 381474641 /nfs/dbraw/zinc/47/46/41/381474641.db2.gz GVSDNHKBAJVDPH-KOLCDFICSA-N 0 0 298.289 2.798 20 5 CFBDRN CCc1ccc(C(=O)Nc2nccn2CC)cc1[N+](=O)[O-] ZINC000412868443 381479992 /nfs/dbraw/zinc/47/99/92/381479992.db2.gz DSGPNYIEQJXXGE-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H]1CF ZINC000296626361 381479986 /nfs/dbraw/zinc/47/99/86/381479986.db2.gz QSBJBTDOOQQCFK-SECBINFHSA-N 0 0 285.250 2.700 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000354842261 381481377 /nfs/dbraw/zinc/48/13/77/381481377.db2.gz FGVULTOSASAODH-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000354845933 381483218 /nfs/dbraw/zinc/48/32/18/381483218.db2.gz JAJHJWZTHPMDBK-UHFFFAOYSA-N 0 0 277.324 2.560 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000342640140 381452013 /nfs/dbraw/zinc/45/20/13/381452013.db2.gz GASGYCIDFYRWKN-VIFPVBQESA-N 0 0 291.332 2.885 20 5 CFBDRN CCN(C(=O)NCc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000359528790 381452079 /nfs/dbraw/zinc/45/20/79/381452079.db2.gz DBDCVSFCRDCSDX-UHFFFAOYSA-N 0 0 277.324 2.597 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@@H](C)C(C)(C)O ZINC000296599872 381466954 /nfs/dbraw/zinc/46/69/54/381466954.db2.gz HFVUHHGBAFRQNQ-JTQLQIEISA-N 0 0 295.339 2.526 20 5 CFBDRN COC[C@H]1CCN1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000296687344 381506931 /nfs/dbraw/zinc/50/69/31/381506931.db2.gz JESZSLWQTXQRJW-MRVPVSSYSA-N 0 0 299.714 2.501 20 5 CFBDRN CCCCCC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359615566 381510135 /nfs/dbraw/zinc/51/01/35/381510135.db2.gz BWZLZOOCTCYTPF-UHFFFAOYSA-N 0 0 292.335 2.896 20 5 CFBDRN Cc1sc(C(=O)N2CCCOC(C)(C)C2)cc1[N+](=O)[O-] ZINC000370904390 381511324 /nfs/dbraw/zinc/51/13/24/381511324.db2.gz JGBCDDRCHYEHNF-UHFFFAOYSA-N 0 0 298.364 2.606 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3ccc(F)cc3[N+](=O)[O-])C2)c1 ZINC000290667704 381516750 /nfs/dbraw/zinc/51/67/50/381516750.db2.gz HUYKLLBHXITMMK-LBPRGKRZSA-N 0 0 290.298 2.690 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1C)C1CCC1 ZINC000348312916 381499265 /nfs/dbraw/zinc/49/92/65/381499265.db2.gz DSXYMEXHEFNAOJ-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCCO2)c(C(F)(F)F)c1 ZINC000413255907 381538771 /nfs/dbraw/zinc/53/87/71/381538771.db2.gz KSUXVKVYFMQTKC-UHFFFAOYSA-N 0 0 277.202 2.540 20 5 CFBDRN COc1cc(C)nc(Oc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000359670010 381544061 /nfs/dbraw/zinc/54/40/61/381544061.db2.gz HSQBHDCOZPIFJU-UHFFFAOYSA-N 0 0 293.254 2.942 20 5 CFBDRN CN(C(=O)C(C)(C)C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000348348227 381523184 /nfs/dbraw/zinc/52/31/84/381523184.db2.gz JKXFVCMBMMEOAM-UHFFFAOYSA-N 0 0 272.251 2.849 20 5 CFBDRN Cc1cc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2C)ccn1 ZINC000359637510 381524835 /nfs/dbraw/zinc/52/48/35/381524835.db2.gz ARQYZBPAFJGZBL-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(O[C@H]2CCC(C)(C)C2=O)n1 ZINC000413004837 381533461 /nfs/dbraw/zinc/53/34/61/381533461.db2.gz XZNDPPDZCCFDJO-JTQLQIEISA-N 0 0 278.308 2.743 20 5 CFBDRN Cc1c(CN[C@@H](CCO)c2ccco2)cccc1[N+](=O)[O-] ZINC000185092334 381533754 /nfs/dbraw/zinc/53/37/54/381533754.db2.gz XGKDBMPNRMXBNQ-ZDUSSCGKSA-N 0 0 290.319 2.710 20 5 CFBDRN CC[C@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000413035905 381533905 /nfs/dbraw/zinc/53/39/05/381533905.db2.gz DAXHKTAEQBWACB-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000342779453 381534579 /nfs/dbraw/zinc/53/45/79/381534579.db2.gz MWTATVARAHPHPE-MNOVXSKESA-N 0 0 280.299 2.597 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCCC1(C)CC1 ZINC000348452002 381574669 /nfs/dbraw/zinc/57/46/69/381574669.db2.gz SGAVHINSQKZGEJ-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CCCCC1(F)F ZINC000298234100 381580652 /nfs/dbraw/zinc/58/06/52/381580652.db2.gz LDSZHBAKDYPGHZ-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCO[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000371136876 381554413 /nfs/dbraw/zinc/55/44/13/381554413.db2.gz QCVXWVYFXXBOCR-DZGCQCFKSA-N 0 0 290.319 2.777 20 5 CFBDRN C[C@@H]1CN(c2nccc3cc([N+](=O)[O-])ccc32)CCCO1 ZINC000297302083 381556467 /nfs/dbraw/zinc/55/64/67/381556467.db2.gz YOBRIZONIUGMDV-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccncc1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000354996847 381557630 /nfs/dbraw/zinc/55/76/30/381557630.db2.gz ODGVJQYCRCKTTI-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@@H](CCO)C1(CNc2ccc([N+](=O)[O-])nc2)CCC1 ZINC000342817161 381564312 /nfs/dbraw/zinc/56/43/12/381564312.db2.gz MUZNYWYFNYGJRX-NSHDSACASA-N 0 0 279.340 2.591 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342883016 381600744 /nfs/dbraw/zinc/60/07/44/381600744.db2.gz RJNNUNXTYKPFQM-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN CCC(CC)(CC)CNC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000185335978 381601717 /nfs/dbraw/zinc/60/17/17/381601717.db2.gz LWQRACXMGCOQLT-UHFFFAOYSA-N 0 0 294.355 2.518 20 5 CFBDRN COc1cccc(N2CCC[C@](C)(OC)C2)c1[N+](=O)[O-] ZINC000359731946 381585271 /nfs/dbraw/zinc/58/52/71/381585271.db2.gz CIUIJNRSAFZHPX-AWEZNQCLSA-N 0 0 280.324 2.609 20 5 CFBDRN CC(C)CN(C(=O)COc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000298353031 381588149 /nfs/dbraw/zinc/58/81/49/381588149.db2.gz PNYRJNMFUBSOQG-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN COC1CCN(c2cc(C)c([N+](=O)[O-])cc2F)CC1 ZINC000290899555 381590135 /nfs/dbraw/zinc/59/01/35/381590135.db2.gz DWBRZYITLHPNME-UHFFFAOYSA-N 0 0 268.288 2.658 20 5 CFBDRN CCCCN(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342917803 381615627 /nfs/dbraw/zinc/61/56/27/381615627.db2.gz VQVDQGUBOAYAJO-UHFFFAOYSA-N 0 0 275.308 2.948 20 5 CFBDRN CO[C@](C)(c1nc(-c2ccc([N+](=O)[O-])cc2)no1)C1CC1 ZINC000290977161 381617768 /nfs/dbraw/zinc/61/77/68/381617768.db2.gz PQIXMGKEIYCDKS-AWEZNQCLSA-N 0 0 289.291 2.916 20 5 CFBDRN O=C(Nc1nccs1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342933179 381623075 /nfs/dbraw/zinc/62/30/75/381623075.db2.gz YGCPTYMIKGLLNM-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@H](C)CF ZINC000289159133 197249904 /nfs/dbraw/zinc/24/99/04/197249904.db2.gz ZVHHDOGNVBNQBF-SSDOTTSWSA-N 0 0 274.679 2.644 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1C[C@H]1c1cccnc1 ZINC000371476314 381638408 /nfs/dbraw/zinc/63/84/08/381638408.db2.gz YGPFCLQVOQBEGO-KBPBESRZSA-N 0 0 284.271 2.699 20 5 CFBDRN CNc1cccnc1CNc1ccc(C)cc1[N+](=O)[O-] ZINC000359831865 381631667 /nfs/dbraw/zinc/63/16/67/381631667.db2.gz NFIZSRPIEZRTSE-UHFFFAOYSA-N 0 0 272.308 2.952 20 5 CFBDRN COc1cccc(NC[C@H]2CCC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000185469829 381641553 /nfs/dbraw/zinc/64/15/53/381641553.db2.gz PNHCNZYIACUJBV-WDEREUQCSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1cc(C)c(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)o1 ZINC000291035101 381642182 /nfs/dbraw/zinc/64/21/82/381642182.db2.gz FQXNFQPOPGTPJA-UHFFFAOYSA-N 0 0 279.296 2.934 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1(CCF)CC1 ZINC000291043529 381645014 /nfs/dbraw/zinc/64/50/14/381645014.db2.gz BFSYAAHQTFUYJR-UHFFFAOYSA-N 0 0 282.271 2.682 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC[C@H](C)C2)cc([N+](=O)[O-])c1C ZINC000359858432 381645882 /nfs/dbraw/zinc/64/58/82/381645882.db2.gz PUYUKNZROUHXNA-CABZTGNLSA-N 0 0 292.335 2.830 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2C[C@H]2C2CC2)s1 ZINC000343033624 381655484 /nfs/dbraw/zinc/65/54/84/381655484.db2.gz JYUGRMSGOSHHFP-IONNQARKSA-N 0 0 276.321 2.810 20 5 CFBDRN CNc1ccc(Nc2cc(C)c([N+](=O)[O-])cc2F)cn1 ZINC000359882051 381655889 /nfs/dbraw/zinc/65/58/89/381655889.db2.gz SCBSWFNZSFPTEC-UHFFFAOYSA-N 0 0 276.271 2.645 20 5 CFBDRN COc1cccc(NC[C@@]2(C)CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000185521085 381656063 /nfs/dbraw/zinc/65/60/63/381656063.db2.gz QNNHSWDJCKYHGS-UKRRQHHQSA-N 0 0 294.351 2.957 20 5 CFBDRN CCOC[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000343039105 381657289 /nfs/dbraw/zinc/65/72/89/381657289.db2.gz UZMIIWXUBLBGJP-SECBINFHSA-N 0 0 266.297 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ncccc2O)c2ccncc21 ZINC000359895054 381663394 /nfs/dbraw/zinc/66/33/94/381663394.db2.gz DJPDHSHYTKJPQH-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCO[C@H](C)C1 ZINC000348669684 381663741 /nfs/dbraw/zinc/66/37/41/381663741.db2.gz HGBZRBDIQCFABJ-YQCJOKCJSA-N 0 0 276.336 2.719 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@]3(C2)CCCOC3)n1 ZINC000343163913 381664221 /nfs/dbraw/zinc/66/42/21/381664221.db2.gz UNAXVAIVMCCKII-OAHLLOKOSA-N 0 0 291.351 2.614 20 5 CFBDRN CC(C)(CCc1noc(Cc2ccsc2)n1)[N+](=O)[O-] ZINC000291100027 381666275 /nfs/dbraw/zinc/66/62/75/381666275.db2.gz RBTVKFOQGATTMZ-UHFFFAOYSA-N 0 0 281.337 2.710 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](F)C(F)(F)F ZINC000298550286 381670351 /nfs/dbraw/zinc/67/03/51/381670351.db2.gz LAAPQJXHEJXMEF-MRVPVSSYSA-N 0 0 281.161 2.709 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(F)(F)[C@H](C)C1 ZINC000291116581 381671529 /nfs/dbraw/zinc/67/15/29/381671529.db2.gz LWHJFQBQDUWRST-MRVPVSSYSA-N 0 0 288.298 2.601 20 5 CFBDRN CC(C)(CCc1noc(-c2ccsc2)n1)[N+](=O)[O-] ZINC000291055117 381650632 /nfs/dbraw/zinc/65/06/32/381650632.db2.gz JCTHTWWCTOHQFK-UHFFFAOYSA-N 0 0 267.310 2.786 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000343030927 381653309 /nfs/dbraw/zinc/65/33/09/381653309.db2.gz YQPPIJIADYVACV-OCCSQVGLSA-N 0 0 278.308 2.513 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000355227287 381690768 /nfs/dbraw/zinc/69/07/68/381690768.db2.gz BYSUPLBHMTTWHA-IUCAKERBSA-N 0 0 264.281 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H](CO)C2)c(F)c1 ZINC000298636272 381695063 /nfs/dbraw/zinc/69/50/63/381695063.db2.gz QZBDGCAZCHLMLP-VHSXEESVSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cccc(C[N@@H+]2CCC[C@H]([C@H]3CCOC3)C2)c1 ZINC000355235549 381695306 /nfs/dbraw/zinc/69/53/06/381695306.db2.gz JXGNZLFNTSEYBI-GJZGRUSLSA-N 0 0 290.363 2.843 20 5 CFBDRN CC(=O)c1ccc(NCCCCF)c([N+](=O)[O-])c1 ZINC000359953939 381696193 /nfs/dbraw/zinc/69/61/93/381696193.db2.gz GZZPCGPSPWHLRD-UHFFFAOYSA-N 0 0 254.261 2.959 20 5 CFBDRN Cc1nn(C)c2cc(Nc3ncc([N+](=O)[O-])s3)ccc12 ZINC000359953419 381697099 /nfs/dbraw/zinc/69/70/99/381697099.db2.gz BUXMXOLAGVSIJD-UHFFFAOYSA-N 0 0 289.320 2.990 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCC[C@@H](CO)C1 ZINC000298657513 381702039 /nfs/dbraw/zinc/70/20/39/381702039.db2.gz SRDHXHQXPSQQGX-MNOVXSKESA-N 0 0 250.298 2.558 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000359965279 381702069 /nfs/dbraw/zinc/70/20/69/381702069.db2.gz YGAUHIIEXJLHAU-NXEZZACHSA-N 0 0 278.308 2.978 20 5 CFBDRN CCC(CC)CNC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000355248687 381703389 /nfs/dbraw/zinc/70/33/89/381703389.db2.gz SVUZYXUFAYFCLP-UHFFFAOYSA-N 0 0 290.323 2.637 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCCCF ZINC000359968601 381703805 /nfs/dbraw/zinc/70/38/05/381703805.db2.gz QLSJQXWBRJDJED-UHFFFAOYSA-N 0 0 263.272 2.727 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000185694343 381707862 /nfs/dbraw/zinc/70/78/62/381707862.db2.gz SOXHUHQEKJSJHR-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@]12CCOC2 ZINC000372016164 381735737 /nfs/dbraw/zinc/73/57/37/381735737.db2.gz QNAYUCOBFLQUBB-ABAIWWIYSA-N 0 0 290.319 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccn2ccnc2c1 ZINC000355315251 381746829 /nfs/dbraw/zinc/74/68/29/381746829.db2.gz PDTFPJGWMQQTFH-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN O=C(NC1CCC1)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000348870333 381748913 /nfs/dbraw/zinc/74/89/13/381748913.db2.gz SEPDTGIACORGRN-UHFFFAOYSA-N 0 0 288.225 2.896 20 5 CFBDRN CCC(C)(C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000360004416 381721162 /nfs/dbraw/zinc/72/11/62/381721162.db2.gz OMGHBFFRKLGUCM-UHFFFAOYSA-N 0 0 266.297 2.978 20 5 CFBDRN O=[N+]([O-])c1cn(CCC(Cl)(Cl)Cl)cn1 ZINC000185752472 381723124 /nfs/dbraw/zinc/72/31/24/381723124.db2.gz IZVWEVCKIPFCEB-UHFFFAOYSA-N 0 0 258.492 2.552 20 5 CFBDRN CCc1ccc(C(=O)N(CC)C[C@@H](C)OC)cc1[N+](=O)[O-] ZINC000291250428 381723374 /nfs/dbraw/zinc/72/33/74/381723374.db2.gz LXHUSRXSHRTHCK-LLVKDONJSA-N 0 0 294.351 2.654 20 5 CFBDRN CC(C)CCCCCCNC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000298716618 381723519 /nfs/dbraw/zinc/72/35/19/381723519.db2.gz JNLHGYHMFJHEOD-UHFFFAOYSA-N 0 0 296.371 2.514 20 5 CFBDRN Cc1nnc(CCNc2ccc(F)cc2[N+](=O)[O-])s1 ZINC000291261751 381726651 /nfs/dbraw/zinc/72/66/51/381726651.db2.gz ZBSJZEXDZVMZRE-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN CS[C@H](CNc1ccc([N+](=O)[O-])c(C)n1)C(C)(C)C ZINC000343539844 381785006 /nfs/dbraw/zinc/78/50/06/381785006.db2.gz QZGZTJNNABVXSJ-LLVKDONJSA-N 0 0 283.397 2.910 20 5 CFBDRN CC[C@@H]1CCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000292213805 381794380 /nfs/dbraw/zinc/79/43/80/381794380.db2.gz HPLCENDUJLYFIA-SSDOTTSWSA-N 0 0 264.310 2.588 20 5 CFBDRN CSC[C@H]1CCCN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000292231259 381796542 /nfs/dbraw/zinc/79/65/42/381796542.db2.gz IWLYGXCIFUGOFQ-NSHDSACASA-N 0 0 281.381 2.878 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000185865126 381760037 /nfs/dbraw/zinc/76/00/37/381760037.db2.gz LTRDJGHWPLWWSR-VXGBXAGGSA-N 0 0 262.309 2.503 20 5 CFBDRN CSCCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000348912231 381764565 /nfs/dbraw/zinc/76/45/65/381764565.db2.gz QCTFMUJRESKJPH-SECBINFHSA-N 0 0 280.349 2.626 20 5 CFBDRN CCN(Cc1ccccc1C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298885853 381775740 /nfs/dbraw/zinc/77/57/40/381775740.db2.gz TXVTXHDSGPROLY-UHFFFAOYSA-N 0 0 287.319 2.894 20 5 CFBDRN CSC[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000292326199 381799913 /nfs/dbraw/zinc/79/99/13/381799913.db2.gz PCDOVQHFBYJBCT-LLVKDONJSA-N 0 0 281.381 2.878 20 5 CFBDRN Cc1cc(N[C@@H](C)CCCO)c(F)cc1[N+](=O)[O-] ZINC000292754504 381822083 /nfs/dbraw/zinc/82/20/83/381822083.db2.gz VVAPUBFWRYEIBY-VIFPVBQESA-N 0 0 256.277 2.615 20 5 CFBDRN CC(C)(C)C1CC(NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000356030006 381822178 /nfs/dbraw/zinc/82/21/78/381822178.db2.gz BGAVVNGAGKPMDS-UHFFFAOYSA-N 0 0 266.297 2.742 20 5 CFBDRN CC(C)(C)CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000299151514 381844636 /nfs/dbraw/zinc/84/46/36/381844636.db2.gz TYXYDFZBAKMYTP-RMKNXTFCSA-N 0 0 262.309 2.770 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000415328987 381830499 /nfs/dbraw/zinc/83/04/99/381830499.db2.gz CSVQALBCZSKNHY-ZDUSSCGKSA-N 0 0 280.324 2.619 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000292789025 381836774 /nfs/dbraw/zinc/83/67/74/381836774.db2.gz MKFXCTUKKVYSCH-CYBMUJFWSA-N 0 0 250.298 2.740 20 5 CFBDRN COC(=O)CCCOc1cccc(Cl)c1[N+](=O)[O-] ZINC000292795724 381838955 /nfs/dbraw/zinc/83/89/55/381838955.db2.gz ZWKAIAMCHCEQQU-UHFFFAOYSA-N 0 0 273.672 2.580 20 5 CFBDRN COCC[C@@H](Cc1ccco1)Nc1ccc([N+](=O)[O-])nc1 ZINC000343612428 381839381 /nfs/dbraw/zinc/83/93/81/381839381.db2.gz WHAXYYUTRPWCMK-NSHDSACASA-N 0 0 291.307 2.643 20 5 CFBDRN C[C@@H]1CCCN1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186014654 381802509 /nfs/dbraw/zinc/80/25/09/381802509.db2.gz AEMSARLJRLBLIE-SECBINFHSA-N 0 0 297.742 2.942 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CC2(CCC2)c2ccccc21 ZINC000372831289 381876917 /nfs/dbraw/zinc/87/69/17/381876917.db2.gz NIMPRWHQDPWDTP-UHFFFAOYSA-N 0 0 298.346 2.770 20 5 CFBDRN COC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000186317184 381879456 /nfs/dbraw/zinc/87/94/56/381879456.db2.gz DOBXTLYELBIUNB-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000372872613 381883262 /nfs/dbraw/zinc/88/32/62/381883262.db2.gz WFWJMJCOHDVRKQ-JTQLQIEISA-N 0 0 289.335 2.582 20 5 CFBDRN Cc1cc2cc(CNC(=O)c3ccc([N+](=O)[O-])o3)ccc2[nH]1 ZINC000356884517 381885419 /nfs/dbraw/zinc/88/54/19/381885419.db2.gz RBTUTCWXPHMCBZ-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@@H](OC(C)C)C2(C)C)c1[N+](=O)[O-] ZINC000361618069 381848868 /nfs/dbraw/zinc/84/88/68/381848868.db2.gz ZWOMVMARBXIAQR-GHMZBOCLSA-N 0 0 296.371 2.641 20 5 CFBDRN COc1ccc2c(c1)CN(c1ccc([N+](=O)[O-])cn1)CC2 ZINC000299180977 381854774 /nfs/dbraw/zinc/85/47/74/381854774.db2.gz YLJTUMFNQWJJOE-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CC23CCOCC3)cccc1[N+](=O)[O-] ZINC000372716943 381855480 /nfs/dbraw/zinc/85/54/80/381855480.db2.gz NPMJOANWHZZGNB-LLVKDONJSA-N 0 0 290.319 2.658 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1F)c1ccon1 ZINC000349231841 381855575 /nfs/dbraw/zinc/85/55/75/381855575.db2.gz ATVBAHNNHAZWLJ-QMMMGPOBSA-N 0 0 265.244 2.573 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CC23CCOCC3)cc1[N+](=O)[O-] ZINC000372718809 381855770 /nfs/dbraw/zinc/85/57/70/381855770.db2.gz RGPXTCZJTMQSMD-GFCCVEGCSA-N 0 0 290.319 2.658 20 5 CFBDRN Cc1ccc(CN2CCOc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC000356531851 381855963 /nfs/dbraw/zinc/85/59/63/381855963.db2.gz RXQAUPLVSYFYAJ-UHFFFAOYSA-N 0 0 299.330 2.693 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccon1 ZINC000349237089 381856901 /nfs/dbraw/zinc/85/69/01/381856901.db2.gz IDXATWAEKJGERJ-VHSXEESVSA-N 0 0 261.281 2.995 20 5 CFBDRN O=[N+]([O-])c1cc(CN2C[C@@H](CO)c3ccccc32)cs1 ZINC000292843521 381861664 /nfs/dbraw/zinc/86/16/64/381861664.db2.gz ZXRRBCWHWXZFMT-NSHDSACASA-N 0 0 290.344 2.752 20 5 CFBDRN C[C@H](F)CCNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000356713654 381862787 /nfs/dbraw/zinc/86/27/87/381862787.db2.gz XCNBAKFFDAZQHD-JTQLQIEISA-N 0 0 282.315 2.737 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)C[C@H]1CC=CCC1 ZINC000343644651 381866738 /nfs/dbraw/zinc/86/67/38/381866738.db2.gz CLSHLBKFKSZVAG-LBPRGKRZSA-N 0 0 289.335 2.727 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000299241890 381869723 /nfs/dbraw/zinc/86/97/23/381869723.db2.gz LNPSWTMPYBODJH-VIFPVBQESA-N 0 0 284.287 2.878 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000343653459 381869822 /nfs/dbraw/zinc/86/98/22/381869822.db2.gz CZRXMOWCWHSFAE-ISVAXAHUSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1OCC[C@]1(C)NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000343653461 381870063 /nfs/dbraw/zinc/87/00/63/381870063.db2.gz CZRXMOWCWHSFAE-OQPBUACISA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC2(CCOCC2)[C@H]1C1CC1 ZINC000292867995 381874547 /nfs/dbraw/zinc/87/45/47/381874547.db2.gz SUPLQKKEWKCJGW-OAHLLOKOSA-N 0 0 288.347 2.990 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000356897352 381894955 /nfs/dbraw/zinc/89/49/55/381894955.db2.gz POJVLZVWJWUKSW-TVQRCGJNSA-N 0 0 296.323 2.603 20 5 CFBDRN COCC1(CCNc2ccc([N+](=O)[O-])cn2)CCC1 ZINC000292903296 381895352 /nfs/dbraw/zinc/89/53/52/381895352.db2.gz WYUJZUZLBDDOKO-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN Cn1ccnc1[C@@H]1CCCN1Cc1ccccc1[N+](=O)[O-] ZINC000372954517 381896545 /nfs/dbraw/zinc/89/65/45/381896545.db2.gz WIWYIGDSONQPMN-AWEZNQCLSA-N 0 0 286.335 2.665 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000299327033 381898671 /nfs/dbraw/zinc/89/86/71/381898671.db2.gz YQVGKMUSLDGKNT-SECBINFHSA-N 0 0 268.338 2.917 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000299334981 381900486 /nfs/dbraw/zinc/90/04/86/381900486.db2.gz BDMVOHSBTBLXCW-UWVGGRQHSA-N 0 0 298.314 2.664 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)Nc1cccc([N+](=O)[O-])c1 ZINC000416722421 381903764 /nfs/dbraw/zinc/90/37/64/381903764.db2.gz HEIZLLWYGTZPBP-SECBINFHSA-N 0 0 261.281 2.516 20 5 CFBDRN C[C@H]1CCCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000186459628 381917548 /nfs/dbraw/zinc/91/75/48/381917548.db2.gz FHJQMCBPXABLNR-LBPRGKRZSA-N 0 0 276.336 2.786 20 5 CFBDRN COCC1(CCNc2ncc([N+](=O)[O-])cc2C)CCC1 ZINC000292894376 381890189 /nfs/dbraw/zinc/89/01/89/381890189.db2.gz WENGIQIXFMFOEF-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@@H]2C(F)F)n1 ZINC000343816559 381960604 /nfs/dbraw/zinc/96/06/04/381960604.db2.gz XIRGILIDFBKJPJ-JGVFFNPUSA-N 0 0 257.240 2.672 20 5 CFBDRN O=C(NCCCCF)c1c(Cl)cccc1[N+](=O)[O-] ZINC000362210149 381961565 /nfs/dbraw/zinc/96/15/65/381961565.db2.gz GJIAZLQNGDFKRW-UHFFFAOYSA-N 0 0 274.679 2.728 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CCCCc1ccccc1 ZINC000186601023 381962040 /nfs/dbraw/zinc/96/20/40/381962040.db2.gz SIXRCYZKWIULEI-UHFFFAOYSA-N 0 0 272.304 2.779 20 5 CFBDRN CCCN(CC(F)F)C(=O)c1csc([N+](=O)[O-])c1 ZINC000299506759 381964852 /nfs/dbraw/zinc/96/48/52/381964852.db2.gz RZQMUWVUAIXJEU-UHFFFAOYSA-N 0 0 278.280 2.774 20 5 CFBDRN CO[C@@H](CC(C)C)Cn1c2cc([N+](=O)[O-])ccc2oc1=O ZINC000416919200 381969840 /nfs/dbraw/zinc/96/98/40/381969840.db2.gz MAOGQZINDXONBX-NSHDSACASA-N 0 0 294.307 2.564 20 5 CFBDRN COc1ccncc1CSc1ncccc1[N+](=O)[O-] ZINC000343830007 381970078 /nfs/dbraw/zinc/97/00/78/381970078.db2.gz JFKAHGDCSULKNX-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN C[C@@H](N[C@H](CCO)c1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000186515682 381933545 /nfs/dbraw/zinc/93/35/45/381933545.db2.gz NWMAZZUEQMUZOW-BXUZGUMPSA-N 0 0 290.319 2.962 20 5 CFBDRN CCOc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c(C)c1 ZINC000343782454 381939490 /nfs/dbraw/zinc/93/94/90/381939490.db2.gz PTONVUAFXDAILX-UHFFFAOYSA-N 0 0 289.291 2.882 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccccc1OC(F)F ZINC000343784076 381940624 /nfs/dbraw/zinc/94/06/24/381940624.db2.gz PWHOQGOEJBFFNO-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN CCC[C@@H](NC(=O)c1cc([N+](=O)[O-])n[nH]1)c1ccccc1 ZINC000349478362 381943060 /nfs/dbraw/zinc/94/30/60/381943060.db2.gz VJQRPWQTLWJWKF-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC2(CC2)CC1 ZINC000373191997 381945716 /nfs/dbraw/zinc/94/57/16/381945716.db2.gz RKAKNQAZZKGODS-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CCCCCC[C@H](C)NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000299449223 381946594 /nfs/dbraw/zinc/94/65/94/381946594.db2.gz PWVVKRWXYQEBTD-VIFPVBQESA-N 0 0 282.344 2.715 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C(C)(F)F ZINC000293020330 381953096 /nfs/dbraw/zinc/95/30/96/381953096.db2.gz JEDOGOJKWXCPHS-QMMMGPOBSA-N 0 0 284.262 2.775 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@H]2CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000343805764 381953983 /nfs/dbraw/zinc/95/39/83/381953983.db2.gz JOLDZTCIVJFAPV-JQWIXIFHSA-N 0 0 291.351 2.943 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@@H]1CCOC1 ZINC000343877417 381997378 /nfs/dbraw/zinc/99/73/78/381997378.db2.gz PDVCISYDZNIWGH-LLVKDONJSA-N 0 0 280.324 2.580 20 5 CFBDRN Cn1c(-c2nc([C@H]3CCCS3)no2)ccc1[N+](=O)[O-] ZINC000357088764 382003601 /nfs/dbraw/zinc/00/36/01/382003601.db2.gz OXNJTCAJHOQUJX-MRVPVSSYSA-N 0 0 280.309 2.551 20 5 CFBDRN COc1c(C(=O)NCCC2(C)CC2)cccc1[N+](=O)[O-] ZINC000357177500 382041343 /nfs/dbraw/zinc/04/13/43/382041343.db2.gz KJDPSMFUSQCKKS-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2CC[C@H]2C)c1[N+](=O)[O-] ZINC000343982558 382047895 /nfs/dbraw/zinc/04/78/95/382047895.db2.gz KMLAWRVRDNLVET-PSASIEDQSA-N 0 0 280.349 2.845 20 5 CFBDRN CO[C@H]1CCCN(C(=O)c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000374723792 382054722 /nfs/dbraw/zinc/05/47/22/382054722.db2.gz ZYZFDFZYBMQHNG-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CSc1cc(CNc2ncccc2[N+](=O)[O-])ccn1 ZINC000357206675 382056112 /nfs/dbraw/zinc/05/61/12/382056112.db2.gz YWEJSWLWMUJQPN-UHFFFAOYSA-N 0 0 276.321 2.719 20 5 CFBDRN COC1(C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000186973035 382062461 /nfs/dbraw/zinc/06/24/61/382062461.db2.gz MSLJSSGZFDOGTN-UHFFFAOYSA-N 0 0 292.335 2.907 20 5 CFBDRN Cc1cc(CCNc2ccc(C)cc2[N+](=O)[O-])on1 ZINC000343911340 382017716 /nfs/dbraw/zinc/01/77/16/382017716.db2.gz MBTOWIBPXUOYBE-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CO[C@H](C)C1 ZINC000362345310 382017772 /nfs/dbraw/zinc/01/77/72/382017772.db2.gz MKLQSWSCZPHLOR-MWLCHTKSSA-N 0 0 278.308 2.521 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCOC1 ZINC000362345062 382017917 /nfs/dbraw/zinc/01/79/17/382017917.db2.gz KEAOZOFALBTJCP-JTQLQIEISA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H](O)CC2)c(Cl)c1 ZINC000293181850 382020925 /nfs/dbraw/zinc/02/09/25/382020925.db2.gz PSBJKAATZWELBS-LBPRGKRZSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000343930221 382025751 /nfs/dbraw/zinc/02/57/51/382025751.db2.gz RRGRLGPOYVVGFR-PELKAZGASA-N 0 0 282.727 2.705 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@@H]2C(F)F)nc2sccn21 ZINC000343941890 382030309 /nfs/dbraw/zinc/03/03/09/382030309.db2.gz ITBUPMSCHYRESB-ZCFIWIBFSA-N 0 0 288.279 2.538 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N[C@H]1C[C@H]1C1CC1 ZINC000362375010 382034227 /nfs/dbraw/zinc/03/42/27/382034227.db2.gz FGZFRKOQQGKPOZ-IUCAKERBSA-N 0 0 298.140 2.963 20 5 CFBDRN CCC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000299721463 382035117 /nfs/dbraw/zinc/03/51/17/382035117.db2.gz NBJJWTFTKOPUIM-UHFFFAOYSA-N 0 0 266.297 2.840 20 5 CFBDRN CCOc1cc(N2CCO[C@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000362376972 382035609 /nfs/dbraw/zinc/03/56/09/382035609.db2.gz WBGFQMUOMXIGDO-JSGCOSHPSA-N 0 0 292.335 2.751 20 5 CFBDRN Cc1cc(N2CCC[C@@H](n3cccn3)C2)ccc1[N+](=O)[O-] ZINC000301202873 382104804 /nfs/dbraw/zinc/10/48/04/382104804.db2.gz XFWKJAXZBDXBFI-CQSZACIVSA-N 0 0 286.335 2.941 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCNC(=O)c1ccccc1 ZINC000301206523 382105277 /nfs/dbraw/zinc/10/52/77/382105277.db2.gz ZJHWWYCLMHGCKO-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000301220426 382108735 /nfs/dbraw/zinc/10/87/35/382108735.db2.gz AYPXUHSMIXAQPH-OTYXRUKQSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(C)NC(=O)c1ccc(NC[C@H]2C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000357328275 382111058 /nfs/dbraw/zinc/11/10/58/382111058.db2.gz XBWCKGVFFZEAKA-CMPLNLGQSA-N 0 0 291.351 2.801 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nccs1 ZINC000357330564 382111903 /nfs/dbraw/zinc/11/19/03/382111903.db2.gz HENNZSKPKRLZIY-UHFFFAOYSA-N 0 0 293.304 2.702 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000163279927 322374445 /nfs/dbraw/zinc/37/44/45/322374445.db2.gz IJEJTMIIQADLOD-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN CN(Cc1ccccn1)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000357333233 382113778 /nfs/dbraw/zinc/11/37/78/382113778.db2.gz GVLFBWKUURUABW-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1Oc1cccc[n+]1[O-] ZINC000301060665 382070881 /nfs/dbraw/zinc/07/08/81/382070881.db2.gz RMFXEROLTXTDLB-UHFFFAOYSA-N 0 0 266.640 2.674 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@H](C)C1 ZINC000301091978 382079025 /nfs/dbraw/zinc/07/90/25/382079025.db2.gz IHRFTOAIEZQGAF-WDEREUQCSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1cn2c(n1)CC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)C2 ZINC000301134957 382089248 /nfs/dbraw/zinc/08/92/48/382089248.db2.gz YKISGLPRTPSYLU-SNVBAGLBSA-N 0 0 290.298 2.666 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccnc(C3CC3)n2)c1 ZINC000301258515 382127019 /nfs/dbraw/zinc/12/70/19/382127019.db2.gz RKRRSQWIXYQMGJ-UHFFFAOYSA-N 0 0 270.292 2.874 20 5 CFBDRN Cc1c(NC(=O)C2CCC(=O)CC2)cccc1[N+](=O)[O-] ZINC000344047323 382090719 /nfs/dbraw/zinc/09/07/19/382090719.db2.gz OKKZYQXVCQERCE-UHFFFAOYSA-N 0 0 276.292 2.601 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000187421931 382179561 /nfs/dbraw/zinc/17/95/61/382179561.db2.gz RHQRJQJTJSPDOU-GXFFZTMASA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1cnccc1CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000187438939 382183003 /nfs/dbraw/zinc/18/30/03/382183003.db2.gz BACSFAOSUIVBPM-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1ccnc(C)n1 ZINC000352014749 382129775 /nfs/dbraw/zinc/12/97/75/382129775.db2.gz SCHUYKPSXSEOBL-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1ccnc(Nc2cnn(C(C)(C)C)c2)c1[N+](=O)[O-] ZINC000301273028 382131256 /nfs/dbraw/zinc/13/12/56/382131256.db2.gz IDRHUAXRWWXFFD-UHFFFAOYSA-N 0 0 275.312 2.993 20 5 CFBDRN CNC(=O)c1ccc(N2CCCCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000301287947 382138434 /nfs/dbraw/zinc/13/84/34/382138434.db2.gz PAKVACVDVOMLDZ-LLVKDONJSA-N 0 0 291.351 2.723 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN[C@H](CO)c1ccsc1 ZINC000352031938 382142764 /nfs/dbraw/zinc/14/27/64/382142764.db2.gz IGNFGWSYNFHESA-GFCCVEGCSA-N 0 0 296.323 2.619 20 5 CFBDRN COc1cc(C=Cc2nc(C)cc(=O)[nH]2)ccc1[N+](=O)[O-] ZINC000352041379 382144478 /nfs/dbraw/zinc/14/44/78/382144478.db2.gz XXJWYJUNUHAECQ-XQRVVYSFSA-N 0 0 287.275 2.578 20 5 CFBDRN NC(=O)[C@@H]1CCC[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000301331858 382150653 /nfs/dbraw/zinc/15/06/53/382150653.db2.gz APHRYUUQMAUFBN-PSASIEDQSA-N 0 0 297.742 2.704 20 5 CFBDRN O=c1cc(CNc2ccc([N+](=O)[O-])cn2)c2ccccc2[nH]1 ZINC000301330569 382150741 /nfs/dbraw/zinc/15/07/41/382150741.db2.gz DLTWGESKSRRHTP-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN CCCCN(C)c1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000301347082 382154375 /nfs/dbraw/zinc/15/43/75/382154375.db2.gz KULULZOAKGHXOD-UHFFFAOYSA-N 0 0 256.277 2.979 20 5 CFBDRN CC(C)CC1(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)CCC1 ZINC000362577929 382155553 /nfs/dbraw/zinc/15/55/53/382155553.db2.gz OYNHEPXDRAMLLB-UHFFFAOYSA-N 0 0 279.340 2.869 20 5 CFBDRN O=C(NCC(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000293522158 382155984 /nfs/dbraw/zinc/15/59/84/382155984.db2.gz YMAMBJIXJGXQSU-UHFFFAOYSA-N 0 0 298.167 2.609 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)C[C@H]1CCCCO1 ZINC000301348447 382156720 /nfs/dbraw/zinc/15/67/20/382156720.db2.gz LMSHRHBDJJRIID-CQSZACIVSA-N 0 0 294.351 2.747 20 5 CFBDRN CO[C@@H](C)CN(C)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000344153400 382165478 /nfs/dbraw/zinc/16/54/78/382165478.db2.gz NIOPZZZZQGBTDJ-NSHDSACASA-N 0 0 294.351 2.627 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H](C)c2ccon2)cc1[N+](=O)[O-] ZINC000357441565 382172025 /nfs/dbraw/zinc/17/20/25/382172025.db2.gz JKGGCUBLQGQEQN-SECBINFHSA-N 0 0 290.279 2.774 20 5 CFBDRN CCC[C@H](CNc1cccc(OC)c1[N+](=O)[O-])OC ZINC000293613272 382195748 /nfs/dbraw/zinc/19/57/48/382195748.db2.gz HUJYIGFYOSCVPU-SNVBAGLBSA-N 0 0 268.313 2.830 20 5 CFBDRN Cc1ccc(CCC(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1 ZINC000344204171 382197189 /nfs/dbraw/zinc/19/71/89/382197189.db2.gz YTUIWQXTPINNGS-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN CC(C)CCOCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344210761 382200320 /nfs/dbraw/zinc/20/03/20/382200320.db2.gz LJOSPWUWWOMIDY-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN COc1cc(N2C[C@@H](C)[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000301932869 382200821 /nfs/dbraw/zinc/20/08/21/382200821.db2.gz PMEICPPDDMOWBY-RKDXNWHRSA-N 0 0 268.288 2.835 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000344216829 382203938 /nfs/dbraw/zinc/20/39/38/382203938.db2.gz UEDPPBNSRFKABS-GHMZBOCLSA-N 0 0 279.340 2.632 20 5 CFBDRN Cc1nn(C)c(OCc2ccc([N+](=O)[O-])cc2)c1C ZINC000418994673 382210479 /nfs/dbraw/zinc/21/04/79/382210479.db2.gz LZQLHMQGXSFZJO-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NC[C@H]3CC34CC4)cc2N1 ZINC000418997610 382212406 /nfs/dbraw/zinc/21/24/06/382212406.db2.gz UQLXZGZWTBVHAM-SNVBAGLBSA-N 0 0 287.319 2.692 20 5 CFBDRN CC[C@H]1C[C@H]1NC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000344228038 382213002 /nfs/dbraw/zinc/21/30/02/382213002.db2.gz VPQJRQPMEYRISZ-NWDGAFQWSA-N 0 0 292.335 2.587 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000362843448 382219775 /nfs/dbraw/zinc/21/97/75/382219775.db2.gz PWGDMNPVCBKXRS-JTQLQIEISA-N 0 0 280.349 2.845 20 5 CFBDRN CCC[C@]1(CO)CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000344240551 382224054 /nfs/dbraw/zinc/22/40/54/382224054.db2.gz CZYHESOCTRPLGG-HNNXBMFYSA-N 0 0 296.342 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1NC[C@H]1CCc2nccn2C1 ZINC000377143685 382225378 /nfs/dbraw/zinc/22/53/78/382225378.db2.gz VXQFUBWKILASOR-SNVBAGLBSA-N 0 0 290.298 2.605 20 5 CFBDRN CCc1nn(C)c(N2C[C@@H]3CCCCC[C@H]32)c1[N+](=O)[O-] ZINC000377159064 382229684 /nfs/dbraw/zinc/22/96/84/382229684.db2.gz OSKKABJUVJGHHO-CMPLNLGQSA-N 0 0 278.356 2.660 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncccn2)c2cccnc21 ZINC000302251036 382229742 /nfs/dbraw/zinc/22/97/42/382229742.db2.gz NACNNUNVENFALR-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC(C)(C)C(C)C ZINC000187649797 382235569 /nfs/dbraw/zinc/23/55/69/382235569.db2.gz XWFJGICFRZJMRB-UHFFFAOYSA-N 0 0 279.340 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC3(CCCC3)C2)c(F)c1 ZINC000301711748 382188328 /nfs/dbraw/zinc/18/83/28/382188328.db2.gz KUARYYYSJRXEMP-UHFFFAOYSA-N 0 0 280.299 2.883 20 5 CFBDRN Cn1c(C(=O)NC2(c3ccccc3)CCC2)ccc1[N+](=O)[O-] ZINC000352137864 382190338 /nfs/dbraw/zinc/19/03/38/382190338.db2.gz RSYBCIVYOGOZDE-UHFFFAOYSA-N 0 0 299.330 2.743 20 5 CFBDRN Cc1ccnc([C@@H](Nc2ccc([N+](=O)[O-])nc2)C2CC2)n1 ZINC000362660201 382191676 /nfs/dbraw/zinc/19/16/76/382191676.db2.gz ZTTOAINWWYZZQJ-ZDUSSCGKSA-N 0 0 285.307 2.651 20 5 CFBDRN CC[C@H](C)CONC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000293602603 382192793 /nfs/dbraw/zinc/19/27/93/382192793.db2.gz CLIAOQAZGPAGTB-VIFPVBQESA-N 0 0 291.307 2.784 20 5 CFBDRN C[C@@H](Cc1ccccc1)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344197402 382194192 /nfs/dbraw/zinc/19/41/92/382194192.db2.gz IAYRESGHSZQPLE-LBPRGKRZSA-N 0 0 299.330 2.831 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000302598907 382275572 /nfs/dbraw/zinc/27/55/72/382275572.db2.gz YCILGOQYOPPNFL-YUELXQCFSA-N 0 0 292.335 2.721 20 5 CFBDRN O=C(Cc1ccoc1)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000187815137 382276187 /nfs/dbraw/zinc/27/61/87/382276187.db2.gz DNMWYFDRLYLXME-UHFFFAOYSA-N 0 0 297.270 2.917 20 5 CFBDRN CO[C@H]1CN(c2ccc(C)cc2[N+](=O)[O-])CC[C@@H]1C ZINC000302606866 382276649 /nfs/dbraw/zinc/27/66/49/382276649.db2.gz ZTHXQPHRBPWDMU-FZMZJTMJSA-N 0 0 264.325 2.764 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000302641169 382282128 /nfs/dbraw/zinc/28/21/28/382282128.db2.gz IFAXKLSCRDNQBN-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cn2c(n1)CC[C@H](Nc1cccc(F)c1[N+](=O)[O-])C2 ZINC000302656575 382284893 /nfs/dbraw/zinc/28/48/93/382284893.db2.gz KYOCYWUINGULNC-JTQLQIEISA-N 0 0 290.298 2.666 20 5 CFBDRN COCC[C@@H]1CCCCN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000377257022 382245001 /nfs/dbraw/zinc/24/50/01/382245001.db2.gz NEQXWDBYZOZODZ-ZDUSSCGKSA-N 0 0 293.367 2.941 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ccc([N+](=O)[O-])cc2)O1 ZINC000302398961 382246776 /nfs/dbraw/zinc/24/67/76/382246776.db2.gz JPXDQWQTBMPWBS-LBPRGKRZSA-N 0 0 250.298 2.964 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CCC[C@@H]2CCO)c1 ZINC000302410808 382248329 /nfs/dbraw/zinc/24/83/29/382248329.db2.gz GVDDRESRHBYDMF-SKDRFNHKSA-N 0 0 298.314 2.706 20 5 CFBDRN CC1CC(Nc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000352361068 382290700 /nfs/dbraw/zinc/29/07/00/382290700.db2.gz PYAYHIWCLSNCIZ-UHFFFAOYSA-N 0 0 257.293 2.775 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC(F)(F)c1ccccc1 ZINC000357620568 382254492 /nfs/dbraw/zinc/25/44/92/382254492.db2.gz VNGFVVHEVVMASO-UHFFFAOYSA-N 0 0 282.250 2.532 20 5 CFBDRN CN(Cc1ccon1)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000352268384 382254718 /nfs/dbraw/zinc/25/47/18/382254718.db2.gz XAQPQCXYJXFEQH-UHFFFAOYSA-N 0 0 295.682 2.508 20 5 CFBDRN COCC1=CCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000302477890 382255213 /nfs/dbraw/zinc/25/52/13/382255213.db2.gz XMSCIABFIKIHJB-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN COc1cc(NC[C@@H]2CCC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000302485953 382256763 /nfs/dbraw/zinc/25/67/63/382256763.db2.gz YLNGVURQODNXCB-NSHDSACASA-N 0 0 280.324 2.973 20 5 CFBDRN CC1(C)CCC[C@](O)(CNc2ccc([N+](=O)[O-])cn2)C1 ZINC000302494510 382257526 /nfs/dbraw/zinc/25/75/26/382257526.db2.gz LOKHZOGYPXPTCS-CQSZACIVSA-N 0 0 279.340 2.733 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c(F)cc1[N+](=O)[O-] ZINC000302514217 382259578 /nfs/dbraw/zinc/25/95/78/382259578.db2.gz ADXWYVWUYAWXRH-PLMOITTCSA-N 0 0 296.298 2.722 20 5 CFBDRN Cc1cccc(N(C)C[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000302521986 382262369 /nfs/dbraw/zinc/26/23/69/382262369.db2.gz YBTWFTKLYYBNFT-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000302559496 382268675 /nfs/dbraw/zinc/26/86/75/382268675.db2.gz MLLDNIZSLPUACY-SCRDCRAPSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000302558810 382268713 /nfs/dbraw/zinc/26/87/13/382268713.db2.gz MELQSYLFLZIOOI-WZRBSPASSA-N 0 0 262.309 2.883 20 5 CFBDRN CCOc1ccc(C(=O)NC[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000352306611 382269394 /nfs/dbraw/zinc/26/93/94/382269394.db2.gz XVLCPINUJUAHMH-LLVKDONJSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1cccc(N2CC[C@H]([C@@H]3CCOC3)C2)c1[N+](=O)[O-] ZINC000364255812 382292833 /nfs/dbraw/zinc/29/28/33/382292833.db2.gz OOOOQSAYTPSMEX-QWHCGFSZSA-N 0 0 276.336 2.766 20 5 CFBDRN Cc1nn(C)c(Nc2cc3c[nH]nc3cc2C)c1[N+](=O)[O-] ZINC000302725974 382303170 /nfs/dbraw/zinc/30/31/70/382303170.db2.gz PAFOMVCGMFVESG-UHFFFAOYSA-N 0 0 286.295 2.565 20 5 CFBDRN Cc1ccc(C[C@@H](CO)Nc2ccc([N+](=O)[O-])cc2)cc1 ZINC000302732476 382305863 /nfs/dbraw/zinc/30/58/63/382305863.db2.gz XGEMBKMJFCJSFT-HNNXBMFYSA-N 0 0 286.331 2.919 20 5 CFBDRN CCOc1cc(Oc2ncccn2)ccc1[N+](=O)[O-] ZINC000302737358 382307679 /nfs/dbraw/zinc/30/76/79/382307679.db2.gz XJWDGOCKRRMYQF-UHFFFAOYSA-N 0 0 261.237 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@@H]1CCC[C@@H](O)C1)CCN2 ZINC000302884116 382344337 /nfs/dbraw/zinc/34/43/37/382344337.db2.gz LLTHBUPKIHDNHR-GHMZBOCLSA-N 0 0 291.351 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(F)c(F)c2)nc1 ZINC000302767818 382313828 /nfs/dbraw/zinc/31/38/28/382313828.db2.gz LWMUNCSRHNCJKV-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN COc1ncccc1CCNc1ccccc1[N+](=O)[O-] ZINC000357778124 382315875 /nfs/dbraw/zinc/31/58/75/382315875.db2.gz BRQAYYICMLFEBB-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CCCC[C@@H](C)N(C)c1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000357829861 382340275 /nfs/dbraw/zinc/34/02/75/382340275.db2.gz SEFZHNYLOIDMDR-SNVBAGLBSA-N 0 0 279.340 2.709 20 5 CFBDRN COCCN(c1ncc(C)cc1[N+](=O)[O-])[C@@H]1CC[C@H](C)C1 ZINC000302708309 382297713 /nfs/dbraw/zinc/29/77/13/382297713.db2.gz JWSKTZBFDQHIRA-WCQYABFASA-N 0 0 293.367 2.940 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)CC(C)(C)O ZINC000302716506 382299700 /nfs/dbraw/zinc/29/97/00/382299700.db2.gz YIPHOTSAVFTPRP-UHFFFAOYSA-N 0 0 272.732 2.764 20 5 CFBDRN Cc1cnc(NCc2cccc([N+](=O)[O-])c2C)nc1C ZINC000302721894 382301060 /nfs/dbraw/zinc/30/10/60/382301060.db2.gz MLQCSJDUXHNOKS-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C[C@H](CCC(C)(C)C)Nc1c([N+](=O)[O-])ncn1C ZINC000346424262 382356850 /nfs/dbraw/zinc/35/68/50/382356850.db2.gz PLUAKDMASLXQQS-SECBINFHSA-N 0 0 254.334 2.955 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)[C@@H](C)C(F)(F)F ZINC000357903565 382368337 /nfs/dbraw/zinc/36/83/37/382368337.db2.gz RDPVYIUGRASCDF-LURJTMIESA-N 0 0 280.202 2.601 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@H]2CCCCC[C@@H]21 ZINC000378093341 382397347 /nfs/dbraw/zinc/39/73/47/382397347.db2.gz XNCSALWUTCLINS-OCCSQVGLSA-N 0 0 274.320 3.000 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000304070250 382374829 /nfs/dbraw/zinc/37/48/29/382374829.db2.gz VDUDERLJCKBTMR-QMTHXVAHSA-N 0 0 295.339 2.513 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CCC[C@@H]1CF ZINC000294005468 382375399 /nfs/dbraw/zinc/37/53/99/382375399.db2.gz IFWZXKGRWDCWAG-ABZNLYFFSA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1cccc(NCCCOC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000188354045 382397653 /nfs/dbraw/zinc/39/76/53/382397653.db2.gz IJVOTTZDKZCQQB-ZDUSSCGKSA-N 0 0 294.351 2.901 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1C[C@H]2CCCCC[C@@H]21 ZINC000378092171 382397824 /nfs/dbraw/zinc/39/78/24/382397824.db2.gz WECBGMBAJGYYRO-KGLIPLIRSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1sc(C(=O)N2CCOC(C)(C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000357933767 382380538 /nfs/dbraw/zinc/38/05/38/382380538.db2.gz YSGUHHQOCUGSDC-VIFPVBQESA-N 0 0 298.364 2.604 20 5 CFBDRN COC(=O)[C@@H](C)SCc1ccc([N+](=O)[O-])c(F)c1 ZINC000188291914 382385821 /nfs/dbraw/zinc/38/58/21/382385821.db2.gz FLLKFRLWMBTIHY-SSDOTTSWSA-N 0 0 273.285 2.529 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@H](CO)CC1 ZINC000294052855 382395329 /nfs/dbraw/zinc/39/53/29/382395329.db2.gz MINOKVKNKLMQDG-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1ccc(NC(=O)c2cnn3ccccc23)cc1[N+](=O)[O-] ZINC000346398628 382348210 /nfs/dbraw/zinc/34/82/10/382348210.db2.gz YLKRDYTYPMZFLP-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2CC[C@@H](C)O2)n1 ZINC000352562240 382396185 /nfs/dbraw/zinc/39/61/85/382396185.db2.gz IDCFEKAIUHXSKK-MNOVXSKESA-N 0 0 266.297 2.553 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)CCO1 ZINC000420615563 382427644 /nfs/dbraw/zinc/42/76/44/382427644.db2.gz DOGRPRUTSZVQES-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2cccc(OC)c2[N+](=O)[O-])CCO1 ZINC000420619192 382428245 /nfs/dbraw/zinc/42/82/45/382428245.db2.gz OHBQBWLWTWIGDK-WDEREUQCSA-N 0 0 280.324 2.973 20 5 CFBDRN Cn1ccnc1[C@H]1CCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000378366081 382447869 /nfs/dbraw/zinc/44/78/69/382447869.db2.gz AERFITHMQDQKKB-CQSZACIVSA-N 0 0 286.335 2.665 20 5 CFBDRN Cc1nn(CCCC(=O)c2ccccc2)cc1[N+](=O)[O-] ZINC000294139762 382430953 /nfs/dbraw/zinc/43/09/53/382430953.db2.gz GFSZOZCBWQXQKK-UHFFFAOYSA-N 0 0 273.292 2.763 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCCC1(O)CCC1 ZINC000420627748 382432911 /nfs/dbraw/zinc/43/29/11/382432911.db2.gz DMZNRZUFZGEEER-UHFFFAOYSA-N 0 0 285.731 2.750 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420626093 382432929 /nfs/dbraw/zinc/43/29/29/382432929.db2.gz MJXJQVDTKWPUBZ-VHSXEESVSA-N 0 0 277.324 2.542 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCCC2(O)CCC2)c1 ZINC000420628326 382435572 /nfs/dbraw/zinc/43/55/72/382435572.db2.gz FIRLNHUWDQLSAD-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN CC(F)(F)CCCNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420638959 382439727 /nfs/dbraw/zinc/43/97/27/382439727.db2.gz PUHJFVYWJBDWBB-UHFFFAOYSA-N 0 0 287.266 2.541 20 5 CFBDRN CCOc1cc(OC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000188589202 382440014 /nfs/dbraw/zinc/44/00/14/382440014.db2.gz RVXWQSDEDPQZBB-LLVKDONJSA-N 0 0 267.281 2.551 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H](CCO)CC1 ZINC000420641074 382441081 /nfs/dbraw/zinc/44/10/81/382441081.db2.gz NKOLYWPOLAWNOM-LLVKDONJSA-N 0 0 282.315 2.723 20 5 CFBDRN COc1cc(N2CCC[C@@H](CCO)CC2)ccc1[N+](=O)[O-] ZINC000420640985 382441414 /nfs/dbraw/zinc/44/14/14/382441414.db2.gz NDKJWUMQAUKVHE-GFCCVEGCSA-N 0 0 294.351 2.592 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC(C)C ZINC000188593572 382441581 /nfs/dbraw/zinc/44/15/81/382441581.db2.gz XMNGRWXZLVNORF-UHFFFAOYSA-N 0 0 251.286 2.742 20 5 CFBDRN CCCN1C[C@H](CNc2cccc(C)c2[N+](=O)[O-])CC1=O ZINC000420657140 382448665 /nfs/dbraw/zinc/44/86/65/382448665.db2.gz WEUJQRQTBJHHJD-LBPRGKRZSA-N 0 0 291.351 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOC(F)(F)F)c(F)c1 ZINC000352582828 382405217 /nfs/dbraw/zinc/40/52/17/382405217.db2.gz FBHDNKSLBUKHSG-UHFFFAOYSA-N 0 0 268.166 2.682 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@H](C)[C@H]1C ZINC000294073173 382405604 /nfs/dbraw/zinc/40/56/04/382405604.db2.gz LOVVARTXKJKIRA-AXFHLTTASA-N 0 0 294.376 2.942 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc(N)c([N+](=O)[O-])c1)C(F)(F)F ZINC000294085260 382409781 /nfs/dbraw/zinc/40/97/81/382409781.db2.gz IPQKJOQXHFBHIO-NKWVEPMBSA-N 0 0 277.246 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOC(F)(F)F)cc1 ZINC000352601626 382411378 /nfs/dbraw/zinc/41/13/78/382411378.db2.gz IMVPNXOKGCQBQU-UHFFFAOYSA-N 0 0 250.176 2.543 20 5 CFBDRN CC1(C)CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCCO1 ZINC000365553059 382412182 /nfs/dbraw/zinc/41/21/82/382412182.db2.gz IPYHYGIHBZVFRD-UHFFFAOYSA-N 0 0 291.351 2.568 20 5 CFBDRN CCCN1C[C@@H](CNc2ccc([N+](=O)[O-])cc2C)CC1=O ZINC000420653889 382447141 /nfs/dbraw/zinc/44/71/41/382447141.db2.gz PKRKYVMDZKWXCB-GFCCVEGCSA-N 0 0 291.351 2.574 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CC1(C)C ZINC000352613373 382417303 /nfs/dbraw/zinc/41/73/03/382417303.db2.gz VGROSJVPVJPKSD-VIFPVBQESA-N 0 0 293.323 2.771 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000378403176 382455166 /nfs/dbraw/zinc/45/51/66/382455166.db2.gz NNBXUWKCHDXLRL-CHWSQXEVSA-N 0 0 291.351 2.633 20 5 CFBDRN COc1cccc(C2=CCN(c3ncccc3[N+](=O)[O-])C2)c1 ZINC000378439130 382461367 /nfs/dbraw/zinc/46/13/67/382461367.db2.gz MHGIACIBKHCTAO-UHFFFAOYSA-N 0 0 297.314 2.902 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C(C)C)C2CC2)c1 ZINC000358186604 382493463 /nfs/dbraw/zinc/49/34/63/382493463.db2.gz NSWIROKEJDAEOY-UHFFFAOYSA-N 0 0 262.309 2.916 20 5 CFBDRN Cc1cc(NCc2ccc(N)nc2)c(F)cc1[N+](=O)[O-] ZINC000308392384 382475301 /nfs/dbraw/zinc/47/53/01/382475301.db2.gz OEDQDQUTHNIKPP-UHFFFAOYSA-N 0 0 276.271 2.632 20 5 CFBDRN CCC(C)(C)OCCNc1ncc([N+](=O)[O-])cc1C ZINC000308513513 382478064 /nfs/dbraw/zinc/47/80/64/382478064.db2.gz PFBYUTKFDNGCFI-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccccc1F)[N+](=O)[O-] ZINC000294253293 382480627 /nfs/dbraw/zinc/48/06/27/382480627.db2.gz PFUOMJBQUFPKPO-UHFFFAOYSA-N 0 0 254.261 2.600 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC23CCC(CC2)C3)c1=O ZINC000294258345 382481661 /nfs/dbraw/zinc/48/16/61/382481661.db2.gz QQZJIILANSFZQY-UHFFFAOYSA-N 0 0 262.309 2.645 20 5 CFBDRN C[C@H]1CCCC[C@]1(C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000308704081 382485931 /nfs/dbraw/zinc/48/59/31/382485931.db2.gz HUVGLNIENLCYMY-BONVTDFDSA-N 0 0 291.351 2.876 20 5 CFBDRN COc1ccncc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000346707167 382486033 /nfs/dbraw/zinc/48/60/33/382486033.db2.gz QIDRYTYNTHCSPH-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H](N[C@H]1CCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000294302006 382501715 /nfs/dbraw/zinc/50/17/15/382501715.db2.gz ZWOWPYRIBNUMET-MFKMUULPSA-N 0 0 272.308 2.587 20 5 CFBDRN Cc1nn([C@H]2CCc3ccc(F)cc32)cc1[N+](=O)[O-] ZINC000294309302 382504068 /nfs/dbraw/zinc/50/40/68/382504068.db2.gz VSXDSPOFAFUONR-LBPRGKRZSA-N 0 0 261.256 2.774 20 5 CFBDRN Cc1csc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000379024687 382504052 /nfs/dbraw/zinc/50/40/52/382504052.db2.gz CPDHRIZNFUHWSI-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCC1(C)CC1 ZINC000358226893 382513284 /nfs/dbraw/zinc/51/32/84/382513284.db2.gz DELIDJUODLJYBU-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN Cc1ccc(C[N@@H+]2CCC[C@@H](CCO)CC2)cc1[N+](=O)[O-] ZINC000421397074 382516635 /nfs/dbraw/zinc/51/66/35/382516635.db2.gz LCYMFVYNLPSSBW-CQSZACIVSA-N 0 0 292.379 2.888 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCCO[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000188992061 382519643 /nfs/dbraw/zinc/51/96/43/382519643.db2.gz XBFNKGMTVMYRMQ-WDMOLILDSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ncon1 ZINC000366667661 382540781 /nfs/dbraw/zinc/54/07/81/382540781.db2.gz VQPFFJHWZHYROC-ZWNOBZJWSA-N 0 0 288.307 2.876 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC[C@H]1CCCO1 ZINC000189110681 382545381 /nfs/dbraw/zinc/54/53/81/382545381.db2.gz WKDPMUIGARQZKC-GFCCVEGCSA-N 0 0 251.282 2.851 20 5 CFBDRN CC(F)(F)CCCNc1ncc([N+](=O)[O-])s1 ZINC000294952794 382547563 /nfs/dbraw/zinc/54/75/63/382547563.db2.gz MSTRKFKHMKLQHI-UHFFFAOYSA-N 0 0 251.258 2.899 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)CC(F)F ZINC000421469726 382548564 /nfs/dbraw/zinc/54/85/64/382548564.db2.gz LWPFDDLOPSGAOJ-UHFFFAOYSA-N 0 0 272.251 2.549 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1Cl)CC1(O)CCC1 ZINC000353483188 382550335 /nfs/dbraw/zinc/55/03/35/382550335.db2.gz NZKYKMQKGRNSLA-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1nnc(C(C)C)[nH]1 ZINC000294967230 382556600 /nfs/dbraw/zinc/55/66/00/382556600.db2.gz NPGFJAJEYNKWDU-UHFFFAOYSA-N 0 0 275.312 2.757 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])c(N)c2F)CCCC1 ZINC000295005139 382559897 /nfs/dbraw/zinc/55/98/97/382559897.db2.gz SGRZMUKDMDFABP-UHFFFAOYSA-N 0 0 283.303 2.687 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@@H](C)C1 ZINC000421502834 382561173 /nfs/dbraw/zinc/56/11/73/382561173.db2.gz FUCSMCHQWIPWMY-RYUDHWBXSA-N 0 0 292.335 2.509 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000346900261 382564914 /nfs/dbraw/zinc/56/49/14/382564914.db2.gz WLJWYJWDYKOSIU-SECBINFHSA-N 0 0 276.214 2.666 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000358255649 382531048 /nfs/dbraw/zinc/53/10/48/382531048.db2.gz AHNMGCQCLPFCKX-ZWNOBZJWSA-N 0 0 291.351 2.928 20 5 CFBDRN CCc1cnccc1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000358259837 382532520 /nfs/dbraw/zinc/53/25/20/382532520.db2.gz FTTSYFRQNKPMIH-UHFFFAOYSA-N 0 0 285.303 2.535 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000353312999 382537129 /nfs/dbraw/zinc/53/71/29/382537129.db2.gz LGKRILLYZSZRKF-ZANVPECISA-N 0 0 280.324 2.903 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])c([O-])c1)C1CCCC1 ZINC000382818056 382537709 /nfs/dbraw/zinc/53/77/09/382537709.db2.gz GYBKEWPNVJLWGE-GFCCVEGCSA-N 0 0 292.335 2.999 20 5 CFBDRN CCC[C@H](CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C)OC ZINC000421568406 382583614 /nfs/dbraw/zinc/58/36/14/382583614.db2.gz GUCAEOWRWTUYLT-GFCCVEGCSA-N 0 0 294.351 2.757 20 5 CFBDRN CC[C@@H](C)CNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000421580495 382590189 /nfs/dbraw/zinc/59/01/89/382590189.db2.gz NNZPPONNYXQMNX-SNVBAGLBSA-N 0 0 282.365 2.849 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000421582608 382591070 /nfs/dbraw/zinc/59/10/70/382591070.db2.gz ZCHKHFDDQZRXHF-LLVKDONJSA-N 0 0 274.320 2.746 20 5 CFBDRN COC1(CNC(=O)c2cccc([N+](=O)[O-])c2C)CCCC1 ZINC000295233382 382595928 /nfs/dbraw/zinc/59/59/28/382595928.db2.gz BYLKAUHTQFDXEX-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CC[C@H](C)CSc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000189348389 382596864 /nfs/dbraw/zinc/59/68/64/382596864.db2.gz QPYUKJUYSSKWIV-QMMMGPOBSA-N 0 0 284.337 2.915 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1nccs1 ZINC000388362204 382606475 /nfs/dbraw/zinc/60/64/75/382606475.db2.gz OAAYEZLJGQVADK-ZETCQYMHSA-N 0 0 281.341 2.749 20 5 CFBDRN CSC[C@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295289052 382608760 /nfs/dbraw/zinc/60/87/60/382608760.db2.gz IKDUPQFIHMZUCT-UWVGGRQHSA-N 0 0 282.365 2.771 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCO[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000295290882 382608851 /nfs/dbraw/zinc/60/88/51/382608851.db2.gz IPYCSPHTFHBERO-NTZNESFSSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1cc(NC(=O)c2ccccc2[N+](=O)[O-])ccc1F ZINC000189447089 382609628 /nfs/dbraw/zinc/60/96/28/382609628.db2.gz CVUJRLCMKSFFCQ-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CC(C)C(=O)COc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000295297506 382610694 /nfs/dbraw/zinc/61/06/94/382610694.db2.gz JULISZKKPPKKJM-UHFFFAOYSA-N 0 0 275.663 2.991 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H](O)C2CCCCC2)cc1[N+](=O)[O-] ZINC000189506960 382617866 /nfs/dbraw/zinc/61/78/66/382617866.db2.gz XQFPTFFAUNEHBF-AWEZNQCLSA-N 0 0 292.335 2.783 20 5 CFBDRN Cc1c(C(=O)N2C[C@H](C)O[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000353736580 382621328 /nfs/dbraw/zinc/62/13/28/382621328.db2.gz XUCCKTFUJBBYQY-DLOVCJGASA-N 0 0 292.335 2.541 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CSC2(C)C)c1 ZINC000393818688 382625218 /nfs/dbraw/zinc/62/52/18/382625218.db2.gz HUNWCPAWDVGMKR-LLVKDONJSA-N 0 0 268.338 2.909 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC12CC2 ZINC000421566430 382582474 /nfs/dbraw/zinc/58/24/74/382582474.db2.gz YSUMVVQKEORQLY-NSHDSACASA-N 0 0 260.293 2.896 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1C[C@H](c2ccccc2)C1)[N+](=O)[O-] ZINC000295205696 382583063 /nfs/dbraw/zinc/58/30/63/382583063.db2.gz VWPYBZNPWSWLFQ-HDJSIYSDSA-N 0 0 290.363 2.884 20 5 CFBDRN CC[C@H](C)CC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000189816973 382641818 /nfs/dbraw/zinc/64/18/18/382641818.db2.gz NUFVTJVDSVSPAS-QMMMGPOBSA-N 0 0 276.296 2.846 20 5 CFBDRN CC[C@@](C)(O)CNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000189961089 382647365 /nfs/dbraw/zinc/64/73/65/382647365.db2.gz HQRNHPBHXKNCFL-OAHLLOKOSA-N 0 0 294.351 2.609 20 5 CFBDRN CN(Cc1ccccc1F)c1ncc([N+](=O)[O-])s1 ZINC000189975055 382647986 /nfs/dbraw/zinc/64/79/86/382647986.db2.gz HOCXCMZJQGYWIH-UHFFFAOYSA-N 0 0 267.285 2.827 20 5 CFBDRN COc1cc(C(=O)NCC(C)(C)C)cc([N+](=O)[O-])c1C ZINC000190019369 382650618 /nfs/dbraw/zinc/65/06/18/382650618.db2.gz QWJZKZIHYOOLGE-UHFFFAOYSA-N 0 0 280.324 2.688 20 5 CFBDRN COc1cccc(CCNc2ncc([N+](=O)[O-])s2)c1 ZINC000190317320 382662121 /nfs/dbraw/zinc/66/21/21/382662121.db2.gz IUSDHSFWJDUDPT-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN C[C@@H](CCc1cccn1C)Nc1ncc([N+](=O)[O-])s1 ZINC000190331180 382662664 /nfs/dbraw/zinc/66/26/64/382662664.db2.gz HBRPRWUYBBRERF-VIFPVBQESA-N 0 0 280.353 2.823 20 5 CFBDRN CO[C@@H](C)[C@H](C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190358939 382663754 /nfs/dbraw/zinc/66/37/54/382663754.db2.gz LRLWACHKOYGJTG-QWRGUYRKSA-N 0 0 294.351 2.871 20 5 CFBDRN C[C@@H]1CSCC[N@H+](Cc2cccc([N+](=O)[O-])c2)C1 ZINC000190404969 382666448 /nfs/dbraw/zinc/66/64/48/382666448.db2.gz GXJWGOLSEXUZLQ-NSHDSACASA-N 0 0 266.366 2.780 20 5 CFBDRN CCN(C)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190663948 382676883 /nfs/dbraw/zinc/67/68/83/382676883.db2.gz SWFCHTHXBTZZFS-UHFFFAOYSA-N 0 0 250.298 2.810 20 5 CFBDRN C[C@@H]1CC[C@@H](COc2c(F)cccc2[N+](=O)[O-])O1 ZINC000190684863 382677720 /nfs/dbraw/zinc/67/77/20/382677720.db2.gz CJNHEDYQBMBPNM-BDAKNGLRSA-N 0 0 255.245 2.680 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000191019865 382688635 /nfs/dbraw/zinc/68/86/35/382688635.db2.gz RYERGHZINQCNMZ-GHMZBOCLSA-N 0 0 279.292 2.801 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)c1ccccn1 ZINC000189682062 382635284 /nfs/dbraw/zinc/63/52/84/382635284.db2.gz ZAOYNCGTBILBRB-SSDOTTSWSA-N 0 0 250.283 2.619 20 5 CFBDRN CCOC(C)(C)CNC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000189692636 382635501 /nfs/dbraw/zinc/63/55/01/382635501.db2.gz XMMHBYJZUWVHAT-UHFFFAOYSA-N 0 0 294.351 2.702 20 5 CFBDRN Cc1ccoc1CN(C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336848465 382734613 /nfs/dbraw/zinc/73/46/13/382734613.db2.gz KEYQHSIJHYLFMJ-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN C[C@H]1CC(O)C[C@H](C)N1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000191175043 382694238 /nfs/dbraw/zinc/69/42/38/382694238.db2.gz QSOMFSLRARTHIL-UWVGGRQHSA-N 0 0 298.770 2.982 20 5 CFBDRN CC[C@H](C)CC(=O)Nc1ccc([O-])c([N+](=O)[O-])c1 ZINC000191274826 382697535 /nfs/dbraw/zinc/69/75/35/382697535.db2.gz JSAKVPRDYCRWHU-QMMMGPOBSA-N 0 0 252.270 2.675 20 5 CFBDRN Cc1cnc(COC(=O)CC2CCC2)c(C)c1[N+](=O)[O-] ZINC000191341171 382698685 /nfs/dbraw/zinc/69/86/85/382698685.db2.gz RBHXVMJTDNYMCX-UHFFFAOYSA-N 0 0 278.308 2.840 20 5 CFBDRN Cc1cnc(COC(=O)C=C2CCC2)c(C)c1[N+](=O)[O-] ZINC000191378574 382699351 /nfs/dbraw/zinc/69/93/51/382699351.db2.gz JEMAWDOLRRTXTJ-UHFFFAOYSA-N 0 0 276.292 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCCO[C@H]1C ZINC000191866720 382702981 /nfs/dbraw/zinc/70/29/81/382702981.db2.gz LVGABIBBGYDTCD-RYUDHWBXSA-N 0 0 292.335 2.965 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(Cc1cocn1)C1CC1 ZINC000191927577 382703284 /nfs/dbraw/zinc/70/32/84/382703284.db2.gz JHFQQWXOEXSESF-UHFFFAOYSA-N 0 0 273.292 2.747 20 5 CFBDRN CN(C(=O)[C@]1(C)C[C@H]2CC[C@@H]1O2)c1cccc([N+](=O)[O-])c1 ZINC000191933387 382703405 /nfs/dbraw/zinc/70/34/05/382703405.db2.gz RSRWOBJADNUKRB-VNHYZAJKSA-N 0 0 290.319 2.515 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000191946470 382703573 /nfs/dbraw/zinc/70/35/73/382703573.db2.gz CXLCAFSGFNDIGH-SNVBAGLBSA-N 0 0 280.349 2.562 20 5 CFBDRN C[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)CCS1 ZINC000192177094 382705327 /nfs/dbraw/zinc/70/53/27/382705327.db2.gz PHJNTXOITLBNAR-VIFPVBQESA-N 0 0 272.395 2.984 20 5 CFBDRN CC[C@@H](C)CC(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000192195149 382705415 /nfs/dbraw/zinc/70/54/15/382705415.db2.gz UNDDEMZFWZZJBS-SECBINFHSA-N 0 0 267.281 2.945 20 5 CFBDRN C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1cccc(O)c1 ZINC000192241717 382705878 /nfs/dbraw/zinc/70/58/78/382705878.db2.gz CLIOVYRWQRPRDG-SNVBAGLBSA-N 0 0 286.287 2.791 20 5 CFBDRN CCCN(C(=O)[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000194119909 382735539 /nfs/dbraw/zinc/73/55/39/382735539.db2.gz AVMSWXSSMHUQKL-CYBMUJFWSA-N 0 0 278.308 2.517 20 5 CFBDRN CCCN(CCc1ccccn1)c1ncc([N+](=O)[O-])s1 ZINC000192475985 382709362 /nfs/dbraw/zinc/70/93/62/382709362.db2.gz GGMIGGZZURQXPG-UHFFFAOYSA-N 0 0 292.364 2.905 20 5 CFBDRN COc1cc(C)ccc1CNc1ncc([N+](=O)[O-])s1 ZINC000192486024 382709447 /nfs/dbraw/zinc/70/94/47/382709447.db2.gz FWXYWNKTAACODX-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN COc1cc(F)cc(CNc2ncc([N+](=O)[O-])s2)c1 ZINC000192519004 382709675 /nfs/dbraw/zinc/70/96/75/382709675.db2.gz WECSBBXIXOANGZ-UHFFFAOYSA-N 0 0 283.284 2.811 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])C12CCC2 ZINC000192795483 382712434 /nfs/dbraw/zinc/71/24/34/382712434.db2.gz GIZOTKOPHGLSAT-KBPBESRZSA-N 0 0 291.351 2.937 20 5 CFBDRN CCCN(C(=O)[C@@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000194133079 382735888 /nfs/dbraw/zinc/73/58/88/382735888.db2.gz WSDSYEMBKNFNID-GFCCVEGCSA-N 0 0 292.335 2.764 20 5 CFBDRN CCCN(C(=O)[C@@H](C)OCC)c1cccc([N+](=O)[O-])c1 ZINC000194146070 382736001 /nfs/dbraw/zinc/73/60/01/382736001.db2.gz WXZGFABZOPNLJW-LLVKDONJSA-N 0 0 280.324 2.763 20 5 CFBDRN CCCN(C(=O)[C@H](C)OCC)c1cccc([N+](=O)[O-])c1 ZINC000194146086 382736052 /nfs/dbraw/zinc/73/60/52/382736052.db2.gz WXZGFABZOPNLJW-NSHDSACASA-N 0 0 280.324 2.763 20 5 CFBDRN C[C@@H](COCC(F)(F)F)Nc1cc(N)ccc1[N+](=O)[O-] ZINC000193397318 382719526 /nfs/dbraw/zinc/71/95/26/382719526.db2.gz HDECXTVCFSSVBM-ZETCQYMHSA-N 0 0 293.245 2.556 20 5 CFBDRN C[C@@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCCS1 ZINC000193475415 382720155 /nfs/dbraw/zinc/72/01/55/382720155.db2.gz WPMZKMGDDGXZDY-LBPRGKRZSA-N 0 0 267.354 2.875 20 5 CFBDRN C[C@H](CN(C)c1cc(N)ccc1[N+](=O)[O-])c1nccs1 ZINC000193498342 382720536 /nfs/dbraw/zinc/72/05/36/382720536.db2.gz JBLUMHNIUQYSCX-SECBINFHSA-N 0 0 292.364 2.873 20 5 CFBDRN COc1cccc(C(=O)NC2CCCCC2)c1[N+](=O)[O-] ZINC000193753021 382724341 /nfs/dbraw/zinc/72/43/41/382724341.db2.gz KWCCPHQIBMJMRD-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCC[C@@H]1C ZINC000193946392 382730393 /nfs/dbraw/zinc/73/03/93/382730393.db2.gz LYBCNNLVZFHYCN-JQWIXIFHSA-N 0 0 291.351 2.854 20 5 CFBDRN CCc1nn(C)cc1NCc1ccc([N+](=O)[O-])cc1F ZINC000336839808 382730646 /nfs/dbraw/zinc/73/06/46/382730646.db2.gz PEAZWMMVNLMTCI-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN CC(C)n1cc(CNc2cc(F)cc([N+](=O)[O-])c2)nn1 ZINC000336840759 382731267 /nfs/dbraw/zinc/73/12/67/382731267.db2.gz QQMBKJHNIDOVLR-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NCCC1=CCCCC1 ZINC000195125534 382762388 /nfs/dbraw/zinc/76/23/88/382762388.db2.gz VRCHRUGREALRFG-UHFFFAOYSA-N 0 0 289.335 2.797 20 5 CFBDRN COCCCCCNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219356780 382793745 /nfs/dbraw/zinc/79/37/45/382793745.db2.gz YCBPDFTUJHAEPC-UHFFFAOYSA-N 0 0 278.312 2.700 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCCCCC1 ZINC000195328535 382766637 /nfs/dbraw/zinc/76/66/37/382766637.db2.gz YXNIMEQDIUNPFT-UHFFFAOYSA-N 0 0 252.318 2.500 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)C1CC1 ZINC000575408319 382770019 /nfs/dbraw/zinc/77/00/19/382770019.db2.gz KQOXNEPRSLSIEZ-MRVPVSSYSA-N 0 0 263.297 2.621 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])cc1OC ZINC000220086788 382795034 /nfs/dbraw/zinc/79/50/34/382795034.db2.gz ITVRTBFPJYUJOF-UWVGGRQHSA-N 0 0 296.323 2.591 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC[C@H]1C(F)F ZINC000337244738 382784175 /nfs/dbraw/zinc/78/41/75/382784175.db2.gz CBQFDVVTFWTVNL-NSHDSACASA-N 0 0 299.277 2.558 20 5 CFBDRN Cc1ncc(NC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000337289464 382795132 /nfs/dbraw/zinc/79/51/32/382795132.db2.gz BYUSSRDVJCMYCW-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000220106956 382795177 /nfs/dbraw/zinc/79/51/77/382795177.db2.gz LQRRIKIHWAMGKK-MWLCHTKSSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1ncc(NC(=O)c2cccc(C)c2[N+](=O)[O-])s1 ZINC000337273769 382791394 /nfs/dbraw/zinc/79/13/94/382791394.db2.gz CNYKIAZMWWDDLS-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN C[C@H]1CCC[C@]1(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000336893335 382738232 /nfs/dbraw/zinc/73/82/32/382738232.db2.gz KQFROVZPOGLSSW-ZANVPECISA-N 0 0 268.288 2.697 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000336932976 382743413 /nfs/dbraw/zinc/74/34/13/382743413.db2.gz RAKOPMRKZRUQEZ-ONGXEEELSA-N 0 0 278.308 2.522 20 5 CFBDRN CCC(CC)[C@H](CCNc1ncc([N+](=O)[O-])s1)OC ZINC000337019764 382753064 /nfs/dbraw/zinc/75/30/64/382753064.db2.gz PSMKTFWXEAVAKZ-JTQLQIEISA-N 0 0 287.385 2.726 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194734407 382753301 /nfs/dbraw/zinc/75/33/01/382753301.db2.gz VJBMXHKSFXCYNS-GFCCVEGCSA-N 0 0 294.351 2.838 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194759943 382753672 /nfs/dbraw/zinc/75/36/72/382753672.db2.gz MCQUGHIXSDVFRD-LLVKDONJSA-N 0 0 294.351 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC[C@H]2CCSC2)c1F ZINC000228561564 382847935 /nfs/dbraw/zinc/84/79/35/382847935.db2.gz KFHMWGAMIKOVRM-SECBINFHSA-N 0 0 298.339 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCCO)c2ncccc12 ZINC000228724476 382848850 /nfs/dbraw/zinc/84/88/50/382848850.db2.gz KOVATHTYJVSMKB-UHFFFAOYSA-N 0 0 275.308 2.718 20 5 CFBDRN CC(C)(CCCO)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000221192870 382797698 /nfs/dbraw/zinc/79/76/98/382797698.db2.gz NNRBBOQXMIIAKF-UHFFFAOYSA-N 0 0 297.311 2.714 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1cn[nH]c1 ZINC000222455680 382801957 /nfs/dbraw/zinc/80/19/57/382801957.db2.gz OKXHZSNRIBERSF-UWVGGRQHSA-N 0 0 260.297 2.730 20 5 CFBDRN CCOCC(C)(C)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000575894197 382803564 /nfs/dbraw/zinc/80/35/64/382803564.db2.gz HZAQHTBOECRVQP-NSHDSACASA-N 0 0 294.351 2.630 20 5 CFBDRN O=C(NOC1CCCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000223639736 382806281 /nfs/dbraw/zinc/80/62/81/382806281.db2.gz CFROHNBWDWXIJJ-UHFFFAOYSA-N 0 0 284.699 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC2CC2)c2ccncc21 ZINC000224506649 382811549 /nfs/dbraw/zinc/81/15/49/382811549.db2.gz OWQWBLFJFFTXKY-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1c(C(=O)NCCC2(C)CC2)cccc1[N+](=O)[O-] ZINC000280086064 194697940 /nfs/dbraw/zinc/69/79/40/194697940.db2.gz RKKHCLYYBKNKLM-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(F)cc2F)cn1 ZINC000576261324 382816359 /nfs/dbraw/zinc/81/63/59/382816359.db2.gz KRWBQKZBVAHZJJ-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN CC(C)C[C@@H](C)Oc1ccc([N+](=O)[O-])c(CO)c1 ZINC000337438019 382820884 /nfs/dbraw/zinc/82/08/84/382820884.db2.gz IQUCFFBVMSAUII-SNVBAGLBSA-N 0 0 253.298 2.901 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000225750386 382821478 /nfs/dbraw/zinc/82/14/78/382821478.db2.gz DDXPLLKQABURKY-CYBMUJFWSA-N 0 0 270.304 2.943 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](C)CO ZINC000226146627 382824870 /nfs/dbraw/zinc/82/48/70/382824870.db2.gz YYSGFJGVLPUBAE-HTQZYQBOSA-N 0 0 258.705 2.677 20 5 CFBDRN CCC1(CNc2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000226807543 382831565 /nfs/dbraw/zinc/83/15/65/382831565.db2.gz RYIQSQDDTJXHDN-UHFFFAOYSA-N 0 0 266.326 2.906 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cc2)[C@H]2CCO[C@H]21 ZINC000227067378 382832577 /nfs/dbraw/zinc/83/25/77/382832577.db2.gz NGFLDBICPAHSLH-JHJVBQTASA-N 0 0 262.309 2.820 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cc2)[C@@H]2CCO[C@H]21 ZINC000227067365 382832773 /nfs/dbraw/zinc/83/27/73/382832773.db2.gz NGFLDBICPAHSLH-YNEHKIRRSA-N 0 0 262.309 2.820 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCCCO2)cc1 ZINC000227317949 382835609 /nfs/dbraw/zinc/83/56/09/382835609.db2.gz NINASVWWEXFQAG-CYBMUJFWSA-N 0 0 250.298 2.966 20 5 CFBDRN O=[N+]([O-])c1ccccc1C[NH2+]CC[C@H]1CCCCO1 ZINC000227336876 382835735 /nfs/dbraw/zinc/83/57/35/382835735.db2.gz UMMMCISBDVAVNN-CYBMUJFWSA-N 0 0 264.325 2.644 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H](O)CC(C)(C)C ZINC000227357615 382836216 /nfs/dbraw/zinc/83/62/16/382836216.db2.gz CXXUJYZHOINYGS-NSHDSACASA-N 0 0 267.329 2.507 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CCC1CC1 ZINC000577223973 382852116 /nfs/dbraw/zinc/85/21/16/382852116.db2.gz ODZTVFKBCUIFHZ-UHFFFAOYSA-N 0 0 263.297 2.765 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CO)c2ccccc2)c(Cl)c1 ZINC000227649304 382838805 /nfs/dbraw/zinc/83/88/05/382838805.db2.gz AXKKGMOYIUSYHH-GFCCVEGCSA-N 0 0 293.710 2.789 20 5 CFBDRN Cc1cccc(CNc2ncc([N+](=O)[O-])cc2Cl)n1 ZINC000227649796 382838846 /nfs/dbraw/zinc/83/88/46/382838846.db2.gz KUSODFVBYWEYRG-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000227652606 382838891 /nfs/dbraw/zinc/83/88/91/382838891.db2.gz ADZGVPLSISPMDF-VIFPVBQESA-N 0 0 287.747 2.852 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000227652601 382838918 /nfs/dbraw/zinc/83/89/18/382838918.db2.gz ADZGVPLSISPMDF-SECBINFHSA-N 0 0 287.747 2.852 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)[C@@H]1CCCO1 ZINC000227648967 382838995 /nfs/dbraw/zinc/83/89/95/382838995.db2.gz MQKBVRGRCAZGEV-XVKPBYJWSA-N 0 0 271.704 2.623 20 5 CFBDRN CC1(CNc2ccc(F)cc2[N+](=O)[O-])CCOCC1 ZINC000227825145 382841154 /nfs/dbraw/zinc/84/11/54/382841154.db2.gz FENWZDFUUHQELK-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN COC(=O)[C@@H](C)CSc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890368 382842077 /nfs/dbraw/zinc/84/20/77/382842077.db2.gz QCIPKBOLXXLMJV-LURJTMIESA-N 0 0 291.275 2.774 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2(C)CCC2)c1 ZINC000227956845 382842275 /nfs/dbraw/zinc/84/22/75/382842275.db2.gz HPJWLQGSALWFQE-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN CSC1(CNc2ncc([N+](=O)[O-])cc2C)CC1 ZINC000227993214 382843266 /nfs/dbraw/zinc/84/32/66/382843266.db2.gz ATXNJOZGVGSJGS-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN CSC1(CNc2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000227991542 382843439 /nfs/dbraw/zinc/84/34/39/382843439.db2.gz DCFXJJHPNKXUDO-UHFFFAOYSA-N 0 0 273.745 2.951 20 5 CFBDRN C[C@@H](O)CCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000227994525 382843504 /nfs/dbraw/zinc/84/35/04/382843504.db2.gz SFDOWUWZNPJJFF-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000577259442 382853163 /nfs/dbraw/zinc/85/31/63/382853163.db2.gz AVDKYIDQNLIHRB-JSGCOSHPSA-N 0 0 273.292 2.732 20 5 CFBDRN CN(C[C@@H]1OCCc2ccccc21)c1ncccc1[N+](=O)[O-] ZINC000337670651 382853905 /nfs/dbraw/zinc/85/39/05/382853905.db2.gz ZNFROIJYXXZSKS-HNNXBMFYSA-N 0 0 299.330 2.740 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(-c3ccc(F)cn3)n2)c1 ZINC000337679789 382855028 /nfs/dbraw/zinc/85/50/28/382855028.db2.gz UPOQGIXOZNGXHB-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000230269372 382858385 /nfs/dbraw/zinc/85/83/85/382858385.db2.gz QANKXZIPBMBNSF-OAHLLOKOSA-N 0 0 292.335 2.803 20 5 CFBDRN CNC(=O)CCSCc1ccc([N+](=O)[O-])cc1Cl ZINC000577520363 382862132 /nfs/dbraw/zinc/86/21/32/382862132.db2.gz YPRITRAYGIZGLL-UHFFFAOYSA-N 0 0 288.756 2.618 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2nccc(C)c2[N+](=O)[O-])C1 ZINC000230917793 382863740 /nfs/dbraw/zinc/86/37/40/382863740.db2.gz CEBVPVJQTYIBRQ-VHSXEESVSA-N 0 0 267.354 2.994 20 5 CFBDRN O=c1cc(CSc2cccc([N+](=O)[O-])c2)occ1O ZINC000337777240 382864045 /nfs/dbraw/zinc/86/40/45/382864045.db2.gz YNGCSOHTGWSYKO-UHFFFAOYSA-N 0 0 279.273 2.546 20 5 CFBDRN Cc1cc(NCC(C)(C)CCO)ccc1[N+](=O)[O-] ZINC000231156175 382864226 /nfs/dbraw/zinc/86/42/26/382864226.db2.gz JAXVGMFYFXOMTI-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1NCC1CCC1 ZINC000231628915 382865614 /nfs/dbraw/zinc/86/56/14/382865614.db2.gz VUCUHJZJQYLQNX-UHFFFAOYSA-N 0 0 286.129 2.964 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000231684264 382866366 /nfs/dbraw/zinc/86/63/66/382866366.db2.gz PONYTXHVTQWLIP-IUCAKERBSA-N 0 0 271.704 2.623 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCCOC(F)F)c1 ZINC000337802384 382866738 /nfs/dbraw/zinc/86/67/38/382866738.db2.gz JBGQQYWGWQAALI-UHFFFAOYSA-N 0 0 276.239 2.645 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@H]2C2CCC2)ccc1[N+](=O)[O-] ZINC000577756856 382869840 /nfs/dbraw/zinc/86/98/40/382869840.db2.gz AGMROIBIXMZQCB-WDEREUQCSA-N 0 0 275.308 2.673 20 5 CFBDRN CCCC(=O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000232930992 382870354 /nfs/dbraw/zinc/87/03/54/382870354.db2.gz DWFYSLIUAMGOSE-UHFFFAOYSA-N 0 0 257.673 2.996 20 5 CFBDRN CN(CCc1ccc([N+](=O)[O-])cc1)c1cccc(O)c1 ZINC000577798051 382870704 /nfs/dbraw/zinc/87/07/04/382870704.db2.gz ZVCOTFGZVPUDIN-UHFFFAOYSA-N 0 0 272.304 2.979 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cc(C)c1F)C(C)C ZINC000233435899 382871368 /nfs/dbraw/zinc/87/13/68/382871368.db2.gz IPHBZZZSLKMOCG-UHFFFAOYSA-N 0 0 268.288 2.913 20 5 CFBDRN CCOC[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])C(C)C ZINC000233775284 382872090 /nfs/dbraw/zinc/87/20/90/382872090.db2.gz DGHCFJGRQJZWTR-SECBINFHSA-N 0 0 298.368 2.777 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233857931 382872419 /nfs/dbraw/zinc/87/24/19/382872419.db2.gz BGZCADSDOCEJHA-MRVPVSSYSA-N 0 0 254.261 2.571 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000234797400 382874888 /nfs/dbraw/zinc/87/48/88/382874888.db2.gz FRVZRXCHFSCZTB-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(CCc1cscn1)C2 ZINC000577933767 382875685 /nfs/dbraw/zinc/87/56/85/382875685.db2.gz RGPSUVOCNRSOPT-UHFFFAOYSA-N 0 0 289.360 2.652 20 5 CFBDRN CCCCN(C)c1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000440713292 382876974 /nfs/dbraw/zinc/87/69/74/382876974.db2.gz BODGFHDZVVZVRJ-UHFFFAOYSA-N 0 0 280.324 2.926 20 5 CFBDRN O=C(/C=C/[C@H]1CCOC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000493716378 382883097 /nfs/dbraw/zinc/88/30/97/382883097.db2.gz DIZGVTPIGDCEGM-PWTMJPFMSA-N 0 0 296.710 2.779 20 5 CFBDRN CC(C)Oc1nc(N2C[C@@H]3[C@H](C2)C3(C)C)ccc1[N+](=O)[O-] ZINC000578026291 382884617 /nfs/dbraw/zinc/88/46/17/382884617.db2.gz ORZUGBGBOLSWPM-PHIMTYICSA-N 0 0 291.351 2.869 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1C[C@@H]1C(F)F ZINC000337977340 382885792 /nfs/dbraw/zinc/88/57/92/382885792.db2.gz LTFRWYQZWFCVLJ-GGVZMXCHSA-N 0 0 296.273 2.774 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000533235087 382887930 /nfs/dbraw/zinc/88/79/30/382887930.db2.gz MNJSCSMIRPBYHX-VIFPVBQESA-N 0 0 296.371 2.948 20 5 CFBDRN Cc1cccc(N2CCC[C@@](C)(O)CC2)c1[N+](=O)[O-] ZINC000235924877 382889679 /nfs/dbraw/zinc/88/96/79/382889679.db2.gz KBSCLABDFZHYLX-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000493746365 382892025 /nfs/dbraw/zinc/89/20/25/382892025.db2.gz OACGMCPTBFRSPP-LFAOLKIESA-N 0 0 292.310 2.959 20 5 CFBDRN Cc1cnc(CNC(=O)Nc2cccc([N+](=O)[O-])c2C)o1 ZINC000441381196 382911981 /nfs/dbraw/zinc/91/19/81/382911981.db2.gz IUESISOWENBJBA-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cc1ccoc1CNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000493776196 382902860 /nfs/dbraw/zinc/90/28/60/382902860.db2.gz JUZQGJRFTRRUHP-AATRIKPKSA-N 0 0 286.287 2.826 20 5 CFBDRN Cc1ccc(C)c(CN(C)C(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000578827343 382957653 /nfs/dbraw/zinc/95/76/53/382957653.db2.gz LRNAXCYVMVIXMC-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1cnccc1CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421879746 382958321 /nfs/dbraw/zinc/95/83/21/382958321.db2.gz XTDQACLHYLLOLD-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)NC1(CF)CCC1 ZINC000578441518 382921547 /nfs/dbraw/zinc/92/15/47/382921547.db2.gz ZYBZAJHCBUUXPV-UHFFFAOYSA-N 0 0 285.250 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccc4c(c3)CCO4)no2)[nH]1 ZINC000338097992 382921693 /nfs/dbraw/zinc/92/16/93/382921693.db2.gz SLRXJGKLIPRVAC-UHFFFAOYSA-N 0 0 298.258 2.575 20 5 CFBDRN CCC[C@@H](CC)Sc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000280206634 194747839 /nfs/dbraw/zinc/74/78/39/194747839.db2.gz JVTPCXJTYDDLIL-SECBINFHSA-N 0 0 283.353 2.760 20 5 CFBDRN COCCCN[C@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000578540178 382930018 /nfs/dbraw/zinc/93/00/18/382930018.db2.gz POQICJCBXXJTKP-LLVKDONJSA-N 0 0 292.257 2.824 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCOCC2(CC2)C1 ZINC000494810683 382931100 /nfs/dbraw/zinc/93/11/00/382931100.db2.gz MTESSDWSOJLFQW-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN Cc1ccc(NCCCOC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000338287340 382977166 /nfs/dbraw/zinc/97/71/66/382977166.db2.gz OQFBDNITSKLEOL-ZDUSSCGKSA-N 0 0 294.351 2.758 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000579106100 382983113 /nfs/dbraw/zinc/98/31/13/382983113.db2.gz ODYLFJGIARTCMD-HZMBPMFUSA-N 0 0 293.367 2.688 20 5 CFBDRN CCSCCCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000548839459 382985986 /nfs/dbraw/zinc/98/59/86/382985986.db2.gz PVZNKKJZMSCBBI-NSHDSACASA-N 0 0 296.392 2.958 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cc2C)nc1 ZINC000457205389 382989368 /nfs/dbraw/zinc/98/93/68/382989368.db2.gz NBIKVJHWGLDNTQ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC(C)OCCCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000579187734 382990214 /nfs/dbraw/zinc/99/02/14/382990214.db2.gz FBAVSKUIOSAMBW-LBPRGKRZSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@@H](C1CCCCC1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000447681068 382999508 /nfs/dbraw/zinc/99/95/08/382999508.db2.gz VUFLOPKATUYIAQ-JTQLQIEISA-N 0 0 264.329 2.790 20 5 CFBDRN CN(Cc1ccoc1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000447689036 382999688 /nfs/dbraw/zinc/99/96/88/382999688.db2.gz JHSBODGYDFODQN-UHFFFAOYSA-N 0 0 272.264 2.701 20 5 CFBDRN CCCCN(CCOC)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000447681077 382999780 /nfs/dbraw/zinc/99/97/80/382999780.db2.gz VWCQHMOFQOQFBL-UHFFFAOYSA-N 0 0 292.339 2.724 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](C(F)(F)F)C2)c(F)c1 ZINC000579327236 383003553 /nfs/dbraw/zinc/00/35/53/383003553.db2.gz UFTRSARWDOWLAX-SSDOTTSWSA-N 0 0 293.220 2.908 20 5 CFBDRN Cc1ccnc(NC[C@@H]2[N@H+](C)CCCC2(C)C)c1[N+](=O)[O-] ZINC000447810697 383010264 /nfs/dbraw/zinc/01/02/64/383010264.db2.gz AGNRHXLKGRRRAG-LBPRGKRZSA-N 0 0 292.383 2.831 20 5 CFBDRN CCC1CN(C(=O)c2cc(SC)ccc2[N+](=O)[O-])C1 ZINC000457300763 383016165 /nfs/dbraw/zinc/01/61/65/383016165.db2.gz KZILZRMCUAFXIN-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN Cc1cncc(CCC(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000550766955 383028915 /nfs/dbraw/zinc/02/89/15/383028915.db2.gz HVJKUNIXGZXMQU-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN CC(C)(C)C1CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000457343875 383029130 /nfs/dbraw/zinc/02/91/30/383029130.db2.gz NUFABTZKUSNBLQ-UHFFFAOYSA-N 0 0 276.336 2.642 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000579569948 383029506 /nfs/dbraw/zinc/02/95/06/383029506.db2.gz QMXRWNDVZNQISM-PWSUYJOCSA-N 0 0 274.320 2.615 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000457620931 383053126 /nfs/dbraw/zinc/05/31/26/383053126.db2.gz KVBLIOKLHXSEHL-DZGCQCFKSA-N 0 0 291.351 2.829 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000579846574 383060196 /nfs/dbraw/zinc/06/01/96/383060196.db2.gz VVDLQHOYEKKUIK-ZJUUUORDSA-N 0 0 298.289 2.798 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000246121584 383062669 /nfs/dbraw/zinc/06/26/69/383062669.db2.gz YQYBTESHHRMQDJ-SUNKGSAMSA-N 0 0 292.335 2.648 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cc2ccccn2n1 ZINC000579866690 383062915 /nfs/dbraw/zinc/06/29/15/383062915.db2.gz BEPBBONHNIDTBA-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN C[C@@H](NC(=O)[C@@]1(C)CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000457710802 383079894 /nfs/dbraw/zinc/07/98/94/383079894.db2.gz PBZFNOAHOYMFFA-IAQYHMDHSA-N 0 0 292.335 2.731 20 5 CFBDRN CCOC1CN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC000338835661 383064564 /nfs/dbraw/zinc/06/45/64/383064564.db2.gz UUFFAHAOXYINHC-UHFFFAOYSA-N 0 0 290.241 2.839 20 5 CFBDRN O=C(NCCc1ccco1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000338876632 383074767 /nfs/dbraw/zinc/07/47/67/383074767.db2.gz XRDYBSKTHCHURH-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN CCC(CC)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000338544350 383022637 /nfs/dbraw/zinc/02/26/37/383022637.db2.gz ZOCXSXSRIGRPPI-UHFFFAOYSA-N 0 0 257.311 2.557 20 5 CFBDRN Cc1c(C(=O)N[C@H]2C[C@@H](OC(C)C)C2)cccc1[N+](=O)[O-] ZINC000457859328 383120845 /nfs/dbraw/zinc/12/08/45/383120845.db2.gz RTPDLRHGQFYSLO-TXEJJXNPSA-N 0 0 292.335 2.589 20 5 CFBDRN CC(C)n1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)n1 ZINC000280439686 194841207 /nfs/dbraw/zinc/84/12/07/194841207.db2.gz KBXIGZCMLBBBLH-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1csc([N+](=O)[O-])c1 ZINC000458252659 383142123 /nfs/dbraw/zinc/14/21/23/383142123.db2.gz GRLUUHXSRCMQGE-FKTZTGRPSA-N 0 0 266.322 2.575 20 5 CFBDRN CCC(C)(C)OCC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000457754019 383090958 /nfs/dbraw/zinc/09/09/58/383090958.db2.gz XVDPHSZOPHKITD-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN CC(C)(C)CCCNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000553080353 383098454 /nfs/dbraw/zinc/09/84/54/383098454.db2.gz GAVGBMKVAYVWKH-UHFFFAOYSA-N 0 0 279.340 2.932 20 5 CFBDRN COCCN(C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000448941062 383111245 /nfs/dbraw/zinc/11/12/45/383111245.db2.gz CACZADWCCRDYQA-UHFFFAOYSA-N 0 0 293.348 2.801 20 5 CFBDRN COc1cc(C(=O)NC[C@H]2CC2(C)C)c([N+](=O)[O-])cc1F ZINC000448962856 383117054 /nfs/dbraw/zinc/11/70/54/383117054.db2.gz SSSUIJSERYSFGY-MRVPVSSYSA-N 0 0 296.298 2.518 20 5 CFBDRN CO[C@H](COc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000424096288 383178996 /nfs/dbraw/zinc/17/89/96/383178996.db2.gz ZLDZLONPPMASSG-GFCCVEGCSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000247545751 383182952 /nfs/dbraw/zinc/18/29/52/383182952.db2.gz RPQYCZVYTGOEFD-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2C)cn1 ZINC000518458539 383197673 /nfs/dbraw/zinc/19/76/73/383197673.db2.gz ONOVOGVMHZJQJQ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1c(OCc2nc(C(F)F)no2)cccc1[N+](=O)[O-] ZINC000518768148 383207867 /nfs/dbraw/zinc/20/78/67/383207867.db2.gz ASBJFYYPXCGZGT-UHFFFAOYSA-N 0 0 285.206 2.803 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000458271464 383148966 /nfs/dbraw/zinc/14/89/66/383148966.db2.gz FTSIBQOVGKSKAF-UTLUCORTSA-N 0 0 280.349 2.883 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000458292070 383154317 /nfs/dbraw/zinc/15/43/17/383154317.db2.gz YUFQOVUBYHJNBH-KGYLQXTDSA-N 0 0 274.320 2.822 20 5 CFBDRN CCC[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCC1 ZINC000458304894 383158461 /nfs/dbraw/zinc/15/84/61/383158461.db2.gz KSUAWTXIEAQFJQ-LLVKDONJSA-N 0 0 265.313 2.622 20 5 CFBDRN CN(Cc1cc([O-])ccc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000339131845 383160527 /nfs/dbraw/zinc/16/05/27/383160527.db2.gz GERHUVXZSIAIGV-GFCCVEGCSA-N 0 0 250.298 2.531 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)C[C@H]1F)c1ccccc1[N+](=O)[O-] ZINC000424758161 383252523 /nfs/dbraw/zinc/25/25/23/383252523.db2.gz RSKRKQHWESYPJV-RLCGTCKNSA-N 0 0 266.272 2.520 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN[C@H]1CCC[C@H]1F ZINC000339590351 383255746 /nfs/dbraw/zinc/25/57/46/383255746.db2.gz PNLVBTIFLCHDOC-OLZOCXBDSA-N 0 0 282.315 2.974 20 5 CFBDRN CC(C)[C@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000520466830 383258324 /nfs/dbraw/zinc/25/83/24/383258324.db2.gz RITSVPDSMWMVAE-RYUDHWBXSA-N 0 0 293.367 2.878 20 5 CFBDRN COc1cc(COc2ccccc2[N+](=O)[O-])ccn1 ZINC000339616448 383264122 /nfs/dbraw/zinc/26/41/22/383264122.db2.gz AFAVQZYAGQEIBC-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN C[C@H](F)CCNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000458870425 383266052 /nfs/dbraw/zinc/26/60/52/383266052.db2.gz DJULWKGQXMTJPP-JTQLQIEISA-N 0 0 297.330 2.877 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000339506210 383222010 /nfs/dbraw/zinc/22/20/10/383222010.db2.gz CSZYIUKDCSJKKT-BDAKNGLRSA-N 0 0 268.338 2.822 20 5 CFBDRN CCC[C@@H](C[NH2+][C@@H]1CCc2c1cccc2[N+](=O)[O-])OC ZINC000566923876 383225144 /nfs/dbraw/zinc/22/51/44/383225144.db2.gz JZOBCPREJUBLOE-SMDDNHRTSA-N 0 0 278.352 2.987 20 5 CFBDRN C[C@@H]1CCCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000519583602 383226594 /nfs/dbraw/zinc/22/65/94/383226594.db2.gz DKVLSPYQNWNNTL-QMTHXVAHSA-N 0 0 277.324 2.688 20 5 CFBDRN CCc1cccc2c1N(C(=O)c1cc([N+](=O)[O-])cn1C)CC2 ZINC000519921574 383246539 /nfs/dbraw/zinc/24/65/39/383246539.db2.gz CTXUDUYWTYNBBX-UHFFFAOYSA-N 0 0 299.330 2.699 20 5 CFBDRN CCO[C@H]1CC[N@H+](Cc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000449489743 383327518 /nfs/dbraw/zinc/32/75/18/383327518.db2.gz AWKAIBYDCQHMPB-DOMZBBRYSA-N 0 0 278.352 2.842 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2CCC[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000425136235 383329308 /nfs/dbraw/zinc/32/93/08/383329308.db2.gz CDYGAKQVHBOBMX-NWDGAFQWSA-N 0 0 291.351 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H]2CCCN(Cc3ncc[nH]3)C2)cc1 ZINC000449497663 383335695 /nfs/dbraw/zinc/33/56/95/383335695.db2.gz IYXAUCLBWDPDFY-ZDUSSCGKSA-N 0 0 286.335 2.698 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CCCOC(C)C ZINC000567964427 383337547 /nfs/dbraw/zinc/33/75/47/383337547.db2.gz OEBRQUUGZDPHGG-UHFFFAOYSA-N 0 0 295.339 2.780 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C1CCCC1 ZINC000567965621 383338346 /nfs/dbraw/zinc/33/83/46/383338346.db2.gz RKNYYKXKTWMXBD-UHFFFAOYSA-N 0 0 263.297 2.765 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](C(F)(F)F)C2)cn1 ZINC000339956971 383344758 /nfs/dbraw/zinc/34/47/58/383344758.db2.gz CYVJEQYEXCEGKR-MRVPVSSYSA-N 0 0 275.230 2.769 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCC(F)(F)F)c1 ZINC000521624941 383301483 /nfs/dbraw/zinc/30/14/83/383301483.db2.gz NZTBVWFXEPUAIF-UHFFFAOYSA-N 0 0 265.187 2.935 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@@H](F)C1 ZINC000567685666 383302427 /nfs/dbraw/zinc/30/24/27/383302427.db2.gz HRFJFGFSDKMKTM-VXGBXAGGSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCC[C@H](CO)CC1 ZINC000339746040 383304798 /nfs/dbraw/zinc/30/47/98/383304798.db2.gz MLDQIYMRXDMQFX-NSHDSACASA-N 0 0 298.770 2.843 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2C[C@H]2C2CCC2)cccc1[N+](=O)[O-] ZINC000424986782 383305319 /nfs/dbraw/zinc/30/53/19/383305319.db2.gz YPMJMGNWQMEGFD-UONOGXRCSA-N 0 0 288.347 2.751 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000424992987 383306437 /nfs/dbraw/zinc/30/64/37/383306437.db2.gz WCDYKNAVEPNYRC-GFCCVEGCSA-N 0 0 274.320 2.541 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC[C@@H](F)C1 ZINC000335459825 291823947 /nfs/dbraw/zinc/82/39/47/291823947.db2.gz VDWQYHVXYRJELA-LLVKDONJSA-N 0 0 280.299 2.704 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CC2(CCC2)O1 ZINC000567810791 383318972 /nfs/dbraw/zinc/31/89/72/383318972.db2.gz HQSKJTNZDNJQFN-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN O=C(Nc1cc(Cl)ccc1O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340242701 383389070 /nfs/dbraw/zinc/38/90/70/383389070.db2.gz FADKCTACLRTCIM-UHFFFAOYSA-N 0 0 281.655 2.534 20 5 CFBDRN CCc1cnccc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000568347724 383392592 /nfs/dbraw/zinc/39/25/92/383392592.db2.gz QTULKUGYUHDYPY-NSHDSACASA-N 0 0 299.330 2.716 20 5 CFBDRN CC(C)OC1CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000525081609 383394134 /nfs/dbraw/zinc/39/41/34/383394134.db2.gz NSCYMGDXPHBGDS-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CCO[C@H]2C2CC2)c1 ZINC000450407807 383395916 /nfs/dbraw/zinc/39/59/16/383395916.db2.gz JDLRDHIBIKEPHS-GJZGRUSLSA-N 0 0 299.330 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2CCO[C@@H]2C2CC2)c1 ZINC000450407808 383396180 /nfs/dbraw/zinc/39/61/80/383396180.db2.gz JDLRDHIBIKEPHS-HUUCEWRRSA-N 0 0 299.330 2.544 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000450409420 383396937 /nfs/dbraw/zinc/39/69/37/383396937.db2.gz LIPWPNMRRIXLTO-HOSYDEDBSA-N 0 0 262.309 2.818 20 5 CFBDRN CC1(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000479380173 383403290 /nfs/dbraw/zinc/40/32/90/383403290.db2.gz ISCNRUSEWKOFFE-UHFFFAOYSA-N 0 0 282.727 2.707 20 5 CFBDRN CC[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)C[C@@H](C)O1 ZINC000252442815 383408387 /nfs/dbraw/zinc/40/83/87/383408387.db2.gz DRNOYAMJLZZISV-BXUZGUMPSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@H](C)N(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000340298425 383409368 /nfs/dbraw/zinc/40/93/68/383409368.db2.gz UGPYXYGELOPJHJ-NSHDSACASA-N 0 0 279.340 2.577 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000525943553 383410844 /nfs/dbraw/zinc/41/08/44/383410844.db2.gz IJMUPKPYOXHMHY-TXEJJXNPSA-N 0 0 291.351 2.796 20 5 CFBDRN Cc1cc(Nc2ccc([N+](=O)[O-])nc2)nn1[C@@H](C)C1CC1 ZINC000450441047 383412462 /nfs/dbraw/zinc/41/24/62/383412462.db2.gz QGBSYSDALBDJPH-JTQLQIEISA-N 0 0 287.323 2.631 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@@H]1CC[C@H]1O ZINC000425280761 383358136 /nfs/dbraw/zinc/35/81/36/383358136.db2.gz XZZSMKDFEYMPSU-PSASIEDQSA-N 0 0 276.214 2.549 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1cccc(Cl)c1 ZINC000340153212 383364288 /nfs/dbraw/zinc/36/42/88/383364288.db2.gz RNNDDKVWSXTGKS-UHFFFAOYSA-N 0 0 277.711 2.720 20 5 CFBDRN O=C(Nc1ccc(F)cc1O)c1ccc([N+](=O)[O-])s1 ZINC000340330725 383414615 /nfs/dbraw/zinc/41/46/15/383414615.db2.gz QEIXGWJODGFZRJ-UHFFFAOYSA-N 0 0 282.252 2.753 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000568544386 383414982 /nfs/dbraw/zinc/41/49/82/383414982.db2.gz MDFVCWSUVKKVKD-TXEJJXNPSA-N 0 0 250.298 2.517 20 5 CFBDRN CNc1c(C(=O)N(C)[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000428202756 383423962 /nfs/dbraw/zinc/42/39/62/383423962.db2.gz VBBZSNMBXYTAJH-LLVKDONJSA-N 0 0 277.324 2.507 20 5 CFBDRN CC[C@H]1COCCN1C/C=C\c1ccccc1[N+](=O)[O-] ZINC000255404881 383480754 /nfs/dbraw/zinc/48/07/54/383480754.db2.gz AXKZHAMCCAEZPK-VSKVDJIOSA-N 0 0 276.336 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@H](O)CC2)c2ccncc21 ZINC000252706031 383429312 /nfs/dbraw/zinc/42/93/12/383429312.db2.gz SBOBNVFBMKEWFV-XYPYZODXSA-N 0 0 287.319 2.858 20 5 CFBDRN CCCN(C)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000340472988 383432062 /nfs/dbraw/zinc/43/20/62/383432062.db2.gz ITWWYXOUFXYFBV-UHFFFAOYSA-N 0 0 264.325 2.741 20 5 CFBDRN Cc1nc(N[C@@H](C)c2ccc(Cl)cn2)ncc1[N+](=O)[O-] ZINC000450492423 383435139 /nfs/dbraw/zinc/43/51/39/383435139.db2.gz TZOVNXBTFOPCHT-ZETCQYMHSA-N 0 0 293.714 2.915 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CC[C@@H](F)C2)n1 ZINC000340912357 291827134 /nfs/dbraw/zinc/82/71/34/291827134.db2.gz JMUKCYSWYAHONL-NXEZZACHSA-N 0 0 253.277 2.909 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000450534246 383449752 /nfs/dbraw/zinc/44/97/52/383449752.db2.gz CXZJSGKIYHIJCQ-KOLCDFICSA-N 0 0 278.308 2.521 20 5 CFBDRN C[C@H](F)CCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450540434 383453359 /nfs/dbraw/zinc/45/33/59/383453359.db2.gz AAMZMVJHVREQNF-VIFPVBQESA-N 0 0 263.272 2.725 20 5 CFBDRN C[C@H](O)CN(C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450541504 383453631 /nfs/dbraw/zinc/45/36/31/383453631.db2.gz SPADTKIPNMLJFL-VIFPVBQESA-N 0 0 293.348 2.535 20 5 CFBDRN CCOc1cncc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000450559668 383461582 /nfs/dbraw/zinc/46/15/82/383461582.db2.gz OVGWUFOBIPFUKP-JTQLQIEISA-N 0 0 288.307 2.957 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000340551975 383468298 /nfs/dbraw/zinc/46/82/98/383468298.db2.gz AJFDQGJXMHQSGD-GFCCVEGCSA-N 0 0 292.335 2.765 20 5 CFBDRN CCCc1coc(NC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000569137605 383471086 /nfs/dbraw/zinc/47/10/86/383471086.db2.gz MSZUBQUUGLNNJK-UHFFFAOYSA-N 0 0 281.293 2.849 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000450711502 383483122 /nfs/dbraw/zinc/48/31/22/383483122.db2.gz UYRPZGYRENEEKD-YWPYICTPSA-N 0 0 276.336 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(CF)CF)c(Cl)c1 ZINC000450589661 383472410 /nfs/dbraw/zinc/47/24/10/383472410.db2.gz LEHGFKWXRODBQY-UHFFFAOYSA-N 0 0 250.632 2.968 20 5 CFBDRN Cc1ccccc1CCN(C)c1ncccc1[N+](=O)[O-] ZINC000450592827 383473536 /nfs/dbraw/zinc/47/35/36/383473536.db2.gz PQWARWBTEMIADS-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@H](O)CC(C)(C)C2)n1 ZINC000450861195 291826407 /nfs/dbraw/zinc/82/64/07/291826407.db2.gz YFUAFDDJXGTYET-LBPRGKRZSA-N 0 0 293.367 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cncs1 ZINC000340430573 383417917 /nfs/dbraw/zinc/41/79/17/383417917.db2.gz ZFRVYVSZQLAEHJ-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1cccc(N2CC[C@@H]3OCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000569236283 383480568 /nfs/dbraw/zinc/48/05/68/383480568.db2.gz GDFXMDISMRKHOS-YPMHNXCESA-N 0 0 262.309 2.518 20 5 CFBDRN COCC1(CNc2ncc(C)cc2[N+](=O)[O-])CCCC1 ZINC000450918121 383549165 /nfs/dbraw/zinc/54/91/65/383549165.db2.gz GVMPJVKUPZERHC-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CO[C@@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000450755220 383486342 /nfs/dbraw/zinc/48/63/42/383486342.db2.gz IQUUTNUTBXETSL-SMDDNHRTSA-N 0 0 264.325 2.764 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C\c2nc(-c3cnccn3)no2)c1 ZINC000255903038 383487430 /nfs/dbraw/zinc/48/74/30/383487430.db2.gz YKWRLEZOVQXNLV-PLNGDYQASA-N 0 0 295.258 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]([C@@H](CO)C2CC2)C2CC2)c(F)c1 ZINC000450783597 383490032 /nfs/dbraw/zinc/49/00/32/383490032.db2.gz XUZDUDVPHNNFIQ-WFASDCNBSA-N 0 0 294.326 2.943 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](CC)[C@H](C)O)c([N+](=O)[O-])c1 ZINC000450793626 383493002 /nfs/dbraw/zinc/49/30/02/383493002.db2.gz IYLSAERLMUMXNK-ONGXEEELSA-N 0 0 280.324 2.759 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H](C3CC3)[C@@H]2C2CC2)s1 ZINC000450801835 383496315 /nfs/dbraw/zinc/49/63/15/383496315.db2.gz XLKCDOFJZFLMRK-KOLCDFICSA-N 0 0 265.338 2.676 20 5 CFBDRN Cc1cc(NCc2cc[nH]c(=O)c2)ccc1[N+](=O)[O-] ZINC000340837841 383496780 /nfs/dbraw/zinc/49/67/80/383496780.db2.gz GNQSEIPKVCQLHC-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H](CO)CC(F)F ZINC000428675109 383497989 /nfs/dbraw/zinc/49/79/89/383497989.db2.gz YTXWYMLXADMBMN-SSDOTTSWSA-N 0 0 280.658 2.676 20 5 CFBDRN Cc1nn(C)cc1COc1cc([N+](=O)[O-])ccc1C ZINC000450812695 383500172 /nfs/dbraw/zinc/50/01/72/383500172.db2.gz QRUZKZPGJRWASB-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCCc2ccccc2CC1 ZINC000450813304 383500802 /nfs/dbraw/zinc/50/08/02/383500802.db2.gz VSLFAKXKDLNJRS-UHFFFAOYSA-N 0 0 283.331 2.985 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000569464559 383502041 /nfs/dbraw/zinc/50/20/41/383502041.db2.gz YNMSALCXNKKUOH-TVQRCGJNSA-N 0 0 270.304 2.943 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCCC[C@@H]2O)n1 ZINC000450819918 383503990 /nfs/dbraw/zinc/50/39/90/383503990.db2.gz HJNAHZYJJVBYPJ-NEPJUHHUSA-N 0 0 279.340 2.570 20 5 CFBDRN CCc1nn(C)c(NCCC2(F)CCC2)c1[N+](=O)[O-] ZINC000340920796 383504287 /nfs/dbraw/zinc/50/42/87/383504287.db2.gz SXTOCPVDEXFIJY-UHFFFAOYSA-N 0 0 270.308 2.585 20 5 CFBDRN CNC(=O)c1ccc(NCCC2(F)CCC2)c([N+](=O)[O-])c1 ZINC000340921311 383505823 /nfs/dbraw/zinc/50/58/23/383505823.db2.gz UMSNCCILBJPNEC-UHFFFAOYSA-N 0 0 295.314 2.649 20 5 CFBDRN CCOC(=O)C(CC)(CC)NCc1cccc([N+](=O)[O-])c1 ZINC000429271302 383506931 /nfs/dbraw/zinc/50/69/31/383506931.db2.gz IVEKSPCKCOHVKS-UHFFFAOYSA-N 0 0 294.351 2.806 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC[C@H]1CC ZINC000429281324 383508758 /nfs/dbraw/zinc/50/87/58/383508758.db2.gz UVUDESHCOQNJSU-GFCCVEGCSA-N 0 0 264.325 2.978 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])c2nccn21 ZINC000450830223 383509777 /nfs/dbraw/zinc/50/97/77/383509777.db2.gz NNKNTTLIEIUOGE-IONNQARKSA-N 0 0 293.714 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2CCC[C@H](O)C2)nc1 ZINC000488254664 383509915 /nfs/dbraw/zinc/50/99/15/383509915.db2.gz VLZMRPYFJZZQSR-KOLCDFICSA-N 0 0 268.338 2.633 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1CCC[C@@H](CO)C1 ZINC000340969547 383513709 /nfs/dbraw/zinc/51/37/09/383513709.db2.gz LHXZEYYFCFQKMS-WDEREUQCSA-N 0 0 282.315 2.945 20 5 CFBDRN C[C@@H](Cc1cnn(C)c1)Nc1c(F)cccc1[N+](=O)[O-] ZINC000450837386 383514933 /nfs/dbraw/zinc/51/49/33/383514933.db2.gz CKFGRJVSNWRWRC-VIFPVBQESA-N 0 0 278.287 2.511 20 5 CFBDRN CCc1ncc(CNc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000450843617 383518003 /nfs/dbraw/zinc/51/80/03/383518003.db2.gz FRCKPPCDNZBGMY-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1COc1ccccc1CCO ZINC000429316012 383518668 /nfs/dbraw/zinc/51/86/68/383518668.db2.gz HQBQRRAENNZXRS-UHFFFAOYSA-N 0 0 291.278 2.848 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)C1 ZINC000335197105 291828128 /nfs/dbraw/zinc/82/81/28/291828128.db2.gz QMLMJWFCMXMLKT-VIFPVBQESA-N 0 0 262.309 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2=CCCCC2)cc1CO ZINC000450922617 383551610 /nfs/dbraw/zinc/55/16/10/383551610.db2.gz ACKFAPSVUSTVPP-UHFFFAOYSA-N 0 0 263.293 2.966 20 5 CFBDRN Cc1cc(=O)n(CCC2=CCCCC2)cc1[N+](=O)[O-] ZINC000450878286 383531185 /nfs/dbraw/zinc/53/11/85/383531185.db2.gz VDBUAPWQBVOCTR-UHFFFAOYSA-N 0 0 262.309 2.955 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@@]2(CCOC2)C1 ZINC000450878437 383532611 /nfs/dbraw/zinc/53/26/11/383532611.db2.gz ILHUFZCPJHWNDH-CQSZACIVSA-N 0 0 280.299 2.741 20 5 CFBDRN Nc1c(F)c(NCCC[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000450889046 383536710 /nfs/dbraw/zinc/53/67/10/383536710.db2.gz PNTYFIINSSZKKF-SECBINFHSA-N 0 0 283.303 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCC[C@H]1CCOC1)CCN2 ZINC000450889443 383537353 /nfs/dbraw/zinc/53/73/53/383537353.db2.gz QWTVXLKLDOGZSV-NSHDSACASA-N 0 0 291.351 2.791 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1csc(-c2ccco2)n1 ZINC000341035133 383538295 /nfs/dbraw/zinc/53/82/95/383538295.db2.gz PETYKCPYONJOEN-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN C[C@H]1CCC(=O)[C@@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC000450900383 383541735 /nfs/dbraw/zinc/54/17/35/383541735.db2.gz GZOXEAHYIWOXRJ-UFBFGSQYSA-N 0 0 267.256 2.870 20 5 CFBDRN C[C@H](O)CCCn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000450905668 383544290 /nfs/dbraw/zinc/54/42/90/383544290.db2.gz OPLCZOMMDXMSDR-QMMMGPOBSA-N 0 0 283.715 2.759 20 5 CFBDRN O=C(NC1(C(F)F)CCCCC1)c1ccc([N+](=O)[O-])o1 ZINC000341133807 383577710 /nfs/dbraw/zinc/57/77/10/383577710.db2.gz WQCLNKKVCCZRGX-UHFFFAOYSA-N 0 0 288.250 2.886 20 5 CFBDRN CC[C@@H](C)NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429914367 383578322 /nfs/dbraw/zinc/57/83/22/383578322.db2.gz PFVOWEGXHXLDOY-SNVBAGLBSA-N 0 0 264.325 2.787 20 5 CFBDRN COc1cncc(/C=C/CCn2c(C)ncc2[N+](=O)[O-])c1 ZINC000570212844 383582661 /nfs/dbraw/zinc/58/26/61/383582661.db2.gz XLXWFUXERVLQFR-HWKANZROSA-N 0 0 288.307 2.607 20 5 CFBDRN C[C@H](CO)N(CC1CCC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000280821370 194988423 /nfs/dbraw/zinc/98/84/23/194988423.db2.gz DYUBMJMMTZYRJY-SECBINFHSA-N 0 0 299.758 2.631 20 5 CFBDRN CC(F)(F)CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000569955260 383555695 /nfs/dbraw/zinc/55/56/95/383555695.db2.gz PTIXPHIPHZOVCM-UHFFFAOYSA-N 0 0 278.642 2.633 20 5 CFBDRN Cc1ccc(CN(C)c2c([N+](=O)[O-])ncn2C)cc1C ZINC000450937929 383559450 /nfs/dbraw/zinc/55/94/50/383559450.db2.gz XWCBIASMLFPCAJ-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000450942612 383560725 /nfs/dbraw/zinc/56/07/25/383560725.db2.gz VKVMPDZMBBBLAZ-VXGBXAGGSA-N 0 0 265.313 2.749 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000488600688 383564332 /nfs/dbraw/zinc/56/43/32/383564332.db2.gz RAKVITNUEBPKEK-PTEHBNRSSA-N 0 0 275.308 2.823 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)[C@@H]2CC[N@@H+](C(C)C)C2)n1 ZINC000450951216 383565177 /nfs/dbraw/zinc/56/51/77/383565177.db2.gz FNMNDRKPWFXJNB-CYBMUJFWSA-N 0 0 292.383 2.526 20 5 CFBDRN CSCCN(C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000341119101 383571513 /nfs/dbraw/zinc/57/15/13/383571513.db2.gz QTWDHCMGTKPKFS-JTQLQIEISA-N 0 0 297.380 2.660 20 5 CFBDRN C[C@H](NC(=O)NCC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000341119136 383571588 /nfs/dbraw/zinc/57/15/88/383571588.db2.gz QXWQYLATBKSTBU-JTQLQIEISA-N 0 0 277.324 2.755 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(CC2CCC2)[C@@H](C)CO)n1 ZINC000570833791 383640786 /nfs/dbraw/zinc/64/07/86/383640786.db2.gz DVQJIVHNTGWGIK-LBPRGKRZSA-N 0 0 293.367 2.594 20 5 CFBDRN CO[C@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000451547931 383642867 /nfs/dbraw/zinc/64/28/67/383642867.db2.gz RGFKYOFQLRMYGA-HZMBPMFUSA-N 0 0 282.315 2.591 20 5 CFBDRN CCO[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@H]1C ZINC000451552582 383645568 /nfs/dbraw/zinc/64/55/68/383645568.db2.gz YWXHEBDZNVQGJX-IAQYHMDHSA-N 0 0 296.342 2.981 20 5 CFBDRN Cc1nnc(Sc2ncc(C)cc2[N+](=O)[O-])n1C(C)C ZINC000490043687 383649423 /nfs/dbraw/zinc/64/94/23/383649423.db2.gz PTOHZNVLNDYFKL-UHFFFAOYSA-N 0 0 293.352 2.930 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1nnc(CC)o1 ZINC000280900482 195022235 /nfs/dbraw/zinc/02/22/35/195022235.db2.gz BMIWBBJRMPKSAI-UHFFFAOYSA-N 0 0 292.295 2.551 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000263137428 383655396 /nfs/dbraw/zinc/65/53/96/383655396.db2.gz DHWDHZGAKHXHQC-UTUOFQBUSA-N 0 0 292.335 2.698 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000430947923 383615148 /nfs/dbraw/zinc/61/51/48/383615148.db2.gz DALYBVCEYDJTPW-QWHCGFSZSA-N 0 0 289.335 2.501 20 5 CFBDRN CC(C)c1ccc(C[NH2+]CCCC(=O)[O-])cc1[N+](=O)[O-] ZINC000262380425 383618578 /nfs/dbraw/zinc/61/85/78/383618578.db2.gz CNJWHCCMEBZSJH-UHFFFAOYSA-N 0 0 280.324 2.673 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)CN(C)[C@H]1CC1(C)C ZINC000489447234 383620431 /nfs/dbraw/zinc/62/04/31/383620431.db2.gz PKZYYRVKJPHZCW-ZDUSSCGKSA-N 0 0 291.351 2.572 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)CN(C)[C@@H]1CC1(C)C ZINC000489447233 383620496 /nfs/dbraw/zinc/62/04/96/383620496.db2.gz PKZYYRVKJPHZCW-CYBMUJFWSA-N 0 0 291.351 2.572 20 5 CFBDRN C[C@H](C(=O)N[C@@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000572214809 383763200 /nfs/dbraw/zinc/76/32/00/383763200.db2.gz HERYQDJYMSQAMR-UWVGGRQHSA-N 0 0 262.309 2.613 20 5 CFBDRN COc1ccncc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000431424348 383670141 /nfs/dbraw/zinc/67/01/41/383670141.db2.gz WITXKAVCDKMCRZ-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN Cc1ccc(NC(=O)N(C)C2CCOCC2)cc1[N+](=O)[O-] ZINC000531076141 383816617 /nfs/dbraw/zinc/81/66/17/383816617.db2.gz FHLSRRSPJDWMNO-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])c(OC)c2)nc1 ZINC000436423360 383816710 /nfs/dbraw/zinc/81/67/10/383816710.db2.gz SEKQCZZWLBJLJN-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN COc1ccc(CNc2ccccc2[N+](=O)[O-])nc1 ZINC000436455345 383818750 /nfs/dbraw/zinc/81/87/50/383818750.db2.gz RRBRWDGMDMVSJG-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CCN(C)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 ZINC000436116777 383783361 /nfs/dbraw/zinc/78/33/61/383783361.db2.gz XCWOOAIIEWOQBZ-UHFFFAOYSA-N 0 0 298.317 2.912 20 5 CFBDRN Cc1cc[nH]c1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000436175591 383785510 /nfs/dbraw/zinc/78/55/10/383785510.db2.gz MTADVPIJKYILGI-UHFFFAOYSA-N 0 0 287.319 2.896 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ncc(Cl)s2)nc1C1CC1 ZINC000436195669 383787574 /nfs/dbraw/zinc/78/75/74/383787574.db2.gz DMENJZXTGJUZLH-UHFFFAOYSA-N 0 0 284.728 2.827 20 5 CFBDRN Cc1nn(C)c(C)c1N[C@@H](C)Cc1ccccc1[N+](=O)[O-] ZINC000453176042 383793373 /nfs/dbraw/zinc/79/33/73/383793373.db2.gz CDZJVKWHBBRIAM-JTQLQIEISA-N 0 0 288.351 2.988 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(OC(C)C)CC1 ZINC000531391442 383860850 /nfs/dbraw/zinc/86/08/50/383860850.db2.gz CKIAUHPGBIUYFT-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000454136710 383910555 /nfs/dbraw/zinc/91/05/55/383910555.db2.gz AFTQRQAKWUVYQN-DGCLKSJQSA-N 0 0 295.339 2.574 20 5 CFBDRN CC/C=C\CCn1c(=O)onc1-c1cccc([N+](=O)[O-])c1 ZINC000573443302 383931225 /nfs/dbraw/zinc/93/12/25/383931225.db2.gz FYPGPOVRVPPETK-ARJAWSKDSA-N 0 0 289.291 2.768 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])cc2F)o1 ZINC000531584432 383892619 /nfs/dbraw/zinc/89/26/19/383892619.db2.gz NPILAZRTVXMZTO-ZCFIWIBFSA-N 0 0 266.232 2.598 20 5 CFBDRN CCc1nc(CN(C)c2ccc([N+](=O)[O-])c(C)n2)cs1 ZINC000531604616 383896769 /nfs/dbraw/zinc/89/67/69/383896769.db2.gz ANDSMIUZDCRGFB-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN CCc1cccc2c1N(C(=O)c1ccc([N+](=O)[O-])cn1)CC2 ZINC000532137619 383981930 /nfs/dbraw/zinc/98/19/30/383981930.db2.gz PVNJQFIXKJOJJO-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN COCC1(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000532209187 383991464 /nfs/dbraw/zinc/99/14/64/383991464.db2.gz DBAIVCNIJDYOCN-UHFFFAOYSA-N 0 0 299.714 2.549 20 5 CFBDRN Cc1nnsc1CN[C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000573815335 383995408 /nfs/dbraw/zinc/99/54/08/383995408.db2.gz OULISOLKHNIQAZ-LLVKDONJSA-N 0 0 290.348 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3ncsc3C2)c(F)c1 ZINC000438379658 383997054 /nfs/dbraw/zinc/99/70/54/383997054.db2.gz YHRGIYWVXLYROM-UHFFFAOYSA-N 0 0 293.323 2.749 20 5 CFBDRN O=C(Nc1ccc2ncccc2c1)c1ccc([N+](=O)[O-])cn1 ZINC000531878018 383936101 /nfs/dbraw/zinc/93/61/01/383936101.db2.gz DKTPMMDMFSADOU-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN Cc1c(NC(=O)NC[C@@H]2CC[C@H](C)O2)cccc1[N+](=O)[O-] ZINC000454197670 383938608 /nfs/dbraw/zinc/93/86/08/383938608.db2.gz KPAWAGFGNGMSRC-ONGXEEELSA-N 0 0 293.323 2.592 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1C ZINC000532388040 384021698 /nfs/dbraw/zinc/02/16/98/384021698.db2.gz NGQINMVTYWLZGB-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)[C@@H]1CCC[C@H](C)C1 ZINC000454354834 384004335 /nfs/dbraw/zinc/00/43/35/384004335.db2.gz DMIYHSYCZUWPKX-GARJFASQSA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1ncc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c(C2CC2)n1 ZINC000281093687 195101793 /nfs/dbraw/zinc/10/17/93/195101793.db2.gz VPKISJTVQLFOQJ-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN COc1cc(NC2CCC(OC)CC2)ccc1[N+](=O)[O-] ZINC000413419226 533025503 /nfs/dbraw/zinc/02/55/03/533025503.db2.gz NAIRYVYSUBEUDV-UHFFFAOYSA-N 0 0 280.324 2.973 20 5 CFBDRN CCc1cc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)on1 ZINC000532516693 384041320 /nfs/dbraw/zinc/04/13/20/384041320.db2.gz HJIHROUFQYQSIJ-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(CCn2cc(Cl)cn2)cc1 ZINC000440085446 384059750 /nfs/dbraw/zinc/05/97/50/384059750.db2.gz BQSRGSXSMTYLMC-UHFFFAOYSA-N 0 0 251.673 2.687 20 5 CFBDRN CC/C=C\CNC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000454862701 384059812 /nfs/dbraw/zinc/05/98/12/384059812.db2.gz YGISRIMCXUSGOO-PLNGDYQASA-N 0 0 277.324 2.669 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@H](C)O[C@@H]2C)ccc1[N+](=O)[O-] ZINC000413528202 533035201 /nfs/dbraw/zinc/03/52/01/533035201.db2.gz GMJAQKKOESUPEP-AXFHLTTASA-N 0 0 280.324 2.829 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000455081102 384134308 /nfs/dbraw/zinc/13/43/08/384134308.db2.gz HEUZGLBOIZFOBW-JTQLQIEISA-N 0 0 295.339 2.574 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CCC[C@H]1F)c1ccc([N+](=O)[O-])cc1 ZINC000455083458 384134860 /nfs/dbraw/zinc/13/48/60/384134860.db2.gz QEBHFOOLKYQXTO-BIMULSAOSA-N 0 0 295.314 2.846 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1N1CC2(CC2)C1 ZINC000450579721 285082530 /nfs/dbraw/zinc/08/25/30/285082530.db2.gz KPYSDUJVJLHZIT-UHFFFAOYSA-N 0 0 283.125 2.958 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCc2n[nH]cc2C1 ZINC000276230221 285090538 /nfs/dbraw/zinc/09/05/38/285090538.db2.gz NMQCLRYSNLQOMX-UHFFFAOYSA-N 0 0 278.699 2.534 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000277151171 384216685 /nfs/dbraw/zinc/21/66/85/384216685.db2.gz RMGMMMKVYRGFRJ-NXEZZACHSA-N 0 0 291.307 2.619 20 5 CFBDRN C[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000266859234 384248843 /nfs/dbraw/zinc/24/88/43/384248843.db2.gz OCHJEAGXVMHGBJ-MRVPVSSYSA-N 0 0 253.277 2.552 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000277301513 384261015 /nfs/dbraw/zinc/26/10/15/384261015.db2.gz AUMFWJYGDTVJQN-ZWNOBZJWSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1cnc(COC(=O)[C@H]2CCCS2)c(C)c1[N+](=O)[O-] ZINC000277317627 384265796 /nfs/dbraw/zinc/26/57/96/384265796.db2.gz WZMIZKAIEXVKQJ-LLVKDONJSA-N 0 0 296.348 2.545 20 5 CFBDRN Cc1ccc(N2CCC(n3ccnc3)CC2)c([N+](=O)[O-])c1 ZINC000266957010 384270325 /nfs/dbraw/zinc/27/03/25/384270325.db2.gz GWBNHAQLPXTDGT-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC1(C)CCCC1 ZINC000277398494 384289201 /nfs/dbraw/zinc/28/92/01/384289201.db2.gz GSDVTFMWMZYFMG-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC2CCCC2)s1 ZINC000286971361 285100188 /nfs/dbraw/zinc/10/01/88/285100188.db2.gz NODPDFVFLOSONS-UHFFFAOYSA-N 0 0 264.310 2.954 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2C[C@@H]3CCC[C@@H]3C2)s1 ZINC000408103309 285100216 /nfs/dbraw/zinc/10/02/16/285100216.db2.gz MFNUIPVNQKYVPB-DTORHVGOSA-N 0 0 290.348 2.836 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277627376 384357442 /nfs/dbraw/zinc/35/74/42/384357442.db2.gz RQAHNSBAORYHMQ-SNVBAGLBSA-N 0 0 280.324 2.912 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277627371 384358094 /nfs/dbraw/zinc/35/80/94/384358094.db2.gz RQAHNSBAORYHMQ-JTQLQIEISA-N 0 0 280.324 2.912 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H](C)CCO ZINC000277789194 384405184 /nfs/dbraw/zinc/40/51/84/384405184.db2.gz NAPCJQRJXMBEQS-QMMMGPOBSA-N 0 0 258.705 2.739 20 5 CFBDRN CC[C@@H](C)[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000277710238 384383100 /nfs/dbraw/zinc/38/31/00/384383100.db2.gz NLVAEXYGUZSGBE-MUWHJKNJSA-N 0 0 294.229 2.895 20 5 CFBDRN O=C(Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)C1CCC1 ZINC000278084500 384471656 /nfs/dbraw/zinc/47/16/56/384471656.db2.gz XLSWPVQRFAUSNP-UHFFFAOYSA-N 0 0 285.303 2.828 20 5 CFBDRN CCN(CC)Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278134909 384480442 /nfs/dbraw/zinc/48/04/42/384480442.db2.gz KPQULUGFKPMTBS-UHFFFAOYSA-N 0 0 282.325 2.548 20 5 CFBDRN CC(C)Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278147189 384483330 /nfs/dbraw/zinc/48/33/30/384483330.db2.gz LSYWNFCMVQJGFZ-UHFFFAOYSA-N 0 0 253.283 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1cnc(C)nc1 ZINC000349749640 220296915 /nfs/dbraw/zinc/29/69/15/220296915.db2.gz SBKSJMVDBVRPLK-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CC(C)OCCc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278163698 384487625 /nfs/dbraw/zinc/48/76/25/384487625.db2.gz NAMUWWURKRLOFV-UHFFFAOYSA-N 0 0 283.309 2.674 20 5 CFBDRN CC(=O)CCCc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278186522 384493719 /nfs/dbraw/zinc/49/37/19/384493719.db2.gz OSVUVRGFCCDNMJ-UHFFFAOYSA-N 0 0 281.293 2.618 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000268059857 384520553 /nfs/dbraw/zinc/52/05/53/384520553.db2.gz DNHXAQXYAZDQHS-UHFFFAOYSA-N 0 0 266.297 2.840 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)c(F)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000268188690 384559254 /nfs/dbraw/zinc/55/92/54/384559254.db2.gz MHBDJPRWGDNQLA-VHSXEESVSA-N 0 0 298.314 2.746 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)[C@@H]1CCCCO1 ZINC000278438971 384573483 /nfs/dbraw/zinc/57/34/83/384573483.db2.gz DXGLQQSNICLXGE-YPMHNXCESA-N 0 0 292.335 2.591 20 5 CFBDRN COC(C)(C)CC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000278419654 384566086 /nfs/dbraw/zinc/56/60/86/384566086.db2.gz XDZROZOGDGJHFW-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1c(CN2C[C@H](C)O[C@@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000279372225 384616000 /nfs/dbraw/zinc/61/60/00/384616000.db2.gz RZNGWJKZVFBCJI-MEDUHNTESA-N 0 0 290.363 2.903 20 5 CFBDRN CC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000279376587 384617458 /nfs/dbraw/zinc/61/74/58/384617458.db2.gz TXDZCHWNHQKOBC-UHFFFAOYSA-N 0 0 294.376 2.953 20 5 CFBDRN COCCC1(CNC(=O)c2ccccc2[N+](=O)[O-])CCC1 ZINC000268438520 384621441 /nfs/dbraw/zinc/62/14/41/384621441.db2.gz RACKPKLEPJFRMR-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN Cc1nc(NC(=O)[C@H](C)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000268559696 384633466 /nfs/dbraw/zinc/63/34/66/384633466.db2.gz NZWKGYPLXXTIKY-QMMMGPOBSA-N 0 0 265.313 2.919 20 5 CFBDRN CC[C@](C)(CCO)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000268565789 384633672 /nfs/dbraw/zinc/63/36/72/384633672.db2.gz QCMOEIXSNMBBDI-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN CCOC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000268520050 384629478 /nfs/dbraw/zinc/62/94/78/384629478.db2.gz UTMUTEJWZSBOKW-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1nc(N(C)[C@@H](C)c2cccnc2)ccc1[N+](=O)[O-] ZINC000268539999 384631513 /nfs/dbraw/zinc/63/15/13/384631513.db2.gz ZEAOAICOEJLPQF-NSHDSACASA-N 0 0 272.308 2.891 20 5 CFBDRN Cc1cc(C)c(OC[C@@](C)(O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000281152040 195125093 /nfs/dbraw/zinc/12/50/93/195125093.db2.gz AXDNGQSXFZMHDY-LLVKDONJSA-N 0 0 293.241 2.904 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H](C3CCC3)C2)c(F)c1 ZINC000292427841 285127082 /nfs/dbraw/zinc/12/70/82/285127082.db2.gz XAXQDWXASHOHCZ-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3OCC[C@H]3C2)c2ncccc12 ZINC000368471444 285130838 /nfs/dbraw/zinc/13/08/38/285130838.db2.gz RKXRJXOSPUMYAJ-XHDPSFHLSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3CCC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000268186059 285133131 /nfs/dbraw/zinc/13/31/31/285133131.db2.gz DDQPPPLKJMFZAE-AOOOYVTPSA-N 0 0 277.280 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3CC[C@@H](C2)O3)c2ncccc12 ZINC000374090198 285134400 /nfs/dbraw/zinc/13/44/00/285134400.db2.gz ARJUWONHGLASHW-PHIMTYICSA-N 0 0 285.303 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@]3(O)CCCC[C@@H]3C2)cs1 ZINC000268945007 384698196 /nfs/dbraw/zinc/69/81/96/384698196.db2.gz BAPIBAPIDZGOFH-TZMCWYRMSA-N 0 0 296.392 2.783 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@]3(O)CCCC[C@H]3C2)cs1 ZINC000268945003 384698675 /nfs/dbraw/zinc/69/86/75/384698675.db2.gz BAPIBAPIDZGOFH-GXTWGEPZSA-N 0 0 296.392 2.783 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC3(CCCC3)C2)nc1 ZINC000268961277 384702822 /nfs/dbraw/zinc/70/28/22/384702822.db2.gz LDRGZTBZDBCXRB-NSHDSACASA-N 0 0 277.324 2.894 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(N(C)C)no1 ZINC000269305838 384744949 /nfs/dbraw/zinc/74/49/49/384744949.db2.gz UCOXQNCZSODPII-MRVPVSSYSA-N 0 0 294.336 2.897 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269329684 384747630 /nfs/dbraw/zinc/74/76/30/384747630.db2.gz ACDNZNOMWHIUNQ-VHSXEESVSA-N 0 0 294.355 2.750 20 5 CFBDRN CC[C@H](NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])C(C)C ZINC000269320797 384748128 /nfs/dbraw/zinc/74/81/28/384748128.db2.gz BKXQFFZRHBQPNI-VIFPVBQESA-N 0 0 282.344 2.606 20 5 CFBDRN Cc1nccn1CCCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000269352083 384750242 /nfs/dbraw/zinc/75/02/42/384750242.db2.gz GHFFHMAFDCRBKX-UHFFFAOYSA-N 0 0 295.730 2.650 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2noc(C(C)C)n2)c1 ZINC000269406068 384756212 /nfs/dbraw/zinc/75/62/12/384756212.db2.gz HRJJAKOAPXWMSV-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCc3n[nH]cc3C2)c(Cl)c1 ZINC000301247452 285135494 /nfs/dbraw/zinc/13/54/94/285135494.db2.gz BXCCFWWUQJWZDG-SECBINFHSA-N 0 0 292.726 2.941 20 5 CFBDRN Cc1nn(CC(=O)C2(c3ccccc3)CC2)c(C)c1[N+](=O)[O-] ZINC000279950597 384826932 /nfs/dbraw/zinc/82/69/32/384826932.db2.gz MTYDQNCEHMKVPE-UHFFFAOYSA-N 0 0 299.330 2.709 20 5 CFBDRN Cc1cn(CCOc2ccc(F)cc2Cl)nc1[N+](=O)[O-] ZINC000281160449 195129766 /nfs/dbraw/zinc/12/97/66/195129766.db2.gz LJQTVNZJSNDMSO-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN Cc1c(CC(=O)NC2(C)CCCC2)cccc1[N+](=O)[O-] ZINC000269621717 384806519 /nfs/dbraw/zinc/80/65/19/384806519.db2.gz NJMNKYRUJGZPKT-UHFFFAOYSA-N 0 0 276.336 2.895 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncncc2Br)cc1 ZINC000090782985 285137945 /nfs/dbraw/zinc/13/79/45/285137945.db2.gz DWBLOZDCVSVJGH-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN CC(C)CONC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000269631399 384811232 /nfs/dbraw/zinc/81/12/32/384811232.db2.gz FMDDTOYWQIDUCQ-UHFFFAOYSA-N 0 0 278.264 2.658 20 5 CFBDRN Cc1c(CC(=O)N(C)[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000269640966 384815267 /nfs/dbraw/zinc/81/52/67/384815267.db2.gz RIQBGYGQQHFAEF-NSHDSACASA-N 0 0 276.336 2.703 20 5 CFBDRN C[C@H]1CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C[C@H](C)C1 ZINC000269835555 384878278 /nfs/dbraw/zinc/87/82/78/384878278.db2.gz GPAKFBDXYWTTEA-WDEREUQCSA-N 0 0 291.351 2.566 20 5 CFBDRN CCN(CC)C(=O)CSc1cc(C)ccc1[N+](=O)[O-] ZINC000269855750 384884636 /nfs/dbraw/zinc/88/46/36/384884636.db2.gz JQLBTWCBIKBZMO-UHFFFAOYSA-N 0 0 282.365 2.864 20 5 CFBDRN Cc1cc(NC[C@]2(O)CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000269863691 384886380 /nfs/dbraw/zinc/88/63/80/384886380.db2.gz LGPOWGWMIGQTOI-MRXNPFEDSA-N 0 0 299.330 2.544 20 5 CFBDRN COc1cc(OC[C@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000280023999 384852976 /nfs/dbraw/zinc/85/29/76/384852976.db2.gz VEEDZGPJHFLLCN-MWLCHTKSSA-N 0 0 267.281 2.550 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N(CC1CC1)CC1CCC1 ZINC000280032264 384856278 /nfs/dbraw/zinc/85/62/78/384856278.db2.gz VVFGCUFIBAVVSS-UHFFFAOYSA-N 0 0 278.308 2.840 20 5 CFBDRN CC1=CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000270036309 384952815 /nfs/dbraw/zinc/95/28/15/384952815.db2.gz IUJHOHUGZMQHDB-UHFFFAOYSA-N 0 0 261.281 2.779 20 5 CFBDRN COc1cc(N2CCC[C@](C)(OC)CC2)ccc1[N+](=O)[O-] ZINC000280127536 384893941 /nfs/dbraw/zinc/89/39/41/384893941.db2.gz DBBDGLGRIDOQFJ-HNNXBMFYSA-N 0 0 294.351 2.999 20 5 CFBDRN CC[C@](C)(OC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000280146489 384903299 /nfs/dbraw/zinc/90/32/99/384903299.db2.gz ZQDGUKOSQPGKJB-YGRLFVJLSA-N 0 0 280.324 2.587 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)O1 ZINC000269922041 384905845 /nfs/dbraw/zinc/90/58/45/384905845.db2.gz GCFYJDWOWMHIAX-CHWSQXEVSA-N 0 0 278.308 2.515 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2 ZINC000269952951 384916783 /nfs/dbraw/zinc/91/67/83/384916783.db2.gz JUMZJHRCEYLFLC-CYZMBNFOSA-N 0 0 289.335 2.749 20 5 CFBDRN Cc1nc(NCC(C)(C)[C@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000269955145 384918186 /nfs/dbraw/zinc/91/81/86/384918186.db2.gz SQBHHTDGXSJNPY-CYBMUJFWSA-N 0 0 281.356 2.753 20 5 CFBDRN COC(=O)CCCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000269955219 384919206 /nfs/dbraw/zinc/91/92/06/384919206.db2.gz SSDUDUMJXZOXIJ-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN C[C@H]1OCC[C@H]1Sc1nc2sccn2c1[N+](=O)[O-] ZINC000269957981 384921045 /nfs/dbraw/zinc/92/10/45/384921045.db2.gz UCISZHBJSNQTMZ-RNFRBKRXSA-N 0 0 285.350 2.574 20 5 CFBDRN Cc1ccc(N(C)C[C@H]2CCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000269972789 384924771 /nfs/dbraw/zinc/92/47/71/384924771.db2.gz LJMDXXLRQODTCU-BXUZGUMPSA-N 0 0 264.325 2.500 20 5 CFBDRN C[C@@H](c1cccs1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000280240563 384939834 /nfs/dbraw/zinc/93/98/34/384939834.db2.gz NJSXCBLBSXRSMA-QMMMGPOBSA-N 0 0 266.326 2.587 20 5 CFBDRN CCN(c1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000359953941 285146815 /nfs/dbraw/zinc/14/68/15/285146815.db2.gz ZNCUDSZAAHREMD-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1C[C@H]3OCCC[C@@H]13)CCN2 ZINC000302873811 285146821 /nfs/dbraw/zinc/14/68/21/285146821.db2.gz DLJUSPUSPMYRAG-MRCXROJRSA-N 0 0 289.335 2.542 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CO)CC2CCC2)c(Cl)c1 ZINC000280328533 384976487 /nfs/dbraw/zinc/97/64/87/384976487.db2.gz UPBFEPCIFZOBRB-SECBINFHSA-N 0 0 285.731 2.606 20 5 CFBDRN CN(c1ccc(F)cc1[N+](=O)[O-])[C@H]1CCSC1 ZINC000270188735 385008378 /nfs/dbraw/zinc/00/83/78/385008378.db2.gz OEKFDAVLLBTBLG-VIFPVBQESA-N 0 0 256.302 2.676 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(SC2CCOCC2)n1 ZINC000270213395 385017795 /nfs/dbraw/zinc/01/77/95/385017795.db2.gz SFPKAOHRRXGYEU-UHFFFAOYSA-N 0 0 254.311 2.569 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCCC1(C)CC1 ZINC000280540797 385052876 /nfs/dbraw/zinc/05/28/76/385052876.db2.gz ZFKBUHIEVGZWAW-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC[C@H]3c3ncc[nH]3)nc2c1 ZINC000450423766 285149204 /nfs/dbraw/zinc/14/92/04/285149204.db2.gz JKUYVCWLXRWLRO-LBPRGKRZSA-N 0 0 298.306 2.536 20 5 CFBDRN C[C@@H](SCCN1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000270487833 385120746 /nfs/dbraw/zinc/12/07/46/385120746.db2.gz CGTXCVOWSLFPLT-GFCCVEGCSA-N 0 0 296.392 2.721 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H](c3ccccc3F)C2)nc1 ZINC000280641419 385098278 /nfs/dbraw/zinc/09/82/78/385098278.db2.gz UZNVMNXSOGJEHQ-MGCOHNPYSA-N 0 0 288.282 2.882 20 5 CFBDRN CCc1nnc([C@H](C)Nc2c(F)cc([N+](=O)[O-])cc2F)[nH]1 ZINC000270701129 385195996 /nfs/dbraw/zinc/19/59/96/385195996.db2.gz IMDAJVKTDMSKGA-LURJTMIESA-N 0 0 297.265 2.727 20 5 CFBDRN CCc1nnc([C@H](C)Nc2cc(C)ccc2[N+](=O)[O-])[nH]1 ZINC000270639933 385173809 /nfs/dbraw/zinc/17/38/09/385173809.db2.gz HYMZTQYGHHXBST-VIFPVBQESA-N 0 0 275.312 2.757 20 5 CFBDRN COc1ccc(CNc2ncc(C)c(C)n2)cc1[N+](=O)[O-] ZINC000270745048 385211890 /nfs/dbraw/zinc/21/18/90/385211890.db2.gz YTOZAXHQPWVROA-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CCC[C@]1(CO)CCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000289120797 197239675 /nfs/dbraw/zinc/23/96/75/197239675.db2.gz QTOSOJWITKSTJG-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN CC(C)(F)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000271026182 385309090 /nfs/dbraw/zinc/30/90/90/385309090.db2.gz ALDBVSNDQHZNFF-UHFFFAOYSA-N 0 0 251.217 2.849 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC2(CCO)CCCC2)c1 ZINC000282244248 385258933 /nfs/dbraw/zinc/25/89/33/385258933.db2.gz QLOWJBKDZSGUHB-UHFFFAOYSA-N 0 0 279.340 2.532 20 5 CFBDRN CCc1cccnc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000270919420 385269904 /nfs/dbraw/zinc/26/99/04/385269904.db2.gz YVQWBUXCACDLKQ-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1ccnc(C2CC2)n1 ZINC000282286386 385272670 /nfs/dbraw/zinc/27/26/70/385272670.db2.gz QAYPTJMSRVEEER-UHFFFAOYSA-N 0 0 298.346 2.941 20 5 CFBDRN COc1cc(N[C@@H](C)c2cnn(C)c2)c(F)cc1[N+](=O)[O-] ZINC000271056845 385323011 /nfs/dbraw/zinc/32/30/11/385323011.db2.gz ATOJOEXEJPLTTI-QMMMGPOBSA-N 0 0 294.286 2.649 20 5 CFBDRN Cc1cc(F)c(NC(=O)CC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000282336214 385286010 /nfs/dbraw/zinc/28/60/10/385286010.db2.gz GFUGEWCXGMMBOR-JTQLQIEISA-N 0 0 296.298 2.798 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)Cc1nccs1 ZINC000282363228 385293740 /nfs/dbraw/zinc/29/37/40/385293740.db2.gz DKRVXOZJBCJKBI-UHFFFAOYSA-N 0 0 277.349 2.726 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1O[C@@H](C)C[C@@H]1C ZINC000282504830 385328664 /nfs/dbraw/zinc/32/86/64/385328664.db2.gz ZDYZHTIAHZWONW-UVPNAGLESA-N 0 0 296.298 2.794 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)CCO1 ZINC000271079861 385331052 /nfs/dbraw/zinc/33/10/52/385331052.db2.gz ZKVVIOAPCBTMPU-ONGXEEELSA-N 0 0 296.323 2.511 20 5 CFBDRN CC(C)CCCCCCNC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000271132616 385345615 /nfs/dbraw/zinc/34/56/15/385345615.db2.gz QNPQWSQIGJODPF-UHFFFAOYSA-N 0 0 296.371 2.665 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000282586783 385346854 /nfs/dbraw/zinc/34/68/54/385346854.db2.gz HLXOMLUFITZRAV-QWRGUYRKSA-N 0 0 279.292 2.628 20 5 CFBDRN CC(C)=CCNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000282625649 385356379 /nfs/dbraw/zinc/35/63/79/385356379.db2.gz CZALMRABLDUENZ-UHFFFAOYSA-N 0 0 291.351 2.745 20 5 CFBDRN CCCSCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271748432 385389829 /nfs/dbraw/zinc/38/98/29/385389829.db2.gz KDWZWQHXAZVYFV-SNVBAGLBSA-N 0 0 282.365 2.915 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1[C@H]1C=CCCC1 ZINC000271166800 385358851 /nfs/dbraw/zinc/35/88/51/385358851.db2.gz JUTKEFCDQSWPEX-VIFPVBQESA-N 0 0 254.673 2.691 20 5 CFBDRN CCSCCCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000282691065 385371264 /nfs/dbraw/zinc/37/12/64/385371264.db2.gz PGRACHLTYRIKAR-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCC(=O)[C@H](C)C1 ZINC000283019061 385424477 /nfs/dbraw/zinc/42/44/77/385424477.db2.gz HRNSHRACQAFBJZ-MNOVXSKESA-N 0 0 262.309 2.567 20 5 CFBDRN COC(=O)CCCOc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000282881252 385404724 /nfs/dbraw/zinc/40/47/24/385404724.db2.gz CRUBJTBXYSJYJI-UHFFFAOYSA-N 0 0 291.662 2.719 20 5 CFBDRN CCCOCC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000282896499 385406904 /nfs/dbraw/zinc/40/69/04/385406904.db2.gz DSFUVURWWHMMSS-UHFFFAOYSA-N 0 0 272.688 2.613 20 5 CFBDRN CC(C)(C)CNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272099336 385447485 /nfs/dbraw/zinc/44/74/85/385447485.db2.gz LGAWDXGPKDDZGI-UHFFFAOYSA-N 0 0 286.278 2.849 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283346547 385457986 /nfs/dbraw/zinc/45/79/86/385457986.db2.gz CCXCQSVHMHUSSV-MRVPVSSYSA-N 0 0 283.303 2.625 20 5 CFBDRN CCC[C@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000283270431 385452406 /nfs/dbraw/zinc/45/24/06/385452406.db2.gz WPIZHLDFGBVAPP-LBPRGKRZSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1ccc(C(=O)N2CCC=C(F)C2)cc1[N+](=O)[O-] ZINC000272133922 385456381 /nfs/dbraw/zinc/45/63/81/385456381.db2.gz SRTNHCLPRSLPKW-UHFFFAOYSA-N 0 0 264.256 2.603 20 5 CFBDRN CC(C)C[C@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000272043463 385434508 /nfs/dbraw/zinc/43/45/08/385434508.db2.gz VFWYTRHWBNPBHB-NEPJUHHUSA-N 0 0 279.340 2.995 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1cccc(F)c1[N+](=O)[O-] ZINC000283482257 385468926 /nfs/dbraw/zinc/46/89/26/385468926.db2.gz KJNHVDAXBLPRLJ-UHFFFAOYSA-N 0 0 293.302 2.693 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)NCCC1CCCC1 ZINC000283543143 385475133 /nfs/dbraw/zinc/47/51/33/385475133.db2.gz SLHMTFACUDXWGX-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000283669537 385486823 /nfs/dbraw/zinc/48/68/23/385486823.db2.gz XYXQVTAHAQGAJL-UHFFFAOYSA-N 0 0 293.302 2.693 20 5 CFBDRN Cc1cnc(CNc2c(C)c([N+](=O)[O-])ccc2F)o1 ZINC000283688217 385488763 /nfs/dbraw/zinc/48/87/63/385488763.db2.gz ZZHCCHWANZHAIR-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCC1 ZINC000278526214 285170366 /nfs/dbraw/zinc/17/03/66/285170366.db2.gz SYLUNEFBCXIIEG-UHFFFAOYSA-N 0 0 297.183 2.854 20 5 CFBDRN C[C@H]1CCC[C@H]1CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288921729 197192994 /nfs/dbraw/zinc/19/29/94/197192994.db2.gz VBLBESBPLBUGDQ-QWRGUYRKSA-N 0 0 277.324 2.779 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000283906217 385512820 /nfs/dbraw/zinc/51/28/20/385512820.db2.gz WKDVTLUAQQUEMM-CYBMUJFWSA-N 0 0 281.381 2.755 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000283932141 385515390 /nfs/dbraw/zinc/51/53/90/385515390.db2.gz XNXYCQOMJWUTEG-QMMMGPOBSA-N 0 0 290.323 2.997 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC1(OC)CCC1 ZINC000272433691 385537435 /nfs/dbraw/zinc/53/74/35/385537435.db2.gz HDFXEAZKPZUUOW-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000288827411 197174432 /nfs/dbraw/zinc/17/44/32/197174432.db2.gz HXMGUGQOLDSRJB-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCc2ccncc21 ZINC000272367692 385520882 /nfs/dbraw/zinc/52/08/82/385520882.db2.gz AVPWNYDZLIFBIQ-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CCCO1 ZINC000281193703 195142921 /nfs/dbraw/zinc/14/29/21/195142921.db2.gz HWIHXSQREJYERI-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2COc3cccc(F)c32)s1 ZINC000367236364 285181735 /nfs/dbraw/zinc/18/17/35/285181735.db2.gz FSLRIOIVLZKKIS-SSDOTTSWSA-N 0 0 281.268 2.736 20 5 CFBDRN COC[C@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000286081739 385559633 /nfs/dbraw/zinc/55/96/33/385559633.db2.gz AHDQMVJPFOMNIJ-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN COC(=O)c1cc(C)nc(N(C)[C@@H](C)C(C)C)c1[N+](=O)[O-] ZINC000286123889 385561901 /nfs/dbraw/zinc/56/19/01/385561901.db2.gz MNEUEONPTVHXLI-JTQLQIEISA-N 0 0 295.339 2.566 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1sc(C)nc1C ZINC000288800491 197168372 /nfs/dbraw/zinc/16/83/72/197168372.db2.gz GOVDPFANYZRHDV-UHFFFAOYSA-N 0 0 295.368 2.805 20 5 CFBDRN C[C@@H]1OCC[C@H]1Sc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286203069 385570991 /nfs/dbraw/zinc/57/09/91/385570991.db2.gz MJAFELUCXHIARF-POYBYMJQSA-N 0 0 297.361 2.869 20 5 CFBDRN CCn1cc(CNc2c(C)cccc2[N+](=O)[O-])cn1 ZINC000286215009 385572451 /nfs/dbraw/zinc/57/24/51/385572451.db2.gz BSQHIRQAZVDQAN-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN Cc1cnc(OCC(=O)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000286388069 385604984 /nfs/dbraw/zinc/60/49/84/385604984.db2.gz DPNHRVQFXSHNIB-UHFFFAOYSA-N 0 0 266.297 2.682 20 5 CFBDRN C[C@H]1C[C@H]([NH+]2CCCC2)CN1c1c(F)cccc1[N+](=O)[O-] ZINC000286299793 385584895 /nfs/dbraw/zinc/58/48/95/385584895.db2.gz JKMQDUYLPFJLNW-RYUDHWBXSA-N 0 0 293.342 2.797 20 5 CFBDRN CC[NH+]1CCC(Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)CC1 ZINC000286312793 385587613 /nfs/dbraw/zinc/58/76/13/385587613.db2.gz ZFZKXRYAGACQFN-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN CN(C)c1ccccc1CN(C)c1ncc([N+](=O)[O-])s1 ZINC000288783783 197164385 /nfs/dbraw/zinc/16/43/85/197164385.db2.gz DRKCNIOQWUTDSC-UHFFFAOYSA-N 0 0 292.364 2.754 20 5 CFBDRN C[C@@H]1CCC[C@@H](CO)N1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000272681219 385588731 /nfs/dbraw/zinc/58/87/31/385588731.db2.gz RYQYGTYYDVVORQ-MFKMUULPSA-N 0 0 298.770 2.984 20 5 CFBDRN CO[C@H](C)CN(C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000286415865 385614674 /nfs/dbraw/zinc/61/46/74/385614674.db2.gz OEOCCPMSDKDMOO-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN C[S@](=O)C1(CNc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000286445130 385621916 /nfs/dbraw/zinc/62/19/16/385621916.db2.gz MQVLWNDYSJPZQQ-SFHVURJKSA-N 0 0 288.756 2.571 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1ccn(C)n1 ZINC000272815285 385623256 /nfs/dbraw/zinc/62/32/56/385623256.db2.gz VUACJJBZWWTQBT-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000272835673 385629300 /nfs/dbraw/zinc/62/93/00/385629300.db2.gz RYGLXRRGVIFNJG-YABSGUDNSA-N 0 0 290.319 2.978 20 5 CFBDRN CCc1nc(C)cc(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000286408279 385611362 /nfs/dbraw/zinc/61/13/62/385611362.db2.gz OKELIMUYHSUVTQ-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCCOC1CCC1 ZINC000286602906 385663464 /nfs/dbraw/zinc/66/34/64/385663464.db2.gz OIUHQVYMHSSMFG-UHFFFAOYSA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000286692777 385687290 /nfs/dbraw/zinc/68/72/90/385687290.db2.gz GAHTTYAKUQRLHH-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)CSCC1CC1 ZINC000291534691 533138720 /nfs/dbraw/zinc/13/87/20/533138720.db2.gz LKCFEBGEOPABBM-UHFFFAOYSA-N 0 0 296.348 2.685 20 5 CFBDRN CC1(C)SC[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286831943 385718182 /nfs/dbraw/zinc/71/81/82/385718182.db2.gz ZFYBKITUUNACIF-SSDOTTSWSA-N 0 0 296.377 2.905 20 5 CFBDRN Cc1cc(-c2nc([C@H]3CCCO3)no2)ccc1[N+](=O)[O-] ZINC000274165127 385731554 /nfs/dbraw/zinc/73/15/54/385731554.db2.gz OEAWXSNDDSGOJY-LLVKDONJSA-N 0 0 275.264 2.805 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000415402619 533143006 /nfs/dbraw/zinc/14/30/06/533143006.db2.gz KRCKBTKZLKXZRC-CYBMUJFWSA-N 0 0 280.324 2.903 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC2(C)C)c1 ZINC000286937248 385744749 /nfs/dbraw/zinc/74/47/49/385744749.db2.gz DYLPRYWUOWWTAD-ZDUSSCGKSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC[C@H]1C[C@@H]1C ZINC000274295020 385758437 /nfs/dbraw/zinc/75/84/37/385758437.db2.gz RYWBKMPYQRFAQZ-GZMMTYOYSA-N 0 0 277.324 2.989 20 5 CFBDRN CC(C)O[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1(C)C ZINC000274323194 385766639 /nfs/dbraw/zinc/76/66/39/385766639.db2.gz ZHSUKZQGAUZZHM-QWRGUYRKSA-N 0 0 296.323 2.510 20 5 CFBDRN CC(C)SCCNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000286835816 385719850 /nfs/dbraw/zinc/71/98/50/385719850.db2.gz WLZGQKDCUHNHGR-UHFFFAOYSA-N 0 0 296.392 2.785 20 5 CFBDRN CC(=O)c1cc(NC[C@@H](C)C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000287197805 385801662 /nfs/dbraw/zinc/80/16/62/385801662.db2.gz HNHGBWQTTNDTAG-VHSXEESVSA-N 0 0 280.324 2.616 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000287250928 385812598 /nfs/dbraw/zinc/81/25/98/385812598.db2.gz RMUUTDRQZOMXDD-ZDUSSCGKSA-N 0 0 287.319 2.995 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC1(C)C ZINC000287275482 385817066 /nfs/dbraw/zinc/81/70/66/385817066.db2.gz SODCGXFMRXIKPN-ZDUSSCGKSA-N 0 0 291.351 2.579 20 5 CFBDRN C[C@@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000288578068 197118830 /nfs/dbraw/zinc/11/88/30/197118830.db2.gz KMTJULLFGAFAGI-NXEZZACHSA-N 0 0 294.355 2.605 20 5 CFBDRN COCC1=CCN(Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000274984410 385906888 /nfs/dbraw/zinc/90/68/88/385906888.db2.gz MTTYTWDYZJIWNC-UHFFFAOYSA-N 0 0 280.299 2.512 20 5 CFBDRN COc1cc(N[C@H]2CCC[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000275003212 385910177 /nfs/dbraw/zinc/91/01/77/385910177.db2.gz GZJUNVWWGDGTQV-PWSUYJOCSA-N 0 0 280.324 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H]2CCO)cc1 ZINC000275024786 385915320 /nfs/dbraw/zinc/91/53/20/385915320.db2.gz MXUQMODGYDLUSF-MFKMUULPSA-N 0 0 250.298 2.558 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@@H]1CCO ZINC000275034161 385917633 /nfs/dbraw/zinc/91/76/33/385917633.db2.gz OVXVJAYQGDFSQL-RISCZKNCSA-N 0 0 294.351 2.704 20 5 CFBDRN COc1cc(N[C@H]2CCC[C@H]2CCO)c(F)cc1[N+](=O)[O-] ZINC000275044745 385921102 /nfs/dbraw/zinc/92/11/02/385921102.db2.gz RISOEHSRWTWENV-ONGXEEELSA-N 0 0 298.314 2.706 20 5 CFBDRN Cc1ccc(N[C@H]2CCC[C@@H]2CCO)c([N+](=O)[O-])c1 ZINC000275068095 385925559 /nfs/dbraw/zinc/92/55/59/385925559.db2.gz YKVHQTRZNJZPIZ-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC[C@@H](CO)C1 ZINC000276536498 385989626 /nfs/dbraw/zinc/98/96/26/385989626.db2.gz WOWJLMMJSQHWEE-SNVBAGLBSA-N 0 0 284.743 2.765 20 5 CFBDRN O=c1c2ccccc2ncn1CCc1ccc([N+](=O)[O-])cc1 ZINC000440081330 285213216 /nfs/dbraw/zinc/21/32/16/285213216.db2.gz SIFRJQBLHDFKIT-UHFFFAOYSA-N 0 0 295.298 2.547 20 5 CFBDRN O=C(c1ocnc1C1CC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000288513302 197100720 /nfs/dbraw/zinc/10/07/20/197100720.db2.gz VGYBOOZHRSWPAR-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN CC(C)[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])C(C)(C)O ZINC000276679849 386012844 /nfs/dbraw/zinc/01/28/44/386012844.db2.gz FTGXBZLRGQNKGQ-JTQLQIEISA-N 0 0 287.747 2.851 20 5 CFBDRN COCC[C@@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276707464 386020541 /nfs/dbraw/zinc/02/05/41/386020541.db2.gz XZJCXLWAHBZREG-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN C[C@H]1CCSCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000276844481 386056681 /nfs/dbraw/zinc/05/66/81/386056681.db2.gz QGIOQRVHRVMVGF-VIFPVBQESA-N 0 0 297.336 2.835 20 5 CFBDRN Nc1ccc(NC[C@@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000288499266 197095098 /nfs/dbraw/zinc/09/50/98/197095098.db2.gz MIDNARLHXZBSDV-VIFPVBQESA-N 0 0 267.354 2.732 20 5 CFBDRN COC1(CC(=O)Nc2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000276731802 386027877 /nfs/dbraw/zinc/02/78/77/386027877.db2.gz UTGNKOYTWCETTF-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN O=C(N[C@H](C1CC1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000288482730 197091728 /nfs/dbraw/zinc/09/17/28/197091728.db2.gz DYGIWLZDEBJCMQ-SNVBAGLBSA-N 0 0 288.225 2.666 20 5 CFBDRN CCOc1cc(CN2CCSCC2)ccc1[N+](=O)[O-] ZINC000276828490 386054631 /nfs/dbraw/zinc/05/46/31/386054631.db2.gz ASFZBRFGTRATQA-UHFFFAOYSA-N 0 0 282.365 2.542 20 5 CFBDRN C[C@H](NC(=O)CC(C)(F)F)c1ccccc1[N+](=O)[O-] ZINC000277007109 386106377 /nfs/dbraw/zinc/10/63/77/386106377.db2.gz KVBWCZWUEMKDGK-QMMMGPOBSA-N 0 0 272.251 2.817 20 5 CFBDRN CCOc1cc(Cn2cnc(C)c2C)ccc1[N+](=O)[O-] ZINC000276890542 386072147 /nfs/dbraw/zinc/07/21/47/386072147.db2.gz KCBYPFUNUOCSMT-UHFFFAOYSA-N 0 0 275.308 2.855 20 5 CFBDRN CCOc1cc(CN2CCO[C@@H](CC)C2)ccc1[N+](=O)[O-] ZINC000276928226 386081815 /nfs/dbraw/zinc/08/18/15/386081815.db2.gz QJTVBDOLFHBFJY-ZDUSSCGKSA-N 0 0 294.351 2.604 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCSC(C)C ZINC000288384896 197064537 /nfs/dbraw/zinc/06/45/37/197064537.db2.gz JEUVHPYTXVPLJE-UHFFFAOYSA-N 0 0 272.374 2.673 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CCCSC1 ZINC000288408296 197069845 /nfs/dbraw/zinc/06/98/45/197069845.db2.gz GNGOOKXWOXXANP-VIFPVBQESA-N 0 0 253.327 2.545 20 5 CFBDRN Cc1nc(CCOc2ccc(N)c([N+](=O)[O-])c2)cs1 ZINC000288403210 197068395 /nfs/dbraw/zinc/06/83/95/197068395.db2.gz BMJXZCQUGPUNAI-UHFFFAOYSA-N 0 0 279.321 2.563 20 5 CFBDRN C/C(=C\C(=O)N(C)CCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000288348667 197056272 /nfs/dbraw/zinc/05/62/72/197056272.db2.gz NORUJWGQRULIPR-VAWYXSNFSA-N 0 0 288.347 2.952 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@@H](C)c1ccccn1 ZINC000288259316 197038134 /nfs/dbraw/zinc/03/81/34/197038134.db2.gz YGRJTEDHMAUROF-JTQLQIEISA-N 0 0 289.339 2.712 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1ccccn1 ZINC000288239598 197034256 /nfs/dbraw/zinc/03/42/56/197034256.db2.gz WWTGPSPKXHFEIQ-VIFPVBQESA-N 0 0 275.312 2.688 20 5 CFBDRN O=c1oc2ccc(OCc3ccccc3[N+](=O)[O-])cc2o1 ZINC000442116268 285228497 /nfs/dbraw/zinc/22/84/97/285228497.db2.gz UYMQFPVKDXFRGQ-UHFFFAOYSA-N 0 0 287.227 2.873 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCc2sccc2C1 ZINC000288203535 197026425 /nfs/dbraw/zinc/02/64/25/197026425.db2.gz SXDIHCOXAFPSJY-UHFFFAOYSA-N 0 0 292.364 2.744 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1S[C@@H](C)[C@H](C)O ZINC000288196460 197024107 /nfs/dbraw/zinc/02/41/07/197024107.db2.gz CGRDXAGYFKLNEX-IUCAKERBSA-N 0 0 299.348 2.551 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000288145926 197014227 /nfs/dbraw/zinc/01/42/27/197014227.db2.gz BSAARKOKSHWNBM-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN CCC(O)(CC)CCNc1ccc(N)cc1[N+](=O)[O-] ZINC000287922960 196969752 /nfs/dbraw/zinc/96/97/52/196969752.db2.gz WSIAPSGPBCKIHD-UHFFFAOYSA-N 0 0 267.329 2.530 20 5 CFBDRN Cc1cn(CCCc2cccc(F)c2)nc1[N+](=O)[O-] ZINC000281292585 195184098 /nfs/dbraw/zinc/18/40/98/195184098.db2.gz YHNPZGBNIXFKAG-UHFFFAOYSA-N 0 0 263.272 2.872 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000287416209 196852932 /nfs/dbraw/zinc/85/29/32/196852932.db2.gz TUEXBGSFFMAXBU-ABAIWWIYSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1ccc(NC(=O)C[C@@H]2CCCC[C@H]2O)cc1[N+](=O)[O-] ZINC000281292810 195184336 /nfs/dbraw/zinc/18/43/36/195184336.db2.gz YCAGPGFTJRPPNH-SMDDNHRTSA-N 0 0 292.335 2.783 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000425412217 533206086 /nfs/dbraw/zinc/20/60/86/533206086.db2.gz FCTIAGXJODXEAF-FOUMNBMASA-N 0 0 289.335 2.754 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N(C)C(C)C)c1 ZINC000281379275 195217728 /nfs/dbraw/zinc/21/77/28/195217728.db2.gz XEACDDOFKONFGS-UHFFFAOYSA-N 0 0 280.324 2.539 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H]2CC[C@H](C)C2)c1 ZINC000429250135 533210794 /nfs/dbraw/zinc/21/07/94/533210794.db2.gz WSJLNRQSEYADTF-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CSC[C@@H](C)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000287242663 196813011 /nfs/dbraw/zinc/81/30/11/196813011.db2.gz JUTIAZNJFUXKGL-ZCFIWIBFSA-N 0 0 284.366 2.763 20 5 CFBDRN Cc1cc(N2CCC[C@H]2c2cc[nH]n2)ccc1[N+](=O)[O-] ZINC000278799553 291935961 /nfs/dbraw/zinc/93/59/61/291935961.db2.gz XZFCDCBPGYSDCD-AWEZNQCLSA-N 0 0 272.308 2.968 20 5 CFBDRN Cc1cc(N2CCOC[C@@H]2CC2CCC2)ncc1[N+](=O)[O-] ZINC000338832029 291937565 /nfs/dbraw/zinc/93/75/65/291937565.db2.gz UIWYFPOSFWCOBU-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN CC[C@@H](COC)NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000094165475 184758512 /nfs/dbraw/zinc/75/85/12/184758512.db2.gz BCBXDQWWSJHJKW-LBPRGKRZSA-N 0 0 294.351 2.675 20 5 CFBDRN C[C@@H](O)CSc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000281520194 195273117 /nfs/dbraw/zinc/27/31/17/195273117.db2.gz UOPHDGJIENRPDG-ZCFIWIBFSA-N 0 0 270.335 2.677 20 5 CFBDRN COC(=O)CC1(COc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000281554038 195285778 /nfs/dbraw/zinc/28/57/78/195285778.db2.gz ZGYZLPOPNLJPEL-UHFFFAOYSA-N 0 0 299.710 2.970 20 5 CFBDRN Cc1cc(OCC(=O)N2CC[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000281875333 195417871 /nfs/dbraw/zinc/41/78/71/195417871.db2.gz ZNZKJMPEROANHI-JQWIXIFHSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@H]1C[C@@H](CCNc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000282279066 195560306 /nfs/dbraw/zinc/56/03/06/195560306.db2.gz UQMYORYIEDFURW-QWRGUYRKSA-N 0 0 279.340 2.794 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000282540522 195640617 /nfs/dbraw/zinc/64/06/17/195640617.db2.gz DEPMHEDZGWSNCQ-MNOVXSKESA-N 0 0 279.292 2.628 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C(C)(C)C ZINC000283699542 195902817 /nfs/dbraw/zinc/90/28/17/195902817.db2.gz VIJVTMHVWTVANU-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN C[C@@H](CNc1cc(Cl)ccc1[N+](=O)[O-])c1nncn1C ZINC000283950708 195949338 /nfs/dbraw/zinc/94/93/38/195949338.db2.gz YHTFXGBIHOSENS-QMMMGPOBSA-N 0 0 295.730 2.592 20 5 CFBDRN CO[C@@H](C)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413262048 533341117 /nfs/dbraw/zinc/34/11/17/533341117.db2.gz UCNYFZFJFDXTSA-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN COc1cccc(C(=O)NC2(C(C)C)CC2)c1[N+](=O)[O-] ZINC000410961846 533404487 /nfs/dbraw/zinc/40/44/87/533404487.db2.gz ADUXTZXBTXAHKN-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cccc(NC[C@@H]2C[C@H](C)O[C@H]2C)c1[N+](=O)[O-] ZINC000413542668 533618628 /nfs/dbraw/zinc/61/86/28/533618628.db2.gz XDCIJICQNSCFKY-DCAQKATOSA-N 0 0 280.324 2.829 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000341740804 130014786 /nfs/dbraw/zinc/01/47/86/130014786.db2.gz IFCVANCTYDWXLT-NXEZZACHSA-N 0 0 277.324 2.684 20 5 CFBDRN Cn1c(C(=O)NC(C)(C)c2ccccc2)ccc1[N+](=O)[O-] ZINC000341852528 130093956 /nfs/dbraw/zinc/09/39/56/130093956.db2.gz KRGTWRRGJGCUQC-UHFFFAOYSA-N 0 0 287.319 2.598 20 5 CFBDRN Cc1cnc(NC[C@@]2(C)CCO[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000342013391 130198357 /nfs/dbraw/zinc/19/83/57/130198357.db2.gz DLOMCDJQPWIQMY-DZGCQCFKSA-N 0 0 291.351 2.915 20 5 CFBDRN CCN(CC1CC1)C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000342076589 130239475 /nfs/dbraw/zinc/23/94/75/130239475.db2.gz APPMXGWBPRWZCF-UHFFFAOYSA-N 0 0 298.289 2.674 20 5 CFBDRN CO[C@H](C)CCNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000342113643 130270336 /nfs/dbraw/zinc/27/03/36/130270336.db2.gz LDIPOOZOOXHXLL-SECBINFHSA-N 0 0 266.297 2.634 20 5 CFBDRN CO[C@@H](C)CCNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000342113645 130270521 /nfs/dbraw/zinc/27/05/21/130270521.db2.gz LDIPOOZOOXHXLL-VIFPVBQESA-N 0 0 266.297 2.634 20 5 CFBDRN COc1cccc(N[C@@H](C)C[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000355411763 130300576 /nfs/dbraw/zinc/30/05/76/130300576.db2.gz KCDWFQVHIYQPOD-QWRGUYRKSA-N 0 0 280.324 2.973 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CC(=O)c2ccccc21 ZINC000355464964 130306998 /nfs/dbraw/zinc/30/69/98/130306998.db2.gz BZCFEISKSVAUEU-CQSZACIVSA-N 0 0 296.282 2.904 20 5 CFBDRN CS[C@H](C)Cc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355677751 130332354 /nfs/dbraw/zinc/33/23/54/130332354.db2.gz RNIXJXFNJNGKQN-SECBINFHSA-N 0 0 293.348 2.863 20 5 CFBDRN Cc1occc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000355928602 130354899 /nfs/dbraw/zinc/35/48/99/130354899.db2.gz UQAMNVBOLPDAFB-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN CSCCCC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000355971249 130363218 /nfs/dbraw/zinc/36/32/18/130363218.db2.gz XQLZAWSZUGLEAM-UHFFFAOYSA-N 0 0 296.392 2.739 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])[C@@H]1Cc2ccccc21 ZINC000356004012 130367137 /nfs/dbraw/zinc/36/71/37/130367137.db2.gz BIDJLWQZHFXGPF-CYBMUJFWSA-N 0 0 283.283 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2nccc(C(F)F)n2)cc1 ZINC000342254390 130376452 /nfs/dbraw/zinc/37/64/52/130376452.db2.gz WUMJRNBPUVGPIQ-UHFFFAOYSA-N 0 0 294.261 2.612 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000356559333 130389896 /nfs/dbraw/zinc/38/98/96/130389896.db2.gz GNAWJYRZFCUWBX-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N[C@H]1CC=CCC1 ZINC000342293745 130421752 /nfs/dbraw/zinc/42/17/52/130421752.db2.gz XWVKZVXWWYHGJC-VIFPVBQESA-N 0 0 296.273 2.640 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@@H](Cc2ccccc2)C1 ZINC000357234253 130424246 /nfs/dbraw/zinc/42/42/46/130424246.db2.gz NTEODCWBBKZVJO-ZDUSSCGKSA-N 0 0 299.330 2.628 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@]1(C)CCCOC1 ZINC000357412670 130464670 /nfs/dbraw/zinc/46/46/70/130464670.db2.gz WZFYRLFOIGBQIY-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN COC1(c2noc(-c3cc(F)cc([N+](=O)[O-])c3)n2)CCC1 ZINC000357545584 130491706 /nfs/dbraw/zinc/49/17/06/130491706.db2.gz IAVULACBYSZCBE-UHFFFAOYSA-N 0 0 293.254 2.810 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1ccc(C)n(C)c1=O ZINC000357687689 130499267 /nfs/dbraw/zinc/49/92/67/130499267.db2.gz IPFBMNPITXCQIZ-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cccc2c1CCO2 ZINC000357695154 130500307 /nfs/dbraw/zinc/50/03/07/130500307.db2.gz ISYIHNTYUYGSHJ-UHFFFAOYSA-N 0 0 298.298 2.711 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CC[C@@H](CC2CC2)C1 ZINC000359674322 130529123 /nfs/dbraw/zinc/52/91/23/130529123.db2.gz AYDKMIZEFMJWHR-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN CCC[C@H]1C[C@@H]1C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000360040058 130580671 /nfs/dbraw/zinc/58/06/71/130580671.db2.gz WPPSWBMMPYVTSF-RYUDHWBXSA-N 0 0 292.335 2.656 20 5 CFBDRN COCCC1(CNc2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000225364480 130810620 /nfs/dbraw/zinc/81/06/20/130810620.db2.gz XUANZJURWRZBEE-UHFFFAOYSA-N 0 0 296.352 2.533 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC[C@@H]2CCSC2)c1F ZINC000228561557 130869002 /nfs/dbraw/zinc/86/90/02/130869002.db2.gz KFHMWGAMIKOVRM-VIFPVBQESA-N 0 0 298.339 2.525 20 5 CFBDRN Cc1cccc(N[C@@H](C)Cn2ccnc2)c1[N+](=O)[O-] ZINC000235156665 130947619 /nfs/dbraw/zinc/94/76/19/130947619.db2.gz SHOSNXWUKRXWFQ-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN C[C@H]1CCSCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000190044668 300026541 /nfs/dbraw/zinc/02/65/41/300026541.db2.gz VUAJEENYURKBIF-QMMMGPOBSA-N 0 0 286.378 2.624 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1csc([N+](=O)[O-])c1 ZINC000298743366 393457575 /nfs/dbraw/zinc/45/75/75/393457575.db2.gz FHAPLKUZORXNCN-MWLCHTKSSA-N 0 0 270.354 2.656 20 5 CFBDRN C[C@@H]1C[C@@H](c2cccs2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000373393643 393467109 /nfs/dbraw/zinc/46/71/09/393467109.db2.gz PQUBAGKOTAFORZ-NXEZZACHSA-N 0 0 290.348 2.829 20 5 CFBDRN Cc1ccc([C@H](C)Nc2ncccn2)cc1[N+](=O)[O-] ZINC000301503159 393473704 /nfs/dbraw/zinc/47/37/04/393473704.db2.gz BPGHRVVLVHVMPW-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN CCOc1cccc(N2CCC[C@H]([C@@H](C)O)C2)c1[N+](=O)[O-] ZINC000302136190 393490483 /nfs/dbraw/zinc/49/04/83/393490483.db2.gz DUIOEWMSNXUSCK-NEPJUHHUSA-N 0 0 294.351 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CN(C)Cc3ccccc32)n1 ZINC000378272946 393544909 /nfs/dbraw/zinc/54/49/09/393544909.db2.gz QCRBGEWBTUNUBB-CQSZACIVSA-N 0 0 298.346 2.897 20 5 CFBDRN Cc1cncn1C1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000376742250 393524606 /nfs/dbraw/zinc/52/46/06/393524606.db2.gz MZKCOVMAJLTVLB-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN Cc1c([C@H](C)NCc2csc([N+](=O)[O-])c2)cnn1C ZINC000037563141 393533212 /nfs/dbraw/zinc/53/32/12/393533212.db2.gz RFNPVLHIYBKJOL-QMMMGPOBSA-N 0 0 280.353 2.549 20 5 CFBDRN C[C@H]1CCSCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000189984752 300027854 /nfs/dbraw/zinc/02/78/54/300027854.db2.gz VVBBGVIJAYJXRU-QMMMGPOBSA-N 0 0 286.378 2.624 20 5 CFBDRN Cc1cccc(C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000046147914 393576215 /nfs/dbraw/zinc/57/62/15/393576215.db2.gz WHQPOPLNDYWKSH-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN Cc1sc(C(=O)NCc2cccc(C)n2)cc1[N+](=O)[O-] ZINC000048803683 393602584 /nfs/dbraw/zinc/60/25/84/393602584.db2.gz FLDNEPUXEHYTLN-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCCC[C@H]2CO)c1 ZINC000048857610 393605829 /nfs/dbraw/zinc/60/58/29/393605829.db2.gz BTPOZUHFKYMIDH-LBPRGKRZSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC2(CC2)C1 ZINC000334527976 393606218 /nfs/dbraw/zinc/60/62/18/393606218.db2.gz ZDOMOJYVBCVMBI-UHFFFAOYSA-N 0 0 274.320 2.756 20 5 CFBDRN CCC(C)(C)NC(=O)COc1cccc([N+](=O)[O-])c1C ZINC000047355484 393586633 /nfs/dbraw/zinc/58/66/33/393586633.db2.gz QHNGVMWXAJNLHW-UHFFFAOYSA-N 0 0 280.324 2.587 20 5 CFBDRN CCc1cc(NC(=O)Nc2cccc([N+](=O)[O-])c2C)n[nH]1 ZINC000192200597 393617786 /nfs/dbraw/zinc/61/77/86/393617786.db2.gz ABXLMJOUCUKAKR-UHFFFAOYSA-N 0 0 289.295 2.833 20 5 CFBDRN Cc1cnc(N2CC[C@@H](C3CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000407989269 393623415 /nfs/dbraw/zinc/62/34/15/393623415.db2.gz GTNKQHMGPXUCDD-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN CCCCNC(=O)CNc1cc([N+](=O)[O-])c(C)cc1C ZINC000192572336 393625844 /nfs/dbraw/zinc/62/58/44/393625844.db2.gz IDUBSSIKGWKKFX-UHFFFAOYSA-N 0 0 279.340 2.540 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CC[C@@H](F)C1 ZINC000334917505 393627490 /nfs/dbraw/zinc/62/74/90/393627490.db2.gz KNSDADORCMPAFC-SECBINFHSA-N 0 0 286.690 2.741 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1C[C@](C)(OC)C1(C)C ZINC000192640557 393628912 /nfs/dbraw/zinc/62/89/12/393628912.db2.gz PZEWGAFXLBLNGF-HZMBPMFUSA-N 0 0 296.371 2.735 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@H]1CC12CC2 ZINC000335107391 393643016 /nfs/dbraw/zinc/64/30/16/393643016.db2.gz DIIOIGSHFBAVMV-JTQLQIEISA-N 0 0 280.711 2.839 20 5 CFBDRN CCNc1ccc(C(=O)NCC[C@@H](C)F)cc1[N+](=O)[O-] ZINC000410966705 393646920 /nfs/dbraw/zinc/64/69/20/393646920.db2.gz JRVXMWXJTATUOI-SECBINFHSA-N 0 0 283.303 2.505 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000411082190 393662048 /nfs/dbraw/zinc/66/20/48/393662048.db2.gz FPOYRKQCBHCXAL-LLVKDONJSA-N 0 0 292.335 2.763 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])s1 ZINC000335417872 393666847 /nfs/dbraw/zinc/66/68/47/393666847.db2.gz LUZMBRKVTHVXMX-SFYZADRCSA-N 0 0 272.301 2.667 20 5 CFBDRN COc1cccc(C(=O)Nc2ccc(C)cn2)c1[N+](=O)[O-] ZINC000193870465 393667103 /nfs/dbraw/zinc/66/71/03/393667103.db2.gz BMXAYRLAZWZMRP-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=c1[nH]c(Cc2ccc([N+](=O)[O-])cc2)nc2cc(F)ccc12 ZINC000193919578 393667931 /nfs/dbraw/zinc/66/79/31/393667931.db2.gz ZYUPRXSUOBWPHC-UHFFFAOYSA-N 0 0 299.261 2.561 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000193969896 393669290 /nfs/dbraw/zinc/66/92/90/393669290.db2.gz XUVMNVSAXZSVAT-GHMZBOCLSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1c(C(=O)NC[C@H]2Cc3ccccc32)cccc1[N+](=O)[O-] ZINC000194052891 393674470 /nfs/dbraw/zinc/67/44/70/393674470.db2.gz OMPRTAIDNVUYAC-CYBMUJFWSA-N 0 0 296.326 2.973 20 5 CFBDRN CC(=O)c1ccccc1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000195527707 393742433 /nfs/dbraw/zinc/74/24/33/393742433.db2.gz KIRJUBVGNRDPMC-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000083795594 393745321 /nfs/dbraw/zinc/74/53/21/393745321.db2.gz LPLPTTNEWXJBQV-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN CC[C@H](C)COc1ccc([N+](=O)[O-])c(C(=O)OC)c1 ZINC000088500415 393762490 /nfs/dbraw/zinc/76/24/90/393762490.db2.gz LSFRXYZUCSDILO-VIFPVBQESA-N 0 0 267.281 2.806 20 5 CFBDRN Cc1csc(NC(=O)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000087024353 393755965 /nfs/dbraw/zinc/75/59/65/393755965.db2.gz UWNSSTNTCDKEEB-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN O=C(NCCOC1CCCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000194242599 393685493 /nfs/dbraw/zinc/68/54/93/393685493.db2.gz LPSTVYGNJQDSTH-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN CC[C@@H](CCO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000077232083 393695155 /nfs/dbraw/zinc/69/51/55/393695155.db2.gz KUFTZKIAHHQSOT-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1cnccc1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087322193 393758814 /nfs/dbraw/zinc/75/88/14/393758814.db2.gz XXUVOBCTMUNMDM-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN COc1cccc(C(=O)N2CCC[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000194452248 393697011 /nfs/dbraw/zinc/69/70/11/393697011.db2.gz DYVWEYCPFZKBGA-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)[C@H]1C ZINC000335914531 393707550 /nfs/dbraw/zinc/70/75/50/393707550.db2.gz FMFOASMWOZYYRP-UWVGGRQHSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000335965886 393711771 /nfs/dbraw/zinc/71/17/71/393711771.db2.gz GWYVPHLUCBJCGM-WDEREUQCSA-N 0 0 279.340 2.584 20 5 CFBDRN CC(C)N(C)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000078728447 393712102 /nfs/dbraw/zinc/71/21/02/393712102.db2.gz VMEIULOXEPFALX-UHFFFAOYSA-N 0 0 256.689 2.729 20 5 CFBDRN COC(=O)[C@H](C)CSCc1ccccc1[N+](=O)[O-] ZINC000078829422 393713169 /nfs/dbraw/zinc/71/31/69/393713169.db2.gz AJJOXZSRYKJMLB-SECBINFHSA-N 0 0 269.322 2.637 20 5 CFBDRN CC(C)(C)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088232060 393760444 /nfs/dbraw/zinc/76/04/44/393760444.db2.gz TXCZPMMYDLBSRR-UHFFFAOYSA-N 0 0 262.309 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1nccs1 ZINC000079625507 393720126 /nfs/dbraw/zinc/72/01/26/393720126.db2.gz VWMICIDPLMGFTD-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccncc2Cl)nc1C1CC1 ZINC000195044740 393729648 /nfs/dbraw/zinc/72/96/48/393729648.db2.gz JOMJWIMDDUITBB-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN CCCC[C@@H](NCc1cccc([N+](=O)[O-])c1C)C(=O)OC ZINC000233025528 393782879 /nfs/dbraw/zinc/78/28/79/393782879.db2.gz UQBMWXVWCNNKQN-CYBMUJFWSA-N 0 0 294.351 2.725 20 5 CFBDRN COC(=O)[C@]1(C)CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000413591382 393786115 /nfs/dbraw/zinc/78/61/15/393786115.db2.gz KWGAYFXUELOCNE-CQSZACIVSA-N 0 0 296.298 2.513 20 5 CFBDRN Cc1cc(Cc2noc([C@]3(C)C[C@@H]3F)n2)ccc1[N+](=O)[O-] ZINC000424352785 393839648 /nfs/dbraw/zinc/83/96/48/393839648.db2.gz ZGDKXYZIRTZDSB-SMDDNHRTSA-N 0 0 291.282 2.877 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](CC2CC2)C1 ZINC000338808188 393801490 /nfs/dbraw/zinc/80/14/90/393801490.db2.gz GYHKEFHPMKCJOE-GFCCVEGCSA-N 0 0 278.356 2.746 20 5 CFBDRN Cc1ccc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])nc1C ZINC000457978233 393814945 /nfs/dbraw/zinc/81/49/45/393814945.db2.gz CLQRSECRNRTIJE-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000457988207 393818053 /nfs/dbraw/zinc/81/80/53/393818053.db2.gz IPJYSEMIGCYIGX-BXUZGUMPSA-N 0 0 292.335 2.524 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000458020003 393828341 /nfs/dbraw/zinc/82/83/41/393828341.db2.gz RMZGTDHEIUERFX-ZYHUDNBSSA-N 0 0 262.309 2.759 20 5 CFBDRN Cc1ccc(-c2noc([C@@]3(C)C[C@@H]3F)n2)cc1[N+](=O)[O-] ZINC000424331944 393832360 /nfs/dbraw/zinc/83/23/60/393832360.db2.gz BKUZQCFBLGCFTA-GWCFXTLKSA-N 0 0 277.255 2.953 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@H]1C ZINC000416073191 393863615 /nfs/dbraw/zinc/86/36/15/393863615.db2.gz NHZBZFRLCBHQHL-BBBLOLIVSA-N 0 0 280.299 2.850 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000416073192 393863744 /nfs/dbraw/zinc/86/37/44/393863744.db2.gz NHZBZFRLCBHQHL-KXUCPTDWSA-N 0 0 280.299 2.850 20 5 CFBDRN CSc1ccc(C(=O)OC(C)C)cc1[N+](=O)[O-] ZINC000105661581 393864880 /nfs/dbraw/zinc/86/48/80/393864880.db2.gz GVDKKVJZBDGVTM-UHFFFAOYSA-N 0 0 255.295 2.882 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)C2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000458188255 393867263 /nfs/dbraw/zinc/86/72/63/393867263.db2.gz PBGRIJSEXZCRKO-UHFFFAOYSA-N 0 0 294.355 2.702 20 5 CFBDRN CN(C(=O)Cc1ccccc1[N+](=O)[O-])C1CC(C)(C)C1 ZINC000458199347 393869762 /nfs/dbraw/zinc/86/97/62/393869762.db2.gz FEJOFKBCMVDYOP-UHFFFAOYSA-N 0 0 276.336 2.784 20 5 CFBDRN COc1c(C(=O)N2C[C@H](C)[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000416119270 393874989 /nfs/dbraw/zinc/87/49/89/393874989.db2.gz SERBLUGVJZMGMZ-GARJFASQSA-N 0 0 292.335 2.720 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000110568178 393881458 /nfs/dbraw/zinc/88/14/58/393881458.db2.gz YGWNXPAEZLBQOP-LKFCYVNXSA-N 0 0 286.287 2.851 20 5 CFBDRN CN1CCN(C(=O)c2ccccc2[N+](=O)[O-])c2ccccc21 ZINC000110475652 393881550 /nfs/dbraw/zinc/88/15/50/393881550.db2.gz KKWKPUVEVCNDCW-UHFFFAOYSA-N 0 0 297.314 2.691 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)CNc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000416326149 393904824 /nfs/dbraw/zinc/90/48/24/393904824.db2.gz ZORNFDQVXHSYPT-IJLUTSLNSA-N 0 0 291.351 2.510 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)CNc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000416326151 393905161 /nfs/dbraw/zinc/90/51/61/393905161.db2.gz ZORNFDQVXHSYPT-UTUOFQBUSA-N 0 0 291.351 2.510 20 5 CFBDRN Cc1c(-c2noc([C@@]3(C)C[C@H]3F)n2)cccc1[N+](=O)[O-] ZINC000424362176 393840426 /nfs/dbraw/zinc/84/04/26/393840426.db2.gz NFHHWWKEKYJHKM-MFKMUULPSA-N 0 0 277.255 2.953 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2C(C)C)c1 ZINC000458117516 393850426 /nfs/dbraw/zinc/85/04/26/393850426.db2.gz SDSDKFPJRKDFCP-CMPLNLGQSA-N 0 0 262.309 2.678 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000458119176 393851443 /nfs/dbraw/zinc/85/14/43/393851443.db2.gz CIEDXMFUFZKGPT-WDEREUQCSA-N 0 0 294.376 2.848 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2C[C@@]2(C)C(C)C)cc1[N+](=O)[O-] ZINC000458125612 393853952 /nfs/dbraw/zinc/85/39/52/393853952.db2.gz CAHODTKBGVITHO-HIFRSBDPSA-N 0 0 292.335 2.768 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000458137756 393856274 /nfs/dbraw/zinc/85/62/74/393856274.db2.gz ZJCCQKUBGAOTHI-NWDGAFQWSA-N 0 0 262.309 2.678 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H]2C[C@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000458141873 393857760 /nfs/dbraw/zinc/85/77/60/393857760.db2.gz SMCINXBNRDCCDB-LKFCYVNXSA-N 0 0 294.355 2.606 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000246305754 393859855 /nfs/dbraw/zinc/85/98/55/393859855.db2.gz FRZNCXYXFMFZTQ-GMTAPVOTSA-N 0 0 278.308 2.981 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000458151567 393860007 /nfs/dbraw/zinc/86/00/07/393860007.db2.gz QRGQJFJUMZOFPA-GXTWGEPZSA-N 0 0 262.309 2.759 20 5 CFBDRN CN(CC[NH+]1CCCC1)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119112977 393914882 /nfs/dbraw/zinc/91/48/82/393914882.db2.gz TWQJXTHGECMWMK-UHFFFAOYSA-N 0 0 297.786 2.776 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2ccc([O-])c([N+](=O)[O-])c2)c1 ZINC000119380889 393918180 /nfs/dbraw/zinc/91/81/80/393918180.db2.gz BYBOIUQKGJUROC-UHFFFAOYSA-N 0 0 288.259 2.567 20 5 CFBDRN CO[C@@H](C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000472325449 393930007 /nfs/dbraw/zinc/93/00/07/393930007.db2.gz KSEWUSCCODLCHU-BXUZGUMPSA-N 0 0 294.351 2.751 20 5 CFBDRN C[C@@H]1CCC[C@H](CO)N1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119497738 393920424 /nfs/dbraw/zinc/92/04/24/393920424.db2.gz MIFMGGYGCARDRE-GHMZBOCLSA-N 0 0 298.770 2.984 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC(CF)C1 ZINC000413499089 296496917 /nfs/dbraw/zinc/49/69/17/296496917.db2.gz HWHQXPRRUFKMMW-UHFFFAOYSA-N 0 0 261.256 2.549 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2c([N+](=O)[O-])ncn2C)C1(CC)CC ZINC000487646145 394017249 /nfs/dbraw/zinc/01/72/49/394017249.db2.gz MSPQHKKZOZZZOM-GHMZBOCLSA-N 0 0 296.371 2.724 20 5 CFBDRN Cc1cnc(N[C@@H](C)Cc2ccncc2)c([N+](=O)[O-])c1 ZINC000487659234 394017766 /nfs/dbraw/zinc/01/77/66/394017766.db2.gz BFJXTOVNONZLOT-NSHDSACASA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N(C)Cc1ccnn1C ZINC000348398985 393953481 /nfs/dbraw/zinc/95/34/81/393953481.db2.gz INAAXOPPGOWFHU-LBPRGKRZSA-N 0 0 288.351 2.830 20 5 CFBDRN CCCc1nc(CN[C@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000271704115 394022576 /nfs/dbraw/zinc/02/25/76/394022576.db2.gz HWPJDUCCFYMAPE-SNVBAGLBSA-N 0 0 290.323 2.781 20 5 CFBDRN COCC(C)(C)[N@H+](C)C/C=C\c1ccccc1[N+](=O)[O-] ZINC000430142992 393961993 /nfs/dbraw/zinc/96/19/93/393961993.db2.gz DINMFIJOQHCIQO-CLFYSBASSA-N 0 0 278.352 2.965 20 5 CFBDRN CCC(C)(C)CNC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000477125939 393964620 /nfs/dbraw/zinc/96/46/20/393964620.db2.gz YKAWFXLXTJNXLQ-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN[C@H](C)c1ccccn1 ZINC000430209025 393967942 /nfs/dbraw/zinc/96/79/42/393967942.db2.gz WIRBDAIANORORU-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN[C@@H](C)c1cccnc1 ZINC000430203832 393968297 /nfs/dbraw/zinc/96/82/97/393968297.db2.gz JIVRZFGYWRPRMO-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)Cc1ccco1 ZINC000430215203 393969014 /nfs/dbraw/zinc/96/90/14/393969014.db2.gz CDCSXDSYXMZONZ-UHFFFAOYSA-N 0 0 276.292 2.828 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC23CCCC3)ccc1[N+](=O)[O-] ZINC000430212777 393969062 /nfs/dbraw/zinc/96/90/62/393969062.db2.gz PUWFSTLUTKMJRG-CYBMUJFWSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(N[C@@H]1CC12CCCC2)c1ccc([N+](=O)[O-])cc1 ZINC000430223039 393969814 /nfs/dbraw/zinc/96/98/14/393969814.db2.gz WUKFOOCNOJCIMB-GFCCVEGCSA-N 0 0 260.293 2.657 20 5 CFBDRN CCC1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2OC)CC1 ZINC000123159872 393977446 /nfs/dbraw/zinc/97/74/46/393977446.db2.gz TVSRMKRNDHWEHZ-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN CC(C)(C)[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000487076880 393982714 /nfs/dbraw/zinc/98/27/14/393982714.db2.gz SWTAWTOMBRIREV-CMPLNLGQSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+]C(C)(C)C[C@H](C)C(=O)[O-] ZINC000417638422 393988236 /nfs/dbraw/zinc/98/82/36/393988236.db2.gz PFCUIHIEDSUCED-NSHDSACASA-N 0 0 294.351 2.882 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430587733 393996949 /nfs/dbraw/zinc/99/69/49/393996949.db2.gz XLFMHGDYCNNIJX-LLVKDONJSA-N 0 0 294.351 2.787 20 5 CFBDRN CSCCCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000126357470 394026083 /nfs/dbraw/zinc/02/60/83/394026083.db2.gz KVBCRLKGBNYAKV-UHFFFAOYSA-N 0 0 274.367 2.529 20 5 CFBDRN CCCN(CCC)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269325935 394003686 /nfs/dbraw/zinc/00/36/86/394003686.db2.gz KVBXERBWSYRTGJ-UHFFFAOYSA-N 0 0 282.344 2.704 20 5 CFBDRN C[C@@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000487867862 394026530 /nfs/dbraw/zinc/02/65/30/394026530.db2.gz IFLQORKRAFKBMN-SNVBAGLBSA-N 0 0 291.351 2.928 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccccc2C(F)(F)F)cn1 ZINC000125517287 394008711 /nfs/dbraw/zinc/00/87/11/394008711.db2.gz GZOHEXAHKCXSQN-UHFFFAOYSA-N 0 0 271.198 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CO)CC2)cc1C(F)(F)F ZINC000125696501 394011389 /nfs/dbraw/zinc/01/13/89/394011389.db2.gz VMNPMJYGKDOJAS-UHFFFAOYSA-N 0 0 276.214 2.550 20 5 CFBDRN NC(=O)c1cccc(NCCC2=CCCCC2)c1[N+](=O)[O-] ZINC000439128942 394100566 /nfs/dbraw/zinc/10/05/66/394100566.db2.gz IKJMOVIJFMZONA-UHFFFAOYSA-N 0 0 289.335 2.996 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC[C@H](O)C1 ZINC000276396466 394049484 /nfs/dbraw/zinc/04/94/84/394049484.db2.gz FWIKYEBSZXPOCF-JTQLQIEISA-N 0 0 270.716 2.518 20 5 CFBDRN CC(C)=CCCNC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000276610542 394050781 /nfs/dbraw/zinc/05/07/81/394050781.db2.gz IOMIZKUZSGYVKO-UHFFFAOYSA-N 0 0 296.298 2.585 20 5 CFBDRN Cc1cc(C(=O)NC2C[C@@H](C)O[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000127720170 394054603 /nfs/dbraw/zinc/05/46/03/394054603.db2.gz JPGMXPZXKBTICF-GHMZBOCLSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1nnc(CSCc2ccccc2[N+](=O)[O-])o1 ZINC000419586389 394056470 /nfs/dbraw/zinc/05/64/70/394056470.db2.gz MVYMEKAJHFUECW-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN Cc1c(CN2C[C@@H](C)O[C@@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000279372218 394066666 /nfs/dbraw/zinc/06/66/66/394066666.db2.gz RZNGWJKZVFBCJI-BDJLRTHQSA-N 0 0 290.363 2.903 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)Cc2ccoc2)cc1[N+](=O)[O-] ZINC000128403439 394068801 /nfs/dbraw/zinc/06/88/01/394068801.db2.gz INVSRKCODNAZHY-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ncc([N+](=O)[O-])cc2C)CC1 ZINC000280322620 394072246 /nfs/dbraw/zinc/07/22/46/394072246.db2.gz TYPVAEAAXYEXLO-AWEZNQCLSA-N 0 0 279.340 2.694 20 5 CFBDRN CO[C@@]1(C)CCCN(c2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000280335997 394072335 /nfs/dbraw/zinc/07/23/35/394072335.db2.gz VDWHLNADFOAYFK-AWEZNQCLSA-N 0 0 279.340 2.694 20 5 CFBDRN CCc1ccnc(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000437750161 394073023 /nfs/dbraw/zinc/07/30/23/394073023.db2.gz UCAOJSSBLQGICB-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN O=C(Nc1cccc(O)c1F)c1cccc([N+](=O)[O-])c1 ZINC000128948136 394079197 /nfs/dbraw/zinc/07/91/97/394079197.db2.gz BYGHNXHHBZJXAJ-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN Cc1cn(Cc2coc(-c3cccs3)n2)nc1[N+](=O)[O-] ZINC000439192174 394103926 /nfs/dbraw/zinc/10/39/26/394103926.db2.gz OOZKWFOFFXZNLI-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@@H]1CCCSC1 ZINC000492845056 394081160 /nfs/dbraw/zinc/08/11/60/394081160.db2.gz YVXBCMQTSUZMML-ZHRWSRJISA-N 0 0 292.360 2.620 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC1(C(F)F)CC1 ZINC000492854247 394082640 /nfs/dbraw/zinc/08/26/40/394082640.db2.gz QCWODAIXWCUDNL-ZZXKWVIFSA-N 0 0 282.246 2.522 20 5 CFBDRN Cc1c(Cc2noc(C3CC3)n2)cccc1[N+](=O)[O-] ZINC000357317590 394086338 /nfs/dbraw/zinc/08/63/38/394086338.db2.gz QNBKLYOVUFIXQR-UHFFFAOYSA-N 0 0 259.265 2.754 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492878826 394087387 /nfs/dbraw/zinc/08/73/87/394087387.db2.gz ANZNMUZSBBKZOI-UDNGQWLYSA-N 0 0 260.293 2.523 20 5 CFBDRN CC1=CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000281910971 394091895 /nfs/dbraw/zinc/09/18/95/394091895.db2.gz YEGFXUBGQQCCRT-UHFFFAOYSA-N 0 0 294.738 2.969 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC1(C(F)F)CC1 ZINC000492897465 394092069 /nfs/dbraw/zinc/09/20/69/394092069.db2.gz MAKZAPYTIVBTGD-PLNGDYQASA-N 0 0 282.246 2.522 20 5 CFBDRN CCCN(C(=O)Cc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000281918572 394093955 /nfs/dbraw/zinc/09/39/55/394093955.db2.gz SPHJMXDTASQGHM-UHFFFAOYSA-N 0 0 299.330 2.976 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)/C=C\C1CC1 ZINC000492905040 394094609 /nfs/dbraw/zinc/09/46/09/394094609.db2.gz ZNZLWEJEZNCEID-FPLPWBNLSA-N 0 0 274.320 2.562 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1C(F)F ZINC000492903365 394094658 /nfs/dbraw/zinc/09/46/58/394094658.db2.gz ZSXDPZKWIOXMRC-NNNHXZLVSA-N 0 0 296.273 2.864 20 5 CFBDRN Cc1cc(OCC(=O)N(C(C)C)C2CC2)ccc1[N+](=O)[O-] ZINC000281983140 394105115 /nfs/dbraw/zinc/10/51/15/394105115.db2.gz MQOWDRSAXXIHDA-UHFFFAOYSA-N 0 0 292.335 2.681 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000281931889 394096874 /nfs/dbraw/zinc/09/68/74/394096874.db2.gz WQYSEHGYRSQSEB-OPQQBVKSSA-N 0 0 277.324 2.754 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)CC ZINC000281947302 394099309 /nfs/dbraw/zinc/09/93/09/394099309.db2.gz LXPHOQRYLWTWLQ-VIFPVBQESA-N 0 0 281.312 2.914 20 5 CFBDRN Cc1nc(Cn2cc([N+](=O)[O-])c(=O)c3ccccc32)oc1C ZINC000487929759 394031098 /nfs/dbraw/zinc/03/10/98/394031098.db2.gz LMKGWPDDPSRNCE-UHFFFAOYSA-N 0 0 299.286 2.563 20 5 CFBDRN Cc1cnc(N2CC[C@@H](C(C)(C)C)[C@H](O)C2)c([N+](=O)[O-])c1 ZINC000273196191 394033826 /nfs/dbraw/zinc/03/38/26/394033826.db2.gz DKDOQJKBZUCAPF-DGCLKSJQSA-N 0 0 293.367 2.532 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1C[C@@H](C)O[C@H](C)C1 ZINC000126788573 394036111 /nfs/dbraw/zinc/03/61/11/394036111.db2.gz ANGGOESSSOEZSN-GHMZBOCLSA-N 0 0 292.335 2.589 20 5 CFBDRN CCOc1cccc(N2CCC(C)(CO)CC2)c1[N+](=O)[O-] ZINC000273846903 394036245 /nfs/dbraw/zinc/03/62/45/394036245.db2.gz JCTLEQDOZXYHLX-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN Cc1cc(OC[C@](C)(O)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000281986864 394106222 /nfs/dbraw/zinc/10/62/22/394106222.db2.gz VKNFHOVERJZSNX-JTQLQIEISA-N 0 0 297.204 2.734 20 5 CFBDRN CCCCOC1CCN(c2ccc([N+](=O)[O-])nc2)CC1 ZINC000439354445 394113148 /nfs/dbraw/zinc/11/31/48/394113148.db2.gz HPQPTJXAQSZFGR-UHFFFAOYSA-N 0 0 279.340 2.775 20 5 CFBDRN CCC(=O)c1ccc(NCC[NH+]2CCCC2)c([N+](=O)[O-])c1 ZINC000358947764 394116381 /nfs/dbraw/zinc/11/63/81/394116381.db2.gz IUMTXQZFELAVBO-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN Cc1ccc(N(C)C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)nc1 ZINC000492999946 394121687 /nfs/dbraw/zinc/12/16/87/394121687.db2.gz VURHMRQRWQATOP-UXBLZVDNSA-N 0 0 297.314 2.974 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC[C@@H]1CC12CC2 ZINC000493015427 394124266 /nfs/dbraw/zinc/12/42/66/394124266.db2.gz FTWXEVMGOLBISR-ITKZLYELSA-N 0 0 272.304 2.524 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@@H](C)C[C@H](C)O)cc1[N+](=O)[O-] ZINC000439744657 394129525 /nfs/dbraw/zinc/12/95/25/394129525.db2.gz LSJKKMBKTIQWTQ-QWRGUYRKSA-N 0 0 294.351 2.607 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1nn(C)cc1Cl ZINC000421207566 394180822 /nfs/dbraw/zinc/18/08/22/394180822.db2.gz CGMGEEYIIXDJRF-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@](C)(CC)C1 ZINC000359536585 394136895 /nfs/dbraw/zinc/13/68/95/394136895.db2.gz XLGZECADRXSUCG-ZDUSSCGKSA-N 0 0 266.345 2.746 20 5 CFBDRN Cc1ccc(OCc2noc(C(C)C)n2)cc1[N+](=O)[O-] ZINC000131794251 394141158 /nfs/dbraw/zinc/14/11/58/394141158.db2.gz DVWOVUJQWDMJDZ-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000131843272 394142260 /nfs/dbraw/zinc/14/22/60/394142260.db2.gz BYQMXWSXNPOPCW-NXEZZACHSA-N 0 0 280.299 2.852 20 5 CFBDRN CCOC1CC(CN2CCc3ccc([N+](=O)[O-])cc32)C1 ZINC000285807634 394149071 /nfs/dbraw/zinc/14/90/71/394149071.db2.gz ZDFCJTSHHUMXBM-UHFFFAOYSA-N 0 0 276.336 2.772 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000132543765 394157568 /nfs/dbraw/zinc/15/75/68/394157568.db2.gz NQWKYMXAIQHMLQ-NXEZZACHSA-N 0 0 271.704 2.623 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2nc(C)ccc2[N+](=O)[O-])C1(C)C ZINC000360869610 394160134 /nfs/dbraw/zinc/16/01/34/394160134.db2.gz DZZZJAWPJRGZNA-FZMZJTMJSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1cncc(/C=C/C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000132681710 394161247 /nfs/dbraw/zinc/16/12/47/394161247.db2.gz LMIRAEKRTSTRGI-ZZXKWVIFSA-N 0 0 298.302 2.654 20 5 CFBDRN CCc1noc(C)c1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000132882448 394165273 /nfs/dbraw/zinc/16/52/73/394165273.db2.gz QJKPWFFIWWTMIF-UHFFFAOYSA-N 0 0 289.291 2.635 20 5 CFBDRN CC[C@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000421099577 394174125 /nfs/dbraw/zinc/17/41/25/394174125.db2.gz PDWCODXAOAZMBC-VIFPVBQESA-N 0 0 281.312 2.771 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000421102256 394174175 /nfs/dbraw/zinc/17/41/75/394174175.db2.gz VRCGQMVPXSLACJ-DGCLKSJQSA-N 0 0 293.323 2.674 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)NCCc1ccc([N+](=O)[O-])cc1 ZINC000448772340 394183474 /nfs/dbraw/zinc/18/34/74/394183474.db2.gz COAHSFRHSSIWEJ-IPYPFGDCSA-N 0 0 288.347 2.690 20 5 CFBDRN CCCO[C@@H]1CCCN(c2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000287205727 394203528 /nfs/dbraw/zinc/20/35/28/394203528.db2.gz IEJABJRJKHDLTJ-CYBMUJFWSA-N 0 0 293.367 2.963 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCn2cncc2C1 ZINC000290792248 394234297 /nfs/dbraw/zinc/23/42/97/394234297.db2.gz XRAMYPUHRZOSID-UHFFFAOYSA-N 0 0 292.726 2.773 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H]([C@H]2CCOC2)C1 ZINC000366710777 394247302 /nfs/dbraw/zinc/24/73/02/394247302.db2.gz OZHMICFAJGQJAB-STQMWFEESA-N 0 0 276.336 2.766 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])[C@H]1C ZINC000150743979 394250611 /nfs/dbraw/zinc/25/06/11/394250611.db2.gz YEEXDWPDBIINJO-IUCAKERBSA-N 0 0 266.272 2.604 20 5 CFBDRN CCC(CC)(CNc1nccc(C)c1[N+](=O)[O-])OC ZINC000291637160 394250871 /nfs/dbraw/zinc/25/08/71/394250871.db2.gz CCFZIUWTIUGKHA-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN C[C@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)C1CC1 ZINC000134221934 394191304 /nfs/dbraw/zinc/19/13/04/394191304.db2.gz GDGVWGXWNWZGAS-QMMMGPOBSA-N 0 0 275.308 2.690 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\[C@H]1CCOC1 ZINC000493263464 394194682 /nfs/dbraw/zinc/19/46/82/394194682.db2.gz FCGMWSLQZSWNDT-UUSYTGMJSA-N 0 0 290.319 2.688 20 5 CFBDRN Cc1ccnc(N2CCC=C(c3ccncc3)C2)c1[N+](=O)[O-] ZINC000286397553 394195967 /nfs/dbraw/zinc/19/59/67/394195967.db2.gz MZHXDJNJURFGQF-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN COCC1(COc2ccc(C(C)=O)cc2[N+](=O)[O-])CCC1 ZINC000291822072 394266256 /nfs/dbraw/zinc/26/62/56/394266256.db2.gz IBOIRUBFFCCPTE-UHFFFAOYSA-N 0 0 293.319 2.993 20 5 CFBDRN C[C@@]1(c2ccccc2)CCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000368178591 394269649 /nfs/dbraw/zinc/26/96/49/394269649.db2.gz IKOFADXKZIUHQG-OAHLLOKOSA-N 0 0 284.319 2.553 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCc1ccccc1F ZINC000152162338 394271955 /nfs/dbraw/zinc/27/19/55/394271955.db2.gz MDCOEAWCQJGECX-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN O=C(/C=C\c1ccc(Cl)c([N+](=O)[O-])c1)NC1CCC1 ZINC000493547875 394274297 /nfs/dbraw/zinc/27/42/97/394274297.db2.gz FWPDGNCTIPDDCZ-ALCCZGGFSA-N 0 0 280.711 2.930 20 5 CFBDRN Cc1ccccc1/C=C\C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000493551634 394275787 /nfs/dbraw/zinc/27/57/87/394275787.db2.gz IWJFSEKQVIXTNI-YFHOEESVSA-N 0 0 297.314 2.974 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@H](C)[C@H]1C ZINC000293957251 394286766 /nfs/dbraw/zinc/28/67/66/394286766.db2.gz FZGDYUHSAGQEQX-ALQBXHKQSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ocnc1CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000153672075 394288968 /nfs/dbraw/zinc/28/89/68/394288968.db2.gz XYAPBFRDJAHCTQ-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN C[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCS1 ZINC000153705235 394289146 /nfs/dbraw/zinc/28/91/46/394289146.db2.gz IHMYNBSEKGTNDA-ZETCQYMHSA-N 0 0 273.745 2.585 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC1CCCCC1 ZINC000294147252 394289565 /nfs/dbraw/zinc/28/95/65/394289565.db2.gz AWFIZODAQXVUDK-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN CCCN(C(=O)/C=C\OCC)c1cccc([N+](=O)[O-])c1 ZINC000493610443 394289608 /nfs/dbraw/zinc/28/96/08/394289608.db2.gz GMCAJBPDWQUIHK-NTMALXAHSA-N 0 0 278.308 2.888 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153759454 394291479 /nfs/dbraw/zinc/29/14/79/394291479.db2.gz UDBVVENUSOGJMM-PELKAZGASA-N 0 0 287.747 2.852 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]1CCc2ccccc21 ZINC000294435661 394292604 /nfs/dbraw/zinc/29/26/04/394292604.db2.gz MLNVNKYVAOBPKM-CQSZACIVSA-N 0 0 290.363 2.968 20 5 CFBDRN Cc1nc(N2C[C@H](C)C[C@H](C)[C@H]2C)ncc1[N+](=O)[O-] ZINC000450476164 394293770 /nfs/dbraw/zinc/29/37/70/394293770.db2.gz NKYISWVHHGVJLU-WCABBAIRSA-N 0 0 264.329 2.564 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nnc(C2CC2)s1 ZINC000450615445 394299626 /nfs/dbraw/zinc/29/96/26/394299626.db2.gz CFSVIUKPCSHUAV-UHFFFAOYSA-N 0 0 277.305 2.903 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])nc1)Cc1ccccc1 ZINC000450618380 394300254 /nfs/dbraw/zinc/30/02/54/394300254.db2.gz VKZATEBWKRKBPE-AWEZNQCLSA-N 0 0 287.319 2.659 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NCC1(C2CC2)CC1 ZINC000154725836 394300448 /nfs/dbraw/zinc/30/04/48/394300448.db2.gz OSHPSUUVRVTXJA-UHFFFAOYSA-N 0 0 289.335 2.584 20 5 CFBDRN COc1cc(N2CC[C@H](OC)[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000450750597 394334514 /nfs/dbraw/zinc/33/45/14/394334514.db2.gz CHXGMSXHZULPPE-ZANVPECISA-N 0 0 298.314 2.604 20 5 CFBDRN COC(=O)c1cc(N[C@H](C)C2CC2)cc(C)c1[N+](=O)[O-] ZINC000450664701 394313491 /nfs/dbraw/zinc/31/34/91/394313491.db2.gz BIDDMPOQDMLJAP-SECBINFHSA-N 0 0 278.308 2.900 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)[C@H]1CC1(F)F ZINC000450664392 394313716 /nfs/dbraw/zinc/31/37/16/394313716.db2.gz CZUOBZZMCYZLFB-MRVPVSSYSA-N 0 0 290.653 2.520 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@@H]1CCCC1(F)F ZINC000450678472 394315990 /nfs/dbraw/zinc/31/59/90/394315990.db2.gz TYYAZGVDIQASNU-QMMMGPOBSA-N 0 0 258.224 2.804 20 5 CFBDRN COc1ccc(O[C@@H]2C[C@H](C)CCC2=O)c([N+](=O)[O-])c1 ZINC000450687083 394317688 /nfs/dbraw/zinc/31/76/88/394317688.db2.gz WOQJXYAUWZGQSR-YMTOWFKASA-N 0 0 279.292 2.740 20 5 CFBDRN CO[C@](C)(CNc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000450728310 394328152 /nfs/dbraw/zinc/32/81/52/394328152.db2.gz OMYJJPKJYSIZKA-OAHLLOKOSA-N 0 0 287.319 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H]2CCCN(c3cnccn3)C2)cc1 ZINC000450770116 394338263 /nfs/dbraw/zinc/33/82/63/394338263.db2.gz XGNDQELLFBGVLD-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN CC(C)[C@@H](Nc1ncccc1[N+](=O)[O-])[C@@H](CO)C(C)C ZINC000450772389 394338847 /nfs/dbraw/zinc/33/88/47/394338847.db2.gz PFSLHYJJCNYLEV-WCQYABFASA-N 0 0 281.356 2.691 20 5 CFBDRN CCS(=O)(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000494491509 394356198 /nfs/dbraw/zinc/35/61/98/394356198.db2.gz FQIKYOQYVHLHBB-UHFFFAOYSA-N 0 0 298.364 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C=CCCC2)c1 ZINC000158392957 394357124 /nfs/dbraw/zinc/35/71/24/394357124.db2.gz ZDVWJLQRGNNFGR-LLVKDONJSA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC000158500808 394358004 /nfs/dbraw/zinc/35/80/04/394358004.db2.gz HWJGFPVSJAOLRY-LLVKDONJSA-N 0 0 260.293 2.742 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC[C@H](C)C1 ZINC000494592524 394359128 /nfs/dbraw/zinc/35/91/28/394359128.db2.gz SPTRBABQSCYCEZ-QWRGUYRKSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H](O)Cc2ccccc2)nc1 ZINC000159181876 394364335 /nfs/dbraw/zinc/36/43/35/394364335.db2.gz UFFISQPYFUSFHH-ZDUSSCGKSA-N 0 0 290.344 2.686 20 5 CFBDRN Cc1cnc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)cn1 ZINC000507167191 394367564 /nfs/dbraw/zinc/36/75/64/394367564.db2.gz JPLBXMMIXQEAEK-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN Cc1cc(OC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000514489101 394368985 /nfs/dbraw/zinc/36/89/85/394368985.db2.gz JVUAHFLATXJARK-GFCCVEGCSA-N 0 0 251.282 2.851 20 5 CFBDRN C[C@H]([NH2+][C@H]1C[C@@H](C)N(C2CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000166308399 394369743 /nfs/dbraw/zinc/36/97/43/394369743.db2.gz UJIRTFZIOFBGBM-DYEKYZERSA-N 0 0 289.379 2.871 20 5 CFBDRN COc1cccnc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000453382710 394393626 /nfs/dbraw/zinc/39/36/26/394393626.db2.gz ZMQIJSBDZXXAKJ-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)c([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000271101055 135091345 /nfs/dbraw/zinc/09/13/45/135091345.db2.gz PHPQAZCIICJJIL-UWVGGRQHSA-N 0 0 298.314 2.746 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOCC23CCCC3)cs1 ZINC000270677827 296498556 /nfs/dbraw/zinc/49/85/56/296498556.db2.gz UAMGQRLTMSUGEY-UHFFFAOYSA-N 0 0 282.365 2.801 20 5 CFBDRN CC[C@H](CC(F)F)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000457448787 394459702 /nfs/dbraw/zinc/45/97/02/394459702.db2.gz GTSPLGOSINNRBL-SECBINFHSA-N 0 0 286.278 2.892 20 5 CFBDRN C[C@@H](CC(F)(F)F)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000457476120 394464445 /nfs/dbraw/zinc/46/44/45/394464445.db2.gz KJYWTKQMIJSDRU-QMMMGPOBSA-N 0 0 290.241 2.800 20 5 CFBDRN C[C@H](C(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000457492483 394468678 /nfs/dbraw/zinc/46/86/78/394468678.db2.gz WFPKYBHMOJCSBU-NSHDSACASA-N 0 0 276.336 2.690 20 5 CFBDRN COc1nn(C)cc1N[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000530438761 394516964 /nfs/dbraw/zinc/51/69/64/394516964.db2.gz ZTKQNFZNQQTOJO-JTQLQIEISA-N 0 0 290.323 2.818 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCOC[C@@H]1C1CC1 ZINC000132240751 296498667 /nfs/dbraw/zinc/49/86/67/296498667.db2.gz GATSKXCVCCACEJ-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN O=C(Nc1cccc2ccncc21)c1ccc([N+](=O)[O-])cn1 ZINC000531887258 394530569 /nfs/dbraw/zinc/53/05/69/394530569.db2.gz VPUVERROGGZFNE-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H]1CCCOC1 ZINC000535365020 394534098 /nfs/dbraw/zinc/53/40/98/394534098.db2.gz YLBFUHXDEUZKFK-LLVKDONJSA-N 0 0 279.292 2.603 20 5 CFBDRN CCc1ncncc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000538544806 394605500 /nfs/dbraw/zinc/60/55/00/394605500.db2.gz UTFYYZCUVILTSK-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CC(C)[C@@H](C)CNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000543435805 394730093 /nfs/dbraw/zinc/73/00/93/394730093.db2.gz ZWCNPJAFJTTWFA-JTQLQIEISA-N 0 0 274.324 2.664 20 5 CFBDRN CC(=O)c1ccc(NCc2nnc(C)s2)c([N+](=O)[O-])c1 ZINC000541868775 394687972 /nfs/dbraw/zinc/68/79/72/394687972.db2.gz JQQPOINEIQPNMH-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)[C@H]2CCCC[C@@H]12 ZINC000543916157 394746898 /nfs/dbraw/zinc/74/68/98/394746898.db2.gz FKXFJGZVKZJNPM-WXHSDQCUSA-N 0 0 291.351 2.584 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ccccc2CO)n1 ZINC000542864090 394717269 /nfs/dbraw/zinc/71/72/69/394717269.db2.gz FNVMPDMXOCVCLG-UHFFFAOYSA-N 0 0 287.319 2.711 20 5 CFBDRN Cc1ccncc1N(C)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000545215935 394783276 /nfs/dbraw/zinc/78/32/76/394783276.db2.gz QRGYIKWOYRWXQZ-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN C[C@@H](NC(C)(C)c1cnn(C)c1)c1ccccc1[N+](=O)[O-] ZINC000545293372 394789216 /nfs/dbraw/zinc/78/92/16/394789216.db2.gz UTPSJKDEBRIUDW-LLVKDONJSA-N 0 0 288.351 2.914 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc2ncccc2c1 ZINC000546021335 394815521 /nfs/dbraw/zinc/81/55/21/394815521.db2.gz JEOWCJVNFNHENQ-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@@H]2CCCC[C@@H]12 ZINC000546180735 394821245 /nfs/dbraw/zinc/82/12/45/394821245.db2.gz IUCNEMYEIOYZGZ-KGYLQXTDSA-N 0 0 276.340 2.790 20 5 CFBDRN CCS[C@H](C)c1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000546490468 394828134 /nfs/dbraw/zinc/82/81/34/394828134.db2.gz HSLHQFLTBQZREG-SSDOTTSWSA-N 0 0 282.325 2.797 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(C2CCOCC2)n1 ZINC000547100841 394852439 /nfs/dbraw/zinc/85/24/39/394852439.db2.gz CSXBKNQGPMICLK-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCCCS3)no2)nc1 ZINC000547224067 394855618 /nfs/dbraw/zinc/85/56/18/394855618.db2.gz SOTPEBMRALZVIL-JTQLQIEISA-N 0 0 292.320 2.998 20 5 CFBDRN CC1(C)CC(CNC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000547728186 394884649 /nfs/dbraw/zinc/88/46/49/394884649.db2.gz PMWCKYQXUINQIA-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])cc1 ZINC000547751559 394887410 /nfs/dbraw/zinc/88/74/10/394887410.db2.gz PAZAOVRJIKCQGE-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCO[C@@]2(CCOC2)C1 ZINC000548288515 394920653 /nfs/dbraw/zinc/92/06/53/394920653.db2.gz ALLIMHIHLUDIMU-WFASDCNBSA-N 0 0 292.335 2.653 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC=C(c2cccnc2)C1 ZINC000554692845 394955984 /nfs/dbraw/zinc/95/59/84/394955984.db2.gz YZFZVNTUODRTRC-UHFFFAOYSA-N 0 0 299.286 2.512 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])C1CCOCC1 ZINC000548623691 394941491 /nfs/dbraw/zinc/94/14/91/394941491.db2.gz WQFOMOBHGSYBIU-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CC1(C)CC(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000548692918 394944573 /nfs/dbraw/zinc/94/45/73/394944573.db2.gz UQUQSOOYJUVSCR-UHFFFAOYSA-N 0 0 277.324 2.542 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](CC(C)(C)C)C1 ZINC000556550839 395003384 /nfs/dbraw/zinc/00/33/84/395003384.db2.gz RSTMONOEIHLWFT-NSHDSACASA-N 0 0 293.367 2.832 20 5 CFBDRN COC(=O)c1cnc(N[C@@H]2CCCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000302783243 292031028 /nfs/dbraw/zinc/03/10/28/292031028.db2.gz TYEPHOGVHIQTBP-GXSJLCMTSA-N 0 0 293.323 2.767 20 5 CFBDRN COC(=O)c1ccc(Sc2ccc([N+](=O)[O-])nc2)cc1 ZINC000554755195 394958565 /nfs/dbraw/zinc/95/85/65/394958565.db2.gz INPMXSTUUMVGQQ-UHFFFAOYSA-N 0 0 290.300 2.928 20 5 CFBDRN Cc1cccc(NC[C@H](CO)Cc2ccco2)c1[N+](=O)[O-] ZINC000555122529 394966710 /nfs/dbraw/zinc/96/67/10/394966710.db2.gz GTNYWMPHWRZDJU-GFCCVEGCSA-N 0 0 290.319 2.759 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000555309717 394970623 /nfs/dbraw/zinc/97/06/23/394970623.db2.gz HVVJGGJMPADVTA-GHMZBOCLSA-N 0 0 264.325 2.955 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000578241032 395094590 /nfs/dbraw/zinc/09/45/90/395094590.db2.gz QIBKLZQIFYTQFO-ONGXEEELSA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC(C(F)(F)F)C1 ZINC000335230824 296511344 /nfs/dbraw/zinc/51/13/44/296511344.db2.gz CIGLFIIJSJHPAU-UHFFFAOYSA-N 0 0 264.178 2.732 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1C[C@H](O)Cc2ccccc21 ZINC000434686353 296514120 /nfs/dbraw/zinc/51/41/20/296514120.db2.gz UZDDQCSXRIMHOX-CQSZACIVSA-N 0 0 284.315 2.518 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2c(F)cc(F)cc2C1 ZINC000301711234 296517838 /nfs/dbraw/zinc/51/78/38/296517838.db2.gz KJQASWMUSOIMDN-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]3CCC[C@@H]32)c(F)c1 ZINC000408463538 296520055 /nfs/dbraw/zinc/52/00/55/296520055.db2.gz CBKSWRBVJGKFDY-SKDRFNHKSA-N 0 0 265.288 2.898 20 5 CFBDRN Cc1ccc(C(=O)N2CCSCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000189955870 292060654 /nfs/dbraw/zinc/06/06/54/292060654.db2.gz GQNKRFUTZAAVGY-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN CO[C@@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C[C@@H]1C ZINC000450771235 285721599 /nfs/dbraw/zinc/72/15/99/285721599.db2.gz YJVDFFKXKAFXEH-WCQYABFASA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CC[C@@H]1C ZINC000276057143 285775105 /nfs/dbraw/zinc/77/51/05/285775105.db2.gz RFRRYZPILNBVMW-TVQRCGJNSA-N 0 0 268.288 2.595 20 5 CFBDRN Cc1c(C(=O)N2CC[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000177092244 397608042 /nfs/dbraw/zinc/60/80/42/397608042.db2.gz HMVJSTAPKVKJPL-ONGXEEELSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N([C@H](C)C(C)C)C1CC1 ZINC000192536297 397611582 /nfs/dbraw/zinc/61/15/82/397611582.db2.gz FOTVMYMZKXAAHY-LLVKDONJSA-N 0 0 291.351 2.947 20 5 CFBDRN NC(=O)c1cccc(Sc2ccc([N+](=O)[O-])cc2)c1 ZINC000205577863 397616201 /nfs/dbraw/zinc/61/62/01/397616201.db2.gz OLBAGBJJYFUCSZ-UHFFFAOYSA-N 0 0 274.301 2.845 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H](C)c1nccs1 ZINC000230612125 397625574 /nfs/dbraw/zinc/62/55/74/397625574.db2.gz BDAFHHFODBGZQJ-VIFPVBQESA-N 0 0 278.337 2.970 20 5 CFBDRN CCOc1cc(N2CCO[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000301724534 397639280 /nfs/dbraw/zinc/63/92/80/397639280.db2.gz TUWMTYFFPRFNAO-WDEREUQCSA-N 0 0 280.324 2.607 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](C)[C@H](OC)C2)c1 ZINC000302576770 397639707 /nfs/dbraw/zinc/63/97/07/397639707.db2.gz RXSGODNARKAQBP-NOZJJQNGSA-N 0 0 298.314 2.604 20 5 CFBDRN Cc1ccc(N2CCCN(CC(F)F)CC2)c([N+](=O)[O-])c1 ZINC000270998732 292130319 /nfs/dbraw/zinc/13/03/19/292130319.db2.gz VTOCQAFGGGERQF-UHFFFAOYSA-N 0 0 299.321 2.680 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC23CCCCC3)nc1 ZINC000373393297 304811788 /nfs/dbraw/zinc/81/17/88/304811788.db2.gz OQZJNXDLXWJSLV-UHFFFAOYSA-N 0 0 262.313 2.688 20 5 CFBDRN Cc1ccc(N2CC[C@@H](O)C(C)(C)C2)c([N+](=O)[O-])c1 ZINC000275434395 292137235 /nfs/dbraw/zinc/13/72/35/292137235.db2.gz JDUGRYVNZSUCFG-CYBMUJFWSA-N 0 0 264.325 2.500 20 5 CFBDRN CO[C@@](C)([C@@H](C)NC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000412622927 285902461 /nfs/dbraw/zinc/90/24/61/285902461.db2.gz WZQSNIPVRHELFZ-OQPBUACISA-N 0 0 298.364 2.590 20 5 CFBDRN CCc1ccc(OCc2ccnc(C)n2)c([N+](=O)[O-])c1 ZINC000356047982 155149801 /nfs/dbraw/zinc/14/98/01/155149801.db2.gz VLDOQGRLPVKWAK-UHFFFAOYSA-N 0 0 273.292 2.835 20 5 CFBDRN Cc1nccc(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)n1 ZINC000356060850 155152720 /nfs/dbraw/zinc/15/27/20/155152720.db2.gz OTCZHTCIWDPWAR-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN CCc1cnc(CN(C)c2ccc([N+](=O)[O-])nc2)s1 ZINC000356248120 155196210 /nfs/dbraw/zinc/19/62/10/155196210.db2.gz DSXSCXXTKUGGGK-UHFFFAOYSA-N 0 0 278.337 2.645 20 5 CFBDRN CO[C@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C[C@H]1C ZINC000450754821 286062435 /nfs/dbraw/zinc/06/24/35/286062435.db2.gz IQUUTNUTBXETSL-RISCZKNCSA-N 0 0 264.325 2.764 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccoc1)c1ccccc1[N+](=O)[O-] ZINC000356328613 155228037 /nfs/dbraw/zinc/22/80/37/155228037.db2.gz IAVSYLLIKFWBBT-SNVBAGLBSA-N 0 0 274.276 2.608 20 5 CFBDRN C[C@@H]1CCSCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000190085775 296623415 /nfs/dbraw/zinc/62/34/15/296623415.db2.gz BJSBSWRDKUCEOO-SNVBAGLBSA-N 0 0 280.349 2.562 20 5 CFBDRN C[C@@H]1CCSCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000189984729 296624116 /nfs/dbraw/zinc/62/41/16/296624116.db2.gz VVBBGVIJAYJXRU-MRVPVSSYSA-N 0 0 286.378 2.624 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000072545122 296639460 /nfs/dbraw/zinc/63/94/60/296639460.db2.gz OIGZKPJFQODTPO-GHMZBOCLSA-N 0 0 262.309 2.855 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCCCC2)c1 ZINC000156671565 286225631 /nfs/dbraw/zinc/22/56/31/286225631.db2.gz AFUQACVWZLNWHI-UHFFFAOYSA-N 0 0 254.261 2.733 20 5 CFBDRN CCC(CC)c1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000356535981 155295326 /nfs/dbraw/zinc/29/53/26/155295326.db2.gz YHLYYMYKQIETRO-UHFFFAOYSA-N 0 0 264.285 2.887 20 5 CFBDRN O=c1c2ccoc2ccn1Cc1ccc([N+](=O)[O-])cc1F ZINC000356598003 155313098 /nfs/dbraw/zinc/31/30/98/155313098.db2.gz SJCBNXIWAZGQOZ-UHFFFAOYSA-N 0 0 288.234 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2nnc3ccccc32)c(F)c1 ZINC000356610253 155316942 /nfs/dbraw/zinc/31/69/42/155316942.db2.gz OJPKUAYHYFBFLO-UHFFFAOYSA-N 0 0 272.239 2.527 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000356716055 155336835 /nfs/dbraw/zinc/33/68/35/155336835.db2.gz ZUPSEUGOLXQYHZ-MRVPVSSYSA-N 0 0 279.271 2.554 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000356735587 155345310 /nfs/dbraw/zinc/34/53/10/155345310.db2.gz UMXVXAMCAOYXNP-TVQRCGJNSA-N 0 0 287.319 2.533 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC[C@H](C)F ZINC000356735482 155345469 /nfs/dbraw/zinc/34/54/69/155345469.db2.gz WWZQCVBXDNIAFO-JTQLQIEISA-N 0 0 282.315 2.998 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C\c2nc(Cc3ccon3)no2)c1 ZINC000356755151 155356466 /nfs/dbraw/zinc/35/64/66/155356466.db2.gz PRUDBIXASPBCKM-PLNGDYQASA-N 0 0 298.258 2.727 20 5 CFBDRN CN(CCc1cnccn1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000356787740 155367823 /nfs/dbraw/zinc/36/78/23/155367823.db2.gz DRYBTRUPRMAYGC-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN CCC1(CC)[C@H](Nc2c([N+](=O)[O-])ncn2C)[C@@H](C)[C@H]1OC ZINC000356796732 155371451 /nfs/dbraw/zinc/37/14/51/155371451.db2.gz RWYRSILMRIFBRD-GMTAPVOTSA-N 0 0 296.371 2.580 20 5 CFBDRN O=C(CC1CC1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000585465548 413280590 /nfs/dbraw/zinc/28/05/90/413280590.db2.gz ZWHQESGVLOOEHZ-AWEZNQCLSA-N 0 0 292.360 2.969 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@](C)(OC)C2CC2)c1 ZINC000292399333 286383210 /nfs/dbraw/zinc/38/32/10/286383210.db2.gz RNIFHLRZBUYLMM-CQSZACIVSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC[C@@H](C)C1 ZINC000184385787 286383604 /nfs/dbraw/zinc/38/36/04/286383604.db2.gz JEOMBFQAIKRGOK-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)[C@@H](C)C2)c1 ZINC000131946516 286381156 /nfs/dbraw/zinc/38/11/56/286381156.db2.gz LEKINJKUNCGJKS-MNOVXSKESA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H]([C@H]3CCOC3)C2)c1 ZINC000408425994 292288848 /nfs/dbraw/zinc/28/88/48/292288848.db2.gz QBXOMGKRQTUWGH-STQMWFEESA-N 0 0 276.336 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCO[C@@H]1C ZINC000190265241 292293663 /nfs/dbraw/zinc/29/36/63/292293663.db2.gz WGQSKTVYRFWNIH-ZYHUDNBSSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000263559657 292296653 /nfs/dbraw/zinc/29/66/53/292296653.db2.gz BCCIDUAKMZKQPY-XHDPSFHLSA-N 0 0 292.335 2.761 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000343059746 154100019 /nfs/dbraw/zinc/10/00/19/154100019.db2.gz HPJDMKPABOTGBY-ZJUUUORDSA-N 0 0 277.324 2.542 20 5 CFBDRN Cn1c(C(=O)NCc2cccc(Cl)c2)ccc1[N+](=O)[O-] ZINC000343172550 154132711 /nfs/dbraw/zinc/13/27/11/154132711.db2.gz UQWSEDAJCLPAFU-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN O=c1[nH]c(CCNc2c(F)cccc2[N+](=O)[O-])cs1 ZINC000343202490 154141550 /nfs/dbraw/zinc/14/15/50/154141550.db2.gz FCAIMWQGKADXEB-UHFFFAOYSA-N 0 0 283.284 2.551 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCC[C@@H]1O ZINC000343250497 154155074 /nfs/dbraw/zinc/15/50/74/154155074.db2.gz NGDJBWREOLIAEX-JQWIXIFHSA-N 0 0 270.716 2.598 20 5 CFBDRN COC1CC(N(C)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000343250097 154155252 /nfs/dbraw/zinc/15/52/52/154155252.db2.gz MDFVCWSUVKKVKD-UHFFFAOYSA-N 0 0 250.298 2.517 20 5 CFBDRN CCOc1cc(N(C)[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000343252365 154156210 /nfs/dbraw/zinc/15/62/10/154156210.db2.gz QORNXNPNTKXYMV-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cc(N[C@@H](c2nccn2C)C2CC2)ncc1[N+](=O)[O-] ZINC000343254301 154156646 /nfs/dbraw/zinc/15/66/46/154156646.db2.gz UDSLQCFUCVWGTH-CYBMUJFWSA-N 0 0 287.323 2.595 20 5 CFBDRN Cn1ccnc1[C@H](Nc1ccccc1[N+](=O)[O-])C1CC1 ZINC000343271782 154163473 /nfs/dbraw/zinc/16/34/73/154163473.db2.gz CJNQTWRMXBATOA-CYBMUJFWSA-N 0 0 272.308 2.892 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(C(F)(F)F)CC1 ZINC000343361583 154184895 /nfs/dbraw/zinc/18/48/95/154184895.db2.gz AMHINDCOTYUVJM-UHFFFAOYSA-N 0 0 292.261 2.874 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC[C@@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000345561132 154206274 /nfs/dbraw/zinc/20/62/74/154206274.db2.gz KZQRCZZNASQPGE-HBNTYKKESA-N 0 0 295.314 2.846 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000345605336 154217087 /nfs/dbraw/zinc/21/70/87/154217087.db2.gz JCSOGGSJAXVAII-GHMZBOCLSA-N 0 0 296.298 2.614 20 5 CFBDRN O=C(NCCC1(F)CCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000345725493 154250509 /nfs/dbraw/zinc/25/05/09/154250509.db2.gz IQMZSTBWVMCGCS-UHFFFAOYSA-N 0 0 284.262 2.746 20 5 CFBDRN O=C(N[C@H]1C[C@H]1C(F)F)c1ccc([N+](=O)[O-])cc1Cl ZINC000345784712 154265856 /nfs/dbraw/zinc/26/58/56/154265856.db2.gz ACIRZULIFSZUPI-APPZFPTMSA-N 0 0 290.653 2.632 20 5 CFBDRN Cc1ncoc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000345787630 154267054 /nfs/dbraw/zinc/26/70/54/154267054.db2.gz WEHPZJFXVCFFDB-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1C(F)F)c1c(Cl)cccc1[N+](=O)[O-] ZINC000345807008 154271636 /nfs/dbraw/zinc/27/16/36/154271636.db2.gz PBODFNUFXLHSGB-FSPLSTOPSA-N 0 0 290.653 2.632 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000345808631 154271662 /nfs/dbraw/zinc/27/16/62/154271662.db2.gz QROKTAQBNKSZPD-PELKAZGASA-N 0 0 297.742 2.846 20 5 CFBDRN CC[C@H](CO)N(C)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000345825818 154275601 /nfs/dbraw/zinc/27/56/01/154275601.db2.gz FDWJJXSLAMDXHI-SECBINFHSA-N 0 0 292.257 2.821 20 5 CFBDRN COc1c(-c2nc(C3CC3)no2)cccc1[N+](=O)[O-] ZINC000345857136 154283064 /nfs/dbraw/zinc/28/30/64/154283064.db2.gz VUXVASFBXBJXQE-UHFFFAOYSA-N 0 0 261.237 2.531 20 5 CFBDRN C[C@@H](C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000348013359 154287290 /nfs/dbraw/zinc/28/72/90/154287290.db2.gz ODSBBVOXGLWMIQ-SSDOTTSWSA-N 0 0 252.245 2.719 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000348070966 154306800 /nfs/dbraw/zinc/30/68/00/154306800.db2.gz KVALAQIADZNHBV-SNVBAGLBSA-N 0 0 290.241 2.912 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1cccc(Cl)c1 ZINC000348131778 154333037 /nfs/dbraw/zinc/33/30/37/154333037.db2.gz FUDPQQOTMDDCDZ-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCC1(C)C ZINC000348138614 154336510 /nfs/dbraw/zinc/33/65/10/154336510.db2.gz IVYOENWFVAMKLM-GFCCVEGCSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@H](F)C1 ZINC000348138245 154336538 /nfs/dbraw/zinc/33/65/38/154336538.db2.gz HKROQFLPUIHHDP-IUCAKERBSA-N 0 0 297.286 2.616 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CSC[C@@H]2C)cc1[N+](=O)[O-] ZINC000348174597 154348860 /nfs/dbraw/zinc/34/88/60/154348860.db2.gz WJBLDCBUCBWXHH-GXSJLCMTSA-N 0 0 295.364 2.776 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000348178001 154350318 /nfs/dbraw/zinc/35/03/18/154350318.db2.gz YKCAKCFSJKHCMN-KWQFWETISA-N 0 0 263.297 2.823 20 5 CFBDRN COc1cc(C[N@@H+]2CCC[C@@](C)(OC)C2)ccc1[N+](=O)[O-] ZINC000348242543 154376574 /nfs/dbraw/zinc/37/65/74/154376574.db2.gz ROSWBFZPKGPYED-OAHLLOKOSA-N 0 0 294.351 2.604 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1cncs1 ZINC000348245168 154377787 /nfs/dbraw/zinc/37/77/87/154377787.db2.gz JQTIEBZNDPNOHL-ZETCQYMHSA-N 0 0 295.295 2.681 20 5 CFBDRN Cc1sccc1CC(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000348266897 154387002 /nfs/dbraw/zinc/38/70/02/154387002.db2.gz JLAAMSDOTNPNBT-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN COc1cccc(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)n1 ZINC000356941815 155433610 /nfs/dbraw/zinc/43/36/10/155433610.db2.gz WLLTXNPUODWDBR-UHFFFAOYSA-N 0 0 298.254 2.976 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000352304173 154528179 /nfs/dbraw/zinc/52/81/79/154528179.db2.gz ONNCZYHWSIXIOS-LLVKDONJSA-N 0 0 262.309 2.679 20 5 CFBDRN COc1cccc(N2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000357687864 286456490 /nfs/dbraw/zinc/45/64/90/286456490.db2.gz TWRLBNOUMFJYAX-SECBINFHSA-N 0 0 254.261 2.542 20 5 CFBDRN Cc1nc(COc2nc(C)cc(C)c2[N+](=O)[O-])cs1 ZINC000354540652 154740764 /nfs/dbraw/zinc/74/07/64/154740764.db2.gz SQVDBIDMNRQPEG-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN COc1cccc(N2CCc3ncsc3C2)c1[N+](=O)[O-] ZINC000445670051 286458103 /nfs/dbraw/zinc/45/81/03/286458103.db2.gz KUWJYCUKSNUAGK-UHFFFAOYSA-N 0 0 291.332 2.623 20 5 CFBDRN COc1cccc(N2C[C@H]3CCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000185551796 286458904 /nfs/dbraw/zinc/45/89/04/286458904.db2.gz JURGSPMREJYJPZ-GHMZBOCLSA-N 0 0 262.309 2.840 20 5 CFBDRN Cc1cccnc1CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000355192288 154948471 /nfs/dbraw/zinc/94/84/71/154948471.db2.gz FZKIWBJPABSWLJ-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CC(C)CCc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355424186 155006091 /nfs/dbraw/zinc/00/60/91/155006091.db2.gz FRAYAWUEOUXFON-UHFFFAOYSA-N 0 0 276.296 2.816 20 5 CFBDRN O=C(NCC(F)(F)c1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000355492204 155023590 /nfs/dbraw/zinc/02/35/90/155023590.db2.gz KWFIUDXDQUTZBE-UHFFFAOYSA-N 0 0 296.229 2.710 20 5 CFBDRN Cc1cccc(N(C)Cc2c([N+](=O)[O-])ncn2C)c1C ZINC000355548460 155037549 /nfs/dbraw/zinc/03/75/49/155037549.db2.gz BRUHIHGXTPXXJS-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN Cc1ccc(Cc2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)cc1 ZINC000355818099 155096797 /nfs/dbraw/zinc/09/67/97/155096797.db2.gz CYENLCPCAHBKGH-UHFFFAOYSA-N 0 0 284.275 2.872 20 5 CFBDRN Cc1cccc([C@H](C)CNc2c([N+](=O)[O-])ncn2C)c1 ZINC000355736626 155070897 /nfs/dbraw/zinc/07/08/97/155070897.db2.gz AVGYMVSMHZZYSU-LLVKDONJSA-N 0 0 274.324 2.852 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(Cc3cscn3)no2)c1 ZINC000355775578 155081761 /nfs/dbraw/zinc/08/17/61/155081761.db2.gz RCOWPHHJVFZJJG-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN COC[C@@H](C)c1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000355791635 155087772 /nfs/dbraw/zinc/08/77/72/155087772.db2.gz UVDVLLDUOTUHGJ-SSDOTTSWSA-N 0 0 267.310 2.796 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000358026850 155607012 /nfs/dbraw/zinc/60/70/12/155607012.db2.gz ZSHUTQXLNPSEEB-NWDGAFQWSA-N 0 0 291.351 2.559 20 5 CFBDRN CCOc1cccc(NC[C@@]2(C)CCOC2)c1[N+](=O)[O-] ZINC000358248373 155689261 /nfs/dbraw/zinc/68/92/61/155689261.db2.gz QXBGSRLZIXZDRW-CQSZACIVSA-N 0 0 280.324 2.832 20 5 CFBDRN Cn1ccc2ccc(NC(=O)c3ccc([N+](=O)[O-])n3C)cc21 ZINC000358344282 155730609 /nfs/dbraw/zinc/73/06/09/155730609.db2.gz OGATVVVBOQULMB-UHFFFAOYSA-N 0 0 298.302 2.677 20 5 CFBDRN Cc1nccc(COc2ccc([N+](=O)[O-])c3ncccc23)n1 ZINC000358578039 155809563 /nfs/dbraw/zinc/80/95/63/155809563.db2.gz HSTJSKXZIQJKHJ-UHFFFAOYSA-N 0 0 296.286 2.820 20 5 CFBDRN CCC[C@@H](CCO)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359081177 155978516 /nfs/dbraw/zinc/97/85/16/155978516.db2.gz IVCILXQUMIEVBH-LBPRGKRZSA-N 0 0 281.356 2.817 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(C(F)F)CC1 ZINC000359840538 156026339 /nfs/dbraw/zinc/02/63/39/156026339.db2.gz ICIBIWAZXZKDBS-UHFFFAOYSA-N 0 0 274.271 2.577 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@H]1c1cccnc1 ZINC000360207672 156053835 /nfs/dbraw/zinc/05/38/35/156053835.db2.gz BWZKUFRWTGDQAX-AWEZNQCLSA-N 0 0 297.314 2.967 20 5 CFBDRN CSc1cccc(C(=O)Nc2ncc(C)o2)c1[N+](=O)[O-] ZINC000362825837 156093853 /nfs/dbraw/zinc/09/38/53/156093853.db2.gz JVEPKOLSSXMSGK-UHFFFAOYSA-N 0 0 293.304 2.865 20 5 CFBDRN CSc1cccc(C(=O)NC[C@H]2C[C@@H]2C)c1[N+](=O)[O-] ZINC000362842503 156098154 /nfs/dbraw/zinc/09/81/54/156098154.db2.gz ZBOPTLKOBIDVTE-DTWKUNHWSA-N 0 0 280.349 2.703 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCC[C@H]2COC[C@H]21 ZINC000367078064 156279190 /nfs/dbraw/zinc/27/91/90/156279190.db2.gz ARSLASFPGZHUBS-SMDDNHRTSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H]2c2cccnc2)cc1 ZINC000367162491 156288771 /nfs/dbraw/zinc/28/87/71/156288771.db2.gz BTJZPPYAYFZSEE-KGLIPLIRSA-N 0 0 255.277 2.958 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1noc([C@@H]2C[C@@]23CCOC3)n1 ZINC000367356031 156319205 /nfs/dbraw/zinc/31/92/05/156319205.db2.gz JCJJBOSQZBNRJQ-IINYFYTJSA-N 0 0 287.275 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](C[C@H]3CCOC3)C2)nc1 ZINC000367359424 156320522 /nfs/dbraw/zinc/32/05/22/156320522.db2.gz XKJSRNYIFNKRIB-CHWSQXEVSA-N 0 0 291.351 2.633 20 5 CFBDRN C[C@@H]1CCOCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000367722395 156380828 /nfs/dbraw/zinc/38/08/28/156380828.db2.gz LKWIVIPVVVLOAE-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@@H]1CC[C@]2(CCN(C(=O)c3ccc([N+](=O)[O-])cn3)C2)C1 ZINC000367864693 156399452 /nfs/dbraw/zinc/39/94/52/156399452.db2.gz YCUOBFGDCZJSJE-ABAIWWIYSA-N 0 0 289.335 2.642 20 5 CFBDRN Cc1cc(C(=O)N2CC3(C2)CCCC3)c(N)c([N+](=O)[O-])c1 ZINC000368420162 156482466 /nfs/dbraw/zinc/48/24/66/156482466.db2.gz RKUQPMMSVAQLNJ-UHFFFAOYSA-N 0 0 289.335 2.502 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C[C@H]2CCCCCC[C@H]21 ZINC000370548403 156699717 /nfs/dbraw/zinc/69/97/17/156699717.db2.gz KIARDHICVVXECD-GHMZBOCLSA-N 0 0 278.308 2.983 20 5 CFBDRN CCOc1cccc(N2CCO[C@](C)(CC)C2)c1[N+](=O)[O-] ZINC000302696111 286573340 /nfs/dbraw/zinc/57/33/40/286573340.db2.gz WIEMYMZZYNKVIR-OAHLLOKOSA-N 0 0 294.351 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C3CC3)C2)c(Cl)c1 ZINC000372259682 156871806 /nfs/dbraw/zinc/87/18/06/156871806.db2.gz BUSRCZCGBPRXRF-CYBMUJFWSA-N 0 0 282.727 2.863 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@H](OCC2CC2)C1 ZINC000373596423 157010651 /nfs/dbraw/zinc/01/06/51/157010651.db2.gz NKKVDMPPXHMWFX-CQSZACIVSA-N 0 0 291.351 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](OCC3CC3)C2)cc1 ZINC000373593955 157010816 /nfs/dbraw/zinc/01/08/16/157010816.db2.gz FIRSVJJCCCWLHO-HNNXBMFYSA-N 0 0 276.336 2.990 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCCOCC2)cc1[N+](=O)[O-] ZINC000373909037 157044478 /nfs/dbraw/zinc/04/44/78/157044478.db2.gz JRJSFFGOULSSFD-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](C2CC2)C1 ZINC000374319191 157098486 /nfs/dbraw/zinc/09/84/86/157098486.db2.gz WJUYZEXVDYDPNV-LBPRGKRZSA-N 0 0 276.336 2.978 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](c3ccco3)C2)s1 ZINC000374414097 157110968 /nfs/dbraw/zinc/11/09/68/157110968.db2.gz GBNJYCXRJYYZGV-QMMMGPOBSA-N 0 0 265.294 2.638 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](Oc3ccccc3)C2)s1 ZINC000374414866 157112308 /nfs/dbraw/zinc/11/23/08/157112308.db2.gz JNCONYYAXJAXED-NSHDSACASA-N 0 0 291.332 2.709 20 5 CFBDRN O=[N+]([O-])c1cccc(CN[C@H]2COc3cccc(F)c32)c1 ZINC000374676951 157147958 /nfs/dbraw/zinc/14/79/58/157147958.db2.gz IAZLELSRHMGHNC-ZDUSSCGKSA-N 0 0 288.278 2.957 20 5 CFBDRN COC[C@H]1CCCCN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000375142467 157200071 /nfs/dbraw/zinc/20/00/71/157200071.db2.gz NZNJRTGAVWJSAZ-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCC[C@H]1c1ncc[nH]1 ZINC000375355615 157233224 /nfs/dbraw/zinc/23/32/24/157233224.db2.gz KMUNEXJUGCMNAZ-AWEZNQCLSA-N 0 0 286.335 2.963 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC=C(c3cccnc3)CC2)s1 ZINC000375594699 157258767 /nfs/dbraw/zinc/25/87/67/157258767.db2.gz BVIFQHCYIFCWKY-UHFFFAOYSA-N 0 0 288.332 2.740 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC(C2CCCC2)C1 ZINC000376021458 157316572 /nfs/dbraw/zinc/31/65/72/157316572.db2.gz MGNFGPYBHBOHEP-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@@H]1CCc2n[nH]cc2C1 ZINC000376172120 157330214 /nfs/dbraw/zinc/33/02/14/157330214.db2.gz LWQBKVMYPCQKQL-SNVBAGLBSA-N 0 0 292.726 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@H]3CCCOC3)CC2)nc1 ZINC000376178342 157331305 /nfs/dbraw/zinc/33/13/05/157331305.db2.gz PRYHBGRORGALQW-ZDUSSCGKSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@@H]3CCCOC3)CC2)nc1 ZINC000376178341 157331698 /nfs/dbraw/zinc/33/16/98/157331698.db2.gz PRYHBGRORGALQW-CYBMUJFWSA-N 0 0 291.351 2.633 20 5 CFBDRN Nc1ccc(N[C@@H]2C[C@H](CO)c3ccccc32)c([N+](=O)[O-])c1 ZINC000376435901 157354982 /nfs/dbraw/zinc/35/49/82/157354982.db2.gz PKAZSONAKWOMCW-MEBBXXQBSA-N 0 0 299.330 2.810 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1cnc2n1CCCC2 ZINC000376486077 157361104 /nfs/dbraw/zinc/36/11/04/157361104.db2.gz GMAYWKJENWAHOR-UHFFFAOYSA-N 0 0 290.298 2.879 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@H]2CCC(C)(C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000408344967 157393036 /nfs/dbraw/zinc/39/30/36/157393036.db2.gz CTTTZHVKCNVTLR-WPRPVWTQSA-N 0 0 294.355 2.571 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])o1)c1ccccc1 ZINC000049314970 161501975 /nfs/dbraw/zinc/50/19/75/161501975.db2.gz MKPWFTZYGKKIRX-UHFFFAOYSA-N 0 0 274.276 2.853 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCO[C@@H](c2ccco2)C1 ZINC000342541410 161650984 /nfs/dbraw/zinc/65/09/84/161650984.db2.gz DNZKRJGGFDKFPQ-CYBMUJFWSA-N 0 0 292.266 2.905 20 5 CFBDRN CC1CC(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000342680784 161684220 /nfs/dbraw/zinc/68/42/20/161684220.db2.gz XGMNPESTIVYKCU-UHFFFAOYSA-N 0 0 297.742 2.846 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342884298 161732001 /nfs/dbraw/zinc/73/20/01/161732001.db2.gz WGZGCJNFUWJFDB-VIFPVBQESA-N 0 0 275.308 2.947 20 5 CFBDRN CC1(CNC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)CC1 ZINC000342936231 161740134 /nfs/dbraw/zinc/74/01/34/161740134.db2.gz WTBJRQAECBWBAV-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nc(-c3cccnc3)no2)c1 ZINC000342950304 161745317 /nfs/dbraw/zinc/74/53/17/161745317.db2.gz HEVGNPVDJMLDBN-UHFFFAOYSA-N 0 0 298.258 2.619 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](COC(F)F)C2)c1 ZINC000343526336 161794119 /nfs/dbraw/zinc/79/41/19/161794119.db2.gz YPSQLCIEYHGFSL-JTQLQIEISA-N 0 0 286.278 2.969 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000343885197 161885570 /nfs/dbraw/zinc/88/55/70/161885570.db2.gz ASJUQBVJLURHAJ-GFCCVEGCSA-N 0 0 294.351 2.929 20 5 CFBDRN CCOC(=O)c1cc(N[C@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000343905930 161889908 /nfs/dbraw/zinc/88/99/08/161889908.db2.gz HCYDBHYHPFDDOW-LBPRGKRZSA-N 0 0 278.308 2.982 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@@H](CO)c2ccc(F)cc2)cs1 ZINC000344383655 162028851 /nfs/dbraw/zinc/02/88/51/162028851.db2.gz PJBCJVIVUXEJAN-LBPRGKRZSA-N 0 0 296.323 2.619 20 5 CFBDRN CCN(CC)c1ccc(Nc2ccc([N+](=O)[O-])nc2)cn1 ZINC000344423516 162039242 /nfs/dbraw/zinc/03/92/42/162039242.db2.gz SBIGEQMQBNIMIY-UHFFFAOYSA-N 0 0 287.323 2.975 20 5 CFBDRN CN(C[C@@H]1OCCc2ccccc21)c1ccc([N+](=O)[O-])nc1 ZINC000344428242 162042020 /nfs/dbraw/zinc/04/20/20/162042020.db2.gz GKAOHFSTAQKREE-HNNXBMFYSA-N 0 0 299.330 2.740 20 5 CFBDRN C[S@](=O)Cc1cccc(Nc2ccc([N+](=O)[O-])nc2)c1 ZINC000344427174 162042346 /nfs/dbraw/zinc/04/23/46/162042346.db2.gz ZRBHNOBQUBQKMY-FQEVSTJZSA-N 0 0 291.332 2.612 20 5 CFBDRN C[C@H](c1ccccn1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344436842 162043279 /nfs/dbraw/zinc/04/32/79/162043279.db2.gz QITXRPZXENUGGM-SNVBAGLBSA-N 0 0 258.281 2.582 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344436840 162044857 /nfs/dbraw/zinc/04/48/57/162044857.db2.gz QITXRPZXENUGGM-JTQLQIEISA-N 0 0 258.281 2.582 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1CCNc1ccc([N+](=O)[O-])nc1 ZINC000344444331 162046463 /nfs/dbraw/zinc/04/64/63/162046463.db2.gz XWPCDAKWABFMDV-GFCCVEGCSA-N 0 0 298.346 2.853 20 5 CFBDRN COc1cc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)ccn1 ZINC000344656910 162091241 /nfs/dbraw/zinc/09/12/41/162091241.db2.gz DVYSIDWBNNMNNR-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)ccn1 ZINC000344663954 162093220 /nfs/dbraw/zinc/09/32/20/162093220.db2.gz OIVFCVJYAYCWDE-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Oc2ncncc2Cl)c1 ZINC000344973680 162155960 /nfs/dbraw/zinc/15/59/60/162155960.db2.gz KSOFIVXQFIFRFM-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN CCC(C)(C)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000345047776 162169903 /nfs/dbraw/zinc/16/99/03/162169903.db2.gz BCLOHENUGLATNO-UHFFFAOYSA-N 0 0 282.365 2.992 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)N(C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000345066082 162171175 /nfs/dbraw/zinc/17/11/75/162171175.db2.gz DATAUTXXINZDSN-RYUDHWBXSA-N 0 0 276.336 2.989 20 5 CFBDRN Cc1cc(NCc2ccn(C)n2)c2cccc([N+](=O)[O-])c2n1 ZINC000345072762 162173982 /nfs/dbraw/zinc/17/39/82/162173982.db2.gz AAKGCSKARATBMM-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CCOc1ccccc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000345155617 162190672 /nfs/dbraw/zinc/19/06/72/162190672.db2.gz XREIOWDOJNCXKP-UHFFFAOYSA-N 0 0 289.291 2.584 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1cccc(F)c1Cl ZINC000345375877 162236521 /nfs/dbraw/zinc/23/65/21/162236521.db2.gz UHZRHUMLVBDQNU-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CC(C)(C(=O)N[C@H]1C[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000345870063 162266316 /nfs/dbraw/zinc/26/63/16/162266316.db2.gz LXRDCIDKWWSLDO-QWRGUYRKSA-N 0 0 298.289 2.642 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000345933288 162281330 /nfs/dbraw/zinc/28/13/30/162281330.db2.gz SJKVTQRSFUNIRW-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000345975349 162289516 /nfs/dbraw/zinc/28/95/16/162289516.db2.gz GDVJXRFGVOUZRJ-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(Cl)cn1 ZINC000346014093 162297215 /nfs/dbraw/zinc/29/72/15/162297215.db2.gz RCOKJDKBWINRSO-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CSCCCCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000346028676 162300147 /nfs/dbraw/zinc/30/01/47/162300147.db2.gz XZLUYAJZOZOZMJ-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN Cn1cc(-c2noc(/C=C/c3ccc([N+](=O)[O-])cc3)n2)cn1 ZINC000346043306 162304711 /nfs/dbraw/zinc/30/47/11/162304711.db2.gz FFLYZKOOOCVPBB-QPJJXVBHSA-N 0 0 297.274 2.549 20 5 CFBDRN CSCCCC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000346071272 162311427 /nfs/dbraw/zinc/31/14/27/162311427.db2.gz CMYVUHWLYFENJK-UHFFFAOYSA-N 0 0 280.349 2.627 20 5 CFBDRN Cn1cc(-c2noc(/C=C\c3ccccc3[N+](=O)[O-])n2)cn1 ZINC000346077207 162312967 /nfs/dbraw/zinc/31/29/67/162312967.db2.gz RDIADSBKTPGHEN-SREVYHEPSA-N 0 0 297.274 2.549 20 5 CFBDRN Cc1ccc(-c2nc(Cc3ccccn3)no2)cc1[N+](=O)[O-] ZINC000346090261 162315906 /nfs/dbraw/zinc/31/59/06/162315906.db2.gz DPDYORQJKLJEJE-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cncc(F)c1 ZINC000346095545 162316290 /nfs/dbraw/zinc/31/62/90/162316290.db2.gz XCDBUKRJTLIJHZ-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000346110885 162321727 /nfs/dbraw/zinc/32/17/27/162321727.db2.gz ACHNKNSWNOYGFM-ZDUSSCGKSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1nc2ccccc2o1 ZINC000346127827 162326012 /nfs/dbraw/zinc/32/60/12/162326012.db2.gz ZATLSTCTBKIZIM-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])s2)nc1 ZINC000346133954 162326060 /nfs/dbraw/zinc/32/60/60/162326060.db2.gz CSVCXEMVDREZNW-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cccc([N+](=O)[O-])c2)nc1 ZINC000346161147 162334280 /nfs/dbraw/zinc/33/42/80/162334280.db2.gz DPXXZAJIAYWGJW-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000346195528 162349264 /nfs/dbraw/zinc/34/92/64/162349264.db2.gz PZMUFSPSWQTUCV-GFCCVEGCSA-N 0 0 294.376 2.992 20 5 CFBDRN CC1CCC(c2noc(-c3cc([N+](=O)[O-])nn3C)n2)CC1 ZINC000346256562 162365607 /nfs/dbraw/zinc/36/56/07/162365607.db2.gz VEUMWAJUVVXNLS-UHFFFAOYSA-N 0 0 291.311 2.672 20 5 CFBDRN CSc1ncc(OCc2cccc([N+](=O)[O-])c2)cn1 ZINC000346346214 162388922 /nfs/dbraw/zinc/38/89/22/162388922.db2.gz IIDTZNYNARCSDW-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN C[C@@H](c1noc(-c2cc([N+](=O)[O-])nn2C)n1)C(C)(C)C ZINC000346569133 162449116 /nfs/dbraw/zinc/44/91/16/162449116.db2.gz MNBGROJUZIJRSL-ZETCQYMHSA-N 0 0 279.300 2.528 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1OC ZINC000346874087 162545045 /nfs/dbraw/zinc/54/50/45/162545045.db2.gz KJYPSXIZOSTPBE-UHFFFAOYSA-N 0 0 289.291 2.598 20 5 CFBDRN COc1cccc(-c2nc(C(C)(C)C)no2)c1[N+](=O)[O-] ZINC000346959119 162564219 /nfs/dbraw/zinc/56/42/19/162564219.db2.gz ILGXLBKJRDVHPN-UHFFFAOYSA-N 0 0 277.280 2.951 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000347770748 162772610 /nfs/dbraw/zinc/77/26/10/162772610.db2.gz HJPFPKWWXOCQCQ-SMDDNHRTSA-N 0 0 291.351 2.577 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000347845401 162786866 /nfs/dbraw/zinc/78/68/66/162786866.db2.gz YKASDSCUKIFFOU-WCQYABFASA-N 0 0 291.351 2.830 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347942818 162805751 /nfs/dbraw/zinc/80/57/51/162805751.db2.gz AAPMVBZZZOBVQF-JTQLQIEISA-N 0 0 272.301 2.814 20 5 CFBDRN CCCC1(C(=O)NCc2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000348349135 162833927 /nfs/dbraw/zinc/83/39/27/162833927.db2.gz LHJVOOAJJZTSDA-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CC3(O)CCCC3)c2)cc1 ZINC000349011987 162929970 /nfs/dbraw/zinc/92/99/70/162929970.db2.gz WKIQEGUGSALENQ-UHFFFAOYSA-N 0 0 287.319 2.763 20 5 CFBDRN COc1cccc(CSCc2c([N+](=O)[O-])ncn2C)c1 ZINC000349060769 162938914 /nfs/dbraw/zinc/93/89/14/162938914.db2.gz VTLOBYHMWHDKFK-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN CC(C)(C)CCc1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000349780061 163014265 /nfs/dbraw/zinc/01/42/65/163014265.db2.gz UQKDBTDZHCAALZ-UHFFFAOYSA-N 0 0 264.285 2.952 20 5 CFBDRN C[C@H]1OCC[C@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000349784329 163015958 /nfs/dbraw/zinc/01/59/58/163015958.db2.gz URJBJFJYKAJUPW-LDYMZIIASA-N 0 0 275.264 2.537 20 5 CFBDRN Cc1cc(OCC(=O)N(C)C2CC2)c(Cl)cc1[N+](=O)[O-] ZINC000349830193 163022086 /nfs/dbraw/zinc/02/20/86/163022086.db2.gz FOQKWGHWIQNJPO-UHFFFAOYSA-N 0 0 298.726 2.556 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3C[C@@]34CCOC4)n2)c1 ZINC000349904000 163034818 /nfs/dbraw/zinc/03/48/18/163034818.db2.gz QIIGSZXGGPGBRE-SMDDNHRTSA-N 0 0 287.275 2.539 20 5 CFBDRN Cc1csc(COc2c(Cl)cncc2[N+](=O)[O-])n1 ZINC000349916033 163037213 /nfs/dbraw/zinc/03/72/13/163037213.db2.gz QARDRJAMCZYHDS-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CCOC1CC(COc2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000349944504 163041677 /nfs/dbraw/zinc/04/16/77/163041677.db2.gz HNDFPPVLVXWJLO-UHFFFAOYSA-N 0 0 286.715 2.837 20 5 CFBDRN CCC(O)(CC)CCNc1ccccc1[N+](=O)[O-] ZINC000350699514 163098576 /nfs/dbraw/zinc/09/85/76/163098576.db2.gz GZFQQVIJBPWIOA-UHFFFAOYSA-N 0 0 252.314 2.948 20 5 CFBDRN Cc1ccc(NC(=O)C[C@@H]2CCCC[C@@H]2O)cc1[N+](=O)[O-] ZINC000350768468 163106117 /nfs/dbraw/zinc/10/61/17/163106117.db2.gz YCAGPGFTJRPPNH-FZMZJTMJSA-N 0 0 292.335 2.783 20 5 CFBDRN Cc1cnccc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000351098161 163118870 /nfs/dbraw/zinc/11/88/70/163118870.db2.gz BLNBIRPXCRDMFH-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3C[C@H]4CC[C@@H]3O4)n2)cc1 ZINC000351176989 163121109 /nfs/dbraw/zinc/12/11/09/163121109.db2.gz CJUXGZUKUNZANV-UTUOFQBUSA-N 0 0 287.275 2.680 20 5 CFBDRN Cc1cnc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2C)o1 ZINC000351210931 163121825 /nfs/dbraw/zinc/12/18/25/163121825.db2.gz ZWVLCTCXDWDDFW-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@H]1C(F)F ZINC000351200265 163122237 /nfs/dbraw/zinc/12/22/37/163122237.db2.gz JBIVHMLMZRJFHE-PSASIEDQSA-N 0 0 285.250 2.678 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C[C@@H]1O ZINC000351499185 163135763 /nfs/dbraw/zinc/13/57/63/163135763.db2.gz BXZMOTQGLDZXIT-MFKMUULPSA-N 0 0 294.351 2.589 20 5 CFBDRN CC(C)(C(=O)Nc1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000351531544 163140894 /nfs/dbraw/zinc/14/08/94/163140894.db2.gz DTSUXKWALMDTIT-UHFFFAOYSA-N 0 0 285.303 2.906 20 5 CFBDRN CCOC(=O)C1(CNc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000351586781 163151552 /nfs/dbraw/zinc/15/15/52/163151552.db2.gz PGWYVNHHKNJKJL-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1ccc(CN[C@@H](C)c2ccon2)cc1[N+](=O)[O-] ZINC000351600155 163154191 /nfs/dbraw/zinc/15/41/91/163154191.db2.gz ZDEXWTDKGSDIIC-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN COc1ccc(OCC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000351627145 163161005 /nfs/dbraw/zinc/16/10/05/163161005.db2.gz IDVCUKSOXCZXJE-LLVKDONJSA-N 0 0 281.308 2.941 20 5 CFBDRN O=[N+]([O-])c1cccc(OCC[C@@H]2CCCCO2)c1 ZINC000351644722 163166993 /nfs/dbraw/zinc/16/69/93/163166993.db2.gz AVPNANHFYVYFBJ-LBPRGKRZSA-N 0 0 251.282 2.933 20 5 CFBDRN COC(=O)CCCn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000351675540 163172070 /nfs/dbraw/zinc/17/20/70/163172070.db2.gz NGDMOCLSQGBOPM-UHFFFAOYSA-N 0 0 297.698 2.551 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1CC2CCC1CC2 ZINC000351696592 163176607 /nfs/dbraw/zinc/17/66/07/163176607.db2.gz WYURTVOUBLZSTK-HGMXIMQMSA-N 0 0 288.347 2.832 20 5 CFBDRN CC(C)(C)OC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000351882071 163210417 /nfs/dbraw/zinc/21/04/17/163210417.db2.gz VUDUBGBVODVKHC-UHFFFAOYSA-N 0 0 266.297 2.739 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@H]1CCC[C@@H]1O ZINC000352689933 163223294 /nfs/dbraw/zinc/22/32/94/163223294.db2.gz DUPHLWYCKFJWRB-STQMWFEESA-N 0 0 284.743 2.593 20 5 CFBDRN Cc1c[nH]nc1CNCc1ccc([N+](=O)[O-])cc1Cl ZINC000352868337 163257351 /nfs/dbraw/zinc/25/73/51/163257351.db2.gz DIOQZNBTTRWPKW-UHFFFAOYSA-N 0 0 280.715 2.570 20 5 CFBDRN O=c1cc(CNc2ccc([N+](=O)[O-])cc2Cl)cc[nH]1 ZINC000353049260 163296432 /nfs/dbraw/zinc/29/64/32/163296432.db2.gz CNYZZJXZXBHDDU-UHFFFAOYSA-N 0 0 279.683 2.961 20 5 CFBDRN CC(=O)c1ccc(NCc2cc[nH]c(=O)c2)c([N+](=O)[O-])c1 ZINC000353051292 163296883 /nfs/dbraw/zinc/29/68/83/163296883.db2.gz HGULFAHCZQXNEA-UHFFFAOYSA-N 0 0 287.275 2.510 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000353080623 163303798 /nfs/dbraw/zinc/30/37/98/163303798.db2.gz FGBMLZRKGDLIDA-CMPLNLGQSA-N 0 0 294.376 2.915 20 5 CFBDRN CCO[C@@H]1COCC[C@@H]1Nc1ccc(C)cc1[N+](=O)[O-] ZINC000353267489 163332663 /nfs/dbraw/zinc/33/26/63/163332663.db2.gz PQVHJEHMYZZRGD-GXTWGEPZSA-N 0 0 280.324 2.509 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1)C1CC(O)C1 ZINC000353273692 163334866 /nfs/dbraw/zinc/33/48/66/163334866.db2.gz NNHFJTWOBQDUQY-UHFFFAOYSA-N 0 0 294.351 2.732 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ccon1 ZINC000349232409 287052033 /nfs/dbraw/zinc/05/20/33/287052033.db2.gz BWQZWHGIGMCLAR-VHSXEESVSA-N 0 0 261.281 2.995 20 5 CFBDRN CC[C@@H]1CCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000340696782 287057652 /nfs/dbraw/zinc/05/76/52/287057652.db2.gz KOAKVEPZPYHZEJ-MRVPVSSYSA-N 0 0 266.326 2.683 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)CC(=O)NC(C)(C)C ZINC000487536088 287152095 /nfs/dbraw/zinc/15/20/95/287152095.db2.gz JZVBHJANIWJRCA-NSHDSACASA-N 0 0 293.367 2.502 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCCO1 ZINC000281766323 287212794 /nfs/dbraw/zinc/21/27/94/287212794.db2.gz FZMDYFIPFPSZMX-LLVKDONJSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)CCC2CC2)c1F ZINC000284180059 195997384 /nfs/dbraw/zinc/99/73/84/195997384.db2.gz KZHWUKOCDWKTOV-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN CNc1ccc(C(=O)NCc2ccccc2)cc1[N+](=O)[O-] ZINC000004895893 170037229 /nfs/dbraw/zinc/03/72/29/170037229.db2.gz CTZBTPHBVKMPTJ-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN Cc1nc(SCc2cccc([N+](=O)[O-])c2)[nH]c(=O)c1C ZINC000005155245 170071437 /nfs/dbraw/zinc/07/14/37/170071437.db2.gz CPEQBMJVAFEGOL-UHFFFAOYSA-N 0 0 291.332 2.587 20 5 CFBDRN Cc1occc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000005532999 170109839 /nfs/dbraw/zinc/10/98/39/170109839.db2.gz XXCGVVLKPIULLP-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN O=C(Nc1cccnc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000005749674 170136628 /nfs/dbraw/zinc/13/66/28/170136628.db2.gz IXNFBPSBKZEBMV-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)Nc2ccccn2)cc1 ZINC000005940535 170159347 /nfs/dbraw/zinc/15/93/47/170159347.db2.gz IKPNWTCMAUGXEP-UHFFFAOYSA-N 0 0 274.305 2.799 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccc(F)cc1 ZINC000006061557 170173298 /nfs/dbraw/zinc/17/32/98/170173298.db2.gz UJQVMNYIHDWQFX-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])c(F)cc2N)CCC1 ZINC000284331003 196032317 /nfs/dbraw/zinc/03/23/17/196032317.db2.gz ISPVCRUZECSVJP-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN CCC(C)(C)Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000007365194 170279399 /nfs/dbraw/zinc/27/93/99/170279399.db2.gz AHJWKAHWNVYLII-UHFFFAOYSA-N 0 0 286.353 2.599 20 5 CFBDRN CN(CC(=O)Nc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000007589685 170291974 /nfs/dbraw/zinc/29/19/74/170291974.db2.gz QDAHOBDHYFSUHF-UHFFFAOYSA-N 0 0 285.303 2.670 20 5 CFBDRN COC(=O)C1CCN([C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000008470828 170337132 /nfs/dbraw/zinc/33/71/32/170337132.db2.gz IVDAMQVVGUBOCX-NSHDSACASA-N 0 0 292.335 2.541 20 5 CFBDRN CCC(C)(C)NC(=O)COc1ccc(C)cc1[N+](=O)[O-] ZINC000008506333 170338417 /nfs/dbraw/zinc/33/84/17/170338417.db2.gz LHCICUQGEJSDDJ-UHFFFAOYSA-N 0 0 280.324 2.587 20 5 CFBDRN O=C(NCc1ccsc1)c1ccc([N+](=O)[O-])cc1 ZINC000013698072 170579464 /nfs/dbraw/zinc/57/94/64/170579464.db2.gz ZMTYOTDHYVQGSG-UHFFFAOYSA-N 0 0 262.290 2.586 20 5 CFBDRN O=C(NCCc1ccsc1)c1ccc([N+](=O)[O-])cc1 ZINC000013698183 170579993 /nfs/dbraw/zinc/57/99/93/170579993.db2.gz PEXOFQOHGXDXKH-UHFFFAOYSA-N 0 0 276.317 2.629 20 5 CFBDRN CC[C@](C)(CNc1ccc([N+](=O)[O-])cc1F)OC ZINC000322642746 270296954 /nfs/dbraw/zinc/29/69/54/270296954.db2.gz CFAKYPBHMFPGEZ-GFCCVEGCSA-N 0 0 256.277 2.961 20 5 CFBDRN Cc1ccccc1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000018832014 170750473 /nfs/dbraw/zinc/75/04/73/170750473.db2.gz DOVMPEKHHDBHFU-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN C[C@@H](NCc1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000020123805 171005195 /nfs/dbraw/zinc/00/51/95/171005195.db2.gz DEONMBBYEPRLNL-LLVKDONJSA-N 0 0 257.293 2.841 20 5 CFBDRN C[C@H](NCc1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000020127930 171013405 /nfs/dbraw/zinc/01/34/05/171013405.db2.gz JWFZQOMAKPPACQ-NSHDSACASA-N 0 0 257.293 2.841 20 5 CFBDRN Cc1cccc(N2CCC3(CCO3)CC2)c1[N+](=O)[O-] ZINC000368513575 292558906 /nfs/dbraw/zinc/55/89/06/292558906.db2.gz FYUNBHDSCXPKBA-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN O=C(NCCC1=CCCCC1)c1ccc([N+](=O)[O-])o1 ZINC000020448723 171207293 /nfs/dbraw/zinc/20/72/93/171207293.db2.gz DKGRFISPIBTFLL-UHFFFAOYSA-N 0 0 264.281 2.808 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000020448744 171207778 /nfs/dbraw/zinc/20/77/78/171207778.db2.gz PNIDTOZKZSIBDC-VIFPVBQESA-N 0 0 254.286 2.886 20 5 CFBDRN O=C(Nc1ncccc1O)c1ccc([N+](=O)[O-])cc1Cl ZINC000022263361 171383050 /nfs/dbraw/zinc/38/30/50/171383050.db2.gz CSHLCULYLMVNQU-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSc1nccn1C ZINC000024212760 171432834 /nfs/dbraw/zinc/43/28/34/171432834.db2.gz XLCAJZJZIDDTJK-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000025571520 171460951 /nfs/dbraw/zinc/46/09/51/171460951.db2.gz NFOOHGVEGWPKGJ-MWLCHTKSSA-N 0 0 277.324 2.684 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccccc1[N+](=O)[O-])C1CC1 ZINC000025770830 171465425 /nfs/dbraw/zinc/46/54/25/171465425.db2.gz ZUFMTPZVNPUFPX-DDXVTDLHSA-N 0 0 260.293 2.523 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H]2[C@H]2CCCO2)cs1 ZINC000284847945 196143302 /nfs/dbraw/zinc/14/33/02/196143302.db2.gz RIYUBQDNOYANAS-VXGBXAGGSA-N 0 0 282.365 2.800 20 5 CFBDRN COc1cccc(C(=O)Nc2ccc(O)cc2)c1[N+](=O)[O-] ZINC000028649057 171569254 /nfs/dbraw/zinc/56/92/54/171569254.db2.gz LSULJUVQXUOPLS-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN COC(=O)c1ccc(OCCCCF)c([N+](=O)[O-])c1 ZINC000284962444 196165673 /nfs/dbraw/zinc/16/56/73/196165673.db2.gz AWEUAPSHUVROQP-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])cc(C)c2F)C1 ZINC000284941369 196160479 /nfs/dbraw/zinc/16/04/79/196160479.db2.gz OEFRFIZNJODWSK-WDEREUQCSA-N 0 0 297.282 2.767 20 5 CFBDRN O=C(Nc1n[nH]c2ccccc21)c1ccccc1[N+](=O)[O-] ZINC000034514172 171842780 /nfs/dbraw/zinc/84/27/80/171842780.db2.gz LGOIHNJTDXKOSR-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1ncccc1CC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000285006187 196175953 /nfs/dbraw/zinc/17/59/53/196175953.db2.gz GEDWJGWMBHLAAY-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN NC(=O)c1ccc(CSCCCCF)c([N+](=O)[O-])c1 ZINC000285094128 196195151 /nfs/dbraw/zinc/19/51/51/196195151.db2.gz NIUFLUHZVGHIMU-UHFFFAOYSA-N 0 0 286.328 2.677 20 5 CFBDRN COCCSc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000035322029 172125011 /nfs/dbraw/zinc/12/50/11/172125011.db2.gz YUZKQWJDQZRTRB-UHFFFAOYSA-N 0 0 255.295 2.536 20 5 CFBDRN COC[C@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000035343413 172135492 /nfs/dbraw/zinc/13/54/92/172135492.db2.gz CESINBATRIWHHR-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN COc1cc(OCCc2scnc2C)ccc1[N+](=O)[O-] ZINC000285131982 196203101 /nfs/dbraw/zinc/20/31/01/196203101.db2.gz KTBFWHHFELROBH-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])n[nH]1)c1cccc(C)c1C ZINC000285188210 196217066 /nfs/dbraw/zinc/21/70/66/196217066.db2.gz TWLSFPVRIGSIJX-UHFFFAOYSA-N 0 0 288.307 2.601 20 5 CFBDRN Cc1nn(C)c(NCc2ccccc2Cl)c1[N+](=O)[O-] ZINC000035732521 172383733 /nfs/dbraw/zinc/38/37/33/172383733.db2.gz UGPAMLPXXUDPLG-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cn1nccc1C1=CCN(Cc2ccccc2[N+](=O)[O-])CC1 ZINC000285472353 196296699 /nfs/dbraw/zinc/29/66/99/196296699.db2.gz CCKCIZJGOFHRAT-UHFFFAOYSA-N 0 0 298.346 2.618 20 5 CFBDRN CC(C)[C@@H](Nc1ncccc1[N+](=O)[O-])c1ncc[nH]1 ZINC000285605200 196342279 /nfs/dbraw/zinc/34/22/79/196342279.db2.gz LYBIAOAWZCZRCW-SNVBAGLBSA-N 0 0 261.285 2.522 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037285928 173091555 /nfs/dbraw/zinc/09/15/55/173091555.db2.gz XCVCWZFBTFJHNV-UHFFFAOYSA-N 0 0 254.261 2.652 20 5 CFBDRN O=C(NCc1cccs1)c1cc([N+](=O)[O-])ccc1F ZINC000037286173 173092269 /nfs/dbraw/zinc/09/22/69/173092269.db2.gz XAQYILFIXHBKDJ-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN CN(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)C1CC1 ZINC000037286181 173092435 /nfs/dbraw/zinc/09/24/35/173092435.db2.gz NBHJYCCNSYEQPN-UHFFFAOYSA-N 0 0 299.124 2.592 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000037285953 173092618 /nfs/dbraw/zinc/09/26/18/173092618.db2.gz JBRWZGWJJMOJAD-SECBINFHSA-N 0 0 266.272 2.606 20 5 CFBDRN O=Cc1ccc(OCc2nccn2C(F)F)c([N+](=O)[O-])c1 ZINC000037302251 173107830 /nfs/dbraw/zinc/10/78/30/173107830.db2.gz KTQRRQZDWULYIX-UHFFFAOYSA-N 0 0 297.217 2.578 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCc1ccccn1 ZINC000037370878 173160007 /nfs/dbraw/zinc/16/00/07/173160007.db2.gz KTWCSAXJYFYRQW-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1noc([C@@H]2CCCN2Cc2csc([N+](=O)[O-])c2)n1 ZINC000285848836 196418648 /nfs/dbraw/zinc/41/86/48/196418648.db2.gz XURYDKGGTVXQEY-JTQLQIEISA-N 0 0 294.336 2.685 20 5 CFBDRN Cc1cc(C)nc(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000037668664 173610771 /nfs/dbraw/zinc/61/07/71/173610771.db2.gz JVMZTDWUGQPYRN-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1F)c1ccccc1 ZINC000037991104 173950805 /nfs/dbraw/zinc/95/08/05/173950805.db2.gz UUZBMDDFJJFESZ-UHFFFAOYSA-N 0 0 275.235 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCOC2)c2cccnc21 ZINC000038046258 174054707 /nfs/dbraw/zinc/05/47/07/174054707.db2.gz JNNNHLCJYAYWNT-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1ncsc1CCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000040177384 174202582 /nfs/dbraw/zinc/20/25/82/174202582.db2.gz YVDBDARPCNSKLQ-UHFFFAOYSA-N 0 0 292.316 2.794 20 5 CFBDRN COc1ccc(C=O)c(OCc2cccc([N+](=O)[O-])c2)c1 ZINC000040432945 174260650 /nfs/dbraw/zinc/26/06/50/174260650.db2.gz GZDCZSAVAXQAIF-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN CC(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000040494831 174293718 /nfs/dbraw/zinc/29/37/18/174293718.db2.gz AGBFRNCSFAGBET-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000040724345 174386474 /nfs/dbraw/zinc/38/64/74/174386474.db2.gz SOQGJRPFLBHWPQ-QMMMGPOBSA-N 0 0 268.700 2.730 20 5 CFBDRN CCCCOCCCNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000041780623 174621919 /nfs/dbraw/zinc/62/19/19/174621919.db2.gz ZKRVKIULLYSYRK-UHFFFAOYSA-N 0 0 294.351 2.840 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1ccc([N+](=O)[O-])cc1F ZINC000286246731 196540113 /nfs/dbraw/zinc/54/01/13/196540113.db2.gz DQXGBMULKMROOU-ZJUUUORDSA-N 0 0 268.288 2.818 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccc(F)c(F)c1 ZINC000042673728 174833749 /nfs/dbraw/zinc/83/37/49/174833749.db2.gz IEHOIDXBXQWCNK-SSDOTTSWSA-N 0 0 296.229 2.957 20 5 CFBDRN COCC[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000286261087 196544981 /nfs/dbraw/zinc/54/49/81/196544981.db2.gz NDFBSGTUHJDQSS-SECBINFHSA-N 0 0 266.297 2.634 20 5 CFBDRN Cc1c(NC(=O)N[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000043346702 175017432 /nfs/dbraw/zinc/01/74/32/175017432.db2.gz YZJMFJQMHIXZAB-VIFPVBQESA-N 0 0 263.297 2.823 20 5 CFBDRN COC(=O)[C@H](CC(C)C)Nc1ccccc1[N+](=O)[O-] ZINC000044421025 175173714 /nfs/dbraw/zinc/17/37/14/175173714.db2.gz SJBJNKXTWUJJFJ-NSHDSACASA-N 0 0 266.297 2.594 20 5 CFBDRN Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2C)sn1 ZINC000044453997 175176696 /nfs/dbraw/zinc/17/66/96/175176696.db2.gz LRCXEIRITZBUAF-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN COCCCCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000044659418 175225863 /nfs/dbraw/zinc/22/58/63/175225863.db2.gz XZGRWAHNUXRDQT-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC(C)(C)C ZINC000044718143 175236481 /nfs/dbraw/zinc/23/64/81/175236481.db2.gz IOKSCKWKVFLDHT-SECBINFHSA-N 0 0 282.365 2.990 20 5 CFBDRN CCOC[C@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000044726132 175238222 /nfs/dbraw/zinc/23/82/22/175238222.db2.gz GRJNCGKHIGOXDI-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1cc(CN[C@H](C)c2ccccc2[N+](=O)[O-])on1 ZINC000044985311 175284110 /nfs/dbraw/zinc/28/41/10/175284110.db2.gz KBGIURODTCQOKZ-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1cc(CNCc2cc([N+](=O)[O-])ccc2Cl)on1 ZINC000044986390 175284551 /nfs/dbraw/zinc/28/45/51/175284551.db2.gz DFBHXUBCSXUHHR-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN CC(C)NC(=O)CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000045026339 175292800 /nfs/dbraw/zinc/29/28/00/175292800.db2.gz WWVQTUSIJUVSIQ-UHFFFAOYSA-N 0 0 285.731 2.575 20 5 CFBDRN Cc1sc(C(=O)N2CCCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000045125589 175311917 /nfs/dbraw/zinc/31/19/17/175311917.db2.gz WMMKMEQNJSRCCF-QMMMGPOBSA-N 0 0 268.338 2.979 20 5 CFBDRN Cc1cc(C)c(Cn2cc([N+](=O)[O-])ccc2=O)c(C)c1 ZINC000060102842 175635796 /nfs/dbraw/zinc/63/57/96/175635796.db2.gz SDSMLKXAVGIVGG-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCC1(O)CCC1 ZINC000286382693 196586487 /nfs/dbraw/zinc/58/64/87/196586487.db2.gz DXYACBBZDBGSDI-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCOCC(F)(F)F ZINC000070167874 175876112 /nfs/dbraw/zinc/87/61/12/175876112.db2.gz CDENCKSPWXIQPY-UHFFFAOYSA-N 0 0 282.193 2.725 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000071874629 176285084 /nfs/dbraw/zinc/28/50/84/176285084.db2.gz FNRYHFXGPFPVGL-UHFFFAOYSA-N 0 0 276.214 2.619 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1csc(C)n1 ZINC000072068089 176312650 /nfs/dbraw/zinc/31/26/50/176312650.db2.gz ZBYPHGLKRHADLL-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN COc1cccc(CSCCn2cc([N+](=O)[O-])cn2)c1 ZINC000074783361 176613849 /nfs/dbraw/zinc/61/38/49/176613849.db2.gz HBAVXGDACLKTKD-UHFFFAOYSA-N 0 0 293.348 2.733 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000075286382 176683149 /nfs/dbraw/zinc/68/31/49/176683149.db2.gz RQYGQBZGKSTWFS-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN CO[C@H]1CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000076500135 177030130 /nfs/dbraw/zinc/03/01/30/177030130.db2.gz DCHDQTZKAWEAAR-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@H]1CCN(C(=O)CNc2ccccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000077026932 177154494 /nfs/dbraw/zinc/15/44/94/177154494.db2.gz GTZYFLOPBFSDRW-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC=CCC1 ZINC000077177957 177230758 /nfs/dbraw/zinc/23/07/58/177230758.db2.gz ROAPAXQYGNPVEH-JTQLQIEISA-N 0 0 291.307 2.834 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCC[C@@H]2O)c(F)c1 ZINC000077208378 177235045 /nfs/dbraw/zinc/23/50/45/177235045.db2.gz NYEGZMGHYMZQMV-ZANVPECISA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@@H](CCO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000077232408 177236928 /nfs/dbraw/zinc/23/69/28/177236928.db2.gz NNZGGPYXNYCKLU-VIFPVBQESA-N 0 0 258.705 2.821 20 5 CFBDRN CCCCN(CC)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077309674 177251012 /nfs/dbraw/zinc/25/10/12/177251012.db2.gz AHMWCADFSBXEJB-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN CC1(C)CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000077354672 177256532 /nfs/dbraw/zinc/25/65/32/177256532.db2.gz PKEAXYQAYMKIAB-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN CCCN(CCC)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077408558 177264529 /nfs/dbraw/zinc/26/45/29/177264529.db2.gz KJEKSECCRIUSEU-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN CC(C)[C@@H](C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148817 177323814 /nfs/dbraw/zinc/32/38/14/177323814.db2.gz QHRNMUSLNHCXSZ-SNVBAGLBSA-N 0 0 262.309 2.776 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078149370 177323950 /nfs/dbraw/zinc/32/39/50/177323950.db2.gz ZENVONMICQOYBS-LLVKDONJSA-N 0 0 272.304 2.840 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000078245351 177327968 /nfs/dbraw/zinc/32/79/68/177327968.db2.gz FAQDGFRJBMKYSK-CYBMUJFWSA-N 0 0 291.351 2.798 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC[C@H]1C ZINC000078438032 177341427 /nfs/dbraw/zinc/34/14/27/177341427.db2.gz XOFVOQGDKRHNJG-MFKMUULPSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1ccccc1[N+](=O)[O-] ZINC000078598111 177358386 /nfs/dbraw/zinc/35/83/86/177358386.db2.gz ZEBIGNDAYCDGAZ-SECBINFHSA-N 0 0 260.297 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nncn2C2CC2)c(Cl)c1 ZINC000078601716 177358980 /nfs/dbraw/zinc/35/89/80/177358980.db2.gz ZLSBRSFGCMRUGA-UHFFFAOYSA-N 0 0 293.714 2.787 20 5 CFBDRN CCC[C@H](CCO)CNc1cccc(F)c1[N+](=O)[O-] ZINC000078672712 177368779 /nfs/dbraw/zinc/36/87/79/177368779.db2.gz AUCLJQJEZXOOOI-SNVBAGLBSA-N 0 0 270.304 2.945 20 5 CFBDRN COC(=O)[C@@H](C)CSCCc1ccc([N+](=O)[O-])cc1 ZINC000078823306 177393908 /nfs/dbraw/zinc/39/39/08/177393908.db2.gz AJCOPZHRZXGGQL-JTQLQIEISA-N 0 0 283.349 2.680 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])c(C2CC2)n1)[C@@H]1Cc2ccccc21 ZINC000355949288 228063262 /nfs/dbraw/zinc/06/32/62/228063262.db2.gz YNTMBYJUKKNHKA-CYBMUJFWSA-N 0 0 297.314 2.578 20 5 CFBDRN C[C@@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])CCS1 ZINC000276828375 287607005 /nfs/dbraw/zinc/60/70/05/287607005.db2.gz APWKIMULPQEECK-MRVPVSSYSA-N 0 0 298.393 2.636 20 5 CFBDRN CC/C=C(\C)C(=O)NCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC001099977391 782036988 /nfs/dbraw/zinc/03/69/88/782036988.db2.gz XWPHNEQUUYFPHX-LFYBBSHMSA-N 0 0 291.351 2.504 20 5 CFBDRN CCOc1cc(N[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000220091239 260097381 /nfs/dbraw/zinc/09/73/81/260097381.db2.gz NEEYXIMJTZOAKN-ZYHUDNBSSA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000313176057 260256514 /nfs/dbraw/zinc/25/65/14/260256514.db2.gz ZCKUNVFGTPADPE-NXEZZACHSA-N 0 0 273.720 2.605 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCc2ccc(F)cc21 ZINC000518560593 260453958 /nfs/dbraw/zinc/45/39/58/260453958.db2.gz IABMRLWPNHKGKP-NSHDSACASA-N 0 0 276.271 2.567 20 5 CFBDRN CSc1nc2cc3ccccc3cc2c(=O)n1C ZINC000007168373 261291399 /nfs/dbraw/zinc/29/13/99/261291399.db2.gz FIOWRAGVUIYVEH-UHFFFAOYSA-N 0 0 256.330 2.809 20 5 CFBDRN C[C@H]1CCC[C@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000176882794 261315699 /nfs/dbraw/zinc/31/56/99/261315699.db2.gz ZRCIODARCXCWHQ-QWRGUYRKSA-N 0 0 291.351 2.828 20 5 CFBDRN C[C@H](NC(=O)c1ccc(F)cn1)c1cccc([N+](=O)[O-])c1 ZINC000182221517 262047145 /nfs/dbraw/zinc/04/71/45/262047145.db2.gz GXZKKCBYMFUXNG-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN CCCCCC(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000188492966 262300956 /nfs/dbraw/zinc/30/09/56/262300956.db2.gz IVOWNUGZLOXRPT-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN Cc1nc(NC[C@H]2CCCCC2(F)F)ccc1[N+](=O)[O-] ZINC000390998020 262375645 /nfs/dbraw/zinc/37/56/45/262375645.db2.gz FBGQQMXQMXUZGO-SNVBAGLBSA-N 0 0 285.294 2.958 20 5 CFBDRN C[C@@H]1COCCN1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000298388152 263218561 /nfs/dbraw/zinc/21/85/61/263218561.db2.gz IHARQEMDJMYCKH-MRVPVSSYSA-N 0 0 299.714 2.501 20 5 CFBDRN C[C@H](N(C)C(=O)COc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000518976891 265270496 /nfs/dbraw/zinc/27/04/96/265270496.db2.gz PZBVACPGQANYIB-NSHDSACASA-N 0 0 294.351 2.867 20 5 CFBDRN CC(C)(C)N1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000520401455 265797905 /nfs/dbraw/zinc/79/79/05/265797905.db2.gz KJPJHSWYLAZFNH-GFCCVEGCSA-N 0 0 295.314 2.545 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(C2(F)CCCCC2)n1 ZINC000354169025 266041822 /nfs/dbraw/zinc/04/18/22/266041822.db2.gz HHQIGDZRMKYXLZ-UHFFFAOYSA-N 0 0 295.274 2.507 20 5 CFBDRN CC[C@@H](C)CN(CC)c1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000357507017 266312296 /nfs/dbraw/zinc/31/22/96/266312296.db2.gz WOXKRIRZRAWRBX-SNVBAGLBSA-N 0 0 279.340 2.566 20 5 CFBDRN O=C(NCCc1ccsc1)c1ccc([N+](=O)[O-])s1 ZINC000193255310 270236894 /nfs/dbraw/zinc/23/68/94/270236894.db2.gz FZZHWVZIZOJZIA-UHFFFAOYSA-N 0 0 282.346 2.690 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000193977063 270241356 /nfs/dbraw/zinc/24/13/56/270241356.db2.gz JBQGUVDWNPNGJH-JGVFFNPUSA-N 0 0 256.327 2.821 20 5 CFBDRN Cc1ccc(C(=O)NCCOC2CCCC2)cc1[N+](=O)[O-] ZINC000194289248 270243842 /nfs/dbraw/zinc/24/38/42/270243842.db2.gz VQMDHKLANQOMHJ-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1ocnc1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000194775708 270246980 /nfs/dbraw/zinc/24/69/80/270246980.db2.gz MKEXKWOYQUHSHH-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CC(C)CCOCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000195258996 270251694 /nfs/dbraw/zinc/25/16/94/270251694.db2.gz ZNVSBPKHZBTVQJ-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)c(F)c1 ZINC000195524180 270254241 /nfs/dbraw/zinc/25/42/41/270254241.db2.gz OTZURZFSKUPKDS-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ccc(F)cc2[N+](=O)[O-])C1(C)C ZINC000227822302 270258003 /nfs/dbraw/zinc/25/80/03/270258003.db2.gz PDCJGIIULASHLN-VXGBXAGGSA-N 0 0 268.288 2.959 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(O)cc2)c(Cl)c1 ZINC000227648759 270258110 /nfs/dbraw/zinc/25/81/10/270258110.db2.gz HFEFPFHIJSITGG-UHFFFAOYSA-N 0 0 279.683 2.961 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000229551914 270258352 /nfs/dbraw/zinc/25/83/52/270258352.db2.gz GSQAWBDZDOKRIZ-SECBINFHSA-N 0 0 281.287 2.998 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC3(CCC3)C2)nc1 ZINC000268989637 270276875 /nfs/dbraw/zinc/27/68/75/270276875.db2.gz UIRSLLKKBDEVGP-JTQLQIEISA-N 0 0 263.297 2.503 20 5 CFBDRN COc1ccccc1[C@@H](C)Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000296633369 270285221 /nfs/dbraw/zinc/28/52/21/270285221.db2.gz VVLKPYJODJYURG-SECBINFHSA-N 0 0 288.307 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)C2CCC2)c2ccncc21 ZINC000315657483 270293204 /nfs/dbraw/zinc/29/32/04/270293204.db2.gz IPUAGNDPKQGPNB-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@@](C)(CNc1ncnc2sc([N+](=O)[O-])cc21)OC ZINC000322928148 270297763 /nfs/dbraw/zinc/29/77/63/270297763.db2.gz MVBHTSWHHQTCLD-LBPRGKRZSA-N 0 0 296.352 2.827 20 5 CFBDRN Cc1nnc([C@@H](C)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)[nH]1 ZINC000392374630 270306547 /nfs/dbraw/zinc/30/65/47/270306547.db2.gz HYRMNTAVHLQGGL-NXEZZACHSA-N 0 0 289.339 2.742 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2C[C@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000397239120 270316911 /nfs/dbraw/zinc/31/69/11/270316911.db2.gz WXJJHBNDDYBEGW-IUODEOHRSA-N 0 0 291.351 2.801 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2C[C@@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000397283124 270317442 /nfs/dbraw/zinc/31/74/42/270317442.db2.gz NKSYHIQGSHESSI-MFKMUULPSA-N 0 0 266.345 2.737 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]3C[C@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000397776894 270319563 /nfs/dbraw/zinc/31/95/63/270319563.db2.gz NDFVFGWRMHOQFP-AYMMMOKOSA-N 0 0 266.272 2.953 20 5 CFBDRN C[C@H](CCO)CCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000397848614 270320166 /nfs/dbraw/zinc/32/01/66/270320166.db2.gz REDBQNRXMNELAM-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c3ccccc3ncc2[N+](=O)[O-])CS1 ZINC000397996136 270321333 /nfs/dbraw/zinc/32/13/33/270321333.db2.gz QHFJRNJUGXOWNJ-NXEZZACHSA-N 0 0 289.360 2.871 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000399587395 270329790 /nfs/dbraw/zinc/32/97/90/270329790.db2.gz DPWOKOIKTLFZTM-HBNTYKKESA-N 0 0 291.351 2.753 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000515041122 270361741 /nfs/dbraw/zinc/36/17/41/270361741.db2.gz MOYFPSMHZQUFJF-IINYFYTJSA-N 0 0 291.351 2.790 20 5 CFBDRN C[C@H](c1cccs1)N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000516115324 270377780 /nfs/dbraw/zinc/37/77/80/270377780.db2.gz QEWHTCOWPLQQQT-SECBINFHSA-N 0 0 293.348 2.828 20 5 CFBDRN CC(C)(C)c1coc(NC(=O)c2ccc([N+](=O)[O-])cn2)n1 ZINC000516580460 270384496 /nfs/dbraw/zinc/38/44/96/270384496.db2.gz HWHLMWITHOBDKM-UHFFFAOYSA-N 0 0 290.279 2.528 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000516839241 270388398 /nfs/dbraw/zinc/38/83/98/270388398.db2.gz KQQADGHJTPRXBL-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN CC(C)n1cc([C@H](C)Nc2ccc([N+](=O)[O-])nc2)cn1 ZINC000516919239 270391219 /nfs/dbraw/zinc/39/12/19/270391219.db2.gz FDZCVZXXDUCHCA-JTQLQIEISA-N 0 0 275.312 2.940 20 5 CFBDRN CSCCCCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000521138837 270424056 /nfs/dbraw/zinc/42/40/56/270424056.db2.gz WOZUUUSUYYEWMU-LLVKDONJSA-N 0 0 296.392 2.958 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000522005244 270441173 /nfs/dbraw/zinc/44/11/73/270441173.db2.gz WYKUAJSOWNKWFM-NOZJJQNGSA-N 0 0 295.339 2.636 20 5 CFBDRN CCc1cccc2c1N(C(=O)c1cc([N+](=O)[O-])c[nH]1)CC2 ZINC000523725747 270457347 /nfs/dbraw/zinc/45/73/47/270457347.db2.gz VJELFJFZAOZFEI-UHFFFAOYSA-N 0 0 285.303 2.688 20 5 CFBDRN COc1cc(N(C)Cc2cccc(O)c2)ccc1[N+](=O)[O-] ZINC000526047994 270482323 /nfs/dbraw/zinc/48/23/23/270482323.db2.gz KORFMFZQFKUXJH-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN Cc1cc(F)ccc1Cn1c(C)ccc([N+](=O)[O-])c1=O ZINC000530351772 270786856 /nfs/dbraw/zinc/78/68/56/270786856.db2.gz MTTXAQVIAOGJMP-UHFFFAOYSA-N 0 0 276.267 2.561 20 5 CFBDRN CCO[C@@H](CC)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000531220154 270827010 /nfs/dbraw/zinc/82/70/10/270827010.db2.gz AZJAYFKNAODPPM-RISCZKNCSA-N 0 0 294.351 2.896 20 5 CFBDRN O=C(Nc1cccc2ccncc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000531279949 270828938 /nfs/dbraw/zinc/82/89/38/270828938.db2.gz RBCHIHSHZVWXMH-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CCc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cn2)s1 ZINC000531878620 270846042 /nfs/dbraw/zinc/84/60/42/270846042.db2.gz OWYGYBBYLKVEDQ-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN O=C(Nc1c(F)cccc1F)c1ccc([N+](=O)[O-])cn1 ZINC000532143561 270854013 /nfs/dbraw/zinc/85/40/13/270854013.db2.gz JLRGZRHJSADHGO-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC1(C)CCC(NC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000532144508 270854137 /nfs/dbraw/zinc/85/41/37/270854137.db2.gz ZPIRGYQJXJABLC-UHFFFAOYSA-N 0 0 277.324 2.688 20 5 CFBDRN C[C@]1(c2ccccc2)CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000335884861 274654094 /nfs/dbraw/zinc/65/40/94/274654094.db2.gz FPESMESAWLIXIZ-INIZCTEOSA-N 0 0 299.330 2.727 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)on1 ZINC000185456399 274681609 /nfs/dbraw/zinc/68/16/09/274681609.db2.gz DDJWYIABIRDPPM-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000356641793 287778921 /nfs/dbraw/zinc/77/89/21/287778921.db2.gz IQEDUUITFKWKSZ-WDMOLILDSA-N 0 0 294.351 2.833 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCc3cc(F)ccc32)nc1 ZINC000302135860 275041425 /nfs/dbraw/zinc/04/14/25/275041425.db2.gz AZAJPQMLECKVSN-GFCCVEGCSA-N 0 0 274.255 2.623 20 5 CFBDRN CC(C)N(C)C(=O)[C@@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271635056 275606609 /nfs/dbraw/zinc/60/66/09/275606609.db2.gz PZMGRLUSFWJUIF-VXGBXAGGSA-N 0 0 293.367 2.501 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@@H](c2ccccc2)C1)[N+](=O)[O-] ZINC000294597370 275858950 /nfs/dbraw/zinc/85/89/50/275858950.db2.gz CPRKGCHZJSNXMG-CQSZACIVSA-N 0 0 290.363 2.838 20 5 CFBDRN CCC1CN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000413363245 275967077 /nfs/dbraw/zinc/96/70/77/275967077.db2.gz MRGGIQWVOPMYJE-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H]2CC23CCCC3)c1[N+](=O)[O-] ZINC000430223085 276041754 /nfs/dbraw/zinc/04/17/54/276041754.db2.gz WZTMSCZEZPJKKK-VIFPVBQESA-N 0 0 292.339 2.504 20 5 CFBDRN CC1(C)CCC[C@H]1Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301975067 276111435 /nfs/dbraw/zinc/11/14/35/276111435.db2.gz VPYHEYGOWFBQFX-SECBINFHSA-N 0 0 275.312 2.628 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000282952236 276158124 /nfs/dbraw/zinc/15/81/24/276158124.db2.gz ZEZRWKBFFISALC-NSHDSACASA-N 0 0 279.365 2.869 20 5 CFBDRN CC1(C)[C@@H]2CN(c3ncc(Cl)cc3[N+](=O)[O-])C[C@@H]21 ZINC000269345503 276161182 /nfs/dbraw/zinc/16/11/82/276161182.db2.gz DFEDJQZUSWIVAE-DTORHVGOSA-N 0 0 267.716 2.735 20 5 CFBDRN CC1(CO)CCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000273877800 276168904 /nfs/dbraw/zinc/16/89/04/276168904.db2.gz QEMQOGABGZCGTD-UHFFFAOYSA-N 0 0 284.743 2.847 20 5 CFBDRN CC1(F)CC(Nc2nc3sccn3c2[N+](=O)[O-])C1 ZINC000450580996 276169361 /nfs/dbraw/zinc/16/93/61/276169361.db2.gz ORHCVJGVXZUUFN-UHFFFAOYSA-N 0 0 270.289 2.607 20 5 CFBDRN CCOC(=O)C1CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000286984414 276274978 /nfs/dbraw/zinc/27/49/78/276274978.db2.gz OENNDMDLUINGQY-UHFFFAOYSA-N 0 0 296.298 2.513 20 5 CFBDRN CCO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)n2)C[C@H]1C ZINC000450747448 276339402 /nfs/dbraw/zinc/33/94/02/276339402.db2.gz UCIZBTRBGMRHFF-ZWNOBZJWSA-N 0 0 279.340 2.550 20 5 CFBDRN CCc1ccc(CCn2c(C)ccc([N+](=O)[O-])c2=O)cc1 ZINC000349193961 276667429 /nfs/dbraw/zinc/66/74/29/276667429.db2.gz XJBHXXWMFVNSOO-UHFFFAOYSA-N 0 0 286.331 2.870 20 5 CFBDRN CC(=O)c1cc(N2CCSC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000287625870 277106244 /nfs/dbraw/zinc/10/62/44/277106244.db2.gz GWDQCFRXMRTVEL-SNVBAGLBSA-N 0 0 294.376 2.987 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC(F)(F)C1 ZINC000361059587 277113776 /nfs/dbraw/zinc/11/37/76/277113776.db2.gz HBEMZVBLGUSUJQ-UHFFFAOYSA-N 0 0 270.235 2.643 20 5 CFBDRN CC(C)(C(=O)N1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000335356253 277121845 /nfs/dbraw/zinc/12/18/45/277121845.db2.gz YYWGLSKVJIDSRK-UHFFFAOYSA-N 0 0 298.289 2.740 20 5 CFBDRN COC1CN(c2cc(C)c([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000360050040 277195179 /nfs/dbraw/zinc/19/51/79/277195179.db2.gz IQWJUSRPQUPMIV-UHFFFAOYSA-N 0 0 290.241 2.757 20 5 CFBDRN CNC(=O)c1ccc(NC[C@@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000230696544 277259612 /nfs/dbraw/zinc/25/96/12/277259612.db2.gz JMMLFTLUNRHXAT-JQWIXIFHSA-N 0 0 291.351 2.803 20 5 CFBDRN CNc1c(C(=O)Nc2nc(C)cs2)cccc1[N+](=O)[O-] ZINC000161408986 277301492 /nfs/dbraw/zinc/30/14/92/277301492.db2.gz ZGZHEYFBNNQEFN-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN CNc1nc(C(=O)NC(C)(C)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000456040484 277303941 /nfs/dbraw/zinc/30/39/41/277303941.db2.gz ASPGCEZIRIQWDU-UHFFFAOYSA-N 0 0 294.355 2.586 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)CC[C@H]1C ZINC000302603993 277344251 /nfs/dbraw/zinc/34/42/51/277344251.db2.gz ZFNMFMUOMNZTNE-YGRLFVJLSA-N 0 0 264.325 2.764 20 5 CFBDRN CC(C)CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCCC1 ZINC000299396877 277373513 /nfs/dbraw/zinc/37/35/13/277373513.db2.gz UMIBUGPYRJUHSU-UHFFFAOYSA-N 0 0 279.340 2.964 20 5 CFBDRN COc1cc(N2C[C@@H]3CCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000301621493 277382451 /nfs/dbraw/zinc/38/24/51/277382451.db2.gz XXQUAKQBDHIQPX-QWRGUYRKSA-N 0 0 262.309 2.840 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H]3OCC[C@H]32)c1 ZINC000268417484 277431685 /nfs/dbraw/zinc/43/16/85/277431685.db2.gz VVYVUXMOWNYJIT-YWPYICTPSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccn(C)n1)c1ccccc1[N+](=O)[O-] ZINC000459781067 277627239 /nfs/dbraw/zinc/62/72/39/277627239.db2.gz GZLLUJVNHDSPIY-MNOVXSKESA-N 0 0 274.324 2.740 20 5 CFBDRN C[C@@H]1CCN(c2c(Br)cncc2[N+](=O)[O-])C1 ZINC000270351783 277756782 /nfs/dbraw/zinc/75/67/82/277756782.db2.gz IAFKQGRWLDJTBK-SSDOTTSWSA-N 0 0 286.129 2.599 20 5 CFBDRN CC(C)[C@@H]1CCCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000117298749 277774476 /nfs/dbraw/zinc/77/44/76/277774476.db2.gz AMKIREXCGOSTRJ-ZDUSSCGKSA-N 0 0 291.351 2.828 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000186821416 277818699 /nfs/dbraw/zinc/81/86/99/277818699.db2.gz AYAQIPBZLNNIIL-RYUDHWBXSA-N 0 0 274.320 2.776 20 5 CFBDRN COc1cc(N[C@@H]2CSC2(C)C)c([N+](=O)[O-])cc1OC ZINC000276487756 277833121 /nfs/dbraw/zinc/83/31/21/277833121.db2.gz PFSZZGWKNVMDPZ-GFCCVEGCSA-N 0 0 298.364 2.918 20 5 CFBDRN C[C@@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H]1C ZINC000278376275 277920887 /nfs/dbraw/zinc/92/08/87/277920887.db2.gz DTZGKXODMCVDAY-RKDXNWHRSA-N 0 0 260.297 2.563 20 5 CFBDRN C[C@@H]1CSCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000278459364 277952144 /nfs/dbraw/zinc/95/21/44/277952144.db2.gz MKNWRFSZHCICIM-VIFPVBQESA-N 0 0 292.364 2.660 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N1CC[C@@H](F)C1 ZINC000450604097 277995002 /nfs/dbraw/zinc/99/50/02/277995002.db2.gz JILBEIKECDQTBX-SECBINFHSA-N 0 0 291.282 2.700 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000275908326 278031748 /nfs/dbraw/zinc/03/17/48/278031748.db2.gz VPWVBUGDIIFAGU-LLVKDONJSA-N 0 0 294.376 2.954 20 5 CFBDRN C[C@@H]1N(c2ncc(Cl)cc2[N+](=O)[O-])CCOC1(C)C ZINC000408401061 278045121 /nfs/dbraw/zinc/04/51/21/278045121.db2.gz ANEHNUDPBJPZQL-QMMMGPOBSA-N 0 0 285.731 2.647 20 5 CFBDRN CC(C)c1cccnc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000337575998 278061476 /nfs/dbraw/zinc/06/14/76/278061476.db2.gz WCTFHKTULLCVGW-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CC1(C)CC(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)C1 ZINC000349330116 278187882 /nfs/dbraw/zinc/18/78/82/278187882.db2.gz YXHXNYHQLPPQJY-UHFFFAOYSA-N 0 0 274.320 2.920 20 5 CFBDRN CC(=O)c1ccc(N2CCCC[C@@H]2CCO)c([N+](=O)[O-])c1 ZINC000096967088 278246505 /nfs/dbraw/zinc/24/65/05/278246505.db2.gz BWTNILDPQDUUMP-CYBMUJFWSA-N 0 0 292.335 2.539 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000175001753 278247795 /nfs/dbraw/zinc/24/77/95/278247795.db2.gz WLHSUWYVMXJYAV-GFCCVEGCSA-N 0 0 262.309 2.903 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@H]1CF)c1ccccc1[N+](=O)[O-] ZINC000359533459 278263228 /nfs/dbraw/zinc/26/32/28/278263228.db2.gz ATOSIPZSULEWSS-NSHDSACASA-N 0 0 294.326 2.833 20 5 CFBDRN C[C@H]1CSCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000172374864 278468644 /nfs/dbraw/zinc/46/86/44/278468644.db2.gz LDEGFCOJYZXSRZ-ZETCQYMHSA-N 0 0 273.745 2.585 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000220119465 278499074 /nfs/dbraw/zinc/49/90/74/278499074.db2.gz VQCREHVPLBWJMX-JGVFFNPUSA-N 0 0 271.704 2.623 20 5 CFBDRN CCC(CC)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148942 278591865 /nfs/dbraw/zinc/59/18/65/278591865.db2.gz RAGAYOXQBNMTKF-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN Cc1cc(C(=O)N2CCn3cccc3[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000150152093 278640954 /nfs/dbraw/zinc/64/09/54/278640954.db2.gz SXFVTAKGEDHWDN-LBPRGKRZSA-N 0 0 299.330 2.922 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3nonc32)CCC1(F)F ZINC000291064169 278803592 /nfs/dbraw/zinc/80/35/92/278803592.db2.gz GDPZUBMFKVLODI-SSDOTTSWSA-N 0 0 298.249 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1C[C@]12CCOC2 ZINC000368106480 278863624 /nfs/dbraw/zinc/86/36/24/278863624.db2.gz GCLVMKRXAOLKQM-ABAIWWIYSA-N 0 0 290.319 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@H]1C ZINC000104620441 278865763 /nfs/dbraw/zinc/86/57/63/278865763.db2.gz QKCAQKSAUNFJOZ-ZWNOBZJWSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@@H]1CSC[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000306102914 278871113 /nfs/dbraw/zinc/87/11/13/278871113.db2.gz QJYGIONKCDCFEZ-HQJQHLMTSA-N 0 0 256.302 2.897 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccsc2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000373391948 278934843 /nfs/dbraw/zinc/93/48/43/278934843.db2.gz KFBANEIDSLMGQC-MWLCHTKSSA-N 0 0 290.348 2.829 20 5 CFBDRN C[C@@H]1Cc2ccccc2CN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000335329478 279015854 /nfs/dbraw/zinc/01/58/54/279015854.db2.gz NWGXGCRXMZSXKF-SNVBAGLBSA-N 0 0 285.303 2.510 20 5 CFBDRN Cc1cnc(N[C@@H]2COc3ccc(F)cc32)c([N+](=O)[O-])c1 ZINC000341631026 279020299 /nfs/dbraw/zinc/02/02/99/279020299.db2.gz HONPICFIEIEHLV-LLVKDONJSA-N 0 0 289.266 2.983 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3c(C)cccc3[N+](=O)[O-])C2)c1 ZINC000290854508 279035012 /nfs/dbraw/zinc/03/50/12/279035012.db2.gz QCTLUWNIWNHZNK-ZDUSSCGKSA-N 0 0 286.335 2.860 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000377382368 279068180 /nfs/dbraw/zinc/06/81/80/279068180.db2.gz LFFUPPNYVVYPDN-ZUZCIYMTSA-N 0 0 298.298 2.847 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000377381620 279068670 /nfs/dbraw/zinc/06/86/70/279068670.db2.gz JCGNFCNQXPAMOW-ZUZCIYMTSA-N 0 0 298.298 2.847 20 5 CFBDRN Cc1nc(N2CCCC3(CCCC3)C2)ncc1[N+](=O)[O-] ZINC000450473011 279089929 /nfs/dbraw/zinc/08/99/29/279089929.db2.gz MRMPQNSHIHRTMY-UHFFFAOYSA-N 0 0 276.340 2.854 20 5 CFBDRN Cc1nc(N2CCC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000294965878 279091251 /nfs/dbraw/zinc/09/12/51/279091251.db2.gz NVLUANFWUQQIEE-LBPRGKRZSA-N 0 0 253.277 2.627 20 5 CFBDRN Cc1nc(N2CCSCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000276778258 279092846 /nfs/dbraw/zinc/09/28/46/279092846.db2.gz HVLPTWKEIKMYDV-VIFPVBQESA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1nc(N2CC[C@@H](CC(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000450947982 279093185 /nfs/dbraw/zinc/09/31/85/279093185.db2.gz OLVDUUAEDJXXEU-NSHDSACASA-N 0 0 278.356 2.956 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000301721970 279107249 /nfs/dbraw/zinc/10/72/49/279107249.db2.gz RDHFZPBQGOIAQK-BDAKNGLRSA-N 0 0 270.716 2.862 20 5 CFBDRN C[C@@H]1c2ccccc2CCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000264354830 279133207 /nfs/dbraw/zinc/13/32/07/279133207.db2.gz BDBPPSRPFMFAOD-SNVBAGLBSA-N 0 0 285.303 2.682 20 5 CFBDRN Cc1ncccc1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161889303 279168265 /nfs/dbraw/zinc/16/82/65/279168265.db2.gz TVGLLWPBBLICNB-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN C[C@@]1(c2ccccc2)CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000273676592 279175598 /nfs/dbraw/zinc/17/55/98/279175598.db2.gz YLSDTDWUCLREET-MRXNPFEDSA-N 0 0 298.346 2.943 20 5 CFBDRN Cn1ccnc1[C@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000375946498 279379368 /nfs/dbraw/zinc/37/93/68/279379368.db2.gz HRILEXVQUPOBIK-LBPRGKRZSA-N 0 0 286.335 2.712 20 5 CFBDRN CCNC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000194064950 279494932 /nfs/dbraw/zinc/49/49/32/279494932.db2.gz ZMUCZTOHPMOTHJ-UHFFFAOYSA-N 0 0 250.298 2.642 20 5 CFBDRN C[C@H](O)C1CCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000225741507 279557629 /nfs/dbraw/zinc/55/76/29/279557629.db2.gz FHOMENISRGKTRV-VIFPVBQESA-N 0 0 284.743 2.845 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153774741 279735142 /nfs/dbraw/zinc/73/51/42/279735142.db2.gz YRYJYZGJIANPGE-SNVBAGLBSA-N 0 0 299.758 2.896 20 5 CFBDRN O=C(NC1(C(F)(F)F)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000349523107 279815553 /nfs/dbraw/zinc/81/55/53/279815553.db2.gz JGRXMIHWZQRHEL-UHFFFAOYSA-N 0 0 288.225 2.810 20 5 CFBDRN C[C@H]1CN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C[C@H]1C ZINC000486938648 279887220 /nfs/dbraw/zinc/88/72/20/279887220.db2.gz IYORRZYPOUIJTK-AOOOYVTPSA-N 0 0 288.307 2.737 20 5 CFBDRN CCOc1cccc(N2CCC[C@@H](COC)C2)c1[N+](=O)[O-] ZINC000273127157 279971533 /nfs/dbraw/zinc/97/15/33/279971533.db2.gz AVEDUXJFHXFGCO-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN C[C@H]1C[C@H](O)CN1c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000350682158 280015174 /nfs/dbraw/zinc/01/51/74/280015174.db2.gz BABVDBLKTAJOBM-CBAPKCEASA-N 0 0 290.241 2.573 20 5 CFBDRN C[C@H]1C[C@H](c2cccs2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000373393644 280018805 /nfs/dbraw/zinc/01/88/05/280018805.db2.gz PQUBAGKOTAFORZ-UWVGGRQHSA-N 0 0 290.348 2.829 20 5 CFBDRN C[C@H]1OCC[C@@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000150069964 280061050 /nfs/dbraw/zinc/06/10/50/280061050.db2.gz MSMLVZKWIGMTKB-KOLCDFICSA-N 0 0 273.292 2.732 20 5 CFBDRN CC(C)[C@@]1(CO)CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000294009118 280131028 /nfs/dbraw/zinc/13/10/28/280131028.db2.gz KCCBXLMGEVEGRS-HNNXBMFYSA-N 0 0 278.352 2.830 20 5 CFBDRN C[C@H]1c2ccsc2CCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298891347 280135179 /nfs/dbraw/zinc/13/51/79/280135179.db2.gz WKTKWLTWNZOYDN-QMMMGPOBSA-N 0 0 291.332 2.744 20 5 CFBDRN C[C@]1(F)CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000335078426 280162679 /nfs/dbraw/zinc/16/26/79/280162679.db2.gz FENQCCBKMYGFPO-AWEZNQCLSA-N 0 0 291.282 2.650 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@@H]21 ZINC000336110822 280165366 /nfs/dbraw/zinc/16/53/66/280165366.db2.gz NUBHTKAYVTXFPY-ZANVPECISA-N 0 0 278.283 2.749 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1C1CC1 ZINC000370764531 280190755 /nfs/dbraw/zinc/19/07/55/280190755.db2.gz YAMSTBVGBOHDGF-CYBMUJFWSA-N 0 0 260.293 2.609 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000046466706 280246238 /nfs/dbraw/zinc/24/62/38/280246238.db2.gz ZXWOYMSYUARTMB-LLVKDONJSA-N 0 0 277.324 2.582 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC=CCC2)cc([N+](=O)[O-])c1 ZINC000172490170 280351707 /nfs/dbraw/zinc/35/17/07/280351707.db2.gz IUCQGSBZCACWSP-GFCCVEGCSA-N 0 0 260.293 2.742 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@@H]3CCCC[C@@H]3C2)nc2sccn21 ZINC000301555100 280354387 /nfs/dbraw/zinc/35/43/87/280354387.db2.gz NDLDVOQBQBTHLO-AOOOYVTPSA-N 0 0 292.364 2.930 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCCCCO2)c(F)c1 ZINC000408292875 280359547 /nfs/dbraw/zinc/35/95/47/280359547.db2.gz VTPUIIBETUHXNF-UHFFFAOYSA-N 0 0 258.224 2.795 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC2(CCC2(F)F)C1 ZINC000336022878 280372796 /nfs/dbraw/zinc/37/27/96/280372796.db2.gz NTBHVYNWKWSWKA-UHFFFAOYSA-N 0 0 272.226 2.969 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1ccc(CCO)cc1 ZINC000158078832 280374317 /nfs/dbraw/zinc/37/43/17/280374317.db2.gz QDRWNWVRQANHPT-UHFFFAOYSA-N 0 0 273.288 2.709 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]3CCCC[C@@H]32)nc1 ZINC000273644712 280379737 /nfs/dbraw/zinc/37/97/37/280379737.db2.gz SSXOHFFKSUFJLR-PWSUYJOCSA-N 0 0 262.313 2.544 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H]3CCC[C@@H]3C2)c(Cl)c1 ZINC000268209875 280381289 /nfs/dbraw/zinc/38/12/89/280381289.db2.gz IBQDYKGXJVZLEN-RKDXNWHRSA-N 0 0 267.716 2.880 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCn2c(cc3ccccc32)C1 ZINC000360103346 280383862 /nfs/dbraw/zinc/38/38/62/280383862.db2.gz HMFIWEJBJNAQQU-UHFFFAOYSA-N 0 0 294.314 2.965 20 5 CFBDRN O=C(Nc1ccc(Cl)cn1)c1csc([N+](=O)[O-])c1 ZINC000044333222 280422740 /nfs/dbraw/zinc/42/27/40/280422740.db2.gz RZYGCIFHECOFHB-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN COc1ccc2c(c1)CCN2c1ccc([N+](=O)[O-])cn1 ZINC000058544160 280455995 /nfs/dbraw/zinc/45/59/95/280455995.db2.gz RAKKVQFBBRXFBA-UHFFFAOYSA-N 0 0 271.276 2.693 20 5 CFBDRN CNc1ccc(C(=O)NCC2(C)CCCC2)cc1[N+](=O)[O-] ZINC000069052046 280478918 /nfs/dbraw/zinc/47/89/18/280478918.db2.gz OQGCZKRVDBQQNP-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CC(C)CN(C(=O)COc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000073508913 280494198 /nfs/dbraw/zinc/49/41/98/280494198.db2.gz ZPWKMRLUOQUENM-UHFFFAOYSA-N 0 0 294.351 2.867 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1csc([N+](=O)[O-])c1 ZINC000298743357 280512618 /nfs/dbraw/zinc/51/26/18/280512618.db2.gz FHAPLKUZORXNCN-GXSJLCMTSA-N 0 0 270.354 2.656 20 5 CFBDRN COc1ccc(C(=O)Nc2cnccc2C)cc1[N+](=O)[O-] ZINC000078505347 280529825 /nfs/dbraw/zinc/52/98/25/280529825.db2.gz XLZIUCMHLIJREH-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cncc(NC(=O)c2ccc([N+](=O)[O-])cc2F)c1 ZINC000079687566 280535624 /nfs/dbraw/zinc/53/56/24/280535624.db2.gz VLYOVUSMZROCEJ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CSC[C@H](C)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079624531 280535633 /nfs/dbraw/zinc/53/56/33/280535633.db2.gz IOKDTVUSGZPPLX-JTQLQIEISA-N 0 0 296.392 2.945 20 5 CFBDRN Cc1cc(N2CCC(F)(F)[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000291070986 280543349 /nfs/dbraw/zinc/54/33/49/280543349.db2.gz GZXYVLOHJSDHOC-VIFPVBQESA-N 0 0 271.267 2.780 20 5 CFBDRN Cc1cc(N2CCOC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000273494795 280563331 /nfs/dbraw/zinc/56/33/31/280563331.db2.gz QGVIPOPUPMWPPB-AWEZNQCLSA-N 0 0 262.309 2.518 20 5 CFBDRN Cc1ccc(CC(=O)NCCc2cccc([N+](=O)[O-])c2)cc1 ZINC000089835353 280565231 /nfs/dbraw/zinc/56/52/31/280565231.db2.gz GMPZTFMOIOCMMA-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN Cc1ccc(C(=O)NCCc2cccc([N+](=O)[O-])c2)cc1 ZINC000089835720 280565432 /nfs/dbraw/zinc/56/54/32/280565432.db2.gz LLBIIKJCFDJHDA-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC(C1CC1)C1CC1 ZINC000091556714 280571835 /nfs/dbraw/zinc/57/18/35/280571835.db2.gz YHPJISKKRYODLL-UHFFFAOYSA-N 0 0 278.356 2.968 20 5 CFBDRN CC1(NC(=O)c2ccccc2[N+](=O)[O-])Cc2ccccc2C1 ZINC000092895412 280575018 /nfs/dbraw/zinc/57/50/18/280575018.db2.gz OFGHRQMWYKEQBM-UHFFFAOYSA-N 0 0 296.326 2.882 20 5 CFBDRN Cc1nc(CC(=O)Nc2ccc([N+](=O)[O-])cc2)c(C)s1 ZINC000119542522 280608466 /nfs/dbraw/zinc/60/84/66/280608466.db2.gz AXUUYUXWCNFNSM-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN CSc1ccc(C(=O)Nc2nocc2C)cc1[N+](=O)[O-] ZINC000124075513 280637341 /nfs/dbraw/zinc/63/73/41/280637341.db2.gz ZCIBTGLNVSEOSN-UHFFFAOYSA-N 0 0 293.304 2.865 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000125930130 280647182 /nfs/dbraw/zinc/64/71/82/280647182.db2.gz QXTQMLOHRZFKCL-ZFWWWQNUSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)c1nc(CN[C@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000271764065 280662644 /nfs/dbraw/zinc/66/26/44/280662644.db2.gz PIOMQDGHSWQQIJ-SNVBAGLBSA-N 0 0 290.323 2.952 20 5 CFBDRN Cc1nn(C)c(C(=O)Nc2cc(C)ccc2C)c1[N+](=O)[O-] ZINC000127583608 280665623 /nfs/dbraw/zinc/66/56/23/280665623.db2.gz OPCKUKHBTAWELY-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN C[C@@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])CCCO1 ZINC000287932631 288257185 /nfs/dbraw/zinc/25/71/85/288257185.db2.gz PNGCNWXVGVCDQD-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN CCOC(=O)CN(C)Cc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000128575549 280679771 /nfs/dbraw/zinc/67/97/71/280679771.db2.gz KZXZOGRZPAZVHW-UHFFFAOYSA-N 0 0 294.351 2.713 20 5 CFBDRN CCCN(CCC)C(=O)COc1ccc([N+](=O)[O-])cc1C ZINC000131398680 280717848 /nfs/dbraw/zinc/71/78/48/280717848.db2.gz WQEFNYYYQWUBIV-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000131842887 280723587 /nfs/dbraw/zinc/72/35/87/280723587.db2.gz BYQMXWSXNPOPCW-ZJUUUORDSA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000171047034 288260897 /nfs/dbraw/zinc/26/08/97/288260897.db2.gz TWUTWZUISSJQRS-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NOC1CCCC1 ZINC000135143813 280767124 /nfs/dbraw/zinc/76/71/24/280767124.db2.gz NEQCVDWIXOHTGZ-CMDGGOBGSA-N 0 0 276.292 2.598 20 5 CFBDRN CC[C@H](C)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000357914245 280769632 /nfs/dbraw/zinc/76/96/32/280769632.db2.gz RVZRXRDWMHWJQM-RYUDHWBXSA-N 0 0 293.367 2.974 20 5 CFBDRN CCc1ncsc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000136774448 280784639 /nfs/dbraw/zinc/78/46/39/280784639.db2.gz ATBYSCDRWINBBV-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cc1F)N1CCCCC1=O ZINC000190295339 280886385 /nfs/dbraw/zinc/88/63/85/280886385.db2.gz XRZDHDOIZXQBEE-JTQLQIEISA-N 0 0 295.314 2.547 20 5 CFBDRN CO[C@H]1CC[C@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000191713402 280903812 /nfs/dbraw/zinc/90/38/12/280903812.db2.gz HPRZYDSTUZNETK-JQWIXIFHSA-N 0 0 298.726 2.546 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1(C)C ZINC000219361965 280932894 /nfs/dbraw/zinc/93/28/94/280932894.db2.gz VZWQTUQBEILPSW-VXGBXAGGSA-N 0 0 290.323 2.697 20 5 CFBDRN CCC1(CNC(=O)c2ccc(NC)c([N+](=O)[O-])c2)CCC1 ZINC000227764911 280936060 /nfs/dbraw/zinc/93/60/60/280936060.db2.gz KGKGENKFWSAYEB-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(CC(F)F)C2)c1 ZINC000425282898 281009901 /nfs/dbraw/zinc/00/99/01/281009901.db2.gz LEEMYZQJIGRGBO-UHFFFAOYSA-N 0 0 256.252 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]2C[C@H](C)O)c1 ZINC000269891086 281011724 /nfs/dbraw/zinc/01/17/24/281011724.db2.gz QZNZFMHQBOIEFH-NWDGAFQWSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1ccc2c(c1)CCN(c1ccc([N+](=O)[O-])cn1)C2 ZINC000302720913 281055194 /nfs/dbraw/zinc/05/51/94/281055194.db2.gz LXNUAFLEMQIWPR-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC[C@@H]1CCCS1 ZINC000255500232 281099240 /nfs/dbraw/zinc/09/92/40/281099240.db2.gz CGMRHCLIROUFNF-KRZKBDHCSA-N 0 0 292.360 2.620 20 5 CFBDRN CC(C)NC(=O)/C=C\c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000255776161 281107705 /nfs/dbraw/zinc/10/77/05/281107705.db2.gz VAWGFEKMYHSOMN-XQRVVYSFSA-N 0 0 268.700 2.786 20 5 CFBDRN Cc1cc(N[C@H]2CCOC3(CCC3)C2)ncc1[N+](=O)[O-] ZINC000268953883 281118192 /nfs/dbraw/zinc/11/81/92/281118192.db2.gz JEDRYCQKEATUSS-NSHDSACASA-N 0 0 277.324 2.812 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)cc(F)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000301425328 281176514 /nfs/dbraw/zinc/17/65/14/281176514.db2.gz BVTCODHSDKDRPW-ZJUUUORDSA-N 0 0 298.314 2.746 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCOC1CCCC1 ZINC000360959780 281185212 /nfs/dbraw/zinc/18/52/12/281185212.db2.gz IPTHBUJQOANVEH-UHFFFAOYSA-N 0 0 296.371 2.881 20 5 CFBDRN CC(C)[C@H](CO)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000394026466 281193803 /nfs/dbraw/zinc/19/38/03/281193803.db2.gz CJDPDEJXRXMABU-NSHDSACASA-N 0 0 289.335 2.819 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1nn(C)cc1Cl ZINC000393347567 281194040 /nfs/dbraw/zinc/19/40/40/281194040.db2.gz HFFBYDPCXZJBAO-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3C[C@H]4C[C@H]4C3)ccc2c1 ZINC000397630237 281201051 /nfs/dbraw/zinc/20/10/51/281201051.db2.gz MESIOAWGAYJSLN-ZSBIGDGJSA-N 0 0 269.304 2.775 20 5 CFBDRN CCOc1cc(NCC[C@@H](C)CCO)ccc1[N+](=O)[O-] ZINC000397814130 281202819 /nfs/dbraw/zinc/20/28/19/281202819.db2.gz DWDPFJBFHKECFD-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1csnn1 ZINC000398407740 281206467 /nfs/dbraw/zinc/20/64/67/281206467.db2.gz KPAUGRBRYLWWKS-DTWKUNHWSA-N 0 0 278.337 2.858 20 5 CFBDRN CCOc1cc(N[C@@H](C)CCCCO)ccc1[N+](=O)[O-] ZINC000398579268 281207376 /nfs/dbraw/zinc/20/73/76/281207376.db2.gz KVKGEUMAACWEPI-NSHDSACASA-N 0 0 282.340 2.957 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000298677180 281217606 /nfs/dbraw/zinc/21/76/06/281217606.db2.gz LWJUUAPWFPVPMM-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CC1(C)CN(C(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000081925241 281224358 /nfs/dbraw/zinc/22/43/58/281224358.db2.gz HRGRAIVRDLTJLN-UHFFFAOYSA-N 0 0 280.349 2.562 20 5 CFBDRN Cc1cc(N2CCc3ccc(O)cc3C2)ncc1[N+](=O)[O-] ZINC000433409096 281233671 /nfs/dbraw/zinc/23/36/71/281233671.db2.gz GAHAHQGOIVDNCO-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2CCO[C@H](C)C2)n1 ZINC000447300769 281240493 /nfs/dbraw/zinc/24/04/93/281240493.db2.gz FGCRTTNNTHMQMC-VXGBXAGGSA-N 0 0 280.324 2.801 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)c1nccs1 ZINC000447301712 281240502 /nfs/dbraw/zinc/24/05/02/281240502.db2.gz BAHSHCJYOUCUKN-UHFFFAOYSA-N 0 0 278.289 2.621 20 5 CFBDRN CCc1ncc(CNc2cc(OC)ccc2[N+](=O)[O-])o1 ZINC000450845975 281244705 /nfs/dbraw/zinc/24/47/05/281244705.db2.gz LMHVJTDMNVYTHA-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN CCCc1ncc(CNc2nc(C)ccc2[N+](=O)[O-])o1 ZINC000450847514 281244816 /nfs/dbraw/zinc/24/48/16/281244816.db2.gz PPGFVZZNLZFIGA-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC=C(c2ccnn2C)CC1 ZINC000286557083 281258476 /nfs/dbraw/zinc/25/84/76/281258476.db2.gz KFOJFGUHZZYGBU-UHFFFAOYSA-N 0 0 298.346 2.930 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000185380143 281264876 /nfs/dbraw/zinc/26/48/76/281264876.db2.gz KRSJUNLDINFASC-GWCFXTLKSA-N 0 0 262.309 2.855 20 5 CFBDRN CC1(C)CN(c2c(F)cc([N+](=O)[O-])cc2F)CCCO1 ZINC000372132223 281266258 /nfs/dbraw/zinc/26/62/58/281266258.db2.gz PMOAWCZTIQHRQH-UHFFFAOYSA-N 0 0 286.278 2.878 20 5 CFBDRN CC1(C)CN(c2ncccc2[N+](=O)[O-])[C@@H]1c1ccncc1 ZINC000368029406 281272364 /nfs/dbraw/zinc/27/23/64/281272364.db2.gz OBGPXCYDDJRAKP-CYBMUJFWSA-N 0 0 284.319 2.972 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000187421913 281273510 /nfs/dbraw/zinc/27/35/10/281273510.db2.gz RHQRJQJTJSPDOU-MFKMUULPSA-N 0 0 262.309 2.855 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283174182 281273519 /nfs/dbraw/zinc/27/35/19/281273519.db2.gz PNLSGRFEQBNYMV-PELKAZGASA-N 0 0 295.314 2.577 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)CO1 ZINC000515836504 281298183 /nfs/dbraw/zinc/29/81/83/281298183.db2.gz BYNLDEMNLGUHGN-VXNVDRBHSA-N 0 0 288.250 2.786 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000525399757 281316246 /nfs/dbraw/zinc/31/62/46/281316246.db2.gz BFICWRSAQWMSQF-VXGBXAGGSA-N 0 0 291.351 2.577 20 5 CFBDRN COc1cc(OC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000526995419 281323168 /nfs/dbraw/zinc/32/31/68/281323168.db2.gz CNWWBCCLEJIUJA-NSHDSACASA-N 0 0 267.281 2.551 20 5 CFBDRN COc1c(C(=O)NCC2CC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000527004869 281323851 /nfs/dbraw/zinc/32/38/51/281323851.db2.gz IUJFOPJRFMVZKH-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CC1(C)CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000527486841 281333323 /nfs/dbraw/zinc/33/33/23/281333323.db2.gz RAYGHUIALGHOTF-JTQLQIEISA-N 0 0 277.324 2.688 20 5 CFBDRN Cc1cccnc1CNCc1ccc([N+](=O)[O-])cc1 ZINC000112171028 281412297 /nfs/dbraw/zinc/41/22/97/281412297.db2.gz GMGRCVJEEUJYFN-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN C[C@@H]1CCC[C@H](CCNC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000531628843 281436022 /nfs/dbraw/zinc/43/60/22/281436022.db2.gz RKVRYQRGSCINRD-VXGBXAGGSA-N 0 0 291.351 2.936 20 5 CFBDRN CC[C@H]1CCCC[C@H]1N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000532324111 281451097 /nfs/dbraw/zinc/45/10/97/281451097.db2.gz DBGQZXAEZZURGG-WCQYABFASA-N 0 0 293.367 2.974 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1OCc1ccno1 ZINC000533202020 281464692 /nfs/dbraw/zinc/46/46/92/281464692.db2.gz UYHKZGVDHMPRKV-UHFFFAOYSA-N 0 0 299.080 2.924 20 5 CFBDRN Cc1ccnc(N[C@H]2CCO[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000271374326 281473792 /nfs/dbraw/zinc/47/37/92/281473792.db2.gz DXDVCDFOFSHHCM-NWDGAFQWSA-N 0 0 279.340 2.914 20 5 CFBDRN CC1(C)CCN1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000534681990 281488386 /nfs/dbraw/zinc/48/83/86/281488386.db2.gz ZWMXIPWXXLLSEA-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)ncc2C)c1 ZINC000535748548 281507423 /nfs/dbraw/zinc/50/74/23/281507423.db2.gz ZCTALSUPVCXEFG-UHFFFAOYSA-N 0 0 285.303 2.589 20 5 CFBDRN COc1cc(CSc2cnccn2)ccc1[N+](=O)[O-] ZINC000537604023 281541007 /nfs/dbraw/zinc/54/10/07/281541007.db2.gz PUFKVBXEINMYRT-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN C[C@H](NCc1nnc(C2CC2)o1)c1cccc([N+](=O)[O-])c1 ZINC000540379342 281584854 /nfs/dbraw/zinc/58/48/54/281584854.db2.gz NMXJCSRZPOZYRF-VIFPVBQESA-N 0 0 288.307 2.706 20 5 CFBDRN Cc1ccc([C@H]2CCN(C(=O)c3cc([N+](=O)[O-])c[nH]3)C2)cc1 ZINC000541508889 281605134 /nfs/dbraw/zinc/60/51/34/281605134.db2.gz TTYCBGKCMLOWKA-ZDUSSCGKSA-N 0 0 299.330 2.861 20 5 CFBDRN Cc1cccc(NC(=O)c2nn(C)cc2[N+](=O)[O-])c1Cl ZINC000541868373 281614119 /nfs/dbraw/zinc/61/41/19/281614119.db2.gz SWZMHIVRXLPTTH-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN COc1ccc(NC(=O)N2C[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000543891968 281658746 /nfs/dbraw/zinc/65/87/46/281658746.db2.gz MAAXMZQOUIQWFO-UWVGGRQHSA-N 0 0 293.323 2.866 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC(C1CC1)C1CC1 ZINC000091555617 281668420 /nfs/dbraw/zinc/66/84/20/281668420.db2.gz DOUMMVLZTBOODE-UHFFFAOYSA-N 0 0 264.329 2.720 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CCO[C@H]2C)n1 ZINC000544733648 281672387 /nfs/dbraw/zinc/67/23/87/281672387.db2.gz CPIAVGKXIIGIHW-JOYOIKCWSA-N 0 0 289.291 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(C=C3CCCC3)n2)nc1 ZINC000545070019 281678915 /nfs/dbraw/zinc/67/89/15/281678915.db2.gz ILOQOYHAOGOMBX-UHFFFAOYSA-N 0 0 286.291 2.921 20 5 CFBDRN CNc1ccc(-c2nc([C@H]3CCCO3)no2)cc1[N+](=O)[O-] ZINC000545775970 281693843 /nfs/dbraw/zinc/69/38/43/281693843.db2.gz YDNUGUUOTLGRBE-LLVKDONJSA-N 0 0 290.279 2.538 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2c(F)cccc2[N+](=O)[O-])o1 ZINC000545917563 281697311 /nfs/dbraw/zinc/69/73/11/281697311.db2.gz DZLSVAKEIPEBJK-UHFFFAOYSA-N 0 0 294.238 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CCC(F)(F)F)no2)nc1 ZINC000546286362 281707193 /nfs/dbraw/zinc/70/71/93/281707193.db2.gz NGHUWLWGBKGSSQ-UHFFFAOYSA-N 0 0 288.185 2.535 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1cnccc1C ZINC000547336503 281732781 /nfs/dbraw/zinc/73/27/81/281732781.db2.gz CBCXTNVYWZTCFJ-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000548097832 281768821 /nfs/dbraw/zinc/76/88/21/281768821.db2.gz CQTAKANUFGZKGW-NXEZZACHSA-N 0 0 288.307 2.628 20 5 CFBDRN COc1cccc(N2C[C@@H](C)S[C@H](C)C2)c1[N+](=O)[O-] ZINC000548117723 281769083 /nfs/dbraw/zinc/76/90/83/281769083.db2.gz ZNWGNDPPGQNEBU-NXEZZACHSA-N 0 0 282.365 2.934 20 5 CFBDRN Cc1c(Cl)cccc1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548735255 281798463 /nfs/dbraw/zinc/79/84/63/281798463.db2.gz BTXUWBHBIZTPMU-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000553567944 281879029 /nfs/dbraw/zinc/87/90/29/281879029.db2.gz SASOCRCEYPQNFV-WDAIWFPHSA-N 0 0 290.319 2.900 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@@H]3CCOC3)C2)c(F)cc1[N+](=O)[O-] ZINC000555222098 281911012 /nfs/dbraw/zinc/91/10/12/281911012.db2.gz BKXMOVCKOHIUMV-VXGBXAGGSA-N 0 0 294.326 2.905 20 5 CFBDRN C[C@H](C1CC1)N(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000555835928 281922443 /nfs/dbraw/zinc/92/24/43/281922443.db2.gz PHPYMNKSVAWZBJ-SSDOTTSWSA-N 0 0 255.705 2.878 20 5 CFBDRN CCc1[nH]ccc1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000556941274 281954092 /nfs/dbraw/zinc/95/40/92/281954092.db2.gz IGCLHEZWCKCKAJ-UHFFFAOYSA-N 0 0 299.330 2.684 20 5 CFBDRN CC1(C)CC(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)C1 ZINC000556943888 281955023 /nfs/dbraw/zinc/95/50/23/281955023.db2.gz SYNPPGBIKWFJQC-UHFFFAOYSA-N 0 0 288.347 2.916 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000557713747 281970334 /nfs/dbraw/zinc/97/03/34/281970334.db2.gz MQKFZRROYZETJM-JOYOIKCWSA-N 0 0 298.289 2.954 20 5 CFBDRN Cc1nc([C@H]2CCCN(c3ccc([N+](=O)[O-])cc3)C2)no1 ZINC000372935188 282000129 /nfs/dbraw/zinc/00/01/29/282000129.db2.gz UTWWWRVXYFOOOD-NSHDSACASA-N 0 0 288.307 2.670 20 5 CFBDRN CCc1coc(NC(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000559232889 282002802 /nfs/dbraw/zinc/00/28/02/282002802.db2.gz GJJFVBJEUPCCAC-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCc1nocc1COc1ccc(OC)cc1[N+](=O)[O-] ZINC000559629155 282007513 /nfs/dbraw/zinc/00/75/13/282007513.db2.gz KVXLVUNHCIYZIL-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCC(C)(C)C1=CCCC1 ZINC000560395524 282024405 /nfs/dbraw/zinc/02/44/05/282024405.db2.gz FPDHLUUUQMPOSU-UHFFFAOYSA-N 0 0 291.351 2.800 20 5 CFBDRN O=c1[nH]cnc2cc(N[C@H]3CC[C@H](F)C3)c([N+](=O)[O-])cc12 ZINC000561376446 282053504 /nfs/dbraw/zinc/05/35/04/282053504.db2.gz JDIGHHVUCNHRFC-YUMQZZPRSA-N 0 0 292.270 2.546 20 5 CFBDRN COc1cc(N[C@H]2CC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000561407713 282054355 /nfs/dbraw/zinc/05/43/55/282054355.db2.gz CRFBMCFSDFAASI-APPZFPTMSA-N 0 0 254.261 2.953 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000408454093 288655436 /nfs/dbraw/zinc/65/54/36/288655436.db2.gz TWPLJVHVKFMURF-DTWKUNHWSA-N 0 0 260.297 2.706 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000354680930 288672463 /nfs/dbraw/zinc/67/24/63/288672463.db2.gz UVCCBKKLNZBELN-KXUCPTDWSA-N 0 0 266.297 2.694 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@H](C)C1(C)CC1 ZINC000360996984 288676127 /nfs/dbraw/zinc/67/61/27/288676127.db2.gz VAOLUIWRQFTEFM-SECBINFHSA-N 0 0 266.345 2.744 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@H](C)C1CCC1 ZINC000361063989 288676708 /nfs/dbraw/zinc/67/67/08/288676708.db2.gz YAHAXVAGGWLMHQ-SECBINFHSA-N 0 0 266.345 2.744 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000295647107 289181437 /nfs/dbraw/zinc/18/14/37/289181437.db2.gz ULFWKEPVWORFJX-CYBMUJFWSA-N 0 0 284.262 2.698 20 5 CFBDRN Cn1cccc1C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152360337 289845483 /nfs/dbraw/zinc/84/54/83/289845483.db2.gz JRDLDYJTVDWYFM-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN Cc1cc(C)c(OC[C@@H](O)COCC(C)C)c([N+](=O)[O-])c1 ZINC000153652076 289873702 /nfs/dbraw/zinc/87/37/02/289873702.db2.gz FABHDAVGICWGBZ-ZDUSSCGKSA-N 0 0 297.351 2.624 20 5 CFBDRN CC(C)c1cc(C(=O)NCc2cccc([N+](=O)[O-])c2)no1 ZINC000155069711 289910739 /nfs/dbraw/zinc/91/07/39/289910739.db2.gz LCCSVKOCKUMTCP-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN CCc1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2C)cn1 ZINC000155735854 289924540 /nfs/dbraw/zinc/92/45/40/289924540.db2.gz JTNQKRFQONNICH-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](C(C)(C)C)[C@@H](O)C2)c1[N+](=O)[O-] ZINC000272589705 292776736 /nfs/dbraw/zinc/77/67/36/292776736.db2.gz WHAXCEXEHQPRKM-NEPJUHHUSA-N 0 0 293.367 2.532 20 5 CFBDRN CCCN(C)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000156005834 289930448 /nfs/dbraw/zinc/93/04/48/289930448.db2.gz YRISCIXDYABVFK-UHFFFAOYSA-N 0 0 261.281 2.558 20 5 CFBDRN C[C@@H](c1nc([C@H]2CCOC2)no1)c1cccc([N+](=O)[O-])c1 ZINC000562413636 290041559 /nfs/dbraw/zinc/04/15/59/290041559.db2.gz WFUBCGPENWSIQY-KOLCDFICSA-N 0 0 289.291 2.634 20 5 CFBDRN C[C@H]1CCCC[C@@]1(C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000562588073 290057690 /nfs/dbraw/zinc/05/76/90/290057690.db2.gz SQRCCHZTOBTBQB-IINYFYTJSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@@H](CNc1nc2c(cc1[N+](=O)[O-])CCCC2)C[C@H](C)O ZINC000563038398 290096407 /nfs/dbraw/zinc/09/64/07/290096407.db2.gz MEVKVJQARKSMDA-MNOVXSKESA-N 0 0 293.367 2.688 20 5 CFBDRN Cc1cnc(N2CC[C@@H](c3cccnc3)C2)c([N+](=O)[O-])c1 ZINC000563049613 290098283 /nfs/dbraw/zinc/09/82/83/290098283.db2.gz PHKAVRWTLWBJDD-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN CCSCCCc1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000563779408 290174026 /nfs/dbraw/zinc/17/40/26/290174026.db2.gz RLONJRURORUQSU-UHFFFAOYSA-N 0 0 294.336 2.726 20 5 CFBDRN CN(C(=O)C1CC2(CCC2)C1)c1ccc([N+](=O)[O-])nc1 ZINC000563889214 290181555 /nfs/dbraw/zinc/18/15/55/290181555.db2.gz NNWSZLFAMFYHGV-UHFFFAOYSA-N 0 0 275.308 2.533 20 5 CFBDRN C[C@H](SCCN1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000160050405 290189062 /nfs/dbraw/zinc/18/90/62/290189062.db2.gz HQQRMRQPUKYHMC-LBPRGKRZSA-N 0 0 296.392 2.721 20 5 CFBDRN C[C@@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000564030851 290189426 /nfs/dbraw/zinc/18/94/26/290189426.db2.gz YNLRWZHHBQBKMY-NXEZZACHSA-N 0 0 294.355 2.605 20 5 CFBDRN CC(C)c1scnc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000564256352 290199210 /nfs/dbraw/zinc/19/92/10/290199210.db2.gz BRRMEYWREZEMGU-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN C[C@@H]1C[C@H](C)N1c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000564446426 290209280 /nfs/dbraw/zinc/20/92/80/290209280.db2.gz PCLPQTLWWBYHKI-AOOOYVTPSA-N 0 0 261.325 2.856 20 5 CFBDRN Cc1cc(N2CCOCC3(CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000564639671 290220287 /nfs/dbraw/zinc/22/02/87/290220287.db2.gz OJXPOGYTHAIJLR-UHFFFAOYSA-N 0 0 280.299 2.659 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000564727965 290227064 /nfs/dbraw/zinc/22/70/64/290227064.db2.gz OGHKDSWDIVMWBG-QMMMGPOBSA-N 0 0 281.287 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@]3(CCSC3)C2)c1 ZINC000564903269 290247142 /nfs/dbraw/zinc/24/71/42/290247142.db2.gz LJOUXGCRYVGOQZ-CQSZACIVSA-N 0 0 294.376 2.616 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2nc(C)cs2)c1 ZINC000564960021 290252641 /nfs/dbraw/zinc/25/26/41/290252641.db2.gz ZDJCEAKMNBDYCJ-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CO[C@@H](Cn1cc(-c2ccccc2[N+](=O)[O-])cn1)C1CC1 ZINC000565215368 290282794 /nfs/dbraw/zinc/28/27/94/290282794.db2.gz CDDNYKZDSRMQJR-HNNXBMFYSA-N 0 0 287.319 2.883 20 5 CFBDRN Cc1nc(N[C@H]2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000565713559 290319454 /nfs/dbraw/zinc/31/94/54/290319454.db2.gz HTQAAAPTYYESNV-ZJUUUORDSA-N 0 0 253.277 2.991 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCO[C@@H](C2CC2)C1 ZINC000565903623 290334057 /nfs/dbraw/zinc/33/40/57/290334057.db2.gz KOCRTJHWGLZYGE-BXUZGUMPSA-N 0 0 292.335 2.973 20 5 CFBDRN CC1(Cn2c(=O)onc2-c2cccc([N+](=O)[O-])c2)CCC1 ZINC000566209779 290358070 /nfs/dbraw/zinc/35/80/70/290358070.db2.gz LHFDQEIRFWTOGP-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN CCc1ccc(OC[C@H](OC)C2CC2)c([N+](=O)[O-])c1 ZINC000566210532 290358166 /nfs/dbraw/zinc/35/81/66/290358166.db2.gz XDSQSTXTYVKJFQ-AWEZNQCLSA-N 0 0 265.309 2.961 20 5 CFBDRN C[C@H]1CCC(=O)[C@H](Oc2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000450955359 290367194 /nfs/dbraw/zinc/36/71/94/290367194.db2.gz CNYNEMJJTNWOKV-WRWORJQWSA-N 0 0 284.699 2.780 20 5 CFBDRN Cc1cnc(C)c(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000184050872 292886345 /nfs/dbraw/zinc/88/63/45/292886345.db2.gz RHXQCXJARYPBIH-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1cnc(N2CC[C@@]3(C)[C@@H](C2)C3(F)F)c([N+](=O)[O-])c1 ZINC000291783128 292913685 /nfs/dbraw/zinc/91/36/85/292913685.db2.gz CPAAWEHDKMWTQQ-PWSUYJOCSA-N 0 0 283.278 2.780 20 5 CFBDRN Cc1nnc(N[C@H](C)c2ccccc2[N+](=O)[O-])nc1C ZINC000359806053 293860225 /nfs/dbraw/zinc/86/02/25/293860225.db2.gz SCKMPVBHNXDVQM-SNVBAGLBSA-N 0 0 273.296 2.570 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000280195182 294134179 /nfs/dbraw/zinc/13/41/79/294134179.db2.gz FSQHVDUHQNAJAZ-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN CO[C@@]1(C)CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000343050008 294134256 /nfs/dbraw/zinc/13/42/56/294134256.db2.gz BPLRUZPOXWMMQY-ZDUSSCGKSA-N 0 0 268.288 2.739 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000415393241 294363494 /nfs/dbraw/zinc/36/34/94/294363494.db2.gz OUJZSKZVMQBSBA-SMDDNHRTSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])[C@H](C)[C@H](C)O1 ZINC000408443692 297082069 /nfs/dbraw/zinc/08/20/69/297082069.db2.gz OQCGJUSTSBXCIZ-MXWKQRLJSA-N 0 0 250.298 2.597 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCCC3(CC3)C2)c1 ZINC000338698096 295253960 /nfs/dbraw/zinc/25/39/60/295253960.db2.gz GXAKDLZAGDJZNW-UHFFFAOYSA-N 0 0 262.309 2.984 20 5 CFBDRN COc1cccc(N2CCO[C@@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000279524962 295305627 /nfs/dbraw/zinc/30/56/27/295305627.db2.gz QZWWXHIRSCQHPH-CYBMUJFWSA-N 0 0 294.351 2.855 20 5 CFBDRN Nc1ccc(N2CCC([C@H]3CCCO3)CC2)c([N+](=O)[O-])c1 ZINC000286500114 295353069 /nfs/dbraw/zinc/35/30/69/295353069.db2.gz AJUVTQZIOKHFHD-OAHLLOKOSA-N 0 0 291.351 2.572 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC=C(C(F)(F)F)CC2)c1 ZINC000282178367 295355483 /nfs/dbraw/zinc/35/54/83/295355483.db2.gz IZAGSRAAVUSNLA-UHFFFAOYSA-N 0 0 287.241 2.876 20 5 CFBDRN C[C@@H]1CN(c2ncccc2[N+](=O)[O-])C[C@@H](c2ccccc2)O1 ZINC000299250940 297086202 /nfs/dbraw/zinc/08/62/02/297086202.db2.gz PWWSNJGXYIHESA-DOMZBBRYSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CC(CF)C1 ZINC000335349232 301286665 /nfs/dbraw/zinc/28/66/65/301286665.db2.gz PGUVJZINEXRWDB-UHFFFAOYSA-N 0 0 286.690 2.598 20 5 CFBDRN Cc1cc(N[C@@H](C)CC(=O)[O-])c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000122157693 301364414 /nfs/dbraw/zinc/36/44/14/301364414.db2.gz QFQKBLTUGRNBDF-VIFPVBQESA-N 0 0 289.291 2.727 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)[C@@H]2C)c1 ZINC000177131913 301848985 /nfs/dbraw/zinc/84/89/85/301848985.db2.gz ZRWIWIDELKKESI-QWRGUYRKSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000177021054 301951956 /nfs/dbraw/zinc/95/19/56/301951956.db2.gz SWYUDZGOJQZBEJ-MWLCHTKSSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cccc(N2CCOCC3(CCC3)C2)c1[N+](=O)[O-] ZINC000368724084 301998552 /nfs/dbraw/zinc/99/85/52/301998552.db2.gz HPQDKAJYGLDUGL-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C)[C@H]1C ZINC000194801053 302038369 /nfs/dbraw/zinc/03/83/69/302038369.db2.gz DTRJELZJYDGDBR-GXSJLCMTSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cccc2c1CCN2c1ncccc1[N+](=O)[O-] ZINC000049491077 302055779 /nfs/dbraw/zinc/05/57/79/302055779.db2.gz DWSVLHMLYNZQLP-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN Cc1cnc(N2CCC[C@H]([C@@H]3CCOC3)C2)c([N+](=O)[O-])c1 ZINC000439083242 302350281 /nfs/dbraw/zinc/35/02/81/302350281.db2.gz GQZYHAOWNNINGS-QWHCGFSZSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1nn(C)c(N2CCc3ccc(C)cc32)c1[N+](=O)[O-] ZINC000301300772 302786494 /nfs/dbraw/zinc/78/64/94/302786494.db2.gz UYRUGKFXEXOHRV-UHFFFAOYSA-N 0 0 272.308 2.639 20 5 CFBDRN Cn1cc([C@@H]2CCCN2c2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000302824225 302924096 /nfs/dbraw/zinc/92/40/96/302924096.db2.gz WNMVFCVZCNNARA-LBPRGKRZSA-N 0 0 290.298 2.809 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCCC2(CCCCC2)C1 ZINC000408124941 303019999 /nfs/dbraw/zinc/01/99/99/303019999.db2.gz UXWHWRHXIMWXPT-UHFFFAOYSA-N 0 0 278.356 2.879 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC2(CC2)c2ccccc21 ZINC000265837293 303020061 /nfs/dbraw/zinc/02/00/61/303020061.db2.gz ROCTYKSHHNAZHY-UHFFFAOYSA-N 0 0 270.292 2.512 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCOc2cccc(F)c2C1 ZINC000337828259 304812736 /nfs/dbraw/zinc/81/27/36/304812736.db2.gz UPZOXOZMOYCBIH-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN CC[C@H](C)CSCCn1cc([N+](=O)[O-])ccc1=O ZINC000160168922 322333563 /nfs/dbraw/zinc/33/35/63/322333563.db2.gz ALNLGSMDYVUBLD-JTQLQIEISA-N 0 0 270.354 2.536 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCc2ccccn2)nc1 ZINC000160360207 322337051 /nfs/dbraw/zinc/33/70/51/322337051.db2.gz DAGVEBUOUMKPEF-UHFFFAOYSA-N 0 0 261.306 2.720 20 5 CFBDRN O=C([C@H]1C[C@H]1C1CC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161392082 322350804 /nfs/dbraw/zinc/35/08/04/322350804.db2.gz CYTGNDLSASNBNF-KBPBESRZSA-N 0 0 286.331 2.526 20 5 CFBDRN CCC[C@@H](C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161375422 322350831 /nfs/dbraw/zinc/35/08/31/322350831.db2.gz CDXYJXKECRLQOY-LLVKDONJSA-N 0 0 276.336 2.916 20 5 CFBDRN CC[C@H](SC)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161418290 322351510 /nfs/dbraw/zinc/35/15/10/322351510.db2.gz QPPOFSXNIIMVER-ZDUSSCGKSA-N 0 0 294.376 2.621 20 5 CFBDRN Cc1cccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1F ZINC000161486390 322352287 /nfs/dbraw/zinc/35/22/87/322352287.db2.gz XYZHNFBQFGANGM-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1ccc(C(=O)N2[C@H](C)CC[C@H]2C)cc1[N+](=O)[O-] ZINC000161529166 322353356 /nfs/dbraw/zinc/35/33/56/322353356.db2.gz GIPVNFKHEBBCAG-GHMZBOCLSA-N 0 0 262.309 2.916 20 5 CFBDRN O=C([C@@H]1C[C@@H]1C1CC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161984006 322359647 /nfs/dbraw/zinc/35/96/47/322359647.db2.gz SVXMGWNBKOOPPO-CHWSQXEVSA-N 0 0 286.331 2.920 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000162622504 322367124 /nfs/dbraw/zinc/36/71/24/322367124.db2.gz WYJODPCGQISRMH-LLVKDONJSA-N 0 0 291.351 2.945 20 5 CFBDRN CC[C@@H]1CN(Cc2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000163308664 322375209 /nfs/dbraw/zinc/37/52/09/322375209.db2.gz ZAAOYOQNXHIUAG-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000164275540 322381616 /nfs/dbraw/zinc/38/16/16/322381616.db2.gz XMIKQYPYEDBSOO-SNVBAGLBSA-N 0 0 297.380 2.508 20 5 CFBDRN COCC(C)(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000165079980 322384429 /nfs/dbraw/zinc/38/44/29/322384429.db2.gz OETDWHNNOKQLPQ-UHFFFAOYSA-N 0 0 280.324 2.823 20 5 CFBDRN COc1cccnc1NCc1ccc([N+](=O)[O-])cc1 ZINC000169028646 322389781 /nfs/dbraw/zinc/38/97/81/322389781.db2.gz BLGAFRMQEQEEED-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN C[C@@H]1c2ccccc2CCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000170957466 322396304 /nfs/dbraw/zinc/39/63/04/322396304.db2.gz LOZHDMWPQMHOGC-SNVBAGLBSA-N 0 0 286.287 2.947 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cc(C)ccc2F)c1[N+](=O)[O-] ZINC000171368851 322404884 /nfs/dbraw/zinc/40/48/84/322404884.db2.gz DGRFPHSYZYPJJP-UHFFFAOYSA-N 0 0 292.270 2.580 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1Cc2ccccc2C1 ZINC000171662895 322410774 /nfs/dbraw/zinc/41/07/74/322410774.db2.gz VVZRHMDDQDRJQM-UHFFFAOYSA-N 0 0 274.301 2.812 20 5 CFBDRN O=C(Cc1ccsc1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172423835 322425015 /nfs/dbraw/zinc/42/50/15/322425015.db2.gz GGLHYPFDKDFNAE-UHFFFAOYSA-N 0 0 288.328 2.788 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC=CCC1 ZINC000172482396 322426091 /nfs/dbraw/zinc/42/60/91/322426091.db2.gz JHPQSHVUUMKZTF-LLVKDONJSA-N 0 0 260.293 2.742 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432169554 322455447 /nfs/dbraw/zinc/45/54/47/322455447.db2.gz LOEPKHRVJQNAQO-UWVGGRQHSA-N 0 0 275.308 2.978 20 5 CFBDRN COC(C)(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000569526858 322478946 /nfs/dbraw/zinc/47/89/46/322478946.db2.gz IHCNTOIELVTEOS-UHFFFAOYSA-N 0 0 291.307 2.921 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@H](F)C1 ZINC000569599089 322484700 /nfs/dbraw/zinc/48/47/00/322484700.db2.gz BTHUZECXKCCBIV-NWDGAFQWSA-N 0 0 295.314 2.675 20 5 CFBDRN CC(C)Oc1nc(N(C)CC2CC2)ccc1[N+](=O)[O-] ZINC000571418004 322582883 /nfs/dbraw/zinc/58/28/83/322582883.db2.gz QNKUFCIGLJFXOS-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN CSC[C@H](C)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000572425521 322618834 /nfs/dbraw/zinc/61/88/34/322618834.db2.gz JFGBTEGUJRHDBY-VHSXEESVSA-N 0 0 282.365 2.566 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@H](C)[C@H]2C)ncc1[N+](=O)[O-] ZINC000572949244 322638077 /nfs/dbraw/zinc/63/80/77/322638077.db2.gz WYLMBUQGEXIVGD-IQJOONFLSA-N 0 0 264.329 2.930 20 5 CFBDRN C[C@H](C(=O)NCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000575334074 322724462 /nfs/dbraw/zinc/72/44/62/322724462.db2.gz RFXNUDVWEOFDRS-JTQLQIEISA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1[nH]ccc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000174928751 323657750 /nfs/dbraw/zinc/65/77/50/323657750.db2.gz ASRGZUOUBHGAOO-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1nnc(NCc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000181705575 323821955 /nfs/dbraw/zinc/82/19/55/323821955.db2.gz MFWIPFVQAGMSPV-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN C[C@@H](C(=O)NCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000182516409 323868649 /nfs/dbraw/zinc/86/86/49/323868649.db2.gz AVJMWHDLKDBASW-ZCFIWIBFSA-N 0 0 294.204 2.516 20 5 CFBDRN CCN(CC(F)F)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000184657295 323950799 /nfs/dbraw/zinc/95/07/99/323950799.db2.gz ZHBAWGYINABYIE-UHFFFAOYSA-N 0 0 292.669 2.975 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCCO[C@@H]1CCCCO1 ZINC000185065057 323958620 /nfs/dbraw/zinc/95/86/20/323958620.db2.gz PRNIDOPCBARBRZ-CQSZACIVSA-N 0 0 281.308 2.907 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)co1 ZINC000188072395 324008180 /nfs/dbraw/zinc/00/81/80/324008180.db2.gz DIIILKIDQMXBLK-UHFFFAOYSA-N 0 0 260.249 2.773 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCSCC[C@H]1C ZINC000190102112 324037695 /nfs/dbraw/zinc/03/76/95/324037695.db2.gz SIVVZVZPHJFSMB-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H](O)Cc2ccccc2)c1 ZINC000192830473 324064806 /nfs/dbraw/zinc/06/48/06/324064806.db2.gz PURCRADEEHILMJ-AWEZNQCLSA-N 0 0 273.288 2.577 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOc2ccc(CO)cc2)cc1 ZINC000192777074 324065257 /nfs/dbraw/zinc/06/52/57/324065257.db2.gz BHPHQXKUUDNSGH-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)C1CCCC1 ZINC000194782228 324095241 /nfs/dbraw/zinc/09/52/41/324095241.db2.gz RWTHKIAMARCIKS-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN COc1cccc(-c2nc([C@H]3C[C@@H]3C)no2)c1[N+](=O)[O-] ZINC000350141252 324201868 /nfs/dbraw/zinc/20/18/68/324201868.db2.gz COXXBCUDVDICAN-CBAPKCEASA-N 0 0 275.264 2.777 20 5 CFBDRN CCc1nn(C)cc1-c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000350541645 324226937 /nfs/dbraw/zinc/22/69/37/324226937.db2.gz PGVBRVALFXOOBD-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN C[C@H]1COCC[C@H]1c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000350601751 324242309 /nfs/dbraw/zinc/24/23/09/324242309.db2.gz SKNGPMNELSTMFI-JOYOIKCWSA-N 0 0 289.291 2.785 20 5 CFBDRN CCCN(C)Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350823394 324264244 /nfs/dbraw/zinc/26/42/44/324264244.db2.gz LZGYAKXMBHRNRW-UHFFFAOYSA-N 0 0 282.325 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3ccncc3)n2)s1 ZINC000350827321 324265269 /nfs/dbraw/zinc/26/52/69/324265269.db2.gz ZWMGCGFUQBFWNN-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(Cc3ccco3)n2)s1 ZINC000350835308 324265276 /nfs/dbraw/zinc/26/52/76/324265276.db2.gz MDGUCUHNHQNSIV-UHFFFAOYSA-N 0 0 277.261 2.890 20 5 CFBDRN C[C@H]1OCC[C@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350841127 324265954 /nfs/dbraw/zinc/26/59/54/324265954.db2.gz WEJMRTAULUJYQN-RNFRBKRXSA-N 0 0 281.293 2.599 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc([C@H]2CCCOC2)no1 ZINC000350850377 324268481 /nfs/dbraw/zinc/26/84/81/324268481.db2.gz BHTHZALFUBPXFM-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1ccc(-c2nc(-c3cocn3)no2)cc1[N+](=O)[O-] ZINC000350872371 324272987 /nfs/dbraw/zinc/27/29/87/324272987.db2.gz JOIBASLWHHZVBD-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1c(-c2nc(-c3cocn3)no2)cccc1[N+](=O)[O-] ZINC000350879856 324276452 /nfs/dbraw/zinc/27/64/52/324276452.db2.gz PZJSWAOHLIIQFX-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN C[C@H](Cc1nc(-c2c([N+](=O)[O-])ncn2C)no1)C(C)(C)C ZINC000350898513 324279362 /nfs/dbraw/zinc/27/93/62/324279362.db2.gz IIRPKJMIBLJOSZ-MRVPVSSYSA-N 0 0 293.327 2.603 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@H]3CC[C@@H](C)C3)n2)n1C ZINC000350925430 324284024 /nfs/dbraw/zinc/28/40/24/324284024.db2.gz KETRAYNRDISODN-APPZFPTMSA-N 0 0 291.311 2.590 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(C3=C(C)CCC3)n2)n1C ZINC000350930001 324284950 /nfs/dbraw/zinc/28/49/50/324284950.db2.gz VWICMETUWGSWOV-UHFFFAOYSA-N 0 0 289.295 2.644 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(C2CC(F)(F)C2)no1 ZINC000351123566 324317892 /nfs/dbraw/zinc/31/78/92/324317892.db2.gz QFIQTEDSKUCXOB-UHFFFAOYSA-N 0 0 296.233 2.861 20 5 CFBDRN CCCOCc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351143246 324325926 /nfs/dbraw/zinc/32/59/26/324325926.db2.gz FUBWQUVFLYPYMS-UHFFFAOYSA-N 0 0 277.280 2.880 20 5 CFBDRN CO[C@H]1CCC[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1 ZINC000351143945 324326613 /nfs/dbraw/zinc/32/66/13/324326613.db2.gz HPLFFGXRPBJYOQ-IUCAKERBSA-N 0 0 293.279 2.910 20 5 CFBDRN CC[C@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCO1 ZINC000351147780 324328450 /nfs/dbraw/zinc/32/84/50/324328450.db2.gz ORYWABDLYQTJGN-IUCAKERBSA-N 0 0 293.279 2.910 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351152864 324330835 /nfs/dbraw/zinc/33/08/35/324330835.db2.gz XGUVTSXWCNMIDR-JGVFFNPUSA-N 0 0 263.253 2.997 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC[C@H]3CCCCO3)n2)o1 ZINC000351154017 324331450 /nfs/dbraw/zinc/33/14/50/324331450.db2.gz YUGQSMJNJLCICI-SECBINFHSA-N 0 0 293.279 2.740 20 5 CFBDRN COCC(C)(C)Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000351213765 324348633 /nfs/dbraw/zinc/34/86/33/324348633.db2.gz GSGMCNZBSRKVJM-UHFFFAOYSA-N 0 0 297.336 2.921 20 5 CFBDRN CCS[C@H](C)c1noc(-c2n[nH]c(CC)c2[N+](=O)[O-])n1 ZINC000351267012 324365990 /nfs/dbraw/zinc/36/59/90/324365990.db2.gz ILACWTDKYILVMN-ZCFIWIBFSA-N 0 0 297.340 2.744 20 5 CFBDRN CO[C@@H](CC(C)C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351270149 324366562 /nfs/dbraw/zinc/36/65/62/324366562.db2.gz NKTHXYXYVMOTDL-VIFPVBQESA-N 0 0 281.268 2.971 20 5 CFBDRN C[C@H](CC(F)F)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351283041 324370066 /nfs/dbraw/zinc/37/00/66/324370066.db2.gz VIYLCYXOZANFDQ-RXMQYKEDSA-N 0 0 273.195 2.997 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)CC1(F)F ZINC000351286200 324370757 /nfs/dbraw/zinc/37/07/57/324370757.db2.gz JXJVVHSKAMUEPZ-NSHDSACASA-N 0 0 296.233 2.524 20 5 CFBDRN CCCC1(c2noc(-c3c([N+](=O)[O-])cnn3C)n2)CCC1 ZINC000351341319 324388241 /nfs/dbraw/zinc/38/82/41/324388241.db2.gz BSGIHHWBPWECOR-UHFFFAOYSA-N 0 0 291.311 2.600 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000380648202 324411711 /nfs/dbraw/zinc/41/17/11/324411711.db2.gz WUGGVHWTVYEAFO-UWVGGRQHSA-N 0 0 277.324 2.507 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](O)CC(C)(C)C2)c1 ZINC000395339789 324540933 /nfs/dbraw/zinc/54/09/33/324540933.db2.gz BFCRYQMXNHEXNY-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN COc1cc(N[C@@H]2CSC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000397857435 324548740 /nfs/dbraw/zinc/54/87/40/324548740.db2.gz XBNHGSIYQWXBLQ-GMSGAONNSA-N 0 0 286.328 2.906 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H]2CC[C@@H](O)C[C@@H]2C1 ZINC000398764599 324552405 /nfs/dbraw/zinc/55/24/05/324552405.db2.gz ADAIJCJOHFXTKP-YNEHKIRRSA-N 0 0 276.336 2.500 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1C[C@@H]2CC[C@@H](O)C[C@H]2C1 ZINC000398870894 324552933 /nfs/dbraw/zinc/55/29/33/324552933.db2.gz DJSLRXMSDMZEOG-JBLDHEPKSA-N 0 0 296.754 2.845 20 5 CFBDRN C[C@@H](C(=O)NCC[C@H](C)F)c1cccc([N+](=O)[O-])c1 ZINC000576373033 324609619 /nfs/dbraw/zinc/60/96/19/324609619.db2.gz GRRUBQPCMOLZAU-VHSXEESVSA-N 0 0 268.288 2.563 20 5 CFBDRN COc1ccc(NC(=O)C2(OC)CCCC2)cc1[N+](=O)[O-] ZINC000576804567 324661223 /nfs/dbraw/zinc/66/12/23/324661223.db2.gz LARMMYGFYAWLEU-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN CC(C)Oc1nc(N[C@@H]2CS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000577413252 324735254 /nfs/dbraw/zinc/73/52/54/324735254.db2.gz VGNTVAWIXMQTPM-UWVGGRQHSA-N 0 0 297.380 2.505 20 5 CFBDRN CN(C(=O)NCc1cccc([N+](=O)[O-])c1)[C@H]1CC1(C)C ZINC000578297541 324835869 /nfs/dbraw/zinc/83/58/69/324835869.db2.gz IGJXSXXAXKRUHA-LBPRGKRZSA-N 0 0 277.324 2.535 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@@H]2C2CC2)c(F)c1 ZINC000580048158 325001449 /nfs/dbraw/zinc/00/14/49/325001449.db2.gz FTYAKBFIUAKKQW-LBPRGKRZSA-N 0 0 277.299 2.898 20 5 CFBDRN C[C@@H]1CN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@@H](C)[C@H]1C ZINC000580299432 325023587 /nfs/dbraw/zinc/02/35/87/325023587.db2.gz HVNPCJIJUQHDDJ-WOPDTQHZSA-N 0 0 291.351 2.781 20 5 CFBDRN COc1cc(N2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000580374249 325030036 /nfs/dbraw/zinc/03/00/36/325030036.db2.gz FSCLZJAMKXVIJL-SECBINFHSA-N 0 0 254.261 2.542 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@@H](F)C1 ZINC000580576731 325048348 /nfs/dbraw/zinc/04/83/48/325048348.db2.gz LKAPXHIEFOWAAC-SNVBAGLBSA-N 0 0 286.690 2.822 20 5 CFBDRN CN1CCC[C@H](CSc2cccc([N+](=O)[O-])c2)C1=O ZINC000580658620 325054550 /nfs/dbraw/zinc/05/45/50/325054550.db2.gz CSVJEJSDPJUEIR-SNVBAGLBSA-N 0 0 280.349 2.555 20 5 CFBDRN CN(C(=O)c1c[nH]nc1[N+](=O)[O-])[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000581000313 325081515 /nfs/dbraw/zinc/08/15/15/325081515.db2.gz VNGUQOONBMNSQF-WDEREUQCSA-N 0 0 294.355 2.605 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CC[C@@H](C)O1 ZINC000581022547 325083932 /nfs/dbraw/zinc/08/39/32/325083932.db2.gz ZCSTZNNOINOUEK-NXEZZACHSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@]2(C)C[C@@H]2F)n1 ZINC000581125944 325092275 /nfs/dbraw/zinc/09/22/75/325092275.db2.gz PJQFZWXTRAEQJG-GWCFXTLKSA-N 0 0 277.255 2.953 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@H]1CC[C@H](O)CC1)CCCC2 ZINC000581307182 325109305 /nfs/dbraw/zinc/10/93/05/325109305.db2.gz IOHVFOVGTJRNFR-HAQNSBGRSA-N 0 0 291.351 2.584 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2noc(C(C)C)n2)c1 ZINC000581429011 325120563 /nfs/dbraw/zinc/12/05/63/325120563.db2.gz PMNRLBYXFLNZRU-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN CCSCCn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000581667268 325140809 /nfs/dbraw/zinc/14/08/09/325140809.db2.gz YMJYKTULWMZOHB-UHFFFAOYSA-N 0 0 278.333 2.663 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCOCC2CCC2)c1C ZINC000581737903 325147348 /nfs/dbraw/zinc/14/73/48/325147348.db2.gz WAKZTHHYXJUSAF-UHFFFAOYSA-N 0 0 280.324 2.802 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](C)C(F)(F)C1 ZINC000581920177 325159043 /nfs/dbraw/zinc/15/90/43/325159043.db2.gz FIJIQAPFCPGZBH-SECBINFHSA-N 0 0 271.267 2.780 20 5 CFBDRN CNc1ccc(C(=O)N(C)[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000581980169 325165061 /nfs/dbraw/zinc/16/50/61/325165061.db2.gz BHLLHUJOBMFTFM-CMPLNLGQSA-N 0 0 291.351 2.897 20 5 CFBDRN CCO[C@@H](CC)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000582050114 325171998 /nfs/dbraw/zinc/17/19/98/325171998.db2.gz IIWSTHNGSGSSLS-LBPRGKRZSA-N 0 0 280.324 2.965 20 5 CFBDRN C[C@@H]1C[C@@H]1c1ccc(CNc2ncc([N+](=O)[O-])s2)o1 ZINC000582399264 325201238 /nfs/dbraw/zinc/20/12/38/325201238.db2.gz GNRCPPOWNIUELS-APPZFPTMSA-N 0 0 279.321 2.802 20 5 CFBDRN CNc1ccc(C(=O)N2CC(C)=C[C@H](C)C2)cc1[N+](=O)[O-] ZINC000582942827 325246575 /nfs/dbraw/zinc/24/65/75/325246575.db2.gz WKGSHIKCXXAXLQ-JTQLQIEISA-N 0 0 289.335 2.675 20 5 CFBDRN CC(C)Oc1nc(NC[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000583035816 325256001 /nfs/dbraw/zinc/25/60/01/325256001.db2.gz XPLWBGYZQQHTCP-JTQLQIEISA-N 0 0 297.380 2.506 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000583066206 325257754 /nfs/dbraw/zinc/25/77/54/325257754.db2.gz BZLGOKNLFKGIPF-QMTHXVAHSA-N 0 0 274.320 2.709 20 5 CFBDRN CC[C@H](O)CCCNc1c(OC)cccc1[N+](=O)[O-] ZINC000583094912 325260148 /nfs/dbraw/zinc/26/01/48/325260148.db2.gz RMVHMEGVYTZHJV-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1CO[C@H](C)C1 ZINC000583320478 325277273 /nfs/dbraw/zinc/27/72/73/325277273.db2.gz WKVCYKLFTJECQR-MNOVXSKESA-N 0 0 293.323 2.511 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@H]1CCC[C@@H](F)C1 ZINC000583561221 325296460 /nfs/dbraw/zinc/29/64/60/325296460.db2.gz IWJBCTYZGRMCQA-NEPJUHHUSA-N 0 0 295.314 2.675 20 5 CFBDRN CC(C)Cn1cnc2c(Cl)cc([N+](=O)[O-])cc2c1=O ZINC000583692984 325303959 /nfs/dbraw/zinc/30/39/59/325303959.db2.gz SLGLNHNOFRQZCB-UHFFFAOYSA-N 0 0 281.699 2.614 20 5 CFBDRN C[C@H](CC(F)F)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000583739326 325307117 /nfs/dbraw/zinc/30/71/17/325307117.db2.gz LFFMNAGDAGDBKM-SECBINFHSA-N 0 0 298.289 2.771 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@@H](c2ccncc2)C1 ZINC000583761020 325309533 /nfs/dbraw/zinc/30/95/33/325309533.db2.gz DCWCNFKNBDEOJS-CYBMUJFWSA-N 0 0 299.330 2.685 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCSC(C)(C)CC1 ZINC000583834066 325313462 /nfs/dbraw/zinc/31/34/62/325313462.db2.gz RBRITAAMGOPIJF-UHFFFAOYSA-N 0 0 298.412 2.842 20 5 CFBDRN COCc1cc(N[C@@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000583831959 325313550 /nfs/dbraw/zinc/31/35/50/325313550.db2.gz LZXCNGDTVSVERK-JTQLQIEISA-N 0 0 288.307 2.704 20 5 CFBDRN C[C@H](Nc1ccc2c(n1)CCC2=O)c1ccccc1[N+](=O)[O-] ZINC000583987129 325322791 /nfs/dbraw/zinc/32/27/91/325322791.db2.gz ZKUCAUCQKJFUEV-JTQLQIEISA-N 0 0 297.314 2.714 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCC1=CCOCC1)CCCC2 ZINC000584169516 325335231 /nfs/dbraw/zinc/33/52/31/325335231.db2.gz BTIIVKZFIYELLS-UHFFFAOYSA-N 0 0 289.335 2.627 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])nc2)[C@@H]2CCCO[C@@H]21 ZINC000584206149 325337234 /nfs/dbraw/zinc/33/72/34/325337234.db2.gz DHDMDKVYLZAHPO-UHTWSYAYSA-N 0 0 277.324 2.605 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CO[C@H](C)C1 ZINC000584349487 328690802 /nfs/dbraw/zinc/69/08/02/328690802.db2.gz WPKPMZNZWFLGAH-MWLCHTKSSA-N 0 0 293.323 2.511 20 5 CFBDRN COC(=O)c1cc(CNc2cccc([N+](=O)[O-])c2)c(C)o1 ZINC000531401199 500796703 /nfs/dbraw/zinc/79/67/03/500796703.db2.gz OHDOFMOWIBLKAM-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000331064451 500937507 /nfs/dbraw/zinc/93/75/07/500937507.db2.gz DXBHNIXEMVWMDK-QWRGUYRKSA-N 0 0 262.309 2.761 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@](C)(O)CC1 ZINC000230373119 500964498 /nfs/dbraw/zinc/96/44/98/500964498.db2.gz KEFHDDPHORQQQW-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCO[C@@H](C2CC2)C1 ZINC000160366490 500964533 /nfs/dbraw/zinc/96/45/33/500964533.db2.gz MJNDITPUCFXXST-DGCLKSJQSA-N 0 0 277.324 2.668 20 5 CFBDRN CCC(CC)(CO)CNc1c(F)cccc1[N+](=O)[O-] ZINC000161647580 521454778 /nfs/dbraw/zinc/45/47/78/521454778.db2.gz SSGBBDUAOOTTHX-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000176778857 533733985 /nfs/dbraw/zinc/73/39/85/533733985.db2.gz JVDYACPIWVJFAF-FZZIBODNSA-N 0 0 274.320 2.818 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413246841 533730264 /nfs/dbraw/zinc/73/02/64/533730264.db2.gz PGBNPPXJXIDFOQ-RNFRBKRXSA-N 0 0 293.245 2.580 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000361328952 522275372 /nfs/dbraw/zinc/27/53/72/522275372.db2.gz TYOHLUPGUDBGNG-GHMZBOCLSA-N 0 0 280.324 2.760 20 5 CFBDRN Cc1c(CNC(=O)N2C[C@@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000480052354 533856382 /nfs/dbraw/zinc/85/63/82/533856382.db2.gz DEKVWLBBRIXODR-WDEREUQCSA-N 0 0 291.351 2.843 20 5 CFBDRN C[C@H](CCCO)Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413178223 533885575 /nfs/dbraw/zinc/88/55/75/533885575.db2.gz BMOZRUZQHGQQJF-SSDOTTSWSA-N 0 0 293.245 2.582 20 5 CFBDRN Cc1ccc(CN2CCO[C@H](C3CCC3)C2)cc1[N+](=O)[O-] ZINC000414476662 533939321 /nfs/dbraw/zinc/93/93/21/533939321.db2.gz XZFKILGHAXMYEQ-INIZCTEOSA-N 0 0 290.363 2.904 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC12CC2 ZINC000424988933 534006154 /nfs/dbraw/zinc/00/61/54/534006154.db2.gz PSVPYAAZMQZFQL-BXKDBHETSA-N 0 0 292.360 2.744 20 5 CFBDRN C[C@@H](Sc1ncc([N+](=O)[O-])cc1C(F)(F)F)[C@H](C)O ZINC000413179742 534022283 /nfs/dbraw/zinc/02/22/83/534022283.db2.gz YOZYRGFCLKMFQZ-NTSWFWBYSA-N 0 0 296.270 2.870 20 5 CFBDRN C[C@@H](COCC(F)(F)F)Nc1ncc([N+](=O)[O-])cc1F ZINC000413084575 534153995 /nfs/dbraw/zinc/15/39/95/534153995.db2.gz LYAFGHHOKQCPIR-LURJTMIESA-N 0 0 297.208 2.508 20 5 CFBDRN COC(=O)c1cccc(O[C@@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000413019118 534280871 /nfs/dbraw/zinc/28/08/71/534280871.db2.gz SYWHLEWAFCSPGW-SNVBAGLBSA-N 0 0 277.276 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCOC[C@H]1C ZINC000193868450 534342701 /nfs/dbraw/zinc/34/27/01/534342701.db2.gz KUUXURBGKHWDJE-PWSUYJOCSA-N 0 0 278.308 2.514 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)Cc1cccnc1 ZINC000360841995 518411703 /nfs/dbraw/zinc/41/17/03/518411703.db2.gz LCMWBEDUELTJJX-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN CC=Cc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]c2=O)cc1 ZINC000360322574 518567778 /nfs/dbraw/zinc/56/77/78/518567778.db2.gz FBUKCPJYMSHFGZ-IHWYPQMZSA-N 0 0 299.286 2.981 20 5 CFBDRN C/C=C\c1ccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)cc1 ZINC000360324078 518567866 /nfs/dbraw/zinc/56/78/66/518567866.db2.gz JLAHHRQWEOKWHU-IHWYPQMZSA-N 0 0 272.264 2.603 20 5 CFBDRN CC(C)(C)CC[C@@H](CO)Nc1ccc([N+](=O)[O-])nc1 ZINC000360251530 518657976 /nfs/dbraw/zinc/65/79/76/518657976.db2.gz SLVCMINXAYLCAD-NSHDSACASA-N 0 0 267.329 2.589 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1ccc([N+](=O)[O-])cc1F ZINC000227356297 518694874 /nfs/dbraw/zinc/69/48/74/518694874.db2.gz VLZKMWHRDAWFPY-JTQLQIEISA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)(C)/C=C\C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000491859209 534404689 /nfs/dbraw/zinc/40/46/89/534404689.db2.gz LNCDSCQUTICPIP-NTMALXAHSA-N 0 0 276.336 2.856 20 5 CFBDRN CC(C)(O)CCn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000360566720 519348033 /nfs/dbraw/zinc/34/80/33/519348033.db2.gz AXWJEEZECBWQHL-UHFFFAOYSA-N 0 0 275.308 2.619 20 5 CFBDRN CC(=O)CC(C)(C)CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000191656411 519384227 /nfs/dbraw/zinc/38/42/27/519384227.db2.gz FTDHWGPNILHMFR-UHFFFAOYSA-N 0 0 278.308 2.929 20 5 CFBDRN CC(C)CC[C@@H](O)COc1cccc([N+](=O)[O-])c1 ZINC000360686173 519684374 /nfs/dbraw/zinc/68/43/74/519684374.db2.gz QQECGKOWLYFXOQ-GFCCVEGCSA-N 0 0 253.298 2.771 20 5 CFBDRN CC(C)C[C@@H](C)N(C)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000339332285 519772432 /nfs/dbraw/zinc/77/24/32/519772432.db2.gz MSOOWQUSINURMN-GFCCVEGCSA-N 0 0 294.351 2.867 20 5 CFBDRN CC(C)[C@@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335853078 519789858 /nfs/dbraw/zinc/78/98/58/519789858.db2.gz UFSWHTVJRUGUSD-LLVKDONJSA-N 0 0 276.336 2.772 20 5 CFBDRN CC(C)[C@@H](CO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213992815 519795865 /nfs/dbraw/zinc/79/58/65/519795865.db2.gz FZPJDVBPOWDTTA-GFCCVEGCSA-N 0 0 275.308 2.572 20 5 CFBDRN CC(C)[C@@H](Sc1cccc([N+](=O)[O-])c1)C(=O)N(C)C ZINC000337918021 519801365 /nfs/dbraw/zinc/80/13/65/519801365.db2.gz ULKLKZRQHUURKZ-GFCCVEGCSA-N 0 0 282.365 2.800 20 5 CFBDRN CCCC(O)(CCC)CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000304257843 519886569 /nfs/dbraw/zinc/88/65/69/519886569.db2.gz CKLMXSCWVMHRDR-UHFFFAOYSA-N 0 0 294.351 2.656 20 5 CFBDRN O=C(Nc1ncccc1Cl)c1ccc([N+](=O)[O-])s1 ZINC000179966705 534474487 /nfs/dbraw/zinc/47/44/87/534474487.db2.gz WXCNPWBBOZCYPY-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CCCCCOC1CN(c2c([N+](=O)[O-])nc(C)n2CC)C1 ZINC000360992061 520234164 /nfs/dbraw/zinc/23/41/64/520234164.db2.gz YKZIRPSFLQLFAO-UHFFFAOYSA-N 0 0 296.371 2.515 20 5 CFBDRN CCNc1cc(C)ccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000360062770 520267499 /nfs/dbraw/zinc/26/74/99/520267499.db2.gz HTTJJFJKELURDN-UHFFFAOYSA-N 0 0 288.307 2.915 20 5 CFBDRN CCNc1ccc(C(=O)N(C)C(C)C)cc1[N+](=O)[O-] ZINC000053674238 520279342 /nfs/dbraw/zinc/27/93/42/520279342.db2.gz BGYCLYAMCDEJMV-UHFFFAOYSA-N 0 0 265.313 2.507 20 5 CFBDRN CC1(C)CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000334593716 520326665 /nfs/dbraw/zinc/32/66/65/520326665.db2.gz DIUFWYQQFWCHLD-UHFFFAOYSA-N 0 0 265.313 2.575 20 5 CFBDRN Cc1nc(NC(=O)c2cccs2)ccc1[N+](=O)[O-] ZINC000175681968 534485418 /nfs/dbraw/zinc/48/54/18/534485418.db2.gz QQJFBHCMVAFIRV-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CCN(CC(C)C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000192467623 520406985 /nfs/dbraw/zinc/40/69/85/520406985.db2.gz LKOYHNFTQNWYQO-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000150895480 520408906 /nfs/dbraw/zinc/40/89/06/520408906.db2.gz RKHLPHUPRVIGKH-QWHCGFSZSA-N 0 0 293.367 2.938 20 5 CFBDRN NC(=O)c1cccc(NC[C@@H]2C[C@H]2C2CCC2)c1[N+](=O)[O-] ZINC000425285630 534497751 /nfs/dbraw/zinc/49/77/51/534497751.db2.gz STTIAYIJJHHQEA-JQWIXIFHSA-N 0 0 289.335 2.542 20 5 CFBDRN CCCCN(CCOC)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000068114623 520534482 /nfs/dbraw/zinc/53/44/82/520534482.db2.gz RFKIIYBVTCJQRU-UHFFFAOYSA-N 0 0 294.351 2.792 20 5 CFBDRN CC1(C)SC[C@H]1Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000338418053 520626530 /nfs/dbraw/zinc/62/65/30/520626530.db2.gz GOXUXUWDMHBLJE-MRVPVSSYSA-N 0 0 271.317 2.622 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000339206225 520642507 /nfs/dbraw/zinc/64/25/07/520642507.db2.gz QGFYZXQCBHCNJY-SECBINFHSA-N 0 0 265.313 2.559 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000194171578 520686911 /nfs/dbraw/zinc/68/69/11/520686911.db2.gz QJZVLBYIQJHNOT-SNVBAGLBSA-N 0 0 280.324 2.912 20 5 CFBDRN CC1(F)CC(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc32)C1 ZINC000334865961 520752910 /nfs/dbraw/zinc/75/29/10/520752910.db2.gz DKEVUCVTADSBRR-UHFFFAOYSA-N 0 0 291.282 2.697 20 5 CFBDRN CC1(F)CC(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000334845171 520755556 /nfs/dbraw/zinc/75/55/56/520755556.db2.gz IPHKPASNVZIEJT-UHFFFAOYSA-N 0 0 286.690 2.869 20 5 CFBDRN CCCCOCCCNc1c([N+](=O)[O-])c(C)nn1CC ZINC000160365198 520756769 /nfs/dbraw/zinc/75/67/69/520756769.db2.gz OVNZOLIBDDZIMH-UHFFFAOYSA-N 0 0 284.360 2.738 20 5 CFBDRN CCC[C@@H](NCc1cccc([N+](=O)[O-])c1C)C(=O)OCC ZINC000231885143 520782975 /nfs/dbraw/zinc/78/29/75/520782975.db2.gz TVTNXGZVCGVZSV-CYBMUJFWSA-N 0 0 294.351 2.725 20 5 CFBDRN CCCCO[C@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000068500320 520841643 /nfs/dbraw/zinc/84/16/43/520841643.db2.gz QIUPUVJYJLHGLM-SNVBAGLBSA-N 0 0 296.323 2.747 20 5 CFBDRN CC1=CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336563890 520858972 /nfs/dbraw/zinc/85/89/72/520858972.db2.gz YNESXXUODXHKQI-UHFFFAOYSA-N 0 0 264.256 2.526 20 5 CFBDRN CC1=CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000336238174 520869171 /nfs/dbraw/zinc/86/91/71/520869171.db2.gz AKXASMISQLMTOS-UHFFFAOYSA-N 0 0 261.281 2.779 20 5 CFBDRN CC1CCC(CNC(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000157320467 520921986 /nfs/dbraw/zinc/92/19/86/520921986.db2.gz WYFFDMNFGCKHRB-UHFFFAOYSA-N 0 0 291.351 2.733 20 5 CFBDRN CCC[C@@](C)(O)CNc1cccc(C)c1[N+](=O)[O-] ZINC000218854004 520938517 /nfs/dbraw/zinc/93/85/17/520938517.db2.gz MVGUVHMBDHRPCE-CYBMUJFWSA-N 0 0 252.314 2.866 20 5 CFBDRN CCOC(=O)[C@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000313456163 521219389 /nfs/dbraw/zinc/21/93/89/521219389.db2.gz CYLJKYJJXPZAGK-QMMMGPOBSA-N 0 0 255.295 2.639 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccoc2)c1 ZINC000338523060 521278912 /nfs/dbraw/zinc/27/89/12/521278912.db2.gz FYSBABPMJKEEIX-UHFFFAOYSA-N 0 0 290.275 2.517 20 5 CFBDRN CCCCn1nccc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000078802648 521410223 /nfs/dbraw/zinc/41/02/23/521410223.db2.gz YBEDOIRSYQENAU-UHFFFAOYSA-N 0 0 288.307 2.844 20 5 CFBDRN CCCc1ccccc1NC(=O)c1cc([N+](=O)[O-])nn1C ZINC000360466838 521443097 /nfs/dbraw/zinc/44/30/97/521443097.db2.gz AUYGWIPVINBGRJ-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN Cc1occc1CN(C)c1ncc([N+](=O)[O-])cc1F ZINC000413071349 534567844 /nfs/dbraw/zinc/56/78/44/534567844.db2.gz LIMLRFJXMHJALS-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN CCCc1nc(COc2cccc([N+](=O)[O-])c2)no1 ZINC000067096136 521521548 /nfs/dbraw/zinc/52/15/48/521521548.db2.gz OPZNWOKZTOXDRB-UHFFFAOYSA-N 0 0 263.253 2.509 20 5 CFBDRN CCCN(CC(=O)OCC)Cc1ccc([N+](=O)[O-])cc1F ZINC000313843745 521573813 /nfs/dbraw/zinc/57/38/13/521573813.db2.gz QQHCCHQJTKWCTD-UHFFFAOYSA-N 0 0 298.314 2.509 20 5 CFBDRN CCCN(CC)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000339934619 521599657 /nfs/dbraw/zinc/59/96/57/521599657.db2.gz VXPYWHZETNLURD-UHFFFAOYSA-N 0 0 295.339 2.545 20 5 CFBDRN CCOc1ncccc1CNc1nc(C)ccc1[N+](=O)[O-] ZINC000178166477 521621328 /nfs/dbraw/zinc/62/13/28/521621328.db2.gz JDAYYYURWBFTEL-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CCC(O)(CC)COc1cccc([N+](=O)[O-])c1C ZINC000165227829 521657992 /nfs/dbraw/zinc/65/79/92/521657992.db2.gz BGODQAGOHLWBGF-UHFFFAOYSA-N 0 0 253.298 2.833 20 5 CFBDRN CCC(O)(CC)CSc1ccc([N+](=O)[O-])cn1 ZINC000305810614 521658516 /nfs/dbraw/zinc/65/85/16/521658516.db2.gz IUTWFACNFUTSGX-UHFFFAOYSA-N 0 0 256.327 2.633 20 5 CFBDRN CCC1(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000360904791 521680209 /nfs/dbraw/zinc/68/02/09/521680209.db2.gz XRCYJVGGUGTJOG-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN Cc1cnc(NCc2nnc(C3CC3)s2)c([N+](=O)[O-])c1 ZINC000413576514 534587875 /nfs/dbraw/zinc/58/78/75/534587875.db2.gz HJONPZZZKCLSPL-UHFFFAOYSA-N 0 0 291.336 2.639 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361642463 521886788 /nfs/dbraw/zinc/88/67/88/521886788.db2.gz JCJSTQDTJZAUKC-QPUJVOFHSA-N 0 0 284.287 2.734 20 5 CFBDRN CC[C@@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049217406 521919163 /nfs/dbraw/zinc/91/91/63/521919163.db2.gz QLJKKFZSVXUVDU-ZJUUUORDSA-N 0 0 250.298 2.818 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000049095024 521934369 /nfs/dbraw/zinc/93/43/69/521934369.db2.gz LMBNKLPRUSQKKG-IUCAKERBSA-N 0 0 268.288 2.898 20 5 CFBDRN CCc1ccnc(CNc2c([N+](=O)[O-])nc(C)n2CC)c1 ZINC000340939459 521985145 /nfs/dbraw/zinc/98/51/45/521985145.db2.gz ZQGSSOKYVXZBTA-UHFFFAOYSA-N 0 0 289.339 2.689 20 5 CFBDRN CC[C@@H](C)Cn1cc(Br)c([N+](=O)[O-])n1 ZINC000050427709 522032464 /nfs/dbraw/zinc/03/24/64/522032464.db2.gz YTXNVKHSFSVXKS-ZCFIWIBFSA-N 0 0 262.107 2.600 20 5 CFBDRN CCc1cnc(CNc2ccc([N+](=O)[O-])nc2)s1 ZINC000234265127 522041046 /nfs/dbraw/zinc/04/10/46/522041046.db2.gz NNLDLDBQAWSSHK-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN CCc1csc(NCCNc2ccccc2[N+](=O)[O-])n1 ZINC000362350223 522093519 /nfs/dbraw/zinc/09/35/19/522093519.db2.gz FATRDDVSGYGIFE-UHFFFAOYSA-N 0 0 292.364 2.560 20 5 CFBDRN CC[C@@H](C)NC(=O)CCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000314170467 522156521 /nfs/dbraw/zinc/15/65/21/522156521.db2.gz OSWPKMQPQYYCOL-SNVBAGLBSA-N 0 0 297.330 2.759 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Oc2ccc(F)cc2)n1 ZINC000166597165 522179437 /nfs/dbraw/zinc/17/94/37/522179437.db2.gz LZNGCXSCCNTTKA-UHFFFAOYSA-N 0 0 292.222 2.708 20 5 CFBDRN CN(C)c1ccc(NCc2csc([N+](=O)[O-])c2)nc1 ZINC000150904339 522237096 /nfs/dbraw/zinc/23/70/96/522237096.db2.gz KOLJSVKDAAUZNM-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000360391367 522280932 /nfs/dbraw/zinc/28/09/32/522280932.db2.gz GOJJFKPPHVQZFW-MNOVXSKESA-N 0 0 280.324 2.760 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000361792746 522395559 /nfs/dbraw/zinc/39/55/59/522395559.db2.gz QHMDTXFBLVPGKI-MFKMUULPSA-N 0 0 280.324 2.619 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000361481102 522401279 /nfs/dbraw/zinc/40/12/79/522401279.db2.gz JOWJKVMLCQARQU-SKDRFNHKSA-N 0 0 266.297 2.594 20 5 CFBDRN COC(C)(C)CCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000230402509 522477430 /nfs/dbraw/zinc/47/74/30/522477430.db2.gz KNWNYDVVTDUTEQ-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN COC(C)(C)CNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191332834 522486319 /nfs/dbraw/zinc/48/63/19/522486319.db2.gz AQNKICAONGQHEM-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN COc1cc(CNc2cc(C)ccc2[N+](=O)[O-])ccn1 ZINC000084726529 522491870 /nfs/dbraw/zinc/49/18/70/522491870.db2.gz VXWQCRRHMFDOSS-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CNc1c(C(=O)N(C)Cc2ccco2)cccc1[N+](=O)[O-] ZINC000361118028 522534464 /nfs/dbraw/zinc/53/44/64/522534464.db2.gz RFVXKBINYCBLDQ-UHFFFAOYSA-N 0 0 289.291 2.502 20 5 CFBDRN CNc1c(C(=O)NC[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000230551105 522539143 /nfs/dbraw/zinc/53/91/43/522539143.db2.gz RCGGHKFJVGXIMY-MNOVXSKESA-N 0 0 291.351 2.803 20 5 CFBDRN CNc1c(C(=O)NC[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000161496960 522540548 /nfs/dbraw/zinc/54/05/48/522540548.db2.gz XPVDPIMFISYIOO-SNVBAGLBSA-N 0 0 279.340 2.658 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000230691568 522670112 /nfs/dbraw/zinc/67/01/12/522670112.db2.gz LCKBCRKGWDPAES-VHSXEESVSA-N 0 0 266.345 2.739 20 5 CFBDRN COc1cc(N[C@@H](C)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000218841028 522758064 /nfs/dbraw/zinc/75/80/64/522758064.db2.gz UMHRQORCOWFGOD-ZETCQYMHSA-N 0 0 254.261 2.953 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230308575 522765738 /nfs/dbraw/zinc/76/57/38/522765738.db2.gz DQGNGABGHINDAI-SMDDNHRTSA-N 0 0 278.308 2.583 20 5 CFBDRN CCc1nnc([C@@H](C)Oc2ccc([N+](=O)[O-])cc2)o1 ZINC000360734319 522788111 /nfs/dbraw/zinc/78/81/11/522788111.db2.gz XZOGGBJHGQCBEI-MRVPVSSYSA-N 0 0 263.253 2.680 20 5 CFBDRN COc1cc([C@@H](C)Nc2nccc(C)c2[N+](=O)[O-])ccn1 ZINC000340904867 522912663 /nfs/dbraw/zinc/91/26/63/522912663.db2.gz USNRVELTONHMLO-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN COc1cc([C@@H](C)Nc2ncc([N+](=O)[O-])s2)ccn1 ZINC000340935429 522913005 /nfs/dbraw/zinc/91/30/05/522913005.db2.gz NUEFQVZPYDEKJV-SSDOTTSWSA-N 0 0 280.309 2.628 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000338139960 522946265 /nfs/dbraw/zinc/94/62/65/522946265.db2.gz KJEHEWKCGOGSMO-HUUCEWRRSA-N 0 0 288.347 2.642 20 5 CFBDRN COc1cc([C@H](C)Nc2ncccc2[N+](=O)[O-])ccn1 ZINC000340887096 522949351 /nfs/dbraw/zinc/94/93/51/522949351.db2.gz DEYWPHJXXMUDRM-VIFPVBQESA-N 0 0 274.280 2.567 20 5 CFBDRN CC[C@@H](O)COc1ccc(Br)cc1[N+](=O)[O-] ZINC000083826914 523009046 /nfs/dbraw/zinc/00/90/46/523009046.db2.gz JTSICKPXFYTUTJ-MRVPVSSYSA-N 0 0 290.113 2.507 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC(C)(C)C1 ZINC000157376052 522976966 /nfs/dbraw/zinc/97/69/66/522976966.db2.gz OISZDFVWKMHBHV-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@](C)(CC)C1 ZINC000361073611 523144217 /nfs/dbraw/zinc/14/42/17/523144217.db2.gz LZNIKRJBHOHLSA-CYBMUJFWSA-N 0 0 266.345 2.746 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1C[C@@](C)(OC)C1(C)C ZINC000361003729 523146435 /nfs/dbraw/zinc/14/64/35/523146435.db2.gz UTWNNKRYGDTBGQ-IINYFYTJSA-N 0 0 296.371 2.735 20 5 CFBDRN CN(C[C@H]1OCCc2ccccc21)c1ncccc1[N+](=O)[O-] ZINC000337670656 523152111 /nfs/dbraw/zinc/15/21/11/523152111.db2.gz ZNFROIJYXXZSKS-OAHLLOKOSA-N 0 0 299.330 2.740 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000048202109 523244390 /nfs/dbraw/zinc/24/43/90/523244390.db2.gz KLUNRWXZGPALJP-LLVKDONJSA-N 0 0 262.309 2.857 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C[C@H]1C=CCC1 ZINC000193231479 523386576 /nfs/dbraw/zinc/38/65/76/523386576.db2.gz UPZDQDQUSXASGP-LBPRGKRZSA-N 0 0 274.320 2.910 20 5 CFBDRN CC[C@@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)C[C@H](C)O1 ZINC000360904430 523471135 /nfs/dbraw/zinc/47/11/35/523471135.db2.gz RTGYYYZLYDHDTB-GXFFZTMASA-N 0 0 292.335 2.801 20 5 CFBDRN CO[C@@H](C)c1nsc(Oc2cccc([N+](=O)[O-])c2)n1 ZINC000337912824 523497417 /nfs/dbraw/zinc/49/74/17/523497417.db2.gz KWLMTCHQMADTQH-ZETCQYMHSA-N 0 0 281.293 2.946 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1F)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000156740580 523642212 /nfs/dbraw/zinc/64/22/12/523642212.db2.gz ZAWJPYHJLSKAHN-HONMWMINSA-N 0 0 294.326 2.984 20 5 CFBDRN CN(c1cccc(F)c1[N+](=O)[O-])[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000301562699 523646829 /nfs/dbraw/zinc/64/68/29/523646829.db2.gz IUZVMMAGGHLYSU-FZZIBODNSA-N 0 0 294.326 2.984 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CC[C@@H](C)C1 ZINC000230431448 523667989 /nfs/dbraw/zinc/66/79/89/523667989.db2.gz XZWQZRNQPOARAD-KOLCDFICSA-N 0 0 266.345 2.968 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCCCS1 ZINC000158377264 523668197 /nfs/dbraw/zinc/66/81/97/523668197.db2.gz DRPVWFALNWZWAX-SNVBAGLBSA-N 0 0 284.385 2.817 20 5 CFBDRN CN(C(=O)CC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000168947615 523794116 /nfs/dbraw/zinc/79/41/16/523794116.db2.gz LILYHGZYPULNDJ-UHFFFAOYSA-N 0 0 262.187 2.510 20 5 CFBDRN CO[C@@]1(C)CCCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000340915926 523809805 /nfs/dbraw/zinc/80/98/05/523809805.db2.gz XWIHQHHUPIDQCZ-HNNXBMFYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC[C@H](C)CC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000191857350 523842606 /nfs/dbraw/zinc/84/26/06/523842606.db2.gz PCMXSVFMOGKVOR-JTQLQIEISA-N 0 0 250.298 2.994 20 5 CFBDRN CN(C(=O)Cc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000059593841 523850570 /nfs/dbraw/zinc/85/05/70/523850570.db2.gz PVBZYFIGQXQHSP-UHFFFAOYSA-N 0 0 270.288 2.800 20 5 CFBDRN CO[C@H](C)CCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000181024217 523859279 /nfs/dbraw/zinc/85/92/79/523859279.db2.gz JNQUPGAPDXPZDP-WDEREUQCSA-N 0 0 292.335 2.688 20 5 CFBDRN CC(C)(C)OC(=O)CCCNc1ccc([N+](=O)[O-])nc1 ZINC000341946415 534741464 /nfs/dbraw/zinc/74/14/64/534741464.db2.gz IFSDZSNDGZLODL-UHFFFAOYSA-N 0 0 281.312 2.524 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000080693428 523893960 /nfs/dbraw/zinc/89/39/60/523893960.db2.gz VRZMBJWARGNJBR-NWDGAFQWSA-N 0 0 294.351 2.915 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000088298437 523898368 /nfs/dbraw/zinc/89/83/68/523898368.db2.gz WWADILABFMRYJB-VHSXEESVSA-N 0 0 279.340 2.930 20 5 CFBDRN CC[C@H](C)Cn1cc(Br)cc([N+](=O)[O-])c1=O ZINC000158367676 523905672 /nfs/dbraw/zinc/90/56/72/523905672.db2.gz QNZMKJDGUSRWGX-ZETCQYMHSA-N 0 0 289.129 2.565 20 5 CFBDRN CN(C(=O)[C@H]1COc2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000158312315 523911441 /nfs/dbraw/zinc/91/14/41/523911441.db2.gz YPFBDEHBJDRCJC-AWEZNQCLSA-N 0 0 298.298 2.734 20 5 CFBDRN CC[C@H](C)NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049266876 523979709 /nfs/dbraw/zinc/97/97/09/523979709.db2.gz HDOPEKMXBPBZNM-VHSXEESVSA-N 0 0 265.313 2.754 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000231682337 524003351 /nfs/dbraw/zinc/00/33/51/524003351.db2.gz WUGKPXAQVRAKNV-CBAPKCEASA-N 0 0 272.251 2.852 20 5 CFBDRN CN1c2ccccc2C(=O)N[C@H]1c1csc([N+](=O)[O-])c1 ZINC000054424924 524033934 /nfs/dbraw/zinc/03/39/34/524033934.db2.gz YZXIKBGAMGSPDW-GFCCVEGCSA-N 0 0 289.316 2.535 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCO[C@H]1C ZINC000362345934 524057134 /nfs/dbraw/zinc/05/71/34/524057134.db2.gz RABCBTJCFVIXGL-CABZTGNLSA-N 0 0 278.308 2.521 20 5 CFBDRN CNC(=O)C[C@H](Nc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000360272166 524075731 /nfs/dbraw/zinc/07/57/31/524075731.db2.gz BKQBMCKPQJFPDA-AWEZNQCLSA-N 0 0 299.330 2.884 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000334189617 524089879 /nfs/dbraw/zinc/08/98/79/524089879.db2.gz HRKSDGUGUTWFEL-SECBINFHSA-N 0 0 298.289 2.817 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000074510290 524143029 /nfs/dbraw/zinc/14/30/29/524143029.db2.gz ZLNKJXBIOMBTNY-VHSXEESVSA-N 0 0 266.297 2.839 20 5 CFBDRN C[C@H]1CCC[C@@H](c2noc(-c3c([N+](=O)[O-])cnn3C)n2)C1 ZINC000356186915 524153915 /nfs/dbraw/zinc/15/39/15/524153915.db2.gz KDZDFTYUZRANDT-DTWKUNHWSA-N 0 0 291.311 2.672 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000339520182 524163855 /nfs/dbraw/zinc/16/38/55/524163855.db2.gz YLGWTKSNEFRURS-DTWKUNHWSA-N 0 0 268.338 2.822 20 5 CFBDRN C[C@H](CF)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000360600791 524276518 /nfs/dbraw/zinc/27/65/18/524276518.db2.gz UPBICARKTOZZLW-SNVBAGLBSA-N 0 0 298.317 2.769 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)[C@@H]1C ZINC000050698496 524312338 /nfs/dbraw/zinc/31/23/38/524312338.db2.gz LGBNIQSKMOPXPH-GPCCPHFNSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1ccc(CCNC(=O)Cc2cccc([N+](=O)[O-])c2)cc1 ZINC000173784395 524326465 /nfs/dbraw/zinc/32/64/65/524326465.db2.gz WCVJVKQNAXSBAV-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2c(F)cccc2[N+](=O)[O-])O1 ZINC000128540439 524357822 /nfs/dbraw/zinc/35/78/22/524357822.db2.gz OJIIGKKNXKXGFK-IUCAKERBSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000230434162 524359316 /nfs/dbraw/zinc/35/93/16/524359316.db2.gz GCFRGRWUOKZGJJ-VHSXEESVSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000336123099 524360587 /nfs/dbraw/zinc/36/05/87/524360587.db2.gz IPWWOVFPLDEXMW-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000340645735 524381972 /nfs/dbraw/zinc/38/19/72/524381972.db2.gz IYIUKDYOEYDTHH-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000334070751 524409776 /nfs/dbraw/zinc/40/97/76/524409776.db2.gz PJQBWGBOYVTGRW-IUCAKERBSA-N 0 0 268.338 2.822 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000158404454 524421039 /nfs/dbraw/zinc/42/10/39/524421039.db2.gz UJOMNFAVAMQKBU-ONGXEEELSA-N 0 0 263.297 2.905 20 5 CFBDRN COc1ccccc1CNc1nc(C)ccc1[N+](=O)[O-] ZINC000162714827 524493859 /nfs/dbraw/zinc/49/38/59/524493859.db2.gz PGQVWNIOWADTGN-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000157038595 524605117 /nfs/dbraw/zinc/60/51/17/524605117.db2.gz XDDKZJZHFZMDGL-GHMZBOCLSA-N 0 0 294.376 2.992 20 5 CFBDRN Cc1ccc(CNc2nnc3ccccn32)cc1[N+](=O)[O-] ZINC000157166376 524622390 /nfs/dbraw/zinc/62/23/90/524622390.db2.gz VYOMXOQFUDLRNA-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN C[C@H](C[C@@H]1CCCO1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000338715133 524625912 /nfs/dbraw/zinc/62/59/12/524625912.db2.gz FGSXYGNSAGVBFE-MFKMUULPSA-N 0 0 293.323 2.674 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)cc1F ZINC000048824888 524637089 /nfs/dbraw/zinc/63/70/89/524637089.db2.gz KCSPAJIKSSGIFI-UHFFFAOYSA-N 0 0 280.211 2.588 20 5 CFBDRN Cc1cccc(NCCOc2ncccc2F)c1[N+](=O)[O-] ZINC000338683314 524676964 /nfs/dbraw/zinc/67/69/64/524676964.db2.gz GFHZTLILETWFKI-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN Cc1cccc(NC[C@@H](O)CC(C)C)c1[N+](=O)[O-] ZINC000218846104 524679453 /nfs/dbraw/zinc/67/94/53/524679453.db2.gz CDJGGDZLWDBIKT-NSHDSACASA-N 0 0 252.314 2.722 20 5 CFBDRN C[C@H](Cn1ccnc1)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000315381215 524793958 /nfs/dbraw/zinc/79/39/58/524793958.db2.gz SKVGZYOLIXOTTH-LLVKDONJSA-N 0 0 297.318 2.840 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]1c1ccccc1 ZINC000335050485 524854795 /nfs/dbraw/zinc/85/47/95/524854795.db2.gz PCCMLROIRYVDFX-QMTHXVAHSA-N 0 0 285.303 2.756 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)Cc1nccs1 ZINC000194788244 524902658 /nfs/dbraw/zinc/90/26/58/524902658.db2.gz AXIYQIQBIFVIMD-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@H](C)C1 ZINC000156894701 524904890 /nfs/dbraw/zinc/90/48/90/524904890.db2.gz DEKMLIBBBATHNT-ONGXEEELSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC[C@@H]1CCOC1 ZINC000230104206 524906871 /nfs/dbraw/zinc/90/68/71/524906871.db2.gz FHAOBRCHWJETAJ-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN C[C@H](NC(=O)C1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000049212584 524910427 /nfs/dbraw/zinc/91/04/27/524910427.db2.gz AUUPGVCDQCVYJX-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN COc1nc(C)cc(Oc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000354581964 524964501 /nfs/dbraw/zinc/96/45/01/524964501.db2.gz SHWVABGVUPWTCZ-UHFFFAOYSA-N 0 0 279.227 2.633 20 5 CFBDRN C[C@@H](Cn1ccnc1)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000315291704 524964850 /nfs/dbraw/zinc/96/48/50/524964850.db2.gz HPAVXJAODPPAMD-NSHDSACASA-N 0 0 297.318 2.840 20 5 CFBDRN CC(=O)c1cc(NC[C@@](C)(O)C(C)C)ccc1[N+](=O)[O-] ZINC000342215092 534823437 /nfs/dbraw/zinc/82/34/37/534823437.db2.gz JTSIRVDZTQDMQD-CQSZACIVSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1cc(N(C)CCN(C)CC(F)F)ccc1[N+](=O)[O-] ZINC000337946998 525026781 /nfs/dbraw/zinc/02/67/81/525026781.db2.gz XQGRQKGIHAVURY-UHFFFAOYSA-N 0 0 287.310 2.536 20 5 CFBDRN COc1ncccc1CNc1cc(C)ccc1[N+](=O)[O-] ZINC000084726328 525063098 /nfs/dbraw/zinc/06/30/98/525063098.db2.gz XPPARRCSKIFNRY-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1cc(N2CC[C@@H](CC3CC3)C2)ncc1[N+](=O)[O-] ZINC000338812134 525087649 /nfs/dbraw/zinc/08/76/49/525087649.db2.gz SDWZWTJZINSFMA-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN C[C@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000336338518 525113602 /nfs/dbraw/zinc/11/36/02/525113602.db2.gz MFHRMDHQQQGWMQ-SMDDNHRTSA-N 0 0 299.330 2.941 20 5 CFBDRN C[C@H](NC(=O)OCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000049211998 525124610 /nfs/dbraw/zinc/12/46/10/525124610.db2.gz QDGDVXDDNUCAKX-ZETCQYMHSA-N 0 0 292.213 2.944 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000302029227 525135499 /nfs/dbraw/zinc/13/54/99/525135499.db2.gz QHPFXAWWQIFRMB-KCJUWKMLSA-N 0 0 254.261 2.571 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000335316598 525143064 /nfs/dbraw/zinc/14/30/64/525143064.db2.gz GJTQRCJJVRKDGZ-ZDUSSCGKSA-N 0 0 281.287 2.869 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000334177036 525174282 /nfs/dbraw/zinc/17/42/82/525174282.db2.gz CKTODAIUBRVOKZ-VHSXEESVSA-N 0 0 281.287 2.915 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336184274 525216214 /nfs/dbraw/zinc/21/62/14/525216214.db2.gz PBDMFYYVUYMRSE-RKDXNWHRSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@]1(CO)CCC[C@@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153841071 525275240 /nfs/dbraw/zinc/27/52/40/525275240.db2.gz OWPVXKKFPBXOGK-CMPLNLGQSA-N 0 0 285.731 2.606 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(CC(C)C)C2CC2)c1 ZINC000067545390 525446520 /nfs/dbraw/zinc/44/65/20/525446520.db2.gz RTWPMYIHFSEGHG-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCC[C@H]2C)c1 ZINC000340032330 525449048 /nfs/dbraw/zinc/44/90/48/525449048.db2.gz JKHSLJDMZNVYOV-GHMZBOCLSA-N 0 0 292.335 2.769 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@H](CO)C2)c1 ZINC000315093291 525450993 /nfs/dbraw/zinc/45/09/93/525450993.db2.gz LBLDFAOYCKFLCU-NEPJUHHUSA-N 0 0 294.351 2.814 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@]3(C2)CCCOC3)c1 ZINC000338719029 525451317 /nfs/dbraw/zinc/45/13/17/525451317.db2.gz BDFUDXVAKONLJQ-HNNXBMFYSA-N 0 0 292.335 2.610 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncccc1C ZINC000073001958 525457760 /nfs/dbraw/zinc/45/77/60/525457760.db2.gz OYDFWNPSRLRUDT-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000361961186 525480544 /nfs/dbraw/zinc/48/05/44/525480544.db2.gz GDZGBZRHTUMIDZ-MNOVXSKESA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1c(Br)c(N[C@@H](C)CF)ncc1[N+](=O)[O-] ZINC000336727611 525524659 /nfs/dbraw/zinc/52/46/59/525524659.db2.gz CBDGBSBLXNIEDJ-YFKPBYRVSA-N 0 0 292.108 2.831 20 5 CFBDRN Cc1cccnc1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054127606 525542711 /nfs/dbraw/zinc/54/27/11/525542711.db2.gz WJYBUZXGYVXHJQ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1c(C(=O)N(C)Cc2cccn2C)cccc1[N+](=O)[O-] ZINC000160838074 525559611 /nfs/dbraw/zinc/55/96/11/525559611.db2.gz XEIXXUBZEXAMOA-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CS[C@H](C)C1 ZINC000335216624 525564613 /nfs/dbraw/zinc/56/46/13/525564613.db2.gz CWPBLRFEWGNODY-NXEZZACHSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCOC(C)(C)C1 ZINC000155996003 525568601 /nfs/dbraw/zinc/56/86/01/525568601.db2.gz YXERQVQMWAAONA-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCO[C@H]2CCCC[C@@H]2C)c1=O ZINC000155871317 525572657 /nfs/dbraw/zinc/57/26/57/525572657.db2.gz LCCOHSBFDWQIFK-FZMZJTMJSA-N 0 0 294.351 2.660 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C/C=C/c2ccccc2)c1=O ZINC000155801157 525572759 /nfs/dbraw/zinc/57/27/59/525572759.db2.gz LQCKLLJSAVQDSC-VMPITWQZSA-N 0 0 270.288 2.778 20 5 CFBDRN Cc1c(C(=O)N2CCC[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000370766991 525579995 /nfs/dbraw/zinc/57/99/95/525579995.db2.gz LSJLYDBNOAVZDD-AWEZNQCLSA-N 0 0 274.320 2.918 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)Nc1ccc([N+](=O)[O-])cc1F ZINC000230098248 525598084 /nfs/dbraw/zinc/59/80/84/525598084.db2.gz AHLJGYCGJWUZHK-UFBFGSQYSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)c1cccc(O)c1 ZINC000171372224 525662284 /nfs/dbraw/zinc/66/22/84/525662284.db2.gz ABTNJMVMWAAKTQ-VIFPVBQESA-N 0 0 259.265 2.869 20 5 CFBDRN Cc1ccnc(N2CC[C@H](CC3CC3)C2)c1[N+](=O)[O-] ZINC000338806512 525672367 /nfs/dbraw/zinc/67/23/67/525672367.db2.gz BZCVGCOMYHUXSW-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN COc1cccc(-c2nc(-c3ccco3)no2)c1[N+](=O)[O-] ZINC000340663765 525720449 /nfs/dbraw/zinc/72/04/49/525720449.db2.gz SVCHGMNRQDCETA-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000360512295 525721119 /nfs/dbraw/zinc/72/11/19/525721119.db2.gz BLJBWZDZSXPXCO-IMRBUKKESA-N 0 0 274.320 2.566 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1cn[nH]c1 ZINC000229871575 525729923 /nfs/dbraw/zinc/72/99/23/525729923.db2.gz NSUSTRSVEOXXIQ-ZCFIWIBFSA-N 0 0 267.676 2.539 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@@H]1CC12CC2 ZINC000418979820 534881397 /nfs/dbraw/zinc/88/13/97/534881397.db2.gz NXPRUHUJGZRQIV-NSHDSACASA-N 0 0 269.304 2.777 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])c2cnccc12)C1CC1 ZINC000313829605 525778707 /nfs/dbraw/zinc/77/87/07/525778707.db2.gz CVZJTIGLPIVDDE-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])c2ncccc12)C1CC1 ZINC000315169352 525779097 /nfs/dbraw/zinc/77/90/97/525779097.db2.gz ZLYVXADSBPIJKR-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN CS[C@@H](C)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000162892878 525808871 /nfs/dbraw/zinc/80/88/71/525808871.db2.gz GENRPGRWLISCCD-ZETCQYMHSA-N 0 0 266.326 2.635 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000334995071 525809735 /nfs/dbraw/zinc/80/97/35/525809735.db2.gz OBWNLNCUWJUTCB-JTQLQIEISA-N 0 0 256.208 2.579 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000228129540 525815462 /nfs/dbraw/zinc/81/54/62/525815462.db2.gz BYLMNEYNFYLLJD-UWVGGRQHSA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000340785732 525815876 /nfs/dbraw/zinc/81/58/76/525815876.db2.gz VKJJALHPHQFOPF-YUMQZZPRSA-N 0 0 290.266 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCC3(O)CCCC3)c2c1 ZINC000413074909 534885108 /nfs/dbraw/zinc/88/51/08/534885108.db2.gz QQNIBWVOKPBSNR-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@H](CO)C3CC3)c2c1 ZINC000413311765 534885249 /nfs/dbraw/zinc/88/52/49/534885249.db2.gz PKFCFDBDPVPKAH-GFCCVEGCSA-N 0 0 287.319 2.573 20 5 CFBDRN CS[C@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049217484 525855081 /nfs/dbraw/zinc/85/50/81/525855081.db2.gz OAHHSEBDRQQLLL-DTWKUNHWSA-N 0 0 268.338 2.524 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC[C@@H]2CC2CCC2)c(F)c1 ZINC000413300826 534888270 /nfs/dbraw/zinc/88/82/70/534888270.db2.gz QRLZOUSMZVYHCN-LBPRGKRZSA-N 0 0 295.314 2.524 20 5 CFBDRN O=[N+]([O-])c1cnc(NC(C2CC2)C2CC2)c(F)c1 ZINC000413041843 534888854 /nfs/dbraw/zinc/88/88/54/534888854.db2.gz LJPNCIADVIPCEI-UHFFFAOYSA-N 0 0 251.261 2.729 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1NCc1ccoc1 ZINC000179764259 534889318 /nfs/dbraw/zinc/88/93/18/534889318.db2.gz WTGGIBBIEKWRFX-UHFFFAOYSA-N 0 0 298.096 2.957 20 5 CFBDRN Cc1cn(CCCOc2ccccc2)nc1[N+](=O)[O-] ZINC000337942704 525910619 /nfs/dbraw/zinc/91/06/19/525910619.db2.gz WMIVWRUOIIQWSE-UHFFFAOYSA-N 0 0 261.281 2.569 20 5 CFBDRN Cc1ccc(/C=C\C(=O)NCc2ccccc2[N+](=O)[O-])o1 ZINC000046135694 525962031 /nfs/dbraw/zinc/96/20/31/525962031.db2.gz ORIAJPWLIRBYGZ-HJWRWDBZSA-N 0 0 286.287 2.826 20 5 CFBDRN CSc1ccc(C(=O)N2[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000336064808 525962348 /nfs/dbraw/zinc/96/23/48/525962348.db2.gz IHNVKSGDGQLZTE-DTORHVGOSA-N 0 0 280.349 2.940 20 5 CFBDRN Cc1ccc(C(=O)COc2ncc(C)cc2[N+](=O)[O-])cc1 ZINC000360734450 526049470 /nfs/dbraw/zinc/04/94/70/526049470.db2.gz FHGCFMFYQAZBEY-UHFFFAOYSA-N 0 0 286.287 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C[C@H]2CCCO2)c1 ZINC000334035198 526053120 /nfs/dbraw/zinc/05/31/20/526053120.db2.gz YGBZOIYAUMXXHM-NWDGAFQWSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCC[C@H]2CO)c1 ZINC000088724869 526061524 /nfs/dbraw/zinc/06/15/24/526061524.db2.gz WEBFGYSORAXLDP-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)c2ccccn2)n1 ZINC000162596665 526061923 /nfs/dbraw/zinc/06/19/23/526061923.db2.gz IHJNYPRGSIRXOB-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]2CCC[C@@H]21 ZINC000336111342 526071663 /nfs/dbraw/zinc/07/16/63/526071663.db2.gz PLHPJNYEEFQGGC-RISCZKNCSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccsc1 ZINC000049412615 526074793 /nfs/dbraw/zinc/07/47/93/526074793.db2.gz NLWOQQFBHJUEGU-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC1(O)CCCC1 ZINC000087464115 526084561 /nfs/dbraw/zinc/08/45/61/526084561.db2.gz FFAGGYMXSVCZBR-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)C[C@H](O)C(F)(F)F ZINC000305781356 526084610 /nfs/dbraw/zinc/08/46/10/526084610.db2.gz UMUZKAISTUTBOS-KCJUWKMLSA-N 0 0 292.257 2.511 20 5 CFBDRN Cc1cnc(NCCOc2ccccc2F)c([N+](=O)[O-])c1 ZINC000361264063 526090618 /nfs/dbraw/zinc/09/06/18/526090618.db2.gz XPFDFPWTIRAZEM-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN Cc1cnc(N[C@@H](CO)CCC(C)(C)C)c([N+](=O)[O-])c1 ZINC000360248154 526094497 /nfs/dbraw/zinc/09/44/97/526094497.db2.gz GFYCYCGKXWOGEL-LLVKDONJSA-N 0 0 281.356 2.897 20 5 CFBDRN Cc1cnc(N[C@@H](CO)c2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000361270837 526094585 /nfs/dbraw/zinc/09/45/85/526094585.db2.gz GVPAPQZCTQOJJB-LBPRGKRZSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cnc(OCCOc2ccccc2F)c([N+](=O)[O-])c1 ZINC000178280012 526099577 /nfs/dbraw/zinc/09/95/77/526099577.db2.gz HLVHEULXXHGAOA-UHFFFAOYSA-N 0 0 292.266 2.895 20 5 CFBDRN COc1cccc(N2CCC[C@@H](OC)CC2)c1[N+](=O)[O-] ZINC000364880829 526143808 /nfs/dbraw/zinc/14/38/08/526143808.db2.gz WFHZSUJEZMXDEO-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)cc1 ZINC000049379770 526187447 /nfs/dbraw/zinc/18/74/47/526187447.db2.gz JBDDVBXOSALFDR-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000362022718 526193439 /nfs/dbraw/zinc/19/34/39/526193439.db2.gz VHITYDNFFZKXAZ-QMMMGPOBSA-N 0 0 290.241 2.974 20 5 CFBDRN COc1cccc(NCCOC2CCCC2)c1[N+](=O)[O-] ZINC000134919615 526208712 /nfs/dbraw/zinc/20/87/12/526208712.db2.gz UDMBTUJMEPAUFR-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN C[C@H]1CCCCN1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000334189618 526243439 /nfs/dbraw/zinc/24/34/39/526243439.db2.gz HRKSDGUGUTWFEL-VIFPVBQESA-N 0 0 298.289 2.817 20 5 CFBDRN Cc1cc(C(=O)N2CCCC[C@H]2C)cc([N+](=O)[O-])c1 ZINC000049157259 526244541 /nfs/dbraw/zinc/24/45/41/526244541.db2.gz OZAPBVNAFHHKKX-LLVKDONJSA-N 0 0 262.309 2.918 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000172663375 526265128 /nfs/dbraw/zinc/26/51/28/526265128.db2.gz IOCLKHDHROUZPU-RYUDHWBXSA-N 0 0 276.336 2.784 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCC(F)(F)C1 ZINC000334817630 526510003 /nfs/dbraw/zinc/51/00/03/526510003.db2.gz OIFBOUQIYMPDPQ-NWDGAFQWSA-N 0 0 296.273 2.566 20 5 CFBDRN Cc1n[nH]cc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227888954 526555697 /nfs/dbraw/zinc/55/56/97/526555697.db2.gz YJEMQZSKPDULDZ-UHFFFAOYSA-N 0 0 268.223 2.517 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@H]1F ZINC000340799840 526604995 /nfs/dbraw/zinc/60/49/95/526604995.db2.gz PDXDAQZRRAVEFE-OLZOCXBDSA-N 0 0 280.299 2.534 20 5 CFBDRN CN(Cc1cc(Cl)ccc1F)c1ncc([N+](=O)[O-])cn1 ZINC000433815213 536457727 /nfs/dbraw/zinc/45/77/27/536457727.db2.gz ZWRSIIDZTRRTMT-UHFFFAOYSA-N 0 0 296.689 2.814 20 5 CFBDRN O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CCC2(CC2)CC1 ZINC000370881105 526616609 /nfs/dbraw/zinc/61/66/09/526616609.db2.gz LMTLZYXDSCHIJL-UHFFFAOYSA-N 0 0 296.273 2.889 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC(C2CCC2)C1 ZINC000335103321 526620626 /nfs/dbraw/zinc/62/06/26/526620626.db2.gz IDUJVEDATWLOQZ-UHFFFAOYSA-N 0 0 278.283 2.606 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@H](c2ccccc2)C1 ZINC000335080651 526629070 /nfs/dbraw/zinc/62/90/70/526629070.db2.gz HCOUSNNOVOHCFA-ZDUSSCGKSA-N 0 0 299.330 2.943 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCC2(CCCC2)C1 ZINC000336060413 526716289 /nfs/dbraw/zinc/71/62/89/526716289.db2.gz MTOZMUREINHBAK-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H]1c1cccnc1 ZINC000360871265 526716507 /nfs/dbraw/zinc/71/65/07/526716507.db2.gz JAMVWASMGJDKJV-LLVKDONJSA-N 0 0 287.275 2.560 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCCC12CCCC2 ZINC000365561349 526716545 /nfs/dbraw/zinc/71/65/45/526716545.db2.gz NIKJIIIXKSWHNZ-UHFFFAOYSA-N 0 0 275.308 2.539 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N(CC(F)(F)F)C1CC1 ZINC000194278827 526717504 /nfs/dbraw/zinc/71/75/04/526717504.db2.gz NMBNPCVLQJSBQU-UHFFFAOYSA-N 0 0 294.254 2.823 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cc(Cl)ccn1 ZINC000049947191 526726740 /nfs/dbraw/zinc/72/67/40/526726740.db2.gz COECQEHACUFXIH-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN Cc1oc(-c2cccs2)nc1Cn1cc([N+](=O)[O-])cn1 ZINC000074251765 526749118 /nfs/dbraw/zinc/74/91/18/526749118.db2.gz YQNYMENUAPHDLK-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(Cl)o1 ZINC000224717653 526759846 /nfs/dbraw/zinc/75/98/46/526759846.db2.gz HSWZRMBCCCVFQF-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000334467395 526871600 /nfs/dbraw/zinc/87/16/00/526871600.db2.gz DZEDLQJZVUWXKS-SSDOTTSWSA-N 0 0 290.291 2.834 20 5 CFBDRN CC(C)(C)c1nc(Sc2ncc([N+](=O)[O-])cc2F)n[nH]1 ZINC000413046666 526873723 /nfs/dbraw/zinc/87/37/23/526873723.db2.gz STJJCKHAACUVLR-UHFFFAOYSA-N 0 0 297.315 2.696 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000335415842 526901570 /nfs/dbraw/zinc/90/15/70/526901570.db2.gz DYNLOUJTPDLLIY-RKDXNWHRSA-N 0 0 284.262 2.744 20 5 CFBDRN COC[C@H]1CCCCN1Cc1cc([N+](=O)[O-])ccc1OC ZINC000452032011 536507045 /nfs/dbraw/zinc/50/70/45/536507045.db2.gz VJHOFTIIOZGLEM-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1nc(N[C@@H](CO)CCC(C)(C)C)ccc1[N+](=O)[O-] ZINC000360243829 527000463 /nfs/dbraw/zinc/00/04/63/527000463.db2.gz XPFVIDOFXUGGDP-LLVKDONJSA-N 0 0 281.356 2.897 20 5 CFBDRN CC(C)(CCO)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413167798 527055105 /nfs/dbraw/zinc/05/51/05/527055105.db2.gz KJWDTQCYTQPAGU-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN O=C(N[C@H]1CC[C@H](F)C1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000336401313 527065584 /nfs/dbraw/zinc/06/55/84/527065584.db2.gz UFTTYXMMDGMSJD-IUCAKERBSA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(Nc1cc(Cl)ccn1)c1csc([N+](=O)[O-])c1 ZINC000172015099 527115477 /nfs/dbraw/zinc/11/54/77/527115477.db2.gz CNIBEGFUMXHOIY-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CCCSC1 ZINC000334397475 527124437 /nfs/dbraw/zinc/12/44/37/527124437.db2.gz CJYVTIYMQSCSQD-QMMMGPOBSA-N 0 0 284.312 2.816 20 5 CFBDRN Cn1cc(CCNc2ccnc3c2cccc3[N+](=O)[O-])cn1 ZINC000317594872 527194058 /nfs/dbraw/zinc/19/40/58/527194058.db2.gz HQTNDMCEQITACX-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN CC(C)(CO)CCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413336032 527205463 /nfs/dbraw/zinc/20/54/63/527205463.db2.gz UYTYJDBBTBXNBD-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC[C@@H]2CCC[C@H]21 ZINC000336451081 527245542 /nfs/dbraw/zinc/24/55/42/527245542.db2.gz ABNJFUHGJALPPN-GXTWGEPZSA-N 0 0 274.320 2.538 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cc(Cl)ccn1 ZINC000135046086 527271884 /nfs/dbraw/zinc/27/18/84/527271884.db2.gz NJCNMIARMXZKAE-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(F)cc1O ZINC000340327910 527294472 /nfs/dbraw/zinc/29/44/72/527294472.db2.gz FQEMZOKCSUAMDD-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(O)cc1F ZINC000070333196 527294964 /nfs/dbraw/zinc/29/49/64/527294964.db2.gz OBONDSPKCSUCEH-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC(C)(C)CC1 ZINC000155602582 527311811 /nfs/dbraw/zinc/31/18/11/527311811.db2.gz LJJJUZMLEAXPCZ-UHFFFAOYSA-N 0 0 291.351 2.951 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C)[C@@H]1C ZINC000172662338 527311986 /nfs/dbraw/zinc/31/19/86/527311986.db2.gz ORIALHQSUNNTAS-ONGXEEELSA-N 0 0 277.324 2.559 20 5 CFBDRN Cc1ncc2c(n1)CC[C@H](Nc1ccccc1[N+](=O)[O-])C2 ZINC000369224999 527319675 /nfs/dbraw/zinc/31/96/75/527319675.db2.gz HVOZXEXFBXENNX-LBPRGKRZSA-N 0 0 284.319 2.663 20 5 CFBDRN CC(=O)c1ccc(OCCOCC2CCC2)c([N+](=O)[O-])c1 ZINC000400715595 527326988 /nfs/dbraw/zinc/32/69/88/527326988.db2.gz VLOWWYYYZGJWCE-UHFFFAOYSA-N 0 0 293.319 2.993 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H](c2cc[nH]n2)C1 ZINC000193846946 527336913 /nfs/dbraw/zinc/33/69/13/527336913.db2.gz AWPZLKSVDSZMDP-JTQLQIEISA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCc2cccnc2)c(F)c1 ZINC000227887898 527337346 /nfs/dbraw/zinc/33/73/46/527337346.db2.gz VTNHPSWLVYGWLJ-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1Cc2ccccc2[C@@H]1O ZINC000170982477 527337770 /nfs/dbraw/zinc/33/77/70/527337770.db2.gz AOMIIEBSWVEVTF-HIFRSBDPSA-N 0 0 288.278 2.804 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCO[C@@H]1C1CC1 ZINC000230308152 527338586 /nfs/dbraw/zinc/33/85/86/527338586.db2.gz CQOCLVHMYWBAJW-DGCLKSJQSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(C[C@H]3CCCO3)c2c(Cl)c1 ZINC000338561032 527340780 /nfs/dbraw/zinc/34/07/80/527340780.db2.gz XSGBCYCQNKJNPA-SNVBAGLBSA-N 0 0 281.699 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(CCO)c2ccccc2)c(F)c1 ZINC000304878277 527347416 /nfs/dbraw/zinc/34/74/16/527347416.db2.gz KNHDQUGIDFPRCV-UHFFFAOYSA-N 0 0 290.294 2.733 20 5 CFBDRN Cn1ccc(CCNc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000230876877 527351674 /nfs/dbraw/zinc/35/16/74/527351674.db2.gz UEPFQLIRBGSQFD-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nnc(C(F)F)s2)cc1 ZINC000311536069 527352699 /nfs/dbraw/zinc/35/26/99/527352699.db2.gz SDZJRJVKVUAFRS-UHFFFAOYSA-N 0 0 286.263 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2ccc(C(F)(F)F)n2)c(F)c1 ZINC000313389349 527357565 /nfs/dbraw/zinc/35/75/65/527357565.db2.gz SAILNUWRVGNGNH-UHFFFAOYSA-N 0 0 289.188 2.998 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]2c2ccccc2)nc1 ZINC000048976688 527362211 /nfs/dbraw/zinc/36/22/11/527362211.db2.gz MHDVFJZZIPLHBT-CYBMUJFWSA-N 0 0 255.277 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCCc1ccncc1)CC2 ZINC000360630234 527379185 /nfs/dbraw/zinc/37/91/85/527379185.db2.gz VFJQQBIMMAXBTA-UHFFFAOYSA-N 0 0 283.331 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CC[C@@H](F)C3)ccc2c1 ZINC000340844006 527379246 /nfs/dbraw/zinc/37/92/46/527379246.db2.gz CDXHKHIIFVPPHT-MNOVXSKESA-N 0 0 275.283 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCc3cccnn3)ccc2c1 ZINC000236418931 527381939 /nfs/dbraw/zinc/38/19/39/527381939.db2.gz SYJVLAGWELBAKK-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=[N+]([O-])c1cccc(CN[C@@H]2COCc3ccccc32)c1 ZINC000225997925 527387181 /nfs/dbraw/zinc/38/71/81/527387181.db2.gz LMBHRYDCUTUZLT-MRXNPFEDSA-N 0 0 284.315 2.956 20 5 CFBDRN O=[N+]([O-])c1cccc(COc2ccc(-n3cncn3)cc2)c1 ZINC000156903050 527387691 /nfs/dbraw/zinc/38/76/91/527387691.db2.gz FDYFIZJPRBXMCY-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCOCC(F)(F)F)c1 ZINC000194586614 527391948 /nfs/dbraw/zinc/39/19/48/527391948.db2.gz VEHZGJLBPZNEHQ-UHFFFAOYSA-N 0 0 265.187 2.553 20 5 CFBDRN O=C(Cn1cnc([N+](=O)[O-])c1)c1csc2ccccc12 ZINC000194530420 527397804 /nfs/dbraw/zinc/39/78/04/527397804.db2.gz YJVVQRRZJAPCDH-UHFFFAOYSA-N 0 0 287.300 2.889 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@H]1COCc2ccccc21 ZINC000225997078 527401381 /nfs/dbraw/zinc/40/13/81/527401381.db2.gz FHZAZHRSAOEBMZ-HNNXBMFYSA-N 0 0 284.315 2.956 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1cnc(C2CC2)nc1 ZINC000339357694 527402679 /nfs/dbraw/zinc/40/26/79/527402679.db2.gz LKRWIBXCAHOICP-UHFFFAOYSA-N 0 0 284.319 2.917 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCOc1ccccc1CO ZINC000192801422 527403750 /nfs/dbraw/zinc/40/37/50/527403750.db2.gz SUIDIMDWXVPYIC-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCO[C@@H](c2ccsc2)C1 ZINC000361074022 527412938 /nfs/dbraw/zinc/41/29/38/527412938.db2.gz OQHDCSYMCAIMMP-CYBMUJFWSA-N 0 0 291.332 2.629 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2ncc(-c3cccs3)o2)c1 ZINC000067429278 527415935 /nfs/dbraw/zinc/41/59/35/527415935.db2.gz XBYNQQSNMSFAIL-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN Nc1c(Cl)cc(C(=O)N2CCCCCC2)cc1[N+](=O)[O-] ZINC000050697855 527430416 /nfs/dbraw/zinc/43/04/16/527430416.db2.gz CLUCILKVTCPKNQ-UHFFFAOYSA-N 0 0 297.742 2.847 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@H]1CCCC1(F)F ZINC000336253814 527455008 /nfs/dbraw/zinc/45/50/08/527455008.db2.gz HTQBDMKZRSNMAD-SSDOTTSWSA-N 0 0 292.669 2.845 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000337696176 527469417 /nfs/dbraw/zinc/46/94/17/527469417.db2.gz QGNHYJYOPKBTAB-UHFFFAOYSA-N 0 0 295.245 2.604 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(C(C)(C)C(C)(C)C)n1 ZINC000340680703 527489450 /nfs/dbraw/zinc/48/94/50/527489450.db2.gz SYGRYHBWHCURIQ-UHFFFAOYSA-N 0 0 293.327 2.702 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1CC(c2ccccc2)C1 ZINC000338485943 527490282 /nfs/dbraw/zinc/49/02/82/527490282.db2.gz WBEMOEGEGKTVPC-UHFFFAOYSA-N 0 0 286.335 2.934 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1C[C@@H]1c1ccccc1 ZINC000338768107 527490752 /nfs/dbraw/zinc/49/07/52/527490752.db2.gz FGRSMGNSYWQXKH-VXGBXAGGSA-N 0 0 272.308 2.544 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@@H](C3CC3)C2)c1 ZINC000193500464 527501935 /nfs/dbraw/zinc/50/19/35/527501935.db2.gz ONHIKYXGONISLY-BXUZGUMPSA-N 0 0 277.324 2.547 20 5 CFBDRN O=C(NC1CCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000052210569 527503454 /nfs/dbraw/zinc/50/34/54/527503454.db2.gz HCZZJZROBFPEJO-UHFFFAOYSA-N 0 0 254.673 2.531 20 5 CFBDRN Cn1nccc1CCSc1cccc([N+](=O)[O-])c1 ZINC000338404489 527606332 /nfs/dbraw/zinc/60/63/32/527606332.db2.gz CPEXTWICFNMBRZ-UHFFFAOYSA-N 0 0 263.322 2.663 20 5 CFBDRN O=C(NCC1CCCCC1)c1ccc([N+](=O)[O-])s1 ZINC000068324481 527607457 /nfs/dbraw/zinc/60/74/57/527607457.db2.gz AANHAMGCACMCKS-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN O=C(NCCCCF)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000360518447 527649441 /nfs/dbraw/zinc/64/94/41/527649441.db2.gz TZHGKOMLDPSUCQ-UHFFFAOYSA-N 0 0 291.282 2.623 20 5 CFBDRN O=C(NCCCOC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000061260925 527682677 /nfs/dbraw/zinc/68/26/77/527682677.db2.gz GMCWARYNXYVFJC-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN CCC1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000427320129 527739663 /nfs/dbraw/zinc/73/96/63/527739663.db2.gz KVEFSPZQPGHRAY-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])c3ncccc23)COC1 ZINC000413311212 527755441 /nfs/dbraw/zinc/75/54/41/527755441.db2.gz DOSGPFTWJGDFIS-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CCC1(NC(=O)c2cccc(OC)c2[N+](=O)[O-])CCC1 ZINC000194572320 527797420 /nfs/dbraw/zinc/79/74/20/527797420.db2.gz YPTNGOCSSPIAEA-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157283268 527803668 /nfs/dbraw/zinc/80/36/68/527803668.db2.gz PGEHDAIURZVQCG-SNVBAGLBSA-N 0 0 279.340 2.732 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNc1ncc([N+](=O)[O-])cc1F ZINC000413156847 527836166 /nfs/dbraw/zinc/83/61/66/527836166.db2.gz SNTALCMWZDGLTM-CYBMUJFWSA-N 0 0 285.319 2.728 20 5 CFBDRN CCC1CCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000413061881 527871445 /nfs/dbraw/zinc/87/14/45/527871445.db2.gz AFCDGRVBQDQNQZ-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN CCCN(CC(F)F)c1ncc([N+](=O)[O-])cc1F ZINC000413107882 527939588 /nfs/dbraw/zinc/93/95/88/527939588.db2.gz QHJUKLJIBULLBY-UHFFFAOYSA-N 0 0 263.219 2.610 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1Nc1ncc([N+](=O)[O-])cc1F ZINC000413429246 527977504 /nfs/dbraw/zinc/97/75/04/527977504.db2.gz DAKMFJXYSISIEE-JQWIXIFHSA-N 0 0 253.277 2.975 20 5 CFBDRN CC(C)c1ccc(CN2CCO[C@H](CF)C2)cc1[N+](=O)[O-] ZINC000414466440 528094287 /nfs/dbraw/zinc/09/42/87/528094287.db2.gz BSRHFDXISUGKPK-CYBMUJFWSA-N 0 0 296.342 2.889 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@@H]2CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000412350541 528103867 /nfs/dbraw/zinc/10/38/67/528103867.db2.gz YDUKDFSVIWQVOQ-CMPLNLGQSA-N 0 0 292.335 2.910 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000413386511 528104369 /nfs/dbraw/zinc/10/43/69/528104369.db2.gz KPWJEUPGEFSUKK-OTSSQURYSA-N 0 0 272.251 2.852 20 5 CFBDRN CCOc1cc(N2CCCO[C@@H](CF)C2)ccc1[N+](=O)[O-] ZINC000413476112 528309292 /nfs/dbraw/zinc/30/92/92/528309292.db2.gz DCVRXWNFIMGCFJ-LBPRGKRZSA-N 0 0 298.314 2.558 20 5 CFBDRN CCOc1cc(N2CCSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000174916088 528312407 /nfs/dbraw/zinc/31/24/07/528312407.db2.gz AIMZKJRNTPDRQH-JTQLQIEISA-N 0 0 282.365 2.935 20 5 CFBDRN CCOc1cc(NC2CCC(O)CC2)ccc1[N+](=O)[O-] ZINC000111045509 528322707 /nfs/dbraw/zinc/32/27/07/528322707.db2.gz LVBRSIUEYYQJRX-UHFFFAOYSA-N 0 0 280.324 2.709 20 5 CFBDRN CCOc1cc(NCCCn2ccnc2)ccc1[N+](=O)[O-] ZINC000111046103 528326163 /nfs/dbraw/zinc/32/61/63/528326163.db2.gz VLNBDZGOEVVQLE-UHFFFAOYSA-N 0 0 290.323 2.692 20 5 CFBDRN CCOc1cc(NC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000111046038 528328580 /nfs/dbraw/zinc/32/85/80/528328580.db2.gz VNLKXYDUSOWZHK-LLVKDONJSA-N 0 0 266.297 2.584 20 5 CFBDRN CC(C)c1nn(C)cc1Nc1ncc([N+](=O)[O-])cc1F ZINC000413270278 528402332 /nfs/dbraw/zinc/40/23/32/528402332.db2.gz ONFXCZLNQHCBIL-UHFFFAOYSA-N 0 0 279.275 2.729 20 5 CFBDRN CCCCN(CCCC)C(=O)c1cc([N+](=O)[O-])cnc1N ZINC000183472987 528405018 /nfs/dbraw/zinc/40/50/18/528405018.db2.gz UJSXWDHOGXLNIX-UHFFFAOYSA-N 0 0 294.355 2.614 20 5 CFBDRN CCCCOCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000133362113 528611598 /nfs/dbraw/zinc/61/15/98/528611598.db2.gz HZBBJEQLGZGRSE-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC12CC2 ZINC000421533511 528614583 /nfs/dbraw/zinc/61/45/83/528614583.db2.gz ACWYAFULDVKCGZ-SNVBAGLBSA-N 0 0 276.292 2.732 20 5 CFBDRN CCOC(=O)CCCOc1ccc(CC)cc1[N+](=O)[O-] ZINC000115200527 528713581 /nfs/dbraw/zinc/71/35/81/528713581.db2.gz DEVNLKGMYHMADT-UHFFFAOYSA-N 0 0 281.308 2.879 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1ccc([N+](=O)[O-])cc1C ZINC000192161072 528888785 /nfs/dbraw/zinc/88/87/85/528888785.db2.gz GKILNWXALZAHGY-JTQLQIEISA-N 0 0 280.324 2.904 20 5 CFBDRN CCOC(=O)C[C@H](C)Sc1ncc([N+](=O)[O-])cc1F ZINC000413131174 528896898 /nfs/dbraw/zinc/89/68/98/528896898.db2.gz AATDWENKUQISEJ-ZETCQYMHSA-N 0 0 288.300 2.563 20 5 CFBDRN CC1(C)CCC[C@H](n2cc([N+](=O)[O-])c(C3CC3)n2)C1=O ZINC000413006033 528985995 /nfs/dbraw/zinc/98/59/95/528985995.db2.gz NZZZMZKECUBUBD-JTQLQIEISA-N 0 0 277.324 2.989 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1C)c1cccnc1 ZINC000104402080 529086971 /nfs/dbraw/zinc/08/69/71/529086971.db2.gz SYMQDSFBLKADNS-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CCn1ccc(CNc2cc(OC)c(F)cc2[N+](=O)[O-])n1 ZINC000413493416 529175719 /nfs/dbraw/zinc/17/57/19/529175719.db2.gz OKCNCAJAVFMJAR-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN CCn1ccc(CNc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000413497575 529175935 /nfs/dbraw/zinc/17/59/35/529175935.db2.gz WIEGJZYECRCQSD-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000421576262 529326367 /nfs/dbraw/zinc/32/63/67/529326367.db2.gz BVLZOAUKPRDXQY-SECBINFHSA-N 0 0 250.298 2.679 20 5 CFBDRN CC[C@H](CNC(=O)c1cc([N+](=O)[O-])c[nH]1)CC(F)(F)F ZINC000413997213 529358394 /nfs/dbraw/zinc/35/83/94/529358394.db2.gz BNJVDITULSMOQC-ZETCQYMHSA-N 0 0 293.245 2.631 20 5 CFBDRN CC[C@H](CNc1nccc2ccc([N+](=O)[O-])cc21)OC ZINC000413292732 529373715 /nfs/dbraw/zinc/37/37/15/529373715.db2.gz AQIBNRNLOSDIFT-GFCCVEGCSA-N 0 0 275.308 2.980 20 5 CFBDRN CC1(C)C[C@H]1c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355430404 534932219 /nfs/dbraw/zinc/93/22/19/534932219.db2.gz QXAFMGGQGZCFOP-QMMMGPOBSA-N 0 0 274.280 2.741 20 5 CFBDRN CC(C)CN(C)C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491292347 535015140 /nfs/dbraw/zinc/01/51/40/535015140.db2.gz XHCSBFFHXXUZRN-ALCCZGGFSA-N 0 0 280.299 2.862 20 5 CFBDRN CC(C)(CCO)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450364618 535048979 /nfs/dbraw/zinc/04/89/79/535048979.db2.gz YYDJWMGILHSNNY-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN CC1(CC(F)(F)F)CN(c2ncc([N+](=O)[O-])s2)C1 ZINC000450959797 535114949 /nfs/dbraw/zinc/11/49/49/535114949.db2.gz YYQYFYHUYMQTLA-UHFFFAOYSA-N 0 0 281.259 2.830 20 5 CFBDRN CC1(CNC(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000492103835 535122111 /nfs/dbraw/zinc/12/21/11/535122111.db2.gz PWRDTYOCRPASSR-HWKANZROSA-N 0 0 278.283 2.663 20 5 CFBDRN CCC1(C(=O)NCCNc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000433939788 535239553 /nfs/dbraw/zinc/23/95/53/535239553.db2.gz ITGMFGULMINEOA-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000446329198 535249449 /nfs/dbraw/zinc/24/94/49/535249449.db2.gz FLQZEYOODQTYSD-CYBMUJFWSA-N 0 0 276.336 2.642 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000461898535 535472341 /nfs/dbraw/zinc/47/23/41/535472341.db2.gz BOOITCNZSMFBGA-DGCLKSJQSA-N 0 0 276.336 2.989 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000459971054 535546988 /nfs/dbraw/zinc/54/69/88/535546988.db2.gz WQCIGHDQJZNTCJ-MRVPVSSYSA-N 0 0 284.287 2.613 20 5 CFBDRN CCOCCCOc1ccc([N+](=O)[O-])c2ncccc12 ZINC000471884498 535552981 /nfs/dbraw/zinc/55/29/81/535552981.db2.gz MBDUQSGIICRHTQ-UHFFFAOYSA-N 0 0 276.292 2.948 20 5 CFBDRN CCN(CCOC)Cc1cc([N+](=O)[O-])ccc1OC(C)C ZINC000355435653 535748457 /nfs/dbraw/zinc/74/84/57/535748457.db2.gz NVSMXRWCWNNJQI-UHFFFAOYSA-N 0 0 296.367 2.850 20 5 CFBDRN CCc1cccc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000449795787 535848849 /nfs/dbraw/zinc/84/88/49/535848849.db2.gz DCIFKBRIOKQHHT-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])c(C)s1)C(F)F ZINC000452247739 535866791 /nfs/dbraw/zinc/86/67/91/535866791.db2.gz NJPWPIHRRGBZQQ-LURJTMIESA-N 0 0 278.280 2.738 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000468037950 535906112 /nfs/dbraw/zinc/90/61/12/535906112.db2.gz KLWLCFJHYWLYOZ-OAHLLOKOSA-N 0 0 291.351 2.926 20 5 CFBDRN CCn1ncnc1COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000447309643 535907173 /nfs/dbraw/zinc/90/71/73/535907173.db2.gz PRUIWEZIATUBQH-UHFFFAOYSA-N 0 0 298.302 2.938 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000459893223 535910856 /nfs/dbraw/zinc/91/08/56/535910856.db2.gz TVMMZPGNESAQNG-PSASIEDQSA-N 0 0 264.281 2.588 20 5 CFBDRN CC[C@@](C)(CNC(=O)/C=C/c1cccc([N+](=O)[O-])c1)OC ZINC000493632145 535979008 /nfs/dbraw/zinc/97/90/08/535979008.db2.gz VIADRZSVJMHBIH-HVHJFMEUSA-N 0 0 292.335 2.539 20 5 CFBDRN CCc1ccnc(CNc2c([N+](=O)[O-])c(C)nn2CC)c1 ZINC000435589448 536013793 /nfs/dbraw/zinc/01/37/93/536013793.db2.gz QLYNDXYHIWKIJM-UHFFFAOYSA-N 0 0 289.339 2.689 20 5 CFBDRN CCn1ccnc1[C@@H](C)Nc1ncc([N+](=O)[O-])cc1C ZINC000450835162 536117204 /nfs/dbraw/zinc/11/72/04/536117204.db2.gz WLMXIXRYIMYINA-SNVBAGLBSA-N 0 0 275.312 2.688 20 5 CFBDRN COc1ccc(NC(=O)/C=C\c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000491960609 536717718 /nfs/dbraw/zinc/71/77/18/536717718.db2.gz IDQBNQPBTXELJU-ALCCZGGFSA-N 0 0 287.275 2.583 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000459900695 536723100 /nfs/dbraw/zinc/72/31/00/536723100.db2.gz MZBVXLKKVWOKPV-FZMZJTMJSA-N 0 0 292.335 2.763 20 5 CFBDRN CO[C@@H](C)CNc1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450471271 536875052 /nfs/dbraw/zinc/87/50/52/536875052.db2.gz ULYHSKNUBVYBIU-VIFPVBQESA-N 0 0 293.348 2.587 20 5 CFBDRN COc1cc(OCc2ccc(CO)cc2)ccc1[N+](=O)[O-] ZINC000355945479 536876999 /nfs/dbraw/zinc/87/69/99/536876999.db2.gz GZCOSKDSGZNJNF-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@@](C)(F)C1 ZINC000444937381 536886884 /nfs/dbraw/zinc/88/68/84/536886884.db2.gz PYIZXCLKTYNJTN-CYBMUJFWSA-N 0 0 297.286 2.569 20 5 CFBDRN COc1ccncc1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000491350137 537120427 /nfs/dbraw/zinc/12/04/27/537120427.db2.gz JGLFPKTVVRPRMR-AATRIKPKSA-N 0 0 299.286 2.650 20 5 CFBDRN CC1(CCC(=O)NCCc2cccc([N+](=O)[O-])c2)CC1 ZINC000935116652 649877213 /nfs/dbraw/zinc/87/72/13/649877213.db2.gz BYTVBTRJQAXGAR-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NOC1CCCC1 ZINC000935240023 649890224 /nfs/dbraw/zinc/89/02/24/649890224.db2.gz RHJZWNGPPDXAPK-UHFFFAOYSA-N 0 0 296.348 2.921 20 5 CFBDRN CN(Cc1ccc(Cl)nc1)c1ccncc1[N+](=O)[O-] ZINC000727430924 574050074 /nfs/dbraw/zinc/05/00/74/574050074.db2.gz GUHKMVUPTNHZFH-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN CC(C)C[N@H+](CCC(=O)[O-])Cc1c(F)cccc1[N+](=O)[O-] ZINC000736432131 574050975 /nfs/dbraw/zinc/05/09/75/574050975.db2.gz CZOHJUFACYRFSK-UHFFFAOYSA-N 0 0 298.314 2.667 20 5 CFBDRN CN(CCOC(=O)/C=C/C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000744074270 574052299 /nfs/dbraw/zinc/05/22/99/574052299.db2.gz LNDGUGDOOZCFJZ-RUDMXATFSA-N 0 0 290.319 2.540 20 5 CFBDRN COC(=O)/C(C)=C/CSCc1ccc([N+](=O)[O-])cc1 ZINC000753942570 574056996 /nfs/dbraw/zinc/05/69/96/574056996.db2.gz KMQRVXYWJASPTK-JXMROGBWSA-N 0 0 281.333 2.947 20 5 CFBDRN Cc1cc(C(=O)OC2([C@@H]3CCOC3)CC2)cc([N+](=O)[O-])c1 ZINC000744162213 574057451 /nfs/dbraw/zinc/05/74/51/574057451.db2.gz LZPSCXXVPMJYDO-GFCCVEGCSA-N 0 0 291.303 2.629 20 5 CFBDRN C[C@H]1CC(OC(=O)c2cc([N+](=O)[O-])cn2C)C[C@H](C)C1 ZINC000744212880 574061432 /nfs/dbraw/zinc/06/14/32/574061432.db2.gz NBXXGNBVKNPYDX-NXEZZACHSA-N 0 0 280.324 2.915 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])CC(F)(F)C(F)F ZINC000736621778 574063074 /nfs/dbraw/zinc/06/30/74/574063074.db2.gz PXDGIZXRCIYFCN-UHFFFAOYSA-N 0 0 280.221 2.927 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CCOC1 ZINC000754028273 574064350 /nfs/dbraw/zinc/06/43/50/574064350.db2.gz UEYYQYUJZCXEAX-GFCCVEGCSA-N 0 0 284.699 2.613 20 5 CFBDRN CCC[C@H](O)CC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000740977807 574065918 /nfs/dbraw/zinc/06/59/18/574065918.db2.gz CQDQUZVYEVMYHQ-GWCFXTLKSA-N 0 0 281.308 2.750 20 5 CFBDRN O=C(COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C1CCC1 ZINC000754052677 574066521 /nfs/dbraw/zinc/06/65/21/574066521.db2.gz CBWWOFHOXHVMJJ-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN CC[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000727826302 574067707 /nfs/dbraw/zinc/06/77/07/574067707.db2.gz ODFKRGIPPJWHAN-SECBINFHSA-N 0 0 265.269 2.882 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)/C=C\C1CC1 ZINC000744361176 574071266 /nfs/dbraw/zinc/07/12/66/574071266.db2.gz FOYBZWXDTVTIAA-ISGFRBBESA-N 0 0 272.304 2.839 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCc1cccnc1)CC2 ZINC000754106071 574073437 /nfs/dbraw/zinc/07/34/37/574073437.db2.gz LJRDDHIANRTADX-UHFFFAOYSA-N 0 0 283.331 2.903 20 5 CFBDRN CCCOC(=O)[C@@H](C)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000754106169 574073446 /nfs/dbraw/zinc/07/34/46/574073446.db2.gz MQUBZVUUQNXFSF-LLVKDONJSA-N 0 0 292.335 2.607 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])C1CC(F)(F)C1 ZINC000754118680 574075161 /nfs/dbraw/zinc/07/51/61/574075161.db2.gz IYNBLLRDTANRET-UHFFFAOYSA-N 0 0 271.219 2.683 20 5 CFBDRN CCNc1ccc(C(=O)OCCC2CC2)cc1[N+](=O)[O-] ZINC000744479535 574076921 /nfs/dbraw/zinc/07/69/21/574076921.db2.gz LXZPRDSRRBHXFX-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN CC[C@@H](OC)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000744635014 574082844 /nfs/dbraw/zinc/08/28/44/574082844.db2.gz KRFDXDIUKVLMHO-JOYOIKCWSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@]1(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)CCOC1 ZINC000754274229 574085871 /nfs/dbraw/zinc/08/58/71/574085871.db2.gz NWOYTAHJPZEIGW-ZDUSSCGKSA-N 0 0 299.710 2.718 20 5 CFBDRN CCc1cnc(COC(=O)c2cc([N+](=O)[O-])ccc2F)o1 ZINC000744776740 574087911 /nfs/dbraw/zinc/08/79/11/574087911.db2.gz VGEWMUHMXYRMDF-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN Cc1ccc(CC(=O)Oc2cccc([N+](=O)[O-])c2C)o1 ZINC000754329930 574088809 /nfs/dbraw/zinc/08/88/09/574088809.db2.gz HVORJMLBEXLKGC-UHFFFAOYSA-N 0 0 275.260 2.953 20 5 CFBDRN Cc1c(N)cccc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000728361152 574094383 /nfs/dbraw/zinc/09/43/83/574094383.db2.gz ORZDGSJDUOZJGH-UHFFFAOYSA-N 0 0 292.316 2.904 20 5 CFBDRN COC(C)(C)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000730744938 574094711 /nfs/dbraw/zinc/09/47/11/574094711.db2.gz SBAJIUUEAKRMNP-VIFPVBQESA-N 0 0 267.281 2.624 20 5 CFBDRN CCC[C@H]1CN(c2ccc([N+](=O)[O-])c(OCC)c2)CCO1 ZINC000301819909 574102753 /nfs/dbraw/zinc/10/27/53/574102753.db2.gz ASAKBVYOQHCQAK-ZDUSSCGKSA-N 0 0 294.351 2.999 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000754586873 574105905 /nfs/dbraw/zinc/10/59/05/574105905.db2.gz CVEMZZGAPIRNBK-NSHDSACASA-N 0 0 297.307 2.575 20 5 CFBDRN C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCC1CC1 ZINC000728764291 574106638 /nfs/dbraw/zinc/10/66/38/574106638.db2.gz MCECRCFSOZRUCB-QMMMGPOBSA-N 0 0 299.710 2.969 20 5 CFBDRN COCCCCOC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000728767808 574107576 /nfs/dbraw/zinc/10/75/76/574107576.db2.gz XYFDTUHYCZXJGC-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000754605502 574108389 /nfs/dbraw/zinc/10/83/89/574108389.db2.gz HOTKZONBVXUZNJ-VIFPVBQESA-N 0 0 292.291 2.658 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000754607986 574108864 /nfs/dbraw/zinc/10/88/64/574108864.db2.gz MQALEUMWOPNLHX-VIFPVBQESA-N 0 0 293.275 2.923 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000754577334 574112194 /nfs/dbraw/zinc/11/21/94/574112194.db2.gz ZNOGYIOVCKVZSJ-AWEZNQCLSA-N 0 0 295.335 2.886 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC3(O)CCCC3)sc2c1 ZINC000731209550 574112646 /nfs/dbraw/zinc/11/26/46/574112646.db2.gz RBCJBGBIEQSSAR-UHFFFAOYSA-N 0 0 293.348 2.922 20 5 CFBDRN C[C@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])C(F)(F)F ZINC000745625192 574120772 /nfs/dbraw/zinc/12/07/72/574120772.db2.gz UAUXZFJKQOCCMP-ZCFIWIBFSA-N 0 0 295.188 2.976 20 5 CFBDRN CC(C)CCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731528223 574123111 /nfs/dbraw/zinc/12/31/11/574123111.db2.gz CYTCJIJXNIGRIN-UHFFFAOYSA-N 0 0 255.245 2.937 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)OCc2ccccc2[N+](=O)[O-])O1 ZINC000745706326 574123170 /nfs/dbraw/zinc/12/31/70/574123170.db2.gz SIRIYIZXQWQKLV-DGCLKSJQSA-N 0 0 293.319 2.986 20 5 CFBDRN C[C@@H](CC(=O)OCC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000731717008 574127355 /nfs/dbraw/zinc/12/73/55/574127355.db2.gz WQMVNJXTRWVLND-JTQLQIEISA-N 0 0 291.303 2.757 20 5 CFBDRN Cc1c(C(=O)OC[C@@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000745960281 574129427 /nfs/dbraw/zinc/12/94/27/574129427.db2.gz VPZHTUQGRTWQIY-JTQLQIEISA-N 0 0 281.333 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCCS2)c1 ZINC000745960748 574129527 /nfs/dbraw/zinc/12/95/27/574129527.db2.gz ZKVFQQMGSIMIJX-JTQLQIEISA-N 0 0 297.332 2.656 20 5 CFBDRN CO[C@H](C)CC(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746071912 574132746 /nfs/dbraw/zinc/13/27/46/574132746.db2.gz FUWLZGLJGBOYEI-MRVPVSSYSA-N 0 0 287.699 2.716 20 5 CFBDRN O=[N+]([O-])c1c(SC[C@@H]2CCCO2)nc2ccccn21 ZINC000755055921 574134815 /nfs/dbraw/zinc/13/48/15/574134815.db2.gz NXKDGBUDDQGJJV-VIFPVBQESA-N 0 0 279.321 2.514 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@H](c3ccco3)C2)nc2ccccn21 ZINC000732049651 574140907 /nfs/dbraw/zinc/14/09/07/574140907.db2.gz XPNLXCOQPOHULA-NSHDSACASA-N 0 0 298.302 2.829 20 5 CFBDRN CC[C@H](C)CN(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000732049428 574141110 /nfs/dbraw/zinc/14/11/10/574141110.db2.gz WGJFPHWQNAVFKZ-QMMMGPOBSA-N 0 0 264.285 2.613 20 5 CFBDRN COC[C@H](C)CC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000755132996 574141155 /nfs/dbraw/zinc/14/11/55/574141155.db2.gz ZSCJXMDISIBSGN-SNVBAGLBSA-N 0 0 281.308 2.790 20 5 CFBDRN Cc1ccoc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728987719 574144045 /nfs/dbraw/zinc/14/40/45/574144045.db2.gz AGJRQPJFAYPZMX-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN O=C(CSC(F)F)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728987908 574144154 /nfs/dbraw/zinc/14/41/54/574144154.db2.gz MHAXKZSIMAOUJV-UHFFFAOYSA-N 0 0 295.238 2.733 20 5 CFBDRN O=C(CC[C@H]1CCCO1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728992754 574144568 /nfs/dbraw/zinc/14/45/68/574144568.db2.gz VGKDKWDUQMXIRE-SNVBAGLBSA-N 0 0 297.282 2.736 20 5 CFBDRN Cc1ccc2c(c1)CCCN2C(=O)c1ccc([N+](=O)[O-])n1C ZINC000755189939 574145647 /nfs/dbraw/zinc/14/56/47/574145647.db2.gz MJWXVCPSOASEPG-UHFFFAOYSA-N 0 0 299.330 2.835 20 5 CFBDRN Cn1c(C(=O)Oc2cccc(Cl)c2)ccc1[N+](=O)[O-] ZINC000755234537 574149002 /nfs/dbraw/zinc/14/90/02/574149002.db2.gz BHDFWSFAXLPUPV-UHFFFAOYSA-N 0 0 280.667 2.806 20 5 CFBDRN O=C(C[C@H]1CCCCO1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000755242220 574149004 /nfs/dbraw/zinc/14/90/04/574149004.db2.gz AKRRACMSDFVNSR-GFCCVEGCSA-N 0 0 297.282 2.736 20 5 CFBDRN CCN(C(=O)COc1ccc([N+](=O)[O-])c(F)c1)C1CCC1 ZINC000737588482 574149077 /nfs/dbraw/zinc/14/90/77/574149077.db2.gz HSRZKYBAJYVGOI-UHFFFAOYSA-N 0 0 296.298 2.514 20 5 CFBDRN COc1ccc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000755251038 574149717 /nfs/dbraw/zinc/14/97/17/574149717.db2.gz WITYQJFCLIRTLX-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN Cc1ccc(OC(=O)C2CCSCC2)cc1[N+](=O)[O-] ZINC000755249691 574149733 /nfs/dbraw/zinc/14/97/33/574149733.db2.gz DDCDWPMPILUROQ-UHFFFAOYSA-N 0 0 281.333 2.952 20 5 CFBDRN CCOc1ccccc1OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000755430489 574162115 /nfs/dbraw/zinc/16/21/15/574162115.db2.gz COEKSOUJXHKIRJ-UHFFFAOYSA-N 0 0 290.275 2.551 20 5 CFBDRN CN(Cc1cc[nH]n1)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000732667201 574165189 /nfs/dbraw/zinc/16/51/89/574165189.db2.gz KZTKLASMAQBWLS-UHFFFAOYSA-N 0 0 289.320 2.564 20 5 CFBDRN Cc1noc(C)c1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746905584 574170237 /nfs/dbraw/zinc/17/02/37/574170237.db2.gz GRVNSTYYGPRYDU-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN CSCCC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000729085438 574172044 /nfs/dbraw/zinc/17/20/44/574172044.db2.gz ABLNELFCOBEKDH-SECBINFHSA-N 0 0 269.322 2.952 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000732797730 574172163 /nfs/dbraw/zinc/17/21/63/574172163.db2.gz SOFUPIMNASINFK-FZKGZDJFSA-N 0 0 274.320 2.770 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000729086977 574172507 /nfs/dbraw/zinc/17/25/07/574172507.db2.gz IQPJCUPJLUWHJG-HTRCEHHLSA-N 0 0 255.295 2.778 20 5 CFBDRN CC(C)(C)COC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000729095933 574174585 /nfs/dbraw/zinc/17/45/85/574174585.db2.gz FGMSRMKTXLBNHC-UHFFFAOYSA-N 0 0 281.264 2.526 20 5 CFBDRN CCCC(=O)COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000738599589 574175298 /nfs/dbraw/zinc/17/52/98/574175298.db2.gz ANEVBMZNDLZTRZ-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN O=C(OCc1nccs1)c1cc([N+](=O)[O-])ccc1F ZINC000747028463 574176325 /nfs/dbraw/zinc/17/63/25/574176325.db2.gz ATNTWKBSQLXPPU-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CC[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755676583 574177589 /nfs/dbraw/zinc/17/75/89/574177589.db2.gz IANLRPGSSCGWDM-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN C[C@H](C(=O)O[C@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000755731920 574182369 /nfs/dbraw/zinc/18/23/69/574182369.db2.gz AUHVXUBEQBJTQA-ONGXEEELSA-N 0 0 297.282 2.560 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H]1CCCOC1 ZINC000755733853 574182573 /nfs/dbraw/zinc/18/25/73/574182573.db2.gz LOJWGTJULXJVIQ-CFRMROPGSA-N 0 0 291.303 2.720 20 5 CFBDRN CCC[C@H](C)N(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000733187815 574209289 /nfs/dbraw/zinc/20/92/89/574209289.db2.gz UGEOLVCGFSSGSO-QMMMGPOBSA-N 0 0 264.285 2.756 20 5 CFBDRN CCCN(CC(F)F)c1nc2ccccn2c1[N+](=O)[O-] ZINC000733188708 574209378 /nfs/dbraw/zinc/20/93/78/574209378.db2.gz LEXFCQPCDRZIOH-UHFFFAOYSA-N 0 0 284.266 2.724 20 5 CFBDRN CN(c1nc2ccccn2c1[N+](=O)[O-])C(C1CC1)C1CC1 ZINC000733188872 574209532 /nfs/dbraw/zinc/20/95/32/574209532.db2.gz PLBZDYCCFXQRRK-UHFFFAOYSA-N 0 0 286.335 2.867 20 5 CFBDRN CO[C@H](CNc1cc(C)ccc1[N+](=O)[O-])C(F)(F)F ZINC000756119962 574210634 /nfs/dbraw/zinc/21/06/34/574210634.db2.gz ISSWDEWCBRZNBD-SNVBAGLBSA-N 0 0 278.230 2.892 20 5 CFBDRN Cc1ccc([C@H](O)CNc2cc(C)ccc2[N+](=O)[O-])o1 ZINC000756118269 574210896 /nfs/dbraw/zinc/21/08/96/574210896.db2.gz LVAGMHCORJRDBE-CYBMUJFWSA-N 0 0 276.292 2.950 20 5 CFBDRN CSCCCCCOC(=O)c1cc([N+](=O)[O-])cn1C ZINC000756142775 574211923 /nfs/dbraw/zinc/21/19/23/574211923.db2.gz DXUKJMOFUIOVJV-UHFFFAOYSA-N 0 0 286.353 2.623 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC(n2cccn2)CC1 ZINC000747803376 574213201 /nfs/dbraw/zinc/21/32/01/574213201.db2.gz ISVBZBAGGZVACF-UHFFFAOYSA-N 0 0 278.337 2.694 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCc1cscn1 ZINC000747844444 574215138 /nfs/dbraw/zinc/21/51/38/574215138.db2.gz SAXRBWGVURQEES-UTCJRWHESA-N 0 0 290.300 2.808 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cccc(F)n2)c1 ZINC000747848570 574215642 /nfs/dbraw/zinc/21/56/42/574215642.db2.gz VVPBPZCQQZKLIX-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1ccc(CNCc2cccc(F)n2)cc1[N+](=O)[O-] ZINC000747935155 574220851 /nfs/dbraw/zinc/22/08/51/574220851.db2.gz HCJHKLDUUCPARQ-UHFFFAOYSA-N 0 0 275.283 2.727 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCCC1CC1 ZINC000756295689 574222743 /nfs/dbraw/zinc/22/27/43/574222743.db2.gz AVDLSDKHLBTNLR-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000756342875 574227183 /nfs/dbraw/zinc/22/71/83/574227183.db2.gz GFEWZXNJMXERND-WDDYJBPVSA-N 0 0 291.303 2.766 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H]1C=CCCC1 ZINC000733400696 574228589 /nfs/dbraw/zinc/22/85/89/574228589.db2.gz OIZYLADAGNWFSE-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000733398331 574228628 /nfs/dbraw/zinc/22/86/28/574228628.db2.gz FWVRUORACMXTLW-VIFPVBQESA-N 0 0 291.259 2.589 20 5 CFBDRN CCC[C@@H](C)OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000748103441 574229032 /nfs/dbraw/zinc/22/90/32/574229032.db2.gz XDDKOSGBQNKNRP-SNVBAGLBSA-N 0 0 251.282 2.869 20 5 CFBDRN CC/C=C(\C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000729698407 574229541 /nfs/dbraw/zinc/22/95/41/574229541.db2.gz AGLQSRLFICPNBX-ONNFQVAWSA-N 0 0 277.276 2.677 20 5 CFBDRN CCC[C@H](C)OC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000748110284 574229904 /nfs/dbraw/zinc/22/99/04/574229904.db2.gz BQMVMVUALXOKEW-JTQLQIEISA-N 0 0 291.307 2.676 20 5 CFBDRN O=C(OCCCCO)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000733417691 574230715 /nfs/dbraw/zinc/23/07/15/574230715.db2.gz HECFFVWUYFOSGI-UHFFFAOYSA-N 0 0 295.316 2.739 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000756375662 574230786 /nfs/dbraw/zinc/23/07/86/574230786.db2.gz TUVRMWFFLCRABV-QMMMGPOBSA-N 0 0 255.245 2.937 20 5 CFBDRN C[C@@H](C(=O)OCc1csc([N+](=O)[O-])c1)C1CC1 ZINC000748143065 574232267 /nfs/dbraw/zinc/23/22/67/574232267.db2.gz NMVRKFTTYLVVBK-SSDOTTSWSA-N 0 0 255.295 2.746 20 5 CFBDRN O=C(/C=C\C1CC1)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000745553491 574232223 /nfs/dbraw/zinc/23/22/23/574232223.db2.gz IQAONTIWQIYAPC-WTKPLQERSA-N 0 0 291.303 2.873 20 5 CFBDRN O=C(/C=C/C1CC1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000745556843 574232831 /nfs/dbraw/zinc/23/28/31/574232831.db2.gz NTSXZOGLUZGDIS-VMPITWQZSA-N 0 0 261.277 2.647 20 5 CFBDRN C[C@@H](OC(=O)C1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000729812575 574233161 /nfs/dbraw/zinc/23/31/61/574233161.db2.gz IFQZUGLWEJCLHH-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000756412507 574235014 /nfs/dbraw/zinc/23/50/14/574235014.db2.gz XJWKQNBXVJQPQM-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN Cc1sc(C(=O)OCC[C@@H]2CCCC2=O)cc1[N+](=O)[O-] ZINC000748175687 574235154 /nfs/dbraw/zinc/23/51/54/574235154.db2.gz IPXGIUORFZZYSP-VIFPVBQESA-N 0 0 297.332 2.881 20 5 CFBDRN C/C=C/COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000740394303 574249708 /nfs/dbraw/zinc/24/97/08/574249708.db2.gz FCWNXFOUIPYEHO-SNAWJCMRSA-N 0 0 263.293 2.992 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Oc1ccc(F)cc1 ZINC000756600345 574252071 /nfs/dbraw/zinc/25/20/71/574252071.db2.gz YOCIOCKUPDHJTA-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN Cc1c(CC(=O)OCC(=O)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000748469198 574253786 /nfs/dbraw/zinc/25/37/86/574253786.db2.gz BTPNGUKMGQDALP-UHFFFAOYSA-N 0 0 293.319 2.604 20 5 CFBDRN Cc1nonc1COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000733871598 574259382 /nfs/dbraw/zinc/25/93/82/574259382.db2.gz NAHUVFMRWGDDCM-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cc1c(C(=O)NC(C)(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] ZINC000748599301 574259962 /nfs/dbraw/zinc/25/99/62/574259962.db2.gz VTDJWBCPTXUHAG-UHFFFAOYSA-N 0 0 295.295 2.648 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000748635461 574261802 /nfs/dbraw/zinc/26/18/02/574261802.db2.gz AVWVQNBPGXUZMT-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000740803722 574267839 /nfs/dbraw/zinc/26/78/39/574267839.db2.gz RUOBUFMYNIEVGT-JTQLQIEISA-N 0 0 298.314 2.619 20 5 CFBDRN CC[C@@H](C)NC(=S)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000756853257 574268873 /nfs/dbraw/zinc/26/88/73/574268873.db2.gz MMMSGSWEWOCCDW-SNVBAGLBSA-N 0 0 293.392 2.626 20 5 CFBDRN COc1ccc(OCc2cscn2)c([N+](=O)[O-])c1 ZINC000748845837 574271721 /nfs/dbraw/zinc/27/17/21/574271721.db2.gz SXXXPAQXUOQROY-UHFFFAOYSA-N 0 0 266.278 2.639 20 5 CFBDRN O=C(CCC1CC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000734320753 574281509 /nfs/dbraw/zinc/28/15/09/574281509.db2.gz MRHBRVFSPACZBW-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])o1)C(C)(C)C ZINC000734341271 574283166 /nfs/dbraw/zinc/28/31/66/574283166.db2.gz WELVEFUSBRJBLA-QMMMGPOBSA-N 0 0 254.286 2.600 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ccc([N+](=O)[O-])cc2)o1 ZINC000741243961 574284425 /nfs/dbraw/zinc/28/44/25/574284425.db2.gz SSXWPQXXVBNDIC-LBPRGKRZSA-N 0 0 262.265 2.642 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC1CCC(C)(O)CC1 ZINC000749282236 574287920 /nfs/dbraw/zinc/28/79/20/574287920.db2.gz KJWQBPSHYDUVMY-UHFFFAOYSA-N 0 0 294.351 2.847 20 5 CFBDRN CCOc1cc(N[C@H](CCO)C2CC2)ccc1[N+](=O)[O-] ZINC000749282935 574287990 /nfs/dbraw/zinc/28/79/90/574287990.db2.gz UMONUTAXCMCHHP-GFCCVEGCSA-N 0 0 280.324 2.566 20 5 CFBDRN C[C@H](Cc1ccncc1)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000749285875 574288104 /nfs/dbraw/zinc/28/81/04/574288104.db2.gz IWQJZCFKRQNAJQ-SECBINFHSA-N 0 0 299.290 2.569 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000749314917 574289101 /nfs/dbraw/zinc/28/91/01/574289101.db2.gz KIAOXHZMVWQNLO-MRVPVSSYSA-N 0 0 290.275 2.601 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000749314919 574289164 /nfs/dbraw/zinc/28/91/64/574289164.db2.gz KIAOXHZMVWQNLO-QMMMGPOBSA-N 0 0 290.275 2.601 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734883784 574306555 /nfs/dbraw/zinc/30/65/55/574306555.db2.gz QMBAWYIBOYKWHF-GMSGAONNSA-N 0 0 269.684 2.947 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)ncn1 ZINC000741957013 574311613 /nfs/dbraw/zinc/31/16/13/574311613.db2.gz RPEWHJXXDSKJBT-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCCOC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000735148694 574315228 /nfs/dbraw/zinc/31/52/28/574315228.db2.gz BRLMQOPRYCFMSS-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCC1CC1 ZINC000735207358 574316377 /nfs/dbraw/zinc/31/63/77/574316377.db2.gz IAHOJPSHUFWPDA-UHFFFAOYSA-N 0 0 299.710 2.970 20 5 CFBDRN Cc1cnc(OC[C@@H]2CC2(Cl)Cl)c([N+](=O)[O-])c1 ZINC000750005519 574320820 /nfs/dbraw/zinc/32/08/20/574320820.db2.gz REIDVXVCYKWUHX-ZETCQYMHSA-N 0 0 277.107 2.871 20 5 CFBDRN CO[C@H](C)CC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000750146044 574327746 /nfs/dbraw/zinc/32/77/46/574327746.db2.gz IHJAFGICKRJKPS-NXEZZACHSA-N 0 0 267.281 2.624 20 5 CFBDRN COc1cc(NCCc2cccc(O)c2)ccc1[N+](=O)[O-] ZINC000742397435 574327889 /nfs/dbraw/zinc/32/78/89/574327889.db2.gz GCRWEATTYZOCTK-UHFFFAOYSA-N 0 0 288.303 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](n3ccnc3)C2)cc1 ZINC000742401094 574328257 /nfs/dbraw/zinc/32/82/57/574328257.db2.gz LLYMCASBIYQWCH-AWEZNQCLSA-N 0 0 272.308 2.633 20 5 CFBDRN Cc1cc([C@@](C)(O)CNc2cccnc2[N+](=O)[O-])c(C)o1 ZINC000742413195 574329089 /nfs/dbraw/zinc/32/90/89/574329089.db2.gz YRZDIGQHRAPZKC-AWEZNQCLSA-N 0 0 291.307 2.519 20 5 CFBDRN C[C@H](CO)Sc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000742430012 574330309 /nfs/dbraw/zinc/33/03/09/574330309.db2.gz GLQNOFFXAJCBFE-ZCFIWIBFSA-N 0 0 270.335 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCCCCCO)cc1 ZINC000742447393 574330664 /nfs/dbraw/zinc/33/06/64/574330664.db2.gz JECOQLLXTQBEKW-UHFFFAOYSA-N 0 0 253.298 2.916 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000735669558 574332779 /nfs/dbraw/zinc/33/27/79/574332779.db2.gz SGGXINGPDUVTTM-ZJUUUORDSA-N 0 0 292.364 2.573 20 5 CFBDRN CCC(C)(C)CCOC(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000744343253 574332882 /nfs/dbraw/zinc/33/28/82/574332882.db2.gz VBWLGHZUOJNAOH-UHFFFAOYSA-N 0 0 283.328 2.620 20 5 CFBDRN CO[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000735821340 574336770 /nfs/dbraw/zinc/33/67/70/574336770.db2.gz AGXBFHOHYWSNSG-ZETCQYMHSA-N 0 0 272.688 2.530 20 5 CFBDRN O=C(CCC1CCC1)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000750392912 574337789 /nfs/dbraw/zinc/33/77/89/574337789.db2.gz AOQRMSDAKJEDKE-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN Cc1cc(C(=O)OC2([C@@H]3CCOC3)CC2)ccc1[N+](=O)[O-] ZINC000735909955 574341544 /nfs/dbraw/zinc/34/15/44/574341544.db2.gz KTPWHRJQCBVHGS-GFCCVEGCSA-N 0 0 291.303 2.629 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000742739293 574341905 /nfs/dbraw/zinc/34/19/05/574341905.db2.gz CCFZDZRXEHXVRY-CMPLNLGQSA-N 0 0 298.339 2.748 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000742746665 574342812 /nfs/dbraw/zinc/34/28/12/574342812.db2.gz ZADYYJOEMCGIDR-AAEUAGOBSA-N 0 0 294.376 2.917 20 5 CFBDRN CCC1(C(=O)OCCOc2cccc([N+](=O)[O-])c2)CC1 ZINC000750488288 574342899 /nfs/dbraw/zinc/34/28/99/574342899.db2.gz WAYUQEGXDYQNMZ-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN Cc1c(C(=O)OC[Si](C)(C)C)cccc1[N+](=O)[O-] ZINC000735966305 574344716 /nfs/dbraw/zinc/34/47/16/574344716.db2.gz IEDBUYRWVFQCQB-UHFFFAOYSA-N 0 0 267.357 2.937 20 5 CFBDRN Cc1[nH]ccc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000750529509 574345880 /nfs/dbraw/zinc/34/58/80/574345880.db2.gz KKCNOICJRMGFBC-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN CCCC(=O)COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750535596 574346778 /nfs/dbraw/zinc/34/67/78/574346778.db2.gz LPAUWPLUSHLCHH-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN CSCC[C@@H](C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000736057894 574349313 /nfs/dbraw/zinc/34/93/13/574349313.db2.gz HPQQLHCRQGYEBV-UIJKISKDSA-N 0 0 294.376 2.866 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@H]1CC[C@H](C)C1 ZINC000755835090 574353134 /nfs/dbraw/zinc/35/31/34/574353134.db2.gz VQCYFMOINXQKBQ-ONGXEEELSA-N 0 0 279.292 2.949 20 5 CFBDRN CCCC[C@@H](COC)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000736299326 574360560 /nfs/dbraw/zinc/36/05/60/574360560.db2.gz QRYLAXZMRPGOTD-NSHDSACASA-N 0 0 292.339 2.860 20 5 CFBDRN O=Cc1c[nH]nc1C=CC=Cc1ccccc1[N+](=O)[O-] ZINC000750912846 574362529 /nfs/dbraw/zinc/36/25/29/574362529.db2.gz IGYHOCLAFQCQJW-YLSHZGKGSA-N 0 0 269.260 2.857 20 5 CFBDRN C[C@@H]1CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000751022718 574368205 /nfs/dbraw/zinc/36/82/05/574368205.db2.gz XWTZKEYHKLXICO-SECBINFHSA-N 0 0 265.338 2.633 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)c1cnccn1 ZINC000751169390 574370835 /nfs/dbraw/zinc/37/08/35/574370835.db2.gz ORNOOMONLPZWDL-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN Cc1occc1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000751357450 574375062 /nfs/dbraw/zinc/37/50/62/574375062.db2.gz BBBRNQWVAGVXDQ-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCCCC(F)(F)F ZINC000751653896 574380588 /nfs/dbraw/zinc/38/05/88/574380588.db2.gz TUFSBEASUOLJEY-UHFFFAOYSA-N 0 0 294.229 2.823 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)CC(C)C)cc1[N+](=O)[O-] ZINC000751674247 574380794 /nfs/dbraw/zinc/38/07/94/574380794.db2.gz PTNGHLWGCSFNBY-UHFFFAOYSA-N 0 0 293.319 2.929 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C1CCC(=O)CC1 ZINC000751679027 574380940 /nfs/dbraw/zinc/38/09/40/574380940.db2.gz PSHYEVOMWOEGTM-UHFFFAOYSA-N 0 0 295.266 2.536 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1C[C@@H]1C1CC1 ZINC000751734869 574381726 /nfs/dbraw/zinc/38/17/26/574381726.db2.gz KQTWLUDDEVOUTH-NEPJUHHUSA-N 0 0 261.277 2.684 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000751802427 574383285 /nfs/dbraw/zinc/38/32/85/574383285.db2.gz PMPOJJBZBZHVAG-GFCCVEGCSA-N 0 0 279.292 2.563 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000751804132 574383287 /nfs/dbraw/zinc/38/32/87/574383287.db2.gz ZSRZGFPUWUAOFX-JTQLQIEISA-N 0 0 267.256 2.823 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC1(C2CC2)CC1 ZINC000751854317 574383993 /nfs/dbraw/zinc/38/39/93/574383993.db2.gz XMFZPQJLPCZBNN-UHFFFAOYSA-N 0 0 261.277 2.623 20 5 CFBDRN C[C@@H](C[C@@H](O)c1cccs1)Nc1cccnc1[N+](=O)[O-] ZINC000751941076 574385426 /nfs/dbraw/zinc/38/54/26/574385426.db2.gz FKGYOBOOYGDFBP-GXSJLCMTSA-N 0 0 293.348 2.975 20 5 CFBDRN COc1cccc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000752281853 574389878 /nfs/dbraw/zinc/38/98/78/574389878.db2.gz QZODCVSUNXNURE-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C/C(=C/C(=O)OCc1ccon1)c1ccc([N+](=O)[O-])cc1 ZINC000752347433 574390548 /nfs/dbraw/zinc/39/05/48/574390548.db2.gz NNGRAQUZGBCUDW-NTMALXAHSA-N 0 0 288.259 2.730 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCc2cscn2)c1[N+](=O)[O-] ZINC000752553832 574392769 /nfs/dbraw/zinc/39/27/69/574392769.db2.gz KWQCFAISYLZJCG-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN C/C(=C\C(=O)Nc1nocc1C)c1ccc([N+](=O)[O-])cc1 ZINC000752616059 574393097 /nfs/dbraw/zinc/39/30/97/574393097.db2.gz LNNUPDMGERQYTJ-VQHVLOKHSA-N 0 0 287.275 2.933 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@@H]1CC1(Cl)Cl ZINC000752803226 574395406 /nfs/dbraw/zinc/39/54/06/574395406.db2.gz VCHSHDDTKDBTJT-LURJTMIESA-N 0 0 263.080 2.562 20 5 CFBDRN CN(C[C@H]1CC=CCC1)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000753109934 574398740 /nfs/dbraw/zinc/39/87/40/574398740.db2.gz ZEGXBEANRWXLBS-NSHDSACASA-N 0 0 289.335 2.605 20 5 CFBDRN Cc1c([C@@H](C)[NH2+][C@H](C(=O)[O-])C(C)C)cccc1[N+](=O)[O-] ZINC000820944624 598196715 /nfs/dbraw/zinc/19/67/15/598196715.db2.gz HRHKEFVGGLMWPD-MFKMUULPSA-N 0 0 280.324 2.663 20 5 CFBDRN O=C([O-])CC1([NH2+]Cc2csc([N+](=O)[O-])c2)CCCCC1 ZINC000833205428 601554443 /nfs/dbraw/zinc/55/44/43/601554443.db2.gz GBVSBUKPHYBQJS-UHFFFAOYSA-N 0 0 298.364 2.924 20 5 CFBDRN CC1(C)CN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935715002 649933363 /nfs/dbraw/zinc/93/33/63/649933363.db2.gz GPMGKZKYXDMUHP-CHWSQXEVSA-N 0 0 274.320 2.567 20 5 CFBDRN C/C(Cl)=C/C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000900268149 620656134 /nfs/dbraw/zinc/65/61/34/620656134.db2.gz NNXSLEZAEDNMRD-XZLDQRQTSA-N 0 0 268.700 2.915 20 5 CFBDRN COCCOc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000901656213 620883665 /nfs/dbraw/zinc/88/36/65/620883665.db2.gz XEGWYYIQYLJSFG-UHFFFAOYSA-N 0 0 265.187 2.639 20 5 CFBDRN CCN(CCC[NH2+][C@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000827130437 604265962 /nfs/dbraw/zinc/26/59/62/604265962.db2.gz WUBZTJYDGFFRJO-LLVKDONJSA-N 0 0 295.339 2.635 20 5 CFBDRN C/C(=C\C[N@H+](Cc1cccc([N+](=O)[O-])c1)C1CC1)C(=O)[O-] ZINC000825729980 604785773 /nfs/dbraw/zinc/78/57/73/604785773.db2.gz OUKFDNXOAFNBDF-YRNVUSSQSA-N 0 0 290.319 2.590 20 5 CFBDRN C[C@]1([NH2+]Cc2ccc([N+](=O)[O-])cc2)CCCC[C@H]1C(=O)[O-] ZINC000833571623 604818082 /nfs/dbraw/zinc/81/80/82/604818082.db2.gz QXDKJYVMHSXGAO-ZFWWWQNUSA-N 0 0 292.335 2.718 20 5 CFBDRN C[C@]1([NH2+]Cc2csc([N+](=O)[O-])c2)CCCC[C@@H]1C(=O)[O-] ZINC000833568617 605066503 /nfs/dbraw/zinc/06/65/03/605066503.db2.gz GBMKMJFLLATKBA-MFKMUULPSA-N 0 0 298.364 2.779 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+]CC(C)(C)NC(=O)[O-] ZINC000830426772 605106781 /nfs/dbraw/zinc/10/67/81/605106781.db2.gz BATAVYLQJWROPH-JTQLQIEISA-N 0 0 295.339 2.600 20 5 CFBDRN CC[C@@H](C)CSc1ccc([N+](=O)[O-])cc1-c1nn[nH]n1 ZINC000820868560 606799480 /nfs/dbraw/zinc/79/94/80/606799480.db2.gz AFTHDWWMRBUIIO-MRVPVSSYSA-N 0 0 293.352 2.913 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)cc1[N+](=O)[O-] ZINC000936024891 649975818 /nfs/dbraw/zinc/97/58/18/649975818.db2.gz RBYRYCUVFZJCKJ-RTCCRHLQSA-N 0 0 289.335 2.942 20 5 CFBDRN CSCC(C)(C)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000890877175 617930465 /nfs/dbraw/zinc/93/04/65/617930465.db2.gz CNDUBRGJRDKFCH-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN C/C=C\COC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 ZINC000255199657 618091926 /nfs/dbraw/zinc/09/19/26/618091926.db2.gz YNLQMLXOQVVYPK-IHWYPQMZSA-N 0 0 287.275 2.513 20 5 CFBDRN CN(Cc1cccc(Cl)c1)c1c([N+](=O)[O-])cnn1C ZINC000891485323 617632503 /nfs/dbraw/zinc/63/25/03/617632503.db2.gz OVGUTDLZTXZPMH-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN Cc1ccc(C=Cc2n[nH]cc2C=O)cc1[N+](=O)[O-] ZINC000255368507 617675883 /nfs/dbraw/zinc/67/58/83/617675883.db2.gz DKDGOQCZHOTTMW-PLNGDYQASA-N 0 0 257.249 2.609 20 5 CFBDRN O=[N+]([O-])CCN(Cc1nc2ccccc2s1)C1CC1 ZINC000932395716 617719680 /nfs/dbraw/zinc/71/96/80/617719680.db2.gz VIBRTNNHCARCIA-UHFFFAOYSA-N 0 0 277.349 2.537 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(C(F)F)cc1F ZINC000847714858 617732503 /nfs/dbraw/zinc/73/25/03/617732503.db2.gz ACOYZHLAEBHOQQ-ZCFIWIBFSA-N 0 0 277.198 2.585 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1CC1(Cl)Cl ZINC000098073221 617755517 /nfs/dbraw/zinc/75/55/17/617755517.db2.gz QWOOJZRZXYADCO-SECBINFHSA-N 0 0 290.102 2.832 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000891824712 617771186 /nfs/dbraw/zinc/77/11/86/617771186.db2.gz DXWRERUEOPXXEM-NXEZZACHSA-N 0 0 287.319 2.515 20 5 CFBDRN CCOC(=O)c1cc(C(=O)O[C@H](C)CC)cc([N+](=O)[O-])c1 ZINC000121612309 617794181 /nfs/dbraw/zinc/79/41/81/617794181.db2.gz DRQGRCARAZKDCH-SECBINFHSA-N 0 0 295.291 2.727 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC=CCC1 ZINC000166020212 617796690 /nfs/dbraw/zinc/79/66/90/617796690.db2.gz BXCKRYJWRYFCCM-UHFFFAOYSA-N 0 0 266.684 2.650 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H](C)C2CCC2)c1F ZINC000235192458 617804386 /nfs/dbraw/zinc/80/43/86/617804386.db2.gz BJZDHTPKOQBBHJ-SECBINFHSA-N 0 0 280.299 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3C[C@@H]32)cc1OC(F)F ZINC000804687529 617811440 /nfs/dbraw/zinc/81/14/40/617811440.db2.gz BHKQGDPIZOVIHU-XCBNKYQSSA-N 0 0 270.235 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](C(F)F)C2)c(F)c1 ZINC000804688085 617811959 /nfs/dbraw/zinc/81/19/59/617811959.db2.gz FZDVNXDMHSQIRS-SSDOTTSWSA-N 0 0 260.215 2.825 20 5 CFBDRN CCC(=O)c1ccc(N2CC[C@H]3C[C@H]32)c([N+](=O)[O-])c1 ZINC000804689579 617813866 /nfs/dbraw/zinc/81/38/66/617813866.db2.gz QOVLIOPNUAHCRH-JOYOIKCWSA-N 0 0 260.293 2.786 20 5 CFBDRN COCCN(C)c1c2cccc(Cl)c2ncc1[N+](=O)[O-] ZINC000891940121 617840516 /nfs/dbraw/zinc/84/05/16/617840516.db2.gz DLTUIPDNGYFZCE-UHFFFAOYSA-N 0 0 295.726 2.879 20 5 CFBDRN CCc1ccc(OC(=O)CC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000176143980 617868207 /nfs/dbraw/zinc/86/82/07/617868207.db2.gz LPLRRCPPTMOULK-GFCCVEGCSA-N 0 0 293.319 2.879 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)[C@@H]1CCCOC1 ZINC000804784644 617880447 /nfs/dbraw/zinc/88/04/47/617880447.db2.gz QNCRNNVOPBJEME-GFCCVEGCSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc(N(C)CCn2ccc([N+](=O)[O-])n2)cc1Cl ZINC000176243669 617885075 /nfs/dbraw/zinc/88/50/75/617885075.db2.gz PRUPPYGOYLYHPX-UHFFFAOYSA-N 0 0 294.742 2.890 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000049757580 617892971 /nfs/dbraw/zinc/89/29/71/617892971.db2.gz QXCJCEAIMJQRND-PWSUYJOCSA-N 0 0 291.351 2.733 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000049757579 617893321 /nfs/dbraw/zinc/89/33/21/617893321.db2.gz QXCJCEAIMJQRND-CMPLNLGQSA-N 0 0 291.351 2.733 20 5 CFBDRN COC(=O)N1CCC(CNc2ccc([N+](=O)[O-])s2)CC1 ZINC000804821929 617900246 /nfs/dbraw/zinc/90/02/46/617900246.db2.gz SAGRYCYHVKSJDB-UHFFFAOYSA-N 0 0 299.352 2.547 20 5 CFBDRN COC(=O)N1CCC(CNc2ccsc2[N+](=O)[O-])CC1 ZINC000804821949 617900280 /nfs/dbraw/zinc/90/02/80/617900280.db2.gz UHGPILSQRORDPP-UHFFFAOYSA-N 0 0 299.352 2.547 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812170 617902476 /nfs/dbraw/zinc/90/24/76/617902476.db2.gz ZJRNXRUJGZGVCA-ZJUUUORDSA-N 0 0 267.329 2.817 20 5 CFBDRN C[C@H]1C[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCC1=O ZINC000812815119 617902668 /nfs/dbraw/zinc/90/26/68/617902668.db2.gz ZCQIQCFNHQDICS-VHSXEESVSA-N 0 0 295.339 2.530 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000812833883 617904330 /nfs/dbraw/zinc/90/43/30/617904330.db2.gz BKWQEPZREYOGLF-IUCAKERBSA-N 0 0 284.287 2.591 20 5 CFBDRN CCO[C@H]1CCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000891999365 617908153 /nfs/dbraw/zinc/90/81/53/617908153.db2.gz ZODFGHFYJWYXAP-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@H](COC(=O)c1cnc(-c2ccccc2)s1)[N+](=O)[O-] ZINC000813103980 617924624 /nfs/dbraw/zinc/92/46/24/617924624.db2.gz VPJAOLGTWVVVNZ-SECBINFHSA-N 0 0 292.316 2.632 20 5 CFBDRN CSCC(C)(C)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000890877958 617930785 /nfs/dbraw/zinc/93/07/85/617930785.db2.gz UYEAOMNKPYUSML-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN C[C@@]1(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)C=CCC1 ZINC000924402969 617938893 /nfs/dbraw/zinc/93/88/93/617938893.db2.gz AHRSLCIENQDVGG-OAHLLOKOSA-N 0 0 289.287 2.677 20 5 CFBDRN C[C@@H](COC(=O)CCCC1CCCCC1)[N+](=O)[O-] ZINC000813112399 617941407 /nfs/dbraw/zinc/94/14/07/617941407.db2.gz GLLCFTOYAPDBHM-NSHDSACASA-N 0 0 257.330 2.945 20 5 CFBDRN Cc1cc(C)c(CNc2c([N+](=O)[O-])cnn2C)c(C)c1 ZINC000892102758 617950508 /nfs/dbraw/zinc/95/05/08/617950508.db2.gz GHPPMYWMNIATLL-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN CO[C@@](C)(COC(=O)c1cc(C)cc([N+](=O)[O-])c1)C1CC1 ZINC000813193656 617981138 /nfs/dbraw/zinc/98/11/38/617981138.db2.gz UENQGNTUJYEUOR-HNNXBMFYSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc(C(=O)N=[S@@](C)(=O)C(C)C)cc([N+](=O)[O-])c1 ZINC000926066055 617998933 /nfs/dbraw/zinc/99/89/33/617998933.db2.gz GRZPQEQHOBWPHJ-IBGZPJMESA-N 0 0 284.337 2.550 20 5 CFBDRN Cc1ccoc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000060911205 618006490 /nfs/dbraw/zinc/00/64/90/618006490.db2.gz BJKZZFUBYKNVQO-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN CC[C@](C)(O)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000234117278 618006896 /nfs/dbraw/zinc/00/68/96/618006896.db2.gz ICHBGVQYXDKYMK-NSHDSACASA-N 0 0 276.695 2.960 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2CC(F)(F)C2)c1C ZINC000891125593 618010065 /nfs/dbraw/zinc/01/00/65/618010065.db2.gz PEWJYLFQRIKMQE-UHFFFAOYSA-N 0 0 298.289 2.987 20 5 CFBDRN COCC(C)(C)CNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000891131782 618012229 /nfs/dbraw/zinc/01/22/29/618012229.db2.gz CBVAGZHFBFBMIN-UHFFFAOYSA-N 0 0 294.351 2.614 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)O[C@@H]1CCSC1 ZINC000121128862 618014563 /nfs/dbraw/zinc/01/45/63/618014563.db2.gz RGKMVDJJFJGZBM-GFCCVEGCSA-N 0 0 281.333 2.576 20 5 CFBDRN Cc1ccc(OC(=O)c2cc(C(C)C)[nH]n2)c([N+](=O)[O-])c1 ZINC000051558331 618018987 /nfs/dbraw/zinc/01/89/87/618018987.db2.gz IFUWWVFIWAAKPY-UHFFFAOYSA-N 0 0 289.291 2.969 20 5 CFBDRN C[C@@H](C(=O)NC/C=C\Cl)c1ccc([N+](=O)[O-])cc1F ZINC000891205144 618042452 /nfs/dbraw/zinc/04/24/52/618042452.db2.gz PXNJNYYRZRJHSK-ZTHSNPKKSA-N 0 0 286.690 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC(C)(F)F)c1C ZINC000891252029 618082363 /nfs/dbraw/zinc/08/23/63/618082363.db2.gz GBOBPEZSQYSVCS-UHFFFAOYSA-N 0 0 286.278 2.987 20 5 CFBDRN C[C@@H](C(=O)Oc1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000160502616 618099513 /nfs/dbraw/zinc/09/95/13/618099513.db2.gz AFDLOYDZFVFOCY-SNVBAGLBSA-N 0 0 279.292 2.563 20 5 CFBDRN CCCC1(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2N)CC1 ZINC000160518823 618102751 /nfs/dbraw/zinc/10/27/51/618102751.db2.gz SQYZFHPCYOASIL-UHFFFAOYSA-N 0 0 291.351 2.796 20 5 CFBDRN Cc1cccnc1COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000092853635 618106543 /nfs/dbraw/zinc/10/65/43/618106543.db2.gz GNSGNXBHFPNRCH-UHFFFAOYSA-N 0 0 272.260 2.690 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)Cc1cccnc1 ZINC000061569768 618126667 /nfs/dbraw/zinc/12/66/67/618126667.db2.gz DUPSKSKCAYFQPT-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN C/C=C\COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000255566478 618127264 /nfs/dbraw/zinc/12/72/64/618127264.db2.gz TVSFPPJLSZWCCP-IHWYPQMZSA-N 0 0 255.657 2.981 20 5 CFBDRN CSC[C@H](C)NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000889063595 618138435 /nfs/dbraw/zinc/13/84/35/618138435.db2.gz BEJWGAKAESTFCQ-VIFPVBQESA-N 0 0 282.365 2.693 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)C1CC2(C1)CCCCC2 ZINC000891345330 618141644 /nfs/dbraw/zinc/14/16/44/618141644.db2.gz UUHQGBHBZIMNTE-UHFFFAOYSA-N 0 0 292.339 2.627 20 5 CFBDRN CN(CCCCCO)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000234268945 618142997 /nfs/dbraw/zinc/14/29/97/618142997.db2.gz LHLBXHVWEAYFHV-UHFFFAOYSA-N 0 0 290.722 2.986 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255283799 618159206 /nfs/dbraw/zinc/15/92/06/618159206.db2.gz UDGQWUMWEIKQBU-MFCDUJOTSA-N 0 0 291.303 2.719 20 5 CFBDRN COC[C@@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000255331120 618203409 /nfs/dbraw/zinc/20/34/09/618203409.db2.gz HNTUVIZFAZCKSK-RXTQTKKPSA-N 0 0 291.303 2.576 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCC=C(F)C1 ZINC000935219933 618208809 /nfs/dbraw/zinc/20/88/09/618208809.db2.gz TYENVVPVHUYVRV-UONOGXRCSA-N 0 0 290.294 2.784 20 5 CFBDRN COc1cc(COC(=O)C(C)(C)SC)ccc1[N+](=O)[O-] ZINC000849986931 618216563 /nfs/dbraw/zinc/21/65/63/618216563.db2.gz NRKGVEGPJUCVPW-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN CCOc1cc([N+](=O)[O-])c(C(=O)OC2CCC2)cc1OC ZINC000176945500 618234850 /nfs/dbraw/zinc/23/48/50/618234850.db2.gz ILPBTABKXQOOPU-UHFFFAOYSA-N 0 0 295.291 2.711 20 5 CFBDRN Cc1cc(N2CC3(C2)CCOCC3)c(F)cc1[N+](=O)[O-] ZINC000893942095 618247032 /nfs/dbraw/zinc/24/70/32/618247032.db2.gz ZCNCKZCWUVKWRK-UHFFFAOYSA-N 0 0 280.299 2.659 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@@H]1CCCCC(F)(F)C1 ZINC000893945427 618249644 /nfs/dbraw/zinc/24/96/44/618249644.db2.gz IRXVLYLIOLYEDF-SECBINFHSA-N 0 0 288.298 2.956 20 5 CFBDRN CCO/C=C/C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000840588382 618252212 /nfs/dbraw/zinc/25/22/12/618252212.db2.gz LNYJVXFELFDXFQ-QROSGCPLSA-N 0 0 265.265 2.749 20 5 CFBDRN CCc1nc(CC(=O)Oc2cccc([N+](=O)[O-])c2)cs1 ZINC000065771446 618255335 /nfs/dbraw/zinc/25/53/35/618255335.db2.gz ABJDEJOCCJGVGL-UHFFFAOYSA-N 0 0 292.316 2.762 20 5 CFBDRN C[C@@H]1CN(c2cc(Cl)c(F)cc2[N+](=O)[O-])CC[C@@H]1O ZINC000235392565 618259059 /nfs/dbraw/zinc/25/90/59/618259059.db2.gz MRGNPKLHHAIDIF-KRTXAFLBSA-N 0 0 288.706 2.594 20 5 CFBDRN CO[C@@H]1C[C@H]1C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000924125267 618273855 /nfs/dbraw/zinc/27/38/55/618273855.db2.gz APKHFPVZXNNUFP-OASPWFOLSA-N 0 0 279.292 2.542 20 5 CFBDRN C[C@H]1OCC[C@H]1COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000793420763 618275322 /nfs/dbraw/zinc/27/53/22/618275322.db2.gz NXVKMUOYLFGGGG-BDAKNGLRSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@H]1OCC[C@@H]1COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000793424618 618279376 /nfs/dbraw/zinc/27/93/76/618279376.db2.gz WRLFDRNEQYIXEQ-RKDXNWHRSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1nscc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000179494670 618279951 /nfs/dbraw/zinc/27/99/51/618279951.db2.gz XQGLSLVNBQDADK-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)C(F)F ZINC000894043123 618286134 /nfs/dbraw/zinc/28/61/34/618286134.db2.gz OJIBLRZOGQHLJI-FCZSHJHJSA-N 0 0 284.262 2.768 20 5 CFBDRN C[C@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(F)F ZINC000894046984 618288171 /nfs/dbraw/zinc/28/81/71/618288171.db2.gz YPRBSPMHORZWQU-YFKPBYRVSA-N 0 0 278.642 2.632 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2Cl)C1 ZINC000129605697 618329561 /nfs/dbraw/zinc/32/95/61/618329561.db2.gz YBWXBJCVBLVODZ-QMMMGPOBSA-N 0 0 268.700 2.730 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H](O)C1CCCCC1 ZINC000782366129 618333964 /nfs/dbraw/zinc/33/39/64/618333964.db2.gz DHYSCFPIVUUJKL-LBPRGKRZSA-N 0 0 299.348 2.641 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCC(C)(C)CO1 ZINC000895130078 618352902 /nfs/dbraw/zinc/35/29/02/618352902.db2.gz JAJHFMYCIODDSF-NSHDSACASA-N 0 0 295.339 2.616 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235539372 618367503 /nfs/dbraw/zinc/36/75/03/618367503.db2.gz OULJDUSTXJALTM-BQBZGAKWSA-N 0 0 276.695 2.959 20 5 CFBDRN CCOc1cc(Cl)ccc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814034863 618431512 /nfs/dbraw/zinc/43/15/12/618431512.db2.gz JEXLRKDIRVEQKN-MRVPVSSYSA-N 0 0 287.699 2.561 20 5 CFBDRN Cc1cccc2cc(C(=O)O[C@H](C)C[N+](=O)[O-])oc21 ZINC000814036551 618437273 /nfs/dbraw/zinc/43/72/73/618437273.db2.gz ZRRLIDDCRBVKIS-SECBINFHSA-N 0 0 263.249 2.563 20 5 CFBDRN CO[C@H](C)CCC(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000782429551 618438635 /nfs/dbraw/zinc/43/86/35/618438635.db2.gz APTSFGOLVXTTMH-SNVBAGLBSA-N 0 0 281.308 2.762 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc2c(cccc2F)s1 ZINC000814041822 618441698 /nfs/dbraw/zinc/44/16/98/618441698.db2.gz DIGDEROXHVJMKS-ZETCQYMHSA-N 0 0 283.280 2.862 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCCC1CCCCC1 ZINC000814040904 618441722 /nfs/dbraw/zinc/44/17/22/618441722.db2.gz MTJUMJZTQDIHFK-NSHDSACASA-N 0 0 257.330 2.945 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1cccc2ccccc21 ZINC000814042491 618441803 /nfs/dbraw/zinc/44/18/03/618441803.db2.gz LOQBGNMAIOMPES-LLVKDONJSA-N 0 0 273.288 2.591 20 5 CFBDRN CCCOc1ccc(CCC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814044971 618444409 /nfs/dbraw/zinc/44/44/09/618444409.db2.gz WNKGGBQWTHWGET-LBPRGKRZSA-N 0 0 295.335 2.616 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)CCc1ccccc1F ZINC000814047183 618449640 /nfs/dbraw/zinc/44/96/40/618449640.db2.gz LWWDGMRFQLUXJK-WDEREUQCSA-N 0 0 283.299 2.603 20 5 CFBDRN Cc1ncoc1COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000814098714 618454820 /nfs/dbraw/zinc/45/48/20/618454820.db2.gz YQBZYEYFMVJXIC-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN COC[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000747158883 618456148 /nfs/dbraw/zinc/45/61/48/618456148.db2.gz QUBNFKHUXRTQRE-PIZKADEWSA-N 0 0 293.319 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCc2ccco2)c1F ZINC000235882609 618456311 /nfs/dbraw/zinc/45/63/11/618456311.db2.gz HGMISRQDMQRINM-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN C[C@H](O)CSc1ccc2ncccc2c1[N+](=O)[O-] ZINC000882738411 618492635 /nfs/dbraw/zinc/49/26/35/618492635.db2.gz TXUVRRYHFXZRME-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N(C)CCCF ZINC000895296586 618518977 /nfs/dbraw/zinc/51/89/77/618518977.db2.gz PQWLQKCCRGELFL-UHFFFAOYSA-N 0 0 288.706 2.988 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)OCC1CCCC1 ZINC000108684915 618532863 /nfs/dbraw/zinc/53/28/63/618532863.db2.gz AFWSFFYPTJWXHI-UHFFFAOYSA-N 0 0 264.281 2.524 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC1(C2CC2)CCC1 ZINC000895358520 618548347 /nfs/dbraw/zinc/54/83/47/618548347.db2.gz WUQKWIMDKADKRN-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(NC1(C2CC2)CCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000895359022 618548389 /nfs/dbraw/zinc/54/83/89/618548389.db2.gz KOPAHKJFQBGUIZ-UHFFFAOYSA-N 0 0 296.273 2.936 20 5 CFBDRN Nc1ccc(N2C[C@@H]3C[C@]3(c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000895397028 618568114 /nfs/dbraw/zinc/56/81/14/618568114.db2.gz UYZWZXWYDRXRSE-SUMWQHHRSA-N 0 0 295.342 2.955 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935735456 618631406 /nfs/dbraw/zinc/63/14/06/618631406.db2.gz BMBJYXXVBIXXQQ-RDBQEKCUSA-N 0 0 274.320 2.708 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc(Cl)nc2)n1 ZINC000178178555 618632465 /nfs/dbraw/zinc/63/24/65/618632465.db2.gz QHSDBYUUWHFUCK-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCc1ncccc1C ZINC000180317175 618654474 /nfs/dbraw/zinc/65/44/74/618654474.db2.gz VIDPCOAMDADUJB-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892643662 618669812 /nfs/dbraw/zinc/66/98/12/618669812.db2.gz MCCXNBZGNFPDOR-KWQFWETISA-N 0 0 271.276 2.738 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892645843 618671301 /nfs/dbraw/zinc/67/13/01/618671301.db2.gz HKOJDJKPCGSGDS-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CC[C@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000851128733 618689366 /nfs/dbraw/zinc/68/93/66/618689366.db2.gz DCEUZMWVPWRAFD-SFYZADRCSA-N 0 0 283.246 2.564 20 5 CFBDRN CC1=CC[C@@](C)(C(=O)OCC(C)(C)[N+](=O)[O-])CC1 ZINC000851130665 618690399 /nfs/dbraw/zinc/69/03/99/618690399.db2.gz YSNGEBIADIYURX-CYBMUJFWSA-N 0 0 255.314 2.721 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1cccnc1Cl ZINC000072508474 618700295 /nfs/dbraw/zinc/70/02/95/618700295.db2.gz ZOXWHIIJENHVGP-UHFFFAOYSA-N 0 0 278.651 2.862 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CCC[C@@H]2C[C@@H]21 ZINC000935925581 618705749 /nfs/dbraw/zinc/70/57/49/618705749.db2.gz ZRRWYCFBZLMKGN-YGRLFVJLSA-N 0 0 292.310 2.677 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC(C)(C)SC ZINC000892745469 618738416 /nfs/dbraw/zinc/73/84/16/618738416.db2.gz QGQGZVWGKTTXJF-UHFFFAOYSA-N 0 0 271.342 2.552 20 5 CFBDRN C[C@@H]1CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)[C@H]1C ZINC000892800769 618749217 /nfs/dbraw/zinc/74/92/17/618749217.db2.gz KNRJMRVPJRQGJB-BDAKNGLRSA-N 0 0 265.269 2.738 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C=CCC2)c1C ZINC000892822985 618751589 /nfs/dbraw/zinc/75/15/89/618751589.db2.gz QGAKATZQQBABQU-NSHDSACASA-N 0 0 260.293 2.660 20 5 CFBDRN C[C@H]1[C@@H](c2ccccc2)CCN1c1c([N+](=O)[O-])cnn1C ZINC000892861293 618758847 /nfs/dbraw/zinc/75/88/47/618758847.db2.gz UEZTYPXSSDHXHU-AAEUAGOBSA-N 0 0 286.335 2.711 20 5 CFBDRN CC(C)C(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892861255 618758978 /nfs/dbraw/zinc/75/89/78/618758978.db2.gz RFTXJRKBFIGPPA-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN CO[C@@H](C)CC(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892858958 618759707 /nfs/dbraw/zinc/75/97/07/618759707.db2.gz RCMJJYPOGGBOCV-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC2CCOCC2)c1C ZINC000892895048 618764222 /nfs/dbraw/zinc/76/42/22/618764222.db2.gz OZJIGCBFZKAPAE-UHFFFAOYSA-N 0 0 279.292 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@]2(C)CC2(F)F)c1C ZINC000892941214 618775099 /nfs/dbraw/zinc/77/50/99/618775099.db2.gz UWXMIKCMKUMLBA-ZDUSSCGKSA-N 0 0 298.289 2.987 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000893031901 618808728 /nfs/dbraw/zinc/80/87/28/618808728.db2.gz SKBWZYOABNGRBH-PHIMTYICSA-N 0 0 279.292 2.546 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCCCc1ccccc1 ZINC000893075541 618823704 /nfs/dbraw/zinc/82/37/04/618823704.db2.gz BYHMMMOPPXXDAS-GFCCVEGCSA-N 0 0 265.309 2.608 20 5 CFBDRN CSCCCCCCNc1c([N+](=O)[O-])cnn1C ZINC000893128616 618841398 /nfs/dbraw/zinc/84/13/98/618841398.db2.gz ONYURAGKGPPJLU-UHFFFAOYSA-N 0 0 272.374 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COC3(CCC3)C2)s1 ZINC000853826919 618881055 /nfs/dbraw/zinc/88/10/55/618881055.db2.gz FBVBUMZYZNANPM-QMMMGPOBSA-N 0 0 254.311 2.780 20 5 CFBDRN CC1(CC(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])COC1 ZINC000924115559 618909601 /nfs/dbraw/zinc/90/96/01/618909601.db2.gz BNQBPWGMPZNNOB-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN C/C(=C/C(=O)N1CCC(F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000893420327 618985601 /nfs/dbraw/zinc/98/56/01/618985601.db2.gz WGUQNIGNOIJCMW-KHPPLWFESA-N 0 0 292.310 2.959 20 5 CFBDRN COC(=O)/C(C)=C\CSc1cccc([N+](=O)[O-])c1 ZINC000313476732 618989989 /nfs/dbraw/zinc/98/99/89/618989989.db2.gz WUDQYEKDNYIJLT-TWGQIWQCSA-N 0 0 267.306 2.806 20 5 CFBDRN CCOC1CC(CCNc2ncc([N+](=O)[O-])cc2OC)C1 ZINC000893435305 618992405 /nfs/dbraw/zinc/99/24/05/618992405.db2.gz RGCHWCLNZRCCQH-UHFFFAOYSA-N 0 0 295.339 2.616 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@H]1CC12CCC2 ZINC000893463256 619006710 /nfs/dbraw/zinc/00/67/10/619006710.db2.gz FXORSDLIYHTJOM-GFCCVEGCSA-N 0 0 275.304 2.871 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@@H]1CC12CCC2 ZINC000893463258 619006902 /nfs/dbraw/zinc/00/69/02/619006902.db2.gz FXORSDLIYHTJOM-LBPRGKRZSA-N 0 0 275.304 2.871 20 5 CFBDRN C[C@H](Nc1cc(Cl)nc(N)n1)c1cccc([N+](=O)[O-])c1 ZINC000049228018 619050140 /nfs/dbraw/zinc/05/01/40/619050140.db2.gz MSNSJHHGNGGXKG-ZETCQYMHSA-N 0 0 293.714 2.794 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@H]2CCSC2)cc([N+](=O)[O-])c1 ZINC000893574391 619076767 /nfs/dbraw/zinc/07/67/67/619076767.db2.gz YMTIFQQOPQGBNQ-MRVPVSSYSA-N 0 0 283.309 2.668 20 5 CFBDRN COc1cc(NCc2ccon2)c([N+](=O)[O-])cc1C ZINC000311979145 619093080 /nfs/dbraw/zinc/09/30/80/619093080.db2.gz OFNOIOSVRRKGPV-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN Cc1cc(OCc2csc([N+](=O)[O-])c2)nnc1C ZINC000107079799 619128714 /nfs/dbraw/zinc/12/87/14/619128714.db2.gz ZSEXNIIFVTUOJX-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)CC1(C)C ZINC000893670933 619151722 /nfs/dbraw/zinc/15/17/22/619151722.db2.gz DSOVFHVQCJEZGV-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2ncc([N+](=O)[O-])s2)C1 ZINC000235180612 619189966 /nfs/dbraw/zinc/18/99/66/619189966.db2.gz BTFPWQBYHWKBHG-NKWVEPMBSA-N 0 0 259.356 2.747 20 5 CFBDRN CC1(C)SC[C@H]1Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000893725730 619213075 /nfs/dbraw/zinc/21/30/75/619213075.db2.gz AUHSXXINVOPYPU-SNVBAGLBSA-N 0 0 283.309 2.809 20 5 CFBDRN CC[C@H](NC(=O)c1ccccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000893863261 619230773 /nfs/dbraw/zinc/23/07/73/619230773.db2.gz DWHUQYCYYCCWOB-YPMHNXCESA-N 0 0 292.335 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC4(C3)CCOCC4)c2c1 ZINC000893939520 619248365 /nfs/dbraw/zinc/24/83/65/619248365.db2.gz BFVYDXGKQNHNPM-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1(C)CCOCC1 ZINC000726754768 619260244 /nfs/dbraw/zinc/26/02/44/619260244.db2.gz SHADXUCUERGTMX-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CN(Cc1ccon1)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000739898919 619266546 /nfs/dbraw/zinc/26/65/46/619266546.db2.gz RIYHTNDCQRLAHD-UHFFFAOYSA-N 0 0 290.304 2.829 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OCC1CCCCC1 ZINC000058632940 619277814 /nfs/dbraw/zinc/27/78/14/619277814.db2.gz MDLBULJUOAMNKB-UHFFFAOYSA-N 0 0 278.308 2.914 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)c(Cl)c1 ZINC000840672667 619325221 /nfs/dbraw/zinc/32/52/21/619325221.db2.gz KPHIDYQYWFGJCH-FMTFEUNWSA-N 0 0 287.674 2.749 20 5 CFBDRN CCC(C)(C)OCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928244626 619328214 /nfs/dbraw/zinc/32/82/14/619328214.db2.gz AIVZYPZNLXQLLE-LLVKDONJSA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)n1 ZINC000024933371 619338389 /nfs/dbraw/zinc/33/83/89/619338389.db2.gz WBYSYJIHBVQOBJ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H](C(=O)OCCCOc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000748137934 619341457 /nfs/dbraw/zinc/34/14/57/619341457.db2.gz AGPIURUTWOHTOH-LLVKDONJSA-N 0 0 293.319 2.953 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000048866519 619477817 /nfs/dbraw/zinc/47/78/17/619477817.db2.gz PISIAWMTDWXJRG-RKDXNWHRSA-N 0 0 268.288 2.898 20 5 CFBDRN C[C@@H]1CNc2c(Nc3ccc(F)cc3[N+](=O)[O-])cnn2C1 ZINC000894954863 619517849 /nfs/dbraw/zinc/51/78/49/619517849.db2.gz NWZQXYHDCBXVOH-MRVPVSSYSA-N 0 0 291.286 2.736 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@H](C2CCC2)CC1 ZINC000894994670 619522281 /nfs/dbraw/zinc/52/22/81/619522281.db2.gz DPJZMDNYZPCNBE-LBPRGKRZSA-N 0 0 278.356 2.735 20 5 CFBDRN COC(=O)C[C@@H](C)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000895037354 619530385 /nfs/dbraw/zinc/53/03/85/619530385.db2.gz VZJQFUJFUZCKFS-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN COc1cc(C)nc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000895668214 619582076 /nfs/dbraw/zinc/58/20/76/619582076.db2.gz ZAZGBHZDBVUTRS-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2C[C@H]2C)c1C ZINC000895722206 619590878 /nfs/dbraw/zinc/59/08/78/619590878.db2.gz FDPYLBYOUIWNBS-MWLCHTKSSA-N 0 0 262.309 2.597 20 5 CFBDRN Cc1sc(C(=O)OCc2cncc(F)c2)cc1[N+](=O)[O-] ZINC000795422917 619602690 /nfs/dbraw/zinc/60/26/90/619602690.db2.gz PZDCHFRVGMPOOT-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1ccc(CNCc2nccn2C2CC2)cc1[N+](=O)[O-] ZINC000895834792 619619766 /nfs/dbraw/zinc/61/97/66/619619766.db2.gz UWIWUHWIZUZAFP-UHFFFAOYSA-N 0 0 286.335 2.724 20 5 CFBDRN Cc1cccc(NC[C@@]2(OC(C)C)CCOC2)c1[N+](=O)[O-] ZINC000895868374 619626357 /nfs/dbraw/zinc/62/63/57/619626357.db2.gz CMBXWXRWFMXOTI-HNNXBMFYSA-N 0 0 294.351 2.899 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2ncccn2)c(F)c1 ZINC000895943999 619641746 /nfs/dbraw/zinc/64/17/46/619641746.db2.gz NAAMNZBMAMBIPV-CYBMUJFWSA-N 0 0 288.282 2.865 20 5 CFBDRN C[C@H]1CO[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000895954337 619643570 /nfs/dbraw/zinc/64/35/70/619643570.db2.gz ZLGWCDWVGHLYCM-LDYMZIIASA-N 0 0 275.264 2.742 20 5 CFBDRN C[C@@H]1CO[C@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)C1 ZINC000895958644 619644691 /nfs/dbraw/zinc/64/46/91/619644691.db2.gz RMWOOYYGVOGIBL-CABZTGNLSA-N 0 0 289.291 2.666 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2c2ncccn2)cn1 ZINC000895976081 619644811 /nfs/dbraw/zinc/64/48/11/619644811.db2.gz LVBBHIDDXQUNMD-GFCCVEGCSA-N 0 0 285.307 2.511 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc3ccccc3c([N+](=O)[O-])c2N)C1 ZINC000896049743 619660729 /nfs/dbraw/zinc/66/07/29/619660729.db2.gz UJRCOTZWHYDPOP-JTQLQIEISA-N 0 0 299.330 2.812 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2C[C@H]2C(F)(F)F)c(F)c1 ZINC000896087929 619668271 /nfs/dbraw/zinc/66/82/71/619668271.db2.gz KAGJGIAITPPIBZ-CAHLUQPWSA-N 0 0 279.193 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C=C[C@H]3C[C@@H]2CO3)s1 ZINC000896080851 619669026 /nfs/dbraw/zinc/66/90/26/619669026.db2.gz WKTWYXZSEWOBNV-AEJSXWLSSA-N 0 0 266.322 2.659 20 5 CFBDRN CN(C)[C@@H](CCNc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000896110014 619676365 /nfs/dbraw/zinc/67/63/65/619676365.db2.gz QJQSQKRIADIHHY-NSHDSACASA-N 0 0 291.273 2.889 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCS[C@H](C)C2)c1C ZINC000896164568 619684201 /nfs/dbraw/zinc/68/42/01/619684201.db2.gz QSFDCJWJYHBRRU-SNVBAGLBSA-N 0 0 294.376 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC3(CCOCC3)O2)cc1 ZINC000896169804 619685796 /nfs/dbraw/zinc/68/57/96/619685796.db2.gz IVURAKNXWJGZOO-AWEZNQCLSA-N 0 0 292.335 2.735 20 5 CFBDRN CCC[C@H](O)CCNc1c(OC)cccc1[N+](=O)[O-] ZINC000896185401 619690266 /nfs/dbraw/zinc/69/02/66/619690266.db2.gz BUDHYBXAGXJAEE-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN C[C@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])CCS1 ZINC000896244774 619699797 /nfs/dbraw/zinc/69/97/97/619699797.db2.gz IXMGNQPHBKDJSO-IUCAKERBSA-N 0 0 253.327 2.686 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])s2)CCS1 ZINC000896246387 619700347 /nfs/dbraw/zinc/70/03/47/619700347.db2.gz DNVXAAXKSPPILC-RNFRBKRXSA-N 0 0 259.356 2.747 20 5 CFBDRN C[C@@H]1C(=O)CCCN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000896335180 619718609 /nfs/dbraw/zinc/71/86/09/619718609.db2.gz GYOYZZIZHAWGRU-SNVBAGLBSA-N 0 0 285.303 2.701 20 5 CFBDRN Cc1cc(N2CC(=O)CC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000896340549 619719773 /nfs/dbraw/zinc/71/97/73/619719773.db2.gz YQIGCOBICWUSKK-VIFPVBQESA-N 0 0 266.272 2.600 20 5 CFBDRN CC1(C)O[C@@H]2C[C@@H](Nc3ccc([N+](=O)[O-])cc3)C[C@@H]2O1 ZINC000896370396 619724879 /nfs/dbraw/zinc/72/48/79/619724879.db2.gz QHMJFMAAQAPZGU-UOJUARBOSA-N 0 0 278.308 2.689 20 5 CFBDRN CC1(C)O[C@@H]2C[C@@H](Nc3cccc(F)c3[N+](=O)[O-])C[C@@H]2O1 ZINC000896372305 619725287 /nfs/dbraw/zinc/72/52/87/619725287.db2.gz XLNBIGXRAZJCRV-VTYGSRLXSA-N 0 0 296.298 2.828 20 5 CFBDRN Cc1c(-c2noc(C3(N(C)C)CC3)n2)cccc1[N+](=O)[O-] ZINC000896389389 619727762 /nfs/dbraw/zinc/72/77/62/619727762.db2.gz YXPDJGNGDMVQPW-UHFFFAOYSA-N 0 0 288.307 2.504 20 5 CFBDRN CCO[C@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000896405932 619730668 /nfs/dbraw/zinc/73/06/68/619730668.db2.gz SMNSIRAMJMVGPV-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000896409088 619731132 /nfs/dbraw/zinc/73/11/32/619731132.db2.gz GGUVFISXFXHJCQ-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN Cn1nc(C2CC2)cc1CNc1cccc(F)c1[N+](=O)[O-] ZINC000896511584 619747725 /nfs/dbraw/zinc/74/77/25/619747725.db2.gz HHMDFNUNPLPTRG-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN COC[C@@H](CNc1ncc([N+](=O)[O-])cc1C)C(C)C ZINC000896501552 619750922 /nfs/dbraw/zinc/75/09/22/619750922.db2.gz DBXGDNQJQQVGNY-LLVKDONJSA-N 0 0 267.329 2.629 20 5 CFBDRN Cn1nc(CNc2c(F)cccc2[N+](=O)[O-])cc1C1CC1 ZINC000896528722 619754024 /nfs/dbraw/zinc/75/40/24/619754024.db2.gz RUZLOYFOZLGCDE-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN CNC(=O)c1ccc(NC[C@]23C[C@H]2CCC3)c([N+](=O)[O-])c1 ZINC000896547625 619759363 /nfs/dbraw/zinc/75/93/63/619759363.db2.gz LPGJZHBBUJGDGQ-ABAIWWIYSA-N 0 0 289.335 2.557 20 5 CFBDRN COCC(C)(C)c1noc(-c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000896572013 619764682 /nfs/dbraw/zinc/76/46/82/619764682.db2.gz OESIZEXIVZUBNQ-UHFFFAOYSA-N 0 0 291.307 2.877 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])c(Cl)c2)CCO1 ZINC000896583976 619767215 /nfs/dbraw/zinc/76/72/15/619767215.db2.gz KWQCQZUCRJEGNP-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN COCC(C)(C)c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000896584478 619767526 /nfs/dbraw/zinc/76/75/26/619767526.db2.gz FUDCTVACLBNEGS-UHFFFAOYSA-N 0 0 277.280 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](C3CCOCC3)C2)nc1 ZINC000896585955 619768064 /nfs/dbraw/zinc/76/80/64/619768064.db2.gz GJYUNJJIAGQAEW-CYBMUJFWSA-N 0 0 291.351 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2noc3c2COCC3)c1 ZINC000896706720 619791588 /nfs/dbraw/zinc/79/15/88/619791588.db2.gz JZXNOLIREOAARS-UHFFFAOYSA-N 0 0 289.291 2.576 20 5 CFBDRN CSC1(c2noc(-c3cc([N+](=O)[O-])cnc3C)n2)CC1 ZINC000896789868 619818327 /nfs/dbraw/zinc/81/83/27/619818327.db2.gz INUKSKHPFJKIKJ-UHFFFAOYSA-N 0 0 292.320 2.700 20 5 CFBDRN Nc1ccc(NC[C@H]2CC[C@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000896801303 619822440 /nfs/dbraw/zinc/82/24/40/619822440.db2.gz MKISWYQPZZIYNI-BXUZGUMPSA-N 0 0 277.324 2.547 20 5 CFBDRN COc1cccc(NC[C@@H]2CC[C@H](C3CC3)O2)c1[N+](=O)[O-] ZINC000896802140 619822455 /nfs/dbraw/zinc/82/24/55/619822455.db2.gz BOULONKOTAHGFN-WCQYABFASA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC1(C2(O)CCC2)CC1 ZINC000896807476 619822898 /nfs/dbraw/zinc/82/28/98/619822898.db2.gz FPOUQRYXSBCKOZ-UHFFFAOYSA-N 0 0 280.299 2.841 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000795990527 619849026 /nfs/dbraw/zinc/84/90/26/619849026.db2.gz HSRNRCVWIFZZIB-GXFFZTMASA-N 0 0 281.308 2.699 20 5 CFBDRN CNc1c(C(=O)NCC(C)(C)SC)cccc1[N+](=O)[O-] ZINC000163202543 619860263 /nfs/dbraw/zinc/86/02/63/619860263.db2.gz AHGQXHCRRXJZDQ-UHFFFAOYSA-N 0 0 297.380 2.508 20 5 CFBDRN COc1ccc(OC(=O)c2occc2C)c([N+](=O)[O-])c1 ZINC000070709340 625377512 /nfs/dbraw/zinc/37/75/12/625377512.db2.gz LEIALIOIMIAPFL-UHFFFAOYSA-N 0 0 277.232 2.724 20 5 CFBDRN CC[C@H](C)Cc1noc(C(C)(C)n2cc([N+](=O)[O-])cn2)n1 ZINC000896949534 619903018 /nfs/dbraw/zinc/90/30/18/619903018.db2.gz RZJBIGNHUFCGJI-VIFPVBQESA-N 0 0 293.327 2.546 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1SC1COC1 ZINC000896963432 619906059 /nfs/dbraw/zinc/90/60/59/619906059.db2.gz YVPFHXYKRHPZLT-UHFFFAOYSA-N 0 0 290.138 2.848 20 5 CFBDRN COc1cc(SC2COC2)c(Cl)cc1[N+](=O)[O-] ZINC000896962998 619906068 /nfs/dbraw/zinc/90/60/68/619906068.db2.gz UOVWXOQFBRTGPJ-UHFFFAOYSA-N 0 0 275.713 2.748 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCOC12CCCCC2 ZINC000896992267 619909596 /nfs/dbraw/zinc/90/95/96/619909596.db2.gz NSTPYIJNOVJUOD-LBPRGKRZSA-N 0 0 277.324 2.894 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC2CC3(C2)CO[C@@H](C)C3)c1 ZINC000897045769 619918585 /nfs/dbraw/zinc/91/85/85/619918585.db2.gz OULDHSKNCLBJAR-NLTNOIMHSA-N 0 0 292.335 2.973 20 5 CFBDRN CCN(C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000897072021 619926070 /nfs/dbraw/zinc/92/60/70/619926070.db2.gz SQOAQQGABCMOEE-LLVKDONJSA-N 0 0 291.351 2.892 20 5 CFBDRN Cc1cc(N[C@@H]2CC23CC(O)C3)c2cccc([N+](=O)[O-])c2n1 ZINC000897091281 619928255 /nfs/dbraw/zinc/92/82/55/619928255.db2.gz JFPRXHNANBIFES-XHYCCTMSSA-N 0 0 299.330 2.777 20 5 CFBDRN O=[N+]([O-])c1cccnc1N(Cc1ccoc1)C1CC1 ZINC000897114816 619936074 /nfs/dbraw/zinc/93/60/74/619936074.db2.gz WGCOOZIMSKTARK-UHFFFAOYSA-N 0 0 259.265 2.752 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccc([N+](=O)[O-])cc1C ZINC000897148440 619939860 /nfs/dbraw/zinc/93/98/60/619939860.db2.gz XLVVULGLVYIVQX-CHWSQXEVSA-N 0 0 250.298 2.517 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000897150210 619940196 /nfs/dbraw/zinc/94/01/96/619940196.db2.gz ZGHWAQWPIBOBKW-OCCSQVGLSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1c(C)cccc1[N+](=O)[O-] ZINC000897145817 619940932 /nfs/dbraw/zinc/94/09/32/619940932.db2.gz UUCPIJMCOYTWGL-JQWIXIFHSA-N 0 0 250.298 2.517 20 5 CFBDRN CCC(=O)c1ccc(N(C)[C@H]2CC[C@@H]2OC)c([N+](=O)[O-])c1 ZINC000897144841 619940939 /nfs/dbraw/zinc/94/09/39/619940939.db2.gz NNZMNPSWZYBTFD-WFASDCNBSA-N 0 0 292.335 2.801 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897177008 619945033 /nfs/dbraw/zinc/94/50/33/619945033.db2.gz IMHKZVXGZHFXJL-OYNCUSHFSA-N 0 0 251.261 2.729 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897179455 619945262 /nfs/dbraw/zinc/94/52/62/619945262.db2.gz IYGTZDHIJXTOLE-GMTAPVOTSA-N 0 0 264.329 2.720 20 5 CFBDRN CN(Cc1cn(C)cn1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000788018857 619947855 /nfs/dbraw/zinc/94/78/55/619947855.db2.gz FWZLSHVHLGKJEF-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(CCCCF)no2)cc1 ZINC000897214906 619951405 /nfs/dbraw/zinc/95/14/05/619951405.db2.gz HBIREJMYEVXUHI-UHFFFAOYSA-N 0 0 295.270 2.849 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CCCC(C)(C)O2)c1[N+](=O)[O-] ZINC000897253554 619959922 /nfs/dbraw/zinc/95/99/22/619959922.db2.gz DDDBWEYXKFOFGF-SNVBAGLBSA-N 0 0 296.371 2.650 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OCCC[C@@H](C)O ZINC000909591238 619966857 /nfs/dbraw/zinc/96/68/57/619966857.db2.gz LLYONUKWEIGETN-SECBINFHSA-N 0 0 299.348 2.635 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OCCOC1CC1 ZINC000909591017 619966865 /nfs/dbraw/zinc/96/68/65/619966865.db2.gz JIEHHRSCFDKPMW-UHFFFAOYSA-N 0 0 297.332 2.653 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OC(C)C ZINC000909595021 619967501 /nfs/dbraw/zinc/96/75/01/619967501.db2.gz WJSCSZPBLLDJCR-UHFFFAOYSA-N 0 0 255.295 2.882 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OCC1(C)COC1 ZINC000909591899 619967196 /nfs/dbraw/zinc/96/71/96/619967196.db2.gz BWSHGTGWSJVJHV-UHFFFAOYSA-N 0 0 297.332 2.510 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OCCC(C)(C)O ZINC000909594445 619967261 /nfs/dbraw/zinc/96/72/61/619967261.db2.gz QVOJJTAXRSKMLF-UHFFFAOYSA-N 0 0 299.348 2.635 20 5 CFBDRN Cc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)cc1O ZINC000026021151 619970977 /nfs/dbraw/zinc/97/09/77/619970977.db2.gz RCOXQLIJIOLERK-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN CNc1ccc(C(=O)O[C@H](C)[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000797191402 619973592 /nfs/dbraw/zinc/97/35/92/619973592.db2.gz KEOUUYIPOMKCIP-RKDXNWHRSA-N 0 0 298.364 2.933 20 5 CFBDRN CCCC(=O)O[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000022482342 620017040 /nfs/dbraw/zinc/01/70/40/620017040.db2.gz JLTUXYVTNXXSNQ-JTQLQIEISA-N 0 0 294.307 2.574 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000925231739 620055362 /nfs/dbraw/zinc/05/53/62/620055362.db2.gz FTAKYVBNXMUEJA-OSMZGAPFSA-N 0 0 289.335 2.850 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000928936768 620085688 /nfs/dbraw/zinc/08/56/88/620085688.db2.gz GTAMUMSPLXWVRF-FPLPWBNLSA-N 0 0 298.726 2.621 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CC=CC[C@H]1C ZINC000897392858 620092825 /nfs/dbraw/zinc/09/28/25/620092825.db2.gz QMGRBDPIMSVPPZ-MWLCHTKSSA-N 0 0 264.329 2.886 20 5 CFBDRN Cc1ccc(-c2noc([C@@H](C)N(C)C)n2)cc1[N+](=O)[O-] ZINC000923821417 620093291 /nfs/dbraw/zinc/09/32/91/620093291.db2.gz PSYFOZGIMMZZDE-SECBINFHSA-N 0 0 276.296 2.576 20 5 CFBDRN CCC(CC)(C[NH2+]Cc1ccc([N+](=O)[O-])cc1C)C(=O)[O-] ZINC000263103856 620105888 /nfs/dbraw/zinc/10/58/88/620105888.db2.gz XFTFOMRXWCTGOQ-UHFFFAOYSA-N 0 0 294.351 2.884 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2CCC2)c1C ZINC000888146196 620128171 /nfs/dbraw/zinc/12/81/71/620128171.db2.gz ZWOFYXWCDLOMHL-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN CC(C)c1ccc(C[N@H+](C)[C@@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000263408352 620141042 /nfs/dbraw/zinc/14/10/42/620141042.db2.gz KMLPFFHPUWTUDV-JTQLQIEISA-N 0 0 280.324 2.623 20 5 CFBDRN Cc1cc(NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])nn1C ZINC000889656672 620169920 /nfs/dbraw/zinc/16/99/20/620169920.db2.gz IQPAVZHDNZYBJD-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1ccc([N+](=O)[O-])cc1F ZINC000889591733 620185060 /nfs/dbraw/zinc/18/50/60/620185060.db2.gz VWUMAMGJJNHDAM-IYYTYJHQSA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)/C=C/C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000785054181 620208521 /nfs/dbraw/zinc/20/85/21/620208521.db2.gz ZQNZRAYUSXTPAP-VOTSOKGWSA-N 0 0 279.292 2.729 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2cccc([N+](=O)[O-])c2C)o1 ZINC000067739909 620219942 /nfs/dbraw/zinc/21/99/42/620219942.db2.gz BNJYCONRJXHTMA-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN COC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000204800907 620226082 /nfs/dbraw/zinc/22/60/82/620226082.db2.gz ZNDKCBPLEQDFMC-UHFFFAOYSA-N 0 0 250.037 2.688 20 5 CFBDRN Cc1cncc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)c1 ZINC000785672256 620226331 /nfs/dbraw/zinc/22/63/31/620226331.db2.gz AIORGEPFHJMTIA-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN COC(=O)C[C@@H]1CC[C@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000897541266 620232995 /nfs/dbraw/zinc/23/29/95/620232995.db2.gz NLEHOLPZNCJFRK-BDAKNGLRSA-N 0 0 284.337 2.800 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2nonc21)c1ccccn1 ZINC000035122248 620237527 /nfs/dbraw/zinc/23/75/27/620237527.db2.gz BLEFMFZQERKZQV-QMMMGPOBSA-N 0 0 285.263 2.699 20 5 CFBDRN Cc1cccc(C(=O)O[C@H](C)C(F)F)c1[N+](=O)[O-] ZINC000786514068 620249956 /nfs/dbraw/zinc/24/99/56/620249956.db2.gz KRMJRTUDTFICKW-SSDOTTSWSA-N 0 0 259.208 2.714 20 5 CFBDRN C[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000786528152 620253412 /nfs/dbraw/zinc/25/34/12/620253412.db2.gz YSTQAGXILMHPQT-NZGHECTNSA-N 0 0 271.219 2.805 20 5 CFBDRN CCC[C@@H](O)CCCSc1c([N+](=O)[O-])c(C)nn1C ZINC000866033483 620346972 /nfs/dbraw/zinc/34/69/72/620346972.db2.gz OFTFDSDFYXIKDH-SNVBAGLBSA-N 0 0 287.385 2.670 20 5 CFBDRN Cc1c(CC(=O)N2CCC=C(Cl)C2)cccc1[N+](=O)[O-] ZINC000898196176 620352386 /nfs/dbraw/zinc/35/23/86/620352386.db2.gz CUGRMDUMGGRTCE-UHFFFAOYSA-N 0 0 294.738 2.801 20 5 CFBDRN COc1cccc(C(=O)N2CCC=C(Cl)C2)c1[N+](=O)[O-] ZINC000898197322 620352696 /nfs/dbraw/zinc/35/26/96/620352696.db2.gz NTKRRIAFFOYTFE-UHFFFAOYSA-N 0 0 296.710 2.572 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCCC(F)(F)F ZINC000898251742 620357039 /nfs/dbraw/zinc/35/70/39/620357039.db2.gz KFSUVVNQFWLLGL-UHFFFAOYSA-N 0 0 277.198 2.633 20 5 CFBDRN Cc1ccc(-c2noc(C3CSC3)n2)cc1[N+](=O)[O-] ZINC000923822834 620384730 /nfs/dbraw/zinc/38/47/30/620384730.db2.gz YKEMQNKGWLEREY-UHFFFAOYSA-N 0 0 277.305 2.784 20 5 CFBDRN CC[C@@](C)(CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])OC ZINC000323020274 620397700 /nfs/dbraw/zinc/39/77/00/620397700.db2.gz JEEDMYUGSBEYPC-LBPRGKRZSA-N 0 0 283.284 2.730 20 5 CFBDRN CCCc1cc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])n[nH]1 ZINC000110850136 620400575 /nfs/dbraw/zinc/40/05/75/620400575.db2.gz FFQVYCKQJXKHEE-UHFFFAOYSA-N 0 0 292.270 2.662 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)c1[N+](=O)[O-] ZINC000889597216 620414312 /nfs/dbraw/zinc/41/43/12/620414312.db2.gz QEJHSBFUYGPQMB-IRUJWGPZSA-N 0 0 292.310 2.961 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(C2CSC2)n1 ZINC000923885858 620424629 /nfs/dbraw/zinc/42/46/29/620424629.db2.gz IEWSKHGLRDCADN-UHFFFAOYSA-N 0 0 277.305 2.784 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000923897409 620451443 /nfs/dbraw/zinc/45/14/43/620451443.db2.gz WDXSYEVFIYJEFZ-YPMHNXCESA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000899418969 620562490 /nfs/dbraw/zinc/56/24/90/620562490.db2.gz YPAKGBRQTGEGKO-WCUVEOEZSA-N 0 0 288.347 2.546 20 5 CFBDRN Cn1c(SCC[N+](=O)[O-])nnc1-c1ccc(Cl)cc1 ZINC000899861295 620606624 /nfs/dbraw/zinc/60/66/24/620606624.db2.gz RGCBAFXIVOZRCK-UHFFFAOYSA-N 0 0 298.755 2.504 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000899935800 620615831 /nfs/dbraw/zinc/61/58/31/620615831.db2.gz MYGZCSLBLBEDRJ-UHFFFAOYSA-N 0 0 278.308 2.847 20 5 CFBDRN CC(C)c1ccccc1Cn1cccc([N+](=O)[O-])c1=O ZINC000901480649 620827329 /nfs/dbraw/zinc/82/73/29/620827329.db2.gz SRRCPUJMGLEUTO-UHFFFAOYSA-N 0 0 272.304 2.928 20 5 CFBDRN Cc1cn(C)nc1COc1cccc([N+](=O)[O-])c1C ZINC000901473088 620833274 /nfs/dbraw/zinc/83/32/74/620833274.db2.gz OPDQKKASIMDFCV-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CCCC3(CCC3)O2)cc1[N+](=O)[O-] ZINC000901509395 620840712 /nfs/dbraw/zinc/84/07/12/620840712.db2.gz OKYQKKIPELEYLT-LBPRGKRZSA-N 0 0 292.335 2.557 20 5 CFBDRN CCOc1cc(OC/C=C(\C)COC)ccc1[N+](=O)[O-] ZINC000901535627 620849161 /nfs/dbraw/zinc/84/91/61/620849161.db2.gz IRSNQCXSGPWWRH-YRNVUSSQSA-N 0 0 281.308 2.965 20 5 CFBDRN CCOc1cc(OCc2nn(C)cc2C)ccc1[N+](=O)[O-] ZINC000901536222 620849174 /nfs/dbraw/zinc/84/91/74/620849174.db2.gz LJNRLHHHJNJIOZ-UHFFFAOYSA-N 0 0 291.307 2.614 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)C=CCC1 ZINC000901518398 620854039 /nfs/dbraw/zinc/85/40/39/620854039.db2.gz ZLOAFVQMTOUDFG-CQSZACIVSA-N 0 0 276.292 2.898 20 5 CFBDRN CC[N@H+](CCC(=O)[O-])Cc1c(C)ccc([N+](=O)[O-])c1C ZINC000901602435 620871717 /nfs/dbraw/zinc/87/17/17/620871717.db2.gz SDYPBMUIMAZWRG-UHFFFAOYSA-N 0 0 280.324 2.508 20 5 CFBDRN CC(C)(CCC(=O)[O-])[NH2+]C/C=C/c1ccccc1[N+](=O)[O-] ZINC000901661912 620885321 /nfs/dbraw/zinc/88/53/21/620885321.db2.gz OILCQOVAPYDDHL-FNORWQNLSA-N 0 0 292.335 2.841 20 5 CFBDRN CN(C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000901713839 620904804 /nfs/dbraw/zinc/90/48/04/620904804.db2.gz FKYSINZJSVYZLU-RJTWHSRJSA-N 0 0 286.331 2.850 20 5 CFBDRN CC1(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)CC=CC1 ZINC000901779068 620913348 /nfs/dbraw/zinc/91/33/48/620913348.db2.gz GLRDQRKPMYEKOR-UHFFFAOYSA-N 0 0 272.304 2.840 20 5 CFBDRN CCc1onc(C)c1CSCCn1cc([N+](=O)[O-])cn1 ZINC000901823087 620923728 /nfs/dbraw/zinc/92/37/28/620923728.db2.gz YYAXDLNZXJUXTG-UHFFFAOYSA-N 0 0 296.352 2.584 20 5 CFBDRN Cc1ccc(CSCCO[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000901832994 620925022 /nfs/dbraw/zinc/92/50/22/620925022.db2.gz LXPHEFMCZCLQLC-ZDUSSCGKSA-N 0 0 297.376 2.942 20 5 CFBDRN CCc1noc(-c2cc([N+](=O)[O-])ccc2SC)n1 ZINC000901909981 620938394 /nfs/dbraw/zinc/93/83/94/620938394.db2.gz XQZOKFILDSWZDG-UHFFFAOYSA-N 0 0 265.294 2.929 20 5 CFBDRN CC(=O)NCCCCCNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000901927071 620943557 /nfs/dbraw/zinc/94/35/57/620943557.db2.gz HHHKOYWXVCVNJB-UHFFFAOYSA-N 0 0 299.758 2.967 20 5 CFBDRN COc1cc(CNc2c(C)ccc([N+](=O)[O-])c2C)on1 ZINC000902007693 620956290 /nfs/dbraw/zinc/95/62/90/620956290.db2.gz ODORMAKLDLJNJW-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN C[C@@H]1C[C@@H]([NH2+]Cc2ccc([N+](=O)[O-])cc2)CC[C@H]1C(=O)[O-] ZINC000902101633 620973767 /nfs/dbraw/zinc/97/37/67/620973767.db2.gz RYKPVQRXSSKYRE-SCDSUCTJSA-N 0 0 292.335 2.574 20 5 CFBDRN C[C@@H]1[C@@H](CC(=O)[O-])CC[C@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000902101838 620973884 /nfs/dbraw/zinc/97/38/84/620973884.db2.gz WBOYSBXFNZNQLS-MPKXVKKWSA-N 0 0 292.335 2.574 20 5 CFBDRN O=C([O-])CC1CCC([NH2+]Cc2ccccc2[N+](=O)[O-])CC1 ZINC000902094366 620977452 /nfs/dbraw/zinc/97/74/52/620977452.db2.gz BJEYRRZQHDDUSL-UHFFFAOYSA-N 0 0 292.335 2.718 20 5 CFBDRN C[C@H](CC(C)(C)CC(=O)[O-])[NH2+]Cc1ccccc1[N+](=O)[O-] ZINC000902096643 620978131 /nfs/dbraw/zinc/97/81/31/620978131.db2.gz VIXRXJLMTKWSLH-LLVKDONJSA-N 0 0 294.351 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2(Br)CC2)cc1 ZINC000902118145 620981952 /nfs/dbraw/zinc/98/19/52/620981952.db2.gz ZZOUEHJVOIYEDH-UHFFFAOYSA-N 0 0 272.098 2.901 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNc1ccnc(C)n1 ZINC000902124790 620983716 /nfs/dbraw/zinc/98/37/16/620983716.db2.gz LKHPUAGZOWTKKM-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1nn(C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)c(C)c1[N+](=O)[O-] ZINC000902128529 620984389 /nfs/dbraw/zinc/98/43/89/620984389.db2.gz HCYZZKBNRLDMEY-ILWJIGKKSA-N 0 0 271.267 2.699 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNc1cnc(C)nc1 ZINC000902206232 620998059 /nfs/dbraw/zinc/99/80/59/620998059.db2.gz JYQVGWAMGDLGJU-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O ZINC000902230850 621003074 /nfs/dbraw/zinc/00/30/74/621003074.db2.gz JJEGQMUDCPHENN-YPMHNXCESA-N 0 0 299.330 2.865 20 5 CFBDRN Cc1cc(CSCc2cccnc2[N+](=O)[O-])no1 ZINC000902286290 621015314 /nfs/dbraw/zinc/01/53/14/621015314.db2.gz MESJNDFUKBUOFB-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN Cc1noc([C@H](C)SCc2cccnc2[N+](=O)[O-])n1 ZINC000902296331 621024746 /nfs/dbraw/zinc/02/47/46/621024746.db2.gz IONIFGMZVNQQRF-ZETCQYMHSA-N 0 0 280.309 2.676 20 5 CFBDRN Cc1ccc(OC[C@@H]2C[C@]23CCOC3)cc1[N+](=O)[O-] ZINC000902309383 621028904 /nfs/dbraw/zinc/02/89/04/621028904.db2.gz MEEWWJXGZIKYKP-FZMZJTMJSA-N 0 0 263.293 2.709 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSCc1noc(C2CC2)n1 ZINC000902339431 621031929 /nfs/dbraw/zinc/03/19/29/621031929.db2.gz WFVBHJAOENSFMJ-UHFFFAOYSA-N 0 0 292.320 2.684 20 5 CFBDRN Cn1cc(CSCc2cc(C3CC3)no2)c([N+](=O)[O-])n1 ZINC000902422472 621074139 /nfs/dbraw/zinc/07/41/39/621074139.db2.gz MMTALWGYPMYZRK-UHFFFAOYSA-N 0 0 294.336 2.627 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCc1nncs1 ZINC000902439222 621080543 /nfs/dbraw/zinc/08/05/43/621080543.db2.gz WZWDURIGLTVWSU-UHFFFAOYSA-N 0 0 297.361 2.888 20 5 CFBDRN C[C@H]([NH2+]CCC(C)(C)C(=O)[O-])c1ccc([N+](=O)[O-])cc1 ZINC000902561573 621122422 /nfs/dbraw/zinc/12/24/22/621122422.db2.gz FVLFBRMEYPCHTM-JTQLQIEISA-N 0 0 280.324 2.746 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)n1cc2c(n1)C(=O)CCC2 ZINC000902549262 621125303 /nfs/dbraw/zinc/12/53/03/621125303.db2.gz JPIUUUSRUCPHCA-SNVBAGLBSA-N 0 0 285.303 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCCC1(O)CCC1 ZINC000902697243 621149085 /nfs/dbraw/zinc/14/90/85/621149085.db2.gz VIHHWIOPEURMBT-UHFFFAOYSA-N 0 0 273.235 2.557 20 5 CFBDRN CC(C)OCCOc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902697448 621149660 /nfs/dbraw/zinc/14/96/60/621149660.db2.gz ZMDDQIGUOLRDEW-UHFFFAOYSA-N 0 0 261.224 2.677 20 5 CFBDRN COC/C(C)=C/Cn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000902750767 621164770 /nfs/dbraw/zinc/16/47/70/621164770.db2.gz JHFBKXMBKKWNNY-IZZDOVSWSA-N 0 0 288.303 2.502 20 5 CFBDRN CC(C)N1CCC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000902978781 621216737 /nfs/dbraw/zinc/21/67/37/621216737.db2.gz DPJJHSOTMJEJBH-ZDUSSCGKSA-N 0 0 295.314 2.545 20 5 CFBDRN C[C@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000071208307 625479115 /nfs/dbraw/zinc/47/91/15/625479115.db2.gz HUGXIBLCSIKUBV-JGVFFNPUSA-N 0 0 262.269 2.732 20 5 CFBDRN CCO[C@@H]1[C@@H](C)[C@@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000903270740 621308379 /nfs/dbraw/zinc/30/83/79/621308379.db2.gz DZGZXZBVDSYPBS-KPXOXKRLSA-N 0 0 289.291 2.783 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000903270739 621308440 /nfs/dbraw/zinc/30/84/40/621308440.db2.gz DZGZXZBVDSYPBS-JFUSQASVSA-N 0 0 289.291 2.783 20 5 CFBDRN Cc1noc(CCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000903319314 621321592 /nfs/dbraw/zinc/32/15/92/621321592.db2.gz XRDIPDQOWMWQHT-UHFFFAOYSA-N 0 0 267.672 2.725 20 5 CFBDRN C[C@H](c1nc(-c2ccc([N+](=O)[O-])s2)no1)[C@H]1CCCO1 ZINC000903669482 621413562 /nfs/dbraw/zinc/41/35/62/621413562.db2.gz DJKWDZRMMWMXQH-JGVFFNPUSA-N 0 0 295.320 2.989 20 5 CFBDRN CCCCN(O)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000904163010 621533835 /nfs/dbraw/zinc/53/38/35/621533835.db2.gz KXKAAQKWZQEQIK-SNVBAGLBSA-N 0 0 281.312 2.857 20 5 CFBDRN O=C(NCC[C@@H]1C[C@H]1C1CC1)c1cc([N+](=O)[O-])ccc1F ZINC000927407709 621544631 /nfs/dbraw/zinc/54/46/31/621544631.db2.gz XTHMCNGSNVNSDX-PWSUYJOCSA-N 0 0 292.310 2.900 20 5 CFBDRN CC1=NO[C@](C)(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000904286797 621567685 /nfs/dbraw/zinc/56/76/85/621567685.db2.gz QYFKDYWHQODEGP-AWEZNQCLSA-N 0 0 292.291 2.501 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1cccc(CO)c1 ZINC000071354607 625504363 /nfs/dbraw/zinc/50/43/63/625504363.db2.gz JFCKAYTWLZOEHI-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN CN(C(=O)C[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])nc1 ZINC000905028120 621689171 /nfs/dbraw/zinc/68/91/71/621689171.db2.gz XCHJSJQVXVZVDV-LLVKDONJSA-N 0 0 275.308 2.699 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC000905186372 621713202 /nfs/dbraw/zinc/71/32/02/621713202.db2.gz AJDBAUNZJHKBBG-CABZTGNLSA-N 0 0 296.298 2.796 20 5 CFBDRN CC(C)C1(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)COC1 ZINC000905226849 621720000 /nfs/dbraw/zinc/72/00/00/621720000.db2.gz AGVVPUZCMSEGCE-UHFFFAOYSA-N 0 0 298.726 2.859 20 5 CFBDRN O=[N+]([O-])CCNc1ccc(NCC2CCCC2)cc1 ZINC000905312037 621739027 /nfs/dbraw/zinc/73/90/27/621739027.db2.gz FRXXMRCMBPECIU-UHFFFAOYSA-N 0 0 263.341 2.977 20 5 CFBDRN O=[N+]([O-])CCNc1cccc(OC2CCCC2)c1 ZINC000905302603 621745811 /nfs/dbraw/zinc/74/58/11/621745811.db2.gz WBHPCXPKXKJNBY-UHFFFAOYSA-N 0 0 250.298 2.697 20 5 CFBDRN O=[N+]([O-])CCNc1ccc(F)cc1OCCC(F)(F)F ZINC000905337187 621750947 /nfs/dbraw/zinc/75/09/47/621750947.db2.gz RJGZNMSQHGVSHC-UHFFFAOYSA-N 0 0 296.220 2.846 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC1(C)C ZINC000840502839 621773042 /nfs/dbraw/zinc/77/30/42/621773042.db2.gz DKCSNUAVZCMTHZ-FROQITRMSA-N 0 0 274.320 2.913 20 5 CFBDRN CCC[C@@H]([NH2+]Cc1c(C)ccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000905787856 621872281 /nfs/dbraw/zinc/87/22/81/621872281.db2.gz UFQAFIMZVOMXHF-GFCCVEGCSA-N 0 0 280.324 2.555 20 5 CFBDRN Cc1nnc([C@@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000905852323 621889030 /nfs/dbraw/zinc/88/90/30/621889030.db2.gz IDBWEALNPNPWML-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN Cc1ncc(-c2ccc([C@@H]3CCC(=O)N3)cc2)cc1[N+](=O)[O-] ZINC000906208322 621953037 /nfs/dbraw/zinc/95/30/37/621953037.db2.gz ZGGUECDMNUFTBF-AWEZNQCLSA-N 0 0 297.314 2.916 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC(C)(C)/C=C\Cl ZINC000906288218 621969668 /nfs/dbraw/zinc/96/96/68/621969668.db2.gz AAHCTXBCHDNTQJ-SREVYHEPSA-N 0 0 298.726 2.864 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000907300396 622110323 /nfs/dbraw/zinc/11/03/23/622110323.db2.gz OSDBACZAAOBUFK-UHFFFAOYSA-N 0 0 294.307 2.547 20 5 CFBDRN C[C@@H](CNC(=O)OCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000907455928 622130180 /nfs/dbraw/zinc/13/01/80/622130180.db2.gz HGMTZZXORWXVAM-JTQLQIEISA-N 0 0 278.308 2.835 20 5 CFBDRN C/C(Cl)=C\C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000907694919 622153635 /nfs/dbraw/zinc/15/36/35/622153635.db2.gz IUBKUTQSFATJCZ-SOFGYWHQSA-N 0 0 268.700 2.662 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000907696533 622153637 /nfs/dbraw/zinc/15/36/37/622153637.db2.gz XEJQUSSSBBCTNP-UHFFFAOYSA-N 0 0 292.335 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H]2C(F)F)c1C ZINC000908507602 622240398 /nfs/dbraw/zinc/24/03/98/622240398.db2.gz YGQOLDSQTJWAHD-JTQLQIEISA-N 0 0 284.262 2.691 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H]2C2CC2)c1C ZINC000908558382 622244914 /nfs/dbraw/zinc/24/49/14/622244914.db2.gz XUYSSFBJHKLGIC-GFCCVEGCSA-N 0 0 274.320 2.836 20 5 CFBDRN CCC[C@H](O)C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000909875419 622363527 /nfs/dbraw/zinc/36/35/27/622363527.db2.gz FTBGZRJJRWZUMP-GWCFXTLKSA-N 0 0 281.308 2.668 20 5 CFBDRN CC(C)OCCOC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027385 622388079 /nfs/dbraw/zinc/38/80/79/622388079.db2.gz KKWPHAKLSUYSQC-KBPBESRZSA-N 0 0 293.319 2.667 20 5 CFBDRN O=C(OCCCF)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027369 622388105 /nfs/dbraw/zinc/38/81/05/622388105.db2.gz KHISTKSSESQXIV-NEPJUHHUSA-N 0 0 267.256 2.601 20 5 CFBDRN C/C=C/COC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026948 622388127 /nfs/dbraw/zinc/38/81/27/622388127.db2.gz GRQZKEGAOILXOT-OUDYMMNNSA-N 0 0 261.277 2.818 20 5 CFBDRN COCCCCOC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026412 622388167 /nfs/dbraw/zinc/38/81/67/622388167.db2.gz CMRIUARSMPTTTK-ZIAGYGMSSA-N 0 0 293.319 2.668 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1CC12CC2 ZINC000911297261 622516890 /nfs/dbraw/zinc/51/68/90/622516890.db2.gz YQRAFPNETCNXES-LBPRGKRZSA-N 0 0 294.738 2.850 20 5 CFBDRN C[C@@H](C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F)C1CC1 ZINC000911476692 622522205 /nfs/dbraw/zinc/52/22/05/622522205.db2.gz SJWYVKSNYCOZME-SSDOTTSWSA-N 0 0 284.262 2.535 20 5 CFBDRN C/C=C(\CC)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911474594 622522285 /nfs/dbraw/zinc/52/22/85/622522285.db2.gz MBYMKLJQNPIJJX-FPYGCLRLSA-N 0 0 284.262 2.846 20 5 CFBDRN CCC(CC)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911478693 622524505 /nfs/dbraw/zinc/52/45/05/622524505.db2.gz QZRDWQITHPDRDP-UHFFFAOYSA-N 0 0 286.278 2.925 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OC[C@@H]1CC=CCC1 ZINC000071878274 625574204 /nfs/dbraw/zinc/57/42/04/625574204.db2.gz GDUWRIFWOAPMNC-GFCCVEGCSA-N 0 0 291.303 2.873 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000911719093 622539501 /nfs/dbraw/zinc/53/95/01/622539501.db2.gz FCTYFBFOECSTJP-UHFFFAOYSA-N 0 0 284.363 2.683 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)C[Si](C)(C)C)c1 ZINC000911729238 622543867 /nfs/dbraw/zinc/54/38/67/622543867.db2.gz ADJPJUYDPMKDOD-UHFFFAOYSA-N 0 0 296.399 2.553 20 5 CFBDRN Cc1cccnc1/C=C\C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819888596 622566750 /nfs/dbraw/zinc/56/67/50/622566750.db2.gz YKURSRWKXWJDKZ-SREVYHEPSA-N 0 0 298.302 2.532 20 5 CFBDRN Nc1ccc(NC(=O)c2cc(F)ccc2F)cc1[N+](=O)[O-] ZINC000819899898 622568211 /nfs/dbraw/zinc/56/82/11/622568211.db2.gz WCDNJYUOELCPJT-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CCS[C@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)C ZINC000819899418 622568440 /nfs/dbraw/zinc/56/84/40/622568440.db2.gz NEQDMENAORXART-LBPRGKRZSA-N 0 0 297.380 2.893 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000820001952 622594804 /nfs/dbraw/zinc/59/48/04/622594804.db2.gz GSLJESICBCRLAC-YABSGUDNSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCC1CC1 ZINC000820341406 622652514 /nfs/dbraw/zinc/65/25/14/622652514.db2.gz QLKDJPYIBORAMM-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN Cc1ccc(OC(=O)/C=C/[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000820382521 622659932 /nfs/dbraw/zinc/65/99/32/622659932.db2.gz ZUCIXTSOXIKUPF-XUIVZRPNSA-N 0 0 277.276 2.544 20 5 CFBDRN Cc1ccc(OC(=O)/C=C\[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000820382522 622660199 /nfs/dbraw/zinc/66/01/99/622660199.db2.gz ZUCIXTSOXIKUPF-ZADCQDASSA-N 0 0 277.276 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OCCC2OCCO2)c(Cl)c1 ZINC000912358980 622693448 /nfs/dbraw/zinc/69/34/48/622693448.db2.gz LWJBKXQIFNABEG-UHFFFAOYSA-N 0 0 291.662 2.529 20 5 CFBDRN CCC(=O)COc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912358936 622693663 /nfs/dbraw/zinc/69/36/63/622693663.db2.gz KBHNDZTVUIGYQN-UHFFFAOYSA-N 0 0 261.636 2.745 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1Nc1cn[nH]c1 ZINC000820619777 622717585 /nfs/dbraw/zinc/71/75/85/622717585.db2.gz ZEFLVEBXLXTXJJ-UHFFFAOYSA-N 0 0 283.085 2.824 20 5 CFBDRN COc1cc(N2CC[C@H](C)C2)c([N+](=O)[O-])cc1C ZINC000820676322 622735261 /nfs/dbraw/zinc/73/52/61/622735261.db2.gz PTIATHAZZFYZFO-VIFPVBQESA-N 0 0 250.298 2.758 20 5 CFBDRN CCC1(CC(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)COC1 ZINC000820883275 622780553 /nfs/dbraw/zinc/78/05/53/622780553.db2.gz LOWSAOMDCPTJSF-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ncoc1-c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000820897179 622785894 /nfs/dbraw/zinc/78/58/94/622785894.db2.gz GLFAQHWYHRUHFB-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)n1cccn1 ZINC000912993245 622819585 /nfs/dbraw/zinc/81/95/85/622819585.db2.gz OUTQWODRYDOLNB-QMMMGPOBSA-N 0 0 294.698 2.644 20 5 CFBDRN Cc1nocc1COc1cc(F)ccc1[N+](=O)[O-] ZINC000821057956 622835114 /nfs/dbraw/zinc/83/51/14/622835114.db2.gz QPSKMKAKJKUJDE-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1C1CC1 ZINC000821149791 622855457 /nfs/dbraw/zinc/85/54/57/622855457.db2.gz OXAODUPLLLOSBU-GFCCVEGCSA-N 0 0 292.360 2.941 20 5 CFBDRN O=C(/C=C/c1ccco1)OCCc1ccccc1[N+](=O)[O-] ZINC000151199197 622860363 /nfs/dbraw/zinc/86/03/63/622860363.db2.gz ADIBZVYDICYBGX-BQYQJAHWSA-N 0 0 287.271 2.987 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])c1cncc(F)c1 ZINC000151199445 622860895 /nfs/dbraw/zinc/86/08/95/622860895.db2.gz YHFNDARUWLZTHG-UHFFFAOYSA-N 0 0 290.250 2.528 20 5 CFBDRN C[C@H]1CCCN(C(=O)[C@@H]2CC2[N+](=O)[O-])c2ccccc21 ZINC000840418767 622864922 /nfs/dbraw/zinc/86/49/22/622864922.db2.gz POLIONGDKLSJCA-ZKYQVNSYSA-N 0 0 274.320 2.582 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCC(C)(C)C ZINC000913278831 622867267 /nfs/dbraw/zinc/86/72/67/622867267.db2.gz GZHHXSBPCLMCBG-UHFFFAOYSA-N 0 0 254.286 2.526 20 5 CFBDRN CC[C@H](Cc1ccccc1C)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000821201717 622867306 /nfs/dbraw/zinc/86/73/06/622867306.db2.gz GTBQSOTYPLQABT-CHWSQXEVSA-N 0 0 279.336 2.772 20 5 CFBDRN CC(C)ONC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000821213954 622871079 /nfs/dbraw/zinc/87/10/79/622871079.db2.gz NZUNKTCNXMDUQI-UHFFFAOYSA-N 0 0 286.715 2.637 20 5 CFBDRN O=C(Nc1cc(Cl)c(Cl)cc1F)[C@@H]1CC1[N+](=O)[O-] ZINC000840446099 622879304 /nfs/dbraw/zinc/87/93/04/622879304.db2.gz QRSXLNHNRQVQNN-ALFREKQPSA-N 0 0 293.081 2.736 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCc1cn2ccccc2n1 ZINC000072099948 625602379 /nfs/dbraw/zinc/60/23/79/625602379.db2.gz OETDANXPLLMKGZ-UHFFFAOYSA-N 0 0 297.270 2.634 20 5 CFBDRN CC/C=C/CNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000821308097 622890011 /nfs/dbraw/zinc/89/00/11/622890011.db2.gz KFXGDCJEZTXZCD-ONEGZZNKSA-N 0 0 280.299 2.749 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913384692 622891596 /nfs/dbraw/zinc/89/15/96/622891596.db2.gz BVPISRHAASYGKQ-HTRCEHHLSA-N 0 0 286.690 2.660 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)[C@@H]1CCSC1 ZINC000821329889 622895368 /nfs/dbraw/zinc/89/53/68/622895368.db2.gz OGZNZEMZYMHQFU-LLVKDONJSA-N 0 0 294.376 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC(=O)C(C)(C)C ZINC000821389123 622911638 /nfs/dbraw/zinc/91/16/38/622911638.db2.gz CATRGPQZDUFQCD-UHFFFAOYSA-N 0 0 292.335 2.557 20 5 CFBDRN CCC(F)(F)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000821464053 622928475 /nfs/dbraw/zinc/92/84/75/622928475.db2.gz AOBFOXSKQIHWOZ-UHFFFAOYSA-N 0 0 272.251 2.599 20 5 CFBDRN CS[C@H](C)CC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000156404954 622939054 /nfs/dbraw/zinc/93/90/54/622939054.db2.gz UWILDXUVGZQGBR-SECBINFHSA-N 0 0 269.322 2.950 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000164123312 622940296 /nfs/dbraw/zinc/94/02/96/622940296.db2.gz ZCVQZCBHUYJGNE-MRVPVSSYSA-N 0 0 286.328 2.605 20 5 CFBDRN CONC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 ZINC000045013824 622957310 /nfs/dbraw/zinc/95/73/10/622957310.db2.gz GFUOOJMRKMRQRL-UHFFFAOYSA-N 0 0 288.259 2.678 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NCCC[C@H]1C=CCC1 ZINC000834326714 625610235 /nfs/dbraw/zinc/61/02/35/625610235.db2.gz IUQQEKVQYGUUMF-NSHDSACASA-N 0 0 289.335 2.653 20 5 CFBDRN CC[C@H](NC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1CC1 ZINC000323805310 622987232 /nfs/dbraw/zinc/98/72/32/622987232.db2.gz HPLMAYSNKZVODC-JTQLQIEISA-N 0 0 297.742 2.749 20 5 CFBDRN CO[C@H](C)CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000224337650 623002001 /nfs/dbraw/zinc/00/20/01/623002001.db2.gz JAIVQOABZZGYHW-SSDOTTSWSA-N 0 0 260.240 2.979 20 5 CFBDRN O=C(Nc1ccc(F)nc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000224613705 623007557 /nfs/dbraw/zinc/00/75/57/623007557.db2.gz WAWZMXQVZSZAIT-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN Cn1ccc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1 ZINC000081378921 625613459 /nfs/dbraw/zinc/61/34/59/625613459.db2.gz GNLMFEBYXNZRFL-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN C[C@@]1(COC(=O)CSc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000822077464 623028729 /nfs/dbraw/zinc/02/87/29/623028729.db2.gz GCUBZYKJQMRRQI-AAEUAGOBSA-N 0 0 299.323 2.978 20 5 CFBDRN CNc1ccc(C(=O)OC[C@@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000822079646 623030857 /nfs/dbraw/zinc/03/08/57/623030857.db2.gz QTPQPIDMRZLSPF-WCQYABFASA-N 0 0 282.271 2.541 20 5 CFBDRN Cc1cccc(C(=O)OC[C@]2(C)C[C@@H]2F)c1[N+](=O)[O-] ZINC000822092494 623034110 /nfs/dbraw/zinc/03/41/10/623034110.db2.gz WCHBYSZKKLYLLL-GWCFXTLKSA-N 0 0 267.256 2.808 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@]1(C)C[C@H]1F ZINC000822099815 623036038 /nfs/dbraw/zinc/03/60/38/623036038.db2.gz PEYIHHLLYIEXLF-YPMHNXCESA-N 0 0 267.256 2.808 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@]2(C)C[C@@H]2F)c1 ZINC000822117536 623040122 /nfs/dbraw/zinc/04/01/22/623040122.db2.gz ARNISSJFRBMLDM-WCQYABFASA-N 0 0 267.256 2.808 20 5 CFBDRN Cc1c(CC(=O)OC[C@]2(C)C[C@H]2F)cccc1[N+](=O)[O-] ZINC000822141792 623048773 /nfs/dbraw/zinc/04/87/73/623048773.db2.gz YVEKJLOVKHFUGY-OCCSQVGLSA-N 0 0 281.283 2.737 20 5 CFBDRN CC(C)(CCC(=O)NCc1ccccc1Cl)[N+](=O)[O-] ZINC000822164429 623053708 /nfs/dbraw/zinc/05/37/08/623053708.db2.gz XXDNJNHWZWLQNT-UHFFFAOYSA-N 0 0 284.743 2.792 20 5 CFBDRN CCOc1cc(NC[C@@H](O)CC(C)C)ccc1[N+](=O)[O-] ZINC000226570122 623082658 /nfs/dbraw/zinc/08/26/58/623082658.db2.gz RQIODFZHHWTKMM-LBPRGKRZSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000822396160 623095273 /nfs/dbraw/zinc/09/52/73/623095273.db2.gz IVBOBBHOODEYRU-SNVBAGLBSA-N 0 0 261.281 2.743 20 5 CFBDRN O=C(NCCC(F)(F)F)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000168631475 623098794 /nfs/dbraw/zinc/09/87/94/623098794.db2.gz QFZVNWNRFMEDFZ-UHFFFAOYSA-N 0 0 296.632 2.930 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822490937 623114811 /nfs/dbraw/zinc/11/48/11/623114811.db2.gz JPALSZLSXTWUIP-VXGBXAGGSA-N 0 0 270.373 2.907 20 5 CFBDRN Cn1cc(OCc2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000227961721 623124514 /nfs/dbraw/zinc/12/45/14/623124514.db2.gz PVJXRAIZOOEFKL-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCC[C@H]1C=CCC1 ZINC000834343148 625625198 /nfs/dbraw/zinc/62/51/98/625625198.db2.gz YWRAUGPPZOCPKB-ZDUSSCGKSA-N 0 0 288.347 3.000 20 5 CFBDRN CCN(CC)C(=O)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000228840666 623164674 /nfs/dbraw/zinc/16/46/74/623164674.db2.gz LVVMNBQUEVYGTG-UHFFFAOYSA-N 0 0 285.731 2.529 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1OC ZINC000822849565 623188179 /nfs/dbraw/zinc/18/81/79/623188179.db2.gz BQZLWMBAKXFMNC-UHFFFAOYSA-N 0 0 294.351 2.884 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C1CC2(CC2(F)F)C1 ZINC000822856151 623189804 /nfs/dbraw/zinc/18/98/04/623189804.db2.gz JVORYZDYQHHHQB-UHFFFAOYSA-N 0 0 296.273 2.646 20 5 CFBDRN Cc1cnc(CN[C@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000061319963 623201888 /nfs/dbraw/zinc/20/18/88/623201888.db2.gz XRPRQEGUCPGVDU-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2C)o1 ZINC000171329918 623217147 /nfs/dbraw/zinc/21/71/47/623217147.db2.gz YDEZNWXVSHRAIT-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN CCOC1CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC1 ZINC000061569829 623220455 /nfs/dbraw/zinc/22/04/55/623220455.db2.gz PSDMNMHSQNWVHT-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@@H]1C1CCC1)[N+](=O)[O-] ZINC000823070349 623227902 /nfs/dbraw/zinc/22/79/02/623227902.db2.gz WBNRKFQWJRBEHU-GFCCVEGCSA-N 0 0 268.357 2.613 20 5 CFBDRN O=C(/C=C/[C@@H]1CCCO1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000823300427 623288503 /nfs/dbraw/zinc/28/85/03/623288503.db2.gz UECQKSYSRRSIKW-RWCYGVJQSA-N 0 0 296.710 2.922 20 5 CFBDRN CC/C=C\CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000823316476 623291078 /nfs/dbraw/zinc/29/10/78/623291078.db2.gz YSSCSLXLBCILMY-WAYWQWQTSA-N 0 0 262.309 2.908 20 5 CFBDRN O=C(CCc1ccccn1)OCc1cccc([N+](=O)[O-])c1 ZINC000062883716 623300588 /nfs/dbraw/zinc/30/05/88/623300588.db2.gz ZLPNSKLLJCYDMW-UHFFFAOYSA-N 0 0 286.287 2.666 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])nc2)C1 ZINC000230918250 623310245 /nfs/dbraw/zinc/31/02/45/623310245.db2.gz WCHFXLRDVPESMD-WCBMZHEXSA-N 0 0 253.327 2.686 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H](O)c2ccsc2)c1 ZINC000230907798 623311398 /nfs/dbraw/zinc/31/13/98/623311398.db2.gz AAHJWTYOFZMNEG-ZDUSSCGKSA-N 0 0 294.332 2.810 20 5 CFBDRN CC1CCC(CNC(=O)c2cc([N+](=O)[O-])ccc2N)CC1 ZINC000063151827 623327490 /nfs/dbraw/zinc/32/74/90/623327490.db2.gz CKJCUZVSFKEJEY-UHFFFAOYSA-N 0 0 291.351 2.733 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])Cn1cc[nH]c1=S ZINC000173304652 623342021 /nfs/dbraw/zinc/34/20/21/623342021.db2.gz GOHGLQYHFLNPKE-UHFFFAOYSA-N 0 0 278.337 2.543 20 5 CFBDRN O=C(NOCc1ccccc1)c1cc(F)ccc1[N+](=O)[O-] ZINC000063537977 623360013 /nfs/dbraw/zinc/36/00/13/623360013.db2.gz RTVCVXJDDQMJKU-UHFFFAOYSA-N 0 0 290.250 2.596 20 5 CFBDRN C[C@H](CO)COc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC000231650583 623363499 /nfs/dbraw/zinc/36/34/99/623363499.db2.gz AHBFGYSBPNXNEZ-ZCFIWIBFSA-N 0 0 280.107 2.909 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000231754108 623366292 /nfs/dbraw/zinc/36/62/92/623366292.db2.gz LJLDEKYNMGJBAV-LURJTMIESA-N 0 0 294.204 2.805 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000231753976 623366587 /nfs/dbraw/zinc/36/65/87/623366587.db2.gz DYYLKTRDFDOOEV-LURJTMIESA-N 0 0 294.204 2.805 20 5 CFBDRN Cc1c(CNCc2ncc(Cl)n2C)cccc1[N+](=O)[O-] ZINC000231885240 623373147 /nfs/dbraw/zinc/37/31/47/623373147.db2.gz CDXYSIUVGPVRGJ-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN Cc1cnc(COC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)o1 ZINC000174251683 623403159 /nfs/dbraw/zinc/40/31/59/623403159.db2.gz MKLCBYIISPNBJA-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1cnc(COC(=O)c2c(C)cccc2[N+](=O)[O-])o1 ZINC000174333709 623409279 /nfs/dbraw/zinc/40/92/79/623409279.db2.gz LPADXWAPIPPPON-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CC(C)(C)OCCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000063976948 623412555 /nfs/dbraw/zinc/41/25/55/623412555.db2.gz ZTWCKAMIQRYBOU-UHFFFAOYSA-N 0 0 273.310 2.628 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/[C@H]1CCCO1 ZINC000823899285 623416904 /nfs/dbraw/zinc/41/69/04/623416904.db2.gz QPTLKZZUXRIKSG-SBDDDAINSA-N 0 0 290.319 2.831 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823913861 623418111 /nfs/dbraw/zinc/41/81/11/623418111.db2.gz GFVUVRLIAFHKOP-VIFPVBQESA-N 0 0 292.339 2.687 20 5 CFBDRN Nc1ccc(NC(=O)N2C[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000823921196 623419591 /nfs/dbraw/zinc/41/95/91/623419591.db2.gz QMCUBBCIRRBOSY-CABZTGNLSA-N 0 0 290.323 2.583 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823933753 623420425 /nfs/dbraw/zinc/42/04/25/623420425.db2.gz VLDWLYJLAYJMQT-ONGXEEELSA-N 0 0 292.339 2.591 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OCC1(O)CCCC1 ZINC000834376914 625646637 /nfs/dbraw/zinc/64/66/37/625646637.db2.gz ACZUNXDDOQKULD-UHFFFAOYSA-N 0 0 271.700 2.932 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823945200 623423707 /nfs/dbraw/zinc/42/37/07/623423707.db2.gz LIYFPXLXRJEZQK-VHSXEESVSA-N 0 0 292.339 2.735 20 5 CFBDRN CC(C)COCCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000232590241 623426755 /nfs/dbraw/zinc/42/67/55/623426755.db2.gz DPWVYPAKWIKLMD-UHFFFAOYSA-N 0 0 285.271 2.563 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)[C@H]1C ZINC000823974921 623428278 /nfs/dbraw/zinc/42/82/78/623428278.db2.gz WTRRAZRQIHSXGU-ZJUUUORDSA-N 0 0 292.339 2.829 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823985860 623429040 /nfs/dbraw/zinc/42/90/40/623429040.db2.gz DEMQILMHAWYWJN-GFCCVEGCSA-N 0 0 292.339 2.829 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823986823 623430089 /nfs/dbraw/zinc/43/00/89/623430089.db2.gz MPOGZRGEXSIIJQ-SECBINFHSA-N 0 0 280.328 2.591 20 5 CFBDRN O=C(NC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152718956 623447689 /nfs/dbraw/zinc/44/76/89/623447689.db2.gz OFKKDETYLLAMQE-SNVBAGLBSA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N[C@@H]1CC12CC2 ZINC000824160440 623462540 /nfs/dbraw/zinc/46/25/40/623462540.db2.gz MIJLDXYEQSIQPZ-SNVBAGLBSA-N 0 0 281.699 2.922 20 5 CFBDRN CCc1noc(COc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000311595730 623474083 /nfs/dbraw/zinc/47/40/83/623474083.db2.gz RUHJTSPREFSLGV-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN CC1(NC(=O)CCc2cc(F)ccc2[N+](=O)[O-])CC=CC1 ZINC000824253974 623478449 /nfs/dbraw/zinc/47/84/49/623478449.db2.gz JMSMDXUNWGQQFQ-UHFFFAOYSA-N 0 0 292.310 2.891 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H](O)c1ccsc1 ZINC000311843942 623482238 /nfs/dbraw/zinc/48/22/38/623482238.db2.gz NVYJDQWFLBZFQT-NSHDSACASA-N 0 0 282.296 2.941 20 5 CFBDRN Cc1nc(C)c(CNc2cccc(F)c2[N+](=O)[O-])o1 ZINC000312046346 623489705 /nfs/dbraw/zinc/48/97/05/623489705.db2.gz VFJBYQARWFCLRX-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C=CCC1 ZINC000824438794 623505460 /nfs/dbraw/zinc/50/54/60/623505460.db2.gz ZTVBAPUIONTHRA-LLVKDONJSA-N 0 0 260.293 2.660 20 5 CFBDRN CCn1ccc(NC(=O)c2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000824453418 623508285 /nfs/dbraw/zinc/50/82/85/623508285.db2.gz ZPWSVCZICCJEDC-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CC(F)(F)CC(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000758704602 623512388 /nfs/dbraw/zinc/51/23/88/623512388.db2.gz KKILWBLTIFETMM-UHFFFAOYSA-N 0 0 289.234 2.562 20 5 CFBDRN Cc1noc([C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000064164378 623515135 /nfs/dbraw/zinc/51/51/35/623515135.db2.gz FBKPHCKXAYQSMP-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H](F)C2)cc1Cl ZINC000824572502 623526588 /nfs/dbraw/zinc/52/65/88/623526588.db2.gz YAEYFVQTVIRSEG-SECBINFHSA-N 0 0 258.680 2.792 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000825344096 623677972 /nfs/dbraw/zinc/67/79/72/623677972.db2.gz ADGQQVXLDDTYPZ-XYPYZODXSA-N 0 0 294.376 2.835 20 5 CFBDRN Cc1sc(C(=O)OCCN2CCCCC2)cc1[N+](=O)[O-] ZINC000914083989 623689092 /nfs/dbraw/zinc/68/90/92/623689092.db2.gz JZZWVGRUKLOCPS-UHFFFAOYSA-N 0 0 298.364 2.607 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1cncc(F)c1 ZINC000152418712 623699311 /nfs/dbraw/zinc/69/93/11/623699311.db2.gz IBVASVSKOYGYAC-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccccn1 ZINC000825482752 623701836 /nfs/dbraw/zinc/70/18/36/623701836.db2.gz KLYXOXZNBRKWHI-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] ZINC000914155782 623715312 /nfs/dbraw/zinc/71/53/12/623715312.db2.gz JLWJZAUWZGACPC-LLVKDONJSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000825633863 623727697 /nfs/dbraw/zinc/72/76/97/623727697.db2.gz KORIVHPPMLSWPL-ITGUQSILSA-N 0 0 274.320 2.566 20 5 CFBDRN COCCC[C@@H](C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825648515 623730005 /nfs/dbraw/zinc/73/00/05/623730005.db2.gz TZISRXJDYGFCSZ-GFCCVEGCSA-N 0 0 294.351 2.582 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2CCCCS2)cc1[N+](=O)[O-] ZINC000825647515 623730534 /nfs/dbraw/zinc/73/05/34/623730534.db2.gz HGQOBIROFJUZGW-CYBMUJFWSA-N 0 0 294.376 2.805 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000825648665 623731399 /nfs/dbraw/zinc/73/13/99/623731399.db2.gz XCIHHVLDVMHZLT-NSHDSACASA-N 0 0 264.325 2.812 20 5 CFBDRN Cc1ccc(CNC(=O)CCc2ccoc2)cc1[N+](=O)[O-] ZINC000825648644 623731425 /nfs/dbraw/zinc/73/14/25/623731425.db2.gz WKCCEBQFPXWTHY-UHFFFAOYSA-N 0 0 288.303 2.745 20 5 CFBDRN O=C(NCC1=CCCC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000825661324 623733119 /nfs/dbraw/zinc/73/31/19/623733119.db2.gz MJGZMYORHCJPHL-CABCVRRESA-N 0 0 286.331 2.925 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H](O)c2c(F)cccc2F)c1 ZINC000152730417 623761073 /nfs/dbraw/zinc/76/10/73/623761073.db2.gz SBDUVSMBULXILY-ZDUSSCGKSA-N 0 0 295.241 2.985 20 5 CFBDRN COc1ccc(OC(=O)/C=C\c2ccco2)c([N+](=O)[O-])c1 ZINC000212713529 623792594 /nfs/dbraw/zinc/79/25/94/623792594.db2.gz GEYRJZFSVFLVFE-ALCCZGGFSA-N 0 0 289.243 2.815 20 5 CFBDRN CC(C(=O)N1CCc2c1cccc2[N+](=O)[O-])=C1CCC1 ZINC000826101456 623793969 /nfs/dbraw/zinc/79/39/69/623793969.db2.gz TVRGJVAQIVQVFW-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN CC(C)C1CCN(C(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000826112536 623794684 /nfs/dbraw/zinc/79/46/84/623794684.db2.gz DLVNOMUSXGHZKT-UHFFFAOYSA-N 0 0 270.373 2.717 20 5 CFBDRN O=C(NOCC1CCC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000826627364 623825627 /nfs/dbraw/zinc/82/56/27/623825627.db2.gz HDUJNSKWGFIKQS-KBPBESRZSA-N 0 0 290.319 2.546 20 5 CFBDRN C[C@H]1CC2(CN1c1ccc([N+](=O)[O-])cc1)CCOCC2 ZINC000826767688 623869921 /nfs/dbraw/zinc/86/99/21/623869921.db2.gz NRXDITSQMSKQEO-LBPRGKRZSA-N 0 0 276.336 2.990 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000826804116 623881416 /nfs/dbraw/zinc/88/14/16/623881416.db2.gz ZIBDDYSPWAUXID-JGVFFNPUSA-N 0 0 283.309 2.809 20 5 CFBDRN CN(Cn1cc([N+](=O)[O-])cn1)[C@H]1CCCc2ccccc21 ZINC000914566284 623899713 /nfs/dbraw/zinc/89/97/13/623899713.db2.gz UZWXFDDXOIOJEW-HNNXBMFYSA-N 0 0 286.335 2.758 20 5 CFBDRN Cc1ccc([C@@H]2CCCN2Cn2cc([N+](=O)[O-])cn2)cc1 ZINC000914566054 623900314 /nfs/dbraw/zinc/90/03/14/623900314.db2.gz PFVPMIMIBVKSNE-HNNXBMFYSA-N 0 0 286.335 2.894 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CCOC[C@@H]2C)c1 ZINC000763052337 623944382 /nfs/dbraw/zinc/94/43/82/623944382.db2.gz KJEJOYBESKDCMO-KWQFWETISA-N 0 0 284.287 2.579 20 5 CFBDRN CO[C@@]1(C)C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1(C)C ZINC000835239810 623954565 /nfs/dbraw/zinc/95/45/65/623954565.db2.gz LUTBRPHKZSVRNF-YGRLFVJLSA-N 0 0 287.356 2.569 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 ZINC000763548964 623958163 /nfs/dbraw/zinc/95/81/63/623958163.db2.gz RYNQJLZPCFYQPJ-SECBINFHSA-N 0 0 295.291 2.567 20 5 CFBDRN COc1cc(C(=O)OCC=C(C)C)c([N+](=O)[O-])cc1F ZINC000835271798 623958990 /nfs/dbraw/zinc/95/89/90/623958990.db2.gz VBJAFCIHSLCZQI-UHFFFAOYSA-N 0 0 283.255 2.866 20 5 CFBDRN Cc1nn(C[C@H]2CCC3(CCC3)CO2)c(C)c1[N+](=O)[O-] ZINC000915321322 624022650 /nfs/dbraw/zinc/02/26/50/624022650.db2.gz BJRIZEHUKDAHLR-GFCCVEGCSA-N 0 0 279.340 2.757 20 5 CFBDRN Cc1nn(C[C@H]2CC[C@H](C(F)(F)F)O2)c(C)c1[N+](=O)[O-] ZINC000915323211 624024002 /nfs/dbraw/zinc/02/40/02/624024002.db2.gz XZTIPHHVMVHGFU-RKDXNWHRSA-N 0 0 293.245 2.518 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)c1nccn1C ZINC000827722550 624077518 /nfs/dbraw/zinc/07/75/18/624077518.db2.gz MQOUVSVKDPDLQL-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN CC(C)n1ccnc1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000915604273 624083103 /nfs/dbraw/zinc/08/31/03/624083103.db2.gz XLKJUBNJWZGSTI-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN CC(C)CC(=O)OCOc1cc(F)ccc1[N+](=O)[O-] ZINC000915669238 624093899 /nfs/dbraw/zinc/09/38/99/624093899.db2.gz LBWHVAYWLJQELH-UHFFFAOYSA-N 0 0 271.244 2.660 20 5 CFBDRN COc1cc(NCC[C@H]2CCCO2)c([N+](=O)[O-])cc1OC ZINC000213826101 624108556 /nfs/dbraw/zinc/10/85/56/624108556.db2.gz SSBRGTWMBVTNIG-SNVBAGLBSA-N 0 0 296.323 2.593 20 5 CFBDRN CCn1cnnc1SCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000915793721 624109765 /nfs/dbraw/zinc/10/97/65/624109765.db2.gz GXWVNWSQQFQDIJ-UHFFFAOYSA-N 0 0 294.336 2.507 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@H]1CCC2(CCC2)CO1 ZINC000915871943 624136271 /nfs/dbraw/zinc/13/62/71/624136271.db2.gz ZIEZUINIGITSPW-LLVKDONJSA-N 0 0 278.308 2.718 20 5 CFBDRN CO[C@H](C)CCOC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000174819577 624140235 /nfs/dbraw/zinc/14/02/35/624140235.db2.gz NLBOANNDFRBLFV-SNVBAGLBSA-N 0 0 299.348 2.655 20 5 CFBDRN O=[N+]([O-])c1cccc2c1cc[nH]c2=NOC[C@H]1CCOC1 ZINC000828012435 624153477 /nfs/dbraw/zinc/15/34/77/624153477.db2.gz PARQXSPNMGRXQV-JTQLQIEISA-N 0 0 289.291 2.523 20 5 CFBDRN CCC[C@@H](O)CN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000828105418 624174539 /nfs/dbraw/zinc/17/45/39/624174539.db2.gz BZQXTENWVCDGEK-GFCCVEGCSA-N 0 0 286.759 2.841 20 5 CFBDRN CCc1onc(C)c1COc1ccc([N+](=O)[O-])cc1C=O ZINC000915930090 624190628 /nfs/dbraw/zinc/19/06/28/624190628.db2.gz RISNVRRVJBXHNW-UHFFFAOYSA-N 0 0 290.275 2.845 20 5 CFBDRN C[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]2CCCC[C@@H]21 ZINC000828194359 624198236 /nfs/dbraw/zinc/19/82/36/624198236.db2.gz JZHTWIXAVKRXDV-JHJVBQTASA-N 0 0 282.384 2.859 20 5 CFBDRN COC(=O)/C=C(\C)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000916079399 624219370 /nfs/dbraw/zinc/21/93/70/624219370.db2.gz GZQJNSUJVCTBTM-VMPITWQZSA-N 0 0 285.683 2.746 20 5 CFBDRN COC[C@@H](C)NC(=S)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000916112324 624223618 /nfs/dbraw/zinc/22/36/18/624223618.db2.gz UPRDBQQJONXSFV-SNVBAGLBSA-N 0 0 297.380 2.533 20 5 CFBDRN CC(C)(C)[C@H]1CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000828327514 624229267 /nfs/dbraw/zinc/22/92/67/624229267.db2.gz CRERTMYBWBDGCL-NSHDSACASA-N 0 0 270.373 2.717 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCn3ccnc32)c([N+](=O)[O-])c1 ZINC000828358111 624233024 /nfs/dbraw/zinc/23/30/24/624233024.db2.gz OMSRYEINUHIPPE-LBPRGKRZSA-N 0 0 286.291 2.551 20 5 CFBDRN O=C(Nc1ccc(F)nc1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000153938840 624236909 /nfs/dbraw/zinc/23/69/09/624236909.db2.gz BBZNTSSVJHJVEL-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](F)C2)c(OC(F)F)c1 ZINC000828449733 624248808 /nfs/dbraw/zinc/24/88/08/624248808.db2.gz XPKZFUCVPVVJSZ-SSDOTTSWSA-N 0 0 276.214 2.744 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])OC ZINC000828492996 624256498 /nfs/dbraw/zinc/25/64/98/624256498.db2.gz XXNKWIBBVKAWOE-JOYOIKCWSA-N 0 0 297.311 2.976 20 5 CFBDRN CC(C)=CCOC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000916610311 624264097 /nfs/dbraw/zinc/26/40/97/624264097.db2.gz KQKQMNQIGALRDR-UHFFFAOYSA-N 0 0 278.308 2.784 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000916616371 624264903 /nfs/dbraw/zinc/26/49/03/624264903.db2.gz ZAGUEMUZNFEGSM-GHMZBOCLSA-N 0 0 277.324 2.503 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)OC/C=C\Cl ZINC000916616540 624265215 /nfs/dbraw/zinc/26/52/15/624265215.db2.gz BCQZEYOVKAWKIO-UTCJRWHESA-N 0 0 284.699 2.570 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OC[C@H]1CCC2(COC2)O1 ZINC000916778361 624284642 /nfs/dbraw/zinc/28/46/42/624284642.db2.gz IKEBHVQKRQIXIZ-SNVBAGLBSA-N 0 0 299.710 2.575 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC[C@H](C2CC2)O1 ZINC000916843265 624287556 /nfs/dbraw/zinc/28/75/56/624287556.db2.gz UONJBIDRACLLTO-QWHCGFSZSA-N 0 0 293.319 2.940 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1F)C(C)C ZINC000828745166 624294931 /nfs/dbraw/zinc/29/49/31/624294931.db2.gz UIPIFCCEKAEAFK-LBPRGKRZSA-N 0 0 283.255 2.504 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@H]2CCC[C@@H]2O)c(F)c1 ZINC000828752420 624296010 /nfs/dbraw/zinc/29/60/10/624296010.db2.gz YHBSTERYXZOGTK-KYOSRNDESA-N 0 0 294.326 2.864 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000828767735 624297883 /nfs/dbraw/zinc/29/78/83/624297883.db2.gz XMFREQLHMRTPPB-LBPRGKRZSA-N 0 0 283.255 2.504 20 5 CFBDRN CC[C@](C)(CNc1cc(OC)cc(F)c1[N+](=O)[O-])OC ZINC000828779318 624300146 /nfs/dbraw/zinc/30/01/46/624300146.db2.gz ZRZDSAGWBZWVAR-CYBMUJFWSA-N 0 0 286.303 2.970 20 5 CFBDRN Cc1c(OC(=O)CSC(C)C)cccc1[N+](=O)[O-] ZINC000917048564 624303099 /nfs/dbraw/zinc/30/30/99/624303099.db2.gz NMMWHGTYWLABNY-UHFFFAOYSA-N 0 0 269.322 2.950 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000828807133 624304189 /nfs/dbraw/zinc/30/41/89/624304189.db2.gz JHOWGZXDEJNHDL-ZDUSSCGKSA-N 0 0 279.292 2.674 20 5 CFBDRN Cc1cnc(OC[C@@H]2CC[C@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000917150376 624320480 /nfs/dbraw/zinc/32/04/80/624320480.db2.gz WHTOGOSLNOJUOB-WCQYABFASA-N 0 0 278.308 2.635 20 5 CFBDRN CCC1(CC(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])COC1 ZINC000828902435 624325776 /nfs/dbraw/zinc/32/57/76/624325776.db2.gz PQWVDOPAKPJBLA-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C[C@H]1C ZINC000828903147 624325787 /nfs/dbraw/zinc/32/57/87/624325787.db2.gz FMMYXQGJISYUBO-BXUZGUMPSA-N 0 0 264.325 2.764 20 5 CFBDRN CCc1ncc(CSc2ccc([N+](=O)[O-])cn2)o1 ZINC000917346767 624333950 /nfs/dbraw/zinc/33/39/50/624333950.db2.gz FISYNLNCBPXHKU-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN CC1=NO[C@@H](CSCc2ccc([N+](=O)[O-])cc2)C1 ZINC000917351193 624334413 /nfs/dbraw/zinc/33/44/13/624334413.db2.gz TZPHKDIJBVGNEY-GFCCVEGCSA-N 0 0 266.322 2.993 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2Cc3ccccc32)nc2ccccn21 ZINC000917389673 624338911 /nfs/dbraw/zinc/33/89/11/624338911.db2.gz MOUXLCJNNKCDDC-GFCCVEGCSA-N 0 0 294.314 2.994 20 5 CFBDRN CC1=NO[C@H](CSc2ccc([N+](=O)[O-])cc2F)C1 ZINC000917478379 624345090 /nfs/dbraw/zinc/34/50/90/624345090.db2.gz XDCPZRWPKQTIMR-VIFPVBQESA-N 0 0 270.285 2.991 20 5 CFBDRN COC(=O)/C=C(/C)COc1cc(C)c([N+](=O)[O-])cc1F ZINC000917502032 624347007 /nfs/dbraw/zinc/34/70/07/624347007.db2.gz RAWWZODMSNEUSE-YWEYNIOJSA-N 0 0 283.255 2.540 20 5 CFBDRN CCCCCCNC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000917510280 624350003 /nfs/dbraw/zinc/35/00/03/624350003.db2.gz GGQVDJQGIATWOX-UHFFFAOYSA-N 0 0 294.307 2.634 20 5 CFBDRN C[C@@H](C1CC1)n1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])cn1 ZINC000828995383 624350790 /nfs/dbraw/zinc/35/07/90/624350790.db2.gz LLKUKZAEYYRIMR-JTQLQIEISA-N 0 0 294.355 2.628 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCCCCCC2)nc1 ZINC000829077937 624367709 /nfs/dbraw/zinc/36/77/09/624367709.db2.gz QMQRCGYZLAEPDL-UHFFFAOYSA-N 0 0 250.302 2.545 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)OCC(C)C ZINC000917602865 624368526 /nfs/dbraw/zinc/36/85/26/624368526.db2.gz GCVYHDHDRFCOGR-JTQLQIEISA-N 0 0 297.307 2.570 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c(C)n1 ZINC000917610129 624371613 /nfs/dbraw/zinc/37/16/13/624371613.db2.gz QQBJMNCKBVAZMU-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CCc1nc(CNc2cccc(F)c2[N+](=O)[O-])co1 ZINC000829177698 624392240 /nfs/dbraw/zinc/39/22/40/624392240.db2.gz GTBVYYQYXUZDDP-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2CC[C@@H](C3CC3)O2)c1 ZINC000917914215 624394628 /nfs/dbraw/zinc/39/46/28/624394628.db2.gz JBGMCSZMOMQFIS-JSGCOSHPSA-N 0 0 293.319 2.940 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@H](CF)C1 ZINC000829231500 624404829 /nfs/dbraw/zinc/40/48/29/624404829.db2.gz HTRUDOZSYIFLBB-LERXQTSPSA-N 0 0 292.310 2.516 20 5 CFBDRN Cc1cc(N2CC[C@@H](O)[C@H]2C)c(Cl)cc1[N+](=O)[O-] ZINC000829259062 624409718 /nfs/dbraw/zinc/40/97/18/624409718.db2.gz PEUXYHKPPOMMQQ-PRHODGIISA-N 0 0 270.716 2.516 20 5 CFBDRN COCSc1nc2cc3ccccc3cc2c(=O)n1C ZINC000918054226 624411651 /nfs/dbraw/zinc/41/16/51/624411651.db2.gz DWCDFYSETHZQDO-UHFFFAOYSA-N 0 0 286.356 2.783 20 5 CFBDRN Cc1cc(C)cc(OCCCn2ccnc2[N+](=O)[O-])c1 ZINC000918343447 624433083 /nfs/dbraw/zinc/43/30/83/624433083.db2.gz PQHVYRJDPRGQIU-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN CO[C@@H](Cn1ccnc1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000918377926 624433534 /nfs/dbraw/zinc/43/35/34/624433534.db2.gz NPPIHIQILSHULD-NSHDSACASA-N 0 0 281.699 2.832 20 5 CFBDRN CC(C)Oc1cccc(Cn2ccnc2[N+](=O)[O-])c1 ZINC000918359972 624433833 /nfs/dbraw/zinc/43/38/33/624433833.db2.gz OHFUZLBLLLGTFK-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN CCCCCOC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000918493792 624442846 /nfs/dbraw/zinc/44/28/46/624442846.db2.gz DYPMQTHPSYKEAY-UHFFFAOYSA-N 0 0 295.291 2.713 20 5 CFBDRN CCCCOCCOC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000918494517 624442866 /nfs/dbraw/zinc/44/28/66/624442866.db2.gz TWWFMJMXVADPOF-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN CCCCOC(=O)CSCc1cccnc1[N+](=O)[O-] ZINC000918528816 624449695 /nfs/dbraw/zinc/44/96/95/624449695.db2.gz BWBKZFYEHBGKJZ-UHFFFAOYSA-N 0 0 284.337 2.566 20 5 CFBDRN COC[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000829515960 624450449 /nfs/dbraw/zinc/45/04/49/624450449.db2.gz KTCOAOSRFGLDDN-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@@H](CCCO)C1 ZINC000829584602 624458698 /nfs/dbraw/zinc/45/86/98/624458698.db2.gz JBSDFQBWCGXPMQ-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN C[C@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1F ZINC000919024982 624516319 /nfs/dbraw/zinc/51/63/19/624516319.db2.gz QVHWHEXTGSGPMK-VIFPVBQESA-N 0 0 292.266 2.990 20 5 CFBDRN O=C(OCC1CCCC1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000919221541 624540032 /nfs/dbraw/zinc/54/00/32/624540032.db2.gz MHTYZYMSEKRGCU-UHFFFAOYSA-N 0 0 294.263 2.850 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000919288403 624553791 /nfs/dbraw/zinc/55/37/91/624553791.db2.gz PNCPRSXUDIFRNS-SNVBAGLBSA-N 0 0 299.298 2.838 20 5 CFBDRN C[C@@H](CCc1ccccc1)n1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829842019 624563058 /nfs/dbraw/zinc/56/30/58/624563058.db2.gz DGMFNELJTDAFND-JTQLQIEISA-N 0 0 290.279 2.893 20 5 CFBDRN COCC1(CC(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000829873199 624574331 /nfs/dbraw/zinc/57/43/31/624574331.db2.gz CNJCNQKXZYLINL-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN CCC(F)(F)COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000851489165 634392480 /nfs/dbraw/zinc/39/24/80/634392480.db2.gz VMNXTJMAZRJMFF-UHFFFAOYSA-N 0 0 259.208 2.797 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)nn1C ZINC000919587912 624587820 /nfs/dbraw/zinc/58/78/20/624587820.db2.gz UJUIWGOKTMZICM-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C1(C(F)F)CC1 ZINC000830019763 624601744 /nfs/dbraw/zinc/60/17/44/624601744.db2.gz UXMHTWUOSRILCJ-UHFFFAOYSA-N 0 0 289.209 2.822 20 5 CFBDRN Cc1occc1CN(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000919802749 624618882 /nfs/dbraw/zinc/61/88/82/624618882.db2.gz YVJPRNKAKJSOAA-UHFFFAOYSA-N 0 0 288.263 2.669 20 5 CFBDRN COC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000919867181 624631855 /nfs/dbraw/zinc/63/18/55/624631855.db2.gz BWEBMBLBWGVTFP-UHFFFAOYSA-N 0 0 252.270 2.572 20 5 CFBDRN CC(C)N(Cc1cccs1)Cn1cc([N+](=O)[O-])cn1 ZINC000919954976 624648041 /nfs/dbraw/zinc/64/80/41/624648041.db2.gz RSPPVXWGLXFYIZ-UHFFFAOYSA-N 0 0 280.353 2.721 20 5 CFBDRN CC(C)(O)c1cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000830189796 624662554 /nfs/dbraw/zinc/66/25/54/624662554.db2.gz CDIDTVAOJSYUMF-UHFFFAOYSA-N 0 0 294.351 2.688 20 5 CFBDRN CC(=O)CCCOC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830360604 624722059 /nfs/dbraw/zinc/72/20/59/624722059.db2.gz OMDQBCXAVVSNBW-UHFFFAOYSA-N 0 0 283.255 2.568 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C(C)C ZINC000830369213 624724493 /nfs/dbraw/zinc/72/44/93/624724493.db2.gz IBYUGCRZYWHRGB-CYBMUJFWSA-N 0 0 297.282 2.813 20 5 CFBDRN CC(C)[C@H]1CCCN1c1ccc([N+](=O)[O-])c2nonc21 ZINC000920486361 624729769 /nfs/dbraw/zinc/72/97/69/624729769.db2.gz IEQLXHVCMOHKPP-SECBINFHSA-N 0 0 276.296 2.756 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)c1c[nH]cc1C ZINC000920575812 624743070 /nfs/dbraw/zinc/74/30/70/624743070.db2.gz GCMCUPMCLWLSAP-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN CCOc1ccc(C(=O)N(OC)[C@H](C)CC)cc1[N+](=O)[O-] ZINC000830468589 624753201 /nfs/dbraw/zinc/75/32/01/624753201.db2.gz KNKVBLFSCCHHNF-SNVBAGLBSA-N 0 0 296.323 2.796 20 5 CFBDRN CCC/C=C\C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000920742785 624757112 /nfs/dbraw/zinc/75/71/12/624757112.db2.gz HQIMRFGYSANSSB-PLNGDYQASA-N 0 0 262.309 2.610 20 5 CFBDRN C[C@@H](CC(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000920727452 624761660 /nfs/dbraw/zinc/76/16/60/624761660.db2.gz XBIAHHSEEVMSIS-JTQLQIEISA-N 0 0 291.303 2.757 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)C1(F)CC1 ZINC000830758577 624846587 /nfs/dbraw/zinc/84/65/87/624846587.db2.gz LOJYLEICWZQYHS-UHFFFAOYSA-N 0 0 273.647 2.794 20 5 CFBDRN C[C@@H](NC(=O)OCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000921366000 624848592 /nfs/dbraw/zinc/84/85/92/624848592.db2.gz ZTUFYEQVGNMAMP-SECBINFHSA-N 0 0 264.281 2.792 20 5 CFBDRN CC1(S(=O)(=O)Oc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000830764335 624851614 /nfs/dbraw/zinc/85/16/14/624851614.db2.gz SEHPTXADDKVBHB-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCC(=O)C1)c1ccccc1[N+](=O)[O-] ZINC000830891166 624918810 /nfs/dbraw/zinc/91/88/10/624918810.db2.gz CINMFBBOGJBISV-UWVGGRQHSA-N 0 0 277.276 2.568 20 5 CFBDRN CSCCCOC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000175377388 624949436 /nfs/dbraw/zinc/94/94/36/624949436.db2.gz PXSRFLAYLCQELS-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN CC(C)(CCC(=O)NCC1C(C)(C)C1(C)C)[N+](=O)[O-] ZINC000831028714 624954144 /nfs/dbraw/zinc/95/41/44/624954144.db2.gz UGEPGUPSOXLZIT-UHFFFAOYSA-N 0 0 270.373 2.620 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H](C)OCC2CC2)c([N+](=O)[O-])c1 ZINC000068336693 624988755 /nfs/dbraw/zinc/98/87/55/624988755.db2.gz JVWIKTPVCNPGGM-SNVBAGLBSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)C[C@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000068510125 625004726 /nfs/dbraw/zinc/00/47/26/625004726.db2.gz YGMHEFRZZXQXNP-PHIMTYICSA-N 0 0 291.351 2.604 20 5 CFBDRN Cc1cnc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2N)s1 ZINC000068511547 625004827 /nfs/dbraw/zinc/00/48/27/625004827.db2.gz BDKCKBAWDVIAED-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC2CC=CC2)c1 ZINC000831441702 625021466 /nfs/dbraw/zinc/02/14/66/625021466.db2.gz HCGOLXOSPRFDPI-UHFFFAOYSA-N 0 0 274.320 2.989 20 5 CFBDRN O=C(NCCC1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000831456150 625027606 /nfs/dbraw/zinc/02/76/06/625027606.db2.gz DBNCMLQLWVFVAG-UHFFFAOYSA-N 0 0 260.293 2.681 20 5 CFBDRN CCON(CC)C(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000831476288 625032786 /nfs/dbraw/zinc/03/27/86/625032786.db2.gz HXPXRQBUPNTJGU-UHFFFAOYSA-N 0 0 266.297 2.571 20 5 CFBDRN CCOC1(COC(=O)c2ccccc2[N+](=O)[O-])CCC1 ZINC000851550285 634425684 /nfs/dbraw/zinc/42/56/84/634425684.db2.gz AEEPRZKCSFCYSY-UHFFFAOYSA-N 0 0 279.292 2.711 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000069525041 625139239 /nfs/dbraw/zinc/13/92/39/625139239.db2.gz TXEYPBBRXIRCPN-POYBYMJQSA-N 0 0 254.673 2.843 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ccc(F)nc1 ZINC000069704815 625208984 /nfs/dbraw/zinc/20/89/84/625208984.db2.gz HRKDFAIMZMBNGI-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC(C)OC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000834601155 625733112 /nfs/dbraw/zinc/73/31/12/625733112.db2.gz BTKMEBIOJYGKAZ-UHFFFAOYSA-N 0 0 292.335 2.672 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCS1 ZINC000834670374 625773758 /nfs/dbraw/zinc/77/37/58/625773758.db2.gz GZIFXRBXLYBUTD-LDYMZIIASA-N 0 0 298.339 2.748 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000073323506 625828610 /nfs/dbraw/zinc/82/86/10/625828610.db2.gz UERIUVRHVLKUFD-MWLCHTKSSA-N 0 0 280.299 2.995 20 5 CFBDRN CC(C)(CCc1nc(CC2CCCC2)no1)[N+](=O)[O-] ZINC000834751196 625828580 /nfs/dbraw/zinc/82/85/80/625828580.db2.gz LZAAATDBLIHQHV-UHFFFAOYSA-N 0 0 267.329 2.790 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2[C@@H](C)C[C@@H]2C)c1F ZINC000834768007 625843109 /nfs/dbraw/zinc/84/31/09/625843109.db2.gz FNPHXLSHJXGQGJ-YUMQZZPRSA-N 0 0 284.262 2.804 20 5 CFBDRN Cc1c([C@H](C)N[C@@H]2CCn3ccnc32)cccc1[N+](=O)[O-] ZINC000834837426 625896805 /nfs/dbraw/zinc/89/68/05/625896805.db2.gz WFQJCRQQMCAKMK-WCQYABFASA-N 0 0 286.335 2.895 20 5 CFBDRN CCC1(c2nc(-c3cccc([N+](=O)[O-])c3C)no2)COC1 ZINC000834845277 625900197 /nfs/dbraw/zinc/90/01/97/625900197.db2.gz YZXBHMXPLZPSFK-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN CCC1(c2nc(-c3cc(C)cc([N+](=O)[O-])c3)no2)COC1 ZINC000834849308 625901581 /nfs/dbraw/zinc/90/15/81/625901581.db2.gz AJVWLNBYQQVFPF-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN CCc1nc(CN[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)co1 ZINC000834899942 625915690 /nfs/dbraw/zinc/91/56/90/625915690.db2.gz RVOOOEJONXMGCU-SECBINFHSA-N 0 0 290.323 2.578 20 5 CFBDRN O=C(OCCCF)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000921512144 625957113 /nfs/dbraw/zinc/95/71/13/625957113.db2.gz ICOOYBOSUFYJSE-UHFFFAOYSA-N 0 0 279.170 2.748 20 5 CFBDRN Cc1cccnc1COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000771922922 625991947 /nfs/dbraw/zinc/99/19/47/625991947.db2.gz VFDLRUKBGZXOOT-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN COc1cccc(COc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000083774508 625997450 /nfs/dbraw/zinc/99/74/50/625997450.db2.gz AMUUAWTXVUHGSE-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Cc1nc(CNc2ccc(Cl)c([N+](=O)[O-])c2)no1 ZINC000084501457 626016169 /nfs/dbraw/zinc/01/61/69/626016169.db2.gz CXOLGFAXPBFHNZ-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN CCC1(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000255330533 626203264 /nfs/dbraw/zinc/20/32/64/626203264.db2.gz CKHUJBUZNBNNRV-YVMONPNESA-N 0 0 274.320 2.914 20 5 CFBDRN Cn1c(C(=O)OCCCC(C)(F)F)ccc1[N+](=O)[O-] ZINC000922295725 626263048 /nfs/dbraw/zinc/26/30/48/626263048.db2.gz NUWJCPFKERIJRR-UHFFFAOYSA-N 0 0 276.239 2.526 20 5 CFBDRN Cc1noc(C)c1CN(C)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000851726073 634491512 /nfs/dbraw/zinc/49/15/12/634491512.db2.gz KNGYCFAPTBXNEN-UHFFFAOYSA-N 0 0 293.298 2.971 20 5 CFBDRN Cc1cccnc1COc1cc(C=O)ccc1[N+](=O)[O-] ZINC000092855575 626304564 /nfs/dbraw/zinc/30/45/64/626304564.db2.gz CLHFVMWMUXKBNJ-UHFFFAOYSA-N 0 0 272.260 2.690 20 5 CFBDRN Cc1c(CN[C@H](c2ncccn2)C2CC2)cccc1[N+](=O)[O-] ZINC000922577951 626315259 /nfs/dbraw/zinc/31/52/59/626315259.db2.gz WRYZLWVUWKTQBW-HNNXBMFYSA-N 0 0 298.346 2.934 20 5 CFBDRN C[C@@H](F)CCNC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000922619668 626326863 /nfs/dbraw/zinc/32/68/63/626326863.db2.gz WMUAZLNEMDZNGI-NXEZZACHSA-N 0 0 283.303 2.703 20 5 CFBDRN O=C(NC[C@@H]1CCCCS1)c1cc(F)ccc1[N+](=O)[O-] ZINC000156385309 626387780 /nfs/dbraw/zinc/38/77/80/626387780.db2.gz CCFGHNQIAJLASG-JTQLQIEISA-N 0 0 298.339 2.749 20 5 CFBDRN CN(C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N)C1CC1 ZINC000888460430 626395203 /nfs/dbraw/zinc/39/52/03/626395203.db2.gz QJTRBQITIBNEJF-UHFFFAOYSA-N 0 0 285.303 2.565 20 5 CFBDRN Cc1nc(COC(=O)c2cc([N+](=O)[O-])ccc2C)oc1C ZINC000058321335 626407413 /nfs/dbraw/zinc/40/74/13/626407413.db2.gz KATDGRFFMJWGNE-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ncc(COc2ccc([N+](=O)[O-])cc2C=O)s1 ZINC000058863959 626409233 /nfs/dbraw/zinc/40/92/33/626409233.db2.gz YFGXSEXSQXISCY-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN C[C@@H](C(=O)OCc1csc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000923053510 626446792 /nfs/dbraw/zinc/44/67/92/626446792.db2.gz YIQRLUAXJQCLLA-SCZZXKLOSA-N 0 0 285.321 2.515 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])C1(C2CC2)CCC1 ZINC000923337830 626467486 /nfs/dbraw/zinc/46/74/86/626467486.db2.gz UJPTZNOXNPGEKT-UHFFFAOYSA-N 0 0 276.292 2.613 20 5 CFBDRN O=[N+]([O-])c1cccc([C@@H](O)CN2CCNc3ccccc32)c1 ZINC000923355613 626468669 /nfs/dbraw/zinc/46/86/69/626468669.db2.gz BMFVZUBTOYMIDS-INIZCTEOSA-N 0 0 299.330 2.560 20 5 CFBDRN CCCCNC(=S)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000774583874 626481876 /nfs/dbraw/zinc/48/18/76/626481876.db2.gz XWRVXDIHSSDMBM-JTQLQIEISA-N 0 0 281.381 2.920 20 5 CFBDRN Cc1c(COC(=O)/C=C\[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000838047024 626484781 /nfs/dbraw/zinc/48/47/81/626484781.db2.gz GIEODSKDRXDWKD-FJOGCWAESA-N 0 0 291.303 2.539 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc(F)c([N+](=O)[O-])cc1NC(C)=O ZINC000838095619 626485929 /nfs/dbraw/zinc/48/59/29/626485929.db2.gz NFJYMSOHWIXQOQ-ZETCQYMHSA-N 0 0 298.270 2.648 20 5 CFBDRN Cc1nc(C)c(Cn2cnc(-c3cccc([N+](=O)[O-])c3)n2)o1 ZINC000788099143 626490786 /nfs/dbraw/zinc/49/07/86/626490786.db2.gz ITOQAEOUXHDQEO-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC000838787926 626506721 /nfs/dbraw/zinc/50/67/21/626506721.db2.gz LCEAZUGEVWXTRR-VIFPVBQESA-N 0 0 279.292 2.945 20 5 CFBDRN Cc1ccc(COC(=O)[C@@]2(C)CCCCO2)cc1[N+](=O)[O-] ZINC000838972500 626517732 /nfs/dbraw/zinc/51/77/32/626517732.db2.gz MLIXYDAJIXKOQK-OAHLLOKOSA-N 0 0 293.319 2.906 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2ccccc2[N+](=O)[O-])C1(C)C ZINC000839103793 626524995 /nfs/dbraw/zinc/52/49/95/626524995.db2.gz RBTJMHFHXWLVMD-NEPJUHHUSA-N 0 0 279.292 2.565 20 5 CFBDRN C[C@H](OC(=O)c1cscn1)c1ccc([N+](=O)[O-])cc1 ZINC000103336666 626529385 /nfs/dbraw/zinc/52/93/85/626529385.db2.gz UUQYNIANWXWHPW-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1C[C@@H]2C[C@H]1CS2 ZINC000839201016 626532008 /nfs/dbraw/zinc/53/20/08/626532008.db2.gz BXKYIUXWIKBCDD-QWRGUYRKSA-N 0 0 284.768 2.938 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)c1F ZINC000839452903 626537362 /nfs/dbraw/zinc/53/73/62/626537362.db2.gz NYQFKWOQGYJKPG-SUZMYJTESA-N 0 0 292.310 2.961 20 5 CFBDRN CC(C)C[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000839466925 626537482 /nfs/dbraw/zinc/53/74/82/626537482.db2.gz JZWDRIVOPMYYCE-HZSPNIEDSA-N 0 0 276.336 2.555 20 5 CFBDRN C[C@H](c1ccc(Cl)cc1)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839507293 626538337 /nfs/dbraw/zinc/53/83/37/626538337.db2.gz HLEKSKSDKINHJG-GGZOMVNGSA-N 0 0 282.727 2.525 20 5 CFBDRN CCOCCN(CC)c1c(F)cc([N+](=O)[O-])cc1F ZINC000749320514 626540660 /nfs/dbraw/zinc/54/06/60/626540660.db2.gz WTKWTYOSHGIYDP-UHFFFAOYSA-N 0 0 274.267 2.736 20 5 CFBDRN CC(C)(C)OCCCOC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000757071897 626544481 /nfs/dbraw/zinc/54/44/81/626544481.db2.gz KQLUSDHRDAGQEG-UHFFFAOYSA-N 0 0 295.335 2.886 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])O1 ZINC000757137147 626545667 /nfs/dbraw/zinc/54/56/67/626545667.db2.gz PJIVVIYZDWUNIZ-NOZJJQNGSA-N 0 0 297.282 2.735 20 5 CFBDRN CCCCN(C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])[C@@H](C)c1ccc(C)o1 ZINC000839603047 626547737 /nfs/dbraw/zinc/54/77/37/626547737.db2.gz NXGZNDTYHVIXBM-YNEHKIRRSA-N 0 0 294.351 2.943 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c2ccccc21 ZINC000839605221 626547866 /nfs/dbraw/zinc/54/78/66/626547866.db2.gz SJLNHRYAPLBISF-MRVWCRGKSA-N 0 0 288.347 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(OS(=O)(=O)C2CC2)c2ccccc21 ZINC000757362486 626549321 /nfs/dbraw/zinc/54/93/21/626549321.db2.gz UAQBCIKUMWLZMA-UHFFFAOYSA-N 0 0 293.300 2.619 20 5 CFBDRN C[C@H]1CC[C@H](c2ccccc2)N(C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C1 ZINC000839762556 626553746 /nfs/dbraw/zinc/55/37/46/626553746.db2.gz RZUAXOIDNSGUOH-ZGKBOVNRSA-N 0 0 288.347 2.651 20 5 CFBDRN CON(C(=O)c1cc([N+](=O)[O-])ccc1C)C1CCCC1 ZINC000757622337 626556582 /nfs/dbraw/zinc/55/65/82/626556582.db2.gz ILYFGQWJVSOIDU-UHFFFAOYSA-N 0 0 278.308 2.849 20 5 CFBDRN COc1cc(C(=O)N(OC)C2CCCC2)ccc1[N+](=O)[O-] ZINC000757630896 626556855 /nfs/dbraw/zinc/55/68/55/626556855.db2.gz NGPDSOKQTKGAPJ-UHFFFAOYSA-N 0 0 294.307 2.550 20 5 CFBDRN Cc1sc(C(=O)Nc2cc(Cl)[nH]n2)cc1[N+](=O)[O-] ZINC000757941261 626569354 /nfs/dbraw/zinc/56/93/54/626569354.db2.gz RQQWHHXGUWNMTD-UHFFFAOYSA-N 0 0 286.700 2.594 20 5 CFBDRN CCc1noc(C)c1COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000757958163 626570288 /nfs/dbraw/zinc/57/02/88/626570288.db2.gz MYLNAFQGPGWBKB-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN COC1(C)CN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000758012981 626572863 /nfs/dbraw/zinc/57/28/63/626572863.db2.gz BLFBVHVMCCEOAM-UHFFFAOYSA-N 0 0 290.241 2.839 20 5 CFBDRN O=C(OC[C@@H]1CC12CCOCC2)c1ccc([N+](=O)[O-])cc1 ZINC000758029059 626573730 /nfs/dbraw/zinc/57/37/30/626573730.db2.gz QTHMAHKBYUUTIU-LBPRGKRZSA-N 0 0 291.303 2.568 20 5 CFBDRN Cc1cc(C(N)=O)ccc1NCCc1ccc([N+](=O)[O-])cc1 ZINC000758085312 626575873 /nfs/dbraw/zinc/57/58/73/626575873.db2.gz SOMAXNXVPVXENU-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN C[Si](C)(C)COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000758114547 626577203 /nfs/dbraw/zinc/57/72/03/626577203.db2.gz RSCUMUITOKLTRK-UHFFFAOYSA-N 0 0 271.320 2.768 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCCSCC2)c1[N+](=O)[O-] ZINC000758413975 626592922 /nfs/dbraw/zinc/59/29/22/626592922.db2.gz NYIYZLJPPOZFCY-UHFFFAOYSA-N 0 0 298.339 2.621 20 5 CFBDRN Cc1cc(F)cc(C(=O)Nc2cccnc2C)c1[N+](=O)[O-] ZINC000758505664 626596270 /nfs/dbraw/zinc/59/62/70/626596270.db2.gz STWJEVVAPCXGBO-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2C[C@@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000758502522 626596339 /nfs/dbraw/zinc/59/63/39/626596339.db2.gz CSWJHOKJMMNDKY-NXEZZACHSA-N 0 0 280.299 2.770 20 5 CFBDRN CC(F)(F)CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000758734581 626603627 /nfs/dbraw/zinc/60/36/27/626603627.db2.gz NLHGPPGHRXPVEY-UHFFFAOYSA-N 0 0 265.237 2.745 20 5 CFBDRN CN(CC1(O)CCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000758935715 626619110 /nfs/dbraw/zinc/61/91/10/626619110.db2.gz ZYSMOQBWKXATLJ-UHFFFAOYSA-N 0 0 270.716 2.599 20 5 CFBDRN Nc1c(C(=O)OCCc2ccccc2)cccc1[N+](=O)[O-] ZINC000758957990 626620331 /nfs/dbraw/zinc/62/03/31/626620331.db2.gz QDEDCJUDKGTTDJ-UHFFFAOYSA-N 0 0 286.287 2.577 20 5 CFBDRN Cc1nn(C[C@H](O)c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] ZINC000759051411 626626505 /nfs/dbraw/zinc/62/65/05/626626505.db2.gz ZTFIMHLNSMYXAX-LBPRGKRZSA-N 0 0 299.689 2.626 20 5 CFBDRN CC(C)CC(=O)O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000759929776 626702741 /nfs/dbraw/zinc/70/27/41/626702741.db2.gz IMKOSCJDHHCTKU-NWDGAFQWSA-N 0 0 295.339 2.864 20 5 CFBDRN CCCC(=O)O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000759936206 626702958 /nfs/dbraw/zinc/70/29/58/626702958.db2.gz UEURVOYFNDFYQJ-QWRGUYRKSA-N 0 0 281.312 2.618 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1cscn1 ZINC000760013416 626709164 /nfs/dbraw/zinc/70/91/64/626709164.db2.gz BLRFIGOIMXOZPC-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CCCS1 ZINC000760016249 626709852 /nfs/dbraw/zinc/70/98/52/626709852.db2.gz JJJZVBGIAJBKJF-SNVBAGLBSA-N 0 0 267.306 2.704 20 5 CFBDRN CS[C@H](C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760023405 626710289 /nfs/dbraw/zinc/71/02/89/626710289.db2.gz UPOQZDCIWJQUPI-MRVPVSSYSA-N 0 0 255.295 2.560 20 5 CFBDRN Cc1cncc(CNc2nc3ccccn3c2[N+](=O)[O-])c1 ZINC000760265135 626726517 /nfs/dbraw/zinc/72/65/17/626726517.db2.gz ULJXNZCMTUVNPG-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2nonc21)c1ccc[nH]1 ZINC000760274819 626728290 /nfs/dbraw/zinc/72/82/90/626728290.db2.gz VZLUKFAWLCWIOA-SSDOTTSWSA-N 0 0 273.252 2.632 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)c2ccc[nH]2)cccc1[N+](=O)[O-] ZINC000760434842 626746051 /nfs/dbraw/zinc/74/60/51/626746051.db2.gz NSDUIZWALURWMY-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc(N3CCCC3)cc2)nc1 ZINC000760454256 626747226 /nfs/dbraw/zinc/74/72/26/626747226.db2.gz JQPXGGTXICTVJW-UHFFFAOYSA-N 0 0 285.307 2.729 20 5 CFBDRN Cc1nc(NCCNc2ccccc2)ccc1[N+](=O)[O-] ZINC000760464022 626748329 /nfs/dbraw/zinc/74/83/29/626748329.db2.gz WSHIQZPZIYZVCC-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccc[nH]1 ZINC000760731022 626768038 /nfs/dbraw/zinc/76/80/38/626768038.db2.gz NPZZPQAJPVKJNN-QMMMGPOBSA-N 0 0 277.255 2.553 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OCC(F)F ZINC000760793757 626772293 /nfs/dbraw/zinc/77/22/93/626772293.db2.gz OZYOECMZGVEVLL-UHFFFAOYSA-N 0 0 279.626 2.979 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OCc1ccon1 ZINC000760792154 626773162 /nfs/dbraw/zinc/77/31/62/626773162.db2.gz ILKNHWBYPQYCQB-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN C/C=C(\C)C(=O)O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000761007647 626791121 /nfs/dbraw/zinc/79/11/21/626791121.db2.gz UTVDEUMEABCCOD-IPANGIIDSA-N 0 0 293.323 2.784 20 5 CFBDRN Cn1c(C(=O)OCc2cccc(F)c2F)ccc1[N+](=O)[O-] ZINC000761055704 626795104 /nfs/dbraw/zinc/79/51/04/626795104.db2.gz XBGVXFRITZMESU-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN Cn1c(C(=O)OCc2ccc(F)c(F)c2)ccc1[N+](=O)[O-] ZINC000761059921 626795783 /nfs/dbraw/zinc/79/57/83/626795783.db2.gz CQULMBMPNMPVJR-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000761062739 626795868 /nfs/dbraw/zinc/79/58/68/626795868.db2.gz ZBXTZJQUZVZYNH-SNVBAGLBSA-N 0 0 274.276 2.851 20 5 CFBDRN CCCC1(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000761463619 626837966 /nfs/dbraw/zinc/83/79/66/626837966.db2.gz XDZWALJDOZHSBL-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN Cc1cccc(OC(=O)c2ccc([N+](=O)[O-])cn2)c1C ZINC000762064709 626886191 /nfs/dbraw/zinc/88/61/91/626886191.db2.gz NVLMKOLNFMOMRO-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN C[C@H]1CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000762071649 626886605 /nfs/dbraw/zinc/88/66/05/626886605.db2.gz YZXGSFZZRWSNMP-GXSJLCMTSA-N 0 0 264.281 2.725 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cn2)[C@@H](C)C1 ZINC000762089359 626889262 /nfs/dbraw/zinc/88/92/62/626889262.db2.gz YPRCLGLQDGGRAN-GBIKHYSHSA-N 0 0 278.308 2.971 20 5 CFBDRN CCC(CC)OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000762110177 626891841 /nfs/dbraw/zinc/89/18/41/626891841.db2.gz BMZWSJCDEJXZAF-UHFFFAOYSA-N 0 0 251.282 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CC[C@@H](C)O2)c1 ZINC000762181158 626901108 /nfs/dbraw/zinc/90/11/08/626901108.db2.gz XLHDOFIZJWIEOO-GHMZBOCLSA-N 0 0 279.292 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC[C@H]3SCCS[C@H]23)cn1 ZINC000762559813 626923797 /nfs/dbraw/zinc/92/37/97/626923797.db2.gz KQLKFUJGQTZPCE-CKYFFXLPSA-N 0 0 297.405 2.781 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])[C@@H]1CC12CCC2 ZINC000762690419 626930419 /nfs/dbraw/zinc/93/04/19/626930419.db2.gz IIJVWDJGCKDCMY-LBPRGKRZSA-N 0 0 275.304 2.871 20 5 CFBDRN Cc1cncc(NCc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000762807713 626939868 /nfs/dbraw/zinc/93/98/68/626939868.db2.gz ZYISTGORSZVSKO-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CC(C)(C(=O)OCC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000762980663 626953973 /nfs/dbraw/zinc/95/39/73/626953973.db2.gz OLHNIVONJCUZHJ-UHFFFAOYSA-N 0 0 281.283 2.965 20 5 CFBDRN Cc1cc(-c2nc([C@]3(C)CCCO3)no2)ccc1[N+](=O)[O-] ZINC000763316147 626978583 /nfs/dbraw/zinc/97/85/83/626978583.db2.gz KTUKLLPNAPTOMC-AWEZNQCLSA-N 0 0 289.291 2.979 20 5 CFBDRN Cc1c(-c2nc([C@@]3(C)CCCO3)no2)cccc1[N+](=O)[O-] ZINC000763319480 626979002 /nfs/dbraw/zinc/97/90/02/626979002.db2.gz UBQHCCWFURYGNV-CQSZACIVSA-N 0 0 289.291 2.979 20 5 CFBDRN C[C@H](C(=O)OCC(=O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000763405751 626985701 /nfs/dbraw/zinc/98/57/01/626985701.db2.gz SLFAYZQISACAJC-JTQLQIEISA-N 0 0 293.319 2.857 20 5 CFBDRN C[C@@H](C(=O)OCC(F)F)c1cccc([N+](=O)[O-])c1 ZINC000763404756 626985737 /nfs/dbraw/zinc/98/57/37/626985737.db2.gz PJJVHPHESDWDPB-SSDOTTSWSA-N 0 0 259.208 2.507 20 5 CFBDRN O=C(O[C@@H]1CCCCC1=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763714137 627007634 /nfs/dbraw/zinc/00/76/34/627007634.db2.gz ARQDMRKQHXGKCY-GFCCVEGCSA-N 0 0 297.694 2.917 20 5 CFBDRN CCCC(=O)COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763714883 627007976 /nfs/dbraw/zinc/00/79/76/627007976.db2.gz BKNKUXQIDVNXOC-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN C[C@@H](C(=O)O[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000763964259 627027067 /nfs/dbraw/zinc/02/70/67/627027067.db2.gz UCENZBQVODYMRH-SKDRFNHKSA-N 0 0 281.333 2.747 20 5 CFBDRN COC[C@@H](C)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764076997 627035662 /nfs/dbraw/zinc/03/56/62/627035662.db2.gz RVSLBCDYENXQIB-GHMZBOCLSA-N 0 0 281.308 2.524 20 5 CFBDRN C[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(F)F ZINC000764083158 627036310 /nfs/dbraw/zinc/03/63/10/627036310.db2.gz FQHCOJVXCDSSFN-HTQZYQBOSA-N 0 0 273.235 2.895 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000764563966 627072009 /nfs/dbraw/zinc/07/20/09/627072009.db2.gz NYDREBFZNCXGHM-NSHDSACASA-N 0 0 292.310 2.914 20 5 CFBDRN CCO[C@H](C)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000764588966 627073750 /nfs/dbraw/zinc/07/37/50/627073750.db2.gz HZLQSUJQJYCJIT-NXEZZACHSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1noc(CN2CCCc3cc(F)ccc32)c1[N+](=O)[O-] ZINC000851822257 634547903 /nfs/dbraw/zinc/54/79/03/634547903.db2.gz LDBBEZPBUKEFGQ-UHFFFAOYSA-N 0 0 291.282 2.983 20 5 CFBDRN CSc1ccc(C(=O)OCCC(C)(C)O)cc1[N+](=O)[O-] ZINC000765394230 627134728 /nfs/dbraw/zinc/13/47/28/627134728.db2.gz ROKNKBAGCYDATF-UHFFFAOYSA-N 0 0 299.348 2.635 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CC2(Cl)Cl)n1 ZINC000765453482 627139221 /nfs/dbraw/zinc/13/92/21/627139221.db2.gz PYIJUZUGNRMMKB-ZETCQYMHSA-N 0 0 276.123 2.904 20 5 CFBDRN CC(C)(O)CCOC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000765477943 627141128 /nfs/dbraw/zinc/14/11/28/627141128.db2.gz DUOLLPYLKKQLOI-UHFFFAOYSA-N 0 0 287.699 2.566 20 5 CFBDRN CC(C)(O)CCOC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000765474407 627141160 /nfs/dbraw/zinc/14/11/60/627141160.db2.gz UNCDAUMXAAWUTJ-UHFFFAOYSA-N 0 0 287.699 2.566 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)C(C)=O ZINC000765529032 627145117 /nfs/dbraw/zinc/14/51/17/627145117.db2.gz NJWOHXZQZQEQMT-DGCLKSJQSA-N 0 0 293.319 2.684 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)C(C)=O ZINC000765529035 627145132 /nfs/dbraw/zinc/14/51/32/627145132.db2.gz NJWOHXZQZQEQMT-YPMHNXCESA-N 0 0 293.319 2.684 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)COC ZINC000765604838 627150388 /nfs/dbraw/zinc/15/03/88/627150388.db2.gz OUCKQNCUABDABK-WCQYABFASA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NOCC(C)C ZINC000765609109 627150768 /nfs/dbraw/zinc/15/07/68/627150768.db2.gz RWUBJHNBOBKMIP-CYBMUJFWSA-N 0 0 294.351 2.867 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NOCC(F)F ZINC000766240567 627187006 /nfs/dbraw/zinc/18/70/06/627187006.db2.gz AJBLSSLKFHOVIQ-UHFFFAOYSA-N 0 0 289.238 2.530 20 5 CFBDRN CCC[C@@H](CC)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000766404147 627200221 /nfs/dbraw/zinc/20/02/21/627200221.db2.gz TYSZRNYIJKHGNP-SECBINFHSA-N 0 0 254.286 2.669 20 5 CFBDRN CCc1cccnc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000766417165 627201260 /nfs/dbraw/zinc/20/12/60/627201260.db2.gz SJYHSKRXMYYCAL-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN COc1cccc(C(=O)O[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000766875332 627223938 /nfs/dbraw/zinc/22/39/38/627223938.db2.gz FFYDRNBNJDLRIY-VHSXEESVSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1nc(CCOC(=O)c2csc([N+](=O)[O-])c2)cs1 ZINC000767592406 627263920 /nfs/dbraw/zinc/26/39/20/627263920.db2.gz ZDGHNDYGJIXBAG-UHFFFAOYSA-N 0 0 298.345 2.821 20 5 CFBDRN Cc1noc(OCc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000768375567 627337682 /nfs/dbraw/zinc/33/76/82/627337682.db2.gz DYEMXKGYXKPWCI-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(C(=S)NC2CC2)C2CC2)c1 ZINC000768470477 627346188 /nfs/dbraw/zinc/34/61/88/627346188.db2.gz ATMRVWGLCZYRDQ-UHFFFAOYSA-N 0 0 291.376 2.596 20 5 CFBDRN COC[C@H](C)COC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000769333880 627426025 /nfs/dbraw/zinc/42/60/25/627426025.db2.gz SDWBTDJSAJTJML-SERMCNLOSA-N 0 0 293.319 2.824 20 5 CFBDRN Cc1cnccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000769741091 627471281 /nfs/dbraw/zinc/47/12/81/627471281.db2.gz BZGKDIFLGACTOI-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1nc(Nc2cnn(C)c2C2CC2)ccc1[N+](=O)[O-] ZINC000770128220 627503776 /nfs/dbraw/zinc/50/37/76/627503776.db2.gz XBEOIWXHJWPLEQ-UHFFFAOYSA-N 0 0 273.296 2.653 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ncccc32)cc([N+](=O)[O-])c1 ZINC000770222894 627508373 /nfs/dbraw/zinc/50/83/73/627508373.db2.gz YOSZXSIAMYUBHV-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN C[C@@H]1OCC[C@@H]1OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000771057311 627574285 /nfs/dbraw/zinc/57/42/85/627574285.db2.gz MADMQMQUGQFHGO-KWQFWETISA-N 0 0 291.259 2.675 20 5 CFBDRN O=C(COC1CCCC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771482066 627607712 /nfs/dbraw/zinc/60/77/12/627607712.db2.gz UCADGFXXJNRUDG-UHFFFAOYSA-N 0 0 283.255 2.599 20 5 CFBDRN C[C@@H]1CCC[C@H](CCOC(=O)c2nn(C)cc2[N+](=O)[O-])C1 ZINC000772056741 627668775 /nfs/dbraw/zinc/66/87/75/627668775.db2.gz KXPAONCUYCVNGU-GHMZBOCLSA-N 0 0 295.339 2.702 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000772247241 627690916 /nfs/dbraw/zinc/69/09/16/627690916.db2.gz UTDLXBXOFMQKJN-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC(=O)CCCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000772376794 627706099 /nfs/dbraw/zinc/70/60/99/627706099.db2.gz KZKXLYPPEPXMSE-UHFFFAOYSA-N 0 0 283.255 2.536 20 5 CFBDRN CCc1nc(C(=O)OCc2ccccc2[N+](=O)[O-])co1 ZINC000772380907 627706160 /nfs/dbraw/zinc/70/61/60/627706160.db2.gz ABQNNLNFCYNSNK-UHFFFAOYSA-N 0 0 276.248 2.502 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000772505760 627716022 /nfs/dbraw/zinc/71/60/22/627716022.db2.gz QKBPNZZWOGZGFH-KBPBESRZSA-N 0 0 293.319 2.809 20 5 CFBDRN CON(C)CCNc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000772580491 627723296 /nfs/dbraw/zinc/72/32/96/627723296.db2.gz XVLNQBFUTQCYBF-UHFFFAOYSA-N 0 0 293.245 2.519 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)COC1(C)CCC1 ZINC000772971357 627763013 /nfs/dbraw/zinc/76/30/13/627763013.db2.gz MDNAAVXIKQQZTB-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN Cc1ccc(NC(=O)COC2(C)CCC2)cc1[N+](=O)[O-] ZINC000772972306 627763682 /nfs/dbraw/zinc/76/36/82/627763682.db2.gz SYAQXAJFJHUPGU-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN C[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1cnccn1 ZINC000773039996 627773207 /nfs/dbraw/zinc/77/32/07/627773207.db2.gz HTOTUGCAFZHIRX-SECBINFHSA-N 0 0 292.726 2.889 20 5 CFBDRN COCCC1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000773244742 627798089 /nfs/dbraw/zinc/79/80/89/627798089.db2.gz WXPMNHOXKLLTHO-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(NOc1ccccc1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000773465764 627823277 /nfs/dbraw/zinc/82/32/77/627823277.db2.gz IAFWCFDDXWHZLM-UHFFFAOYSA-N 0 0 292.678 2.972 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ccc(O)cc1F ZINC000773586776 627839103 /nfs/dbraw/zinc/83/91/03/627839103.db2.gz WZLMOJLTWYJLQM-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN O=C(Nc1cc(=O)c(Cl)c[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000773647510 627845861 /nfs/dbraw/zinc/84/58/61/627845861.db2.gz PMFYLSNZRCUBSG-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN CC(=O)c1ccc(C(=O)OCc2csc([N+](=O)[O-])c2)o1 ZINC000773699631 627849679 /nfs/dbraw/zinc/84/96/79/627849679.db2.gz WCDSRKXZOPLURX-UHFFFAOYSA-N 0 0 295.272 2.809 20 5 CFBDRN CC[C@H](C)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773984155 627875973 /nfs/dbraw/zinc/87/59/73/627875973.db2.gz AHTOGDIVQYJNRI-VIFPVBQESA-N 0 0 262.309 2.838 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C=C1CCC1)CC2 ZINC000773986312 627876286 /nfs/dbraw/zinc/87/62/86/627876286.db2.gz WSMDDRIRQJHAMS-UHFFFAOYSA-N 0 0 272.304 2.903 20 5 CFBDRN Cc1cccc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)n1 ZINC000773994044 627876371 /nfs/dbraw/zinc/87/63/71/627876371.db2.gz VTTPZQPIQUHTMD-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H]1CC1(C)C)CC2 ZINC000773993136 627876879 /nfs/dbraw/zinc/87/68/79/627876879.db2.gz XCDZRLKIVNQRCL-LLVKDONJSA-N 0 0 274.320 2.838 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)cn1 ZINC000773989802 627876979 /nfs/dbraw/zinc/87/69/79/627876979.db2.gz ZBGFXPFGIAEZTB-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1c(OC(=O)c2nccs2)cccc1[N+](=O)[O-] ZINC000774053112 627881938 /nfs/dbraw/zinc/88/19/38/627881938.db2.gz HKQCMMMDNNUTTR-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN CC(=O)c1ccc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000774051689 627882117 /nfs/dbraw/zinc/88/21/17/627882117.db2.gz BPPJNOHYNWTPHZ-UHFFFAOYSA-N 0 0 289.243 2.918 20 5 CFBDRN CCC(C)(C)NC(=S)NNc1ccc([N+](=O)[O-])cc1 ZINC000774138778 627892602 /nfs/dbraw/zinc/89/26/02/627892602.db2.gz PPNQPTXNVLQHBH-UHFFFAOYSA-N 0 0 282.369 2.574 20 5 CFBDRN CCC(C)(C)NC(=S)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000774137879 627892769 /nfs/dbraw/zinc/89/27/69/627892769.db2.gz LNCXDWMYDYEDJB-UHFFFAOYSA-N 0 0 282.369 2.652 20 5 CFBDRN C[C@H](O)CN(Cc1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000774535620 627936127 /nfs/dbraw/zinc/93/61/27/627936127.db2.gz BGVGXTVECDEAOK-ZDUSSCGKSA-N 0 0 286.331 2.982 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC(C)(OC)CC2)c1 ZINC000774697966 627949148 /nfs/dbraw/zinc/94/91/48/627949148.db2.gz RIUUVFLWZHNILZ-UHFFFAOYSA-N 0 0 298.314 2.748 20 5 CFBDRN C[C@H]1CCOCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000774725863 627952936 /nfs/dbraw/zinc/95/29/36/627952936.db2.gz JHRKVSSTIWDZQS-VIFPVBQESA-N 0 0 293.348 2.820 20 5 CFBDRN CC[C@H](CSC)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000774731884 627953412 /nfs/dbraw/zinc/95/34/12/627953412.db2.gz WIYPXZVJZODRHS-SECBINFHSA-N 0 0 280.353 2.796 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000774853080 627971648 /nfs/dbraw/zinc/97/16/48/627971648.db2.gz UQVQGEWNDOOXKH-OLZOCXBDSA-N 0 0 277.320 2.973 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000774868028 627974956 /nfs/dbraw/zinc/97/49/56/627974956.db2.gz TUJRVVDDOJOBSM-MNOVXSKESA-N 0 0 278.308 2.626 20 5 CFBDRN C=Cn1cc(CNCc2cc([N+](=O)[O-])ccc2Cl)cn1 ZINC000775005297 627984561 /nfs/dbraw/zinc/98/45/61/627984561.db2.gz RCHGXTMEFYEUHA-UHFFFAOYSA-N 0 0 292.726 2.835 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CCC(=O)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000775480109 628029354 /nfs/dbraw/zinc/02/93/54/628029354.db2.gz CFDQYOHHVXQFOD-ONGXEEELSA-N 0 0 291.303 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)COc2cc(F)cc(F)c2)cc1 ZINC000776276878 628125033 /nfs/dbraw/zinc/12/50/33/628125033.db2.gz LZKCLGMBPOVOLQ-AWEZNQCLSA-N 0 0 295.241 2.985 20 5 CFBDRN COc1cccc(OC[C@H](O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000776274575 628125173 /nfs/dbraw/zinc/12/51/73/628125173.db2.gz AEYXTSFOGBNLNW-HNNXBMFYSA-N 0 0 289.287 2.716 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000776287952 628126507 /nfs/dbraw/zinc/12/65/07/628126507.db2.gz DVDIMSFYSCXFFK-NXEZZACHSA-N 0 0 279.292 2.945 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)Cc1ccoc1 ZINC000776339208 628133198 /nfs/dbraw/zinc/13/31/98/628133198.db2.gz PTTXUXLIWYWINT-UHFFFAOYSA-N 0 0 261.233 2.644 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CCC(=O)C[C@H]1C ZINC000776342208 628133844 /nfs/dbraw/zinc/13/38/44/628133844.db2.gz CCPLDHHDCRYFEH-PWSUYJOCSA-N 0 0 291.303 2.814 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000776409481 628145332 /nfs/dbraw/zinc/14/53/32/628145332.db2.gz QZESNTJEMBJDJA-JQWIXIFHSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)OCCOc2cccc([N+](=O)[O-])c2)C1 ZINC000776427675 628150024 /nfs/dbraw/zinc/15/00/24/628150024.db2.gz XAIBEYDIBVZBQU-NWDGAFQWSA-N 0 0 293.319 2.953 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776698330 628168720 /nfs/dbraw/zinc/16/87/20/628168720.db2.gz UKBBZFHNLCDOGG-PJXYFTJBSA-N 0 0 279.267 2.823 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1coc(C2CC2)n1 ZINC000776786195 628177402 /nfs/dbraw/zinc/17/74/02/628177402.db2.gz VIPQYWCDRSVBGN-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN CC(Cl)(Cl)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000777222216 628208501 /nfs/dbraw/zinc/20/85/01/628208501.db2.gz NUZDIBJWULDPLN-UHFFFAOYSA-N 0 0 284.120 2.893 20 5 CFBDRN CC(Cl)(Cl)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000777220298 628208636 /nfs/dbraw/zinc/20/86/36/628208636.db2.gz YOQRCRVWFOLGMF-UHFFFAOYSA-N 0 0 296.081 2.971 20 5 CFBDRN COC[C@H](C)OC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000777316684 628213986 /nfs/dbraw/zinc/21/39/86/628213986.db2.gz ZTPNQLOWQKQNJU-QMMMGPOBSA-N 0 0 285.321 2.508 20 5 CFBDRN CSc1cccc(C(=O)O[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000777338610 628216033 /nfs/dbraw/zinc/21/60/33/628216033.db2.gz XIZJHUYHZSZPGP-SECBINFHSA-N 0 0 297.332 2.653 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCC1CC=CC1 ZINC000777336967 628216111 /nfs/dbraw/zinc/21/61/11/628216111.db2.gz RTHKHBINWCWPAD-UHFFFAOYSA-N 0 0 261.277 2.647 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000777806965 628254238 /nfs/dbraw/zinc/25/42/38/628254238.db2.gz CJCSBAMVNYMVTK-QWRGUYRKSA-N 0 0 293.348 2.776 20 5 CFBDRN C[C@@H]1CCN(Cn2ncc3cc([N+](=O)[O-])ccc32)C[C@H]1F ZINC000777962115 628268078 /nfs/dbraw/zinc/26/80/78/628268078.db2.gz BYPNAMJEWZVVSA-ZWNOBZJWSA-N 0 0 292.314 2.582 20 5 CFBDRN C[C@H]1CCN(Cn2ncc3ccc([N+](=O)[O-])cc32)C[C@@H]1F ZINC000777968717 628269233 /nfs/dbraw/zinc/26/92/33/628269233.db2.gz OTZXHZRRMMZCLT-GWCFXTLKSA-N 0 0 292.314 2.582 20 5 CFBDRN CCOC[C@H](C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000778100199 628277450 /nfs/dbraw/zinc/27/74/50/628277450.db2.gz OYTANUAAOATGLD-MMRAYRKESA-N 0 0 292.335 2.539 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)OCc1ccccc1 ZINC000778144761 628280384 /nfs/dbraw/zinc/28/03/84/628280384.db2.gz AEEQYSQTJTUKFY-UHFFFAOYSA-N 0 0 272.260 2.534 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)C1=COCC1 ZINC000778334150 628297745 /nfs/dbraw/zinc/29/77/45/628297745.db2.gz LVXWRHBQYCSEPL-UHFFFAOYSA-N 0 0 283.667 2.596 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000778363788 628299847 /nfs/dbraw/zinc/29/98/47/628299847.db2.gz DZIYEXNQPFLQBE-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN COc1cc(CSc2cccc[n+]2[O-])ccc1[N+](=O)[O-] ZINC000778544608 628314280 /nfs/dbraw/zinc/31/42/80/628314280.db2.gz ZTVPKSMCDXPJAZ-UHFFFAOYSA-N 0 0 292.316 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@H]1CCCOC1 ZINC000778796534 628332357 /nfs/dbraw/zinc/33/23/57/628332357.db2.gz YAGZJOOYUZQYAG-NEPJUHHUSA-N 0 0 293.319 2.934 20 5 CFBDRN COc1cc(COC(=O)c2cc[nH]c2C)ccc1[N+](=O)[O-] ZINC000778813939 628333418 /nfs/dbraw/zinc/33/34/18/628333418.db2.gz AVTALPKQMISTHL-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN COc1cc(COC(=O)C2=CCCC2)ccc1[N+](=O)[O-] ZINC000778820141 628334522 /nfs/dbraw/zinc/33/45/22/628334522.db2.gz NYALQLOQCCWRIP-UHFFFAOYSA-N 0 0 277.276 2.757 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)CN(C)c2ccccc2[N+](=O)[O-])C1 ZINC000779262871 628366186 /nfs/dbraw/zinc/36/61/86/628366186.db2.gz JHDGBRQJXLWGCQ-VXGBXAGGSA-N 0 0 292.335 2.763 20 5 CFBDRN CC[C@@H]1C[C@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000779294882 628370733 /nfs/dbraw/zinc/37/07/33/628370733.db2.gz AANRWBXLRZHYBX-CHWSQXEVSA-N 0 0 297.282 2.848 20 5 CFBDRN CC[C@H]1C[C@@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000779303126 628372344 /nfs/dbraw/zinc/37/23/44/628372344.db2.gz VVBIHUOKDLJULR-KBPBESRZSA-N 0 0 293.319 2.638 20 5 CFBDRN CNc1c(C(=O)NOC2CCCCC2)cccc1[N+](=O)[O-] ZINC000779320646 628373349 /nfs/dbraw/zinc/37/33/49/628373349.db2.gz PVKTUZALVQCOMY-UHFFFAOYSA-N 0 0 293.323 2.631 20 5 CFBDRN Cc1cnc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c(C)c1 ZINC000779853756 628414273 /nfs/dbraw/zinc/41/42/73/628414273.db2.gz AHISXAZPRSLCLL-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1nn(CN2CCC[C@@H]2c2ccsc2)cc1[N+](=O)[O-] ZINC000779860512 628414878 /nfs/dbraw/zinc/41/48/78/628414878.db2.gz ZVJMNBFRFUSOFD-GFCCVEGCSA-N 0 0 292.364 2.956 20 5 CFBDRN CC/C(C)=C(/C)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000779981348 628423662 /nfs/dbraw/zinc/42/36/62/628423662.db2.gz NCBRECSULJKNSC-HJWRWDBZSA-N 0 0 263.297 2.983 20 5 CFBDRN CCCCCC[C@@H](C)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000780324030 628454895 /nfs/dbraw/zinc/45/48/95/628454895.db2.gz IDTQQKHYBTVFCX-SNVBAGLBSA-N 0 0 283.328 2.844 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)NOCC ZINC000780328814 628455485 /nfs/dbraw/zinc/45/54/85/628455485.db2.gz SWLWSDUNUQFVLT-UHFFFAOYSA-N 0 0 284.337 2.778 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000780354856 628457987 /nfs/dbraw/zinc/45/79/87/628457987.db2.gz XQNPHKPBONHMDP-JQWIXIFHSA-N 0 0 277.324 2.583 20 5 CFBDRN CSCCC(=O)OCCOc1ccc([N+](=O)[O-])cc1C ZINC000780635601 628483913 /nfs/dbraw/zinc/48/39/13/628483913.db2.gz AAZCWTUIMMSRBZ-UHFFFAOYSA-N 0 0 299.348 2.578 20 5 CFBDRN O=C(NC[C@@H]1CCC=CO1)Nc1ccc([N+](=O)[O-])cc1F ZINC000780665214 628486528 /nfs/dbraw/zinc/48/65/28/628486528.db2.gz NCJDGMXBYJKWIT-JTQLQIEISA-N 0 0 295.270 2.548 20 5 CFBDRN CCON(C)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000780662845 628486675 /nfs/dbraw/zinc/48/66/75/628486675.db2.gz WKCJEUVAFOBGMH-UHFFFAOYSA-N 0 0 262.212 2.584 20 5 CFBDRN Cc1cc(COC(=O)CCn2cccc2)ccc1[N+](=O)[O-] ZINC000781171208 628528803 /nfs/dbraw/zinc/52/88/03/628528803.db2.gz IZZCGLVXSKEYRZ-UHFFFAOYSA-N 0 0 288.303 2.838 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCCOC1 ZINC000781286330 628538387 /nfs/dbraw/zinc/53/83/87/628538387.db2.gz FWQFEHPGOLJHEG-CQSZACIVSA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(NC[C@@H]1CCC=CO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000781494642 628555206 /nfs/dbraw/zinc/55/52/06/628555206.db2.gz FWIQZTPNVVDKOJ-VIFPVBQESA-N 0 0 296.710 2.671 20 5 CFBDRN C[C@H]1CCC[C@@H](COC(=O)c2cc([N+](=O)[O-])cnc2N)C1 ZINC000781668361 628571673 /nfs/dbraw/zinc/57/16/73/628571673.db2.gz RHKFYHIBUITVBE-VHSXEESVSA-N 0 0 293.323 2.555 20 5 CFBDRN CCCn1cc(C(=O)OCc2csc([N+](=O)[O-])c2)cn1 ZINC000781703075 628574154 /nfs/dbraw/zinc/57/41/54/628574154.db2.gz KECRAEHHUAECMC-UHFFFAOYSA-N 0 0 295.320 2.620 20 5 CFBDRN O=C(OC1CCC1)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000781808991 628583125 /nfs/dbraw/zinc/58/31/25/628583125.db2.gz OXYLHZHQZYHAIM-UHFFFAOYSA-N 0 0 287.218 2.906 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000781922612 628591380 /nfs/dbraw/zinc/59/13/80/628591380.db2.gz JNJZZZIPSBEDGV-YFKPBYRVSA-N 0 0 299.333 2.734 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000781965556 628594066 /nfs/dbraw/zinc/59/40/66/628594066.db2.gz XPUKSPSOSQZGPY-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN CN(C)c1cc(COc2ccccc2[N+](=O)[O-])ccn1 ZINC000782110087 628604823 /nfs/dbraw/zinc/60/48/23/628604823.db2.gz JIWRGSJLTKMPIK-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(Nc3cccc(CO)c3)c2c1 ZINC000782133893 628606895 /nfs/dbraw/zinc/60/68/95/628606895.db2.gz YEEXNSIGTYHPGZ-UHFFFAOYSA-N 0 0 296.286 2.774 20 5 CFBDRN CCN(c1ncnc2ccc([N+](=O)[O-])cc21)C(C)C ZINC000782141976 628607489 /nfs/dbraw/zinc/60/74/89/628607489.db2.gz MPPPOUDUMQTMMC-UHFFFAOYSA-N 0 0 260.297 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCOCC2(CC2)C1 ZINC000782535827 628643693 /nfs/dbraw/zinc/64/36/93/628643693.db2.gz MQTFWKHSVPIGLA-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000782601756 628647239 /nfs/dbraw/zinc/64/72/39/628647239.db2.gz SMFULNMANYHMCD-SSDOTTSWSA-N 0 0 293.304 2.673 20 5 CFBDRN C[C@@H](OC(=O)C1(O)CCCCC1)c1ccccc1[N+](=O)[O-] ZINC000782643729 628651602 /nfs/dbraw/zinc/65/16/02/628651602.db2.gz YYPZMPGLYGPDGI-LLVKDONJSA-N 0 0 293.319 2.894 20 5 CFBDRN C[C@H]1C[C@@H](COc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000783002477 628668850 /nfs/dbraw/zinc/66/88/50/628668850.db2.gz XITXYLZODAPRRF-UWVGGRQHSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000783023727 628669847 /nfs/dbraw/zinc/66/98/47/628669847.db2.gz IKIGTSBIGGZBSG-RXMQYKEDSA-N 0 0 299.333 2.734 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OCCC1CCC1 ZINC000783446837 628709388 /nfs/dbraw/zinc/70/93/88/628709388.db2.gz IBGBQWNQBCOHIG-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN C[C@H]1COCCN(Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000783570879 628722166 /nfs/dbraw/zinc/72/21/66/628722166.db2.gz HWTJEGODQNWGHF-SNVBAGLBSA-N 0 0 284.743 2.717 20 5 CFBDRN C/C(=C\C(=O)NC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000783693631 628735235 /nfs/dbraw/zinc/73/52/35/628735235.db2.gz WEWUJFDSPLVFTN-MDZDMXLPSA-N 0 0 262.309 2.913 20 5 CFBDRN O=c1ccnc(C=Cc2ccc([N+](=O)[O-])cc2Cl)[nH]1 ZINC000783710896 628736870 /nfs/dbraw/zinc/73/68/70/628736870.db2.gz OVDFLRPLTVHOKZ-DUXPYHPUSA-N 0 0 277.667 2.914 20 5 CFBDRN CCC[C@@H](O)CC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000783708981 628737302 /nfs/dbraw/zinc/73/73/02/628737302.db2.gz VMTMRWKDDZKIAE-GHMZBOCLSA-N 0 0 281.308 2.750 20 5 CFBDRN CCCCOCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783723841 628738109 /nfs/dbraw/zinc/73/81/09/628738109.db2.gz OVFDXVGQUGJBHF-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN C/C(=C/C(=O)N(C)C(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000783869276 628751873 /nfs/dbraw/zinc/75/18/73/628751873.db2.gz WFEPVWNLNSCJCL-LUAWRHEFSA-N 0 0 262.309 2.865 20 5 CFBDRN CCOc1cccc(CN2CCc3cc([N+](=O)[O-])ccc32)n1 ZINC000783880184 628752943 /nfs/dbraw/zinc/75/29/43/628752943.db2.gz DKQDOSPTWDGLBW-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN Cc1cncc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)c1 ZINC000783906643 628754477 /nfs/dbraw/zinc/75/44/77/628754477.db2.gz BQHGLYPUDMWEHT-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN COCC(C)(C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000783963727 628758367 /nfs/dbraw/zinc/75/83/67/628758367.db2.gz HMMSQAPNUQWDAX-PKNBQFBNSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C1CC1 ZINC000783981705 628759501 /nfs/dbraw/zinc/75/95/01/628759501.db2.gz QVGQULPQJQMUTN-QMMMGPOBSA-N 0 0 267.256 2.823 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1cc[nH]c1 ZINC000784018052 628764171 /nfs/dbraw/zinc/76/41/71/628764171.db2.gz AYPAGCHLOKLXOC-UHFFFAOYSA-N 0 0 280.667 2.933 20 5 CFBDRN C/C(=C\C(=O)Nc1cc(C)ncn1)c1ccc([N+](=O)[O-])cc1 ZINC000784046037 628768352 /nfs/dbraw/zinc/76/83/52/628768352.db2.gz HIVSPEPPTPIGDX-JXMROGBWSA-N 0 0 298.302 2.735 20 5 CFBDRN C/C(=C\C(=O)NCc1cccn1C)c1ccc([N+](=O)[O-])cc1 ZINC000784054577 628769769 /nfs/dbraw/zinc/76/97/69/628769769.db2.gz VTJYWKCXSNZCDL-ZRDIBKRKSA-N 0 0 299.330 2.653 20 5 CFBDRN C/C(=C/C(=O)NC1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000784070044 628771890 /nfs/dbraw/zinc/77/18/90/628771890.db2.gz FHKMQAJYUQWEOC-KHPPLWFESA-N 0 0 272.304 2.833 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000784072268 628772527 /nfs/dbraw/zinc/77/25/27/628772527.db2.gz FAVZCFSKANUASO-LLVKDONJSA-N 0 0 255.245 2.632 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CO1 ZINC000784084594 628774070 /nfs/dbraw/zinc/77/40/70/628774070.db2.gz YGJLCDZFXVBIFL-HTQZYQBOSA-N 0 0 284.699 2.612 20 5 CFBDRN Cc1nonc1NC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000784088745 628775122 /nfs/dbraw/zinc/77/51/22/628775122.db2.gz OLGQOXXWCVMMSF-UHFFFAOYSA-N 0 0 296.670 2.500 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000784107312 628777181 /nfs/dbraw/zinc/77/71/81/628777181.db2.gz CZVMNIIBGYOUQZ-WCBMZHEXSA-N 0 0 299.710 2.716 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@H]1CO[C@@H](C)C1 ZINC000784114129 628778708 /nfs/dbraw/zinc/77/87/08/628778708.db2.gz WYDPZXDVERUBDM-DTWKUNHWSA-N 0 0 299.710 2.887 20 5 CFBDRN Cc1cc(F)ccc1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000784266675 628796391 /nfs/dbraw/zinc/79/63/91/628796391.db2.gz MQPOVMALRVRYCR-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN O=C(COC(=O)C1CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000784420779 628816108 /nfs/dbraw/zinc/81/61/08/628816108.db2.gz BDYLNOFYGQBPBU-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1ccc(N(C)C)c([N+](=O)[O-])c1 ZINC000784494444 628821742 /nfs/dbraw/zinc/82/17/42/628821742.db2.gz MIWPYWZGVUVHPP-YTXTXJHMSA-N 0 0 290.319 2.836 20 5 CFBDRN COC[C@H](C)OC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000784514206 628823245 /nfs/dbraw/zinc/82/32/45/628823245.db2.gz ZUXZWODFLUSVLG-JTQLQIEISA-N 0 0 281.308 2.910 20 5 CFBDRN CO[C@@H](C)CCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784646586 628833680 /nfs/dbraw/zinc/83/36/80/628833680.db2.gz NRIHIYDORGLKIO-VIFPVBQESA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cccc(F)n1 ZINC000784648278 628834557 /nfs/dbraw/zinc/83/45/57/628834557.db2.gz YURSHCCJKSOANW-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN C/C(=C\C(=O)OCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000784881578 628848504 /nfs/dbraw/zinc/84/85/04/628848504.db2.gz UPXAIEJWJIPODN-MDZDMXLPSA-N 0 0 267.256 2.901 20 5 CFBDRN CCO[C@@H](C)C(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784936178 628853515 /nfs/dbraw/zinc/85/35/15/628853515.db2.gz PPISWYUKYCSSBK-JTQLQIEISA-N 0 0 299.348 2.655 20 5 CFBDRN CC(C)C(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784935320 628853557 /nfs/dbraw/zinc/85/35/57/628853557.db2.gz TWCDOPCNGFHXBY-UHFFFAOYSA-N 0 0 269.322 2.886 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000784947061 628854583 /nfs/dbraw/zinc/85/45/83/628854583.db2.gz ATTVXZMPSTVGIK-ZDUSSCGKSA-N 0 0 297.282 2.850 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1ccc(F)cc1[N+](=O)[O-] ZINC000784952890 628854904 /nfs/dbraw/zinc/85/49/04/628854904.db2.gz GRAZDTNLDGUIOB-LLVKDONJSA-N 0 0 297.282 2.850 20 5 CFBDRN CC1(C)OC[C@@H](COc2ccc(Cl)cc2[N+](=O)[O-])O1 ZINC000785026690 628860855 /nfs/dbraw/zinc/86/08/55/628860855.db2.gz JDHPXIOKFOQMOW-SECBINFHSA-N 0 0 287.699 2.779 20 5 CFBDRN CC(C)/C=C\C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000785054198 628863152 /nfs/dbraw/zinc/86/31/52/628863152.db2.gz ZSAYTUKMKVGZCQ-UTCJRWHESA-N 0 0 267.256 2.989 20 5 CFBDRN CC(C)/C=C\C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000785062429 628863520 /nfs/dbraw/zinc/86/35/20/628863520.db2.gz ACLNDBMMYNAQOD-FPLPWBNLSA-N 0 0 279.292 2.729 20 5 CFBDRN CC1(C)CC[C@H](C(=O)OCc2ccccc2[N+](=O)[O-])O1 ZINC000785242788 628872986 /nfs/dbraw/zinc/87/29/86/628872986.db2.gz JESAJURXOYVQOE-GFCCVEGCSA-N 0 0 279.292 2.596 20 5 CFBDRN C/C(=C\C(=O)N1CCSCC1)c1ccc([N+](=O)[O-])cc1 ZINC000785329352 628876322 /nfs/dbraw/zinc/87/63/22/628876322.db2.gz SCMGPQPOENZZHD-ZHACJKMWSA-N 0 0 292.360 2.574 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)c1ccc(F)nc1 ZINC000785640286 628900520 /nfs/dbraw/zinc/90/05/20/628900520.db2.gz NKSYMHXGZXUUMI-UHFFFAOYSA-N 0 0 290.250 2.528 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000785728538 628907632 /nfs/dbraw/zinc/90/76/32/628907632.db2.gz IHPWIKCXFXLOEW-TXEJJXNPSA-N 0 0 292.335 2.511 20 5 CFBDRN CC(C)(F)COC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000785926807 628924068 /nfs/dbraw/zinc/92/40/68/628924068.db2.gz RFJOKKACKDRAJH-UHFFFAOYSA-N 0 0 294.282 2.841 20 5 CFBDRN Cc1cnccc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000786033132 628931001 /nfs/dbraw/zinc/93/10/01/628931001.db2.gz MYTXFMXFYDRGMP-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)cnn1 ZINC000786392668 628953521 /nfs/dbraw/zinc/95/35/21/628953521.db2.gz IFSKNHSJNYWXCL-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@H](OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)C(F)F ZINC000786508856 628962461 /nfs/dbraw/zinc/96/24/61/628962461.db2.gz VTLVNTYQHGTMIR-QMMMGPOBSA-N 0 0 298.245 2.746 20 5 CFBDRN COc1ccccc1CCNc1ccc(N)cc1[N+](=O)[O-] ZINC000786575910 628969484 /nfs/dbraw/zinc/96/94/84/628969484.db2.gz YMSWTVJCFIXKQM-UHFFFAOYSA-N 0 0 287.319 2.840 20 5 CFBDRN CC(C)(CCC(=O)NC[C@@H]1CCC[C@@H]1C1CC1)[N+](=O)[O-] ZINC000839810449 628989460 /nfs/dbraw/zinc/98/94/60/628989460.db2.gz WAKKARICQLVSBG-QWHCGFSZSA-N 0 0 282.384 2.764 20 5 CFBDRN CC(C)(CCC(=O)NC[C@@H]1CCC[C@H]1C1CC1)[N+](=O)[O-] ZINC000839810451 628989551 /nfs/dbraw/zinc/98/95/51/628989551.db2.gz WAKKARICQLVSBG-STQMWFEESA-N 0 0 282.384 2.764 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1CCC=CO1 ZINC000787040067 628989814 /nfs/dbraw/zinc/98/98/14/628989814.db2.gz JJGJEODHUAMXCL-LBPRGKRZSA-N 0 0 297.694 2.984 20 5 CFBDRN CCCC(C)(C)CC(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000787087963 628992634 /nfs/dbraw/zinc/99/26/34/628992634.db2.gz HUQLRHSYGWCTEW-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2N)CC(C)(C)C1 ZINC000787265166 629002754 /nfs/dbraw/zinc/00/27/54/629002754.db2.gz YLUFZXVPEJMDCB-SNVBAGLBSA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1ccn2cc(CNc3cc(N)ccc3[N+](=O)[O-])nc2c1 ZINC000787897188 629041747 /nfs/dbraw/zinc/04/17/47/629041747.db2.gz HTHORIZJOILXQS-UHFFFAOYSA-N 0 0 297.318 2.745 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000788460625 629071268 /nfs/dbraw/zinc/07/12/68/629071268.db2.gz RVJNBONDFZFSEW-PHIMTYICSA-N 0 0 294.738 2.944 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCCCCO1 ZINC000788487647 629073879 /nfs/dbraw/zinc/07/38/79/629073879.db2.gz ACUKHXCKDKBYIW-UHFFFAOYSA-N 0 0 284.699 2.806 20 5 CFBDRN CCOC(=O)/C=C\CSc1ccc([N+](=O)[O-])cc1F ZINC000788502042 629075054 /nfs/dbraw/zinc/07/50/54/629075054.db2.gz HHCFENUTKLIMTL-ARJAWSKDSA-N 0 0 285.296 2.945 20 5 CFBDRN Cc1nonc1CSc1ccc([N+](=O)[O-])cc1F ZINC000788503699 629075598 /nfs/dbraw/zinc/07/55/98/629075598.db2.gz XCXXAGUBMDCLCD-UHFFFAOYSA-N 0 0 269.257 2.718 20 5 CFBDRN COCC1(C)CN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000788576450 629083343 /nfs/dbraw/zinc/08/33/43/629083343.db2.gz ITZAKEXDCKTQIB-UHFFFAOYSA-N 0 0 293.348 2.677 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1nc(Cl)cs1 ZINC000788726688 629094986 /nfs/dbraw/zinc/09/49/86/629094986.db2.gz VVPCZULLOAVGFA-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H](CCO)C1CC1 ZINC000788846175 629104284 /nfs/dbraw/zinc/10/42/84/629104284.db2.gz LPWBCVYYCSZUFA-CYBMUJFWSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@](CO)(Nc1nc2ccccc2cc1[N+](=O)[O-])C1CC1 ZINC000788850173 629104609 /nfs/dbraw/zinc/10/46/09/629104609.db2.gz HWLYJDYZSNRZNM-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1c(CC(=O)OC[C@H]2CC[C@@H](C)O2)cccc1[N+](=O)[O-] ZINC000789080881 629124017 /nfs/dbraw/zinc/12/40/17/629124017.db2.gz WVABPFOCBKXBRT-ZWNOBZJWSA-N 0 0 293.319 2.556 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@H]1CC[C@@H](C)O1)CC2 ZINC000789355902 629150308 /nfs/dbraw/zinc/15/03/08/629150308.db2.gz FCTURBWTSZZPTH-DGCLKSJQSA-N 0 0 276.336 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC1(F)CC1 ZINC000789591876 629170277 /nfs/dbraw/zinc/17/02/77/629170277.db2.gz FAYSBAZWYIQGBU-UHFFFAOYSA-N 0 0 253.229 2.562 20 5 CFBDRN C[C@@H](C(=O)OCC1(F)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000789595929 629170603 /nfs/dbraw/zinc/17/06/03/629170603.db2.gz KIGRCNLPBAGKCG-MRVPVSSYSA-N 0 0 285.246 2.883 20 5 CFBDRN Cc1cc(C(=O)OCC2(F)CC2)ccc1[N+](=O)[O-] ZINC000789598598 629171320 /nfs/dbraw/zinc/17/13/20/629171320.db2.gz JZZQOEOXQGLZQU-UHFFFAOYSA-N 0 0 253.229 2.562 20 5 CFBDRN Cc1c(OC(=O)c2cncc(Cl)n2)cccc1[N+](=O)[O-] ZINC000790293182 629226429 /nfs/dbraw/zinc/22/64/29/629226429.db2.gz VDQRSDIEJXAGQK-UHFFFAOYSA-N 0 0 293.666 2.566 20 5 CFBDRN Cc1cc(N2C[C@H](O)C(C)(C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000790294850 629226444 /nfs/dbraw/zinc/22/64/44/629226444.db2.gz YOVVRRCYEKINGV-LBPRGKRZSA-N 0 0 284.743 2.764 20 5 CFBDRN COc1cc(C(=O)NCCC2CCC2)cc([N+](=O)[O-])c1C ZINC000790758062 629260479 /nfs/dbraw/zinc/26/04/79/629260479.db2.gz AYFRAJSIEXCBCA-UHFFFAOYSA-N 0 0 292.335 2.832 20 5 CFBDRN CCOC(=O)C1(CNc2ccc([N+](=O)[O-])nc2)CCCC1 ZINC000790818395 629265966 /nfs/dbraw/zinc/26/59/66/629265966.db2.gz JVBXAAAFCOSMED-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN C[C@]1(C2CC2)CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000852322212 634721035 /nfs/dbraw/zinc/72/10/35/634721035.db2.gz DNAISSOSVFOHMY-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H](C)c2ccc[nH]2)c1F ZINC000790887962 629269973 /nfs/dbraw/zinc/26/99/73/629269973.db2.gz FIXDMPDNKXZRKZ-SECBINFHSA-N 0 0 291.282 2.861 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1Cl ZINC000790985746 629277706 /nfs/dbraw/zinc/27/77/06/629277706.db2.gz UEYAYWXPHCNCHH-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1c(CNC(=O)[C@H](F)C(C)C)cccc1[N+](=O)[O-] ZINC000791019879 629281521 /nfs/dbraw/zinc/28/15/21/629281521.db2.gz FKIWAWFYZWUYQT-GFCCVEGCSA-N 0 0 268.288 2.514 20 5 CFBDRN C[C@H]1C[C@H]1c1ccc(CN(CC[N+](=O)[O-])C2CC2)o1 ZINC000791054419 629283950 /nfs/dbraw/zinc/28/39/50/629283950.db2.gz UYHYEILWLJWMBS-GXFFZTMASA-N 0 0 264.325 2.644 20 5 CFBDRN O=[N+]([O-])CCN1CCSC[C@@H]1c1ccc(Cl)cc1 ZINC000791052487 629284013 /nfs/dbraw/zinc/28/40/13/629284013.db2.gz OLATVJADTWZRGS-GFCCVEGCSA-N 0 0 286.784 2.707 20 5 CFBDRN CC[C@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000791406993 629310552 /nfs/dbraw/zinc/31/05/52/629310552.db2.gz BRYWLSWNILNWAN-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000791408015 629310692 /nfs/dbraw/zinc/31/06/92/629310692.db2.gz OYXOSPFQAQAMJP-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)C(F)F)cc([N+](=O)[O-])c1C ZINC000791891373 629372406 /nfs/dbraw/zinc/37/24/06/629372406.db2.gz QRMQHVUNKKAZLN-ZETCQYMHSA-N 0 0 289.234 2.722 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2ccnn2C)cc([N+](=O)[O-])c1 ZINC000791925346 629377929 /nfs/dbraw/zinc/37/79/29/629377929.db2.gz WJQCVALVEXRVLR-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000852358735 634731962 /nfs/dbraw/zinc/73/19/62/634731962.db2.gz ABONJMTVNHIKGV-CYZMBNFOSA-N 0 0 289.335 2.707 20 5 CFBDRN O=C(OCCCCCF)c1cc(O)cc([N+](=O)[O-])c1 ZINC000792036239 629391836 /nfs/dbraw/zinc/39/18/36/629391836.db2.gz YZZUVIBYTCDCTI-UHFFFAOYSA-N 0 0 271.244 2.597 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000835096492 629398688 /nfs/dbraw/zinc/39/86/88/629398688.db2.gz CPSVUNPVSGZAEU-SSDOTTSWSA-N 0 0 271.244 2.698 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000792122472 629400232 /nfs/dbraw/zinc/40/02/32/629400232.db2.gz XWQBJSBZLIESOG-ZCFIWIBFSA-N 0 0 293.197 2.707 20 5 CFBDRN COc1cc(C(=O)OC[C@@H]2C[C@H]2C)c([N+](=O)[O-])cc1F ZINC000835109344 629413861 /nfs/dbraw/zinc/41/38/61/629413861.db2.gz ONSLRMOBQYQYOR-SFYZADRCSA-N 0 0 283.255 2.555 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000792532038 629431469 /nfs/dbraw/zinc/43/14/69/629431469.db2.gz RBLUKLNOPVVVQE-HTQZYQBOSA-N 0 0 268.700 2.871 20 5 CFBDRN COc1c(C(=O)Oc2cccc(F)c2)cccc1[N+](=O)[O-] ZINC000792868500 629460251 /nfs/dbraw/zinc/46/02/51/629460251.db2.gz SQCWLQMUEKXJHC-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN COc1ccccc1COC(=O)c1ccccc1[N+](=O)[O-] ZINC000008329758 634743538 /nfs/dbraw/zinc/74/35/38/634743538.db2.gz SEKMHUZVRQHQJX-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN COc1cc(C(=O)OCC2CCC2)c([N+](=O)[O-])cc1F ZINC000835180401 629497340 /nfs/dbraw/zinc/49/73/40/629497340.db2.gz CGWFOEGTNXKFER-UHFFFAOYSA-N 0 0 283.255 2.699 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccsc1)[N+](=O)[O-] ZINC000835185084 629502677 /nfs/dbraw/zinc/50/26/77/629502677.db2.gz UXVAIMKECQMKRS-UHFFFAOYSA-N 0 0 257.311 2.627 20 5 CFBDRN CC(C)CNC(=S)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000793307751 629525213 /nfs/dbraw/zinc/52/52/13/629525213.db2.gz DKYNYDJYHJPJMM-UHFFFAOYSA-N 0 0 295.408 2.950 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@@H]2C=CCCCCC2)n1 ZINC000793398755 629538184 /nfs/dbraw/zinc/53/81/84/629538184.db2.gz LPPYDNLUMQXRTA-LLVKDONJSA-N 0 0 293.323 2.857 20 5 CFBDRN C[C@H](C(=O)OC[C@H]1CCO[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000793406071 629539520 /nfs/dbraw/zinc/53/95/20/629539520.db2.gz SSBOQBIWYYCGGH-DMDPSCGWSA-N 0 0 293.319 2.667 20 5 CFBDRN COc1cc(C(=O)OCC2CC=CC2)c([N+](=O)[O-])cc1F ZINC000835241923 629555593 /nfs/dbraw/zinc/55/55/93/629555593.db2.gz YXUXDPBRQWDVBR-UHFFFAOYSA-N 0 0 295.266 2.866 20 5 CFBDRN C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1ccco1 ZINC000835259548 629571826 /nfs/dbraw/zinc/57/18/26/629571826.db2.gz CZTMQNMUOCFPFV-SECBINFHSA-N 0 0 255.270 2.719 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CC[C@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000794261235 629628475 /nfs/dbraw/zinc/62/84/75/629628475.db2.gz TZKVQORUGKYEPF-ONGXEEELSA-N 0 0 291.351 2.651 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000835331835 629636521 /nfs/dbraw/zinc/63/65/21/629636521.db2.gz IMBQAOSRQDHZNO-GHMZBOCLSA-N 0 0 279.292 2.693 20 5 CFBDRN CCC[C@@H]1CCC[C@H]1C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000835335712 629638212 /nfs/dbraw/zinc/63/82/12/629638212.db2.gz VKAQUUIUIUQUBT-DGCLKSJQSA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(OC[C@H]1CCCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000806051667 629639335 /nfs/dbraw/zinc/63/93/35/629639335.db2.gz WAQNSHHEVRPEOP-SNVBAGLBSA-N 0 0 281.333 2.895 20 5 CFBDRN CCC[C@@H]1CCC[C@@H]1C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000835335715 629639853 /nfs/dbraw/zinc/63/98/53/629639853.db2.gz VKAQUUIUIUQUBT-YPMHNXCESA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC(C2CC2)C1 ZINC000794487500 629650360 /nfs/dbraw/zinc/65/03/60/629650360.db2.gz KCNMHXLMKXVIFJ-UHFFFAOYSA-N 0 0 280.711 2.730 20 5 CFBDRN CCCCN(CC)Cn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000794617736 629668736 /nfs/dbraw/zinc/66/87/36/629668736.db2.gz XFNGGVRSMHEUCD-UHFFFAOYSA-N 0 0 293.323 2.582 20 5 CFBDRN CC(C)C1(COC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000835396401 629684682 /nfs/dbraw/zinc/68/46/82/629684682.db2.gz ACJYQRCOPQWKND-UHFFFAOYSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](NCc1cccnc1F)c1ccc([N+](=O)[O-])cc1 ZINC000794838685 629693339 /nfs/dbraw/zinc/69/33/39/629693339.db2.gz ZPANRMGRQJYAMQ-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN CC[C@H](C)CONC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000794850962 629694808 /nfs/dbraw/zinc/69/48/08/629694808.db2.gz JZSBXIIXTCHJLH-VHSXEESVSA-N 0 0 298.314 2.931 20 5 CFBDRN CCC(=O)c1ccc(N2CCCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000795127230 629736475 /nfs/dbraw/zinc/73/64/75/629736475.db2.gz VVKJYWGTCVPVMB-NSHDSACASA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000795191460 629743181 /nfs/dbraw/zinc/74/31/81/629743181.db2.gz XYHRTFJSRNRHBR-ABAIWWIYSA-N 0 0 276.336 2.907 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2[C@H](C)C[C@@H]2C)c1 ZINC000795193852 629743689 /nfs/dbraw/zinc/74/36/89/629743689.db2.gz KVHSYGNOZKIJPN-OCAPTIKFSA-N 0 0 254.261 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCc3cccnc3F)nc2c1 ZINC000795230192 629748607 /nfs/dbraw/zinc/74/86/07/629748607.db2.gz PUJPGYKBIOXUKB-UHFFFAOYSA-N 0 0 287.254 2.617 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H]2CCC[C@@H](O)C2)cc1[N+](=O)[O-] ZINC000795233291 629748878 /nfs/dbraw/zinc/74/88/78/629748878.db2.gz LJJKZHBSZMLFGF-WCQYABFASA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2CCC[C@H](O)C2)cc1[N+](=O)[O-] ZINC000795309654 629759526 /nfs/dbraw/zinc/75/95/26/629759526.db2.gz SESJOTFAYYEPMV-ZJUUUORDSA-N 0 0 299.348 2.673 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCCC[C@@H](C)O)c1 ZINC000795368909 629767382 /nfs/dbraw/zinc/76/73/82/629767382.db2.gz LSDUACWAHYGXKO-SECBINFHSA-N 0 0 299.348 2.635 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000835481454 629769936 /nfs/dbraw/zinc/76/99/36/629769936.db2.gz WORYOZLZPCNMHA-CYBMUJFWSA-N 0 0 281.308 2.617 20 5 CFBDRN CCc1cc(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)n[nH]1 ZINC000835484507 629775093 /nfs/dbraw/zinc/77/50/93/629775093.db2.gz VLJKEEJOYHAZMX-UHFFFAOYSA-N 0 0 289.291 2.546 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000835483541 629775176 /nfs/dbraw/zinc/77/51/76/629775176.db2.gz KEPCLLHITMGLNF-JSGCOSHPSA-N 0 0 293.319 2.904 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCC[C@H](O)C2)c1 ZINC000795432252 629776872 /nfs/dbraw/zinc/77/68/72/629776872.db2.gz HFCRVAWVUVHHEB-NEPJUHHUSA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCC[C@@H](O)C2)c1 ZINC000795432261 629777105 /nfs/dbraw/zinc/77/71/05/629777105.db2.gz HFCRVAWVUVHHEB-VXGBXAGGSA-N 0 0 293.319 2.611 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1csc([N+](=O)[O-])c1 ZINC000835491479 629782274 /nfs/dbraw/zinc/78/22/74/629782274.db2.gz AYCWVWHQQUVDGR-UHFFFAOYSA-N 0 0 277.248 2.858 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000835493883 629785383 /nfs/dbraw/zinc/78/53/83/629785383.db2.gz WZEKYEJRGITTNR-UHFFFAOYSA-N 0 0 289.209 2.936 20 5 CFBDRN O=C(OCc1cncc(F)c1)c1cc(F)ccc1[N+](=O)[O-] ZINC000795473154 629785437 /nfs/dbraw/zinc/78/54/37/629785437.db2.gz MKHNBTCBGWYFQY-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN C/C=C\COC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000795712933 629825181 /nfs/dbraw/zinc/82/51/81/629825181.db2.gz RSMQUZNCXGMXAE-HYXAFXHYSA-N 0 0 265.265 2.726 20 5 CFBDRN C[C@@H]1C[C@H](c2ccccc2)N(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000795745445 629828995 /nfs/dbraw/zinc/82/89/95/629828995.db2.gz PGROMFWSOJGEGX-IUODEOHRSA-N 0 0 286.335 2.832 20 5 CFBDRN CCC[C@H](COC(=O)c1cccc([N+](=O)[O-])c1NC)OC ZINC000835546009 629833450 /nfs/dbraw/zinc/83/34/50/629833450.db2.gz QFTCCUXSEXLABL-SNVBAGLBSA-N 0 0 296.323 2.608 20 5 CFBDRN CC[C@@H](C)[C@H](CC)OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000835586229 629857216 /nfs/dbraw/zinc/85/72/16/629857216.db2.gz DKNGRQPZWHJGSY-PWSUYJOCSA-N 0 0 297.355 2.894 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000795998501 629860670 /nfs/dbraw/zinc/86/06/70/629860670.db2.gz HHTFOQHAYCGLNN-RNCFNFMXSA-N 0 0 299.298 2.838 20 5 CFBDRN O=C(CCC(F)F)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000796024943 629865070 /nfs/dbraw/zinc/86/50/70/629865070.db2.gz BBBHBNLSTPSNHO-UHFFFAOYSA-N 0 0 277.198 2.822 20 5 CFBDRN CC[C@@H](C)[C@@H](COC(=O)c1ccccc1[N+](=O)[O-])OC ZINC000835644101 629911269 /nfs/dbraw/zinc/91/12/69/629911269.db2.gz PIVAORZPWINCFM-ZWNOBZJWSA-N 0 0 281.308 2.813 20 5 CFBDRN CC[C@H](C)[C@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)OC ZINC000835644584 629914312 /nfs/dbraw/zinc/91/43/12/629914312.db2.gz QUXPZDXTPQAPES-FZMZJTMJSA-N 0 0 295.335 2.742 20 5 CFBDRN CON(C[C@@H](O)c1ccc([N+](=O)[O-])cc1)CC(C)(C)C ZINC000796471118 629922208 /nfs/dbraw/zinc/92/22/08/629922208.db2.gz IWBIXRUWYZSVBK-CYBMUJFWSA-N 0 0 282.340 2.538 20 5 CFBDRN CS[C@@H](C)CCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000835660435 629923397 /nfs/dbraw/zinc/92/33/97/629923397.db2.gz FMRLWHCLOSCSBN-VIFPVBQESA-N 0 0 269.322 2.893 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC[C@H](C)SC ZINC000835665722 629928079 /nfs/dbraw/zinc/92/80/79/629928079.db2.gz GXGZWKUXIHDESJ-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000796538642 629932066 /nfs/dbraw/zinc/93/20/66/629932066.db2.gz GMODAAXGNQYTCI-ZWNOBZJWSA-N 0 0 281.308 2.870 20 5 CFBDRN COc1c(C(=O)OCC[C@@H](C)SC)cccc1[N+](=O)[O-] ZINC000835670482 629934306 /nfs/dbraw/zinc/93/43/06/629934306.db2.gz OQFLSCYDORRWBG-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN CSC[C@H](C)COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000835673815 629935935 /nfs/dbraw/zinc/93/59/35/629935935.db2.gz SWMQLNRLHZQILZ-SNVBAGLBSA-N 0 0 283.349 2.680 20 5 CFBDRN CCOc1ccc(C(=O)OC[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000835678737 629945585 /nfs/dbraw/zinc/94/55/85/629945585.db2.gz JMXHECWMVWANAZ-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN COc1c(C(=O)OC[C@@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000796741042 629958075 /nfs/dbraw/zinc/95/80/75/629958075.db2.gz DMBMPUGSKOSMDQ-VIFPVBQESA-N 0 0 297.332 2.656 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000796766998 629962857 /nfs/dbraw/zinc/96/28/57/629962857.db2.gz BICSEQPPEYDTOR-FZMZJTMJSA-N 0 0 295.335 2.742 20 5 CFBDRN COc1c(C(=O)O[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000796823532 629973158 /nfs/dbraw/zinc/97/31/58/629973158.db2.gz ZCNPZISJSBZKFA-UWVGGRQHSA-N 0 0 279.292 2.949 20 5 CFBDRN CCc1nc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c(C)o1 ZINC000796840109 629977213 /nfs/dbraw/zinc/97/72/13/629977213.db2.gz KMXIUQKIUDSJJO-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CCCOCCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796864578 629982186 /nfs/dbraw/zinc/98/21/86/629982186.db2.gz OXVKYOLAXDXAJU-UHFFFAOYSA-N 0 0 295.335 2.842 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC1CC2(CC2)C1 ZINC000835732675 629997854 /nfs/dbraw/zinc/99/78/54/629997854.db2.gz ZGTQURASZWOZSK-UHFFFAOYSA-N 0 0 261.277 2.623 20 5 CFBDRN C[C@H](O)[C@H]1CCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000852560533 634780782 /nfs/dbraw/zinc/78/07/82/634780782.db2.gz YMMVDDKCWLMPRZ-JOYOIKCWSA-N 0 0 284.743 2.593 20 5 CFBDRN CCc1ccc(C(=O)O[C@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC000835776462 630028517 /nfs/dbraw/zinc/02/85/17/630028517.db2.gz GILKHDMNQLEVLZ-HZMBPMFUSA-N 0 0 293.319 2.739 20 5 CFBDRN CS[C@H](C)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000797201755 630037090 /nfs/dbraw/zinc/03/70/90/630037090.db2.gz TYCAPAATOXWTFV-DTWKUNHWSA-N 0 0 269.322 2.892 20 5 CFBDRN CC(F)(F)CCOC(=O)COc1ccccc1[N+](=O)[O-] ZINC000797217007 630041167 /nfs/dbraw/zinc/04/11/67/630041167.db2.gz PEAHZJCSOSUFJA-UHFFFAOYSA-N 0 0 289.234 2.562 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)[C@H](C)SC)ccc1[N+](=O)[O-] ZINC000797217449 630041407 /nfs/dbraw/zinc/04/14/07/630041407.db2.gz ZNBRGXXSODSRLZ-IUCAKERBSA-N 0 0 299.348 2.900 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)[C@H](C)SC ZINC000797221668 630042806 /nfs/dbraw/zinc/04/28/06/630042806.db2.gz LARAAKNDFZACHF-BDAKNGLRSA-N 0 0 299.348 2.900 20 5 CFBDRN Cc1cc(OCC(=O)OC2CCCC2)ccc1[N+](=O)[O-] ZINC000797400610 630061533 /nfs/dbraw/zinc/06/15/33/630061533.db2.gz IIPBUOSXZSXQSX-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000797475780 630071445 /nfs/dbraw/zinc/07/14/45/630071445.db2.gz HXXPBNPYBIOBSD-IMRBUKKESA-N 0 0 291.303 2.693 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H](C)C(C)C ZINC000797474919 630071716 /nfs/dbraw/zinc/07/17/16/630071716.db2.gz MRZSSEVSWROXDN-SNVBAGLBSA-N 0 0 281.308 2.939 20 5 CFBDRN CSCC[C@H](C)OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000835812119 630078814 /nfs/dbraw/zinc/07/88/14/630078814.db2.gz MUMYRYLGVPUIGH-JTQLQIEISA-N 0 0 283.349 2.822 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000797777445 630102728 /nfs/dbraw/zinc/10/27/28/630102728.db2.gz HNDNTHSVJDBYOV-SECBINFHSA-N 0 0 278.283 2.772 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867150226 630139238 /nfs/dbraw/zinc/13/92/38/630139238.db2.gz VIVLTJXLRGNVFO-LLVKDONJSA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])CC(F)(F)F ZINC000839843215 630144047 /nfs/dbraw/zinc/14/40/47/630144047.db2.gz HRURZJOHWXOYOI-QMMMGPOBSA-N 0 0 284.278 2.527 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC=C(C)C2)c1[N+](=O)[O-] ZINC000798090693 630149759 /nfs/dbraw/zinc/14/97/59/630149759.db2.gz YETKXWWOHZWTEL-UHFFFAOYSA-N 0 0 278.283 2.835 20 5 CFBDRN O=C(Nc1cn[nH]n1)c1cc2ccccc2c2cccnc12 ZINC000798248386 630173986 /nfs/dbraw/zinc/17/39/86/630173986.db2.gz XRSNZLANLONONY-UHFFFAOYSA-N 0 0 289.298 2.758 20 5 CFBDRN C/C(=C/C(=O)Nc1nccc(C)n1)c1ccc([N+](=O)[O-])cc1 ZINC000798366989 630182390 /nfs/dbraw/zinc/18/23/90/630182390.db2.gz QCUNLKKEULKEHF-KTKRTIGZSA-N 0 0 298.302 2.735 20 5 CFBDRN C/C(=C\C(=O)Nc1nccc(C)n1)c1ccc([N+](=O)[O-])cc1 ZINC000798366990 630182406 /nfs/dbraw/zinc/18/24/06/630182406.db2.gz QCUNLKKEULKEHF-MDZDMXLPSA-N 0 0 298.302 2.735 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](c2ccccn2)C1 ZINC000798416393 630189445 /nfs/dbraw/zinc/18/94/45/630189445.db2.gz OWPYERNWYDDUQA-LBPRGKRZSA-N 0 0 284.319 2.687 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](F)C(C)C ZINC000798542178 630203392 /nfs/dbraw/zinc/20/33/92/630203392.db2.gz JJGBVTCJKIECOD-LBPRGKRZSA-N 0 0 284.287 2.926 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@H](C)CC(C)C)n1 ZINC000798657790 630225142 /nfs/dbraw/zinc/22/51/42/630225142.db2.gz SDMWYIOULQRYPY-SNVBAGLBSA-N 0 0 283.328 2.650 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1csnn1 ZINC000836093668 630288836 /nfs/dbraw/zinc/28/88/36/630288836.db2.gz HRPLYMNTPAONDO-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@@H](O)c1ccc(F)cn1 ZINC000799617761 630325107 /nfs/dbraw/zinc/32/51/07/630325107.db2.gz RAWWJDGNEBKKRH-CYBMUJFWSA-N 0 0 292.266 2.550 20 5 CFBDRN CC(C)C1(COC(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000799671039 630332056 /nfs/dbraw/zinc/33/20/56/630332056.db2.gz LEUTUYUICRJPRT-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN CSC1(COC(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000799686975 630335603 /nfs/dbraw/zinc/33/56/03/630335603.db2.gz LEJSDYDDDUWGJF-UHFFFAOYSA-N 0 0 267.306 2.647 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@H]2Nc1ncc([N+](=O)[O-])s1 ZINC000799937930 630355326 /nfs/dbraw/zinc/35/53/26/630355326.db2.gz LAETYECRPKNHDB-SNVBAGLBSA-N 0 0 291.336 2.639 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2cc(F)cc([N+](=O)[O-])c2)s1 ZINC000799945634 630355691 /nfs/dbraw/zinc/35/56/91/630355691.db2.gz VMUMHKQSCNDABK-UHFFFAOYSA-N 0 0 285.212 2.891 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@H]2C(F)(F)F)nc2ccccn21 ZINC000799961468 630356474 /nfs/dbraw/zinc/35/64/74/630356474.db2.gz OPINEYRKTFDHIV-RNFRBKRXSA-N 0 0 286.213 2.605 20 5 CFBDRN O=C(COc1ccsc1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000799969823 630357126 /nfs/dbraw/zinc/35/71/26/630357126.db2.gz BAVNSXYTLWQEPA-UHFFFAOYSA-N 0 0 297.263 2.780 20 5 CFBDRN Cc1cc(CNc2c(C)c([N+](=O)[O-])ccc2F)no1 ZINC000799973797 630357744 /nfs/dbraw/zinc/35/77/44/630357744.db2.gz ZFTSYCUMBBEXGA-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000800297109 630368399 /nfs/dbraw/zinc/36/83/99/630368399.db2.gz BQIGNNJYVLRFHO-IGJMFERPSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])O1 ZINC000800303452 630369367 /nfs/dbraw/zinc/36/93/67/630369367.db2.gz AZCZKTHTFOUPTQ-IGJMFERPSA-N 0 0 297.282 2.591 20 5 CFBDRN Cc1cc(C)nc(C(=O)Oc2cccc([N+](=O)[O-])c2)c1 ZINC000800794279 630403939 /nfs/dbraw/zinc/40/39/39/630403939.db2.gz AWAMWAJJGWOMFG-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1cc(C)nc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c1 ZINC000800819327 630408410 /nfs/dbraw/zinc/40/84/10/630408410.db2.gz CEEFGCHNQHJTRS-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=S)NC(C)(C)C ZINC000800827779 630409380 /nfs/dbraw/zinc/40/93/80/630409380.db2.gz ANIVWVOOQJWSBD-UHFFFAOYSA-N 0 0 295.408 2.742 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000800854458 630411702 /nfs/dbraw/zinc/41/17/02/630411702.db2.gz GNLHMOKVHOWEHN-VHSXEESVSA-N 0 0 267.354 2.873 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)c1cc(N)ccc1[N+](=O)[O-] ZINC000800862234 630412118 /nfs/dbraw/zinc/41/21/18/630412118.db2.gz NTBNQYMFUFTFEV-LLVKDONJSA-N 0 0 261.325 2.970 20 5 CFBDRN C[C@H]1CC(Nc2cc(N)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000800861084 630412333 /nfs/dbraw/zinc/41/23/33/630412333.db2.gz CPUWQWRZKVAUNS-IUCAKERBSA-N 0 0 265.313 2.545 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@H](c3ccccn3)C2)c1 ZINC000800871408 630413203 /nfs/dbraw/zinc/41/32/03/630413203.db2.gz SAUUTCHDQCTBSP-NSHDSACASA-N 0 0 284.319 2.566 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1c1cc(N)ccc1[N+](=O)[O-] ZINC000800869628 630413265 /nfs/dbraw/zinc/41/32/65/630413265.db2.gz AGCZCCHFUOUIGW-APPZFPTMSA-N 0 0 289.257 2.954 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1cccc(F)n1 ZINC000800887461 630414782 /nfs/dbraw/zinc/41/47/82/630414782.db2.gz SZGPTERAMPRZFE-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1cncc(Cl)n1 ZINC000800887747 630414812 /nfs/dbraw/zinc/41/48/12/630414812.db2.gz YQGGNVIURNUJHX-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN Cc1cc(C)nc(C(=O)NCCc2cccc([N+](=O)[O-])c2)c1 ZINC000800925404 630417125 /nfs/dbraw/zinc/41/71/25/630417125.db2.gz IRGXGBJIXAZBKS-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1c(C(=O)Nc2nccn2C2CC2)cccc1[N+](=O)[O-] ZINC000839931652 630430559 /nfs/dbraw/zinc/43/05/59/630430559.db2.gz DLHKVCVTWZVYRK-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN Cc1nc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])co1 ZINC000801389878 630443220 /nfs/dbraw/zinc/44/32/20/630443220.db2.gz TUSURNDTWDQYTR-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H](OC(F)F)C2)c(F)c1 ZINC000801680182 630465359 /nfs/dbraw/zinc/46/53/59/630465359.db2.gz LJLGEXRETWPVSA-ZETCQYMHSA-N 0 0 294.204 2.691 20 5 CFBDRN CCO[C@@H](C)C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000103675943 630525808 /nfs/dbraw/zinc/52/58/08/630525808.db2.gz MNIULBWNKHNYJZ-ZJUUUORDSA-N 0 0 267.281 2.624 20 5 CFBDRN CCOC1CC(COC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000803220050 630590899 /nfs/dbraw/zinc/59/08/99/630590899.db2.gz QGQLEAXVINCLFQ-UHFFFAOYSA-N 0 0 285.321 2.628 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H]2CCC(C)(C)C2=O)cc1[N+](=O)[O-] ZINC000839999014 630608711 /nfs/dbraw/zinc/60/87/11/630608711.db2.gz JLQHGNMWMIDUPC-GFCCVEGCSA-N 0 0 291.303 2.818 20 5 CFBDRN C[C@@H](C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000803378948 630609435 /nfs/dbraw/zinc/60/94/35/630609435.db2.gz WVJLFEFYNGQVNY-SSDOTTSWSA-N 0 0 285.246 2.962 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC[C@H]1C ZINC000803634372 630632352 /nfs/dbraw/zinc/63/23/52/630632352.db2.gz COSMNMWIEOMAEP-OEHMUFGGSA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)COC(F)F ZINC000803730713 630636768 /nfs/dbraw/zinc/63/67/68/630636768.db2.gz HPKYOMNGVJQQKO-MRVPVSSYSA-N 0 0 289.234 2.747 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC[C@H]1CCCO1 ZINC000804340604 630673519 /nfs/dbraw/zinc/67/35/19/630673519.db2.gz GPKODLXDHXLBNI-GFCCVEGCSA-N 0 0 278.308 2.778 20 5 CFBDRN CN(Cc1ccncc1)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804340866 630673901 /nfs/dbraw/zinc/67/39/01/630673901.db2.gz MZDWPKLFHWAYTM-UHFFFAOYSA-N 0 0 288.263 2.534 20 5 CFBDRN C[C@H]1C[C@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804349222 630674662 /nfs/dbraw/zinc/67/46/62/630674662.db2.gz KWCLCAXHNROKTP-YUMQZZPRSA-N 0 0 251.242 2.571 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1cc(C)no1 ZINC000804378441 630677285 /nfs/dbraw/zinc/67/72/85/630677285.db2.gz QKGTXNBEBPTJRB-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN C[C@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000804378529 630677400 /nfs/dbraw/zinc/67/74/00/630677400.db2.gz VAMNLHREACWNAY-VIFPVBQESA-N 0 0 295.295 2.507 20 5 CFBDRN O=C1Cc2cc(Nc3c(F)cccc3[N+](=O)[O-])ccc2N1 ZINC000804459355 630684120 /nfs/dbraw/zinc/68/41/20/630684120.db2.gz NCKYPCOOCJDSLF-UHFFFAOYSA-N 0 0 287.250 2.972 20 5 CFBDRN CC1(C)CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCCO1 ZINC000804463378 630684206 /nfs/dbraw/zinc/68/42/06/630684206.db2.gz OYCJGSHKADUNAK-UHFFFAOYSA-N 0 0 295.295 2.508 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC[C@H](O)C1 ZINC000804500062 630688257 /nfs/dbraw/zinc/68/82/57/630688257.db2.gz JRMHKXTWXKXADJ-YPMHNXCESA-N 0 0 292.335 2.760 20 5 CFBDRN CC(C)(CO)CCCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804498106 630688365 /nfs/dbraw/zinc/68/83/65/630688365.db2.gz BYNSSIOQAZFDAH-UHFFFAOYSA-N 0 0 297.311 2.714 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC[C@H]1CCCS1 ZINC000804544689 630692251 /nfs/dbraw/zinc/69/22/51/630692251.db2.gz OWEPCOMVNARHPP-MRVPVSSYSA-N 0 0 283.309 2.811 20 5 CFBDRN Cc1nnc(NCc2cc([N+](=O)[O-])ccc2Cl)o1 ZINC000804544912 630692278 /nfs/dbraw/zinc/69/22/78/630692278.db2.gz RHWUOEJNMKTMHT-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN C[C@@H](C[C@@H](O)c1ccco1)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000804549750 630692306 /nfs/dbraw/zinc/69/23/06/630692306.db2.gz KSUWIARUVKPABL-TVQRCGJNSA-N 0 0 291.307 2.694 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CC(=O)O[C@H]1CCCC(C)(C)C1 ZINC000836163461 630757982 /nfs/dbraw/zinc/75/79/82/630757982.db2.gz BTKULTVJUHYXJX-NSHDSACASA-N 0 0 295.339 2.612 20 5 CFBDRN C[C@H]1CC(Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000804587242 630761772 /nfs/dbraw/zinc/76/17/72/630761772.db2.gz JEQMZANJEUNGLK-IUCAKERBSA-N 0 0 295.295 2.871 20 5 CFBDRN CCOCCN(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804590165 630762108 /nfs/dbraw/zinc/76/21/08/630762108.db2.gz OSPRXHMVQKGKGH-UHFFFAOYSA-N 0 0 258.705 2.721 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCO[C@@H](C(C)C)C1 ZINC000804598537 630762934 /nfs/dbraw/zinc/76/29/34/630762934.db2.gz OBHBTRCSLSPDAQ-OAHLLOKOSA-N 0 0 292.335 2.659 20 5 CFBDRN CCc1cnc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])o1 ZINC000804621080 630765897 /nfs/dbraw/zinc/76/58/97/630765897.db2.gz HAKRLRCMIMHVRY-UHFFFAOYSA-N 0 0 292.251 2.666 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CCC=CO2)ccc1[N+](=O)[O-] ZINC000804631416 630768005 /nfs/dbraw/zinc/76/80/05/630768005.db2.gz UAGBEZRZQVYFTR-LBPRGKRZSA-N 0 0 276.292 2.902 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NCC(C)C)cc1Cl ZINC000836197497 630769189 /nfs/dbraw/zinc/76/91/89/630769189.db2.gz RICJBASCUBOZDS-UHFFFAOYSA-N 0 0 270.716 2.942 20 5 CFBDRN C[C@H](NC(=O)N1CCCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000836220815 630773923 /nfs/dbraw/zinc/77/39/23/630773923.db2.gz CBBGTNCFJGGILN-NSHDSACASA-N 0 0 277.324 2.851 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCCCCCCC1 ZINC000836239338 630777764 /nfs/dbraw/zinc/77/77/64/630777764.db2.gz ZRMGJCDHIBLOPQ-UHFFFAOYSA-N 0 0 279.340 2.730 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H](O)c2ccc(F)cc2)nc1C1CC1 ZINC000806630340 630825670 /nfs/dbraw/zinc/82/56/70/630825670.db2.gz CSHYNEAVGKDLLB-CYBMUJFWSA-N 0 0 291.282 2.541 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NOC1CCC1 ZINC000836554207 630846639 /nfs/dbraw/zinc/84/66/39/630846639.db2.gz KAALBEUCAKOSRN-UHFFFAOYSA-N 0 0 279.296 2.817 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000836791759 630883585 /nfs/dbraw/zinc/88/35/85/630883585.db2.gz YFSWLDGNJGQFDJ-GHMZBOCLSA-N 0 0 291.351 2.733 20 5 CFBDRN C[C@@]1(F)CCCN(Cn2ncc3cc([N+](=O)[O-])ccc32)C1 ZINC000840098651 630891495 /nfs/dbraw/zinc/89/14/95/630891495.db2.gz DXCNKSXSQYRCSW-CQSZACIVSA-N 0 0 292.314 2.726 20 5 CFBDRN Cc1nn(CN(C)CCc2ccccc2C)cc1[N+](=O)[O-] ZINC000840101924 630894692 /nfs/dbraw/zinc/89/46/92/630894692.db2.gz MSDSJHYJBWPDQN-UHFFFAOYSA-N 0 0 288.351 2.540 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(CN3CC[C@@H](F)C3)c2c(Cl)c1 ZINC000840103205 630897733 /nfs/dbraw/zinc/89/77/33/630897733.db2.gz KRYITFULHPQKHH-SECBINFHSA-N 0 0 298.705 2.599 20 5 CFBDRN CC(C)[C@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@H]1C(C)C ZINC000836888996 630909410 /nfs/dbraw/zinc/90/94/10/630909410.db2.gz RKBAJNHNHDQYSZ-OCCSQVGLSA-N 0 0 284.400 2.961 20 5 CFBDRN CC(C)[C@@H]1[C@@H](C(C)C)CN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000836888998 630909423 /nfs/dbraw/zinc/90/94/23/630909423.db2.gz RKBAJNHNHDQYSZ-TZMCWYRMSA-N 0 0 284.400 2.961 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H]1CCCCO1 ZINC000807941425 630923532 /nfs/dbraw/zinc/92/35/32/630923532.db2.gz NPHPVWKDGXKWTA-LBPRGKRZSA-N 0 0 278.308 2.778 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807972450 630928246 /nfs/dbraw/zinc/92/82/46/630928246.db2.gz RWXAYXLOISEQIG-XPTSAGLGSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCOC1CCC1 ZINC000807987443 630931315 /nfs/dbraw/zinc/93/13/15/630931315.db2.gz FWEVBOLDRPHDAR-UHFFFAOYSA-N 0 0 278.308 2.778 20 5 CFBDRN CCc1cnc(CNc2cc([N+](=O)[O-])ccc2C(C)=O)o1 ZINC000808018930 630942958 /nfs/dbraw/zinc/94/29/58/630942958.db2.gz QRMMHTHKZLBOAQ-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN CC(C)(C)OCCCOc1ccc(N)c([N+](=O)[O-])c1 ZINC000808257361 630972417 /nfs/dbraw/zinc/97/24/17/630972417.db2.gz YATVDDUUIACFIR-UHFFFAOYSA-N 0 0 268.313 2.761 20 5 CFBDRN Cc1cccc(OCCOc2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000808257418 630973002 /nfs/dbraw/zinc/97/30/02/630973002.db2.gz ZGSSWHDFAJUXKJ-UHFFFAOYSA-N 0 0 288.303 2.943 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000855364691 635519620 /nfs/dbraw/zinc/51/96/20/635519620.db2.gz BSDRESGBCQYSEF-GWCFXTLKSA-N 0 0 294.351 2.569 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000837230389 630982989 /nfs/dbraw/zinc/98/29/89/630982989.db2.gz MCPGPWXOASUNRJ-MFKMUULPSA-N 0 0 294.351 2.569 20 5 CFBDRN C[C@H](OC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000808656456 631024719 /nfs/dbraw/zinc/02/47/19/631024719.db2.gz CSGUUUPGSVZSJJ-ZETCQYMHSA-N 0 0 285.246 2.757 20 5 CFBDRN CC(C)C(=O)CCN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000808740422 631041283 /nfs/dbraw/zinc/04/12/83/631041283.db2.gz PFJOTVUFIPJODW-UHFFFAOYSA-N 0 0 276.336 2.568 20 5 CFBDRN Cc1ccc([C@@H](C)C(=O)N(C)c2ccc([N+](=O)[O-])nc2)o1 ZINC000808795288 631049319 /nfs/dbraw/zinc/04/93/19/631049319.db2.gz SKFPZAOQQLDOKG-SNVBAGLBSA-N 0 0 289.291 2.658 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)/C=C(\C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000809109474 631080500 /nfs/dbraw/zinc/08/05/00/631080500.db2.gz HMVCSWPRKDPTKX-GIMQENDZSA-N 0 0 291.303 2.719 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)/C(C)=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000809109058 631080708 /nfs/dbraw/zinc/08/07/08/631080708.db2.gz KLKWWHDSXSNZRW-GIMQENDZSA-N 0 0 291.303 2.719 20 5 CFBDRN C[C@H]1c2ccccc2C[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000855569140 635585985 /nfs/dbraw/zinc/58/59/85/635585985.db2.gz HDDNDFTVBCNKBD-SMDDNHRTSA-N 0 0 290.363 2.667 20 5 CFBDRN O=C1OCc2c1cccc2NCc1cccc([N+](=O)[O-])c1 ZINC000809201101 631096679 /nfs/dbraw/zinc/09/66/79/631096679.db2.gz NJAWDDKGGVGOFA-UHFFFAOYSA-N 0 0 284.271 2.877 20 5 CFBDRN CC[C@H](O)CC(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000837807409 631132494 /nfs/dbraw/zinc/13/24/94/631132494.db2.gz DLXJNSOSIUIECN-PWSUYJOCSA-N 0 0 281.308 2.668 20 5 CFBDRN CC(C)(CCC(=O)OCc1cccc(F)c1F)[N+](=O)[O-] ZINC000837921506 631151735 /nfs/dbraw/zinc/15/17/35/631151735.db2.gz AWTNAHWUGHOKMY-UHFFFAOYSA-N 0 0 287.262 2.844 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000837974625 631155806 /nfs/dbraw/zinc/15/58/06/631155806.db2.gz WAEVQMCWTOTNQH-LDYMZIIASA-N 0 0 294.263 2.592 20 5 CFBDRN CSc1cc(NC(=O)[C@@H]2CC2[N+](=O)[O-])ccc1Cl ZINC000840509673 631160581 /nfs/dbraw/zinc/16/05/81/631160581.db2.gz JZWYWLXMJDNFKL-VXNVDRBHSA-N 0 0 286.740 2.666 20 5 CFBDRN Cc1cnn(C)c1C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000840635297 631172440 /nfs/dbraw/zinc/17/24/40/631172440.db2.gz MPPJNMLFZFHSON-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CCC(=O)COC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000840648266 631173119 /nfs/dbraw/zinc/17/31/19/631173119.db2.gz HSUBPNXPOYUWFN-UHFFFAOYSA-N 0 0 295.291 2.518 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H](O)c1ccsc1 ZINC000809354581 631218023 /nfs/dbraw/zinc/21/80/23/631218023.db2.gz VMDQPBCSFOAADI-LLVKDONJSA-N 0 0 294.332 2.810 20 5 CFBDRN CCC[C@@H](C)c1noc(-c2nn(CC)cc2[N+](=O)[O-])n1 ZINC000809361399 631219010 /nfs/dbraw/zinc/21/90/10/631219010.db2.gz PWQAVRYAXIAUKZ-MRVPVSSYSA-N 0 0 279.300 2.765 20 5 CFBDRN CCO[C@H](CC)C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000841017175 631307973 /nfs/dbraw/zinc/30/79/73/631307973.db2.gz SEIQVUAYVLMRTL-GFCCVEGCSA-N 0 0 281.308 2.932 20 5 CFBDRN CCO[C@@H](CC)C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000841017176 631308330 /nfs/dbraw/zinc/30/83/30/631308330.db2.gz SEIQVUAYVLMRTL-LBPRGKRZSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000110894893 634847991 /nfs/dbraw/zinc/84/79/91/634847991.db2.gz ATXSYELVAJYYPF-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN CN(C(=O)[C@@H]1CC1[N+](=O)[O-])c1c(Cl)cccc1Cl ZINC000841156564 631350171 /nfs/dbraw/zinc/35/01/71/631350171.db2.gz PJKPZFNYLFEGES-HZGVNTEJSA-N 0 0 289.118 2.621 20 5 CFBDRN Cc1c(Cl)cc(F)c(NC(=O)[C@@H]2CC2[N+](=O)[O-])c1F ZINC000841163026 631350985 /nfs/dbraw/zinc/35/09/85/631350985.db2.gz BYPSHBOSMOXOHI-SVGQVSJJSA-N 0 0 290.653 2.530 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)C1(CCF)CC1 ZINC000810558367 631391075 /nfs/dbraw/zinc/39/10/75/631391075.db2.gz KXJDTFSAEFDQEG-UHFFFAOYSA-N 0 0 267.256 2.778 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])C1(CCF)CC1 ZINC000810629927 631411235 /nfs/dbraw/zinc/41/12/35/631411235.db2.gz DELYLMRXKNLVMX-UHFFFAOYSA-N 0 0 281.283 2.820 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(C23CC4CC(CC(C4)C2)C3)no1 ZINC000841732201 631461638 /nfs/dbraw/zinc/46/16/38/631461638.db2.gz CNBGXGAFHJKRII-ZIRAEYPOSA-N 0 0 289.335 2.670 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2csc([N+](=O)[O-])c2)C1=O ZINC000840213732 631463996 /nfs/dbraw/zinc/46/39/96/631463996.db2.gz UTGAQFFPYUJNCM-MRVPVSSYSA-N 0 0 283.305 2.571 20 5 CFBDRN Cc1ncccc1COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000810882523 631467628 /nfs/dbraw/zinc/46/76/28/631467628.db2.gz RXNGEVFNEPKYCZ-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN C[C@H]1[C@@H](C)N(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H]1C ZINC000842048312 631512760 /nfs/dbraw/zinc/51/27/60/631512760.db2.gz CTOOMSMHACJZCP-OPRDCNLKSA-N 0 0 279.296 2.984 20 5 CFBDRN CC[C@@H](COC(=O)c1cc(Cl)ccc1[N+](=O)[O-])OC ZINC000842056366 631516642 /nfs/dbraw/zinc/51/66/42/631516642.db2.gz YVCAICXSGSAZCZ-VIFPVBQESA-N 0 0 287.699 2.830 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2ccc([N+](=O)[O-])c(F)c2)C1=O ZINC000840225517 631523087 /nfs/dbraw/zinc/52/30/87/631523087.db2.gz SGZUFRWJXBJVOY-NSHDSACASA-N 0 0 295.266 2.648 20 5 CFBDRN CCOC(COc1ccc([N+](=O)[O-])c(F)c1F)OCC ZINC000842076947 631526773 /nfs/dbraw/zinc/52/67/73/631526773.db2.gz UZUKGRMNGCWZDB-UHFFFAOYSA-N 0 0 291.250 2.651 20 5 CFBDRN COC1(COC(=O)c2cc(C)ccc2[N+](=O)[O-])CCC1 ZINC000811173856 631529072 /nfs/dbraw/zinc/52/90/72/631529072.db2.gz CYWYNEFTOQDYMC-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN CC[C@@H](COC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])OC ZINC000842100508 631540832 /nfs/dbraw/zinc/54/08/32/631540832.db2.gz VXQXBNKWRIATLR-NSHDSACASA-N 0 0 295.335 2.841 20 5 CFBDRN C[C@H](ONC(=O)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000842113011 631545794 /nfs/dbraw/zinc/54/57/94/631545794.db2.gz CXGYYOHOEYEKKS-VIFPVBQESA-N 0 0 264.281 2.504 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)cc1Cl ZINC000842302358 631583799 /nfs/dbraw/zinc/58/37/99/631583799.db2.gz KWHHRVFSMUXKQG-KNBVPPQFSA-N 0 0 287.674 2.749 20 5 CFBDRN CCOC[C@@H](C)OC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842344597 631599173 /nfs/dbraw/zinc/59/91/73/631599173.db2.gz UBYUFGVSLMOECR-DDTOSNHZSA-N 0 0 293.319 2.667 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC000842368564 631608209 /nfs/dbraw/zinc/60/82/09/631608209.db2.gz XRIPDZHUTQQLFA-KPXOXKRLSA-N 0 0 283.711 2.652 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CC(C)(C)Oc2ccccc21 ZINC000842366993 631608285 /nfs/dbraw/zinc/60/82/85/631608285.db2.gz FWSVQZRVGVSVIE-ZYHUDNBSSA-N 0 0 293.319 2.540 20 5 CFBDRN COC(C)(C)COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842370632 631609793 /nfs/dbraw/zinc/60/97/93/631609793.db2.gz YWNVXSAEWKTJRM-QWHCGFSZSA-N 0 0 293.319 2.667 20 5 CFBDRN COc1cccc(NCCc2ccccc2O)c1[N+](=O)[O-] ZINC000811775044 631621626 /nfs/dbraw/zinc/62/16/26/631621626.db2.gz XKMCHHYBHDBMMV-UHFFFAOYSA-N 0 0 288.303 2.964 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@@H](F)C1 ZINC000811841667 631630565 /nfs/dbraw/zinc/63/05/65/631630565.db2.gz OURKFHAJKULPGG-LLVKDONJSA-N 0 0 268.288 2.680 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC2(CC2)C1 ZINC000811843645 631631607 /nfs/dbraw/zinc/63/16/07/631631607.db2.gz WTMIOLAAFPQXPN-UHFFFAOYSA-N 0 0 262.309 2.732 20 5 CFBDRN Cc1cc(NCc2cncn2C)c(Cl)cc1[N+](=O)[O-] ZINC000811845620 631632545 /nfs/dbraw/zinc/63/25/45/631632545.db2.gz CIQJWEZSGQWVJT-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC1(C)CN(c2nc3ccccn3c2[N+](=O)[O-])[C@@H]1C1CC1 ZINC000811854014 631633295 /nfs/dbraw/zinc/63/32/95/631633295.db2.gz GFHIYBVRCRFFDH-GFCCVEGCSA-N 0 0 286.335 2.867 20 5 CFBDRN CC[C@@H](CNc1cc(OC)cc(F)c1[N+](=O)[O-])OC ZINC000811864379 631633984 /nfs/dbraw/zinc/63/39/84/631633984.db2.gz YKVCNEMWHNETML-QMMMGPOBSA-N 0 0 272.276 2.579 20 5 CFBDRN C[C@@H](NC(=O)NOC/C=C/Cl)c1cccc([N+](=O)[O-])c1 ZINC000811865033 631634189 /nfs/dbraw/zinc/63/41/89/631634189.db2.gz SEQQFGJDCUPHDN-BSPAPZMXSA-N 0 0 299.714 2.639 20 5 CFBDRN Cc1[nH]c2ccc(-c3noc([C@@H]4CC4[N+](=O)[O-])n3)cc2c1C ZINC000842491721 631644587 /nfs/dbraw/zinc/64/45/87/631644587.db2.gz UGKKFMNDMPXOQZ-DGCLKSJQSA-N 0 0 298.302 2.967 20 5 CFBDRN COC(=O)CCCCCCNc1ncc([N+](=O)[O-])cc1F ZINC000840244002 631646594 /nfs/dbraw/zinc/64/65/94/631646594.db2.gz RODIEZNQYMPULI-UHFFFAOYSA-N 0 0 299.302 2.664 20 5 CFBDRN CO[C@@H](CC(C)C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000842505750 631648961 /nfs/dbraw/zinc/64/89/61/631648961.db2.gz RDQMGRRJQJJOTG-JTQLQIEISA-N 0 0 285.344 2.791 20 5 CFBDRN Cc1cccc(C2(c3noc([C@@H]4CC4[N+](=O)[O-])n3)CC2)c1 ZINC000842507224 631649786 /nfs/dbraw/zinc/64/97/86/631649786.db2.gz WOCSOYNBCVNFIC-VXGBXAGGSA-N 0 0 285.303 2.591 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000812264910 631681652 /nfs/dbraw/zinc/68/16/52/631681652.db2.gz MOEMQROEEWKVBK-SNVBAGLBSA-N 0 0 297.380 2.648 20 5 CFBDRN COCCCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842663808 631696743 /nfs/dbraw/zinc/69/67/43/631696743.db2.gz JVFXQSFQTLKPRF-UHFFFAOYSA-N 0 0 283.177 2.567 20 5 CFBDRN COCC1(CC(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000842703362 631712254 /nfs/dbraw/zinc/71/22/54/631712254.db2.gz YGMCOSLRIOYEPE-UHFFFAOYSA-N 0 0 299.710 2.970 20 5 CFBDRN CCc1ccc(OC(=O)CC2(COC)CC2)c([N+](=O)[O-])c1 ZINC000842718601 631716009 /nfs/dbraw/zinc/71/60/09/631716009.db2.gz JUARYIKKTWSQBC-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN CC(C)OCCONc1ccc(F)cc1[N+](=O)[O-] ZINC000812491355 631725941 /nfs/dbraw/zinc/72/59/41/631725941.db2.gz SOCPWYSRFZLVSY-UHFFFAOYSA-N 0 0 258.249 2.502 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000812529844 631729926 /nfs/dbraw/zinc/72/99/26/631729926.db2.gz DYNACNSVFFKCQP-DTWKUNHWSA-N 0 0 284.287 2.591 20 5 CFBDRN O=C(NCCCCF)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000812613657 631743511 /nfs/dbraw/zinc/74/35/11/631743511.db2.gz SFWQHTGZGCNYEI-UHFFFAOYSA-N 0 0 290.241 2.553 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000812633468 631749763 /nfs/dbraw/zinc/74/97/63/631749763.db2.gz YOOVKQFHSFFFAZ-VHSXEESVSA-N 0 0 299.298 2.696 20 5 CFBDRN CC[C@H](C)[C@@H](OC)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812655 631788471 /nfs/dbraw/zinc/78/84/71/631788471.db2.gz OQYTUKLBZAFZRB-GXSJLCMTSA-N 0 0 285.344 2.791 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2C[C@@H]2C2CC2)n1)[N+](=O)[O-] ZINC000812811798 631788912 /nfs/dbraw/zinc/78/89/12/631788912.db2.gz KAVSOYIXKXBYNU-NXEZZACHSA-N 0 0 265.313 2.571 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812169 631788975 /nfs/dbraw/zinc/78/89/75/631788975.db2.gz ZJRNXRUJGZGVCA-VHSXEESVSA-N 0 0 267.329 2.817 20 5 CFBDRN CCCCCc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812813886 631789627 /nfs/dbraw/zinc/78/96/27/631789627.db2.gz PQFWFYSVGRBLEY-UHFFFAOYSA-N 0 0 255.318 2.790 20 5 CFBDRN COCCC1(c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCC1 ZINC000812815047 631789773 /nfs/dbraw/zinc/78/97/73/631789773.db2.gz WKRDQEKMEHDELT-UHFFFAOYSA-N 0 0 297.355 2.516 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000813083877 631829605 /nfs/dbraw/zinc/82/96/05/631829605.db2.gz ZLXKHVFMMOSMMK-VXGBXAGGSA-N 0 0 295.335 2.865 20 5 CFBDRN C[C@@H](COC(=O)CC1CC(c2ccccc2)C1)[N+](=O)[O-] ZINC000813100294 631837354 /nfs/dbraw/zinc/83/73/54/631837354.db2.gz QBWKBSSCUPSLDN-DCBWTQNWSA-N 0 0 277.320 2.779 20 5 CFBDRN C[C@H](COC(=O)c1cc(Cl)ccc1Cl)[N+](=O)[O-] ZINC000813102275 631838013 /nfs/dbraw/zinc/83/80/13/631838013.db2.gz DAXHRKDRWNJGAO-ZCFIWIBFSA-N 0 0 278.091 2.815 20 5 CFBDRN Cc1cc(C)c(/C=C\C(=O)OC[C@H](C)[N+](=O)[O-])cc1C ZINC000813102443 631838730 /nfs/dbraw/zinc/83/87/30/631838730.db2.gz FDVULFAFKUEMIL-SZZPACECSA-N 0 0 277.320 2.833 20 5 CFBDRN C[C@H](COC(=O)Cc1ccc(Cl)cc1Cl)[N+](=O)[O-] ZINC000813102141 631838772 /nfs/dbraw/zinc/83/87/72/631838772.db2.gz OFFFXEIJWJZXKQ-SSDOTTSWSA-N 0 0 292.118 2.744 20 5 CFBDRN C[C@@H](COC(=O)CCC(C)(C)c1ccccc1)[N+](=O)[O-] ZINC000813105142 631840348 /nfs/dbraw/zinc/84/03/48/631840348.db2.gz JXWVIGRXZSUTCJ-LBPRGKRZSA-N 0 0 279.336 2.953 20 5 CFBDRN Cc1cc(C(=O)OC[C@H](C)[N+](=O)[O-])c(Cl)cc1F ZINC000813105203 631840410 /nfs/dbraw/zinc/84/04/10/631840410.db2.gz KLAGPVYJBPBXLJ-ZETCQYMHSA-N 0 0 275.663 2.610 20 5 CFBDRN COc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])c2ccccc12 ZINC000813107864 631842683 /nfs/dbraw/zinc/84/26/83/631842683.db2.gz YAJFCPDDGRANJP-SNVBAGLBSA-N 0 0 289.287 2.670 20 5 CFBDRN COc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])c2ccccc12 ZINC000813107863 631842740 /nfs/dbraw/zinc/84/27/40/631842740.db2.gz YAJFCPDDGRANJP-JTQLQIEISA-N 0 0 289.287 2.670 20 5 CFBDRN CSCc1cc(C(=O)OC[C@H](C)[N+](=O)[O-])ccc1F ZINC000813109091 631842829 /nfs/dbraw/zinc/84/28/29/631842829.db2.gz OEZDTNPGHBFIDW-QMMMGPOBSA-N 0 0 287.312 2.511 20 5 CFBDRN Cc1cccc2c(CCC(=O)OC[C@@H](C)[N+](=O)[O-])c[nH]c21 ZINC000813109460 631843035 /nfs/dbraw/zinc/84/30/35/631843035.db2.gz TXGJCXAKCLUNCL-LLVKDONJSA-N 0 0 290.319 2.617 20 5 CFBDRN C[C@@H](COC(=O)COc1ccccc1C(C)(C)C)[N+](=O)[O-] ZINC000813108946 631843530 /nfs/dbraw/zinc/84/35/30/631843530.db2.gz MSHPWIVGDCJMLV-NSHDSACASA-N 0 0 295.335 2.571 20 5 CFBDRN C[C@H](COC(=O)C1CCC(C(F)(F)F)CC1)[N+](=O)[O-] ZINC000813108389 631843541 /nfs/dbraw/zinc/84/35/41/631843541.db2.gz FSKVHYLQKWMYOG-AFPNSQJFSA-N 0 0 283.246 2.564 20 5 CFBDRN C[C@@H](COC(=O)C1CCC(C(F)(F)F)CC1)[N+](=O)[O-] ZINC000813108390 631843616 /nfs/dbraw/zinc/84/36/16/631843616.db2.gz FSKVHYLQKWMYOG-UEJVZZJDSA-N 0 0 283.246 2.564 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H](C)[N+](=O)[O-])c(C)cc1Cl ZINC000813107811 631843766 /nfs/dbraw/zinc/84/37/66/631843766.db2.gz QSELTLYYSOMFGE-SECBINFHSA-N 0 0 271.700 2.779 20 5 CFBDRN C[C@@H](COC(=O)c1cc(-c2ccccc2)ns1)[N+](=O)[O-] ZINC000813112028 631844338 /nfs/dbraw/zinc/84/43/38/631844338.db2.gz MLJOQJAKVHDAQB-VIFPVBQESA-N 0 0 292.316 2.632 20 5 CFBDRN CC(C)c1ccsc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813112675 631844436 /nfs/dbraw/zinc/84/44/36/631844436.db2.gz SRMOSJUOUJYGKM-QMMMGPOBSA-N 0 0 257.311 2.694 20 5 CFBDRN C[C@@H](COC(=O)C1(Cc2ccccc2Cl)CC1)[N+](=O)[O-] ZINC000813110979 631844605 /nfs/dbraw/zinc/84/46/05/631844605.db2.gz NJWCIHGVQNZTDM-JTQLQIEISA-N 0 0 297.738 2.871 20 5 CFBDRN Cc1nc(C2CCCC2)sc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813113182 631844862 /nfs/dbraw/zinc/84/48/62/631844862.db2.gz OFGCLOHYLFXNSC-MRVPVSSYSA-N 0 0 298.364 2.931 20 5 CFBDRN C[C@H](COC(=O)c1ccc(-c2ccccc2F)o1)[N+](=O)[O-] ZINC000813112849 631845049 /nfs/dbraw/zinc/84/50/49/631845049.db2.gz UVUACWYNIGUKMB-SECBINFHSA-N 0 0 293.250 2.908 20 5 CFBDRN Cc1ccccc1C1(C(=O)OC[C@H](C)[N+](=O)[O-])CCC1 ZINC000813114394 631846115 /nfs/dbraw/zinc/84/61/15/631846115.db2.gz ALPMQEMTQJSBJP-LBPRGKRZSA-N 0 0 277.320 2.625 20 5 CFBDRN CCCn1c(C(=O)OC[C@@H](C)[N+](=O)[O-])cc2ccccc21 ZINC000813114728 631846493 /nfs/dbraw/zinc/84/64/93/631846493.db2.gz VUJILOAKOWLSNS-LLVKDONJSA-N 0 0 290.319 2.873 20 5 CFBDRN CO[C@](C)(COC(=O)c1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000813185401 631875378 /nfs/dbraw/zinc/87/53/78/631875378.db2.gz DNTAVZQDTFUVNK-OAHLLOKOSA-N 0 0 293.319 2.875 20 5 CFBDRN CO[C@@H](C)[C@@H](C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000813292334 631895902 /nfs/dbraw/zinc/89/59/02/631895902.db2.gz OSZRUJMOFGXZQL-ZGFRAZBVSA-N 0 0 292.335 2.538 20 5 CFBDRN COc1cc(C)nc(NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000813354791 631910978 /nfs/dbraw/zinc/91/09/78/631910978.db2.gz FGELBLQFPTUGSB-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CCC[C@H]1CCOC1 ZINC000843296517 631920943 /nfs/dbraw/zinc/92/09/43/631920943.db2.gz MPJPHVNNJRCSIZ-LBPRGKRZSA-N 0 0 276.336 2.774 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1nc(C2CC2)no1 ZINC000843297189 631921035 /nfs/dbraw/zinc/92/10/35/631921035.db2.gz DQSDJXXRBSTTLW-UHFFFAOYSA-N 0 0 289.295 2.509 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1cc(C)on1 ZINC000843298519 631922040 /nfs/dbraw/zinc/92/20/40/631922040.db2.gz POQLGQMLLZIINN-UHFFFAOYSA-N 0 0 262.269 2.545 20 5 CFBDRN Cc1ccoc1CN(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000813632543 631944193 /nfs/dbraw/zinc/94/41/93/631944193.db2.gz IGOURWKSSVAHHV-UHFFFAOYSA-N 0 0 288.263 2.669 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3C[C@H]32)c(C(F)(F)F)c1 ZINC000840298603 631961059 /nfs/dbraw/zinc/96/10/59/631961059.db2.gz WRRHZUSLRODXGW-IMTBSYHQSA-N 0 0 273.214 2.607 20 5 CFBDRN Cc1ccc(NC(=O)C2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000843521945 631974435 /nfs/dbraw/zinc/97/44/35/631974435.db2.gz BKOUMEXJLPASGC-UHFFFAOYSA-N 0 0 270.235 2.887 20 5 CFBDRN CCC/C=C\COC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000813911072 631976926 /nfs/dbraw/zinc/97/69/26/631976926.db2.gz STHSGOQUQIORBL-SREVYHEPSA-N 0 0 295.339 2.698 20 5 CFBDRN CCC/C=C/COC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000813911825 631977020 /nfs/dbraw/zinc/97/70/20/631977020.db2.gz HOFHQTHSSCECHU-SNAWJCMRSA-N 0 0 293.275 2.837 20 5 CFBDRN COCC(C)(C)COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000813995544 631988116 /nfs/dbraw/zinc/98/81/16/631988116.db2.gz MAWKZKXDQZLLEM-UHFFFAOYSA-N 0 0 281.308 2.733 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc(F)cc(C(F)(F)F)c1 ZINC000814033864 631997168 /nfs/dbraw/zinc/99/71/68/631997168.db2.gz FJIMXEFGHARDBY-ZCFIWIBFSA-N 0 0 295.188 2.667 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)C[N+](=O)[O-])nn1C1CCCCC1 ZINC000814037485 631997912 /nfs/dbraw/zinc/99/79/12/631997912.db2.gz YEQXGGGWXFEHDY-LLVKDONJSA-N 0 0 295.339 2.519 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CSc1ccccc1Cl ZINC000814036858 631997933 /nfs/dbraw/zinc/99/79/33/631997933.db2.gz MHFJNYBFXCPPET-QMMMGPOBSA-N 0 0 289.740 2.641 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc2ccc(F)cc2s1 ZINC000814038138 631998171 /nfs/dbraw/zinc/99/81/71/631998171.db2.gz MUKBEELHGLXVJC-ZETCQYMHSA-N 0 0 283.280 2.862 20 5 CFBDRN COc1ccc2oc(C)c(C(=O)O[C@H](C)C[N+](=O)[O-])c2c1 ZINC000814039146 631998624 /nfs/dbraw/zinc/99/86/24/631998624.db2.gz LKWNSHFDAYGBMH-MRVPVSSYSA-N 0 0 293.275 2.572 20 5 CFBDRN Cc1cc2occ(C(=O)O[C@@H](C)C[N+](=O)[O-])c2cc1C ZINC000814040106 631998657 /nfs/dbraw/zinc/99/86/57/631998657.db2.gz CXZLSGBXLOIKED-JTQLQIEISA-N 0 0 277.276 2.872 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cn1ccc2ccc(Cl)cc21 ZINC000814038575 631998753 /nfs/dbraw/zinc/99/87/53/631998753.db2.gz DYCCLRTVDYGDNO-VIFPVBQESA-N 0 0 296.710 2.503 20 5 CFBDRN Cc1ccccc1[C@@H](C)CC(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814039728 631998855 /nfs/dbraw/zinc/99/88/55/631998855.db2.gz WOIIEGHZWUQCFM-RYUDHWBXSA-N 0 0 265.309 2.697 20 5 CFBDRN CC[C@H](CC(=O)O[C@H](C)C[N+](=O)[O-])c1ccc(OC)cc1 ZINC000814039023 631998880 /nfs/dbraw/zinc/99/88/80/631998880.db2.gz KZTBOPAGXQVUPZ-VXGBXAGGSA-N 0 0 295.335 2.787 20 5 CFBDRN C/C(=C/C(=O)O[C@H](C)C[N+](=O)[O-])c1cccc(Cl)c1 ZINC000814039570 631998895 /nfs/dbraw/zinc/99/88/95/631998895.db2.gz SNTVABLQVCBOOW-ABRRARGCSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C/Sc1ccccc1 ZINC000814041918 631999524 /nfs/dbraw/zinc/99/95/24/631999524.db2.gz SWOZTGWQDMRJTL-JARNTUPDSA-N 0 0 267.306 2.501 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cccc2ccccc21 ZINC000814041526 631999544 /nfs/dbraw/zinc/99/95/44/631999544.db2.gz BCIWFQYKDZFYMV-SNVBAGLBSA-N 0 0 259.261 2.662 20 5 CFBDRN Cc1ccc(SCC(=O)O[C@H](C)C[N+](=O)[O-])c(C)c1 ZINC000814042135 631999680 /nfs/dbraw/zinc/99/96/80/631999680.db2.gz GZAYITYWSGHGRE-LLVKDONJSA-N 0 0 283.349 2.604 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@@H](C)c1ccc(F)cc1 ZINC000814044909 632000300 /nfs/dbraw/zinc/00/03/00/632000300.db2.gz LEWGFXYMAXQWPU-NXEZZACHSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1ccc(F)cc1 ZINC000814044910 632000345 /nfs/dbraw/zinc/00/03/45/632000345.db2.gz LEWGFXYMAXQWPU-UWVGGRQHSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccccc1COC(C)(C)C ZINC000814043313 632000350 /nfs/dbraw/zinc/00/03/50/632000350.db2.gz CRZWJACMQLEXRG-LLVKDONJSA-N 0 0 295.335 2.824 20 5 CFBDRN CSc1ccc(Cl)c(C(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000814044975 632000611 /nfs/dbraw/zinc/00/06/11/632000611.db2.gz WOGMWYARHHVNTD-ZETCQYMHSA-N 0 0 289.740 2.884 20 5 CFBDRN CC(C)Cc1ccc(CC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814046826 632001344 /nfs/dbraw/zinc/00/13/44/632001344.db2.gz OYUOKVOOLDUZBO-GFCCVEGCSA-N 0 0 279.336 2.636 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1ccc(Cl)cc1Cl ZINC000814047754 632001351 /nfs/dbraw/zinc/00/13/51/632001351.db2.gz XHUJCMZLUNWIFQ-SSDOTTSWSA-N 0 0 292.118 2.744 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC1CC(c2ccccc2)C1 ZINC000814046294 632001361 /nfs/dbraw/zinc/00/13/61/632001361.db2.gz IOWMYFDFTOHQTR-DCBWTQNWSA-N 0 0 277.320 2.779 20 5 CFBDRN C/C(=C/C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccccc1Cl ZINC000814045672 632001404 /nfs/dbraw/zinc/00/14/04/632001404.db2.gz XFWFTFLICHRAJQ-RNKPRXRFSA-N 0 0 283.711 2.952 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)C[N+](=O)[O-])c(Cl)cc1F ZINC000814047512 632001455 /nfs/dbraw/zinc/00/14/55/632001455.db2.gz NUTDGRVDYDZKQG-SSDOTTSWSA-N 0 0 275.663 2.610 20 5 CFBDRN CCc1c(C(=O)O[C@@H](C)C[N+](=O)[O-])cnn1C(CC)CC ZINC000814050466 632001804 /nfs/dbraw/zinc/00/18/04/632001804.db2.gz XPFAGHBXWLZEDD-JTQLQIEISA-N 0 0 297.355 2.629 20 5 CFBDRN CCc1nc(C)c(COC(=O)c2cccc([N+](=O)[O-])c2)o1 ZINC000814067586 632003758 /nfs/dbraw/zinc/00/37/58/632003758.db2.gz LURVKFAHSKLGBJ-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1ncoc1COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000814096339 632011800 /nfs/dbraw/zinc/01/18/00/632011800.db2.gz YVMIPJRVGCDSBA-QPJJXVBHSA-N 0 0 288.259 2.648 20 5 CFBDRN Cc1ncoc1COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000814096338 632012117 /nfs/dbraw/zinc/01/21/17/632012117.db2.gz YVMIPJRVGCDSBA-DAXSKMNVSA-N 0 0 288.259 2.648 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1C2CCC1CC2 ZINC000843760486 632049920 /nfs/dbraw/zinc/04/99/20/632049920.db2.gz KZAXZFJAWKOIDF-UHFFFAOYSA-N 0 0 278.283 2.809 20 5 CFBDRN CCC1CN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000840318608 632055217 /nfs/dbraw/zinc/05/52/17/632055217.db2.gz BSPDZACJEPFTCL-UHFFFAOYSA-N 0 0 254.261 2.589 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C)C1 ZINC000843782891 632055843 /nfs/dbraw/zinc/05/58/43/632055843.db2.gz ZIYMTEZXAFXNQK-QMMMGPOBSA-N 0 0 266.272 2.524 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843801578 632058828 /nfs/dbraw/zinc/05/88/28/632058828.db2.gz HIAAIKYFFZCGDD-SSDOTTSWSA-N 0 0 286.328 2.524 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC1(C)CCC1 ZINC000843818005 632061647 /nfs/dbraw/zinc/06/16/47/632061647.db2.gz RUFHZJBNPMQQFM-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@H](C)C1 ZINC000843861384 632069164 /nfs/dbraw/zinc/06/91/64/632069164.db2.gz QQFKHAFMNPGFMR-WCBMZHEXSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H](C)C(F)(F)F ZINC000843887065 632071655 /nfs/dbraw/zinc/07/16/55/632071655.db2.gz HGKHJSFIHRIVLZ-LURJTMIESA-N 0 0 294.204 2.723 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCCCF ZINC000843890308 632073153 /nfs/dbraw/zinc/07/31/53/632073153.db2.gz LZAKPDIDRYWZTR-UHFFFAOYSA-N 0 0 272.251 2.522 20 5 CFBDRN CC[C@@H](NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C(F)F ZINC000843897609 632073581 /nfs/dbraw/zinc/07/35/81/632073581.db2.gz MQWKZRWCQYKYAJ-MRVPVSSYSA-N 0 0 290.241 2.816 20 5 CFBDRN CC(C)COC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000814485046 632075996 /nfs/dbraw/zinc/07/59/96/632075996.db2.gz UTYBMJJJIQIKTA-UHFFFAOYSA-N 0 0 284.287 2.613 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CS[C@@H](C)C1 ZINC000843906322 632076279 /nfs/dbraw/zinc/07/62/79/632076279.db2.gz OAXOSOHWZMAJMW-IONNQARKSA-N 0 0 298.339 2.666 20 5 CFBDRN CC(C)(C)C1CN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000840323973 632094961 /nfs/dbraw/zinc/09/49/61/632094961.db2.gz VIVZJAXQBVCKJO-UHFFFAOYSA-N 0 0 274.324 2.725 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N(OCC)C(C)C ZINC000844073860 632106309 /nfs/dbraw/zinc/10/63/09/632106309.db2.gz LFMXIQLMTWCNQE-UHFFFAOYSA-N 0 0 296.323 2.796 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000856058590 635711366 /nfs/dbraw/zinc/71/13/66/635711366.db2.gz BAWMKHFZHUYUET-NXEZZACHSA-N 0 0 278.283 2.524 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000844102955 632119807 /nfs/dbraw/zinc/11/98/07/632119807.db2.gz QTIOZHUWYQAJGR-GMTAPVOTSA-N 0 0 292.310 2.961 20 5 CFBDRN O=C1OCC[C@@H]1CCOc1cccc(Cl)c1[N+](=O)[O-] ZINC000814775049 632119875 /nfs/dbraw/zinc/11/98/75/632119875.db2.gz CNYPGBNMDNMLNX-QMMMGPOBSA-N 0 0 285.683 2.580 20 5 CFBDRN Cc1cc(COc2cccc(Cl)c2[N+](=O)[O-])nn1C ZINC000814775747 632121717 /nfs/dbraw/zinc/12/17/17/632121717.db2.gz VIABJFCAZMVOTG-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1nnc(COc2cccc(Cl)c2[N+](=O)[O-])o1 ZINC000814775685 632121739 /nfs/dbraw/zinc/12/17/39/632121739.db2.gz RZRIMFJTXFPGRT-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN C[C@]1(C(=O)Oc2cccc([N+](=O)[O-])c2)C[C@H]2C[C@H]2C1 ZINC000814813602 632130735 /nfs/dbraw/zinc/13/07/35/632130735.db2.gz GOUUUTCMFWKBGR-MSRIBSCDSA-N 0 0 261.277 2.936 20 5 CFBDRN COC/C(C)=C/C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000844326145 632170030 /nfs/dbraw/zinc/17/00/30/632170030.db2.gz DDZHMNVLVXDSAD-HUYFXPKMSA-N 0 0 279.292 2.792 20 5 CFBDRN COC/C(C)=C\C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000844418829 632202244 /nfs/dbraw/zinc/20/22/44/632202244.db2.gz WHJQJVOQAWDDMI-UMAGTOLTSA-N 0 0 292.335 2.673 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCCC1CCC1 ZINC000844421275 632204277 /nfs/dbraw/zinc/20/42/77/632204277.db2.gz FQAVWIYLOGFMGP-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN COC1(CCOC(=O)c2ccc([N+](=O)[O-])c(F)c2)CCC1 ZINC000815205028 632228568 /nfs/dbraw/zinc/22/85/68/632228568.db2.gz FMILGXKUZLEASC-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN CCCN(C(=O)C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000844516007 632236068 /nfs/dbraw/zinc/23/60/68/632236068.db2.gz MIIWEKUNBQUJHU-UHFFFAOYSA-N 0 0 258.224 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCc1cccnc1 ZINC000105557459 632236505 /nfs/dbraw/zinc/23/65/05/632236505.db2.gz IMDCSVBEXQEJJR-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)O[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000815243235 632238379 /nfs/dbraw/zinc/23/83/79/632238379.db2.gz CZRGWFLBRVIZFV-IUCAKERBSA-N 0 0 281.312 2.787 20 5 CFBDRN C[C@@H](OC(=O)c1cc(C=O)c[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000815256944 632241259 /nfs/dbraw/zinc/24/12/59/632241259.db2.gz LIMJVMLFIRJJML-SECBINFHSA-N 0 0 288.259 2.653 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OCC(=O)C2CC2)cc1[N+](=O)[O-] ZINC000815406293 632268994 /nfs/dbraw/zinc/26/89/94/632268994.db2.gz CFFARNVXJLAZHV-UHFFFAOYSA-N 0 0 297.694 2.693 20 5 CFBDRN C[C@@H](C(=O)OCc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000815493734 632283284 /nfs/dbraw/zinc/28/32/84/632283284.db2.gz NQVNJTOFKXMZGH-QMMMGPOBSA-N 0 0 273.235 2.929 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])[N@@H+]1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000844837303 632320639 /nfs/dbraw/zinc/32/06/39/632320639.db2.gz ZQGLCWMZFQOARX-PRHODGIISA-N 0 0 298.726 2.686 20 5 CFBDRN O=C([O-])C[N@@H+](Cc1cc([N+](=O)[O-])ccc1Cl)CC1CC1 ZINC000844837080 632320741 /nfs/dbraw/zinc/32/07/41/632320741.db2.gz FNOIOJPHDXZETG-UHFFFAOYSA-N 0 0 298.726 2.545 20 5 CFBDRN Cc1ccc(OC(=O)c2ncoc2C2CC2)c([N+](=O)[O-])c1 ZINC000815912159 632331887 /nfs/dbraw/zinc/33/18/87/632331887.db2.gz AWHLSRHQZBIVJJ-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1ncoc1C1CC1 ZINC000815988493 632348502 /nfs/dbraw/zinc/34/85/02/632348502.db2.gz LSTHZWNZPCDBNY-UHFFFAOYSA-N 0 0 292.222 2.819 20 5 CFBDRN Cc1ccoc1COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000816100040 632372435 /nfs/dbraw/zinc/37/24/35/632372435.db2.gz OMMNXOLQUBYARX-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN CCC1(COC(=O)c2ccc(NC)c([N+](=O)[O-])c2)CC1 ZINC000816187656 632391289 /nfs/dbraw/zinc/39/12/89/632391289.db2.gz ZAMUNDVWNGZJJA-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN CS[C@@H](C)CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000156734418 650066859 /nfs/dbraw/zinc/06/68/59/650066859.db2.gz BPXWXKIZPJBJRW-VIFPVBQESA-N 0 0 269.322 2.780 20 5 CFBDRN Cc1nsc(C)c1COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000816339017 632420019 /nfs/dbraw/zinc/42/00/19/632420019.db2.gz IRHRPKGXSKYKGC-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN COC/C(C)=C/C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000845456555 632439016 /nfs/dbraw/zinc/43/90/16/632439016.db2.gz YZLKCODLTDRBTR-VMPITWQZSA-N 0 0 285.683 2.746 20 5 CFBDRN CO[C@@H](COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000816387164 632440532 /nfs/dbraw/zinc/44/05/32/632440532.db2.gz YDIFLKKXHTVSAY-HZMBPMFUSA-N 0 0 293.319 2.667 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OC[C@H]1CC1(F)F ZINC000816414872 632447448 /nfs/dbraw/zinc/44/74/48/632447448.db2.gz GJBFBTLTKOFSPD-XICOKMJESA-N 0 0 283.230 2.806 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CC2(F)F)ccc1[N+](=O)[O-] ZINC000816416684 632448419 /nfs/dbraw/zinc/44/84/19/632448419.db2.gz YMNXUSMFMYZMDZ-SECBINFHSA-N 0 0 271.219 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H](CCO)C1CC1 ZINC000845496541 632449603 /nfs/dbraw/zinc/44/96/03/632449603.db2.gz YQWSYPCARYNWCN-CYBMUJFWSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1c(C(=O)OC[C@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000816420062 632450181 /nfs/dbraw/zinc/45/01/81/632450181.db2.gz GXDSURSXBIGUCY-MRVPVSSYSA-N 0 0 271.219 2.715 20 5 CFBDRN C[C@H](C(=O)OC[C@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000816426024 632453162 /nfs/dbraw/zinc/45/31/62/632453162.db2.gz RLBYLRLRYZYAMD-WCBMZHEXSA-N 0 0 285.246 2.897 20 5 CFBDRN CN(CC1(CO)CC1)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000845521354 632457189 /nfs/dbraw/zinc/45/71/89/632457189.db2.gz BAIPSEYXYKRNBP-UHFFFAOYSA-N 0 0 288.706 2.596 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC(C2(O)CC2)CC1 ZINC000845604826 632479994 /nfs/dbraw/zinc/47/99/94/632479994.db2.gz FTDVIEFDQONRSA-UHFFFAOYSA-N 0 0 276.336 2.645 20 5 CFBDRN Nc1ccc(N[C@@H](C2CC2)C2CCOCC2)c([N+](=O)[O-])c1 ZINC000845657987 632508335 /nfs/dbraw/zinc/50/83/35/632508335.db2.gz APCSHTMURAMXMD-HNNXBMFYSA-N 0 0 291.351 2.794 20 5 CFBDRN CCN1C[C@H](CNc2cc(Cl)ccc2[N+](=O)[O-])CC1=O ZINC000845695415 632523651 /nfs/dbraw/zinc/52/36/51/632523651.db2.gz XPWGMVPRMPKUKV-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@]2(CC2(F)F)C1 ZINC000845743442 632548731 /nfs/dbraw/zinc/54/87/31/632548731.db2.gz TWOCTNDPXWZPSS-NSHDSACASA-N 0 0 269.251 2.615 20 5 CFBDRN Cc1ccnc(N2CCC[C@]3(CC3(F)F)C2)c1[N+](=O)[O-] ZINC000845743569 632548785 /nfs/dbraw/zinc/54/87/85/632548785.db2.gz WKGVFHZOWCNNPB-LBPRGKRZSA-N 0 0 283.278 2.924 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@]3(CC3(F)F)C2)nc1 ZINC000845745251 632549436 /nfs/dbraw/zinc/54/94/36/632549436.db2.gz UWEHWZIFZDAYJP-LLVKDONJSA-N 0 0 269.251 2.615 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1C[C@H]2[C@@H](C1)C2(F)F ZINC000845753372 632552328 /nfs/dbraw/zinc/55/23/28/632552328.db2.gz JNBBWCJQKJRBKM-AOOOYVTPSA-N 0 0 291.257 2.844 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1C[C@H]2[C@@H](C1)C2(F)F ZINC000845755814 632553239 /nfs/dbraw/zinc/55/32/39/632553239.db2.gz HOMZRURQALSKIG-PHIMTYICSA-N 0 0 291.257 2.844 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1C[C@H]2[C@@H](C1)C2(F)F ZINC000845756890 632553352 /nfs/dbraw/zinc/55/33/52/632553352.db2.gz NPXOGVGBPHBPOK-AOOOYVTPSA-N 0 0 291.257 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(CC3(F)F)C2)cc1 ZINC000845766267 632557955 /nfs/dbraw/zinc/55/79/55/632557955.db2.gz FBWMYTFMLTVPOJ-NSHDSACASA-N 0 0 254.236 2.830 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CC[C@H]2C[C@H]2C1)[N+](=O)[O-] ZINC000845767153 632558286 /nfs/dbraw/zinc/55/82/86/632558286.db2.gz BBKYOPXZSQKSQT-GRYCIOLGSA-N 0 0 269.341 2.801 20 5 CFBDRN Cc1cc(NCC2(CC(N)=O)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000817124621 632561338 /nfs/dbraw/zinc/56/13/38/632561338.db2.gz SGLJZFFSINNVMZ-UHFFFAOYSA-N 0 0 297.742 2.624 20 5 CFBDRN CC[C@H]1C[C@H](Nc2nc3ccccn3c2[N+](=O)[O-])CCO1 ZINC000817123950 632561346 /nfs/dbraw/zinc/56/13/46/632561346.db2.gz COLLXHVHSGNXPT-MNOVXSKESA-N 0 0 290.323 2.612 20 5 CFBDRN CC[C@H]1C[C@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000817125044 632561626 /nfs/dbraw/zinc/56/16/26/632561626.db2.gz JOTTWKHXWIKJTD-ZJUUUORDSA-N 0 0 295.295 2.873 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3nonc32)CCO1 ZINC000817124560 632561675 /nfs/dbraw/zinc/56/16/75/632561675.db2.gz HMFDJYGYSUZBFW-IUCAKERBSA-N 0 0 292.295 2.501 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@H](O)CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000817124596 632561846 /nfs/dbraw/zinc/56/18/46/632561846.db2.gz KPEFFPZKSODUFK-ZYHUDNBSSA-N 0 0 292.335 2.537 20 5 CFBDRN CC[C@@H]1CN(c2cc(N)ccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000817126258 632561864 /nfs/dbraw/zinc/56/18/64/632561864.db2.gz WWLIFZCQIHKPDA-TXEJJXNPSA-N 0 0 279.340 2.571 20 5 CFBDRN CC[C@@H]1CN(c2cc(N)ccc2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000817126260 632561868 /nfs/dbraw/zinc/56/18/68/632561868.db2.gz WWLIFZCQIHKPDA-VXGBXAGGSA-N 0 0 279.340 2.571 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](O)CC23CCC3)c(F)c1 ZINC000817131999 632563450 /nfs/dbraw/zinc/56/34/50/632563450.db2.gz XSGBUIWUXNISNF-LLVKDONJSA-N 0 0 280.299 2.618 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H]1CC12CC2 ZINC000817231423 632576223 /nfs/dbraw/zinc/57/62/23/632576223.db2.gz STSXEETZIHZACH-LBPRGKRZSA-N 0 0 291.303 2.837 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CC12CC2 ZINC000817233095 632577562 /nfs/dbraw/zinc/57/75/62/632577562.db2.gz QOEDXNJHSCHIKG-SECBINFHSA-N 0 0 283.230 2.716 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@@H]1CC12CC2 ZINC000817233203 632577875 /nfs/dbraw/zinc/57/78/75/632577875.db2.gz PNKZFZYCDNTVLJ-VIFPVBQESA-N 0 0 265.240 2.577 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000817233823 632578557 /nfs/dbraw/zinc/57/85/57/632578557.db2.gz XHZCCGTZYOEVPI-MWLCHTKSSA-N 0 0 261.277 2.999 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC[C@@H]1CCOC1 ZINC000817239111 632580618 /nfs/dbraw/zinc/58/06/18/632580618.db2.gz PPJOJMKJTOXVKG-LBPRGKRZSA-N 0 0 293.319 2.795 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCCOCC1CC1 ZINC000817238908 632580680 /nfs/dbraw/zinc/58/06/80/632580680.db2.gz FWJSZKJKKMSNOZ-UHFFFAOYSA-N 0 0 293.319 2.795 20 5 CFBDRN C[C@@]1(C(=O)OCc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000817246717 632583910 /nfs/dbraw/zinc/58/39/10/632583910.db2.gz UQISYCWNQBIGKJ-NSHDSACASA-N 0 0 271.219 2.683 20 5 CFBDRN C[C@]1(C(=O)OCc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000817246714 632584009 /nfs/dbraw/zinc/58/40/09/632584009.db2.gz UQISYCWNQBIGKJ-LLVKDONJSA-N 0 0 271.219 2.683 20 5 CFBDRN Cc1c(COC(=O)[C@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000817248491 632584446 /nfs/dbraw/zinc/58/44/46/632584446.db2.gz MMALHTPNKPWWTQ-LBPRGKRZSA-N 0 0 285.246 2.992 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CC1(F)F ZINC000817250651 632585560 /nfs/dbraw/zinc/58/55/60/632585560.db2.gz VWKBQPNKNMJKLF-NSHDSACASA-N 0 0 289.209 2.822 20 5 CFBDRN COCCCC(=O)O[C@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845878071 632590024 /nfs/dbraw/zinc/59/00/24/632590024.db2.gz TVDLASNROYIKKB-HZMBPMFUSA-N 0 0 299.298 2.502 20 5 CFBDRN CC(C)(CCOC(=O)c1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000845888434 632591959 /nfs/dbraw/zinc/59/19/59/632591959.db2.gz NQWHUTXMVZTBFL-UHFFFAOYSA-N 0 0 278.308 2.973 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H]1CC12CC2 ZINC000817431973 632632530 /nfs/dbraw/zinc/63/25/30/632632530.db2.gz TYOGBHPFLYNQLS-QMMMGPOBSA-N 0 0 266.684 2.987 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000817447726 632637762 /nfs/dbraw/zinc/63/77/62/632637762.db2.gz HSXSUUREIUTFGK-GMTAPVOTSA-N 0 0 291.303 2.945 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])C12CCC2 ZINC000840342746 632655115 /nfs/dbraw/zinc/65/51/15/632655115.db2.gz PXLHZAYFSPSJHA-OLZOCXBDSA-N 0 0 277.324 2.547 20 5 CFBDRN CCc1cc(NCc2cccc([N+](=O)[O-])c2)nn1C ZINC000817709662 632688819 /nfs/dbraw/zinc/68/88/19/632688819.db2.gz ZCPJUDWGIMNJFU-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN CCc1cc(NCc2ccc(OC)c([N+](=O)[O-])c2)nn1C ZINC000817709180 632688926 /nfs/dbraw/zinc/68/89/26/632688926.db2.gz QOSZBKPHUIUORM-UHFFFAOYSA-N 0 0 290.323 2.511 20 5 CFBDRN COC[C@@H](OC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C1CC1 ZINC000817722708 632693694 /nfs/dbraw/zinc/69/36/94/632693694.db2.gz VNIVFCFYWHTYLX-CQSZACIVSA-N 0 0 293.319 2.793 20 5 CFBDRN COCC1(COC(=O)c2cc([N+](=O)[O-])c(C)cc2C)CC1 ZINC000817735856 632698566 /nfs/dbraw/zinc/69/85/66/632698566.db2.gz MJJNEFCLJGTWRR-UHFFFAOYSA-N 0 0 293.319 2.795 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H](F)C1 ZINC000846628681 632761740 /nfs/dbraw/zinc/76/17/40/632761740.db2.gz AYSANADMMWYEEC-YGNAEDSMSA-N 0 0 292.310 2.959 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC[C@@H]2CC2(Cl)Cl)c1=O ZINC000818080384 632795333 /nfs/dbraw/zinc/79/53/33/632795333.db2.gz ZCYPUUOSYVGQBG-MRVPVSSYSA-N 0 0 291.134 2.649 20 5 CFBDRN C/C(=C\C(=O)NCC[C@@H](C)F)c1ccc([N+](=O)[O-])cc1 ZINC000818297219 632824134 /nfs/dbraw/zinc/82/41/34/632824134.db2.gz HIYAGBRTHOOTGO-PBQZMEPESA-N 0 0 280.299 2.862 20 5 CFBDRN C/C(=C/C(=O)N1C[C@H](C)[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000818468095 632848056 /nfs/dbraw/zinc/84/80/56/632848056.db2.gz XZKRLJFJSJYTCW-RVWWTBQBSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccc(NC(=O)/C=C/[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000818996860 632925894 /nfs/dbraw/zinc/92/58/94/632925894.db2.gz XRYQHSSPGRKLIY-SYTKJHMZSA-N 0 0 276.292 2.577 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C\[C@H]1CCCO1 ZINC000819003827 632928444 /nfs/dbraw/zinc/92/84/44/632928444.db2.gz ZFWZECULLMASFA-FOQNGQEVSA-N 0 0 290.319 2.885 20 5 CFBDRN C[C@H](NC(=O)/C=C/[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000819129485 632978262 /nfs/dbraw/zinc/97/82/62/632978262.db2.gz JZPOOMKHLOQUBX-XNHDODSBSA-N 0 0 290.319 2.507 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C(F)=C1CCC1 ZINC000819151034 632985968 /nfs/dbraw/zinc/98/59/68/632985968.db2.gz XPQKBFPGYXXGLI-UHFFFAOYSA-N 0 0 278.283 2.661 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cccc(C(C)(C)C)c1 ZINC000847715624 633052887 /nfs/dbraw/zinc/05/28/87/633052887.db2.gz HZDLVVTYAKNOEM-SNVBAGLBSA-N 0 0 265.309 2.806 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCC1CCCCCC1 ZINC000847716879 633052985 /nfs/dbraw/zinc/05/29/85/633052985.db2.gz RWACVSRFWLSLDO-NSHDSACASA-N 0 0 257.330 2.945 20 5 CFBDRN CCCCC[C@H](CC)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847784518 633075911 /nfs/dbraw/zinc/07/59/11/633075911.db2.gz VVEXJJOFWNHBBJ-NSHDSACASA-N 0 0 297.355 2.978 20 5 CFBDRN COC[C@@H](C)CCOC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000847868441 633119139 /nfs/dbraw/zinc/11/91/39/633119139.db2.gz GHIQDSWUXKGAJC-JTQLQIEISA-N 0 0 281.308 2.733 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OCC(C)(C)C(C)C ZINC000847944725 633159144 /nfs/dbraw/zinc/15/91/44/633159144.db2.gz SLBANEVTYRPGHI-UHFFFAOYSA-N 0 0 297.355 2.715 20 5 CFBDRN CCC(CC)(COC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])C(F)(F)F ZINC000847985476 633176516 /nfs/dbraw/zinc/17/65/16/633176516.db2.gz ZHDDOQSHWVCMBJ-HTQZYQBOSA-N 0 0 283.246 2.564 20 5 CFBDRN CC(C)(CCC(=O)OCc1scnc1Cl)[N+](=O)[O-] ZINC000857060828 635921882 /nfs/dbraw/zinc/92/18/82/635921882.db2.gz XENNNFATNKKZGH-UHFFFAOYSA-N 0 0 292.744 2.675 20 5 CFBDRN CCCOCCOC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000107413168 633212024 /nfs/dbraw/zinc/21/20/24/633212024.db2.gz WXAOJNSLHSRJDA-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CC[C@@H]1CCN1Cn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000848491897 633265579 /nfs/dbraw/zinc/26/55/79/633265579.db2.gz ZWQKMGCZPZKFCM-CYBMUJFWSA-N 0 0 286.335 2.900 20 5 CFBDRN Cc1c(CON=C(N)[C@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000848523120 633276448 /nfs/dbraw/zinc/27/64/48/633276448.db2.gz YNBQHFAPQPCKFU-KWQFWETISA-N 0 0 263.297 2.558 20 5 CFBDRN CCc1nc(CN[C@H]2CCc3c2cccc3[N+](=O)[O-])co1 ZINC000848553586 633287771 /nfs/dbraw/zinc/28/77/71/633287771.db2.gz LRWRSDZRNFNATG-ZDUSSCGKSA-N 0 0 287.319 2.922 20 5 CFBDRN Cc1nc(COC(=O)CCc2cccc([N+](=O)[O-])c2)co1 ZINC000848729857 633327577 /nfs/dbraw/zinc/32/75/77/633327577.db2.gz KTKIQRXCCZMSQS-UHFFFAOYSA-N 0 0 290.275 2.567 20 5 CFBDRN CC1(Nc2nc3ccccn3c2[N+](=O)[O-])CC=CC1 ZINC000848746776 633332994 /nfs/dbraw/zinc/33/29/94/633332994.db2.gz PLJOVUTUNJLOHD-UHFFFAOYSA-N 0 0 258.281 2.763 20 5 CFBDRN COCC1(CNc2cc([N+](=O)[O-])ccc2C(C)=O)CC1 ZINC000848757379 633336577 /nfs/dbraw/zinc/33/65/77/633336577.db2.gz UWLKCGGRNJLRQA-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN C[C@H]1CCC[C@H](COC(=O)c2ccnc(N)c2[N+](=O)[O-])C1 ZINC000848787713 633343656 /nfs/dbraw/zinc/34/36/56/633343656.db2.gz RRZXSOWVOZAWNJ-UWVGGRQHSA-N 0 0 293.323 2.555 20 5 CFBDRN C/C(=C\C(=O)N[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000819627339 633402251 /nfs/dbraw/zinc/40/22/51/633402251.db2.gz VBMYLUUSRJWCMF-FROQITRMSA-N 0 0 272.304 2.667 20 5 CFBDRN C/C(=C\C(=O)N[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000819627337 633402367 /nfs/dbraw/zinc/40/23/67/633402367.db2.gz VBMYLUUSRJWCMF-AORWBKJGSA-N 0 0 272.304 2.667 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]1C1CCC1 ZINC000819629822 633404125 /nfs/dbraw/zinc/40/41/25/633404125.db2.gz WMBPIYNSRVFXHR-XDHNQJSPSA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1ncccc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000108153098 633469268 /nfs/dbraw/zinc/46/92/68/633469268.db2.gz MKTLCCBOUAHDMV-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)CNc1nnc(-c2cccc([N+](=O)[O-])c2)o1 ZINC000849159273 633572694 /nfs/dbraw/zinc/57/26/94/633572694.db2.gz SVQMGCZUYFIBFC-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1CCO[C@@H]1CC1(F)F ZINC000849313245 633612720 /nfs/dbraw/zinc/61/27/20/633612720.db2.gz QMIWCNPUWVRCPY-NOZJJQNGSA-N 0 0 298.289 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CC[C@H]1CCCCO1 ZINC000849312758 633612994 /nfs/dbraw/zinc/61/29/94/633612994.db2.gz ITECMHIDHUVRKL-CQSZACIVSA-N 0 0 276.336 2.917 20 5 CFBDRN C[C@H](N[C@@H]1C[C@H](C)n2ncnc21)c1ccccc1[N+](=O)[O-] ZINC000849322662 633616615 /nfs/dbraw/zinc/61/66/15/633616615.db2.gz SQYATZSDEALARG-JBLDHEPKSA-N 0 0 287.323 2.543 20 5 CFBDRN CC1(C)C[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000849629838 633646739 /nfs/dbraw/zinc/64/67/39/633646739.db2.gz PEWOBPDOKFCSCJ-VIFPVBQESA-N 0 0 299.710 2.973 20 5 CFBDRN O=C(CC[C@H]1CCCO1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849682222 633672794 /nfs/dbraw/zinc/67/27/94/633672794.db2.gz HILWTSBWSCEHML-GFCCVEGCSA-N 0 0 297.282 2.736 20 5 CFBDRN CC(C)[C@H](C)OC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000849688109 633674833 /nfs/dbraw/zinc/67/48/33/633674833.db2.gz MGASPGRIYWYSBP-NSHDSACASA-N 0 0 281.308 2.951 20 5 CFBDRN Cc1nn(C)c(C(=O)OC[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000849713021 633684676 /nfs/dbraw/zinc/68/46/76/633684676.db2.gz UYSMCIGBEXUPOC-GXSJLCMTSA-N 0 0 295.339 2.620 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccccc1 ZINC000007078981 633692188 /nfs/dbraw/zinc/69/21/88/633692188.db2.gz YYJIBDGAYZGMJU-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN C/C(=C\C(=O)OC[C@H](O)C(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000849755923 633705126 /nfs/dbraw/zinc/70/51/26/633705126.db2.gz DQPVLVFOZJZQPA-ZHZWZMEUSA-N 0 0 293.319 2.558 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1COC(=O)c1ccnc(N)c1[N+](=O)[O-] ZINC000849820611 633734762 /nfs/dbraw/zinc/73/47/62/633734762.db2.gz FNZGUFCRLAFAGN-NXEZZACHSA-N 0 0 293.323 2.555 20 5 CFBDRN O=C1CC[C@@H](CSc2ccc([N+](=O)[O-])cc2F)O1 ZINC000849892313 633755229 /nfs/dbraw/zinc/75/52/29/633755229.db2.gz PNTINOVJFBMZAV-QMMMGPOBSA-N 0 0 271.269 2.532 20 5 CFBDRN O=[N+]([O-])c1cc(OCCC2CC2)cc([N+](=O)[O-])c1 ZINC000849956967 633774970 /nfs/dbraw/zinc/77/49/70/633774970.db2.gz WCJJYKZLFPSQOI-UHFFFAOYSA-N 0 0 252.226 2.682 20 5 CFBDRN CCSCOc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849956017 633775180 /nfs/dbraw/zinc/77/51/80/633775180.db2.gz DEBPTPFCXNGASE-UHFFFAOYSA-N 0 0 258.255 2.592 20 5 CFBDRN CSC(C)(C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000849987213 633784325 /nfs/dbraw/zinc/78/43/25/633784325.db2.gz DTGOMURDALTHKR-UHFFFAOYSA-N 0 0 275.351 2.841 20 5 CFBDRN C[C@@H](CC(=O)OCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCO1 ZINC000850080570 633827529 /nfs/dbraw/zinc/82/75/29/633827529.db2.gz SGNWWERIJQBVOG-FZMZJTMJSA-N 0 0 293.319 2.843 20 5 CFBDRN Cc1c(COC(=O)[C@H]2C[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000850263528 633916828 /nfs/dbraw/zinc/91/68/28/633916828.db2.gz LIZVUPVKXRNZGN-ITGUQSILSA-N 0 0 275.304 2.993 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@H]1C[C@H]2C[C@H]2C1 ZINC000850264199 633917648 /nfs/dbraw/zinc/91/76/48/633917648.db2.gz VQPDNPDGTGHWJF-MYJAWHEDSA-N 0 0 279.267 2.823 20 5 CFBDRN C[C@@H]1CO[C@H](C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000885575289 633923491 /nfs/dbraw/zinc/92/34/91/633923491.db2.gz XQFFUEGXEKRLKU-UFBFGSQYSA-N 0 0 299.710 2.716 20 5 CFBDRN CCOC1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000850287242 633926414 /nfs/dbraw/zinc/92/64/14/633926414.db2.gz WZYHPIGEYLIJCZ-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN Cn1ccnc1[C@@H](N[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000850506770 634022906 /nfs/dbraw/zinc/02/29/06/634022906.db2.gz BRUNXQSHIHNWNO-ZFWWWQNUSA-N 0 0 298.346 2.726 20 5 CFBDRN Cn1ccnc1[C@H](N[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000850506769 634022961 /nfs/dbraw/zinc/02/29/61/634022961.db2.gz BRUNXQSHIHNWNO-UKRRQHHQSA-N 0 0 298.346 2.726 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000850743339 634071732 /nfs/dbraw/zinc/07/17/32/634071732.db2.gz VHYGOWQIQHBQJL-ZWKOPEQDSA-N 0 0 261.277 2.936 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C1CC2(CC2)C1 ZINC000850755948 634080561 /nfs/dbraw/zinc/08/05/61/634080561.db2.gz MMPGCVFXSVPXKL-UHFFFAOYSA-N 0 0 277.276 2.699 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850756774 634081967 /nfs/dbraw/zinc/08/19/67/634081967.db2.gz UZHYMPSRCLJERJ-MYJAWHEDSA-N 0 0 277.276 2.555 20 5 CFBDRN CO[C@@H](C(=O)Oc1ccc(C)cc1[N+](=O)[O-])C1CCC1 ZINC000850766734 634083040 /nfs/dbraw/zinc/08/30/40/634083040.db2.gz RQKBSUTZXRWWTH-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(CCCF)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000850797112 634098247 /nfs/dbraw/zinc/09/82/47/634098247.db2.gz VSNIBUYNMXMVTR-UHFFFAOYSA-N 0 0 261.636 2.903 20 5 CFBDRN Cc1ccoc1CC(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000850893616 634125596 /nfs/dbraw/zinc/12/55/96/634125596.db2.gz OLUTZXKVORRRHT-UHFFFAOYSA-N 0 0 289.287 2.825 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccn(C)c1-c1ccccc1 ZINC000851046513 634194785 /nfs/dbraw/zinc/19/47/85/634194785.db2.gz QXZNUMZVQHDJPW-NSHDSACASA-N 0 0 288.303 2.514 20 5 CFBDRN CC(C)(COC(=O)CCC1CCCCC1)[N+](=O)[O-] ZINC000851123110 634234830 /nfs/dbraw/zinc/23/48/30/634234830.db2.gz PBPMHYRJWPXPMU-UHFFFAOYSA-N 0 0 257.330 2.945 20 5 CFBDRN Cc1cccc(CSCC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851124412 634234879 /nfs/dbraw/zinc/23/48/79/634234879.db2.gz VUPSBGQIEGBGLJ-UHFFFAOYSA-N 0 0 297.376 2.827 20 5 CFBDRN CC(C)(COC(=O)C[C@H]1CCc2ccccc2C1)[N+](=O)[O-] ZINC000851125353 634235471 /nfs/dbraw/zinc/23/54/71/634235471.db2.gz AMRDNBJWHFIHOU-LBPRGKRZSA-N 0 0 291.347 2.780 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000851125127 634235481 /nfs/dbraw/zinc/23/54/81/634235481.db2.gz YKTRSRUASXDROT-NWDGAFQWSA-N 0 0 281.283 2.528 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1C[C@@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000851125129 634235616 /nfs/dbraw/zinc/23/56/16/634235616.db2.gz YKTRSRUASXDROT-VXGBXAGGSA-N 0 0 281.283 2.528 20 5 CFBDRN C[C@@H]1CCC[C@@H](CC(=O)OCC(C)(C)[N+](=O)[O-])C1 ZINC000851125849 634235649 /nfs/dbraw/zinc/23/56/49/634235649.db2.gz KUNIRBLHTPQTFF-GHMZBOCLSA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)(COC(=O)c1sccc1C1CC1)[N+](=O)[O-] ZINC000851125755 634235687 /nfs/dbraw/zinc/23/56/87/634235687.db2.gz HFXDMDGOLUOQFF-UHFFFAOYSA-N 0 0 269.322 2.838 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CCC[C@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000851124822 634235821 /nfs/dbraw/zinc/23/58/21/634235821.db2.gz MMCFQZUBTJKDNG-IUCAKERBSA-N 0 0 297.273 2.954 20 5 CFBDRN Cc1ccsc1[C@H]1C[C@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125307 634235966 /nfs/dbraw/zinc/23/59/66/634235966.db2.gz BEFZZQPFJMJFBT-VHSXEESVSA-N 0 0 283.349 2.759 20 5 CFBDRN CC(C)(COC(=O)C1(C)CCCCCC1)[N+](=O)[O-] ZINC000851126659 634236652 /nfs/dbraw/zinc/23/66/52/634236652.db2.gz GGZQUWRAPMFWTD-UHFFFAOYSA-N 0 0 257.330 2.945 20 5 CFBDRN CCCc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851126128 634236752 /nfs/dbraw/zinc/23/67/52/634236752.db2.gz NOYYJJONOFMRMZ-UHFFFAOYSA-N 0 0 265.309 2.851 20 5 CFBDRN C/C(=C\C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000851126111 634236858 /nfs/dbraw/zinc/23/68/58/634236858.db2.gz MUUUWTSLMLIMJA-PKNBQFBNSA-N 0 0 263.293 2.688 20 5 CFBDRN CCc1ccc([C@@H](C)C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851126487 634236882 /nfs/dbraw/zinc/23/68/82/634236882.db2.gz PSUPEDYSPYFEQO-LLVKDONJSA-N 0 0 279.336 2.951 20 5 CFBDRN CC(C)(COC(=O)[C@@H](c1ccccc1)C1CC1)[N+](=O)[O-] ZINC000851127671 634237735 /nfs/dbraw/zinc/23/77/35/634237735.db2.gz RJGAHCFDJCSEGB-ZDUSSCGKSA-N 0 0 277.320 2.779 20 5 CFBDRN CC1=C(C)C[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])CC1 ZINC000851128556 634237905 /nfs/dbraw/zinc/23/79/05/634237905.db2.gz ANRUMKAZJPSIEH-LLVKDONJSA-N 0 0 255.314 2.721 20 5 CFBDRN C[C@@H]1[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])[C@H]1c1ccccc1 ZINC000851129357 634238447 /nfs/dbraw/zinc/23/84/47/634238447.db2.gz KURXKWZOZIQOBM-CYZMBNFOSA-N 0 0 277.320 2.635 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000851225210 634277967 /nfs/dbraw/zinc/27/79/67/634277967.db2.gz DAIYEWFRGYUGEH-JGPRNRPPSA-N 0 0 291.303 2.806 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000851225600 634278679 /nfs/dbraw/zinc/27/86/79/634278679.db2.gz QFVBHXAQIGLBTG-CLLJXQQHSA-N 0 0 275.304 2.727 20 5 CFBDRN COc1ccc(C(=O)OC[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000851232219 634283690 /nfs/dbraw/zinc/28/36/90/634283690.db2.gz YIYQYUWFJDCVAN-YHPBZONMSA-N 0 0 291.303 2.806 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCC[C@H]1CC1(F)F ZINC000851376803 634346417 /nfs/dbraw/zinc/34/64/17/634346417.db2.gz ZBOQTSVSVAWDFX-JTQLQIEISA-N 0 0 285.246 2.726 20 5 CFBDRN CC1CC(COC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000851461321 634374341 /nfs/dbraw/zinc/37/43/41/634374341.db2.gz DEZBPJGCGSHGSA-UHFFFAOYSA-N 0 0 267.256 2.937 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCC1CC(C)C1 ZINC000851461436 634374412 /nfs/dbraw/zinc/37/44/12/634374412.db2.gz FQHIKPCATUXHIV-UHFFFAOYSA-N 0 0 264.281 2.501 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC1CC(C)C1 ZINC000851463911 634377003 /nfs/dbraw/zinc/37/70/03/634377003.db2.gz KDYNOUXPKCZSFT-UHFFFAOYSA-N 0 0 279.292 2.806 20 5 CFBDRN CCOc1ccc(NCc2onc(C)c2[N+](=O)[O-])cc1 ZINC000853056636 634891745 /nfs/dbraw/zinc/89/17/45/634891745.db2.gz ADALYTYWIQMXSN-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN C[C@H]1CN(c2cc[nH]c(=O)c2[N+](=O)[O-])Cc2ccccc21 ZINC000853090264 634900310 /nfs/dbraw/zinc/90/03/10/634900310.db2.gz JDAANTIZOCIUDI-JTQLQIEISA-N 0 0 285.303 2.819 20 5 CFBDRN CN(Cc1nccn1C)c1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000853094929 634902665 /nfs/dbraw/zinc/90/26/65/634902665.db2.gz PRNTUBQVJSGHNL-UHFFFAOYSA-N 0 0 296.277 2.902 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCCCC1(C)C ZINC000853160313 634922446 /nfs/dbraw/zinc/92/24/46/634922446.db2.gz UWIQOEPPSVAWME-JTQLQIEISA-N 0 0 295.339 2.702 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCCC2(CCCCC2)C1 ZINC000111173576 634942101 /nfs/dbraw/zinc/94/21/01/634942101.db2.gz QWBKSCUMYYOOTC-UHFFFAOYSA-N 0 0 278.356 2.795 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2ccncc2)c([N+](=O)[O-])s1 ZINC000853454754 635003641 /nfs/dbraw/zinc/00/36/41/635003641.db2.gz XZQIMAMFHQFZCB-LLVKDONJSA-N 0 0 279.321 2.505 20 5 CFBDRN COc1cc(N2CCO[C@@H](C(C)C)C2)c([N+](=O)[O-])cc1C ZINC000853471753 635009177 /nfs/dbraw/zinc/00/91/77/635009177.db2.gz QIMUDDLRBJZUCL-OAHLLOKOSA-N 0 0 294.351 2.773 20 5 CFBDRN COc1cc(N2CCC=C(F)C2)c([N+](=O)[O-])cc1C ZINC000853471397 635009265 /nfs/dbraw/zinc/00/92/65/635009265.db2.gz LKAUXBBTNWIQEM-UHFFFAOYSA-N 0 0 266.272 2.975 20 5 CFBDRN CCSCCSc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000853478288 635011773 /nfs/dbraw/zinc/01/17/73/635011773.db2.gz FHNQTPCATMIELX-UHFFFAOYSA-N 0 0 260.340 2.541 20 5 CFBDRN CC(=O)OCCCSc1c(Cl)cncc1[N+](=O)[O-] ZINC000853553745 635035574 /nfs/dbraw/zinc/03/55/74/635035574.db2.gz HDCPBOQQIUSMPR-UHFFFAOYSA-N 0 0 290.728 2.689 20 5 CFBDRN CO[C@H](Cn1ccc2c1cccc2[N+](=O)[O-])[C@@H]1CCOC1 ZINC000853668457 635068555 /nfs/dbraw/zinc/06/85/55/635068555.db2.gz NSUKMZBUZCHLLM-IAQYHMDHSA-N 0 0 290.319 2.601 20 5 CFBDRN COC(OC)[C@H](C)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000853680566 635072241 /nfs/dbraw/zinc/07/22/41/635072241.db2.gz GGPAMSXICPXFIV-VIFPVBQESA-N 0 0 291.307 2.562 20 5 CFBDRN CCCC[C@@H](CC)C(=O)NOCc1onc(C)c1[N+](=O)[O-] ZINC000853692728 635077020 /nfs/dbraw/zinc/07/70/20/635077020.db2.gz YPLABOPRAMSQDM-SNVBAGLBSA-N 0 0 299.327 2.655 20 5 CFBDRN C[C@H]1C[C@@H](COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCO1 ZINC000853740198 635089684 /nfs/dbraw/zinc/08/96/84/635089684.db2.gz UIYCKOSTXNVWJG-UWVGGRQHSA-N 0 0 296.279 2.697 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1COC(C)(C)C1 ZINC000853752382 635093549 /nfs/dbraw/zinc/09/35/49/635093549.db2.gz RCJYCYBCNAQADB-JTQLQIEISA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@]1(C2CC2)CN(c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000853765448 635099618 /nfs/dbraw/zinc/09/96/18/635099618.db2.gz FOAAPHKBIYBYOP-CQSZACIVSA-N 0 0 262.309 2.600 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1c1ccc([N+](=O)[O-])cc1F ZINC000853810123 635112875 /nfs/dbraw/zinc/11/28/75/635112875.db2.gz XDIGSSOSCXJVNL-JTQLQIEISA-N 0 0 280.299 2.882 20 5 CFBDRN Cc1cnc(N[C@@H]2C[C@H](O)c3ccccc32)c([N+](=O)[O-])c1 ZINC000853840526 635125951 /nfs/dbraw/zinc/12/59/51/635125951.db2.gz UENKVZMCBSIEOS-OCCSQVGLSA-N 0 0 285.303 2.889 20 5 CFBDRN Cc1nc(N[C@H]2C[C@@H](O)c3ccccc32)ccc1[N+](=O)[O-] ZINC000853840767 635126021 /nfs/dbraw/zinc/12/60/21/635126021.db2.gz XVYHVJNNMZAQLM-GXTWGEPZSA-N 0 0 285.303 2.889 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@@H](O)c3ccccc32)ccc1[N+](=O)[O-] ZINC000853840770 635126186 /nfs/dbraw/zinc/12/61/86/635126186.db2.gz XVYHVJNNMZAQLM-TZMCWYRMSA-N 0 0 285.303 2.889 20 5 CFBDRN COc1cc(NCCc2ccoc2)c([N+](=O)[O-])cc1OC ZINC000853842503 635127606 /nfs/dbraw/zinc/12/76/06/635127606.db2.gz FCWDDIMJQKWQFJ-UHFFFAOYSA-N 0 0 292.291 2.860 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@]12OCCC[C@H]1C2(F)F ZINC000853848008 635130293 /nfs/dbraw/zinc/13/02/93/635130293.db2.gz LEMPUZJJBZBQMH-SCZZXKLOSA-N 0 0 290.291 2.883 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2ccc([N+](=O)[O-])c3nonc32)O1 ZINC000853849260 635131932 /nfs/dbraw/zinc/13/19/32/635131932.db2.gz IXLWONUILLNCRF-DTWKUNHWSA-N 0 0 292.295 2.501 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2nc3ccccn3c2[N+](=O)[O-])O1 ZINC000853850854 635132256 /nfs/dbraw/zinc/13/22/56/635132256.db2.gz WSQUZILTGWJFDN-WDEREUQCSA-N 0 0 290.323 2.612 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H](CO)CC1CC1 ZINC000853868376 635140205 /nfs/dbraw/zinc/14/02/05/635140205.db2.gz JSKGSOQVLJCUMH-GFCCVEGCSA-N 0 0 292.335 2.618 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)O[C@@H](C)C1 ZINC000853871290 635141932 /nfs/dbraw/zinc/14/19/32/635141932.db2.gz ALUCNPNVLXDVET-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN O=C(OCCOC(F)F)c1c(Cl)cccc1[N+](=O)[O-] ZINC000853897062 635149485 /nfs/dbraw/zinc/14/94/85/635149485.db2.gz URXHASUHOACWHQ-UHFFFAOYSA-N 0 0 295.625 2.644 20 5 CFBDRN O=C(OCCOC(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000853899730 635151898 /nfs/dbraw/zinc/15/18/98/635151898.db2.gz OQIOLPFCJZEZDP-UHFFFAOYSA-N 0 0 295.625 2.644 20 5 CFBDRN CCc1ccc(C(=O)OCCOC(F)F)cc1[N+](=O)[O-] ZINC000853902416 635152850 /nfs/dbraw/zinc/15/28/50/635152850.db2.gz ITEDLYDYWVQRCI-UHFFFAOYSA-N 0 0 289.234 2.553 20 5 CFBDRN Cc1ccc([C@@H](C)C(=O)OCc2onc(C)c2[N+](=O)[O-])o1 ZINC000853901449 635153098 /nfs/dbraw/zinc/15/30/98/635153098.db2.gz BHHRNVDAWYFBLD-MRVPVSSYSA-N 0 0 294.263 2.640 20 5 CFBDRN CCc1cc(C)c(C(=O)OCc2onc(C)c2[N+](=O)[O-])o1 ZINC000853905376 635154803 /nfs/dbraw/zinc/15/48/03/635154803.db2.gz WCYHSHHKKLBWOJ-UHFFFAOYSA-N 0 0 294.263 2.712 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2CCC(O)CC2)c1 ZINC000853907938 635157364 /nfs/dbraw/zinc/15/73/64/635157364.db2.gz UCGCSFFWQYPLSH-UHFFFAOYSA-N 0 0 298.314 2.706 20 5 CFBDRN Cc1noc(COC(=O)CC2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000853918601 635161976 /nfs/dbraw/zinc/16/19/76/635161976.db2.gz MVBKBIXYRPCSRQ-UHFFFAOYSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)[C@@]2(C)CC=CCC2)c1[N+](=O)[O-] ZINC000853920217 635163709 /nfs/dbraw/zinc/16/37/09/635163709.db2.gz ONFZPPAPGNEEIT-ZDUSSCGKSA-N 0 0 280.280 2.681 20 5 CFBDRN C[C@@H](O)C1(Nc2nc3ccc([N+](=O)[O-])cc3s2)CC1 ZINC000853930165 635168796 /nfs/dbraw/zinc/16/87/96/635168796.db2.gz OAMRJLKVCLLMQC-SSDOTTSWSA-N 0 0 279.321 2.530 20 5 CFBDRN CO[C@H](CNc1ccc(N)cc1[N+](=O)[O-])C1CCCC1 ZINC000853934983 635169379 /nfs/dbraw/zinc/16/93/79/635169379.db2.gz AUQQMTPKEUJYIU-CQSZACIVSA-N 0 0 279.340 2.794 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCc2ccco2)n1 ZINC000853961811 635178581 /nfs/dbraw/zinc/17/85/81/635178581.db2.gz QOVXWLAANFWMGB-UHFFFAOYSA-N 0 0 261.281 2.936 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000853965848 635180804 /nfs/dbraw/zinc/18/08/04/635180804.db2.gz MMVOZMDJUPKTNN-VIFPVBQESA-N 0 0 295.295 2.730 20 5 CFBDRN C[C@H](Cc1ccco1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000854060593 635201716 /nfs/dbraw/zinc/20/17/16/635201716.db2.gz BRMQFQPFKUJNSY-SNVBAGLBSA-N 0 0 293.323 2.997 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000854161340 635234372 /nfs/dbraw/zinc/23/43/72/635234372.db2.gz WUPPDSLQZFIMRT-JTQLQIEISA-N 0 0 261.281 2.743 20 5 CFBDRN Cc1ncc(-c2cnn([C@@H]3CCCCO3)c2)cc1[N+](=O)[O-] ZINC000854208991 635254529 /nfs/dbraw/zinc/25/45/29/635254529.db2.gz CMYNVIVVMCZDTF-AWEZNQCLSA-N 0 0 288.307 2.861 20 5 CFBDRN Cc1ncc(/C=C/C(=O)Oc2cccc([N+](=O)[O-])c2)s1 ZINC000112227472 635302080 /nfs/dbraw/zinc/30/20/80/635302080.db2.gz KPMNWDUAYKSWPQ-AATRIKPKSA-N 0 0 290.300 2.979 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854494446 635317066 /nfs/dbraw/zinc/31/70/66/635317066.db2.gz CKQPPHCEPCOXOM-KOLCDFICSA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC=CCC1 ZINC000854496950 635317700 /nfs/dbraw/zinc/31/77/00/635317700.db2.gz VEGNFKCRSPTDQB-CQSZACIVSA-N 0 0 275.308 2.862 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CC[C@@H]1C(F)(F)F ZINC000854519666 635324828 /nfs/dbraw/zinc/32/48/28/635324828.db2.gz IUNSZHTZZJKWQY-SECBINFHSA-N 0 0 292.188 2.511 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000854678544 635363279 /nfs/dbraw/zinc/36/32/79/635363279.db2.gz FBCRNTPMSCIMEQ-VHRBIJSZSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1c(OC(=O)[C@H]2COc3ccccc32)cccc1[N+](=O)[O-] ZINC000113032617 635462415 /nfs/dbraw/zinc/46/24/15/635462415.db2.gz YBQUHDWSAVDHAP-LBPRGKRZSA-N 0 0 299.282 2.985 20 5 CFBDRN CC(C)(CCC(=O)N1CCC(c2ccco2)CC1)[N+](=O)[O-] ZINC000855294216 635504045 /nfs/dbraw/zinc/50/40/45/635504045.db2.gz QDSIMRGQNNOCFD-UHFFFAOYSA-N 0 0 294.351 2.821 20 5 CFBDRN CN(CCc1ccc(Cl)cc1)Cn1cc([N+](=O)[O-])cn1 ZINC000113246585 635511833 /nfs/dbraw/zinc/51/18/33/635511833.db2.gz BCGVHNGFOBPLKX-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000855387374 635527770 /nfs/dbraw/zinc/52/77/70/635527770.db2.gz FBAMNXLQWFDRTD-VIFPVBQESA-N 0 0 295.295 2.669 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C=CCC1 ZINC000855431303 635543400 /nfs/dbraw/zinc/54/34/00/635543400.db2.gz UWHASXDNODZYSY-UONOGXRCSA-N 0 0 288.347 2.998 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000856063609 635713544 /nfs/dbraw/zinc/71/35/44/635713544.db2.gz SYBQBGUDOXMUQB-RDNHTORASA-N 0 0 286.331 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CC[C@H]3C[C@H]3C2)c1F ZINC000856066793 635714268 /nfs/dbraw/zinc/71/42/68/635714268.db2.gz XFOQAYZBQYDYHT-IUCAKERBSA-N 0 0 296.273 2.663 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000856337719 635785372 /nfs/dbraw/zinc/78/53/72/635785372.db2.gz ZOKBVVBFXXVCGJ-UHFFFAOYSA-N 0 0 280.299 2.961 20 5 CFBDRN C[C@H]1CCN1c1cccc(Br)c1[N+](=O)[O-] ZINC000856725053 635842175 /nfs/dbraw/zinc/84/21/75/635842175.db2.gz DPWVMLKAZRPNNZ-ZETCQYMHSA-N 0 0 271.114 2.956 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCC[C@H]1CC1(F)F ZINC000856779297 635857751 /nfs/dbraw/zinc/85/77/51/635857751.db2.gz VRIBQFILLHJZOV-ZETCQYMHSA-N 0 0 287.222 2.960 20 5 CFBDRN CCn1cc(C(=O)O[C@@H](C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000114621605 635866582 /nfs/dbraw/zinc/86/65/82/635866582.db2.gz AYWQKOWDIVCFLQ-JTQLQIEISA-N 0 0 289.291 2.729 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000857342022 635967488 /nfs/dbraw/zinc/96/74/88/635967488.db2.gz MUOQXZAYHPFGST-UHFFFAOYSA-N 0 0 280.711 2.873 20 5 CFBDRN C[C@H](CC(=O)Nc1ccc(F)c([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000857571600 635985448 /nfs/dbraw/zinc/98/54/48/635985448.db2.gz JOFYTBRBHISLNL-RNCFNFMXSA-N 0 0 296.298 2.878 20 5 CFBDRN O=C(Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1(F)CCCC1 ZINC000857637123 635999691 /nfs/dbraw/zinc/99/96/91/635999691.db2.gz VIEWKLHHOALRIX-UHFFFAOYSA-N 0 0 298.226 2.691 20 5 CFBDRN CCO/C=C/C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000857667488 636006482 /nfs/dbraw/zinc/00/64/82/636006482.db2.gz YNMKUJCOCXMFNF-CSKARUKUSA-N 0 0 290.319 2.814 20 5 CFBDRN CC1CC(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000857690128 636008843 /nfs/dbraw/zinc/00/88/43/636008843.db2.gz QKPNPEBSNCWJEU-QPJJXVBHSA-N 0 0 274.320 2.770 20 5 CFBDRN Cc1ccccc1N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000857703183 636013261 /nfs/dbraw/zinc/01/32/61/636013261.db2.gz KJLBIJXTDNOHCB-UHFFFAOYSA-N 0 0 264.325 2.793 20 5 CFBDRN Cc1c(CN[C@@H]2CCCc3c[nH]nc32)cccc1[N+](=O)[O-] ZINC000857864682 636039447 /nfs/dbraw/zinc/03/94/47/636039447.db2.gz JPOBLPPDXANDDP-CYBMUJFWSA-N 0 0 286.335 2.794 20 5 CFBDRN Cc1ccc(O[C@H]2CCN(c3ccccc3[N+](=O)[O-])C2)nc1 ZINC000858009528 636113462 /nfs/dbraw/zinc/11/34/62/636113462.db2.gz WLKYBBDDEYMYGA-ZDUSSCGKSA-N 0 0 299.330 2.956 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000858187425 636151406 /nfs/dbraw/zinc/15/14/06/636151406.db2.gz GTHBKYPJAMJJJP-BSTOTGJRSA-N 0 0 279.292 2.560 20 5 CFBDRN COc1ccnc(C(=O)Oc2cccc([N+](=O)[O-])c2C)c1 ZINC000115998645 636171127 /nfs/dbraw/zinc/17/11/27/636171127.db2.gz MDIRIGBMLJULMJ-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CN(Cc1ccco1)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000047540548 650237092 /nfs/dbraw/zinc/23/70/92/650237092.db2.gz IHIMODYSEUIXBG-UHFFFAOYSA-N 0 0 264.256 2.959 20 5 CFBDRN COCOCCCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000858606064 636306412 /nfs/dbraw/zinc/30/64/12/636306412.db2.gz DCBNJJATOCHRLE-UHFFFAOYSA-N 0 0 291.307 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H]2CCCOC2)n1 ZINC000858653312 636318162 /nfs/dbraw/zinc/31/81/62/636318162.db2.gz TZMZLTARUMOHKV-LLVKDONJSA-N 0 0 265.313 2.527 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000858690787 636326842 /nfs/dbraw/zinc/32/68/42/636326842.db2.gz BGNRXOHGGUFYEU-NSHDSACASA-N 0 0 276.292 2.577 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2c(F)cccc2[N+](=O)[O-])C1 ZINC000858736821 636336868 /nfs/dbraw/zinc/33/68/68/636336868.db2.gz RHUGZSCRXSYMEJ-SNVBAGLBSA-N 0 0 294.282 2.798 20 5 CFBDRN CCC(F)(F)CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000858745434 636338006 /nfs/dbraw/zinc/33/80/06/636338006.db2.gz WJWTZUJXUVHVBM-UHFFFAOYSA-N 0 0 270.239 2.700 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)c1 ZINC000858777593 636344004 /nfs/dbraw/zinc/34/40/04/636344004.db2.gz LJDWRIDGTSFELU-ZZIUEJKTSA-N 0 0 298.314 2.966 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3C[C@@H](c4ccccc4)[C@@H]3C2)nc1 ZINC000858804626 636348747 /nfs/dbraw/zinc/34/87/47/636348747.db2.gz XSCSLRCCRNKFNE-AEGPPILISA-N 0 0 296.330 2.625 20 5 CFBDRN CC(C)CCOC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000858883330 636369549 /nfs/dbraw/zinc/36/95/49/636369549.db2.gz NGTOLLKGHGWRLA-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN CCCOCCOC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000858911459 636379921 /nfs/dbraw/zinc/37/99/21/636379921.db2.gz NQLQZPLQGKPLBE-UHFFFAOYSA-N 0 0 283.280 2.845 20 5 CFBDRN CCCOC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000858997366 636404939 /nfs/dbraw/zinc/40/49/39/636404939.db2.gz MHXMDBJYQPDCJP-UHFFFAOYSA-N 0 0 250.254 2.504 20 5 CFBDRN CCCOC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000859078523 636444182 /nfs/dbraw/zinc/44/41/82/636444182.db2.gz GPDRGQPTZFBVSC-UHFFFAOYSA-N 0 0 264.281 2.894 20 5 CFBDRN CCCOCCOC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000859096066 636451898 /nfs/dbraw/zinc/45/18/98/636451898.db2.gz FYDJQUJSEJIYJO-NSHDSACASA-N 0 0 296.323 2.809 20 5 CFBDRN CCCCOC(=O)COc1ccc(C)cc1[N+](=O)[O-] ZINC000048176439 650257486 /nfs/dbraw/zinc/25/74/86/650257486.db2.gz LLUNDCWQWMPQKP-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCO[C@@H](COC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000859451259 636569532 /nfs/dbraw/zinc/56/95/32/636569532.db2.gz ZYLQMSMAUJDGOO-ZDUSSCGKSA-N 0 0 279.292 2.567 20 5 CFBDRN CCO[C@H](COC(=O)c1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000859453884 636569876 /nfs/dbraw/zinc/56/98/76/636569876.db2.gz PQRSJNKRFLLZBV-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN CCC[C@@H](C)CCCOC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000859489821 636580034 /nfs/dbraw/zinc/58/00/34/636580034.db2.gz OPPLQZILWSCVTH-LLVKDONJSA-N 0 0 283.328 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)OC[C@H]2C[C@@H]2C)c1 ZINC000859498615 636582848 /nfs/dbraw/zinc/58/28/48/636582848.db2.gz LQUYSRRDQMQIRF-NWDGAFQWSA-N 0 0 293.319 2.871 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000859505608 636583625 /nfs/dbraw/zinc/58/36/25/636583625.db2.gz BIBWOANXLKGKEA-SFYZADRCSA-N 0 0 253.229 2.547 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000859541663 636594457 /nfs/dbraw/zinc/59/44/57/636594457.db2.gz KRMMHRXBJNUSBR-APPZFPTMSA-N 0 0 253.229 2.547 20 5 CFBDRN CO[C@@H](C)COC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859811974 636703904 /nfs/dbraw/zinc/70/39/04/636703904.db2.gz YIQAOTURBQXMAQ-JTQLQIEISA-N 0 0 289.287 2.940 20 5 CFBDRN Cc1noc(COC(=O)C[C@H](C)c2ccco2)c1[N+](=O)[O-] ZINC000859815795 636706718 /nfs/dbraw/zinc/70/67/18/636706718.db2.gz SYXMDASHVFHGMN-QMMMGPOBSA-N 0 0 294.263 2.721 20 5 CFBDRN COC1CC(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000859844866 636722256 /nfs/dbraw/zinc/72/22/56/636722256.db2.gz XCOXUYBRHMWBKZ-FJJSSXBZSA-N 0 0 279.292 2.624 20 5 CFBDRN CCO[C@H](C(=O)OCc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000859866435 636731842 /nfs/dbraw/zinc/73/18/42/636731842.db2.gz IQQIGEOXYJBRSF-AWEZNQCLSA-N 0 0 293.319 2.762 20 5 CFBDRN CC[C@H](C)CS(=O)(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000159966438 650286446 /nfs/dbraw/zinc/28/64/46/650286446.db2.gz TYAVGUMKGSVENH-VIFPVBQESA-N 0 0 287.337 2.658 20 5 CFBDRN Cc1c(COC(=O)C23CC(C2)C3)cccc1[N+](=O)[O-] ZINC000860269898 636843344 /nfs/dbraw/zinc/84/33/44/636843344.db2.gz REGCNETXVHOBLY-UHFFFAOYSA-N 0 0 261.277 2.747 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)C12CC(C1)C2 ZINC000860270715 636844792 /nfs/dbraw/zinc/84/47/92/636844792.db2.gz OTQLWQRQYQCILC-UHFFFAOYSA-N 0 0 291.303 2.837 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000860476201 636893250 /nfs/dbraw/zinc/89/32/50/636893250.db2.gz FTAMTSHLQLAJFL-ZHPDPMBESA-N 0 0 293.319 2.868 20 5 CFBDRN CCO[C@H](C(=O)Oc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000860628522 636933225 /nfs/dbraw/zinc/93/32/25/636933225.db2.gz SIVNIDRCHPXMTL-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000117677805 636942025 /nfs/dbraw/zinc/94/20/25/636942025.db2.gz IGGKFRYBHIUSDW-UHFFFAOYSA-N 0 0 290.275 2.949 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1C(F)(F)F ZINC000860777345 636968995 /nfs/dbraw/zinc/96/89/95/636968995.db2.gz NRZIDAKLLBPUAQ-QMMMGPOBSA-N 0 0 291.225 2.836 20 5 CFBDRN Cc1ccc(COC(=O)C23CC(C2)C3)cc1[N+](=O)[O-] ZINC000860800811 636975151 /nfs/dbraw/zinc/97/51/51/636975151.db2.gz JZPJLGGLAAVQES-UHFFFAOYSA-N 0 0 261.277 2.747 20 5 CFBDRN CCOc1cccc(/C=C/C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000860866247 636995305 /nfs/dbraw/zinc/99/53/05/636995305.db2.gz AOTWVVLDLSLXCU-CMDGGOBGSA-N 0 0 293.319 2.697 20 5 CFBDRN O=C(Cc1ccc(Cl)cc1Cl)OCCC[N+](=O)[O-] ZINC000860911839 637014293 /nfs/dbraw/zinc/01/42/93/637014293.db2.gz WAMFLCSSIXBZQF-UHFFFAOYSA-N 0 0 292.118 2.746 20 5 CFBDRN CC(C)c1ccc(C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860911035 637014382 /nfs/dbraw/zinc/01/43/82/637014382.db2.gz FUOQKTOSGYODDC-UHFFFAOYSA-N 0 0 251.282 2.634 20 5 CFBDRN CCCCOc1ccc(C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860911606 637014404 /nfs/dbraw/zinc/01/44/04/637014404.db2.gz QLCKFRNUTLKJJE-UHFFFAOYSA-N 0 0 281.308 2.689 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC000860911553 637014507 /nfs/dbraw/zinc/01/45/07/637014507.db2.gz OVPYLCZILXQKCP-UHFFFAOYSA-N 0 0 278.091 2.817 20 5 CFBDRN O=C(/C=C\Sc1ccccc1)OCCC[N+](=O)[O-] ZINC000860913282 637015104 /nfs/dbraw/zinc/01/51/04/637015104.db2.gz WVWGFJWBYKHHHH-YFHOEESVSA-N 0 0 267.306 2.502 20 5 CFBDRN O=C(/C=C/Sc1ccccc1)OCCC[N+](=O)[O-] ZINC000860913281 637015306 /nfs/dbraw/zinc/01/53/06/637015306.db2.gz WVWGFJWBYKHHHH-JXMROGBWSA-N 0 0 267.306 2.502 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(c2cccc(F)c2)CCCC1 ZINC000860913099 637015495 /nfs/dbraw/zinc/01/54/95/637015495.db2.gz OSPHRQNRVSDTGI-UHFFFAOYSA-N 0 0 295.310 2.848 20 5 CFBDRN O=C(CCc1cc(Cl)cs1)OCCC[N+](=O)[O-] ZINC000860915595 637016219 /nfs/dbraw/zinc/01/62/19/637016219.db2.gz ULNGRCLUDGZLPA-UHFFFAOYSA-N 0 0 277.729 2.544 20 5 CFBDRN Cc1ccc(CCCC(=O)OCCC[N+](=O)[O-])s1 ZINC000860916813 637016153 /nfs/dbraw/zinc/01/61/53/637016153.db2.gz BDQBHFCNNCUJLA-UHFFFAOYSA-N 0 0 271.338 2.589 20 5 CFBDRN CC(C)(C(=O)OCCC[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000860915941 637016473 /nfs/dbraw/zinc/01/64/73/637016473.db2.gz KURUQRWFERDIPL-UHFFFAOYSA-N 0 0 285.727 2.828 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc2sccc2c1 ZINC000860918147 637017292 /nfs/dbraw/zinc/01/72/92/637017292.db2.gz YVUXCVFSHIZDGF-UHFFFAOYSA-N 0 0 265.290 2.725 20 5 CFBDRN CC(C)[C@@H](C(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860918116 637017385 /nfs/dbraw/zinc/01/73/85/637017385.db2.gz XTRFIDBIXYQKEK-CYBMUJFWSA-N 0 0 265.309 2.636 20 5 CFBDRN CC(C)Cc1ccccc1C(=O)OCCC[N+](=O)[O-] ZINC000860920752 637018044 /nfs/dbraw/zinc/01/80/44/637018044.db2.gz HEIQOGAWMBVRGR-UHFFFAOYSA-N 0 0 265.309 2.709 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1CC2(C1)CCCCC2 ZINC000860922427 637018360 /nfs/dbraw/zinc/01/83/60/637018360.db2.gz QVSGXJRFXAFHPS-UHFFFAOYSA-N 0 0 255.314 2.557 20 5 CFBDRN Cc1cc(C(=O)OC[C@]2(C)CCCOC2)ccc1[N+](=O)[O-] ZINC000861037453 637051110 /nfs/dbraw/zinc/05/11/10/637051110.db2.gz XZDYGUFVJXPDAM-OAHLLOKOSA-N 0 0 293.319 2.877 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2cccc(SC)c2[N+](=O)[O-])C1 ZINC000861305490 637159703 /nfs/dbraw/zinc/15/97/03/637159703.db2.gz FUMVHXLLTHZDAA-KYZUINATSA-N 0 0 297.332 2.651 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1Cl ZINC000861381010 637182455 /nfs/dbraw/zinc/18/24/55/637182455.db2.gz JOHDARXCRSOWRK-IJBDUVHLSA-N 0 0 280.711 2.777 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1cnsn1 ZINC000118296730 637201137 /nfs/dbraw/zinc/20/11/37/637201137.db2.gz IXEQVZMYOVZSFJ-UHFFFAOYSA-N 0 0 299.695 2.627 20 5 CFBDRN CC(=O)CCCCCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000862073405 637269668 /nfs/dbraw/zinc/26/96/68/637269668.db2.gz WIDLNWKOSALEMV-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN CCC(F)(F)CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000863222738 637595189 /nfs/dbraw/zinc/59/51/89/637595189.db2.gz YFPJHVNEWYVBDZ-UHFFFAOYSA-N 0 0 289.307 2.927 20 5 CFBDRN CCC[C@H](C)CCCn1cc([N+](=O)[O-])c(=O)c([N+](=O)[O-])c1 ZINC000863311256 637624485 /nfs/dbraw/zinc/62/44/85/637624485.db2.gz BKNKCDCASFTWGF-JTQLQIEISA-N 0 0 297.311 2.881 20 5 CFBDRN COC(=O)CCCCOc1cccc(Cl)c1[N+](=O)[O-] ZINC000863340443 637631269 /nfs/dbraw/zinc/63/12/69/637631269.db2.gz KMINUFODDDVKIE-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN COC(=O)c1cccc(OCSC(C)C)c1[N+](=O)[O-] ZINC000863346938 637633681 /nfs/dbraw/zinc/63/36/81/637633681.db2.gz OILGJHUSINMAOT-UHFFFAOYSA-N 0 0 285.321 2.859 20 5 CFBDRN Cc1ccc(CNc2cccc(C)c2C(N)=O)cc1[N+](=O)[O-] ZINC000863999200 637833878 /nfs/dbraw/zinc/83/38/78/637833878.db2.gz AEWDMYPBEADBOO-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN Cc1c(CNc2ccc3[nH]nnc3c2)cccc1[N+](=O)[O-] ZINC000864019284 637835482 /nfs/dbraw/zinc/83/54/82/637835482.db2.gz YNLZGDHSPGNKDD-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN COc1cccc2c1CN(c1cc(N)ccc1[N+](=O)[O-])C2 ZINC000864023107 637836790 /nfs/dbraw/zinc/83/67/90/637836790.db2.gz YQLWCYJFCDDIHX-UHFFFAOYSA-N 0 0 285.303 2.706 20 5 CFBDRN O=C1CCN1c1ccc(OCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000864047847 637842264 /nfs/dbraw/zinc/84/22/64/637842264.db2.gz UUZCOYFDSLYNER-UHFFFAOYSA-N 0 0 298.298 2.911 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CCOCC1 ZINC000864622822 638049999 /nfs/dbraw/zinc/04/99/99/638049999.db2.gz JUOWNAMTYRDMTM-UONOGXRCSA-N 0 0 291.303 2.563 20 5 CFBDRN CC[C@H]1CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000864850413 638108159 /nfs/dbraw/zinc/10/81/59/638108159.db2.gz FSINCYXYXOFQNT-NSHDSACASA-N 0 0 294.326 2.925 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000864905389 638120762 /nfs/dbraw/zinc/12/07/62/638120762.db2.gz BRUNFFDVXGQFJB-MGAJPHDKSA-N 0 0 274.320 2.503 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000865041748 638169731 /nfs/dbraw/zinc/16/97/31/638169731.db2.gz FMNPLEQVTYAUKJ-NSHDSACASA-N 0 0 294.326 2.925 20 5 CFBDRN CC(C)N(C(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000865151319 638207726 /nfs/dbraw/zinc/20/77/26/638207726.db2.gz MMKIJSUHORVDDO-UHFFFAOYSA-N 0 0 280.299 2.676 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865181833 638221836 /nfs/dbraw/zinc/22/18/36/638221836.db2.gz ZUXKJCZVACLWIE-SKDRFNHKSA-N 0 0 280.299 2.581 20 5 CFBDRN COCCOCCSCc1ccc(C)c([N+](=O)[O-])c1 ZINC000865280520 638254279 /nfs/dbraw/zinc/25/42/79/638254279.db2.gz GTDWJBSLXYQXAA-UHFFFAOYSA-N 0 0 285.365 2.799 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1)C(=O)C(C)(C)C ZINC000865341442 638273182 /nfs/dbraw/zinc/27/31/82/638273182.db2.gz PEGRNSVFXFZNAN-SECBINFHSA-N 0 0 251.282 2.977 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H](C)C(=O)C(C)(C)C ZINC000865341726 638273405 /nfs/dbraw/zinc/27/34/05/638273405.db2.gz XURUILLHONODOI-SECBINFHSA-N 0 0 281.308 2.986 20 5 CFBDRN CCn1c(=O)[nH]nc1SCc1ccc(C)c([N+](=O)[O-])c1 ZINC000865346380 638275226 /nfs/dbraw/zinc/27/52/26/638275226.db2.gz LOXUEVMXFPYYKW-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN COC(=O)[C@@H](C)SCc1ccc(C)c([N+](=O)[O-])c1 ZINC000865352052 638278322 /nfs/dbraw/zinc/27/83/22/638278322.db2.gz VOYTUBSQADRUGS-SECBINFHSA-N 0 0 269.322 2.698 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1CCCC1CC1 ZINC000865367112 638286834 /nfs/dbraw/zinc/28/68/34/638286834.db2.gz OKFBFLMFJJBGGV-UHFFFAOYSA-N 0 0 262.265 2.693 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H](C)C(C)(C)C ZINC000865368043 638288013 /nfs/dbraw/zinc/28/80/13/638288013.db2.gz KWXDJOOAXBHRFG-SECBINFHSA-N 0 0 252.314 2.747 20 5 CFBDRN C[C@@H]1CCCN(c2cc(F)c([N+](=O)[O-])cc2CO)CC1 ZINC000865478629 638367299 /nfs/dbraw/zinc/36/72/99/638367299.db2.gz KCQPQGNPLKFUKN-SNVBAGLBSA-N 0 0 282.315 2.853 20 5 CFBDRN Nc1ccc(NC(=O)CC2CCCC2)cc1[N+](=O)[O-] ZINC000865481670 638369899 /nfs/dbraw/zinc/36/98/99/638369899.db2.gz SNIIVJDZZOMUGN-UHFFFAOYSA-N 0 0 263.297 2.696 20 5 CFBDRN CC(C)n1cc(Nc2cc(F)c([N+](=O)[O-])cc2CO)cn1 ZINC000865503275 638382140 /nfs/dbraw/zinc/38/21/40/638382140.db2.gz WKXHLEDLWMQUOQ-UHFFFAOYSA-N 0 0 294.286 2.747 20 5 CFBDRN C[C@@H](NCc1cn(C2CC2)cn1)c1ccccc1[N+](=O)[O-] ZINC000865521155 638392701 /nfs/dbraw/zinc/39/27/01/638392701.db2.gz MDEWEFRHJDUPMV-LLVKDONJSA-N 0 0 286.335 2.977 20 5 CFBDRN CC1(C)C[C@@H]1CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865536781 638404787 /nfs/dbraw/zinc/40/47/87/638404787.db2.gz KVLNOKKPVWUTCC-SECBINFHSA-N 0 0 268.288 2.684 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCCC(F)(F)F)cc1F ZINC000865569366 638428738 /nfs/dbraw/zinc/42/87/38/638428738.db2.gz NKKCWEJDGJMNBJ-UHFFFAOYSA-N 0 0 282.193 2.591 20 5 CFBDRN CC(C)[C@H]1CCN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865604250 638453889 /nfs/dbraw/zinc/45/38/89/638453889.db2.gz VDIQSJMMUCOUBC-JTQLQIEISA-N 0 0 282.315 2.709 20 5 CFBDRN Cc1cccc2c1N[C@@H](c1ccc([N+](=O)[O-])cc1)NC2=O ZINC000077428557 650424407 /nfs/dbraw/zinc/42/44/07/650424407.db2.gz QNADJONDDVDQJW-CQSZACIVSA-N 0 0 283.287 2.757 20 5 CFBDRN C[C@@H](O)c1ccc(NCCc2cccc([N+](=O)[O-])c2)nc1 ZINC000865647191 638485178 /nfs/dbraw/zinc/48/51/78/638485178.db2.gz QWHSQMFKRWSWFC-LLVKDONJSA-N 0 0 287.319 2.698 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CCCC3(CC3)C2)cc1F ZINC000865683554 638511012 /nfs/dbraw/zinc/51/10/12/638511012.db2.gz VEGYIUJNDYWFOJ-UHFFFAOYSA-N 0 0 280.299 2.607 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000865708879 638527979 /nfs/dbraw/zinc/52/79/79/638527979.db2.gz DVDNQWXTGFTHSZ-RQJHMYQMSA-N 0 0 292.261 2.948 20 5 CFBDRN Cc1ccc(O)c(C[NH2+]Cc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000865787460 638573883 /nfs/dbraw/zinc/57/38/83/638573883.db2.gz NJTVGNFYYSNYNZ-UHFFFAOYSA-N 0 0 287.319 2.602 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@H]1CCC1(C)C ZINC000865805633 638582340 /nfs/dbraw/zinc/58/23/40/638582340.db2.gz HOCVVZHQSQESFY-LURJTMIESA-N 0 0 292.261 2.948 20 5 CFBDRN CC[C@@H](C)CONc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000865826920 638595144 /nfs/dbraw/zinc/59/51/44/638595144.db2.gz OPAZLQMONJCPNW-ZCFIWIBFSA-N 0 0 296.249 2.737 20 5 CFBDRN COC1(CCNc2cc(F)c([N+](=O)[O-])cc2CO)CCC1 ZINC000865833091 638599163 /nfs/dbraw/zinc/59/91/63/638599163.db2.gz ZYVHYVTZBFMXDV-UHFFFAOYSA-N 0 0 298.314 2.597 20 5 CFBDRN CC(F)(F)CCNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865841429 638606575 /nfs/dbraw/zinc/60/65/75/638606575.db2.gz VUJNBUAQGCBRAV-UHFFFAOYSA-N 0 0 278.230 2.683 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])cc2C(F)F)CCC(=O)N1 ZINC000865866016 638626104 /nfs/dbraw/zinc/62/61/04/638626104.db2.gz CKQVSAJIEKYZQY-CYBMUJFWSA-N 0 0 299.277 2.613 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865902907 638650902 /nfs/dbraw/zinc/65/09/02/638650902.db2.gz KQKHGEXJJXJLIL-OIBJUYFYSA-N 0 0 286.328 2.532 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC[C@H]2CCSC2)c1 ZINC000865984352 638686639 /nfs/dbraw/zinc/68/66/39/638686639.db2.gz CTRJMUOTDSFCAU-VIFPVBQESA-N 0 0 267.354 2.732 20 5 CFBDRN CCCCOC(=O)CSc1ncc([N+](=O)[O-])cc1F ZINC000866030925 638704264 /nfs/dbraw/zinc/70/42/64/638704264.db2.gz OQSPRDVIXNWGDA-UHFFFAOYSA-N 0 0 288.300 2.564 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2 ZINC000866044632 638709623 /nfs/dbraw/zinc/70/96/23/638709623.db2.gz JFWOEGBEADNJPV-FDEBYTSZSA-N 0 0 278.283 2.569 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2ccccc2[N+](=O)[O-])C1 ZINC000866068991 638721622 /nfs/dbraw/zinc/72/16/22/638721622.db2.gz HFLXISJPQXCWRQ-WDEREUQCSA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn3c2CCCC3)n1 ZINC000866067051 638721653 /nfs/dbraw/zinc/72/16/53/638721653.db2.gz OBQAMZSATMUYNT-UHFFFAOYSA-N 0 0 273.296 2.575 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])cn1C)[C@@]12C[C@@H]1CCCC2 ZINC000866078896 638728340 /nfs/dbraw/zinc/72/83/40/638728340.db2.gz OUDKVCBBTUEEES-ZIBATOQPSA-N 0 0 291.351 2.632 20 5 CFBDRN CS[C@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000866100949 638737881 /nfs/dbraw/zinc/73/78/81/638737881.db2.gz BRHXMWZDKFSNOM-ZKCHVHJHSA-N 0 0 273.745 2.949 20 5 CFBDRN CCOC(CN(CC)c1cc(C)c([N+](=O)[O-])cn1)OCC ZINC000866127651 638750023 /nfs/dbraw/zinc/75/00/23/638750023.db2.gz RWIGDVGSAVRUQY-UHFFFAOYSA-N 0 0 297.355 2.524 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CCC2)[C@@H]2CCOC2)c(F)c1 ZINC000866140762 638754623 /nfs/dbraw/zinc/75/46/23/638754623.db2.gz FJAQLBMZRFZCQQ-ZWNOBZJWSA-N 0 0 295.314 2.746 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CCC2)[C@H]2CCOC2)c(F)c1 ZINC000866140755 638755144 /nfs/dbraw/zinc/75/51/44/638755144.db2.gz FJAQLBMZRFZCQQ-GWCFXTLKSA-N 0 0 295.314 2.746 20 5 CFBDRN Cc1cnc(N[C@H](C2CCC2)[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000866142251 638756489 /nfs/dbraw/zinc/75/64/89/638756489.db2.gz AQNPIWIJIKICCL-TZMCWYRMSA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H](OC2CCC2)CC1 ZINC000866147228 638757729 /nfs/dbraw/zinc/75/77/29/638757729.db2.gz UDMDSXQJWDLYFN-CYBMUJFWSA-N 0 0 291.351 2.918 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])C1 ZINC000866167209 638762761 /nfs/dbraw/zinc/76/27/61/638762761.db2.gz DADCOFIULFDJKI-AOOOYVTPSA-N 0 0 293.323 2.522 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CCC12CCOCC2 ZINC000866173186 638763529 /nfs/dbraw/zinc/76/35/29/638763529.db2.gz KRNFQTAVFQAURO-LLVKDONJSA-N 0 0 277.324 2.609 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC23CCOCC3)cn1 ZINC000866173610 638763568 /nfs/dbraw/zinc/76/35/68/638763568.db2.gz WXOGGORFHXQCCK-LLVKDONJSA-N 0 0 277.324 2.609 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCC23CCOCC3)c1[N+](=O)[O-] ZINC000866176108 638764208 /nfs/dbraw/zinc/76/42/08/638764208.db2.gz ZJUYEXNQFCFESG-LBPRGKRZSA-N 0 0 291.351 2.917 20 5 CFBDRN Cc1ccc(CNc2ncnc3c2CCC3)cc1[N+](=O)[O-] ZINC000866182152 638764903 /nfs/dbraw/zinc/76/49/03/638764903.db2.gz UGDCBAIDWJEBBH-UHFFFAOYSA-N 0 0 284.319 2.794 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@H]2CSC[C@@H]2C1 ZINC000866202163 638769146 /nfs/dbraw/zinc/76/91/46/638769146.db2.gz ZPUNNUOBEHMLNL-IUCAKERBSA-N 0 0 270.379 2.846 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H]2CSC[C@H]2C1 ZINC000866206002 638770079 /nfs/dbraw/zinc/77/00/79/638770079.db2.gz HYZAGASKSNFARW-VHSXEESVSA-N 0 0 282.340 2.923 20 5 CFBDRN COc1cc(N[C@@H](C)c2ccnn2C)c(F)cc1[N+](=O)[O-] ZINC000866252139 638780704 /nfs/dbraw/zinc/78/07/04/638780704.db2.gz VLSJEAUPGVZNBX-QMMMGPOBSA-N 0 0 294.286 2.649 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866268097 638784949 /nfs/dbraw/zinc/78/49/49/638784949.db2.gz MMHJDSNTIDBWSX-PWSUYJOCSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@H](O)C1CCCC1 ZINC000866304380 638792924 /nfs/dbraw/zinc/79/29/24/638792924.db2.gz WWJAAXGQCZQNQA-ZDUSSCGKSA-N 0 0 279.340 2.651 20 5 CFBDRN CCON(CC)c1ncc([N+](=O)[O-])cc1Br ZINC000866307141 638794137 /nfs/dbraw/zinc/79/41/37/638794137.db2.gz RQZWYRYUAZEOIB-UHFFFAOYSA-N 0 0 290.117 2.530 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C(C)(C)C1 ZINC000866311184 638794984 /nfs/dbraw/zinc/79/49/84/638794984.db2.gz XTANTSUUMUPUPC-SNVBAGLBSA-N 0 0 294.326 2.923 20 5 CFBDRN CCn1cc(N[C@@H](C)Cc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000866325300 638797348 /nfs/dbraw/zinc/79/73/48/638797348.db2.gz IVMVXIMKFHCMBD-JTQLQIEISA-N 0 0 292.314 2.993 20 5 CFBDRN O=C(Oc1cccnc1[N+](=O)[O-])OC1CCCCC1 ZINC000866393562 638813583 /nfs/dbraw/zinc/81/35/83/638813583.db2.gz ICJVJGGBEPHZAI-UHFFFAOYSA-N 0 0 266.253 2.838 20 5 CFBDRN C[C@@H](N(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-])C1(C)CC1 ZINC000866438147 638821213 /nfs/dbraw/zinc/82/12/13/638821213.db2.gz COPYDWCYCJIMNA-SNVBAGLBSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1ccc(CN[C@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC000866540290 638838683 /nfs/dbraw/zinc/83/86/83/638838683.db2.gz YBSCPHJQQOAEQL-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CCCC[C@@H]1F ZINC000868063439 638919974 /nfs/dbraw/zinc/91/99/74/638919974.db2.gz WYTJUBSETFMTFC-NWDGAFQWSA-N 0 0 295.314 2.675 20 5 CFBDRN Cc1ccc(CNC(=O)NCCCC2CC2)cc1[N+](=O)[O-] ZINC000868275544 638933428 /nfs/dbraw/zinc/93/34/28/638933428.db2.gz FTXLPTATHCNECB-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000122163571 638948675 /nfs/dbraw/zinc/94/86/75/638948675.db2.gz UGBAUIHGVUJGFM-SNVBAGLBSA-N 0 0 285.683 2.723 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@@H]2C(F)F)c1[N+](=O)[O-] ZINC000868601249 638960338 /nfs/dbraw/zinc/96/03/38/638960338.db2.gz BSTAWGFQYKWXOP-SECBINFHSA-N 0 0 288.225 2.522 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000869052705 639015245 /nfs/dbraw/zinc/01/52/45/639015245.db2.gz CUSQQCQHSVAVRU-NSHDSACASA-N 0 0 277.324 2.597 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000869056206 639017219 /nfs/dbraw/zinc/01/72/19/639017219.db2.gz ZIDLSVGTMACOBD-CLLJXQQHSA-N 0 0 289.335 2.501 20 5 CFBDRN CC[C@@H](NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000869271062 639124753 /nfs/dbraw/zinc/12/47/53/639124753.db2.gz GPMPOXNMEQYGGD-GFCCVEGCSA-N 0 0 280.299 2.581 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000869313060 639162664 /nfs/dbraw/zinc/16/26/64/639162664.db2.gz AUNODPWGTFNPSG-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000869314864 639166661 /nfs/dbraw/zinc/16/66/61/639166661.db2.gz UBKXNNZORCLECU-SNVBAGLBSA-N 0 0 293.319 2.684 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccccc1[N+](=O)[O-] ZINC000869314841 639166755 /nfs/dbraw/zinc/16/67/55/639166755.db2.gz QEOZWMYCYWGRNO-UHFFFAOYSA-N 0 0 265.265 2.511 20 5 CFBDRN CCCCC(=O)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000869315525 639169672 /nfs/dbraw/zinc/16/96/72/639169672.db2.gz AGAQANKNLJPUHS-JXMROGBWSA-N 0 0 291.303 2.911 20 5 CFBDRN C[C@@H]1OCC[C@H]1COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000869387041 639206890 /nfs/dbraw/zinc/20/68/90/639206890.db2.gz CDJZFPMQMLQQPG-IUCAKERBSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@H]1OCC[C@@H]1COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000869429147 639225589 /nfs/dbraw/zinc/22/55/89/639225589.db2.gz STSYQZGQGXUOSO-RKDXNWHRSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1sc(S(=O)(=O)Oc2ccccc2)cc1[N+](=O)[O-] ZINC000122671247 639306858 /nfs/dbraw/zinc/30/68/58/639306858.db2.gz NQBDORQIYYENDA-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@@H]1CCSC1 ZINC000869607235 639320203 /nfs/dbraw/zinc/32/02/03/639320203.db2.gz JDNXIAFIUWILMJ-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN CC(C)CCN(C)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000078304738 650487730 /nfs/dbraw/zinc/48/77/30/650487730.db2.gz VGSNSQBHUVSHEJ-UHFFFAOYSA-N 0 0 293.367 2.779 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc(F)cc2[N+](=O)[O-])[C@H](C)O1 ZINC000869649476 639338626 /nfs/dbraw/zinc/33/86/26/639338626.db2.gz BJRIRZKPGYKSLS-PTRXPTGYSA-N 0 0 297.282 2.591 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)cc1[N+](=O)[O-] ZINC000869649952 639339968 /nfs/dbraw/zinc/33/99/68/639339968.db2.gz KCWQOELDTGDJFB-NQBHXWOUSA-N 0 0 293.319 2.760 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OCc1cccs1 ZINC000078415621 650498809 /nfs/dbraw/zinc/49/88/09/650498809.db2.gz REYCTXXMABKKRO-UHFFFAOYSA-N 0 0 293.300 2.779 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000870151247 639528818 /nfs/dbraw/zinc/52/88/18/639528818.db2.gz XVMQKRWZARSIKU-JTLRNRKASA-N 0 0 291.303 2.801 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000870254652 639566040 /nfs/dbraw/zinc/56/60/40/639566040.db2.gz JDRNPNNCOJKPQR-JFGNBEQYSA-N 0 0 279.292 2.622 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000870314782 639595148 /nfs/dbraw/zinc/59/51/48/639595148.db2.gz SOUWHZFRHLNPOK-HJQYOEGKSA-N 0 0 291.303 2.801 20 5 CFBDRN CCC(CC)NC(=O)[C@@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000078598200 650517971 /nfs/dbraw/zinc/51/79/71/650517971.db2.gz HHFFEVTZTZJAHZ-SECBINFHSA-N 0 0 298.314 2.806 20 5 CFBDRN C[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@@]12C[C@@H]1CCCC2 ZINC000870530697 639707129 /nfs/dbraw/zinc/70/71/29/639707129.db2.gz XQVJLCFKFCEDLW-SLEUVZQESA-N 0 0 282.384 2.907 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000870576900 639731123 /nfs/dbraw/zinc/73/11/23/639731123.db2.gz RYLFGJPQQNXKBY-PHIMTYICSA-N 0 0 281.333 2.575 20 5 CFBDRN CO[C@@H](C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C)C(C)C ZINC000870701987 639779211 /nfs/dbraw/zinc/77/92/11/639779211.db2.gz YGOHQWONJUARAY-CQSZACIVSA-N 0 0 295.335 2.926 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000870711570 639784322 /nfs/dbraw/zinc/78/43/22/639784322.db2.gz BRDCPKDDQZDRJP-BXUZGUMPSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(Cc1ccsc1)Oc1cccc([N+](=O)[O-])c1 ZINC000192788324 650595935 /nfs/dbraw/zinc/59/59/35/650595935.db2.gz IFEOKWJLCPLFKZ-UHFFFAOYSA-N 0 0 263.274 2.804 20 5 CFBDRN Cc1cccc(OCc2ncc(Cl)n2C)c1[N+](=O)[O-] ZINC000192797951 650596834 /nfs/dbraw/zinc/59/68/34/650596834.db2.gz OGLPTDVHWJUQSC-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CCOCCCC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000079864323 650625617 /nfs/dbraw/zinc/62/56/17/650625617.db2.gz OCKAMHFNDSWLKS-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCOC1CCC(OC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000870804403 641080671 /nfs/dbraw/zinc/08/06/71/641080671.db2.gz VWQTUOULYANYPM-UHFFFAOYSA-N 0 0 287.356 2.713 20 5 CFBDRN CC(C)C(C)(C)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870827503 641090016 /nfs/dbraw/zinc/09/00/16/641090016.db2.gz CISYSGWTPUNBNR-UHFFFAOYSA-N 0 0 295.339 2.720 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000871091489 641197395 /nfs/dbraw/zinc/19/73/95/641197395.db2.gz XIYWSOBBBFRLFA-SNVBAGLBSA-N 0 0 256.346 2.517 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000871091187 641197854 /nfs/dbraw/zinc/19/78/54/641197854.db2.gz OMSUAEQQNIZHEN-MRVPVSSYSA-N 0 0 295.314 2.625 20 5 CFBDRN COC(C)(C)CCNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000871253422 641264311 /nfs/dbraw/zinc/26/43/11/641264311.db2.gz YGLHDBAHPJBWCT-UHFFFAOYSA-N 0 0 286.353 2.510 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1CC2(CSC2)C1 ZINC000871510489 641333493 /nfs/dbraw/zinc/33/34/93/641333493.db2.gz OPMOIWNRAYQSNE-UHFFFAOYSA-N 0 0 292.360 2.529 20 5 CFBDRN Cc1cccc(C(=O)NC2CC3(CSC3)C2)c1[N+](=O)[O-] ZINC000871513669 641334479 /nfs/dbraw/zinc/33/44/79/641334479.db2.gz ZNUJBMZEQZBFGY-UHFFFAOYSA-N 0 0 292.360 2.529 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871519248 641339806 /nfs/dbraw/zinc/33/98/06/641339806.db2.gz ZYOXWXPQYQSVRK-OKILXGFUSA-N 0 0 286.331 2.562 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2C[C@H]3CCC[C@H]3O2)c([N+](=O)[O-])c1 ZINC000871636898 641376813 /nfs/dbraw/zinc/37/68/13/641376813.db2.gz UYGGTEIZLPBUAM-MBNYWOFBSA-N 0 0 291.303 2.744 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000871637907 641377022 /nfs/dbraw/zinc/37/70/22/641377022.db2.gz QWZVEGAQMJJWOP-DGFSRKRXSA-N 0 0 291.303 2.744 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@H]2CC3(CCC3)CO2)c1 ZINC000871651907 641382430 /nfs/dbraw/zinc/38/24/30/641382430.db2.gz SUYPXRSCUPXANY-GFCCVEGCSA-N 0 0 291.303 2.745 20 5 CFBDRN CO[C@H]1C[C@@H](COc2ccc([N+](=O)[O-])cc2C)C1 ZINC000871663379 641389296 /nfs/dbraw/zinc/38/92/96/641389296.db2.gz MZGQDDPXCMGYIU-KLPPZKSPSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@H]1CC2(CCC2)CO1 ZINC000871671717 641394695 /nfs/dbraw/zinc/39/46/95/641394695.db2.gz RERMUWBBUKQNIY-SECBINFHSA-N 0 0 298.726 2.981 20 5 CFBDRN C[C@@H]1C[C@@H]1COc1ccc(Br)nc1[N+](=O)[O-] ZINC000871693871 641409079 /nfs/dbraw/zinc/40/90/79/641409079.db2.gz GDBUKMQGDSYASN-RNFRBKRXSA-N 0 0 287.113 2.787 20 5 CFBDRN CO[C@@H](C)COc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871694483 641409482 /nfs/dbraw/zinc/40/94/82/641409482.db2.gz NRGCPXBRBNJBPG-LURJTMIESA-N 0 0 263.652 2.801 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSC[C@H]1CCOC1 ZINC000871710624 641417733 /nfs/dbraw/zinc/41/77/33/641417733.db2.gz VTQOEOXSXJLOIJ-JTQLQIEISA-N 0 0 283.349 2.873 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CONC(=O)OC(C)(C)C ZINC000871737316 641431006 /nfs/dbraw/zinc/43/10/06/641431006.db2.gz FFUWDPIGRKZQNX-UHFFFAOYSA-N 0 0 298.295 2.560 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCSC(C)(C)C ZINC000871742825 641433239 /nfs/dbraw/zinc/43/32/39/641433239.db2.gz RGKRILNJAZYFHO-UHFFFAOYSA-N 0 0 284.381 2.987 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC12CCC2 ZINC000871762625 641444166 /nfs/dbraw/zinc/44/41/66/641444166.db2.gz PWNVKHPIVZMMKG-LLVKDONJSA-N 0 0 261.281 2.659 20 5 CFBDRN C[C@@H]1CCCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000193512484 650663900 /nfs/dbraw/zinc/66/39/00/650663900.db2.gz RMTYFLWZUMYLHV-SNVBAGLBSA-N 0 0 289.335 2.716 20 5 CFBDRN Cc1c(CNc2nnc(C3CC3)n2C)cccc1[N+](=O)[O-] ZINC000871864308 641488512 /nfs/dbraw/zinc/48/85/12/641488512.db2.gz XDNKRKGHJLNLCE-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])C[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000871876273 641494037 /nfs/dbraw/zinc/49/40/37/641494037.db2.gz WSAFYPNBMCODTL-OSMZGAPFSA-N 0 0 292.335 2.574 20 5 CFBDRN CC(C)(C)c1cn(C[C@H](O)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000871989554 641543921 /nfs/dbraw/zinc/54/39/21/641543921.db2.gz ONPXCXBMDRZVNA-ZDUSSCGKSA-N 0 0 289.335 2.822 20 5 CFBDRN Cc1cc(CN[C@H](C)c2c(F)cccc2[N+](=O)[O-])[nH]n1 ZINC000872039314 641573767 /nfs/dbraw/zinc/57/37/67/641573767.db2.gz SHXBNYWXNRGWIF-SECBINFHSA-N 0 0 278.287 2.616 20 5 CFBDRN C[C@H](N[C@@H]1CCn2ccnc21)c1c(F)cccc1[N+](=O)[O-] ZINC000872075143 641606731 /nfs/dbraw/zinc/60/67/31/641606731.db2.gz OUXVWFATLWLPPX-GXSJLCMTSA-N 0 0 290.298 2.726 20 5 CFBDRN C[C@@H](N[C@H](C)c1c(F)cccc1[N+](=O)[O-])c1csnn1 ZINC000872081317 641614728 /nfs/dbraw/zinc/61/47/28/641614728.db2.gz XDMQAUZPZPRSLV-HTQZYQBOSA-N 0 0 296.327 2.997 20 5 CFBDRN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872087825 641621619 /nfs/dbraw/zinc/62/16/19/641621619.db2.gz IGVMUGZPUPJCLG-KDDOJWQBSA-N 0 0 268.288 2.562 20 5 CFBDRN CCOC1CCN(Cc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000193778153 650698165 /nfs/dbraw/zinc/69/81/65/650698165.db2.gz WUDBGYCMFVKYEO-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN CC(C)(O)[C@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000872674090 641892846 /nfs/dbraw/zinc/89/28/46/641892846.db2.gz HSNMTELRCVIOFP-VIFPVBQESA-N 0 0 270.354 2.644 20 5 CFBDRN CC1(F)CCN(Cn2ncc3ccc([N+](=O)[O-])cc32)CC1 ZINC000872690760 641897183 /nfs/dbraw/zinc/89/71/83/641897183.db2.gz WZSQQCLEELACCY-UHFFFAOYSA-N 0 0 292.314 2.726 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1 ZINC000872696412 641899772 /nfs/dbraw/zinc/89/97/72/641899772.db2.gz RWDXJRPRDHRCKU-ITDIGPHOSA-N 0 0 292.335 2.973 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1 ZINC000872696413 641900174 /nfs/dbraw/zinc/90/01/74/641900174.db2.gz RWDXJRPRDHRCKU-WBIUFABUSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000872697911 641901720 /nfs/dbraw/zinc/90/17/20/641901720.db2.gz CTYIDQCMEDNCBU-WCFLWFBJSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1csc([C@H]2CCN(c3ncc([N+](=O)[O-])s3)C2)n1 ZINC000872737761 641917935 /nfs/dbraw/zinc/91/79/35/641917935.db2.gz XSAAKTPADQHIOX-QMMMGPOBSA-N 0 0 296.377 2.810 20 5 CFBDRN COC(=O)CC[C@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000872749990 641919651 /nfs/dbraw/zinc/91/96/51/641919651.db2.gz MWRBPSSJZANJDD-SNVBAGLBSA-N 0 0 298.364 2.826 20 5 CFBDRN COC(=O)CC[C@@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000872749989 641919681 /nfs/dbraw/zinc/91/96/81/641919681.db2.gz MWRBPSSJZANJDD-JTQLQIEISA-N 0 0 298.364 2.826 20 5 CFBDRN COC(=O)CC[C@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000872750159 641921037 /nfs/dbraw/zinc/92/10/37/641921037.db2.gz OEEAVUJFQNBBPP-GFCCVEGCSA-N 0 0 292.335 2.764 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1cccc(Cl)n1 ZINC000080796451 650709994 /nfs/dbraw/zinc/70/99/94/650709994.db2.gz ZUJHOOWMBFADID-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN COc1nn(C)cc1NCc1c(C)ccc([N+](=O)[O-])c1C ZINC000873113001 642015102 /nfs/dbraw/zinc/01/51/02/642015102.db2.gz MLEVGUPXKJYBLJ-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN Cc1nnsc1CNCc1c(C)ccc([N+](=O)[O-])c1C ZINC000873127460 642021499 /nfs/dbraw/zinc/02/14/99/642021499.db2.gz NPUOSQVWTQPXIP-UHFFFAOYSA-N 0 0 292.364 2.661 20 5 CFBDRN COc1cccnc1CNCc1cccc([N+](=O)[O-])c1C ZINC000873129897 642022617 /nfs/dbraw/zinc/02/26/17/642022617.db2.gz SUQDUTMUVGXWKK-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CC12CCC2 ZINC000873256231 642101874 /nfs/dbraw/zinc/10/18/74/642101874.db2.gz LDUURESMLHEZJR-ZDUSSCGKSA-N 0 0 289.335 2.679 20 5 CFBDRN CC[C@@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873319647 642135410 /nfs/dbraw/zinc/13/54/10/642135410.db2.gz NAMNDYYLTYWNJO-YSKGHYERSA-N 0 0 277.276 2.519 20 5 CFBDRN CC[C@H](OC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C(C)=O ZINC000873406005 642188085 /nfs/dbraw/zinc/18/80/85/642188085.db2.gz WOLJFSJWONSXKF-NSHDSACASA-N 0 0 283.255 2.567 20 5 CFBDRN COCCCCOC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873438300 642204662 /nfs/dbraw/zinc/20/46/62/642204662.db2.gz IHEYWDLUNRVEMV-UHFFFAOYSA-N 0 0 281.308 2.795 20 5 CFBDRN Cc1nocc1COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873438643 642205730 /nfs/dbraw/zinc/20/57/30/642205730.db2.gz TXRZMBBGGBFIMF-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@@H]1CCCOC1 ZINC000873510918 642228008 /nfs/dbraw/zinc/22/80/08/642228008.db2.gz OPJDUJCNNIYUCV-LLVKDONJSA-N 0 0 279.292 2.547 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000873528170 642235574 /nfs/dbraw/zinc/23/55/74/642235574.db2.gz CQNOZHXCXBUTFQ-VXGBXAGGSA-N 0 0 293.319 2.936 20 5 CFBDRN C[C@H](COC(=O)c1cccc(OC(C)(C)C)c1)[N+](=O)[O-] ZINC000873532149 642237644 /nfs/dbraw/zinc/23/76/44/642237644.db2.gz BOGLWXQKVAMOHG-SNVBAGLBSA-N 0 0 281.308 2.686 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873535667 642239338 /nfs/dbraw/zinc/23/93/38/642239338.db2.gz FIIBYAKYPVQSHN-UHFFFAOYSA-N 0 0 293.319 2.984 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1C[C@]12CCOC2)c1ccccc1[N+](=O)[O-] ZINC000873578761 642259583 /nfs/dbraw/zinc/25/95/83/642259583.db2.gz RFCXLNSRDKBEGQ-HCKVZZMMSA-N 0 0 291.303 2.626 20 5 CFBDRN C[C@@H](OC(=O)COc1cccc([N+](=O)[O-])c1)[C@@H]1CC12CC2 ZINC000873627642 642278909 /nfs/dbraw/zinc/27/89/09/642278909.db2.gz AYJWPHHACDVAHH-MFKMUULPSA-N 0 0 291.303 2.705 20 5 CFBDRN C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])[C@@H]1CC12CC2 ZINC000873629524 642280463 /nfs/dbraw/zinc/28/04/63/642280463.db2.gz TYVVOHLCDRZAFJ-KOLCDFICSA-N 0 0 261.277 2.940 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCc2cn3ccccc3n2)c1 ZINC000195083620 650737563 /nfs/dbraw/zinc/73/75/63/650737563.db2.gz RDFIYISOBKVHIH-UHFFFAOYSA-N 0 0 297.270 2.634 20 5 CFBDRN CC[C@@H](C)NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873721793 642289015 /nfs/dbraw/zinc/28/90/15/642289015.db2.gz IESAXNLFLGHNIX-SECBINFHSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccc(NC(=O)C2(Br)CC2)cc1[N+](=O)[O-] ZINC000873726877 642289840 /nfs/dbraw/zinc/28/98/40/642289840.db2.gz PZHSWVJQOMHJSH-UHFFFAOYSA-N 0 0 299.124 2.769 20 5 CFBDRN Cc1ncc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)s1 ZINC000195246512 650744034 /nfs/dbraw/zinc/74/40/34/650744034.db2.gz VFUKTRFYIHIKHU-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN CCCCOCCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124685092 642300502 /nfs/dbraw/zinc/30/05/02/642300502.db2.gz CUGOJFAFKRKEAH-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC(F)(F)F ZINC000873934935 642309183 /nfs/dbraw/zinc/30/91/83/642309183.db2.gz QGEPOHJNLMNMIV-UHFFFAOYSA-N 0 0 276.214 2.504 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000124802673 642334701 /nfs/dbraw/zinc/33/47/01/642334701.db2.gz OLPLYDSEGCLVNB-UISBYWKRSA-N 0 0 268.700 2.980 20 5 CFBDRN CCCCOCCNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000874239028 642353453 /nfs/dbraw/zinc/35/34/53/642353453.db2.gz SMTDDQXSPQYBRM-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN C[C@@]1(C(F)(F)F)CCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000874487132 642403122 /nfs/dbraw/zinc/40/31/22/642403122.db2.gz PYMGKRBEZWUNGT-SNVBAGLBSA-N 0 0 292.213 2.602 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])O1 ZINC000874625447 642428008 /nfs/dbraw/zinc/42/80/08/642428008.db2.gz OTOOYNKDWNNFBN-COPLHBTASA-N 0 0 292.335 2.730 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1F ZINC000874779850 642480237 /nfs/dbraw/zinc/48/02/37/642480237.db2.gz FGNAGPKLAUGOSM-RNCFNFMXSA-N 0 0 292.310 2.896 20 5 CFBDRN CC1(NC(=O)CCc2cccc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000874854632 642528623 /nfs/dbraw/zinc/52/86/23/642528623.db2.gz AFOIHJWZLGPTJO-UHFFFAOYSA-N 0 0 298.289 2.831 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1(C)CC(F)(F)C1 ZINC000874855692 642529474 /nfs/dbraw/zinc/52/94/74/642529474.db2.gz GKBAFQSYNXMIOG-UHFFFAOYSA-N 0 0 284.262 2.821 20 5 CFBDRN COC1CC(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000875054035 642581412 /nfs/dbraw/zinc/58/14/12/642581412.db2.gz GCHLYQCVIKWUGR-UHFFFAOYSA-N 0 0 284.699 2.612 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000875054668 642581476 /nfs/dbraw/zinc/58/14/76/642581476.db2.gz YAWRPQQDHSKUTG-APHKKCJPSA-N 0 0 278.283 2.965 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000875077587 642582457 /nfs/dbraw/zinc/58/24/57/642582457.db2.gz DRUGGVBZZBLUIH-PCDDKUFXSA-N 0 0 274.320 2.850 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000875423143 642620432 /nfs/dbraw/zinc/62/04/32/642620432.db2.gz GNLGQOCFOIZGJB-APHKKCJPSA-N 0 0 275.308 2.529 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1C(C)(C)C)c1ccc([N+](=O)[O-])nc1 ZINC000875655318 642659500 /nfs/dbraw/zinc/65/95/00/642659500.db2.gz BYOACUVYQFSCMP-GHMZBOCLSA-N 0 0 277.324 2.635 20 5 CFBDRN CCO[C@@H](C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F)C1CC1 ZINC000875675094 642663888 /nfs/dbraw/zinc/66/38/88/642663888.db2.gz QECUPESFKOQICC-CYBMUJFWSA-N 0 0 296.298 2.796 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCCC2(CC2)C1)[N+](=O)[O-] ZINC000888694140 642755435 /nfs/dbraw/zinc/75/54/35/642755435.db2.gz RBDWADZFBQCIPD-LLVKDONJSA-N 0 0 268.357 2.661 20 5 CFBDRN CCC1(NC(=O)NCc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000876169376 642784757 /nfs/dbraw/zinc/78/47/57/642784757.db2.gz VNSAGYOFOAYFEY-UHFFFAOYSA-N 0 0 277.324 2.645 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000876169557 642785417 /nfs/dbraw/zinc/78/54/17/642785417.db2.gz XVZKAQMQODJARB-GFCCVEGCSA-N 0 0 295.314 2.547 20 5 CFBDRN Nc1ccc(C(=O)N[C@H]2CCCC3(CC3)C2)cc1[N+](=O)[O-] ZINC000888708761 642791807 /nfs/dbraw/zinc/79/18/07/642791807.db2.gz OYFTTXFROIGLDA-NSHDSACASA-N 0 0 289.335 2.630 20 5 CFBDRN C[C@H](OC(=O)[C@@]1(C)CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000125929723 642862842 /nfs/dbraw/zinc/86/28/42/642862842.db2.gz ZSOAJGGDZZMQAC-IINYFYTJSA-N 0 0 279.292 2.768 20 5 CFBDRN CC(=O)c1ccc(N(C)C(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000876466811 642881899 /nfs/dbraw/zinc/88/18/99/642881899.db2.gz ZLVDGERBYFPSLZ-UHFFFAOYSA-N 0 0 292.335 2.688 20 5 CFBDRN C[C@@H](O)CCNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000086016440 650794072 /nfs/dbraw/zinc/79/40/72/650794072.db2.gz BOYXVLGCBDMXDP-SSDOTTSWSA-N 0 0 260.240 2.715 20 5 CFBDRN Cn1cc(CNc2ccc(C3CC3)cc2)c([N+](=O)[O-])n1 ZINC000877132661 643170088 /nfs/dbraw/zinc/17/00/88/643170088.db2.gz KAJAEFLYVFGPMS-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN CC(C)[C@H](C)OC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000089241322 650830981 /nfs/dbraw/zinc/83/09/81/650830981.db2.gz FJTPKHRASBMERJ-NSHDSACASA-N 0 0 280.324 2.619 20 5 CFBDRN Cc1nc(CN(C)Cc2c(C)ccc([N+](=O)[O-])c2C)no1 ZINC000877642337 643426840 /nfs/dbraw/zinc/42/68/40/643426840.db2.gz VANHBVDAGHRGEH-UHFFFAOYSA-N 0 0 290.323 2.535 20 5 CFBDRN COc1ncc(CNCc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000877730100 643490607 /nfs/dbraw/zinc/49/06/07/643490607.db2.gz MKBBGYXMZDTGQP-UHFFFAOYSA-N 0 0 293.348 2.658 20 5 CFBDRN COc1ccc(CN2CC(OC(C)(C)C)C2)cc1[N+](=O)[O-] ZINC000877770242 643549397 /nfs/dbraw/zinc/54/93/97/643549397.db2.gz QNHROCLABOKDQY-UHFFFAOYSA-N 0 0 294.351 2.603 20 5 CFBDRN CC(C)(C)OC1CN(Cc2cccc([N+](=O)[O-])c2)C1 ZINC000877772301 643551607 /nfs/dbraw/zinc/55/16/07/643551607.db2.gz XSMXJNMCLUIPSR-UHFFFAOYSA-N 0 0 264.325 2.594 20 5 CFBDRN CC(C)(C)OC1CN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000877772253 643551758 /nfs/dbraw/zinc/55/17/58/643551758.db2.gz VTQHEMCVYFDUIJ-UHFFFAOYSA-N 0 0 270.354 2.656 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])cc2C)c(C)nn1 ZINC000877879420 643618415 /nfs/dbraw/zinc/61/84/15/643618415.db2.gz CCSJXGMACXFAIJ-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000877917526 643639930 /nfs/dbraw/zinc/63/99/30/643639930.db2.gz WREAPRRHJPGGNN-NOLJZWGESA-N 0 0 290.363 2.984 20 5 CFBDRN C/C=C(/C)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000089967943 650860536 /nfs/dbraw/zinc/86/05/36/650860536.db2.gz MJJGEKLGHFCCKV-WCIBSUBMSA-N 0 0 278.308 2.540 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000878338050 643849731 /nfs/dbraw/zinc/84/97/31/643849731.db2.gz UMEVUWDSOAGEPJ-JSGCOSHPSA-N 0 0 274.320 2.647 20 5 CFBDRN C[C@H]1C[C@H](C)N1Cc1nnc(-c2ccccc2[N+](=O)[O-])o1 ZINC000878533483 643931644 /nfs/dbraw/zinc/93/16/44/643931644.db2.gz POCBLYIZDWLZEB-UWVGGRQHSA-N 0 0 288.307 2.628 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC=C(Cl)C2)c1 ZINC000878793761 644029288 /nfs/dbraw/zinc/02/92/88/644029288.db2.gz LFYYARAUMAZEKX-UHFFFAOYSA-N 0 0 252.701 2.923 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1C[C@@H]1C ZINC000127360093 644035236 /nfs/dbraw/zinc/03/52/36/644035236.db2.gz GSHORJJIPNSUPI-CABZTGNLSA-N 0 0 279.292 2.693 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCC2)c(C(F)F)c1 ZINC000127538134 644159136 /nfs/dbraw/zinc/15/91/36/644159136.db2.gz AKKFPMJLWOCYNI-UHFFFAOYSA-N 0 0 272.251 2.859 20 5 CFBDRN Cc1n[nH]cc1CN(C)Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000879188126 644169270 /nfs/dbraw/zinc/16/92/70/644169270.db2.gz HSAAZICBERDQHI-UHFFFAOYSA-N 0 0 274.324 2.567 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)OCC(F)(F)F ZINC000879512388 644296843 /nfs/dbraw/zinc/29/68/43/644296843.db2.gz KXECNAIKPOBPTG-UHFFFAOYSA-N 0 0 294.229 2.529 20 5 CFBDRN CN(CCc1ccc([N+](=O)[O-])cc1)OCC(F)(F)F ZINC000879513025 644297598 /nfs/dbraw/zinc/29/75/98/644297598.db2.gz AISUPTYNEZZXOG-UHFFFAOYSA-N 0 0 278.230 2.563 20 5 CFBDRN Cc1ccc(CN(C)OCC(F)(F)F)cc1[N+](=O)[O-] ZINC000879516518 644299466 /nfs/dbraw/zinc/29/94/66/644299466.db2.gz RXOFVNBQAVWALK-UHFFFAOYSA-N 0 0 278.230 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2OC3CCC2CC3)cc1F ZINC000879801018 644430451 /nfs/dbraw/zinc/43/04/51/644430451.db2.gz ZVVSMFBSTOSWKY-UHFFFAOYSA-N 0 0 266.272 2.792 20 5 CFBDRN Cc1nc(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)oc1C ZINC000128121691 644493554 /nfs/dbraw/zinc/49/35/54/644493554.db2.gz CREOQVMTBWYRNH-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1noc(CN2CC[C@@](C)(C(F)(F)F)C2)c1[N+](=O)[O-] ZINC000880003488 644495154 /nfs/dbraw/zinc/49/51/54/644495154.db2.gz HWCPXWSZAXMEPO-SNVBAGLBSA-N 0 0 293.245 2.666 20 5 CFBDRN CC(C)[C@H](C)OC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000128145049 644505537 /nfs/dbraw/zinc/50/55/37/644505537.db2.gz IJOZIHGPKNOLKI-JTQLQIEISA-N 0 0 266.297 2.594 20 5 CFBDRN CCN(Cc1cn(C)nc1[N+](=O)[O-])c1ccc(F)c(C)c1 ZINC000880176563 644564175 /nfs/dbraw/zinc/56/41/75/644564175.db2.gz ILGCVWJKHDVHDL-UHFFFAOYSA-N 0 0 292.314 2.802 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCC[C@@H](CF)C3)nc2c1 ZINC000880236808 644598783 /nfs/dbraw/zinc/59/87/83/644598783.db2.gz JKQIMIHDDFHOFQ-JTQLQIEISA-N 0 0 292.314 2.653 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC(F)CC1 ZINC000880239351 644601567 /nfs/dbraw/zinc/60/15/67/644601567.db2.gz ZWIFLJKQWQKDFJ-UHFFFAOYSA-N 0 0 256.252 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H](CF)C2)c([N+](=O)[O-])c1 ZINC000880238566 644601871 /nfs/dbraw/zinc/60/18/71/644601871.db2.gz UUPVBWXBMDHKGG-SNVBAGLBSA-N 0 0 297.286 2.685 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC(F)CC1 ZINC000880242594 644605750 /nfs/dbraw/zinc/60/57/50/644605750.db2.gz FBNJBPQJXLWXNX-UHFFFAOYSA-N 0 0 256.252 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC[C@@H](CF)C3)nc2c1 ZINC000880295407 644635148 /nfs/dbraw/zinc/63/51/48/644635148.db2.gz SSUZGFUTKRSIDF-VIFPVBQESA-N 0 0 279.271 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CC(F)F)c1C ZINC000888809263 644659853 /nfs/dbraw/zinc/65/98/53/644659853.db2.gz AIMHROALNWNLJV-UHFFFAOYSA-N 0 0 272.251 2.549 20 5 CFBDRN CCOc1cc(NC(=O)N[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000888829487 644806517 /nfs/dbraw/zinc/80/65/17/644806517.db2.gz HLFBLJNIVHYCII-PSASIEDQSA-N 0 0 279.296 2.523 20 5 CFBDRN CCONC(=O)Nc1cc([N+](=O)[O-])ccc1C(C)(C)C ZINC000880708771 644812651 /nfs/dbraw/zinc/81/26/51/644812651.db2.gz HIXFXSRJZRGUMB-UHFFFAOYSA-N 0 0 281.312 2.965 20 5 CFBDRN CC1(C)CCC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000128800689 644906891 /nfs/dbraw/zinc/90/68/91/644906891.db2.gz ATUSGJIWCBQKEX-JTQLQIEISA-N 0 0 291.351 2.876 20 5 CFBDRN CC1(C)CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000128800896 644909235 /nfs/dbraw/zinc/90/92/35/644909235.db2.gz ATUSGJIWCBQKEX-SNVBAGLBSA-N 0 0 291.351 2.876 20 5 CFBDRN CC(=O)C1CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000094659240 650961017 /nfs/dbraw/zinc/96/10/17/650961017.db2.gz NOVIPWGYEBMGJD-UHFFFAOYSA-N 0 0 262.309 2.709 20 5 CFBDRN CCn1nccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000881365760 644962207 /nfs/dbraw/zinc/96/22/07/644962207.db2.gz WXCAYZWIXYMCEI-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN CC[C@@H](O)c1cn([C@@H](C)c2cc([N+](=O)[O-])ccc2C)nn1 ZINC000881365185 644962314 /nfs/dbraw/zinc/96/23/14/644962314.db2.gz OCPYVAAPHQKTAR-IINYFYTJSA-N 0 0 290.323 2.547 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000881490176 644989409 /nfs/dbraw/zinc/98/94/09/644989409.db2.gz IVOQKYCIHQIVHS-LLVKDONJSA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NC[C@H]1C=CCC1 ZINC000881491645 644991434 /nfs/dbraw/zinc/99/14/34/644991434.db2.gz XZXKFIGMXBZNFG-NSHDSACASA-N 0 0 292.360 2.769 20 5 CFBDRN COc1cc(C(=O)NC[C@H]2C=CCC2)cc([N+](=O)[O-])c1C ZINC000881497406 644997231 /nfs/dbraw/zinc/99/72/31/644997231.db2.gz AJHGFLALLHJMJY-NSHDSACASA-N 0 0 290.319 2.608 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000881497019 644997286 /nfs/dbraw/zinc/99/72/86/644997286.db2.gz QNNSRYFJLNXNRO-LLVKDONJSA-N 0 0 297.314 2.839 20 5 CFBDRN CC1(C)C[C@]1(C)CNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000881539640 645031847 /nfs/dbraw/zinc/03/18/47/645031847.db2.gz GNHHLWUEDDFWGJ-OAHLLOKOSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1cccc(C(=O)N2CCC[C@H](CF)C2)c1[N+](=O)[O-] ZINC000881588030 645061947 /nfs/dbraw/zinc/06/19/47/645061947.db2.gz CLCASUNJAWOFPI-LLVKDONJSA-N 0 0 280.299 2.725 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](CF)C1 ZINC000881594338 645066493 /nfs/dbraw/zinc/06/64/93/645066493.db2.gz IUOLJGPUBAGSNP-NSHDSACASA-N 0 0 280.299 2.725 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC(F)CC1 ZINC000881607649 645077188 /nfs/dbraw/zinc/07/71/88/645077188.db2.gz YIPLTWSEHNYFSR-UHFFFAOYSA-N 0 0 298.339 2.647 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCCOCC(F)(F)F ZINC000881795484 645129869 /nfs/dbraw/zinc/12/98/69/645129869.db2.gz ISUGIBLKTXDUDC-UHFFFAOYSA-N 0 0 297.208 2.510 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1C[C@H](C)OC(C)(C)C1 ZINC000881848439 645139768 /nfs/dbraw/zinc/13/97/68/645139768.db2.gz XHIYNELZLUYELI-JTQLQIEISA-N 0 0 292.335 2.801 20 5 CFBDRN Cc1nc(C)c(CCNc2ccnc(F)c2[N+](=O)[O-])s1 ZINC000881960798 645163846 /nfs/dbraw/zinc/16/38/46/645163846.db2.gz OUKSGPYYHYCRBS-UHFFFAOYSA-N 0 0 296.327 2.857 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccnc(F)c2[N+](=O)[O-])C12CCC2 ZINC000882017077 645177233 /nfs/dbraw/zinc/17/72/33/645177233.db2.gz QKRJGVAHPKRLSQ-QWRGUYRKSA-N 0 0 295.314 2.889 20 5 CFBDRN COC(C)(C)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882028755 645182689 /nfs/dbraw/zinc/18/26/89/645182689.db2.gz FDDOIXCZRNYRSV-UHFFFAOYSA-N 0 0 266.297 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCC3(CCOCC3)O2)cc1 ZINC000882034639 645183717 /nfs/dbraw/zinc/18/37/17/645183717.db2.gz XIKVETBNZZVOBX-CQSZACIVSA-N 0 0 293.319 2.702 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2ccnc(F)c2[N+](=O)[O-])C1(C)C ZINC000882040814 645186194 /nfs/dbraw/zinc/18/61/94/645186194.db2.gz XYQUCXBUQTTXIO-RNCFNFMXSA-N 0 0 283.303 2.744 20 5 CFBDRN CC(=O)c1c(N(C)CCOCC2CC2)cccc1[N+](=O)[O-] ZINC000882080515 645194818 /nfs/dbraw/zinc/19/48/18/645194818.db2.gz OZCKDASGWKTHRT-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN CCc1ccc(OCN(C)C(=O)C(C)C)c([N+](=O)[O-])c1 ZINC000882120640 645209519 /nfs/dbraw/zinc/20/95/19/645209519.db2.gz YVNPQNPMGCDORK-UHFFFAOYSA-N 0 0 280.324 2.608 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882126625 645212643 /nfs/dbraw/zinc/21/26/43/645212643.db2.gz FBSNMUPBWKLRNU-SSDOTTSWSA-N 0 0 294.286 2.949 20 5 CFBDRN Cc1nn(C[Si](C)(C)C)c2ncc([N+](=O)[O-])cc12 ZINC000882132830 645214570 /nfs/dbraw/zinc/21/45/70/645214570.db2.gz RQLCHASWCSUKFD-UHFFFAOYSA-N 0 0 264.361 2.525 20 5 CFBDRN CC(=O)c1ccc(OCC[C@@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000882147069 645218573 /nfs/dbraw/zinc/21/85/73/645218573.db2.gz ITLSCPCTBRMHBT-CYBMUJFWSA-N 0 0 281.308 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCO[C@@H]2CCCOC2)cc1 ZINC000882148116 645219180 /nfs/dbraw/zinc/21/91/80/645219180.db2.gz JRNSOGQATMLQKU-CYBMUJFWSA-N 0 0 283.349 2.981 20 5 CFBDRN CCOc1cc(OCC[C@@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000882155535 645222611 /nfs/dbraw/zinc/22/26/11/645222611.db2.gz HFKWNJYRCOBFSC-CYBMUJFWSA-N 0 0 283.324 2.779 20 5 CFBDRN C[C@@H](CNc1ccc(C(N)=O)cc1[N+](=O)[O-])C(C)(C)C ZINC000096609802 650995822 /nfs/dbraw/zinc/99/58/22/650995822.db2.gz CAWLTRXIFZLALG-VIFPVBQESA-N 0 0 279.340 2.788 20 5 CFBDRN Cn1ccc(CNc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000212275909 645292325 /nfs/dbraw/zinc/29/23/25/645292325.db2.gz VHSHIMZQPRCCQB-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCc1noc2c1CCCC2 ZINC000882377434 645294562 /nfs/dbraw/zinc/29/45/62/645294562.db2.gz ZKIHSPBTTHEZDC-UHFFFAOYSA-N 0 0 292.270 2.608 20 5 CFBDRN C[C@@]12CCN(c3ccnc(F)c3[N+](=O)[O-])C[C@@H]1C2(F)F ZINC000882390897 645297906 /nfs/dbraw/zinc/29/79/06/645297906.db2.gz UDLLIVNTCNNYFD-GZMMTYOYSA-N 0 0 287.241 2.610 20 5 CFBDRN CC(=O)c1c(N[C@H]2CC23CCOCC3)cccc1[N+](=O)[O-] ZINC000882423200 645307005 /nfs/dbraw/zinc/30/70/05/645307005.db2.gz LCHVUKZXRVMOHP-ZDUSSCGKSA-N 0 0 290.319 2.778 20 5 CFBDRN CO[C@@H](C)CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129675586 645316880 /nfs/dbraw/zinc/31/68/80/645316880.db2.gz URWCUZTZTNTVOQ-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN CC[C@@H]1CN(c2ccnc(F)c2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000882471596 645331687 /nfs/dbraw/zinc/33/16/87/645331687.db2.gz XMDSZQCJYAYXMN-NXEZZACHSA-N 0 0 283.303 2.523 20 5 CFBDRN CC(=O)c1c(NCCCC2(O)CCC2)cccc1[N+](=O)[O-] ZINC000882473235 645332538 /nfs/dbraw/zinc/33/25/38/645332538.db2.gz YGBABFRLSRJQEV-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN CC(C)(C)OCCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882617985 645389529 /nfs/dbraw/zinc/38/95/29/645389529.db2.gz CNGNNUOYISGNQH-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H](O)c1ccccc1 ZINC000129761326 645392353 /nfs/dbraw/zinc/39/23/53/645392353.db2.gz HLXLWDBIRXETEA-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCCC2(CC2)CC1 ZINC000882669065 645405920 /nfs/dbraw/zinc/40/59/20/645405920.db2.gz QLHMFYXYHPTYHW-UHFFFAOYSA-N 0 0 265.288 2.899 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1CCC12CCOCC2 ZINC000882695234 645413949 /nfs/dbraw/zinc/41/39/49/645413949.db2.gz SJEQPNJENPWKAH-JTQLQIEISA-N 0 0 295.314 2.748 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@]2(c3ccccc3)C[C@@H](O)C2)s1 ZINC000882735375 645436674 /nfs/dbraw/zinc/43/66/74/645436674.db2.gz FBIJTDDVMSYBDA-GSJFWJNXSA-N 0 0 291.332 2.513 20 5 CFBDRN C[C@H](O)CSc1cccc(Br)c1[N+](=O)[O-] ZINC000882738245 645437734 /nfs/dbraw/zinc/43/77/34/645437734.db2.gz OMTWRJCBWBGYDT-LURJTMIESA-N 0 0 292.154 2.830 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NC[C@@H]3C=CCC3)c21 ZINC000882757095 645445040 /nfs/dbraw/zinc/44/50/40/645445040.db2.gz XJRWVPUJXJVXDZ-SNVBAGLBSA-N 0 0 270.292 2.916 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)CC(F)(F)C1 ZINC000882775323 645452564 /nfs/dbraw/zinc/45/25/64/645452564.db2.gz YNHINCPDAIJTHY-UHFFFAOYSA-N 0 0 299.277 2.541 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](CF)C2)c(F)c1 ZINC000882800525 645462048 /nfs/dbraw/zinc/46/20/48/645462048.db2.gz CACXLWJFNMSIFH-SECBINFHSA-N 0 0 256.252 2.920 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](CF)C2)c(Cl)c1 ZINC000882801135 645463119 /nfs/dbraw/zinc/46/31/19/645463119.db2.gz GCNWQIZFQHJSRZ-MRVPVSSYSA-N 0 0 273.695 2.829 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](c3nccs3)C2)s1 ZINC000882857649 645479557 /nfs/dbraw/zinc/47/95/57/645479557.db2.gz NVDCVISRDXIUAC-ZETCQYMHSA-N 0 0 282.350 2.502 20 5 CFBDRN Cc1cccc(NC[C@H](CO)Cc2ccoc2)c1[N+](=O)[O-] ZINC000882866109 645482121 /nfs/dbraw/zinc/48/21/21/645482121.db2.gz DPCZHXIBTNKZET-CYBMUJFWSA-N 0 0 290.319 2.759 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCc1ccon1 ZINC000130405286 645495290 /nfs/dbraw/zinc/49/52/90/645495290.db2.gz VJBRXMSYQNPITF-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN CCCCCCn1nc(-c2ccc([N+](=O)[O-])cc2)oc1=O ZINC000130539187 645520106 /nfs/dbraw/zinc/52/01/06/645520106.db2.gz JNKAHXIQOPOQBN-UHFFFAOYSA-N 0 0 291.307 2.992 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])nc(C)n1CC)[C@@H]1CCCOC1 ZINC000882954846 645526804 /nfs/dbraw/zinc/52/68/04/645526804.db2.gz XEBFFYBVIJJNDM-VXGBXAGGSA-N 0 0 296.371 2.737 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@]23CCCO3)c(F)c1 ZINC000882983079 645540915 /nfs/dbraw/zinc/54/09/15/645540915.db2.gz ZTGSWQRKEKWCBI-YPMHNXCESA-N 0 0 281.287 2.643 20 5 CFBDRN Cc1cn(CC[C@H]2CCCC2(F)F)nc1[N+](=O)[O-] ZINC000882990105 645547364 /nfs/dbraw/zinc/54/73/64/645547364.db2.gz WJMNSXYQIWEQOY-SECBINFHSA-N 0 0 259.256 2.925 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2c3ccccc3CC[C@H]2F)nc1 ZINC000882991953 645548608 /nfs/dbraw/zinc/54/86/08/645548608.db2.gz LTSLGJVALRCYDS-OLZOCXBDSA-N 0 0 288.282 2.822 20 5 CFBDRN O=Cc1c[nH]nc1C=CC=Cc1ccccc1[N+](=O)[O-] ZINC000131148924 645637501 /nfs/dbraw/zinc/63/75/01/645637501.db2.gz IGYHOCLAFQCQJW-JGOQQPJWSA-N 0 0 269.260 2.857 20 5 CFBDRN CC[C@@H](c1ccncc1)N(CC)Cn1nccc1[N+](=O)[O-] ZINC000131488822 645736040 /nfs/dbraw/zinc/73/60/40/645736040.db2.gz KAMUQWCFVIMWDY-ZDUSSCGKSA-N 0 0 289.339 2.617 20 5 CFBDRN Cc1ccc(F)c(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000027383720 645742973 /nfs/dbraw/zinc/74/29/73/645742973.db2.gz OKMSDMHZMRMMKE-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1c(CNc2nn(C)cc2Cl)cccc1[N+](=O)[O-] ZINC000883372241 645777200 /nfs/dbraw/zinc/77/72/00/645777200.db2.gz SSXKZPZJIJPBRY-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cc1ccc(OC(=O)[C@H](C)OCC2CC2)cc1[N+](=O)[O-] ZINC000131825229 645830489 /nfs/dbraw/zinc/83/04/89/645830489.db2.gz YIACDIYHDGOZCJ-JTQLQIEISA-N 0 0 279.292 2.624 20 5 CFBDRN COC(=O)/C(C)=C\COc1cc([N+](=O)[O-])ccc1Cl ZINC000214631921 645847703 /nfs/dbraw/zinc/84/77/03/645847703.db2.gz GLPMWAAMPDBSEG-YVMONPNESA-N 0 0 285.683 2.746 20 5 CFBDRN Cc1ccc(OC(=O)CC2CCOCC2)cc1[N+](=O)[O-] ZINC000131926170 645852738 /nfs/dbraw/zinc/85/27/38/645852738.db2.gz LFBHDKNMYXYMIB-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H](CF)C1)c1ccccc1[N+](=O)[O-] ZINC000883552861 645885813 /nfs/dbraw/zinc/88/58/13/645885813.db2.gz DHPGWDGIEPXDGS-WDEREUQCSA-N 0 0 295.314 2.657 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000132243898 645900323 /nfs/dbraw/zinc/90/03/23/645900323.db2.gz QYRNHQMBZOANKB-WPRPVWTQSA-N 0 0 266.272 2.652 20 5 CFBDRN O=C(Cc1ccsc1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132403581 645915037 /nfs/dbraw/zinc/91/50/37/645915037.db2.gz JZTDOLMECVITMV-UHFFFAOYSA-N 0 0 281.264 2.944 20 5 CFBDRN O=C(COC1CCCCC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132451067 645918678 /nfs/dbraw/zinc/91/86/78/645918678.db2.gz VYQLKDOOGQZEJS-UHFFFAOYSA-N 0 0 297.282 2.989 20 5 CFBDRN CCCN(CC(F)F)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000133103618 646029199 /nfs/dbraw/zinc/02/91/99/646029199.db2.gz FTOOFFZTYRNSFW-UHFFFAOYSA-N 0 0 298.293 2.879 20 5 CFBDRN O=C(OC[C@H]1CCC2(CCC2)O1)c1ccccc1[N+](=O)[O-] ZINC000883938791 646056181 /nfs/dbraw/zinc/05/61/81/646056181.db2.gz UAYVRQVMLBVCDH-LLVKDONJSA-N 0 0 291.303 2.853 20 5 CFBDRN CC(=O)CCC(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000800093212 646136300 /nfs/dbraw/zinc/13/63/00/646136300.db2.gz LJCQBZZJRYXUMJ-LLVKDONJSA-N 0 0 279.292 2.877 20 5 CFBDRN CO[C@@H](C)CCC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800144581 646155998 /nfs/dbraw/zinc/15/59/98/646155998.db2.gz XIIORTIPGFQOQX-QMMMGPOBSA-N 0 0 284.287 2.796 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)O1 ZINC000800147826 646156045 /nfs/dbraw/zinc/15/60/45/646156045.db2.gz BKJKPXHHWHPAQP-SKDRFNHKSA-N 0 0 296.298 2.938 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)Cc1ccco1 ZINC000800147934 646156369 /nfs/dbraw/zinc/15/63/69/646156369.db2.gz CSEQATKYOMDSFV-UHFFFAOYSA-N 0 0 278.239 2.817 20 5 CFBDRN Cc1c(NC(=O)[C@H]2C[C@H]2C)c(F)ccc1[N+](=O)[O-] ZINC000800150400 646157836 /nfs/dbraw/zinc/15/78/36/646157836.db2.gz NXAFJMIFLIUDHW-SVRRBLITSA-N 0 0 252.245 2.637 20 5 CFBDRN Cc1cncc(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)c1 ZINC000800152172 646159469 /nfs/dbraw/zinc/15/94/69/646159469.db2.gz SETXUDDEWDRCFU-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H](C)CO1 ZINC000889041457 646166322 /nfs/dbraw/zinc/16/63/22/646166322.db2.gz FEPKGHHSEOXZOG-JMCQJSRRSA-N 0 0 298.726 2.920 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000133749271 646219708 /nfs/dbraw/zinc/21/97/08/646219708.db2.gz VPFLZXNXSDJOGV-MNOVXSKESA-N 0 0 291.351 2.828 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCCC2CCC2)c1 ZINC000133988989 646262423 /nfs/dbraw/zinc/26/24/23/646262423.db2.gz GZSLOEYARGERRF-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CCCn1cc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000134421259 646343230 /nfs/dbraw/zinc/34/32/30/646343230.db2.gz BJIAOAZVMXKYJA-UHFFFAOYSA-N 0 0 292.270 2.593 20 5 CFBDRN C[C@@H]1SCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@H]1C ZINC000134766124 646382223 /nfs/dbraw/zinc/38/22/23/646382223.db2.gz MVGGGYRHAXTVSP-IUCAKERBSA-N 0 0 298.339 2.700 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC([C@@H]3CCOC3)C2)c2ccccc21 ZINC000884491738 646397147 /nfs/dbraw/zinc/39/71/47/646397147.db2.gz CWWYHMBNMQWRLT-LLVKDONJSA-N 0 0 299.330 2.616 20 5 CFBDRN COCC1(C)CN(c2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000884491412 646397375 /nfs/dbraw/zinc/39/73/75/646397375.db2.gz VDYZHCMRWCLTBW-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CC3)C2)c2ccccc21 ZINC000884518752 646416693 /nfs/dbraw/zinc/41/66/93/646416693.db2.gz YSTYMRKEZHTPTJ-UHFFFAOYSA-N 0 0 255.277 2.743 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000134965501 646421571 /nfs/dbraw/zinc/42/15/71/646421571.db2.gz YCUVKJLSXKDRJM-SNVBAGLBSA-N 0 0 280.299 2.852 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000134969861 646422956 /nfs/dbraw/zinc/42/29/56/646422956.db2.gz BXETWCJCNSHQDS-VIFPVBQESA-N 0 0 266.272 2.606 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CCC2(CCC2)CO1)[N+](=O)[O-] ZINC000884534886 646428353 /nfs/dbraw/zinc/42/83/53/646428353.db2.gz QGUWTNSQGHBUBF-LBPRGKRZSA-N 0 0 299.367 2.714 20 5 CFBDRN C[C@]1(C(=O)OCc2cccnc2[N+](=O)[O-])CC=CCC1 ZINC000884540121 646432648 /nfs/dbraw/zinc/43/26/48/646432648.db2.gz SZPRKPBPUDZXGI-AWEZNQCLSA-N 0 0 276.292 2.779 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CSC2)c2ccccc21 ZINC000884559343 646448969 /nfs/dbraw/zinc/44/89/69/646448969.db2.gz RXUBBPZVZJSLRP-UHFFFAOYSA-N 0 0 261.306 2.670 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(SC[C@@H](C)O)c2c1 ZINC000884581173 646467160 /nfs/dbraw/zinc/46/71/60/646467160.db2.gz VYTRJPLWPAFWMP-MRVPVSSYSA-N 0 0 294.332 2.625 20 5 CFBDRN Cc1cc(N2C[C@@H]3C[C@@H]3C2)c2cccc([N+](=O)[O-])c2n1 ZINC000884590478 646473974 /nfs/dbraw/zinc/47/39/74/646473974.db2.gz WSKPTWMFLBIDSP-PHIMTYICSA-N 0 0 269.304 2.908 20 5 CFBDRN C[C@@H](CC(=O)OCc1cccnc1[N+](=O)[O-])C(F)(F)F ZINC000884599682 646481285 /nfs/dbraw/zinc/48/12/85/646481285.db2.gz IMTJYZQFEYZORF-ZETCQYMHSA-N 0 0 292.213 2.622 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@H]1c1ccccc1 ZINC000884641834 646502411 /nfs/dbraw/zinc/50/24/11/646502411.db2.gz UTAKNVJTQGKMLS-BXUZGUMPSA-N 0 0 284.319 2.972 20 5 CFBDRN Nc1c(F)c(NC[C@H]2CC3(CCC3)CO2)ccc1[N+](=O)[O-] ZINC000884661887 646515059 /nfs/dbraw/zinc/51/50/59/646515059.db2.gz CNTVDXQMNZGYOG-SECBINFHSA-N 0 0 295.314 2.687 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@]3(CO)CCC[C@@H]23)c(F)c1 ZINC000884665913 646519119 /nfs/dbraw/zinc/51/91/19/646519119.db2.gz LXBQJEFYYJFXGI-TZMCWYRMSA-N 0 0 298.289 2.614 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCCOC1 ZINC000135498699 646541372 /nfs/dbraw/zinc/54/13/72/646541372.db2.gz OBDRZEAOTYBCME-VIFPVBQESA-N 0 0 298.726 2.922 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000884731133 646544576 /nfs/dbraw/zinc/54/45/76/646544576.db2.gz TZAQOAMRWNRXQS-BXUZGUMPSA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]([C@H]3CCCO3)C2)c(Cl)c1 ZINC000884731805 646545316 /nfs/dbraw/zinc/54/53/16/646545316.db2.gz FVWTVQUGDDHXIQ-BXKDBHETSA-N 0 0 297.742 2.649 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@H]([C@H]2CCCO2)C1 ZINC000884743580 646547747 /nfs/dbraw/zinc/54/77/47/646547747.db2.gz ZBSVJWDLTZJOHZ-JOYOIKCWSA-N 0 0 297.742 2.649 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])c(C)c1)[C@@H]1CCCOC1 ZINC000884793242 646551912 /nfs/dbraw/zinc/55/19/12/646551912.db2.gz UYUZAUSNCHGCPR-OCCSQVGLSA-N 0 0 294.351 2.757 20 5 CFBDRN CN(CCCF)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000884856316 646560116 /nfs/dbraw/zinc/56/01/16/646560116.db2.gz AOHRGJSQJXEGQE-UHFFFAOYSA-N 0 0 263.272 2.939 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)C(C)C)c1C ZINC000885424601 646684630 /nfs/dbraw/zinc/68/46/30/646684630.db2.gz RPGYQWQSOCDTAJ-UHFFFAOYSA-N 0 0 279.292 2.594 20 5 CFBDRN CC1(C)CO[C@@H](CC(=O)OCc2csc([N+](=O)[O-])c2)C1 ZINC000885628899 646722671 /nfs/dbraw/zinc/72/26/71/646722671.db2.gz OBZGTRLFTDWAIX-JTQLQIEISA-N 0 0 299.348 2.905 20 5 CFBDRN COC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000885820677 646753844 /nfs/dbraw/zinc/75/38/44/646753844.db2.gz HHPUEXHKEMVQIG-CYBMUJFWSA-N 0 0 294.351 2.552 20 5 CFBDRN COc1cccc(CN(C)Cc2cccnc2[N+](=O)[O-])c1 ZINC000885943161 646777819 /nfs/dbraw/zinc/77/78/19/646777819.db2.gz YNPFEHZMMFBRQR-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN CC(C)COC1CCN(Cc2cccnc2[N+](=O)[O-])CC1 ZINC000885964071 646786890 /nfs/dbraw/zinc/78/68/90/646786890.db2.gz WHAOJHXNGBGCQI-UHFFFAOYSA-N 0 0 293.367 2.627 20 5 CFBDRN C[C@H]1COc2ccccc2N1Cc1cccnc1[N+](=O)[O-] ZINC000886072720 646855201 /nfs/dbraw/zinc/85/52/01/646855201.db2.gz MDGJEKAIPORFLA-NSHDSACASA-N 0 0 285.303 2.777 20 5 CFBDRN C[C@H]1COc2ccccc2CN1Cc1cccnc1[N+](=O)[O-] ZINC000886123053 646882399 /nfs/dbraw/zinc/88/23/99/646882399.db2.gz QKIQPWONOSOXCN-LBPRGKRZSA-N 0 0 299.330 2.773 20 5 CFBDRN C[C@@H](CN(C)Cc1cccnc1[N+](=O)[O-])c1nccs1 ZINC000886124831 646882681 /nfs/dbraw/zinc/88/26/81/646882681.db2.gz BRPZBQXKHPQGJE-JTQLQIEISA-N 0 0 292.364 2.682 20 5 CFBDRN CC(C)n1cc([C@@H](C)NCc2cccnc2[N+](=O)[O-])cn1 ZINC000886129776 646886343 /nfs/dbraw/zinc/88/63/43/646886343.db2.gz NTPYBVGQAUIENC-LLVKDONJSA-N 0 0 289.339 2.618 20 5 CFBDRN CCON(Cc1ccccc1)Cc1cccnc1[N+](=O)[O-] ZINC000886238898 646934189 /nfs/dbraw/zinc/93/41/89/646934189.db2.gz LNOKLGQPUYCYCP-UHFFFAOYSA-N 0 0 287.319 2.944 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC(c2ccco2)CC1 ZINC000886274146 646946039 /nfs/dbraw/zinc/94/60/39/646946039.db2.gz NDZBWGZINBIEKO-UHFFFAOYSA-N 0 0 287.319 2.962 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN[C@@H]1CCc2c1cccc2F ZINC000886928902 647178293 /nfs/dbraw/zinc/17/82/93/647178293.db2.gz WZMMSOAVTIOVBE-CQSZACIVSA-N 0 0 287.294 2.906 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N[C@]12C[C@H]1CCC2 ZINC000887030830 647190702 /nfs/dbraw/zinc/19/07/02/647190702.db2.gz IQNVAJLDSGUMCH-IAQYHMDHSA-N 0 0 292.310 2.725 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@]12C[C@H]1CCC2 ZINC000887043885 647196565 /nfs/dbraw/zinc/19/65/65/647196565.db2.gz TXMYOJFJSWAWCY-HLTURWSSSA-N 0 0 272.304 2.667 20 5 CFBDRN COc1ccc(C(=O)NCC(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000887381862 647295267 /nfs/dbraw/zinc/29/52/67/647295267.db2.gz IUPJHFIEGIWJJW-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000887381793 647295393 /nfs/dbraw/zinc/29/53/93/647295393.db2.gz GJMFNWFOWNSTTG-UHFFFAOYSA-N 0 0 268.338 2.822 20 5 CFBDRN CC(C)(CNC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000887380882 647295466 /nfs/dbraw/zinc/29/54/66/647295466.db2.gz XEJQQVLMNUSFCK-UHFFFAOYSA-N 0 0 262.309 2.761 20 5 CFBDRN Cc1cc[nH]c1C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887400531 647303164 /nfs/dbraw/zinc/30/31/64/647303164.db2.gz DIFGCZIQXHJNQB-NSHDSACASA-N 0 0 287.319 2.765 20 5 CFBDRN C[C@@H](CNC(=O)Cc1ccoc1)c1ccccc1[N+](=O)[O-] ZINC000887402726 647303920 /nfs/dbraw/zinc/30/39/20/647303920.db2.gz ICNBQLIDZOWXIO-NSHDSACASA-N 0 0 288.303 2.650 20 5 CFBDRN Cc1c[nH]cc1C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887405016 647305079 /nfs/dbraw/zinc/30/50/79/647305079.db2.gz QVOKQSOWRNIVTP-LLVKDONJSA-N 0 0 287.319 2.765 20 5 CFBDRN COC[C@H](CNc1ccc([N+](=O)[O-])cc1C(F)F)OC ZINC000300566365 647343645 /nfs/dbraw/zinc/34/36/45/647343645.db2.gz HGLPWAMSFUKPNC-VIFPVBQESA-N 0 0 290.266 2.606 20 5 CFBDRN O=C(C[C@@H]1CCC2(CCC2)O1)Oc1cccc([N+](=O)[O-])c1 ZINC000887599072 647367798 /nfs/dbraw/zinc/36/77/98/647367798.db2.gz SEADYPYKTMVFAM-ZDUSSCGKSA-N 0 0 291.303 2.992 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)Nc1cccc([N+](=O)[O-])c1 ZINC000887730091 647401664 /nfs/dbraw/zinc/40/16/64/647401664.db2.gz DZCQIEIVYASXSG-GWCFXTLKSA-N 0 0 260.293 2.970 20 5 CFBDRN Cc1noc(COc2cc(F)c([N+](=O)[O-])cc2Cl)n1 ZINC000302463973 647407865 /nfs/dbraw/zinc/40/78/65/647407865.db2.gz FDSQUGIIXNBLIH-UHFFFAOYSA-N 0 0 287.634 2.658 20 5 CFBDRN CCCOC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000302679836 647413291 /nfs/dbraw/zinc/41/32/91/647413291.db2.gz PGXFVAYNNDSTKL-UHFFFAOYSA-N 0 0 252.270 2.573 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC(F)(F)F)c1C ZINC000887918643 647437319 /nfs/dbraw/zinc/43/73/19/647437319.db2.gz CCYGIHIFPOBABR-UHFFFAOYSA-N 0 0 276.214 2.504 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000887982801 647456745 /nfs/dbraw/zinc/45/67/45/647456745.db2.gz PMCALMNGZXDXQF-PHIMTYICSA-N 0 0 275.308 2.695 20 5 CFBDRN O=C(CC(F)(F)C(F)F)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000889639978 647630173 /nfs/dbraw/zinc/63/01/73/647630173.db2.gz RZVSYTDIYXBEBI-UHFFFAOYSA-N 0 0 299.151 2.930 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)Cc2cccnc2)ccc1[N+](=O)[O-] ZINC000889652597 647631572 /nfs/dbraw/zinc/63/15/72/647631572.db2.gz BXFTVJOGEZEQTM-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)Cc1cccnc1 ZINC000889667573 647636598 /nfs/dbraw/zinc/63/65/98/647636598.db2.gz BZSDBPDHCAZPDZ-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN C[C@@H]1CSCCCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000889927080 647705014 /nfs/dbraw/zinc/70/50/14/647705014.db2.gz MRHLSIJPLKWGFC-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN O=C(O[C@@H]1CCCCC1=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000005671647 647850911 /nfs/dbraw/zinc/85/09/11/647850911.db2.gz BRVZKWDXBHVNKL-GFCCVEGCSA-N 0 0 297.694 2.917 20 5 CFBDRN C[C@H](OC(=O)COC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000923710584 647895254 /nfs/dbraw/zinc/89/52/54/647895254.db2.gz WEAQFVWDLJAYTG-ZETCQYMHSA-N 0 0 293.197 2.735 20 5 CFBDRN Cc1cc(-c2noc(CC3CSC3)n2)cc([N+](=O)[O-])c1 ZINC000924092806 647921886 /nfs/dbraw/zinc/92/18/86/647921886.db2.gz JKBRELHSAHUCHK-UHFFFAOYSA-N 0 0 291.332 2.859 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000924239866 647946398 /nfs/dbraw/zinc/94/63/98/647946398.db2.gz GGOUFWZCLGAILN-QMMMGPOBSA-N 0 0 299.710 2.702 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC000924375916 647968807 /nfs/dbraw/zinc/96/88/07/647968807.db2.gz HRESYTXJELQPMT-CNDDSTCGSA-N 0 0 290.319 2.859 20 5 CFBDRN COc1ccnc([C@@H](C)NCc2ccccc2[N+](=O)[O-])c1 ZINC000925309534 648154795 /nfs/dbraw/zinc/15/47/95/648154795.db2.gz GVGRIMMTLLCSBN-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])NC1CCC=CCC1 ZINC000925394795 648161018 /nfs/dbraw/zinc/16/10/18/648161018.db2.gz CCBYJHOBQNBVKH-UHFFFAOYSA-N 0 0 289.335 2.622 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N=[S@@](C)(=O)C(C)C ZINC000926066079 648397869 /nfs/dbraw/zinc/39/78/69/648397869.db2.gz HVVUPLZBMPSZAM-IBGZPJMESA-N 0 0 284.337 2.550 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N=[S@](C)(=O)C(C)C ZINC000926066107 648398134 /nfs/dbraw/zinc/39/81/34/648398134.db2.gz HVVUPLZBMPSZAM-LJQANCHMSA-N 0 0 284.337 2.550 20 5 CFBDRN C[C@@]1(CNC(=O)Nc2cccc([N+](=O)[O-])c2)CCCCO1 ZINC000927190668 648736613 /nfs/dbraw/zinc/73/66/13/648736613.db2.gz MUDBJKQLFAQOOH-AWEZNQCLSA-N 0 0 293.323 2.676 20 5 CFBDRN CC(C)(CCC(=O)NC[C@]12C[C@H]1CCCC2)[N+](=O)[O-] ZINC000927430104 648776037 /nfs/dbraw/zinc/77/60/37/648776037.db2.gz PWWIDKDMLVLXPL-RISCZKNCSA-N 0 0 268.357 2.518 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000927498522 648793015 /nfs/dbraw/zinc/79/30/15/648793015.db2.gz GYYAWIZRPFHUCO-OUCADQQQSA-N 0 0 288.347 2.690 20 5 CFBDRN C[C@@H](NC(=O)[C@]1(C)CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000928246287 648887591 /nfs/dbraw/zinc/88/75/91/648887591.db2.gz GVOKICDUGOVDKO-PELKAZGASA-N 0 0 284.262 2.817 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246670 648888369 /nfs/dbraw/zinc/88/83/69/648888369.db2.gz JUPPVEFTKKPDFJ-RISCZKNCSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000928247995 648888395 /nfs/dbraw/zinc/88/83/95/648888395.db2.gz GGTXOZLVMMNZER-BXKDBHETSA-N 0 0 262.309 2.818 20 5 CFBDRN C[C@@H](NC(=O)C1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000928246841 648888607 /nfs/dbraw/zinc/88/86/07/648888607.db2.gz LKKXBMGQANKLQE-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN CCOC(C)(C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247440 648888688 /nfs/dbraw/zinc/88/86/88/648888688.db2.gz UVMPOWYUMNNUJP-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN COCCC1(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000928247904 648888739 /nfs/dbraw/zinc/88/87/39/648888739.db2.gz FGMMIUSQFNSYQF-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1nc(NCCn2ccnc2C(C)C)ccc1[N+](=O)[O-] ZINC000928995902 648972360 /nfs/dbraw/zinc/97/23/60/648972360.db2.gz LSMSMXKEIBOTRC-UHFFFAOYSA-N 0 0 289.339 2.730 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC1 ZINC000929116755 648982998 /nfs/dbraw/zinc/98/29/98/648982998.db2.gz VUNKUTPZJFXWHX-UHFFFAOYSA-N 0 0 266.322 2.553 20 5 CFBDRN CCN(C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000929863652 649141892 /nfs/dbraw/zinc/14/18/92/649141892.db2.gz OANLVWRFQKTAEG-KBPBESRZSA-N 0 0 274.320 2.709 20 5 CFBDRN CCCN(CC)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000930026486 649169421 /nfs/dbraw/zinc/16/94/21/649169421.db2.gz GXXFNFGZGIYQJN-ZIAGYGMSSA-N 0 0 276.336 2.957 20 5 CFBDRN CCCN(CC)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000930027899 649170087 /nfs/dbraw/zinc/17/00/87/649170087.db2.gz PAWWFIHKPGGLBQ-UHFFFAOYSA-N 0 0 282.315 2.925 20 5 CFBDRN COC/C(C)=C/C[NH2+][C@H](C)c1ccccc1[N+](=O)[O-] ZINC000930236103 649211153 /nfs/dbraw/zinc/21/11/53/649211153.db2.gz UYLSGVBPENCIOO-JATZPVMKSA-N 0 0 264.325 2.838 20 5 CFBDRN CCO[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000930732733 649316982 /nfs/dbraw/zinc/31/69/82/649316982.db2.gz SVGIHMSXUNWNCL-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CCCCC1(NC(=O)Nc2c(C)cncc2[N+](=O)[O-])CC1 ZINC000930877830 649341802 /nfs/dbraw/zinc/34/18/02/649341802.db2.gz WLNPYTNUXSCGPB-UHFFFAOYSA-N 0 0 292.339 2.564 20 5 CFBDRN CC1(C)CO[C@H](c2cccc(Cl)c2)CN1CC[N+](=O)[O-] ZINC000931017624 649365588 /nfs/dbraw/zinc/36/55/88/649365588.db2.gz IWXSOESIMHZSFT-ZDUSSCGKSA-N 0 0 298.770 2.769 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)C1 ZINC000931428457 649424624 /nfs/dbraw/zinc/42/46/24/649424624.db2.gz OHLYFCHAQKSOAB-SECBINFHSA-N 0 0 280.349 2.799 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000932060036 649530190 /nfs/dbraw/zinc/53/01/90/649530190.db2.gz YVQOACGSKAWQKA-ZWNOBZJWSA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@H](NCc1nnc(C2CC2)o1)c1ccc([N+](=O)[O-])cc1 ZINC000932183235 649560123 /nfs/dbraw/zinc/56/01/23/649560123.db2.gz IKCSAXYPZITVMV-VIFPVBQESA-N 0 0 288.307 2.706 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN[C@@H](c1ccccn1)C1CC1 ZINC000932387796 649591628 /nfs/dbraw/zinc/59/16/28/649591628.db2.gz ZNFLLZOPYRFCOL-CQSZACIVSA-N 0 0 284.319 2.626 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCn2cccc2C1 ZINC000932530363 649615319 /nfs/dbraw/zinc/61/53/19/649615319.db2.gz KCTVEOGLJFKQOD-GFCCVEGCSA-N 0 0 271.320 2.973 20 5 CFBDRN CO[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000933079631 649670506 /nfs/dbraw/zinc/67/05/06/649670506.db2.gz XGLIESDXAJDOOJ-RDDDGLTNSA-N 0 0 284.699 2.530 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1cccc(=O)[nH]1 ZINC000933396463 649691601 /nfs/dbraw/zinc/69/16/01/649691601.db2.gz RFZWORXXKVGNRY-NSHDSACASA-N 0 0 287.319 2.888 20 5 CFBDRN O=C(NCCC1CC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933681101 649713696 /nfs/dbraw/zinc/71/36/96/649713696.db2.gz LXPOOPTUFSWFCF-KGLIPLIRSA-N 0 0 274.320 2.615 20 5 CFBDRN COc1ccc(OC(=O)c2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000014785497 651183758 /nfs/dbraw/zinc/18/37/58/651183758.db2.gz HEFMHIBQTFNUAV-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN COc1cc(NCCOC2CCC2)ccc1[N+](=O)[O-] ZINC000690963789 777855689 /nfs/dbraw/zinc/85/56/89/777855689.db2.gz ZLYBMFMRMPMNLY-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1occc1-c1nc(-c2ncc(F)cc2[N+](=O)[O-])no1 ZINC001212513993 780621563 /nfs/dbraw/zinc/62/15/63/780621563.db2.gz WFJZMRSVRRDMGE-UHFFFAOYSA-N 0 0 290.210 2.747 20 5 CFBDRN O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CC[C@@H](CF)C1 ZINC000711508174 781449252 /nfs/dbraw/zinc/44/92/52/781449252.db2.gz LIBYKJAXOGAFNO-QMMMGPOBSA-N 0 0 286.690 2.680 20 5 CFBDRN CC1(C)CC[C@H](CO)N(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000701622907 780820654 /nfs/dbraw/zinc/82/06/54/780820654.db2.gz VWUHCAFWNNQBGP-SECBINFHSA-N 0 0 299.758 2.631 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2nccnc2Br)c1 ZINC001204416072 769847779 /nfs/dbraw/zinc/84/77/79/769847779.db2.gz PNMOCLVFRVKIPT-UHFFFAOYSA-N 0 0 295.096 2.891 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cncnc2Br)c1 ZINC001204416521 769850251 /nfs/dbraw/zinc/85/02/51/769850251.db2.gz VRHCNNVVCKWDJR-UHFFFAOYSA-N 0 0 295.096 2.891 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc(-c2ncon2)cc1 ZINC001167088762 769968494 /nfs/dbraw/zinc/96/84/94/769968494.db2.gz AYEZMFIWXNUVHV-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(N3CC[C@H]4OCC[C@H]4C3)nc21 ZINC001167212439 770145745 /nfs/dbraw/zinc/14/57/45/770145745.db2.gz DUFQKRTZYQNVEG-GXTWGEPZSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1ccc(C(N)=O)c(Nc2cccc([N+](=O)[O-])c2)c1 ZINC001204469510 770157312 /nfs/dbraw/zinc/15/73/12/770157312.db2.gz SOGMSLWSYUSHML-UHFFFAOYSA-N 0 0 271.276 2.746 20 5 CFBDRN CC(C)(C)c1nnc(Nc2ccc(N)c([N+](=O)[O-])c2)o1 ZINC001209932035 770163903 /nfs/dbraw/zinc/16/39/03/770163903.db2.gz IPYPDWLXKPKYET-UHFFFAOYSA-N 0 0 277.284 2.601 20 5 CFBDRN CN1CCc2c(Nc3cccc([N+](=O)[O-])c3)cccc2C1=O ZINC001204475783 770170298 /nfs/dbraw/zinc/17/02/98/770170298.db2.gz GHDPBHUWJRKGHB-UHFFFAOYSA-N 0 0 297.314 2.967 20 5 CFBDRN CNC(=O)Cc1ccccc1Nc1cccc([N+](=O)[O-])c1 ZINC001204476851 770172764 /nfs/dbraw/zinc/17/27/64/770172764.db2.gz PBLFFLOTWGQSCZ-UHFFFAOYSA-N 0 0 285.303 2.627 20 5 CFBDRN COc1ncc(Nc2ccc(C)nc2F)cc1[N+](=O)[O-] ZINC001210134863 770215389 /nfs/dbraw/zinc/21/53/89/770215389.db2.gz KWFBKDURWRWVKC-UHFFFAOYSA-N 0 0 278.243 2.585 20 5 CFBDRN COc1ncc(Nc2ncc(Cl)cc2F)cc1[N+](=O)[O-] ZINC001210135490 770215730 /nfs/dbraw/zinc/21/57/30/770215730.db2.gz UFMXRSVCGBDLPA-UHFFFAOYSA-N 0 0 298.661 2.930 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C1(C)CC1 ZINC000706267925 770276404 /nfs/dbraw/zinc/27/64/04/770276404.db2.gz DZWNGMQKRCSISF-ZETCQYMHSA-N 0 0 284.262 2.791 20 5 CFBDRN CC(=O)c1cccnc1Nc1ccc(O)c([N+](=O)[O-])c1 ZINC001210392182 770277675 /nfs/dbraw/zinc/27/76/75/770277675.db2.gz AABDJAHINJSTLG-UHFFFAOYSA-N 0 0 273.248 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2Cc3ccc(O)cc3C2)c1 ZINC001167325184 770292437 /nfs/dbraw/zinc/29/24/37/770292437.db2.gz NLUMNMJBNXLANW-UHFFFAOYSA-N 0 0 298.298 2.765 20 5 CFBDRN Cc1nc(CO[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC001210601585 770334797 /nfs/dbraw/zinc/33/47/97/770334797.db2.gz HXADPXKXRQXAPC-QMMMGPOBSA-N 0 0 263.253 2.564 20 5 CFBDRN CC(C)(C)[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc(F)c1 ZINC001125429459 770379780 /nfs/dbraw/zinc/37/97/80/770379780.db2.gz WDQXLIACBHBRLO-UPJWGTAASA-N 0 0 294.326 2.694 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Nc1ccc(Cl)nn1 ZINC001210950540 770404193 /nfs/dbraw/zinc/40/41/93/770404193.db2.gz XYMOWIVXFACACT-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN COC(=O)c1ccc(Nc2ccc(F)c([N+](=O)[O-])c2)o1 ZINC001211190719 770448515 /nfs/dbraw/zinc/44/85/15/770448515.db2.gz LEGCJWXZGPEYED-UHFFFAOYSA-N 0 0 280.211 2.857 20 5 CFBDRN COC(=O)c1ncccc1Nc1cc([N+](=O)[O-])ccc1C ZINC001211285819 770476375 /nfs/dbraw/zinc/47/63/75/770476375.db2.gz KSGOEPDLQISATI-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1nonc1C1CC1 ZINC001211286996 770477027 /nfs/dbraw/zinc/47/70/27/770477027.db2.gz CCDARVNOXPUIJY-UHFFFAOYSA-N 0 0 260.253 2.907 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)c1ccc(F)cn1 ZINC001167472298 770591626 /nfs/dbraw/zinc/59/16/26/770591626.db2.gz VJEGXKBFGUELCC-QMMMGPOBSA-N 0 0 262.244 2.697 20 5 CFBDRN CC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723870271 770695988 /nfs/dbraw/zinc/69/59/88/770695988.db2.gz DFTAAPUYWMNJOZ-RYUDHWBXSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1[N+](=O)[O-])C(F)(F)F ZINC001161374866 770731552 /nfs/dbraw/zinc/73/15/52/770731552.db2.gz GOIKMPZXOQXBOA-SSDOTTSWSA-N 0 0 294.189 2.651 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1cc([N+](=O)[O-])ccc1F ZINC000693612403 770954779 /nfs/dbraw/zinc/95/47/79/770954779.db2.gz ROCFXDLOQRGRQS-OTYXRUKQSA-N 0 0 278.283 2.654 20 5 CFBDRN CC(C)(CNc1cc2cccnc2c2ncccc12)C(N)=O ZINC001167605579 770974757 /nfs/dbraw/zinc/97/47/57/770974757.db2.gz FUTZETJRQVXPQE-UHFFFAOYSA-N 0 0 294.358 2.706 20 5 CFBDRN COC(=O)c1ncccc1Nc1ccc(C)c([N+](=O)[O-])c1 ZINC001213661422 773208355 /nfs/dbraw/zinc/20/83/55/773208355.db2.gz GIMKSYNDFGWLRC-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN COc1nc(C)cnc1Nc1ccc(C)c([N+](=O)[O-])c1 ZINC001213663681 773210671 /nfs/dbraw/zinc/21/06/71/773210671.db2.gz SJWGMQQREXEKAO-UHFFFAOYSA-N 0 0 274.280 2.754 20 5 CFBDRN C/C=C(/CC)C(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000588575619 775097705 /nfs/dbraw/zinc/09/77/05/775097705.db2.gz HTBXKNANGMIEKR-JYOAFUTRSA-N 0 0 290.319 2.541 20 5 CFBDRN CCCCOC[C@@H](C)OCc1ccc([N+](=O)[O-])nc1 ZINC001224109950 775102460 /nfs/dbraw/zinc/10/24/60/775102460.db2.gz YIGHNEMFNJOREF-LLVKDONJSA-N 0 0 268.313 2.712 20 5 CFBDRN C[C@H](COCc1ccc([N+](=O)[O-])nc1)Oc1ccccc1 ZINC001224114917 775103742 /nfs/dbraw/zinc/10/37/42/775103742.db2.gz QZBWMWGLDLDCTI-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000934910139 775112434 /nfs/dbraw/zinc/11/24/34/775112434.db2.gz HXOBOPNOAPRYAA-ZLKJLUDKSA-N 0 0 292.335 2.887 20 5 CFBDRN COC(C[C@@H](C)OCc1ncc(C)c([N+](=O)[O-])c1C)OC ZINC001224185528 775121145 /nfs/dbraw/zinc/12/11/45/775121145.db2.gz INFZAZQYFFYMAV-SNVBAGLBSA-N 0 0 298.339 2.521 20 5 CFBDRN O=[N+]([O-])c1ccc(COC[C@@H]2COCO2)c2ccccc21 ZINC001224201431 775127003 /nfs/dbraw/zinc/12/70/03/775127003.db2.gz BFRCDLCJNXTHFW-GFCCVEGCSA-N 0 0 289.287 2.638 20 5 CFBDRN COc1ccc(CO[C@@H](C[N+](=O)[O-])C2CC2)c(C)c1C ZINC001224215547 775130607 /nfs/dbraw/zinc/13/06/07/775130607.db2.gz LDECFNUVYQVGGH-HNNXBMFYSA-N 0 0 279.336 2.884 20 5 CFBDRN COCCCCO[C@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC001224318730 775156605 /nfs/dbraw/zinc/15/66/05/775156605.db2.gz ZMAHJDSQDBIJFW-ZDUSSCGKSA-N 0 0 294.351 2.617 20 5 CFBDRN CC[C@H]1OC(=O)C(OCCc2ccc([N+](=O)[O-])cc2)=C1C ZINC001224332494 775161051 /nfs/dbraw/zinc/16/10/51/775161051.db2.gz YHGONCHXTRZZOH-CYBMUJFWSA-N 0 0 291.303 2.763 20 5 CFBDRN CC(C)O[C@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC001204611527 775180975 /nfs/dbraw/zinc/18/09/75/775180975.db2.gz NZEACYVUAIUMDB-NSHDSACASA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)OCCc1ccsc1 ZINC001224534821 775214343 /nfs/dbraw/zinc/21/43/43/775214343.db2.gz DURZWZIADIYBEM-JTQLQIEISA-N 0 0 295.364 2.809 20 5 CFBDRN CC1(C)C[C@H](CO[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)O1 ZINC001224635267 775234236 /nfs/dbraw/zinc/23/42/36/775234236.db2.gz KVDSBEAWNUZXEN-UONOGXRCSA-N 0 0 277.320 2.646 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCCCCOc1ccccc1)C1CC1 ZINC001224712460 775255684 /nfs/dbraw/zinc/25/56/84/775255684.db2.gz JMCNNFCVOUIANB-OAHLLOKOSA-N 0 0 279.336 2.918 20 5 CFBDRN C[C@H](OCCOc1ccc([N+](=O)[O-])cc1)c1cnccn1 ZINC001224779340 775271020 /nfs/dbraw/zinc/27/10/20/775271020.db2.gz RRKYSEAULLQKDY-NSHDSACASA-N 0 0 289.291 2.541 20 5 CFBDRN O=[N+]([O-])C[C@H](OCC1Cc2ccccc2C1)c1cccnc1 ZINC001224801768 775277496 /nfs/dbraw/zinc/27/74/96/775277496.db2.gz JEUXCEVCXJCYPI-KRWDZBQOSA-N 0 0 298.342 2.831 20 5 CFBDRN CC(C)(C)OC(=O)CCCCO[C@@H](C[N+](=O)[O-])C1CC1 ZINC001224882807 775299220 /nfs/dbraw/zinc/29/92/20/775299220.db2.gz XAIQJGMPETUUFT-LBPRGKRZSA-N 0 0 287.356 2.570 20 5 CFBDRN COCCOCCCO[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001224899771 775303277 /nfs/dbraw/zinc/30/32/77/775303277.db2.gz XLYBOISMDLSITC-LBPRGKRZSA-N 0 0 283.324 2.726 20 5 CFBDRN O=C(CO[C@H]1C=CCCC1)OCc1ccc([N+](=O)[O-])cc1 ZINC001224986336 775326708 /nfs/dbraw/zinc/32/67/08/775326708.db2.gz FMGOENHZTRGUAK-AWEZNQCLSA-N 0 0 291.303 2.763 20 5 CFBDRN CC(C)[C@@H](C)OCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC001224986698 775327146 /nfs/dbraw/zinc/32/71/46/775327146.db2.gz JFUDZSLJQDSCJA-LLVKDONJSA-N 0 0 281.308 2.699 20 5 CFBDRN CCOc1ccccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225212162 775367942 /nfs/dbraw/zinc/36/79/42/775367942.db2.gz GLPFISYFDQRATC-OAHLLOKOSA-N 0 0 288.303 2.877 20 5 CFBDRN Cc1ccccc1O[C@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC001225217264 775369395 /nfs/dbraw/zinc/36/93/95/775369395.db2.gz VBTXULGSJKCOSF-AWEZNQCLSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1cccc(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001225241043 775375788 /nfs/dbraw/zinc/37/57/88/775375788.db2.gz HQHGOEHQXZXFRK-CQSZACIVSA-N 0 0 258.277 2.787 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2c(c1)CCC2)c1cccnc1 ZINC001225266771 775384307 /nfs/dbraw/zinc/38/43/07/775384307.db2.gz JFAMXXSOMUYQDS-MRXNPFEDSA-N 0 0 284.315 2.967 20 5 CFBDRN COc1ccc(C)cc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225324204 775410796 /nfs/dbraw/zinc/41/07/96/775410796.db2.gz XSGWIHPZFBHQNP-OAHLLOKOSA-N 0 0 288.303 2.795 20 5 CFBDRN COC[C@H](CCNc1cc(Cl)ccc1[N+](=O)[O-])OC ZINC000694301703 775422963 /nfs/dbraw/zinc/42/29/63/775422963.db2.gz ZKMFYZCEKWLADI-JTQLQIEISA-N 0 0 288.731 2.712 20 5 CFBDRN Cc1ccnc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001225349643 775423088 /nfs/dbraw/zinc/42/30/88/775423088.db2.gz ISUWXBITIMHHDB-AWEZNQCLSA-N 0 0 270.288 2.844 20 5 CFBDRN C[C@@H](Oc1ccc(F)c([N+](=O)[O-])c1)c1cncnc1 ZINC001225382833 775436373 /nfs/dbraw/zinc/43/63/73/775436373.db2.gz SVLQHRZGAJNDDJ-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382423 775437468 /nfs/dbraw/zinc/43/74/68/775437468.db2.gz NHROXGGOAAEEFI-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN Cc1ccncc1O[C@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC001225414637 775447383 /nfs/dbraw/zinc/44/73/83/775447383.db2.gz BIEYQHXFOGHYHO-ZDUSSCGKSA-N 0 0 299.330 2.956 20 5 CFBDRN COc1cc(O[C@@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC001225436860 775455728 /nfs/dbraw/zinc/45/57/28/775455728.db2.gz ZKRUJMZMSVMOHL-LURJTMIESA-N 0 0 265.187 2.933 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])c(OC)c2)=C1C ZINC001225436314 775455765 /nfs/dbraw/zinc/45/57/65/775455765.db2.gz RISUXGIVRZMGQO-NSHDSACASA-N 0 0 293.275 2.592 20 5 CFBDRN Cc1ccc2[nH]ccc2c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001225437125 775457433 /nfs/dbraw/zinc/45/74/33/775457433.db2.gz KDPBKHIHECWESG-CYBMUJFWSA-N 0 0 260.293 2.910 20 5 CFBDRN COc1cccc(F)c1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225447373 775460443 /nfs/dbraw/zinc/46/04/43/775460443.db2.gz KMPVIXJICDYCRI-ZDUSSCGKSA-N 0 0 292.266 2.626 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(C(F)(F)F)nc1)C1CC1 ZINC001225505642 775485889 /nfs/dbraw/zinc/48/58/89/775485889.db2.gz UUXGWMUHNNSUJJ-SECBINFHSA-N 0 0 276.214 2.535 20 5 CFBDRN CC(C)c1ncccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225521926 775490794 /nfs/dbraw/zinc/49/07/94/775490794.db2.gz MFIRKZWHRPCKCC-CQSZACIVSA-N 0 0 287.319 2.997 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225577015 775508156 /nfs/dbraw/zinc/50/81/56/775508156.db2.gz DTJMLBVTVCNYPT-SECBINFHSA-N 0 0 257.261 2.928 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225580323 775511112 /nfs/dbraw/zinc/51/11/12/775511112.db2.gz XODBLSSLDVCVER-KCJUWKMLSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225580324 775511402 /nfs/dbraw/zinc/51/14/02/775511402.db2.gz XODBLSSLDVCVER-KWQFWETISA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@H](Oc1ncccc1[N+](=O)[O-])c1cnc2ccccn21 ZINC001225589758 775513706 /nfs/dbraw/zinc/51/37/06/775513706.db2.gz DLMNKACBTVOOLN-JTQLQIEISA-N 0 0 284.275 2.778 20 5 CFBDRN CC(C)(C)c1ccc(OC2CC(O)C2)c([N+](=O)[O-])c1 ZINC001218256325 775513911 /nfs/dbraw/zinc/51/39/11/775513911.db2.gz BHXJZOFQJCOCEE-UHFFFAOYSA-N 0 0 265.309 2.794 20 5 CFBDRN O=[N+]([O-])c1cccnc1OC1Cc2ccccc2C1 ZINC001225590185 775514183 /nfs/dbraw/zinc/51/41/83/775514183.db2.gz LDAHSXIIBBRNPE-UHFFFAOYSA-N 0 0 256.261 2.536 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccnc1Cl)c1cccnc1 ZINC001225589515 775514433 /nfs/dbraw/zinc/51/44/33/775514433.db2.gz ZNAVIMBVOPFJJX-NSHDSACASA-N 0 0 279.683 2.527 20 5 CFBDRN C[C@H](Oc1ncccc1[N+](=O)[O-])c1nccs1 ZINC001225595794 775518029 /nfs/dbraw/zinc/51/80/29/775518029.db2.gz MAAIULJYASVNEM-ZETCQYMHSA-N 0 0 251.267 2.586 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(Cl)nc1)c1cccnc1 ZINC001225599065 775518226 /nfs/dbraw/zinc/51/82/26/775518226.db2.gz QIXUENBGWXHJCS-LLVKDONJSA-N 0 0 279.683 2.527 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225602601 775519473 /nfs/dbraw/zinc/51/94/73/775519473.db2.gz YUIMBQOXMWBWEV-GFCCVEGCSA-N 0 0 296.279 2.948 20 5 CFBDRN C[C@H](Oc1cc(=O)cc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001225605851 775520512 /nfs/dbraw/zinc/52/05/12/775520512.db2.gz ABGAQJQFCQFJHW-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN O=C1CCc2c1cccc2O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225618786 775527044 /nfs/dbraw/zinc/52/70/44/775527044.db2.gz SRNHRHIQVVXYBJ-INIZCTEOSA-N 0 0 298.298 2.607 20 5 CFBDRN CC1(C)CC(=O)CC[C@H]1Oc1cccnc1[N+](=O)[O-] ZINC001225629392 775531699 /nfs/dbraw/zinc/53/16/99/775531699.db2.gz MNPYHXRFCCRBNK-LLVKDONJSA-N 0 0 264.281 2.516 20 5 CFBDRN CC(C)[C@H](Oc1cccnc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC001225629594 775531984 /nfs/dbraw/zinc/53/19/84/775531984.db2.gz QFOJDCHTICBARV-NSHDSACASA-N 0 0 296.323 2.735 20 5 CFBDRN CCOC(=O)C1CC(Oc2cccc(C)c2[N+](=O)[O-])C1 ZINC001225633513 775532901 /nfs/dbraw/zinc/53/29/01/775532901.db2.gz SOCQWXGLYJAOJE-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H](Cc1ccccc1)Oc1ccc([N+](=O)[O-])cn1 ZINC001225631923 775533373 /nfs/dbraw/zinc/53/33/73/775533373.db2.gz ISDJCCIPJNSYEZ-LLVKDONJSA-N 0 0 258.277 3.000 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cn1)c1cccnc1F ZINC001225634756 775534780 /nfs/dbraw/zinc/53/47/80/775534780.db2.gz OAQSJHSWHJSYCH-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1cc(O[C@@H]2CCc3cccnc32)ncc1[N+](=O)[O-] ZINC001225660192 775545872 /nfs/dbraw/zinc/54/58/72/775545872.db2.gz CNXRBAYVVKOGHO-GFCCVEGCSA-N 0 0 271.276 2.760 20 5 CFBDRN C[C@@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])c1cccs1 ZINC001225663092 775546773 /nfs/dbraw/zinc/54/67/73/775546773.db2.gz YUBFKQOCZPERHF-SSDOTTSWSA-N 0 0 266.278 2.897 20 5 CFBDRN Cc1cc(O[C@H]2COCc3ccccc32)ncc1[N+](=O)[O-] ZINC001225662208 775546900 /nfs/dbraw/zinc/54/69/00/775546900.db2.gz NMAMCIMRSMYROO-AWEZNQCLSA-N 0 0 286.287 2.949 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])[C@@H]1C ZINC001225666758 775548784 /nfs/dbraw/zinc/54/87/84/775548784.db2.gz LZVPDKBLARHZSU-KKZNHRDASA-N 0 0 266.297 2.899 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225666702 775548958 /nfs/dbraw/zinc/54/89/58/775548958.db2.gz LEZSPGGDSJKAEJ-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@H]1CCC[C@@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C1 ZINC001225667228 775549303 /nfs/dbraw/zinc/54/93/03/775549303.db2.gz QBGUUNIMZVSDEX-DTWKUNHWSA-N 0 0 252.270 2.653 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cccc(F)c2[N+](=O)[O-])=C1C ZINC001225668328 775550299 /nfs/dbraw/zinc/55/02/99/775550299.db2.gz YPDHYAMBTRHZQW-SECBINFHSA-N 0 0 281.239 2.722 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1cccc(F)c1[N+](=O)[O-] ZINC001225670229 775550395 /nfs/dbraw/zinc/55/03/95/775550395.db2.gz BLCARKHYUOJVJY-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc(Cl)c1F)C1CC1 ZINC001225723142 775572290 /nfs/dbraw/zinc/57/22/90/775572290.db2.gz SXSHECIQFIIZMY-JTQLQIEISA-N 0 0 259.664 2.913 20 5 CFBDRN C[C@@H](Oc1cccc(N)n1)c1cccc([N+](=O)[O-])c1 ZINC001225756369 775583632 /nfs/dbraw/zinc/58/36/32/775583632.db2.gz MCGAUEDDLVMSBO-SECBINFHSA-N 0 0 259.265 2.712 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)cc1O[C@@H]1COc2cnccc21 ZINC001225776252 775588978 /nfs/dbraw/zinc/58/89/78/775588978.db2.gz MRFAZAGVMPDWQT-CYBMUJFWSA-N 0 0 294.213 2.781 20 5 CFBDRN C[C@H](Oc1cc(F)c(F)cc1[N+](=O)[O-])c1cnccn1 ZINC001225775813 775589460 /nfs/dbraw/zinc/58/94/60/775589460.db2.gz HWGPMEQPLOYBDI-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225778956 775590705 /nfs/dbraw/zinc/59/07/05/775590705.db2.gz LSBGSHXAAWRDFX-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)cc1OC[C@H]1CCC=CO1 ZINC001225780075 775590770 /nfs/dbraw/zinc/59/07/70/775590770.db2.gz TWMSDDBOJIGZJJ-MRVPVSSYSA-N 0 0 271.219 2.945 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(Cl)c(F)c1)C1CC1 ZINC001225782900 775592305 /nfs/dbraw/zinc/59/23/05/775592305.db2.gz ZGGSBUOPNBGYSH-NSHDSACASA-N 0 0 259.664 2.913 20 5 CFBDRN CC(C)(C)N1CC(Oc2cc(F)c(F)cc2[N+](=O)[O-])C1 ZINC001225780225 775592505 /nfs/dbraw/zinc/59/25/05/775592505.db2.gz WVZJNVZDLYFFJL-UHFFFAOYSA-N 0 0 286.278 2.735 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2CCc3nccn3C2)c1Cl ZINC001225790020 775594945 /nfs/dbraw/zinc/59/49/45/775594945.db2.gz YGYQJNCITYJNIE-VIFPVBQESA-N 0 0 293.710 2.839 20 5 CFBDRN C[C@@H](Oc1cccc([N+](=O)[O-])c1F)C(=O)OC(C)(C)C ZINC001225796899 775595890 /nfs/dbraw/zinc/59/58/90/775595890.db2.gz MXKKKWLGUPRBPU-MRVPVSSYSA-N 0 0 285.271 2.843 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2cccc([N+](=O)[O-])c2F)C1 ZINC001225797120 775596104 /nfs/dbraw/zinc/59/61/04/775596104.db2.gz PQINDZQHIDFBRV-NXEZZACHSA-N 0 0 297.282 2.845 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225804174 775598816 /nfs/dbraw/zinc/59/88/16/775598816.db2.gz PGDPEYBDQCXIGC-JTQLQIEISA-N 0 0 295.291 2.518 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(F)ccc(F)c1F)c1cccnc1 ZINC001225871988 775618627 /nfs/dbraw/zinc/61/86/27/775618627.db2.gz BHQDKWVQHMJZIL-LLVKDONJSA-N 0 0 298.220 2.896 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2CCCOC2)c(C(F)(F)F)c1 ZINC001225892570 775625227 /nfs/dbraw/zinc/62/52/27/775625227.db2.gz DMHLUUMBVIPZSE-MRVPVSSYSA-N 0 0 292.213 2.566 20 5 CFBDRN C[C@H]1C[C@H](Oc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC001225907551 775631337 /nfs/dbraw/zinc/63/13/37/775631337.db2.gz PRWIOYDNKNFCLN-WCBMZHEXSA-N 0 0 255.245 2.680 20 5 CFBDRN C[C@H](Oc1ccc(F)cc1[N+](=O)[O-])c1cnccn1 ZINC001225906476 775631399 /nfs/dbraw/zinc/63/13/99/775631399.db2.gz BKECDSOFYNZSBV-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC001225907549 775631416 /nfs/dbraw/zinc/63/14/16/775631416.db2.gz PRWIOYDNKNFCLN-PSASIEDQSA-N 0 0 255.245 2.680 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225912125 775632423 /nfs/dbraw/zinc/63/24/23/775632423.db2.gz FABCABFDJXGSAN-VIFPVBQESA-N 0 0 257.261 2.928 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225912911 775632753 /nfs/dbraw/zinc/63/27/53/775632753.db2.gz PEMBQPJGCTYCJK-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225911806 775632855 /nfs/dbraw/zinc/63/28/55/775632855.db2.gz CATCOHRVTMEDAK-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225915419 775633646 /nfs/dbraw/zinc/63/36/46/775633646.db2.gz IVOUDJSXBUVDHJ-PRHODGIISA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1F)c1cncnc1 ZINC001225941238 775642412 /nfs/dbraw/zinc/64/24/12/775642412.db2.gz FFAMMOLQDDIXFO-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ccc([N+](=O)[O-])cc1F ZINC001225946189 775643771 /nfs/dbraw/zinc/64/37/71/775643771.db2.gz LOBFTCQOJDNEKK-GFCCVEGCSA-N 0 0 253.229 2.624 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225961999 775649215 /nfs/dbraw/zinc/64/92/15/775649215.db2.gz BTCAECVVEGEBJG-SECBINFHSA-N 0 0 289.234 2.594 20 5 CFBDRN CCCOC[C@@H](C)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226006855 775664444 /nfs/dbraw/zinc/66/44/44/775664444.db2.gz HRUKOTVMKJLPJZ-SECBINFHSA-N 0 0 257.261 2.928 20 5 CFBDRN Cc1ccnc(O[C@@H]2CCc3cccnc32)c1[N+](=O)[O-] ZINC001226120068 775706854 /nfs/dbraw/zinc/70/68/54/775706854.db2.gz ROGNMNASMCVZIZ-LLVKDONJSA-N 0 0 271.276 2.760 20 5 CFBDRN Cc1ccnc(O[C@@H]2CC(F)(F)C2(F)F)c1[N+](=O)[O-] ZINC001226121457 775707370 /nfs/dbraw/zinc/70/73/70/775707370.db2.gz NTPYBTKNYPMLGZ-ZCFIWIBFSA-N 0 0 280.177 2.720 20 5 CFBDRN Cc1ccnc(O[C@@H](C)c2ccncc2F)c1[N+](=O)[O-] ZINC001226120289 775707619 /nfs/dbraw/zinc/70/76/19/775707619.db2.gz SPAVPZRFPHTUKX-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1ccnc(OCCOC2CCCC2)c1[N+](=O)[O-] ZINC001226120830 775707884 /nfs/dbraw/zinc/70/78/84/775707884.db2.gz XHPCLJSOLXRRBI-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN C[C@@H](Oc1ncc(Cl)cc1[N+](=O)[O-])c1ncccn1 ZINC001226130432 775710407 /nfs/dbraw/zinc/71/04/07/775710407.db2.gz NBINUIIRFFHFBZ-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCC=CCCC1 ZINC001226168353 775723559 /nfs/dbraw/zinc/72/35/59/775723559.db2.gz QKHJODPSGWBATF-GFCCVEGCSA-N 0 0 290.319 2.961 20 5 CFBDRN C[C@H]1C[C@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C[C@@H](C)C1 ZINC001226167190 775723596 /nfs/dbraw/zinc/72/35/96/775723596.db2.gz GSFRSEWPARYCEX-IAZYJMLFSA-N 0 0 292.335 2.897 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cc([N+](=O)[O-])c(F)cc2F)CCO1 ZINC001226182060 775727951 /nfs/dbraw/zinc/72/79/51/775727951.db2.gz WMNULNYYLJXWIT-SFYZADRCSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])c(F)cc1F)c1ncccn1 ZINC001226186488 775729760 /nfs/dbraw/zinc/72/97/60/775729760.db2.gz CXWPSIXDRNZFNI-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1cc([N+](=O)[O-])c(F)cc1Cl ZINC001226194603 775732576 /nfs/dbraw/zinc/73/25/76/775732576.db2.gz CZKLGDQBQBHMQL-ZCFIWIBFSA-N 0 0 291.662 2.718 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCO2)c(Cl)cc1F ZINC001226196897 775734690 /nfs/dbraw/zinc/73/46/90/775734690.db2.gz WGNPMTNMQKSMLL-LURJTMIESA-N 0 0 261.636 2.555 20 5 CFBDRN O=[N+]([O-])c1cc(OC2COC2)c(Cl)cc1Cl ZINC001226204718 775737197 /nfs/dbraw/zinc/73/71/97/775737197.db2.gz OWJMWBWXLHCAFH-UHFFFAOYSA-N 0 0 264.064 2.679 20 5 CFBDRN Cn1c(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc2ccccc21 ZINC001226236228 775747056 /nfs/dbraw/zinc/74/70/56/775747056.db2.gz YKNCOETUQVUJKN-HNNXBMFYSA-N 0 0 297.314 2.970 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ncc([N+](=O)[O-])cc2Cl)=C1C ZINC001226256282 775757084 /nfs/dbraw/zinc/75/70/84/775757084.db2.gz RVWKLKIORNPPDR-VIFPVBQESA-N 0 0 298.682 2.631 20 5 CFBDRN CC1(C)CC(Nc2ncccc2[N+](=O)[O-])CC(C)(C)N1O ZINC001167719627 775766466 /nfs/dbraw/zinc/76/64/66/775766466.db2.gz NODZOZKUKSFKQS-UHFFFAOYSA-N 0 0 294.355 2.813 20 5 CFBDRN CC(=O)c1ccc(OC2CCC(=O)CC2)c([N+](=O)[O-])c1 ZINC001226289602 775768884 /nfs/dbraw/zinc/76/88/84/775768884.db2.gz XENFSSXIFWBDTJ-UHFFFAOYSA-N 0 0 277.276 2.688 20 5 CFBDRN CO[C@H](C)CCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226291402 775770203 /nfs/dbraw/zinc/77/02/03/775770203.db2.gz CSUGXLUGJYYJDK-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)cc1OC[C@@H]1CCO1 ZINC001226301737 775773139 /nfs/dbraw/zinc/77/31/39/775773139.db2.gz QMPJQMOFCKGRJO-QMMMGPOBSA-N 0 0 277.198 2.781 20 5 CFBDRN Cc1cnc(=O)[nH]c1O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001226326392 775779459 /nfs/dbraw/zinc/77/94/59/775779459.db2.gz KWECCGGUUAZKBP-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN COC(=O)c1cc(OC)c(O[C@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC001226360604 775789539 /nfs/dbraw/zinc/78/95/39/775789539.db2.gz FIDZHKISBLDLGF-SECBINFHSA-N 0 0 297.307 2.813 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(O[C@H]3C[C@H]4C=C[C@@H]3C4)c2c1 ZINC001226436800 775822371 /nfs/dbraw/zinc/82/23/71/775822371.db2.gz PSVXHBZCEDWOGX-RBZYPMLTSA-N 0 0 283.287 2.881 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2cncnc2)c1 ZINC001226445049 775825887 /nfs/dbraw/zinc/82/58/87/775825887.db2.gz DBFCEUGNNFNCIK-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=C1C[C@H](Oc2ccc3c(c2)oc(=O)c2ccccc32)CO1 ZINC001226512849 775854606 /nfs/dbraw/zinc/85/46/06/775854606.db2.gz VRSKIXYXEUQMSP-NSHDSACASA-N 0 0 296.278 2.641 20 5 CFBDRN O=c1oc2cc(OC[C@H]3COCO3)ccc2c2ccccc21 ZINC001226516198 775856119 /nfs/dbraw/zinc/85/61/19/775856119.db2.gz YVDNOFYSHXAUMH-GFCCVEGCSA-N 0 0 298.294 2.698 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCn2ccnc21 ZINC001226530196 775862146 /nfs/dbraw/zinc/86/21/46/775862146.db2.gz XIDRTYNKUDVQFX-CYBMUJFWSA-N 0 0 287.275 2.518 20 5 CFBDRN COc1cc(O[C@H]2CCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC001226575301 775879426 /nfs/dbraw/zinc/87/94/26/775879426.db2.gz AWRBNZYSGSFSGI-WPRPVWTQSA-N 0 0 252.270 2.566 20 5 CFBDRN CCC[C@H](Oc1ncc([N+](=O)[O-])cn1)C(C)(C)C ZINC001226733297 775940970 /nfs/dbraw/zinc/94/09/70/775940970.db2.gz MAXWEORYQZJLSF-JTQLQIEISA-N 0 0 253.302 2.978 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2ncc([N+](=O)[O-])cn2)C[C@@H]1C ZINC001226733062 775941385 /nfs/dbraw/zinc/94/13/85/775941385.db2.gz FZUOONOBFGJJFO-YWVKMMECSA-N 0 0 251.286 2.588 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2ncc([N+](=O)[O-])cn2)C[C@@H]1C ZINC001226733061 775941866 /nfs/dbraw/zinc/94/18/66/775941866.db2.gz FZUOONOBFGJJFO-WCABBAIRSA-N 0 0 251.286 2.588 20 5 CFBDRN C[C@H]1CC[C@@H](COc2c(F)cc([N+](=O)[O-])cc2F)O1 ZINC001226744913 775945823 /nfs/dbraw/zinc/94/58/23/775945823.db2.gz VYNCVESFXJDCQJ-CBAPKCEASA-N 0 0 273.235 2.819 20 5 CFBDRN CC1(C)[C@@H]2C[C@@H](Oc3ncc(F)cc3[N+](=O)[O-])C[C@@H]21 ZINC001226751299 775947042 /nfs/dbraw/zinc/94/70/42/775947042.db2.gz ISHFTTVCPCGLQF-OWUUHHOZSA-N 0 0 266.272 2.942 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@@H]1CCCc2cccnc21 ZINC001226752751 775947954 /nfs/dbraw/zinc/94/79/54/775947954.db2.gz DUMHFKALPKKJLZ-GFCCVEGCSA-N 0 0 289.266 2.980 20 5 CFBDRN C[C@H](Oc1ncc(F)cc1[N+](=O)[O-])c1ccncc1 ZINC001226752237 775948166 /nfs/dbraw/zinc/94/81/66/775948166.db2.gz YWBJDSRXBGNYIU-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H]1CCC(=O)CC1(C)C ZINC001226761085 775951118 /nfs/dbraw/zinc/95/11/18/775951118.db2.gz FOYDSJOBEKXHLY-LBPRGKRZSA-N 0 0 278.308 2.825 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1ccc([N+](=O)[O-])cc1Cl ZINC001226759392 775951576 /nfs/dbraw/zinc/95/15/76/775951576.db2.gz OHRIETIWWDORSB-CPCISQLKSA-N 0 0 257.673 2.662 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1cccc([N+](=O)[O-])c1 ZINC001226763705 775952349 /nfs/dbraw/zinc/95/23/49/775952349.db2.gz ZXCIBZNONKEUFY-ZDUSSCGKSA-N 0 0 281.308 2.951 20 5 CFBDRN CC(C)N1CC(Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226761766 775952808 /nfs/dbraw/zinc/95/28/08/775952808.db2.gz FBSIFEQDHZTZFW-UHFFFAOYSA-N 0 0 270.716 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCn3ccnc32)c(Cl)c1 ZINC001226762174 775953163 /nfs/dbraw/zinc/95/31/63/775953163.db2.gz OWLKOCAAAXGFCI-LLVKDONJSA-N 0 0 279.683 2.969 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H]1Cc2ccncc2[C@H]1C ZINC001226765209 775954186 /nfs/dbraw/zinc/95/41/86/775954186.db2.gz QXWZAQKNCGOWMR-YGRLFVJLSA-N 0 0 285.303 2.800 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H]1CCC(F)(F)C1 ZINC001226765144 775954982 /nfs/dbraw/zinc/95/49/82/775954982.db2.gz PFAKORTZHKGVCO-SECBINFHSA-N 0 0 258.224 2.865 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226809532 775972617 /nfs/dbraw/zinc/97/26/17/775972617.db2.gz DBMCMRLWKWZZAC-KOLCDFICSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226810737 775973365 /nfs/dbraw/zinc/97/33/65/775973365.db2.gz RUEAYXYNMRTSDS-SCZZXKLOSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2ccc([N+](=O)[O-])c(C)c2)C1(C)C ZINC001226843734 775987579 /nfs/dbraw/zinc/98/75/79/775987579.db2.gz OQUJPCBULCZBGE-AAEUAGOBSA-N 0 0 293.319 2.870 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc([N+](=O)[O-])c(C)c1)OC ZINC001226842609 775987738 /nfs/dbraw/zinc/98/77/38/775987738.db2.gz XLITVYQVFDLWKT-SNVBAGLBSA-N 0 0 269.297 2.680 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc(Cl)cc1Cl)C1CC1 ZINC001226893789 776005462 /nfs/dbraw/zinc/00/54/62/776005462.db2.gz KNDPFVGEWMJMQV-SECBINFHSA-N 0 0 277.107 2.823 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1O[C@@H]1CCCOC1 ZINC001226908077 776011639 /nfs/dbraw/zinc/01/16/39/776011639.db2.gz BWGMZUYTZKOOEG-SSDOTTSWSA-N 0 0 275.663 2.945 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1O[C@@H]1COC[C@H]1F ZINC001226908387 776013776 /nfs/dbraw/zinc/01/37/76/776013776.db2.gz GHNUBZHSBWSSGP-GMSGAONNSA-N 0 0 279.626 2.503 20 5 CFBDRN CC(=O)[C@H](C)Oc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC001226908571 776013784 /nfs/dbraw/zinc/01/37/84/776013784.db2.gz KJHNXOQTPOZTGO-LURJTMIESA-N 0 0 261.636 2.744 20 5 CFBDRN O=[N+]([O-])c1cnc(OC[C@@H]2CCCCO2)cc1Cl ZINC001226915876 776016230 /nfs/dbraw/zinc/01/62/30/776016230.db2.gz SESBOQDXVMEPPY-QMMMGPOBSA-N 0 0 272.688 2.591 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1cc(Cl)c([N+](=O)[O-])cn1 ZINC001226917955 776016822 /nfs/dbraw/zinc/01/68/22/776016822.db2.gz OPSBJOYVTOWPMD-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN Cc1cnc(COc2ccc(Cl)c([N+](=O)[O-])c2)nc1 ZINC000692702407 776025488 /nfs/dbraw/zinc/02/54/88/776025488.db2.gz FKJKCMDDCQXKER-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc(C)c([N+](=O)[O-])c1)OC ZINC001226951337 776031008 /nfs/dbraw/zinc/03/10/08/776031008.db2.gz YVEWCBMWDRRPOM-SNVBAGLBSA-N 0 0 269.297 2.680 20 5 CFBDRN Cc1ccc(O[C@H]2C=C(C)OC(=O)C2)cc1[N+](=O)[O-] ZINC001226951390 776033004 /nfs/dbraw/zinc/03/30/04/776033004.db2.gz ZWZQLRXTTFKJKY-NSHDSACASA-N 0 0 263.249 2.501 20 5 CFBDRN CC1=C(Oc2c(C)cccc2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001226971296 776040070 /nfs/dbraw/zinc/04/00/70/776040070.db2.gz LHKIXOYGKYVVCA-SECBINFHSA-N 0 0 263.249 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ncncc1Cl)C2 ZINC001226982377 776046712 /nfs/dbraw/zinc/04/67/12/776046712.db2.gz FFOTUNAKHUGOMT-LLVKDONJSA-N 0 0 291.694 2.584 20 5 CFBDRN CCN1CC(Oc2c(C)ccc([N+](=O)[O-])c2Cl)C1 ZINC001226989165 776049721 /nfs/dbraw/zinc/04/97/21/776049721.db2.gz LCZAZOBJLITATE-UHFFFAOYSA-N 0 0 270.716 2.640 20 5 CFBDRN COC(C[C@@H](C)Oc1cc([N+](=O)[O-])ccc1C)OC ZINC001226997112 776054343 /nfs/dbraw/zinc/05/43/43/776054343.db2.gz VAEBSRSSGMORLL-SNVBAGLBSA-N 0 0 269.297 2.680 20 5 CFBDRN Cc1cnc(O[C@@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC001227052744 776075534 /nfs/dbraw/zinc/07/55/34/776075534.db2.gz HFPICSVUMOVCPK-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)Cc2ccco2)n1 ZINC001227062588 776079922 /nfs/dbraw/zinc/07/99/22/776079922.db2.gz QRBLYADILPJTSW-JTQLQIEISA-N 0 0 262.265 2.901 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1nc(C)ccc1[N+](=O)[O-] ZINC001227062521 776080126 /nfs/dbraw/zinc/08/01/26/776080126.db2.gz OUGWTTITILQPOX-GFCCVEGCSA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCOC(C)(C)C2)n1 ZINC001227061940 776080410 /nfs/dbraw/zinc/08/04/10/776080410.db2.gz CGHKIFJGMDIMSX-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2[nH]c(=O)nc3ccc([N+](=O)[O-])cc32)C1 ZINC001227096337 776095345 /nfs/dbraw/zinc/09/53/45/776095345.db2.gz OUJSYMSUKCAICG-SCZZXKLOSA-N 0 0 289.291 2.811 20 5 CFBDRN CCCC[C@H](C)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227108754 776099869 /nfs/dbraw/zinc/09/98/69/776099869.db2.gz PBVYMWHUKITTFZ-QMMMGPOBSA-N 0 0 269.257 2.856 20 5 CFBDRN C[C@H]1CCCC[C@H]1Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227108101 776100189 /nfs/dbraw/zinc/10/01/89/776100189.db2.gz KBFKIDLMNYSMJG-GZMMTYOYSA-N 0 0 281.268 2.856 20 5 CFBDRN C[C@H]1CCC[C@@H](Oc2ncc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC001227109341 776102059 /nfs/dbraw/zinc/10/20/59/776102059.db2.gz XBVHBQKMDVVHPO-WCBMZHEXSA-N 0 0 281.268 2.856 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ncccc1Cl)c1cccnc1 ZINC001227119535 776106411 /nfs/dbraw/zinc/10/64/11/776106411.db2.gz WYSOIUYMEDGNNF-LLVKDONJSA-N 0 0 279.683 2.527 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(Cl)cc1OC[C@H]1COCO1 ZINC001227130053 776108080 /nfs/dbraw/zinc/10/80/80/776108080.db2.gz PBYCEDFEKYPLFU-ZCFIWIBFSA-N 0 0 294.090 2.653 20 5 CFBDRN O=C1OCC[C@H]1Oc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC001227131352 776110253 /nfs/dbraw/zinc/11/02/53/776110253.db2.gz YJDXJSJAGLWWET-MRVPVSSYSA-N 0 0 292.074 2.596 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H](C)C1CCOCC1 ZINC001227184790 776127693 /nfs/dbraw/zinc/12/76/93/776127693.db2.gz JVPGROIWGKBURI-JTQLQIEISA-N 0 0 281.308 2.797 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227234174 776147711 /nfs/dbraw/zinc/14/77/11/776147711.db2.gz LDYZDFAMZLLMHI-LLVKDONJSA-N 0 0 278.264 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(OC[C@H]3CCCCO3)oc2c1 ZINC001227234094 776147793 /nfs/dbraw/zinc/14/77/93/776147793.db2.gz KMOPBMDLUUKABO-SNVBAGLBSA-N 0 0 278.264 2.684 20 5 CFBDRN CC[C@@H](COc1nc2c(cccc2[N+](=O)[O-])o1)OC ZINC001227235416 776149694 /nfs/dbraw/zinc/14/96/94/776149694.db2.gz DENOXXAMVBKXSV-QMMMGPOBSA-N 0 0 266.253 2.540 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1ncc(C)cc1[N+](=O)[O-] ZINC001227235081 776149817 /nfs/dbraw/zinc/14/98/17/776149817.db2.gz OKECKIAAIMHLGM-LBPRGKRZSA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1cnc(O[C@@H]2CCc3cccnc32)c([N+](=O)[O-])c1 ZINC001227237465 776150872 /nfs/dbraw/zinc/15/08/72/776150872.db2.gz VZIQCNIKYVUXMA-GFCCVEGCSA-N 0 0 271.276 2.760 20 5 CFBDRN CCCOC[C@@H](C)Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227238255 776151167 /nfs/dbraw/zinc/15/11/67/776151167.db2.gz NHPLKSWXXYTIDE-SECBINFHSA-N 0 0 280.280 2.930 20 5 CFBDRN O=[N+]([O-])c1cccc2oc(OC[C@@H]3CCCCO3)nc21 ZINC001227239347 776152968 /nfs/dbraw/zinc/15/29/68/776152968.db2.gz XISWTKVETVYSRB-VIFPVBQESA-N 0 0 278.264 2.684 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nc2cc(Cl)ccc2[nH]1)C1CC1 ZINC001227262562 776162633 /nfs/dbraw/zinc/16/26/33/776162633.db2.gz SIDGURLVHUGCAJ-LLVKDONJSA-N 0 0 281.699 2.650 20 5 CFBDRN CCCOC[C@@H](C)Oc1nc(Cl)nc(C)c1[N+](=O)[O-] ZINC001227341377 776178921 /nfs/dbraw/zinc/17/89/21/776178921.db2.gz WOPXTTDDRXLREK-SSDOTTSWSA-N 0 0 289.719 2.541 20 5 CFBDRN Cc1cc(CNc2cc(F)cc(F)c2[N+](=O)[O-])nn1C ZINC001167768742 776193507 /nfs/dbraw/zinc/19/35/07/776193507.db2.gz JJMNXUNUURLYOP-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN Cc1ccc(Cl)cc1CNc1c([N+](=O)[O-])cnn1C ZINC000893401100 776206628 /nfs/dbraw/zinc/20/66/28/776206628.db2.gz HYCXPSZCUQZQTL-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=c1ccc2[n+]([O-])c3ccc(O[C@H]4C=CCC4)cc3oc-2c1 ZINC001227451506 776207205 /nfs/dbraw/zinc/20/72/05/776207205.db2.gz JUJXJRMZGPZLMZ-LBPRGKRZSA-N 0 0 295.294 2.629 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1ccccc1[N+](=O)[O-] ZINC001227479345 776210950 /nfs/dbraw/zinc/21/09/50/776210950.db2.gz HEAQEPHTSZMDGS-JTQLQIEISA-N 0 0 267.281 2.705 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001227541822 776224602 /nfs/dbraw/zinc/22/46/02/776224602.db2.gz OZVVTIRHTXXGMM-RNLVFQAGSA-N 0 0 283.305 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](OC2COC2)C(F)(F)F)cc1 ZINC001222687855 776235190 /nfs/dbraw/zinc/23/51/90/776235190.db2.gz RQUWIJBATDGVCU-JTQLQIEISA-N 0 0 277.198 2.614 20 5 CFBDRN Cc1cc(CO[C@H](C)c2cccc([N+](=O)[O-])c2)n(C)n1 ZINC001222702800 776240202 /nfs/dbraw/zinc/24/02/02/776240202.db2.gz OMFULBZUCUFVQJ-LLVKDONJSA-N 0 0 275.308 2.915 20 5 CFBDRN CCCC[C@@H](C)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227693071 776259004 /nfs/dbraw/zinc/25/90/04/776259004.db2.gz KCLSHGCZCDGCLM-MRVPVSSYSA-N 0 0 264.285 2.824 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2ncc([N+](=O)[O-])c3nc[nH]c32)C1 ZINC001227691804 776259220 /nfs/dbraw/zinc/25/92/20/776259220.db2.gz QHQJUFALXIVBLF-RKDXNWHRSA-N 0 0 276.296 2.824 20 5 CFBDRN C[C@H]1CCC[C@H](Oc2ncc([N+](=O)[O-])c3nc[nH]c32)C1 ZINC001227691802 776259850 /nfs/dbraw/zinc/25/98/50/776259850.db2.gz QHQJUFALXIVBLF-IUCAKERBSA-N 0 0 276.296 2.824 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H](C)c1cncnc1 ZINC001227701996 776262087 /nfs/dbraw/zinc/26/20/87/776262087.db2.gz RNBFISXYEVCSNT-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(F)cc(Cl)c1)C1CC1 ZINC001227856380 776310721 /nfs/dbraw/zinc/31/07/21/776310721.db2.gz ASZIAPNNSLUVTC-LLVKDONJSA-N 0 0 259.664 2.913 20 5 CFBDRN COC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1Cl ZINC001227936652 776333340 /nfs/dbraw/zinc/33/33/40/776333340.db2.gz LBQZWJDUGAUBDP-GFCCVEGCSA-N 0 0 299.710 2.561 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ncnc2ccc(Cl)cc21)C1CC1 ZINC001227964684 776342557 /nfs/dbraw/zinc/34/25/57/776342557.db2.gz MDNQWGQBXPSOJZ-LBPRGKRZSA-N 0 0 293.710 2.717 20 5 CFBDRN C[C@H](Oc1cncc([N+](=O)[O-])c1)c1nccs1 ZINC001227990409 776352623 /nfs/dbraw/zinc/35/26/23/776352623.db2.gz MDNQQLRSMRQDNW-ZETCQYMHSA-N 0 0 251.267 2.586 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@H]1CCCOC1 ZINC001228002065 776356287 /nfs/dbraw/zinc/35/62/87/776356287.db2.gz HJGQLODOAUKDRI-QMMMGPOBSA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@@H]1CCOC[C@H]1F ZINC001228001266 776356458 /nfs/dbraw/zinc/35/64/58/776356458.db2.gz VCTDDUHNGCJESX-PSASIEDQSA-N 0 0 275.663 2.754 20 5 CFBDRN CCCOC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001228005202 776358238 /nfs/dbraw/zinc/35/82/38/776358238.db2.gz IYLBXGOESVNYHZ-AWEZNQCLSA-N 0 0 293.319 2.688 20 5 CFBDRN Cc1c[nH]c2ccc(O[C@H](C[N+](=O)[O-])C3CC3)cc12 ZINC001228013110 776363686 /nfs/dbraw/zinc/36/36/86/776363686.db2.gz KTHLRTVOXIQZHE-CQSZACIVSA-N 0 0 260.293 2.910 20 5 CFBDRN C[C@H](Oc1nccc(Cl)c1[N+](=O)[O-])c1cncnc1 ZINC001228016152 776364212 /nfs/dbraw/zinc/36/42/12/776364212.db2.gz BJYYJOYBLPXLJY-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@@H](Oc1nccc(Cl)c1[N+](=O)[O-])C(F)(F)F ZINC001228014752 776364979 /nfs/dbraw/zinc/36/49/79/776364979.db2.gz OFDFXRFMBJPZBL-SCSAIBSYSA-N 0 0 270.594 2.973 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1nccc(Cl)c1[N+](=O)[O-] ZINC001228017998 776366024 /nfs/dbraw/zinc/36/60/24/776366024.db2.gz TXCJDKIUZBRNMD-VIFPVBQESA-N 0 0 270.672 2.534 20 5 CFBDRN C[C@@H](Oc1ccnc(Cl)c1[N+](=O)[O-])c1ncccn1 ZINC001228054320 776378442 /nfs/dbraw/zinc/37/84/42/776378442.db2.gz KTWMXEXJWPIUKE-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccnc(Cl)c1[N+](=O)[O-] ZINC001228057524 776380389 /nfs/dbraw/zinc/38/03/89/776380389.db2.gz OYZSQAHSHRWUSE-QMMMGPOBSA-N 0 0 272.688 2.780 20 5 CFBDRN C[C@@H](Oc1ccnc(Cl)c1[N+](=O)[O-])c1cnccn1 ZINC001228061298 776381100 /nfs/dbraw/zinc/38/11/00/776381100.db2.gz SSCMVLPLBZLHEF-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CCC[C@H](C)[C@@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228139911 776406555 /nfs/dbraw/zinc/40/65/55/776406555.db2.gz CKYCPJXZJCVWFA-DTWKUNHWSA-N 0 0 269.301 2.684 20 5 CFBDRN CC[C@H](COc1ccc([N+](=O)[O-])c2cccnc12)OC ZINC001228139571 776406902 /nfs/dbraw/zinc/40/69/02/776406902.db2.gz SYEWDIPVJONTBQ-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CC(C)=CCC[C@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228140736 776407016 /nfs/dbraw/zinc/40/70/16/776407016.db2.gz MURYACSGYLDPTG-VIFPVBQESA-N 0 0 267.285 2.604 20 5 CFBDRN CCCC1CCC(Oc2nc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC001228143562 776408069 /nfs/dbraw/zinc/40/80/69/776408069.db2.gz MWGOGYFWLLQIFD-UHFFFAOYSA-N 0 0 281.312 2.828 20 5 CFBDRN CC[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1ccccc1F ZINC001228142143 776408149 /nfs/dbraw/zinc/40/81/49/776408149.db2.gz ZAWHRFWINJEKSH-SNVBAGLBSA-N 0 0 293.254 2.760 20 5 CFBDRN Cc1c(C)c(O[C@@H](C)c2cccc([N+](=O)[O-])c2)n[nH]c1=O ZINC001228155297 776412513 /nfs/dbraw/zinc/41/25/13/776412513.db2.gz UVPOGJLEMWVHHK-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN O=C1C[C@H](Oc2c(Cl)cc([N+](=O)[O-])cc2Cl)CO1 ZINC001228199463 776421097 /nfs/dbraw/zinc/42/10/97/776421097.db2.gz ZQKGENZNEIHIFD-LURJTMIESA-N 0 0 292.074 2.596 20 5 CFBDRN C[N@@H+]1CCC[C@H](Oc2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC001228209680 776422493 /nfs/dbraw/zinc/42/24/93/776422493.db2.gz WBJKZFFAWMOBNQ-JTQLQIEISA-N 0 0 293.348 2.678 20 5 CFBDRN CC(=O)[C@@H](C)Oc1nc2ccc([N+](=O)[O-])cc2s1 ZINC001228208983 776422566 /nfs/dbraw/zinc/42/25/66/776422566.db2.gz IJSBVQMZLSSORV-SSDOTTSWSA-N 0 0 266.278 2.561 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228258109 776436154 /nfs/dbraw/zinc/43/61/54/776436154.db2.gz QEIGHPDGTUWCLA-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1c(C)cc([N+](=O)[O-])cc1C ZINC001228570388 776523969 /nfs/dbraw/zinc/52/39/69/776523969.db2.gz CNNNDCANSXFBFJ-GFCCVEGCSA-N 0 0 281.308 2.932 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cc(F)cc(F)c2[N+](=O)[O-])CCO1 ZINC001228610866 776538086 /nfs/dbraw/zinc/53/80/86/776538086.db2.gz ADJTVQCBEVYFMA-APPZFPTMSA-N 0 0 273.235 2.819 20 5 CFBDRN COC(C[C@H](C)Oc1cc(F)cc(F)c1[N+](=O)[O-])OC ZINC001228612427 776539793 /nfs/dbraw/zinc/53/97/93/776539793.db2.gz HVDNUOKQQYKGBV-ZETCQYMHSA-N 0 0 291.250 2.649 20 5 CFBDRN C[C@@H](Oc1cc(F)cc(F)c1[N+](=O)[O-])c1cnccn1 ZINC001228612249 776539971 /nfs/dbraw/zinc/53/99/71/776539971.db2.gz GRNDAUAJZURMFC-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN COc1cccc([C@H](C)Oc2ncncc2[N+](=O)[O-])c1 ZINC001228653713 776553248 /nfs/dbraw/zinc/55/32/48/776553248.db2.gz AYAXXSFFNFAASC-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1cncnc1O[C@@H]1CCCc2ccccc21 ZINC001228655237 776553791 /nfs/dbraw/zinc/55/37/91/776553791.db2.gz PLVSOYRUUHHGPF-CYBMUJFWSA-N 0 0 271.276 2.841 20 5 CFBDRN CCC[C@@H](Oc1ncncc1[N+](=O)[O-])[C@@H](C)CC ZINC001228654948 776554029 /nfs/dbraw/zinc/55/40/29/776554029.db2.gz OARHLTGQNNPIEE-GXSJLCMTSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Oc1ncncc1[N+](=O)[O-])CC2 ZINC001228657810 776554337 /nfs/dbraw/zinc/55/43/37/776554337.db2.gz RADIALQGOWOSPP-CYBMUJFWSA-N 0 0 271.276 2.760 20 5 CFBDRN COc1ccccc1[C@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228657336 776554635 /nfs/dbraw/zinc/55/46/35/776554635.db2.gz OBQVHJMZFJNOHU-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2Oc1ncncc1[N+](=O)[O-] ZINC001228657066 776554822 /nfs/dbraw/zinc/55/48/22/776554822.db2.gz LVWJQHRMNFJZDG-ZDUSSCGKSA-N 0 0 271.276 2.760 20 5 CFBDRN COc1ccccc1[C@@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228657335 776555266 /nfs/dbraw/zinc/55/52/66/776555266.db2.gz OBQVHJMZFJNOHU-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])C1 ZINC001228662332 776557325 /nfs/dbraw/zinc/55/73/25/776557325.db2.gz VAFLHLUVUKTLCM-VHSXEESVSA-N 0 0 299.348 2.566 20 5 CFBDRN CO[C@H](C)CCOc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228673277 776562291 /nfs/dbraw/zinc/56/22/91/776562291.db2.gz FCUDNABVSYWXIC-SECBINFHSA-N 0 0 279.296 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOC[C@H]2F)cc1Cl ZINC001228759051 776600225 /nfs/dbraw/zinc/60/02/25/776600225.db2.gz RSTKPZJHGJBJRR-MWLCHTKSSA-N 0 0 275.663 2.754 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])c(Cl)n2)CCO1 ZINC001228937511 776662770 /nfs/dbraw/zinc/66/27/70/776662770.db2.gz GKFQZDNABSAXDT-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN CCCC[C@@H](C)Oc1cc(O)cc([O-])c1[N+](=O)[O-] ZINC001228970613 776672675 /nfs/dbraw/zinc/67/26/75/776672675.db2.gz JCOXNPQYZOXZRU-MRVPVSSYSA-N 0 0 255.270 2.964 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC001229009747 776687897 /nfs/dbraw/zinc/68/78/97/776687897.db2.gz MCARJERHTIHODQ-OAHLLOKOSA-N 0 0 298.298 2.739 20 5 CFBDRN Cn1ccc2c1cccc2O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001229039269 776697403 /nfs/dbraw/zinc/69/74/03/776697403.db2.gz DCNZTUUQGQWMJR-MRXNPFEDSA-N 0 0 297.314 2.970 20 5 CFBDRN CCCOCCNc1ccc([N+](=O)[O-])c(C(=O)OCC)c1 ZINC001167874537 776699601 /nfs/dbraw/zinc/69/96/01/776699601.db2.gz FGFQWJYHYXBEOI-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](C)C1CC1 ZINC001229056334 776702950 /nfs/dbraw/zinc/70/29/50/776702950.db2.gz MYNXSPHZVNNYHV-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1COC1CN(C(C)(C)C)C1 ZINC001223535147 776713617 /nfs/dbraw/zinc/71/36/17/776713617.db2.gz FQPISTXAJFAKSN-UHFFFAOYSA-N 0 0 278.352 2.903 20 5 CFBDRN CC(C)OCCOc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229118770 776725977 /nfs/dbraw/zinc/72/59/77/776725977.db2.gz HTFSXPZCGMFBII-BQYQJAHWSA-N 0 0 251.282 2.738 20 5 CFBDRN CC(=O)c1cccc(OC[C@@H]2CC[C@H](C)O2)c1[N+](=O)[O-] ZINC001229131407 776726415 /nfs/dbraw/zinc/72/64/15/776726415.db2.gz HZQMDMYHNPNNKL-ONGXEEELSA-N 0 0 279.292 2.744 20 5 CFBDRN CC(=O)c1cccc(OC[C@@H]2CCC=CO2)c1[N+](=O)[O-] ZINC001229132948 776728723 /nfs/dbraw/zinc/72/87/23/776728723.db2.gz YMTHNSWFGHMDDD-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN CO[C@H](C)COc1cc(Br)cc([N+](=O)[O-])c1 ZINC001229142534 776732235 /nfs/dbraw/zinc/73/22/35/776732235.db2.gz CBMWSDJLQRYTQL-SSDOTTSWSA-N 0 0 290.113 2.771 20 5 CFBDRN CCOC(=O)[C@@H](CC)OCc1cccc(C)c1[N+](=O)[O-] ZINC001223660449 776745449 /nfs/dbraw/zinc/74/54/49/776745449.db2.gz DBLNCNSEVFFCTC-GFCCVEGCSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1CCCOC1 ZINC001229197419 776749439 /nfs/dbraw/zinc/74/94/39/776749439.db2.gz GOSDOFGIKDKIAD-JTQLQIEISA-N 0 0 255.245 2.600 20 5 CFBDRN C[C@H](Oc1n[nH]c2c1CCC2)c1ccccc1[N+](=O)[O-] ZINC001229327405 776781437 /nfs/dbraw/zinc/78/14/37/776781437.db2.gz WZEPBGPLRAXIHZ-VIFPVBQESA-N 0 0 273.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1O[C@H]1CCOC[C@H]1F ZINC001229379846 776794889 /nfs/dbraw/zinc/79/48/89/776794889.db2.gz IJKYFLTVGWXIJC-SCZZXKLOSA-N 0 0 275.663 2.754 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1O[C@H]1CCn2ccnc21 ZINC001229376471 776795199 /nfs/dbraw/zinc/79/51/99/776795199.db2.gz IVMVQOJMYRAIBI-NSHDSACASA-N 0 0 279.683 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@H]2CCC(F)(F)C2)c1 ZINC001229399916 776801012 /nfs/dbraw/zinc/80/10/12/776801012.db2.gz PGCHABHNYSWOOJ-QMMMGPOBSA-N 0 0 259.208 2.867 20 5 CFBDRN CC1(C)[C@@H]2CC[C@@]1(C)[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])C2 ZINC001229452873 776817650 /nfs/dbraw/zinc/81/76/50/776817650.db2.gz YEQBVHDHWIEJPN-YVLXSGLVSA-N 0 0 292.335 2.822 20 5 CFBDRN CC[C@H]1CC[C@H](Oc2cc[n+]([O-])cc2[N+](=O)[O-])CC1 ZINC001229452868 776817917 /nfs/dbraw/zinc/81/79/17/776817917.db2.gz XZHVNUUZQMAXMD-XYPYZODXSA-N 0 0 266.297 2.576 20 5 CFBDRN Cc1cc(OC[C@@H]2CCCO2)cc(C)c1[N+](=O)[O-] ZINC001229465448 776820320 /nfs/dbraw/zinc/82/03/20/776820320.db2.gz DAKUBLFFVMHRSR-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cc(C)c([N+](=O)[O-])c(C)c2)C1 ZINC001229470314 776822124 /nfs/dbraw/zinc/82/21/24/776822124.db2.gz OUIPFWYAXVOPNV-JNSHFYNHSA-N 0 0 293.319 2.932 20 5 CFBDRN COC(C[C@H](C)Oc1nc2cc([N+](=O)[O-])ccc2o1)OC ZINC001229486036 776826321 /nfs/dbraw/zinc/82/63/21/776826321.db2.gz DIXWAAFIPWKCTK-QMMMGPOBSA-N 0 0 296.279 2.512 20 5 CFBDRN COC(C[C@@H](C)Oc1nc2cc([N+](=O)[O-])ccc2o1)OC ZINC001229486034 776827393 /nfs/dbraw/zinc/82/73/93/776827393.db2.gz DIXWAAFIPWKCTK-MRVPVSSYSA-N 0 0 296.279 2.512 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCc2ccccc2OC1 ZINC000337781354 776869289 /nfs/dbraw/zinc/86/92/89/776869289.db2.gz BFMVAMPWMXLFMS-LBPRGKRZSA-N 0 0 285.303 2.796 20 5 CFBDRN Cc1nc(O[C@H](C)c2cccc([N+](=O)[O-])c2)c(C)c(=O)[nH]1 ZINC001229686844 776872895 /nfs/dbraw/zinc/87/28/95/776872895.db2.gz VKLCGEDBOVIASX-SECBINFHSA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1cc(O)c(OC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC001229749542 776886225 /nfs/dbraw/zinc/88/62/25/776886225.db2.gz CWYXMSHVPAVKFT-JTQLQIEISA-N 0 0 267.281 2.557 20 5 CFBDRN Cc1cc(O)c(O[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC001229754801 776889375 /nfs/dbraw/zinc/88/93/75/776889375.db2.gz RFOIQJJCVNJGBK-UWVGGRQHSA-N 0 0 267.281 2.555 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2C[NH+]3CCC2CC3)cc1F ZINC001229892628 776912973 /nfs/dbraw/zinc/91/29/73/776912973.db2.gz CIFOSDBGMBWFHX-CQSZACIVSA-N 0 0 280.299 2.515 20 5 CFBDRN COC(=O)c1cc(OC2CC=CC2)c(F)cc1[N+](=O)[O-] ZINC001229942954 776921350 /nfs/dbraw/zinc/92/13/50/776921350.db2.gz RRSGDADRNBZDBG-UHFFFAOYSA-N 0 0 281.239 2.618 20 5 CFBDRN Cc1c(Br)cnc(OC(C)C)c1[N+](=O)[O-] ZINC001230035278 776946910 /nfs/dbraw/zinc/94/69/10/776946910.db2.gz PWWCOAXHPRZQRX-UHFFFAOYSA-N 0 0 275.102 2.848 20 5 CFBDRN O=S1(=O)CC(Oc2nccc3c4ccccc4ccc32)C1 ZINC001230202675 776957483 /nfs/dbraw/zinc/95/74/83/776957483.db2.gz BCBKYKUCWHAFFE-UHFFFAOYSA-N 0 0 299.351 2.564 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2nc3c[nH]cc([N+](=O)[O-])c-3n2)C1 ZINC001230229011 776961170 /nfs/dbraw/zinc/96/11/70/776961170.db2.gz COWYAMJVSKIVQY-BDAKNGLRSA-N 0 0 276.296 2.824 20 5 CFBDRN CCC[C@H](CC)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230230683 776961826 /nfs/dbraw/zinc/96/18/26/776961826.db2.gz ZHAGKTNBUYODKG-QMMMGPOBSA-N 0 0 264.285 2.824 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1O[C@@H]1C=CCC1 ZINC001230246856 776966125 /nfs/dbraw/zinc/96/61/25/776966125.db2.gz WSBDSBIXCMMOPQ-LLVKDONJSA-N 0 0 262.265 2.651 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001230316260 776977566 /nfs/dbraw/zinc/97/75/66/776977566.db2.gz SZQQIHOXZXNZSG-YGOYTEALSA-N 0 0 276.248 2.846 20 5 CFBDRN COc1ccc2sc(O[C@H](C[N+](=O)[O-])C3CC3)nc2c1 ZINC001230338673 776981950 /nfs/dbraw/zinc/98/19/50/776981950.db2.gz BMFMIFXFVVMRAX-LLVKDONJSA-N 0 0 294.332 2.739 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(C)c(C)c([N+](=O)[O-])c1)OC ZINC001230477363 776997117 /nfs/dbraw/zinc/99/71/17/776997117.db2.gz KTHKKSVYWDAUND-SNVBAGLBSA-N 0 0 283.324 2.988 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cc(C)c(C)c([N+](=O)[O-])c2)C1 ZINC001230477222 776997168 /nfs/dbraw/zinc/99/71/68/776997168.db2.gz JZZRXGCEUKTIJS-NEPJUHHUSA-N 0 0 293.319 2.932 20 5 CFBDRN CC[C@H](C)Oc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230484314 776997600 /nfs/dbraw/zinc/99/76/00/776997600.db2.gz CYPJMJYOSCGCNJ-QMMMGPOBSA-N 0 0 254.242 2.989 20 5 CFBDRN Cc1ccc(O[C@@H](C)C2CC2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230485125 776998291 /nfs/dbraw/zinc/99/82/91/776998291.db2.gz OQQYSTLGTICTCP-QMMMGPOBSA-N 0 0 266.253 2.989 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCO[C@@H]2C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230485330 776998412 /nfs/dbraw/zinc/99/84/12/776998412.db2.gz QLDQVDXJEUTUQM-ZJUUUORDSA-N 0 0 296.279 2.615 20 5 CFBDRN O=C1OCCC[C@@H]1Oc1ccc2ccccc2c1[N+](=O)[O-] ZINC001230623086 777028927 /nfs/dbraw/zinc/02/89/27/777028927.db2.gz RYDGUJTWVASAQY-ZDUSSCGKSA-N 0 0 287.271 2.832 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@@H]1CCCOC1 ZINC001230723548 777050879 /nfs/dbraw/zinc/05/08/79/777050879.db2.gz LDGKUIKPRGPBMA-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN Cc1c([C@@H](C)Oc2ccc(Cl)nc2[N+](=O)[O-])cnn1C ZINC001230872248 777078628 /nfs/dbraw/zinc/07/86/28/777078628.db2.gz LJMFMTUDTDYVLA-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230915510 777092549 /nfs/dbraw/zinc/09/25/49/777092549.db2.gz QINSZCXKEALZHR-SCZZXKLOSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@@H](COc1cccnc1[N+](=O)[O-])Oc1ccccc1 ZINC001225630525 777100045 /nfs/dbraw/zinc/10/00/45/777100045.db2.gz ZJBDBDUMKUWPKM-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000016503992 777125815 /nfs/dbraw/zinc/12/58/15/777125815.db2.gz GMVMOSPPILCXQW-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1c(C)ccc(C)c1[N+](=O)[O-] ZINC001231059872 777128235 /nfs/dbraw/zinc/12/82/35/777128235.db2.gz KWKYIRAAPIXSQY-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2c(C)ccc(C)c2[N+](=O)[O-])C1 ZINC001231061983 777128774 /nfs/dbraw/zinc/12/87/74/777128774.db2.gz XDDVURZPAYOASE-ZDUSSCGKSA-N 0 0 292.335 2.601 20 5 CFBDRN C[C@@H](C[N+](C)(C)C)Oc1cccc2oc(=S)[n-]c21 ZINC001231064559 777129818 /nfs/dbraw/zinc/12/98/18/777129818.db2.gz GCLKSUSNMMKILC-VIFPVBQESA-O 0 0 267.374 2.590 20 5 CFBDRN Cn1cncc1-c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001231084143 777136989 /nfs/dbraw/zinc/13/69/89/777136989.db2.gz YCLXOJWEJVJOQF-OAHLLOKOSA-N 0 0 287.319 2.521 20 5 CFBDRN Cc1ccccc1CNc1ncnc2[nH]cc([N+](=O)[O-])c21 ZINC001161620717 777150089 /nfs/dbraw/zinc/15/00/89/777150089.db2.gz CEVAHUZEZWKXBR-UHFFFAOYSA-N 0 0 283.291 2.738 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2[nH]nc(Cl)c2c1)C1CC1 ZINC001231126752 777150144 /nfs/dbraw/zinc/15/01/44/777150144.db2.gz FOTNENVJVVKAQO-NSHDSACASA-N 0 0 281.699 2.650 20 5 CFBDRN COc1cc(O[C@@H](C[N+](=O)[O-])C2CC2)c(C)cc1C(C)=O ZINC001231131471 777151847 /nfs/dbraw/zinc/15/18/47/777151847.db2.gz ZXILOCPHAMFWJB-HNNXBMFYSA-N 0 0 293.319 2.640 20 5 CFBDRN O=c1ccc2nc3ccc(OC4CCOCC4)cc3oc-2c1 ZINC001231332798 777193324 /nfs/dbraw/zinc/19/33/24/777193324.db2.gz RTDHYLZSOULEBD-UHFFFAOYSA-N 0 0 297.310 2.851 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225916253 777335913 /nfs/dbraw/zinc/33/59/13/777335913.db2.gz PXSBOXONCWCCAU-LBPRGKRZSA-N 0 0 255.245 2.870 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@@H]1C[C@H]1C1CC1 ZINC000712682497 777360503 /nfs/dbraw/zinc/36/05/03/777360503.db2.gz ZXKOJKVKJWCROI-NEPJUHHUSA-N 0 0 278.356 2.968 20 5 CFBDRN Cc1ccc(F)cc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225998373 777368637 /nfs/dbraw/zinc/36/86/37/777368637.db2.gz UYAILZMFXBUYGB-AWEZNQCLSA-N 0 0 276.267 2.926 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226007713 777375584 /nfs/dbraw/zinc/37/55/84/777375584.db2.gz SFLLLQAWOSLSPY-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1cc([N+](=O)[O-])ccc1F ZINC001226269494 777491410 /nfs/dbraw/zinc/49/14/10/777491410.db2.gz GUAFAHACODBZMC-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN CN(CCN(C)c1ccc([N+](=O)[O-])cc1)C(=O)C(C)(C)C ZINC001105385120 777517923 /nfs/dbraw/zinc/51/79/23/777517923.db2.gz TXGWBMQHUOERBH-UHFFFAOYSA-N 0 0 293.367 2.536 20 5 CFBDRN COc1cc(O[C@H]2CCC(F)(F)C2)ncc1[N+](=O)[O-] ZINC001226580054 777579057 /nfs/dbraw/zinc/57/90/57/777579057.db2.gz WOZNYCPNCORNKN-ZETCQYMHSA-N 0 0 274.223 2.565 20 5 CFBDRN CC(C)(CNc1cc[nH]c(=O)c1[N+](=O)[O-])C(C)(F)F ZINC000696600755 777591400 /nfs/dbraw/zinc/59/14/00/777591400.db2.gz JRBRMIXVEONDMF-UHFFFAOYSA-N 0 0 275.255 2.789 20 5 CFBDRN C[C@@H](Oc1ncncc1F)c1ccccc1[N+](=O)[O-] ZINC001226712256 777617467 /nfs/dbraw/zinc/61/74/67/777617467.db2.gz ZMLIKPHNFZQFHB-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H]1C[C@H](Oc2ncc([N+](=O)[O-])cn2)CC(C)(C)C1 ZINC001226732823 777627736 /nfs/dbraw/zinc/62/77/36/777627736.db2.gz ZSKWAGZOCDFZCS-ONGXEEELSA-N 0 0 265.313 2.978 20 5 CFBDRN CO[C@H](C)CCOc1c(F)cc([N+](=O)[O-])cc1F ZINC001226744690 777632657 /nfs/dbraw/zinc/63/26/57/777632657.db2.gz SJVUIYVPYVSCLY-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CC(=O)N1CC[C@@H](Oc2c(C)ccc([N+](=O)[O-])c2Cl)C1 ZINC001226990238 777720803 /nfs/dbraw/zinc/72/08/03/777720803.db2.gz SMZRCKSFZWZULJ-SNVBAGLBSA-N 0 0 298.726 2.556 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1O[C@@H]1CCOC1 ZINC001226989799 777721009 /nfs/dbraw/zinc/72/10/09/777721009.db2.gz OJZTWIAUVDSQDJ-MRVPVSSYSA-N 0 0 257.673 2.724 20 5 CFBDRN Cc1cc(OCC[C@H]2CCOC2=O)c(Cl)cc1[N+](=O)[O-] ZINC000795257189 777727065 /nfs/dbraw/zinc/72/70/65/777727065.db2.gz SHTZYGWPLHLJQJ-VIFPVBQESA-N 0 0 299.710 2.889 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)C(F)(F)F)n1 ZINC001227065078 777755993 /nfs/dbraw/zinc/75/59/93/777755993.db2.gz RDUXCRSVOWLHER-LURJTMIESA-N 0 0 250.176 2.628 20 5 CFBDRN C[C@H](CO)[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000696780495 777786325 /nfs/dbraw/zinc/78/63/25/777786325.db2.gz SQMQTZFTBZVMFY-BXUZGUMPSA-N 0 0 264.325 2.582 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2)CC1 ZINC001227523605 777849559 /nfs/dbraw/zinc/84/95/59/777849559.db2.gz OIRHNXDEEOLXOU-UMSPYCQHSA-N 0 0 279.292 2.705 20 5 CFBDRN CC[C@@H](C)[C@H](C)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227691887 777863988 /nfs/dbraw/zinc/86/39/88/777863988.db2.gz RPRVUYHPMFUKNU-SFYZADRCSA-N 0 0 264.285 2.680 20 5 CFBDRN O=[N+]([O-])c1cc2nc(OC[C@@H]3CCCO3)[nH]c2cc1Cl ZINC001233500836 777970647 /nfs/dbraw/zinc/97/06/47/777970647.db2.gz VJWOISOOPULFLQ-ZETCQYMHSA-N 0 0 297.698 2.682 20 5 CFBDRN COC1=CC[C@@H](Oc2c(C)ccnc2[N+](=O)[O-])CC1 ZINC001233554391 777989455 /nfs/dbraw/zinc/98/94/55/777989455.db2.gz VGTQLAHWUPLGTR-LLVKDONJSA-N 0 0 264.281 2.760 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H]1COCc2ccccc21 ZINC001233557883 777990775 /nfs/dbraw/zinc/99/07/75/777990775.db2.gz WUQGANKIJYPEGQ-ZDUSSCGKSA-N 0 0 286.287 2.949 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])cc(F)c1F)c1ncccn1 ZINC001228025459 777997387 /nfs/dbraw/zinc/99/73/87/777997387.db2.gz SIISUAXSMLBREN-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CCO[C@H](C)COc1cc(F)c([N+](=O)[O-])cc1F ZINC001233599273 778006047 /nfs/dbraw/zinc/00/60/47/778006047.db2.gz HUUZXRCEHWGQNS-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233599252 778007264 /nfs/dbraw/zinc/00/72/64/778007264.db2.gz HSTAZTKILOVGOH-CPCISQLKSA-N 0 0 273.235 2.677 20 5 CFBDRN CC(C)C[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C(C)C ZINC001228140307 778014876 /nfs/dbraw/zinc/01/48/76/778014876.db2.gz HJFOTLWCHQKBLZ-SECBINFHSA-N 0 0 269.301 2.540 20 5 CFBDRN C[C@H](Oc1c(N)ncnc1Cl)c1cccc([N+](=O)[O-])c1 ZINC001233631287 778018329 /nfs/dbraw/zinc/01/83/29/778018329.db2.gz PCCIZUMMKZVJSV-ZETCQYMHSA-N 0 0 294.698 2.760 20 5 CFBDRN COc1cccc(Cl)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001233672182 778033669 /nfs/dbraw/zinc/03/36/69/778033669.db2.gz VEMOPRWOWQBKCQ-LLVKDONJSA-N 0 0 271.700 2.783 20 5 CFBDRN COC(C[C@H](C)Oc1c(F)cc(F)cc1[N+](=O)[O-])OC ZINC001233697799 778044373 /nfs/dbraw/zinc/04/43/73/778044373.db2.gz DBJSQXCSDQSTNQ-ZETCQYMHSA-N 0 0 291.250 2.649 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OC[C@H]1CCC=CO1 ZINC001233696842 778044425 /nfs/dbraw/zinc/04/44/25/778044425.db2.gz UOFXSZAUEOJGBM-SECBINFHSA-N 0 0 271.219 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC001233702290 778048256 /nfs/dbraw/zinc/04/82/56/778048256.db2.gz NHEMAVHRAXBGNY-SNVBAGLBSA-N 0 0 280.236 2.575 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(O[C@@H]2CCn3ccnc32)cc1F ZINC001233749489 778062339 /nfs/dbraw/zinc/06/23/39/778062339.db2.gz HFZCPJGVKJNNLM-SNVBAGLBSA-N 0 0 281.218 2.593 20 5 CFBDRN CO[C@@H](C)CCOc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233755096 778064260 /nfs/dbraw/zinc/06/42/60/778064260.db2.gz YKAIOQRQBDNDMV-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=C1CCC(Oc2ccc([N+](=O)[O-])c(F)c2F)CC1 ZINC001233762951 778068494 /nfs/dbraw/zinc/06/84/94/778068494.db2.gz JEDRWKKNHKCRSM-UHFFFAOYSA-N 0 0 271.219 2.764 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc([N+](=O)[O-])c(F)c1F)OC ZINC001233764337 778069740 /nfs/dbraw/zinc/06/97/40/778069740.db2.gz BZPNFUYMDIFUTN-SSDOTTSWSA-N 0 0 291.250 2.649 20 5 CFBDRN CCO[C@@H](C)COc1ccc([N+](=O)[O-])c(F)c1F ZINC001233768282 778071229 /nfs/dbraw/zinc/07/12/29/778071229.db2.gz DMTCWXKNSACAFN-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233770049 778071621 /nfs/dbraw/zinc/07/16/21/778071621.db2.gz KUSDVIFNQLTWRJ-IONNQARKSA-N 0 0 273.235 2.677 20 5 CFBDRN COC[C@H](C)Oc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233836531 778092902 /nfs/dbraw/zinc/09/29/02/778092902.db2.gz OZZCPBMOAJITOS-VIFPVBQESA-N 0 0 262.265 2.557 20 5 CFBDRN CC(=O)[C@@H](Oc1ccnc([N+](=O)[O-])c1)c1ccccc1 ZINC001233858355 778097034 /nfs/dbraw/zinc/09/70/34/778097034.db2.gz VGLQZMWJLCRPRG-CQSZACIVSA-N 0 0 272.260 2.699 20 5 CFBDRN CO[C@@H](COc1ccnc([N+](=O)[O-])c1)c1ccccc1 ZINC001233857924 778097095 /nfs/dbraw/zinc/09/70/95/778097095.db2.gz PWCVLBQTJFFCEE-ZDUSSCGKSA-N 0 0 274.276 2.756 20 5 CFBDRN C[C@@H](Oc1ccnc([N+](=O)[O-])c1)c1ncccc1F ZINC001233857186 778097524 /nfs/dbraw/zinc/09/75/24/778097524.db2.gz KHGBKJLAPQVDFX-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(=O)c1cnc(O[C@H]2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001233860543 778099015 /nfs/dbraw/zinc/09/90/15/778099015.db2.gz AZQWMVLJRUKTKF-KOLCDFICSA-N 0 0 294.307 2.734 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858922 778099275 /nfs/dbraw/zinc/09/92/75/778099275.db2.gz HYQJKNMIHAXFPO-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@H]1CCCOC1 ZINC001228452390 778117191 /nfs/dbraw/zinc/11/71/91/778117191.db2.gz JAUZBEZXRAUYRY-NSHDSACASA-N 0 0 279.292 2.664 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc(OC(F)F)c1)C1CC1 ZINC001233963466 778124007 /nfs/dbraw/zinc/12/40/07/778124007.db2.gz ONTFKLMRXCJUCV-NSHDSACASA-N 0 0 273.235 2.722 20 5 CFBDRN COC(=O)c1ccc(O[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC001234050811 778153143 /nfs/dbraw/zinc/15/31/43/778153143.db2.gz LMZJTFLHRZVOFQ-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN Cc1cc(F)c(O[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC001234060787 778155255 /nfs/dbraw/zinc/15/52/55/778155255.db2.gz QYOSDILJKMLMOG-UWVGGRQHSA-N 0 0 269.272 2.989 20 5 CFBDRN Cc1cc(F)c(O[C@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC001234060317 778155332 /nfs/dbraw/zinc/15/53/32/778155332.db2.gz OUQRACXAPMTAPW-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN c1cnc2c(c1)ccc1c(OC[C@H]3COCO3)ccnc12 ZINC001234087822 778162130 /nfs/dbraw/zinc/16/21/30/778162130.db2.gz RUXQHHUOMNQVRM-GFCCVEGCSA-N 0 0 282.299 2.535 20 5 CFBDRN CCCSCC(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000127446697 778169653 /nfs/dbraw/zinc/16/96/53/778169653.db2.gz QBMFMGVWMHSCQM-UHFFFAOYSA-N 0 0 285.321 2.652 20 5 CFBDRN CCOc1ccc(F)c(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234198036 778183953 /nfs/dbraw/zinc/18/39/53/778183953.db2.gz XSPVSPXZLSOHQE-CYBMUJFWSA-N 0 0 269.272 2.659 20 5 CFBDRN CCOc1ccc(F)c(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234198037 778184371 /nfs/dbraw/zinc/18/43/71/778184371.db2.gz XSPVSPXZLSOHQE-ZDUSSCGKSA-N 0 0 269.272 2.659 20 5 CFBDRN COc1c(F)cc(NCCOC(F)(F)F)cc1[N+](=O)[O-] ZINC001168174143 778210886 /nfs/dbraw/zinc/21/08/86/778210886.db2.gz JDZLKNYFXHQIHT-UHFFFAOYSA-N 0 0 298.192 2.691 20 5 CFBDRN CC(C)(O)[C@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000721864122 778227671 /nfs/dbraw/zinc/22/76/71/778227671.db2.gz SNHVNSZUUUIQHC-VIFPVBQESA-N 0 0 284.743 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1nccc(Cl)n1)C2 ZINC001234534114 778246572 /nfs/dbraw/zinc/24/65/72/778246572.db2.gz KDEWMHWWGKAENU-LLVKDONJSA-N 0 0 291.694 2.584 20 5 CFBDRN Cc1cc2cccnc2c(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234613470 778264888 /nfs/dbraw/zinc/26/48/88/778264888.db2.gz NKPOFBCATPMUSP-CQSZACIVSA-N 0 0 272.304 2.977 20 5 CFBDRN CC[C@@H](COc1cccc(C(C)=O)c1[N+](=O)[O-])OC ZINC001229137024 778272304 /nfs/dbraw/zinc/27/23/04/778272304.db2.gz BRIXJZDTEQNCCZ-JTQLQIEISA-N 0 0 267.281 2.601 20 5 CFBDRN CC[C@@H](COC)Oc1nccc2c1cccc2[N+](=O)[O-] ZINC001234674327 778285145 /nfs/dbraw/zinc/28/51/45/778285145.db2.gz NIUMBFDPINXZIT-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN O=c1c2cc(O)ccc2oc2cc(O)cc(OC3CC3)c21 ZINC001234748175 778294963 /nfs/dbraw/zinc/29/49/63/778294963.db2.gz QCUOCDJPLJPBAM-UHFFFAOYSA-N 0 0 284.267 2.899 20 5 CFBDRN CC[C@H](COc1nc2cc([N+](=O)[O-])ccc2o1)OC ZINC001229488999 778310771 /nfs/dbraw/zinc/31/07/71/778310771.db2.gz ASDYUYIPDNODLK-SECBINFHSA-N 0 0 266.253 2.540 20 5 CFBDRN CO[C@H](C)CCOc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229488598 778310797 /nfs/dbraw/zinc/31/07/97/778310797.db2.gz XQASAEHSBJLFRJ-MRVPVSSYSA-N 0 0 266.253 2.540 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2ccc(C)nc2)n1 ZINC001234878172 778319381 /nfs/dbraw/zinc/31/93/81/778319381.db2.gz HIHZOAPOLYTBAO-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCCC(=O)C2(C)C)n1 ZINC001234880517 778319906 /nfs/dbraw/zinc/31/99/06/778319906.db2.gz DETCQERRTRQUGL-NSHDSACASA-N 0 0 294.307 2.525 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1nc(OC)ccc1[N+](=O)[O-] ZINC001234878665 778319988 /nfs/dbraw/zinc/31/99/88/778319988.db2.gz JAFDVWGYDNHYIQ-LBPRGKRZSA-N 0 0 296.323 2.915 20 5 CFBDRN CC[C@@H](C)[C@H](C)Oc1nc(OC)ccc1[N+](=O)[O-] ZINC001234880315 778320152 /nfs/dbraw/zinc/32/01/52/778320152.db2.gz BBCMFTDRKYWNOE-BDAKNGLRSA-N 0 0 254.286 2.812 20 5 CFBDRN Cc1cn2c(n1)[C@@H](Oc1c(C)nccc1[N+](=O)[O-])CCC2 ZINC001234901735 778325273 /nfs/dbraw/zinc/32/52/73/778325273.db2.gz RHFIHBCQXBVHKB-LBPRGKRZSA-N 0 0 288.307 2.717 20 5 CFBDRN CCCCOC[C@H](C)Oc1c(C)nccc1[N+](=O)[O-] ZINC001234901247 778325389 /nfs/dbraw/zinc/32/53/89/778325389.db2.gz NWTARROVSMVCPZ-JTQLQIEISA-N 0 0 268.313 2.882 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)OCc1cccs1 ZINC001223863449 778359321 /nfs/dbraw/zinc/35/93/21/778359321.db2.gz AEAPQPBJRIVPQY-VIFPVBQESA-N 0 0 281.337 2.767 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NCc1ccc(=O)[nH]c1 ZINC001168303357 778417714 /nfs/dbraw/zinc/41/77/14/778417714.db2.gz WZVZUFCKSLKDCV-UHFFFAOYSA-N 0 0 289.291 2.706 20 5 CFBDRN CCC1(Nc2cc(OC)c(C)c([N+](=O)[O-])c2)COC1 ZINC001168322019 778431207 /nfs/dbraw/zinc/43/12/07/778431207.db2.gz RHRPOLPBSGKHGF-UHFFFAOYSA-N 0 0 266.297 2.503 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NCC1(CC)COC1 ZINC001168332214 778436098 /nfs/dbraw/zinc/43/60/98/778436098.db2.gz KDXDHCMMSOOPND-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CC[C@@H](COCc1ncc(C)c([N+](=O)[O-])c1C)OC ZINC001224185663 778453749 /nfs/dbraw/zinc/45/37/49/778453749.db2.gz LUPFAWQOVSNGGC-NSHDSACASA-N 0 0 268.313 2.548 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000697808057 778468052 /nfs/dbraw/zinc/46/80/52/778468052.db2.gz BJIFRWGHKACHLG-ZSBIGDGJSA-N 0 0 261.277 2.832 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000160421243 778603922 /nfs/dbraw/zinc/60/39/22/778603922.db2.gz AWXMLKNESSZNGK-MWLCHTKSSA-N 0 0 298.726 2.546 20 5 CFBDRN CCCCCO[C@@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001224662293 778618421 /nfs/dbraw/zinc/61/84/21/778618421.db2.gz YLAYUUKUPRAIGB-JTQLQIEISA-N 0 0 255.318 2.695 20 5 CFBDRN CC(F)(F)CNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000698011025 778627349 /nfs/dbraw/zinc/62/73/49/778627349.db2.gz NBHZUQNPFGTGDO-UHFFFAOYSA-N 0 0 278.642 2.633 20 5 CFBDRN CCCCCCNC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161493046 778632792 /nfs/dbraw/zinc/63/27/92/778632792.db2.gz HOVYSBOBIQSGFX-UHFFFAOYSA-N 0 0 279.340 2.947 20 5 CFBDRN C[C@@H](OCCOc1ccc([N+](=O)[O-])cc1)c1cnccn1 ZINC001224779339 778682314 /nfs/dbraw/zinc/68/23/14/778682314.db2.gz RRKYSEAULLQKDY-LLVKDONJSA-N 0 0 289.291 2.541 20 5 CFBDRN CC(=O)OC[C@@H](C)CO[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001224798761 778691436 /nfs/dbraw/zinc/69/14/36/778691436.db2.gz LEEANDBJWKXNPW-WDEREUQCSA-N 0 0 281.308 2.872 20 5 CFBDRN CC(=O)OC[C@H](C)CO[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001224798208 778691497 /nfs/dbraw/zinc/69/14/97/778691497.db2.gz IHJCJWYXZGCHJH-GHMZBOCLSA-N 0 0 281.308 2.872 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1Cl ZINC000721240684 778739008 /nfs/dbraw/zinc/73/90/08/778739008.db2.gz XXXUVAIDKIHOIW-NSHDSACASA-N 0 0 280.711 2.921 20 5 CFBDRN O=C1CC(CNc2ccc([N+](=O)[O-])c3cnccc23)C1 ZINC001168393444 778781641 /nfs/dbraw/zinc/78/16/41/778781641.db2.gz YPXHMBIOAFNNGJ-UHFFFAOYSA-N 0 0 271.276 2.534 20 5 CFBDRN O=C(/C=C/c1ccco1)NCc1ccccc1[N+](=O)[O-] ZINC000046146719 778819924 /nfs/dbraw/zinc/81/99/24/778819924.db2.gz XWWRATRXWBROFB-BQYQJAHWSA-N 0 0 272.260 2.517 20 5 CFBDRN CSCCO[C@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001225036237 778823022 /nfs/dbraw/zinc/82/30/22/778823022.db2.gz OQVOHTFEIPSCOV-MRVPVSSYSA-N 0 0 285.321 2.764 20 5 CFBDRN CCC1CN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000698567957 778922497 /nfs/dbraw/zinc/92/24/97/778922497.db2.gz DLGJDKOJVCNGRZ-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1ccc(NCc2ccc[nH]c2=O)c([N+](=O)[O-])c1 ZINC000715019388 779093343 /nfs/dbraw/zinc/09/33/43/779093343.db2.gz FBJKMXQPPDKZBT-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN Cc1cc(F)c(OC2CN(C(C)(C)C)C2)cc1[N+](=O)[O-] ZINC001234054125 779128695 /nfs/dbraw/zinc/12/86/95/779128695.db2.gz ABINBFUJGRIGRH-UHFFFAOYSA-N 0 0 282.315 2.904 20 5 CFBDRN CC1=C(Oc2cc([N+](=O)[O-])c(C)cc2F)C(=O)O[C@@H]1C ZINC001234062020 779129337 /nfs/dbraw/zinc/12/93/37/779129337.db2.gz XUCNNSFKGBKAOC-MRVPVSSYSA-N 0 0 281.239 2.640 20 5 CFBDRN CSc1ccc(F)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001234217535 779160286 /nfs/dbraw/zinc/16/02/86/779160286.db2.gz DMOWSIVBFOFLDZ-NSHDSACASA-N 0 0 271.313 2.982 20 5 CFBDRN CSc1ccc(F)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001234217534 779160673 /nfs/dbraw/zinc/16/06/73/779160673.db2.gz DMOWSIVBFOFLDZ-LLVKDONJSA-N 0 0 271.313 2.982 20 5 CFBDRN CCOc1cc(NC[C@H]2CC(C)(C)O2)ccc1[N+](=O)[O-] ZINC001168461365 779203353 /nfs/dbraw/zinc/20/33/53/779203353.db2.gz UUUKCNMIYUVCKD-LLVKDONJSA-N 0 0 280.324 2.973 20 5 CFBDRN CCOc1cc(NC[C@@H]2CC(C)(C)O2)ccc1[N+](=O)[O-] ZINC001168461366 779203477 /nfs/dbraw/zinc/20/34/77/779203477.db2.gz UUUKCNMIYUVCKD-NSHDSACASA-N 0 0 280.324 2.973 20 5 CFBDRN CC(C)Cn1c([C@H](C)[N+](=O)[O-])nnc1N1CC[C@@H](C)[C@@H]1C ZINC001122733151 779292626 /nfs/dbraw/zinc/29/26/26/779292626.db2.gz XHEAQQICCPUZTH-WOPDTQHZSA-N 0 0 295.387 2.507 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCC[C@H]1CCCCO1 ZINC000699658185 779324214 /nfs/dbraw/zinc/32/42/14/779324214.db2.gz QAJXNPUFGZLINQ-CYBMUJFWSA-N 0 0 279.292 2.745 20 5 CFBDRN O=Cc1ccc(OCC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000699658106 779324733 /nfs/dbraw/zinc/32/47/33/779324733.db2.gz OGGBOKNYMIYEAS-GFCCVEGCSA-N 0 0 279.292 2.745 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1C[C@H]1C1CC1 ZINC000176620200 779332358 /nfs/dbraw/zinc/33/23/58/779332358.db2.gz GNAQDYVEAMOATI-YUMQZZPRSA-N 0 0 282.246 2.858 20 5 CFBDRN CC[C@H](C[N+](=O)[O-])OC(=O)[C@H](CC)OC1CCCCC1 ZINC001123258344 779369169 /nfs/dbraw/zinc/36/91/69/779369169.db2.gz YKXLCKXUYYIIFP-YPMHNXCESA-N 0 0 287.356 2.713 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1NC1(c2cccnn2)CC1 ZINC001168517582 779428229 /nfs/dbraw/zinc/42/82/29/779428229.db2.gz ULJQWNDDUBBLMB-UHFFFAOYSA-N 0 0 291.698 2.534 20 5 CFBDRN CC[C@@H](F)C(=O)N[C@@H](CC)c1cccc([N+](=O)[O-])c1 ZINC001127593016 779576852 /nfs/dbraw/zinc/57/68/52/779576852.db2.gz NPUDJIRXRHVBKK-NEPJUHHUSA-N 0 0 268.288 2.910 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1cc(Cl)ccn1 ZINC000354071699 779697113 /nfs/dbraw/zinc/69/71/13/779697113.db2.gz MCNIOYRKZNSQCM-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cn1ccc(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)c1 ZINC001127864607 779907433 /nfs/dbraw/zinc/90/74/33/779907433.db2.gz SIUTXVLFLGZJHA-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(N[C@@H](CO)C(C)(C)C)c1F ZINC001168561873 779970220 /nfs/dbraw/zinc/97/02/20/779970220.db2.gz DMFHNUXYGRVHPS-NSHDSACASA-N 0 0 270.304 2.861 20 5 CFBDRN CC(C)OCCCNc1cc2c(c([N+](=O)[O-])c1)NN(C)C2 ZINC001168681896 780317899 /nfs/dbraw/zinc/31/78/99/780317899.db2.gz AVPBGIQDMIIOLK-UHFFFAOYSA-N 0 0 294.355 2.594 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC001128173483 780345203 /nfs/dbraw/zinc/34/52/03/780345203.db2.gz DYWIYFAWXJNLQG-WDEREUQCSA-N 0 0 262.309 2.855 20 5 CFBDRN CNc1cc([N+](=O)[O-])cnc1N[C@H](C)CCCC(C)(C)O ZINC001168698326 780369643 /nfs/dbraw/zinc/36/96/43/780369643.db2.gz JWLIIGFKPJAYMX-SNVBAGLBSA-N 0 0 296.371 2.773 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2ccc(OC)c([N+](=O)[O-])c2)[C@H]1C ZINC001128282396 780377263 /nfs/dbraw/zinc/37/72/63/780377263.db2.gz WMFPHVNSVNJZSU-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc([C@@H]2CCC[C@@H]2OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC001128322268 780395270 /nfs/dbraw/zinc/39/52/70/780395270.db2.gz VLPPERPDQIYWRH-YJNKXOJESA-N 0 0 289.331 2.840 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2SCCS2)s1 ZINC000693829034 780408321 /nfs/dbraw/zinc/40/83/21/780408321.db2.gz DFOFJKGMDSHXKT-UHFFFAOYSA-N 0 0 277.396 2.659 20 5 CFBDRN COc1ccc([N+](=O)[O-])nc1N[C@H](C)CCCC(C)(C)O ZINC001168712548 780462382 /nfs/dbraw/zinc/46/23/82/780462382.db2.gz RASUZHSPQAOUHD-SNVBAGLBSA-N 0 0 297.355 2.740 20 5 CFBDRN COC[C@@H](Nc1nc(Cl)c(C)cc1[N+](=O)[O-])C1CC1 ZINC001161720607 780479890 /nfs/dbraw/zinc/47/98/90/780479890.db2.gz PDKZQXUPNDLRJQ-SECBINFHSA-N 0 0 285.731 2.789 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC000132827393 780605394 /nfs/dbraw/zinc/60/53/94/780605394.db2.gz SSUQXVWIUJNQFC-QMMMGPOBSA-N 0 0 265.269 2.961 20 5 CFBDRN C[C@@H](N(C)C(=O)c1ccc(N)c([N+](=O)[O-])c1)C(C)(C)C ZINC000030424757 780616915 /nfs/dbraw/zinc/61/69/15/780616915.db2.gz FDZQCQMLWWGNHK-SECBINFHSA-N 0 0 279.340 2.684 20 5 CFBDRN CC(F)(F)CNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000700423310 780688745 /nfs/dbraw/zinc/68/87/45/780688745.db2.gz IALSCICTWAZKKV-UHFFFAOYSA-N 0 0 278.642 2.633 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000700478564 780691918 /nfs/dbraw/zinc/69/19/18/780691918.db2.gz QWPDESWNUGLGQP-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(F)CCC2)c2nonc21 ZINC000702499997 780925520 /nfs/dbraw/zinc/92/55/20/780925520.db2.gz ZDPGLRHJQLQFMJ-UHFFFAOYSA-N 0 0 280.259 2.825 20 5 CFBDRN O=C(NCCC1(F)CCC1)c1cc([N+](=O)[O-])ccc1F ZINC000702498569 780928972 /nfs/dbraw/zinc/92/89/72/780928972.db2.gz LCQOLRCXRBOUFD-UHFFFAOYSA-N 0 0 284.262 2.746 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000702569649 780933273 /nfs/dbraw/zinc/93/32/73/780933273.db2.gz IESGISNCUZTJLH-SSDOTTSWSA-N 0 0 274.679 2.726 20 5 CFBDRN CCc1nnc(COc2cc(F)ccc2[N+](=O)[O-])s1 ZINC000702755454 780947345 /nfs/dbraw/zinc/94/73/45/780947345.db2.gz UKDYODVITLRPGL-UHFFFAOYSA-N 0 0 283.284 2.727 20 5 CFBDRN Cc1cc(C)c(C(=O)Cn2ccnc2[N+](=O)[O-])cc1C ZINC000702728931 780947700 /nfs/dbraw/zinc/94/77/00/780947700.db2.gz INDXABCELRRQLR-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN CC1(O)CCC(Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000702987342 780973435 /nfs/dbraw/zinc/97/34/35/780973435.db2.gz BOKQAZSORCFIAE-UHFFFAOYSA-N 0 0 250.298 2.700 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)CC1(C)COC1 ZINC000702986118 780973610 /nfs/dbraw/zinc/97/36/10/780973610.db2.gz JACCRGWJQKFIQX-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN CC(C)(O)COc1cc(Br)ccc1[N+](=O)[O-] ZINC000703835462 781067088 /nfs/dbraw/zinc/06/70/88/781067088.db2.gz YZIZJDBFCDBPQJ-UHFFFAOYSA-N 0 0 290.113 2.507 20 5 CFBDRN CCO[C@@H](COc1ccc(C=O)cc1[N+](=O)[O-])C1CC1 ZINC000703513805 781018621 /nfs/dbraw/zinc/01/86/21/781018621.db2.gz BUTBTBXPPGEGGT-AWEZNQCLSA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCOC[C@H]2C)cc1F ZINC001229896206 781052564 /nfs/dbraw/zinc/05/25/64/781052564.db2.gz LVDVXZUMOOWGEJ-SKDRFNHKSA-N 0 0 269.272 2.846 20 5 CFBDRN COCCCOc1cc(Br)ccc1[N+](=O)[O-] ZINC000703832716 781067797 /nfs/dbraw/zinc/06/77/97/781067797.db2.gz ASKRYAYQLVCMNL-UHFFFAOYSA-N 0 0 290.113 2.773 20 5 CFBDRN Cc1ccc(F)c(COC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC001129039420 781101176 /nfs/dbraw/zinc/10/11/76/781101176.db2.gz ODZRAKCJFDQGKZ-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1nnc(COc2cc([N+](=O)[O-])ccc2Cl)s1 ZINC000704665578 781109244 /nfs/dbraw/zinc/10/92/44/781109244.db2.gz OFGZBGYKEXUQQL-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CO[C@H]1C[C@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000706612136 781192070 /nfs/dbraw/zinc/19/20/70/781192070.db2.gz SMEJIHUUPNDORL-KYZUINATSA-N 0 0 256.689 2.838 20 5 CFBDRN CC(C)[C@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000708964363 781285523 /nfs/dbraw/zinc/28/55/23/781285523.db2.gz RELUTWNKLKDNRD-CQSZACIVSA-N 0 0 282.315 2.591 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CCC2(CCC2)O1 ZINC000711496295 781446455 /nfs/dbraw/zinc/44/64/55/781446455.db2.gz PUCAJOOWARKWJA-SNVBAGLBSA-N 0 0 263.297 2.503 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H](C1CCC1)[C@@H]1CCOC1 ZINC000711839652 781472996 /nfs/dbraw/zinc/47/29/96/781472996.db2.gz DBMODPBBSFYBNU-YPMHNXCESA-N 0 0 277.324 2.607 20 5 CFBDRN COC(C)(C)CCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000711875653 781484560 /nfs/dbraw/zinc/48/45/60/781484560.db2.gz ODKPDPVQJKRGLI-UHFFFAOYSA-N 0 0 296.352 2.827 20 5 CFBDRN CC(C)N(c1cc([N+](=O)[O-])cc(Cl)[n+]1[O-])C(C)C ZINC001163576957 781514491 /nfs/dbraw/zinc/51/44/91/781514491.db2.gz LAQBQTUDIDTENE-UHFFFAOYSA-N 0 0 273.720 2.505 20 5 CFBDRN CC(C)(C)C(=O)CNc1ccc2nsnc2c1[N+](=O)[O-] ZINC001164005248 781631345 /nfs/dbraw/zinc/63/13/45/781631345.db2.gz LYMVFJRCIPSRPH-UHFFFAOYSA-N 0 0 294.336 2.627 20 5 CFBDRN C[C@@H]1CCN(c2ccc3ccc4cccnc4c3n2)C[C@H]1O ZINC001164272086 781704438 /nfs/dbraw/zinc/70/44/38/781704438.db2.gz RZDWYSUPIVIZKQ-IUODEOHRSA-N 0 0 293.370 2.990 20 5 CFBDRN COc1cc(C)nc(N(C)C(C)(C)C)c1[N+](=O)[O-] ZINC001166529726 781760246 /nfs/dbraw/zinc/76/02/46/781760246.db2.gz ILLKSZSYHWBQLE-UHFFFAOYSA-N 0 0 253.302 2.542 20 5 CFBDRN C[C@H]1CC[C@@H](CC(=O)OCc2cccnc2[N+](=O)[O-])C1 ZINC001119341987 781761538 /nfs/dbraw/zinc/76/15/38/781761538.db2.gz JCVWPBPRTVIYJM-WDEREUQCSA-N 0 0 278.308 2.859 20 5 CFBDRN COc1cc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC001230025807 781843188 /nfs/dbraw/zinc/84/31/88/781843188.db2.gz UFSSDIOHRUXNRF-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=C(NCCCC(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC001119637298 781846099 /nfs/dbraw/zinc/84/60/99/781846099.db2.gz PWYMPGCNOCPSBC-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[Si](C)(C)C ZINC001119653595 781846967 /nfs/dbraw/zinc/84/69/67/781846967.db2.gz IFUDONVZJGBCRD-UHFFFAOYSA-N 0 0 295.415 2.586 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000822369419 781898242 /nfs/dbraw/zinc/89/82/42/781898242.db2.gz CFNOKHVAGNCLST-POYBYMJQSA-N 0 0 286.690 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CC2)[C@@H]2CCCCO2)cn1 ZINC000594590323 349453100 /nfs/dbraw/zinc/45/31/00/349453100.db2.gz KSHAFIVTBOSIIK-JSGCOSHPSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC2(CC2(F)F)C1 ZINC000594590430 349453124 /nfs/dbraw/zinc/45/31/24/349453124.db2.gz ZVNIOSXVDJZOSM-UHFFFAOYSA-N 0 0 291.257 2.988 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@]1(C)CCCC[C@@H]1O ZINC000594553319 349445577 /nfs/dbraw/zinc/44/55/77/349445577.db2.gz FACRGWWYHYDRQE-DZGCQCFKSA-N 0 0 294.351 2.957 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCC(=O)OC(C)(C)C ZINC000594552025 349445586 /nfs/dbraw/zinc/44/55/86/349445586.db2.gz XBSSNMRBVYYQBK-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H](OC)C(C)C ZINC000594555183 349446644 /nfs/dbraw/zinc/44/66/44/349446644.db2.gz HSLSBZCKKYSGHY-GFCCVEGCSA-N 0 0 268.313 2.686 20 5 CFBDRN CC1(Nc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])CC=CC1 ZINC000594591356 349453749 /nfs/dbraw/zinc/45/37/49/349453749.db2.gz RHMMXSIGURARJR-UHFFFAOYSA-N 0 0 296.348 2.519 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CCOC(C)(C)C ZINC000594565218 349448579 /nfs/dbraw/zinc/44/85/79/349448579.db2.gz UAUULJGVXDJCGV-UHFFFAOYSA-N 0 0 282.340 2.855 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H](C)C[C@@H]1CCOC1 ZINC000594563082 349448764 /nfs/dbraw/zinc/44/87/64/349448764.db2.gz NGQVSOXBZGXFSK-MNOVXSKESA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1cc(C)nc(C)n1 ZINC000594579313 349450925 /nfs/dbraw/zinc/45/09/25/349450925.db2.gz QHSCIXBLCOPDDU-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](C)[C@H](F)C1 ZINC000594577608 349451118 /nfs/dbraw/zinc/45/11/18/349451118.db2.gz UCMWDJXQAZXJAO-VHSXEESVSA-N 0 0 268.288 2.788 20 5 CFBDRN CCO[C@H]1CCN(c2c(OC)cccc2[N+](=O)[O-])C[C@H]1C ZINC000594583550 349451397 /nfs/dbraw/zinc/45/13/97/349451397.db2.gz VIKGGKCKMPHLHH-YPMHNXCESA-N 0 0 294.351 2.855 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC3(CC3(F)F)C1)CCCC2 ZINC000594590425 349452974 /nfs/dbraw/zinc/45/29/74/349452974.db2.gz ZPLBBIXZRKBFOQ-UHFFFAOYSA-N 0 0 295.289 2.714 20 5 CFBDRN COCC1(CNc2ccc3ncccc3c2[N+](=O)[O-])CC1 ZINC000594591346 349453835 /nfs/dbraw/zinc/45/38/35/349453835.db2.gz CJFOLVMHDQYXLE-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN COCC1(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 ZINC000594591277 349454037 /nfs/dbraw/zinc/45/40/37/349454037.db2.gz BDUPDAPDGMPZEJ-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN CC[C@@H]1CN(c2c(OC)cccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000594592939 349454573 /nfs/dbraw/zinc/45/45/73/349454573.db2.gz CZOKBPZINIAEHM-TXEJJXNPSA-N 0 0 294.351 2.997 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H](OC2CCC2)C1 ZINC000594595122 349455330 /nfs/dbraw/zinc/45/53/30/349455330.db2.gz SNEUMFFGRXMTRB-LBPRGKRZSA-N 0 0 277.324 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC3(CCCC3)O2)cn1 ZINC000594597818 349455949 /nfs/dbraw/zinc/45/59/49/349455949.db2.gz BGDVWXDHLLHUOM-LBPRGKRZSA-N 0 0 277.324 2.894 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC3(CCCC3)O2)nc1 ZINC000594602623 349458720 /nfs/dbraw/zinc/45/87/20/349458720.db2.gz YOTLOXOLRIWVOE-LBPRGKRZSA-N 0 0 277.324 2.894 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])s1)[C@H]1CCCCO1 ZINC000594604024 349459611 /nfs/dbraw/zinc/45/96/11/349459611.db2.gz WPQBFBAICDPJEF-DTWKUNHWSA-N 0 0 271.342 2.811 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])s1)[C@@H]1CCCCO1 ZINC000594604025 349460057 /nfs/dbraw/zinc/46/00/57/349460057.db2.gz WPQBFBAICDPJEF-IUCAKERBSA-N 0 0 271.342 2.811 20 5 CFBDRN CC(C)O[C@@]1(COc2ccc([N+](=O)[O-])cc2)CCOC1 ZINC000594878483 349491475 /nfs/dbraw/zinc/49/14/75/349491475.db2.gz BDEUJTWLTPSMPL-AWEZNQCLSA-N 0 0 281.308 2.558 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cc(C)nc(C)n2)c1 ZINC000594880994 349492284 /nfs/dbraw/zinc/49/22/84/349492284.db2.gz TXZMSKTYENTOKS-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN CCC(CC)Cn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000594883212 349493197 /nfs/dbraw/zinc/49/31/97/349493197.db2.gz PGZBWQMWPOMKRY-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN Cn1ncc(C2CC2)c1/C=C\c1ccc([N+](=O)[O-])cn1 ZINC000594696204 349475308 /nfs/dbraw/zinc/47/53/08/349475308.db2.gz LPTDSGJENFVODD-ALCCZGGFSA-N 0 0 270.292 2.771 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2ccnn2CC2CC2)nc1 ZINC000594696594 349475691 /nfs/dbraw/zinc/47/56/91/349475691.db2.gz QTDYCFZOTKOHJR-HWKANZROSA-N 0 0 270.292 2.767 20 5 CFBDRN CO[C@H](COc1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000594891913 349495112 /nfs/dbraw/zinc/49/51/12/349495112.db2.gz SQOYVKQXUJVAPG-CYBMUJFWSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CC[C@H]3C[C@H]3C2)c1=O ZINC000594892808 349495927 /nfs/dbraw/zinc/49/59/27/349495927.db2.gz JFHFLDGRIDCHLS-GRYCIOLGSA-N 0 0 262.309 2.501 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000067704981 346815598 /nfs/dbraw/zinc/81/55/98/346815598.db2.gz KJMBJEIVCDAOLZ-VXGBXAGGSA-N 0 0 291.351 2.702 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1ncccc1F ZINC000127653367 187323450 /nfs/dbraw/zinc/32/34/50/187323450.db2.gz BWBULICJPCPMNA-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000067704979 346815658 /nfs/dbraw/zinc/81/56/58/346815658.db2.gz KJMBJEIVCDAOLZ-RYUDHWBXSA-N 0 0 291.351 2.702 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1ncccc1F ZINC000127658398 187324062 /nfs/dbraw/zinc/32/40/62/187324062.db2.gz BVBZGUUMNXYICO-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN CC(C)=CCCn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000594913557 349503733 /nfs/dbraw/zinc/50/37/33/349503733.db2.gz PMNDXDZQFVBKLT-UHFFFAOYSA-N 0 0 276.336 2.992 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(C3CCCC3)n2)nc1 ZINC000273824976 192322925 /nfs/dbraw/zinc/32/29/25/192322925.db2.gz DCHKMMUVRHDGTE-UHFFFAOYSA-N 0 0 274.280 2.621 20 5 CFBDRN Cc1c(CNCc2nccn2C(C)C)cccc1[N+](=O)[O-] ZINC000594941240 349520936 /nfs/dbraw/zinc/52/09/36/349520936.db2.gz YEJFLKMMDIENSR-UHFFFAOYSA-N 0 0 288.351 2.970 20 5 CFBDRN CCOc1cccc(N(C)C[C@@H]2CCC[C@H]2O)c1[N+](=O)[O-] ZINC000273849312 192333820 /nfs/dbraw/zinc/33/38/20/192333820.db2.gz JMBLZJGZAMOPIX-WCQYABFASA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@@H](CCO)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124795155 187153991 /nfs/dbraw/zinc/15/39/91/187153991.db2.gz HYFNMMKISMYDFR-QMMMGPOBSA-N 0 0 258.705 2.679 20 5 CFBDRN CCn1ccc(NCC(C)=Cc2cccc([N+](=O)[O-])c2)n1 ZINC000594969943 349534847 /nfs/dbraw/zinc/53/48/47/349534847.db2.gz UTVNCZHQBADBHY-FMIVXFBMSA-N 0 0 286.335 2.749 20 5 CFBDRN Cc1ccncc1CC[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000594965701 349533434 /nfs/dbraw/zinc/53/34/34/349533434.db2.gz COSDQYDHSVYTQQ-UHFFFAOYSA-N 0 0 271.320 2.631 20 5 CFBDRN CC[C@H](OC)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000067868440 346824519 /nfs/dbraw/zinc/82/45/19/346824519.db2.gz BAASOCCSRYVWQL-AWEZNQCLSA-N 0 0 294.351 2.757 20 5 CFBDRN C[C@@H]1CN(c2ncccc2[N+](=O)[O-])C[C@H](c2ccccc2)O1 ZINC000067905011 346826993 /nfs/dbraw/zinc/82/69/93/346826993.db2.gz PWWSNJGXYIHESA-IUODEOHRSA-N 0 0 299.330 2.956 20 5 CFBDRN CN(C)c1ccc(CNc2ccsc2[N+](=O)[O-])cn1 ZINC000067912382 346827712 /nfs/dbraw/zinc/82/77/12/346827712.db2.gz JAKDLMWQJLKQKE-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN CSCCCCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000125346115 187180582 /nfs/dbraw/zinc/18/05/82/187180582.db2.gz LWAUBPSOTQAQTR-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN CNC(=O)c1ccc(N2CC[C@@H](C)C[C@H]2C)c([N+](=O)[O-])c1 ZINC000425273342 290628301 /nfs/dbraw/zinc/62/83/01/290628301.db2.gz ILVAHLPRFALGDD-GHMZBOCLSA-N 0 0 291.351 2.579 20 5 CFBDRN O=C(CC1CCCC1)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000068184429 346839913 /nfs/dbraw/zinc/83/99/13/346839913.db2.gz PFQGQHNCNYFTQY-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CCCc1n[nH]c(C(=O)NC2CCCCCC2)c1[N+](=O)[O-] ZINC000068229331 346841485 /nfs/dbraw/zinc/84/14/85/346841485.db2.gz DBCIEHRJZHFGLO-UHFFFAOYSA-N 0 0 294.355 2.723 20 5 CFBDRN CCN(Cc1ccc(F)cc1[N+](=O)[O-])C[C@H]1CCCO1 ZINC000595297990 349596002 /nfs/dbraw/zinc/59/60/02/349596002.db2.gz MJNMHFPZKQSLEZ-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334536975 290629675 /nfs/dbraw/zinc/62/96/75/290629675.db2.gz DWTOTLKQGZZWFB-IUCAKERBSA-N 0 0 266.272 2.747 20 5 CFBDRN Cc1noc(CN2CCC[C@@H]2c2cccn2C)c1[N+](=O)[O-] ZINC000595351089 349608769 /nfs/dbraw/zinc/60/87/69/349608769.db2.gz NKKFKRFWLGNORU-GFCCVEGCSA-N 0 0 290.323 2.567 20 5 CFBDRN Cc1noc(CN2CCC[C@H]2c2ccc[nH]2)c1[N+](=O)[O-] ZINC000595359323 349609561 /nfs/dbraw/zinc/60/95/61/349609561.db2.gz MIFDXPHVGDHQDK-NSHDSACASA-N 0 0 276.296 2.556 20 5 CFBDRN CCN(Cc1onc(C)c1[N+](=O)[O-])c1ccccc1OC ZINC000595365113 349610606 /nfs/dbraw/zinc/61/06/06/349610606.db2.gz XCZUEKAEUBPCTH-UHFFFAOYSA-N 0 0 291.307 2.926 20 5 CFBDRN Cc1csc(C(C)(C)NCc2onc(C)c2[N+](=O)[O-])n1 ZINC000595370959 349611411 /nfs/dbraw/zinc/61/14/11/349611411.db2.gz MIMJKJIRRDCFLL-UHFFFAOYSA-N 0 0 296.352 2.681 20 5 CFBDRN Cc1noc(CN2CCC[C@H]2c2cccnc2)c1[N+](=O)[O-] ZINC000595398842 349616459 /nfs/dbraw/zinc/61/64/59/349616459.db2.gz RWUIXPKUXHNDLB-LBPRGKRZSA-N 0 0 288.307 2.623 20 5 CFBDRN Cc1noc(CN2CCc3cccc(F)c3C2)c1[N+](=O)[O-] ZINC000595408379 349618027 /nfs/dbraw/zinc/61/80/27/349618027.db2.gz PJABLXFAQPLKIT-UHFFFAOYSA-N 0 0 291.282 2.589 20 5 CFBDRN COC[C@H](NCc1ccc(F)cc1[N+](=O)[O-])c1ccco1 ZINC000595429016 349623083 /nfs/dbraw/zinc/62/30/83/349623083.db2.gz AXYYIWSOJFJLNO-LBPRGKRZSA-N 0 0 294.282 2.804 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1n[nH]cc1Br ZINC000068704038 346865711 /nfs/dbraw/zinc/86/57/11/346865711.db2.gz GULSCZBSICRMLV-UHFFFAOYSA-N 0 0 297.112 2.693 20 5 CFBDRN COC(=O)C1(CNc2ccc([N+](=O)[O-])cn2)CCCCC1 ZINC000273113878 192047880 /nfs/dbraw/zinc/04/78/80/192047880.db2.gz GPKFQBOARKZNQF-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN O=C(NCC1=CCCC1)NCc1ccccc1[N+](=O)[O-] ZINC000595449333 349629475 /nfs/dbraw/zinc/62/94/75/349629475.db2.gz WLGDCCDHNZGTAX-UHFFFAOYSA-N 0 0 275.308 2.504 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000068780003 346869722 /nfs/dbraw/zinc/86/97/22/346869722.db2.gz AUCBNUKBYVQUGM-LLVKDONJSA-N 0 0 262.309 2.857 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000068779457 346869572 /nfs/dbraw/zinc/86/95/72/346869572.db2.gz YPWZZRHKPZOSHS-LBPRGKRZSA-N 0 0 276.336 2.786 20 5 CFBDRN C[C@H](O)C[C@H]1CCCC[N@@H+]1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595470029 349636926 /nfs/dbraw/zinc/63/69/26/349636926.db2.gz ZHUUKKUJYNFEQO-SMDDNHRTSA-N 0 0 296.342 2.859 20 5 CFBDRN CC[C@@H](C)N(CC(=O)OC)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595477843 349638353 /nfs/dbraw/zinc/63/83/53/349638353.db2.gz YYJGNUNEMWAHKW-SNVBAGLBSA-N 0 0 298.314 2.507 20 5 CFBDRN COc1cc(CN2CC[C@H]2C(F)(F)F)ccc1[N+](=O)[O-] ZINC000595489553 349640695 /nfs/dbraw/zinc/64/06/95/349640695.db2.gz UHVMQTVYJSQJHC-NSHDSACASA-N 0 0 290.241 2.740 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1c1cccnc1 ZINC000068936615 346877115 /nfs/dbraw/zinc/87/71/15/346877115.db2.gz DNOOSHOSCXXWJE-UONOGXRCSA-N 0 0 283.287 2.732 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000595558649 349658976 /nfs/dbraw/zinc/65/89/76/349658976.db2.gz OHSGNFLUMOWZJS-GXFFZTMASA-N 0 0 282.315 2.733 20 5 CFBDRN O=C1COc2cc([N+](=O)[O-])c(NCCC3CCC3)cc2N1 ZINC000125663180 187195610 /nfs/dbraw/zinc/19/56/10/187195610.db2.gz WJVBSPNDSFJENS-UHFFFAOYSA-N 0 0 291.307 2.528 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412318807 529461183 /nfs/dbraw/zinc/46/11/83/529461183.db2.gz ZYHPMQJHQMGTEJ-GJQVQUKXSA-N 0 0 274.320 2.613 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2csc(C3CCCC3)n2)cn1 ZINC000069261325 346887300 /nfs/dbraw/zinc/88/73/00/346887300.db2.gz BYWXGPVGMCCCSV-UHFFFAOYSA-N 0 0 278.337 2.954 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H](C)C2CCCCC2)c1[N+](=O)[O-] ZINC000175344923 529468611 /nfs/dbraw/zinc/46/86/11/529468611.db2.gz GBJMWSVNOQMKPD-SECBINFHSA-N 0 0 294.355 2.579 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000269798528 190553442 /nfs/dbraw/zinc/55/34/42/190553442.db2.gz IUMXCRQXBXACTH-SNVBAGLBSA-N 0 0 282.727 2.911 20 5 CFBDRN Cc1noc(CN2CCc3ccc(C)cc3C2)c1[N+](=O)[O-] ZINC000595656497 349692505 /nfs/dbraw/zinc/69/25/05/349692505.db2.gz AMTOTNLKPHSHAZ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(F)cc2F)nc1 ZINC000069798258 346900582 /nfs/dbraw/zinc/90/05/82/346900582.db2.gz SCZQUQOGLXIBRL-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1Cc1cccc([N+](=O)[O-])c1 ZINC000595674261 349697085 /nfs/dbraw/zinc/69/70/85/349697085.db2.gz JWQFENYMLCWHOG-LBPRGKRZSA-N 0 0 276.336 2.738 20 5 CFBDRN C[C@]12COC[C@H]1CN(Cc1ccc(Cl)cc1[N+](=O)[O-])C2 ZINC000595755811 349724153 /nfs/dbraw/zinc/72/41/53/349724153.db2.gz CYNODQCANAIEQZ-RISCZKNCSA-N 0 0 296.754 2.717 20 5 CFBDRN CCc1ccc(C(=O)N(C)c2ccccn2)cc1[N+](=O)[O-] ZINC000173319459 529593864 /nfs/dbraw/zinc/59/38/64/529593864.db2.gz SLYLPEZZBHWTCT-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN CC(C)c1cc(C(=O)NCc2cccc([N+](=O)[O-])c2)on1 ZINC000070808515 346914457 /nfs/dbraw/zinc/91/44/57/346914457.db2.gz RJOZGRMXLQZBNA-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN Cc1cc(NCC(C)(C)[C@@H](O)C(C)C)ncc1[N+](=O)[O-] ZINC000269930041 190570265 /nfs/dbraw/zinc/57/02/65/190570265.db2.gz MZKVLUYAFCTPRA-ZDUSSCGKSA-N 0 0 281.356 2.753 20 5 CFBDRN CC1(C)Cc2n[nH]cc2CN(c2ccccc2[N+](=O)[O-])C1 ZINC000596000943 349774765 /nfs/dbraw/zinc/77/47/65/349774765.db2.gz KSWFQXMGYAMUKH-UHFFFAOYSA-N 0 0 286.335 2.907 20 5 CFBDRN Cc1noc(COc2cccc3c2CCC3=O)c1[N+](=O)[O-] ZINC000596016622 349776535 /nfs/dbraw/zinc/77/65/35/349776535.db2.gz RZWVSINWFZWADW-UHFFFAOYSA-N 0 0 288.259 2.599 20 5 CFBDRN Cc1noc(CSc2nnc(C)n2C(C)C)c1[N+](=O)[O-] ZINC000596024375 349777139 /nfs/dbraw/zinc/77/71/39/349777139.db2.gz QUZDOMVYGMGBCO-UHFFFAOYSA-N 0 0 297.340 2.664 20 5 CFBDRN CCOc1ccccc1NCc1onc(C)c1[N+](=O)[O-] ZINC000596027460 349778031 /nfs/dbraw/zinc/77/80/31/349778031.db2.gz DJJKBGRIRVPEHA-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NCCC2=CCCC2)c1[N+](=O)[O-] ZINC000270006071 190583961 /nfs/dbraw/zinc/58/39/61/190583961.db2.gz YHBZQRZLOZPAEJ-UHFFFAOYSA-N 0 0 292.339 2.672 20 5 CFBDRN Cc1cc(N(C)Cc2ccccn2)c([N+](=O)[O-])s1 ZINC000596087330 349791140 /nfs/dbraw/zinc/79/11/40/349791140.db2.gz LYADBVIBMDSERT-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596091436 349791955 /nfs/dbraw/zinc/79/19/55/349791955.db2.gz ZPOBFYNHKCMERW-UWVGGRQHSA-N 0 0 265.313 2.934 20 5 CFBDRN C[C@H]1CCN1c1c(Br)cccc1[N+](=O)[O-] ZINC000596089789 349791983 /nfs/dbraw/zinc/79/19/83/349791983.db2.gz WNVXGCSAEQVECV-ZETCQYMHSA-N 0 0 271.114 2.956 20 5 CFBDRN C[C@H](N(C)c1ccc([N+](=O)[O-])c(C(N)=O)c1)C(C)(C)C ZINC000596073292 349786990 /nfs/dbraw/zinc/78/69/90/349786990.db2.gz LABGTAAAHAKHFG-VIFPVBQESA-N 0 0 279.340 2.565 20 5 CFBDRN C[C@@H]1CCCC[C@H]1N(C)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596073454 349787292 /nfs/dbraw/zinc/78/72/92/349787292.db2.gz PRKTUIYNCRNRTC-ZWNOBZJWSA-N 0 0 291.351 2.709 20 5 CFBDRN CC[C@H](C)CNC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000421919358 529660079 /nfs/dbraw/zinc/66/00/79/529660079.db2.gz QJGPEGGARJCREK-NSHDSACASA-N 0 0 292.335 2.720 20 5 CFBDRN CC(C)c1nnc(CNc2cccc([N+](=O)[O-])c2)o1 ZINC000126274186 187228546 /nfs/dbraw/zinc/22/85/46/187228546.db2.gz DHSLEIJSNNFNPS-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN CC[C@H](C)CNC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000421041240 529665557 /nfs/dbraw/zinc/66/55/57/529665557.db2.gz YBDVYAWOOBJQBU-VIFPVBQESA-N 0 0 281.312 2.771 20 5 CFBDRN CC[C@H](Nc1ccccc1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413543422 529680075 /nfs/dbraw/zinc/68/00/75/529680075.db2.gz PJZFQRAAYKQUGT-GWCFXTLKSA-N 0 0 252.314 2.802 20 5 CFBDRN CC1(CO)CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000273893239 192351788 /nfs/dbraw/zinc/35/17/88/192351788.db2.gz VYYWRULKHKWRLA-UHFFFAOYSA-N 0 0 298.770 2.843 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CCc2nc(C)ncc2C1 ZINC000596108996 349796137 /nfs/dbraw/zinc/79/61/37/349796137.db2.gz REDPNULRSNIEDC-ZDUSSCGKSA-N 0 0 298.346 2.971 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCc2nc(C)ncc2C1 ZINC000596110855 349796934 /nfs/dbraw/zinc/79/69/34/349796934.db2.gz VEKHBNORRYAGQL-CYBMUJFWSA-N 0 0 298.346 2.971 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CCO)C2)c([N+](=O)[O-])s1 ZINC000596113674 349797413 /nfs/dbraw/zinc/79/74/13/349797413.db2.gz WEUBONRUJOLZHS-JTQLQIEISA-N 0 0 270.354 2.564 20 5 CFBDRN CCC(CC)(CO)CNc1ccc([N+](=O)[O-])cc1F ZINC000126413983 187238698 /nfs/dbraw/zinc/23/86/98/187238698.db2.gz FNMPUIYNVADSNU-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN COc1cc(NCc2cc(C)n[nH]2)c(Cl)cc1[N+](=O)[O-] ZINC000596126111 349800472 /nfs/dbraw/zinc/80/04/72/349800472.db2.gz HCLJKBZOEHGZEV-UHFFFAOYSA-N 0 0 296.714 2.900 20 5 CFBDRN O=c1[nH]ccc(N2CCCc3sccc3C2)c1[N+](=O)[O-] ZINC000596124144 349800626 /nfs/dbraw/zinc/80/06/26/349800626.db2.gz UTLUNJIQUOFHCD-UHFFFAOYSA-N 0 0 291.332 2.710 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)[C@H](C)C1 ZINC000596127458 349801244 /nfs/dbraw/zinc/80/12/44/349801244.db2.gz ZVTMCMJRXPCCFP-BREBYQMCSA-N 0 0 291.351 2.930 20 5 CFBDRN C[C@H](O)CCNc1c(Br)cccc1[N+](=O)[O-] ZINC000596129476 349802205 /nfs/dbraw/zinc/80/22/05/349802205.db2.gz QJVXDCRSJCGBBH-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN CCc1ccc(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])cc1 ZINC000596130124 349802237 /nfs/dbraw/zinc/80/22/37/349802237.db2.gz MMGQZWONEZBATA-UHFFFAOYSA-N 0 0 287.319 2.912 20 5 CFBDRN Cc1cc(NCCn2cnnc2C(C)C)c([N+](=O)[O-])s1 ZINC000596144345 349807206 /nfs/dbraw/zinc/80/72/06/349807206.db2.gz DRQOKBVAIFLIMZ-UHFFFAOYSA-N 0 0 295.368 2.792 20 5 CFBDRN Cc1cc(N2CCC3(C2)CCOCC3)c([N+](=O)[O-])s1 ZINC000596145405 349807960 /nfs/dbraw/zinc/80/79/60/349807960.db2.gz SOLFETAEKXRIQQ-UHFFFAOYSA-N 0 0 282.365 2.972 20 5 CFBDRN Cc1cc(Nc2ccn(CC(F)F)n2)c([N+](=O)[O-])s1 ZINC000596149848 349809617 /nfs/dbraw/zinc/80/96/17/349809617.db2.gz APQJZPOVXGNZDC-UHFFFAOYSA-N 0 0 288.279 2.592 20 5 CFBDRN CC(C)(C)c1cnc(CNc2cc[nH]c(=O)c2[N+](=O)[O-])o1 ZINC000596150905 349809703 /nfs/dbraw/zinc/80/97/03/349809703.db2.gz DRYREMWLRPZNDQ-UHFFFAOYSA-N 0 0 292.295 2.593 20 5 CFBDRN CC[C@H](C)CN(CC)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596150670 349809589 /nfs/dbraw/zinc/80/95/89/349809589.db2.gz YXQQMVPVKJSULB-JTQLQIEISA-N 0 0 279.340 2.566 20 5 CFBDRN Cc1cc(N(C)CCOCC2CC2)c([N+](=O)[O-])s1 ZINC000596156101 349811956 /nfs/dbraw/zinc/81/19/56/349811956.db2.gz FFJZYIXAQKYLIL-UHFFFAOYSA-N 0 0 270.354 2.828 20 5 CFBDRN COC(=O)C(C)(C)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596157277 349812130 /nfs/dbraw/zinc/81/21/30/349812130.db2.gz RWFWMOBGMIBMNH-UHFFFAOYSA-N 0 0 272.326 2.576 20 5 CFBDRN O=c1[nH]ccc(N[C@H]2CCOC3(CCCC3)C2)c1[N+](=O)[O-] ZINC000596166338 349815256 /nfs/dbraw/zinc/81/52/56/349815256.db2.gz UMRXGUSOSXLCFH-JTQLQIEISA-N 0 0 293.323 2.599 20 5 CFBDRN CCc1nc(CNc2c(C)ccc([N+](=O)[O-])c2C)no1 ZINC000086213774 529740933 /nfs/dbraw/zinc/74/09/33/529740933.db2.gz CXAWOUZVLKUBLU-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN Cc1cc(N2CCC[C@H]2C[C@H](C)O)c([N+](=O)[O-])s1 ZINC000596173249 349817051 /nfs/dbraw/zinc/81/70/51/349817051.db2.gz SHKLODARTJUMPO-WPRPVWTQSA-N 0 0 270.354 2.704 20 5 CFBDRN NC(=O)c1cc(NCC23CCC(CC2)C3)ccc1[N+](=O)[O-] ZINC000596175357 349817857 /nfs/dbraw/zinc/81/78/57/349817857.db2.gz NYYURWYIVDALMI-UHFFFAOYSA-N 0 0 289.335 2.686 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCC[C@@H]2O)c([N+](=O)[O-])s1 ZINC000596176277 349817532 /nfs/dbraw/zinc/81/75/32/349817532.db2.gz ZTEYXQZBQLNHJZ-KOLCDFICSA-N 0 0 270.354 2.562 20 5 CFBDRN Cc1cc(NCc2cnn(C)c2C)c([N+](=O)[O-])s1 ZINC000596176553 349817605 /nfs/dbraw/zinc/81/76/05/349817605.db2.gz BPGTVMAMALLLNY-UHFFFAOYSA-N 0 0 266.326 2.619 20 5 CFBDRN CCc1nc(COc2ccc([N+](=O)[O-])c(CO)c2)cs1 ZINC000428078552 529741354 /nfs/dbraw/zinc/74/13/54/529741354.db2.gz IIOROYBNXZZHSA-UHFFFAOYSA-N 0 0 294.332 2.685 20 5 CFBDRN CC(C)(C)OC(=O)NCCSc1ncccc1[N+](=O)[O-] ZINC000126870415 187266827 /nfs/dbraw/zinc/26/68/27/187266827.db2.gz BIBWHXWJPAQAFM-UHFFFAOYSA-N 0 0 299.352 2.607 20 5 CFBDRN C[C@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1(C)C ZINC000596192728 349822960 /nfs/dbraw/zinc/82/29/60/349822960.db2.gz VHNIMXGIDOKRLF-VIFPVBQESA-N 0 0 265.313 2.568 20 5 CFBDRN Cc1ccc([C@@H]2CCN(c3ccc(N)c([N+](=O)[O-])n3)C2)cc1 ZINC000596195436 349823855 /nfs/dbraw/zinc/82/38/55/349823855.db2.gz RMYAWEAFJDEMQP-CYBMUJFWSA-N 0 0 298.346 2.874 20 5 CFBDRN C[C@H]1C[C@@H](c2cccnc2)N(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596199299 349824909 /nfs/dbraw/zinc/82/49/09/349824909.db2.gz RVRYCTAMYJKNKI-GWCFXTLKSA-N 0 0 299.334 2.555 20 5 CFBDRN CC(C)C1(C)CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596201157 349825806 /nfs/dbraw/zinc/82/58/06/349825806.db2.gz SKHLWKCFOBWVHA-UHFFFAOYSA-N 0 0 279.340 2.958 20 5 CFBDRN C[C@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC12CCC2 ZINC000596182545 349819225 /nfs/dbraw/zinc/81/92/25/349819225.db2.gz OVFJGMDBFFBJNY-JTQLQIEISA-N 0 0 277.324 2.712 20 5 CFBDRN C[C@@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC12CCC2 ZINC000596182547 349819257 /nfs/dbraw/zinc/81/92/57/349819257.db2.gz OVFJGMDBFFBJNY-SNVBAGLBSA-N 0 0 277.324 2.712 20 5 CFBDRN CC(C)C[C@H]1C[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596182333 349819392 /nfs/dbraw/zinc/81/93/92/349819392.db2.gz AYEWZTQTMJAKPV-MNOVXSKESA-N 0 0 295.339 2.701 20 5 CFBDRN O=c1[nH]ccc(N[C@H]2CC23CCCCC3)c1[N+](=O)[O-] ZINC000596182110 349819415 /nfs/dbraw/zinc/81/94/15/349819415.db2.gz NLKRCRUOCOVGOI-JTQLQIEISA-N 0 0 263.297 2.830 20 5 CFBDRN CCOCCN(C)c1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596183528 349819962 /nfs/dbraw/zinc/81/99/62/349819962.db2.gz FZUDNIYGQXBIAP-UHFFFAOYSA-N 0 0 288.731 2.730 20 5 CFBDRN C[C@H]1CCN(c2ccc(N)c([N+](=O)[O-])n2)CC12CCC2 ZINC000596183176 349820187 /nfs/dbraw/zinc/82/01/87/349820187.db2.gz XPPIVVRGESRWPJ-JTQLQIEISA-N 0 0 276.340 2.589 20 5 CFBDRN Cc1cc(NCc2nnc(C)n2C2CC2)c([N+](=O)[O-])s1 ZINC000596183225 349820209 /nfs/dbraw/zinc/82/02/09/349820209.db2.gz KWPOZSBHHBKYQA-UHFFFAOYSA-N 0 0 293.352 2.812 20 5 CFBDRN Cc1cc(N2CCC[C@](C)(O)CC2)c([N+](=O)[O-])s1 ZINC000596223238 349832420 /nfs/dbraw/zinc/83/24/20/349832420.db2.gz PIXBVHKRZRAYKN-LBPRGKRZSA-N 0 0 270.354 2.706 20 5 CFBDRN C[C@H]1CC(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000596226618 349833085 /nfs/dbraw/zinc/83/30/85/349833085.db2.gz LDLMVSBTUHUZNT-UWVGGRQHSA-N 0 0 295.339 2.701 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC[C@@H]2C[C@@H]21 ZINC000596230381 349833751 /nfs/dbraw/zinc/83/37/51/349833751.db2.gz JYEDYGOKMLFSEO-APPZFPTMSA-N 0 0 253.689 2.632 20 5 CFBDRN COc1cc(NC[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])cc1C ZINC000596229883 349834189 /nfs/dbraw/zinc/83/41/89/349834189.db2.gz QMJQBSDRJFVBRT-NEPJUHHUSA-N 0 0 294.351 2.996 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1NCCCCO ZINC000596213869 349828470 /nfs/dbraw/zinc/82/84/70/349828470.db2.gz IXPJIEZBWFFDTM-UHFFFAOYSA-N 0 0 289.129 2.542 20 5 CFBDRN C[C@@H]1COCCN1c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596212723 349828534 /nfs/dbraw/zinc/82/85/34/349828534.db2.gz JYVAKMZRHQPDEG-MRVPVSSYSA-N 0 0 290.241 2.839 20 5 CFBDRN COC(=O)c1c(Cl)c(N2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000596214496 349828867 /nfs/dbraw/zinc/82/88/67/349828867.db2.gz ILRRYRAQRZGWEU-UHFFFAOYSA-N 0 0 298.726 2.881 20 5 CFBDRN CCn1ncnc1CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000596217944 349830137 /nfs/dbraw/zinc/83/01/37/349830137.db2.gz QGYKUVXBBUGGAK-UHFFFAOYSA-N 0 0 297.265 2.756 20 5 CFBDRN Cc1cc(N2CCC3(CCOC3)CC2)c([N+](=O)[O-])s1 ZINC000596219467 349830342 /nfs/dbraw/zinc/83/03/42/349830342.db2.gz AVVGDCBJMVTHFQ-UHFFFAOYSA-N 0 0 282.365 2.972 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596238259 349836651 /nfs/dbraw/zinc/83/66/51/349836651.db2.gz FOHMMVIEUCFCPS-CYBMUJFWSA-N 0 0 299.758 2.632 20 5 CFBDRN CC[C@H](O)CNc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596241949 349837780 /nfs/dbraw/zinc/83/77/80/349837780.db2.gz YWBOQNSIKBAPBJ-QMMMGPOBSA-N 0 0 278.230 2.796 20 5 CFBDRN CC(C)(C)C1CC(Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596243066 349838198 /nfs/dbraw/zinc/83/81/98/349838198.db2.gz FYBHPJONADGQSU-UHFFFAOYSA-N 0 0 291.351 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]2C(F)F)c2ccncc21 ZINC000596245874 349838871 /nfs/dbraw/zinc/83/88/71/349838871.db2.gz BMUBFKZXWZDESR-LBPRGKRZSA-N 0 0 279.246 2.987 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@@H]1C(F)F ZINC000596245798 349838975 /nfs/dbraw/zinc/83/89/75/349838975.db2.gz AGZBWABVAWBDSO-SNVBAGLBSA-N 0 0 279.246 2.987 20 5 CFBDRN C[C@@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])[C@H]1C ZINC000596247622 349839719 /nfs/dbraw/zinc/83/97/19/349839719.db2.gz KISROZLMJPURGZ-ZJUUUORDSA-N 0 0 257.293 2.988 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000596249009 349840277 /nfs/dbraw/zinc/84/02/77/349840277.db2.gz OVPHEAPPRZHLOM-VHSXEESVSA-N 0 0 261.325 2.713 20 5 CFBDRN C[C@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])[C@H]1C ZINC000596249485 349840640 /nfs/dbraw/zinc/84/06/40/349840640.db2.gz QIHAAUKKBGKLBY-UWVGGRQHSA-N 0 0 257.293 2.988 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596251377 349840988 /nfs/dbraw/zinc/84/09/88/349840988.db2.gz WMXMWALTUDQENC-UWVGGRQHSA-N 0 0 265.313 2.934 20 5 CFBDRN Cc1cc(N[C@H]2CC[C@@H](CO)C2)c([N+](=O)[O-])s1 ZINC000596251352 349841402 /nfs/dbraw/zinc/84/14/02/349841402.db2.gz VXOUNOVLFBXCEL-BDAKNGLRSA-N 0 0 256.327 2.538 20 5 CFBDRN CO[C@H]1CCN(c2cc(C)sc2[N+](=O)[O-])C[C@@H]1C ZINC000596255004 349841779 /nfs/dbraw/zinc/84/17/79/349841779.db2.gz OJJUFCYTAGYZDL-KWQFWETISA-N 0 0 270.354 2.826 20 5 CFBDRN Cc1cnc([C@@H](C)CNc2ncc([N+](=O)[O-])c(C)n2)s1 ZINC000295075401 199331910 /nfs/dbraw/zinc/33/19/10/199331910.db2.gz QPSRMDPTQMQLKK-ZETCQYMHSA-N 0 0 293.352 2.674 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596269948 349848710 /nfs/dbraw/zinc/84/87/10/349848710.db2.gz IGVRRGIVDQKJGM-CABZTGNLSA-N 0 0 251.286 2.542 20 5 CFBDRN CO[C@@H](Cn1c(C)ccc([N+](=O)[O-])c1=O)C1CCCCC1 ZINC000596270951 349848971 /nfs/dbraw/zinc/84/89/71/349848971.db2.gz VOHVYDTYZHLVEQ-AWEZNQCLSA-N 0 0 294.351 2.660 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596272260 349849286 /nfs/dbraw/zinc/84/92/86/349849286.db2.gz VSZKMCVRHYSVLZ-TZMCWYRMSA-N 0 0 277.324 2.540 20 5 CFBDRN O=c1[nH]ccc(N2CC[C@H](C3CCCC3)C2)c1[N+](=O)[O-] ZINC000596277324 349850733 /nfs/dbraw/zinc/85/07/33/349850733.db2.gz JXVWJLBYYWHTOY-NSHDSACASA-N 0 0 277.324 2.712 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@H](CO)C2)c([N+](=O)[O-])s1 ZINC000596279488 349851448 /nfs/dbraw/zinc/85/14/48/349851448.db2.gz QVTQZVPYPSTYBY-VHSXEESVSA-N 0 0 270.354 2.928 20 5 CFBDRN CCCN1C[C@H](CNc2cc(C)sc2[N+](=O)[O-])CC1=O ZINC000596279800 349851587 /nfs/dbraw/zinc/85/15/87/349851587.db2.gz PYCGKCJMZKZNHA-JTQLQIEISA-N 0 0 297.380 2.635 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@@H](C)O2)c([N+](=O)[O-])s1 ZINC000596263651 349846942 /nfs/dbraw/zinc/84/69/42/349846942.db2.gz DWCVOMFRDJFBIL-APPZFPTMSA-N 0 0 256.327 2.944 20 5 CFBDRN Cc1cc(NCc2ncc3c(n2)CCC3)ccc1[N+](=O)[O-] ZINC000596264296 349847196 /nfs/dbraw/zinc/84/71/96/349847196.db2.gz LKAGMOGXYPTLAU-UHFFFAOYSA-N 0 0 284.319 2.794 20 5 CFBDRN Cc1ccc(OCCO[C@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000596264673 349847221 /nfs/dbraw/zinc/84/72/21/349847221.db2.gz LFNXPJUUZWPLBC-NSHDSACASA-N 0 0 273.235 2.706 20 5 CFBDRN CS[C@@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000562723336 290071354 /nfs/dbraw/zinc/07/13/54/290071354.db2.gz XZNSHDHTRJLOCT-SNVBAGLBSA-N 0 0 293.348 2.673 20 5 CFBDRN COC1CC(CCNc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000596311741 349860669 /nfs/dbraw/zinc/86/06/69/349860669.db2.gz RRXHVWXVUUVRAG-UHFFFAOYSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1cc(N2CCC(C3(O)CC3)CC2)c([N+](=O)[O-])s1 ZINC000596290186 349854152 /nfs/dbraw/zinc/85/41/52/349854152.db2.gz LCPCOUFQLDHRQN-UHFFFAOYSA-N 0 0 282.365 2.706 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H]2CCCC[C@@H]21 ZINC000596294206 349854856 /nfs/dbraw/zinc/85/48/56/349854856.db2.gz GRZBCXXAOIHLQR-QWRGUYRKSA-N 0 0 262.309 2.982 20 5 CFBDRN COC(=O)[C@@H](CNc1cc(C)sc1[N+](=O)[O-])CC1CC1 ZINC000596292408 349854931 /nfs/dbraw/zinc/85/49/31/349854931.db2.gz IKTAVWFZQVMFNO-SNVBAGLBSA-N 0 0 298.364 2.966 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N[C@@H](CO)CCF ZINC000596296469 349855805 /nfs/dbraw/zinc/85/58/05/349855805.db2.gz XELPQWNZZCHIKE-MRVPVSSYSA-N 0 0 278.230 2.665 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000073331896 346971141 /nfs/dbraw/zinc/97/11/41/346971141.db2.gz LRUTVIVXARKVCQ-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000073331889 346971174 /nfs/dbraw/zinc/97/11/74/346971174.db2.gz LRUTVIVXARKVCQ-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN CC[C@H](COCC1CC1)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000596327599 349864572 /nfs/dbraw/zinc/86/45/72/349864572.db2.gz UOQGVMWCFZYRCR-SNVBAGLBSA-N 0 0 297.330 2.933 20 5 CFBDRN Cc1nc(CSCc2ccc(F)cc2[N+](=O)[O-])no1 ZINC000596332056 349866789 /nfs/dbraw/zinc/86/67/89/349866789.db2.gz IDWHPRPTKJQZOW-UHFFFAOYSA-N 0 0 283.284 2.859 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCO[C@@](C)(C2CC2)C1 ZINC000596336221 349868440 /nfs/dbraw/zinc/86/84/40/349868440.db2.gz VRGBECIXPWJZGS-OAHLLOKOSA-N 0 0 292.335 2.609 20 5 CFBDRN CN(Cc1ccc(F)cc1F)c1ccc([N+](=O)[O-])nc1 ZINC000073878010 346981896 /nfs/dbraw/zinc/98/18/96/346981896.db2.gz PXFXAAGRUASOQX-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN CC1(C)C[C@@H]1CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219357545 539366545 /nfs/dbraw/zinc/36/65/45/539366545.db2.gz RYEJSKZVOJWLQF-MRVPVSSYSA-N 0 0 260.297 2.929 20 5 CFBDRN CC1(CSCCOc2ccccc2[N+](=O)[O-])COC1 ZINC000596333831 349867394 /nfs/dbraw/zinc/86/73/94/349867394.db2.gz LITICWWHEXOOAB-UHFFFAOYSA-N 0 0 283.349 2.743 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000596334207 349867503 /nfs/dbraw/zinc/86/75/03/349867503.db2.gz HSTUQIGQVFTPHA-ZDUSSCGKSA-N 0 0 297.742 2.649 20 5 CFBDRN CO[C@@H]1CCC[C@@H]([C@@H](CO)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000596366311 349879192 /nfs/dbraw/zinc/87/91/92/349879192.db2.gz JTPCHOPOIZYOGT-KCPJHIHWSA-N 0 0 294.351 2.573 20 5 CFBDRN CN(Cc1ccc(F)c(F)c1)c1ccc([N+](=O)[O-])nc1 ZINC000074055683 346994942 /nfs/dbraw/zinc/99/49/42/346994942.db2.gz LXQACAGHWZSVCS-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN CC(C)CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273923521 192365703 /nfs/dbraw/zinc/36/57/03/192365703.db2.gz QVEKKWPFAHFMIK-UHFFFAOYSA-N 0 0 282.315 2.784 20 5 CFBDRN CCC(O)(CC)C(C)(C)CNc1cccnc1[N+](=O)[O-] ZINC000596364461 349878586 /nfs/dbraw/zinc/87/85/86/349878586.db2.gz OQEXVYQFDIUDTA-UHFFFAOYSA-N 0 0 281.356 2.979 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(NCC(F)F)cc1Cl ZINC000128119336 187353206 /nfs/dbraw/zinc/35/32/06/187353206.db2.gz WSCJYCNVWMXLMO-UHFFFAOYSA-N 0 0 294.641 2.712 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@@]12C[C@@H]1CCC2 ZINC000421535644 529933833 /nfs/dbraw/zinc/93/38/33/529933833.db2.gz CZEIFCVQOKPVPG-BBRMVZONSA-N 0 0 288.347 2.786 20 5 CFBDRN Cc1c(CSCC[C@H](C)O)cccc1[N+](=O)[O-] ZINC000596406809 349891699 /nfs/dbraw/zinc/89/16/99/349891699.db2.gz HREALXUMRNWJFY-VIFPVBQESA-N 0 0 255.339 2.907 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000290594851 197760352 /nfs/dbraw/zinc/76/03/52/197760352.db2.gz DGUSSFWRCWHONB-NXEZZACHSA-N 0 0 275.308 2.978 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000074658748 347025330 /nfs/dbraw/zinc/02/53/30/347025330.db2.gz CUSIEOUBYUQPBN-GFCCVEGCSA-N 0 0 292.335 2.765 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCc1scnc1C ZINC000295087875 199338454 /nfs/dbraw/zinc/33/84/54/199338454.db2.gz YROOPNKHDDUOBH-UHFFFAOYSA-N 0 0 295.368 2.539 20 5 CFBDRN CC[C@H](CNc1ccc([N+](=O)[O-])c2cccnc12)OC ZINC000290603744 197763233 /nfs/dbraw/zinc/76/32/33/197763233.db2.gz DYPBSJQVKVYRMM-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](C)C2(CO)CC2)c([N+](=O)[O-])c1 ZINC000596438393 349902361 /nfs/dbraw/zinc/90/23/61/349902361.db2.gz SZFZSMXATCINRB-SNVBAGLBSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1cc(N2CCC[C@@H](C)[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000596440038 349902987 /nfs/dbraw/zinc/90/29/87/349902987.db2.gz CGCKJOCIFDEMAD-RISCZKNCSA-N 0 0 278.352 2.891 20 5 CFBDRN CCNc1nnc(Sc2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000074738565 347029866 /nfs/dbraw/zinc/02/98/66/347029866.db2.gz IQJRZVVTPXQTFP-UHFFFAOYSA-N 0 0 297.365 2.733 20 5 CFBDRN CCN(Cc1ccoc1)c1cc(C)c([N+](=O)[O-])cn1 ZINC000074746334 347030466 /nfs/dbraw/zinc/03/04/66/347030466.db2.gz ZSZFNJPIXFFZBI-UHFFFAOYSA-N 0 0 261.281 2.918 20 5 CFBDRN Cc1cc(Nc2cnn(-c3ccccn3)c2)ncc1[N+](=O)[O-] ZINC000074765267 347031189 /nfs/dbraw/zinc/03/11/89/347031189.db2.gz KRYDJPCMPFNNOR-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN Cc1cc(N[C@@H](CCO)c2cccs2)ncc1[N+](=O)[O-] ZINC000074765564 347031331 /nfs/dbraw/zinc/03/13/31/347031331.db2.gz IFENTZYDNCRKQC-JTQLQIEISA-N 0 0 293.348 2.895 20 5 CFBDRN CC1(C)CCN(C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000074763808 347031355 /nfs/dbraw/zinc/03/13/55/347031355.db2.gz HJWHIBHBZKHWPT-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CC[C@H](NC(=O)c1ccccc1[N+](=O)[O-])C1CCOCC1 ZINC000074808136 347036445 /nfs/dbraw/zinc/03/64/45/347036445.db2.gz GPBOFGGWEYWQTQ-ZDUSSCGKSA-N 0 0 292.335 2.530 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)C[C@@H](C)C1=O ZINC000596446102 349905521 /nfs/dbraw/zinc/90/55/21/349905521.db2.gz FRTILZQBTMZEKL-GHMZBOCLSA-N 0 0 299.330 2.804 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](C)C(=O)[C@H](C)C2)c1 ZINC000596446070 349905550 /nfs/dbraw/zinc/90/55/50/349905550.db2.gz DMWFGUYWORPSAO-GHMZBOCLSA-N 0 0 262.309 2.565 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000336066009 297235796 /nfs/dbraw/zinc/23/57/96/297235796.db2.gz UZHXLKAEWUJQFA-HTQZYQBOSA-N 0 0 268.700 2.871 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000074769517 347032108 /nfs/dbraw/zinc/03/21/08/347032108.db2.gz JAHXXXIOOHNXJX-FZMZJTMJSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1cc(Nc2cnn(CC3CC3)c2)ncc1[N+](=O)[O-] ZINC000074768814 347032147 /nfs/dbraw/zinc/03/21/47/347032147.db2.gz CMNOUIVOVUFAEB-UHFFFAOYSA-N 0 0 273.296 2.648 20 5 CFBDRN CCO[C@@H](CCNc1ncccc1[N+](=O)[O-])C(C)C ZINC000074771947 347032280 /nfs/dbraw/zinc/03/22/80/347032280.db2.gz DRCZBPXUWRBPKN-LBPRGKRZSA-N 0 0 267.329 2.853 20 5 CFBDRN Cc1nn(C)c(NCc2cc(C)cc(C)c2)c1[N+](=O)[O-] ZINC000596454506 349906967 /nfs/dbraw/zinc/90/69/67/349906967.db2.gz RCZZIVQRFDMGGA-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN CN(C[C@@H](O)Cc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000431494611 383677550 /nfs/dbraw/zinc/67/75/50/383677550.db2.gz MYLQSKXYHWEOPD-AWEZNQCLSA-N 0 0 286.331 2.635 20 5 CFBDRN CCOc1cc(N(C)CC2=CCCOC2)ccc1[N+](=O)[O-] ZINC000596465620 349909456 /nfs/dbraw/zinc/90/94/56/349909456.db2.gz LNAHXGZRIVHMCF-UHFFFAOYSA-N 0 0 292.335 2.776 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCC[C@@H](C)O1 ZINC000596472526 349910548 /nfs/dbraw/zinc/91/05/48/349910548.db2.gz COCBWEOPVATXHE-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN CCc1nn(C)c(NCCCC2CCC2)c1[N+](=O)[O-] ZINC000596474707 349911655 /nfs/dbraw/zinc/91/16/55/349911655.db2.gz BCFWIAPDGUFMRI-UHFFFAOYSA-N 0 0 266.345 2.883 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000075050754 347051523 /nfs/dbraw/zinc/05/15/23/347051523.db2.gz GOEFJLLTSHFQFK-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000075064680 347052912 /nfs/dbraw/zinc/05/29/12/347052912.db2.gz TUGHZNGSKPLHKI-GXFFZTMASA-N 0 0 265.313 2.605 20 5 CFBDRN COc1cc(NCC2(CCO)CCCC2)ccc1[N+](=O)[O-] ZINC000075064092 347052938 /nfs/dbraw/zinc/05/29/38/347052938.db2.gz WDZITRAJEILBIP-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)O1 ZINC000596475918 349912489 /nfs/dbraw/zinc/91/24/89/349912489.db2.gz VWCDEJUMIAEYSR-QWRGUYRKSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000075108377 347055945 /nfs/dbraw/zinc/05/59/45/347055945.db2.gz IZDKVSLYHURHEW-GFCCVEGCSA-N 0 0 291.351 2.721 20 5 CFBDRN C[C@]1(CCNc2ncccc2[N+](=O)[O-])CC1(F)F ZINC000596477453 349913307 /nfs/dbraw/zinc/91/33/07/349913307.db2.gz ZXMBODGPPXXUJE-JTQLQIEISA-N 0 0 257.240 2.837 20 5 CFBDRN C[C@]1(CCNc2ncc([N+](=O)[O-])cc2F)CC1(F)F ZINC000596476315 349913334 /nfs/dbraw/zinc/91/33/34/349913334.db2.gz CHFTWOMCMILTGU-JTQLQIEISA-N 0 0 275.230 2.976 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000425869633 530004197 /nfs/dbraw/zinc/00/41/97/530004197.db2.gz KOCRFEQKMINLOU-CLLJXQQHSA-N 0 0 289.335 2.535 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1c(Cl)cccc1[N+](=O)[O-])C2 ZINC000596481594 349915200 /nfs/dbraw/zinc/91/52/00/349915200.db2.gz CYSANGYSARKBSN-NOZJJQNGSA-N 0 0 282.727 2.721 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000272722441 282354714 /nfs/dbraw/zinc/35/47/14/282354714.db2.gz ZRNQKJFOPLEAAA-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN CC(C)CCN(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000075442171 347077883 /nfs/dbraw/zinc/07/78/83/347077883.db2.gz VAICQZYWHOINOW-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN CC1(C)OCC[C@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000596490022 349918138 /nfs/dbraw/zinc/91/81/38/349918138.db2.gz ZMGVRWWJCGUXAP-JTQLQIEISA-N 0 0 293.323 2.531 20 5 CFBDRN COC(=O)C[C@H](CNc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000596489809 349918284 /nfs/dbraw/zinc/91/82/84/349918284.db2.gz FTLYXNBKJUORNN-LLVKDONJSA-N 0 0 278.308 2.596 20 5 CFBDRN CC(C)CCN(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000075505077 347080636 /nfs/dbraw/zinc/08/06/36/347080636.db2.gz HYZQJUUQANYWOQ-UHFFFAOYSA-N 0 0 250.298 2.713 20 5 CFBDRN COc1cc(NC[C@@H](CO)CC2CC2)c(F)cc1[N+](=O)[O-] ZINC000596492151 349918522 /nfs/dbraw/zinc/91/85/22/349918522.db2.gz NJJIPFYDMRFYMD-JTQLQIEISA-N 0 0 298.314 2.563 20 5 CFBDRN COc1cc([N+](=O)[O-])c(C(=O)NCC(C)C)cc1SC ZINC000075463932 347078354 /nfs/dbraw/zinc/07/83/54/347078354.db2.gz AHCLLFMAWOQBBR-UHFFFAOYSA-N 0 0 298.364 2.711 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCc3ccc(O)cc32)s1 ZINC000596488739 349917900 /nfs/dbraw/zinc/91/79/00/349917900.db2.gz NKEJABHRSFIXLY-SNVBAGLBSA-N 0 0 277.305 2.856 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](CO)CC2CC2)c1 ZINC000596493621 349919801 /nfs/dbraw/zinc/91/98/01/349919801.db2.gz YGGVDXCMCLQZTQ-GFCCVEGCSA-N 0 0 264.325 2.724 20 5 CFBDRN C[C@H](CC(C)(C)O)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000295089879 199339994 /nfs/dbraw/zinc/33/99/94/199339994.db2.gz YZJCZTMJCJLQFU-SSDOTTSWSA-N 0 0 273.720 2.605 20 5 CFBDRN CO[C@H](C)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295088677 199339487 /nfs/dbraw/zinc/33/94/87/199339487.db2.gz RYKWKMJYWHPENI-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1C[C@@H](O)C12CCC2 ZINC000596500500 349921662 /nfs/dbraw/zinc/92/16/62/349921662.db2.gz BNMLUAOQYJRWOS-ZIAGYGMSSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1ccc(N[C@@H]2C[C@H](O)C23CCC3)c([N+](=O)[O-])c1 ZINC000596500553 349921694 /nfs/dbraw/zinc/92/16/94/349921694.db2.gz CIGMSMXHMCKBGI-OLZOCXBDSA-N 0 0 262.309 2.619 20 5 CFBDRN CC12CC(C1)CN2C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000596501877 349922409 /nfs/dbraw/zinc/92/24/09/349922409.db2.gz RHQRRLPFWAJULK-UHFFFAOYSA-N 0 0 279.271 2.750 20 5 CFBDRN Cc1cc(N2CCC3(CCCC3=O)CC2)ncc1[N+](=O)[O-] ZINC000596506411 349923122 /nfs/dbraw/zinc/92/31/22/349923122.db2.gz QKBWTNSOEDDEPZ-UHFFFAOYSA-N 0 0 289.335 2.638 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@H]1C[C@@H](O)C12CCC2 ZINC000596504561 349923366 /nfs/dbraw/zinc/92/33/66/349923366.db2.gz HRORZVRKVKECKO-WDEREUQCSA-N 0 0 282.727 2.964 20 5 CFBDRN COc1cc(N[C@H]2C[C@@H](O)C23CCC3)c([N+](=O)[O-])cc1C ZINC000596510151 349924650 /nfs/dbraw/zinc/92/46/50/349924650.db2.gz OIQFNMOBSHOULE-UONOGXRCSA-N 0 0 292.335 2.627 20 5 CFBDRN CCOc1cc(N[C@H]2C[C@@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596510214 349924760 /nfs/dbraw/zinc/92/47/60/349924760.db2.gz OWJGHCDJSNPQRM-UONOGXRCSA-N 0 0 292.335 2.709 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3C[C@@H]3C2)c(Br)c1 ZINC000596510434 349924982 /nfs/dbraw/zinc/92/49/82/349924982.db2.gz LLUOWLOCEZHLED-HTQZYQBOSA-N 0 0 298.140 2.599 20 5 CFBDRN CCOc1cccc(N2CC[C@@H]3C[C@@H]3C2)c1[N+](=O)[O-] ZINC000596508788 349923889 /nfs/dbraw/zinc/92/38/89/349923889.db2.gz FUHPBGKHFLTZSV-GHMZBOCLSA-N 0 0 262.309 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@H]3CCCCO3)CC2)nc1 ZINC000596507593 349923982 /nfs/dbraw/zinc/92/39/82/349923982.db2.gz XQZZBSKVAPBYSS-CQSZACIVSA-N 0 0 291.351 2.775 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N(C)C(C)C)c1 ZINC000097077385 185522624 /nfs/dbraw/zinc/52/26/24/185522624.db2.gz RATHILSYYGSOMW-UHFFFAOYSA-N 0 0 268.338 2.797 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000596512094 349925375 /nfs/dbraw/zinc/92/53/75/349925375.db2.gz UFDBYRLKEAVPJL-GHMZBOCLSA-N 0 0 277.324 2.623 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC[C@@H]4C[C@@H]4C3)ccnc21 ZINC000596511457 349925406 /nfs/dbraw/zinc/92/54/06/349925406.db2.gz PLOQTCBCOYPLTO-GHMZBOCLSA-N 0 0 269.304 2.989 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2C(C)(C)C2(F)F)c1[N+](=O)[O-] ZINC000596513490 349926717 /nfs/dbraw/zinc/92/67/17/349926717.db2.gz YZMVHIIUWVLBAY-QMMMGPOBSA-N 0 0 288.298 2.594 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1CCC(O)CC1 ZINC000596513774 349926778 /nfs/dbraw/zinc/92/67/78/349926778.db2.gz FBVKGUXDMMAHOC-UHFFFAOYSA-N 0 0 280.324 2.566 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cn1)C1CCC(F)CC1 ZINC000596513656 349926827 /nfs/dbraw/zinc/92/68/27/349926827.db2.gz BFFHZKCATPQUGF-XNWIYYODSA-N 0 0 268.292 2.714 20 5 CFBDRN CN(c1ccccc1)[C@H]1CCN(c2ccncc2[N+](=O)[O-])C1 ZINC000596518107 349928052 /nfs/dbraw/zinc/92/80/52/349928052.db2.gz RBTYLPHVCLLQFQ-AWEZNQCLSA-N 0 0 298.346 2.705 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ncncc2Cl)c1 ZINC000097847773 232181552 /nfs/dbraw/zinc/18/15/52/232181552.db2.gz KTRWFWIPZVERPN-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN COc1cc(NCc2ccccn2)ccc1[N+](=O)[O-] ZINC000111045958 232192337 /nfs/dbraw/zinc/19/23/37/232192337.db2.gz OIKULEOWCPHUGJ-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN O=c1[nH]cnc2cc(NCC3=CCCC3)c([N+](=O)[O-])cc12 ZINC000596521013 349929085 /nfs/dbraw/zinc/92/90/85/349929085.db2.gz QOIKCOSSTBRALP-UHFFFAOYSA-N 0 0 286.291 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@H]4COC[C@@H]4C3)c2c1 ZINC000596526417 349930437 /nfs/dbraw/zinc/93/04/37/349930437.db2.gz DQKGZHNAJGJQSS-STQMWFEESA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@@H](Nc1sccc1[N+](=O)[O-])c1nccn1C ZINC000596541374 349935604 /nfs/dbraw/zinc/93/56/04/349935604.db2.gz FPQAGSSCROTBBV-SSDOTTSWSA-N 0 0 252.299 2.563 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCOC2(C)C)n1 ZINC000596541760 349935818 /nfs/dbraw/zinc/93/58/18/349935818.db2.gz DRPIYYGHPCAMGG-NSHDSACASA-N 0 0 279.340 2.834 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1nccn1C ZINC000596541761 349935898 /nfs/dbraw/zinc/93/58/98/349935898.db2.gz FRNUTWHXBWZQAX-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@@H]3Cc4cc(F)ccc4[C@@H]32)nc1 ZINC000596540216 349935901 /nfs/dbraw/zinc/93/59/01/349935901.db2.gz NPUSLKYWUSCZPD-VHDGCEQUSA-N 0 0 285.278 2.879 20 5 CFBDRN CC(C)(C)C(F)(F)CNc1ccncc1[N+](=O)[O-] ZINC000596542223 349936372 /nfs/dbraw/zinc/93/63/72/349936372.db2.gz LRAQKSICBVCUNN-UHFFFAOYSA-N 0 0 259.256 2.505 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2nccs2)c1 ZINC000162732268 232255348 /nfs/dbraw/zinc/25/53/48/232255348.db2.gz NCFUMJIHZRXRSW-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1ncccc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000170989962 232265222 /nfs/dbraw/zinc/26/52/22/232265222.db2.gz VFFJHIXILZYXNG-JTQLQIEISA-N 0 0 285.303 2.789 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C1CCCC1 ZINC000172098660 232268729 /nfs/dbraw/zinc/26/87/29/232268729.db2.gz FIAOARYUFKNOLE-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1nnc(CN(C)c2c(C)cccc2[N+](=O)[O-])s1 ZINC000596557384 349940850 /nfs/dbraw/zinc/94/08/50/349940850.db2.gz RONANEOVSXBUEW-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN CC(C)(C)Oc1cc(N[C@H]2C[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000596555395 349940987 /nfs/dbraw/zinc/94/09/87/349940987.db2.gz AOMZIFAOUDDORZ-XYPYZODXSA-N 0 0 280.324 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H](C)C1(C)CC1 ZINC000175567695 232315002 /nfs/dbraw/zinc/31/50/02/232315002.db2.gz YAOJOMBVTSRLCV-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(CCc1ccoc1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000177086654 232348555 /nfs/dbraw/zinc/34/85/55/232348555.db2.gz CNKSQGPMRVASKX-UHFFFAOYSA-N 0 0 286.287 2.710 20 5 CFBDRN CCC(CC)CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000342044983 535025968 /nfs/dbraw/zinc/02/59/68/535025968.db2.gz NOCZIHHGKSGQLE-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN COCc1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000007916022 346220063 /nfs/dbraw/zinc/22/00/63/346220063.db2.gz OPHQQXYUYDMDRT-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@](C)(C(F)F)O1 ZINC000596571807 349944716 /nfs/dbraw/zinc/94/47/16/349944716.db2.gz AQSVMZXWKBXBKO-WRWORJQWSA-N 0 0 292.307 2.905 20 5 CFBDRN Cc1cccc(C(=O)NCCNc2ccc([N+](=O)[O-])cc2)c1 ZINC000008175067 346221984 /nfs/dbraw/zinc/22/19/84/346221984.db2.gz YCLCBOMCPMVWQK-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN O=C(NCc1cccc(Cl)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000008274061 346223057 /nfs/dbraw/zinc/22/30/57/346223057.db2.gz MWLJCMCMEIYARS-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN CN(Cc1cccc(Cl)c1)c1c([N+](=O)[O-])ncn1C ZINC000008319231 346223475 /nfs/dbraw/zinc/22/34/75/346223475.db2.gz LXHAMWKICVJWLH-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c(F)c1 ZINC000008330228 346223516 /nfs/dbraw/zinc/22/35/16/346223516.db2.gz IHZUKKJFPXQAPR-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN COC(=O)[C@@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000010084414 346226521 /nfs/dbraw/zinc/22/65/21/346226521.db2.gz FHFAKRDRTVKRSV-ZCFIWIBFSA-N 0 0 298.345 2.858 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000020538836 346244622 /nfs/dbraw/zinc/24/46/22/346244622.db2.gz GHZZYLLBIFXVPR-SNVBAGLBSA-N 0 0 286.291 2.873 20 5 CFBDRN COC(=O)c1ccoc1COc1cc(C)ccc1[N+](=O)[O-] ZINC000023460688 346252171 /nfs/dbraw/zinc/25/21/71/346252171.db2.gz WZWRTKJEMQWDHF-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@H](c1ccccc1)C1CC1 ZINC000024027895 346254107 /nfs/dbraw/zinc/25/41/07/346254107.db2.gz DFQCMCQEJORZGJ-OAHLLOKOSA-N 0 0 299.330 2.814 20 5 CFBDRN Cc1c(NC(=O)CSC(C)C)cccc1[N+](=O)[O-] ZINC000024549501 346255803 /nfs/dbraw/zinc/25/58/03/346255803.db2.gz LTQUBIGGJZNBSR-UHFFFAOYSA-N 0 0 268.338 2.983 20 5 CFBDRN O=C(COc1ccc(Cl)cc1[N+](=O)[O-])c1ccc[nH]1 ZINC000025029799 346257342 /nfs/dbraw/zinc/25/73/42/346257342.db2.gz JDMQOAPEDDPKAY-UHFFFAOYSA-N 0 0 280.667 2.838 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC2CC1(C)C2 ZINC000596574459 349945276 /nfs/dbraw/zinc/94/52/76/349945276.db2.gz IINOTGXDHIBBIF-UHFFFAOYSA-N 0 0 260.293 2.786 20 5 CFBDRN CC(=O)Nc1nc(COc2cccc([N+](=O)[O-])c2)cs1 ZINC000025394026 346259263 /nfs/dbraw/zinc/25/92/63/346259263.db2.gz NRUFQASSICIVIE-UHFFFAOYSA-N 0 0 293.304 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CSc3ncccn3)nc2c1 ZINC000026439933 346264986 /nfs/dbraw/zinc/26/49/86/346264986.db2.gz ZKCGBXPWLMDOTF-UHFFFAOYSA-N 0 0 287.304 2.553 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1Cl ZINC000026856143 346267330 /nfs/dbraw/zinc/26/73/30/346267330.db2.gz MNFUEGSMNNBTCF-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(Br)cc2)cn1 ZINC000029209682 346279619 /nfs/dbraw/zinc/27/96/19/346279619.db2.gz LDYUXGRMIRLUJL-UHFFFAOYSA-N 0 0 282.097 2.602 20 5 CFBDRN CCCCNC(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-] ZINC000029513924 346282113 /nfs/dbraw/zinc/28/21/13/346282113.db2.gz FSDQASOQDQCSBX-UHFFFAOYSA-N 0 0 296.323 2.532 20 5 CFBDRN C[C@H]1c2cccn2CCN1Cc1ccccc1[N+](=O)[O-] ZINC000032489139 346305534 /nfs/dbraw/zinc/30/55/34/346305534.db2.gz VXXWVXZINZKWPK-LBPRGKRZSA-N 0 0 271.320 2.973 20 5 CFBDRN CC(C)(C)c1cc(NC(=O)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000033980390 346313818 /nfs/dbraw/zinc/31/38/18/346313818.db2.gz JGZNBXQYNVWYMA-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCOCC2)c2ccncc21 ZINC000036320025 346322814 /nfs/dbraw/zinc/32/28/14/346322814.db2.gz VMYBEJVBYNMULJ-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN CO[C@H]1CC[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1 ZINC000596600195 349952739 /nfs/dbraw/zinc/95/27/39/349952739.db2.gz CCQBMFGAAVEHDC-YUMQZZPRSA-N 0 0 279.252 2.520 20 5 CFBDRN CCCn1nccc1-c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000596603849 349954131 /nfs/dbraw/zinc/95/41/31/349954131.db2.gz ZAPKTCGQRPQDNO-UHFFFAOYSA-N 0 0 289.251 2.511 20 5 CFBDRN Cc1cnc(SCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000042089120 346360199 /nfs/dbraw/zinc/36/01/99/346360199.db2.gz MZIDBOKUDOVKLI-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000042918679 346374084 /nfs/dbraw/zinc/37/40/84/346374084.db2.gz BBNVNTFAGFXVLP-POYBYMJQSA-N 0 0 286.234 2.791 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N(Cc1ccccc1)C1CC1 ZINC000043499435 346383926 /nfs/dbraw/zinc/38/39/26/346383926.db2.gz NKGQEPBSLBUOIC-UHFFFAOYSA-N 0 0 285.303 2.728 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000596618865 349957495 /nfs/dbraw/zinc/95/74/95/349957495.db2.gz CRUCJBNEBUQXPX-WQAKAFBOSA-N 0 0 260.293 2.970 20 5 CFBDRN Cc1ccc(NCCCCn2ccnc2)c([N+](=O)[O-])c1 ZINC000045108325 346403674 /nfs/dbraw/zinc/40/36/74/346403674.db2.gz MZHNCUHSCWTUJS-UHFFFAOYSA-N 0 0 274.324 2.992 20 5 CFBDRN CCN(Cc1ccccc1C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000045444362 346408372 /nfs/dbraw/zinc/40/83/72/346408372.db2.gz VQLUAEOAQAFZJO-UHFFFAOYSA-N 0 0 287.319 2.894 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)COC1CCCC1 ZINC000044332688 346391732 /nfs/dbraw/zinc/39/17/32/346391732.db2.gz CEPUHFLEMPUYDE-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000596620216 349958614 /nfs/dbraw/zinc/95/86/14/349958614.db2.gz NGLCGJQZCABSGG-GXFFZTMASA-N 0 0 278.308 2.739 20 5 CFBDRN CC(C)CCNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000045681184 346412656 /nfs/dbraw/zinc/41/26/56/346412656.db2.gz WBSNREKCMYGGFF-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)n(C(C)(C)C)n1 ZINC000045783462 346414648 /nfs/dbraw/zinc/41/46/48/346414648.db2.gz VAZWGXDUEMAKOO-UHFFFAOYSA-N 0 0 292.295 2.700 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC2CC1(C)C2 ZINC000596623944 349960258 /nfs/dbraw/zinc/96/02/58/349960258.db2.gz AEKGMUJIZKLPMU-UHFFFAOYSA-N 0 0 291.307 2.620 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cn1)N1CCc2ccccc21 ZINC000046479399 346428125 /nfs/dbraw/zinc/42/81/25/346428125.db2.gz FXLZWHSQTRXZCS-LBPRGKRZSA-N 0 0 298.346 2.853 20 5 CFBDRN COCCOc1cccc(-c2cnc(C)c([N+](=O)[O-])c2)c1 ZINC000596627791 349961028 /nfs/dbraw/zinc/96/10/28/349961028.db2.gz DGOOLVSRXZBHKR-UHFFFAOYSA-N 0 0 288.303 2.990 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000047556924 346454191 /nfs/dbraw/zinc/45/41/91/346454191.db2.gz PXHFEEMUWYBUQK-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000596633279 349962742 /nfs/dbraw/zinc/96/27/42/349962742.db2.gz BNGZGTSRXZTUFF-NEPJUHHUSA-N 0 0 292.335 2.965 20 5 CFBDRN CC(C)Oc1ncccc1CNc1ccc([N+](=O)[O-])cn1 ZINC000048336980 346471855 /nfs/dbraw/zinc/47/18/55/346471855.db2.gz SXYCYLQHZDBVSQ-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000596635579 349963739 /nfs/dbraw/zinc/96/37/39/349963739.db2.gz NXWCIGSKGRHRCI-XYPYZODXSA-N 0 0 293.323 2.592 20 5 CFBDRN CN(Cc1cccc(O)c1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000048736164 346476492 /nfs/dbraw/zinc/47/64/92/346476492.db2.gz SOQGVKIYMAQHMM-UHFFFAOYSA-N 0 0 292.316 2.634 20 5 CFBDRN O=C(CCNc1ccsc1[N+](=O)[O-])NC1CCCCC1 ZINC000049057309 346479084 /nfs/dbraw/zinc/47/90/84/346479084.db2.gz PDOBSJHACHSYCV-UHFFFAOYSA-N 0 0 297.380 2.907 20 5 CFBDRN Cc1nn(C)c(NCCCOC2CCCCC2)c1[N+](=O)[O-] ZINC000051452162 346504144 /nfs/dbraw/zinc/50/41/44/346504144.db2.gz QPPPNLACDFFUAC-UHFFFAOYSA-N 0 0 296.371 2.788 20 5 CFBDRN Cc1c(CN2C[C@H](C)OC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000051474721 346504285 /nfs/dbraw/zinc/50/42/85/346504285.db2.gz CPHOTZXVKRHHPK-QWRGUYRKSA-N 0 0 264.325 2.512 20 5 CFBDRN Cc1ncc(CN(C)Cc2cccc([N+](=O)[O-])c2)s1 ZINC000052101207 346513518 /nfs/dbraw/zinc/51/35/18/346513518.db2.gz QIUSRZQEQFWLFK-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cn1)N(C)c1ccccc1 ZINC000052183015 346516726 /nfs/dbraw/zinc/51/67/26/346516726.db2.gz ODZFVTYODSQOHM-LBPRGKRZSA-N 0 0 286.335 2.927 20 5 CFBDRN CCCC(C)(C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000050498473 346495790 /nfs/dbraw/zinc/49/57/90/346495790.db2.gz QGMAAFNUWHVRKB-UHFFFAOYSA-N 0 0 264.325 2.832 20 5 CFBDRN COc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1Cl ZINC000051203476 346501049 /nfs/dbraw/zinc/50/10/49/346501049.db2.gz YZKLOJXBIVXGAI-UHFFFAOYSA-N 0 0 295.682 2.837 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1F ZINC000051203165 346501190 /nfs/dbraw/zinc/50/11/90/346501190.db2.gz XCMDAYQUJCUCED-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCCCC1 ZINC000052928920 346533158 /nfs/dbraw/zinc/53/31/58/346533158.db2.gz PDHJATCVOFRAQQ-UHFFFAOYSA-N 0 0 273.292 2.702 20 5 CFBDRN CNC(=O)c1ccc(NCc2ccc(C)cc2)c([N+](=O)[O-])c1 ZINC000053548955 346549606 /nfs/dbraw/zinc/54/96/06/346549606.db2.gz JRXHIFJDWIRAOM-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CCC1CCN(C(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000054613061 346573461 /nfs/dbraw/zinc/57/34/61/346573461.db2.gz XCYJWHDGVOPKJM-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CC(C)(C)CCNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000054647035 346574130 /nfs/dbraw/zinc/57/41/30/346574130.db2.gz FFOBQQJXBYPGBZ-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCc1nccs1 ZINC000055184205 346584468 /nfs/dbraw/zinc/58/44/68/346584468.db2.gz IICTXLXCORGOJT-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN CCCCCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797248 346596720 /nfs/dbraw/zinc/59/67/20/346596720.db2.gz YONFRXLUMKYNNF-UHFFFAOYSA-N 0 0 250.298 2.791 20 5 CFBDRN COc1cccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000055797300 346596747 /nfs/dbraw/zinc/59/67/47/346596747.db2.gz RVIGCWAENRAORQ-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NOCc1ccccc1 ZINC000056687359 346612247 /nfs/dbraw/zinc/61/22/47/346612247.db2.gz NYELKIJQKWRFLJ-KHPPLWFESA-N 0 0 298.298 2.856 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc3c(c2)OCO3)s1 ZINC000054389379 346568254 /nfs/dbraw/zinc/56/82/54/346568254.db2.gz BNWOYYXCESOZLL-UHFFFAOYSA-N 0 0 278.289 2.997 20 5 CFBDRN Cc1nn(CCOc2cccc(F)c2)c(C)c1[N+](=O)[O-] ZINC000058777209 346650041 /nfs/dbraw/zinc/65/00/41/346650041.db2.gz ZNYRSJVEVAUXSG-UHFFFAOYSA-N 0 0 279.271 2.626 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2csc(-c3ccco3)n2)c1 ZINC000059017286 346655453 /nfs/dbraw/zinc/65/54/53/346655453.db2.gz YZVLNGFOZOFOMG-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN Cc1nc(COc2ccc([N+](=O)[O-])cc2F)oc1C ZINC000060539582 346667643 /nfs/dbraw/zinc/66/76/43/346667643.db2.gz FDHVNOGHCGOVMF-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CCOc1ccc(OCCn2c(C)ncc2[N+](=O)[O-])cc1 ZINC000060802506 346671771 /nfs/dbraw/zinc/67/17/71/346671771.db2.gz NBTDECSDAYSQMI-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN Cc1c(NC(=O)c2ccc3nccn3c2)cccc1[N+](=O)[O-] ZINC000057778243 346636745 /nfs/dbraw/zinc/63/67/45/346636745.db2.gz JNFJPJVILJQPQA-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CCN(CC)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000057880685 346639970 /nfs/dbraw/zinc/63/99/70/346639970.db2.gz QRMGTPBUURQARN-UHFFFAOYSA-N 0 0 279.340 2.655 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2ccc(F)c(Cl)c2)c1[N+](=O)[O-] ZINC000064334476 346717400 /nfs/dbraw/zinc/71/74/00/346717400.db2.gz FFSICZMZYUSBBJ-UHFFFAOYSA-N 0 0 298.661 2.671 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2ccc(C)cc2Cl)c1[N+](=O)[O-] ZINC000064334398 346717851 /nfs/dbraw/zinc/71/78/51/346717851.db2.gz WTLNOLURLKGBSW-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000061939212 346686113 /nfs/dbraw/zinc/68/61/13/346686113.db2.gz LBTUUACXEHUCSA-UHFFFAOYSA-N 0 0 282.346 2.956 20 5 CFBDRN Cc1nc(NC(=O)NCc2cccc([N+](=O)[O-])c2)oc1C ZINC000062648164 346690598 /nfs/dbraw/zinc/69/05/98/346690598.db2.gz JMHJQRIXZXWMLT-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000062924202 346693345 /nfs/dbraw/zinc/69/33/45/346693345.db2.gz GIZQKWRNVKABHV-SECBINFHSA-N 0 0 268.338 2.919 20 5 CFBDRN Cc1noc(C)c1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000063447454 346700744 /nfs/dbraw/zinc/70/07/44/346700744.db2.gz NEMOLYFZMDOLPA-BQYQJAHWSA-N 0 0 287.275 2.852 20 5 CFBDRN COc1ccc2c(c1)CCN2c1ccncc1[N+](=O)[O-] ZINC000066811211 346780629 /nfs/dbraw/zinc/78/06/29/346780629.db2.gz QFOSFOZCIHWVRJ-UHFFFAOYSA-N 0 0 271.276 2.693 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](C(C)(C)C)[C@@H](O)C1 ZINC000272488276 136620088 /nfs/dbraw/zinc/62/00/88/136620088.db2.gz KCUBQGMJDVNIRT-STQMWFEESA-N 0 0 293.367 2.532 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H]1c1ccccn1 ZINC000066810406 346780835 /nfs/dbraw/zinc/78/08/35/346780835.db2.gz STMGWAGDMVTUAO-GFCCVEGCSA-N 0 0 270.292 2.726 20 5 CFBDRN COc1nc(C)cc(C)c1CNc1ccc([N+](=O)[O-])cn1 ZINC000066818970 346781295 /nfs/dbraw/zinc/78/12/95/346781295.db2.gz JZIPXYLSUCDZSN-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CC(C)(C)SCCNc1ccc([N+](=O)[O-])cn1 ZINC000066817325 346781410 /nfs/dbraw/zinc/78/14/10/346781410.db2.gz IQERZUZQBUVMCI-UHFFFAOYSA-N 0 0 255.343 2.933 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000065536050 346736122 /nfs/dbraw/zinc/73/61/22/346736122.db2.gz NXPFFACZWZUENO-VIFPVBQESA-N 0 0 284.287 2.878 20 5 CFBDRN CN(Cc1nc2ccccc2[nH]1)c1ccncc1[N+](=O)[O-] ZINC000066808133 346779846 /nfs/dbraw/zinc/77/98/46/346779846.db2.gz VEXQOBWFZIRSND-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN C[C@@H](CN(C)c1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000066815927 346781707 /nfs/dbraw/zinc/78/17/07/346781707.db2.gz WKYXZMDKGLJMRR-NSHDSACASA-N 0 0 274.324 2.568 20 5 CFBDRN COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)cn1 ZINC000067020614 346789270 /nfs/dbraw/zinc/78/92/70/346789270.db2.gz HBWSUZXCDMBGMG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC(C)C ZINC000067037857 346790040 /nfs/dbraw/zinc/79/00/40/346790040.db2.gz UNFLDQAYOWMUMN-UHFFFAOYSA-N 0 0 250.298 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC(C)(C)C ZINC000067058281 346790106 /nfs/dbraw/zinc/79/01/06/346790106.db2.gz AYXSUYDYELDVCW-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@@H](C2CC2)O1 ZINC000280496718 136651482 /nfs/dbraw/zinc/65/14/82/136651482.db2.gz OYYILZNNBCEAHK-YGRLFVJLSA-N 0 0 262.309 2.599 20 5 CFBDRN O=C1c2ccccc2CCC[C@H]1Oc1cccnc1[N+](=O)[O-] ZINC000067428562 346801749 /nfs/dbraw/zinc/80/17/49/346801749.db2.gz JQDXSKFBQGBGRG-CYBMUJFWSA-N 0 0 298.298 2.956 20 5 CFBDRN O=C(N[C@H]1C[C@H]1c1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000067502245 346805194 /nfs/dbraw/zinc/80/51/94/346805194.db2.gz GRMZFODWHWEONI-GJZGRUSLSA-N 0 0 282.299 2.881 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1C[C@@H]1c1ccccc1 ZINC000067503279 346805693 /nfs/dbraw/zinc/80/56/93/346805693.db2.gz OVUWCOMXACHCLI-HUUCEWRRSA-N 0 0 296.326 2.810 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])nc1)N(C)c1ccccc1 ZINC000076771876 347152790 /nfs/dbraw/zinc/15/27/90/347152790.db2.gz DOAVRMWFRRWVSJ-GFCCVEGCSA-N 0 0 286.335 2.927 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Oc1ncnc2c1CCC2 ZINC000076921601 347160526 /nfs/dbraw/zinc/16/05/26/347160526.db2.gz SDRQWCDOWDVTTP-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN O=[N+]([O-])c1cn(CCOC2CCCCCC2)cn1 ZINC000077491091 347195717 /nfs/dbraw/zinc/19/57/17/347195717.db2.gz NAAAVKTZJAEBJN-UHFFFAOYSA-N 0 0 253.302 2.531 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000077533062 347198120 /nfs/dbraw/zinc/19/81/20/347198120.db2.gz BJBGOZHRVQUFPP-GFCCVEGCSA-N 0 0 292.335 2.765 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000077544594 347198839 /nfs/dbraw/zinc/19/88/39/347198839.db2.gz NLZPLWGHULXIDB-NWDGAFQWSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000077229113 347178518 /nfs/dbraw/zinc/17/85/18/347178518.db2.gz CKSWWIGFHXGQLE-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN CC1(C)[C@@H]2CN(c3ncc([N+](=O)[O-])cc3Cl)C[C@@H]21 ZINC000078411623 347250422 /nfs/dbraw/zinc/25/04/22/347250422.db2.gz PVIGPNDUODPLKB-DTORHVGOSA-N 0 0 267.716 2.735 20 5 CFBDRN Cc1nn(C)c(N2CCC3(C2)CCCCC3)c1[N+](=O)[O-] ZINC000078411228 347250433 /nfs/dbraw/zinc/25/04/33/347250433.db2.gz AVXAMYNBKGXUIG-UHFFFAOYSA-N 0 0 278.356 2.797 20 5 CFBDRN CN(CCCC(=O)OC(C)(C)C)c1ncccc1[N+](=O)[O-] ZINC000077985075 347222759 /nfs/dbraw/zinc/22/27/59/347222759.db2.gz XNCFQZHPGIJVCJ-UHFFFAOYSA-N 0 0 295.339 2.548 20 5 CFBDRN O=C(CCc1cccnc1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148610 347230696 /nfs/dbraw/zinc/23/06/96/347230696.db2.gz DKDMVICNQQVWCB-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@H]3CCC[C@@H]32)cc1 ZINC000078266246 347237025 /nfs/dbraw/zinc/23/70/25/347237025.db2.gz IHVCEDXITLKNCA-KBPBESRZSA-N 0 0 262.309 2.743 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1cnn(C)c1 ZINC000078306780 347240346 /nfs/dbraw/zinc/24/03/46/347240346.db2.gz PUSPYLURNLPHMS-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN COc1cc(NCCCn2ccnc2C)ccc1[N+](=O)[O-] ZINC000078333496 347242060 /nfs/dbraw/zinc/24/20/60/347242060.db2.gz MZTBOLVUZMNMMQ-UHFFFAOYSA-N 0 0 290.323 2.611 20 5 CFBDRN Cc1ccnc(NCC(C)(C)C[C@@H](C)O)c1[N+](=O)[O-] ZINC000078339478 347243533 /nfs/dbraw/zinc/24/35/33/347243533.db2.gz YISCNLOBDIWINO-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN CCC1(O)CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000078452735 347253444 /nfs/dbraw/zinc/25/34/44/347253444.db2.gz MSZXYHLOVZICCT-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)c2ccccc21 ZINC000078347532 347245356 /nfs/dbraw/zinc/24/53/56/347245356.db2.gz XGTKQXVHWCZEBK-VIFPVBQESA-N 0 0 271.276 2.687 20 5 CFBDRN CC(C)OC(=O)CSc1ccc(F)cc1[N+](=O)[O-] ZINC000078361132 347246072 /nfs/dbraw/zinc/24/60/72/347246072.db2.gz NLIXWLNXUQMSOR-UHFFFAOYSA-N 0 0 273.285 2.778 20 5 CFBDRN Cc1nn(C)c(N[C@H]2C[C@]2(C)c2ccccc2)c1[N+](=O)[O-] ZINC000078374103 347247443 /nfs/dbraw/zinc/24/74/43/347247443.db2.gz BZGRVRCVKWEODQ-SWLSCSKDSA-N 0 0 286.335 2.779 20 5 CFBDRN C[C@@]1(CO)CCC[C@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000078381249 347248231 /nfs/dbraw/zinc/24/82/31/347248231.db2.gz NEQGTKYZTYKPPB-PWSUYJOCSA-N 0 0 285.731 2.606 20 5 CFBDRN CC(C)NC(=O)c1ccc(NCCC2CC2)c([N+](=O)[O-])c1 ZINC000078499460 347258012 /nfs/dbraw/zinc/25/80/12/347258012.db2.gz KKPAWOQYBQBUEC-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN CC1(C)CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000078561909 347261594 /nfs/dbraw/zinc/26/15/94/347261594.db2.gz AEPHDUZRWUNCJI-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1)c1nnc2ccccn21 ZINC000078596407 347264415 /nfs/dbraw/zinc/26/44/15/347264415.db2.gz LHCQSTQMETYPCT-JTQLQIEISA-N 0 0 283.291 2.811 20 5 CFBDRN Cc1cnc(N(C)Cc2ccc(Cl)nc2)c([N+](=O)[O-])c1 ZINC000078628960 347266323 /nfs/dbraw/zinc/26/63/23/347266323.db2.gz MJCHNBPSCSESHL-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN Cc1ccn2cc(CNc3ncc(C)cc3[N+](=O)[O-])nc2c1 ZINC000078653682 347267340 /nfs/dbraw/zinc/26/73/40/347267340.db2.gz VPCYIUPZQOJUMY-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN Cc1cnc(N2CCC(OCC(C)C)CC2)c([N+](=O)[O-])c1 ZINC000078653546 347267472 /nfs/dbraw/zinc/26/74/72/347267472.db2.gz LJTMYIIGRNZMLS-UHFFFAOYSA-N 0 0 293.367 2.940 20 5 CFBDRN CCc1cnc(CCNc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000078670507 347269019 /nfs/dbraw/zinc/26/90/19/347269019.db2.gz APEJXPDYQPWBDI-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078665681 347269038 /nfs/dbraw/zinc/26/90/38/347269038.db2.gz YVZIHQAPSBYSDS-SECBINFHSA-N 0 0 290.323 2.946 20 5 CFBDRN Cc1cnc(N2CC[C@@H](Oc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000078663864 347269043 /nfs/dbraw/zinc/26/90/43/347269043.db2.gz KJMQFOOIPLCFJF-CQSZACIVSA-N 0 0 299.330 2.956 20 5 CFBDRN CC(C)N(C)C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000078731170 347272293 /nfs/dbraw/zinc/27/22/93/347272293.db2.gz WKSLMBNTRGIYFM-JTQLQIEISA-N 0 0 282.365 2.942 20 5 CFBDRN CC(C)Oc1cccc(Cn2cc([N+](=O)[O-])ccc2=O)c1 ZINC000078936337 347280413 /nfs/dbraw/zinc/28/04/13/347280413.db2.gz LNAOWJCAJWQVIK-UHFFFAOYSA-N 0 0 288.303 2.592 20 5 CFBDRN C[C@@H](O)CCNc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000086016265 179215905 /nfs/dbraw/zinc/21/59/05/179215905.db2.gz ATQKCCLWHZZKQM-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN COc1ccc(Cn2cc(-n3cccc3)cn2)cc1[N+](=O)[O-] ZINC000081080834 347403391 /nfs/dbraw/zinc/40/33/91/347403391.db2.gz KOHDNUSHQUMTQS-UHFFFAOYSA-N 0 0 298.302 2.639 20 5 CFBDRN CCC[C@H]1[C@H](C)CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000081180323 347406678 /nfs/dbraw/zinc/40/66/78/347406678.db2.gz VZHCECLVGCELFD-MFKMUULPSA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1cc(NCCNC(=O)C(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000080534245 347364726 /nfs/dbraw/zinc/36/47/26/347364726.db2.gz FAWUJFNBOAHKOI-UHFFFAOYSA-N 0 0 299.758 2.741 20 5 CFBDRN Cc1cc(Nc2cnn(CC(F)F)c2)ncc1[N+](=O)[O-] ZINC000080605611 347368660 /nfs/dbraw/zinc/36/86/60/347368660.db2.gz VYTLZGUOJINDLM-UHFFFAOYSA-N 0 0 283.238 2.503 20 5 CFBDRN COC(=O)N1CCC[C@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000080610901 347369614 /nfs/dbraw/zinc/36/96/14/347369614.db2.gz AXLQEAMVFYNGQD-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)[C@H](C)c1ccccc1 ZINC000080688041 347375754 /nfs/dbraw/zinc/37/57/54/347375754.db2.gz ZUUZUDHKMINSAR-VHSXEESVSA-N 0 0 287.323 2.571 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2nccc(C)c2[N+](=O)[O-])C1(C)C ZINC000080699118 347377149 /nfs/dbraw/zinc/37/71/49/347377149.db2.gz IGCHIGISXAMOEG-IINYFYTJSA-N 0 0 279.340 2.914 20 5 CFBDRN CCC(C)(C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000102602576 185782424 /nfs/dbraw/zinc/78/24/24/185782424.db2.gz MSZRIGISIYFWJH-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN CC(C)CC(C)(C)CNc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000081028361 347397999 /nfs/dbraw/zinc/39/79/99/347397999.db2.gz HNHMFWHMFRFVIG-UHFFFAOYSA-N 0 0 294.355 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCc3ccncc3)c2c1 ZINC000081589097 347428017 /nfs/dbraw/zinc/42/80/17/347428017.db2.gz JWPXCMIPYCJFGR-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN CCc1ccc(C(=O)N(C)CC(C)C)cc1[N+](=O)[O-] ZINC000081833272 347438319 /nfs/dbraw/zinc/43/83/19/347438319.db2.gz CTVJZMJNVGCTAN-UHFFFAOYSA-N 0 0 264.325 2.885 20 5 CFBDRN COc1ccc(CS[C@H](C)C(C)=O)cc1[N+](=O)[O-] ZINC000083124615 347448810 /nfs/dbraw/zinc/44/88/10/347448810.db2.gz TUWXVQGKQIHDMU-SECBINFHSA-N 0 0 269.322 2.814 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2c(F)ccc(F)c2C1 ZINC000084489048 347466024 /nfs/dbraw/zinc/46/60/24/347466024.db2.gz UZVPTLMTNSCXPF-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN CCN(Cc1ccccn1)c1ncc(C)cc1[N+](=O)[O-] ZINC000084488847 347466359 /nfs/dbraw/zinc/46/63/59/347466359.db2.gz BZIQGDLIJARVFY-UHFFFAOYSA-N 0 0 272.308 2.720 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCc2ccccn2)c1 ZINC000088698449 347507017 /nfs/dbraw/zinc/50/70/17/347507017.db2.gz PJWQGKKWQDYWBU-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)C[C@@H](C)O)c1 ZINC000088725149 347508413 /nfs/dbraw/zinc/50/84/13/347508413.db2.gz NDAPKZBQZNZJBD-WDEREUQCSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)C(=O)OC(C)C)c1 ZINC000088726183 347508477 /nfs/dbraw/zinc/50/84/77/347508477.db2.gz BXHQZQUEFFERJB-LLVKDONJSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C2CCOCC2)c1 ZINC000088726634 347508539 /nfs/dbraw/zinc/50/85/39/347508539.db2.gz NHNPMEUSZUYFAR-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN CC[C@@H](CO)Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090065265 347538038 /nfs/dbraw/zinc/53/80/38/347538038.db2.gz JUXFKORWFJVNJZ-LBPRGKRZSA-N 0 0 287.319 2.840 20 5 CFBDRN C[C@H]1COCCN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090070472 347538867 /nfs/dbraw/zinc/53/88/67/347538867.db2.gz LIGAYVDLOJOGGS-LBPRGKRZSA-N 0 0 299.330 2.882 20 5 CFBDRN CCOC(=O)CCCCOc1cc(F)ccc1[N+](=O)[O-] ZINC000128596665 187378518 /nfs/dbraw/zinc/37/85/18/187378518.db2.gz HEIPIINHLSBBOD-UHFFFAOYSA-N 0 0 285.271 2.846 20 5 CFBDRN CC(=O)c1ccc(S[C@H](C)CCO)c([N+](=O)[O-])c1 ZINC000091264262 347580487 /nfs/dbraw/zinc/58/04/87/347580487.db2.gz GDWQXCOODWLGAF-MRVPVSSYSA-N 0 0 269.322 2.660 20 5 CFBDRN CN(Cc1ccc(O)cc1)c1ccc([N+](=O)[O-])cc1 ZINC000091506033 347591338 /nfs/dbraw/zinc/59/13/38/347591338.db2.gz CBFITXKFNQATKO-UHFFFAOYSA-N 0 0 258.277 2.937 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1Cc2ccccc21 ZINC000091558525 347593077 /nfs/dbraw/zinc/59/30/77/347593077.db2.gz ZQZWIIJZDISOAH-GFCCVEGCSA-N 0 0 286.335 2.871 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ncnc2ccc(F)cc21 ZINC000092582285 347633073 /nfs/dbraw/zinc/63/30/73/347633073.db2.gz HALIECJDWPUCCY-UHFFFAOYSA-N 0 0 286.222 2.864 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Oc1cccc([N+](=O)[O-])c1 ZINC000092581612 347633110 /nfs/dbraw/zinc/63/31/10/347633110.db2.gz ROKNUMLNIAIHPQ-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN CCOc1cc(Nc2c[nH]nc2C)ccc1[N+](=O)[O-] ZINC000092624770 347636098 /nfs/dbraw/zinc/63/60/98/347636098.db2.gz PYWVOILTOZGBMZ-UHFFFAOYSA-N 0 0 262.269 2.769 20 5 CFBDRN C[N@@H+](Cc1cc([O-])ccc1[N+](=O)[O-])CC1CCCC1 ZINC000092667143 347639023 /nfs/dbraw/zinc/63/90/23/347639023.db2.gz COVAQYDOIKNSMN-UHFFFAOYSA-N 0 0 264.325 2.922 20 5 CFBDRN CCOc1cc(N[C@@](C)(CO)C2CC2)ccc1[N+](=O)[O-] ZINC000092684427 347640499 /nfs/dbraw/zinc/64/04/99/347640499.db2.gz CEHQMTSXPJSMPQ-AWEZNQCLSA-N 0 0 280.324 2.566 20 5 CFBDRN CCOC(=O)C[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000092684226 347640621 /nfs/dbraw/zinc/64/06/21/347640621.db2.gz CVZBXGKGQDLTGM-SNVBAGLBSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@]1(O)CCc2ccccc21 ZINC000092688012 347640888 /nfs/dbraw/zinc/64/08/88/347640888.db2.gz KSNWSHGDUJPFRJ-INIZCTEOSA-N 0 0 299.330 2.544 20 5 CFBDRN Cc1cc(NCCOC2CCCC2)ncc1[N+](=O)[O-] ZINC000092027233 347606992 /nfs/dbraw/zinc/60/69/92/347606992.db2.gz YKWMQHWKDJRSRI-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[NH+]1CCC(CC(=O)[O-])CC1 ZINC000093682407 347681633 /nfs/dbraw/zinc/68/16/33/347681633.db2.gz JIRLLYZIWHNKCY-NSHDSACASA-N 0 0 292.335 2.843 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000101998442 347716320 /nfs/dbraw/zinc/71/63/20/347716320.db2.gz ORMPAQYGYXPHMP-MNOVXSKESA-N 0 0 279.340 2.829 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000102286528 347717354 /nfs/dbraw/zinc/71/73/54/347717354.db2.gz XVWNOPJKZBHGNY-UHFFFAOYSA-N 0 0 289.291 2.554 20 5 CFBDRN CC1CCC(CNc2c([N+](=O)[O-])ncn2C)CC1 ZINC000108886795 347743870 /nfs/dbraw/zinc/74/38/70/347743870.db2.gz HBEKLAPCMRJQAM-UHFFFAOYSA-N 0 0 252.318 2.567 20 5 CFBDRN Cc1ccnc(N[C@@H](C)C[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000115516730 347780492 /nfs/dbraw/zinc/78/04/92/347780492.db2.gz QBPWCTCEAARYHT-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)C(C)(C)O ZINC000111254218 347752960 /nfs/dbraw/zinc/75/29/60/347752960.db2.gz HMSDWXGYSGLHFI-ZETCQYMHSA-N 0 0 258.705 2.820 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCCCF ZINC000119877359 347804383 /nfs/dbraw/zinc/80/43/83/347804383.db2.gz ACJIZTPFYDNUMP-UHFFFAOYSA-N 0 0 269.276 2.693 20 5 CFBDRN Cc1cccc(NC(=O)NCc2cccc([N+](=O)[O-])c2)n1 ZINC000124686726 347825711 /nfs/dbraw/zinc/82/57/11/347825711.db2.gz HMSXYKHUIMZXHX-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCc2ccccc2CC1 ZINC000116070088 347784358 /nfs/dbraw/zinc/78/43/58/347784358.db2.gz BPBCSHRDUIAXDM-UHFFFAOYSA-N 0 0 296.326 2.836 20 5 CFBDRN O=C(NC1(c2ccccc2F)CC1)c1ccc([N+](=O)[O-])o1 ZINC000126874611 347838027 /nfs/dbraw/zinc/83/80/27/347838027.db2.gz NGOBXXASLLSGHW-UHFFFAOYSA-N 0 0 290.250 2.746 20 5 CFBDRN C[C@@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000129686995 347863711 /nfs/dbraw/zinc/86/37/11/347863711.db2.gz GPTXHCUBJANKQK-SNVBAGLBSA-N 0 0 294.376 2.992 20 5 CFBDRN CSC[C@H](C)NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000131739683 347879959 /nfs/dbraw/zinc/87/99/59/347879959.db2.gz LYKWXGGZYJAIJJ-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN CCOc1cccc(N2CCC[C@@H](CCO)C2)c1[N+](=O)[O-] ZINC000135063070 347903418 /nfs/dbraw/zinc/90/34/18/347903418.db2.gz USMVYABIXQRXOD-LBPRGKRZSA-N 0 0 294.351 2.592 20 5 CFBDRN CCn1nc(C)cc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000133656322 347893652 /nfs/dbraw/zinc/89/36/52/347893652.db2.gz ASJGTEUTNHMINT-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CC(C)c1cc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])n[nH]1 ZINC000135016205 347902871 /nfs/dbraw/zinc/90/28/71/347902871.db2.gz KTVNKIYYGQJYLI-UHFFFAOYSA-N 0 0 292.270 2.833 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@H]1c1ccccc1 ZINC000141326436 347930503 /nfs/dbraw/zinc/93/05/03/347930503.db2.gz GHFCNZAFAQJOII-LBPRGKRZSA-N 0 0 272.308 2.670 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(-c3ccc(F)cn3)no2)c1 ZINC000136005708 347908632 /nfs/dbraw/zinc/90/86/32/347908632.db2.gz IHODZRVHJLGAFI-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN Cc1c(Cl)cccc1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000140244613 347924696 /nfs/dbraw/zinc/92/46/96/347924696.db2.gz QCMBLIXOKUOICW-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC(C)Cn1ccnc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000149957211 347954473 /nfs/dbraw/zinc/95/44/73/347954473.db2.gz VGGDEVLXHBGTSR-UHFFFAOYSA-N 0 0 294.336 2.761 20 5 CFBDRN CC1(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCCC1 ZINC000150234248 347955798 /nfs/dbraw/zinc/95/57/98/347955798.db2.gz UVVXAHANGITEKX-UHFFFAOYSA-N 0 0 293.279 2.566 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152252885 347967991 /nfs/dbraw/zinc/96/79/91/347967991.db2.gz UFCAZSGKCMOIRE-ZWNOBZJWSA-N 0 0 274.320 2.742 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152252801 347968021 /nfs/dbraw/zinc/96/80/21/347968021.db2.gz UFCAZSGKCMOIRE-GWCFXTLKSA-N 0 0 274.320 2.742 20 5 CFBDRN COC(=O)[C@H](NCc1cccc([N+](=O)[O-])c1C)C(C)(C)C ZINC000152561374 347969366 /nfs/dbraw/zinc/96/93/66/347969366.db2.gz XKUHOBPSLNBJRR-ZDUSSCGKSA-N 0 0 294.351 2.581 20 5 CFBDRN CN(C(=O)CCc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000158226618 348006440 /nfs/dbraw/zinc/00/64/40/348006440.db2.gz ZLTFOASIUWCBBC-UHFFFAOYSA-N 0 0 274.276 2.783 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1ccccc1F ZINC000159295319 348011682 /nfs/dbraw/zinc/01/16/82/348011682.db2.gz PCSDTHVQZYITPP-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN CCc1ccc(C(=O)NC2CC=CC2)cc1[N+](=O)[O-] ZINC000154295905 347979337 /nfs/dbraw/zinc/97/93/37/347979337.db2.gz UEIJZGRSWQIJLI-UHFFFAOYSA-N 0 0 260.293 2.606 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000167221901 348045332 /nfs/dbraw/zinc/04/53/32/348045332.db2.gz AYPQRAHQOLHFLF-RKDXNWHRSA-N 0 0 258.281 2.606 20 5 CFBDRN C[C@H]1CN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000412147052 232853286 /nfs/dbraw/zinc/85/32/86/232853286.db2.gz GOHDCQAKPGMNIH-LWWSYDQCSA-N 0 0 288.347 2.813 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCCSCC2)c(F)c1 ZINC000169286314 348050833 /nfs/dbraw/zinc/05/08/33/348050833.db2.gz UPMBCSIMUYPTBA-UHFFFAOYSA-N 0 0 274.292 2.816 20 5 CFBDRN CCSCCOc1ccc([N+](=O)[O-])c(C(=O)OC)c1 ZINC000172696482 348101613 /nfs/dbraw/zinc/10/16/13/348101613.db2.gz WLIKTDHULVATJF-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)C[N@@H+](C)[C@@H](C)C1CC1 ZINC000173251891 348107406 /nfs/dbraw/zinc/10/74/06/348107406.db2.gz DMSOZMYWJXHWLZ-NSHDSACASA-N 0 0 291.351 2.572 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000128707843 187386253 /nfs/dbraw/zinc/38/62/53/187386253.db2.gz LQMTYFHFXOFEKW-ZJUUUORDSA-N 0 0 266.297 2.886 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000172378285 348093071 /nfs/dbraw/zinc/09/30/71/348093071.db2.gz MFUWELPRKSQMRG-LBPRGKRZSA-N 0 0 293.367 2.729 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000172450150 348094342 /nfs/dbraw/zinc/09/43/42/348094342.db2.gz LNAGTNIGAKVMKZ-LLVKDONJSA-N 0 0 264.325 2.925 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412286913 232878325 /nfs/dbraw/zinc/87/83/25/232878325.db2.gz JHXVVZABCRUDCE-ZQNQSHIBSA-N 0 0 286.331 2.613 20 5 CFBDRN COc1cccc(C(=O)N2CCC3(CCC3)C2)c1[N+](=O)[O-] ZINC000194685592 348144583 /nfs/dbraw/zinc/14/45/83/348144583.db2.gz WNHFNMRMAZFNMW-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN COC(=O)c1ccoc1COc1cccc([N+](=O)[O-])c1 ZINC000198865028 348151671 /nfs/dbraw/zinc/15/16/71/348151671.db2.gz PHNQKAVNUPDVFL-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN Cn1cc(/C=C\c2nc(-c3ccc([N+](=O)[O-])cc3)no2)cn1 ZINC000209084913 348157509 /nfs/dbraw/zinc/15/75/09/348157509.db2.gz OZRVYMBXYSVPTI-UQCOIBPSSA-N 0 0 297.274 2.549 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000218876091 348167801 /nfs/dbraw/zinc/16/78/01/348167801.db2.gz NHLCUVOSTMVBQL-QMTHXVAHSA-N 0 0 296.298 2.722 20 5 CFBDRN COc1cc(N[C@H]2C[C@@H](OC)C2(C)C)c(F)cc1[N+](=O)[O-] ZINC000218868738 348167889 /nfs/dbraw/zinc/16/78/89/348167889.db2.gz YDLRWOKPXISVAC-QWHCGFSZSA-N 0 0 298.314 2.968 20 5 CFBDRN O=C(N[C@H]1CC[C@H](F)C1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412344956 232885224 /nfs/dbraw/zinc/88/52/24/232885224.db2.gz YOVVSWLYGWSHHK-AUZPSNTRSA-N 0 0 292.310 2.705 20 5 CFBDRN O=C(N[C@H]1CC[C@@H](F)C1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412344960 232885855 /nfs/dbraw/zinc/88/58/55/232885855.db2.gz YOVVSWLYGWSHHK-UVLXDEKHSA-N 0 0 292.310 2.705 20 5 CFBDRN Cc1cccc(NCC2CCC(O)CC2)c1[N+](=O)[O-] ZINC000227716029 348189916 /nfs/dbraw/zinc/18/99/16/348189916.db2.gz IILZJTPHHOZPCK-UHFFFAOYSA-N 0 0 264.325 2.866 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccccc2[N+](=O)[O-])C1(C)C ZINC000227822357 348191155 /nfs/dbraw/zinc/19/11/55/348191155.db2.gz PWWBYYRVBHPONO-RYUDHWBXSA-N 0 0 250.298 2.820 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CC[C@H](OC)C2)c1 ZINC000231684424 348208846 /nfs/dbraw/zinc/20/88/46/348208846.db2.gz HOYYRASFTUVXLR-UWVGGRQHSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)CCc2cccc([N+](=O)[O-])c2)CS1 ZINC000412575537 232921086 /nfs/dbraw/zinc/92/10/86/232921086.db2.gz ASVMCACHYXNGPL-PWSUYJOCSA-N 0 0 294.376 2.538 20 5 CFBDRN C[C@H](NC(=O)c1cc([O-])ccc1[N+](=O)[O-])c1ccsc1 ZINC000235506418 348228125 /nfs/dbraw/zinc/22/81/25/348228125.db2.gz LJAJXYDAHQGDQQ-QMMMGPOBSA-N 0 0 292.316 2.853 20 5 CFBDRN Cc1nn(Cc2ccc(C(C)C)nc2C)cc1[N+](=O)[O-] ZINC000295151133 199374182 /nfs/dbraw/zinc/37/41/82/199374182.db2.gz FZBVMZKMKFWUMB-UHFFFAOYSA-N 0 0 274.324 2.975 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@H]1CCSC1 ZINC000304297080 348378565 /nfs/dbraw/zinc/37/85/65/348378565.db2.gz ALHJFNLIKQFKBZ-LURJTMIESA-N 0 0 259.718 2.561 20 5 CFBDRN C[C@@H](Sc1c(Cl)cncc1[N+](=O)[O-])[C@H](C)O ZINC000304333621 348379373 /nfs/dbraw/zinc/37/93/73/348379373.db2.gz HYPRKRXLHBZFTJ-NTSWFWBYSA-N 0 0 262.718 2.505 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC1CCSCC1 ZINC000306083822 348383572 /nfs/dbraw/zinc/38/35/72/348383572.db2.gz FJTDLGSHOZSSLF-UHFFFAOYSA-N 0 0 273.745 2.951 20 5 CFBDRN COCC1(CSc2ncccc2[N+](=O)[O-])CCC1 ZINC000291570801 348354577 /nfs/dbraw/zinc/35/45/77/348354577.db2.gz OHUAVGLNANHUFO-UHFFFAOYSA-N 0 0 268.338 2.899 20 5 CFBDRN Cn1ccnc1CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000312301012 348418256 /nfs/dbraw/zinc/41/82/56/348418256.db2.gz WWHYOIUMQIBTFI-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN CCC[C@](C)(O)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000312438809 348418302 /nfs/dbraw/zinc/41/83/02/348418302.db2.gz IUJUHKRYFQAUIS-AWEZNQCLSA-N 0 0 282.340 2.875 20 5 CFBDRN COCCCN(C)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000413081602 233015128 /nfs/dbraw/zinc/01/51/28/233015128.db2.gz FXRINKJMWDKTJS-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCCc3ccccn3)c2c1 ZINC000315649665 348429745 /nfs/dbraw/zinc/42/97/45/348429745.db2.gz MRTKGUIKQVGPCL-UHFFFAOYSA-N 0 0 295.302 2.588 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1Cc2ccccc21 ZINC000313819487 348423804 /nfs/dbraw/zinc/42/38/04/348423804.db2.gz KGCITUQBEWNUKV-LBPRGKRZSA-N 0 0 286.335 2.871 20 5 CFBDRN CC1(C)C[C@@H](c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)CCO1 ZINC000351171643 348486612 /nfs/dbraw/zinc/48/66/12/348486612.db2.gz CBYRCZARRCLDSS-QMMMGPOBSA-N 0 0 292.295 2.646 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1C[C@H]1C ZINC000273400425 192138672 /nfs/dbraw/zinc/13/86/72/192138672.db2.gz QWFGLSLOAOMABE-FXHRJKFWSA-N 0 0 274.320 2.770 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC2CC1(C)C2 ZINC000596845900 349996509 /nfs/dbraw/zinc/99/65/09/349996509.db2.gz YNMBTBMPOPPZRJ-UHFFFAOYSA-N 0 0 291.307 2.620 20 5 CFBDRN C[C@@]1(CNc2nccc3ccc([N+](=O)[O-])cc32)CCOC1 ZINC000413132344 233021555 /nfs/dbraw/zinc/02/15/55/233021555.db2.gz GBSRDOLVSGEGFC-HNNXBMFYSA-N 0 0 287.319 2.982 20 5 CFBDRN Cn1cc(/C=C/c2nc(-c3cccc([N+](=O)[O-])c3)no2)cn1 ZINC000349862572 348468761 /nfs/dbraw/zinc/46/87/61/348468761.db2.gz QJOBBYYRBASNKY-AATRIKPKSA-N 0 0 297.274 2.549 20 5 CFBDRN CCn1nccc1-c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000349955537 348469116 /nfs/dbraw/zinc/46/91/16/348469116.db2.gz COOGINKMKQXBBF-UHFFFAOYSA-N 0 0 299.290 2.837 20 5 CFBDRN C[C@]1(CNc2nccc3ccc([N+](=O)[O-])cc32)CCOC1 ZINC000413132345 233021874 /nfs/dbraw/zinc/02/18/74/233021874.db2.gz GBSRDOLVSGEGFC-OAHLLOKOSA-N 0 0 287.319 2.982 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc([C@@H]2C[C@H]2C)no1 ZINC000350167416 348472720 /nfs/dbraw/zinc/47/27/20/348472720.db2.gz YZEYYDCPHYHNQN-GMSGAONNSA-N 0 0 275.264 2.777 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000596775406 349988988 /nfs/dbraw/zinc/98/89/88/349988988.db2.gz AFVBXDQQHWECIM-ITGUQSILSA-N 0 0 274.320 2.599 20 5 CFBDRN COC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)c1ccc(C)o1 ZINC000413172429 233028497 /nfs/dbraw/zinc/02/84/97/233028497.db2.gz BQBARXGVTOPCKI-LLVKDONJSA-N 0 0 295.270 2.830 20 5 CFBDRN COC[C@@H](C)Cc1noc(-c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000351446382 348496281 /nfs/dbraw/zinc/49/62/81/348496281.db2.gz OQMSXIWTVGERNG-VIFPVBQESA-N 0 0 291.307 2.778 20 5 CFBDRN CO[C@@]1(C)C[C@H]1NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000596865847 349999898 /nfs/dbraw/zinc/99/98/98/349999898.db2.gz JEWNIWCJNWZUIT-OCCSQVGLSA-N 0 0 293.323 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCCC(F)(F)F ZINC000401142215 348577380 /nfs/dbraw/zinc/57/73/80/348577380.db2.gz ZIXGVNYZSUSFMA-UHFFFAOYSA-N 0 0 267.182 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCOCC1CCCC1 ZINC000401219618 348578174 /nfs/dbraw/zinc/57/81/74/348578174.db2.gz SXRSZCGREVBQPT-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000401773051 348578597 /nfs/dbraw/zinc/57/85/97/348578597.db2.gz ATQSXDXLBVJHJV-VXNVDRBHSA-N 0 0 256.689 2.838 20 5 CFBDRN COc1cc(N[C@H]2CO[C@@H](C)C2)c([N+](=O)[O-])cc1C ZINC000402219449 348581021 /nfs/dbraw/zinc/58/10/21/348581021.db2.gz OGVMVZAFRUSYSL-VHSXEESVSA-N 0 0 266.297 2.501 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc3ncccc3c2[N+](=O)[O-])CO1 ZINC000402316138 348581896 /nfs/dbraw/zinc/58/18/96/348581896.db2.gz RBWGGSBZQZRRSP-ZJUUUORDSA-N 0 0 273.292 2.732 20 5 CFBDRN CN(CC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273460115 192158402 /nfs/dbraw/zinc/15/84/02/192158402.db2.gz MUVMVVBRMAABHC-UHFFFAOYSA-N 0 0 267.260 2.608 20 5 CFBDRN COC1(CNc2ncc([N+](=O)[O-])cc2F)CCCC1 ZINC000413320979 233052271 /nfs/dbraw/zinc/05/22/71/233052271.db2.gz FMLVIGWHAOVDQK-UHFFFAOYSA-N 0 0 269.276 2.500 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(C(C)(C)C)C1 ZINC000413364836 233061116 /nfs/dbraw/zinc/06/11/16/233061116.db2.gz OJPJVOSQONLNQF-UHFFFAOYSA-N 0 0 266.345 2.602 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CC[C@H](C)O1 ZINC000413371894 233061891 /nfs/dbraw/zinc/06/18/91/233061891.db2.gz FMIQFNLWAXSFGN-GWCFXTLKSA-N 0 0 280.324 2.721 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CC[C@@H](C)O1 ZINC000413371900 233062263 /nfs/dbraw/zinc/06/22/63/233062263.db2.gz FMIQFNLWAXSFGN-ZWNOBZJWSA-N 0 0 280.324 2.721 20 5 CFBDRN C[N@H+](CCCCCC(=O)[O-])CCc1ccccc1[N+](=O)[O-] ZINC000584849851 348723323 /nfs/dbraw/zinc/72/33/23/348723323.db2.gz LJHRPEXVAUEOIW-UHFFFAOYSA-N 0 0 294.351 2.714 20 5 CFBDRN C[C@@H](F)CCn1cnc2c(Cl)cc([N+](=O)[O-])cc2c1=O ZINC000584721954 348709335 /nfs/dbraw/zinc/70/93/35/348709335.db2.gz ZCNKHDMIGIIJSU-SSDOTTSWSA-N 0 0 299.689 2.706 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588027243 348767243 /nfs/dbraw/zinc/76/72/43/348767243.db2.gz SFJWIEQLOXRPGV-LLVKDONJSA-N 0 0 293.367 2.542 20 5 CFBDRN Cc1cnc(N2CC3(C2)CC(F)(F)C3)c([N+](=O)[O-])c1 ZINC000588040820 348767841 /nfs/dbraw/zinc/76/78/41/348767841.db2.gz RQZBNHPGOTUJIK-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@H](C(F)F)C3)c2c1 ZINC000588040693 348767870 /nfs/dbraw/zinc/76/78/70/348767870.db2.gz KNRGXYFGHVDHQP-QMMMGPOBSA-N 0 0 294.261 2.629 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(C2)CCCOC3)s1 ZINC000588051658 348768783 /nfs/dbraw/zinc/76/87/83/348768783.db2.gz SCGJWTXDKAMSOY-LBPRGKRZSA-N 0 0 268.338 2.663 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC[C@H]3C[C@H]32)s1 ZINC000588066436 348769829 /nfs/dbraw/zinc/76/98/29/348769829.db2.gz PCTUNEDHEXXNCN-IONNQARKSA-N 0 0 276.321 2.588 20 5 CFBDRN COc1cc(N2CCC[C@@H]3C[C@@H]32)c(F)cc1[N+](=O)[O-] ZINC000588066617 348769998 /nfs/dbraw/zinc/76/99/98/348769998.db2.gz TXHHIQISVUDWDU-SCZZXKLOSA-N 0 0 266.272 2.731 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1F)c1nncn1C ZINC000588104692 348772088 /nfs/dbraw/zinc/77/20/88/348772088.db2.gz CUENVPJWYKQKPG-ZETCQYMHSA-N 0 0 282.300 2.716 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000588179909 348778211 /nfs/dbraw/zinc/77/82/11/348778211.db2.gz CIXPOBIXJZYRIQ-VIFPVBQESA-N 0 0 290.291 2.882 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCCC2(F)F)cc([N+](=O)[O-])c1 ZINC000588180206 348778298 /nfs/dbraw/zinc/77/82/98/348778298.db2.gz MQDQFZUEYGCBFY-NSHDSACASA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC1(F)F ZINC000588180285 348778401 /nfs/dbraw/zinc/77/84/01/348778401.db2.gz PWYJLVDWGSPUDF-LLVKDONJSA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1cc(NC(=O)N2CCC[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000588274660 348782117 /nfs/dbraw/zinc/78/21/17/348782117.db2.gz NOLOTGVQFDIZAP-GXFFZTMASA-N 0 0 275.308 2.919 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H](C)[C@H](C)C1 ZINC000588823399 348796926 /nfs/dbraw/zinc/79/69/26/348796926.db2.gz SQAUAXXYPCOYAB-VHSXEESVSA-N 0 0 266.345 2.602 20 5 CFBDRN CCc1ccc(Nc2ccncc2[N+](=O)[O-])cc1F ZINC000588822602 348797044 /nfs/dbraw/zinc/79/70/44/348797044.db2.gz UJOPSEGYSSXTGM-UHFFFAOYSA-N 0 0 261.256 2.857 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC1CCC(O)(CC)CC1 ZINC000588825539 348797479 /nfs/dbraw/zinc/79/74/79/348797479.db2.gz XXDGEPFJJAOQLE-UHFFFAOYSA-N 0 0 296.371 2.615 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCCCN1 ZINC000588847029 348798369 /nfs/dbraw/zinc/79/83/69/348798369.db2.gz ISPCPRQAVFBFOD-UHFFFAOYSA-N 0 0 291.332 2.550 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1cc(Br)cc([N+](=O)[O-])c1 ZINC000585750421 348742770 /nfs/dbraw/zinc/74/27/70/348742770.db2.gz LBBQZADGLDHPNY-QUBYGPBYSA-N 0 0 299.124 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nccc(C(F)F)n2)s1 ZINC000588851706 348799041 /nfs/dbraw/zinc/79/90/41/348799041.db2.gz MRWMFOBCDQFEOO-UHFFFAOYSA-N 0 0 286.263 2.996 20 5 CFBDRN Cc1noc([C@H]2CCN(c3cc(C)ccc3[N+](=O)[O-])C2)n1 ZINC000585785933 348744585 /nfs/dbraw/zinc/74/45/85/348744585.db2.gz IEMOFKUVBSUEAU-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN Cc1c(C(=O)N2c3ccc([N+](=O)[O-])cc3C[C@H]2C)ccn1C ZINC000588868732 348799464 /nfs/dbraw/zinc/79/94/64/348799464.db2.gz CODBDTDDJNKVJZ-SNVBAGLBSA-N 0 0 299.330 2.833 20 5 CFBDRN C[C@H]1CCC[C@H](c2noc(Cn3cc([N+](=O)[O-])cn3)n2)C1 ZINC000273951753 192375703 /nfs/dbraw/zinc/37/57/03/192375703.db2.gz OETPERAWIAYLRG-UWVGGRQHSA-N 0 0 291.311 2.516 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@H]2C[C@H]21 ZINC000586890198 348759958 /nfs/dbraw/zinc/75/99/58/348759958.db2.gz LCLOAMPYVDJZER-LKFCYVNXSA-N 0 0 285.303 2.701 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000586890724 348759967 /nfs/dbraw/zinc/75/99/67/348759967.db2.gz MUZLYDYJQOWPTG-MFKMUULPSA-N 0 0 260.293 2.528 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587483421 348762754 /nfs/dbraw/zinc/76/27/54/348762754.db2.gz CJSVKSPDGBOPAB-MNOVXSKESA-N 0 0 293.367 2.908 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000587881901 348763153 /nfs/dbraw/zinc/76/31/53/348763153.db2.gz IMCDQCHTDMKKMK-KWQFWETISA-N 0 0 293.323 2.685 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2C)C12CCC2 ZINC000413439468 233073017 /nfs/dbraw/zinc/07/30/17/233073017.db2.gz JYOKTRGZHRODBX-NWDGAFQWSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC3(CC(F)C3)C2)s1 ZINC000588005700 348766151 /nfs/dbraw/zinc/76/61/51/348766151.db2.gz UOPDDMZIJSRCNZ-UHFFFAOYSA-N 0 0 294.311 2.538 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000588006985 348766282 /nfs/dbraw/zinc/76/62/82/348766282.db2.gz VLHRDKHOVJRUAD-SECBINFHSA-N 0 0 293.323 2.685 20 5 CFBDRN C[C@@H](CCC1CC1)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000588019944 348766625 /nfs/dbraw/zinc/76/66/25/348766625.db2.gz XNHLVLBDNKEVJM-VIFPVBQESA-N 0 0 279.340 2.660 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC([C@@H]2CCOC2)CC1 ZINC000588015608 348766855 /nfs/dbraw/zinc/76/68/55/348766855.db2.gz QLXHSRHBEDVMDS-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCC[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000413477967 233080482 /nfs/dbraw/zinc/08/04/82/233080482.db2.gz HRIRTSBVKGWOBS-NEPJUHHUSA-N 0 0 278.356 2.875 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000589113644 348811241 /nfs/dbraw/zinc/81/12/41/348811241.db2.gz XKICGOCKLHKSMQ-LOWVWBTDSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1cc(NC2(CO)CCC2)ccc1C(F)(F)F ZINC000589111758 348811367 /nfs/dbraw/zinc/81/13/67/348811367.db2.gz ARXZOMIUFCJNDI-UHFFFAOYSA-N 0 0 290.241 2.941 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC=CCC1 ZINC000589374085 348830385 /nfs/dbraw/zinc/83/03/85/348830385.db2.gz KKFKTGXJGVUHSM-UHFFFAOYSA-N 0 0 275.308 2.951 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1cc(C)n(C)n1 ZINC000589569482 348838797 /nfs/dbraw/zinc/83/87/97/348838797.db2.gz AMPQOAPBRIKLBW-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2nc(C)ccc2[N+](=O)[O-])C12CCC2 ZINC000413443180 233073792 /nfs/dbraw/zinc/07/37/92/233073792.db2.gz NAEUOZSHBSROPB-NEPJUHHUSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCc2ccc(O)cc2C1 ZINC000589583198 348839540 /nfs/dbraw/zinc/83/95/40/348839540.db2.gz QVZFTWYWDKHVSQ-UHFFFAOYSA-N 0 0 276.317 2.925 20 5 CFBDRN COc1cc(N[C@H](C)C[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000589585157 348840103 /nfs/dbraw/zinc/84/01/03/348840103.db2.gz SLBZOIVVSJLPRM-MNOVXSKESA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])s1 ZINC000589584731 348840345 /nfs/dbraw/zinc/84/03/45/348840345.db2.gz OCVCSMNFDKVSBT-BDAKNGLRSA-N 0 0 256.327 2.883 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(N)c2F)C12CCC2 ZINC000413448043 233074729 /nfs/dbraw/zinc/07/47/29/233074729.db2.gz QJFUTHBOBKHYPL-MNOVXSKESA-N 0 0 295.314 2.686 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1ccsc1[N+](=O)[O-] ZINC000589585477 348840579 /nfs/dbraw/zinc/84/05/79/348840579.db2.gz YKGQQFJFASFMPG-IUCAKERBSA-N 0 0 256.327 2.883 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H](C(F)F)C1 ZINC000589600052 348840957 /nfs/dbraw/zinc/84/09/57/348840957.db2.gz MUCXGKYKLKRARE-SECBINFHSA-N 0 0 256.252 2.995 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](C(F)F)C2)c1 ZINC000589599733 348841344 /nfs/dbraw/zinc/84/13/44/348841344.db2.gz HMFOBAZMTJYYOL-MRVPVSSYSA-N 0 0 272.251 2.695 20 5 CFBDRN COc1cc(N2CC[C@@H](C(F)F)C2)ccc1[N+](=O)[O-] ZINC000589600112 348841877 /nfs/dbraw/zinc/84/18/77/348841877.db2.gz PPQMOPNVFUHQGE-MRVPVSSYSA-N 0 0 272.251 2.695 20 5 CFBDRN CCOC(=O)c1cc(N2CCC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000589600308 348841885 /nfs/dbraw/zinc/84/18/85/348841885.db2.gz UMWRMPGPTQQGOU-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN Cc1cccc2c1OC[C@@H](Nc1cccnc1[N+](=O)[O-])C2 ZINC000589607945 348842830 /nfs/dbraw/zinc/84/28/30/348842830.db2.gz MPKOHNHSEQFQMH-LBPRGKRZSA-N 0 0 285.303 2.714 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])C12CCC2 ZINC000413458201 233076907 /nfs/dbraw/zinc/07/69/07/233076907.db2.gz ZMMFKYDXQGTDPM-NEPJUHHUSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc(N2CC(C3CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000589695713 348851869 /nfs/dbraw/zinc/85/18/69/348851869.db2.gz QXWCBXWAFFTGJB-UHFFFAOYSA-N 0 0 250.273 2.889 20 5 CFBDRN O=C(CCCC1CC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000589708640 348852994 /nfs/dbraw/zinc/85/29/94/348852994.db2.gz SLRZLDQQVLLGPN-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN C=Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000589716565 348853598 /nfs/dbraw/zinc/85/35/98/348853598.db2.gz CQLYQTKLMWFRMH-UHFFFAOYSA-N 0 0 297.314 2.605 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1C[C@H](OC(C)(C)C)C1(C)C ZINC000413606191 233103332 /nfs/dbraw/zinc/10/33/32/233103332.db2.gz KUPSJOGKCKKZGI-ZJUUUORDSA-N 0 0 296.371 2.722 20 5 CFBDRN C[C@@H](NC(=O)N1CC(C2CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000589782341 348856276 /nfs/dbraw/zinc/85/62/76/348856276.db2.gz FSTNHYGWWHVGEN-SNVBAGLBSA-N 0 0 289.335 2.707 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1coc(C)n1 ZINC000589808982 348857663 /nfs/dbraw/zinc/85/76/63/348857663.db2.gz KBPYMMBWJOWBBK-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CN(C(=O)CCCC1CC1)c1ccc([N+](=O)[O-])nc1 ZINC000589796192 348857877 /nfs/dbraw/zinc/85/78/77/348857877.db2.gz HVCKRDMBYWZPDL-UHFFFAOYSA-N 0 0 263.297 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H](C3CCC3)C2)s1 ZINC000589878634 348862743 /nfs/dbraw/zinc/86/27/43/348862743.db2.gz HBJZHGDHWKSPFF-NSHDSACASA-N 0 0 282.365 2.909 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1C[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000590149630 348878490 /nfs/dbraw/zinc/87/84/90/348878490.db2.gz BPAWJTKDXOORNV-GJZGRUSLSA-N 0 0 292.310 2.882 20 5 CFBDRN CC(F)(F)CN[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000590260912 348887987 /nfs/dbraw/zinc/88/79/87/348887987.db2.gz WWTSCPPCPIZZHR-ZJUUUORDSA-N 0 0 288.298 2.520 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@@H]2CCC[C@@H]2O)s1 ZINC000590714991 348934498 /nfs/dbraw/zinc/93/44/98/348934498.db2.gz CLTHCGNLKPCIFN-AXFHLTTASA-N 0 0 282.365 2.786 20 5 CFBDRN O=[N+]([O-])c1sccc1NCC1(CF)CCOCC1 ZINC000590715478 348935171 /nfs/dbraw/zinc/93/51/71/348935171.db2.gz GSBOWQZLZSIELC-UHFFFAOYSA-N 0 0 274.317 2.835 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]([C@@H](CO)C1CC1)C1CC1 ZINC000590719230 348935756 /nfs/dbraw/zinc/93/57/56/348935756.db2.gz FBBLSYQPDXHTIZ-CMPLNLGQSA-N 0 0 282.365 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]([C@@H](CO)C2CC2)C2CC2)s1 ZINC000590719268 348935905 /nfs/dbraw/zinc/93/59/05/348935905.db2.gz PXFJUAPDIKMXHJ-GWCFXTLKSA-N 0 0 282.365 2.865 20 5 CFBDRN COc1cccc2c1CN(c1ccc([N+](=O)[O-])cn1)CC2 ZINC000590722873 348936985 /nfs/dbraw/zinc/93/69/85/348936985.db2.gz DOUWRWARYMIKTB-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])s1)[C@H](CO)CC(C)(C)C ZINC000590724411 348937345 /nfs/dbraw/zinc/93/73/45/348937345.db2.gz JFECVXKFVPDDMB-VIFPVBQESA-N 0 0 272.370 2.890 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[S@@](=O)C(C)(C)CC1 ZINC000590723815 348937361 /nfs/dbraw/zinc/93/73/61/348937361.db2.gz BVOGIAYWHMSWHS-HXUWFJFHSA-N 0 0 296.392 2.641 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1ccc([N+](=O)[O-])s1)C1CC1 ZINC000590728775 348938069 /nfs/dbraw/zinc/93/80/69/348938069.db2.gz UGKYTGQLXINZJQ-CPCISQLKSA-N 0 0 256.327 2.882 20 5 CFBDRN COC[C@@H]1CCCN(c2sccc2[N+](=O)[O-])CC1 ZINC000590738629 348940147 /nfs/dbraw/zinc/94/01/47/348940147.db2.gz WXSVIZSMLZLXOB-SNVBAGLBSA-N 0 0 270.354 2.909 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H]2C(F)(F)F)ccc1[N+](=O)[O-] ZINC000590378670 348897534 /nfs/dbraw/zinc/89/75/34/348897534.db2.gz ZGINPAXUDWQCTK-SNVBAGLBSA-N 0 0 288.225 2.680 20 5 CFBDRN Cc1nc(C(C)C)oc1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000590567747 348909219 /nfs/dbraw/zinc/90/92/19/348909219.db2.gz FJMTUZZSRLAKDT-UHFFFAOYSA-N 0 0 293.327 2.669 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000590582347 348910571 /nfs/dbraw/zinc/91/05/71/348910571.db2.gz PNEXSKYQHXDANK-RCOVLWMOSA-N 0 0 274.729 2.913 20 5 CFBDRN CCc1nocc1COc1cc([N+](=O)[O-])ccc1OC ZINC000590597113 348913174 /nfs/dbraw/zinc/91/31/74/348913174.db2.gz FMTKNOPKZGCQCK-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000590607695 348915197 /nfs/dbraw/zinc/91/51/97/348915197.db2.gz XFTSERAUZGHTHQ-VIFPVBQESA-N 0 0 285.250 2.775 20 5 CFBDRN Cc1nc(N(C)[C@@H]2CCC[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000590685504 348927744 /nfs/dbraw/zinc/92/77/44/348927744.db2.gz GMQRWQOYRWAHEE-GXSJLCMTSA-N 0 0 264.329 2.708 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000590774804 348947388 /nfs/dbraw/zinc/94/73/88/348947388.db2.gz IPAGEHXEEYDIJX-ZLDLUXBVSA-N 0 0 288.347 2.957 20 5 CFBDRN C[C@H]1CN(c2ccsc2[N+](=O)[O-])CC[N@@H+](C2CC2)C1 ZINC000590703682 348931124 /nfs/dbraw/zinc/93/11/24/348931124.db2.gz NZLIJJWLDQNYRC-SNVBAGLBSA-N 0 0 281.381 2.577 20 5 CFBDRN Cc1c(C[N@@H+]2CCC[C@@H]3COC[C@@H]32)cccc1[N+](=O)[O-] ZINC000590972353 348975679 /nfs/dbraw/zinc/97/56/79/348975679.db2.gz KTSVPHPGRBLWCQ-HIFRSBDPSA-N 0 0 276.336 2.514 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CC(C2CC2)C1 ZINC000591083690 348985321 /nfs/dbraw/zinc/98/53/21/348985321.db2.gz NREHQWGHSUZRFX-LSDHHAIUSA-N 0 0 286.331 2.567 20 5 CFBDRN CS[C@@H]1CCCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000591150715 348992992 /nfs/dbraw/zinc/99/29/92/348992992.db2.gz SUENFAOODSKDDA-SNVBAGLBSA-N 0 0 285.344 2.851 20 5 CFBDRN C[C@H](CCO)Sc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000591148856 348993358 /nfs/dbraw/zinc/99/33/58/348993358.db2.gz GXKNSMSJTDTTAA-ZCFIWIBFSA-N 0 0 296.270 2.872 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(OCC3CC3)CC2)c(F)c1 ZINC000591148428 348993600 /nfs/dbraw/zinc/99/36/00/348993600.db2.gz UTZMJXBLFWCXNK-UHFFFAOYSA-N 0 0 295.314 2.524 20 5 CFBDRN C[C@@H]1CCCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000591155730 348994055 /nfs/dbraw/zinc/99/40/55/348994055.db2.gz GWWPZUDAMVGRIF-SECBINFHSA-N 0 0 253.277 2.755 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cc1F)c1ccncc1 ZINC000591153915 348994120 /nfs/dbraw/zinc/99/41/20/348994120.db2.gz WEVDIMMMABWYMP-UHFFFAOYSA-N 0 0 290.298 2.914 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(c3ccccn3)CC2)c(F)c1 ZINC000591155227 348994264 /nfs/dbraw/zinc/99/42/64/348994264.db2.gz XUBKGDNLOAHEPV-UHFFFAOYSA-N 0 0 288.282 2.668 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ncc([N+](=O)[O-])cc2F)C12CCC2 ZINC000591163076 348995828 /nfs/dbraw/zinc/99/58/28/348995828.db2.gz HCCQHKSCRXAIMD-NEPJUHHUSA-N 0 0 295.314 2.523 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](O)C[C@H]2c2ccccc2)s1 ZINC000591189759 348998472 /nfs/dbraw/zinc/99/84/72/348998472.db2.gz USJQVHRJZFHQKS-RYUDHWBXSA-N 0 0 290.344 2.969 20 5 CFBDRN C[C@@H]1C[C@H](CNc2sccc2[N+](=O)[O-])[C@H](C)O1 ZINC000591192638 348999139 /nfs/dbraw/zinc/99/91/39/348999139.db2.gz AKAOZZCVZGUOQM-HRDYMLBCSA-N 0 0 256.327 2.882 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])s2)[C@H](C)O1 ZINC000591193703 348999181 /nfs/dbraw/zinc/99/91/81/348999181.db2.gz RUXXCIAPRWIYSD-VGMNWLOBSA-N 0 0 256.327 2.882 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](C3CCC3)C2)s1 ZINC000591204469 349000349 /nfs/dbraw/zinc/00/03/49/349000349.db2.gz UWLASDOFDISPIA-SECBINFHSA-N 0 0 253.327 2.678 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1ccc2c(n1)CCC2 ZINC000590954529 348971131 /nfs/dbraw/zinc/97/11/31/348971131.db2.gz FFMZKHATVQKEHJ-UHFFFAOYSA-N 0 0 283.331 2.768 20 5 CFBDRN CN(CCC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])s1 ZINC000591365393 349012548 /nfs/dbraw/zinc/01/25/48/349012548.db2.gz OYONRDFNHHOQBZ-UHFFFAOYSA-N 0 0 286.353 2.824 20 5 CFBDRN CN(CCC(=O)OC(C)(C)C)c1ccsc1[N+](=O)[O-] ZINC000591365412 349012588 /nfs/dbraw/zinc/01/25/88/349012588.db2.gz VSEFYFROTUZZFU-UHFFFAOYSA-N 0 0 286.353 2.824 20 5 CFBDRN O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@@H]1CC12CC2 ZINC000591534454 349029171 /nfs/dbraw/zinc/02/91/71/349029171.db2.gz NLYCRITXCARTJK-ZDUSSCGKSA-N 0 0 299.330 2.535 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000591980444 349069001 /nfs/dbraw/zinc/06/90/01/349069001.db2.gz DFHGEJYRFACBLP-GHMZBOCLSA-N 0 0 252.314 2.804 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000591982537 349069755 /nfs/dbraw/zinc/06/97/55/349069755.db2.gz QOGAZKQJUSDZHG-IUCAKERBSA-N 0 0 287.747 2.852 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1cc(OC)ccc1[N+](=O)[O-] ZINC000591983679 349069820 /nfs/dbraw/zinc/06/98/20/349069820.db2.gz WRLKWNFFSNJQAY-WDEREUQCSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])s1)C(=O)OC(C)(C)C ZINC000591992388 349071154 /nfs/dbraw/zinc/07/11/54/349071154.db2.gz LFMPUEBVLRNFMH-ZETCQYMHSA-N 0 0 287.341 2.584 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cn1)C(=O)OC(C)(C)C ZINC000591990928 349071176 /nfs/dbraw/zinc/07/11/76/349071176.db2.gz BAHNOLDUKNEEJN-JTQLQIEISA-N 0 0 281.312 2.522 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)CC2(CCC2)O1 ZINC000591994118 349071859 /nfs/dbraw/zinc/07/18/59/349071859.db2.gz AIDWMFGZXPLDCC-SECBINFHSA-N 0 0 268.338 2.804 20 5 CFBDRN CCN(CCSC)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000592001884 349073642 /nfs/dbraw/zinc/07/36/42/349073642.db2.gz XEDSDVBIHJWDMQ-UHFFFAOYSA-N 0 0 288.344 2.932 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000592001222 349073656 /nfs/dbraw/zinc/07/36/56/349073656.db2.gz QMBQSJYFEGPUPO-RNFRBKRXSA-N 0 0 271.317 2.622 20 5 CFBDRN Cc1ccnc(N2C[C@H](C)OC3(CCCC3)C2)c1[N+](=O)[O-] ZINC000592001871 349073731 /nfs/dbraw/zinc/07/37/31/349073731.db2.gz QXEYPYNZZUAJQC-LBPRGKRZSA-N 0 0 291.351 2.836 20 5 CFBDRN CC(C)SCCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592348622 349106914 /nfs/dbraw/zinc/10/69/14/349106914.db2.gz KJYKGIQKZNQREO-UHFFFAOYSA-N 0 0 282.321 2.644 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCC[C@H]1CCOC1 ZINC000592343304 349105139 /nfs/dbraw/zinc/10/51/39/349105139.db2.gz OBKHCCQZJQLYEY-NSHDSACASA-N 0 0 251.282 2.790 20 5 CFBDRN C[C@]1(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)CCCO1 ZINC000591924973 349060533 /nfs/dbraw/zinc/06/05/33/349060533.db2.gz FKCWNOAVQMDSCA-OAHLLOKOSA-N 0 0 291.351 2.850 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C)(CC(F)F)C1 ZINC000591971137 349066980 /nfs/dbraw/zinc/06/69/80/349066980.db2.gz HXCZAEZOOPPFFX-UHFFFAOYSA-N 0 0 288.298 2.601 20 5 CFBDRN CC[C@H](CNc1ccc([N+](=O)[O-])c(C)c1)C(=O)OC ZINC000591975044 349067634 /nfs/dbraw/zinc/06/76/34/349067634.db2.gz MNCPKOCJXJFGQZ-SNVBAGLBSA-N 0 0 266.297 2.514 20 5 CFBDRN CC[C@H](CNc1ccc([N+](=O)[O-])cc1Cl)C(=O)OC ZINC000591973848 349067679 /nfs/dbraw/zinc/06/76/79/349067679.db2.gz GBFVJTJGEMHYGO-MRVPVSSYSA-N 0 0 286.715 2.859 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCC[C@H]2CCOC2)c1 ZINC000592361190 349108951 /nfs/dbraw/zinc/10/89/51/349108951.db2.gz QITFVCHJEGIULQ-NSHDSACASA-N 0 0 281.308 2.799 20 5 CFBDRN C[C@@H]1SCC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000592465255 349125752 /nfs/dbraw/zinc/12/57/52/349125752.db2.gz UMWPFHPKXAPSAR-OIBJUYFYSA-N 0 0 299.327 2.749 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC12CC=CC2 ZINC000592462802 349126025 /nfs/dbraw/zinc/12/60/25/349126025.db2.gz KKQSFJWVSXETFJ-CYBMUJFWSA-N 0 0 289.335 2.740 20 5 CFBDRN CN(CCC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000592688579 349151511 /nfs/dbraw/zinc/15/15/11/349151511.db2.gz DCPBUIGIFVAQBO-UHFFFAOYSA-N 0 0 254.286 2.696 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1cc2n(n1)CCCC2 ZINC000593020370 349188869 /nfs/dbraw/zinc/18/88/69/349188869.db2.gz XXUJFIHERWDSOX-UHFFFAOYSA-N 0 0 298.346 2.690 20 5 CFBDRN CCCN(CCC)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593021391 349188899 /nfs/dbraw/zinc/18/88/99/349188899.db2.gz YYULDUPVSAIXKY-UHFFFAOYSA-N 0 0 282.315 2.925 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593019149 349188930 /nfs/dbraw/zinc/18/89/30/349188930.db2.gz PGJMUEWQEQOICW-VIFPVBQESA-N 0 0 280.299 2.533 20 5 CFBDRN C[C@@H](NCc1cc2n(n1)CCC2)c1ccccc1[N+](=O)[O-] ZINC000593022138 349189205 /nfs/dbraw/zinc/18/92/05/349189205.db2.gz VJNYASIKPNXKJL-LLVKDONJSA-N 0 0 286.335 2.588 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])s1 ZINC000592732740 349158581 /nfs/dbraw/zinc/15/85/81/349158581.db2.gz NUTNITQLNPBFRD-XHNCKOQMSA-N 0 0 266.322 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CCC12CCCC2 ZINC000593038641 349191051 /nfs/dbraw/zinc/19/10/51/349191051.db2.gz KNVRRTSSGYIWOV-UHFFFAOYSA-N 0 0 262.309 2.819 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCS[C@@H]1C ZINC000592737370 349160236 /nfs/dbraw/zinc/16/02/36/349160236.db2.gz WIOAEJPZQJOYRR-ZJUUUORDSA-N 0 0 280.349 2.527 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000592737390 349160391 /nfs/dbraw/zinc/16/03/91/349160391.db2.gz VJGMTCGUGJKART-GARJFASQSA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC000593036276 349191668 /nfs/dbraw/zinc/19/16/68/349191668.db2.gz XDHFOKQXYSQTSI-OSAQELSMSA-N 0 0 286.331 2.813 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593093576 349207838 /nfs/dbraw/zinc/20/78/38/349207838.db2.gz UTXMVDJFIJAKBU-SNVBAGLBSA-N 0 0 280.299 2.535 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000596894568 350002976 /nfs/dbraw/zinc/00/29/76/350002976.db2.gz DBOUBZIQPUNLNH-NWANDNLSSA-N 0 0 288.347 2.690 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(CSCCCF)c1 ZINC000593189878 349226126 /nfs/dbraw/zinc/22/61/26/349226126.db2.gz BALGRCLDOYBCNE-UHFFFAOYSA-N 0 0 287.312 2.974 20 5 CFBDRN COCCCSCc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000593192701 349226444 /nfs/dbraw/zinc/22/64/44/349226444.db2.gz FPTODDSOHBNZBO-UHFFFAOYSA-N 0 0 299.348 2.651 20 5 CFBDRN C[C@H](C(=O)N1CCCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000593235570 349232703 /nfs/dbraw/zinc/23/27/03/349232703.db2.gz ICDUABGYTPTSSG-JTQLQIEISA-N 0 0 298.289 2.956 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)[C@@H](CC)CO1 ZINC000593258916 349237167 /nfs/dbraw/zinc/23/71/67/349237167.db2.gz VJSMGORGOOACGJ-GXTWGEPZSA-N 0 0 292.335 2.624 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCCC(F)(F)C1 ZINC000593049960 349194309 /nfs/dbraw/zinc/19/43/09/349194309.db2.gz UHISKRQSIZOVEQ-UHFFFAOYSA-N 0 0 274.242 2.965 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCN2CCCC(F)(F)C2)c1 ZINC000593048487 349194464 /nfs/dbraw/zinc/19/44/64/349194464.db2.gz LMYKTAUYLCJLBS-UHFFFAOYSA-N 0 0 286.278 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCCC(F)(F)C3)nc2c1 ZINC000593052594 349194939 /nfs/dbraw/zinc/19/49/39/349194939.db2.gz KKSQOWQFEIAJGD-UHFFFAOYSA-N 0 0 296.277 2.702 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)[C@@H](CC)CO1 ZINC000593056948 349195906 /nfs/dbraw/zinc/19/59/06/349195906.db2.gz BUZMWZWPUQPZMG-DZGCQCFKSA-N 0 0 278.352 2.984 20 5 CFBDRN CCCC(C)(C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000593056244 349196356 /nfs/dbraw/zinc/19/63/56/349196356.db2.gz CRIAUTHPNMWDMI-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593081402 349203767 /nfs/dbraw/zinc/20/37/67/349203767.db2.gz RNTYXLRTTCGDEE-CYBMUJFWSA-N 0 0 294.326 2.971 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCC1(CO)CCC1 ZINC000593513098 349287857 /nfs/dbraw/zinc/28/78/57/349287857.db2.gz WOJPMFPAXFBCIJ-UHFFFAOYSA-N 0 0 256.327 2.621 20 5 CFBDRN Cc1cc(N(C)[C@H](C)CCO)c2cccc([N+](=O)[O-])c2n1 ZINC000593515130 349287902 /nfs/dbraw/zinc/28/79/02/349287902.db2.gz BDTCBZXKBGIEQW-LLVKDONJSA-N 0 0 289.335 2.659 20 5 CFBDRN CC(=O)c1cc(NCCC2(CO)CCC2)ccc1[N+](=O)[O-] ZINC000593511122 349287921 /nfs/dbraw/zinc/28/79/21/349287921.db2.gz HJLMKFUACYPKSA-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)[C@@H](C)CO1 ZINC000593283055 349241575 /nfs/dbraw/zinc/24/15/75/349241575.db2.gz DPGUVCPPROZIRE-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)[C@H](C)CO1 ZINC000593283056 349241622 /nfs/dbraw/zinc/24/16/22/349241622.db2.gz DPGUVCPPROZIRE-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)[C@H](C)CO1 ZINC000593284095 349241765 /nfs/dbraw/zinc/24/17/65/349241765.db2.gz LIHRKCJKUURQFY-ZYHUDNBSSA-N 0 0 292.335 2.543 20 5 CFBDRN CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000593296022 349242604 /nfs/dbraw/zinc/24/26/04/349242604.db2.gz PCMSCYVNTPRFPV-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1C)[C@H]1CCCOC1 ZINC000593297282 349243260 /nfs/dbraw/zinc/24/32/60/349243260.db2.gz YLTPNCIAISKAKE-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC1(C2CCC2)CC1 ZINC000593308137 349244601 /nfs/dbraw/zinc/24/46/01/349244601.db2.gz ZVWBOZNRFPPRRI-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)C(C)(C)C ZINC000593341102 349250801 /nfs/dbraw/zinc/25/08/01/349250801.db2.gz LGJJYBYXNZXGOF-SECBINFHSA-N 0 0 280.324 2.768 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@@]2(CC2(F)F)C1 ZINC000593357428 349252459 /nfs/dbraw/zinc/25/24/59/349252459.db2.gz BPKKSPCNHVWOKJ-SNVBAGLBSA-N 0 0 288.275 2.528 20 5 CFBDRN COC[C@@H](C)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593457730 349274667 /nfs/dbraw/zinc/27/46/67/349274667.db2.gz NFVHKZIHQODEOM-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H]1CCCC[C@H]1O ZINC000593460958 349275703 /nfs/dbraw/zinc/27/57/03/349275703.db2.gz FATJDQPBTUXPFY-DZGCQCFKSA-N 0 0 287.319 2.858 20 5 CFBDRN C[C@@H](CCO)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593465707 349276115 /nfs/dbraw/zinc/27/61/15/349276115.db2.gz RHNVZMGKXRTGLD-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN CC1(C)COC[C@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593482857 349280461 /nfs/dbraw/zinc/28/04/61/349280461.db2.gz SYEJWCBEIYFHPT-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000593486746 349281549 /nfs/dbraw/zinc/28/15/49/349281549.db2.gz BUPZXPUGGBHTOF-RKDXNWHRSA-N 0 0 258.343 2.865 20 5 CFBDRN Cc1ccnc(N2CCC[C@H](OC(C)C)C2)c1[N+](=O)[O-] ZINC000593487078 349281834 /nfs/dbraw/zinc/28/18/34/349281834.db2.gz LJORQQKMVZQSDJ-LBPRGKRZSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1cc(N2CCC[C@H](OC(C)C)C2)ncc1[N+](=O)[O-] ZINC000593487645 349282567 /nfs/dbraw/zinc/28/25/67/349282567.db2.gz UQUGPGNZSYYSDO-LBPRGKRZSA-N 0 0 279.340 2.692 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H](OC(C)C)C1 ZINC000593487770 349282676 /nfs/dbraw/zinc/28/26/76/349282676.db2.gz WJBHEAGUZXXEDA-LBPRGKRZSA-N 0 0 296.371 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CC2)C2CCOCC2)cn1 ZINC000593491445 349283154 /nfs/dbraw/zinc/28/31/54/349283154.db2.gz KTEWOQCWJWDZGA-CQSZACIVSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593493430 349283724 /nfs/dbraw/zinc/28/37/24/349283724.db2.gz VJVDHOFOFHORPM-VXGBXAGGSA-N 0 0 264.325 2.907 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)[C@H](C)CO1 ZINC000593492529 349283845 /nfs/dbraw/zinc/28/38/45/349283845.db2.gz OQHFNZZIOBTQJU-DGCLKSJQSA-N 0 0 264.325 2.907 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000593495260 349284507 /nfs/dbraw/zinc/28/45/07/349284507.db2.gz VGPBAGPNZPRLLW-NEPJUHHUSA-N 0 0 296.371 2.513 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)n2)[C@@H](CC)CO1 ZINC000593493692 349284677 /nfs/dbraw/zinc/28/46/77/349284677.db2.gz IXGYHBFZWPLROT-NWDGAFQWSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1cc(N2CCC3(CC3(F)F)CC2)ncc1[N+](=O)[O-] ZINC000593498666 349285067 /nfs/dbraw/zinc/28/50/67/349285067.db2.gz NVLCKIWQKCOLQO-UHFFFAOYSA-N 0 0 283.278 2.924 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC2(CC2(F)F)CC1 ZINC000593498762 349285155 /nfs/dbraw/zinc/28/51/55/349285155.db2.gz PQBREMMANMMHRB-UHFFFAOYSA-N 0 0 269.251 2.615 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC([C@@H](C)CO)CC1 ZINC000593503581 349285701 /nfs/dbraw/zinc/28/57/01/349285701.db2.gz CQTFEWUYVWCFOY-LBPRGKRZSA-N 0 0 278.352 2.748 20 5 CFBDRN C[C@H](COCC1CC1)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000593509184 349287205 /nfs/dbraw/zinc/28/72/05/349287205.db2.gz UGHYLQJPRPIHIG-MRVPVSSYSA-N 0 0 285.731 2.870 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@H](F)C1 ZINC000593894894 349333466 /nfs/dbraw/zinc/33/34/66/349333466.db2.gz SPEHJTXVPMOTEQ-VIFPVBQESA-N 0 0 291.282 2.650 20 5 CFBDRN C[N@@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])CC1CCCC1 ZINC000593734073 349316028 /nfs/dbraw/zinc/31/60/28/349316028.db2.gz NQHPSNVQUMKXHY-UHFFFAOYSA-N 0 0 292.335 2.915 20 5 CFBDRN CCC[NH+](CCC)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593733197 349316306 /nfs/dbraw/zinc/31/63/06/349316306.db2.gz WQWBNQUBVLTPHM-UHFFFAOYSA-N 0 0 280.324 2.915 20 5 CFBDRN CC[N@@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])CC(C)C ZINC000593734374 349316394 /nfs/dbraw/zinc/31/63/94/349316394.db2.gz GNAKBMDPQHETOZ-UHFFFAOYSA-N 0 0 280.324 2.771 20 5 CFBDRN C[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593732564 349316463 /nfs/dbraw/zinc/31/64/63/349316463.db2.gz PBVLTCCNOLHTJH-SNVBAGLBSA-N 0 0 278.308 2.525 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC12CCCC2 ZINC000593756641 349321285 /nfs/dbraw/zinc/32/12/85/349321285.db2.gz BOBOGLXAKGLAQQ-UHFFFAOYSA-N 0 0 266.322 2.815 20 5 CFBDRN CNc1ccc(C(=O)N2CCC23CCCC3)cc1[N+](=O)[O-] ZINC000593760500 349321892 /nfs/dbraw/zinc/32/18/92/349321892.db2.gz RZFJOZCFGYGPOE-UHFFFAOYSA-N 0 0 289.335 2.795 20 5 CFBDRN Cc1cc(C(=O)NC2(C)CC=CC2)cc([N+](=O)[O-])c1 ZINC000594074844 349369093 /nfs/dbraw/zinc/36/90/93/349369093.db2.gz IVTDLGVCESQIFR-UHFFFAOYSA-N 0 0 260.293 2.742 20 5 CFBDRN CC1(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)CC=CC1 ZINC000594074841 349369181 /nfs/dbraw/zinc/36/91/81/349369181.db2.gz IPPQKBIWXDTERK-UHFFFAOYSA-N 0 0 299.330 2.774 20 5 CFBDRN CC(C)(CCn1cccc([N+](=O)[O-])c1=O)C1CC1 ZINC000594447007 349424281 /nfs/dbraw/zinc/42/42/81/349424281.db2.gz DJAHPMZETJSHJR-UHFFFAOYSA-N 0 0 250.298 2.583 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000596972278 350009709 /nfs/dbraw/zinc/00/97/09/350009709.db2.gz UZXLVHSUOIVYCI-GFCCVEGCSA-N 0 0 262.309 2.647 20 5 CFBDRN O=[N+]([O-])c1ccc(C[NH2+][C@H]2CO[C@@H](C3CC3)C2)c(Cl)c1 ZINC000597087403 350028518 /nfs/dbraw/zinc/02/85/18/350028518.db2.gz ARQKBKADORFGKD-BXUZGUMPSA-N 0 0 296.754 2.905 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597091621 350028817 /nfs/dbraw/zinc/02/88/17/350028817.db2.gz ABLYWBQIEGEYIV-BQBZGAKWSA-N 0 0 298.730 2.608 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597093907 350029939 /nfs/dbraw/zinc/02/99/39/350029939.db2.gz ZZFJJCPLPVJQRJ-MRVPVSSYSA-N 0 0 298.730 2.847 20 5 CFBDRN CCCCNC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597095062 350030069 /nfs/dbraw/zinc/03/00/69/350030069.db2.gz WAEOLBPKPJREEQ-UHFFFAOYSA-N 0 0 286.719 2.752 20 5 CFBDRN CC(C)(C)NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597104669 350030147 /nfs/dbraw/zinc/03/01/47/350030147.db2.gz UNUWLHZJEWWTPC-UHFFFAOYSA-N 0 0 286.719 2.750 20 5 CFBDRN Cc1ccc(CN2CCCc3n[nH]cc3C2)cc1[N+](=O)[O-] ZINC000597257007 350074037 /nfs/dbraw/zinc/07/40/37/350074037.db2.gz BSKIZOZQVZPEQU-UHFFFAOYSA-N 0 0 286.335 2.575 20 5 CFBDRN O=C([C@H]1C[C@H]2C[C@H]2C1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000597275770 350078407 /nfs/dbraw/zinc/07/84/07/350078407.db2.gz LJWIRXSGHABELP-ITGUQSILSA-N 0 0 286.331 2.920 20 5 CFBDRN C[C@@H]1C[N@@H+](C/C=C\c2ccccc2[N+](=O)[O-])C[C@H](C)C1O ZINC000597206754 350055250 /nfs/dbraw/zinc/05/52/50/350055250.db2.gz VKJBQAHFRPVTJR-SSXGVJDWSA-N 0 0 290.363 2.557 20 5 CFBDRN Cc1nnc(CN(C)Cc2ccc([N+](=O)[O-])cc2C)s1 ZINC000597241946 350069865 /nfs/dbraw/zinc/06/98/65/350069865.db2.gz BILLQOAXIZJMSV-UHFFFAOYSA-N 0 0 292.364 2.695 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000597555733 350111105 /nfs/dbraw/zinc/11/11/05/350111105.db2.gz RTGBVHCVNFILCT-BNOWGMLFSA-N 0 0 288.347 2.956 20 5 CFBDRN COc1cc(NCCc2cscn2)ccc1[N+](=O)[O-] ZINC000104432441 186000110 /nfs/dbraw/zinc/00/01/10/186000110.db2.gz KSZGLDAPKGVPOI-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OC(C)(C)C ZINC000090578663 180008454 /nfs/dbraw/zinc/00/84/54/180008454.db2.gz LESLFHLRQXSHEF-QWRGUYRKSA-N 0 0 294.351 2.976 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)c2ncc[nH]2)cc1[N+](=O)[O-] ZINC000597630612 350119485 /nfs/dbraw/zinc/11/94/85/350119485.db2.gz DVRDMYJBCSWFQZ-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCC(C)(C)O ZINC000597667877 350122151 /nfs/dbraw/zinc/12/21/51/350122151.db2.gz URLKRQRYGQYOIL-UHFFFAOYSA-N 0 0 280.324 2.701 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000271257078 191033551 /nfs/dbraw/zinc/03/35/51/191033551.db2.gz KEUBFMGKVZWAEV-WCBMZHEXSA-N 0 0 298.726 2.546 20 5 CFBDRN COCC1(NC(=O)c2ccc([N+](=O)[O-])cc2C)CCCC1 ZINC000597879946 350147859 /nfs/dbraw/zinc/14/78/59/350147859.db2.gz BTOCBZKPNCGCTP-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CSc1ccc(C(=O)N[C@@]23C[C@@H]2CCC3)cc1[N+](=O)[O-] ZINC000597884572 350147943 /nfs/dbraw/zinc/14/79/43/350147943.db2.gz PBSDUOJOWPPWQR-HZMBPMFUSA-N 0 0 292.360 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2nnc(C3CC3)s2)cc1 ZINC000414558177 233292347 /nfs/dbraw/zinc/29/23/47/233292347.db2.gz RSISWHWCRWNKNZ-UHFFFAOYSA-N 0 0 290.348 2.614 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000597752786 350131413 /nfs/dbraw/zinc/13/14/13/350131413.db2.gz HXRURSVGCQHJKW-LLVKDONJSA-N 0 0 291.351 2.796 20 5 CFBDRN CCc1nn(C)cc1Nc1ccc([N+](=O)[O-])cc1F ZINC000091026272 180089543 /nfs/dbraw/zinc/08/95/43/180089543.db2.gz LINIEYCQJUROEP-UHFFFAOYSA-N 0 0 264.260 2.773 20 5 CFBDRN C[C@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273489420 192172044 /nfs/dbraw/zinc/17/20/44/192172044.db2.gz SENTUOKTXRTPMS-QMMMGPOBSA-N 0 0 267.260 2.750 20 5 CFBDRN CC[C@H](COCC1CC1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000597917873 350157577 /nfs/dbraw/zinc/15/75/77/350157577.db2.gz BYJJSSZMYAZAOP-GFCCVEGCSA-N 0 0 292.335 2.530 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000597953003 350161964 /nfs/dbraw/zinc/16/19/64/350161964.db2.gz ZNRYHZLPSHACII-QMMMGPOBSA-N 0 0 254.286 2.742 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000597952398 350162218 /nfs/dbraw/zinc/16/22/18/350162218.db2.gz RTWWPZIQEIXQBF-SECBINFHSA-N 0 0 279.340 2.732 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)c2cscn2)cc1[N+](=O)[O-] ZINC000598015296 350177446 /nfs/dbraw/zinc/17/74/46/350177446.db2.gz TYIXAUQRGRBRLC-LURJTMIESA-N 0 0 297.361 2.912 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000597974958 350168829 /nfs/dbraw/zinc/16/88/29/350168829.db2.gz FFJJGBRKKWKVMD-JTQLQIEISA-N 0 0 250.298 2.761 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000598060641 350183632 /nfs/dbraw/zinc/18/36/32/350183632.db2.gz UVSSABJOMVTMBO-UMSPYCQHSA-N 0 0 298.726 2.546 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCO[C@@H](C)C1 ZINC000271321298 191073209 /nfs/dbraw/zinc/07/32/09/191073209.db2.gz KFPZVIHWTKNPRU-RYUDHWBXSA-N 0 0 294.351 2.968 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CC=CCC2)c(N)c([N+](=O)[O-])c1 ZINC000104869345 186029844 /nfs/dbraw/zinc/02/98/44/186029844.db2.gz UEOPMMCSVVMSRF-NSHDSACASA-N 0 0 289.335 2.572 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC1CCCCC1 ZINC000091556089 180190260 /nfs/dbraw/zinc/19/02/60/180190260.db2.gz DPFMOARUADMQMQ-UHFFFAOYSA-N 0 0 252.318 2.864 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000598213938 350215860 /nfs/dbraw/zinc/21/58/60/350215860.db2.gz FKKDAOCXNNGANM-CYBMUJFWSA-N 0 0 298.289 2.932 20 5 CFBDRN Cc1c(CC(=O)NC[C@@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000598215512 350216623 /nfs/dbraw/zinc/21/66/23/350216623.db2.gz LSRHYXODVFYDPD-CYBMUJFWSA-N 0 0 298.289 2.607 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])CC1(F)F ZINC000598218120 350217366 /nfs/dbraw/zinc/21/73/66/350217366.db2.gz YOIWUJOIGJTHMJ-NSHDSACASA-N 0 0 288.225 2.509 20 5 CFBDRN O=C(NCCCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000598217367 350217376 /nfs/dbraw/zinc/21/73/76/350217376.db2.gz ZQLGOBGHRYVOAF-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN CCc1nn(C)c(NCCC2CCCC2)c1[N+](=O)[O-] ZINC000091560837 180192326 /nfs/dbraw/zinc/19/23/26/180192326.db2.gz CRNCMEHRZZHJCH-UHFFFAOYSA-N 0 0 266.345 2.883 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](CC)C1CC1 ZINC000598233198 350221638 /nfs/dbraw/zinc/22/16/38/350221638.db2.gz LXEXJDUYQMIXIN-NSHDSACASA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(C(=O)NCCC(C)(C)F)ccc1[N+](=O)[O-] ZINC000598196693 350211900 /nfs/dbraw/zinc/21/19/00/350211900.db2.gz GMXDQHRHRCPVLM-UHFFFAOYSA-N 0 0 268.288 2.771 20 5 CFBDRN CC(=O)c1ccc(SC[C@@H](C)CO)c([N+](=O)[O-])c1 ZINC000091542712 180184705 /nfs/dbraw/zinc/18/47/05/180184705.db2.gz YJNIIZPDWSGMGT-QMMMGPOBSA-N 0 0 269.322 2.518 20 5 CFBDRN CC[C@](C)(NC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000598252057 350225413 /nfs/dbraw/zinc/22/54/13/350225413.db2.gz ZDTRBKNTTWXQMV-LBPRGKRZSA-N 0 0 268.338 2.965 20 5 CFBDRN CC[C@@](C)(NC(=O)COc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598251405 350225430 /nfs/dbraw/zinc/22/54/30/350225430.db2.gz RBMJAPMJOUKEJG-OAHLLOKOSA-N 0 0 292.335 2.669 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@@H]2C[C@@H]2C1 ZINC000598326521 350234607 /nfs/dbraw/zinc/23/46/07/350234607.db2.gz CQDJDTZUZLCIGC-MWLCHTKSSA-N 0 0 286.287 2.823 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000598330805 350236727 /nfs/dbraw/zinc/23/67/27/350236727.db2.gz NMEBZKPDHWJENO-DRZSPHRISA-N 0 0 274.320 2.567 20 5 CFBDRN CCSC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000598337048 350239555 /nfs/dbraw/zinc/23/95/55/350239555.db2.gz DYXNSHJFDAFTBD-UHFFFAOYSA-N 0 0 294.376 2.539 20 5 CFBDRN CCSC1(CNC(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000598337534 350239762 /nfs/dbraw/zinc/23/97/62/350239762.db2.gz MFOHCTXNPRNSER-UHFFFAOYSA-N 0 0 294.376 2.539 20 5 CFBDRN CC1(C)[C@H](CNC(=O)c2ccccc2[N+](=O)[O-])C1(F)F ZINC000598341308 350240943 /nfs/dbraw/zinc/24/09/43/350240943.db2.gz SJSSNVCMZKDVAQ-JTQLQIEISA-N 0 0 284.262 2.616 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000105177066 186045326 /nfs/dbraw/zinc/04/53/26/186045326.db2.gz WITZYOKYFRLAAE-BXUZGUMPSA-N 0 0 291.351 2.625 20 5 CFBDRN CC(C)CC1(NC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000598402315 350257330 /nfs/dbraw/zinc/25/73/30/350257330.db2.gz GYUPJZOKGPKRFP-UHFFFAOYSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCO[C@H](C)C2)n1 ZINC000271343267 191086007 /nfs/dbraw/zinc/08/60/07/191086007.db2.gz MTKVFQABTCYVTC-MNOVXSKESA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000105384204 186055540 /nfs/dbraw/zinc/05/55/40/186055540.db2.gz IQCROMGABBHMOT-ZWNOBZJWSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC1=CCCC1 ZINC000598377064 350252130 /nfs/dbraw/zinc/25/21/30/350252130.db2.gz SCXROKASFGOKBI-UHFFFAOYSA-N 0 0 260.293 2.743 20 5 CFBDRN CC(C)[C@@H](NC(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598382017 350253497 /nfs/dbraw/zinc/25/34/97/350253497.db2.gz VEBTZMRRUCRMOM-OAHLLOKOSA-N 0 0 276.336 2.688 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000598384831 350254399 /nfs/dbraw/zinc/25/43/99/350254399.db2.gz GEMYRHDNQCJKLT-CYBMUJFWSA-N 0 0 266.272 2.652 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000598385914 350254549 /nfs/dbraw/zinc/25/45/49/350254549.db2.gz MBNKSMFTYOKODT-OAHLLOKOSA-N 0 0 287.319 2.995 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)C[C@@H]2CC2(C)C)c1 ZINC000598566617 350283324 /nfs/dbraw/zinc/28/33/24/350283324.db2.gz YPIJJLJHRLDJHK-JTQLQIEISA-N 0 0 292.335 2.722 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000598566255 350283564 /nfs/dbraw/zinc/28/35/64/350283564.db2.gz UUDQKCRIWRKNCI-LLVKDONJSA-N 0 0 262.309 2.713 20 5 CFBDRN CC1(CNC(=O)/C=C/c2cccc([N+](=O)[O-])c2)CC1 ZINC000492102605 535122206 /nfs/dbraw/zinc/12/22/06/535122206.db2.gz HWFMCIDOZFZVCI-AATRIKPKSA-N 0 0 260.293 2.524 20 5 CFBDRN CCCCC[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000598458894 350271354 /nfs/dbraw/zinc/27/13/54/350271354.db2.gz AHAKUCIANSPVKD-LLVKDONJSA-N 0 0 294.355 2.893 20 5 CFBDRN CC1(C)CC(NC(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000598504656 350277987 /nfs/dbraw/zinc/27/79/87/350277987.db2.gz LRUXESDMHRCMCB-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN CC1(C)CC(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000598505620 350278372 /nfs/dbraw/zinc/27/83/72/350278372.db2.gz VSIOFRDKRHYNEQ-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1cc(C(=O)N(C)CC2=CCSC2)ccc1[N+](=O)[O-] ZINC000598515696 350279451 /nfs/dbraw/zinc/27/94/51/350279451.db2.gz ZLFDFUAJVNQFNK-UHFFFAOYSA-N 0 0 292.360 2.648 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCO[C@H](C)C1 ZINC000271358109 191094182 /nfs/dbraw/zinc/09/41/82/191094182.db2.gz OJKCXZIBPUKQDJ-MNOVXSKESA-N 0 0 265.313 2.525 20 5 CFBDRN CC1(c2ccccc2)CN(c2ccncc2[N+](=O)[O-])C1 ZINC000598634727 350298170 /nfs/dbraw/zinc/29/81/70/350298170.db2.gz CJDNTHIZSPMFEJ-UHFFFAOYSA-N 0 0 269.304 2.768 20 5 CFBDRN Cc1ccnc([C@@H](C)Nc2nccc(C)c2[N+](=O)[O-])n1 ZINC000598641885 350299970 /nfs/dbraw/zinc/29/99/70/350299970.db2.gz YCTHHQXGPSMRMA-SNVBAGLBSA-N 0 0 273.296 2.570 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3CCCO3)no2)s1 ZINC000274157597 192465137 /nfs/dbraw/zinc/46/51/37/192465137.db2.gz NLULRGLQYDYJSG-ZCFIWIBFSA-N 0 0 267.266 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(CC3CC3)C2)c(F)c1 ZINC000598678786 350309013 /nfs/dbraw/zinc/30/90/13/350309013.db2.gz UJHFGPDIOYMXQS-UHFFFAOYSA-N 0 0 264.300 2.966 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC(CC2CC2)C1 ZINC000598778417 350328077 /nfs/dbraw/zinc/32/80/77/350328077.db2.gz HCHPRPHYZPKWEU-UHFFFAOYSA-N 0 0 266.322 2.528 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@H](c2ccccc2)C1 ZINC000431501945 383678339 /nfs/dbraw/zinc/67/83/39/383678339.db2.gz IKOVNTXMMANMQK-CYBMUJFWSA-N 0 0 286.335 2.712 20 5 CFBDRN CCCn1nccc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000598827859 350335841 /nfs/dbraw/zinc/33/58/41/350335841.db2.gz IFHVZJAMMJMDOO-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN COc1cc(C(=O)N[C@@]2(C)CC2(C)C)c([N+](=O)[O-])cc1F ZINC000598878825 350343790 /nfs/dbraw/zinc/34/37/90/350343790.db2.gz HOWFUPIOMJPPGW-AWEZNQCLSA-N 0 0 296.298 2.661 20 5 CFBDRN COc1cccc(C(=O)N[C@@]2(C)CC2(C)C)c1[N+](=O)[O-] ZINC000598878271 350343836 /nfs/dbraw/zinc/34/38/36/350343836.db2.gz ANSWNYLVCBAUMP-AWEZNQCLSA-N 0 0 278.308 2.522 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598879617 350344068 /nfs/dbraw/zinc/34/40/68/350344068.db2.gz URGFKLPMIDXOBC-HNNXBMFYSA-N 0 0 291.351 2.945 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCC1CC(C)C1 ZINC000598892558 350345040 /nfs/dbraw/zinc/34/50/40/350345040.db2.gz NDQCHQIMAXCYKW-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC[C@H]1CC1(F)F ZINC000598892997 350345117 /nfs/dbraw/zinc/34/51/17/350345117.db2.gz NYDCJKFWEWHBKO-VIFPVBQESA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(C2CC2)c1 ZINC000598954949 350349101 /nfs/dbraw/zinc/34/91/01/350349101.db2.gz WRUFOAHFEZHOPH-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cnn(CCF)c2)c(F)c1 ZINC000598995236 350356503 /nfs/dbraw/zinc/35/65/03/350356503.db2.gz WOUKNFUBTAUIMX-UHFFFAOYSA-N 0 0 282.250 2.512 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599045474 350364154 /nfs/dbraw/zinc/36/41/54/350364154.db2.gz AYLDLNVZXCWPEM-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN CC1CC(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000598778828 350328464 /nfs/dbraw/zinc/32/84/64/350328464.db2.gz YLYQNCWMDMNYBF-UHFFFAOYSA-N 0 0 266.272 2.510 20 5 CFBDRN Cc1sc(C(=O)NCC[C@@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000598781482 350329292 /nfs/dbraw/zinc/32/92/92/350329292.db2.gz IXMLSYCWWAOKHX-SSDOTTSWSA-N 0 0 290.291 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@@H]2CC2(F)F)c1 ZINC000598784972 350329683 /nfs/dbraw/zinc/32/96/83/350329683.db2.gz VXOWXADNMIAENY-SECBINFHSA-N 0 0 284.262 2.678 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000598802795 350330351 /nfs/dbraw/zinc/33/03/51/350330351.db2.gz FXPJSDRGQZLYIA-LBPRGKRZSA-N 0 0 274.320 2.920 20 5 CFBDRN O=[N+]([O-])c1cccc([C@@H]([NH2+]CC2COC2)c2ccccc2)c1 ZINC000599080065 350371641 /nfs/dbraw/zinc/37/16/41/350371641.db2.gz JULRFVHUTOGTKE-KRWDZBQOSA-N 0 0 298.342 2.920 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](c3ccncc3)C2)c(F)c1 ZINC000599100427 350376056 /nfs/dbraw/zinc/37/60/56/350376056.db2.gz HMPWVKDTUDYCLL-NSHDSACASA-N 0 0 288.282 2.518 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@H]1CCCC12CC2 ZINC000599118067 350378587 /nfs/dbraw/zinc/37/85/87/350378587.db2.gz HGIHOUACNQEQSU-CYBMUJFWSA-N 0 0 274.320 2.791 20 5 CFBDRN Cc1cnc(NC[C@@H]2Cc3ccccc3CO2)c([N+](=O)[O-])c1 ZINC000599123288 350379636 /nfs/dbraw/zinc/37/96/36/350379636.db2.gz DXECTERUMOGBBZ-AWEZNQCLSA-N 0 0 299.330 2.852 20 5 CFBDRN COCCC1CCN(c2ncc([N+](=O)[O-])cc2C)CC1 ZINC000599120266 350379754 /nfs/dbraw/zinc/37/97/54/350379754.db2.gz DYCPJLBWUPIQSF-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN COC1CC(c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)C1 ZINC000599122779 350379783 /nfs/dbraw/zinc/37/97/83/350379783.db2.gz DQOYVFOAGZCKGI-UHFFFAOYSA-N 0 0 289.291 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2Cc3ccccc3CO2)nc1 ZINC000599123147 350379828 /nfs/dbraw/zinc/37/98/28/350379828.db2.gz AKYHNMOMPDCBQS-AWEZNQCLSA-N 0 0 285.303 2.543 20 5 CFBDRN Cc1cnc(S[C@H]2COC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000599125447 350380424 /nfs/dbraw/zinc/38/04/24/350380424.db2.gz PSNKDNIGPYIEJP-SECBINFHSA-N 0 0 268.338 2.958 20 5 CFBDRN O=c1[nH]ccc(SC[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000599125322 350380535 /nfs/dbraw/zinc/38/05/35/350380535.db2.gz DABTXXUDBGQTDX-AYMMMOKOSA-N 0 0 266.322 2.834 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[N@@H+]2C2CCCCC2)cn1 ZINC000599125880 350380837 /nfs/dbraw/zinc/38/08/37/350380837.db2.gz FBDFPDRNQGLNQV-CQSZACIVSA-N 0 0 290.367 2.809 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H]2CC[C@@H](C1)S2 ZINC000599134732 350381873 /nfs/dbraw/zinc/38/18/73/350381873.db2.gz PLIPRGGAFAOPDV-MNOVXSKESA-N 0 0 296.396 2.594 20 5 CFBDRN CCC(C)(C)OC1CN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000599136284 350382558 /nfs/dbraw/zinc/38/25/58/350382558.db2.gz JCJBCCAIYWHVJA-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](c2ccccn2)C2CCC2)nc1 ZINC000599138463 350383085 /nfs/dbraw/zinc/38/30/85/350383085.db2.gz DARCVJXVUKKVLS-ZDUSSCGKSA-N 0 0 285.307 2.733 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000599147464 350384777 /nfs/dbraw/zinc/38/47/77/350384777.db2.gz PTAHXXPCMGHECL-OCCSQVGLSA-N 0 0 288.307 2.517 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1sccc1[N+](=O)[O-] ZINC000599162958 350386910 /nfs/dbraw/zinc/38/69/10/350386910.db2.gz BVPNJNWDFWDGRI-OORONAJNSA-N 0 0 256.327 2.880 20 5 CFBDRN COC1CC(c2nc(-c3cccc([N+](=O)[O-])c3C)no2)C1 ZINC000599163644 350387480 /nfs/dbraw/zinc/38/74/80/350387480.db2.gz GHULLSWUIMXUBO-UHFFFAOYSA-N 0 0 289.291 2.846 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000599164846 350387485 /nfs/dbraw/zinc/38/74/85/350387485.db2.gz PHLYMHZVSPFRCQ-VXFNFUGZSA-N 0 0 268.288 2.958 20 5 CFBDRN Cc1nc(N[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000599164417 350387540 /nfs/dbraw/zinc/38/75/40/350387540.db2.gz MYXJZHFNUMFYKW-PZWLIILKSA-N 0 0 265.313 2.522 20 5 CFBDRN C[C@]1(CNc2c(C(N)=O)cccc2[N+](=O)[O-])C[C@H]2C[C@H]2C1 ZINC000599173096 350389314 /nfs/dbraw/zinc/38/93/14/350389314.db2.gz VOHNCXUYCDIQQY-RTUWITSCSA-N 0 0 289.335 2.542 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc([C@H]2CC[C@@H](C)O2)no1 ZINC000599180751 350390742 /nfs/dbraw/zinc/39/07/42/350390742.db2.gz PFPKNEYOXIZMGZ-RDDDGLTNSA-N 0 0 290.279 2.588 20 5 CFBDRN CCOc1cccc(N[C@H]2CO[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599181221 350391004 /nfs/dbraw/zinc/39/10/04/350391004.db2.gz QNWRTXULEHUPII-RISCZKNCSA-N 0 0 292.335 2.973 20 5 CFBDRN COc1cccc(N[C@H]2CO[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599181745 350391319 /nfs/dbraw/zinc/39/13/19/350391319.db2.gz UBONVBZMXMXTNX-MFKMUULPSA-N 0 0 278.308 2.583 20 5 CFBDRN Cc1nc(N2CC[C@H](c3ccc(O)cc3)C2)ccc1[N+](=O)[O-] ZINC000599190508 350392826 /nfs/dbraw/zinc/39/28/26/350392826.db2.gz DGKYCZDKCJNQLS-ZDUSSCGKSA-N 0 0 299.330 2.998 20 5 CFBDRN CCC(O)(CC)CN(C)c1c(Cl)cncc1[N+](=O)[O-] ZINC000599189995 350393034 /nfs/dbraw/zinc/39/30/34/350393034.db2.gz AOJSUQHOZBZFPB-UHFFFAOYSA-N 0 0 287.747 2.631 20 5 CFBDRN Cc1cnc(N2CC[C@@H](c3ccc(O)cc3)C2)c([N+](=O)[O-])c1 ZINC000599192509 350393441 /nfs/dbraw/zinc/39/34/41/350393441.db2.gz SMWDCGHKPWKYKA-CYBMUJFWSA-N 0 0 299.330 2.998 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(c2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000599203432 350395787 /nfs/dbraw/zinc/39/57/87/350395787.db2.gz YWKFFSCBOQYTCG-ZYOFXKKJSA-N 0 0 278.356 2.819 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000599207678 350396434 /nfs/dbraw/zinc/39/64/34/350396434.db2.gz ZGOVTQHGCNTPSF-JTQLQIEISA-N 0 0 278.356 2.883 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000599207654 350396491 /nfs/dbraw/zinc/39/64/91/350396491.db2.gz YSBPZQRWWZVDNK-AOOOYVTPSA-N 0 0 268.288 2.879 20 5 CFBDRN O=C(NC1CCC1)c1c(Br)cccc1[N+](=O)[O-] ZINC000599379231 350427675 /nfs/dbraw/zinc/42/76/75/350427675.db2.gz WVWSQQSHWNCMHC-UHFFFAOYSA-N 0 0 299.124 2.640 20 5 CFBDRN Cc1noc(CN2C[C@H](C)C(F)(F)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000599514855 350448888 /nfs/dbraw/zinc/44/88/88/350448888.db2.gz LWFMTWOTPLYHAK-YUMQZZPRSA-N 0 0 289.282 2.614 20 5 CFBDRN CCC[C@@H](OCC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000599742869 350490026 /nfs/dbraw/zinc/49/00/26/350490026.db2.gz KJWRFZVSPTUZRG-BXUZGUMPSA-N 0 0 294.351 2.977 20 5 CFBDRN CCO[C@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C(C)C ZINC000599746006 350490776 /nfs/dbraw/zinc/49/07/76/350490776.db2.gz YVXOQJYSJQHUQP-FZMZJTMJSA-N 0 0 294.351 2.833 20 5 CFBDRN O=C(NCC[C@H]1CCCOC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000599777330 350492712 /nfs/dbraw/zinc/49/27/12/350492712.db2.gz BTUWHFHJRJYXQD-LLVKDONJSA-N 0 0 293.323 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCN(CC(F)F)C2CC2)cc1 ZINC000106871194 186143430 /nfs/dbraw/zinc/14/34/30/186143430.db2.gz KXUWKMLTGBUUSR-UHFFFAOYSA-N 0 0 286.278 2.703 20 5 CFBDRN COc1cc(NCCc2nccs2)ccc1[N+](=O)[O-] ZINC000107039993 186155789 /nfs/dbraw/zinc/15/57/89/186155789.db2.gz XSRGJLGIUUAHKS-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN CCCCOCCNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000107039874 186156474 /nfs/dbraw/zinc/15/64/74/186156474.db2.gz CRGLEAJOCJEBNW-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN COc1cc(C(=O)Nc2ccncc2C)ccc1[N+](=O)[O-] ZINC000107291601 186166479 /nfs/dbraw/zinc/16/64/79/186166479.db2.gz JCRJRNCWBZSUSO-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000600171916 350529450 /nfs/dbraw/zinc/52/94/50/350529450.db2.gz YCPFBBXDZYRYOG-MCIONIFRSA-N 0 0 288.347 2.690 20 5 CFBDRN CCC(C)(C)OC1CN(Cc2ccccc2[N+](=O)[O-])C1 ZINC000600187449 350535317 /nfs/dbraw/zinc/53/53/17/350535317.db2.gz FEKYIGZWQOMRHJ-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000600190339 350535868 /nfs/dbraw/zinc/53/58/68/350535868.db2.gz IGYKHAAQSORDKV-DTORHVGOSA-N 0 0 284.262 2.648 20 5 CFBDRN CC1(C)[C@@H](c2ccccc2)[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000600209172 350540128 /nfs/dbraw/zinc/54/01/28/350540128.db2.gz XVLGLQJPVUUMEH-KBPBESRZSA-N 0 0 299.330 2.845 20 5 CFBDRN COc1cc(NCCOC(C)C)c(F)cc1[N+](=O)[O-] ZINC000230602981 539801419 /nfs/dbraw/zinc/80/14/19/539801419.db2.gz KDIXCOTVQTXBFH-UHFFFAOYSA-N 0 0 272.276 2.579 20 5 CFBDRN CC1(C)CCC[C@@H]1CCNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000600159872 350524480 /nfs/dbraw/zinc/52/44/80/350524480.db2.gz KKOWFPVDCAQZLI-SNVBAGLBSA-N 0 0 279.340 2.869 20 5 CFBDRN CN(C[C@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000107674192 186184966 /nfs/dbraw/zinc/18/49/66/186184966.db2.gz SGCUBWJXFZUNNQ-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000600162536 350525277 /nfs/dbraw/zinc/52/52/77/350525277.db2.gz SUGMIUTUQMOBJY-HZSPNIEDSA-N 0 0 288.347 2.690 20 5 CFBDRN O=C(NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000600163451 350525869 /nfs/dbraw/zinc/52/58/69/350525869.db2.gz AOXNTQNRLSAQOI-UTUOFQBUSA-N 0 0 274.320 2.761 20 5 CFBDRN CO[C@H]1c2ccccc2C[C@H]1n1cc([N+](=O)[O-])c(C)n1 ZINC000600546881 350568668 /nfs/dbraw/zinc/56/86/68/350568668.db2.gz QHLPRKXESGXRLM-OCCSQVGLSA-N 0 0 273.292 2.585 20 5 CFBDRN C[C@H]1C[C@H]1Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000600564770 350570842 /nfs/dbraw/zinc/57/08/42/350570842.db2.gz YJWOWIZCLXZKNQ-UWVGGRQHSA-N 0 0 258.277 2.566 20 5 CFBDRN C[C@@H]1C[C@@H]1Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000600564769 350571240 /nfs/dbraw/zinc/57/12/40/350571240.db2.gz YJWOWIZCLXZKNQ-NXEZZACHSA-N 0 0 258.277 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCCc2cnoc2)cc1 ZINC000600568624 350572047 /nfs/dbraw/zinc/57/20/47/350572047.db2.gz JDMKSZRWAUFYDJ-UHFFFAOYSA-N 0 0 294.332 2.938 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)N2C[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000600219340 350542707 /nfs/dbraw/zinc/54/27/07/350542707.db2.gz XWNBXIGLIJHWJI-TXEJJXNPSA-N 0 0 288.347 2.984 20 5 CFBDRN CCc1ccc(C(=O)NCC2CC=CC2)cc1[N+](=O)[O-] ZINC000600223891 350542749 /nfs/dbraw/zinc/54/27/49/350542749.db2.gz FQKZFNMCXSEQGW-UHFFFAOYSA-N 0 0 274.320 2.853 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000600219031 350542847 /nfs/dbraw/zinc/54/28/47/350542847.db2.gz KGEOGYKGVQDMCW-MELADBBJSA-N 0 0 288.347 2.642 20 5 CFBDRN CC(C)(C(=O)NCC1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000600224655 350543574 /nfs/dbraw/zinc/54/35/74/350543574.db2.gz OQZQQWQPDDVZEY-UHFFFAOYSA-N 0 0 288.347 2.955 20 5 CFBDRN O=C(NCC1CC=CC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000600224961 350543755 /nfs/dbraw/zinc/54/37/55/350543755.db2.gz TUZZVNALOFLLLO-LSDHHAIUSA-N 0 0 286.331 2.781 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N(C1CC1)C1CC1 ZINC000600361859 350550618 /nfs/dbraw/zinc/55/06/18/350550618.db2.gz YLGIMWCMAVWMRL-UHFFFAOYSA-N 0 0 299.330 2.703 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000600379021 350551780 /nfs/dbraw/zinc/55/17/80/350551780.db2.gz DWYJOJJASWMETQ-UHFFFAOYSA-N 0 0 278.280 2.740 20 5 CFBDRN Nc1c(C(=O)NCC[C@@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000600391544 350552894 /nfs/dbraw/zinc/55/28/94/350552894.db2.gz DSQJHMGZMOWALI-LLVKDONJSA-N 0 0 289.335 2.653 20 5 CFBDRN O=C(NCC[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])o1 ZINC000600395403 350553075 /nfs/dbraw/zinc/55/30/75/350553075.db2.gz RPCFPRRKRBIHEE-SNVBAGLBSA-N 0 0 264.281 2.664 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000600447054 350556201 /nfs/dbraw/zinc/55/62/01/350556201.db2.gz LXAFMDLDBCSFPG-SSDOTTSWSA-N 0 0 290.291 2.916 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000600450458 350557459 /nfs/dbraw/zinc/55/74/59/350557459.db2.gz WCFQTTNAXQWJNZ-VIFPVBQESA-N 0 0 284.262 2.855 20 5 CFBDRN C/C=C/CNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000600490764 350558477 /nfs/dbraw/zinc/55/84/77/350558477.db2.gz LTAUEEYJSYKDSP-AATRIKPKSA-N 0 0 262.309 2.826 20 5 CFBDRN C/C=C\CNC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000600493090 350558937 /nfs/dbraw/zinc/55/89/37/350558937.db2.gz SAYUJRLGMMAZML-IHWYPQMZSA-N 0 0 286.234 2.502 20 5 CFBDRN C/C=C\CNC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000600492057 350559066 /nfs/dbraw/zinc/55/90/66/350559066.db2.gz PKWYVZBSJPMRFA-ARJAWSKDSA-N 0 0 266.322 2.623 20 5 CFBDRN C[C@]1(CNC(=O)c2cccc([N+](=O)[O-])c2)C[C@H]2C[C@H]2C1 ZINC000600501224 350560377 /nfs/dbraw/zinc/56/03/77/350560377.db2.gz TWUDMTCSPXVJFH-JYAVWHMHSA-N 0 0 274.320 2.761 20 5 CFBDRN COc1ccc(OCc2nc(C)oc2C)c([N+](=O)[O-])c1 ZINC000600511416 350561023 /nfs/dbraw/zinc/56/10/23/350561023.db2.gz PWNYNYZEVRZCRZ-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN COc1ccc(NC(=O)c2cccnc2C)c([N+](=O)[O-])c1 ZINC000108153054 186206828 /nfs/dbraw/zinc/20/68/28/186206828.db2.gz HKQOZOCAGRIKAF-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC1(C)CC(Cn2c(=O)oc3cccc([N+](=O)[O-])c32)C1 ZINC000600529034 350565324 /nfs/dbraw/zinc/56/53/24/350565324.db2.gz VXGMGTPCQITOPH-UHFFFAOYSA-N 0 0 276.292 2.939 20 5 CFBDRN CC(C)(C)CNC(=O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000600530847 350565658 /nfs/dbraw/zinc/56/56/58/350565658.db2.gz CQJGAUWDPKSYAC-UHFFFAOYSA-N 0 0 296.392 2.990 20 5 CFBDRN CC1(C)CC(Cn2cc([N+](=O)[O-])cc(Cl)c2=O)C1 ZINC000600533836 350566395 /nfs/dbraw/zinc/56/63/95/350566395.db2.gz WTYDURLOFAILIJ-UHFFFAOYSA-N 0 0 270.716 2.846 20 5 CFBDRN CCOc1cc(OCCc2cnoc2)ccc1[N+](=O)[O-] ZINC000600534224 350566461 /nfs/dbraw/zinc/56/64/61/350566461.db2.gz UBHDKDZBHRLWPJ-UHFFFAOYSA-N 0 0 278.264 2.603 20 5 CFBDRN Cc1nc(CSc2ccc([N+](=O)[O-])cn2)c(C)o1 ZINC000600536199 350566884 /nfs/dbraw/zinc/56/68/84/350566884.db2.gz KKAOKASYPBJMTO-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(Cn3ccnc3C3CC3)nc2c1 ZINC000600610025 350579842 /nfs/dbraw/zinc/57/98/42/350579842.db2.gz CRNKODXTGIIVHF-UHFFFAOYSA-N 0 0 284.275 2.858 20 5 CFBDRN Cc1noc(CSCCOc2ccccc2[N+](=O)[O-])n1 ZINC000108410770 186215129 /nfs/dbraw/zinc/21/51/29/186215129.db2.gz AXDKCOGZYAOBKU-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000092657543 180425520 /nfs/dbraw/zinc/42/55/20/180425520.db2.gz UQESPRLYMWPTPU-QWRGUYRKSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1cnc(CNc2ncc([N+](=O)[O-])cc2C)s1 ZINC000092657778 180425567 /nfs/dbraw/zinc/42/55/67/180425567.db2.gz AHCAWQCBNUVQOW-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC1(C)CCCC1 ZINC000108752169 186229015 /nfs/dbraw/zinc/22/90/15/186229015.db2.gz MCRBQFNGMBEABX-UHFFFAOYSA-N 0 0 277.324 2.608 20 5 CFBDRN C/C=C/C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000600703484 350598324 /nfs/dbraw/zinc/59/83/24/350598324.db2.gz WNIMRQVVHZMAAE-VUDBWIFFSA-N 0 0 277.324 2.741 20 5 CFBDRN CC(C)Cc1noc(-c2n[nH]c3ccc([N+](=O)[O-])cc32)n1 ZINC000109559845 186262436 /nfs/dbraw/zinc/26/24/36/186262436.db2.gz LQQFFXQUNSJPQO-UHFFFAOYSA-N 0 0 287.279 2.720 20 5 CFBDRN O=C(NC1CCCCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000600887881 350623628 /nfs/dbraw/zinc/62/36/28/350623628.db2.gz YOMBPPLUEVQUCC-UHFFFAOYSA-N 0 0 266.272 2.796 20 5 CFBDRN CC(C)CNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600890243 350623778 /nfs/dbraw/zinc/62/37/78/350623778.db2.gz VKTNHXYILTVCBW-UHFFFAOYSA-N 0 0 276.336 2.789 20 5 CFBDRN C[C@]12CCCC[C@H]1CN2C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000600759088 350606301 /nfs/dbraw/zinc/60/63/01/350606301.db2.gz OJKMFFZOCXQMGL-BBRMVZONSA-N 0 0 288.347 2.928 20 5 CFBDRN CCC(O)(CC)CN(C)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000600766417 350606973 /nfs/dbraw/zinc/60/69/73/350606973.db2.gz IXSSNPLCRBFYHC-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCC12CC2 ZINC000600804708 350614940 /nfs/dbraw/zinc/61/49/40/350614940.db2.gz OZMDZBYTJXADQW-LLVKDONJSA-N 0 0 289.335 2.608 20 5 CFBDRN CCc1[nH]nc(NC(=O)Nc2cccc([N+](=O)[O-])c2)c1C ZINC000109845996 186277022 /nfs/dbraw/zinc/27/70/22/186277022.db2.gz RWAHTHSZSKOWCN-UHFFFAOYSA-N 0 0 289.295 2.833 20 5 CFBDRN CCCc1cc(NC(=O)c2ccc([N+](=O)[O-])s2)n[nH]1 ZINC000109913235 186279069 /nfs/dbraw/zinc/27/90/69/186279069.db2.gz ORWSIIJKSOGLGU-UHFFFAOYSA-N 0 0 280.309 2.584 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H](C)O[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000601003206 350644088 /nfs/dbraw/zinc/64/40/88/350644088.db2.gz JDNZQGKPGATKBK-ZHPDPMBESA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000601016235 350645963 /nfs/dbraw/zinc/64/59/63/350645963.db2.gz XOFXVAOXWZPXJB-QMMMGPOBSA-N 0 0 266.272 2.510 20 5 CFBDRN CN(CC1CCC1)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000110467071 186297998 /nfs/dbraw/zinc/29/79/98/186297998.db2.gz VECBSFRSWWCZKC-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC[S@@](=O)C2CCC2)cc1 ZINC000600914097 350629475 /nfs/dbraw/zinc/62/94/75/350629475.db2.gz ACKHQBWYSLHBME-LJQANCHMSA-N 0 0 283.349 2.665 20 5 CFBDRN CCN(CC1CC1)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601115878 350672468 /nfs/dbraw/zinc/67/24/68/350672468.db2.gz MNGMCNRYGLGDPL-UHFFFAOYSA-N 0 0 292.261 2.583 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ncc(F)cc2[N+](=O)[O-])C12CCC2 ZINC000601118887 350673299 /nfs/dbraw/zinc/67/32/99/350673299.db2.gz JDFBIAJNZUDMDW-VXGBXAGGSA-N 0 0 295.314 2.889 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NCCC1CC1 ZINC000601120941 350673868 /nfs/dbraw/zinc/67/38/68/350673868.db2.gz PBGINRZJSGZNFI-UHFFFAOYSA-N 0 0 278.234 2.559 20 5 CFBDRN COC[C@H]1CCN(c2ccnc3ccc([N+](=O)[O-])cc32)C1 ZINC000601120687 350674063 /nfs/dbraw/zinc/67/40/63/350674063.db2.gz KBOPUIMSGSRIKR-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN CCCc1cc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)n[nH]1 ZINC000110847210 186328964 /nfs/dbraw/zinc/32/89/64/186328964.db2.gz HVJTYZAOTNGQFU-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CC(C)CC[C@](C)(O)CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601122436 350674393 /nfs/dbraw/zinc/67/43/93/350674393.db2.gz WYGMUJUHJJNXDR-ZDUSSCGKSA-N 0 0 285.319 2.728 20 5 CFBDRN COc1cc(COc2ccc([N+](=O)[O-])c(C)c2)sn1 ZINC000601072670 350658086 /nfs/dbraw/zinc/65/80/86/350658086.db2.gz OUJRJADZVJLJLD-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CC1(C)CO[C@H](COc2ccccc2[N+](=O)[O-])C1 ZINC000601070412 350658339 /nfs/dbraw/zinc/65/83/39/350658339.db2.gz PILYJQBSLZIAGO-JTQLQIEISA-N 0 0 251.282 2.789 20 5 CFBDRN COc1cc(COc2ccc(OC)cc2[N+](=O)[O-])sn1 ZINC000601072455 350658385 /nfs/dbraw/zinc/65/83/85/350658385.db2.gz KESWVHRQCFBQSD-UHFFFAOYSA-N 0 0 296.304 2.648 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1nc(CC2CC2)no1 ZINC000601075799 350659697 /nfs/dbraw/zinc/65/96/97/350659697.db2.gz VFBKMXSQKRBMOI-UHFFFAOYSA-N 0 0 289.291 2.818 20 5 CFBDRN C[C@H]1OCC[C@H]1Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000601076892 350660406 /nfs/dbraw/zinc/66/04/06/350660406.db2.gz SNLYUGURSADWAP-YPMHNXCESA-N 0 0 287.319 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CCO[C@H]1C ZINC000601079643 350660808 /nfs/dbraw/zinc/66/08/08/350660808.db2.gz AKZIZDZPRKDEPE-WDEREUQCSA-N 0 0 251.282 2.707 20 5 CFBDRN CCOc1cc(OC[C@@H]2CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000601080576 350661624 /nfs/dbraw/zinc/66/16/24/350661624.db2.gz FEDRMDWSLQHKMF-MNOVXSKESA-N 0 0 281.308 2.797 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@@H]2CCc3ncnn32)cc1 ZINC000601081285 350662234 /nfs/dbraw/zinc/66/22/34/350662234.db2.gz UMTYCSVFGQNDLZ-LBPRGKRZSA-N 0 0 290.348 2.607 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N1CCCCCC1 ZINC000601098697 350667417 /nfs/dbraw/zinc/66/74/17/350667417.db2.gz FCJMOZQCUGLMQU-UHFFFAOYSA-N 0 0 292.261 2.728 20 5 CFBDRN CO[C@H]1CCCN(c2ccnc3ccc([N+](=O)[O-])cc32)C1 ZINC000601110688 350670655 /nfs/dbraw/zinc/67/06/55/350670655.db2.gz KLPAJJOGIHEYLT-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1nc(C)c(CCNc2ncc(F)cc2[N+](=O)[O-])s1 ZINC000601114923 350672231 /nfs/dbraw/zinc/67/22/31/350672231.db2.gz AWVCRNDYEKLYEU-UHFFFAOYSA-N 0 0 296.327 2.857 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC[C@@H](O)C(F)F ZINC000601223224 350702315 /nfs/dbraw/zinc/70/23/15/350702315.db2.gz ZJUJWKAFWGZHLV-SECBINFHSA-N 0 0 294.685 2.985 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H](C(F)F)CC2)c1 ZINC000601230428 350704172 /nfs/dbraw/zinc/70/41/72/350704172.db2.gz BVPTWYQSWOSSJB-GFCCVEGCSA-N 0 0 286.278 2.764 20 5 CFBDRN CCOc1cc(N2CCC[C@@](O)(CC)C2)ccc1[N+](=O)[O-] ZINC000601232853 350704762 /nfs/dbraw/zinc/70/47/62/350704762.db2.gz GXMHYFRHBRYJHS-HNNXBMFYSA-N 0 0 294.351 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)[C@H](O)C(F)(F)F)c1 ZINC000601238423 350707123 /nfs/dbraw/zinc/70/71/23/350707123.db2.gz WDABDRLFJGKOCH-XCBNKYQSSA-N 0 0 278.230 2.627 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCC1([C@@H]2CCCCO2)CCC1 ZINC000601241581 350707560 /nfs/dbraw/zinc/70/75/60/350707560.db2.gz ALSXLSIVLOHFLQ-AWEZNQCLSA-N 0 0 291.351 2.563 20 5 CFBDRN Cc1cnc(N2CCC(C)(F)CC2)c([N+](=O)[O-])c1 ZINC000601246666 350708287 /nfs/dbraw/zinc/70/82/87/350708287.db2.gz QUYGWNWQBRRWRB-UHFFFAOYSA-N 0 0 253.277 2.627 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC(C)(F)CC2)c1 ZINC000601245908 350708439 /nfs/dbraw/zinc/70/84/39/350708439.db2.gz GXPSLJJPQVGWQJ-UHFFFAOYSA-N 0 0 296.298 2.710 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CCC2)[C@H]2CCCO2)s1 ZINC000601249632 350709064 /nfs/dbraw/zinc/70/90/64/350709064.db2.gz DJBVNPYDPZDJET-MWLCHTKSSA-N 0 0 283.353 2.811 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H](C1CCC1)[C@@H]1CCCO1 ZINC000601250972 350709709 /nfs/dbraw/zinc/70/97/09/350709709.db2.gz QHVKUELOXSWRNJ-STQMWFEESA-N 0 0 277.324 2.749 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2c(F)cccc2[N+](=O)[O-])CCS1 ZINC000601260101 350710887 /nfs/dbraw/zinc/71/08/87/350710887.db2.gz LUUHZXLOOJOOOZ-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCn3nccc32)c(F)c1 ZINC000601268896 350713171 /nfs/dbraw/zinc/71/31/71/350713171.db2.gz BPKDRVNNAYGRGU-GFCCVEGCSA-N 0 0 276.271 2.877 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC2(CCC2)C1 ZINC000601128755 350676921 /nfs/dbraw/zinc/67/69/21/350676921.db2.gz CZAXOGSNOMDHPF-UHFFFAOYSA-N 0 0 251.261 2.509 20 5 CFBDRN CCC(CC)(CNc1ncc(F)cc1[N+](=O)[O-])C(=O)OC ZINC000601134651 350678116 /nfs/dbraw/zinc/67/81/16/350678116.db2.gz JBPXFKOVABNDSP-UHFFFAOYSA-N 0 0 299.302 2.520 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNCc1conc1C ZINC000601141135 350680624 /nfs/dbraw/zinc/68/06/24/350680624.db2.gz AUCOIIRXAHAQAQ-UHFFFAOYSA-N 0 0 291.307 2.580 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCCC2(CC2)C1 ZINC000601144219 350681180 /nfs/dbraw/zinc/68/11/80/350681180.db2.gz GTDOUFKVCQNNRB-UHFFFAOYSA-N 0 0 251.261 2.509 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC[C@H](CC(F)(F)F)C1 ZINC000601154516 350683850 /nfs/dbraw/zinc/68/38/50/350683850.db2.gz MYNHIRTZRKTRJB-SSDOTTSWSA-N 0 0 293.220 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NC[C@H]3CCSC3)c2c1 ZINC000601156694 350684610 /nfs/dbraw/zinc/68/46/10/350684610.db2.gz WUQHFGFTUDYGMS-SNVBAGLBSA-N 0 0 289.360 2.730 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601184406 350691420 /nfs/dbraw/zinc/69/14/20/350691420.db2.gz KVTYAPGPMOWRKL-JOYOIKCWSA-N 0 0 289.360 2.871 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H]1C(F)(F)F ZINC000601188459 350692199 /nfs/dbraw/zinc/69/21/99/350692199.db2.gz GXOFERJVATTYJA-ZETCQYMHSA-N 0 0 281.621 2.784 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@@H]1CCc2cccnc21 ZINC000601191488 350692782 /nfs/dbraw/zinc/69/27/82/350692782.db2.gz KYJYEMNPEQNHTK-JTQLQIEISA-N 0 0 288.282 2.666 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(C)(C)n2ccnc2)c1 ZINC000601198215 350694701 /nfs/dbraw/zinc/69/47/01/350694701.db2.gz RCNJNVGBGZWJJZ-UHFFFAOYSA-N 0 0 274.324 2.947 20 5 CFBDRN C[C@@H](Nc1ccsc1[N+](=O)[O-])c1nccn1C ZINC000601198688 350695157 /nfs/dbraw/zinc/69/51/57/350695157.db2.gz FOIAIFQEKSRAPV-SSDOTTSWSA-N 0 0 252.299 2.563 20 5 CFBDRN CC(C)(F)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000601205600 350696981 /nfs/dbraw/zinc/69/69/81/350696981.db2.gz BRBGSYNPMIPIDC-UHFFFAOYSA-N 0 0 263.272 2.725 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCCC12CC2 ZINC000601209769 350698082 /nfs/dbraw/zinc/69/80/82/350698082.db2.gz KBHDXJOVVCXIEQ-JTQLQIEISA-N 0 0 264.329 2.864 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC(C)(C)[C@H]1c1ccco1 ZINC000601210746 350698351 /nfs/dbraw/zinc/69/83/51/350698351.db2.gz SXTDUFUBZKGDNZ-SNVBAGLBSA-N 0 0 276.296 2.509 20 5 CFBDRN CCC[C@H](CCO)Nc1ccc([N+](=O)[O-])cc1F ZINC000601211492 350698406 /nfs/dbraw/zinc/69/84/06/350698406.db2.gz DCRZIQZNSOLXOJ-SECBINFHSA-N 0 0 256.277 2.697 20 5 CFBDRN CCC[C@@H](CCO)Nc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000601212440 350698842 /nfs/dbraw/zinc/69/88/42/350698842.db2.gz LLYCANMHZFGEKB-VIFPVBQESA-N 0 0 286.303 2.706 20 5 CFBDRN CCC[C@@H](CCO)Nc1ccc([N+](=O)[O-])cc1COC ZINC000601211953 350698992 /nfs/dbraw/zinc/69/89/92/350698992.db2.gz BHNYVFCWZHEJKK-LBPRGKRZSA-N 0 0 282.340 2.704 20 5 CFBDRN CCC[C@H](CCO)Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000601211997 350698998 /nfs/dbraw/zinc/69/89/98/350698998.db2.gz DECKYCBWFJMUEE-SECBINFHSA-N 0 0 286.303 2.706 20 5 CFBDRN CCC[C@H](CCO)Nc1ccc([N+](=O)[O-])cc1COC ZINC000601211952 350699028 /nfs/dbraw/zinc/69/90/28/350699028.db2.gz BHNYVFCWZHEJKK-GFCCVEGCSA-N 0 0 282.340 2.704 20 5 CFBDRN CCOc1cc(NC[C@H](C)COC)ccc1[N+](=O)[O-] ZINC000111049215 186347880 /nfs/dbraw/zinc/34/78/80/186347880.db2.gz LQNSUBPXXLGZKN-JTQLQIEISA-N 0 0 268.313 2.688 20 5 CFBDRN CCOc1cc(N[C@@H](C)Cn2cccn2)ccc1[N+](=O)[O-] ZINC000111047998 186348058 /nfs/dbraw/zinc/34/80/58/186348058.db2.gz FRYJNNDVUXABRY-NSHDSACASA-N 0 0 290.323 2.691 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCC[C@H](O)C(F)F)ccnc21 ZINC000601222899 350701655 /nfs/dbraw/zinc/70/16/55/350701655.db2.gz UECUNDLFDKLWEH-NSHDSACASA-N 0 0 297.261 2.571 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000601600974 350745115 /nfs/dbraw/zinc/74/51/15/350745115.db2.gz FMFJAGREHKASJA-KWQFWETISA-N 0 0 284.262 2.564 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@@H]1C(F)F ZINC000601604932 350746064 /nfs/dbraw/zinc/74/60/64/350746064.db2.gz FXWYTOBDEIRHGX-LLVKDONJSA-N 0 0 295.245 2.556 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601613792 350748248 /nfs/dbraw/zinc/74/82/48/350748248.db2.gz ZKMINHXUVSYVNN-BDAKNGLRSA-N 0 0 266.272 2.510 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601625548 350750105 /nfs/dbraw/zinc/75/01/05/350750105.db2.gz LMTOHCWYMNVTBA-UWVGGRQHSA-N 0 0 278.283 2.510 20 5 CFBDRN CO[C@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])CC(C)C ZINC000601636665 350751483 /nfs/dbraw/zinc/75/14/83/350751483.db2.gz YMFLDGSYSGDKTN-JTQLQIEISA-N 0 0 298.314 2.525 20 5 CFBDRN CC[C@@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000601669572 350756266 /nfs/dbraw/zinc/75/62/66/350756266.db2.gz BYWGTELUKGILJJ-SNVBAGLBSA-N 0 0 266.272 2.652 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1c(F)cccc1[N+](=O)[O-] ZINC000601672334 350757454 /nfs/dbraw/zinc/75/74/54/350757454.db2.gz QAMIKMHILARVNP-VWYCJHECSA-N 0 0 292.310 2.900 20 5 CFBDRN CCC(F)(F)CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601682250 350758792 /nfs/dbraw/zinc/75/87/92/350758792.db2.gz FHENJJWOGSEODQ-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN CC1(CNC(=O)c2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000601286301 350717970 /nfs/dbraw/zinc/71/79/70/350717970.db2.gz XTVRQBKCWZPAHX-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601309510 350720880 /nfs/dbraw/zinc/72/08/80/350720880.db2.gz DGDLRSQVXQTVKO-AWEZNQCLSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@]1(CNC(=O)c2c(F)cccc2[N+](=O)[O-])CCCS1 ZINC000601309586 350720996 /nfs/dbraw/zinc/72/09/96/350720996.db2.gz HFERDJBLNSGNBN-CYBMUJFWSA-N 0 0 298.339 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3(C(F)F)CCC3)n2)o1 ZINC000601380673 350728297 /nfs/dbraw/zinc/72/82/97/350728297.db2.gz OGRNUVBMDOWTCP-UHFFFAOYSA-N 0 0 285.206 2.925 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C[C@H]1F ZINC000601413959 350730891 /nfs/dbraw/zinc/73/08/91/350730891.db2.gz MHBYTUOEOBPEOU-WCBMZHEXSA-N 0 0 284.262 2.554 20 5 CFBDRN C[C@H](NC(=O)CC1CSC1)c1ccccc1[N+](=O)[O-] ZINC000601410224 350731069 /nfs/dbraw/zinc/73/10/69/350731069.db2.gz BZPNDAHYZPWANE-VIFPVBQESA-N 0 0 280.349 2.525 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601461666 350733555 /nfs/dbraw/zinc/73/35/55/350733555.db2.gz KRJNJXPKUOMDEW-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN O=C(NC[C@@H]1CCCSC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601579269 350742341 /nfs/dbraw/zinc/74/23/41/350742341.db2.gz BBAHKGJGVPJBSW-VIFPVBQESA-N 0 0 298.339 2.607 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC[C@@H](C2CC2)C1 ZINC000601582055 350742380 /nfs/dbraw/zinc/74/23/80/350742380.db2.gz JVBFXGUTZFKGTA-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN Cc1cc(-c2ccc([N+](=O)[O-])cc2)n(CC(C)(C)O)n1 ZINC000601956339 350818788 /nfs/dbraw/zinc/81/87/88/350818788.db2.gz ZAMBKOXZHAMEFV-UHFFFAOYSA-N 0 0 275.308 2.538 20 5 CFBDRN Cc1cc(C(=O)N[C@@]23C[C@@H]2CCCC3)cc([N+](=O)[O-])c1 ZINC000601773176 350774032 /nfs/dbraw/zinc/77/40/32/350774032.db2.gz JPAOLOVUGNPVAY-WFASDCNBSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@]12C[C@@H]1CCCC2 ZINC000601774115 350774573 /nfs/dbraw/zinc/77/45/73/350774573.db2.gz KBTBJGLQZRXDQC-WFASDCNBSA-N 0 0 274.320 2.586 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCCC2)c1ccc([N+](=O)[O-])cc1 ZINC000601774317 350774728 /nfs/dbraw/zinc/77/47/28/350774728.db2.gz LKJSJRDHKWWGJM-FZMZJTMJSA-N 0 0 260.293 2.657 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@]12C[C@H]1CCCC2 ZINC000601774111 350774742 /nfs/dbraw/zinc/77/47/42/350774742.db2.gz KBTBJGLQZRXDQC-IUODEOHRSA-N 0 0 274.320 2.586 20 5 CFBDRN Cc1ccc(CNC(=O)Cc2cccc([N+](=O)[O-])c2C)o1 ZINC000601853702 350791872 /nfs/dbraw/zinc/79/18/72/350791872.db2.gz CKBUMMKOLOISIT-UHFFFAOYSA-N 0 0 288.303 2.664 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000601869697 350795270 /nfs/dbraw/zinc/79/52/70/350795270.db2.gz JUAXOTZBYUUSMP-JTQLQIEISA-N 0 0 262.309 2.903 20 5 CFBDRN CNc1c(C(=O)N2CCC(C)(F)CC2)cccc1[N+](=O)[O-] ZINC000601870655 350795300 /nfs/dbraw/zinc/79/53/00/350795300.db2.gz XMQNNTHZMXZIBU-UHFFFAOYSA-N 0 0 295.314 2.601 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC1CCC1 ZINC000601871837 350796100 /nfs/dbraw/zinc/79/61/00/350796100.db2.gz VVTQNRPOTMOBFS-VIFPVBQESA-N 0 0 277.324 2.607 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)CC1CCC1 ZINC000601872292 350796138 /nfs/dbraw/zinc/79/61/38/350796138.db2.gz XBHOSGBIZITFRC-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCS[C@H](C)C(C)C ZINC000601914119 350800595 /nfs/dbraw/zinc/80/05/95/350800595.db2.gz TTXPNCCVMBBHRJ-SECBINFHSA-N 0 0 257.359 2.877 20 5 CFBDRN COc1ccccc1SCCn1c(C)ncc1[N+](=O)[O-] ZINC000601913446 350800726 /nfs/dbraw/zinc/80/07/26/350800726.db2.gz QHVUPKLVAJXQCA-UHFFFAOYSA-N 0 0 293.348 2.901 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H]1CCO[C@@H]1C ZINC000601927144 350804466 /nfs/dbraw/zinc/80/44/66/350804466.db2.gz MROIVERMMDWWIM-GHMZBOCLSA-N 0 0 279.292 2.601 20 5 CFBDRN CCC1=NN(c2ccc([N+](=O)[O-])cc2)C(=O)[C@@H]1C(C)C ZINC000601933633 350807165 /nfs/dbraw/zinc/80/71/65/350807165.db2.gz DNCPNQVEYKAIJZ-CYBMUJFWSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1N1N=C(C)C[C@H](C)C1=O ZINC000601935228 350807993 /nfs/dbraw/zinc/80/79/93/350807993.db2.gz ICKAXOCLIRYOOH-VIFPVBQESA-N 0 0 261.281 2.652 20 5 CFBDRN CC1=NN(c2cc([N+](=O)[O-])ccc2C)C(=O)[C@@H]1C1CC1 ZINC000601936103 350808597 /nfs/dbraw/zinc/80/85/97/350808597.db2.gz PJXIXSSHQFSTCV-ZDUSSCGKSA-N 0 0 273.292 2.652 20 5 CFBDRN C[C@H](C(=O)N1OC2CCC1CC2)c1cccc([N+](=O)[O-])c1 ZINC000602211790 350852003 /nfs/dbraw/zinc/85/20/03/350852003.db2.gz DRGMHSYANXTZRR-JKDOVBDQSA-N 0 0 290.319 2.783 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1ccc([N+](=O)[O-])[nH]1)C(C)(C)C ZINC000602218851 350853252 /nfs/dbraw/zinc/85/32/52/350853252.db2.gz RZICYBVJWVTFGU-VIFPVBQESA-N 0 0 267.329 2.677 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000602231055 350854316 /nfs/dbraw/zinc/85/43/16/350854316.db2.gz LWDHNTORTRKALS-GFCCVEGCSA-N 0 0 266.297 2.739 20 5 CFBDRN CCC[C@H](OCC)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000602235024 350854785 /nfs/dbraw/zinc/85/47/85/350854785.db2.gz VDGXNXGAQNGJEH-ZDUSSCGKSA-N 0 0 280.324 2.763 20 5 CFBDRN CCC[C@H](OCC)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000602231666 350854804 /nfs/dbraw/zinc/85/48/04/350854804.db2.gz CBFOPTNJPYQHGZ-AWEZNQCLSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N2CC=CC2)cc1[N+](=O)[O-] ZINC000602274660 350857674 /nfs/dbraw/zinc/85/76/74/350857674.db2.gz WEHITVVFGPVQOI-LLVKDONJSA-N 0 0 275.308 2.546 20 5 CFBDRN CCO[C@@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])C(C)C ZINC000602270025 350857883 /nfs/dbraw/zinc/85/78/83/350857883.db2.gz QWFAWMSPPADTOI-CQSZACIVSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N2CC=CC2)cc1[N+](=O)[O-] ZINC000602274661 350857909 /nfs/dbraw/zinc/85/79/09/350857909.db2.gz WEHITVVFGPVQOI-NSHDSACASA-N 0 0 275.308 2.546 20 5 CFBDRN CCCN(C(=O)C1CC(OC)C1)c1cccc([N+](=O)[O-])c1 ZINC000602323811 350863162 /nfs/dbraw/zinc/86/31/62/350863162.db2.gz ACOFTNSPYYAUGF-UHFFFAOYSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@H]1CCN(Cc2cn(C)nc2[N+](=O)[O-])c2ccccc21 ZINC000602598913 350940496 /nfs/dbraw/zinc/94/04/96/350940496.db2.gz LDAXYGVPANJWTE-NSHDSACASA-N 0 0 286.335 2.842 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2C[C@@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000602395640 350874300 /nfs/dbraw/zinc/87/43/00/350874300.db2.gz GDLBOQCZNUUEKE-UBHAPETDSA-N 0 0 293.323 2.591 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2C[C@H](C)O[C@@H]2C)cccc1[N+](=O)[O-] ZINC000602395638 350874407 /nfs/dbraw/zinc/87/44/07/350874407.db2.gz GDLBOQCZNUUEKE-MKPLZMMCSA-N 0 0 293.323 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN[C@@H]3C[C@H]3c3ccco3)nc2c1 ZINC000602695484 350983645 /nfs/dbraw/zinc/98/36/45/350983645.db2.gz WVMONJCUDQRRNS-GHMZBOCLSA-N 0 0 299.286 2.975 20 5 CFBDRN Cn1cc(C[N@@H+]2CC[C@@H](C3CCCCC3)C2)c([N+](=O)[O-])n1 ZINC000602707856 350990728 /nfs/dbraw/zinc/99/07/28/350990728.db2.gz JYARJWDTFFNAHJ-CYBMUJFWSA-N 0 0 292.383 2.731 20 5 CFBDRN COCC1(C2CC2)CN(Cc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000602731477 351003823 /nfs/dbraw/zinc/00/38/23/351003823.db2.gz KJZVPYUELTZJKA-UHFFFAOYSA-N 0 0 290.363 2.762 20 5 CFBDRN C[C@@H](c1ccc(F)cc1)N(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602760054 351015821 /nfs/dbraw/zinc/01/58/21/351015821.db2.gz VPFWTTQJHLANON-JTQLQIEISA-N 0 0 292.314 2.660 20 5 CFBDRN Cc1cccc(C)c1OCCCn1cc([N+](=O)[O-])cn1 ZINC000112562301 186506685 /nfs/dbraw/zinc/50/66/85/186506685.db2.gz NMWJXHDZJUGJEP-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602811112 351035210 /nfs/dbraw/zinc/03/52/10/351035210.db2.gz KDJAZFSPRMTLQI-CMPLNLGQSA-N 0 0 280.372 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2c2cn[nH]c2)cc1 ZINC000602879905 351056098 /nfs/dbraw/zinc/05/60/98/351056098.db2.gz HHAYIRUAKILFTR-CQSZACIVSA-N 0 0 272.308 2.655 20 5 CFBDRN CC(C)(C)c1cn(Cc2c(F)cccc2[N+](=O)[O-])nn1 ZINC000603202942 351117429 /nfs/dbraw/zinc/11/74/29/351117429.db2.gz ZVGRYBJLKSKDDF-UHFFFAOYSA-N 0 0 278.287 2.671 20 5 CFBDRN COC(C)(C)c1cn([C@@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC000603212712 351118829 /nfs/dbraw/zinc/11/88/29/351118829.db2.gz AAFXNPJSRCYQRS-JTQLQIEISA-N 0 0 290.323 2.677 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCC(C)(C)F ZINC000603007591 351090279 /nfs/dbraw/zinc/09/02/79/351090279.db2.gz NVTGVGOIUOEEKR-UHFFFAOYSA-N 0 0 299.302 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2OC3CCC2CC3)c(F)c1 ZINC000603031073 351092470 /nfs/dbraw/zinc/09/24/70/351092470.db2.gz LQPQQAWFHYMNME-UHFFFAOYSA-N 0 0 284.262 2.931 20 5 CFBDRN CCc1nnc(SCCOc2ccc([N+](=O)[O-])cc2)o1 ZINC000603371061 351148661 /nfs/dbraw/zinc/14/86/61/351148661.db2.gz PNLQARYAYWRXGO-UHFFFAOYSA-N 0 0 295.320 2.711 20 5 CFBDRN Cc1ccc(OCc2cn(C)nc2[N+](=O)[O-])cc1C ZINC000603422059 351160951 /nfs/dbraw/zinc/16/09/51/351160951.db2.gz XIKVAEHUSFIRQG-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN COc1cc(Cl)ccc1OCc1cn(C)nc1[N+](=O)[O-] ZINC000603421218 351161086 /nfs/dbraw/zinc/16/10/86/351161086.db2.gz BCPSGDRQPXFTLQ-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cnc(C3CC3)o2)cc1CO ZINC000603421817 351161138 /nfs/dbraw/zinc/16/11/38/351161138.db2.gz DNUHDEFLLNEQIQ-UHFFFAOYSA-N 0 0 290.275 2.532 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)C(F)F)c([N+](=O)[O-])c1 ZINC000603424734 351161750 /nfs/dbraw/zinc/16/17/50/351161750.db2.gz SCAFKGHEEOKLMS-ZCFIWIBFSA-N 0 0 258.224 2.863 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(CO)cc2)cc1[N+](=O)[O-] ZINC000603479836 351167147 /nfs/dbraw/zinc/16/71/47/351167147.db2.gz WQWCVQHYXVEANE-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN Cc1ccc(CCNc2nc3sccn3c2[N+](=O)[O-])o1 ZINC000603629442 351176370 /nfs/dbraw/zinc/17/63/70/351176370.db2.gz VANASVBMKGNRPQ-UHFFFAOYSA-N 0 0 292.320 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)Cc2ccco2)c(F)c1 ZINC000603627619 351176513 /nfs/dbraw/zinc/17/65/13/351176513.db2.gz MWFFMRPIHYEAOV-JTQLQIEISA-N 0 0 294.282 2.590 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2COc3ccccc3O2)c1 ZINC000603823621 351183116 /nfs/dbraw/zinc/18/31/16/351183116.db2.gz LNKXHAMEXMHIAR-ZDUSSCGKSA-N 0 0 287.271 2.814 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000603954456 351194503 /nfs/dbraw/zinc/19/45/03/351194503.db2.gz WCEGRITXWZSQNO-NSHDSACASA-N 0 0 292.335 2.545 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000603265948 351127315 /nfs/dbraw/zinc/12/73/15/351127315.db2.gz IPEJQTPFIOGYFD-SNVBAGLBSA-N 0 0 278.308 2.616 20 5 CFBDRN C[C@H]1CCN1C(=O)c1ccc([N+](=O)[O-])cc1Br ZINC000603266837 351127387 /nfs/dbraw/zinc/12/73/87/351127387.db2.gz OEBOZYUQQNBPDO-ZETCQYMHSA-N 0 0 299.124 2.592 20 5 CFBDRN C[C@H]1CCN1C(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000603265975 351127472 /nfs/dbraw/zinc/12/74/72/351127472.db2.gz IUALSYOUBJQONA-ZETCQYMHSA-N 0 0 288.225 2.848 20 5 CFBDRN COc1ccccc1CSCc1cn(C)nc1[N+](=O)[O-] ZINC000603319481 351138134 /nfs/dbraw/zinc/13/81/34/351138134.db2.gz XZORKAYKGFDCGH-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN Cc1nn([C@@H]2C=CCCC2)c2ncc([N+](=O)[O-])cc12 ZINC000603324508 351139345 /nfs/dbraw/zinc/13/93/45/351139345.db2.gz GVRHLRHBONRXAE-SNVBAGLBSA-N 0 0 258.281 2.929 20 5 CFBDRN Cc1nn([C@H]2C=CCCC2)c2ncc([N+](=O)[O-])cc12 ZINC000603324504 351139706 /nfs/dbraw/zinc/13/97/06/351139706.db2.gz GVRHLRHBONRXAE-JTQLQIEISA-N 0 0 258.281 2.929 20 5 CFBDRN CCC(F)(F)Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000603334473 351141645 /nfs/dbraw/zinc/14/16/45/351141645.db2.gz FDBXBTZEGPWKKD-UHFFFAOYSA-N 0 0 282.246 2.955 20 5 CFBDRN CCC(F)(F)Cn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000603347958 351144390 /nfs/dbraw/zinc/14/43/90/351144390.db2.gz BLDMMRGIPXNXQO-UHFFFAOYSA-N 0 0 282.250 2.899 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CCC1CC1 ZINC000115006824 186653739 /nfs/dbraw/zinc/65/37/39/186653739.db2.gz BCYNSIQCGVSDIC-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN CC(C)N(C(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000604117952 351200322 /nfs/dbraw/zinc/20/03/22/351200322.db2.gz LUSKTLQEFKFGAU-UHFFFAOYSA-N 0 0 287.319 2.989 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCOc2ccccc2C1 ZINC000604187413 351200875 /nfs/dbraw/zinc/20/08/75/351200875.db2.gz XOTQLSNOKPZHLP-UHFFFAOYSA-N 0 0 298.298 2.630 20 5 CFBDRN CCc1nc(CN(C)c2cccnc2[N+](=O)[O-])cs1 ZINC000604433100 351212072 /nfs/dbraw/zinc/21/20/72/351212072.db2.gz NNHFYGLSVAMOQC-UHFFFAOYSA-N 0 0 278.337 2.645 20 5 CFBDRN C[C@@H]1CN(c2cccnc2[N+](=O)[O-])C[C@H](c2ccccc2)O1 ZINC000604432388 351212328 /nfs/dbraw/zinc/21/23/28/351212328.db2.gz IXFREJPHYMMSNF-IUODEOHRSA-N 0 0 299.330 2.956 20 5 CFBDRN CCCCOCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000118194506 186765791 /nfs/dbraw/zinc/76/57/91/186765791.db2.gz ARJBXELHHSLGRC-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN Cn1ccnc1[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000369348852 282753591 /nfs/dbraw/zinc/75/35/91/282753591.db2.gz KKSFDLISROWKHF-GFCCVEGCSA-N 0 0 286.335 2.712 20 5 CFBDRN Cc1nn(Cc2nc3cc(F)ccc3o2)c(C)c1[N+](=O)[O-] ZINC000118991999 186792624 /nfs/dbraw/zinc/79/26/24/186792624.db2.gz AHCHATXDLGFEQW-UHFFFAOYSA-N 0 0 290.254 2.737 20 5 CFBDRN Cc1cccc2nc(CNc3cccnc3[N+](=O)[O-])cn21 ZINC000609464011 351502534 /nfs/dbraw/zinc/50/25/34/351502534.db2.gz PIGHVBZUQULPQV-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])s1)Cn1cccn1 ZINC000609560487 351506967 /nfs/dbraw/zinc/50/69/67/351506967.db2.gz MXVPWSKKOXCAJA-VIFPVBQESA-N 0 0 266.326 2.601 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ccsc1[N+](=O)[O-] ZINC000609571285 351508626 /nfs/dbraw/zinc/50/86/26/351508626.db2.gz PBVNKCKXWLYLJM-KCJUWKMLSA-N 0 0 258.343 2.865 20 5 CFBDRN CC(C)n1cc([C@H](C)Nc2ncccc2[N+](=O)[O-])cn1 ZINC000609586006 351509994 /nfs/dbraw/zinc/50/99/94/351509994.db2.gz MVCZVBVVYKDLDO-JTQLQIEISA-N 0 0 275.312 2.940 20 5 CFBDRN CN(C)C(=O)C1(CNc2ccsc2[N+](=O)[O-])CCCC1 ZINC000609595682 351511083 /nfs/dbraw/zinc/51/10/83/351511083.db2.gz GJCFDDAHAJSEFL-UHFFFAOYSA-N 0 0 297.380 2.717 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc3nccn3c2)c1[N+](=O)[O-] ZINC000609618911 351511794 /nfs/dbraw/zinc/51/17/94/351511794.db2.gz BSUZXBQEUHBWHS-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000609606085 351511917 /nfs/dbraw/zinc/51/19/17/351511917.db2.gz XVFUCSFVMQADKJ-ZCFIWIBFSA-N 0 0 295.320 2.752 20 5 CFBDRN C[C@H](CNc1cccnc1[N+](=O)[O-])Oc1ccccc1 ZINC000609613565 351512349 /nfs/dbraw/zinc/51/23/49/351512349.db2.gz CIKJKTDNCKSEFZ-LLVKDONJSA-N 0 0 273.292 2.869 20 5 CFBDRN Cc1cccc2c1CCCN2C(=O)c1ccc([N+](=O)[O-])n1C ZINC000609621793 351512406 /nfs/dbraw/zinc/51/24/06/351512406.db2.gz ZLMREDVYIFCTEX-UHFFFAOYSA-N 0 0 299.330 2.835 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCC[C@@H](O)C1 ZINC000608855921 351480203 /nfs/dbraw/zinc/48/02/03/351480203.db2.gz BFQQQWVUKGGOBB-WDEREUQCSA-N 0 0 250.298 2.619 20 5 CFBDRN CC(C)(CNc1ccncc1[N+](=O)[O-])c1ccccc1F ZINC000608973261 351483005 /nfs/dbraw/zinc/48/30/05/351483005.db2.gz CXHBJSVDJMGWTD-UHFFFAOYSA-N 0 0 289.310 2.941 20 5 CFBDRN CCC[C@H]1CCCC[C@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000609120609 351485991 /nfs/dbraw/zinc/48/59/91/351485991.db2.gz QOSZBAPYXITEDQ-VHSXEESVSA-N 0 0 279.344 2.568 20 5 CFBDRN C[C@H](CC(=O)NCc1ccccc1[N+](=O)[O-])n1cccc1 ZINC000609156764 351488785 /nfs/dbraw/zinc/48/87/85/351488785.db2.gz RSWHHBVBASCFIU-GFCCVEGCSA-N 0 0 287.319 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc(-c3nnco3)c2)cn1 ZINC000609797106 351519991 /nfs/dbraw/zinc/51/99/91/351519991.db2.gz NXZNFIHZSNHGTB-UHFFFAOYSA-N 0 0 284.231 2.832 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C3CCC2CC3)c(Cl)c1 ZINC000609890990 351525987 /nfs/dbraw/zinc/52/59/87/351525987.db2.gz STLHTBWGAAHVSC-UHFFFAOYSA-N 0 0 253.689 2.774 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1cccc(-n2cccn2)c1 ZINC000609964522 351528398 /nfs/dbraw/zinc/52/83/98/351528398.db2.gz PAOKGSWWNIYACX-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000610168966 351536784 /nfs/dbraw/zinc/53/67/84/351536784.db2.gz XOVSTAUSXFCAME-VIFPVBQESA-N 0 0 260.249 2.930 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@@H](C)OC ZINC000291426682 198018713 /nfs/dbraw/zinc/01/87/13/198018713.db2.gz LHGPRDWICBFZID-SNVBAGLBSA-N 0 0 280.324 2.911 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2Cc3ccccc32)c1 ZINC000610238768 351542620 /nfs/dbraw/zinc/54/26/20/351542620.db2.gz TZGJBPYBYCLQEM-CYBMUJFWSA-N 0 0 296.326 2.973 20 5 CFBDRN COc1ccc(NC(=O)c2cccc(C)c2[N+](=O)[O-])nc1 ZINC000610244509 351543226 /nfs/dbraw/zinc/54/32/26/351543226.db2.gz PTPWMWIFTCCVHG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1ccc([N+](=O)[O-])s1 ZINC000610275297 351547166 /nfs/dbraw/zinc/54/71/66/351547166.db2.gz MRSVJDXIGYKALR-UHFFFAOYSA-N 0 0 266.326 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC2CCOCC2)s1 ZINC000610281312 351548902 /nfs/dbraw/zinc/54/89/02/351548902.db2.gz KSDFLCMADYDKCZ-UHFFFAOYSA-N 0 0 286.353 2.654 20 5 CFBDRN CC[C@@H]1CN(c2ccsc2[N+](=O)[O-])CCS1 ZINC000610283301 351549500 /nfs/dbraw/zinc/54/95/00/351549500.db2.gz KSQCLCUPKLLGAV-MRVPVSSYSA-N 0 0 258.368 2.988 20 5 CFBDRN CC1(C)CN(Cc2ccc([N+](=O)[O-])cc2)C(C)(C)CO1 ZINC000609671707 351515041 /nfs/dbraw/zinc/51/50/41/351515041.db2.gz JWBMMWGINVBJDC-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN Cc1ccnc(N2CCOc3ccccc3C2)c1[N+](=O)[O-] ZINC000609669733 351515114 /nfs/dbraw/zinc/51/51/14/351515114.db2.gz MFMPAHWLRDBQHO-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@@H](c3ccccc3)C2)cn1 ZINC000609797158 351519435 /nfs/dbraw/zinc/51/94/35/351519435.db2.gz CZPSQZOUNGFDTE-OAHLLOKOSA-N 0 0 299.330 2.958 20 5 CFBDRN C[C@H](c1cccc(O)c1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000610431844 351565872 /nfs/dbraw/zinc/56/58/72/351565872.db2.gz CFNYBEQYTTZANR-SNVBAGLBSA-N 0 0 273.292 2.893 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@]2(C)CCCC[C@H]2O)c1 ZINC000610489336 351569972 /nfs/dbraw/zinc/56/99/72/351569972.db2.gz IWIPHROVDJBTOW-HUUCEWRRSA-N 0 0 294.351 2.957 20 5 CFBDRN CCCCO[C@H]1C[C@H](Nc2ccncc2[N+](=O)[O-])C1(C)C ZINC000610510537 351574203 /nfs/dbraw/zinc/57/42/03/351574203.db2.gz SDBLJAABNFGKGU-KBPBESRZSA-N 0 0 293.367 2.807 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)c1ccncc1 ZINC000610526070 351577980 /nfs/dbraw/zinc/57/79/80/351577980.db2.gz CLDDZZPLYQIJBI-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN Cc1c(C(=O)NC[C@H](C)c2ccncc2)cccc1[N+](=O)[O-] ZINC000610526649 351579119 /nfs/dbraw/zinc/57/91/19/351579119.db2.gz QTCBKNSDYUDWKR-NSHDSACASA-N 0 0 299.330 2.832 20 5 CFBDRN CC1(C)CN(c2ccsc2[N+](=O)[O-])CCS1 ZINC000610575900 351582844 /nfs/dbraw/zinc/58/28/44/351582844.db2.gz UOKSPDRNYVEJRN-UHFFFAOYSA-N 0 0 258.368 2.988 20 5 CFBDRN COC[C@H]1CCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000610627871 351586319 /nfs/dbraw/zinc/58/63/19/351586319.db2.gz GWJBEWVZAJWKIN-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN CCc1noc(CC)c1CNc1cccnc1[N+](=O)[O-] ZINC000610677839 351591312 /nfs/dbraw/zinc/59/13/12/351591312.db2.gz QLLLFTOUUCVBJK-UHFFFAOYSA-N 0 0 276.296 2.715 20 5 CFBDRN Cc1cccc(CC2CN(c3ccc([N+](=O)[O-])cn3)C2)c1 ZINC000610716460 351596175 /nfs/dbraw/zinc/59/61/75/351596175.db2.gz ZKGQSVJIYAEXQZ-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000610734455 351599370 /nfs/dbraw/zinc/59/93/70/351599370.db2.gz BVEJATUQUOTUFV-WDEREUQCSA-N 0 0 293.323 2.531 20 5 CFBDRN C[C@]1(c2ccccc2)CN(c2ccc([N+](=O)[O-])nc2)CCO1 ZINC000610734811 351600238 /nfs/dbraw/zinc/60/02/38/351600238.db2.gz NRYNXJDUECJKRY-MRXNPFEDSA-N 0 0 299.330 2.742 20 5 CFBDRN Cc1cc(N[C@H](C)C[C@H]2CCOC2)ncc1[N+](=O)[O-] ZINC000610734889 351600398 /nfs/dbraw/zinc/60/03/98/351600398.db2.gz SLXLHRDRSRDFDX-GHMZBOCLSA-N 0 0 265.313 2.525 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CC12CCC2 ZINC000610748764 351603196 /nfs/dbraw/zinc/60/31/96/351603196.db2.gz LMRJTPYCBPYNIG-SECBINFHSA-N 0 0 264.256 2.863 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC(CC(F)(F)F)C1 ZINC000610745059 351603323 /nfs/dbraw/zinc/60/33/23/351603323.db2.gz STWYCOWKUYMFMC-UHFFFAOYSA-N 0 0 294.254 2.681 20 5 CFBDRN O=C([C@@H]1CC12CCC2)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000610748631 351603401 /nfs/dbraw/zinc/60/34/01/351603401.db2.gz UBJBHEWDWQGNRM-LBPRGKRZSA-N 0 0 272.304 2.674 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1C2CCCC1CCC2 ZINC000610773633 351607187 /nfs/dbraw/zinc/60/71/87/351607187.db2.gz JJCLISIYSLEGEO-UHFFFAOYSA-N 0 0 275.308 2.537 20 5 CFBDRN CCOc1cc(N2CCOC(C)(C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000610786603 351608165 /nfs/dbraw/zinc/60/81/65/351608165.db2.gz PFVZYIAHGPHHRM-NSHDSACASA-N 0 0 294.351 2.997 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC(F)(F)C1 ZINC000610783875 351608362 /nfs/dbraw/zinc/60/83/62/351608362.db2.gz KOXLBASXJFUSJR-SECBINFHSA-N 0 0 285.250 2.904 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2c(F)cc(F)cc2C1 ZINC000610808910 351610401 /nfs/dbraw/zinc/61/04/01/351610401.db2.gz BEEFLIURKXQVJA-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@H]1c1cccc(F)c1 ZINC000610305182 351553353 /nfs/dbraw/zinc/55/33/53/351553353.db2.gz LBKDBNQONTZAFB-ZDUSSCGKSA-N 0 0 289.266 2.649 20 5 CFBDRN CCC[C@@H](O)CC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000611005126 351633774 /nfs/dbraw/zinc/63/37/74/351633774.db2.gz PANMUGOQWYQFBB-DGCLKSJQSA-N 0 0 294.351 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(CC(F)C3)C2)cc1 ZINC000611080225 351638369 /nfs/dbraw/zinc/63/83/69/351638369.db2.gz LQHRTQZRAJVNGH-UHFFFAOYSA-N 0 0 250.273 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(CC(F)C3)C2)c1 ZINC000611078622 351638555 /nfs/dbraw/zinc/63/85/55/351638555.db2.gz VGKQSCMANVDKTM-UHFFFAOYSA-N 0 0 250.273 2.842 20 5 CFBDRN CC(C)C1CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000611079321 351638607 /nfs/dbraw/zinc/63/86/07/351638607.db2.gz OMVLAQZMBZDFGQ-UHFFFAOYSA-N 0 0 287.319 2.804 20 5 CFBDRN C[C@@H](Nc1cccnc1[N+](=O)[O-])[C@H]1COc2ccccc21 ZINC000611103895 351640370 /nfs/dbraw/zinc/64/03/70/351640370.db2.gz CMYBGBNTSVBKLO-ZYHUDNBSSA-N 0 0 285.303 2.966 20 5 CFBDRN C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)C1CCC1 ZINC000611121837 351642956 /nfs/dbraw/zinc/64/29/56/351642956.db2.gz AQYRARXPJDFMMH-SSDOTTSWSA-N 0 0 254.311 2.575 20 5 CFBDRN CCC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000611144055 351644990 /nfs/dbraw/zinc/64/49/90/351644990.db2.gz AAOHYTMFJOQSNI-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H](C(F)F)C2)c(F)c1 ZINC000611179792 351648228 /nfs/dbraw/zinc/64/82/28/351648228.db2.gz JXRZCSILDUPUSF-VIFPVBQESA-N 0 0 274.242 2.821 20 5 CFBDRN CC1(CNC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)CCC1 ZINC000611196476 351651317 /nfs/dbraw/zinc/65/13/17/351651317.db2.gz IHPFUESCSAQXBU-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN CCC1(CO)CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000611204645 351651874 /nfs/dbraw/zinc/65/18/74/351651874.db2.gz KBBLUEPXZGIICL-UHFFFAOYSA-N 0 0 270.354 2.645 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)Cc2ccc(F)cc2)s1 ZINC000611228667 351653577 /nfs/dbraw/zinc/65/35/77/351653577.db2.gz FGHULDZCYGQNTJ-NSHDSACASA-N 0 0 296.323 2.811 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)COC(C)(C)C ZINC000291497760 198037884 /nfs/dbraw/zinc/03/78/84/198037884.db2.gz UNZLKQFNZXWTSE-UHFFFAOYSA-N 0 0 280.324 2.911 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)SC ZINC000291514051 198040406 /nfs/dbraw/zinc/04/04/06/198040406.db2.gz XBDPNKBTPMPNHO-MRVPVSSYSA-N 0 0 268.338 2.847 20 5 CFBDRN CCc1ccc(OCc2cc(C)n(C)n2)c([N+](=O)[O-])c1 ZINC000610863142 351616471 /nfs/dbraw/zinc/61/64/71/351616471.db2.gz JGAIVZLTQRIAAT-UHFFFAOYSA-N 0 0 275.308 2.778 20 5 CFBDRN CN(CCOC(C)(C)C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000610862315 351616791 /nfs/dbraw/zinc/61/67/91/351616791.db2.gz CINLQJWCWMGCRC-UHFFFAOYSA-N 0 0 286.353 2.543 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000610946452 351623123 /nfs/dbraw/zinc/62/31/23/351623123.db2.gz FWLGDLGNHPDEPR-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1cc(C)ccc1[N+](=O)[O-] ZINC000610946539 351623431 /nfs/dbraw/zinc/62/34/31/351623431.db2.gz XIVJYPMEKLTSKY-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN COC1(CNc2cc(C)ccc2[N+](=O)[O-])CCOCC1 ZINC000610958635 351625017 /nfs/dbraw/zinc/62/50/17/351625017.db2.gz VTGPLKGVUUMMJX-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CCC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000610972298 351627714 /nfs/dbraw/zinc/62/77/14/351627714.db2.gz AQYAIEHBUMSEFO-NSHDSACASA-N 0 0 298.289 2.750 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCCOC[C@H]1C ZINC000610991066 351628965 /nfs/dbraw/zinc/62/89/65/351628965.db2.gz ZQISHFXGPXIORO-GFCCVEGCSA-N 0 0 294.351 2.604 20 5 CFBDRN NC(=O)c1ccc(Oc2sccc2[N+](=O)[O-])cc1 ZINC000611410954 351685278 /nfs/dbraw/zinc/68/52/78/351685278.db2.gz AATKCLXBKXTZGB-UHFFFAOYSA-N 0 0 264.262 2.548 20 5 CFBDRN COC(=O)[C@H](C)CSc1sccc1[N+](=O)[O-] ZINC000611412126 351685807 /nfs/dbraw/zinc/68/58/07/351685807.db2.gz SJGDSLFVCWFIMU-ZCFIWIBFSA-N 0 0 261.324 2.558 20 5 CFBDRN Cc1ncc2c(n1)CC[C@@H](Nc1sccc1[N+](=O)[O-])C2 ZINC000611412123 351685984 /nfs/dbraw/zinc/68/59/84/351685984.db2.gz HSZKUYYOVKQUTJ-SNVBAGLBSA-N 0 0 290.348 2.724 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H]1CCC[C@@H](O)C1 ZINC000611412863 351686069 /nfs/dbraw/zinc/68/60/69/351686069.db2.gz JULUJOUCVVACED-DTWKUNHWSA-N 0 0 256.327 2.619 20 5 CFBDRN CC(C)(C)OC(=O)[C@@H]1CCN(c2sccc2[N+](=O)[O-])C1 ZINC000611413341 351686234 /nfs/dbraw/zinc/68/62/34/351686234.db2.gz JANFZBCWQRNAOV-SECBINFHSA-N 0 0 298.364 2.824 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CCOC2(CCOCC2)C1 ZINC000611413710 351686788 /nfs/dbraw/zinc/68/67/88/351686788.db2.gz OAZMGQDCIOGBFS-JTQLQIEISA-N 0 0 298.364 2.796 20 5 CFBDRN CCCCCOCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000120802427 186926903 /nfs/dbraw/zinc/92/69/03/186926903.db2.gz VDDHYOPAGOOIER-UHFFFAOYSA-N 0 0 266.297 2.740 20 5 CFBDRN O=c1c2ccccc2n(CCCCF)cc1[N+](=O)[O-] ZINC000291591413 198044794 /nfs/dbraw/zinc/04/47/94/198044794.db2.gz HNPBEZKKMIJBGO-UHFFFAOYSA-N 0 0 264.256 2.659 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H]1F ZINC000611494208 351696607 /nfs/dbraw/zinc/69/66/07/351696607.db2.gz HDLRRZZRLJCKNK-OIBJUYFYSA-N 0 0 273.695 2.828 20 5 CFBDRN C[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@H]1F ZINC000611494482 351697196 /nfs/dbraw/zinc/69/71/96/351697196.db2.gz RRTJAQSFJVRNGG-PSASIEDQSA-N 0 0 256.252 2.918 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000611497533 351697418 /nfs/dbraw/zinc/69/74/18/351697418.db2.gz AKLOHQCBSVTFMI-JOYOIKCWSA-N 0 0 281.287 2.807 20 5 CFBDRN CCC(CC)[C@H]1C[C@@H](Nc2c([N+](=O)[O-])ncn2C)CCO1 ZINC000611495060 351697478 /nfs/dbraw/zinc/69/74/78/351697478.db2.gz VHTGWPFUNTYVRY-NWDGAFQWSA-N 0 0 296.371 2.724 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)C[C@H]2CCCC[C@H]2O)c1 ZINC000611495171 351697633 /nfs/dbraw/zinc/69/76/33/351697633.db2.gz HJKFEPROSRYSQF-IAQYHMDHSA-N 0 0 294.351 2.591 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC[C@@H](C)[C@H](F)C1 ZINC000611501294 351697956 /nfs/dbraw/zinc/69/79/56/351697956.db2.gz AIHPAWNYDUKRFB-ZWNOBZJWSA-N 0 0 282.315 2.783 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@H](C)[C@H](F)C2)cc1[N+](=O)[O-] ZINC000611507227 351701731 /nfs/dbraw/zinc/70/17/31/351701731.db2.gz ZBSVZTVFKRZYHV-IONNQARKSA-N 0 0 286.328 2.785 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N(C)Cc1cn[nH]c1 ZINC000611516608 351702574 /nfs/dbraw/zinc/70/25/74/351702574.db2.gz JSAWMRCLEJQOKI-NSHDSACASA-N 0 0 274.324 2.819 20 5 CFBDRN CCC[C@H](C)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000611280716 351663387 /nfs/dbraw/zinc/66/33/87/351663387.db2.gz MOPLGFDCOBUNIK-ZETCQYMHSA-N 0 0 292.382 2.660 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1sccc1[N+](=O)[O-] ZINC000611290007 351664337 /nfs/dbraw/zinc/66/43/37/351664337.db2.gz QMKSMFOUVRYCOH-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN CCc1nn(C)c(OC)c1CNc1ccsc1[N+](=O)[O-] ZINC000611306497 351667328 /nfs/dbraw/zinc/66/73/28/351667328.db2.gz UEAQYEXKFAQBNM-UHFFFAOYSA-N 0 0 296.352 2.573 20 5 CFBDRN CCC1(O)CCC(Nc2ncc([N+](=O)[O-])cc2C)CC1 ZINC000611306708 351667414 /nfs/dbraw/zinc/66/74/14/351667414.db2.gz WXNQEHZYXIBBFB-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN C[C@@H](Nc1ccccc1[N+](=O)[O-])[C@@H](O)Cc1ccccc1 ZINC000120736152 186921382 /nfs/dbraw/zinc/92/13/82/186921382.db2.gz GPLYZQHCSLMNKO-WBMJQRKESA-N 0 0 286.331 2.999 20 5 CFBDRN COC1(C)CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000611372821 351676478 /nfs/dbraw/zinc/67/64/78/351676478.db2.gz CBCHAUCRYSNADH-UHFFFAOYSA-N 0 0 256.327 2.662 20 5 CFBDRN O=c1[nH]c2c(cc1CNc1ccc([N+](=O)[O-])cc1)CCC2 ZINC000611370666 351676511 /nfs/dbraw/zinc/67/65/11/351676511.db2.gz KOCCHWFDJZBRDR-UHFFFAOYSA-N 0 0 285.303 2.796 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H](C)[C@@H](F)C2)n1 ZINC000611617720 351716153 /nfs/dbraw/zinc/71/61/53/351716153.db2.gz ZUMXKSZYADAVKP-KCJUWKMLSA-N 0 0 267.304 2.791 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCC[C@H]3C3CC3)c2c1 ZINC000611709830 351728030 /nfs/dbraw/zinc/72/80/30/351728030.db2.gz KWBCLPVBKAMQMP-AWEZNQCLSA-N 0 0 284.319 2.917 20 5 CFBDRN COc1ccc(NC(=O)NC[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000611823459 351744507 /nfs/dbraw/zinc/74/45/07/351744507.db2.gz MICDILSOTHXJJU-SECBINFHSA-N 0 0 293.323 2.771 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCCCO2)n1 ZINC000611616569 351714402 /nfs/dbraw/zinc/71/44/02/351714402.db2.gz CFZUSMPQHJFUHT-LLVKDONJSA-N 0 0 265.313 2.588 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCCC[C@H]2CO)n1 ZINC000611616788 351715222 /nfs/dbraw/zinc/71/52/22/351715222.db2.gz GXXKUKZHYRMSRI-RYUDHWBXSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCOC2CCCC2)n1 ZINC000611616687 351715226 /nfs/dbraw/zinc/71/52/26/351715226.db2.gz NEZOLPPOXACNML-UHFFFAOYSA-N 0 0 279.340 2.978 20 5 CFBDRN CCC(CC)(CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611617051 351715363 /nfs/dbraw/zinc/71/53/63/351715363.db2.gz YXVJYTRDEMWDJA-UHFFFAOYSA-N 0 0 267.329 2.570 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCc3n[nH]cc32)ccc1Cl ZINC000291707732 198065882 /nfs/dbraw/zinc/06/58/82/198065882.db2.gz ZOCJXYMDHUHVGH-UHFFFAOYSA-N 0 0 292.726 2.924 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@@H]3CCOC3)CC2)s1 ZINC000612099431 351774516 /nfs/dbraw/zinc/77/45/16/351774516.db2.gz PFPCMDLBXGFOCH-LLVKDONJSA-N 0 0 282.365 2.909 20 5 CFBDRN Cc1c(Br)c(N2CC[C@@H]2C)ncc1[N+](=O)[O-] ZINC000612440034 351821506 /nfs/dbraw/zinc/82/15/06/351821506.db2.gz IBGRWHMVPDWOPA-LURJTMIESA-N 0 0 286.129 2.659 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@H]1O ZINC000612449308 351822156 /nfs/dbraw/zinc/82/21/56/351822156.db2.gz RSBFQXLBJDFZKH-VHSXEESVSA-N 0 0 284.381 2.890 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@@H]1O ZINC000612449309 351822231 /nfs/dbraw/zinc/82/22/31/351822231.db2.gz RSBFQXLBJDFZKH-ZJUUUORDSA-N 0 0 284.381 2.890 20 5 CFBDRN O=c1ccn(Cc2cccc([N+](=O)[O-])c2)c2ccccc12 ZINC000121909327 187004126 /nfs/dbraw/zinc/00/41/26/187004126.db2.gz BPNPSIXLHYMXGG-UHFFFAOYSA-N 0 0 280.283 2.958 20 5 CFBDRN CCCCN(C)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000121963396 187007267 /nfs/dbraw/zinc/00/72/67/187007267.db2.gz VYYFVOJSRRXXBR-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCS2)c1 ZINC000122096054 187015042 /nfs/dbraw/zinc/01/50/42/187015042.db2.gz OFXUWXYJHIMFFM-JTQLQIEISA-N 0 0 268.338 2.911 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cn2)[C@@H]1c1ccccc1 ZINC000612779134 351861646 /nfs/dbraw/zinc/86/16/46/351861646.db2.gz DXJIRRJDUKJIRP-CYBMUJFWSA-N 0 0 284.319 2.972 20 5 CFBDRN CC(C)(C)C(=O)CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000122462168 187033148 /nfs/dbraw/zinc/03/31/48/187033148.db2.gz AWTPOSQGNVPTCX-UHFFFAOYSA-N 0 0 298.726 2.593 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccccn2)cc([N+](=O)[O-])c1 ZINC000122505399 187035138 /nfs/dbraw/zinc/03/51/38/187035138.db2.gz MMIFFENXIZECDD-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN C[C@@H](O)CCOc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000122844048 187055232 /nfs/dbraw/zinc/05/52/32/187055232.db2.gz ZPWBRGRFLIADHI-SSDOTTSWSA-N 0 0 279.214 2.763 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])s2)[C@@]12CCCO2 ZINC000612664329 351849417 /nfs/dbraw/zinc/84/94/17/351849417.db2.gz GZYGKCNQHGTQLK-BREBYQMCSA-N 0 0 298.364 2.795 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C2(C)CC2)cc1[N+](=O)[O-] ZINC000122991291 187064784 /nfs/dbraw/zinc/06/47/84/187064784.db2.gz FVLWCLYZURJMAS-JTQLQIEISA-N 0 0 262.309 2.881 20 5 CFBDRN COc1cc(Cc2noc([C@H]3C[C@H]3C)n2)ccc1[N+](=O)[O-] ZINC000612963651 351881604 /nfs/dbraw/zinc/88/16/04/351881604.db2.gz UTOGXFYLQZFQLE-SCZZXKLOSA-N 0 0 289.291 2.701 20 5 CFBDRN Cc1cc(Oc2cc(Cl)nc(N)n2)c(F)cc1[N+](=O)[O-] ZINC000123413790 187090530 /nfs/dbraw/zinc/09/05/30/187090530.db2.gz RICVBKDSYFPEJP-UHFFFAOYSA-N 0 0 298.661 2.860 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(C3CCC3)no2)c1 ZINC000612897239 351875954 /nfs/dbraw/zinc/87/59/54/351875954.db2.gz KLCNSUUFBCUPRA-UHFFFAOYSA-N 0 0 259.265 2.836 20 5 CFBDRN Cc1ccc(-c2noc(-c3cocn3)n2)cc1[N+](=O)[O-] ZINC000612895218 351876111 /nfs/dbraw/zinc/87/61/11/351876111.db2.gz KQNUVCXWSGHEDL-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CC(C)O[C@@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])C1(C)C ZINC000613284992 351932221 /nfs/dbraw/zinc/93/22/21/351932221.db2.gz DHMOQORNJPGKND-VXGBXAGGSA-N 0 0 279.340 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ncc(Cl)s2)cc1 ZINC000613179772 351910413 /nfs/dbraw/zinc/91/04/13/351910413.db2.gz ABMWQGMKQRBODQ-UHFFFAOYSA-N 0 0 269.713 2.739 20 5 CFBDRN O=C1OCC[C@@H]1CCSCc1ccc([N+](=O)[O-])cc1 ZINC000131319824 187558169 /nfs/dbraw/zinc/55/81/69/187558169.db2.gz YRYOFUUYPSFSMY-LLVKDONJSA-N 0 0 281.333 2.781 20 5 CFBDRN COC[C@@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000613784398 352007306 /nfs/dbraw/zinc/00/73/06/352007306.db2.gz LEGFUZMLHNBTKB-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN COCC[C@H]1CCCCN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000613433893 351958953 /nfs/dbraw/zinc/95/89/53/351958953.db2.gz TUMFZHRAJCNJNK-CYBMUJFWSA-N 0 0 293.367 2.941 20 5 CFBDRN CCOc1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)co1 ZINC000613866075 352018928 /nfs/dbraw/zinc/01/89/28/352018928.db2.gz KEYYDSFXBQCJMD-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN COc1cccc(N2CC[C@@H](C)[C@H](F)C2)c1[N+](=O)[O-] ZINC000613890053 352022385 /nfs/dbraw/zinc/02/23/85/352022385.db2.gz ZMUNQOQKVUROBK-NXEZZACHSA-N 0 0 268.288 2.788 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1CCC[C@H]1CCO ZINC000614534239 352102758 /nfs/dbraw/zinc/10/27/58/352102758.db2.gz QBKUJFKNMDNDIP-DTWKUNHWSA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@@H]2CCO)s1 ZINC000614534261 352102760 /nfs/dbraw/zinc/10/27/60/352102760.db2.gz ZIYKJJKDDNNLLY-RKDXNWHRSA-N 0 0 256.327 2.619 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2cnoc2)cc1[N+](=O)[O-] ZINC000615193959 352165378 /nfs/dbraw/zinc/16/53/78/352165378.db2.gz PBMHTVCAIIMRMM-UHFFFAOYSA-N 0 0 275.264 2.959 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])c(N)c2F)CC(C)(C)O1 ZINC000615501105 352199368 /nfs/dbraw/zinc/19/93/68/352199368.db2.gz KOTUGLQUXXKOJX-UHFFFAOYSA-N 0 0 297.330 2.710 20 5 CFBDRN C[C@H]1C[C@H](O)CN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000615946076 352266274 /nfs/dbraw/zinc/26/62/74/352266274.db2.gz STOYVHZDQCUTHC-JQWIXIFHSA-N 0 0 294.351 2.732 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000616258005 352315870 /nfs/dbraw/zinc/31/58/70/352315870.db2.gz LJTGYHWJSSQXOY-NSHDSACASA-N 0 0 291.351 2.891 20 5 CFBDRN COC[C@@H]1CCCN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000616060736 352280344 /nfs/dbraw/zinc/28/03/44/352280344.db2.gz JFGTUSHGPKVRNU-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccc(CN(C)c2ccc([N+](=O)[O-])c(N)c2F)nc1 ZINC000616064742 352280788 /nfs/dbraw/zinc/28/07/88/352280788.db2.gz SOZWQODOYAEQIT-UHFFFAOYSA-N 0 0 290.298 2.656 20 5 CFBDRN Cc1nnc(CNc2cccc([N+](=O)[O-])c2C)s1 ZINC000271494449 191173810 /nfs/dbraw/zinc/17/38/10/191173810.db2.gz LIYGJNQSZGNPCT-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCc2ncccn2)cc1 ZINC000616315222 352326869 /nfs/dbraw/zinc/32/68/69/352326869.db2.gz GNAGPBXKFOCSRN-UHFFFAOYSA-N 0 0 291.332 2.697 20 5 CFBDRN C[C@](O)(CNc1cccnc1[N+](=O)[O-])C1CCCCC1 ZINC000616391869 352338555 /nfs/dbraw/zinc/33/85/55/352338555.db2.gz AKNUEWITTPMCCD-AWEZNQCLSA-N 0 0 279.340 2.733 20 5 CFBDRN CSC[C@H]1CCCN1c1cc(C)c([N+](=O)[O-])cn1 ZINC000133268840 187675247 /nfs/dbraw/zinc/67/52/47/187675247.db2.gz YCFIDPIVZGAXQO-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc([N+](=O)[O-])c2C)c(C)[nH]1 ZINC000616492519 352359261 /nfs/dbraw/zinc/35/92/61/352359261.db2.gz YYCOSOWECJMOGV-UHFFFAOYSA-N 0 0 287.319 2.778 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC(C)(C)C(C)C ZINC000617374242 352552112 /nfs/dbraw/zinc/55/21/12/352552112.db2.gz OMVGKNAVGHQRKN-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000271604291 191237095 /nfs/dbraw/zinc/23/70/95/191237095.db2.gz JDNQOFPRDKZFKW-SKDRFNHKSA-N 0 0 277.324 2.754 20 5 CFBDRN C[C@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H]1F ZINC000618304588 352751701 /nfs/dbraw/zinc/75/17/01/352751701.db2.gz YRMQQYYYZAOHAN-GXSJLCMTSA-N 0 0 292.314 2.651 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC1(CO)CC1 ZINC000618341077 352755934 /nfs/dbraw/zinc/75/59/34/352755934.db2.gz SSIFGCNWRDFTRA-UHFFFAOYSA-N 0 0 270.716 2.741 20 5 CFBDRN CC1CC(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000273500994 192177352 /nfs/dbraw/zinc/17/73/52/192177352.db2.gz VCTMWXMBKACGEK-UHFFFAOYSA-N 0 0 267.260 2.654 20 5 CFBDRN O=c1cc(CSc2ccc([N+](=O)[O-])cc2F)occ1O ZINC000618964115 352921533 /nfs/dbraw/zinc/92/15/33/352921533.db2.gz CDPZHXMFDMRFTB-UHFFFAOYSA-N 0 0 297.263 2.685 20 5 CFBDRN C[C@@H](NC(=O)N1CC(C)(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000619029295 352937314 /nfs/dbraw/zinc/93/73/14/352937314.db2.gz RBRSQJKSWVLBIU-SNVBAGLBSA-N 0 0 277.324 2.707 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000619158536 352967932 /nfs/dbraw/zinc/96/79/32/352967932.db2.gz WQHBCKJMVWJBEI-JTQLQIEISA-N 0 0 270.354 2.908 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)C(F)F)ccc1[N+](=O)[O-] ZINC000619422063 353020184 /nfs/dbraw/zinc/02/01/84/353020184.db2.gz WIAOFJIGTXALTR-UHFFFAOYSA-N 0 0 272.251 2.677 20 5 CFBDRN Cc1c(C(=O)NC(C)(C)C(F)F)cccc1[N+](=O)[O-] ZINC000619422039 353020308 /nfs/dbraw/zinc/02/03/08/353020308.db2.gz ULBCACHZAUHJHH-UHFFFAOYSA-N 0 0 272.251 2.677 20 5 CFBDRN Cc1ccc(C(=O)N2CCC(F)(F)CC2)cc1[N+](=O)[O-] ZINC000619457545 353026208 /nfs/dbraw/zinc/02/62/08/353026208.db2.gz VWBJKLFZKUFBHH-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN Nc1c(C(=O)N(CC2CC2)C2CCC2)cccc1[N+](=O)[O-] ZINC000619458787 353026475 /nfs/dbraw/zinc/02/64/75/353026475.db2.gz WJPMOHUZVSYABI-UHFFFAOYSA-N 0 0 289.335 2.582 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N(CC1CC1)CC1CCC1 ZINC000619458705 353026496 /nfs/dbraw/zinc/02/64/96/353026496.db2.gz GZSFJBIFIUHATI-UHFFFAOYSA-N 0 0 277.324 2.575 20 5 CFBDRN CCc1nnc(COc2ccc([N+](=O)[O-])c(OC)c2)s1 ZINC000619460532 353026874 /nfs/dbraw/zinc/02/68/74/353026874.db2.gz VNTIURUVNZMTGB-UHFFFAOYSA-N 0 0 295.320 2.596 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1C[C@@H]1c1cccnc1 ZINC000619567229 353036876 /nfs/dbraw/zinc/03/68/76/353036876.db2.gz HLEDSZIEYBJYCG-CABCVRRESA-N 0 0 297.314 2.584 20 5 CFBDRN COc1cnccc1CNc1ccc([N+](=O)[O-])cc1F ZINC000619570111 353036950 /nfs/dbraw/zinc/03/69/50/353036950.db2.gz CODDEZGIPIEYHM-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)CCOC(C)(C)C ZINC000619531664 353033688 /nfs/dbraw/zinc/03/36/88/353033688.db2.gz LWGOCUSORKAXHA-UHFFFAOYSA-N 0 0 296.367 2.850 20 5 CFBDRN Cc1c(C(=O)N[C@H]2C[C@@H]2c2cccnc2)cccc1[N+](=O)[O-] ZINC000619567295 353037145 /nfs/dbraw/zinc/03/71/45/353037145.db2.gz PBFFZOCFOXGBTQ-KGLIPLIRSA-N 0 0 297.314 2.584 20 5 CFBDRN C[C@H](NC(=O)C[C@@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000271654541 191261656 /nfs/dbraw/zinc/26/16/56/191261656.db2.gz FTLNKGQELLBJGJ-RYUDHWBXSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000619364667 353006698 /nfs/dbraw/zinc/00/66/98/353006698.db2.gz LVEQOVICCCCOJR-JTQLQIEISA-N 0 0 295.314 2.718 20 5 CFBDRN Cn1ccc(CSc2cccc([N+](=O)[O-])c2)cc1=O ZINC000619744347 353062650 /nfs/dbraw/zinc/06/26/50/353062650.db2.gz DMYONSUJZLZFEG-UHFFFAOYSA-N 0 0 276.317 2.586 20 5 CFBDRN CS(=O)(=O)CCCCSc1cccc([N+](=O)[O-])c1 ZINC000619744362 353062674 /nfs/dbraw/zinc/06/26/74/353062674.db2.gz OIAQLKUCEKLAPK-UHFFFAOYSA-N 0 0 289.378 2.512 20 5 CFBDRN Cc1noc([C@@H](C)N(C)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000619785269 353066764 /nfs/dbraw/zinc/06/67/64/353066764.db2.gz YGQIMVULPFNSAA-SNVBAGLBSA-N 0 0 290.323 2.522 20 5 CFBDRN CCN(Cc1ccoc1)c1ncc([N+](=O)[O-])s1 ZINC000619695476 353051847 /nfs/dbraw/zinc/05/18/47/353051847.db2.gz LDQTVNZGKNFDDH-UHFFFAOYSA-N 0 0 253.283 2.671 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])s2)CC1(F)F ZINC000619697809 353052634 /nfs/dbraw/zinc/05/26/34/353052634.db2.gz FUZVEFXZATXCEU-ZCFIWIBFSA-N 0 0 263.269 2.533 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC(C2CCOCC2)C1 ZINC000619699389 353053006 /nfs/dbraw/zinc/05/30/06/353053006.db2.gz OFHMKLBXTAVQNX-UHFFFAOYSA-N 0 0 280.299 2.597 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1ccsc1[N+](=O)[O-] ZINC000619704792 353055845 /nfs/dbraw/zinc/05/58/45/353055845.db2.gz UNKTYZJSFLSGLV-UHFFFAOYSA-N 0 0 281.341 2.615 20 5 CFBDRN Cc1nc(C)c(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000271661005 191263939 /nfs/dbraw/zinc/26/39/39/191263939.db2.gz ABVFNKPLLMYXPC-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN Cc1c(CN2C(=O)SC(C)(C)C2=O)cccc1[N+](=O)[O-] ZINC000152822304 188046072 /nfs/dbraw/zinc/04/60/72/188046072.db2.gz SGCPJAQLHYRAPE-UHFFFAOYSA-N 0 0 294.332 2.877 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000152961681 188055722 /nfs/dbraw/zinc/05/57/22/188055722.db2.gz BDXBILXYKBYUQM-SNVBAGLBSA-N 0 0 266.297 2.575 20 5 CFBDRN CC[C@@H](C)Cn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000152957800 188055903 /nfs/dbraw/zinc/05/59/03/188055903.db2.gz OOGMAVLHIWZCHQ-MRVPVSSYSA-N 0 0 250.254 2.549 20 5 CFBDRN Cc1cnccc1CCNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000153161469 188068693 /nfs/dbraw/zinc/06/86/93/188068693.db2.gz JVFFTFUMPIHVIX-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(Cc2ccon2)C2CC2)c1 ZINC000153314138 188076341 /nfs/dbraw/zinc/07/63/41/188076341.db2.gz GQLWBRMFPDSJHS-UHFFFAOYSA-N 0 0 273.292 2.747 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153611463 188092712 /nfs/dbraw/zinc/09/27/12/188092712.db2.gz RSBLKDRPGRXEOE-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCOC(C)(C)C2)cc([N+](=O)[O-])c1 ZINC000153785725 188103282 /nfs/dbraw/zinc/10/32/82/188103282.db2.gz VXFVZGSQCOWLCP-GFCCVEGCSA-N 0 0 292.335 2.591 20 5 CFBDRN CS[C@H](C)CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000154021288 188118493 /nfs/dbraw/zinc/11/84/93/188118493.db2.gz YZNFSDCVLLNTME-SECBINFHSA-N 0 0 283.353 2.776 20 5 CFBDRN C[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])C1CCOCC1 ZINC000154067056 188120535 /nfs/dbraw/zinc/12/05/35/188120535.db2.gz SYWARWOHHUOEBA-MRVPVSSYSA-N 0 0 285.731 2.870 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCC2CC2)cc1[N+](=O)[O-] ZINC000154123510 188124394 /nfs/dbraw/zinc/12/43/94/188124394.db2.gz NHMQKUZFFIQWHU-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(Cc2cncnc2)C2CC2)cc1 ZINC000154252108 188131567 /nfs/dbraw/zinc/13/15/67/188131567.db2.gz AGDKGDSECCGUBF-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000155533954 188212976 /nfs/dbraw/zinc/21/29/76/188212976.db2.gz GTRZZFZLPQXAES-CMPLNLGQSA-N 0 0 262.309 2.903 20 5 CFBDRN CC1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000155563746 188214137 /nfs/dbraw/zinc/21/41/37/188214137.db2.gz GSMAHZCANSCGCQ-UHFFFAOYSA-N 0 0 266.297 2.840 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCCCC1)c1ccc([N+](=O)[O-])o1 ZINC000155942959 188236110 /nfs/dbraw/zinc/23/61/10/188236110.db2.gz SZTZUFCTUNYCAL-WDEREUQCSA-N 0 0 278.308 2.886 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC1CCCC1 ZINC000156023803 188238987 /nfs/dbraw/zinc/23/89/87/188238987.db2.gz HXDBENMJNKEPBZ-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN Cc1cc(NCCCO[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000292500580 198311555 /nfs/dbraw/zinc/31/15/55/198311555.db2.gz FQDXRHGAKPDUOF-LLVKDONJSA-N 0 0 298.314 2.650 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N(C1CCC1)C1CCC1 ZINC000292508907 198314446 /nfs/dbraw/zinc/31/44/46/198314446.db2.gz IBIFZXOAWITKDH-UHFFFAOYSA-N 0 0 264.281 2.735 20 5 CFBDRN CS[C@H](CO)[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292508953 198314584 /nfs/dbraw/zinc/31/45/84/198314584.db2.gz GHBRCUXPFREKLH-PRHODGIISA-N 0 0 288.344 2.567 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000156363621 188257849 /nfs/dbraw/zinc/25/78/49/188257849.db2.gz GRSUUMASQDARNP-RKDXNWHRSA-N 0 0 268.338 2.965 20 5 CFBDRN CC(=O)CC[N@H+](Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000157288054 188307846 /nfs/dbraw/zinc/30/78/46/188307846.db2.gz RDFLWTJKRVXUAY-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC(C)(C)SC ZINC000156865841 188285046 /nfs/dbraw/zinc/28/50/46/188285046.db2.gz UFYSQVLHHHCCJD-UHFFFAOYSA-N 0 0 272.374 2.673 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc(C)cc2[N+](=O)[O-])[C@@H]1OC ZINC000157072714 188296176 /nfs/dbraw/zinc/29/61/76/188296176.db2.gz BQEREMBPWWFXSX-BNOWGMLFSA-N 0 0 280.324 2.508 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2ccc([N+](=O)[O-])o2)CC12CCC2 ZINC000292534005 198324036 /nfs/dbraw/zinc/32/40/36/198324036.db2.gz VDHYTFSLPPYSAB-LBPRGKRZSA-N 0 0 278.308 2.839 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000157535259 188322619 /nfs/dbraw/zinc/32/26/19/188322619.db2.gz NRCBQIXPWQLHOQ-GFCCVEGCSA-N 0 0 294.376 2.871 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000157640631 188330166 /nfs/dbraw/zinc/33/01/66/188330166.db2.gz OJHDUJHUUXWXGV-OCCSQVGLSA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@@H](CN1CCCC1=O)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000292611019 198350628 /nfs/dbraw/zinc/35/06/28/198350628.db2.gz WTCKMQVMJGKEJW-VIFPVBQESA-N 0 0 297.742 2.671 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000157820695 188341055 /nfs/dbraw/zinc/34/10/55/188341055.db2.gz HCPNMNUPDIXSQR-GFCCVEGCSA-N 0 0 280.349 2.562 20 5 CFBDRN Cc1cc(S[C@H](C)[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292632120 198357198 /nfs/dbraw/zinc/35/71/98/198357198.db2.gz ODGZTFRBRGKWNZ-HTQZYQBOSA-N 0 0 259.302 2.904 20 5 CFBDRN COC[C@@H](Nc1cc(C)c([N+](=O)[O-])cc1F)[C@@H]1CCCO1 ZINC000292632250 198357799 /nfs/dbraw/zinc/35/77/99/198357799.db2.gz OEGFPLGAZAXVOE-OCCSQVGLSA-N 0 0 298.314 2.648 20 5 CFBDRN Cc1cc(S[C@@H](C)[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292632141 198357836 /nfs/dbraw/zinc/35/78/36/198357836.db2.gz ODGZTFRBRGKWNZ-YUMQZZPRSA-N 0 0 259.302 2.904 20 5 CFBDRN Cc1sc(C(=O)N2CCC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000157887213 188344723 /nfs/dbraw/zinc/34/47/23/188344723.db2.gz MDAXLPDMIZLESJ-UHFFFAOYSA-N 0 0 280.349 2.981 20 5 CFBDRN CC1(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCOCC1 ZINC000158027680 188353966 /nfs/dbraw/zinc/35/39/66/188353966.db2.gz STSJHMXVFXXZOD-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC2(CCC2)C1 ZINC000158369703 188372461 /nfs/dbraw/zinc/37/24/61/188372461.db2.gz LPZLSLKOFANYIY-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN COC1(C)CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CC1 ZINC000271687417 191277839 /nfs/dbraw/zinc/27/78/39/191277839.db2.gz POPGFJNPZGNBRP-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CCC(C)(C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158306115 188368700 /nfs/dbraw/zinc/36/87/00/188368700.db2.gz YKCXNQVMWRSOMA-UHFFFAOYSA-N 0 0 250.298 2.994 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C(C)(F)F ZINC000292706756 198382971 /nfs/dbraw/zinc/38/29/71/198382971.db2.gz OAMJMMXRNYHXNK-UHFFFAOYSA-N 0 0 258.224 2.805 20 5 CFBDRN COC(=O)[C@H](C)CSc1cc(C)c([N+](=O)[O-])cc1F ZINC000292710972 198385193 /nfs/dbraw/zinc/38/51/93/198385193.db2.gz SSIIWNRPQUJHFH-MRVPVSSYSA-N 0 0 287.312 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@H](CO)c3ccccc32)cc1 ZINC000292713010 198385607 /nfs/dbraw/zinc/38/56/07/198385607.db2.gz ONDSKKVLDYMTGJ-CYBMUJFWSA-N 0 0 284.315 2.691 20 5 CFBDRN Cc1cnc(CNc2cc(C)c([N+](=O)[O-])cc2F)o1 ZINC000292729644 198391916 /nfs/dbraw/zinc/39/19/16/198391916.db2.gz UKPJDMSLVYHPNR-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])C(C)(C)O ZINC000292730427 198392278 /nfs/dbraw/zinc/39/22/78/198392278.db2.gz IDDVTPWTXYUBKQ-NSHDSACASA-N 0 0 256.277 2.695 20 5 CFBDRN Cc1c(CC(=O)NC2CCCC2)cccc1[N+](=O)[O-] ZINC000158904057 188398593 /nfs/dbraw/zinc/39/85/93/188398593.db2.gz XFVCNGDCEHFPHB-UHFFFAOYSA-N 0 0 262.309 2.505 20 5 CFBDRN CCOC(C)(C)CNc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000159504638 188429150 /nfs/dbraw/zinc/42/91/50/188429150.db2.gz CPMAVVQUPFXCQZ-UHFFFAOYSA-N 0 0 298.339 2.839 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000160331146 188466079 /nfs/dbraw/zinc/46/60/79/188466079.db2.gz ZVNRUVHCUSDVCF-TZMCWYRMSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@@H]1CN(CC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000292861505 198436641 /nfs/dbraw/zinc/43/66/41/198436641.db2.gz AIFZCHAVYSYMBV-BDAKNGLRSA-N 0 0 297.742 2.527 20 5 CFBDRN CCOCCCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295224817 199410374 /nfs/dbraw/zinc/41/03/74/199410374.db2.gz AYHRPNUHTSHKHM-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN CC/C=C\CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000456094961 535247343 /nfs/dbraw/zinc/24/73/43/535247343.db2.gz JQCYVIMEMCITRT-ARJAWSKDSA-N 0 0 282.727 2.873 20 5 CFBDRN COC1(CNC(=O)c2cccc(C)c2[N+](=O)[O-])CCCC1 ZINC000295232024 199415573 /nfs/dbraw/zinc/41/55/73/199415573.db2.gz BUFHFSFRONJIDC-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCc1csc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)n1 ZINC000823542881 781930452 /nfs/dbraw/zinc/93/04/52/781930452.db2.gz MIAGBAZAHQHKOZ-SSDOTTSWSA-N 0 0 295.320 2.698 20 5 CFBDRN CCCCN(CCCO)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000271727641 191293203 /nfs/dbraw/zinc/29/32/03/191293203.db2.gz MKQZWKQXTJARHQ-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN Cc1c(CC(=O)NC[C@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000163171533 188600852 /nfs/dbraw/zinc/60/08/52/188600852.db2.gz KEAWBLQWVDMKCF-ZDUSSCGKSA-N 0 0 288.347 2.918 20 5 CFBDRN CC[C@@H](CSC)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000163815393 188616241 /nfs/dbraw/zinc/61/62/41/188616241.db2.gz URVRCXDWWMLFMP-JTQLQIEISA-N 0 0 297.380 2.508 20 5 CFBDRN Cc1nsc(N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271755985 191308392 /nfs/dbraw/zinc/30/83/92/191308392.db2.gz ZSJRAQXWGDBJQF-ZETCQYMHSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1nc(N[C@@H]2c3ccccc3O[C@H]2C)ncc1[N+](=O)[O-] ZINC000295695773 199624529 /nfs/dbraw/zinc/62/45/29/199624529.db2.gz PERPRDPVAANOFR-ZANVPECISA-N 0 0 286.291 2.627 20 5 CFBDRN COC[C@H](C)N(C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000171987329 188773757 /nfs/dbraw/zinc/77/37/57/188773757.db2.gz YLRYUWUDWHDTPY-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])O1 ZINC000271778162 191319903 /nfs/dbraw/zinc/31/99/03/191319903.db2.gz OTOOYNKDWNNFBN-MISXGVKJSA-N 0 0 292.335 2.730 20 5 CFBDRN CCOC(=O)c1ccnc(N(C)C2CCCC2)c1[N+](=O)[O-] ZINC000172362593 188797546 /nfs/dbraw/zinc/79/75/46/188797546.db2.gz TUAVTBRJXYXTNB-UHFFFAOYSA-N 0 0 293.323 2.545 20 5 CFBDRN CC[C@@H](C)OCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271789230 191328174 /nfs/dbraw/zinc/32/81/74/191328174.db2.gz QGGPQNYQZQTOBC-MNOVXSKESA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@@H](NC(=O)C1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000271836064 191356962 /nfs/dbraw/zinc/35/69/62/191356962.db2.gz XRQDKVQXRXHEDK-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000271827586 191352292 /nfs/dbraw/zinc/35/22/92/191352292.db2.gz DPQFZNGOYDIUTD-NWDGAFQWSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCO[C@H](C)C1 ZINC000271874321 191382842 /nfs/dbraw/zinc/38/28/42/191382842.db2.gz LAOJHZLVOKJQKZ-NEPJUHHUSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000271883930 191387175 /nfs/dbraw/zinc/38/71/75/191387175.db2.gz MXECZCXJXPSJSU-MNOVXSKESA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCO[C@H](C)C1 ZINC000271886355 191389192 /nfs/dbraw/zinc/38/91/92/191389192.db2.gz NMKATRHUKDQBKR-PWSUYJOCSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC000271886350 191389803 /nfs/dbraw/zinc/38/98/03/191389803.db2.gz NMKATRHUKDQBKR-CMPLNLGQSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000271887444 191392239 /nfs/dbraw/zinc/39/22/39/191392239.db2.gz NTLMYIPMZVVDKC-KOLCDFICSA-N 0 0 278.308 2.657 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000173873433 188871966 /nfs/dbraw/zinc/87/19/66/188871966.db2.gz WKXAYJBQXBTUMN-SECBINFHSA-N 0 0 298.314 2.667 20 5 CFBDRN Cc1cc(N[C@H](C)[C@@H]2CCCCO2)ncc1[N+](=O)[O-] ZINC000272023006 191470702 /nfs/dbraw/zinc/47/07/02/191470702.db2.gz PUCWQVWRFZHBOF-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000272060258 191493367 /nfs/dbraw/zinc/49/33/67/191493367.db2.gz CBYPHDXRWSHEOP-PQFRYHKHSA-N 0 0 274.320 2.818 20 5 CFBDRN Cc1noc(CSCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000272086277 191506510 /nfs/dbraw/zinc/50/65/10/191506510.db2.gz CAXUQBNMLLHIPK-UHFFFAOYSA-N 0 0 279.321 2.762 20 5 CFBDRN CC(C)C[C@H](C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000274213568 192487617 /nfs/dbraw/zinc/48/76/17/192487617.db2.gz XFYKZBKLEPBSAX-LBPRGKRZSA-N 0 0 278.352 2.936 20 5 CFBDRN Cc1c(NC(=O)N[C@]2(C)CCO[C@@H]2C)cccc1[N+](=O)[O-] ZINC000344908691 540176048 /nfs/dbraw/zinc/17/60/48/540176048.db2.gz CBBBTDTUQMAHHT-QMTHXVAHSA-N 0 0 293.323 2.592 20 5 CFBDRN CC(C)N(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272483601 191745040 /nfs/dbraw/zinc/74/50/40/191745040.db2.gz JRPOFMCXYHHMCB-UHFFFAOYSA-N 0 0 299.758 2.841 20 5 CFBDRN CCC1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCOCC1 ZINC000272415994 191707273 /nfs/dbraw/zinc/70/72/73/191707273.db2.gz GLSMWDKKRLSLAX-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCS[C@H]2CCCOC2)c1 ZINC000272437009 191720258 /nfs/dbraw/zinc/72/02/58/191720258.db2.gz KMRXXWJINJHIDH-ZDUSSCGKSA-N 0 0 283.349 2.886 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NCCC1CCCCC1 ZINC000272511903 191760467 /nfs/dbraw/zinc/76/04/67/191760467.db2.gz UTLFSFRHHVKFIZ-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272759389 191903795 /nfs/dbraw/zinc/90/37/95/191903795.db2.gz VIZVWPHDDAAEQS-VIFPVBQESA-N 0 0 266.301 2.642 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272798489 191922800 /nfs/dbraw/zinc/92/28/00/191922800.db2.gz KTLPKICCBIJZGN-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000272834331 191937560 /nfs/dbraw/zinc/93/75/60/191937560.db2.gz RPSORYKWCFSOGF-JTQLQIEISA-N 0 0 276.292 2.898 20 5 CFBDRN CCC[C@H](C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272840141 191941502 /nfs/dbraw/zinc/94/15/02/191941502.db2.gz SUDAOIWVSUGAGG-VIFPVBQESA-N 0 0 266.297 2.978 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1CCCCS1 ZINC000272968814 192001156 /nfs/dbraw/zinc/00/11/56/192001156.db2.gz NWQOVOZMINZWER-NSHDSACASA-N 0 0 267.306 2.786 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000273521053 192185926 /nfs/dbraw/zinc/18/59/26/192185926.db2.gz YNMDGDSFOVBFLL-SSDOTTSWSA-N 0 0 267.260 2.654 20 5 CFBDRN CC[C@@H](C)N[C@H](c1nccn1C)c1ccc([N+](=O)[O-])cc1 ZINC000295795035 199666853 /nfs/dbraw/zinc/66/68/53/199666853.db2.gz BVSJSSXFQHADCX-RISCZKNCSA-N 0 0 288.351 2.806 20 5 CFBDRN CCO[C@H](CCNc1ncc([N+](=O)[O-])cn1)C1CCCC1 ZINC000273575776 192212278 /nfs/dbraw/zinc/21/22/78/192212278.db2.gz DYLIHPPZBYTOMH-CYBMUJFWSA-N 0 0 294.355 2.782 20 5 CFBDRN C[C@@H](c1cccs1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000273602630 192224486 /nfs/dbraw/zinc/22/44/86/192224486.db2.gz JUCVYGKBHRQOPM-QMMMGPOBSA-N 0 0 264.310 2.644 20 5 CFBDRN CC1(C)C[C@@H]1c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273724691 192280346 /nfs/dbraw/zinc/28/03/46/192280346.db2.gz QXAFMGGQGZCFOP-MRVPVSSYSA-N 0 0 274.280 2.741 20 5 CFBDRN CCO[C@H]1C[C@H]1c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273743986 192289058 /nfs/dbraw/zinc/28/90/58/192289058.db2.gz LSJRKUSUBJIKND-PWSUYJOCSA-N 0 0 289.291 2.846 20 5 CFBDRN CC(C)c1c[nH]nc1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000456732460 535335030 /nfs/dbraw/zinc/33/50/30/535335030.db2.gz JHPRFUDNBVGBEW-UHFFFAOYSA-N 0 0 288.307 2.623 20 5 CFBDRN COC1(CCNC(=O)c2cccc([N+](=O)[O-])c2C)CCC1 ZINC000293873607 198806615 /nfs/dbraw/zinc/80/66/15/198806615.db2.gz JYUGLEFIRVZHOX-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN COc1ccc(CNC(=O)[C@@H]2C[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000274563490 192653836 /nfs/dbraw/zinc/65/38/36/192653836.db2.gz BSGYCKNBXWMCEH-VXGBXAGGSA-N 0 0 292.335 2.512 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC(C2CCCCC2)C1 ZINC000294077714 198898628 /nfs/dbraw/zinc/89/86/28/198898628.db2.gz IGEPVJVRAJWIBK-UHFFFAOYSA-N 0 0 278.308 2.840 20 5 CFBDRN Cc1nc(NC(=O)C[C@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000175666213 188961260 /nfs/dbraw/zinc/96/12/60/188961260.db2.gz ASJKDVYXMNTZDJ-JTQLQIEISA-N 0 0 261.281 2.593 20 5 CFBDRN COc1cc(C(=O)NCC2CCCC2)c([N+](=O)[O-])cc1F ZINC000294200217 198948256 /nfs/dbraw/zinc/94/82/56/198948256.db2.gz BQYDAIQHOMPYPT-UHFFFAOYSA-N 0 0 296.298 2.663 20 5 CFBDRN C[C@H](CF)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000294209022 198952862 /nfs/dbraw/zinc/95/28/62/198952862.db2.gz YDMJERNUXDPHAF-ZCFIWIBFSA-N 0 0 294.204 2.701 20 5 CFBDRN CCOC(=O)c1cc(NC[C@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000569163917 290589302 /nfs/dbraw/zinc/58/93/02/290589302.db2.gz QZFFJVVKNGEXPO-VHSXEESVSA-N 0 0 278.308 2.839 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H](C)CC(C)C ZINC000294354377 199009361 /nfs/dbraw/zinc/00/93/61/199009361.db2.gz ZMYPYFHMSSTJJS-SNVBAGLBSA-N 0 0 252.314 2.747 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Sc1ccncc1C ZINC000294328607 199000216 /nfs/dbraw/zinc/00/02/16/199000216.db2.gz ZTJDTMIXXVVMAP-UHFFFAOYSA-N 0 0 278.337 2.974 20 5 CFBDRN CC(C)(CCC(=O)Oc1ccc(F)cc1)[N+](=O)[O-] ZINC000294406790 199028554 /nfs/dbraw/zinc/02/85/54/199028554.db2.gz MIQBDAJADWNFTR-UHFFFAOYSA-N 0 0 255.245 2.567 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])ccc2N)CCCC1 ZINC000294380964 199018186 /nfs/dbraw/zinc/01/81/86/199018186.db2.gz IHKLESNLBMATMB-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN CCC(CC)(CNC(=O)c1c(C)cccc1[N+](=O)[O-])OC ZINC000294471595 199052840 /nfs/dbraw/zinc/05/28/40/199052840.db2.gz AZEHMHPAFDBYSF-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN CC/C(C)=C/C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000294586516 199096843 /nfs/dbraw/zinc/09/68/43/199096843.db2.gz QFIDMWPKDFXWSJ-ZHACJKMWSA-N 0 0 262.309 2.610 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000296169102 199805550 /nfs/dbraw/zinc/80/55/50/199805550.db2.gz FRKGNZZJCARYGM-JFGNBEQYSA-N 0 0 277.324 2.611 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC(=O)C2CCCC2)c1 ZINC000224848998 540383890 /nfs/dbraw/zinc/38/38/90/540383890.db2.gz NXCWGZBKAHBQRP-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)C(C)(F)F)c([N+](=O)[O-])c1 ZINC000294722692 199152297 /nfs/dbraw/zinc/15/22/97/199152297.db2.gz XCAURGDOUYJXNG-SSDOTTSWSA-N 0 0 288.250 2.833 20 5 CFBDRN CC[C@@H](C)NC(=O)CNc1c(C)ccc([N+](=O)[O-])c1C ZINC000175959326 188974408 /nfs/dbraw/zinc/97/44/08/188974408.db2.gz PNAUEQFHYLKNAG-SNVBAGLBSA-N 0 0 279.340 2.538 20 5 CFBDRN CC(C)(CCC(=O)N1CCCc2ccccc2C1)[N+](=O)[O-] ZINC000294733462 199157851 /nfs/dbraw/zinc/15/78/51/199157851.db2.gz VYNHQWONIPHGEA-UHFFFAOYSA-N 0 0 290.363 2.797 20 5 CFBDRN O=C(Nc1nc2c(s1)CCC2)c1ccccc1[N+](=O)[O-] ZINC000006226975 295968561 /nfs/dbraw/zinc/96/85/61/295968561.db2.gz FFEVNAYYXODQOH-UHFFFAOYSA-N 0 0 289.316 2.792 20 5 CFBDRN CC(C)(CCC(=O)N1CC2(CC2)c2ccccc21)[N+](=O)[O-] ZINC000294790930 199184637 /nfs/dbraw/zinc/18/46/37/199184637.db2.gz ATSTXGUENFLCLZ-UHFFFAOYSA-N 0 0 288.347 2.900 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC2CCOCC2)c1 ZINC000294804866 199191672 /nfs/dbraw/zinc/19/16/72/199191672.db2.gz CMPNZIQXDFOMGO-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC(CF)CC3)nc2c1 ZINC000294862328 199218734 /nfs/dbraw/zinc/21/87/34/199218734.db2.gz AFINPABGNAODLY-UHFFFAOYSA-N 0 0 278.287 2.657 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])c(C)n2)CCCC1 ZINC000294845597 199209710 /nfs/dbraw/zinc/20/97/10/199209710.db2.gz BMTXEXANENPYIB-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN CN(C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000294876888 199227061 /nfs/dbraw/zinc/22/70/61/199227061.db2.gz HUFQCKJZKXYJFG-UHFFFAOYSA-N 0 0 290.319 2.569 20 5 CFBDRN C[C@@H](CCCO)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000294877303 199227313 /nfs/dbraw/zinc/22/73/13/199227313.db2.gz DTUZBWPOAYJHQV-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1ncsc1CCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000294868247 199221826 /nfs/dbraw/zinc/22/18/26/199221826.db2.gz AVSXMRVARAMXAM-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CS[C@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000294895018 199235820 /nfs/dbraw/zinc/23/58/20/199235820.db2.gz DHPQGECAZFBGAD-JTQLQIEISA-N 0 0 252.339 2.845 20 5 CFBDRN Cc1ccc(N[C@@H](C)CC(C)(C)O)c([N+](=O)[O-])c1 ZINC000294898177 199238341 /nfs/dbraw/zinc/23/83/41/199238341.db2.gz DOTAPRSFPMTBGG-JTQLQIEISA-N 0 0 252.314 2.865 20 5 CFBDRN C[C@H](CCO)CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000294899157 199238448 /nfs/dbraw/zinc/23/84/48/199238448.db2.gz HYJCOWFQVOYBJO-QMMMGPOBSA-N 0 0 274.267 2.694 20 5 CFBDRN COCC(C)(C)N(C)C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421576502 236844499 /nfs/dbraw/zinc/84/44/99/236844499.db2.gz PGXBKRSRNSJKTC-UHFFFAOYSA-N 0 0 294.351 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cnc(C2CC2)nc1 ZINC000296472618 199903925 /nfs/dbraw/zinc/90/39/25/199903925.db2.gz HRFBJZHTJQVGBS-UHFFFAOYSA-N 0 0 299.286 2.790 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000274847885 192807614 /nfs/dbraw/zinc/80/76/14/192807614.db2.gz VSLIMTQMZVWOSH-JTQLQIEISA-N 0 0 281.337 2.530 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)c1ccn(C)n1 ZINC000274872668 192819018 /nfs/dbraw/zinc/81/90/18/192819018.db2.gz WEZGZBMNFCFNNX-JTQLQIEISA-N 0 0 260.297 2.526 20 5 CFBDRN Cc1nc(SCCC(F)(F)F)ncc1[N+](=O)[O-] ZINC000296827885 200008396 /nfs/dbraw/zinc/00/83/96/200008396.db2.gz RNNVYDTUDNPKSU-UHFFFAOYSA-N 0 0 267.232 2.738 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000296899954 200029334 /nfs/dbraw/zinc/02/93/34/200029334.db2.gz RVOXYYCPPAUKMT-SNVBAGLBSA-N 0 0 279.296 2.620 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H]1CF ZINC000296867134 200019959 /nfs/dbraw/zinc/01/99/59/200019959.db2.gz MJMORKVMVSVSMB-NSHDSACASA-N 0 0 281.287 2.869 20 5 CFBDRN COCC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000296887767 200026013 /nfs/dbraw/zinc/02/60/13/200026013.db2.gz NPTBQQXSKLJCMK-UHFFFAOYSA-N 0 0 295.339 2.697 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])c(C)cc2F)on1 ZINC000296893806 200027622 /nfs/dbraw/zinc/02/76/22/200027622.db2.gz OPOYXQJRPYWYJE-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000296936660 200039516 /nfs/dbraw/zinc/03/95/16/200039516.db2.gz GJNGITSLELJOLV-QWRGUYRKSA-N 0 0 277.324 2.707 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H]1CF)c1ccc([N+](=O)[O-])cc1 ZINC000296941053 200041548 /nfs/dbraw/zinc/04/15/48/200041548.db2.gz VIYGHLFAOUTWOF-GWCFXTLKSA-N 0 0 295.314 2.799 20 5 CFBDRN CCC1(NC(=O)Nc2ccc([N+](=O)[O-])cc2C)CC1 ZINC000296981042 200052455 /nfs/dbraw/zinc/05/24/55/200052455.db2.gz SYMABXPOYPWYJU-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN COc1cccc(C(=O)N2CC[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000435809141 240354639 /nfs/dbraw/zinc/35/46/39/240354639.db2.gz LXXVZHFOXGPRKE-LLVKDONJSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@H](NC(=O)N1C[C@@H](C)[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000297271492 200131110 /nfs/dbraw/zinc/13/11/10/200131110.db2.gz KRMHCYJUNMGOQS-OUAUKWLOSA-N 0 0 277.324 2.706 20 5 CFBDRN CC[C@H](COC)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297196397 200111582 /nfs/dbraw/zinc/11/15/82/200111582.db2.gz RCJYNTHMZCXEQX-LLVKDONJSA-N 0 0 275.308 2.980 20 5 CFBDRN CCCc1csc(NC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000297297274 200139692 /nfs/dbraw/zinc/13/96/92/200139692.db2.gz YIYMQKZKPGCXMF-UHFFFAOYSA-N 0 0 285.369 2.870 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H]2Cc2ccccc2)ncc1[N+](=O)[O-] ZINC000297299861 200140312 /nfs/dbraw/zinc/14/03/12/200140312.db2.gz YMBNFWDBEFMSAJ-CHWSQXEVSA-N 0 0 284.319 2.736 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000297422088 200184131 /nfs/dbraw/zinc/18/41/31/200184131.db2.gz BLAHKPOKKAKBGW-CHWSQXEVSA-N 0 0 278.308 2.739 20 5 CFBDRN CCS[C@@H]1CCC[C@@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000297457016 200196670 /nfs/dbraw/zinc/19/66/70/200196670.db2.gz JWPVWLJPAZIGBF-GXSJLCMTSA-N 0 0 282.369 2.779 20 5 CFBDRN CCOC1CN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000297462255 200198426 /nfs/dbraw/zinc/19/84/26/200198426.db2.gz NCBHELSGWXMIIU-UHFFFAOYSA-N 0 0 299.714 2.501 20 5 CFBDRN Cc1nc(NC[C@H]2CCCO[C@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000297431247 200187460 /nfs/dbraw/zinc/18/74/60/200187460.db2.gz HYMPEWQGUKWLOJ-YPMHNXCESA-N 0 0 294.355 2.556 20 5 CFBDRN Cc1nc(NC[C@H]2[C@@H]3c4ccccc4C[C@H]23)ncc1[N+](=O)[O-] ZINC000297523756 200218035 /nfs/dbraw/zinc/21/80/35/200218035.db2.gz OSLJVXVGNUWXDQ-UMVBOHGHSA-N 0 0 296.330 2.691 20 5 CFBDRN Cc1nc(NC[C@@H]2[C@@H]3Cc4ccccc4[C@H]23)ncc1[N+](=O)[O-] ZINC000297523729 200218478 /nfs/dbraw/zinc/21/84/78/200218478.db2.gz OSLJVXVGNUWXDQ-GUTXKFCHSA-N 0 0 296.330 2.691 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@H]2CF)c1F ZINC000297777504 200279809 /nfs/dbraw/zinc/27/98/09/200279809.db2.gz NBZIYRZNOYGWAW-VIFPVBQESA-N 0 0 284.262 2.616 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CC1(F)F ZINC000297847665 200290848 /nfs/dbraw/zinc/29/08/48/200290848.db2.gz RSTISPANEHMOQO-ZCFIWIBFSA-N 0 0 274.198 2.636 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000297949122 200307099 /nfs/dbraw/zinc/30/70/99/200307099.db2.gz ZYFBZPHQASHTJQ-ZDUSSCGKSA-N 0 0 280.324 2.911 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCSCC(C)C ZINC000298097855 200332009 /nfs/dbraw/zinc/33/20/09/200332009.db2.gz AFDUZIRJHURGQF-UHFFFAOYSA-N 0 0 284.381 2.844 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000298110599 200335829 /nfs/dbraw/zinc/33/58/29/200335829.db2.gz ACLRTIVDVMEDEL-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN COc1ccc(NC(=O)C(C)(Cl)Cl)cc1[N+](=O)[O-] ZINC000298148771 200345425 /nfs/dbraw/zinc/34/54/25/200345425.db2.gz VEEYYKJAOQLUKP-UHFFFAOYSA-N 0 0 293.106 2.736 20 5 CFBDRN O=[N+]([O-])c1cn(C2CC(OCc3ccccc3)C2)cn1 ZINC000298184537 200354531 /nfs/dbraw/zinc/35/45/31/200354531.db2.gz DXVWFPVPFWLURK-UHFFFAOYSA-N 0 0 273.292 2.712 20 5 CFBDRN O=C1CCCN1CCCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000298194833 200357943 /nfs/dbraw/zinc/35/79/43/200357943.db2.gz CIOQRZMUMZSNHD-UHFFFAOYSA-N 0 0 297.742 2.673 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCC1(C)CCC1 ZINC000176637533 189002485 /nfs/dbraw/zinc/00/24/85/189002485.db2.gz FKBCDRKOKIUVIP-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@@H]1CCCO1 ZINC000298275051 200379854 /nfs/dbraw/zinc/37/98/54/200379854.db2.gz FRUDAZFTNZNOQT-LBPRGKRZSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N(C)C2CC2)cc1[N+](=O)[O-] ZINC000176737784 189006979 /nfs/dbraw/zinc/00/69/79/189006979.db2.gz LOSJBYYYHWGFGW-JTQLQIEISA-N 0 0 277.324 2.768 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@H]2C1 ZINC000176963760 189017631 /nfs/dbraw/zinc/01/76/31/189017631.db2.gz KWNHQHKXIHGCMS-IUCAKERBSA-N 0 0 266.322 2.528 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCNc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000177007162 189020256 /nfs/dbraw/zinc/02/02/56/189020256.db2.gz LEGCKBOARQLQTI-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN CC[C@H]1CCC[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000298593953 200479383 /nfs/dbraw/zinc/47/93/83/200479383.db2.gz JAEZREJHLKKCIE-JQWIXIFHSA-N 0 0 277.324 2.779 20 5 CFBDRN CCc1ccc(Cn2cc([N+](=O)[O-])c(C)cc2=O)cc1 ZINC000177796733 189070271 /nfs/dbraw/zinc/07/02/71/189070271.db2.gz SGGUSXCBRQRZQF-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN CCCCOCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177844824 189073613 /nfs/dbraw/zinc/07/36/13/189073613.db2.gz WYNFNHHDBWXUQI-LBPRGKRZSA-N 0 0 294.351 2.897 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2cccn2C)cc1[N+](=O)[O-] ZINC000177844570 189073677 /nfs/dbraw/zinc/07/36/77/189073677.db2.gz LUZXCBAYABCRBF-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN CSCCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177848979 189074467 /nfs/dbraw/zinc/07/44/67/189074467.db2.gz IQCIOWAZYWNCNQ-JTQLQIEISA-N 0 0 282.365 2.834 20 5 CFBDRN COCCCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177873958 189076111 /nfs/dbraw/zinc/07/61/11/189076111.db2.gz XKZBJBXMUFBWQC-NSHDSACASA-N 0 0 280.324 2.507 20 5 CFBDRN CSCC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000178042047 189087372 /nfs/dbraw/zinc/08/73/72/189087372.db2.gz GBHNBSAGLIFMKI-UHFFFAOYSA-N 0 0 294.254 2.915 20 5 CFBDRN CCc1n[nH]c(C(=O)NCC2(CC)CCCC2)c1[N+](=O)[O-] ZINC000178037930 189087527 /nfs/dbraw/zinc/08/75/27/189087527.db2.gz URLXHCKDTPMHRT-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN CCS[C@H]1CCC[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000178107865 189091131 /nfs/dbraw/zinc/09/11/31/189091131.db2.gz UFTGWVLUWZNQMB-AAEUAGOBSA-N 0 0 294.376 2.999 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOCC2CC2)n1 ZINC000178153988 189093710 /nfs/dbraw/zinc/09/37/10/189093710.db2.gz RJNCBMOTZGPRRJ-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298874773 200553137 /nfs/dbraw/zinc/55/31/37/200553137.db2.gz ZQOQZRHYSWFGLQ-SECBINFHSA-N 0 0 253.302 2.622 20 5 CFBDRN O=C(NCCC1=CCCCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000298872304 200553877 /nfs/dbraw/zinc/55/38/77/200553877.db2.gz LARZDOFWDRNUNN-UHFFFAOYSA-N 0 0 263.297 2.543 20 5 CFBDRN CCC(O)(CC)COc1cc(OC)ccc1[N+](=O)[O-] ZINC000224871098 540762982 /nfs/dbraw/zinc/76/29/82/540762982.db2.gz SQPFMUDSZXAPLB-UHFFFAOYSA-N 0 0 269.297 2.533 20 5 CFBDRN COc1cccc(SCCn2ccc([N+](=O)[O-])n2)c1 ZINC000178495318 189120974 /nfs/dbraw/zinc/12/09/74/189120974.db2.gz IUZBOSDSYZXLGM-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN Cc1cccc(SCCn2ccc([N+](=O)[O-])n2)c1 ZINC000178508527 189122062 /nfs/dbraw/zinc/12/20/62/189122062.db2.gz FKEXVWIZDPAHDO-UHFFFAOYSA-N 0 0 263.322 2.892 20 5 CFBDRN O=[N+]([O-])c1ccc(CS(=O)(=O)c2ccccc2)c(F)c1 ZINC000196765992 540804790 /nfs/dbraw/zinc/80/47/90/540804790.db2.gz VOGMOBNBAQKVCX-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN CC1(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)CCC1 ZINC000179556809 189201714 /nfs/dbraw/zinc/20/17/14/189201714.db2.gz MBBVMCALNCJXRD-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN Cc1ccc(CN2CCSC[C@@H]2C)cc1[N+](=O)[O-] ZINC000179662774 189211305 /nfs/dbraw/zinc/21/13/05/189211305.db2.gz QPBXKAAHSXKRHO-NSHDSACASA-N 0 0 266.366 2.841 20 5 CFBDRN CCCc1cc(NC(=O)c2ccc([N+](=O)[O-])s2)n(C)n1 ZINC000179598833 189206202 /nfs/dbraw/zinc/20/62/02/189206202.db2.gz MPNDPLRAEGDRET-UHFFFAOYSA-N 0 0 294.336 2.595 20 5 CFBDRN Cc1cccc(OCc2ncnn2CC(C)C)c1[N+](=O)[O-] ZINC000180110775 189237409 /nfs/dbraw/zinc/23/74/09/189237409.db2.gz HIAODBUFHXTPJF-UHFFFAOYSA-N 0 0 290.323 2.730 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCCCCCO)c(F)c1 ZINC000180220374 189244429 /nfs/dbraw/zinc/24/44/29/189244429.db2.gz AJQULUSGWWCTBN-UHFFFAOYSA-N 0 0 274.267 2.838 20 5 CFBDRN Cc1cccc(N[C@H]2CC[C@H](O)CC2)c1[N+](=O)[O-] ZINC000300420744 200895241 /nfs/dbraw/zinc/89/52/41/200895241.db2.gz RZENPTKCCCCYEX-XYPYZODXSA-N 0 0 250.298 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(C[C@@H]3CCCO3)c2)cc1 ZINC000301059275 200903728 /nfs/dbraw/zinc/90/37/28/200903728.db2.gz CRZGZCLHMMYDQY-AWEZNQCLSA-N 0 0 288.307 2.714 20 5 CFBDRN O=C1CCCCN1COc1cc([N+](=O)[O-])ccc1Cl ZINC000180512695 189260057 /nfs/dbraw/zinc/26/00/57/189260057.db2.gz AIUCUFYELFTBTR-UHFFFAOYSA-N 0 0 284.699 2.597 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@@H](C)C1 ZINC000301091973 200914585 /nfs/dbraw/zinc/91/45/85/200914585.db2.gz IHRFTOAIEZQGAF-GHMZBOCLSA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1cc2ccccc2o1 ZINC000301105396 200917147 /nfs/dbraw/zinc/91/71/47/200917147.db2.gz QREBSUFIQKLCMF-MRVPVSSYSA-N 0 0 299.290 2.886 20 5 CFBDRN COc1ccccc1CCCn1cc([N+](=O)[O-])c(C)n1 ZINC000180683734 189269640 /nfs/dbraw/zinc/26/96/40/189269640.db2.gz NEKBMZZUXQVSAS-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN COC(=O)N1CCC[C@@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000301150935 200926281 /nfs/dbraw/zinc/92/62/81/200926281.db2.gz DDLQRRZHBHKJTB-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCc1nnc2ccccn21 ZINC000301146152 200927386 /nfs/dbraw/zinc/92/73/86/200927386.db2.gz ASSSMRHFQNBOKL-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)NCc1ccccc1[N+](=O)[O-] ZINC000180970044 189284891 /nfs/dbraw/zinc/28/48/91/189284891.db2.gz CRAMOBRQRKEYMS-ZDUSSCGKSA-N 0 0 294.351 2.662 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000180984093 189285549 /nfs/dbraw/zinc/28/55/49/189285549.db2.gz GTJALGFJPNPYLS-IUCAKERBSA-N 0 0 265.313 2.919 20 5 CFBDRN CCCCCS(=O)(=O)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000181393961 189295669 /nfs/dbraw/zinc/29/56/69/189295669.db2.gz HEZBIUNYFTVCJS-UHFFFAOYSA-N 0 0 291.300 2.633 20 5 CFBDRN CCCNC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] ZINC000181394971 189295637 /nfs/dbraw/zinc/29/56/37/189295637.db2.gz SKXXVNYUTDJYPN-UHFFFAOYSA-N 0 0 288.756 2.867 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181297638 189293076 /nfs/dbraw/zinc/29/30/76/189293076.db2.gz NGSQIAJRLZUZKU-JTQLQIEISA-N 0 0 283.349 2.946 20 5 CFBDRN Cc1ccc([C@H](C)NCC(=O)OCC(C)C)cc1[N+](=O)[O-] ZINC000181508860 189298622 /nfs/dbraw/zinc/29/86/22/189298622.db2.gz FGKLCOTZCHVMQW-LBPRGKRZSA-N 0 0 294.351 2.753 20 5 CFBDRN CCOC(=O)[C@H](CC)N[C@H](CC)c1cccc([N+](=O)[O-])c1 ZINC000181562767 189300710 /nfs/dbraw/zinc/30/07/10/189300710.db2.gz ADLIQIMAMNMBAS-KGLIPLIRSA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1cc(NCCCCOC(C)C)ncc1[N+](=O)[O-] ZINC000181566908 189301069 /nfs/dbraw/zinc/30/10/69/189301069.db2.gz RPAKXNWMUZGWBB-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN Cc1ccccc1[C@@H](O)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000301443541 201009786 /nfs/dbraw/zinc/00/97/86/201009786.db2.gz PVHQJARHRFBRMG-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCC[C@H](O)C1 ZINC000301464820 201017776 /nfs/dbraw/zinc/01/77/76/201017776.db2.gz GWBHHOWORSSSGD-FZMZJTMJSA-N 0 0 294.351 2.704 20 5 CFBDRN Cc1nn(C)c(C)c1C[C@H](C)Nc1ccccc1[N+](=O)[O-] ZINC000301467998 201019822 /nfs/dbraw/zinc/01/98/22/201019822.db2.gz RBGZJCTZDPWVLP-JTQLQIEISA-N 0 0 288.351 2.988 20 5 CFBDRN Cc1nn(CCOc2cccc(Cl)c2)cc1[N+](=O)[O-] ZINC000181820798 189307518 /nfs/dbraw/zinc/30/75/18/189307518.db2.gz SXYKGPRPJCKVGM-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cc2Cl)CCCC[C@@H]1O ZINC000301482276 201023270 /nfs/dbraw/zinc/02/32/70/201023270.db2.gz FMQLEIIGNMBMQM-WCQYABFASA-N 0 0 299.758 2.996 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])cc2)CCCC[C@H]1O ZINC000301491439 201027983 /nfs/dbraw/zinc/02/79/83/201027983.db2.gz NMBJZBSUZLKEAE-ZIAGYGMSSA-N 0 0 264.325 2.948 20 5 CFBDRN CCOc1cc(NC[C@H](O)c2ccco2)ccc1[N+](=O)[O-] ZINC000301496847 201029954 /nfs/dbraw/zinc/02/99/54/201029954.db2.gz BJDHIFXVZRRVKZ-LBPRGKRZSA-N 0 0 292.291 2.732 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301527183 201047647 /nfs/dbraw/zinc/04/76/47/201047647.db2.gz JPRGKRUAPAUGTH-DTWKUNHWSA-N 0 0 279.344 2.594 20 5 CFBDRN CCOc1cc(N2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000301521693 201043343 /nfs/dbraw/zinc/04/33/43/201043343.db2.gz LNVLTOZVQZNFKE-JTQLQIEISA-N 0 0 250.298 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccn(CC(F)F)n2)c(F)c1 ZINC000301535935 201055040 /nfs/dbraw/zinc/05/50/40/201055040.db2.gz MPFIVIOJHPAWTJ-UHFFFAOYSA-N 0 0 286.213 2.939 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)c2nccs2)n1 ZINC000182668272 189330937 /nfs/dbraw/zinc/33/09/37/189330937.db2.gz GCVSIKXIVWVWRE-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCC1(C)CCC1 ZINC000301577808 201077659 /nfs/dbraw/zinc/07/76/59/201077659.db2.gz TUOURYYPGLFOFO-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN COCCC[C@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000182535712 189326967 /nfs/dbraw/zinc/32/69/67/189326967.db2.gz CBUHKAQXOTVNAW-JTQLQIEISA-N 0 0 281.308 2.871 20 5 CFBDRN COc1cc(N[C@H]2CCCOCC2)c([N+](=O)[O-])cc1F ZINC000182990842 189341624 /nfs/dbraw/zinc/34/16/24/189341624.db2.gz CAWCDOSQKOLRCQ-VIFPVBQESA-N 0 0 284.287 2.724 20 5 CFBDRN COc1cc(N[C@@H]2CCCOCC2)c([N+](=O)[O-])cc1OC ZINC000182995587 189341710 /nfs/dbraw/zinc/34/17/10/189341710.db2.gz GEVACJWVGXHQQP-SNVBAGLBSA-N 0 0 296.323 2.593 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])c(C)n2)cc1O ZINC000301643460 201111351 /nfs/dbraw/zinc/11/13/51/201111351.db2.gz MULQQPMLZIZPMQ-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN O=[N+]([O-])c1cccnc1Oc1ccc(Br)nc1 ZINC000301626539 201103044 /nfs/dbraw/zinc/10/30/44/201103044.db2.gz QLCOLJKCMHITIQ-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN Cc1nc(NCCc2cccc(O)c2)ccc1[N+](=O)[O-] ZINC000301657755 201118162 /nfs/dbraw/zinc/11/81/62/201118162.db2.gz SNBBCYCPATXEOQ-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000301669212 201127490 /nfs/dbraw/zinc/12/74/90/201127490.db2.gz PPRQFQQRKKNSMT-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1nc(C)c(C)c(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000301700200 201146783 /nfs/dbraw/zinc/14/67/83/201146783.db2.gz SBHVOCQSMUPAEM-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H](O)c1ccncc1 ZINC000301784213 201196837 /nfs/dbraw/zinc/19/68/37/201196837.db2.gz KQOQKVMAYUCZAN-ZDUSSCGKSA-N 0 0 293.710 2.789 20 5 CFBDRN Cc1nsc(N(Cc2ccccc2[N+](=O)[O-])C2CC2)n1 ZINC000301784163 201196873 /nfs/dbraw/zinc/19/68/73/201196873.db2.gz OSLVSEJZXQSQST-UHFFFAOYSA-N 0 0 290.348 2.924 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncc(F)cn2)cc1Cl ZINC000301789762 201198449 /nfs/dbraw/zinc/19/84/49/201198449.db2.gz QWDBLXLKIXJYMH-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC(CC(F)F)C1 ZINC000425077580 238936442 /nfs/dbraw/zinc/93/64/42/238936442.db2.gz SEZXBJDXDHNQHW-UHFFFAOYSA-N 0 0 284.262 2.630 20 5 CFBDRN Cc1sc(C(=O)N2CC(CC(F)F)C2)cc1[N+](=O)[O-] ZINC000425087876 238940142 /nfs/dbraw/zinc/94/01/42/238940142.db2.gz MGHIUILGDMODIU-UHFFFAOYSA-N 0 0 290.291 2.692 20 5 CFBDRN NC(=O)c1cccc(Oc2c(F)cc([N+](=O)[O-])cc2F)c1 ZINC000301779831 201192106 /nfs/dbraw/zinc/19/21/06/201192106.db2.gz IVFUCUBAVFXESX-UHFFFAOYSA-N 0 0 294.213 2.764 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCN(c2ccccn2)C1 ZINC000301784491 201195788 /nfs/dbraw/zinc/19/57/88/201195788.db2.gz VDNPYHKFGYYTAN-GFCCVEGCSA-N 0 0 284.319 2.681 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCn2cccc2)c(F)c1 ZINC000301784208 201196085 /nfs/dbraw/zinc/19/60/85/201196085.db2.gz KQHLKNYCLRLANQ-UHFFFAOYSA-N 0 0 267.235 2.787 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H](O)CCc1ccccc1 ZINC000184726617 189394302 /nfs/dbraw/zinc/39/43/02/189394302.db2.gz HGUXIZVIDIBDLN-AWEZNQCLSA-N 0 0 287.315 2.967 20 5 CFBDRN Cc1ccnc(S[C@@H]2CCO[C@H]2C)c1[N+](=O)[O-] ZINC000301817178 201214755 /nfs/dbraw/zinc/21/47/55/201214755.db2.gz KAVJYAGTWMQGQW-DTWKUNHWSA-N 0 0 254.311 2.568 20 5 CFBDRN CCCCCS(=O)(=O)Oc1ccc(F)cc1[N+](=O)[O-] ZINC000184396254 189385421 /nfs/dbraw/zinc/38/54/21/189385421.db2.gz GRRJDUWIJSEHJF-UHFFFAOYSA-N 0 0 291.300 2.633 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000184443143 189386119 /nfs/dbraw/zinc/38/61/19/189386119.db2.gz QCWUDVKNRRUXGA-GFCCVEGCSA-N 0 0 276.336 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H]2O)cc1C(F)(F)F ZINC000301825560 201221069 /nfs/dbraw/zinc/22/10/69/201221069.db2.gz MIHPZYSAUDULBW-MWLCHTKSSA-N 0 0 290.241 2.939 20 5 CFBDRN O=[N+]([O-])c1c(Sc2ccncc2)nc2sccn21 ZINC000301805111 201209094 /nfs/dbraw/zinc/20/90/94/201209094.db2.gz XLZIFKYFLZHQAL-UHFFFAOYSA-N 0 0 278.318 2.850 20 5 CFBDRN COc1cc(NCCc2ccncc2C)ccc1[N+](=O)[O-] ZINC000301844403 201230152 /nfs/dbraw/zinc/23/01/52/201230152.db2.gz LPCSFOGUZJANCW-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COCCC(C)(C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000184936490 189399523 /nfs/dbraw/zinc/39/95/23/189399523.db2.gz SEKAGJQUFDUFMJ-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301865829 201239265 /nfs/dbraw/zinc/23/92/65/201239265.db2.gz BKDBJOJZVOSQMS-GHMZBOCLSA-N 0 0 289.339 2.652 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCOc1cccnc1 ZINC000301931464 201267199 /nfs/dbraw/zinc/26/71/99/201267199.db2.gz LPMDKVLXJGCBST-UHFFFAOYSA-N 0 0 265.294 2.542 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301957015 201277103 /nfs/dbraw/zinc/27/71/03/201277103.db2.gz FZMQTQZEQSBHOH-MNOVXSKESA-N 0 0 289.339 2.876 20 5 CFBDRN Cn1c(=O)oc2cc(Nc3sccc3[N+](=O)[O-])ccc21 ZINC000301978227 201285749 /nfs/dbraw/zinc/28/57/49/201285749.db2.gz DYSHBPYEIKBPNF-UHFFFAOYSA-N 0 0 291.288 2.845 20 5 CFBDRN CCCC1(CNc2ccc3ncc([N+](=O)[O-])n3n2)CC1 ZINC000301983228 201287163 /nfs/dbraw/zinc/28/71/63/201287163.db2.gz YGJWJDBLVJHEAZ-UHFFFAOYSA-N 0 0 275.312 2.630 20 5 CFBDRN C[C@H]1CCCC[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000425562164 239102091 /nfs/dbraw/zinc/10/20/91/239102091.db2.gz BXDAZKQUCDNUES-CMPLNLGQSA-N 0 0 277.324 2.779 20 5 CFBDRN Cc1ccsc1CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301955818 201275817 /nfs/dbraw/zinc/27/58/17/201275817.db2.gz FEQUNRXKFVKHSN-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000302016679 201301019 /nfs/dbraw/zinc/30/10/19/201301019.db2.gz CJFPHVRNRYFMJU-DOMZBBRYSA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cc(N(C)[C@@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000302024778 201305077 /nfs/dbraw/zinc/30/50/77/201305077.db2.gz HEOKYQCUPIDQCN-NSHDSACASA-N 0 0 274.324 2.834 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@@H](C)O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000302029806 201308739 /nfs/dbraw/zinc/30/87/39/201308739.db2.gz MNCHLORVJAIURB-NXEZZACHSA-N 0 0 284.743 2.764 20 5 CFBDRN CCOC[C@H]1CCN(c2sccc2[N+](=O)[O-])C1 ZINC000301995537 201292527 /nfs/dbraw/zinc/29/25/27/201292527.db2.gz HHCZZDSEYIJHTC-VIFPVBQESA-N 0 0 256.327 2.519 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1ccc(CO)cc1 ZINC000302002637 201295526 /nfs/dbraw/zinc/29/55/26/201295526.db2.gz JQAMVVHOCPZFLE-UHFFFAOYSA-N 0 0 272.304 2.742 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cccc(CCCO)c1 ZINC000302054867 201323534 /nfs/dbraw/zinc/32/35/34/201323534.db2.gz PZMPAZPJSIUNLI-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CN(C(=O)NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CC1(C)C ZINC000425971216 239148116 /nfs/dbraw/zinc/14/81/16/239148116.db2.gz NMQIHBVSBCFGPT-CYBMUJFWSA-N 0 0 291.351 2.577 20 5 CFBDRN CCN(Cc1cccc(F)c1)c1ncc([N+](=O)[O-])cn1 ZINC000302153259 201368768 /nfs/dbraw/zinc/36/87/68/201368768.db2.gz LMSGFKJMVCIKQB-UHFFFAOYSA-N 0 0 276.271 2.550 20 5 CFBDRN CCOC(=O)[C@H](C)[C@H](C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000302129209 201353083 /nfs/dbraw/zinc/35/30/83/201353083.db2.gz ANOCUPRFGNTCQN-BDAKNGLRSA-N 0 0 284.287 2.734 20 5 CFBDRN CCOC(=O)[C@H](C)[C@@H](C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000302129212 201353829 /nfs/dbraw/zinc/35/38/29/201353829.db2.gz ANOCUPRFGNTCQN-RKDXNWHRSA-N 0 0 284.287 2.734 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@@H]2c2c(F)cccc2F)nc1 ZINC000302164031 201374866 /nfs/dbraw/zinc/37/48/66/201374866.db2.gz QJFNFSRLONNFNA-KWQFWETISA-N 0 0 292.245 2.631 20 5 CFBDRN CCOc1ccc(Nc2ncc([N+](=O)[O-])cn2)cc1F ZINC000302178456 201384285 /nfs/dbraw/zinc/38/42/85/201384285.db2.gz YGDYTWCTKANFLZ-UHFFFAOYSA-N 0 0 278.243 2.666 20 5 CFBDRN COc1cccc(Sc2ncc([N+](=O)[O-])cn2)c1 ZINC000302180694 201384712 /nfs/dbraw/zinc/38/47/12/201384712.db2.gz ZHUYTQSEVSOSSL-UHFFFAOYSA-N 0 0 263.278 2.545 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@H]1CCC[C@@]1(C)C(=O)[O-] ZINC000426732142 239255470 /nfs/dbraw/zinc/25/54/70/239255470.db2.gz RTQASVOQSBBDLY-DZGCQCFKSA-N 0 0 292.335 2.636 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000302183076 201387010 /nfs/dbraw/zinc/38/70/10/201387010.db2.gz ZNLNWUSUVQOZCW-QWRGUYRKSA-N 0 0 280.324 2.903 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)c1[N+](=O)[O-] ZINC000428117282 239386784 /nfs/dbraw/zinc/38/67/84/239386784.db2.gz SIYVSGMFXNRGQF-GDGBQDQQSA-N 0 0 292.360 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)CC(F)(F)F)c(Cl)c1 ZINC000189348862 189530787 /nfs/dbraw/zinc/53/07/87/189530787.db2.gz WNNHEOFBDFHOLO-SSDOTTSWSA-N 0 0 299.632 2.940 20 5 CFBDRN COc1cc(C(=O)N(C(C)C)C2CC2)cc([N+](=O)[O-])c1C ZINC000191193781 189586097 /nfs/dbraw/zinc/58/60/97/189586097.db2.gz ASEPKZKTHLAHOJ-UHFFFAOYSA-N 0 0 292.335 2.925 20 5 CFBDRN CC[C@@H](C)CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000191857050 189606543 /nfs/dbraw/zinc/60/65/43/189606543.db2.gz YGKXVHBTGWMJSA-SNVBAGLBSA-N 0 0 262.309 2.920 20 5 CFBDRN CC(C)=CCNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000191735172 189603172 /nfs/dbraw/zinc/60/31/72/189603172.db2.gz XYSMLBQOKYOASM-UHFFFAOYSA-N 0 0 280.349 2.769 20 5 CFBDRN Cc1nc(CCNc2ncc([N+](=O)[O-])s2)sc1C ZINC000192492511 189623519 /nfs/dbraw/zinc/62/35/19/189623519.db2.gz OBKYWFTXNGHIJN-UHFFFAOYSA-N 0 0 284.366 2.779 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000432419063 240003375 /nfs/dbraw/zinc/00/33/75/240003375.db2.gz YPZSAMOOYCOYRG-WCQYABFASA-N 0 0 275.308 2.823 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCC1CC(O)C1 ZINC000432139733 239967224 /nfs/dbraw/zinc/96/72/24/239967224.db2.gz RRVRSWSTPSVFBT-UHFFFAOYSA-N 0 0 270.716 2.821 20 5 CFBDRN O=C(NCCC1CCCC1)c1ccc([N+](=O)[O-])s1 ZINC000193177578 189636958 /nfs/dbraw/zinc/63/69/58/189636958.db2.gz ZQMQJJHJCCEGJH-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000193803110 189650447 /nfs/dbraw/zinc/65/04/47/189650447.db2.gz JXBXLUIJHXKEQR-CMPLNLGQSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1nc2[nH]ccc2c(Oc2cccc([N+](=O)[O-])c2)n1 ZINC000433779723 240175657 /nfs/dbraw/zinc/17/56/57/240175657.db2.gz NOMFKCMAPXLPKN-UHFFFAOYSA-N 0 0 270.248 2.967 20 5 CFBDRN CSC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCC1 ZINC000194464035 189661652 /nfs/dbraw/zinc/66/16/52/189661652.db2.gz WYCSYBWGUYSACE-UHFFFAOYSA-N 0 0 286.378 2.672 20 5 CFBDRN CSC1(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)CC1 ZINC000194523808 189663138 /nfs/dbraw/zinc/66/31/38/189663138.db2.gz OUJOOTYJIIRQBP-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@]1(C)CCCS1 ZINC000194836035 189668165 /nfs/dbraw/zinc/66/81/65/189668165.db2.gz YKBMXRAUTUHWQV-AWEZNQCLSA-N 0 0 294.376 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@H](O)c1ccccc1)CCN2 ZINC000275389531 193018647 /nfs/dbraw/zinc/01/86/47/193018647.db2.gz QAVBFJUFCUOPIE-HNNXBMFYSA-N 0 0 299.330 2.708 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(C3(O)CCCCC3)n2)c1 ZINC000275402906 193023508 /nfs/dbraw/zinc/02/35/08/193023508.db2.gz FAPYVRQEWYZBNJ-UHFFFAOYSA-N 0 0 289.291 2.797 20 5 CFBDRN Cn1c(C(=O)N[C@H]2C[C@H](c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000437460762 240558718 /nfs/dbraw/zinc/55/87/18/240558718.db2.gz NUSSYDKWYILFGW-JOCQHMNTSA-N 0 0 299.330 2.609 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC=C(F)C1 ZINC000275544791 193071221 /nfs/dbraw/zinc/07/12/21/193071221.db2.gz AYHHPCNKDMOKKF-UHFFFAOYSA-N 0 0 295.270 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CCO[C@@H](C)C1 ZINC000275834782 193142260 /nfs/dbraw/zinc/14/22/60/193142260.db2.gz YQYVSAODNQASLL-WDEREUQCSA-N 0 0 293.323 2.592 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC1(C)C ZINC000275763174 193123278 /nfs/dbraw/zinc/12/32/78/193123278.db2.gz OWVUALDYJNPPQO-LLVKDONJSA-N 0 0 279.296 2.523 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCCC(F)(F)F ZINC000440508127 240844935 /nfs/dbraw/zinc/84/49/35/240844935.db2.gz BSBZXVXJONQTJP-UHFFFAOYSA-N 0 0 290.241 2.596 20 5 CFBDRN COc1cccc(CNc2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000440588490 240857717 /nfs/dbraw/zinc/85/77/17/240857717.db2.gz OLGVWJSDMGXSSU-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN CO[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CC[C@H]1C ZINC000275826048 193140393 /nfs/dbraw/zinc/14/03/93/193140393.db2.gz KRWJTQZMYWPZFE-YGRLFVJLSA-N 0 0 282.315 2.591 20 5 CFBDRN Cc1nc(COc2ncc(C)cc2[N+](=O)[O-])c(C)s1 ZINC000443518284 241070253 /nfs/dbraw/zinc/07/02/53/241070253.db2.gz FXSNSAXQROBDCR-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000443990377 241102413 /nfs/dbraw/zinc/10/24/13/241102413.db2.gz QMWRGNAEXKMKSM-NSHDSACASA-N 0 0 266.345 2.509 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000444469060 241143542 /nfs/dbraw/zinc/14/35/42/241143542.db2.gz SBZCKKNFTLXXJO-NXEZZACHSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1cc(C)cc(CN(C)Cc2c([N+](=O)[O-])ncn2C)c1 ZINC000444711070 241176116 /nfs/dbraw/zinc/17/61/16/241176116.db2.gz WKXALUUAAUYCSV-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])cnc1C)C(C)(C)C ZINC000444738758 241179779 /nfs/dbraw/zinc/17/97/79/241179779.db2.gz SLFGZJUFFNDPHJ-UHFFFAOYSA-N 0 0 279.340 2.949 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H](C)C2)c1 ZINC000444323970 241135933 /nfs/dbraw/zinc/13/59/33/241135933.db2.gz WZVUIRVWDXYUGU-UWVGGRQHSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc(Cn2ccc(=O)c([N+](=O)[O-])c2)c(Cl)c1 ZINC000446058078 241263559 /nfs/dbraw/zinc/26/35/59/241263559.db2.gz DIUIHYKNYAJQLF-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN O=[N+]([O-])c1ncn(Cc2cccc(Cl)c2Cl)n1 ZINC000446055705 241263863 /nfs/dbraw/zinc/26/38/63/241263863.db2.gz UGSUWDVIIKWWCC-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@H](C)O[C@@H](C)[C@@H]1C ZINC000446063641 241268028 /nfs/dbraw/zinc/26/80/28/241268028.db2.gz RQSNFHSVTJVJOL-SRVKXCTJSA-N 0 0 294.351 2.601 20 5 CFBDRN CC(C)[C@H](CC(F)(F)F)Nc1c([N+](=O)[O-])ncn1C ZINC000445659937 241228431 /nfs/dbraw/zinc/22/84/31/241228431.db2.gz JHYORMNWZXKYLK-ZETCQYMHSA-N 0 0 280.250 2.717 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCC[C@@H]1CCO ZINC000302460197 201453966 /nfs/dbraw/zinc/45/39/66/201453966.db2.gz RJZVPFXBQMUKJR-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cc(N[C@@H](C)Cn2cncn2)c(Cl)cc1[N+](=O)[O-] ZINC000302655304 201500126 /nfs/dbraw/zinc/50/01/26/201500126.db2.gz KQFNSHRNZUACDH-VIFPVBQESA-N 0 0 295.730 2.649 20 5 CFBDRN CCC(CC)[C@@H](CCNc1ccc([N+](=O)[O-])cn1)OC ZINC000446879381 241334189 /nfs/dbraw/zinc/33/41/89/241334189.db2.gz NESDUVUWQUPZKA-CYBMUJFWSA-N 0 0 281.356 2.665 20 5 CFBDRN COc1cc(C(=O)NC[C@@H]2CC2(C)C)c([N+](=O)[O-])cc1F ZINC000448962857 241612343 /nfs/dbraw/zinc/61/23/43/241612343.db2.gz SSSUIJSERYSFGY-QMMMGPOBSA-N 0 0 296.298 2.518 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\C[N@H+]1CC[C@H](CCCO)C1 ZINC000449791803 242167508 /nfs/dbraw/zinc/16/75/08/242167508.db2.gz QIEWJSSNHQOTSS-UMSKNGNOSA-N 0 0 290.363 2.702 20 5 CFBDRN CC[C@@](C)(O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450304833 242348758 /nfs/dbraw/zinc/34/87/58/242348758.db2.gz SNJWJKQVFVASQC-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN CC1(CNc2nccc3c2cccc3[N+](=O)[O-])COC1 ZINC000450327706 242359558 /nfs/dbraw/zinc/35/95/58/242359558.db2.gz DQSJKNYVOCWDKV-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN Cc1nc(N2CCC[C@@H]2c2ccco2)ncc1[N+](=O)[O-] ZINC000450338183 242365448 /nfs/dbraw/zinc/36/54/48/242365448.db2.gz JFPDCMBZRNDEQZ-SNVBAGLBSA-N 0 0 274.280 2.628 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@H]1CCCO1 ZINC000450208116 242307670 /nfs/dbraw/zinc/30/76/70/242307670.db2.gz KGHHTNNRIAWBQP-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@]1(F)CCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000294914974 291399321 /nfs/dbraw/zinc/39/93/21/291399321.db2.gz JHRJGZPSEXTUBV-AWEZNQCLSA-N 0 0 279.315 2.891 20 5 CFBDRN Cc1ccsc1[C@@H](CO)Nc1ccc([N+](=O)[O-])nc1 ZINC000450368890 242381136 /nfs/dbraw/zinc/38/11/36/242381136.db2.gz KUQMUYOARVGRGX-SNVBAGLBSA-N 0 0 279.321 2.505 20 5 CFBDRN C[C@H]([C@H]1Cc2ccccc2O1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000450397171 242395231 /nfs/dbraw/zinc/39/52/31/242395231.db2.gz YBUIWQVCWSYDLV-IAQYHMDHSA-N 0 0 299.330 2.818 20 5 CFBDRN O=C([C@H]1CCCCS1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000272991901 296105975 /nfs/dbraw/zinc/10/59/75/296105975.db2.gz SJLAZIWKMKADET-CYBMUJFWSA-N 0 0 292.360 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CC[C@@H](F)C2)c1 ZINC000450534297 242467023 /nfs/dbraw/zinc/46/70/23/242467023.db2.gz DPKBQEVWCAQOEN-MNOVXSKESA-N 0 0 275.283 2.867 20 5 CFBDRN CC[C@](C)(CNc1nccc2cc([N+](=O)[O-])ccc21)OC ZINC000450707860 242555391 /nfs/dbraw/zinc/55/53/91/242555391.db2.gz BSRJGFRMQHYIPS-OAHLLOKOSA-N 0 0 289.335 2.792 20 5 CFBDRN Cc1cc(N[C@@H](C(C)C)[C@@H](CO)C(C)C)ncc1[N+](=O)[O-] ZINC000450771669 242595786 /nfs/dbraw/zinc/59/57/86/242595786.db2.gz OJDWDAJNNGLFLS-WFASDCNBSA-N 0 0 295.383 2.999 20 5 CFBDRN CCO[C@H]1C[C@@](O)(CNc2ccccc2[N+](=O)[O-])C1(C)C ZINC000302748686 201539809 /nfs/dbraw/zinc/53/98/09/201539809.db2.gz GKWWACXMJVLKRV-DZGCQCFKSA-N 0 0 294.351 2.573 20 5 CFBDRN Cc1cc(N2C[C@@H](C3CC3)[C@@H]2C2CC2)ncc1[N+](=O)[O-] ZINC000450802639 242612926 /nfs/dbraw/zinc/61/29/26/242612926.db2.gz ZNNWIIPGKFQWQK-WFASDCNBSA-N 0 0 273.336 2.923 20 5 CFBDRN Cc1cccc(N2CC[C@H](CCCO)C2)c1[N+](=O)[O-] ZINC000450958880 242689458 /nfs/dbraw/zinc/68/94/58/242689458.db2.gz JWHPCQKLZSDLGD-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN COc1cccc([C@@H]2C[C@H]2Nc2ccc([N+](=O)[O-])cn2)c1 ZINC000450859046 242642980 /nfs/dbraw/zinc/64/29/80/242642980.db2.gz LQTRLKQXZLKGRU-UONOGXRCSA-N 0 0 285.303 2.966 20 5 CFBDRN Cc1cc(CCNc2ncccc2[N+](=O)[O-])cc(C)c1O ZINC000302792579 201558021 /nfs/dbraw/zinc/55/80/21/201558021.db2.gz ZCRSUDJJURJIKG-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NCC(F)(F)F ZINC000275976568 193178574 /nfs/dbraw/zinc/17/85/74/193178574.db2.gz XRLAWHGGXHCDAC-UHFFFAOYSA-N 0 0 296.632 2.849 20 5 CFBDRN Cc1onc(NC(=O)CCc2cccc([N+](=O)[O-])c2)c1C ZINC000452168056 243071576 /nfs/dbraw/zinc/07/15/76/243071576.db2.gz VJQCCRPUSSTEIM-UHFFFAOYSA-N 0 0 289.291 2.771 20 5 CFBDRN CCC[C@@H](NC(=O)c1nn(CC)cc1[N+](=O)[O-])C(C)(C)C ZINC000452662959 243256917 /nfs/dbraw/zinc/25/69/17/243256917.db2.gz BGFFLCKCRGLHQT-LLVKDONJSA-N 0 0 296.371 2.756 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000452576683 243229425 /nfs/dbraw/zinc/22/94/25/243229425.db2.gz VHJFKIBWZUNSIT-RYUDHWBXSA-N 0 0 299.330 2.971 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@@H]2C2CC2)c1[N+](=O)[O-] ZINC000452988236 243357056 /nfs/dbraw/zinc/35/70/56/243357056.db2.gz KMTLYDTUKHBDIH-LLVKDONJSA-N 0 0 292.360 2.941 20 5 CFBDRN O=C(NC[C@@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000452847108 243318540 /nfs/dbraw/zinc/31/85/40/243318540.db2.gz OITLWZCWKJCDRO-JTQLQIEISA-N 0 0 284.262 2.760 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)C(C)(F)F ZINC000452878001 243328869 /nfs/dbraw/zinc/32/88/69/243328869.db2.gz VCUJZLLSZVVFBD-QMMMGPOBSA-N 0 0 272.251 2.677 20 5 CFBDRN CC[C@H](C)N[C@@H](c1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000453061250 243383903 /nfs/dbraw/zinc/38/39/03/243383903.db2.gz SLEJRLBDKJXHQY-SMDDNHRTSA-N 0 0 288.351 2.806 20 5 CFBDRN CC1(C)CCC[C@@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000275997811 193183962 /nfs/dbraw/zinc/18/39/62/193183962.db2.gz OCOQJBVMNLRQFC-SNVBAGLBSA-N 0 0 291.351 2.876 20 5 CFBDRN CCc1nc(-c2ccc([N+](=O)[O-])c3c2CCCN3)no1 ZINC000453240153 243471359 /nfs/dbraw/zinc/47/13/59/243471359.db2.gz REKZTJUOMCMHQE-UHFFFAOYSA-N 0 0 274.280 2.565 20 5 CFBDRN C[C@H]1N(Cc2ccccc2[N+](=O)[O-])CCOC1(C)C ZINC000330598145 203019287 /nfs/dbraw/zinc/01/92/87/203019287.db2.gz AZDADLKSYBKFIO-LLVKDONJSA-N 0 0 264.325 2.594 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC2(CC2)C1 ZINC000455358977 243943560 /nfs/dbraw/zinc/94/35/60/243943560.db2.gz NKGNBWLUXAMZRM-UHFFFAOYSA-N 0 0 291.307 2.621 20 5 CFBDRN COCC1(C)CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000330642249 203071368 /nfs/dbraw/zinc/07/13/68/203071368.db2.gz GBIVEPYMUKQBCC-UHFFFAOYSA-N 0 0 298.364 2.545 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000455782418 244018063 /nfs/dbraw/zinc/01/80/63/244018063.db2.gz HFPYMRCYJDGXHD-VHSXEESVSA-N 0 0 294.355 2.586 20 5 CFBDRN CCC(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456172770 244095040 /nfs/dbraw/zinc/09/50/40/244095040.db2.gz FHYRPZDLKHNMQC-UHFFFAOYSA-N 0 0 251.286 2.764 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCC(=O)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000330724689 203155883 /nfs/dbraw/zinc/15/58/83/203155883.db2.gz ZRYKOUZOSNDTKH-QWRGUYRKSA-N 0 0 290.319 2.847 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000456338229 244144963 /nfs/dbraw/zinc/14/49/63/244144963.db2.gz WVTHFDFYHIEGPR-AAEUAGOBSA-N 0 0 262.309 2.617 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000456804023 244294042 /nfs/dbraw/zinc/29/40/42/244294042.db2.gz ULHRFELIZPCURU-CMPLNLGQSA-N 0 0 291.351 2.949 20 5 CFBDRN Cc1ccc(CCNC(=O)c2c(C)cccc2[N+](=O)[O-])nc1 ZINC000457176564 244419870 /nfs/dbraw/zinc/41/98/70/244419870.db2.gz KBJJIVVMULXQCK-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@H](CCC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330850592 203311545 /nfs/dbraw/zinc/31/15/45/203311545.db2.gz WOPCWYLOKXKIFS-SECBINFHSA-N 0 0 267.329 2.868 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000425163583 284146393 /nfs/dbraw/zinc/14/63/93/284146393.db2.gz WETDFEFXGJWSGB-JGPRNRPPSA-N 0 0 297.314 2.671 20 5 CFBDRN C[C@@]1(O)CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC000229869118 189803227 /nfs/dbraw/zinc/80/32/27/189803227.db2.gz GHGJMUQDLCEEQW-LLVKDONJSA-N 0 0 290.241 2.575 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000230217251 189808078 /nfs/dbraw/zinc/80/80/78/189808078.db2.gz PKJHLCWWIASLEG-JTQLQIEISA-N 0 0 250.298 2.822 20 5 CFBDRN CCCN(CC(C)C)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000276121696 193219490 /nfs/dbraw/zinc/21/94/90/193219490.db2.gz YJBXYNGRSQPYES-UHFFFAOYSA-N 0 0 279.340 2.685 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000330897075 203365875 /nfs/dbraw/zinc/36/58/75/203365875.db2.gz HGGJRZWNOQRVGH-UHFFFAOYSA-N 0 0 267.329 2.632 20 5 CFBDRN CCc1ccccc1Cn1c(C)ccc([N+](=O)[O-])c1=O ZINC000276227901 193251034 /nfs/dbraw/zinc/25/10/34/193251034.db2.gz MZROICHHEBBUPK-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN Cc1cnc(CNCc2ccccc2[N+](=O)[O-])s1 ZINC000230687244 189810668 /nfs/dbraw/zinc/81/06/68/189810668.db2.gz JPOLILLSTAGGNX-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN CCC(O)(CC)CCNc1ncc(C)cc1[N+](=O)[O-] ZINC000276246206 193256100 /nfs/dbraw/zinc/25/61/00/193256100.db2.gz QAIAAWHXGYYXNR-UHFFFAOYSA-N 0 0 267.329 2.651 20 5 CFBDRN CCC(CC)(CNc1ncc([N+](=O)[O-])cn1)SC ZINC000230874100 189811449 /nfs/dbraw/zinc/81/14/49/189811449.db2.gz CAHQGDONHBJJJF-UHFFFAOYSA-N 0 0 270.358 2.719 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CSC2(C)C)ccc1[N+](=O)[O-] ZINC000276260721 193261044 /nfs/dbraw/zinc/26/10/44/193261044.db2.gz NFERGRHLKVUSIT-NSHDSACASA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)CC1(C)C ZINC000276278889 193268252 /nfs/dbraw/zinc/26/82/52/193268252.db2.gz TVKDBFIXSWYNFD-JTQLQIEISA-N 0 0 291.351 2.685 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000276498037 193357304 /nfs/dbraw/zinc/35/73/04/193357304.db2.gz OXTTZNRFKONUQK-GUOLPTJISA-N 0 0 292.360 2.618 20 5 CFBDRN C/C=C(\C)C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000276465399 193341505 /nfs/dbraw/zinc/34/15/05/193341505.db2.gz XNDDJCUYZQHFPE-VMPITWQZSA-N 0 0 264.281 2.616 20 5 CFBDRN CC(C)OCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276587295 193390807 /nfs/dbraw/zinc/39/08/07/193390807.db2.gz JCUWQFPKJZGFHK-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@H]1CCCO1 ZINC000276544862 193375544 /nfs/dbraw/zinc/37/55/44/193375544.db2.gz DOBFUSIMAQMLDC-LLVKDONJSA-N 0 0 273.292 2.734 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000331066110 203510895 /nfs/dbraw/zinc/51/08/95/203510895.db2.gz PYNPKGWCRCNXEW-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)=CCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000276656693 193417773 /nfs/dbraw/zinc/41/77/73/193417773.db2.gz VJSAKDFIICFGDC-UHFFFAOYSA-N 0 0 266.272 2.820 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(C)C2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000458197599 244795706 /nfs/dbraw/zinc/79/57/06/244795706.db2.gz ZZPOLAYLAWLYFO-UHFFFAOYSA-N 0 0 294.355 2.531 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000331122530 203556378 /nfs/dbraw/zinc/55/63/78/203556378.db2.gz NGDWYIXITGNMJM-LBPRGKRZSA-N 0 0 274.320 2.876 20 5 CFBDRN O=C(N[C@H]1CCCc2sccc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000274369031 284190300 /nfs/dbraw/zinc/19/03/00/284190300.db2.gz YJUMTOVQHMNTBN-VIFPVBQESA-N 0 0 291.332 2.792 20 5 CFBDRN CC[C@H](C)CC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000276804006 193465941 /nfs/dbraw/zinc/46/59/41/193465941.db2.gz FCBKELGKJLEQFZ-JTQLQIEISA-N 0 0 280.324 2.656 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000458269379 244822962 /nfs/dbraw/zinc/82/29/62/244822962.db2.gz ZPNQYIAIKFGZOB-NTZNESFSSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000458280747 244827469 /nfs/dbraw/zinc/82/74/69/244827469.db2.gz OXQVRYUTECZRMT-WXHSDQCUSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1c(C(=O)N(C)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000335406828 291489072 /nfs/dbraw/zinc/48/90/72/291489072.db2.gz DVESLTXNKSRNCM-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCCC1=CCCC1 ZINC000277216117 193587245 /nfs/dbraw/zinc/58/72/45/193587245.db2.gz HZNCOOKKXBMGRU-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN Cc1cc(N[C@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000277453877 193659182 /nfs/dbraw/zinc/65/91/82/193659182.db2.gz KAFNSJXSYHQJLX-PWSUYJOCSA-N 0 0 250.298 2.740 20 5 CFBDRN CN(Cc1cnc(C2CC2)nc1)Cc1ccccc1[N+](=O)[O-] ZINC000459309769 245132329 /nfs/dbraw/zinc/13/23/29/245132329.db2.gz VWDBVCXPZUYSMN-UHFFFAOYSA-N 0 0 298.346 2.894 20 5 CFBDRN Cc1c(NC(=O)C2(CF)CCOCC2)cccc1[N+](=O)[O-] ZINC000459895073 245341850 /nfs/dbraw/zinc/34/18/50/245341850.db2.gz WFORUIUQSCYQCV-UHFFFAOYSA-N 0 0 296.298 2.608 20 5 CFBDRN CCn1cc(CNc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000234215310 189821233 /nfs/dbraw/zinc/82/12/33/189821233.db2.gz LBSVKWCZWRPCBU-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN O=C(N[C@H]1C[C@H](c2ccccc2)C1)c1ccc([N+](=O)[O-])o1 ZINC000280701502 284262425 /nfs/dbraw/zinc/26/24/25/284262425.db2.gz OFRZBRNZPKVJME-HAQNSBGRSA-N 0 0 286.287 2.864 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000331872904 204249384 /nfs/dbraw/zinc/24/93/84/204249384.db2.gz BDYBOPUJBLSNKM-LBPRGKRZSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@H]2CCc3ccccc3C2)c1 ZINC000331915505 204289330 /nfs/dbraw/zinc/28/93/30/204289330.db2.gz BRKIPWVUDMBHGE-NSHDSACASA-N 0 0 257.293 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@H](O)C2)c2ccncc21 ZINC000236565966 189834338 /nfs/dbraw/zinc/83/43/38/189834338.db2.gz AXSLJRRPSABILR-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1c(C(=O)NCc2ccsc2)cccc1[N+](=O)[O-] ZINC000013698084 372041284 /nfs/dbraw/zinc/04/12/84/372041284.db2.gz IJJAIXIOYAHRPW-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1nn(Cc2cccc(F)c2F)c(C)c1[N+](=O)[O-] ZINC000016626624 372114517 /nfs/dbraw/zinc/11/45/17/372114517.db2.gz LRVXZJWIWQFVKD-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN Cc1csc(Sc2ccc([N+](=O)[O-])cn2)n1 ZINC000014212755 372064670 /nfs/dbraw/zinc/06/46/70/372064670.db2.gz GSFRFUROCWSZIP-UHFFFAOYSA-N 0 0 253.308 2.906 20 5 CFBDRN CCNc1ccc(C(=O)NC(CC)CC)cc1[N+](=O)[O-] ZINC000015084200 372079001 /nfs/dbraw/zinc/07/90/01/372079001.db2.gz LUWKKDSXESJAPI-UHFFFAOYSA-N 0 0 279.340 2.945 20 5 CFBDRN CC(C)CCNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000015499834 372085476 /nfs/dbraw/zinc/08/54/76/372085476.db2.gz CEYFSBGDCZIZCB-UHFFFAOYSA-N 0 0 282.365 2.849 20 5 CFBDRN CC(C)CCNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000015499640 372085506 /nfs/dbraw/zinc/08/55/06/372085506.db2.gz QLGVWWHBHXXDTO-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000015797060 372093087 /nfs/dbraw/zinc/09/30/87/372093087.db2.gz XHNRPKUWCMWIHJ-BDAKNGLRSA-N 0 0 268.338 2.965 20 5 CFBDRN Cc1c(NC(=O)c2ccncc2)cccc1[N+](=O)[O-] ZINC000015790875 372093570 /nfs/dbraw/zinc/09/35/70/372093570.db2.gz ABMJILUULDTCNR-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN O=C(NCCc1ccco1)c1ccc([N+](=O)[O-])cc1Cl ZINC000017910081 372137402 /nfs/dbraw/zinc/13/74/02/372137402.db2.gz OTNXPIAETSGBQU-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN O=C(NCCc1cccc(F)c1)c1ccccc1[N+](=O)[O-] ZINC000018136661 372141243 /nfs/dbraw/zinc/14/12/43/372141243.db2.gz JGZQZKMXBWKJHV-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN CC(C)CCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000025313916 372295287 /nfs/dbraw/zinc/29/52/87/372295287.db2.gz LEHICKVVGVJRJE-UHFFFAOYSA-N 0 0 256.327 2.822 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000025571528 372303012 /nfs/dbraw/zinc/30/30/12/372303012.db2.gz NFOOHGVEGWPKGJ-KOLCDFICSA-N 0 0 277.324 2.684 20 5 CFBDRN CSCCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000022927137 372248909 /nfs/dbraw/zinc/24/89/09/372248909.db2.gz UCSUYKUJWLUFHS-UHFFFAOYSA-N 0 0 254.311 2.595 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1ccccn1 ZINC000185451626 284315816 /nfs/dbraw/zinc/31/58/16/284315816.db2.gz GRLBGNUNUKWAHT-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1Cc2ccccc2O1 ZINC000024970267 372285631 /nfs/dbraw/zinc/28/56/31/372285631.db2.gz HBSOCLYZJXICST-AWEZNQCLSA-N 0 0 284.271 2.537 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NCC1CCC1 ZINC000026136426 372314445 /nfs/dbraw/zinc/31/44/45/372314445.db2.gz IZRCNUJBWKNJDY-UHFFFAOYSA-N 0 0 280.349 2.603 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NCC1CCC1 ZINC000026147673 372314623 /nfs/dbraw/zinc/31/46/23/372314623.db2.gz KDWWVYZHTOBRNO-CMDGGOBGSA-N 0 0 260.293 2.524 20 5 CFBDRN Cc1occc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029251315 372375835 /nfs/dbraw/zinc/37/58/35/372375835.db2.gz GJNZUODHQUOPKF-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccccc1O ZINC000029845714 372387722 /nfs/dbraw/zinc/38/77/22/372387722.db2.gz KBGXKKHMRSKVJX-UHFFFAOYSA-N 0 0 286.287 2.573 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000032032139 372425638 /nfs/dbraw/zinc/42/56/38/372425638.db2.gz IGKPGKAVQBYOJI-JQWIXIFHSA-N 0 0 262.309 2.903 20 5 CFBDRN O=C1CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 ZINC000035084401 372537251 /nfs/dbraw/zinc/53/72/51/372537251.db2.gz YBCVEATYKYJCEX-UHFFFAOYSA-N 0 0 288.225 2.783 20 5 CFBDRN COCCCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000035084570 372537306 /nfs/dbraw/zinc/53/73/06/372537306.db2.gz GYPCMIYFVMDWLI-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)Nc1cccc(O)c1 ZINC000033285310 372488760 /nfs/dbraw/zinc/48/87/60/372488760.db2.gz MUJURUQANSUIPS-FPLPWBNLSA-N 0 0 284.271 2.952 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccncc1 ZINC000033303195 372489923 /nfs/dbraw/zinc/48/99/23/372489923.db2.gz FUFOMRHDAUCERY-UTCJRWHESA-N 0 0 269.260 2.642 20 5 CFBDRN CC1CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000033315452 372490096 /nfs/dbraw/zinc/49/00/96/372490096.db2.gz MKBTVBHSVGDJRU-DAXSKMNVSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1cccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)n1 ZINC000033587938 372498037 /nfs/dbraw/zinc/49/80/37/372498037.db2.gz OZXMNBMQLZWYKU-YFHOEESVSA-N 0 0 283.287 2.950 20 5 CFBDRN Cn1ccnc1Sc1ccc([N+](=O)[O-])cc1F ZINC000034462457 372508743 /nfs/dbraw/zinc/50/87/43/372508743.db2.gz MKIOJOBTIPPPOW-UHFFFAOYSA-N 0 0 253.258 2.619 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ccccc1CO ZINC000035039531 372530988 /nfs/dbraw/zinc/53/09/88/372530988.db2.gz CSAJYPIFFABZEG-UHFFFAOYSA-N 0 0 258.277 2.699 20 5 CFBDRN CCCCNC(=O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000036691884 372585098 /nfs/dbraw/zinc/58/50/98/372585098.db2.gz LIPZFPFRVVXHQU-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1c(C)noc1C ZINC000036691726 372585627 /nfs/dbraw/zinc/58/56/27/372585627.db2.gz ICSUHFSMGQOUQE-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN Cn1cc(CNc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000036970954 372618703 /nfs/dbraw/zinc/61/87/03/372618703.db2.gz PTQQHWYBRCTTAE-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN COC(=O)[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000066812630 284332612 /nfs/dbraw/zinc/33/26/12/284332612.db2.gz BZLRDPFXVFJIJX-VIFPVBQESA-N 0 0 298.726 2.638 20 5 CFBDRN COc1ncccc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000037650520 372686040 /nfs/dbraw/zinc/68/60/40/372686040.db2.gz GKHHYPAKNASQPD-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@H](c2ccccc2)C1 ZINC000038935589 372774705 /nfs/dbraw/zinc/77/47/05/372774705.db2.gz OXDCUZRRBKOGPO-LBPRGKRZSA-N 0 0 286.287 2.818 20 5 CFBDRN CNc1ccccc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000042032392 372870109 /nfs/dbraw/zinc/87/01/09/372870109.db2.gz QMQHYUYHKVYQIB-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CNc1nnc(SCCc2ccc([N+](=O)[O-])cc2)s1 ZINC000042153712 372878555 /nfs/dbraw/zinc/87/85/55/372878555.db2.gz LAHMJQXCPWXMMT-UHFFFAOYSA-N 0 0 296.377 2.823 20 5 CFBDRN CCc1nc(SCCc2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000042154902 372879211 /nfs/dbraw/zinc/87/92/11/372879211.db2.gz KSDHFOUQYLKYLK-UHFFFAOYSA-N 0 0 278.337 2.610 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCn1cccn1 ZINC000042351535 372911637 /nfs/dbraw/zinc/91/16/37/372911637.db2.gz UAXXFFQILWUXGA-UHFFFAOYSA-N 0 0 266.688 2.557 20 5 CFBDRN C[C@@H](CSc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1 ZINC000480372344 245635362 /nfs/dbraw/zinc/63/53/62/245635362.db2.gz YMPWWOVZHQTNPW-VIFPVBQESA-N 0 0 290.348 2.693 20 5 CFBDRN CC[C@H](C)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000042843779 372960405 /nfs/dbraw/zinc/96/04/05/372960405.db2.gz DMPBRVWVZMIQFC-JTQLQIEISA-N 0 0 265.313 2.535 20 5 CFBDRN O=C(Nc1ccccc1)c1ccc([N+](=O)[O-])cc1F ZINC000054057014 284367046 /nfs/dbraw/zinc/36/70/46/284367046.db2.gz RBRCCCYCDDZEBQ-UHFFFAOYSA-N 0 0 260.224 2.986 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000043147057 372980041 /nfs/dbraw/zinc/98/00/41/372980041.db2.gz LMCKIKYRRRGRDB-UWVGGRQHSA-N 0 0 263.297 2.681 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000042804457 372955959 /nfs/dbraw/zinc/95/59/59/372955959.db2.gz SHHCPRHEUJUJRC-JQWIXIFHSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000042804452 372956489 /nfs/dbraw/zinc/95/64/89/372956489.db2.gz SHHCPRHEUJUJRC-PWSUYJOCSA-N 0 0 291.351 2.932 20 5 CFBDRN CC[C@H](CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)OC ZINC000289858784 197507541 /nfs/dbraw/zinc/50/75/41/197507541.db2.gz GHYDUMSIXPCJNJ-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])cc1 ZINC000046146845 373045423 /nfs/dbraw/zinc/04/54/23/373045423.db2.gz RTKYTCLUZSKBPV-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCC1CCC1 ZINC000047053403 373076575 /nfs/dbraw/zinc/07/65/75/373076575.db2.gz VSVZYVNFTJHKHV-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1CC13CCOCC3)CCN2 ZINC000571374599 383687781 /nfs/dbraw/zinc/68/77/81/383687781.db2.gz YVCLQYRCQZHTCJ-CYBMUJFWSA-N 0 0 289.335 2.544 20 5 CFBDRN CC(C)CCNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048312647 373160919 /nfs/dbraw/zinc/16/09/19/373160919.db2.gz PGBJLVPGNFQQGE-UHFFFAOYSA-N 0 0 275.308 2.852 20 5 CFBDRN CCOC(=O)[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000047873262 373125357 /nfs/dbraw/zinc/12/53/57/373125357.db2.gz CPIBSASHTCMWBN-JTQLQIEISA-N 0 0 296.298 2.513 20 5 CFBDRN CCNc1ccc(C(=O)N(C)CC2CC2)cc1[N+](=O)[O-] ZINC000048440639 373183366 /nfs/dbraw/zinc/18/33/66/373183366.db2.gz DRIBKPFEQWPGMZ-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN CCOCCCCNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000048825476 373224771 /nfs/dbraw/zinc/22/47/71/373224771.db2.gz GETPEHDHNQUCCQ-UHFFFAOYSA-N 0 0 286.353 2.511 20 5 CFBDRN CCc1nnc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)s1 ZINC000049156193 373273511 /nfs/dbraw/zinc/27/35/11/373273511.db2.gz KAPZHSVJOJZGTI-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN Cc1cc(OCc2nnc(C3CC3)o2)ccc1[N+](=O)[O-] ZINC000048988086 373246728 /nfs/dbraw/zinc/24/67/28/373246728.db2.gz JYLPKCLSTXTABB-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CCC(C)(C)NC(=O)[C@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418531 373295205 /nfs/dbraw/zinc/29/52/05/373295205.db2.gz JQLDSWKWMGIFBE-VIFPVBQESA-N 0 0 297.380 2.775 20 5 CFBDRN O=C(c1cc[nH]c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129895167 296199563 /nfs/dbraw/zinc/19/95/63/296199563.db2.gz XNRHKIDPADEEAR-UHFFFAOYSA-N 0 0 285.303 2.728 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037931 373342470 /nfs/dbraw/zinc/34/24/70/373342470.db2.gz MFLZHRRYEDRSPD-VIFPVBQESA-N 0 0 296.273 2.959 20 5 CFBDRN COC(=O)C[C@H]1CCCCN1c1ccccc1[N+](=O)[O-] ZINC000050084927 373350645 /nfs/dbraw/zinc/35/06/45/373350645.db2.gz CKOAUGWDELVTSP-LLVKDONJSA-N 0 0 278.308 2.517 20 5 CFBDRN CC(C)[C@H]1OCCC[C@@H]1CNc1ccc([N+](=O)[O-])nc1 ZINC000487622332 245772354 /nfs/dbraw/zinc/77/23/54/245772354.db2.gz VBDDAMWBJYHUSS-BXUZGUMPSA-N 0 0 279.340 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@H]2O)c2ccncc21 ZINC000052006338 373429233 /nfs/dbraw/zinc/42/92/33/373429233.db2.gz GHBUMLWMYIBJAT-DZGCQCFKSA-N 0 0 287.319 2.858 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000053548902 373481621 /nfs/dbraw/zinc/48/16/21/373481621.db2.gz CTZWZTITKBSTMX-ZYHUDNBSSA-N 0 0 291.351 2.945 20 5 CFBDRN CC(C)(C)CC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000053216703 373472547 /nfs/dbraw/zinc/47/25/47/373472547.db2.gz LBXYIORQFKNLGK-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCCSCC1 ZINC000054269521 373509561 /nfs/dbraw/zinc/50/95/61/373509561.db2.gz MSPFKKIKRXAOGO-UHFFFAOYSA-N 0 0 256.302 2.677 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000055467395 373553551 /nfs/dbraw/zinc/55/35/51/373553551.db2.gz FVSIJNZDDZNCOL-NWDGAFQWSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H](NC(=O)CCc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000055466176 373554771 /nfs/dbraw/zinc/55/47/71/373554771.db2.gz UTMGFSLPFAJBQI-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN CC1CCC(CNC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000056268198 373563405 /nfs/dbraw/zinc/56/34/05/373563405.db2.gz GWZKYTQADLEJBH-UHFFFAOYSA-N 0 0 266.297 2.744 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1CCCC1 ZINC000277694573 193732572 /nfs/dbraw/zinc/73/25/72/193732572.db2.gz DSTKHGCOFDJORE-UHFFFAOYSA-N 0 0 284.699 2.635 20 5 CFBDRN Cc1ccc(OCC(=O)N(C(C)C)C(C)C)c([N+](=O)[O-])c1 ZINC000057647974 373606079 /nfs/dbraw/zinc/60/60/79/373606079.db2.gz MSJNTJYGXWDGCW-UHFFFAOYSA-N 0 0 294.351 2.927 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCCc2sccc21 ZINC000061364231 373715255 /nfs/dbraw/zinc/71/52/55/373715255.db2.gz DDWLHXHVRJXQHB-SECBINFHSA-N 0 0 278.337 2.879 20 5 CFBDRN COCCCCCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000058754551 373669191 /nfs/dbraw/zinc/66/91/91/373669191.db2.gz JASINEPHPQHNKG-JXMROGBWSA-N 0 0 292.335 2.541 20 5 CFBDRN Cn1c2ccc([N+](=O)[O-])cc2nc1-c1ccccn1 ZINC000059352943 373680894 /nfs/dbraw/zinc/68/08/94/373680894.db2.gz LDRINVHKKOXABP-UHFFFAOYSA-N 0 0 254.249 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2nncs2)cc1 ZINC000060385622 373694449 /nfs/dbraw/zinc/69/44/49/373694449.db2.gz DJTLKPNKYFXDBD-UHFFFAOYSA-N 0 0 253.308 2.739 20 5 CFBDRN COc1cccc(Cl)c1CNc1ncc([N+](=O)[O-])cn1 ZINC000234961307 220228320 /nfs/dbraw/zinc/22/83/20/220228320.db2.gz GEQKJOOVWJBNJO-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CCSc1cccc(CNc2ncc([N+](=O)[O-])cn2)c1 ZINC000488667806 245889523 /nfs/dbraw/zinc/88/95/23/245889523.db2.gz BOJVBOHRVACYJR-UHFFFAOYSA-N 0 0 290.348 2.531 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccco1 ZINC000063447026 373805469 /nfs/dbraw/zinc/80/54/69/373805469.db2.gz MSODIAXBVKHPTC-UHFFFAOYSA-N 0 0 250.185 2.579 20 5 CFBDRN CC(C)OCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000064781222 373835858 /nfs/dbraw/zinc/83/58/58/373835858.db2.gz YEXBMSKUSHTKPS-UHFFFAOYSA-N 0 0 272.688 2.612 20 5 CFBDRN Cc1noc(C)c1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000063255202 373800195 /nfs/dbraw/zinc/80/01/95/373800195.db2.gz DYIMDQPMSRMELD-UHFFFAOYSA-N 0 0 293.308 2.521 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CCCC(F)(F)C1 ZINC000489004590 245918789 /nfs/dbraw/zinc/91/87/89/245918789.db2.gz CVWYTRWTSDJMRF-LLVKDONJSA-N 0 0 298.289 2.831 20 5 CFBDRN CCOc1ccc(C(=O)N(C)[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000489350709 245943170 /nfs/dbraw/zinc/94/31/70/245943170.db2.gz NWMNIVQTWAAARD-CYBMUJFWSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ncsc1CN(C)Cc1ccc([N+](=O)[O-])cc1 ZINC000066563825 373939373 /nfs/dbraw/zinc/93/93/73/373939373.db2.gz AOQOUQVBRPFCHX-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H](F)C2)c1 ZINC000336392393 220243605 /nfs/dbraw/zinc/24/36/05/220243605.db2.gz HHTIBVUSETUMCG-UWVGGRQHSA-N 0 0 266.272 2.524 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)N1CCCCCC1 ZINC000067015812 373966503 /nfs/dbraw/zinc/96/65/03/373966503.db2.gz WQOPGGXCPFOIMK-UHFFFAOYSA-N 0 0 296.298 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2ccc(C(F)(F)F)n2)cc1 ZINC000067650756 373989988 /nfs/dbraw/zinc/98/99/88/373989988.db2.gz KVCAYKXBWXCIFY-UHFFFAOYSA-N 0 0 271.198 2.858 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CC=CCC2)c1 ZINC000068826593 374038831 /nfs/dbraw/zinc/03/88/31/374038831.db2.gz YHDQZBICHIDOCR-LLVKDONJSA-N 0 0 290.319 2.690 20 5 CFBDRN CC[C@@H]1CCN1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000490231487 246001788 /nfs/dbraw/zinc/00/17/88/246001788.db2.gz QXSKUCPVDAXSMX-SNVBAGLBSA-N 0 0 297.742 2.942 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2Cc1cc([N+](=O)[O-])ccc1Cl ZINC000289489345 197371928 /nfs/dbraw/zinc/37/19/28/197371928.db2.gz JHSRSPFCRJXWKA-PHIMTYICSA-N 0 0 294.738 2.944 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000070360407 374170210 /nfs/dbraw/zinc/17/02/10/374170210.db2.gz MTBHTOAYQHQKQE-JQWIXIFHSA-N 0 0 250.298 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC2)c(Br)c1 ZINC000070967072 374209120 /nfs/dbraw/zinc/20/91/20/374209120.db2.gz SVPYEYGVMGBIEO-ZETCQYMHSA-N 0 0 287.113 2.558 20 5 CFBDRN Cc1ncsc1CNc1nccc(C)c1[N+](=O)[O-] ZINC000070496404 374187740 /nfs/dbraw/zinc/18/77/40/374187740.db2.gz ZAZYTNSOUHUWPP-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CN(Cc1nccs1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000072736556 374279998 /nfs/dbraw/zinc/27/99/98/374279998.db2.gz MCZAYAUCADBLFO-UHFFFAOYSA-N 0 0 292.320 2.715 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000072651247 374272082 /nfs/dbraw/zinc/27/20/82/374272082.db2.gz JODVAFPSAMXKED-BCPZQOPPSA-N 0 0 297.314 2.880 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000491211202 246049698 /nfs/dbraw/zinc/04/96/98/246049698.db2.gz BGXRDCHBEXGWDA-BTDICHCPSA-N 0 0 297.314 2.880 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)Nc1ccc(F)cn1 ZINC000491448539 246070192 /nfs/dbraw/zinc/07/01/92/246070192.db2.gz JNGNUHSJQDJUIV-DAXSKMNVSA-N 0 0 287.250 2.781 20 5 CFBDRN CCc1cnccc1NC(=O)C=Cc1ccccc1[N+](=O)[O-] ZINC000491852162 246097917 /nfs/dbraw/zinc/09/79/17/246097917.db2.gz WUVWIJBNMPTYHS-FPLPWBNLSA-N 0 0 297.314 2.626 20 5 CFBDRN CO[C@@]1(C)C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000073275795 374333581 /nfs/dbraw/zinc/33/35/81/374333581.db2.gz HRWPJXSKRGKSKZ-WFASDCNBSA-N 0 0 292.335 2.528 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C1[C@@H]2CCCC[C@H]12 ZINC000073710422 374373880 /nfs/dbraw/zinc/37/38/80/374373880.db2.gz VAXUKQJNZRFVIU-PBWFPOADSA-N 0 0 274.320 2.647 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1ccnc(-n2cccn2)c1 ZINC000073587926 374353267 /nfs/dbraw/zinc/35/32/67/374353267.db2.gz KKVKQUVTZQQYKH-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491993737 246150462 /nfs/dbraw/zinc/15/04/62/246150462.db2.gz AQQMRHAWYOEXNA-OWXCCEOFSA-N 0 0 260.293 2.617 20 5 CFBDRN Cc1cc(NC[C@H](O)c2ccsc2)ncc1[N+](=O)[O-] ZINC000074765138 374436087 /nfs/dbraw/zinc/43/60/87/374436087.db2.gz DSDPLEIFLRIGMO-NSHDSACASA-N 0 0 279.321 2.505 20 5 CFBDRN Cc1cnc(NC(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])o1 ZINC000492114270 246191092 /nfs/dbraw/zinc/19/10/92/246191092.db2.gz ZIENCFXUAHELDN-HWKANZROSA-N 0 0 291.238 2.682 20 5 CFBDRN O=C(/C=C/C1CCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000492083866 246179870 /nfs/dbraw/zinc/17/98/70/246179870.db2.gz JBDLHMFEPSZSPB-BQYQJAHWSA-N 0 0 260.293 2.567 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)C[C@H]1C ZINC000075412329 374480512 /nfs/dbraw/zinc/48/05/12/374480512.db2.gz IKLZKVQFTVDLEL-RKDXNWHRSA-N 0 0 282.727 2.976 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000075497533 374483524 /nfs/dbraw/zinc/48/35/24/374483524.db2.gz SIWSHPCDLAJCPJ-JTQLQIEISA-N 0 0 295.339 2.920 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)Nc2cccc([N+](=O)[O-])c2)O1 ZINC000075182488 374467200 /nfs/dbraw/zinc/46/72/00/374467200.db2.gz QICLMKONZHOZTA-GXFFZTMASA-N 0 0 278.308 2.881 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000075285042 374473776 /nfs/dbraw/zinc/47/37/76/374473776.db2.gz VUCKGARDVGMRFM-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000075279256 374473876 /nfs/dbraw/zinc/47/38/76/374473876.db2.gz JSDKSMGULWWRSL-WDEREUQCSA-N 0 0 262.309 2.855 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCCC2(CC2)C1 ZINC000185391359 296217379 /nfs/dbraw/zinc/21/73/79/296217379.db2.gz PKOQHMWZHPLZJC-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000075881312 374510535 /nfs/dbraw/zinc/51/05/35/374510535.db2.gz QRYWRLMYMDWDHU-ZETCQYMHSA-N 0 0 272.688 2.612 20 5 CFBDRN CC(C)OCCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000075897476 374511613 /nfs/dbraw/zinc/51/16/13/374511613.db2.gz WCKPITHLMUBACF-UHFFFAOYSA-N 0 0 288.250 2.627 20 5 CFBDRN C[C@]1(F)CCN(C(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000492318186 246255531 /nfs/dbraw/zinc/25/55/31/246255531.db2.gz LDWBUNQRFSDBFH-MFBWXBCUSA-N 0 0 296.273 2.708 20 5 CFBDRN COc1ccc(/C=C\C(=O)Nc2cccc([N+](=O)[O-])c2)nc1 ZINC000492544645 246323466 /nfs/dbraw/zinc/32/34/66/246323466.db2.gz BSZQYGSUQZGBDU-VURMDHGXSA-N 0 0 299.286 2.650 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CS[C@@H](C)[C@H](C)O ZINC000079051073 374587388 /nfs/dbraw/zinc/58/73/88/374587388.db2.gz ZZZBAZIGRNGXRG-IUCAKERBSA-N 0 0 271.338 2.606 20 5 CFBDRN O=C(/C=C\C1CC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000492711675 246367065 /nfs/dbraw/zinc/36/70/65/246367065.db2.gz UDTYJPOXROTOPT-ARJAWSKDSA-N 0 0 250.229 2.639 20 5 CFBDRN Cc1cccnc1/C=C\C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000492627915 246348186 /nfs/dbraw/zinc/34/81/86/246348186.db2.gz VUOYCYOREBZWPR-YVMONPNESA-N 0 0 298.302 2.654 20 5 CFBDRN CSCCCN(C)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492641937 246352196 /nfs/dbraw/zinc/35/21/96/246352196.db2.gz PIIBHMGNSQTZKQ-FPLPWBNLSA-N 0 0 294.376 2.820 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)/C=C/c1ccc[nH]1 ZINC000492763333 246377344 /nfs/dbraw/zinc/37/73/44/246377344.db2.gz IPOMQNSSXVVWCD-BQYQJAHWSA-N 0 0 299.330 2.637 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CC=CCC2)nc2sccn21 ZINC000080119999 374641031 /nfs/dbraw/zinc/64/10/31/374641031.db2.gz ZGWPKLVLQYSOMF-MRVPVSSYSA-N 0 0 264.310 2.825 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CC(C2CCC2)C1 ZINC000492917318 246425882 /nfs/dbraw/zinc/42/58/82/246425882.db2.gz CMUHBORPMRXJFV-BQYQJAHWSA-N 0 0 286.331 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](O)CC(C)C ZINC000080607902 374663208 /nfs/dbraw/zinc/66/32/08/374663208.db2.gz BKBGZBPNROIAPP-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000080693167 374669574 /nfs/dbraw/zinc/66/95/74/374669574.db2.gz BPYFOSWOESLJAI-NWDGAFQWSA-N 0 0 294.351 2.915 20 5 CFBDRN CCC[C@H](CNC(=O)/C=C\c1cccc([N+](=O)[O-])c1)OC ZINC000492978508 246444175 /nfs/dbraw/zinc/44/41/75/246444175.db2.gz BCQZEQUAYGFRJY-QIAWRQBBSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@H](F)C1 ZINC000492894779 246418970 /nfs/dbraw/zinc/41/89/70/246418970.db2.gz OPLRYXIOOYRZHK-PRTUSXBOSA-N 0 0 278.283 2.615 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC[C@H]1CC12CC2 ZINC000493015430 246458170 /nfs/dbraw/zinc/45/81/70/246458170.db2.gz FTWXEVMGOLBISR-ZYOFXKKJSA-N 0 0 272.304 2.524 20 5 CFBDRN CCc1ccc(C(=O)NC2CCCC2)cc1[N+](=O)[O-] ZINC000081810668 374716653 /nfs/dbraw/zinc/71/66/53/374716653.db2.gz CWQDILNARCXQHG-UHFFFAOYSA-N 0 0 262.309 2.830 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000493040241 246466999 /nfs/dbraw/zinc/46/69/99/246466999.db2.gz QMSWRHSYEBTJDK-NJSOFVFMSA-N 0 0 272.304 2.523 20 5 CFBDRN CCCCNc1ncc([N+](=O)[O-])cc1Br ZINC000081940913 374724581 /nfs/dbraw/zinc/72/45/81/374724581.db2.gz QRIMKAVRGPUUGZ-UHFFFAOYSA-N 0 0 274.118 2.964 20 5 CFBDRN CSCCCNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000083402702 374742240 /nfs/dbraw/zinc/74/22/40/374742240.db2.gz AGTAYGAURDVGCZ-UHFFFAOYSA-N 0 0 288.756 2.731 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)[C@H]2CCCO2)c1 ZINC000084726285 374788298 /nfs/dbraw/zinc/78/82/98/374788298.db2.gz QXUPUFSYNFTICQ-GXFFZTMASA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCC[C@@H]2O)c1 ZINC000084726499 374788340 /nfs/dbraw/zinc/78/83/40/374788340.db2.gz LTYSOKQBWHLAGD-MFKMUULPSA-N 0 0 250.298 2.619 20 5 CFBDRN Cc1c(CSC[C@H](C)CO)cccc1[N+](=O)[O-] ZINC000089081201 374866528 /nfs/dbraw/zinc/86/65/28/374866528.db2.gz CTWQTXDUIQXTEM-SECBINFHSA-N 0 0 255.339 2.765 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@@H]2O)c2ncccc12 ZINC000089167503 374882054 /nfs/dbraw/zinc/88/20/54/374882054.db2.gz UZJJZTYNKMWDCN-HZMBPMFUSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)CS1 ZINC000493526739 246613951 /nfs/dbraw/zinc/61/39/51/246613951.db2.gz VYOASGTWSZVAGF-PLWSPRCSSA-N 0 0 292.360 2.618 20 5 CFBDRN CN(C(=O)/C=C\c1ccccc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000493428407 246585930 /nfs/dbraw/zinc/58/59/30/246585930.db2.gz GXFIRBAZJUQABI-YXVBKTCISA-N 0 0 274.320 2.865 20 5 CFBDRN CCOc1cc(SC[C@@H](C)C(=O)OC)ccc1[N+](=O)[O-] ZINC000090104202 374991668 /nfs/dbraw/zinc/99/16/68/374991668.db2.gz WZFYIHNYMOGEQS-SECBINFHSA-N 0 0 299.348 2.895 20 5 CFBDRN COC(=O)[C@@H](C)CSc1ccc(C)cc1[N+](=O)[O-] ZINC000090104250 374991676 /nfs/dbraw/zinc/99/16/76/374991676.db2.gz XLKWSNNEBCWJKA-VIFPVBQESA-N 0 0 269.322 2.804 20 5 CFBDRN C[C@H](CO)CSc1ccc2ncccc2c1[N+](=O)[O-] ZINC000091540843 375053246 /nfs/dbraw/zinc/05/32/46/375053246.db2.gz GXYGBECVWHUYNX-SECBINFHSA-N 0 0 278.333 2.864 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccccc1F ZINC000091556608 375054121 /nfs/dbraw/zinc/05/41/21/375054121.db2.gz OAKOWEPVOOUJDF-UHFFFAOYSA-N 0 0 278.287 2.871 20 5 CFBDRN Cc1n[nH]c2cc(NC(=O)c3ccc([N+](=O)[O-])o3)ccc12 ZINC000091836415 375066241 /nfs/dbraw/zinc/06/62/41/375066241.db2.gz NTUNQTFIAHYRLI-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](C2CC2)C1 ZINC000291010156 296226217 /nfs/dbraw/zinc/22/62/17/296226217.db2.gz WTBCQBYRSUJUPZ-CYBMUJFWSA-N 0 0 274.320 2.857 20 5 CFBDRN CCc1nn(C)cc1CNc1ccc([N+](=O)[O-])cc1F ZINC000092959706 375169435 /nfs/dbraw/zinc/16/94/35/375169435.db2.gz PIILOHLQYPOEKS-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])[C@H](CO)CC(C)(C)C ZINC000451785160 383706956 /nfs/dbraw/zinc/70/69/56/383706956.db2.gz VBWVKUQBGPIWPP-NSHDSACASA-N 0 0 298.358 2.963 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCCC[C@H]1CCO ZINC000093097787 375187674 /nfs/dbraw/zinc/18/76/74/375187674.db2.gz XGAMPUJCTYCPBJ-ZDUSSCGKSA-N 0 0 298.770 2.985 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H](C)C(C)C ZINC000494683648 246803487 /nfs/dbraw/zinc/80/34/87/246803487.db2.gz WKVAAGIGCRPBRO-SNVBAGLBSA-N 0 0 280.324 2.625 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CC(C)(C)O1 ZINC000094774717 375377433 /nfs/dbraw/zinc/37/74/33/375377433.db2.gz NMHFYCVUXOXDJR-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000094804674 375380952 /nfs/dbraw/zinc/38/09/52/375380952.db2.gz CSEPVPNPBDWONS-LBPRGKRZSA-N 0 0 254.261 2.715 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)OCC1CC1 ZINC000495651412 246901023 /nfs/dbraw/zinc/90/10/23/246901023.db2.gz NDXQUIOZPMJOMH-UHFFFAOYSA-N 0 0 278.308 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1ccc(Cl)cc1 ZINC000096553059 375490827 /nfs/dbraw/zinc/49/08/27/375490827.db2.gz UNXYMANOKOTPRA-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cn2ccccc2n1 ZINC000097605072 375573926 /nfs/dbraw/zinc/57/39/26/375573926.db2.gz VSFBFTOIIVFCCJ-UHFFFAOYSA-N 0 0 299.286 2.830 20 5 CFBDRN Cc1c(NCC(=O)N2CCCCCC2)cccc1[N+](=O)[O-] ZINC000098065833 375597236 /nfs/dbraw/zinc/59/72/36/375597236.db2.gz YUGDUHWAQKSVQK-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN CC1CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000505612559 247144713 /nfs/dbraw/zinc/14/47/13/247144713.db2.gz SLFXNUPLZHIHEV-UHFFFAOYSA-N 0 0 252.289 2.966 20 5 CFBDRN COC(=O)[C@H](CC(C)C)Sc1ccc([N+](=O)[O-])cn1 ZINC000505740033 247150275 /nfs/dbraw/zinc/15/02/75/247150275.db2.gz NLQOARWWACTGET-JTQLQIEISA-N 0 0 284.337 2.670 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](CO)CC1CCCC1 ZINC000509530436 247189161 /nfs/dbraw/zinc/18/91/61/247189161.db2.gz ZLLCNPQRRCDJHJ-LBPRGKRZSA-N 0 0 264.325 2.948 20 5 CFBDRN Cc1cnc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)cn1 ZINC000507175064 247167018 /nfs/dbraw/zinc/16/70/18/247167018.db2.gz UJFBGNCYIVSNTB-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cccc(OC(C)(C)C)n1 ZINC000507359156 247173612 /nfs/dbraw/zinc/17/36/12/247173612.db2.gz OGCJKCYBJYTPFR-UHFFFAOYSA-N 0 0 290.323 2.720 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cn1nccc1-c1ccncc1 ZINC000104054549 375764793 /nfs/dbraw/zinc/76/47/93/375764793.db2.gz VPKRUNONZMPJMV-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCc1ccncc1 ZINC000104197180 375773092 /nfs/dbraw/zinc/77/30/92/375773092.db2.gz BNJPMKNMIPANKE-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN CC[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000111422123 375846526 /nfs/dbraw/zinc/84/65/26/375846526.db2.gz AUAYOADLHUXIML-ZWNOBZJWSA-N 0 0 293.323 2.626 20 5 CFBDRN CN(CC1CCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000111424979 375848238 /nfs/dbraw/zinc/84/82/38/375848238.db2.gz YRSUDMIBEBYCQN-UHFFFAOYSA-N 0 0 263.297 2.859 20 5 CFBDRN COCC[C@@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000112013277 375877105 /nfs/dbraw/zinc/87/71/05/375877105.db2.gz FTAUFFZJXXYDDM-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CCN(CC1CC1)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000112178897 375886945 /nfs/dbraw/zinc/88/69/45/375886945.db2.gz IZQJSCFVDXXHMC-UHFFFAOYSA-N 0 0 294.376 2.945 20 5 CFBDRN CCC(C)(CC)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000112827046 375969172 /nfs/dbraw/zinc/96/91/72/375969172.db2.gz CJVYCVJSYVKSGQ-UHFFFAOYSA-N 0 0 298.314 2.808 20 5 CFBDRN CC(C)C(=O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000113418758 376014808 /nfs/dbraw/zinc/01/48/08/376014808.db2.gz UJMCRPCBFCIQEL-UHFFFAOYSA-N 0 0 257.673 2.852 20 5 CFBDRN COC(=O)C[C@H](C)SCCOc1ccccc1[N+](=O)[O-] ZINC000115191911 376115062 /nfs/dbraw/zinc/11/50/62/376115062.db2.gz AXYZCOWHIIWVGJ-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN CS[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000117220079 376230595 /nfs/dbraw/zinc/23/05/95/376230595.db2.gz IXFWZIQUDIKOBZ-YPMHNXCESA-N 0 0 294.376 2.999 20 5 CFBDRN CN(CC(F)F)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000117651091 376253537 /nfs/dbraw/zinc/25/35/37/376253537.db2.gz MUTJEKBNJBSLPP-UHFFFAOYSA-N 0 0 292.669 2.514 20 5 CFBDRN COC(=O)c1cc(NC(=O)CCC(C)C)cc([N+](=O)[O-])c1 ZINC000118723566 376311982 /nfs/dbraw/zinc/31/19/82/376311982.db2.gz CSDVPOXHCJWVPN-UHFFFAOYSA-N 0 0 294.307 2.756 20 5 CFBDRN Cc1cc(C(=O)N2CCS[C@@H](C)[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000118290337 376284587 /nfs/dbraw/zinc/28/45/87/376284587.db2.gz JRGOJAJFIZTUJS-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@H]1C ZINC000118295319 376285899 /nfs/dbraw/zinc/28/58/99/376285899.db2.gz UXSJNNWWLRLACT-JGVFFNPUSA-N 0 0 286.378 2.622 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000118292800 376286433 /nfs/dbraw/zinc/28/64/33/376286433.db2.gz FJAZHITXAMTJRV-VHSXEESVSA-N 0 0 280.349 2.561 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000118624473 376304037 /nfs/dbraw/zinc/30/40/37/376304037.db2.gz KLUPXYJMYYCMPX-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CC(=O)c1ccc(OCCOC(C)(C)C)c([N+](=O)[O-])c1 ZINC000119121684 376359581 /nfs/dbraw/zinc/35/95/81/376359581.db2.gz FFPCNZPZDZYISG-UHFFFAOYSA-N 0 0 281.308 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC[C@H]3CCOC3)n2)s1 ZINC000278084597 193831043 /nfs/dbraw/zinc/83/10/43/193831043.db2.gz GPHAGZSZNSBNIW-QMMMGPOBSA-N 0 0 295.320 2.675 20 5 CFBDRN CNC(=O)C1CCC(Nc2c(C)cccc2[N+](=O)[O-])CC1 ZINC000263728160 190075280 /nfs/dbraw/zinc/07/52/80/190075280.db2.gz KXSRQIGTDMZLCZ-UHFFFAOYSA-N 0 0 291.351 2.620 20 5 CFBDRN Cn1cc(CCCNc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000263736061 190075863 /nfs/dbraw/zinc/07/58/63/190075863.db2.gz MLOKHESGMIHEGE-UHFFFAOYSA-N 0 0 278.287 2.512 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000263735151 190075994 /nfs/dbraw/zinc/07/59/94/190075994.db2.gz UWJFCHSLAMKEAW-SECBINFHSA-N 0 0 273.292 2.558 20 5 CFBDRN COCC[C@@H](C)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000263765099 190077221 /nfs/dbraw/zinc/07/72/21/190077221.db2.gz VQRDNSRKERELIF-SECBINFHSA-N 0 0 266.297 2.634 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1C[C@@H](C)O[C@H](C)C1 ZINC000263780636 190078507 /nfs/dbraw/zinc/07/85/07/190078507.db2.gz OBWVIGTYQJVCLM-IJLUTSLNSA-N 0 0 264.325 2.765 20 5 CFBDRN CO[C@@H](C)CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000263780556 190078825 /nfs/dbraw/zinc/07/88/25/190078825.db2.gz IHIACAFLMQCMEV-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CCN(CC)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000119701871 376454255 /nfs/dbraw/zinc/45/42/55/376454255.db2.gz VSRBQDYDBHSXSB-UHFFFAOYSA-N 0 0 273.292 2.625 20 5 CFBDRN CC(C)CCNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000119780490 376470272 /nfs/dbraw/zinc/47/02/72/376470272.db2.gz YCQLEWIFEKOMBP-UHFFFAOYSA-N 0 0 287.319 2.919 20 5 CFBDRN C[C@@H](Nc1ccccc1[N+](=O)[O-])C(=O)N1CCCC[C@@H]1C ZINC000263909251 190084959 /nfs/dbraw/zinc/08/49/59/190084959.db2.gz RSLZGTAHMXWJJQ-NWDGAFQWSA-N 0 0 291.351 2.796 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000120118777 376549179 /nfs/dbraw/zinc/54/91/79/376549179.db2.gz XMEJBJFJGLIEPZ-ZCFIWIBFSA-N 0 0 270.235 2.858 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000264320325 190103826 /nfs/dbraw/zinc/10/38/26/190103826.db2.gz PGIMQUSHKNFQPJ-AAEUAGOBSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1cccc2[nH]cc(CCNc3c([N+](=O)[O-])ncn3C)c21 ZINC000264325899 190103833 /nfs/dbraw/zinc/10/38/33/190103833.db2.gz RPXVXMWFGJAZRO-UHFFFAOYSA-N 0 0 299.334 2.773 20 5 CFBDRN Cc1noc(C)c1CCNc1ncc([N+](=O)[O-])cc1C ZINC000264421610 190108204 /nfs/dbraw/zinc/10/82/04/190108204.db2.gz ACZUWDVQVMVYMH-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN Cc1ccc(C(=O)N(CC(F)F)C2CC2)cc1[N+](=O)[O-] ZINC000264444875 190109763 /nfs/dbraw/zinc/10/97/63/190109763.db2.gz QWDBGODQJMNYAB-UHFFFAOYSA-N 0 0 284.262 2.773 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1c2ccccc2C[C@@H]1O ZINC000120596797 376649593 /nfs/dbraw/zinc/64/95/93/376649593.db2.gz IZUROGOJCXSTGR-GOEBONIOSA-N 0 0 284.315 2.973 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1c2ccccc2C[C@H]1O ZINC000120597122 376649918 /nfs/dbraw/zinc/64/99/18/376649918.db2.gz IZUROGOJCXSTGR-ZBFHGGJFSA-N 0 0 284.315 2.973 20 5 CFBDRN COCCCCC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000120820526 376701165 /nfs/dbraw/zinc/70/11/65/376701165.db2.gz HCCOWAYCVAJJKG-UHFFFAOYSA-N 0 0 281.308 2.934 20 5 CFBDRN Cc1nn(C)c(NC(=O)c2cccc([N+](=O)[O-])c2C)c1C ZINC000264481702 190113206 /nfs/dbraw/zinc/11/32/06/190113206.db2.gz IMDIHVPMNWZHFS-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1[O-])c1cc(F)cc(F)c1 ZINC000120883145 376715410 /nfs/dbraw/zinc/71/54/10/376715410.db2.gz CHZOJEQKPDZIIA-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000120968195 376733565 /nfs/dbraw/zinc/73/35/65/376733565.db2.gz WFCKZKOJIQAIOY-MFKMUULPSA-N 0 0 276.336 2.751 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000120967942 376733915 /nfs/dbraw/zinc/73/39/15/376733915.db2.gz WFCKZKOJIQAIOY-GWCFXTLKSA-N 0 0 276.336 2.751 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000264627806 190124233 /nfs/dbraw/zinc/12/42/33/190124233.db2.gz XJTLRENNLWRNQQ-VHSXEESVSA-N 0 0 294.355 2.579 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCO[C@@H](c2ccco2)C1 ZINC000121239193 376789590 /nfs/dbraw/zinc/78/95/90/376789590.db2.gz ZMPADRNAYQZPTO-OAHLLOKOSA-N 0 0 288.303 2.761 20 5 CFBDRN Cn1c(Cl)cnc1CN1CCc2cc([N+](=O)[O-])ccc21 ZINC000121299784 376801735 /nfs/dbraw/zinc/80/17/35/376801735.db2.gz BBPXEHVGIQJXHU-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN Cc1ccc(CNC(=O)CCc2cccc([N+](=O)[O-])c2)cn1 ZINC000121652900 376877802 /nfs/dbraw/zinc/87/78/02/376877802.db2.gz ZTAUVGFJTCQGME-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN CN(C)c1nnc(SCc2cccc([N+](=O)[O-])c2)s1 ZINC000264693258 190129084 /nfs/dbraw/zinc/12/90/84/190129084.db2.gz DNRVARBAIDWBDM-UHFFFAOYSA-N 0 0 296.377 2.805 20 5 CFBDRN CC[C@@H](O)CNc1ccc(Br)cc1[N+](=O)[O-] ZINC000125094754 377116472 /nfs/dbraw/zinc/11/64/72/377116472.db2.gz XJOAKHXZUPCZOI-MRVPVSSYSA-N 0 0 289.129 2.540 20 5 CFBDRN Cc1ccc(CNC(=O)Nc2ccncc2[N+](=O)[O-])s1 ZINC000124663781 377074837 /nfs/dbraw/zinc/07/48/37/377074837.db2.gz GQJXRIVNQBIYTL-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCC2(CCCC2)C1 ZINC000336565488 284617134 /nfs/dbraw/zinc/61/71/34/284617134.db2.gz JPMHWODWNDFCDN-UHFFFAOYSA-N 0 0 277.324 2.719 20 5 CFBDRN CCc1nn(C)cc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000125667309 377181865 /nfs/dbraw/zinc/18/18/65/377181865.db2.gz WXCVLIDTWBTDGT-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN CCC[C@](C)(O)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000264803147 190135595 /nfs/dbraw/zinc/13/55/95/190135595.db2.gz NLDVQKOELZAYGP-AWEZNQCLSA-N 0 0 280.324 2.760 20 5 CFBDRN CCC[C@](C)(O)CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000264899043 190142275 /nfs/dbraw/zinc/14/22/75/190142275.db2.gz UMBBUIAALKXHCY-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(O)CCCC[C@@H]3C2)c(F)c1 ZINC000128321129 377331972 /nfs/dbraw/zinc/33/19/72/377331972.db2.gz KXHJGDVLZYOYAI-IAQYHMDHSA-N 0 0 294.326 2.865 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000129075972 377381947 /nfs/dbraw/zinc/38/19/47/377381947.db2.gz ACYFQBNHUPVJHH-CHWSQXEVSA-N 0 0 287.319 2.714 20 5 CFBDRN Cc1c(NC(=O)NC[C@]2(C)CCCO2)cccc1[N+](=O)[O-] ZINC000129122705 377388298 /nfs/dbraw/zinc/38/82/98/377388298.db2.gz VNWCBSWLGXDVQS-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2c(F)cccc2[N+](=O)[O-])O1 ZINC000128539760 377343830 /nfs/dbraw/zinc/34/38/30/377343830.db2.gz OJIIGKKNXKXGFK-RKDXNWHRSA-N 0 0 254.261 2.713 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CC[C@H]3CCCO3)n2)c1 ZINC000264974758 190148652 /nfs/dbraw/zinc/14/86/52/190148652.db2.gz DWBXNTIXGBSAKI-GFCCVEGCSA-N 0 0 289.291 2.756 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129797060 377473967 /nfs/dbraw/zinc/47/39/67/377473967.db2.gz WRIZZNWVXHHBKM-UHFFFAOYSA-N 0 0 295.730 2.606 20 5 CFBDRN CCc1ccc(CCn2cc([N+](=O)[O-])ccc2=O)cc1 ZINC000265155333 190161649 /nfs/dbraw/zinc/16/16/49/190161649.db2.gz HOVSDVKQSHKGMQ-UHFFFAOYSA-N 0 0 272.304 2.562 20 5 CFBDRN CN(C)C(=O)[C@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000265125798 190160031 /nfs/dbraw/zinc/16/00/31/190160031.db2.gz LFMALORTJLSKLU-AAEUAGOBSA-N 0 0 291.351 2.654 20 5 CFBDRN COc1cccc(Sc2c([N+](=O)[O-])c(C)nn2C)c1 ZINC000265164354 190162610 /nfs/dbraw/zinc/16/26/10/190162610.db2.gz WZAVNJVROJGXLC-UHFFFAOYSA-N 0 0 279.321 2.797 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CCC3CC3)n2)c1 ZINC000265168864 190162980 /nfs/dbraw/zinc/16/29/80/190162980.db2.gz NCZMXFFRLOJEKO-UHFFFAOYSA-N 0 0 259.265 2.987 20 5 CFBDRN COC1CCC([N@H+](C)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000265263674 190170375 /nfs/dbraw/zinc/17/03/75/190170375.db2.gz YWATYPPPXOZAFM-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN C[C@H](C(=O)NCc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000265258203 190170451 /nfs/dbraw/zinc/17/04/51/190170451.db2.gz LILTYXNAORTICR-SNVBAGLBSA-N 0 0 264.325 2.893 20 5 CFBDRN Cc1noc(COc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000130532104 377572322 /nfs/dbraw/zinc/57/23/22/377572322.db2.gz KMXOCQZEJCNGKK-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN CCC[C@H]1SCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000265439265 190181877 /nfs/dbraw/zinc/18/18/77/190181877.db2.gz KISATTARXOZBCU-CQSZACIVSA-N 0 0 294.376 2.839 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1nc(C(C)C)no1 ZINC000265590432 190192019 /nfs/dbraw/zinc/19/20/19/190192019.db2.gz HSMMJNNXAITTKO-UHFFFAOYSA-N 0 0 292.295 2.722 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000265591978 190192351 /nfs/dbraw/zinc/19/23/51/190192351.db2.gz XUGZXWIZVKVSGV-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1ccc(C[C@H](C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000265686986 190199706 /nfs/dbraw/zinc/19/97/06/190199706.db2.gz PGCDWQHEQGQUTG-QMMMGPOBSA-N 0 0 293.348 2.654 20 5 CFBDRN Cc1ccc([C@@H](C)CNC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000265687023 190199821 /nfs/dbraw/zinc/19/98/21/190199821.db2.gz PJELTCFRACOIRU-NSHDSACASA-N 0 0 287.319 2.765 20 5 CFBDRN Cc1ccc(C[C@@H](C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000265686984 190199948 /nfs/dbraw/zinc/19/99/48/190199948.db2.gz PGCDWQHEQGQUTG-MRVPVSSYSA-N 0 0 293.348 2.654 20 5 CFBDRN CC(C)CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265706744 190201406 /nfs/dbraw/zinc/20/14/06/190201406.db2.gz MFZUGMBJXIWNCR-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN COC[C@@H]1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000265777325 190206109 /nfs/dbraw/zinc/20/61/09/190206109.db2.gz FEZJNJPLYOPTGQ-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])NC1CCCC1 ZINC000130768189 377608722 /nfs/dbraw/zinc/60/87/22/377608722.db2.gz XILQBTXNNDCYAN-UHFFFAOYSA-N 0 0 298.726 2.686 20 5 CFBDRN Cn1ccnc1CSCc1ccc([N+](=O)[O-])cc1 ZINC000131088993 377656186 /nfs/dbraw/zinc/65/61/86/377656186.db2.gz SIZAEAIFYQZUNA-UHFFFAOYSA-N 0 0 263.322 2.762 20 5 CFBDRN CC(C)OCCCN(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000265832316 190210792 /nfs/dbraw/zinc/21/07/92/190210792.db2.gz LAZSTTMLAMKGBW-UHFFFAOYSA-N 0 0 298.368 2.555 20 5 CFBDRN C[C@@H](NC(=O)c1[nH]ncc1[N+](=O)[O-])[C@H](C)C1CCCCC1 ZINC000131235595 377680072 /nfs/dbraw/zinc/68/00/72/377680072.db2.gz SLAILDBKPOWGHO-VHSXEESVSA-N 0 0 294.355 2.653 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000131569469 377729726 /nfs/dbraw/zinc/72/97/26/377729726.db2.gz DNZZIDJMPNYAKI-UWVGGRQHSA-N 0 0 280.299 2.852 20 5 CFBDRN CSc1cncc(NCc2ccccc2[N+](=O)[O-])n1 ZINC000131601680 377735377 /nfs/dbraw/zinc/73/53/77/377735377.db2.gz HDXMLGOJLRHRLV-UHFFFAOYSA-N 0 0 276.321 2.719 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000131413764 377709600 /nfs/dbraw/zinc/70/96/00/377709600.db2.gz NELONZNGZXILTG-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN Cc1ccc(NC(=O)c2ccnn2C(C)C)cc1[N+](=O)[O-] ZINC000131434397 377711607 /nfs/dbraw/zinc/71/16/07/377711607.db2.gz IDFASAHQKCUZDA-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1Cn1ccsc1=O ZINC000265937527 190217749 /nfs/dbraw/zinc/21/77/49/190217749.db2.gz HKVPTQLOGKIIAE-UHFFFAOYSA-N 0 0 294.332 2.654 20 5 CFBDRN COCCC1(CNC(=O)c2ccc([N+](=O)[O-])o2)CCCC1 ZINC000132979024 377892973 /nfs/dbraw/zinc/89/29/73/377892973.db2.gz LHXCCUISFNGRIF-UHFFFAOYSA-N 0 0 296.323 2.515 20 5 CFBDRN Cc1nc(N(C)Cc2ccsc2)ccc1[N+](=O)[O-] ZINC000132612671 377857802 /nfs/dbraw/zinc/85/78/02/377857802.db2.gz JSJQMAPTLWWWDA-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])c(C)n2)cs1 ZINC000133264365 377917452 /nfs/dbraw/zinc/91/74/52/377917452.db2.gz SEISSXNBJNRRJD-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC1(C)[C@H](Nc2cccc(F)c2[N+](=O)[O-])[C@@H]2CCO[C@H]21 ZINC000265953082 190218548 /nfs/dbraw/zinc/21/85/48/190218548.db2.gz HRGPKURFGNDDQN-ZEGGKSINSA-N 0 0 280.299 2.959 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000133352553 377924190 /nfs/dbraw/zinc/92/41/90/377924190.db2.gz RKGGRNWPTLGTTJ-KOLCDFICSA-N 0 0 277.324 2.555 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)NC1CCCCC1 ZINC000133480359 377933131 /nfs/dbraw/zinc/93/31/31/377933131.db2.gz KHSRHRCYTZSKCV-UHFFFAOYSA-N 0 0 291.351 2.769 20 5 CFBDRN CC(C)Cn1ncnc1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000133537530 377938448 /nfs/dbraw/zinc/93/84/48/377938448.db2.gz RNRBUFGXPVMXLP-UHFFFAOYSA-N 0 0 294.286 2.560 20 5 CFBDRN Cc1ccc(N[C@@H](C)CO[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000133727019 377952758 /nfs/dbraw/zinc/95/27/58/377952758.db2.gz SPKYQBXNPQBHMW-RYUDHWBXSA-N 0 0 280.324 2.509 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000133143297 377908092 /nfs/dbraw/zinc/90/80/92/377908092.db2.gz TXNMEBZIFHUGPW-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@@H]1CCCO1 ZINC000133163306 377910945 /nfs/dbraw/zinc/91/09/45/377910945.db2.gz YJOTUCXXOUUHNS-OCCSQVGLSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCC(=O)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000134368575 378006219 /nfs/dbraw/zinc/00/62/19/378006219.db2.gz JDTSIAVKGXYZJA-SKDRFNHKSA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1nc(NCc2nccn2C(F)F)ccc1[N+](=O)[O-] ZINC000133793906 377958740 /nfs/dbraw/zinc/95/87/40/377958740.db2.gz DPZGOXOEKQCISH-UHFFFAOYSA-N 0 0 283.238 2.502 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c(F)c1 ZINC000133927260 377968019 /nfs/dbraw/zinc/96/80/19/377968019.db2.gz FQKMBXUNLKUNIB-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000133943959 377969619 /nfs/dbraw/zinc/96/96/19/377969619.db2.gz DCLISJJHPDHIAI-UHFFFAOYSA-N 0 0 268.288 2.533 20 5 CFBDRN CCC(CC)CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000134358108 378004860 /nfs/dbraw/zinc/00/48/60/378004860.db2.gz NIGPKGXHGWYVCG-UHFFFAOYSA-N 0 0 256.327 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H]2C)c1 ZINC000265993095 190221395 /nfs/dbraw/zinc/22/13/95/190221395.db2.gz DQVBPKNRIOUGLQ-ZYHUDNBSSA-N 0 0 262.309 2.822 20 5 CFBDRN CN(CC(C)(C)C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266000672 190222051 /nfs/dbraw/zinc/22/20/51/190222051.db2.gz FHHDMURBDOUTGX-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN CC(C)[C@@H](C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266009405 190223262 /nfs/dbraw/zinc/22/32/62/190223262.db2.gz CCXNRNKRXHQIEG-LLVKDONJSA-N 0 0 264.325 2.546 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1ccc(O)cc1 ZINC000134887596 378059032 /nfs/dbraw/zinc/05/90/32/378059032.db2.gz SYRSQZIYOOJAHL-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN COc1cccc(NCc2ccccn2)c1[N+](=O)[O-] ZINC000134902723 378061195 /nfs/dbraw/zinc/06/11/95/378061195.db2.gz BXJGUMJBBQAPJI-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN COc1cccc(NCCC(F)(F)F)c1[N+](=O)[O-] ZINC000134918176 378063835 /nfs/dbraw/zinc/06/38/35/378063835.db2.gz AJXPQHSWCAOFMA-UHFFFAOYSA-N 0 0 264.203 2.968 20 5 CFBDRN Cc1cnc([C@H](C)Nc2ccc([N+](=O)[O-])cn2)s1 ZINC000134929660 378065683 /nfs/dbraw/zinc/06/56/83/378065683.db2.gz QLUQPOAXFZFWLV-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CCCC2)cc1 ZINC000135108590 378082676 /nfs/dbraw/zinc/08/26/76/378082676.db2.gz NXTNFCRVAGEARK-UHFFFAOYSA-N 0 0 250.298 2.559 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2noc(C3CC3)n2)c1 ZINC000135361724 378123482 /nfs/dbraw/zinc/12/34/82/378123482.db2.gz UJORPAOFVKPSSG-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432147421 383725576 /nfs/dbraw/zinc/72/55/76/383725576.db2.gz GNCRYWKSIOABIC-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCCSC)c1 ZINC000136304140 378194484 /nfs/dbraw/zinc/19/44/84/378194484.db2.gz VCBJWSHZKPSYOA-UHFFFAOYSA-N 0 0 284.337 2.546 20 5 CFBDRN CCCCOCCNc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000136300460 378194513 /nfs/dbraw/zinc/19/45/13/378194513.db2.gz YTYDEDGCMNFOMG-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CC=CCC2)c1 ZINC000136304202 378194781 /nfs/dbraw/zinc/19/47/81/378194781.db2.gz HGTRORIURXROBB-NSHDSACASA-N 0 0 276.292 2.902 20 5 CFBDRN CSC[C@H](C)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000135812324 378164201 /nfs/dbraw/zinc/16/42/01/378164201.db2.gz QVLIGCQVDWWUJD-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN CCC(CC)CN(CC)C(=O)c1cc([N+](=O)[O-])c[nH]c1=O ZINC000135947602 378171894 /nfs/dbraw/zinc/17/18/94/378171894.db2.gz UAWRDIGYYGVEOW-UHFFFAOYSA-N 0 0 295.339 2.594 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@H](CO)CC(F)F)ccnc21 ZINC000432177232 383726900 /nfs/dbraw/zinc/72/69/00/383726900.db2.gz OMVYZKNERFTGBK-QMMMGPOBSA-N 0 0 297.261 2.571 20 5 CFBDRN CCCN(CCC)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000140916109 378242218 /nfs/dbraw/zinc/24/22/18/378242218.db2.gz LVQZWDGLHNEORF-UHFFFAOYSA-N 0 0 265.313 2.560 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccccn2)c(Cl)c1 ZINC000143328627 378244966 /nfs/dbraw/zinc/24/49/66/378244966.db2.gz XCYCJHXCDAWHFI-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN CC1(C)[C@H](Nc2cc(F)ccc2[N+](=O)[O-])[C@@H]2CCO[C@@H]21 ZINC000148949166 378262527 /nfs/dbraw/zinc/26/25/27/378262527.db2.gz CEPIRTXMMRNFOA-BIMULSAOSA-N 0 0 280.299 2.959 20 5 CFBDRN CCCCCCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151539522 378335893 /nfs/dbraw/zinc/33/58/93/378335893.db2.gz RUEQHQRTBIANBJ-UHFFFAOYSA-N 0 0 264.325 2.834 20 5 CFBDRN CO[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000266105269 190230856 /nfs/dbraw/zinc/23/08/56/190230856.db2.gz RBDBJWQFXFLFDE-OCCSQVGLSA-N 0 0 292.335 2.591 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000266105268 190230964 /nfs/dbraw/zinc/23/09/64/190230964.db2.gz RBDBJWQFXFLFDE-JSGCOSHPSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000152532824 378425676 /nfs/dbraw/zinc/42/56/76/378425676.db2.gz GMFUUJUEYKHWEC-NSHDSACASA-N 0 0 271.704 2.624 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1Cc1cccc(F)c1 ZINC000153601075 378498874 /nfs/dbraw/zinc/49/88/74/378498874.db2.gz MWXSKWMSZBOIIK-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN COc1cc(C(=O)N(CC(C)C)C2CC2)ccc1[N+](=O)[O-] ZINC000153832420 378514578 /nfs/dbraw/zinc/51/45/78/378514578.db2.gz NILRDARRCWLXCT-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CSc1nc[nH]n1 ZINC000154279846 378553828 /nfs/dbraw/zinc/55/38/28/378553828.db2.gz OELZSCBWOIMPNN-UHFFFAOYSA-N 0 0 270.701 2.659 20 5 CFBDRN C[C@H]1CCC[C@@H](CCn2cc([N+](=O)[O-])ccc2=O)C1 ZINC000330440197 378556436 /nfs/dbraw/zinc/55/64/36/378556436.db2.gz PNPFEFHDAUQEOD-RYUDHWBXSA-N 0 0 264.325 2.973 20 5 CFBDRN CC[C@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C1CCOCC1 ZINC000330446693 378557542 /nfs/dbraw/zinc/55/75/42/378557542.db2.gz FLRJQMMUTIXLGC-AWEZNQCLSA-N 0 0 294.351 2.595 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)C[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000330445052 378557708 /nfs/dbraw/zinc/55/77/08/378557708.db2.gz QTPHTSKIDOOWKB-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN COc1c(C(=O)N2CCC[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000331073176 378626578 /nfs/dbraw/zinc/62/65/78/378626578.db2.gz UBWXYMOOJSTKIU-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CC[C@@H](C)[C@H]1C ZINC000331122060 378637568 /nfs/dbraw/zinc/63/75/68/378637568.db2.gz PCTPYDFQRPFZLO-ZYHUDNBSSA-N 0 0 291.351 2.572 20 5 CFBDRN CC(C)C[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000330950723 378602929 /nfs/dbraw/zinc/60/29/29/378602929.db2.gz HUHRATRSRAMAHT-NSHDSACASA-N 0 0 291.351 2.828 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000330999736 378612065 /nfs/dbraw/zinc/61/20/65/378612065.db2.gz UYDFFZLIGWNYOV-OAHLLOKOSA-N 0 0 292.335 2.622 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000331066107 378625006 /nfs/dbraw/zinc/62/50/06/378625006.db2.gz PYNPKGWCRCNXEW-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC=C(C)C2)cc1[N+](=O)[O-] ZINC000331243725 378656020 /nfs/dbraw/zinc/65/60/20/378656020.db2.gz QIGLOKKLSMZONA-UHFFFAOYSA-N 0 0 290.319 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2CCCC[C@H]2O)nc1 ZINC000331316558 378668213 /nfs/dbraw/zinc/66/82/13/378668213.db2.gz DCLDEAHSRVBVRR-GXSJLCMTSA-N 0 0 268.338 2.633 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC2(CCC2)C1 ZINC000158960541 378678174 /nfs/dbraw/zinc/67/81/74/378678174.db2.gz QYYPXQNJFKCEPH-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCSC1 ZINC000159259171 378698221 /nfs/dbraw/zinc/69/82/21/378698221.db2.gz DICBSRWBDUFASD-NSHDSACASA-N 0 0 295.364 2.872 20 5 CFBDRN CC[C@@H](C)[C@H](C)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC1 ZINC000331460379 378690910 /nfs/dbraw/zinc/69/09/10/378690910.db2.gz IFPHUSPUJCSHMX-ZJUUUORDSA-N 0 0 279.340 2.962 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C1CCC1 ZINC000159211903 378694825 /nfs/dbraw/zinc/69/48/25/378694825.db2.gz QINSAUWDZMHMST-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN CCOc1cc(CN2CCOC[C@@H]2CC)ccc1[N+](=O)[O-] ZINC000331160048 378642285 /nfs/dbraw/zinc/64/22/85/378642285.db2.gz KQYUSWHCWPBPKV-ZDUSSCGKSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1nn(CC(=O)CCC2CCCC2)c(C)c1[N+](=O)[O-] ZINC000159876131 378736218 /nfs/dbraw/zinc/73/62/18/378736218.db2.gz YGHDRQJGVOENOL-UHFFFAOYSA-N 0 0 279.340 2.948 20 5 CFBDRN CO[C@]1(C)CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000332254146 378739517 /nfs/dbraw/zinc/73/95/17/378739517.db2.gz YYYDDPZDSXCNJX-OAHLLOKOSA-N 0 0 294.351 2.691 20 5 CFBDRN C[C@@H](O)C(C)(C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000159433023 378708457 /nfs/dbraw/zinc/70/84/57/378708457.db2.gz RGBKRLYEKYXSKV-SSDOTTSWSA-N 0 0 258.705 2.820 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000332121066 378728037 /nfs/dbraw/zinc/72/80/37/378728037.db2.gz CDCXSYWHEMKLCJ-IUODEOHRSA-N 0 0 276.336 2.956 20 5 CFBDRN COc1cc(N(C)CCc2ccccn2)ccc1[N+](=O)[O-] ZINC000266159481 190236059 /nfs/dbraw/zinc/23/60/59/190236059.db2.gz MZCFZJKHVHNLRE-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCO[C@@H](C3CC3)C2)cc1 ZINC000160310216 378762606 /nfs/dbraw/zinc/76/26/06/378762606.db2.gz GMTOUSFVNWHKNO-TZMCWYRMSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCO[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000160320801 378764023 /nfs/dbraw/zinc/76/40/23/378764023.db2.gz ALOXQNXWLSGETP-NEPJUHHUSA-N 0 0 277.324 2.668 20 5 CFBDRN O=C(N[C@H]1C[C@H]1Cc1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000266184247 190238050 /nfs/dbraw/zinc/23/80/50/190238050.db2.gz ULIRMLCZCHZKIH-NEPJUHHUSA-N 0 0 286.287 2.549 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1Cc1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000266184250 190238251 /nfs/dbraw/zinc/23/82/51/190238251.db2.gz ULIRMLCZCHZKIH-NWDGAFQWSA-N 0 0 286.287 2.549 20 5 CFBDRN COC1([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2C)CCC1 ZINC000332507621 378770693 /nfs/dbraw/zinc/77/06/93/378770693.db2.gz ZBCLBLWEIWVLMC-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCO[C@H](C2CC2)C1 ZINC000160435005 378772767 /nfs/dbraw/zinc/77/27/67/378772767.db2.gz HRSZXBUYZFUIMR-FZMZJTMJSA-N 0 0 262.309 2.964 20 5 CFBDRN CC(C)CC[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000332673436 378785951 /nfs/dbraw/zinc/78/59/51/378785951.db2.gz IQVMMQZDBPQGPB-LLVKDONJSA-N 0 0 279.340 2.964 20 5 CFBDRN CC1(C)C[C@H](Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000160651926 378789642 /nfs/dbraw/zinc/78/96/42/378789642.db2.gz QAARCOTYSMYWKA-SECBINFHSA-N 0 0 295.295 2.873 20 5 CFBDRN CO[C@H](CNc1ccnc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000338778381 220254910 /nfs/dbraw/zinc/25/49/10/220254910.db2.gz QGZJGHQAJXRDSU-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1nc(NCc2ccc([N+](=O)[O-])cc2)ccc1[N+](=O)[O-] ZINC000161528034 378854808 /nfs/dbraw/zinc/85/48/08/378854808.db2.gz WUXZVCRPAFLCIH-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN CC[C@H](C)OCC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161957310 378884354 /nfs/dbraw/zinc/88/43/54/378884354.db2.gz KYQKKQRWRCYVDD-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN CSCCC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162018049 378888112 /nfs/dbraw/zinc/88/81/12/378888112.db2.gz WTLXJCDPWCJZRP-UHFFFAOYSA-N 0 0 280.349 2.627 20 5 CFBDRN O=C(NCC[C@H]1CCCCO1)Nc1ccc([N+](=O)[O-])cc1 ZINC000161825274 378873498 /nfs/dbraw/zinc/87/34/98/378873498.db2.gz GRLJIBDBQJRPLD-CYBMUJFWSA-N 0 0 293.323 2.676 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC2(C1)CCCCC2 ZINC000266268337 190244678 /nfs/dbraw/zinc/24/46/78/190244678.db2.gz WSZSLJKFAZQUEE-UHFFFAOYSA-N 0 0 277.324 2.719 20 5 CFBDRN O=C(NC1CCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000266298732 190246862 /nfs/dbraw/zinc/24/68/62/190246862.db2.gz CMDYXWWUFUYNJS-UHFFFAOYSA-N 0 0 288.225 2.896 20 5 CFBDRN COc1cc(NC[C@H](O)c2ccc(C)o2)ccc1[N+](=O)[O-] ZINC000266299048 190246893 /nfs/dbraw/zinc/24/68/93/190246893.db2.gz YITPWTPHQHFNIM-LBPRGKRZSA-N 0 0 292.291 2.650 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H]1C(C)C ZINC000334211808 378931849 /nfs/dbraw/zinc/93/18/49/378931849.db2.gz HJGLNZUXHPBDCQ-CYBMUJFWSA-N 0 0 262.309 2.774 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000266306667 190248022 /nfs/dbraw/zinc/24/80/22/190248022.db2.gz ZKBSRGBKNRPBFA-JOYOIKCWSA-N 0 0 278.308 2.634 20 5 CFBDRN CCc1nn(C)c(NCCC(C)(C)C)c1[N+](=O)[O-] ZINC000162929374 378943570 /nfs/dbraw/zinc/94/35/70/378943570.db2.gz GOOCQJREMDLTBM-UHFFFAOYSA-N 0 0 254.334 2.739 20 5 CFBDRN Cc1sc(C(=O)NOCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000162958235 378945923 /nfs/dbraw/zinc/94/59/23/378945923.db2.gz ZDHPIKAJUOXZFQ-UHFFFAOYSA-N 0 0 298.242 2.579 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000334321509 379089497 /nfs/dbraw/zinc/08/94/97/379089497.db2.gz IDBGFZSWHQEMOM-ZJUUUORDSA-N 0 0 281.287 2.915 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCOC(C)(C)C ZINC000170641952 379132458 /nfs/dbraw/zinc/13/24/58/379132458.db2.gz INSPRPXZTSIKBE-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000334338961 379120049 /nfs/dbraw/zinc/12/00/49/379120049.db2.gz ZVUWMJQUGRYUGH-VIFPVBQESA-N 0 0 273.292 2.701 20 5 CFBDRN CCOc1cc(OCC(=O)CC2CC2)ccc1[N+](=O)[O-] ZINC000278794668 194157806 /nfs/dbraw/zinc/15/78/06/194157806.db2.gz WQYFNPIPHPEWBH-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1(CF)CCC1 ZINC000571883033 383735506 /nfs/dbraw/zinc/73/55/06/383735506.db2.gz NGEPJQNJGHDLKQ-UHFFFAOYSA-N 0 0 266.272 2.982 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](F)C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000335406906 379232063 /nfs/dbraw/zinc/23/20/63/379232063.db2.gz FFJITPBHBDPYLG-WCBMZHEXSA-N 0 0 284.262 2.744 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CC3CCC3)n2)c1 ZINC000266510737 190262837 /nfs/dbraw/zinc/26/28/37/190262837.db2.gz BJVIQALYVVDKDA-UHFFFAOYSA-N 0 0 259.265 2.987 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCc2ccccc21 ZINC000335403783 379228566 /nfs/dbraw/zinc/22/85/66/379228566.db2.gz BJPINTWLVRZHQF-UHFFFAOYSA-N 0 0 274.301 2.859 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000334409236 379247264 /nfs/dbraw/zinc/24/72/64/379247264.db2.gz LWUZIPWJOGEEEI-WDEREUQCSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000335414157 379248697 /nfs/dbraw/zinc/24/86/97/379248697.db2.gz AFFOJKDYFJMYAY-GHMZBOCLSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000335417375 379256636 /nfs/dbraw/zinc/25/66/36/379256636.db2.gz IZWWZKNXDLOKQV-GHMZBOCLSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000334413717 379256997 /nfs/dbraw/zinc/25/69/97/379256997.db2.gz WDAWPWIHQRQORV-UHFFFAOYSA-N 0 0 273.292 2.518 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC=C(c2ccccc2)C1 ZINC000334426353 379276274 /nfs/dbraw/zinc/27/62/74/379276274.db2.gz VPFVSNHGCCERPQ-UHFFFAOYSA-N 0 0 297.314 2.852 20 5 CFBDRN O=C(NC1CCC(F)(F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000334427890 379280822 /nfs/dbraw/zinc/28/08/22/379280822.db2.gz XPRJNHUIEOMRHV-UHFFFAOYSA-N 0 0 284.262 2.903 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC(C)(C)C ZINC000335454012 379321722 /nfs/dbraw/zinc/32/17/22/379321722.db2.gz ZTHWOEUJEQLHHN-UHFFFAOYSA-N 0 0 250.298 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(CC3CC3)no2)cc1F ZINC000266601045 190270217 /nfs/dbraw/zinc/27/02/17/190270217.db2.gz POFXNVFYOWPKMT-UHFFFAOYSA-N 0 0 293.254 2.648 20 5 CFBDRN COc1ccc(CN2CCS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000171690720 379373995 /nfs/dbraw/zinc/37/39/95/379373995.db2.gz FVJAWZQCRYCVDY-SNVBAGLBSA-N 0 0 282.365 2.541 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC[C@@H]1C1CC1 ZINC000334496887 379394391 /nfs/dbraw/zinc/39/43/91/379394391.db2.gz BUGSPIYIGKPYAE-GFCCVEGCSA-N 0 0 261.281 2.611 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)C(C)C ZINC000171912366 379434919 /nfs/dbraw/zinc/43/49/19/379434919.db2.gz DZRJMSRQZTYSJN-VIFPVBQESA-N 0 0 266.297 2.834 20 5 CFBDRN Cc1ccc(NC(=O)[C@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000335494724 379400497 /nfs/dbraw/zinc/40/04/97/379400497.db2.gz JLLWWVDGGNEMPI-ZYHUDNBSSA-N 0 0 252.245 2.590 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000171873955 379424923 /nfs/dbraw/zinc/42/49/23/379424923.db2.gz VYQJXVQOUKEGKL-VIFPVBQESA-N 0 0 268.288 2.852 20 5 CFBDRN CN(Cc1cc[nH]n1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432336170 383737556 /nfs/dbraw/zinc/73/75/56/383737556.db2.gz OLFNXHOMDMTEIK-UHFFFAOYSA-N 0 0 266.688 2.608 20 5 CFBDRN CC(C)(C)CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000172062078 379480871 /nfs/dbraw/zinc/48/08/71/379480871.db2.gz CBCWJZMVXPFCEA-UHFFFAOYSA-N 0 0 284.743 2.953 20 5 CFBDRN CC[C@H]1CN(c2ccnc3c2cccc3[N+](=O)[O-])CCO1 ZINC000432332090 383737653 /nfs/dbraw/zinc/73/76/53/383737653.db2.gz TZTFIJCTAMYPQR-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CN(Cc1nccn1C)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432325165 383736939 /nfs/dbraw/zinc/73/69/39/383736939.db2.gz ZGPPFSUDRRAIBN-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN Cc1ccnc(NCc2ccc([C@@H](C)O)cc2)c1[N+](=O)[O-] ZINC000172277910 379529198 /nfs/dbraw/zinc/52/91/98/379529198.db2.gz ZTFKBCNMKVEESJ-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@H]3CC[C@@H]2O3)c([N+](=O)[O-])c1 ZINC000172279813 379532390 /nfs/dbraw/zinc/53/23/90/379532390.db2.gz XCRYFCPTIDXDCZ-QKCSRTOESA-N 0 0 276.292 2.529 20 5 CFBDRN COC(C)(C)C[C@H](C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000266829789 190283049 /nfs/dbraw/zinc/28/30/49/190283049.db2.gz GYCXXNWNLGAWRE-JTQLQIEISA-N 0 0 267.329 2.914 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)c2ccccc2)ncc1[N+](=O)[O-] ZINC000266829912 190283271 /nfs/dbraw/zinc/28/32/71/190283271.db2.gz GYYDOQHIZZWTBP-OAHLLOKOSA-N 0 0 287.319 2.618 20 5 CFBDRN Cc1noc(C)c1CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000266837206 190283717 /nfs/dbraw/zinc/28/37/17/190283717.db2.gz FTRNEKCUWRHIFB-UHFFFAOYSA-N 0 0 280.259 2.533 20 5 CFBDRN C[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000172181807 379512253 /nfs/dbraw/zinc/51/22/53/379512253.db2.gz DVOUOVWLKTYVJP-NSHDSACASA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])[C@H](C)C1 ZINC000342137457 220270216 /nfs/dbraw/zinc/27/02/16/220270216.db2.gz OCHZODPZABQWNT-FOGDFJRCSA-N 0 0 291.351 2.930 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)C1 ZINC000266971621 190294122 /nfs/dbraw/zinc/29/41/22/190294122.db2.gz MCLUQLWUVNHKHB-SNVBAGLBSA-N 0 0 250.298 2.587 20 5 CFBDRN COC(=O)[C@@H](C)SCc1ccc([N+](=O)[O-])cc1F ZINC000267048356 190299444 /nfs/dbraw/zinc/29/94/44/190299444.db2.gz OOAZCUSDIYZQEO-SSDOTTSWSA-N 0 0 273.285 2.529 20 5 CFBDRN CCCN(CC1CC1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172729035 379634522 /nfs/dbraw/zinc/63/45/22/379634522.db2.gz IQCFVIRIBSOWLI-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN C[C@@H](c1ccco1)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172753526 379640162 /nfs/dbraw/zinc/64/01/62/379640162.db2.gz BETHHOMKSFNXNP-NSHDSACASA-N 0 0 288.303 2.950 20 5 CFBDRN COc1cc(NC[C@@]2(C)CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000267069489 190301278 /nfs/dbraw/zinc/30/12/78/190301278.db2.gz IMBRQPJXPXPZTL-HUUCEWRRSA-N 0 0 294.351 2.957 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000172750485 379640201 /nfs/dbraw/zinc/64/02/01/379640201.db2.gz WPKRBOLFGMYQNF-WCQYABFASA-N 0 0 276.336 2.832 20 5 CFBDRN CCOC[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000267097459 190303616 /nfs/dbraw/zinc/30/36/16/190303616.db2.gz OCCCCJBQKAICSO-SECBINFHSA-N 0 0 285.731 2.506 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCC=C(F)C1 ZINC000335642391 379649814 /nfs/dbraw/zinc/64/98/14/379649814.db2.gz ZDYIYYQDWAVRSY-UONOGXRCSA-N 0 0 290.294 2.784 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])cn1)c1ccc(C)o1 ZINC000266998535 190295906 /nfs/dbraw/zinc/29/59/06/190295906.db2.gz BPJHLKRQIYGTHS-NSHDSACASA-N 0 0 277.280 2.691 20 5 CFBDRN C[C@@H]1CCCN(C(=O)COc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000172644203 379612753 /nfs/dbraw/zinc/61/27/53/379612753.db2.gz UYZLOHIMYCFGAB-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@H](C2CCCC2)C1 ZINC000335624043 379618231 /nfs/dbraw/zinc/61/82/31/379618231.db2.gz NZLJMJIDGCXGOD-NSHDSACASA-N 0 0 277.324 2.575 20 5 CFBDRN C[C@H]1CCCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000172663199 379618353 /nfs/dbraw/zinc/61/83/53/379618353.db2.gz YHSXFTGHHUMORW-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)C[C@@H](C)O1 ZINC000267017876 190297403 /nfs/dbraw/zinc/29/74/03/190297403.db2.gz JSMBQHUVYUUVPX-MFKMUULPSA-N 0 0 282.315 2.733 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H](C)C[C@@H](C)O ZINC000267021728 190297847 /nfs/dbraw/zinc/29/78/47/190297847.db2.gz JUQVIADAMDZQBD-GHMZBOCLSA-N 0 0 282.340 2.560 20 5 CFBDRN CCOC(=O)CN(Cc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000267029824 190298086 /nfs/dbraw/zinc/29/80/86/190298086.db2.gz MIWPOMDQUXZKDV-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN C[C@@H]1CCCN(C(=O)CNc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000173000018 379685775 /nfs/dbraw/zinc/68/57/75/379685775.db2.gz RUKURUDSDBDIBZ-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CCCSC1 ZINC000288149564 197014280 /nfs/dbraw/zinc/01/42/80/197014280.db2.gz BYTFPTSOGKPLPL-SNVBAGLBSA-N 0 0 284.385 2.675 20 5 CFBDRN C[C@@H]1CCCN1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000173115797 379698157 /nfs/dbraw/zinc/69/81/57/379698157.db2.gz KYJGYRKSMSFDEP-SNVBAGLBSA-N 0 0 280.349 2.698 20 5 CFBDRN CCCc1nc(CNc2cc([N+](=O)[O-])ccc2OC)no1 ZINC000172845069 379656167 /nfs/dbraw/zinc/65/61/67/379656167.db2.gz RAYQVKMSGXAWDY-UHFFFAOYSA-N 0 0 292.295 2.551 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCCSC2)cc([N+](=O)[O-])c1 ZINC000267206064 190311629 /nfs/dbraw/zinc/31/16/29/190311629.db2.gz DYXDWKVPZADOGS-NSHDSACASA-N 0 0 280.349 2.529 20 5 CFBDRN CCCCCNc1cc([N+](=O)[O-])ccc1NCCO ZINC000267205578 190311660 /nfs/dbraw/zinc/31/16/60/190311660.db2.gz KJOBTVABAPDYBZ-UHFFFAOYSA-N 0 0 267.329 2.601 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172884508 379665465 /nfs/dbraw/zinc/66/54/65/379665465.db2.gz ZLBJELYAMMLUOP-WDEREUQCSA-N 0 0 264.325 2.688 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000335704453 379754344 /nfs/dbraw/zinc/75/43/44/379754344.db2.gz AVSRSIHTDGXJOW-MRVPVSSYSA-N 0 0 254.311 2.671 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])[C@H](C)C1 ZINC000342137452 220271620 /nfs/dbraw/zinc/27/16/20/220271620.db2.gz OCHZODPZABQWNT-CKYFFXLPSA-N 0 0 291.351 2.930 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000335686124 379721183 /nfs/dbraw/zinc/72/11/83/379721183.db2.gz IEOXOCQDMCNJES-NSHDSACASA-N 0 0 262.309 2.775 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(C)CCC1 ZINC000267325824 190321192 /nfs/dbraw/zinc/32/11/92/190321192.db2.gz DXDAJERPBZYFTL-UHFFFAOYSA-N 0 0 262.309 2.743 20 5 CFBDRN COC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)c1ccc(C)o1 ZINC000267342357 190322141 /nfs/dbraw/zinc/32/21/41/190322141.db2.gz DTBXZGATYMHGAP-NSHDSACASA-N 0 0 291.307 2.999 20 5 CFBDRN C[C@@H](NC(=O)N1CCC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000334664247 379731379 /nfs/dbraw/zinc/73/13/79/379731379.db2.gz VTUDHJNSJFJIQH-LLVKDONJSA-N 0 0 289.335 2.994 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CC1CCC1 ZINC000173400267 379734929 /nfs/dbraw/zinc/73/49/29/379734929.db2.gz CULQMAFAJKSELI-UHFFFAOYSA-N 0 0 262.309 2.743 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@@H]21 ZINC000335696685 379738730 /nfs/dbraw/zinc/73/87/30/379738730.db2.gz UUBKCXULSIFZJP-OCCSQVGLSA-N 0 0 274.320 2.538 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@H]21 ZINC000335696686 379739550 /nfs/dbraw/zinc/73/95/50/379739550.db2.gz UUBKCXULSIFZJP-TZMCWYRMSA-N 0 0 274.320 2.538 20 5 CFBDRN CC(C)N(C)c1ncc([N+](=O)[O-])cc1Br ZINC000267256603 190315741 /nfs/dbraw/zinc/31/57/41/190315741.db2.gz PIXGZHJJALKMFC-UHFFFAOYSA-N 0 0 274.118 2.597 20 5 CFBDRN Cn1c(C(=O)Nc2ccc(F)c(F)c2F)ccc1[N+](=O)[O-] ZINC000334674588 379747273 /nfs/dbraw/zinc/74/72/73/379747273.db2.gz DEXBMHKIQAEILC-UHFFFAOYSA-N 0 0 299.208 2.603 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@H]1CCCSC1 ZINC000267282687 190317867 /nfs/dbraw/zinc/31/78/67/190317867.db2.gz SMJVHGQIIWIWPS-GUOLPTJISA-N 0 0 292.360 2.620 20 5 CFBDRN CCn1ccnc1CN[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000267284495 190318495 /nfs/dbraw/zinc/31/84/95/190318495.db2.gz PQRILWKGBUEMFX-GFCCVEGCSA-N 0 0 288.351 2.970 20 5 CFBDRN COC[C@H](C)SCc1cc([N+](=O)[O-])ccc1OC ZINC000173892494 379794831 /nfs/dbraw/zinc/79/48/31/379794831.db2.gz SVQMYCIPTBLKQP-VIFPVBQESA-N 0 0 271.338 2.872 20 5 CFBDRN C[C@H]1CCC[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000335737914 379808255 /nfs/dbraw/zinc/80/82/55/379808255.db2.gz JLMAGEQVAPQUGY-GXFFZTMASA-N 0 0 262.309 2.994 20 5 CFBDRN CCC1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CC1 ZINC000267413711 190328461 /nfs/dbraw/zinc/32/84/61/190328461.db2.gz QAICTOLHOWBGAZ-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN CCC[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OCC ZINC000173964349 379808829 /nfs/dbraw/zinc/80/88/29/379808829.db2.gz AWZIMFVDZHBPHR-BXUZGUMPSA-N 0 0 294.351 2.977 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1C ZINC000174123796 379832567 /nfs/dbraw/zinc/83/25/67/379832567.db2.gz UDPXBVFDBFZQJW-VIFPVBQESA-N 0 0 279.296 2.620 20 5 CFBDRN Cc1ccc2c(c1)CN(C(=O)Cc1cccc([N+](=O)[O-])c1)C2 ZINC000334720605 379824528 /nfs/dbraw/zinc/82/45/28/379824528.db2.gz JLHLDZSTRJUYTK-UHFFFAOYSA-N 0 0 296.326 2.988 20 5 CFBDRN C[C@H]1CC[C@@]2(CCN(C(=O)c3ccc([N+](=O)[O-])o3)C2)C1 ZINC000334748632 379875878 /nfs/dbraw/zinc/87/58/78/379875878.db2.gz WKOYDULZPJXNIC-IINYFYTJSA-N 0 0 278.308 2.840 20 5 CFBDRN CCOc1cc(N2C[C@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000174422292 379882788 /nfs/dbraw/zinc/88/27/88/379882788.db2.gz GQJUJUWKHCFWAZ-NSHDSACASA-N 0 0 294.351 2.997 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000334754058 379888028 /nfs/dbraw/zinc/88/80/28/379888028.db2.gz JNWSVPRFMJNLFV-DTWKUNHWSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@H]1CSCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334765025 379907740 /nfs/dbraw/zinc/90/77/40/379907740.db2.gz KRIVOIZTZHCGQJ-SECBINFHSA-N 0 0 298.339 2.559 20 5 CFBDRN Cc1cc(NCCCNC(=O)C2CCC2)ccc1[N+](=O)[O-] ZINC000267650493 190344275 /nfs/dbraw/zinc/34/42/75/190344275.db2.gz MDADXPADPSWKDZ-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C[C@H]1C ZINC000267656376 190344991 /nfs/dbraw/zinc/34/49/91/190344991.db2.gz MPAPCENTLZSHMI-NXEZZACHSA-N 0 0 296.754 2.905 20 5 CFBDRN CC[C@](C)(O)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000174716240 379931228 /nfs/dbraw/zinc/93/12/28/379931228.db2.gz AVVLSGKVHPSEMD-LBPRGKRZSA-N 0 0 290.266 2.769 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NC[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000267684177 190346906 /nfs/dbraw/zinc/34/69/06/190346906.db2.gz GZXPWSVZRCQAQI-MDZLAQPJSA-N 0 0 291.351 2.919 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NC[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000267684180 190347073 /nfs/dbraw/zinc/34/70/73/190347073.db2.gz GZXPWSVZRCQAQI-NTZNESFSSA-N 0 0 291.351 2.919 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CCS1 ZINC000174775297 379938077 /nfs/dbraw/zinc/93/80/77/379938077.db2.gz AYCKFFXTICCZOL-GFCCVEGCSA-N 0 0 294.376 2.871 20 5 CFBDRN CO[C@@]1(C)C[C@H](NC(=O)c2csc([N+](=O)[O-])c2)C1(C)C ZINC000174814736 379946064 /nfs/dbraw/zinc/94/60/64/379946064.db2.gz BRNQMFQDPHFZHH-ZANVPECISA-N 0 0 298.364 2.590 20 5 CFBDRN Cc1ccc(N(C)CCn2cc([N+](=O)[O-])cn2)c(C)c1 ZINC000174830447 379948547 /nfs/dbraw/zinc/94/85/47/379948547.db2.gz OCNVXRRGNCGVEK-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H]1CCCCC1(F)F ZINC000334965398 379948801 /nfs/dbraw/zinc/94/88/01/379948801.db2.gz BJPWZSDNTQTZKB-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C[C@@H]1C ZINC000267606818 190341119 /nfs/dbraw/zinc/34/11/19/190341119.db2.gz GGGYVXWTFPSNSS-UWVGGRQHSA-N 0 0 287.319 2.804 20 5 CFBDRN Cc1cnn(Cc2ccc([N+](=O)[O-])cc2Cl)c1 ZINC000335831276 379961138 /nfs/dbraw/zinc/96/11/38/379961138.db2.gz MFPNZKJMIIFFDD-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000335199319 379997174 /nfs/dbraw/zinc/99/71/74/379997174.db2.gz UIJPMDNJMHWJBK-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN COC(=O)CCSCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000175122774 380001526 /nfs/dbraw/zinc/00/15/26/380001526.db2.gz OQYYXFIBCHMRKO-UHFFFAOYSA-N 0 0 298.364 2.611 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCNC(=O)C1CCC1 ZINC000267764613 190353055 /nfs/dbraw/zinc/35/30/55/190353055.db2.gz ZDXJFAMGLPQYDA-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN CO[C@H](C)c1nc(CNc2ncccc2[N+](=O)[O-])cs1 ZINC000267765428 190353283 /nfs/dbraw/zinc/35/32/83/190353283.db2.gz JZXLVZXPFIUKOF-MRVPVSSYSA-N 0 0 294.336 2.766 20 5 CFBDRN CC[C@H](CCO)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000268000326 190368450 /nfs/dbraw/zinc/36/84/50/190368450.db2.gz BKAWWHHSFXSLFN-LLVKDONJSA-N 0 0 280.324 2.618 20 5 CFBDRN O=C(N[C@@H]1CC[C@H](F)C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000335892310 380060808 /nfs/dbraw/zinc/06/08/08/380060808.db2.gz DXGMBGSYKWHNTD-DTWKUNHWSA-N 0 0 291.282 2.697 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCC(CC)(CC)C2)c1[N+](=O)[O-] ZINC000268023884 190370389 /nfs/dbraw/zinc/37/03/89/190370389.db2.gz LMWQHLJHWUFQRP-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN Cn1c(C(=O)Nc2ccc(F)cc2Cl)ccc1[N+](=O)[O-] ZINC000335902008 380078702 /nfs/dbraw/zinc/07/87/02/380078702.db2.gz LRHXGSAUQPBCJM-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NCC(C)C)cc1[N+](=O)[O-] ZINC000175512320 380078829 /nfs/dbraw/zinc/07/88/29/380078829.db2.gz XMKLKYDZIWHLJP-NSHDSACASA-N 0 0 279.340 2.919 20 5 CFBDRN CC[C@H](OC)C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000267935066 190363724 /nfs/dbraw/zinc/36/37/24/190363724.db2.gz GKJGNFHIHPQVHP-LBPRGKRZSA-N 0 0 289.291 2.507 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000335878483 380039156 /nfs/dbraw/zinc/03/91/56/380039156.db2.gz UJHAWOXJTTZQCO-ONGXEEELSA-N 0 0 280.299 2.995 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)Nc1ccc([N+](=O)[O-])cc1F ZINC000267960856 190365432 /nfs/dbraw/zinc/36/54/32/190365432.db2.gz LJFDKGNKWXPCAN-JTQLQIEISA-N 0 0 282.271 2.632 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])[C@H]1C ZINC000175832443 380140781 /nfs/dbraw/zinc/14/07/81/380140781.db2.gz OOKRQORRJJKUIN-YUMQZZPRSA-N 0 0 284.262 2.744 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])cc1 ZINC000268113775 190377662 /nfs/dbraw/zinc/37/76/62/190377662.db2.gz FSTRRLPIXQCLPL-AAEUAGOBSA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@@H](N(C)C(=O)COc1ccc([N+](=O)[O-])cc1)C1(C)CC1 ZINC000175610269 380098076 /nfs/dbraw/zinc/09/80/76/380098076.db2.gz ZIHPRRDZZKDCRZ-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@H](C[C@H](O)c1ccccc1)Nc1ccc([N+](=O)[O-])cn1 ZINC000268132933 190379425 /nfs/dbraw/zinc/37/94/25/190379425.db2.gz AXHHKRXYBAIBAG-RISCZKNCSA-N 0 0 287.319 2.914 20 5 CFBDRN Cc1nn(C[C@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000335923191 380112200 /nfs/dbraw/zinc/11/22/00/380112200.db2.gz FPRMRBYKYLJSLZ-VIFPVBQESA-N 0 0 259.256 2.925 20 5 CFBDRN CCC1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000268154937 190381727 /nfs/dbraw/zinc/38/17/27/190381727.db2.gz NIFSVVSXWFWKTN-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN COc1cc(NC[C@@H]2CCCCO2)c([N+](=O)[O-])cc1F ZINC000268169464 190382870 /nfs/dbraw/zinc/38/28/70/190382870.db2.gz PUHREXCYNUYSNT-VIFPVBQESA-N 0 0 284.287 2.724 20 5 CFBDRN CC(C)(C)CCS(=O)(=O)Oc1ccccc1[N+](=O)[O-] ZINC000175721045 380119517 /nfs/dbraw/zinc/11/95/17/380119517.db2.gz YQHOCJOWHQNQJV-UHFFFAOYSA-N 0 0 287.337 2.740 20 5 CFBDRN CCc1nc(Sc2cc(C)ccc2[N+](=O)[O-])n[nH]1 ZINC000268176712 190383254 /nfs/dbraw/zinc/38/32/54/190383254.db2.gz VXBNOKIUJJQWSE-UHFFFAOYSA-N 0 0 264.310 2.735 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@@H]1CC[C@@H](F)C1 ZINC000335964136 380168174 /nfs/dbraw/zinc/16/81/74/380168174.db2.gz RVWUZYIBNMQVNJ-VXNVDRBHSA-N 0 0 285.250 2.746 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000268246107 190389535 /nfs/dbraw/zinc/38/95/35/190389535.db2.gz FTHHUXHXBYINMW-GFCCVEGCSA-N 0 0 282.315 2.985 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)O1 ZINC000268251339 190389879 /nfs/dbraw/zinc/38/98/79/190389879.db2.gz BZLWKQYNNCFUHV-ZLKJLUDKSA-N 0 0 292.335 2.730 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000268263598 190390933 /nfs/dbraw/zinc/39/09/33/190390933.db2.gz FNDUWPOMUFOZQD-YPMHNXCESA-N 0 0 278.308 2.799 20 5 CFBDRN Cc1ccc(N(C)CCn2ccc([N+](=O)[O-])n2)c(C)c1 ZINC000176213615 380197433 /nfs/dbraw/zinc/19/74/33/380197433.db2.gz VBRPNPXFQBHRDH-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN Cc1nn(Cc2ccccn2)c2ccc([N+](=O)[O-])cc12 ZINC000342393271 220272306 /nfs/dbraw/zinc/27/23/06/220272306.db2.gz VDMGCHZQBVYORF-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)[C@@H]1C ZINC000335986583 380199438 /nfs/dbraw/zinc/19/94/38/380199438.db2.gz PPSFRQBLEFVQBM-RKDXNWHRSA-N 0 0 298.289 2.672 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CC[C@H](CO)CC1 ZINC000268284305 190392488 /nfs/dbraw/zinc/39/24/88/190392488.db2.gz RSKLIXXSSVSTLJ-HOMQSWHASA-N 0 0 268.288 2.697 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000176681548 380264278 /nfs/dbraw/zinc/26/42/78/380264278.db2.gz UOMSWQVUODZYPN-CHWSQXEVSA-N 0 0 274.320 2.599 20 5 CFBDRN Cc1nn(CC(=O)c2ccc(F)c(C)c2)c(C)c1[N+](=O)[O-] ZINC000176480448 380241717 /nfs/dbraw/zinc/24/17/17/380241717.db2.gz HYJCJAZNQKKAFM-UHFFFAOYSA-N 0 0 291.282 2.739 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000336145863 380275125 /nfs/dbraw/zinc/27/51/25/380275125.db2.gz AOTREQQWJCIOEP-LBPRGKRZSA-N 0 0 263.297 2.857 20 5 CFBDRN COCC1(COc2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000292004427 284827267 /nfs/dbraw/zinc/82/72/67/284827267.db2.gz VNKZXMRWSRYEBF-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC2(C1)CCCC2 ZINC000336515234 380395029 /nfs/dbraw/zinc/39/50/29/380395029.db2.gz JALWUPJSXCLEBM-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCCCC2CCOCC2)c1 ZINC000279070374 194270448 /nfs/dbraw/zinc/27/04/48/194270448.db2.gz KAMWRUIMBNNYTA-UHFFFAOYSA-N 0 0 293.319 2.993 20 5 CFBDRN CC(C)N(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000336494077 380361200 /nfs/dbraw/zinc/36/12/00/380361200.db2.gz KETRDXGNDRRDAA-UHFFFAOYSA-N 0 0 261.281 2.557 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CC[C@@H](C2CC2)C1 ZINC000336533937 380428136 /nfs/dbraw/zinc/42/81/36/380428136.db2.gz RWUCSSIIBULDPE-SNVBAGLBSA-N 0 0 293.298 2.998 20 5 CFBDRN CC(C)N(C(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000178365072 380443462 /nfs/dbraw/zinc/44/34/62/380443462.db2.gz MDOMRIXIELSBGD-UHFFFAOYSA-N 0 0 263.297 3.000 20 5 CFBDRN Cc1[nH]ccc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000178849394 380490005 /nfs/dbraw/zinc/49/00/05/380490005.db2.gz VRQKULWMRURUFY-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN CCSCCN1CCc2c1cccc2[N+](=O)[O-] ZINC000178949864 380494941 /nfs/dbraw/zinc/49/49/41/380494941.db2.gz CDIUADBKCYBKEA-UHFFFAOYSA-N 0 0 252.339 2.710 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@@](C)(F)C1 ZINC000336581814 380501806 /nfs/dbraw/zinc/50/18/06/380501806.db2.gz DSEHDXSZUQVFEO-CYBMUJFWSA-N 0 0 281.287 2.869 20 5 CFBDRN C[C@@H]1CC[C@@]2(CCN(C(=O)c3cc([N+](=O)[O-])cn3C)C2)C1 ZINC000336592960 380518030 /nfs/dbraw/zinc/51/80/30/380518030.db2.gz MRWGRKHNXOLZIZ-IAQYHMDHSA-N 0 0 291.351 2.586 20 5 CFBDRN Cc1cc(CSc2ccc([N+](=O)[O-])cn2)on1 ZINC000178753304 380482652 /nfs/dbraw/zinc/48/26/52/380482652.db2.gz GRTDIFSPUCLXQI-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2c(F)cccc2[N+](=O)[O-])C1 ZINC000336785754 380630965 /nfs/dbraw/zinc/63/09/65/380630965.db2.gz FYJVZMQQAJQPKC-BDAKNGLRSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)Sc1nncn1C ZINC000179977386 380605012 /nfs/dbraw/zinc/60/50/12/380605012.db2.gz AXADOLISDJKWKL-VIFPVBQESA-N 0 0 278.337 2.885 20 5 CFBDRN O=C(N[C@H]1CCCOCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000180032108 380611785 /nfs/dbraw/zinc/61/17/85/380611785.db2.gz VQUAAXJWLALFMZ-JTQLQIEISA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CC(=O)OC(C)(C)C)c1 ZINC000180120227 380621482 /nfs/dbraw/zinc/62/14/82/380621482.db2.gz YNULPCHWLNYUBT-UHFFFAOYSA-N 0 0 280.324 2.681 20 5 CFBDRN CC(C)CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000180513747 380669622 /nfs/dbraw/zinc/66/96/22/380669622.db2.gz YZVRWGMHWCIOQM-SNVBAGLBSA-N 0 0 294.355 2.517 20 5 CFBDRN Cc1cnc(NCCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000336814859 380676733 /nfs/dbraw/zinc/67/67/33/380676733.db2.gz YGSVIHRXNIRSQZ-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000279168364 194314936 /nfs/dbraw/zinc/31/49/36/194314936.db2.gz CLCRCXZGZLKRRV-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@H](NCc1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000288508517 197098381 /nfs/dbraw/zinc/09/83/81/197098381.db2.gz SOLBDXRAAJGOML-YFKPBYRVSA-N 0 0 254.233 2.697 20 5 CFBDRN CC(=O)c1cc(N[C@@H](C)[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000287305352 380799773 /nfs/dbraw/zinc/79/97/73/380799773.db2.gz MYGYWQFSJGTQPI-ONGXEEELSA-N 0 0 278.308 2.634 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@@H](SC)C2)cc1[N+](=O)[O-] ZINC000295453252 380862521 /nfs/dbraw/zinc/86/25/21/380862521.db2.gz AMDWFLIWGJPDLH-GFCCVEGCSA-N 0 0 294.376 2.735 20 5 CFBDRN CS[C@H](C)CCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000358469617 380865818 /nfs/dbraw/zinc/86/58/18/380865818.db2.gz GJBMDPFZYVVWPE-SNVBAGLBSA-N 0 0 282.365 2.743 20 5 CFBDRN Cc1ccsc1CCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000358483695 380873265 /nfs/dbraw/zinc/87/32/65/380873265.db2.gz QBAHYQQATDBKKQ-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CS[C@@H](C)CCC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000358487522 380877150 /nfs/dbraw/zinc/87/71/50/380877150.db2.gz YAESLSQEKCWWIR-NSHDSACASA-N 0 0 296.392 2.785 20 5 CFBDRN COCC(C)(C)CCCNc1ccc([N+](=O)[O-])cn1 ZINC000288537725 197106613 /nfs/dbraw/zinc/10/66/13/197106613.db2.gz ORRZIYCIVMDRFA-UHFFFAOYSA-N 0 0 267.329 2.855 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1c(F)cccc1[N+](=O)[O-] ZINC000287421058 380828040 /nfs/dbraw/zinc/82/80/40/380828040.db2.gz UDZGHWMMGWINMS-SSDOTTSWSA-N 0 0 273.285 2.778 20 5 CFBDRN CC(C)N1C[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])CC1=O ZINC000347015224 380878875 /nfs/dbraw/zinc/87/88/75/380878875.db2.gz RHBGPLNCZOBLTL-SNVBAGLBSA-N 0 0 297.742 2.669 20 5 CFBDRN Cc1c(CC(=O)N2CCC[C@@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000295426718 380846060 /nfs/dbraw/zinc/84/60/60/380846060.db2.gz DHZNCMQUSGIXBQ-OAHLLOKOSA-N 0 0 294.326 2.796 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)[C@H](C)[C@H](C)O1 ZINC000408443820 380926796 /nfs/dbraw/zinc/92/67/96/380926796.db2.gz PTMOUADFXWPNDV-HLTSFMKQSA-N 0 0 285.731 2.645 20 5 CFBDRN CC(C)=CCC[C@@H](C)NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000295477024 380883501 /nfs/dbraw/zinc/88/35/01/380883501.db2.gz AOJTUXHMWCOKQU-SNVBAGLBSA-N 0 0 293.323 2.560 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)Cc1cccc(O)c1 ZINC000181764165 380888254 /nfs/dbraw/zinc/88/82/54/380888254.db2.gz DAYPWOBFMQQPON-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN CC(C)C[C@H](C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000295486414 380889074 /nfs/dbraw/zinc/88/90/74/380889074.db2.gz ZAMIYAWJAJSNSR-JTQLQIEISA-N 0 0 271.338 2.803 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CCCCCCC1 ZINC000279242085 194347748 /nfs/dbraw/zinc/34/77/48/194347748.db2.gz QNDAMWDAYPHJKY-UHFFFAOYSA-N 0 0 295.314 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(Cc3ccoc3)n2)cc1 ZINC000358512499 380895877 /nfs/dbraw/zinc/89/58/77/380895877.db2.gz PNQDRBUPTMIVKC-UHFFFAOYSA-N 0 0 271.232 2.829 20 5 CFBDRN COc1ccc(Cl)cc1Cn1cc([N+](=O)[O-])c(C)n1 ZINC000181813761 380903524 /nfs/dbraw/zinc/90/35/24/380903524.db2.gz CQPZJCURUNJBRR-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN Cn1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 ZINC000347049911 380906496 /nfs/dbraw/zinc/90/64/96/380906496.db2.gz ICOQRJMVGBRPGN-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN CC(C)N(Cc1cc(Cl)ccc1[N+](=O)[O-])C1COC1 ZINC000295512258 380906770 /nfs/dbraw/zinc/90/67/70/380906770.db2.gz UDSGKPUBQZWHKK-UHFFFAOYSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1nnc(COc2c(Cl)cccc2[N+](=O)[O-])s1 ZINC000358552734 380919663 /nfs/dbraw/zinc/91/96/63/380919663.db2.gz AODIFQJEWQYFAO-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@](C)(F)C2)cc([N+](=O)[O-])c1 ZINC000295575000 380950436 /nfs/dbraw/zinc/95/04/36/380950436.db2.gz MTIZBTQPUAPFPB-CQSZACIVSA-N 0 0 280.299 2.867 20 5 CFBDRN CCN(C[C@H](C)O)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000341344155 380951652 /nfs/dbraw/zinc/95/16/52/380951652.db2.gz FZPZVPYEKDMAAF-NSHDSACASA-N 0 0 294.351 2.561 20 5 CFBDRN COc1ccncc1Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000341344772 380953091 /nfs/dbraw/zinc/95/30/91/380953091.db2.gz UALCVEBRAQRVJH-UHFFFAOYSA-N 0 0 298.302 2.705 20 5 CFBDRN C[C@@H](CNc1cccc(C(N)=O)c1[N+](=O)[O-])c1ccccc1 ZINC000341371731 380974104 /nfs/dbraw/zinc/97/41/04/380974104.db2.gz LCSAIMZYQDSYCY-NSHDSACASA-N 0 0 299.330 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CO)CC2)nc1-c1ccccc1 ZINC000341377346 380977698 /nfs/dbraw/zinc/97/76/98/380977698.db2.gz PDXWPCYAAITGRK-UHFFFAOYSA-N 0 0 285.303 2.594 20 5 CFBDRN COc1cc(C(=O)N(C)CC(C)C)cc([N+](=O)[O-])c1C ZINC000358635564 380982919 /nfs/dbraw/zinc/98/29/19/380982919.db2.gz QVLSALAUSFREBX-UHFFFAOYSA-N 0 0 280.324 2.640 20 5 CFBDRN CCC[C@]1(NC(=O)c2cccc([N+](=O)[O-])c2C)CCOC1 ZINC000287787273 380940161 /nfs/dbraw/zinc/94/01/61/380940161.db2.gz IXFPORKOQQUVPT-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000287797863 380943594 /nfs/dbraw/zinc/94/35/94/380943594.db2.gz PCNNBIFWDMFKLY-ZANVPECISA-N 0 0 278.308 2.634 20 5 CFBDRN COc1cncc(COc2ccc([N+](=O)[O-])cc2C)c1 ZINC000341334918 380943921 /nfs/dbraw/zinc/94/39/21/380943921.db2.gz BZTMCDKAMXJQHI-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CN1CCc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3)cc21 ZINC000181958942 380948712 /nfs/dbraw/zinc/94/87/12/380948712.db2.gz NCYFYAGJEQKSKH-UHFFFAOYSA-N 0 0 297.314 2.839 20 5 CFBDRN CCOC(=O)C[C@H](C)N(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000182093918 380990250 /nfs/dbraw/zinc/99/02/50/380990250.db2.gz NXBOZMSEEYPULU-NSHDSACASA-N 0 0 280.324 2.681 20 5 CFBDRN Cc1ncccc1OCCc1ccc([N+](=O)[O-])cc1 ZINC000341400282 380993506 /nfs/dbraw/zinc/99/35/06/380993506.db2.gz QGVBCACRTWBNEF-UHFFFAOYSA-N 0 0 258.277 2.920 20 5 CFBDRN CC1(Cn2cc(Br)c([N+](=O)[O-])n2)CCC1 ZINC000295649800 380995709 /nfs/dbraw/zinc/99/57/09/380995709.db2.gz CXYVHNRLYBKGQX-UHFFFAOYSA-N 0 0 274.118 2.744 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H]3CCCCCC[C@@H]32)nc1 ZINC000368343298 380996647 /nfs/dbraw/zinc/99/66/47/380996647.db2.gz HSJAOTHTLMUHIS-PWSUYJOCSA-N 0 0 262.313 2.544 20 5 CFBDRN O=C1OCCN1CCCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000288046887 380999488 /nfs/dbraw/zinc/99/94/88/380999488.db2.gz UIPPKPUVVPZXFU-UHFFFAOYSA-N 0 0 299.714 2.502 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN[C@@H](C)c1nccs1 ZINC000411889123 381046335 /nfs/dbraw/zinc/04/63/35/381046335.db2.gz WPNVAUCRSSZFCY-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347284365 381047829 /nfs/dbraw/zinc/04/78/29/381047829.db2.gz KZAADHCEPVQBOU-SNVBAGLBSA-N 0 0 267.260 2.654 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000347285307 381048433 /nfs/dbraw/zinc/04/84/33/381048433.db2.gz MREGEXWVGMPACP-GDNZZTSVSA-N 0 0 279.271 2.654 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc3nccn32)c1 ZINC000358773741 381074427 /nfs/dbraw/zinc/07/44/27/381074427.db2.gz ZIBOIWGHHZUKBN-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1cc(C(=O)Nc2cncc(F)c2)ccc1[N+](=O)[O-] ZINC000341540850 381074663 /nfs/dbraw/zinc/07/46/63/381074663.db2.gz FBDKPOBKSPQPEQ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cn2cc(Nc3ncccc3[N+](=O)[O-])ccc2n1 ZINC000354090767 381072952 /nfs/dbraw/zinc/07/29/52/381072952.db2.gz DGTQVVYEKIBZTF-UHFFFAOYSA-N 0 0 269.264 2.690 20 5 CFBDRN CO[C@@H](C)CN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000182252009 381042383 /nfs/dbraw/zinc/04/23/83/381042383.db2.gz HAKLCOVKRQWMTK-VIFPVBQESA-N 0 0 272.732 2.715 20 5 CFBDRN Nc1c(C(=O)NCC2=CCCCC2)cc(F)cc1[N+](=O)[O-] ZINC000295807644 381089349 /nfs/dbraw/zinc/08/93/49/381089349.db2.gz NZHSEPCSCWGFES-UHFFFAOYSA-N 0 0 293.298 2.546 20 5 CFBDRN C[C@]1(F)CCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000347395305 381122577 /nfs/dbraw/zinc/12/25/77/381122577.db2.gz SSUPRAJDDSDDQJ-ZDUSSCGKSA-N 0 0 279.271 2.670 20 5 CFBDRN CC(=Cc1cccc([N+](=O)[O-])c1)CN(C)Cc1cc[nH]n1 ZINC000347374968 381108616 /nfs/dbraw/zinc/10/86/16/381108616.db2.gz YLTBKEGSTVVWOR-WQLSENKSSA-N 0 0 286.335 2.853 20 5 CFBDRN CCc1nnc([C@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000341593196 381110924 /nfs/dbraw/zinc/11/09/24/381110924.db2.gz ZADQYUJKRHZPHC-ZJUUUORDSA-N 0 0 290.323 2.952 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000288676607 197143054 /nfs/dbraw/zinc/14/30/54/197143054.db2.gz ZOOJKYUWEZQMTA-QMMMGPOBSA-N 0 0 285.344 2.871 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2ccccc2Cl)c1[N+](=O)[O-] ZINC000354245611 381161653 /nfs/dbraw/zinc/16/16/53/381161653.db2.gz TVCREEAGQHNUMP-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN COc1cccc(N2CC[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000368935054 381166410 /nfs/dbraw/zinc/16/64/10/381166410.db2.gz ZIYTVBUSKULEBU-LLVKDONJSA-N 0 0 262.309 2.840 20 5 CFBDRN CC(C)CN(C)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000347401017 381125429 /nfs/dbraw/zinc/12/54/29/381125429.db2.gz BREWKACYZXKBBV-UHFFFAOYSA-N 0 0 260.297 2.630 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(SC3CCOCC3)c21 ZINC000347407292 381129709 /nfs/dbraw/zinc/12/97/09/381129709.db2.gz CTJQHTAFCPAPFS-UHFFFAOYSA-N 0 0 291.332 2.809 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2CC[C@H]3CCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000358948080 381171101 /nfs/dbraw/zinc/17/11/01/381171101.db2.gz FLFHHPZVTHCDIF-IJLUTSLNSA-N 0 0 291.351 2.632 20 5 CFBDRN CSC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412126001 381173354 /nfs/dbraw/zinc/17/33/54/381173354.db2.gz LVFWFIXEPVERFY-JIMOISOXSA-N 0 0 294.376 2.566 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NOC/C=C/Cl ZINC000289854761 381189125 /nfs/dbraw/zinc/18/91/25/381189125.db2.gz QNSIDIVFBKMVBN-ZZXKWVIFSA-N 0 0 299.714 2.963 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCO)c2ccccc2)nc1 ZINC000354312198 381196403 /nfs/dbraw/zinc/19/64/03/381196403.db2.gz PRHAMMMDDIFJSA-ZDUSSCGKSA-N 0 0 273.292 2.525 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000354325313 381204513 /nfs/dbraw/zinc/20/45/13/381204513.db2.gz RUQCKZDMGWDWCC-RYUDHWBXSA-N 0 0 279.340 2.630 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccc(F)cn3)no2)cc1 ZINC000359010005 381213299 /nfs/dbraw/zinc/21/32/99/381213299.db2.gz NEUCOGJSOMGJFM-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ccncc2)n1 ZINC000359079025 381252215 /nfs/dbraw/zinc/25/22/15/381252215.db2.gz ANOHFROFJQCIHY-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)CCCOC(C)C)n1 ZINC000359081188 381252630 /nfs/dbraw/zinc/25/26/30/381252630.db2.gz IXRYJPSFFFNCJJ-UHFFFAOYSA-N 0 0 281.356 2.858 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000290002732 381257876 /nfs/dbraw/zinc/25/78/76/381257876.db2.gz FWSWDFRWIFAVGC-SCSAIBSYSA-N 0 0 298.167 2.554 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCC[C@H](O)C2)n1 ZINC000359091214 381259841 /nfs/dbraw/zinc/25/98/41/381259841.db2.gz GSDDSQJAEUOIEP-NEPJUHHUSA-N 0 0 279.340 2.570 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000342312779 381270718 /nfs/dbraw/zinc/27/07/18/381270718.db2.gz FVYPJAHNUUZXBX-NSHDSACASA-N 0 0 279.340 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cn3ccccc3n2)n1 ZINC000359100092 381265775 /nfs/dbraw/zinc/26/57/75/381265775.db2.gz WYWQFYSNQRBBMJ-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCO[C@H]3CCC[C@@H]32)cs1 ZINC000369467010 381267360 /nfs/dbraw/zinc/26/73/60/381267360.db2.gz KXPXAAMQAGASJI-RYUDHWBXSA-N 0 0 282.365 2.800 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1[C@H](C)C1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000359031149 381224245 /nfs/dbraw/zinc/22/42/45/381224245.db2.gz NTVRYQJRTJKNFW-LAEOZQHASA-N 0 0 284.262 2.673 20 5 CFBDRN COc1ncc(COc2cc(C)ccc2[N+](=O)[O-])c(C)n1 ZINC000347668110 381287482 /nfs/dbraw/zinc/28/74/82/381287482.db2.gz UMUMPHOXBLLQCT-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN C[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000359209869 381289642 /nfs/dbraw/zinc/28/96/42/381289642.db2.gz DSKKCUXHGZBTPQ-SSDOTTSWSA-N 0 0 291.229 2.915 20 5 CFBDRN CCC1(NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000296192809 381294407 /nfs/dbraw/zinc/29/44/07/381294407.db2.gz MWDIXHWXATUGFC-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN CN(Cc1ccn(C(F)F)n1)Cc1ccccc1[N+](=O)[O-] ZINC000347686731 381296848 /nfs/dbraw/zinc/29/68/48/381296848.db2.gz QBQJACNGUZZDDR-UHFFFAOYSA-N 0 0 296.277 2.818 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]2CC[C@@H]1C2)Nc1ccncc1[N+](=O)[O-] ZINC000183642435 381298876 /nfs/dbraw/zinc/29/88/76/381298876.db2.gz GWXJQHNZGQNWGI-AXFHLTTASA-N 0 0 290.323 2.548 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1CCC2(CCCC2)C1 ZINC000183646721 381301508 /nfs/dbraw/zinc/30/15/08/381301508.db2.gz QFHJHKLAXYCBOC-UHFFFAOYSA-N 0 0 290.323 2.788 20 5 CFBDRN COc1cc(C(=O)NCCC2(C)CC2)c([N+](=O)[O-])cc1F ZINC000296206757 381301604 /nfs/dbraw/zinc/30/16/04/381301604.db2.gz JLCWRASZOOTVTP-UHFFFAOYSA-N 0 0 296.298 2.663 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2cncc(F)c2)nc1 ZINC000359234359 381301934 /nfs/dbraw/zinc/30/19/34/381301934.db2.gz PXVDPRRJLCMXTK-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN CSCC(=O)Nc1cc(C)nc2ccc([N+](=O)[O-])cc21 ZINC000183683345 381305457 /nfs/dbraw/zinc/30/54/57/381305457.db2.gz RJCYXDBOVZCXEA-UHFFFAOYSA-N 0 0 291.332 2.753 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000290051924 381278566 /nfs/dbraw/zinc/27/85/66/381278566.db2.gz JUWJMXVLEGHDHX-NEPJUHHUSA-N 0 0 288.307 2.723 20 5 CFBDRN Cc1cscc1CNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000347661737 381283655 /nfs/dbraw/zinc/28/36/55/381283655.db2.gz UVNCSOIWLHGJHT-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1cc(C)nc(OC)n1 ZINC000354529498 381324071 /nfs/dbraw/zinc/32/40/71/381324071.db2.gz UASRPYKPNMWRFQ-UHFFFAOYSA-N 0 0 291.263 2.503 20 5 CFBDRN Cc1cc(Cl)ccc1NCc1c([N+](=O)[O-])ncn1C ZINC000347756605 381334209 /nfs/dbraw/zinc/33/42/09/381334209.db2.gz SASUNMAJUJAPKF-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccc(Cl)c(F)c1 ZINC000347756633 381334743 /nfs/dbraw/zinc/33/47/43/381334743.db2.gz SGWWIIBJOZWQNB-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(F)(F)F ZINC000290201808 381341073 /nfs/dbraw/zinc/34/10/73/381341073.db2.gz UWLHVOINAOWWPX-RXMQYKEDSA-N 0 0 296.632 2.929 20 5 CFBDRN CCC1(NC(=O)Cc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000296337776 381353022 /nfs/dbraw/zinc/35/30/22/381353022.db2.gz UHRVJNGHJWWCQM-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H](C2CC2)C1 ZINC000342498162 381361582 /nfs/dbraw/zinc/36/15/82/381361582.db2.gz OQIZZMMESWJGQZ-SNVBAGLBSA-N 0 0 250.273 2.970 20 5 CFBDRN COc1cc(N[C@H]2CCOC2(C)C)c(F)cc1[N+](=O)[O-] ZINC000296403152 381378921 /nfs/dbraw/zinc/37/89/21/381378921.db2.gz VYCXIDWINVDXPI-LBPRGKRZSA-N 0 0 284.287 2.722 20 5 CFBDRN Cc1cccc(C)c1Cn1cc([N+](=O)[O-])cc(C)c1=O ZINC000359438301 381412678 /nfs/dbraw/zinc/41/26/78/381412678.db2.gz MPDIEBQFNLWABW-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN CCn1ccnc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296484153 381416281 /nfs/dbraw/zinc/41/62/81/381416281.db2.gz OKCSZSLCZCSGCG-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CCCC[C@H]2F)cccc1[N+](=O)[O-] ZINC000412667013 381392602 /nfs/dbraw/zinc/39/26/02/381392602.db2.gz BHEUIIOHAIBTNF-GHMZBOCLSA-N 0 0 296.298 2.614 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CCCC[C@H]2F)cccc1[N+](=O)[O-] ZINC000412672164 381395828 /nfs/dbraw/zinc/39/58/28/381395828.db2.gz MJHVCPBIEXGWJC-CHWSQXEVSA-N 0 0 294.326 2.843 20 5 CFBDRN Cc1cccc(CN(C)c2ccc3ncc([N+](=O)[O-])n3n2)c1 ZINC000347943436 381425249 /nfs/dbraw/zinc/42/52/49/381425249.db2.gz ZCWXUULTZYVYTB-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN CCc1cncc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000347946345 381426937 /nfs/dbraw/zinc/42/69/37/381426937.db2.gz BYSZQDBMCXHXJT-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3nc(C)ncc3C2)ccc1[N+](=O)[O-] ZINC000370318189 381428670 /nfs/dbraw/zinc/42/86/70/381428670.db2.gz XQKGATCKMWVNGM-CQSZACIVSA-N 0 0 298.346 2.971 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347959704 381434148 /nfs/dbraw/zinc/43/41/48/381434148.db2.gz NCNZNOIDPFGMOT-VIFPVBQESA-N 0 0 284.287 2.735 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CC(C)(C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000412777824 381438645 /nfs/dbraw/zinc/43/86/45/381438645.db2.gz IEMVOLHJHGVRPT-VIFPVBQESA-N 0 0 294.355 2.531 20 5 CFBDRN COC1(CC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000347980241 381442946 /nfs/dbraw/zinc/44/29/46/381442946.db2.gz GQBITRSUYZYVAI-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN CN(CCC(=O)OC(C)(C)C)c1c(F)cccc1[N+](=O)[O-] ZINC000342633414 381446552 /nfs/dbraw/zinc/44/65/52/381446552.db2.gz IREYBHLMOVWCLO-UHFFFAOYSA-N 0 0 298.314 2.902 20 5 CFBDRN O=C(CC(F)(F)F)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347990990 381448371 /nfs/dbraw/zinc/44/83/71/381448371.db2.gz UUNKZKFPLWPWJM-UHFFFAOYSA-N 0 0 266.150 2.625 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC1(CCO)CCC1 ZINC000342637816 381449307 /nfs/dbraw/zinc/44/93/07/381449307.db2.gz QGKPRNKIGMSMDK-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN CC(C)n1nccc1/C=C/c1ccc([N+](=O)[O-])cn1 ZINC000359522958 381449989 /nfs/dbraw/zinc/44/99/89/381449989.db2.gz HYUWJUYWSOKBPR-HWKANZROSA-N 0 0 258.281 2.938 20 5 CFBDRN COC(=O)c1cc(N[C@H](C)[C@@H](C)OC)cc(C)c1[N+](=O)[O-] ZINC000290575011 381484376 /nfs/dbraw/zinc/48/43/76/381484376.db2.gz BLOIALOWOLPEDC-NXEZZACHSA-N 0 0 296.323 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCCCS2)c1 ZINC000342652967 381458627 /nfs/dbraw/zinc/45/86/27/381458627.db2.gz JDFIEKYWKHSYIG-LLVKDONJSA-N 0 0 294.376 2.919 20 5 CFBDRN O=C(NC[C@H]1CCCCS1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000342655335 381460625 /nfs/dbraw/zinc/46/06/25/381460625.db2.gz KFSOEBKXDOBYPO-GFCCVEGCSA-N 0 0 298.339 2.749 20 5 CFBDRN Cc1nc(N2CCC(OCC3CC3)CC2)ccc1[N+](=O)[O-] ZINC000370531457 381461035 /nfs/dbraw/zinc/46/10/35/381461035.db2.gz PJWGZFYDDBCSCS-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC[C@@H]1c1ccccc1 ZINC000370537463 381463054 /nfs/dbraw/zinc/46/30/54/381463054.db2.gz APVPRYAYSDFVBY-OAHLLOKOSA-N 0 0 297.314 2.967 20 5 CFBDRN CC1(CNc2ccncc2[N+](=O)[O-])Cc2ccccc2C1 ZINC000354883161 381504602 /nfs/dbraw/zinc/50/46/02/381504602.db2.gz JCEUOPHOORISKZ-UHFFFAOYSA-N 0 0 283.331 2.629 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(Cc2cnns2)C2CC2)c1 ZINC000296689816 381506991 /nfs/dbraw/zinc/50/69/91/381506991.db2.gz CQAZHLCBABZLSA-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN CC(C)(C(=O)NCCc1cccc([N+](=O)[O-])c1)C(F)F ZINC000348323352 381508185 /nfs/dbraw/zinc/50/81/85/381508185.db2.gz PPXIECTZGMXLRA-UHFFFAOYSA-N 0 0 286.278 2.545 20 5 CFBDRN CCC[C@@H]([NH2+]Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)[O-] ZINC000185045375 381520945 /nfs/dbraw/zinc/52/09/45/381520945.db2.gz WYHHWLDOBAOGOW-LLVKDONJSA-N 0 0 286.715 2.591 20 5 CFBDRN O=C(COc1c(F)cccc1[N+](=O)[O-])N1CCCCCC1 ZINC000185031812 381518567 /nfs/dbraw/zinc/51/85/67/381518567.db2.gz QVEPNIHQNQXKGU-UHFFFAOYSA-N 0 0 296.298 2.515 20 5 CFBDRN CCOC(=O)CCCCOc1c(F)cccc1[N+](=O)[O-] ZINC000185032681 381519081 /nfs/dbraw/zinc/51/90/81/381519081.db2.gz LVRCUISMTYNCSJ-UHFFFAOYSA-N 0 0 285.271 2.846 20 5 CFBDRN CC1(C)C(NC(=O)COc2ccccc2[N+](=O)[O-])C1(C)C ZINC000359627182 381519110 /nfs/dbraw/zinc/51/91/10/381519110.db2.gz BVIHLFNKWQDYAE-UHFFFAOYSA-N 0 0 292.335 2.524 20 5 CFBDRN C[C@H](CC(C)(C)O)[NH2+][C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000296639563 381486295 /nfs/dbraw/zinc/48/62/95/381486295.db2.gz XZYNKQOPXDZBGY-MNOVXSKESA-N 0 0 266.341 2.795 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](C)C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000359585001 381489127 /nfs/dbraw/zinc/48/91/27/381489127.db2.gz YSYYCFZPADAVIZ-UWVGGRQHSA-N 0 0 280.324 2.759 20 5 CFBDRN CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CCC1 ZINC000348311881 381499220 /nfs/dbraw/zinc/49/92/20/381499220.db2.gz TVDURIMZVVNQHE-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCC1(CC)CC1 ZINC000348311540 381499678 /nfs/dbraw/zinc/49/96/78/381499678.db2.gz ZJSOUVHCFLIUQD-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)CCF ZINC000296678455 381503212 /nfs/dbraw/zinc/50/32/12/381503212.db2.gz SBRJHYXNCKTHSM-UHFFFAOYSA-N 0 0 269.276 2.645 20 5 CFBDRN Cc1cc(F)c(NC(=O)NOCC2CC2)cc1[N+](=O)[O-] ZINC000296772958 381537556 /nfs/dbraw/zinc/53/75/56/381537556.db2.gz PEVGHFDEJPUOMU-UHFFFAOYSA-N 0 0 283.259 2.505 20 5 CFBDRN CCC1CN(c2ncc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000413361582 381540567 /nfs/dbraw/zinc/54/05/67/381540567.db2.gz KRXYUHFJJQGMEG-UHFFFAOYSA-N 0 0 275.230 2.855 20 5 CFBDRN CCOc1cccc(NC[C@@H](CC)OC)c1[N+](=O)[O-] ZINC000290762266 381541171 /nfs/dbraw/zinc/54/11/71/381541171.db2.gz UMLBTTHCYZPCQA-SNVBAGLBSA-N 0 0 268.313 2.830 20 5 CFBDRN CC[C@@H](C)n1nc(Nc2ccc([N+](=O)[O-])c(C)n2)cc1C ZINC000342792592 381543692 /nfs/dbraw/zinc/54/36/92/381543692.db2.gz UFGMPNGKXWBPTC-SECBINFHSA-N 0 0 289.339 2.940 20 5 CFBDRN CN(c1nc2sccn2c1[N+](=O)[O-])C1CC(C)(C)C1 ZINC000413436613 381544335 /nfs/dbraw/zinc/54/43/35/381544335.db2.gz IYKAZZRQRAIJFG-UHFFFAOYSA-N 0 0 280.353 2.929 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CS[C@H](C)C2)n1 ZINC000413522009 381545919 /nfs/dbraw/zinc/54/59/19/381545919.db2.gz RMFUVVJDYKILSW-ZJUUUORDSA-N 0 0 267.354 2.913 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)[C@](C)(OC)C1CC1 ZINC000413515475 381546094 /nfs/dbraw/zinc/54/60/94/381546094.db2.gz KCTNPTYSYIQOQH-XPTSAGLGSA-N 0 0 296.371 2.735 20 5 CFBDRN CC(C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000185168690 381551050 /nfs/dbraw/zinc/55/10/50/381551050.db2.gz JJOQJOUHDMHDSB-MRVPVSSYSA-N 0 0 255.245 2.789 20 5 CFBDRN CN(C(=O)c1ocnc1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000342763764 381523746 /nfs/dbraw/zinc/52/37/46/381523746.db2.gz YQJWAQHBUVYRNA-UHFFFAOYSA-N 0 0 287.275 2.737 20 5 CFBDRN Cc1nn(CCc2ccc(F)c(F)c2)cc1[N+](=O)[O-] ZINC000354925355 381524216 /nfs/dbraw/zinc/52/42/16/381524216.db2.gz XNCIGSHNNCOPTA-UHFFFAOYSA-N 0 0 267.235 2.621 20 5 CFBDRN COc1ccc(C(=O)NC2C(C)(C)C2(C)C)cc1[N+](=O)[O-] ZINC000359635435 381525298 /nfs/dbraw/zinc/52/52/98/381525298.db2.gz FIKUXQFAIMIPSZ-UHFFFAOYSA-N 0 0 292.335 2.768 20 5 CFBDRN CCCc1nc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000296747305 381525579 /nfs/dbraw/zinc/52/55/79/381525579.db2.gz PIXVTCPIMIHQNT-JTQLQIEISA-N 0 0 290.323 2.781 20 5 CFBDRN Cc1cnc(OCCOCC2CCCC2)c([N+](=O)[O-])c1 ZINC000412999616 381530638 /nfs/dbraw/zinc/53/06/38/381530638.db2.gz MTSUBPXXFYXMTP-UHFFFAOYSA-N 0 0 280.324 2.884 20 5 CFBDRN Cc1cnc(OCCCO[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000185084992 381532181 /nfs/dbraw/zinc/53/21/81/381532181.db2.gz YCOJEAYCOVKHFC-CYBMUJFWSA-N 0 0 296.323 2.610 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000342779452 381534736 /nfs/dbraw/zinc/53/47/36/381534736.db2.gz MWTATVARAHPHPE-GHMZBOCLSA-N 0 0 280.299 2.597 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCCOC(C)C ZINC000342830268 381573531 /nfs/dbraw/zinc/57/35/31/381573531.db2.gz ILOXCNYGENVBID-UHFFFAOYSA-N 0 0 296.323 2.527 20 5 CFBDRN CC1(C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)[C@H]1C1CC1 ZINC000290868824 381580199 /nfs/dbraw/zinc/58/01/99/381580199.db2.gz AVPFIIYAFWJYQJ-HNNXBMFYSA-N 0 0 288.347 2.784 20 5 CFBDRN CCc1ncc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000414360858 381582370 /nfs/dbraw/zinc/58/23/70/381582370.db2.gz BBZCBHBCDXJSKR-NSHDSACASA-N 0 0 286.335 2.798 20 5 CFBDRN C[C@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)CCC1(F)F ZINC000290931465 381603290 /nfs/dbraw/zinc/60/32/90/381603290.db2.gz NLWFSXSIWYLKJR-ZETCQYMHSA-N 0 0 290.291 2.774 20 5 CFBDRN COc1cccc(N2CCC[C@@](C)(OC)C2)c1[N+](=O)[O-] ZINC000359731948 381585112 /nfs/dbraw/zinc/58/51/12/381585112.db2.gz CIUIJNRSAFZHPX-CQSZACIVSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1ccc(Cn2c3cc([N+](=O)[O-])ccc3oc2=O)c(C)n1 ZINC000185313258 381593088 /nfs/dbraw/zinc/59/30/88/381593088.db2.gz NIKWLARWPYMGAH-UHFFFAOYSA-N 0 0 299.286 2.563 20 5 CFBDRN CCc1nnc(Sc2cc(C)c([N+](=O)[O-])cc2F)[nH]1 ZINC000290915724 381596457 /nfs/dbraw/zinc/59/64/57/381596457.db2.gz GZLNLSBIOBLYSG-UHFFFAOYSA-N 0 0 282.300 2.874 20 5 CFBDRN CO[C@@](C)(c1nc(-c2ccc([N+](=O)[O-])cc2)no1)C1CC1 ZINC000290977162 381618233 /nfs/dbraw/zinc/61/82/33/381618233.db2.gz PQIXMGKEIYCDKS-CQSZACIVSA-N 0 0 289.291 2.916 20 5 CFBDRN CCn1cc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cn1 ZINC000342923715 381619553 /nfs/dbraw/zinc/61/95/53/381619553.db2.gz FYNFFQQEZKSAMO-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN CSc1cccc(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000342930385 381620739 /nfs/dbraw/zinc/62/07/39/381620739.db2.gz UJKURFSJZYQXMW-UHFFFAOYSA-N 0 0 275.333 2.746 20 5 CFBDRN O=[N+]([O-])c1c(NCC2(C(F)F)CC2)nc2sccn21 ZINC000359837328 381634192 /nfs/dbraw/zinc/63/41/92/381634192.db2.gz NFNWGHIPXDHHJB-UHFFFAOYSA-N 0 0 288.279 2.761 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1C[C@@H]1c1cccnc1 ZINC000371476315 381638715 /nfs/dbraw/zinc/63/87/15/381638715.db2.gz YGPFCLQVOQBEGO-KGLIPLIRSA-N 0 0 284.271 2.699 20 5 CFBDRN C[C@@H](C(=O)NCCNc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000359852735 381641611 /nfs/dbraw/zinc/64/16/11/381641611.db2.gz VGJSLLONDOIPKH-NSHDSACASA-N 0 0 293.367 2.805 20 5 CFBDRN COc1cccc(NC[C@@H]2CCC[C@H](O)C2)c1[N+](=O)[O-] ZINC000185469772 381641703 /nfs/dbraw/zinc/64/17/03/381641703.db2.gz PNHCNZYIACUJBV-MNOVXSKESA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cccc(NC[C@](C)(O)c2ccco2)c1[N+](=O)[O-] ZINC000185480663 381645952 /nfs/dbraw/zinc/64/59/52/381645952.db2.gz NIEMZFZEDZDVIX-AWEZNQCLSA-N 0 0 292.291 2.516 20 5 CFBDRN Cc1cc(OCc2nc(C3CC3)no2)ccc1[N+](=O)[O-] ZINC000298509444 381655579 /nfs/dbraw/zinc/65/55/79/381655579.db2.gz MWPGBVGOUWPLPC-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN Cc1cc(N[C@H](C)CCC(=O)OC(C)C)ncc1[N+](=O)[O-] ZINC000359880999 381656325 /nfs/dbraw/zinc/65/63/25/381656325.db2.gz UGJLDZKEVNOMMP-LLVKDONJSA-N 0 0 295.339 2.830 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCCOCC1 ZINC000371556691 381659189 /nfs/dbraw/zinc/65/91/89/381659189.db2.gz BKBJABARAWUJSV-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN COc1cc(Oc2ncncc2Cl)ccc1[N+](=O)[O-] ZINC000359886997 381660330 /nfs/dbraw/zinc/66/03/30/381660330.db2.gz NNBWKTGNGVXXLZ-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN CC1(C)C(CNc2cccc(C(N)=O)c2[N+](=O)[O-])C1(C)C ZINC000343046104 381660585 /nfs/dbraw/zinc/66/05/85/381660585.db2.gz QBORLERQBDLMTK-UHFFFAOYSA-N 0 0 291.351 2.788 20 5 CFBDRN Cc1cc(NCc2ncccc2O)ccc1[N+](=O)[O-] ZINC000359896352 381665014 /nfs/dbraw/zinc/66/50/14/381665014.db2.gz GKRCCASFADDOTH-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC(Cc2ccccc2)C1 ZINC000359902793 381668095 /nfs/dbraw/zinc/66/80/95/381668095.db2.gz SBLXOAPZNHPOEH-UHFFFAOYSA-N 0 0 286.287 2.503 20 5 CFBDRN Cc1nnc(CCNc2cc(C)ccc2[N+](=O)[O-])s1 ZINC000291060014 381651241 /nfs/dbraw/zinc/65/12/41/381651241.db2.gz FOQXKYAMVORNGU-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000291208703 381709295 /nfs/dbraw/zinc/70/92/95/381709295.db2.gz BZYQUDWLAAXXAU-UHFFFAOYSA-N 0 0 280.328 2.890 20 5 CFBDRN COCCC1(CNc2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000185593438 381675032 /nfs/dbraw/zinc/67/50/32/381675032.db2.gz YCPITQNGBJQWRZ-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN C[C@H]1CN(c2cc(N)ccc2[N+](=O)[O-])CCC1(F)F ZINC000291124229 381675317 /nfs/dbraw/zinc/67/53/17/381675317.db2.gz MLUIRAHZRHSQIU-QMMMGPOBSA-N 0 0 271.267 2.659 20 5 CFBDRN COc1ccc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)nc1 ZINC000359924501 381682496 /nfs/dbraw/zinc/68/24/96/381682496.db2.gz NNCYJUJOPRMPJW-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CCC[C@@H]1C ZINC000359929799 381684071 /nfs/dbraw/zinc/68/40/71/381684071.db2.gz MPRFSHBVELTWQX-WDEREUQCSA-N 0 0 291.351 2.803 20 5 CFBDRN Cc1nn(C)c2cc(Nc3ccc([N+](=O)[O-])cn3)ccc12 ZINC000359944891 381692941 /nfs/dbraw/zinc/69/29/41/381692941.db2.gz QBJIJWLZZUGANN-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN CCc1ccc([C@@H]2CCCCN2C(=O)[C@H]2C[C@@H]2[N+](=O)[O-])o1 ZINC000371765035 381696241 /nfs/dbraw/zinc/69/62/41/381696241.db2.gz JPANXBGNPMVFLT-AVGNSLFASA-N 0 0 292.335 2.561 20 5 CFBDRN COc1ccc(NC(=O)CCc2ccco2)cc1[N+](=O)[O-] ZINC000359960887 381698447 /nfs/dbraw/zinc/69/84/47/381698447.db2.gz UEIBOQDCIIPFLP-UHFFFAOYSA-N 0 0 290.275 2.768 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000355243835 381700264 /nfs/dbraw/zinc/70/02/64/381700264.db2.gz YPESRCAUPOQENR-SCZZXKLOSA-N 0 0 282.727 2.911 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCC[C@H](CO)C1 ZINC000298671219 381705544 /nfs/dbraw/zinc/70/55/44/381705544.db2.gz UBHKSHPDGBXLCL-GXSJLCMTSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1nc(C)cs1 ZINC000355255143 381706282 /nfs/dbraw/zinc/70/62/82/381706282.db2.gz PITNSQBDLAGBFQ-MRVPVSSYSA-N 0 0 281.341 2.656 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000298677163 381706419 /nfs/dbraw/zinc/70/64/19/381706419.db2.gz LWJUUAPWFPVPMM-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CCc1ccc(C(=O)N(CC)CC(F)F)cc1[N+](=O)[O-] ZINC000185784065 381731803 /nfs/dbraw/zinc/73/18/03/381731803.db2.gz JCXRETZGVUITRC-UHFFFAOYSA-N 0 0 286.278 2.884 20 5 CFBDRN CCCSCC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000298806773 381754469 /nfs/dbraw/zinc/75/44/69/381754469.db2.gz HAAACYMVUYZLJZ-UHFFFAOYSA-N 0 0 280.349 2.627 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H](COC(F)F)C1 ZINC000343502544 381756790 /nfs/dbraw/zinc/75/67/90/381756790.db2.gz DDSSOZWOJZHMBX-MRVPVSSYSA-N 0 0 290.241 2.799 20 5 CFBDRN CO[C@](C)(C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000291242303 381721244 /nfs/dbraw/zinc/72/12/44/381721244.db2.gz VFEUIIHBZREAKI-AWEZNQCLSA-N 0 0 278.308 2.657 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC1(F)F ZINC000298720510 381725562 /nfs/dbraw/zinc/72/55/62/381725562.db2.gz RVIVYFWSLKKGIC-QMMMGPOBSA-N 0 0 286.234 2.587 20 5 CFBDRN Cc1cc(N2CC(F)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000343542909 381785673 /nfs/dbraw/zinc/78/56/73/381785673.db2.gz SJJVJIGDSXCYHO-UHFFFAOYSA-N 0 0 288.282 2.518 20 5 CFBDRN Cc1ccc(N2CC[C@H](c3nccn3C)C2)c([N+](=O)[O-])c1 ZINC000372304496 381786647 /nfs/dbraw/zinc/78/66/47/381786647.db2.gz FTRYQRGKBTVIBO-LBPRGKRZSA-N 0 0 286.335 2.631 20 5 CFBDRN CSc1cccc(C(=O)N[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC000186006551 381800683 /nfs/dbraw/zinc/80/06/83/381800683.db2.gz NPTGZGDXKFOZKZ-MRVPVSSYSA-N 0 0 280.349 2.845 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(C(F)F)cc2)cn1 ZINC000185882614 381767341 /nfs/dbraw/zinc/76/73/41/381767341.db2.gz KEGSWYWMDSUTKR-UHFFFAOYSA-N 0 0 253.208 2.777 20 5 CFBDRN C[C@@H]1CCCC[C@H]1N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298859253 381768330 /nfs/dbraw/zinc/76/83/30/381768330.db2.gz DVFDWTVIMPEOEJ-MWLCHTKSSA-N 0 0 265.313 2.574 20 5 CFBDRN CC[C@@H](C)C(=O)Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000355440306 381772074 /nfs/dbraw/zinc/77/20/74/381772074.db2.gz QTAFIUHJCMNXNP-SECBINFHSA-N 0 0 275.308 2.868 20 5 CFBDRN CCC[C@@H](C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000298879231 381773627 /nfs/dbraw/zinc/77/36/27/381773627.db2.gz ZDYLPSAQZZTIIX-SNVBAGLBSA-N 0 0 280.324 2.656 20 5 CFBDRN CCCCCNC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000298889641 381776720 /nfs/dbraw/zinc/77/67/20/381776720.db2.gz ZMYTVSPDBAJHRT-UHFFFAOYSA-N 0 0 295.339 2.593 20 5 CFBDRN Cc1cc(N[C@H](C)CCCO)c(F)cc1[N+](=O)[O-] ZINC000292754495 381822435 /nfs/dbraw/zinc/82/24/35/381822435.db2.gz VVAPUBFWRYEIBY-SECBINFHSA-N 0 0 256.277 2.615 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc([C@@H]3CCOC3)cc2)nc1 ZINC000292758658 381823397 /nfs/dbraw/zinc/82/33/97/381823397.db2.gz KCERYDJVIISAHC-LLVKDONJSA-N 0 0 286.291 2.632 20 5 CFBDRN CC[C@H](C)N(CC(=O)OC)Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000343596838 381826961 /nfs/dbraw/zinc/82/69/61/381826961.db2.gz DKGKXCXYBIDYGE-LBPRGKRZSA-N 0 0 294.351 2.677 20 5 CFBDRN CO[C@@](C)(CNc1nc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000292416687 381804009 /nfs/dbraw/zinc/80/40/09/381804009.db2.gz TULMYZUIFZOARI-ZDUSSCGKSA-N 0 0 265.313 2.525 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000361313124 381818562 /nfs/dbraw/zinc/81/85/62/381818562.db2.gz HTHZSXZXSNPBKE-GFCCVEGCSA-N 0 0 293.367 2.534 20 5 CFBDRN CC(C)(NC(=O)NC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000292820107 381850154 /nfs/dbraw/zinc/85/01/54/381850154.db2.gz ASAZQCJKMUGEDW-UHFFFAOYSA-N 0 0 277.324 2.682 20 5 CFBDRN CCCCN(C(=O)c1c([N+](=O)[O-])c(C)nn1C)[C@H](C)CC ZINC000299171677 381851520 /nfs/dbraw/zinc/85/15/20/381851520.db2.gz VDHPCBCJANOWSJ-SNVBAGLBSA-N 0 0 296.371 2.678 20 5 CFBDRN Cc1c(C(=O)N(C)Cc2ccccn2)cccc1[N+](=O)[O-] ZINC000343626864 381852309 /nfs/dbraw/zinc/85/23/09/381852309.db2.gz PKQVLZBRCMETCE-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN COCC1(CCNc2ncccc2[N+](=O)[O-])CCC1 ZINC000292887035 381886206 /nfs/dbraw/zinc/88/62/06/381886206.db2.gz VJFIWWXXKPDSDA-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN C[C@@H](F)CCNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000356713970 381862761 /nfs/dbraw/zinc/86/27/61/381862761.db2.gz RYZASIGBKWHCMD-BDAKNGLRSA-N 0 0 286.278 2.702 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@]1(O)CCCC1(C)C ZINC000292852486 381865384 /nfs/dbraw/zinc/86/53/84/381865384.db2.gz RMAKBZOPQWAJFY-AWEZNQCLSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NOCC1CC1 ZINC000292859109 381869213 /nfs/dbraw/zinc/86/92/13/381869213.db2.gz WFLLWQZLRIUNSS-UHFFFAOYSA-N 0 0 279.296 2.675 20 5 CFBDRN Cc1ccnc(NC[C@@]2(C)CCO[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000372807459 381871981 /nfs/dbraw/zinc/87/19/81/381871981.db2.gz YRLYWJOZEMSEHA-DZGCQCFKSA-N 0 0 291.351 2.915 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cccnc1 ZINC000186344041 381887021 /nfs/dbraw/zinc/88/70/21/381887021.db2.gz HVKNBMRLDQIOLR-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCc1ccsc1 ZINC000349269299 381872702 /nfs/dbraw/zinc/87/27/02/381872702.db2.gz SIKWESLFQCHHRZ-UHFFFAOYSA-N 0 0 278.333 2.759 20 5 CFBDRN CC1(c2ccccc2F)CN(c2ncccc2[N+](=O)[O-])C1 ZINC000372812380 381873900 /nfs/dbraw/zinc/87/39/00/381873900.db2.gz PIPPXMDHLZLZBM-UHFFFAOYSA-N 0 0 287.294 2.907 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@H](c2ccccc2)C1 ZINC000299342996 381903445 /nfs/dbraw/zinc/90/34/45/381903445.db2.gz VMQPYVVCLOQNAM-CYBMUJFWSA-N 0 0 269.304 2.984 20 5 CFBDRN C[N@@H+]1CCC[C@]2(CCN(c3ccc([N+](=O)[O-])cc3F)C2)C1 ZINC000292892406 381888024 /nfs/dbraw/zinc/88/80/24/381888024.db2.gz VWRYXHAXEQNMEA-HNNXBMFYSA-N 0 0 293.342 2.656 20 5 CFBDRN CO[C@H](CNc1c2ccccc2ncc1[N+](=O)[O-])C1CC1 ZINC000292896603 381891027 /nfs/dbraw/zinc/89/10/27/381891027.db2.gz WJWSWGYKGOKHDL-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1C[C@@H]1C(F)F)CCN2 ZINC000343814027 381958381 /nfs/dbraw/zinc/95/83/81/381958381.db2.gz UYABGWCNVFTOHB-IONNQARKSA-N 0 0 269.251 2.628 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@H]2C(F)F)c([N+](=O)[O-])c1 ZINC000343816814 381960114 /nfs/dbraw/zinc/96/01/14/381960114.db2.gz UHAKXUYTCGJXES-PSASIEDQSA-N 0 0 270.235 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@H]3C[C@@H]3C(F)F)nc2c1 ZINC000343816931 381961371 /nfs/dbraw/zinc/96/13/71/381961371.db2.gz XTRSXDHRHAHVPT-XPUUQOCRSA-N 0 0 268.223 2.537 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000349585827 381966084 /nfs/dbraw/zinc/96/60/84/381966084.db2.gz MIHQZKPWHOQFHG-OUAUKWLOSA-N 0 0 291.351 2.732 20 5 CFBDRN CC(C)[C@H]1CN(Cc2csc([N+](=O)[O-])c2)CCCO1 ZINC000292992892 381939404 /nfs/dbraw/zinc/93/94/04/381939404.db2.gz CGIBWCSIJQKDOR-GFCCVEGCSA-N 0 0 284.381 2.903 20 5 CFBDRN CC[C@H](NCc1ccc([N+](=O)[O-])cc1)c1ccn(C)n1 ZINC000349492761 381944808 /nfs/dbraw/zinc/94/48/08/381944808.db2.gz GNDVNRWWMDIPPY-ZDUSSCGKSA-N 0 0 274.324 2.569 20 5 CFBDRN Cn1ccnc1-c1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1 ZINC000356992075 381947168 /nfs/dbraw/zinc/94/71/68/381947168.db2.gz LRLSEARHENFLPH-QPJJXVBHSA-N 0 0 297.274 2.549 20 5 CFBDRN CC(C)c1nnc(CNc2ccc([N+](=O)[O-])nc2)s1 ZINC000343888323 382004990 /nfs/dbraw/zinc/00/49/90/382004990.db2.gz VVNQJEHBUTZOAU-UHFFFAOYSA-N 0 0 279.325 2.577 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCN2CCNc3ccccc32)cc1 ZINC000357103711 382008854 /nfs/dbraw/zinc/00/88/54/382008854.db2.gz KOVQAVBDSQTZIT-UHFFFAOYSA-N 0 0 299.330 2.906 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2cccc([N+](=O)[O-])c2N)CC1(C)C ZINC000350729155 382016838 /nfs/dbraw/zinc/01/68/38/382016838.db2.gz LUWNWPZSQFJJTI-ZDUSSCGKSA-N 0 0 291.351 2.684 20 5 CFBDRN Cc1ccccc1C(C)(C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000357033059 381978002 /nfs/dbraw/zinc/97/80/02/381978002.db2.gz BALFYVPKGJELPG-UHFFFAOYSA-N 0 0 287.319 2.896 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000357034150 381979341 /nfs/dbraw/zinc/97/93/41/381979341.db2.gz CNTUJCALUUMZEM-HZMBPMFUSA-N 0 0 294.351 2.581 20 5 CFBDRN COCCCCN(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000416973447 381980043 /nfs/dbraw/zinc/98/00/43/381980043.db2.gz JBAPSNDQKCGCKV-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2C[C@@H]2C(F)F)s1 ZINC000343852143 381982579 /nfs/dbraw/zinc/98/25/79/381982579.db2.gz KEYKFSKDTPDPLI-UJURSFKZSA-N 0 0 286.263 2.665 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCCc3n[nH]cc32)c(F)c1 ZINC000362281944 381988837 /nfs/dbraw/zinc/98/88/37/381988837.db2.gz DOTFLCUCRBUYRW-UHFFFAOYSA-N 0 0 294.261 2.549 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(C(F)(F)F)CCC2)nc1 ZINC000343908898 382015506 /nfs/dbraw/zinc/01/55/06/382015506.db2.gz ILVXYGFKWDFNRN-UHFFFAOYSA-N 0 0 276.218 2.529 20 5 CFBDRN Cn1nncc1CNc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000357187248 382046274 /nfs/dbraw/zinc/04/62/74/382046274.db2.gz JBNLRAYQNVXAAR-UHFFFAOYSA-N 0 0 289.339 2.633 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nc(-c2cccnc2)no1 ZINC000344005122 382062738 /nfs/dbraw/zinc/06/27/38/382062738.db2.gz DUDUNCOMWQIIFZ-UHFFFAOYSA-N 0 0 298.258 2.619 20 5 CFBDRN O=C(C[C@@H]1C=CCCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000186974811 382065113 /nfs/dbraw/zinc/06/51/13/382065113.db2.gz VXZJYEXTICWMPB-GFCCVEGCSA-N 0 0 274.320 2.957 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCOC[C@@H]1C ZINC000362344595 382017224 /nfs/dbraw/zinc/01/72/24/382017224.db2.gz GWBQFGOKNVNWIC-GXFFZTMASA-N 0 0 292.335 2.768 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCOC[C@H]1C ZINC000362344600 382017725 /nfs/dbraw/zinc/01/77/25/382017725.db2.gz GWBQFGOKNVNWIC-ZWNOBZJWSA-N 0 0 292.335 2.768 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cccnc1 ZINC000362345669 382017993 /nfs/dbraw/zinc/01/79/93/382017993.db2.gz OISRTZSEGUWSCH-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CCCOC1 ZINC000362346637 382019144 /nfs/dbraw/zinc/01/91/44/382019144.db2.gz XIJPNIYEJFTIEZ-HNNXBMFYSA-N 0 0 292.335 2.912 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)CCCOC1 ZINC000362346639 382019623 /nfs/dbraw/zinc/01/96/23/382019623.db2.gz XIJPNIYEJFTIEZ-OAHLLOKOSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H]2C2CC2)c(Cl)c1 ZINC000362373728 382033825 /nfs/dbraw/zinc/03/38/25/382033825.db2.gz OLJGZPURHDRQSN-WPRPVWTQSA-N 0 0 253.689 2.854 20 5 CFBDRN CCCOCc1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000357330368 382112360 /nfs/dbraw/zinc/11/23/60/382112360.db2.gz NWSYMIUUTLOKOR-UHFFFAOYSA-N 0 0 291.307 2.804 20 5 CFBDRN Cc1ccc(N2CCc3cc[nH]c(=O)c3C2)c([N+](=O)[O-])c1 ZINC000375979296 382113120 /nfs/dbraw/zinc/11/31/20/382113120.db2.gz DHGIFDXTIQLEHB-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CC(C)c1cc(CNc2ccc([N+](=O)[O-])cn2)on1 ZINC000301246046 382118219 /nfs/dbraw/zinc/11/82/19/382118219.db2.gz BKYVFGWEFKLWSL-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN CCc1ccc(Oc2ncnc3n[nH]cc32)c([N+](=O)[O-])c1 ZINC000301257329 382124427 /nfs/dbraw/zinc/12/44/27/382124427.db2.gz DPTYEYOCGHMJDC-UHFFFAOYSA-N 0 0 285.263 2.616 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCCC[C@H]1C ZINC000301082114 382076138 /nfs/dbraw/zinc/07/61/38/382076138.db2.gz GEONIDOTMPMAHF-MFKMUULPSA-N 0 0 291.351 2.945 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(Oc2ccc([N+](=O)[O-])cn2)c1 ZINC000301084885 382077578 /nfs/dbraw/zinc/07/75/78/382077578.db2.gz GMOZDEUWWTVFIV-UHFFFAOYSA-N 0 0 289.203 2.503 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1ccc([N+](=O)[O-])cc1 ZINC000301082324 382078015 /nfs/dbraw/zinc/07/80/15/382078015.db2.gz JIPFOXQQSWZTCV-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN CCN(CCC(F)(F)F)c1ccc([N+](=O)[O-])cn1 ZINC000301093484 382079011 /nfs/dbraw/zinc/07/90/11/382079011.db2.gz IKEUUVLKARMGJQ-UHFFFAOYSA-N 0 0 263.219 2.769 20 5 CFBDRN CCOc1cccc(N2CCO[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000375333396 382082391 /nfs/dbraw/zinc/08/23/91/382082391.db2.gz PIUXOECXNNFKHP-CQSZACIVSA-N 0 0 292.335 2.609 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cc1F)Cn1cccn1 ZINC000301123557 382086010 /nfs/dbraw/zinc/08/60/10/382086010.db2.gz YJZYANRKCHLVCF-SNVBAGLBSA-N 0 0 278.287 2.679 20 5 CFBDRN CNC(=O)c1cccc(CNc2ccc([N+](=O)[O-])cc2)c1 ZINC000301119076 382086755 /nfs/dbraw/zinc/08/67/55/382086755.db2.gz ZUMMWWSWGSZJLK-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN Cc1cc(C)c(C(=O)NCCc2ccccc2[N+](=O)[O-])[nH]1 ZINC000344042182 382087102 /nfs/dbraw/zinc/08/71/02/382087102.db2.gz BGBNJAIOWZUNGN-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1ncccn1 ZINC000357291122 382090931 /nfs/dbraw/zinc/09/09/31/382090931.db2.gz XQFRAECCMGQUJI-UHFFFAOYSA-N 0 0 258.281 2.559 20 5 CFBDRN CCS[C@@H]1CC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000362480301 382092524 /nfs/dbraw/zinc/09/25/24/382092524.db2.gz RXDKBFXWMQYPQT-VHSXEESVSA-N 0 0 297.380 2.669 20 5 CFBDRN Cc1nc(C(C)(C)NCc2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000357302957 382096166 /nfs/dbraw/zinc/09/61/66/382096166.db2.gz GYPMYTLAGOQFKP-UHFFFAOYSA-N 0 0 290.323 2.620 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1ncccc1[N+](=O)[O-] ZINC000301164232 382096377 /nfs/dbraw/zinc/09/63/77/382096377.db2.gz UUOKRVAIERLXRT-UHFFFAOYSA-N 0 0 291.219 2.699 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])nc2)C[C@H](c2ccccc2)O1 ZINC000357303437 382096473 /nfs/dbraw/zinc/09/64/73/382096473.db2.gz JFNFBAABMBCQKA-IUODEOHRSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1ccc(N[C@H]2CCc3c[nH]nc3C2)c([N+](=O)[O-])c1 ZINC000376793152 382175896 /nfs/dbraw/zinc/17/58/96/382175896.db2.gz DQMFPQZWZVAJOS-NSHDSACASA-N 0 0 272.308 2.596 20 5 CFBDRN CCC[C@H](CNc1cc(OC)c([N+](=O)[O-])cc1F)OC ZINC000293567815 382178958 /nfs/dbraw/zinc/17/89/58/382178958.db2.gz DKLUJMRLYCQSAB-SECBINFHSA-N 0 0 286.303 2.970 20 5 CFBDRN CCOCc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000362534591 382131959 /nfs/dbraw/zinc/13/19/59/382131959.db2.gz NKLUNNUGSYILKT-UHFFFAOYSA-N 0 0 289.291 2.712 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000301277618 382133591 /nfs/dbraw/zinc/13/35/91/382133591.db2.gz SIEXQJXPSVDRRA-GWCFXTLKSA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1ccnc(Nc2ccc3c(c2)CC(=O)N3)c1[N+](=O)[O-] ZINC000301275761 382133836 /nfs/dbraw/zinc/13/38/36/382133836.db2.gz IUVUVONVUFHNTL-UHFFFAOYSA-N 0 0 284.275 2.536 20 5 CFBDRN C[C@@H]1CC[C@H](c2ccccc2)N(C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C1 ZINC000376409112 382134435 /nfs/dbraw/zinc/13/44/35/382134435.db2.gz RZUAXOIDNSGUOH-NMFUWQPSSA-N 0 0 288.347 2.651 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCCO[C@@H]2CCC[C@@H]21 ZINC000376444923 382136420 /nfs/dbraw/zinc/13/64/20/382136420.db2.gz XWLSYAZAARWCIG-GXTWGEPZSA-N 0 0 280.299 2.882 20 5 CFBDRN CCc1ccc(CCNc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000301285234 382136480 /nfs/dbraw/zinc/13/64/80/382136480.db2.gz QGZXTYQBVHQDTK-UHFFFAOYSA-N 0 0 288.351 2.854 20 5 CFBDRN COC(=O)CCN(CC(C)C)c1ccc(F)cc1[N+](=O)[O-] ZINC000352052504 382151585 /nfs/dbraw/zinc/15/15/85/382151585.db2.gz LVPJMJVDSPDCIX-UHFFFAOYSA-N 0 0 298.314 2.759 20 5 CFBDRN O=C(NCc1cccs1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344181744 382184468 /nfs/dbraw/zinc/18/44/68/382184468.db2.gz PZPMRRBWISDWCK-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN CO[C@H](C)CN(C)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000344153399 382164723 /nfs/dbraw/zinc/16/47/23/382164723.db2.gz NIOPZZZZQGBTDJ-LLVKDONJSA-N 0 0 294.351 2.627 20 5 CFBDRN Cc1ccccc1CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344164554 382172891 /nfs/dbraw/zinc/17/28/91/382172891.db2.gz ACNMBFJCMYPRES-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCn2ccnc2C1 ZINC000357495244 382194732 /nfs/dbraw/zinc/19/47/32/382194732.db2.gz ZLQPYGZRRHFAEW-NSHDSACASA-N 0 0 272.308 2.527 20 5 CFBDRN CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C1CC1)C1CC1 ZINC000344210532 382200722 /nfs/dbraw/zinc/20/07/22/382200722.db2.gz KNOMIMJNJYWIND-UHFFFAOYSA-N 0 0 292.310 2.995 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344213477 382202194 /nfs/dbraw/zinc/20/21/94/382202194.db2.gz QHPAWVFIZGAYSK-JQWIXIFHSA-N 0 0 275.308 2.555 20 5 CFBDRN Cc1ccc(C)c(NC(=O)c2c[nH]c(=O)c([N+](=O)[O-])c2)c1 ZINC000187537643 382204869 /nfs/dbraw/zinc/20/48/69/382204869.db2.gz LETLZWPYIDOOLB-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344218566 382205796 /nfs/dbraw/zinc/20/57/96/382205796.db2.gz LZVRICZZSFKKIB-QMMMGPOBSA-N 0 0 254.261 2.604 20 5 CFBDRN CN(Cc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000352188346 382214462 /nfs/dbraw/zinc/21/44/62/382214462.db2.gz YFHBQKQAVJLVFU-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN CCc1ccc(Nc2ncc([N+](=O)[O-])cn2)cc1F ZINC000302211358 382214794 /nfs/dbraw/zinc/21/47/94/382214794.db2.gz GSSYEDHMDUNEBF-UHFFFAOYSA-N 0 0 262.244 2.830 20 5 CFBDRN CCOc1ccc(C(=O)NCC2(C)CC2)cc1[N+](=O)[O-] ZINC000357537678 382216164 /nfs/dbraw/zinc/21/61/64/382216164.db2.gz ABUHFVRQARJRKO-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CO)CC2CCCCC2)c(F)c1 ZINC000419021377 382219738 /nfs/dbraw/zinc/21/97/38/382219738.db2.gz YITBFKZMZOENRY-LLVKDONJSA-N 0 0 297.330 2.872 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSc1nccn2ccnc12 ZINC000187605443 382223653 /nfs/dbraw/zinc/22/36/53/382223653.db2.gz GTPDIJYOOYXLGQ-UHFFFAOYSA-N 0 0 286.316 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cnc(C3CC3)n2)c(Cl)c1 ZINC000419043150 382224496 /nfs/dbraw/zinc/22/44/96/382224496.db2.gz JDDHCZUOXXVCQZ-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@](C)(O)CC1 ZINC000377151201 382228107 /nfs/dbraw/zinc/22/81/07/382228107.db2.gz ORYDJAAEINPJNN-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN COC(=O)c1cccc(OC2CCCC2)c1[N+](=O)[O-] ZINC000419106128 382233407 /nfs/dbraw/zinc/23/34/07/382233407.db2.gz CNLYNBLULHFXRP-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN COc1ccnc(Oc2ccc([N+](=O)[O-])c3ncccc23)n1 ZINC000302284505 382235242 /nfs/dbraw/zinc/23/52/42/382235242.db2.gz VKPQCKBPCMQEOT-UHFFFAOYSA-N 0 0 298.258 2.734 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000187451933 382186415 /nfs/dbraw/zinc/18/64/15/382186415.db2.gz OSXWDZSRINHOQU-RISCZKNCSA-N 0 0 291.351 2.925 20 5 CFBDRN O=C(NCCc1cccs1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344186270 382186869 /nfs/dbraw/zinc/18/68/69/382186869.db2.gz DRRKKJFJOAVWSZ-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](c2nc[nH]n2)c2ccccc2)cc1 ZINC000376923595 382191683 /nfs/dbraw/zinc/19/16/83/382191683.db2.gz BKHFCUXJBQVSJS-CQSZACIVSA-N 0 0 295.302 2.914 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSc2ccc(F)cc2F)c1 ZINC000357491241 382193221 /nfs/dbraw/zinc/19/32/21/382193221.db2.gz VZKMYKBDUFRLRL-UHFFFAOYSA-N 0 0 285.275 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@@H](C2CCOCC2)C1 ZINC000364194223 382279837 /nfs/dbraw/zinc/27/98/37/382279837.db2.gz YVRKTVLOZISTKE-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN Cc1nnc(COc2ccc([N+](=O)[O-])c3ccccc23)n1C ZINC000352349611 382283916 /nfs/dbraw/zinc/28/39/16/382283916.db2.gz MBHOMYFKFKIMJR-UHFFFAOYSA-N 0 0 298.302 2.764 20 5 CFBDRN Cc1cn2c(n1)CC[C@@H](Nc1cccc(F)c1[N+](=O)[O-])C2 ZINC000302656577 382284810 /nfs/dbraw/zinc/28/48/10/382284810.db2.gz KYOCYWUINGULNC-SNVBAGLBSA-N 0 0 290.298 2.666 20 5 CFBDRN Cc1cn2c(n1)CC[C@H](Nc1cccc(C)c1[N+](=O)[O-])C2 ZINC000302666528 382286511 /nfs/dbraw/zinc/28/65/11/382286511.db2.gz MSYQINWQVDIHAP-LBPRGKRZSA-N 0 0 286.335 2.835 20 5 CFBDRN O=C(Nc1cccc2c1CCO2)c1csc([N+](=O)[O-])c1 ZINC000357704333 382286579 /nfs/dbraw/zinc/28/65/79/382286579.db2.gz CTUCDOMWEIJBFO-UHFFFAOYSA-N 0 0 290.300 2.844 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCc1cccnc1 ZINC000352358041 382288730 /nfs/dbraw/zinc/28/87/30/382288730.db2.gz VMDVUVGCCLDASH-UHFFFAOYSA-N 0 0 294.314 2.615 20 5 CFBDRN COc1cc(N[C@H](CO)c2ccsc2)ccc1[N+](=O)[O-] ZINC000302304314 382238564 /nfs/dbraw/zinc/23/85/64/382238564.db2.gz ZFMXWNRYJLUETR-LLVKDONJSA-N 0 0 294.332 2.810 20 5 CFBDRN Cc1nn(C)c(N2CCC(C)(C3CC3)CC2)c1[N+](=O)[O-] ZINC000357587003 382241438 /nfs/dbraw/zinc/24/14/38/382241438.db2.gz RLOPEEVUHVSGCU-UHFFFAOYSA-N 0 0 278.356 2.653 20 5 CFBDRN CCOC(C)(C)CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000187690431 382248458 /nfs/dbraw/zinc/24/84/58/382248458.db2.gz SWPUHARGQCRBLZ-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1C(F)F ZINC000344280568 382248457 /nfs/dbraw/zinc/24/84/57/382248457.db2.gz ZXNXQRCBJSPBBX-LLVKDONJSA-N 0 0 299.277 2.534 20 5 CFBDRN Cc1cc(N(C)CC2(CO)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000302512339 382259903 /nfs/dbraw/zinc/25/99/03/382259903.db2.gz TWRYINHQHYRCIY-UHFFFAOYSA-N 0 0 284.743 2.765 20 5 CFBDRN CCC[C@H](CNc1nc(C)ccc1[N+](=O)[O-])OC ZINC000293746069 382260727 /nfs/dbraw/zinc/26/07/27/382260727.db2.gz SUGPUGFRTBSWQU-SNVBAGLBSA-N 0 0 253.302 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c(F)c1 ZINC000302519792 382262445 /nfs/dbraw/zinc/26/24/45/382262445.db2.gz BJYSOJUYSKXJEW-BIMULSAOSA-N 0 0 266.272 2.713 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000302522159 382262740 /nfs/dbraw/zinc/26/27/40/382262740.db2.gz JDYIMDDZPWRONH-NXEZZACHSA-N 0 0 293.323 2.767 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]2c2ccccc2)cn1 ZINC000344419847 382264682 /nfs/dbraw/zinc/26/46/82/382264682.db2.gz NUFPKBVSIRSLMB-CYBMUJFWSA-N 0 0 255.277 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1NC[C@@H]1COC2(CCCC2)O1 ZINC000364114889 382265416 /nfs/dbraw/zinc/26/54/16/382265416.db2.gz BKMFFRHSUVLVPV-LLVKDONJSA-N 0 0 296.298 2.832 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc(NCC3CCC3)n2)c1 ZINC000357652507 382266749 /nfs/dbraw/zinc/26/67/49/382266749.db2.gz DNOVCEVFLFSULM-UHFFFAOYSA-N 0 0 278.337 2.680 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@H]3OCCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000302559495 382268744 /nfs/dbraw/zinc/26/87/44/382268744.db2.gz MLLDNIZSLPUACY-OUCADQQQSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H](c2ccncn2)C1 ZINC000377429520 382269506 /nfs/dbraw/zinc/26/95/06/382269506.db2.gz AGQYAHCJEVUZIN-GFCCVEGCSA-N 0 0 284.319 2.769 20 5 CFBDRN C[C@@H]1CSCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000302726178 382302232 /nfs/dbraw/zinc/30/22/32/382302232.db2.gz NUXUUMIBKONGHI-VIFPVBQESA-N 0 0 297.336 2.692 20 5 CFBDRN COc1cc(N(C)CC[C@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000302726960 382303007 /nfs/dbraw/zinc/30/30/07/382303007.db2.gz SKNBGAKXHBCGGZ-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN COCCC1(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000357748632 382304008 /nfs/dbraw/zinc/30/40/08/382304008.db2.gz SBEBOVAZFRIZCU-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN COCCC1(NC(=O)c2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000357752723 382305961 /nfs/dbraw/zinc/30/59/61/382305961.db2.gz RCXYUGWZIGNNKN-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000357755712 382306742 /nfs/dbraw/zinc/30/67/42/382306742.db2.gz FRIJIZFDPFEXMN-GXSJLCMTSA-N 0 0 277.324 2.701 20 5 CFBDRN COC(=O)c1cnc(Nc2ccccc2)c([N+](=O)[O-])c1 ZINC000302752827 382310079 /nfs/dbraw/zinc/31/00/79/382310079.db2.gz HRRJRIGCPKSAEJ-UHFFFAOYSA-N 0 0 273.248 2.520 20 5 CFBDRN CCN(C)C(=O)[C@H](C)Nc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000302763930 382313437 /nfs/dbraw/zinc/31/34/37/382313437.db2.gz KYDTVKCKOCQGNC-VIFPVBQESA-N 0 0 299.758 2.835 20 5 CFBDRN Cn1cncc1CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000302777048 382315133 /nfs/dbraw/zinc/31/51/33/382315133.db2.gz PDJGIFSBDYNELT-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN O=c1[nH]c(CCNc2ccc([N+](=O)[O-])cc2F)cs1 ZINC000357777910 382315891 /nfs/dbraw/zinc/31/58/91/382315891.db2.gz GIUSGQNKIQFRKQ-UHFFFAOYSA-N 0 0 283.284 2.551 20 5 CFBDRN Cc1cc(N(C)C2CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000352418086 382320648 /nfs/dbraw/zinc/32/06/48/382320648.db2.gz YOGMOIGKPCHLGB-UHFFFAOYSA-N 0 0 284.319 2.959 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@@H](C2CC2)C1 ZINC000364532189 382324514 /nfs/dbraw/zinc/32/45/14/382324514.db2.gz WLKLXZYAMPMNOR-OAHLLOKOSA-N 0 0 276.336 2.514 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2cnccc2C)cc([N+](=O)[O-])c1 ZINC000357799098 382326881 /nfs/dbraw/zinc/32/68/81/382326881.db2.gz LVAPSKZHQATJIE-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN COCc1ccc(Nc2ccc([N+](=O)[O-])cn2)cc1 ZINC000302827888 382329707 /nfs/dbraw/zinc/32/97/07/382329707.db2.gz NUYQJRRRIFPLIS-UHFFFAOYSA-N 0 0 259.265 2.880 20 5 CFBDRN COCCc1nsc(Oc2cc([N+](=O)[O-])ccc2C)n1 ZINC000302837696 382331010 /nfs/dbraw/zinc/33/10/10/382331010.db2.gz XTXBAZROAFUKRX-UHFFFAOYSA-N 0 0 295.320 2.736 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000293917948 382336147 /nfs/dbraw/zinc/33/61/47/382336147.db2.gz CWKVBJHYPIZTMJ-JGVFFNPUSA-N 0 0 268.700 2.729 20 5 CFBDRN Cc1ccnc(Nc2ccc3c(c2)CC(=O)N3C)c1[N+](=O)[O-] ZINC000302864317 382337278 /nfs/dbraw/zinc/33/72/78/382337278.db2.gz SSXIHJMFBHGCFY-UHFFFAOYSA-N 0 0 298.302 2.561 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCO[C@@H](C2CCCCC2)C1 ZINC000364633336 382342766 /nfs/dbraw/zinc/34/27/66/382342766.db2.gz MZANOYTXPAASPN-CQSZACIVSA-N 0 0 291.351 2.775 20 5 CFBDRN COC1(CCNC(=O)c2cccc(C)c2[N+](=O)[O-])CCC1 ZINC000293831883 382295947 /nfs/dbraw/zinc/29/59/47/382295947.db2.gz GOWHLPPOAPVJCE-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCn1cccn1 ZINC000302705092 382296012 /nfs/dbraw/zinc/29/60/12/382296012.db2.gz VXIOYFCONWCUJW-UHFFFAOYSA-N 0 0 280.715 2.865 20 5 CFBDRN CCOC(=O)C1(CNc2ncccc2[N+](=O)[O-])CCCC1 ZINC000302714766 382299673 /nfs/dbraw/zinc/29/96/73/382299673.db2.gz IZPAIVYQCXFNKT-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN Cc1ccc(CN(C)c2ncc([N+](=O)[O-])cc2C)nc1 ZINC000302720375 382300749 /nfs/dbraw/zinc/30/07/49/382300749.db2.gz ZUDWNGHGBSCDFG-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1nccnc1[C@@H](C)NCc1ccccc1[N+](=O)[O-] ZINC000188170512 382360794 /nfs/dbraw/zinc/36/07/94/382360794.db2.gz HQSUPUCNNXJBIN-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2cc(F)ccc2Cl)c1=O ZINC000188227840 382371785 /nfs/dbraw/zinc/37/17/85/382371785.db2.gz ASYKHFLJTKPOMF-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000357981908 382397476 /nfs/dbraw/zinc/39/74/76/382397476.db2.gz CDJHFBUZJSYGNW-NEPJUHHUSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1cc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])n2C)c(C)o1 ZINC000346490165 382384491 /nfs/dbraw/zinc/38/44/91/382384491.db2.gz YRYJWZJHRROLOA-VIFPVBQESA-N 0 0 291.307 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@]3(CCCO3)[C@H]2C2CC2)cc1 ZINC000294026688 382385432 /nfs/dbraw/zinc/38/54/32/382385432.db2.gz NVIGRRWQEKSSIA-HZPDHXFCSA-N 0 0 288.347 2.738 20 5 CFBDRN COC[C@@H]1CC[N@@H+]([C@H](C)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000352540223 382386131 /nfs/dbraw/zinc/38/61/31/382386131.db2.gz ILPHIRULAQMJMF-CHWSQXEVSA-N 0 0 278.352 2.933 20 5 CFBDRN CC(C)[C@@]1(CO)CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000293944844 382348588 /nfs/dbraw/zinc/34/85/88/382348588.db2.gz GCMTVBRBAAMOPQ-HNNXBMFYSA-N 0 0 296.342 2.969 20 5 CFBDRN C[C@H](C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000294124849 382425119 /nfs/dbraw/zinc/42/51/19/382425119.db2.gz OGKBKOMVGLEGDJ-JTQLQIEISA-N 0 0 276.336 2.992 20 5 CFBDRN Cc1nn([C@@H](C)c2c(F)cccc2F)cc1[N+](=O)[O-] ZINC000294124916 382425240 /nfs/dbraw/zinc/42/52/40/382425240.db2.gz FAXIELZLCWELCS-QMMMGPOBSA-N 0 0 267.235 2.987 20 5 CFBDRN C[C@@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccon1 ZINC000352636635 382427716 /nfs/dbraw/zinc/42/77/16/382427716.db2.gz IMRHTOLQISKLLJ-SSDOTTSWSA-N 0 0 295.682 2.727 20 5 CFBDRN CC[C@H]1C[C@H](Nc2cc(OC)ccc2[N+](=O)[O-])CCO1 ZINC000420620926 382429578 /nfs/dbraw/zinc/42/95/78/382429578.db2.gz REKNFOBWYWUYLQ-MNOVXSKESA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCO[C@@H]1CCCC[C@@H]1C ZINC000346602870 382431564 /nfs/dbraw/zinc/43/15/64/382431564.db2.gz CYOCLGCMETXPSB-CMPLNLGQSA-N 0 0 267.329 2.695 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCOCCc1ccccc1 ZINC000346606511 382433078 /nfs/dbraw/zinc/43/30/78/382433078.db2.gz JNRRKQBNZMWIPB-UHFFFAOYSA-N 0 0 289.335 2.749 20 5 CFBDRN CC[C@H]1CN(c2c([N+](=O)[O-])nc(C)n2CC)C[C@H](CC)O1 ZINC000420638264 382440141 /nfs/dbraw/zinc/44/01/41/382440141.db2.gz WXBPNSHZRJDQDG-RYUDHWBXSA-N 0 0 296.371 2.513 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CCC(C)(C)C1 ZINC000358074245 382440098 /nfs/dbraw/zinc/44/00/98/382440098.db2.gz JOFAZDCQTXUMJT-LLVKDONJSA-N 0 0 291.351 2.949 20 5 CFBDRN CCOC(=O)CCCOc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000188606289 382442810 /nfs/dbraw/zinc/44/28/10/382442810.db2.gz DFMHWNYVGMKJIN-UHFFFAOYSA-N 0 0 297.307 2.716 20 5 CFBDRN CCc1[nH]nc(-c2nc(CCC(C)(C)C)no2)c1[N+](=O)[O-] ZINC000420498934 382406518 /nfs/dbraw/zinc/40/65/18/382406518.db2.gz BNSNWMWLYFDDIH-UHFFFAOYSA-N 0 0 293.327 2.909 20 5 CFBDRN C[C@@H](N[C@H](C)C(F)(F)F)c1ccc(N)c([N+](=O)[O-])c1 ZINC000294085270 382409763 /nfs/dbraw/zinc/40/97/63/382409763.db2.gz IPQKJOQXHFBHIO-RNFRBKRXSA-N 0 0 277.246 2.778 20 5 CFBDRN CCCCCN(CCO)c1ncc([N+](=O)[O-])cc1Cl ZINC000304614431 382414163 /nfs/dbraw/zinc/41/41/63/382414163.db2.gz KKYZFAJCDFIYTF-UHFFFAOYSA-N 0 0 287.747 2.632 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CC1(C)C ZINC000352613372 382417594 /nfs/dbraw/zinc/41/75/94/382417594.db2.gz VGROSJVPVJPKSD-SECBINFHSA-N 0 0 293.323 2.771 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000188482152 382421938 /nfs/dbraw/zinc/42/19/38/382421938.db2.gz DEZJOOHQBMFXGO-VIFPVBQESA-N 0 0 278.308 2.536 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H](C)[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000188862259 382494115 /nfs/dbraw/zinc/49/41/15/382494115.db2.gz OAHMNUAYAPSVGM-ONGXEEELSA-N 0 0 292.335 2.782 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])OC ZINC000294249273 382477277 /nfs/dbraw/zinc/47/72/77/382477277.db2.gz PVAOCQPHNRPNMB-RNCFNFMXSA-N 0 0 298.314 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC[C@H]2CCCO2)c1 ZINC000188836337 382488180 /nfs/dbraw/zinc/48/81/80/382488180.db2.gz BUWLUXPUEWBUEV-LLVKDONJSA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1ccc(OCC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000188843920 382489667 /nfs/dbraw/zinc/48/96/67/382489667.db2.gz MANZSFNYHJSNMH-LLVKDONJSA-N 0 0 251.282 2.851 20 5 CFBDRN Cn1cccc1[C@@H]1CCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294587898 382517043 /nfs/dbraw/zinc/51/70/43/382517043.db2.gz YJPPWXYMGFGEMA-ZDUSSCGKSA-N 0 0 293.367 2.524 20 5 CFBDRN C[C@@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1cnn(C)c1 ZINC000312849399 382517697 /nfs/dbraw/zinc/51/76/97/382517697.db2.gz WSUGEYPNJXFRFT-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2cccnn2)c(F)c1 ZINC000358271132 382538916 /nfs/dbraw/zinc/53/89/16/382538916.db2.gz PNWMZPSSLALAPA-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCc1ccncc1 ZINC000421447368 382540865 /nfs/dbraw/zinc/54/08/65/382540865.db2.gz MYLOFDLTBBREAY-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cccc(OCC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000189110571 382545417 /nfs/dbraw/zinc/54/54/17/382545417.db2.gz SDXQGIJFYQPBGC-NSHDSACASA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1nnsc1CN[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000384295852 382552201 /nfs/dbraw/zinc/55/22/01/382552201.db2.gz XGADTZHCDNDCRS-SECBINFHSA-N 0 0 292.364 2.914 20 5 CFBDRN COCC1=CCN(c2nccc3cc([N+](=O)[O-])ccc32)CC1 ZINC000295104783 382576540 /nfs/dbraw/zinc/57/65/40/382576540.db2.gz UOLGVVXEJPJADC-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CC(=O)c1cccc(Cn2nc(C)c([N+](=O)[O-])c2C)c1 ZINC000294962973 382553913 /nfs/dbraw/zinc/55/39/13/382553913.db2.gz NPUVHZZXYYKQCU-UHFFFAOYSA-N 0 0 273.292 2.659 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H](C)CCCO ZINC000294967229 382556744 /nfs/dbraw/zinc/55/67/44/382556744.db2.gz NPFVWNHZYHQCAM-NSHDSACASA-N 0 0 282.340 2.562 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000421498214 382559201 /nfs/dbraw/zinc/55/92/01/382559201.db2.gz SQCDQLVWBLUYHZ-MJBXVCDLSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@H](C)C1 ZINC000421502832 382561181 /nfs/dbraw/zinc/56/11/81/382561181.db2.gz FUCSMCHQWIPWMY-NEPJUHHUSA-N 0 0 292.335 2.509 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(CF)CC1 ZINC000295007916 382561243 /nfs/dbraw/zinc/56/12/43/382561243.db2.gz OYHKUIOQNMTEJU-UHFFFAOYSA-N 0 0 280.299 2.983 20 5 CFBDRN Cc1cccc2c1N(C(=O)CCC(C)(C)[N+](=O)[O-])CC2 ZINC000295008899 382561392 /nfs/dbraw/zinc/56/13/92/382561392.db2.gz CNXUNSOMLSYUBA-UHFFFAOYSA-N 0 0 276.336 2.720 20 5 CFBDRN C[C@@H]1[C@@H](O)CCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000384895247 382561323 /nfs/dbraw/zinc/56/13/23/382561323.db2.gz LYLHKOQQQRFJNO-RNCFNFMXSA-N 0 0 284.743 2.593 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000421507914 382563142 /nfs/dbraw/zinc/56/31/42/382563142.db2.gz LHZKVQLYFWLESF-QPUJVOFHSA-N 0 0 284.262 2.817 20 5 CFBDRN C[C@@H](CCCO)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000295060398 382571697 /nfs/dbraw/zinc/57/16/97/382571697.db2.gz YPSXKFQMOSMFPL-QMMMGPOBSA-N 0 0 274.267 2.694 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(F)(F)F ZINC000346919985 382572497 /nfs/dbraw/zinc/57/24/97/382572497.db2.gz NNVBUWYEJYWCNW-JTQLQIEISA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CSC1(C)C ZINC000421540964 382572745 /nfs/dbraw/zinc/57/27/45/382572745.db2.gz KYFLDCWUAJDCJI-LBPRGKRZSA-N 0 0 294.376 2.835 20 5 CFBDRN CSC1(CNC(=O)c2cc([N+](=O)[O-])c(C)cc2C)CC1 ZINC000421541276 382572872 /nfs/dbraw/zinc/57/28/72/382572872.db2.gz BFUDLJMWNBUBOE-UHFFFAOYSA-N 0 0 294.376 2.837 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000421433580 382535752 /nfs/dbraw/zinc/53/57/52/382535752.db2.gz VKKNBUHKVANSLV-GMTAPVOTSA-N 0 0 260.293 2.970 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)CC1(F)F ZINC000421435400 382536524 /nfs/dbraw/zinc/53/65/24/382536524.db2.gz XJLVXZRYADYAJH-NSHDSACASA-N 0 0 286.234 2.587 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421568677 382583593 /nfs/dbraw/zinc/58/35/93/382583593.db2.gz IGEFNZSZUDAOSX-TZMCWYRMSA-N 0 0 292.335 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nnc(C(F)F)o2)cc1 ZINC000189294786 382584336 /nfs/dbraw/zinc/58/43/36/382584336.db2.gz NGKHPENUXDAZHB-UHFFFAOYSA-N 0 0 270.195 2.528 20 5 CFBDRN Cc1cc(C(=O)NCc2cc(C)nc(C)c2)cc([N+](=O)[O-])c1 ZINC000421574590 382586098 /nfs/dbraw/zinc/58/60/98/382586098.db2.gz GWKFLBXJJIMCQP-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC[C@H](C)CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000421576265 382587997 /nfs/dbraw/zinc/58/79/97/382587997.db2.gz BVLZOAUKPRDXQY-VIFPVBQESA-N 0 0 250.298 2.679 20 5 CFBDRN C[C@H](NC(=O)CCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000295217637 382590241 /nfs/dbraw/zinc/59/02/41/382590241.db2.gz AARFNQVVXDRQCZ-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000421582616 382591176 /nfs/dbraw/zinc/59/11/76/382591176.db2.gz ZCHKHFDDQZRXHF-NSHDSACASA-N 0 0 274.320 2.746 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000421582580 382591701 /nfs/dbraw/zinc/59/17/01/382591701.db2.gz URRKETQLNRSVOC-SSDOTTSWSA-N 0 0 256.327 2.741 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CC12CCOCC2 ZINC000386946913 382594945 /nfs/dbraw/zinc/59/49/45/382594945.db2.gz VRGXVCVGNXHTLE-GFCCVEGCSA-N 0 0 262.309 2.884 20 5 CFBDRN CC[C@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358387560 382597411 /nfs/dbraw/zinc/59/74/11/382597411.db2.gz CJSJTHXMINSLRI-NSHDSACASA-N 0 0 280.299 2.677 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000388354328 382605796 /nfs/dbraw/zinc/60/57/96/382605796.db2.gz PQZOGQHAZSTAQY-ZANVPECISA-N 0 0 250.298 2.820 20 5 CFBDRN CO[C@@H]1CC[C@H](NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000189435389 382608038 /nfs/dbraw/zinc/60/80/38/382608038.db2.gz HGTUGYBPEDOYDZ-CMPLNLGQSA-N 0 0 293.323 2.592 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000295288929 382609071 /nfs/dbraw/zinc/60/90/71/382609071.db2.gz IIEYMYNBCURYRG-ZLKJLUDKSA-N 0 0 292.335 2.730 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000353718741 382616670 /nfs/dbraw/zinc/61/66/70/382616670.db2.gz JCXWXRIULKHQJF-SDDRHHMPSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1nnc(CNc2ccc([N+](=O)[O-])c(C)c2)s1 ZINC000391965838 382621866 /nfs/dbraw/zinc/62/18/66/382621866.db2.gz SFUXFROUMJZEMV-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC(C)(C)[C@@H](CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000393929912 382625049 /nfs/dbraw/zinc/62/50/49/382625049.db2.gz FHMCJWFTAZMTNY-CYBMUJFWSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCO1 ZINC000189574812 382625699 /nfs/dbraw/zinc/62/56/99/382625699.db2.gz DEBYCJNVEPVCOG-JTQLQIEISA-N 0 0 296.348 2.629 20 5 CFBDRN Cc1cc(C(=O)N2CCSCC[C@H]2C)cc([N+](=O)[O-])c1 ZINC000190009824 382650091 /nfs/dbraw/zinc/65/00/91/382650091.db2.gz QQEXCTHHYDOVGC-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@@H]1CCSCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000190125130 382654165 /nfs/dbraw/zinc/65/41/65/382654165.db2.gz CLWFPPPATSUARN-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN CCC[C@H](O)CNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190136484 382654473 /nfs/dbraw/zinc/65/44/73/382654473.db2.gz OIZSATCMQLZWKO-LBPRGKRZSA-N 0 0 294.351 2.609 20 5 CFBDRN COC(=O)c1cnc(NC[C@@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000190170171 382655630 /nfs/dbraw/zinc/65/56/30/382655630.db2.gz XFQDICPJOUCGHP-SNVBAGLBSA-N 0 0 291.307 2.545 20 5 CFBDRN CCCc1[nH]nc(-c2nc(C3CCCC3)no2)c1[N+](=O)[O-] ZINC000190180068 382656107 /nfs/dbraw/zinc/65/61/07/382656107.db2.gz SHJUCCCABKZOQV-UHFFFAOYSA-N 0 0 291.311 2.978 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](Nc3ccccc3)C2)s1 ZINC000190324078 382662471 /nfs/dbraw/zinc/66/24/71/382662471.db2.gz YAMWILSSRVKAAB-NSHDSACASA-N 0 0 290.348 2.742 20 5 CFBDRN COc1cc(C(=O)N2CCCC[C@H]2C)cc([N+](=O)[O-])c1C ZINC000190435210 382667903 /nfs/dbraw/zinc/66/79/03/382667903.db2.gz RJUSOQQOKKYSAE-SNVBAGLBSA-N 0 0 292.335 2.926 20 5 CFBDRN COc1cc(OCC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000279866801 194605697 /nfs/dbraw/zinc/60/56/97/194605697.db2.gz GBISOGDGFOPXSG-SNVBAGLBSA-N 0 0 267.281 2.551 20 5 CFBDRN C[C@H]1CC[C@H](COc2c(F)cccc2[N+](=O)[O-])O1 ZINC000190684842 382677591 /nfs/dbraw/zinc/67/75/91/382677591.db2.gz CJNHEDYQBMBPNM-DTWKUNHWSA-N 0 0 255.245 2.680 20 5 CFBDRN CCOc1cccc(NCCOCC(F)F)c1[N+](=O)[O-] ZINC000190911305 382684699 /nfs/dbraw/zinc/68/46/99/382684699.db2.gz OVWYLPQOXALOBO-UHFFFAOYSA-N 0 0 290.266 2.687 20 5 CFBDRN C[C@H]1CC[C@@H](CN2CCc3c2cccc3[N+](=O)[O-])O1 ZINC000190957776 382686285 /nfs/dbraw/zinc/68/62/85/382686285.db2.gz MXZDPYMMZUUNAG-QWRGUYRKSA-N 0 0 262.309 2.525 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H](O)C2CCCCC2)c1 ZINC000191360649 382699086 /nfs/dbraw/zinc/69/90/86/382699086.db2.gz UEDPUIPFOWPWFM-AWEZNQCLSA-N 0 0 265.309 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCC[C@@H](O)C3)n2)cc1 ZINC000336850875 382735124 /nfs/dbraw/zinc/73/51/24/382735124.db2.gz ZWAQSCAWHRMSJX-ZYHUDNBSSA-N 0 0 289.291 2.663 20 5 CFBDRN CO[C@H]1CC[C@@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000191746097 382702284 /nfs/dbraw/zinc/70/22/84/382702284.db2.gz UJGURNJOGZAYSY-ZJUUUORDSA-N 0 0 298.726 2.546 20 5 CFBDRN CC(C)=CCNC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000191823053 382702675 /nfs/dbraw/zinc/70/26/75/382702675.db2.gz PAOVCWOUOLMOEV-UHFFFAOYSA-N 0 0 287.319 2.701 20 5 CFBDRN CCCN(C[C@H]1CCCCO1)c1ncc([N+](=O)[O-])s1 ZINC000192451959 382709057 /nfs/dbraw/zinc/70/90/57/382709057.db2.gz XYKOPVDTOJTXRI-SNVBAGLBSA-N 0 0 285.369 2.837 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2cnn(CC(F)(F)F)c2)s1 ZINC000192458370 382709136 /nfs/dbraw/zinc/70/91/36/382709136.db2.gz IIVMYORJDQATKS-UHFFFAOYSA-N 0 0 293.230 2.554 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])s1)Oc1ccccc1 ZINC000192455352 382709221 /nfs/dbraw/zinc/70/92/21/382709221.db2.gz HSGZTWJMOGQEBX-VIFPVBQESA-N 0 0 279.321 2.931 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2ncc([N+](=O)[O-])s2)C1(C)C ZINC000192473354 382709312 /nfs/dbraw/zinc/70/93/12/382709312.db2.gz ZFOMCYFSVDMFRU-RDDDGLTNSA-N 0 0 271.342 2.667 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOCc2ccccc2)s1 ZINC000192474943 382709316 /nfs/dbraw/zinc/70/93/16/382709316.db2.gz CVDIHAHFCRKTIV-UHFFFAOYSA-N 0 0 279.321 2.680 20 5 CFBDRN CC(C)C1CCN(c2ncc([N+](=O)[O-])s2)CC1 ZINC000192466743 382709338 /nfs/dbraw/zinc/70/93/38/382709338.db2.gz AGARLSVTGGMVEO-UHFFFAOYSA-N 0 0 255.343 2.924 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(CCO)CCCCC2)s1 ZINC000192482428 382709498 /nfs/dbraw/zinc/70/94/98/382709498.db2.gz CIZLGJJYGDWLCO-UHFFFAOYSA-N 0 0 285.369 2.796 20 5 CFBDRN C[C@H](C1CC1)n1cc(Nc2ncc([N+](=O)[O-])s2)cn1 ZINC000192539240 382709819 /nfs/dbraw/zinc/70/98/19/382709819.db2.gz GJTWZRKLAFMERZ-SSDOTTSWSA-N 0 0 279.325 2.962 20 5 CFBDRN CC[C@@H](C)CN(C)c1c([N+](=O)[O-])c(C)nn1CC ZINC000192585822 382710354 /nfs/dbraw/zinc/71/03/54/382710354.db2.gz SZIGYEBJLIUVOB-SECBINFHSA-N 0 0 254.334 2.602 20 5 CFBDRN CC(C)N(CC1CCC1)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000193008788 382714626 /nfs/dbraw/zinc/71/46/26/382714626.db2.gz QAKVWXWCHYSFCF-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN O=C(NCCOC1CCCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000193471398 382720092 /nfs/dbraw/zinc/72/00/92/382720092.db2.gz UMGKMIJLSUGNKJ-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN Cc1cc(NC(=O)N2C[C@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000193511225 382720577 /nfs/dbraw/zinc/72/05/77/382720577.db2.gz DCKZSMAGHPHJRZ-QWRGUYRKSA-N 0 0 293.323 2.544 20 5 CFBDRN C[C@H]1CCN(c2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000193529034 382720802 /nfs/dbraw/zinc/72/08/02/382720802.db2.gz OKYLZWUIYPVJOL-VIFPVBQESA-N 0 0 267.354 2.509 20 5 CFBDRN CSC1(CNc2ncc([N+](=O)[O-])s2)CCC1 ZINC000193850217 382726751 /nfs/dbraw/zinc/72/67/51/382726751.db2.gz WCHNODXEEKIHRC-UHFFFAOYSA-N 0 0 259.356 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCOC[C@@H]1C ZINC000193868402 382727640 /nfs/dbraw/zinc/72/76/40/382727640.db2.gz KUUXURBGKHWDJE-CMPLNLGQSA-N 0 0 278.308 2.514 20 5 CFBDRN O=C(N[C@H]1C[C@H]1c1ccccc1)c1cc([O-])ccc1[N+](=O)[O-] ZINC000336864872 382736600 /nfs/dbraw/zinc/73/66/00/382736600.db2.gz SBZLFTCNPBSPTH-JSGCOSHPSA-N 0 0 298.298 2.586 20 5 CFBDRN CCOC[C@H](Nc1c([N+](=O)[O-])nc(C)n1CC)C(C)C ZINC000336836378 382729476 /nfs/dbraw/zinc/72/94/76/382729476.db2.gz KQFCGPHXWULKAM-NSHDSACASA-N 0 0 284.360 2.593 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCOC[C@@H]1C ZINC000193956323 382730712 /nfs/dbraw/zinc/73/07/12/382730712.db2.gz YNSNSYYDYHEMLR-JQWIXIFHSA-N 0 0 292.335 2.823 20 5 CFBDRN Cc1c(OC[C@@H]2CC[C@H](C)O2)cccc1[N+](=O)[O-] ZINC000191096570 382690812 /nfs/dbraw/zinc/69/08/12/382690812.db2.gz XCTHOJRFGVEDDK-ONGXEEELSA-N 0 0 251.282 2.850 20 5 CFBDRN CCN(CC(C)(C)O)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000092970631 184639330 /nfs/dbraw/zinc/63/93/30/184639330.db2.gz HTMSVAWEUIAIJY-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN CCn1ncnc1CSCc1ccc([N+](=O)[O-])cc1 ZINC000195444157 382767843 /nfs/dbraw/zinc/76/78/43/382767843.db2.gz KERGJLPBLVSHOB-UHFFFAOYSA-N 0 0 278.337 2.640 20 5 CFBDRN COc1ncc(COc2ccc([N+](=O)[O-])cc2C)c(C)n1 ZINC000337198805 382775024 /nfs/dbraw/zinc/77/50/24/382775024.db2.gz ANMYAQORJQARPP-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN Cc1ccc(CNC2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000337287613 382794828 /nfs/dbraw/zinc/79/48/28/382794828.db2.gz LDMRHMWABAWXFM-UHFFFAOYSA-N 0 0 256.252 2.791 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000220078865 382795131 /nfs/dbraw/zinc/79/51/31/382795131.db2.gz WBWPFSAITOQGGS-IONNQARKSA-N 0 0 271.704 2.623 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCO1 ZINC000220210777 382795470 /nfs/dbraw/zinc/79/54/70/382795470.db2.gz WEAYEKHKVDFCMY-WPRPVWTQSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cc(NC[C@H]2CCCC[C@@H]2O)c(F)cc1[N+](=O)[O-] ZINC000218862259 382791057 /nfs/dbraw/zinc/79/10/57/382791057.db2.gz MBGCXQJGQASPPV-RNCFNFMXSA-N 0 0 298.314 2.706 20 5 CFBDRN COc1cc(NC[C@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000218844946 382791095 /nfs/dbraw/zinc/79/10/95/382791095.db2.gz JNDRXKUJBSVPQE-SECBINFHSA-N 0 0 284.287 2.581 20 5 CFBDRN COc1cc(N[C@@H](C)C2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000218871196 382791113 /nfs/dbraw/zinc/79/11/13/382791113.db2.gz LRUQPJZHFCXJAZ-VIFPVBQESA-N 0 0 298.314 2.970 20 5 CFBDRN COc1cc(N[C@H]2CCSC2)c(F)cc1[N+](=O)[O-] ZINC000218864985 382791123 /nfs/dbraw/zinc/79/11/23/382791123.db2.gz GRBODLMOTVASGB-ZETCQYMHSA-N 0 0 272.301 2.660 20 5 CFBDRN Cc1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2C)s1 ZINC000337291847 382795512 /nfs/dbraw/zinc/79/55/12/382795512.db2.gz FGPRWGSMOCQTQS-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cc(NC[C@@]2(O)CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000336888072 382737551 /nfs/dbraw/zinc/73/75/51/382737551.db2.gz DVIGXBKKHNMZIO-FZMZJTMJSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccnc(CNc2ncc(Cl)cc2[N+](=O)[O-])c1 ZINC000336890583 382738089 /nfs/dbraw/zinc/73/80/89/382738089.db2.gz HPYRFNKWEHXIRE-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC(C)(C)C ZINC000194259611 382738802 /nfs/dbraw/zinc/73/88/02/382738802.db2.gz FRBBFHBROORBGY-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN COC(=O)[C@]1(C)CN(c2cccc(C)c2[N+](=O)[O-])C[C@@H]1C ZINC000336903677 382740008 /nfs/dbraw/zinc/74/00/08/382740008.db2.gz SCVOLGJSRAMNER-XHDPSFHLSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2cccc3[nH]ccc32)s1 ZINC000336909204 382740963 /nfs/dbraw/zinc/74/09/63/382740963.db2.gz WBOCBXQWGRDVKL-UHFFFAOYSA-N 0 0 288.332 2.609 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194481321 382745705 /nfs/dbraw/zinc/74/57/05/382745705.db2.gz HKIJZMNTBCSFSB-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1CC=CCC1 ZINC000194492218 382746096 /nfs/dbraw/zinc/74/60/96/382746096.db2.gz JANPIQOWNSRVFD-LBPRGKRZSA-N 0 0 274.320 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCOCCC(C)C ZINC000194838812 382755358 /nfs/dbraw/zinc/75/53/58/382755358.db2.gz NXNATJVJXNTVBA-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CC1(NC(=O)COc2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000194847648 382755924 /nfs/dbraw/zinc/75/59/24/382755924.db2.gz XLCLPEDRFUDTPP-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000228258448 382846160 /nfs/dbraw/zinc/84/61/60/382846160.db2.gz NAQXUXOIFKXGOJ-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1nc(NCC(C)(C)CCCO)ccc1[N+](=O)[O-] ZINC000221182105 382797734 /nfs/dbraw/zinc/79/77/34/382797734.db2.gz MGEQKOCZZULZOF-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN C[N@H+](Cc1cc([N+](=O)[O-])cc2c1OCOC2)C(C)(C)C ZINC000575919987 382803874 /nfs/dbraw/zinc/80/38/74/382803874.db2.gz GNRYJUTWGIPCIL-UHFFFAOYSA-N 0 0 280.324 2.692 20 5 CFBDRN CC(C)C[C@H](O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000224285886 382809980 /nfs/dbraw/zinc/80/99/80/382809980.db2.gz UUGVNSJTPUHAFL-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN CO[C@@H](C)CNc1ccc(Br)cc1[N+](=O)[O-] ZINC000224347306 382810672 /nfs/dbraw/zinc/81/06/72/382810672.db2.gz DHCMJVPGADDFLG-ZETCQYMHSA-N 0 0 289.129 2.804 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337368855 382810833 /nfs/dbraw/zinc/81/08/33/382810833.db2.gz QQXYCVXNRMSSJV-SECBINFHSA-N 0 0 268.288 2.898 20 5 CFBDRN COc1cc(NCCCCCCO)c(F)cc1[N+](=O)[O-] ZINC000224476737 382810926 /nfs/dbraw/zinc/81/09/26/382810926.db2.gz HCIWRKCJUOBLLP-UHFFFAOYSA-N 0 0 286.303 2.707 20 5 CFBDRN CCC(CC)(CO)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000229177511 382850527 /nfs/dbraw/zinc/85/05/27/382850527.db2.gz ASGAQUXEDVLKJR-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN CCC(CC)(CO)C[NH2+]Cc1csc([N+](=O)[O-])c1 ZINC000229207590 382850744 /nfs/dbraw/zinc/85/07/44/382850744.db2.gz ARODEINZNVAGSR-UHFFFAOYSA-N 0 0 272.370 2.545 20 5 CFBDRN COCCC1(CNc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000225366604 382814636 /nfs/dbraw/zinc/81/46/36/382814636.db2.gz JOWPEABJHIMRJA-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN COCCC1(CNc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000225365744 382814768 /nfs/dbraw/zinc/81/47/68/382814768.db2.gz ZSXYHDIGVVHHJL-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CCc1ccc(NC(=O)c2n[nH]c(C)c2[N+](=O)[O-])cc1F ZINC000337390302 382814873 /nfs/dbraw/zinc/81/48/73/382814873.db2.gz HBNYOQFRWPJQSP-UHFFFAOYSA-N 0 0 292.270 2.580 20 5 CFBDRN Cc1cc(N2CCC([C@@H](C)O)CC2)ccc1[N+](=O)[O-] ZINC000225742738 382820913 /nfs/dbraw/zinc/82/09/13/382820913.db2.gz RDKIETYLDCASKP-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN CCC[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000226233087 382825084 /nfs/dbraw/zinc/82/50/84/382825084.db2.gz HIABBDKPEBWCGP-LBPRGKRZSA-N 0 0 256.277 2.697 20 5 CFBDRN CC[C@@H]1C[C@@H]1Nc1ncc([N+](=O)[O-])cc1Br ZINC000226357572 382827169 /nfs/dbraw/zinc/82/71/69/382827169.db2.gz MHHRAWBFNLNBNX-MUWHJKNJSA-N 0 0 286.129 2.963 20 5 CFBDRN CN(CCCCCO)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000227110354 382833833 /nfs/dbraw/zinc/83/38/33/382833833.db2.gz MSDIEAKIYYCEMR-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN CCC(CC)[C@H](O)CNc1nccc(C)c1[N+](=O)[O-] ZINC000227116533 382833948 /nfs/dbraw/zinc/83/39/48/382833948.db2.gz ZOAUZVITPXCVMU-LLVKDONJSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1ccnc(NCC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000227314616 382835309 /nfs/dbraw/zinc/83/53/09/382835309.db2.gz PTLZOHQTJCVDGM-LLVKDONJSA-N 0 0 265.313 2.669 20 5 CFBDRN Cc1ccnc(NCC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000227314610 382835377 /nfs/dbraw/zinc/83/53/77/382835377.db2.gz PTLZOHQTJCVDGM-NSHDSACASA-N 0 0 265.313 2.669 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@H]1CC[C@H](O)C1 ZINC000227669435 382839314 /nfs/dbraw/zinc/83/93/14/382839314.db2.gz SNIWSCPUXGEIQL-BDAKNGLRSA-N 0 0 270.716 2.821 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCCC[C@@H]2CO)c(F)c1 ZINC000227890607 382842055 /nfs/dbraw/zinc/84/20/55/382842055.db2.gz ZSWBJFCZOKPCAG-PELKAZGASA-N 0 0 286.278 2.836 20 5 CFBDRN C[C@H](Cn1cccn1)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227888194 382842156 /nfs/dbraw/zinc/84/21/56/382842156.db2.gz QEEPAFFYCWDVLY-MRVPVSSYSA-N 0 0 282.250 2.570 20 5 CFBDRN C[C@H](O)CCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000227994534 382843446 /nfs/dbraw/zinc/84/34/46/382843446.db2.gz SFDOWUWZNPJJFF-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CCCN(C(=O)COc1ccccc1[N+](=O)[O-])C(C)C ZINC000577003731 382844351 /nfs/dbraw/zinc/84/43/51/382844351.db2.gz XASRJFARIJEBFR-UHFFFAOYSA-N 0 0 280.324 2.621 20 5 CFBDRN Cc1ccc(CC(=O)Nc2cccc([N+](=O)[O-])c2)o1 ZINC000533580269 382906597 /nfs/dbraw/zinc/90/65/97/382906597.db2.gz BSFLMUZWZBRQQX-UHFFFAOYSA-N 0 0 260.249 2.677 20 5 CFBDRN CCOc1cc(NCc2cnn(C)c2C)ccc1[N+](=O)[O-] ZINC000230145944 382856334 /nfs/dbraw/zinc/85/63/34/382856334.db2.gz QYMTUDRISSLGEK-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000230184232 382857196 /nfs/dbraw/zinc/85/71/96/382857196.db2.gz CNBDZPKIPQJORU-LBPRGKRZSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1nc(Cn2nc(C)c([N+](=O)[O-])c2C)c(C)s1 ZINC000337721013 382858558 /nfs/dbraw/zinc/85/85/58/382858558.db2.gz VWIUJBNDEPIFIE-UHFFFAOYSA-N 0 0 266.326 2.530 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccccc1O ZINC000338038085 382909328 /nfs/dbraw/zinc/90/93/28/382909328.db2.gz FYFONAIRPBVLOK-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cc1cnc(OCc2ccc(Cl)cn2)c([N+](=O)[O-])c1 ZINC000577478899 382860434 /nfs/dbraw/zinc/86/04/34/382860434.db2.gz FQFRUIMCZGIKLN-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CCN(CC)C(=O)[C@@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337758662 382862422 /nfs/dbraw/zinc/86/24/22/382862422.db2.gz NLAHJMWIVFEQDK-SNVBAGLBSA-N 0 0 282.365 2.944 20 5 CFBDRN Cc1c(NC(=O)[C@H]2C[C@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000337771131 382863498 /nfs/dbraw/zinc/86/34/98/382863498.db2.gz VKGALEGVLMPQCQ-SFYZADRCSA-N 0 0 270.235 2.743 20 5 CFBDRN CCCCNC(=O)[C@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337771785 382863517 /nfs/dbraw/zinc/86/35/17/382863517.db2.gz VVJLRUUYSNDKGV-JTQLQIEISA-N 0 0 282.365 2.992 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000230917356 382863904 /nfs/dbraw/zinc/86/39/04/382863904.db2.gz PYDONTCZLYOEES-WCBMZHEXSA-N 0 0 253.327 2.686 20 5 CFBDRN CCC[C@H](CCO)CNc1nccc(C)c1[N+](=O)[O-] ZINC000231149874 382864346 /nfs/dbraw/zinc/86/43/46/382864346.db2.gz IAMJDVYYAUFTGZ-LLVKDONJSA-N 0 0 267.329 2.509 20 5 CFBDRN CCN(C)C(=O)[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000231865416 382867632 /nfs/dbraw/zinc/86/76/32/382867632.db2.gz PQBFPHKAHFJSGE-QMMMGPOBSA-N 0 0 285.731 2.527 20 5 CFBDRN CCOc1cc(NC[C@@H]2CC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000233796391 382872097 /nfs/dbraw/zinc/87/20/97/382872097.db2.gz UXOWOMBZMUCIIW-ZYHUDNBSSA-N 0 0 280.324 2.566 20 5 CFBDRN COCC[C@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C(C)(C)C ZINC000337945075 382876018 /nfs/dbraw/zinc/87/60/18/382876018.db2.gz HWSLKWKFKYBTGH-AWEZNQCLSA-N 0 0 296.367 2.841 20 5 CFBDRN CC[C@@H](C)CNC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000421586741 382877078 /nfs/dbraw/zinc/87/70/78/382877078.db2.gz FOXOWBJVFMZBRL-SNVBAGLBSA-N 0 0 289.335 2.781 20 5 CFBDRN CC[C@@H](C)CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000421587251 382877474 /nfs/dbraw/zinc/87/74/74/382877474.db2.gz GLNHNBLVJKSTLO-SECBINFHSA-N 0 0 284.743 2.953 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2C[C@@H](C)[C@H](C)C2)c1F ZINC000235368940 382879871 /nfs/dbraw/zinc/87/98/71/382879871.db2.gz VWPXOZZVEKUCON-NXEZZACHSA-N 0 0 280.299 2.770 20 5 CFBDRN C[C@H](NC(=O)/C=C\C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000493721277 382885087 /nfs/dbraw/zinc/88/50/87/382885087.db2.gz JHJRCZABSOBPTE-FWAPLPHYSA-N 0 0 260.293 2.738 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000421660063 382887321 /nfs/dbraw/zinc/88/73/21/382887321.db2.gz ADUXOIGGKXDDSG-STQMWFEESA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000493746366 382892156 /nfs/dbraw/zinc/89/21/56/382892156.db2.gz OACGMCPTBFRSPP-LQYUOIDQSA-N 0 0 292.310 2.959 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCO1 ZINC000421672908 382892849 /nfs/dbraw/zinc/89/28/49/382892849.db2.gz VXLHQIPTORAAOM-OCCSQVGLSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H]3CC[C@H](O)C3)ccc2c1 ZINC000236571935 382896073 /nfs/dbraw/zinc/89/60/73/382896073.db2.gz IUKAHMMMINSWDG-GWCFXTLKSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1ccc(OCc2nn(C)cc2Cl)c([N+](=O)[O-])c1 ZINC000421829825 382937034 /nfs/dbraw/zinc/93/70/34/382937034.db2.gz IZFIQTVGUGJDRD-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CNc1ccc(C(=O)Nc2csc(C)n2)cc1[N+](=O)[O-] ZINC000535016928 382943028 /nfs/dbraw/zinc/94/30/28/382943028.db2.gz POYPSEQWDPHUGT-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2C[C@@H](O)C2)c(C(F)(F)F)c1 ZINC000421843025 382943419 /nfs/dbraw/zinc/94/34/19/382943419.db2.gz MACSOLCKLBLCCZ-OTSSQURYSA-N 0 0 291.225 2.763 20 5 CFBDRN Cc1cc(C(=O)Nc2cc(C)ncc2C)cc([N+](=O)[O-])c1 ZINC000535036580 382945780 /nfs/dbraw/zinc/94/57/80/382945780.db2.gz UFTYFAZMXQWLET-UHFFFAOYSA-N 0 0 285.303 2.589 20 5 CFBDRN C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccncc1F ZINC000338190475 382948832 /nfs/dbraw/zinc/94/88/32/382948832.db2.gz HZXAKVHIDKYAJB-SSDOTTSWSA-N 0 0 295.295 2.681 20 5 CFBDRN CCn1nccc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000338194580 382949223 /nfs/dbraw/zinc/94/92/23/382949223.db2.gz ACUQASREHATGMW-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1C[C@@H]1C ZINC000421748459 382913770 /nfs/dbraw/zinc/91/37/70/382913770.db2.gz FKSGAECFHSYKPP-KWQFWETISA-N 0 0 262.309 2.597 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NC1(C2CC2)CC1 ZINC000533994758 382914028 /nfs/dbraw/zinc/91/40/28/382914028.db2.gz RECAOOOMZRKJDU-UHFFFAOYSA-N 0 0 299.330 2.677 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCO[C@H]2CCCCO2)n1 ZINC000441823512 382926431 /nfs/dbraw/zinc/92/64/31/382926431.db2.gz MNYLMHWKDUFOLJ-LBPRGKRZSA-N 0 0 296.323 2.529 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])nc2)CC1 ZINC000578512368 382927246 /nfs/dbraw/zinc/92/72/46/382927246.db2.gz UIWJDNNUDCGQAC-XYPYZODXSA-N 0 0 277.324 2.779 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@H]1C[C@@H]1C1CC1 ZINC000338139958 382931275 /nfs/dbraw/zinc/93/12/75/382931275.db2.gz KJEHEWKCGOGSMO-CABCVRRESA-N 0 0 288.347 2.642 20 5 CFBDRN Cc1ccccc1-c1cnc(Cn2cnc([N+](=O)[O-])c2)o1 ZINC000534527163 382932586 /nfs/dbraw/zinc/93/25/86/382932586.db2.gz BBMWFJGIYFSNCS-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN COCC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000338145697 382934257 /nfs/dbraw/zinc/93/42/57/382934257.db2.gz YKTNUVGCQJZKQY-ZDUSSCGKSA-N 0 0 294.351 2.776 20 5 CFBDRN CCOCCO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000338287230 382976932 /nfs/dbraw/zinc/97/69/32/382976932.db2.gz HMOFIVBCYAYMIU-CQSZACIVSA-N 0 0 294.351 2.535 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2C)nc1 ZINC000457210151 382990241 /nfs/dbraw/zinc/99/02/41/382990241.db2.gz PWXPEQVJPFSEPR-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@@H]1CN(C/C=C/c2ccccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000245537798 383004475 /nfs/dbraw/zinc/00/44/75/383004475.db2.gz JEFRSIBOBZFSSA-JHSWMASBSA-N 0 0 276.336 2.717 20 5 CFBDRN C[N@@H+]1CCCC(C)(C)[C@H]1CNc1ncccc1[N+](=O)[O-] ZINC000447805418 383009324 /nfs/dbraw/zinc/00/93/24/383009324.db2.gz JCKVTTAZHTWENC-GFCCVEGCSA-N 0 0 278.356 2.522 20 5 CFBDRN C[N@@H+]1CCCC(C)(C)[C@@H]1CNc1ccc([N+](=O)[O-])nc1 ZINC000447810024 383010347 /nfs/dbraw/zinc/01/03/47/383010347.db2.gz FSCDYCSKLCDHDJ-LBPRGKRZSA-N 0 0 278.356 2.522 20 5 CFBDRN COCCC(C)(C)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000549726051 383013701 /nfs/dbraw/zinc/01/37/01/383013701.db2.gz PHQPHFQXAHMLHI-NSHDSACASA-N 0 0 294.351 2.630 20 5 CFBDRN CCOc1cccc(NCC[N@H+]2CC=CCC2)c1[N+](=O)[O-] ZINC000338709032 383029796 /nfs/dbraw/zinc/02/97/96/383029796.db2.gz BAVGNXFQQHFUPE-UHFFFAOYSA-N 0 0 291.351 2.667 20 5 CFBDRN C[C@H](Nc1ccccc1[N+](=O)[O-])c1cc[nH]c(=O)c1 ZINC000338731780 383031704 /nfs/dbraw/zinc/03/17/04/383031704.db2.gz BXKMBKJAFDWLMN-VIFPVBQESA-N 0 0 259.265 2.869 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@H](C)F ZINC000338757613 383041203 /nfs/dbraw/zinc/04/12/03/383041203.db2.gz VFSOVXDJXBFFIC-VIFPVBQESA-N 0 0 256.277 2.901 20 5 CFBDRN CC(C)C(C)(C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000551624618 383046957 /nfs/dbraw/zinc/04/69/57/383046957.db2.gz CBTHMHFIPXXJKE-UHFFFAOYSA-N 0 0 264.325 2.688 20 5 CFBDRN C[C@H](C(=O)N1C[C@H](C)[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000579748893 383049123 /nfs/dbraw/zinc/04/91/23/383049123.db2.gz YJWCLRUCPRWSBG-DCAQKATOSA-N 0 0 262.309 2.565 20 5 CFBDRN C[C@@H](NC(=O)OCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000496245375 383079272 /nfs/dbraw/zinc/07/92/72/383079272.db2.gz OGPFCDDZAUTOBN-SECBINFHSA-N 0 0 264.281 2.792 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@]2(C)CCCC[C@@H]2C)n1 ZINC000457309874 383019193 /nfs/dbraw/zinc/01/91/93/383019193.db2.gz YBKXCSONFDAAHW-IINYFYTJSA-N 0 0 294.355 2.510 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000246464270 383077938 /nfs/dbraw/zinc/07/79/38/383077938.db2.gz JVDYACPIWVJFAF-HERUPUMHSA-N 0 0 274.320 2.818 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)c[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000339017381 383121976 /nfs/dbraw/zinc/12/19/76/383121976.db2.gz KSZHOIDLKGDEDC-QMMMGPOBSA-N 0 0 277.255 2.553 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000592004782 383122085 /nfs/dbraw/zinc/12/20/85/383122085.db2.gz ZAUZRGSCVYDZDY-PSASIEDQSA-N 0 0 279.365 2.869 20 5 CFBDRN Cc1cc(N2CCC[C@](O)(C3CC3)C2)ccc1[N+](=O)[O-] ZINC000596464741 383128133 /nfs/dbraw/zinc/12/81/33/383128133.db2.gz XMCCZWXKBQVINO-OAHLLOKOSA-N 0 0 276.336 2.645 20 5 CFBDRN COc1c(C(=O)N(C)C2CC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000458193371 383131159 /nfs/dbraw/zinc/13/11/59/383131159.db2.gz VBYQTOHEILOUNT-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])s1)C(=O)N1CCCC[C@@H]1C ZINC000609632293 383134750 /nfs/dbraw/zinc/13/47/50/383134750.db2.gz UEDOTSBGSVDPHQ-UWVGGRQHSA-N 0 0 297.380 2.858 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cn2)c1C ZINC000552653998 383089895 /nfs/dbraw/zinc/08/98/95/383089895.db2.gz IKNNWFLWGHPKCH-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1sc(C(=O)Nc2ccc(F)cn2)cc1[N+](=O)[O-] ZINC000338957113 383099942 /nfs/dbraw/zinc/09/99/42/383099942.db2.gz CYMPRPJTKZREJV-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN O=C(OC1CCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000496433942 383100306 /nfs/dbraw/zinc/10/03/06/383100306.db2.gz GMUZPXTUALDQFX-UHFFFAOYSA-N 0 0 276.292 2.642 20 5 CFBDRN O=C(OC1CCC1)n1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000496469620 383105491 /nfs/dbraw/zinc/10/54/91/383105491.db2.gz HKGBXDXNRAIFAE-UHFFFAOYSA-N 0 0 287.275 2.996 20 5 CFBDRN CC(C)c1ccc(CN2CC[C@](F)(CO)C2)cc1[N+](=O)[O-] ZINC000339002540 383116095 /nfs/dbraw/zinc/11/60/95/383116095.db2.gz UAWUBBVTPAYCQC-OAHLLOKOSA-N 0 0 296.342 2.625 20 5 CFBDRN CO[C@@H](C)C[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])C(C)C ZINC000339003210 383116844 /nfs/dbraw/zinc/11/68/44/383116844.db2.gz GJNCMLAJXPZYDW-NSHDSACASA-N 0 0 282.340 2.546 20 5 CFBDRN C[C@@H]1C[C@H](C)[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000339003193 383117203 /nfs/dbraw/zinc/11/72/03/383117203.db2.gz FXEYDZXNRZMBNF-ZJUUUORDSA-N 0 0 250.298 2.531 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2C[C@@H](OC(C)C)C2)c1[N+](=O)[O-] ZINC000457846502 383117649 /nfs/dbraw/zinc/11/76/49/383117649.db2.gz BCEYPZBEIKWUMD-TXEJJXNPSA-N 0 0 292.335 2.589 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC(C)(C)C ZINC000339158714 383171180 /nfs/dbraw/zinc/17/11/80/383171180.db2.gz NEBXANDVLKYPFP-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN COc1cnc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000339177449 383177234 /nfs/dbraw/zinc/17/72/34/383177234.db2.gz BXDBYLQOGWGEGL-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1cccc(OCc2nc(C(F)F)no2)c1[N+](=O)[O-] ZINC000424090180 383178497 /nfs/dbraw/zinc/17/84/97/383178497.db2.gz KGCNFNQATICZLE-UHFFFAOYSA-N 0 0 285.206 2.803 20 5 CFBDRN Cc1cnc(COc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000339180134 383179051 /nfs/dbraw/zinc/17/90/51/383179051.db2.gz BYFNGYJPSVCPLX-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC(CC(F)F)C1 ZINC000424115817 383183091 /nfs/dbraw/zinc/18/30/91/383183091.db2.gz WACMFVFACBQXQQ-UHFFFAOYSA-N 0 0 285.250 2.714 20 5 CFBDRN CC[C@@H](NC(=O)N1CC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000458498744 383197938 /nfs/dbraw/zinc/19/79/38/383197938.db2.gz JMNIBOINLIHHSP-DGCLKSJQSA-N 0 0 295.314 2.799 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000458276857 383150152 /nfs/dbraw/zinc/15/01/52/383150152.db2.gz GYOGVNANGIROTN-ADEWGFFLSA-N 0 0 290.319 2.522 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000458296334 383155663 /nfs/dbraw/zinc/15/56/63/383155663.db2.gz GTCBFOAXWZXBIH-NEPJUHHUSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000458301669 383157434 /nfs/dbraw/zinc/15/74/34/383157434.db2.gz PNROZRRFGVOAIY-ADEWGFFLSA-N 0 0 290.319 2.522 20 5 CFBDRN C[C@@]1(NC(=O)COc2ccc([N+](=O)[O-])cc2)CC=CCC1 ZINC000458305979 383158309 /nfs/dbraw/zinc/15/83/09/383158309.db2.gz GCXYTMDQPVXUMJ-OAHLLOKOSA-N 0 0 290.319 2.589 20 5 CFBDRN CCC[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)C1CCC1 ZINC000458309358 383158824 /nfs/dbraw/zinc/15/88/24/383158824.db2.gz PUSUAVZFMICDOW-JTQLQIEISA-N 0 0 266.297 2.886 20 5 CFBDRN CCC[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCC1 ZINC000458315720 383161111 /nfs/dbraw/zinc/16/11/11/383161111.db2.gz WKOGJDLSUXKCKM-SNVBAGLBSA-N 0 0 265.313 2.622 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN[C@H]1CCC[C@@H]1F ZINC000339590353 383256062 /nfs/dbraw/zinc/25/60/62/383256062.db2.gz PNLVBTIFLCHDOC-STQMWFEESA-N 0 0 282.315 2.974 20 5 CFBDRN C[C@H](NC(=O)N1C[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000458884699 383269369 /nfs/dbraw/zinc/26/93/69/383269369.db2.gz FTAKYVBNXMUEJA-JKOKRWQUSA-N 0 0 289.335 2.850 20 5 CFBDRN COc1cc(C(=O)NC[C@@H]2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000339503224 383220987 /nfs/dbraw/zinc/22/09/87/383220987.db2.gz GFRZXILATZFYBT-PWSUYJOCSA-N 0 0 292.335 2.769 20 5 CFBDRN CCC[C@H](C[NH2+][C@@H]1CCc2c1cccc2[N+](=O)[O-])OC ZINC000566923871 383225190 /nfs/dbraw/zinc/22/51/90/383225190.db2.gz JZOBCPREJUBLOE-BXUZGUMPSA-N 0 0 278.352 2.987 20 5 CFBDRN CCc1cccc2c1N(C(=O)c1ccc([N+](=O)[O-])n1C)CC2 ZINC000519921667 383246497 /nfs/dbraw/zinc/24/64/97/383246497.db2.gz NHWKGEVOHSJNOE-UHFFFAOYSA-N 0 0 299.330 2.699 20 5 CFBDRN CCO[C@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C[C@@H]1C ZINC000449492699 383330265 /nfs/dbraw/zinc/33/02/65/383330265.db2.gz JWUPWPFBIIZSAB-NHYWBVRUSA-N 0 0 294.351 2.547 20 5 CFBDRN CCO[C@@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C[C@@H]1C ZINC000449492700 383330610 /nfs/dbraw/zinc/33/06/10/383330610.db2.gz JWUPWPFBIIZSAB-XHDPSFHLSA-N 0 0 294.351 2.547 20 5 CFBDRN COc1cc(NCCC[C@H](C)CO)c([N+](=O)[O-])cc1F ZINC000340075554 383349961 /nfs/dbraw/zinc/34/99/61/383349961.db2.gz CLISVJMECBRBOJ-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN CO[C@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1(C)C ZINC000449498318 383335544 /nfs/dbraw/zinc/33/55/44/383335544.db2.gz KCVMNBCIPVMNEM-AWEZNQCLSA-N 0 0 294.351 2.547 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCc2ccccc2CC1 ZINC000522497274 383338472 /nfs/dbraw/zinc/33/84/72/383338472.db2.gz HWPAPBWUSTUEHE-UHFFFAOYSA-N 0 0 296.326 2.836 20 5 CFBDRN COc1cccc(N(C)C[C@H]2CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000249377397 383342587 /nfs/dbraw/zinc/34/25/87/383342587.db2.gz YUZWDLCEHSQNDD-DGCLKSJQSA-N 0 0 294.351 2.591 20 5 CFBDRN Cc1nc2[nH]ccc2c(NCCc2cccc([N+](=O)[O-])c2)n1 ZINC000340077259 383349815 /nfs/dbraw/zinc/34/98/15/383349815.db2.gz IDHZNRCTYHJYCG-UHFFFAOYSA-N 0 0 297.318 2.781 20 5 CFBDRN CC(C)OC(C)(C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000459912087 383299206 /nfs/dbraw/zinc/29/92/06/383299206.db2.gz YWMVOWSNQJWGKZ-UHFFFAOYSA-N 0 0 292.335 2.688 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@@H]1C[C@H]1C1CCC1 ZINC000424983866 383304333 /nfs/dbraw/zinc/30/43/33/383304333.db2.gz RNTUYJRVCRWHJT-GXTWGEPZSA-N 0 0 292.310 2.581 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000424984273 383304519 /nfs/dbraw/zinc/30/45/19/383304519.db2.gz SCDZSURSDZGHTO-NWDGAFQWSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCO[C@H]1CCCC[C@H]1C ZINC000521725462 383307542 /nfs/dbraw/zinc/30/75/42/383307542.db2.gz CCJMGWOJIZEVPO-RISCZKNCSA-N 0 0 293.367 2.727 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000464262502 383309763 /nfs/dbraw/zinc/30/97/63/383309763.db2.gz NHXMDZWBDWXGNR-WDEREUQCSA-N 0 0 276.336 2.992 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CC[C@@H](C)C[C@H]2C)c1[N+](=O)[O-] ZINC000425038512 383314354 /nfs/dbraw/zinc/31/43/54/383314354.db2.gz XPPFAXVEEBUYNM-NXEZZACHSA-N 0 0 294.355 2.531 20 5 CFBDRN Cc1ccc(NC(=O)NC2(CF)CCC2)cc1[N+](=O)[O-] ZINC000567807369 383317636 /nfs/dbraw/zinc/31/76/36/383317636.db2.gz DBQUBUJMXRJNFZ-UHFFFAOYSA-N 0 0 281.287 2.917 20 5 CFBDRN CC(C)[C@H](Nc1ccccc1[N+](=O)[O-])C(C)(C)O ZINC000477805058 383391379 /nfs/dbraw/zinc/39/13/79/383391379.db2.gz WSAVTZIREVFHLZ-LBPRGKRZSA-N 0 0 252.314 2.802 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000525980917 383415475 /nfs/dbraw/zinc/41/54/75/383415475.db2.gz PKDSCSDAWCFDNB-AAEUAGOBSA-N 0 0 291.351 2.830 20 5 CFBDRN CC(C)OCCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450438411 383411589 /nfs/dbraw/zinc/41/15/89/383411589.db2.gz KGGXSGFNVFTNSH-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCSC3(CCC3)CC2)cn1 ZINC000568050436 383350236 /nfs/dbraw/zinc/35/02/36/383350236.db2.gz UMHNJYFVZKPAGZ-UHFFFAOYSA-N 0 0 279.365 2.856 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@@]2(F)c2ccccc2)c1[N+](=O)[O-] ZINC000425269457 383353766 /nfs/dbraw/zinc/35/37/66/383353766.db2.gz CFGSIBDPGBJIFV-BXUZGUMPSA-N 0 0 290.298 2.686 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2C[C@H]2C2CCC2)c1[N+](=O)[O-] ZINC000425289466 383360045 /nfs/dbraw/zinc/36/00/45/383360045.db2.gz ZONQNQWOMOOHKD-QWRGUYRKSA-N 0 0 278.356 2.739 20 5 CFBDRN Cc1ccccc1OCCN(C)c1ccncc1[N+](=O)[O-] ZINC000340154586 383364192 /nfs/dbraw/zinc/36/41/92/383364192.db2.gz IVBJPPVRCWMAEF-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN Cc1nc(CCNc2ccc(F)cc2[N+](=O)[O-])c(C)o1 ZINC000340201636 383380024 /nfs/dbraw/zinc/38/00/24/383380024.db2.gz VCZAXHGBQCWKHJ-UHFFFAOYSA-N 0 0 279.271 2.993 20 5 CFBDRN Cc1c(CNC(=O)N2C[C@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000480052351 383414738 /nfs/dbraw/zinc/41/47/38/383414738.db2.gz DEKVWLBBRIXODR-GHMZBOCLSA-N 0 0 291.351 2.843 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[N@H+](CC[C@@H]1CCCO1)C2 ZINC000526056973 383425543 /nfs/dbraw/zinc/42/55/43/383425543.db2.gz HDNUZCFGMUAXTJ-ZDUSSCGKSA-N 0 0 276.336 2.522 20 5 CFBDRN CC[C@@H](C)c1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])nc2)[nH]1 ZINC000450478759 383427966 /nfs/dbraw/zinc/42/79/66/383427966.db2.gz IWLFITHFWFBIBD-RKDXNWHRSA-N 0 0 290.327 2.795 20 5 CFBDRN CCC1(CC)[C@H](Nc2ccc([N+](=O)[O-])nc2)C[C@H]1OC ZINC000481815041 383430128 /nfs/dbraw/zinc/43/01/28/383430128.db2.gz MVKGZBSDTMADSH-VXGBXAGGSA-N 0 0 279.340 2.995 20 5 CFBDRN O=C(NCc1ccc(Cl)cc1F)c1ccc([N+](=O)[O-])[nH]1 ZINC000428273519 383439294 /nfs/dbraw/zinc/43/92/94/383439294.db2.gz NNZPBKUKIBQXSH-UHFFFAOYSA-N 0 0 297.673 2.645 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000526952545 383440803 /nfs/dbraw/zinc/44/08/03/383440803.db2.gz RBXPSYDPOPDFRP-QWRGUYRKSA-N 0 0 279.340 2.632 20 5 CFBDRN CNc1c(C(=O)N2CC3(C2)CCCC3)cccc1[N+](=O)[O-] ZINC000428294264 383444892 /nfs/dbraw/zinc/44/48/92/383444892.db2.gz KYNOELIWPPWXKP-UHFFFAOYSA-N 0 0 289.335 2.653 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCC1=CCCOC1 ZINC000450527669 383448067 /nfs/dbraw/zinc/44/80/67/383448067.db2.gz LFJDFEPDQVYXFP-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@H](CO)C1CC1 ZINC000450537417 383450688 /nfs/dbraw/zinc/45/06/88/383450688.db2.gz DGHWWBIZURZCCE-LLVKDONJSA-N 0 0 287.319 2.573 20 5 CFBDRN Cc1nc(C2CCC2)nn1Cc1cccc([N+](=O)[O-])c1 ZINC000340526130 383458967 /nfs/dbraw/zinc/45/89/67/383458967.db2.gz YVYXGDWBDDNEPR-UHFFFAOYSA-N 0 0 272.308 2.811 20 5 CFBDRN CC[C@H](Nc1nc2sccn2c1[N+](=O)[O-])C(F)F ZINC000450558433 383461628 /nfs/dbraw/zinc/46/16/28/383461628.db2.gz DNQOUZXSSPXPQK-YFKPBYRVSA-N 0 0 276.268 2.760 20 5 CFBDRN C/C=C\CSc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1 ZINC000255536120 383482965 /nfs/dbraw/zinc/48/29/65/383482965.db2.gz FWIDCHYUBRRXAQ-ARJAWSKDSA-N 0 0 287.362 2.667 20 5 CFBDRN CC[C@H](CO)N(C)c1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000450580674 383468902 /nfs/dbraw/zinc/46/89/02/383468902.db2.gz OJZJGKJZGHYTEZ-LLVKDONJSA-N 0 0 289.335 2.659 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@H](C)OC3(CCC3)C2)c1 ZINC000569115046 383469053 /nfs/dbraw/zinc/46/90/53/383469053.db2.gz OQXGEQQXGROEEG-NSHDSACASA-N 0 0 292.335 2.751 20 5 CFBDRN CCOC1(C)CN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000450584631 383471422 /nfs/dbraw/zinc/47/14/22/383471422.db2.gz USWIIHBXVRBXRD-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cncc(CNc2nc3sccn3c2[N+](=O)[O-])c1 ZINC000340574873 383475696 /nfs/dbraw/zinc/47/56/96/383475696.db2.gz JVGLUHMAWWWHPG-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2c2ncon2)c(F)cc1[N+](=O)[O-] ZINC000569285782 383483560 /nfs/dbraw/zinc/48/35/60/383483560.db2.gz GGQYFKFQRNPVMB-SNVBAGLBSA-N 0 0 292.270 2.767 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H]1C1CC1 ZINC000450642453 383479737 /nfs/dbraw/zinc/47/97/37/383479737.db2.gz ICLNXOUNTJQINW-SECBINFHSA-N 0 0 253.689 2.632 20 5 CFBDRN CCN(CC1CCC1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000525989933 383417220 /nfs/dbraw/zinc/41/72/20/383417220.db2.gz KWHOCTANGZXDEK-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN CO[C@@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1(C)C ZINC000450758835 383485710 /nfs/dbraw/zinc/48/57/10/383485710.db2.gz PBUWADJRASSZRI-GFCCVEGCSA-N 0 0 293.367 2.858 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1CC[C@@H](F)C1 ZINC000488047835 383490514 /nfs/dbraw/zinc/49/05/14/383490514.db2.gz XBMDHUDCKZXYHZ-ZJUUUORDSA-N 0 0 275.283 2.867 20 5 CFBDRN C/C=C/c1ccc(Nc2ncc([N+](=O)[O-])cc2C(N)=O)cc1 ZINC000340909713 383500826 /nfs/dbraw/zinc/50/08/26/383500826.db2.gz JARUDIDABOWVFH-NSCUHMNNSA-N 0 0 298.302 2.865 20 5 CFBDRN C/C=C\c1ccc(Nc2ncc([N+](=O)[O-])cc2C(N)=O)cc1 ZINC000340909688 383500988 /nfs/dbraw/zinc/50/09/88/383500988.db2.gz JARUDIDABOWVFH-IHWYPQMZSA-N 0 0 298.302 2.865 20 5 CFBDRN CCn1ccnc1[C@@H](C)Nc1nccc(C)c1[N+](=O)[O-] ZINC000450833015 383510821 /nfs/dbraw/zinc/51/08/21/383510821.db2.gz SMNVYSIRILEBKF-SNVBAGLBSA-N 0 0 275.312 2.688 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@H]2OC)c(F)cc1[N+](=O)[O-] ZINC000340962350 383511909 /nfs/dbraw/zinc/51/19/09/383511909.db2.gz GCFGQRVDVUNLOD-TVQRCGJNSA-N 0 0 298.314 2.970 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000340970496 383513471 /nfs/dbraw/zinc/51/34/71/383513471.db2.gz IGCQQGBHFJKUKZ-YPMHNXCESA-N 0 0 274.320 2.813 20 5 CFBDRN CC(C)CCN(c1ncc([N+](=O)[O-])c(N)n1)C1CCCC1 ZINC000429318197 383518525 /nfs/dbraw/zinc/51/85/25/383518525.db2.gz MSUKQZDLZPGUHQ-UHFFFAOYSA-N 0 0 293.371 2.592 20 5 CFBDRN CCc1ncc(CNc2ccc([N+](=O)[O-])cc2F)o1 ZINC000450850259 383520820 /nfs/dbraw/zinc/52/08/20/383520820.db2.gz WVIVSDDUJFFFDM-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2C[C@@H](C)CCC2=O)c([N+](=O)[O-])c1 ZINC000450894222 383539788 /nfs/dbraw/zinc/53/97/88/383539788.db2.gz URYOJKZCMXLDJZ-BJOHPYRUSA-N 0 0 291.303 2.934 20 5 CFBDRN Cc1ccc(CN2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000570100672 383572561 /nfs/dbraw/zinc/57/25/61/383572561.db2.gz STQMPEZXNUKWLV-LBPRGKRZSA-N 0 0 252.289 2.837 20 5 CFBDRN O=C1[C@@H](SC2CCC2)CCN1c1cccc([N+](=O)[O-])c1 ZINC000450985210 383578278 /nfs/dbraw/zinc/57/82/78/383578278.db2.gz IFWDJYMHSBBSGA-ZDUSSCGKSA-N 0 0 292.360 2.986 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2C=C[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000280822006 194989538 /nfs/dbraw/zinc/98/95/38/194989538.db2.gz YEGUAGOWOFRJMK-CMPLNLGQSA-N 0 0 290.319 2.536 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(F)cn1)c1cccc([N+](=O)[O-])c1 ZINC000570265717 383588426 /nfs/dbraw/zinc/58/84/26/383588426.db2.gz CQEPFDPQIXWYNR-SECBINFHSA-N 0 0 289.266 2.871 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H](OC(C)C)C2)cc1[N+](=O)[O-] ZINC000570282625 383590950 /nfs/dbraw/zinc/59/09/50/383590950.db2.gz XZQXXAMLCRQRJS-SNVBAGLBSA-N 0 0 298.364 2.604 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000489315072 383606500 /nfs/dbraw/zinc/60/65/00/383606500.db2.gz PXHFWNUHHPALFC-LLVKDONJSA-N 0 0 298.339 2.702 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000450945749 383561964 /nfs/dbraw/zinc/56/19/64/383561964.db2.gz WGBJIYHMIASLSD-DGCLKSJQSA-N 0 0 280.324 2.973 20 5 CFBDRN O=[N+]([O-])c1cccc(SCc2cn(CC3CC3)nn2)c1 ZINC000450948764 383563482 /nfs/dbraw/zinc/56/34/82/383563482.db2.gz HKBKESBXSGGXGH-UHFFFAOYSA-N 0 0 290.348 2.889 20 5 CFBDRN CC(C)n1cc(CNc2c(F)cc([N+](=O)[O-])cc2F)nn1 ZINC000570090124 383569424 /nfs/dbraw/zinc/56/94/24/383569424.db2.gz FLYPGFAKPQFGQG-UHFFFAOYSA-N 0 0 297.265 2.658 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1ccccc1CO ZINC000429804933 383571349 /nfs/dbraw/zinc/57/13/49/383571349.db2.gz HNZOEMLDGONLSH-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN CC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)ccc1F ZINC000341119134 383571958 /nfs/dbraw/zinc/57/19/58/383571958.db2.gz QXSBMYVEDQTOKA-UHFFFAOYSA-N 0 0 291.238 2.517 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000431172220 383636925 /nfs/dbraw/zinc/63/69/25/383636925.db2.gz VWDXJTRCIINJJU-QWHCGFSZSA-N 0 0 274.320 2.686 20 5 CFBDRN Cc1nc(C(=O)Nc2cccc([N+](=O)[O-])c2C)c(C)o1 ZINC000431249378 383641317 /nfs/dbraw/zinc/64/13/17/383641317.db2.gz SLACBRHWGVGHON-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN C[C@H]1C[C@H](CCNc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000570907846 383645721 /nfs/dbraw/zinc/64/57/21/383645721.db2.gz HSKUKZZPESFMCL-VHSXEESVSA-N 0 0 283.303 2.746 20 5 CFBDRN CC(C)(CC[NH2+]C/C=C/c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000263096790 383654517 /nfs/dbraw/zinc/65/45/17/383654517.db2.gz VOQSDZCWQDAJIO-FNORWQNLSA-N 0 0 292.335 2.699 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](OC(C)C)C2)ccc1[N+](=O)[O-] ZINC000570571842 383615171 /nfs/dbraw/zinc/61/51/71/383615171.db2.gz ISYZQNUVNNKGBV-CYBMUJFWSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(NC[C@H]1CCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000431081701 383628398 /nfs/dbraw/zinc/62/83/98/383628398.db2.gz GJGBFIXGBWGKHX-VIFPVBQESA-N 0 0 284.262 2.760 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000572214810 383763203 /nfs/dbraw/zinc/76/32/03/383763203.db2.gz HERYQDJYMSQAMR-ZJUUUORDSA-N 0 0 262.309 2.613 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1C[C@@H](O)Cc2ccccc21 ZINC000434686352 383771423 /nfs/dbraw/zinc/77/14/23/383771423.db2.gz UZDDQCSXRIMHOX-AWEZNQCLSA-N 0 0 284.315 2.518 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1C ZINC000431473575 383674771 /nfs/dbraw/zinc/67/47/71/383674771.db2.gz BGAGNYKTSFEITG-LLVKDONJSA-N 0 0 293.367 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CCO)CC2)c(Cl)c1 ZINC000431473454 383675082 /nfs/dbraw/zinc/67/50/82/383675082.db2.gz ATOPVJOPJOHICU-UHFFFAOYSA-N 0 0 256.689 2.575 20 5 CFBDRN CC1(C)CCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000531028960 383812239 /nfs/dbraw/zinc/81/22/39/383812239.db2.gz UEKPHUQXPAVRCW-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1nccn1C ZINC000453251339 383816318 /nfs/dbraw/zinc/81/63/18/383816318.db2.gz ZSYHHZJSOSANMR-WDEREUQCSA-N 0 0 274.324 2.740 20 5 CFBDRN Cc1cc(N2CCC(n3ccnc3)CC2)ccc1[N+](=O)[O-] ZINC000264814106 383785315 /nfs/dbraw/zinc/78/53/15/383785315.db2.gz FCQUKWLTMOTRSF-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN Cc1ccc(C(=O)Cn2cc([N+](=O)[O-])c(C3CC3)n2)cc1 ZINC000436213176 383789334 /nfs/dbraw/zinc/78/93/34/383789334.db2.gz XZCJJLZUKRVPQL-UHFFFAOYSA-N 0 0 285.303 2.860 20 5 CFBDRN Cc1cnc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cn1 ZINC000530780241 383792171 /nfs/dbraw/zinc/79/21/71/383792171.db2.gz RWBGTQAVDFSZKY-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1cnc(N[C@@H](CO)c2ccccc2C)c([N+](=O)[O-])c1 ZINC000530857080 383797751 /nfs/dbraw/zinc/79/77/51/383797751.db2.gz CQVIKYHIVTUYKI-ZDUSSCGKSA-N 0 0 287.319 2.752 20 5 CFBDRN CSCCc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000453288194 383837442 /nfs/dbraw/zinc/83/74/42/383837442.db2.gz KEUGPWGKAXSRIS-UHFFFAOYSA-N 0 0 279.321 2.859 20 5 CFBDRN Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])c(C)cc2C)nc1 ZINC000572963546 383848009 /nfs/dbraw/zinc/84/80/09/383848009.db2.gz HJQSUSZHQBBFMY-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC(C)CC(C)(C)CNC(=O)c1cc([N+](=O)[O-])cn1C ZINC000531453415 383872724 /nfs/dbraw/zinc/87/27/24/383872724.db2.gz LFCFBJJIFFPSCN-UHFFFAOYSA-N 0 0 281.356 2.735 20 5 CFBDRN Cc1cnc(N[C@@H](C)c2cncs2)c([N+](=O)[O-])c1 ZINC000436695429 383874118 /nfs/dbraw/zinc/87/41/18/383874118.db2.gz FFAQNIGXOQJPIU-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CC[C@@H](C)O1 ZINC000454141988 383912128 /nfs/dbraw/zinc/91/21/28/383912128.db2.gz HQDSMIDYNVBKHQ-PWSUYJOCSA-N 0 0 293.323 2.592 20 5 CFBDRN O=C(NCCC[C@H]1CCOC1)Nc1cccc([N+](=O)[O-])c1 ZINC000454143474 383914589 /nfs/dbraw/zinc/91/45/89/383914589.db2.gz SLIIFPKYHHFFJE-NSHDSACASA-N 0 0 293.323 2.533 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@@H]2CC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000454157830 383921278 /nfs/dbraw/zinc/92/12/78/383921278.db2.gz ZBHDXWBGHQHQNQ-JQWIXIFHSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@@H](C)[C@@H](C)O1 ZINC000437916637 383921640 /nfs/dbraw/zinc/92/16/40/383921640.db2.gz PUKGGSGSENQSIJ-OUAUKWLOSA-N 0 0 293.323 2.624 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000531808871 383924541 /nfs/dbraw/zinc/92/45/41/383924541.db2.gz BBVWLLLLKCIYIB-HIFRSBDPSA-N 0 0 292.335 2.526 20 5 CFBDRN CC/C=C/CCn1c(=O)onc1-c1cccc([N+](=O)[O-])c1 ZINC000573443303 383931025 /nfs/dbraw/zinc/93/10/25/383931025.db2.gz FYPGPOVRVPPETK-ONEGZZNKSA-N 0 0 289.291 2.768 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)NC1(C2CC2)CC1 ZINC000531858288 383932011 /nfs/dbraw/zinc/93/20/11/383932011.db2.gz HVRTWQWTCGGOCU-UHFFFAOYSA-N 0 0 261.281 2.659 20 5 CFBDRN CC(C)OC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000453633057 383893044 /nfs/dbraw/zinc/89/30/44/383893044.db2.gz WOAAIVPVIWGOFF-UHFFFAOYSA-N 0 0 265.265 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1(C2CC2)CC1 ZINC000531613519 383898382 /nfs/dbraw/zinc/89/83/82/383898382.db2.gz PAEWEFYZYZKIMF-UHFFFAOYSA-N 0 0 260.293 2.576 20 5 CFBDRN CCC[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C(C)(C)C ZINC000454289107 383978482 /nfs/dbraw/zinc/97/84/82/383978482.db2.gz FDTAUTVXRJZJTO-LLVKDONJSA-N 0 0 267.329 2.868 20 5 CFBDRN CC[C@@H](C)[C@H]1CCCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000265696747 383979948 /nfs/dbraw/zinc/97/99/48/383979948.db2.gz PCNDNVVHXROISH-MWLCHTKSSA-N 0 0 294.355 2.667 20 5 CFBDRN CC[C@H](C)[C@@H]1CCCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000265696748 383980100 /nfs/dbraw/zinc/98/01/00/383980100.db2.gz PCNDNVVHXROISH-ONGXEEELSA-N 0 0 294.355 2.667 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC2(CF)CCC2)n1 ZINC000573718498 383980052 /nfs/dbraw/zinc/98/00/52/383980052.db2.gz UVYRLTXFIHVFBE-UHFFFAOYSA-N 0 0 253.277 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nnc(N3CCCC3)n2C2CC2)cc1 ZINC000573734725 383981205 /nfs/dbraw/zinc/98/12/05/383981205.db2.gz FCNMCVVUIDKZIV-UHFFFAOYSA-N 0 0 299.334 2.788 20 5 CFBDRN CC(C)CC1(CNC(=O)c2ccc([N+](=O)[O-])cn2)CCC1 ZINC000532145775 383983688 /nfs/dbraw/zinc/98/36/88/383983688.db2.gz CLNXKKJETGQXGV-UHFFFAOYSA-N 0 0 291.351 2.936 20 5 CFBDRN CC(C)C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532144320 383983704 /nfs/dbraw/zinc/98/37/04/383983704.db2.gz OIMIFHJTAIZAED-LBPRGKRZSA-N 0 0 291.351 2.888 20 5 CFBDRN CC1(C)CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532143827 383983999 /nfs/dbraw/zinc/98/39/99/383983999.db2.gz ABYYKKJFBDSLKM-LBPRGKRZSA-N 0 0 277.324 2.688 20 5 CFBDRN CCN(C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)C1COC1 ZINC000454191989 383936690 /nfs/dbraw/zinc/93/66/90/383936690.db2.gz AARGKPLKMXXDJQ-UHFFFAOYSA-N 0 0 299.714 2.501 20 5 CFBDRN COCC1CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000265576324 383948016 /nfs/dbraw/zinc/94/80/16/383948016.db2.gz VRCQKTZJPICSNR-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1cc(C(=O)NCc2ccccc2[N+](=O)[O-])oc1C ZINC000532009802 383959670 /nfs/dbraw/zinc/95/96/70/383959670.db2.gz FIFXPXOWRLXCEM-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN C[C@@H](F)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000454245645 383961488 /nfs/dbraw/zinc/96/14/88/383961488.db2.gz NYCZBUYIHMOOOH-SSDOTTSWSA-N 0 0 273.239 2.604 20 5 CFBDRN CCCC[C@@H](C(=O)[O-])[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000573855664 384001448 /nfs/dbraw/zinc/00/14/48/384001448.db2.gz QUDRSLLELQIBLN-ZDUSSCGKSA-N 0 0 280.324 2.670 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC1(C2CC2)CC1 ZINC000532386303 384021577 /nfs/dbraw/zinc/02/15/77/384021577.db2.gz ILZFPXWIUPCOJM-UHFFFAOYSA-N 0 0 291.307 2.668 20 5 CFBDRN C[C@H]1c2ccccc2CCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532395995 384025383 /nfs/dbraw/zinc/02/53/83/384025383.db2.gz VIXUEMBARKBEHS-NSHDSACASA-N 0 0 297.314 2.749 20 5 CFBDRN C[C@@H](F)CCNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000454374746 384011581 /nfs/dbraw/zinc/01/15/81/384011581.db2.gz VHLDALHPTDAHIG-ZJUUUORDSA-N 0 0 283.303 2.703 20 5 CFBDRN CCOc1ccc(C(=O)NC2(CF)CCC2)cc1[N+](=O)[O-] ZINC000574086369 384042634 /nfs/dbraw/zinc/04/26/34/384042634.db2.gz FNSFRLTZCZHFEN-UHFFFAOYSA-N 0 0 296.298 2.616 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1C[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000454952087 384088356 /nfs/dbraw/zinc/08/83/56/384088356.db2.gz NEEZAMNKGGVNPC-SWPVVBRQSA-N 0 0 299.277 2.609 20 5 CFBDRN COC(=O)CC1(COc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000440566315 384104871 /nfs/dbraw/zinc/10/48/71/384104871.db2.gz DGOBITCOFYPZAI-UHFFFAOYSA-N 0 0 299.710 2.970 20 5 CFBDRN CC[C@@H](F)COc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC000440577770 384107449 /nfs/dbraw/zinc/10/74/49/384107449.db2.gz MSLVNYRYPVYGHY-SECBINFHSA-N 0 0 271.244 2.508 20 5 CFBDRN N#CN(CC(=O)c1cccc([N+](=O)[O-])c1)c1ccc(F)cc1 ZINC000440603830 384111912 /nfs/dbraw/zinc/11/19/12/384111912.db2.gz SKNMCGBLTLYZII-UHFFFAOYSA-N 0 0 299.261 2.904 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1C[C@H]2CC[C@@H](C1)O2 ZINC000374092216 285080311 /nfs/dbraw/zinc/08/03/11/285080311.db2.gz MSFIFNRXBVMEJN-PHIMTYICSA-N 0 0 285.303 2.511 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000487756792 285080501 /nfs/dbraw/zinc/08/05/01/285080501.db2.gz ANUZGOPTLVOCTC-YVLXSGLVSA-N 0 0 299.330 2.544 20 5 CFBDRN C[C@H](F)CCNC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000455056086 384127553 /nfs/dbraw/zinc/12/75/53/384127553.db2.gz WDFAQJGCCCXBDE-NSHDSACASA-N 0 0 297.330 2.527 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@@H](F)C1 ZINC000574818499 384150312 /nfs/dbraw/zinc/15/03/12/384150312.db2.gz XQDLEDGPYCPBPT-SNVBAGLBSA-N 0 0 291.282 2.650 20 5 CFBDRN C[C@H](NC(=O)NC1CC(C)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000455114512 384145082 /nfs/dbraw/zinc/14/50/82/384145082.db2.gz WBZFMHJQVZYDLK-VOIZVOFFSA-N 0 0 295.314 2.846 20 5 CFBDRN CCOC1(C)CN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000455170621 384159790 /nfs/dbraw/zinc/15/97/90/384159790.db2.gz OGDMCRIWOPVYQR-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1C[C@@H]2C[C@H]1CS2 ZINC000459793535 285088879 /nfs/dbraw/zinc/08/88/79/285088879.db2.gz KUSCOBNXDFFHCM-QWRGUYRKSA-N 0 0 284.768 2.938 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC([C@@H]2CCOC2)C1 ZINC000373684536 285089766 /nfs/dbraw/zinc/08/97/66/285089766.db2.gz KFXNAUUQAYUSKI-SECBINFHSA-N 0 0 282.727 2.721 20 5 CFBDRN CCc1nn(C)c(NCc2sc(C)nc2C)c1[N+](=O)[O-] ZINC000277131713 384209367 /nfs/dbraw/zinc/20/93/67/384209367.db2.gz PJGOMWUQSVWRDJ-UHFFFAOYSA-N 0 0 295.368 2.576 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1CC=CCC1 ZINC000277140633 384212730 /nfs/dbraw/zinc/21/27/30/384212730.db2.gz USINOHBCPSHAMP-LLVKDONJSA-N 0 0 290.319 2.690 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000277144042 384213385 /nfs/dbraw/zinc/21/33/85/384213385.db2.gz QQIIJVHOTFUJRD-SSDOTTSWSA-N 0 0 293.302 2.531 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC=C(F)C2)s1 ZINC000286090583 285099005 /nfs/dbraw/zinc/09/90/05/285099005.db2.gz CHDZMIWUAIUVKD-UHFFFAOYSA-N 0 0 280.284 2.663 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCCCCC2)s1 ZINC000287035089 285099128 /nfs/dbraw/zinc/09/91/28/285099128.db2.gz RCVAAUPMXCBDKD-UHFFFAOYSA-N 0 0 278.337 2.980 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C(C)(C)C1 ZINC000266908963 384259522 /nfs/dbraw/zinc/25/95/22/384259522.db2.gz KRVUGJXALWIOFK-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN COC1CCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000266964464 384272319 /nfs/dbraw/zinc/27/23/19/384272319.db2.gz JATXMYBVWWMQSR-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2CCCOCC2)s1 ZINC000286612801 285100925 /nfs/dbraw/zinc/10/09/25/285100925.db2.gz VLAKOHNHQRHZTN-QMMMGPOBSA-N 0 0 294.336 2.581 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC(C1CC1)C1CC1 ZINC000277403356 384291541 /nfs/dbraw/zinc/29/15/41/384291541.db2.gz HCNVAWXVZXTWFA-UHFFFAOYSA-N 0 0 290.319 2.522 20 5 CFBDRN CC[C@H]1OCCC[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000277591874 384347718 /nfs/dbraw/zinc/34/77/18/384347718.db2.gz HRYZMVVUGSBJKW-UONOGXRCSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000277598049 384349033 /nfs/dbraw/zinc/34/90/33/384349033.db2.gz KWHUOLCLOUQIQE-ZWNOBZJWSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2csc(N3CCC3)n2)cc1 ZINC000416398500 285104286 /nfs/dbraw/zinc/10/42/86/285104286.db2.gz BESAKUVRWCOUHK-UHFFFAOYSA-N 0 0 261.306 2.928 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H]2CCCC[C@@H]2CC)c1[N+](=O)[O-] ZINC000277787871 384405313 /nfs/dbraw/zinc/40/53/13/384405313.db2.gz VXEDCPZEIFOASQ-GXSJLCMTSA-N 0 0 294.355 2.579 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc(C(C)C)n2)c1 ZINC000277819972 384414516 /nfs/dbraw/zinc/41/45/16/384414516.db2.gz CNWWKUXOWQBWCH-UHFFFAOYSA-N 0 0 277.280 2.701 20 5 CFBDRN CCCc1nc(Cc2cc(OC)ccc2[N+](=O)[O-])no1 ZINC000277973738 384451538 /nfs/dbraw/zinc/45/15/38/384451538.db2.gz SXLLQBFSEROYCB-UHFFFAOYSA-N 0 0 277.280 2.530 20 5 CFBDRN C[C@H]1CN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C[C@H]1C ZINC000267741161 384454326 /nfs/dbraw/zinc/45/43/26/384454326.db2.gz WTWIGAMSXUJUCC-AOOOYVTPSA-N 0 0 289.335 2.572 20 5 CFBDRN CCO[C@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278094916 384473025 /nfs/dbraw/zinc/47/30/25/384473025.db2.gz HMXZEKXPXUOCEQ-RQJHMYQMSA-N 0 0 281.293 2.599 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CCO1 ZINC000278199535 384496579 /nfs/dbraw/zinc/49/65/79/384496579.db2.gz PLWUVVRPCMHROP-SFYZADRCSA-N 0 0 295.320 2.989 20 5 CFBDRN CCO[C@H](C)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278213042 384501240 /nfs/dbraw/zinc/50/12/40/384501240.db2.gz QKTUBTYUCJKDER-ZCFIWIBFSA-N 0 0 269.282 2.804 20 5 CFBDRN CCOc1cc(COc2cnn(CC)c2)ccc1[N+](=O)[O-] ZINC000289395544 197327302 /nfs/dbraw/zinc/32/73/02/197327302.db2.gz NFCRJRFINDGJJN-UHFFFAOYSA-N 0 0 291.307 2.789 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000352290941 220300846 /nfs/dbraw/zinc/30/08/46/220300846.db2.gz VEWIIABYDYCYOV-LLVKDONJSA-N 0 0 262.309 2.679 20 5 CFBDRN O=C(COc1ccc(Cl)cc1[N+](=O)[O-])CC1CC1 ZINC000278273275 384513381 /nfs/dbraw/zinc/51/33/81/384513381.db2.gz PHDVNBWVJYASIY-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC=C(F)C2)c([N+](=O)[O-])c1 ZINC000272518353 285122150 /nfs/dbraw/zinc/12/21/50/285122150.db2.gz NJUDPUMIKQZUSI-UHFFFAOYSA-N 0 0 267.216 2.567 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)c(F)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000268188685 384559736 /nfs/dbraw/zinc/55/97/36/384559736.db2.gz MHBDJPRWGDNQLA-NXEZZACHSA-N 0 0 298.314 2.746 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCOCC2CC2)c1 ZINC000359948036 220316795 /nfs/dbraw/zinc/31/67/95/220316795.db2.gz WSTHIPPZHVFDJT-UHFFFAOYSA-N 0 0 255.245 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1CCCSC1)CCN2 ZINC000278436926 384572743 /nfs/dbraw/zinc/57/27/43/384572743.db2.gz QFSZFNNYOZRPIA-SECBINFHSA-N 0 0 279.365 2.870 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278439922 384574464 /nfs/dbraw/zinc/57/44/64/384574464.db2.gz KGQXJFAXATZLAH-AAEUAGOBSA-N 0 0 290.323 2.841 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278439926 384575313 /nfs/dbraw/zinc/57/53/13/384575313.db2.gz KGQXJFAXATZLAH-DGCLKSJQSA-N 0 0 290.323 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2ccncc2)nc1 ZINC000270929386 285124765 /nfs/dbraw/zinc/12/47/65/285124765.db2.gz KKJBIQBEASFQDE-ZDUSSCGKSA-N 0 0 270.292 2.726 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCCC[C@H]2CC(C)C)c1[N+](=O)[O-] ZINC000268256088 384582214 /nfs/dbraw/zinc/58/22/14/384582214.db2.gz YANJIISNXXXALH-NSHDSACASA-N 0 0 294.355 2.667 20 5 CFBDRN CCC(CC)(CO)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278461922 384582620 /nfs/dbraw/zinc/58/26/20/384582620.db2.gz SUYHHKBHHQTHHQ-UHFFFAOYSA-N 0 0 293.367 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](O)CC2)c(Cl)c1 ZINC000294204397 285123683 /nfs/dbraw/zinc/12/36/83/285123683.db2.gz UJJHJFCZIIGRLV-SNVBAGLBSA-N 0 0 270.716 2.599 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000289301575 197289586 /nfs/dbraw/zinc/28/95/86/197289586.db2.gz VKAFGXBBHUUAFC-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H](C(C)(C)C)C2)n1 ZINC000279061122 384606174 /nfs/dbraw/zinc/60/61/74/384606174.db2.gz AWMRCUJCWZBHDX-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN CO[C@H](C)CN(C)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000413298672 533079723 /nfs/dbraw/zinc/07/97/23/533079723.db2.gz SQNRJXKLUFBDSD-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)O1 ZINC000268409614 384619519 /nfs/dbraw/zinc/61/95/19/384619519.db2.gz ULYFRPWSNQPEJS-STQMWFEESA-N 0 0 279.292 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]([C@H]3CCOC3)C2)c(F)c1 ZINC000378236028 285128992 /nfs/dbraw/zinc/12/89/92/285128992.db2.gz YCEIUSQGDDZPHA-MNOVXSKESA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](F)C2)c2cccnc21 ZINC000413322781 285129266 /nfs/dbraw/zinc/12/92/66/285129266.db2.gz HIVZZKTXGMOQSB-VIFPVBQESA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccc(F)cc32)cn1 ZINC000344438016 285131990 /nfs/dbraw/zinc/13/19/90/285131990.db2.gz SFUYRDSVBNCFRH-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(CCOC3)C2)c2ccncc21 ZINC000301610167 285131402 /nfs/dbraw/zinc/13/14/02/285131402.db2.gz QJEVZQIROBEEBT-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1nc(CCNc2ccc([N+](=O)[O-])cn2)sc1C ZINC000268583522 384635195 /nfs/dbraw/zinc/63/51/95/384635195.db2.gz FOWSZAWYRDMYSX-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CCC(C)(CC)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000279443109 384639193 /nfs/dbraw/zinc/63/91/93/384639193.db2.gz NLUDBTCOQMNPSR-UHFFFAOYSA-N 0 0 283.303 2.625 20 5 CFBDRN CCCCCn1cc([N+](=O)[O-])cc(Br)c1=O ZINC000268637237 384641842 /nfs/dbraw/zinc/64/18/42/384641842.db2.gz RNXWQNZBPXWKHR-UHFFFAOYSA-N 0 0 289.129 2.709 20 5 CFBDRN CCCN(CC(C)(C)O)c1ncc([N+](=O)[O-])cc1Cl ZINC000268635014 384642655 /nfs/dbraw/zinc/64/26/55/384642655.db2.gz PSABVICUFQLDBA-UHFFFAOYSA-N 0 0 287.747 2.631 20 5 CFBDRN Cc1noc(C)c1Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000268708156 384650654 /nfs/dbraw/zinc/65/06/54/384650654.db2.gz FTHUQOPJXGBZNU-UHFFFAOYSA-N 0 0 286.291 2.906 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(c2ncccn2)C2CC2)c1 ZINC000269101462 384725828 /nfs/dbraw/zinc/72/58/28/384725828.db2.gz SHIWUPQTNSOZBI-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCOC2(CCCC2)C1 ZINC000268871996 384681368 /nfs/dbraw/zinc/68/13/68/384681368.db2.gz URDFDPAXVNUJQO-UHFFFAOYSA-N 0 0 280.299 2.883 20 5 CFBDRN Cc1cc(N(C)CCCn2ccnc2)ccc1[N+](=O)[O-] ZINC000268933554 384695592 /nfs/dbraw/zinc/69/55/92/384695592.db2.gz CTAFOBRTSRICRR-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000269261631 384741511 /nfs/dbraw/zinc/74/15/11/384741511.db2.gz ZLJFECDRLCXGGV-JTQLQIEISA-N 0 0 266.297 2.705 20 5 CFBDRN COc1cc(NCCCOC(C)C)c([N+](=O)[O-])cc1F ZINC000269307024 384745730 /nfs/dbraw/zinc/74/57/30/384745730.db2.gz XASPYOWHGUYRTQ-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc(CCCCNc2ncc(F)cn2)cc1 ZINC000269501101 384769736 /nfs/dbraw/zinc/76/97/36/384769736.db2.gz QEYYFGTZZYXPQL-UHFFFAOYSA-N 0 0 290.298 2.959 20 5 CFBDRN CN(CCc1nccs1)c1ccc([N+](=O)[O-])cc1 ZINC000269866541 384886462 /nfs/dbraw/zinc/88/64/62/384886462.db2.gz KYCXTJDRDAABTC-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCN(c3ccccn3)C2)c1 ZINC000269868536 384887673 /nfs/dbraw/zinc/88/76/73/384887673.db2.gz LTCKDHKAEHFDLK-CYBMUJFWSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1cc(OCC(=O)C2(C)CC2)c(F)cc1[N+](=O)[O-] ZINC000280020429 384851602 /nfs/dbraw/zinc/85/16/02/384851602.db2.gz UWBFDOIBZDWDGE-UHFFFAOYSA-N 0 0 267.256 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(c1ncccc1F)CCO2 ZINC000357775678 285143223 /nfs/dbraw/zinc/14/32/23/285143223.db2.gz QKVIMOZTSFPBPS-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN Cc1nc(S[C@@H]2CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000269895179 384898161 /nfs/dbraw/zinc/89/81/61/384898161.db2.gz DIZBLAFYRFFRRA-WCBMZHEXSA-N 0 0 254.311 2.568 20 5 CFBDRN Cc1ccc(N2CCO[C@@H]3CCC[C@H]32)c([N+](=O)[O-])c1 ZINC000269914261 384903360 /nfs/dbraw/zinc/90/33/60/384903360.db2.gz JBBCQHDFORETOT-TZMCWYRMSA-N 0 0 262.309 2.661 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1[C@H]2CCO[C@@H]2C12CCCC2 ZINC000269952953 384917183 /nfs/dbraw/zinc/91/71/83/384917183.db2.gz JUMZJHRCEYLFLC-RTXFEEFZSA-N 0 0 289.335 2.749 20 5 CFBDRN Cc1noc(CCCOc2ccc([N+](=O)[O-])cc2C)n1 ZINC000270127382 384987200 /nfs/dbraw/zinc/98/72/00/384987200.db2.gz SBUFKFFCQOPEFQ-UHFFFAOYSA-N 0 0 277.280 2.606 20 5 CFBDRN COc1ccc(CN2CC=C(C)CC2)cc1[N+](=O)[O-] ZINC000270142376 384990906 /nfs/dbraw/zinc/99/09/06/384990906.db2.gz IOZVSBWCOHXHGA-UHFFFAOYSA-N 0 0 262.309 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CCC(F)(F)C2)c1 ZINC000450428433 285146462 /nfs/dbraw/zinc/14/64/62/285146462.db2.gz WFESEPFQWFCFHX-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@]4(CCOC4)C3)ccc2c1 ZINC000301588717 285150335 /nfs/dbraw/zinc/15/03/35/285150335.db2.gz CCUYHWIIPPHHOS-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000289223091 197266932 /nfs/dbraw/zinc/26/69/32/197266932.db2.gz NGRMSTKFFXICAL-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN C[C@@H](c1ccc(F)cc1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000280495982 385035480 /nfs/dbraw/zinc/03/54/80/385035480.db2.gz MPBNROXPNONRPE-VIFPVBQESA-N 0 0 278.287 2.665 20 5 CFBDRN CO[C@H](C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000280504631 385039385 /nfs/dbraw/zinc/03/93/85/385039385.db2.gz RAXWRNYXSQYRMQ-YGRLFVJLSA-N 0 0 292.335 2.505 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000289231918 197269876 /nfs/dbraw/zinc/26/98/76/197269876.db2.gz NYNJYVOMVXDGTH-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@](C)(O)CC)c([N+](=O)[O-])c1 ZINC000280729323 385132089 /nfs/dbraw/zinc/13/20/89/385132089.db2.gz NFNXMDKTHTWEEQ-AWEZNQCLSA-N 0 0 280.324 2.760 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](C)CCCO)c([N+](=O)[O-])c1 ZINC000280734708 385133594 /nfs/dbraw/zinc/13/35/94/385133594.db2.gz NOWONPYJUAAILV-SNVBAGLBSA-N 0 0 280.324 2.760 20 5 CFBDRN Cc1c(NC(=O)C2SCCS2)cccc1[N+](=O)[O-] ZINC000270427567 385100762 /nfs/dbraw/zinc/10/07/62/385100762.db2.gz UWFBVAKBWQNQCS-UHFFFAOYSA-N 0 0 284.362 2.648 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000280666372 385106776 /nfs/dbraw/zinc/10/67/76/385106776.db2.gz HGCVEORCTPJIAD-DOMZBBRYSA-N 0 0 292.335 2.903 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000289176639 197253733 /nfs/dbraw/zinc/25/37/33/197253733.db2.gz VPAUZTKFYDQQBF-CYBMUJFWSA-N 0 0 299.758 2.632 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC(c2c[nH]cn2)CC1 ZINC000342477000 285155597 /nfs/dbraw/zinc/15/55/97/285155597.db2.gz FXOHCTSXCWYFDZ-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN O=C(NCC1CC1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] ZINC000281283837 385189760 /nfs/dbraw/zinc/18/97/60/385189760.db2.gz XEVRWACJLALNOE-UHFFFAOYSA-N 0 0 297.314 2.797 20 5 CFBDRN CO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)[C@@H](C)C1 ZINC000281728929 385202527 /nfs/dbraw/zinc/20/25/27/385202527.db2.gz WBTIGSYEJBBHQZ-WCBMZHEXSA-N 0 0 285.731 2.647 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(NCCCCCO)c1 ZINC000270573282 385150243 /nfs/dbraw/zinc/15/02/43/385150243.db2.gz NQJNCXQWQKIEAH-UHFFFAOYSA-N 0 0 290.266 2.771 20 5 CFBDRN CCC(=O)c1ccc(S[C@H](C)CO)c([N+](=O)[O-])c1 ZINC000280780963 385150806 /nfs/dbraw/zinc/15/08/06/385150806.db2.gz SUXVZMDVLMXIQW-MRVPVSSYSA-N 0 0 269.322 2.660 20 5 CFBDRN CCN(CC1CC1)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270588553 385156999 /nfs/dbraw/zinc/15/69/99/385156999.db2.gz XTIJFJQVGXWRMN-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC(F)(F)C1 ZINC000345966341 285154849 /nfs/dbraw/zinc/15/48/49/285154849.db2.gz WNIHNPIIDFRODV-UHFFFAOYSA-N 0 0 260.215 2.575 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOCC23CCCC3)c1 ZINC000270620572 385166900 /nfs/dbraw/zinc/16/69/00/385166900.db2.gz JYQLUUBYUKFJNX-UHFFFAOYSA-N 0 0 276.336 2.740 20 5 CFBDRN O=C(NC[C@H]1CCC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000280842251 385175967 /nfs/dbraw/zinc/17/59/67/385175967.db2.gz YZAVDJLHNWKWGD-VIFPVBQESA-N 0 0 284.262 2.760 20 5 CFBDRN CCC(O)(CC)CCNc1cc(N)ccc1[N+](=O)[O-] ZINC000282136730 385222425 /nfs/dbraw/zinc/22/24/25/385222425.db2.gz AJXGLXZCSIZHDE-UHFFFAOYSA-N 0 0 267.329 2.530 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)[C@@H](O)C(F)(F)F ZINC000270788558 385225962 /nfs/dbraw/zinc/22/59/62/385225962.db2.gz LCZSWWJEQSZAMA-PSASIEDQSA-N 0 0 296.220 2.848 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000282149318 385229129 /nfs/dbraw/zinc/22/91/29/385229129.db2.gz BQHRIWNNLPMNFU-UHFFFAOYSA-N 0 0 293.302 2.693 20 5 CFBDRN CC(C)(C)n1ncnc1COc1ccc([N+](=O)[O-])cc1F ZINC000282209079 385247641 /nfs/dbraw/zinc/24/76/41/385247641.db2.gz MQBQOJBCQDIOBZ-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC(CF)C1 ZINC000413501960 285157318 /nfs/dbraw/zinc/15/73/18/285157318.db2.gz MKQSBKMYZNVCDR-UHFFFAOYSA-N 0 0 261.256 2.549 20 5 CFBDRN C[N@H+](CCc1cccc([N+](=O)[O-])c1)Cc1ccncc1 ZINC000282485096 385324329 /nfs/dbraw/zinc/32/43/29/385324329.db2.gz PFLXLCILMQDQFD-UHFFFAOYSA-N 0 0 271.320 2.664 20 5 CFBDRN CSCCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282450809 385314340 /nfs/dbraw/zinc/31/43/40/385314340.db2.gz NUFCFOUILHIVRW-UHFFFAOYSA-N 0 0 272.301 2.734 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000282471036 385321225 /nfs/dbraw/zinc/32/12/25/385321225.db2.gz HVIUASXJSRMHJF-COPLHBTASA-N 0 0 293.319 2.931 20 5 CFBDRN COc1cccc(CCCn2cc(C)c([N+](=O)[O-])n2)c1 ZINC000282314358 385280897 /nfs/dbraw/zinc/28/08/97/385280897.db2.gz SSKWRKBUGXCQKP-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN CSCC(C)(C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000282326283 385283630 /nfs/dbraw/zinc/28/36/30/385283630.db2.gz YUDFVAABMIOVDA-UHFFFAOYSA-N 0 0 282.365 2.600 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCc2ncccc2C1 ZINC000367860760 285160695 /nfs/dbraw/zinc/16/06/95/285160695.db2.gz WGXNGZIJVOEJAE-UHFFFAOYSA-N 0 0 269.304 2.548 20 5 CFBDRN COc1cc(NCC[C@@H](C)OC)c(F)cc1[N+](=O)[O-] ZINC000271090702 385333810 /nfs/dbraw/zinc/33/38/10/385333810.db2.gz KUNMHJFRRUBMJH-MRVPVSSYSA-N 0 0 272.276 2.579 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])c(C)cc2F)O1 ZINC000282550763 385339364 /nfs/dbraw/zinc/33/93/64/385339364.db2.gz XFYITQIYBHFVOI-RNCFNFMXSA-N 0 0 296.298 2.938 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])c(C)cc2F)O1 ZINC000282550770 385339446 /nfs/dbraw/zinc/33/94/46/385339446.db2.gz XFYITQIYBHFVOI-ZANVPECISA-N 0 0 296.298 2.938 20 5 CFBDRN COc1cc(N2C[C@H]3CCC[C@@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000271123383 385342605 /nfs/dbraw/zinc/34/26/05/385342605.db2.gz YSQWNRGWGKOAOR-NXEZZACHSA-N 0 0 280.299 2.979 20 5 CFBDRN CC[C@H](C)N(CC(=O)OC)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000282604925 385351627 /nfs/dbraw/zinc/35/16/27/385351627.db2.gz YIKWLOHCHXFHJM-JTQLQIEISA-N 0 0 298.314 2.507 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CC)c1 ZINC000282633605 385357683 /nfs/dbraw/zinc/35/76/83/385357683.db2.gz KFOLZSUAEHRULW-SECBINFHSA-N 0 0 266.297 2.522 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000282713182 385375035 /nfs/dbraw/zinc/37/50/35/385375035.db2.gz RCQBMLYPCFFSAK-NWDGAFQWSA-N 0 0 279.292 2.628 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ncc[nH]1 ZINC000282730064 385378009 /nfs/dbraw/zinc/37/80/09/385378009.db2.gz CJWIIUDAZKRQQP-SNVBAGLBSA-N 0 0 294.336 2.507 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000271266673 385379019 /nfs/dbraw/zinc/37/90/19/385379019.db2.gz MAKWKRKLVVOLOI-BDAKNGLRSA-N 0 0 298.726 2.546 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)[C@H]2C)c1 ZINC000282759939 385384687 /nfs/dbraw/zinc/38/46/87/385384687.db2.gz CMHIRINLWLHYAX-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc(N2CCO[C@@H]3CCC[C@H]32)c(F)cc1[N+](=O)[O-] ZINC000271612659 385386709 /nfs/dbraw/zinc/38/67/09/385386709.db2.gz KNCAEMRVFLGLPT-ZWNOBZJWSA-N 0 0 296.298 2.500 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(Cc2cnns2)C2CC2)cc1 ZINC000289017375 197214639 /nfs/dbraw/zinc/21/46/39/197214639.db2.gz AMJULXCFVIDGAJ-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN C[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000271922090 385402571 /nfs/dbraw/zinc/40/25/71/385402571.db2.gz FBJOSTXHQPAMSU-RKDXNWHRSA-N 0 0 296.352 2.674 20 5 CFBDRN CC(C)[C@@H](C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000282878885 385404524 /nfs/dbraw/zinc/40/45/24/385404524.db2.gz ARFSOJBJALBBNY-GFCCVEGCSA-N 0 0 278.352 2.888 20 5 CFBDRN C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000272015380 385425898 /nfs/dbraw/zinc/42/58/98/385425898.db2.gz RAKLGDTWCURIBR-GXFFZTMASA-N 0 0 293.323 2.674 20 5 CFBDRN Cn1ccc(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)c1 ZINC000271984943 385419052 /nfs/dbraw/zinc/41/90/52/385419052.db2.gz GGKYOAIQPRCUJV-UHFFFAOYSA-N 0 0 285.303 2.526 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000272060263 385438727 /nfs/dbraw/zinc/43/87/27/385438727.db2.gz CBYPHDXRWSHEOP-WUWHUORYSA-N 0 0 274.320 2.818 20 5 CFBDRN CC(C)C(=O)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000272082966 385443255 /nfs/dbraw/zinc/44/32/55/385443255.db2.gz GMNHHHLWFOGFIC-UHFFFAOYSA-N 0 0 273.292 2.683 20 5 CFBDRN O=C(CC1CC1)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272093962 385445368 /nfs/dbraw/zinc/44/53/68/385445368.db2.gz DWRLUMDBBRHRPM-UHFFFAOYSA-N 0 0 282.727 2.707 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CCCSC1 ZINC000288953436 197199401 /nfs/dbraw/zinc/19/94/01/197199401.db2.gz KPKVDVZTNJUMKJ-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN O=C(NCC1CCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272120775 385453109 /nfs/dbraw/zinc/45/31/09/385453109.db2.gz RXWLYFMCFUUAOQ-UHFFFAOYSA-N 0 0 284.262 2.603 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1COc2ccc(F)cc21 ZINC000341580022 285167431 /nfs/dbraw/zinc/16/74/31/285167431.db2.gz DZQNQESOYYKHML-JTQLQIEISA-N 0 0 275.239 2.675 20 5 CFBDRN COc1cc(N[C@@H](C)[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272055077 385437346 /nfs/dbraw/zinc/43/73/46/385437346.db2.gz YGJABNXRRPXZMD-GWCFXTLKSA-N 0 0 280.324 2.973 20 5 CFBDRN COCCCCNc1c(C)c([N+](=O)[O-])ccc1F ZINC000283424608 385464614 /nfs/dbraw/zinc/46/46/14/385464614.db2.gz GUSHVJABWQPWNW-UHFFFAOYSA-N 0 0 256.277 2.881 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1ncc([N+](=O)[O-])cc1Cl ZINC000272164846 385464749 /nfs/dbraw/zinc/46/47/49/385464749.db2.gz FTYXTIUEBLPBRZ-JOYOIKCWSA-N 0 0 299.758 2.631 20 5 CFBDRN C[C@@H]1CCC[C@H]1CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288921725 197192393 /nfs/dbraw/zinc/19/23/93/197192393.db2.gz VBLBESBPLBUGDQ-MNOVXSKESA-N 0 0 277.324 2.779 20 5 CFBDRN Cc1[nH]ccc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283448152 385466896 /nfs/dbraw/zinc/46/68/96/385466896.db2.gz WOPKLLFCFPBTMK-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN C[C@@H]1CCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000272250558 385487973 /nfs/dbraw/zinc/48/79/73/385487973.db2.gz SPLNYEQAIURGMP-ZJUUUORDSA-N 0 0 298.289 2.944 20 5 CFBDRN CCC1CCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC1 ZINC000283475599 385468876 /nfs/dbraw/zinc/46/88/76/385468876.db2.gz MRYPDGZYSHLJSX-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN CC(C)=CC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283626251 385482642 /nfs/dbraw/zinc/48/26/42/385482642.db2.gz OPQJMGVENPDKJT-UHFFFAOYSA-N 0 0 262.309 2.562 20 5 CFBDRN C/C=C(\C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272236399 385483685 /nfs/dbraw/zinc/48/36/85/385483685.db2.gz GKJRSOHIWDZGOI-YCRREMRBSA-N 0 0 282.727 2.873 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCC23CCOCC3)s1 ZINC000283393095 385460880 /nfs/dbraw/zinc/46/08/80/385460880.db2.gz DZYZSZCKNQFCRH-SECBINFHSA-N 0 0 283.353 2.813 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N2CCCCO2)cc1[N+](=O)[O-] ZINC000283705011 385491317 /nfs/dbraw/zinc/49/13/17/385491317.db2.gz SSCHSMXXHXVHLC-LLVKDONJSA-N 0 0 293.323 2.701 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CCC[C@@H](CO)C1 ZINC000272262122 385491694 /nfs/dbraw/zinc/49/16/94/385491694.db2.gz BUAIHJLMYVFXBI-QWHCGFSZSA-N 0 0 278.352 2.669 20 5 CFBDRN Nc1ccc(NCCc2ccc3c(c2)CCO3)c([N+](=O)[O-])c1 ZINC000283756191 385495649 /nfs/dbraw/zinc/49/56/49/385495649.db2.gz LZGLQYMFXULLKO-UHFFFAOYSA-N 0 0 299.330 2.767 20 5 CFBDRN C[C@@H](C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000272282848 385497185 /nfs/dbraw/zinc/49/71/85/385497185.db2.gz LPXJAZKNXOLFDE-SECBINFHSA-N 0 0 296.754 2.953 20 5 CFBDRN C[C@H](C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000272282851 385497357 /nfs/dbraw/zinc/49/73/57/385497357.db2.gz LPXJAZKNXOLFDE-VIFPVBQESA-N 0 0 296.754 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CCO)CCCC2)cc1 ZINC000288892783 197186850 /nfs/dbraw/zinc/18/68/50/197186850.db2.gz HSEGHIAOCUFLLA-UHFFFAOYSA-N 0 0 250.298 2.702 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOc3ccc(F)cc3C2)s1 ZINC000336997163 285175688 /nfs/dbraw/zinc/17/56/88/285175688.db2.gz PABFCWVFCSTIHU-UHFFFAOYSA-N 0 0 295.295 2.589 20 5 CFBDRN Cc1cc(NCCc2csc(N)n2)ccc1[N+](=O)[O-] ZINC000288888512 197186412 /nfs/dbraw/zinc/18/64/12/197186412.db2.gz HFXPUCREKSZGJC-UHFFFAOYSA-N 0 0 278.337 2.597 20 5 CFBDRN CCC[C@H]1C[C@H]1NC(=O)c1cc(OCC)ccc1[N+](=O)[O-] ZINC000283915745 385513948 /nfs/dbraw/zinc/51/39/48/385513948.db2.gz JUIKDPRGHOVWPO-GXFFZTMASA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1nn(CCC(=O)O[C@H]2CC[C@@H](C)C2)c(C)c1[N+](=O)[O-] ZINC000272371492 385520889 /nfs/dbraw/zinc/52/08/89/385520889.db2.gz URGJYXIHZALEJW-SKDRFNHKSA-N 0 0 295.339 2.530 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1 ZINC000286222834 385574028 /nfs/dbraw/zinc/57/40/28/385574028.db2.gz CDQQWRFOAWNLQT-WDEREUQCSA-N 0 0 250.298 2.679 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCc3sccc32)nc1 ZINC000061364175 285182557 /nfs/dbraw/zinc/18/25/57/285182557.db2.gz FJHWHDXYDZNMTI-JTQLQIEISA-N 0 0 276.321 2.936 20 5 CFBDRN CCCCCN(CCCOC)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000272545186 385562594 /nfs/dbraw/zinc/56/25/94/385562594.db2.gz LHGDFXMOLXOIGT-UHFFFAOYSA-N 0 0 297.355 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@H](C3CC3)C2)nc1 ZINC000302171338 285180756 /nfs/dbraw/zinc/18/07/56/285180756.db2.gz UTEOJYIFCZDTGW-WDEREUQCSA-N 0 0 262.313 2.766 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc([N+](=O)[O-])c(C)c2)[nH]1 ZINC000286343723 385593789 /nfs/dbraw/zinc/59/37/89/385593789.db2.gz JVBDOHPNNXPOAB-QMMMGPOBSA-N 0 0 261.285 2.503 20 5 CFBDRN CCC(=O)c1ccc(N(C)[C@H]2C[C@@H](OC)C2)c([N+](=O)[O-])c1 ZINC000286358062 385597152 /nfs/dbraw/zinc/59/71/52/385597152.db2.gz NAKGPZBXJDUKKH-TXEJJXNPSA-N 0 0 292.335 2.801 20 5 CFBDRN O=C1CCC(COc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000286271722 385580728 /nfs/dbraw/zinc/58/07/28/385580728.db2.gz GHFWKXFMEINENJ-UHFFFAOYSA-N 0 0 267.256 2.872 20 5 CFBDRN CCSCCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000286271650 385580848 /nfs/dbraw/zinc/58/08/48/385580848.db2.gz BYSCSWRDEIBYNS-UHFFFAOYSA-N 0 0 255.343 2.732 20 5 CFBDRN CC[C@H](C)CN(C)c1c([N+](=O)[O-])nc(C)n1CC ZINC000288785258 197165325 /nfs/dbraw/zinc/16/53/25/197165325.db2.gz FLKPUTKUFPNBJR-VIFPVBQESA-N 0 0 254.334 2.602 20 5 CFBDRN CSCc1ccc(CNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000286277549 385582231 /nfs/dbraw/zinc/58/22/31/385582231.db2.gz GPZVNKNLVKMMRO-UHFFFAOYSA-N 0 0 292.364 2.803 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272650007 385582395 /nfs/dbraw/zinc/58/23/95/385582395.db2.gz DYHXVYZQXVUJHG-NXEZZACHSA-N 0 0 278.312 2.785 20 5 CFBDRN Cc1cnc(NC[C@H]2COc3ccccc32)c([N+](=O)[O-])c1 ZINC000288783734 197164713 /nfs/dbraw/zinc/16/47/13/197164713.db2.gz DQXXOTFYNJMGEL-NSHDSACASA-N 0 0 285.303 2.886 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@H]1[C@H]1CCCO1 ZINC000286309657 385586521 /nfs/dbraw/zinc/58/65/21/385586521.db2.gz FCDPGMPTWLOSDD-WCQYABFASA-N 0 0 280.299 2.882 20 5 CFBDRN COc1cc(NC[C@@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000286331893 385591884 /nfs/dbraw/zinc/59/18/84/385591884.db2.gz KJACJJBHBGZFMT-QWRGUYRKSA-N 0 0 280.324 2.688 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1[C@H](C)CC[C@@H]1C ZINC000427389171 533136074 /nfs/dbraw/zinc/13/60/74/533136074.db2.gz FWUUPOVEHNGHNY-AOOOYVTPSA-N 0 0 278.308 2.616 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000286445511 385622291 /nfs/dbraw/zinc/62/22/91/385622291.db2.gz RPJAMVRQDAJRHW-JQWIXIFHSA-N 0 0 292.335 2.882 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2c1ccc(C)cc1[N+](=O)[O-] ZINC000286446897 385622352 /nfs/dbraw/zinc/62/23/52/385622352.db2.gz SZGDPEWKAKVQHD-GWIBLVFBSA-N 0 0 288.347 2.763 20 5 CFBDRN Cc1cc(N2CCCCC[C@@H]2C[C@H](C)O)ncc1[N+](=O)[O-] ZINC000286446964 385622384 /nfs/dbraw/zinc/62/23/84/385622384.db2.gz SZPHNHWVAHSVHS-QWHCGFSZSA-N 0 0 293.367 2.818 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000272816005 385623009 /nfs/dbraw/zinc/62/30/09/385623009.db2.gz NZKSGWXHUZAUAT-MRVPVSSYSA-N 0 0 284.337 2.684 20 5 CFBDRN COc1cccc(NC[C@@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC000286460144 385626935 /nfs/dbraw/zinc/62/69/35/385626935.db2.gz SRJANECBZVGUBG-QWRGUYRKSA-N 0 0 280.324 2.688 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC(=O)CC(C)(C)C ZINC000286460128 385627148 /nfs/dbraw/zinc/62/71/48/385627148.db2.gz GGJFXWHNMDNMMX-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN CCC1(CNC(=O)Nc2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000272875498 385639258 /nfs/dbraw/zinc/63/92/58/385639258.db2.gz VTJWPVPKDDLXAQ-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])cc1)c1nncn1C ZINC000286558517 385649348 /nfs/dbraw/zinc/64/93/48/385649348.db2.gz KKSSEVUXHDUZPI-LBPRGKRZSA-N 0 0 275.312 2.533 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CCCCS1 ZINC000272911628 385650820 /nfs/dbraw/zinc/65/08/20/385650820.db2.gz CNCVYDKEGDHGBL-NSHDSACASA-N 0 0 285.296 2.925 20 5 CFBDRN C[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)C1=CC[N@H+](C)CC1 ZINC000272932205 385657372 /nfs/dbraw/zinc/65/73/72/385657372.db2.gz LFHCRCZCIQNWMQ-SECBINFHSA-N 0 0 297.305 2.935 20 5 CFBDRN CCC[C@]1(CO)CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000288682852 197144172 /nfs/dbraw/zinc/14/41/72/197144172.db2.gz CTINCBGNMBPAMB-ZDUSSCGKSA-N 0 0 284.381 2.641 20 5 CFBDRN CCCN(c1ncnc2sc([N+](=O)[O-])cc21)C1CC1 ZINC000286612813 385665988 /nfs/dbraw/zinc/66/59/88/385665988.db2.gz VLEUOCIQHCFGPL-UHFFFAOYSA-N 0 0 278.337 2.978 20 5 CFBDRN COc1cc(NCC2=CCCOC2)c([N+](=O)[O-])cc1F ZINC000288676830 197143402 /nfs/dbraw/zinc/14/34/02/197143402.db2.gz ZRHXKZLHMWWNBX-UHFFFAOYSA-N 0 0 282.271 2.501 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000286647328 385675428 /nfs/dbraw/zinc/67/54/28/385675428.db2.gz XPGIFCMWOUXIHU-LLVKDONJSA-N 0 0 278.308 2.778 20 5 CFBDRN CC(C)[C@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000286670285 385683038 /nfs/dbraw/zinc/68/30/38/385683038.db2.gz YVOVZZHLVPWTJM-SMDDNHRTSA-N 0 0 279.340 2.793 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000286670282 385683440 /nfs/dbraw/zinc/68/34/40/385683440.db2.gz YVOVZZHLVPWTJM-FZMZJTMJSA-N 0 0 279.340 2.793 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCC[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000286743604 385700760 /nfs/dbraw/zinc/70/07/60/385700760.db2.gz RAUODRXSYWQTKY-FZMZJTMJSA-N 0 0 292.335 2.760 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000286751355 385702501 /nfs/dbraw/zinc/70/25/01/385702501.db2.gz OOXWJCJJANPJME-GWJCSSMESA-N 0 0 274.320 2.913 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000286763366 385704974 /nfs/dbraw/zinc/70/49/74/385704974.db2.gz PNTWBBRQFCDJBM-ZDUSSCGKSA-N 0 0 291.351 2.702 20 5 CFBDRN CCC(CC)[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cn2)CCO1 ZINC000274038620 385706413 /nfs/dbraw/zinc/70/64/13/385706413.db2.gz XMGGQEGARDXEKE-DGCLKSJQSA-N 0 0 294.355 2.781 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000286784659 385709386 /nfs/dbraw/zinc/70/93/86/385709386.db2.gz RJOOHOFPWDISSM-LLVKDONJSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000286804363 385713404 /nfs/dbraw/zinc/71/34/04/385713404.db2.gz SVVLNQRQDZAGQM-CYBMUJFWSA-N 0 0 276.336 2.751 20 5 CFBDRN O=C(NC[C@H]1CCCSC1)c1ccc([N+](=O)[O-])cc1F ZINC000286879887 385729533 /nfs/dbraw/zinc/72/95/33/385729533.db2.gz RZKBGHKYGKMNFN-SECBINFHSA-N 0 0 298.339 2.607 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC[C@H]1C[C@H]1C ZINC000274295035 385758177 /nfs/dbraw/zinc/75/81/77/385758177.db2.gz RYWBKMPYQRFAQZ-LDYMZIIASA-N 0 0 277.324 2.989 20 5 CFBDRN CC[C@@H](C)N(CCO)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000287043188 385768435 /nfs/dbraw/zinc/76/84/35/385768435.db2.gz SETLBMCKGVXPCA-SNVBAGLBSA-N 0 0 295.339 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3CCCCS3)no2)[nH]1 ZINC000274113548 385721759 /nfs/dbraw/zinc/72/17/59/385721759.db2.gz UJNLYYGCFBXHSQ-MRVPVSSYSA-N 0 0 280.309 2.931 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C[C@H](C)O1 ZINC000287295246 385820336 /nfs/dbraw/zinc/82/03/36/385820336.db2.gz LEFAXAJSGMSZDA-GXFFZTMASA-N 0 0 292.335 2.801 20 5 CFBDRN COc1cccc(NCC2(CCO)CCCC2)c1[N+](=O)[O-] ZINC000274698238 385852091 /nfs/dbraw/zinc/85/20/91/385852091.db2.gz OUGGMKDIIZDPGA-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000274979463 385905759 /nfs/dbraw/zinc/90/57/59/385905759.db2.gz BNEDEUHEDDQFRW-WCQYABFASA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cccc(N2CCC(C)(CO)CC2)c1[N+](=O)[O-] ZINC000275403106 385976799 /nfs/dbraw/zinc/97/67/99/385976799.db2.gz FFSWOKQZNUCSKY-UHFFFAOYSA-N 0 0 264.325 2.502 20 5 CFBDRN C[C@@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])CCC1=O ZINC000275392197 385976956 /nfs/dbraw/zinc/97/69/56/385976956.db2.gz QGBCLRUOOAATQO-SNVBAGLBSA-N 0 0 285.303 2.558 20 5 CFBDRN Cc1nc(N2CCC([C@H]3CCCO3)CC2)ccc1[N+](=O)[O-] ZINC000276047512 385982444 /nfs/dbraw/zinc/98/24/44/385982444.db2.gz QEOLWYYLPGVAAW-CQSZACIVSA-N 0 0 291.351 2.694 20 5 CFBDRN C[C@@H]1CC[C@H](COc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000276624933 385995146 /nfs/dbraw/zinc/99/51/46/385995146.db2.gz UFHYXOZOPKJYDE-LDYMZIIASA-N 0 0 255.245 2.680 20 5 CFBDRN CC(C)(CCO)CNc1c(F)cccc1[N+](=O)[O-] ZINC000288543853 197108627 /nfs/dbraw/zinc/10/86/27/197108627.db2.gz VFYXQFCRUMLPPR-UHFFFAOYSA-N 0 0 256.277 2.554 20 5 CFBDRN C[C@@H]1CC[C@@H](COc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000276624927 385995327 /nfs/dbraw/zinc/99/53/27/385995327.db2.gz UFHYXOZOPKJYDE-KCJUWKMLSA-N 0 0 255.245 2.680 20 5 CFBDRN COCCSc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276655110 386004983 /nfs/dbraw/zinc/00/49/83/386004983.db2.gz RFICKEZNINNXOY-UHFFFAOYSA-N 0 0 264.306 2.882 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@@H]1CCCOC1 ZINC000276652786 386005182 /nfs/dbraw/zinc/00/51/82/386005182.db2.gz QYZLVYRXGORKCR-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN CC(C)=CCCNc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000276839820 386056523 /nfs/dbraw/zinc/05/65/23/386056523.db2.gz WGUFAOGFLPPEMU-UHFFFAOYSA-N 0 0 298.364 2.767 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000288435471 197077828 /nfs/dbraw/zinc/07/78/28/197077828.db2.gz ICSNGJWHXFICTK-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN CCOC[C@@H](Nc1ccc([N+](=O)[O-])c(N)c1F)C(C)C ZINC000276984138 386099346 /nfs/dbraw/zinc/09/93/46/386099346.db2.gz VGRGTGDEGDWYPS-SNVBAGLBSA-N 0 0 285.319 2.789 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1ccccc1C(F)(F)F ZINC000058869212 285221745 /nfs/dbraw/zinc/22/17/45/285221745.db2.gz MDDBJFKIIDCCBP-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(COc3ccccc3)C2)nc1 ZINC000288358823 197057913 /nfs/dbraw/zinc/05/79/13/197057913.db2.gz XNZGFTLICRSDAS-UHFFFAOYSA-N 0 0 285.303 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@]1(O)CCSC1 ZINC000288304867 197048186 /nfs/dbraw/zinc/04/81/86/197048186.db2.gz IGKUWHJEHZJVRM-LLVKDONJSA-N 0 0 288.756 2.528 20 5 CFBDRN CCOC1CC(N(C)C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000288047386 196993156 /nfs/dbraw/zinc/99/31/56/196993156.db2.gz DQLDZAHFZALPPH-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000174027896 533181588 /nfs/dbraw/zinc/18/15/88/533181588.db2.gz MSVOMKKWTAQQMY-LLVKDONJSA-N 0 0 285.303 2.756 20 5 CFBDRN Nc1ccc(NCC2CCSCC2)c([N+](=O)[O-])c1 ZINC000287590469 196892881 /nfs/dbraw/zinc/89/28/81/196892881.db2.gz XXKKHUPQBJKVDN-UHFFFAOYSA-N 0 0 267.354 2.732 20 5 CFBDRN COC[C@@H]1CCCN(c2nccc(C)c2[N+](=O)[O-])CC1 ZINC000450950981 285274341 /nfs/dbraw/zinc/27/43/41/285274341.db2.gz WSHHPJWLNOOFTL-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2C[C@@H](O)C2(C)C)c([N+](=O)[O-])c1 ZINC000281326385 195198056 /nfs/dbraw/zinc/19/80/56/195198056.db2.gz AIBUCQSMIMXRSV-ZIAGYGMSSA-N 0 0 292.335 2.759 20 5 CFBDRN COC(=O)CC1(COc2cccc([N+](=O)[O-])c2C)CC1 ZINC000281479868 195257640 /nfs/dbraw/zinc/25/76/40/195257640.db2.gz OWTKWGFUBSRSOF-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN COC(=O)CC1(COc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000281465893 195251457 /nfs/dbraw/zinc/25/14/57/195251457.db2.gz NFSLRLMEMIFOHA-UHFFFAOYSA-N 0 0 299.710 2.970 20 5 CFBDRN CC(C)SCCNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000287144088 196788678 /nfs/dbraw/zinc/78/86/78/196788678.db2.gz RITPGSUXXSVBOS-BQYQJAHWSA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1cc(N2CCSCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC000276896768 291938882 /nfs/dbraw/zinc/93/88/82/291938882.db2.gz VFAAKUZCJCLXQA-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H](C)OC)c([N+](=O)[O-])c1 ZINC000281493644 195262639 /nfs/dbraw/zinc/26/26/39/195262639.db2.gz OFFRWSHHXWIWMF-VIFPVBQESA-N 0 0 266.297 2.634 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCCn1ccnc1 ZINC000020298787 262245550 /nfs/dbraw/zinc/24/55/50/262245550.db2.gz WAPVIAKNZFDBMH-UHFFFAOYSA-N 0 0 280.715 2.947 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000176547346 533227253 /nfs/dbraw/zinc/22/72/53/533227253.db2.gz HOESHAVWVOLISA-WDEREUQCSA-N 0 0 276.292 2.588 20 5 CFBDRN CCOCC1(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)CC1 ZINC000281550754 195285052 /nfs/dbraw/zinc/28/50/52/195285052.db2.gz YWGFJRVUJPZHAU-UHFFFAOYSA-N 0 0 292.335 2.967 20 5 CFBDRN CCOCC1(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000281740321 195360171 /nfs/dbraw/zinc/36/01/71/195360171.db2.gz WYSUHPVNKWKUSJ-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@@H](Nc2ncc([N+](=O)[O-])s2)C1(C)C ZINC000281785068 195380751 /nfs/dbraw/zinc/38/07/51/195380751.db2.gz FALFZAXDLVXPHK-FTLITQJKSA-N 0 0 271.342 2.523 20 5 CFBDRN CC(C)(C)n1ncnc1COc1ccc(F)cc1[N+](=O)[O-] ZINC000282248588 195550868 /nfs/dbraw/zinc/55/08/68/195550868.db2.gz RARHTLLQYRGAJE-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000282721978 195689831 /nfs/dbraw/zinc/68/98/31/195689831.db2.gz WGZKERQPHUQUMP-JTQLQIEISA-N 0 0 281.337 2.610 20 5 CFBDRN CCc1ccc(C(=O)O[C@H]2CC[C@H](OC)C2)cc1[N+](=O)[O-] ZINC000282732164 195693194 /nfs/dbraw/zinc/69/31/94/195693194.db2.gz SMXJNLYTFMNDMI-STQMWFEESA-N 0 0 293.319 2.882 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1ccc([N+](=O)[O-])cc1F ZINC000283371568 195847969 /nfs/dbraw/zinc/84/79/69/195847969.db2.gz CRXGOZMFTFFXQK-UHFFFAOYSA-N 0 0 293.302 2.693 20 5 CFBDRN C[C@H]1COCCN1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000283652992 195893983 /nfs/dbraw/zinc/89/39/83/195893983.db2.gz CQKFQIBYNZFOMS-QMMMGPOBSA-N 0 0 299.714 2.501 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCCCCCF)c1 ZINC000283770211 195916234 /nfs/dbraw/zinc/91/62/34/195916234.db2.gz BWBKMFVFDUCCCE-UHFFFAOYSA-N 0 0 255.245 2.926 20 5 CFBDRN Cc1cc(F)c(NC(=O)N[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000283938303 195947300 /nfs/dbraw/zinc/94/73/00/195947300.db2.gz KUOPNZUJUFLZNM-RCOVLWMOSA-N 0 0 267.260 2.572 20 5 CFBDRN Cc1cc(CNCc2ccc([N+](=O)[O-])cc2Cl)n(C)n1 ZINC000283804688 195922485 /nfs/dbraw/zinc/92/24/85/195922485.db2.gz CABQGVBCPYORPP-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN CO[C@](C)(CNC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000291805616 533335114 /nfs/dbraw/zinc/33/51/14/533335114.db2.gz HFXIYJFWJCZGOO-CQSZACIVSA-N 0 0 293.323 2.531 20 5 CFBDRN COc1cc(C(=O)Nc2ccc(C)nc2)ccc1[N+](=O)[O-] ZINC000154502972 533557775 /nfs/dbraw/zinc/55/77/75/533557775.db2.gz JTUHFDZIZUHQFD-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cc(C(=O)Nc2cncc(C)c2)ccc1[N+](=O)[O-] ZINC000176585117 533559866 /nfs/dbraw/zinc/55/98/66/533559866.db2.gz DYVDKYCENLJFJW-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1c(F)cccc1[N+](=O)[O-] ZINC000341595707 129900905 /nfs/dbraw/zinc/90/09/05/129900905.db2.gz OXJCAVKKMYMHGX-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN COC[C@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000275487576 285447330 /nfs/dbraw/zinc/44/73/30/285447330.db2.gz QSAJJJDJAZMCAQ-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN O=C1NCc2c1cccc2NCc1csc([N+](=O)[O-])c1 ZINC000342105563 130264563 /nfs/dbraw/zinc/26/45/63/130264563.db2.gz QNRKXICKYWJNPD-UHFFFAOYSA-N 0 0 289.316 2.512 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C2=CCC2)cc1[N+](=O)[O-] ZINC000355634265 130325412 /nfs/dbraw/zinc/32/54/12/130325412.db2.gz JIEYLTHMNAUGNS-SNVBAGLBSA-N 0 0 260.293 2.801 20 5 CFBDRN Cc1cccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)n1 ZINC000355692411 130337141 /nfs/dbraw/zinc/33/71/41/130337141.db2.gz ZAYTUZKTRDLUTM-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000355848781 130347968 /nfs/dbraw/zinc/34/79/68/130347968.db2.gz UUHXVOUFPRDSCR-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CC(C)(NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1 ZINC000342160734 130352344 /nfs/dbraw/zinc/35/23/44/130352344.db2.gz NFNKANIVGBFQMJ-UHFFFAOYSA-N 0 0 273.292 2.588 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(C)(C)CC(C)(C)C ZINC000342212978 130388540 /nfs/dbraw/zinc/38/85/40/130388540.db2.gz AEJFCPOBHYWDIH-UHFFFAOYSA-N 0 0 254.334 2.955 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000356555940 130388968 /nfs/dbraw/zinc/38/89/68/130388968.db2.gz ABVSOQZMMFWSNX-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@H]1CCCN1C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000342286625 130415697 /nfs/dbraw/zinc/41/56/97/130415697.db2.gz HXUJAEXHVJJCEK-JTQLQIEISA-N 0 0 280.349 2.698 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cnn(C(F)F)c1 ZINC000357548566 130491609 /nfs/dbraw/zinc/49/16/09/130491609.db2.gz QXHZJIYWDHOMIE-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1cc(F)cc(Cl)c1 ZINC000357553576 130492343 /nfs/dbraw/zinc/49/23/43/130492343.db2.gz WIMUOVDPJSKENP-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CO[C@@H](C)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000224317879 130800774 /nfs/dbraw/zinc/80/07/74/130800774.db2.gz IQKAHVSIUNINHQ-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCCCCCO)c1 ZINC000224476591 130802507 /nfs/dbraw/zinc/80/25/07/130802507.db2.gz IOEPEJSLHSIZPJ-UHFFFAOYSA-N 0 0 268.313 2.568 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCSC[C@@H](C)C1 ZINC000191341100 291995386 /nfs/dbraw/zinc/99/53/86/291995386.db2.gz ZPQIJSTWEUATQE-JTQLQIEISA-N 0 0 294.376 2.728 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1C[C@H](C)n2ccnc21 ZINC000450825924 291998489 /nfs/dbraw/zinc/99/84/89/291998489.db2.gz FXXFPZSNBSFYGV-ONGXEEELSA-N 0 0 273.296 2.613 20 5 CFBDRN CC[C@@H]1CCCN(c2c([N+](=O)[O-])c(C)nn2CC)C1 ZINC000192491338 413058268 /nfs/dbraw/zinc/05/82/68/413058268.db2.gz XTUXGWWEDUNLOY-LLVKDONJSA-N 0 0 266.345 2.746 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC2(CC2)CC1 ZINC000372864276 393458169 /nfs/dbraw/zinc/45/81/69/393458169.db2.gz XPTRLKUOKIZCQA-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1C[C@H](O)C2(CC2)C1 ZINC000373096663 393463448 /nfs/dbraw/zinc/46/34/48/393463448.db2.gz DNGZBGUAOMUMNV-NSHDSACASA-N 0 0 282.727 2.518 20 5 CFBDRN CCC(=O)c1ccc(N2CCOC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000373521723 393468181 /nfs/dbraw/zinc/46/81/81/393468181.db2.gz LBUAEZMCHVFTPO-NSHDSACASA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1cc(N2CCC[C@H]3OCC[C@H]32)ccc1[N+](=O)[O-] ZINC000373686850 393469965 /nfs/dbraw/zinc/46/99/65/393469965.db2.gz UGUWQTVVWDCSOC-ZIAGYGMSSA-N 0 0 262.309 2.661 20 5 CFBDRN Cc1ccc(N[C@@H]2CC(=O)N(C(C)(C)C)C2)c([N+](=O)[O-])c1 ZINC000301484684 393473909 /nfs/dbraw/zinc/47/39/09/393473909.db2.gz VSLSVFNNGGPARQ-LLVKDONJSA-N 0 0 291.351 2.715 20 5 CFBDRN C[C@H]1COC[C@H](C)N1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182187060 393508192 /nfs/dbraw/zinc/50/81/92/393508192.db2.gz GPXWKIYCSYOOII-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc(C)c(C)n2)n1 ZINC000313874963 393524561 /nfs/dbraw/zinc/52/45/61/393524561.db2.gz BAZQTBAVEVSBPJ-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1ccc(CNc2cc(C)c([N+](=O)[O-])cn2)nc1C ZINC000314226678 393525674 /nfs/dbraw/zinc/52/56/74/393525674.db2.gz VMXKGOWVUOKENI-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1F)NC1CCCC1 ZINC000185152715 393531234 /nfs/dbraw/zinc/53/12/34/393531234.db2.gz FKKCLCPOJVXJJX-UHFFFAOYSA-N 0 0 298.339 2.885 20 5 CFBDRN Cc1nnc(CSc2ccc([N+](=O)[O-])cc2F)o1 ZINC000185154253 393531243 /nfs/dbraw/zinc/53/12/43/393531243.db2.gz MBVKPXBAJGSYAI-UHFFFAOYSA-N 0 0 269.257 2.718 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1F)NCC1CCC1 ZINC000185154936 393531765 /nfs/dbraw/zinc/53/17/65/393531765.db2.gz DNQZCIHXQBBDLB-UHFFFAOYSA-N 0 0 298.339 2.742 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000185380087 393533994 /nfs/dbraw/zinc/53/39/94/393533994.db2.gz KRSJUNLDINFASC-ZWNOBZJWSA-N 0 0 262.309 2.855 20 5 CFBDRN CCc1ccccc1NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000186857640 393549436 /nfs/dbraw/zinc/54/94/36/393549436.db2.gz AZBTUWHYZSMRHW-UHFFFAOYSA-N 0 0 287.275 2.510 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1csc([N+](=O)[O-])c1 ZINC000330502044 393552608 /nfs/dbraw/zinc/55/26/08/393552608.db2.gz BFLXGXAMZAAWBV-LBPRGKRZSA-N 0 0 270.354 2.657 20 5 CFBDRN CC(C)c1ccc(C(=O)N(C)C2CC2)cc1[N+](=O)[O-] ZINC000187453244 393553426 /nfs/dbraw/zinc/55/34/26/393553426.db2.gz XELNMLACTULXLL-UHFFFAOYSA-N 0 0 262.309 2.953 20 5 CFBDRN COc1cc(C(=O)N2CCCC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000186878138 393548841 /nfs/dbraw/zinc/54/88/41/393548841.db2.gz MIAMGANTLXMGNF-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CCC[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000330814903 393553972 /nfs/dbraw/zinc/55/39/72/393553972.db2.gz BYVLINVKHOZHRQ-NSHDSACASA-N 0 0 279.340 2.728 20 5 CFBDRN CC1CC[NH+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000330584232 393555034 /nfs/dbraw/zinc/55/50/34/393555034.db2.gz SSTYYZJPELRKPQ-UHFFFAOYSA-N 0 0 250.298 2.532 20 5 CFBDRN CCC1(NC(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])CCC1 ZINC000331783994 393557736 /nfs/dbraw/zinc/55/77/36/393557736.db2.gz OGJCMQZOLJAVKI-UHFFFAOYSA-N 0 0 280.328 2.504 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2CCC[C@@H]2C1)c1ccc([N+](=O)[O-])o1 ZINC000332255907 393561642 /nfs/dbraw/zinc/56/16/42/393561642.db2.gz UBORXEWHGJBKQF-GMTAPVOTSA-N 0 0 278.308 2.886 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000332735562 393562973 /nfs/dbraw/zinc/56/29/73/393562973.db2.gz XZJIROJKZZDABP-VHSXEESVSA-N 0 0 294.355 2.702 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000189471338 393572968 /nfs/dbraw/zinc/57/29/68/393572968.db2.gz MNNNXOYJHOGIEI-MNOVXSKESA-N 0 0 291.351 2.876 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000393570285 393593701 /nfs/dbraw/zinc/59/37/01/393593701.db2.gz IVUHXJSHTOXQSP-UHFFFAOYSA-N 0 0 287.747 2.852 20 5 CFBDRN O=[N+]([O-])c1cccnc1Oc1cccnc1Br ZINC000048894373 393608032 /nfs/dbraw/zinc/60/80/32/393608032.db2.gz VWHOYDNGUWDEOA-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1cccc(F)c1[N+](=O)[O-] ZINC000048805766 393602831 /nfs/dbraw/zinc/60/28/31/393602831.db2.gz NKFAZLGKDSOVCA-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000334527687 393605001 /nfs/dbraw/zinc/60/50/01/393605001.db2.gz POWMQLMFOLRPCF-CYBMUJFWSA-N 0 0 260.293 2.528 20 5 CFBDRN C[C@@H](NC(=O)Nc1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000048861349 393605510 /nfs/dbraw/zinc/60/55/10/393605510.db2.gz WGXKSNOOQNTQIL-MRVPVSSYSA-N 0 0 292.320 2.934 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H]3CCCC[C@@H]3C2)s1 ZINC000190333909 393580174 /nfs/dbraw/zinc/58/01/74/393580174.db2.gz MPVFYDNAXUWZQM-RKDXNWHRSA-N 0 0 253.327 2.678 20 5 CFBDRN Cc1ncsc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000046571311 393580873 /nfs/dbraw/zinc/58/08/73/393580873.db2.gz VJVYXUQQWXWZDS-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN C[C@@H]1CC[C@@H](CSc2ncccc2[N+](=O)[O-])O1 ZINC000191101667 393587408 /nfs/dbraw/zinc/58/74/08/393587408.db2.gz CWLMMDZQVYUIDO-BDAKNGLRSA-N 0 0 254.311 2.649 20 5 CFBDRN C[C@@H](Cc1ccccc1F)Nc1c([N+](=O)[O-])ncn1C ZINC000048893800 393607720 /nfs/dbraw/zinc/60/77/20/393607720.db2.gz TZDUCJOLBUJSDK-VIFPVBQESA-N 0 0 278.287 2.511 20 5 CFBDRN O=C(NC[C@@H]1Cc2ccccc21)c1csc([N+](=O)[O-])c1 ZINC000194089814 393677007 /nfs/dbraw/zinc/67/70/07/393677007.db2.gz UUSJCOIDKWQOKE-JTQLQIEISA-N 0 0 288.328 2.726 20 5 CFBDRN CC1CN(C(=O)c2cc(C(F)(F)F)ccc2[N+](=O)[O-])C1 ZINC000335577153 393680321 /nfs/dbraw/zinc/68/03/21/393680321.db2.gz RRSCRPJMIZMRCR-UHFFFAOYSA-N 0 0 288.225 2.706 20 5 CFBDRN CN(C(=O)[C@]1(C)CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000410613682 393632585 /nfs/dbraw/zinc/63/25/85/393632585.db2.gz GFTVZHIDZKFUTH-AWEZNQCLSA-N 0 0 278.308 2.517 20 5 CFBDRN CC(C)(NC(=O)OCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000192897940 393636747 /nfs/dbraw/zinc/63/67/47/393636747.db2.gz XKQNPUCDUQOHGM-UHFFFAOYSA-N 0 0 278.308 2.966 20 5 CFBDRN C[C@H]1CCC[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000071208247 393640129 /nfs/dbraw/zinc/64/01/29/393640129.db2.gz BIRCHYSJFCLZRQ-JGVFFNPUSA-N 0 0 266.326 2.905 20 5 CFBDRN CCNc1ccc(C(=O)N2CCC[C@H]2CF)cc1[N+](=O)[O-] ZINC000410988590 393648294 /nfs/dbraw/zinc/64/82/94/393648294.db2.gz CXWVXNDSLPXMBD-NSHDSACASA-N 0 0 295.314 2.601 20 5 CFBDRN CCOCCC1(CNc2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000193485233 393653074 /nfs/dbraw/zinc/65/30/74/393653074.db2.gz BRHYHELVEBWHEE-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN Cc1cc2c([nH]c(-c3cccc([N+](=O)[O-])c3)nc2=O)s1 ZINC000193916557 393668143 /nfs/dbraw/zinc/66/81/43/393668143.db2.gz IOKQGSXIKYIBFL-UHFFFAOYSA-N 0 0 287.300 2.868 20 5 CFBDRN Cc1nc(CNC(=O)c2ccccc2[N+](=O)[O-])sc1C ZINC000075334662 393672720 /nfs/dbraw/zinc/67/27/20/393672720.db2.gz GRLMWZHRYQFNIT-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H]2Cc3ccccc32)c1[N+](=O)[O-] ZINC000194052458 393674726 /nfs/dbraw/zinc/67/47/26/393674726.db2.gz LAYMYVURDCWOCQ-CYBMUJFWSA-N 0 0 296.326 2.973 20 5 CFBDRN CCc1ccc(C(=O)NCC(C)(C)C)cc1[N+](=O)[O-] ZINC000081929051 393737711 /nfs/dbraw/zinc/73/77/11/393737711.db2.gz JMIJVCSKAZELTN-UHFFFAOYSA-N 0 0 264.325 2.933 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000336835601 393751383 /nfs/dbraw/zinc/75/13/83/393751383.db2.gz JPQDOPFVLSLISG-WPRPVWTQSA-N 0 0 275.308 2.690 20 5 CFBDRN COc1cccc(COc2ccc([N+](=O)[O-])c(CO)c2)c1 ZINC000337398640 393762819 /nfs/dbraw/zinc/76/28/19/393762819.db2.gz CWLKHARWERTJTF-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCC1CCCC1 ZINC000217566409 393757175 /nfs/dbraw/zinc/75/71/75/393757175.db2.gz IJFRXRXFKNFXNE-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CS[C@H](C)C1 ZINC000335653941 393686882 /nfs/dbraw/zinc/68/68/82/393686882.db2.gz HPGRYVSLZKTLGI-NXEZZACHSA-N 0 0 280.349 2.527 20 5 CFBDRN COc1cccc(C(=O)Nc2cnccc2C)c1[N+](=O)[O-] ZINC000194297652 393688869 /nfs/dbraw/zinc/68/88/69/393688869.db2.gz RDDPSUTWOQMYJL-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cc(N[C@H]2CC2(C)C)c(F)cc1[N+](=O)[O-] ZINC000218845409 393758382 /nfs/dbraw/zinc/75/83/82/393758382.db2.gz LKJBGPKGQBVYMQ-NSHDSACASA-N 0 0 254.261 2.953 20 5 CFBDRN COc1cccc(C(=O)N[C@@H](C)C2CCCC2)c1[N+](=O)[O-] ZINC000194364835 393690436 /nfs/dbraw/zinc/69/04/36/393690436.db2.gz WQNXPIHYWJKHKN-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN CC(C)=CCNC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335731057 393696004 /nfs/dbraw/zinc/69/60/04/393696004.db2.gz YCBXUFZMHRQDMU-UHFFFAOYSA-N 0 0 289.335 2.629 20 5 CFBDRN C/C=C/C=C/C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078149501 393704805 /nfs/dbraw/zinc/70/48/05/393704805.db2.gz ZPJGMHRJQVOUKJ-CRBCFSCISA-N 0 0 258.277 2.616 20 5 CFBDRN COc1cc(C)nc(CN2CCc3c2cccc3[N+](=O)[O-])c1 ZINC000411403169 393708313 /nfs/dbraw/zinc/70/83/13/393708313.db2.gz SXQWIXQZPUSXTK-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN COc1cccc(C(=O)N(C)[C@@H](C)C2(C)CC2)c1[N+](=O)[O-] ZINC000194625923 393710207 /nfs/dbraw/zinc/71/02/07/393710207.db2.gz KLLCSNYTBHVYSG-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(Nc1ccc2cc[nH]c2c1)c1ccc([N+](=O)[O-])o1 ZINC000194797363 393716632 /nfs/dbraw/zinc/71/66/32/393716632.db2.gz WFJNCFKZEMJVIU-UHFFFAOYSA-N 0 0 271.232 2.921 20 5 CFBDRN Cc1cncc(NC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000079687507 393721295 /nfs/dbraw/zinc/72/12/95/393721295.db2.gz AABBGYYTHOTQRX-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2C)ccn1C ZINC000194907794 393722548 /nfs/dbraw/zinc/72/25/48/393722548.db2.gz KKWDVILIBLDCPX-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CNc1ccc(C(=O)Nc2ccsc2)cc1[N+](=O)[O-] ZINC000080037577 393723137 /nfs/dbraw/zinc/72/31/37/393723137.db2.gz WNIRJABKLCLARF-UHFFFAOYSA-N 0 0 277.305 2.950 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NC[C@@H]3CCSC3)nc2c1 ZINC000228585035 393774964 /nfs/dbraw/zinc/77/49/64/393774964.db2.gz XOTUYXDSFPHJBE-QMMMGPOBSA-N 0 0 278.337 2.636 20 5 CFBDRN COc1cc(NC[C@H]2CCSC2)c(F)cc1[N+](=O)[O-] ZINC000228584086 393775163 /nfs/dbraw/zinc/77/51/63/393775163.db2.gz HANROCRDRKDMHB-MRVPVSSYSA-N 0 0 286.328 2.908 20 5 CFBDRN Cc1cnc(CCNc2c(F)cc([N+](=O)[O-])cc2F)nc1 ZINC000340079499 393835585 /nfs/dbraw/zinc/83/55/85/393835585.db2.gz GQBJCJIERHCOKY-UHFFFAOYSA-N 0 0 294.261 2.626 20 5 CFBDRN CCOc1cccc(NCCC[C@H](C)CO)c1[N+](=O)[O-] ZINC000231038246 393780008 /nfs/dbraw/zinc/78/00/08/393780008.db2.gz UJQAFMSZIROSLY-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN Cc1ccnc(NC2Cc3ccccc3C2)c1[N+](=O)[O-] ZINC000231038742 393780054 /nfs/dbraw/zinc/78/00/54/393780054.db2.gz BPXZQYPGNIQIQE-UHFFFAOYSA-N 0 0 269.304 2.878 20 5 CFBDRN CCOc1cc(N[C@@H](C)[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000092657509 393789662 /nfs/dbraw/zinc/78/96/62/393789662.db2.gz LGTICGFLTSUGFJ-WDEREUQCSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@H](C)[C@H]1C ZINC000416076607 393865202 /nfs/dbraw/zinc/86/52/02/393865202.db2.gz QVCQRZJIYNOWLN-GARJFASQSA-N 0 0 292.335 2.720 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000416082684 393868352 /nfs/dbraw/zinc/86/83/52/393868352.db2.gz ZHILHJJFNOEGNF-JTQLQIEISA-N 0 0 297.314 2.673 20 5 CFBDRN C[C@@H]1SCCN(Cc2csc([N+](=O)[O-])c2)[C@H]1C ZINC000118418679 393911315 /nfs/dbraw/zinc/91/13/15/393911315.db2.gz ROQQMPKUJKCLLY-IUCAKERBSA-N 0 0 272.395 2.982 20 5 CFBDRN CC[C@](C)(O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000111892229 393887861 /nfs/dbraw/zinc/88/78/61/393887861.db2.gz WXCDBGJWMUDCLP-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)nn1C(C)C ZINC000459882519 393888499 /nfs/dbraw/zinc/88/84/99/393888499.db2.gz CQWVHXDDFICAPR-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2C(F)F)ncc1[N+](=O)[O-] ZINC000343911447 393891723 /nfs/dbraw/zinc/89/17/23/393891723.db2.gz MQKAOWLMQVAHRA-MRVPVSSYSA-N 0 0 257.240 2.532 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)[C@H]1C ZINC000247381411 393896913 /nfs/dbraw/zinc/89/69/13/393896913.db2.gz UKAQOKCLGNXZRF-SCVCMEIPSA-N 0 0 291.351 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2nc(C3CC3)ns2)cc1CO ZINC000428658214 393902360 /nfs/dbraw/zinc/90/23/60/393902360.db2.gz DCOFQLFYFRCCQM-UHFFFAOYSA-N 0 0 293.304 2.608 20 5 CFBDRN C[C@H](O)[C@@H]1CCCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116278980 393907280 /nfs/dbraw/zinc/90/72/80/393907280.db2.gz JWBLTJUEOCFDOY-GWCFXTLKSA-N 0 0 298.770 2.984 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000458096298 393846115 /nfs/dbraw/zinc/84/61/15/393846115.db2.gz INEUEXVTRGEAGQ-DZGCQCFKSA-N 0 0 291.351 2.558 20 5 CFBDRN COC1([C@H](C)NC(=O)c2cccc(C)c2[N+](=O)[O-])CCC1 ZINC000416000871 393848328 /nfs/dbraw/zinc/84/83/28/393848328.db2.gz KMMPXFCPPHVHIC-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc(NC(=O)C[C@@H](C)n2cccn2)cc1[N+](=O)[O-] ZINC000424521751 393849271 /nfs/dbraw/zinc/84/92/71/393849271.db2.gz FREZNQFMRNWSEA-LLVKDONJSA-N 0 0 288.307 2.690 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C[C@@]2(C)C(C)C)ccc1[N+](=O)[O-] ZINC000458115319 393850074 /nfs/dbraw/zinc/85/00/74/393850074.db2.gz RUGSJWPWWDUHAJ-HIFRSBDPSA-N 0 0 292.335 2.768 20 5 CFBDRN CO[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)CC(C)C ZINC000416043511 393853072 /nfs/dbraw/zinc/85/30/72/393853072.db2.gz NWAPCKOTDAAORA-LBPRGKRZSA-N 0 0 294.351 2.694 20 5 CFBDRN CC(C)c1ncncc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000341489411 393855536 /nfs/dbraw/zinc/85/55/36/393855536.db2.gz STHYZNBKFVNFDH-UHFFFAOYSA-N 0 0 286.291 2.761 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)c1c(Cl)cccc1[N+](=O)[O-] ZINC000416057652 393857369 /nfs/dbraw/zinc/85/73/69/393857369.db2.gz AHZIBVHHLGTPEN-MRVPVSSYSA-N 0 0 280.711 2.778 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NC[C@H]1CC12CC2 ZINC000416065629 393860527 /nfs/dbraw/zinc/86/05/27/393860527.db2.gz HFHLWZJVJXUXJP-SNVBAGLBSA-N 0 0 294.738 2.707 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1CC1CCC(C(F)(F)F)CC1 ZINC000471876020 393923403 /nfs/dbraw/zinc/92/34/03/393923403.db2.gz KUPPNBKZIBYESI-UHFFFAOYSA-N 0 0 292.261 2.742 20 5 CFBDRN O=C(NCCCC1CC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000119708058 393923666 /nfs/dbraw/zinc/92/36/66/393923666.db2.gz JONMLZFIXWIQON-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN Cc1nccc(N(Cc2ccccc2[N+](=O)[O-])C2CC2)n1 ZINC000119991940 393928092 /nfs/dbraw/zinc/92/80/92/393928092.db2.gz BVVKGRLJLFWPMU-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ncc([N+](=O)[O-])cn2)[C@H]1C ZINC000252374087 393934429 /nfs/dbraw/zinc/93/44/29/393934429.db2.gz YGYMEQSYAOQVSM-YWVKMMECSA-N 0 0 250.302 2.621 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@H](C3CC3)C2)nc2sccn21 ZINC000340770027 296496484 /nfs/dbraw/zinc/49/64/84/296496484.db2.gz BXIPDCNUWUREJM-JTQLQIEISA-N 0 0 292.364 2.930 20 5 CFBDRN Cc1ccsc1[C@@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000487625526 394016152 /nfs/dbraw/zinc/01/61/52/394016152.db2.gz VLXWAHPLVKRZDN-SNVBAGLBSA-N 0 0 293.348 2.814 20 5 CFBDRN NC(=O)Nc1cccc(CNc2ccccc2[N+](=O)[O-])c1 ZINC000348708135 393956691 /nfs/dbraw/zinc/95/66/91/393956691.db2.gz WGYQXPZRXQSLDG-UHFFFAOYSA-N 0 0 286.291 2.698 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000121765418 393959520 /nfs/dbraw/zinc/95/95/20/393959520.db2.gz IRZSPJIWGRRYJR-GHMZBOCLSA-N 0 0 272.251 2.852 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2Cl)CC[C@H]1O ZINC000275475657 134467109 /nfs/dbraw/zinc/46/71/09/134467109.db2.gz OWDAJYIYNNLJFY-GFCCVEGCSA-N 0 0 284.743 2.845 20 5 CFBDRN CO[C@H](C)CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000263942778 393965937 /nfs/dbraw/zinc/96/59/37/393965937.db2.gz YEEWZXHAQAVVIK-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCC[C@@H]2F)nc2sccn21 ZINC000338822457 296497005 /nfs/dbraw/zinc/49/70/05/296497005.db2.gz AAMRWDFTXZIWAR-NKWVEPMBSA-N 0 0 270.289 2.607 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCS[C@H](C)C1 ZINC000430213180 393968679 /nfs/dbraw/zinc/96/86/79/393968679.db2.gz AUWVUPZYKCDWNL-SNVBAGLBSA-N 0 0 282.365 2.541 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CC12CCCC2 ZINC000430230063 393970428 /nfs/dbraw/zinc/97/04/28/393970428.db2.gz GTWYICSFMUMWEX-CYBMUJFWSA-N 0 0 274.320 2.586 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CC12CCCC2 ZINC000430235522 393971681 /nfs/dbraw/zinc/97/16/81/393971681.db2.gz DPIOTVKBHLYZBU-CQSZACIVSA-N 0 0 288.347 2.976 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)CC(F)(F)F ZINC000430243605 393972176 /nfs/dbraw/zinc/97/21/76/393972176.db2.gz UPUCNLMAGAFQKD-UHFFFAOYSA-N 0 0 278.230 2.598 20 5 CFBDRN C[C@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCO1 ZINC000271870281 394023885 /nfs/dbraw/zinc/02/38/85/394023885.db2.gz KGOKQUMHHBJLPK-ONGXEEELSA-N 0 0 289.291 2.927 20 5 CFBDRN CN(C1(C)CCCC1)S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000486982004 393977674 /nfs/dbraw/zinc/97/76/74/393977674.db2.gz AZDSQDURDYZHAH-UHFFFAOYSA-N 0 0 298.364 2.548 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)[C@@H]2CCO[C@H]21 ZINC000265943308 393978105 /nfs/dbraw/zinc/97/81/05/393978105.db2.gz GFTRYOCITBSVMC-JMJZKYOTSA-N 0 0 297.742 2.869 20 5 CFBDRN Cc1cc(N2CCS[C@@H](C)[C@H]2C)ncc1[N+](=O)[O-] ZINC000266802957 393983996 /nfs/dbraw/zinc/98/39/96/393983996.db2.gz CMNKCVDQWITEFO-ZJUUUORDSA-N 0 0 267.354 2.628 20 5 CFBDRN Cc1nn(Cc2ccccc2N(C)C)c(C)c1[N+](=O)[O-] ZINC000123637908 393984646 /nfs/dbraw/zinc/98/46/46/393984646.db2.gz DUAXCTSSIIPJAD-UHFFFAOYSA-N 0 0 274.324 2.522 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430410984 393985981 /nfs/dbraw/zinc/98/59/81/393985981.db2.gz DEADRWLREWXZLR-ZYHUDNBSSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@H]1CO[C@@H](c2ccccc2)CN1c1ncccc1[N+](=O)[O-] ZINC000267327886 393986731 /nfs/dbraw/zinc/98/67/31/393986731.db2.gz ZYPUNUCDCFRKHH-SWLSCSKDSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1ccc(C[NH2+]C(C)(C)C[C@@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000417639680 393988240 /nfs/dbraw/zinc/98/82/40/393988240.db2.gz TZSXQZVMMKRNKZ-LLVKDONJSA-N 0 0 294.351 2.882 20 5 CFBDRN CCCc1nc(C)c(NC(=O)c2cc([N+](=O)[O-])c[nH]2)s1 ZINC000487271369 393992967 /nfs/dbraw/zinc/99/29/67/393992967.db2.gz KMYFXQDHEVULID-UHFFFAOYSA-N 0 0 294.336 2.893 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000272082511 394025695 /nfs/dbraw/zinc/02/56/95/394025695.db2.gz MZFRSXBCDLZVQP-ISVAXAHUSA-N 0 0 295.266 2.645 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@H](C)CC2CC2)ccc1[N+](=O)[O-] ZINC000430702731 394005992 /nfs/dbraw/zinc/00/59/92/394005992.db2.gz RRAYKSKYRZOLKK-NSHDSACASA-N 0 0 292.335 2.587 20 5 CFBDRN CS[C@H](C)CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000269828133 394007212 /nfs/dbraw/zinc/00/72/12/394007212.db2.gz KSWWFDASLGYRNK-MRVPVSSYSA-N 0 0 293.348 2.557 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@](C)(O)C(F)(F)F)c1 ZINC000270442536 394012215 /nfs/dbraw/zinc/01/22/15/394012215.db2.gz GFBAKPCTSKXZFY-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN O=c1c2ccccc2n(CCc2cccnc2)cc1[N+](=O)[O-] ZINC000487873091 394026900 /nfs/dbraw/zinc/02/69/00/394026900.db2.gz IWZPCFAPSQLMFE-UHFFFAOYSA-N 0 0 295.298 2.547 20 5 CFBDRN Cc1ccsc1[C@@H](CO)Nc1ncccc1[N+](=O)[O-] ZINC000487622173 394015827 /nfs/dbraw/zinc/01/58/27/394015827.db2.gz BRKCTMBEWSTYLQ-SECBINFHSA-N 0 0 279.321 2.505 20 5 CFBDRN CC(C)c1[nH]nc(-c2nc(CCC3CC3)no2)c1[N+](=O)[O-] ZINC000274138396 394038765 /nfs/dbraw/zinc/03/87/65/394038765.db2.gz WOBGTVZMJNAYFK-UHFFFAOYSA-N 0 0 291.311 2.834 20 5 CFBDRN Cc1nc(N[C@@H]2CCCc3cc(N)ccc32)ncc1[N+](=O)[O-] ZINC000420231565 394100388 /nfs/dbraw/zinc/10/03/88/394100388.db2.gz CAEUDBATLFJSAK-CYBMUJFWSA-N 0 0 299.334 2.765 20 5 CFBDRN Cc1c(CC(=O)N(C)Cc2ccoc2)cccc1[N+](=O)[O-] ZINC000439124513 394100692 /nfs/dbraw/zinc/10/06/92/394100692.db2.gz PKMLCXJMYHFVPW-UHFFFAOYSA-N 0 0 288.303 2.697 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)C1CC1 ZINC000281960761 394100876 /nfs/dbraw/zinc/10/08/76/394100876.db2.gz NCLXFWAPFNOBEP-SECBINFHSA-N 0 0 293.323 2.914 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCC2CC(F)(F)C2)c1 ZINC000419515011 394049968 /nfs/dbraw/zinc/04/99/68/394049968.db2.gz QLKLWQDVAWUYOG-UHFFFAOYSA-N 0 0 277.296 2.570 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000276979520 394053108 /nfs/dbraw/zinc/05/31/08/394053108.db2.gz YCEOSVNUGKSAOJ-SNVBAGLBSA-N 0 0 252.339 2.927 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC2(CC2)CC1 ZINC000277415693 394056339 /nfs/dbraw/zinc/05/63/39/394056339.db2.gz GWLMQSYUZBGCJA-UHFFFAOYSA-N 0 0 289.335 2.630 20 5 CFBDRN C[C@@H](CO)N(CC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000439138299 394102520 /nfs/dbraw/zinc/10/25/20/394102520.db2.gz UYRVIIYGJTUVIQ-NSHDSACASA-N 0 0 264.325 2.582 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000279057046 394065210 /nfs/dbraw/zinc/06/52/10/394065210.db2.gz ANBRJZMOTDDTCW-GFCCVEGCSA-N 0 0 282.315 2.985 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000280254085 394071298 /nfs/dbraw/zinc/07/12/98/394071298.db2.gz NJAZEBISJXQPSV-AWEZNQCLSA-N 0 0 279.340 2.694 20 5 CFBDRN CCc1ccnc(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1 ZINC000437748113 394072351 /nfs/dbraw/zinc/07/23/51/394072351.db2.gz LCHPJQZLIKKQAO-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CN(C)c1ccccc1N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000128576800 394072440 /nfs/dbraw/zinc/07/24/40/394072440.db2.gz UOZVSFHNXHKTCZ-UHFFFAOYSA-N 0 0 299.330 2.937 20 5 CFBDRN CC[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000128672734 394074143 /nfs/dbraw/zinc/07/41/43/394074143.db2.gz MJYZPUGFYMZTCS-STQMWFEESA-N 0 0 293.323 2.674 20 5 CFBDRN CCC(=O)c1ccc(N2CCC[C@H](OC)C2)c([N+](=O)[O-])c1 ZINC000280670394 394074135 /nfs/dbraw/zinc/07/41/35/394074135.db2.gz HMXXMBAFAOLNEN-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(=O)[nH]c1C ZINC000130149535 394103480 /nfs/dbraw/zinc/10/34/80/394103480.db2.gz KNDVLJKMFGAMOY-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)[C@@H](C)C1 ZINC000281728931 394079106 /nfs/dbraw/zinc/07/91/06/394079106.db2.gz WBTIGSYEJBBHQZ-WPRPVWTQSA-N 0 0 285.731 2.647 20 5 CFBDRN Cc1cc(C(=O)N2CCC=C(C)C2)ccc1[N+](=O)[O-] ZINC000281835444 394080615 /nfs/dbraw/zinc/08/06/15/394080615.db2.gz QJFZUGAJWIVWAR-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN Cc1cc(OCC(=O)N(C)CCC2CC2)ccc1[N+](=O)[O-] ZINC000281839916 394081393 /nfs/dbraw/zinc/08/13/93/394081393.db2.gz XSYDYRIUADMVOH-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC1(C(F)F)CC1 ZINC000492854246 394082662 /nfs/dbraw/zinc/08/26/62/394082662.db2.gz QCWODAIXWCUDNL-UTCJRWHESA-N 0 0 282.246 2.522 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2C)[C@@H](C)C1 ZINC000281860321 394085429 /nfs/dbraw/zinc/08/54/29/394085429.db2.gz PFHCQSFRQVTKET-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H]2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000281976028 394104369 /nfs/dbraw/zinc/10/43/69/394104369.db2.gz MBAVVMAJYFCURP-GWCFXTLKSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c1 ZINC000438894418 394086287 /nfs/dbraw/zinc/08/62/87/394086287.db2.gz JQVRCAQXCHGPLM-WDMOLILDSA-N 0 0 262.309 2.883 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492879223 394087220 /nfs/dbraw/zinc/08/72/20/394087220.db2.gz CVIZOENQSNRAKY-OAMNEYSUSA-N 0 0 260.293 2.523 20 5 CFBDRN CCOC[C@H](C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000438949163 394089162 /nfs/dbraw/zinc/08/91/62/394089162.db2.gz DCVAVHJCSVYFSJ-NSHDSACASA-N 0 0 294.351 2.873 20 5 CFBDRN Cc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)cn1 ZINC000129563512 394090334 /nfs/dbraw/zinc/09/03/34/394090334.db2.gz XNXFWYCALKPEFF-UHFFFAOYSA-N 0 0 272.264 2.942 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC1(COC)CCC1 ZINC000281911041 394092049 /nfs/dbraw/zinc/09/20/49/394092049.db2.gz NKJBZNCFWDHABI-UHFFFAOYSA-N 0 0 294.351 2.970 20 5 CFBDRN C/C=C/C=C\C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000492905039 394094992 /nfs/dbraw/zinc/09/49/92/394094992.db2.gz ZNZGXGMVABXASA-BEHOXYOFSA-N 0 0 274.320 2.728 20 5 CFBDRN C[C@H](CSCc1c([N+](=O)[O-])ncn1C)C(F)(F)F ZINC000439018566 394095214 /nfs/dbraw/zinc/09/52/14/394095214.db2.gz FVPBZUBCAXCLDU-ZCFIWIBFSA-N 0 0 283.275 2.760 20 5 CFBDRN Cc1cc(C)cc(Cn2ccc(C)c([N+](=O)[O-])c2=O)c1 ZINC000129844087 394095269 /nfs/dbraw/zinc/09/52/69/394095269.db2.gz QGIAECYGZFQLOG-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000439075503 394097666 /nfs/dbraw/zinc/09/76/66/394097666.db2.gz CYSVXOUPEHXYAZ-STQMWFEESA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)Cc2ccncc2)cc([N+](=O)[O-])c1 ZINC000487899603 394029215 /nfs/dbraw/zinc/02/92/15/394029215.db2.gz WLSFXBBPMDNPPJ-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC(F)(F)C2)nc1 ZINC000281983207 394105416 /nfs/dbraw/zinc/10/54/16/394105416.db2.gz MSOAARWMRXJAOJ-MRVPVSSYSA-N 0 0 257.240 2.837 20 5 CFBDRN CCO/C=C/C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000493205272 394178447 /nfs/dbraw/zinc/17/84/47/394178447.db2.gz KNESQWVGGDHKMR-AATRIKPKSA-N 0 0 270.672 2.737 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000493208510 394179503 /nfs/dbraw/zinc/17/95/03/394179503.db2.gz QEHAIBZLHVXOBC-LMOLBGRBSA-N 0 0 286.331 2.913 20 5 CFBDRN CCC[C@@H]1[C@H](C)CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000361958181 394179770 /nfs/dbraw/zinc/17/97/70/394179770.db2.gz DPZRWZYPPXTLFQ-VXGBXAGGSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1cccc(N2CCC[C@@H](CCO)CC2)c1[N+](=O)[O-] ZINC000420640280 394122171 /nfs/dbraw/zinc/12/21/71/394122171.db2.gz MGQGOESDUVRYKQ-CYBMUJFWSA-N 0 0 278.352 2.892 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2cnn3ccccc23)nc1 ZINC000439522541 394123422 /nfs/dbraw/zinc/12/34/22/394123422.db2.gz JJJNPDDJGOAPAU-SNAWJCMRSA-N 0 0 266.260 2.808 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1ccccc1[N+](=O)[O-] ZINC000439713685 394128690 /nfs/dbraw/zinc/12/86/90/394128690.db2.gz JBYPDCWKWLESAX-WDEREUQCSA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000131375321 394130968 /nfs/dbraw/zinc/13/09/68/394130968.db2.gz GUGXBZPOXFWEHC-GHMZBOCLSA-N 0 0 294.326 2.781 20 5 CFBDRN Cc1cc(N2CCOC(C)(C)C2)c(F)cc1[N+](=O)[O-] ZINC000359903971 394143255 /nfs/dbraw/zinc/14/32/55/394143255.db2.gz SRCNZVJAYKQTGE-UHFFFAOYSA-N 0 0 268.288 2.658 20 5 CFBDRN O=C(/C=C\[C@@H]1CCOC1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000493086299 394143935 /nfs/dbraw/zinc/14/39/35/394143935.db2.gz QRXVWLYPTDWMOZ-XRVBUDJMSA-N 0 0 296.710 2.779 20 5 CFBDRN O=[N+]([O-])c1cccc(CSC[C@H]2C[C@@H](O)C2)c1 ZINC000421209159 394181642 /nfs/dbraw/zinc/18/16/42/394181642.db2.gz NWMKTOBOXNKWST-KLPPZKSPSA-N 0 0 253.323 2.599 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC[C@@H]1CC12CC2 ZINC000493215520 394181826 /nfs/dbraw/zinc/18/18/26/394181826.db2.gz CQCPZIIGJABNPG-RYBZSIHZSA-N 0 0 272.304 2.524 20 5 CFBDRN Cc1ccc(CO)cc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000132220708 394150623 /nfs/dbraw/zinc/15/06/23/394150623.db2.gz ZBBHSXNCZFPLGV-UHFFFAOYSA-N 0 0 292.316 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccncc1F ZINC000132260685 394151422 /nfs/dbraw/zinc/15/14/22/394151422.db2.gz UJXGVNIMYLQKBY-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CN(C(=O)/C=C\C1CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000493116332 394152476 /nfs/dbraw/zinc/15/24/76/394152476.db2.gz BCSLOUDHFCQLQB-TWGQIWQCSA-N 0 0 275.308 2.699 20 5 CFBDRN COc1cc(C(=O)Nc2ccc(F)cc2)ccc1[N+](=O)[O-] ZINC000132692756 394160768 /nfs/dbraw/zinc/16/07/68/394160768.db2.gz GHGNMKBHJCHFMB-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccccn1 ZINC000134515162 394199552 /nfs/dbraw/zinc/19/95/52/394199552.db2.gz QNHVPRMCBICNSW-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CN(C)c1ccc(NCc2cccc([N+](=O)[O-])c2)nc1 ZINC000150909389 394256093 /nfs/dbraw/zinc/25/60/93/394256093.db2.gz PPIQURHRBLVSCB-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN CC(C)(C(=O)NCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000287453715 394205064 /nfs/dbraw/zinc/20/50/64/394205064.db2.gz IIAULGLRQJPBSO-UHFFFAOYSA-N 0 0 262.309 2.647 20 5 CFBDRN C[N@H+](CCC1CC1)Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000135262347 394215394 /nfs/dbraw/zinc/21/53/94/394215394.db2.gz ZLTZBPOCMJBFOH-UHFFFAOYSA-N 0 0 275.308 2.968 20 5 CFBDRN COc1ccc(COc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000135352091 394218373 /nfs/dbraw/zinc/21/83/73/394218373.db2.gz GFUAVKVJSKSRST-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN COC(=O)c1coc(COc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000135359960 394218615 /nfs/dbraw/zinc/21/86/15/394218615.db2.gz FULQZNRJRZTLHF-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN O=C(/C=C\C1CC1)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000493374369 394225815 /nfs/dbraw/zinc/22/58/15/394225815.db2.gz WTDHGIVOKVLBRS-UTCJRWHESA-N 0 0 299.330 2.701 20 5 CFBDRN Cc1ccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])c(Cl)c1 ZINC000136049396 394229330 /nfs/dbraw/zinc/22/93/30/394229330.db2.gz XBVSIVFAWBVINF-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000290884050 394235294 /nfs/dbraw/zinc/23/52/94/394235294.db2.gz GJDUVQRYSHQKRD-SECBINFHSA-N 0 0 253.302 2.574 20 5 CFBDRN O=C(N[C@@H]1C=CCCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000137320907 394238378 /nfs/dbraw/zinc/23/83/78/394238378.db2.gz GEPGFANVMLHVNI-SNVBAGLBSA-N 0 0 285.303 2.915 20 5 CFBDRN CCO/C=C/C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000493430527 394241309 /nfs/dbraw/zinc/24/13/09/394241309.db2.gz YROBPAKDJHPIHO-VOTSOKGWSA-N 0 0 268.244 2.531 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000366710774 394247360 /nfs/dbraw/zinc/24/73/60/394247360.db2.gz OZHMICFAJGQJAB-CHWSQXEVSA-N 0 0 276.336 2.766 20 5 CFBDRN Cc1cc(N2CCC23CCOCC3)ccc1[N+](=O)[O-] ZINC000366799041 394247967 /nfs/dbraw/zinc/24/79/67/394247967.db2.gz UMCXRPZOCJLVCI-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN CC(=O)c1ccc(NCc2snnc2C)c([N+](=O)[O-])c1 ZINC000291619261 394248536 /nfs/dbraw/zinc/24/85/36/394248536.db2.gz ARIDUBJEBYSFFX-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000150808264 394253475 /nfs/dbraw/zinc/25/34/75/394253475.db2.gz CASZGSNLCRBFQW-NXEZZACHSA-N 0 0 266.297 2.839 20 5 CFBDRN CC[C@@H]1CCN1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000291648679 394253434 /nfs/dbraw/zinc/25/34/34/394253434.db2.gz NJYFABIDFOLNEV-ZYHUDNBSSA-N 0 0 277.324 2.850 20 5 CFBDRN CC[C@H]1CCN1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000291648673 394253476 /nfs/dbraw/zinc/25/34/76/394253476.db2.gz NJYFABIDFOLNEV-JQWIXIFHSA-N 0 0 277.324 2.850 20 5 CFBDRN Cc1noc([C@H]2CCCN2c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000286333799 394196022 /nfs/dbraw/zinc/19/60/22/394196022.db2.gz IBRRFYRSCISGNW-CYBMUJFWSA-N 0 0 288.307 2.936 20 5 CFBDRN NC(=O)c1cccc(NC[C@H]2CCCC2(F)F)c1[N+](=O)[O-] ZINC000450737497 394330664 /nfs/dbraw/zinc/33/06/64/394330664.db2.gz LMEXITOSAJKZEO-MRVPVSSYSA-N 0 0 299.277 2.541 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000493510738 394262663 /nfs/dbraw/zinc/26/26/63/394262663.db2.gz HINKYDCQEWPJGJ-ZPIQOJFGSA-N 0 0 292.310 2.959 20 5 CFBDRN CC[C@H](C)CONc1nc2sccn2c1[N+](=O)[O-] ZINC000291818244 394265956 /nfs/dbraw/zinc/26/59/56/394265956.db2.gz KPTJFPXPPSWXHI-ZETCQYMHSA-N 0 0 270.314 2.694 20 5 CFBDRN Cc1cc(N2CC[C@@]3(C)[C@@H](C2)C3(F)F)ncc1[N+](=O)[O-] ZINC000292021170 394269935 /nfs/dbraw/zinc/26/99/35/394269935.db2.gz SSLPSKRTLUTMAP-PWSUYJOCSA-N 0 0 283.278 2.780 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCO[C@H](C(C)C)C1 ZINC000450741754 394331593 /nfs/dbraw/zinc/33/15/93/394331593.db2.gz XZWQQTSGRFEXCJ-OCCSQVGLSA-N 0 0 293.367 2.583 20 5 CFBDRN Cc1ccnc(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c1 ZINC000493553768 394276486 /nfs/dbraw/zinc/27/64/86/394276486.db2.gz LEDKDRPTRBRZQZ-DAXSKMNVSA-N 0 0 297.314 2.628 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153752231 394291416 /nfs/dbraw/zinc/29/14/16/394291416.db2.gz GDYGTPAPTBPMMF-NSHDSACASA-N 0 0 287.747 2.852 20 5 CFBDRN CCOc1cccc(N2CC[C@](C)(COC)C2)c1[N+](=O)[O-] ZINC000294849484 394295410 /nfs/dbraw/zinc/29/54/10/394295410.db2.gz AXOSLDFAVWSRNJ-HNNXBMFYSA-N 0 0 294.351 2.856 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H](CO)C2)c(Cl)c1 ZINC000371061697 394296965 /nfs/dbraw/zinc/29/69/65/394296965.db2.gz HCEKMJNBPAZMAW-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)/C=C\[C@H]1CCOC1 ZINC000493653317 394300637 /nfs/dbraw/zinc/30/06/37/394300637.db2.gz OFERZZQUXZIWAV-ODTOEKCJSA-N 0 0 294.282 2.574 20 5 CFBDRN Cc1cccc(/C=C/C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000493660115 394302455 /nfs/dbraw/zinc/30/24/55/394302455.db2.gz NHQGSABDDWTLKZ-RMKNXTFCSA-N 0 0 297.314 2.974 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000154902159 394304926 /nfs/dbraw/zinc/30/49/26/394304926.db2.gz WFLYMNPQBCBTMA-OLZOCXBDSA-N 0 0 291.351 2.774 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H]2C(C)C)c([N+](=O)[O-])cc1F ZINC000450636089 394305174 /nfs/dbraw/zinc/30/51/74/394305174.db2.gz MGXYAGCSETUDGX-LLVKDONJSA-N 0 0 296.298 2.613 20 5 CFBDRN Cc1nc(N2CCC3(CCCC3)CC2)ncc1[N+](=O)[O-] ZINC000297210261 394314012 /nfs/dbraw/zinc/31/40/12/394314012.db2.gz RYEPVTKPRSXINS-UHFFFAOYSA-N 0 0 276.340 2.854 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C[C@@H]1C ZINC000450753033 394335181 /nfs/dbraw/zinc/33/51/81/394335181.db2.gz GJODONGXFMTCJG-ZUZCIYMTSA-N 0 0 292.335 2.659 20 5 CFBDRN C[C@@]12CN(c3ncc([N+](=O)[O-])s3)C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000450697800 394319730 /nfs/dbraw/zinc/31/97/30/394319730.db2.gz WRQZNCRCISZMQD-QYTUQVAYSA-N 0 0 277.349 2.700 20 5 CFBDRN CN(C(=O)/C=C/c1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000493836958 394326957 /nfs/dbraw/zinc/32/69/57/394326957.db2.gz NYHRWQRPDSUYKR-JXMROGBWSA-N 0 0 283.287 2.666 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])[C@H]1C ZINC000493836980 394327662 /nfs/dbraw/zinc/32/76/62/394327662.db2.gz OBGKGXVFMIBRDD-HANDCERCSA-N 0 0 278.283 2.614 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]([C@@H](CO)C1CC1)C1CC1 ZINC000450768401 394337828 /nfs/dbraw/zinc/33/78/28/394337828.db2.gz LPHCNPYJYKQMEC-SMDDNHRTSA-N 0 0 294.326 2.943 20 5 CFBDRN CC(C)[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@@H](CO)C(C)C ZINC000450774140 394339570 /nfs/dbraw/zinc/33/95/70/394339570.db2.gz SGYYNIAXNPRCBG-GXSJLCMTSA-N 0 0 287.385 2.752 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]([C@@H](CO)C2CC2)C2CC2)cc1 ZINC000450776958 394340019 /nfs/dbraw/zinc/34/00/19/394340019.db2.gz LWJTYERJWAOOGN-GJZGRUSLSA-N 0 0 276.336 2.804 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]([C@H](CO)C1CC1)C1CC1 ZINC000450780075 394340355 /nfs/dbraw/zinc/34/03/55/394340355.db2.gz PRHMYACDECYWLP-KGLIPLIRSA-N 0 0 291.351 2.507 20 5 CFBDRN COC[C@@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000450947991 394343952 /nfs/dbraw/zinc/34/39/52/394343952.db2.gz OMBBCYHPJITQOK-SNVBAGLBSA-N 0 0 299.758 2.896 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)c([N+](=O)[O-])c1 ZINC000451086316 394356099 /nfs/dbraw/zinc/35/60/99/394356099.db2.gz SIMJYXJQJSJLSE-SCVCMEIPSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1ccc(C(=O)C(=O)N(C)c2cccc([N+](=O)[O-])c2)cc1 ZINC000158463422 394358001 /nfs/dbraw/zinc/35/80/01/394358001.db2.gz MHYNZRRQAFAONV-UHFFFAOYSA-N 0 0 298.298 2.749 20 5 CFBDRN CC[C@H](SC)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158631928 394359523 /nfs/dbraw/zinc/35/95/23/394359523.db2.gz WXZQBTNYGBIPLV-NSHDSACASA-N 0 0 268.338 2.699 20 5 CFBDRN Cc1c(CNC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000451133781 394362046 /nfs/dbraw/zinc/36/20/46/394362046.db2.gz OAGSKOGCJSDALP-DYEKYZERSA-N 0 0 288.347 2.956 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC2(CCC2)C1 ZINC000158917259 394362152 /nfs/dbraw/zinc/36/21/52/394362152.db2.gz FSUUGVFDICHFJJ-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000505634527 394367411 /nfs/dbraw/zinc/36/74/11/394367411.db2.gz HWFAUGJONAIITG-TZMCWYRMSA-N 0 0 278.352 2.903 20 5 CFBDRN Cc1nc(N2CCSC3(CCC3)CC2)ncc1[N+](=O)[O-] ZINC000520363914 394380249 /nfs/dbraw/zinc/38/02/49/394380249.db2.gz BWFKZJLLBHBMEX-UHFFFAOYSA-N 0 0 294.380 2.559 20 5 CFBDRN CC[C@@H](SC)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000178511183 394388878 /nfs/dbraw/zinc/38/88/78/394388878.db2.gz ACTAHYDZNDTWKG-GFCCVEGCSA-N 0 0 282.365 2.695 20 5 CFBDRN C[C@H]1CCCC[C@]1(C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000454386166 394406698 /nfs/dbraw/zinc/40/66/98/394406698.db2.gz XENCBVHSZGULJG-ZANVPECISA-N 0 0 265.313 2.622 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])O1 ZINC000457459458 394461423 /nfs/dbraw/zinc/46/14/23/394461423.db2.gz WAIJIOYPWDRHTQ-WPRPVWTQSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])O1 ZINC000457459456 394461527 /nfs/dbraw/zinc/46/15/27/394461527.db2.gz WAIJIOYPWDRHTQ-WCBMZHEXSA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc21)N1CCCCCO1 ZINC000530417937 394515024 /nfs/dbraw/zinc/51/50/24/394515024.db2.gz FXDUMVISKHLXEL-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN C[C@@H]1CC[C@H](CN2CCc3c(cccc3[N+](=O)[O-])C2)O1 ZINC000530442291 394517693 /nfs/dbraw/zinc/51/76/93/394517693.db2.gz OFURCDCXFVGUNK-DGCLKSJQSA-N 0 0 276.336 2.520 20 5 CFBDRN COc1cccc(CN2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000536006097 394551350 /nfs/dbraw/zinc/55/13/50/394551350.db2.gz BEMJTBPRCYMAOR-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN Cc1nn(C[C@@H]2CCCOC2)c2ccc([N+](=O)[O-])cc12 ZINC000540930483 394657545 /nfs/dbraw/zinc/65/75/45/394657545.db2.gz XDMCKKJCIKWFDP-NSHDSACASA-N 0 0 275.308 2.680 20 5 CFBDRN CC[C@H](C)n1nc(Nc2ncc([N+](=O)[O-])cc2C)cc1C ZINC000538293464 394600443 /nfs/dbraw/zinc/60/04/43/394600443.db2.gz HMVRKZJJPAOZDM-JTQLQIEISA-N 0 0 289.339 2.940 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C12CCC(CC1)C2 ZINC000541262270 394668144 /nfs/dbraw/zinc/66/81/44/394668144.db2.gz KVLTYVBEKIJOGW-UHFFFAOYSA-N 0 0 274.320 2.791 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(-c3ccccn3)c2)cc1 ZINC000540288743 394645695 /nfs/dbraw/zinc/64/56/95/394645695.db2.gz LBBCIXHNTWXPFG-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000540586182 394649855 /nfs/dbraw/zinc/64/98/55/394649855.db2.gz OBMOCLXTVVFSKF-CQSZACIVSA-N 0 0 291.351 2.577 20 5 CFBDRN Cc1cc(N[C@H]2CN(c3ccccc3)C2=O)ccc1[N+](=O)[O-] ZINC000544353253 394757270 /nfs/dbraw/zinc/75/72/70/394757270.db2.gz ADEACWNSEPXHJQ-AWEZNQCLSA-N 0 0 297.314 2.731 20 5 CFBDRN COc1cc(Cc2noc(CC(C)C)n2)ccc1[N+](=O)[O-] ZINC000545080149 394779158 /nfs/dbraw/zinc/77/91/58/394779158.db2.gz REWQXLJZJXTFPA-UHFFFAOYSA-N 0 0 291.307 2.776 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000545319017 394791485 /nfs/dbraw/zinc/79/14/85/394791485.db2.gz HWFUQHMOEIFYML-VUDBWIFFSA-N 0 0 287.319 2.882 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1nnc(C2CC2)o1 ZINC000545914792 394807353 /nfs/dbraw/zinc/80/73/53/394807353.db2.gz GOAHAXNHSVTBMH-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)nn1C ZINC000546402731 394825394 /nfs/dbraw/zinc/82/53/94/394825394.db2.gz ZRWYRRSXOKIZSB-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)C1CCOCC1 ZINC000547457878 394867965 /nfs/dbraw/zinc/86/79/65/394867965.db2.gz HYZHOBXXPOAXKD-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])c(Cl)c1 ZINC000548079073 394908588 /nfs/dbraw/zinc/90/85/88/394908588.db2.gz FIHOKUBPOFZCGS-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cc(OC[C@@H]2CCCCO2)c(F)cc1[N+](=O)[O-] ZINC000548476245 394934177 /nfs/dbraw/zinc/93/41/77/394934177.db2.gz RIPFOSZUYDKSBA-JTQLQIEISA-N 0 0 269.272 2.990 20 5 CFBDRN CCOC(=O)C(C)(C)CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000557896715 395048602 /nfs/dbraw/zinc/04/86/02/395048602.db2.gz HKXHXVVGDBNWAB-UHFFFAOYSA-N 0 0 294.307 2.513 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000493105584 296510631 /nfs/dbraw/zinc/51/06/31/296510631.db2.gz MJVIYTKCEJMIKL-AOOOYVTPSA-N 0 0 282.727 2.611 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCOC[C@H]1c1ccco1 ZINC000375785663 296513925 /nfs/dbraw/zinc/51/39/25/296513925.db2.gz BJVCRNVPNGLWJC-AWEZNQCLSA-N 0 0 288.303 2.761 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC([C@H]2CCCOC2)CC1 ZINC000376176659 296516746 /nfs/dbraw/zinc/51/67/46/296516746.db2.gz CAAPEOSWZVEMNB-ZDUSSCGKSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CCCC[C@@H]3C2)s1 ZINC000190333888 296520569 /nfs/dbraw/zinc/52/05/69/296520569.db2.gz MPVFYDNAXUWZQM-DTORHVGOSA-N 0 0 253.327 2.678 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@@]2(C1)OCc1ccccc12 ZINC000361000339 296521992 /nfs/dbraw/zinc/52/19/92/296521992.db2.gz AIRNOSKKDNMKHM-INIZCTEOSA-N 0 0 297.314 2.626 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@H](c2ccccc2)C1 ZINC000340149535 296522001 /nfs/dbraw/zinc/52/20/01/296522001.db2.gz CWWFWXVRFBHORE-ZDUSSCGKSA-N 0 0 269.304 2.984 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])[C@H](C)C1 ZINC000281769964 285706748 /nfs/dbraw/zinc/70/67/48/285706748.db2.gz JZAZCSUMFDHCHK-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1(C)C ZINC000295836730 285723432 /nfs/dbraw/zinc/72/34/32/285723432.db2.gz WOZQDVAJPWIOQW-LLVKDONJSA-N 0 0 299.758 2.895 20 5 CFBDRN CO[C@@H]1CCN(c2ccccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281607792 285722098 /nfs/dbraw/zinc/72/20/98/285722098.db2.gz IVPMDXDNDCVIQX-WDEREUQCSA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)nn1C ZINC000355972692 155134059 /nfs/dbraw/zinc/13/40/59/155134059.db2.gz NIMMPLPALBCJKT-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN Cc1ccc(N2CCC3(CC2)OCCCO3)c([N+](=O)[O-])c1 ZINC000292802929 292129327 /nfs/dbraw/zinc/12/93/27/292129327.db2.gz NLJUQLVGWOTAJN-UHFFFAOYSA-N 0 0 292.335 2.637 20 5 CFBDRN Cc1ccc(N2CCC[C@](C)(O)CC2)c([N+](=O)[O-])c1 ZINC000230373014 292134366 /nfs/dbraw/zinc/13/43/66/292134366.db2.gz SWEGFZHPLRADCL-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN CO[C@@]1(C)CCCN(c2nccc(C)c2[N+](=O)[O-])CC1 ZINC000366503318 285919851 /nfs/dbraw/zinc/91/98/51/285919851.db2.gz DNHHHXMBRQTYND-AWEZNQCLSA-N 0 0 279.340 2.694 20 5 CFBDRN Cc1ncsc1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000355990412 155137789 /nfs/dbraw/zinc/13/77/89/155137789.db2.gz YCKLVDJDUQFHKN-UHFFFAOYSA-N 0 0 295.295 2.680 20 5 CFBDRN Cc1cnc(OCC(=O)[C@H]2Cc3ccccc32)c([N+](=O)[O-])c1 ZINC000356048858 155149716 /nfs/dbraw/zinc/14/97/16/155149716.db2.gz WVHPVMMRSWLRJV-ZDUSSCGKSA-N 0 0 298.298 2.586 20 5 CFBDRN CN(CC1CCCC1)c1ccc([N+](=O)[O-])c(N)c1F ZINC000356164310 155175050 /nfs/dbraw/zinc/17/50/50/155175050.db2.gz AEEZSENUCRAHOB-UHFFFAOYSA-N 0 0 267.304 2.943 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000356265814 155200924 /nfs/dbraw/zinc/20/09/24/155200924.db2.gz YEAOOYOEIWUYJQ-VXGBXAGGSA-N 0 0 293.367 2.805 20 5 CFBDRN CO[C@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000450754820 286062324 /nfs/dbraw/zinc/06/23/24/286062324.db2.gz IQUUTNUTBXETSL-FZMZJTMJSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@H]1CN(c2ccc(C)cc2[N+](=O)[O-])CC[C@H]1C ZINC000302606867 286074852 /nfs/dbraw/zinc/07/48/52/286074852.db2.gz ZTHXQPHRBPWDMU-RISCZKNCSA-N 0 0 264.325 2.764 20 5 CFBDRN C[C@@H]1CCSCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000189976485 296623399 /nfs/dbraw/zinc/62/33/99/296623399.db2.gz MQOYCYINPOBLOX-SNVBAGLBSA-N 0 0 280.349 2.562 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2c1ccc([N+](=O)[O-])c(C)c1 ZINC000286490186 286121851 /nfs/dbraw/zinc/12/18/51/286121851.db2.gz XHFUOEOLCUIOBA-BOOVAVIHSA-N 0 0 288.347 2.763 20 5 CFBDRN CCC[C@H](CNc1ncc(C)cc1[N+](=O)[O-])OC ZINC000356419340 155259739 /nfs/dbraw/zinc/25/97/39/155259739.db2.gz SDKVGMFWCKINJY-SNVBAGLBSA-N 0 0 253.302 2.525 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000287972079 286162051 /nfs/dbraw/zinc/16/20/51/286162051.db2.gz ZUBHWGPVTIQETG-CQSZACIVSA-N 0 0 279.340 2.572 20 5 CFBDRN CCOC[C@H]1CCCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000459669602 286177574 /nfs/dbraw/zinc/17/75/74/286177574.db2.gz DPWUEKHBCXCASZ-CYBMUJFWSA-N 0 0 294.351 2.691 20 5 CFBDRN COc1cc(/C=C\c2ccncn2)ccc1[N+](=O)[O-] ZINC000355908709 286190930 /nfs/dbraw/zinc/19/09/30/286190930.db2.gz QWCHRCWPRGVFGA-RQOWECAXSA-N 0 0 257.249 2.564 20 5 CFBDRN CCO[C@@H]1CCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000302899224 286206420 /nfs/dbraw/zinc/20/64/20/286206420.db2.gz SEHNMKJWLLUDGT-LLVKDONJSA-N 0 0 291.351 2.568 20 5 CFBDRN COc1cc(N2CCC[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000301623031 286229133 /nfs/dbraw/zinc/22/91/33/286229133.db2.gz OJJYCRGYRQHZOA-VIFPVBQESA-N 0 0 268.288 2.979 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H](C)C1CCC1 ZINC000356564886 155303369 /nfs/dbraw/zinc/30/33/69/155303369.db2.gz NSGXMCKLRUXGCJ-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cc(C)cc(-c2noc(-c3nn(C)cc3[N+](=O)[O-])n2)c1 ZINC000356566822 155305425 /nfs/dbraw/zinc/30/54/25/155305425.db2.gz SAJSKHAAYMMYHG-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN CCOC1(CNC(=O)c2csc([N+](=O)[O-])c2)CCCC1 ZINC000356570114 155305588 /nfs/dbraw/zinc/30/55/88/155305588.db2.gz BLWFLKZZAAZDCV-UHFFFAOYSA-N 0 0 298.364 2.735 20 5 CFBDRN CC(C)OC(=O)CSCc1ccc([N+](=O)[O-])cc1F ZINC000356590154 155311708 /nfs/dbraw/zinc/31/17/08/155311708.db2.gz HVWMXGNPFHBOCK-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1COc1cccc(Cl)c1 ZINC000356615662 155318329 /nfs/dbraw/zinc/31/83/29/155318329.db2.gz VTUQDPWVRWFXSX-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN C[C@H](F)CCNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000356645356 155325029 /nfs/dbraw/zinc/32/50/29/155325029.db2.gz BOUMSAWHFSGJSF-NSHDSACASA-N 0 0 297.330 2.651 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC[C@@H]1C ZINC000356718180 155338641 /nfs/dbraw/zinc/33/86/41/155338641.db2.gz AAKUZVKTTHZRDO-OUJBWJOFSA-N 0 0 294.376 2.990 20 5 CFBDRN C[C@H](NCc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1cccnc1 ZINC000356832746 155385620 /nfs/dbraw/zinc/38/56/20/155385620.db2.gz BCHYUBFWRSQNID-JTQLQIEISA-N 0 0 297.318 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCc3occc3C2)n1 ZINC000289179116 292286867 /nfs/dbraw/zinc/28/68/67/292286867.db2.gz VTVHFIZQALOYRS-UHFFFAOYSA-N 0 0 273.292 2.844 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC(C)(C)[C@H]2C)c1 ZINC000408320289 292287301 /nfs/dbraw/zinc/28/73/01/292287301.db2.gz KEIUSQSHSLAJFL-LLVKDONJSA-N 0 0 264.325 2.907 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCC[C@](C)(F)C1 ZINC000295313756 286384158 /nfs/dbraw/zinc/38/41/58/286384158.db2.gz GHHHSDMEPVKAEL-AWEZNQCLSA-N 0 0 282.315 2.927 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@]2(C)CCO[C@H]2C)c1 ZINC000230099970 292289979 /nfs/dbraw/zinc/28/99/79/292289979.db2.gz BGEUCSVNFGWVDV-GWCFXTLKSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCC(=O)[C@H](C)C1 ZINC000276537579 292295501 /nfs/dbraw/zinc/29/55/01/292295501.db2.gz ILOSYEANIUFTCW-NEPJUHHUSA-N 0 0 276.336 2.875 20 5 CFBDRN CCn1cccc(CNc2c(F)cccc2[N+](=O)[O-])c1=O ZINC000343056817 154097980 /nfs/dbraw/zinc/09/79/80/154097980.db2.gz YWVIAEHZXZKIRF-UHFFFAOYSA-N 0 0 291.282 2.528 20 5 CFBDRN Cc1cscc1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000343103054 154113912 /nfs/dbraw/zinc/11/39/12/154113912.db2.gz NRYAGCLHCVJPPZ-UHFFFAOYSA-N 0 0 291.332 2.676 20 5 CFBDRN Cc1cc(CNc2c(F)cc([N+](=O)[O-])cc2F)nc(C)n1 ZINC000343140721 154124270 /nfs/dbraw/zinc/12/42/70/154124270.db2.gz OSMLYNYMJAKWOU-UHFFFAOYSA-N 0 0 294.261 2.892 20 5 CFBDRN Cc1cc(CNc2c3c(ccc2[N+](=O)[O-])NCC3)nc(C)n1 ZINC000343148899 154125363 /nfs/dbraw/zinc/12/53/63/154125363.db2.gz NRLXRWMPLTUEHC-UHFFFAOYSA-N 0 0 299.334 2.582 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCCOC(F)F ZINC000343153869 154126724 /nfs/dbraw/zinc/12/67/24/154126724.db2.gz NNIPXQBGKYATJE-UHFFFAOYSA-N 0 0 264.203 2.775 20 5 CFBDRN Cc1cc(CNc2ccc3ncccc3c2[N+](=O)[O-])n(C)n1 ZINC000343159941 154129715 /nfs/dbraw/zinc/12/97/15/154129715.db2.gz SDPMSWJSBHBZDD-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN COC(=O)C(C)(C)CCNc1ccc(C)cc1[N+](=O)[O-] ZINC000343236180 154150298 /nfs/dbraw/zinc/15/02/98/154150298.db2.gz HBGNGRANQLCPCS-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@@H]2CCCOC2)c1 ZINC000343265876 154161420 /nfs/dbraw/zinc/16/14/20/154161420.db2.gz CXPDTNMBOLQQCF-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1c[nH]c2cccnc12 ZINC000345629362 154224108 /nfs/dbraw/zinc/22/41/08/154224108.db2.gz NCLVTKKMEDLHAM-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CCC(CC)(CC)CNc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000345640477 154228317 /nfs/dbraw/zinc/22/83/17/154228317.db2.gz JMLCAVQLYOLZLO-UHFFFAOYSA-N 0 0 294.355 2.717 20 5 CFBDRN Cc1c(CN2CCC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000345811439 154272667 /nfs/dbraw/zinc/27/26/67/154272667.db2.gz BJOASMWBELFGOV-UHFFFAOYSA-N 0 0 256.252 2.744 20 5 CFBDRN CSCCCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000347999759 154284821 /nfs/dbraw/zinc/28/48/21/154284821.db2.gz JBSZJJZDCBCZBH-UHFFFAOYSA-N 0 0 279.321 2.940 20 5 CFBDRN C[C@H](C(=O)NC[C@H]1C[C@@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000348036261 154293856 /nfs/dbraw/zinc/29/38/56/154293856.db2.gz JEQYUEDTLMXFEW-LPEHRKFASA-N 0 0 280.299 2.610 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC000348065752 154303565 /nfs/dbraw/zinc/30/35/65/154303565.db2.gz ACPOKFYRLBCNFN-HOSYDEDBSA-N 0 0 291.351 2.853 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2C[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000348176276 154351401 /nfs/dbraw/zinc/35/14/01/154351401.db2.gz XNFZNEAJCQPTGJ-IUCAKERBSA-N 0 0 285.250 2.678 20 5 CFBDRN CO[C@]1(C)CCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000348218645 154366247 /nfs/dbraw/zinc/36/62/47/154366247.db2.gz ABJBFXGZYIWAFP-CQSZACIVSA-N 0 0 282.315 2.735 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)CC1CC1 ZINC000348250434 154381313 /nfs/dbraw/zinc/38/13/13/154381313.db2.gz YYMCNUWUSQMSIO-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)CC1CC1 ZINC000348250433 154381587 /nfs/dbraw/zinc/38/15/87/154381587.db2.gz YYMCNUWUSQMSIO-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN CCCCOCCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000348259919 154383793 /nfs/dbraw/zinc/38/37/93/154383793.db2.gz HIJXMMHKYSJDMG-UHFFFAOYSA-N 0 0 264.325 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cncs1 ZINC000348265929 154387008 /nfs/dbraw/zinc/38/70/08/154387008.db2.gz GOYATBMHAWZIEZ-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@@H](NCc1c(F)cc([N+](=O)[O-])cc1F)c1ccon1 ZINC000351994098 154431233 /nfs/dbraw/zinc/43/12/33/154431233.db2.gz LMLALBGRNDWQPF-SSDOTTSWSA-N 0 0 283.234 2.712 20 5 CFBDRN COc1cc(CSCc2noc(C)n2)ccc1[N+](=O)[O-] ZINC000352040390 154452093 /nfs/dbraw/zinc/45/20/93/154452093.db2.gz OVNUVXQBHJDEJL-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN O=C(CCc1cncs1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000352102716 154470315 /nfs/dbraw/zinc/47/03/15/154470315.db2.gz QSFJEVYYKNWCBD-UHFFFAOYSA-N 0 0 295.295 2.762 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000352302798 154527259 /nfs/dbraw/zinc/52/72/59/154527259.db2.gz LOAMSLGAUGDLHW-SECBINFHSA-N 0 0 266.272 2.510 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOC(F)(F)F)c1 ZINC000352594881 154620676 /nfs/dbraw/zinc/62/06/76/154620676.db2.gz OFJCCWOOGFWBFA-UHFFFAOYSA-N 0 0 264.203 2.852 20 5 CFBDRN CCO[C@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000301203798 286448449 /nfs/dbraw/zinc/44/84/49/286448449.db2.gz XYCYWFLXDURINR-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN O=C(CC1CCC(=O)CC1)Nc1cccc([N+](=O)[O-])c1 ZINC000355482831 155019321 /nfs/dbraw/zinc/01/93/21/155019321.db2.gz DPAZJBXDLLFFCH-UHFFFAOYSA-N 0 0 276.292 2.683 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000355487091 155021267 /nfs/dbraw/zinc/02/12/67/155021267.db2.gz RWYVCKPPFJJZTH-KOLCDFICSA-N 0 0 296.298 2.878 20 5 CFBDRN CCc1cc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)n[nH]1 ZINC000355663559 155059337 /nfs/dbraw/zinc/05/93/37/155059337.db2.gz AQDHGPDFHHBBGB-UHFFFAOYSA-N 0 0 299.290 2.521 20 5 CFBDRN CCCN(Cc1c([N+](=O)[O-])ncn1C)Cc1ccccc1 ZINC000355664123 155059857 /nfs/dbraw/zinc/05/98/57/155059857.db2.gz UBMIUFOQAIUIDT-UHFFFAOYSA-N 0 0 288.351 2.741 20 5 CFBDRN C[C@@]1(c2nc(Cc3ccccc3[N+](=O)[O-])no2)CCCO1 ZINC000355691957 155064083 /nfs/dbraw/zinc/06/40/83/155064083.db2.gz WBRNJUPZWQDQQA-AWEZNQCLSA-N 0 0 289.291 2.594 20 5 CFBDRN CCc1nn(C)c(NC[C@@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000355780746 155084875 /nfs/dbraw/zinc/08/48/75/155084875.db2.gz IFFXYQDSLUTIML-ZCFIWIBFSA-N 0 0 280.250 2.501 20 5 CFBDRN Cc1coc(Sc2ncnc3cccc([N+](=O)[O-])c32)n1 ZINC000357741093 155518422 /nfs/dbraw/zinc/51/84/22/155518422.db2.gz DAJACZVQCVSLBO-UHFFFAOYSA-N 0 0 288.288 2.986 20 5 CFBDRN COCCN(C[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])nc1 ZINC000358018435 155603690 /nfs/dbraw/zinc/60/36/90/155603690.db2.gz AFOLJEKCEJERGL-ZDUSSCGKSA-N 0 0 291.351 2.799 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000358026852 155606612 /nfs/dbraw/zinc/60/66/12/155606612.db2.gz ZSHUTQXLNPSEEB-RYUDHWBXSA-N 0 0 291.351 2.559 20 5 CFBDRN CN(Cc1cccs1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000358045013 155613862 /nfs/dbraw/zinc/61/38/62/155613862.db2.gz YSWFMPBNFFVZMQ-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN CCCN(CC)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358318930 155721017 /nfs/dbraw/zinc/72/10/17/155721017.db2.gz MZWMWPXTPPAIDG-UHFFFAOYSA-N 0 0 268.288 2.535 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ccsc2)c1 ZINC000358383940 155744846 /nfs/dbraw/zinc/74/48/46/155744846.db2.gz WRZALCCOKIFFAR-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ncc([N+](=O)[O-])s1)CCO2 ZINC000286449932 292328362 /nfs/dbraw/zinc/32/83/62/292328362.db2.gz UBKPAEYHYNZYKN-UHFFFAOYSA-N 0 0 291.332 2.759 20 5 CFBDRN C[C@H](NC(=O)[C@H]1[C@@H](C)C1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000359031150 155960181 /nfs/dbraw/zinc/96/01/81/155960181.db2.gz NTVRYQJRTJKNFW-VHSKPIJISA-N 0 0 284.262 2.673 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)c2cnn(C)c2)n1 ZINC000359089733 155982520 /nfs/dbraw/zinc/98/25/20/155982520.db2.gz DIBPKSQBAFKQPE-JTQLQIEISA-N 0 0 275.312 2.513 20 5 CFBDRN COc1ccc(NC(=O)c2ncccc2C)c([N+](=O)[O-])c1 ZINC000359709960 156025445 /nfs/dbraw/zinc/02/54/45/156025445.db2.gz HGMGFYWVFQVNMS-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCOCCCCNc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000360159548 156039044 /nfs/dbraw/zinc/03/90/44/156039044.db2.gz YYUVEUHZALKHTK-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN COc1cc(C(=O)NCCC=C(C)C)ccc1[N+](=O)[O-] ZINC000360221989 156056702 /nfs/dbraw/zinc/05/67/02/156056702.db2.gz ZMITZALMKWTTBS-UHFFFAOYSA-N 0 0 278.308 2.690 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2CC[C@H](F)C2)c1[N+](=O)[O-] ZINC000360367269 156061957 /nfs/dbraw/zinc/06/19/57/156061957.db2.gz WUACASWLINTAHE-DTWKUNHWSA-N 0 0 298.339 2.937 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000362737991 156076139 /nfs/dbraw/zinc/07/61/39/156076139.db2.gz RYIHXOSFACJTDW-UHFFFAOYSA-N 0 0 288.259 2.951 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCc1cccnc1 ZINC000362827567 156095336 /nfs/dbraw/zinc/09/53/36/156095336.db2.gz SAEDIRYJSQFNOH-UHFFFAOYSA-N 0 0 287.319 2.791 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2[C@@H]3CCC[C@@H]32)c(Cl)c1 ZINC000362842913 156097261 /nfs/dbraw/zinc/09/72/61/156097261.db2.gz NNZBABYIYVECNE-MBTKJCJQSA-N 0 0 253.689 2.854 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2[C@@H]3c4ccccc4C[C@H]23)cn1 ZINC000362869097 156102811 /nfs/dbraw/zinc/10/28/11/156102811.db2.gz RDULIHSOSVAXIW-IIAWOOMASA-N 0 0 281.315 2.988 20 5 CFBDRN Cc1ccc(N[C@H]2CCc3nc(C)ncc3C2)c([N+](=O)[O-])c1 ZINC000363111376 156150017 /nfs/dbraw/zinc/15/00/17/156150017.db2.gz IRWSDVLMLRBRCM-ZDUSSCGKSA-N 0 0 298.346 2.971 20 5 CFBDRN Cc1ncc(-c2ccccc2)cc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000366887748 156250590 /nfs/dbraw/zinc/25/05/90/156250590.db2.gz YNMJSZNPJKKXSM-HIFRSBDPSA-N 0 0 297.314 2.661 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3ccco3)C2)c1 ZINC000367175162 156293220 /nfs/dbraw/zinc/29/32/20/156293220.db2.gz ZAQHOXOLTZRCPT-SNVBAGLBSA-N 0 0 273.292 2.764 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CCCCCC[C@@H]32)nc1 ZINC000368343297 156470880 /nfs/dbraw/zinc/47/08/80/156470880.db2.gz HSJAOTHTLMUHIS-JQWIXIFHSA-N 0 0 262.313 2.544 20 5 CFBDRN CCOc1cccc(N2CCC[C@@H]([C@H](C)O)C2)c1[N+](=O)[O-] ZINC000302136191 286573068 /nfs/dbraw/zinc/57/30/68/286573068.db2.gz DUIOEWMSNXUSCK-NWDGAFQWSA-N 0 0 294.351 2.591 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](C)[C@H](OC)C2)c1[N+](=O)[O-] ZINC000276128860 286573526 /nfs/dbraw/zinc/57/35/26/286573526.db2.gz ZUTKLQOUMYLHFJ-BXUZGUMPSA-N 0 0 294.351 2.855 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC2(CC2)CC1 ZINC000372585410 156904621 /nfs/dbraw/zinc/90/46/21/156904621.db2.gz QLGXEXPAEUQIQE-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN Cc1ccc(CN2CCC[C@H]2c2ncc[nH]2)cc1[N+](=O)[O-] ZINC000375354129 157232612 /nfs/dbraw/zinc/23/26/12/157232612.db2.gz RUXOLNVHRNMKEA-ZDUSSCGKSA-N 0 0 286.335 2.963 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])s2)CCO[C@@H]1C1CC1 ZINC000375597145 157258686 /nfs/dbraw/zinc/25/86/86/157258686.db2.gz JUBJMTCPBBTGMC-ZYHUDNBSSA-N 0 0 283.353 2.668 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCCO[C@H]2CCC[C@@H]21 ZINC000375815335 157289667 /nfs/dbraw/zinc/28/96/67/157289667.db2.gz PDKAKCIMQBXOPB-KBPBESRZSA-N 0 0 262.309 2.743 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)C(C)(C)C1 ZINC000408217414 157387237 /nfs/dbraw/zinc/38/72/37/157387237.db2.gz YYNMOIJLEFJYBE-SNVBAGLBSA-N 0 0 291.351 2.806 20 5 CFBDRN CC1(C)CCC(NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000408225675 157388449 /nfs/dbraw/zinc/38/84/49/157388449.db2.gz KCBIJFUBBUXJGR-UHFFFAOYSA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1nn(C[C@@H]2CCC3(CCCCC3)O2)cc1[N+](=O)[O-] ZINC000408257403 157390158 /nfs/dbraw/zinc/39/01/58/157390158.db2.gz PVMXRFHJMGUKTE-LBPRGKRZSA-N 0 0 279.340 2.982 20 5 CFBDRN O=C([C@H]1CC12CC2)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000334974026 304414909 /nfs/dbraw/zinc/41/49/09/304414909.db2.gz TYWMXTLRZYZWRC-GFCCVEGCSA-N 0 0 272.304 2.674 20 5 CFBDRN Cc1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2N)c1 ZINC000048244225 161501327 /nfs/dbraw/zinc/50/13/27/161501327.db2.gz UIZLUXRUCZCLNB-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CNC(=O)c1ccc(NCCCC(C)C)c([N+](=O)[O-])c1 ZINC000064905797 161504547 /nfs/dbraw/zinc/50/45/47/161504547.db2.gz MWTLFIOOECDCLO-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN CO[C@@H](C)c1cccc(Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000339134747 161580343 /nfs/dbraw/zinc/58/03/43/161580343.db2.gz KFFFNSUZOOESFW-VIFPVBQESA-N 0 0 276.296 2.779 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C12CCC(CC1)C2 ZINC000342377649 161619060 /nfs/dbraw/zinc/61/90/60/161619060.db2.gz JFXRZLRKDYWGSJ-UHFFFAOYSA-N 0 0 274.320 2.791 20 5 CFBDRN Cc1c([C@@H]2C[C@H]2Nc2c(F)cccc2[N+](=O)[O-])cnn1C ZINC000343033918 161751141 /nfs/dbraw/zinc/75/11/41/161751141.db2.gz LBZHQILBAULHBI-JOYOIKCWSA-N 0 0 290.298 2.744 20 5 CFBDRN CS[C@@H](CNc1c([N+](=O)[O-])ncn1C)C(C)(C)C ZINC000343509274 161786818 /nfs/dbraw/zinc/78/68/18/161786818.db2.gz HXAPQDDQFJYYJD-QMMMGPOBSA-N 0 0 272.374 2.518 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000343983995 161905664 /nfs/dbraw/zinc/90/56/64/161905664.db2.gz LXJGFTOJFORRMF-ZWNOBZJWSA-N 0 0 276.336 2.787 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000344113857 161945525 /nfs/dbraw/zinc/94/55/25/161945525.db2.gz WYFCWUGYQWFTQP-HNNXBMFYSA-N 0 0 291.351 2.926 20 5 CFBDRN C[C@@H](C(=O)N(C)c1ccc([N+](=O)[O-])nc1)c1ccsc1 ZINC000344197386 161969388 /nfs/dbraw/zinc/96/93/88/161969388.db2.gz GTAUJDKIWJODGH-SECBINFHSA-N 0 0 291.332 2.818 20 5 CFBDRN CN(C(=O)[C@H]1CC12CCCC2)c1ccc([N+](=O)[O-])nc1 ZINC000344201187 161971949 /nfs/dbraw/zinc/97/19/49/161971949.db2.gz RKLAOLUDQUGTQV-LLVKDONJSA-N 0 0 275.308 2.533 20 5 CFBDRN C[C@@H]1CCC[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])nc2)C1 ZINC000344232563 161978946 /nfs/dbraw/zinc/97/89/46/161978946.db2.gz ZHEBNIJBVOMGBQ-GHMZBOCLSA-N 0 0 277.324 2.779 20 5 CFBDRN CN(CCN(C)c1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000344427010 162041764 /nfs/dbraw/zinc/04/17/64/162041764.db2.gz YFQHTMBRCBRVLO-UHFFFAOYSA-N 0 0 286.335 2.562 20 5 CFBDRN CCCCN(CCOC)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344665118 162092969 /nfs/dbraw/zinc/09/29/69/162092969.db2.gz LMBJQAZWEUPIAG-UHFFFAOYSA-N 0 0 298.314 2.623 20 5 CFBDRN CCN(C)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000344729693 162109362 /nfs/dbraw/zinc/10/93/62/162109362.db2.gz NTQAGWPCLPGXQR-UHFFFAOYSA-N 0 0 277.324 2.631 20 5 CFBDRN Cc1ccc(NC(=O)N[C@]2(C)CCO[C@H]2C)cc1[N+](=O)[O-] ZINC000344911733 162146808 /nfs/dbraw/zinc/14/68/08/162146808.db2.gz GJTCTWVTKOHWKB-IINYFYTJSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1sccc1CC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000345005903 162160251 /nfs/dbraw/zinc/16/02/51/162160251.db2.gz SSCXFSNNNDDTMM-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN CC(C)CCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000345000379 162161115 /nfs/dbraw/zinc/16/11/15/162161115.db2.gz PFRCQULRCCGAFY-LLVKDONJSA-N 0 0 281.356 2.878 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)N(C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000345066083 162171042 /nfs/dbraw/zinc/17/10/42/162171042.db2.gz DATAUTXXINZDSN-VXGBXAGGSA-N 0 0 276.336 2.989 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000345081428 162175563 /nfs/dbraw/zinc/17/55/63/162175563.db2.gz XVYZBICJPBBIME-UWVGGRQHSA-N 0 0 278.308 2.978 20 5 CFBDRN CN(Cc1ccoc1)Cc1csc([N+](=O)[O-])c1 ZINC000345098559 162178154 /nfs/dbraw/zinc/17/81/54/162178154.db2.gz OBMBKFSEVZWEHW-UHFFFAOYSA-N 0 0 252.295 2.881 20 5 CFBDRN COc1ccccc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000345185247 162196323 /nfs/dbraw/zinc/19/63/23/162196323.db2.gz KWDZXOLIRAWPIE-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000345347546 162231090 /nfs/dbraw/zinc/23/10/90/162231090.db2.gz LKTSCXVJLUGITN-WCQYABFASA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1cnc(CNc2cc([N+](=O)[O-])ccc2C)nc1 ZINC000345403061 162240825 /nfs/dbraw/zinc/24/08/25/162240825.db2.gz CTHWGRVQBDBGDI-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@H](NC(=O)CC1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000345942408 162282580 /nfs/dbraw/zinc/28/25/80/162282580.db2.gz XZOXYWKLRDNZBA-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccc(OC)cc1 ZINC000346358939 162392890 /nfs/dbraw/zinc/39/28/90/162392890.db2.gz HRGIGTQHOZHBHT-UHFFFAOYSA-N 0 0 289.291 2.598 20 5 CFBDRN Cc1cc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])n2C)c(C)o1 ZINC000346490096 162428057 /nfs/dbraw/zinc/42/80/57/162428057.db2.gz YRYJWZJHRROLOA-SECBINFHSA-N 0 0 291.307 2.634 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000347845399 162786286 /nfs/dbraw/zinc/78/62/86/162786286.db2.gz YKASDSCUKIFFOU-AAEUAGOBSA-N 0 0 291.351 2.830 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000347948669 162807483 /nfs/dbraw/zinc/80/74/83/162807483.db2.gz AEHPVEBICDYVPL-ZANVPECISA-N 0 0 296.298 2.878 20 5 CFBDRN O=C(Cc1ccsc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347983993 162813074 /nfs/dbraw/zinc/81/30/74/162813074.db2.gz QCFCMGLLXSJCOJ-UHFFFAOYSA-N 0 0 280.280 2.977 20 5 CFBDRN Cc1nc(CN(C)c2ccc([N+](=O)[O-])c(N)c2F)cs1 ZINC000348742310 162895441 /nfs/dbraw/zinc/89/54/41/162895441.db2.gz KLYCESUFACNSMM-UHFFFAOYSA-N 0 0 296.327 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1cc2ccccc2o1 ZINC000349221715 162951977 /nfs/dbraw/zinc/95/19/77/162951977.db2.gz XJKXGGJXPARGAR-UHFFFAOYSA-N 0 0 284.271 2.859 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1cccc2cccnc21 ZINC000349262398 162958252 /nfs/dbraw/zinc/95/82/52/162958252.db2.gz BUXLCPKPEMHVJQ-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1cc(OCc2ccnn2C)c(Cl)cc1[N+](=O)[O-] ZINC000349834488 163023773 /nfs/dbraw/zinc/02/37/73/163023773.db2.gz YCPHGCWTUAPZDU-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1ccc([N+](=O)[O-])cc1C ZINC000349836286 163023953 /nfs/dbraw/zinc/02/39/53/163023953.db2.gz RMGWEBMPJBRUDH-OCCSQVGLSA-N 0 0 278.352 2.903 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3CCCOCC3)n2)c1 ZINC000349908825 163034980 /nfs/dbraw/zinc/03/49/80/163034980.db2.gz OKOBTUGMQZRTCC-SNVBAGLBSA-N 0 0 289.291 2.929 20 5 CFBDRN CCSCCOc1c(Cl)cncc1[N+](=O)[O-] ZINC000349919245 163037050 /nfs/dbraw/zinc/03/70/50/163037050.db2.gz DEKDEFDGTUNQMO-UHFFFAOYSA-N 0 0 262.718 2.775 20 5 CFBDRN COc1cccc(COc2c(Cl)cncc2[N+](=O)[O-])n1 ZINC000349920833 163037741 /nfs/dbraw/zinc/03/77/41/163037741.db2.gz KGGNTVNGOXJEPI-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN CC(C)(C)C(=O)COc1c(Cl)cncc1[N+](=O)[O-] ZINC000349919354 163038072 /nfs/dbraw/zinc/03/80/72/163038072.db2.gz FDYYXLKHWBFCBQ-UHFFFAOYSA-N 0 0 272.688 2.637 20 5 CFBDRN CC(=O)c1nccn1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000350000841 163050169 /nfs/dbraw/zinc/05/01/69/163050169.db2.gz IIIUHRBDLBLRAS-UHFFFAOYSA-N 0 0 279.683 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H]2CCCc3c[nH]nc32)c(F)c1 ZINC000350292629 163070095 /nfs/dbraw/zinc/07/00/95/163070095.db2.gz YLLPGIPABINTAJ-CYBMUJFWSA-N 0 0 290.298 2.624 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc(-c2ncc[nH]2)no1 ZINC000351078871 163118548 /nfs/dbraw/zinc/11/85/48/163118548.db2.gz MUXIFWGLTOESFE-CMDGGOBGSA-N 0 0 297.274 2.928 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC[C@@H]1C ZINC000351146066 163120922 /nfs/dbraw/zinc/12/09/22/163120922.db2.gz PDBPODGKRFVLDC-WCBMZHEXSA-N 0 0 279.296 2.523 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3C[C@H]4CC[C@@H]3O4)n2)s1 ZINC000351236321 163123025 /nfs/dbraw/zinc/12/30/25/163123025.db2.gz ZJZYPDBTYUKMCV-PRJMDXOYSA-N 0 0 293.304 2.741 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc(N(C)C)no1 ZINC000351570342 163147878 /nfs/dbraw/zinc/14/78/78/163147878.db2.gz OCUNZELQHYKMEJ-HJWRWDBZSA-N 0 0 274.280 2.604 20 5 CFBDRN CSC[C@@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000351629647 163161859 /nfs/dbraw/zinc/16/18/59/163161859.db2.gz PLFOWRJEMUCBOY-SNVBAGLBSA-N 0 0 296.392 2.740 20 5 CFBDRN Cc1ncsc1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000351668973 163170252 /nfs/dbraw/zinc/17/02/52/163170252.db2.gz FMZZMNLFIGRLGV-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000351674026 163171258 /nfs/dbraw/zinc/17/12/58/163171258.db2.gz SFNYBRZMBHHRIM-GFCCVEGCSA-N 0 0 291.351 2.973 20 5 CFBDRN O=C(N[C@@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])cc1 ZINC000351695200 163177353 /nfs/dbraw/zinc/17/73/53/163177353.db2.gz SLWGNHLMAPPNSM-QIMFLAQGSA-N 0 0 274.320 2.903 20 5 CFBDRN Cc1ncsc1CN1CCc2c1cccc2[N+](=O)[O-] ZINC000351709076 163178694 /nfs/dbraw/zinc/17/86/94/163178694.db2.gz AMZUVJYLHCGCNN-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000351724598 163183045 /nfs/dbraw/zinc/18/30/45/163183045.db2.gz OTIPUKMKEBHQRC-HQJQHLMTSA-N 0 0 267.260 2.654 20 5 CFBDRN CCc1nc(SCc2ccc([N+](=O)[O-])c(OC)c2)n[nH]1 ZINC000351821902 163199034 /nfs/dbraw/zinc/19/90/34/163199034.db2.gz KURZDNMUJRPDNA-UHFFFAOYSA-N 0 0 294.336 2.576 20 5 CFBDRN CC(C)c1csc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000351831504 163200191 /nfs/dbraw/zinc/20/01/91/163200191.db2.gz KGMSRRUGKWTYLM-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN CCCCCN(C(=O)c1ccc([N+](=O)[O-])n1C)C(C)C ZINC000352899490 163264740 /nfs/dbraw/zinc/26/47/40/163264740.db2.gz DIBIYWNSJFRLEJ-UHFFFAOYSA-N 0 0 281.356 2.974 20 5 CFBDRN Cc1cc(NC(=O)N(C)Cc2ccon2)ccc1[N+](=O)[O-] ZINC000352917275 163269942 /nfs/dbraw/zinc/26/99/42/163269942.db2.gz TYKIPLVBWFUBCD-UHFFFAOYSA-N 0 0 290.279 2.555 20 5 CFBDRN C[C@H](NC(=O)C[C@@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000353063759 163299459 /nfs/dbraw/zinc/29/94/59/163299459.db2.gz VRKWVEFIVPNFDI-SCVCMEIPSA-N 0 0 262.309 2.818 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000353233003 163323370 /nfs/dbraw/zinc/32/33/70/163323370.db2.gz FEKUYLVXRYFHSI-NWDGAFQWSA-N 0 0 291.351 2.830 20 5 CFBDRN COCCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000353301716 163340444 /nfs/dbraw/zinc/34/04/44/163340444.db2.gz WQBLNMXVRNMHSB-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN CC[C@@H](N[C@H](C)c1ncnn1C)c1cccc([N+](=O)[O-])c1 ZINC000353338487 163348117 /nfs/dbraw/zinc/34/81/17/163348117.db2.gz UWBWWYIENDFKBU-ZWNOBZJWSA-N 0 0 289.339 2.525 20 5 CFBDRN CCCCN[C@H](c1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000353452557 163368173 /nfs/dbraw/zinc/36/81/73/163368173.db2.gz ZXTHEGVUFNLSIE-AWEZNQCLSA-N 0 0 288.351 2.807 20 5 CFBDRN CCCN(CC(F)F)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000353841337 163463662 /nfs/dbraw/zinc/46/36/62/163463662.db2.gz CSMHQJRCGYBWDI-UHFFFAOYSA-N 0 0 272.251 2.712 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@@H]1C(F)F ZINC000353936788 163487933 /nfs/dbraw/zinc/48/79/33/163487933.db2.gz BRWFWMSSRDBKRS-GXSJLCMTSA-N 0 0 299.277 2.932 20 5 CFBDRN CC[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000264760509 287212498 /nfs/dbraw/zinc/21/24/98/287212498.db2.gz NLZAXTLSBOEQKY-GXSJLCMTSA-N 0 0 268.288 2.738 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ccc([N+](=O)[O-])cc1 ZINC000005714196 170132388 /nfs/dbraw/zinc/13/23/88/170132388.db2.gz ARGYOGNMTYCQMM-UHFFFAOYSA-N 0 0 288.215 2.722 20 5 CFBDRN CN(C(=O)COc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000006061436 170173222 /nfs/dbraw/zinc/17/32/22/170173222.db2.gz UDJQKFYECGSLFZ-UHFFFAOYSA-N 0 0 286.287 2.637 20 5 CFBDRN Cc1cc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])no1 ZINC000006271503 170187134 /nfs/dbraw/zinc/18/71/34/170187134.db2.gz LSTKXTCGRWGOQX-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN Cc1cccc(OC(=O)OCC(F)(F)F)c1[N+](=O)[O-] ZINC000284336764 196033149 /nfs/dbraw/zinc/03/31/49/196033149.db2.gz PHCBKZNDAAWNMG-UHFFFAOYSA-N 0 0 279.170 2.981 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CN(C)c1ccccc1 ZINC000007589709 170291928 /nfs/dbraw/zinc/29/19/28/170291928.db2.gz JJNPGWIZKOCUOD-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN Cc1ccn2cc(C(=O)Nc3cccc([N+](=O)[O-])c3)nc2c1 ZINC000008281051 170328786 /nfs/dbraw/zinc/32/87/86/170328786.db2.gz MOXSIEFRBFZQRG-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCc1ccc(Cl)cc1 ZINC000008317367 170330524 /nfs/dbraw/zinc/33/05/24/170330524.db2.gz CENSRQWDYBAIJB-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN O=[N+]([O-])c1c(NCCc2ccco2)nc2sccn21 ZINC000008337471 170331786 /nfs/dbraw/zinc/33/17/86/170331786.db2.gz MDGIAPGVJIXVEG-UHFFFAOYSA-N 0 0 278.293 2.552 20 5 CFBDRN CCOC(=O)CSc1ccc([N+](=O)[O-])c2cnccc12 ZINC000015208980 170659366 /nfs/dbraw/zinc/65/93/66/170659366.db2.gz ORUAAGINKROLLU-UHFFFAOYSA-N 0 0 292.316 2.798 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000265990037 287243289 /nfs/dbraw/zinc/24/32/89/287243289.db2.gz HVSMYILPJSLJOW-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000335458291 287246219 /nfs/dbraw/zinc/24/62/19/287246219.db2.gz AOTNWVFSUHTFBJ-SNVBAGLBSA-N 0 0 262.309 2.998 20 5 CFBDRN COc1ccc(CN[C@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000020526247 171239590 /nfs/dbraw/zinc/23/95/90/171239590.db2.gz DLFSZNRBTQLQRK-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1ccsc1CN(C)c1ncccc1[N+](=O)[O-] ZINC000020668793 171269515 /nfs/dbraw/zinc/26/95/15/171269515.db2.gz MDFOQKXRRSZGGA-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1cc(OCc2nnsc2Cl)ccc1[N+](=O)[O-] ZINC000021481617 171303978 /nfs/dbraw/zinc/30/39/78/171303978.db2.gz OKFYSJWTZAKKHH-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN COC[C@@H](C)Nc1ccc(Br)cc1[N+](=O)[O-] ZINC000021513170 171311233 /nfs/dbraw/zinc/31/12/33/171311233.db2.gz UOVWLSLQOIULSX-SSDOTTSWSA-N 0 0 289.129 2.804 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000283130726 287254661 /nfs/dbraw/zinc/25/46/61/287254661.db2.gz NLWKDJKLJVDZIA-SECBINFHSA-N 0 0 295.314 2.578 20 5 CFBDRN CC(C)n1nccc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000022289724 171383935 /nfs/dbraw/zinc/38/39/35/171383935.db2.gz TWWHRVSQVQGXBH-UHFFFAOYSA-N 0 0 280.309 2.686 20 5 CFBDRN CCCCNC(=O)CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000025603879 171462669 /nfs/dbraw/zinc/46/26/69/171462669.db2.gz DLSLYTNTHKKUCR-UHFFFAOYSA-N 0 0 285.731 2.576 20 5 CFBDRN CC(=O)Nc1ccc(CNc2ncccc2[N+](=O)[O-])cc1 ZINC000026144226 171472635 /nfs/dbraw/zinc/47/26/35/171472635.db2.gz PTSZRXRGBZGHGF-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC1CCC1 ZINC000026139386 171472686 /nfs/dbraw/zinc/47/26/86/171472686.db2.gz ZGSADNPWPWDFSB-JTQLQIEISA-N 0 0 294.376 2.992 20 5 CFBDRN CCc1[nH]ccc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000284999962 196174928 /nfs/dbraw/zinc/17/49/28/196174928.db2.gz AVJUCKGJKCLGJJ-UHFFFAOYSA-N 0 0 285.303 2.688 20 5 CFBDRN CCOC1CC(COc2c(F)cccc2[N+](=O)[O-])C1 ZINC000285055561 196187085 /nfs/dbraw/zinc/18/70/85/196187085.db2.gz GSTQGNDHEGYFEL-UHFFFAOYSA-N 0 0 269.272 2.928 20 5 CFBDRN CC(C)(CO)Nc1ccc(Br)cc1[N+](=O)[O-] ZINC000035606549 172292825 /nfs/dbraw/zinc/29/28/25/172292825.db2.gz OBBJMUZYFVXVIU-UHFFFAOYSA-N 0 0 289.129 2.540 20 5 CFBDRN Cc1ccc(N[C@H](C)[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000035736182 172389444 /nfs/dbraw/zinc/38/94/44/172389444.db2.gz RTEGCGNAFZMUCV-ZWNOBZJWSA-N 0 0 250.298 2.883 20 5 CFBDRN COc1ncccc1NCc1cccc([N+](=O)[O-])c1 ZINC000037070325 172931547 /nfs/dbraw/zinc/93/15/47/172931547.db2.gz DORTVLOEZKZRLO-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN Cc1ccc(NCc2ccc(C(N)=O)cc2)c([N+](=O)[O-])c1 ZINC000037298943 173103195 /nfs/dbraw/zinc/10/31/95/173103195.db2.gz XRJRBNCEOZPGPK-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cn1cc(Nc2ccc3ncccc3c2[N+](=O)[O-])cn1 ZINC000037379094 173167373 /nfs/dbraw/zinc/16/73/73/173167373.db2.gz WVROIHZXIJTQDF-UHFFFAOYSA-N 0 0 269.264 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc(CCn2cc(Br)cn2)cc1 ZINC000038074852 174084775 /nfs/dbraw/zinc/08/47/75/174084775.db2.gz LLFSZTYIPNVDCL-UHFFFAOYSA-N 0 0 296.124 2.797 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1nc(C)cs1 ZINC000038091595 174105719 /nfs/dbraw/zinc/10/57/19/174105719.db2.gz OEUPRDLIEOYYDT-UHFFFAOYSA-N 0 0 293.348 2.658 20 5 CFBDRN CCC1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000038105747 174119871 /nfs/dbraw/zinc/11/98/71/174119871.db2.gz HLIXFWFXWCKIGU-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CC(C)CCCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000038108614 174122310 /nfs/dbraw/zinc/12/23/10/174122310.db2.gz DPXHYXQWKXNTRS-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN O=[N+]([O-])c1ccc(N(CCO)C2CC2)cc1C(F)(F)F ZINC000040452711 174266853 /nfs/dbraw/zinc/26/68/53/174266853.db2.gz XVYDETVXYUGEEG-UHFFFAOYSA-N 0 0 290.241 2.575 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000286176004 196516797 /nfs/dbraw/zinc/51/67/97/196516797.db2.gz AEEWIBAISKNCRZ-BETUJISGSA-N 0 0 287.319 2.757 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000042677808 174833938 /nfs/dbraw/zinc/83/39/38/174833938.db2.gz RIBPTJBHEMXOQG-SFYZADRCSA-N 0 0 292.261 2.861 20 5 CFBDRN Cc1c(NC(=O)NCc2ccncc2)cccc1[N+](=O)[O-] ZINC000043348280 175018537 /nfs/dbraw/zinc/01/85/37/175018537.db2.gz CXSAXQUMWQFUBA-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1cc(NCCSC(C)C)ncc1[N+](=O)[O-] ZINC000286296948 196557586 /nfs/dbraw/zinc/55/75/86/196557586.db2.gz FWXDHRPSTQACBA-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])cc2F)no1 ZINC000044416326 175173004 /nfs/dbraw/zinc/17/30/04/175173004.db2.gz TXABLFICMURHDY-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN CN(Cc1ccc(F)c(F)c1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000044543863 175201930 /nfs/dbraw/zinc/20/19/30/175201930.db2.gz SDDMNUFYVFBJLG-UHFFFAOYSA-N 0 0 296.229 2.738 20 5 CFBDRN CCOC(=O)CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000044572588 175209764 /nfs/dbraw/zinc/20/97/64/175209764.db2.gz XDSBRCUBOLXRLQ-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCC1CCCC1 ZINC000044705290 175235317 /nfs/dbraw/zinc/23/53/17/175235317.db2.gz PDOIHXOSRNZLGB-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCC1CCCC1 ZINC000044705894 175235579 /nfs/dbraw/zinc/23/55/79/175235579.db2.gz BZFQDEUEGVBDPR-UHFFFAOYSA-N 0 0 277.324 2.608 20 5 CFBDRN CC(C)CCOCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000045108618 175308834 /nfs/dbraw/zinc/30/88/34/175308834.db2.gz HCGMIRFZJCXXNJ-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN Cc1noc(C)c1CN(C)c1ccc([N+](=O)[O-])cc1 ZINC000046406818 175396031 /nfs/dbraw/zinc/39/60/31/175396031.db2.gz XTPFMDCISZWXEK-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccncc2)c(F)c1 ZINC000048262711 175423813 /nfs/dbraw/zinc/42/38/13/175423813.db2.gz GNICSMANASXEOK-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOc2ccccc2)cn1 ZINC000048936605 175455014 /nfs/dbraw/zinc/45/50/14/175455014.db2.gz USYOMFYHRJVFNW-UHFFFAOYSA-N 0 0 273.292 2.871 20 5 CFBDRN CC(=O)c1cc(NCC2CCOCC2)ccc1[N+](=O)[O-] ZINC000286340167 196573129 /nfs/dbraw/zinc/57/31/29/196573129.db2.gz QUNJJCREWUNBOG-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN O=C(NCc1cccs1)c1ccc([N+](=O)[O-])cc1F ZINC000054126080 175554319 /nfs/dbraw/zinc/55/43/19/175554319.db2.gz MRERZLDBMSQONX-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)Cc2ccccc2)cc1 ZINC000063218705 175686017 /nfs/dbraw/zinc/68/60/17/175686017.db2.gz HEDKXUWMTXZOTF-AWEZNQCLSA-N 0 0 272.304 2.610 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)C(=O)NC(C)(C)C ZINC000063507387 175686679 /nfs/dbraw/zinc/68/66/79/175686679.db2.gz RYTGSIWPPBBNSO-MRVPVSSYSA-N 0 0 299.758 2.963 20 5 CFBDRN Nc1ccc(N[C@H]2CCOC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000286374580 196584333 /nfs/dbraw/zinc/58/43/33/196584333.db2.gz XWEPHVCQJXIXBO-NSHDSACASA-N 0 0 277.324 2.691 20 5 CFBDRN Cc1cc(N[C@H](c2nncn2C)C(C)C)ccc1[N+](=O)[O-] ZINC000286387646 196587956 /nfs/dbraw/zinc/58/79/56/196587956.db2.gz GGFYJWVMNYMDOG-ZDUSSCGKSA-N 0 0 289.339 2.841 20 5 CFBDRN CC(C)C[C@@H]1COCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000071046002 176030359 /nfs/dbraw/zinc/03/03/59/176030359.db2.gz WQCYOCWPTGPUGC-SNVBAGLBSA-N 0 0 298.364 2.543 20 5 CFBDRN CCC[C@@H](NCc1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000071273132 176105391 /nfs/dbraw/zinc/10/53/91/176105391.db2.gz QDERYUPEUIEMGY-CQSZACIVSA-N 0 0 288.351 2.959 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc(C)cc2[N+](=O)[O-])[nH]1 ZINC000286419673 196597836 /nfs/dbraw/zinc/59/78/36/196597836.db2.gz OMDKEYHRGIVRGL-QMMMGPOBSA-N 0 0 261.285 2.503 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccc(CCO)cc2)cc1 ZINC000072204037 176341855 /nfs/dbraw/zinc/34/18/55/176341855.db2.gz KROIAGGEPRRARE-UHFFFAOYSA-N 0 0 273.288 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC2CCOCC2)c1 ZINC000074015016 176504934 /nfs/dbraw/zinc/50/49/34/176504934.db2.gz QIQUMGOBYHJLSO-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1ncc(CN(C)c2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000074741741 176607116 /nfs/dbraw/zinc/60/71/16/176607116.db2.gz BBYICPOCVVEDKY-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000074767634 176611874 /nfs/dbraw/zinc/61/18/74/176611874.db2.gz QXUWRXVPQXIRBP-UWVGGRQHSA-N 0 0 267.354 2.994 20 5 CFBDRN O=C(CC1CCC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000075547253 176730053 /nfs/dbraw/zinc/73/00/53/176730053.db2.gz PXXFKMXTZAPASC-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000076498713 177029630 /nfs/dbraw/zinc/02/96/30/177029630.db2.gz HAKNGVLTRLBXLQ-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1c(OC(=O)CCOC(C)C)cccc1[N+](=O)[O-] ZINC000076609800 177042660 /nfs/dbraw/zinc/04/26/60/177042660.db2.gz BMKDRRRKBJSZCZ-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC=CCC1 ZINC000077572947 177282335 /nfs/dbraw/zinc/28/23/35/177282335.db2.gz WNIGELKECBLBNI-CYBMUJFWSA-N 0 0 289.335 2.545 20 5 CFBDRN CCc1ccccc1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000077901960 177309452 /nfs/dbraw/zinc/30/94/52/177309452.db2.gz UKNUWDWTMIMVLJ-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CCOC(=O)c1ccnc(NC(C)(C)CC)c1[N+](=O)[O-] ZINC000077905995 177309531 /nfs/dbraw/zinc/30/95/31/177309531.db2.gz YUXKZPAEHHYREO-UHFFFAOYSA-N 0 0 281.312 2.767 20 5 CFBDRN CS[C@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000077959350 177313266 /nfs/dbraw/zinc/31/32/66/177313266.db2.gz CDURHAOOLAKNPB-MRVPVSSYSA-N 0 0 255.295 2.560 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)C[C@H]2C)c1 ZINC000078008551 177317790 /nfs/dbraw/zinc/31/77/90/177317790.db2.gz RNRNNDDMULLBFK-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN CCC[C@@H](C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078149262 177323826 /nfs/dbraw/zinc/32/38/26/177323826.db2.gz JYKUSSUPPFTQDO-SNVBAGLBSA-N 0 0 262.309 2.920 20 5 CFBDRN CC(C)(C)CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078149418 177323975 /nfs/dbraw/zinc/32/39/75/177323975.db2.gz PTIWUKQGSPDGDG-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN CCC1(CNC(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000078355669 177335177 /nfs/dbraw/zinc/33/51/77/177335177.db2.gz HZBLPOJPYPMDCH-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN Cn1ccnc1SCc1c(F)cccc1[N+](=O)[O-] ZINC000078412003 177339495 /nfs/dbraw/zinc/33/94/95/177339495.db2.gz DDQRRZYGVGHTSC-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(C)CC1 ZINC000078421638 177340314 /nfs/dbraw/zinc/34/03/14/177340314.db2.gz JVFWWJSJQGSYDO-GFCCVEGCSA-N 0 0 292.335 2.621 20 5 CFBDRN CCc1cnc(CNc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000078670514 177368990 /nfs/dbraw/zinc/36/89/90/177368990.db2.gz JXEJLQAALWJGGY-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CS[C@H](C)[C@@H](C)O ZINC000079051068 177421881 /nfs/dbraw/zinc/42/18/81/177421881.db2.gz ZZZBAZIGRNGXRG-RKDXNWHRSA-N 0 0 271.338 2.606 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000286622156 196659321 /nfs/dbraw/zinc/65/93/21/196659321.db2.gz GMZLQRCHUFOWBK-LBPRGKRZSA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])[C@H]1C ZINC000339005739 287354929 /nfs/dbraw/zinc/35/49/29/287354929.db2.gz ZNGOZXWXLXSXPK-MNOVXSKESA-N 0 0 264.325 2.921 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000302558808 292586469 /nfs/dbraw/zinc/58/64/69/292586469.db2.gz MELQSYLFLZIOOI-LOWVWBTDSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@]2(C1)CCCOC2 ZINC000343138296 292585889 /nfs/dbraw/zinc/58/58/89/292585889.db2.gz CJGHVFMAWQQIOO-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000128753841 287427028 /nfs/dbraw/zinc/42/70/28/287427028.db2.gz XGISDUOGVYZXJD-KCJUWKMLSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1cc(C(=O)N(C(C)C)C2CC2)ccc1[N+](=O)[O-] ZINC000195260812 270251788 /nfs/dbraw/zinc/25/17/88/270251788.db2.gz SHTLSIXXIIHELQ-UHFFFAOYSA-N 0 0 278.308 2.616 20 5 CFBDRN CCCCNc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC001154831946 782031043 /nfs/dbraw/zinc/03/10/43/782031043.db2.gz NXCJGWUPZFONQN-UHFFFAOYSA-N 0 0 253.302 2.989 20 5 CFBDRN CCO[C@H](CC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000517747400 260033039 /nfs/dbraw/zinc/03/30/39/260033039.db2.gz JBJCIQPJQMHOCX-CYBMUJFWSA-N 0 0 280.324 2.965 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2COCC2(C)C)c1 ZINC000312962422 260224163 /nfs/dbraw/zinc/22/41/63/260224163.db2.gz BEPPNNVKKPVAGW-GFCCVEGCSA-N 0 0 250.298 2.740 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000313094242 260246561 /nfs/dbraw/zinc/24/65/61/260246561.db2.gz VLZWYXDDLXISIR-ZYHUDNBSSA-N 0 0 268.313 2.565 20 5 CFBDRN CCOc1cc(N[C@H](CC)[C@H](O)CC)ccc1[N+](=O)[O-] ZINC000313133991 260252491 /nfs/dbraw/zinc/25/24/91/260252491.db2.gz DLFAUKMAUHPDTA-DGCLKSJQSA-N 0 0 282.340 2.955 20 5 CFBDRN CCOc1cc(N[C@H](CC)[C@@H](O)CC)ccc1[N+](=O)[O-] ZINC000313133993 260252624 /nfs/dbraw/zinc/25/26/24/260252624.db2.gz DLFAUKMAUHPDTA-YPMHNXCESA-N 0 0 282.340 2.955 20 5 CFBDRN CCCCOCCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000008317666 260341576 /nfs/dbraw/zinc/34/15/76/260341576.db2.gz RNXKHBCWWKZOEW-UHFFFAOYSA-N 0 0 298.368 2.923 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1ccc([N+](=O)[O-])cc1 ZINC000393511331 260762745 /nfs/dbraw/zinc/76/27/45/260762745.db2.gz ACTJTZJFZVDQQM-UHFFFAOYSA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@H](C(=O)N1C2CCC1CC2)c1cccc([N+](=O)[O-])c1 ZINC000519517889 265454304 /nfs/dbraw/zinc/45/43/04/265454304.db2.gz HZIALJQPBCPSOZ-PKSQDBQZSA-N 0 0 274.320 2.852 20 5 CFBDRN C[C@@H](NC(=O)c1cccc(N)c1)c1cccc([N+](=O)[O-])c1 ZINC000037766500 270226348 /nfs/dbraw/zinc/22/63/48/270226348.db2.gz USFICZZTYPYJLN-SNVBAGLBSA-N 0 0 285.303 2.668 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)no1 ZINC000192729746 270234032 /nfs/dbraw/zinc/23/40/32/270234032.db2.gz SZRAAICMQYTMDA-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CCCCOCCNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000194419713 270245776 /nfs/dbraw/zinc/24/57/76/270245776.db2.gz YDOCUGQDPXLFRF-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000194896753 270248018 /nfs/dbraw/zinc/24/80/18/270248018.db2.gz RLPUEQVCBSGXAO-QMMMGPOBSA-N 0 0 256.327 2.965 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1F ZINC000195524096 270254167 /nfs/dbraw/zinc/25/41/67/270254167.db2.gz WZPOIBWAJILGLB-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CC(C)C(CNC(=O)c1cccc([N+](=O)[O-])c1N)C(C)C ZINC000229597542 270259116 /nfs/dbraw/zinc/25/91/16/270259116.db2.gz MCNJQLHLIGXYNZ-UHFFFAOYSA-N 0 0 293.367 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC3(CCC3)C2)nc1 ZINC000268989640 270276904 /nfs/dbraw/zinc/27/69/04/270276904.db2.gz UIRSLLKKBDEVGP-SNVBAGLBSA-N 0 0 263.297 2.503 20 5 CFBDRN CCOc1cc(N[C@@H]2COCC2(C)C)ccc1[N+](=O)[O-] ZINC000313026620 270289811 /nfs/dbraw/zinc/28/98/11/270289811.db2.gz OHMFKONVOJDFCH-CYBMUJFWSA-N 0 0 280.324 2.830 20 5 CFBDRN Cn1cnc(CCNc2ccc(Cl)cc2[N+](=O)[O-])c1 ZINC000313483807 270291121 /nfs/dbraw/zinc/29/11/21/270291121.db2.gz QCJNKJQPKMESFM-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccncn1)c1cccc([N+](=O)[O-])c1 ZINC000396022162 270314156 /nfs/dbraw/zinc/31/41/56/270314156.db2.gz LFYGGDJEPGIKBB-MNOVXSKESA-N 0 0 272.308 2.797 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccncn1)c1cccc([N+](=O)[O-])c1 ZINC000396022159 270314237 /nfs/dbraw/zinc/31/42/37/270314237.db2.gz LFYGGDJEPGIKBB-GHMZBOCLSA-N 0 0 272.308 2.797 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000397117969 270315756 /nfs/dbraw/zinc/31/57/56/270315756.db2.gz JYQFZGWXYWEJAL-JSGCOSHPSA-N 0 0 280.299 2.898 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2C[C@]2(C)C(C)C)c([N+](=O)[O-])c1 ZINC000397234064 270316593 /nfs/dbraw/zinc/31/65/93/270316593.db2.gz SVFHEQCSSPYKIV-UKRRQHHQSA-N 0 0 291.351 2.801 20 5 CFBDRN COc1cc(N[C@H]2CS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000397751471 270319580 /nfs/dbraw/zinc/31/95/80/270319580.db2.gz DUEYUWPDKUFCSB-WCBMZHEXSA-N 0 0 268.338 2.909 20 5 CFBDRN CCN(CC1CC1)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000514834695 270355947 /nfs/dbraw/zinc/35/59/47/270355947.db2.gz YRYYJEYHHXIUPD-NSHDSACASA-N 0 0 276.336 2.957 20 5 CFBDRN Cc1nc(N2CCCC23CCCCC3)ncc1[N+](=O)[O-] ZINC000514993117 270360306 /nfs/dbraw/zinc/36/03/06/270360306.db2.gz XPQWFMSUJWLVEI-UHFFFAOYSA-N 0 0 276.340 2.996 20 5 CFBDRN Cc1ccnc(NCc2ccc3cnn(C)c3c2)c1[N+](=O)[O-] ZINC000515191793 270364944 /nfs/dbraw/zinc/36/49/44/270364944.db2.gz MLLYBAHRHFHULP-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CSCc1cccc(Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000521883273 270436998 /nfs/dbraw/zinc/43/69/98/270436998.db2.gz QIHGKXOMQAGOJG-UHFFFAOYSA-N 0 0 278.337 2.935 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(CCc2ccccc2)no1 ZINC000522236603 270445996 /nfs/dbraw/zinc/44/59/96/270445996.db2.gz ACWKWTHQXKYVNU-UHFFFAOYSA-N 0 0 298.302 2.769 20 5 CFBDRN Cc1ccnc(N2CCC(OC(C)C)CC2)c1[N+](=O)[O-] ZINC000531391599 270834965 /nfs/dbraw/zinc/83/49/65/270834965.db2.gz JDFQIRLWLDFJTK-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cn1)C1CCCCCC1 ZINC000532144894 270854102 /nfs/dbraw/zinc/85/41/02/270854102.db2.gz OZAXFWLOAGAELC-UHFFFAOYSA-N 0 0 277.324 2.785 20 5 CFBDRN Cc1cscc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532688806 270865481 /nfs/dbraw/zinc/86/54/81/270865481.db2.gz ZZIHAGSHBQMTGJ-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301623055 287685078 /nfs/dbraw/zinc/68/50/78/287685078.db2.gz OKJMFOFHDTYVAQ-RKDXNWHRSA-N 0 0 265.269 2.880 20 5 CFBDRN Cc1ccc(C[C@H]2CCCN2c2ncc([N+](=O)[O-])cn2)cc1 ZINC000273583325 274744052 /nfs/dbraw/zinc/74/40/52/274744052.db2.gz GECCMHQXLSETAC-CQSZACIVSA-N 0 0 298.346 2.905 20 5 CFBDRN Cc1nc(N2Cc3ccccc3C(C)(C)C2)ncc1[N+](=O)[O-] ZINC000297612505 274843468 /nfs/dbraw/zinc/84/34/68/274843468.db2.gz VRWUKPYJCFKXBP-UHFFFAOYSA-N 0 0 298.346 2.991 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCCN(C3CC3)CC2)c(F)c1 ZINC000178870462 275033795 /nfs/dbraw/zinc/03/37/95/275033795.db2.gz GOFPTIWVUGWAFI-UHFFFAOYSA-N 0 0 297.305 2.548 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1C[C@@]12CCOc1ccccc12 ZINC000361827565 275040522 /nfs/dbraw/zinc/04/05/22/275040522.db2.gz ADRLRUXFDWRMOO-GDBMZVCRSA-N 0 0 297.314 2.895 20 5 CFBDRN CC(=O)c1cc(N2CCSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000228260698 275502039 /nfs/dbraw/zinc/50/20/39/275502039.db2.gz ZNENHRGXQMCTRE-SECBINFHSA-N 0 0 280.349 2.739 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cn2)C1(C)C ZINC000413608641 275525552 /nfs/dbraw/zinc/52/55/52/275525552.db2.gz NCQUHHFHTAQYJT-WDEREUQCSA-N 0 0 294.355 2.779 20 5 CFBDRN CC(C)OC(=O)[C@@H]1CCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000302687909 275658123 /nfs/dbraw/zinc/65/81/23/275658123.db2.gz BPPXOUDSYXQIHK-LBPRGKRZSA-N 0 0 296.298 2.654 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1C[C@H](C)[C@H](C)C1 ZINC000360984676 275806170 /nfs/dbraw/zinc/80/61/70/275806170.db2.gz KMBWEJSIMSOABS-AOOOYVTPSA-N 0 0 262.309 2.890 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cn2)Cc2ccccc21 ZINC000274042753 275851806 /nfs/dbraw/zinc/85/18/06/275851806.db2.gz XYYODLVCUAXBFQ-UHFFFAOYSA-N 0 0 284.319 2.683 20 5 CFBDRN CC1(CNc2cc3c(cc2[N+](=O)[O-])OCC(=O)N3)CCC1 ZINC000131117027 275897933 /nfs/dbraw/zinc/89/79/33/275897933.db2.gz FSQSNOLZNVDOJY-UHFFFAOYSA-N 0 0 291.307 2.528 20 5 CFBDRN CC1(c2ccccc2)CC(NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000264474312 275901482 /nfs/dbraw/zinc/90/14/82/275901482.db2.gz JOUSDGPGPFZZEQ-UHFFFAOYSA-N 0 0 299.330 2.773 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC(C)(C)C(C)(C)C)c1[N+](=O)[O-] ZINC000356814652 276041375 /nfs/dbraw/zinc/04/13/75/276041375.db2.gz XALMHEKASNMAFN-UHFFFAOYSA-N 0 0 296.371 2.996 20 5 CFBDRN CCC[C@H](CNc1c2c(ccc1[N+](=O)[O-])NCC2)OC ZINC000294984039 276042099 /nfs/dbraw/zinc/04/20/99/276042099.db2.gz PUJATVFIFQEPHM-SNVBAGLBSA-N 0 0 279.340 2.790 20 5 CFBDRN CC1(C)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153861940 276114077 /nfs/dbraw/zinc/11/40/77/276114077.db2.gz VVXRYOIQUMRMTC-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN CC1(C)CC[C@@H](Oc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000412987058 276115757 /nfs/dbraw/zinc/11/57/57/276115757.db2.gz AHYRCBFAZCYUQQ-LLVKDONJSA-N 0 0 267.256 2.870 20 5 CFBDRN CCC(=O)c1ccc(N2CCC(C)(O)CC2)c([N+](=O)[O-])c1 ZINC000280820261 276204942 /nfs/dbraw/zinc/20/49/42/276204942.db2.gz XYEKTOKTBNBMMX-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN CCC[C@@H]1CN(c2cc(OC)cc(F)c2[N+](=O)[O-])CCO1 ZINC000301857075 276276185 /nfs/dbraw/zinc/27/61/85/276276185.db2.gz ZMOILZTXMQBPSN-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN CCC[C@@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278148967 276276209 /nfs/dbraw/zinc/27/62/09/276276209.db2.gz BESFAWFIFAZXPZ-LLVKDONJSA-N 0 0 291.351 2.568 20 5 CFBDRN CC[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H](C)O1 ZINC000301280243 276629830 /nfs/dbraw/zinc/62/98/30/276629830.db2.gz NVEPNXMQECIGLZ-SCZZXKLOSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420617778 276663267 /nfs/dbraw/zinc/66/32/67/276663267.db2.gz BTKFJKDOKPADCT-RYUDHWBXSA-N 0 0 294.351 2.997 20 5 CFBDRN CCc1ccc(CCn2c(C)ncc2[N+](=O)[O-])cc1 ZINC000346612380 276667518 /nfs/dbraw/zinc/66/75/18/276667518.db2.gz WHFVMZTZABKMKL-UHFFFAOYSA-N 0 0 259.309 2.905 20 5 CFBDRN CC[C@H]1OCCC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000277240963 276671798 /nfs/dbraw/zinc/67/17/98/276671798.db2.gz OLGCUPAGNXMRNU-CHWSQXEVSA-N 0 0 278.308 2.739 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1Cc2ccccc2C1 ZINC000192574616 276722213 /nfs/dbraw/zinc/72/22/13/276722213.db2.gz CDUZSDCOGKTWHT-UHFFFAOYSA-N 0 0 272.308 2.640 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ncc(Cl)cn1 ZINC000359006628 276865383 /nfs/dbraw/zinc/86/53/83/276865383.db2.gz YPALQJVSFBVUJT-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])nc1)C1Cc2ccccc2C1 ZINC000344427591 277002946 /nfs/dbraw/zinc/00/29/46/277002946.db2.gz FXAUDCNFSNZSAS-UHFFFAOYSA-N 0 0 269.304 2.593 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(N2CC[C@H](C)C2)cc1Cl ZINC000268776683 277153108 /nfs/dbraw/zinc/15/31/08/277153108.db2.gz AMYUYNHFMRFSBU-QMMMGPOBSA-N 0 0 298.726 2.881 20 5 CFBDRN CC(C)(C)[C@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000270805909 277262600 /nfs/dbraw/zinc/26/26/00/277262600.db2.gz VPXVCOSXLYIADY-ZYHUDNBSSA-N 0 0 279.340 2.995 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1(C)C ZINC000295843282 277293658 /nfs/dbraw/zinc/29/36/58/277293658.db2.gz XAEHDLIQSVJEFV-LLVKDONJSA-N 0 0 297.330 2.567 20 5 CFBDRN CNc1c(C(=O)Nc2ccccc2)cccc1[N+](=O)[O-] ZINC000161411372 277301588 /nfs/dbraw/zinc/30/15/88/277301588.db2.gz ATUUHOUFFHBRAQ-UHFFFAOYSA-N 0 0 271.276 2.889 20 5 CFBDRN CC(C)(O)[C@@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000302125109 277318500 /nfs/dbraw/zinc/31/85/00/277318500.db2.gz HYBSOGPXMHNIOZ-LBPRGKRZSA-N 0 0 284.743 2.988 20 5 CFBDRN CO[C@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)[C@H](C)C1 ZINC000281592886 277341144 /nfs/dbraw/zinc/34/11/44/277341144.db2.gz HDCDPVXGWRFHDA-SCZZXKLOSA-N 0 0 286.278 2.877 20 5 CFBDRN CO[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CC[C@@H]1C ZINC000276079926 277344132 /nfs/dbraw/zinc/34/41/32/277344132.db2.gz UJIXUYFXGOKISX-UFBFGSQYSA-N 0 0 286.278 2.734 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C12CCC2 ZINC000413435734 277349190 /nfs/dbraw/zinc/34/91/90/277349190.db2.gz FVIQAZLLQRXDLT-NEPJUHHUSA-N 0 0 291.351 2.976 20 5 CFBDRN CO[C@H]1C[C@H](Nc2nccc(C)c2[N+](=O)[O-])C1(C)C ZINC000227822953 277350842 /nfs/dbraw/zinc/35/08/42/277350842.db2.gz UEVNKFXGXATSNK-UWVGGRQHSA-N 0 0 265.313 2.524 20 5 CFBDRN COc1cc(N[C@@H]2CC23CC3)c(F)cc1[N+](=O)[O-] ZINC000425278605 277385581 /nfs/dbraw/zinc/38/55/81/277385581.db2.gz ZDNQTMJRVBFGLF-LLVKDONJSA-N 0 0 252.245 2.707 20 5 CFBDRN CC(C)C[C@@H]1OCCC[C@H]1Nc1ncccc1[N+](=O)[O-] ZINC000302409622 277469099 /nfs/dbraw/zinc/46/90/99/277469099.db2.gz IDUPIYXRDXFRPF-YPMHNXCESA-N 0 0 279.340 2.995 20 5 CFBDRN CC(C)C[C@H]1CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342793578 277500291 /nfs/dbraw/zinc/50/02/91/277500291.db2.gz VRZIGALEWOHDGL-LLVKDONJSA-N 0 0 291.351 2.566 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1(C)C ZINC000295843289 277746501 /nfs/dbraw/zinc/74/65/01/277746501.db2.gz XAEHDLIQSVJEFV-NSHDSACASA-N 0 0 297.330 2.567 20 5 CFBDRN C[C@@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])C[C@H]1C ZINC000301943737 277759318 /nfs/dbraw/zinc/75/93/18/277759318.db2.gz WGYWREAOIHRLSH-RKDXNWHRSA-N 0 0 280.353 2.786 20 5 CFBDRN CC(C)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000336332368 277774521 /nfs/dbraw/zinc/77/45/21/277774521.db2.gz MKCDBOFJYWHFNB-NSHDSACASA-N 0 0 279.340 2.584 20 5 CFBDRN CC(C)[C@H]1CCCN(c2c([N+](=O)[O-])ncn2C)CC1 ZINC000408169351 277936598 /nfs/dbraw/zinc/93/65/98/277936598.db2.gz NNPVSAUQLMBGLW-NSHDSACASA-N 0 0 266.345 2.591 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000267631037 278077850 /nfs/dbraw/zinc/07/78/50/278077850.db2.gz JNHKMHYLFDASEY-JGVFFNPUSA-N 0 0 255.705 2.878 20 5 CFBDRN COc1ncccc1COc1ccc([N+](=O)[O-])cc1 ZINC000176250075 278095855 /nfs/dbraw/zinc/09/58/55/278095855.db2.gz QCYYYGYJYUZEMP-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CSC1(CN2CCOc3ccc([N+](=O)[O-])cc3C2)CC1 ZINC000356513994 278123360 /nfs/dbraw/zinc/12/33/60/278123360.db2.gz DAOAVGQJEFGCBO-UHFFFAOYSA-N 0 0 294.376 2.685 20 5 CFBDRN C[C@@]12CN(c3ccc([N+](=O)[O-])cn3)C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000450697904 278131921 /nfs/dbraw/zinc/13/19/21/278131921.db2.gz WYGWGRSQUPLUTO-OICBVUGWSA-N 0 0 271.320 2.638 20 5 CFBDRN CC1(C)CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000312917887 278256696 /nfs/dbraw/zinc/25/66/96/278256696.db2.gz BWXUPAVVYJBNFF-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CC1(C)CCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000266198421 278260780 /nfs/dbraw/zinc/26/07/80/278260780.db2.gz IOMXCMSYQUZEKR-UHFFFAOYSA-N 0 0 266.326 2.540 20 5 CFBDRN CC1(C)CC[C@H](Oc2c(Cl)cncc2[N+](=O)[O-])C1=O ZINC000413002980 278283173 /nfs/dbraw/zinc/28/31/73/278283173.db2.gz PCMBLOARUHWAQN-VIFPVBQESA-N 0 0 284.699 2.780 20 5 CFBDRN C[C@H](c1c(F)cccc1F)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000354506847 278305014 /nfs/dbraw/zinc/30/50/14/278305014.db2.gz LEQMLMOKSATVFR-MRVPVSSYSA-N 0 0 294.261 2.860 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1c(Br)cncc1[N+](=O)[O-] ZINC000309210501 278379435 /nfs/dbraw/zinc/37/94/35/278379435.db2.gz NQZSPIZKXAAYRH-XPUUQOCRSA-N 0 0 286.129 2.963 20 5 CFBDRN CC1(C)OCC[C@@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000297858452 278413962 /nfs/dbraw/zinc/41/39/62/278413962.db2.gz YHHXQWNLDNHVPY-JTQLQIEISA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1CN(C)c2ccccc2CN1c1ccc([N+](=O)[O-])nc1 ZINC000450379531 278425670 /nfs/dbraw/zinc/42/56/70/278425670.db2.gz PUPHGQNTZPEIII-LBPRGKRZSA-N 0 0 298.346 2.835 20 5 CFBDRN C[C@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)CCC1=O ZINC000413240364 278447475 /nfs/dbraw/zinc/44/74/75/278447475.db2.gz XAKXMRAIIHWITH-JTQLQIEISA-N 0 0 285.303 2.558 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000071799860 278485092 /nfs/dbraw/zinc/48/50/92/278485092.db2.gz AAOSYLRJRWCFJN-SBMIAAHKSA-N 0 0 260.293 2.528 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000335873707 278505055 /nfs/dbraw/zinc/50/50/55/278505055.db2.gz TXUAFJKHMUEVPQ-SNVBAGLBSA-N 0 0 260.293 2.633 20 5 CFBDRN C[C@H]1c2ccccc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000273604746 278546018 /nfs/dbraw/zinc/54/60/18/278546018.db2.gz KDPOXVRZXXLKSE-JTQLQIEISA-N 0 0 270.292 2.509 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)[C@H]1C ZINC000287982089 278560070 /nfs/dbraw/zinc/56/00/70/278560070.db2.gz AMYVSMLPMKZCKT-SFYZADRCSA-N 0 0 278.337 2.834 20 5 CFBDRN C[C@]1(F)CCN(c2cc(C(F)(F)F)ncc2[N+](=O)[O-])C1 ZINC000436405274 278564795 /nfs/dbraw/zinc/56/47/95/278564795.db2.gz PFEJYHOMTODULY-JTQLQIEISA-N 0 0 293.220 2.947 20 5 CFBDRN CC(C)(CCC(=O)NC1(c2ccccc2F)CC1)[N+](=O)[O-] ZINC000295811669 278599964 /nfs/dbraw/zinc/59/99/64/278599964.db2.gz LCILXZIERDOUNR-UHFFFAOYSA-N 0 0 294.326 2.767 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CCCC1(F)F ZINC000334576908 278609729 /nfs/dbraw/zinc/60/97/29/278609729.db2.gz FZUKWGQSYIXBRK-VIFPVBQESA-N 0 0 272.251 2.500 20 5 CFBDRN CCC1(C(=O)N2CCc3c2cccc3[N+](=O)[O-])CC1 ZINC000267366185 278618739 /nfs/dbraw/zinc/61/87/39/278618739.db2.gz AVJGHBYKTQZGPW-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CCC1(C)CN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000292485550 278625423 /nfs/dbraw/zinc/62/54/23/278625423.db2.gz ZVHVJHODJDRTJO-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000335893601 278638932 /nfs/dbraw/zinc/63/89/32/278638932.db2.gz MITHLGZPGPFDJH-FZMZJTMJSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000335893602 278640093 /nfs/dbraw/zinc/64/00/93/278640093.db2.gz MITHLGZPGPFDJH-RISCZKNCSA-N 0 0 274.320 2.918 20 5 CFBDRN CCC1(CO)CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000153568919 278641476 /nfs/dbraw/zinc/64/14/76/278641476.db2.gz IRGWGFGNOXBJDV-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN CCCCN(c1c([N+](=O)[O-])nc(C)n1CC)C1CC1 ZINC000361044012 278694977 /nfs/dbraw/zinc/69/49/77/278694977.db2.gz QXTLUXHDBAPYQP-UHFFFAOYSA-N 0 0 266.345 2.889 20 5 CFBDRN Cc1cc(N2CCOCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000302005661 278712637 /nfs/dbraw/zinc/71/26/37/278712637.db2.gz UXFSFCXCSLNBKQ-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1NC(=O)C(F)(F)F ZINC000297860730 278744404 /nfs/dbraw/zinc/74/44/04/278744404.db2.gz LWYHRYNMKGCVPE-UHFFFAOYSA-N 0 0 262.187 2.712 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000292079040 278747646 /nfs/dbraw/zinc/74/76/46/278747646.db2.gz XTDMXKSSYQLLAX-PWSUYJOCSA-N 0 0 283.278 2.780 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)[C@@H](C)CO1 ZINC000298294357 278803646 /nfs/dbraw/zinc/80/36/46/278803646.db2.gz IXHUFXSLOLMYME-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CCC1(F)F ZINC000291114894 278812279 /nfs/dbraw/zinc/81/22/79/278812279.db2.gz LTVNDEQADXSQPR-MRVPVSSYSA-N 0 0 296.277 2.953 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccccc2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000273559847 278932015 /nfs/dbraw/zinc/93/20/15/278932015.db2.gz BKCXABBKLGBYSJ-DGCLKSJQSA-N 0 0 284.319 2.767 20 5 CFBDRN C[C@@H]1C[C@@H](c2noc(-c3ccc([N+](=O)[O-])cc3)n2)CCO1 ZINC000357476220 278934835 /nfs/dbraw/zinc/93/48/35/278934835.db2.gz UEGPEPWHMHYGAT-KOLCDFICSA-N 0 0 289.291 2.927 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000408371826 278952882 /nfs/dbraw/zinc/95/28/82/278952882.db2.gz FMCJDDNDWIDEJA-AOOOYVTPSA-N 0 0 274.324 2.953 20 5 CFBDRN CCC[C@H]1CN(c2ccccc2[N+](=O)[O-])CCO1 ZINC000270204452 278957582 /nfs/dbraw/zinc/95/75/82/278957582.db2.gz CVVYQJBPFZHGNE-NSHDSACASA-N 0 0 250.298 2.600 20 5 CFBDRN CCC[C@H]1CN(c2cccc(OC)c2[N+](=O)[O-])CCO1 ZINC000185641323 278957709 /nfs/dbraw/zinc/95/77/09/278957709.db2.gz FJOJBTZJAILGOR-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN CC[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])C(C)(C)O ZINC000292855034 288093490 /nfs/dbraw/zinc/09/34/90/288093490.db2.gz RSAMTYOUWUABRL-VIFPVBQESA-N 0 0 273.720 2.605 20 5 CFBDRN Cc1cnc(N2C[C@@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)c([N+](=O)[O-])c1 ZINC000450689932 279017028 /nfs/dbraw/zinc/01/70/28/279017028.db2.gz HUDBFFIQGCEAGS-IATRGZMQSA-N 0 0 285.347 2.947 20 5 CFBDRN CC(C)C[C@@H]1COCCN1c1ccc([N+](=O)[O-])cc1F ZINC000301599374 279043528 /nfs/dbraw/zinc/04/35/28/279043528.db2.gz UJEWTFMIOAPWQB-GFCCVEGCSA-N 0 0 282.315 2.985 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000377176253 279069503 /nfs/dbraw/zinc/06/95/03/279069503.db2.gz QMVXAZXLUPRRSI-LKFCYVNXSA-N 0 0 271.276 2.924 20 5 CFBDRN Cc1nc(C)c(C)c(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000354381291 279075641 /nfs/dbraw/zinc/07/56/41/279075641.db2.gz HDUSOKGEPISJFJ-UHFFFAOYSA-N 0 0 286.335 2.946 20 5 CFBDRN Cc1nc(N2CCC[C@@]3(CCCCO3)C2)ccc1[N+](=O)[O-] ZINC000369340797 279090691 /nfs/dbraw/zinc/09/06/91/279090691.db2.gz AFFUXCJZLYLGCS-HNNXBMFYSA-N 0 0 291.351 2.838 20 5 CFBDRN NC(=O)Nc1ccc(CNc2ccccc2[N+](=O)[O-])cc1 ZINC000301084904 279571198 /nfs/dbraw/zinc/57/11/98/279571198.db2.gz OLASDWRNYPPCSJ-UHFFFAOYSA-N 0 0 286.291 2.698 20 5 CFBDRN O=C(C1[C@@H]2CCC[C@H]12)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000178159621 279744938 /nfs/dbraw/zinc/74/49/38/279744938.db2.gz GGPGYCSXMILTIG-ONXXMXGDSA-N 0 0 272.304 2.530 20 5 CFBDRN CCOC[C@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000287395115 279749873 /nfs/dbraw/zinc/74/98/73/279749873.db2.gz RYGFSPAQAGMNJF-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN CCOC[C@H]1CCCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000185421163 279749878 /nfs/dbraw/zinc/74/98/78/279749878.db2.gz VSJPHLISRXEOCH-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN C[C@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000276657206 279889495 /nfs/dbraw/zinc/88/94/95/279889495.db2.gz RLUWVFJGKSFSJT-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@H]1COCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000043341709 279914048 /nfs/dbraw/zinc/91/40/48/279914048.db2.gz LMVCTGVKPOEZIO-QMMMGPOBSA-N 0 0 299.714 2.501 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413258742 279920360 /nfs/dbraw/zinc/92/03/60/279920360.db2.gz FRHBUXGMQIAYFM-IINYFYTJSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncnc3sc([N+](=O)[O-])cc32)CCO1 ZINC000286583283 280014863 /nfs/dbraw/zinc/01/48/63/280014863.db2.gz STLXVAGXBKQFGD-JGVFFNPUSA-N 0 0 294.336 2.579 20 5 CFBDRN C[C@H]1Cc2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000336024236 280027114 /nfs/dbraw/zinc/02/71/14/280027114.db2.gz JTHCIPIGOWYZIZ-JTQLQIEISA-N 0 0 285.303 2.510 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2c(N)cccc2[N+](=O)[O-])CC1(C)C ZINC000293843313 280060610 /nfs/dbraw/zinc/06/06/10/280060610.db2.gz IUJJWHIGBMVSAY-ZDUSSCGKSA-N 0 0 291.351 2.684 20 5 CFBDRN C[C@H]1SCCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000153801646 280091255 /nfs/dbraw/zinc/09/12/55/280091255.db2.gz GRIDSJPJABVZJR-JGVFFNPUSA-N 0 0 287.772 2.973 20 5 CFBDRN C[C@H]1SCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)[C@@H]1C ZINC000278496661 280091266 /nfs/dbraw/zinc/09/12/66/280091266.db2.gz QDSGWRFVRFKDGL-RKDXNWHRSA-N 0 0 292.364 2.801 20 5 CFBDRN C[C@H]1[C@H](C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)C1(F)F ZINC000336404703 280107669 /nfs/dbraw/zinc/10/76/69/280107669.db2.gz RYEKMPIVIQXBMY-QPUJVOFHSA-N 0 0 296.273 2.775 20 5 CFBDRN CC[C@@H](C)[C@H]1CCCCN1c1ncc([N+](=O)[O-])cn1 ZINC000274219653 280128026 /nfs/dbraw/zinc/12/80/26/280128026.db2.gz YNZITLZSCUJJAV-ZYHUDNBSSA-N 0 0 264.329 2.790 20 5 CFBDRN CC[C@H]1CCCCN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000264946899 288201975 /nfs/dbraw/zinc/20/19/75/288201975.db2.gz VQSOLKGUNNKCOW-LBPRGKRZSA-N 0 0 291.351 2.723 20 5 CFBDRN C[C@]1(O)CCN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)C1 ZINC000228854952 280170762 /nfs/dbraw/zinc/17/07/62/280170762.db2.gz XNISUTZURRCXAI-NSHDSACASA-N 0 0 291.134 2.863 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284498788 280322726 /nfs/dbraw/zinc/32/27/26/280322726.db2.gz UWLJIFFEEQCWHY-RKDXNWHRSA-N 0 0 295.314 2.719 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157952605 280323711 /nfs/dbraw/zinc/32/37/11/280323711.db2.gz RNJWVUOOIGWODP-NXEZZACHSA-N 0 0 277.324 2.580 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCOC2(CCC2)C1 ZINC000125481141 280354770 /nfs/dbraw/zinc/35/47/70/280354770.db2.gz IXHSSNUPQPRNNF-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CS[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000335451117 280356735 /nfs/dbraw/zinc/35/67/35/280356735.db2.gz FARUOIFFCRSIOB-KOLCDFICSA-N 0 0 280.349 2.527 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC(CF)CC1 ZINC000295044709 280358381 /nfs/dbraw/zinc/35/83/81/280358381.db2.gz TWMQTHDQDWJJPJ-UHFFFAOYSA-N 0 0 273.695 2.829 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCC[C@H]1F ZINC000338839338 280359289 /nfs/dbraw/zinc/35/92/89/280359289.db2.gz BIXPLLYCJUGISH-SFYZADRCSA-N 0 0 259.668 2.946 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(S[C@@H]2CCOC2)c(F)c1 ZINC000335389312 280359915 /nfs/dbraw/zinc/35/99/15/280359915.db2.gz JONRXWUYMZXKNN-SSDOTTSWSA-N 0 0 261.249 2.754 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@H](F)C1 ZINC000450607652 280361788 /nfs/dbraw/zinc/36/17/88/280361788.db2.gz XVRRCLOKNKDFOC-JTQLQIEISA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1N[C@@H]1CCOC1 ZINC000087788202 280362965 /nfs/dbraw/zinc/36/29/65/280362965.db2.gz YNCYIWBWZJAMMI-MRVPVSSYSA-N 0 0 287.113 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@]3(CCCO3)[C@@H]2C2CC2)c(F)c1 ZINC000294207392 280368173 /nfs/dbraw/zinc/36/81/73/280368173.db2.gz UNHQZHHKOWDAOP-LSDHHAIUSA-N 0 0 292.310 2.882 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCOCC12CCCC2 ZINC000342874113 280372758 /nfs/dbraw/zinc/37/27/58/280372758.db2.gz LVIUYQJHYWMYMK-UHFFFAOYSA-N 0 0 294.326 2.879 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CC[C@H](C4CC4)C3)c21 ZINC000347429551 280373707 /nfs/dbraw/zinc/37/37/07/280373707.db2.gz ZSRPZVURNVWRRU-NSHDSACASA-N 0 0 284.319 2.774 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1C[C@H]2CCC[C@@H]21 ZINC000436383486 280377874 /nfs/dbraw/zinc/37/78/74/280377874.db2.gz DJZTYISNJHUQAY-SFYZADRCSA-N 0 0 287.241 2.997 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(C3CC3)CC2)s1 ZINC000366207592 280378265 /nfs/dbraw/zinc/37/82/65/280378265.db2.gz QVOGILWAUDYFJA-UHFFFAOYSA-N 0 0 253.327 2.678 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCCCO2)c(C(F)(F)F)c1 ZINC000413264670 280378561 /nfs/dbraw/zinc/37/85/61/280378561.db2.gz WQJPEHGINLWIFA-UHFFFAOYSA-N 0 0 291.229 2.931 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@H]2c2ncc[nH]2)s1 ZINC000190333536 280379225 /nfs/dbraw/zinc/37/92/25/280379225.db2.gz JMNCUJJJVLFQSZ-QMMMGPOBSA-N 0 0 279.325 2.506 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CCC[C@H]3C2)c(Cl)c1 ZINC000268209872 280381304 /nfs/dbraw/zinc/38/13/04/280381304.db2.gz IBQDYKGXJVZLEN-IUCAKERBSA-N 0 0 267.716 2.880 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@@H]3C[C@@H]32)c(F)c1 ZINC000413442539 280381670 /nfs/dbraw/zinc/38/16/70/280381670.db2.gz AIRKOVSUHTWVBG-POZPLHJXSA-N 0 0 251.261 2.729 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@H](C(F)(F)F)C2)nc1 ZINC000273569965 280382112 /nfs/dbraw/zinc/38/21/12/280382112.db2.gz DAPUNGQHMIKEEN-YUMQZZPRSA-N 0 0 290.245 2.918 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)s1 ZINC000192493179 280382238 /nfs/dbraw/zinc/38/22/38/280382238.db2.gz BCYHALNLNHVBGB-ADEWGFFLSA-N 0 0 273.317 2.802 20 5 CFBDRN O=c1c2ccccc2n(CCC(F)(F)F)cc1[N+](=O)[O-] ZINC000291595930 280391921 /nfs/dbraw/zinc/39/19/21/280391921.db2.gz IBLFCJJGRZVNHH-UHFFFAOYSA-N 0 0 286.209 2.862 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000301592455 280443509 /nfs/dbraw/zinc/44/35/09/280443509.db2.gz ZIVAYBAIBIKWPV-VXGBXAGGSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1nn(Cc2cc(F)ccc2F)c(C)c1[N+](=O)[O-] ZINC000053161237 280445598 /nfs/dbraw/zinc/44/55/98/280445598.db2.gz ZBNGFOVJIXEVQQ-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN CCOCCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000056332673 280451056 /nfs/dbraw/zinc/45/10/56/280451056.db2.gz SJARMOVGMKBJFY-UHFFFAOYSA-N 0 0 281.312 2.533 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000057075562 280451995 /nfs/dbraw/zinc/45/19/95/280451995.db2.gz MHYPDPRYDFDMRB-YUMQZZPRSA-N 0 0 256.327 2.821 20 5 CFBDRN Cc1c(NC[C@@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000062723817 280462000 /nfs/dbraw/zinc/46/20/00/280462000.db2.gz ZIKCTCXBWBNWHM-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCCc1ccccn1 ZINC000064046796 280464297 /nfs/dbraw/zinc/46/42/97/280464297.db2.gz PSKYJRJELSZQMI-UHFFFAOYSA-N 0 0 261.306 2.720 20 5 CFBDRN CC1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000067725382 280472978 /nfs/dbraw/zinc/47/29/78/280472978.db2.gz GJHSAKCAZZYYDM-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000075505978 280512209 /nfs/dbraw/zinc/51/22/09/280512209.db2.gz HTKWYBHTXOGNDI-ANYFNZRUSA-N 0 0 262.309 2.865 20 5 CFBDRN O=C(NCCCC1CC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000076777095 280521019 /nfs/dbraw/zinc/52/10/19/280521019.db2.gz CFZRHTZPOSZRCE-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000079735631 280536294 /nfs/dbraw/zinc/53/62/94/280536294.db2.gz XKQJGDDNAFWEAI-NEPJUHHUSA-N 0 0 293.367 2.582 20 5 CFBDRN O=C(NCc1ccc[nH]1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000081474301 280543304 /nfs/dbraw/zinc/54/33/04/280543304.db2.gz QDZPOLDGPPWQNG-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN Cc1cc(N2CCCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000171047144 280550171 /nfs/dbraw/zinc/55/01/71/280550171.db2.gz VTCUWEHSAQYMMH-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cccc(C(N)=O)c1NCc1ccccc1[N+](=O)[O-] ZINC000085280337 280552968 /nfs/dbraw/zinc/55/29/68/280552968.db2.gz KDVKQSAPAGDXOZ-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN CCc1ccc(OCC2(O)CCCC2)c([N+](=O)[O-])c1 ZINC000087464167 280558013 /nfs/dbraw/zinc/55/80/13/280558013.db2.gz XOUOSEFBEAJWLG-UHFFFAOYSA-N 0 0 265.309 2.841 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H](C)CC[C@H]2C)cc1[N+](=O)[O-] ZINC000088713360 280561079 /nfs/dbraw/zinc/56/10/79/280561079.db2.gz ILUPSOZABCGCCP-GHMZBOCLSA-N 0 0 291.351 2.897 20 5 CFBDRN Cc1cc(N2CCOC[C@H](C3CCC3)C2)ncc1[N+](=O)[O-] ZINC000292364699 280563348 /nfs/dbraw/zinc/56/33/48/280563348.db2.gz RMZFZIWYGJKUNH-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN CC[C@H](CSC)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000091628825 280572681 /nfs/dbraw/zinc/57/26/81/280572681.db2.gz ZJVHLDMBIIOIBU-LLVKDONJSA-N 0 0 297.380 2.536 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CCCC2(C)C)cc1[N+](=O)[O-] ZINC000094268689 280577889 /nfs/dbraw/zinc/57/78/89/280577889.db2.gz DSQZDWYRJVCCPX-ZDUSSCGKSA-N 0 0 291.351 2.945 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Cn1cc(Cl)cn1 ZINC000103463034 280581805 /nfs/dbraw/zinc/58/18/05/280581805.db2.gz ZIMGKABCTQVJGC-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN CN(CC1CCC1)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000110466532 280592178 /nfs/dbraw/zinc/59/21/78/280592178.db2.gz JDDRXNXPHBUHQM-CMDGGOBGSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(/C=C/c1ccc(O)cc1)NCc1cccc([N+](=O)[O-])c1 ZINC000124019655 280637221 /nfs/dbraw/zinc/63/72/21/280637221.db2.gz HXTHCBFRPKFBJF-RMKNXTFCSA-N 0 0 298.298 2.630 20 5 CFBDRN Cc1cccc(C(=O)NC2C[C@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000126179188 280650403 /nfs/dbraw/zinc/65/04/03/280650403.db2.gz MYGADOWPTLFENU-QWRGUYRKSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ccc(C)c(OCCCn2nccc2[N+](=O)[O-])c1 ZINC000126420552 280653281 /nfs/dbraw/zinc/65/32/81/280653281.db2.gz RALZWXODYRPYRZ-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000275067367 280655746 /nfs/dbraw/zinc/65/57/46/280655746.db2.gz YELHMHVTDOWYBE-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN CCOCCC1(CNc2nccc(C)c2[N+](=O)[O-])CC1 ZINC000127746191 280668073 /nfs/dbraw/zinc/66/80/73/280668073.db2.gz KUODZMFWRKCISD-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Cc1cc2c(cc1C)N(c1ncc([N+](=O)[O-])cn1)CC2 ZINC000286481459 280672441 /nfs/dbraw/zinc/67/24/41/280672441.db2.gz WPYVUNQDIWRLKS-UHFFFAOYSA-N 0 0 270.292 2.696 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccon1 ZINC000128865079 280684177 /nfs/dbraw/zinc/68/41/77/280684177.db2.gz WGNZLPHCLXDKOY-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN Cc1cc(NC(=O)c2cccc(C)c2[N+](=O)[O-])sn1 ZINC000130093988 280699727 /nfs/dbraw/zinc/69/97/27/280699727.db2.gz UQJMGZRYTIFASR-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN COc1cc(C(=O)NCc2cccs2)ccc1[N+](=O)[O-] ZINC000133292129 280742454 /nfs/dbraw/zinc/74/24/54/280742454.db2.gz SZLFJMHWUBLCJC-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN Cc1c(Cl)cnn1Cc1ccc([N+](=O)[O-])cc1 ZINC000158556757 289977541 /nfs/dbraw/zinc/97/75/41/289977541.db2.gz GSRHAFNZAGJICU-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN CCc1ncc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000133822750 280749419 /nfs/dbraw/zinc/74/94/19/280749419.db2.gz XBSYEYMTOHREAR-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN O=C(Nc1ccccc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000135011297 280765388 /nfs/dbraw/zinc/76/53/88/280765388.db2.gz SOWUFFVNJQSCMZ-UHFFFAOYSA-N 0 0 260.224 2.986 20 5 CFBDRN CCCCOCCCNc1ncc(C)cc1[N+](=O)[O-] ZINC000135266518 280769236 /nfs/dbraw/zinc/76/92/36/280769236.db2.gz PHQWOADUAWSXRR-UHFFFAOYSA-N 0 0 267.329 2.917 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000179081746 280815582 /nfs/dbraw/zinc/81/55/82/280815582.db2.gz TYZAVDZJPRNLNP-NSHDSACASA-N 0 0 279.340 2.829 20 5 CFBDRN CCc1nc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)cs1 ZINC000185171726 280833859 /nfs/dbraw/zinc/83/38/59/280833859.db2.gz VWXYLIVGYGOOME-UHFFFAOYSA-N 0 0 278.337 2.736 20 5 CFBDRN Cc1ccc(OC[C@H]2CC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000191029538 280894579 /nfs/dbraw/zinc/89/45/79/280894579.db2.gz SCXWOFMYXZLDQY-GHMZBOCLSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1ccc(NC(=O)N[C@]2(C)CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000344911736 280903588 /nfs/dbraw/zinc/90/35/88/280903588.db2.gz GJTCTWVTKOHWKB-QMTHXVAHSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cnc(CNCc2csc([N+](=O)[O-])c2)s1 ZINC000230686289 280937817 /nfs/dbraw/zinc/93/78/17/280937817.db2.gz VNPCMBTXZOJWCX-UHFFFAOYSA-N 0 0 269.351 2.711 20 5 CFBDRN Cc1ccc([C@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)cc1 ZINC000302229178 281001444 /nfs/dbraw/zinc/00/14/44/281001444.db2.gz RFPSQULQPJTEBN-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000247166199 281006369 /nfs/dbraw/zinc/00/63/69/281006369.db2.gz OPBPXYCKCYOXPF-AULYBMBSSA-N 0 0 291.351 2.973 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CC[C@H](C)CC2)cccc1[N+](=O)[O-] ZINC000252831599 281077944 /nfs/dbraw/zinc/07/79/44/281077944.db2.gz MPIXSKPCAHBUAW-XYPYZODXSA-N 0 0 291.351 2.945 20 5 CFBDRN O=C(/C=C\c1cncc(F)c1)Nc1cccc([N+](=O)[O-])c1 ZINC000255053885 281085322 /nfs/dbraw/zinc/08/53/22/281085322.db2.gz VYHCGZQGPNZGER-PLNGDYQASA-N 0 0 287.250 2.781 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@@H]1CC=CCC1 ZINC000255052704 281085504 /nfs/dbraw/zinc/08/55/04/281085504.db2.gz VZRRRZTYQCCKOD-ASCRHOAZSA-N 0 0 272.304 2.833 20 5 CFBDRN CCN(C)C(=O)/C=C\c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000255881375 281109323 /nfs/dbraw/zinc/10/93/23/281109323.db2.gz KKXKOEWNKXKWAN-ALCCZGGFSA-N 0 0 268.700 2.740 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000264338994 281113298 /nfs/dbraw/zinc/11/32/98/281113298.db2.gz YEPBLKBQHGQRCM-CABZTGNLSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H](OC)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000267918163 281115288 /nfs/dbraw/zinc/11/52/88/281115288.db2.gz IHRWCQGLUWEPQP-LLVKDONJSA-N 0 0 266.297 2.575 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000264790765 281177625 /nfs/dbraw/zinc/17/76/25/281177625.db2.gz SJMXCDDJZXMBHJ-KOLCDFICSA-N 0 0 295.295 2.507 20 5 CFBDRN CC[C@H]1CN(c2cccc(C)c2[N+](=O)[O-])CCCO1 ZINC000281793256 281178186 /nfs/dbraw/zinc/17/81/86/281178186.db2.gz HUVFUUSVTLCRRZ-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN CC[C@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])CCCO1 ZINC000341393640 281180214 /nfs/dbraw/zinc/18/02/14/281180214.db2.gz JYRDXRZLIWSXGP-LBPRGKRZSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1ccc(OCCC2(O)CCC2)c([N+](=O)[O-])c1 ZINC000396746963 281196903 /nfs/dbraw/zinc/19/69/03/281196903.db2.gz ABYSDTBUTWBUPE-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000397643187 281201004 /nfs/dbraw/zinc/20/10/04/281201004.db2.gz BOCZLILGVMABLO-ZSBIGDGJSA-N 0 0 289.335 2.555 20 5 CFBDRN COc1cc(NC[C@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000397937920 281203361 /nfs/dbraw/zinc/20/33/61/281203361.db2.gz XJAGKEPWRSHTFX-MWLCHTKSSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1cc(NC[C@H]2CC[C@H](C)O2)c(F)cc1[N+](=O)[O-] ZINC000397946822 281203457 /nfs/dbraw/zinc/20/34/57/281203457.db2.gz MWKWJBNFTJZERW-DTWKUNHWSA-N 0 0 284.287 2.722 20 5 CFBDRN C[C@H]1C[C@H](CO)CN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000398728747 281208372 /nfs/dbraw/zinc/20/83/72/281208372.db2.gz IGSWPDYOFYWVCR-QWRGUYRKSA-N 0 0 298.770 2.699 20 5 CFBDRN CC[C@H]1COCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000301313153 281210168 /nfs/dbraw/zinc/21/01/68/281210168.db2.gz JRTIUJQHFLWZPR-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@@H]1CC[C@H](O)CN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000399315171 281210301 /nfs/dbraw/zinc/21/03/01/281210301.db2.gz FKXXNHPMZDKBDF-SCZZXKLOSA-N 0 0 270.716 2.598 20 5 CFBDRN Cc1cccc(NCCNc2c(F)cccc2[N+](=O)[O-])n1 ZINC000342119561 281244365 /nfs/dbraw/zinc/24/43/65/281244365.db2.gz AULCSMBULRRVFZ-UHFFFAOYSA-N 0 0 290.298 2.961 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NCC1=CCCCC1 ZINC000452294809 281247017 /nfs/dbraw/zinc/24/70/17/281247017.db2.gz XVDOIDIQMVZYQR-UHFFFAOYSA-N 0 0 292.310 2.893 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CCCC[C@H]2C)c1=O ZINC000516194260 281300649 /nfs/dbraw/zinc/30/06/49/281300649.db2.gz BATYINFWUTZHIQ-ZYHUDNBSSA-N 0 0 264.325 2.891 20 5 CFBDRN CC(C)C(C)(C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000526130915 281320931 /nfs/dbraw/zinc/32/09/31/281320931.db2.gz ALCNVWSYICDQCI-UHFFFAOYSA-N 0 0 294.351 2.772 20 5 CFBDRN CC[C@]1(C)CN(c2cc([N+](=O)[O-])ccc2C(C)=O)CCO1 ZINC000340936887 281323187 /nfs/dbraw/zinc/32/31/87/281323187.db2.gz XITACSJHJJGVJV-OAHLLOKOSA-N 0 0 292.335 2.803 20 5 CFBDRN CCN(CC)Cc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000527494130 281333976 /nfs/dbraw/zinc/33/39/76/281333976.db2.gz IGIOHCVGHRLCFI-UHFFFAOYSA-N 0 0 290.323 2.795 20 5 CFBDRN Cc1nc(CSCc2c([N+](=O)[O-])ncn2C)sc1C ZINC000531514216 281431136 /nfs/dbraw/zinc/43/11/36/281431136.db2.gz PBSCGDGAUXLYOK-UHFFFAOYSA-N 0 0 298.393 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(COc3ccccc3)no2)nc1 ZINC000532393575 281453176 /nfs/dbraw/zinc/45/31/76/281453176.db2.gz AFPUYZGRFHKPAW-UHFFFAOYSA-N 0 0 298.258 2.619 20 5 CFBDRN C[C@@H]1c2ccccc2CCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532395994 281453264 /nfs/dbraw/zinc/45/32/64/281453264.db2.gz VIXUEMBARKBEHS-LLVKDONJSA-N 0 0 297.314 2.749 20 5 CFBDRN CC(C)c1cccnc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000532790673 281459509 /nfs/dbraw/zinc/45/95/09/281459509.db2.gz DIBZNMDLAWPDFX-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN COc1ccc(CN(C)c2cccc(O)c2)cc1[N+](=O)[O-] ZINC000533518324 281469668 /nfs/dbraw/zinc/46/96/68/281469668.db2.gz WBWLBDXGHHVHML-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN CC(C)(NC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000535744926 281507495 /nfs/dbraw/zinc/50/74/95/281507495.db2.gz NQWIQYXCNGUCFF-UHFFFAOYSA-N 0 0 263.297 2.905 20 5 CFBDRN C[C@@H]1COCCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000367921978 288335229 /nfs/dbraw/zinc/33/52/29/288335229.db2.gz BTZVSHVDRDJEKS-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000538339217 281551607 /nfs/dbraw/zinc/55/16/07/281551607.db2.gz VFEJLURKTDNVBP-LBPRGKRZSA-N 0 0 277.324 2.507 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000540451640 281585087 /nfs/dbraw/zinc/58/50/87/281585087.db2.gz UMHKKQQQSJWXGP-RYUDHWBXSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC2C[C@@H](C)O[C@H](C)C2)n1 ZINC000541007273 281597282 /nfs/dbraw/zinc/59/72/82/281597282.db2.gz SFPYDGSHEGWHCC-GHMZBOCLSA-N 0 0 279.340 2.975 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ccncc32)cc([N+](=O)[O-])c1 ZINC000541709554 281609628 /nfs/dbraw/zinc/60/96/28/281609628.db2.gz HTRFTQPDNMSYIT-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)Cc2cccc(O)c2)n1 ZINC000541935086 281617038 /nfs/dbraw/zinc/61/70/38/281617038.db2.gz DXKTZHPPLXHALK-UHFFFAOYSA-N 0 0 287.319 2.949 20 5 CFBDRN Cc1ccc([C@H]2CCN(c3c([N+](=O)[O-])ncn3C)C2)cc1 ZINC000543202328 281643138 /nfs/dbraw/zinc/64/31/38/281643138.db2.gz JOGXDHODAJZSMZ-ZDUSSCGKSA-N 0 0 286.335 2.631 20 5 CFBDRN CCCC[C@@H](CCC)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000543766453 281656426 /nfs/dbraw/zinc/65/64/26/281656426.db2.gz NFPUAWGAUKZCOG-LLVKDONJSA-N 0 0 294.355 2.892 20 5 CFBDRN COc1cc(Cc2noc(CC3CC3)n2)ccc1[N+](=O)[O-] ZINC000545047696 281677421 /nfs/dbraw/zinc/67/74/21/281677421.db2.gz ZCKWRHPVKCOVTF-UHFFFAOYSA-N 0 0 289.291 2.530 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cn2)CC(C)(C)C1 ZINC000545244685 281683749 /nfs/dbraw/zinc/68/37/49/281683749.db2.gz MEEDAKIGJXRCFH-UHFFFAOYSA-N 0 0 291.351 2.888 20 5 CFBDRN COC(C)(C)CN(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000546742432 281719655 /nfs/dbraw/zinc/71/96/55/281719655.db2.gz XCKZEKWGUBLQNM-UHFFFAOYSA-N 0 0 295.339 2.792 20 5 CFBDRN CC[C@H]1Cc2ccccc2CN1c1c([N+](=O)[O-])ncn1C ZINC000274222693 288354441 /nfs/dbraw/zinc/35/44/41/288354441.db2.gz BXURCXYTXDVUPS-ZDUSSCGKSA-N 0 0 286.335 2.670 20 5 CFBDRN Cc1cc(OCc2ccno2)c(F)cc1[N+](=O)[O-] ZINC000547821668 281756456 /nfs/dbraw/zinc/75/64/56/281756456.db2.gz QRUMMWYWGRCRIO-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN O=C(Nc1cccc2cc[nH]c21)c1ccc([N+](=O)[O-])cn1 ZINC000547820231 281756460 /nfs/dbraw/zinc/75/64/60/281756460.db2.gz JZKQFFYRFOVVIF-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NCC2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000548057070 281766057 /nfs/dbraw/zinc/76/60/57/281766057.db2.gz XHBJCDXBCYSJFQ-UHFFFAOYSA-N 0 0 294.355 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1N[C@H]1[C@@H]2COC[C@@H]21 ZINC000553543309 281878435 /nfs/dbraw/zinc/87/84/35/281878435.db2.gz CIBUJELOASOBDT-MTBHXBHISA-N 0 0 289.118 2.958 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000553624980 281879137 /nfs/dbraw/zinc/87/91/37/281879137.db2.gz DMAKAPISXVRVBF-SNVBAGLBSA-N 0 0 299.277 2.506 20 5 CFBDRN Cc1nc(N2CCC[C@]3(CCCCO3)C2)ccc1[N+](=O)[O-] ZINC000369340798 281928287 /nfs/dbraw/zinc/92/82/87/281928287.db2.gz AFFUXCJZLYLGCS-OAHLLOKOSA-N 0 0 291.351 2.838 20 5 CFBDRN CCCC[C@@H](C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000558607411 281986379 /nfs/dbraw/zinc/98/63/79/281986379.db2.gz DQBOSLVMKITFQX-GFCCVEGCSA-N 0 0 294.351 2.916 20 5 CFBDRN Cc1cccc(CN2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000559502733 282006940 /nfs/dbraw/zinc/00/69/40/282006940.db2.gz FCIGFVQMDFPQOL-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN CC(C)n1cc(NC(=O)c2csc([N+](=O)[O-])c2)cn1 ZINC000559646365 282008821 /nfs/dbraw/zinc/00/88/21/282008821.db2.gz NELMIFFIRLKDBP-UHFFFAOYSA-N 0 0 280.309 2.686 20 5 CFBDRN Cn1ccnc1SCc1c(F)cc([N+](=O)[O-])cc1F ZINC000560427617 282026193 /nfs/dbraw/zinc/02/61/93/282026193.db2.gz BKIDEXCGIOQXMN-UHFFFAOYSA-N 0 0 285.275 2.899 20 5 CFBDRN CCOc1cc(N2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000561317344 282052733 /nfs/dbraw/zinc/05/27/33/282052733.db2.gz ASIHFZBOXZBSRK-SNVBAGLBSA-N 0 0 268.288 2.932 20 5 CFBDRN Cc1c(CNC(=O)N2C3CCC2CC3)cccc1[N+](=O)[O-] ZINC000562312047 282073725 /nfs/dbraw/zinc/07/37/25/282073725.db2.gz IUIRSHSOFMSCHM-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN CC[C@]1(C)CCCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420603360 288440945 /nfs/dbraw/zinc/44/09/45/288440945.db2.gz QBAIZMAAKVWPLV-OAHLLOKOSA-N 0 0 291.351 2.710 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000335714539 288663784 /nfs/dbraw/zinc/66/37/84/288663784.db2.gz CFMNJHXTFIMKET-DTORHVGOSA-N 0 0 273.292 2.699 20 5 CFBDRN C[C@@H]1Cc2ccccc2N(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000334444397 288813344 /nfs/dbraw/zinc/81/33/44/288813344.db2.gz DZDZZPDFXRCFMN-SNVBAGLBSA-N 0 0 285.303 2.762 20 5 CFBDRN C[C@@]1(O)CCCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000235420416 289209011 /nfs/dbraw/zinc/20/90/11/289209011.db2.gz NWXZMKRRZCOQJT-CYBMUJFWSA-N 0 0 284.743 2.990 20 5 CFBDRN C[C@@]12CN(c3ncccc3[N+](=O)[O-])C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000450689375 289230632 /nfs/dbraw/zinc/23/06/32/289230632.db2.gz GMYPRXBIAVLCQE-ZAZJYDDPSA-N 0 0 271.320 2.638 20 5 CFBDRN Cc1ccnc(N2CC(C3CCCC3)C2)c1[N+](=O)[O-] ZINC000373677733 292766487 /nfs/dbraw/zinc/76/64/87/292766487.db2.gz ABJGFDSXRYZUNO-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN CCCC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000152099151 289839105 /nfs/dbraw/zinc/83/91/05/289839105.db2.gz KSBJIYHUDOWNDH-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN Cc1c(NCC(=O)NCCC(C)(C)C)cccc1[N+](=O)[O-] ZINC000152537433 289850023 /nfs/dbraw/zinc/85/00/23/289850023.db2.gz FFFPLDNCJHPRSJ-UHFFFAOYSA-N 0 0 293.367 2.868 20 5 CFBDRN CCCN(CCC)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000153784414 289876731 /nfs/dbraw/zinc/87/67/31/289876731.db2.gz GDBUVXXGWGJMPO-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000155531035 289920405 /nfs/dbraw/zinc/92/04/05/289920405.db2.gz SZMVNUCBZLZGOY-GWCFXTLKSA-N 0 0 292.335 2.912 20 5 CFBDRN CCc1ncc(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])cn1 ZINC000155571237 289920990 /nfs/dbraw/zinc/92/09/90/289920990.db2.gz LIEVJAVSLFOYLW-BQYQJAHWSA-N 0 0 298.302 2.599 20 5 CFBDRN O=C([C@@H]1C[C@@H]1C1CC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156586453 289941043 /nfs/dbraw/zinc/94/10/43/289941043.db2.gz JALLDSGCEXVNOL-HUUCEWRRSA-N 0 0 286.331 2.526 20 5 CFBDRN CC[C@@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156626403 289941734 /nfs/dbraw/zinc/94/17/34/289941734.db2.gz GATGLUBQHCSPRK-SNVBAGLBSA-N 0 0 262.309 2.526 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)o1 ZINC000158488427 289976343 /nfs/dbraw/zinc/97/63/43/289976343.db2.gz LQKSRDMCVULZEV-UHFFFAOYSA-N 0 0 260.249 2.773 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])cc1 ZINC000158911903 289984191 /nfs/dbraw/zinc/98/41/91/289984191.db2.gz NCXBJMULIKJVIL-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN C[C@H]1C[C@@H](COc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000447299079 290031674 /nfs/dbraw/zinc/03/16/74/290031674.db2.gz NPYNSAXXRBAURZ-UWVGGRQHSA-N 0 0 269.272 2.928 20 5 CFBDRN CN(CC1CC1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000488095742 290032572 /nfs/dbraw/zinc/03/25/72/290032572.db2.gz JVPDIQRNYPHNQI-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CC1=C(C)CN(C(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000562378333 290039388 /nfs/dbraw/zinc/03/93/88/290039388.db2.gz RTRGKSPVDOYADH-UHFFFAOYSA-N 0 0 289.335 2.847 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000562400342 290040453 /nfs/dbraw/zinc/04/04/53/290040453.db2.gz KJYRZHRQBVTMLE-PWSUYJOCSA-N 0 0 276.336 2.861 20 5 CFBDRN O=[N+]([O-])c1cc(-c2nc(C3CCCCCC3)no2)[nH]n1 ZINC000563146642 290109032 /nfs/dbraw/zinc/10/90/32/290109032.db2.gz IVGWSSJBWOSJNQ-UHFFFAOYSA-N 0 0 277.284 2.806 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)C(C)(C)C ZINC000563234437 290121574 /nfs/dbraw/zinc/12/15/74/290121574.db2.gz ZGNPAEKMBYJKBP-SSDOTTSWSA-N 0 0 256.327 2.821 20 5 CFBDRN CC(C)Oc1nc(NCCC(C)(F)F)ccc1[N+](=O)[O-] ZINC000563425043 290149218 /nfs/dbraw/zinc/14/92/18/290149218.db2.gz OMUQUZPIGSZKST-UHFFFAOYSA-N 0 0 289.282 2.656 20 5 CFBDRN Cc1nc(COc2c(C)c(C)ncc2[N+](=O)[O-])oc1C ZINC000563437736 290151187 /nfs/dbraw/zinc/15/11/87/290151187.db2.gz BLUCWIIVUYSRLU-UHFFFAOYSA-N 0 0 277.280 2.790 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1Cc2ccccc2CO1 ZINC000563648830 290166462 /nfs/dbraw/zinc/16/64/62/290166462.db2.gz GPROIMIVSNPDKC-UHFFFAOYSA-N 0 0 288.307 2.571 20 5 CFBDRN CC[C@H](C)C(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000563748305 290172770 /nfs/dbraw/zinc/17/27/70/290172770.db2.gz JOTGUHAETLSLDN-JTQLQIEISA-N 0 0 289.335 2.781 20 5 CFBDRN COC1(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CCCC1 ZINC000564025630 290188967 /nfs/dbraw/zinc/18/89/67/290188967.db2.gz PORBRPPFRUSMBE-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000564036262 290189497 /nfs/dbraw/zinc/18/94/97/290189497.db2.gz NGJIFHRUHUIKGD-YPMHNXCESA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3cccnc3)C2)n1 ZINC000564903835 290247301 /nfs/dbraw/zinc/24/73/01/290247301.db2.gz BDWKAJSBVYBKIO-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN COCC1(CCNc2nccc(C)c2[N+](=O)[O-])CC1 ZINC000564904207 290248086 /nfs/dbraw/zinc/24/80/86/290248086.db2.gz INULWAVBNNEQRY-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN C[C@H](NC(=O)N1CCCCCO1)c1ccccc1[N+](=O)[O-] ZINC000565066009 290264732 /nfs/dbraw/zinc/26/47/32/290264732.db2.gz ZZWLGNVGPMXTCB-NSHDSACASA-N 0 0 293.323 2.783 20 5 CFBDRN C[C@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])c1csnn1 ZINC000565219643 290283952 /nfs/dbraw/zinc/28/39/52/290283952.db2.gz XKCICCPJKBRPEW-KWQFWETISA-N 0 0 290.348 2.784 20 5 CFBDRN CC(C)Oc1nc(NCCSC(C)C)ccc1[N+](=O)[O-] ZINC000565223931 290285021 /nfs/dbraw/zinc/28/50/21/290285021.db2.gz CHNMNHOHJFYEPT-UHFFFAOYSA-N 0 0 299.396 2.752 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nccc3c2cccc3[N+](=O)[O-])CO1 ZINC000565418680 290300413 /nfs/dbraw/zinc/30/04/13/290300413.db2.gz CBNXVIZNMKNRBI-ZJUUUORDSA-N 0 0 273.292 2.732 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC(CCCO)CC1 ZINC000565726820 290320325 /nfs/dbraw/zinc/32/03/25/290320325.db2.gz ZJMARXGJGRHAHF-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN Cc1ncc(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)o1 ZINC000566160418 290354465 /nfs/dbraw/zinc/35/44/65/290354465.db2.gz HHTFGCZDGBASHX-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN C[C@H]1CC(Nc2ncc([N+](=O)[O-])cn2)C[C@H](C)C1 ZINC000084976578 290354917 /nfs/dbraw/zinc/35/49/17/290354917.db2.gz QDRPVLOUVMYNAG-RKDXNWHRSA-N 0 0 250.302 2.621 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000158963592 290381252 /nfs/dbraw/zinc/38/12/52/290381252.db2.gz QLNILYBBFOTJCY-VIFPVBQESA-N 0 0 266.272 2.749 20 5 CFBDRN COc1cc(CSCCC(C)(C)O)ccc1[N+](=O)[O-] ZINC000566472950 290389881 /nfs/dbraw/zinc/38/98/81/290389881.db2.gz VLFKPEAPZYZFJF-UHFFFAOYSA-N 0 0 285.365 2.998 20 5 CFBDRN COC(=O)C[C@H](C)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000566497800 290395296 /nfs/dbraw/zinc/39/52/96/290395296.db2.gz KQMSOPWAYOWQHH-QMMMGPOBSA-N 0 0 287.312 2.919 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)Cc1ccncc1 ZINC000566528186 290397964 /nfs/dbraw/zinc/39/79/64/290397964.db2.gz MTGZDTUDNGNQJV-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN CC[C@H](F)Cn1cnc2c(Cl)cc([N+](=O)[O-])cc2c1=O ZINC000567843693 290498973 /nfs/dbraw/zinc/49/89/73/290498973.db2.gz IGWURPZYIQQUKB-ZETCQYMHSA-N 0 0 299.689 2.706 20 5 CFBDRN C[C@H]1CCN(c2nc(N3CC[C@H](C)C3)c([N+](=O)[O-])s2)C1 ZINC000301267269 290593720 /nfs/dbraw/zinc/59/37/20/290593720.db2.gz FUHHGPCVXWSBFX-UWVGGRQHSA-N 0 0 296.396 2.744 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000280139988 294134847 /nfs/dbraw/zinc/13/48/47/294134847.db2.gz FBOOHRIAPKKDJR-AWEZNQCLSA-N 0 0 279.340 2.694 20 5 CFBDRN CO[C@@]1(C)C[C@@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000301535467 294141784 /nfs/dbraw/zinc/14/17/84/294141784.db2.gz AFBMQIJITVNRPT-DOMZBBRYSA-N 0 0 293.367 2.938 20 5 CFBDRN Cn1cc([C@H]2CCCCN2c2ncc([N+](=O)[O-])s2)cn1 ZINC000450519412 294296527 /nfs/dbraw/zinc/29/65/27/294296527.db2.gz MFNPIVZSUSGJSM-SNVBAGLBSA-N 0 0 293.352 2.516 20 5 CFBDRN C[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000006899614 297078866 /nfs/dbraw/zinc/07/88/66/297078866.db2.gz MRPLQTSEIFVIEK-DTORHVGOSA-N 0 0 270.716 2.862 20 5 CFBDRN C[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000280112105 297080939 /nfs/dbraw/zinc/08/09/39/297080939.db2.gz ABSSLINOTCFMAU-NOZJJQNGSA-N 0 0 280.299 2.738 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1C[C@@H]1C1CCCCC1 ZINC000357679420 294461970 /nfs/dbraw/zinc/46/19/70/294461970.db2.gz JBSXHBGIPNNCIQ-GHMZBOCLSA-N 0 0 264.329 2.709 20 5 CFBDRN CO[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CC[C@@H]1C ZINC000276043630 294579424 /nfs/dbraw/zinc/57/94/24/294579424.db2.gz PTKNLFBWYIYXFS-KWQFWETISA-N 0 0 285.731 2.504 20 5 CFBDRN Nc1nc(N[C@H]2CCCCC23CCCC3)ncc1[N+](=O)[O-] ZINC000359969717 295026249 /nfs/dbraw/zinc/02/62/49/295026249.db2.gz WLQYVLRUDBARIR-NSHDSACASA-N 0 0 291.355 2.712 20 5 CFBDRN COc1cccc(C(=O)N2Cc3ccccc3C2)c1[N+](=O)[O-] ZINC000194303946 295287523 /nfs/dbraw/zinc/28/75/23/295287523.db2.gz RMANUBRWQYLPFT-UHFFFAOYSA-N 0 0 298.298 2.759 20 5 CFBDRN COc1cccc(N2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000185080209 295305184 /nfs/dbraw/zinc/30/51/84/295305184.db2.gz DVEGGKXOMKIOIM-JTQLQIEISA-N 0 0 250.298 2.840 20 5 CFBDRN COc1cccc(N2CC[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000185520655 295305903 /nfs/dbraw/zinc/30/59/03/295305903.db2.gz GAXKBOWYASBXEH-VHSXEESVSA-N 0 0 250.298 2.838 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@H](Cc3ccncc3)C2)c1 ZINC000377114200 295356727 /nfs/dbraw/zinc/35/67/27/295356727.db2.gz LTLWWYMRMMIWJH-CYBMUJFWSA-N 0 0 298.346 2.641 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCC[C@H]2C1 ZINC000151096326 304523187 /nfs/dbraw/zinc/52/31/87/304523187.db2.gz JERMOSOQNHRDID-UWVGGRQHSA-N 0 0 278.283 2.606 20 5 CFBDRN C[C@@]1(O)CCCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000235420361 297923368 /nfs/dbraw/zinc/92/33/68/297923368.db2.gz JVHXMACMCUWVSO-GFCCVEGCSA-N 0 0 270.716 2.599 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC(C2CCCC2)C1 ZINC000336463914 304568289 /nfs/dbraw/zinc/56/82/89/304568289.db2.gz MYIVCNQCPNGJAJ-UHFFFAOYSA-N 0 0 274.320 2.857 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@H]2CCCC[C@H]21 ZINC000365761458 304568997 /nfs/dbraw/zinc/56/89/97/304568997.db2.gz INEHMZAGIDFIJT-ZYHUDNBSSA-N 0 0 260.293 2.609 20 5 CFBDRN C[C@H]1CCSCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000276848058 300028617 /nfs/dbraw/zinc/02/86/17/300028617.db2.gz QTJWQWKNWFZLAE-QMMMGPOBSA-N 0 0 298.393 2.636 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])CCCO1 ZINC000292442826 300295256 /nfs/dbraw/zinc/29/52/56/300295256.db2.gz HTMISEKTRBVVJU-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@H]1COc2ccccc2CN1c1ncccc1[N+](=O)[O-] ZINC000272916625 300370984 /nfs/dbraw/zinc/37/09/84/300370984.db2.gz PLANCKWBJXARLC-NSHDSACASA-N 0 0 285.303 2.777 20 5 CFBDRN Cc1cc(C(=O)N2CCCC[C@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000187098268 301091685 /nfs/dbraw/zinc/09/16/85/301091685.db2.gz BBAHDVUJJHDYMI-JTQLQIEISA-N 0 0 291.351 2.748 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCC[C@@H]2C[C@@H](C)O)n1 ZINC000447815574 301203221 /nfs/dbraw/zinc/20/32/21/301203221.db2.gz GMPJNPPMLCIRHI-CHWSQXEVSA-N 0 0 293.367 2.736 20 5 CFBDRN Cc1ccc2c(c1)CN(c1cc(N)ccc1[N+](=O)[O-])CCO2 ZINC000286257519 301887522 /nfs/dbraw/zinc/88/75/22/301887522.db2.gz GJNUFPVCGGSZQV-UHFFFAOYSA-N 0 0 299.330 2.884 20 5 CFBDRN Cc1cccc(N2CCCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000372944899 301997751 /nfs/dbraw/zinc/99/77/51/301997751.db2.gz CLYJDGXCMAYVFJ-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)[C@H]1CCCOC1 ZINC000343271099 302039670 /nfs/dbraw/zinc/03/96/70/302039670.db2.gz ALMPRQNHDBPIRY-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccnc(N2CCC[C@H]([C@H]3CCOC3)C2)c1[N+](=O)[O-] ZINC000439085242 302271808 /nfs/dbraw/zinc/27/18/08/302271808.db2.gz LWZXLADLKVXQJB-STQMWFEESA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cnc(N2CC(C)(C)[C@@H]2[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000291218964 302347643 /nfs/dbraw/zinc/34/76/43/302347643.db2.gz VTKZNLLIWROBRK-OLZOCXBDSA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)CC[C@@H]1C ZINC000175173082 302705386 /nfs/dbraw/zinc/70/53/86/302705386.db2.gz WEKOYFPNGZRJNS-UWVGGRQHSA-N 0 0 277.324 2.559 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@@H]2c2cc[nH]n2)c(F)c1 ZINC000278775818 304800423 /nfs/dbraw/zinc/80/04/23/304800423.db2.gz VFBJIWNUPHVFGF-GFCCVEGCSA-N 0 0 294.261 2.938 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCS[C@@H]2CCCC[C@H]21 ZINC000301575523 304811147 /nfs/dbraw/zinc/81/11/47/304811147.db2.gz PYVXYCLPYSDTNS-ZYHUDNBSSA-N 0 0 279.365 2.854 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC23CCOCC3)c(Cl)c1 ZINC000367256409 304811806 /nfs/dbraw/zinc/81/18/06/304811806.db2.gz WPNKZIVUEKNUKA-UHFFFAOYSA-N 0 0 297.742 2.793 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2ccsc2)nc1 ZINC000364948411 304812044 /nfs/dbraw/zinc/81/20/44/304812044.db2.gz XBCVCQNRIXAHPR-LLVKDONJSA-N 0 0 276.321 2.788 20 5 CFBDRN CC(C)Cc1noc(CSc2ccc([N+](=O)[O-])cn2)n1 ZINC000159619289 322324994 /nfs/dbraw/zinc/32/49/94/322324994.db2.gz PMAXKQLDJUJLMO-UHFFFAOYSA-N 0 0 294.336 2.864 20 5 CFBDRN Cc1nc(CSc2ccc([N+](=O)[O-])cn2)oc1C ZINC000159708474 322326911 /nfs/dbraw/zinc/32/69/11/322326911.db2.gz KOAPJDIJBCJKBJ-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN Cn1nccc1COc1ccc([N+](=O)[O-])cc1Cl ZINC000161200097 322348850 /nfs/dbraw/zinc/34/88/50/322348850.db2.gz DHHBLOJHVVBYSH-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN Cc1ccc(C(=O)N2[C@@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000161529044 322353429 /nfs/dbraw/zinc/35/34/29/322353429.db2.gz GIPVNFKHEBBCAG-QWRGUYRKSA-N 0 0 262.309 2.916 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000161610999 322354568 /nfs/dbraw/zinc/35/45/68/322354568.db2.gz WWNYHZUIXGSSCE-VXGBXAGGSA-N 0 0 291.351 2.796 20 5 CFBDRN CN(C(=O)Cc1ccccc1[N+](=O)[O-])c1ccccc1F ZINC000161925470 322358769 /nfs/dbraw/zinc/35/87/69/322358769.db2.gz TZUFYXIXHAUSKV-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN O=C(C1CCC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162011788 322359969 /nfs/dbraw/zinc/35/99/69/322359969.db2.gz POVKPKKIGQFVHX-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CC(C)[C@H](C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000171915141 322415916 /nfs/dbraw/zinc/41/59/16/322415916.db2.gz LNUTZZTVQIDHON-JTQLQIEISA-N 0 0 262.309 2.776 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](C)SC ZINC000569489521 322476637 /nfs/dbraw/zinc/47/66/37/322476637.db2.gz SAQNWNNTEYPAMU-MRVPVSSYSA-N 0 0 283.353 2.717 20 5 CFBDRN Cc1cc(C)nc(Oc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000570587155 322540189 /nfs/dbraw/zinc/54/01/89/322540189.db2.gz PDSUHWKCGSXQCR-UHFFFAOYSA-N 0 0 263.228 2.933 20 5 CFBDRN CC(C)C1(CNc2ncc([N+](=O)[O-])cc2F)CC1 ZINC000570807032 322552850 /nfs/dbraw/zinc/55/28/50/322552850.db2.gz VTERTWDKIGNXQS-UHFFFAOYSA-N 0 0 253.277 2.977 20 5 CFBDRN O=C(NC1(CF)CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000571050638 322566786 /nfs/dbraw/zinc/56/67/86/322566786.db2.gz SLVJJNJGXORJQB-UHFFFAOYSA-N 0 0 286.690 2.870 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000573689816 322665459 /nfs/dbraw/zinc/66/54/59/322665459.db2.gz UXZJUKOTYKAXMT-ZWNOBZJWSA-N 0 0 295.339 2.513 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H]2CCCCO2)c1 ZINC000574517715 322695801 /nfs/dbraw/zinc/69/58/01/322695801.db2.gz JNJAILWEZDBKDM-LLVKDONJSA-N 0 0 267.281 2.551 20 5 CFBDRN CC[C@@H](C)C[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)C(=O)OC ZINC000575746610 322727779 /nfs/dbraw/zinc/72/77/79/322727779.db2.gz YCWAGTIAWCQGFG-MWLCHTKSSA-N 0 0 295.339 2.688 20 5 CFBDRN CCc1ccc(C(=O)NCc2ccoc2)cc1[N+](=O)[O-] ZINC000173405997 323633582 /nfs/dbraw/zinc/63/35/82/323633582.db2.gz BUAXIEVAUZENKV-UHFFFAOYSA-N 0 0 274.276 2.680 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@H]2CCCC[C@@H]2C1 ZINC000178353737 323716817 /nfs/dbraw/zinc/71/68/17/323716817.db2.gz DHTYHNDLTOOFIA-VXGBXAGGSA-N 0 0 274.320 2.857 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000178611044 323721104 /nfs/dbraw/zinc/72/11/04/323721104.db2.gz YMCOWSWKQKMOMN-MNOVXSKESA-N 0 0 277.324 2.535 20 5 CFBDRN CCc1ccnc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000181682178 323820930 /nfs/dbraw/zinc/82/09/30/323820930.db2.gz UNZOIHFGLZBBOM-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000183530708 323920599 /nfs/dbraw/zinc/92/05/99/323920599.db2.gz KOXRNTYHUHLIMF-QWRGUYRKSA-N 0 0 264.325 2.893 20 5 CFBDRN C[C@H](C(=O)N(C)C1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000184304850 323941084 /nfs/dbraw/zinc/94/10/84/323941084.db2.gz MDKNZQSOIMCGGL-VIFPVBQESA-N 0 0 280.299 2.848 20 5 CFBDRN Cc1cc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)no1 ZINC000184919634 323957003 /nfs/dbraw/zinc/95/70/03/323957003.db2.gz NQXYJEDRSWLJOJ-MRVPVSSYSA-N 0 0 293.254 2.773 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(Cl)cc2F)cn1 ZINC000187804964 324003138 /nfs/dbraw/zinc/00/31/38/324003138.db2.gz MQIHVMXUWWZHHT-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN Cc1cccc(OCc2nc(C(C)C)no2)c1[N+](=O)[O-] ZINC000192798345 324065389 /nfs/dbraw/zinc/06/53/89/324065389.db2.gz KWSCFKBLAHYBBY-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCCC[C@H]1C ZINC000192899608 324066372 /nfs/dbraw/zinc/06/63/72/324066372.db2.gz BQBJJRFGYFMIRD-LLVKDONJSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1ccc(OCc2cn3cccnc3n2)cc1[N+](=O)[O-] ZINC000193691293 324075951 /nfs/dbraw/zinc/07/59/51/324075951.db2.gz YIJDMVHMICNHCS-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccccc2O)cc1 ZINC000194217891 324084078 /nfs/dbraw/zinc/08/40/78/324084078.db2.gz GMBFCNZFQQBZMN-UHFFFAOYSA-N 0 0 258.277 2.955 20 5 CFBDRN COc1ccccc1[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000349424496 324173454 /nfs/dbraw/zinc/17/34/54/324173454.db2.gz ONUAIGCVULPBLD-SNVBAGLBSA-N 0 0 287.319 2.902 20 5 CFBDRN COc1c(-c2nc(C(C)C)no2)cccc1[N+](=O)[O-] ZINC000349892847 324190992 /nfs/dbraw/zinc/19/09/92/324190992.db2.gz PONVECCHOFXHON-UHFFFAOYSA-N 0 0 263.253 2.777 20 5 CFBDRN COc1c(-c2nc([C@H]3C[C@@H]3C)no2)cccc1[N+](=O)[O-] ZINC000350143262 324202491 /nfs/dbraw/zinc/20/24/91/324202491.db2.gz NVGCOLYEFDTEKH-CBAPKCEASA-N 0 0 275.264 2.777 20 5 CFBDRN Cc1cc(-c2noc(Cc3cccc([N+](=O)[O-])c3)n2)ccn1 ZINC000350540410 324226864 /nfs/dbraw/zinc/22/68/64/324226864.db2.gz GENZVBJLKFRGQI-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cn1c(-c2nc([C@H]3CCC(F)(F)C3)no2)ccc1[N+](=O)[O-] ZINC000350580266 324236870 /nfs/dbraw/zinc/23/68/70/324236870.db2.gz LRBKFXFKQYEIBH-ZETCQYMHSA-N 0 0 298.249 2.886 20 5 CFBDRN CCc1nc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)co1 ZINC000350835366 324265162 /nfs/dbraw/zinc/26/51/62/324265162.db2.gz MRYASWCTSGVKMY-UHFFFAOYSA-N 0 0 292.276 2.924 20 5 CFBDRN C[C@@H]1OCC[C@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350841126 324266197 /nfs/dbraw/zinc/26/61/97/324266197.db2.gz WEJMRTAULUJYQN-NKWVEPMBSA-N 0 0 281.293 2.599 20 5 CFBDRN Cc1cc(-c2nc([C@H]3CCCOC3)no2)ccc1[N+](=O)[O-] ZINC000350863341 324271673 /nfs/dbraw/zinc/27/16/73/324271673.db2.gz YZMYRNIYGUADBN-NSHDSACASA-N 0 0 289.291 2.847 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(C2CCSCC2)no1 ZINC000350884515 324277790 /nfs/dbraw/zinc/27/77/90/324277790.db2.gz UOMJFYMMOTVOEA-UHFFFAOYSA-N 0 0 294.336 2.594 20 5 CFBDRN CCC1(c2nc(-c3c([N+](=O)[O-])ncn3C)no2)CCCC1 ZINC000350891560 324279191 /nfs/dbraw/zinc/27/91/91/324279191.db2.gz ULLATEFBJSXUTO-UHFFFAOYSA-N 0 0 291.311 2.600 20 5 CFBDRN COc1cnccc1-c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000350934049 324285856 /nfs/dbraw/zinc/28/58/56/324285856.db2.gz SOIUXGZVINXOKE-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN Cc1cc(-c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)ccc1O ZINC000350955527 324288252 /nfs/dbraw/zinc/28/82/52/324288252.db2.gz ZJHQAZUURYENJQ-UHFFFAOYSA-N 0 0 286.247 2.654 20 5 CFBDRN C[C@@H]1CC[C@@H](CCc2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351143368 324325803 /nfs/dbraw/zinc/32/58/03/324325803.db2.gz GOWZXLOPPQXACC-BDAKNGLRSA-N 0 0 293.279 2.738 20 5 CFBDRN CC(C)CO[C@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351143188 324326029 /nfs/dbraw/zinc/32/60/29/324326029.db2.gz FRJAXERARHOSTI-MRVPVSSYSA-N 0 0 281.268 2.971 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351145356 324326799 /nfs/dbraw/zinc/32/67/99/324326799.db2.gz JXFWPXQKGCNQLV-VXNVDRBHSA-N 0 0 291.263 2.711 20 5 CFBDRN CC[C@@H]1CC[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351147294 324327379 /nfs/dbraw/zinc/32/73/79/324327379.db2.gz NZYQOTQASLRHIF-APPZFPTMSA-N 0 0 279.252 2.868 20 5 CFBDRN COC(C)(C)Cc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351146257 324327391 /nfs/dbraw/zinc/32/73/91/324327391.db2.gz MAQNDBXDAHWPJG-UHFFFAOYSA-N 0 0 291.307 2.921 20 5 CFBDRN CC(=O)CCCc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351148771 324328357 /nfs/dbraw/zinc/32/83/57/324328357.db2.gz QOVSWUYAKGMMQA-UHFFFAOYSA-N 0 0 289.291 2.865 20 5 CFBDRN CC(C)OC(C)(C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351148268 324328495 /nfs/dbraw/zinc/32/84/95/324328495.db2.gz PJFMBUHHSKKGDG-UHFFFAOYSA-N 0 0 281.268 2.898 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3(F)CCCC3)n2)o1 ZINC000351152287 324330641 /nfs/dbraw/zinc/33/06/41/324330641.db2.gz WJKUBWCXESXRIQ-UHFFFAOYSA-N 0 0 267.216 2.977 20 5 CFBDRN CS[C@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351152325 324330926 /nfs/dbraw/zinc/33/09/26/324330926.db2.gz WSPCXIFXABPCAM-RXMQYKEDSA-N 0 0 255.255 2.662 20 5 CFBDRN CCC(C)(C)OCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351257396 324363102 /nfs/dbraw/zinc/36/31/02/324363102.db2.gz FJVYDOKXQPFCKU-UHFFFAOYSA-N 0 0 281.268 2.943 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(C(F)=C3CCC3)n2)n1C ZINC000351287254 324371785 /nfs/dbraw/zinc/37/17/85/324371785.db2.gz HSHZDVGSZMEHIN-UHFFFAOYSA-N 0 0 293.258 2.551 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2=CCCOC2)c2cccnc21 ZINC000379747441 324404933 /nfs/dbraw/zinc/40/49/33/324404933.db2.gz AMRVXLBBVARFLW-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN CC(F)(F)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000382311114 324427183 /nfs/dbraw/zinc/42/71/83/324427183.db2.gz QBNJORIPGYSZAZ-UHFFFAOYSA-N 0 0 251.620 2.710 20 5 CFBDRN CCOc1cc(N[C@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000384908930 324454778 /nfs/dbraw/zinc/45/47/78/324454778.db2.gz YDJGGSOKHQBDED-SNVBAGLBSA-N 0 0 282.340 2.955 20 5 CFBDRN CC(C)(CNC(=O)c1cccc([N+](=O)[O-])c1N)C1CCC1 ZINC000385082273 324457009 /nfs/dbraw/zinc/45/70/09/324457009.db2.gz ZAQNSHUBWGMNPB-UHFFFAOYSA-N 0 0 291.351 2.733 20 5 CFBDRN CCOc1cccc(NCc2nnc(C)s2)c1[N+](=O)[O-] ZINC000391938747 324527452 /nfs/dbraw/zinc/52/74/52/324527452.db2.gz SVWXSOIWOKCTIX-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN CC(C)[C@H](CO)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000394097850 324533621 /nfs/dbraw/zinc/53/36/21/324533621.db2.gz XHQLYWFSNPFYBQ-VIFPVBQESA-N 0 0 272.732 2.925 20 5 CFBDRN COc1cc(N[C@H](CO)C2CCCC2)c(F)cc1[N+](=O)[O-] ZINC000395103479 324539597 /nfs/dbraw/zinc/53/95/97/324539597.db2.gz AUWLHDWXPXKDNY-GFCCVEGCSA-N 0 0 298.314 2.706 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1C[C@@H]2CC[C@H](O)C[C@H]2C1 ZINC000398870897 324552417 /nfs/dbraw/zinc/55/24/17/324552417.db2.gz DJSLRXMSDMZEOG-NHCYSSNCSA-N 0 0 296.754 2.845 20 5 CFBDRN C[C@H](CO)CSc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000576032976 324568511 /nfs/dbraw/zinc/56/85/11/324568511.db2.gz CJSLOCWDWKMFBQ-SECBINFHSA-N 0 0 282.365 2.589 20 5 CFBDRN COc1cc(N2CCC[C@H](F)C2)c(F)cc1[N+](=O)[O-] ZINC000576201662 324589849 /nfs/dbraw/zinc/58/98/49/324589849.db2.gz IYZUOVWQGPUPPM-QMMMGPOBSA-N 0 0 272.251 2.681 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1(CF)CCC1 ZINC000576826969 324664488 /nfs/dbraw/zinc/66/44/88/324664488.db2.gz WNFYBMYJDKMOIP-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN CSCCN(C)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000577232280 324714829 /nfs/dbraw/zinc/71/48/29/324714829.db2.gz YQFCIMIEWUIJTK-UHFFFAOYSA-N 0 0 285.369 2.576 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000577259443 324715441 /nfs/dbraw/zinc/71/54/41/324715441.db2.gz AVDKYIDQNLIHRB-OCCSQVGLSA-N 0 0 273.292 2.732 20 5 CFBDRN C[C@H](C(=O)N(C)[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000577927228 324796152 /nfs/dbraw/zinc/79/61/52/324796152.db2.gz SNTBNZMFIKCPNP-GXFFZTMASA-N 0 0 294.376 2.662 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC=C(C(F)(F)F)CC2)c(F)c1 ZINC000578164319 324822875 /nfs/dbraw/zinc/82/28/75/324822875.db2.gz WACHCGAJBUUDDN-UHFFFAOYSA-N 0 0 291.204 2.828 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000578241029 324831981 /nfs/dbraw/zinc/83/19/81/324831981.db2.gz QIBKLZQIFYTQFO-GXSJLCMTSA-N 0 0 267.329 2.507 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CCCOC(C)C ZINC000578248144 324833059 /nfs/dbraw/zinc/83/30/59/324833059.db2.gz WFDQMQPVNOUKAK-UHFFFAOYSA-N 0 0 282.340 2.855 20 5 CFBDRN Cc1nsc(C)c1COc1ccc([N+](=O)[O-])c(CO)c1 ZINC000579493331 324955905 /nfs/dbraw/zinc/95/59/05/324955905.db2.gz GCCIKSJPLXNWTB-UHFFFAOYSA-N 0 0 294.332 2.739 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000579496235 324956643 /nfs/dbraw/zinc/95/66/43/324956643.db2.gz AKEOKGIKZYWIRM-WDEREUQCSA-N 0 0 250.298 2.583 20 5 CFBDRN C[C@@H](C(=O)N(C)CC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000579785165 324978626 /nfs/dbraw/zinc/97/86/26/324978626.db2.gz RLUDBIFYXQDYPL-LLVKDONJSA-N 0 0 276.336 2.957 20 5 CFBDRN CCOc1cc(CN2Cc3cccnc3C2)ccc1[N+](=O)[O-] ZINC000580306622 325024206 /nfs/dbraw/zinc/02/42/06/325024206.db2.gz MYGKYJSYAAUCOI-UHFFFAOYSA-N 0 0 299.330 2.904 20 5 CFBDRN CC(F)(F)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000580418293 325033578 /nfs/dbraw/zinc/03/35/78/325033578.db2.gz XAQTWKKRHBCSIY-UHFFFAOYSA-N 0 0 267.235 2.632 20 5 CFBDRN COC[C@@H](C)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000580438940 325036009 /nfs/dbraw/zinc/03/60/09/325036009.db2.gz JZLQZDKKFVFCSY-JTQLQIEISA-N 0 0 279.340 2.563 20 5 CFBDRN CCCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)C(C)C ZINC000580582714 325049044 /nfs/dbraw/zinc/04/90/44/325049044.db2.gz SJZMZZGJFJHIOI-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CC(C(F)(F)F)C2)c(F)c1 ZINC000580873995 325070986 /nfs/dbraw/zinc/07/09/86/325070986.db2.gz HCEDDKWQQNEWQU-UHFFFAOYSA-N 0 0 296.195 2.867 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000580879719 325071566 /nfs/dbraw/zinc/07/15/66/325071566.db2.gz CHCPZGUSNFGVSL-NWDGAFQWSA-N 0 0 297.330 2.769 20 5 CFBDRN Cc1cc(N[C@H]2CC[C@H]2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000581029450 325084500 /nfs/dbraw/zinc/08/45/00/325084500.db2.gz YOYBCSLESXHAKQ-YGRLFVJLSA-N 0 0 298.346 2.993 20 5 CFBDRN Cc1ccncc1CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000581115474 325090989 /nfs/dbraw/zinc/09/09/89/325090989.db2.gz ICBAZKHGLUGEDL-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@@H](F)C1 ZINC000581133089 325093624 /nfs/dbraw/zinc/09/36/24/325093624.db2.gz SHOSZDOKPXTGJQ-OLZOCXBDSA-N 0 0 294.326 2.924 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=N[C@@H]3CCC[C@@H](F)C3)[nH]c2c1 ZINC000581485830 325125522 /nfs/dbraw/zinc/12/55/22/325125522.db2.gz NIIPDLFIYTWTLC-RKDXNWHRSA-N 0 0 278.287 2.586 20 5 CFBDRN COC(=O)CCSc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000581604392 325135888 /nfs/dbraw/zinc/13/58/88/325135888.db2.gz FQPSKBZNZQVMFZ-UHFFFAOYSA-N 0 0 296.348 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@]2(F)CCOC2)n1 ZINC000581936362 325161031 /nfs/dbraw/zinc/16/10/31/325161031.db2.gz MZVRQBMRBVRGSF-ZDUSSCGKSA-N 0 0 293.254 2.538 20 5 CFBDRN CC[C@H](C)C[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(=O)OC ZINC000582000610 325167893 /nfs/dbraw/zinc/16/78/93/325167893.db2.gz TUWTXIBQMGTROH-GXSJLCMTSA-N 0 0 295.339 2.688 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H]2CCC[C@H]21 ZINC000582262705 325190684 /nfs/dbraw/zinc/19/06/84/325190684.db2.gz MPAFALTZMLWZCG-VXGBXAGGSA-N 0 0 278.356 2.889 20 5 CFBDRN Cc1cccc2c1OC[C@H](Nc1ccc([N+](=O)[O-])nc1)C2 ZINC000582333239 325195734 /nfs/dbraw/zinc/19/57/34/325195734.db2.gz FDKLWBIIBQSUTI-CYBMUJFWSA-N 0 0 285.303 2.714 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1ccc(O)cc1 ZINC000582631331 325220680 /nfs/dbraw/zinc/22/06/80/325220680.db2.gz SWOFXLJSUKFBQZ-UHFFFAOYSA-N 0 0 288.303 2.964 20 5 CFBDRN CCc1nn(C)c(N2CC[C@H](C3CCCC3)C2)c1[N+](=O)[O-] ZINC000582659159 325223373 /nfs/dbraw/zinc/22/33/73/325223373.db2.gz BJFWNVMQFDSGCQ-LBPRGKRZSA-N 0 0 292.383 2.907 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC1C[C@H](C)O[C@@H](C)C1 ZINC000582677774 325225285 /nfs/dbraw/zinc/22/52/85/325225285.db2.gz VCTYPSNJTUCRNS-UWVGGRQHSA-N 0 0 280.324 2.971 20 5 CFBDRN C[C@@H]1C[C@@H](C(C)(C)C)CCN1c1c([N+](=O)[O-])ncn1C ZINC000582808654 325236233 /nfs/dbraw/zinc/23/62/33/325236233.db2.gz YYAZCLSJCAYRDF-MNOVXSKESA-N 0 0 280.372 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@@H]2C2CCC2)cc1 ZINC000582843735 325238686 /nfs/dbraw/zinc/23/86/86/325238686.db2.gz WTMMFOBGVWBWLN-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1CS[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000583070855 325257453 /nfs/dbraw/zinc/25/74/53/325257453.db2.gz ZXRIRCJUCDMZGV-CKYFFXLPSA-N 0 0 294.376 2.709 20 5 CFBDRN Cc1cc(N2CC[C@@H](O)CC23CCC3)ccc1[N+](=O)[O-] ZINC000583197159 325268013 /nfs/dbraw/zinc/26/80/13/325268013.db2.gz NEOBAAJWQDWQCW-CYBMUJFWSA-N 0 0 276.336 2.787 20 5 CFBDRN CC(C)SCC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000583220010 325269558 /nfs/dbraw/zinc/26/95/58/325269558.db2.gz KZDZDDODKDTBLM-UHFFFAOYSA-N 0 0 296.392 2.737 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1(CF)CCC1 ZINC000583323174 325277869 /nfs/dbraw/zinc/27/78/69/325277869.db2.gz FXTFWBOHVPPMKU-UHFFFAOYSA-N 0 0 252.245 2.673 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C3CCCCC3)C2)cn1 ZINC000583348420 325280025 /nfs/dbraw/zinc/28/00/25/325280025.db2.gz OACPDHNQKBFPRO-AWEZNQCLSA-N 0 0 291.351 2.775 20 5 CFBDRN Cc1cc(OCC(=O)NC(C)(C)C(C)C)ccc1[N+](=O)[O-] ZINC000583418016 325285793 /nfs/dbraw/zinc/28/57/93/325285793.db2.gz HSLNHRAYTIYFLP-UHFFFAOYSA-N 0 0 294.351 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@H]2C2CC2)cn1 ZINC000583605682 325299031 /nfs/dbraw/zinc/29/90/31/325299031.db2.gz MCKRRIZNBGHGEW-CYBMUJFWSA-N 0 0 259.309 2.759 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000583727378 325306002 /nfs/dbraw/zinc/30/60/02/325306002.db2.gz PLWJQLKYPVXSMT-RYUDHWBXSA-N 0 0 299.330 2.971 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000583727377 325306151 /nfs/dbraw/zinc/30/61/51/325306151.db2.gz PLWJQLKYPVXSMT-NEPJUHHUSA-N 0 0 299.330 2.971 20 5 CFBDRN Cc1cc(C(=O)NC2(CF)CCC2)cc([N+](=O)[O-])c1 ZINC000583814900 325312504 /nfs/dbraw/zinc/31/25/04/325312504.db2.gz QBMXAQMFFXDTDM-UHFFFAOYSA-N 0 0 266.272 2.525 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCOCC2CCC2)c1 ZINC000584112719 325331662 /nfs/dbraw/zinc/33/16/62/325331662.db2.gz FRZWIVSUDHQWFL-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1CCC[C@@H](F)C1 ZINC000584233401 325339633 /nfs/dbraw/zinc/33/96/33/325339633.db2.gz VBZZTTSGHZSMHK-NEPJUHHUSA-N 0 0 280.299 2.534 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1C[C@H]1C ZINC000584233598 325339675 /nfs/dbraw/zinc/33/96/75/325339675.db2.gz BBBDGEBOXDFHHO-RKDXNWHRSA-N 0 0 263.297 2.621 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H](C)c1cnn(C)c1C ZINC000584241979 325341067 /nfs/dbraw/zinc/34/10/67/325341067.db2.gz BSKDBOGXEVYHLA-VIFPVBQESA-N 0 0 290.323 2.818 20 5 CFBDRN C[C@@H](C(=O)NC1(C2CC2)CC1)c1cccc([N+](=O)[O-])c1 ZINC000584328368 325346082 /nfs/dbraw/zinc/34/60/82/325346082.db2.gz RJAGGMWCOGRIGE-SNVBAGLBSA-N 0 0 274.320 2.757 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccon1)c1cccc([N+](=O)[O-])c1 ZINC000584387885 329317738 /nfs/dbraw/zinc/31/77/38/329317738.db2.gz ZQGXWTIIKGZLMX-ZJUUUORDSA-N 0 0 289.291 2.564 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2)C12CCC2 ZINC000308338420 333027693 /nfs/dbraw/zinc/02/76/93/333027693.db2.gz FRZIJNLZGQHLGI-STQMWFEESA-N 0 0 262.309 2.964 20 5 CFBDRN C[C@@H]1CN(c2sccc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000829059996 782119685 /nfs/dbraw/zinc/11/96/85/782119685.db2.gz SYSHJKZOFJEIRB-SSDOTTSWSA-N 0 0 264.310 2.503 20 5 CFBDRN CC(C)CCNc1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000340897413 519654322 /nfs/dbraw/zinc/65/43/22/519654322.db2.gz ITORVUCAYDGFRH-UHFFFAOYSA-N 0 0 280.353 2.926 20 5 CFBDRN CC(C)NC(=O)c1ccc(NC[C@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000357328282 500617386 /nfs/dbraw/zinc/61/73/86/500617386.db2.gz XBWCKGVFFZEAKA-ZYHUDNBSSA-N 0 0 291.351 2.801 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000534639909 500625922 /nfs/dbraw/zinc/62/59/22/500625922.db2.gz XJJYJYVUZXBWEG-GFCCVEGCSA-N 0 0 293.367 2.729 20 5 CFBDRN CC/C=C/CNC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000296590861 500636401 /nfs/dbraw/zinc/63/64/01/500636401.db2.gz IPHANOCPMCGLCP-TZOMUSMUSA-N 0 0 277.324 2.921 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000050711251 500724273 /nfs/dbraw/zinc/72/42/73/500724273.db2.gz HGUDCMQBIPHKOI-LLVKDONJSA-N 0 0 277.324 2.651 20 5 CFBDRN C[C@H]1C[C@@H](CN2CCc3c2cccc3[N+](=O)[O-])CCO1 ZINC000488110570 500940157 /nfs/dbraw/zinc/94/01/57/500940157.db2.gz MYFFUEXIZNFVIW-RYUDHWBXSA-N 0 0 276.336 2.772 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)O[C@H]1CCc2cccnc21 ZINC000189326998 501047435 /nfs/dbraw/zinc/04/74/35/501047435.db2.gz RPDQBIBUFZBBAJ-AWEZNQCLSA-N 0 0 298.298 2.763 20 5 CFBDRN CC[C@@H](C)N(CCO)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000311655517 522089141 /nfs/dbraw/zinc/08/91/41/522089141.db2.gz VFBMIQQUFBINEL-SNVBAGLBSA-N 0 0 286.759 2.841 20 5 CFBDRN CCc1nc(SCc2csc([N+](=O)[O-])c2)n[nH]1 ZINC000048620639 522134449 /nfs/dbraw/zinc/13/44/49/522134449.db2.gz VXNMPJFSMJJPRL-UHFFFAOYSA-N 0 0 270.339 2.629 20 5 CFBDRN CSC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412126005 533779100 /nfs/dbraw/zinc/77/91/00/533779100.db2.gz LVFWFIXEPVERFY-TVYUQYBPSA-N 0 0 294.376 2.566 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361642462 522330413 /nfs/dbraw/zinc/33/04/13/522330413.db2.gz JCJSTQDTJZAUKC-PRHODGIISA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1c(CNC(=O)N(C)[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000426406942 533851860 /nfs/dbraw/zinc/85/18/60/533851860.db2.gz SDWMDLOBLQRFHZ-CYBMUJFWSA-N 0 0 291.351 2.843 20 5 CFBDRN Cc1c(CSC[C@H]2C[C@@H](O)C2)cccc1[N+](=O)[O-] ZINC000421216221 533864263 /nfs/dbraw/zinc/86/42/63/533864263.db2.gz HDBMQADOOYZLHS-KLPPZKSPSA-N 0 0 267.350 2.907 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000413532718 533876092 /nfs/dbraw/zinc/87/60/92/533876092.db2.gz NEWABMUHRYALTK-GMTAPVOTSA-N 0 0 250.298 2.820 20 5 CFBDRN COc1cccc2c1C[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)C2 ZINC000413430492 533881727 /nfs/dbraw/zinc/88/17/27/533881727.db2.gz UKGHDBMTSBTIOO-LBPRGKRZSA-N 0 0 299.330 2.886 20 5 CFBDRN Cc1c(F)cccc1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157356722 533889982 /nfs/dbraw/zinc/88/99/82/533889982.db2.gz GPAUVVOYIJFNGQ-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN COc1cccc2c1C[C@H](Nc1ncccc1[N+](=O)[O-])C2 ZINC000413423817 533894063 /nfs/dbraw/zinc/89/40/63/533894063.db2.gz FBMBMFZZCXZELE-LLVKDONJSA-N 0 0 285.303 2.578 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050710079 522543581 /nfs/dbraw/zinc/54/35/81/522543581.db2.gz BBJXBQDNYRSWCQ-UHFFFAOYSA-N 0 0 299.758 2.949 20 5 CFBDRN CS[C@H](C)CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421531020 534057376 /nfs/dbraw/zinc/05/73/76/534057376.db2.gz VPGXEUKEUCHXIA-SNVBAGLBSA-N 0 0 282.365 2.693 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000421520749 534153325 /nfs/dbraw/zinc/15/33/25/534153325.db2.gz XUIIDTCYIHYZSA-AXFHLTTASA-N 0 0 290.319 2.978 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000428096606 534187189 /nfs/dbraw/zinc/18/71/89/534187189.db2.gz BATMASIXRFAVDX-DDFAGTSDSA-N 0 0 292.360 2.845 20 5 CFBDRN COc1ccc(OC(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000190303626 534214684 /nfs/dbraw/zinc/21/46/84/534214684.db2.gz FBBJTQDVJZIDBJ-UHFFFAOYSA-N 0 0 269.253 2.917 20 5 CFBDRN C[C@@H](F)CCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413297470 534276938 /nfs/dbraw/zinc/27/69/38/534276938.db2.gz LOCFSJFCIUIPHU-SECBINFHSA-N 0 0 263.272 2.725 20 5 CFBDRN COC(=O)c1cccc(OCC2CCC2)c1[N+](=O)[O-] ZINC000413013377 534279776 /nfs/dbraw/zinc/27/97/76/534279776.db2.gz HEWRLTZBPKBXHV-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@@H](C)O[C@@H]2C)n1 ZINC000413544697 534326790 /nfs/dbraw/zinc/32/67/90/534326790.db2.gz YUAIAHNXAXNVBO-MXWKQRLJSA-N 0 0 265.313 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2cnc(C)cn2)c1 ZINC000413347013 534328773 /nfs/dbraw/zinc/32/87/73/534328773.db2.gz JUHNUYXJZVNHRU-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC(C)(C)COC(C)C ZINC000413998796 534334218 /nfs/dbraw/zinc/33/42/18/534334218.db2.gz KIVHGRIRYINVFH-UHFFFAOYSA-N 0 0 294.351 2.837 20 5 CFBDRN Cc1ccnc(N2CCc3ccccc3C2)c1[N+](=O)[O-] ZINC000265180204 518191363 /nfs/dbraw/zinc/19/13/63/518191363.db2.gz ITIUYQWXLYSGAN-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCCNc2ccccn2)s1 ZINC000190323983 518203159 /nfs/dbraw/zinc/20/31/59/518203159.db2.gz AHCDKWOOSZKAIO-UHFFFAOYSA-N 0 0 293.352 2.751 20 5 CFBDRN CC(=O)c1cc(N2CCCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000315210813 518288949 /nfs/dbraw/zinc/28/89/49/518288949.db2.gz MUHLPFQNPHSLKQ-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1N[C@H]1CCN(C(C)(C)C)C1=O ZINC000424562736 534344507 /nfs/dbraw/zinc/34/45/07/534344507.db2.gz KLKIJCOYRSNVEX-LBPRGKRZSA-N 0 0 291.351 2.715 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CCC[C@@H]1F)c1ccc([N+](=O)[O-])cc1 ZINC000340795415 518530858 /nfs/dbraw/zinc/53/08/58/518530858.db2.gz XINYSZVSAHSXKI-QWHCGFSZSA-N 0 0 294.326 2.879 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC(CC(F)F)C1 ZINC000424114273 534366849 /nfs/dbraw/zinc/36/68/49/534366849.db2.gz QUNNRPNMGIKOSG-UHFFFAOYSA-N 0 0 285.250 2.714 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1C[C@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000511435657 534368526 /nfs/dbraw/zinc/36/85/26/534368526.db2.gz FUJYAVXANMQFIY-WCQYABFASA-N 0 0 288.347 2.787 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1ccc([N+](=O)[O-])cc1F ZINC000227356293 518684696 /nfs/dbraw/zinc/68/46/96/518684696.db2.gz VLZKMWHRDAWFPY-SNVBAGLBSA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)(C)[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000131570793 518978273 /nfs/dbraw/zinc/97/82/73/518978273.db2.gz VYMFNFFKTOBALD-JTQLQIEISA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1ccnc(NCC(C)(C)OCC2CC2)c1[N+](=O)[O-] ZINC000413504366 534400817 /nfs/dbraw/zinc/40/08/17/534400817.db2.gz ASTVZFKREFDPOR-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN CC(C)(C)/C=C/C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000492019244 534402814 /nfs/dbraw/zinc/40/28/14/534402814.db2.gz NXZGHTFHRLOXAU-CSKARUKUSA-N 0 0 276.336 2.856 20 5 CFBDRN CC(C)C[C@H](C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000185050539 519294850 /nfs/dbraw/zinc/29/48/50/519294850.db2.gz ODTUPZCENXFDTK-LBPRGKRZSA-N 0 0 293.367 2.805 20 5 CFBDRN CC(C)N(C(=O)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000078185101 519581922 /nfs/dbraw/zinc/58/19/22/519581922.db2.gz YWVYNSWJWVJXSV-UHFFFAOYSA-N 0 0 262.309 2.998 20 5 CFBDRN CC(C)CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])c[nH]c1=O ZINC000302758476 519647976 /nfs/dbraw/zinc/64/79/76/519647976.db2.gz WDMWSWPFMANAHL-JTQLQIEISA-N 0 0 295.339 2.640 20 5 CFBDRN CC(C)CN(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000049451738 519708694 /nfs/dbraw/zinc/70/86/94/519708694.db2.gz GQWJSRBVQWKOPS-UHFFFAOYSA-N 0 0 275.308 2.804 20 5 CFBDRN CC(C)OC(=O)CSCCOc1cccc([N+](=O)[O-])c1 ZINC000127599492 519747939 /nfs/dbraw/zinc/74/79/39/519747939.db2.gz KVTVDIXGPVFYSW-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN CC(C)[C@H](CCO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000231087234 519814045 /nfs/dbraw/zinc/81/40/45/519814045.db2.gz AUXKDRSMUDGBSQ-LBPRGKRZSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000340028892 519830613 /nfs/dbraw/zinc/83/06/13/519830613.db2.gz XFSQCVIYFDXUOE-VHSXEESVSA-N 0 0 294.355 2.607 20 5 CFBDRN CCC1CN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000335110429 519860442 /nfs/dbraw/zinc/86/04/42/519860442.db2.gz AIHMEHBIJWAMMJ-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN CCCNC(=O)[C@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337918650 519894192 /nfs/dbraw/zinc/89/41/92/519894192.db2.gz VMSPWDAJWHZWIN-VIFPVBQESA-N 0 0 268.338 2.602 20 5 CFBDRN CCN(C(=O)Cc1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000074019269 519933060 /nfs/dbraw/zinc/93/30/60/519933060.db2.gz APBNGCACVUQYCD-UHFFFAOYSA-N 0 0 285.303 2.585 20 5 CFBDRN CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000173022519 519933464 /nfs/dbraw/zinc/93/34/64/519933464.db2.gz FHHYBPMVJQMFPP-UHFFFAOYSA-N 0 0 285.303 2.585 20 5 CFBDRN CCNc1ccc(C(=O)NC[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000159406976 520287686 /nfs/dbraw/zinc/28/76/86/520287686.db2.gz URLXPYXEGUACGM-SECBINFHSA-N 0 0 297.380 2.508 20 5 CFBDRN CC1(C)CCC[C@]1(O)CNc1ccc([N+](=O)[O-])cc1 ZINC000338734419 520363665 /nfs/dbraw/zinc/36/36/65/520363665.db2.gz PEPVZBSYEMRGTH-AWEZNQCLSA-N 0 0 264.325 2.948 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H]2CC(C)C)ncc1[N+](=O)[O-] ZINC000413405443 534496186 /nfs/dbraw/zinc/49/61/86/534496186.db2.gz FUKAWBRQMRXBLI-NXEZZACHSA-N 0 0 250.302 2.540 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC(C)(C)C1 ZINC000341456582 520454233 /nfs/dbraw/zinc/45/42/33/520454233.db2.gz DPSRKTOVOYGVPZ-UHFFFAOYSA-N 0 0 279.340 2.821 20 5 CFBDRN CCCOc1cccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000340702745 520462533 /nfs/dbraw/zinc/46/25/33/520462533.db2.gz DGVHPTUQNRYKHH-UHFFFAOYSA-N 0 0 289.291 2.964 20 5 CFBDRN CCO[C@H](CCNc1c([N+](=O)[O-])nc(C)n1CC)C(C)C ZINC000361002118 520513372 /nfs/dbraw/zinc/51/33/72/520513372.db2.gz LJTYTZBIUAEDHO-GFCCVEGCSA-N 0 0 298.387 2.983 20 5 CFBDRN CCO[C@H](CNc1ccncc1[N+](=O)[O-])c1ccccc1 ZINC000340886907 520519255 /nfs/dbraw/zinc/51/92/55/520519255.db2.gz XMCMKFZVXOASPF-OAHLLOKOSA-N 0 0 287.319 2.601 20 5 CFBDRN CCCCN(CCOC)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000068115020 520534408 /nfs/dbraw/zinc/53/44/08/520534408.db2.gz SXCMZKNWYMEJGZ-UHFFFAOYSA-N 0 0 294.351 2.792 20 5 CFBDRN CCCCN(CCOC)c1nc2sccn2c1[N+](=O)[O-] ZINC000068704303 520538763 /nfs/dbraw/zinc/53/87/63/520538763.db2.gz TZDNAJQQVTYHCQ-UHFFFAOYSA-N 0 0 298.368 2.557 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCCC1=CCCCC1 ZINC000420579290 534502202 /nfs/dbraw/zinc/50/22/02/534502202.db2.gz FHSPMQLELSQALL-UHFFFAOYSA-N 0 0 289.335 2.996 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000223637279 520727685 /nfs/dbraw/zinc/72/76/85/520727685.db2.gz DZKAXGJTFJSRBG-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CC1(CNC(=O)c2cccc([N+](=O)[O-])c2N)CCCCC1 ZINC000229631830 520729819 /nfs/dbraw/zinc/72/98/19/520729819.db2.gz LPNGLKTWXSKXCA-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN CC1(CNc2ncnc3sc([N+](=O)[O-])cc32)CC1 ZINC000310672270 520739134 /nfs/dbraw/zinc/73/91/34/520739134.db2.gz INZWYHXCMUOJAR-UHFFFAOYSA-N 0 0 264.310 2.812 20 5 CFBDRN CCOc1cc(Oc2ccnc(C)n2)ccc1[N+](=O)[O-] ZINC000189642505 520772765 /nfs/dbraw/zinc/77/27/65/520772765.db2.gz IUUJKTGFCMPEPJ-UHFFFAOYSA-N 0 0 275.264 2.884 20 5 CFBDRN CC1=C(C(=O)NCCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000340387510 520796759 /nfs/dbraw/zinc/79/67/59/520796759.db2.gz KDFIMCPMXMIDDU-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000338692271 520819385 /nfs/dbraw/zinc/81/93/85/520819385.db2.gz ZDXPCGCKIGSHSA-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000336152249 520876961 /nfs/dbraw/zinc/87/69/61/520876961.db2.gz NEXZUVANVYCCCO-SNVBAGLBSA-N 0 0 292.310 2.701 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000336152248 520883345 /nfs/dbraw/zinc/88/33/45/520883345.db2.gz NEXZUVANVYCCCO-JTQLQIEISA-N 0 0 292.310 2.701 20 5 CFBDRN CCC[C@@](C)(CO)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182761349 520913719 /nfs/dbraw/zinc/91/37/19/520913719.db2.gz PWZFARHYPGDTNV-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN CCN(CC1CC1)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000069746870 520952440 /nfs/dbraw/zinc/95/24/40/520952440.db2.gz LEKFNKQEGILFPS-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cccc([N+](=O)[O-])c1NC ZINC000230332504 520975747 /nfs/dbraw/zinc/97/57/47/520975747.db2.gz LUAQIPRECCMPLG-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CCOC(=O)[C@H](NCc1ccc([N+](=O)[O-])cc1)[C@@H](C)CC ZINC000181632302 521271768 /nfs/dbraw/zinc/27/17/68/521271768.db2.gz AIDLDJNZULRKPY-SMDDNHRTSA-N 0 0 294.351 2.662 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@](C)(F)C2)c1 ZINC000338550110 521279410 /nfs/dbraw/zinc/27/94/10/521279410.db2.gz AHSKRCIDEATYAM-CQSZACIVSA-N 0 0 296.298 2.568 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H](F)C2)c1 ZINC000360359536 521280104 /nfs/dbraw/zinc/28/01/04/521280104.db2.gz IWNNYUNBZFTAHA-NXEZZACHSA-N 0 0 296.298 2.614 20 5 CFBDRN CCCN(C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1CC1 ZINC000050701432 521440589 /nfs/dbraw/zinc/44/05/89/521440589.db2.gz VMQVQIKPKPIBHA-UHFFFAOYSA-N 0 0 297.742 2.845 20 5 CFBDRN CCOC1(CNc2c([N+](=O)[O-])c(C)nn2CC)CCCC1 ZINC000314964447 521637821 /nfs/dbraw/zinc/63/78/21/521637821.db2.gz RUZVTVGRVVYOGJ-UHFFFAOYSA-N 0 0 296.371 2.881 20 5 CFBDRN CCOC1CC(N(C)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000340881173 521650482 /nfs/dbraw/zinc/65/04/82/521650482.db2.gz ROFOFNAHIVKFOZ-UHFFFAOYSA-N 0 0 268.288 2.738 20 5 CFBDRN CCC(O)(CC)COc1cc(N(C)C)ccc1[N+](=O)[O-] ZINC000305854981 521657907 /nfs/dbraw/zinc/65/79/07/521657907.db2.gz VUCIKLCFRNNCNP-UHFFFAOYSA-N 0 0 282.340 2.591 20 5 CFBDRN CCC(O)(CC)COc1cccc(C)c1[N+](=O)[O-] ZINC000165226117 521658084 /nfs/dbraw/zinc/65/80/84/521658084.db2.gz HDDLIXQBNCIIFZ-UHFFFAOYSA-N 0 0 253.298 2.833 20 5 CFBDRN CCC(O)(CC)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000087029758 521658218 /nfs/dbraw/zinc/65/82/18/521658218.db2.gz QYJFZGHHKZQTEL-UHFFFAOYSA-N 0 0 252.314 2.866 20 5 CFBDRN CCC(O)(CC)CNc1ccc([N+](=O)[O-])cc1C ZINC000087029736 521658249 /nfs/dbraw/zinc/65/82/49/521658249.db2.gz UQRLZAWBMUKXEW-UHFFFAOYSA-N 0 0 252.314 2.866 20 5 CFBDRN CCSCCOc1cc([N+](=O)[O-])ccc1OC ZINC000078791862 521710162 /nfs/dbraw/zinc/71/01/62/521710162.db2.gz ANWXQPHWJTVISE-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN CCS[C@H]1CC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000174395699 521732769 /nfs/dbraw/zinc/73/27/69/521732769.db2.gz PBFZVOLBFXVLRC-UWVGGRQHSA-N 0 0 298.364 2.934 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000336932973 521782926 /nfs/dbraw/zinc/78/29/26/521782926.db2.gz RAKOPMRKZRUQEZ-MWLCHTKSSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cnc(N[C@@H]2C[C@H]2c2ccco2)c([N+](=O)[O-])c1 ZINC000413610538 534591461 /nfs/dbraw/zinc/59/14/61/534591461.db2.gz WKMFIRYASXYGPN-NXEZZACHSA-N 0 0 259.265 2.859 20 5 CFBDRN CC[C@@H](C)C(=O)NCCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000156954551 521914192 /nfs/dbraw/zinc/91/41/92/521914192.db2.gz YUOBAXMSMCDLID-GFCCVEGCSA-N 0 0 293.367 2.868 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000194170503 521924510 /nfs/dbraw/zinc/92/45/10/521924510.db2.gz KYJWTOXEXBUEHE-QWRGUYRKSA-N 0 0 280.324 2.524 20 5 CFBDRN CCc1csc(NCCNc2ccc([N+](=O)[O-])cc2)n1 ZINC000362404750 522091829 /nfs/dbraw/zinc/09/18/29/522091829.db2.gz APYYDLAWTFUULJ-UHFFFAOYSA-N 0 0 292.364 2.560 20 5 CFBDRN COCCn1cc(Nc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000048346565 522112687 /nfs/dbraw/zinc/11/26/87/522112687.db2.gz JETNKSNAKOPMMW-UHFFFAOYSA-N 0 0 296.714 2.835 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N(C)CCC2CC2)c1 ZINC000313601746 522175776 /nfs/dbraw/zinc/17/57/76/522175776.db2.gz VWOKPARCAVRETG-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC2CCCC2)n1 ZINC000166691041 522176675 /nfs/dbraw/zinc/17/66/75/522176675.db2.gz PJLFELOBRBBGBW-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN COC(=O)c1cccc(Oc2ccc([N+](=O)[O-])nc2)c1 ZINC000339950685 522246358 /nfs/dbraw/zinc/24/63/58/522246358.db2.gz GOPMWQRJPXLRGX-UHFFFAOYSA-N 0 0 274.232 2.569 20 5 CFBDRN CN(C)c1cccc(C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000046146809 522259211 /nfs/dbraw/zinc/25/92/11/522259211.db2.gz LKOKXICJBCTRTB-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000194170464 522289439 /nfs/dbraw/zinc/28/94/39/522289439.db2.gz KYJWTOXEXBUEHE-GHMZBOCLSA-N 0 0 280.324 2.524 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000048805826 522297991 /nfs/dbraw/zinc/29/79/91/522297991.db2.gz HWADPDMWXMGQRN-RKDXNWHRSA-N 0 0 265.313 2.540 20 5 CFBDRN CC[C@@H](C)n1nc(Nc2ccncc2[N+](=O)[O-])cc1C ZINC000361041946 522455289 /nfs/dbraw/zinc/45/52/89/522455289.db2.gz HLRQLJZTVYKDOB-SECBINFHSA-N 0 0 275.312 2.631 20 5 CFBDRN CNc1c(C(=O)N2CCC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000360956227 522533959 /nfs/dbraw/zinc/53/39/59/522533959.db2.gz UEZUPDKQGXVCRJ-UHFFFAOYSA-N 0 0 289.335 2.653 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000129336246 522539373 /nfs/dbraw/zinc/53/93/73/522539373.db2.gz DVOSBLAYZROLGC-VHSXEESVSA-N 0 0 277.324 2.555 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000161483010 522540456 /nfs/dbraw/zinc/54/04/56/522540456.db2.gz BAHPVCSHMPHCKM-GXSJLCMTSA-N 0 0 277.324 2.555 20 5 CFBDRN CNc1c(C(=O)NC[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000230551128 522540632 /nfs/dbraw/zinc/54/06/32/522540632.db2.gz RCGGHKFJVGXIMY-WDEREUQCSA-N 0 0 291.351 2.803 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000050770849 522584456 /nfs/dbraw/zinc/58/44/56/522584456.db2.gz JENYFRYAHNYCCX-QWRGUYRKSA-N 0 0 291.351 2.897 20 5 CFBDRN CCc1ncnc(NCc2cccc([N+](=O)[O-])c2)c1F ZINC000217992660 522611087 /nfs/dbraw/zinc/61/10/87/522611087.db2.gz YOCYLFKSWFGPNB-UHFFFAOYSA-N 0 0 276.271 2.698 20 5 CFBDRN O=C(NC[C@H]1CCC[C@H]1C1CC1)c1ccc([N+](=O)[O-])o1 ZINC000413887518 534648607 /nfs/dbraw/zinc/64/86/07/534648607.db2.gz WPJGIJYKKSBUHY-MNOVXSKESA-N 0 0 278.308 2.744 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC(F)F ZINC000185427991 522627280 /nfs/dbraw/zinc/62/72/80/522627280.db2.gz OGXKAHAISGOUCJ-JTQLQIEISA-N 0 0 286.278 2.545 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361715461 522652732 /nfs/dbraw/zinc/65/27/32/522652732.db2.gz ANOJBRNCWBDAAD-DTWKUNHWSA-N 0 0 284.287 2.591 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000230431486 522670283 /nfs/dbraw/zinc/67/02/83/522670283.db2.gz ZJGRARSSXQJSLW-VHSXEESVSA-N 0 0 266.345 2.739 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000230431488 522670974 /nfs/dbraw/zinc/67/09/74/522670974.db2.gz ZJGRARSSXQJSLW-UWVGGRQHSA-N 0 0 266.345 2.739 20 5 CFBDRN CN(CCCCCO)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000227109228 522732930 /nfs/dbraw/zinc/73/29/30/522732930.db2.gz TVGRXJNFBFGDPT-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN COc1cc(NC[C@]2(C)CCCO2)c(F)cc1[N+](=O)[O-] ZINC000218869516 522745542 /nfs/dbraw/zinc/74/55/42/522745542.db2.gz QACQYNKYHWLHBI-ZDUSSCGKSA-N 0 0 284.287 2.724 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000078304841 522745794 /nfs/dbraw/zinc/74/57/94/522745794.db2.gz IZKLCRAUXLXVOI-ZYHUDNBSSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@H](OC)C2)c(F)cc1[N+](=O)[O-] ZINC000231682957 522761564 /nfs/dbraw/zinc/76/15/64/522761564.db2.gz UBPCAYYAPPZFJN-BDAKNGLRSA-N 0 0 284.287 2.722 20 5 CFBDRN COC[C@H]1CCCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000360909854 522835941 /nfs/dbraw/zinc/83/59/41/522835941.db2.gz MHWOHFNNFKVQBD-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1c(C)noc1C ZINC000156805071 522940579 /nfs/dbraw/zinc/94/05/79/522940579.db2.gz LCTQMYSJZYAYBB-UHFFFAOYSA-N 0 0 291.307 2.958 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1ncncc1Cl ZINC000337835751 522949828 /nfs/dbraw/zinc/94/98/28/522949828.db2.gz XMUVJURDHOJYGS-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN CC[C@@H](O)COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000310239379 523008698 /nfs/dbraw/zinc/00/86/98/523008698.db2.gz SHUOFZSKOUEOHX-MRVPVSSYSA-N 0 0 259.689 2.706 20 5 CFBDRN COCCC1(CNc2ccncc2[N+](=O)[O-])CCCCC1 ZINC000361006428 523025786 /nfs/dbraw/zinc/02/57/86/523025786.db2.gz FTNMYXNXLWCCPU-UHFFFAOYSA-N 0 0 293.367 2.811 20 5 CFBDRN Cn1c(C(=O)N[C@H]2CCC[C@H]2C(C)(C)C)ccc1[N+](=O)[O-] ZINC000412802699 534679262 /nfs/dbraw/zinc/67/92/62/534679262.db2.gz CZTXLBZYYBZOEI-MNOVXSKESA-N 0 0 293.367 2.878 20 5 CFBDRN CCc1oncc1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000337964374 523064807 /nfs/dbraw/zinc/06/48/07/523064807.db2.gz MQKVEZLIUOYUKV-UHFFFAOYSA-N 0 0 276.252 2.789 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000125601770 523084936 /nfs/dbraw/zinc/08/49/36/523084936.db2.gz RMPRHSJOWCCZLD-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCC[C@H](SC)C1 ZINC000361057603 523140775 /nfs/dbraw/zinc/14/07/75/523140775.db2.gz MVBDJWAGYLGQDU-NSHDSACASA-N 0 0 298.412 2.842 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@@H](C)C1(C)CC1 ZINC000360996987 523140966 /nfs/dbraw/zinc/14/09/66/523140966.db2.gz VAOLUIWRQFTEFM-VIFPVBQESA-N 0 0 266.345 2.744 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)C(C1CC1)C1CC1 ZINC000360965264 523141112 /nfs/dbraw/zinc/14/11/12/523141112.db2.gz DCZWSHBGPDHBGU-UHFFFAOYSA-N 0 0 278.356 2.744 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H](C(C)C)C1 ZINC000340937355 523141604 /nfs/dbraw/zinc/14/16/04/523141604.db2.gz XYZCYMGRPSVNPZ-GFCCVEGCSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](C(C)C)C1 ZINC000361046086 523141938 /nfs/dbraw/zinc/14/19/38/523141938.db2.gz ZXEDUYHPIGAUBQ-LLVKDONJSA-N 0 0 266.345 2.602 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC2(CCC2)C[C@H]1C ZINC000340899844 523142234 /nfs/dbraw/zinc/14/22/34/523142234.db2.gz IGGYBSKIUKDNBU-SNVBAGLBSA-N 0 0 278.356 2.889 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCC[C@H]1C ZINC000311770521 523143412 /nfs/dbraw/zinc/14/34/12/523143412.db2.gz VYDJQIMTYJWDKA-KOLCDFICSA-N 0 0 266.345 2.968 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H](C)C(F)(F)F ZINC000361007803 523143884 /nfs/dbraw/zinc/14/38/84/523143884.db2.gz SNGODDCFOPPERV-ZCFIWIBFSA-N 0 0 280.250 2.730 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCC(C)(C)C ZINC000336786637 523144031 /nfs/dbraw/zinc/14/40/31/523144031.db2.gz CGVOXUOCFKXYJM-UHFFFAOYSA-N 0 0 254.334 2.968 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCOC1CCCCC1 ZINC000313820282 523144052 /nfs/dbraw/zinc/14/40/52/523144052.db2.gz KOROBAOWWQTTDO-UHFFFAOYSA-N 0 0 296.371 2.881 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@](C)(O)CCC(C)C ZINC000360986535 523145511 /nfs/dbraw/zinc/14/55/11/523145511.db2.gz UEMQVIWEOQTRPP-CQSZACIVSA-N 0 0 298.387 2.719 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CC[C@@H](C)C1 ZINC000311771534 523145570 /nfs/dbraw/zinc/14/55/70/523145570.db2.gz WDDMRSFSIICVDO-KOLCDFICSA-N 0 0 266.345 2.968 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)CC1CC1 ZINC000311055820 523145706 /nfs/dbraw/zinc/14/57/06/523145706.db2.gz IYSOBGHXAPKKAZ-QMMMGPOBSA-N 0 0 252.318 2.720 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)CC(C)(C)OC ZINC000361001924 523146175 /nfs/dbraw/zinc/14/61/75/523146175.db2.gz KGNFWXZZPBQQGZ-SECBINFHSA-N 0 0 284.360 2.735 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1nc(C)c(C)s1 ZINC000360995905 523146480 /nfs/dbraw/zinc/14/64/80/523146480.db2.gz QFSPXUPWYAUVAN-UHFFFAOYSA-N 0 0 295.368 2.805 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1C[C@](C)(OC)C1(C)C ZINC000361003727 523146843 /nfs/dbraw/zinc/14/68/43/523146843.db2.gz UTWNNKRYGDTBGQ-HZMBPMFUSA-N 0 0 296.371 2.735 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H](C)C(C)C ZINC000311118615 523146966 /nfs/dbraw/zinc/14/69/66/523146966.db2.gz WYBIAHWJALTAFT-VIFPVBQESA-N 0 0 254.334 2.824 20 5 CFBDRN COCc1nc(COc2ccc(F)cc2[N+](=O)[O-])cs1 ZINC000360877215 523207149 /nfs/dbraw/zinc/20/71/49/523207149.db2.gz NLBFHXDUIIQXLL-UHFFFAOYSA-N 0 0 298.295 2.916 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050692881 523261552 /nfs/dbraw/zinc/26/15/52/523261552.db2.gz UCFBHLYOJUZWMH-SECBINFHSA-N 0 0 297.742 2.845 20 5 CFBDRN COC(=O)C[C@@H](C)SCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000078816888 523268220 /nfs/dbraw/zinc/26/82/20/523268220.db2.gz MEUSVLHAIWEJSL-SECBINFHSA-N 0 0 299.348 2.788 20 5 CFBDRN COCc1noc([C@@H](C)Sc2cccc([N+](=O)[O-])c2)n1 ZINC000337905496 523272497 /nfs/dbraw/zinc/27/24/97/523272497.db2.gz BJYUZDDJOOHCJJ-MRVPVSSYSA-N 0 0 295.320 2.978 20 5 CFBDRN CC[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000247503796 523286068 /nfs/dbraw/zinc/28/60/68/523286068.db2.gz IPDVULOXLACZID-MNOVXSKESA-N 0 0 282.315 2.733 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)Cc1ccsc1 ZINC000193225298 523387377 /nfs/dbraw/zinc/38/73/77/523387377.db2.gz GYEWAVOMUHCVAK-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H]2CCC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000188913120 523668937 /nfs/dbraw/zinc/66/89/37/523668937.db2.gz KEBKTJRSEAHOQS-VHSXEESVSA-N 0 0 294.355 2.579 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CC[C@H](C)C1 ZINC000230431453 523669072 /nfs/dbraw/zinc/66/90/72/523669072.db2.gz XZWQZRNQPOARAD-ONGXEEELSA-N 0 0 266.345 2.968 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCC[C@H]1C ZINC000230691585 523669598 /nfs/dbraw/zinc/66/95/98/523669598.db2.gz ITNVELURLDQZHE-KOLCDFICSA-N 0 0 266.345 2.968 20 5 CFBDRN CO[C@@H]1CCN(Cc2cc([N+](=O)[O-])ccc2OC(C)C)C1 ZINC000361372652 523687689 /nfs/dbraw/zinc/68/76/89/523687689.db2.gz POZXTXKDTJFDHE-CQSZACIVSA-N 0 0 294.351 2.603 20 5 CFBDRN CO[C@@H]1CC[C@@H](NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000189435365 523699590 /nfs/dbraw/zinc/69/95/90/523699590.db2.gz HGTUGYBPEDOYDZ-ZYHUDNBSSA-N 0 0 293.323 2.592 20 5 CFBDRN CO[C@@H]1CC[C@@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000189435699 523700535 /nfs/dbraw/zinc/70/05/35/523700535.db2.gz CSJMYHKSCRERJX-ZYHUDNBSSA-N 0 0 293.323 2.592 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000231682806 523701420 /nfs/dbraw/zinc/70/14/20/523701420.db2.gz GTERTXLCOWLMCY-VXGBXAGGSA-N 0 0 250.298 2.883 20 5 CFBDRN CC[C@@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000334668673 523707458 /nfs/dbraw/zinc/70/74/58/523707458.db2.gz DUXALVGQCISNFP-AWEZNQCLSA-N 0 0 279.340 2.586 20 5 CFBDRN CC[C@H](C)C(=O)COc1cc([N+](=O)[O-])ccc1C ZINC000133105317 523821960 /nfs/dbraw/zinc/82/19/60/523821960.db2.gz VRLZVTOUIVJING-VIFPVBQESA-N 0 0 251.282 2.897 20 5 CFBDRN CCc1cc(NCc2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000088760369 523823436 /nfs/dbraw/zinc/82/34/36/523823436.db2.gz LANOMMJXMCAASJ-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000336462499 523887487 /nfs/dbraw/zinc/88/74/87/523887487.db2.gz BTADCWWLCBHKIY-SNVBAGLBSA-N 0 0 262.309 2.639 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000088298439 523902649 /nfs/dbraw/zinc/90/26/49/523902649.db2.gz WWADILABFMRYJB-UWVGGRQHSA-N 0 0 279.340 2.930 20 5 CFBDRN CN(C(=O)[C@H]1CCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000336417057 523907256 /nfs/dbraw/zinc/90/72/56/523907256.db2.gz LVXRBPHNBLGYJR-VIFPVBQESA-N 0 0 284.262 2.993 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000151351765 523909845 /nfs/dbraw/zinc/90/98/45/523909845.db2.gz TYYBXOMACAYNLY-VIFPVBQESA-N 0 0 268.288 2.533 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1)C1(C)CCCC1 ZINC000335878596 523946395 /nfs/dbraw/zinc/94/63/95/523946395.db2.gz FVXUKIDMOMILFZ-UHFFFAOYSA-N 0 0 262.309 3.000 20 5 CFBDRN CCc1ccc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)nc1 ZINC000338562405 523954967 /nfs/dbraw/zinc/95/49/67/523954967.db2.gz LVFBPMMIFPDVPA-UHFFFAOYSA-N 0 0 272.308 2.674 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000340884834 523979485 /nfs/dbraw/zinc/97/94/85/523979485.db2.gz OLBLYXTYOKXYCF-MFKMUULPSA-N 0 0 293.323 2.531 20 5 CFBDRN CO[C@H]1CCC[C@@H]1Sc1ccc([N+](=O)[O-])cn1 ZINC000338558538 523981718 /nfs/dbraw/zinc/98/17/18/523981718.db2.gz WKFHZFBMFBQXBG-UWVGGRQHSA-N 0 0 254.311 2.649 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000340879558 523985307 /nfs/dbraw/zinc/98/53/07/523985307.db2.gz KTWIWCMMQHREDV-GWCFXTLKSA-N 0 0 293.323 2.531 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](F)C1 ZINC000335176524 524057419 /nfs/dbraw/zinc/05/74/19/524057419.db2.gz GAPUSUBQSKGBBW-SNVBAGLBSA-N 0 0 281.287 2.733 20 5 CFBDRN COc1ccc(CNc2cccc(C)c2[N+](=O)[O-])nc1 ZINC000338712929 524100673 /nfs/dbraw/zinc/10/06/73/524100673.db2.gz ZPVBSPBSTTWBHZ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1cc(C(=O)NCc2ccc([N+](=O)[O-])cc2)ccc1F ZINC000063060262 524106288 /nfs/dbraw/zinc/10/62/88/524106288.db2.gz XFSPOISOFJFFTO-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1cc(C(=O)NCc2ccccc2[N+](=O)[O-])ccc1F ZINC000063061231 524108389 /nfs/dbraw/zinc/10/83/89/524108389.db2.gz QCMQRILEMINBIX-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@@H]2F)cc([N+](=O)[O-])c1 ZINC000335120451 524129345 /nfs/dbraw/zinc/12/93/45/524129345.db2.gz ADMMJZFFJMEYKM-NWDGAFQWSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@H]1CCC[C@@H](CCn2ncc([N+](=O)[O-])c2N)C1 ZINC000127493846 524133482 /nfs/dbraw/zinc/13/34/82/524133482.db2.gz XIAJRSAVTLYTCZ-UWVGGRQHSA-N 0 0 252.318 2.590 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000334671784 524184309 /nfs/dbraw/zinc/18/43/09/524184309.db2.gz PKEIPCJPJBCPJJ-LBPRGKRZSA-N 0 0 260.293 2.528 20 5 CFBDRN Cc1cccc(C(=O)NCc2ccccc2[N+](=O)[O-])c1F ZINC000161464036 524199240 /nfs/dbraw/zinc/19/92/40/524199240.db2.gz JGHPNUGLYNNYBU-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000339793461 524217569 /nfs/dbraw/zinc/21/75/69/524217569.db2.gz ZRAANEDEXTYSFE-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1[C@H](C)C[C@@H]1C ZINC000335187968 524337651 /nfs/dbraw/zinc/33/76/51/524337651.db2.gz ATXYOKOGGHGHJS-PHIMTYICSA-N 0 0 262.309 2.835 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000050707499 524388266 /nfs/dbraw/zinc/38/82/66/524388266.db2.gz PBQSQGKWBBHSKH-MNOVXSKESA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1ccc(CN(C)c2ccc([N+](=O)[O-])nc2)cc1 ZINC000339952146 524401752 /nfs/dbraw/zinc/40/17/52/524401752.db2.gz VSUJOVPPJLSOLW-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1cc(C)cc(N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000336252995 524402694 /nfs/dbraw/zinc/40/26/94/524402694.db2.gz ZCHKRSGREJPOPY-UHFFFAOYSA-N 0 0 273.292 2.816 20 5 CFBDRN Cc1cc(C)cc(N(C)C(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000336481439 524403525 /nfs/dbraw/zinc/40/35/25/524403525.db2.gz CTPZWIARSXCCNO-UHFFFAOYSA-N 0 0 287.319 2.827 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000340031474 524418758 /nfs/dbraw/zinc/41/87/58/524418758.db2.gz CEINUPDIXIBXKW-YPMHNXCESA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000340034695 524420527 /nfs/dbraw/zinc/42/05/27/524420527.db2.gz ZPWGCNACGMGCGX-PWSUYJOCSA-N 0 0 262.309 2.761 20 5 CFBDRN C[C@@H]1CCN(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])C1 ZINC000336089373 524458363 /nfs/dbraw/zinc/45/83/63/524458363.db2.gz HPWLYHYGBORUHN-LLVKDONJSA-N 0 0 291.351 2.881 20 5 CFBDRN C[C@@H](CF)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000360600790 524557973 /nfs/dbraw/zinc/55/79/73/524557973.db2.gz UPBICARKTOZZLW-JTQLQIEISA-N 0 0 298.317 2.769 20 5 CFBDRN Cc1ccc(CNCc2cccc([N+](=O)[O-])c2C)nc1 ZINC000231884065 524587000 /nfs/dbraw/zinc/58/70/00/524587000.db2.gz VSPWPAAAIFXXHO-UHFFFAOYSA-N 0 0 271.320 2.896 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2ccc([N+](=O)[O-])cc2F)O1 ZINC000128443999 524598493 /nfs/dbraw/zinc/59/84/93/524598493.db2.gz BDOIKZSQNNDLED-SCZZXKLOSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@H](C[C@H]1CCCO1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000338715953 524648825 /nfs/dbraw/zinc/64/88/25/524648825.db2.gz JCTDRBCMWUMFPT-ZWNOBZJWSA-N 0 0 293.323 2.674 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000158377706 524651007 /nfs/dbraw/zinc/65/10/07/524651007.db2.gz USNBQHLTJGAVFW-MNOVXSKESA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])n2C)c1C ZINC000336437886 524661051 /nfs/dbraw/zinc/66/10/51/524661051.db2.gz FOOUXCKITSQZRO-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C[C@H]1C ZINC000246765198 524706560 /nfs/dbraw/zinc/70/65/60/524706560.db2.gz AXDDCJUMRMODGD-FOGDFJRCSA-N 0 0 291.351 2.930 20 5 CFBDRN COc1ccc(Nc2ccc([N+](=O)[O-])nc2)c(OC)c1 ZINC000339966123 524716296 /nfs/dbraw/zinc/71/62/96/524716296.db2.gz NZSNYITUXKBFBL-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN C[C@@H]1CN(C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000334816460 524757002 /nfs/dbraw/zinc/75/70/02/524757002.db2.gz PNYFFAPJPNKDJN-MNOVXSKESA-N 0 0 276.336 2.739 20 5 CFBDRN C[C@@H](CNc1ccncc1[N+](=O)[O-])c1nccs1 ZINC000230609012 524760224 /nfs/dbraw/zinc/76/02/24/524760224.db2.gz JARHMPLISFTHKV-QMMMGPOBSA-N 0 0 264.310 2.662 20 5 CFBDRN C[C@H](Cn1cc([N+](=O)[O-])cn1)C(=O)c1ccc(F)c(F)c1 ZINC000180320628 524769055 /nfs/dbraw/zinc/76/90/55/524769055.db2.gz RZMNVUCVLRSQAS-MRVPVSSYSA-N 0 0 295.245 2.589 20 5 CFBDRN Cc1cccc(Oc2ncnc3[nH]ccc32)c1[N+](=O)[O-] ZINC000339289253 524790096 /nfs/dbraw/zinc/79/00/96/524790096.db2.gz ICWDWOHUYPHNFU-UHFFFAOYSA-N 0 0 270.248 2.967 20 5 CFBDRN C[C@@H]1CN(C(=O)CSc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000337035916 524791327 /nfs/dbraw/zinc/79/13/27/524791327.db2.gz ITONIGQTDOWNJF-GHMZBOCLSA-N 0 0 294.376 2.801 20 5 CFBDRN C[C@H](F)CCNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000356678308 524806012 /nfs/dbraw/zinc/80/60/12/524806012.db2.gz HYBWZTMHNPZCNF-VIFPVBQESA-N 0 0 286.328 2.551 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000167218533 524816583 /nfs/dbraw/zinc/81/65/83/524816583.db2.gz AWBLSJVDDPLJBE-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@@H](C[C@H]1CCCO1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000338715951 524857763 /nfs/dbraw/zinc/85/77/63/524857763.db2.gz JCTDRBCMWUMFPT-GXFFZTMASA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC[C@H]1CCOC1 ZINC000230104210 524907593 /nfs/dbraw/zinc/90/75/93/524907593.db2.gz FHAOBRCHWJETAJ-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN C[C@@H](Cn1cccn1)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000317505521 524952772 /nfs/dbraw/zinc/95/27/72/524952772.db2.gz VSBUVAYGMLXAOV-NSHDSACASA-N 0 0 297.318 2.840 20 5 CFBDRN COc1ccc(OCc2cncc(F)c2)cc1[N+](=O)[O-] ZINC000341291253 524977084 /nfs/dbraw/zinc/97/70/84/524977084.db2.gz VGZDCYYQTZCFDQ-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Cc1cc(N(C)C(=O)c2ccc([N+](=O)[O-])s2)ccn1 ZINC000361953199 525014675 /nfs/dbraw/zinc/01/46/75/525014675.db2.gz OTOPCWLDUNXDQQ-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN Cc1cc(N(C)C(=O)c2csc([N+](=O)[O-])c2)ccn1 ZINC000361952359 525015625 /nfs/dbraw/zinc/01/56/25/525015625.db2.gz JYMIKAYGGYGHFY-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccccc2[N+](=O)[O-])cc1O ZINC000070332662 525094769 /nfs/dbraw/zinc/09/47/69/525094769.db2.gz YEAAADQAVSGRRO-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2C[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000337778792 525224281 /nfs/dbraw/zinc/22/42/81/525224281.db2.gz ZQAJMIOAQAPEPG-IUCAKERBSA-N 0 0 270.235 2.743 20 5 CFBDRN Cc1ccccc1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000067703880 525240729 /nfs/dbraw/zinc/24/07/29/525240729.db2.gz BGWWGIHDIVIHEY-UHFFFAOYSA-N 0 0 285.303 2.954 20 5 CFBDRN Cc1cc(N[C@H](C)c2cccnc2)ncc1[N+](=O)[O-] ZINC000073000261 525300098 /nfs/dbraw/zinc/30/00/98/525300098.db2.gz VARBASRTVYRENO-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000361545825 525308393 /nfs/dbraw/zinc/30/83/93/525308393.db2.gz OQRDJNBLLHJDRH-GMTAPVOTSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1ccccc1S(=O)(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000082188835 525334235 /nfs/dbraw/zinc/33/42/35/525334235.db2.gz MIHRFFHBBWVUOS-UHFFFAOYSA-N 0 0 292.316 2.704 20 5 CFBDRN Cc1nc(NCCC2C[C@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000338484965 525399702 /nfs/dbraw/zinc/39/97/02/525399702.db2.gz PWBNJTUHPYQROY-QWRGUYRKSA-N 0 0 293.367 2.726 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)CS1 ZINC000334817965 525428992 /nfs/dbraw/zinc/42/89/92/525428992.db2.gz BDZZAHAIJHYADB-SCZZXKLOSA-N 0 0 281.337 2.610 20 5 CFBDRN Cc1ccccc1[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000334245513 525437412 /nfs/dbraw/zinc/43/74/12/525437412.db2.gz RWGLRRWDJNVDIW-LBPRGKRZSA-N 0 0 299.330 2.861 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCNc2ccccn2)c1 ZINC000338639716 525451212 /nfs/dbraw/zinc/45/12/12/525451212.db2.gz WRLTXEAMWZCKAH-UHFFFAOYSA-N 0 0 288.307 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ncccc2C)c1 ZINC000090794784 525452985 /nfs/dbraw/zinc/45/29/85/525452985.db2.gz QCSFPKALUWBFNC-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC2(CCC2)C1 ZINC000158849908 525455532 /nfs/dbraw/zinc/45/55/32/525455532.db2.gz BQRLAORUTOIILL-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN Cc1[nH]ccc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000336064881 525458156 /nfs/dbraw/zinc/45/81/56/525458156.db2.gz MVQALQNQGUHQIS-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1ncc([N+](=O)[O-])cc1Br ZINC000129493932 525488019 /nfs/dbraw/zinc/48/80/19/525488019.db2.gz UVDTUGUPXULYGL-RQJHMYQMSA-N 0 0 286.129 2.820 20 5 CFBDRN Cc1cccnc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000044908109 525544614 /nfs/dbraw/zinc/54/46/14/525544614.db2.gz QFLJDSVXXBZXKX-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@@H]1CC1(C)C ZINC000336092899 525559633 /nfs/dbraw/zinc/55/96/33/525559633.db2.gz ODLTURHLPDLNOX-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC[C@H]1F ZINC000334187470 525562539 /nfs/dbraw/zinc/56/25/39/525562539.db2.gz GUSVCLWNQPMOAB-NEPJUHHUSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CCO[C@H](C)C1 ZINC000070352889 525571719 /nfs/dbraw/zinc/57/17/19/525571719.db2.gz RVICBTIZXLVNOW-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cnn(C(F)F)c1 ZINC000361669027 525577930 /nfs/dbraw/zinc/57/79/30/525577930.db2.gz DPGTZGKPLQKTLO-UHFFFAOYSA-N 0 0 269.211 2.633 20 5 CFBDRN Cc1c(C(=O)NCc2ccc(O)cc2)cccc1[N+](=O)[O-] ZINC000131301424 525627819 /nfs/dbraw/zinc/62/78/19/525627819.db2.gz PPJSRJQNUDOZQO-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000050565151 525636814 /nfs/dbraw/zinc/63/68/14/525636814.db2.gz QSJQXSYWQZKCHG-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1ccnc(CSc2cccc([N+](=O)[O-])c2)n1 ZINC000337905113 525658412 /nfs/dbraw/zinc/65/84/12/525658412.db2.gz AYPOIOYBBASSTD-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN C[C@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])C1CCC1 ZINC000159994727 525659863 /nfs/dbraw/zinc/65/98/63/525659863.db2.gz HVXZFMBCZKJLCQ-VIFPVBQESA-N 0 0 298.364 2.599 20 5 CFBDRN Cc1ccc(SCc2c([N+](=O)[O-])ncn2C)cc1 ZINC000356602255 525662512 /nfs/dbraw/zinc/66/25/12/525662512.db2.gz FODYXMASDMDTES-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCCCS2)c1[N+](=O)[O-] ZINC000158334876 525694061 /nfs/dbraw/zinc/69/40/61/525694061.db2.gz OCDFATDFDVEMEN-SNVBAGLBSA-N 0 0 267.354 2.996 20 5 CFBDRN C[C@@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])C1CCC1 ZINC000159994825 525711058 /nfs/dbraw/zinc/71/10/58/525711058.db2.gz HVXZFMBCZKJLCQ-SECBINFHSA-N 0 0 298.364 2.599 20 5 CFBDRN Cc1c(CNC(=O)C2CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000360516049 525711258 /nfs/dbraw/zinc/71/12/58/525711258.db2.gz QWBANTXMBSKEPQ-UHFFFAOYSA-N 0 0 284.262 2.565 20 5 CFBDRN Cc1c(CNC(=O)N2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000336079959 525713659 /nfs/dbraw/zinc/71/36/59/525713659.db2.gz GSPDMAJYPFJTCK-SNVBAGLBSA-N 0 0 277.324 2.597 20 5 CFBDRN Cc1c(CNC(=O)N2CCC23CCC3)cccc1[N+](=O)[O-] ZINC000335758288 525714385 /nfs/dbraw/zinc/71/43/85/525714385.db2.gz HUXDTAHHWDXCJO-UHFFFAOYSA-N 0 0 289.335 2.741 20 5 CFBDRN Cc1c(CN[C@H](C)c2cnccn2)cccc1[N+](=O)[O-] ZINC000231883846 525727800 /nfs/dbraw/zinc/72/78/00/525727800.db2.gz BBURICAPFIYDPI-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1ccncc1CN(C)c1ccccc1[N+](=O)[O-] ZINC000360106533 525738304 /nfs/dbraw/zinc/73/83/04/525738304.db2.gz BYYUMRIXPGJGME-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])cn2)s1 ZINC000049184391 525754855 /nfs/dbraw/zinc/75/48/55/525754855.db2.gz QFDOKWKBVDLAFT-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN C[C@@H](O)CCCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000227678763 525759341 /nfs/dbraw/zinc/75/93/41/525759341.db2.gz VHQVGVPEZIXCIS-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CS[C@@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049217493 525779609 /nfs/dbraw/zinc/77/96/09/525779609.db2.gz OAHHSEBDRQQLLL-IUCAKERBSA-N 0 0 268.338 2.524 20 5 CFBDRN Cc1c(NC(=O)NCCCCF)cccc1[N+](=O)[O-] ZINC000361665926 525846370 /nfs/dbraw/zinc/84/63/70/525846370.db2.gz FVXORBSCKNSELN-UHFFFAOYSA-N 0 0 269.276 2.774 20 5 CFBDRN C[C@@H](O)[C@@H](C)SCc1c(F)cccc1[N+](=O)[O-] ZINC000079070249 525846856 /nfs/dbraw/zinc/84/68/56/525846856.db2.gz XCYUNAWSOQZMRE-HTQZYQBOSA-N 0 0 259.302 2.736 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000336327163 525862421 /nfs/dbraw/zinc/86/24/21/525862421.db2.gz LXWFMCNVWHQPSE-GFCCVEGCSA-N 0 0 286.690 2.822 20 5 CFBDRN CS[C@H](C)CC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360527322 525866605 /nfs/dbraw/zinc/86/66/05/525866605.db2.gz IOMDFRSDSINERZ-SECBINFHSA-N 0 0 282.365 2.661 20 5 CFBDRN Cc1c(NCC(=O)N2CCC(C)CC2)cccc1[N+](=O)[O-] ZINC000054531416 525867052 /nfs/dbraw/zinc/86/70/52/525867052.db2.gz GIHKVGJZYKGGAQ-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN C[C@@]1(NC(=O)c2ccc([N+](=O)[O-])cc2F)CC=CCC1 ZINC000335145172 525871041 /nfs/dbraw/zinc/87/10/41/525871041.db2.gz BRVAQOMJFLWOEK-CQSZACIVSA-N 0 0 278.283 2.963 20 5 CFBDRN Cc1c([C@H](C)Nc2ccccc2[N+](=O)[O-])cnn1C ZINC000171972909 525926396 /nfs/dbraw/zinc/92/63/96/525926396.db2.gz UUJVCOZOKSIYGH-VIFPVBQESA-N 0 0 260.297 2.810 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CCCC(F)(F)C1 ZINC000334578475 525928669 /nfs/dbraw/zinc/92/86/69/525928669.db2.gz KFZNSFXUALPSFP-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000335724701 525961048 /nfs/dbraw/zinc/96/10/48/525961048.db2.gz OLKUSMUIEVGYEA-CYBMUJFWSA-N 0 0 298.339 2.891 20 5 CFBDRN CSc1ccc(CNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000048962876 526006588 /nfs/dbraw/zinc/00/65/88/526006588.db2.gz TZDNTHMRUNQINB-UHFFFAOYSA-N 0 0 278.337 2.662 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2CC(C)(F)C2)c1 ZINC000334845141 526052485 /nfs/dbraw/zinc/05/24/85/526052485.db2.gz HYBFIWOIOXIWCW-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C3CC3)C2)c1 ZINC000336144185 526053004 /nfs/dbraw/zinc/05/30/04/526053004.db2.gz WXBNYHFEZQAXIX-GFCCVEGCSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cnc(COc2cc([N+](=O)[O-])ccc2C)nc1 ZINC000361087625 526056305 /nfs/dbraw/zinc/05/63/05/526056305.db2.gz SWTFRAIXGUKNJZ-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOCC(F)(F)F)c1 ZINC000084726583 526059663 /nfs/dbraw/zinc/05/96/63/526059663.db2.gz PANIZDWPDZPSJM-UHFFFAOYSA-N 0 0 278.230 2.894 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2cscn2)cc1[N+](=O)[O-] ZINC000049036318 526063378 /nfs/dbraw/zinc/06/33/78/526063378.db2.gz KTHUVESGMJLMHI-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C2CCC2)C1 ZINC000335102862 526070370 /nfs/dbraw/zinc/07/03/70/526070370.db2.gz NBOICAWNJPVPDZ-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]2CCC[C@H]21 ZINC000336111340 526072494 /nfs/dbraw/zinc/07/24/94/526072494.db2.gz PLHPJNYEEFQGGC-BXUZGUMPSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1cn[nH]c1 ZINC000339911564 526080675 /nfs/dbraw/zinc/08/06/75/526080675.db2.gz XBCRITCTWTXURT-SECBINFHSA-N 0 0 289.295 2.509 20 5 CFBDRN Cc1cnc(NC[C@H]2CCCCS2)c([N+](=O)[O-])c1 ZINC000158324924 526093226 /nfs/dbraw/zinc/09/32/26/526093226.db2.gz OLFGDMPKWSISEU-SNVBAGLBSA-N 0 0 267.354 2.996 20 5 CFBDRN Cc1cnc(N[C@H](C)CC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000361262352 526096331 /nfs/dbraw/zinc/09/63/31/526096331.db2.gz MOQPZONDTLNOGU-SNVBAGLBSA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1cnc(SC2CCOCC2)c([N+](=O)[O-])c1 ZINC000339355031 526099395 /nfs/dbraw/zinc/09/93/95/526099395.db2.gz CSEHYZWPLKXMIW-UHFFFAOYSA-N 0 0 254.311 2.569 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CC2(C)C)c2cc([N+](=O)[O-])ccc2n1 ZINC000360733803 526107310 /nfs/dbraw/zinc/10/73/10/526107310.db2.gz WHSQPWYXQRSVFX-GFCCVEGCSA-N 0 0 299.330 2.858 20 5 CFBDRN COc1cccc(N2CC[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000249280353 526158984 /nfs/dbraw/zinc/15/89/84/526158984.db2.gz GAXKBOWYASBXEH-ZJUUUORDSA-N 0 0 250.298 2.838 20 5 CFBDRN Cc1cncc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1 ZINC000361559796 526177322 /nfs/dbraw/zinc/17/73/22/526177322.db2.gz XAVICLBKMWZHRD-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN COc1cccc(NC[C@@H]2CCC[C@@H](CO)C2)c1[N+](=O)[O-] ZINC000314637738 526208606 /nfs/dbraw/zinc/20/86/06/526208606.db2.gz MILNJBWDETUQTJ-VXGBXAGGSA-N 0 0 294.351 2.814 20 5 CFBDRN Cc1cc(C(=O)N(C)[C@H]2CC2(C)C)cc([N+](=O)[O-])c1 ZINC000335855403 526227750 /nfs/dbraw/zinc/22/77/50/526227750.db2.gz HPGXRHWMWBKPTG-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1CC(NC(=O)c2cccc([N+](=O)[O-])c2N)C[C@@H](C)C1 ZINC000157346502 526229341 /nfs/dbraw/zinc/22/93/41/526229341.db2.gz UHHLZYVOGCZTCK-UWVGGRQHSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000336137855 526232836 /nfs/dbraw/zinc/23/28/36/526232836.db2.gz QCRGEFFVGVYPNA-GFCCVEGCSA-N 0 0 288.347 2.928 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000336464619 526232979 /nfs/dbraw/zinc/23/29/79/526232979.db2.gz MOCLTPWJDSEVFH-LBPRGKRZSA-N 0 0 288.347 2.928 20 5 CFBDRN C[C@H]1CCCCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000045623740 526243415 /nfs/dbraw/zinc/24/34/15/526243415.db2.gz YWPQNIHGUOLOFU-NSHDSACASA-N 0 0 277.324 2.679 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000362017989 526258838 /nfs/dbraw/zinc/25/88/38/526258838.db2.gz WCBSWZULEJAXIV-RXMQYKEDSA-N 0 0 282.243 2.727 20 5 CFBDRN C[C@H]1CCCC[C@H]1N(C)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050709508 526260858 /nfs/dbraw/zinc/26/08/58/526260858.db2.gz KDKIONFAFRAYFC-IINYFYTJSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1cnn(CCSc2ccc([N+](=O)[O-])cc2)c1 ZINC000340617726 526263933 /nfs/dbraw/zinc/26/39/33/526263933.db2.gz UYRNBQAAVJIPAB-UHFFFAOYSA-N 0 0 263.322 2.892 20 5 CFBDRN Cc1nn(C[C@H]2CCCC2(F)F)c(C)c1[N+](=O)[O-] ZINC000336190399 526286149 /nfs/dbraw/zinc/28/61/49/526286149.db2.gz DHMKBQDMIVLIFC-SECBINFHSA-N 0 0 259.256 2.844 20 5 CFBDRN Cc1nnc(NCc2ccc(C(C)C)c([N+](=O)[O-])c2)n1C ZINC000162009750 526371751 /nfs/dbraw/zinc/37/17/51/526371751.db2.gz SVTKINIBUBMUJU-UHFFFAOYSA-N 0 0 289.339 2.767 20 5 CFBDRN Cc1cscc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000334804147 526475315 /nfs/dbraw/zinc/47/53/15/526475315.db2.gz HFWVJPXTHOTJFB-UHFFFAOYSA-N 0 0 265.294 2.556 20 5 CFBDRN O=C([C@@H]1CCCSC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000336448299 526478558 /nfs/dbraw/zinc/47/85/58/526478558.db2.gz CHMQLCWDYSSXEC-LLVKDONJSA-N 0 0 292.360 2.627 20 5 CFBDRN Cc1noc(CNc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000085288793 526622137 /nfs/dbraw/zinc/62/21/37/526622137.db2.gz CFTXUVBUYSFZQT-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC[C@@H](F)C1 ZINC000334869696 526636607 /nfs/dbraw/zinc/63/66/07/526636607.db2.gz ZLLKJNJYBIDVMV-SECBINFHSA-N 0 0 294.307 2.994 20 5 CFBDRN Cc1noc([C@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000049240933 526664937 /nfs/dbraw/zinc/66/49/37/526664937.db2.gz YNWBKPKFMHGYBT-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1C1CC1 ZINC000370764532 526714838 /nfs/dbraw/zinc/71/48/38/526714838.db2.gz YAMSTBVGBOHDGF-ZDUSSCGKSA-N 0 0 260.293 2.609 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCc2ccc(F)cc21 ZINC000334169474 526716019 /nfs/dbraw/zinc/71/60/19/526716019.db2.gz BDYIMBSHESNRAC-UHFFFAOYSA-N 0 0 276.223 2.530 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC2(CCC2)[C@@H]1C1CC1 ZINC000336451288 526716521 /nfs/dbraw/zinc/71/65/21/526716521.db2.gz RUAIWYQNCLBSRR-LBPRGKRZSA-N 0 0 276.292 2.593 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC2CCC1CC2 ZINC000360811432 526717544 /nfs/dbraw/zinc/71/75/44/526717544.db2.gz OPESWJVESGSPDH-UHFFFAOYSA-N 0 0 266.322 2.671 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cccc(F)c1F ZINC000054215602 526726423 /nfs/dbraw/zinc/72/64/23/526726423.db2.gz QSFORUKOFMDRIK-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN O=C(c1cccs1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000334815689 526837158 /nfs/dbraw/zinc/83/71/58/526837158.db2.gz HSGJWFUGLPKCES-UHFFFAOYSA-N 0 0 274.301 2.859 20 5 CFBDRN O=C(N[C@@H](c1cccnc1)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000340394254 526872200 /nfs/dbraw/zinc/87/22/00/526872200.db2.gz ONOAJHKPRINHOR-OAHLLOKOSA-N 0 0 297.314 2.871 20 5 CFBDRN Cc1nc(COc2cc(C=O)ccc2[N+](=O)[O-])cs1 ZINC000050517525 526906185 /nfs/dbraw/zinc/90/61/85/526906185.db2.gz BCOZMBHJKZNXAX-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN Cc1nc(N2CC[C@@H](c3ccco3)C2)ccc1[N+](=O)[O-] ZINC000366180579 526972767 /nfs/dbraw/zinc/97/27/67/526972767.db2.gz VNSRSLVIJDRUPO-LLVKDONJSA-N 0 0 273.292 2.885 20 5 CFBDRN NC(=O)c1ccc(N[C@H]2CC3CCC2CC3)c([N+](=O)[O-])c1 ZINC000314921457 527068917 /nfs/dbraw/zinc/06/89/17/527068917.db2.gz MNAVDGRPQLPEFB-ZPPKWKGLSA-N 0 0 289.335 2.684 20 5 CFBDRN O=C(Nc1c[nH]nc1-c1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000179703924 527095987 /nfs/dbraw/zinc/09/59/87/527095987.db2.gz RUEIDAZTDLKEOT-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cn1)OCC1CC1 ZINC000413524107 527183543 /nfs/dbraw/zinc/18/35/43/527183543.db2.gz URBXXALJXRSCGZ-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000413382680 527217065 /nfs/dbraw/zinc/21/70/65/527217065.db2.gz ZHXPTZFKZSKNOF-JOYOIKCWSA-N 0 0 278.308 2.777 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC12CCC2 ZINC000334478760 527260099 /nfs/dbraw/zinc/26/00/99/527260099.db2.gz RXQXKBXRGZGBDK-UHFFFAOYSA-N 0 0 261.281 2.755 20 5 CFBDRN O=C(Nc1cccc(-c2cc[nH]n2)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000316074751 527310833 /nfs/dbraw/zinc/31/08/33/527310833.db2.gz KHZSRCJGEKIDRJ-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]2CCC[C@@H]21 ZINC000174333497 527312024 /nfs/dbraw/zinc/31/20/24/527312024.db2.gz WBUWDGFJBVPOTA-RISCZKNCSA-N 0 0 289.335 2.703 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(C(C)C)nc1C ZINC000338547609 527315852 /nfs/dbraw/zinc/31/58/52/527315852.db2.gz WYENFKYOSPTZIL-UHFFFAOYSA-N 0 0 274.324 2.975 20 5 CFBDRN O=[N+]([O-])c1c(NCc2cccnn2)ccc2ncccc21 ZINC000236415999 527322161 /nfs/dbraw/zinc/32/21/61/527322161.db2.gz PVZWBYFLQNEVCA-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1C[C@@H]1C1CCCCC1 ZINC000340926025 527324556 /nfs/dbraw/zinc/32/45/56/527324556.db2.gz OOEDMDOBRBNOEA-YPMHNXCESA-N 0 0 261.325 2.792 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc(OCC(F)F)cc1 ZINC000360996411 527324640 /nfs/dbraw/zinc/32/46/40/527324640.db2.gz SQQYXZSFSPXKKO-UHFFFAOYSA-N 0 0 295.245 2.799 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ccccc1OC1CCC1 ZINC000360992089 527325018 /nfs/dbraw/zinc/32/50/18/527325018.db2.gz YYROPBKCVWZOME-UHFFFAOYSA-N 0 0 299.330 2.955 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCC1(CCO)CC1 ZINC000157237866 527334567 /nfs/dbraw/zinc/33/45/67/527334567.db2.gz KEKBVCODWBAGFX-UHFFFAOYSA-N 0 0 270.716 2.823 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCCn1cccn1 ZINC000158145274 527334619 /nfs/dbraw/zinc/33/46/19/527334619.db2.gz QQLQJULJMARANH-UHFFFAOYSA-N 0 0 280.715 2.947 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCC[C@@H]2CCOC2)c1 ZINC000236217476 527337358 /nfs/dbraw/zinc/33/73/58/527337358.db2.gz VDXVLKVDVQWAMP-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCO[C@@H]1C1CC1 ZINC000230308157 527338571 /nfs/dbraw/zinc/33/85/71/527338571.db2.gz CQOCLVHMYWBAJW-WCQYABFASA-N 0 0 266.272 2.713 20 5 CFBDRN Cc1nccc(CNc2c3ccccc3ncc2[N+](=O)[O-])n1 ZINC000317287713 527340103 /nfs/dbraw/zinc/34/01/03/527340103.db2.gz KRRYBLSQDGFYNR-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ncc(Cl)s2)cc1 ZINC000225317308 527350123 /nfs/dbraw/zinc/35/01/23/527350123.db2.gz UVOQFBPTVIYBPY-UHFFFAOYSA-N 0 0 283.740 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccccc2CCO)cc1 ZINC000236506449 527354456 /nfs/dbraw/zinc/35/44/56/527354456.db2.gz ATVUBGQINKVKAJ-UHFFFAOYSA-N 0 0 273.288 2.709 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2Cc3ccccc32)cn1 ZINC000053297399 527365359 /nfs/dbraw/zinc/36/53/59/527365359.db2.gz SWOMAQBIYFJYFK-NSHDSACASA-N 0 0 255.277 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCOC2)c2ccncc21 ZINC000230103736 527365579 /nfs/dbraw/zinc/36/55/79/527365579.db2.gz HJCDFCIMQOPRCD-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cnn3ccccc23)c(F)c1 ZINC000071373338 527366242 /nfs/dbraw/zinc/36/62/42/527366242.db2.gz WETYRWORSPDKGC-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCO[C@@H]2C2CC2)c(F)c1 ZINC000230309028 527367968 /nfs/dbraw/zinc/36/79/68/527367968.db2.gz NILZHSLUTSTWNM-CHWSQXEVSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(C(F)F)c2)cc1 ZINC000361669465 527369784 /nfs/dbraw/zinc/36/97/84/527369784.db2.gz IZNNZPALLNSPNI-UHFFFAOYSA-N 0 0 254.196 2.930 20 5 CFBDRN Cn1ccc(NCc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000094196783 527370463 /nfs/dbraw/zinc/37/04/63/527370463.db2.gz VSRUWUAUWNAQEM-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC[C@@H]3CCOC3)ccc2c1 ZINC000230103979 527381710 /nfs/dbraw/zinc/38/17/10/527381710.db2.gz LZDCLKLMHNNEBD-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@H]1CCCO1 ZINC000050351882 527389346 /nfs/dbraw/zinc/38/93/46/527389346.db2.gz WQBVRPHEYXRUCC-MRVPVSSYSA-N 0 0 256.689 2.839 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1cnn2ccccc12 ZINC000071373424 527400119 /nfs/dbraw/zinc/40/01/19/527400119.db2.gz BESOJNRSXWLYBB-UHFFFAOYSA-N 0 0 282.303 2.532 20 5 CFBDRN O=[N+]([O-])c1ccnn1C[C@H]1CCc2ccccc2C1 ZINC000354524825 527408798 /nfs/dbraw/zinc/40/87/98/527408798.db2.gz CSDIFYJUMLUAGK-NSHDSACASA-N 0 0 257.293 2.596 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](C[C@@H]3CCOC3)C2)s1 ZINC000369119290 527409910 /nfs/dbraw/zinc/40/99/10/527409910.db2.gz YAFWXZWBYIXKMQ-MNOVXSKESA-N 0 0 297.380 2.694 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC(F)(F)c2ccccc2)nc1 ZINC000357619079 527410259 /nfs/dbraw/zinc/41/02/59/527410259.db2.gz BZRXSQSMMPHWPA-UHFFFAOYSA-N 0 0 280.234 2.589 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC[C@@H](O)C2)c(Cl)c1 ZINC000078304276 527411239 /nfs/dbraw/zinc/41/12/39/527411239.db2.gz PJTNZQVKHNOCCR-WCBMZHEXSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H](O)c2ccsc2)c(Cl)c1 ZINC000218907911 527411373 /nfs/dbraw/zinc/41/13/73/527411373.db2.gz UDNIIUZHXLOJTO-SNVBAGLBSA-N 0 0 299.739 2.850 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCCS2)s1 ZINC000226774984 527411497 /nfs/dbraw/zinc/41/14/97/527411497.db2.gz GCVLANMKTFLKTF-SSDOTTSWSA-N 0 0 259.356 2.749 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccc(CO)c2)c(Cl)c1 ZINC000227651426 527411700 /nfs/dbraw/zinc/41/17/00/527411700.db2.gz UTGSDTNLVSADDX-UHFFFAOYSA-N 0 0 293.710 2.748 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2cnn(C(F)F)c2)c(Cl)c1 ZINC000361670187 527412109 /nfs/dbraw/zinc/41/21/09/527412109.db2.gz NQJWRRVWHVNOJJ-UHFFFAOYSA-N 0 0 289.629 2.978 20 5 CFBDRN O=[N+]([O-])c1cnccc1Oc1cncc(Cl)c1 ZINC000360990015 527413952 /nfs/dbraw/zinc/41/39/52/527413952.db2.gz IFAOTZDVCBRZAY-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@H]1CCC(F)(F)C1 ZINC000334181772 527451992 /nfs/dbraw/zinc/45/19/92/527451992.db2.gz DZEMRPBPJBVQSD-ZETCQYMHSA-N 0 0 292.669 2.845 20 5 CFBDRN O=C(Nc1cncc(F)c1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000341544635 527479878 /nfs/dbraw/zinc/47/98/78/527479878.db2.gz NWVKLANFTVLTOR-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=C(Nc1cncc(F)c1)Nc1ccc([N+](=O)[O-])cc1F ZINC000337256280 527480027 /nfs/dbraw/zinc/48/00/27/527480027.db2.gz SGHBACCKCMAPFV-UHFFFAOYSA-N 0 0 294.217 2.912 20 5 CFBDRN O=C(NC1CC(C(F)(F)F)C1)c1cccc([N+](=O)[O-])c1 ZINC000360509176 527481140 /nfs/dbraw/zinc/48/11/40/527481140.db2.gz FCKSZLBXWHLFQM-UHFFFAOYSA-N 0 0 288.225 2.666 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@@H]1CCc2ccccc2C1 ZINC000336366765 527486714 /nfs/dbraw/zinc/48/67/14/527486714.db2.gz AQKWZZWGRGDLAG-GFCCVEGCSA-N 0 0 284.315 2.562 20 5 CFBDRN Cc1ncsc1CCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000050517498 527491687 /nfs/dbraw/zinc/49/16/87/527491687.db2.gz CMYKYRAFDAEDFR-UHFFFAOYSA-N 0 0 292.316 2.794 20 5 CFBDRN O=C(NC1CCCC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037191 527509516 /nfs/dbraw/zinc/50/95/16/527509516.db2.gz MGIYSOUWVXWZCC-UHFFFAOYSA-N 0 0 270.235 2.545 20 5 CFBDRN O=C(NCCCCF)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000360516972 527648628 /nfs/dbraw/zinc/64/86/28/527648628.db2.gz IPSDVPWORVXERV-UHFFFAOYSA-N 0 0 295.314 2.649 20 5 CFBDRN Cc1nn(C)c(NCCc2cccc(C)c2)c1[N+](=O)[O-] ZINC000051670542 527679012 /nfs/dbraw/zinc/67/90/12/527679012.db2.gz WOXHPMJGZFNSDX-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN CC(C)CCCn1cnc2ccc([N+](=O)[O-])cc2c1=O ZINC000428061762 527706463 /nfs/dbraw/zinc/70/64/63/527706463.db2.gz IBQLMNMBTQJGRY-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN CC(C)CCCn1nc(-c2ccc([N+](=O)[O-])cc2)oc1=O ZINC000428065697 527706660 /nfs/dbraw/zinc/70/66/60/527706660.db2.gz GLWJEQUHPTYKJX-UHFFFAOYSA-N 0 0 291.307 2.848 20 5 CFBDRN CC(C)OC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000414047073 527869427 /nfs/dbraw/zinc/86/94/27/527869427.db2.gz BIEIZKABWONOMK-UHFFFAOYSA-N 0 0 267.281 2.947 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CSCCCO ZINC000413019904 528210326 /nfs/dbraw/zinc/21/03/26/528210326.db2.gz WCQNOOKXWPFENY-UHFFFAOYSA-N 0 0 285.365 2.998 20 5 CFBDRN CCOc1cc(NCCOCC(F)F)ccc1[N+](=O)[O-] ZINC000190910660 528327780 /nfs/dbraw/zinc/32/77/80/528327780.db2.gz VTMSHHUVVXYQDI-UHFFFAOYSA-N 0 0 290.266 2.687 20 5 CFBDRN CCOc1ccc(C(=O)N2CC(C)(CC)C2)cc1[N+](=O)[O-] ZINC000428825004 528389686 /nfs/dbraw/zinc/38/96/86/528389686.db2.gz IHUFQBVRQMMQEW-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCCCN(CC)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000193934320 528393510 /nfs/dbraw/zinc/39/35/10/528393510.db2.gz QMJJXNPJGVHIST-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H](C)CSC)cc1[N+](=O)[O-] ZINC000131910089 528430172 /nfs/dbraw/zinc/43/01/72/528430172.db2.gz ZDWGPJRWUKXWPK-VIFPVBQESA-N 0 0 297.380 2.508 20 5 CFBDRN CC(C)n1cc(Cn2ccc3c2cccc3[N+](=O)[O-])nn1 ZINC000427535181 528570578 /nfs/dbraw/zinc/57/05/78/528570578.db2.gz TZSBARXMUHTPBJ-UHFFFAOYSA-N 0 0 285.307 2.770 20 5 CFBDRN CCC(CC)(CCO)CNc1ncc([N+](=O)[O-])cc1F ZINC000413181644 528645865 /nfs/dbraw/zinc/64/58/65/528645865.db2.gz JRTHXRODWUFTFJ-UHFFFAOYSA-N 0 0 285.319 2.730 20 5 CFBDRN CC(C)n1nccc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000022288948 528687691 /nfs/dbraw/zinc/68/76/91/528687691.db2.gz OCDWICQIKIVCPF-UHFFFAOYSA-N 0 0 274.280 2.625 20 5 CFBDRN CCOC(=O)CCCCCn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000195049929 528692451 /nfs/dbraw/zinc/69/24/51/528692451.db2.gz DMHUBGUHHZGKKH-UHFFFAOYSA-N 0 0 295.339 2.792 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC[C@H](C)CC ZINC000421586070 528693354 /nfs/dbraw/zinc/69/33/54/528693354.db2.gz DLCJGPXBSBFVRY-SNVBAGLBSA-N 0 0 280.324 2.769 20 5 CFBDRN CC(C)[C@@H]1C[C@H](CNc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000413317533 528780924 /nfs/dbraw/zinc/78/09/24/528780924.db2.gz RAAZVLPEHMGRPN-MFKMUULPSA-N 0 0 297.330 2.992 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2ccc3[nH]ccc3c2)n1 ZINC000191764483 529154640 /nfs/dbraw/zinc/15/46/40/529154640.db2.gz GXHIBLWDRPFUHJ-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN CCn1ccc(CNc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000413491587 529175634 /nfs/dbraw/zinc/17/56/34/529175634.db2.gz KJXTXZZFAQFCGG-UHFFFAOYSA-N 0 0 278.287 2.871 20 5 CFBDRN CCn1ccc(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)n1 ZINC000413486484 529175753 /nfs/dbraw/zinc/17/57/53/529175753.db2.gz BAVSTHJEKPFVNC-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CC[C@H]1COCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116281489 529308624 /nfs/dbraw/zinc/30/86/24/529308624.db2.gz TVXBGYWXJGPVJF-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000421668753 529320747 /nfs/dbraw/zinc/32/07/47/529320747.db2.gz ZXIHGDOOLCAABH-RYUDHWBXSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000421912929 529325798 /nfs/dbraw/zinc/32/57/98/529325798.db2.gz KNCJUDISQXWQNG-SECBINFHSA-N 0 0 275.308 2.852 20 5 CFBDRN CC[C@H](CCO)Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413152970 529332533 /nfs/dbraw/zinc/33/25/33/529332533.db2.gz JRKSOHXWDISESI-SSDOTTSWSA-N 0 0 293.245 2.582 20 5 CFBDRN CC(C)CN(C)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491290335 535014019 /nfs/dbraw/zinc/01/40/19/535014019.db2.gz CHLSVGNQYCOIDD-FPLPWBNLSA-N 0 0 262.309 2.722 20 5 CFBDRN CCC(CC)[C@@H](CCNC(=O)c1ccc([N+](=O)[O-])o1)OC ZINC000437013737 535100540 /nfs/dbraw/zinc/10/05/40/535100540.db2.gz AUDSBPFBZXGHNP-LLVKDONJSA-N 0 0 298.339 2.759 20 5 CFBDRN CCC(CC)[C@H](CCNc1c([N+](=O)[O-])ncn1C)OC ZINC000446883981 535140319 /nfs/dbraw/zinc/14/03/19/535140319.db2.gz NEPJBTSZSQWFHB-NSHDSACASA-N 0 0 284.360 2.582 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000445505922 535194853 /nfs/dbraw/zinc/19/48/53/535194853.db2.gz UVLFLTIRHYUYCA-ZDUSSCGKSA-N 0 0 291.351 2.782 20 5 CFBDRN CC(C)OC(=O)CC[C@H](C)Nc1ccc([N+](=O)[O-])nc1 ZINC000450494560 535301284 /nfs/dbraw/zinc/30/12/84/535301284.db2.gz MVDIOMSPDBLLLD-JTQLQIEISA-N 0 0 281.312 2.522 20 5 CFBDRN CC(C)[C@@H]1[C@@H](C(C)C)CN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000456288858 535303832 /nfs/dbraw/zinc/30/38/32/535303832.db2.gz MFHFTLAONJYAHG-BXUZGUMPSA-N 0 0 293.367 2.686 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491437196 535541856 /nfs/dbraw/zinc/54/18/56/535541856.db2.gz PYVTYRABSMFCEO-ZJRUKIMVSA-N 0 0 262.309 2.865 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])c(OC)c2)C[C@H]1C ZINC000450744396 535635672 /nfs/dbraw/zinc/63/56/72/535635672.db2.gz MLURSXYFZFUNBQ-RISCZKNCSA-N 0 0 294.351 2.855 20 5 CFBDRN CC[C@@](C)(CNC(=O)/C=C/c1ccccc1[N+](=O)[O-])OC ZINC000493126928 535978451 /nfs/dbraw/zinc/97/84/51/535978451.db2.gz ZRSMJFFGXSORFJ-FEAKQIBJSA-N 0 0 292.335 2.539 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NCC2CCCCCC2)n1 ZINC000452794543 536093129 /nfs/dbraw/zinc/09/31/29/536093129.db2.gz OMJGMSKBLPNCTJ-UHFFFAOYSA-N 0 0 294.355 2.511 20 5 CFBDRN CO[C@@H]([C@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000456606015 536551023 /nfs/dbraw/zinc/55/10/23/536551023.db2.gz SVZZLIJXDRRREG-MADCSZMMSA-N 0 0 298.364 2.508 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H](C)C1CCC1 ZINC000457456599 536955016 /nfs/dbraw/zinc/95/50/16/536955016.db2.gz NDKKIAADWLRZSU-SNVBAGLBSA-N 0 0 292.335 2.656 20 5 CFBDRN C/C(=C/C(=O)OCCn1c(C)ncc1[N+](=O)[O-])C(C)(C)C ZINC000744049243 574051008 /nfs/dbraw/zinc/05/10/08/574051008.db2.gz SMSDAWVDVAESON-NTMALXAHSA-N 0 0 295.339 2.635 20 5 CFBDRN Cc1ccc(C(=O)OC2([C@@H]3CCOC3)CC2)cc1[N+](=O)[O-] ZINC000744162461 574057436 /nfs/dbraw/zinc/05/74/36/574057436.db2.gz HSOXYENZUGIBFH-GFCCVEGCSA-N 0 0 291.303 2.629 20 5 CFBDRN C[C@H]1CC(OC(=O)c2ccc([N+](=O)[O-])n2C)C[C@H](C)C1 ZINC000744213269 574061492 /nfs/dbraw/zinc/06/14/92/574061492.db2.gz RJMQXXPNOFRLJV-NXEZZACHSA-N 0 0 280.324 2.915 20 5 CFBDRN CCC1(C(=O)OCc2cc([N+](=O)[O-])ccc2OC)CC1 ZINC000750482230 574063514 /nfs/dbraw/zinc/06/35/14/574063514.db2.gz QMONRAMZOYTASV-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CCOC1 ZINC000754028274 574064294 /nfs/dbraw/zinc/06/42/94/574064294.db2.gz UEYYQYUJZCXEAX-LBPRGKRZSA-N 0 0 284.699 2.613 20 5 CFBDRN Cc1csc(COC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000744311399 574066083 /nfs/dbraw/zinc/06/60/83/574066083.db2.gz KSCUPMKTOPXABS-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN C[C@@H]1CC/C(=C/C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000753596334 574067178 /nfs/dbraw/zinc/06/71/78/574067178.db2.gz MKGNZPHKHKCCEG-ZGSOTFDTSA-N 0 0 274.320 2.957 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000727906589 574071918 /nfs/dbraw/zinc/07/19/18/574071918.db2.gz HVAFUQGTTDIAMK-PWSUYJOCSA-N 0 0 279.292 2.626 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(Cc1cnc(C)nc1)CC2 ZINC000754112071 574073834 /nfs/dbraw/zinc/07/38/34/574073834.db2.gz CJTCNXSLDPJEPD-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CNc1ccc(C(=O)OCCCSC)cc1[N+](=O)[O-] ZINC000744460404 574075662 /nfs/dbraw/zinc/07/56/62/574075662.db2.gz SCGWJWNPVZOTTQ-UHFFFAOYSA-N 0 0 284.337 2.546 20 5 CFBDRN CCc1cnc(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000744471427 574076248 /nfs/dbraw/zinc/07/62/48/574076248.db2.gz DDZUHMWOOBVIQA-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CCc1cnc(COC(=O)c2cccc([N+](=O)[O-])c2)o1 ZINC000744476180 574076625 /nfs/dbraw/zinc/07/66/25/574076625.db2.gz ZRQKYKMCSGDAOP-UHFFFAOYSA-N 0 0 276.248 2.502 20 5 CFBDRN CCc1cnc(COC(=O)c2cccc([N+](=O)[O-])c2C)o1 ZINC000744495918 574078049 /nfs/dbraw/zinc/07/80/49/574078049.db2.gz ONNHVGCYSHFVQG-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Sc1nc2ccccn2c1[N+](=O)[O-] ZINC000754075733 574088286 /nfs/dbraw/zinc/08/82/86/574088286.db2.gz NINMMNFEYYTJRI-IUCAKERBSA-N 0 0 279.321 2.512 20 5 CFBDRN COc1cc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)ccn1 ZINC000754113497 574089964 /nfs/dbraw/zinc/08/99/64/574089964.db2.gz LCDYUEBDUQPQIP-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN O=C(O[C@H]1CCCCC1=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730645783 574090673 /nfs/dbraw/zinc/09/06/73/574090673.db2.gz RBXMUAKEKDQIGA-NSHDSACASA-N 0 0 297.694 2.917 20 5 CFBDRN O=C(OCC(F)(F)C(F)F)c1cc(F)ccc1[N+](=O)[O-] ZINC000744878571 574091304 /nfs/dbraw/zinc/09/13/04/574091304.db2.gz NHPHSADAFHSVPK-UHFFFAOYSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)C1CCCC1 ZINC000744873888 574091457 /nfs/dbraw/zinc/09/14/57/574091457.db2.gz XWLYCFWCAQMPNC-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN O=C(OCC(F)(F)C(F)F)c1ccc([N+](=O)[O-])c(F)c1 ZINC000744944057 574094437 /nfs/dbraw/zinc/09/44/37/574094437.db2.gz VTKIJTVRAWHHLM-UHFFFAOYSA-N 0 0 299.151 2.791 20 5 CFBDRN C/C=C(\C)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000754404671 574094507 /nfs/dbraw/zinc/09/45/07/574094507.db2.gz XBZAGSAOFPSBMX-XCVCLJGOSA-N 0 0 260.293 2.840 20 5 CFBDRN CSCCCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000744943716 574094559 /nfs/dbraw/zinc/09/45/59/574094559.db2.gz PNBXKIZDAWEPBL-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN CC(C)C(=O)COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000730843810 574099752 /nfs/dbraw/zinc/09/97/52/574099752.db2.gz AGSBDHYMWBOORO-UHFFFAOYSA-N 0 0 285.683 2.630 20 5 CFBDRN COC1(C(=O)Oc2cccc([N+](=O)[O-])c2C)CCCC1 ZINC000754549251 574102128 /nfs/dbraw/zinc/10/21/28/574102128.db2.gz DFDHOPNGFFMVDU-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CC(F)(F)C(F)F)CC2 ZINC000754594337 574107026 /nfs/dbraw/zinc/10/70/26/574107026.db2.gz DEFPVXYDBSMINH-UHFFFAOYSA-N 0 0 278.205 2.858 20 5 CFBDRN Cc1cnc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])o1 ZINC000754625201 574109527 /nfs/dbraw/zinc/10/95/27/574109527.db2.gz NQIDAXVAGSIAML-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CC[C@H](CCOC)OC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000754590866 574117266 /nfs/dbraw/zinc/11/72/66/574117266.db2.gz PGNDXIGSSIGVFB-LLVKDONJSA-N 0 0 297.307 2.575 20 5 CFBDRN O=C(/C=C\C1CC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000745556812 574117573 /nfs/dbraw/zinc/11/75/73/574117573.db2.gz BGUMHOQPMCTSIO-SREVYHEPSA-N 0 0 265.240 2.743 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@]1(O)CCCc2ccccc21 ZINC000731356433 574117781 /nfs/dbraw/zinc/11/77/81/574117781.db2.gz UPPKWJYZGWETCN-MRXNPFEDSA-N 0 0 299.330 2.626 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000731391156 574119765 /nfs/dbraw/zinc/11/97/65/574119765.db2.gz ZYEFDKPOXFGBGQ-MRVPVSSYSA-N 0 0 287.312 2.776 20 5 CFBDRN C[C@@H](Cc1ccco1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731454782 574120816 /nfs/dbraw/zinc/12/08/16/574120816.db2.gz MXUOHMXPPMTCKE-VIFPVBQESA-N 0 0 292.266 2.688 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000754811152 574122500 /nfs/dbraw/zinc/12/25/00/574122500.db2.gz WQPGOLNGSCOADM-NSHDSACASA-N 0 0 297.282 2.850 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731545971 574123089 /nfs/dbraw/zinc/12/30/89/574123089.db2.gz BQSYOFAZNMJMGI-APPZFPTMSA-N 0 0 253.229 2.547 20 5 CFBDRN CCC(CC)OC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000754841115 574123887 /nfs/dbraw/zinc/12/38/87/574123887.db2.gz MCYCPPDJYVDAFZ-UHFFFAOYSA-N 0 0 270.260 2.662 20 5 CFBDRN C/C(=C\c1ccccc1)CN(C)Cn1nccc1[N+](=O)[O-] ZINC000754859120 574125279 /nfs/dbraw/zinc/12/52/79/574125279.db2.gz VDFKRCDTYAQJBT-JLHYYAGUSA-N 0 0 286.335 2.784 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000745957329 574129124 /nfs/dbraw/zinc/12/91/24/574129124.db2.gz DPQSMJCCYQDEON-NSHDSACASA-N 0 0 281.333 2.956 20 5 CFBDRN COc1cc(C(=O)OC[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000745959531 574129320 /nfs/dbraw/zinc/12/93/20/574129320.db2.gz RCTCNDACWWAHIC-JTQLQIEISA-N 0 0 297.332 2.656 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@H](C(F)(F)F)O1 ZINC000745975261 574130409 /nfs/dbraw/zinc/13/04/09/574130409.db2.gz HOVXMPBFULFCAO-GZMMTYOYSA-N 0 0 290.241 2.751 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754985317 574130967 /nfs/dbraw/zinc/13/09/67/574130967.db2.gz SXJJRHMVELIBDJ-LURJTMIESA-N 0 0 289.646 2.522 20 5 CFBDRN CO[C@@H](C)CC(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746071913 574132533 /nfs/dbraw/zinc/13/25/33/574132533.db2.gz FUWLZGLJGBOYEI-QMMMGPOBSA-N 0 0 287.699 2.716 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755015850 574132574 /nfs/dbraw/zinc/13/25/74/574132574.db2.gz WLKVYQPZUPGHER-TYBABMIJSA-N 0 0 277.276 2.519 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cnn2ccccc12 ZINC000731973716 574137695 /nfs/dbraw/zinc/13/76/95/574137695.db2.gz FKXZDVLHQFHBAS-UHFFFAOYSA-N 0 0 297.270 2.770 20 5 CFBDRN C[C@H](CCC(C)(C)C)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000755144806 574142570 /nfs/dbraw/zinc/14/25/70/574142570.db2.gz UXCILKRQAPCCSE-LLVKDONJSA-N 0 0 297.355 2.797 20 5 CFBDRN C[C@@H]1CSCCN1C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000737462754 574143450 /nfs/dbraw/zinc/14/34/50/574143450.db2.gz WMJQRAQSXYQBJL-SECBINFHSA-N 0 0 297.405 2.729 20 5 CFBDRN Cc1cccc(C)c1OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000755230897 574148487 /nfs/dbraw/zinc/14/84/87/574148487.db2.gz IXEKZPWWLOKQCR-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN Cc1ccc(OCc2cscn2)cc1[N+](=O)[O-] ZINC000755250348 574149498 /nfs/dbraw/zinc/14/94/98/574149498.db2.gz RWYBTZMWKZJVAZ-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1ccc(OC(=O)CCOCC2CC2)cc1[N+](=O)[O-] ZINC000755249689 574149801 /nfs/dbraw/zinc/14/98/01/574149801.db2.gz CZERLIIAZMLCTJ-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN COC(=O)C1(CNc2cccc(F)c2[N+](=O)[O-])CCCC1 ZINC000746465706 574149946 /nfs/dbraw/zinc/14/99/46/574149946.db2.gz CMUDGXPUFOMINX-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN CC(C)(C)/C=C/C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000732403948 574156039 /nfs/dbraw/zinc/15/60/39/574156039.db2.gz DYWDXVDPZNUPSJ-BQYQJAHWSA-N 0 0 291.303 2.923 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCCC1CCC1 ZINC000755377562 574158127 /nfs/dbraw/zinc/15/81/27/574158127.db2.gz VXOGSMFHEGDQGN-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN O=C(OCC1CCOCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000732597205 574162629 /nfs/dbraw/zinc/16/26/29/574162629.db2.gz MEWGXKUYVYGQLQ-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000746851518 574167808 /nfs/dbraw/zinc/16/78/08/574167808.db2.gz SSGAUUXQQCFYHP-LLVKDONJSA-N 0 0 287.312 2.919 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1nocc1C ZINC000755551768 574168133 /nfs/dbraw/zinc/16/81/33/574168133.db2.gz VSDFXWZHTDWIGC-CLFYSBASSA-N 0 0 287.275 2.933 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)o1 ZINC000746901484 574170206 /nfs/dbraw/zinc/17/02/06/574170206.db2.gz JEUMJWDLEUOOGZ-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN Cc1ccoc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746912895 574170687 /nfs/dbraw/zinc/17/06/87/574170687.db2.gz YBOPSUGFJAYMDX-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000729081355 574170980 /nfs/dbraw/zinc/17/09/80/574170980.db2.gz ZUTCTDZIZIOADU-NSHDSACASA-N 0 0 280.324 2.985 20 5 CFBDRN CC[C@@H](C)OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000729079196 574171124 /nfs/dbraw/zinc/17/11/24/574171124.db2.gz UYNVDOYMOHLHIP-SNVBAGLBSA-N 0 0 276.292 2.891 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000729091299 574173804 /nfs/dbraw/zinc/17/38/04/574173804.db2.gz DNXZSBRKSLEZSW-RKDXNWHRSA-N 0 0 274.276 2.889 20 5 CFBDRN CCCC(=O)COC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000738583501 574174608 /nfs/dbraw/zinc/17/46/08/574174608.db2.gz ZBBMBOLQKQUAEZ-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN COC[C@H](C)OC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755677668 574177559 /nfs/dbraw/zinc/17/75/59/574177559.db2.gz GDZGRQALRLDJEV-UQSGXBNBSA-N 0 0 279.292 2.576 20 5 CFBDRN CC1=C(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000747157232 574182794 /nfs/dbraw/zinc/18/27/94/574182794.db2.gz BSPAHCQBJHZNRI-UHFFFAOYSA-N 0 0 289.287 2.821 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCOC(C)(C)C)c1 ZINC000747211498 574187541 /nfs/dbraw/zinc/18/75/41/574187541.db2.gz CLAQNSNCAMYBMX-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN Cc1noc(C)c1CN(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000747524853 574199803 /nfs/dbraw/zinc/19/98/03/574199803.db2.gz UWTBLGPYTVLRKR-UHFFFAOYSA-N 0 0 293.298 2.971 20 5 CFBDRN C[C@@H]1CC(OC(=O)Cc2ccc([N+](=O)[O-])cc2)C[C@@H](C)O1 ZINC000756039066 574206072 /nfs/dbraw/zinc/20/60/72/574206072.db2.gz QSIKNBSBAZFHHZ-GHMZBOCLSA-N 0 0 293.319 2.637 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCCC[C@@H]1CCCO1 ZINC000756060411 574207095 /nfs/dbraw/zinc/20/70/95/574207095.db2.gz NGJFTXUBSQKQCC-ZDUSSCGKSA-N 0 0 293.319 2.640 20 5 CFBDRN CN(c1c([N+](=O)[O-])ncn1C)C1CCCCCCC1 ZINC000733190914 574210201 /nfs/dbraw/zinc/21/02/01/574210201.db2.gz PNBKVBMEPUREBR-UHFFFAOYSA-N 0 0 266.345 2.877 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1cccc(F)n1 ZINC000747846123 574215541 /nfs/dbraw/zinc/21/55/41/574215541.db2.gz RXPXDNFQPJXRKG-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN C[C@H]1CCC[C@@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000729471485 574218592 /nfs/dbraw/zinc/21/85/92/574218592.db2.gz YABRYWBDBFQGRF-UWVGGRQHSA-N 0 0 260.297 2.843 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000739629492 574220758 /nfs/dbraw/zinc/22/07/58/574220758.db2.gz CIXVLRMEXWXCSU-SNVBAGLBSA-N 0 0 298.314 2.521 20 5 CFBDRN Cc1cc(C(=O)NCCC2CCCC2)c(N)c([N+](=O)[O-])c1 ZINC000729518066 574222144 /nfs/dbraw/zinc/22/21/44/574222144.db2.gz BUMFYSVVENTXAM-UHFFFAOYSA-N 0 0 291.351 2.796 20 5 CFBDRN COC[C@@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000756299673 574222762 /nfs/dbraw/zinc/22/27/62/574222762.db2.gz JIFURFXEILNKSN-CQSZACIVSA-N 0 0 295.335 2.742 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCOC1 ZINC000739734393 574224192 /nfs/dbraw/zinc/22/41/92/574224192.db2.gz SMJCAEUCHCBIKA-VIFPVBQESA-N 0 0 298.726 2.922 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000756326096 574225368 /nfs/dbraw/zinc/22/53/68/574225368.db2.gz BABMCJGUEYJURK-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN COC1(C)CN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000756333297 574226005 /nfs/dbraw/zinc/22/60/05/574226005.db2.gz NKVTWVMLLSACME-UHFFFAOYSA-N 0 0 299.714 2.501 20 5 CFBDRN O=C(OCC(F)F)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000733392779 574226354 /nfs/dbraw/zinc/22/63/54/574226354.db2.gz BNLWKLSDOXTPQA-UHFFFAOYSA-N 0 0 265.599 2.670 20 5 CFBDRN Cc1noc([C@@H](C)NCc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000729684996 574228633 /nfs/dbraw/zinc/22/86/33/574228633.db2.gz ZYXFJGBZULLQPO-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN CC(C)(C)[C@@H](CC(=O)[O-])[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000756362065 574229344 /nfs/dbraw/zinc/22/93/44/574229344.db2.gz NFAGEDQZKBAKBK-GFCCVEGCSA-N 0 0 280.324 2.574 20 5 CFBDRN CCC[C@@H](C)OC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000748118215 574230280 /nfs/dbraw/zinc/23/02/80/574230280.db2.gz FEGJAQUXJIFTEC-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@H](CC(=O)OCCn1ccc([N+](=O)[O-])n1)CC(C)(C)C ZINC000748116961 574230319 /nfs/dbraw/zinc/23/03/19/574230319.db2.gz UGHFVCOQSAIHJD-LLVKDONJSA-N 0 0 297.355 2.797 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000748143584 574232070 /nfs/dbraw/zinc/23/20/70/574232070.db2.gz OUCWPNLDGYZHAZ-QMMMGPOBSA-N 0 0 267.256 2.823 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000756395124 574233478 /nfs/dbraw/zinc/23/34/78/574233478.db2.gz ZPBITEMSKAXFAA-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN Cc1sc(C(=O)OCC[C@H]2CCCC2=O)cc1[N+](=O)[O-] ZINC000748175685 574235150 /nfs/dbraw/zinc/23/51/50/574235150.db2.gz IPXGIUORFZZYSP-SECBINFHSA-N 0 0 297.332 2.881 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1cc([N+](=O)[O-])ccc1F ZINC000748176359 574235226 /nfs/dbraw/zinc/23/52/26/574235226.db2.gz AEUZBOICJUKKFC-SECBINFHSA-N 0 0 295.266 2.650 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)O[C@H]1C=CCCC1 ZINC000733430098 574235374 /nfs/dbraw/zinc/23/53/74/574235374.db2.gz QPUMZKJBHCREBQ-LBPRGKRZSA-N 0 0 290.319 2.926 20 5 CFBDRN C[C@H](C(=O)OCc1ccccc1[N+](=O)[O-])C1CCOCC1 ZINC000756421980 574236008 /nfs/dbraw/zinc/23/60/08/574236008.db2.gz CBGHTYIWAMDKNP-NSHDSACASA-N 0 0 293.319 2.701 20 5 CFBDRN Cc1nc(C)c(C(=O)OCc2ccccc2[N+](=O)[O-])o1 ZINC000748209228 574239841 /nfs/dbraw/zinc/23/98/41/574239841.db2.gz YTRTUJCINVCIIZ-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1cc(COC(=O)CCc2cccc([N+](=O)[O-])c2)on1 ZINC000756538726 574244186 /nfs/dbraw/zinc/24/41/86/574244186.db2.gz YMNBEZBQIDBHOV-UHFFFAOYSA-N 0 0 290.275 2.567 20 5 CFBDRN CC[C@@H](C)OC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000748465725 574253799 /nfs/dbraw/zinc/25/37/99/574253799.db2.gz CZRXLJQNVBIUCW-SECBINFHSA-N 0 0 251.282 2.787 20 5 CFBDRN O=C(C=C1CCC1)OCCOc1cccc([N+](=O)[O-])c1 ZINC000756723968 574259230 /nfs/dbraw/zinc/25/92/30/574259230.db2.gz NLFHEHOOJRKOCG-UHFFFAOYSA-N 0 0 277.276 2.627 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C=C1CCC1 ZINC000756725355 574259254 /nfs/dbraw/zinc/25/92/54/574259254.db2.gz BBISNYZCRSZKEQ-UHFFFAOYSA-N 0 0 277.276 2.757 20 5 CFBDRN Cc1cc(C)c(OC(=O)C(=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000733912611 574262001 /nfs/dbraw/zinc/26/20/01/574262001.db2.gz MXOZZAIMOCLSDK-UHFFFAOYSA-N 0 0 279.292 2.732 20 5 CFBDRN CCn1cc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000733919208 574264948 /nfs/dbraw/zinc/26/49/48/574264948.db2.gz KYOUHDSSZKGMHI-SNVBAGLBSA-N 0 0 289.291 2.729 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H](O)c1ccccc1Cl ZINC000740871876 574271789 /nfs/dbraw/zinc/27/17/89/574271789.db2.gz QWOBPIAPXAWYOV-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN C[Si](C)(C)COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000740956871 574275687 /nfs/dbraw/zinc/27/56/87/574275687.db2.gz JWLUMSNVWNZFJZ-UHFFFAOYSA-N 0 0 271.320 2.768 20 5 CFBDRN C[C@H](OC(=O)COCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000749055774 574277950 /nfs/dbraw/zinc/27/79/50/574277950.db2.gz OABPFQICBLKENT-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN Cc1cc([C@](C)(O)CNc2cccnc2[N+](=O)[O-])c(C)o1 ZINC000742413196 574280217 /nfs/dbraw/zinc/28/02/17/574280217.db2.gz YRZDIGQHRAPZKC-CQSZACIVSA-N 0 0 291.307 2.519 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)C(C)(C)C ZINC000734340992 574283255 /nfs/dbraw/zinc/28/32/55/574283255.db2.gz RLPOZXLITDAQMF-SECBINFHSA-N 0 0 279.340 2.710 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ccc([N+](=O)[O-])cc2F)o1 ZINC000741241813 574284344 /nfs/dbraw/zinc/28/43/44/574284344.db2.gz BKDYAHSSRXCWRT-GFCCVEGCSA-N 0 0 280.255 2.781 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H](O)c1ccccc1F ZINC000749268392 574287525 /nfs/dbraw/zinc/28/75/25/574287525.db2.gz HFRFEUKOEZSQHN-NSHDSACASA-N 0 0 282.296 2.941 20 5 CFBDRN Cc1c(COC(=O)c2ccncc2F)cccc1[N+](=O)[O-] ZINC000741376999 574287982 /nfs/dbraw/zinc/28/79/82/574287982.db2.gz FATCDMOUBXBGNG-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1[nH]nc(C(=O)O[C@H](C)c2ccc([N+](=O)[O-])cc2)c1C ZINC000734708982 574299566 /nfs/dbraw/zinc/29/95/66/574299566.db2.gz UBNFDDNIUBRZQJ-SNVBAGLBSA-N 0 0 289.291 2.853 20 5 CFBDRN O=C1OCCN1CCCNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000741753563 574301098 /nfs/dbraw/zinc/30/10/98/574301098.db2.gz FPXSAVWADMZGPM-UHFFFAOYSA-N 0 0 299.714 2.502 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@H]2Nc1ccc([N+](=O)[O-])cn1 ZINC000734833940 574305265 /nfs/dbraw/zinc/30/52/65/574305265.db2.gz UTIZXCDQFWJSMI-CYBMUJFWSA-N 0 0 285.307 2.578 20 5 CFBDRN C[C@@H](ON=C(N)C1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000749795568 574309544 /nfs/dbraw/zinc/30/95/44/574309544.db2.gz MLWRYGNTCXIBQO-SNVBAGLBSA-N 0 0 293.323 2.581 20 5 CFBDRN CC(C)(C)[S@@](=O)CCNc1ccsc1[N+](=O)[O-] ZINC000735075001 574311778 /nfs/dbraw/zinc/31/17/78/574311778.db2.gz OPQUYHVUHQXYHI-KRWDZBQOSA-N 0 0 276.383 2.615 20 5 CFBDRN COCCCCCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000735138997 574314947 /nfs/dbraw/zinc/31/49/47/574314947.db2.gz OOGDUJSHCIKLRL-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN CCC/C=C/C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000735208661 574316386 /nfs/dbraw/zinc/31/63/86/574316386.db2.gz NHLAEMRXEGCHLT-AATRIKPKSA-N 0 0 265.265 2.865 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)C1(O)CCCC1 ZINC000735529458 574328348 /nfs/dbraw/zinc/32/83/48/574328348.db2.gz BHDGWTVEEFBDNP-UHFFFAOYSA-N 0 0 299.710 2.597 20 5 CFBDRN CCc1ncsc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000750192242 574330539 /nfs/dbraw/zinc/33/05/39/574330539.db2.gz VCNKMMMJWGFJRY-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN CCOCCCOC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000735602980 574330577 /nfs/dbraw/zinc/33/05/77/574330577.db2.gz JCHZOJXJZHWXQG-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN C[C@@H](OC(=O)[C@H](O)C(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000742455245 574331072 /nfs/dbraw/zinc/33/10/72/574331072.db2.gz ZUNUBJOFWOKPQO-SKDRFNHKSA-N 0 0 281.308 2.606 20 5 CFBDRN C[C@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000744337929 574332052 /nfs/dbraw/zinc/33/20/52/574332052.db2.gz UARMZFOGJNJPGO-ZETCQYMHSA-N 0 0 277.198 2.631 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccnn1C ZINC000735821381 574337051 /nfs/dbraw/zinc/33/70/51/574337051.db2.gz BUQCXYPBSVXUFP-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000742742925 574342416 /nfs/dbraw/zinc/34/24/16/574342416.db2.gz XEVZTXYGUHIWRP-ZJUUUORDSA-N 0 0 280.349 2.609 20 5 CFBDRN CCC1(C(=O)OCCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000750484019 574342483 /nfs/dbraw/zinc/34/24/83/574342483.db2.gz ZGCJYFHNVOZDNE-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN C[C@H](OC(=O)c1cc[nH]c1)c1cccc([N+](=O)[O-])c1 ZINC000750495786 574343599 /nfs/dbraw/zinc/34/35/99/574343599.db2.gz FDVXHMIPTKDMTN-VIFPVBQESA-N 0 0 260.249 2.841 20 5 CFBDRN O=C(OCc1ccon1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000735969827 574344819 /nfs/dbraw/zinc/34/48/19/574344819.db2.gz XIACNNLYUSASPZ-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN CSC[C@H](C)NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000735988171 574346492 /nfs/dbraw/zinc/34/64/92/574346492.db2.gz ZVIYKWAESQWVSJ-QMMMGPOBSA-N 0 0 285.394 2.633 20 5 CFBDRN CCCCN(C(=O)Cn1c(C)ncc1[N+](=O)[O-])[C@H](C)CC ZINC000743545359 574368497 /nfs/dbraw/zinc/36/84/97/574368497.db2.gz RGGSWEDMBPCSDA-LLVKDONJSA-N 0 0 296.371 2.527 20 5 CFBDRN Cc1cc(COC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])no1 ZINC000725935770 574372048 /nfs/dbraw/zinc/37/20/48/574372048.db2.gz NDGKBHYZSMOKCG-UHFFFAOYSA-N 0 0 298.201 2.526 20 5 CFBDRN C[C@H](OC(=O)C1CCC(=O)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000751239705 574372652 /nfs/dbraw/zinc/37/26/52/574372652.db2.gz RHZUQTKAYAQSNG-JTQLQIEISA-N 0 0 291.303 2.958 20 5 CFBDRN CC(C)=C(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000751399164 574376456 /nfs/dbraw/zinc/37/64/56/574376456.db2.gz IPKAAWMMEKLMQV-UHFFFAOYSA-N 0 0 262.309 2.610 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC/C=C\Cl ZINC000725987326 574376978 /nfs/dbraw/zinc/37/69/78/574376978.db2.gz KOLHZJQKDFJIIG-DJWKRKHSSA-N 0 0 255.657 2.813 20 5 CFBDRN O=C(CC1CC1)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751649375 574380618 /nfs/dbraw/zinc/38/06/18/574380618.db2.gz WRVBJNDFZFMKIK-UHFFFAOYSA-N 0 0 276.248 2.579 20 5 CFBDRN O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)C1CC=CC1 ZINC000751669522 574380874 /nfs/dbraw/zinc/38/08/74/574380874.db2.gz WSQDJTFTANTJFL-UHFFFAOYSA-N 0 0 288.259 2.745 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1C[C@H]1C1CC1 ZINC000751734972 574381687 /nfs/dbraw/zinc/38/16/87/574381687.db2.gz KQTWLUDDEVOUTH-RYUDHWBXSA-N 0 0 261.277 2.684 20 5 CFBDRN CC(C)(C)C[C@H](O)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000751761896 574382505 /nfs/dbraw/zinc/38/25/05/574382505.db2.gz DWURQIGXIPPUDO-LBPRGKRZSA-N 0 0 299.298 2.574 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000751795514 574382962 /nfs/dbraw/zinc/38/29/62/574382962.db2.gz AXHJKYOXHITVKI-QMMMGPOBSA-N 0 0 255.295 2.746 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000751793670 574383015 /nfs/dbraw/zinc/38/30/15/574383015.db2.gz CDOARAHTSUPLNP-LLVKDONJSA-N 0 0 263.293 2.993 20 5 CFBDRN CC[C@H](C)OCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000751869843 574384080 /nfs/dbraw/zinc/38/40/80/574384080.db2.gz BKRLCILULXHDNW-VIFPVBQESA-N 0 0 285.271 2.592 20 5 CFBDRN CC[C@H](C)OCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000751876448 574384161 /nfs/dbraw/zinc/38/41/61/574384161.db2.gz RPCIKUASNVRWGJ-VIFPVBQESA-N 0 0 285.271 2.592 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC1([C@@H](O)c2ccccc2)CC1 ZINC000751948503 574385466 /nfs/dbraw/zinc/38/54/66/574385466.db2.gz LOFQBTIIIPDRAK-AWEZNQCLSA-N 0 0 299.330 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC(F)F)sc2c1 ZINC000751961551 574385871 /nfs/dbraw/zinc/38/58/71/574385871.db2.gz UKSCKCIEEZADLC-UHFFFAOYSA-N 0 0 259.237 2.882 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000752355279 574390518 /nfs/dbraw/zinc/39/05/18/574390518.db2.gz PJUWACCYVOVPHR-IQQGHNRFSA-N 0 0 277.276 2.519 20 5 CFBDRN Cc1ncc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)s1 ZINC000752415397 574391173 /nfs/dbraw/zinc/39/11/73/574391173.db2.gz ZGRSWTKUGUNKGS-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000752557346 574392770 /nfs/dbraw/zinc/39/27/70/574392770.db2.gz OLSMCGDSAGSOCL-MRVPVSSYSA-N 0 0 255.245 2.998 20 5 CFBDRN O=C(OC/C=C/Cl)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752561038 574392829 /nfs/dbraw/zinc/39/28/29/574392829.db2.gz DRQTUWSUCJSQLU-DAFODLJHSA-N 0 0 277.610 2.782 20 5 CFBDRN CC(C)(CCc1noc(-c2ncccc2Cl)n1)[N+](=O)[O-] ZINC000752856734 574395815 /nfs/dbraw/zinc/39/58/15/574395815.db2.gz AKQVTGDBWQLJMD-UHFFFAOYSA-N 0 0 296.714 2.773 20 5 CFBDRN O=[N+]([O-])c1cnn(-c2ccc(C(F)(F)F)c(Cl)n2)c1 ZINC000753116037 574398832 /nfs/dbraw/zinc/39/88/32/574398832.db2.gz CBWVELDXSANAMU-UHFFFAOYSA-N 0 0 292.604 2.848 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(N)cc1OC ZINC000753449427 574402599 /nfs/dbraw/zinc/40/25/99/574402599.db2.gz TZRGNEXMKRCVJP-MRVPVSSYSA-N 0 0 282.296 2.531 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])nc1 ZINC000753487243 574403111 /nfs/dbraw/zinc/40/31/11/574403111.db2.gz BKCPYGGRHLPIMR-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CCON(C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000753554308 574404487 /nfs/dbraw/zinc/40/44/87/574404487.db2.gz JYDKAQXYAMMFSP-SNVBAGLBSA-N 0 0 281.312 2.557 20 5 CFBDRN CC(C)[C@@H](C)Sc1ccc(-c2nn[nH]n2)cc1[N+](=O)[O-] ZINC000820021382 599099320 /nfs/dbraw/zinc/09/93/20/599099320.db2.gz SYAQGISCBGEFHA-MRVPVSSYSA-N 0 0 293.352 2.912 20 5 CFBDRN C[N@H+](Cc1ccc(Cl)cc1[N+](=O)[O-])C(C)(C)C(=O)[O-] ZINC000061171601 600215408 /nfs/dbraw/zinc/21/54/08/600215408.db2.gz WVWZPBRQTBMLLY-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000935541625 649915883 /nfs/dbraw/zinc/91/58/83/649915883.db2.gz UYWSSSGTYQSUAI-MFKMUULPSA-N 0 0 294.326 2.971 20 5 CFBDRN C/C(=C(\F)C(=O)NCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000900288307 620658252 /nfs/dbraw/zinc/65/82/52/620658252.db2.gz UBIPIMZPTZSRCH-UKTHLTGXSA-N 0 0 278.283 2.865 20 5 CFBDRN O=C([O-])[C@H]1CCCC[N@@H+]1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000263378752 604787653 /nfs/dbraw/zinc/78/76/53/604787653.db2.gz BDBBBBSPHPRQOZ-LLVKDONJSA-N 0 0 298.726 2.687 20 5 CFBDRN CCC[N@H+](CC(=O)[O-])Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000263376828 604965574 /nfs/dbraw/zinc/96/55/74/604965574.db2.gz ATYHHIIRZCIOQP-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN C[C@]1([NH2+]Cc2csc([N+](=O)[O-])c2)CCCC[C@H]1C(=O)[O-] ZINC000833568615 605066334 /nfs/dbraw/zinc/06/63/34/605066334.db2.gz GBMKMJFLLATKBA-GWCFXTLKSA-N 0 0 298.364 2.779 20 5 CFBDRN C[C@@]1([NH2+]Cc2csc([N+](=O)[O-])c2)CCCC[C@@H]1C(=O)[O-] ZINC000833568618 605066573 /nfs/dbraw/zinc/06/65/73/605066573.db2.gz GBMKMJFLLATKBA-ZWNOBZJWSA-N 0 0 298.364 2.779 20 5 CFBDRN O=C(NC1(C(F)F)CC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935839096 649953398 /nfs/dbraw/zinc/95/33/98/649953398.db2.gz ZHYFXFYMJADPES-GHMZBOCLSA-N 0 0 296.273 2.612 20 5 CFBDRN C/C(=C/C[NH2+][C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000833381711 605174345 /nfs/dbraw/zinc/17/43/45/605174345.db2.gz DIYSTBIOYFJNCX-YAEJEKNGSA-N 0 0 278.308 2.585 20 5 CFBDRN O=[N+]([O-])c1cccc(Cn2cc(C3CCC3)nn2)c1 ZINC000900490388 620682032 /nfs/dbraw/zinc/68/20/32/620682032.db2.gz AUAUWOJXUBISCY-UHFFFAOYSA-N 0 0 258.281 2.502 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1(C)CC=CC1 ZINC000935915461 649959930 /nfs/dbraw/zinc/95/99/30/649959930.db2.gz LFKVQBSSSUUIPB-UHFFFAOYSA-N 0 0 288.347 2.952 20 5 CFBDRN Nc1ccc(NC[C@H](CO)CC2CCCC2)c([N+](=O)[O-])c1 ZINC000804684834 617807930 /nfs/dbraw/zinc/80/79/30/617807930.db2.gz XSGYRKDPAOJMTN-GFCCVEGCSA-N 0 0 293.367 2.778 20 5 CFBDRN C[C@H](Oc1ccccc1)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000006270797 617894445 /nfs/dbraw/zinc/89/44/45/617894445.db2.gz XQNOPZQKMVLANP-NSHDSACASA-N 0 0 287.271 2.968 20 5 CFBDRN O=C(NC/C=C/Cl)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000891216635 618049488 /nfs/dbraw/zinc/04/94/88/618049488.db2.gz VUOFFMFNKRJHCI-UEJZMWSSSA-N 0 0 280.711 2.567 20 5 CFBDRN Cc1cccc(C)c1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000121905432 618097996 /nfs/dbraw/zinc/09/79/96/618097996.db2.gz ZWSPDELTJUJFRJ-UHFFFAOYSA-N 0 0 299.330 2.724 20 5 CFBDRN CC(C)(C)CCS(=O)(=O)Oc1ccc([N+](=O)[O-])cc1 ZINC000175720792 617621929 /nfs/dbraw/zinc/62/19/29/617621929.db2.gz GEHDZCVLEISYRJ-UHFFFAOYSA-N 0 0 287.337 2.740 20 5 CFBDRN CCc1ccc(CN(C)c2c([N+](=O)[O-])cnn2C)cc1 ZINC000891471457 617624499 /nfs/dbraw/zinc/62/44/99/617624499.db2.gz SVXPVVYETLIWGN-UHFFFAOYSA-N 0 0 274.324 2.527 20 5 CFBDRN CN(Cc1ccc(Cl)cc1)c1c([N+](=O)[O-])cnn1C ZINC000891478467 617628219 /nfs/dbraw/zinc/62/82/19/617628219.db2.gz XTDHZVHSEWLZCM-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN COc1ccc(C=O)cc1Oc1nc(C)ccc1[N+](=O)[O-] ZINC000178147260 617646527 /nfs/dbraw/zinc/64/65/27/617646527.db2.gz IEIHSTLHVZBGMZ-UHFFFAOYSA-N 0 0 288.259 2.912 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@H]1C1CCCC1)[N+](=O)[O-] ZINC000889412150 617653199 /nfs/dbraw/zinc/65/31/99/617653199.db2.gz MOQBSUZMERMTAP-LBPRGKRZSA-N 0 0 268.357 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CS[C@H](C)C2)c1C ZINC000891604601 617683373 /nfs/dbraw/zinc/68/33/73/617683373.db2.gz ZGUOLMRICBWZJE-KOLCDFICSA-N 0 0 294.376 2.835 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000840532041 617705396 /nfs/dbraw/zinc/70/53/96/617705396.db2.gz SXCIOHSPWAGXKQ-QMMMGPOBSA-N 0 0 295.314 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](OCCC(C)C)C2)n1 ZINC000178253176 617709736 /nfs/dbraw/zinc/70/97/36/617709736.db2.gz GGRFVGHIESWXJS-CYBMUJFWSA-N 0 0 293.367 2.940 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000924039878 617721553 /nfs/dbraw/zinc/72/15/53/617721553.db2.gz CMECWSMRWXXDDH-WAZAZEMKSA-N 0 0 288.347 2.546 20 5 CFBDRN Cc1cc(OS(=O)(=O)CC2CCCC2)ccc1[N+](=O)[O-] ZINC000178283762 617721974 /nfs/dbraw/zinc/72/19/74/617721974.db2.gz VBSDTQSXBPPHFH-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1CC1(Cl)Cl ZINC000098073220 617755408 /nfs/dbraw/zinc/75/54/08/617755408.db2.gz QWOOJZRZXYADCO-VIFPVBQESA-N 0 0 290.102 2.832 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000891827363 617774378 /nfs/dbraw/zinc/77/43/78/617774378.db2.gz WXAMAWXJGNHPKD-JGVFFNPUSA-N 0 0 251.242 2.571 20 5 CFBDRN CSC1(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000804673917 617795416 /nfs/dbraw/zinc/79/54/16/617795416.db2.gz DSBZISPDDDBWTI-UHFFFAOYSA-N 0 0 283.309 2.811 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1cccnc1F ZINC000804680781 617800468 /nfs/dbraw/zinc/80/04/68/617800468.db2.gz SWCWXQWURCZDBW-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CC[C@H](C)C(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000023520643 617801247 /nfs/dbraw/zinc/80/12/47/617801247.db2.gz CDWRNDLSOJCDOP-UWVGGRQHSA-N 0 0 294.307 2.511 20 5 CFBDRN CC[C@@H](C)C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000023520645 617801486 /nfs/dbraw/zinc/80/14/86/617801486.db2.gz CDWRNDLSOJCDOP-NXEZZACHSA-N 0 0 294.307 2.511 20 5 CFBDRN CC[C@@H](C)C(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000023520641 617801504 /nfs/dbraw/zinc/80/15/04/617801504.db2.gz CDWRNDLSOJCDOP-ZJUUUORDSA-N 0 0 294.307 2.511 20 5 CFBDRN CC[C@H](CCO)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000234036876 617818937 /nfs/dbraw/zinc/81/89/37/617818937.db2.gz QUHYWFGMTPFMJH-SSDOTTSWSA-N 0 0 276.695 2.960 20 5 CFBDRN CCN(c1c2ccccc2n(C)c(=O)c1[N+](=O)[O-])C(C)C ZINC000891934088 617833777 /nfs/dbraw/zinc/83/37/77/617833777.db2.gz QIBCACYVQBMITP-UHFFFAOYSA-N 0 0 289.335 2.681 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC=CC[C@H]1C ZINC000890766883 617855281 /nfs/dbraw/zinc/85/52/81/617855281.db2.gz JWCRNKALHGLQRC-ZWNOBZJWSA-N 0 0 290.319 2.688 20 5 CFBDRN CCOc1cc(C(=O)O[C@H](C)CC)c([N+](=O)[O-])cc1OC ZINC000121652221 617860716 /nfs/dbraw/zinc/86/07/16/617860716.db2.gz LLMKKQOFNWYMAN-SECBINFHSA-N 0 0 297.307 2.957 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN(Cc1ccoc1)C1CC1 ZINC000934005109 617861554 /nfs/dbraw/zinc/86/15/54/617861554.db2.gz VIRGSPYPLYYTOH-UHFFFAOYSA-N 0 0 273.292 2.747 20 5 CFBDRN COC(C)(C)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000234566926 617879880 /nfs/dbraw/zinc/87/98/80/617879880.db2.gz JWNRQEFJCQDIMF-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804816552 617896354 /nfs/dbraw/zinc/89/63/54/617896354.db2.gz CCBJZXFSAOXHMQ-UWVGGRQHSA-N 0 0 295.295 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCC3)CC2)c2nonc21 ZINC000804818016 617899190 /nfs/dbraw/zinc/89/91/90/617899190.db2.gz KDCSZHWEULOFIC-UHFFFAOYSA-N 0 0 288.307 2.902 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCOC[C@H]2C)c1 ZINC000804819149 617900232 /nfs/dbraw/zinc/90/02/32/617900232.db2.gz VSSUJFJXRYGBNO-NXEZZACHSA-N 0 0 298.314 2.827 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000934104250 617901793 /nfs/dbraw/zinc/90/17/93/617901793.db2.gz ZQUAHHWYSNEHMR-YIKOMLBNSA-N 0 0 288.347 2.955 20 5 CFBDRN O=C(Nc1ccccc1O)c1cc(F)ccc1[N+](=O)[O-] ZINC000121263612 617903584 /nfs/dbraw/zinc/90/35/84/617903584.db2.gz RAEOVLJVVYSCBN-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000812833882 617904222 /nfs/dbraw/zinc/90/42/22/617904222.db2.gz BKWQEPZREYOGLF-DTWKUNHWSA-N 0 0 284.287 2.591 20 5 CFBDRN CCON(C(=O)c1c(C)cccc1[N+](=O)[O-])C(C)C ZINC000844073172 617904441 /nfs/dbraw/zinc/90/44/41/617904441.db2.gz BJEZDGKIIHZMDC-UHFFFAOYSA-N 0 0 266.297 2.705 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(O)(c3ccccc3)C2)c1 ZINC000804836828 617920470 /nfs/dbraw/zinc/92/04/70/617920470.db2.gz JSXJOHYFGUYKBO-UHFFFAOYSA-N 0 0 284.315 2.611 20 5 CFBDRN C[C@@H](CO)CSCc1cc(F)ccc1[N+](=O)[O-] ZINC000097460274 617920659 /nfs/dbraw/zinc/92/06/59/617920659.db2.gz OATFHPVLGFABLG-QMMMGPOBSA-N 0 0 259.302 2.596 20 5 CFBDRN COC1(CNC(=O)c2ccc([N+](=O)[O-])o2)CCCCCC1 ZINC000890842495 617923246 /nfs/dbraw/zinc/92/32/46/617923246.db2.gz VXPJAEFJJFIGMJ-UHFFFAOYSA-N 0 0 296.323 2.657 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(Cl)cc1Cl)[N+](=O)[O-] ZINC000813102000 617924592 /nfs/dbraw/zinc/92/45/92/617924592.db2.gz MNXHFKJVYOEOMK-LURJTMIESA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@H](COC(=O)c1cccc(Cl)c1Cl)[N+](=O)[O-] ZINC000813104433 617925191 /nfs/dbraw/zinc/92/51/91/617925191.db2.gz COMOGJFKKOBKKM-ZCFIWIBFSA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@H](COC(=O)c1ccc(C(C)(C)C)s1)[N+](=O)[O-] ZINC000813103855 617925240 /nfs/dbraw/zinc/92/52/40/617925240.db2.gz HFKHMXQOBDAHBV-MRVPVSSYSA-N 0 0 271.338 2.868 20 5 CFBDRN C/C(=C\C(=O)OC[C@H](C)[N+](=O)[O-])c1cccc(Cl)c1 ZINC000813105018 617926350 /nfs/dbraw/zinc/92/63/50/617926350.db2.gz JDNHNQYSRLELHC-ZKXNXJMVSA-N 0 0 283.711 2.952 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1nccn1C1CC1)C2 ZINC000892051323 617927994 /nfs/dbraw/zinc/92/79/94/617927994.db2.gz AUXYJWUBSHDYNB-UHFFFAOYSA-N 0 0 298.346 2.685 20 5 CFBDRN CC(C)[C@@H](C(=O)OC[C@@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813112369 617941373 /nfs/dbraw/zinc/94/13/73/617941373.db2.gz GCXKYLDAAMSMJM-DGCLKSJQSA-N 0 0 265.309 2.635 20 5 CFBDRN C[C@@H](NC(=O)NC/C=C/Cl)c1cccc([N+](=O)[O-])c1 ZINC000892110825 617956546 /nfs/dbraw/zinc/95/65/46/617956546.db2.gz GOTLVXBEXYBJMY-BSPAPZMXSA-N 0 0 283.715 2.708 20 5 CFBDRN CC[S@@](=O)CCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000234100188 617993336 /nfs/dbraw/zinc/99/33/36/617993336.db2.gz ORJONTKYSJWODW-GOSISDBHSA-N 0 0 294.735 2.568 20 5 CFBDRN CCCc1ccc(NC(=O)c2c([N+](=O)[O-])cnn2C)cc1 ZINC000160340754 617997773 /nfs/dbraw/zinc/99/77/73/617997773.db2.gz YNXJYOGQUGTUDD-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)O[C@H]1CCSC1 ZINC000121129071 618014453 /nfs/dbraw/zinc/01/44/53/618014453.db2.gz RGKMVDJJFJGZBM-LBPRGKRZSA-N 0 0 281.333 2.576 20 5 CFBDRN CC1(C)CC[C@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000804852566 618021741 /nfs/dbraw/zinc/02/17/41/618021741.db2.gz BYHILIDNNXXEQG-SECBINFHSA-N 0 0 260.297 2.843 20 5 CFBDRN CC(C)(C(=O)NC/C=C/Cl)c1ccccc1[N+](=O)[O-] ZINC000891198632 618037986 /nfs/dbraw/zinc/03/79/86/618037986.db2.gz LOKOVNRCARJOQU-VMPITWQZSA-N 0 0 282.727 2.741 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NCCC1CCC1 ZINC000934470659 618045666 /nfs/dbraw/zinc/04/56/66/618045666.db2.gz PKWPKSAIJBJFFA-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN CCc1ccc(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000022928525 618050158 /nfs/dbraw/zinc/05/01/58/618050158.db2.gz QNOUAZXSPIZDPA-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN C[C@]1(C2CC2)COCCN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000934477383 618050401 /nfs/dbraw/zinc/05/04/01/618050401.db2.gz DOWOCAGZEVZNBV-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CCCCC1(C(=O)OCCn2c(C)ncc2[N+](=O)[O-])CC1 ZINC000924426783 618057499 /nfs/dbraw/zinc/05/74/99/618057499.db2.gz ZSIHDTQUGLVOHY-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN CC(C)CCCCCCNC(=O)c1c([N+](=O)[O-])cnn1C ZINC000161366509 618085146 /nfs/dbraw/zinc/08/51/46/618085146.db2.gz AHVVHDYXEIOIII-UHFFFAOYSA-N 0 0 296.371 2.665 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NC1CC=CC1 ZINC000934688789 618107795 /nfs/dbraw/zinc/10/77/95/618107795.db2.gz WXILOCNOVZWPLC-UHFFFAOYSA-N 0 0 278.283 2.501 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1C[C@H]1C1CC1 ZINC000176750167 618109975 /nfs/dbraw/zinc/10/99/75/618109975.db2.gz YMNHQIBMGDSOJA-RYUDHWBXSA-N 0 0 261.277 2.855 20 5 CFBDRN CCOCCNc1cccc(Br)c1[N+](=O)[O-] ZINC000309424090 618120135 /nfs/dbraw/zinc/12/01/35/618120135.db2.gz LPLJHZZNURRUPZ-UHFFFAOYSA-N 0 0 289.129 2.806 20 5 CFBDRN CC[C@@H](C)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000247912587 618123687 /nfs/dbraw/zinc/12/36/87/618123687.db2.gz KWYNZVIJSYESCD-MRVPVSSYSA-N 0 0 253.254 2.555 20 5 CFBDRN Cc1cc(NCC(=O)NCc2ccccc2)ccc1[N+](=O)[O-] ZINC000052070638 618125490 /nfs/dbraw/zinc/12/54/90/618125490.db2.gz RLBFWYLHIYCDQD-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000178753556 618125930 /nfs/dbraw/zinc/12/59/30/618125930.db2.gz LLZUCUPNMYKZNW-GFCCVEGCSA-N 0 0 263.293 2.727 20 5 CFBDRN O=C(Nc1cnn(C2CCC2)c1)c1ccccc1[N+](=O)[O-] ZINC000889064948 618140818 /nfs/dbraw/zinc/14/08/18/618140818.db2.gz ZAVSVEROLOIVOB-UHFFFAOYSA-N 0 0 286.291 2.769 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000161690776 618155714 /nfs/dbraw/zinc/15/57/14/618155714.db2.gz WXCYDSMIOKPUIM-RKDXNWHRSA-N 0 0 293.279 2.516 20 5 CFBDRN C/C=C\COC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000255295282 618166752 /nfs/dbraw/zinc/16/67/52/618166752.db2.gz BWKUEGYBSAQZCA-IHWYPQMZSA-N 0 0 287.275 2.513 20 5 CFBDRN CCC[N@@H+](Cc1ccc(O)c(OC)c1[N+](=O)[O-])CC1CC1 ZINC000891393992 618183796 /nfs/dbraw/zinc/18/37/96/618183796.db2.gz NENQJUORJWHATA-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN C[C@H]1CCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000891401268 618186431 /nfs/dbraw/zinc/18/64/31/618186431.db2.gz VZZHGYWJCDTWNR-VIFPVBQESA-N 0 0 265.269 2.739 20 5 CFBDRN CC[C@@H](C)NC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000891407540 618188938 /nfs/dbraw/zinc/18/89/38/618188938.db2.gz ACRKBRFDEAEDII-GHMZBOCLSA-N 0 0 279.340 2.796 20 5 CFBDRN C[C@@H](O)[C@@H]1CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000235260846 618221568 /nfs/dbraw/zinc/22/15/68/618221568.db2.gz ZGMZQCSOBHKTPF-HTQZYQBOSA-N 0 0 288.706 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H]3[C@@H](C2)C3(F)F)c1C ZINC000892417898 618234179 /nfs/dbraw/zinc/23/41/79/618234179.db2.gz NNBQQDCCOVCLFO-AOOOYVTPSA-N 0 0 296.273 2.549 20 5 CFBDRN O=[N+]([O-])c1cc(NCC2CC(F)(F)C2)cc([N+](=O)[O-])c1 ZINC000893945720 618249380 /nfs/dbraw/zinc/24/93/80/618249380.db2.gz MATWVZRKKSZHCW-UHFFFAOYSA-N 0 0 287.222 2.960 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)(C)CC1 ZINC000726968713 618250549 /nfs/dbraw/zinc/25/05/49/618250549.db2.gz ATODDEITCGLPBF-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN C[C@H](NC(=O)NC/C=C\Cl)c1ccc([N+](=O)[O-])cc1 ZINC000895067085 618252790 /nfs/dbraw/zinc/25/27/90/618252790.db2.gz OPJZJVLCRJXCOL-HKQQGCEUSA-N 0 0 283.715 2.708 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1Nc1ccc([C@H]2CCOC2)cc1 ZINC000893991771 618261031 /nfs/dbraw/zinc/26/10/31/618261031.db2.gz NXTGYNAXAKUTEM-NSHDSACASA-N 0 0 288.307 2.576 20 5 CFBDRN C/C(Cl)=C/C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000901317453 620790804 /nfs/dbraw/zinc/79/08/04/620790804.db2.gz KSDCEYOGYBVZGN-TWGQIWQCSA-N 0 0 280.711 2.935 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000219942518 618273820 /nfs/dbraw/zinc/27/38/20/618273820.db2.gz RPCFAYWQHSCINM-WPRPVWTQSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H](NC(=O)NC/C=C\Cl)c1ccccc1[N+](=O)[O-] ZINC000894015616 618274961 /nfs/dbraw/zinc/27/49/61/618274961.db2.gz RSKRWTPVFJJQKH-WQAKUFEDSA-N 0 0 283.715 2.708 20 5 CFBDRN C/C(=C\C(=O)N[C@H](C)C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000894042705 618285978 /nfs/dbraw/zinc/28/59/78/618285978.db2.gz MVCDIZJWXTVFHO-FCZSHJHJSA-N 0 0 284.262 2.768 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H](C)C(F)F ZINC000894044621 618286949 /nfs/dbraw/zinc/28/69/49/618286949.db2.gz WHGKYTLLPLPEGR-VIFPVBQESA-N 0 0 286.278 2.903 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)c1ccon1 ZINC000892452937 618287116 /nfs/dbraw/zinc/28/71/16/618287116.db2.gz UMUKZLWKJWHISP-UHFFFAOYSA-N 0 0 289.291 2.785 20 5 CFBDRN C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C(F)F ZINC000894046624 618288217 /nfs/dbraw/zinc/28/82/17/618288217.db2.gz XEAKLMZPMKUXDG-LURJTMIESA-N 0 0 292.669 2.561 20 5 CFBDRN CCc1ncc(CN2CCc3cc([N+](=O)[O-])ccc32)o1 ZINC000901351945 620792732 /nfs/dbraw/zinc/79/27/32/620792732.db2.gz HXDGRDAICFANGV-UHFFFAOYSA-N 0 0 273.292 2.708 20 5 CFBDRN Cc1ccn(Cc2ccc(Cl)c([N+](=O)[O-])c2)c(=O)c1 ZINC000061909236 618330616 /nfs/dbraw/zinc/33/06/16/618330616.db2.gz XSUVNTUKVYXBIK-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2CCSC2)c1 ZINC000103829644 618330769 /nfs/dbraw/zinc/33/07/69/618330769.db2.gz YBQQAWOBAZEQKM-QMMMGPOBSA-N 0 0 299.373 2.979 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])c(SC[C@@H](C)O)cc1C ZINC000895121926 618334921 /nfs/dbraw/zinc/33/49/21/618334921.db2.gz BNLSENYMTVREQC-SECBINFHSA-N 0 0 299.348 2.553 20 5 CFBDRN CS[C@@H](C)CC(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000179663752 618335902 /nfs/dbraw/zinc/33/59/02/618335902.db2.gz ALEVWMKJBNHHPS-JTQLQIEISA-N 0 0 283.349 2.822 20 5 CFBDRN CN(Cc1cc2ccccc2o1)Cn1nccc1[N+](=O)[O-] ZINC000177154238 618357943 /nfs/dbraw/zinc/35/79/43/618357943.db2.gz DOYKXXALCAYSED-UHFFFAOYSA-N 0 0 286.291 2.627 20 5 CFBDRN C[C@H](O)CCN(C)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235524025 618363172 /nfs/dbraw/zinc/36/31/72/618363172.db2.gz RKTQUQSHDJUEAJ-ZETCQYMHSA-N 0 0 276.695 2.594 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235549134 618370195 /nfs/dbraw/zinc/37/01/95/618370195.db2.gz OULJDUSTXJALTM-RQJHMYQMSA-N 0 0 276.695 2.959 20 5 CFBDRN CCc1ccc(C(=O)OC[C@@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000177241585 618380263 /nfs/dbraw/zinc/38/02/63/618380263.db2.gz HGEFRJAQSFLYAV-OAHLLOKOSA-N 0 0 293.319 2.883 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000177254198 618383807 /nfs/dbraw/zinc/38/38/07/618383807.db2.gz XDZAMFIPBQFIDK-CQSZACIVSA-N 0 0 279.292 2.629 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H]1CCC2(CCC2)O1 ZINC000895160801 618408270 /nfs/dbraw/zinc/40/82/70/618408270.db2.gz LYEMPYSFZANAKU-LLVKDONJSA-N 0 0 293.323 2.512 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000855932145 618421482 /nfs/dbraw/zinc/42/14/82/618421482.db2.gz AHORWXVFJAHMAM-VXGBXAGGSA-N 0 0 270.373 2.859 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@H](C)C1CCCCC1 ZINC000814036356 618436582 /nfs/dbraw/zinc/43/65/82/618436582.db2.gz WGAYHHQVQOCXFA-WDEREUQCSA-N 0 0 257.330 2.801 20 5 CFBDRN O=C(Nc1cncc(F)c1)c1cc(F)ccc1[N+](=O)[O-] ZINC000312686221 618442944 /nfs/dbraw/zinc/44/29/44/618442944.db2.gz BEMZMUAPUSRCPR-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC(C)Cc1ccc(CC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814046828 618449515 /nfs/dbraw/zinc/44/95/15/618449515.db2.gz OYUOKVOOLDUZBO-LBPRGKRZSA-N 0 0 279.336 2.636 20 5 CFBDRN CCC(=O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000727183209 618454587 /nfs/dbraw/zinc/45/45/87/618454587.db2.gz MPQFZYBTWABQHG-UHFFFAOYSA-N 0 0 293.300 2.945 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=S)NC1CCC1 ZINC000814203489 618466021 /nfs/dbraw/zinc/46/60/21/618466021.db2.gz CCDNPSSPDKXKEW-UHFFFAOYSA-N 0 0 295.364 2.832 20 5 CFBDRN CC(C)(C)COC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000726360741 618468653 /nfs/dbraw/zinc/46/86/53/618468653.db2.gz OGCLXOVKHVXFSD-UHFFFAOYSA-N 0 0 295.291 2.569 20 5 CFBDRN O=C(NC1CCC=CCC1)c1ccccc1[N+](=O)[O-] ZINC000895206695 618471246 /nfs/dbraw/zinc/47/12/46/618471246.db2.gz ZFPLWASGWTUJDA-UHFFFAOYSA-N 0 0 260.293 2.823 20 5 CFBDRN CC(C)Oc1ccc(Cn2cc([N+](=O)[O-])ccc2=O)cc1 ZINC000726430727 618474558 /nfs/dbraw/zinc/47/45/58/618474558.db2.gz VXUZCAIJQOAEFW-UHFFFAOYSA-N 0 0 288.303 2.592 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@@H]1CC(C)(C)CO1 ZINC000895217171 618480932 /nfs/dbraw/zinc/48/09/32/618480932.db2.gz FUBRJRIIMGISDL-LLVKDONJSA-N 0 0 295.339 2.616 20 5 CFBDRN COc1cc(SC[C@@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000882737513 618492014 /nfs/dbraw/zinc/49/20/14/618492014.db2.gz NEKQLWANSSSZTQ-ZCFIWIBFSA-N 0 0 277.729 2.730 20 5 CFBDRN COC1(OC)CC(Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000895252919 618498088 /nfs/dbraw/zinc/49/80/88/618498088.db2.gz WXSDOCCOOQWFGO-UHFFFAOYSA-N 0 0 272.326 2.528 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCCOC(F)F ZINC000901414132 620811600 /nfs/dbraw/zinc/81/16/00/620811600.db2.gz ZZPVVAPAKNCSFE-UHFFFAOYSA-N 0 0 264.203 2.693 20 5 CFBDRN CN(CCCF)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000895282576 618507483 /nfs/dbraw/zinc/50/74/83/618507483.db2.gz MWIUJVOQLLLLDQ-UHFFFAOYSA-N 0 0 295.314 2.601 20 5 CFBDRN CN(CCCF)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000895290421 618513133 /nfs/dbraw/zinc/51/31/33/618513133.db2.gz HXDODNWLVPQWQA-UHFFFAOYSA-N 0 0 274.679 2.680 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC2(C3CC3)CCC2)c1 ZINC000895360358 618550297 /nfs/dbraw/zinc/55/02/97/618550297.db2.gz OWEMKAYVSOVUFE-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NC[C@@]34C[C@@H]3CCCC4)nn21 ZINC000895425832 618575714 /nfs/dbraw/zinc/57/57/14/618575714.db2.gz GDQHKKAISRVVGH-IINYFYTJSA-N 0 0 287.323 2.630 20 5 CFBDRN Cc1ccc(CN2CC[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000895437580 618585546 /nfs/dbraw/zinc/58/55/46/618585546.db2.gz UQNMPPGXOZGEIG-JTQLQIEISA-N 0 0 256.252 2.743 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Oc1ccccc1 ZINC000066324530 618605900 /nfs/dbraw/zinc/60/59/00/618605900.db2.gz XOODPTVARUIUCL-UHFFFAOYSA-N 0 0 257.245 2.743 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@@H]1CCOC1 ZINC000236185868 618608935 /nfs/dbraw/zinc/60/89/35/618608935.db2.gz UUCXPWUDCRTYBY-ZCFIWIBFSA-N 0 0 260.652 2.588 20 5 CFBDRN O=C(/C=C\c1ccco1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000255887186 618612228 /nfs/dbraw/zinc/61/22/28/618612228.db2.gz PDECKRMUDXKIQY-SREVYHEPSA-N 0 0 284.271 2.790 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NCCCCCO ZINC000236487345 618625838 /nfs/dbraw/zinc/62/58/38/618625838.db2.gz JAYPAERDWZSXMF-UHFFFAOYSA-N 0 0 276.695 2.962 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935735447 618631373 /nfs/dbraw/zinc/63/13/73/618631373.db2.gz BMBJYXXVBIXXQQ-DJBIQUGXSA-N 0 0 274.320 2.708 20 5 CFBDRN CSCCCNc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000892600245 618648732 /nfs/dbraw/zinc/64/87/32/618648732.db2.gz HTMSWBQJVZGBRS-UHFFFAOYSA-N 0 0 271.298 2.668 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000310947978 618662109 /nfs/dbraw/zinc/66/21/09/618662109.db2.gz QEPHKIOLFATLMG-OIBJUYFYSA-N 0 0 268.700 2.777 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892635782 618665802 /nfs/dbraw/zinc/66/58/02/618665802.db2.gz TZNQKJXROXYPCQ-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN CC1(C(=O)Nc2nccc3c2cccc3[N+](=O)[O-])CC1 ZINC000892652063 618675024 /nfs/dbraw/zinc/67/50/24/618675024.db2.gz RVMLATCTEHOGMG-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN CC1(C)CN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 ZINC000311154342 618686522 /nfs/dbraw/zinc/68/65/22/618686522.db2.gz KCICRPVNXDTPSC-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cn1c(Cl)cnc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000311480169 618712804 /nfs/dbraw/zinc/71/28/04/618712804.db2.gz KUEVHIHCOLXZRA-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CC1(NC(=O)c2cccc([N+](=O)[O-])c2Cl)CCC1 ZINC000168009524 618714219 /nfs/dbraw/zinc/71/42/19/618714219.db2.gz VRYPLOMVFUHOSG-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN CC(C)OCCN1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000178266371 618720051 /nfs/dbraw/zinc/72/00/51/618720051.db2.gz NSOOWAZXLAPJBC-LLVKDONJSA-N 0 0 264.325 2.771 20 5 CFBDRN Cc1cnc(OCc2ccccc2[N+](=O)[O-])c([N+](=O)[O-])c1 ZINC000178281708 618730171 /nfs/dbraw/zinc/73/01/71/618730171.db2.gz FXXXPSQCSROEPB-UHFFFAOYSA-N 0 0 289.247 2.785 20 5 CFBDRN CCOCCCC(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000892746150 618738312 /nfs/dbraw/zinc/73/83/12/618738312.db2.gz FOAYUFPOQWZWLO-UHFFFAOYSA-N 0 0 296.323 2.749 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000892744252 618738790 /nfs/dbraw/zinc/73/87/90/618738790.db2.gz ABCSUBUEHQYICL-LBPRGKRZSA-N 0 0 296.348 2.828 20 5 CFBDRN CCOc1cc(NC(=O)/C=C\SC)ccc1[N+](=O)[O-] ZINC000892746877 618740062 /nfs/dbraw/zinc/74/00/62/618740062.db2.gz ORBYQDRUVLZKEH-SREVYHEPSA-N 0 0 282.321 2.809 20 5 CFBDRN CO[C@@H](C)CCOC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000892755069 618741509 /nfs/dbraw/zinc/74/15/09/618741509.db2.gz FARMRPTUENHILD-JTQLQIEISA-N 0 0 281.308 2.793 20 5 CFBDRN COc1ccc([C@H](C)Nc2c([N+](=O)[O-])cnn2C)cc1F ZINC000892783628 618746095 /nfs/dbraw/zinc/74/60/95/618746095.db2.gz BTQGFAWIOBIZMD-QMMMGPOBSA-N 0 0 294.286 2.649 20 5 CFBDRN Cc1c(OS(=O)(=O)CC2CCCC2)cccc1[N+](=O)[O-] ZINC000178306348 618747708 /nfs/dbraw/zinc/74/77/08/618747708.db2.gz JLTQITLHESDDTH-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@@H]2CCCSC2)cc([N+](=O)[O-])c1 ZINC000892813980 618750671 /nfs/dbraw/zinc/75/06/71/618750671.db2.gz UUSCHEGAWVDXFJ-MRVPVSSYSA-N 0 0 283.309 2.811 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H]2C(F)(F)F)cc1F ZINC000852059220 618757396 /nfs/dbraw/zinc/75/73/96/618757396.db2.gz YBOILSMCGPWPHG-JTQLQIEISA-N 0 0 278.205 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H]2C(F)(F)F)cc1F ZINC000852059221 618757546 /nfs/dbraw/zinc/75/75/46/618757546.db2.gz YBOILSMCGPWPHG-SNVBAGLBSA-N 0 0 278.205 2.871 20 5 CFBDRN CCOCCC(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892859802 618758747 /nfs/dbraw/zinc/75/87/47/618758747.db2.gz ZXCGEJWRIJMXON-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN O=C(c1ccncc1)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892860408 618758999 /nfs/dbraw/zinc/75/89/99/618758999.db2.gz KXWWBTZVYHSTMM-UHFFFAOYSA-N 0 0 297.314 2.973 20 5 CFBDRN CC1(C)CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCS1 ZINC000892861949 618759064 /nfs/dbraw/zinc/75/90/64/618759064.db2.gz STVLZMORPPGNOJ-UHFFFAOYSA-N 0 0 297.336 2.835 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])CO1 ZINC000784273122 618760934 /nfs/dbraw/zinc/76/09/34/618760934.db2.gz LLKMWCGDDMDBCM-PSASIEDQSA-N 0 0 299.710 2.716 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@H]2CCCS2)cc([N+](=O)[O-])c1 ZINC000892886090 618763294 /nfs/dbraw/zinc/76/32/94/618763294.db2.gz MXJZBRQKALEUEU-LLVKDONJSA-N 0 0 283.309 2.811 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@]1(C)CCCS1 ZINC000892899596 618765488 /nfs/dbraw/zinc/76/54/88/618765488.db2.gz OKJXKVLOVVVIRY-LBPRGKRZSA-N 0 0 283.353 2.696 20 5 CFBDRN CC(C)n1nccc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000119526696 618804558 /nfs/dbraw/zinc/80/45/58/618804558.db2.gz QLNVNXYXHMQFSK-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN CCC[C@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCO1 ZINC000893018850 618805894 /nfs/dbraw/zinc/80/58/94/618805894.db2.gz NIVQEQSGVIMLAY-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cscc1C(F)F ZINC000893077745 618823160 /nfs/dbraw/zinc/82/31/60/618823160.db2.gz UBNHPHLXVIIIDM-YFKPBYRVSA-N 0 0 265.237 2.508 20 5 CFBDRN CC(C)(COC(=O)[C@@]1(c2ccccc2)C[C@H](F)C1)[N+](=O)[O-] ZINC000893158837 618851833 /nfs/dbraw/zinc/85/18/33/618851833.db2.gz LKWZZGGKFAANDG-NNUKFRKNSA-N 0 0 295.310 2.655 20 5 CFBDRN COC(C)(C)CCNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000893160230 618852793 /nfs/dbraw/zinc/85/27/93/618852793.db2.gz WISUJICIMKRBAO-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H](C)c1nccs1 ZINC000893163977 618855325 /nfs/dbraw/zinc/85/53/25/618855325.db2.gz YVDVQPULHRABHN-MRVPVSSYSA-N 0 0 294.336 2.671 20 5 CFBDRN Cc1cccc(C2=CCN(Cn3nccc3[N+](=O)[O-])CC2)c1 ZINC000179919044 618857068 /nfs/dbraw/zinc/85/70/68/618857068.db2.gz TWMMWWYKXICYRC-UHFFFAOYSA-N 0 0 298.346 2.847 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2SCCS2)n1 ZINC000853940145 618883924 /nfs/dbraw/zinc/88/39/24/618883924.db2.gz LTSKPNPVWOHDJH-UHFFFAOYSA-N 0 0 285.394 2.825 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(CO)cc2)ccc1[N+](=O)[O-] ZINC000070331817 625302314 /nfs/dbraw/zinc/30/23/14/625302314.db2.gz NEPJZBCPIWLLQO-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000893261150 618912344 /nfs/dbraw/zinc/91/23/44/618912344.db2.gz SKBWZYOABNGRBH-XYPYZODXSA-N 0 0 279.292 2.546 20 5 CFBDRN CCn1cc(CN[C@H](C)c2ccccc2[N+](=O)[O-])c(C)n1 ZINC000893280125 618929070 /nfs/dbraw/zinc/92/90/70/618929070.db2.gz VMFHPUNXKYHNBE-GFCCVEGCSA-N 0 0 288.351 2.970 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000162731037 618934955 /nfs/dbraw/zinc/93/49/55/618934955.db2.gz MENHBZSVWFDUBB-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc(C(F)F)ccc1F ZINC000857031641 618949544 /nfs/dbraw/zinc/94/95/44/618949544.db2.gz VTJQQQGPXNAXFR-ZCFIWIBFSA-N 0 0 277.198 2.585 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(F)CC2)c1C ZINC000893416615 618983348 /nfs/dbraw/zinc/98/33/48/618983348.db2.gz ZMIHTTSPCVWSLR-UHFFFAOYSA-N 0 0 280.299 2.786 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC(F)(F)c1ccccc1 ZINC000893430976 618989917 /nfs/dbraw/zinc/98/99/17/618989917.db2.gz HILUFKVEVATPCM-UHFFFAOYSA-N 0 0 282.250 2.532 20 5 CFBDRN COC[C@H](COC(=O)Cc1ccccc1[N+](=O)[O-])C(C)C ZINC000893456399 619000571 /nfs/dbraw/zinc/00/05/71/619000571.db2.gz XIMGSZMNZULTLH-CYBMUJFWSA-N 0 0 295.335 2.599 20 5 CFBDRN C[C@H]1COC(C)(C)CN1c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000893472822 619011078 /nfs/dbraw/zinc/01/10/78/619011078.db2.gz ZRAWVQQGFFLYCB-VIFPVBQESA-N 0 0 295.295 2.507 20 5 CFBDRN Cc1cccn(Cc2ccc(Cl)c([N+](=O)[O-])c2)c1=O ZINC000062986022 619075479 /nfs/dbraw/zinc/07/54/79/619075479.db2.gz LSIITONWCJVIRN-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN COCC1CC(OC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000893642280 619138356 /nfs/dbraw/zinc/13/83/56/619138356.db2.gz HWIOLJXSLTYOSZ-UHFFFAOYSA-N 0 0 293.319 2.793 20 5 CFBDRN CCCCC(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000005756017 619158616 /nfs/dbraw/zinc/15/86/16/619158616.db2.gz FMWJTOPSRAYOEA-JTQLQIEISA-N 0 0 294.307 2.655 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)CC2CC2)c1F ZINC000234275301 619186724 /nfs/dbraw/zinc/18/67/24/619186724.db2.gz LWIXKGCKWUHMGB-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN CCCOC(=O)[C@@H](C)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000932180002 619203764 /nfs/dbraw/zinc/20/37/64/619203764.db2.gz OJZXLVNRGFNMGL-GHMZBOCLSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)c1nc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000932181372 619204218 /nfs/dbraw/zinc/20/42/18/619204218.db2.gz PTWADOIGPIVZRO-SNVBAGLBSA-N 0 0 290.323 2.952 20 5 CFBDRN C[C@H]1CSC[C@H]1Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000893826401 619226454 /nfs/dbraw/zinc/22/64/54/619226454.db2.gz KBSOAXBTZBBCKQ-WRWORJQWSA-N 0 0 283.309 2.666 20 5 CFBDRN C[C@@H]1CN(c2ccc3nonc3c2[N+](=O)[O-])CCC1(F)F ZINC000893905661 619238718 /nfs/dbraw/zinc/23/87/18/619238718.db2.gz QFQPITDVRBUXLO-SSDOTTSWSA-N 0 0 298.249 2.613 20 5 CFBDRN C/C(=C\C(=O)N[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000840503481 619252012 /nfs/dbraw/zinc/25/20/12/619252012.db2.gz MXBODJPYXUGASD-FROQITRMSA-N 0 0 274.320 2.913 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCc2ccccc2)c1 ZINC000067295809 619261831 /nfs/dbraw/zinc/26/18/31/619261831.db2.gz LGHHPEYLEBLAKX-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)ccn1 ZINC000790973165 619266603 /nfs/dbraw/zinc/26/66/03/619266603.db2.gz FYJAZLHWDNHBDP-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OCCCCCF ZINC000791497864 619330500 /nfs/dbraw/zinc/33/05/00/619330500.db2.gz RCWPDVVNXKPMBA-UHFFFAOYSA-N 0 0 285.271 2.657 20 5 CFBDRN C[C@@H]1CCC[C@@H](CC(=O)OCCn2ccc([N+](=O)[O-])n2)C1 ZINC000748120050 619337587 /nfs/dbraw/zinc/33/75/87/619337587.db2.gz IMMFPKPJZMMVIN-VXGBXAGGSA-N 0 0 295.339 2.551 20 5 CFBDRN CCc1csc([C@@H](C)Nc2c([N+](=O)[O-])cnn2C)n1 ZINC000894341015 619340690 /nfs/dbraw/zinc/34/06/90/619340690.db2.gz KQZVEIJSWCZQFM-SSDOTTSWSA-N 0 0 281.341 2.520 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H](C)C1CC1 ZINC000748139204 619342699 /nfs/dbraw/zinc/34/26/99/619342699.db2.gz GHMFCUUXMFKSJX-VIFPVBQESA-N 0 0 279.292 2.693 20 5 CFBDRN Cc1cc(CN(C)Cc2cc(F)ccc2[N+](=O)[O-])no1 ZINC000048572850 619357370 /nfs/dbraw/zinc/35/73/70/619357370.db2.gz RIYZHHRLKUTIBU-UHFFFAOYSA-N 0 0 279.271 2.662 20 5 CFBDRN CN(Cc1cccc(Cl)c1)Cn1nccc1[N+](=O)[O-] ZINC000048619403 619362462 /nfs/dbraw/zinc/36/24/62/619362462.db2.gz SZQZXNNQDWJKSE-UHFFFAOYSA-N 0 0 280.715 2.534 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N(C)CC(C)(C)C ZINC000726926327 619378967 /nfs/dbraw/zinc/37/89/67/619378967.db2.gz PPBXOTOZKMGIEM-UHFFFAOYSA-N 0 0 293.367 2.779 20 5 CFBDRN CC1(C)CO[C@@H](CCNC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000894506120 619406729 /nfs/dbraw/zinc/40/67/29/619406729.db2.gz ONDLKQZYQQJTEX-ZDUSSCGKSA-N 0 0 292.335 2.530 20 5 CFBDRN CN(Cc1ncc[nH]1)Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000894585610 619424404 /nfs/dbraw/zinc/42/44/04/619424404.db2.gz HZUBWFSFYRXAPC-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2ccc([N+](=O)[O-])c(Cl)c2)[nH]1 ZINC000894773757 619460547 /nfs/dbraw/zinc/46/05/47/619460547.db2.gz KMCVNYTXSWEJPI-SSDOTTSWSA-N 0 0 295.730 2.526 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000894830931 619476212 /nfs/dbraw/zinc/47/62/12/619476212.db2.gz PEBBUZABPZPKSW-PGLGOXFNSA-N 0 0 264.329 2.511 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC(c2ccccn2)C1 ZINC000894845369 619480816 /nfs/dbraw/zinc/48/08/16/619480816.db2.gz FLDPVKLOVKDOQB-UHFFFAOYSA-N 0 0 261.306 2.655 20 5 CFBDRN CCCCOC(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-] ZINC000078757664 619493521 /nfs/dbraw/zinc/49/35/21/619493521.db2.gz LBVVUQCISBMKMD-UHFFFAOYSA-N 0 0 297.307 2.959 20 5 CFBDRN C[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)Cc2c[nH]nc21 ZINC000894896327 619503097 /nfs/dbraw/zinc/50/30/97/619503097.db2.gz URZVMHYTLSVNJQ-SSDOTTSWSA-N 0 0 294.261 2.720 20 5 CFBDRN Cc1nc2c(s1)CN(c1ncc([N+](=O)[O-])cc1C)C2 ZINC000894901720 619506867 /nfs/dbraw/zinc/50/68/67/619506867.db2.gz GLXDHRDPUKUOSI-UHFFFAOYSA-N 0 0 276.321 2.583 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000881480982 619507647 /nfs/dbraw/zinc/50/76/47/619507647.db2.gz BQLBWFIZHUCIEC-MRVPVSSYSA-N 0 0 268.700 2.791 20 5 CFBDRN Cc1nc2c(s1)CN(c1nc(C)ccc1[N+](=O)[O-])C2 ZINC000894907915 619510946 /nfs/dbraw/zinc/51/09/46/619510946.db2.gz XJMOLVIFPBKEIZ-UHFFFAOYSA-N 0 0 276.321 2.583 20 5 CFBDRN C[C@@H]1CNc2c(Nc3ccsc3[N+](=O)[O-])cnn2C1 ZINC000894948504 619515211 /nfs/dbraw/zinc/51/52/11/619515211.db2.gz NKABGKAEFJVUHJ-SSDOTTSWSA-N 0 0 279.325 2.658 20 5 CFBDRN C[C@@H]1CNc2c(Nc3c(F)cccc3[N+](=O)[O-])cnn2C1 ZINC000894951340 619517376 /nfs/dbraw/zinc/51/73/76/619517376.db2.gz MTWGKGBAMWSHQQ-MRVPVSSYSA-N 0 0 291.286 2.736 20 5 CFBDRN CCC(=O)c1ccc(N2CC(OC(C)C)C2)c([N+](=O)[O-])c1 ZINC000894972776 619519271 /nfs/dbraw/zinc/51/92/71/619519271.db2.gz ZMMDGHXYIFCIRT-UHFFFAOYSA-N 0 0 292.335 2.801 20 5 CFBDRN CC(C)(C)OC[C@@H]1CCCN1c1ncccc1[N+](=O)[O-] ZINC000895660143 619577951 /nfs/dbraw/zinc/57/79/51/619577951.db2.gz MGEFGHUKHBPCEA-NSHDSACASA-N 0 0 279.340 2.774 20 5 CFBDRN C[C@@H](CNC(=O)N[C@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000895719245 619590305 /nfs/dbraw/zinc/59/03/05/619590305.db2.gz LKOJMPXGHULESS-RYUDHWBXSA-N 0 0 289.335 2.716 20 5 CFBDRN C[C@](O)(CNc1ncc([N+](=O)[O-])s1)c1cccc(F)c1 ZINC000895743942 619594880 /nfs/dbraw/zinc/59/48/80/619594880.db2.gz KVQVFPCNOJMWJV-LBPRGKRZSA-N 0 0 297.311 2.510 20 5 CFBDRN CO[C@@]1(CNc2cc(C)sc2[N+](=O)[O-])CCSC1 ZINC000895751089 619598987 /nfs/dbraw/zinc/59/89/87/619598987.db2.gz UMWCQUJEMCHZCX-LLVKDONJSA-N 0 0 288.394 2.899 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@H](C)CC1 ZINC000895759005 619601231 /nfs/dbraw/zinc/60/12/31/619601231.db2.gz SHRDDBLFZPJLJM-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN C[C@H]1CCN(c2c3ccccc3ncc2[N+](=O)[O-])CCO1 ZINC000895762233 619601807 /nfs/dbraw/zinc/60/18/07/619601807.db2.gz QAZIIIFWPMGCRG-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cccc(N2CCO[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000895761536 619601865 /nfs/dbraw/zinc/60/18/65/619601865.db2.gz ZVTATDFWGVJVOJ-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN COc1c(O)ccc(CNCc2ccc(C)o2)c1[N+](=O)[O-] ZINC000895770323 619603347 /nfs/dbraw/zinc/60/33/47/619603347.db2.gz MDRZBOIYJVYGJK-UHFFFAOYSA-N 0 0 292.291 2.500 20 5 CFBDRN CC(C)O[C@@]1(CNc2cccc(F)c2[N+](=O)[O-])CCOC1 ZINC000895868901 619626497 /nfs/dbraw/zinc/62/64/97/619626497.db2.gz KMRBAZVWCQBUAQ-CQSZACIVSA-N 0 0 298.314 2.730 20 5 CFBDRN CC[C@H](C)NC(=S)Nc1cc([N+](=O)[O-])ccc1OC ZINC000243756474 625360433 /nfs/dbraw/zinc/36/04/33/625360433.db2.gz PZHBZIOVDHKUGT-QMMMGPOBSA-N 0 0 283.353 2.688 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@]1(C)CCCCO1 ZINC000832471588 625361051 /nfs/dbraw/zinc/36/10/51/625361051.db2.gz XKMGZYGFVQOGDQ-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN C/C=C\CNC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000896018690 619655798 /nfs/dbraw/zinc/65/57/98/619655798.db2.gz QIACUZTTYXXFDS-BYCRGOAPSA-N 0 0 277.324 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C[C@H]2C(F)(F)F)nc1 ZINC000896088557 619668057 /nfs/dbraw/zinc/66/80/57/619668057.db2.gz UWDGWBBKDQZRAA-POYBYMJQSA-N 0 0 261.203 2.600 20 5 CFBDRN Nc1ccc(NCCN2CCc3ccccc32)c([N+](=O)[O-])c1 ZINC000896102610 619671227 /nfs/dbraw/zinc/67/12/27/619671227.db2.gz CQGKKJCFPMSZQY-UHFFFAOYSA-N 0 0 298.346 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2cncc(F)c2)c1 ZINC000795526122 619678773 /nfs/dbraw/zinc/67/87/73/619678773.db2.gz CAWIEXVYLOAPTA-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC1(NC(=S)Nc2cccc([N+](=O)[O-])c2)CCC1 ZINC000840702607 619684609 /nfs/dbraw/zinc/68/46/09/619684609.db2.gz GABWPJSEPORCRF-UHFFFAOYSA-N 0 0 265.338 2.824 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCCC3(CCC3)O2)c1[N+](=O)[O-] ZINC000896169141 619685691 /nfs/dbraw/zinc/68/56/91/619685691.db2.gz AYRAXHQQIPQAOE-NSHDSACASA-N 0 0 294.355 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC3(CCOCC3)O2)s1 ZINC000896174159 619686829 /nfs/dbraw/zinc/68/68/29/619686829.db2.gz MEBWIINHPFZXPW-SNVBAGLBSA-N 0 0 298.364 2.796 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])cc2)CCCCO1 ZINC000896184190 619688550 /nfs/dbraw/zinc/68/85/50/619688550.db2.gz SZINIKVECCCJPD-CYBMUJFWSA-N 0 0 250.298 2.966 20 5 CFBDRN CCC[C@H](O)CCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000896185838 619690235 /nfs/dbraw/zinc/69/02/35/619690235.db2.gz COIWSUDKRNUUEI-LBPRGKRZSA-N 0 0 280.324 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCn3nc(C4CC4)cc3C2)s1 ZINC000896224058 619694353 /nfs/dbraw/zinc/69/43/53/619694353.db2.gz WQQOTTBKBWIVDY-UHFFFAOYSA-N 0 0 290.348 2.750 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC(CSC)CC1 ZINC000896210111 619694900 /nfs/dbraw/zinc/69/49/00/619694900.db2.gz FZSKGAPFHHQQHX-UHFFFAOYSA-N 0 0 297.380 2.578 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCS[C@H](C)C1 ZINC000896245691 619700255 /nfs/dbraw/zinc/70/02/55/619700255.db2.gz ABWUCQXRKHGVCA-SCZZXKLOSA-N 0 0 284.385 2.816 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000896246735 619700651 /nfs/dbraw/zinc/70/06/51/619700651.db2.gz GDLFLTXJQNOPQR-IUCAKERBSA-N 0 0 284.385 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(F)(C3CC3)CC2)nc1 ZINC000896237385 619702141 /nfs/dbraw/zinc/70/21/41/619702141.db2.gz PPJKZBUGHPZMMO-UHFFFAOYSA-N 0 0 265.288 2.708 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(F)(C3CC3)CC2)s1 ZINC000896240039 619702623 /nfs/dbraw/zinc/70/26/23/619702623.db2.gz UAKQGYLFUNIQHE-UHFFFAOYSA-N 0 0 271.317 2.770 20 5 CFBDRN CCOC(=O)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000896268575 619707876 /nfs/dbraw/zinc/70/78/76/619707876.db2.gz GDISREYSJLSJCY-SECBINFHSA-N 0 0 298.726 2.638 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC(O)(C2CCC2)CC1 ZINC000896319552 619717328 /nfs/dbraw/zinc/71/73/28/619717328.db2.gz VOZSEMDZOXXXIJ-UHFFFAOYSA-N 0 0 282.365 2.788 20 5 CFBDRN C[C@H]1C(=O)CCCN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000896335595 619718742 /nfs/dbraw/zinc/71/87/42/619718742.db2.gz HOMXFMUIKUXKCD-JTQLQIEISA-N 0 0 285.303 2.701 20 5 CFBDRN CCOc1cc(N2CC(=O)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000896338160 619719007 /nfs/dbraw/zinc/71/90/07/619719007.db2.gz BKOXZTHSDXXXFD-JTQLQIEISA-N 0 0 278.308 2.551 20 5 CFBDRN C[C@H]1CCC(=O)CN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000896339818 619719346 /nfs/dbraw/zinc/71/93/46/619719346.db2.gz RDANNXJRUSEWGK-QMMMGPOBSA-N 0 0 291.332 2.762 20 5 CFBDRN C[C@@H]1CCC(=O)CN1c1ccc([N+](=O)[O-])cc1Cl ZINC000896344236 619721671 /nfs/dbraw/zinc/72/16/71/619721671.db2.gz LBVIQABXAYGHAB-MRVPVSSYSA-N 0 0 268.700 2.806 20 5 CFBDRN Cc1cc(-c2noc(C3(N(C)C)CC3)n2)cc([N+](=O)[O-])c1 ZINC000896389122 619727593 /nfs/dbraw/zinc/72/75/93/619727593.db2.gz TXDJCFNDSSUPQL-UHFFFAOYSA-N 0 0 288.307 2.504 20 5 CFBDRN CCO[C@H]1CCN(c2ccc(C(=O)CC)cc2[N+](=O)[O-])C1 ZINC000896405703 619730796 /nfs/dbraw/zinc/73/07/96/619730796.db2.gz MAAYBHHQLLNIHH-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN CCO[C@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000896405892 619730820 /nfs/dbraw/zinc/73/08/20/619730820.db2.gz PVESZJHCKCMWOA-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCc3cccnc32)s1 ZINC000896412433 619731874 /nfs/dbraw/zinc/73/18/74/619731874.db2.gz WBUMGRCUBFBSRT-SECBINFHSA-N 0 0 276.321 2.936 20 5 CFBDRN CC(C)[C@H](Nc1ncc([N+](=O)[O-])s1)c1cnn(C)c1 ZINC000896425185 619734912 /nfs/dbraw/zinc/73/49/12/619734912.db2.gz BWZACWXCCUSRPS-JTQLQIEISA-N 0 0 281.341 2.594 20 5 CFBDRN Cn1nc(C2CC2)cc1CNc1sccc1[N+](=O)[O-] ZINC000896510451 619747111 /nfs/dbraw/zinc/74/71/11/619747111.db2.gz PNENHMGAUIAQLT-UHFFFAOYSA-N 0 0 278.337 2.879 20 5 CFBDRN COC[C@H](CNc1ccc([N+](=O)[O-])c(N)c1F)C(C)C ZINC000896505050 619752226 /nfs/dbraw/zinc/75/22/26/619752226.db2.gz KMCHAYVCFYWYAA-VIFPVBQESA-N 0 0 285.319 2.647 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H](C2CCOCC2)C1 ZINC000896588088 619769326 /nfs/dbraw/zinc/76/93/26/619769326.db2.gz LQOHJHCSXRGFJA-CYBMUJFWSA-N 0 0 291.351 2.633 20 5 CFBDRN O=C(OC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1Cl ZINC000070668755 625370749 /nfs/dbraw/zinc/37/07/49/625370749.db2.gz PLRPEHCGUHPUBU-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN CNc1c(C(=O)N[C@@H](C)CCSC)cccc1[N+](=O)[O-] ZINC000163199020 619787144 /nfs/dbraw/zinc/78/71/44/619787144.db2.gz MLROTQUMIWKBSU-VIFPVBQESA-N 0 0 297.380 2.508 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1cnn(CC2CCC2)c1 ZINC000896779482 619812528 /nfs/dbraw/zinc/81/25/28/619812528.db2.gz WJXQQHXOEVMBDU-UHFFFAOYSA-N 0 0 287.323 2.599 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1cnn(CC2CCC2)c1 ZINC000896783357 619814286 /nfs/dbraw/zinc/81/42/86/619814286.db2.gz MYNOJCOCMDXPJN-UHFFFAOYSA-N 0 0 287.323 2.599 20 5 CFBDRN Cc1cncnc1NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000896793104 619819818 /nfs/dbraw/zinc/81/98/18/619819818.db2.gz DNDCAJFRFLNECO-JTQLQIEISA-N 0 0 272.308 2.909 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC1C[C@H]2CC[C@@H](C1)S2 ZINC000896997147 619910585 /nfs/dbraw/zinc/91/05/85/619910585.db2.gz DNHRKXUNCCIHCG-UQPYNNQESA-N 0 0 295.364 2.837 20 5 CFBDRN C[C@H](CNC(=O)N1CCC[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897068278 619925016 /nfs/dbraw/zinc/92/50/16/619925016.db2.gz TUDHHRKMQXOIRD-NEPJUHHUSA-N 0 0 291.351 2.892 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c2ccncc21 ZINC000897077258 619928538 /nfs/dbraw/zinc/92/85/38/619928538.db2.gz BTAKHBPQLZTKPX-PDSBVLEXSA-N 0 0 297.314 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc(N(Cc2ccoc2)C2CC2)cn1 ZINC000897113910 619935628 /nfs/dbraw/zinc/93/56/28/619935628.db2.gz HTQBYASDWGHZLQ-UHFFFAOYSA-N 0 0 259.265 2.752 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1cc(C)sc1[N+](=O)[O-] ZINC000897142504 619939017 /nfs/dbraw/zinc/93/90/17/619939017.db2.gz NHCFZZMVGVQNMP-SCZZXKLOSA-N 0 0 256.327 2.578 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccc([N+](=O)[O-])cc1C ZINC000897148443 619939802 /nfs/dbraw/zinc/93/98/02/619939802.db2.gz XLVVULGLVYIVQX-STQMWFEESA-N 0 0 250.298 2.517 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000897150206 619940084 /nfs/dbraw/zinc/94/00/84/619940084.db2.gz ZGHWAQWPIBOBKW-GXTWGEPZSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000897144893 619941014 /nfs/dbraw/zinc/94/10/14/619941014.db2.gz NXHLEHZFMMJBRW-GXTWGEPZSA-N 0 0 287.319 2.757 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897181673 619945593 /nfs/dbraw/zinc/94/55/93/619945593.db2.gz IODDBNDBTIIDJC-DRCTWCGVSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1c(CC(=O)OC[C@@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000796897951 619954044 /nfs/dbraw/zinc/95/40/44/619954044.db2.gz HSPJGFAPAMPLAI-SKDRFNHKSA-N 0 0 263.293 2.645 20 5 CFBDRN Cc1cc(C(=O)NC[C@]2(C)CCCCO2)cc([N+](=O)[O-])c1 ZINC000832495524 625383967 /nfs/dbraw/zinc/38/39/67/625383967.db2.gz YUBJFTHUWSIZGJ-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC[C@@H]1CCC(F)(F)C1 ZINC000897239437 619957438 /nfs/dbraw/zinc/95/74/38/619957438.db2.gz CYEPQNWRHJDVCD-QMMMGPOBSA-N 0 0 274.271 2.566 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CCCC(C)(C)O2)c1[N+](=O)[O-] ZINC000897253553 619959892 /nfs/dbraw/zinc/95/98/92/619959892.db2.gz DDDBWEYXKFOFGF-JTQLQIEISA-N 0 0 296.371 2.650 20 5 CFBDRN Nc1ccc(NC[C@]23C[C@H]2COC32CCC2)c([N+](=O)[O-])c1 ZINC000897261012 619961323 /nfs/dbraw/zinc/96/13/23/619961323.db2.gz AIKAZYSGXPWMKK-IINYFYTJSA-N 0 0 289.335 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](Cc3nccs3)C2)cn1 ZINC000897285029 619969236 /nfs/dbraw/zinc/96/92/36/619969236.db2.gz ROQCZEAJOWYHLM-JTQLQIEISA-N 0 0 290.348 2.515 20 5 CFBDRN Cc1scc(CNC(=O)CCC(C)(C)[N+](=O)[O-])c1C ZINC000870298302 619976744 /nfs/dbraw/zinc/97/67/44/619976744.db2.gz ABQSRFLDFVYKHV-UHFFFAOYSA-N 0 0 284.381 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(Cc3ccoc3)C2)cc1 ZINC000897350457 620013031 /nfs/dbraw/zinc/01/30/31/620013031.db2.gz CRKFTUZIXGDFHP-UHFFFAOYSA-N 0 0 258.277 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(Cc2ccoc2)C1 ZINC000897350915 620013507 /nfs/dbraw/zinc/01/35/07/620013507.db2.gz PGMIMDCFOKZDIG-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN CCc1nc(COC(=O)c2ccc([N+](=O)[O-])cc2)cs1 ZINC000022740666 620020718 /nfs/dbraw/zinc/02/07/18/620020718.db2.gz KUVQNJKLWGMSOA-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN CC[C@H](N[C@H](C)c1cnn(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000925230773 620053292 /nfs/dbraw/zinc/05/32/92/620053292.db2.gz PEJNUIYVWIZGOH-MFKMUULPSA-N 0 0 289.339 2.525 20 5 CFBDRN Cc1sc(C(=O)NC[C@@]2(C)CCCCO2)cc1[N+](=O)[O-] ZINC000832509546 625391770 /nfs/dbraw/zinc/39/17/70/625391770.db2.gz WPSZLKHTVVAEAL-CYBMUJFWSA-N 0 0 298.364 2.654 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1cccc(F)c1 ZINC000006270753 620069379 /nfs/dbraw/zinc/06/93/79/620069379.db2.gz IGLYPOAGUVXIJZ-UHFFFAOYSA-N 0 0 261.208 2.953 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000928935848 620083467 /nfs/dbraw/zinc/08/34/67/620083467.db2.gz FYMYVBCRTHQOKL-VOTSOKGWSA-N 0 0 298.726 2.621 20 5 CFBDRN CCCCCCNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000007889765 620087340 /nfs/dbraw/zinc/08/73/40/620087340.db2.gz FXGYQOHSRZWTGG-UHFFFAOYSA-N 0 0 280.324 2.670 20 5 CFBDRN C[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)C1CC1 ZINC000798613504 620087679 /nfs/dbraw/zinc/08/76/79/620087679.db2.gz FJLTXINHKDGNDL-SSDOTTSWSA-N 0 0 297.694 2.773 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](C)[C@H]1C ZINC000888111183 620102044 /nfs/dbraw/zinc/10/20/44/620102044.db2.gz FHCXRCBBPPOQTO-VHSXEESVSA-N 0 0 293.323 2.866 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889577722 620125410 /nfs/dbraw/zinc/12/54/10/620125410.db2.gz AHRIRCMXIBYXDH-UBQAZKTESA-N 0 0 286.331 2.913 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2COC[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000897441979 620172499 /nfs/dbraw/zinc/17/24/99/620172499.db2.gz NRNVGZZWNVJFJR-TZMCWYRMSA-N 0 0 290.319 2.634 20 5 CFBDRN Cc1cc(N[C@@H]2COC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897445295 620173570 /nfs/dbraw/zinc/17/35/70/620173570.db2.gz FIRAHVNFMVFXQJ-QWHCGFSZSA-N 0 0 262.309 2.740 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1COC[C@@H]1C1CC1 ZINC000897446231 620175001 /nfs/dbraw/zinc/17/50/01/620175001.db2.gz SGKAFUAFLGUVRS-BXKDBHETSA-N 0 0 266.272 2.571 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000889674151 620183953 /nfs/dbraw/zinc/18/39/53/620183953.db2.gz ZYZWFWSZBKYCFQ-VIFPVBQESA-N 0 0 282.365 2.693 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCOC2CCSCC2)c1 ZINC000897511636 620199211 /nfs/dbraw/zinc/19/92/11/620199211.db2.gz OERCMKAJGVGOCJ-UHFFFAOYSA-N 0 0 297.380 2.501 20 5 CFBDRN Cc1cnc(NCCOC2CCSCC2)c([N+](=O)[O-])c1 ZINC000897513403 620200153 /nfs/dbraw/zinc/20/01/53/620200153.db2.gz BBTFZIDEJBAVTL-UHFFFAOYSA-N 0 0 297.380 2.622 20 5 CFBDRN CCSCCOC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000067623739 620213194 /nfs/dbraw/zinc/21/31/94/620213194.db2.gz ALPRONODTBOUIR-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000067739585 620219949 /nfs/dbraw/zinc/21/99/49/620219949.db2.gz BARPIHQNHPFNNZ-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cn1 ZINC000154791611 620223337 /nfs/dbraw/zinc/22/33/37/620223337.db2.gz VIOOTAQUXCYVKG-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Nc1ccc(N2CCCCCCCC2)nc1[N+](=O)[O-] ZINC000322116872 620231053 /nfs/dbraw/zinc/23/10/53/620231053.db2.gz VXIVQBVBCFEYKG-UHFFFAOYSA-N 0 0 264.329 2.733 20 5 CFBDRN COC(=O)C[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000897544318 620236497 /nfs/dbraw/zinc/23/64/97/620236497.db2.gz NMPMJKRUZWCEPP-PWSUYJOCSA-N 0 0 278.308 2.739 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000929117083 620240847 /nfs/dbraw/zinc/24/08/47/620240847.db2.gz ZPSNCNFPRUJREK-ZWKOPEQDSA-N 0 0 275.308 2.905 20 5 CFBDRN COc1ccnc([C@H](C)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000925311115 620243567 /nfs/dbraw/zinc/24/35/67/620243567.db2.gz CYQIJUFXYNYUIL-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000841016756 620247938 /nfs/dbraw/zinc/24/79/38/620247938.db2.gz YAHOMXXAYBREEC-GFCCVEGCSA-N 0 0 284.287 2.734 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCC[C@H]([C@H]2CCOC2)C1 ZINC000897569638 620249147 /nfs/dbraw/zinc/24/91/47/620249147.db2.gz SEGUQEVOYYTQJP-STQMWFEESA-N 0 0 291.351 2.633 20 5 CFBDRN C[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000786528151 620253307 /nfs/dbraw/zinc/25/33/07/620253307.db2.gz YSTQAGXILMHPQT-IPWDFOCMSA-N 0 0 271.219 2.805 20 5 CFBDRN CCc1ccc2c(c1)CCN2c1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000897612997 620259142 /nfs/dbraw/zinc/25/91/42/620259142.db2.gz DDBOUFIGIGAFAK-UHFFFAOYSA-N 0 0 285.303 2.952 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(C3CC3)C2)c1C ZINC000897807694 620288158 /nfs/dbraw/zinc/28/81/58/620288158.db2.gz CWYRVQATNBERKK-UHFFFAOYSA-N 0 0 274.320 2.694 20 5 CFBDRN CCC1CN(C(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000897945773 620308809 /nfs/dbraw/zinc/30/88/09/620308809.db2.gz FLBBFHSQKGBUIO-UHFFFAOYSA-N 0 0 262.309 2.694 20 5 CFBDRN Cc1cccc(C(=O)N2CCC=C(Cl)C2)c1[N+](=O)[O-] ZINC000898186154 620349269 /nfs/dbraw/zinc/34/92/69/620349269.db2.gz KTYUCMYDRUFMAK-UHFFFAOYSA-N 0 0 280.711 2.872 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000923912969 620415219 /nfs/dbraw/zinc/41/52/19/620415219.db2.gz NFXJBUJUUFNQBH-IUODEOHRSA-N 0 0 289.335 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NOCC2CCC2)c1C ZINC000898986743 620513176 /nfs/dbraw/zinc/51/31/76/620513176.db2.gz HDPXFRXVAGUCSC-UHFFFAOYSA-N 0 0 278.308 2.673 20 5 CFBDRN CC(=O)c1cc(Cl)c(Cl)cc1OCC[N+](=O)[O-] ZINC000899232658 620534105 /nfs/dbraw/zinc/53/41/05/620534105.db2.gz RMJJGMRIPWCEJD-UHFFFAOYSA-N 0 0 278.091 2.852 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2F)C(=O)[C@H]1C(C)C ZINC000899351514 620550625 /nfs/dbraw/zinc/55/06/25/620550625.db2.gz MNGZXMZDYAVVMQ-LBPRGKRZSA-N 0 0 279.271 2.729 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000899419086 620562555 /nfs/dbraw/zinc/56/25/55/620562555.db2.gz WKXWRUYMZCEOKY-AAXDQBDMSA-N 0 0 274.320 2.617 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)c1 ZINC000899416829 620566923 /nfs/dbraw/zinc/56/69/23/620566923.db2.gz SIGWTYZFOIPGBC-RVMXOQNASA-N 0 0 288.347 2.925 20 5 CFBDRN C[C@H](CNC(=S)NC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000899621985 620586864 /nfs/dbraw/zinc/58/68/64/620586864.db2.gz YXMHTULZGDWUFT-SNVBAGLBSA-N 0 0 293.392 2.715 20 5 CFBDRN CC[C@H](C)NC(=S)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621345 620586890 /nfs/dbraw/zinc/58/68/90/620586890.db2.gz KEXAJXQMRDZPDH-QWRGUYRKSA-N 0 0 295.408 2.961 20 5 CFBDRN O=[N+]([O-])CCSc1nnc(-c2cccs2)n1C1CC1 ZINC000899865143 620607856 /nfs/dbraw/zinc/60/78/56/620607856.db2.gz FKTZCTZOCRHUNS-UHFFFAOYSA-N 0 0 296.377 2.710 20 5 CFBDRN Cn1c2ccc(Cl)cc2nc1SCC[N+](=O)[O-] ZINC000899870505 620608079 /nfs/dbraw/zinc/60/80/79/620608079.db2.gz IAKAVULULXCUAR-UHFFFAOYSA-N 0 0 271.729 2.596 20 5 CFBDRN CC(C)NC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000899902384 620611169 /nfs/dbraw/zinc/61/11/69/620611169.db2.gz NMWDEXKDMUDEIS-UHFFFAOYSA-N 0 0 260.652 2.526 20 5 CFBDRN C[C@H]1Cc2cc(NCc3cccnc3[N+](=O)[O-])ccc2O1 ZINC000901446181 620823125 /nfs/dbraw/zinc/82/31/25/620823125.db2.gz GIMOCNXSHUVBSY-JTQLQIEISA-N 0 0 285.303 2.925 20 5 CFBDRN COC(=O)CNc1cc([N+](=O)[O-])cc(C(F)(F)F)c1C ZINC000901472653 620833154 /nfs/dbraw/zinc/83/31/54/620833154.db2.gz XRLKYYLKGKCXTC-UHFFFAOYSA-N 0 0 292.213 2.507 20 5 CFBDRN CC(C)c1ccccc1Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000901495951 620836564 /nfs/dbraw/zinc/83/65/64/620836564.db2.gz DHTFAQZSCLYXDA-UHFFFAOYSA-N 0 0 272.304 2.928 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2CCCC3(CCC3)O2)cc1[N+](=O)[O-] ZINC000901509394 620840540 /nfs/dbraw/zinc/84/05/40/620840540.db2.gz OKYQKKIPELEYLT-GFCCVEGCSA-N 0 0 292.335 2.557 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000901532043 620848070 /nfs/dbraw/zinc/84/80/70/620848070.db2.gz VGFUKJNEMISMFP-IINYFYTJSA-N 0 0 285.303 2.683 20 5 CFBDRN CCC[N@H+](CC(=O)[O-])Cc1c(C)ccc([N+](=O)[O-])c1C ZINC000901605938 620872573 /nfs/dbraw/zinc/87/25/73/620872573.db2.gz YCTDSCFOTAMZHY-UHFFFAOYSA-N 0 0 280.324 2.508 20 5 CFBDRN CC[C@H](C)C[C@@H]([NH2+]Cc1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000901673973 620881972 /nfs/dbraw/zinc/88/19/72/620881972.db2.gz NVWXZCMXDRIZRG-GXFFZTMASA-N 0 0 294.351 2.882 20 5 CFBDRN Cc1ccc(C[NH2+]C2(CC(=O)[O-])CCCC2)cc1[N+](=O)[O-] ZINC000901687235 620894594 /nfs/dbraw/zinc/89/45/94/620894594.db2.gz NBABNLWKDAUZPT-UHFFFAOYSA-N 0 0 292.335 2.780 20 5 CFBDRN CCCCC[C@@H]([NH2+]Cc1csc([N+](=O)[O-])c1)C(=O)[O-] ZINC000901724534 620901160 /nfs/dbraw/zinc/90/11/60/620901160.db2.gz AWYCBWLMHYHVFM-SNVBAGLBSA-N 0 0 286.353 2.779 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1COc1cncnc1 ZINC000901706047 620903289 /nfs/dbraw/zinc/90/32/89/620903289.db2.gz ITJACHQOSOCKFO-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN O=C([O-])CC1([NH2+]Cc2ccc([N+](=O)[O-])cc2Cl)CCC1 ZINC000901708624 620903549 /nfs/dbraw/zinc/90/35/49/620903549.db2.gz XFMPNCRPAHUQHX-UHFFFAOYSA-N 0 0 298.726 2.735 20 5 CFBDRN O=C([O-])C1(C[NH2+]Cc2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000901785659 620915240 /nfs/dbraw/zinc/91/52/40/620915240.db2.gz KRAXXNCYLFEPKG-UHFFFAOYSA-N 0 0 292.335 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NC[C@H]2C[C@@]23CCOC3)c1 ZINC000901969785 620947470 /nfs/dbraw/zinc/94/74/70/620947470.db2.gz OKMBFEMMRNYYSF-NOZJJQNGSA-N 0 0 266.272 2.572 20 5 CFBDRN CSc1cc(F)ccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000902092348 620977008 /nfs/dbraw/zinc/97/70/08/620977008.db2.gz VFQDTYQHBHPZOC-UHFFFAOYSA-N 0 0 296.327 2.801 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@@H]1C[C@]12CCOC2 ZINC000902122133 620982802 /nfs/dbraw/zinc/98/28/02/620982802.db2.gz CHYIFOJMCLVOEC-ZANVPECISA-N 0 0 267.256 2.539 20 5 CFBDRN CC(=O)NCCCCCn1ccc2c1cccc2[N+](=O)[O-] ZINC000902259450 621007979 /nfs/dbraw/zinc/00/79/79/621007979.db2.gz QRJMTKNPXOYSDX-UHFFFAOYSA-N 0 0 289.335 2.856 20 5 CFBDRN Cn1cncc1CCCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000902294358 621017282 /nfs/dbraw/zinc/01/72/82/621017282.db2.gz XWTADAIYRCAKRV-UHFFFAOYSA-N 0 0 295.726 2.993 20 5 CFBDRN COCC[C@@H](C)SCc1cccnc1[N+](=O)[O-] ZINC000902341429 621032546 /nfs/dbraw/zinc/03/25/46/621032546.db2.gz WSONXJUIEIBSET-SECBINFHSA-N 0 0 256.327 2.648 20 5 CFBDRN Cc1nnc(CSCc2cccnc2[N+](=O)[O-])s1 ZINC000902353801 621049598 /nfs/dbraw/zinc/04/95/98/621049598.db2.gz CJJHNDMFBRXSAC-UHFFFAOYSA-N 0 0 282.350 2.583 20 5 CFBDRN Cc1cnc(CSCc2cn(C)nc2[N+](=O)[O-])s1 ZINC000902354438 621049956 /nfs/dbraw/zinc/04/99/56/621049956.db2.gz JUHZUDQKVILZJW-UHFFFAOYSA-N 0 0 284.366 2.527 20 5 CFBDRN Cc1ccc([C@H](C)[NH2+]C2CC(C)(C(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000902397320 621065422 /nfs/dbraw/zinc/06/54/22/621065422.db2.gz VKFKDUKLIWYKTN-VLRKGOCCSA-N 0 0 292.335 2.807 20 5 CFBDRN Cc1nocc1CSCc1cccnc1[N+](=O)[O-] ZINC000902415630 621070187 /nfs/dbraw/zinc/07/01/87/621070187.db2.gz BLTXEOHKLQWJDR-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN CC1=NO[C@](C)(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000902486659 621111918 /nfs/dbraw/zinc/11/19/18/621111918.db2.gz AWRLHRBDHKRAOF-HZMBPMFUSA-N 0 0 292.291 2.754 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCCOC1CCC1 ZINC000902696496 621149378 /nfs/dbraw/zinc/14/93/78/621149378.db2.gz BCZXMNNNJIMOCW-UHFFFAOYSA-N 0 0 273.235 2.821 20 5 CFBDRN CCCc1nc(COc2c(F)cc(F)cc2[N+](=O)[O-])no1 ZINC000902698732 621149756 /nfs/dbraw/zinc/14/97/56/621149756.db2.gz SGPVEGPXQZEBFW-UHFFFAOYSA-N 0 0 299.233 2.788 20 5 CFBDRN Cc1c(CNc2nnn(C)c2C(F)F)cccc1[N+](=O)[O-] ZINC000902709294 621153696 /nfs/dbraw/zinc/15/36/96/621153696.db2.gz NGNVCYNJTSSMLV-UHFFFAOYSA-N 0 0 297.265 2.581 20 5 CFBDRN Cc1ccc(CNc2nnn(C)c2C(F)F)cc1[N+](=O)[O-] ZINC000902711014 621154044 /nfs/dbraw/zinc/15/40/44/621154044.db2.gz CILMDWDTEBNFPX-UHFFFAOYSA-N 0 0 297.265 2.581 20 5 CFBDRN CCOc1cc(CN2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000902996495 621223381 /nfs/dbraw/zinc/22/33/81/621223381.db2.gz SOSYRTKHSUASKG-LBPRGKRZSA-N 0 0 282.315 2.927 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCC[C@@H](F)C1 ZINC000902996802 621223617 /nfs/dbraw/zinc/22/36/17/621223617.db2.gz WYLVFBMMXWUSPN-LLVKDONJSA-N 0 0 268.288 2.537 20 5 CFBDRN COc1cc([C@@H](C)NCc2cscn2)cc([N+](=O)[O-])c1 ZINC000903088251 621247790 /nfs/dbraw/zinc/24/77/90/621247790.db2.gz YNPDBXHKKUONDI-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000903145641 621268080 /nfs/dbraw/zinc/26/80/80/621268080.db2.gz HCMSGYFJMKZZEE-SNVBAGLBSA-N 0 0 298.364 2.546 20 5 CFBDRN C[C@]1(C(=O)N2CCc3c2cccc3[N+](=O)[O-])C=CCC1 ZINC000903477393 621391225 /nfs/dbraw/zinc/39/12/25/621391225.db2.gz AKGPEMDTJFTLED-HNNXBMFYSA-N 0 0 272.304 2.840 20 5 CFBDRN O=C([O-])c1ccc([N+](=O)[O-])c(C[N@@H+]2CCCC23CCC3)c1 ZINC000903630272 621411439 /nfs/dbraw/zinc/41/14/39/621411439.db2.gz AOGUYDPXMKZSTK-UHFFFAOYSA-N 0 0 290.319 2.812 20 5 CFBDRN Cc1nc(NC(=O)C[C@@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000903817960 621443815 /nfs/dbraw/zinc/44/38/15/621443815.db2.gz QNRDDEHWIOVVED-LLVKDONJSA-N 0 0 275.308 2.983 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@H]2C)ccc1[N+](=O)[O-] ZINC000903817765 621443852 /nfs/dbraw/zinc/44/38/52/621443852.db2.gz HVLPEZPNOFLALP-NUGNTBJXSA-N 0 0 289.335 2.919 20 5 CFBDRN CC1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CC=CC1 ZINC000904005345 621505213 /nfs/dbraw/zinc/50/52/13/621505213.db2.gz ZQLBGQCVIKIDIN-UHFFFAOYSA-N 0 0 265.240 2.996 20 5 CFBDRN Cc1cc(CCC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000904187463 621538951 /nfs/dbraw/zinc/53/89/51/621538951.db2.gz JSYGLLJNQCSQFA-UHFFFAOYSA-N 0 0 289.291 2.771 20 5 CFBDRN CCC1(CC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)COC1 ZINC000904189237 621545874 /nfs/dbraw/zinc/54/58/74/621545874.db2.gz GHUHZNCINCEKGA-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CCc1noc(-c2cc3ccccc3c([N+](=O)[O-])c2N)n1 ZINC000904344604 621578644 /nfs/dbraw/zinc/57/86/44/621578644.db2.gz JESOXIWSLPKWCP-UHFFFAOYSA-N 0 0 284.275 2.943 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc(-c3ccco3)no2)c1 ZINC000904760605 621632534 /nfs/dbraw/zinc/63/25/34/621632534.db2.gz NJPQTBPMTBYDBU-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN CC(C)c1nn(C)cc1-c1cc([N+](=O)[O-])ccc1CCO ZINC000904812698 621645730 /nfs/dbraw/zinc/64/57/30/621645730.db2.gz DLTJKDPXESLCCV-UHFFFAOYSA-N 0 0 289.335 2.654 20 5 CFBDRN CC(C)NC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000905005132 621680875 /nfs/dbraw/zinc/68/08/75/621680875.db2.gz BXHHSDIXZYCYIN-UHFFFAOYSA-N 0 0 270.716 2.705 20 5 CFBDRN C[C@@H]1C[C@@H]2C[C@@H]2C[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000905026611 621688620 /nfs/dbraw/zinc/68/86/20/621688620.db2.gz SRNYYDSLFVDIQK-UZWSLXQKSA-N 0 0 289.335 2.635 20 5 CFBDRN CC[C@H](C)Oc1cc(C)ccc1CNCC[N+](=O)[O-] ZINC000905158041 621706000 /nfs/dbraw/zinc/70/60/00/621706000.db2.gz DBHFPVSKVBVWPY-LBPRGKRZSA-N 0 0 266.341 2.539 20 5 CFBDRN C[C@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000905566239 621792512 /nfs/dbraw/zinc/79/25/12/621792512.db2.gz QGMWNCCALGEKSQ-LURJTMIESA-N 0 0 275.280 2.535 20 5 CFBDRN C[C@@H](NC(=S)NC1(C)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000905640673 621815884 /nfs/dbraw/zinc/81/58/84/621815884.db2.gz CYNNKKQONAQNSE-SECBINFHSA-N 0 0 279.365 2.672 20 5 CFBDRN CCC[C@@H]([NH2+]C/C(C)=C/c1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000905786165 621871254 /nfs/dbraw/zinc/87/12/54/621871254.db2.gz HTMBPTSDYNKALH-BMGYJQCNSA-N 0 0 292.335 2.841 20 5 CFBDRN CC[C@](O)(CSc1ccc([N+](=O)[O-])cn1)C(F)(F)F ZINC000905942190 621904785 /nfs/dbraw/zinc/90/47/85/621904785.db2.gz AOTBVTHYYXXMAO-VIFPVBQESA-N 0 0 296.270 2.785 20 5 CFBDRN C/C=C\CS(=O)(=O)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000906019912 621918132 /nfs/dbraw/zinc/91/81/32/621918132.db2.gz XCFWBRCEDMHUIH-IHWYPQMZSA-N 0 0 289.740 2.739 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N(CC(F)F)CC(F)F ZINC000906160623 621936759 /nfs/dbraw/zinc/93/67/59/621936759.db2.gz ZLYDUCZSKFAHRY-UHFFFAOYSA-N 0 0 294.204 2.567 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000906165014 621941261 /nfs/dbraw/zinc/94/12/61/621941261.db2.gz YPKAFKIEQZXVMF-MWLCHTKSSA-N 0 0 296.754 2.953 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1cn(C2CCOCC2)cn1 ZINC000906171610 621943454 /nfs/dbraw/zinc/94/34/54/621943454.db2.gz BPTOZSIVNAGKCW-UHFFFAOYSA-N 0 0 291.282 2.949 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000906244691 621958775 /nfs/dbraw/zinc/95/87/75/621958775.db2.gz SRUGOALMPAHQRU-NSHDSACASA-N 0 0 294.351 2.755 20 5 CFBDRN CC(C)(C)[S@](C)(=O)=NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000906311187 621972508 /nfs/dbraw/zinc/97/25/08/621972508.db2.gz VELLYNMERUPARC-SFHVURJKSA-N 0 0 290.366 2.693 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1nccnc1C1CC1 ZINC000906417743 621987756 /nfs/dbraw/zinc/98/77/56/621987756.db2.gz HHZKPTYQIPPNLR-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C1(C2CC2)CCC1 ZINC000907151585 622093583 /nfs/dbraw/zinc/09/35/83/622093583.db2.gz VKXREPFBEYBFEF-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN C[C@H](CNC(=O)OCCCF)c1ccccc1[N+](=O)[O-] ZINC000907457560 622129984 /nfs/dbraw/zinc/12/99/84/622129984.db2.gz QYFRLRPEPHUWGU-SNVBAGLBSA-N 0 0 284.287 2.784 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000833095181 625547784 /nfs/dbraw/zinc/54/77/84/625547784.db2.gz WFDNSXILNMADAB-CYBMUJFWSA-N 0 0 294.351 2.523 20 5 CFBDRN COC/C(C)=C/COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000908694936 622261710 /nfs/dbraw/zinc/26/17/10/622261710.db2.gz ZPXAISPHHZMPRP-UXBLZVDNSA-N 0 0 279.292 2.653 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000908702627 622266183 /nfs/dbraw/zinc/26/61/83/622266183.db2.gz LMJRUJBJEFPETR-VXNVDRBHSA-N 0 0 297.694 2.630 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000908951005 622288598 /nfs/dbraw/zinc/28/85/98/622288598.db2.gz AJGPIUGPCDDLGC-UXBLZVDNSA-N 0 0 279.292 2.653 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000909071223 622302325 /nfs/dbraw/zinc/30/23/25/622302325.db2.gz NBZPQJCGWKALJB-UHFFFAOYSA-N 0 0 292.335 2.782 20 5 CFBDRN COC/C(C)=C\COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000909090950 622309807 /nfs/dbraw/zinc/30/98/07/622309807.db2.gz AEOLMTZLGLKJSE-XFFZJAGNSA-N 0 0 293.319 2.582 20 5 CFBDRN O=C(OCCCCF)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028707 622388812 /nfs/dbraw/zinc/38/88/12/622388812.db2.gz VBGNGQAFJBTRLI-CHWSQXEVSA-N 0 0 281.283 2.991 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC=CC1 ZINC000910011943 622391388 /nfs/dbraw/zinc/39/13/88/622391388.db2.gz CEUQUSGKNMEUTN-CYBMUJFWSA-N 0 0 274.320 2.562 20 5 CFBDRN CC1(CCC(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000910035468 622393372 /nfs/dbraw/zinc/39/33/72/622393372.db2.gz DOKCMRNBKBSFIZ-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN CO[C@H](C)COC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000155712388 622398040 /nfs/dbraw/zinc/39/80/40/622398040.db2.gz OYAWLOTUVOGLJX-NXEZZACHSA-N 0 0 299.348 2.654 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)OC[C@H](C)CC(C)(C)C ZINC000910206300 622415173 /nfs/dbraw/zinc/41/51/73/622415173.db2.gz WNJUAFARSLEGJR-SNVBAGLBSA-N 0 0 297.355 2.715 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475625 622522287 /nfs/dbraw/zinc/52/22/87/622522287.db2.gz HLNIDRAEHIYSKR-VHSXEESVSA-N 0 0 298.289 2.781 20 5 CFBDRN CC1(C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)CCC1 ZINC000911475579 622522324 /nfs/dbraw/zinc/52/23/24/622522324.db2.gz FKGXGVSOYUSTLK-UHFFFAOYSA-N 0 0 284.262 2.679 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)[C@@H]1C[C@H]2C[C@H]2C1 ZINC000911473072 622522396 /nfs/dbraw/zinc/52/23/96/622522396.db2.gz PWDGSMQIDYJZHH-AYMMMOKOSA-N 0 0 296.273 2.535 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NN1[C@@H](C)CCC[C@@H]1C ZINC000819644173 622542025 /nfs/dbraw/zinc/54/20/25/622542025.db2.gz CMBGTGQQCCHKEI-RYUDHWBXSA-N 0 0 291.351 2.811 20 5 CFBDRN Cc1ccc(C)c(CC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000819888121 622566916 /nfs/dbraw/zinc/56/69/16/622566916.db2.gz UNBLALNNUNZWDV-UHFFFAOYSA-N 0 0 299.330 2.975 20 5 CFBDRN Nc1ccc(NC(=O)CCc2cccs2)cc1[N+](=O)[O-] ZINC000819909594 622569241 /nfs/dbraw/zinc/56/92/41/622569241.db2.gz ALQKWOIGEWYVRY-UHFFFAOYSA-N 0 0 291.332 2.810 20 5 CFBDRN C[C@@H]1CCC[C@@H](C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000819919332 622570939 /nfs/dbraw/zinc/57/09/39/622570939.db2.gz FCODUNQJKAGKIT-NXEZZACHSA-N 0 0 277.324 2.942 20 5 CFBDRN CCn1nc(C)c(CNc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000819933935 622577013 /nfs/dbraw/zinc/57/70/13/622577013.db2.gz FCHJQXFWYDMROB-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C[C@@H](C)n1cccn1 ZINC000819947532 622580597 /nfs/dbraw/zinc/58/05/97/622580597.db2.gz GKLGBGCDVUSEES-LLVKDONJSA-N 0 0 289.291 2.656 20 5 CFBDRN O=C(/C=C/[C@@H]1CCCO1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000819963572 622585896 /nfs/dbraw/zinc/58/58/96/622585896.db2.gz FCWFEEDZVKNXOS-FUEXJSSKSA-N 0 0 295.266 2.512 20 5 CFBDRN CCn1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c1C ZINC000820135249 622616083 /nfs/dbraw/zinc/61/60/83/622616083.db2.gz CAHPYMGEVZHGBF-UHFFFAOYSA-N 0 0 299.330 2.928 20 5 CFBDRN CO[C@H](COC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000820138134 622616195 /nfs/dbraw/zinc/61/61/95/622616195.db2.gz BFBMJGGLPQORFI-GFCCVEGCSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(C(F)F)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000833908607 625581783 /nfs/dbraw/zinc/58/17/83/625581783.db2.gz LVDRQFBLAAVTCW-SNVBAGLBSA-N 0 0 284.262 2.566 20 5 CFBDRN CC(C)[C@@H](O)COc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912363606 622700202 /nfs/dbraw/zinc/70/02/02/622700202.db2.gz ARFLQUATBAVNSY-JTQLQIEISA-N 0 0 277.679 2.783 20 5 CFBDRN C=C=CCNc1ccc(/C=C/C(=O)OC)cc1[N+](=O)[O-] ZINC000820586906 622709222 /nfs/dbraw/zinc/70/92/22/622709222.db2.gz WTYFODKGNIWJMF-SOFGYWHQSA-N 0 0 274.276 2.534 20 5 CFBDRN CC1(C)SC(=O)N(Cc2ccc([N+](=O)[O-])c(F)c2)C1=O ZINC000820705266 622741271 /nfs/dbraw/zinc/74/12/71/622741271.db2.gz ASHWHXHSGGOIFO-UHFFFAOYSA-N 0 0 298.295 2.708 20 5 CFBDRN CC(C)(CCC(=O)O[C@H]1CCC(C)(C)C1)[N+](=O)[O-] ZINC000820719345 622743941 /nfs/dbraw/zinc/74/39/41/622743941.db2.gz WCWZLDKNMNAOGB-JTQLQIEISA-N 0 0 257.330 2.944 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1CCc2cccnc21 ZINC000820761399 622751465 /nfs/dbraw/zinc/75/14/65/622751465.db2.gz PLYZKFCHEZKWIF-ZDUSSCGKSA-N 0 0 298.298 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc([N+](=O)[O-])nc2)cc1 ZINC000912731596 622771382 /nfs/dbraw/zinc/77/13/82/622771382.db2.gz AFLLULBVHBRKQU-UHFFFAOYSA-N 0 0 261.193 2.690 20 5 CFBDRN COc1ccc(OC(=O)C(F)=C2CCC2)cc1[N+](=O)[O-] ZINC000820853972 622775164 /nfs/dbraw/zinc/77/51/64/622775164.db2.gz YFJZFJPQELERHW-UHFFFAOYSA-N 0 0 281.239 2.916 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000151020648 622812159 /nfs/dbraw/zinc/81/21/59/622812159.db2.gz YTTYHINUMDOBJB-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc(C(=O)OCCc2ccccc2[N+](=O)[O-])o1 ZINC000151198647 622852968 /nfs/dbraw/zinc/85/29/68/622852968.db2.gz QRHXIWASHJLNEK-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN O=C(Cc1ccsc1)OCCc1ccccc1[N+](=O)[O-] ZINC000151231278 622868300 /nfs/dbraw/zinc/86/83/00/622868300.db2.gz NOFPFBJEIPMVNP-UHFFFAOYSA-N 0 0 291.328 2.985 20 5 CFBDRN C[C@H](OC(=O)[C@H](C)n1cccn1)c1cccc([N+](=O)[O-])c1 ZINC000913330800 622877514 /nfs/dbraw/zinc/87/75/14/622877514.db2.gz DFCWXKOUUIMATN-QWRGUYRKSA-N 0 0 289.291 2.657 20 5 CFBDRN CC(C)(F)C(=O)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913384699 622891214 /nfs/dbraw/zinc/89/12/14/622891214.db2.gz CDPGSACCSNLAKB-UHFFFAOYSA-N 0 0 292.669 2.752 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Oc1ccccc1 ZINC000151441456 622911545 /nfs/dbraw/zinc/91/15/45/622911545.db2.gz BCVXAQTUWCNQFV-UHFFFAOYSA-N 0 0 257.245 2.743 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Oc1cccc(F)c1 ZINC000151461753 622912344 /nfs/dbraw/zinc/91/23/44/622912344.db2.gz JRVYWVIDMBWRND-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H](C)OC ZINC000156307362 622918397 /nfs/dbraw/zinc/91/83/97/622918397.db2.gz AIJKEXOYZLNYGG-SECBINFHSA-N 0 0 299.348 2.899 20 5 CFBDRN O=C(OCc1cc(F)ncc1F)c1cccc([N+](=O)[O-])c1 ZINC000821597037 622953036 /nfs/dbraw/zinc/95/30/36/622953036.db2.gz CCNNMYLSBRSXSX-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)O[C@@H]1CCC12CCC2 ZINC000821820761 622983680 /nfs/dbraw/zinc/98/36/80/622983680.db2.gz RNCIWNDSKJBSMM-CYBMUJFWSA-N 0 0 291.303 2.850 20 5 CFBDRN COc1cccc(C(=O)O[C@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000821833413 622987660 /nfs/dbraw/zinc/98/76/60/622987660.db2.gz OGIWWAHREUIVBE-NSHDSACASA-N 0 0 279.292 2.949 20 5 CFBDRN CCCC1(CNC(=O)c2ccc(N)c([N+](=O)[O-])c2)CCC1 ZINC000157152803 622990916 /nfs/dbraw/zinc/99/09/16/622990916.db2.gz KHKDNGRJYDEIMK-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000822080513 623030457 /nfs/dbraw/zinc/03/04/57/623030457.db2.gz UKZNZVBNKBUUER-DGCLKSJQSA-N 0 0 267.256 2.808 20 5 CFBDRN CCOc1ccc(C(=O)OC[C@@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000822080609 623030537 /nfs/dbraw/zinc/03/05/37/623030537.db2.gz VCOQENCQUMLMKH-GXTWGEPZSA-N 0 0 297.282 2.898 20 5 CFBDRN CCc1nnsc1C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000045997506 623038966 /nfs/dbraw/zinc/03/89/66/623038966.db2.gz YLSVGLNLWYQDJM-UHFFFAOYSA-N 0 0 293.304 2.536 20 5 CFBDRN C[C@]1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H]1F ZINC000822109589 623039061 /nfs/dbraw/zinc/03/90/61/623039061.db2.gz IRAIDMDRBUWDJV-ZYHUDNBSSA-N 0 0 271.219 2.639 20 5 CFBDRN CCCCC[C@H](O)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000822127139 623044153 /nfs/dbraw/zinc/04/41/53/623044153.db2.gz DZZVBXWQDRBLJP-ZDUSSCGKSA-N 0 0 280.324 2.783 20 5 CFBDRN CNc1c(C(=O)OC[C@@]2(C)C[C@H]2F)cccc1[N+](=O)[O-] ZINC000822131350 623045626 /nfs/dbraw/zinc/04/56/26/623045626.db2.gz VKPZJFBFEBIRSY-ZWNOBZJWSA-N 0 0 282.271 2.541 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822192176 623057271 /nfs/dbraw/zinc/05/72/71/623057271.db2.gz BYCUXJQZQPIBPF-QWRGUYRKSA-N 0 0 256.346 2.517 20 5 CFBDRN C[C@H]1CCc2ccccc2N1Cn1cc([N+](=O)[O-])cn1 ZINC000158095156 623095133 /nfs/dbraw/zinc/09/51/33/623095133.db2.gz HXGRYBATONRRHD-NSHDSACASA-N 0 0 272.308 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1ccc(CO)cc1 ZINC000168687710 623100621 /nfs/dbraw/zinc/10/06/21/623100621.db2.gz BDIBGCVOLXVPIH-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822432512 623102430 /nfs/dbraw/zinc/10/24/30/623102430.db2.gz IEYPFCOQTWMDLG-RYUDHWBXSA-N 0 0 270.373 2.859 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000822637728 623140878 /nfs/dbraw/zinc/14/08/78/623140878.db2.gz ZNHSQMSYZYVFBV-AVGNSLFASA-N 0 0 274.320 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC(F)(F)CO)c(C(F)F)c1 ZINC000228625676 623154992 /nfs/dbraw/zinc/15/49/92/623154992.db2.gz AGUJCSBPQWUEQA-UHFFFAOYSA-N 0 0 282.193 2.572 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1C[C@H]1c1cccc(F)c1)[N+](=O)[O-] ZINC000822818894 623181833 /nfs/dbraw/zinc/18/18/33/623181833.db2.gz JXVFYPAFGTWCCW-STQMWFEESA-N 0 0 294.326 2.633 20 5 CFBDRN COC(=O)/C(=C\c1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000170812937 623184854 /nfs/dbraw/zinc/18/48/54/623184854.db2.gz TWSFPJNDZZCLKJ-UVTDQMKNSA-N 0 0 284.271 2.703 20 5 CFBDRN C[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000171496733 623226840 /nfs/dbraw/zinc/22/68/40/623226840.db2.gz JDQPXNDSNGHKLF-LLGDCAAFSA-N 0 0 261.277 2.950 20 5 CFBDRN CC[C@@H](O)CNc1cc(Br)ccc1[N+](=O)[O-] ZINC000229995543 623237989 /nfs/dbraw/zinc/23/79/89/623237989.db2.gz DMILCKSNHOLBIL-MRVPVSSYSA-N 0 0 289.129 2.540 20 5 CFBDRN COC(C)(C)C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000171699701 623239232 /nfs/dbraw/zinc/23/92/32/623239232.db2.gz JDQBZNIXJILJJR-SECBINFHSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000230105449 623252204 /nfs/dbraw/zinc/25/22/04/623252204.db2.gz MAAMIECHAOBUGW-SDBXPKJASA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1OCC[C@]1(C)[NH2+]Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000230152256 623255636 /nfs/dbraw/zinc/25/56/36/623255636.db2.gz VKTVEQDIBHOXFR-RNCFNFMXSA-N 0 0 284.743 2.905 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)[NH2+]Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000230152246 623255925 /nfs/dbraw/zinc/25/59/25/623255925.db2.gz VKTVEQDIBHOXFR-TVQRCGJNSA-N 0 0 284.743 2.905 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000230415593 623274119 /nfs/dbraw/zinc/27/41/19/623274119.db2.gz TWDMOAXAXIEVBF-NXEZZACHSA-N 0 0 280.299 2.900 20 5 CFBDRN COC(C)(C)CCOc1cc(F)ccc1[N+](=O)[O-] ZINC000230401119 623274900 /nfs/dbraw/zinc/27/49/00/623274900.db2.gz NJBNHRHRKSDAPX-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN COC(C)(C)CCNc1ccc(F)c([N+](=O)[O-])c1 ZINC000230403248 623275073 /nfs/dbraw/zinc/27/50/73/623275073.db2.gz RMSZZEINYHSQTE-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN C[C@H]1CCC[C@H](C)C1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000172317401 623275975 /nfs/dbraw/zinc/27/59/75/623275975.db2.gz PVSPPSXBHQISMR-UWVGGRQHSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@H]1CSCCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000172588949 623289308 /nfs/dbraw/zinc/28/93/08/623289308.db2.gz FQDGHUWWXAGBHM-VIFPVBQESA-N 0 0 270.329 2.671 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OCCc1cccs1 ZINC000062823935 623298146 /nfs/dbraw/zinc/29/81/46/623298146.db2.gz IFODVMPGVXHHNL-UHFFFAOYSA-N 0 0 292.316 2.638 20 5 CFBDRN Cc1cccc(OCc2cnc(Cl)cn2)c1[N+](=O)[O-] ZINC000231290143 623337234 /nfs/dbraw/zinc/33/72/34/623337234.db2.gz WKPSKAMPYNMCOI-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000823658938 623371478 /nfs/dbraw/zinc/37/14/78/623371478.db2.gz HOOYMBFFPZVYSQ-CHWSQXEVSA-N 0 0 276.336 2.955 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)OCc2cccc([N+](=O)[O-])c2)O1 ZINC000174124751 623390153 /nfs/dbraw/zinc/39/01/53/623390153.db2.gz BMEPSSYPKAWGFO-FZMZJTMJSA-N 0 0 293.319 2.986 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCc2cccnc2)c1 ZINC000174201536 623396921 /nfs/dbraw/zinc/39/69/21/623396921.db2.gz PSDXPCMLSXUYTN-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN Cc1nnc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000232286010 623403095 /nfs/dbraw/zinc/40/30/95/623403095.db2.gz KYKJQMOUCFVBMJ-SSDOTTSWSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cnsn1 ZINC000823857462 623406606 /nfs/dbraw/zinc/40/66/06/623406606.db2.gz BOONJCRGJIZRBE-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/[C@@H]1CCCO1 ZINC000823899283 623416405 /nfs/dbraw/zinc/41/64/05/623416405.db2.gz QPTLKZZUXRIKSG-GWJCSSMESA-N 0 0 290.319 2.831 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)[C@@H](C)[C@H]1C ZINC000823927374 623420659 /nfs/dbraw/zinc/42/06/59/623420659.db2.gz VJYMCNNWOHJHGM-UTLUCORTSA-N 0 0 292.339 2.685 20 5 CFBDRN Nc1ccc(NC(=O)NCCC2(F)CCC2)cc1[N+](=O)[O-] ZINC000823938754 623421594 /nfs/dbraw/zinc/42/15/94/623421594.db2.gz NEPDCVYEWDMHKT-UHFFFAOYSA-N 0 0 296.302 2.581 20 5 CFBDRN CCN(CC1CCC1)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823945863 623423834 /nfs/dbraw/zinc/42/38/34/623423834.db2.gz YQMRKFWSOYIUSV-UHFFFAOYSA-N 0 0 292.339 2.831 20 5 CFBDRN CCC1(CNC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CCC1 ZINC000823980002 623428062 /nfs/dbraw/zinc/42/80/62/623428062.db2.gz HFVJSMUSKVGHSN-UHFFFAOYSA-N 0 0 292.339 2.879 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823980485 623428825 /nfs/dbraw/zinc/42/88/25/623428825.db2.gz NRWBICSPAVJTNE-JTQLQIEISA-N 0 0 292.339 2.687 20 5 CFBDRN C[C@@H](N(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1(C)CC1 ZINC000823981357 623429200 /nfs/dbraw/zinc/42/92/00/623429200.db2.gz WTCZROSPDRQUOP-SECBINFHSA-N 0 0 292.339 2.829 20 5 CFBDRN Nc1ccc(NC(=O)N2CCC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000823998255 623430697 /nfs/dbraw/zinc/43/06/97/623430697.db2.gz MIBCFCDVOVSUHP-GFCCVEGCSA-N 0 0 290.323 2.583 20 5 CFBDRN CCCCN(CC)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824009023 623431654 /nfs/dbraw/zinc/43/16/54/623431654.db2.gz ZOZNBPROXVOCGN-UHFFFAOYSA-N 0 0 280.328 2.831 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824009025 623431952 /nfs/dbraw/zinc/43/19/52/623431952.db2.gz ZPOVIESFHXXCQW-CABZTGNLSA-N 0 0 292.339 2.877 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c(Cl)c1C ZINC000842381288 623438610 /nfs/dbraw/zinc/43/86/10/623438610.db2.gz YXZGGRQDBJEVLD-RKDXNWHRSA-N 0 0 269.684 2.527 20 5 CFBDRN CC1(NC(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000824253464 623477017 /nfs/dbraw/zinc/47/70/17/623477017.db2.gz CPELUKQLDGURKJ-UONOGXRCSA-N 0 0 286.331 2.923 20 5 CFBDRN CS[C@@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])C(C)(C)C ZINC000828264157 623490742 /nfs/dbraw/zinc/49/07/42/623490742.db2.gz ZENWCVOWJDXDNT-JTQLQIEISA-N 0 0 290.429 2.716 20 5 CFBDRN COCC[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C(C)C ZINC000757990222 623497307 /nfs/dbraw/zinc/49/73/07/623497307.db2.gz GNYHRTXAPBVIRE-CQSZACIVSA-N 0 0 295.335 2.742 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])nc2)CC1(Cl)Cl ZINC000828798502 623497462 /nfs/dbraw/zinc/49/74/62/623497462.db2.gz LAXHSDPIQMCCJP-VIFPVBQESA-N 0 0 276.123 2.986 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000923595752 623513426 /nfs/dbraw/zinc/51/34/26/623513426.db2.gz WTGSKDDWZDOAAA-VIFPVBQESA-N 0 0 299.710 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NOCC1CCC1 ZINC000824736347 623555041 /nfs/dbraw/zinc/55/50/41/623555041.db2.gz QVTZRPSLYYBDED-UHFFFAOYSA-N 0 0 278.308 2.673 20 5 CFBDRN COc1ccc(CNC(=O)C(C)=C2CCC2)cc1[N+](=O)[O-] ZINC000825379453 623684190 /nfs/dbraw/zinc/68/41/90/623684190.db2.gz PBGPEVJPUXMEPP-UHFFFAOYSA-N 0 0 290.319 2.720 20 5 CFBDRN CO[C@H](C)COC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000760781817 623684531 /nfs/dbraw/zinc/68/45/31/623684531.db2.gz XQIHHOXSMJYKJC-MRVPVSSYSA-N 0 0 287.699 2.748 20 5 CFBDRN CCCCN(C)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929793313 623702811 /nfs/dbraw/zinc/70/28/11/623702811.db2.gz WAGOVXYCHDEIPB-ZIAGYGMSSA-N 0 0 276.336 2.957 20 5 CFBDRN CC(C)[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000324168827 623726993 /nfs/dbraw/zinc/72/69/93/623726993.db2.gz SMOVYCDABMVMPN-ZDUSSCGKSA-N 0 0 280.299 2.898 20 5 CFBDRN Cc1ccoc1CC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825640446 623728348 /nfs/dbraw/zinc/72/83/48/623728348.db2.gz FDYWYCRZQJTLFE-UHFFFAOYSA-N 0 0 288.303 2.664 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825640867 623729222 /nfs/dbraw/zinc/72/92/22/623729222.db2.gz MKRNGAMEXVOZBW-AWEZNQCLSA-N 0 0 294.351 2.581 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825640868 623729330 /nfs/dbraw/zinc/72/93/30/623729330.db2.gz MKRNGAMEXVOZBW-CQSZACIVSA-N 0 0 294.351 2.581 20 5 CFBDRN CCCCC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825654661 623731030 /nfs/dbraw/zinc/73/10/30/623731030.db2.gz AWODHJHJQASDQR-UHFFFAOYSA-N 0 0 250.298 2.710 20 5 CFBDRN O=C(NCC(F)(F)F)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152539114 623731740 /nfs/dbraw/zinc/73/17/40/623731740.db2.gz WNLUJMZGVZPTOH-UHFFFAOYSA-N 0 0 282.605 2.540 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCCCCC1CC1 ZINC000825661614 623733174 /nfs/dbraw/zinc/73/31/74/623733174.db2.gz KZTJULBVUVRSTG-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCCCC1CC1 ZINC000825680433 623739050 /nfs/dbraw/zinc/73/90/50/623739050.db2.gz JOPBDSOIDKBPPC-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)c2ccc(F)cc2F)cc1 ZINC000152614410 623744444 /nfs/dbraw/zinc/74/44/44/623744444.db2.gz UIGGJSDNOBWUAN-AWEZNQCLSA-N 0 0 295.241 2.985 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@]1(C)c1ccccc1)[N+](=O)[O-] ZINC000826086120 623791660 /nfs/dbraw/zinc/79/16/60/623791660.db2.gz NROMOJALOJFXQC-CZUORRHYSA-N 0 0 290.363 2.668 20 5 CFBDRN Cc1nc(CC(=O)Oc2cccc([N+](=O)[O-])c2)cs1 ZINC000072508384 625677976 /nfs/dbraw/zinc/67/79/76/625677976.db2.gz SCZPRBWHMXKAMG-UHFFFAOYSA-N 0 0 278.289 2.508 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N(C)CCC(C)(C)C ZINC000826602670 623821750 /nfs/dbraw/zinc/82/17/50/623821750.db2.gz LANABSCYPRBRKK-UHFFFAOYSA-N 0 0 293.367 2.827 20 5 CFBDRN CC1(CNC(=O)c2cccc([N+](=O)[O-])c2Cl)CC1 ZINC000232825828 623831944 /nfs/dbraw/zinc/83/19/44/623831944.db2.gz DGTGNFGSJHOSDL-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc([N+](=O)[O-])cn2)c(C)c1 ZINC000761841179 623833261 /nfs/dbraw/zinc/83/32/61/623833261.db2.gz FQXSAQOHJPXDOY-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@@H]2CCCS2)c1[N+](=O)[O-] ZINC000153128174 623854500 /nfs/dbraw/zinc/85/45/00/623854500.db2.gz WZLSRRZMDIOJNU-JTQLQIEISA-N 0 0 298.339 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(C[C@@H]2C)CCOCC3)n1 ZINC000826767345 623869683 /nfs/dbraw/zinc/86/96/83/623869683.db2.gz AMAJGBSAVCKDRC-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CC[C@@H]3C[C@@H]3C2)nc2ccccn21 ZINC000826804132 623881003 /nfs/dbraw/zinc/88/10/03/623881003.db2.gz ZVZDTIKGCNIIHX-GMTAPVOTSA-N 0 0 272.308 2.843 20 5 CFBDRN O=C(NOCc1ccccc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000113702109 623906626 /nfs/dbraw/zinc/90/66/26/623906626.db2.gz OJSLLJXICHUUPY-UHFFFAOYSA-N 0 0 290.250 2.596 20 5 CFBDRN Cc1c[nH]nc1NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000788029833 623916511 /nfs/dbraw/zinc/91/65/11/623916511.db2.gz QDYASODRECREPA-UHFFFAOYSA-N 0 0 295.686 2.924 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])N1C[C@@H]2C[C@@H]2C1 ZINC000827052904 623932172 /nfs/dbraw/zinc/93/21/72/623932172.db2.gz XCMRKJDYWGYMKF-AOOOYVTPSA-N 0 0 294.738 2.659 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)CCS1 ZINC000834701613 625791877 /nfs/dbraw/zinc/79/18/77/625791877.db2.gz VQENVYNVDDSSNQ-SFYZADRCSA-N 0 0 286.378 2.670 20 5 CFBDRN C[C@]12COC[C@@H]1C[N@H+](Cc1ccc([N+](=O)[O-])c(Cl)c1)C2 ZINC000827279988 623979870 /nfs/dbraw/zinc/97/98/70/623979870.db2.gz CNSVKIJWEUNTCK-FZMZJTMJSA-N 0 0 296.754 2.717 20 5 CFBDRN CC[C@H]1CCCN(c2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000915212950 623997568 /nfs/dbraw/zinc/99/75/68/623997568.db2.gz TYNJEZQETWFGSH-VIFPVBQESA-N 0 0 276.296 2.757 20 5 CFBDRN CCCN(C(=O)O[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000915225165 624000308 /nfs/dbraw/zinc/00/03/08/624000308.db2.gz GGZGLPQYYYCFRD-ZDUSSCGKSA-N 0 0 294.307 2.737 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CCCC1 ZINC000193418348 624000357 /nfs/dbraw/zinc/00/03/57/624000357.db2.gz SGQOPMJZSGHXTQ-UHFFFAOYSA-N 0 0 265.269 2.882 20 5 CFBDRN CS[C@@H](C)COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000835679462 624014967 /nfs/dbraw/zinc/01/49/67/624014967.db2.gz LRLAWOKGCMCWRC-QMMMGPOBSA-N 0 0 269.322 2.812 20 5 CFBDRN Cc1nn(C[C@H]2CC[C@@H](C(F)(F)F)O2)c(C)c1[N+](=O)[O-] ZINC000915323208 624023980 /nfs/dbraw/zinc/02/39/80/624023980.db2.gz XZTIPHHVMVHGFU-BDAKNGLRSA-N 0 0 293.245 2.518 20 5 CFBDRN O=[N+]([O-])c1cccc([C@H](O)COc2c(F)cccc2F)c1 ZINC000153587808 624033395 /nfs/dbraw/zinc/03/33/95/624033395.db2.gz IBHRTFZMDNDORN-CYBMUJFWSA-N 0 0 295.241 2.985 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1CC[C@@H]1C[C@H]1C1CC1 ZINC000915390694 624034234 /nfs/dbraw/zinc/03/42/34/624034234.db2.gz NJRWXXVEBBXVIG-RISCZKNCSA-N 0 0 299.330 2.741 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)N1CCC(F)CC1 ZINC000888278350 624052990 /nfs/dbraw/zinc/05/29/90/624052990.db2.gz QSTSWUVMLRMLGA-UHFFFAOYSA-N 0 0 285.250 2.700 20 5 CFBDRN C[C@@H](OC(=O)C[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000915561995 624078280 /nfs/dbraw/zinc/07/82/80/624078280.db2.gz SOHWWYAWYPELTM-MFKMUULPSA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@H]1CCN(C(=O)CCC(C)(C)[N+](=O)[O-])CC12CCC2 ZINC000827731460 624079795 /nfs/dbraw/zinc/07/97/95/624079795.db2.gz HSCWCPZGIIKMMA-LBPRGKRZSA-N 0 0 282.384 2.861 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H]1CC[C@@H](C2CC2)O1 ZINC000915603617 624083024 /nfs/dbraw/zinc/08/30/24/624083024.db2.gz OJPLMSVZYUVSEX-YPMHNXCESA-N 0 0 263.293 2.931 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CC[C@@H](C3CC3)O2)cc1 ZINC000915597874 624083041 /nfs/dbraw/zinc/08/30/41/624083041.db2.gz IXXBOEHJRNQVJB-KGLIPLIRSA-N 0 0 263.293 2.931 20 5 CFBDRN O=C(Oc1ccc(Cl)c([N+](=O)[O-])c1)O[C@@H]1CCOC1 ZINC000915708798 624098140 /nfs/dbraw/zinc/09/81/40/624098140.db2.gz WLIMMNNLFPQKON-MRVPVSSYSA-N 0 0 287.655 2.553 20 5 CFBDRN CC[C@@H](C)n1nc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1C ZINC000827851547 624111735 /nfs/dbraw/zinc/11/17/35/624111735.db2.gz DXUCVLQFKOYPTK-SNVBAGLBSA-N 0 0 296.371 2.937 20 5 CFBDRN Cc1ncc(COC(=O)Cc2ccc([N+](=O)[O-])cc2)s1 ZINC000194265422 624142469 /nfs/dbraw/zinc/14/24/69/624142469.db2.gz OOTSSCSVXNOLQQ-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN COC1(CCN2CCc3cc([N+](=O)[O-])ccc32)CCC1 ZINC000834517990 625699670 /nfs/dbraw/zinc/69/96/70/625699670.db2.gz BTBANBYQMMAEJQ-UHFFFAOYSA-N 0 0 276.336 2.917 20 5 CFBDRN COc1ccc(OC[C@H]2CC[C@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000915921756 624188235 /nfs/dbraw/zinc/18/82/35/624188235.db2.gz PGPSUGWQRRGKJR-TZMCWYRMSA-N 0 0 293.319 2.940 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CC[C@@H](C2CC2)O1 ZINC000915930198 624190716 /nfs/dbraw/zinc/19/07/16/624190716.db2.gz TZUDUPRMAWZOHY-HIFRSBDPSA-N 0 0 291.303 2.744 20 5 CFBDRN Cc1ccc(OC(=O)COC2CCCC2)c([N+](=O)[O-])c1 ZINC000916040418 624216919 /nfs/dbraw/zinc/21/69/19/624216919.db2.gz KFBZODTXVFPVHW-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN CCNc1ccc(C(=O)OCCCC(C)=O)cc1[N+](=O)[O-] ZINC000916116694 624222201 /nfs/dbraw/zinc/22/22/01/624222201.db2.gz CMWCYKZYKPKUFJ-UHFFFAOYSA-N 0 0 294.307 2.553 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C[C@H]1C ZINC000828398851 624238019 /nfs/dbraw/zinc/23/80/19/624238019.db2.gz GXQNPHPRILKFJF-UTUOFQBUSA-N 0 0 270.373 2.763 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H](O)c2ccccc2)cc1[N+](=O)[O-] ZINC000154113647 624270820 /nfs/dbraw/zinc/27/08/20/624270820.db2.gz PJKBWDMHTUGUSL-AWEZNQCLSA-N 0 0 286.287 2.575 20 5 CFBDRN C[C@H](COCc1ccccc1)Cn1nccc1[N+](=O)[O-] ZINC000916722633 624280662 /nfs/dbraw/zinc/28/06/62/624280662.db2.gz LZWOUCFZGDMRRF-LBPRGKRZSA-N 0 0 275.308 2.644 20 5 CFBDRN COC(=O)/C=C/c1ccc(N[C@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000828675306 624285737 /nfs/dbraw/zinc/28/57/37/624285737.db2.gz OVMISOOJOFCFMX-VMKBVTSJSA-N 0 0 290.319 2.991 20 5 CFBDRN COC(=O)/C=C\c1ccc(N[C@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000828675303 624285831 /nfs/dbraw/zinc/28/58/31/624285831.db2.gz OVMISOOJOFCFMX-NAXAJZLFSA-N 0 0 290.319 2.991 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000828750129 624294899 /nfs/dbraw/zinc/29/48/99/624294899.db2.gz NVUNUVOYGBEGEE-ZKYQVNSYSA-N 0 0 294.326 2.864 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1F)C(C)C ZINC000828745163 624295281 /nfs/dbraw/zinc/29/52/81/624295281.db2.gz UIPIFCCEKAEAFK-GFCCVEGCSA-N 0 0 283.255 2.504 20 5 CFBDRN O=[N+]([O-])c1c(NCCOC2CCCC2)nc2ccccn21 ZINC000917086217 624310606 /nfs/dbraw/zinc/31/06/06/624310606.db2.gz STBKTVRMVMQQDE-UHFFFAOYSA-N 0 0 290.323 2.614 20 5 CFBDRN CO[C@@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000828903763 624325849 /nfs/dbraw/zinc/32/58/49/624325849.db2.gz JQCMNCYKVZFAND-QPUJVOFHSA-N 0 0 286.278 2.734 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](OC)[C@H](C)C2)c1 ZINC000828903920 624326604 /nfs/dbraw/zinc/32/66/04/624326604.db2.gz KZDWZQSOWHVEAB-NOZJJQNGSA-N 0 0 298.314 2.604 20 5 CFBDRN CSCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000917262985 624327119 /nfs/dbraw/zinc/32/71/19/624327119.db2.gz IJGAVSUTBUNDOQ-UHFFFAOYSA-N 0 0 281.289 2.861 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)CC1(C)C ZINC000828912005 624328888 /nfs/dbraw/zinc/32/88/88/624328888.db2.gz SXVZGWITMUCQAB-CYBMUJFWSA-N 0 0 282.315 2.985 20 5 CFBDRN O=C(OC/C=C\Cl)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000917332038 624331324 /nfs/dbraw/zinc/33/13/24/624331324.db2.gz XIWLDGFAXKLGDP-DJWKRKHSSA-N 0 0 280.667 2.985 20 5 CFBDRN CCc1ncc(COc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000917338308 624332029 /nfs/dbraw/zinc/33/20/29/624332029.db2.gz FJAIDWRDJSIBQC-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN Cc1cccc(OCOC(=O)CC(C)C)c1[N+](=O)[O-] ZINC000917357595 624335375 /nfs/dbraw/zinc/33/53/75/624335375.db2.gz ZCPIKVREJNPHPF-UHFFFAOYSA-N 0 0 267.281 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC3CSC3)n2)cc1 ZINC000923756977 624377290 /nfs/dbraw/zinc/37/72/90/624377290.db2.gz BPOCQHPLLIZMJM-UHFFFAOYSA-N 0 0 277.305 2.550 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])c2nccn21 ZINC000829126620 624378363 /nfs/dbraw/zinc/37/83/63/624378363.db2.gz IKNPOVDGZPGRMH-NXEZZACHSA-N 0 0 298.306 2.557 20 5 CFBDRN Cc1cccc2c1CCN2C[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000154501018 624379614 /nfs/dbraw/zinc/37/96/14/624379614.db2.gz PWBQDKCYTBPDOT-KRWDZBQOSA-N 0 0 298.342 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCC3(CO)CC3)sc2c1 ZINC000829150620 624385725 /nfs/dbraw/zinc/38/57/25/624385725.db2.gz DVNARIFLUOCJPU-UHFFFAOYSA-N 0 0 293.348 2.779 20 5 CFBDRN C=C/C=C\CCNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000829186316 624394868 /nfs/dbraw/zinc/39/48/68/624394868.db2.gz ILJWYWMWJDTZKU-ARJAWSKDSA-N 0 0 292.310 2.915 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)Cc2ccccc21 ZINC000917933386 624396963 /nfs/dbraw/zinc/39/69/63/624396963.db2.gz QUHATRDJLWXHMI-JTQLQIEISA-N 0 0 285.303 2.682 20 5 CFBDRN CCS(=O)(=O)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000917952189 624398425 /nfs/dbraw/zinc/39/84/25/624398425.db2.gz LOXGWLFTQDHARS-UHFFFAOYSA-N 0 0 297.254 2.548 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1cccc(F)c1 ZINC000917967492 624400263 /nfs/dbraw/zinc/40/02/63/624400263.db2.gz KWBYQGBYLLTQQO-SECBINFHSA-N 0 0 292.266 2.990 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](CF)C1 ZINC000829232869 624404648 /nfs/dbraw/zinc/40/46/48/624404648.db2.gz UWUZWZQQVQUTFI-SECBINFHSA-N 0 0 298.339 2.748 20 5 CFBDRN CCCn1c(C)cc(C(=O)Cn2ccnc2[N+](=O)[O-])c1C ZINC000918343683 624432977 /nfs/dbraw/zinc/43/29/77/624432977.db2.gz SNXDGDKONCALPR-UHFFFAOYSA-N 0 0 290.323 2.503 20 5 CFBDRN C[C@H](COCc1ccccc1)Cn1ccnc1[N+](=O)[O-] ZINC000918396506 624434573 /nfs/dbraw/zinc/43/45/73/624434573.db2.gz JPJZBGZDUJXVNK-LBPRGKRZSA-N 0 0 275.308 2.644 20 5 CFBDRN CC/C=C\COC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000918503226 624441032 /nfs/dbraw/zinc/44/10/32/624441032.db2.gz PRHSFUYZXGKSIZ-PLNGDYQASA-N 0 0 265.265 2.726 20 5 CFBDRN O=C(OCCOC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000918516594 624446183 /nfs/dbraw/zinc/44/61/83/624446183.db2.gz BMOPPNVYJIAAOR-UHFFFAOYSA-N 0 0 279.292 2.711 20 5 CFBDRN O=C(Nc1ncccc1O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000154791811 624466223 /nfs/dbraw/zinc/46/62/23/624466223.db2.gz MBLCGZMKXDZZSD-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN CC(C)CC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000918846129 624500420 /nfs/dbraw/zinc/50/04/20/624500420.db2.gz PTPYOWPBSDBNMA-UHFFFAOYSA-N 0 0 255.245 2.823 20 5 CFBDRN O=C(CSC(F)F)OCc1csc([N+](=O)[O-])c1 ZINC000918987078 624514061 /nfs/dbraw/zinc/51/40/61/624514061.db2.gz WERKSQUUFDCGOE-UHFFFAOYSA-N 0 0 283.277 2.655 20 5 CFBDRN CCCCCOC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000919011621 624516219 /nfs/dbraw/zinc/51/62/19/624516219.db2.gz HJKPECUGLMVVNE-UHFFFAOYSA-N 0 0 252.270 2.645 20 5 CFBDRN CCC[C@H](C)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000919229511 624537143 /nfs/dbraw/zinc/53/71/43/624537143.db2.gz QMJWEUMMNZYAIV-NSHDSACASA-N 0 0 281.308 2.953 20 5 CFBDRN CCc1ccc(CCn2nc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 ZINC000829842718 624563760 /nfs/dbraw/zinc/56/37/60/624563760.db2.gz RHNYLNIMRANYEG-UHFFFAOYSA-N 0 0 290.279 2.505 20 5 CFBDRN O=C(COC(=O)c1csc([N+](=O)[O-])c1)C1CCCCC1 ZINC000919371282 624564487 /nfs/dbraw/zinc/56/44/87/624564487.db2.gz CCQMVEORGQJUFF-UHFFFAOYSA-N 0 0 297.332 2.963 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000829903008 624580494 /nfs/dbraw/zinc/58/04/94/624580494.db2.gz YHVMSJRPKAFLTC-VXGBXAGGSA-N 0 0 270.373 2.907 20 5 CFBDRN O=C(OC/C=C\Cl)c1ccc([N+](=O)[O-])cc1F ZINC000919569826 624586096 /nfs/dbraw/zinc/58/60/96/624586096.db2.gz WHEUDHYVCQLRTA-RJRFIUFISA-N 0 0 259.620 2.643 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NCC1CCCC1 ZINC000930089478 624594727 /nfs/dbraw/zinc/59/47/27/624594727.db2.gz BVHDWAWTUOHVDT-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])cn2C)s1 ZINC000919703531 624602202 /nfs/dbraw/zinc/60/22/02/624602202.db2.gz AALNUPOYTQQPDS-SECBINFHSA-N 0 0 293.348 2.794 20 5 CFBDRN CC1(C)CN(Cn2nccc2[N+](=O)[O-])[C@H]1c1ccccc1 ZINC000919948972 624643757 /nfs/dbraw/zinc/64/37/57/624643757.db2.gz MQKAMPWHGYBMJI-AWEZNQCLSA-N 0 0 286.335 2.832 20 5 CFBDRN CCCCO[C@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000920917695 624783298 /nfs/dbraw/zinc/78/32/98/624783298.db2.gz WQRRBJMYWDNRGR-SNVBAGLBSA-N 0 0 299.298 2.982 20 5 CFBDRN CCO[C@@H](CC)C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000921136218 624809242 /nfs/dbraw/zinc/80/92/42/624809242.db2.gz FNXRFOVMPRMROZ-JTQLQIEISA-N 0 0 287.699 2.969 20 5 CFBDRN CC(=O)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000830872614 624908772 /nfs/dbraw/zinc/90/87/72/624908772.db2.gz ARINWMTYQCSGTM-QMMMGPOBSA-N 0 0 298.726 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cccc3c2OCCO3)cc1 ZINC000064338429 624923830 /nfs/dbraw/zinc/92/38/30/624923830.db2.gz UWXGZGRYJCPGMG-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCC(=O)C1 ZINC000830900632 624925182 /nfs/dbraw/zinc/92/51/82/624925182.db2.gz KSPRHEBSAIUCRI-MRVPVSSYSA-N 0 0 297.694 2.661 20 5 CFBDRN CC/C(C)=C\C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000830935666 624934295 /nfs/dbraw/zinc/93/42/95/624934295.db2.gz QYNIAMXFRMTCGF-NTMALXAHSA-N 0 0 262.309 2.876 20 5 CFBDRN CSCCCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000175307058 624946923 /nfs/dbraw/zinc/94/69/23/624946923.db2.gz BYPLMEJYZKNAEC-UHFFFAOYSA-N 0 0 261.324 2.566 20 5 CFBDRN O=C(OC1CCCC1)c1cc([N+](=O)[O-])ccc1F ZINC000064671069 624955193 /nfs/dbraw/zinc/95/51/93/624955193.db2.gz ZTIJIYRUNHMHPG-UHFFFAOYSA-N 0 0 253.229 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)OCC1CC1 ZINC000064682602 624956238 /nfs/dbraw/zinc/95/62/38/624956238.db2.gz SXAQOTNNUUVJAM-JTQLQIEISA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(NCCC1CC=CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000831446190 625023580 /nfs/dbraw/zinc/02/35/80/625023580.db2.gz MLNHWNKRXNWVCW-UHFFFAOYSA-N 0 0 278.283 2.820 20 5 CFBDRN CCCCOC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000068742411 625024684 /nfs/dbraw/zinc/02/46/84/625024684.db2.gz DHOAWYQAJSARTC-UHFFFAOYSA-N 0 0 266.297 2.740 20 5 CFBDRN Cc1c(C(=O)NCCC2CC=CC2)cccc1[N+](=O)[O-] ZINC000831456825 625028436 /nfs/dbraw/zinc/02/84/36/625028436.db2.gz UAAWZDNWEFDQKG-UHFFFAOYSA-N 0 0 274.320 2.989 20 5 CFBDRN CCCONC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000831721266 625105286 /nfs/dbraw/zinc/10/52/86/625105286.db2.gz ITTKRNMMMGAECM-UHFFFAOYSA-N 0 0 267.285 2.675 20 5 CFBDRN CC/C=C/CCOC(=O)c1ccc([N+](=O)[O-])cc1N ZINC000767402892 625214920 /nfs/dbraw/zinc/21/49/20/625214920.db2.gz RATOJGIDSMADIA-ONEGZZNKSA-N 0 0 264.281 2.690 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC(F)(C2CC2)CC1 ZINC000834595909 625731988 /nfs/dbraw/zinc/73/19/88/625731988.db2.gz VVBZJAOXHHGWLL-UHFFFAOYSA-N 0 0 292.310 2.949 20 5 CFBDRN CCn1cc(CN(C)c2cccc(F)c2[N+](=O)[O-])cn1 ZINC000072948583 625747541 /nfs/dbraw/zinc/74/75/41/625747541.db2.gz OKVOHYNMAOUWBL-UHFFFAOYSA-N 0 0 278.287 2.587 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCc1cncn1C ZINC000834649917 625754894 /nfs/dbraw/zinc/75/48/94/625754894.db2.gz CAIFTOGVVLXWMA-UHFFFAOYSA-N 0 0 289.339 2.761 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000834707001 625794927 /nfs/dbraw/zinc/79/49/27/625794927.db2.gz SDDSTZGCTRNGOG-ONGXEEELSA-N 0 0 280.349 2.609 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Cc2ccccc2[N+](=O)[O-])CCS1 ZINC000834707552 625795566 /nfs/dbraw/zinc/79/55/66/625795566.db2.gz WVXXMKIFQRQREY-PWSUYJOCSA-N 0 0 294.376 2.538 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCS[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000834707821 625796590 /nfs/dbraw/zinc/79/65/90/625796590.db2.gz XZYUBWHHGDDRDG-ONGXEEELSA-N 0 0 294.376 2.917 20 5 CFBDRN CCC(CC)(OC)c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000834743424 625824007 /nfs/dbraw/zinc/82/40/07/625824007.db2.gz DPTUWVAABNTJLO-UHFFFAOYSA-N 0 0 285.344 2.719 20 5 CFBDRN CCCCCOC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000101704943 625849683 /nfs/dbraw/zinc/84/96/83/625849683.db2.gz RFBVSUQHWWEGQV-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN CCCCCCNC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000002609895 625920628 /nfs/dbraw/zinc/92/06/28/625920628.db2.gz AZCGQHDQLZHCQM-UHFFFAOYSA-N 0 0 294.351 2.979 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1C[C@H]1C(F)(F)F ZINC000838019550 625983292 /nfs/dbraw/zinc/98/32/92/625983292.db2.gz PTFWGOFXDSIKAI-RKDXNWHRSA-N 0 0 289.209 2.836 20 5 CFBDRN Cc1cc([C@H]2CCCN2Cc2onc(C)c2[N+](=O)[O-])no1 ZINC000851716064 634485762 /nfs/dbraw/zinc/48/57/62/634485762.db2.gz HUEFBMGWJIHZKT-LLVKDONJSA-N 0 0 292.295 2.525 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])c(F)c2)no1 ZINC000067015392 626224742 /nfs/dbraw/zinc/22/47/42/626224742.db2.gz OFRQGUGEZKXNGU-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN C[C@@H](NC(=O)NCC[C@H](C)F)c1ccc([N+](=O)[O-])cc1 ZINC000922619787 626327062 /nfs/dbraw/zinc/32/70/62/626327062.db2.gz WMUAZLNEMDZNGI-VHSXEESVSA-N 0 0 283.303 2.703 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000922850978 626381420 /nfs/dbraw/zinc/38/14/20/626381420.db2.gz GKVHHIFTFXQMBX-DDTOSNHZSA-N 0 0 291.351 3.000 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(O)cc2)c1 ZINC000057560418 626403307 /nfs/dbraw/zinc/40/33/07/626403307.db2.gz VFARMFOOEUEAHR-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CCc1onc(C)c1CNCc1ccc([N+](=O)[O-])cc1F ZINC000922982674 626436757 /nfs/dbraw/zinc/43/67/57/626436757.db2.gz DMPPTWLUJNTERU-UHFFFAOYSA-N 0 0 293.298 2.883 20 5 CFBDRN Cn1cc(COC(=O)[C@@H]2CCC=CCCC2)c([N+](=O)[O-])n1 ZINC000923034582 626445378 /nfs/dbraw/zinc/44/53/78/626445378.db2.gz CLINWESCZVAWOP-LLVKDONJSA-N 0 0 293.323 2.508 20 5 CFBDRN C[C@H](C(=O)OCc1csc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000923053511 626446727 /nfs/dbraw/zinc/44/67/27/626446727.db2.gz YIQRLUAXJQCLLA-WCBMZHEXSA-N 0 0 285.321 2.515 20 5 CFBDRN C[C@@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000923053428 626446793 /nfs/dbraw/zinc/44/67/93/626446793.db2.gz WZERHJINJLYDIF-NOZJJQNGSA-N 0 0 297.282 2.592 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000838086347 626485720 /nfs/dbraw/zinc/48/57/20/626485720.db2.gz HLNWAIFOVJAPOT-UIKBEFBESA-N 0 0 274.320 2.769 20 5 CFBDRN CC(=O)Nc1cc([N+](=O)[O-])c(F)cc1C(=O)OCC(C)C ZINC000838095031 626485787 /nfs/dbraw/zinc/48/57/87/626485787.db2.gz IFWKLYKPXPWRKE-UHFFFAOYSA-N 0 0 298.270 2.505 20 5 CFBDRN Cc1cc(C(=O)N(C)C2CC(C)(C)C2)c(N)c([N+](=O)[O-])c1 ZINC000838150419 626488269 /nfs/dbraw/zinc/48/82/69/626488269.db2.gz MJDNYOYDPFJDGW-UHFFFAOYSA-N 0 0 291.351 2.746 20 5 CFBDRN CCC[C@@H](CC(C)C)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000838251089 626490682 /nfs/dbraw/zinc/49/06/82/626490682.db2.gz FFKQATNLOGUQEQ-LBPRGKRZSA-N 0 0 297.355 2.797 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000838260006 626491180 /nfs/dbraw/zinc/49/11/80/626491180.db2.gz VVYMWLHJRRUGAS-SUZMYJTESA-N 0 0 292.310 2.961 20 5 CFBDRN CC/C=C\CCOC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283737 626491858 /nfs/dbraw/zinc/49/18/58/626491858.db2.gz IGGGAWBVYKMXDL-SCOBNMCVSA-N 0 0 279.292 2.528 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)OCC2(C)COC2)cc1Cl ZINC000838346893 626492998 /nfs/dbraw/zinc/49/29/98/626492998.db2.gz YEQDVIHPTZOESV-UHFFFAOYSA-N 0 0 299.710 2.750 20 5 CFBDRN O=C(COCC1CCCC1)OCc1csc([N+](=O)[O-])c1 ZINC000838328176 626493465 /nfs/dbraw/zinc/49/34/65/626493465.db2.gz PYWIUAGRLBJJPV-UHFFFAOYSA-N 0 0 299.348 2.906 20 5 CFBDRN Cc1cc(COC(=O)[C@]2(C)CCCCO2)ccc1[N+](=O)[O-] ZINC000838855907 626511097 /nfs/dbraw/zinc/51/10/97/626511097.db2.gz WFORENDTQYUTTR-HNNXBMFYSA-N 0 0 293.319 2.906 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2ccc(C)c([N+](=O)[O-])c2)C1(C)C ZINC000839105977 626525204 /nfs/dbraw/zinc/52/52/04/626525204.db2.gz YONISOUCDHYFFP-OLZOCXBDSA-N 0 0 293.319 2.874 20 5 CFBDRN COCC1(C)CN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000839145664 626526019 /nfs/dbraw/zinc/52/60/19/626526019.db2.gz PBHGAHAATJHOCP-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]3COC[C@@H]32)cc1Cl ZINC000839187398 626530251 /nfs/dbraw/zinc/53/02/51/626530251.db2.gz HFIKOYZVJAXQJK-RISCZKNCSA-N 0 0 296.754 2.859 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)C1 ZINC000839224677 626531682 /nfs/dbraw/zinc/53/16/82/626531682.db2.gz PMDIZHMAPVPCES-GXSJLCMTSA-N 0 0 296.298 2.878 20 5 CFBDRN CC(C)Oc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccn1 ZINC000839328990 626533822 /nfs/dbraw/zinc/53/38/22/626533822.db2.gz OSWXLKXPOWIIMF-UHFFFAOYSA-N 0 0 295.339 2.643 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])cc(C)c1F)C(F)F ZINC000839401556 626535410 /nfs/dbraw/zinc/53/54/10/626535410.db2.gz OJIRNBCCRQAVIS-VIFPVBQESA-N 0 0 290.241 2.816 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000839415599 626535719 /nfs/dbraw/zinc/53/57/19/626535719.db2.gz GXWLAWDFZDTIHO-IUCAKERBSA-N 0 0 298.289 2.895 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000839436080 626536469 /nfs/dbraw/zinc/53/64/69/626536469.db2.gz LNACWWDFJGFZOF-IUCAKERBSA-N 0 0 296.273 2.649 20 5 CFBDRN CO[C@@H](C)CC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000750397521 626540841 /nfs/dbraw/zinc/54/08/41/626540841.db2.gz DOLYZNLYNICLCU-UWVGGRQHSA-N 0 0 267.281 2.624 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCCc2ccc([N+](=O)[O-])cc2)O1 ZINC000757118703 626545281 /nfs/dbraw/zinc/54/52/81/626545281.db2.gz XAFPHECDSWFBID-UONOGXRCSA-N 0 0 293.319 2.638 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCc2ccccc2[N+](=O)[O-])O1 ZINC000757128304 626545596 /nfs/dbraw/zinc/54/55/96/626545596.db2.gz VVGFMZRLIIQMEV-AAEUAGOBSA-N 0 0 279.292 2.596 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C(C)=C(C)C ZINC000757344949 626548414 /nfs/dbraw/zinc/54/84/14/626548414.db2.gz ZSCJZSNJTDJXCU-UHFFFAOYSA-N 0 0 265.265 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@H](C3CCC3)C2)cc1F ZINC000839622210 626548617 /nfs/dbraw/zinc/54/86/17/626548617.db2.gz AEVHHJLQJSVIDN-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN CCSc1ccc([C@@H](C)NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000839649150 626549357 /nfs/dbraw/zinc/54/93/57/626549357.db2.gz DEYJNKUROMREIX-OASPWFOLSA-N 0 0 294.376 2.641 20 5 CFBDRN Cc1cnoc1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000757384079 626550220 /nfs/dbraw/zinc/55/02/20/626550220.db2.gz ZUIDVHLTSDXECP-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CCC[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])C1CCCCC1 ZINC000839645714 626550889 /nfs/dbraw/zinc/55/08/89/626550889.db2.gz DLMUOSSZDZUGBK-FRRDWIJNSA-N 0 0 268.357 2.517 20 5 CFBDRN CC(C)(C)[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)cc1 ZINC000839698925 626551405 /nfs/dbraw/zinc/55/14/05/626551405.db2.gz IUNMVIQPZYPHRW-JHJVBQTASA-N 0 0 294.326 2.694 20 5 CFBDRN Cc1ccccc1[C@@H](CC(C)C)NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839679460 626552113 /nfs/dbraw/zinc/55/21/13/626552113.db2.gz IZLIPWDTHIBEQF-RBSFLKMASA-N 0 0 290.363 2.864 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCC[C@H]1CCC1CCCC1 ZINC000839706820 626552522 /nfs/dbraw/zinc/55/25/22/626552522.db2.gz QAVMSCCYLMODOV-BFHYXJOUSA-N 0 0 280.368 2.613 20 5 CFBDRN O=C(Nc1cccc2ccsc21)[C@@H]1CC1[N+](=O)[O-] ZINC000839713273 626552944 /nfs/dbraw/zinc/55/29/44/626552944.db2.gz PWQABLQHUXVGIN-PSASIEDQSA-N 0 0 262.290 2.505 20 5 CFBDRN CC(F)(F)CCC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757613286 626556527 /nfs/dbraw/zinc/55/65/27/626556527.db2.gz DMLYLJCXXLEJDZ-UHFFFAOYSA-N 0 0 298.289 2.915 20 5 CFBDRN CON(C(=O)c1cccc([N+](=O)[O-])c1C)C1CCCC1 ZINC000757639950 626557093 /nfs/dbraw/zinc/55/70/93/626557093.db2.gz QKHPXNXMHUSNBH-UHFFFAOYSA-N 0 0 278.308 2.849 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@@H](C)C1 ZINC000757704860 626559500 /nfs/dbraw/zinc/55/95/00/626559500.db2.gz QDWVMEGRVGPBGU-MRVPVSSYSA-N 0 0 298.726 2.881 20 5 CFBDRN C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000757714048 626560629 /nfs/dbraw/zinc/56/06/29/626560629.db2.gz MFZBQTPTMBUTDK-NSHDSACASA-N 0 0 286.287 2.837 20 5 CFBDRN CN(Cc1ncc[nH]1)c1ccc([N+](=O)[O-])cc1OC(F)F ZINC000757919630 626568173 /nfs/dbraw/zinc/56/81/73/626568173.db2.gz DKIMYEBFZZFJHD-UHFFFAOYSA-N 0 0 298.249 2.556 20 5 CFBDRN COCC[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000757975987 626571114 /nfs/dbraw/zinc/57/11/14/626571114.db2.gz NNFWMFVNDQGWHU-CYBMUJFWSA-N 0 0 299.298 2.952 20 5 CFBDRN CC(C)CCOC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000758077427 626575926 /nfs/dbraw/zinc/57/59/26/626575926.db2.gz QQSXAERDNWNBBE-UHFFFAOYSA-N 0 0 270.260 2.519 20 5 CFBDRN CCOCOc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000758408004 626592553 /nfs/dbraw/zinc/59/25/53/626592553.db2.gz QJCZTCKQBVHPBH-UHFFFAOYSA-N 0 0 265.187 2.986 20 5 CFBDRN CC[C@@]1(C)CCN(c2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000758486434 626595383 /nfs/dbraw/zinc/59/53/83/626595383.db2.gz NRWXAFKSARGPJM-ZDUSSCGKSA-N 0 0 276.296 2.757 20 5 CFBDRN CC[C@]1(C)CCN(c2ccc([N+](=O)[O-])cc2C(=O)NC)C1 ZINC000758488061 626596001 /nfs/dbraw/zinc/59/60/01/626596001.db2.gz ZKQNGZRRJUXBPM-OAHLLOKOSA-N 0 0 291.351 2.581 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000759438109 626659005 /nfs/dbraw/zinc/65/90/05/626659005.db2.gz UXEDIGSZGXGEDU-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CCC/C(C)=C/C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000759991583 626707995 /nfs/dbraw/zinc/70/79/95/626707995.db2.gz LDIARFYZNFBDSS-ZRDIBKRKSA-N 0 0 276.336 3.000 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CCn1cccc1 ZINC000760017282 626709801 /nfs/dbraw/zinc/70/98/01/626709801.db2.gz MWQRGELIRVYEIL-UHFFFAOYSA-N 0 0 274.276 2.700 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccncc1F ZINC000760019582 626709814 /nfs/dbraw/zinc/70/98/14/626709814.db2.gz VOSKKDBASGSCHP-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CCc1nccs1 ZINC000760021846 626710248 /nfs/dbraw/zinc/71/02/48/626710248.db2.gz FLTMJKZTINHCIX-UHFFFAOYSA-N 0 0 292.316 2.898 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)c([N+](=O)[O-])cc2Cl)C[C@H]1O ZINC000760267670 626726865 /nfs/dbraw/zinc/72/68/65/626726865.db2.gz IPQDKPDEKJGGHK-NOZJJQNGSA-N 0 0 284.743 2.764 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1ccc[nH]1 ZINC000760421091 626744177 /nfs/dbraw/zinc/74/41/77/626744177.db2.gz YOSHMMOFBQPWRV-WDDYJBPVSA-N 0 0 285.303 2.814 20 5 CFBDRN O=C(OC[C@@H]1CCCOC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000760715255 626766096 /nfs/dbraw/zinc/76/60/96/626766096.db2.gz CJEMEHOUSBUKFZ-SECBINFHSA-N 0 0 299.710 2.832 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H](C)c2ccc[nH]2)c1[N+](=O)[O-] ZINC000760733134 626768406 /nfs/dbraw/zinc/76/84/06/626768406.db2.gz YALORLKPXRFJPZ-SECBINFHSA-N 0 0 291.282 2.861 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCc1ccc(F)c(F)c1 ZINC000761020638 626792340 /nfs/dbraw/zinc/79/23/40/626792340.db2.gz DZQRWDUUSPDKKS-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN Cc1c(O)cccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000761428436 626834016 /nfs/dbraw/zinc/83/40/16/626834016.db2.gz QHJIKZBRDGNSSP-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN Cc1c(O)cccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000761434066 626834922 /nfs/dbraw/zinc/83/49/22/626834922.db2.gz AMPKAZCNEGDHCX-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCC1=O ZINC000761454784 626836917 /nfs/dbraw/zinc/83/69/17/626836917.db2.gz HZUIHYKEOUGWFW-SCZZXKLOSA-N 0 0 297.332 2.705 20 5 CFBDRN O=[N+]([O-])c1cc(-c2nc(C3CCC3)no2)cc([N+](=O)[O-])c1 ZINC000761645226 626851702 /nfs/dbraw/zinc/85/17/02/626851702.db2.gz ZOKYFAJRJKNVFR-UHFFFAOYSA-N 0 0 290.235 2.821 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000761986438 626877320 /nfs/dbraw/zinc/87/73/20/626877320.db2.gz MKZNDJJCYZOUAL-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN C[C@H](COC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000761982657 626877475 /nfs/dbraw/zinc/87/74/75/626877475.db2.gz YXQGZYAVPARADY-LLVKDONJSA-N 0 0 286.287 2.950 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000762067583 626886136 /nfs/dbraw/zinc/88/61/36/626886136.db2.gz HSMBFVXTOMYCQG-SECBINFHSA-N 0 0 267.306 2.562 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762970566 626953539 /nfs/dbraw/zinc/95/35/39/626953539.db2.gz LTVHVBZVIWQBFZ-ZETCQYMHSA-N 0 0 295.320 2.802 20 5 CFBDRN CC(=O)c1c(NC[C@H](O)c2ccco2)cccc1[N+](=O)[O-] ZINC000763203778 626970223 /nfs/dbraw/zinc/97/02/23/626970223.db2.gz RFUMVSYRRSFYKN-LBPRGKRZSA-N 0 0 290.275 2.536 20 5 CFBDRN Cc1cnc(COC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000763221273 626971525 /nfs/dbraw/zinc/97/15/25/626971525.db2.gz TVJVRSBRLCDSFT-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN CCOC(=O)[C@H](C)NC/C(C)=C\c1cccc([N+](=O)[O-])c1 ZINC000763272264 626975166 /nfs/dbraw/zinc/97/51/66/626975166.db2.gz PIQJFVGWIDLWRN-KGTBHZDVSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1ccc(-c2nc([C@@]3(C)CCCO3)no2)cc1[N+](=O)[O-] ZINC000763332121 626979969 /nfs/dbraw/zinc/97/99/69/626979969.db2.gz RFERMCOWMHGNPV-CQSZACIVSA-N 0 0 289.291 2.979 20 5 CFBDRN C[C@H](C(=O)OCC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000763395027 626985264 /nfs/dbraw/zinc/98/52/64/626985264.db2.gz SGZLYDQNYAPUHX-SMDDNHRTSA-N 0 0 293.319 2.811 20 5 CFBDRN Cc1cc(COC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000763401649 626985918 /nfs/dbraw/zinc/98/59/18/626985918.db2.gz JUBREJPGRNRZHN-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SCCO ZINC000763747060 627009994 /nfs/dbraw/zinc/00/99/94/627009994.db2.gz QBIYRQNFLMOWRC-VIFPVBQESA-N 0 0 299.348 2.635 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OC/C(Cl)=C\Cl ZINC000763843246 627016866 /nfs/dbraw/zinc/01/68/66/627016866.db2.gz URPMGCOBDSLLAM-GQCTYLIASA-N 0 0 291.090 2.653 20 5 CFBDRN COC[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000764007857 627030765 /nfs/dbraw/zinc/03/07/65/627030765.db2.gz CCOZNHSOZDYMPI-BXUZGUMPSA-N 0 0 295.335 2.913 20 5 CFBDRN COCC[C@@H](C)OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764042141 627033197 /nfs/dbraw/zinc/03/31/97/627033197.db2.gz OJZGBWSKYJEUKU-MNOVXSKESA-N 0 0 281.308 2.667 20 5 CFBDRN C[C@H](C(=O)O[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000764055661 627033968 /nfs/dbraw/zinc/03/39/68/627033968.db2.gz UPSQJCGLAFUCSE-GWCFXTLKSA-N 0 0 261.277 2.960 20 5 CFBDRN CC(C)COCCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764101858 627038098 /nfs/dbraw/zinc/03/80/98/627038098.db2.gz PGPDBGMEKVZBDA-LBPRGKRZSA-N 0 0 295.335 2.914 20 5 CFBDRN CC1(CCOC(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000764229179 627046035 /nfs/dbraw/zinc/04/60/35/627046035.db2.gz URSCLINMYNOFGX-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN Cc1cc(F)cc(C(=O)O[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC000764384040 627055220 /nfs/dbraw/zinc/05/52/20/627055220.db2.gz ZOVIUYQUDCGWPZ-MRVPVSSYSA-N 0 0 267.256 2.998 20 5 CFBDRN CCC1(C(=O)OCCn2cc([N+](=O)[O-])cn2)CCCCC1 ZINC000764667835 627082538 /nfs/dbraw/zinc/08/25/38/627082538.db2.gz OZZSGPKPJQOKPP-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1C[C@@]12CCOC2 ZINC000764759685 627090876 /nfs/dbraw/zinc/09/08/76/627090876.db2.gz LTODWVQKYSYOSS-TVQRCGJNSA-N 0 0 297.694 2.580 20 5 CFBDRN Cc1cc(C(=O)Nc2cc(Cl)[nH]n2)cc([N+](=O)[O-])c1 ZINC000765018954 627113080 /nfs/dbraw/zinc/11/30/80/627113080.db2.gz RPMYNXIWAZZNNA-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCN2Cc3ccccc3C2)n1 ZINC000765490758 627141770 /nfs/dbraw/zinc/14/17/70/627141770.db2.gz WHMXGRLOVBLZED-UHFFFAOYSA-N 0 0 298.346 2.726 20 5 CFBDRN CNc1c(C(=O)OC/C=C/Cl)cccc1[N+](=O)[O-] ZINC000765517280 627144549 /nfs/dbraw/zinc/14/45/49/627144549.db2.gz WLOYAXZMXOTNSY-ZZXKWVIFSA-N 0 0 270.672 2.546 20 5 CFBDRN CNc1c(C(=O)OC/C=C\Cl)cccc1[N+](=O)[O-] ZINC000765517278 627144805 /nfs/dbraw/zinc/14/48/05/627144805.db2.gz WLOYAXZMXOTNSY-UTCJRWHESA-N 0 0 270.672 2.546 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)COC ZINC000765604837 627150115 /nfs/dbraw/zinc/15/01/15/627150115.db2.gz OUCKQNCUABDABK-DGCLKSJQSA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)COC ZINC000765604836 627150333 /nfs/dbraw/zinc/15/03/33/627150333.db2.gz OUCKQNCUABDABK-AAEUAGOBSA-N 0 0 295.335 2.742 20 5 CFBDRN COc1cc(COC(=O)c2ccco2)c([N+](=O)[O-])cc1F ZINC000766165752 627181766 /nfs/dbraw/zinc/18/17/66/627181766.db2.gz IXPDHWIVYDWICB-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000766298448 627192170 /nfs/dbraw/zinc/19/21/70/627192170.db2.gz CZQPSGFSHPCBPC-SECBINFHSA-N 0 0 285.321 2.548 20 5 CFBDRN CCc1ccc(C(=O)OC[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000766300426 627192538 /nfs/dbraw/zinc/19/25/38/627192538.db2.gz HZIXAPOQCAPMMI-NSHDSACASA-N 0 0 293.319 2.741 20 5 CFBDRN O=C(OC[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000766316643 627195196 /nfs/dbraw/zinc/19/51/96/627195196.db2.gz YYWFJNGGOGTKHP-SECBINFHSA-N 0 0 299.710 2.832 20 5 CFBDRN Cc1cccc2c1CCCN2Cn1nccc1[N+](=O)[O-] ZINC000766353676 627196944 /nfs/dbraw/zinc/19/69/44/627196944.db2.gz WRIFSKLSLHFCJC-UHFFFAOYSA-N 0 0 272.308 2.510 20 5 CFBDRN C[C@H]1CN(c2nc3ccccn3c2[N+](=O)[O-])CC[C@@H]1C ZINC000766442550 627203461 /nfs/dbraw/zinc/20/34/61/627203461.db2.gz AYTQCBIUSJZASJ-QWRGUYRKSA-N 0 0 274.324 2.725 20 5 CFBDRN CS[C@H](C)CC(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000766916349 627226816 /nfs/dbraw/zinc/22/68/16/627226816.db2.gz HUUAGVOUEVDAJB-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])C[C@H](C)O1 ZINC000767314390 627243355 /nfs/dbraw/zinc/24/33/55/627243355.db2.gz MVTXFJMMFLZCFZ-JGPRNRPPSA-N 0 0 290.323 2.610 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCCCF)c1[N+](=O)[O-] ZINC000767366658 627245537 /nfs/dbraw/zinc/24/55/37/627245537.db2.gz SRGCZABSAJVPQJ-UHFFFAOYSA-N 0 0 259.208 2.559 20 5 CFBDRN Cc1cc(OC(=O)c2cc([N+](=O)[O-])cn2C)ccc1F ZINC000768231295 627327394 /nfs/dbraw/zinc/32/73/94/627327394.db2.gz TXACHYQDLHINOA-UHFFFAOYSA-N 0 0 278.239 2.600 20 5 CFBDRN Cc1cnoc1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000768605543 627357888 /nfs/dbraw/zinc/35/78/88/627357888.db2.gz MAZTUNZQXPDBCV-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC[C@@H]1c1ncc[nH]1 ZINC000851886853 634571651 /nfs/dbraw/zinc/57/16/51/634571651.db2.gz JVWZXAUAYWMAOI-GFCCVEGCSA-N 0 0 290.298 2.794 20 5 CFBDRN COC[C@H](C)COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000769333679 627425825 /nfs/dbraw/zinc/42/58/25/627425825.db2.gz WGENCDHXQBAMMX-NSHDSACASA-N 0 0 295.335 2.698 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C[C@H]1CCCOC1 ZINC000769849148 627481235 /nfs/dbraw/zinc/48/12/35/627481235.db2.gz BWJUIILFXAZFMH-NWDGAFQWSA-N 0 0 276.336 2.772 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N[C@@H]1CCCOC1 ZINC000770001696 627495729 /nfs/dbraw/zinc/49/57/29/627495729.db2.gz GYQVMCSLMNVICH-SECBINFHSA-N 0 0 299.714 2.549 20 5 CFBDRN Cc1cnccc1C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000770018934 627496761 /nfs/dbraw/zinc/49/67/61/627496761.db2.gz UVEKYQQPGOJSNR-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1cc(Nc2cnn(C)c2C2CC2)ncc1[N+](=O)[O-] ZINC000770130278 627504093 /nfs/dbraw/zinc/50/40/93/627504093.db2.gz IBOQFRGRNXIAHP-UHFFFAOYSA-N 0 0 273.296 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)C1(CCO)CC1 ZINC000770545800 627528682 /nfs/dbraw/zinc/52/86/82/627528682.db2.gz KXJMPOQZODJFHL-LLVKDONJSA-N 0 0 293.319 2.670 20 5 CFBDRN CCc1ccc(OC(=O)[C@H](OC)C(C)C)c([N+](=O)[O-])c1 ZINC000770870154 627552824 /nfs/dbraw/zinc/55/28/24/627552824.db2.gz FIZGZBDHZPYQSP-CYBMUJFWSA-N 0 0 281.308 2.734 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2C[C@@H]2C)c1 ZINC000771081000 627575480 /nfs/dbraw/zinc/57/54/80/627575480.db2.gz UYUBUSSIOUMFRT-JGVFFNPUSA-N 0 0 254.261 2.810 20 5 CFBDRN CCCc1cc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)n[nH]1 ZINC000771652939 627620862 /nfs/dbraw/zinc/62/08/62/627620862.db2.gz LOQZCRRXBMHFLG-UHFFFAOYSA-N 0 0 293.254 2.629 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C1(F)CCCC1 ZINC000772193999 627684805 /nfs/dbraw/zinc/68/48/05/627684805.db2.gz XSVMMCLYOMCDOO-UHFFFAOYSA-N 0 0 280.299 2.536 20 5 CFBDRN C[C@@H]1CCCCN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000772213497 627686737 /nfs/dbraw/zinc/68/67/37/627686737.db2.gz RFUAOFMOATXBHM-LLVKDONJSA-N 0 0 274.324 2.869 20 5 CFBDRN Cc1ccc(COC(=O)c2cccc([N+](=O)[O-])c2)nc1 ZINC000772244330 627690478 /nfs/dbraw/zinc/69/04/78/627690478.db2.gz KVPHYXWBAINXBA-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000772258813 627693199 /nfs/dbraw/zinc/69/31/99/627693199.db2.gz UNKJNNMRQYQHSM-QWRGUYRKSA-N 0 0 274.324 2.867 20 5 CFBDRN COc1cc(COC(=O)[C@@H]2C[C@@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000772488619 627713405 /nfs/dbraw/zinc/71/34/05/627713405.db2.gz IFCYLJZNNKGGNX-VXGBXAGGSA-N 0 0 293.319 2.939 20 5 CFBDRN COc1cc(COC(=O)[C@@H]2C[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000772488614 627713484 /nfs/dbraw/zinc/71/34/84/627713484.db2.gz IFCYLJZNNKGGNX-NWDGAFQWSA-N 0 0 293.319 2.939 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000772490037 627713560 /nfs/dbraw/zinc/71/35/60/627713560.db2.gz KOHUUQNCCIUODN-STQMWFEESA-N 0 0 291.303 2.613 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000772496053 627714624 /nfs/dbraw/zinc/71/46/24/627714624.db2.gz VYTOQFDSENIUKX-KBPBESRZSA-N 0 0 277.320 2.973 20 5 CFBDRN Cc1ccc(COC(=O)c2csc([N+](=O)[O-])c2)cn1 ZINC000772667536 627733544 /nfs/dbraw/zinc/73/35/44/627733544.db2.gz AJIJRYQWBQSZCB-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN COc1cc(COC(=O)C2(F)CCCC2)ccc1[N+](=O)[O-] ZINC000772783556 627744578 /nfs/dbraw/zinc/74/45/78/627744578.db2.gz LRORFLYDXWUUDF-UHFFFAOYSA-N 0 0 297.282 2.929 20 5 CFBDRN O=C(NOc1ccccc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000773470511 627824998 /nfs/dbraw/zinc/82/49/98/627824998.db2.gz OUCDSMCATIWPNA-UHFFFAOYSA-N 0 0 297.270 2.800 20 5 CFBDRN O=C(OCc1cnoc1C1CC1)c1csc([N+](=O)[O-])c1 ZINC000773496499 627828355 /nfs/dbraw/zinc/82/83/55/627828355.db2.gz JYJMWXHUMOQXBU-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)n1C ZINC000773993694 627876643 /nfs/dbraw/zinc/87/66/43/627876643.db2.gz LAAQOILFCFMARV-UHFFFAOYSA-N 0 0 299.330 2.753 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCCCN1C)c1ccccc1[N+](=O)[O-] ZINC000774012059 627879083 /nfs/dbraw/zinc/87/90/83/627879083.db2.gz AVJHOAWTHOHORX-SMDDNHRTSA-N 0 0 292.335 2.683 20 5 CFBDRN CCC(C)(C)NC(=S)NCc1ccc([N+](=O)[O-])cc1 ZINC000774158698 627895572 /nfs/dbraw/zinc/89/55/72/627895572.db2.gz RKVCAULKZSXFCJ-UHFFFAOYSA-N 0 0 281.381 2.748 20 5 CFBDRN CCC(C)(C)NC(=S)NNc1cccc([N+](=O)[O-])c1C ZINC000774177173 627898722 /nfs/dbraw/zinc/89/87/22/627898722.db2.gz OGKBRRBUUHSRMD-UHFFFAOYSA-N 0 0 296.396 2.883 20 5 CFBDRN CCC(C)(C)NC(=S)NCCc1cccc([N+](=O)[O-])c1 ZINC000774205234 627903309 /nfs/dbraw/zinc/90/33/09/627903309.db2.gz XUCQCKYZRLOUKF-UHFFFAOYSA-N 0 0 295.408 2.790 20 5 CFBDRN COc1cccc(C(=O)OCCC2(C)CC2)c1[N+](=O)[O-] ZINC000774264950 627909870 /nfs/dbraw/zinc/90/98/70/627909870.db2.gz DNNCHQNPOOHVEL-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CCO[C@@H]1C[C@H]1COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000774313419 627914911 /nfs/dbraw/zinc/91/49/11/627914911.db2.gz IFBXHUVZBLCSHF-VHRBIJSZSA-N 0 0 293.319 2.667 20 5 CFBDRN CCc1cc(CNc2cc(OC)c(F)cc2[N+](=O)[O-])[nH]n1 ZINC000774529152 627935295 /nfs/dbraw/zinc/93/52/95/627935295.db2.gz LEXKCCMJWGQWHZ-UHFFFAOYSA-N 0 0 294.286 2.640 20 5 CFBDRN CCCCCOC1CN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000774534738 627935900 /nfs/dbraw/zinc/93/59/00/627935900.db2.gz QIPKJAVELTUAHX-UHFFFAOYSA-N 0 0 264.325 2.990 20 5 CFBDRN CN(Cc1cccc(O)c1)c1ccc([N+](=O)[O-])cc1 ZINC000774535643 627936090 /nfs/dbraw/zinc/93/60/90/627936090.db2.gz CHJJSBOEZDNSNU-UHFFFAOYSA-N 0 0 258.277 2.937 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(Cc1cccnc1)CC2 ZINC000774539790 627936408 /nfs/dbraw/zinc/93/64/08/627936408.db2.gz CADDWQKJMPPNDO-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CC(C)NC(=S)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000774582919 627939045 /nfs/dbraw/zinc/93/90/45/627939045.db2.gz RFLHDHUPRJOKMH-VIFPVBQESA-N 0 0 267.354 2.528 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000774730514 627953545 /nfs/dbraw/zinc/95/35/45/627953545.db2.gz HDASXKUTVMNXCT-MNOVXSKESA-N 0 0 279.292 2.567 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC(F)(F)CC1 ZINC000852001049 634622139 /nfs/dbraw/zinc/62/21/39/634622139.db2.gz DHCUPLABKZMZDU-UHFFFAOYSA-N 0 0 274.242 2.965 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC1CCC2(CC1)OCCO2 ZINC000775668288 628052249 /nfs/dbraw/zinc/05/22/49/628052249.db2.gz WCYVPEUHSRQKPM-UHFFFAOYSA-N 0 0 284.337 2.754 20 5 CFBDRN CO[C@H](CNc1sccc1[N+](=O)[O-])C(F)(F)F ZINC000775676750 628054135 /nfs/dbraw/zinc/05/41/35/628054135.db2.gz KHZJQCZNNCAXQT-ZCFIWIBFSA-N 0 0 270.232 2.646 20 5 CFBDRN Cc1cc(C(=O)OCCCCCCO)ccc1[N+](=O)[O-] ZINC000776361482 628135985 /nfs/dbraw/zinc/13/59/85/628135985.db2.gz OKGOITMWDARKLT-UHFFFAOYSA-N 0 0 281.308 2.613 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000776416378 628147052 /nfs/dbraw/zinc/14/70/52/628147052.db2.gz YHAVFLVEKNMORB-ZJUUUORDSA-N 0 0 297.282 2.592 20 5 CFBDRN Cn1c(C(=O)OCc2ccc(CF)cc2)ccc1[N+](=O)[O-] ZINC000777058826 628195922 /nfs/dbraw/zinc/19/59/22/628195922.db2.gz PVMLLYZXNCYLJH-UHFFFAOYSA-N 0 0 292.266 2.760 20 5 CFBDRN CSc1cccc(C(=O)OCCCF)c1[N+](=O)[O-] ZINC000777073923 628197727 /nfs/dbraw/zinc/19/77/27/628197727.db2.gz NVZNIGFVCJOHEP-UHFFFAOYSA-N 0 0 273.285 2.833 20 5 CFBDRN CSc1cccc(C(=O)NOCC(C)C)c1[N+](=O)[O-] ZINC000777173926 628206096 /nfs/dbraw/zinc/20/60/96/628206096.db2.gz FVRLXOQALSAJDJ-UHFFFAOYSA-N 0 0 284.337 2.634 20 5 CFBDRN CSc1cccc(C(=O)O[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000777306527 628213057 /nfs/dbraw/zinc/21/30/57/628213057.db2.gz FKLUBZOHPQXRLG-QMMMGPOBSA-N 0 0 299.373 2.979 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCc1ccoc1 ZINC000777377977 628218874 /nfs/dbraw/zinc/21/88/74/628218874.db2.gz KEBYTHPYDCTJPL-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCc1ccoc1 ZINC000777381726 628220338 /nfs/dbraw/zinc/22/03/38/628220338.db2.gz SBKWXKOZIJTWSV-UHFFFAOYSA-N 0 0 291.259 2.596 20 5 CFBDRN Cc1cc(=O)n(Cc2ccc(CF)cc2)cc1[N+](=O)[O-] ZINC000777535917 628233433 /nfs/dbraw/zinc/23/34/33/628233433.db2.gz WYNOQGFYXPTHHE-UHFFFAOYSA-N 0 0 276.267 2.583 20 5 CFBDRN O=C(C[C@@H]1CCOC1)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000778093446 628276994 /nfs/dbraw/zinc/27/69/94/628276994.db2.gz WFUWESOKBQXFRX-VIFPVBQESA-N 0 0 299.710 2.718 20 5 CFBDRN CCOC[C@H](C)NC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000778098540 628277652 /nfs/dbraw/zinc/27/76/52/628277652.db2.gz BBQBUXWAVBUZBH-MMRAYRKESA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000778359624 628299276 /nfs/dbraw/zinc/29/92/76/628299276.db2.gz OHRVLYKBURKPPY-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cccc(C(=O)OC[C@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000778363787 628299791 /nfs/dbraw/zinc/29/97/91/628299791.db2.gz DZIYEXNQPFLQBE-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCO[C@@H](C)C2)c1 ZINC000778374967 628302132 /nfs/dbraw/zinc/30/21/32/628302132.db2.gz WRAXQSYWTRREQO-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1conc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778391471 628303506 /nfs/dbraw/zinc/30/35/06/628303506.db2.gz MOBMIHXDXRJNIT-UHFFFAOYSA-N 0 0 297.217 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N2CC=CCC2)cc1 ZINC000778530628 628311563 /nfs/dbraw/zinc/31/15/63/628311563.db2.gz QWLNNDAIPLNPQO-UHFFFAOYSA-N 0 0 263.322 2.554 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)C1CCOCC1 ZINC000778760850 628330520 /nfs/dbraw/zinc/33/05/20/628330520.db2.gz DYCPBXMKPZUQHO-LLVKDONJSA-N 0 0 293.319 2.934 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@H]1CCCO1 ZINC000778756739 628330623 /nfs/dbraw/zinc/33/06/23/628330623.db2.gz ICFLUCPIZYPVEU-ZWNOBZJWSA-N 0 0 279.292 2.686 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)COCC1CC1 ZINC000778775569 628330862 /nfs/dbraw/zinc/33/08/62/628330862.db2.gz KDVAGHYLDRDPDX-NSHDSACASA-N 0 0 293.319 2.934 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)COC(C)C ZINC000778787677 628331584 /nfs/dbraw/zinc/33/15/84/628331584.db2.gz MBQQUEHUUFAMIU-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN CC(C)(C)C(=O)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778791560 628332162 /nfs/dbraw/zinc/33/21/62/628332162.db2.gz GOLUHOLJEGRTJH-CYBMUJFWSA-N 0 0 292.335 2.763 20 5 CFBDRN CCC1(C(=O)OCc2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000778817717 628333774 /nfs/dbraw/zinc/33/37/74/628333774.db2.gz ZHLTVJJTOOOYPX-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN COc1cc(COC(=O)C2(C)CCC2)ccc1[N+](=O)[O-] ZINC000778815363 628333996 /nfs/dbraw/zinc/33/39/96/628333996.db2.gz LIRIFTPCTUBRRC-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN O=C(OCCCF)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000778891597 628338868 /nfs/dbraw/zinc/33/88/68/628338868.db2.gz RIVSFVXCMXELEN-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN CCC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCOCC1 ZINC000778883758 628338871 /nfs/dbraw/zinc/33/88/71/628338871.db2.gz YOSIAUKVCFQEKU-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)COc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000779257279 628364518 /nfs/dbraw/zinc/36/45/18/628364518.db2.gz CGEIWFWVGUCJHC-ONGXEEELSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)COc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000779256958 628364563 /nfs/dbraw/zinc/36/45/63/628364563.db2.gz CGEIWFWVGUCJHC-GXSJLCMTSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)C1 ZINC000779261287 628365609 /nfs/dbraw/zinc/36/56/09/628365609.db2.gz YBLOZJOGMXHPOD-WPRPVWTQSA-N 0 0 293.275 2.669 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H]1CC[C@H](C)C1 ZINC000779262907 628366095 /nfs/dbraw/zinc/36/60/95/628366095.db2.gz KGMXXEBUEMWKEB-GXSJLCMTSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H]1CCC[C@@H](OC(=O)c2cc([N+](=O)[O-])cn2C)[C@@H]1C ZINC000779276075 628368327 /nfs/dbraw/zinc/36/83/27/628368327.db2.gz SZWFHCWXKGDXDI-GIPNMCIBSA-N 0 0 280.324 2.915 20 5 CFBDRN CC[C@@H]1C[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000779286159 628369421 /nfs/dbraw/zinc/36/94/21/628369421.db2.gz AJZCZXWMRLXOBR-OLZOCXBDSA-N 0 0 279.292 2.709 20 5 CFBDRN CC[C@@H]1C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000779291009 628369755 /nfs/dbraw/zinc/36/97/55/628369755.db2.gz AUGYHMPJNRTAHN-OLZOCXBDSA-N 0 0 279.292 2.709 20 5 CFBDRN O=C(O[C@H]1C=CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000780553034 628475114 /nfs/dbraw/zinc/47/51/14/628475114.db2.gz VFTPXWNULILGSN-JTQLQIEISA-N 0 0 272.260 2.952 20 5 CFBDRN CCON(C)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000780660035 628485929 /nfs/dbraw/zinc/48/59/29/628485929.db2.gz OYPUWPVCSBKXEM-UHFFFAOYSA-N 0 0 253.283 2.592 20 5 CFBDRN Cc1cc(COC(=O)c2ncccc2C)ccc1[N+](=O)[O-] ZINC000781170177 628528890 /nfs/dbraw/zinc/52/88/90/628528890.db2.gz JDMUABVTHXZWTH-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@]1(C(=O)OCc2csc([N+](=O)[O-])c2)CCCOC1 ZINC000781287498 628538962 /nfs/dbraw/zinc/53/89/62/628538962.db2.gz JCOMRNZPHKLKNT-LBPRGKRZSA-N 0 0 285.321 2.516 20 5 CFBDRN COc1cc(COC(=O)c2coc(C)c2)ccc1[N+](=O)[O-] ZINC000781377262 628543972 /nfs/dbraw/zinc/54/39/72/628543972.db2.gz CRUCIMMKEKDTER-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)c1coc(C)c1 ZINC000781379964 628544752 /nfs/dbraw/zinc/54/47/52/628544752.db2.gz KIXPXYVPDVTPRI-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000781612058 628565490 /nfs/dbraw/zinc/56/54/90/628565490.db2.gz GRCQZWKKPATRAK-MWLCHTKSSA-N 0 0 298.289 2.991 20 5 CFBDRN CC(C)[C@@H]1CCCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000781795380 628581553 /nfs/dbraw/zinc/58/15/53/628581553.db2.gz SYENQKDSLPOBPT-GFCCVEGCSA-N 0 0 291.351 2.685 20 5 CFBDRN CCc1cc(Oc2cc(C=O)ccc2[N+](=O)[O-])nc(C)n1 ZINC000782135851 628606949 /nfs/dbraw/zinc/60/69/49/628606949.db2.gz NGPCIJZRMCXGJV-UHFFFAOYSA-N 0 0 287.275 2.860 20 5 CFBDRN CCc1ccc(Oc2cc(COC)ncn2)c([N+](=O)[O-])c1 ZINC000782143655 628607491 /nfs/dbraw/zinc/60/74/91/628607491.db2.gz WRQLLTOUQZIROP-UHFFFAOYSA-N 0 0 289.291 2.886 20 5 CFBDRN CCCn1cc(Nc2ncnc3ccc([N+](=O)[O-])cc32)cn1 ZINC000782148899 628608100 /nfs/dbraw/zinc/60/81/00/628608100.db2.gz UZUDPMYJSVNMGS-UHFFFAOYSA-N 0 0 298.306 2.888 20 5 CFBDRN Cc1ccc(F)cc1COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000782239657 628618499 /nfs/dbraw/zinc/61/84/99/628618499.db2.gz KGVLLOCKHZXEER-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782252481 628619380 /nfs/dbraw/zinc/61/93/80/628619380.db2.gz KBGBXCUPJFMMDC-MRVPVSSYSA-N 0 0 255.245 2.823 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC(CCCO)CC1 ZINC000782395576 628634805 /nfs/dbraw/zinc/63/48/05/628634805.db2.gz XXYYODMVVQKXON-UHFFFAOYSA-N 0 0 270.354 2.645 20 5 CFBDRN CC(=O)c1ccc(N2C[C@H](C)O[C@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000782403919 628636003 /nfs/dbraw/zinc/63/60/03/628636003.db2.gz INJHQJNUXFVUDC-JBLDHEPKSA-N 0 0 292.335 2.800 20 5 CFBDRN CCc1n[nH]cc1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000782797111 628658699 /nfs/dbraw/zinc/65/86/99/628658699.db2.gz HXFARLLAMQZYEP-VIFPVBQESA-N 0 0 289.291 2.798 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OC2CC2)c1 ZINC000783182908 628682396 /nfs/dbraw/zinc/68/23/96/628682396.db2.gz PRCPHDKFTGPPDR-UHFFFAOYSA-N 0 0 253.279 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@H](C3CC3)C2)cc1Cl ZINC000783677440 628733837 /nfs/dbraw/zinc/73/38/37/628733837.db2.gz NAZXYTJWBLCMKC-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN C/C(=C/C(=O)NCc1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000783693319 628735126 /nfs/dbraw/zinc/73/51/26/628735126.db2.gz CLMKSUGOBOPXSZ-XFXZXTDPSA-N 0 0 297.314 2.710 20 5 CFBDRN Cc1noc(C2CC2)c1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000783695070 628735731 /nfs/dbraw/zinc/73/57/31/628735731.db2.gz SEMUFUSTIGUTLT-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)/C=C/c1ccc[nH]1)CC2 ZINC000783696715 628735777 /nfs/dbraw/zinc/73/57/77/628735777.db2.gz UOJFSNYLPRXGHQ-SNAWJCMRSA-N 0 0 297.314 2.834 20 5 CFBDRN C/C(=C/C(=O)N(C)CC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000783912189 628754806 /nfs/dbraw/zinc/75/48/06/628754806.db2.gz SRXDQUVKNISJHD-LUAWRHEFSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@@H]1CCCS1 ZINC000783953778 628757516 /nfs/dbraw/zinc/75/75/16/628757516.db2.gz WKTFRXKHELUHHN-NSHDSACASA-N 0 0 285.296 2.673 20 5 CFBDRN C/C(=C\C(=O)NCCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000784051089 628769097 /nfs/dbraw/zinc/76/90/97/628769097.db2.gz WKOODCZVNBZFNZ-ZHACJKMWSA-N 0 0 274.320 2.914 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCC(=O)CC2CC2)c1[N+](=O)[O-] ZINC000784056785 628769572 /nfs/dbraw/zinc/76/95/72/628769572.db2.gz YFFLEYSGNOFBSJ-UHFFFAOYSA-N 0 0 295.266 2.568 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000784074151 628772345 /nfs/dbraw/zinc/77/23/45/628772345.db2.gz VYSTXZIWYQBGMI-BXKDBHETSA-N 0 0 268.288 2.766 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000784076295 628772684 /nfs/dbraw/zinc/77/26/84/628772684.db2.gz BHMNQEFLLCIGLC-NSHDSACASA-N 0 0 273.235 2.771 20 5 CFBDRN Cc1ccc(N2CCN(c3ccc([N+](=O)[O-])nc3)CC2)cc1 ZINC000784192805 628789643 /nfs/dbraw/zinc/78/96/43/628789643.db2.gz HMZYLSZULJELBQ-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CCC[C@@](C)(O)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000784281168 628798870 /nfs/dbraw/zinc/79/88/70/628798870.db2.gz GTVCLACSFVKZEM-IINYFYTJSA-N 0 0 281.308 2.750 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000784298116 628801657 /nfs/dbraw/zinc/80/16/57/628801657.db2.gz JLEYZUGNEDKKNI-LBPRGKRZSA-N 0 0 285.271 2.511 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CCC(C)(C)O1 ZINC000784449453 628817780 /nfs/dbraw/zinc/81/77/80/628817780.db2.gz YTEMMHIDRUVDHU-NSHDSACASA-N 0 0 279.292 2.766 20 5 CFBDRN COC(C)(C)CC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000784447562 628817839 /nfs/dbraw/zinc/81/78/39/628817839.db2.gz LPWFSBFZUIYARC-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN CO[C@@H](C)CCNC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784638165 628833225 /nfs/dbraw/zinc/83/32/25/628833225.db2.gz JTSVCFXEDUOGIV-WEHUKYGOSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccc(F)cn1 ZINC000784672329 628835898 /nfs/dbraw/zinc/83/58/98/628835898.db2.gz PIFFYAFYOQITCM-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN C/C(=C\C(=O)OCCOC(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000784836482 628845239 /nfs/dbraw/zinc/84/52/39/628845239.db2.gz ZUCNSAFSVWVVCV-ZRDIBKRKSA-N 0 0 293.319 2.966 20 5 CFBDRN COCCCCOC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784841060 628845273 /nfs/dbraw/zinc/84/52/73/628845273.db2.gz ATCAXXDNEAITCY-QXMHVHEDSA-N 0 0 293.319 2.968 20 5 CFBDRN CC(C)/C=C\C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000785069376 628864150 /nfs/dbraw/zinc/86/41/50/628864150.db2.gz ZRWQQNPPTRMXLZ-BAQGIRSFSA-N 0 0 279.292 2.729 20 5 CFBDRN C/C(=C/C(=O)Nc1cc(C)on1)c1ccc([N+](=O)[O-])cc1 ZINC000785071095 628864621 /nfs/dbraw/zinc/86/46/21/628864621.db2.gz RAZQRYMOFPIPSX-CLFYSBASSA-N 0 0 287.275 2.933 20 5 CFBDRN C/C(=C\C(=O)Nc1cc(C)on1)c1ccc([N+](=O)[O-])cc1 ZINC000785071096 628864665 /nfs/dbraw/zinc/86/46/65/628864665.db2.gz RAZQRYMOFPIPSX-VQHVLOKHSA-N 0 0 287.275 2.933 20 5 CFBDRN CC1(C)CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000785234314 628872241 /nfs/dbraw/zinc/87/22/41/628872241.db2.gz SORRYNBEQLHPQX-GFCCVEGCSA-N 0 0 297.282 2.735 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1ccc(F)nc1 ZINC000785629800 628900026 /nfs/dbraw/zinc/90/00/26/628900026.db2.gz NOIHRXXHLQJZAW-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN Cc1cncc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000785674979 628903233 /nfs/dbraw/zinc/90/32/33/628903233.db2.gz ZHDSWZOORWTUAZ-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1ccn(C)n1 ZINC000785686118 628905212 /nfs/dbraw/zinc/90/52/12/628905212.db2.gz IXJJOUQJARJNOT-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000785728549 628907922 /nfs/dbraw/zinc/90/79/22/628907922.db2.gz IHPWIKCXFXLOEW-VXGBXAGGSA-N 0 0 292.335 2.511 20 5 CFBDRN CC1(C)C[C@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000785911492 628921549 /nfs/dbraw/zinc/92/15/49/628921549.db2.gz FISCPXGPUOBCKG-CYBMUJFWSA-N 0 0 293.319 2.638 20 5 CFBDRN CC1(C)C[C@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000785913308 628922131 /nfs/dbraw/zinc/92/21/31/628922131.db2.gz DHZLPCVOJHYAAL-CYBMUJFWSA-N 0 0 293.319 2.638 20 5 CFBDRN CCCOC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000785948600 628926410 /nfs/dbraw/zinc/92/64/10/628926410.db2.gz KWLDJBXBZCUSEH-UHFFFAOYSA-N 0 0 257.673 2.744 20 5 CFBDRN Cc1cc(CC(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000785961443 628926765 /nfs/dbraw/zinc/92/67/65/628926765.db2.gz QDCCDMQMUXDBDO-SNVBAGLBSA-N 0 0 290.275 2.738 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)Cc1ccon1 ZINC000786002474 628929583 /nfs/dbraw/zinc/92/95/83/628929583.db2.gz IVYMCDSPTBFHFC-SNVBAGLBSA-N 0 0 290.275 2.738 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)C(F)F)cc([N+](=O)[O-])c1 ZINC000786503828 628962114 /nfs/dbraw/zinc/96/21/14/628962114.db2.gz NRJXAXVIRIAHQX-SSDOTTSWSA-N 0 0 259.208 2.714 20 5 CFBDRN C[C@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21)C(F)F ZINC000786512251 628963408 /nfs/dbraw/zinc/96/34/08/628963408.db2.gz XJRPJFVZSJNFQS-LURJTMIESA-N 0 0 284.218 2.887 20 5 CFBDRN COc1cccc(CNc2ccc(N)cc2[N+](=O)[O-])c1 ZINC000786559087 628968183 /nfs/dbraw/zinc/96/81/83/628968183.db2.gz FVFXBQMSQBCVGA-UHFFFAOYSA-N 0 0 273.292 2.798 20 5 CFBDRN COc1cccc(COc2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000786866858 628981960 /nfs/dbraw/zinc/98/19/60/628981960.db2.gz YTGHGYSJJQEWOK-UHFFFAOYSA-N 0 0 274.276 2.765 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCC=CO1 ZINC000787033551 628989539 /nfs/dbraw/zinc/98/95/39/628989539.db2.gz UBEWCXGUTQMFLX-GFCCVEGCSA-N 0 0 297.694 2.984 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CCC=CO1 ZINC000787033593 628989568 /nfs/dbraw/zinc/98/95/68/628989568.db2.gz UZCYSIVBZMBIHA-GFCCVEGCSA-N 0 0 297.694 2.984 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCOCC(F)F)CC2 ZINC000787274572 629003651 /nfs/dbraw/zinc/00/36/51/629003651.db2.gz ICSYMRBPTBBRTK-UHFFFAOYSA-N 0 0 286.278 2.547 20 5 CFBDRN CC(C)n1cc(C(=O)OCc2ccccc2[N+](=O)[O-])cn1 ZINC000787809468 629037946 /nfs/dbraw/zinc/03/79/46/629037946.db2.gz XKUSSWBJBIXNAF-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN Cc1cc(N[C@@H](C)c2ncnn2C)c(Cl)cc1[N+](=O)[O-] ZINC000788862792 629105618 /nfs/dbraw/zinc/10/56/18/629105618.db2.gz DTQANWOGTUJNLG-QMMMGPOBSA-N 0 0 295.730 2.858 20 5 CFBDRN Cn1c(C(=O)OCCCCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000789018749 629117763 /nfs/dbraw/zinc/11/77/63/629117763.db2.gz WKAJMGYUYNOBFE-UHFFFAOYSA-N 0 0 298.339 2.685 20 5 CFBDRN Cc1cc(C(=O)OCc2ccccc2[N+](=O)[O-])ccn1 ZINC000789030138 629118711 /nfs/dbraw/zinc/11/87/11/629118711.db2.gz OAXZPIDVUMBWAS-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C[C@@H]1CC[C@@H](COC(=O)c2c(Cl)cccc2[N+](=O)[O-])O1 ZINC000789052401 629120338 /nfs/dbraw/zinc/12/03/38/629120338.db2.gz UCZGJYLFIVAZLR-BDAKNGLRSA-N 0 0 299.710 2.973 20 5 CFBDRN C[C@H](O)CCCN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000789329330 629147531 /nfs/dbraw/zinc/14/75/31/629147531.db2.gz FDGUXWOOPURTRX-JTQLQIEISA-N 0 0 286.759 2.841 20 5 CFBDRN C/C=C(/CC)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000789361449 629150964 /nfs/dbraw/zinc/15/09/64/629150964.db2.gz CDEXYEYELXVUSC-QCDXTXTGSA-N 0 0 292.335 2.931 20 5 CFBDRN C[C@H](COC(=O)COc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000789602540 629171749 /nfs/dbraw/zinc/17/17/49/629171749.db2.gz BUEVYFSJQRQXMO-SNVBAGLBSA-N 0 0 279.292 2.563 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H](C)C1CC1 ZINC000789610793 629173788 /nfs/dbraw/zinc/17/37/88/629173788.db2.gz ZDAQMOQWFJORAH-SECBINFHSA-N 0 0 279.292 2.806 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)ON=C(N)[C@@H]1CCCO1 ZINC000789610579 629173802 /nfs/dbraw/zinc/17/38/02/629173802.db2.gz PXQORPSUSGCOAV-GWCFXTLKSA-N 0 0 293.323 2.642 20 5 CFBDRN CCc1cc(N)nc(S/C=C/c2ccc([N+](=O)[O-])o2)n1 ZINC000789983080 629201503 /nfs/dbraw/zinc/20/15/03/629201503.db2.gz USPHVOJWIFNTOE-AATRIKPKSA-N 0 0 292.320 2.885 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000790230827 629219999 /nfs/dbraw/zinc/21/99/99/629219999.db2.gz JRELUBWIECUTNC-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN O=C1O[C@@H](COc2cc(F)cc([N+](=O)[O-])c2)CC12CCC2 ZINC000790268278 629224541 /nfs/dbraw/zinc/22/45/41/629224541.db2.gz BLWKQOMVVQMTHC-GFCCVEGCSA-N 0 0 295.266 2.599 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000790287987 629225800 /nfs/dbraw/zinc/22/58/00/629225800.db2.gz QMLBNNHLMAXVRX-ZDUSSCGKSA-N 0 0 282.315 2.985 20 5 CFBDRN O=[N+]([O-])c1cccc(CSCc2ncccn2)c1 ZINC000790552334 629247167 /nfs/dbraw/zinc/24/71/67/629247167.db2.gz WHGKALGDSXDSGH-UHFFFAOYSA-N 0 0 261.306 2.818 20 5 CFBDRN O=C(OCC1=CCCC1)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000790825662 629267682 /nfs/dbraw/zinc/26/76/82/629267682.db2.gz ZCNCITHVZQIIFU-UHFFFAOYSA-N 0 0 291.259 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCCCCO2)c1F ZINC000791001484 629279710 /nfs/dbraw/zinc/27/97/10/629279710.db2.gz SIUPCVSTHBXBCD-UHFFFAOYSA-N 0 0 282.271 2.600 20 5 CFBDRN Cc1c(CNC(=O)/C=C/c2ccco2)cccc1[N+](=O)[O-] ZINC000791016418 629280834 /nfs/dbraw/zinc/28/08/34/629280834.db2.gz OWXUNSNMOUWVIL-BQYQJAHWSA-N 0 0 286.287 2.826 20 5 CFBDRN C/C(=C\C(=O)NCc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000791017208 629281203 /nfs/dbraw/zinc/28/12/03/629281203.db2.gz GMMNFVMZYSMQAK-CSKARUKUSA-N 0 0 274.320 2.876 20 5 CFBDRN Cc1c(CNC(=O)C=C2CCC2)cccc1[N+](=O)[O-] ZINC000791016966 629281220 /nfs/dbraw/zinc/28/12/20/629281220.db2.gz LBWCKZQDVCNJLE-UHFFFAOYSA-N 0 0 260.293 2.630 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@@H]1c1ccccc1Cl ZINC000791054138 629284377 /nfs/dbraw/zinc/28/43/77/629284377.db2.gz XYOBPBIXTCPXGZ-GFCCVEGCSA-N 0 0 254.717 2.754 20 5 CFBDRN Cc1ccnc(COC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000791267484 629295593 /nfs/dbraw/zinc/29/55/93/629295593.db2.gz VYZWOWBLEJHIIN-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN COC1(COC(=O)c2cc(F)ccc2[N+](=O)[O-])CCCC1 ZINC000791353140 629303660 /nfs/dbraw/zinc/30/36/60/629303660.db2.gz SEQKWWIYECKAIO-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN Cc1ccnc(COC(=O)c2cc(C)ccc2[N+](=O)[O-])c1 ZINC000791401744 629309730 /nfs/dbraw/zinc/30/97/30/629309730.db2.gz PGEGKWGYDPRCFY-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccc(N2CCCO2)c([N+](=O)[O-])c1Br ZINC000791412020 629310977 /nfs/dbraw/zinc/31/09/77/629310977.db2.gz AGYVBDXRBRGZJG-UHFFFAOYSA-N 0 0 287.113 2.807 20 5 CFBDRN CC(C)(C(=O)Nc1cc([N+](=O)[O-])ccc1F)C(F)F ZINC000791471850 629319333 /nfs/dbraw/zinc/31/93/33/629319333.db2.gz WZOCOVSUTFBFDM-UHFFFAOYSA-N 0 0 276.214 2.964 20 5 CFBDRN CO[C@@H](C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000791511898 629324533 /nfs/dbraw/zinc/32/45/33/629324533.db2.gz BBKRHWSFWPRUAT-NOZJJQNGSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)(CCC(=O)OCC1CCCCC1)[N+](=O)[O-] ZINC000835088473 629387573 /nfs/dbraw/zinc/38/75/73/629387573.db2.gz WEEFCJJTKXJHTB-UHFFFAOYSA-N 0 0 257.330 2.945 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000792124577 629400001 /nfs/dbraw/zinc/40/00/01/629400001.db2.gz OMLWWALPTLFILW-JTQLQIEISA-N 0 0 277.276 2.699 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000835103936 629408309 /nfs/dbraw/zinc/40/83/09/629408309.db2.gz NGDVXTSYLDJYEV-QHDQMSKJSA-N 0 0 291.303 2.792 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000835106858 629411262 /nfs/dbraw/zinc/41/12/62/629411262.db2.gz LOIFWMQPNWKJKL-GMSGAONNSA-N 0 0 271.219 2.854 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000835106337 629412096 /nfs/dbraw/zinc/41/20/96/629412096.db2.gz JOEFWICQVZVJSM-NNUSLRHZSA-N 0 0 291.303 2.792 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835109333 629413872 /nfs/dbraw/zinc/41/38/72/629413872.db2.gz OMHSYJAUJAZGOA-JQWIXIFHSA-N 0 0 291.303 2.757 20 5 CFBDRN CC/C(C)=C/C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000835237792 629549898 /nfs/dbraw/zinc/54/98/98/629549898.db2.gz YQFDHMXELWDGPX-XBXARRHUSA-N 0 0 253.229 2.996 20 5 CFBDRN COc1ccc(COC(=O)CCC(C)(C)[N+](=O)[O-])cc1F ZINC000835282782 629595187 /nfs/dbraw/zinc/59/51/87/629595187.db2.gz YMHSDBSAVDCHLA-UHFFFAOYSA-N 0 0 299.298 2.713 20 5 CFBDRN CCc1cnc(COC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000835295556 629604773 /nfs/dbraw/zinc/60/47/73/629604773.db2.gz VBKIFWYWFQZISF-UHFFFAOYSA-N 0 0 286.353 2.584 20 5 CFBDRN CC(C)(CCC(=O)OCc1csc(Cl)n1)[N+](=O)[O-] ZINC000835322997 629627671 /nfs/dbraw/zinc/62/76/71/629627671.db2.gz GGVVNLXOAVFYSU-UHFFFAOYSA-N 0 0 292.744 2.675 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])ccc1N)[C@H]1CCC(C)(C)C1 ZINC000794278002 629629773 /nfs/dbraw/zinc/62/97/73/629629773.db2.gz XRLJDQKYIRIEKM-NSHDSACASA-N 0 0 291.351 2.828 20 5 CFBDRN C/C(=C/C(=O)NOC/C=C\Cl)c1ccc([N+](=O)[O-])cc1 ZINC000794288341 629631449 /nfs/dbraw/zinc/63/14/49/629631449.db2.gz GOPLUPWSKXYPAG-TWDGKRCQSA-N 0 0 296.710 2.798 20 5 CFBDRN O=C(NOC/C=C/Cl)c1c(Cl)cccc1[N+](=O)[O-] ZINC000794292854 629632641 /nfs/dbraw/zinc/63/26/41/629632641.db2.gz JWXJAPKMVCGENE-GORDUTHDSA-N 0 0 291.090 2.662 20 5 CFBDRN CON(C[C@@H]1CCC[C@@H](C)C1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000794323080 629636367 /nfs/dbraw/zinc/63/63/67/629636367.db2.gz WBNJTVYNRVKZHO-GHMZBOCLSA-N 0 0 295.339 2.753 20 5 CFBDRN Cc1nccc(COc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000794427500 629646065 /nfs/dbraw/zinc/64/60/65/629646065.db2.gz PECLSGKAVVOBHF-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=C(Nc1ccc2ccccc2c1)c1cc([N+](=O)[O-])n[nH]1 ZINC000794603686 629667312 /nfs/dbraw/zinc/66/73/12/629667312.db2.gz FSWFDCDSMVFEHU-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CCC(F)(F)C1)[N+](=O)[O-] ZINC000835393252 629681325 /nfs/dbraw/zinc/68/13/25/629681325.db2.gz WXKHKUPZOWYVSY-VIFPVBQESA-N 0 0 279.283 2.801 20 5 CFBDRN C[C@H](NCc1cccnc1F)c1cccc([N+](=O)[O-])c1 ZINC000794828735 629691228 /nfs/dbraw/zinc/69/12/28/629691228.db2.gz NMKQIPLKCXWDAE-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN COCCN(CCF)[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000852483401 634757702 /nfs/dbraw/zinc/75/77/02/634757702.db2.gz HYJWCZYNKUVRBD-NSHDSACASA-N 0 0 270.304 2.574 20 5 CFBDRN CCC(=O)c1ccc(N(CCCO)C2CC2)c([N+](=O)[O-])c1 ZINC000795112305 629735530 /nfs/dbraw/zinc/73/55/30/629735530.db2.gz ZUJZQOVCGQKSRX-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@H](COC(=O)C1(Cc2cccc(Cl)c2)CC1)[N+](=O)[O-] ZINC000835453534 629737632 /nfs/dbraw/zinc/73/76/32/629737632.db2.gz CNAJECUVAQBBNR-SNVBAGLBSA-N 0 0 297.738 2.871 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@](C)(OC)C2)c1 ZINC000795191583 629743185 /nfs/dbraw/zinc/74/31/85/629743185.db2.gz ZPBSSMJZEHLMER-AWEZNQCLSA-N 0 0 298.314 2.748 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@H]2CCC[C@H](O)C2)c1[N+](=O)[O-] ZINC000795221518 629747968 /nfs/dbraw/zinc/74/79/68/629747968.db2.gz OUZKKXDWTZNHJO-NEPJUHHUSA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H]2CCC[C@H](O)C2)cc1[N+](=O)[O-] ZINC000795233292 629749045 /nfs/dbraw/zinc/74/90/45/629749045.db2.gz LJJKZHBSZMLFGF-YPMHNXCESA-N 0 0 293.319 2.611 20 5 CFBDRN O=C(COc1cc(F)c([N+](=O)[O-])cc1Cl)c1ccc[nH]1 ZINC000795262489 629754455 /nfs/dbraw/zinc/75/44/55/629754455.db2.gz ZUJVQNDGLYDRCI-UHFFFAOYSA-N 0 0 298.657 2.977 20 5 CFBDRN Cc1ccc(C(=O)OCc2cncc(F)c2)cc1[N+](=O)[O-] ZINC000795340966 629763455 /nfs/dbraw/zinc/76/34/55/629763455.db2.gz BQJVXHVLFGIXKW-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc(COC(=O)C(C)(C)F)cc1[N+](=O)[O-] ZINC000835479292 629768207 /nfs/dbraw/zinc/76/82/07/629768207.db2.gz IGAAXXNRJTUYNR-UHFFFAOYSA-N 0 0 255.245 2.695 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)n1C ZINC000835484665 629774519 /nfs/dbraw/zinc/77/45/19/629774519.db2.gz WSFQIKTXBZBVPP-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H](C)OCC2CC2)cc1[N+](=O)[O-] ZINC000835486507 629776060 /nfs/dbraw/zinc/77/60/60/629776060.db2.gz VJXSWLKZPYGAMP-LLVKDONJSA-N 0 0 293.319 2.762 20 5 CFBDRN CCc1[nH]nc(C(=O)OCC2CCC(C)CC2)c1[N+](=O)[O-] ZINC000795611152 629812406 /nfs/dbraw/zinc/81/24/06/629812406.db2.gz FQAVZQZHJZZULE-UHFFFAOYSA-N 0 0 295.339 2.863 20 5 CFBDRN C[C@H]1C[C@@H](c2ccccc2)N(Cn2nccc2[N+](=O)[O-])C1 ZINC000795744185 629828982 /nfs/dbraw/zinc/82/89/82/629828982.db2.gz LLIJVYQRCCNWDZ-JSGCOSHPSA-N 0 0 286.335 2.832 20 5 CFBDRN CCC[C@H](COC(=O)Cc1cccc([N+](=O)[O-])c1C)OC ZINC000835546539 629833525 /nfs/dbraw/zinc/83/35/25/629833525.db2.gz UJXWGMARFOCWDC-CYBMUJFWSA-N 0 0 295.335 2.804 20 5 CFBDRN CC[C@@H](C)[C@@H](CC)OC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000835586256 629856015 /nfs/dbraw/zinc/85/60/15/629856015.db2.gz DSEOUQMDRBNNRI-ZYHUDNBSSA-N 0 0 297.355 2.858 20 5 CFBDRN CC[C@H](C)[C@H](CC)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000835588143 629860900 /nfs/dbraw/zinc/86/09/00/629860900.db2.gz KCGDTFYMFJYSIT-CABZTGNLSA-N 0 0 268.313 2.915 20 5 CFBDRN CC/C=C/CCOC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000796256466 629896268 /nfs/dbraw/zinc/89/62/68/629896268.db2.gz VLYHWJJCPNCLAG-AATRIKPKSA-N 0 0 281.312 2.954 20 5 CFBDRN CCSCCOC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796307574 629901655 /nfs/dbraw/zinc/90/16/55/629901655.db2.gz UCRNVSSEHRSGSB-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CC(C)(C(=O)OCC(F)F)c1ccccc1[N+](=O)[O-] ZINC000796439899 629917987 /nfs/dbraw/zinc/91/79/87/629917987.db2.gz OUIBQNGBPRLOFU-UHFFFAOYSA-N 0 0 273.235 2.681 20 5 CFBDRN CS[C@@H](C)CCOC(=O)COc1ccccc1[N+](=O)[O-] ZINC000835663396 629925323 /nfs/dbraw/zinc/92/53/23/629925323.db2.gz MDAWQCSGLYHDQJ-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000796526343 629930535 /nfs/dbraw/zinc/93/05/35/629930535.db2.gz VIIMUIAJPPAVBB-TVQRCGJNSA-N 0 0 297.307 2.570 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000796534434 629931239 /nfs/dbraw/zinc/93/12/39/629931239.db2.gz UBJMPVHKRKCFBG-PELKAZGASA-N 0 0 284.287 2.734 20 5 CFBDRN CS[C@H](C)COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000835679458 629943771 /nfs/dbraw/zinc/94/37/71/629943771.db2.gz LRLAWOKGCMCWRC-MRVPVSSYSA-N 0 0 269.322 2.812 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H](C)CSC ZINC000835684575 629952650 /nfs/dbraw/zinc/95/26/50/629952650.db2.gz TYBWRKAZCFVXKN-SECBINFHSA-N 0 0 299.348 2.759 20 5 CFBDRN CNc1c(C(=O)OC[C@@H](C)SC)cccc1[N+](=O)[O-] ZINC000835686155 629955065 /nfs/dbraw/zinc/95/50/65/629955065.db2.gz RPHCBSYLMWWTOP-MRVPVSSYSA-N 0 0 284.337 2.545 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000796775475 629964204 /nfs/dbraw/zinc/96/42/04/629964204.db2.gz CTCXYFLFVUHDAT-PRHODGIISA-N 0 0 285.271 2.700 20 5 CFBDRN Cc1ccc(OC(=O)CCC(F)F)cc1[N+](=O)[O-] ZINC000796789099 629967469 /nfs/dbraw/zinc/96/74/69/629967469.db2.gz QBLXBMJNHFUNNH-UHFFFAOYSA-N 0 0 259.208 2.854 20 5 CFBDRN COc1c(C(=O)O[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000796823529 629972855 /nfs/dbraw/zinc/97/28/55/629972855.db2.gz ZCNPZISJSBZKFA-NXEZZACHSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1c(CC(=O)OC[C@@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000796897935 629986618 /nfs/dbraw/zinc/98/66/18/629986618.db2.gz HSPJGFAPAMPLAI-CABZTGNLSA-N 0 0 263.293 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCc1nccs1 ZINC000796949411 629994578 /nfs/dbraw/zinc/99/45/78/629994578.db2.gz TYCXPVFAKCJDSJ-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])OC1CC2(CC2)C1 ZINC000835728445 629995211 /nfs/dbraw/zinc/99/52/11/629995211.db2.gz NYMYAQCOKPVUEN-UHFFFAOYSA-N 0 0 290.319 2.883 20 5 CFBDRN CS[C@@H](C)[C@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000797192852 630035502 /nfs/dbraw/zinc/03/55/02/630035502.db2.gz IFWCVIVJNDUEJW-UWVGGRQHSA-N 0 0 299.348 2.657 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)[C@@H](C)SC ZINC000797221671 630042818 /nfs/dbraw/zinc/04/28/18/630042818.db2.gz LARAAKNDFZACHF-DTWKUNHWSA-N 0 0 299.348 2.900 20 5 CFBDRN CC(C)=CCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000797222137 630042877 /nfs/dbraw/zinc/04/28/77/630042877.db2.gz LZRGCNZXOIWGSW-UHFFFAOYSA-N 0 0 263.293 2.955 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC(F)(F)C2)c2nonc21 ZINC000797437096 630066259 /nfs/dbraw/zinc/06/62/59/630066259.db2.gz GHFPELSPHBNTSF-SSDOTTSWSA-N 0 0 298.249 2.978 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C(C)(C)C ZINC000797473011 630070539 /nfs/dbraw/zinc/07/05/39/630070539.db2.gz NPSFTONGAOCJKJ-UHFFFAOYSA-N 0 0 267.281 2.693 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C1CC=CC1 ZINC000797475912 630071524 /nfs/dbraw/zinc/07/15/24/630071524.db2.gz ZTLYFYHCSIBBLL-UHFFFAOYSA-N 0 0 277.276 2.613 20 5 CFBDRN CNc1c(C(=O)O[C@@H](C)CCSC)cccc1[N+](=O)[O-] ZINC000835810493 630075081 /nfs/dbraw/zinc/07/50/81/630075081.db2.gz BVDCRXAZJQRPQH-VIFPVBQESA-N 0 0 298.364 2.935 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCC1=CCCCC1 ZINC000835822830 630092769 /nfs/dbraw/zinc/09/27/69/630092769.db2.gz XOJZYSBJMATGFN-UHFFFAOYSA-N 0 0 264.281 2.591 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC=C(C)C2)c1 ZINC000797842140 630112052 /nfs/dbraw/zinc/11/20/52/630112052.db2.gz GALQMNGEGIMTJR-UHFFFAOYSA-N 0 0 266.272 2.899 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccc[nH]1 ZINC000797857093 630113040 /nfs/dbraw/zinc/11/30/40/630113040.db2.gz DRSXZWDNHMGUJC-UHFFFAOYSA-N 0 0 280.667 2.933 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000835863660 630114254 /nfs/dbraw/zinc/11/42/54/630114254.db2.gz PVYSGHDOUWZAPJ-PHIMTYICSA-N 0 0 287.356 2.711 20 5 CFBDRN CC[C@@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])CC(F)(F)F ZINC000839841868 630143477 /nfs/dbraw/zinc/14/34/77/630143477.db2.gz AORZFZDUWRNZSM-SECBINFHSA-N 0 0 298.305 2.917 20 5 CFBDRN CC[C@](C)(COC(=O)c1cccc([N+](=O)[O-])c1C)OC ZINC000835956828 630176329 /nfs/dbraw/zinc/17/63/29/630176329.db2.gz IAZKPRDBWHFBOB-CQSZACIVSA-N 0 0 281.308 2.875 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000835963032 630185986 /nfs/dbraw/zinc/18/59/86/630185986.db2.gz WTPHMGUZXFWDLG-ZDUSSCGKSA-N 0 0 285.271 2.706 20 5 CFBDRN Cc1cnc(N2CC[C@@H](c3ccccn3)C2)c([N+](=O)[O-])c1 ZINC000798416313 630189393 /nfs/dbraw/zinc/18/93/93/630189393.db2.gz NRMFOIMHPVZXAV-GFCCVEGCSA-N 0 0 284.319 2.687 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/SC ZINC000798541186 630203403 /nfs/dbraw/zinc/20/34/03/630203403.db2.gz GCMHRQUGWHEJDR-VOTSOKGWSA-N 0 0 282.321 2.809 20 5 CFBDRN C[C@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(=O)C1CC1 ZINC000798569946 630208056 /nfs/dbraw/zinc/20/80/56/630208056.db2.gz VXGLBWLIOATXMA-ZETCQYMHSA-N 0 0 297.694 2.773 20 5 CFBDRN CCCN(C(=O)C1(CC)COC1)c1cccc([N+](=O)[O-])c1 ZINC000799411286 630307201 /nfs/dbraw/zinc/30/72/01/630307201.db2.gz CBNSJSHMZKXWOF-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cn1c(C(=O)O[C@H]2CCCC2(C)C)ccc1[N+](=O)[O-] ZINC000799461679 630310811 /nfs/dbraw/zinc/31/08/11/630310811.db2.gz AFXDKDHQJKOFDJ-JTQLQIEISA-N 0 0 266.297 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2csnn2)c1 ZINC000836094535 630334718 /nfs/dbraw/zinc/33/47/18/630334718.db2.gz JCQYYHDJLOXRRB-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000799919716 630354289 /nfs/dbraw/zinc/35/42/89/630354289.db2.gz UDWZFECOECHYGW-KKFJDGPESA-N 0 0 297.282 2.591 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)c2csnn2)cccc1[N+](=O)[O-] ZINC000836099779 630359728 /nfs/dbraw/zinc/35/97/28/630359728.db2.gz ALAFQPRRLDTWBQ-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN O=C(COc1ccsc1)OCc1ccccc1[N+](=O)[O-] ZINC000800310940 630370563 /nfs/dbraw/zinc/37/05/63/630370563.db2.gz NTACYNZROXRIDN-UHFFFAOYSA-N 0 0 293.300 2.779 20 5 CFBDRN C/C(=C\C(=O)NC1(C(F)F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000800338763 630372789 /nfs/dbraw/zinc/37/27/89/630372789.db2.gz VXKGSLVMBIUQOU-CMDGGOBGSA-N 0 0 296.273 2.912 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)c([N+](=O)[O-])c1 ZINC000800394091 630377818 /nfs/dbraw/zinc/37/78/18/630377818.db2.gz XZYIYSGQAMWDFP-ISTVAULSSA-N 0 0 293.319 2.876 20 5 CFBDRN Cc1cc(COC(=O)c2cccnc2F)ccc1[N+](=O)[O-] ZINC000808714118 630403408 /nfs/dbraw/zinc/40/34/08/630403408.db2.gz MXNUHMAPQJRUCG-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cc(C)nc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1 ZINC000800839890 630410146 /nfs/dbraw/zinc/41/01/46/630410146.db2.gz TUKYHRUNCNVZNY-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1ccc(CCNc2cc(N)ccc2[N+](=O)[O-])o1 ZINC000800847102 630410616 /nfs/dbraw/zinc/41/06/16/630410616.db2.gz MWAFAZRGNBASDC-UHFFFAOYSA-N 0 0 261.281 2.733 20 5 CFBDRN CN(Cc1cccc(O)c1)c1cc(N)ccc1[N+](=O)[O-] ZINC000800861137 630412201 /nfs/dbraw/zinc/41/22/01/630412201.db2.gz DPSHXCLJYRMKOK-UHFFFAOYSA-N 0 0 273.292 2.519 20 5 CFBDRN CON(CC1CCOCC1)c1ccc([N+](=O)[O-])c(C)c1 ZINC000800889311 630414720 /nfs/dbraw/zinc/41/47/20/630414720.db2.gz MXILWURSFBUBCA-UHFFFAOYSA-N 0 0 280.324 2.698 20 5 CFBDRN CCCSCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000801322772 630441106 /nfs/dbraw/zinc/44/11/06/630441106.db2.gz SPPFTPZUGFVNNF-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)[C@@H](C)[C@H](C)O1 ZINC000801547117 630456751 /nfs/dbraw/zinc/45/67/51/630456751.db2.gz HAVGDOVIFXNNQJ-VWYCJHECSA-N 0 0 282.315 2.732 20 5 CFBDRN C[C@H](N(C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C(C)(C)C ZINC000801809734 630471038 /nfs/dbraw/zinc/47/10/38/630471038.db2.gz SAUCASNKRGZRFS-QMMMGPOBSA-N 0 0 297.330 2.823 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801901725 630484637 /nfs/dbraw/zinc/48/46/37/630484637.db2.gz OBIOIYBBCLHLRF-SECBINFHSA-N 0 0 295.314 2.721 20 5 CFBDRN COCCCCOC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000803230538 630592447 /nfs/dbraw/zinc/59/24/47/630592447.db2.gz YZIRLWQWBVCLHG-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN Cc1c(C(=O)OCC2CCC(=O)CC2)cccc1[N+](=O)[O-] ZINC000803233183 630592762 /nfs/dbraw/zinc/59/27/62/630592762.db2.gz VPVKIJCATQAGRV-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN CCOc1ccc(C(=O)OCCCCF)cc1[N+](=O)[O-] ZINC000803259785 630596194 /nfs/dbraw/zinc/59/61/94/630596194.db2.gz GTPYJOWHEBZYKQ-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803297488 630600763 /nfs/dbraw/zinc/60/07/63/630600763.db2.gz XSCOCHNTGWNCAS-UHFFFAOYSA-N 0 0 296.229 2.867 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000803320313 630601781 /nfs/dbraw/zinc/60/17/81/630601781.db2.gz YCPGBSLJCXXOKN-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCCCF ZINC000803320506 630601808 /nfs/dbraw/zinc/60/18/08/630601808.db2.gz XJRDDJCYUYHPKO-UHFFFAOYSA-N 0 0 255.245 2.810 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1C[C@@H]1C1CC1 ZINC000803386040 630610348 /nfs/dbraw/zinc/61/03/48/630610348.db2.gz IMLYGIMTCISKKK-ZJUUUORDSA-N 0 0 297.257 2.962 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCc1ccncc1 ZINC000803388871 630610914 /nfs/dbraw/zinc/61/09/14/630610914.db2.gz XUCAVAGJJJOLBG-UHFFFAOYSA-N 0 0 286.287 2.666 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])nc1 ZINC000803408292 630613895 /nfs/dbraw/zinc/61/38/95/630613895.db2.gz DBCWDEGCOBYKTR-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CCSCCOC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803458596 630620101 /nfs/dbraw/zinc/62/01/01/630620101.db2.gz JXTMOPDINVAPFK-UHFFFAOYSA-N 0 0 287.312 2.952 20 5 CFBDRN C[C@H](C(=O)OCc1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000803474126 630621673 /nfs/dbraw/zinc/62/16/73/630621673.db2.gz RQMAWTFMRSABGJ-NSHDSACASA-N 0 0 286.287 2.837 20 5 CFBDRN O=C(OCCC1(O)CCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000840007912 630629966 /nfs/dbraw/zinc/62/99/66/630629966.db2.gz JOVIPJDMZTWDKK-UHFFFAOYSA-N 0 0 299.710 2.710 20 5 CFBDRN O=C(Nc1ccc(F)cn1)c1cc([N+](=O)[O-])ccc1F ZINC000112667930 635398538 /nfs/dbraw/zinc/39/85/38/635398538.db2.gz ZGOYKMAVZBEVAB-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC[C@@H]2C[C@@H]21 ZINC000804299127 630669555 /nfs/dbraw/zinc/66/95/55/630669555.db2.gz WYUQFCQDFNLLIF-PELKAZGASA-N 0 0 280.711 2.873 20 5 CFBDRN Nc1ccc(NC[C@@H]2CC2(Cl)Cl)c([N+](=O)[O-])c1 ZINC000804327269 630671095 /nfs/dbraw/zinc/67/10/95/630671095.db2.gz KLNQEJKZVCISEW-LURJTMIESA-N 0 0 276.123 2.783 20 5 CFBDRN Nc1ccc(N2CCC[C@@H]2c2cccnc2)c([N+](=O)[O-])c1 ZINC000804335548 630673420 /nfs/dbraw/zinc/67/34/20/630673420.db2.gz BIJWUWKNCNUSHL-CYBMUJFWSA-N 0 0 284.319 2.914 20 5 CFBDRN CC(=O)c1cc(NC[C@H](O)c2ccco2)ccc1[N+](=O)[O-] ZINC000804347985 630674636 /nfs/dbraw/zinc/67/46/36/630674636.db2.gz TZFSGKQVWQJEJS-ZDUSSCGKSA-N 0 0 290.275 2.536 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCc1nccs1 ZINC000804353083 630675315 /nfs/dbraw/zinc/67/53/15/630675315.db2.gz GKFMXBHOJZGTAQ-UHFFFAOYSA-N 0 0 280.265 2.572 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1nc(C2CC2)no1 ZINC000804361378 630675867 /nfs/dbraw/zinc/67/58/67/630675867.db2.gz QOTCNCZQLFMWJX-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN Cc1occc1CN(C)c1ccc(N)cc1[N+](=O)[O-] ZINC000804373318 630676545 /nfs/dbraw/zinc/67/65/45/630676545.db2.gz YEXXHDPYCFGJLW-UHFFFAOYSA-N 0 0 261.281 2.715 20 5 CFBDRN CCc1nc(C)cc(Oc2cc(C=O)ccc2[N+](=O)[O-])n1 ZINC000804398261 630679846 /nfs/dbraw/zinc/67/98/46/630679846.db2.gz FCODLCAUYCLPQI-UHFFFAOYSA-N 0 0 287.275 2.860 20 5 CFBDRN CSCCCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000804466403 630684828 /nfs/dbraw/zinc/68/48/28/630684828.db2.gz XPPSYDJRGQBMHQ-UHFFFAOYSA-N 0 0 255.343 2.732 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000804514319 630689394 /nfs/dbraw/zinc/68/93/94/630689394.db2.gz ZBJKINTVAMLXBV-NXEZZACHSA-N 0 0 267.354 2.873 20 5 CFBDRN C[C@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@@H]1C ZINC000804526525 630689762 /nfs/dbraw/zinc/68/97/62/630689762.db2.gz IJLIQPFLZHYTPH-IUCAKERBSA-N 0 0 265.269 2.595 20 5 CFBDRN CC1(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000804531330 630690363 /nfs/dbraw/zinc/69/03/63/630690363.db2.gz WXHSGBIEAJJWMD-UHFFFAOYSA-N 0 0 251.242 2.715 20 5 CFBDRN CC1CC(Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804531852 630690488 /nfs/dbraw/zinc/69/04/88/630690488.db2.gz HXDRWIXZTNYCGN-UHFFFAOYSA-N 0 0 251.242 2.713 20 5 CFBDRN Nc1ccc(N2CCC(c3ccccn3)CC2)c([N+](=O)[O-])c1 ZINC000804573300 630756921 /nfs/dbraw/zinc/75/69/21/630756921.db2.gz YFIGHGGVNOSKCE-UHFFFAOYSA-N 0 0 298.346 2.956 20 5 CFBDRN CC1(C)C[C@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000804573833 630757210 /nfs/dbraw/zinc/75/72/10/630757210.db2.gz PYPKZWGHBHMMDP-SECBINFHSA-N 0 0 295.295 2.873 20 5 CFBDRN CN(CCc1cnccn1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804589259 630761915 /nfs/dbraw/zinc/76/19/15/630761915.db2.gz KJSFVILVPVAJRF-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN CN(C[C@@H](O)C(F)(F)F)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804592330 630762121 /nfs/dbraw/zinc/76/21/21/630762121.db2.gz HUTXHBOISLNMCZ-SECBINFHSA-N 0 0 298.648 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC(OC(F)F)C1 ZINC000804631049 630768277 /nfs/dbraw/zinc/76/82/77/630768277.db2.gz NNASNMZINXLZEC-UHFFFAOYSA-N 0 0 278.642 2.676 20 5 CFBDRN CC[C@]1(C)CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000804645604 630768293 /nfs/dbraw/zinc/76/82/93/630768293.db2.gz UBCTWQDGRSUMJT-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN CCON(CC1CCCCC1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000836248241 630779820 /nfs/dbraw/zinc/77/98/20/630779820.db2.gz DEMOXMLGKHRRBQ-UHFFFAOYSA-N 0 0 295.339 2.897 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1CCCCCCCC1 ZINC000836484088 630831400 /nfs/dbraw/zinc/83/14/00/630831400.db2.gz HEGDWKCSVQXYGJ-UHFFFAOYSA-N 0 0 292.339 2.600 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CC3(CCC3)[C@@H]2c2ccccc2)c1 ZINC000840075704 630834276 /nfs/dbraw/zinc/83/42/76/630834276.db2.gz BQIUKERRTGTJOJ-HNNXBMFYSA-N 0 0 298.346 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CC(F)(F)C2)cc1Cl ZINC000836782224 630881754 /nfs/dbraw/zinc/88/17/54/630881754.db2.gz YSCHGPSFDMPZNJ-UHFFFAOYSA-N 0 0 290.653 2.648 20 5 CFBDRN CC[C@@](C)(CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])OC ZINC000836824117 630892087 /nfs/dbraw/zinc/89/20/87/630892087.db2.gz UUNQKNCOPWMXDI-AWEZNQCLSA-N 0 0 298.314 2.587 20 5 CFBDRN COC(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000836837689 630896411 /nfs/dbraw/zinc/89/64/11/630896411.db2.gz NKQCLTQLNRBYIR-LLVKDONJSA-N 0 0 264.281 2.541 20 5 CFBDRN CCOc1ccc(C(=O)OC/C=C\Cl)cc1[N+](=O)[O-] ZINC000807575354 630896718 /nfs/dbraw/zinc/89/67/18/630896718.db2.gz NZWJAIQVGNMVEP-UTCJRWHESA-N 0 0 285.683 2.903 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccn1 ZINC000041005233 649987771 /nfs/dbraw/zinc/98/77/71/649987771.db2.gz QPDLLOGOZMWEPB-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC/C=C\Cl ZINC000807616850 630899810 /nfs/dbraw/zinc/89/98/10/630899810.db2.gz YJPOGYULUMXZIK-DJWKRKHSSA-N 0 0 271.656 2.513 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807972446 630927952 /nfs/dbraw/zinc/92/79/52/630927952.db2.gz RWXAYXLOISEQIG-LKFCYVNXSA-N 0 0 280.324 2.616 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807972448 630927967 /nfs/dbraw/zinc/92/79/67/630927967.db2.gz RWXAYXLOISEQIG-OTYXRUKQSA-N 0 0 280.324 2.616 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Oc2ccncc2[N+](=O)[O-])c1 ZINC000807998967 630936044 /nfs/dbraw/zinc/93/60/44/630936044.db2.gz DBZGHBURKSMOGB-UHFFFAOYSA-N 0 0 279.183 2.829 20 5 CFBDRN CC[C@@H](C)N(CCO)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000808079627 630948510 /nfs/dbraw/zinc/94/85/10/630948510.db2.gz NTBYSUGXHLKEFS-SECBINFHSA-N 0 0 295.364 2.802 20 5 CFBDRN CCOCC(C)(C)CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000808136378 630955043 /nfs/dbraw/zinc/95/50/43/630955043.db2.gz CSAUZLNXRCRQSW-UHFFFAOYSA-N 0 0 292.339 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COc3ccccc3[C@H]2O)s1 ZINC000808168640 630963476 /nfs/dbraw/zinc/96/34/76/630963476.db2.gz NZQDUAIAIYSLQQ-TVQRCGJNSA-N 0 0 292.316 2.563 20 5 CFBDRN CC(C)CCOC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000808486995 631001402 /nfs/dbraw/zinc/00/14/02/631001402.db2.gz QHQZWWLEYFQQEX-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN CCCCOC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000808487518 631001765 /nfs/dbraw/zinc/00/17/65/631001765.db2.gz NQAABFRUVNKQJD-UHFFFAOYSA-N 0 0 266.297 2.618 20 5 CFBDRN C[C@@]1(COC(=O)c2ccc([N+](=O)[O-])o2)CC1(Cl)Cl ZINC000837336102 631003914 /nfs/dbraw/zinc/00/39/14/631003914.db2.gz ZSEBIMDKNANDNL-VIFPVBQESA-N 0 0 294.090 2.929 20 5 CFBDRN CCCN(C(=O)O[C@H](C)COC)c1cccc([N+](=O)[O-])c1 ZINC000867231795 631064148 /nfs/dbraw/zinc/06/41/48/631064148.db2.gz YZWHLDCNCXBVOY-LLVKDONJSA-N 0 0 296.323 2.983 20 5 CFBDRN COC1(CCOC(=O)c2ccccc2[N+](=O)[O-])CCC1 ZINC000809048627 631070751 /nfs/dbraw/zinc/07/07/51/631070751.db2.gz RKCRGXDTXFYYTF-UHFFFAOYSA-N 0 0 279.292 2.711 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)/C(C)=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000809109059 631080278 /nfs/dbraw/zinc/08/02/78/631080278.db2.gz KLKWWHDSXSNZRW-MVAREADRSA-N 0 0 291.303 2.719 20 5 CFBDRN O=C1OCc2ccc(NCc3csc([N+](=O)[O-])c3)cc21 ZINC000809195977 631096019 /nfs/dbraw/zinc/09/60/19/631096019.db2.gz MQAQEXBAVBABGJ-UHFFFAOYSA-N 0 0 290.300 2.939 20 5 CFBDRN Cc1nn(C[N@@H+]2CCC[C@H]2C2CCC2)c(C)c1[N+](=O)[O-] ZINC000102886089 631115543 /nfs/dbraw/zinc/11/55/43/631115543.db2.gz DYHXXRMMZFMLGT-ZDUSSCGKSA-N 0 0 278.356 2.630 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)CSC1CC1 ZINC000837874007 631146928 /nfs/dbraw/zinc/14/69/28/631146928.db2.gz NFCXDHOOWVWNMR-UHFFFAOYSA-N 0 0 297.332 2.542 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc(-c2ccc([N+](=O)[O-])cc2)[nH]n1 ZINC000837964765 631155014 /nfs/dbraw/zinc/15/50/14/631155014.db2.gz VVPLWNQFUKXFRR-SECBINFHSA-N 0 0 289.291 2.940 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000837975706 631156277 /nfs/dbraw/zinc/15/62/77/631156277.db2.gz CMRCBZUJDRIBIA-RKDXNWHRSA-N 0 0 267.256 2.823 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CC1(F)F ZINC000837982535 631158073 /nfs/dbraw/zinc/15/80/73/631158073.db2.gz WHWIIQHFQYOGDM-QMMMGPOBSA-N 0 0 291.637 2.947 20 5 CFBDRN CCO/C=C/C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000840587452 631167345 /nfs/dbraw/zinc/16/73/45/631167345.db2.gz JNHRWSZNGHIZNY-DDXVTDLHSA-N 0 0 265.265 2.749 20 5 CFBDRN C[C@H](NC(=S)NC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000840764295 631220444 /nfs/dbraw/zinc/22/04/44/631220444.db2.gz QIKUXXAIOKDOAV-VIFPVBQESA-N 0 0 279.365 2.672 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2Cc3ccncc3C2)cc1 ZINC000809566357 631236073 /nfs/dbraw/zinc/23/60/73/631236073.db2.gz ZDODPKUZJKBKFR-UHFFFAOYSA-N 0 0 269.304 2.548 20 5 CFBDRN Cc1cc(OS(=O)(=O)CCC2CCC2)ccc1[N+](=O)[O-] ZINC000809806990 631293413 /nfs/dbraw/zinc/29/34/13/631293413.db2.gz XQNZTPJSPIBTID-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN CON(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000809934328 631302038 /nfs/dbraw/zinc/30/20/38/631302038.db2.gz QJCALQRTAZIJGG-UHFFFAOYSA-N 0 0 265.096 2.895 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cc2ccccc2o1 ZINC000841194088 631355835 /nfs/dbraw/zinc/35/58/35/631355835.db2.gz GYMPLFFBIOQGIM-JMJZKYOTSA-N 0 0 275.260 2.702 20 5 CFBDRN COCC(C)(C)CC(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000841197674 631355866 /nfs/dbraw/zinc/35/58/66/631355866.db2.gz LCSCZDDZXLFDLO-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN CC1(C)CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1=O ZINC000840196777 631359017 /nfs/dbraw/zinc/35/90/17/631359017.db2.gz ONCOKAGVEXEZCS-LBPRGKRZSA-N 0 0 291.303 2.899 20 5 CFBDRN Cc1nc(COC(=O)c2ccc([N+](=O)[O-])cc2C)c(C)o1 ZINC000841338991 631388793 /nfs/dbraw/zinc/38/87/93/631388793.db2.gz QPGSGATXVGWJCZ-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\n2cc([N+](=O)[O-])c(C3CC3)n2)o1 ZINC000840203678 631389438 /nfs/dbraw/zinc/38/94/38/631389438.db2.gz JXWCNCLDKVFHCO-WAYWQWQTSA-N 0 0 290.235 2.798 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(N)c([N+](=O)[O-])c1)[C@H]1CC1(C)C ZINC000841451471 631407434 /nfs/dbraw/zinc/40/74/34/631407434.db2.gz QLCOQDKOHQLYAO-ZYHUDNBSSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1cc([C@H](C)OC(=O)c2cc([N+](=O)[O-])cnc2C)no1 ZINC000810790780 631445333 /nfs/dbraw/zinc/44/53/33/631445333.db2.gz DXYCABJHGTYFQN-VIFPVBQESA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@H]1CCC(C)(C)C1=O ZINC000840219170 631490681 /nfs/dbraw/zinc/49/06/81/631490681.db2.gz GZGAIPXRNMDMBG-LBPRGKRZSA-N 0 0 291.303 2.818 20 5 CFBDRN O=C(OCCc1cccc(F)c1)c1ccc([N+](=O)[O-])cn1 ZINC000811108161 631516520 /nfs/dbraw/zinc/51/65/20/631516520.db2.gz WUOILMMKUUFEMI-UHFFFAOYSA-N 0 0 290.250 2.528 20 5 CFBDRN CC[C@@H](COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000842055658 631516580 /nfs/dbraw/zinc/51/65/80/631516580.db2.gz NHRVMGCAKBKRBT-PPGNKHEKSA-N 0 0 279.292 2.576 20 5 CFBDRN CC[C@@H](COC(=O)c1cc(SC)ccc1[N+](=O)[O-])OC ZINC000842058252 631517236 /nfs/dbraw/zinc/51/72/36/631517236.db2.gz IIPMSXYACROZKI-VIFPVBQESA-N 0 0 299.348 2.899 20 5 CFBDRN CC[C@@H](COC(=O)CSc1ccc([N+](=O)[O-])cc1)OC ZINC000842062658 631520102 /nfs/dbraw/zinc/52/01/02/631520102.db2.gz JSHMPUBNAKSMRE-NSHDSACASA-N 0 0 299.348 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H]1CCC(C)(C)C1=O ZINC000840226564 631526674 /nfs/dbraw/zinc/52/66/74/631526674.db2.gz YFLNRQPVWQIISV-GFCCVEGCSA-N 0 0 291.303 2.818 20 5 CFBDRN CC[C@H](COC(=O)c1c(Cl)cccc1[N+](=O)[O-])OC ZINC000842075872 631526680 /nfs/dbraw/zinc/52/66/80/631526680.db2.gz HPVORUQDJAXFHJ-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000842113347 631545837 /nfs/dbraw/zinc/54/58/37/631545837.db2.gz HICDGZAPGCWLHV-SNVBAGLBSA-N 0 0 287.337 2.761 20 5 CFBDRN O=C(NOCc1ccc(Cl)c([N+](=O)[O-])c1)C1CCC1 ZINC000842115814 631547520 /nfs/dbraw/zinc/54/75/20/631547520.db2.gz IPUSDIVLNKQBNW-UHFFFAOYSA-N 0 0 284.699 2.596 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1CCCSC1 ZINC000811413030 631561441 /nfs/dbraw/zinc/56/14/41/631561441.db2.gz WHNREKNACNAIJH-VIFPVBQESA-N 0 0 287.362 2.843 20 5 CFBDRN CCCNC(=S)Nc1cc([N+](=O)[O-])ccc1CC ZINC000811715985 631611266 /nfs/dbraw/zinc/61/12/66/631611266.db2.gz GXWQLWWTOSITMF-UHFFFAOYSA-N 0 0 267.354 2.854 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C(F)F)C1 ZINC000811843492 631631495 /nfs/dbraw/zinc/63/14/95/631631495.db2.gz VGNGTSWJLRSLDX-SECBINFHSA-N 0 0 286.278 2.833 20 5 CFBDRN CCC[C@](C)(O)c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000842493520 631645294 /nfs/dbraw/zinc/64/52/94/631645294.db2.gz MBBCVHBIWGBYEL-AWEZNQCLSA-N 0 0 291.307 2.961 20 5 CFBDRN CCSc1cccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)c1 ZINC000842503977 631648161 /nfs/dbraw/zinc/64/81/61/631648161.db2.gz DIYCWPRVLQYNFD-GHMZBOCLSA-N 0 0 291.332 2.981 20 5 CFBDRN CC(C)c1ccoc1-c1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000842506005 631649168 /nfs/dbraw/zinc/64/91/68/631649168.db2.gz WOBXNHYNSFTJQC-RKDXNWHRSA-N 0 0 263.253 2.586 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1CC1=CCCC1 ZINC000842548140 631662723 /nfs/dbraw/zinc/66/27/23/631662723.db2.gz IYDSARQGZIJPHA-UHFFFAOYSA-N 0 0 286.129 2.973 20 5 CFBDRN O=[N+]([O-])c1c(F)c(F)c(OC[C@H]2CCCO2)c(F)c1F ZINC000842659244 631695128 /nfs/dbraw/zinc/69/51/28/631695128.db2.gz AHRKXPSYAMATGT-RXMQYKEDSA-N 0 0 295.188 2.709 20 5 CFBDRN CC(C)C[C@@H](O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000840252839 631699876 /nfs/dbraw/zinc/69/98/76/631699876.db2.gz ZCAVRKXRIVNRON-CYBMUJFWSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@](O)(c1nc(-c2ccc([N+](=O)[O-])cc2)no1)C1CCC1 ZINC000812456089 631720798 /nfs/dbraw/zinc/72/07/98/631720798.db2.gz OCHQMSVRYFDNHI-CQSZACIVSA-N 0 0 289.291 2.652 20 5 CFBDRN CCC1(C)CN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000812483542 631724169 /nfs/dbraw/zinc/72/41/69/631724169.db2.gz RBRVHVVMCGXXMY-UHFFFAOYSA-N 0 0 268.288 2.979 20 5 CFBDRN COC(=O)/C=C\c1ccc(NCCCCF)c([N+](=O)[O-])c1 ZINC000812479828 631724404 /nfs/dbraw/zinc/72/44/04/631724404.db2.gz TVWVNYKXVKUMQC-ALCCZGGFSA-N 0 0 296.298 2.943 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCCCF ZINC000812479114 631724489 /nfs/dbraw/zinc/72/44/89/631724489.db2.gz MJSNJMSDMRVWBG-UHFFFAOYSA-N 0 0 254.261 2.959 20 5 CFBDRN CCN(c1ccc2ncccc2c1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000812484514 631725064 /nfs/dbraw/zinc/72/50/64/631725064.db2.gz JHAUQJOWDQKVSZ-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000812619214 631745523 /nfs/dbraw/zinc/74/55/23/631745523.db2.gz COWFGYXNHHENGS-ZJUUUORDSA-N 0 0 299.298 2.696 20 5 CFBDRN CSC(C)(C)CNc1ncc([N+](=O)[O-])cc1F ZINC000840267572 631769901 /nfs/dbraw/zinc/76/99/01/631769901.db2.gz LRXYWHYECQPWDZ-UHFFFAOYSA-N 0 0 259.306 2.682 20 5 CFBDRN CN(Cc1ccc(Cl)nc1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000155682097 650029022 /nfs/dbraw/zinc/02/90/22/650029022.db2.gz OSONXADERREYIW-UHFFFAOYSA-N 0 0 295.682 2.508 20 5 CFBDRN C[C@@H]1CC[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)C1 ZINC000812814472 631789314 /nfs/dbraw/zinc/78/93/14/631789314.db2.gz YABFOGSVAFMRLE-ZJUUUORDSA-N 0 0 267.329 2.961 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@H]1CCCSC1 ZINC000812834569 631793792 /nfs/dbraw/zinc/79/37/92/631793792.db2.gz TYBXYTKWMIOOPP-QMMMGPOBSA-N 0 0 284.312 2.816 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@@H]1CCCSC1 ZINC000812834568 631794063 /nfs/dbraw/zinc/79/40/63/631794063.db2.gz TYBXYTKWMIOOPP-MRVPVSSYSA-N 0 0 284.312 2.816 20 5 CFBDRN COCCCCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842662309 631813659 /nfs/dbraw/zinc/81/36/59/631813659.db2.gz QBFKZKIDKVNITQ-UHFFFAOYSA-N 0 0 297.204 2.957 20 5 CFBDRN CC(C)c1cc(C(=O)OC[C@H](C)[N+](=O)[O-])nn1C(C)(C)C ZINC000813103018 631837874 /nfs/dbraw/zinc/83/78/74/631837874.db2.gz YJAFGLVWYAEUMN-JTQLQIEISA-N 0 0 297.355 2.584 20 5 CFBDRN CC(C)c1cc(C(=O)OC[C@@H](C)[N+](=O)[O-])nn1C(C)(C)C ZINC000813103019 631838516 /nfs/dbraw/zinc/83/85/16/631838516.db2.gz YJAFGLVWYAEUMN-SNVBAGLBSA-N 0 0 297.355 2.584 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(Cl)c2ncccc12)[N+](=O)[O-] ZINC000813103445 631839810 /nfs/dbraw/zinc/83/98/10/631839810.db2.gz AUFODHBJJCSMKL-QMMMGPOBSA-N 0 0 294.694 2.710 20 5 CFBDRN CCCOc1ccc(CCC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813103676 631840098 /nfs/dbraw/zinc/84/00/98/631840098.db2.gz DVZQGUHXFAUQGR-GFCCVEGCSA-N 0 0 295.335 2.616 20 5 CFBDRN C[C@@H](COC(=O)C[C@H]1CCCc2ccccc21)[N+](=O)[O-] ZINC000813105283 631840451 /nfs/dbraw/zinc/84/04/51/631840451.db2.gz XKZYIQKBIMPXJK-WCQYABFASA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@H](COC(=O)CCc1cc(Cl)cs1)[N+](=O)[O-] ZINC000813107060 631841149 /nfs/dbraw/zinc/84/11/49/631841149.db2.gz LKSQDYSORVUXAW-SSDOTTSWSA-N 0 0 277.729 2.543 20 5 CFBDRN C[C@@H](COC(=O)c1cc2c(s1)CC[C@H](C)C2)[N+](=O)[O-] ZINC000813105368 631841743 /nfs/dbraw/zinc/84/17/43/631841743.db2.gz YCUVKYILRVGGKL-IUCAKERBSA-N 0 0 283.349 2.695 20 5 CFBDRN CC(C)CC1(C(=O)OC[C@@H](C)[N+](=O)[O-])CCCC1 ZINC000813108637 631843381 /nfs/dbraw/zinc/84/33/81/631843381.db2.gz IZKJANUQVQSMED-LLVKDONJSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@@H](COC(=O)c1cc2ccccc2s1)[N+](=O)[O-] ZINC000813113759 631844412 /nfs/dbraw/zinc/84/44/12/631844412.db2.gz UXGSFVRJAUAFGT-QMMMGPOBSA-N 0 0 265.290 2.723 20 5 CFBDRN C[C@@H](COC(=O)c1cncc(-c2ccccc2)c1)[N+](=O)[O-] ZINC000813112390 631844535 /nfs/dbraw/zinc/84/45/35/631844535.db2.gz GJCQYHDNKFUFRT-NSHDSACASA-N 0 0 286.287 2.571 20 5 CFBDRN Cc1ccc2[nH]cc(CCC(=O)OC[C@H](C)[N+](=O)[O-])c2c1 ZINC000813112024 631844945 /nfs/dbraw/zinc/84/49/45/631844945.db2.gz MKQDVZCGRRGFRK-NSHDSACASA-N 0 0 290.319 2.617 20 5 CFBDRN C[C@H](CSc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813115711 631846152 /nfs/dbraw/zinc/84/61/52/631846152.db2.gz YNRWDFDPEJLJRB-MNOVXSKESA-N 0 0 283.349 2.623 20 5 CFBDRN C[C@@H](COC(=O)c1csc(-c2cccs2)n1)[N+](=O)[O-] ZINC000813114229 631846473 /nfs/dbraw/zinc/84/64/73/631846473.db2.gz OBBSGGPEPVJMOY-ZETCQYMHSA-N 0 0 298.345 2.694 20 5 CFBDRN CC1(C)C[C@@H]1COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000813131346 631857582 /nfs/dbraw/zinc/85/75/82/631857582.db2.gz XMOIANSVAKJYPC-LLVKDONJSA-N 0 0 263.293 2.727 20 5 CFBDRN CO[C@](C)(COC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000813190711 631878776 /nfs/dbraw/zinc/87/87/76/631878776.db2.gz XVEJOYRJXHCXOE-CQSZACIVSA-N 0 0 279.292 2.567 20 5 CFBDRN CO[C@@](C)(COC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000813194938 631880640 /nfs/dbraw/zinc/88/06/40/631880640.db2.gz KGAZTUVITJHSPP-AWEZNQCLSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1c(CC(=O)OC2CC(C)C2)cccc1[N+](=O)[O-] ZINC000813231747 631887890 /nfs/dbraw/zinc/88/78/90/631887890.db2.gz UFMXMBVJQVVGMQ-UHFFFAOYSA-N 0 0 263.293 2.787 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813245659 631890835 /nfs/dbraw/zinc/89/08/35/631890835.db2.gz JAQJDPBJUYMKIL-SSDOTTSWSA-N 0 0 272.688 2.612 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)C1=CCC1 ZINC000813246957 631891143 /nfs/dbraw/zinc/89/11/43/631891143.db2.gz UBQYEIISEGUPEO-UHFFFAOYSA-N 0 0 252.657 2.907 20 5 CFBDRN CSCC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813245201 631891190 /nfs/dbraw/zinc/89/11/90/631891190.db2.gz LJHKJQZXPGBUBS-UHFFFAOYSA-N 0 0 260.702 2.550 20 5 CFBDRN Cc1c(-c2noc([C@@H](C)NC3CC3)n2)cccc1[N+](=O)[O-] ZINC000843238278 631910729 /nfs/dbraw/zinc/91/07/29/631910729.db2.gz NHTJSPGDPUDPFX-SECBINFHSA-N 0 0 288.307 2.766 20 5 CFBDRN CCc1cc(CC)n(C[C@H](O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000843296065 631920921 /nfs/dbraw/zinc/92/09/21/631920921.db2.gz YAMOGWOFJULJTN-HNNXBMFYSA-N 0 0 289.335 2.650 20 5 CFBDRN CCON(C(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000843315043 631925644 /nfs/dbraw/zinc/92/56/44/631925644.db2.gz RHOVHNRATPVIEH-UHFFFAOYSA-N 0 0 267.285 2.789 20 5 CFBDRN CC/C=C(/F)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000867324734 631927441 /nfs/dbraw/zinc/92/74/41/631927441.db2.gz MMSKQKRHQXHWEY-RUDMXATFSA-N 0 0 268.244 2.805 20 5 CFBDRN CC[C@H](C)CON=c1c2ccccc2[nH]cc1[N+](=O)[O-] ZINC000813621619 631941994 /nfs/dbraw/zinc/94/19/94/631941994.db2.gz CARGCDKMTCQIIY-JTQLQIEISA-N 0 0 275.308 2.955 20 5 CFBDRN CC[C@@H](C)CONc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000813623351 631943119 /nfs/dbraw/zinc/94/31/19/631943119.db2.gz XTEAHUVXBZFQAN-MRVPVSSYSA-N 0 0 269.257 2.893 20 5 CFBDRN CC[C@@H](C)CON=c1nc[nH]c2ccc([N+](=O)[O-])cc21 ZINC000813622280 631943167 /nfs/dbraw/zinc/94/31/67/631943167.db2.gz NSGHAROKKXKBIF-SECBINFHSA-N 0 0 276.296 2.928 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C1(F)CC1 ZINC000843535782 631979216 /nfs/dbraw/zinc/97/92/16/631979216.db2.gz LJENXODISCNGJH-UHFFFAOYSA-N 0 0 280.299 2.834 20 5 CFBDRN CCCn1c(C(=O)O[C@@H](C)C[N+](=O)[O-])cc2ccccc21 ZINC000814035463 631997255 /nfs/dbraw/zinc/99/72/55/631997255.db2.gz NIQXOGCTZCLTIR-NSHDSACASA-N 0 0 290.319 2.873 20 5 CFBDRN Cc1cc(OCC(=O)O[C@H](C)C[N+](=O)[O-])ccc1C(C)C ZINC000814036665 631997872 /nfs/dbraw/zinc/99/78/72/631997872.db2.gz IPIMIRBNAUTDLT-GFCCVEGCSA-N 0 0 295.335 2.706 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)CSCc1ccccc1 ZINC000814037622 631998027 /nfs/dbraw/zinc/99/80/27/631998027.db2.gz ZUXSREVSKACDNH-NWDGAFQWSA-N 0 0 297.376 2.764 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc2cc(Cl)ccc2o1 ZINC000814038207 631998716 /nfs/dbraw/zinc/99/87/16/631998716.db2.gz OKGKAOHQMCBJSR-SSDOTTSWSA-N 0 0 283.667 2.908 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc2c(s1)CCCCC2 ZINC000814039917 631998781 /nfs/dbraw/zinc/99/87/81/631998781.db2.gz CEPIRTSPUSOULO-VIFPVBQESA-N 0 0 283.349 2.839 20 5 CFBDRN CC(C)[C@H](C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccc(F)cc1 ZINC000814038703 631998864 /nfs/dbraw/zinc/99/88/64/631998864.db2.gz GRCYWXGRXCEDEV-GWCFXTLKSA-N 0 0 283.299 2.774 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCCc1ccc(Cl)cc1 ZINC000814038560 631998929 /nfs/dbraw/zinc/99/89/29/631998929.db2.gz RJKMLSFVYXNOAK-SNVBAGLBSA-N 0 0 285.727 2.871 20 5 CFBDRN Cc1ccccc1[C@H](C)CC(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814039726 631998958 /nfs/dbraw/zinc/99/89/58/631998958.db2.gz WOIIEGHZWUQCFM-NEPJUHHUSA-N 0 0 265.309 2.697 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)Cc1ccccc1Cl ZINC000814041556 631999486 /nfs/dbraw/zinc/99/94/86/631999486.db2.gz QMWXOZBLKJBKLA-NXEZZACHSA-N 0 0 285.727 2.727 20 5 CFBDRN Cc1cc2cc(C(=O)O[C@H](C)C[N+](=O)[O-])oc2cc1C ZINC000814042983 631999672 /nfs/dbraw/zinc/99/96/72/631999672.db2.gz RMPBAHGEPVPFIE-SNVBAGLBSA-N 0 0 277.276 2.872 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1ccc(C(C)(C)C)cc1 ZINC000814044079 632000049 /nfs/dbraw/zinc/00/00/49/632000049.db2.gz AXVULQCLMDPZQE-LLVKDONJSA-N 0 0 279.336 2.735 20 5 CFBDRN COc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])c2ccccc12 ZINC000814043597 632000420 /nfs/dbraw/zinc/00/04/20/632000420.db2.gz GLYJQXIUPIZBNA-SNVBAGLBSA-N 0 0 289.287 2.670 20 5 CFBDRN CCc1ccc([C@H](C)C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814048578 632000920 /nfs/dbraw/zinc/00/09/20/632000920.db2.gz VCPUKTZLYUYGFQ-QWRGUYRKSA-N 0 0 265.309 2.561 20 5 CFBDRN Cc1ccc(C(C)C)cc1OCC(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814046180 632001293 /nfs/dbraw/zinc/00/12/93/632001293.db2.gz HBECSAMSVANKGL-LBPRGKRZSA-N 0 0 295.335 2.706 20 5 CFBDRN Cc1ncoc1COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000814100520 632013491 /nfs/dbraw/zinc/01/34/91/632013491.db2.gz WZPYNNIUVIJMPR-SECBINFHSA-N 0 0 290.275 2.738 20 5 CFBDRN C[C@H](NC(=S)NC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000814202102 632036046 /nfs/dbraw/zinc/03/60/46/632036046.db2.gz DRMVKTCQFFAKFP-VIFPVBQESA-N 0 0 279.365 2.672 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H](O)c1ccc(Cl)cc1 ZINC000814260088 632047723 /nfs/dbraw/zinc/04/77/23/632047723.db2.gz ASZUUPMLMOCOAQ-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC1CCCC1 ZINC000843750970 632049631 /nfs/dbraw/zinc/04/96/31/632049631.db2.gz WGWSBPUBEODUGB-UHFFFAOYSA-N 0 0 266.272 2.715 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N(C)CC(F)(F)F ZINC000843774184 632054294 /nfs/dbraw/zinc/05/42/94/632054294.db2.gz IPFNDQBXENAHHF-UHFFFAOYSA-N 0 0 294.204 2.677 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCC1CC1 ZINC000843809374 632059708 /nfs/dbraw/zinc/05/97/08/632059708.db2.gz XGLMCTSENQBDJL-UHFFFAOYSA-N 0 0 266.272 2.572 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000843817265 632061275 /nfs/dbraw/zinc/06/12/75/632061275.db2.gz AGZHHYSMHBORLR-JTQLQIEISA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC1(C2CC2)CC1 ZINC000843838541 632064745 /nfs/dbraw/zinc/06/47/45/632064745.db2.gz YLNQSEBOWLJADK-UHFFFAOYSA-N 0 0 292.310 2.962 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C2CC2)C1 ZINC000843842113 632066146 /nfs/dbraw/zinc/06/61/46/632066146.db2.gz PHBYCTPUJYHXOO-LLVKDONJSA-N 0 0 292.310 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCC2CCOCC2)c1 ZINC000814457146 632068225 /nfs/dbraw/zinc/06/82/25/632068225.db2.gz VDZIJUNSLUDDAW-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN C/C=C\COC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000814482961 632074919 /nfs/dbraw/zinc/07/49/19/632074919.db2.gz GZJZDDNEPYNCMN-PLNGDYQASA-N 0 0 282.271 2.533 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)OCc2ccccc2[N+](=O)[O-])C1 ZINC000814563212 632092555 /nfs/dbraw/zinc/09/25/55/632092555.db2.gz HCDGELLAUXSNMU-WCQYABFASA-N 0 0 293.319 2.843 20 5 CFBDRN CCC(C)(C)OCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000840325124 632104457 /nfs/dbraw/zinc/10/44/57/632104457.db2.gz PHGPKTNSEGQSSA-UHFFFAOYSA-N 0 0 267.329 2.794 20 5 CFBDRN COC1(CCNc2nc3ccccn3c2[N+](=O)[O-])CCC1 ZINC000814901543 632155738 /nfs/dbraw/zinc/15/57/38/632155738.db2.gz BHBOGKBAMBTNAU-UHFFFAOYSA-N 0 0 290.323 2.614 20 5 CFBDRN Cc1nc(Sc2nc3ccccn3c2[N+](=O)[O-])[nH]c1C ZINC000814903489 632156512 /nfs/dbraw/zinc/15/65/12/632156512.db2.gz LTFKTDHCGIVFRP-UHFFFAOYSA-N 0 0 289.320 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCC[C@@H](O)CC3)sc2c1 ZINC000814958294 632179674 /nfs/dbraw/zinc/17/96/74/632179674.db2.gz JZVCZPAZJLAIBU-SNVBAGLBSA-N 0 0 293.348 2.556 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C)[C@H]1C ZINC000815164017 632221672 /nfs/dbraw/zinc/22/16/72/632221672.db2.gz UBFPCTPINALPGM-GHMZBOCLSA-N 0 0 264.325 2.834 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000815219054 632231785 /nfs/dbraw/zinc/23/17/85/632231785.db2.gz KCQDGEJTJCNEHH-JGVFFNPUSA-N 0 0 282.271 2.662 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000815219053 632231863 /nfs/dbraw/zinc/23/18/63/632231863.db2.gz KCQDGEJTJCNEHH-HTQZYQBOSA-N 0 0 282.271 2.662 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000815220509 632231980 /nfs/dbraw/zinc/23/19/80/632231980.db2.gz YWXDQVSAPBVRPD-IUCAKERBSA-N 0 0 264.281 2.522 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000815230140 632234358 /nfs/dbraw/zinc/23/43/58/632234358.db2.gz NHZMYCBCEKFJHY-BDAKNGLRSA-N 0 0 264.281 2.522 20 5 CFBDRN COC[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000815406429 632269037 /nfs/dbraw/zinc/26/90/37/632269037.db2.gz ICEWAVIEQYQSHS-QMMMGPOBSA-N 0 0 287.699 2.748 20 5 CFBDRN CCC(=O)COC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000815407441 632269281 /nfs/dbraw/zinc/26/92/81/632269281.db2.gz VGGJHMGXXRIDPE-UHFFFAOYSA-N 0 0 285.683 2.693 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000815697606 632312557 /nfs/dbraw/zinc/31/25/57/632312557.db2.gz HRHBMUYMEPKTOY-WDEREUQCSA-N 0 0 297.355 2.730 20 5 CFBDRN CC(C)(CCc1noc([C@@]2(C)C[C@H]3C[C@H]3C2)n1)[N+](=O)[O-] ZINC000815697409 632312825 /nfs/dbraw/zinc/31/28/25/632312825.db2.gz AENZHGYLSJJJSG-MSRIBSCDSA-N 0 0 279.340 2.745 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2C[C@H]2C2CCC2)n1)[N+](=O)[O-] ZINC000844869659 632325985 /nfs/dbraw/zinc/32/59/85/632325985.db2.gz UCCPFAVWKIWTGL-WDEREUQCSA-N 0 0 279.340 2.961 20 5 CFBDRN CCCCC(=O)OCc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000845072052 632366602 /nfs/dbraw/zinc/36/66/02/632366602.db2.gz KQYNCQRJSXLZBK-UHFFFAOYSA-N 0 0 295.291 2.615 20 5 CFBDRN C[C@H](COC(=O)c1cc(Cl)c(F)cc1Cl)[N+](=O)[O-] ZINC000816091361 632369794 /nfs/dbraw/zinc/36/97/94/632369794.db2.gz TYOPIAHEXXGQRA-RXMQYKEDSA-N 0 0 296.081 2.955 20 5 CFBDRN C[C@@H](COC(=O)/C(F)=C\C1CCCCC1)[N+](=O)[O-] ZINC000816091308 632369854 /nfs/dbraw/zinc/36/98/54/632369854.db2.gz RONWHJJRMKJFCM-FKVCUQLRSA-N 0 0 259.277 2.629 20 5 CFBDRN COc1ccc2ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc2c1 ZINC000816091065 632369870 /nfs/dbraw/zinc/36/98/70/632369870.db2.gz JJXINFMRCXYSLF-JTQLQIEISA-N 0 0 289.287 2.670 20 5 CFBDRN C[C@H](COC(=O)Cc1c[nH]c2cccc(Cl)c12)[N+](=O)[O-] ZINC000816091004 632370227 /nfs/dbraw/zinc/37/02/27/632370227.db2.gz HFHAVROWZKZPFG-MRVPVSSYSA-N 0 0 296.710 2.572 20 5 CFBDRN COc1ccc2ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc2c1 ZINC000816096812 632371408 /nfs/dbraw/zinc/37/14/08/632371408.db2.gz MOOXETNRVJCMJB-JTQLQIEISA-N 0 0 289.287 2.670 20 5 CFBDRN COC/C(C)=C/C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000845241097 632392496 /nfs/dbraw/zinc/39/24/96/632392496.db2.gz BADWJZQVQLRRHD-RJCSOLBVSA-N 0 0 279.292 2.792 20 5 CFBDRN Cc1c(C(=O)OCCCc2cnoc2)cccc1[N+](=O)[O-] ZINC000816231120 632402239 /nfs/dbraw/zinc/40/22/39/632402239.db2.gz QHWXPPDFLYIRJW-UHFFFAOYSA-N 0 0 290.275 2.681 20 5 CFBDRN CO[C@H](COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000816359404 632429286 /nfs/dbraw/zinc/42/92/86/632429286.db2.gz WOADJKDQVBMRHC-SMDDNHRTSA-N 0 0 295.335 2.913 20 5 CFBDRN CO[C@H](COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000816375772 632433247 /nfs/dbraw/zinc/43/32/47/632433247.db2.gz JLYQDEADMTYYLT-RXTQTKKPSA-N 0 0 291.303 2.576 20 5 CFBDRN CO[C@@H](COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000816375770 632433331 /nfs/dbraw/zinc/43/33/31/632433331.db2.gz JLYQDEADMTYYLT-DFGFTWQDSA-N 0 0 291.303 2.576 20 5 CFBDRN CO[C@@H](C)CN(C)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000845547672 632461542 /nfs/dbraw/zinc/46/15/42/632461542.db2.gz VHKNIMVEUJTWKM-ZETCQYMHSA-N 0 0 276.695 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000845642712 632500433 /nfs/dbraw/zinc/50/04/33/632500433.db2.gz SMMQCKICEXCGQI-UHFFFAOYSA-N 0 0 287.222 2.739 20 5 CFBDRN Cc1nn(C)c(NCC[C@]2(C)C[C@@]2(F)Cl)c1[N+](=O)[O-] ZINC000845646238 632502811 /nfs/dbraw/zinc/50/28/11/632502811.db2.gz ABMZUCROTFPHFS-MNOVXSKESA-N 0 0 290.726 2.753 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@](C)(O)c1ccccc1 ZINC000845657833 632508498 /nfs/dbraw/zinc/50/84/98/632508498.db2.gz VTBDCIAAYHFJKF-ABAIWWIYSA-N 0 0 287.319 2.698 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000845661883 632509843 /nfs/dbraw/zinc/50/98/43/632509843.db2.gz RTAKGDULUOWFJT-KOLCDFICSA-N 0 0 295.295 2.507 20 5 CFBDRN CCN1C[C@@H](CNc2cc(Cl)ccc2[N+](=O)[O-])CC1=O ZINC000845695414 632524075 /nfs/dbraw/zinc/52/40/75/632524075.db2.gz XPWGMVPRMPKUKV-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN C[C@@H](CO)C1CCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000845706549 632528876 /nfs/dbraw/zinc/52/88/76/632528876.db2.gz DATWOGMKJPYYCD-JTQLQIEISA-N 0 0 282.315 2.579 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000816980909 632544523 /nfs/dbraw/zinc/54/45/23/632544523.db2.gz WDJMVMHHYUWGMX-MRVPVSSYSA-N 0 0 284.287 2.750 20 5 CFBDRN Cc1cc(N2CCC[C@]3(CC3(F)F)C2)ncc1[N+](=O)[O-] ZINC000845743622 632548764 /nfs/dbraw/zinc/54/87/64/632548764.db2.gz XBFOMUSPGYLASM-LBPRGKRZSA-N 0 0 283.278 2.924 20 5 CFBDRN Cc1ccnc(N2CCC[C@@]3(CC3(F)F)C2)c1[N+](=O)[O-] ZINC000845743567 632548854 /nfs/dbraw/zinc/54/88/54/632548854.db2.gz WKGVFHZOWCNNPB-GFCCVEGCSA-N 0 0 283.278 2.924 20 5 CFBDRN Cc1cccc(N2C[C@H]3[C@@H](C2)C3(F)F)c1[N+](=O)[O-] ZINC000845753798 632552239 /nfs/dbraw/zinc/55/22/39/632552239.db2.gz LWPWLKQOIXYDRT-DTORHVGOSA-N 0 0 254.236 2.605 20 5 CFBDRN CC[C@@H](COC(=O)c1ccc([N+](=O)[O-])n1C)CC(F)F ZINC000845769534 632559597 /nfs/dbraw/zinc/55/95/97/632559597.db2.gz PWXPMASNNLSFHA-MRVPVSSYSA-N 0 0 290.266 2.772 20 5 CFBDRN C[C@@H]1CC[C@H](O)CN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000817123634 632560981 /nfs/dbraw/zinc/56/09/81/632560981.db2.gz BWCYFMOABSIZCR-SCZZXKLOSA-N 0 0 293.348 2.554 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000817126480 632561758 /nfs/dbraw/zinc/56/17/58/632561758.db2.gz VOUHOZYQESDGRZ-ONGXEEELSA-N 0 0 295.295 2.873 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000845829103 632576612 /nfs/dbraw/zinc/57/66/12/632576612.db2.gz VQQZDPWJENVLBC-VIFPVBQESA-N 0 0 287.337 2.800 20 5 CFBDRN Cc1cc(COC(=O)c2cc([N+](=O)[O-])c(C)cc2C)on1 ZINC000817238560 632580673 /nfs/dbraw/zinc/58/06/73/632580673.db2.gz JUSYJTJPEJBBKC-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CC[C@H](OC(=O)CCn1nc(C)c([N+](=O)[O-])c1C)C(C)C ZINC000845851884 632581817 /nfs/dbraw/zinc/58/18/17/632581817.db2.gz DERQQPJIKGAXOW-LBPRGKRZSA-N 0 0 297.355 2.776 20 5 CFBDRN CS/C=C\C(=O)O[C@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845878041 632590065 /nfs/dbraw/zinc/59/00/65/632590065.db2.gz QIXHBPMATCDATM-XYVWOGOVSA-N 0 0 299.323 2.952 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1c(F)cccc1[N+](=O)[O-] ZINC000845909698 632595903 /nfs/dbraw/zinc/59/59/03/632595903.db2.gz FINJWDILAXYVSV-MRVPVSSYSA-N 0 0 291.300 2.631 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NOCCC2CC2)c1 ZINC000817372500 632615476 /nfs/dbraw/zinc/61/54/76/632615476.db2.gz LFWWBJNBMKMEFL-UHFFFAOYSA-N 0 0 296.348 2.778 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000817423703 632629816 /nfs/dbraw/zinc/62/98/16/632629816.db2.gz QNKCENFIRXZWCV-JTQLQIEISA-N 0 0 261.277 2.917 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000817423704 632629901 /nfs/dbraw/zinc/62/99/01/632629901.db2.gz QNKCENFIRXZWCV-SNVBAGLBSA-N 0 0 261.277 2.917 20 5 CFBDRN CC(C)N(C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])[C@H](C)c1ccsc1 ZINC000817485833 632648889 /nfs/dbraw/zinc/64/88/89/632648889.db2.gz PUFYAZYVVIIDCG-YUSALJHKSA-N 0 0 282.365 2.711 20 5 CFBDRN COCC1(COC(=O)c2cccc([N+](=O)[O-])c2)CCCC1 ZINC000817747068 632701367 /nfs/dbraw/zinc/70/13/67/632701367.db2.gz SSERICRSHKCAHY-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2N)cc1 ZINC000817987633 632782212 /nfs/dbraw/zinc/78/22/12/632782212.db2.gz VLPHQOFTJAXPPQ-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN C/C(=C\C(=O)NCC[C@H](C)F)c1ccc([N+](=O)[O-])cc1 ZINC000818297220 632824552 /nfs/dbraw/zinc/82/45/52/632824552.db2.gz HIYAGBRTHOOTGO-USKTWTLRSA-N 0 0 280.299 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C3CCC3)C2)c(F)c1 ZINC000840357295 632827478 /nfs/dbraw/zinc/82/74/78/632827478.db2.gz CLCOWLIPVOOTAK-CQSZACIVSA-N 0 0 280.299 2.739 20 5 CFBDRN C/C(=C\C(=O)N1C[C@H](C)[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000818468089 632848180 /nfs/dbraw/zinc/84/81/80/632848180.db2.gz XZKRLJFJSJYTCW-ALQBXHKQSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)C(C)(C)C)c1C ZINC000885423940 632868157 /nfs/dbraw/zinc/86/81/57/632868157.db2.gz FXCUUMRWSLJWCR-UHFFFAOYSA-N 0 0 293.319 2.984 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1N1CC2(CC2)C1 ZINC000856761617 635849434 /nfs/dbraw/zinc/84/94/34/635849434.db2.gz ULVOKAXYTPSKKE-UHFFFAOYSA-N 0 0 283.125 2.958 20 5 CFBDRN COCC1CCC(Nc2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000840370481 632923822 /nfs/dbraw/zinc/92/38/22/632923822.db2.gz XFKGJAWOTHJQKD-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN Cc1c(NC(=O)/C=C/[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000819001811 632926888 /nfs/dbraw/zinc/92/68/88/632926888.db2.gz FFGJANDALUQJJH-WSKFYRRCSA-N 0 0 276.292 2.577 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H](O)C(C)C ZINC000840374383 632983344 /nfs/dbraw/zinc/98/33/44/632983344.db2.gz AINUXQWZLJZAEQ-ZWNOBZJWSA-N 0 0 297.311 2.710 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000840374382 632983562 /nfs/dbraw/zinc/98/35/62/632983562.db2.gz AINUXQWZLJZAEQ-MFKMUULPSA-N 0 0 297.311 2.710 20 5 CFBDRN C[C@@H](COC(=O)C[C@@H]1OCc2cc(Cl)ccc21)[N+](=O)[O-] ZINC000847713971 633051958 /nfs/dbraw/zinc/05/19/58/633051958.db2.gz HAUZJDNASXQWBR-UFBFGSQYSA-N 0 0 299.710 2.510 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2cn(C)nc2[N+](=O)[O-])CC1 ZINC000847785074 633075969 /nfs/dbraw/zinc/07/59/69/633075969.db2.gz DXZGWVMYXMJNHQ-XYPYZODXSA-N 0 0 295.339 2.588 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847782838 633076159 /nfs/dbraw/zinc/07/61/59/633076159.db2.gz XHXKWYDSCWTTHT-WDEREUQCSA-N 0 0 295.339 2.588 20 5 CFBDRN CC1=C(C)C[C@@H](C(=O)OCc2cn(C)nc2[N+](=O)[O-])CC1 ZINC000847786479 633076416 /nfs/dbraw/zinc/07/64/16/633076416.db2.gz SPMUXMCWWVAFAC-NSHDSACASA-N 0 0 293.323 2.508 20 5 CFBDRN O=C(OC[C@H]1CCc2cccnc21)c1ccccc1[N+](=O)[O-] ZINC000847835764 633103418 /nfs/dbraw/zinc/10/34/18/633103418.db2.gz YCBGPYJKYMGRNK-GFCCVEGCSA-N 0 0 298.298 2.877 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000847917878 633143899 /nfs/dbraw/zinc/14/38/99/633143899.db2.gz CNJSIAMSKXSZLL-OWKLYUJFSA-N 0 0 291.303 2.719 20 5 CFBDRN CCCOCCOC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000107413052 633212090 /nfs/dbraw/zinc/21/20/90/633212090.db2.gz MWPXXNZJCVKXJY-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN CCc1nc(CN[C@@H]2CCc3c2cccc3[N+](=O)[O-])co1 ZINC000848553584 633287515 /nfs/dbraw/zinc/28/75/15/633287515.db2.gz LRWRSDZRNFNATG-CYBMUJFWSA-N 0 0 287.319 2.922 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2N[C@H]1CCn2ccnc21 ZINC000848554601 633288389 /nfs/dbraw/zinc/28/83/89/633288389.db2.gz XPRKRUVQOZSVRO-STQMWFEESA-N 0 0 284.319 2.513 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@](C)(O)c1ccco1 ZINC000848668079 633314138 /nfs/dbraw/zinc/31/41/38/633314138.db2.gz NRVUBHXJOXIDDJ-CQSZACIVSA-N 0 0 292.291 2.516 20 5 CFBDRN CCc1nocc1COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000848712273 633322124 /nfs/dbraw/zinc/32/21/24/633322124.db2.gz HFCVTEKYAAGSRW-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CCc1nocc1COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000848725542 633326214 /nfs/dbraw/zinc/32/62/14/633326214.db2.gz AVLVKYBWPRXUOU-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN C[C@H](NC(=O)/C=C\[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000819544603 633379414 /nfs/dbraw/zinc/37/94/14/633379414.db2.gz SHMPXYFWKWWSBE-PKZQLUFMSA-N 0 0 290.319 2.507 20 5 CFBDRN Nc1ccc(N2CC[C@H](C3CCC3)C2)c([N+](=O)[O-])c1 ZINC000840391387 633400453 /nfs/dbraw/zinc/40/04/53/633400453.db2.gz IQIKSSPECSNRKS-NSHDSACASA-N 0 0 261.325 2.803 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]1C1CCC1 ZINC000819629821 633403932 /nfs/dbraw/zinc/40/39/32/633403932.db2.gz WMBPIYNSRVFXHR-OVPGCVMQSA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1ccnc(NC[C@H](O)c2cccc(F)c2)c1[N+](=O)[O-] ZINC000115516308 636018694 /nfs/dbraw/zinc/01/86/94/636018694.db2.gz LPMPHVIMPWKAFA-LBPRGKRZSA-N 0 0 291.282 2.583 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nnc(NC3CCC3)o2)c1 ZINC000849162047 633574076 /nfs/dbraw/zinc/57/40/76/633574076.db2.gz UUUXUSHAITYWPP-UHFFFAOYSA-N 0 0 260.253 2.609 20 5 CFBDRN CO[C@H](COC(=O)c1cccc(C)c1[N+](=O)[O-])C1CCC1 ZINC000849616901 633641108 /nfs/dbraw/zinc/64/11/08/633641108.db2.gz CHGJWYGXKRRZCG-CYBMUJFWSA-N 0 0 293.319 2.875 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCCC1CCC1 ZINC000849666354 633663522 /nfs/dbraw/zinc/66/35/22/633663522.db2.gz IGZYPLNXVKQLJE-UHFFFAOYSA-N 0 0 266.297 2.670 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000849706121 633681892 /nfs/dbraw/zinc/68/18/92/633681892.db2.gz WYUHORVPKXHNIO-ZDUSSCGKSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1nn(C)c(C(=O)OC[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000849713024 633684847 /nfs/dbraw/zinc/68/48/47/633684847.db2.gz UYSMCIGBEXUPOC-ONGXEEELSA-N 0 0 295.339 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2COC(C)(C)C2)c1 ZINC000849734387 633693993 /nfs/dbraw/zinc/69/39/93/633693993.db2.gz GNLZMLWAPWVWCF-SNVBAGLBSA-N 0 0 279.292 2.628 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000849740501 633696652 /nfs/dbraw/zinc/69/66/52/633696652.db2.gz PEFSCOXIOIZMHV-MRVPVSSYSA-N 0 0 297.282 2.814 20 5 CFBDRN COCC1(C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000849773489 633712881 /nfs/dbraw/zinc/71/28/81/633712881.db2.gz GKMBSSPZOKVCFR-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(CSC1CC1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849789411 633720219 /nfs/dbraw/zinc/72/02/19/633720219.db2.gz SYGXZXIZCMEUQN-UHFFFAOYSA-N 0 0 285.296 2.673 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C(C)(C)SC ZINC000849986161 633783338 /nfs/dbraw/zinc/78/33/38/633783338.db2.gz SVZIDIRBFLFPOF-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN CSC(C)(C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000849987476 633784364 /nfs/dbraw/zinc/78/43/64/633784364.db2.gz JZNOCHATHYIYCZ-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000850069299 633820217 /nfs/dbraw/zinc/82/02/17/633820217.db2.gz XVHAGRNSVTWHCM-GFCCVEGCSA-N 0 0 291.303 2.757 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000850069300 633820349 /nfs/dbraw/zinc/82/03/49/633820349.db2.gz XVHAGRNSVTWHCM-LBPRGKRZSA-N 0 0 291.303 2.757 20 5 CFBDRN C[C@H](CC(=O)OCc1cccc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000850077510 633826046 /nfs/dbraw/zinc/82/60/46/633826046.db2.gz NTCNFZONSFIURB-BXUZGUMPSA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850262997 633916631 /nfs/dbraw/zinc/91/66/31/633916631.db2.gz FCHIPIWJPOBFGK-URLYPYJESA-N 0 0 279.267 2.823 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@@H]2C[C@H](O)c3ccccc32)cs1 ZINC000850370700 633960106 /nfs/dbraw/zinc/96/01/06/633960106.db2.gz FORBDOCXDFGABI-OLZOCXBDSA-N 0 0 290.344 2.924 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Oc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000850766728 634082560 /nfs/dbraw/zinc/08/25/60/634082560.db2.gz RKTAGMNPJOZDGA-GHMZBOCLSA-N 0 0 279.292 2.624 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000850764079 634082800 /nfs/dbraw/zinc/08/28/00/634082800.db2.gz JYHAMUJZMXPLGA-MYJAWHEDSA-N 0 0 277.276 2.555 20 5 CFBDRN CCc1ccc(OC(=O)[C@H]2CC[C@@H](OC)C2)c([N+](=O)[O-])c1 ZINC000850847954 634109021 /nfs/dbraw/zinc/10/90/21/634109021.db2.gz LHVHHIJUNZUWLG-NWDGAFQWSA-N 0 0 293.319 2.878 20 5 CFBDRN CC(C)c1ccccc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000851036269 634188845 /nfs/dbraw/zinc/18/88/45/634188845.db2.gz GHZNZPMYNXYFFM-JTQLQIEISA-N 0 0 251.282 2.632 20 5 CFBDRN C[C@H]([C@H](OC(=O)CCCF)c1ccccc1F)[N+](=O)[O-] ZINC000851109363 634226003 /nfs/dbraw/zinc/22/60/03/634226003.db2.gz XGRNFQCIECLGIW-RNCFNFMXSA-N 0 0 287.262 2.825 20 5 CFBDRN CC(C)(COC(=O)/C=C/c1ccccc1Cl)[N+](=O)[O-] ZINC000851122918 634234536 /nfs/dbraw/zinc/23/45/36/634234536.db2.gz LKFYAOVOGAHLGD-BQYQJAHWSA-N 0 0 283.711 2.952 20 5 CFBDRN COc1ccccc1[C@H](C)CC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125463 634235630 /nfs/dbraw/zinc/23/56/30/634235630.db2.gz CUPGWIHVVXTZDV-LLVKDONJSA-N 0 0 295.335 2.787 20 5 CFBDRN C/C(=C/c1ccc(F)cc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126045 634236492 /nfs/dbraw/zinc/23/64/92/634236492.db2.gz KZXJPDMOQNBGCD-NTMALXAHSA-N 0 0 281.283 2.828 20 5 CFBDRN CC(C)(COC(=O)c1ncoc1-c1ccccc1)[N+](=O)[O-] ZINC000851126734 634236560 /nfs/dbraw/zinc/23/65/60/634236560.db2.gz QXHJQHKYUBLHFC-UHFFFAOYSA-N 0 0 290.275 2.554 20 5 CFBDRN C[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1F ZINC000851127436 634237276 /nfs/dbraw/zinc/23/72/76/634237276.db2.gz XQJDKURYWXLBDX-SECBINFHSA-N 0 0 269.272 2.528 20 5 CFBDRN CC(C)(COC(=O)c1cc(F)cc(Cl)c1)[N+](=O)[O-] ZINC000851127339 634237694 /nfs/dbraw/zinc/23/76/94/634237694.db2.gz VCOGIKWLGVYXQY-UHFFFAOYSA-N 0 0 275.663 2.691 20 5 CFBDRN CC(C)(COC(=O)Cc1cccc(C2CC2)c1)[N+](=O)[O-] ZINC000851129163 634238773 /nfs/dbraw/zinc/23/87/73/634238773.db2.gz JSLDFEMTFRVLDN-UHFFFAOYSA-N 0 0 277.320 2.705 20 5 CFBDRN COc1cc(Cl)cc(C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851129449 634238846 /nfs/dbraw/zinc/23/88/46/634238846.db2.gz NMFCEBWMISNRNY-UHFFFAOYSA-N 0 0 287.699 2.561 20 5 CFBDRN CC(C)(COC(=O)c1sccc1Cl)[N+](=O)[O-] ZINC000851130072 634239587 /nfs/dbraw/zinc/23/95/87/634239587.db2.gz QNHJZJUDSPWCLA-UHFFFAOYSA-N 0 0 263.702 2.614 20 5 CFBDRN C[C@@]1(COC(=O)c2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000851264582 634299740 /nfs/dbraw/zinc/29/97/40/634299740.db2.gz PEIJTZYDYVNSER-NSHDSACASA-N 0 0 271.219 2.797 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000851356222 634339427 /nfs/dbraw/zinc/33/94/27/634339427.db2.gz HJUBWQWPEHDHGG-GFCCVEGCSA-N 0 0 291.303 2.873 20 5 CFBDRN O=C(OC[C@H]1C[C@H]2C[C@H]2C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000851412270 634356776 /nfs/dbraw/zinc/35/67/76/634356776.db2.gz KWMKNLKKHBTMRH-OWUUHHOZSA-N 0 0 279.267 2.937 20 5 CFBDRN CC(C)c1cccc(Cn2nc([N+](=O)[O-])cc2[N+](=O)[O-])c1 ZINC000853021835 634878528 /nfs/dbraw/zinc/87/85/28/634878528.db2.gz XDXFBHYPGUTYEZ-UHFFFAOYSA-N 0 0 290.279 2.871 20 5 CFBDRN CC12CC(C1)CN2C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000853056760 634891657 /nfs/dbraw/zinc/89/16/57/634891657.db2.gz BFZWUOPXKVVZHR-UHFFFAOYSA-N 0 0 277.349 2.776 20 5 CFBDRN C[C@@H]1CN(c2cc[nH]c(=O)c2[N+](=O)[O-])Cc2ccccc21 ZINC000853090265 634900665 /nfs/dbraw/zinc/90/06/65/634900665.db2.gz JDAANTIZOCIUDI-SNVBAGLBSA-N 0 0 285.303 2.819 20 5 CFBDRN CC(C)(C)n1cc(Nc2c(Cl)cncc2[N+](=O)[O-])cn1 ZINC000853237272 634947619 /nfs/dbraw/zinc/94/76/19/634947619.db2.gz PUCUWTWMKCEEOW-UHFFFAOYSA-N 0 0 295.730 2.760 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])c(C(N)=O)c1)C(C)(C)C ZINC000853338631 634975365 /nfs/dbraw/zinc/97/53/65/634975365.db2.gz PFBULIHIUUMRTI-VIFPVBQESA-N 0 0 279.340 2.788 20 5 CFBDRN Cc1cc(NC[C@](C)(O)c2ccco2)c([N+](=O)[O-])s1 ZINC000853378401 634986873 /nfs/dbraw/zinc/98/68/73/634986873.db2.gz XWGPBBJOYHYXON-LBPRGKRZSA-N 0 0 282.321 2.877 20 5 CFBDRN CCC1(CC)CCCN(Cn2cc([N+](=O)[O-])c(C)n2)C1 ZINC000853563187 635036647 /nfs/dbraw/zinc/03/66/47/635036647.db2.gz NADPEJDCMFPCRE-UHFFFAOYSA-N 0 0 280.372 2.959 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)c(C)cc2[N+](=O)[O-])CCCO1 ZINC000853569146 635038082 /nfs/dbraw/zinc/03/80/82/635038082.db2.gz KDHKETOQCCPOHH-GFCCVEGCSA-N 0 0 294.351 2.917 20 5 CFBDRN COc1cc(N2CC[C@H](SC)C2)c([N+](=O)[O-])cc1C ZINC000853656256 635063160 /nfs/dbraw/zinc/06/31/60/635063160.db2.gz WNBLFDOBBBEXDW-JTQLQIEISA-N 0 0 282.365 2.854 20 5 CFBDRN CC1=NO[C@@H](CNc2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000853661124 635065421 /nfs/dbraw/zinc/06/54/21/635065421.db2.gz PTWWCZXFZKTSFR-SECBINFHSA-N 0 0 292.320 2.781 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])cc1C(C)=O)C1CCC1 ZINC000853680894 635073046 /nfs/dbraw/zinc/07/30/46/635073046.db2.gz MFUWNLKKOHBPJV-OAHLLOKOSA-N 0 0 293.319 2.991 20 5 CFBDRN Cc1noc(COc2ccc(C(C)(C)O)cc2)c1[N+](=O)[O-] ZINC000853681859 635073604 /nfs/dbraw/zinc/07/36/04/635073604.db2.gz HYFNGFNAJOBYKN-UHFFFAOYSA-N 0 0 292.291 2.698 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@@H]2CC[C@H]2O)ccc1C(F)(F)F ZINC000853701501 635079829 /nfs/dbraw/zinc/07/98/29/635079829.db2.gz MQBCOWVDPCHIAU-PSASIEDQSA-N 0 0 276.214 2.549 20 5 CFBDRN C[C@]1(CCNc2ccc(N)c([N+](=O)[O-])n2)C[C@@]1(F)Cl ZINC000853712131 635082445 /nfs/dbraw/zinc/08/24/45/635082445.db2.gz KKLHLOGXLZDPSE-QWRGUYRKSA-N 0 0 288.710 2.689 20 5 CFBDRN CCC(=O)c1ccc(N(C)Cc2c[nH]cn2)c([N+](=O)[O-])c1 ZINC000853743822 635090539 /nfs/dbraw/zinc/09/05/39/635090539.db2.gz VVZMOOXENMVJDL-UHFFFAOYSA-N 0 0 288.307 2.547 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1COC(C)(C)C1 ZINC000853752385 635093736 /nfs/dbraw/zinc/09/37/36/635093736.db2.gz RCJYCYBCNAQADB-SNVBAGLBSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@]1(C2CC2)CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000853766099 635099964 /nfs/dbraw/zinc/09/99/64/635099964.db2.gz NSPMVNOFFPCLQV-CQSZACIVSA-N 0 0 280.299 2.739 20 5 CFBDRN C[C@@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)C1(CO)CC1 ZINC000853803413 635112136 /nfs/dbraw/zinc/11/21/36/635112136.db2.gz KBAFGKKFGAQFQK-MRVPVSSYSA-N 0 0 293.348 2.777 20 5 CFBDRN CC(C)COC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000111608001 635113059 /nfs/dbraw/zinc/11/30/59/635113059.db2.gz OHPUZDGLVRFEQW-UHFFFAOYSA-N 0 0 259.208 2.686 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc2c(c1)[C@H](O)CCCC2 ZINC000853813453 635115635 /nfs/dbraw/zinc/11/56/35/635115635.db2.gz VPEYCRALOVKEEW-MRXNPFEDSA-N 0 0 299.330 2.915 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)C[C@@H](C)C1=O ZINC000853820505 635118122 /nfs/dbraw/zinc/11/81/22/635118122.db2.gz PFGQZUQKADAMJJ-RKDXNWHRSA-N 0 0 282.727 2.910 20 5 CFBDRN CC(C)(C)OCCCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000853835727 635122426 /nfs/dbraw/zinc/12/24/26/635122426.db2.gz QLVCJXIAJDJSLA-UHFFFAOYSA-N 0 0 294.311 2.748 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)CC1=CCCOC1 ZINC000853837238 635123016 /nfs/dbraw/zinc/12/30/16/635123016.db2.gz ULHQMGSUWGRHBN-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN C[C@@]1(CCNc2ccc([N+](=O)[O-])c3nonc32)CC1(F)F ZINC000853849363 635131754 /nfs/dbraw/zinc/13/17/54/635131754.db2.gz SZANXYHZQLRNQU-LLVKDONJSA-N 0 0 298.249 2.978 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000111677964 635136262 /nfs/dbraw/zinc/13/62/62/635136262.db2.gz RHNFHPWKLIUXGK-ZCFIWIBFSA-N 0 0 259.208 2.828 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000853872443 635143387 /nfs/dbraw/zinc/14/33/87/635143387.db2.gz UEWQAOQRGVNKMJ-MNOVXSKESA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1cc(C(=O)OCc2onc(C)c2[N+](=O)[O-])c(C)s1 ZINC000853886705 635146667 /nfs/dbraw/zinc/14/66/67/635146667.db2.gz GOFTYOMVVUBERZ-UHFFFAOYSA-N 0 0 296.304 2.927 20 5 CFBDRN Cc1noc(COC(=O)CC2=CCCCC2)c1[N+](=O)[O-] ZINC000853897152 635150676 /nfs/dbraw/zinc/15/06/76/635150676.db2.gz YKEBNRXUDFALEA-UHFFFAOYSA-N 0 0 280.280 2.825 20 5 CFBDRN Cc1noc(COC(=O)[C@@H](C)C2CCCC2)c1[N+](=O)[O-] ZINC000853901962 635152805 /nfs/dbraw/zinc/15/28/05/635152805.db2.gz BWALSPAWCLPHID-QMMMGPOBSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)C2CC3(CCC3)C2)c1[N+](=O)[O-] ZINC000853924645 635166995 /nfs/dbraw/zinc/16/69/95/635166995.db2.gz QNUBPZAPLYJVTD-UHFFFAOYSA-N 0 0 280.280 2.515 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2SCCS2)c(F)c1 ZINC000853959192 635178240 /nfs/dbraw/zinc/17/82/40/635178240.db2.gz DEULRFNTAOOLSD-UHFFFAOYSA-N 0 0 289.357 2.737 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](CC(F)(F)F)C2)cc1 ZINC000853979862 635183320 /nfs/dbraw/zinc/18/33/20/635183320.db2.gz YDPOXWFEFLZQEO-NSHDSACASA-N 0 0 290.241 2.752 20 5 CFBDRN CC12CC(C1)CN2c1c(F)cc([N+](=O)[O-])cc1F ZINC000853997087 635186973 /nfs/dbraw/zinc/18/69/73/635186973.db2.gz HZOWOGUEVFXNJW-UHFFFAOYSA-N 0 0 254.236 2.862 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@](C)(C(F)F)C1 ZINC000853996941 635187050 /nfs/dbraw/zinc/18/70/50/635187050.db2.gz ACSXCVYOOOGMSI-ZDUSSCGKSA-N 0 0 286.278 2.764 20 5 CFBDRN CO[C@@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CCC1 ZINC000854061013 635202290 /nfs/dbraw/zinc/20/22/90/635202290.db2.gz SRCQWMMOVZBRJQ-LLVKDONJSA-N 0 0 283.328 2.545 20 5 CFBDRN CSCC1(Cc2noc(CCC(C)(C)[N+](=O)[O-])n2)CC1 ZINC000854092731 635210016 /nfs/dbraw/zinc/21/00/16/635210016.db2.gz RJSUGIYQCFBJFG-UHFFFAOYSA-N 0 0 299.396 2.743 20 5 CFBDRN CCC(F)(F)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000854126854 635216868 /nfs/dbraw/zinc/21/68/68/635216868.db2.gz FFQQUPBMMGMBBY-UHFFFAOYSA-N 0 0 258.224 2.887 20 5 CFBDRN Cc1ccc(NC(=O)NOCC2CCC2)cc1[N+](=O)[O-] ZINC000854160856 635235629 /nfs/dbraw/zinc/23/56/29/635235629.db2.gz OSKDWNBSGIFXAP-UHFFFAOYSA-N 0 0 279.296 2.756 20 5 CFBDRN CC(C)(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCC1 ZINC000854497041 635317426 /nfs/dbraw/zinc/31/74/26/635317426.db2.gz XLXBLXYRLHULQL-UHFFFAOYSA-N 0 0 277.324 2.942 20 5 CFBDRN Nc1ccc(NC(=O)C(F)=C2CCC2)cc1[N+](=O)[O-] ZINC000854497017 635317503 /nfs/dbraw/zinc/31/75/03/635317503.db2.gz WXQVKTQHYISMRS-UHFFFAOYSA-N 0 0 265.244 2.523 20 5 CFBDRN Nc1ccc(NC(=O)/C=C/C2CCCC2)cc1[N+](=O)[O-] ZINC000854496757 635317580 /nfs/dbraw/zinc/31/75/80/635317580.db2.gz QVAZZYAFLYHNGN-VMPITWQZSA-N 0 0 275.308 2.862 20 5 CFBDRN C[C@H](CC(F)(F)F)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496034 635317840 /nfs/dbraw/zinc/31/78/40/635317840.db2.gz BMKRVPBNZWWBNP-ZCFIWIBFSA-N 0 0 291.229 2.704 20 5 CFBDRN CCC(CC)CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497807 635318381 /nfs/dbraw/zinc/31/83/81/635318381.db2.gz ZKALNIHQRACLPO-UHFFFAOYSA-N 0 0 265.313 2.942 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1cc([N+](=O)[O-])ccc1F ZINC000854984042 635435845 /nfs/dbraw/zinc/43/58/45/635435845.db2.gz BCAPBDAFHARAIL-GXFFZTMASA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)Nc1ccc(Cl)cc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000855237025 635490907 /nfs/dbraw/zinc/49/09/07/635490907.db2.gz FEDDXJGAOONCIZ-BXKDBHETSA-N 0 0 297.742 2.764 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@H]1C=CCC1 ZINC000855419889 635536310 /nfs/dbraw/zinc/53/63/10/635536310.db2.gz SRQOUQVDGHILNM-ZDUSSCGKSA-N 0 0 289.335 2.622 20 5 CFBDRN Cc1cc(C(=O)NCCCC2CCC2)c(N)c([N+](=O)[O-])c1 ZINC000855802571 635655295 /nfs/dbraw/zinc/65/52/95/635655295.db2.gz WGSXJHUZYQAZKG-UHFFFAOYSA-N 0 0 291.351 2.796 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@H](CCF)C1 ZINC000855828264 635662312 /nfs/dbraw/zinc/66/23/12/635662312.db2.gz JUOWZDJYGFJMTE-SNVBAGLBSA-N 0 0 298.289 2.946 20 5 CFBDRN O=C(Nc1ccc2c(c1)C=CCCC2)[C@@H]1CC1[N+](=O)[O-] ZINC000855859585 635674449 /nfs/dbraw/zinc/67/44/49/635674449.db2.gz VRRDDOKEUBJPJD-ZIAGYGMSSA-N 0 0 272.304 2.640 20 5 CFBDRN CC[C@@H]1CCC[C@H](C)N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000856273573 635771140 /nfs/dbraw/zinc/77/11/40/635771140.db2.gz WYVSYCYLQYDNNL-CMPLNLGQSA-N 0 0 291.351 2.970 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NOCC1CCC1 ZINC000856349918 635792636 /nfs/dbraw/zinc/79/26/36/635792636.db2.gz VECOILGLJMPPJT-UHFFFAOYSA-N 0 0 298.726 2.639 20 5 CFBDRN C[C@H](NCc1cn(C)nc1Cl)c1ccccc1[N+](=O)[O-] ZINC000856776456 635856674 /nfs/dbraw/zinc/85/66/74/635856674.db2.gz RQALGXGUFGZQDZ-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@@H](COC(=O)c1cc(C(F)F)ccc1F)[N+](=O)[O-] ZINC000857028246 635910643 /nfs/dbraw/zinc/91/06/43/635910643.db2.gz ATLIBUUDMQBCLE-LURJTMIESA-N 0 0 277.198 2.585 20 5 CFBDRN CCN(Cc1ccccc1)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000046082246 650218168 /nfs/dbraw/zinc/21/81/68/650218168.db2.gz YIEBJKHQDWYRBQ-UHFFFAOYSA-N 0 0 299.330 2.839 20 5 CFBDRN CO[C@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C1CCCC1 ZINC000857571271 635985370 /nfs/dbraw/zinc/98/53/70/635985370.db2.gz FCMARPODFFQFAR-ZDUSSCGKSA-N 0 0 296.298 2.878 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1C[C@H]2C[C@H]2C1 ZINC000857575798 635986261 /nfs/dbraw/zinc/98/62/61/635986261.db2.gz YTTNKHSMJQNMPD-JIGDXULJSA-N 0 0 282.246 2.858 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Oc2c(C)cccc2[N+](=O)[O-])C1 ZINC000857600427 635992020 /nfs/dbraw/zinc/99/20/20/635992020.db2.gz PIGMFXIQUXPTIP-QWRGUYRKSA-N 0 0 279.292 2.624 20 5 CFBDRN CCC1(C(=O)Oc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCC1 ZINC000857636168 635999489 /nfs/dbraw/zinc/99/94/89/635999489.db2.gz GSBHUIJSNWCEMX-UHFFFAOYSA-N 0 0 294.263 2.989 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857637354 635999519 /nfs/dbraw/zinc/99/95/19/635999519.db2.gz ZXFHWFKTKULPFY-NEPJUHHUSA-N 0 0 294.263 2.701 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000857682449 636007619 /nfs/dbraw/zinc/00/76/19/636007619.db2.gz CULCDTNFLWIUSE-QMMMGPOBSA-N 0 0 298.289 2.991 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC2CC(C)C2)c1[N+](=O)[O-] ZINC000857690484 636009360 /nfs/dbraw/zinc/00/93/60/636009360.db2.gz UOPQIDRNCBWFIS-UHFFFAOYSA-N 0 0 280.299 2.818 20 5 CFBDRN CCC[C@H](OCC)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000858187956 636152099 /nfs/dbraw/zinc/15/20/99/636152099.db2.gz NFYYTUPHSUZPCH-LBPRGKRZSA-N 0 0 267.281 2.705 20 5 CFBDRN CCc1ccc(OC(=O)c2cccn2C)c([N+](=O)[O-])c1 ZINC000115926037 636152707 /nfs/dbraw/zinc/15/27/07/636152707.db2.gz PYGNMHDMXQXGLJ-UHFFFAOYSA-N 0 0 274.276 2.715 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H](C)OCC2CC2)c([N+](=O)[O-])c1 ZINC000115926431 636152748 /nfs/dbraw/zinc/15/27/48/636152748.db2.gz DPXUNWMCYRRBFX-SNVBAGLBSA-N 0 0 293.319 2.878 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(c1nccnc1F)C1CC1 ZINC000858455094 636248509 /nfs/dbraw/zinc/24/85/09/636248509.db2.gz QTPVMTILBGNPTG-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN C[C@H](NC(=O)NCC1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000858496586 636271612 /nfs/dbraw/zinc/27/16/12/636271612.db2.gz PHRMRFZSBJDINO-NSHDSACASA-N 0 0 289.335 2.921 20 5 CFBDRN COC(OC)[C@@H](C)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000858566051 636295166 /nfs/dbraw/zinc/29/51/66/636295166.db2.gz JJHHLROCHTVVHN-SECBINFHSA-N 0 0 291.307 2.562 20 5 CFBDRN COCOCCCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000858605900 636306309 /nfs/dbraw/zinc/30/63/09/636306309.db2.gz AUDWRDWWUSBXGG-UHFFFAOYSA-N 0 0 291.307 2.566 20 5 CFBDRN COCOCCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000858606170 636306496 /nfs/dbraw/zinc/30/64/96/636306496.db2.gz KITVRMPFZOWZSJ-UHFFFAOYSA-N 0 0 291.307 2.566 20 5 CFBDRN Cc1nc(NCC(F)(F)Br)ccc1[N+](=O)[O-] ZINC000858645097 636316123 /nfs/dbraw/zinc/31/61/23/636316123.db2.gz GVMREAGIJHPLFV-UHFFFAOYSA-N 0 0 296.071 2.698 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC(F)(F)Br)cc1 ZINC000858644938 636316137 /nfs/dbraw/zinc/31/61/37/636316137.db2.gz DJPQEUXQKQHPDV-UHFFFAOYSA-N 0 0 281.056 2.994 20 5 CFBDRN CCn1ncc(CNc2c(C)cc([N+](=O)[O-])cc2Cl)n1 ZINC000858652566 636317096 /nfs/dbraw/zinc/31/70/96/636317096.db2.gz PPLJKQIBEFBUQH-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2Cc3ccccc3CO2)c1 ZINC000858659990 636319483 /nfs/dbraw/zinc/31/94/83/636319483.db2.gz MJSLKARELSRARN-AWEZNQCLSA-N 0 0 299.330 2.730 20 5 CFBDRN O=[N+]([O-])c1c(NCC2CC=CC2)nc2ccccn21 ZINC000858680966 636323510 /nfs/dbraw/zinc/32/35/10/636323510.db2.gz CGQIFWKOAIEQFH-UHFFFAOYSA-N 0 0 258.281 2.621 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000047868476 650247740 /nfs/dbraw/zinc/24/77/40/650247740.db2.gz XDKDNXUOIVPWDE-GWCFXTLKSA-N 0 0 291.351 2.876 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000858729922 636334506 /nfs/dbraw/zinc/33/45/06/636334506.db2.gz PXONDXCXOKTSTN-KGLIPLIRSA-N 0 0 278.308 2.777 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000858735495 636336981 /nfs/dbraw/zinc/33/69/81/636336981.db2.gz VXVDTLOJUHTVEV-NSHDSACASA-N 0 0 294.282 2.798 20 5 CFBDRN CCC(F)(F)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000858745469 636338461 /nfs/dbraw/zinc/33/84/61/636338461.db2.gz XFDIHOFKZPACBG-UHFFFAOYSA-N 0 0 275.211 2.960 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000858809218 636348914 /nfs/dbraw/zinc/34/89/14/636348914.db2.gz MCNRHZJZRULVGA-NOZJJQNGSA-N 0 0 296.298 2.722 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)OCC(F)(F)F)n1 ZINC000858870113 636365306 /nfs/dbraw/zinc/36/53/06/636365306.db2.gz UARWNVJQAUYKPK-UHFFFAOYSA-N 0 0 279.218 2.537 20 5 CFBDRN Cc1cc(N(C)OCC(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000858869509 636365312 /nfs/dbraw/zinc/36/53/12/636365312.db2.gz JWTGUWSDILYTEP-UHFFFAOYSA-N 0 0 282.193 2.972 20 5 CFBDRN CN(OCC(F)(F)F)c1sccc1[N+](=O)[O-] ZINC000858869006 636365332 /nfs/dbraw/zinc/36/53/32/636365332.db2.gz BHORVGIVLJFMDL-UHFFFAOYSA-N 0 0 256.205 2.586 20 5 CFBDRN CCCOCCOC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000858910477 636379679 /nfs/dbraw/zinc/37/96/79/636379679.db2.gz UWPJCXWVXDPZKN-LLVKDONJSA-N 0 0 296.323 2.809 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000214998474 650251545 /nfs/dbraw/zinc/25/15/45/650251545.db2.gz MIBKMBFPFXPSGR-UWVGGRQHSA-N 0 0 295.314 2.642 20 5 CFBDRN CCCOCCOC(=O)Oc1ccc(F)cc1[N+](=O)[O-] ZINC000858934522 636388338 /nfs/dbraw/zinc/38/83/38/636388338.db2.gz PNTHOEGLGIRTNT-UHFFFAOYSA-N 0 0 287.243 2.676 20 5 CFBDRN O=C(OCCF)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000859071902 636440375 /nfs/dbraw/zinc/44/03/75/636440375.db2.gz SXZVXFRTYBGVQX-UHFFFAOYSA-N 0 0 282.271 2.665 20 5 CFBDRN CCC[C@H](C)CCCOC(=O)Cn1cc([N+](=O)[O-])nc1C ZINC000859526757 636589481 /nfs/dbraw/zinc/58/94/81/636589481.db2.gz YESSGZSTNKAVRT-NSHDSACASA-N 0 0 297.355 2.859 20 5 CFBDRN O=C(CC1CCC1)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859572741 636605786 /nfs/dbraw/zinc/60/57/86/636605786.db2.gz SUPRBAADSGLDSR-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@@H]2C[C@H]2C)c1[N+](=O)[O-] ZINC000859583496 636609667 /nfs/dbraw/zinc/60/96/67/636609667.db2.gz GAQRJYFEMXKZRK-APPZFPTMSA-N 0 0 267.256 2.855 20 5 CFBDRN CCO[C@@H](COC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000859592648 636615647 /nfs/dbraw/zinc/61/56/47/636615647.db2.gz XVBDSHRRZRSSCA-ZDUSSCGKSA-N 0 0 297.282 2.706 20 5 CFBDRN C/C=C(\CC)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859650226 636638440 /nfs/dbraw/zinc/63/84/40/636638440.db2.gz JXGKEXWRDVOEDS-YCRREMRBSA-N 0 0 295.266 2.816 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859709672 636662079 /nfs/dbraw/zinc/66/20/79/636662079.db2.gz UCOGTSLZVAPJSJ-LDYMZIIASA-N 0 0 295.266 2.506 20 5 CFBDRN CC1(C)CC(COC(=O)[C@H](O)c2cccc([N+](=O)[O-])c2)C1 ZINC000859715698 636664222 /nfs/dbraw/zinc/66/42/22/636664222.db2.gz WCCHLFRLLPBOHC-CYBMUJFWSA-N 0 0 293.319 2.608 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000859762927 636682985 /nfs/dbraw/zinc/68/29/85/636682985.db2.gz FDMSAAKXWGKXPQ-MFKMUULPSA-N 0 0 295.335 2.996 20 5 CFBDRN C[C@H](O)CCOC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859811817 636703965 /nfs/dbraw/zinc/70/39/65/636703965.db2.gz WCTVBHHZQGMWJO-JTQLQIEISA-N 0 0 289.287 2.676 20 5 CFBDRN CCC[C@H](OCC)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000859835255 636717046 /nfs/dbraw/zinc/71/70/46/636717046.db2.gz ZWIFDGXMJITXMU-ZDUSSCGKSA-N 0 0 281.308 2.843 20 5 CFBDRN COC1CC(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000859846459 636722989 /nfs/dbraw/zinc/72/29/89/636722989.db2.gz VDKHBRLKXKUWDN-UHFFFAOYSA-N 0 0 299.710 2.716 20 5 CFBDRN CCO[C@@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000859867941 636732465 /nfs/dbraw/zinc/73/24/65/636732465.db2.gz QUYILUKMUUXVJS-CYBMUJFWSA-N 0 0 297.282 2.592 20 5 CFBDRN CSc1ccc(C(=O)OC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000194243267 636766744 /nfs/dbraw/zinc/76/67/44/636766744.db2.gz VEVGHRJJAWUJOD-JTQLQIEISA-N 0 0 297.332 2.653 20 5 CFBDRN Cc1cc(N[C@@H](C)Cc2ccco2)ncc1[N+](=O)[O-] ZINC000081275229 636774962 /nfs/dbraw/zinc/77/49/62/636774962.db2.gz CFUIZCKELPUWLJ-JTQLQIEISA-N 0 0 261.281 2.934 20 5 CFBDRN CC/C=C(\C)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000194388853 636776167 /nfs/dbraw/zinc/77/61/67/636776167.db2.gz CDFDTLHXAOLTOM-WEVVVXLNSA-N 0 0 265.265 2.865 20 5 CFBDRN C/C(=C\C(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000048943033 650283695 /nfs/dbraw/zinc/28/36/95/650283695.db2.gz DHHDCFHMBWWDPO-ZHACJKMWSA-N 0 0 274.320 2.610 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000860140573 636800801 /nfs/dbraw/zinc/80/08/01/636800801.db2.gz GFNUJXFYUCARBB-KZWBYHQPSA-N 0 0 293.319 2.698 20 5 CFBDRN CCOc1cc(COC(=O)C23CC(C2)C3)ccc1[N+](=O)[O-] ZINC000860270592 636845025 /nfs/dbraw/zinc/84/50/25/636845025.db2.gz AMGAFJVGYDNQHX-UHFFFAOYSA-N 0 0 291.303 2.837 20 5 CFBDRN CCO[C@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000860371335 636869635 /nfs/dbraw/zinc/86/96/35/636869635.db2.gz VCEIOTVYMRQQDU-ZDUSSCGKSA-N 0 0 299.298 2.838 20 5 CFBDRN O=C(NN1CCCCC1)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000860442158 636886318 /nfs/dbraw/zinc/88/63/18/636886318.db2.gz VIQTUBJRVTWNMQ-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000860476198 636893491 /nfs/dbraw/zinc/89/34/91/636893491.db2.gz FTAMTSHLQLAJFL-MIJXAVMKSA-N 0 0 293.319 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C ZINC000860479220 636893526 /nfs/dbraw/zinc/89/35/26/636893526.db2.gz LEIOJNYCCWMSAE-ZHPDPMBESA-N 0 0 293.319 2.868 20 5 CFBDRN CCn1cc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])c(C)n1 ZINC000860476952 636893688 /nfs/dbraw/zinc/89/36/88/636893688.db2.gz YJUIJJIOMMLERZ-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000860614276 636927959 /nfs/dbraw/zinc/92/79/59/636927959.db2.gz JNCPDDCITDCIIF-YDEXJTGXSA-N 0 0 297.282 2.699 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000860627890 636931811 /nfs/dbraw/zinc/93/18/11/636931811.db2.gz KSOQNLHSJWRHIP-MIJXAVMKSA-N 0 0 293.319 2.868 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CC[C@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000860867300 636995249 /nfs/dbraw/zinc/99/52/49/636995249.db2.gz DSKBWWGWGGCPDQ-STQMWFEESA-N 0 0 295.310 2.918 20 5 CFBDRN CC(C)(C)c1ccccc1OCC(=O)OCCC[N+](=O)[O-] ZINC000860912014 637014400 /nfs/dbraw/zinc/01/44/00/637014400.db2.gz CTLRIPYWNVPSKX-UHFFFAOYSA-N 0 0 295.335 2.573 20 5 CFBDRN O=C(CCCc1c[nH]c2ccccc12)OCCC[N+](=O)[O-] ZINC000860911331 637014412 /nfs/dbraw/zinc/01/44/12/637014412.db2.gz JQABURPBPNXVMM-UHFFFAOYSA-N 0 0 290.319 2.701 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc2c(s1)CCCCC2 ZINC000860911983 637014447 /nfs/dbraw/zinc/01/44/47/637014447.db2.gz ZQCYJMLKFCVIES-UHFFFAOYSA-N 0 0 283.349 2.841 20 5 CFBDRN O=C(CCc1ccc(Cl)s1)OCCC[N+](=O)[O-] ZINC000860915227 637015086 /nfs/dbraw/zinc/01/50/86/637015086.db2.gz DJLCHJNIASQKLC-UHFFFAOYSA-N 0 0 277.729 2.544 20 5 CFBDRN CC[C@@H](C)Oc1ccc(C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860917359 637016130 /nfs/dbraw/zinc/01/61/30/637016130.db2.gz KIJOULFQAJVOGU-LLVKDONJSA-N 0 0 281.308 2.688 20 5 CFBDRN CCSc1ccc(CC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860917351 637016185 /nfs/dbraw/zinc/01/61/85/637016185.db2.gz KDHCEYYFNPRACY-UHFFFAOYSA-N 0 0 283.349 2.551 20 5 CFBDRN CSCc1cc(C(=O)OCCC[N+](=O)[O-])ccc1F ZINC000860917128 637016199 /nfs/dbraw/zinc/01/61/99/637016199.db2.gz FYVAFKSUSJAYPF-UHFFFAOYSA-N 0 0 287.312 2.512 20 5 CFBDRN CC(C)COc1ccccc1C(=O)OCCC[N+](=O)[O-] ZINC000860915913 637016215 /nfs/dbraw/zinc/01/62/15/637016215.db2.gz YSNNAOCGPIZDEK-UHFFFAOYSA-N 0 0 281.308 2.545 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1[nH]c2ccccc2c1Cl ZINC000860916160 637016260 /nfs/dbraw/zinc/01/62/60/637016260.db2.gz OIWRZMRJVCMCMP-UHFFFAOYSA-N 0 0 282.683 2.645 20 5 CFBDRN CC(C)CC1(C(=O)OCCC[N+](=O)[O-])CCCC1 ZINC000860917836 637017218 /nfs/dbraw/zinc/01/72/18/637017218.db2.gz RNKQVOGLWWJQNC-UHFFFAOYSA-N 0 0 257.330 2.803 20 5 CFBDRN O=C(C[C@@H]1OCc2cc(Cl)ccc21)OCCC[N+](=O)[O-] ZINC000860922187 637018100 /nfs/dbraw/zinc/01/81/00/637018100.db2.gz KZNOFSCEKCSBCB-LBPRGKRZSA-N 0 0 299.710 2.511 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc(C2CCC2)cc1 ZINC000860920851 637018314 /nfs/dbraw/zinc/01/83/14/637018314.db2.gz JOPYVIQXLBDEGQ-UHFFFAOYSA-N 0 0 263.293 2.778 20 5 CFBDRN Cc1ccc(C(=O)CCCC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860920653 637018352 /nfs/dbraw/zinc/01/83/52/637018352.db2.gz FAWJSNXMVZTBRT-UHFFFAOYSA-N 0 0 293.319 2.558 20 5 CFBDRN C[C@@]1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCCOC1 ZINC000861045552 637055325 /nfs/dbraw/zinc/05/53/25/637055325.db2.gz NEYGNHGXIACKOS-CQSZACIVSA-N 0 0 297.282 2.707 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000861307532 637160160 /nfs/dbraw/zinc/16/01/60/637160160.db2.gz QHOAMDNJJJYMCL-XYPYZODXSA-N 0 0 279.292 2.546 20 5 CFBDRN COC[C@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])C(C)C ZINC000885801864 637229917 /nfs/dbraw/zinc/22/99/17/637229917.db2.gz XKMVNPTXAMOPLS-LBPRGKRZSA-N 0 0 294.351 2.552 20 5 CFBDRN CC(=O)CCCCCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000862072405 637269733 /nfs/dbraw/zinc/26/97/33/637269733.db2.gz PYHQJPLLVVQUNG-UHFFFAOYSA-N 0 0 298.364 2.926 20 5 CFBDRN CN(C(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1nccs1 ZINC000075574690 650337864 /nfs/dbraw/zinc/33/78/64/650337864.db2.gz GPWLUGLPBYPMLE-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N(C1CC1)C1CC1 ZINC000862852424 637509239 /nfs/dbraw/zinc/50/92/39/637509239.db2.gz JTXNGZOCSPCTMG-UHFFFAOYSA-N 0 0 264.256 2.501 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CCC(F)(F)Cl ZINC000863307462 637623387 /nfs/dbraw/zinc/62/33/87/637623387.db2.gz RESMSNZAYQFVQJ-UHFFFAOYSA-N 0 0 287.049 2.632 20 5 CFBDRN Cc1cc(=O)n(CCC(F)=C(F)F)cc1[N+](=O)[O-] ZINC000863314579 637624041 /nfs/dbraw/zinc/62/40/41/637624041.db2.gz PSRYCDZYQYFVJK-UHFFFAOYSA-N 0 0 262.187 2.533 20 5 CFBDRN Cc1nc(COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)c(C)o1 ZINC000863347458 637635253 /nfs/dbraw/zinc/63/52/53/637635253.db2.gz OXNCMZNFMBDRAD-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCC(=O)NCC(C)(C)C ZINC000863352009 637637228 /nfs/dbraw/zinc/63/72/28/637637228.db2.gz UNPKZXLXZAVLAF-UHFFFAOYSA-N 0 0 297.330 2.617 20 5 CFBDRN CCC(=O)Nc1ccc([N+](=O)[O-])c(Br)c1 ZINC000119619088 637770297 /nfs/dbraw/zinc/77/02/97/637770297.db2.gz XGYDOIIQRDMIDF-UHFFFAOYSA-N 0 0 273.086 2.706 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Br)c1 ZINC000119636108 637771728 /nfs/dbraw/zinc/77/17/28/637771728.db2.gz AOPIUOCECABYPY-SVRRBLITSA-N 0 0 299.124 2.952 20 5 CFBDRN CCCN(CCC)c1nc2ccccn2c1[N+](=O)[O-] ZINC000864235002 637919127 /nfs/dbraw/zinc/91/91/27/637919127.db2.gz CQQDQASUIZGXKJ-UHFFFAOYSA-N 0 0 262.313 2.869 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CCCCCC1 ZINC000864381022 637957497 /nfs/dbraw/zinc/95/74/97/637957497.db2.gz OOZLIOIIMCHPEX-UHFFFAOYSA-N 0 0 280.299 2.679 20 5 CFBDRN CC(C)(Cn1cc(-c2cnc3ccccc3c2)nn1)[N+](=O)[O-] ZINC000864642410 638056398 /nfs/dbraw/zinc/05/63/98/638056398.db2.gz OBJADDYSLVFDJW-UHFFFAOYSA-N 0 0 297.318 2.549 20 5 CFBDRN CC/C=C(/F)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000864823561 638101622 /nfs/dbraw/zinc/10/16/22/638101622.db2.gz YQUZCHVIIIHVPV-IZZDOVSWSA-N 0 0 266.272 2.817 20 5 CFBDRN CCSCc1nnc(-c2ccccc2[N+](=O)[O-])o1 ZINC000865278346 638253513 /nfs/dbraw/zinc/25/35/13/638253513.db2.gz XSGGTQWNGYMYLG-UHFFFAOYSA-N 0 0 265.294 2.898 20 5 CFBDRN C[C@H](C(=O)C(C)(C)C)n1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000865361188 638283946 /nfs/dbraw/zinc/28/39/46/638283946.db2.gz QQDIVOXTEQUSCW-SSDOTTSWSA-N 0 0 286.715 2.586 20 5 CFBDRN CCc1csc(SCCn2cc([N+](=O)[O-])cn2)n1 ZINC000865387024 638302126 /nfs/dbraw/zinc/30/21/26/638302126.db2.gz OSOMQWDZSWSVRF-UHFFFAOYSA-N 0 0 284.366 2.603 20 5 CFBDRN CCc1nc(C)cn1Cc1ccc([N+](=O)[O-])cc1OC ZINC000865393405 638304867 /nfs/dbraw/zinc/30/48/67/638304867.db2.gz UKYJRCJOVJUGOA-UHFFFAOYSA-N 0 0 275.308 2.719 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCCCC(F)(F)F)cc1F ZINC000865572614 638432420 /nfs/dbraw/zinc/43/24/20/638432420.db2.gz UJMJRLAFSIZBST-UHFFFAOYSA-N 0 0 296.220 2.981 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000865608285 638455931 /nfs/dbraw/zinc/45/59/31/638455931.db2.gz TWUWCVPNXNMOLF-UMSPYCQHSA-N 0 0 294.326 2.971 20 5 CFBDRN CC1(C)C[C@@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)CCO1 ZINC000865638301 638480156 /nfs/dbraw/zinc/48/01/56/638480156.db2.gz AZLKCDHMIWSYRX-JTQLQIEISA-N 0 0 298.314 2.596 20 5 CFBDRN COC1(C)CN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000865651468 638487523 /nfs/dbraw/zinc/48/75/23/638487523.db2.gz TVHZZWUCNTYIJT-UHFFFAOYSA-N 0 0 272.251 2.758 20 5 CFBDRN CC1(C)CC[C@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865806067 638583963 /nfs/dbraw/zinc/58/39/63/638583963.db2.gz MVENBQWVFBKABJ-GFCCVEGCSA-N 0 0 268.288 2.827 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CCC[C@@H](C3CC3)C2)cc1F ZINC000865812668 638588599 /nfs/dbraw/zinc/58/85/99/638588599.db2.gz UPHDTWKJBNXBPI-LLVKDONJSA-N 0 0 294.326 2.853 20 5 CFBDRN O=C1C[C@H](Nc2c(Cl)c(Cl)ccc2[N+](=O)[O-])CO1 ZINC000865820866 638592356 /nfs/dbraw/zinc/59/23/56/638592356.db2.gz YFRWZBXAMYDXCZ-YFKPBYRVSA-N 0 0 291.090 2.629 20 5 CFBDRN C[C@H](CNc1cc(F)c([N+](=O)[O-])cc1CO)CC(F)F ZINC000865899326 638648867 /nfs/dbraw/zinc/64/88/67/638648867.db2.gz ZVDBGNMMTHKUTK-ZETCQYMHSA-N 0 0 292.257 2.929 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cc1F)C(OC)OC ZINC000865922609 638658242 /nfs/dbraw/zinc/65/82/42/638658242.db2.gz AIIMSAQCNKSVTK-JTQLQIEISA-N 0 0 286.303 2.852 20 5 CFBDRN Cc1nn(C)c(Cl)c1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000120812219 638666217 /nfs/dbraw/zinc/66/62/17/638666217.db2.gz QHUZFVPYFVBOTC-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N[C@H]3COC4(CCC4)C3)c2c1 ZINC000865941298 638668221 /nfs/dbraw/zinc/66/82/21/638668221.db2.gz XXFMKHRXHKRMCQ-LLVKDONJSA-N 0 0 299.330 2.688 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CCCC3(CC3)CC2)cc1F ZINC000865975241 638684101 /nfs/dbraw/zinc/68/41/01/638684101.db2.gz AUMYPVNCVJGGAS-UHFFFAOYSA-N 0 0 294.326 2.997 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC(C)(C)F)c1 ZINC000865987341 638687491 /nfs/dbraw/zinc/68/74/91/638687491.db2.gz ZLMFOQRFNFXLPW-UHFFFAOYSA-N 0 0 260.240 2.903 20 5 CFBDRN CSC1CCN(c2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000865987436 638688778 /nfs/dbraw/zinc/68/87/78/638688778.db2.gz BRSKETFVQFXGOI-UHFFFAOYSA-N 0 0 267.354 2.509 20 5 CFBDRN Cc1cc(N2CCC[C@H]3[C@@H]2C(C)(C)[C@H]3O)ccc1[N+](=O)[O-] ZINC000865999818 638692223 /nfs/dbraw/zinc/69/22/23/638692223.db2.gz HRLRLXNEAJTJNL-CFVMTHIKSA-N 0 0 290.363 2.889 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32 ZINC000866044636 638709481 /nfs/dbraw/zinc/70/94/81/638709481.db2.gz JFWOEGBEADNJPV-LIHPDXLKSA-N 0 0 278.283 2.569 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000866069496 638722293 /nfs/dbraw/zinc/72/22/93/638722293.db2.gz FCUDQQQHDKQZQE-SCZZXKLOSA-N 0 0 285.731 2.647 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000866070293 638722637 /nfs/dbraw/zinc/72/26/37/638722637.db2.gz ALAGZUVZRNIZEM-GXSJLCMTSA-N 0 0 295.295 2.507 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000866072600 638724154 /nfs/dbraw/zinc/72/41/54/638724154.db2.gz UPTFASIBKWITNQ-GXSJLCMTSA-N 0 0 268.288 2.738 20 5 CFBDRN CCOC(CN(CC)c1ncc(C)cc1[N+](=O)[O-])OCC ZINC000866127269 638750077 /nfs/dbraw/zinc/75/00/77/638750077.db2.gz AKFOQXKKZCGJOR-UHFFFAOYSA-N 0 0 297.355 2.524 20 5 CFBDRN Nc1ccc(N[C@H](C2CCC2)[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000866142399 638756457 /nfs/dbraw/zinc/75/64/57/638756457.db2.gz SWGOYZHPKQOVGG-XHDPSFHLSA-N 0 0 291.351 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](OC3CCC3)CC2)nc1 ZINC000866145595 638757207 /nfs/dbraw/zinc/75/72/07/638757207.db2.gz OCADGTHOHCBGOK-AWEZNQCLSA-N 0 0 291.351 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3C[C@@H]4CC=CC[C@@H]4C3)nc2c1 ZINC000866163445 638761476 /nfs/dbraw/zinc/76/14/76/638761476.db2.gz ZSXXXDZFOGJSLI-PHIMTYICSA-N 0 0 284.319 2.874 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H]3CC=CC[C@@H]3C2)n1 ZINC000866163220 638761519 /nfs/dbraw/zinc/76/15/19/638761519.db2.gz KUCPZVNNLHAPLR-TXEJJXNPSA-N 0 0 259.309 2.701 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC23CCOCC3)c(F)c1 ZINC000866173545 638763546 /nfs/dbraw/zinc/76/35/46/638763546.db2.gz UZAMYMGPRSFQBE-SNVBAGLBSA-N 0 0 295.314 2.748 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC23CCOCC3)s1 ZINC000866175734 638764013 /nfs/dbraw/zinc/76/40/13/638764013.db2.gz VOUCVBZBKNDLSR-SECBINFHSA-N 0 0 283.353 2.670 20 5 CFBDRN CN1Cc2ccccc2[C@@H]1CNc1ncccc1[N+](=O)[O-] ZINC000866193988 638767198 /nfs/dbraw/zinc/76/71/98/638767198.db2.gz FSEPFJPNJSTDCD-AWEZNQCLSA-N 0 0 284.319 2.588 20 5 CFBDRN COc1cccc(N2CC[C@@H]3CSC[C@@H]3C2)c1[N+](=O)[O-] ZINC000866202417 638769090 /nfs/dbraw/zinc/76/90/90/638769090.db2.gz UNBKEILDQWBTIL-MNOVXSKESA-N 0 0 294.376 2.793 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@@H]2CSC[C@H]2C1 ZINC000866202022 638769113 /nfs/dbraw/zinc/76/91/13/638769113.db2.gz RBGCYQXEHNXYHC-RKDXNWHRSA-N 0 0 299.783 2.833 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866268096 638784838 /nfs/dbraw/zinc/78/48/38/638784838.db2.gz MMHJDSNTIDBWSX-JQWIXIFHSA-N 0 0 294.326 2.923 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1c(OC)cccc1[N+](=O)[O-] ZINC000866301644 638792062 /nfs/dbraw/zinc/79/20/62/638792062.db2.gz QAXFURYTJDMHSX-VHSXEESVSA-N 0 0 268.313 2.565 20 5 CFBDRN COc1cccc(NCC[C@H](O)C2CCCC2)c1[N+](=O)[O-] ZINC000866303971 638793101 /nfs/dbraw/zinc/79/31/01/638793101.db2.gz SDDDIOBMDDMTHR-ZDUSSCGKSA-N 0 0 294.351 2.957 20 5 CFBDRN COc1cc(NCC[C@H](O)C2CCCC2)ccc1[N+](=O)[O-] ZINC000866304600 638793474 /nfs/dbraw/zinc/79/34/74/638793474.db2.gz ZECVEFFBCLASNO-AWEZNQCLSA-N 0 0 294.351 2.957 20 5 CFBDRN CC1(C)C(NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1(C)C ZINC000866339787 638799382 /nfs/dbraw/zinc/79/93/82/638799382.db2.gz DYYNCXMJDGVODL-UHFFFAOYSA-N 0 0 294.326 2.827 20 5 CFBDRN CC(C)C1(CNC(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000866446822 638823352 /nfs/dbraw/zinc/82/33/52/638823352.db2.gz XEWIBCCHFXTNNT-UHFFFAOYSA-N 0 0 294.326 2.829 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NOC1CCCCC1 ZINC000867548568 638884262 /nfs/dbraw/zinc/88/42/62/638884262.db2.gz UDPBCHWUEALRCN-UHFFFAOYSA-N 0 0 296.298 2.657 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@H]2C(F)F)c1[N+](=O)[O-] ZINC000868601251 638960521 /nfs/dbraw/zinc/96/05/21/638960521.db2.gz BSTAWGFQYKWXOP-VIFPVBQESA-N 0 0 288.225 2.522 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000868699645 638970421 /nfs/dbraw/zinc/97/04/21/638970421.db2.gz XZIVUUJHTMUGPN-QWHCGFSZSA-N 0 0 291.351 2.747 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000868702610 638970918 /nfs/dbraw/zinc/97/09/18/638970918.db2.gz LYRGAOUUYKZWCF-LBPRGKRZSA-N 0 0 277.324 2.501 20 5 CFBDRN CC[C@H](NC(=O)NCc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000868777757 638978619 /nfs/dbraw/zinc/97/86/19/638978619.db2.gz KPJANATWAUPUBB-ZDUSSCGKSA-N 0 0 291.351 2.891 20 5 CFBDRN Cc1ccc(CNC(=O)NCC2=CCCC2)cc1[N+](=O)[O-] ZINC000868785316 638979403 /nfs/dbraw/zinc/97/94/03/638979403.db2.gz XTPOCTHAZXPGHX-UHFFFAOYSA-N 0 0 289.335 2.813 20 5 CFBDRN Cc1occc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000017275834 638990745 /nfs/dbraw/zinc/99/07/45/638990745.db2.gz LZCVDEGMKNBIQK-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN Cc1ccc(CC(=O)Oc2cccc([N+](=O)[O-])c2C)cn1 ZINC000077959401 650465562 /nfs/dbraw/zinc/46/55/62/650465562.db2.gz AJDNZPNRIYPKEW-UHFFFAOYSA-N 0 0 286.287 2.755 20 5 CFBDRN CC[C@@](C)(NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000869272609 639126133 /nfs/dbraw/zinc/12/61/33/639126133.db2.gz NPXDRJPQLIPZKN-OAHLLOKOSA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000869313423 639163354 /nfs/dbraw/zinc/16/33/54/639163354.db2.gz DGXDERDTIHDFNB-WCBMZHEXSA-N 0 0 299.710 2.830 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000869314164 639167072 /nfs/dbraw/zinc/16/70/72/639167072.db2.gz MPFKRJLZUUXOQH-GFCCVEGCSA-N 0 0 279.292 2.567 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCCCC1CC1 ZINC000869335163 639182949 /nfs/dbraw/zinc/18/29/49/639182949.db2.gz FUKFYJRAPDPJPR-UHFFFAOYSA-N 0 0 264.281 2.645 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2CC(C)(C)CO2)cc1[N+](=O)[O-] ZINC000869343902 639187696 /nfs/dbraw/zinc/18/76/96/639187696.db2.gz UPEMFJZMSZUFPZ-VIFPVBQESA-N 0 0 299.348 2.937 20 5 CFBDRN O=C(OCc1coc(C2CC2)n1)c1csc([N+](=O)[O-])c1 ZINC000869356722 639193068 /nfs/dbraw/zinc/19/30/68/639193068.db2.gz KRHHOWWUBXHVBZ-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000869362457 639196062 /nfs/dbraw/zinc/19/60/62/639196062.db2.gz JBEONBFSGAJJHC-JTQLQIEISA-N 0 0 297.282 2.706 20 5 CFBDRN CCCCC(=O)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000869391026 639208200 /nfs/dbraw/zinc/20/82/00/639208200.db2.gz FEHVVUKNAQIFMY-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC000869504763 639264637 /nfs/dbraw/zinc/26/46/37/639264637.db2.gz IRYZYRDPAIAQSC-CYBMUJFWSA-N 0 0 277.276 2.639 20 5 CFBDRN CCCCC(=O)COC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000869510051 639267571 /nfs/dbraw/zinc/26/75/71/639267571.db2.gz ROULGTBBICRUHG-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc(F)cc2[N+](=O)[O-])[C@@H](C)O1 ZINC000869649475 639338911 /nfs/dbraw/zinc/33/89/11/639338911.db2.gz BJRIRZKPGYKSLS-LNLATYFQSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc(F)cc2[N+](=O)[O-])[C@H](C)O1 ZINC000869649477 639339120 /nfs/dbraw/zinc/33/91/20/639339120.db2.gz BJRIRZKPGYKSLS-VDDIYKPWSA-N 0 0 297.282 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@H]2CO)c(Cl)c1 ZINC000078362341 650493521 /nfs/dbraw/zinc/49/35/21/650493521.db2.gz KKGAZCNGFKXTKB-KWQFWETISA-N 0 0 270.716 2.821 20 5 CFBDRN CO[C@@H](C)COC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869811671 639417686 /nfs/dbraw/zinc/41/76/86/639417686.db2.gz SNXCDVZJGGFPQA-NSHDSACASA-N 0 0 293.319 2.595 20 5 CFBDRN COCCCOC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869811643 639417710 /nfs/dbraw/zinc/41/77/10/639417710.db2.gz RSMXABIDCRNPKP-UHFFFAOYSA-N 0 0 293.319 2.596 20 5 CFBDRN O=C(OCCC(F)(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000869896393 639457771 /nfs/dbraw/zinc/45/77/71/639457771.db2.gz BLUSWYMATKLOQO-UHFFFAOYSA-N 0 0 281.161 2.843 20 5 CFBDRN COC1(COC(=O)c2c(F)cccc2[N+](=O)[O-])CCCC1 ZINC000869896982 639458544 /nfs/dbraw/zinc/45/85/44/639458544.db2.gz KAQRLPWKXDZIKL-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000870134960 639527834 /nfs/dbraw/zinc/52/78/34/639527834.db2.gz QDCQIWISEQKOMD-SASUGWTJSA-N 0 0 291.303 2.801 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000870151246 639529513 /nfs/dbraw/zinc/52/95/13/639529513.db2.gz XVMQKRWZARSIKU-HJQYOEGKSA-N 0 0 291.303 2.801 20 5 CFBDRN CCn1ncc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)c1C ZINC000870160028 639530551 /nfs/dbraw/zinc/53/05/51/639530551.db2.gz IOTVPEVBGJCIQP-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN C=COCCOC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000870264397 639569999 /nfs/dbraw/zinc/56/99/99/639569999.db2.gz VOOGVOLTOBLCGD-UHFFFAOYSA-N 0 0 291.303 2.720 20 5 CFBDRN C[C@@H](COC(=O)c1cc(Cl)cc(Cl)c1F)[N+](=O)[O-] ZINC000870378892 639617174 /nfs/dbraw/zinc/61/71/74/639617174.db2.gz ZSSRSMPXSKQXGH-YFKPBYRVSA-N 0 0 296.081 2.955 20 5 CFBDRN C[C@H](CCc1ccc(F)cc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000870387112 639619610 /nfs/dbraw/zinc/61/96/10/639619610.db2.gz KTZPUBUOGZCJJL-MNOVXSKESA-N 0 0 283.299 2.603 20 5 CFBDRN Cc1cc(OCc2cccc([N+](=O)[O-])c2C)nnc1C ZINC000078552000 650513762 /nfs/dbraw/zinc/51/37/62/650513762.db2.gz JYHGJPXFVPHZBO-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCCC[C@@H]1F ZINC000870489609 639686687 /nfs/dbraw/zinc/68/66/87/639686687.db2.gz LLWQJGLXYVSICP-WDEREUQCSA-N 0 0 280.299 2.914 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CCCC[C@@H]1F ZINC000870490366 639688038 /nfs/dbraw/zinc/68/80/38/639688038.db2.gz WMHLDVLKEVXESZ-NWDGAFQWSA-N 0 0 298.289 2.673 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000870579016 639732610 /nfs/dbraw/zinc/73/26/10/639732610.db2.gz VFMHNQIOZICYQK-PHIMTYICSA-N 0 0 281.333 2.954 20 5 CFBDRN COc1c(C(=O)O[C@H]2C[C@@H](SC)C2)cccc1[N+](=O)[O-] ZINC000870579550 639732962 /nfs/dbraw/zinc/73/29/62/639732962.db2.gz DTFQLPHMISTPPR-DTORHVGOSA-N 0 0 297.332 2.654 20 5 CFBDRN COc1c(C(=O)OC[C@@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000870670091 639760658 /nfs/dbraw/zinc/76/06/58/639760658.db2.gz JNUIYUFHNWUVFS-JQWIXIFHSA-N 0 0 291.303 2.806 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@H]1CO[C@@H](C)C1 ZINC000870701842 639779174 /nfs/dbraw/zinc/77/91/74/639779174.db2.gz XELZFQVZTSEPQZ-JQWIXIFHSA-N 0 0 293.319 2.680 20 5 CFBDRN CC(=O)CCCOC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000078791182 650533600 /nfs/dbraw/zinc/53/36/00/650533600.db2.gz SUQOGKBMRQPCHV-UHFFFAOYSA-N 0 0 297.332 2.599 20 5 CFBDRN CCC1(C(=O)OC2CC(n3cc([N+](=O)[O-])cn3)C2)CCC1 ZINC000870827547 641090218 /nfs/dbraw/zinc/09/02/18/641090218.db2.gz AFPCRFHGSUHSAQ-UHFFFAOYSA-N 0 0 293.323 2.618 20 5 CFBDRN Cc1c(C(=O)NCC[C@@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000871023355 641158026 /nfs/dbraw/zinc/15/80/26/641158026.db2.gz DAAQLIAPAXFQQW-NSHDSACASA-N 0 0 294.376 2.919 20 5 CFBDRN O=C(NCC[C@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000871024008 641158410 /nfs/dbraw/zinc/15/84/10/641158410.db2.gz KVMOFBWCMDDGKV-GFCCVEGCSA-N 0 0 280.349 2.610 20 5 CFBDRN O=C(NCC[C@H]1CCCS1)c1ccc([N+](=O)[O-])cc1F ZINC000871029197 641161931 /nfs/dbraw/zinc/16/19/31/641161931.db2.gz OPVNCWUGKIWEIG-SNVBAGLBSA-N 0 0 298.339 2.749 20 5 CFBDRN CCCCC[C@@H](Nc1ncccc1[N+](=O)[O-])C(=O)OC ZINC000080233039 650652887 /nfs/dbraw/zinc/65/28/87/650652887.db2.gz DEZWVBSZONIVLF-SNVBAGLBSA-N 0 0 281.312 2.524 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000123702935 641364324 /nfs/dbraw/zinc/36/43/24/641364324.db2.gz ANSKMYZQNZXTLR-SECBINFHSA-N 0 0 297.307 2.815 20 5 CFBDRN CCCCCN1CC(=O)N[C@H]1c1cccc([N+](=O)[O-])c1C ZINC000871600851 641365083 /nfs/dbraw/zinc/36/50/83/641365083.db2.gz FIYVBXNXPMTLCW-OAHLLOKOSA-N 0 0 291.351 2.524 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@@H]3CCC[C@@H]3O2)cc1 ZINC000871630908 641374835 /nfs/dbraw/zinc/37/48/35/641374835.db2.gz XKHLQDRDDGVQDH-BPNCWPANSA-N 0 0 263.293 2.931 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000871630653 641374985 /nfs/dbraw/zinc/37/49/85/641374985.db2.gz NATKZUQEAQJECA-GMXVVIOVSA-N 0 0 263.293 2.931 20 5 CFBDRN CO[C@H]1C[C@@H](COc2cc([N+](=O)[O-])ccc2C)C1 ZINC000871648021 641381659 /nfs/dbraw/zinc/38/16/59/641381659.db2.gz BMURCVSSEQSQTN-KLPPZKSPSA-N 0 0 251.282 2.707 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CC3(CCC3)CO2)c1 ZINC000871651908 641382739 /nfs/dbraw/zinc/38/27/39/641382739.db2.gz SUYPXRSCUPXANY-LBPRGKRZSA-N 0 0 291.303 2.745 20 5 CFBDRN Cc1ccc(OCc2nccn2CC(F)F)cc1[N+](=O)[O-] ZINC000871664575 641389082 /nfs/dbraw/zinc/38/90/82/641389082.db2.gz XUIYXAVWTORBOI-UHFFFAOYSA-N 0 0 297.261 2.944 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@@H]2CC3(CCC3)CO2)c1C ZINC000871676020 641397802 /nfs/dbraw/zinc/39/78/02/641397802.db2.gz MSZFNZYNPOCOGA-LBPRGKRZSA-N 0 0 292.335 2.945 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1 ZINC000871677422 641398390 /nfs/dbraw/zinc/39/83/90/641398390.db2.gz JWOHXMSKICPNNY-VHRBIJSZSA-N 0 0 293.319 2.940 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@H]2CC23CCC3)cc1 ZINC000871690061 641406618 /nfs/dbraw/zinc/40/66/18/641406618.db2.gz UZKGPBNKZIKCMK-NSHDSACASA-N 0 0 277.349 2.824 20 5 CFBDRN COCC(C)(C)CCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000871743906 641434727 /nfs/dbraw/zinc/43/47/27/641434727.db2.gz KCDAEAQJEOGUFK-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1CC12CCC2 ZINC000871763067 641445290 /nfs/dbraw/zinc/44/52/90/641445290.db2.gz WZBLLHWAOLTWOQ-NSHDSACASA-N 0 0 261.281 2.659 20 5 CFBDRN Cc1c(C[NH2+][C@@H](C)[C@H](C(=O)[O-])C(C)C)cccc1[N+](=O)[O-] ZINC000871874743 641492677 /nfs/dbraw/zinc/49/26/77/641492677.db2.gz UEJXGDBBGZTMJI-SMDDNHRTSA-N 0 0 294.351 2.738 20 5 CFBDRN Cc1c(C[NH2+][C@H](CC(C)(C)C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000871878034 641494729 /nfs/dbraw/zinc/49/47/29/641494729.db2.gz BRTXKFRVNIGJQC-GFCCVEGCSA-N 0 0 294.351 2.882 20 5 CFBDRN Cc1c(C[NH2+]CC2(CC(=O)[O-])CCC2)cccc1[N+](=O)[O-] ZINC000871877302 641495292 /nfs/dbraw/zinc/49/52/92/641495292.db2.gz FGOGJYRLRDGODT-UHFFFAOYSA-N 0 0 292.335 2.638 20 5 CFBDRN C[C@H](Nc1ccn(CCF)n1)c1ccccc1[N+](=O)[O-] ZINC000871925665 641513511 /nfs/dbraw/zinc/51/35/11/641513511.db2.gz ULEGOSQXQDHHPB-JTQLQIEISA-N 0 0 278.287 2.934 20 5 CFBDRN CC1=NN(c2cccc([N+](=O)[O-])c2)C(=O)C2(CCC2)C1 ZINC000871941313 641520572 /nfs/dbraw/zinc/52/05/72/641520572.db2.gz ZSJYQFDEHANGLU-UHFFFAOYSA-N 0 0 273.292 2.878 20 5 CFBDRN C[C@@H](NCc1ccon1)c1c(F)cccc1[N+](=O)[O-] ZINC000872038818 641572032 /nfs/dbraw/zinc/57/20/32/641572032.db2.gz AAGFERZMJVUEQV-MRVPVSSYSA-N 0 0 265.244 2.573 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2c(F)cccc2[N+](=O)[O-])ncn1 ZINC000872053358 641586910 /nfs/dbraw/zinc/58/69/10/641586910.db2.gz XWCFGZNNBPNKPB-JTQLQIEISA-N 0 0 290.298 2.683 20 5 CFBDRN Cc1nnsc1CN[C@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872074041 641605888 /nfs/dbraw/zinc/60/58/88/641605888.db2.gz VBAZWLXYUUMZJV-MRVPVSSYSA-N 0 0 296.327 2.745 20 5 CFBDRN C[C@@H](N[C@H](C)c1c(F)cccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000872080312 641613657 /nfs/dbraw/zinc/61/36/57/641613657.db2.gz VQYWRVBSAAYENW-NXEZZACHSA-N 0 0 292.314 2.879 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872089889 641623663 /nfs/dbraw/zinc/62/36/63/641623663.db2.gz HLQWKLDRSMROSA-IUPBHXKESA-N 0 0 282.315 2.952 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1 ZINC000872697563 641901802 /nfs/dbraw/zinc/90/18/02/641901802.db2.gz OEPMYZQHBZLCFP-CHIMOYNISA-N 0 0 277.324 2.547 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H]3CCC[C@H]3O2)c1 ZINC000872697565 641902082 /nfs/dbraw/zinc/90/20/82/641902082.db2.gz OEPMYZQHBZLCFP-OLUVUFQESA-N 0 0 277.324 2.547 20 5 CFBDRN COC(=O)CC[C@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000872746650 641918666 /nfs/dbraw/zinc/91/86/66/641918666.db2.gz IWMHIZDGYCFJRN-SNVBAGLBSA-N 0 0 298.364 2.826 20 5 CFBDRN Cc1nccnc1[C@H](C)Nc1ccc([N+](=O)[O-])s1 ZINC000872767917 641925088 /nfs/dbraw/zinc/92/50/88/641925088.db2.gz CJEKTSOWWNMNDO-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1nccnc1[C@@H](C)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000872768228 641926050 /nfs/dbraw/zinc/92/60/50/641926050.db2.gz HBVYQGAPXXUYST-MRVPVSSYSA-N 0 0 293.714 2.915 20 5 CFBDRN CCOC(=O)[C@@H](C)C1CN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000872772979 641927739 /nfs/dbraw/zinc/92/77/39/641927739.db2.gz VBPAAYAXNUAORY-NSHDSACASA-N 0 0 292.335 2.539 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@H]1CC12CCC2 ZINC000872774485 641928813 /nfs/dbraw/zinc/92/88/13/641928813.db2.gz CIAYSGFODWEOOI-LURJTMIESA-N 0 0 290.245 2.702 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000872776021 641930594 /nfs/dbraw/zinc/93/05/94/641930594.db2.gz BWBFNDKLJHAOKO-WPBUFGDCSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@@H](NC(=O)NC[C@H]1C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000872825497 641947814 /nfs/dbraw/zinc/94/78/14/641947814.db2.gz PBASVLDPAZCLHK-HBNTYKKESA-N 0 0 277.324 2.611 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNCc1nccs1 ZINC000873016375 641985053 /nfs/dbraw/zinc/98/50/53/641985053.db2.gz IPXVCDLLPFWGFV-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000080852288 650711809 /nfs/dbraw/zinc/71/18/09/650711809.db2.gz LTKOLUPALAEGFJ-VHSXEESVSA-N 0 0 298.339 2.748 20 5 CFBDRN CCc1nc(CNCc2cccc([N+](=O)[O-])c2C)co1 ZINC000873130174 642022050 /nfs/dbraw/zinc/02/20/50/642022050.db2.gz ZPAGAHXXOOYZQX-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN CCn1ncc(NCc2ccccc2[N+](=O)[O-])c1C ZINC000873196308 642060951 /nfs/dbraw/zinc/06/09/51/642060951.db2.gz SCMVXVDEWLLDHB-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CC[C@@H](OC(=O)CSc1ccccc1[N+](=O)[O-])C(C)=O ZINC000873314432 642132174 /nfs/dbraw/zinc/13/21/74/642132174.db2.gz TZIATPUCUJFIRX-LLVKDONJSA-N 0 0 297.332 2.598 20 5 CFBDRN CC[C@@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(C)=O ZINC000873318105 642134652 /nfs/dbraw/zinc/13/46/52/642134652.db2.gz XUWHIFZUYREUHH-LLVKDONJSA-N 0 0 285.683 2.773 20 5 CFBDRN CC[C@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(C)=O ZINC000873320042 642135178 /nfs/dbraw/zinc/13/51/78/642135178.db2.gz OEBYSEJFUCDAMO-NSHDSACASA-N 0 0 285.683 2.773 20 5 CFBDRN O=C(OCCCc1ccncc1)c1csc([N+](=O)[O-])c1 ZINC000194188020 650722040 /nfs/dbraw/zinc/72/20/40/650722040.db2.gz SPGIMBYDDOPGEB-UHFFFAOYSA-N 0 0 292.316 2.841 20 5 CFBDRN C=COCCOC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873514935 642230681 /nfs/dbraw/zinc/23/06/81/642230681.db2.gz HKXZHLILYCEKLN-UHFFFAOYSA-N 0 0 265.265 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@H](C)CC(C)(C)O ZINC000873529892 642236709 /nfs/dbraw/zinc/23/67/09/642236709.db2.gz HFPROKBUATUVRW-SNVBAGLBSA-N 0 0 295.335 2.918 20 5 CFBDRN C[C@@H](COC(=O)Cc1csc(C2CCCC2)n1)[N+](=O)[O-] ZINC000873532163 642237430 /nfs/dbraw/zinc/23/74/30/642237430.db2.gz BZAZDCDRKJDUTM-VIFPVBQESA-N 0 0 298.364 2.552 20 5 CFBDRN CCO[C@@H]1CCC[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000873596312 642267278 /nfs/dbraw/zinc/26/72/78/642267278.db2.gz NZHQBEQQOAFSSI-VXGBXAGGSA-N 0 0 287.356 2.713 20 5 CFBDRN COc1c(C(=O)O[C@H](C)[C@H]2CC23CC3)cccc1[N+](=O)[O-] ZINC000873626460 642278204 /nfs/dbraw/zinc/27/82/04/642278204.db2.gz XWTSJFULPKMAMB-MWLCHTKSSA-N 0 0 291.303 2.949 20 5 CFBDRN CC(=O)c1cccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c1F ZINC000873638671 642281913 /nfs/dbraw/zinc/28/19/13/642281913.db2.gz AYLKGGKDGLELKO-UHFFFAOYSA-N 0 0 297.282 2.769 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)Cc1cccnc1 ZINC000873805355 642296731 /nfs/dbraw/zinc/29/67/31/642296731.db2.gz COSHKVXMZHBLCL-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CC(C)CCOCCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124747165 642310175 /nfs/dbraw/zinc/31/01/75/642310175.db2.gz DXIVIHQQXUHOIJ-UHFFFAOYSA-N 0 0 299.298 2.953 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124823805 642336535 /nfs/dbraw/zinc/33/65/35/642336535.db2.gz GXRHUYZQLNOYKQ-YUMQZZPRSA-N 0 0 253.229 2.547 20 5 CFBDRN COc1ccc(OC(=O)c2cnc(C)s2)c([N+](=O)[O-])c1 ZINC000195212180 650742433 /nfs/dbraw/zinc/74/24/33/650742433.db2.gz OSIUXRIZLQEEFK-UHFFFAOYSA-N 0 0 294.288 2.588 20 5 CFBDRN O=C(COCC1CC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000195263140 650745063 /nfs/dbraw/zinc/74/50/63/650745063.db2.gz TXGOGGVSOOSHNL-UHFFFAOYSA-N 0 0 285.683 2.580 20 5 CFBDRN Cc1ccc(COC(=O)c2cc([N+](=O)[O-])cnc2C)cc1 ZINC000195405934 650751641 /nfs/dbraw/zinc/75/16/41/650751641.db2.gz BLAFSTAUSYCIRC-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CCc1ncsc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000125021798 642393238 /nfs/dbraw/zinc/39/32/38/642393238.db2.gz KMQYJIQUHNJQRY-UHFFFAOYSA-N 0 0 296.279 2.972 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@](C)(C(F)(F)F)C1)[N+](=O)[O-] ZINC000874475111 642396885 /nfs/dbraw/zinc/39/68/85/642396885.db2.gz NSYZHGCWGNSNFY-NSHDSACASA-N 0 0 296.289 2.623 20 5 CFBDRN C[C@@H](NC(=O)/C=C\[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000874625498 642428155 /nfs/dbraw/zinc/42/81/55/642428155.db2.gz GTINRBUEHGOLQS-STNFYNBXSA-N 0 0 290.319 2.507 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1O[C@H](C)C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000874625404 642428330 /nfs/dbraw/zinc/42/83/30/642428330.db2.gz PEMDUUDCIDJEJO-NJBDSQKTSA-N 0 0 292.335 2.586 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000874783373 642484563 /nfs/dbraw/zinc/48/45/63/642484563.db2.gz KWMWDVASECLXFM-NSHDSACASA-N 0 0 280.711 2.921 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000874784846 642486222 /nfs/dbraw/zinc/48/62/22/642486222.db2.gz NRKLTVNFCIRWEJ-ZDUSSCGKSA-N 0 0 274.320 2.505 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC23CCC3)c1 ZINC000874789493 642489637 /nfs/dbraw/zinc/48/96/37/642489637.db2.gz MARXRCXKUWTLIS-LBPRGKRZSA-N 0 0 292.360 2.989 20 5 CFBDRN CCO[C@@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C(C)C ZINC000875054445 642581078 /nfs/dbraw/zinc/58/10/78/642581078.db2.gz SBQVUAUWCWOVCF-GFCCVEGCSA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000875060889 642582159 /nfs/dbraw/zinc/58/21/59/642582159.db2.gz GTZWWHLGMRAWLS-GRYCIOLGSA-N 0 0 293.319 2.931 20 5 CFBDRN Cc1cc(C)c(OC(=O)C23CC(C2)C3)c([N+](=O)[O-])c1 ZINC000875061738 642582357 /nfs/dbraw/zinc/58/23/57/642582357.db2.gz OPPSCKMWEYNDIK-UHFFFAOYSA-N 0 0 261.277 2.917 20 5 CFBDRN CCOC(C)(C)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000875093664 642584779 /nfs/dbraw/zinc/58/47/79/642584779.db2.gz FOGHFDHTJZICMY-UHFFFAOYSA-N 0 0 288.250 2.627 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C12CC(C1)C2 ZINC000875094645 642584909 /nfs/dbraw/zinc/58/49/09/642584909.db2.gz YXWKSUOJJKIJHK-UHFFFAOYSA-N 0 0 268.219 2.612 20 5 CFBDRN CCON(CC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000875459333 642625967 /nfs/dbraw/zinc/62/59/67/642625967.db2.gz XDRFOGWVHMKNIB-JTQLQIEISA-N 0 0 281.312 2.639 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@H]1CCO[C@H]1C ZINC000876316770 642822663 /nfs/dbraw/zinc/82/26/63/642822663.db2.gz JRWKXTZMODNKPD-RYUDHWBXSA-N 0 0 293.319 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC12CCC2 ZINC000876427367 642864958 /nfs/dbraw/zinc/86/49/58/642864958.db2.gz OGXBPTOETDZZCR-LBPRGKRZSA-N 0 0 275.308 2.967 20 5 CFBDRN O=C(OCC1CCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000125957916 642873233 /nfs/dbraw/zinc/87/32/33/642873233.db2.gz JPHZDWONOKWGRG-UHFFFAOYSA-N 0 0 253.229 2.691 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN(C)Cc1cnn(C)c1 ZINC000876547657 642915652 /nfs/dbraw/zinc/91/56/52/642915652.db2.gz GGKRBCAQEXYSRA-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN CCCOc1cccc(NCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000876821089 643026033 /nfs/dbraw/zinc/02/60/33/643026033.db2.gz CDQBPLSKDBFJPB-UHFFFAOYSA-N 0 0 290.323 2.729 20 5 CFBDRN Cc1ccc(NCc2cn(C)nc2[N+](=O)[O-])c(C)c1 ZINC000876835008 643032541 /nfs/dbraw/zinc/03/25/41/643032541.db2.gz RDMXILJREHVPMF-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CCCn1cc(NCCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000876878102 643046164 /nfs/dbraw/zinc/04/61/64/643046164.db2.gz RBBYWNOVMBTDKB-UHFFFAOYSA-N 0 0 274.324 2.856 20 5 CFBDRN Cc1cc(NCc2cn(C)nc2[N+](=O)[O-])ccc1Cl ZINC000877618928 643404986 /nfs/dbraw/zinc/40/49/86/643404986.db2.gz JHLBQCZYHSEFMJ-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CCOC3CC3)c2)cc1 ZINC000877877512 643617221 /nfs/dbraw/zinc/61/72/21/643617221.db2.gz DRQJISFGMKQJPW-UHFFFAOYSA-N 0 0 273.292 2.637 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCCOC1CC1 ZINC000877879571 643618429 /nfs/dbraw/zinc/61/84/29/643618429.db2.gz IIUWISZDHMSKEK-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OCCOC2CC2)cc1Cl ZINC000877888449 643625322 /nfs/dbraw/zinc/62/53/22/643625322.db2.gz WPYGPECNMXUSAO-UHFFFAOYSA-N 0 0 275.663 2.945 20 5 CFBDRN CC1CC(Cn2cc([N+](=O)[O-])nc2Br)C1 ZINC000877890468 643626222 /nfs/dbraw/zinc/62/62/22/643626222.db2.gz JLHYDDLPLNJREQ-UHFFFAOYSA-N 0 0 274.118 2.600 20 5 CFBDRN COc1ccc(CN2CCC=C(Cl)C2)cc1[N+](=O)[O-] ZINC000878793343 644028637 /nfs/dbraw/zinc/02/86/37/644028637.db2.gz BIMMAUXNPKGBQL-UHFFFAOYSA-N 0 0 282.727 2.932 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000879312972 644219948 /nfs/dbraw/zinc/21/99/48/644219948.db2.gz AQSRASULBYVXJF-ZFWWWQNUSA-N 0 0 288.347 2.690 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@@H](OC)CC[C@@H]1C ZINC000879317871 644222462 /nfs/dbraw/zinc/22/24/62/644222462.db2.gz MUQCIZYWHKUVEU-FZMZJTMJSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1cnc(CN2c3ccc([N+](=O)[O-])cc3C[C@H]2C)o1 ZINC000127693113 644246010 /nfs/dbraw/zinc/24/60/10/644246010.db2.gz ZDSITJSYSQIQRY-SECBINFHSA-N 0 0 273.292 2.842 20 5 CFBDRN CCN(Cc1cn(C)nc1[N+](=O)[O-])c1cccc(C)c1F ZINC000879415571 644263020 /nfs/dbraw/zinc/26/30/20/644263020.db2.gz XLWNRIUMVRHXDP-UHFFFAOYSA-N 0 0 292.314 2.802 20 5 CFBDRN COc1ccc(C(=O)O[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000127790196 644284916 /nfs/dbraw/zinc/28/49/16/644284916.db2.gz FFKDYOWXHPWDOS-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN Cc1cc(N(C)Cc2ccc(Cl)c([N+](=O)[O-])c2)n[nH]1 ZINC000879746416 644408530 /nfs/dbraw/zinc/40/85/30/644408530.db2.gz GVPXZIPNANKOQN-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CNCc1ccon1 ZINC000093305878 650937929 /nfs/dbraw/zinc/93/79/29/650937929.db2.gz QOUYPXORFZEFRJ-UHFFFAOYSA-N 0 0 267.672 2.526 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNC1(c2ncccn2)CCC1 ZINC000880364427 644668594 /nfs/dbraw/zinc/66/85/94/644668594.db2.gz ZPXYDVARHJAXMF-UHFFFAOYSA-N 0 0 284.319 2.554 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000888812802 644683977 /nfs/dbraw/zinc/68/39/77/644683977.db2.gz GGWDSUKPGXNSFK-JTQLQIEISA-N 0 0 294.376 2.999 20 5 CFBDRN CCOc1cc(NC(=O)N2CC(CC)C2)ccc1[N+](=O)[O-] ZINC000888830962 644811280 /nfs/dbraw/zinc/81/12/80/644811280.db2.gz FBRUXZAYFHXXDY-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CCOc1cc(NC(=O)N2C[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000888830754 644811780 /nfs/dbraw/zinc/81/17/80/644811780.db2.gz BBKLEJNHULNUNQ-UWVGGRQHSA-N 0 0 293.323 2.866 20 5 CFBDRN CCOc1cc(NC(=O)NC[C@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000888836253 644835571 /nfs/dbraw/zinc/83/55/71/644835571.db2.gz QWZNMRJBFIJLNT-NXEZZACHSA-N 0 0 293.323 2.771 20 5 CFBDRN CSCCCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000128807529 644909087 /nfs/dbraw/zinc/90/90/87/644909087.db2.gz YOYXKYVLCGTPGF-UHFFFAOYSA-N 0 0 290.291 2.955 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1C=CCC1 ZINC000881492443 644992163 /nfs/dbraw/zinc/99/21/63/644992163.db2.gz IRIXVRPYYUTCDF-NSHDSACASA-N 0 0 260.293 2.599 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2C=CCC2)c1 ZINC000881494150 644993941 /nfs/dbraw/zinc/99/39/41/644993941.db2.gz DSJUCFAKSCAWFG-NSHDSACASA-N 0 0 260.293 2.599 20 5 CFBDRN CC(C)(C(=O)NC[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000881494536 644993943 /nfs/dbraw/zinc/99/39/43/644993943.db2.gz AIDFPEUAQAMGGC-GFCCVEGCSA-N 0 0 288.347 2.955 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NC[C@H]1C=CCC1 ZINC000881495109 644994451 /nfs/dbraw/zinc/99/44/51/644994451.db2.gz GKJXXVZSKNNQPA-NSHDSACASA-N 0 0 299.330 2.701 20 5 CFBDRN CC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000881521885 645017904 /nfs/dbraw/zinc/01/79/04/645017904.db2.gz WHEVBFIZNGURQA-UHFFFAOYSA-N 0 0 284.262 2.760 20 5 CFBDRN CCC[C@@H](C)CCNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000881527559 645021207 /nfs/dbraw/zinc/02/12/07/645021207.db2.gz IIAUYPVGNLQXQL-SNVBAGLBSA-N 0 0 279.340 2.854 20 5 CFBDRN CCC[C@@H](C)CCNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000881532967 645026579 /nfs/dbraw/zinc/02/65/79/645026579.db2.gz XEJPDKRRDCFINL-LLVKDONJSA-N 0 0 258.362 2.764 20 5 CFBDRN C=C/C=C/CCNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000881564443 645043501 /nfs/dbraw/zinc/04/35/01/645043501.db2.gz VGFMOTQRUKFULF-SNAWJCMRSA-N 0 0 266.322 2.827 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H](CF)C1 ZINC000881595925 645067389 /nfs/dbraw/zinc/06/73/89/645067389.db2.gz QTXAXTVKURRKDM-NSHDSACASA-N 0 0 280.299 2.725 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](CF)C2)c1 ZINC000881665339 645093712 /nfs/dbraw/zinc/09/37/12/645093712.db2.gz ZJDMFIBSASPIKT-SECBINFHSA-N 0 0 298.339 2.748 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@H](CF)C2)cc1[N+](=O)[O-] ZINC000881670458 645097688 /nfs/dbraw/zinc/09/76/88/645097688.db2.gz KDFSCXKONBNDII-SNVBAGLBSA-N 0 0 280.299 2.589 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@H]1c1ccccn1 ZINC000881838956 645139177 /nfs/dbraw/zinc/13/91/77/645139177.db2.gz FTBMPVWTZUMQDT-NSHDSACASA-N 0 0 288.282 2.865 20 5 CFBDRN CC(=O)c1c(NC[C@](C)(O)C(C)C)cccc1[N+](=O)[O-] ZINC000881970658 645165447 /nfs/dbraw/zinc/16/54/47/645165447.db2.gz IROYRQDBGMKCIS-AWEZNQCLSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ccnc(F)c1[N+](=O)[O-] ZINC000881999203 645172249 /nfs/dbraw/zinc/17/22/49/645172249.db2.gz FGCNTWXDAMJJJO-ZETCQYMHSA-N 0 0 294.286 2.777 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CCC2(CCC2)O1 ZINC000882052935 645188958 /nfs/dbraw/zinc/18/89/58/645188958.db2.gz QHACFDIUDDWRGB-CYBMUJFWSA-N 0 0 291.303 2.888 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CC[C@@H]1CCCC1(F)F ZINC000882113666 645206918 /nfs/dbraw/zinc/20/69/18/645206918.db2.gz LOHALYHBOGJLPX-VIFPVBQESA-N 0 0 272.251 2.582 20 5 CFBDRN CCOCCC1(CNc2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000882126188 645212725 /nfs/dbraw/zinc/21/27/25/645212725.db2.gz VSEKNFUKUKEXMV-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN CC(=O)c1c(N[C@H]2CC[C@H](O)CC2)cccc1[N+](=O)[O-] ZINC000882129279 645213888 /nfs/dbraw/zinc/21/38/88/645213888.db2.gz MQECFNILQXHILP-XYPYZODXSA-N 0 0 278.308 2.513 20 5 CFBDRN O=C(CCSCc1ccc([N+](=O)[O-])cc1)N1CCCC1 ZINC000882148156 645219487 /nfs/dbraw/zinc/21/94/87/645219487.db2.gz KJKPJBYPBYZBHA-UHFFFAOYSA-N 0 0 294.376 2.841 20 5 CFBDRN CC(=O)c1c(N[C@@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000882154360 645222108 /nfs/dbraw/zinc/22/21/08/645222108.db2.gz YXDAQIBVBDLXQJ-MWLCHTKSSA-N 0 0 278.308 2.777 20 5 CFBDRN CCCN(c1cccc([N+](=O)[O-])c1)S(=O)(=O)C1CCC1 ZINC000882157529 645223426 /nfs/dbraw/zinc/22/34/26/645223426.db2.gz LELBQACQVRMJAW-UHFFFAOYSA-N 0 0 298.364 2.694 20 5 CFBDRN CO[C@H](CCNc1ccnc(F)c1[N+](=O)[O-])C(F)(F)F ZINC000882242930 645252595 /nfs/dbraw/zinc/25/25/95/645252595.db2.gz YFFDFAUIZPDHNI-SSDOTTSWSA-N 0 0 297.208 2.508 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000882287928 645263597 /nfs/dbraw/zinc/26/35/97/645263597.db2.gz CVIUPVNXXQMMNG-CYBMUJFWSA-N 0 0 283.303 2.524 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc(N)c([N+](=O)[O-])c1)C(C)(C)C ZINC000096605263 650995957 /nfs/dbraw/zinc/99/59/57/650995957.db2.gz MWVJSVHIQVHUQJ-VIFPVBQESA-N 0 0 279.340 2.589 20 5 CFBDRN O=C(Nc1ccccn1)c1cccc([N+](=O)[O-])c1Cl ZINC000129574890 645278540 /nfs/dbraw/zinc/27/85/40/645278540.db2.gz BJGAHMOPNUVIDR-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CC[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129573682 645278634 /nfs/dbraw/zinc/27/86/34/645278634.db2.gz AOGUTGIHZUNGOZ-ZETCQYMHSA-N 0 0 256.689 2.777 20 5 CFBDRN CCCc1nc(C)c(CNc2ccnc(F)c2[N+](=O)[O-])o1 ZINC000882397052 645299075 /nfs/dbraw/zinc/29/90/75/645299075.db2.gz KTKQTXSJWOCJSH-UHFFFAOYSA-N 0 0 294.286 2.990 20 5 CFBDRN CO[C@@H](CNc1cccc([N+](=O)[O-])c1C(C)=O)C1CC1 ZINC000882402791 645300892 /nfs/dbraw/zinc/30/08/92/645300892.db2.gz WBDCCKBTGJURKI-ZDUSSCGKSA-N 0 0 278.308 2.634 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1ccnc(F)c1[N+](=O)[O-])OC ZINC000882407991 645302424 /nfs/dbraw/zinc/30/24/24/645302424.db2.gz IBQZJLGEZGQVDO-PSASIEDQSA-N 0 0 271.292 2.602 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCSC2(CCC2)CC1 ZINC000882454442 645322350 /nfs/dbraw/zinc/32/23/50/645322350.db2.gz ZIDUMLVCYLJVOU-UHFFFAOYSA-N 0 0 297.355 2.995 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC(c2ccon2)CC1 ZINC000882631175 645396349 /nfs/dbraw/zinc/39/63/49/645396349.db2.gz BWASXVRMCMBIHR-UHFFFAOYSA-N 0 0 292.270 2.501 20 5 CFBDRN CC(C)CCO[C@@H]1CCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882642645 645399580 /nfs/dbraw/zinc/39/95/80/645399580.db2.gz QMVPTCSNRJEDGS-LLVKDONJSA-N 0 0 297.330 2.770 20 5 CFBDRN C[C@@H]1CN(c2ccnc(F)c2[N+](=O)[O-])C[C@@H](C)C1(F)F ZINC000882650413 645400744 /nfs/dbraw/zinc/40/07/44/645400744.db2.gz YDZDGNNIWCKNNA-HTQZYQBOSA-N 0 0 289.257 2.856 20 5 CFBDRN CC(=O)c1c(N[C@@H]2C[C@H](C)O[C@@H]2C)cccc1[N+](=O)[O-] ZINC000882681245 645409186 /nfs/dbraw/zinc/40/91/86/645409186.db2.gz MEXMWCDMLHRXGK-MKPLZMMCSA-N 0 0 278.308 2.775 20 5 CFBDRN COCC(C)(C)CCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882714903 645427024 /nfs/dbraw/zinc/42/70/24/645427024.db2.gz BMKZCKYGFIVYKQ-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COc1ccc(F)nc1 ZINC000129960967 645436215 /nfs/dbraw/zinc/43/62/15/645436215.db2.gz PTLFISVKDSZQBV-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H]2CCCC2(F)F)nc1 ZINC000882800215 645462225 /nfs/dbraw/zinc/46/22/25/645462225.db2.gz JHMVFAVJWIDCFB-MRVPVSSYSA-N 0 0 272.255 2.622 20 5 CFBDRN COc1cc(N2CCC(F)CC2)c(F)cc1[N+](=O)[O-] ZINC000882806030 645465041 /nfs/dbraw/zinc/46/50/41/645465041.db2.gz HSXSFJWXJSINBL-UHFFFAOYSA-N 0 0 272.251 2.681 20 5 CFBDRN CCOC(=O)c1cc(N2CCC(F)CC2)ccc1[N+](=O)[O-] ZINC000882806508 645466422 /nfs/dbraw/zinc/46/64/22/645466422.db2.gz DKEXUUFZKOMSBG-UHFFFAOYSA-N 0 0 296.298 2.710 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@@H](CF)C2)ccc1[N+](=O)[O-] ZINC000882839710 645475564 /nfs/dbraw/zinc/47/55/64/645475564.db2.gz ZOBQLAGIUDODKJ-JTQLQIEISA-N 0 0 283.303 2.573 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H](CF)C2)c(F)c1 ZINC000882841908 645477270 /nfs/dbraw/zinc/47/72/70/645477270.db2.gz IGISMWVGWACUGT-SSDOTTSWSA-N 0 0 260.215 2.669 20 5 CFBDRN CO[C@@]1(C(F)(F)F)CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000882847383 645478558 /nfs/dbraw/zinc/47/85/58/645478558.db2.gz IFNTVBWPYQATJZ-NSHDSACASA-N 0 0 290.241 2.752 20 5 CFBDRN Cc1ccc(NC[C@@H](CO)Cc2ccoc2)c([N+](=O)[O-])c1 ZINC000882866147 645481782 /nfs/dbraw/zinc/48/17/82/645481782.db2.gz FPNNLTHIVCHBMU-ZDUSSCGKSA-N 0 0 290.319 2.759 20 5 CFBDRN Cc1cc(NC[C@@H](CO)Cc2ccoc2)ccc1[N+](=O)[O-] ZINC000882866836 645482708 /nfs/dbraw/zinc/48/27/08/645482708.db2.gz YNFMUMLDZYEEFO-ZDUSSCGKSA-N 0 0 290.319 2.759 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC(CC2CCOCC2)C1 ZINC000882885014 645492354 /nfs/dbraw/zinc/49/23/54/645492354.db2.gz FYPAUWGTQXPKBV-UHFFFAOYSA-N 0 0 294.326 2.987 20 5 CFBDRN CC[C@H](Nc1ccnc(F)c1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000882953786 645525840 /nfs/dbraw/zinc/52/58/40/645525840.db2.gz YVQNUQRVRHKYAV-UWVGGRQHSA-N 0 0 283.303 2.746 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])nc(C)n1CC)[C@H]1CCCOC1 ZINC000882954845 645526640 /nfs/dbraw/zinc/52/66/40/645526640.db2.gz XEBFFYBVIJJNDM-RYUDHWBXSA-N 0 0 296.371 2.737 20 5 CFBDRN CCc1nn(C)c(N[C@H](CC)[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000882955322 645526840 /nfs/dbraw/zinc/52/68/40/645526840.db2.gz IHSWRCSLIRMORN-WDEREUQCSA-N 0 0 296.371 2.508 20 5 CFBDRN CC[N@@H+]1CC[C@@H]1CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000882959109 645528430 /nfs/dbraw/zinc/52/84/30/645528430.db2.gz VFLFNXTXLXKERE-GFCCVEGCSA-N 0 0 286.335 2.649 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC3(CCC3)O2)c(F)c1 ZINC000882973125 645535771 /nfs/dbraw/zinc/53/57/71/645535771.db2.gz JHBNYCKNFZNCMB-JTQLQIEISA-N 0 0 281.287 2.643 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@]23CCCO3)cn1 ZINC000882982602 645540962 /nfs/dbraw/zinc/54/09/62/645540962.db2.gz PFMLVUPIPQKAHQ-YPMHNXCESA-N 0 0 263.297 2.503 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCC[C@H](O)C(C)C ZINC000882987030 645545690 /nfs/dbraw/zinc/54/56/90/645545690.db2.gz QWHBHMDHCBOSAI-ZDUSSCGKSA-N 0 0 281.308 2.583 20 5 CFBDRN Cc1c[nH]c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])n1 ZINC000130706361 645555434 /nfs/dbraw/zinc/55/54/34/645555434.db2.gz NXQZVWUTDCRHAU-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1ccc(OC(=O)C[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000131999431 645860038 /nfs/dbraw/zinc/86/00/38/645860038.db2.gz PHDLIJBOUMYIQF-NSHDSACASA-N 0 0 279.292 2.768 20 5 CFBDRN CCc1ccc(OC(=O)[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000132000305 645860077 /nfs/dbraw/zinc/86/00/77/645860077.db2.gz QWOYSUBQYQAULZ-CYBMUJFWSA-N 0 0 279.292 2.632 20 5 CFBDRN Cc1nc(CC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)cs1 ZINC000132446758 645918928 /nfs/dbraw/zinc/91/89/28/645918928.db2.gz IWUWPSSMUXSCRU-UHFFFAOYSA-N 0 0 296.279 2.647 20 5 CFBDRN O=C(CCc1ccoc1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132566381 645936588 /nfs/dbraw/zinc/93/65/88/645936588.db2.gz WDQBPSLEWKDEMB-UHFFFAOYSA-N 0 0 279.223 2.865 20 5 CFBDRN C=C/C=C\CCNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000883825258 645988300 /nfs/dbraw/zinc/98/83/00/645988300.db2.gz JECHZOQPMDCOBV-PLNGDYQASA-N 0 0 289.335 2.869 20 5 CFBDRN O=C(Nc1cccnc1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000133150929 646038973 /nfs/dbraw/zinc/03/89/73/646038973.db2.gz WAZVYXZTSZVFDY-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN Cc1cnc(COc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000133369468 646077088 /nfs/dbraw/zinc/07/70/88/646077088.db2.gz YGRWXXWCHGUBTC-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1ccc([N+](=O)[O-])s1 ZINC000800083489 646129407 /nfs/dbraw/zinc/12/94/07/646129407.db2.gz VEOFFDDJKRCZSQ-RXMQYKEDSA-N 0 0 287.190 2.714 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H](F)C(F)(F)F ZINC000800103273 646142123 /nfs/dbraw/zinc/14/21/23/646142123.db2.gz LEIUYZDVURKXMU-MRVPVSSYSA-N 0 0 295.188 2.960 20 5 CFBDRN Cc1c(NC(=O)C(F)(F)C(F)F)c(F)ccc1[N+](=O)[O-] ZINC000800146708 646156063 /nfs/dbraw/zinc/15/60/63/646156063.db2.gz GKYYJUOIRKCXKL-UHFFFAOYSA-N 0 0 298.167 2.881 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cccc(F)n1 ZINC000800150635 646158027 /nfs/dbraw/zinc/15/80/27/646158027.db2.gz WTHNKWHEKBHERT-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1ccc([N+](=O)[O-])c(F)c1 ZINC000800159843 646162214 /nfs/dbraw/zinc/16/22/14/646162214.db2.gz BIUCGKQKRSHOGT-QMMMGPOBSA-N 0 0 299.151 2.791 20 5 CFBDRN CC[C@@](C)(CC(=O)OC)[NH2+]Cc1c(F)cccc1[N+](=O)[O-] ZINC000134143297 646290010 /nfs/dbraw/zinc/29/00/10/646290010.db2.gz FYQJTOFCWKREIQ-AWEZNQCLSA-N 0 0 298.314 2.555 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1CCCCCCO ZINC000134646430 646377420 /nfs/dbraw/zinc/37/74/20/646377420.db2.gz HQLYGQZPFSPWHA-LBPRGKRZSA-N 0 0 278.352 2.899 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N3CC[C@@H]4C[C@@H]43)c2c1 ZINC000884497044 646399947 /nfs/dbraw/zinc/39/99/47/646399947.db2.gz NJEMEPQFEQLBLI-RNCFNFMXSA-N 0 0 285.303 2.750 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])c3ccccc32)[C@H]1C ZINC000884517625 646414912 /nfs/dbraw/zinc/41/49/12/646414912.db2.gz SNIWCEDNVRGZLG-ZJUUUORDSA-N 0 0 257.293 2.988 20 5 CFBDRN CCC1CN(c2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000884526423 646422751 /nfs/dbraw/zinc/42/27/51/646422751.db2.gz UFXHDBAHBPVUIK-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)OCc2cccnc2[N+](=O)[O-])CC1 ZINC000884569826 646458753 /nfs/dbraw/zinc/45/87/53/646458753.db2.gz ZIPBLORSPKVISE-XYPYZODXSA-N 0 0 278.308 2.859 20 5 CFBDRN C[C@H](Cc1ccco1)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884581925 646468206 /nfs/dbraw/zinc/46/82/06/646468206.db2.gz OVOUVKKKDBLWML-SNVBAGLBSA-N 0 0 290.275 2.505 20 5 CFBDRN CCC(F)(F)COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000884606914 646484327 /nfs/dbraw/zinc/48/43/27/646484327.db2.gz MCZWQOXBRZYKSG-UHFFFAOYSA-N 0 0 277.198 2.936 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000884647238 646505696 /nfs/dbraw/zinc/50/56/96/646505696.db2.gz CPCKXDQUTCAVIE-NDMJEZRESA-N 0 0 296.754 2.764 20 5 CFBDRN CC1(C)CO[C@H](CCNc2ccnc(F)c2[N+](=O)[O-])C1 ZINC000884674672 646523385 /nfs/dbraw/zinc/52/33/85/646523385.db2.gz CKSCGYDPGTVOBY-SECBINFHSA-N 0 0 283.303 2.746 20 5 CFBDRN C[C@@H]1C[C@@]2(CC[C@H](CNc3ccc([N+](=O)[O-])s3)O2)CO1 ZINC000884699155 646532484 /nfs/dbraw/zinc/53/24/84/646532484.db2.gz YIXMKQLWKZHDTO-BREBYQMCSA-N 0 0 298.364 2.795 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@@H](c2ncccn2)C1 ZINC000884711304 646538308 /nfs/dbraw/zinc/53/83/08/646538308.db2.gz KPMIGWVGAROOQY-SNVBAGLBSA-N 0 0 290.348 2.830 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000884730248 646543580 /nfs/dbraw/zinc/54/35/80/646543580.db2.gz FDCBALVKNSXWBI-KOLCDFICSA-N 0 0 268.338 2.662 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000884732641 646546025 /nfs/dbraw/zinc/54/60/25/646546025.db2.gz QRYALXUDTRKMKE-ONGXEEELSA-N 0 0 268.338 2.662 20 5 CFBDRN COC[C@H](Nc1cc(C)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000884790978 646550904 /nfs/dbraw/zinc/55/09/04/646550904.db2.gz CYPNNPPOVCQWJY-OCCSQVGLSA-N 0 0 294.351 2.757 20 5 CFBDRN O=C(N[C@H]1CCCc2cccnc21)c1ccccc1[N+](=O)[O-] ZINC000885397539 646679807 /nfs/dbraw/zinc/67/98/07/646679807.db2.gz SCRJLHWBPGMUSG-ZDUSSCGKSA-N 0 0 297.314 2.797 20 5 CFBDRN CC[C@@H](COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])OC ZINC000885423992 646684880 /nfs/dbraw/zinc/68/48/80/646684880.db2.gz IRYNGLRKJIYPCR-NSHDSACASA-N 0 0 281.308 2.793 20 5 CFBDRN CC1(C)CO[C@@H](CC(=O)OCc2ccc([N+](=O)[O-])cc2)C1 ZINC000885627956 646721765 /nfs/dbraw/zinc/72/17/65/646721765.db2.gz VCIOSXJRORWQGS-ZDUSSCGKSA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])C1(C2CC2)CC1 ZINC000885730449 646741549 /nfs/dbraw/zinc/74/15/49/646741549.db2.gz NMDHPQXZMGWKBM-UHFFFAOYSA-N 0 0 261.277 2.828 20 5 CFBDRN COC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000885811141 646752514 /nfs/dbraw/zinc/75/25/14/646752514.db2.gz OIISVBGAHKHUSR-GFCCVEGCSA-N 0 0 294.351 2.552 20 5 CFBDRN COC[C@@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])C(C)C ZINC000885814088 646753346 /nfs/dbraw/zinc/75/33/46/646753346.db2.gz OEMMBAVBWCEZDP-GFCCVEGCSA-N 0 0 294.351 2.552 20 5 CFBDRN Cc1occc1CN(C)Cc1cccnc1[N+](=O)[O-] ZINC000885964682 646787059 /nfs/dbraw/zinc/78/70/59/646787059.db2.gz DSVNSCLMNKGXDU-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@]23C[C@H]2CCC3)c1 ZINC000886004990 646810142 /nfs/dbraw/zinc/81/01/42/646810142.db2.gz VSKRDNDNNSRMCW-BMIGLBTASA-N 0 0 290.319 2.523 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1ccccc1[N+](=O)[O-] ZINC000886007709 646812305 /nfs/dbraw/zinc/81/23/05/646812305.db2.gz SUCITWDXORJENP-YGRLFVJLSA-N 0 0 260.293 2.515 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)Cc2cccnc2[N+](=O)[O-])C1(C)C ZINC000886018937 646821386 /nfs/dbraw/zinc/82/13/86/646821386.db2.gz JXRXUSVZPXPMBW-OLZOCXBDSA-N 0 0 293.367 2.625 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCOC2(CCCCC2)C1 ZINC000886020951 646823363 /nfs/dbraw/zinc/82/33/63/646823363.db2.gz LBBACXZOSIXIPL-UHFFFAOYSA-N 0 0 291.351 2.525 20 5 CFBDRN C[C@@H](c1cccc(O)c1)N(C)Cc1cccnc1[N+](=O)[O-] ZINC000886065837 646851632 /nfs/dbraw/zinc/85/16/32/646851632.db2.gz WJQCZVOJSSJKRB-NSHDSACASA-N 0 0 287.319 2.888 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCCOc2ccccc21 ZINC000886081361 646858317 /nfs/dbraw/zinc/85/83/17/646858317.db2.gz MXNXYJLRIHEZFY-UHFFFAOYSA-N 0 0 285.303 2.779 20 5 CFBDRN C[C@H](c1ccccn1)N(C)Cc1cccnc1[N+](=O)[O-] ZINC000886091565 646862125 /nfs/dbraw/zinc/86/21/25/646862125.db2.gz CTYOBHUPCCRACC-LLVKDONJSA-N 0 0 272.308 2.578 20 5 CFBDRN COc1ccccc1[C@H](C)NCc1cccnc1[N+](=O)[O-] ZINC000886104714 646874291 /nfs/dbraw/zinc/87/42/91/646874291.db2.gz IYEQSULMWGSQSH-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN COc1cccc([C@H](C)NCc2cccnc2[N+](=O)[O-])c1 ZINC000886106956 646875033 /nfs/dbraw/zinc/87/50/33/646875033.db2.gz HJKRDJAJPNBBLZ-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@H]1C[C@@]13CCOC3)CC2 ZINC000886105388 646875567 /nfs/dbraw/zinc/87/55/67/646875567.db2.gz YXQKJWPVOMTRIR-CZUORRHYSA-N 0 0 288.347 2.692 20 5 CFBDRN Cc1ccncc1[C@H](C)NCc1cccnc1[N+](=O)[O-] ZINC000886122425 646881677 /nfs/dbraw/zinc/88/16/77/646881677.db2.gz AURPNCFSRQCBRJ-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN CCc1cnccc1[C@H](C)NCc1cccnc1[N+](=O)[O-] ZINC000886123139 646882087 /nfs/dbraw/zinc/88/20/87/646882087.db2.gz RVFVGQLBNIGKLZ-NSHDSACASA-N 0 0 286.335 2.798 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCOCC12CCCCC2 ZINC000886234252 646932373 /nfs/dbraw/zinc/93/23/73/646932373.db2.gz KHDMILAWGNTRQY-UHFFFAOYSA-N 0 0 291.351 2.525 20 5 CFBDRN COc1c(C(=O)Nc2ccc(O)cc2)cccc1[N+](=O)[O-] ZINC000137561658 646957444 /nfs/dbraw/zinc/95/74/44/646957444.db2.gz LBCGSXQRCXGLCV-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCCC(F)(F)CC1 ZINC000886297398 646958273 /nfs/dbraw/zinc/95/82/73/646958273.db2.gz QCJOJYSJBTXABL-UHFFFAOYSA-N 0 0 271.267 2.611 20 5 CFBDRN O=[N+]([O-])c1c(N2CCCCCC2)nc2ccccn21 ZINC000137626639 646964592 /nfs/dbraw/zinc/96/45/92/646964592.db2.gz IKFKOUGBOUFEID-UHFFFAOYSA-N 0 0 260.297 2.623 20 5 CFBDRN C[C@@H](Nc1nc2ccccn2c1[N+](=O)[O-])c1ccccn1 ZINC000137654219 646968856 /nfs/dbraw/zinc/96/88/56/646968856.db2.gz DSDQRGFYBUBFCS-SNVBAGLBSA-N 0 0 283.291 2.811 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@@H]1CCc2cccnc21 ZINC000886522560 647027523 /nfs/dbraw/zinc/02/75/23/647027523.db2.gz MFAIBRDERKKJNY-CQSZACIVSA-N 0 0 299.330 2.776 20 5 CFBDRN CC(C)(CNC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000887379184 647294765 /nfs/dbraw/zinc/29/47/65/647294765.db2.gz IKPIRAWVNQSXNW-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN Cc1cc[nH]c1C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887400530 647302864 /nfs/dbraw/zinc/30/28/64/647302864.db2.gz DIFGCZIQXHJNQB-LLVKDONJSA-N 0 0 287.319 2.765 20 5 CFBDRN C[C@H](CNC(=O)Cc1ccoc1)c1ccccc1[N+](=O)[O-] ZINC000887402725 647304051 /nfs/dbraw/zinc/30/40/51/647304051.db2.gz ICNBQLIDZOWXIO-LLVKDONJSA-N 0 0 288.303 2.650 20 5 CFBDRN CCO[C@H](CC)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404924 647304344 /nfs/dbraw/zinc/30/43/44/647304344.db2.gz PATPVTBIWUSPJJ-BXUZGUMPSA-N 0 0 294.351 2.630 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000887404479 647304348 /nfs/dbraw/zinc/30/43/48/647304348.db2.gz GHOQXPZFRMLJBV-NSHDSACASA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@@H](CNC(=O)COC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000887403360 647304838 /nfs/dbraw/zinc/30/48/38/647304838.db2.gz UWAMFKIAUSALNH-NSHDSACASA-N 0 0 294.351 2.630 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC(C)C)c1C ZINC000887611697 647371129 /nfs/dbraw/zinc/37/11/29/647371129.db2.gz NGJOCVLNJAZEKZ-UHFFFAOYSA-N 0 0 250.298 2.597 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2ccco2)c1C ZINC000887717871 647398042 /nfs/dbraw/zinc/39/80/42/647398042.db2.gz IIIJBKYXWVQMDN-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN CCSCCCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000302427783 647407013 /nfs/dbraw/zinc/40/70/13/647407013.db2.gz RTHFXMIPVDCETR-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@@H](C(F)(F)F)C1 ZINC000887998852 647458829 /nfs/dbraw/zinc/45/88/29/647458829.db2.gz QHEOZVRMGDMHRN-SECBINFHSA-N 0 0 288.225 2.619 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N(Cc1ccoc1)C1CC1 ZINC000889099820 647505584 /nfs/dbraw/zinc/50/55/84/647505584.db2.gz NYKYOWHSBXVMNG-UHFFFAOYSA-N 0 0 276.248 2.586 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC2CC2)c1C ZINC000889257895 647528113 /nfs/dbraw/zinc/52/81/13/647528113.db2.gz UYXYTBKLWBBXEN-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@H]1C1CCCC1 ZINC000889392065 647558470 /nfs/dbraw/zinc/55/84/70/647558470.db2.gz FHPXRWOCZYVLHI-JTQLQIEISA-N 0 0 264.281 2.593 20 5 CFBDRN CC[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000104222652 647560662 /nfs/dbraw/zinc/56/06/62/647560662.db2.gz GTRZKEPYBBXFHB-ZETCQYMHSA-N 0 0 260.240 2.715 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)cccc1[N+](=O)[O-] ZINC000889582152 647604303 /nfs/dbraw/zinc/60/43/03/647604303.db2.gz WYUXRLXHROKDBW-CYZMBNFOSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)c1[N+](=O)[O-] ZINC000889585628 647605062 /nfs/dbraw/zinc/60/50/62/647605062.db2.gz VQUABNSQIGLOTA-GMXVVIOVSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000889585786 647605235 /nfs/dbraw/zinc/60/52/35/647605235.db2.gz WVAZESQDAHGGLP-IRUJWGPZSA-N 0 0 290.319 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889585788 647605355 /nfs/dbraw/zinc/60/53/55/647605355.db2.gz WVAZESQDAHGGLP-UFGOTCBOSA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)C1(C2CC2)CC1 ZINC000889640467 647629823 /nfs/dbraw/zinc/62/98/23/647629823.db2.gz ZNHMQJHTIXAEGE-UHFFFAOYSA-N 0 0 265.240 2.830 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)Cc2cccnc2)c1[N+](=O)[O-] ZINC000889655173 647632972 /nfs/dbraw/zinc/63/29/72/647632972.db2.gz MDHKUFMKYACJMG-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN O=C(Nc1ccnn1C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000890348084 647781386 /nfs/dbraw/zinc/78/13/86/647781386.db2.gz SHAMKJZPTPLWDG-UHFFFAOYSA-N 0 0 286.291 2.769 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000890421296 647798639 /nfs/dbraw/zinc/79/86/39/647798639.db2.gz HFQJSBUQJCYPBQ-NXEZZACHSA-N 0 0 297.282 2.767 20 5 CFBDRN O=C(/C=C/c1ccco1)OCc1cccc([N+](=O)[O-])c1 ZINC000006251243 647856003 /nfs/dbraw/zinc/85/60/03/647856003.db2.gz JANJHXFEQBJXKX-VOTSOKGWSA-N 0 0 273.244 2.944 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1n[nH]c2ccccc21 ZINC000006370894 647857291 /nfs/dbraw/zinc/85/72/91/647857291.db2.gz ALXQZKFDCNVGOY-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN COc1ccc(C(=O)Oc2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000007657133 647868088 /nfs/dbraw/zinc/86/80/88/647868088.db2.gz IZZLYFZNDGLTPI-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN Cc1c(-c2noc([C@@H](C)N(C)C)n2)cccc1[N+](=O)[O-] ZINC000924093582 647921466 /nfs/dbraw/zinc/92/14/66/647921466.db2.gz UEJQMSFEAOSPJR-SECBINFHSA-N 0 0 276.296 2.576 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)[C@]12C[C@H]1CCC2 ZINC000924431105 647980220 /nfs/dbraw/zinc/98/02/20/647980220.db2.gz YCUPYTYRVOUTIA-IAQYHMDHSA-N 0 0 291.303 2.707 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@H](CF)C1)c1ccc([N+](=O)[O-])cc1 ZINC000925253119 648143923 /nfs/dbraw/zinc/14/39/23/648143923.db2.gz VRYCKBUPJKVLDE-MNOVXSKESA-N 0 0 295.314 2.657 20 5 CFBDRN COc1cc([C@H](C)NCc2cccc([N+](=O)[O-])c2C)on1 ZINC000926273090 648453538 /nfs/dbraw/zinc/45/35/38/648453538.db2.gz ZIKHFHSXUYPRKB-JTQLQIEISA-N 0 0 291.307 2.751 20 5 CFBDRN O=C(NC[C@@]12C[C@@H]1CCCC2)c1cccc([N+](=O)[O-])c1 ZINC000927454937 648782762 /nfs/dbraw/zinc/78/27/62/648782762.db2.gz FSIARXHFFOYMIF-SWLSCSKDSA-N 0 0 274.320 2.905 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@H]2C[C@H]21)c1c(F)cccc1[N+](=O)[O-] ZINC000927490681 648790802 /nfs/dbraw/zinc/79/08/02/648790802.db2.gz AHNOAEANKZJQOE-DCAQKATOSA-N 0 0 292.310 2.900 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC[C@@H]1CC[C@H]2C[C@H]21 ZINC000927498521 648793080 /nfs/dbraw/zinc/79/30/80/648793080.db2.gz GYYAWIZRPFHUCO-OBJOEFQTSA-N 0 0 288.347 2.690 20 5 CFBDRN COC[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000927579008 648800908 /nfs/dbraw/zinc/80/09/08/648800908.db2.gz NYLORTNKLLWUAA-NSHDSACASA-N 0 0 295.339 2.635 20 5 CFBDRN Cc1csc(=O)n1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000034670450 648807543 /nfs/dbraw/zinc/80/75/43/648807543.db2.gz QERZNMQGCZGWIB-UHFFFAOYSA-N 0 0 284.724 2.828 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000928042581 648848674 /nfs/dbraw/zinc/84/86/74/648848674.db2.gz PPEOXCUJTGOMFG-ORXSELOVSA-N 0 0 299.330 2.850 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000928044516 648849702 /nfs/dbraw/zinc/84/97/02/648849702.db2.gz UXXAJLLRJJBKEO-QPKOPYBWSA-N 0 0 288.347 2.688 20 5 CFBDRN CO[C@](C)(C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000928245073 648886905 /nfs/dbraw/zinc/88/69/05/648886905.db2.gz JIIHHRISOMBUBB-BMIGLBTASA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000928245665 648887407 /nfs/dbraw/zinc/88/74/07/648887407.db2.gz UGBMWHLUFNELOO-GGVZMXCHSA-N 0 0 284.262 2.673 20 5 CFBDRN CC(C)[C@H](F)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245861 648887781 /nfs/dbraw/zinc/88/77/81/648887781.db2.gz XCKOCXZBBXHVJZ-SKDRFNHKSA-N 0 0 268.288 2.766 20 5 CFBDRN CCO[C@@H](C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000928246763 648888291 /nfs/dbraw/zinc/88/82/91/648888291.db2.gz JFXPDISYHREFEZ-BXUZGUMPSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H](NC(=O)/C=C/[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928247195 648888311 /nfs/dbraw/zinc/88/83/11/648888311.db2.gz SHMPXYFWKWWSBE-FUEMLBOPSA-N 0 0 290.319 2.507 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000928248252 648888363 /nfs/dbraw/zinc/88/83/63/648888363.db2.gz IIEYMYNBCURYRG-LERXQTSPSA-N 0 0 292.335 2.730 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000928247250 648888548 /nfs/dbraw/zinc/88/85/48/648888548.db2.gz SBVVMXAJFQIJSN-LLVKDONJSA-N 0 0 288.303 2.998 20 5 CFBDRN CC(C)OCCCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928249282 648888682 /nfs/dbraw/zinc/88/86/82/648888682.db2.gz RKANFVMJJXAYAN-GFCCVEGCSA-N 0 0 294.351 2.977 20 5 CFBDRN COCCC[C@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928248247 648888692 /nfs/dbraw/zinc/88/86/92/648888692.db2.gz IEQVCBZZYDSCRG-NWDGAFQWSA-N 0 0 294.351 2.835 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000929117835 648982850 /nfs/dbraw/zinc/98/28/50/648982850.db2.gz FONLSJKHWBVQPZ-WCQYABFASA-N 0 0 293.323 2.544 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000929119707 648983590 /nfs/dbraw/zinc/98/35/90/648983590.db2.gz QKFIJUUVLSIKLG-OLZOCXBDSA-N 0 0 293.323 2.544 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccnn1C1CCC1 ZINC000929133739 648986784 /nfs/dbraw/zinc/98/67/84/648986784.db2.gz OXTBJLXDDQKSBX-UHFFFAOYSA-N 0 0 286.291 2.769 20 5 CFBDRN CCN(CC)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929141152 648988596 /nfs/dbraw/zinc/98/85/96/648988596.db2.gz MRBZOXMFOOIOGD-CHWSQXEVSA-N 0 0 262.309 2.567 20 5 CFBDRN C[C@@H](c1ncccn1)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000929165658 648993329 /nfs/dbraw/zinc/99/33/29/648993329.db2.gz URYPQJDIDFQMPA-NSHDSACASA-N 0 0 272.308 2.578 20 5 CFBDRN CC1CCN(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000929208751 649002597 /nfs/dbraw/zinc/00/25/97/649002597.db2.gz FJWQEINBPKRTNF-CABCVRRESA-N 0 0 288.347 2.957 20 5 CFBDRN CCN(CC[N+](=O)[O-])Cc1ccccc1Br ZINC000929380896 649042882 /nfs/dbraw/zinc/04/28/82/649042882.db2.gz XEBKWSJKCPPARR-UHFFFAOYSA-N 0 0 287.157 2.548 20 5 CFBDRN CC1(CCC(=O)NCCNc2ccc([N+](=O)[O-])cc2)CC1 ZINC000929407676 649045994 /nfs/dbraw/zinc/04/59/94/649045994.db2.gz GJMXDAWCCNNWRS-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1cnn(C2CCC2)c1 ZINC000929497760 649061503 /nfs/dbraw/zinc/06/15/03/649061503.db2.gz LDUUVAAFIPHFTP-UHFFFAOYSA-N 0 0 287.275 2.736 20 5 CFBDRN Cc1cccc(CN(CC[N+](=O)[O-])Cc2ccccn2)c1 ZINC000929988630 649161163 /nfs/dbraw/zinc/16/11/63/649161163.db2.gz IRGCHZVBZOAILB-UHFFFAOYSA-N 0 0 285.347 2.669 20 5 CFBDRN CC(C)CN(C)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000930028063 649170070 /nfs/dbraw/zinc/17/00/70/649170070.db2.gz GABSHCJEBJSNBD-ZIAGYGMSSA-N 0 0 276.336 2.813 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ncccn1 ZINC000930235874 649211014 /nfs/dbraw/zinc/21/10/14/649211014.db2.gz ROZLSRLRMDJMHY-WDEREUQCSA-N 0 0 272.308 2.797 20 5 CFBDRN C[C@@H](NCC[N+](=O)[O-])c1ccc(Br)cc1F ZINC000930477135 649257097 /nfs/dbraw/zinc/25/70/97/649257097.db2.gz XWGMBNLAWZUXOD-SSDOTTSWSA-N 0 0 291.120 2.516 20 5 CFBDRN C[C@H](CNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000930644885 649295625 /nfs/dbraw/zinc/29/56/25/649295625.db2.gz ZHBIYHZBNHXPAJ-ONERCXAPSA-N 0 0 288.347 2.861 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000930882860 649342541 /nfs/dbraw/zinc/34/25/41/649342541.db2.gz RBYBSDLLTQGKKG-JTQLQIEISA-N 0 0 295.339 2.838 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000930881581 649342649 /nfs/dbraw/zinc/34/26/49/649342649.db2.gz BXSJTEFXKPVTFE-TVYUQYBPSA-N 0 0 275.308 2.905 20 5 CFBDRN CCO[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000930883216 649342674 /nfs/dbraw/zinc/34/26/74/649342674.db2.gz OUBNCGFRXVRABE-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCN2CC[C@@H]2C(F)F)cc1 ZINC000931421122 649422567 /nfs/dbraw/zinc/42/25/67/649422567.db2.gz JDLKDSFYJTXWMK-GFCCVEGCSA-N 0 0 286.278 2.703 20 5 CFBDRN O=[N+]([O-])c1cccc(C[NH+]2CCC(c3ncco3)CC2)c1 ZINC000931450748 649430088 /nfs/dbraw/zinc/43/00/88/649430088.db2.gz ANSSMGZZCJSNFW-UHFFFAOYSA-N 0 0 287.319 2.962 20 5 CFBDRN C[C@@H]1C[C@H](C)CC[N@H+](Cc2cccnc2[N+](=O)[O-])C1 ZINC000932126739 649543873 /nfs/dbraw/zinc/54/38/73/649543873.db2.gz IYYYYRYESLVUGW-VXGBXAGGSA-N 0 0 263.341 2.858 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCn2nc(C3CC3)cc2C1 ZINC000932604857 649627476 /nfs/dbraw/zinc/62/74/76/649627476.db2.gz ZHXPGQKNJVMBMB-UHFFFAOYSA-N 0 0 298.346 2.685 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC(O)(C3CCC3)CC2)cs1 ZINC000932821235 649646323 /nfs/dbraw/zinc/64/63/23/649646323.db2.gz IIKGEQFDVLUAFT-UHFFFAOYSA-N 0 0 296.392 2.783 20 5 CFBDRN CC1(C(=O)NCCc2ccccc2[N+](=O)[O-])CC=CC1 ZINC000933622319 649707389 /nfs/dbraw/zinc/70/73/89/649707389.db2.gz DSWBKWUHWTVYMC-UHFFFAOYSA-N 0 0 274.320 2.610 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NCCC1CC1 ZINC000933682828 649714341 /nfs/dbraw/zinc/71/43/41/649714341.db2.gz XWWULMKLNBSCFO-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN C[C@@]1(C2CC2)COCCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000934483297 649793729 /nfs/dbraw/zinc/79/37/29/649793729.db2.gz VQHQAOTWSDJEFQ-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000934619533 649805504 /nfs/dbraw/zinc/80/55/04/649805504.db2.gz MMNNZPMCOMUEHR-ZWNOBZJWSA-N 0 0 262.309 2.745 20 5 CFBDRN O=C(NC1(C2CC2)CC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000934739478 649823155 /nfs/dbraw/zinc/82/31/55/649823155.db2.gz GULZPJMGZIOSMS-KBPBESRZSA-N 0 0 286.331 2.757 20 5 CFBDRN Cc1cccc(C2=CCN(CC[N+](=O)[O-])CC2)c1C ZINC000934844991 649841567 /nfs/dbraw/zinc/84/15/67/649841567.db2.gz DCHBFTAARHDBLP-UHFFFAOYSA-N 0 0 260.337 2.669 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000421581705 651096575 /nfs/dbraw/zinc/09/65/75/651096575.db2.gz XWWDFHSZRUIVLV-JOYOIKCWSA-N 0 0 260.293 2.572 20 5 CFBDRN O=[N+]([O-])c1ccccc1S(=O)(=O)Oc1ccccc1F ZINC000016089499 651238453 /nfs/dbraw/zinc/23/84/53/651238453.db2.gz JDEYBGLWMFNSDA-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN COc1cnc(NC(C)(C)C2CC2)c([N+](=O)[O-])c1 ZINC001155066158 782196065 /nfs/dbraw/zinc/19/60/65/782196065.db2.gz CLEBENQXPBPUBI-UHFFFAOYSA-N 0 0 251.286 2.599 20 5 CFBDRN COc1nc(NC[C@@H]2CCCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC001155072704 782198735 /nfs/dbraw/zinc/19/87/35/782198735.db2.gz MXAUVCVBOLVXKI-UWVGGRQHSA-N 0 0 280.328 2.632 20 5 CFBDRN CC(=O)N[C@H]1CC[C@H](CNc2ccccc2[N+](=O)[O-])CC1 ZINC001066414666 782273136 /nfs/dbraw/zinc/27/31/36/782273136.db2.gz SYZXMGBPXWJPDJ-JOCQHMNTSA-N 0 0 291.351 2.702 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@@H]1CCOC[C@H]1F ZINC001229881483 780959595 /nfs/dbraw/zinc/95/95/95/780959595.db2.gz XKLFOOJANQRJPP-ZWNOBZJWSA-N 0 0 269.272 2.717 20 5 CFBDRN CC1(C)CC[C@@H](CO)N(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000701622909 780821031 /nfs/dbraw/zinc/82/10/31/780821031.db2.gz VWUHCAFWNNQBGP-VIFPVBQESA-N 0 0 299.758 2.631 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cncc(Cl)n2)c1 ZINC001204416519 769849630 /nfs/dbraw/zinc/84/96/30/769849630.db2.gz VQOCPTSRBBJOON-UHFFFAOYSA-N 0 0 250.645 2.782 20 5 CFBDRN Cc1c(C)c(N2CC(c3cccnc3)C2)ncc1[N+](=O)[O-] ZINC001167067445 769900305 /nfs/dbraw/zinc/90/03/05/769900305.db2.gz CSBCECUDTBOQHY-UHFFFAOYSA-N 0 0 284.319 2.605 20 5 CFBDRN CO[C@@H](CNc1nc(C)ccc1[N+](=O)[O-])C1CCCC1 ZINC000692975972 769948294 /nfs/dbraw/zinc/94/82/94/769948294.db2.gz QRIVGYQTGUCOIP-ZDUSSCGKSA-N 0 0 279.340 2.915 20 5 CFBDRN CCCn1nccc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC001266385189 770056772 /nfs/dbraw/zinc/05/67/72/770056772.db2.gz JMANXQFKPOJAEC-UHFFFAOYSA-N 0 0 293.254 2.560 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cn3ccccc3n2)c1 ZINC001204449627 770109159 /nfs/dbraw/zinc/10/91/59/770109159.db2.gz BIHTZCGPAIPJRK-UHFFFAOYSA-N 0 0 254.249 2.986 20 5 CFBDRN COC(=O)c1ncsc1Nc1cccc([N+](=O)[O-])c1 ZINC001204450983 770116148 /nfs/dbraw/zinc/11/61/48/770116148.db2.gz ZOUDORZBMKVHPO-UHFFFAOYSA-N 0 0 279.277 2.582 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)[n+]([O-])c(N2CC3(C2)CCCCC3)c1 ZINC001167179571 770125928 /nfs/dbraw/zinc/12/59/28/770125928.db2.gz BGDWPZIYBFKTAS-UHFFFAOYSA-N 0 0 297.742 2.652 20 5 CFBDRN O=C1COc2cc(Nc3cccc([N+](=O)[O-])c3)ccc2N1 ZINC001204456393 770126247 /nfs/dbraw/zinc/12/62/47/770126247.db2.gz LTLPHLSJJKGXEV-UHFFFAOYSA-N 0 0 285.259 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc2ccc(N3CC[C@@H]4OCC[C@H]4C3)nc2c1 ZINC001167216372 770150838 /nfs/dbraw/zinc/15/08/38/770150838.db2.gz TWGCEUUWDPGFIN-WFASDCNBSA-N 0 0 299.330 2.758 20 5 CFBDRN O=C1NCc2cc(Nc3cccc([N+](=O)[O-])c3)ccc21 ZINC001204468677 770154965 /nfs/dbraw/zinc/15/49/65/770154965.db2.gz JXZZUVVNOYCDHP-UHFFFAOYSA-N 0 0 269.260 2.582 20 5 CFBDRN COc1cc(O)ccc1Nc1ccc(N)c([N+](=O)[O-])c1 ZINC001209938730 770165959 /nfs/dbraw/zinc/16/59/59/770165959.db2.gz XAWOMPREQBCRSQ-UHFFFAOYSA-N 0 0 275.264 2.635 20 5 CFBDRN CCOc1ccc(Nc2ccc(O)c([N+](=O)[O-])c2)cn1 ZINC001210393061 770278623 /nfs/dbraw/zinc/27/86/23/770278623.db2.gz MNEAKMHPLLTKQH-UHFFFAOYSA-N 0 0 275.264 2.838 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)c(F)cnc2NCc1cccnn1 ZINC001167321405 770284321 /nfs/dbraw/zinc/28/43/21/770284321.db2.gz LRJNVDKEWZXOED-UHFFFAOYSA-N 0 0 299.265 2.684 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1cnc(Cl)cn1 ZINC001210443377 770284953 /nfs/dbraw/zinc/28/49/53/770284953.db2.gz DLNUSMURFJQDGC-UHFFFAOYSA-N 0 0 280.671 2.790 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-n1cc(N)c2cccnc21 ZINC001211286656 770476476 /nfs/dbraw/zinc/47/64/76/770476476.db2.gz XJEAVSMWTFWUQP-UHFFFAOYSA-N 0 0 268.276 2.824 20 5 CFBDRN CC[C@H](Nc1ncccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723859754 770691019 /nfs/dbraw/zinc/69/10/19/770691019.db2.gz YOPCYEZCDKVSMP-QWRGUYRKSA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@H](Nc1c(Cl)cncc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723882589 770706982 /nfs/dbraw/zinc/70/69/82/770706982.db2.gz ZHLCZQGWGFFXEP-ONGXEEELSA-N 0 0 299.758 2.682 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Nc2cn(CCF)cn2)c1 ZINC001216482013 770944202 /nfs/dbraw/zinc/94/42/02/770944202.db2.gz PKWOHZOBGAFPLQ-UHFFFAOYSA-N 0 0 268.223 2.644 20 5 CFBDRN COc1cccc(F)c1CNc1cnc(F)c([N+](=O)[O-])c1 ZINC001167608660 770982768 /nfs/dbraw/zinc/98/27/68/770982768.db2.gz MIYXELBVMCCYHM-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN Cc1c(F)cc([N+](=O)[O-])cc1NCc1ccnc(N)c1 ZINC001167619267 773190774 /nfs/dbraw/zinc/19/07/74/773190774.db2.gz XHSNZFDDZLFQKE-UHFFFAOYSA-N 0 0 276.271 2.632 20 5 CFBDRN CC/C=C(/C)C(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000588561950 773208869 /nfs/dbraw/zinc/20/88/69/773208869.db2.gz NJTUGIALLAFUCO-WCIBSUBMSA-N 0 0 290.319 2.541 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCCC2)c1cc([N+](=O)[O-])ccc1F ZINC000693780283 773252328 /nfs/dbraw/zinc/25/23/28/773252328.db2.gz CNZDGVDYLZYQAT-XPTSAGLGSA-N 0 0 278.283 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC001224097412 775100892 /nfs/dbraw/zinc/10/08/92/775100892.db2.gz ULQHIWCQLKRFPN-LLVKDONJSA-N 0 0 264.237 2.738 20 5 CFBDRN CCC(=O)[C@@H](CC)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001224095948 775101158 /nfs/dbraw/zinc/10/11/58/775101158.db2.gz INQLBBIRYOXPEF-CYBMUJFWSA-N 0 0 296.279 2.777 20 5 CFBDRN C[C@@H](OCc1ccc([N+](=O)[O-])nc1)c1ccccn1 ZINC001224107988 775102172 /nfs/dbraw/zinc/10/21/72/775102172.db2.gz AQSUNCDAKZJWLD-SNVBAGLBSA-N 0 0 259.265 2.663 20 5 CFBDRN CC1(C)CC(=O)CC[C@H]1OCc1ccc([N+](=O)[O-])nc1 ZINC001224113440 775103607 /nfs/dbraw/zinc/10/36/07/775103607.db2.gz IORTUENKTRTRHE-GFCCVEGCSA-N 0 0 278.308 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2CCCC[C@H]2F)cn1 ZINC001224114718 775103712 /nfs/dbraw/zinc/10/37/12/775103712.db2.gz PVDHFTRKJIVZFL-GHMZBOCLSA-N 0 0 254.261 2.787 20 5 CFBDRN Cc1cnc(CO[C@@H]2CCOC[C@H]2C)c(C)c1[N+](=O)[O-] ZINC001224181543 775121174 /nfs/dbraw/zinc/12/11/74/775121174.db2.gz LQKNMEFQVHPXJP-ZWNOBZJWSA-N 0 0 280.324 2.548 20 5 CFBDRN Cc1cnc(CO[C@H]2CCO[C@@H](C)C2)c(C)c1[N+](=O)[O-] ZINC001224185957 775122607 /nfs/dbraw/zinc/12/26/07/775122607.db2.gz VBFZJGWYOLUIKJ-JQWIXIFHSA-N 0 0 280.324 2.691 20 5 CFBDRN COC(=O)[C@@H](C)OCc1ccc([N+](=O)[O-])c2ccccc12 ZINC001224203917 775128636 /nfs/dbraw/zinc/12/86/36/775128636.db2.gz NQSVZWVCFHEZJW-SNVBAGLBSA-N 0 0 289.287 2.826 20 5 CFBDRN CCN(C(=O)CO[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC001224308977 775153217 /nfs/dbraw/zinc/15/32/17/775153217.db2.gz KVHXYNGPCZGNSV-NSHDSACASA-N 0 0 292.335 2.683 20 5 CFBDRN CCC(=O)[C@@H](CC)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224331713 775159544 /nfs/dbraw/zinc/15/95/44/775159544.db2.gz RSNIHMDYJILSEG-CQSZACIVSA-N 0 0 265.309 2.912 20 5 CFBDRN COC(C[C@H](C)OCCc1ccc([N+](=O)[O-])cc1)OC ZINC001224331278 775159677 /nfs/dbraw/zinc/15/96/77/775159677.db2.gz OLJGORMNFFKKFW-NSHDSACASA-N 0 0 283.324 2.551 20 5 CFBDRN Cc1nc(C)c(CNc2ccc(F)cc2[N+](=O)[O-])[nH]1 ZINC000724788737 775244875 /nfs/dbraw/zinc/24/48/75/775244875.db2.gz VBZWATWZDSRJCM-UHFFFAOYSA-N 0 0 264.260 2.686 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)OCCC(C)(C)C ZINC001224725137 775259115 /nfs/dbraw/zinc/25/91/15/775259115.db2.gz PGOQXFGGMFDHFN-JTQLQIEISA-N 0 0 269.345 2.941 20 5 CFBDRN CCOC(=N)CCCCO[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001224966886 775321658 /nfs/dbraw/zinc/32/16/58/775321658.db2.gz UABDQBUZMSTDMS-ZDUSSCGKSA-N 0 0 295.339 2.600 20 5 CFBDRN C[C@H](OCC(=O)OCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC001224988093 775326841 /nfs/dbraw/zinc/32/68/41/775326841.db2.gz YBRBGHMBMSDKOM-NSHDSACASA-N 0 0 293.319 2.843 20 5 CFBDRN COc1cccc(O[C@@H](C)Cn2c(C)ncc2[N+](=O)[O-])c1 ZINC001225236510 775375473 /nfs/dbraw/zinc/37/54/73/775375473.db2.gz ZCQJWXIVRFCHTM-JTQLQIEISA-N 0 0 291.307 2.576 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(F)cc1)c1cccnc1 ZINC001225248128 775378241 /nfs/dbraw/zinc/37/82/41/775378241.db2.gz JOFYLUSFFHLJMN-ZDUSSCGKSA-N 0 0 262.240 2.618 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccc(F)c(F)c1 ZINC001225313818 775406598 /nfs/dbraw/zinc/40/65/98/775406598.db2.gz ROLRADOHYQHMDW-QMMMGPOBSA-N 0 0 297.261 2.845 20 5 CFBDRN COc1cc(C)cc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001225336115 775417155 /nfs/dbraw/zinc/41/71/55/775417155.db2.gz AQBFZNXBLYIGMG-HNNXBMFYSA-N 0 0 288.303 2.795 20 5 CFBDRN Cc1ncccc1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001225346362 775421969 /nfs/dbraw/zinc/42/19/69/775421969.db2.gz PAKQWZOFHURMBB-AWEZNQCLSA-N 0 0 270.288 2.844 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1c(Cl)nccc1[N+](=O)[O-] ZINC001225430545 775454237 /nfs/dbraw/zinc/45/42/37/775454237.db2.gz CWTVPQLEYYYSKD-SECBINFHSA-N 0 0 272.688 2.780 20 5 CFBDRN COc1cc(O[C@H]2C[C@H]3C=C[C@@H]2C3)ccc1[N+](=O)[O-] ZINC001225435839 775455686 /nfs/dbraw/zinc/45/56/86/775455686.db2.gz LUOJAFKGTBZVKE-CWSCBRNRSA-N 0 0 261.277 2.947 20 5 CFBDRN COc1cc(OC[C@@H]2CCC(C)(C)O2)ccc1[N+](=O)[O-] ZINC001225435538 775455907 /nfs/dbraw/zinc/45/59/07/775455907.db2.gz IEYWESWKFWJVKU-NSHDSACASA-N 0 0 281.308 2.940 20 5 CFBDRN COc1cc(O[C@H](C)c2cnccn2)ccc1[N+](=O)[O-] ZINC001225441528 775458696 /nfs/dbraw/zinc/45/86/96/775458696.db2.gz QQKLDPKOTLDEIW-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1OC1CCCC1 ZINC001225447273 775459496 /nfs/dbraw/zinc/45/94/96/775459496.db2.gz IVVVAHRGKADVSL-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@H](C)C(C)C ZINC001225451110 775461861 /nfs/dbraw/zinc/46/18/61/775461861.db2.gz PNNYGOHYMSOHFZ-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@H]1CC[C@@H](C)C1 ZINC001225452071 775462640 /nfs/dbraw/zinc/46/26/40/775462640.db2.gz XPICTFXFBUPHNI-KOLCDFICSA-N 0 0 279.292 2.949 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(C(F)(F)F)nc1)C1CC1 ZINC001225505643 775486581 /nfs/dbraw/zinc/48/65/81/775486581.db2.gz UUXGWMUHNNSUJJ-VIFPVBQESA-N 0 0 276.214 2.535 20 5 CFBDRN O=[N+]([O-])c1cccnc1O[C@@H]1COCc2ccccc21 ZINC001225592346 775515379 /nfs/dbraw/zinc/51/53/79/775515379.db2.gz FPJWYAYAVVYXIV-CYBMUJFWSA-N 0 0 272.260 2.640 20 5 CFBDRN CCO[C@H](C)COc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225594042 775516677 /nfs/dbraw/zinc/51/66/77/775516677.db2.gz GGIYXWWHQIMGMF-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN C[C@@H](Oc1cc(=O)cc[nH]1)c1ccccc1[N+](=O)[O-] ZINC001225608802 775522109 /nfs/dbraw/zinc/52/21/09/775522109.db2.gz VPGHUQMDMOQAIQ-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN O=[N+]([O-])c1ncccc1O[C@@H](c1cccnc1)C1CC1 ZINC001225629153 775531664 /nfs/dbraw/zinc/53/16/64/775531664.db2.gz FUTMJINRFPZEST-CYBMUJFWSA-N 0 0 271.276 2.915 20 5 CFBDRN COc1ccc([C@H](C)Oc2cccnc2[N+](=O)[O-])cn1 ZINC001225629317 775531675 /nfs/dbraw/zinc/53/16/75/775531675.db2.gz KFTTWQQAIXFYFV-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCOc3ccccc32)nc1 ZINC001225629855 775532065 /nfs/dbraw/zinc/53/20/65/775532065.db2.gz CECUZOFOHSFTDO-ZDUSSCGKSA-N 0 0 272.260 2.892 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cn1)c1nccs1 ZINC001225635088 775534452 /nfs/dbraw/zinc/53/44/52/775534452.db2.gz XUSUYVAHXOENLL-SSDOTTSWSA-N 0 0 251.267 2.586 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cccc(C)c2[N+](=O)[O-])C1 ZINC001225635451 775534616 /nfs/dbraw/zinc/53/46/16/775534616.db2.gz GXFGJJMRSKDYGU-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(C)nc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)n1 ZINC001225642790 775537379 /nfs/dbraw/zinc/53/73/79/775537379.db2.gz TUDFFMJJPDIRFA-AWEZNQCLSA-N 0 0 285.303 2.548 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1OC[C@H]1CCO1 ZINC001225658823 775545210 /nfs/dbraw/zinc/54/52/10/775545210.db2.gz LAGXEQKBZXOLTG-MRVPVSSYSA-N 0 0 288.097 2.525 20 5 CFBDRN Cc1cc(O[C@@H](C)c2ccncc2)ncc1[N+](=O)[O-] ZINC001225657625 775545541 /nfs/dbraw/zinc/54/55/41/775545541.db2.gz LFTFLPZBQHRHKV-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1cccc(F)c1[N+](=O)[O-] ZINC001225663336 775546654 /nfs/dbraw/zinc/54/66/54/775546654.db2.gz DFWQFQBBHUWIFX-GXSJLCMTSA-N 0 0 297.282 2.845 20 5 CFBDRN O=C1CCC(Oc2cccc(F)c2[N+](=O)[O-])CC1 ZINC001225664357 775546863 /nfs/dbraw/zinc/54/68/63/775546863.db2.gz NQBKMLIARSGRFN-UHFFFAOYSA-N 0 0 253.229 2.624 20 5 CFBDRN CCC[C@@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])C(C)C ZINC001225667110 775548649 /nfs/dbraw/zinc/54/86/49/775548649.db2.gz PNNWRMCRNBDSCP-SNVBAGLBSA-N 0 0 254.286 2.899 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2cccc(F)c2[N+](=O)[O-])C1 ZINC001225667870 775548969 /nfs/dbraw/zinc/54/89/69/775548969.db2.gz VICSPFCURJBNAR-UWVGGRQHSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2cccc(F)c2[N+](=O)[O-])C1 ZINC001225666393 775549339 /nfs/dbraw/zinc/54/93/39/775549339.db2.gz IKUHHJAODOEJKQ-VHSXEESVSA-N 0 0 297.282 2.845 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cccc(F)c2[N+](=O)[O-])=C1C ZINC001225668329 775550148 /nfs/dbraw/zinc/55/01/48/775550148.db2.gz YPDHYAMBTRHZQW-VIFPVBQESA-N 0 0 281.239 2.722 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C1 ZINC001225668149 775550187 /nfs/dbraw/zinc/55/01/87/775550187.db2.gz YXTMOLXQOVOYRJ-WCABBAIRSA-N 0 0 266.297 2.899 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1cccc([N+](=O)[O-])c1C ZINC001225690180 775559879 /nfs/dbraw/zinc/55/98/79/775559879.db2.gz SNWWEYDIEJQUOA-QWRGUYRKSA-N 0 0 283.324 2.722 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1cccc([N+](=O)[O-])c1C ZINC001225690181 775560086 /nfs/dbraw/zinc/56/00/86/775560086.db2.gz SNWWEYDIEJQUOA-WDEREUQCSA-N 0 0 283.324 2.722 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC001225710789 775569784 /nfs/dbraw/zinc/56/97/84/775569784.db2.gz OYZMSQUMXHZXFH-CPCISQLKSA-N 0 0 257.673 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1O[C@@H]1CCc2nccn2C1 ZINC001225712811 775569804 /nfs/dbraw/zinc/56/98/04/775569804.db2.gz KDQHRVLQQIKEHT-SNVBAGLBSA-N 0 0 293.710 2.839 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC001225712305 775570012 /nfs/dbraw/zinc/57/00/12/775570012.db2.gz FBXLVRLSTRXMHA-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC001225712645 775570288 /nfs/dbraw/zinc/57/02/88/775570288.db2.gz JEDXSWOUFFBNSB-XWEPSHTISA-N 0 0 285.683 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(OC2CCC(O)CC2)c(F)cc1F ZINC001218365609 775582821 /nfs/dbraw/zinc/58/28/21/775582821.db2.gz XKGWJWHBAHXFLI-UHFFFAOYSA-N 0 0 273.235 2.555 20 5 CFBDRN COc1ccc(O[C@H](C)c2ncccn2)c([N+](=O)[O-])c1 ZINC001225766860 775586517 /nfs/dbraw/zinc/58/65/17/775586517.db2.gz FMGRWHBJKBQCDR-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COc1ccc(OC[C@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC001225770539 775587421 /nfs/dbraw/zinc/58/74/21/775587421.db2.gz YEOYUDFULFKPKF-LLVKDONJSA-N 0 0 265.265 2.675 20 5 CFBDRN COc1ccc(O[C@H]2CCCC[C@@H]2OC)c([N+](=O)[O-])c1 ZINC001225771548 775587459 /nfs/dbraw/zinc/58/74/59/775587459.db2.gz HGRINPFFZIIJPR-KBPBESRZSA-N 0 0 281.308 2.940 20 5 CFBDRN COc1ccc(O[C@H]2CCC[N@@H+](C(C)C)C2)c([N+](=O)[O-])c1 ZINC001225769952 775587542 /nfs/dbraw/zinc/58/75/42/775587542.db2.gz QICOSTFIEUOXTE-ZDUSSCGKSA-N 0 0 294.351 2.855 20 5 CFBDRN COc1ccc(OCC[C@H]2COC(C)(C)O2)c([N+](=O)[O-])c1 ZINC001225770719 775587686 /nfs/dbraw/zinc/58/76/86/775587686.db2.gz ZVOZMYFDQSWPMK-NSHDSACASA-N 0 0 297.307 2.524 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cc(F)c(F)cc2[N+](=O)[O-])CCO1 ZINC001225778936 775590806 /nfs/dbraw/zinc/59/08/06/775590806.db2.gz LFZPQUONCMTFJD-SFYZADRCSA-N 0 0 273.235 2.819 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225785508 775592168 /nfs/dbraw/zinc/59/21/68/775592168.db2.gz DDECYRVHDVSEEN-JTQLQIEISA-N 0 0 298.726 2.638 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cccc([N+](=O)[O-])c1Cl ZINC001225788345 775593226 /nfs/dbraw/zinc/59/32/26/775593226.db2.gz LMZDHBSWYARHGV-SCZZXKLOSA-N 0 0 299.710 2.969 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2cccc([N+](=O)[O-])c2F)C1 ZINC001225795814 775595977 /nfs/dbraw/zinc/59/59/77/775595977.db2.gz HTKNHHYRKQWPQS-VHSXEESVSA-N 0 0 297.282 2.845 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2CCO[C@@H](C)C2)c1 ZINC001225805002 775598631 /nfs/dbraw/zinc/59/86/31/775598631.db2.gz GACLCFSIQQDHDJ-JOYOIKCWSA-N 0 0 279.292 2.744 20 5 CFBDRN CCOC(=O)c1c(C)cccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001225809759 775599869 /nfs/dbraw/zinc/59/98/69/775599869.db2.gz HGVPWWGEDDRQCK-CYBMUJFWSA-N 0 0 293.319 2.606 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2CCCCC2=O)c1 ZINC001225805231 775600149 /nfs/dbraw/zinc/60/01/49/775600149.db2.gz HPVFXYAVTSLYAB-CYBMUJFWSA-N 0 0 277.276 2.688 20 5 CFBDRN CC(=O)c1cccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001225812399 775601621 /nfs/dbraw/zinc/60/16/21/775601621.db2.gz XUWBAFUCVBOPTJ-HNNXBMFYSA-N 0 0 286.287 2.681 20 5 CFBDRN C[C@H](Oc1ccc(F)cc1[N+](=O)[O-])c1cncnc1 ZINC001225904560 775629897 /nfs/dbraw/zinc/62/98/97/775629897.db2.gz GJHXADSWLZOXKC-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2ccc(F)cc2[N+](=O)[O-])C1 ZINC001225907022 775630925 /nfs/dbraw/zinc/63/09/25/775630925.db2.gz HTXASNKPYGGXIG-ONGXEEELSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(F)c1)c1ncccn1 ZINC001225912915 775632376 /nfs/dbraw/zinc/63/23/76/775632376.db2.gz PGUGDVFYOJDJGD-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H]1C[C@H](Oc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC001225914707 775634234 /nfs/dbraw/zinc/63/42/34/775634234.db2.gz BBIIGTRYTZDNCE-WCBMZHEXSA-N 0 0 255.245 2.680 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccnc2c(F)cccc12)C1CC1 ZINC001225928322 775637921 /nfs/dbraw/zinc/63/79/21/775637921.db2.gz OWGORQOBFWUDDU-ZDUSSCGKSA-N 0 0 276.267 2.808 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1F ZINC001225941272 775642121 /nfs/dbraw/zinc/64/21/21/775642121.db2.gz FWOFGJASJPKRAY-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1O[C@@H]1CCOC1 ZINC001225955959 775646516 /nfs/dbraw/zinc/64/65/16/775646516.db2.gz IBRZEYBJQMHMRT-MRVPVSSYSA-N 0 0 277.198 2.781 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC001226008458 775665829 /nfs/dbraw/zinc/66/58/29/775665829.db2.gz GFTIXHHFFUYAIT-NXEZZACHSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1ccnc(O[C@@H](C)COC(C)(C)C)c1[N+](=O)[O-] ZINC001226115592 775703975 /nfs/dbraw/zinc/70/39/75/775703975.db2.gz DXOWCVVHPCNUDH-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1ccnc(OC2CCSCC2)c1[N+](=O)[O-] ZINC001226115722 775706111 /nfs/dbraw/zinc/70/61/11/775706111.db2.gz IFCRYQYUSAJQQE-UHFFFAOYSA-N 0 0 254.311 2.573 20 5 CFBDRN Cc1ccnc(O[C@@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC001226121714 775707315 /nfs/dbraw/zinc/70/73/15/775707315.db2.gz WQGORVSJLMHVMU-MRVPVSSYSA-N 0 0 258.224 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1OC1CC(F)(F)C1 ZINC001226129547 775710719 /nfs/dbraw/zinc/71/07/19/775710719.db2.gz FARYLIQJQIRBLB-UHFFFAOYSA-N 0 0 264.615 2.820 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cc([N+](=O)[O-])c(F)cc2F)CCO1 ZINC001226182061 775727841 /nfs/dbraw/zinc/72/78/41/775727841.db2.gz WMNULNYYLJXWIT-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226181372 775728383 /nfs/dbraw/zinc/72/83/83/775728383.db2.gz PDSOKBBVOMUIPT-NSHDSACASA-N 0 0 271.219 2.764 20 5 CFBDRN CC(C)OC(=O)COc1cc([N+](=O)[O-])c(F)cc1Cl ZINC001226195520 775734409 /nfs/dbraw/zinc/73/44/09/775734409.db2.gz KNPZCAMEFQCCFY-UHFFFAOYSA-N 0 0 291.662 2.718 20 5 CFBDRN O=[N+]([O-])c1cnc(OC[C@H]2CCC=CO2)c(Cl)c1 ZINC001226255916 775758059 /nfs/dbraw/zinc/75/80/59/775758059.db2.gz QAYFQCZCBYXTDL-SECBINFHSA-N 0 0 270.672 2.715 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc([N+](=O)[O-])ccc2F)=C1C ZINC001226264738 775762032 /nfs/dbraw/zinc/76/20/32/775762032.db2.gz AYNUUBZHNIBOAV-SNVBAGLBSA-N 0 0 281.239 2.722 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cc([N+](=O)[O-])ccc1F ZINC001226266856 775762497 /nfs/dbraw/zinc/76/24/97/775762497.db2.gz ACLRWSWGTFXYOA-KCJUWKMLSA-N 0 0 255.245 2.538 20 5 CFBDRN COC(C[C@H](C)Oc1cc([N+](=O)[O-])ccc1F)OC ZINC001226264783 775762595 /nfs/dbraw/zinc/76/25/95/775762595.db2.gz BJXPSDZWZLALOM-QMMMGPOBSA-N 0 0 273.260 2.510 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cnccc1Cl ZINC001226292987 775769780 /nfs/dbraw/zinc/76/97/80/775769780.db2.gz CBNRICOKTUBYAF-QMMMGPOBSA-N 0 0 296.714 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@@H]1CCC(F)(F)C1 ZINC001226334888 775782245 /nfs/dbraw/zinc/78/22/45/775782245.db2.gz ACRYDTKIOUEGSQ-SSDOTTSWSA-N 0 0 259.208 2.867 20 5 CFBDRN COc1ccc([C@@H](C)Oc2c(O)cccc2[N+](=O)[O-])cn1 ZINC001226332533 775782422 /nfs/dbraw/zinc/78/24/22/775782422.db2.gz BMDYXDKLNKPICI-SECBINFHSA-N 0 0 290.275 2.844 20 5 CFBDRN CC(C)CCOC(=O)[C@@H](C)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226333347 775782997 /nfs/dbraw/zinc/78/29/97/775782997.db2.gz KCBGIODJKZKXFX-SNVBAGLBSA-N 0 0 297.307 2.657 20 5 CFBDRN C[C@@H](Oc1c(O)cccc1[N+](=O)[O-])c1nccs1 ZINC001226334921 775783957 /nfs/dbraw/zinc/78/39/57/775783957.db2.gz ARNNJSSUHPSICC-SSDOTTSWSA-N 0 0 266.278 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1OCCOC1CCCC1 ZINC001226364417 775790421 /nfs/dbraw/zinc/79/04/21/775790421.db2.gz LMXHUPFMOYVOPD-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN C[C@@H](Oc1nc(Cl)ccc1[N+](=O)[O-])c1cncnc1 ZINC001226364899 775790834 /nfs/dbraw/zinc/79/08/34/775790834.db2.gz VQFVOEQMXBLOFM-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN COC(=O)c1cc(OC)c(O[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC001226431952 775821444 /nfs/dbraw/zinc/82/14/44/775821444.db2.gz VWCJQSIVBNDFOU-SECBINFHSA-N 0 0 297.307 2.813 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(OC3CC(F)(F)C3)c2c1 ZINC001226437039 775822829 /nfs/dbraw/zinc/82/28/29/775822829.db2.gz SBQDTGACJDRBDH-UHFFFAOYSA-N 0 0 281.218 2.715 20 5 CFBDRN CC[C@@H](C)Oc1cc(C(=O)OC)c([N+](=O)[O-])cc1OC ZINC001226440253 775823251 /nfs/dbraw/zinc/82/32/51/775823251.db2.gz VEXPZGOUYALXGJ-MRVPVSSYSA-N 0 0 283.280 2.567 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H]2CCC=CO2)c1 ZINC001226443659 775824706 /nfs/dbraw/zinc/82/47/06/775824706.db2.gz ZJBRTGFWVQQYEQ-LLVKDONJSA-N 0 0 265.265 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccc(Cl)nn1)C2 ZINC001226452895 775827993 /nfs/dbraw/zinc/82/79/93/775827993.db2.gz GXEYFKDDRXHJKF-LLVKDONJSA-N 0 0 291.694 2.584 20 5 CFBDRN O=C1OC[C@@H](COc2ccc([N+](=O)[O-])c3ccccc23)O1 ZINC001226508857 775852586 /nfs/dbraw/zinc/85/25/86/775852586.db2.gz DFKXASNQMALGFM-SECBINFHSA-N 0 0 289.243 2.662 20 5 CFBDRN COCC(COC)Oc1ccc([N+](=O)[O-])c2ccccc12 ZINC001226509092 775853485 /nfs/dbraw/zinc/85/34/85/775853485.db2.gz KLHVTQTWUFMIBO-UHFFFAOYSA-N 0 0 291.303 2.788 20 5 CFBDRN CCCC[C@@H](C)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226580518 775881564 /nfs/dbraw/zinc/88/15/64/775881564.db2.gz BITVVQFVBXAMJD-SECBINFHSA-N 0 0 254.286 2.956 20 5 CFBDRN COc1cc(OC2CCC(F)(F)CC2)ncc1[N+](=O)[O-] ZINC001226582124 775881643 /nfs/dbraw/zinc/88/16/43/775881643.db2.gz VTXGDDTVNCCCJJ-UHFFFAOYSA-N 0 0 288.250 2.955 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cc(Cl)ncn1)C2 ZINC001226641763 775901212 /nfs/dbraw/zinc/90/12/12/775901212.db2.gz ZLVZCOIPMLAOFP-LLVKDONJSA-N 0 0 291.694 2.584 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccc(F)nc1)C2 ZINC001226696897 775924710 /nfs/dbraw/zinc/92/47/10/775924710.db2.gz FXZYJWKRPUCKNM-ZDUSSCGKSA-N 0 0 274.251 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCCc3cccnc32)cn1 ZINC001226709119 775932171 /nfs/dbraw/zinc/93/21/71/775932171.db2.gz FESFVWLDQJAZPP-GFCCVEGCSA-N 0 0 271.276 2.841 20 5 CFBDRN Cc1cnnc(O[C@@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC001226716874 775936716 /nfs/dbraw/zinc/93/67/16/775936716.db2.gz ACEDIDMYKLBFKH-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CCC[C@@H](C)[C@@H](CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226732833 775941554 /nfs/dbraw/zinc/94/15/54/775941554.db2.gz AAJLTIUMFXHESO-MWLCHTKSSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1ccccc1[C@@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733996 775942498 /nfs/dbraw/zinc/94/24/98/775942498.db2.gz VNMLNNIXLOAWQB-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCC1CCC(Oc2ncc([N+](=O)[O-])cn2)CC1 ZINC001226734278 775943066 /nfs/dbraw/zinc/94/30/66/775943066.db2.gz XEPJVLMIUDUXLC-UHFFFAOYSA-N 0 0 251.286 2.732 20 5 CFBDRN CCO[C@H](C)COc1c(F)cc([N+](=O)[O-])cc1F ZINC001226749139 775945329 /nfs/dbraw/zinc/94/53/29/775945329.db2.gz QUPJQTBBKPYUNH-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226747855 775945625 /nfs/dbraw/zinc/94/56/25/775945625.db2.gz GWUWDWFOVFUYJY-JTQLQIEISA-N 0 0 289.234 2.594 20 5 CFBDRN CC1(C)COC(=O)[C@H]1Oc1ccc([N+](=O)[O-])cc1Cl ZINC001226762380 775952468 /nfs/dbraw/zinc/95/24/68/775952468.db2.gz RVFINRQOLRPFNW-SNVBAGLBSA-N 0 0 285.683 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H](C)C(F)(F)F ZINC001226761936 775952498 /nfs/dbraw/zinc/95/24/98/775952498.db2.gz OEVSWDRFJDIIFX-ZCFIWIBFSA-N 0 0 250.176 2.628 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2cccc([N+](=O)[O-])c2)C1 ZINC001226762833 775952541 /nfs/dbraw/zinc/95/25/41/775952541.db2.gz BOXWKPHTYPWDQS-JQWIXIFHSA-N 0 0 279.292 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cc(F)ccn1)C2 ZINC001226769401 775956240 /nfs/dbraw/zinc/95/62/40/775956240.db2.gz YSJHIDRMJKEGDI-ZDUSSCGKSA-N 0 0 274.251 2.675 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226811342 775974867 /nfs/dbraw/zinc/97/48/67/775974867.db2.gz WPIIFSMUTLJBMX-XWEPSHTISA-N 0 0 285.683 2.579 20 5 CFBDRN CC1(C)COC(=O)[C@@H]1Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226811451 775975037 /nfs/dbraw/zinc/97/50/37/775975037.db2.gz ZXIDCJOIQIHCEP-JTQLQIEISA-N 0 0 285.683 2.579 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC001226843029 775986868 /nfs/dbraw/zinc/98/68/68/775986868.db2.gz DTOATWMNQAMILC-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cc(O[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC001226844369 775988705 /nfs/dbraw/zinc/98/87/05/775988705.db2.gz XSSOGHKOOBZFON-JQWIXIFHSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1c([C@@H](C)Oc2cc(Cl)c([N+](=O)[O-])cn2)cnn1C ZINC001226916322 776016620 /nfs/dbraw/zinc/01/66/20/776016620.db2.gz BLZKFDOGJRIMJJ-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC001226951410 776032734 /nfs/dbraw/zinc/03/27/34/776032734.db2.gz ALWFOXJXZISDGY-KLPPZKSPSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc(O[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC001226952974 776032775 /nfs/dbraw/zinc/03/27/75/776032775.db2.gz OPOSVFSIFUXOTC-ZYHUDNBSSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1ccc(O[C@@H]2COc3cnccc32)cc1[N+](=O)[O-] ZINC001226953042 776032907 /nfs/dbraw/zinc/03/29/07/776032907.db2.gz QDYGVLMXCIGDHQ-CQSZACIVSA-N 0 0 272.260 2.811 20 5 CFBDRN CCC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001226957501 776033648 /nfs/dbraw/zinc/03/36/48/776033648.db2.gz HFVWFLMMOSVNKJ-AWEZNQCLSA-N 0 0 263.293 2.713 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1cc([N+](=O)[O-])ccc1C ZINC001226993056 776052903 /nfs/dbraw/zinc/05/29/03/776052903.db2.gz QMEMHAZNUJSOLG-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cc([N+](=O)[O-])ccc2C)C1 ZINC001226992955 776053122 /nfs/dbraw/zinc/05/31/22/776053122.db2.gz OHBFXXZFENAXKD-VDISTLRHSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H]2Cc3ccccc3O2)n1 ZINC001227062866 776079855 /nfs/dbraw/zinc/07/98/55/776079855.db2.gz WCPWSPIJALTZGB-GFCCVEGCSA-N 0 0 286.287 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CC(F)(F)C2(F)F)n1 ZINC001227063850 776080168 /nfs/dbraw/zinc/08/01/68/776080168.db2.gz IAGKZUSHVKYMKJ-SSDOTTSWSA-N 0 0 280.177 2.720 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)c2ccccn2)n1 ZINC001227061954 776080714 /nfs/dbraw/zinc/08/07/14/776080714.db2.gz CSLJDHFFWOWUEV-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)c2ccncc2)n1 ZINC001227067194 776081730 /nfs/dbraw/zinc/08/17/30/776081730.db2.gz UQADVVNTFLRFEG-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CC[C@@H](Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)C ZINC001227111953 776101424 /nfs/dbraw/zinc/10/14/24/776101424.db2.gz UQDJBPRDVFWQFI-SNVBAGLBSA-N 0 0 269.257 2.711 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(Cl)cc1OC1COCOC1 ZINC001227127668 776107922 /nfs/dbraw/zinc/10/79/22/776107922.db2.gz AAWOIXVLHNHYTR-UHFFFAOYSA-N 0 0 294.090 2.653 20 5 CFBDRN CCCc1c(O)cccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001227148721 776115633 /nfs/dbraw/zinc/11/56/33/776115633.db2.gz WTURJKDGQSDTLA-AWEZNQCLSA-N 0 0 265.309 2.779 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1OC1CC2(COC2)C1 ZINC001227153346 776117262 /nfs/dbraw/zinc/11/72/62/776117262.db2.gz HSEGERSSLGRCGZ-UHFFFAOYSA-N 0 0 287.674 2.945 20 5 CFBDRN CC(C)N1CC(Oc2cc(F)c(Cl)cc2[N+](=O)[O-])C1 ZINC001227152183 776117568 /nfs/dbraw/zinc/11/75/68/776117568.db2.gz AWCJKFFPNVZAJI-UHFFFAOYSA-N 0 0 288.706 2.859 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])cc2OC)=C1C ZINC001227185609 776128843 /nfs/dbraw/zinc/12/88/43/776128843.db2.gz VCRFDABVVHMQKN-JTQLQIEISA-N 0 0 293.275 2.592 20 5 CFBDRN CC(C)OCCOc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227231540 776148584 /nfs/dbraw/zinc/14/85/84/776148584.db2.gz AEYWUFVQJCNVCJ-UHFFFAOYSA-N 0 0 266.253 2.540 20 5 CFBDRN COC(C[C@H](C)Oc1nc2ccc([N+](=O)[O-])cc2o1)OC ZINC001227233733 776148665 /nfs/dbraw/zinc/14/86/65/776148665.db2.gz GAUCVLDBKQOAKN-QMMMGPOBSA-N 0 0 296.279 2.512 20 5 CFBDRN Cc1cnc(O[C@H](C)c2cccnc2)c([N+](=O)[O-])c1 ZINC001227234808 776149705 /nfs/dbraw/zinc/14/97/05/776149705.db2.gz JYBGSKGJVLWANG-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1ncc(C)cc1[N+](=O)[O-] ZINC001227237380 776150983 /nfs/dbraw/zinc/15/09/83/776150983.db2.gz USCKBXJPBHQKED-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1occc(=O)c1O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001227242199 776154352 /nfs/dbraw/zinc/15/43/52/776154352.db2.gz JZFCLTUPFZXHOL-VIFPVBQESA-N 0 0 275.260 2.996 20 5 CFBDRN Cc1cc(O[C@@H](C)c2cc3c(cc2[N+](=O)[O-])OCO3)no1 ZINC001227278055 776168997 /nfs/dbraw/zinc/16/89/97/776168997.db2.gz NYHNSDLGEMNHPA-QMMMGPOBSA-N 0 0 292.247 2.760 20 5 CFBDRN Cc1cc(CNc2c(C)cccc2[N+](=O)[O-])nn1C ZINC001167766128 776171172 /nfs/dbraw/zinc/17/11/72/776171172.db2.gz VJXNYWYTYGQQSX-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN O=c1c2cc(OC3CC3)c(O)cc2oc2cccc(O)c21 ZINC001227308237 776176370 /nfs/dbraw/zinc/17/63/70/776176370.db2.gz ATNGBYUYXLILAR-UHFFFAOYSA-N 0 0 284.267 2.899 20 5 CFBDRN Cc1nc(Cl)nc(OC(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC001227340959 776179120 /nfs/dbraw/zinc/17/91/20/776179120.db2.gz SBCVFBKLCJNUKD-UHFFFAOYSA-N 0 0 283.715 2.914 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cccc(O)c1C ZINC001227430312 776201529 /nfs/dbraw/zinc/20/15/29/776201529.db2.gz GXYORFBWEMVMGC-SECBINFHSA-N 0 0 291.307 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccc(O)cc1)C2 ZINC001227444827 776204660 /nfs/dbraw/zinc/20/46/60/776204660.db2.gz FNZLEZKUCFEGSG-HNNXBMFYSA-N 0 0 271.272 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccc(O)cc1)C2 ZINC001227444828 776205095 /nfs/dbraw/zinc/20/50/95/776205095.db2.gz FNZLEZKUCFEGSG-OAHLLOKOSA-N 0 0 271.272 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC001227468489 776210244 /nfs/dbraw/zinc/21/02/44/776210244.db2.gz ZMBJSRBZLAWNPX-SECBINFHSA-N 0 0 250.210 2.600 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1ccccc1[N+](=O)[O-] ZINC001227479351 776211208 /nfs/dbraw/zinc/21/12/08/776211208.db2.gz HEGQJHHGNBVBQS-CYBMUJFWSA-N 0 0 281.308 2.951 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC1CN(Cc2ccccc2)C1 ZINC001227482935 776212158 /nfs/dbraw/zinc/21/21/58/776212158.db2.gz PIFULQZXLNCRMM-UHFFFAOYSA-N 0 0 284.315 2.858 20 5 CFBDRN CC(C)[C@H](C)Oc1c([N+](=O)[O-])cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227498470 776214947 /nfs/dbraw/zinc/21/49/47/776214947.db2.gz PAGCKSYFJMLBJW-ZETCQYMHSA-N 0 0 299.239 2.835 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2)C1 ZINC001227523988 776219659 /nfs/dbraw/zinc/21/96/59/776219659.db2.gz VJFUWPADWRNFQM-MFKMUULPSA-N 0 0 279.292 2.705 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001227541553 776224304 /nfs/dbraw/zinc/22/43/04/776224304.db2.gz INEWYNPYDGSJOU-YIZRAAEISA-N 0 0 295.316 2.786 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CC3CCC2CC3)c2[nH]cnc21 ZINC001227691472 776258785 /nfs/dbraw/zinc/25/87/85/776258785.db2.gz OVROFADLBGSVDK-AMUVOQDHSA-N 0 0 288.307 2.824 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2CCCC[C@H]2F)c2[nH]cnc21 ZINC001227691939 776259274 /nfs/dbraw/zinc/25/92/74/776259274.db2.gz RWDDDENDAHACFZ-VXNVDRBHSA-N 0 0 280.259 2.526 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CCCCCC2)c2[nH]cnc21 ZINC001227690744 776259684 /nfs/dbraw/zinc/25/96/84/776259684.db2.gz KMPXKLDWNXASCC-UHFFFAOYSA-N 0 0 276.296 2.968 20 5 CFBDRN COc1ccc(Cl)c(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001227694159 776260825 /nfs/dbraw/zinc/26/08/25/776260825.db2.gz QWAANRMKAJQNCY-GFCCVEGCSA-N 0 0 271.700 2.783 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1c(OC)cccc1[N+](=O)[O-] ZINC001227698754 776260948 /nfs/dbraw/zinc/26/09/48/776260948.db2.gz WYABFGQRDMVRJI-JTQLQIEISA-N 0 0 297.307 2.714 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1OCC[C@H]1COC(C)(C)O1 ZINC001227698914 776261418 /nfs/dbraw/zinc/26/14/18/776261418.db2.gz ZKRNHHOILBSNIQ-JTQLQIEISA-N 0 0 297.307 2.524 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H]1CCO[C@H](C)C1 ZINC001227699097 776262480 /nfs/dbraw/zinc/26/24/80/776262480.db2.gz CJCPHDDOOUFRSS-NXEZZACHSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H]1CCOC(C)(C)C1 ZINC001227701933 776262792 /nfs/dbraw/zinc/26/27/92/776262792.db2.gz QXZWTLFJADOGNA-JTQLQIEISA-N 0 0 281.308 2.940 20 5 CFBDRN CC(=O)N1CC(Oc2cccc3ccc4cccnc4c32)C1 ZINC001227763075 776285128 /nfs/dbraw/zinc/28/51/28/776285128.db2.gz BIZUUGCQCHLYRU-UHFFFAOYSA-N 0 0 292.338 2.998 20 5 CFBDRN COC(=O)c1cccc(O[C@@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC001227891585 776318862 /nfs/dbraw/zinc/31/88/62/776318862.db2.gz CKGVWTPKJZRIKL-LURJTMIESA-N 0 0 293.197 2.711 20 5 CFBDRN CCC(CC)Oc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC001227894746 776320563 /nfs/dbraw/zinc/32/05/63/776320563.db2.gz OBJLNXYISQYHCN-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1cccc(O[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC001227894183 776321066 /nfs/dbraw/zinc/32/10/66/776321066.db2.gz KGGXPSPEBIUHGN-ILWJIGKKSA-N 0 0 277.276 2.559 20 5 CFBDRN COc1cc(Cl)cc(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001227897594 776322459 /nfs/dbraw/zinc/32/24/59/776322459.db2.gz WLCFQKALDUYCRF-LBPRGKRZSA-N 0 0 271.700 2.783 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(F)cc2cccnc21)C1CC1 ZINC001227980071 776346323 /nfs/dbraw/zinc/34/63/23/776346323.db2.gz LESKMAVYLZTQTL-ZDUSSCGKSA-N 0 0 276.267 2.808 20 5 CFBDRN CC1=C[C@@H](Oc2c(Cl)cccc2[N+](=O)[O-])CC(=O)O1 ZINC001228001937 776355724 /nfs/dbraw/zinc/35/57/24/776355724.db2.gz FSKBQVPIQICJFG-MRVPVSSYSA-N 0 0 283.667 2.846 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC1SCCS1 ZINC000692833868 776362176 /nfs/dbraw/zinc/36/21/76/776362176.db2.gz QTIXVZKACDEMMQ-UHFFFAOYSA-N 0 0 291.785 2.861 20 5 CFBDRN CCO[C@@H](C)COc1cc([N+](=O)[O-])cc(F)c1F ZINC001228015604 776365050 /nfs/dbraw/zinc/36/50/50/776365050.db2.gz FAOCPOBEZHGDRK-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001228017435 776366171 /nfs/dbraw/zinc/36/61/71/776366171.db2.gz NCVIIUDAMOXOQS-RNLVFQAGSA-N 0 0 254.673 2.821 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)c(O[C@@H]2COc3cnccc32)c1 ZINC001228022277 776367275 /nfs/dbraw/zinc/36/72/75/776367275.db2.gz UJGSQFQYMOZBBA-GFCCVEGCSA-N 0 0 294.213 2.781 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@H]3CCC[C@H]32)n1 ZINC001126173446 776369993 /nfs/dbraw/zinc/36/99/93/776369993.db2.gz FTUHFFHUBSDUQW-BXUZGUMPSA-N 0 0 289.335 2.703 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccnc(Cl)c1[N+](=O)[O-] ZINC001228057590 776379695 /nfs/dbraw/zinc/37/96/95/776379695.db2.gz PKZYRNFNXDETFC-MRVPVSSYSA-N 0 0 274.704 2.837 20 5 CFBDRN C[C@H](Oc1ccnc(Cl)c1[N+](=O)[O-])c1cncnc1 ZINC001228056321 776380496 /nfs/dbraw/zinc/38/04/96/776380496.db2.gz BQUSLEWVCVNFAQ-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nccc1OC1CC(F)(F)C1 ZINC001228056319 776380573 /nfs/dbraw/zinc/38/05/73/776380573.db2.gz BQPKJSBGYIGDJT-UHFFFAOYSA-N 0 0 264.615 2.820 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2ccccc2n1)C1CC1 ZINC001228086265 776390193 /nfs/dbraw/zinc/39/01/93/776390193.db2.gz BWMFVIWJBPBLPW-CYBMUJFWSA-N 0 0 258.277 2.669 20 5 CFBDRN Cc1nc(N)ccc1CNc1cc(F)cc([N+](=O)[O-])c1C ZINC001167786229 776391280 /nfs/dbraw/zinc/39/12/80/776391280.db2.gz LUTFPAGSVSKGBS-UHFFFAOYSA-N 0 0 290.298 2.940 20 5 CFBDRN CCC[C@@H](C)[C@@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228139913 776407186 /nfs/dbraw/zinc/40/71/86/776407186.db2.gz CKYCPJXZJCVWFA-RKDXNWHRSA-N 0 0 269.301 2.684 20 5 CFBDRN Cc1ccc([C@H](C)Oc2nc[nH]c(=O)c2[N+](=O)[O-])cc1 ZINC001228141656 776407306 /nfs/dbraw/zinc/40/73/06/776407306.db2.gz STJMGSFGBSNINU-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN CC[C@H](C)C[C@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228144185 776409395 /nfs/dbraw/zinc/40/93/95/776409395.db2.gz SLMNWFXSKHFYFD-IUCAKERBSA-N 0 0 269.301 2.684 20 5 CFBDRN CCCCC[C@@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228144826 776409477 /nfs/dbraw/zinc/40/94/77/776409477.db2.gz WTBUFOCCDKDLHJ-SECBINFHSA-N 0 0 269.301 2.828 20 5 CFBDRN CN1CC(Oc2c(Cl)cc([N+](=O)[O-])cc2Cl)C1 ZINC001228198524 776419926 /nfs/dbraw/zinc/41/99/26/776419926.db2.gz LEPOWZSPBHYMOF-UHFFFAOYSA-N 0 0 277.107 2.594 20 5 CFBDRN O=C1OCC[C@H]1Oc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC001228198951 776419963 /nfs/dbraw/zinc/41/99/63/776419963.db2.gz SQSMMANLTCZJKQ-MRVPVSSYSA-N 0 0 292.074 2.596 20 5 CFBDRN CC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1C ZINC001228214745 776423760 /nfs/dbraw/zinc/42/37/60/776423760.db2.gz KWAIQCUAJUFKJS-CQSZACIVSA-N 0 0 263.293 2.632 20 5 CFBDRN Cc1cc(C)c(O[C@@H]2CCOC[C@@H]2F)c([N+](=O)[O-])c1 ZINC001228238315 776430355 /nfs/dbraw/zinc/43/03/55/776430355.db2.gz VDDAWGPTYSAZRO-CMPLNLGQSA-N 0 0 269.272 2.717 20 5 CFBDRN CC[N@@H+]1CC[C@H](Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228255292 776434783 /nfs/dbraw/zinc/43/47/83/776434783.db2.gz HGVSUOMDBSFLOK-NSHDSACASA-N 0 0 270.716 2.721 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NC1(CO)CCCC1)CCCC2 ZINC001167803724 776495270 /nfs/dbraw/zinc/49/52/70/776495270.db2.gz CJFZQFWXTDNWCR-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN COc1ncc(F)c(O[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001228464050 776498776 /nfs/dbraw/zinc/49/87/76/776498776.db2.gz ALSCFTOEQDXQHH-MRVPVSSYSA-N 0 0 293.254 2.673 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@@H]1CCn2ccnc21 ZINC001228570306 776524030 /nfs/dbraw/zinc/52/40/30/776524030.db2.gz BHBZGKGMZQRJFD-GFCCVEGCSA-N 0 0 273.292 2.932 20 5 CFBDRN COc1cccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1F ZINC001228570367 776524795 /nfs/dbraw/zinc/52/47/95/776524795.db2.gz BTNRZNOSIUDFGQ-ZDUSSCGKSA-N 0 0 292.266 2.626 20 5 CFBDRN CC[C@H](C)[C@@H](C)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604856 776537523 /nfs/dbraw/zinc/53/75/23/776537523.db2.gz XEMUIZSMQOVSIF-JGVFFNPUSA-N 0 0 281.312 2.781 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228614200 776541586 /nfs/dbraw/zinc/54/15/86/776541586.db2.gz ULIRCLQUQVLRHB-SECBINFHSA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@@H](Oc1ncncc1[N+](=O)[O-])c1cccnc1Cl ZINC001228657313 776554362 /nfs/dbraw/zinc/55/43/62/776554362.db2.gz MYNVHWGZQZLENH-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CC1(C)[C@H]2CC[C@]1(C)[C@H](Oc1ncncc1[N+](=O)[O-])C2 ZINC001228655934 776554543 /nfs/dbraw/zinc/55/45/43/776554543.db2.gz XQXKWIZAYATIBC-DRCTWCGVSA-N 0 0 277.324 2.978 20 5 CFBDRN O=[N+]([O-])c1cncnc1O[C@@H]1CCc2c1cc(F)cc2F ZINC001228655648 776554618 /nfs/dbraw/zinc/55/46/18/776554618.db2.gz UAYUMTLXAHYKFF-GFCCVEGCSA-N 0 0 293.229 2.729 20 5 CFBDRN CCC(CC)[C@H](CC)Oc1ncncc1[N+](=O)[O-] ZINC001228655864 776555130 /nfs/dbraw/zinc/55/51/30/776555130.db2.gz WSUZIFYXAOUSGI-NSHDSACASA-N 0 0 253.302 2.978 20 5 CFBDRN CCO[C@H](C)COc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228674594 776561708 /nfs/dbraw/zinc/56/17/08/776561708.db2.gz JYMKWURMIBXVIP-SECBINFHSA-N 0 0 279.296 2.583 20 5 CFBDRN Cc1cc2[nH]c(OCCOC(C)C)nc2cc1[N+](=O)[O-] ZINC001228673533 776562140 /nfs/dbraw/zinc/56/21/40/776562140.db2.gz HATMLIDSBILCBA-UHFFFAOYSA-N 0 0 279.296 2.583 20 5 CFBDRN Cc1cc2[nH]c(OC[C@H]3CCC=CO3)nc2cc1[N+](=O)[O-] ZINC001228674629 776562514 /nfs/dbraw/zinc/56/25/14/776562514.db2.gz KGRDXJQYPJXWCI-SNVBAGLBSA-N 0 0 289.291 2.851 20 5 CFBDRN Cc1cc2[nH]c(O[C@@H]3CCO[C@H](C)C3)nc2cc1[N+](=O)[O-] ZINC001228674597 776562591 /nfs/dbraw/zinc/56/25/91/776562591.db2.gz JYSWUJHYDOUBOG-NXEZZACHSA-N 0 0 291.307 2.726 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)c2cccnc2)c1[N+](=O)[O-] ZINC001228686147 776567324 /nfs/dbraw/zinc/56/73/24/776567324.db2.gz LUNDEUWIJDZUCC-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)Cc2ccco2)c1[N+](=O)[O-] ZINC001228689376 776568899 /nfs/dbraw/zinc/56/88/99/776568899.db2.gz SXSHJHUJBGGWOK-QMMMGPOBSA-N 0 0 278.264 2.607 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CC[C@@H](C(F)(F)F)O1 ZINC000722392875 776576017 /nfs/dbraw/zinc/57/60/17/776576017.db2.gz XDXJGAYZFYICQV-CBAPKCEASA-N 0 0 291.229 2.512 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nccc2cccc(F)c21)C1CC1 ZINC001228733604 776589002 /nfs/dbraw/zinc/58/90/02/776589002.db2.gz UMTJBPWBBYTGEZ-GFCCVEGCSA-N 0 0 276.267 2.808 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])c2cccnc2)ncc1Cl ZINC001228746893 776595280 /nfs/dbraw/zinc/59/52/80/776595280.db2.gz XVQUMOJGQSQTLE-GFCCVEGCSA-N 0 0 293.710 2.835 20 5 CFBDRN COc1ccc(F)c(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001228790133 776615001 /nfs/dbraw/zinc/61/50/01/776615001.db2.gz HCHDPBGKCLEAEG-AWEZNQCLSA-N 0 0 292.266 2.626 20 5 CFBDRN C[C@@H](Oc1c2ccccc2oc(=O)c1[N+](=O)[O-])C1CC1 ZINC001228803252 776618462 /nfs/dbraw/zinc/61/84/62/776618462.db2.gz XJAIQIVWQFAQOO-MRVPVSSYSA-N 0 0 275.260 2.879 20 5 CFBDRN C[C@H](COC(C)(C)C)Oc1cnccc1[N+](=O)[O-] ZINC001228879227 776643523 /nfs/dbraw/zinc/64/35/23/776643523.db2.gz DGGLSFRZZICCTA-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN CC(=O)[C@@H](Oc1cnccc1[N+](=O)[O-])c1ccccc1 ZINC001228882846 776645440 /nfs/dbraw/zinc/64/54/40/776645440.db2.gz QIFJKDIJTNKXFO-CQSZACIVSA-N 0 0 272.260 2.699 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc2cc[nH]c2cc1F)C1CC1 ZINC001228886813 776646489 /nfs/dbraw/zinc/64/64/89/776646489.db2.gz AZZHIBCRHGFYKU-CYBMUJFWSA-N 0 0 264.256 2.741 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1ccc([N+](=O)[O-])cc1C ZINC001228919891 776657652 /nfs/dbraw/zinc/65/76/52/776657652.db2.gz BRCPCRKFXBAMBH-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(Cl)n1)C1CCOCC1 ZINC001228936561 776661369 /nfs/dbraw/zinc/66/13/69/776661369.db2.gz VABKGOLRRUEZSB-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ccc([N+](=O)[O-])c(Cl)n1 ZINC001228938523 776663149 /nfs/dbraw/zinc/66/31/49/776663149.db2.gz QLCCNCKQVDRTEB-VIFPVBQESA-N 0 0 270.672 2.534 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ccc([N+](=O)[O-])c(Cl)n1 ZINC001228938522 776663750 /nfs/dbraw/zinc/66/37/50/776663750.db2.gz QLCCNCKQVDRTEB-SECBINFHSA-N 0 0 270.672 2.534 20 5 CFBDRN CC(C)C[C@@H](C)Oc1cc(O)cc([O-])c1[N+](=O)[O-] ZINC001228969942 776671954 /nfs/dbraw/zinc/67/19/54/776671954.db2.gz DXTMNNXLSYRAKO-MRVPVSSYSA-N 0 0 255.270 2.819 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228972380 776674118 /nfs/dbraw/zinc/67/41/18/776674118.db2.gz ZOAMXQUUIMDIHC-GFCCVEGCSA-N 0 0 295.291 2.518 20 5 CFBDRN N=CNc1ccc([N+](=O)[O-])c(OCc2ccccc2)n1 ZINC001167864228 776680532 /nfs/dbraw/zinc/68/05/32/776680532.db2.gz CLDSZCBQWVOOOI-UHFFFAOYSA-N 0 0 272.264 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(O[C@H]3CCCOC3)c2c1 ZINC001229005390 776685346 /nfs/dbraw/zinc/68/53/46/776685346.db2.gz HALMALUJYLBAKN-LBPRGKRZSA-N 0 0 274.276 2.701 20 5 CFBDRN COC[C@H](C)Oc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229006070 776685760 /nfs/dbraw/zinc/68/57/60/776685760.db2.gz QVHJOHUAMNAHOU-VIFPVBQESA-N 0 0 262.265 2.557 20 5 CFBDRN CC[C@@H](C)Oc1cccc([N+](=O)[O-])c1C(=O)OC ZINC001229044232 776698338 /nfs/dbraw/zinc/69/83/38/776698338.db2.gz FNBPSLPPQVDAMO-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nsc2cc(F)ccc21)C1CC1 ZINC001229043529 776698929 /nfs/dbraw/zinc/69/89/29/776698929.db2.gz GEPBXXCNEYUVFV-JTQLQIEISA-N 0 0 282.296 2.870 20 5 CFBDRN COC(=O)c1c(O[C@@H]2C[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC001229046176 776700160 /nfs/dbraw/zinc/70/01/60/776700160.db2.gz QPKPQGMUPIOYLX-ILWJIGKKSA-N 0 0 277.276 2.559 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114996 776722271 /nfs/dbraw/zinc/72/22/71/776722271.db2.gz OLYIUWDGLRGZPK-ANYFNZRUSA-N 0 0 279.292 2.655 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114262 776722687 /nfs/dbraw/zinc/72/26/87/776722687.db2.gz HZWQHXZDNNNVBU-HHPSJXNDSA-N 0 0 263.293 2.738 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229113849 776722826 /nfs/dbraw/zinc/72/28/26/776722826.db2.gz GBZYLSXFPVBGSA-TYDXBBDOSA-N 0 0 295.335 2.753 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2ccc(/C=C/[N+](=O)[O-])cc2)C1 ZINC001229116231 776724026 /nfs/dbraw/zinc/72/40/26/776724026.db2.gz VHFDFNRYYOYNMU-JWEWWIMNSA-N 0 0 291.303 2.655 20 5 CFBDRN CC[C@@H](COc1ccc(/C=C/[N+](=O)[O-])cc1)OC ZINC001229116836 776724404 /nfs/dbraw/zinc/72/44/04/776724404.db2.gz XXNGMVZSLRSPMS-BCPZQOPPSA-N 0 0 251.282 2.738 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229138658 776730519 /nfs/dbraw/zinc/73/05/19/776730519.db2.gz OEKWYXVOMKEALA-GFCCVEGCSA-N 0 0 279.292 2.934 20 5 CFBDRN Cc1cccc(CO[C@@H](C)c2ncccn2)c1[N+](=O)[O-] ZINC001223660163 776745073 /nfs/dbraw/zinc/74/50/73/776745073.db2.gz ZXQNZZABNUNHEG-NSHDSACASA-N 0 0 273.292 2.971 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1COC[C@@H]1C ZINC001229178551 776745272 /nfs/dbraw/zinc/74/52/72/776745272.db2.gz XWZVVBIHUGWDCT-XPTSAGLGSA-N 0 0 279.292 2.601 20 5 CFBDRN CCOC(=O)C[C@@H](C)OCc1cccc(C)c1[N+](=O)[O-] ZINC001223661965 776746039 /nfs/dbraw/zinc/74/60/39/776746039.db2.gz YVWCCKAXONIKDS-LLVKDONJSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H](C)c1cncnc1 ZINC001229199646 776749070 /nfs/dbraw/zinc/74/90/70/776749070.db2.gz XCRYYEDWTWJGEX-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN COC(C[C@H](C)Oc1c(C)cc(F)cc1[N+](=O)[O-])OC ZINC001229199724 776749576 /nfs/dbraw/zinc/74/95/76/776749576.db2.gz XWVFHJQYAJPRJV-VIFPVBQESA-N 0 0 287.287 2.819 20 5 CFBDRN CCOC[C@@H](C)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229199164 776749695 /nfs/dbraw/zinc/74/96/95/776749695.db2.gz TYPQBNAHRWNMCJ-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1CCOC[C@H]1F ZINC001229200881 776751377 /nfs/dbraw/zinc/75/13/77/776751377.db2.gz IVYQWIIBSPCVAI-MWLCHTKSSA-N 0 0 273.235 2.548 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(F)c(F)cc1F)C1CC1 ZINC001229214316 776754555 /nfs/dbraw/zinc/75/45/55/776754555.db2.gz HZCFQWYJVYPJRW-LLVKDONJSA-N 0 0 261.199 2.538 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(F)cc2scnc21)C1CC1 ZINC001229281394 776769544 /nfs/dbraw/zinc/76/95/44/776769544.db2.gz NDGONELYRWPVCI-JTQLQIEISA-N 0 0 282.296 2.870 20 5 CFBDRN CCC[C@@H](C)Oc1n[nH]c(=O)c2ccc([N+](=O)[O-])cc21 ZINC001229364580 776790523 /nfs/dbraw/zinc/79/05/23/776790523.db2.gz JMFJOSZJOSERJZ-MRVPVSSYSA-N 0 0 277.280 2.811 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC001229379388 776795031 /nfs/dbraw/zinc/79/50/31/776795031.db2.gz DTJPMPNFERAILF-SCZZXKLOSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1O[C@@H]1CCOC[C@@H]1F ZINC001229379847 776795440 /nfs/dbraw/zinc/79/54/40/776795440.db2.gz IJKYFLTVGWXIJC-WCBMZHEXSA-N 0 0 275.663 2.754 20 5 CFBDRN CC(=O)N1CCC(Oc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC001229376597 776795710 /nfs/dbraw/zinc/79/57/10/776795710.db2.gz KWKDTFBOESUFFU-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1O)c1cccnc1 ZINC001229395929 776800164 /nfs/dbraw/zinc/80/01/64/776800164.db2.gz NJPLRUUMZAXOPG-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN CCCOC[C@H](C)Oc1ncnc2cc([N+](=O)[O-])ccc21 ZINC001229403933 776800973 /nfs/dbraw/zinc/80/09/73/776800973.db2.gz UGTPZBJAGORAGW-JTQLQIEISA-N 0 0 291.307 2.732 20 5 CFBDRN Cc1cc(O[C@H]2COC[C@@H]2C)cc(C)c1[N+](=O)[O-] ZINC001229466955 776819714 /nfs/dbraw/zinc/81/97/14/776819714.db2.gz ZQJYNFRYEYCJDL-JQWIXIFHSA-N 0 0 251.282 2.625 20 5 CFBDRN CC[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1ccccc1F ZINC001229456428 776820402 /nfs/dbraw/zinc/82/04/02/776820402.db2.gz UIRGGBOUQSYKNY-ZDUSSCGKSA-N 0 0 292.266 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(OC[C@H]3CCCCO3)nc2c1 ZINC001229485956 776826889 /nfs/dbraw/zinc/82/68/89/776826889.db2.gz CLMYRLULERPHOJ-SNVBAGLBSA-N 0 0 278.264 2.684 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2ccc([N+](=O)[O-])c(C)c2C)C1 ZINC001229883583 776909438 /nfs/dbraw/zinc/90/94/38/776909438.db2.gz WJGXBQOSYRIPEP-XYPYZODXSA-N 0 0 279.292 2.542 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1ccc([N+](=O)[O-])c(C)c1C ZINC001229880944 776909487 /nfs/dbraw/zinc/90/94/87/776909487.db2.gz KTZNAIHLRGPFII-VIFPVBQESA-N 0 0 279.292 2.708 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@H]1CCn2ccnc21 ZINC001229883217 776909924 /nfs/dbraw/zinc/90/99/24/776909924.db2.gz UHSKBDYPGIVRQQ-ZDUSSCGKSA-N 0 0 273.292 2.932 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])nc1Cl)c1cncnc1 ZINC001229919666 776918244 /nfs/dbraw/zinc/91/82/44/776918244.db2.gz OFGDRBXZZBTNLD-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1O[C@@H]1C=CCC1 ZINC001229960228 776925993 /nfs/dbraw/zinc/92/59/93/776925993.db2.gz JDUHHLGTZSOGQZ-SNVBAGLBSA-N 0 0 277.276 2.787 20 5 CFBDRN CC1=C[C@@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)CC(=O)O1 ZINC001229962344 776926323 /nfs/dbraw/zinc/92/63/23/776926323.db2.gz WQCNALICWWQSIU-SECBINFHSA-N 0 0 283.667 2.846 20 5 CFBDRN Cc1ncnc(O[C@H](C)c2cccc([N+](=O)[O-])c2)c1F ZINC001230019962 776941630 /nfs/dbraw/zinc/94/16/30/776941630.db2.gz RKALUMMBTZYISQ-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2nc3c[nH]cc([N+](=O)[O-])c-3n2)CC1 ZINC001230231699 776962271 /nfs/dbraw/zinc/96/22/71/776962271.db2.gz PVWIISCSZMNOOT-DTORHVGOSA-N 0 0 276.296 2.824 20 5 CFBDRN C[C@H](Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1)C1CCCC1 ZINC001230231349 776962324 /nfs/dbraw/zinc/96/23/24/776962324.db2.gz HVNIACMGLGZFJL-QMMMGPOBSA-N 0 0 276.296 2.824 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1O[C@H]1C=CCC1 ZINC001230246857 776965287 /nfs/dbraw/zinc/96/52/87/776965287.db2.gz WSBDSBIXCMMOPQ-NSHDSACASA-N 0 0 262.265 2.651 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1NC(C)=O ZINC001230246784 776965422 /nfs/dbraw/zinc/96/54/22/776965422.db2.gz TXBMDIMHBXETLN-SNVBAGLBSA-N 0 0 296.323 2.747 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC001230315787 776977372 /nfs/dbraw/zinc/97/73/72/776977372.db2.gz OUIAODWUUPHINS-LLVKDONJSA-N 0 0 282.252 2.640 20 5 CFBDRN C[C@@H](Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C1CCOCC1 ZINC001230312132 776977595 /nfs/dbraw/zinc/97/75/95/776977595.db2.gz CTZRMBONGFANFN-SECBINFHSA-N 0 0 296.279 2.697 20 5 CFBDRN CC(C)[C@@H](C)Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC001230316856 776978317 /nfs/dbraw/zinc/97/83/17/776978317.db2.gz ZBXUVBKQLVMUOM-MRVPVSSYSA-N 0 0 254.242 2.926 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385405 776980484 /nfs/dbraw/zinc/98/04/84/776980484.db2.gz RWBKTFNISAJLST-UFBFGSQYSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2c(Cl)nccc2[N+](=O)[O-])CCO1 ZINC001225432353 776985715 /nfs/dbraw/zinc/98/57/15/776985715.db2.gz CIMMOXYNVLLGNB-SFYZADRCSA-N 0 0 272.688 2.590 20 5 CFBDRN C[C@H]1C[C@H](Oc2c(Cl)nccc2[N+](=O)[O-])CCO1 ZINC001225432351 776985938 /nfs/dbraw/zinc/98/59/38/776985938.db2.gz CIMMOXYNVLLGNB-JGVFFNPUSA-N 0 0 272.688 2.590 20 5 CFBDRN COC(=O)C1CC(Oc2cc(C)c(C)c([N+](=O)[O-])c2)C1 ZINC001230471851 776996357 /nfs/dbraw/zinc/99/63/57/776996357.db2.gz SOOSNHQIMYMGMR-UHFFFAOYSA-N 0 0 279.292 2.542 20 5 CFBDRN CC[C@H](COC)Oc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492443 776999302 /nfs/dbraw/zinc/99/93/02/776999302.db2.gz OOZCBTQEEMGASL-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCCCC2=O)cc1[N+](=O)[O-] ZINC001230494389 776999803 /nfs/dbraw/zinc/99/98/03/776999803.db2.gz RNVUQYKTCHMBEB-GFCCVEGCSA-N 0 0 294.263 2.702 20 5 CFBDRN COC(=O)[C@@H](C)Oc1ccc2ccccc2c1[N+](=O)[O-] ZINC001230622996 777028746 /nfs/dbraw/zinc/02/87/46/777028746.db2.gz RQVURRLCOUCUHX-SECBINFHSA-N 0 0 275.260 2.688 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2cc(C)c([N+](=O)[O-])cc2C)C1 ZINC001230722987 777050682 /nfs/dbraw/zinc/05/06/82/777050682.db2.gz COHNBYPBNJGWLY-ZDUSSCGKSA-N 0 0 292.335 2.601 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC[C@H]1CCCO1 ZINC001230723520 777051047 /nfs/dbraw/zinc/05/10/47/777051047.db2.gz KRXYLUIBADSPDY-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@@H]1CCn2ccnc21 ZINC001230724531 777052161 /nfs/dbraw/zinc/05/21/61/777052161.db2.gz XKFKQAIBSLPWJC-GFCCVEGCSA-N 0 0 273.292 2.932 20 5 CFBDRN CC(C)Oc1ncccc1COc1ncccc1[N+](=O)[O-] ZINC001225598547 777070356 /nfs/dbraw/zinc/07/03/56/777070356.db2.gz WALPZIQLGXHLGI-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)nc(Cl)c1)C1CC1 ZINC001225603802 777076121 /nfs/dbraw/zinc/07/61/21/777076121.db2.gz FSPLYTSBNLOVLI-QMMMGPOBSA-N 0 0 277.107 2.823 20 5 CFBDRN CC1(C)C[C@H](Oc2ccc(Cl)nc2[N+](=O)[O-])CCO1 ZINC001230879283 777079353 /nfs/dbraw/zinc/07/93/53/777079353.db2.gz NHNJEWQSOAQAGS-MRVPVSSYSA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)nc1[N+](=O)[O-])c1cnccn1 ZINC001230882105 777081250 /nfs/dbraw/zinc/08/12/50/777081250.db2.gz CUCOIHWMPJSDEM-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ccc(Cl)nc1[N+](=O)[O-] ZINC001230886184 777082350 /nfs/dbraw/zinc/08/23/50/777082350.db2.gz YHPBVFBKBJDBDW-MRVPVSSYSA-N 0 0 270.672 2.534 20 5 CFBDRN CC(C)C1(O)CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000710672481 777095384 /nfs/dbraw/zinc/09/53/84/777095384.db2.gz QZLUSJQPADMQEG-UHFFFAOYSA-N 0 0 282.315 2.721 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC(O)(C(C)C)CC1 ZINC000710672873 777095412 /nfs/dbraw/zinc/09/54/12/777095412.db2.gz YHEVECXLMFIVSZ-UHFFFAOYSA-N 0 0 278.352 2.891 20 5 CFBDRN CC(=O)c1cc(Cl)cc([N+](=O)[O-])c1OC1CC1 ZINC001230931303 777096356 /nfs/dbraw/zinc/09/63/56/777096356.db2.gz WXQGOPBQOMZDEQ-UHFFFAOYSA-N 0 0 255.657 2.992 20 5 CFBDRN C[C@H](O)C(=O)Oc1cccc(-c2cccc([N+](=O)[O-])c2)c1 ZINC001230938727 777098218 /nfs/dbraw/zinc/09/82/18/777098218.db2.gz JTAJJIRZJWRHNS-JTQLQIEISA-N 0 0 287.271 2.548 20 5 CFBDRN C[C@@H](Oc1ncnc2cccc([N+](=O)[O-])c21)C1CC1 ZINC001231052292 777125899 /nfs/dbraw/zinc/12/58/99/777125899.db2.gz AOKNAGOTYPYVBK-MRVPVSSYSA-N 0 0 259.265 2.715 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(OC3CC(F)(F)C3)c21 ZINC001231052496 777126437 /nfs/dbraw/zinc/12/64/37/777126437.db2.gz CZPOKPYPVQVUMT-UHFFFAOYSA-N 0 0 281.218 2.715 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1c(C)ccc(C)c1[N+](=O)[O-] ZINC001231060363 777128227 /nfs/dbraw/zinc/12/82/27/777128227.db2.gz OFGCTUQJRCGJQN-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1OC(=O)CCC[C@H](C)O ZINC001231060071 777128425 /nfs/dbraw/zinc/12/84/25/777128425.db2.gz MHNVEOXWRLLUNX-NSHDSACASA-N 0 0 281.308 2.668 20 5 CFBDRN C[C@H](C[N+](C)(C)C)Oc1cccc2oc(=S)[n-]c21 ZINC001231064552 777129158 /nfs/dbraw/zinc/12/91/58/777129158.db2.gz GCLKSUSNMMKILC-SECBINFHSA-O 0 0 267.374 2.590 20 5 CFBDRN CCCOC[C@H](C)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225667120 777156841 /nfs/dbraw/zinc/15/68/41/777156841.db2.gz ONFJKONUEHQYNL-VIFPVBQESA-N 0 0 257.261 2.928 20 5 CFBDRN CO[C@@H](C)CCOc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231155901 777159497 /nfs/dbraw/zinc/15/94/97/777159497.db2.gz FQLXFBGGYKDURD-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN CC(C)OCCOc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231159758 777160548 /nfs/dbraw/zinc/16/05/48/777160548.db2.gz ZZZACWLLNABNFL-UHFFFAOYSA-N 0 0 276.292 2.947 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1cc(C)ccc1[N+](=O)[O-] ZINC001225682200 777178250 /nfs/dbraw/zinc/17/82/50/777178250.db2.gz ISBRIJQHOLZUCW-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN CC(=O)CCc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001225696678 777200923 /nfs/dbraw/zinc/20/09/23/777200923.db2.gz XRXHQASHFOSESA-OAHLLOKOSA-N 0 0 277.320 2.642 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC001225714024 777221828 /nfs/dbraw/zinc/22/18/28/777221828.db2.gz YJYKREYIVVASRN-LBPRGKRZSA-N 0 0 299.710 2.969 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225776872 777269198 /nfs/dbraw/zinc/26/91/98/777269198.db2.gz ZCZBTSMGLVOYSC-NSHDSACASA-N 0 0 271.219 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCC=CO2)c(F)c1 ZINC001225943061 777343918 /nfs/dbraw/zinc/34/39/18/777343918.db2.gz XCWXZTBUJUISIB-JTQLQIEISA-N 0 0 253.229 2.805 20 5 CFBDRN C[C@@H](Oc1c(F)c(F)ccc1[N+](=O)[O-])c1cnccn1 ZINC001225964422 777350152 /nfs/dbraw/zinc/35/01/52/777350152.db2.gz ZUMMDQCNQPNZLD-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H](C)c2ccsc2)n1 ZINC001270430886 777382107 /nfs/dbraw/zinc/38/21/07/777382107.db2.gz FVJFHKLHQUPLEJ-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@H]2CCCC3(C2)OCCO3)cc1 ZINC000712825943 777390216 /nfs/dbraw/zinc/39/02/16/777390216.db2.gz DLNRNIOZMYSLKM-AWEZNQCLSA-N 0 0 293.319 2.797 20 5 CFBDRN CC(=O)Nc1ccc(NCC(C)C)cc1[N+](=O)[O-] ZINC001161651042 777427698 /nfs/dbraw/zinc/42/76/98/777427698.db2.gz PZQGUTIYSQEHNU-UHFFFAOYSA-N 0 0 251.286 2.621 20 5 CFBDRN O=C(NCc1cc(Cl)ccn1)c1ccc([N+](=O)[O-])s1 ZINC000344661200 777443395 /nfs/dbraw/zinc/44/33/95/777443395.db2.gz PUVGFYAVJGEFJH-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1C(N)=O)C1CCCC1 ZINC001226174452 777467594 /nfs/dbraw/zinc/46/75/94/777467594.db2.gz ZRXVUUHJMVYPMI-VIFPVBQESA-N 0 0 278.308 2.651 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(NCCC(C)(C)C)n1 ZINC001161673276 777501689 /nfs/dbraw/zinc/50/16/89/777501689.db2.gz BUUOJTXWWAJZEZ-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001226365161 777517930 /nfs/dbraw/zinc/51/79/30/777517930.db2.gz ZNMTXMCMMFUORF-RNLVFQAGSA-N 0 0 254.673 2.821 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2ncccn2)c1 ZINC001226443609 777540760 /nfs/dbraw/zinc/54/07/60/777540760.db2.gz XXKCVTWLXFCPGK-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CC[C@@H](C)Nc1cc(C)c(OCC(=O)OC)c([N+](=O)[O-])c1 ZINC001168003386 777567900 /nfs/dbraw/zinc/56/79/00/777567900.db2.gz LZFHGTFBKOCGKT-SNVBAGLBSA-N 0 0 296.323 2.665 20 5 CFBDRN CCOC(=O)c1ccc(N[C@@H](C)CC)c([N+](=O)[O-])c1 ZINC001168003665 777568217 /nfs/dbraw/zinc/56/82/17/777568217.db2.gz POLBXPCWAIVCBG-VIFPVBQESA-N 0 0 266.297 2.982 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N[C@@H]2CCO[C@H](C)C2)nc1Cl ZINC001161691470 777573869 /nfs/dbraw/zinc/57/38/69/777573869.db2.gz OKVGWZGRWILDAV-RKDXNWHRSA-N 0 0 285.731 2.931 20 5 CFBDRN CC[C@H](Oc1cc(OC)c([N+](=O)[O-])cn1)C(C)C ZINC001226578329 777579761 /nfs/dbraw/zinc/57/97/61/777579761.db2.gz GIFZZHQOXAETSP-JTQLQIEISA-N 0 0 254.286 2.812 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N[C@@H]2CCO[C@H](C)C2)n1 ZINC001161692836 777585254 /nfs/dbraw/zinc/58/52/54/777585254.db2.gz YSRVEPMWIYWJES-RKDXNWHRSA-N 0 0 285.731 2.931 20 5 CFBDRN CCOC(=O)[C@H](Oc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC001226804692 777655803 /nfs/dbraw/zinc/65/58/03/777655803.db2.gz MEDNUQJIQHZOOT-GFCCVEGCSA-N 0 0 299.710 2.969 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2c(C)cccc2[N+](=O)[O-])=C1C ZINC001226968785 777713721 /nfs/dbraw/zinc/71/37/21/777713721.db2.gz IQNPPVKWAJRERV-NSHDSACASA-N 0 0 277.276 2.891 20 5 CFBDRN Cc1ccc(O[C@H]2CCCC[C@@H]2F)c([N+](=O)[O-])n1 ZINC001227223712 777792530 /nfs/dbraw/zinc/79/25/30/777792530.db2.gz ONUHIURGMUBHOP-UWVGGRQHSA-N 0 0 254.261 2.958 20 5 CFBDRN CSc1cccc(C(=O)N(C)c2ccon2)c1[N+](=O)[O-] ZINC001277778335 777828718 /nfs/dbraw/zinc/82/87/18/777828718.db2.gz VUTSQAHBKNBBLM-UHFFFAOYSA-N 0 0 293.304 2.581 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(O)cc1Cl)c1cccnc1 ZINC001227445531 777841920 /nfs/dbraw/zinc/84/19/20/777841920.db2.gz GNGFFBHNTPLTMU-ZDUSSCGKSA-N 0 0 294.694 2.837 20 5 CFBDRN CC[C@H](C)[C@H](C)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227691888 777864782 /nfs/dbraw/zinc/86/47/82/777864782.db2.gz RPRVUYHPMFUKNU-YUMQZZPRSA-N 0 0 264.285 2.680 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1c(OC)cccc1[N+](=O)[O-] ZINC001227700701 777865821 /nfs/dbraw/zinc/86/58/21/777865821.db2.gz GAXUSSJLCINYBV-SNVBAGLBSA-N 0 0 297.307 2.714 20 5 CFBDRN Cc1noc(NC(=O)c2c(F)cccc2[N+](=O)[O-])c1C ZINC000714059655 777896733 /nfs/dbraw/zinc/89/67/33/777896733.db2.gz GNGBUHSCHLPBKF-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN CC(=O)c1cc(O[C@H](C)c2ncccn2)ccc1[N+](=O)[O-] ZINC001233495596 777968649 /nfs/dbraw/zinc/96/86/49/777968649.db2.gz IHPOYMRNSSILHJ-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CC(=O)c1cc(O[C@H]2CCn3ccnc32)ccc1[N+](=O)[O-] ZINC001233496568 777968721 /nfs/dbraw/zinc/96/87/21/777968721.db2.gz WXVKBKWFAJRAML-ZDUSSCGKSA-N 0 0 287.275 2.518 20 5 CFBDRN CC(C)OCCOc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233500413 777971014 /nfs/dbraw/zinc/97/10/14/777971014.db2.gz RSFLHJZLRNRMMZ-UHFFFAOYSA-N 0 0 299.714 2.928 20 5 CFBDRN CC(C)Oc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233500982 777972792 /nfs/dbraw/zinc/97/27/92/777972792.db2.gz WNZJWLTWEAFOJL-UHFFFAOYSA-N 0 0 255.661 2.912 20 5 CFBDRN CCC[C@H](CC(=O)OCC)Oc1c(C)ccnc1[N+](=O)[O-] ZINC001233553162 777988407 /nfs/dbraw/zinc/98/84/07/777988407.db2.gz AESVHZLMVQPGKX-LLVKDONJSA-N 0 0 296.323 2.799 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H]1CCCC[C@@H]1F ZINC001233557295 777990734 /nfs/dbraw/zinc/99/07/34/777990734.db2.gz SEMVNDGLZQBCSW-UWVGGRQHSA-N 0 0 254.261 2.958 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228003112 777993163 /nfs/dbraw/zinc/99/31/63/777993163.db2.gz URXSBXPLJQVGLB-ZKCHVHJHSA-N 0 0 285.683 2.579 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCOC2CCCC2)c1[N+](=O)[O-] ZINC001233577620 777996369 /nfs/dbraw/zinc/99/63/69/777996369.db2.gz JLQVIMQUDUSHEP-UHFFFAOYSA-N 0 0 296.279 2.841 20 5 CFBDRN CC[C@@H](COc1cc(F)c([N+](=O)[O-])cc1F)OC ZINC001233600092 778007218 /nfs/dbraw/zinc/00/72/18/778007218.db2.gz OFLMEBLZFKRATQ-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2ncc(F)cc21)C1CC1 ZINC001233637450 778020740 /nfs/dbraw/zinc/02/07/40/778020740.db2.gz UWZCDSSJSBMXCC-CQSZACIVSA-N 0 0 276.267 2.808 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC001228238342 778028773 /nfs/dbraw/zinc/02/87/73/778028773.db2.gz VHECKVLFLCLTKF-NSHDSACASA-N 0 0 279.292 2.708 20 5 CFBDRN C[C@H](Oc1c(F)cc(F)cc1[N+](=O)[O-])c1ncccn1 ZINC001233696759 778045637 /nfs/dbraw/zinc/04/56/37/778045637.db2.gz UABYTHJWENMVSC-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@H](Oc1c(F)cc(F)cc1[N+](=O)[O-])c1cnccn1 ZINC001233699886 778047404 /nfs/dbraw/zinc/04/74/04/778047404.db2.gz WKMNAGMTCLHAOQ-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(O[C@H]2COc3cnccc32)cc1F ZINC001233752504 778063755 /nfs/dbraw/zinc/06/37/55/778063755.db2.gz CROLSXHDUYJYCG-LBPRGKRZSA-N 0 0 294.213 2.781 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(F)c1F)c1cncnc1 ZINC001233765262 778069313 /nfs/dbraw/zinc/06/93/13/778069313.db2.gz SPLXTFYKGCTAGO-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1OC[C@H]1CCCO1 ZINC001233779044 778075261 /nfs/dbraw/zinc/07/52/61/778075261.db2.gz OUOXQAQMWSDMBW-SNVBAGLBSA-N 0 0 274.276 2.701 20 5 CFBDRN CC[C@H](COC)Oc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233779108 778076015 /nfs/dbraw/zinc/07/60/15/778076015.db2.gz PJIDZLWGNYPNIX-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CC[C@@H](COc1c2cccnc2ccc1[N+](=O)[O-])OC ZINC001233786986 778077255 /nfs/dbraw/zinc/07/72/55/778077255.db2.gz TWRBFCDYEZDCAF-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(O[C@@H]3CCCOC3)nc21 ZINC001233835900 778091166 /nfs/dbraw/zinc/09/11/66/778091166.db2.gz GGTBHPCWJHGQLE-LLVKDONJSA-N 0 0 274.276 2.701 20 5 CFBDRN CO[C@H](C)COc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233837134 778091619 /nfs/dbraw/zinc/09/16/19/778091619.db2.gz VBWNTWYUDYSAJP-SECBINFHSA-N 0 0 262.265 2.557 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(O[C@@H]3CCOC[C@H]3F)nc21 ZINC001233840157 778092476 /nfs/dbraw/zinc/09/24/76/778092476.db2.gz BWWITSUGIZRIIK-ZYHUDNBSSA-N 0 0 292.266 2.649 20 5 CFBDRN C[C@H](COc1ccnc([N+](=O)[O-])c1)OCc1ccccc1 ZINC001233857916 778097152 /nfs/dbraw/zinc/09/71/52/778097152.db2.gz PRDGJZSTIQFXQW-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN COC(=O)c1cnc(O[C@@H](C)CCC(C)C)c([N+](=O)[O-])c1 ZINC001233860501 778098956 /nfs/dbraw/zinc/09/89/56/778098956.db2.gz AJFWCUMYXPAWAE-JTQLQIEISA-N 0 0 296.323 2.980 20 5 CFBDRN CCCC[C@@H](C)Oc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC001233861971 778099221 /nfs/dbraw/zinc/09/92/21/778099221.db2.gz MHQUYYDBDXGXCM-SECBINFHSA-N 0 0 282.296 2.734 20 5 CFBDRN CC[C@H](C)Oc1ccc(CC[N+](=O)[O-])cc1OC ZINC001233982870 778129751 /nfs/dbraw/zinc/12/97/51/778129751.db2.gz NCQIJSCFISMUHH-JTQLQIEISA-N 0 0 253.298 2.692 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC001234049476 778153123 /nfs/dbraw/zinc/15/31/23/778153123.db2.gz WVCVKVRUNAEWOM-TVQRCGJNSA-N 0 0 279.292 2.949 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cc([N+](=O)[O-])c(C)cc2F)C1 ZINC001234061590 778155099 /nfs/dbraw/zinc/15/50/99/778155099.db2.gz VMESMJOUTUODGV-DEZZCRIOSA-N 0 0 297.282 2.763 20 5 CFBDRN Cc1cc(F)c(O[C@H](C)c2cncnc2)cc1[N+](=O)[O-] ZINC001234060811 778155434 /nfs/dbraw/zinc/15/54/34/778155434.db2.gz QYTGEKIZAHFSBV-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCC[C@H](O)C1CC1 ZINC000897384057 778171091 /nfs/dbraw/zinc/17/10/91/778171091.db2.gz GKLLBRIZXUNKKJ-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN CSc1cccc(O[C@H](C[N+](=O)[O-])C2CC2)c1F ZINC001234254019 778194071 /nfs/dbraw/zinc/19/40/71/778194071.db2.gz QNHSRIPPMAEWCU-SNVBAGLBSA-N 0 0 271.313 2.982 20 5 CFBDRN CC(C)Oc1cccc(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234289171 778201266 /nfs/dbraw/zinc/20/12/66/778201266.db2.gz ZOOJZCCIGBJNEE-CQSZACIVSA-N 0 0 265.309 2.908 20 5 CFBDRN C[C@H](CNC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1CC1 ZINC000127523610 778202027 /nfs/dbraw/zinc/20/20/27/778202027.db2.gz ZWTKVJAUDNPJTF-SSDOTTSWSA-N 0 0 297.742 2.606 20 5 CFBDRN C[C@@H](Cc1ccccc1)Oc1cnccc1[N+](=O)[O-] ZINC001228879489 778205074 /nfs/dbraw/zinc/20/50/74/778205074.db2.gz GYHURPBTUSDWTQ-NSHDSACASA-N 0 0 258.277 3.000 20 5 CFBDRN COc1ccnnc1O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001234417473 778220324 /nfs/dbraw/zinc/22/03/24/778220324.db2.gz ZHSYHJNSZCLGSZ-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1ccc(CC(=O)Nc2cc([N+](=O)[O-])ccc2F)cn1 ZINC000127642738 778228752 /nfs/dbraw/zinc/22/87/52/778228752.db2.gz SRDIZVRGXBLTHS-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2O[C@H]1CCOC[C@H]1F ZINC001234677846 778287268 /nfs/dbraw/zinc/28/72/68/778287268.db2.gz ZNQJXAJBNNWDTM-YPMHNXCESA-N 0 0 292.266 2.649 20 5 CFBDRN CC[C@H](C)Oc1c(C(C)=O)ccc(NC(C)=O)c1[N+](=O)[O-] ZINC001234682867 778287331 /nfs/dbraw/zinc/28/73/31/778287331.db2.gz XXVZQKVXYGGHJD-QMMMGPOBSA-N 0 0 294.307 2.933 20 5 CFBDRN Cc1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c(Cl)n1 ZINC001229428042 778302948 /nfs/dbraw/zinc/30/29/48/778302948.db2.gz CBLJJZYZCYEPTH-LBPRGKRZSA-N 0 0 293.710 2.835 20 5 CFBDRN Cc1ccc(Nc2cc(F)ccc2C(N)=O)c([N+](=O)[O-])c1 ZINC001214174230 778309267 /nfs/dbraw/zinc/30/92/67/778309267.db2.gz LXRGVDDTZBBJOE-UHFFFAOYSA-N 0 0 289.266 2.885 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C(C)C)C(F)(F)F)n1 ZINC001234879077 778319926 /nfs/dbraw/zinc/31/99/26/778319926.db2.gz MAFFCEBETKCLPE-VIFPVBQESA-N 0 0 294.229 2.964 20 5 CFBDRN Cc1nc(Cl)nc(N2CCC[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000300485412 778352185 /nfs/dbraw/zinc/35/21/85/778352185.db2.gz GJPYYZJGLKVWGR-VXNVDRBHSA-N 0 0 284.747 2.971 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)O[C@H](C)c1nccs1 ZINC001224005241 778385867 /nfs/dbraw/zinc/38/58/67/778385867.db2.gz DGTJMIXJTORIBM-RKDXNWHRSA-N 0 0 296.352 2.723 20 5 CFBDRN CCC(F)(F)CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000697640689 778409218 /nfs/dbraw/zinc/40/92/18/778409218.db2.gz RYIYAIQUGWEZQM-UHFFFAOYSA-N 0 0 272.211 2.588 20 5 CFBDRN CC(C)N1CC(Nc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001168315804 778426756 /nfs/dbraw/zinc/42/67/56/778426756.db2.gz XHAGUXIDTBWSHQ-UHFFFAOYSA-N 0 0 269.732 2.753 20 5 CFBDRN CCC1(CNc2cc(F)c(OC)c([N+](=O)[O-])c2)COC1 ZINC001168331845 778435788 /nfs/dbraw/zinc/43/57/88/778435788.db2.gz BBULTQYLOVHMKT-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)OCC(C)(C)C ZINC001204887221 778441547 /nfs/dbraw/zinc/44/15/47/778441547.db2.gz RDQDBBYBZSUEAL-SECBINFHSA-N 0 0 255.318 2.551 20 5 CFBDRN Cc1c(F)cc([N+](=O)[O-])cc1NC[C@H]1C[C@@](C)(O)C1 ZINC001168344323 778443294 /nfs/dbraw/zinc/44/32/94/778443294.db2.gz LQLWWERZRZOTCL-SWZMTVOYSA-N 0 0 268.288 2.615 20 5 CFBDRN COC(CCO[C@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC001224342524 778477489 /nfs/dbraw/zinc/47/74/89/778477489.db2.gz PQMPPKVZSBBIAJ-SNVBAGLBSA-N 0 0 269.297 2.681 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000031377741 778641226 /nfs/dbraw/zinc/64/12/26/778641226.db2.gz PWAYJXIICAAZAK-UHFFFAOYSA-N 0 0 295.295 2.621 20 5 CFBDRN C[C@H](OCCC1OCCCO1)c1cccc([N+](=O)[O-])c1 ZINC001224728270 778648971 /nfs/dbraw/zinc/64/89/71/778648971.db2.gz RGDVRJBCIXNHCY-NSHDSACASA-N 0 0 281.308 2.826 20 5 CFBDRN CC(=O)OC[C@H](C)CO[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001224798757 778691379 /nfs/dbraw/zinc/69/13/79/778691379.db2.gz LEEANDBJWKXNPW-MNOVXSKESA-N 0 0 281.308 2.872 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)c1cccc(Cl)c1[N+](=O)[O-] ZINC000721240724 778739457 /nfs/dbraw/zinc/73/94/57/778739457.db2.gz YJAWWOMHVZGTBU-JTQLQIEISA-N 0 0 280.711 2.921 20 5 CFBDRN COc1ccc(C(=O)OCC=C(C)C)cc1[N+](=O)[O-] ZINC000122102752 778997574 /nfs/dbraw/zinc/99/75/74/778997574.db2.gz RZKVWSSOZLJZAJ-UHFFFAOYSA-N 0 0 265.265 2.726 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H]1F ZINC001120902016 779002620 /nfs/dbraw/zinc/00/26/20/779002620.db2.gz XDFDZGYXOWRHKC-IUCAKERBSA-N 0 0 252.245 2.508 20 5 CFBDRN CCCO[C@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC001205098205 779193207 /nfs/dbraw/zinc/19/32/07/779193207.db2.gz AFFJBBIFHLIESG-NSHDSACASA-N 0 0 250.298 2.600 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC[C@H]2C[C@H]2C1 ZINC000699203146 779213103 /nfs/dbraw/zinc/21/31/03/779213103.db2.gz DBGWVPKZNJWXSP-QWRGUYRKSA-N 0 0 260.293 2.644 20 5 CFBDRN CCCOCCOC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC001122701703 779285991 /nfs/dbraw/zinc/28/59/91/779285991.db2.gz WACVWEXQAFJHFL-ZIAGYGMSSA-N 0 0 293.319 2.668 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2nccnc2C2CC2)c1 ZINC000699529424 779289245 /nfs/dbraw/zinc/28/92/45/779289245.db2.gz XTTHYZJUFZLITN-UHFFFAOYSA-N 0 0 270.292 2.874 20 5 CFBDRN C/C=C(\C)C(=O)N(CC)CCNc1ccc([N+](=O)[O-])cc1 ZINC001106732552 779356761 /nfs/dbraw/zinc/35/67/61/779356761.db2.gz LWNZWDIWPBBQIG-UUILKARUSA-N 0 0 291.351 2.821 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)Cc1cccc(Cl)c1F ZINC001123354884 779392509 /nfs/dbraw/zinc/39/25/09/779392509.db2.gz GRYVYNMAMBDJKJ-VIFPVBQESA-N 0 0 289.690 2.620 20 5 CFBDRN Cc1cc(NC(=O)c2c(Cl)cnn2C)ccc1[N+](=O)[O-] ZINC001127549555 779528237 /nfs/dbraw/zinc/52/82/37/779528237.db2.gz QNSFXCUVJABCDT-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1NCCOc1cccnc1 ZINC001168617714 780092832 /nfs/dbraw/zinc/09/28/32/780092832.db2.gz ACRKZBLRESHNTM-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1c(F)c([N+](=O)[O-])cc[n+]1[O-] ZINC001168691749 780344292 /nfs/dbraw/zinc/34/42/92/780344292.db2.gz CYPZUECXSLDPFW-RKDXNWHRSA-N 0 0 271.292 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nnc(C3CC3)o2)c1 ZINC001117196861 780389226 /nfs/dbraw/zinc/38/92/26/780389226.db2.gz MBQQJJMQQODFCE-UHFFFAOYSA-N 0 0 261.237 2.531 20 5 CFBDRN COc1cc(N[C@@H](C)CCCC(C)(C)O)cnc1[N+](=O)[O-] ZINC001168706257 780443779 /nfs/dbraw/zinc/44/37/79/780443779.db2.gz UZYZJWUGGKVOTM-JTQLQIEISA-N 0 0 297.355 2.740 20 5 CFBDRN CCN(Cc1cccc(Cl)c1)Cn1nccc1[N+](=O)[O-] ZINC000123980672 780549631 /nfs/dbraw/zinc/54/96/31/780549631.db2.gz VTZKGZUSVQAOSB-UHFFFAOYSA-N 0 0 294.742 2.924 20 5 CFBDRN C[C@H]1CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@H]1CO ZINC000700426671 780688920 /nfs/dbraw/zinc/68/89/20/780688920.db2.gz OATKVTSPEQDTFP-JQWIXIFHSA-N 0 0 298.770 2.699 20 5 CFBDRN CC(C)(C)C(=O)CNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000701221398 780778715 /nfs/dbraw/zinc/77/87/15/780778715.db2.gz RNIVFEDLMDOYHO-UHFFFAOYSA-N 0 0 298.726 2.593 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@@H](F)C1 ZINC000701897745 780850374 /nfs/dbraw/zinc/85/03/74/780850374.db2.gz URKNECSKVKRNOJ-SECBINFHSA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H]2CC2(F)F)n1 ZINC000701939460 780853649 /nfs/dbraw/zinc/85/36/49/780853649.db2.gz RNFILKRQNNKGPA-MRVPVSSYSA-N 0 0 257.240 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000701963798 780857682 /nfs/dbraw/zinc/85/76/82/780857682.db2.gz AYHYLAYSYKEODR-SSDOTTSWSA-N 0 0 287.222 2.960 20 5 CFBDRN CO[C@@]1(C)C[C@H]1NCc1cc([N+](=O)[O-])ccc1Cl ZINC000694429938 780910877 /nfs/dbraw/zinc/91/08/77/780910877.db2.gz HSOUUMJSNSKRSI-NEPJUHHUSA-N 0 0 270.716 2.515 20 5 CFBDRN C[C@H](F)CCNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000702569651 780933449 /nfs/dbraw/zinc/93/34/49/780933449.db2.gz IESGISNCUZTJLH-ZETCQYMHSA-N 0 0 274.679 2.726 20 5 CFBDRN Cc1cc(NC(=O)c2c(F)cccc2[N+](=O)[O-])sn1 ZINC001129038417 781097206 /nfs/dbraw/zinc/09/72/06/781097206.db2.gz AZFHGQKOCIIHQI-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCSC2)nc1 ZINC000704816221 781120591 /nfs/dbraw/zinc/12/05/91/781120591.db2.gz XZVDRULUZDWEIR-SECBINFHSA-N 0 0 253.327 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCSC2)nc1 ZINC000704816223 781121213 /nfs/dbraw/zinc/12/12/13/781121213.db2.gz XZVDRULUZDWEIR-VIFPVBQESA-N 0 0 253.327 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCSC2)c2nonc21 ZINC000704844240 781124182 /nfs/dbraw/zinc/12/41/82/781124182.db2.gz YVIVOVWMVFXPMO-MRVPVSSYSA-N 0 0 294.336 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C[C@H]2C2CC2)c2nonc21 ZINC000704947433 781128190 /nfs/dbraw/zinc/12/81/90/781128190.db2.gz ORMVMCYLIITCPX-IUCAKERBSA-N 0 0 274.280 2.589 20 5 CFBDRN CCCN(CC(C)(C)O)c1c(F)cc([N+](=O)[O-])cc1F ZINC000707920985 781259268 /nfs/dbraw/zinc/25/92/68/781259268.db2.gz KKOPTDMHYSVODC-UHFFFAOYSA-N 0 0 288.294 2.860 20 5 CFBDRN CC[N@H+](Cc1cc(Cl)ccc1[N+](=O)[O-])[C@@H](C)C(=O)[O-] ZINC000707971345 781260365 /nfs/dbraw/zinc/26/03/65/781260365.db2.gz AIGXSYGXTZDBNF-QMMMGPOBSA-N 0 0 286.715 2.543 20 5 CFBDRN CC1(C)CO[C@H](COc2ccc([N+](=O)[O-])cc2C=O)C1 ZINC000708374447 781271757 /nfs/dbraw/zinc/27/17/57/781271757.db2.gz CFPRMTHCDITEBL-LBPRGKRZSA-N 0 0 279.292 2.601 20 5 CFBDRN CC1(C)C[C@@H](CNc2ccc([N+](=O)[O-])nc2)C(C)(C)O1 ZINC000709766564 781308111 /nfs/dbraw/zinc/30/81/11/781308111.db2.gz PCDGNNIMWRAONN-JTQLQIEISA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1cn(C)cn1 ZINC000710152926 781329313 /nfs/dbraw/zinc/32/93/13/781329313.db2.gz HMHCTYIYKCHBRS-WDEREUQCSA-N 0 0 274.324 2.740 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCCC(C)(C)F)c1F ZINC000711057517 781355790 /nfs/dbraw/zinc/35/57/90/781355790.db2.gz DCFDAIZAPVGNGI-UHFFFAOYSA-N 0 0 286.278 2.910 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCCOC2CC2)c1 ZINC000711688477 781464830 /nfs/dbraw/zinc/46/48/30/781464830.db2.gz LTBKSPNPSNAPMJ-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN Nc1c(F)c(NC[C@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000711720778 781468231 /nfs/dbraw/zinc/46/82/31/781468231.db2.gz WMCPLRPCWWHMSZ-QMMMGPOBSA-N 0 0 251.261 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CCC2)[C@@H]2CCOC2)cn1 ZINC000711846502 781476891 /nfs/dbraw/zinc/47/68/91/781476891.db2.gz HOGBBICLOKBKAN-RISCZKNCSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCCO2)c(Cl)n1 ZINC001229915317 781477246 /nfs/dbraw/zinc/47/72/46/781477246.db2.gz NIEIUEHNHMUOKV-QMMMGPOBSA-N 0 0 272.688 2.591 20 5 CFBDRN COC(C)(C)CCNc1ncc([N+](=O)[O-])cc1Cl ZINC000711857482 781478318 /nfs/dbraw/zinc/47/83/18/781478318.db2.gz ZBPUXSQDDOSXCX-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN COC(C)(C)CCNc1ncc([N+](=O)[O-])cc1C ZINC000711849572 781478513 /nfs/dbraw/zinc/47/85/13/781478513.db2.gz VEQBJYAIUXNPEN-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])nc1Cl)c1ncccn1 ZINC001229916004 781500186 /nfs/dbraw/zinc/50/01/86/781500186.db2.gz VCXREKDCPDPQTH-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN COc1ccc(-c2noc(-c3ccc([N+](=O)[O-])o3)n2)cc1 ZINC001212570261 781506210 /nfs/dbraw/zinc/50/62/10/781506210.db2.gz VSWAPRXTMKWQBV-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN COc1ccccc1-c1noc(-c2ccc([N+](=O)[O-])o2)n1 ZINC001212570278 781507812 /nfs/dbraw/zinc/50/78/12/781507812.db2.gz WNIXOBVBHQMISN-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN Cc1cc(C)c(-c2noc(-c3ccc([N+](=O)[O-])o3)n2)cn1 ZINC001212570276 781507819 /nfs/dbraw/zinc/50/78/19/781507819.db2.gz WLUGDNCELDIIEN-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN Cc1cnc(N2CC[C@@H](F)C(F)(F)CC2)c([N+](=O)[O-])c1 ZINC001163772265 781569426 /nfs/dbraw/zinc/56/94/26/781569426.db2.gz JSDGRORUICLISZ-SNVBAGLBSA-N 0 0 289.257 2.872 20 5 CFBDRN C[C@]1(F)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H]1F ZINC001164327005 781706105 /nfs/dbraw/zinc/70/61/05/781706105.db2.gz MBZNTSNVFNICDZ-ONGXEEELSA-N 0 0 291.685 2.920 20 5 CFBDRN CC(C)[C@H]1COCCN1c1cc([N+](=O)[O-])cc(Cl)n1 ZINC001167000674 781828173 /nfs/dbraw/zinc/82/81/73/781828173.db2.gz UAKMBUSFYIMGGZ-SNVBAGLBSA-N 0 0 285.731 2.504 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1O[C@@H](C)C1CC1 ZINC001229957848 781835261 /nfs/dbraw/zinc/83/52/61/781835261.db2.gz MJAQYMBVZTXHDD-VIFPVBQESA-N 0 0 279.292 2.867 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1CN1CC[C@H]2C[C@H]21 ZINC001119691484 781853265 /nfs/dbraw/zinc/85/32/65/781853265.db2.gz JQFQEBCSLDWESQ-QPUJVOFHSA-N 0 0 297.152 2.952 20 5 CFBDRN CCCC(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000822752086 781909330 /nfs/dbraw/zinc/90/93/30/781909330.db2.gz IOEBEEOJHBVQPQ-UHFFFAOYSA-N 0 0 252.270 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCC[C@@H]4C[C@@H]43)no2)nc1 ZINC000594538163 349443481 /nfs/dbraw/zinc/44/34/81/349443481.db2.gz UETSUUWGWHVHNQ-IEBDPFPHSA-N 0 0 286.291 2.943 20 5 CFBDRN CC(C)Oc1nc(N2CC(C3CC3)C2)ccc1[N+](=O)[O-] ZINC000594582276 349451411 /nfs/dbraw/zinc/45/14/11/349451411.db2.gz OPKYVSJFTPQORJ-UHFFFAOYSA-N 0 0 277.324 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CC2)[C@H]2CCCCO2)nc1 ZINC000594590919 349453816 /nfs/dbraw/zinc/45/38/16/349453816.db2.gz OICINRQDTHXRBI-OCCSQVGLSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H](C1CC1)[C@@H]1CCCCO1 ZINC000594591293 349454060 /nfs/dbraw/zinc/45/40/60/349454060.db2.gz QHHKYUGINARDSV-STQMWFEESA-N 0 0 277.324 2.749 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2F)C1 ZINC000594594256 349454467 /nfs/dbraw/zinc/45/44/67/349454467.db2.gz RRFZBGLELJHSLO-CZMCAQCFSA-N 0 0 283.303 2.887 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@H](OC2CCC2)C1 ZINC000594595406 349455329 /nfs/dbraw/zinc/45/53/29/349455329.db2.gz XTRRQSOAAQONQF-CQSZACIVSA-N 0 0 291.351 2.836 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@@H]1CCCCO1 ZINC000594597672 349456213 /nfs/dbraw/zinc/45/62/13/349456213.db2.gz DQXXFSFGSFXUCO-NEPJUHHUSA-N 0 0 265.313 2.749 20 5 CFBDRN CC(C)OC(=O)C[C@H](Nc1ncccc1[N+](=O)[O-])C(C)C ZINC000594603220 349458960 /nfs/dbraw/zinc/45/89/60/349458960.db2.gz VBRNYMZVUIXDKW-NSHDSACASA-N 0 0 295.339 2.768 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000067548228 346808822 /nfs/dbraw/zinc/80/88/22/346808822.db2.gz OFBZGGSNCBSYIL-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NCC1CCCCC1 ZINC000067536676 346808922 /nfs/dbraw/zinc/80/89/22/346808922.db2.gz BVNIPHVOLNEKSX-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN Cc1ccc(CN2CC3(CC3(F)F)C2)cc1[N+](=O)[O-] ZINC000594608585 349461814 /nfs/dbraw/zinc/46/18/14/349461814.db2.gz DZWKLNWVRFMHRC-UHFFFAOYSA-N 0 0 268.263 2.744 20 5 CFBDRN Cn1c2ccc([N+](=O)[O-])cc2nc1-c1ccnn1CC1CC1 ZINC000594661047 349470788 /nfs/dbraw/zinc/47/07/88/349470788.db2.gz KRHPSJPTILMIMG-UHFFFAOYSA-N 0 0 297.318 2.755 20 5 CFBDRN CC(C)=CCCn1cc(Br)c([N+](=O)[O-])n1 ZINC000594886596 349493832 /nfs/dbraw/zinc/49/38/32/349493832.db2.gz XOLOIFMGZPOFJC-UHFFFAOYSA-N 0 0 274.118 2.910 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000594878585 349491387 /nfs/dbraw/zinc/49/13/87/349491387.db2.gz FXORYZZCEZMUOW-CYBMUJFWSA-N 0 0 251.282 2.789 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H](OC)C1CCC1 ZINC000594879539 349492520 /nfs/dbraw/zinc/49/25/20/349492520.db2.gz WUYLOGSEDOFSTI-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN COc1cccc(SCCn2cnc([N+](=O)[O-])c2)c1 ZINC000594885333 349493183 /nfs/dbraw/zinc/49/31/83/349493183.db2.gz CARFSZDJXLLRJV-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN CO[C@H]1CC[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000594730954 349482144 /nfs/dbraw/zinc/48/21/44/349482144.db2.gz ZPMKZQTZFUCVHY-JQWIXIFHSA-N 0 0 289.291 2.927 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CCC1CCC1 ZINC000594886915 349493787 /nfs/dbraw/zinc/49/37/87/349493787.db2.gz DMTKDSXONAMAIB-UHFFFAOYSA-N 0 0 256.689 2.600 20 5 CFBDRN O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1CC1CC=CC1 ZINC000594888316 349494323 /nfs/dbraw/zinc/49/43/23/349494323.db2.gz WXWYHCUWOSYLCZ-UHFFFAOYSA-N 0 0 297.314 2.785 20 5 CFBDRN CC(C)=CCCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000594887444 349494580 /nfs/dbraw/zinc/49/45/80/349494580.db2.gz LSSJNZJPGBSQCD-UHFFFAOYSA-N 0 0 256.689 2.766 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1CCC1CCC1 ZINC000594892087 349495993 /nfs/dbraw/zinc/49/59/93/349495993.db2.gz WVXQAMGWHVYGAW-UHFFFAOYSA-N 0 0 262.265 2.693 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@]1(OC(C)C)CCOC1 ZINC000594893701 349496876 /nfs/dbraw/zinc/49/68/76/349496876.db2.gz HWFFCJVAUWZTAB-OAHLLOKOSA-N 0 0 295.335 2.866 20 5 CFBDRN CO[C@H](COc1ncc(C)cc1[N+](=O)[O-])C1CCCC1 ZINC000594896597 349497745 /nfs/dbraw/zinc/49/77/45/349497745.db2.gz WNMZFYLISLUHEP-CYBMUJFWSA-N 0 0 280.324 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1CO ZINC000594895798 349497802 /nfs/dbraw/zinc/49/78/02/349497802.db2.gz VGRPAKKZMDSASL-UTUOFQBUSA-N 0 0 277.320 2.902 20 5 CFBDRN Cc1ccnc(COc2c(C)c(C)ncc2[N+](=O)[O-])c1 ZINC000594902644 349499549 /nfs/dbraw/zinc/49/95/49/349499549.db2.gz LKRZDCHNWILORC-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN O=[N+]([O-])c1cn(CCC2CC2)nc1-c1ccccn1 ZINC000594915053 349504963 /nfs/dbraw/zinc/50/49/63/349504963.db2.gz NHENDVIFINDAJV-UHFFFAOYSA-N 0 0 258.281 2.653 20 5 CFBDRN CCN(CC)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273834012 192327550 /nfs/dbraw/zinc/32/75/50/192327550.db2.gz FFLIBJLWTDOARC-UHFFFAOYSA-N 0 0 282.315 2.880 20 5 CFBDRN C[C@H](CCO)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124795468 187153892 /nfs/dbraw/zinc/15/38/92/187153892.db2.gz HYFNMMKISMYDFR-MRVPVSSYSA-N 0 0 258.705 2.679 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]2CCCC[C@@H]12 ZINC000273072215 192036459 /nfs/dbraw/zinc/03/64/59/192036459.db2.gz NPKHQBCRWYBMDY-SCVCMEIPSA-N 0 0 277.324 2.574 20 5 CFBDRN CS[C@H]1CCCCN(c2ccncc2[N+](=O)[O-])C1 ZINC000361056862 295667687 /nfs/dbraw/zinc/66/76/87/295667687.db2.gz JELFNGFKINIXRW-JTQLQIEISA-N 0 0 267.354 2.712 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCc1ccno1 ZINC000067768452 346819081 /nfs/dbraw/zinc/81/90/81/346819081.db2.gz KDQGHJSUIBXKQN-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN C[C@H](NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(F)(F)F ZINC000290201810 197629221 /nfs/dbraw/zinc/62/92/21/197629221.db2.gz UWLHVOINAOWWPX-YFKPBYRVSA-N 0 0 296.632 2.929 20 5 CFBDRN C[C@@H](N[C@H]1CCCn2nccc21)c1ccccc1[N+](=O)[O-] ZINC000595026003 349554851 /nfs/dbraw/zinc/55/48/51/349554851.db2.gz MCUNCZKMBQIQAW-YPMHNXCESA-N 0 0 286.335 2.977 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)N[C@@H](C)c1cn[nH]c1 ZINC000595033035 349557834 /nfs/dbraw/zinc/55/78/34/349557834.db2.gz ADOWZPDHABYEAT-VHSXEESVSA-N 0 0 290.323 2.738 20 5 CFBDRN Cc1c(NC(=O)N2CCO[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000125225748 187175149 /nfs/dbraw/zinc/17/51/49/187175149.db2.gz HPCTZEZGZNJRMR-MNOVXSKESA-N 0 0 293.323 2.544 20 5 CFBDRN COc1ccc(CC(=O)N(C)CC(C)(C)C)cc1[N+](=O)[O-] ZINC000067834716 346822332 /nfs/dbraw/zinc/82/23/32/346822332.db2.gz HLXSPQFGGNUDSO-UHFFFAOYSA-N 0 0 294.351 2.650 20 5 CFBDRN Cc1csc([C@@H](C)NC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000067875540 346825277 /nfs/dbraw/zinc/82/52/77/346825277.db2.gz LXTQXXJNMATLET-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OC[C@@H]1CCCO1 ZINC000125293216 187178249 /nfs/dbraw/zinc/17/82/49/187178249.db2.gz NQZQTWFLXDXZNQ-QMMMGPOBSA-N 0 0 257.673 2.806 20 5 CFBDRN C[C@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])c1nccn1C ZINC000595110224 349583113 /nfs/dbraw/zinc/58/31/13/349583113.db2.gz YZYNDQKZVLOWFZ-GXFFZTMASA-N 0 0 286.335 2.666 20 5 CFBDRN C[C@H](c1ccccn1)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000067999750 346831387 /nfs/dbraw/zinc/83/13/87/346831387.db2.gz VUBCNQBZQIBLMD-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN Cc1nc(NC[C@H]2CSCCS2)ccc1[N+](=O)[O-] ZINC000125368484 187181545 /nfs/dbraw/zinc/18/15/45/187181545.db2.gz HUYWMZKNCPCLDV-VIFPVBQESA-N 0 0 285.394 2.559 20 5 CFBDRN Cc1nc(NC[C@@H]2CSCCS2)ccc1[N+](=O)[O-] ZINC000125368294 187181855 /nfs/dbraw/zinc/18/18/55/187181855.db2.gz HUYWMZKNCPCLDV-SECBINFHSA-N 0 0 285.394 2.559 20 5 CFBDRN CCN(C(=O)c1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000068304341 346846446 /nfs/dbraw/zinc/84/64/46/346846446.db2.gz ZAYDEIJTQTZYBQ-UHFFFAOYSA-N 0 0 271.276 2.657 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000068304499 346846816 /nfs/dbraw/zinc/84/68/16/346846816.db2.gz SVPCWCNLWXDTNH-UHFFFAOYSA-N 0 0 271.276 2.657 20 5 CFBDRN COc1ccc(CN(C)Cc2onc(C)c2[N+](=O)[O-])cc1 ZINC000595286488 349590711 /nfs/dbraw/zinc/59/07/11/349590711.db2.gz JGQYJFBMCTTZSD-UHFFFAOYSA-N 0 0 291.307 2.532 20 5 CFBDRN CCCN(CCC)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000068322830 346847044 /nfs/dbraw/zinc/84/70/44/346847044.db2.gz JIPQZDMOVXEJTA-UHFFFAOYSA-N 0 0 280.324 2.622 20 5 CFBDRN C[C@H](c1ccccn1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000068320801 346847162 /nfs/dbraw/zinc/84/71/62/346847162.db2.gz QGZCIUXUCVZGHD-SNVBAGLBSA-N 0 0 258.281 2.582 20 5 CFBDRN Cc1noc(CN(C)Cc2cccs2)c1[N+](=O)[O-] ZINC000595293085 349593361 /nfs/dbraw/zinc/59/33/61/349593361.db2.gz XZWZFHBSAGCFBI-UHFFFAOYSA-N 0 0 267.310 2.585 20 5 CFBDRN Cc1noc(CN(C)c2ccc(F)c(F)c2)c1[N+](=O)[O-] ZINC000595294701 349594047 /nfs/dbraw/zinc/59/40/47/349594047.db2.gz CCDSXCTYQLYHSR-UHFFFAOYSA-N 0 0 283.234 2.806 20 5 CFBDRN CN(CCOCC1CC1)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595339444 349605665 /nfs/dbraw/zinc/60/56/65/349605665.db2.gz OYWRVXQRGPDBRV-UHFFFAOYSA-N 0 0 282.315 2.592 20 5 CFBDRN Cc1noc(CN2CCC(OC(C)(C)C)CC2)c1[N+](=O)[O-] ZINC000595344837 349606214 /nfs/dbraw/zinc/60/62/14/349606214.db2.gz NSFKIMNQJYSKRM-UHFFFAOYSA-N 0 0 297.355 2.671 20 5 CFBDRN CO[C@H](CN1CCc2c1cccc2[N+](=O)[O-])C1CCC1 ZINC000595388158 349614296 /nfs/dbraw/zinc/61/42/96/349614296.db2.gz GYTYZTXIYXKBQX-OAHLLOKOSA-N 0 0 276.336 2.772 20 5 CFBDRN CN(Cc1ncc[nH]1)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000595398840 349615981 /nfs/dbraw/zinc/61/59/81/349615981.db2.gz DARRLDHDXQDRAH-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269608031 190519513 /nfs/dbraw/zinc/51/95/13/190519513.db2.gz DBVKTQLHOJKTLS-CPCISQLKSA-N 0 0 274.267 2.692 20 5 CFBDRN CC[C@]1(C(C)C)C[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000413374201 529389960 /nfs/dbraw/zinc/38/99/60/529389960.db2.gz IOQKMHYPFXZVOM-CMPLNLGQSA-N 0 0 250.302 2.621 20 5 CFBDRN CC[C@]1(C(C)C)C[C@@H]1Nc1c([N+](=O)[O-])c(C)nn1C ZINC000413386651 529390012 /nfs/dbraw/zinc/39/00/12/529390012.db2.gz UKEBXIYNBXVUGQ-GXFFZTMASA-N 0 0 266.345 2.873 20 5 CFBDRN CC(C)[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000595422836 349620398 /nfs/dbraw/zinc/62/03/98/349620398.db2.gz PLZFHJVBBFBBCV-AWEZNQCLSA-N 0 0 282.315 2.591 20 5 CFBDRN CC[C@H](NCc1onc(C)c1[N+](=O)[O-])c1c(C)noc1C ZINC000595431211 349624249 /nfs/dbraw/zinc/62/42/49/349624249.db2.gz MXVQEIVTXSQZMC-JTQLQIEISA-N 0 0 294.311 2.737 20 5 CFBDRN Cc1csc([C@H](C)NCc2onc(C)c2[N+](=O)[O-])n1 ZINC000595430938 349624368 /nfs/dbraw/zinc/62/43/68/349624368.db2.gz XZCWSLDGZKJPLQ-QMMMGPOBSA-N 0 0 282.325 2.507 20 5 CFBDRN CC[C@H](C)CCNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000595447550 349628731 /nfs/dbraw/zinc/62/87/31/349628731.db2.gz NBHNXFHAEFIXNC-NSHDSACASA-N 0 0 279.340 2.830 20 5 CFBDRN CC[C@H](NC(=O)NCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000595448713 349629491 /nfs/dbraw/zinc/62/94/91/349629491.db2.gz SLUJQWBMXKPEOY-LBPRGKRZSA-N 0 0 277.324 2.583 20 5 CFBDRN CC1(C)[C@H]([NH2+]Cc2ccc(F)cc2[N+](=O)[O-])[C@@H]2CCO[C@@H]21 ZINC000595513545 349648396 /nfs/dbraw/zinc/64/83/96/349648396.db2.gz VPYZFPIYWKVTQE-YUTCNCBUSA-N 0 0 294.326 2.637 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCOC[C@@H]1C1CCC1 ZINC000595557587 349659052 /nfs/dbraw/zinc/65/90/52/349659052.db2.gz KSPAGTGFDLOPQD-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CC1(C)CN(Cc2ccc(F)cc2[N+](=O)[O-])[C@@H]2COC[C@@H]21 ZINC000595523526 349650986 /nfs/dbraw/zinc/65/09/86/349650986.db2.gz RUAPSJPAHSBXIH-GXTWGEPZSA-N 0 0 294.326 2.591 20 5 CFBDRN CS[C@@H](C)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000269761334 190549551 /nfs/dbraw/zinc/54/95/51/190549551.db2.gz FABTWVHOUHSFMP-QMMMGPOBSA-N 0 0 268.338 2.961 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC000069109533 346882406 /nfs/dbraw/zinc/88/24/06/346882406.db2.gz PXGSLZSFBURNEW-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN CC[C@@H](C)CCNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000595574003 349664146 /nfs/dbraw/zinc/66/41/46/349664146.db2.gz MWBDWMGRVTVEQL-GFCCVEGCSA-N 0 0 293.367 2.873 20 5 CFBDRN CCc1n[nH]c(C(=O)N2C[C@H](C)CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000185730294 529466388 /nfs/dbraw/zinc/46/63/88/529466388.db2.gz MOQWWDQDTIQTDC-ZJUUUORDSA-N 0 0 294.355 2.531 20 5 CFBDRN Cc1c(CNC(=O)NCCC(C)(C)F)cccc1[N+](=O)[O-] ZINC000595588961 349666927 /nfs/dbraw/zinc/66/69/27/349666927.db2.gz XICMOFYEHRZZLE-UHFFFAOYSA-N 0 0 297.330 2.841 20 5 CFBDRN Cc1c(CNC(=O)NC2CC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000595589050 349667280 /nfs/dbraw/zinc/66/72/80/349667280.db2.gz ZDXZSZJWDBRLJN-UHFFFAOYSA-N 0 0 291.351 2.891 20 5 CFBDRN CCn1ccnc1CNc1cc(C)ccc1[N+](=O)[O-] ZINC000125825913 187202118 /nfs/dbraw/zinc/20/21/18/187202118.db2.gz YSUOGCGRUPEWCW-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CC[C@@H](SC)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000125894854 187204311 /nfs/dbraw/zinc/20/43/11/187204311.db2.gz JJIWLYXGRSOMOB-GFCCVEGCSA-N 0 0 280.349 2.626 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(Cc1c(F)cccc1[N+](=O)[O-])C2 ZINC000595688464 349701103 /nfs/dbraw/zinc/70/11/03/349701103.db2.gz HGENHHUYANFJCE-GASCZTMLSA-N 0 0 294.326 2.592 20 5 CFBDRN Cc1ncccc1CN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000093338390 282194373 /nfs/dbraw/zinc/19/43/73/282194373.db2.gz MJBKZWHSHHDYDT-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CC[C@H]3C[C@H]3C2)c(F)c1 ZINC000595810644 349737734 /nfs/dbraw/zinc/73/77/34/349737734.db2.gz MJWBNTBADKQGSB-IUCAKERBSA-N 0 0 268.263 2.715 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCc2nccnc2C1 ZINC000595862497 349757912 /nfs/dbraw/zinc/75/79/12/349757912.db2.gz DOUQRIGJZUGEGX-NSHDSACASA-N 0 0 284.319 2.504 20 5 CFBDRN C[C@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@]1(C)CO ZINC000595871970 349758314 /nfs/dbraw/zinc/75/83/14/349758314.db2.gz JFLNFXWICIPOQH-IINYFYTJSA-N 0 0 298.770 2.699 20 5 CFBDRN COC(=O)CCSc1cc(C)sc1[N+](=O)[O-] ZINC000596056519 349783754 /nfs/dbraw/zinc/78/37/54/349783754.db2.gz XOZWKWBCPJGTRA-UHFFFAOYSA-N 0 0 261.324 2.620 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2C[C@H]3C[C@H]3C2)nc1-c1ccccn1 ZINC000596020469 349777120 /nfs/dbraw/zinc/77/71/20/349777120.db2.gz KNONLURIXNBODC-ZSBIGDGJSA-N 0 0 284.319 2.899 20 5 CFBDRN COc1ccc(C)cc1NCc1onc(C)c1[N+](=O)[O-] ZINC000596028824 349778056 /nfs/dbraw/zinc/77/80/56/349778056.db2.gz OZIHAOZAIXNAIU-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN Cc1noc(CNc2ccc3c(c2)CCC3=O)c1[N+](=O)[O-] ZINC000596037397 349779463 /nfs/dbraw/zinc/77/94/63/349779463.db2.gz JLFSFHOJBGXHGN-UHFFFAOYSA-N 0 0 287.275 2.632 20 5 CFBDRN COCCCNc1c(Br)cccc1[N+](=O)[O-] ZINC000596051493 349782020 /nfs/dbraw/zinc/78/20/20/349782020.db2.gz SJHFORKSSOTODS-UHFFFAOYSA-N 0 0 289.129 2.806 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596055756 349782519 /nfs/dbraw/zinc/78/25/19/349782519.db2.gz NOBRWRZWQSJXJN-SNVBAGLBSA-N 0 0 298.364 2.744 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596075060 349788226 /nfs/dbraw/zinc/78/82/26/349788226.db2.gz YEGLUHNJFVVKEE-ZJUUUORDSA-N 0 0 277.324 2.684 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1cc(C)ccc1[N+](=O)[O-] ZINC000431496282 383677120 /nfs/dbraw/zinc/67/71/20/383677120.db2.gz SZLURNBCUOEUPD-LBPRGKRZSA-N 0 0 293.367 2.868 20 5 CFBDRN Cc1ccc(CN(c2ccc(N)c([N+](=O)[O-])n2)C2CC2)o1 ZINC000596080884 349788831 /nfs/dbraw/zinc/78/88/31/349788831.db2.gz PCNZMXXLGJURIA-UHFFFAOYSA-N 0 0 288.307 2.642 20 5 CFBDRN CC[C@@H](C)NC(=O)CCNc1cc(C)sc1[N+](=O)[O-] ZINC000596081346 349789005 /nfs/dbraw/zinc/78/90/05/349789005.db2.gz FZRAHYHESJXDJX-MRVPVSSYSA-N 0 0 285.369 2.681 20 5 CFBDRN COc1cc(NCCn2cccn2)c(Cl)cc1[N+](=O)[O-] ZINC000596088521 349791363 /nfs/dbraw/zinc/79/13/63/349791363.db2.gz SXMGTIDQFQFAAH-UHFFFAOYSA-N 0 0 296.714 2.565 20 5 CFBDRN Cc1cc(NC[C@@H](CO)Cc2ccco2)c([N+](=O)[O-])s1 ZINC000596071310 349786968 /nfs/dbraw/zinc/78/69/68/349786968.db2.gz KTZCYTMUTNRGOV-JTQLQIEISA-N 0 0 296.348 2.821 20 5 CFBDRN CC[C@@H](NC(=O)c1c(N)cccc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000416275813 529661450 /nfs/dbraw/zinc/66/14/50/529661450.db2.gz LUKRQOZMISCLBZ-MWLCHTKSSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1noc(CCCOc2cccc(C)c2[N+](=O)[O-])n1 ZINC000270031992 190588301 /nfs/dbraw/zinc/58/83/01/190588301.db2.gz BGSHPEKNMWZJSE-UHFFFAOYSA-N 0 0 277.280 2.606 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@H](O)C(C)C ZINC000413561617 529674851 /nfs/dbraw/zinc/67/48/51/529674851.db2.gz ZVUDFXYUSOMNGZ-GXFFZTMASA-N 0 0 267.329 2.506 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@H](O)C(C)C ZINC000413542981 529676964 /nfs/dbraw/zinc/67/69/64/529676964.db2.gz FIXXDYHFGKBDRT-GXFFZTMASA-N 0 0 267.329 2.506 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)[C@H](O)C(C)C ZINC000413537626 529680958 /nfs/dbraw/zinc/68/09/58/529680958.db2.gz ICJBACPHWHETDA-WDEREUQCSA-N 0 0 287.747 2.851 20 5 CFBDRN CC[C@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413545366 529680991 /nfs/dbraw/zinc/68/09/91/529680991.db2.gz RAAKTWKHGKCSKZ-IUCAKERBSA-N 0 0 298.368 2.511 20 5 CFBDRN Cc1cccc(N[C@H]2CCc3nc(C)ncc3C2)c1[N+](=O)[O-] ZINC000596106041 349795780 /nfs/dbraw/zinc/79/57/80/349795780.db2.gz OYQXEGWQRAOWTB-ZDUSSCGKSA-N 0 0 298.346 2.971 20 5 CFBDRN CC(=O)c1ccc(NCCC(C)(C)CO)c([N+](=O)[O-])c1 ZINC000294982042 199282970 /nfs/dbraw/zinc/28/29/70/199282970.db2.gz PIABSVVZXUDVGC-UHFFFAOYSA-N 0 0 280.324 2.618 20 5 CFBDRN COCC1CCN(c2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596124035 349800313 /nfs/dbraw/zinc/80/03/13/349800313.db2.gz SFCFMNGEBOKMGS-UHFFFAOYSA-N 0 0 270.354 2.828 20 5 CFBDRN Cc1cc(NCC[C@H](O)C(F)(F)F)c([N+](=O)[O-])s1 ZINC000596125103 349800344 /nfs/dbraw/zinc/80/03/44/349800344.db2.gz KKNBWFPQLIKIKQ-ZETCQYMHSA-N 0 0 284.259 2.690 20 5 CFBDRN CC[C@H](O)CCNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413207498 529694781 /nfs/dbraw/zinc/69/47/81/529694781.db2.gz RRYONNZVTZOXMX-QMMMGPOBSA-N 0 0 293.245 2.582 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c(C(N)=O)c1)C1CCCC1 ZINC000596128890 349801162 /nfs/dbraw/zinc/80/11/62/349801162.db2.gz QAFYMOYAKOYBRJ-SECBINFHSA-N 0 0 277.324 2.684 20 5 CFBDRN CC(C)[C@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596129160 349801459 /nfs/dbraw/zinc/80/14/59/349801459.db2.gz OMSCDVHJZGHNPY-NSHDSACASA-N 0 0 279.340 2.958 20 5 CFBDRN Cc1cc(NCCOC[C@H]2CCCO2)c([N+](=O)[O-])s1 ZINC000596129822 349802162 /nfs/dbraw/zinc/80/21/62/349802162.db2.gz RGJGFGVUONDHRP-SNVBAGLBSA-N 0 0 286.353 2.572 20 5 CFBDRN CC(C)(C)C1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596132454 349802764 /nfs/dbraw/zinc/80/27/64/349802764.db2.gz LNIFCDQNCQWTFD-UHFFFAOYSA-N 0 0 279.340 2.958 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@@H]2CCSC2)c1 ZINC000270170152 190607507 /nfs/dbraw/zinc/60/75/07/190607507.db2.gz LBNJLMXXWNEXQD-SNVBAGLBSA-N 0 0 252.339 2.845 20 5 CFBDRN CC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1 ZINC000596133238 349802879 /nfs/dbraw/zinc/80/28/79/349802879.db2.gz WLDOKVMROPRGDR-LLVKDONJSA-N 0 0 291.351 2.710 20 5 CFBDRN CC(C)OCCCN(C)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596135162 349804064 /nfs/dbraw/zinc/80/40/64/349804064.db2.gz DCFCPQRLXWFLAV-UHFFFAOYSA-N 0 0 287.747 2.895 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC[C@H](O)C2)c([N+](=O)[O-])s1 ZINC000596137348 349804936 /nfs/dbraw/zinc/80/49/36/349804936.db2.gz SBGPSUUEFUSXNT-ZJUUUORDSA-N 0 0 270.354 2.928 20 5 CFBDRN COc1cc(NC[C@H](C)CCO)c(Cl)cc1[N+](=O)[O-] ZINC000596139943 349805847 /nfs/dbraw/zinc/80/58/47/349805847.db2.gz ADXLHVFIGHGRTK-MRVPVSSYSA-N 0 0 288.731 2.687 20 5 CFBDRN COc1cc(S[C@@H](C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000596144800 349807226 /nfs/dbraw/zinc/80/72/26/349807226.db2.gz ODVABUCLEXWEDR-LURJTMIESA-N 0 0 277.729 2.730 20 5 CFBDRN COc1cc(N2C[C@H]3CC[C@@H](C2)O3)c(Cl)cc1[N+](=O)[O-] ZINC000596144841 349807490 /nfs/dbraw/zinc/80/74/90/349807490.db2.gz JYMLFQGPCMVWMQ-DTORHVGOSA-N 0 0 298.726 2.624 20 5 CFBDRN C[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])[C@H]1C ZINC000596149530 349809430 /nfs/dbraw/zinc/80/94/30/349809430.db2.gz MNZHZRBNFRZBRR-SFYZADRCSA-N 0 0 255.705 2.878 20 5 CFBDRN C[C@H]1[C@H](c2ccccc2)CCN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596154167 349811233 /nfs/dbraw/zinc/81/12/33/349811233.db2.gz DWUJTEZGEQSXMD-WCQYABFASA-N 0 0 298.346 2.954 20 5 CFBDRN CC(C)C1(CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1 ZINC000596155713 349812107 /nfs/dbraw/zinc/81/21/07/349812107.db2.gz VUNWRLSFYFRQPW-UHFFFAOYSA-N 0 0 277.324 2.542 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@H]1CCOC2(CCC2)C1 ZINC000596166172 349814402 /nfs/dbraw/zinc/81/44/02/349814402.db2.gz QFNAUAHVGWPYSR-VIFPVBQESA-N 0 0 297.742 2.579 20 5 CFBDRN Cc1cc(N(C)CCCn2ccnc2)c([N+](=O)[O-])s1 ZINC000596166538 349815244 /nfs/dbraw/zinc/81/52/44/349815244.db2.gz UXLMRUQTDPPDTL-UHFFFAOYSA-N 0 0 280.353 2.688 20 5 CFBDRN COc1cc(NCC(C)(C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000596167723 349815327 /nfs/dbraw/zinc/81/53/27/349815327.db2.gz KXYCBCSTWDDMPT-UHFFFAOYSA-N 0 0 288.731 2.687 20 5 CFBDRN COCCC1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CCCC1 ZINC000596161321 349813440 /nfs/dbraw/zinc/81/34/40/349813440.db2.gz UFYJGODUDXAGRY-UHFFFAOYSA-N 0 0 295.339 2.704 20 5 CFBDRN CC[C@@H](CCO)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596161223 349813920 /nfs/dbraw/zinc/81/39/20/349813920.db2.gz BRYGHVHCTKYNQG-VIFPVBQESA-N 0 0 258.343 2.785 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC12CC2 ZINC000421566429 529730561 /nfs/dbraw/zinc/73/05/61/529730561.db2.gz YSUMVVQKEORQLY-LLVKDONJSA-N 0 0 260.293 2.896 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2[C@@H]3CCc4ccccc4[C@@H]32)c1[N+](=O)[O-] ZINC000596169217 349816383 /nfs/dbraw/zinc/81/63/83/349816383.db2.gz CWTOHXUEUOHQCN-KWCYVHTRSA-N 0 0 297.314 2.836 20 5 CFBDRN O=c1[nH]ccc(NCC23CCC(CC2)C3)c1[N+](=O)[O-] ZINC000596175344 349817350 /nfs/dbraw/zinc/81/73/50/349817350.db2.gz NICALZRCHHZOMZ-UHFFFAOYSA-N 0 0 263.297 2.688 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000413338816 529767720 /nfs/dbraw/zinc/76/77/20/529767720.db2.gz PDIJDBWOHNNPHN-JTQLQIEISA-N 0 0 296.371 2.508 20 5 CFBDRN Cc1c(Nc2cc[nH]c(=O)c2[N+](=O)[O-])cnn1CC(C)C ZINC000596178620 349818496 /nfs/dbraw/zinc/81/84/96/349818496.db2.gz ILLPBOPXWCWQGN-UHFFFAOYSA-N 0 0 291.311 2.600 20 5 CFBDRN CCC[C@@H](CNc1ccc([N+](=O)[O-])c(N)c1F)OC ZINC000295041693 199315534 /nfs/dbraw/zinc/31/55/34/199315534.db2.gz XDIPMJUDAZLGLU-QMMMGPOBSA-N 0 0 271.292 2.543 20 5 CFBDRN C[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H]1F ZINC000596184591 349820381 /nfs/dbraw/zinc/82/03/81/349820381.db2.gz CFVFRTLESIRIGB-CBAPKCEASA-N 0 0 273.695 2.828 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C[C@@H]1C ZINC000596198516 349824965 /nfs/dbraw/zinc/82/49/65/349824965.db2.gz LDIJFVZIIJOXAU-OUAUKWLOSA-N 0 0 291.351 2.930 20 5 CFBDRN C[C@@H]1CCN(c2ccc(N)c([N+](=O)[O-])n2)CC12CCC2 ZINC000596183178 349819953 /nfs/dbraw/zinc/81/99/53/349819953.db2.gz XPPIVVRGESRWPJ-SNVBAGLBSA-N 0 0 276.340 2.589 20 5 CFBDRN O=c1[nH]ccc(NC2CCC3(CC3)CC2)c1[N+](=O)[O-] ZINC000596211064 349827768 /nfs/dbraw/zinc/82/77/68/349827768.db2.gz OPMBICHEDLXZDV-UHFFFAOYSA-N 0 0 263.297 2.830 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@@H]21 ZINC000596210040 349827782 /nfs/dbraw/zinc/82/77/82/349827782.db2.gz FCXCTJMVSDHLOX-JSGCOSHPSA-N 0 0 289.335 2.679 20 5 CFBDRN Cc1cc(NCCNc2cc(C)sc2[N+](=O)[O-])n(C)n1 ZINC000596216554 349829441 /nfs/dbraw/zinc/82/94/41/349829441.db2.gz FRPVDBRTHAHJQC-UHFFFAOYSA-N 0 0 295.368 2.531 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@@H]1CCC(F)(F)C1 ZINC000596218933 349830228 /nfs/dbraw/zinc/83/02/28/349830228.db2.gz KZKKXUNJZMTRPK-SSDOTTSWSA-N 0 0 291.685 2.912 20 5 CFBDRN CC(C)O[C@@H]1C[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1(C)C ZINC000596220112 349831095 /nfs/dbraw/zinc/83/10/95/349831095.db2.gz WGEKYYJVJKTSDD-GHMZBOCLSA-N 0 0 295.339 2.699 20 5 CFBDRN CCn1cc(CN(C)c2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000072948621 346952334 /nfs/dbraw/zinc/95/23/34/346952334.db2.gz ZLIFNRKBXIKFPS-UHFFFAOYSA-N 0 0 278.287 2.587 20 5 CFBDRN CC(=O)c1ccc(NCCC[C@H](C)CO)c([N+](=O)[O-])c1 ZINC000270309767 190644527 /nfs/dbraw/zinc/64/45/27/190644527.db2.gz SESUPZHPWXSNGN-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN CCc1nnc(CNc2cc(C)sc2[N+](=O)[O-])s1 ZINC000596236787 349835573 /nfs/dbraw/zinc/83/55/73/349835573.db2.gz QWPGFVLSKXUAGU-UHFFFAOYSA-N 0 0 284.366 2.991 20 5 CFBDRN Cc1cc(N2CC3(C2)CCCOC3)c([N+](=O)[O-])s1 ZINC000596236142 349835658 /nfs/dbraw/zinc/83/56/58/349835658.db2.gz RUGWGGVHLPRKLW-UHFFFAOYSA-N 0 0 268.338 2.582 20 5 CFBDRN COCCC1(C)CN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596238334 349836408 /nfs/dbraw/zinc/83/64/08/349836408.db2.gz HKOUIIWTCVYBBG-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN COc1ccncc1CNc1cc(C)sc1[N+](=O)[O-] ZINC000596241113 349836974 /nfs/dbraw/zinc/83/69/74/349836974.db2.gz CPRFQABLAMRDLF-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000596245802 349838960 /nfs/dbraw/zinc/83/89/60/349838960.db2.gz ALPYVCPLBLSVRR-VHSXEESVSA-N 0 0 257.293 2.988 20 5 CFBDRN CS[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596249690 349840612 /nfs/dbraw/zinc/84/06/12/349840612.db2.gz LKCDWHQSJRSQSU-SSDOTTSWSA-N 0 0 273.745 2.585 20 5 CFBDRN Cn1ccc2ccc(NC(=O)c3cc([N+](=O)[O-])c[nH]3)cc21 ZINC000073049860 346956814 /nfs/dbraw/zinc/95/68/14/346956814.db2.gz PWNLSUGFMWZVMR-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN COc1cc(N2CC[C@@H](F)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596252709 349841227 /nfs/dbraw/zinc/84/12/27/349841227.db2.gz JUPYVEGYVXOPDG-SSDOTTSWSA-N 0 0 274.679 2.805 20 5 CFBDRN CC(C)C[C@@]1(C)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000596253203 349841231 /nfs/dbraw/zinc/84/12/31/349841231.db2.gz YYFQTOUEQLBOOP-CYBMUJFWSA-N 0 0 264.329 2.790 20 5 CFBDRN CO[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H]1C ZINC000596254617 349841812 /nfs/dbraw/zinc/84/18/12/349841812.db2.gz KRSLXIZJTBMWFR-LDYMZIIASA-N 0 0 285.731 2.504 20 5 CFBDRN Cc1cc(NCC[C@H](C)CCO)c([N+](=O)[O-])s1 ZINC000596255038 349842100 /nfs/dbraw/zinc/84/21/00/349842100.db2.gz IUQPDIYHBRXRLL-QMMMGPOBSA-N 0 0 258.343 2.785 20 5 CFBDRN CC[C@H](CC(F)F)Cn1c(C)ccc([N+](=O)[O-])c1=O ZINC000596269398 349848844 /nfs/dbraw/zinc/84/88/44/349848844.db2.gz AWHKNDOJPAZFBY-SECBINFHSA-N 0 0 274.267 2.746 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CC23CCSCC3)c1[N+](=O)[O-] ZINC000596278060 349850969 /nfs/dbraw/zinc/85/09/69/349850969.db2.gz JLQGUQBHXKJYAI-SECBINFHSA-N 0 0 295.364 2.641 20 5 CFBDRN CC[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000301811263 136440723 /nfs/dbraw/zinc/44/07/23/136440723.db2.gz XZRXKPMGGYJVKC-WCBMZHEXSA-N 0 0 286.278 2.877 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1cc(C)ccc1[N+](=O)[O-] ZINC000596259960 349844751 /nfs/dbraw/zinc/84/47/51/349844751.db2.gz BMGYLVHXJJOHGD-UHFFFAOYSA-N 0 0 274.324 2.898 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCO[C@@H]2CC2(F)F)c1 ZINC000596260995 349845472 /nfs/dbraw/zinc/84/54/72/349845472.db2.gz NTLNVBVNZYINMJ-SNVBAGLBSA-N 0 0 277.198 2.537 20 5 CFBDRN COC[C@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596260646 349845772 /nfs/dbraw/zinc/84/57/72/349845772.db2.gz NGTCWWJJRWPTCM-JTQLQIEISA-N 0 0 299.758 2.896 20 5 CFBDRN Cc1cc(NC[C@@H](O)C2CCC2)c([N+](=O)[O-])s1 ZINC000596262014 349846157 /nfs/dbraw/zinc/84/61/57/349846157.db2.gz KAEWSBOMFOAHRZ-SNVBAGLBSA-N 0 0 256.327 2.538 20 5 CFBDRN Cn1cncc1CCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000596265209 349846934 /nfs/dbraw/zinc/84/69/34/349846934.db2.gz GYWQKEZMDCVHNI-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@@H](CO)C2)c([N+](=O)[O-])s1 ZINC000596279489 349851661 /nfs/dbraw/zinc/85/16/61/349851661.db2.gz QVTQZVPYPSTYBY-ZJUUUORDSA-N 0 0 270.354 2.928 20 5 CFBDRN COc1cc(NCCC2CC(OC)C2)c([N+](=O)[O-])cc1F ZINC000596309500 349859975 /nfs/dbraw/zinc/85/99/75/349859975.db2.gz SKTBRZZZENQJBX-UHFFFAOYSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cnc(NC[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 ZINC000596312348 349860675 /nfs/dbraw/zinc/86/06/75/349860675.db2.gz IYRRJWJWFJSGOK-NSHDSACASA-N 0 0 272.308 2.909 20 5 CFBDRN CC[C@@H](CNc1cc(C)sc1[N+](=O)[O-])C(=O)OC ZINC000596288768 349853488 /nfs/dbraw/zinc/85/34/88/349853488.db2.gz POHWOCWLCOFRQR-QMMMGPOBSA-N 0 0 272.326 2.576 20 5 CFBDRN CCCc1cnc(NC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000295080648 199334757 /nfs/dbraw/zinc/33/47/57/199334757.db2.gz RDRYQILCPMJKKI-UHFFFAOYSA-N 0 0 285.369 2.870 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H](CO)C1CCC1 ZINC000596323659 349863323 /nfs/dbraw/zinc/86/33/23/349863323.db2.gz OSJHFNFONDWLGQ-LLVKDONJSA-N 0 0 270.716 2.821 20 5 CFBDRN CO[C@H](CSCc1cccc([N+](=O)[O-])c1)[C@H]1CCOC1 ZINC000596325518 349863750 /nfs/dbraw/zinc/86/37/50/349863750.db2.gz WUIBDORSXJZNHI-GXTWGEPZSA-N 0 0 297.376 2.880 20 5 CFBDRN C[C@]1(C2CC2)CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000596334115 349867778 /nfs/dbraw/zinc/86/77/78/349867778.db2.gz ONQWXCTYXWBSFM-GFCCVEGCSA-N 0 0 268.338 2.662 20 5 CFBDRN COc1cc(N2CCO[C@@](C)(C3CC3)C2)ccc1[N+](=O)[O-] ZINC000596336498 349868703 /nfs/dbraw/zinc/86/87/03/349868703.db2.gz YAKIKWGYSOCTEQ-OAHLLOKOSA-N 0 0 292.335 2.609 20 5 CFBDRN Cc1cc(N2CCO[C@@](C)(C3CC3)C2)c([N+](=O)[O-])s1 ZINC000596339137 349869944 /nfs/dbraw/zinc/86/99/44/349869944.db2.gz RMXQUROQFQZFHA-CYBMUJFWSA-N 0 0 282.365 2.970 20 5 CFBDRN CO[C@H]1C[C@H](Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1(C)C ZINC000219362183 539365782 /nfs/dbraw/zinc/36/57/82/539365782.db2.gz VZWQTUQBEILPSW-RYUDHWBXSA-N 0 0 290.323 2.697 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000074024302 346990864 /nfs/dbraw/zinc/99/08/64/346990864.db2.gz CWBWAONIFLSVQR-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN CCC(O)(CC)C(C)(C)CNc1ccc([N+](=O)[O-])cn1 ZINC000596364386 349878303 /nfs/dbraw/zinc/87/83/03/349878303.db2.gz NGZQYUVIKBKPFL-UHFFFAOYSA-N 0 0 281.356 2.979 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1CCCS1 ZINC000074242438 347004385 /nfs/dbraw/zinc/00/43/85/347004385.db2.gz ROVBTJPXUULWTQ-NOZJJQNGSA-N 0 0 292.360 2.768 20 5 CFBDRN CO[C@H](CSCc1onc(C)c1[N+](=O)[O-])C1CC1 ZINC000596371869 349881466 /nfs/dbraw/zinc/88/14/66/349881466.db2.gz DPUKWUGSKWLVSR-SECBINFHSA-N 0 0 272.326 2.549 20 5 CFBDRN CO[C@H](CSCc1ccccc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000596387078 349886548 /nfs/dbraw/zinc/88/65/48/349886548.db2.gz CFJFTOBPCHEEFQ-SMDDNHRTSA-N 0 0 297.376 2.880 20 5 CFBDRN CCCCN(C)C(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000074390222 347011644 /nfs/dbraw/zinc/01/16/44/347011644.db2.gz BKHBQRZLNWHWBL-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CCCC[C@H](CC)CNc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290569968 197752268 /nfs/dbraw/zinc/75/22/68/197752268.db2.gz UBFWVQHZRMPRHO-JTQLQIEISA-N 0 0 294.355 2.717 20 5 CFBDRN CN(CCn1cccn1)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116280064 529940418 /nfs/dbraw/zinc/94/04/18/529940418.db2.gz SHQYKDKYQYTDDW-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN CC[C@@H](C)CCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596415802 349896371 /nfs/dbraw/zinc/89/63/71/349896371.db2.gz MWIYSKWSZGYNQS-SECBINFHSA-N 0 0 265.313 2.542 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000596417799 349897462 /nfs/dbraw/zinc/89/74/62/349897462.db2.gz KZHHOHPTZOSKNU-GFCCVEGCSA-N 0 0 293.367 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@](C)(O)c2ccsc2)n1 ZINC000127108290 187283688 /nfs/dbraw/zinc/28/36/88/187283688.db2.gz BFLOMOCSYHGSSK-CYBMUJFWSA-N 0 0 293.348 2.679 20 5 CFBDRN CC(C)CCC[C@@H](C)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290617887 197767535 /nfs/dbraw/zinc/76/75/35/197767535.db2.gz KYZYRSQZQXXMQZ-SNVBAGLBSA-N 0 0 294.355 2.715 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1c1ccc([N+](=O)[O-])s1 ZINC000596436629 349902313 /nfs/dbraw/zinc/90/23/13/349902313.db2.gz QRFIAYPSETXWRA-SECBINFHSA-N 0 0 268.338 2.804 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)CCC(C)C)ncc1[N+](=O)[O-] ZINC000074765532 347031499 /nfs/dbraw/zinc/03/14/99/347031499.db2.gz KYAPORRKZKGSRK-AWEZNQCLSA-N 0 0 281.356 2.897 20 5 CFBDRN CCC(O)(CC)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000127196349 187288458 /nfs/dbraw/zinc/28/84/58/187288458.db2.gz PMHDFTRLADMFAP-UHFFFAOYSA-N 0 0 268.313 2.566 20 5 CFBDRN CO[C@H]1CCCC[C@H]1Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074767131 347031518 /nfs/dbraw/zinc/03/15/18/347031518.db2.gz FPJOYUOMTLWKFT-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cc(Oc2ccc3c(c2)CCC(=O)N3)ncc1[N+](=O)[O-] ZINC000074738905 347029485 /nfs/dbraw/zinc/02/94/85/347029485.db2.gz RHPGUYSMTUGYJY-UHFFFAOYSA-N 0 0 299.286 2.975 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2cc(C)c([N+](=O)[O-])cn2)no1 ZINC000074739387 347029708 /nfs/dbraw/zinc/02/97/08/347029708.db2.gz VDPZFGMPGXLBBY-LBPRGKRZSA-N 0 0 288.307 2.936 20 5 CFBDRN Cc1cc(N(C)Cc2nccn2C(F)F)ncc1[N+](=O)[O-] ZINC000074739643 347029783 /nfs/dbraw/zinc/02/97/83/347029783.db2.gz LTWCNQYBCBHAJF-UHFFFAOYSA-N 0 0 297.265 2.526 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(c1sccc1[N+](=O)[O-])C2 ZINC000596441534 349903808 /nfs/dbraw/zinc/90/38/08/349903808.db2.gz HYVQSKLNOHHDIS-TXEJJXNPSA-N 0 0 268.338 2.519 20 5 CFBDRN Cc1cc(N2C[C@@H]3CC=CC[C@@H]3C2)ncc1[N+](=O)[O-] ZINC000074766423 347031313 /nfs/dbraw/zinc/03/13/13/347031313.db2.gz FFTNWRIPEYZYBT-TXEJJXNPSA-N 0 0 259.309 2.701 20 5 CFBDRN Cc1cc(N(CC2CC2)CC2CC2)ncc1[N+](=O)[O-] ZINC000074763731 347031324 /nfs/dbraw/zinc/03/13/24/347031324.db2.gz HAZCEHIMWIUDNO-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN C[C@@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])C[C@@H](C)C1=O ZINC000596446580 349905628 /nfs/dbraw/zinc/90/56/28/349905628.db2.gz HGZYYZBPQGYLGL-GHMZBOCLSA-N 0 0 299.330 2.804 20 5 CFBDRN Cc1cc(NC[C@H](CCO)CC(C)C)ncc1[N+](=O)[O-] ZINC000074769377 347032182 /nfs/dbraw/zinc/03/21/82/347032182.db2.gz HWUVOYPMPWWLTC-GFCCVEGCSA-N 0 0 281.356 2.755 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@@H](C2CCCCC2)C1 ZINC000596466834 349909073 /nfs/dbraw/zinc/90/90/73/349909073.db2.gz IEEMRLDFLYJBRR-GFCCVEGCSA-N 0 0 291.351 2.965 20 5 CFBDRN CN(CC1=CCCOC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000596465552 349909112 /nfs/dbraw/zinc/90/91/12/349909112.db2.gz JTTBWIAVBIBIRU-UHFFFAOYSA-N 0 0 266.272 2.517 20 5 CFBDRN CC(C)(F)CCNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000596469027 349910019 /nfs/dbraw/zinc/91/00/19/349910019.db2.gz TUMRKYSPGWNXSX-UHFFFAOYSA-N 0 0 278.287 2.510 20 5 CFBDRN CCc1nnc(Sc2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000596470093 349910091 /nfs/dbraw/zinc/91/00/91/349910091.db2.gz QUIIQARNSMXFBS-UHFFFAOYSA-N 0 0 282.350 2.863 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCC[C@@H](C)O2)n1 ZINC000596473610 349911092 /nfs/dbraw/zinc/91/10/92/349911092.db2.gz HFXKOQUEHMHCOW-VXGBXAGGSA-N 0 0 279.340 2.976 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2ccc([N+](=O)[O-])cc2)O1 ZINC000596473067 349911144 /nfs/dbraw/zinc/91/11/44/349911144.db2.gz FRSJVUUXVBNFDI-GWCFXTLKSA-N 0 0 250.298 2.964 20 5 CFBDRN CCC(CC)(CCO)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000075065558 347053477 /nfs/dbraw/zinc/05/34/77/347053477.db2.gz RIPDXDUTOILRGK-UHFFFAOYSA-N 0 0 281.356 2.899 20 5 CFBDRN CCC(CC)(CCO)CNc1ncc([N+](=O)[O-])cc1C ZINC000075065502 347053699 /nfs/dbraw/zinc/05/36/99/347053699.db2.gz DBTACXYLFMGJKI-UHFFFAOYSA-N 0 0 281.356 2.899 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C[C@H](c3ccccc3)CO2)cn1 ZINC000596473973 349912033 /nfs/dbraw/zinc/91/20/33/349912033.db2.gz LDCJLDOMHLTVKS-ZFWWWQNUSA-N 0 0 299.330 2.974 20 5 CFBDRN Cc1ccc(OCCOc2ccc([N+](=O)[O-])cc2)nc1 ZINC000075077244 347054220 /nfs/dbraw/zinc/05/42/20/347054220.db2.gz WKVDJVVMAODTNR-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCC[C@H]3C[C@H]32)c1[N+](=O)[O-] ZINC000596474966 349912323 /nfs/dbraw/zinc/91/23/23/349912323.db2.gz UUYZWVCMPBWJOD-LPEHRKFASA-N 0 0 263.297 2.544 20 5 CFBDRN C[C@]1(CCNc2c(Cl)cncc2[N+](=O)[O-])CC1(F)F ZINC000596477913 349913617 /nfs/dbraw/zinc/91/36/17/349913617.db2.gz MBBVGEGGPGEZNQ-JTQLQIEISA-N 0 0 291.685 2.912 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCCC2CCC2)n1 ZINC000596477754 349913741 /nfs/dbraw/zinc/91/37/41/349913741.db2.gz PCNBSGDEMKOBMP-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1nccc3cc([N+](=O)[O-])ccc31)C2 ZINC000596477488 349913153 /nfs/dbraw/zinc/91/31/53/349913153.db2.gz DHSJPQHZFDLBQA-MLGOLLRUSA-N 0 0 299.330 2.616 20 5 CFBDRN CN(Cc1ccncc1)Cc1ccccc1[N+](=O)[O-] ZINC000172979459 530011108 /nfs/dbraw/zinc/01/11/08/530011108.db2.gz REBJKMBZCLVTMB-UHFFFAOYSA-N 0 0 257.293 2.622 20 5 CFBDRN CCC1(CC)CCN(c2c([N+](=O)[O-])ncn2C)CC1 ZINC000362696001 282355955 /nfs/dbraw/zinc/35/59/55/282355955.db2.gz AQVSEPPRURKXII-UHFFFAOYSA-N 0 0 266.345 2.735 20 5 CFBDRN C[C@H](C[NH+]1CCC(C)CC1)Nc1ncccc1[N+](=O)[O-] ZINC000596487795 349917131 /nfs/dbraw/zinc/91/71/31/349917131.db2.gz JWQMHXTVEVOHHJ-GFCCVEGCSA-N 0 0 278.356 2.522 20 5 CFBDRN O=C(N[C@H]1CC[C@@H](F)C1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334684543 303982241 /nfs/dbraw/zinc/98/22/41/303982241.db2.gz REWOSJYWKYLCHI-BDAKNGLRSA-N 0 0 291.282 2.697 20 5 CFBDRN CO[C@@]1(C)C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000596490016 349918114 /nfs/dbraw/zinc/91/81/14/349918114.db2.gz ZFDSYNFBIUABKV-PWSUYJOCSA-N 0 0 299.714 2.547 20 5 CFBDRN C[C@@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000596492503 349918611 /nfs/dbraw/zinc/91/86/11/349918611.db2.gz CXLFJXHYHYLORT-MNOVXSKESA-N 0 0 250.298 2.599 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC(O)(C1CC1)C1CC1 ZINC000596492176 349918642 /nfs/dbraw/zinc/91/86/42/349918642.db2.gz KKBQTWQJDNMLMA-UHFFFAOYSA-N 0 0 262.309 2.558 20 5 CFBDRN COC(=O)C[C@H](CNc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000596490589 349917917 /nfs/dbraw/zinc/91/79/17/349917917.db2.gz DYPBZAKCMMRORP-SNVBAGLBSA-N 0 0 296.298 2.735 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](C)O[C@@H](C)C1 ZINC000596495340 349919534 /nfs/dbraw/zinc/91/95/34/349919534.db2.gz VJGJEUAAULHWOZ-MNOVXSKESA-N 0 0 280.324 2.607 20 5 CFBDRN CC[C@H](O)COc1ccc(Br)cc1[N+](=O)[O-] ZINC000083826913 178750947 /nfs/dbraw/zinc/75/09/47/178750947.db2.gz JTSICKPXFYTUTJ-QMMMGPOBSA-N 0 0 290.113 2.507 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCC2CCCC2)c1=O ZINC000127312498 187296018 /nfs/dbraw/zinc/29/60/18/187296018.db2.gz BVMRXULKZGYJAF-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC[C@@H]2c2ccccc2)nc1 ZINC000596498895 349921216 /nfs/dbraw/zinc/92/12/16/349921216.db2.gz FWKJTHCZAJJWQP-CHWSQXEVSA-N 0 0 270.292 2.743 20 5 CFBDRN Cc1cncc(CCNc2cccc(C)c2[N+](=O)[O-])n1 ZINC000596498762 349921285 /nfs/dbraw/zinc/92/12/85/349921285.db2.gz OXNKFLHWWVIGED-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CO[C@@H](C)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295088681 199339448 /nfs/dbraw/zinc/33/94/48/199339448.db2.gz RYKWKMJYWHPENI-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596500529 349922045 /nfs/dbraw/zinc/92/20/45/349922045.db2.gz CDAKONPYVOZWKC-STQMWFEESA-N 0 0 262.309 2.619 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1C[C@@H](O)C12CCC2 ZINC000596500499 349921740 /nfs/dbraw/zinc/92/17/40/349921740.db2.gz BNMLUAOQYJRWOS-UONOGXRCSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1cnc(NCC[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000273242981 192085300 /nfs/dbraw/zinc/08/53/00/192085300.db2.gz XPQNFKYIWVSGMG-NWDGAFQWSA-N 0 0 279.340 2.915 20 5 CFBDRN O=C1CCCC12CCN(c1sccc1[N+](=O)[O-])CC2 ZINC000596506124 349923500 /nfs/dbraw/zinc/92/35/00/349923500.db2.gz BVTOOPBEJWTIDA-UHFFFAOYSA-N 0 0 280.349 2.996 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N[C@@H]1C[C@H](O)C12CCC2 ZINC000596506608 349923331 /nfs/dbraw/zinc/92/33/31/349923331.db2.gz JFCMEBJBCHNXQB-KGLIPLIRSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1cccc(N[C@@H]2C[C@@H](O)C23CCC3)c1[N+](=O)[O-] ZINC000596504553 349923357 /nfs/dbraw/zinc/92/33/57/349923357.db2.gz HNBFCWRKVLALEO-VXGBXAGGSA-N 0 0 262.309 2.619 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@H]2C[C@H]2C1 ZINC000596510474 349925005 /nfs/dbraw/zinc/92/50/05/349925005.db2.gz LTRCFRHMJXYNMX-JQWIXIFHSA-N 0 0 269.304 2.989 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@@H]2C[C@@H]2C1 ZINC000596509263 349923820 /nfs/dbraw/zinc/92/38/20/349923820.db2.gz HTMXUCAYOOOQQL-GHMZBOCLSA-N 0 0 269.304 2.989 20 5 CFBDRN C[C@H]1C[C@H](C)CN(CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000007811807 232172319 /nfs/dbraw/zinc/17/23/19/232172319.db2.gz DCVPVUKTNVTSGL-RYUDHWBXSA-N 0 0 291.351 2.511 20 5 CFBDRN CN(c1ccccc1)[C@@H]1CCN(c2ncccc2[N+](=O)[O-])C1 ZINC000596517426 349928066 /nfs/dbraw/zinc/92/80/66/349928066.db2.gz IAWZMPBRSHLKTB-CQSZACIVSA-N 0 0 298.346 2.705 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1C[C@@H]1Cc1ccccc1)[N+](=O)[O-] ZINC000295107154 199348796 /nfs/dbraw/zinc/34/87/96/199348796.db2.gz XYLSUBHRIWCFDR-KBPBESRZSA-N 0 0 290.363 2.569 20 5 CFBDRN C[C@H](O)C1(Nc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000596524379 349929623 /nfs/dbraw/zinc/92/96/23/349929623.db2.gz WSUDWQDKOUYOLK-ZETCQYMHSA-N 0 0 256.689 2.574 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])c(C(N)=O)c1)C1CC1 ZINC000596523744 349929687 /nfs/dbraw/zinc/92/96/87/349929687.db2.gz XNSVCHNEGDFOFJ-ZDUSSCGKSA-N 0 0 277.324 2.540 20 5 CFBDRN Cc1cc(N2CC[C@H]3COC[C@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000596526153 349929909 /nfs/dbraw/zinc/92/99/09/349929909.db2.gz BUJARTONCIPRGU-WDEREUQCSA-N 0 0 280.299 2.515 20 5 CFBDRN C[C@H](O)C1(Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 ZINC000596524245 349930049 /nfs/dbraw/zinc/93/00/49/349930049.db2.gz UMWNBJLTRMLYRB-ZETCQYMHSA-N 0 0 290.241 2.939 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cn1)C1CCCC1 ZINC000596527827 349930529 /nfs/dbraw/zinc/93/05/29/349930529.db2.gz WYKKBIXAATUSRO-GFCCVEGCSA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C)[C@@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000131620745 232206844 /nfs/dbraw/zinc/20/68/44/232206844.db2.gz ITSRNCKTKMMYSB-QWRGUYRKSA-N 0 0 291.351 2.604 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cc1)[C@]1(O)CCCOC1 ZINC000596535508 349934069 /nfs/dbraw/zinc/93/40/69/349934069.db2.gz SFPWSZOVUKJZQT-HNNXBMFYSA-N 0 0 294.351 2.574 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1F ZINC000596542440 349936562 /nfs/dbraw/zinc/93/65/62/349936562.db2.gz IIAGEXNKLDVXPZ-SECBINFHSA-N 0 0 268.288 2.961 20 5 CFBDRN COc1cc(NC[C@H]2CCOC2(C)C)c([N+](=O)[O-])cc1F ZINC000596544746 349937363 /nfs/dbraw/zinc/93/73/63/349937363.db2.gz PQLXOBQOGWJPRY-SECBINFHSA-N 0 0 298.314 2.970 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000596547133 349938280 /nfs/dbraw/zinc/93/82/80/349938280.db2.gz WJUQBNBUFIEZAV-MRVPVSSYSA-N 0 0 285.731 2.870 20 5 CFBDRN O=C(NCc1ccccc1F)c1ccc(F)cc1[N+](=O)[O-] ZINC000159347434 232244605 /nfs/dbraw/zinc/24/46/05/232244605.db2.gz URVMPCLNUUCZLU-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2cccc([N+](=O)[O-])c2N)c1 ZINC000157298078 232236357 /nfs/dbraw/zinc/23/63/57/232236357.db2.gz VOUPLWABXRZPER-UHFFFAOYSA-N 0 0 285.303 2.762 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCO[C@@H](C)[C@@H]1C ZINC000162567036 232254938 /nfs/dbraw/zinc/25/49/38/232254938.db2.gz DUJNSXYGWUXYHT-QWRGUYRKSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1cnc(N(C)C[C@H](C)c2nccs2)c([N+](=O)[O-])c1 ZINC000273262004 192091741 /nfs/dbraw/zinc/09/17/41/192091741.db2.gz ZIUZYMFIOYCVBC-JTQLQIEISA-N 0 0 292.364 2.995 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H](C)C1CCCC1 ZINC000172095097 232268681 /nfs/dbraw/zinc/26/86/81/232268681.db2.gz OTVBZEFNGLNWGQ-SECBINFHSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000172495288 232269608 /nfs/dbraw/zinc/26/96/08/232269608.db2.gz FKQWJHUQLROWDJ-NSHDSACASA-N 0 0 260.293 2.742 20 5 CFBDRN CN(CC1=CCSC1)c1c(F)cccc1[N+](=O)[O-] ZINC000596553727 349939941 /nfs/dbraw/zinc/93/99/41/349939941.db2.gz LRFNFTJAYDQBDW-UHFFFAOYSA-N 0 0 268.313 2.843 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)C[C@H](C)C1 ZINC000163416032 232258232 /nfs/dbraw/zinc/25/82/32/232258232.db2.gz KWNAIKLTIWFSGG-MNOVXSKESA-N 0 0 291.351 2.806 20 5 CFBDRN C[C@H]1c2cccn2CCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173049268 232272595 /nfs/dbraw/zinc/27/25/95/232272595.db2.gz NGBBRBSXFBHTIH-LBPRGKRZSA-N 0 0 299.330 2.542 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](O)C2)c([N+](=O)[O-])cc1C(F)(F)F ZINC000596557138 349940786 /nfs/dbraw/zinc/94/07/86/349940786.db2.gz XDRZVIQSSWDXAT-ZKCHVHJHSA-N 0 0 290.241 2.857 20 5 CFBDRN C[C@@H](CCO)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000097443145 185605771 /nfs/dbraw/zinc/60/57/71/185605771.db2.gz WOIFIDNVTGFWKJ-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2N)cc1 ZINC000175924492 232321969 /nfs/dbraw/zinc/32/19/69/232321969.db2.gz VKXKTPPTJQKPRD-LLVKDONJSA-N 0 0 299.330 2.976 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000176151827 232327026 /nfs/dbraw/zinc/32/70/26/232327026.db2.gz OLDPYOYLHRTREC-GHMZBOCLSA-N 0 0 291.351 2.733 20 5 CFBDRN CS[C@@H](C)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000176494736 232334613 /nfs/dbraw/zinc/33/46/13/232334613.db2.gz BIOQBJINLCLBAL-VIFPVBQESA-N 0 0 282.365 2.698 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC1(CO)CCCC1 ZINC000127542598 187313481 /nfs/dbraw/zinc/31/34/81/187313481.db2.gz KGPOOKZUXYVIIP-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN C[C@H](NC(=O)C1=CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000177912496 232371669 /nfs/dbraw/zinc/37/16/69/232371669.db2.gz HXAMQDSERBELCI-JTQLQIEISA-N 0 0 260.293 2.882 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2cccnc2)cc1[N+](=O)[O-] ZINC000177837530 232370266 /nfs/dbraw/zinc/37/02/66/232370266.db2.gz HLMIZJHMBMTDGN-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1c(CC(=O)NC[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000178726789 232386158 /nfs/dbraw/zinc/38/61/58/232386158.db2.gz REQKTAHEUJKNBH-LLVKDONJSA-N 0 0 278.352 2.854 20 5 CFBDRN COc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1 ZINC000006018329 346213704 /nfs/dbraw/zinc/21/37/04/346213704.db2.gz AGMLIICQHWMQGU-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3CC2(c2ccccc2)C3)nc1 ZINC000596562905 349942379 /nfs/dbraw/zinc/94/23/79/349942379.db2.gz GUFPFIRGMZQPMO-UHFFFAOYSA-N 0 0 282.303 2.510 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)c1ncc([N+](=O)[O-])cc1F ZINC000596564181 349942401 /nfs/dbraw/zinc/94/24/01/349942401.db2.gz KKYFDQWFWHFLDB-QMMMGPOBSA-N 0 0 253.277 2.611 20 5 CFBDRN O=C(NCCc1ccccc1F)c1cccc([N+](=O)[O-])c1 ZINC000007538901 346218234 /nfs/dbraw/zinc/21/82/34/346218234.db2.gz DUOBTRIZHWYGGK-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2ccc3c(c2)OCCO3)cn1 ZINC000007983457 346220964 /nfs/dbraw/zinc/22/09/64/346220964.db2.gz JKHWSONFWVUZMI-UHFFFAOYSA-N 0 0 290.300 2.912 20 5 CFBDRN C[C@@H](CNc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccccc1 ZINC000007981726 346220990 /nfs/dbraw/zinc/22/09/90/346220990.db2.gz KNNFVRNOEOIGIF-NSHDSACASA-N 0 0 299.330 2.909 20 5 CFBDRN O=C(CNc1ccc([N+](=O)[O-])cc1)N1CCc2ccccc21 ZINC000007954939 346221028 /nfs/dbraw/zinc/22/10/28/346221028.db2.gz DYUPJIUIUXCBJJ-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN C[C@H](CCc1ccco1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000008010841 346221363 /nfs/dbraw/zinc/22/13/63/346221363.db2.gz IMQCMGOSGYHNAR-LLVKDONJSA-N 0 0 288.303 2.939 20 5 CFBDRN Cc1ccc(CN(C)c2ccc(C(N)=O)cc2[N+](=O)[O-])cc1 ZINC000007985061 346221647 /nfs/dbraw/zinc/22/16/47/346221647.db2.gz RDNOYNNAGKVEON-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN C[C@@H]1CCc2ccccc2N1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000008366973 346223884 /nfs/dbraw/zinc/22/38/84/346223884.db2.gz FUUHKRCDLFRIIC-SNVBAGLBSA-N 0 0 285.303 2.904 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1ccc(OC)cc1 ZINC000008376423 346224133 /nfs/dbraw/zinc/22/41/33/346224133.db2.gz UFKSGUJYQWATEN-GFCCVEGCSA-N 0 0 290.323 2.900 20 5 CFBDRN Cc1cccc(N2CCN(c3ccc([N+](=O)[O-])cn3)CC2)c1 ZINC000016738932 346239238 /nfs/dbraw/zinc/23/92/38/346239238.db2.gz ZFLFBMQZUPOTEL-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CN(Cc1ccsc1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000017370952 346240148 /nfs/dbraw/zinc/24/01/48/346240148.db2.gz CCPQWVLPLUWFPM-UHFFFAOYSA-N 0 0 276.317 2.929 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000127581915 187317811 /nfs/dbraw/zinc/31/78/11/187317811.db2.gz ZEULPCWGNGTBIC-IINYFYTJSA-N 0 0 291.303 2.643 20 5 CFBDRN CCOc1cccc(N2CC3CC2(C)C3)c1[N+](=O)[O-] ZINC000596575776 349946251 /nfs/dbraw/zinc/94/62/51/349946251.db2.gz XVDBGPPZYKAQQW-UHFFFAOYSA-N 0 0 262.309 2.982 20 5 CFBDRN C[C@H]1CN(c2ccccc2[N+](=O)[O-])C[C@](C)(C(F)F)O1 ZINC000596575985 349946256 /nfs/dbraw/zinc/94/62/56/349946256.db2.gz XQFORBFBZTVJEN-TVQRCGJNSA-N 0 0 286.278 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cn3ccccc3n2)cc1 ZINC000023617903 346253133 /nfs/dbraw/zinc/25/31/33/346253133.db2.gz HEUUDOCKDYSNCX-UHFFFAOYSA-N 0 0 282.303 2.897 20 5 CFBDRN CCOc1cccc(NCc2ccnn2CC)c1[N+](=O)[O-] ZINC000596572985 349945454 /nfs/dbraw/zinc/94/54/54/349945454.db2.gz RFCNSYCFCJIIEM-UHFFFAOYSA-N 0 0 290.323 2.822 20 5 CFBDRN O=C(NCC1CC1)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000026203849 346264189 /nfs/dbraw/zinc/26/41/89/346264189.db2.gz GBPPNGHEZDEDDH-UHFFFAOYSA-N 0 0 276.317 2.949 20 5 CFBDRN CCCC[C@@](C)(F)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000596592218 349950743 /nfs/dbraw/zinc/95/07/43/349950743.db2.gz LSPHKKLCXKINKU-GFCCVEGCSA-N 0 0 297.290 2.753 20 5 CFBDRN Cc1sc(C(=O)NCC2CCCC2)cc1[N+](=O)[O-] ZINC000033055169 346308809 /nfs/dbraw/zinc/30/88/09/346308809.db2.gz ZGZLFQLJHURUGG-UHFFFAOYSA-N 0 0 268.338 2.885 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000033181167 346310030 /nfs/dbraw/zinc/31/00/30/346310030.db2.gz ISIAXWKRCRPGEN-NEPJUHHUSA-N 0 0 292.335 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3ccccn3)no2)cc1 ZINC000034400245 346316406 /nfs/dbraw/zinc/31/64/06/346316406.db2.gz BSEKWPPEWJDXLD-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN O=C(Nc1ccccn1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000041818012 346355521 /nfs/dbraw/zinc/35/55/21/346355521.db2.gz CWGSHCKAISXYGR-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1nc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)cs1 ZINC000042125393 346360827 /nfs/dbraw/zinc/36/08/27/346360827.db2.gz SARAJAVXUZJKLK-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000042565926 346367609 /nfs/dbraw/zinc/36/76/09/346367609.db2.gz VCMJTXFYLJASPB-RKDXNWHRSA-N 0 0 268.338 2.774 20 5 CFBDRN CCC[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000043127137 346379119 /nfs/dbraw/zinc/37/91/19/346379119.db2.gz OFJMLCAPSCSDKO-VIFPVBQESA-N 0 0 281.312 2.914 20 5 CFBDRN Cc1c(NC(=O)NCCc2ccco2)cccc1[N+](=O)[O-] ZINC000043347917 346382488 /nfs/dbraw/zinc/38/24/88/346382488.db2.gz GGYOSUJMUGYCKV-UHFFFAOYSA-N 0 0 289.291 2.860 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000596621675 349959391 /nfs/dbraw/zinc/95/93/91/349959391.db2.gz ZPCZTEUBJYUQON-CMPLNLGQSA-N 0 0 278.308 2.657 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N(Cc1cccs1)C1CC1 ZINC000045032453 346402850 /nfs/dbraw/zinc/40/28/50/346402850.db2.gz XHGTXOMBNFRZMX-UHFFFAOYSA-N 0 0 291.332 2.789 20 5 CFBDRN CN(CCc1ccccc1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000045178275 346405332 /nfs/dbraw/zinc/40/53/32/346405332.db2.gz NBZHIVHFVFZHQT-UHFFFAOYSA-N 0 0 298.342 2.838 20 5 CFBDRN Cc1cc(C)c(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)c(C)c1 ZINC000045402846 346407729 /nfs/dbraw/zinc/40/77/29/346407729.db2.gz VPTRIHCZXPDKSJ-UHFFFAOYSA-N 0 0 287.319 2.778 20 5 CFBDRN Cc1ccc(NC(=O)[C@]23C[C@H]2COC3(C)C)cc1[N+](=O)[O-] ZINC000596619992 349958466 /nfs/dbraw/zinc/95/84/66/349958466.db2.gz NSZFVNLRJYEQTI-BONVTDFDSA-N 0 0 290.319 2.657 20 5 CFBDRN Cc1ccccc1CCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000044328710 346391611 /nfs/dbraw/zinc/39/16/11/346391611.db2.gz JPXGITYFYALLFN-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CO[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1C)C1CCC1 ZINC000596621119 349958532 /nfs/dbraw/zinc/95/85/32/349958532.db2.gz RMNOGPMZJUHNLP-ZDUSSCGKSA-N 0 0 278.308 2.657 20 5 CFBDRN CCN(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CC1 ZINC000044545524 346394933 /nfs/dbraw/zinc/39/49/33/346394933.db2.gz MOMNVFVZYCARFY-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN Cc1ocnc1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000176698362 282470450 /nfs/dbraw/zinc/47/04/50/282470450.db2.gz FGLLPLMYLBFYNJ-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CCN(C(=O)Cc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000045486524 346410273 /nfs/dbraw/zinc/41/02/73/346410273.db2.gz YBFPAYCNONPKLF-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN Cc1c(C(=O)N(C)Cc2ccncc2)cccc1[N+](=O)[O-] ZINC000046045391 346418282 /nfs/dbraw/zinc/41/82/82/346418282.db2.gz VDMIECFSLHLGHE-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN C[C@@H](C1CC1)N(C(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000046058689 346419148 /nfs/dbraw/zinc/41/91/48/346419148.db2.gz IVVHSOJVVAFTQH-NSHDSACASA-N 0 0 288.347 2.927 20 5 CFBDRN O=C(NCCC1CCCCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000046072794 346419543 /nfs/dbraw/zinc/41/95/43/346419543.db2.gz ZXLWEOXKFLJCMT-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN Cc1cc(NC(=O)N2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000193727064 232496698 /nfs/dbraw/zinc/49/66/98/232496698.db2.gz DQOWLSMPSRRTMB-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN COCCNC(=O)c1cc2ccccc2c2cccnc12 ZINC000046762381 346433014 /nfs/dbraw/zinc/43/30/14/346433014.db2.gz CCNRVTSGCKGTTN-UHFFFAOYSA-N 0 0 280.327 2.764 20 5 CFBDRN O=C(Nc1cccc(OC(F)F)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000048259094 346471085 /nfs/dbraw/zinc/47/10/85/346471085.db2.gz KJYNFWRIZACPBJ-UHFFFAOYSA-N 0 0 297.217 2.777 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048298371 346471579 /nfs/dbraw/zinc/47/15/79/346471579.db2.gz ILAOLODQVGWPRF-VIFPVBQESA-N 0 0 275.308 2.995 20 5 CFBDRN COc1cc(-c2ccc([N+](=O)[O-])nc2)ccc1CCCO ZINC000596635729 349963780 /nfs/dbraw/zinc/96/37/80/349963780.db2.gz DUDZVJIKBVWGDO-UHFFFAOYSA-N 0 0 288.303 2.590 20 5 CFBDRN CN(C)c1ccc(CNc2ccc([N+](=O)[O-])s2)cn1 ZINC000048999682 346478183 /nfs/dbraw/zinc/47/81/83/346478183.db2.gz QBNUELUXIOAWOO-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN CN(Cc1cscn1)c1ccsc1[N+](=O)[O-] ZINC000049057414 346479101 /nfs/dbraw/zinc/47/91/01/346479101.db2.gz VTANZTQTLPTUJQ-UHFFFAOYSA-N 0 0 255.324 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)OCC1CC1 ZINC000049141074 346479626 /nfs/dbraw/zinc/47/96/26/346479626.db2.gz SUEWEASRUPMBID-JTQLQIEISA-N 0 0 278.308 2.657 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000049156175 346479676 /nfs/dbraw/zinc/47/96/76/346479676.db2.gz HVKGEYOGHBFWOM-SNVBAGLBSA-N 0 0 250.298 2.822 20 5 CFBDRN CC[C@@H](C)NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049266873 346481358 /nfs/dbraw/zinc/48/13/58/346481358.db2.gz HDOPEKMXBPBZNM-NXEZZACHSA-N 0 0 265.313 2.754 20 5 CFBDRN COc1ccc(CN(C)Cc2cc(C)on2)cc1[N+](=O)[O-] ZINC000051739401 346506614 /nfs/dbraw/zinc/50/66/14/346506614.db2.gz UKZFICDKMKMENA-UHFFFAOYSA-N 0 0 291.307 2.532 20 5 CFBDRN CN(Cc1ccccc1Cl)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051863285 346507219 /nfs/dbraw/zinc/50/72/19/346507219.db2.gz HFJSJWZGKCWMJP-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccc(F)c(F)c1 ZINC000051867656 346507377 /nfs/dbraw/zinc/50/73/77/346507377.db2.gz WUXHPEXHQZQKCF-SSDOTTSWSA-N 0 0 295.245 2.692 20 5 CFBDRN CCCC(C)(C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000050497235 346495995 /nfs/dbraw/zinc/49/59/95/346495995.db2.gz KMPXHIJYWWDNAL-UHFFFAOYSA-N 0 0 256.327 2.965 20 5 CFBDRN O=C(NCc1ccc(Cl)cc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000050822627 346497932 /nfs/dbraw/zinc/49/79/32/346497932.db2.gz HRVIBTRIECXAQS-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN C[C@@H](CCc1ccccc1)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051202213 346500834 /nfs/dbraw/zinc/50/08/34/346500834.db2.gz IOPRPDGTYJLQLX-NSHDSACASA-N 0 0 287.319 2.674 20 5 CFBDRN Cc1ccc(C(=O)C(=O)Nc2cccc([N+](=O)[O-])c2)cc1 ZINC000052735587 346527674 /nfs/dbraw/zinc/52/76/74/346527674.db2.gz JPPHITRCLHEMKB-UHFFFAOYSA-N 0 0 284.271 2.725 20 5 CFBDRN CC(C)c1csc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000052772946 346528165 /nfs/dbraw/zinc/52/81/65/346528165.db2.gz YMSYSRZNAPYBIT-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN Cc1ccc(CNCc2csc([N+](=O)[O-])c2)o1 ZINC000053036746 346536568 /nfs/dbraw/zinc/53/65/68/346536568.db2.gz OBEYOYVJFQWITA-UHFFFAOYSA-N 0 0 252.295 2.848 20 5 CFBDRN CNC(=O)c1ccc(OCc2csc([N+](=O)[O-])c2)cc1 ZINC000054461484 346570481 /nfs/dbraw/zinc/57/04/81/346570481.db2.gz ZKZUNRKZZSIIHY-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN O=C(Cc1ccc2c(c1)CCO2)Nc1ccc([N+](=O)[O-])cc1 ZINC000054678577 346574642 /nfs/dbraw/zinc/57/46/42/346574642.db2.gz FVBSKUHAYAZSDZ-UHFFFAOYSA-N 0 0 298.298 2.711 20 5 CFBDRN CCC[C@@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797469 346596811 /nfs/dbraw/zinc/59/68/11/346596811.db2.gz MYFAZXSCOZWVIE-SNVBAGLBSA-N 0 0 250.298 2.647 20 5 CFBDRN CCC[C@@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000059306894 346656593 /nfs/dbraw/zinc/65/65/93/346656593.db2.gz ZXXKXBWZWQMGGY-SNVBAGLBSA-N 0 0 250.298 2.647 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC(n2ccnc2)CC1 ZINC000060296283 346661731 /nfs/dbraw/zinc/66/17/31/346661731.db2.gz FQWBWQOMSASERW-UHFFFAOYSA-N 0 0 290.298 2.772 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000057304480 346625086 /nfs/dbraw/zinc/62/50/86/346625086.db2.gz FAPXWZHRGMUEJY-QWRGUYRKSA-N 0 0 280.324 2.524 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000057303670 346625111 /nfs/dbraw/zinc/62/51/11/346625111.db2.gz XKPOHRRAGXEQMY-VXGBXAGGSA-N 0 0 293.367 2.948 20 5 CFBDRN Cc1cc(OCC(=O)N2CCCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000057947285 346640830 /nfs/dbraw/zinc/64/08/30/346640830.db2.gz JZSPXTYSAZSDST-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN CCCN(C)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000063678766 346706270 /nfs/dbraw/zinc/70/62/70/346706270.db2.gz RPXPSCZEHGGOCF-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN C[C@H](COCC(F)(F)F)Nc1ccc([N+](=O)[O-])cc1 ZINC000064114621 346714851 /nfs/dbraw/zinc/71/48/51/346714851.db2.gz QLTUCMKSYMXMAY-MRVPVSSYSA-N 0 0 278.230 2.974 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)CC2CCCC2)c1 ZINC000064089693 346714948 /nfs/dbraw/zinc/71/49/48/346714948.db2.gz JJWDBNHNXQEOHB-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCCN(CCC)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000061470355 346678377 /nfs/dbraw/zinc/67/83/77/346678377.db2.gz XCBSNSVGGZIPSL-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCc2ncccc21 ZINC000266061671 136600056 /nfs/dbraw/zinc/60/00/56/136600056.db2.gz VDYVIWNEKMMLMO-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@H](C)CC2)cc1[N+](=O)[O-] ZINC000062926614 346693400 /nfs/dbraw/zinc/69/34/00/346693400.db2.gz ZRSDTMGJXPMEEA-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1csc(CCNc2ncc([N+](=O)[O-])cc2C)n1 ZINC000063134380 346697848 /nfs/dbraw/zinc/69/78/48/346697848.db2.gz QYRCXCMPDFDBPZ-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CNC(=O)c1cccc(CNc2ccsc2[N+](=O)[O-])c1 ZINC000063258156 346698285 /nfs/dbraw/zinc/69/82/85/346698285.db2.gz QRPQFYTUCHWGIW-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN C/C=C/C=C/C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000063446738 346700178 /nfs/dbraw/zinc/70/01/78/346700178.db2.gz BUZUVDRBHNSDTJ-MQQKCMAXSA-N 0 0 250.229 2.805 20 5 CFBDRN CCc1nc(CCNc2ccncc2[N+](=O)[O-])cs1 ZINC000066812232 346780272 /nfs/dbraw/zinc/78/02/72/346780272.db2.gz OTETXSPCZMSJIE-UHFFFAOYSA-N 0 0 278.337 2.663 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000066646351 346772701 /nfs/dbraw/zinc/77/27/01/346772701.db2.gz ILHYNNHGCPGWII-VIFPVBQESA-N 0 0 275.308 2.947 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccncc1 ZINC000065836652 346742216 /nfs/dbraw/zinc/74/22/16/346742216.db2.gz IIPAXZYPHIEJST-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000270738351 190793934 /nfs/dbraw/zinc/79/39/34/190793934.db2.gz FLYSBMLWZIAJKP-SFYZADRCSA-N 0 0 268.700 2.634 20 5 CFBDRN CC(C)CCO[C@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000066025368 346746936 /nfs/dbraw/zinc/74/69/36/346746936.db2.gz PJFAAUSFTZZLOC-GFCCVEGCSA-N 0 0 294.351 2.662 20 5 CFBDRN Cn1ncc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1C(F)F ZINC000066274278 346752665 /nfs/dbraw/zinc/75/26/65/346752665.db2.gz GEKAOGHYHUYCCS-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN CN(CCNc1ccncc1[N+](=O)[O-])c1ccccc1 ZINC000066797156 346780029 /nfs/dbraw/zinc/78/00/29/346780029.db2.gz NOXYUZVMUFOPSI-UHFFFAOYSA-N 0 0 272.308 2.538 20 5 CFBDRN COc1nc(C)cc(C)c1CNc1ccncc1[N+](=O)[O-] ZINC000066818985 346781564 /nfs/dbraw/zinc/78/15/64/346781564.db2.gz VGSJMRFJZXIXNI-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CC(C)(C)SCCNc1ncccc1[N+](=O)[O-] ZINC000066817357 346781615 /nfs/dbraw/zinc/78/16/15/346781615.db2.gz CGWXOTCYCJJRHB-UHFFFAOYSA-N 0 0 255.343 2.933 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccccc1 ZINC000067018409 346788395 /nfs/dbraw/zinc/78/83/95/346788395.db2.gz CXTNFQVNBJNDMT-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN O=C(Cc1ccc(F)cc1)NCc1cccc([N+](=O)[O-])c1 ZINC000067071202 346790958 /nfs/dbraw/zinc/79/09/58/346790958.db2.gz LKACCUGGBJESEU-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCc2ncccc21 ZINC000067143317 346792736 /nfs/dbraw/zinc/79/27/36/346792736.db2.gz OSKZBUOSSZELJX-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCC2CCCCC2)c1 ZINC000067295945 346798037 /nfs/dbraw/zinc/79/80/37/346798037.db2.gz BMEPMWFNHWLPIB-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN O=C(N[C@H]1C[C@H]1c1ccccc1)c1ccc([N+](=O)[O-])s1 ZINC000067503462 346806111 /nfs/dbraw/zinc/80/61/11/346806111.db2.gz KKEPBRFKGBHSGQ-QWRGUYRKSA-N 0 0 288.328 2.942 20 5 CFBDRN CC(C)N(C(=O)CNc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000077123487 347168954 /nfs/dbraw/zinc/16/89/54/347168954.db2.gz WOCOOYDFYHAMBM-UHFFFAOYSA-N 0 0 291.351 2.796 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)CCOc1ccccc1 ZINC000076664297 347146729 /nfs/dbraw/zinc/14/67/29/347146729.db2.gz MFQLRUSTZVFGHU-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCN(c2ccccc2)CC1 ZINC000076663972 347147253 /nfs/dbraw/zinc/14/72/53/347147253.db2.gz DLDOQNLNQYYOMM-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H](O)c3ccco3)ccc2c1 ZINC000076685304 347147713 /nfs/dbraw/zinc/14/77/13/347147713.db2.gz WLTXOSKJQQFUOY-CYBMUJFWSA-N 0 0 299.286 2.882 20 5 CFBDRN COc1cccc(CNc2ccc([N+](=O)[O-])nc2)c1OC ZINC000076870948 347157885 /nfs/dbraw/zinc/15/78/85/347157885.db2.gz XFZYWXXDNYCCMN-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1ncnc2c1CCC2 ZINC000076923639 347160667 /nfs/dbraw/zinc/16/06/67/347160667.db2.gz DLWXDMMYTIMIQK-UHFFFAOYSA-N 0 0 287.275 2.674 20 5 CFBDRN Nc1nc(Sc2cccc(Cl)c2)ncc1[N+](=O)[O-] ZINC000076269832 347132321 /nfs/dbraw/zinc/13/23/21/347132321.db2.gz XWBZWGJGTQBQQY-UHFFFAOYSA-N 0 0 282.712 2.772 20 5 CFBDRN CO[C@H]1CCCN(c2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000076291007 347133106 /nfs/dbraw/zinc/13/31/06/347133106.db2.gz MRORMERUYAPBCT-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccccc1OCCNc1ccc([N+](=O)[O-])nc1 ZINC000077524200 347197577 /nfs/dbraw/zinc/19/75/77/347197577.db2.gz KGQYIHLIMFBYIY-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN CCCCCNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000077528406 347198248 /nfs/dbraw/zinc/19/82/48/347198248.db2.gz NBICTEIBDVZHCK-UHFFFAOYSA-N 0 0 280.324 2.670 20 5 CFBDRN CCC[C@H](C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000077532276 347198315 /nfs/dbraw/zinc/19/83/15/347198315.db2.gz SZIPCSBJAZQGEJ-NSHDSACASA-N 0 0 280.324 2.669 20 5 CFBDRN CCCSCC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000077580390 347201886 /nfs/dbraw/zinc/20/18/86/347201886.db2.gz VCRWEULEJJXBPX-UHFFFAOYSA-N 0 0 280.349 2.627 20 5 CFBDRN C[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])CC1(C)OCCO1 ZINC000077210173 347173535 /nfs/dbraw/zinc/17/35/35/347173535.db2.gz PBLDPNRCAWKHGS-SNVBAGLBSA-N 0 0 298.314 2.935 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1cccc(F)c1[N+](=O)[O-] ZINC000077228549 347177526 /nfs/dbraw/zinc/17/75/26/347177526.db2.gz KHWWZNHOFQAKJI-IUCAKERBSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000077229112 347178551 /nfs/dbraw/zinc/17/85/51/347178551.db2.gz CKSWWIGFHXGQLE-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNc1ccncc1[N+](=O)[O-] ZINC000077235163 347179258 /nfs/dbraw/zinc/17/92/58/347179258.db2.gz PHGNYVXKVMWFSS-CYBMUJFWSA-N 0 0 267.329 2.589 20 5 CFBDRN CSC[C@H](CCO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000077236860 347180044 /nfs/dbraw/zinc/18/00/44/347180044.db2.gz ZOYKPYCWTJASFB-VIFPVBQESA-N 0 0 290.772 2.774 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000078426068 347251801 /nfs/dbraw/zinc/25/18/01/347251801.db2.gz ALYUIPFICIPLBI-DTWKUNHWSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)N1CCC[C@H](C)C1 ZINC000077910508 347220220 /nfs/dbraw/zinc/22/02/20/347220220.db2.gz MARGXTILSZWQRE-NSHDSACASA-N 0 0 292.335 2.541 20 5 CFBDRN CC(C)n1ccc(CNc2ccc([N+](=O)[O-])cc2)n1 ZINC000077993231 347223476 /nfs/dbraw/zinc/22/34/76/347223476.db2.gz KHLMEUKGYYSWOM-UHFFFAOYSA-N 0 0 260.297 2.984 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000077991041 347223618 /nfs/dbraw/zinc/22/36/18/347223618.db2.gz CGBIIWDXAFEQOD-QMMMGPOBSA-N 0 0 276.296 2.638 20 5 CFBDRN COc1ccccc1[C@@H]1CCN(c2ccncc2[N+](=O)[O-])C1 ZINC000078021733 347224915 /nfs/dbraw/zinc/22/49/15/347224915.db2.gz RLGSTQDLAAPIBP-GFCCVEGCSA-N 0 0 299.330 2.992 20 5 CFBDRN CC(C)(C)CCC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000078119372 347229091 /nfs/dbraw/zinc/22/90/91/347229091.db2.gz URWGFCNWIKXGAU-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN CC[C@H](CCO)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000078300544 347238511 /nfs/dbraw/zinc/23/85/11/347238511.db2.gz XCKVGGWADNVFRP-MRVPVSSYSA-N 0 0 290.266 2.769 20 5 CFBDRN CC(C)[C@@H](O)CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000078341861 347244135 /nfs/dbraw/zinc/24/41/35/347244135.db2.gz MJOHGDXZLZPFKP-AWEZNQCLSA-N 0 0 289.335 2.962 20 5 CFBDRN COC(=O)[C@@H](CNc1nccc(C)c1[N+](=O)[O-])CC(C)C ZINC000078360856 347246003 /nfs/dbraw/zinc/24/60/03/347246003.db2.gz INKSAZRYQLLCTC-LLVKDONJSA-N 0 0 295.339 2.545 20 5 CFBDRN Cc1nc(Sc2c([N+](=O)[O-])ncn2C)sc1C ZINC000078447296 347253639 /nfs/dbraw/zinc/25/36/39/347253639.db2.gz GKHBHFNLGNXMJK-UHFFFAOYSA-N 0 0 270.339 2.553 20 5 CFBDRN C[C@@]1(CO)CCC[C@@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000078381246 347248358 /nfs/dbraw/zinc/24/83/58/347248358.db2.gz NEQGTKYZTYKPPB-JQWIXIFHSA-N 0 0 285.731 2.606 20 5 CFBDRN CCC[C@H]1C[C@@H]1C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000079322683 347298299 /nfs/dbraw/zinc/29/82/99/347298299.db2.gz RVGOUFXQAOPZQB-RYUDHWBXSA-N 0 0 291.351 2.559 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000078495621 347256985 /nfs/dbraw/zinc/25/69/85/347256985.db2.gz WOULPOXEMIODBI-RKDXNWHRSA-N 0 0 297.336 2.833 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc([N+](=O)[O-])nc2)CCS1 ZINC000078578653 347262660 /nfs/dbraw/zinc/26/26/60/347262660.db2.gz XDBKFDCRWVBBMC-LLVKDONJSA-N 0 0 267.354 2.568 20 5 CFBDRN CCNc1nnc(Sc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000078627938 347265470 /nfs/dbraw/zinc/26/54/70/347265470.db2.gz ULQSZWFTWJEEEN-UHFFFAOYSA-N 0 0 297.365 2.733 20 5 CFBDRN Cc1cnc(NCCN2Cc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000078655545 347267301 /nfs/dbraw/zinc/26/73/01/347267301.db2.gz YOGWYKLPAZTLTK-UHFFFAOYSA-N 0 0 298.346 2.726 20 5 CFBDRN Cc1cnc(N(C)[C@H](C)c2cccnc2)c([N+](=O)[O-])c1 ZINC000078655560 347267483 /nfs/dbraw/zinc/26/74/83/347267483.db2.gz INOHDJJAXSJUSK-LLVKDONJSA-N 0 0 272.308 2.891 20 5 CFBDRN Cc1cnc(N[C@@H](C)C[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000078657781 347268265 /nfs/dbraw/zinc/26/82/65/347268265.db2.gz HIVBFVRKZORFKT-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cnc(N2CCc3ccccc3CC2)c([N+](=O)[O-])c1 ZINC000078664437 347268972 /nfs/dbraw/zinc/26/89/72/347268972.db2.gz UTORWFJXEZVQIB-UHFFFAOYSA-N 0 0 283.331 2.903 20 5 CFBDRN C[C@@H](NC(=O)CN(C)c1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000080223090 347349441 /nfs/dbraw/zinc/34/94/41/347349441.db2.gz ISQSOOAEVXQJBB-LLVKDONJSA-N 0 0 293.367 2.582 20 5 CFBDRN CCN(CC(F)F)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000273303860 192105636 /nfs/dbraw/zinc/10/56/36/192105636.db2.gz MBZSPLXHVWHOPQ-UHFFFAOYSA-N 0 0 265.647 2.735 20 5 CFBDRN CCC[C@H]1C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000079657496 347319732 /nfs/dbraw/zinc/31/97/32/347319732.db2.gz SUWUHSSLZGIUQZ-WCQYABFASA-N 0 0 262.309 2.647 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC(C1CC1)C1CC1 ZINC000081248924 347408551 /nfs/dbraw/zinc/40/85/51/347408551.db2.gz HNXZVLXJKZPNAB-UHFFFAOYSA-N 0 0 288.347 2.690 20 5 CFBDRN CCc1nc(CCNc2cc(C)c([N+](=O)[O-])cn2)cs1 ZINC000080600520 347368634 /nfs/dbraw/zinc/36/86/34/347368634.db2.gz UUZNOOTWIMPXNL-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN Cc1cc(NC[C@H](O)c2ccccc2F)ncc1[N+](=O)[O-] ZINC000080598084 347368802 /nfs/dbraw/zinc/36/88/02/347368802.db2.gz ULIKXDJPYWHWBO-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cc(N2CCC(OCC3CC3)CC2)ncc1[N+](=O)[O-] ZINC000080606055 347369706 /nfs/dbraw/zinc/36/97/06/347369706.db2.gz BQEKYHYCTHFYIH-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2cscn2)c1 ZINC000080608237 347369718 /nfs/dbraw/zinc/36/97/18/347369718.db2.gz ZMFFNUAIZHNURM-UHFFFAOYSA-N 0 0 283.284 2.811 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080637887 347371081 /nfs/dbraw/zinc/37/10/81/347371081.db2.gz UUKXPVFCFRRYMO-OAHLLOKOSA-N 0 0 296.367 2.950 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000080638611 347371778 /nfs/dbraw/zinc/37/17/78/347371778.db2.gz IMXNQSZYVPCDBN-GWCFXTLKSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCN(C)CC(F)(F)F ZINC000080659203 347372809 /nfs/dbraw/zinc/37/28/09/347372809.db2.gz BUCJQMYDXRQECW-UHFFFAOYSA-N 0 0 291.273 2.809 20 5 CFBDRN COC[C@@H](Cc1ccccc1)Nc1ccc([N+](=O)[O-])cn1 ZINC000080683903 347374838 /nfs/dbraw/zinc/37/48/38/347374838.db2.gz DFESQHGUXWBAML-CYBMUJFWSA-N 0 0 287.319 2.659 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000080687779 347375525 /nfs/dbraw/zinc/37/55/25/347375525.db2.gz TTWBRYFJRUWZBA-BDAKNGLRSA-N 0 0 254.286 2.742 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@]2(CCCOC2)C1 ZINC000080688800 347375673 /nfs/dbraw/zinc/37/56/73/347375673.db2.gz BGMLKCRTCBRCAA-HNNXBMFYSA-N 0 0 276.336 2.992 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)[C@@H](C)c1ccccc1 ZINC000080688045 347375732 /nfs/dbraw/zinc/37/57/32/347375732.db2.gz ZUUZUDHKMINSAR-ZJUUUORDSA-N 0 0 287.323 2.571 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000080697326 347376302 /nfs/dbraw/zinc/37/63/02/347376302.db2.gz OWHVRJZQVRHRMT-ZDUSSCGKSA-N 0 0 252.314 2.986 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCc3c2cccc3O)nc1 ZINC000080758103 347378769 /nfs/dbraw/zinc/37/87/69/347378769.db2.gz BMQSVHVLJYXBSO-GFCCVEGCSA-N 0 0 271.276 2.795 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C[C@H](C)S1 ZINC000080962505 347389865 /nfs/dbraw/zinc/38/98/65/347389865.db2.gz PSRBPFSGFSDABO-IUCAKERBSA-N 0 0 298.339 2.700 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](OCCC(C)C)C1 ZINC000081016720 347396766 /nfs/dbraw/zinc/39/67/66/347396766.db2.gz LNBRJXUIKCIYFK-AWEZNQCLSA-N 0 0 293.367 2.940 20 5 CFBDRN CC(C)CCO[C@@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000081016322 347396832 /nfs/dbraw/zinc/39/68/32/347396832.db2.gz GPAOVGYCZXLREG-CYBMUJFWSA-N 0 0 279.340 2.631 20 5 CFBDRN COc1cc(N2CCSC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000081032476 347398671 /nfs/dbraw/zinc/39/86/71/347398671.db2.gz BAIGRXOWHMTTDE-UHFFFAOYSA-N 0 0 282.365 2.935 20 5 CFBDRN CC(=O)[C@H](C)SCC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000083124085 347448922 /nfs/dbraw/zinc/44/89/22/347448922.db2.gz WWAPTZRKOMVPMT-JTQLQIEISA-N 0 0 296.348 2.552 20 5 CFBDRN CO[C@]1(C)C[C@@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000086186978 347483894 /nfs/dbraw/zinc/48/38/94/347483894.db2.gz GLCWYSVDWGVRHQ-IUODEOHRSA-N 0 0 293.367 2.938 20 5 CFBDRN CS[C@H](C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000086167277 179242329 /nfs/dbraw/zinc/24/23/29/179242329.db2.gz SHXDQKOSCKKSFQ-SECBINFHSA-N 0 0 268.338 2.902 20 5 CFBDRN Cc1occc1CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087168346 347493124 /nfs/dbraw/zinc/49/31/24/347493124.db2.gz IIQYDVMQTJCWSK-UHFFFAOYSA-N 0 0 288.303 2.745 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2cccc3c2OCO3)nc1 ZINC000084503277 347466908 /nfs/dbraw/zinc/46/69/08/347466908.db2.gz VWHQAHLQRLLKKS-SNAWJCMRSA-N 0 0 270.244 2.889 20 5 CFBDRN C[C@H](C(=O)N(C)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000410614589 232702185 /nfs/dbraw/zinc/70/21/85/232702185.db2.gz KQOLNERCVNSVBI-JTQLQIEISA-N 0 0 262.309 2.994 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cn1 ZINC000103014007 185803299 /nfs/dbraw/zinc/80/32/99/185803299.db2.gz NISAXKAQAHBUFQ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2c3ccccc3C[C@H]2O)c1 ZINC000088718475 347507709 /nfs/dbraw/zinc/50/77/09/347507709.db2.gz ABBWGURODLKNEN-CVEARBPZSA-N 0 0 284.315 2.973 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H](C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000088715918 347507972 /nfs/dbraw/zinc/50/79/72/347507972.db2.gz NTHUZICOZLYUAO-QWRGUYRKSA-N 0 0 279.340 2.618 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)C[C@H](C)O)c1 ZINC000088725158 347508511 /nfs/dbraw/zinc/50/85/11/347508511.db2.gz NDAPKZBQZNZJBD-MNOVXSKESA-N 0 0 252.314 2.722 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000128567458 187378363 /nfs/dbraw/zinc/37/83/63/187378363.db2.gz CJYACTLUEINSPL-GFCCVEGCSA-N 0 0 294.351 2.838 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2CO)nc1-c1ccccc1 ZINC000090085412 347539947 /nfs/dbraw/zinc/53/99/47/347539947.db2.gz HEFIGSWUKDVFMD-CYBMUJFWSA-N 0 0 299.330 2.618 20 5 CFBDRN CC[C@@H](CSC)N(C)c1ncccc1[N+](=O)[O-] ZINC000091022418 347567147 /nfs/dbraw/zinc/56/71/47/347567147.db2.gz ZPJDICXJMROBRK-VIFPVBQESA-N 0 0 255.343 2.568 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1c2ccccc2C[C@H]1O ZINC000091430626 347585540 /nfs/dbraw/zinc/58/55/40/347585540.db2.gz JPIZKZKQXNARBF-HZPDHXFCSA-N 0 0 284.315 2.973 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)Cc1ccccn1 ZINC000091456413 347587584 /nfs/dbraw/zinc/58/75/84/347587584.db2.gz CDMSOJFXOPFPBA-UHFFFAOYSA-N 0 0 287.319 2.773 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCO1 ZINC000091505207 347591441 /nfs/dbraw/zinc/59/14/41/347591441.db2.gz GJYKLUPNITVPMW-OLZOCXBDSA-N 0 0 250.298 2.964 20 5 CFBDRN CN(C[C@@H](O)C(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl ZINC000091629498 347594340 /nfs/dbraw/zinc/59/43/40/347594340.db2.gz QTUKNUSJBGRWJH-SECBINFHSA-N 0 0 298.648 2.608 20 5 CFBDRN CC(C)(CNC(=O)c1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000091861868 347601505 /nfs/dbraw/zinc/60/15/05/347601505.db2.gz DRYYGPDUQCYCAF-UHFFFAOYSA-N 0 0 299.330 2.697 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Oc1ccc2c(c1)CCC(=O)N2 ZINC000092584736 347633370 /nfs/dbraw/zinc/63/33/70/347633370.db2.gz RKNMRQLLPOPRPO-UHFFFAOYSA-N 0 0 299.286 2.975 20 5 CFBDRN Cc1cc(N[C@H]2c3ccccc3C[C@@H]2O)ccc1[N+](=O)[O-] ZINC000092608610 347634426 /nfs/dbraw/zinc/63/44/26/347634426.db2.gz VXASXVLFFFTDBE-HOTGVXAUSA-N 0 0 284.315 2.973 20 5 CFBDRN CCOc1cc(N2C[C@@H](C)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000092606022 347634448 /nfs/dbraw/zinc/63/44/48/347634448.db2.gz PXZPUUWZPAZZTE-WDEREUQCSA-N 0 0 280.324 2.607 20 5 CFBDRN CCOc1cc(N(CC)C[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000092621939 347634957 /nfs/dbraw/zinc/63/49/57/347634957.db2.gz PTSDURPXEDQFDQ-ZDUSSCGKSA-N 0 0 294.351 2.999 20 5 CFBDRN CCOc1cc(NCCCCC(=O)OC)ccc1[N+](=O)[O-] ZINC000092623403 347635153 /nfs/dbraw/zinc/63/51/53/347635153.db2.gz OYABPIWQYIGNIV-UHFFFAOYSA-N 0 0 296.323 2.749 20 5 CFBDRN CCCNC(=O)CN(CCC)c1ccc([N+](=O)[O-])c(C)c1 ZINC000092664285 347638618 /nfs/dbraw/zinc/63/86/18/347638618.db2.gz SNGWEOHUQFORHT-UHFFFAOYSA-N 0 0 293.367 2.646 20 5 CFBDRN CCOc1cc(NCCn2cccc2)ccc1[N+](=O)[O-] ZINC000092667266 347639054 /nfs/dbraw/zinc/63/90/54/347639054.db2.gz OZKKDRBHKANFTJ-UHFFFAOYSA-N 0 0 275.308 2.907 20 5 CFBDRN CCC(CC)(CNc1ncc([N+](=O)[O-])cc1C)C(=O)OC ZINC000092687762 347641047 /nfs/dbraw/zinc/64/10/47/347641047.db2.gz CXCOFOTYQWCGJU-UHFFFAOYSA-N 0 0 295.339 2.690 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2ncoc2C)c1 ZINC000092692142 347641649 /nfs/dbraw/zinc/64/16/49/347641649.db2.gz VZSSXWRBHKUOCX-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN CCc1nn(C)c(N(C)CC2CCCC2)c1[N+](=O)[O-] ZINC000094151522 347692905 /nfs/dbraw/zinc/69/29/05/347692905.db2.gz ATOZYZOPTLKTER-UHFFFAOYSA-N 0 0 266.345 2.517 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1(CO)CCCCC1 ZINC000094432629 347695175 /nfs/dbraw/zinc/69/51/75/347695175.db2.gz DWURHDHGMWYUGQ-UHFFFAOYSA-N 0 0 264.325 2.950 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnc(C2CC2)nc1 ZINC000103017330 347721024 /nfs/dbraw/zinc/72/10/24/347721024.db2.gz YZVPXYMWPXIINU-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000103368594 185834353 /nfs/dbraw/zinc/83/43/53/185834353.db2.gz COHGTGXGFLJOKN-AOOOYVTPSA-N 0 0 277.324 2.701 20 5 CFBDRN Cc1ccnc(N[C@@H](C)C[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000115516736 347780163 /nfs/dbraw/zinc/78/01/63/347780163.db2.gz QBPWCTCEAARYHT-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN COc1cc(NCCCCn2ccnc2)ccc1[N+](=O)[O-] ZINC000111049131 347752268 /nfs/dbraw/zinc/75/22/68/347752268.db2.gz WGAKIBPJWBFLED-UHFFFAOYSA-N 0 0 290.323 2.692 20 5 CFBDRN CSc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)o1 ZINC000112240495 347759299 /nfs/dbraw/zinc/75/92/99/347759299.db2.gz WJOQMYDRKVOCFY-UHFFFAOYSA-N 0 0 292.316 2.840 20 5 CFBDRN C[C@@H](NC(=O)NCCc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000112444976 347761042 /nfs/dbraw/zinc/76/10/42/347761042.db2.gz FDEDOKXJTBFDJZ-LLVKDONJSA-N 0 0 293.367 2.871 20 5 CFBDRN CSCCCCNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000125840153 347830349 /nfs/dbraw/zinc/83/03/49/347830349.db2.gz ZOYDJIKVXVNQBL-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN Cc1sc(C(=O)NCCCC2CC2)cc1[N+](=O)[O-] ZINC000128087134 347847333 /nfs/dbraw/zinc/84/73/33/347847333.db2.gz XJRNXJCVIUZQOF-UHFFFAOYSA-N 0 0 268.338 2.885 20 5 CFBDRN CC(C)(C)CCCNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000126494022 347835484 /nfs/dbraw/zinc/83/54/84/347835484.db2.gz YBEINXKNQHDYSA-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000129546332 347862883 /nfs/dbraw/zinc/86/28/83/347862883.db2.gz UWNHCMYVWNADOA-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN CCn1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cn1 ZINC000086613912 179311040 /nfs/dbraw/zinc/31/10/40/179311040.db2.gz AKSHFGQAUVBDND-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1nccs1 ZINC000131034834 347875859 /nfs/dbraw/zinc/87/58/59/347875859.db2.gz APFDTTHXTPVWON-NSHDSACASA-N 0 0 291.332 2.932 20 5 CFBDRN CN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1nccs1 ZINC000129327519 347860139 /nfs/dbraw/zinc/86/01/39/347860139.db2.gz QSUAPCKXTJHSGQ-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN CCCCOCCCNc1cccnc1[N+](=O)[O-] ZINC000132189917 347882735 /nfs/dbraw/zinc/88/27/35/347882735.db2.gz CSEFTZSLAHFEFJ-UHFFFAOYSA-N 0 0 253.302 2.609 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccncc2F)cc1[N+](=O)[O-] ZINC000132333079 347883977 /nfs/dbraw/zinc/88/39/77/347883977.db2.gz ICHVEPMCHZHIQZ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@H](CO)CNc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000086631124 179314145 /nfs/dbraw/zinc/31/41/45/179314145.db2.gz LPVMBRAEYTXHNO-ZETCQYMHSA-N 0 0 278.230 2.654 20 5 CFBDRN CS(=O)(=O)c1ccc(NCCCC2CC2)c([N+](=O)[O-])c1 ZINC000134829976 347901716 /nfs/dbraw/zinc/90/17/16/347901716.db2.gz ZNOWYOVFXFLJCJ-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OC1CCCCC1 ZINC000143146685 347936092 /nfs/dbraw/zinc/93/60/92/347936092.db2.gz JHMAKNSKXVTXQL-UHFFFAOYSA-N 0 0 279.292 2.850 20 5 CFBDRN COc1ccc(C(=O)N(C)C2CCCC2)cc1[N+](=O)[O-] ZINC000139162657 347918632 /nfs/dbraw/zinc/91/86/32/347918632.db2.gz ORNOJORUKBRAKG-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1ccc(C(=O)NC2(C)CCCC2)cc1[N+](=O)[O-] ZINC000139288745 347919313 /nfs/dbraw/zinc/91/93/13/347919313.db2.gz DNNPBZGKWUZOAG-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN CC(C)(C)OC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000148785123 347951440 /nfs/dbraw/zinc/95/14/40/347951440.db2.gz NPKYNGZJDNKNDN-UHFFFAOYSA-N 0 0 266.297 2.662 20 5 CFBDRN CN(CCc1ccccn1)c1ccc([N+](=O)[O-])cc1F ZINC000149374617 347952585 /nfs/dbraw/zinc/95/25/85/347952585.db2.gz IHSWTSJLHBRYEX-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)C1CCC1 ZINC000156156964 347992814 /nfs/dbraw/zinc/99/28/14/347992814.db2.gz AOCJDQFYCPQVNM-VIFPVBQESA-N 0 0 293.323 2.914 20 5 CFBDRN CC(C)OC1CCC(NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000156363540 347994652 /nfs/dbraw/zinc/99/46/52/347994652.db2.gz HVEFTBVABRAAQK-UHFFFAOYSA-N 0 0 296.323 2.654 20 5 CFBDRN CN(C(=O)[C@H]1C[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000158275357 348006226 /nfs/dbraw/zinc/00/62/26/348006226.db2.gz MRRKQEFHNXHADR-STQMWFEESA-N 0 0 260.293 2.604 20 5 CFBDRN CNc1c(C(=O)N(C)CCC(C)C)cccc1[N+](=O)[O-] ZINC000161469286 348024733 /nfs/dbraw/zinc/02/47/33/348024733.db2.gz FMBGAVLIQDYLHU-UHFFFAOYSA-N 0 0 279.340 2.755 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)NCCCF)cc1[N+](=O)[O-] ZINC000161579854 348025218 /nfs/dbraw/zinc/02/52/18/348025218.db2.gz QDSPQODKIQKUKO-UHFFFAOYSA-N 0 0 282.315 2.982 20 5 CFBDRN O=C(CCCc1ccccn1)NCc1ccccc1[N+](=O)[O-] ZINC000171675810 348078521 /nfs/dbraw/zinc/07/85/21/348078521.db2.gz ZIRFNLUVHQNOLI-UHFFFAOYSA-N 0 0 299.330 2.629 20 5 CFBDRN Cc1csc([C@H](C)NC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000170772715 348062236 /nfs/dbraw/zinc/06/22/36/348062236.db2.gz UGXJIFXRNLNYQC-ZETCQYMHSA-N 0 0 297.361 2.912 20 5 CFBDRN CN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1cccnc1 ZINC000172426941 348093620 /nfs/dbraw/zinc/09/36/20/348093620.db2.gz TUIJPRMQOGGWHH-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN COc1cc(C(=O)NC[C@@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000172450800 348095139 /nfs/dbraw/zinc/09/51/39/348095139.db2.gz WVCDPABJGLASCI-SNVBAGLBSA-N 0 0 280.324 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccccn1 ZINC000198785493 348151330 /nfs/dbraw/zinc/15/13/30/348151330.db2.gz KZXWMEMGQFTNQU-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN COc1cccc(CCNc2cccnc2[N+](=O)[O-])c1 ZINC000225730545 348179473 /nfs/dbraw/zinc/17/94/73/348179473.db2.gz HITUXAFIORMWPM-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CCOc1cc(NCC2CCC(O)CC2)ccc1[N+](=O)[O-] ZINC000227702184 348190383 /nfs/dbraw/zinc/19/03/83/348190383.db2.gz PYORRFDLSUNQSU-UHFFFAOYSA-N 0 0 294.351 2.957 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H](OC)C2(C)C)c1 ZINC000227821606 348190607 /nfs/dbraw/zinc/19/06/07/348190607.db2.gz KAEPUYZOYUQMQV-CHWSQXEVSA-N 0 0 280.324 2.829 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000227820425 348191064 /nfs/dbraw/zinc/19/10/64/348191064.db2.gz UDUFGGDGBXHCPO-WDEREUQCSA-N 0 0 265.313 2.524 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000227821143 348191126 /nfs/dbraw/zinc/19/11/26/348191126.db2.gz BBYFKRKJWHPSKX-VXGBXAGGSA-N 0 0 250.298 2.820 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])C1(C)C ZINC000227820668 348191140 /nfs/dbraw/zinc/19/11/40/348191140.db2.gz JWMLSMOMKXSEEJ-SFYZADRCSA-N 0 0 296.352 2.529 20 5 CFBDRN C[C@@H](CCO)Sc1c(F)cc([N+](=O)[O-])cc1F ZINC000227891072 348191494 /nfs/dbraw/zinc/19/14/94/348191494.db2.gz YLLXNGVHISKCBY-LURJTMIESA-N 0 0 263.265 2.736 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC1CCCCC1 ZINC000086962833 179369404 /nfs/dbraw/zinc/36/94/04/179369404.db2.gz UVSWANROBMHGIL-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN CCOc1cc(N[C@H]2CCO[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230307380 348205098 /nfs/dbraw/zinc/20/50/98/348205098.db2.gz JXHYJAJMNJWUMP-WFASDCNBSA-N 0 0 292.335 2.973 20 5 CFBDRN CN(C(=O)C[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000087069759 179391171 /nfs/dbraw/zinc/39/11/71/179391171.db2.gz DQRWBZOCGXSCEZ-ZDUSSCGKSA-N 0 0 278.308 2.517 20 5 CFBDRN C[C@@H](NC(=O)C[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000087142578 179397301 /nfs/dbraw/zinc/39/73/01/179397301.db2.gz AITCSXOKXDLSHO-BXUZGUMPSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H](NC(=O)NCCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000271739610 348332320 /nfs/dbraw/zinc/33/23/20/348332320.db2.gz VWYYRIOJKSUMBW-SNVBAGLBSA-N 0 0 277.324 2.755 20 5 CFBDRN C[C@H]1C[C@@H](c2ccccc2)N(c2ncc([N+](=O)[O-])cn2)C1 ZINC000286205597 348346758 /nfs/dbraw/zinc/34/67/58/348346758.db2.gz AZQAFRIDIOZNGI-FZMZJTMJSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@H]1C[C@H](c2ccccc2)N(c2ncc([N+](=O)[O-])cn2)C1 ZINC000286205610 348346762 /nfs/dbraw/zinc/34/67/62/348346762.db2.gz AZQAFRIDIOZNGI-SMDDNHRTSA-N 0 0 284.319 2.972 20 5 CFBDRN Cc1cc(N(C)CCc2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000286342526 348347004 /nfs/dbraw/zinc/34/70/04/348347004.db2.gz CEUFFVKJNABRDV-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN Cc1ccnc(N[C@H]2CCS[C@@H]2C)c1[N+](=O)[O-] ZINC000310453032 348409578 /nfs/dbraw/zinc/40/95/78/348409578.db2.gz CBHQWHLKADOEGQ-BDAKNGLRSA-N 0 0 253.327 2.604 20 5 CFBDRN C[C@@H](O)CCNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000310874607 348410945 /nfs/dbraw/zinc/41/09/45/348410945.db2.gz SGHVDZBRLBLAMA-SSDOTTSWSA-N 0 0 260.240 2.715 20 5 CFBDRN O=c1ccn(CC2CCC(F)(F)CC2)cc1[N+](=O)[O-] ZINC000413001738 232999437 /nfs/dbraw/zinc/99/94/37/232999437.db2.gz SACAWUZNOVGVES-UHFFFAOYSA-N 0 0 272.251 2.582 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Sc1ccccc1O ZINC000311476559 348412943 /nfs/dbraw/zinc/41/29/43/348412943.db2.gz ZSSKZDRNZCTLDJ-UHFFFAOYSA-N 0 0 279.321 2.976 20 5 CFBDRN CN(Cc1cccc(Cl)c1)c1ccc(N)c([N+](=O)[O-])n1 ZINC000313077798 348421096 /nfs/dbraw/zinc/42/10/96/348421096.db2.gz WMFZNLRWTOSSOF-UHFFFAOYSA-N 0 0 292.726 2.862 20 5 CFBDRN Nc1ccc(NCc2ccccc2Cl)nc1[N+](=O)[O-] ZINC000311507328 348413223 /nfs/dbraw/zinc/41/32/23/348413223.db2.gz GOLUMSBSKKKIMF-UHFFFAOYSA-N 0 0 278.699 2.838 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000311848235 348415308 /nfs/dbraw/zinc/41/53/08/348415308.db2.gz OLXLJRIKBNUNRQ-SFYZADRCSA-N 0 0 274.267 2.961 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCC(F)(F)C2)nc2sccn21 ZINC000312003476 348416339 /nfs/dbraw/zinc/41/63/39/348416339.db2.gz HHVPFKQNOVBHJB-LURJTMIESA-N 0 0 288.279 2.904 20 5 CFBDRN COCCOCCNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000312612306 348418949 /nfs/dbraw/zinc/41/89/49/348418949.db2.gz QIAAUTVTXDUUQY-UHFFFAOYSA-N 0 0 290.266 2.607 20 5 CFBDRN Cc1cc(NCc2cn(C(C)C)nn2)ccc1[N+](=O)[O-] ZINC000312611615 348419332 /nfs/dbraw/zinc/41/93/32/348419332.db2.gz OLXRGVSBGKZVJT-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN C[C@]1(CNc2ncnc3sc([N+](=O)[O-])cc32)CCCO1 ZINC000312667227 348420299 /nfs/dbraw/zinc/42/02/99/348420299.db2.gz WVJXJHHWDXMOMD-GFCCVEGCSA-N 0 0 294.336 2.581 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H](C(C)C)C2CC2)n1 ZINC000323852253 348438146 /nfs/dbraw/zinc/43/81/46/348438146.db2.gz MUZBLEWIHQPGED-GFCCVEGCSA-N 0 0 293.323 2.623 20 5 CFBDRN CC[C@@](C)(CNc1ncnc2ccc([N+](=O)[O-])cc21)OC ZINC000323083176 348438395 /nfs/dbraw/zinc/43/83/95/348438395.db2.gz ZBSYKLOAURPZNM-AWEZNQCLSA-N 0 0 290.323 2.765 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2Nc1ccncc1[N+](=O)[O-] ZINC000340156413 539640544 /nfs/dbraw/zinc/64/05/44/539640544.db2.gz FDBPESYWLTVWMY-CYBMUJFWSA-N 0 0 269.304 2.820 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H](C(C)C)C2CC2)c([N+](=O)[O-])c1 ZINC000324320183 348439698 /nfs/dbraw/zinc/43/96/98/348439698.db2.gz YCMJNJMUCCHQCG-AWEZNQCLSA-N 0 0 291.351 2.801 20 5 CFBDRN Cn1cc(CCNc2ccc(C(F)F)cc2[N+](=O)[O-])cn1 ZINC000314637952 348426965 /nfs/dbraw/zinc/42/69/65/348426965.db2.gz VZBIUPXVXLAYGP-UHFFFAOYSA-N 0 0 296.277 2.921 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N[C@@H]3C[C@H]4CC[C@@H]3O4)c2c1 ZINC000413133209 233023180 /nfs/dbraw/zinc/02/31/80/233023180.db2.gz CPQPYYBAEAEMLP-BNOWGMLFSA-N 0 0 285.303 2.875 20 5 CFBDRN Cc1ncsc1CN(C)c1ncc([N+](=O)[O-])cc1F ZINC000413143446 233023623 /nfs/dbraw/zinc/02/36/23/233023623.db2.gz WSYBACWSKWLJPE-UHFFFAOYSA-N 0 0 282.300 2.530 20 5 CFBDRN O=C(CCCc1cccnc1)NCc1ccccc1[N+](=O)[O-] ZINC000596826019 349993643 /nfs/dbraw/zinc/99/36/43/349993643.db2.gz WITFKUUCSJFSFD-UHFFFAOYSA-N 0 0 299.330 2.629 20 5 CFBDRN COc1ccc(NC(=O)N[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000596830193 349994404 /nfs/dbraw/zinc/99/44/04/349994404.db2.gz AYGCVBMAYJDOHT-YMTOWFKASA-N 0 0 291.307 2.668 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCCC(C)(C)F ZINC000596845939 349996641 /nfs/dbraw/zinc/99/66/41/349996641.db2.gz ZGOQDFKMCQUVJQ-UHFFFAOYSA-N 0 0 299.302 2.863 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)Nc3ccc([N+](=O)[O-])cc3F)C[C@@H]21 ZINC000273386892 192135659 /nfs/dbraw/zinc/13/56/59/192135659.db2.gz JFZNMMMHTHNTNE-AOOOYVTPSA-N 0 0 293.298 2.854 20 5 CFBDRN COCC1(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1 ZINC000351327743 348492487 /nfs/dbraw/zinc/49/24/87/348492487.db2.gz YKHKGMQGZQAARV-UHFFFAOYSA-N 0 0 289.291 2.614 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000413175360 233028860 /nfs/dbraw/zinc/02/88/60/233028860.db2.gz STZYYDQZEGWQJQ-SNVBAGLBSA-N 0 0 271.292 2.602 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(Cc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000351427357 348496164 /nfs/dbraw/zinc/49/61/64/348496164.db2.gz QSIILALWNSXKRL-XCBNKYQSSA-N 0 0 277.255 2.831 20 5 CFBDRN CC[C@H](O)CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000394155414 348548341 /nfs/dbraw/zinc/54/83/41/348548341.db2.gz QDSYLHGREWXUMX-QMMMGPOBSA-N 0 0 260.240 2.715 20 5 CFBDRN C[C@H]1C[C@@H](Nc2c(Cl)cccc2[N+](=O)[O-])CO1 ZINC000402392114 348581727 /nfs/dbraw/zinc/58/17/27/348581727.db2.gz VCHGAMKYPCJEGB-JGVFFNPUSA-N 0 0 256.689 2.838 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c(Cl)cccc2[N+](=O)[O-])CO1 ZINC000402392116 348581862 /nfs/dbraw/zinc/58/18/62/348581862.db2.gz VCHGAMKYPCJEGB-SFYZADRCSA-N 0 0 256.689 2.838 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCOC1 ZINC000402589432 348582172 /nfs/dbraw/zinc/58/21/72/348582172.db2.gz KFKJGSGZOFOBND-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@H]3CC[C@H](O)C3)c2c1 ZINC000413260131 233042900 /nfs/dbraw/zinc/04/29/00/233042900.db2.gz IXPDTPGNNDOOAA-GWCFXTLKSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H]1CC[C@H](CNc2ncc([N+](=O)[O-])cc2F)C1 ZINC000413259227 233042958 /nfs/dbraw/zinc/04/29/58/233042958.db2.gz DBJYAZDACCBIHF-IUCAKERBSA-N 0 0 253.277 2.977 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@H]3CC[C@@H](O)C3)c2c1 ZINC000413260133 233043009 /nfs/dbraw/zinc/04/30/09/233043009.db2.gz IXPDTPGNNDOOAA-GXFFZTMASA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H]1CCC[C@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000413262815 233043457 /nfs/dbraw/zinc/04/34/57/233043457.db2.gz GIZLOUKBJNSQNN-IUCAKERBSA-N 0 0 253.277 2.977 20 5 CFBDRN C[C@@H](C[C@@H](O)c1cccs1)Nc1ccc([N+](=O)[O-])cn1 ZINC000128918209 187399167 /nfs/dbraw/zinc/39/91/67/187399167.db2.gz CVICGMJTNLQLFD-GXSJLCMTSA-N 0 0 293.348 2.975 20 5 CFBDRN C[C@@H](C[C@H](O)c1cccs1)Nc1ccc([N+](=O)[O-])cn1 ZINC000128917721 187399287 /nfs/dbraw/zinc/39/92/87/187399287.db2.gz CVICGMJTNLQLFD-ONGXEEELSA-N 0 0 293.348 2.975 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])[C@]12CCCO2 ZINC000273451890 192156207 /nfs/dbraw/zinc/15/62/07/192156207.db2.gz DTNVYRSIPGSNBA-RBSFLKMASA-N 0 0 292.335 2.733 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccccc2[N+](=O)[O-])[C@@]12CCCO2 ZINC000273451896 192156522 /nfs/dbraw/zinc/15/65/22/192156522.db2.gz DTNVYRSIPGSNBA-ZNMIVQPWSA-N 0 0 292.335 2.733 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC(F)(F)C2)c(F)c1 ZINC000413278116 233046042 /nfs/dbraw/zinc/04/60/42/233046042.db2.gz YNEYCHKTFBTGDZ-ZETCQYMHSA-N 0 0 275.230 2.976 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CC[C@@H](C)O1 ZINC000413371898 233062301 /nfs/dbraw/zinc/06/23/01/233062301.db2.gz FMIQFNLWAXSFGN-MFKMUULPSA-N 0 0 280.324 2.721 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H](C(F)F)C1 ZINC000588040613 348767806 /nfs/dbraw/zinc/76/78/06/348767806.db2.gz GXPIHRKSUPJKFI-ZETCQYMHSA-N 0 0 260.215 2.825 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000588039766 348767838 /nfs/dbraw/zinc/76/78/38/348767838.db2.gz KJXSLBLAHLMHHI-ZJUUUORDSA-N 0 0 279.340 2.517 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000588039765 348767866 /nfs/dbraw/zinc/76/78/66/348767866.db2.gz KJXSLBLAHLMHHI-VHSXEESVSA-N 0 0 279.340 2.517 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC2(C1)CC(F)(F)C2 ZINC000588040559 348767901 /nfs/dbraw/zinc/76/79/01/348767901.db2.gz CURYJPVHMYMUQU-UHFFFAOYSA-N 0 0 260.265 2.892 20 5 CFBDRN CN(c1ncnc2sc([N+](=O)[O-])cc21)[C@@H]1CC1(C)C ZINC000588035550 348768071 /nfs/dbraw/zinc/76/80/71/348768071.db2.gz XKYHGGWUYVBFCB-MRVPVSSYSA-N 0 0 278.337 2.834 20 5 CFBDRN CN(c1ncnc2sc([N+](=O)[O-])cc21)[C@H]1CC1(C)C ZINC000588035554 348768221 /nfs/dbraw/zinc/76/82/21/348768221.db2.gz XKYHGGWUYVBFCB-QMMMGPOBSA-N 0 0 278.337 2.834 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCC[C@H]4C[C@H]43)c21 ZINC000588066194 348769816 /nfs/dbraw/zinc/76/98/16/348769816.db2.gz JFJLVSBMLNGDBN-JOYOIKCWSA-N 0 0 270.292 2.527 20 5 CFBDRN Cc1cc(N[C@H]2CCc3cncn3C2)ccc1[N+](=O)[O-] ZINC000588067696 348769844 /nfs/dbraw/zinc/76/98/44/348769844.db2.gz JURBRAHCFKQAET-LBPRGKRZSA-N 0 0 272.308 2.527 20 5 CFBDRN C[C@@H]1C[C@H]([NH+]2CCCC2)CN1c1ccc([N+](=O)[O-])s1 ZINC000588071852 348770640 /nfs/dbraw/zinc/77/06/40/348770640.db2.gz HETCFGJUVNCRSX-MNOVXSKESA-N 0 0 281.381 2.719 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC1(F)F ZINC000588180286 348778334 /nfs/dbraw/zinc/77/83/34/348778334.db2.gz PWYJLVDWGSPUDF-NSHDSACASA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCCC2(F)F)ccc1[N+](=O)[O-] ZINC000588180434 348778444 /nfs/dbraw/zinc/77/84/44/348778444.db2.gz YNGHLARYYKWTPX-LLVKDONJSA-N 0 0 284.262 2.821 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H]1CCCC1(F)F ZINC000588311621 348783821 /nfs/dbraw/zinc/78/38/21/348783821.db2.gz IBUXBKDDNPYVOM-NSHDSACASA-N 0 0 299.277 2.582 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCC2(F)F)c1 ZINC000588788128 348795869 /nfs/dbraw/zinc/79/58/69/348795869.db2.gz JAQCPRFGFGOZJR-NSHDSACASA-N 0 0 284.262 2.821 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](C)C[C@H](C)C1 ZINC000588822808 348796559 /nfs/dbraw/zinc/79/65/59/348796559.db2.gz AWNYVQIOAVKAQF-MNOVXSKESA-N 0 0 280.372 2.992 20 5 CFBDRN CCN(Cc1ccoc1)c1c([N+](=O)[O-])nc(C)n1CC ZINC000588817113 348796862 /nfs/dbraw/zinc/79/68/62/348796862.db2.gz MHNWSTMLRHDIGB-UHFFFAOYSA-N 0 0 278.312 2.739 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@H](C)[C@@H](F)C1 ZINC000588824661 348797461 /nfs/dbraw/zinc/79/74/61/348797461.db2.gz HJMNRORBURDLTC-ZANVPECISA-N 0 0 280.299 2.982 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1nccc(C(F)F)n1 ZINC000588851690 348798724 /nfs/dbraw/zinc/79/87/24/348798724.db2.gz JCZVPEBEQZWVHN-UHFFFAOYSA-N 0 0 286.263 2.996 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2C)C12CCC2 ZINC000413439467 233072856 /nfs/dbraw/zinc/07/28/56/233072856.db2.gz JYOKTRGZHRODBX-NEPJUHHUSA-N 0 0 277.324 2.668 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000588862437 348799848 /nfs/dbraw/zinc/79/98/48/348799848.db2.gz BHDYAEZOLZTIOP-GFCCVEGCSA-N 0 0 270.354 2.645 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC[C@@H]2C[C@@H]21 ZINC000586897389 348759985 /nfs/dbraw/zinc/75/99/85/348759985.db2.gz AFWLLBGDJXUSOI-ABAIWWIYSA-N 0 0 299.330 2.560 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H]2C[C@H]21 ZINC000586890723 348760004 /nfs/dbraw/zinc/76/00/04/348760004.db2.gz MUZLYDYJQOWPTG-GXFFZTMASA-N 0 0 260.293 2.528 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC[C@H]2C2CC2)s1 ZINC000587862088 348762499 /nfs/dbraw/zinc/76/24/99/348762499.db2.gz GILOUXLBMBIOQT-JTQLQIEISA-N 0 0 290.348 2.978 20 5 CFBDRN CC[C@H](C)N(C)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000587996363 348764773 /nfs/dbraw/zinc/76/47/73/348764773.db2.gz QMLYOXNFIXGJLD-ZETCQYMHSA-N 0 0 266.326 2.834 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1c(F)cccc1[N+](=O)[O-] ZINC000587998392 348765401 /nfs/dbraw/zinc/76/54/01/348765401.db2.gz MAHSDKSYQAUTFL-VHSXEESVSA-N 0 0 268.288 2.961 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC2(CC(F)C2)C1 ZINC000588005651 348765982 /nfs/dbraw/zinc/76/59/82/348765982.db2.gz IGQNCOUPLVMCNK-UHFFFAOYSA-N 0 0 254.236 2.672 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCC[C@@H]2C2CC2)c1[N+](=O)[O-] ZINC000413477989 233080449 /nfs/dbraw/zinc/08/04/49/233080449.db2.gz HRIRTSBVKGWOBS-VXGBXAGGSA-N 0 0 278.356 2.875 20 5 CFBDRN COCC[C@@H](C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000413487716 233081537 /nfs/dbraw/zinc/08/15/37/233081537.db2.gz CQKJAPKMKFWYML-SECBINFHSA-N 0 0 256.277 2.818 20 5 CFBDRN C[C@@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000589103759 348810690 /nfs/dbraw/zinc/81/06/90/348810690.db2.gz LJSNVLMUIQHZML-MRVPVSSYSA-N 0 0 255.705 2.880 20 5 CFBDRN COCCNc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000589101626 348811014 /nfs/dbraw/zinc/81/10/14/348811014.db2.gz DHTCTECEBHSRQS-UHFFFAOYSA-N 0 0 264.203 2.672 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC1(C(F)F)CCCC1 ZINC000589117363 348811264 /nfs/dbraw/zinc/81/12/64/348811264.db2.gz NKECXTZEQAPVNU-UHFFFAOYSA-N 0 0 298.289 2.831 20 5 CFBDRN C[C@H]1C[C@@H]1c1nc(CCNc2ccccc2[N+](=O)[O-])no1 ZINC000589124395 348812581 /nfs/dbraw/zinc/81/25/81/348812581.db2.gz XLWOZRLZIVGUMS-UWVGGRQHSA-N 0 0 288.307 2.756 20 5 CFBDRN COCC[C@H](C)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000413498990 233084571 /nfs/dbraw/zinc/08/45/71/233084571.db2.gz YDVOIINNGKWVQU-QMMMGPOBSA-N 0 0 274.267 2.958 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CS1 ZINC000413507248 233086517 /nfs/dbraw/zinc/08/65/17/233086517.db2.gz CADIFAAMCDGJNS-BDAKNGLRSA-N 0 0 279.365 2.869 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC(C)(C)OCC1CC1 ZINC000413523334 233088368 /nfs/dbraw/zinc/08/83/68/233088368.db2.gz SBSMDGNRHBGRCD-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cccnc1C ZINC000589264395 348823470 /nfs/dbraw/zinc/82/34/70/348823470.db2.gz NPBCMEZSZBHNHJ-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1cc(N[C@@H]2CCCC[C@H]2F)ncc1[N+](=O)[O-] ZINC000413527861 233089827 /nfs/dbraw/zinc/08/98/27/233089827.db2.gz CCOKBHHAXXLHOS-NXEZZACHSA-N 0 0 253.277 2.991 20 5 CFBDRN COCC1CCC(Nc2ncccc2[N+](=O)[O-])CC1 ZINC000413528379 233089929 /nfs/dbraw/zinc/08/99/29/233089929.db2.gz DKTMUYUSUXODTH-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1ccnc(NC[C@@H]2C[C@H](C)O[C@H]2C)c1[N+](=O)[O-] ZINC000413533245 233091239 /nfs/dbraw/zinc/09/12/39/233091239.db2.gz NYMRIFZTRGPPQY-DCAQKATOSA-N 0 0 265.313 2.524 20 5 CFBDRN Cc1nc(N[C@@H]2CCCC[C@H]2F)ccc1[N+](=O)[O-] ZINC000413537532 233091934 /nfs/dbraw/zinc/09/19/34/233091934.db2.gz WUMBJGPKWLLQQT-NXEZZACHSA-N 0 0 253.277 2.991 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(CN2CC=C(C)CC2)c1 ZINC000589502023 348837303 /nfs/dbraw/zinc/83/73/03/348837303.db2.gz CMVBGQLLFORTKF-UHFFFAOYSA-N 0 0 290.319 2.533 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H](C)C[C@@H]2CCOC2)c1 ZINC000589584438 348839738 /nfs/dbraw/zinc/83/97/38/348839738.db2.gz LAZMPTHSHLIWNS-MNOVXSKESA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1ccsc1[N+](=O)[O-] ZINC000589585475 348839962 /nfs/dbraw/zinc/83/99/62/348839962.db2.gz YKGQQFJFASFMPG-BDAKNGLRSA-N 0 0 256.327 2.883 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H](C)C[C@H]1CCOC1 ZINC000589584778 348840011 /nfs/dbraw/zinc/84/00/11/348840011.db2.gz OPSYULBAPQSUON-VXGBXAGGSA-N 0 0 294.351 2.968 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])s1 ZINC000589584735 348840569 /nfs/dbraw/zinc/84/05/69/348840569.db2.gz OCVCSMNFDKVSBT-IUCAKERBSA-N 0 0 256.327 2.883 20 5 CFBDRN COC(=O)c1cc(N2CCC3(CC3)C2)cc(C)c1[N+](=O)[O-] ZINC000589600093 348842177 /nfs/dbraw/zinc/84/21/77/348842177.db2.gz ONQQFRMISSFTIE-UHFFFAOYSA-N 0 0 290.319 2.680 20 5 CFBDRN Cc1cccc(NCc2ccc(CC(N)=O)cc2)c1[N+](=O)[O-] ZINC000589601235 348842209 /nfs/dbraw/zinc/84/22/09/348842209.db2.gz IOEADBVGFYOSCD-UHFFFAOYSA-N 0 0 299.330 2.543 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3ccc([N+](=O)[O-])s3)C2)c1 ZINC000589608730 348843009 /nfs/dbraw/zinc/84/30/09/348843009.db2.gz XHOXPRKVULNOBA-JTQLQIEISA-N 0 0 278.337 2.613 20 5 CFBDRN CC[C@H](NCc1cn(C)cn1)c1cccc([N+](=O)[O-])c1 ZINC000588924865 348803738 /nfs/dbraw/zinc/80/37/38/348803738.db2.gz MJCPJYBJWQIKDI-AWEZNQCLSA-N 0 0 274.324 2.569 20 5 CFBDRN Cc1cc(NCCOC[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000589686740 348850008 /nfs/dbraw/zinc/85/00/08/348850008.db2.gz DFOVAKVFGGLGKU-LLVKDONJSA-N 0 0 298.314 2.507 20 5 CFBDRN Cc1cc(CNc2cc(C)c([N+](=O)[O-])cc2F)[nH]n1 ZINC000589687942 348850487 /nfs/dbraw/zinc/85/04/87/348850487.db2.gz ZECXFYGVZOZKFU-UHFFFAOYSA-N 0 0 264.260 2.686 20 5 CFBDRN Cc1cc(N2CC3(CC(F)C3)C2)c(F)cc1[N+](=O)[O-] ZINC000589689989 348850870 /nfs/dbraw/zinc/85/08/70/348850870.db2.gz QPALIYMVBMQVJS-UHFFFAOYSA-N 0 0 268.263 2.981 20 5 CFBDRN Cc1cc(N2CC3(C2)CCCOC3)c(F)cc1[N+](=O)[O-] ZINC000589692556 348851349 /nfs/dbraw/zinc/85/13/49/348851349.db2.gz CHDHXOBATJDLMY-UHFFFAOYSA-N 0 0 280.299 2.659 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCc3cccnc32)cccc1[N+](=O)[O-] ZINC000589829422 348858258 /nfs/dbraw/zinc/85/82/58/348858258.db2.gz GLBYMCCGLDMJCU-LBPRGKRZSA-N 0 0 297.314 2.967 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCOC[C@H](C2CCC2)C1 ZINC000589878676 348862509 /nfs/dbraw/zinc/86/25/09/348862509.db2.gz SIEIVSLXXMCKQV-LLVKDONJSA-N 0 0 282.365 2.909 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1ccsc1[N+](=O)[O-] ZINC000590062797 348872302 /nfs/dbraw/zinc/87/23/02/348872302.db2.gz GGIIZGGZYKTPNH-SECBINFHSA-N 0 0 285.369 2.621 20 5 CFBDRN CN(C[C@H](O)Cc1ccccc1)c1ccc([N+](=O)[O-])s1 ZINC000590063237 348872616 /nfs/dbraw/zinc/87/26/16/348872616.db2.gz DWHYAVCOYPHIFL-GFCCVEGCSA-N 0 0 292.360 2.696 20 5 CFBDRN CC1(C)CCC[C@@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000590148703 348878575 /nfs/dbraw/zinc/87/85/75/348878575.db2.gz SRWLVTJBDUUAMS-LLVKDONJSA-N 0 0 293.367 2.908 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc([N+](=O)[O-])s2)CCCO1 ZINC000590152954 348879463 /nfs/dbraw/zinc/87/94/63/348879463.db2.gz BGVHTIMRJBBANB-JTQLQIEISA-N 0 0 270.354 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@H]2CCC[C@@H]2O)s1 ZINC000590714994 348934089 /nfs/dbraw/zinc/93/40/89/348934089.db2.gz CLTHCGNLKPCIFN-MXWKQRLJSA-N 0 0 282.365 2.786 20 5 CFBDRN CC(C)[C@H]1C[C@@H](CNc2cccnc2[N+](=O)[O-])CCO1 ZINC000590716102 348934935 /nfs/dbraw/zinc/93/49/35/348934935.db2.gz SEQWFMOJUGOPDZ-WCQYABFASA-N 0 0 279.340 2.853 20 5 CFBDRN CCO[C@H]1CCN(c2sccc2[N+](=O)[O-])C[C@@H]1C ZINC000590718188 348935167 /nfs/dbraw/zinc/93/51/67/348935167.db2.gz STKMHZUYQDEWNT-ONGXEEELSA-N 0 0 270.354 2.908 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1CC12CCOCC2 ZINC000590722446 348936381 /nfs/dbraw/zinc/93/63/81/348936381.db2.gz HFGYMOXAXZRHON-SECBINFHSA-N 0 0 254.311 2.637 20 5 CFBDRN COc1cccc([C@@H]2C[C@H]2Nc2cccnc2[N+](=O)[O-])c1 ZINC000590725268 348937179 /nfs/dbraw/zinc/93/71/79/348937179.db2.gz FGXLWOKYEVQRIA-GXTWGEPZSA-N 0 0 285.303 2.966 20 5 CFBDRN C[C@@H]1[C@H](O)CCN1c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000590726204 348937948 /nfs/dbraw/zinc/93/79/48/348937948.db2.gz HEIUXZTWMZLDEQ-RDDDGLTNSA-N 0 0 290.241 2.573 20 5 CFBDRN CC1(C)C[C@H](O)CCN(c2sccc2[N+](=O)[O-])C1 ZINC000590726860 348938070 /nfs/dbraw/zinc/93/80/70/348938070.db2.gz AFFXGWKXYXLAMN-SECBINFHSA-N 0 0 270.354 2.644 20 5 CFBDRN Cc1nn([C@@H]2CCCc3ccccc3C2=O)cc1[N+](=O)[O-] ZINC000590739176 348939970 /nfs/dbraw/zinc/93/99/70/348939970.db2.gz SVAJQYVIJMZBGT-CYBMUJFWSA-N 0 0 285.303 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3(C(F)(F)F)CC3)n2)o1 ZINC000590778886 348948098 /nfs/dbraw/zinc/94/80/98/348948098.db2.gz AUJAZVHRVHNIAO-UHFFFAOYSA-N 0 0 289.169 2.832 20 5 CFBDRN CC(C)(C(=O)N1CC(C2CC2)C1)c1ccccc1[N+](=O)[O-] ZINC000590402678 348898868 /nfs/dbraw/zinc/89/88/68/348898868.db2.gz CGHYXOPLAFIBSN-UHFFFAOYSA-N 0 0 288.347 2.741 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC(C2CC2)CC1 ZINC000590426270 348899986 /nfs/dbraw/zinc/89/99/86/348899986.db2.gz QLVBKRZJIPOLPK-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN COC(=O)c1ccnc(N[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000590570447 348909804 /nfs/dbraw/zinc/90/98/04/348909804.db2.gz XOIISNBXIDKQIA-KOLCDFICSA-N 0 0 293.323 2.767 20 5 CFBDRN CC(C)c1ccc2ncnc(-n3ccc([N+](=O)[O-])n3)c2c1 ZINC000590575451 348910599 /nfs/dbraw/zinc/91/05/99/348910599.db2.gz ZTAZYIFKYQEJPD-UHFFFAOYSA-N 0 0 283.291 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC[C@H]3C3CC3)nc2c1 ZINC000590688578 348928981 /nfs/dbraw/zinc/92/89/81/348928981.db2.gz PKCSKCTWWHXQBU-ZDUSSCGKSA-N 0 0 272.308 2.850 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC(C(F)F)C1 ZINC000590693851 348929215 /nfs/dbraw/zinc/92/92/15/348929215.db2.gz GKGBZDZWVULBHZ-UHFFFAOYSA-N 0 0 279.246 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@H]2[C@H]2CCCO2)cn1 ZINC000590703227 348931161 /nfs/dbraw/zinc/93/11/61/348931161.db2.gz QSWWFNSXRZDMRF-TZMCWYRMSA-N 0 0 289.335 2.528 20 5 CFBDRN CO[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000273481353 192169082 /nfs/dbraw/zinc/16/90/82/192169082.db2.gz QPJDHABREYPMDX-LBPRGKRZSA-N 0 0 299.302 2.526 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@H](C2CCC2)C1 ZINC000591126623 348990720 /nfs/dbraw/zinc/99/07/20/348990720.db2.gz IAIMVTAXDRCGDL-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN CCn1nncc1CSc1ccc([N+](=O)[O-])cc1F ZINC000591128873 348991419 /nfs/dbraw/zinc/99/14/19/348991419.db2.gz ALJUWWRNNLVYRB-UHFFFAOYSA-N 0 0 282.300 2.638 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000591159142 348994674 /nfs/dbraw/zinc/99/46/74/348994674.db2.gz NTSWGNIZMYVLEV-STQMWFEESA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@H]3CCc4ccccc4[C@@H]23)nc1 ZINC000273958848 192378783 /nfs/dbraw/zinc/37/87/83/192378783.db2.gz NSLQRTLJEVRVMV-BFHYXJOUSA-N 0 0 282.303 2.525 20 5 CFBDRN CC1(C)C[C@@H](CNc2cccnc2[N+](=O)[O-])CCO1 ZINC000591165679 348995343 /nfs/dbraw/zinc/99/53/43/348995343.db2.gz OHMMKQZEMNYIAI-JTQLQIEISA-N 0 0 265.313 2.607 20 5 CFBDRN CO[C@@H]1C[C@H](N(C)c2ncc([N+](=O)[O-])cc2F)C12CCC2 ZINC000591163077 348995527 /nfs/dbraw/zinc/99/55/27/348995527.db2.gz HCCQHKSCRXAIMD-NWDGAFQWSA-N 0 0 295.314 2.523 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H]1CCNC(=O)CC1 ZINC000591196061 348999187 /nfs/dbraw/zinc/99/91/87/348999187.db2.gz ZDTHBLRYRGIGJP-SECBINFHSA-N 0 0 297.742 2.637 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])cn2C)CCCCC1 ZINC000590800473 348951246 /nfs/dbraw/zinc/95/12/46/348951246.db2.gz HOEJOYSCYVPLBD-UHFFFAOYSA-N 0 0 279.340 2.776 20 5 CFBDRN COC1([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000413968318 233145319 /nfs/dbraw/zinc/14/53/19/233145319.db2.gz AXZVQLYVKZUHQE-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000129257406 187420010 /nfs/dbraw/zinc/42/00/10/187420010.db2.gz BYNGQCCOXCVBJJ-WDEREUQCSA-N 0 0 270.716 2.820 20 5 CFBDRN CCCCN(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000129309548 187422368 /nfs/dbraw/zinc/42/23/68/187422368.db2.gz NJSZARLDCFYPTB-UHFFFAOYSA-N 0 0 289.335 2.877 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC[C@H]1CCCO1 ZINC000591528664 349028545 /nfs/dbraw/zinc/02/85/45/349028545.db2.gz HNNQLJYSTCMRHZ-GFCCVEGCSA-N 0 0 292.335 2.511 20 5 CFBDRN CC(C)CC1(CNc2ncc([N+](=O)[O-])cn2)CC1 ZINC000273965927 192380640 /nfs/dbraw/zinc/38/06/40/192380640.db2.gz OOZAVZIIUOVNLC-UHFFFAOYSA-N 0 0 250.302 2.623 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000591980749 349069072 /nfs/dbraw/zinc/06/90/72/349069072.db2.gz HTUFBTKJKAAFIL-BDAKNGLRSA-N 0 0 285.319 2.525 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1c(F)cccc1[N+](=O)[O-] ZINC000591980416 349069261 /nfs/dbraw/zinc/06/92/61/349069261.db2.gz CXSFQHHPQQQMTE-ZJUUUORDSA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000591987456 349070428 /nfs/dbraw/zinc/07/04/28/349070428.db2.gz LILZACXKOSVCAF-JGZJWPJOSA-N 0 0 265.313 2.748 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])[C@@H]1SC ZINC000591990995 349071234 /nfs/dbraw/zinc/07/12/34/349071234.db2.gz OEWLQTZCIJRWNS-JLLWLGSASA-N 0 0 297.380 2.619 20 5 CFBDRN O=c1oc(-c2ccccc2[N+](=O)[O-])nn1CC1=CCCC1 ZINC000592349059 349106791 /nfs/dbraw/zinc/10/67/91/349106791.db2.gz NWJAKJCVKOAIPN-UHFFFAOYSA-N 0 0 287.275 2.522 20 5 CFBDRN C[C@H]1CN(c2ncccc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000591997861 349072578 /nfs/dbraw/zinc/07/25/78/349072578.db2.gz FFQWCTUEAWZXQY-NSHDSACASA-N 0 0 277.324 2.528 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cn2)CC2(CCCC2)O1 ZINC000591996987 349072696 /nfs/dbraw/zinc/07/26/96/349072696.db2.gz AOJYHZLWNPWPEF-LLVKDONJSA-N 0 0 277.324 2.528 20 5 CFBDRN CCN(CCSC)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000592000992 349073827 /nfs/dbraw/zinc/07/38/27/349073827.db2.gz PIFRPRWIPIEZMA-UHFFFAOYSA-N 0 0 270.354 2.793 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1C[C@H]1CCC(F)(F)C1 ZINC000592349084 349106916 /nfs/dbraw/zinc/10/69/16/349106916.db2.gz OZBXEHVFYCVKJW-QMMMGPOBSA-N 0 0 298.245 2.938 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CCS[C@@H]2C)cccc1[N+](=O)[O-] ZINC000592122705 349086111 /nfs/dbraw/zinc/08/61/11/349086111.db2.gz MBLZSBIKLCVOEC-MWLCHTKSSA-N 0 0 295.364 2.919 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000592211517 349101658 /nfs/dbraw/zinc/10/16/58/349101658.db2.gz QIYYEWCPQJTKGW-ZYHUDNBSSA-N 0 0 295.339 2.513 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000592252181 349103785 /nfs/dbraw/zinc/10/37/85/349103785.db2.gz VTVKVTHIDXQOQI-PWSUYJOCSA-N 0 0 295.339 2.513 20 5 CFBDRN CO[C@@H](CC(C)C)Cn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592348102 349106095 /nfs/dbraw/zinc/10/60/95/349106095.db2.gz FTAIIALMOGJCRJ-JTQLQIEISA-N 0 0 294.307 2.564 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000591917924 349060172 /nfs/dbraw/zinc/06/01/72/349060172.db2.gz KQJBDLOQRFWMHC-JHJVBQTASA-N 0 0 289.335 2.583 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC3(CC(F)C3)C1)CCCC2 ZINC000591923033 349060554 /nfs/dbraw/zinc/06/05/54/349060554.db2.gz BBFYYMMRCSBTKC-UHFFFAOYSA-N 0 0 291.326 2.807 20 5 CFBDRN O=c1c(Br)cn(CC2=CCCC2)cc1[N+](=O)[O-] ZINC000592347646 349106209 /nfs/dbraw/zinc/10/62/09/349106209.db2.gz OFIHEMJTNAQXBO-UHFFFAOYSA-N 0 0 299.124 2.629 20 5 CFBDRN CC(C)(C)CCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592347988 349106389 /nfs/dbraw/zinc/10/63/89/349106389.db2.gz BLUUSRPCWGXNHJ-UHFFFAOYSA-N 0 0 264.281 2.939 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@@]2(CCSC2)C1 ZINC000591963901 349066192 /nfs/dbraw/zinc/06/61/92/349066192.db2.gz NIZIKRHYMGSKCM-AWEZNQCLSA-N 0 0 294.376 2.616 20 5 CFBDRN COCC1(CCNc2ccsc2[N+](=O)[O-])CC1 ZINC000591965188 349066293 /nfs/dbraw/zinc/06/62/93/349066293.db2.gz CHUBDMNSPPOTCL-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)CCC(C)(C)C ZINC000591971099 349066844 /nfs/dbraw/zinc/06/68/44/349066844.db2.gz UMBLPRSSYIWTOH-UHFFFAOYSA-N 0 0 268.361 2.992 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@@H]2OCC[C@@H]2C1 ZINC000591969079 349066942 /nfs/dbraw/zinc/06/69/42/349066942.db2.gz OZKIORNEKNTLEG-RNCFNFMXSA-N 0 0 282.727 2.863 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000592664861 349147075 /nfs/dbraw/zinc/14/70/75/349147075.db2.gz IOMWBHGEKOWHDL-ZJUUUORDSA-N 0 0 296.298 2.614 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]2CCCC[C@@H]21 ZINC000592666492 349147818 /nfs/dbraw/zinc/14/78/18/349147818.db2.gz KHXGYDWKJTYQEF-FZMZJTMJSA-N 0 0 289.335 2.703 20 5 CFBDRN Cc1c(NCc2csc([N+](=O)[O-])c2)n[nH]c1C(C)C ZINC000592374528 349111413 /nfs/dbraw/zinc/11/14/13/349111413.db2.gz KMIAUYTVEXNSKU-UHFFFAOYSA-N 0 0 280.353 2.845 20 5 CFBDRN C[C@H]1CCN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000273980016 192387352 /nfs/dbraw/zinc/38/73/52/192387352.db2.gz ULFZLCJKJISWJI-JTQLQIEISA-N 0 0 294.326 2.880 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCC2=CCCC2)c1 ZINC000592461911 349125342 /nfs/dbraw/zinc/12/53/42/349125342.db2.gz VLUWXMZWIQQYMK-UHFFFAOYSA-N 0 0 253.327 2.635 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000592998815 349183584 /nfs/dbraw/zinc/18/35/84/349183584.db2.gz XIYSUEKIZLVXFS-GXFFZTMASA-N 0 0 294.326 2.971 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593023373 349189495 /nfs/dbraw/zinc/18/94/95/349189495.db2.gz RMPBDCAUZUNHCG-SNVBAGLBSA-N 0 0 282.315 2.779 20 5 CFBDRN O=C(CCC1CCC1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089421156 179785557 /nfs/dbraw/zinc/78/55/57/179785557.db2.gz WALMOTPJEURLNQ-UHFFFAOYSA-N 0 0 288.307 2.990 20 5 CFBDRN O=C(NCC1CCC(F)CC1)c1ccc([N+](=O)[O-])s1 ZINC000592721097 349157372 /nfs/dbraw/zinc/15/73/72/349157372.db2.gz HRNKUEDEOKHYLN-UHFFFAOYSA-N 0 0 286.328 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)c1 ZINC000592735248 349159774 /nfs/dbraw/zinc/15/97/74/349159774.db2.gz MDYLHTGOCAWRPW-SDDRHHMPSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cc1F ZINC000592736780 349160363 /nfs/dbraw/zinc/16/03/63/349160363.db2.gz SYXARPSWIVWAAT-OPRDCNLKSA-N 0 0 278.283 2.652 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1n[nH]cc1C1CC1 ZINC000592826949 349168654 /nfs/dbraw/zinc/16/86/54/349168654.db2.gz AHUSBCYDXDNXIA-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC12CCC2 ZINC000592828425 349169312 /nfs/dbraw/zinc/16/93/12/349169312.db2.gz DYVIZPVASBXFKN-SNVBAGLBSA-N 0 0 275.308 2.765 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2NC)O1 ZINC000592828609 349169457 /nfs/dbraw/zinc/16/94/57/349169457.db2.gz ZLYHWJCZHIIUIV-GWCFXTLKSA-N 0 0 293.323 2.533 20 5 CFBDRN CN(CCC(C)(C)C)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000592836403 349169949 /nfs/dbraw/zinc/16/99/49/349169949.db2.gz OQGQBDPOEIQTIS-UHFFFAOYSA-N 0 0 279.340 2.685 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273996453 192393938 /nfs/dbraw/zinc/39/39/38/192393938.db2.gz YKRSCTJDUCAPEW-VHSXEESVSA-N 0 0 294.326 2.784 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593096001 349209123 /nfs/dbraw/zinc/20/91/23/349209123.db2.gz PMGITJGXINJZEO-AOOOYVTPSA-N 0 0 280.299 2.676 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CC[C@H](C2CC2)C1 ZINC000593109457 349211235 /nfs/dbraw/zinc/21/12/35/349211235.db2.gz IQYMKAAXQPZHOE-LBPRGKRZSA-N 0 0 292.310 2.535 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593147451 349220416 /nfs/dbraw/zinc/22/04/16/349220416.db2.gz VOFRHBMTBYGKSK-QWRGUYRKSA-N 0 0 294.326 2.829 20 5 CFBDRN CS[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000593230650 349232023 /nfs/dbraw/zinc/23/20/23/349232023.db2.gz YLWWQWHIJTYHJR-CHWSQXEVSA-N 0 0 294.376 2.999 20 5 CFBDRN Cc1cc(C(=O)N2CCCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000593237578 349234162 /nfs/dbraw/zinc/23/41/62/349234162.db2.gz RELCYQJCOCATOG-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CCc2ccccc21 ZINC000593248920 349235639 /nfs/dbraw/zinc/23/56/39/349235639.db2.gz HCRRRQVUVOZEPM-LLVKDONJSA-N 0 0 299.330 2.725 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593047682 349193533 /nfs/dbraw/zinc/19/35/33/349193533.db2.gz CCBWQMGNSVMHLE-CABZTGNLSA-N 0 0 280.299 2.581 20 5 CFBDRN CC(C)N(C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000593050569 349193954 /nfs/dbraw/zinc/19/39/54/349193954.db2.gz GJANUVVGGQZBGM-UHFFFAOYSA-N 0 0 282.315 2.922 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)[C@@H](CC)CO1 ZINC000593057253 349197646 /nfs/dbraw/zinc/19/76/46/349197646.db2.gz DURSYOOLSLXPHN-DZGCQCFKSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@H]1CN(Cc2csc([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593061423 349198159 /nfs/dbraw/zinc/19/81/59/349198159.db2.gz APVNZYCTUOUVOD-KOLCDFICSA-N 0 0 270.354 2.656 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593063797 349199106 /nfs/dbraw/zinc/19/91/06/349199106.db2.gz NURJUDLIWVANGB-DGCLKSJQSA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])C2(CCC2)CO1 ZINC000593091356 349206977 /nfs/dbraw/zinc/20/69/77/349206977.db2.gz GTXBKNWXOJPVCU-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN C[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)C2(CCC2)CO1 ZINC000593092513 349207078 /nfs/dbraw/zinc/20/70/78/349207078.db2.gz OVWCAURMPGADLC-GFCCVEGCSA-N 0 0 276.336 2.738 20 5 CFBDRN Cc1cccc(NCCC2(CO)CCC2)c1[N+](=O)[O-] ZINC000593511667 349287792 /nfs/dbraw/zinc/28/77/92/349287792.db2.gz OKGYBLSANVYNBU-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN C[C@H](CCO)N(C)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000593517732 349288432 /nfs/dbraw/zinc/28/84/32/349288432.db2.gz DXVOOSDVAGDTCL-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN C[C@H](CCO)N(C)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000593517845 349288774 /nfs/dbraw/zinc/28/87/74/349288774.db2.gz HDFDLCXOIXTNCR-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@]2(CC2(F)F)C1 ZINC000593521744 349290151 /nfs/dbraw/zinc/29/01/51/349290151.db2.gz PTKJGQNFOQYAOY-LLVKDONJSA-N 0 0 269.251 2.534 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593284478 349241655 /nfs/dbraw/zinc/24/16/55/349241655.db2.gz VBAYYWFYSWKPMH-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000593294168 349242644 /nfs/dbraw/zinc/24/26/44/349242644.db2.gz HYZCQYNLHJBGTL-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC1(C2CCC2)CC1 ZINC000593305095 349243918 /nfs/dbraw/zinc/24/39/18/349243918.db2.gz BJZQMDWKDYKZHS-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN Cc1ccc(C(=O)NC2(C3CCC3)CC2)cc1[N+](=O)[O-] ZINC000593305552 349243936 /nfs/dbraw/zinc/24/39/36/349243936.db2.gz MNEVTVHKIFGFKI-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593615638 349299929 /nfs/dbraw/zinc/29/99/29/349299929.db2.gz CFKUXFGBXINPEA-GWCFXTLKSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@@]3(CC3(F)F)C2)c1[N+](=O)[O-] ZINC000593357171 349252186 /nfs/dbraw/zinc/25/21/86/349252186.db2.gz ZVEBDHYMZKMGIJ-CYBMUJFWSA-N 0 0 296.273 2.775 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593382499 349256700 /nfs/dbraw/zinc/25/67/00/349256700.db2.gz FIZMAKCCWIDXJF-GHMZBOCLSA-N 0 0 294.326 2.781 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCc1nc2ccccc2[nH]1 ZINC000593423171 349266501 /nfs/dbraw/zinc/26/65/01/349266501.db2.gz BLLVKDUZIQPDMN-UHFFFAOYSA-N 0 0 285.307 2.609 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC1CCOCC1 ZINC000593454845 349274165 /nfs/dbraw/zinc/27/41/65/349274165.db2.gz NDBUPEFIZSOHFE-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN CN(CC1CC1)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593455656 349274725 /nfs/dbraw/zinc/27/47/25/349274725.db2.gz VLERXNHVPZTOIV-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CC[C@@H](O)CCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463668 349276002 /nfs/dbraw/zinc/27/60/02/349276002.db2.gz BQJYDQAUOIJHHD-LLVKDONJSA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@](C)(O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593462701 349276075 /nfs/dbraw/zinc/27/60/75/349276075.db2.gz ZGLOVNXRVHOBII-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@@H]1CN(c2ccnc3cc([N+](=O)[O-])ccc32)CCCO1 ZINC000593462498 349276108 /nfs/dbraw/zinc/27/61/08/349276108.db2.gz VLDGSWNFSODLET-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H](O)CCCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593469170 349276843 /nfs/dbraw/zinc/27/68/43/349276843.db2.gz MKXFUTIPBNNHOU-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CC(C)[C@@H](CO)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593473125 349277058 /nfs/dbraw/zinc/27/70/58/349277058.db2.gz NJZFIUWTKNQPED-LLVKDONJSA-N 0 0 289.335 2.819 20 5 CFBDRN C[C@@H](CCCO)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593475810 349277856 /nfs/dbraw/zinc/27/78/56/349277856.db2.gz MDGIFODXCGGTKW-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCC1=CCOCC1 ZINC000593474884 349278174 /nfs/dbraw/zinc/27/81/74/349278174.db2.gz CDDRUJXWQRAVQG-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@H]1CC[C@H](O)C1 ZINC000593474105 349278305 /nfs/dbraw/zinc/27/83/05/349278305.db2.gz DAMOTELGHMQGIK-JQWIXIFHSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc(N2CCCC(F)(F)C2)ncc1[N+](=O)[O-] ZINC000593487443 349282603 /nfs/dbraw/zinc/28/26/03/349282603.db2.gz YXZZAKAOZWQQBI-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN Cc1cnc(N[C@@H](C2CC2)C2CCOCC2)c([N+](=O)[O-])c1 ZINC000593493014 349283601 /nfs/dbraw/zinc/28/36/01/349283601.db2.gz UADUSYZGEUHBBW-AWEZNQCLSA-N 0 0 291.351 2.915 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593493428 349283728 /nfs/dbraw/zinc/28/37/28/349283728.db2.gz VJVDHOFOFHORPM-NWDGAFQWSA-N 0 0 264.325 2.907 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1c1ncc(C)cc1[N+](=O)[O-] ZINC000593495478 349284410 /nfs/dbraw/zinc/28/44/10/349284410.db2.gz ZLUFWNYEHKWHFP-NSHDSACASA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@H]1CN(c2cc(C)c([N+](=O)[O-])cn2)[C@@H](CC)CO1 ZINC000593493679 349284458 /nfs/dbraw/zinc/28/44/58/349284458.db2.gz IVNHDJLLIJAKDI-RYUDHWBXSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2F)[C@H](CC)CO1 ZINC000593494208 349284690 /nfs/dbraw/zinc/28/46/90/349284690.db2.gz LUTYUBOKZLFGKO-MWLCHTKSSA-N 0 0 283.303 2.523 20 5 CFBDRN C[C@H](COCC1CC1)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000593507995 349287064 /nfs/dbraw/zinc/28/70/64/349287064.db2.gz LPVRKNUBRVGJDC-MRVPVSSYSA-N 0 0 283.303 2.543 20 5 CFBDRN CCc1cccc(CNc2c([N+](=O)[O-])ncn2C)c1 ZINC000593509374 349287220 /nfs/dbraw/zinc/28/72/20/349287220.db2.gz NXDZOYLVSNCLAO-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN C[C@H](COCC1CC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000593508343 349287250 /nfs/dbraw/zinc/28/72/50/349287250.db2.gz OISATABHOGIJKY-SECBINFHSA-N 0 0 268.288 2.961 20 5 CFBDRN CC(C)c1ccc(C(=O)N(C)[C@@H](C)CCO)cc1[N+](=O)[O-] ZINC000593880194 349332128 /nfs/dbraw/zinc/33/21/28/349332128.db2.gz MGGDCABKXYXOEP-NSHDSACASA-N 0 0 294.351 2.561 20 5 CFBDRN CC(C)c1ccc(C(=O)N(C)[C@H](C)CCO)cc1[N+](=O)[O-] ZINC000593880192 349332171 /nfs/dbraw/zinc/33/21/71/349332171.db2.gz MGGDCABKXYXOEP-LLVKDONJSA-N 0 0 294.351 2.561 20 5 CFBDRN COc1c(C(=O)NC2CC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000593883143 349332210 /nfs/dbraw/zinc/33/22/10/349332210.db2.gz SWFUFXWQKUMKEV-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1nn(C(C)C)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000593949201 349338550 /nfs/dbraw/zinc/33/85/50/349338550.db2.gz YSOWLKFDPHPKGS-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593665415 349306890 /nfs/dbraw/zinc/30/68/90/349306890.db2.gz CFGSNMIXKOTTSI-TZMCWYRMSA-N 0 0 278.352 2.903 20 5 CFBDRN O=C([O-])c1ccc([N+](=O)[O-])c(C[N@@H+]2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000593737828 349316918 /nfs/dbraw/zinc/31/69/18/349316918.db2.gz WGKODVUKWUOULM-TXEJJXNPSA-N 0 0 290.319 2.525 20 5 CFBDRN CC[C@@H]1C[C@@H](C)C[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593738711 349317309 /nfs/dbraw/zinc/31/73/09/349317309.db2.gz KBBAWMJBDGTUCH-ZWNOBZJWSA-N 0 0 292.335 2.913 20 5 CFBDRN C[C@@H]1CC[C@H](C)C[N@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593739285 349318211 /nfs/dbraw/zinc/31/82/11/349318211.db2.gz XUOAVFICKPMGFO-WDEREUQCSA-N 0 0 292.335 2.913 20 5 CFBDRN C[C@H]1CC[C@H](C)[NH+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593744283 349318966 /nfs/dbraw/zinc/31/89/66/349318966.db2.gz OWWDVJLWQZNQRA-UWVGGRQHSA-N 0 0 278.308 2.666 20 5 CFBDRN CCC[C@H](C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089835115 179872456 /nfs/dbraw/zinc/87/24/56/179872456.db2.gz UXTRDLWNQZYPFE-NSHDSACASA-N 0 0 264.325 2.690 20 5 CFBDRN CC[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000594172233 349389584 /nfs/dbraw/zinc/38/95/84/349389584.db2.gz GXGOLWFCMQDXOM-GHMZBOCLSA-N 0 0 298.364 2.734 20 5 CFBDRN CC[C@@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000594040522 349359790 /nfs/dbraw/zinc/35/97/90/349359790.db2.gz GNBZMXBXPRPJLQ-HIFRSBDPSA-N 0 0 294.351 2.738 20 5 CFBDRN CCCN(C(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2)C(C)C ZINC000594095384 349376357 /nfs/dbraw/zinc/37/63/57/349376357.db2.gz VJBOKBPGOMQAOA-UHFFFAOYSA-N 0 0 294.307 2.584 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)Cc1ccccn1 ZINC000594139325 349385163 /nfs/dbraw/zinc/38/51/63/349385163.db2.gz FLPISRHEEHKPGW-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN CC(C)(C)n1nnc(CSc2cccc([N+](=O)[O-])c2)n1 ZINC000594451835 349425379 /nfs/dbraw/zinc/42/53/79/349425379.db2.gz HJIHNRQORZYNNJ-UHFFFAOYSA-N 0 0 293.352 2.629 20 5 CFBDRN CO[C@@H](C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000597480317 350102802 /nfs/dbraw/zinc/10/28/02/350102802.db2.gz XMMCECXGDLYPEZ-QMTHXVAHSA-N 0 0 292.335 2.587 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000597449774 350099073 /nfs/dbraw/zinc/09/90/73/350099073.db2.gz LVCITGRPFQSQDP-ZDUSSCGKSA-N 0 0 276.336 2.690 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@]23C[C@@H]2CCC3)cc1[N+](=O)[O-] ZINC000597885717 350148533 /nfs/dbraw/zinc/14/85/33/350148533.db2.gz RVBQWDODXVEVRR-NHYWBVRUSA-N 0 0 290.319 2.666 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000104610596 186010217 /nfs/dbraw/zinc/01/02/17/186010217.db2.gz KVOXBDPROQEJCF-ZWNOBZJWSA-N 0 0 294.376 2.992 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@@H]21 ZINC000597829973 350141479 /nfs/dbraw/zinc/14/14/79/350141479.db2.gz IZMGQJQQYNBODE-AAEUAGOBSA-N 0 0 260.293 2.609 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1COC(C)(C)C1 ZINC000597860140 350144380 /nfs/dbraw/zinc/14/43/80/350144380.db2.gz ASJDROXMQFZWHX-NSHDSACASA-N 0 0 292.335 2.509 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000597952644 350162081 /nfs/dbraw/zinc/16/20/81/350162081.db2.gz VFYMZQKBBBWVEG-SECBINFHSA-N 0 0 296.371 2.996 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000597952925 350162253 /nfs/dbraw/zinc/16/22/53/350162253.db2.gz YASCBJBKGWKHSR-SECBINFHSA-N 0 0 265.313 2.544 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000597977572 350170349 /nfs/dbraw/zinc/17/03/49/350170349.db2.gz KDHLRNBKZXJFIF-VIFPVBQESA-N 0 0 268.288 2.900 20 5 CFBDRN Cn1c(C(=O)N2CCC(C3CCC3)CC2)ccc1[N+](=O)[O-] ZINC000597994809 350175437 /nfs/dbraw/zinc/17/54/37/350175437.db2.gz LBBVHHLUVDQKGZ-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN Cc1ncsc1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000104769498 186022530 /nfs/dbraw/zinc/02/25/30/186022530.db2.gz IWTLXBBGQBQGPL-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN CC(C)[C@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000271293528 191055638 /nfs/dbraw/zinc/05/56/38/191055638.db2.gz APKTWGAULPDEBR-CMPLNLGQSA-N 0 0 265.313 2.605 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000597974596 350168609 /nfs/dbraw/zinc/16/86/09/350168609.db2.gz AIHMDWKVDYHVBU-VIFPVBQESA-N 0 0 290.323 2.637 20 5 CFBDRN COC[C@@H](C)N(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000290920488 197859619 /nfs/dbraw/zinc/85/96/19/197859619.db2.gz HNVKGDWADRAMEL-SECBINFHSA-N 0 0 256.277 2.513 20 5 CFBDRN O=C(NCCCC1CCC1)c1ccc([N+](=O)[O-])s1 ZINC000598214136 350215877 /nfs/dbraw/zinc/21/58/77/350215877.db2.gz CHDWSIWGAHLCDL-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN C[C@H](C(=O)NC[C@]1(C)CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000598214211 350215977 /nfs/dbraw/zinc/21/59/77/350215977.db2.gz HEKFVARRTVHTFO-ZANVPECISA-N 0 0 298.289 2.860 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000091562062 180192025 /nfs/dbraw/zinc/19/20/25/180192025.db2.gz VYXRTKORRYLDTG-MWLCHTKSSA-N 0 0 266.345 2.881 20 5 CFBDRN C[C@]1(CCNC(=O)c2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000598214931 350216938 /nfs/dbraw/zinc/21/69/38/350216938.db2.gz IMTMLQZUFBGJJJ-LBPRGKRZSA-N 0 0 284.262 2.760 20 5 CFBDRN CCC1(CC)CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000598216599 350217500 /nfs/dbraw/zinc/21/75/00/350217500.db2.gz VGNKCBIYYTUBPL-UHFFFAOYSA-N 0 0 279.340 2.965 20 5 CFBDRN COc1ccc(C(=O)NCCCC2CCC2)cc1[N+](=O)[O-] ZINC000598217304 350217584 /nfs/dbraw/zinc/21/75/84/350217584.db2.gz XSYOGUQHGYJPRM-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1sc(C(=O)NC[C@@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000598219537 350218256 /nfs/dbraw/zinc/21/82/56/350218256.db2.gz RPKNTHQWOJLASI-SNVBAGLBSA-N 0 0 290.291 2.740 20 5 CFBDRN COc1c(C(=O)NCCCC2CCC2)cccc1[N+](=O)[O-] ZINC000598219897 350218448 /nfs/dbraw/zinc/21/84/48/350218448.db2.gz TWMNDRPVZYVXBC-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@H](CCF)C1 ZINC000598219354 350218563 /nfs/dbraw/zinc/21/85/63/350218563.db2.gz IKDUOOWGBDTCPW-SECBINFHSA-N 0 0 286.328 2.868 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])c(OC)c1)C1CC1 ZINC000598232527 350221805 /nfs/dbraw/zinc/22/18/05/350221805.db2.gz GXQXDZWXGTXQKI-NSHDSACASA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1OC)C1CC1 ZINC000598234569 350222270 /nfs/dbraw/zinc/22/22/70/350222270.db2.gz VMPXJRJNVIGVDQ-LBPRGKRZSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000598234095 350222336 /nfs/dbraw/zinc/22/23/36/350222336.db2.gz QTPWBEUHQVMFSA-LBPRGKRZSA-N 0 0 266.272 2.652 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000598234895 350222497 /nfs/dbraw/zinc/22/24/97/350222497.db2.gz WYMWIUPLVNAALE-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN CC(C)(C)OCCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000598190882 350211185 /nfs/dbraw/zinc/21/11/85/350211185.db2.gz NNUDSFAUFGPUAK-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN C[C@H](C(=O)NCCC(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000598199345 350212672 /nfs/dbraw/zinc/21/26/72/350212672.db2.gz RKWOJRFWWNYYCV-JTQLQIEISA-N 0 0 282.315 2.953 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000091527612 180179957 /nfs/dbraw/zinc/17/99/57/180179957.db2.gz KZWLYSPRKZAMDP-OCAPTIKFSA-N 0 0 284.262 2.601 20 5 CFBDRN Cc1sc(C(=O)NCCc2ccoc2)cc1[N+](=O)[O-] ZINC000598204645 350213429 /nfs/dbraw/zinc/21/34/29/350213429.db2.gz NPJHANFUSRCABH-UHFFFAOYSA-N 0 0 280.305 2.530 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC[C@@H]2C[C@@H]2C1 ZINC000598328855 350236271 /nfs/dbraw/zinc/23/62/71/350236271.db2.gz HVXDSGJCUMTYPM-GHMZBOCLSA-N 0 0 297.314 2.625 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H]2C[C@@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000598330804 350236840 /nfs/dbraw/zinc/23/68/40/350236840.db2.gz NMEBZKPDHWJENO-CYZMBNFOSA-N 0 0 274.320 2.567 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H]2C(C)(C)C2(F)F)c1[N+](=O)[O-] ZINC000598340357 350240840 /nfs/dbraw/zinc/24/08/40/350240840.db2.gz JPMKXBRMYLYIDQ-JTQLQIEISA-N 0 0 298.289 2.924 20 5 CFBDRN CC1(C)[C@@H](CNC(=O)c2cccc([N+](=O)[O-])c2)C1(F)F ZINC000598341514 350240864 /nfs/dbraw/zinc/24/08/64/350240864.db2.gz VXTOKURZVKCVHI-SNVBAGLBSA-N 0 0 284.262 2.616 20 5 CFBDRN CC(C)CC1(NC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000598402918 350257394 /nfs/dbraw/zinc/25/73/94/350257394.db2.gz RAHDFECJMVQQOZ-UHFFFAOYSA-N 0 0 276.336 2.832 20 5 CFBDRN COc1c(C(=O)NC2(CC(C)C)CC2)cccc1[N+](=O)[O-] ZINC000598403684 350257824 /nfs/dbraw/zinc/25/78/24/350257824.db2.gz JMFHFNFPEZUXMV-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCO[C@@H](C)C2)n1 ZINC000271343270 191085349 /nfs/dbraw/zinc/08/53/49/191085349.db2.gz MTKVFQABTCYVTC-QWRGUYRKSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000105384201 186055491 /nfs/dbraw/zinc/05/54/91/186055491.db2.gz IQCROMGABBHMOT-GWCFXTLKSA-N 0 0 291.351 2.579 20 5 CFBDRN O=C(NCC1=CCCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000598374660 350251515 /nfs/dbraw/zinc/25/15/15/350251515.db2.gz ANNCVTOMWPCGSC-UHFFFAOYSA-N 0 0 285.303 2.916 20 5 CFBDRN COc1cc(C(=O)NCC2=CCCC2)c([N+](=O)[O-])cc1F ZINC000598377642 350252410 /nfs/dbraw/zinc/25/24/10/350252410.db2.gz BXWUQIPJELCSSK-UHFFFAOYSA-N 0 0 294.282 2.583 20 5 CFBDRN CC(C)[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598380367 350252673 /nfs/dbraw/zinc/25/26/73/350252673.db2.gz DZYYAQLPPVQESK-ZDUSSCGKSA-N 0 0 262.309 2.759 20 5 CFBDRN COc1ccc(C(=O)N[C@H](C(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000598379848 350252729 /nfs/dbraw/zinc/25/27/29/350252729.db2.gz AZEBYLCEQPBKMZ-CQSZACIVSA-N 0 0 292.335 2.768 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598381692 350253550 /nfs/dbraw/zinc/25/35/50/350253550.db2.gz RNERDOSEKIBACS-CYBMUJFWSA-N 0 0 262.309 2.759 20 5 CFBDRN CC(C)[C@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598382132 350253856 /nfs/dbraw/zinc/25/38/56/350253856.db2.gz SRMJQQBZUIFEDA-HNNXBMFYSA-N 0 0 276.336 2.688 20 5 CFBDRN Cc1sc(C(=O)N[C@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598385824 350254465 /nfs/dbraw/zinc/25/44/65/350254465.db2.gz KLCDGQYSYDZJKU-GFCCVEGCSA-N 0 0 268.338 2.883 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC2CC1(c1ccccc1)C2 ZINC000598554792 350281824 /nfs/dbraw/zinc/28/18/24/350281824.db2.gz MFYGLZSVGQAXDB-UHFFFAOYSA-N 0 0 298.298 2.949 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000598566603 350283431 /nfs/dbraw/zinc/28/34/31/350283431.db2.gz YGEPTQGHYPUKQP-GFCCVEGCSA-N 0 0 276.336 2.642 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000598567735 350283577 /nfs/dbraw/zinc/28/35/77/350283577.db2.gz FCSMAMBZHPYLPJ-UHFFFAOYSA-N 0 0 286.287 2.966 20 5 CFBDRN COc1cc(C(=O)N2CC3CC2(C)C3)cc([N+](=O)[O-])c1C ZINC000598570912 350284321 /nfs/dbraw/zinc/28/43/21/350284321.db2.gz LNYOKGZEBQKFAZ-UHFFFAOYSA-N 0 0 290.319 2.536 20 5 CFBDRN Cc1nc(CSCc2ccc([N+](=O)[O-])cc2)no1 ZINC000105629682 186066006 /nfs/dbraw/zinc/06/60/06/186066006.db2.gz QUHZWIMEDZVURP-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN CC1(C)CC(NC(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000598505067 350277882 /nfs/dbraw/zinc/27/78/82/350277882.db2.gz QWOPWUWNEIUICY-UHFFFAOYSA-N 0 0 291.351 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CC2=CCSC2)c1 ZINC000598516388 350279175 /nfs/dbraw/zinc/27/91/75/350279175.db2.gz UCCKHRRPEFVCLS-UHFFFAOYSA-N 0 0 292.360 2.648 20 5 CFBDRN COC(=O)c1ccnc(NC[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000598625680 350296223 /nfs/dbraw/zinc/29/62/23/350296223.db2.gz RWJICUMXPNFYBT-NXEZZACHSA-N 0 0 293.323 2.625 20 5 CFBDRN COC(=O)c1ccnc(NC[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000598625685 350296581 /nfs/dbraw/zinc/29/65/81/350296581.db2.gz RWJICUMXPNFYBT-VHSXEESVSA-N 0 0 293.323 2.625 20 5 CFBDRN Cc1nccnc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000598638561 350298905 /nfs/dbraw/zinc/29/89/05/350298905.db2.gz NUQYSAVUZIWIMK-SNVBAGLBSA-N 0 0 272.308 2.544 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@@H]1CC1(F)F ZINC000598637230 350299184 /nfs/dbraw/zinc/29/91/84/350299184.db2.gz KZCQDUKQMBFJES-MRVPVSSYSA-N 0 0 274.271 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCO[C@@H](C)C1 ZINC000271358112 191094384 /nfs/dbraw/zinc/09/43/84/191094384.db2.gz OJKCXZIBPUKQDJ-QWRGUYRKSA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1ccnc([C@H](C)Nc2nccc(C)c2[N+](=O)[O-])n1 ZINC000598641884 350299721 /nfs/dbraw/zinc/29/97/21/350299721.db2.gz YCTHHQXGPSMRMA-JTQLQIEISA-N 0 0 273.296 2.570 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC(CC2CC2)C1 ZINC000598643981 350300356 /nfs/dbraw/zinc/30/03/56/350300356.db2.gz ZAGBWPOPEWBIHE-UHFFFAOYSA-N 0 0 267.716 2.880 20 5 CFBDRN CC1(C)CC[C@H](CO)N(Cc2csc([N+](=O)[O-])c2)C1 ZINC000598649394 350302124 /nfs/dbraw/zinc/30/21/24/350302124.db2.gz VDYFBNYGJDYTHI-LLVKDONJSA-N 0 0 284.381 2.639 20 5 CFBDRN CC1CC(CNC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000598648972 350302603 /nfs/dbraw/zinc/30/26/03/350302603.db2.gz SSPTVWFLIKUGQQ-UHFFFAOYSA-N 0 0 263.297 2.762 20 5 CFBDRN Cc1nn(Cc2cncc(Cl)c2)c(C)c1[N+](=O)[O-] ZINC000598706264 350314404 /nfs/dbraw/zinc/31/44/04/350314404.db2.gz PXQMMHZKYAVYDB-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSC[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000598717727 350316569 /nfs/dbraw/zinc/31/65/69/350316569.db2.gz IJPDYWFNGRBRDF-GRYCIOLGSA-N 0 0 281.381 2.961 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC000598595690 350289393 /nfs/dbraw/zinc/28/93/93/350289393.db2.gz FXRBRHQHMZBHRZ-RKDXNWHRSA-N 0 0 281.312 2.623 20 5 CFBDRN CCCC1(CNc2nccc(C(=O)OC)c2[N+](=O)[O-])CC1 ZINC000598604170 350291979 /nfs/dbraw/zinc/29/19/79/350291979.db2.gz JZZJDZLXGMLOJG-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000271362376 191096521 /nfs/dbraw/zinc/09/65/21/191096521.db2.gz FRQXRLYDCOJQCY-NWDGAFQWSA-N 0 0 292.335 2.763 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000598854462 350340198 /nfs/dbraw/zinc/34/01/98/350340198.db2.gz MDHILQXHKQNMNG-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@]23C[C@@H]2CCC3)c1 ZINC000598855208 350340699 /nfs/dbraw/zinc/34/06/99/350340699.db2.gz INHHPJGUMRGGDU-HZMBPMFUSA-N 0 0 260.293 2.576 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC1(CC(C)C)CC1 ZINC000598879401 350343719 /nfs/dbraw/zinc/34/37/19/350343719.db2.gz RIPMPHWAGSXURM-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(c1ccncc1)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598885000 350345058 /nfs/dbraw/zinc/34/50/58/350345058.db2.gz QNQVNRCWGRCHKK-UHFFFAOYSA-N 0 0 297.314 2.973 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCc3cccnc32)c(F)c1 ZINC000598945114 350347169 /nfs/dbraw/zinc/34/71/69/350347169.db2.gz DUBSHRJYVFATSU-SNVBAGLBSA-N 0 0 288.282 2.666 20 5 CFBDRN CCO[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000598953854 350348257 /nfs/dbraw/zinc/34/82/57/350348257.db2.gz FZZDGUBVTMJNCL-LBPRGKRZSA-N 0 0 266.297 2.594 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000598954021 350348386 /nfs/dbraw/zinc/34/83/86/350348386.db2.gz JYSWPKGZCUEWIP-PUHVVEEASA-N 0 0 292.335 2.901 20 5 CFBDRN CCO[C@@H](C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000598954282 350348903 /nfs/dbraw/zinc/34/89/03/350348903.db2.gz RDAZQFXVFKGEEI-CYBMUJFWSA-N 0 0 278.308 2.657 20 5 CFBDRN C/C=C\CNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000598971739 350351897 /nfs/dbraw/zinc/35/18/97/350351897.db2.gz COLFSWBNEHWTRS-UMBAGQNISA-N 0 0 263.297 2.531 20 5 CFBDRN CN(CC1CC1)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000599023666 350360522 /nfs/dbraw/zinc/36/05/22/350360522.db2.gz JETPACPOUVZUCQ-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN Cc1noc(CN(C)c2ccccc2C)c1[N+](=O)[O-] ZINC000598798154 350329936 /nfs/dbraw/zinc/32/99/36/350329936.db2.gz ULCXMZVVFZFHQT-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN Cc1cc(C(=O)NCC[C@H]2CC2(F)F)cc([N+](=O)[O-])c1 ZINC000598785539 350330029 /nfs/dbraw/zinc/33/00/29/350330029.db2.gz WLGJNKVXNHYHID-JTQLQIEISA-N 0 0 284.262 2.678 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000598802794 350330356 /nfs/dbraw/zinc/33/03/56/350330356.db2.gz FXPJSDRGQZLYIA-GFCCVEGCSA-N 0 0 274.320 2.920 20 5 CFBDRN CCOC1(C(=O)Nc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000598802459 350330454 /nfs/dbraw/zinc/33/04/54/350330454.db2.gz FFZRVHPHCAZUFG-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCC[C@@H]2O)ccc2cnccc21 ZINC000599051566 350366998 /nfs/dbraw/zinc/36/69/98/350366998.db2.gz IDYRKGXARGOCDT-RISCZKNCSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H]1CN(c2ccc3cnccc3c2[N+](=O)[O-])CCC1=O ZINC000599070698 350369942 /nfs/dbraw/zinc/36/99/42/350369942.db2.gz NTBGMKHDNRFUKR-SNVBAGLBSA-N 0 0 285.303 2.558 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1[NH2+][C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000599075352 350371744 /nfs/dbraw/zinc/37/17/44/350371744.db2.gz VORVFJZMPUCOEV-UBSBXFKZSA-N 0 0 290.363 2.984 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@H]1CCCC12CC2 ZINC000599083090 350372611 /nfs/dbraw/zinc/37/26/11/350372611.db2.gz HGYGAKWMIWROGC-GFCCVEGCSA-N 0 0 274.320 2.791 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@@H]1C(F)F ZINC000599086539 350373401 /nfs/dbraw/zinc/37/34/01/350373401.db2.gz OESPKTLVUQYFDV-LLVKDONJSA-N 0 0 279.246 2.987 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@H]2COC[C@@H]2C1 ZINC000599110347 350377390 /nfs/dbraw/zinc/37/73/90/350377390.db2.gz RPQPOXNHJPSGAP-STQMWFEESA-N 0 0 299.330 2.616 20 5 CFBDRN COc1cc(NCC[C@@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000599117239 350377902 /nfs/dbraw/zinc/37/79/02/350377902.db2.gz QBVGYEGBPBSTFS-JTQLQIEISA-N 0 0 298.314 2.971 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC[C@@H]1CCCOC1 ZINC000599117315 350378013 /nfs/dbraw/zinc/37/80/13/350378013.db2.gz RWHXGYWQFRSWQB-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN Nc1cc(NC[C@@H]2CC[C@H]3C[C@H]3C2)cc(CO)c1[N+](=O)[O-] ZINC000599115421 350378078 /nfs/dbraw/zinc/37/80/78/350378078.db2.gz LOHXPUXLPADQBL-OUAUKWLOSA-N 0 0 291.351 2.517 20 5 CFBDRN CCC[C@H](CNc1ncc(C)cc1[N+](=O)[O-])OCC ZINC000599118045 350378286 /nfs/dbraw/zinc/37/82/86/350378286.db2.gz GUHTXQKCUFPZRU-LLVKDONJSA-N 0 0 267.329 2.915 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])nc2)C[C@@H](C)C1(F)F ZINC000599117981 350378526 /nfs/dbraw/zinc/37/85/26/350378526.db2.gz LPCUMIMKYGDHMJ-RKDXNWHRSA-N 0 0 271.267 2.717 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])nc2)C[C@H](C)C1(F)F ZINC000599117980 350378542 /nfs/dbraw/zinc/37/85/42/350378542.db2.gz LPCUMIMKYGDHMJ-IUCAKERBSA-N 0 0 271.267 2.717 20 5 CFBDRN C[C@@H]1CN(c2ncccc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000599118174 350378727 /nfs/dbraw/zinc/37/87/27/350378727.db2.gz QQMHUAKYSFLEPH-DTORHVGOSA-N 0 0 271.267 2.717 20 5 CFBDRN COCCC1CCN(c2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000599120931 350379936 /nfs/dbraw/zinc/37/99/36/350379936.db2.gz LAMGITQECRQERT-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN CCc1nn(C)c(S[C@H]2COC(C)(C)C2)c1[N+](=O)[O-] ZINC000599125163 350380292 /nfs/dbraw/zinc/38/02/92/350380292.db2.gz KRHUGDLBDFQVHQ-MRVPVSSYSA-N 0 0 285.369 2.550 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1SCc1ccccn1 ZINC000599127041 350380976 /nfs/dbraw/zinc/38/09/76/350380976.db2.gz RZUBCHAVJVBCFY-UHFFFAOYSA-N 0 0 278.337 2.807 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCC2CC=CC2)c1 ZINC000599125771 350381236 /nfs/dbraw/zinc/38/12/36/350381236.db2.gz BJKDJJHHFLZJEO-UHFFFAOYSA-N 0 0 269.304 2.943 20 5 CFBDRN Cc1nc(N2CC[C@H]3CC[C@@H](C2)S3)ccc1[N+](=O)[O-] ZINC000599134665 350381634 /nfs/dbraw/zinc/38/16/34/350381634.db2.gz LWJKLTHVRVNYIA-MNOVXSKESA-N 0 0 279.365 2.773 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC2(C1)CCCO2 ZINC000599144979 350384426 /nfs/dbraw/zinc/38/44/26/350384426.db2.gz ZDVMABQVJNDHGS-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@]2(C)OCC)ccc1[N+](=O)[O-] ZINC000599146947 350384754 /nfs/dbraw/zinc/38/47/54/350384754.db2.gz FJJQLNFMRSUCQF-KGLIPLIRSA-N 0 0 280.324 2.973 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC(Cc2ccco2)C1 ZINC000599151846 350385671 /nfs/dbraw/zinc/38/56/71/350385671.db2.gz NOXSTLCTSNYJEX-UHFFFAOYSA-N 0 0 258.277 2.867 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(Cc3ccco3)C2)c(Cl)c1 ZINC000599151030 350385773 /nfs/dbraw/zinc/38/57/73/350385773.db2.gz ADHUZOASYMEIBC-UHFFFAOYSA-N 0 0 293.710 2.915 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H]2CC=CCC2)s1 ZINC000599155684 350386072 /nfs/dbraw/zinc/38/60/72/350386072.db2.gz KYJUZDALQLFWRL-VIFPVBQESA-N 0 0 253.327 2.632 20 5 CFBDRN Cc1cc(N[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)ncc1[N+](=O)[O-] ZINC000599163933 350387447 /nfs/dbraw/zinc/38/74/47/350387447.db2.gz IADPVIDJPINLSH-QYTUQVAYSA-N 0 0 265.313 2.522 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1ccccc1[N+](=O)[O-] ZINC000599164794 350387563 /nfs/dbraw/zinc/38/75/63/350387563.db2.gz NKIFHEGJKWBBNW-XHSYRHOGSA-N 0 0 250.298 2.819 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCO[C@@H](CCF)C1 ZINC000599167893 350388065 /nfs/dbraw/zinc/38/80/65/350388065.db2.gz UAVARJFHKHWLOM-VIFPVBQESA-N 0 0 288.706 2.813 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@H]2C[C@@H](O)C2)ccc1C(F)(F)F ZINC000599174046 350389254 /nfs/dbraw/zinc/38/92/54/350389254.db2.gz JAOZLFKOBZWATI-OTSSQURYSA-N 0 0 290.241 2.796 20 5 CFBDRN COc1cccc2c1CN(c1nccc(C)c1[N+](=O)[O-])C2 ZINC000599173636 350389333 /nfs/dbraw/zinc/38/93/33/350389333.db2.gz GZRYFXQSQBLPGD-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NC[C@H]1C[C@@H](O)C1 ZINC000599175271 350389370 /nfs/dbraw/zinc/38/93/70/350389370.db2.gz WFRQSLVEVYKTKJ-OTSSQURYSA-N 0 0 290.241 2.796 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1CO[C@H](C2CC2)C1 ZINC000599179021 350390410 /nfs/dbraw/zinc/39/04/10/350390410.db2.gz DPQSKNIMOVFHBW-SCZZXKLOSA-N 0 0 254.311 2.636 20 5 CFBDRN Cc1cc(N[C@@H]2CO[C@H](C3CC3)C2)c([N+](=O)[O-])s1 ZINC000599180326 350390594 /nfs/dbraw/zinc/39/05/94/350390594.db2.gz KPFRQAWASJNDNE-ONGXEEELSA-N 0 0 268.338 2.944 20 5 CFBDRN C[C@@H]1CC[C@@H](c2noc(-c3ccc([N+](=O)[O-])s3)n2)O1 ZINC000599180318 350391109 /nfs/dbraw/zinc/39/11/09/350391109.db2.gz MBXIABPHLITSMD-RQJHMYQMSA-N 0 0 281.293 2.946 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@H]1CO[C@@H](C3CC3)C1)CCN2 ZINC000599182388 350391392 /nfs/dbraw/zinc/39/13/92/350391392.db2.gz XWHRJXFLTHDBOR-QMTHXVAHSA-N 0 0 289.335 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000599182403 350391683 /nfs/dbraw/zinc/39/16/83/350391683.db2.gz YBUIGLRRCHZKQS-IUODEOHRSA-N 0 0 299.330 2.544 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccccc1[N+](=O)[O-] ZINC000599188350 350392020 /nfs/dbraw/zinc/39/20/20/350392020.db2.gz DBGNAJHYGXWWRI-UHFFFAOYSA-N 0 0 252.314 2.582 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000599190823 350392793 /nfs/dbraw/zinc/39/27/93/350392793.db2.gz IOBCEFXQXAKSKY-UHFFFAOYSA-N 0 0 294.351 2.785 20 5 CFBDRN CCOc1cc(N(C)CC(O)(CC)CC)ccc1[N+](=O)[O-] ZINC000599190934 350392801 /nfs/dbraw/zinc/39/28/01/350392801.db2.gz JNZDIMFRBKHRRJ-UHFFFAOYSA-N 0 0 296.367 2.981 20 5 CFBDRN CCC(O)(CC)CN(C)c1cc(C)sc1[N+](=O)[O-] ZINC000599191469 350393652 /nfs/dbraw/zinc/39/36/52/350393652.db2.gz HRBBTQNCSHFSPT-UHFFFAOYSA-N 0 0 272.370 2.952 20 5 CFBDRN C/C=C/C[C@H]1CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000599200544 350395338 /nfs/dbraw/zinc/39/53/38/350395338.db2.gz ANZLMYOXUTYTBC-VUDBWIFFSA-N 0 0 262.313 2.567 20 5 CFBDRN C/C=C\C[C@H]1CCCN(c2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000599203431 350396034 /nfs/dbraw/zinc/39/60/34/350396034.db2.gz YWKFFSCBOQYTCG-RXNFCKPNSA-N 0 0 278.356 2.819 20 5 CFBDRN COc1ccsc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000105951566 186094706 /nfs/dbraw/zinc/09/47/06/186094706.db2.gz UKGMIGBRGSRDPR-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@@H](CCF)C1 ZINC000599641003 350470884 /nfs/dbraw/zinc/47/08/84/350470884.db2.gz GJOSFRQGVXPHTE-RISCZKNCSA-N 0 0 282.315 2.716 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCc3c(O)cccc3C2)cs1 ZINC000599645179 350471134 /nfs/dbraw/zinc/47/11/34/350471134.db2.gz DHFPVJPWIWIRRB-UHFFFAOYSA-N 0 0 290.344 2.920 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000599779516 350492702 /nfs/dbraw/zinc/49/27/02/350492702.db2.gz JNGQRPHLEDPENW-BSTOTGJRSA-N 0 0 293.323 2.528 20 5 CFBDRN Cc1noc(CN2C[C@@H]3CCCC[C@@]32C)c1[N+](=O)[O-] ZINC000599670980 350477252 /nfs/dbraw/zinc/47/72/52/350477252.db2.gz MSDWISOLKOAEOK-GWCFXTLKSA-N 0 0 265.313 2.656 20 5 CFBDRN CCO[C@H](C(=O)NCc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000599820045 350495342 /nfs/dbraw/zinc/49/53/42/350495342.db2.gz DULOANZKXSTXEQ-AWEZNQCLSA-N 0 0 294.351 2.581 20 5 CFBDRN COc1cc(NCc2ccc(C)nc2)ccc1[N+](=O)[O-] ZINC000107039990 186156784 /nfs/dbraw/zinc/15/67/84/186156784.db2.gz BVJOTAZOFRQHQZ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CC[C@H](O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000107062530 186157419 /nfs/dbraw/zinc/15/74/19/186157419.db2.gz CEPMPFDMCNVOEG-JTQLQIEISA-N 0 0 261.277 2.898 20 5 CFBDRN O=C(NC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1F ZINC000600167972 350528150 /nfs/dbraw/zinc/52/81/50/350528150.db2.gz HPGSGDLIEHRWIO-OUAUKWLOSA-N 0 0 292.310 2.900 20 5 CFBDRN CCOCCN(CC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000107802317 186191317 /nfs/dbraw/zinc/19/13/17/186191317.db2.gz XOBKBFSHAMIVJY-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)OCC ZINC000600173225 350530251 /nfs/dbraw/zinc/53/02/51/350530251.db2.gz ASGHCWMCYDTMST-ZDUSSCGKSA-N 0 0 294.351 2.838 20 5 CFBDRN CCC[C@@H](CNC(=O)c1csc([N+](=O)[O-])c1)OCC ZINC000600176864 350532117 /nfs/dbraw/zinc/53/21/17/350532117.db2.gz YPLVUTWTXWKRFL-JTQLQIEISA-N 0 0 286.353 2.591 20 5 CFBDRN CCC(C)(C)OC1CN(Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000600187851 350534913 /nfs/dbraw/zinc/53/49/13/350534913.db2.gz KRWSZBKYBIHOAS-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC(Cc3ccco3)C2)c1 ZINC000600197958 350537680 /nfs/dbraw/zinc/53/76/80/350537680.db2.gz QJKRBGKOHOYBIA-UHFFFAOYSA-N 0 0 272.304 2.862 20 5 CFBDRN O=[N+]([O-])c1cn(CC2CC=CC2)nc1-c1ccc(F)cn1 ZINC000600545529 350568841 /nfs/dbraw/zinc/56/88/41/350568841.db2.gz QMWRDPQTLBNZBR-UHFFFAOYSA-N 0 0 288.282 2.959 20 5 CFBDRN CCO[C@H](COc1c(Cl)cncc1[N+](=O)[O-])C1CC1 ZINC000600551893 350569465 /nfs/dbraw/zinc/56/94/65/350569465.db2.gz JRMRERORVMIRNR-LLVKDONJSA-N 0 0 286.715 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCCn2ccnc2)cc1 ZINC000600572188 350573700 /nfs/dbraw/zinc/57/37/00/350573700.db2.gz XEQQMUKMVAXXDU-UHFFFAOYSA-N 0 0 293.348 2.604 20 5 CFBDRN COc1cccc(NCc2onc(C)c2[N+](=O)[O-])c1C ZINC000600578200 350574804 /nfs/dbraw/zinc/57/48/04/350574804.db2.gz RPOHTSBCYLPMNF-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN CCC(CC)[C@H](CCNC(=O)c1ccc([N+](=O)[O-])o1)OC ZINC000437013738 535140186 /nfs/dbraw/zinc/14/01/86/535140186.db2.gz AUDSBPFBZXGHNP-NSHDSACASA-N 0 0 298.339 2.759 20 5 CFBDRN Cc1cc(C(=O)N(C2CC2)C2CC2)cc([N+](=O)[O-])c1 ZINC000600357953 350549861 /nfs/dbraw/zinc/54/98/61/350549861.db2.gz BJWCBSBADBWLMK-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N(C1CC1)C1CC1 ZINC000600361813 350550294 /nfs/dbraw/zinc/55/02/94/350550294.db2.gz XPCDDCUJLBIYKM-UHFFFAOYSA-N 0 0 264.256 2.501 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000600366058 350550489 /nfs/dbraw/zinc/55/04/89/350550489.db2.gz GNWGMUILJGWLSY-YPMHNXCESA-N 0 0 298.726 2.546 20 5 CFBDRN C/C=C/CNC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000600489445 350558308 /nfs/dbraw/zinc/55/83/08/350558308.db2.gz GNPAIBMEDALOED-SNAWJCMRSA-N 0 0 278.308 2.688 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@H]2C[C@H]2C1 ZINC000600492909 350559127 /nfs/dbraw/zinc/55/91/27/350559127.db2.gz OCKIASGOHUQETE-RTUWITSCSA-N 0 0 292.310 2.900 20 5 CFBDRN C/C=C/CNC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000600493092 350559230 /nfs/dbraw/zinc/55/92/30/350559230.db2.gz SAYUJRLGMMAZML-NSCUHMNNSA-N 0 0 286.234 2.502 20 5 CFBDRN CCO[C@@H](COc1ccccc1[N+](=O)[O-])C1CC1 ZINC000600510166 350560777 /nfs/dbraw/zinc/56/07/77/350560777.db2.gz ZHVFNDKCZSUYHI-ZDUSSCGKSA-N 0 0 251.282 2.789 20 5 CFBDRN COC(=O)CCCCOc1cc(C)ccc1[N+](=O)[O-] ZINC000600512263 350561169 /nfs/dbraw/zinc/56/11/69/350561169.db2.gz BOEJHECSPMLFNW-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCO[C@H](COc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000600517194 350562155 /nfs/dbraw/zinc/56/21/55/350562155.db2.gz BOVYASYCTUPZAP-CYBMUJFWSA-N 0 0 269.272 2.928 20 5 CFBDRN O=[N+]([O-])c1nn(C[C@@H]2C[C@H]3C=C[C@@H]2C3)cc1Br ZINC000600519314 350562506 /nfs/dbraw/zinc/56/25/06/350562506.db2.gz BHPJBHGAHHBXII-YIZRAAEISA-N 0 0 298.140 2.766 20 5 CFBDRN Cc1c(OCCCc2cnoc2)cccc1[N+](=O)[O-] ZINC000600521079 350562934 /nfs/dbraw/zinc/56/29/34/350562934.db2.gz MTSYWOAUDKOYAW-UHFFFAOYSA-N 0 0 262.265 2.903 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC000600528803 350565221 /nfs/dbraw/zinc/56/52/21/350565221.db2.gz JHDLBCPVGRZRBI-IVZWLZJFSA-N 0 0 280.711 2.622 20 5 CFBDRN CC(C)SCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000600529746 350565342 /nfs/dbraw/zinc/56/53/42/350565342.db2.gz ZHUSPERCERRULQ-UHFFFAOYSA-N 0 0 268.294 2.602 20 5 CFBDRN CC(C)c1nccn1CC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000600530590 350565513 /nfs/dbraw/zinc/56/55/13/350565513.db2.gz BKIDPCIXSCCCBU-UHFFFAOYSA-N 0 0 291.282 2.937 20 5 CFBDRN CCOc1cc(OCCCc2cnoc2)ccc1[N+](=O)[O-] ZINC000600531831 350565810 /nfs/dbraw/zinc/56/58/10/350565810.db2.gz MHAFJBIOZWXZIM-UHFFFAOYSA-N 0 0 292.291 2.993 20 5 CFBDRN Cc1nc(COc2cc(F)cc([N+](=O)[O-])c2)c(C)o1 ZINC000600532455 350565820 /nfs/dbraw/zinc/56/58/20/350565820.db2.gz ADXLKOYHFGFNME-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@H]3C=C[C@@H]2C3)cc1CO ZINC000600535233 350567313 /nfs/dbraw/zinc/56/73/13/350567313.db2.gz YDBOERBWMSZMKV-LOWVWBTDSA-N 0 0 275.304 2.678 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCn2ccnc2C2CC2)cc1 ZINC000600609999 350579859 /nfs/dbraw/zinc/57/98/59/350579859.db2.gz BPXAUYJPEVDELT-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN C[C@H](CCNc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000108616700 186224148 /nfs/dbraw/zinc/22/41/48/186224148.db2.gz VHMREUQOROUPPU-LLVKDONJSA-N 0 0 274.324 2.934 20 5 CFBDRN C[C@H](CO)CNc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000108934364 186236669 /nfs/dbraw/zinc/23/66/69/186236669.db2.gz VNHUAXLIJBWSCE-ZETCQYMHSA-N 0 0 278.230 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccccn3)no2)s1 ZINC000109498745 186257751 /nfs/dbraw/zinc/25/77/51/186257751.db2.gz QYOYZDLXFMOYCD-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000600884647 350622927 /nfs/dbraw/zinc/62/29/27/350622927.db2.gz XVJISDVYHVESKX-VIFPVBQESA-N 0 0 266.272 2.606 20 5 CFBDRN O=C(COc1cc(Cl)ccc1[N+](=O)[O-])N1CCCCC1 ZINC000109744301 186271169 /nfs/dbraw/zinc/27/11/69/186271169.db2.gz QTBCNOOJOXLYSA-UHFFFAOYSA-N 0 0 298.726 2.640 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCCC2(CC2)CC1 ZINC000600769861 350607861 /nfs/dbraw/zinc/60/78/61/350607861.db2.gz UBJCPNNDXKHZFY-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN COCCCn1cc(-c2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000600790823 350613001 /nfs/dbraw/zinc/61/30/01/350613001.db2.gz USKWMHIUBZPCFK-UHFFFAOYSA-N 0 0 279.271 2.634 20 5 CFBDRN O=C(NC[C@@H]1CCCC12CC2)c1ccc([N+](=O)[O-])s1 ZINC000600802504 350614211 /nfs/dbraw/zinc/61/42/11/350614211.db2.gz PRBVZWBULXJEFC-VIFPVBQESA-N 0 0 280.349 2.966 20 5 CFBDRN C/C=C\C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000600808948 350615904 /nfs/dbraw/zinc/61/59/04/350615904.db2.gz MPLAXAWAUUCSOU-QUCGXOGASA-N 0 0 277.324 2.741 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)OCc1ccc([N+](=O)[O-])cc1 ZINC000110167971 186288116 /nfs/dbraw/zinc/28/81/16/186288116.db2.gz CGVASDIGQWXNPV-CQSZACIVSA-N 0 0 293.319 2.987 20 5 CFBDRN O=C(NC[C@@H]1CCCCO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110249574 186288946 /nfs/dbraw/zinc/28/89/46/186288946.db2.gz TZSNVEULOSGQKE-VIFPVBQESA-N 0 0 298.726 2.547 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000110328206 186292789 /nfs/dbraw/zinc/29/27/89/186292789.db2.gz DQFRKNOYOZAVAW-LBPRGKRZSA-N 0 0 294.351 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC[S@@](=O)CC2CC2)cc1 ZINC000600917526 350630022 /nfs/dbraw/zinc/63/00/22/350630022.db2.gz YDIIYEMNRDGORL-LJQANCHMSA-N 0 0 283.349 2.522 20 5 CFBDRN COc1cc(COc2nc(C)cc(C)c2[N+](=O)[O-])sn1 ZINC000601079555 350660783 /nfs/dbraw/zinc/66/07/83/350660783.db2.gz BBCFXQRIOGCWHI-UHFFFAOYSA-N 0 0 295.320 2.651 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cccc3c2CCOC3)nc1C1CC1 ZINC000601080874 350661782 /nfs/dbraw/zinc/66/17/82/350661782.db2.gz HVXLBNLHCCOVDU-UHFFFAOYSA-N 0 0 299.330 2.790 20 5 CFBDRN Cc1cc(OC[C@H]2CCO[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000601081694 350662522 /nfs/dbraw/zinc/66/25/22/350662522.db2.gz SIVVDDKAIJCDIW-VHSXEESVSA-N 0 0 269.272 2.846 20 5 CFBDRN CC[C@H]1CCCN1c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601111470 350671784 /nfs/dbraw/zinc/67/17/84/350671784.db2.gz SPSIBIGVIJLYKL-ZETCQYMHSA-N 0 0 292.261 2.726 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](C)O[C@H]2C)c([N+](=O)[O-])s1 ZINC000601223830 350702188 /nfs/dbraw/zinc/70/21/88/350702188.db2.gz OOFMMCPKJHVMJO-BWVDBABLSA-N 0 0 256.327 2.943 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncnc3cccc([N+](=O)[O-])c32)[C@@H](C)O1 ZINC000601223958 350702306 /nfs/dbraw/zinc/70/23/06/350702306.db2.gz UXQWQTIIXBLEMV-KKZNHRDASA-N 0 0 288.307 2.516 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCO[C@H](C(F)F)CC1 ZINC000601230437 350704136 /nfs/dbraw/zinc/70/41/36/350704136.db2.gz CCBPRTOFQUDMOQ-QMMMGPOBSA-N 0 0 278.280 2.517 20 5 CFBDRN Cc1scc(CNC(=O)c2cc([N+](=O)[O-])cn2C)c1C ZINC000601231463 350704412 /nfs/dbraw/zinc/70/44/12/350704412.db2.gz BSRNFHPLYYHBMY-UHFFFAOYSA-N 0 0 293.348 2.542 20 5 CFBDRN COc1cc(NCc2cccc(CO)c2)ccc1[N+](=O)[O-] ZINC000111053507 186348754 /nfs/dbraw/zinc/34/87/54/186348754.db2.gz WSRXLAZPLFCQRW-UHFFFAOYSA-N 0 0 288.303 2.708 20 5 CFBDRN CC1(F)CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000601245519 350708463 /nfs/dbraw/zinc/70/84/63/350708463.db2.gz ARVZGQWQBXNVKS-UHFFFAOYSA-N 0 0 273.695 2.972 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H](C1CCC1)[C@@H]1CCCO1 ZINC000601250888 350709770 /nfs/dbraw/zinc/70/97/70/350709770.db2.gz SBHWLXFNDMZTTP-STQMWFEESA-N 0 0 295.314 2.889 20 5 CFBDRN O=C(NCCCC(F)(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000601263232 350711476 /nfs/dbraw/zinc/71/14/76/350711476.db2.gz GTEQFWWBHAWMAG-UHFFFAOYSA-N 0 0 294.204 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3OC4CCC3CC4)nc2c1 ZINC000601272651 350714479 /nfs/dbraw/zinc/71/44/79/350714479.db2.gz JXTKRIYGQBQTLU-UHFFFAOYSA-N 0 0 274.280 2.534 20 5 CFBDRN CC1(C)C[C@@H](Nc2ncc(F)cc2[N+](=O)[O-])C(C)(C)O1 ZINC000601140609 350680450 /nfs/dbraw/zinc/68/04/50/350680450.db2.gz ZJXZHIVQACSRHA-SNVBAGLBSA-N 0 0 283.303 2.887 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC2(C1)CCCCC2 ZINC000601142282 350680733 /nfs/dbraw/zinc/68/07/33/350680733.db2.gz PQBYEVSDZVYBRK-UHFFFAOYSA-N 0 0 265.288 2.899 20 5 CFBDRN C[C@H]1C[C@H](CCNc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601142333 350681416 /nfs/dbraw/zinc/68/14/16/350681416.db2.gz YLEIUNULRJBZCG-VHSXEESVSA-N 0 0 283.303 2.746 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C[C@H](C)O1 ZINC000111015926 186344045 /nfs/dbraw/zinc/34/40/45/186344045.db2.gz FIDRTCVAFBZHPN-WPRPVWTQSA-N 0 0 298.364 2.604 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cnn3c2CCCC3)c1 ZINC000601150728 350682892 /nfs/dbraw/zinc/68/28/92/350682892.db2.gz AVRCDKBWFOKRFY-UHFFFAOYSA-N 0 0 272.308 2.740 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCCC1CCOCC1 ZINC000601169466 350687115 /nfs/dbraw/zinc/68/71/15/350687115.db2.gz AMRGOYVNLHHAJP-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN C[C@@H](F)CCNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601168985 350687269 /nfs/dbraw/zinc/68/72/69/350687269.db2.gz HPHWJCWARVKTCJ-SECBINFHSA-N 0 0 263.272 2.725 20 5 CFBDRN CC1(C)OCC[C@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601169818 350687282 /nfs/dbraw/zinc/68/72/82/350687282.db2.gz GMNFBWGDOFZOAM-CQSZACIVSA-N 0 0 287.319 2.544 20 5 CFBDRN O=C(NCC1CCSCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601171041 350687915 /nfs/dbraw/zinc/68/79/15/350687915.db2.gz ZTIZGJOHXXTPLA-UHFFFAOYSA-N 0 0 298.339 2.607 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000601179780 350690210 /nfs/dbraw/zinc/69/02/10/350690210.db2.gz BKTOQZRCGXSNNZ-KVSKUHBBSA-N 0 0 290.245 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1CCCC[C@H]1F ZINC000601176797 350690276 /nfs/dbraw/zinc/69/02/76/350690276.db2.gz RALCRNOWIRRQFE-RKDXNWHRSA-N 0 0 257.240 2.822 20 5 CFBDRN CC(C)O[C@@H]1CCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601183715 350690798 /nfs/dbraw/zinc/69/07/98/350690798.db2.gz DBAUPKQGMJNBTF-LLVKDONJSA-N 0 0 283.303 2.523 20 5 CFBDRN C[C@H](CNc1ncc(F)cc1[N+](=O)[O-])CC(F)F ZINC000601182827 350690832 /nfs/dbraw/zinc/69/08/32/350690832.db2.gz ZLEDDQHDQBRGID-LURJTMIESA-N 0 0 263.219 2.832 20 5 CFBDRN Cc1cnc(N2CCC(c3ncco3)CC2)c([N+](=O)[O-])c1 ZINC000601183987 350690963 /nfs/dbraw/zinc/69/09/63/350690963.db2.gz IRNCIKNEIHKSIX-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN C[C@H]1CN(c2ncc(F)cc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000601201285 350695337 /nfs/dbraw/zinc/69/53/37/350695337.db2.gz NEWYDUYFFOCCCE-YUMQZZPRSA-N 0 0 289.257 2.856 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCCC12CC2 ZINC000601211318 350698364 /nfs/dbraw/zinc/69/83/64/350698364.db2.gz ZYXSFMLAZUVQFX-SNVBAGLBSA-N 0 0 264.329 2.864 20 5 CFBDRN CCC[C@@H](CCO)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000601212639 350698647 /nfs/dbraw/zinc/69/86/47/350698647.db2.gz OEHCYAKZJPTNBS-NSHDSACASA-N 0 0 282.340 2.957 20 5 CFBDRN CCC[C@@H](CCO)Nc1cccc(OCC)c1[N+](=O)[O-] ZINC000601213007 350699389 /nfs/dbraw/zinc/69/93/89/350699389.db2.gz VWMGMCMXRPHZSZ-NSHDSACASA-N 0 0 282.340 2.957 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC(CCF)CC1 ZINC000601217436 350700231 /nfs/dbraw/zinc/70/02/31/350700231.db2.gz FTIPLRDTIJFWCH-UHFFFAOYSA-N 0 0 253.277 2.566 20 5 CFBDRN Cc1scc(CNc2cc[nH]c(=O)c2[N+](=O)[O-])c1C ZINC000601217935 350700946 /nfs/dbraw/zinc/70/09/46/350700946.db2.gz PRHLCACLEHSCIZ-UHFFFAOYSA-N 0 0 279.321 2.986 20 5 CFBDRN COc1cc(NCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000111050170 186348080 /nfs/dbraw/zinc/34/80/80/186348080.db2.gz DXEXDMNYHYBOPJ-UHFFFAOYSA-N 0 0 264.203 2.968 20 5 CFBDRN CC(F)(F)CCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601600332 350745302 /nfs/dbraw/zinc/74/53/02/350745302.db2.gz AZTMHSGYFNAEGY-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN CC[C@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C(F)F ZINC000601601758 350745469 /nfs/dbraw/zinc/74/54/69/350745469.db2.gz CUKMAYOXLYFBLM-ZETCQYMHSA-N 0 0 276.214 2.507 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CCCC12CC2 ZINC000601702203 350764364 /nfs/dbraw/zinc/76/43/64/350764364.db2.gz CEGJVHGZLAYDOJ-AWEZNQCLSA-N 0 0 288.347 2.976 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601601897 350745721 /nfs/dbraw/zinc/74/57/21/350745721.db2.gz DBRIOWPUHNFSBO-NWDGAFQWSA-N 0 0 288.347 2.883 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CC[C@@H]1C(F)F ZINC000601605299 350746606 /nfs/dbraw/zinc/74/66/06/350746606.db2.gz YDXGXHOAGMGYPV-QJPTWQEYSA-N 0 0 296.273 2.564 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)C1(CCF)CC1 ZINC000291173549 197942168 /nfs/dbraw/zinc/94/21/68/197942168.db2.gz NMOVOUXOUIOJFW-UHFFFAOYSA-N 0 0 270.235 2.812 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1c(F)cccc1[N+](=O)[O-] ZINC000601632785 350750991 /nfs/dbraw/zinc/75/09/91/350750991.db2.gz JJWZMVSVVGZANJ-WCABBAIRSA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601633641 350751046 /nfs/dbraw/zinc/75/10/46/350751046.db2.gz UJTVFJZACNYRIJ-WCBMZHEXSA-N 0 0 266.272 2.508 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601634462 350751385 /nfs/dbraw/zinc/75/13/85/350751385.db2.gz ZUGDFKZHSACAAA-SMDDNHRTSA-N 0 0 280.299 2.898 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601646985 350753057 /nfs/dbraw/zinc/75/30/57/350753057.db2.gz IBRQMKYATOXPBC-GXSJLCMTSA-N 0 0 278.283 2.652 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]21 ZINC000601662768 350754798 /nfs/dbraw/zinc/75/47/98/350754798.db2.gz SSJQFGGUBIOIAC-ONGXEEELSA-N 0 0 278.283 2.749 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CC[C@H](C2CC2)C1 ZINC000601391732 350729097 /nfs/dbraw/zinc/72/90/97/350729097.db2.gz AOAWPFHPEJMDKV-JTQLQIEISA-N 0 0 278.283 2.606 20 5 CFBDRN CCOC(C)(C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000601411283 350731009 /nfs/dbraw/zinc/73/10/09/350731009.db2.gz QUUROHAERLJOMP-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCCC23CC3)ccc1[N+](=O)[O-] ZINC000601702170 350764147 /nfs/dbraw/zinc/76/41/47/350764147.db2.gz BXJNMLRZVHEPKX-CYBMUJFWSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000601701073 350764166 /nfs/dbraw/zinc/76/41/66/350764166.db2.gz FKAYIPVOVUQJMU-GFCCVEGCSA-N 0 0 274.320 2.966 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC12CC2 ZINC000601699645 350764294 /nfs/dbraw/zinc/76/42/94/350764294.db2.gz AUGRIUCZZFEUTA-CYBMUJFWSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1cc(-c2ccc([N+](=O)[O-])cc2)n([C@@H]2CCC[C@H]2O)n1 ZINC000601948345 350815055 /nfs/dbraw/zinc/81/50/55/350815055.db2.gz FSJLXSJSCRKYKL-UKRRQHHQSA-N 0 0 287.319 2.853 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000601705871 350765574 /nfs/dbraw/zinc/76/55/74/350765574.db2.gz UFKGFIMTPCDMMF-GFCCVEGCSA-N 0 0 260.293 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCC23CC3)c1 ZINC000601706468 350765770 /nfs/dbraw/zinc/76/57/70/350765770.db2.gz VOEMWAARIVNKIB-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])[C@H](C)O1 ZINC000601762194 350772545 /nfs/dbraw/zinc/77/25/45/350772545.db2.gz RRISUVVUDWRSFD-FYBVGQRMSA-N 0 0 298.726 2.544 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@]12C[C@H]1CCCC2 ZINC000601773158 350774094 /nfs/dbraw/zinc/77/40/94/350774094.db2.gz JLRTZWRDDATEMQ-IAQYHMDHSA-N 0 0 274.320 2.966 20 5 CFBDRN CNc1ccc(C(=O)N[C@@]23C[C@@H]2CCCC3)cc1[N+](=O)[O-] ZINC000601774385 350774518 /nfs/dbraw/zinc/77/45/18/350774518.db2.gz MORYLJKVTWEGCZ-NHYWBVRUSA-N 0 0 289.335 2.699 20 5 CFBDRN CNc1ccc(C(=O)N[C@]23C[C@H]2CCCC3)cc1[N+](=O)[O-] ZINC000601774384 350774554 /nfs/dbraw/zinc/77/45/54/350774554.db2.gz MORYLJKVTWEGCZ-IAQYHMDHSA-N 0 0 289.335 2.699 20 5 CFBDRN Cc1ccc(C(=O)N[C@]23C[C@H]2CCCC3)cc1[N+](=O)[O-] ZINC000601775731 350774591 /nfs/dbraw/zinc/77/45/91/350774591.db2.gz PKRDOZZEAADIHD-IUODEOHRSA-N 0 0 274.320 2.966 20 5 CFBDRN COc1cc(C(=O)N[C@]23C[C@H]2CCCC3)ccc1[N+](=O)[O-] ZINC000601779784 350775052 /nfs/dbraw/zinc/77/50/52/350775052.db2.gz YAXYKDLMDNPTMZ-IAQYHMDHSA-N 0 0 290.319 2.666 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000601868048 350794276 /nfs/dbraw/zinc/79/42/76/350794276.db2.gz DPLCCUCAIVZMKE-NSHDSACASA-N 0 0 276.336 2.832 20 5 CFBDRN COc1ccc(C(=O)N[C@H](C)CC2CCC2)cc1[N+](=O)[O-] ZINC000601868893 350794718 /nfs/dbraw/zinc/79/47/18/350794718.db2.gz IDXWERCODSLZHX-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CC1(F)CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000601870635 350795136 /nfs/dbraw/zinc/79/51/36/350795136.db2.gz XCHYNNWWPHQBOR-UHFFFAOYSA-N 0 0 272.301 2.621 20 5 CFBDRN C[C@@H](CN(C)c1cc[nH]c(=O)c1[N+](=O)[O-])C(C)(C)C ZINC000601981814 350823198 /nfs/dbraw/zinc/82/31/98/350823198.db2.gz YYAPUTAYAPDTAW-VIFPVBQESA-N 0 0 267.329 2.814 20 5 CFBDRN Cc1cc(NC(=O)C(C)(C)n2cc([N+](=O)[O-])cn2)cs1 ZINC000601878223 350796603 /nfs/dbraw/zinc/79/66/03/350796603.db2.gz VQYHMURBUZHIOT-UHFFFAOYSA-N 0 0 294.336 2.535 20 5 CFBDRN CC(C)=CCSCCn1c(C)ncc1[N+](=O)[O-] ZINC000601915024 350801497 /nfs/dbraw/zinc/80/14/97/350801497.db2.gz YHCOXRNPMZKKOL-UHFFFAOYSA-N 0 0 255.343 2.799 20 5 CFBDRN C[C@H]1OCC[C@@H]1Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000601921664 350803053 /nfs/dbraw/zinc/80/30/53/350803053.db2.gz DRXHGZAZSAOVHM-GHMZBOCLSA-N 0 0 260.293 2.975 20 5 CFBDRN Cc1c(NCc2nc(CC3CC3)no2)cccc1[N+](=O)[O-] ZINC000601925552 350803760 /nfs/dbraw/zinc/80/37/60/350803760.db2.gz OCKNHDHADGMXNP-UHFFFAOYSA-N 0 0 288.307 2.851 20 5 CFBDRN CC[C@H]1C(=O)N(c2cccc([N+](=O)[O-])c2)N=C1C(C)C ZINC000601931118 350805170 /nfs/dbraw/zinc/80/51/70/350805170.db2.gz FXLJKKRZGSDIKQ-GFCCVEGCSA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)C1=NN(c2cccc([N+](=O)[O-])c2)C(=O)CC1 ZINC000601932881 350805773 /nfs/dbraw/zinc/80/57/73/350805773.db2.gz TWGMVOFUNNTMDN-UHFFFAOYSA-N 0 0 261.281 2.734 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1N1N=C2CC[C@@H](C)[C@@H]2C1=O ZINC000601938023 350809475 /nfs/dbraw/zinc/80/94/75/350809475.db2.gz FPMGSCYYIBXJGK-OQPBUACISA-N 0 0 273.292 2.652 20 5 CFBDRN CC(C)=C(C)CC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000602070856 350837659 /nfs/dbraw/zinc/83/76/59/350837659.db2.gz IPNNFZLBURBYPN-UHFFFAOYSA-N 0 0 262.309 2.957 20 5 CFBDRN CC(=O)c1ccc(OCc2nnc(C)s2)c([N+](=O)[O-])c1 ZINC000271413092 191124511 /nfs/dbraw/zinc/12/45/11/191124511.db2.gz AYMDPAFWYIVSDS-UHFFFAOYSA-N 0 0 293.304 2.536 20 5 CFBDRN C[C@@H](C(=O)N1OC2CCC1CC2)c1cccc([N+](=O)[O-])c1 ZINC000602211791 350852219 /nfs/dbraw/zinc/85/22/19/350852219.db2.gz DRGMHSYANXTZRR-PWQPVHBWSA-N 0 0 290.319 2.783 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1OC2CCC1CC2 ZINC000602218207 350853325 /nfs/dbraw/zinc/85/33/25/350853325.db2.gz WKVPHTPLVBODQU-UHFFFAOYSA-N 0 0 296.710 2.947 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000602246483 350855817 /nfs/dbraw/zinc/85/58/17/350855817.db2.gz ORDFEHLKIZAZMF-VIFPVBQESA-N 0 0 268.288 2.995 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2C[C@H](C)O[C@@H]2C)cc1[N+](=O)[O-] ZINC000602397508 350874192 /nfs/dbraw/zinc/87/41/92/350874192.db2.gz PHLSAONWAAFDEB-HOSYDEDBSA-N 0 0 293.323 2.591 20 5 CFBDRN C[C@H](c1cccs1)N(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602441416 350883890 /nfs/dbraw/zinc/88/38/90/350883890.db2.gz FWZOKLDJEXHPEY-SECBINFHSA-N 0 0 280.353 2.583 20 5 CFBDRN COc1ccc(CN(C)Cc2ccns2)cc1[N+](=O)[O-] ZINC000602457291 350890731 /nfs/dbraw/zinc/89/07/31/350890731.db2.gz DYCHJLGVODDWRC-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN CCN(CC1CC1)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000112198631 186474585 /nfs/dbraw/zinc/47/45/85/186474585.db2.gz VSQRHGFTFJTIEJ-UHFFFAOYSA-N 0 0 294.376 2.945 20 5 CFBDRN C[C@@H](CF)NCc1cc(Br)c([N+](=O)[O-])s1 ZINC000602664270 350966299 /nfs/dbraw/zinc/96/62/99/350966299.db2.gz YOKZBVOCEFHNFR-YFKPBYRVSA-N 0 0 297.149 2.866 20 5 CFBDRN CC(C)c1ccc(CNC2(C)COC2)cc1[N+](=O)[O-] ZINC000602740931 351010185 /nfs/dbraw/zinc/01/01/85/351010185.db2.gz RNGCNIZLKFOKNG-UHFFFAOYSA-N 0 0 264.325 2.597 20 5 CFBDRN CCOC(=O)CSc1ccc2ncccc2c1[N+](=O)[O-] ZINC000112072449 186470550 /nfs/dbraw/zinc/47/05/50/186470550.db2.gz KNWJSPYYUBYAQO-UHFFFAOYSA-N 0 0 292.316 2.798 20 5 CFBDRN C[C@@H](NC(=O)NC[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000416691519 233599011 /nfs/dbraw/zinc/59/90/11/233599011.db2.gz SAQRTOYKUFYPBS-ZYHUDNBSSA-N 0 0 289.335 2.755 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602811118 351035179 /nfs/dbraw/zinc/03/51/79/351035179.db2.gz KDJAZFSPRMTLQI-ZYHUDNBSSA-N 0 0 280.372 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](C)C(F)F ZINC000602885333 351058224 /nfs/dbraw/zinc/05/82/24/351058224.db2.gz VJYUGCSKWPVSSR-QMMMGPOBSA-N 0 0 287.266 2.987 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1ccccc1C ZINC000602911621 351063076 /nfs/dbraw/zinc/06/30/76/351063076.db2.gz FLNLOCDJVRRBHH-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H]1C ZINC000603264436 351126449 /nfs/dbraw/zinc/12/64/49/351126449.db2.gz BXMAYAYEOABKID-AAEUAGOBSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(F)F ZINC000603214249 351118780 /nfs/dbraw/zinc/11/87/80/351118780.db2.gz GNTSXRDYTYWLBF-JGVFFNPUSA-N 0 0 287.266 2.609 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cn(CCC3CC3)nn2)cc1 ZINC000603225004 351121138 /nfs/dbraw/zinc/12/11/38/351121138.db2.gz YSEWOUWOZZUUAP-UHFFFAOYSA-N 0 0 288.307 2.565 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccccc2[N+](=O)[O-])CCO1 ZINC000271426283 191131440 /nfs/dbraw/zinc/13/14/40/191131440.db2.gz VXIATLQJCXMSHZ-MNOVXSKESA-N 0 0 250.298 2.822 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H](C)C(F)F)ccc1[N+](=O)[O-] ZINC000602924086 351067912 /nfs/dbraw/zinc/06/79/12/351067912.db2.gz AETMIKVPEZGRIF-ZETCQYMHSA-N 0 0 273.239 2.678 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H](C)C(F)F ZINC000602951540 351071538 /nfs/dbraw/zinc/07/15/38/351071538.db2.gz TTZMGGXSLVSRJD-MRVPVSSYSA-N 0 0 287.266 2.987 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC=CC1 ZINC000602948949 351071797 /nfs/dbraw/zinc/07/17/97/351071797.db2.gz GPYGBSLNEAHSNK-UHFFFAOYSA-N 0 0 261.281 2.615 20 5 CFBDRN Cc1c(CNc2cc(C3CC3)ncn2)cccc1[N+](=O)[O-] ZINC000603360331 351146289 /nfs/dbraw/zinc/14/62/89/351146289.db2.gz XBVWJGHUNRBXEQ-UHFFFAOYSA-N 0 0 284.319 2.605 20 5 CFBDRN COc1ccc(C)cc1CNc1ccc([N+](=O)[O-])nc1 ZINC000603552758 351173735 /nfs/dbraw/zinc/17/37/35/351173735.db2.gz LPKUBJYAHCJTAK-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1cc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)c(C)[nH]1 ZINC000603577113 351175106 /nfs/dbraw/zinc/17/51/06/351175106.db2.gz CRLCMUWNBCYUDW-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000603756337 351180554 /nfs/dbraw/zinc/18/05/54/351180554.db2.gz OOKCNQYTAFZNIG-UHFFFAOYSA-N 0 0 268.338 2.917 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1ccc2c(c1)OCCCO2 ZINC000603838804 351184805 /nfs/dbraw/zinc/18/48/05/351184805.db2.gz KXXHUNMTMLRVGB-UHFFFAOYSA-N 0 0 287.275 2.895 20 5 CFBDRN Cc1ccc(OCCN(C)c2cccnc2[N+](=O)[O-])cc1 ZINC000603844635 351185453 /nfs/dbraw/zinc/18/54/53/351185453.db2.gz VVJUXRZHJHDBPO-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc(-n2cccn2)cc1 ZINC000603854444 351186681 /nfs/dbraw/zinc/18/66/81/351186681.db2.gz JXWCTAOJBLJZDQ-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN COCCOc1cccc(Nc2cccnc2[N+](=O)[O-])c1 ZINC000603869668 351188205 /nfs/dbraw/zinc/18/82/05/351188205.db2.gz UJWDTSORGZJKPC-UHFFFAOYSA-N 0 0 289.291 2.759 20 5 CFBDRN CCC(=O)c1ccc(OCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000603299738 351133533 /nfs/dbraw/zinc/13/35/33/351133533.db2.gz KZMAVJCQRJWYRN-UHFFFAOYSA-N 0 0 289.291 2.500 20 5 CFBDRN Cc1nn([C@@H]2CCC(C)(C)C2=O)c2ncc([N+](=O)[O-])cc12 ZINC000603319374 351137998 /nfs/dbraw/zinc/13/79/98/351137998.db2.gz HRARRYIRPQGTFQ-LLVKDONJSA-N 0 0 288.307 2.578 20 5 CFBDRN Cc1nn(CCSC(C)C)c2ncc([N+](=O)[O-])cc12 ZINC000603323409 351139024 /nfs/dbraw/zinc/13/90/24/351139024.db2.gz BMCOPKSEGMOANX-UHFFFAOYSA-N 0 0 280.353 2.790 20 5 CFBDRN Cc1nn(CCCCCF)c2ncc([N+](=O)[O-])cc12 ZINC000603324267 351139422 /nfs/dbraw/zinc/13/94/22/351139422.db2.gz DOQHPSCHGJHZMK-UHFFFAOYSA-N 0 0 266.276 2.788 20 5 CFBDRN Cc1nn(CCC(F)(F)F)c2ncc([N+](=O)[O-])cc12 ZINC000603325289 351139532 /nfs/dbraw/zinc/13/95/32/351139532.db2.gz IYGHXTVXZUQPCR-UHFFFAOYSA-N 0 0 274.202 2.600 20 5 CFBDRN Cc1nn(CC2CCCC2)c2ncc([N+](=O)[O-])cc12 ZINC000603329934 351140434 /nfs/dbraw/zinc/14/04/34/351140434.db2.gz YLTVYRZYLDLNNP-UHFFFAOYSA-N 0 0 260.297 2.838 20 5 CFBDRN Cc1occc1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000604311174 351206109 /nfs/dbraw/zinc/20/61/09/351206109.db2.gz XUFPWQBYZFWMAN-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN C[C@H](CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000604401941 351210692 /nfs/dbraw/zinc/21/06/92/351210692.db2.gz DGJQVECIXFUDIS-LLVKDONJSA-N 0 0 291.351 2.782 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000604397872 351210849 /nfs/dbraw/zinc/21/08/49/351210849.db2.gz KUGGMUSSONYECZ-QMMMGPOBSA-N 0 0 266.322 2.551 20 5 CFBDRN COc1ccc2c(c1)CN(c1cccnc1[N+](=O)[O-])CC2 ZINC000604403050 351211453 /nfs/dbraw/zinc/21/14/53/351211453.db2.gz FDJPPTKULLRKIR-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)C2CC2)cc([N+](=O)[O-])c1 ZINC000604449130 351212836 /nfs/dbraw/zinc/21/28/36/351212836.db2.gz NDQQGDZOZHNXOQ-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1cc(N2CC[C@@H]2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000604508412 351216153 /nfs/dbraw/zinc/21/61/53/351216153.db2.gz ZNCSQFKFQKMTJA-NSHDSACASA-N 0 0 284.319 2.959 20 5 CFBDRN C[C@@H]1c2ccccc2OCCN1c1ncccc1[N+](=O)[O-] ZINC000604557758 351219253 /nfs/dbraw/zinc/21/92/53/351219253.db2.gz MSLWGCVRSUNSBW-LLVKDONJSA-N 0 0 285.303 2.950 20 5 CFBDRN O=C(Nc1ccc[nH]c1=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000604573571 351220050 /nfs/dbraw/zinc/22/00/50/351220050.db2.gz BERMXSNIQLDTRE-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN CO[C@@](C)(C(=O)Oc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000291403608 198012605 /nfs/dbraw/zinc/01/26/05/198012605.db2.gz DSJRPGQIESOEMX-CQSZACIVSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCc2sccc2C1 ZINC000609332391 351496140 /nfs/dbraw/zinc/49/61/40/351496140.db2.gz SOAIFUMGPDEGMJ-UHFFFAOYSA-N 0 0 292.316 2.838 20 5 CFBDRN CN(C[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])s1 ZINC000609350704 351497408 /nfs/dbraw/zinc/49/74/08/351497408.db2.gz KDQRVERMPSSHSM-VIFPVBQESA-N 0 0 256.327 2.519 20 5 CFBDRN Cc1nc(C)c(CCNc2cccnc2[N+](=O)[O-])s1 ZINC000609384412 351499781 /nfs/dbraw/zinc/49/97/81/351499781.db2.gz BFLWQMKRTWQCQI-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCC1(C)CCCC1 ZINC000609409475 351501409 /nfs/dbraw/zinc/50/14/09/351501409.db2.gz IONCBSGBLKYRAR-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1cc(CN(C)c2ccc([N+](=O)[O-])s2)no1 ZINC000609560122 351507137 /nfs/dbraw/zinc/50/71/37/351507137.db2.gz ZNRQYENQUYWLCK-UHFFFAOYSA-N 0 0 253.283 2.589 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC(C)(C)[C@H]1c1ccccc1 ZINC000609586355 351511020 /nfs/dbraw/zinc/51/10/20/351511020.db2.gz RIDWLLQLMILYOW-GFCCVEGCSA-N 0 0 286.335 2.916 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1cc2c(nn1)CCC2 ZINC000608779535 351470482 /nfs/dbraw/zinc/47/04/82/351470482.db2.gz WPSMCQRFJIXOFS-UHFFFAOYSA-N 0 0 287.275 2.674 20 5 CFBDRN Cn1ccc2c1cccc2NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000608882907 351481080 /nfs/dbraw/zinc/48/10/80/351481080.db2.gz XPHTUPYABBMIDF-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN Nc1nc(S[C@@H]2CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000609075266 351484594 /nfs/dbraw/zinc/48/45/94/351484594.db2.gz DMORYAJRRSHEEK-LLVKDONJSA-N 0 0 288.332 2.577 20 5 CFBDRN CNc1ccc(C(=O)N(C(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000609142573 351487815 /nfs/dbraw/zinc/48/78/15/351487815.db2.gz ZMWWWGBUXNJXNJ-UHFFFAOYSA-N 0 0 277.324 2.650 20 5 CFBDRN C[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)n1cccc1 ZINC000609151956 351488615 /nfs/dbraw/zinc/48/86/15/351488615.db2.gz GCPYNGPGCKUTCS-LLVKDONJSA-N 0 0 273.292 2.986 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H](n3ccnc3)C2)cs1 ZINC000609157304 351489943 /nfs/dbraw/zinc/48/99/43/351489943.db2.gz CFUAMQXLRPMIEP-LBPRGKRZSA-N 0 0 292.364 2.690 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000609797112 351519624 /nfs/dbraw/zinc/51/96/24/351519624.db2.gz OWVQIJCALYIWCM-MRVPVSSYSA-N 0 0 299.290 2.745 20 5 CFBDRN C[C@H](CC(=O)N1CCc2cc([N+](=O)[O-])ccc21)n1cccc1 ZINC000609853684 351523175 /nfs/dbraw/zinc/52/31/75/351523175.db2.gz HAHCZVYYFSQGJX-GFCCVEGCSA-N 0 0 299.330 2.937 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1c(O)cccc1F ZINC000609851151 351523271 /nfs/dbraw/zinc/52/32/71/351523271.db2.gz KBUCLCNKBABJLG-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN CC(F)(F)CCC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000609973986 351529671 /nfs/dbraw/zinc/52/96/71/351529671.db2.gz VMTRGZHIPFNVJI-UHFFFAOYSA-N 0 0 284.262 2.919 20 5 CFBDRN CCN(C(=O)NCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000610024284 351531707 /nfs/dbraw/zinc/53/17/07/351531707.db2.gz NJYLXFYWACBXCS-UHFFFAOYSA-N 0 0 277.324 2.679 20 5 CFBDRN Cc1sc(C(=O)N2CCC2(C)C)cc1[N+](=O)[O-] ZINC000610116624 351534400 /nfs/dbraw/zinc/53/44/00/351534400.db2.gz HVLGEIXRMJHWPN-UHFFFAOYSA-N 0 0 254.311 2.589 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H](CCO)c1ccccc1 ZINC000610131531 351534755 /nfs/dbraw/zinc/53/47/55/351534755.db2.gz GUQQUZDOYWGXLW-CYBMUJFWSA-N 0 0 287.319 2.568 20 5 CFBDRN CC(C)OCCCN(C)c1ccc([N+](=O)[O-])s1 ZINC000610133721 351535849 /nfs/dbraw/zinc/53/58/49/351535849.db2.gz PNSBWCYRCHLYPG-UHFFFAOYSA-N 0 0 258.343 2.908 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)c1ccco1 ZINC000610168535 351536553 /nfs/dbraw/zinc/53/65/53/351536553.db2.gz GOMCUZIHOPDKOF-SECBINFHSA-N 0 0 290.275 2.939 20 5 CFBDRN CCOC1CCN(c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000610275685 351546861 /nfs/dbraw/zinc/54/68/61/351546861.db2.gz KTSFMLHJECLNFL-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN Nc1nc(N[C@H](CC2CC2)c2ccccc2)ncc1[N+](=O)[O-] ZINC000610280991 351548909 /nfs/dbraw/zinc/54/89/09/351548909.db2.gz UCDPEXAULXKXKY-GFCCVEGCSA-N 0 0 299.334 2.751 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@H]3CCCO[C@H]3C2(C)C)c([N+](=O)[O-])c1 ZINC000610284264 351548941 /nfs/dbraw/zinc/54/89/41/351548941.db2.gz JTXBGXVURZFELK-RAIGVLPGSA-N 0 0 291.351 2.914 20 5 CFBDRN CN(CCc1cccs1)c1cccnc1[N+](=O)[O-] ZINC000610282471 351549546 /nfs/dbraw/zinc/54/95/46/351549546.db2.gz BHJJYMGAROAJTN-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN Cc1ccnc(N2CC(Oc3ccccc3)C2)c1[N+](=O)[O-] ZINC000609670459 351515058 /nfs/dbraw/zinc/51/50/58/351515058.db2.gz YRANSOZTAOPUJZ-UHFFFAOYSA-N 0 0 285.303 2.566 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000610431871 351565768 /nfs/dbraw/zinc/56/57/68/351565768.db2.gz KMFBTLVIBICLAH-DTORHVGOSA-N 0 0 267.304 2.798 20 5 CFBDRN Cc1cc(N2CC(Oc3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000610430879 351565898 /nfs/dbraw/zinc/56/58/98/351565898.db2.gz AGWDHBMMZBLZKQ-UHFFFAOYSA-N 0 0 285.303 2.566 20 5 CFBDRN C[C@H](c1cccc(O)c1)N(C)c1ncccc1[N+](=O)[O-] ZINC000610431875 351565950 /nfs/dbraw/zinc/56/59/50/351565950.db2.gz LAUVNJPGHLNEJZ-SNVBAGLBSA-N 0 0 273.292 2.893 20 5 CFBDRN Cc1cc(NCc2ccc(Cl)o2)ncc1[N+](=O)[O-] ZINC000610430752 351565995 /nfs/dbraw/zinc/56/59/95/351565995.db2.gz GLLCASYHEVUNBL-UHFFFAOYSA-N 0 0 267.672 2.579 20 5 CFBDRN CCc1ccc(C(=O)NCc2ccoc2C)cc1[N+](=O)[O-] ZINC000610434286 351566389 /nfs/dbraw/zinc/56/63/89/351566389.db2.gz ZFKRNYGREAFZSY-UHFFFAOYSA-N 0 0 288.303 2.989 20 5 CFBDRN CCCCO[C@@H]1C[C@H](Nc2ccncc2[N+](=O)[O-])C1(C)C ZINC000610510539 351574642 /nfs/dbraw/zinc/57/46/42/351574642.db2.gz SDBLJAABNFGKGU-UONOGXRCSA-N 0 0 293.367 2.807 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H](C)c2ccncc2)cc1[N+](=O)[O-] ZINC000610526279 351579478 /nfs/dbraw/zinc/57/94/78/351579478.db2.gz JGNFRZQULZYTQZ-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN COc1cccc(CCNc2ccsc2[N+](=O)[O-])n1 ZINC000610656497 351588075 /nfs/dbraw/zinc/58/80/75/351588075.db2.gz QXVVQQRKIFVAIY-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]2c2cnn(C)c2)c1 ZINC000610677470 351591502 /nfs/dbraw/zinc/59/15/02/351591502.db2.gz LTFQLANFEBTQJD-ZDUSSCGKSA-N 0 0 286.335 2.978 20 5 CFBDRN Cn1ccnc(Oc2ccc([N+](=O)[O-])c3ccccc23)c1=O ZINC000610685681 351593747 /nfs/dbraw/zinc/59/37/47/351593747.db2.gz SACSTUDIAUDFMG-UHFFFAOYSA-N 0 0 297.270 2.634 20 5 CFBDRN CC(C)(C)OC1CCN(c2cccnc2[N+](=O)[O-])CC1 ZINC000610726318 351598870 /nfs/dbraw/zinc/59/88/70/351598870.db2.gz UNNVZKLSGJCNAK-UHFFFAOYSA-N 0 0 279.340 2.774 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000610734986 351600351 /nfs/dbraw/zinc/60/03/51/351600351.db2.gz YPRHFKFQEPLISM-BDAKNGLRSA-N 0 0 285.731 2.870 20 5 CFBDRN O=C(NC1CC=CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000610743465 351602266 /nfs/dbraw/zinc/60/22/66/351602266.db2.gz PVBLIJKTZDZBPW-UHFFFAOYSA-N 0 0 271.276 2.525 20 5 CFBDRN CC(C)(C(=O)NC1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000610743417 351602550 /nfs/dbraw/zinc/60/25/50/351602550.db2.gz CSRHVEADSGRVIN-UHFFFAOYSA-N 0 0 274.320 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@@H]2CCC[C@@H]21 ZINC000610762735 351605245 /nfs/dbraw/zinc/60/52/45/351605245.db2.gz RPUOZSSIDFGOJQ-GWCFXTLKSA-N 0 0 262.309 2.588 20 5 CFBDRN COC(=O)c1cc(N2C[C@H](C)C[C@@H]2C)cc(C)c1[N+](=O)[O-] ZINC000610769907 351606570 /nfs/dbraw/zinc/60/65/70/351606570.db2.gz WOTSZNRGCKZMBQ-KOLCDFICSA-N 0 0 292.335 2.925 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1CCC(F)(F)C1 ZINC000610783876 351607948 /nfs/dbraw/zinc/60/79/48/351607948.db2.gz KOXLBASXJFUSJR-VIFPVBQESA-N 0 0 285.250 2.904 20 5 CFBDRN O=C(N[C@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000610790298 351608719 /nfs/dbraw/zinc/60/87/19/351608719.db2.gz BPAITQPUUZWBRW-VIFPVBQESA-N 0 0 270.235 2.512 20 5 CFBDRN CCC[C@H](O)CC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000611003379 351632375 /nfs/dbraw/zinc/63/23/75/351632375.db2.gz JZCPOVKJSKCBTN-NSHDSACASA-N 0 0 280.324 2.701 20 5 CFBDRN CCc1ccc(C(=O)N2CC3(CC(F)C3)C2)cc1[N+](=O)[O-] ZINC000611077174 351637517 /nfs/dbraw/zinc/63/75/17/351637517.db2.gz XOYXMPWIWJRDFL-UHFFFAOYSA-N 0 0 292.310 2.731 20 5 CFBDRN CCC(CC)(CO)CNc1ccc([N+](=O)[O-])s1 ZINC000611080141 351638374 /nfs/dbraw/zinc/63/83/74/351638374.db2.gz IMASVMCZECWBQF-UHFFFAOYSA-N 0 0 258.343 2.867 20 5 CFBDRN Cc1cnc(N[C@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000611143516 351645001 /nfs/dbraw/zinc/64/50/01/351645001.db2.gz BRLVMJIYJWGGGC-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN Cn1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2)c1C(F)F ZINC000611159197 351646199 /nfs/dbraw/zinc/64/61/99/351646199.db2.gz AQKUOMXPQMOQGI-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN COc1cc(N2CC[C@@](C)(F)C2)c([N+](=O)[O-])cc1F ZINC000611228557 351653518 /nfs/dbraw/zinc/65/35/18/351653518.db2.gz UYSXHRURUYOUSZ-GFCCVEGCSA-N 0 0 272.251 2.681 20 5 CFBDRN COc1cc(N2CC[C@@](C)(F)C2)c(F)cc1[N+](=O)[O-] ZINC000611256451 351659281 /nfs/dbraw/zinc/65/92/81/351659281.db2.gz MBSCEXHPADSVHJ-GFCCVEGCSA-N 0 0 272.251 2.681 20 5 CFBDRN CCC[C@H](C)[C@@H]1CCCN1c1c([N+](=O)[O-])ncn1C ZINC000610856485 351614535 /nfs/dbraw/zinc/61/45/35/351614535.db2.gz ZTMWEQGLVFSFHY-QWRGUYRKSA-N 0 0 266.345 2.733 20 5 CFBDRN Cc1cc(COc2ccc(Cl)cc2[N+](=O)[O-])nn1C ZINC000610857270 351614779 /nfs/dbraw/zinc/61/47/79/351614779.db2.gz DMPOMUZSLSAGDW-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=C(NCC1(C2CC2)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000120272818 186888683 /nfs/dbraw/zinc/88/86/83/186888683.db2.gz BOTDPJWUTOKBGH-UHFFFAOYSA-N 0 0 274.320 2.905 20 5 CFBDRN CN(CCOC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000610862506 351616580 /nfs/dbraw/zinc/61/65/80/351616580.db2.gz MCSLMFPXUQCKMM-UHFFFAOYSA-N 0 0 286.353 2.543 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc2c(c1)COC2 ZINC000610909013 351620224 /nfs/dbraw/zinc/62/02/24/351620224.db2.gz DENFUSMFNFCTPH-UHFFFAOYSA-N 0 0 271.276 2.632 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCC[C@@H]1CCCC[C@H]1O ZINC000610909531 351620736 /nfs/dbraw/zinc/62/07/36/351620736.db2.gz CFUQPWWTBLDWJP-WCQYABFASA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCOC[C@H]2C)c1 ZINC000610946574 351623394 /nfs/dbraw/zinc/62/33/94/351623394.db2.gz GHPPHIUMOIQSDB-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000610946523 351623534 /nfs/dbraw/zinc/62/35/34/351623534.db2.gz STUBBDYWZHBGDS-VIFPVBQESA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC2(C1)CC(F)(F)C2 ZINC000610968210 351626782 /nfs/dbraw/zinc/62/67/82/351626782.db2.gz ILONETCSTFSMEX-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000611373689 351677197 /nfs/dbraw/zinc/67/71/97/351677197.db2.gz QPRBZEOEWHIFCH-ZANVPECISA-N 0 0 268.288 2.961 20 5 CFBDRN COC(=O)CCCCCNc1sccc1[N+](=O)[O-] ZINC000611411220 351685311 /nfs/dbraw/zinc/68/53/11/351685311.db2.gz YTXKOSHLOKMPQU-UHFFFAOYSA-N 0 0 272.326 2.802 20 5 CFBDRN COC(=O)[C@@H](C)CSc1sccc1[N+](=O)[O-] ZINC000611412120 351685961 /nfs/dbraw/zinc/68/59/61/351685961.db2.gz SJGDSLFVCWFIMU-LURJTMIESA-N 0 0 261.324 2.558 20 5 CFBDRN CN(C[C@@H]1CCCOC1)c1sccc1[N+](=O)[O-] ZINC000611413250 351686150 /nfs/dbraw/zinc/68/61/50/351686150.db2.gz YVBNWLVKFPJVNT-VIFPVBQESA-N 0 0 256.327 2.519 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H]1CCC[C@H](O)C1 ZINC000611412864 351686247 /nfs/dbraw/zinc/68/62/47/351686247.db2.gz JULUJOUCVVACED-IUCAKERBSA-N 0 0 256.327 2.619 20 5 CFBDRN CC(C)[C@H]1CCN1Cc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000611419333 351687221 /nfs/dbraw/zinc/68/72/21/351687221.db2.gz PCMYYHZGXLZEJC-GFCCVEGCSA-N 0 0 292.335 2.596 20 5 CFBDRN CCc1nn(C)c(NCCSCC(C)C)c1[N+](=O)[O-] ZINC000271442009 191139567 /nfs/dbraw/zinc/13/95/67/191139567.db2.gz VMYQMDKIHBWIMC-UHFFFAOYSA-N 0 0 286.401 2.692 20 5 CFBDRN CCOc1ccc([C@@H](C)NCc2cocn2)cc1[N+](=O)[O-] ZINC000611474092 351695318 /nfs/dbraw/zinc/69/53/18/351695318.db2.gz GXIYWQBZISTRPD-SNVBAGLBSA-N 0 0 291.307 2.832 20 5 CFBDRN CC(C)[C@H]1CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000611494581 351697133 /nfs/dbraw/zinc/69/71/33/351697133.db2.gz DBVKNXYNOXMSHI-SNVBAGLBSA-N 0 0 256.327 2.518 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000611494636 351697139 /nfs/dbraw/zinc/69/71/39/351697139.db2.gz WLTWLTBBGMUCJP-ONGXEEELSA-N 0 0 268.288 2.788 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000611497534 351697447 /nfs/dbraw/zinc/69/74/47/351697447.db2.gz AKLOHQCBSVTFMI-SKDRFNHKSA-N 0 0 281.287 2.807 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)C[C@@H]2CCCC[C@@H]2O)c1 ZINC000611495172 351697551 /nfs/dbraw/zinc/69/75/51/351697551.db2.gz HJKFEPROSRYSQF-NHYWBVRUSA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@H]1F ZINC000611494484 351697566 /nfs/dbraw/zinc/69/75/66/351697566.db2.gz RRTJAQSFJVRNGG-WCBMZHEXSA-N 0 0 256.252 2.918 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@@H](F)C2)c([N+](=O)[O-])cc1F ZINC000611494615 351697590 /nfs/dbraw/zinc/69/75/90/351697590.db2.gz VUOOKXHIJBMTNZ-SCZZXKLOSA-N 0 0 286.278 2.927 20 5 CFBDRN C[C@@H]1CCN(Cc2ccccc2[N+](=O)[O-])C[C@@H]1F ZINC000611501497 351697998 /nfs/dbraw/zinc/69/79/98/351697998.db2.gz CZRMDESQXRVBLV-PWSUYJOCSA-N 0 0 252.289 2.775 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H]1F ZINC000611497625 351698393 /nfs/dbraw/zinc/69/83/93/351698393.db2.gz XBBPLNAVYJHDPF-JOYOIKCWSA-N 0 0 281.287 2.807 20 5 CFBDRN C[C@@H]1CCN(CCOc2ccccc2[N+](=O)[O-])C[C@@H]1F ZINC000611502466 351700353 /nfs/dbraw/zinc/70/03/53/351700353.db2.gz SRPXEELHISGOKD-NEPJUHHUSA-N 0 0 282.315 2.654 20 5 CFBDRN C[C@H]1CCN(CCOc2ccccc2[N+](=O)[O-])C[C@@H]1F ZINC000611502468 351700449 /nfs/dbraw/zinc/70/04/49/351700449.db2.gz SRPXEELHISGOKD-RYUDHWBXSA-N 0 0 282.315 2.654 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@H](C)[C@H](F)C2)cc1[N+](=O)[O-] ZINC000611506474 351701109 /nfs/dbraw/zinc/70/11/09/351701109.db2.gz NAUCLIFKTWABJB-GXFFZTMASA-N 0 0 294.326 2.977 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@H](C)[C@H](F)C2)cc1[N+](=O)[O-] ZINC000611507251 351701775 /nfs/dbraw/zinc/70/17/75/351701775.db2.gz ZIUGTWXHEIUXTB-JOYOIKCWSA-N 0 0 280.299 2.723 20 5 CFBDRN CSCCNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000611276988 351663418 /nfs/dbraw/zinc/66/34/18/351663418.db2.gz FEJPMNMGAPBFOC-UHFFFAOYSA-N 0 0 282.365 2.613 20 5 CFBDRN C[C@@H]1CCN1c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000611296449 351665450 /nfs/dbraw/zinc/66/54/50/351665450.db2.gz NAPAWTKIKWAPDT-SSDOTTSWSA-N 0 0 258.224 2.795 20 5 CFBDRN CCOc1cc(N2CCCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000611298364 351665650 /nfs/dbraw/zinc/66/56/50/351665650.db2.gz OISGXJDVMIYHJN-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1ccncc1[C@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000611298844 351666129 /nfs/dbraw/zinc/66/61/29/351666129.db2.gz ACRFTROPFYHPBE-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN CN(CCCNc1ccsc1[N+](=O)[O-])CC(F)(F)F ZINC000611369967 351675629 /nfs/dbraw/zinc/67/56/29/351675629.db2.gz CPADUMZZLYWNRF-UHFFFAOYSA-N 0 0 297.302 2.952 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])c(C)c2)CCOCC1 ZINC000291652706 198051528 /nfs/dbraw/zinc/05/15/28/198051528.db2.gz CCAXPQPVKGPRIX-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN CC[C@H](C)N(C)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611707552 351727135 /nfs/dbraw/zinc/72/71/35/351727135.db2.gz JOESFFRBFDRARY-VIFPVBQESA-N 0 0 260.297 2.773 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3ccc([N+](=O)[O-])cc32)C[C@H]1F ZINC000611714451 351727647 /nfs/dbraw/zinc/72/76/47/351727647.db2.gz RGVFAMGQSYJVIH-BXKDBHETSA-N 0 0 290.298 2.722 20 5 CFBDRN CN(Cc1ccoc1)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611710215 351727919 /nfs/dbraw/zinc/72/79/19/351727919.db2.gz QWXBSEOFJZUDGH-UHFFFAOYSA-N 0 0 284.275 2.767 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3ccc([N+](=O)[O-])cc32)[C@@H]1C ZINC000611709892 351727948 /nfs/dbraw/zinc/72/79/48/351727948.db2.gz UWMDXMDJAKBYAQ-NXEZZACHSA-N 0 0 272.308 2.773 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@H](C)[C@H](F)C2)c1 ZINC000611765000 351738417 /nfs/dbraw/zinc/73/84/17/351738417.db2.gz YYEQIXZOWVPNMW-GXSJLCMTSA-N 0 0 296.298 2.566 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@H](C)[C@@H](F)C2)c1 ZINC000611765003 351738585 /nfs/dbraw/zinc/73/85/85/351738585.db2.gz YYEQIXZOWVPNMW-ONGXEEELSA-N 0 0 296.298 2.566 20 5 CFBDRN COc1ccc(NC(=O)N2C[C@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000611824600 351744600 /nfs/dbraw/zinc/74/46/00/351744600.db2.gz SYQNEDVEACPJFB-MWLCHTKSSA-N 0 0 291.307 2.620 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ncc(Cl)s1 ZINC000611564849 351709703 /nfs/dbraw/zinc/70/97/03/351709703.db2.gz AHTZCNAEYPAUHD-UHFFFAOYSA-N 0 0 257.658 2.892 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H](O)CC(C)(C)C)n1 ZINC000611616252 351714718 /nfs/dbraw/zinc/71/47/18/351714718.db2.gz CAEOUIPFBWZKCX-NSHDSACASA-N 0 0 281.356 2.816 20 5 CFBDRN CSC[C@@H]1CCCN1c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611617187 351715093 /nfs/dbraw/zinc/71/50/93/351715093.db2.gz NIIIBUPDFHEOQI-NSHDSACASA-N 0 0 281.381 2.938 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)CCC2CCOCC2)n1 ZINC000611617107 351715182 /nfs/dbraw/zinc/71/51/82/351715182.db2.gz FRUXSTKDJROACG-UHFFFAOYSA-N 0 0 293.367 2.860 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)[C@@H]2CCCOC2)n1 ZINC000611617487 351715463 /nfs/dbraw/zinc/71/54/63/351715463.db2.gz BAEASJJZYWKDTG-NWDGAFQWSA-N 0 0 279.340 2.834 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000121519618 186978273 /nfs/dbraw/zinc/97/82/73/186978273.db2.gz QZAQOLRXKIVRAA-VIFPVBQESA-N 0 0 295.295 2.667 20 5 CFBDRN Cc1cnc(N2CCC([C@@H]3CCOC3)CC2)c([N+](=O)[O-])c1 ZINC000612099130 351774309 /nfs/dbraw/zinc/77/43/09/351774309.db2.gz NXAUICQGPQVEGG-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN CC1(CNc2ncnc3cccc([N+](=O)[O-])c32)CCC1 ZINC000612446880 351822300 /nfs/dbraw/zinc/82/23/00/351822300.db2.gz BOQWVKCXVVQYBF-UHFFFAOYSA-N 0 0 272.308 2.562 20 5 CFBDRN Cc1csc([C@@H](C)CNc2cccnc2[N+](=O)[O-])n1 ZINC000612453121 351823216 /nfs/dbraw/zinc/82/32/16/351823216.db2.gz SAVSNEGOVRMGAA-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN CCOc1cc(Oc2cccc([N+](=O)[O-])c2C)ncn1 ZINC000121866692 187001706 /nfs/dbraw/zinc/00/17/06/187001706.db2.gz UEZAWJFSBAUZSC-UHFFFAOYSA-N 0 0 275.264 2.884 20 5 CFBDRN Cc1ccccc1SCCn1cc([N+](=O)[O-])ccc1=O ZINC000121901871 187003620 /nfs/dbraw/zinc/00/36/20/187003620.db2.gz NKGPAOQDORHKPR-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000121988589 187008757 /nfs/dbraw/zinc/00/87/57/187008757.db2.gz AFSJOFNALRUCQF-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCCS2)c1[N+](=O)[O-] ZINC000122091851 187015192 /nfs/dbraw/zinc/01/51/92/187015192.db2.gz VTYPTRJIIRESRO-VIFPVBQESA-N 0 0 253.327 2.606 20 5 CFBDRN CC(C)N(C1CCC1)S(=O)(=O)c1ccccc1[N+](=O)[O-] ZINC000121672511 186988276 /nfs/dbraw/zinc/98/82/76/186988276.db2.gz CRSXZMBRYNBOSD-UHFFFAOYSA-N 0 0 298.364 2.546 20 5 CFBDRN C[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CCOC1 ZINC000121740059 186992969 /nfs/dbraw/zinc/99/29/69/186992969.db2.gz WDSXCVBHMWYYBZ-HTQZYQBOSA-N 0 0 272.251 2.710 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1occc1C ZINC000291770150 198087203 /nfs/dbraw/zinc/08/72/03/198087203.db2.gz HJLWMWSQIVYKKA-UHFFFAOYSA-N 0 0 264.285 2.633 20 5 CFBDRN CCCCOCCCNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000122384527 187029655 /nfs/dbraw/zinc/02/96/55/187029655.db2.gz DNZZPWOUTGFINF-UHFFFAOYSA-N 0 0 294.351 2.840 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC[C@@H]3CCC[C@@H]3C2)nc1 ZINC000612785873 351861579 /nfs/dbraw/zinc/86/15/79/351861579.db2.gz WTHHWFHDNZYGSW-HBNTYKKESA-N 0 0 262.313 2.766 20 5 CFBDRN O=C(NOCCC(F)(F)F)Nc1ccc([N+](=O)[O-])cc1 ZINC000122452352 187032919 /nfs/dbraw/zinc/03/29/19/187032919.db2.gz RDOFEBDLLVTBEY-UHFFFAOYSA-N 0 0 293.201 2.600 20 5 CFBDRN C[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000612611241 351843936 /nfs/dbraw/zinc/84/39/36/351843936.db2.gz AOAIDYUGYDOXQQ-QMMMGPOBSA-N 0 0 281.287 2.902 20 5 CFBDRN C[C@]1(c2ccccc2)C[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000612785525 351861823 /nfs/dbraw/zinc/86/18/23/351861823.db2.gz BVMVSYBLQALMFB-TZMCWYRMSA-N 0 0 270.292 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC3(CCC3)C2)s1 ZINC000612665712 351849284 /nfs/dbraw/zinc/84/92/84/351849284.db2.gz XEUFPPXGIPVEMA-UHFFFAOYSA-N 0 0 268.338 2.663 20 5 CFBDRN CC[C@H](CCO)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000122945915 187061393 /nfs/dbraw/zinc/06/13/93/187061393.db2.gz XNPKBXZXPYUJGB-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccsc2[N+](=O)[O-])[C@@]12CCCO2 ZINC000612664414 351849450 /nfs/dbraw/zinc/84/94/50/351849450.db2.gz NPBWSFWAMXBAPJ-WZRBSPASSA-N 0 0 298.364 2.795 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1nn(C)c2ccccc12 ZINC000612667049 351849713 /nfs/dbraw/zinc/84/97/13/351849713.db2.gz WPEZRXQQIJSIHF-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CCCCN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000122979733 187064186 /nfs/dbraw/zinc/06/41/86/187064186.db2.gz MWAMHLJJAPYHSP-UHFFFAOYSA-N 0 0 281.312 2.867 20 5 CFBDRN Cc1cccc(OCC(=O)N2CCCCCC2)c1[N+](=O)[O-] ZINC000124040361 187119864 /nfs/dbraw/zinc/11/98/64/187119864.db2.gz YDIDUPWEYLGLFV-UHFFFAOYSA-N 0 0 292.335 2.685 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cn2)c2ccccc21 ZINC000612790197 351862239 /nfs/dbraw/zinc/86/22/39/351862239.db2.gz GQVHYEKTXHWQHL-UHFFFAOYSA-N 0 0 270.292 2.814 20 5 CFBDRN CCc1nc(C)c(CNCc2csc([N+](=O)[O-])c2)o1 ZINC000291889288 198097357 /nfs/dbraw/zinc/09/73/57/198097357.db2.gz YEXJAXQDNOHXML-UHFFFAOYSA-N 0 0 281.337 2.805 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1[C@H](C)CC[C@@H]1C ZINC000123195182 187076888 /nfs/dbraw/zinc/07/68/88/187076888.db2.gz ALDVYAPTNXYSDX-TXEJJXNPSA-N 0 0 291.351 2.715 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2nnc(C3CC3)[nH]2)cs1 ZINC000123394342 187089748 /nfs/dbraw/zinc/08/97/48/187089748.db2.gz FXQFZAIVOZOGLB-UHFFFAOYSA-N 0 0 282.350 2.944 20 5 CFBDRN Nc1ccc(NCC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000291910347 198102381 /nfs/dbraw/zinc/10/23/81/198102381.db2.gz QTOSTTZJYQJNEO-UHFFFAOYSA-N 0 0 257.240 2.634 20 5 CFBDRN Cc1cc(OCC(=O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000123542624 187097543 /nfs/dbraw/zinc/09/75/43/187097543.db2.gz HOMZWUPWRZRYEB-UHFFFAOYSA-N 0 0 255.245 2.646 20 5 CFBDRN C[C@@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000123914511 187114518 /nfs/dbraw/zinc/11/45/18/187114518.db2.gz JAZBGJDMMVHGBO-GXSJLCMTSA-N 0 0 273.292 2.732 20 5 CFBDRN O=C(NCCOCC1CCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000613176104 351909747 /nfs/dbraw/zinc/90/97/47/351909747.db2.gz TXIUCADOWXGXMJ-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC1CC=CC1 ZINC000613188797 351911672 /nfs/dbraw/zinc/91/16/72/351911672.db2.gz FSIOFSYEFXJJSE-CYBMUJFWSA-N 0 0 288.347 2.998 20 5 CFBDRN COCC(C)(C)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000291973904 198121808 /nfs/dbraw/zinc/12/18/08/198121808.db2.gz WJEZQVXMESDIFI-UHFFFAOYSA-N 0 0 268.313 2.688 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)[C@H](C)C1 ZINC000131449391 187565437 /nfs/dbraw/zinc/56/54/37/187565437.db2.gz RQLKXGIAJDAGTA-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN CCCc1noc(COc2ccc([N+](=O)[O-])cc2C)n1 ZINC000131464218 187567547 /nfs/dbraw/zinc/56/75/47/187567547.db2.gz IAXXZAFEEBZLFX-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN CSCCCCCNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000614149485 352053098 /nfs/dbraw/zinc/05/30/98/352053098.db2.gz NJOZOEAMAVTLNN-UHFFFAOYSA-N 0 0 299.396 2.615 20 5 CFBDRN C[N@@H+]1CCC[C@@H]1CN(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000614776733 352119891 /nfs/dbraw/zinc/11/98/91/352119891.db2.gz KARIMMHBNKGSLA-MRXNPFEDSA-N 0 0 289.379 2.653 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H](C)C1CC1 ZINC000614937210 352133365 /nfs/dbraw/zinc/13/33/65/352133365.db2.gz WIZMFKCATRPVOK-VIFPVBQESA-N 0 0 293.323 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H](C3CC3)C2)c1 ZINC000614410601 352091768 /nfs/dbraw/zinc/09/17/68/352091768.db2.gz KWIHAODCEGNZNP-CQSZACIVSA-N 0 0 262.309 2.518 20 5 CFBDRN CO[C@H]1CN(c2sccc2[N+](=O)[O-])CC[C@H]1C ZINC000615179181 352163328 /nfs/dbraw/zinc/16/33/28/352163328.db2.gz PYAKNDLABBBIEV-SCZZXKLOSA-N 0 0 256.327 2.518 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])s2)CC[C@@H]1C ZINC000615179127 352163500 /nfs/dbraw/zinc/16/35/00/352163500.db2.gz GFWMYJNXZOVVEK-DTWKUNHWSA-N 0 0 256.327 2.518 20 5 CFBDRN CCOc1cc(N2C[C@H](C)O[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000614980393 352138987 /nfs/dbraw/zinc/13/89/87/352138987.db2.gz PNIZTEUPSASBOF-QJPTWQEYSA-N 0 0 294.351 2.996 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)CC[C@@H]1C ZINC000132774579 187646785 /nfs/dbraw/zinc/64/67/85/187646785.db2.gz RASGHRATTYXBJW-IUCAKERBSA-N 0 0 268.338 2.774 20 5 CFBDRN Cc1ccc(NCC2(CCO)CCC2)c([N+](=O)[O-])c1 ZINC000132637882 187639049 /nfs/dbraw/zinc/63/90/49/187639049.db2.gz FPLZLJHAOBMUIW-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN Cc1ccnc(Cn2cnc(-c3cccc([N+](=O)[O-])c3)n2)c1 ZINC000616211598 352305516 /nfs/dbraw/zinc/30/55/16/352305516.db2.gz GKPHHZXRWMINRF-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1C)[C@@H]1CCCO1 ZINC000132857401 187651441 /nfs/dbraw/zinc/65/14/41/187651441.db2.gz HSLGJWLUJSDIRW-OCCSQVGLSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1CCCCO1 ZINC000133107895 187665908 /nfs/dbraw/zinc/66/59/08/187665908.db2.gz QLSIWEQMFOJCKV-JTQLQIEISA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000616494128 352359209 /nfs/dbraw/zinc/35/92/09/352359209.db2.gz OFFVNFOVZJDIEP-NHAGDIPZSA-N 0 0 288.347 2.956 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000292077701 198154782 /nfs/dbraw/zinc/15/47/82/198154782.db2.gz JJGMFYCVUDJUJE-SECBINFHSA-N 0 0 266.297 2.611 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])s2)CCS1 ZINC000617221880 352520294 /nfs/dbraw/zinc/52/02/94/352520294.db2.gz UUPTZKXXQJRYFW-QMMMGPOBSA-N 0 0 258.368 2.988 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000617687333 352628319 /nfs/dbraw/zinc/62/83/19/352628319.db2.gz XUBOYNVHSLTCHA-RYUDHWBXSA-N 0 0 276.336 2.957 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000617687332 352628789 /nfs/dbraw/zinc/62/87/89/352628789.db2.gz XUBOYNVHSLTCHA-NWDGAFQWSA-N 0 0 276.336 2.957 20 5 CFBDRN C[C@H](C(=O)N1CCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000617760465 352637060 /nfs/dbraw/zinc/63/70/60/352637060.db2.gz JVGOQKVBEUGMHB-VIFPVBQESA-N 0 0 284.262 2.566 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCC12CCOCC2 ZINC000617976649 352687658 /nfs/dbraw/zinc/68/76/58/352687658.db2.gz HIVYCCDHCQJXQD-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@H]1CCOC1 ZINC000135253167 187811560 /nfs/dbraw/zinc/81/15/60/187811560.db2.gz BVTDCMJMTIDSCV-MNOVXSKESA-N 0 0 287.319 2.980 20 5 CFBDRN CC(C)c1nnc(COc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000135339899 187821140 /nfs/dbraw/zinc/82/11/40/187821140.db2.gz KMQQDCLGDDKMAF-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc([C@H]3C[C@H]3C)n2)c1 ZINC000618419951 352774794 /nfs/dbraw/zinc/77/47/94/352774794.db2.gz BHSYFQCIBWHGIZ-KCJUWKMLSA-N 0 0 289.291 2.701 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000618174612 352730027 /nfs/dbraw/zinc/73/00/27/352730027.db2.gz WOOYVGICMLZIIS-GHMZBOCLSA-N 0 0 256.689 2.838 20 5 CFBDRN CCc1cnc(CNc2sccc2[N+](=O)[O-])o1 ZINC000618343559 352755898 /nfs/dbraw/zinc/75/58/98/352755898.db2.gz XDIPVFBABZRPMR-UHFFFAOYSA-N 0 0 253.283 2.819 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000271630695 191250241 /nfs/dbraw/zinc/25/02/41/191250241.db2.gz PBASVLDPAZCLHK-VWYCJHECSA-N 0 0 277.324 2.611 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C)[C@@H](F)C1 ZINC000618470841 352781059 /nfs/dbraw/zinc/78/10/59/352781059.db2.gz ZIMSLUJWEUICIN-ONGXEEELSA-N 0 0 280.299 2.723 20 5 CFBDRN C[C@@H](NC(=O)N[C@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000271635123 191251020 /nfs/dbraw/zinc/25/10/20/191251020.db2.gz QBKPYYIHDLUQNV-NXEZZACHSA-N 0 0 277.324 2.754 20 5 CFBDRN CSC[C@H]1CCCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000292170531 198190057 /nfs/dbraw/zinc/19/00/57/198190057.db2.gz DQYSVUIZFGMOKZ-QMMMGPOBSA-N 0 0 273.383 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(c3ccccn3)CC2)cn1 ZINC000618680558 352857449 /nfs/dbraw/zinc/85/74/49/352857449.db2.gz MZKWVGSSAUMBGW-UHFFFAOYSA-N 0 0 270.292 2.529 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CNCC(F)F ZINC000147601286 187914168 /nfs/dbraw/zinc/91/41/68/187914168.db2.gz KTMPLKDEWQZERD-UHFFFAOYSA-N 0 0 250.632 2.603 20 5 CFBDRN CC1(C)CN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000619147188 352965739 /nfs/dbraw/zinc/96/57/39/352965739.db2.gz PEFQZMIJUJHPKO-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN CC1(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000619146855 352965849 /nfs/dbraw/zinc/96/58/49/352965849.db2.gz JRYDYHIOLFWQRX-UHFFFAOYSA-N 0 0 282.727 2.659 20 5 CFBDRN Cc1ccc(C(=O)NC(C)(C)C(F)F)cc1[N+](=O)[O-] ZINC000619421766 353019451 /nfs/dbraw/zinc/01/94/51/353019451.db2.gz DSLWGQJXURKYEN-UHFFFAOYSA-N 0 0 272.251 2.677 20 5 CFBDRN Cc1c(NC(=O)CCC(F)F)cccc1[N+](=O)[O-] ZINC000619423877 353020148 /nfs/dbraw/zinc/02/01/48/353020148.db2.gz IHVWXCBQPTZMKE-UHFFFAOYSA-N 0 0 258.224 2.887 20 5 CFBDRN COc1c(C(=O)N2CCC[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000619434604 353023052 /nfs/dbraw/zinc/02/30/52/353023052.db2.gz CRMWVEOBAFHHMU-LBPRGKRZSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1c(C(=O)N2CC[C@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000619444269 353024788 /nfs/dbraw/zinc/02/47/88/353024788.db2.gz YUBCLVLMBWGIMC-PWSUYJOCSA-N 0 0 290.319 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCc2ccccc2)nc1 ZINC000619568862 353036818 /nfs/dbraw/zinc/03/68/18/353036818.db2.gz OTRIUVWVHGJKEC-UHFFFAOYSA-N 0 0 271.320 2.847 20 5 CFBDRN COc1cc(OCc2cc(C)ccn2)ccc1[N+](=O)[O-] ZINC000619460546 353027059 /nfs/dbraw/zinc/02/70/59/353027059.db2.gz XIZFVTHLBZOTAB-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1CCC(F)(F)C1 ZINC000619472678 353028208 /nfs/dbraw/zinc/02/82/08/353028208.db2.gz HBGAJIFZOFFMID-SNVBAGLBSA-N 0 0 284.262 2.646 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1cc(C(C)C)no1 ZINC000619481704 353028313 /nfs/dbraw/zinc/02/83/13/353028313.db2.gz YCTCDXLSWKIGER-UHFFFAOYSA-N 0 0 293.327 2.843 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC2(C1)CCCCC2 ZINC000619489942 353028885 /nfs/dbraw/zinc/02/88/85/353028885.db2.gz CBSGKQFSVKRYMP-UHFFFAOYSA-N 0 0 278.356 2.890 20 5 CFBDRN CC1(F)CN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000619500489 353029496 /nfs/dbraw/zinc/02/94/96/353029496.db2.gz DDJJDGFJSJIUOD-UHFFFAOYSA-N 0 0 261.256 2.691 20 5 CFBDRN CC1(F)CN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000619500503 353029564 /nfs/dbraw/zinc/02/95/64/353029564.db2.gz FXAQKNUDQRUIQI-UHFFFAOYSA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC(F)(F)CC1 ZINC000619504224 353030459 /nfs/dbraw/zinc/03/04/59/353030459.db2.gz QTZDTUYZKLHNLM-UHFFFAOYSA-N 0 0 277.658 2.879 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1nccn1-c1ccccc1 ZINC000619745489 353062763 /nfs/dbraw/zinc/06/27/63/353062763.db2.gz GOKRXUFRYKWBIP-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000619871437 353069718 /nfs/dbraw/zinc/06/97/18/353069718.db2.gz IPEPFMLMQPXEFR-ZFWWWQNUSA-N 0 0 297.314 2.523 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc3c(c2)OCCCO3)s1 ZINC000619693846 353051822 /nfs/dbraw/zinc/05/18/22/353051822.db2.gz CTERRGYICZPKKO-UHFFFAOYSA-N 0 0 293.304 2.956 20 5 CFBDRN Cc1cc(C(=O)NCCC2=CCCC2)c(N)c([N+](=O)[O-])c1 ZINC000152488218 188023028 /nfs/dbraw/zinc/02/30/28/188023028.db2.gz GVTVXAGYQYBQDA-UHFFFAOYSA-N 0 0 289.335 2.716 20 5 CFBDRN CC[C@@H]1CCN1Cc1cc([N+](=O)[O-])ccc1OC ZINC000292291735 198233086 /nfs/dbraw/zinc/23/30/86/198233086.db2.gz CMHQLOUULXMGJS-LLVKDONJSA-N 0 0 250.298 2.588 20 5 CFBDRN CC[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000152816832 188045779 /nfs/dbraw/zinc/04/57/79/188045779.db2.gz SHCRNVHIZTURCG-QMMMGPOBSA-N 0 0 255.705 2.880 20 5 CFBDRN Cc1cc(NCCSCCCO)c(F)cc1[N+](=O)[O-] ZINC000292336832 198249567 /nfs/dbraw/zinc/24/95/67/198249567.db2.gz BELVVWFGOXDPOK-UHFFFAOYSA-N 0 0 288.344 2.570 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CC[C@H](CO)CC1 ZINC000154013638 188118134 /nfs/dbraw/zinc/11/81/34/188118134.db2.gz WYNBMTMMDTXUSD-WAAGHKOSSA-N 0 0 285.731 2.606 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc([N+](=O)[O-])cc2F)CCCS1 ZINC000154604739 188153229 /nfs/dbraw/zinc/15/32/29/188153229.db2.gz NJIYFBWLFGMNDU-ZDUSSCGKSA-N 0 0 298.339 2.749 20 5 CFBDRN CS[C@@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000155177049 188188320 /nfs/dbraw/zinc/18/83/20/188188320.db2.gz NBWFTGAXMFMYOK-QMMMGPOBSA-N 0 0 268.338 2.983 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000155552295 188213443 /nfs/dbraw/zinc/21/34/43/188213443.db2.gz HOXBNCZNZLJCMF-MFKMUULPSA-N 0 0 292.335 2.912 20 5 CFBDRN CC(C)(C)C(=O)NCCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000292454234 198294900 /nfs/dbraw/zinc/29/49/00/198294900.db2.gz JBOYLNIKFDNGLU-UHFFFAOYSA-N 0 0 299.758 2.822 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000156787909 188281476 /nfs/dbraw/zinc/28/14/76/188281476.db2.gz GBMYUKOCULKOFC-VXGBXAGGSA-N 0 0 279.340 2.630 20 5 CFBDRN CN(CCn1cc([N+](=O)[O-])cn1)Cc1ccccc1Cl ZINC000157373041 188312413 /nfs/dbraw/zinc/31/24/13/188312413.db2.gz BWKNEWFVLVWFMQ-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN CC(C)(C)CNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000157400511 188314583 /nfs/dbraw/zinc/31/45/83/188314583.db2.gz GJLGYVZWRBZOQX-UHFFFAOYSA-N 0 0 272.251 2.649 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000157415163 188315650 /nfs/dbraw/zinc/31/56/50/188315650.db2.gz FQTQWKAJCKIZLK-SNVBAGLBSA-N 0 0 298.339 2.702 20 5 CFBDRN COC1(CCOc2ncc(C)cc2[N+](=O)[O-])CCC1 ZINC000292611579 198350431 /nfs/dbraw/zinc/35/04/31/198350431.db2.gz ITQRGOGHTAIYIX-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN Cc1ccc(CN[C@@H](C)C(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000158035001 188353448 /nfs/dbraw/zinc/35/34/48/188353448.db2.gz BYPUMBWQUQFVAS-NSHDSACASA-N 0 0 294.351 2.723 20 5 CFBDRN CO[C@@H](CNc1ccc(C)cc1[N+](=O)[O-])C1CC1 ZINC000292660005 198365384 /nfs/dbraw/zinc/36/53/84/198365384.db2.gz CJKMLAFYBAJQPS-ZDUSSCGKSA-N 0 0 250.298 2.740 20 5 CFBDRN CN(C(=O)[C@@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000158428832 188374786 /nfs/dbraw/zinc/37/47/86/188374786.db2.gz CRUGNOSUWYXTFA-LLVKDONJSA-N 0 0 260.293 2.914 20 5 CFBDRN COCC1(CCNc2cc(N)ccc2[N+](=O)[O-])CCC1 ZINC000292693950 198378393 /nfs/dbraw/zinc/37/83/93/198378393.db2.gz FPUPWNHJWPAIGK-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN C[C@H](CC[S@](C)=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000158673093 188388704 /nfs/dbraw/zinc/38/87/04/188388704.db2.gz KUWYWGLDGBSLNO-NTCNTBNZSA-N 0 0 290.772 2.817 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@H](C)[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000271699823 191281522 /nfs/dbraw/zinc/28/15/22/191281522.db2.gz SHZSENLUXZDXGT-GRYCIOLGSA-N 0 0 291.351 2.953 20 5 CFBDRN Cc1cc(NCCC(C)(C)O)c(F)cc1[N+](=O)[O-] ZINC000292731862 198392189 /nfs/dbraw/zinc/39/21/89/198392189.db2.gz UOTNQHACAUQMME-UHFFFAOYSA-N 0 0 256.277 2.615 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H](CO)C2CCCCC2)s1 ZINC000292742127 198396537 /nfs/dbraw/zinc/39/65/37/198396537.db2.gz IXDHJMZIPYLBMX-JTQLQIEISA-N 0 0 285.369 2.652 20 5 CFBDRN CCc1noc(C)c1CNc1nc(C)ccc1[N+](=O)[O-] ZINC000292751988 198399862 /nfs/dbraw/zinc/39/98/62/198399862.db2.gz JTEGFHLJDHLRLO-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN COC1(CCNc2ccc([N+](=O)[O-])c(C)n2)CCC1 ZINC000292722886 198389152 /nfs/dbraw/zinc/38/91/52/198389152.db2.gz HOYMBBSQSHZMPX-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN CCN(CCc1nccs1)c1ncccc1[N+](=O)[O-] ZINC000159248289 188416839 /nfs/dbraw/zinc/41/68/39/188416839.db2.gz FQSOUUWVOHCCRE-UHFFFAOYSA-N 0 0 278.337 2.515 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1(CO)CCCC1 ZINC000160193105 188459087 /nfs/dbraw/zinc/45/90/87/188459087.db2.gz ZZSPIACHICUIIE-UHFFFAOYSA-N 0 0 250.298 2.559 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c(C(C)=O)c1)C1CC1 ZINC000292842435 198430195 /nfs/dbraw/zinc/43/01/95/198430195.db2.gz QPBPMAVUWHNZJX-CQSZACIVSA-N 0 0 278.308 2.634 20 5 CFBDRN C[C@H]1CSCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000191567899 291012313 /nfs/dbraw/zinc/01/23/13/291012313.db2.gz YUPKZCNWAMRWRU-SECBINFHSA-N 0 0 298.339 2.559 20 5 CFBDRN CCN(C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000271772131 191317086 /nfs/dbraw/zinc/31/70/86/191317086.db2.gz XPHPFXGWAVEUQO-JTQLQIEISA-N 0 0 277.324 2.850 20 5 CFBDRN CCN(C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000271772133 191317876 /nfs/dbraw/zinc/31/78/76/191317876.db2.gz XPHPFXGWAVEUQO-SNVBAGLBSA-N 0 0 277.324 2.850 20 5 CFBDRN COC(=O)c1cc(NC(=O)[C@@H](C)C(C)C)cc([N+](=O)[O-])c1 ZINC000172345422 188797325 /nfs/dbraw/zinc/79/73/25/188797325.db2.gz KSGNQKUZHGZBJI-VIFPVBQESA-N 0 0 294.307 2.612 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC=CCC2)cc([N+](=O)[O-])c1 ZINC000172490167 188807699 /nfs/dbraw/zinc/80/76/99/188807699.db2.gz IUCQGSBZCACWSP-LBPRGKRZSA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCS[C@H](C)[C@@H]2C)c1 ZINC000173069939 188840460 /nfs/dbraw/zinc/84/04/60/188840460.db2.gz WOZKXPXELQEGPW-WDEREUQCSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@@H](NC(=O)CC[C@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000271807435 191338578 /nfs/dbraw/zinc/33/85/78/191338578.db2.gz NUPKUOGMGHXBNW-NEPJUHHUSA-N 0 0 292.335 2.589 20 5 CFBDRN CCCCO[C@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271812744 191343485 /nfs/dbraw/zinc/34/34/85/191343485.db2.gz OPIMKMPKELGSJN-NWDGAFQWSA-N 0 0 294.351 2.977 20 5 CFBDRN CC1=C(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CCCO1 ZINC000271819948 191346696 /nfs/dbraw/zinc/34/66/96/191346696.db2.gz PXRXJOQQIGUYAR-JTQLQIEISA-N 0 0 290.319 2.856 20 5 CFBDRN CC[C@@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271849582 191367283 /nfs/dbraw/zinc/36/72/83/191367283.db2.gz WCSFLTMPKJKFLW-ZJUUUORDSA-N 0 0 250.298 2.818 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CCCOCC2)c1 ZINC000271927880 191413608 /nfs/dbraw/zinc/41/36/08/191413608.db2.gz VYGLHPRMMCZJEB-JTQLQIEISA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1cc(NC(=O)C2CCSCC2)ccc1[N+](=O)[O-] ZINC000272172885 191556919 /nfs/dbraw/zinc/55/69/19/191556919.db2.gz DKZSJLABFBJZEB-UHFFFAOYSA-N 0 0 280.349 2.985 20 5 CFBDRN COCCCCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000272120928 191526328 /nfs/dbraw/zinc/52/63/28/191526328.db2.gz SDQQVLHSJMTWQX-UHFFFAOYSA-N 0 0 275.308 2.885 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@@H]2CCC[C@H]21 ZINC000174266650 188889740 /nfs/dbraw/zinc/88/97/40/188889740.db2.gz VZKOFPMXZQWTLI-GXTWGEPZSA-N 0 0 288.347 2.928 20 5 CFBDRN CC[C@@H](C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272308517 191647854 /nfs/dbraw/zinc/64/78/54/191647854.db2.gz OPEKYJWAVPTKQG-SECBINFHSA-N 0 0 284.743 2.953 20 5 CFBDRN Cc1c(NC(=O)[C@@]2(C)CCCOC2)cccc1[N+](=O)[O-] ZINC000272673903 191859681 /nfs/dbraw/zinc/85/96/81/191859681.db2.gz WVVMITQQRAKHTO-AWEZNQCLSA-N 0 0 278.308 2.658 20 5 CFBDRN COc1ccc(NC(=O)CCC2CC2)cc1[N+](=O)[O-] ZINC000272826430 191935701 /nfs/dbraw/zinc/93/57/01/191935701.db2.gz QFDFITWFBZCQOB-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H]1CCCCS1 ZINC000273002792 192014295 /nfs/dbraw/zinc/01/42/95/192014295.db2.gz SYTVWSCDAVUNGC-CYBMUJFWSA-N 0 0 294.376 2.539 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)CCS1 ZINC000174789516 188918275 /nfs/dbraw/zinc/91/82/75/188918275.db2.gz QTSFMWUNARJXHW-SECBINFHSA-N 0 0 286.378 2.624 20 5 CFBDRN CO[C@@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)(C)C ZINC000174784885 188917908 /nfs/dbraw/zinc/91/79/08/188917908.db2.gz RQIVAOCFUWWYRV-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NC1CCC1 ZINC000273531410 192189432 /nfs/dbraw/zinc/18/94/32/192189432.db2.gz RMPFEIAXJCXECJ-MDZDMXLPSA-N 0 0 260.293 2.667 20 5 CFBDRN CCS[C@@H]1CCCC[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000273570858 192208935 /nfs/dbraw/zinc/20/89/35/192208935.db2.gz AEPDUTWDIIVEHQ-WDEREUQCSA-N 0 0 282.369 2.861 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cn1)Cc1cccs1 ZINC000273599298 192225805 /nfs/dbraw/zinc/22/58/05/192225805.db2.gz JCMFXPJBIKPAOS-VIFPVBQESA-N 0 0 278.337 2.737 20 5 CFBDRN Cc1cc(C)c(CNc2ncc([N+](=O)[O-])cn2)c(C)c1 ZINC000273603651 192226389 /nfs/dbraw/zinc/22/63/89/192226389.db2.gz JYMZGKNQRPROAH-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CC(C)c1nc(CCNc2ncc([N+](=O)[O-])cn2)cs1 ZINC000273610799 192230423 /nfs/dbraw/zinc/23/04/23/192230423.db2.gz LXVYPPHIKOAICM-UHFFFAOYSA-N 0 0 293.352 2.619 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1(C)C ZINC000273654587 192248767 /nfs/dbraw/zinc/24/87/67/192248767.db2.gz JERTYQUEVUEGHL-VIFPVBQESA-N 0 0 266.297 2.696 20 5 CFBDRN CC[C@H](Cc1ccccc1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000273678042 192259035 /nfs/dbraw/zinc/25/90/35/192259035.db2.gz YNTNUHJZPZQBQD-CYBMUJFWSA-N 0 0 286.335 2.842 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H]2C2CCCCC2)nc1 ZINC000273682653 192260021 /nfs/dbraw/zinc/26/00/21/192260021.db2.gz ZKBRJZYHTCWQOG-RYUDHWBXSA-N 0 0 262.313 2.766 20 5 CFBDRN CC(C)C1(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)CC1 ZINC000293825444 198787464 /nfs/dbraw/zinc/78/74/64/198787464.db2.gz DGQYETSSNUNAFT-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000568009955 290514290 /nfs/dbraw/zinc/51/42/90/290514290.db2.gz VYLNPWKAUPWGBO-SNVBAGLBSA-N 0 0 264.325 2.688 20 5 CFBDRN CCCNC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000175526170 188955253 /nfs/dbraw/zinc/95/52/53/188955253.db2.gz CMVDWTHSWGDNKD-JTQLQIEISA-N 0 0 265.313 2.673 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000293896457 198816691 /nfs/dbraw/zinc/81/66/91/198816691.db2.gz GUZYHBLSFPDOOB-NSHDSACASA-N 0 0 276.336 2.992 20 5 CFBDRN C[C@H]1CCC[C@@]1(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000293935849 198834589 /nfs/dbraw/zinc/83/45/89/198834589.db2.gz FPTRGJIOBZTLPY-TVQRCGJNSA-N 0 0 268.288 2.697 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)[C@H]2C)c1 ZINC000294196074 198946384 /nfs/dbraw/zinc/94/63/84/198946384.db2.gz QLEPVBXZGCZOJL-RKDXNWHRSA-N 0 0 280.349 2.797 20 5 CFBDRN CC(C)(CCC(=O)Nc1cccnc1Cl)[N+](=O)[O-] ZINC000294273598 198978978 /nfs/dbraw/zinc/97/89/78/198978978.db2.gz DKWUYXUYAZHSLP-UHFFFAOYSA-N 0 0 271.704 2.509 20 5 CFBDRN CCCCN(C)C(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000294389102 199020759 /nfs/dbraw/zinc/02/07/59/199020759.db2.gz JZDHCTRVEQDRRO-UHFFFAOYSA-N 0 0 284.287 2.615 20 5 CFBDRN CC(C)(NC(=O)Cc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000294394495 199024051 /nfs/dbraw/zinc/02/40/51/199024051.db2.gz KFDVFHJAYJQGTM-UHFFFAOYSA-N 0 0 290.241 2.594 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000294414097 199031429 /nfs/dbraw/zinc/03/14/29/199031429.db2.gz NGOLZPPVQSZOLH-UHFFFAOYSA-N 0 0 290.241 2.974 20 5 CFBDRN C[C@@H](NC(=O)CSC(F)F)c1cccc([N+](=O)[O-])c1 ZINC000294466306 199050430 /nfs/dbraw/zinc/05/04/30/199050430.db2.gz NBEBIZAJEQAGDA-SSDOTTSWSA-N 0 0 290.291 2.728 20 5 CFBDRN COc1cc(C)ccc1OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294551068 199082021 /nfs/dbraw/zinc/08/20/21/199082021.db2.gz VWCIRRLLXXOGKU-UHFFFAOYSA-N 0 0 281.308 2.745 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H](C)CC(C)(C)O)cc1[N+](=O)[O-] ZINC000296170615 199804863 /nfs/dbraw/zinc/80/48/63/199804863.db2.gz LHDVANBXNPCNKU-JTQLQIEISA-N 0 0 295.339 2.574 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000296173580 199806174 /nfs/dbraw/zinc/80/61/74/199806174.db2.gz GACGMOYHCCEFFV-ZDUSSCGKSA-N 0 0 281.287 2.951 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H](C)CCCCO)cc1[N+](=O)[O-] ZINC000296201528 199816775 /nfs/dbraw/zinc/81/67/75/199816775.db2.gz NIFLTCDFOZZLBN-NSHDSACASA-N 0 0 295.339 2.576 20 5 CFBDRN CCOc1cccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294660375 199126293 /nfs/dbraw/zinc/12/62/93/199126293.db2.gz ZFIFHOKEGBNGHO-UHFFFAOYSA-N 0 0 294.351 2.537 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H]1C[C@@](C)(O)C1 ZINC000418983416 236420146 /nfs/dbraw/zinc/42/01/46/236420146.db2.gz DHILOFJBYHZJKD-LYWQIFSTSA-N 0 0 270.716 2.882 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cn2)C[C@@H](C)C1 ZINC000252163632 291148817 /nfs/dbraw/zinc/14/88/17/291148817.db2.gz QDRPVLOUVMYNAG-MYJAWHEDSA-N 0 0 250.302 2.621 20 5 CFBDRN CC[C@@H](C)CON=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1 ZINC000294829122 199201624 /nfs/dbraw/zinc/20/16/24/199201624.db2.gz AOWHEJBANDIPRN-MRVPVSSYSA-N 0 0 264.285 2.861 20 5 CFBDRN Cc1nc(NC[C@H]2CCCCC2(F)F)ncc1[N+](=O)[O-] ZINC000294899300 199238651 /nfs/dbraw/zinc/23/86/51/199238651.db2.gz IBBIRFUAJDLWNV-SECBINFHSA-N 0 0 286.282 2.931 20 5 CFBDRN CC(C)(CNc1cc(N)ccc1[N+](=O)[O-])c1ccccn1 ZINC000294903186 199240775 /nfs/dbraw/zinc/24/07/75/199240775.db2.gz HIRAVOFCVGXPSN-UHFFFAOYSA-N 0 0 286.335 2.962 20 5 CFBDRN CCn1cc([C@@H](C)NCc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000294904427 199241968 /nfs/dbraw/zinc/24/19/68/199241968.db2.gz RWNQLMDVGZTHGK-SNVBAGLBSA-N 0 0 292.314 2.801 20 5 CFBDRN CCC[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000296421982 199886819 /nfs/dbraw/zinc/88/68/19/199886819.db2.gz RTHCYTCWIHMKHA-GFCCVEGCSA-N 0 0 281.312 2.531 20 5 CFBDRN Cc1c(OC(=O)CSC2CC2)cccc1[N+](=O)[O-] ZINC000296661118 199962609 /nfs/dbraw/zinc/96/26/09/199962609.db2.gz XNJWEEOCDCKXTK-UHFFFAOYSA-N 0 0 267.306 2.704 20 5 CFBDRN Cc1ccc([C@@H](C)N[C@@H]2CCn3ccnc32)cc1[N+](=O)[O-] ZINC000296840121 200011726 /nfs/dbraw/zinc/01/17/26/200011726.db2.gz MVLGBPSSGCGKDA-DGCLKSJQSA-N 0 0 286.335 2.895 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CCOC1(C)C ZINC000296877557 200023204 /nfs/dbraw/zinc/02/32/04/200023204.db2.gz MXWRZIMUERDMBQ-LBPRGKRZSA-N 0 0 293.323 2.592 20 5 CFBDRN CCC1(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000296950499 200044666 /nfs/dbraw/zinc/04/46/66/200044666.db2.gz AMUYDGBIMXOOHU-JTQLQIEISA-N 0 0 277.324 2.898 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000296964756 200048936 /nfs/dbraw/zinc/04/89/36/200048936.db2.gz BFYGTCBCIKTQAI-GARJFASQSA-N 0 0 277.324 2.706 20 5 CFBDRN CC[C@H](c1ccncc1)N(C)c1ncc([N+](=O)[O-])c(C)n1 ZINC000297219496 200117541 /nfs/dbraw/zinc/11/75/41/200117541.db2.gz SQPULSKLJNQHBA-GFCCVEGCSA-N 0 0 287.323 2.676 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@@H]2Cc2ccccc2)ncc1[N+](=O)[O-] ZINC000297299865 200140660 /nfs/dbraw/zinc/14/06/60/200140660.db2.gz YMBNFWDBEFMSAJ-QWHCGFSZSA-N 0 0 284.319 2.736 20 5 CFBDRN Cc1nc(N[C@@H]2CCCC2(C)C)ncc1[N+](=O)[O-] ZINC000297519540 200217790 /nfs/dbraw/zinc/21/77/90/200217790.db2.gz OKVBUXQUBPPFDM-SNVBAGLBSA-N 0 0 250.302 2.684 20 5 CFBDRN CC[C@@H](CCO)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297610819 200241117 /nfs/dbraw/zinc/24/11/17/200241117.db2.gz VQPCIGXQDFUXBT-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN CCC1(CC)CCN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000297613079 200241712 /nfs/dbraw/zinc/24/17/12/200241712.db2.gz VUXJJDYNXVPWER-UHFFFAOYSA-N 0 0 264.329 2.710 20 5 CFBDRN CCC1(CC)CN(C(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000297647145 200252340 /nfs/dbraw/zinc/25/23/40/200252340.db2.gz DIEGZXGXJKYWAQ-UHFFFAOYSA-N 0 0 278.312 2.644 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CSC(F)F ZINC000297718632 200268648 /nfs/dbraw/zinc/26/86/48/200268648.db2.gz JNFJJKUCKSMKAE-UHFFFAOYSA-N 0 0 294.254 2.937 20 5 CFBDRN C[C@H]1CN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)[C@H]1C ZINC000297835420 200290028 /nfs/dbraw/zinc/29/00/28/200290028.db2.gz GUYPRMXPLRSVPI-IUCAKERBSA-N 0 0 297.742 2.798 20 5 CFBDRN CCC1(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])CC1 ZINC000297850124 200292250 /nfs/dbraw/zinc/29/22/50/200292250.db2.gz XKAHBQOSWBPTKD-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN Cc1cc(NC(=O)c2cnc(C3CC3)nc2)ccc1[N+](=O)[O-] ZINC000297975139 200311381 /nfs/dbraw/zinc/31/13/81/200311381.db2.gz QFPHNPQAXCFNIZ-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN COC(=O)[C@H](C)Sc1ccc(Cl)cc1[N+](=O)[O-] ZINC000298060479 200325360 /nfs/dbraw/zinc/32/53/60/200325360.db2.gz GAYZVDBPWJLPDB-LURJTMIESA-N 0 0 275.713 2.902 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N(C)CCF)cc1[N+](=O)[O-] ZINC000298068548 200326752 /nfs/dbraw/zinc/32/67/52/200326752.db2.gz WHYZVHQUNDKMIA-JTQLQIEISA-N 0 0 283.303 2.575 20 5 CFBDRN C[C@@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000298094665 200332107 /nfs/dbraw/zinc/33/21/07/200332107.db2.gz NKOBQWNAKLDUFB-QMMMGPOBSA-N 0 0 272.251 2.849 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCC[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000298152302 200346756 /nfs/dbraw/zinc/34/67/56/200346756.db2.gz CUSRPSRKZXZIRP-YPMHNXCESA-N 0 0 292.335 2.760 20 5 CFBDRN O=C(c1ncoc1C1CC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000298113477 200336881 /nfs/dbraw/zinc/33/68/81/200336881.db2.gz OVOJKVZNDGTWGT-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN CC(C)OCCCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000298204753 200359126 /nfs/dbraw/zinc/35/91/26/200359126.db2.gz NFAGLPUVDPQCTA-UHFFFAOYSA-N 0 0 283.284 2.730 20 5 CFBDRN CC(C)[C@H](C)N(C(=O)c1ccc([N+](=O)[O-])o1)C1CC1 ZINC000298273558 200379866 /nfs/dbraw/zinc/37/98/66/200379866.db2.gz CBACSJDCZGSWSA-VIFPVBQESA-N 0 0 266.297 2.837 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1nc(C)c(C)o1 ZINC000176910271 189014203 /nfs/dbraw/zinc/01/42/03/189014203.db2.gz YSSKSRFONDSNPP-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN CCS[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000176709431 189005303 /nfs/dbraw/zinc/00/53/03/189005303.db2.gz YVQRMGOHOQVABL-VHSXEESVSA-N 0 0 298.364 2.982 20 5 CFBDRN COC(C)(C)C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000176706371 189005356 /nfs/dbraw/zinc/00/53/56/189005356.db2.gz GAPXAOGPXWMVEM-UHFFFAOYSA-N 0 0 289.291 2.507 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000176953927 189016866 /nfs/dbraw/zinc/01/68/66/189016866.db2.gz HOJKOOWIAHKPAS-NSHDSACASA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1c(OC(=O)CC[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000176786274 189009460 /nfs/dbraw/zinc/00/94/60/189009460.db2.gz JBQJGIDXDKWNSW-LLVKDONJSA-N 0 0 279.292 2.625 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000177009321 189020705 /nfs/dbraw/zinc/02/07/05/189020705.db2.gz FHZFXPFSRHHPIJ-HTQZYQBOSA-N 0 0 268.338 2.835 20 5 CFBDRN CCCC1(CNC(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000177140305 189031435 /nfs/dbraw/zinc/03/14/35/189031435.db2.gz MVHZYIRDPBWZMK-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN CO[C@@H](C)c1noc(COc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000177208103 189036519 /nfs/dbraw/zinc/03/65/19/189036519.db2.gz RMADPXGUDSCEKG-VIFPVBQESA-N 0 0 293.279 2.573 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000177840383 189073499 /nfs/dbraw/zinc/07/34/99/189073499.db2.gz LTGJQEDFZMHOEI-WCQYABFASA-N 0 0 292.335 2.650 20 5 CFBDRN CCCCOCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177844834 189073784 /nfs/dbraw/zinc/07/37/84/189073784.db2.gz WYNFNHHDBWXUQI-GFCCVEGCSA-N 0 0 294.351 2.897 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C(C)C)cc1[N+](=O)[O-] ZINC000177847988 189073819 /nfs/dbraw/zinc/07/38/19/189073819.db2.gz KAMYNBOAYLRRQT-JTQLQIEISA-N 0 0 250.298 2.736 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@@H]2CCCC[C@H]21 ZINC000298834413 200543838 /nfs/dbraw/zinc/54/38/38/200543838.db2.gz BPUUFEVJXGEQMG-CMPLNLGQSA-N 0 0 277.324 2.718 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000178152626 189093719 /nfs/dbraw/zinc/09/37/19/189093719.db2.gz ODULAGPTYROWKK-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCOc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000178160017 189094325 /nfs/dbraw/zinc/09/43/25/189094325.db2.gz NELUEXGVHZLRDA-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000178163002 189094744 /nfs/dbraw/zinc/09/47/44/189094744.db2.gz WATDMSQSEYUHCO-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2N(C)C)CC1 ZINC000178193629 189096483 /nfs/dbraw/zinc/09/64/83/189096483.db2.gz DYDIPNMPAJFTEL-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN CCOc1cc(Cn2nc(C)cc2C)ccc1[N+](=O)[O-] ZINC000252494712 540748013 /nfs/dbraw/zinc/74/80/13/540748013.db2.gz OCNJPMFLBAHJPA-UHFFFAOYSA-N 0 0 275.308 2.855 20 5 CFBDRN CCCN(CC)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000298884143 200556180 /nfs/dbraw/zinc/55/61/80/200556180.db2.gz LXHFXSOMYHRNSR-UHFFFAOYSA-N 0 0 275.308 2.948 20 5 CFBDRN CSC1(CNc2nc(C)ccc2[N+](=O)[O-])CCOCC1 ZINC000178239768 189099747 /nfs/dbraw/zinc/09/97/47/189099747.db2.gz SKYZWHSQIIUBOM-UHFFFAOYSA-N 0 0 297.380 2.622 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000178472799 189119561 /nfs/dbraw/zinc/11/95/61/189119561.db2.gz VXWBVCLMCKVQJU-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@H](c1ccco1)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CC1 ZINC000299125142 200616409 /nfs/dbraw/zinc/61/64/09/200616409.db2.gz HJGRAFMPGQGBOB-SECBINFHSA-N 0 0 289.291 2.882 20 5 CFBDRN C/C=C(/C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000178783408 189146034 /nfs/dbraw/zinc/14/60/34/189146034.db2.gz HPLNAAYTPLHGHU-YWEYNIOJSA-N 0 0 250.254 2.508 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000299307769 200672125 /nfs/dbraw/zinc/67/21/25/200672125.db2.gz BRYBSADKDXOIQF-ZYANWLCNSA-N 0 0 289.335 2.574 20 5 CFBDRN CC(C)[C@@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000179022559 189162892 /nfs/dbraw/zinc/16/28/92/189162892.db2.gz BBYFPGKYXZYIDG-SNVBAGLBSA-N 0 0 250.298 2.850 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1nccn1C(F)F ZINC000178945891 189156443 /nfs/dbraw/zinc/15/64/43/189156443.db2.gz JORGWMZYMCSUGD-UHFFFAOYSA-N 0 0 294.261 2.749 20 5 CFBDRN CCC[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000179517041 189198911 /nfs/dbraw/zinc/19/89/11/189198911.db2.gz FGDDBBUYFRWLHZ-LLVKDONJSA-N 0 0 291.351 2.972 20 5 CFBDRN CCCCN(CC)C(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000179911381 189227681 /nfs/dbraw/zinc/22/76/81/189227681.db2.gz NBFWOEGNXJNGLV-UHFFFAOYSA-N 0 0 294.307 2.586 20 5 CFBDRN COCc1noc(CSCc2ccc([N+](=O)[O-])cc2)n1 ZINC000180003674 189231749 /nfs/dbraw/zinc/23/17/49/189231749.db2.gz PHASLOQETKLMHQ-UHFFFAOYSA-N 0 0 295.320 2.558 20 5 CFBDRN CCc1ccnc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c1 ZINC000180139623 189239368 /nfs/dbraw/zinc/23/93/68/189239368.db2.gz YHHRDCCJKNUIPN-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCCCCO)c(Cl)c1 ZINC000180214816 189243739 /nfs/dbraw/zinc/24/37/39/189243739.db2.gz WSMVUPRZMSGMGK-UHFFFAOYSA-N 0 0 273.720 2.608 20 5 CFBDRN Cc1ccc(NCCCCCCO)c([N+](=O)[O-])c1 ZINC000180213585 189243924 /nfs/dbraw/zinc/24/39/24/189243924.db2.gz GNGXMNJYZXJZMY-UHFFFAOYSA-N 0 0 252.314 2.868 20 5 CFBDRN CC(=O)c1ccc(NCCCCCCO)c([N+](=O)[O-])c1 ZINC000180214979 189244177 /nfs/dbraw/zinc/24/41/77/189244177.db2.gz DGRGDERAQGTPQK-UHFFFAOYSA-N 0 0 280.324 2.762 20 5 CFBDRN C[C@@H](NCc1noc(C2CC2)n1)c1cccc([N+](=O)[O-])c1 ZINC000180394977 189253044 /nfs/dbraw/zinc/25/30/44/189253044.db2.gz GYTFDBIEAICXPR-SECBINFHSA-N 0 0 288.307 2.706 20 5 CFBDRN CC(C)c1noc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000180412587 189253910 /nfs/dbraw/zinc/25/39/10/189253910.db2.gz HCHPTYWHUZXRES-SNVBAGLBSA-N 0 0 290.323 2.952 20 5 CFBDRN CCCn1cc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000180565216 189262636 /nfs/dbraw/zinc/26/26/36/189262636.db2.gz ROHHBCBAGSHDCO-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000180575686 189262805 /nfs/dbraw/zinc/26/28/05/189262805.db2.gz ASEQCMQCRXVYQZ-MRVPVSSYSA-N 0 0 286.328 2.605 20 5 CFBDRN Cc1cc(NC[C@@H](C)Cn2cccn2)ccc1[N+](=O)[O-] ZINC000301098965 200915218 /nfs/dbraw/zinc/91/52/18/200915218.db2.gz NPQHYPPVTMDUQE-LLVKDONJSA-N 0 0 274.324 2.848 20 5 CFBDRN O=[N+]([O-])c1cccc(Oc2ccc3nccnc3n2)c1 ZINC000301238355 200948096 /nfs/dbraw/zinc/94/80/96/200948096.db2.gz IQPFZDGHCHCLPR-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180977744 189285856 /nfs/dbraw/zinc/28/58/56/189285856.db2.gz UGQYWMYGGDWMNB-QWRGUYRKSA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Sc1n[nH]c(C(C)(C)C)n1 ZINC000180969967 189285298 /nfs/dbraw/zinc/28/52/98/189285298.db2.gz CYAVLLHFWHBEDU-UHFFFAOYSA-N 0 0 293.352 2.865 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCNc1ccccn1 ZINC000180981559 189285478 /nfs/dbraw/zinc/28/54/78/189285478.db2.gz BWFYOCWRUUCTNK-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN CN(CC1CCC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000301298306 200969495 /nfs/dbraw/zinc/96/94/95/200969495.db2.gz ZDILUGKMIKGXOM-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN CC[C@@H](CSC)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000181157788 189289633 /nfs/dbraw/zinc/28/96/33/189289633.db2.gz XXZXAIQEBBDKQL-LBPRGKRZSA-N 0 0 296.392 2.704 20 5 CFBDRN NC(=O)[C@H]1CCC[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000301331860 200977671 /nfs/dbraw/zinc/97/76/71/200977671.db2.gz APHRYUUQMAUFBN-WCBMZHEXSA-N 0 0 297.742 2.704 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000181181478 189290153 /nfs/dbraw/zinc/29/01/53/189290153.db2.gz WPUGDQZCQSJLPG-NSHDSACASA-N 0 0 282.365 2.775 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000181175305 189290468 /nfs/dbraw/zinc/29/04/68/189290468.db2.gz CFAQCCRSKJOGSW-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN CC(C)OCCCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000181491498 189298629 /nfs/dbraw/zinc/29/86/29/189298629.db2.gz MDGUUEXOYATQBD-UHFFFAOYSA-N 0 0 281.308 2.843 20 5 CFBDRN CCCOC(=O)[C@H](C)N[C@H](CC)c1cccc([N+](=O)[O-])c1 ZINC000181538472 189299888 /nfs/dbraw/zinc/29/98/88/189299888.db2.gz AZDRWCPGSFIBQI-SMDDNHRTSA-N 0 0 294.351 2.977 20 5 CFBDRN CC(C)OCCCCNc1ccc([N+](=O)[O-])cn1 ZINC000181569551 189300858 /nfs/dbraw/zinc/30/08/58/189300858.db2.gz SLXMSXQGSQDDOU-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN Cc1ccc(C(=O)NCC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000181564466 189301050 /nfs/dbraw/zinc/30/10/50/189301050.db2.gz QNQIKRVXAUOMLP-CYBMUJFWSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1cc(N(C)[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000301461455 201018351 /nfs/dbraw/zinc/01/83/51/201018351.db2.gz YZHCXIQUYNCHJT-HONMWMINSA-N 0 0 291.351 2.548 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCC[C@H](O)C2)c1 ZINC000301470412 201019042 /nfs/dbraw/zinc/01/90/42/201019042.db2.gz IXHZQOMHZOAUJD-UWVGGRQHSA-N 0 0 298.314 2.706 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cc2Cl)CCCC[C@H]1O ZINC000301482273 201023500 /nfs/dbraw/zinc/02/35/00/201023500.db2.gz FMQLEIIGNMBMQM-DGCLKSJQSA-N 0 0 299.758 2.996 20 5 CFBDRN Cc1cnc(NCC(=O)OC2CCCCC2)c([N+](=O)[O-])c1 ZINC000301490462 201027413 /nfs/dbraw/zinc/02/74/13/201027413.db2.gz MJEAAEKKHQELJB-UHFFFAOYSA-N 0 0 293.323 2.586 20 5 CFBDRN Cc1cc(C(=O)NCC[C@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000181634931 189303306 /nfs/dbraw/zinc/30/33/06/189303306.db2.gz GTKMJRPEMPXLAD-CQSZACIVSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1ccc(C)cc1[N+](=O)[O-] ZINC000301434915 201008332 /nfs/dbraw/zinc/00/83/32/201008332.db2.gz IYTXLJGOHFZBSB-UHFFFAOYSA-N 0 0 288.351 2.908 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000182054250 189312942 /nfs/dbraw/zinc/31/29/42/189312942.db2.gz MXDQDVQGFUDYMW-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN COC[C@H](C)N(C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182068344 189313625 /nfs/dbraw/zinc/31/36/25/189313625.db2.gz CQFBKBKZKPTITD-VIFPVBQESA-N 0 0 272.732 2.715 20 5 CFBDRN Cc1cccc(CCCn2cc([N+](=O)[O-])ccc2=O)c1 ZINC000182203796 189316685 /nfs/dbraw/zinc/31/66/85/189316685.db2.gz MAARDFBBYRASGD-UHFFFAOYSA-N 0 0 272.304 2.698 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182236642 189318119 /nfs/dbraw/zinc/31/81/19/189318119.db2.gz LKSUNXJULSVKDQ-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCO1 ZINC000182239884 189318140 /nfs/dbraw/zinc/31/81/40/189318140.db2.gz OQDRPZLDAYSPJF-CMPLNLGQSA-N 0 0 279.292 2.705 20 5 CFBDRN COC[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000301520450 201042844 /nfs/dbraw/zinc/04/28/44/201042844.db2.gz GPGIVBJELRCOFH-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN CC(C)OCCCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000182377814 189321615 /nfs/dbraw/zinc/32/16/15/189321615.db2.gz WNFVWFWVVOSBBT-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)NC1CCCCCC1 ZINC000279270095 283658132 /nfs/dbraw/zinc/65/81/32/283658132.db2.gz VFTKXICLKYTCHU-UHFFFAOYSA-N 0 0 295.314 2.769 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182424427 189323716 /nfs/dbraw/zinc/32/37/16/189323716.db2.gz COZQRGXPNQQTDP-VIFPVBQESA-N 0 0 268.338 2.841 20 5 CFBDRN CCn1cnnc1CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000301544686 201060949 /nfs/dbraw/zinc/06/09/49/201060949.db2.gz ONRSTJSQFYOECP-UHFFFAOYSA-N 0 0 295.730 2.514 20 5 CFBDRN CO[C@H](COc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000424089636 238668153 /nfs/dbraw/zinc/66/81/53/238668153.db2.gz JNFZAWQEMAZMGQ-LLVKDONJSA-N 0 0 255.245 2.538 20 5 CFBDRN COCCC[C@@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000182535735 189327096 /nfs/dbraw/zinc/32/70/96/189327096.db2.gz CBUHKAQXOTVNAW-SNVBAGLBSA-N 0 0 281.308 2.871 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182577871 189328241 /nfs/dbraw/zinc/32/82/41/189328241.db2.gz LAJKJTOOWCWEHV-ZWNOBZJWSA-N 0 0 278.308 2.739 20 5 CFBDRN COc1cc(NC[C@@H](O)CC(C)C)c([N+](=O)[O-])cc1F ZINC000301626829 201104333 /nfs/dbraw/zinc/10/43/33/201104333.db2.gz QLKXSVAIFSGSTK-VIFPVBQESA-N 0 0 286.303 2.561 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N(C)CC1CC1 ZINC000182944490 189340759 /nfs/dbraw/zinc/34/07/59/189340759.db2.gz YFQRQBWYADUOJK-PKNBQFBNSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1ccc(NCCCO[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000301612605 201094528 /nfs/dbraw/zinc/09/45/28/201094528.db2.gz SMYMKERMPSKDIM-GFCCVEGCSA-N 0 0 280.324 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@@H](CO)CC2)c(F)c1 ZINC000301615521 201097073 /nfs/dbraw/zinc/09/70/73/201097073.db2.gz JDJUADULLSTXQW-AOOOYVTPSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000182871121 189337770 /nfs/dbraw/zinc/33/77/70/189337770.db2.gz GAFAYXVZLPFAIT-NOZJJQNGSA-N 0 0 277.276 2.506 20 5 CFBDRN Cc1cnc(N[C@@H](C)C[C@@H](O)c2ccco2)c([N+](=O)[O-])c1 ZINC000301624833 201100844 /nfs/dbraw/zinc/10/08/44/201100844.db2.gz GBHPOCIULAAWIU-CMPLNLGQSA-N 0 0 291.307 2.815 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](Oc2ccncc2)C1 ZINC000301647711 201114405 /nfs/dbraw/zinc/11/44/05/201114405.db2.gz QIDXSBFUTXBIBB-ZDUSSCGKSA-N 0 0 285.303 2.648 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000301659915 201121741 /nfs/dbraw/zinc/12/17/41/201121741.db2.gz MGWYCWTXBVFSRB-TVQRCGJNSA-N 0 0 267.329 2.507 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000301666000 201123544 /nfs/dbraw/zinc/12/35/44/201123544.db2.gz OKDKEJGSQWGKSB-BXUZGUMPSA-N 0 0 279.340 2.914 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@@H](O)c3ccccc3C2)c1 ZINC000367174621 283667178 /nfs/dbraw/zinc/66/71/78/283667178.db2.gz WPFUHIPNXZFUSX-MRXNPFEDSA-N 0 0 299.330 2.621 20 5 CFBDRN COCCC[C@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000183516201 189360553 /nfs/dbraw/zinc/36/05/53/189360553.db2.gz QJMRNJFNBSCFEO-NSHDSACASA-N 0 0 280.324 2.620 20 5 CFBDRN CCc1c[nH]c(Sc2nc3sccn3c2[N+](=O)[O-])n1 ZINC000301689526 201141115 /nfs/dbraw/zinc/14/11/15/201141115.db2.gz WUFSTCGKFYDWOZ-UHFFFAOYSA-N 0 0 295.349 2.741 20 5 CFBDRN C[C@@H](C1CC1)N(C(=O)Nc1ccncc1[N+](=O)[O-])C1CC1 ZINC000183608344 189364325 /nfs/dbraw/zinc/36/43/25/189364325.db2.gz DDXPAMDLWDZXDP-VIFPVBQESA-N 0 0 290.323 2.785 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC2)c(Cl)cc1[N+](=O)[O-] ZINC000301766819 201184329 /nfs/dbraw/zinc/18/43/29/201184329.db2.gz HNIOBSWLVQLGAB-MRVPVSSYSA-N 0 0 256.689 2.757 20 5 CFBDRN CCc1nccn1Cc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000183867397 189369757 /nfs/dbraw/zinc/36/97/57/189369757.db2.gz JKBQBAVYHPPZRO-UHFFFAOYSA-N 0 0 279.271 2.550 20 5 CFBDRN Cc1nc(C(F)(F)F)c(Cn2cnc([N+](=O)[O-])c2)s1 ZINC000183867784 189369930 /nfs/dbraw/zinc/36/99/30/189369930.db2.gz WJOKGGHYXCVKRA-UHFFFAOYSA-N 0 0 292.242 2.623 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000184367952 189384327 /nfs/dbraw/zinc/38/43/27/189384327.db2.gz BULBWPHVARHDBA-GFCCVEGCSA-N 0 0 292.335 2.622 20 5 CFBDRN CCOc1cccc(NCC[C@@H](O)CC)c1[N+](=O)[O-] ZINC000184136490 189377557 /nfs/dbraw/zinc/37/75/57/189377557.db2.gz CFTMCACBLUJFPE-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CCOc1cccc(NCC[C@H](O)CC)c1[N+](=O)[O-] ZINC000184136511 189377671 /nfs/dbraw/zinc/37/76/71/189377671.db2.gz CFTMCACBLUJFPE-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCc3n[nH]cc3C2)c(F)c1 ZINC000301776597 201190562 /nfs/dbraw/zinc/19/05/62/201190562.db2.gz HWXIDDIDHHGYQD-QMMMGPOBSA-N 0 0 294.261 2.566 20 5 CFBDRN CC(C)[C@@H](O)CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000301784969 201196073 /nfs/dbraw/zinc/19/60/73/201196073.db2.gz LFNGAYHVTPOVQE-NSHDSACASA-N 0 0 274.267 2.692 20 5 CFBDRN CN(C[C@@H]1CCC[C@H]1O)c1ccc([N+](=O)[O-])cc1Cl ZINC000301817059 201214340 /nfs/dbraw/zinc/21/43/40/201214340.db2.gz FAZNGSAAEQUILA-TVQRCGJNSA-N 0 0 284.743 2.845 20 5 CFBDRN Cc1ocnc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301870005 201242162 /nfs/dbraw/zinc/24/21/62/201242162.db2.gz OXATUCVXVPYYLY-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN CC[C@@H](Nc1ccccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000301844346 201230071 /nfs/dbraw/zinc/23/00/71/201230071.db2.gz LLNLSXVXNADVJZ-MFKMUULPSA-N 0 0 250.298 2.964 20 5 CFBDRN CC(C)(C)Oc1cc(N[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000301863989 201237038 /nfs/dbraw/zinc/23/70/38/201237038.db2.gz CXSZDPYRNIWDAK-NSHDSACASA-N 0 0 280.324 2.973 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@H](C)C[C@@H](C)C2)c1[N+](=O)[O-] ZINC000301922413 201264907 /nfs/dbraw/zinc/26/49/07/201264907.db2.gz DZDXHZVJRMBNIY-GHMZBOCLSA-N 0 0 280.372 2.763 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)C[C@@H]1F ZINC000425558029 239100381 /nfs/dbraw/zinc/10/03/81/239100381.db2.gz CTVNTSWPGPEBFN-FZMZJTMJSA-N 0 0 289.266 2.830 20 5 CFBDRN CNC(=O)c1cccc(CNc2sccc2[N+](=O)[O-])c1 ZINC000302011638 201296707 /nfs/dbraw/zinc/29/67/07/201296707.db2.gz YWKDYNCUHNVCQB-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN Cc1cccc(CCNc2ccc3ncc([N+](=O)[O-])n3n2)c1 ZINC000302015972 201299682 /nfs/dbraw/zinc/29/96/82/201299682.db2.gz YOURNBIIYLNQRK-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@H](C)O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000302029808 201308820 /nfs/dbraw/zinc/30/88/20/201308820.db2.gz MNCHLORVJAIURB-VHSXEESVSA-N 0 0 284.743 2.764 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000186055460 189428928 /nfs/dbraw/zinc/42/89/28/189428928.db2.gz GUCRMIURNCYCFX-DZGCQCFKSA-N 0 0 276.336 2.893 20 5 CFBDRN CC(C)OC(=O)[C@H](C)CNc1sccc1[N+](=O)[O-] ZINC000302039801 201314320 /nfs/dbraw/zinc/31/43/20/201314320.db2.gz WACJCPQNSFEOLU-MRVPVSSYSA-N 0 0 272.326 2.656 20 5 CFBDRN Cc1ccc(NCc2ccnc3ccnn32)c([N+](=O)[O-])c1 ZINC000302080501 201335330 /nfs/dbraw/zinc/33/53/30/201335330.db2.gz XPYDPTUYUMRZLY-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1ccc(CN(CCO)c2ccccc2[N+](=O)[O-])cc1 ZINC000302094706 201339235 /nfs/dbraw/zinc/33/92/35/201339235.db2.gz RIVSNBXGYDKPCP-UHFFFAOYSA-N 0 0 286.331 2.902 20 5 CFBDRN CCOc1cc(Nc2ncc([N+](=O)[O-])cn2)ccc1OC ZINC000302139672 201362470 /nfs/dbraw/zinc/36/24/70/201362470.db2.gz DBBHKSSPCUXQPP-UHFFFAOYSA-N 0 0 290.279 2.536 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc(Cl)cc2[N+](=O)[O-])nc1 ZINC000302147650 201364700 /nfs/dbraw/zinc/36/47/00/201364700.db2.gz IVZYOVGFAIVUSN-UHFFFAOYSA-N 0 0 296.626 2.739 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000426394376 239217987 /nfs/dbraw/zinc/21/79/87/239217987.db2.gz JFBZGQVDWFTGBM-DABQJJPHSA-N 0 0 289.335 2.501 20 5 CFBDRN CCc1nn(C)c(N(C)C[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000302156018 201371616 /nfs/dbraw/zinc/37/16/16/201371616.db2.gz MWXPQAHJBFXQEW-NSHDSACASA-N 0 0 278.356 2.683 20 5 CFBDRN CCOc1ccc(CNc2ncc([N+](=O)[O-])cn2)cc1F ZINC000302160454 201372068 /nfs/dbraw/zinc/37/20/68/201372068.db2.gz OYQROQYXBMVDJT-UHFFFAOYSA-N 0 0 292.270 2.535 20 5 CFBDRN Cc1noc(C)c1C(=O)COc1ccc(C)c([N+](=O)[O-])c1 ZINC000186651927 189446290 /nfs/dbraw/zinc/44/62/90/189446290.db2.gz NBXXPBHHHCXMTM-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(C)cn1 ZINC000427119432 239285258 /nfs/dbraw/zinc/28/52/58/239285258.db2.gz IYKUAGLBFSOABQ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CN(Cc1ccc(Cl)s1)c1ncc([N+](=O)[O-])cn1 ZINC000302164054 201374194 /nfs/dbraw/zinc/37/41/94/201374194.db2.gz QLCPZNFZNCIHDI-UHFFFAOYSA-N 0 0 284.728 2.736 20 5 CFBDRN Cc1cc(C)c(CCNc2ncc([N+](=O)[O-])cn2)c(C)c1 ZINC000302175790 201382633 /nfs/dbraw/zinc/38/26/33/201382633.db2.gz XBRFBJHODCGBPD-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000187406223 189466732 /nfs/dbraw/zinc/46/67/32/189466732.db2.gz MAXCJMRHNYQFTJ-SMDDNHRTSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cccnc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000427389793 239306277 /nfs/dbraw/zinc/30/62/77/239306277.db2.gz IVEKSAAXTUQIMU-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN COc1cc(C(=O)N(C)[C@@H](C)C2CC2)cc([N+](=O)[O-])c1C ZINC000188580894 189506478 /nfs/dbraw/zinc/50/64/78/189506478.db2.gz LGCXGNZNGGVHFE-JTQLQIEISA-N 0 0 292.335 2.782 20 5 CFBDRN Cc1c(OCC[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000188971132 189519072 /nfs/dbraw/zinc/51/90/72/189519072.db2.gz CILCJWHZEKKBKN-LLVKDONJSA-N 0 0 251.282 2.851 20 5 CFBDRN CCOC[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000189530225 189537622 /nfs/dbraw/zinc/53/76/22/189537622.db2.gz RYDHQMXUMLCOSF-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CC[C@H](C)C1 ZINC000429234560 239589823 /nfs/dbraw/zinc/58/98/23/239589823.db2.gz HORKFJPNAVDAIK-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CCCc1nc(COc2ccc(OC)c([N+](=O)[O-])c2)no1 ZINC000190194794 189556984 /nfs/dbraw/zinc/55/69/84/189556984.db2.gz NTQQMPZXKWZBJA-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN C[C@@H]1CC[C@H](COc2c(F)cccc2[N+](=O)[O-])O1 ZINC000190684817 189571622 /nfs/dbraw/zinc/57/16/22/189571622.db2.gz CJNHEDYQBMBPNM-RKDXNWHRSA-N 0 0 255.245 2.680 20 5 CFBDRN Cc1cccc(OC[C@@H]2CC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000190677660 189571978 /nfs/dbraw/zinc/57/19/78/189571978.db2.gz CWAHANZMSIGOFB-MNOVXSKESA-N 0 0 251.282 2.850 20 5 CFBDRN CC(C)=CCNC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000191801970 189605236 /nfs/dbraw/zinc/60/52/36/189605236.db2.gz NQMWILOGTQWDFX-UHFFFAOYSA-N 0 0 293.279 2.507 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000191943817 189609107 /nfs/dbraw/zinc/60/91/07/189609107.db2.gz OJBXHPZCWNMIRE-ZYHUDNBSSA-N 0 0 278.308 2.657 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H]3OCC[C@@H]32)c1 ZINC000192800288 189628570 /nfs/dbraw/zinc/62/85/70/189628570.db2.gz SHUMTZHHIKZVPH-JTNHKYCSSA-N 0 0 277.324 2.547 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1Cc2ccccc2C1 ZINC000432710670 240033012 /nfs/dbraw/zinc/03/30/12/240033012.db2.gz USHLURGALIAWMO-UHFFFAOYSA-N 0 0 282.299 2.948 20 5 CFBDRN CN(Cc1ccc(F)c(Cl)c1)c1ncc([N+](=O)[O-])cn1 ZINC000432880804 240059915 /nfs/dbraw/zinc/05/99/15/240059915.db2.gz OYOXBJNASUIXJQ-UHFFFAOYSA-N 0 0 296.689 2.814 20 5 CFBDRN CCC[C@@H](CNc1ccccc1[N+](=O)[O-])C(=O)OCC ZINC000432916408 240067293 /nfs/dbraw/zinc/06/72/93/240067293.db2.gz HWAKPCZAHRAUJM-NSHDSACASA-N 0 0 280.324 2.986 20 5 CFBDRN COc1ccc(OCCS[C@@H](C)C(C)=O)c([N+](=O)[O-])c1 ZINC000434795315 240275161 /nfs/dbraw/zinc/27/51/61/240275161.db2.gz QAIXQWZHPCNCPY-JTQLQIEISA-N 0 0 299.348 2.693 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCF)c(OC(F)F)c1 ZINC000435582931 240325967 /nfs/dbraw/zinc/32/59/67/240325967.db2.gz CVSNDCBAKXQELA-UHFFFAOYSA-N 0 0 250.176 2.578 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)ns1 ZINC000435753120 240346622 /nfs/dbraw/zinc/34/66/22/240346622.db2.gz OWYKSEIJOJFOIM-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC000435953009 240372764 /nfs/dbraw/zinc/37/27/64/240372764.db2.gz KOJXTYKWYSCKCK-CYBMUJFWSA-N 0 0 291.282 2.929 20 5 CFBDRN CN(CC[C@@H]1CCCO1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000436345374 240436041 /nfs/dbraw/zinc/43/60/41/240436041.db2.gz YRTFTSJHHDOCNX-NSHDSACASA-N 0 0 291.351 2.568 20 5 CFBDRN Cc1cc(Oc2cc(F)cc([N+](=O)[O-])c2)nc(C)n1 ZINC000436439954 240447427 /nfs/dbraw/zinc/44/74/27/240447427.db2.gz QTEUTBWIFMAOLY-UHFFFAOYSA-N 0 0 263.228 2.933 20 5 CFBDRN COc1ccc(CNC(=O)C2=C(C)CCC2)cc1[N+](=O)[O-] ZINC000437225897 240547854 /nfs/dbraw/zinc/54/78/54/240547854.db2.gz FHGOICAYZQCDKX-UHFFFAOYSA-N 0 0 290.319 2.720 20 5 CFBDRN CC1(C)CC[C@H](CNc2ccc([N+](=O)[O-])cc2)O1 ZINC000302398959 201443917 /nfs/dbraw/zinc/44/39/17/201443917.db2.gz JPXDQWQTBMPWBS-GFCCVEGCSA-N 0 0 250.298 2.964 20 5 CFBDRN CC(=O)NC1CCC(Nc2cccc(C)c2[N+](=O)[O-])CC1 ZINC000275480382 193053604 /nfs/dbraw/zinc/05/36/04/193053604.db2.gz PQKUCBFZQLQFIS-UHFFFAOYSA-N 0 0 291.351 2.762 20 5 CFBDRN Cc1cn(Cc2cc(-c3cccs3)on2)nc1[N+](=O)[O-] ZINC000439190942 240747525 /nfs/dbraw/zinc/74/75/25/240747525.db2.gz WWVRWVZQORUYAH-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)c1cccnc1C ZINC000439233351 240749816 /nfs/dbraw/zinc/74/98/16/240749816.db2.gz HFVRJAADDZSPAC-UHFFFAOYSA-N 0 0 275.264 2.558 20 5 CFBDRN Cc1cc(O)ccc1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000439252673 240751336 /nfs/dbraw/zinc/75/13/36/240751336.db2.gz AFWQZWDWMLPMRZ-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1CN1CCCC1 ZINC000685948923 541457673 /nfs/dbraw/zinc/45/76/73/541457673.db2.gz XALBRWWSTFCDHG-UHFFFAOYSA-N 0 0 285.141 2.953 20 5 CFBDRN Cc1cccc(CN(C)c2ncc([N+](=O)[O-])cc2Cl)n1 ZINC000439589240 240783999 /nfs/dbraw/zinc/78/39/99/240783999.db2.gz AEDMYENWLXNINA-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN CN(CC1(c2ccccc2)CC1)c1ncc([N+](=O)[O-])cn1 ZINC000275764820 193122951 /nfs/dbraw/zinc/12/29/51/193122951.db2.gz GDHKGIBWRKXYQH-UHFFFAOYSA-N 0 0 284.319 2.553 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCO[C@@H]2CCCCO2)n1 ZINC000441823511 240951854 /nfs/dbraw/zinc/95/18/54/240951854.db2.gz MNYLMHWKDUFOLJ-GFCCVEGCSA-N 0 0 296.323 2.529 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccc2ccoc2c1 ZINC000442874619 241013542 /nfs/dbraw/zinc/01/35/42/241013542.db2.gz UHMXAWXQGHVXSB-UHFFFAOYSA-N 0 0 272.264 2.687 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])nc2)CC2(CCCC2)O1 ZINC000443510761 241068388 /nfs/dbraw/zinc/06/83/88/241068388.db2.gz OUDOFEHRRQWMPZ-UHFFFAOYSA-N 0 0 291.351 2.918 20 5 CFBDRN CCCCOC1CCN(c2ncc([N+](=O)[O-])s2)CC1 ZINC000443513200 241069756 /nfs/dbraw/zinc/06/97/56/241069756.db2.gz USYRYHYYNQFRCS-UHFFFAOYSA-N 0 0 285.369 2.837 20 5 CFBDRN CSc1ccc(C(=O)Nc2ncc(C)o2)cc1[N+](=O)[O-] ZINC000443919012 241095212 /nfs/dbraw/zinc/09/52/12/241095212.db2.gz HDBOWYUONHADEF-UHFFFAOYSA-N 0 0 293.304 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ccnc3ccncc32)cc1 ZINC000444106710 241114385 /nfs/dbraw/zinc/11/43/85/241114385.db2.gz GZALJCPIVHYTIN-UHFFFAOYSA-N 0 0 294.314 2.615 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc2ccoc2c1 ZINC000443488690 241064945 /nfs/dbraw/zinc/06/49/45/241064945.db2.gz KSBLKFHHHDNGIE-UHFFFAOYSA-N 0 0 258.237 2.818 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)CSc2ccccc2[N+](=O)[O-])C1 ZINC000444463050 241141408 /nfs/dbraw/zinc/14/14/08/241141408.db2.gz FXBMOCVNNCMCNI-MNOVXSKESA-N 0 0 294.376 2.992 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@@H](C)C2)c1 ZINC000444323972 241135769 /nfs/dbraw/zinc/13/57/69/241135769.db2.gz WZVUIRVWDXYUGU-ZJUUUORDSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1ccc(CSc2ncco2)cc1[N+](=O)[O-] ZINC000446068098 241271358 /nfs/dbraw/zinc/27/13/58/241271358.db2.gz DKVKOGXUZIAGSA-UHFFFAOYSA-N 0 0 266.278 2.884 20 5 CFBDRN CCC1(c2noc(-c3nn(C)cc3[N+](=O)[O-])n2)CCCC1 ZINC000445801730 241239428 /nfs/dbraw/zinc/23/94/28/241239428.db2.gz HZEBPLQBCTWZHW-UHFFFAOYSA-N 0 0 291.311 2.600 20 5 CFBDRN COC[C@@H](C)CC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000445949749 241255326 /nfs/dbraw/zinc/25/53/26/241255326.db2.gz PQAPHPCNCVNSAG-JQWIXIFHSA-N 0 0 294.351 2.753 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1cccc(C)c1[N+](=O)[O-] ZINC000302497167 201464169 /nfs/dbraw/zinc/46/41/69/201464169.db2.gz MYNHOOAQPICMIG-SNVBAGLBSA-N 0 0 266.297 2.514 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])nc1)[C@H](CCO)c1ccccc1 ZINC000446857129 241334297 /nfs/dbraw/zinc/33/42/97/241334297.db2.gz SVSHAIYCUGEGDT-CQSZACIVSA-N 0 0 287.319 2.550 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCc2ccncc2)c1 ZINC000446891193 241335638 /nfs/dbraw/zinc/33/56/38/241335638.db2.gz VINWMFDTSAATKD-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2c(C)cccc2[N+](=O)[O-])n1 ZINC000447632680 241397330 /nfs/dbraw/zinc/39/73/30/241397330.db2.gz NKFCQDXVVQOJEX-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CN(Cc1ccc(O)cc1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000447673289 241399303 /nfs/dbraw/zinc/39/93/03/241399303.db2.gz XDYINHBVEFDHCN-UHFFFAOYSA-N 0 0 298.302 2.813 20 5 CFBDRN C[C@]1(C(=O)[O-])CCCC[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000426726783 291374966 /nfs/dbraw/zinc/37/49/66/291374966.db2.gz LFGGDVCSBWIIHS-ZFWWWQNUSA-N 0 0 292.335 2.718 20 5 CFBDRN O=C(NC1CC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000050536073 283921399 /nfs/dbraw/zinc/92/13/99/283921399.db2.gz NFMFIEWCPOVUQX-UHFFFAOYSA-N 0 0 289.316 2.611 20 5 CFBDRN CCCc1ncc(CNCc2cccc([N+](=O)[O-])c2)o1 ZINC000449612324 242066884 /nfs/dbraw/zinc/06/68/84/242066884.db2.gz LFAURKABOTXQIH-UHFFFAOYSA-N 0 0 275.308 2.825 20 5 CFBDRN CCn1ccnc1[C@@H](C)NCc1csc([N+](=O)[O-])c1 ZINC000449562087 242031682 /nfs/dbraw/zinc/03/16/82/242031682.db2.gz GRRQXTFFXFUXIT-SECBINFHSA-N 0 0 280.353 2.724 20 5 CFBDRN Cc1nc(N[C@@H](C)Cc2ccccc2F)ncc1[N+](=O)[O-] ZINC000450270429 242332292 /nfs/dbraw/zinc/33/22/92/242332292.db2.gz RQVBTHGTEVZGNW-VIFPVBQESA-N 0 0 290.298 2.875 20 5 CFBDRN Cc1nc([C@@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)cs1 ZINC000450325585 242356653 /nfs/dbraw/zinc/35/66/53/242356653.db2.gz UVHXGNLVFHITFB-ZCFIWIBFSA-N 0 0 279.325 2.631 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])c(C)n1)c1nccs1 ZINC000450344600 242366634 /nfs/dbraw/zinc/36/66/34/242366634.db2.gz IFMDSRBDFDSTRJ-QMMMGPOBSA-N 0 0 279.325 2.713 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCc3ccccc32)ncc1[N+](=O)[O-] ZINC000450243627 242321777 /nfs/dbraw/zinc/32/17/77/242321777.db2.gz LNOXFGVOKHBYDE-ZDUSSCGKSA-N 0 0 298.346 2.647 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000450471489 242438369 /nfs/dbraw/zinc/43/83/69/242438369.db2.gz VZTDQKHEZSCDBU-ZYHUDNBSSA-N 0 0 292.335 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2C[C@H]3OCCC[C@@H]23)c1 ZINC000450475312 242441849 /nfs/dbraw/zinc/44/18/49/242441849.db2.gz WYOUJWSLAGZKIW-RRFJBIMHSA-N 0 0 299.330 2.544 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCCC1CC1 ZINC000450355871 242376077 /nfs/dbraw/zinc/37/60/77/242376077.db2.gz DZRHUYZGICXMON-UHFFFAOYSA-N 0 0 257.293 2.777 20 5 CFBDRN Cn1cc([C@H](Nc2ccc([N+](=O)[O-])nc2)C(C)(C)C)cn1 ZINC000450376610 242384542 /nfs/dbraw/zinc/38/45/42/242384542.db2.gz GZOPEDGTTSMIHS-ZDUSSCGKSA-N 0 0 289.339 2.923 20 5 CFBDRN CC(C)N(CCc1nccs1)c1ccc([N+](=O)[O-])nc1 ZINC000450447103 242425717 /nfs/dbraw/zinc/42/57/17/242425717.db2.gz SWMAQPNXEJSYTL-UHFFFAOYSA-N 0 0 292.364 2.904 20 5 CFBDRN CC[C@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)C(F)F ZINC000450564952 242481449 /nfs/dbraw/zinc/48/14/49/242481449.db2.gz JZMUJBKGIKNWAE-QMMMGPOBSA-N 0 0 299.277 2.935 20 5 CFBDRN CO[C@H](Cc1ccccc1)Cn1nc(C)c([N+](=O)[O-])c1C ZINC000450567383 242483847 /nfs/dbraw/zinc/48/38/47/242483847.db2.gz QWWIYXDDSPZDKX-CQSZACIVSA-N 0 0 289.335 2.666 20 5 CFBDRN Cc1nc(N[C@H]([C@H](CO)C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000450781206 242602127 /nfs/dbraw/zinc/60/21/27/242602127.db2.gz RMNQCCMQAJBOSX-DOMZBBRYSA-N 0 0 291.351 2.507 20 5 CFBDRN C[C@@H](Cc1cnn(C)c1)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000450837056 242631952 /nfs/dbraw/zinc/63/19/52/242631952.db2.gz CBOMDRBLECAXIG-QMMMGPOBSA-N 0 0 296.277 2.650 20 5 CFBDRN CCc1ncc(CNc2cc(OC)c(F)cc2[N+](=O)[O-])o1 ZINC000450842890 242635733 /nfs/dbraw/zinc/63/57/33/242635733.db2.gz CNKZUSOTRYPNCI-UHFFFAOYSA-N 0 0 295.270 2.905 20 5 CFBDRN Cc1cc(OCC[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000450908202 242665869 /nfs/dbraw/zinc/66/58/69/242665869.db2.gz LXMGLXUNUCYPFU-SNVBAGLBSA-N 0 0 269.272 2.848 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2)nnc1C ZINC000302797032 201559217 /nfs/dbraw/zinc/55/92/17/201559217.db2.gz QSGTVBACTCVAMA-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@@H](C[C@H]1CCCO1)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302895691 201593131 /nfs/dbraw/zinc/59/31/31/201593131.db2.gz QUSAEBKEZIFTNM-WDEREUQCSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@H](NC(=O)C[C@@H]1C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000452182733 243076992 /nfs/dbraw/zinc/07/69/92/243076992.db2.gz IRJNCFFCROQXAZ-NHCYSSNCSA-N 0 0 262.309 2.818 20 5 CFBDRN CCc1nc(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)co1 ZINC000452181693 243077228 /nfs/dbraw/zinc/07/72/28/243077228.db2.gz DFTVLPSPGSTTIJ-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN C[C@H](NC(=O)C[C@@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000452182734 243077929 /nfs/dbraw/zinc/07/79/29/243077929.db2.gz IRJNCFFCROQXAZ-SCVCMEIPSA-N 0 0 262.309 2.818 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])C(F)F ZINC000452250372 243098302 /nfs/dbraw/zinc/09/83/02/243098302.db2.gz SHFSNQXQCAHSFT-SECBINFHSA-N 0 0 272.251 2.677 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000452251004 243098585 /nfs/dbraw/zinc/09/85/85/243098585.db2.gz SXKGJHYODGUYFK-SECBINFHSA-N 0 0 276.214 2.507 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])C(F)F ZINC000452259080 243101326 /nfs/dbraw/zinc/10/13/26/243101326.db2.gz YGZVBHGDYKCTOI-SECBINFHSA-N 0 0 272.251 2.677 20 5 CFBDRN CSc1cccc(C(=O)NC2CC(C)(F)C2)c1[N+](=O)[O-] ZINC000452277357 243109652 /nfs/dbraw/zinc/10/96/52/243109652.db2.gz OCYKVKUBOLTKEL-UHFFFAOYSA-N 0 0 298.339 2.937 20 5 CFBDRN C[C@@H](C(=O)NC1CC(C)(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000452278801 243110135 /nfs/dbraw/zinc/11/01/35/243110135.db2.gz URXGTJWPTZKRQZ-NQTXRORDSA-N 0 0 298.289 2.844 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC[C@@H]1C1CC1 ZINC000452495480 243196862 /nfs/dbraw/zinc/19/68/62/243196862.db2.gz DARTUUZEKOAPDU-CYBMUJFWSA-N 0 0 292.360 2.698 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21)C(C)(F)F ZINC000452454988 243177938 /nfs/dbraw/zinc/17/79/38/243177938.db2.gz FRWUOSSOCQLVLU-ZETCQYMHSA-N 0 0 297.261 2.850 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C1CC1 ZINC000452497010 243196664 /nfs/dbraw/zinc/19/66/64/243196664.db2.gz IDDAZPUNCZEYTC-CQSZACIVSA-N 0 0 274.320 2.538 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC(C)(C)C1CCC1 ZINC000452787769 243297149 /nfs/dbraw/zinc/29/71/49/243297149.db2.gz WQXQXCCFWBAPQR-UHFFFAOYSA-N 0 0 291.351 2.854 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000452814897 243308753 /nfs/dbraw/zinc/30/87/53/243308753.db2.gz HYAJIAOZNOPXKK-WDEREUQCSA-N 0 0 279.340 2.869 20 5 CFBDRN O=C(NCC[C@H]1CCc2ccccc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000452711077 243270817 /nfs/dbraw/zinc/27/08/17/243270817.db2.gz DHHVFRBUTFRMMA-GFCCVEGCSA-N 0 0 299.330 2.773 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@@H]1C1CC1 ZINC000452985630 243355693 /nfs/dbraw/zinc/35/56/93/243355693.db2.gz IBXNRQGCILCNQB-CYBMUJFWSA-N 0 0 294.738 2.802 20 5 CFBDRN CSCC1CCC(NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000452986060 243355742 /nfs/dbraw/zinc/35/57/42/243355742.db2.gz SZMLFRBNGHSFOW-UHFFFAOYSA-N 0 0 297.380 2.575 20 5 CFBDRN CCC1(NC(=O)Cc2ccccc2[N+](=O)[O-])CCCC1 ZINC000452954156 243346356 /nfs/dbraw/zinc/34/63/56/243346356.db2.gz GRJZVMANPCXXLE-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN CCSCCNc1cc([N+](=O)[O-])ccc1OC ZINC000453065939 243384960 /nfs/dbraw/zinc/38/49/60/243384960.db2.gz VXWAGRRCCQCJBR-UHFFFAOYSA-N 0 0 256.327 2.768 20 5 CFBDRN COc1cccnc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000453374798 243536164 /nfs/dbraw/zinc/53/61/64/243536164.db2.gz SXZIECZNJUGSLD-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000330668789 203097948 /nfs/dbraw/zinc/09/79/48/203097948.db2.gz YDZBFKSFIQNCDO-RYUDHWBXSA-N 0 0 292.335 2.763 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C[C@H]1CC ZINC000456121183 244081686 /nfs/dbraw/zinc/08/16/86/244081686.db2.gz WXSAWDUCECAVRC-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])[nH]2)CCCCC1 ZINC000456207341 244104482 /nfs/dbraw/zinc/10/44/82/244104482.db2.gz AAMFPUCIGHUUBC-UHFFFAOYSA-N 0 0 265.313 2.766 20 5 CFBDRN CC(C)[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)[C@H]1C(C)C ZINC000456288862 244128122 /nfs/dbraw/zinc/12/81/22/244128122.db2.gz MFHFTLAONJYAHG-RISCZKNCSA-N 0 0 293.367 2.686 20 5 CFBDRN Cc1cc(NC(=O)C2CCC(=O)CC2)ccc1[N+](=O)[O-] ZINC000330723428 203155046 /nfs/dbraw/zinc/15/50/46/203155046.db2.gz YTZOSLXFZUOPHI-UHFFFAOYSA-N 0 0 276.292 2.601 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000456319480 244138430 /nfs/dbraw/zinc/13/84/30/244138430.db2.gz BJQYYJHUGVAMQY-JSGCOSHPSA-N 0 0 276.336 2.546 20 5 CFBDRN C[C@H](CCNC(=O)c1nn(C)cc1[N+](=O)[O-])CC(C)(C)C ZINC000276062709 193201680 /nfs/dbraw/zinc/20/16/80/193201680.db2.gz ZWDQVPFSVAQRGK-SNVBAGLBSA-N 0 0 296.371 2.521 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2cnc(C)cc2C)c1 ZINC000456855659 244307499 /nfs/dbraw/zinc/30/74/99/244307499.db2.gz ZZIBRAOBFKFSIS-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000456903890 244324529 /nfs/dbraw/zinc/32/45/29/244324529.db2.gz KUXYIXLDTUQNFQ-GWCFXTLKSA-N 0 0 299.330 2.852 20 5 CFBDRN Cc1cccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000457023807 244363259 /nfs/dbraw/zinc/36/32/59/244363259.db2.gz FBTVKKKTTOLFRB-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN CCOC(CCNc1ccc([N+](=O)[O-])cc1)OCC ZINC000276088552 193210280 /nfs/dbraw/zinc/21/02/80/193210280.db2.gz HIXJYHFKLFJPCZ-UHFFFAOYSA-N 0 0 268.313 2.796 20 5 CFBDRN CC(C)CN(C)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000330839032 203298278 /nfs/dbraw/zinc/29/82/78/203298278.db2.gz SDIINSFATJOXLH-UHFFFAOYSA-N 0 0 270.716 2.976 20 5 CFBDRN CC1(C)[C@H](Nc2ccccc2[N+](=O)[O-])[C@H]2CCO[C@H]21 ZINC000227067188 189779878 /nfs/dbraw/zinc/77/98/78/189779878.db2.gz BOUCCILVDIZVHP-OASPWFOLSA-N 0 0 262.309 2.820 20 5 CFBDRN COC1(CNc2c(F)cc([N+](=O)[O-])cc2F)CCC1 ZINC000227305943 189782627 /nfs/dbraw/zinc/78/26/27/189782627.db2.gz WDKJJZZYDXTUAZ-UHFFFAOYSA-N 0 0 272.251 2.854 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@@H]1CC[C@@H](O)C1 ZINC000227670694 189787075 /nfs/dbraw/zinc/78/70/75/189787075.db2.gz DGIXJHZZGVYAIW-GHMZBOCLSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H](Cn1cccn1)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227888190 189789354 /nfs/dbraw/zinc/78/93/54/189789354.db2.gz QEEPAFFYCWDVLY-QMMMGPOBSA-N 0 0 282.250 2.570 20 5 CFBDRN CSCC(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000330878068 203344249 /nfs/dbraw/zinc/34/42/49/203344249.db2.gz LDBRIQNPSRELHG-GFCCVEGCSA-N 0 0 298.389 2.532 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CSC1(C)C ZINC000276171423 193232246 /nfs/dbraw/zinc/23/22/46/193232246.db2.gz BHKDWAGOSMIVOM-LLVKDONJSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCCC(F)(F)F ZINC000276216653 193245402 /nfs/dbraw/zinc/24/54/02/193245402.db2.gz LDVBDMIQDQZHCI-UHFFFAOYSA-N 0 0 278.230 2.798 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000179766685 284165826 /nfs/dbraw/zinc/16/58/26/284165826.db2.gz HQGUJQUSOADTKD-NSHDSACASA-N 0 0 297.314 2.982 20 5 CFBDRN CCC(O)(CC)CCNc1nccc(C)c1[N+](=O)[O-] ZINC000276208307 193242971 /nfs/dbraw/zinc/24/29/71/193242971.db2.gz JVOBYBHOCWNHNN-UHFFFAOYSA-N 0 0 267.329 2.651 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000276254167 193257725 /nfs/dbraw/zinc/25/77/25/193257725.db2.gz DUQXXQAATRUZAE-BQBZGAKWSA-N 0 0 260.240 2.708 20 5 CFBDRN CCC(O)(CC)CCNc1ncc([N+](=O)[O-])cc1C ZINC000276269101 193265971 /nfs/dbraw/zinc/26/59/71/193265971.db2.gz UQSMUJJJCYYNPF-UHFFFAOYSA-N 0 0 267.329 2.651 20 5 CFBDRN CCC(O)(CC)CCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000276313322 193283765 /nfs/dbraw/zinc/28/37/65/193283765.db2.gz PWXBDHGRRZZFFP-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN COc1cc(N[C@H]2CSC2(C)C)c([N+](=O)[O-])cc1OC ZINC000276487757 193352688 /nfs/dbraw/zinc/35/26/88/193352688.db2.gz PFSZZGWKNVMDPZ-LBPRGKRZSA-N 0 0 298.364 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCNC(=O)C(C)C ZINC000276526746 193368190 /nfs/dbraw/zinc/36/81/90/193368190.db2.gz VKTCZXOLNPCSMX-UHFFFAOYSA-N 0 0 299.758 2.741 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000331091323 203532682 /nfs/dbraw/zinc/53/26/82/203532682.db2.gz WOGCTSKELPJGPD-DGCLKSJQSA-N 0 0 276.336 2.690 20 5 CFBDRN CCCNC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116617590 376199350 /nfs/dbraw/zinc/19/93/50/376199350.db2.gz WPEPZFFBFYKPNN-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN Cc1c(C(=O)N2CC(C)=C[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000336551964 291491444 /nfs/dbraw/zinc/49/14/44/291491444.db2.gz SKZCQIHYKUXTHT-JTQLQIEISA-N 0 0 274.320 2.941 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1CCC[C@@H]1CCO ZINC000286746506 196693182 /nfs/dbraw/zinc/69/31/82/196693182.db2.gz RHALTKUCOCRAOH-KOLCDFICSA-N 0 0 268.288 2.697 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H](C)CC1(C)C ZINC000277112434 193557398 /nfs/dbraw/zinc/55/73/98/193557398.db2.gz NCAWUWLUOYYZTP-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CC(=O)c1ccc(NCCC2(O)CCC2)c([N+](=O)[O-])c1 ZINC000286754974 196696368 /nfs/dbraw/zinc/69/63/68/196696368.db2.gz OYDLGRCWFIJYQJ-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN COC(=O)[C@@]1(C)CN(c2c(C)cccc2[N+](=O)[O-])C[C@H]1C ZINC000336882441 284236269 /nfs/dbraw/zinc/23/62/69/284236269.db2.gz BZAPMGBTMVGRSK-ABAIWWIYSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CC(OCC(F)F)C1 ZINC000459596763 245233379 /nfs/dbraw/zinc/23/33/79/245233379.db2.gz UDRRRZBKEQSJJK-HYXAFXHYSA-N 0 0 298.289 2.574 20 5 CFBDRN CCOC1(C)CN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000459625738 245247651 /nfs/dbraw/zinc/24/76/51/245247651.db2.gz SMEGFVBYYUZXBX-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)CCCCO1 ZINC000459895079 245341260 /nfs/dbraw/zinc/34/12/60/245341260.db2.gz WGCCKCWUTVJXHJ-AWEZNQCLSA-N 0 0 294.307 2.501 20 5 CFBDRN Cc1c(C(=O)N2C[C@@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000267760726 291514714 /nfs/dbraw/zinc/51/47/14/291514714.db2.gz YUEILHWEDUZHQA-NXEZZACHSA-N 0 0 262.309 2.631 20 5 CFBDRN C[C@H](O)[C@H](C)Sc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235596869 189828988 /nfs/dbraw/zinc/82/89/88/189828988.db2.gz OCPSRJMJILMYPG-WDSKDSINSA-N 0 0 296.270 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@H](O)C2)c2ncccc12 ZINC000236565944 189834267 /nfs/dbraw/zinc/83/42/67/189834267.db2.gz UBYFIUKSYRTRPZ-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000003564377 371809562 /nfs/dbraw/zinc/80/95/62/371809562.db2.gz AGXMXUWSNMLEMC-SMDDNHRTSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1nccs1 ZINC000006215406 371888659 /nfs/dbraw/zinc/88/86/59/371888659.db2.gz DJDXZJWEINAJCL-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])NC1CCCCC1 ZINC000011563945 371921578 /nfs/dbraw/zinc/92/15/78/371921578.db2.gz IMNPCWQQGFXLAZ-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN Cc1cc(NC(=O)c2cccc(C)c2[N+](=O)[O-])ccn1 ZINC000017820656 372136039 /nfs/dbraw/zinc/13/60/39/372136039.db2.gz NCKRDBKBMHAYLP-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CSc1ccc(C(=O)N[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000017804737 372136474 /nfs/dbraw/zinc/13/64/74/372136474.db2.gz JBGHOIMAJSARAC-MRVPVSSYSA-N 0 0 280.349 2.845 20 5 CFBDRN CC(C)N(C)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000019281640 372154768 /nfs/dbraw/zinc/15/47/68/372154768.db2.gz AGBLGDGOMZVSSF-UHFFFAOYSA-N 0 0 256.689 2.729 20 5 CFBDRN COc1ccc(NCc2ccccc2[N+](=O)[O-])cn1 ZINC000020035073 372221313 /nfs/dbraw/zinc/22/13/13/372221313.db2.gz QVUGLOKLJGYJNT-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN COc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c(F)c1 ZINC000025720601 372306949 /nfs/dbraw/zinc/30/69/49/372306949.db2.gz CYENAZHXOOHKNS-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COc1cc(F)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000025795945 372309136 /nfs/dbraw/zinc/30/91/36/372309136.db2.gz GNXFUVAOEHSSSJ-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC1CCC1 ZINC000026139381 372314708 /nfs/dbraw/zinc/31/47/08/372314708.db2.gz ZGSADNPWPWDFSB-SNVBAGLBSA-N 0 0 294.376 2.992 20 5 CFBDRN Cn1cc(Cl)cc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000027028319 372333381 /nfs/dbraw/zinc/33/33/81/372333381.db2.gz GJJHIQCHFNUXFV-UHFFFAOYSA-N 0 0 279.683 2.839 20 5 CFBDRN Cc1nc2cc(NC(=O)c3ccc([N+](=O)[O-])o3)ccc2o1 ZINC000027383187 372340939 /nfs/dbraw/zinc/34/09/39/372340939.db2.gz FCLRQPBXYZLBNR-UHFFFAOYSA-N 0 0 287.231 2.890 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCCC1CCCC1 ZINC000029526911 372380865 /nfs/dbraw/zinc/38/08/65/372380865.db2.gz CMJAMKGWEKSHFA-UHFFFAOYSA-N 0 0 252.318 2.711 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cn3ccccc3n2)c(F)c1 ZINC000029584411 372382972 /nfs/dbraw/zinc/38/29/72/372382972.db2.gz NGCHXPMHGHUTQA-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nnsc1Cl ZINC000029995317 372388681 /nfs/dbraw/zinc/38/86/81/372388681.db2.gz YCGNMWNHQVQJMO-UHFFFAOYSA-N 0 0 271.685 2.679 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc(OC)c([N+](=O)[O-])c2)C1 ZINC000031682776 372415546 /nfs/dbraw/zinc/41/55/46/372415546.db2.gz ZYTNNEYFZUQDTK-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000032032205 372424809 /nfs/dbraw/zinc/42/48/09/372424809.db2.gz KCAFFYGXGRBHPI-QWRGUYRKSA-N 0 0 262.309 2.903 20 5 CFBDRN C[C@H](Cc1cccs1)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000033203535 372486632 /nfs/dbraw/zinc/48/66/32/372486632.db2.gz UJQRBPGKURKLFP-MRVPVSSYSA-N 0 0 280.305 2.610 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCc1ccccc1 ZINC000033303202 372490693 /nfs/dbraw/zinc/49/06/93/372490693.db2.gz LJOIWASCQLCFMM-FLIBITNWSA-N 0 0 282.299 2.924 20 5 CFBDRN CCCCNC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000036112576 372559827 /nfs/dbraw/zinc/55/98/27/372559827.db2.gz APTWGSLJNZPLHH-UHFFFAOYSA-N 0 0 291.351 2.725 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1cccc(F)c1 ZINC000036136668 372562495 /nfs/dbraw/zinc/56/24/95/372562495.db2.gz RXPWKFMXNMVDQH-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN Cc1noc(C)c1CNc1cc([N+](=O)[O-])ccc1F ZINC000037060522 372642815 /nfs/dbraw/zinc/64/28/15/372642815.db2.gz MZIIFVORQFJBLL-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc3c2OCO3)cc1 ZINC000037061482 372643216 /nfs/dbraw/zinc/64/32/16/372643216.db2.gz CPTNFYKBVKHOIT-UHFFFAOYSA-N 0 0 272.260 2.936 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ncccc2[N+](=O)[O-])cc1 ZINC000037668670 372687919 /nfs/dbraw/zinc/68/79/19/372687919.db2.gz FGIBODVLLXUHFY-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669678 372688426 /nfs/dbraw/zinc/68/84/26/372688426.db2.gz KPTVCYNAJVKKAI-VIFPVBQESA-N 0 0 268.288 2.898 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCn1ccnc1 ZINC000041063100 372807326 /nfs/dbraw/zinc/80/73/26/372807326.db2.gz XFORIFBXJIGILF-UHFFFAOYSA-N 0 0 266.688 2.557 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1ccc(C(N)=O)cc1 ZINC000042180582 372882636 /nfs/dbraw/zinc/88/26/36/372882636.db2.gz QUVGCPJHUPEBDJ-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000042568040 372936718 /nfs/dbraw/zinc/93/67/18/372936718.db2.gz CJOPYROWNDAZSW-WCBMZHEXSA-N 0 0 268.338 2.965 20 5 CFBDRN CC[C@H]1CCN1c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000571344958 383686797 /nfs/dbraw/zinc/68/67/97/383686797.db2.gz YTHBDFSNPTWUSB-JTQLQIEISA-N 0 0 265.313 2.766 20 5 CFBDRN CS[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000042847792 372962749 /nfs/dbraw/zinc/96/27/49/372962749.db2.gz SIMSFWCBGSAVNE-MRVPVSSYSA-N 0 0 254.311 2.593 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)C1CC1 ZINC000042918744 372966006 /nfs/dbraw/zinc/96/60/06/372966006.db2.gz XTRPOUQUJOEREY-UHFFFAOYSA-N 0 0 272.207 2.545 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000043147059 372979562 /nfs/dbraw/zinc/97/95/62/372979562.db2.gz LMCKIKYRRRGRDB-VHSXEESVSA-N 0 0 263.297 2.681 20 5 CFBDRN C[C@H]1CCN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000263746700 383687233 /nfs/dbraw/zinc/68/72/33/383687233.db2.gz RZIBFNYDRRRARA-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN O=C(Nc1ccccn1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000121458993 284373970 /nfs/dbraw/zinc/37/39/70/284373970.db2.gz XVBOIFPUZIRENG-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN CC(C)CCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000046147184 373045514 /nfs/dbraw/zinc/04/55/14/373045514.db2.gz YAKSUJDOXJOPCS-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc2scnc2c1 ZINC000487057085 245721108 /nfs/dbraw/zinc/72/11/08/245721108.db2.gz DZUJWIVJWYHPQO-UHFFFAOYSA-N 0 0 275.293 2.682 20 5 CFBDRN CCCCNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000048398891 373176542 /nfs/dbraw/zinc/17/65/42/373176542.db2.gz VQZNOVGCEULQEK-UHFFFAOYSA-N 0 0 277.324 2.699 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1cn2ccccc2n1 ZINC000048436819 373183045 /nfs/dbraw/zinc/18/30/45/373183045.db2.gz HGZDKIHICSOMFM-UHFFFAOYSA-N 0 0 282.303 2.532 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C1CCCCC1 ZINC000048826141 373224582 /nfs/dbraw/zinc/22/45/82/373224582.db2.gz QHKOHFJWRQYYEN-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)C1CC1 ZINC000048477081 373189239 /nfs/dbraw/zinc/18/92/39/373189239.db2.gz GGRROWPFZGPUSO-QMMMGPOBSA-N 0 0 279.296 2.523 20 5 CFBDRN CCCSCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000048637662 373208028 /nfs/dbraw/zinc/20/80/28/373208028.db2.gz WVGCWZKKPZHMNI-UHFFFAOYSA-N 0 0 268.338 2.985 20 5 CFBDRN Cc1cc(C(=O)Nc2nccs2)cc([N+](=O)[O-])c1 ZINC000049157681 373273299 /nfs/dbraw/zinc/27/32/99/373273299.db2.gz IEHMSAXFNZHMEJ-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CCCN(CCO)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000048922261 373230863 /nfs/dbraw/zinc/23/08/63/373230863.db2.gz HCEKWXVQXQDZOJ-UHFFFAOYSA-N 0 0 292.257 2.822 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000048964158 373241389 /nfs/dbraw/zinc/24/13/89/373241389.db2.gz IADNKTOKBOCNTD-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCc1ccoc1 ZINC000048990813 373246393 /nfs/dbraw/zinc/24/63/93/373246393.db2.gz LMQMEPULEHECSX-UTCJRWHESA-N 0 0 272.260 2.517 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000049095020 373269004 /nfs/dbraw/zinc/26/90/04/373269004.db2.gz LMBNKLPRUSQKKG-DTWKUNHWSA-N 0 0 268.288 2.898 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCc1ccsc1 ZINC000049363467 373291153 /nfs/dbraw/zinc/29/11/53/373291153.db2.gz ZIZNYHIOEDWOGL-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1cccs1 ZINC000049531227 373308624 /nfs/dbraw/zinc/30/86/24/373308624.db2.gz PIXAWSNECIZOPH-MRVPVSSYSA-N 0 0 266.326 2.953 20 5 CFBDRN CCc1nc(CNCc2ccc([N+](=O)[O-])cc2)cs1 ZINC000049591308 373313317 /nfs/dbraw/zinc/31/33/17/373313317.db2.gz GEFRMJSNMWGFSI-UHFFFAOYSA-N 0 0 277.349 2.904 20 5 CFBDRN CCCCNC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000049932080 373330070 /nfs/dbraw/zinc/33/00/70/373330070.db2.gz WXJNECUGTMSAKV-UHFFFAOYSA-N 0 0 265.313 2.557 20 5 CFBDRN Cc1occc1CN(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000049251984 373281980 /nfs/dbraw/zinc/28/19/80/373281980.db2.gz WNMJLDXMRZIMRA-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN CCCNC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000050536402 373379254 /nfs/dbraw/zinc/37/92/54/373379254.db2.gz QTPFVKXKPFWVFI-UHFFFAOYSA-N 0 0 291.332 2.858 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000487756794 245781609 /nfs/dbraw/zinc/78/16/09/245781609.db2.gz ANUZGOPTLVOCTC-ZBINZKHDSA-N 0 0 299.330 2.544 20 5 CFBDRN COC(=O)C[C@@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000050085086 373350568 /nfs/dbraw/zinc/35/05/68/373350568.db2.gz RAKUBGBKWFQKNT-NSHDSACASA-N 0 0 296.298 2.656 20 5 CFBDRN CCC[C@@H](CC)Nc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000050164946 373359649 /nfs/dbraw/zinc/35/96/49/373359649.db2.gz ZVGWPLMPZDLKSX-SECBINFHSA-N 0 0 290.323 2.822 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCOCC2)c(F)c1 ZINC000051924390 373420985 /nfs/dbraw/zinc/42/09/85/373420985.db2.gz WFKIETJFDFVKQN-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN Cc1cc(N[C@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000052007416 373428734 /nfs/dbraw/zinc/42/87/34/373428734.db2.gz KZKODCRNOSGLLT-WCQYABFASA-N 0 0 250.298 2.619 20 5 CFBDRN COC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000051551812 373396470 /nfs/dbraw/zinc/39/64/70/373396470.db2.gz NUOAIVLHXLRBAZ-UHFFFAOYSA-N 0 0 264.262 2.505 20 5 CFBDRN Cc1c(NCC2CCOCC2)cccc1[N+](=O)[O-] ZINC000052177651 373440134 /nfs/dbraw/zinc/44/01/34/373440134.db2.gz JEFYVNPHLFDXTB-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])cn2)c(OC)c1 ZINC000052473893 373445471 /nfs/dbraw/zinc/44/54/71/373445471.db2.gz MXJMKSHGIYQGKQ-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CCNC(=O)Nc1cc(OCC)c(OCC)cc1[N+](=O)[O-] ZINC000053211347 373472572 /nfs/dbraw/zinc/47/25/72/373472572.db2.gz HECSSOPDEMTWOM-UHFFFAOYSA-N 0 0 297.311 2.534 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CCCC1 ZINC000053331625 373474787 /nfs/dbraw/zinc/47/47/87/373474787.db2.gz GDNNLWVHYCWCHU-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000054125718 373499489 /nfs/dbraw/zinc/49/94/89/373499489.db2.gz DSYAQPBDWBFXNS-NXEZZACHSA-N 0 0 280.299 2.852 20 5 CFBDRN Cc1cccc(NCc2ccc(C(N)=O)cc2[N+](=O)[O-])c1 ZINC000053780638 373487375 /nfs/dbraw/zinc/48/73/75/373487375.db2.gz AJXYNWSNKHGVEJ-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN O=C(CCCn1cnc([N+](=O)[O-])c1)c1ccc(F)cc1 ZINC000055468519 373554026 /nfs/dbraw/zinc/55/40/26/373554026.db2.gz WIARGUYFSIVXET-UHFFFAOYSA-N 0 0 277.255 2.594 20 5 CFBDRN CCCCN(CC)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000061365475 373715960 /nfs/dbraw/zinc/71/59/60/373715960.db2.gz ZWSJYTKACGMAOX-UHFFFAOYSA-N 0 0 280.324 2.622 20 5 CFBDRN COc1ccc(CNc2ccc(C)cc2[N+](=O)[O-])cn1 ZINC000062055158 373745250 /nfs/dbraw/zinc/74/52/50/373745250.db2.gz BJXNRIHUQOYDSD-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000062157936 373752662 /nfs/dbraw/zinc/75/26/62/373752662.db2.gz ZAKOMWYMJKKCJT-SECBINFHSA-N 0 0 260.293 2.530 20 5 CFBDRN CCCc1cc(Oc2ccccc2[N+](=O)[O-])n2ncnc2n1 ZINC000064904433 373839052 /nfs/dbraw/zinc/83/90/52/373839052.db2.gz MEAAIELDGSJDOA-UHFFFAOYSA-N 0 0 299.290 2.777 20 5 CFBDRN CC1CCC(CNc2ncc([N+](=O)[O-])cn2)CC1 ZINC000063145941 373789058 /nfs/dbraw/zinc/78/90/58/373789058.db2.gz PVFRGXMMLZHCJC-UHFFFAOYSA-N 0 0 250.302 2.623 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H](CO)Cc1ccccc1 ZINC000063218633 373799214 /nfs/dbraw/zinc/79/92/14/373799214.db2.gz TVKKGZWEUGDWIJ-LBPRGKRZSA-N 0 0 290.294 2.749 20 5 CFBDRN CCCc1nc(CSc2ncccc2[N+](=O)[O-])no1 ZINC000065692349 373897422 /nfs/dbraw/zinc/89/74/22/373897422.db2.gz MPPVOBQCPVZMOY-UHFFFAOYSA-N 0 0 280.309 2.618 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000066756187 373949213 /nfs/dbraw/zinc/94/92/13/373949213.db2.gz FSFBVVQZGZZRCU-MRVPVSSYSA-N 0 0 254.311 2.532 20 5 CFBDRN Cc1ncsc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000066564672 373939770 /nfs/dbraw/zinc/93/97/70/373939770.db2.gz NBMSDSUGBZIXFH-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN CN(CCOc1ccccc1)c1ncccc1[N+](=O)[O-] ZINC000067949000 374018386 /nfs/dbraw/zinc/01/83/86/374018386.db2.gz YUCKQZSJYGZROB-UHFFFAOYSA-N 0 0 273.292 2.505 20 5 CFBDRN C[C@H]1CCCCN1C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000067015892 373967344 /nfs/dbraw/zinc/96/73/44/373967344.db2.gz PPWDLHRJLKMRJU-JTQLQIEISA-N 0 0 296.298 2.514 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)cc1F ZINC000067070553 373968831 /nfs/dbraw/zinc/96/88/31/373968831.db2.gz TXADEMVVAPGUSM-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCc2ccccn2)s1 ZINC000336831050 220245223 /nfs/dbraw/zinc/24/52/23/220245223.db2.gz CLFRBSCPGKYZGR-UHFFFAOYSA-N 0 0 287.304 2.607 20 5 CFBDRN CO[C@H](C)CCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000336831697 220245323 /nfs/dbraw/zinc/24/53/23/220245323.db2.gz KCFZHDLUYHHUDC-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CC[C@H](CSC)Nc1c([N+](=O)[O-])nc(C)n1CC ZINC000289537446 197394388 /nfs/dbraw/zinc/39/43/88/197394388.db2.gz FEUWRMMOWOLVBX-SECBINFHSA-N 0 0 272.374 2.673 20 5 CFBDRN CC[C@@H](O)CCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000338288375 220250979 /nfs/dbraw/zinc/25/09/79/220250979.db2.gz RTLJUIRMZLHFGD-LLVKDONJSA-N 0 0 252.314 2.866 20 5 CFBDRN CN(CC1(O)CCCCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000490003185 245991376 /nfs/dbraw/zinc/99/13/76/245991376.db2.gz NAJZMBPJXRRBPO-UHFFFAOYSA-N 0 0 279.340 2.511 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C1CCOCC1 ZINC000069743903 374093530 /nfs/dbraw/zinc/09/35/30/374093530.db2.gz AJYPRJGNRIOWAE-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C)ncn1 ZINC000571463327 383697449 /nfs/dbraw/zinc/69/74/49/383697449.db2.gz WEZVEFPLMLBJNE-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000070360406 374170265 /nfs/dbraw/zinc/17/02/65/374170265.db2.gz MTBHTOAYQHQKQE-CMPLNLGQSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccnc(NCCOc2ccccc2)c1[N+](=O)[O-] ZINC000070496179 374188599 /nfs/dbraw/zinc/18/85/99/374188599.db2.gz SHBUQMHEIXSVRO-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN CC(C)(C)OCCNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491319012 246059768 /nfs/dbraw/zinc/05/97/68/246059768.db2.gz HQXCMAXPHKZGSB-HJWRWDBZSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)CC[C@H]2C)c1 ZINC000072543188 374262642 /nfs/dbraw/zinc/26/26/42/374262642.db2.gz DJCDDSHRNNOYIL-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000491211205 246049882 /nfs/dbraw/zinc/04/98/82/246049882.db2.gz BGXRDCHBEXGWDA-FYJFLYSWSA-N 0 0 297.314 2.880 20 5 CFBDRN CN(Cc1ccoc1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491236757 246051697 /nfs/dbraw/zinc/05/16/97/246051697.db2.gz LYAHPRSMLVUGTJ-DAXSKMNVSA-N 0 0 286.287 2.860 20 5 CFBDRN CN(Cc1ccoc1)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491223189 246051956 /nfs/dbraw/zinc/05/19/56/246051956.db2.gz FFKDSVDJJHUITL-WAYWQWQTSA-N 0 0 286.287 2.860 20 5 CFBDRN C[C@H]1CC[C@H](C)N(CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000072897489 374299818 /nfs/dbraw/zinc/29/98/18/374299818.db2.gz LUVPBRJZQUJQQK-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1noc(C)c1[C@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000072946746 374304741 /nfs/dbraw/zinc/30/47/41/374304741.db2.gz PFDNHGCGBZQAIC-ZETCQYMHSA-N 0 0 262.269 2.768 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000072946743 374305686 /nfs/dbraw/zinc/30/56/86/374305686.db2.gz MMRVAEAHPUIOMT-SSDOTTSWSA-N 0 0 262.269 2.768 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000491561304 246079287 /nfs/dbraw/zinc/07/92/87/246079287.db2.gz JJJCZYGHEXLHCV-PWWFUAJNSA-N 0 0 297.314 2.880 20 5 CFBDRN CCc1cnccc1NC(=O)C=Cc1cccc([N+](=O)[O-])c1 ZINC000491844966 246097318 /nfs/dbraw/zinc/09/73/18/246097318.db2.gz KTAYHRVHVDXIDJ-VOTSOKGWSA-N 0 0 297.314 2.626 20 5 CFBDRN COc1ccccc1CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000073000199 374314879 /nfs/dbraw/zinc/31/48/79/374314879.db2.gz YSBPQOKCHOFTIA-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CN(C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000491914497 246121521 /nfs/dbraw/zinc/12/15/21/246121521.db2.gz LKWHKWMELMARND-VURMDHGXSA-N 0 0 278.283 2.758 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC12CCC2 ZINC000491922809 246123264 /nfs/dbraw/zinc/12/32/64/246123264.db2.gz AGDFGBHIMUBGRB-DAXSKMNVSA-N 0 0 272.304 2.763 20 5 CFBDRN CC1(C)CCN1C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491923150 246123389 /nfs/dbraw/zinc/12/33/89/246123389.db2.gz CHFVFJBQJGIJQY-XQRVVYSFSA-N 0 0 278.283 2.758 20 5 CFBDRN O=C(N[C@H]1CC12CCCC2)c1ccccc1[N+](=O)[O-] ZINC000334140738 206052772 /nfs/dbraw/zinc/05/27/72/206052772.db2.gz HYHYBIYQGNVTSF-LBPRGKRZSA-N 0 0 260.293 2.657 20 5 CFBDRN CCOc1cc(CS[C@@H](C)CO)ccc1[N+](=O)[O-] ZINC000491961767 246136756 /nfs/dbraw/zinc/13/67/56/246136756.db2.gz VQPVGGIDUYLTRF-VIFPVBQESA-N 0 0 271.338 2.608 20 5 CFBDRN C/C=C/C=C/C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000492004747 246154710 /nfs/dbraw/zinc/15/47/10/246154710.db2.gz SYYHBPRLTGXEGW-MQQKCMAXSA-N 0 0 250.229 2.805 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492092656 246184703 /nfs/dbraw/zinc/18/47/03/246184703.db2.gz YPXLOSSVEDRHLA-TWGQIWQCSA-N 0 0 285.303 2.595 20 5 CFBDRN Cc1cc(=O)[nH]cc1NC(=O)C=Cc1ccccc1[N+](=O)[O-] ZINC000492093892 246184902 /nfs/dbraw/zinc/18/49/02/246184902.db2.gz XKFCCYHEKZVMFA-SREVYHEPSA-N 0 0 299.286 2.656 20 5 CFBDRN Cc1cc(=O)[nH]cc1NC(=O)C=Cc1ccc([N+](=O)[O-])cc1 ZINC000492071927 246176625 /nfs/dbraw/zinc/17/66/25/246176625.db2.gz QVCIVZKJYXMLRV-DAXSKMNVSA-N 0 0 299.286 2.656 20 5 CFBDRN Cc1cnc(NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)o1 ZINC000492086921 246180520 /nfs/dbraw/zinc/18/05/20/246180520.db2.gz QJSUVNWPQVPKSO-AATRIKPKSA-N 0 0 273.248 2.543 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1C=CCCC1 ZINC000492160975 246206985 /nfs/dbraw/zinc/20/69/85/246206985.db2.gz HFOBNZHEDDPSSP-RUNBWSAHSA-N 0 0 272.304 2.833 20 5 CFBDRN C[C@H]1C[C@H]1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000075524222 374488722 /nfs/dbraw/zinc/48/87/22/374488722.db2.gz RXMCJULFXZYMJX-OIBJUYFYSA-N 0 0 276.317 2.948 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC1(C2CC2)CC1 ZINC000492142990 246202636 /nfs/dbraw/zinc/20/26/36/246202636.db2.gz FUUBSMKZTGOZLD-QPJJXVBHSA-N 0 0 272.304 2.667 20 5 CFBDRN Cc1cnc(CNC(C)(C)c2ccccc2[N+](=O)[O-])cn1 ZINC000492192983 246216886 /nfs/dbraw/zinc/21/68/86/246216886.db2.gz LHDUVUWWHRFSQF-UHFFFAOYSA-N 0 0 286.335 2.718 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CC[C@H](C2CC2)C1 ZINC000492296968 246249474 /nfs/dbraw/zinc/24/94/74/246249474.db2.gz HECRKMZCPXIUNT-DANTVBBOSA-N 0 0 286.331 2.867 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000492318187 246255938 /nfs/dbraw/zinc/25/59/38/246255938.db2.gz LDWBUNQRFSDBFH-PKXJPQMGSA-N 0 0 296.273 2.708 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000492345232 246264044 /nfs/dbraw/zinc/26/40/44/246264044.db2.gz QYHRLHCHTQJZLC-LHRNQBEKSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccc(/C=C\C(=O)Nc2ccc([N+](=O)[O-])cc2)nc1 ZINC000492415757 246286689 /nfs/dbraw/zinc/28/66/89/246286689.db2.gz LNFKDYBVPKPJIU-TWGQIWQCSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CC[C@H](C)C[C@H]2C)c1[N+](=O)[O-] ZINC000250986951 189968397 /nfs/dbraw/zinc/96/83/97/189968397.db2.gz HKRHOKJDLRGWFT-IQJOONFLSA-N 0 0 266.345 2.873 20 5 CFBDRN Cc1cccnc1/C=C\C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000492626077 246347119 /nfs/dbraw/zinc/34/71/19/246347119.db2.gz RDNSWZRYLCMHMQ-FPLPWBNLSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1ccnc(NCC2(O)CCCCCC2)c1[N+](=O)[O-] ZINC000079618133 374622454 /nfs/dbraw/zinc/62/24/54/374622454.db2.gz NJJLWTSAQSRARZ-UHFFFAOYSA-N 0 0 279.340 2.795 20 5 CFBDRN Cc1ccc(NCC(C)(C)CCO)c([N+](=O)[O-])c1 ZINC000080653260 374667472 /nfs/dbraw/zinc/66/74/72/374667472.db2.gz LLMZIFMKLJDAOT-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN COc1ccc(CNc2cccnc2[N+](=O)[O-])cc1 ZINC000083431493 374744292 /nfs/dbraw/zinc/74/42/92/374744292.db2.gz GUIYRLQQXVAWAE-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC[C@H]1CC12CC2 ZINC000493215515 246524077 /nfs/dbraw/zinc/52/40/77/246524077.db2.gz CQCPZIIGJABNPG-GCLPIYDQSA-N 0 0 272.304 2.524 20 5 CFBDRN Cc1nc(CN2CCc3cc([N+](=O)[O-])ccc32)oc1C ZINC000084490833 374777670 /nfs/dbraw/zinc/77/76/70/374777670.db2.gz ORNBKGAECJFCHF-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN C[C@@H](CCCC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000493366117 246568801 /nfs/dbraw/zinc/56/88/01/246568801.db2.gz RRQJFQKMAPRBDA-ZETCQYMHSA-N 0 0 293.245 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@H]2O)c2cccnc21 ZINC000089167726 374882452 /nfs/dbraw/zinc/88/24/52/374882452.db2.gz PFFSMXHOYNNSDQ-QMTHXVAHSA-N 0 0 287.319 2.716 20 5 CFBDRN CCO/C=C/C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000493288515 246544433 /nfs/dbraw/zinc/54/44/33/246544433.db2.gz NRLLVFHGGFEURV-MDZDMXLPSA-N 0 0 290.319 2.636 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000089304128 374896520 /nfs/dbraw/zinc/89/65/20/374896520.db2.gz UMXYBCFLWVZKEE-GFCCVEGCSA-N 0 0 274.320 2.610 20 5 CFBDRN Cc1ccc(N(C)C(=O)/C=C/c2cccc([N+](=O)[O-])c2)nc1 ZINC000493297857 246548933 /nfs/dbraw/zinc/54/89/33/246548933.db2.gz TWVCQIUYJJVSQA-VQHVLOKHSA-N 0 0 297.314 2.974 20 5 CFBDRN COC(=O)CCSCCNc1cc(C)ccc1[N+](=O)[O-] ZINC000088723181 374861051 /nfs/dbraw/zinc/86/10/51/374861051.db2.gz DQLRTLXZXWIBQO-UHFFFAOYSA-N 0 0 298.364 2.611 20 5 CFBDRN CCC(=CC(=O)NCCc1cccc([N+](=O)[O-])c1)CC ZINC000089835889 374959599 /nfs/dbraw/zinc/95/95/99/374959599.db2.gz DSEKZUJCXFFHNU-UHFFFAOYSA-N 0 0 276.336 3.000 20 5 CFBDRN CCC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)CCO1 ZINC000089472752 374913265 /nfs/dbraw/zinc/91/32/65/374913265.db2.gz ZDFHMVJHTSWZTH-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(/C=C\C1CCCC1)NCc1ccccc1[N+](=O)[O-] ZINC000493631101 246649164 /nfs/dbraw/zinc/64/91/64/246649164.db2.gz TXWGYWHAFKYQCG-KTKRTIGZSA-N 0 0 274.320 2.957 20 5 CFBDRN CC1=CCCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000493800701 246707843 /nfs/dbraw/zinc/70/78/43/246707843.db2.gz BYFOQDFSFYDIPA-BQYQJAHWSA-N 0 0 272.304 2.787 20 5 CFBDRN Cc1cc(NCc2cccc3c2OCO3)ncc1[N+](=O)[O-] ZINC000092026880 375082997 /nfs/dbraw/zinc/08/29/97/375082997.db2.gz URNZAQJWLNPWGI-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN Cc1c(CNc2ccc([N+](=O)[O-])c(C)c2)cnn1C ZINC000093650191 375288062 /nfs/dbraw/zinc/28/80/62/375288062.db2.gz QTPJLWGVFREVDX-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1cscc1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000094883272 375388478 /nfs/dbraw/zinc/38/84/78/375388478.db2.gz VSDDVIJFEHZOKU-UHFFFAOYSA-N 0 0 266.326 2.619 20 5 CFBDRN COCC[C@@H](C)NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000094083346 375339453 /nfs/dbraw/zinc/33/94/53/375339453.db2.gz DLXRRDGCRFDNLS-LLVKDONJSA-N 0 0 294.351 2.675 20 5 CFBDRN CCc1nn(C)c(NCCc2ccccc2C)c1[N+](=O)[O-] ZINC000094185728 375345018 /nfs/dbraw/zinc/34/50/18/375345018.db2.gz XOGVTLVGCPAFMA-UHFFFAOYSA-N 0 0 288.351 2.854 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2ccc(O)cc2)c1 ZINC000094431050 375360978 /nfs/dbraw/zinc/36/09/78/375360978.db2.gz FHBMTCFXXXPGPR-UHFFFAOYSA-N 0 0 288.303 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccnc(C)n2)c1 ZINC000094659444 375372504 /nfs/dbraw/zinc/37/25/04/375372504.db2.gz PPLGGXCYHRXPSZ-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CCCN(CC(=O)OCC)c1cc(C)ccc1[N+](=O)[O-] ZINC000094663520 375373585 /nfs/dbraw/zinc/37/35/85/375373585.db2.gz LOCHTWSGUUTMAI-UHFFFAOYSA-N 0 0 280.324 2.683 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC(C)(C)C ZINC000098068306 375598870 /nfs/dbraw/zinc/59/88/70/375598870.db2.gz ZBDSCUDVGKFHFC-UHFFFAOYSA-N 0 0 251.286 2.823 20 5 CFBDRN C[C@@H]1CC[N@H+](CCOc2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000501791719 247107342 /nfs/dbraw/zinc/10/73/42/247107342.db2.gz BPKJAHWXCMDABL-OLZOCXBDSA-N 0 0 278.352 2.952 20 5 CFBDRN COC(=O)c1cc(OCC[C@H](C)F)ccc1[N+](=O)[O-] ZINC000505514445 247141755 /nfs/dbraw/zinc/14/17/55/247141755.db2.gz RFSKDSWGMDNDKH-QMMMGPOBSA-N 0 0 271.244 2.508 20 5 CFBDRN CC(C)[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000505662319 247146239 /nfs/dbraw/zinc/14/62/39/247146239.db2.gz OWOURJJVVCPPTB-QWRGUYRKSA-N 0 0 279.340 2.868 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCC[C@H](C)F)c1 ZINC000505659929 247147585 /nfs/dbraw/zinc/14/75/85/247147585.db2.gz KPBJDPULRZQUSR-QMMMGPOBSA-N 0 0 271.244 2.508 20 5 CFBDRN CCCN(CCC)C(=O)COc1ccc(F)cc1[N+](=O)[O-] ZINC000102599808 375682199 /nfs/dbraw/zinc/68/21/99/375682199.db2.gz XQIOQEWYVNXFOD-UHFFFAOYSA-N 0 0 298.314 2.761 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](CO)CC1CCCC1 ZINC000509530435 247189416 /nfs/dbraw/zinc/18/94/16/247189416.db2.gz ZLLCNPQRRCDJHJ-GFCCVEGCSA-N 0 0 264.325 2.948 20 5 CFBDRN CCc1ccc(CCn2cc(C)c([N+](=O)[O-])n2)cc1 ZINC000509806246 247192227 /nfs/dbraw/zinc/19/22/27/247192227.db2.gz WBMLAEKRMJNWTR-UHFFFAOYSA-N 0 0 259.309 2.905 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1C1CCC1 ZINC000102874581 375697632 /nfs/dbraw/zinc/69/76/32/375697632.db2.gz USRYLXWWAAHCFB-CQSZACIVSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(F)cn1 ZINC000103013849 375708704 /nfs/dbraw/zinc/70/87/04/375708704.db2.gz XEPCSYIGUOURMN-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CN(CC(F)F)C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000103399471 375742707 /nfs/dbraw/zinc/74/27/07/375742707.db2.gz OAQHTNQXXUJSES-UHFFFAOYSA-N 0 0 278.642 2.585 20 5 CFBDRN COc1cc(NCc2cccnc2)ccc1[N+](=O)[O-] ZINC000104243079 375776322 /nfs/dbraw/zinc/77/63/22/375776322.db2.gz MMUBSQWADYLJIN-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@@H]1CCCc2ccccc21 ZINC000108954205 375819869 /nfs/dbraw/zinc/81/98/69/375819869.db2.gz XTQAILZSLLEHDG-ZDUSSCGKSA-N 0 0 284.315 2.877 20 5 CFBDRN CC[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@@H](C)O1 ZINC000111422097 375846310 /nfs/dbraw/zinc/84/63/10/375846310.db2.gz VARTYNBQZLGJLF-MFKMUULPSA-N 0 0 293.323 2.626 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000112079480 375883021 /nfs/dbraw/zinc/88/30/21/375883021.db2.gz PUOLQMKHEZQJEO-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccccc1CCNc1ccncc1[N+](=O)[O-] ZINC000112335714 375904325 /nfs/dbraw/zinc/90/43/25/375904325.db2.gz XZLVGYOHCIFOMK-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN CCC(C)(CC)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000112827422 375969071 /nfs/dbraw/zinc/96/90/71/375969071.db2.gz VTXWUJCJGQHCEJ-UHFFFAOYSA-N 0 0 295.295 2.812 20 5 CFBDRN CCCCc1nc(Cc2cc(OC)ccc2[N+](=O)[O-])no1 ZINC000277999397 193813742 /nfs/dbraw/zinc/81/37/42/193813742.db2.gz WDHPUKAGBJRVED-UHFFFAOYSA-N 0 0 291.307 2.920 20 5 CFBDRN CCO[C@@H](C)c1noc(COc2ccccc2[N+](=O)[O-])n1 ZINC000115952256 376155123 /nfs/dbraw/zinc/15/51/23/376155123.db2.gz XKEWWCGGUPRLQA-VIFPVBQESA-N 0 0 293.279 2.654 20 5 CFBDRN Cc1csc(C(C)(C)NC(=O)c2ccc([N+](=O)[O-])o2)n1 ZINC000116149226 376166983 /nfs/dbraw/zinc/16/69/83/376166983.db2.gz DRQYBGKLBQYCSL-UHFFFAOYSA-N 0 0 295.320 2.618 20 5 CFBDRN CS[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000117220073 376229927 /nfs/dbraw/zinc/22/99/27/376229927.db2.gz IXFWZIQUDIKOBZ-AAEUAGOBSA-N 0 0 294.376 2.999 20 5 CFBDRN CCn1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cn1 ZINC000118996603 376335205 /nfs/dbraw/zinc/33/52/05/376335205.db2.gz VVAZNGLQFRJSME-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000118295508 376285999 /nfs/dbraw/zinc/28/59/99/376285999.db2.gz JNIYZTGEDIKUHS-NXEZZACHSA-N 0 0 280.349 2.561 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@H]1CCCOC1 ZINC000118296583 376286008 /nfs/dbraw/zinc/28/60/08/376286008.db2.gz QREQJDFYQGFBKR-VIFPVBQESA-N 0 0 299.710 2.889 20 5 CFBDRN CC(C)CSCCCn1ccc(=O)c([N+](=O)[O-])c1 ZINC000119588992 376440425 /nfs/dbraw/zinc/44/04/25/376440425.db2.gz DFJFGGPEQCOYRO-UHFFFAOYSA-N 0 0 270.354 2.536 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000263655224 190072515 /nfs/dbraw/zinc/07/25/15/190072515.db2.gz DBQQZIPWTVMVQY-GHMZBOCLSA-N 0 0 277.324 2.707 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000263760161 190076897 /nfs/dbraw/zinc/07/68/97/190076897.db2.gz KBQXNBSRCJOMJG-UHFFFAOYSA-N 0 0 256.327 2.774 20 5 CFBDRN CCCCO[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000263757709 190077130 /nfs/dbraw/zinc/07/71/30/190077130.db2.gz JORLSBCEAPPFMA-SECBINFHSA-N 0 0 284.287 2.878 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C(F)(F)F ZINC000264115716 190092052 /nfs/dbraw/zinc/09/20/52/190092052.db2.gz BWCGKKAXUSKKJT-UHFFFAOYSA-N 0 0 290.241 2.894 20 5 CFBDRN Cc1cc(C)c(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)[nH]1 ZINC000120236533 376574934 /nfs/dbraw/zinc/57/49/34/376574934.db2.gz MRKQLEVNSIBREQ-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@@H](C)C1 ZINC000120247807 376577252 /nfs/dbraw/zinc/57/72/52/376577252.db2.gz HOEAUBGLZYBVTM-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000264352893 190105073 /nfs/dbraw/zinc/10/50/73/190105073.db2.gz ZLDSJLUANBDBEN-JOYOIKCWSA-N 0 0 263.297 2.905 20 5 CFBDRN COc1cc(N(C)CCC2CC2)ccc1[N+](=O)[O-] ZINC000120284332 376585416 /nfs/dbraw/zinc/58/54/16/376585416.db2.gz IMGYZANNXGBOFA-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN O=C(Nc1ccccn1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000120308761 376590570 /nfs/dbraw/zinc/59/05/70/376590570.db2.gz NRXZLPDVJPTFEL-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1noc(C)c1CCNc1cccc(F)c1[N+](=O)[O-] ZINC000264546249 190117737 /nfs/dbraw/zinc/11/77/37/190117737.db2.gz QYHBZNJELFBMMN-UHFFFAOYSA-N 0 0 279.271 2.993 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COc1nc(C(C)C)no1 ZINC000264551109 190118032 /nfs/dbraw/zinc/11/80/32/190118032.db2.gz ULQDQVISSMJEBZ-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN Cc1cc(-c2nc(C[C@H]3CCCO3)no2)ccc1[N+](=O)[O-] ZINC000264574609 190119649 /nfs/dbraw/zinc/11/96/49/190119649.db2.gz DTXZMPAYIFXBGF-LLVKDONJSA-N 0 0 289.291 2.675 20 5 CFBDRN O=C(Nc1cc(Cl)ccc1O)c1ccc([N+](=O)[O-])o1 ZINC000120959208 376730842 /nfs/dbraw/zinc/73/08/42/376730842.db2.gz SFESHBZWZUNASO-UHFFFAOYSA-N 0 0 282.639 2.799 20 5 CFBDRN Cc1noc(C)c1CCNc1ccccc1[N+](=O)[O-] ZINC000264491936 190113571 /nfs/dbraw/zinc/11/35/71/190113571.db2.gz GVSBTHTZUPPLTN-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN C[C@H](NC(=O)NC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000264489318 190113766 /nfs/dbraw/zinc/11/37/66/190113766.db2.gz ZRJLZUMFGNCESG-VIFPVBQESA-N 0 0 263.297 2.508 20 5 CFBDRN CCN(C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000120887376 376715599 /nfs/dbraw/zinc/71/55/99/376715599.db2.gz VYTADISPEOPEDL-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN CCCCCC(C)(C)CNC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000120961518 376732561 /nfs/dbraw/zinc/73/25/61/376732561.db2.gz YWYNDYDGNBEFPX-UHFFFAOYSA-N 0 0 296.371 2.514 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCNc1ccnc(C2CC2)n1 ZINC000264641913 190125206 /nfs/dbraw/zinc/12/52/06/190125206.db2.gz MXPDLWXRODKYNA-UHFFFAOYSA-N 0 0 299.334 2.786 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000121836368 376917661 /nfs/dbraw/zinc/91/76/61/376917661.db2.gz CTYMTLIECGIUQA-GHMZBOCLSA-N 0 0 294.326 2.781 20 5 CFBDRN CC[C@@H](O)CNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000125074981 377115073 /nfs/dbraw/zinc/11/50/73/377115073.db2.gz VNGJFNCNGKKNBT-MRVPVSSYSA-N 0 0 278.230 2.796 20 5 CFBDRN Cc1cccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1F ZINC000125056932 377115515 /nfs/dbraw/zinc/11/55/15/377115515.db2.gz VOJZXXICEUILLH-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000264803149 190135740 /nfs/dbraw/zinc/13/57/40/190135740.db2.gz NLDVQKOELZAYGP-CQSZACIVSA-N 0 0 280.324 2.760 20 5 CFBDRN O=C(NCC1CCSCC1)c1ccc([N+](=O)[O-])s1 ZINC000264876411 190140956 /nfs/dbraw/zinc/14/09/56/190140956.db2.gz BYWQMWBRZPDHLF-UHFFFAOYSA-N 0 0 286.378 2.529 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000129206274 377398041 /nfs/dbraw/zinc/39/80/41/377398041.db2.gz SCHQWNWXSUGMSC-CMPLNLGQSA-N 0 0 291.351 2.945 20 5 CFBDRN CCOCCCNc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000129078129 377382038 /nfs/dbraw/zinc/38/20/38/377382038.db2.gz BYPWMIVXTXBQEL-UHFFFAOYSA-N 0 0 296.323 2.528 20 5 CFBDRN CC(C)c1csc(CNC(=O)c2ccc([N+](=O)[O-])o2)n1 ZINC000129088473 377384051 /nfs/dbraw/zinc/38/40/51/377384051.db2.gz LQDRESHLALYZKP-UHFFFAOYSA-N 0 0 295.320 2.698 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000129473218 377432405 /nfs/dbraw/zinc/43/24/05/377432405.db2.gz RNFGOYXQMLOAHU-WZRBSPASSA-N 0 0 290.319 2.718 20 5 CFBDRN CCC(C)(CC)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000265012228 190151715 /nfs/dbraw/zinc/15/17/15/190151715.db2.gz LJPMAUHPNVILHJ-UHFFFAOYSA-N 0 0 250.298 2.903 20 5 CFBDRN C[C@]1(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CCOC1 ZINC000129234676 377402599 /nfs/dbraw/zinc/40/25/99/377402599.db2.gz JHOPJOKFRGZENU-LBPRGKRZSA-N 0 0 299.714 2.549 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000129258042 377405323 /nfs/dbraw/zinc/40/53/23/377405323.db2.gz WQDWQBIFLYNGOL-GHMZBOCLSA-N 0 0 270.716 2.820 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129748415 377470183 /nfs/dbraw/zinc/47/01/83/377470183.db2.gz TWYSBFFYHWGKHN-ZCFIWIBFSA-N 0 0 290.728 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2([C@H](O)c3ccccc3)CC2)nc1 ZINC000129907089 377488158 /nfs/dbraw/zinc/48/81/58/377488158.db2.gz CGOPWGIGGRCKSQ-OAHLLOKOSA-N 0 0 299.330 2.916 20 5 CFBDRN Cc1nn(C)c(NCc2cc(C)c(O)c(C)c2)c1[N+](=O)[O-] ZINC000265097789 190157923 /nfs/dbraw/zinc/15/79/23/190157923.db2.gz CSCMWUNJOIQFBD-UHFFFAOYSA-N 0 0 290.323 2.571 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000130354763 377542965 /nfs/dbraw/zinc/54/29/65/377542965.db2.gz HYFBWVNANMNDGS-VHSXEESVSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1cccnc1Sc1ccc(CO)cc1 ZINC000130297071 377534987 /nfs/dbraw/zinc/53/49/87/377534987.db2.gz ZKVPZUKURBCRSG-UHFFFAOYSA-N 0 0 262.290 2.633 20 5 CFBDRN C[C@@H](CCNc1ccc([N+](=O)[O-])cc1Cl)[S@](C)=O ZINC000130320045 377536630 /nfs/dbraw/zinc/53/66/30/377536630.db2.gz LZSJFYSLTBACPT-DCXZOGHSSA-N 0 0 290.772 2.817 20 5 CFBDRN COC1CCC(N(C)c2ccccc2[N+](=O)[O-])CC1 ZINC000265207702 190165820 /nfs/dbraw/zinc/16/58/20/190165820.db2.gz LCJSLIIQZDYXAU-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000265419247 190180269 /nfs/dbraw/zinc/18/02/69/190180269.db2.gz PZNQWWNXSSPOAN-UHFFFAOYSA-N 0 0 290.323 2.732 20 5 CFBDRN CC(C)(C)[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000265442230 190182225 /nfs/dbraw/zinc/18/22/25/190182225.db2.gz ZLKBGEBPZZYYDA-LLVKDONJSA-N 0 0 256.277 2.553 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000265449634 190183248 /nfs/dbraw/zinc/18/32/48/190183248.db2.gz NZAXCSMSLSPUJT-MRVPVSSYSA-N 0 0 294.332 2.822 20 5 CFBDRN CSC[C@@H](C)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000265533588 190188594 /nfs/dbraw/zinc/18/85/94/190188594.db2.gz VGYASOAXLZYBED-MRVPVSSYSA-N 0 0 268.338 2.961 20 5 CFBDRN CC[C@H](c1ccncc1)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265682534 190199591 /nfs/dbraw/zinc/19/95/91/190199591.db2.gz MOYKTQXYTVLLRR-GFCCVEGCSA-N 0 0 288.307 2.541 20 5 CFBDRN CCCN(C)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265693163 190200246 /nfs/dbraw/zinc/20/02/46/190200246.db2.gz AQPHMTFJBVCAMA-UHFFFAOYSA-N 0 0 264.325 2.741 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCc2ccccc21 ZINC000334349053 284665906 /nfs/dbraw/zinc/66/59/06/284665906.db2.gz ZRHSNSSGHRCPFY-UHFFFAOYSA-N 0 0 271.276 2.516 20 5 CFBDRN CC(C)OCCCN(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000265811266 190208930 /nfs/dbraw/zinc/20/89/30/190208930.db2.gz UHBDYPSDLQWTHG-UHFFFAOYSA-N 0 0 286.353 2.543 20 5 CFBDRN CC(C)OCCCN(C)Cc1csc([N+](=O)[O-])c1 ZINC000265825283 190210437 /nfs/dbraw/zinc/21/04/37/190210437.db2.gz BOKPWJLKHHGRIK-UHFFFAOYSA-N 0 0 272.370 2.903 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSC2CCOCC2)cc1 ZINC000131404662 377707725 /nfs/dbraw/zinc/70/77/25/377707725.db2.gz LGOIHDFWSPDCBC-UHFFFAOYSA-N 0 0 283.349 2.886 20 5 CFBDRN CC[C@@H](C)OCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000131919387 377778114 /nfs/dbraw/zinc/77/81/14/377778114.db2.gz CTXOWXQRIMRRCL-LLVKDONJSA-N 0 0 280.324 2.965 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000131965919 377785849 /nfs/dbraw/zinc/78/58/49/377785849.db2.gz OPCBMXWRONQPEG-GHMZBOCLSA-N 0 0 262.309 2.713 20 5 CFBDRN C[C@H]1CCN(C(=O)CNc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000131731923 377754319 /nfs/dbraw/zinc/75/43/19/377754319.db2.gz KGQFZLYNDIKAGZ-NWDGAFQWSA-N 0 0 291.351 2.511 20 5 CFBDRN O=C(CC[C@H]1CCCO1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132453206 377841284 /nfs/dbraw/zinc/84/12/84/377841284.db2.gz QWFYJXFRJDSWOM-LLVKDONJSA-N 0 0 283.255 2.599 20 5 CFBDRN CCCCCNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000132639970 377861929 /nfs/dbraw/zinc/86/19/29/377861929.db2.gz IULMYNWAUGPPPY-UHFFFAOYSA-N 0 0 264.325 2.834 20 5 CFBDRN Cn1c(CNc2ccc([N+](=O)[O-])cc2)nnc1C1CCC1 ZINC000132849772 377882753 /nfs/dbraw/zinc/88/27/53/377882753.db2.gz CSDJDOSSHRRUKM-UHFFFAOYSA-N 0 0 287.323 2.603 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)[C@H]2CCO[C@@H]21 ZINC000265943307 190218253 /nfs/dbraw/zinc/21/82/53/190218253.db2.gz GFTRYOCITBSVMC-IEBDPFPHSA-N 0 0 297.742 2.869 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)[C@H]2CCO[C@H]21 ZINC000265943305 190218271 /nfs/dbraw/zinc/21/82/71/190218271.db2.gz GFTRYOCITBSVMC-FBIMIBRVSA-N 0 0 297.742 2.869 20 5 CFBDRN Cc1nc(N[C@H](C)c2ccccn2)ccc1[N+](=O)[O-] ZINC000132542020 377852025 /nfs/dbraw/zinc/85/20/25/377852025.db2.gz CTCXMVCKYHMUMB-SECBINFHSA-N 0 0 258.281 2.866 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132598596 377856354 /nfs/dbraw/zinc/85/63/54/377856354.db2.gz VLULMIQVOKGZIX-SECBINFHSA-N 0 0 265.240 2.996 20 5 CFBDRN CC1(C)C[C@@H]1Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000132632581 377859935 /nfs/dbraw/zinc/85/99/35/377859935.db2.gz FTXJJVOEFMENSB-LBPRGKRZSA-N 0 0 275.308 2.690 20 5 CFBDRN C[C@H]1CC[C@H](Nc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])C1 ZINC000133266899 377917234 /nfs/dbraw/zinc/91/72/34/377917234.db2.gz IZDQEHZJRKGKSC-UWVGGRQHSA-N 0 0 298.364 2.599 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCO[C@@H](c2ccco2)C1 ZINC000133385720 377925275 /nfs/dbraw/zinc/92/52/75/377925275.db2.gz FKZHTHSGJBGOMS-CQSZACIVSA-N 0 0 274.276 2.766 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCO[C@H](c2ccco2)C1 ZINC000133442327 377930380 /nfs/dbraw/zinc/93/03/80/377930380.db2.gz BSGQDCKKQUFLKK-ZDUSSCGKSA-N 0 0 292.266 2.905 20 5 CFBDRN CC[C@@H](C)C(=O)COc1ccc(OC)cc1[N+](=O)[O-] ZINC000133103869 377903308 /nfs/dbraw/zinc/90/33/08/377903308.db2.gz KPDIBSHTOFJJHF-SECBINFHSA-N 0 0 267.281 2.597 20 5 CFBDRN Cc1cnc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)s1 ZINC000133096906 377903912 /nfs/dbraw/zinc/90/39/12/377903912.db2.gz JCWYGJNVGYUVIF-UHFFFAOYSA-N 0 0 295.295 2.680 20 5 CFBDRN CCC(=O)COc1c(Br)cccc1[N+](=O)[O-] ZINC000133753484 377954323 /nfs/dbraw/zinc/95/43/23/377954323.db2.gz NMEOPMRRFMEIBW-UHFFFAOYSA-N 0 0 288.097 2.715 20 5 CFBDRN CC[C@@H](C)C(=O)COc1ccc([N+](=O)[O-])cc1OC ZINC000133132537 377906791 /nfs/dbraw/zinc/90/67/91/377906791.db2.gz DRYUJPBRZDCQNH-SECBINFHSA-N 0 0 267.281 2.597 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCC(=O)C[C@H]2C)cc1[N+](=O)[O-] ZINC000134368118 378006319 /nfs/dbraw/zinc/00/63/19/378006319.db2.gz YIQZFSBRPJKNRR-ZWNOBZJWSA-N 0 0 290.319 2.847 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000134368042 378006373 /nfs/dbraw/zinc/00/63/73/378006373.db2.gz CETNHCGQMFWRPK-TVQRCGJNSA-N 0 0 276.292 2.539 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000134368610 378006428 /nfs/dbraw/zinc/00/64/28/378006428.db2.gz QYOOEJVJAMKBRV-RNCFNFMXSA-N 0 0 276.292 2.539 20 5 CFBDRN CC[C@@H](CCO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000134439971 378014495 /nfs/dbraw/zinc/01/44/95/378014495.db2.gz FVDHHUNGSDYPOY-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])C(C)(C)C ZINC000133961930 377970926 /nfs/dbraw/zinc/97/09/26/377970926.db2.gz XFEFLSTXEALBAR-GFCCVEGCSA-N 0 0 298.314 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@@H]2CCCO2)cc1F ZINC000134151798 377988188 /nfs/dbraw/zinc/98/81/88/377988188.db2.gz XCABXUQWQGABOE-VIFPVBQESA-N 0 0 255.245 2.682 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000134222150 377994010 /nfs/dbraw/zinc/99/40/10/377994010.db2.gz GYCWQADJKFMJJL-WCBMZHEXSA-N 0 0 275.308 2.547 20 5 CFBDRN Cc1ccc(OCCCCCCO)cc1[N+](=O)[O-] ZINC000134619536 378038381 /nfs/dbraw/zinc/03/83/81/378038381.db2.gz HHCDEWCHYDJFPP-UHFFFAOYSA-N 0 0 253.298 2.835 20 5 CFBDRN Cc1ccnc(NCC2CCSCC2)c1[N+](=O)[O-] ZINC000134680306 378042647 /nfs/dbraw/zinc/04/26/47/378042647.db2.gz XMVITEWMIUKXMD-UHFFFAOYSA-N 0 0 267.354 2.853 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000266019223 190223364 /nfs/dbraw/zinc/22/33/64/190223364.db2.gz AEETXAMRRNLUSI-GXSJLCMTSA-N 0 0 298.364 2.652 20 5 CFBDRN CCOc1cccc(NC[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000134907724 378062654 /nfs/dbraw/zinc/06/26/54/378062654.db2.gz MPAIHTYCTFLVAR-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN COc1cccc(NCCOCC(F)(F)F)c1[N+](=O)[O-] ZINC000134919695 378064269 /nfs/dbraw/zinc/06/42/69/378064269.db2.gz XPCQLFBRFPCCRO-UHFFFAOYSA-N 0 0 294.229 2.594 20 5 CFBDRN CC[C@]1(C)CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CCO1 ZINC000135337349 378120855 /nfs/dbraw/zinc/12/08/55/378120855.db2.gz NGPRLMNTIQYCEW-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1noc(C)c1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000135347487 378122072 /nfs/dbraw/zinc/12/20/72/378122072.db2.gz CWAXNEGRDKOWEY-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CCC1(NC(=O)c2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000135589343 378146998 /nfs/dbraw/zinc/14/69/98/378146998.db2.gz GIIMEHRVXNFFFX-UHFFFAOYSA-N 0 0 266.272 2.796 20 5 CFBDRN CCCC[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OC ZINC000135171581 378094862 /nfs/dbraw/zinc/09/48/62/378094862.db2.gz KTGYOPXNLKYGSS-BXUZGUMPSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@H](C(=O)Nc1ccccc1[N+](=O)[O-])[N@H+](C)CCC1CC1 ZINC000135254338 378105623 /nfs/dbraw/zinc/10/56/23/378105623.db2.gz UERPCEJOHDGUTB-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN COC(C)(C)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000135262349 378106810 /nfs/dbraw/zinc/10/68/10/378106810.db2.gz HEKONWWBSYFQRT-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(SC2CCOCC2)c1 ZINC000136308513 378194810 /nfs/dbraw/zinc/19/48/10/378194810.db2.gz FIRPPBIKHUXAIM-UHFFFAOYSA-N 0 0 297.332 2.653 20 5 CFBDRN COc1cc(NC2CCSCC2)c([N+](=O)[O-])cc1OC ZINC000136446266 378200132 /nfs/dbraw/zinc/20/01/32/378200132.db2.gz GAXAKTHQBCALLX-UHFFFAOYSA-N 0 0 298.364 2.920 20 5 CFBDRN COc1cc(N[C@@H]2CCCSC2)c([N+](=O)[O-])cc1OC ZINC000136437684 378200795 /nfs/dbraw/zinc/20/07/95/378200795.db2.gz XNMOTQOLQLPANI-SECBINFHSA-N 0 0 298.364 2.920 20 5 CFBDRN O=C(NOC1CCCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000135689781 378158305 /nfs/dbraw/zinc/15/83/05/378158305.db2.gz BBGOKSYGRZPATD-UHFFFAOYSA-N 0 0 284.699 2.852 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000135801647 378163899 /nfs/dbraw/zinc/16/38/99/378163899.db2.gz HYBOXNAGFQHPIC-HTQZYQBOSA-N 0 0 268.700 2.634 20 5 CFBDRN Cc1ccc(N(C)Cc2ccc(N)nc2)c([N+](=O)[O-])c1 ZINC000135985617 378174038 /nfs/dbraw/zinc/17/40/38/378174038.db2.gz ZQTUOAUUDIHALM-UHFFFAOYSA-N 0 0 272.308 2.517 20 5 CFBDRN CCC(C)(C)C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000136150178 378184204 /nfs/dbraw/zinc/18/42/04/378184204.db2.gz DPCUSBVPYOKLTO-UHFFFAOYSA-N 0 0 294.307 2.756 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000150895585 378279343 /nfs/dbraw/zinc/27/93/43/378279343.db2.gz RKHLPHUPRVIGKH-OLZOCXBDSA-N 0 0 293.367 2.938 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1Br)C1CC1 ZINC000150937900 378281211 /nfs/dbraw/zinc/28/12/11/378281211.db2.gz YSGAZNHVRGOQRU-UHFFFAOYSA-N 0 0 299.124 2.592 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)c1ncc[nH]1 ZINC000140683039 378240402 /nfs/dbraw/zinc/24/04/02/378240402.db2.gz ZTJYPZHGYXCLET-LLVKDONJSA-N 0 0 288.307 2.507 20 5 CFBDRN CCC(C)(C)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266082015 190228749 /nfs/dbraw/zinc/22/87/49/190228749.db2.gz ZSIDVPNGPOOMTC-UHFFFAOYSA-N 0 0 264.325 2.784 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1Oc1cccnc1 ZINC000146558165 378250647 /nfs/dbraw/zinc/25/06/47/378250647.db2.gz KZJBXCWQBDFFFX-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN C[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000148464665 378257345 /nfs/dbraw/zinc/25/73/45/378257345.db2.gz IXAYIHWCEMYZLU-XVKPBYJWSA-N 0 0 271.704 2.623 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])CC[N@@H+]1C ZINC000148565031 378257651 /nfs/dbraw/zinc/25/76/51/378257651.db2.gz ADALXPRBADQAAS-WCBMZHEXSA-N 0 0 284.747 2.538 20 5 CFBDRN C[C@@H](NCC(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000151761271 378357163 /nfs/dbraw/zinc/35/71/63/378357163.db2.gz RGMCQNHGKYJKHO-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN CN(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CCC1 ZINC000266099380 190230400 /nfs/dbraw/zinc/23/04/00/190230400.db2.gz FMPLDKTZTYDNHW-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@H]2OCCC[C@@H]2C1 ZINC000152332313 378409399 /nfs/dbraw/zinc/40/93/99/378409399.db2.gz CDIJNNPOTTUAJQ-MFKMUULPSA-N 0 0 280.299 2.739 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000152989488 378465045 /nfs/dbraw/zinc/46/50/45/378465045.db2.gz URRKKLKAXVIMBV-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@@]1(CNc2ncc(Cl)cc2[N+](=O)[O-])CCCC[C@@H]1O ZINC000153898510 378521788 /nfs/dbraw/zinc/52/17/88/378521788.db2.gz RMLJZYDNDFUWFS-AAEUAGOBSA-N 0 0 299.758 2.996 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000153866297 378518476 /nfs/dbraw/zinc/51/84/76/378518476.db2.gz MIKUKLBXTHZWPS-CMPLNLGQSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC1CCC(F)(F)CC1 ZINC000330519877 378577272 /nfs/dbraw/zinc/57/72/72/378577272.db2.gz ZMZVNOBHAVLWDD-UHFFFAOYSA-N 0 0 298.289 2.831 20 5 CFBDRN CSCC[C@H](CO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000154560850 378579943 /nfs/dbraw/zinc/57/99/43/378579943.db2.gz XQBBQWZUEJLGDP-MRVPVSSYSA-N 0 0 290.772 2.774 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000330536494 378580726 /nfs/dbraw/zinc/58/07/26/378580726.db2.gz VRXDBUXWIXHPFH-AWEZNQCLSA-N 0 0 282.315 2.735 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC(F)(F)C1 ZINC000331089311 378630857 /nfs/dbraw/zinc/63/08/57/378630857.db2.gz TXUFFJLAPLNABC-SNVBAGLBSA-N 0 0 284.262 2.646 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000331097502 378632781 /nfs/dbraw/zinc/63/27/81/378632781.db2.gz CXQKXHWGNLTOGS-GXFFZTMASA-N 0 0 294.376 2.976 20 5 CFBDRN C[C@@H]1N(Cc2ccccc2[N+](=O)[O-])CCOC1(C)C ZINC000330598146 378589504 /nfs/dbraw/zinc/58/95/04/378589504.db2.gz AZDADLKSYBKFIO-NSHDSACASA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@@H]1CN(C(=O)COc2ccccc2[N+](=O)[O-])C(C)(C)C1 ZINC000330975269 378606219 /nfs/dbraw/zinc/60/62/19/378606219.db2.gz LNXSLYUZELTMHV-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1C1CCCCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000266151896 190234796 /nfs/dbraw/zinc/23/47/96/190234796.db2.gz KYBVBMCNDIJLJN-PWSUYJOCSA-N 0 0 277.324 2.622 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000331037405 378619195 /nfs/dbraw/zinc/61/91/95/378619195.db2.gz OEGWJNRWSPKVKQ-HZMBPMFUSA-N 0 0 292.335 2.545 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000331037407 378619465 /nfs/dbraw/zinc/61/94/65/378619465.db2.gz OEGWJNRWSPKVKQ-QMTHXVAHSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1ccc2c(c1)CCCN2CCn1cc([N+](=O)[O-])cn1 ZINC000157392483 378654487 /nfs/dbraw/zinc/65/44/87/378654487.db2.gz RFSAFIHFTCPHFX-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000331496487 378697482 /nfs/dbraw/zinc/69/74/82/378697482.db2.gz RTVPBCGIIBRUOD-ZJUUUORDSA-N 0 0 265.313 2.622 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2ncccc2[N+](=O)[O-])C1(C)C ZINC000156780354 378644184 /nfs/dbraw/zinc/64/41/84/378644184.db2.gz SILQHZLQXHFYFH-RYUDHWBXSA-N 0 0 279.340 2.630 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000160074652 378747217 /nfs/dbraw/zinc/74/72/17/378747217.db2.gz JRWPDCQBHRLHCR-LLVKDONJSA-N 0 0 284.699 2.756 20 5 CFBDRN CCS[C@@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000331589093 378712550 /nfs/dbraw/zinc/71/25/50/378712550.db2.gz GJHUNPCQQNSUKU-LLVKDONJSA-N 0 0 297.380 2.671 20 5 CFBDRN CCOC(C)(C)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000159522089 378713487 /nfs/dbraw/zinc/71/34/87/378713487.db2.gz NXZHAPXIGCZLBP-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN Nc1ccc(-c2noc(CC3CCCC3)n2)cc1[N+](=O)[O-] ZINC000332101913 378727037 /nfs/dbraw/zinc/72/70/37/378727037.db2.gz DHYVDUDDQPVFPO-UHFFFAOYSA-N 0 0 288.307 2.960 20 5 CFBDRN O=c1ccn(C[C@H]2CCc3ccccc3C2)cc1[N+](=O)[O-] ZINC000332511732 378771363 /nfs/dbraw/zinc/77/13/63/378771363.db2.gz IDMOBWDILYNCQN-LBPRGKRZSA-N 0 0 284.315 2.562 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCc2cncnc2C1 ZINC000332499100 378771574 /nfs/dbraw/zinc/77/15/74/378771574.db2.gz FVIUYUGELJEXKQ-LLVKDONJSA-N 0 0 284.319 2.504 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CCc3ccccc3C2)c1=O ZINC000332533399 378772771 /nfs/dbraw/zinc/77/27/71/378772771.db2.gz WVEWFTYEZXMHFD-ZDUSSCGKSA-N 0 0 298.342 2.870 20 5 CFBDRN CC(C)C[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000332616672 378780202 /nfs/dbraw/zinc/78/02/02/378780202.db2.gz DBPABHPKSBCBKQ-SNVBAGLBSA-N 0 0 265.313 2.574 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC1 ZINC000160601859 378784519 /nfs/dbraw/zinc/78/45/19/378784519.db2.gz UEMOKYDUNZHSNS-UHFFFAOYSA-N 0 0 280.349 2.943 20 5 CFBDRN CCCC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000160752627 378796270 /nfs/dbraw/zinc/79/62/70/378796270.db2.gz KLIRKWHIWSACGM-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)c([N+](=O)[O-])cc2F)[C@H]1C ZINC000160755271 378796283 /nfs/dbraw/zinc/79/62/83/378796283.db2.gz QDTUNKDRRFWTHA-SFYZADRCSA-N 0 0 284.262 2.744 20 5 CFBDRN CCc1ccc([C@@H](CO)Nc2ccc([N+](=O)[O-])cn2)cc1 ZINC000160893166 378808425 /nfs/dbraw/zinc/80/84/25/378808425.db2.gz CYYVZMMAQVWWHP-CQSZACIVSA-N 0 0 287.319 2.698 20 5 CFBDRN Cc1ccnc(CNc2nc3ccccc3cc2[N+](=O)[O-])c1 ZINC000338762970 220254878 /nfs/dbraw/zinc/25/48/78/220254878.db2.gz OHBBUYMKGGWSNH-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN CCOC(C)(C)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278481127 194004541 /nfs/dbraw/zinc/00/45/41/194004541.db2.gz VLLYYVJXYNRQJU-UHFFFAOYSA-N 0 0 279.340 2.790 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])c(C)n2)cc1 ZINC000161494867 378850559 /nfs/dbraw/zinc/85/05/59/378850559.db2.gz HCRYPPDIBJBHJR-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1ccc(CCNc2ccc([N+](=O)[O-])c(C)n2)cc1 ZINC000161493976 378851612 /nfs/dbraw/zinc/85/16/12/378851612.db2.gz VGKXCTKJFDFOND-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1noc(C)c1CNc1nc(C)ccc1[N+](=O)[O-] ZINC000162640692 378922644 /nfs/dbraw/zinc/92/26/44/378922644.db2.gz NDCVICJUQHSZPE-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN CO[C@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000163098514 378953880 /nfs/dbraw/zinc/95/38/80/378953880.db2.gz FITLZDQIXWRWHQ-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CCC[C@]1(C)CCCN(C(=O)c2[nH]nc(C)c2[N+](=O)[O-])C1 ZINC000266305289 190247276 /nfs/dbraw/zinc/24/72/76/190247276.db2.gz BQCLTKMOUFASHT-CQSZACIVSA-N 0 0 294.355 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cc(Cl)cn2)c(F)c1 ZINC000334246843 378977815 /nfs/dbraw/zinc/97/78/15/378977815.db2.gz JALBECBHLYRBIW-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1CC[C@@H](F)C1 ZINC000334237749 378964976 /nfs/dbraw/zinc/96/49/76/378964976.db2.gz ITPBGNONSZCEDG-SCZZXKLOSA-N 0 0 267.260 2.607 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000334247013 378979276 /nfs/dbraw/zinc/97/92/76/378979276.db2.gz VEIGURFKDMRIRW-NSHDSACASA-N 0 0 262.309 2.918 20 5 CFBDRN CC(C)n1ncnc1COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000278578294 194053466 /nfs/dbraw/zinc/05/34/66/194053466.db2.gz CZPAFIFAVPWDNS-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN CC[C@@H](CSC)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000163800747 378991654 /nfs/dbraw/zinc/99/16/54/378991654.db2.gz MEIHCCXHNXNXQO-VIFPVBQESA-N 0 0 255.343 2.852 20 5 CFBDRN C[C@@H](NCc1cncs1)c1ccccc1[N+](=O)[O-] ZINC000163889361 378995670 /nfs/dbraw/zinc/99/56/70/378995670.db2.gz SQGWGCXHNBCGOW-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN O=C(C1CC(F)(F)C1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000334266091 379002400 /nfs/dbraw/zinc/00/24/00/379002400.db2.gz YSYBIBKCLMHSDK-UHFFFAOYSA-N 0 0 296.273 2.919 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@@]2(CCOC2)C1 ZINC000451894149 383733478 /nfs/dbraw/zinc/73/34/78/383733478.db2.gz CNWAQJCSXBLSJG-OAHLLOKOSA-N 0 0 294.326 2.736 20 5 CFBDRN C[C@@H](Nc1ccc2cc([N+](=O)[O-])ccc2n1)[C@H]1CCOC1 ZINC000166383643 379054828 /nfs/dbraw/zinc/05/48/28/379054828.db2.gz NVWOGSLOPUIJHT-PWSUYJOCSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1cc(=O)n(CC(=O)C2CCCCCC2)cc1[N+](=O)[O-] ZINC000278695756 194107301 /nfs/dbraw/zinc/10/73/01/194107301.db2.gz MUTUEWFMSYCXLZ-UHFFFAOYSA-N 0 0 292.335 2.604 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCCC[C@@H]1C(F)F ZINC000334328927 379103016 /nfs/dbraw/zinc/10/30/16/379103016.db2.gz IQXYOPIMJQOMJA-LLVKDONJSA-N 0 0 284.262 2.855 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000335331328 379104810 /nfs/dbraw/zinc/10/48/10/379104810.db2.gz WEUXTGRCOFICDB-JTQLQIEISA-N 0 0 280.349 2.529 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC12CCC2 ZINC000335332687 379108334 /nfs/dbraw/zinc/10/83/34/379108334.db2.gz WEQJQTROBNFAKI-UHFFFAOYSA-N 0 0 279.271 2.894 20 5 CFBDRN O=C(N[C@H]1CCCC(F)(F)C1)c1csc([N+](=O)[O-])c1 ZINC000335335437 379113536 /nfs/dbraw/zinc/11/35/36/379113536.db2.gz CWZGVFXRVTVRJJ-QMMMGPOBSA-N 0 0 290.291 2.964 20 5 CFBDRN O=C(COc1cc(Cl)ccc1[N+](=O)[O-])CC1CC1 ZINC000278759132 194141509 /nfs/dbraw/zinc/14/15/09/194141509.db2.gz RAEWBVNEPCJRRL-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN O=C1OCCN1CCCNc1ccc([N+](=O)[O-])cc1Cl ZINC000170869170 379181111 /nfs/dbraw/zinc/18/11/11/379181111.db2.gz MHPNFOIPJXNINT-UHFFFAOYSA-N 0 0 299.714 2.502 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC12CC2 ZINC000335379098 379190797 /nfs/dbraw/zinc/19/07/97/379190797.db2.gz XZBAJSPTCGFDAC-NSHDSACASA-N 0 0 261.281 2.577 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000334394545 379218919 /nfs/dbraw/zinc/21/89/19/379218919.db2.gz BBCHMFGZPLERHW-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc2C1)C1(CF)CCC1 ZINC000335391849 379209486 /nfs/dbraw/zinc/20/94/86/379209486.db2.gz DHIRQUQJCITJDS-UHFFFAOYSA-N 0 0 292.310 2.619 20 5 CFBDRN O=C(N[C@H]1CCC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000335431732 379284747 /nfs/dbraw/zinc/28/47/47/379284747.db2.gz YKLLKEYCCHOBRJ-QWRGUYRKSA-N 0 0 266.272 2.605 20 5 CFBDRN Cc1c(NC(=O)N2CCCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000171245652 379260754 /nfs/dbraw/zinc/26/07/54/379260754.db2.gz SXSVNLYUFMKQAF-JTQLQIEISA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc(C(=O)NCCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000266553358 190266635 /nfs/dbraw/zinc/26/66/35/190266635.db2.gz OZXRVXWZLXJVOK-UHFFFAOYSA-N 0 0 290.241 2.976 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CS[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000335451118 379318486 /nfs/dbraw/zinc/31/84/86/379318486.db2.gz FARUOIFFCRSIOB-MWLCHTKSSA-N 0 0 280.349 2.527 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000171483172 379320112 /nfs/dbraw/zinc/32/01/12/379320112.db2.gz JASRFHIUWCKEAB-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CC1 ZINC000171487172 379320287 /nfs/dbraw/zinc/32/02/87/379320287.db2.gz RVJMPZVXFVUXPY-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN O=C(Nc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000334464520 379345788 /nfs/dbraw/zinc/34/57/88/379345788.db2.gz SPWLWRHWOHOQBC-UHFFFAOYSA-N 0 0 265.656 2.829 20 5 CFBDRN CCC(CC)CNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000171569137 379341264 /nfs/dbraw/zinc/34/12/64/379341264.db2.gz UYIPFJNAYNEXGD-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN Cc1cc(-c2nc(CC3CC3)no2)ccc1[N+](=O)[O-] ZINC000266574272 190267887 /nfs/dbraw/zinc/26/78/87/190267887.db2.gz LPGVNXRBVSCJCV-UHFFFAOYSA-N 0 0 259.265 2.906 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)c1ccccc1F ZINC000171447444 379309733 /nfs/dbraw/zinc/30/97/33/379309733.db2.gz BBTBCOADSQMVHZ-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCc2cc(F)ccc21 ZINC000334445445 379312934 /nfs/dbraw/zinc/31/29/34/379312934.db2.gz JHLVZKNOPZIFKK-UHFFFAOYSA-N 0 0 292.291 2.998 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1(F)F ZINC000335488085 379388492 /nfs/dbraw/zinc/38/84/92/379388492.db2.gz NYORJLMEIXKDMV-SNVBAGLBSA-N 0 0 274.198 2.718 20 5 CFBDRN Cc1c(-c2nc(CC3CC3)no2)cccc1[N+](=O)[O-] ZINC000266623182 190271712 /nfs/dbraw/zinc/27/17/12/190271712.db2.gz TXCPBRYUPQUWPB-UHFFFAOYSA-N 0 0 259.265 2.906 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000335473016 379361079 /nfs/dbraw/zinc/36/10/79/379361079.db2.gz DUGWWEMWHJOTEC-SECBINFHSA-N 0 0 284.312 2.501 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000171909858 379434735 /nfs/dbraw/zinc/43/47/35/379434735.db2.gz CUHQNUGTGXPHEU-SNVBAGLBSA-N 0 0 251.282 2.930 20 5 CFBDRN CN(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(C)(C)C ZINC000335521200 379445483 /nfs/dbraw/zinc/44/54/83/379445483.db2.gz LJEXUKBGBVYJLX-UHFFFAOYSA-N 0 0 275.308 2.947 20 5 CFBDRN COC1CCN(c2ccnc3c2cccc3[N+](=O)[O-])CC1 ZINC000432323290 383736740 /nfs/dbraw/zinc/73/67/40/383736740.db2.gz PSXGRTILPRECIT-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H](OC)C(C)C)c1 ZINC000266737941 190277655 /nfs/dbraw/zinc/27/76/55/190277655.db2.gz PKTPVPADBIHEAJ-ZDUSSCGKSA-N 0 0 268.313 2.686 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)C1CCC1 ZINC000335532362 379465120 /nfs/dbraw/zinc/46/51/20/379465120.db2.gz SAKYRPXJDLNRPH-UHFFFAOYSA-N 0 0 262.309 2.836 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@H]2CCC[C@@H]2C1 ZINC000176963745 296297778 /nfs/dbraw/zinc/29/77/78/296297778.db2.gz KWNHQHKXIHGCMS-RKDXNWHRSA-N 0 0 266.322 2.528 20 5 CFBDRN O=C(c1cc[nH]c1)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334542332 379492296 /nfs/dbraw/zinc/49/22/96/379492296.db2.gz DFNAENSRKNLLQF-CQSZACIVSA-N 0 0 299.330 2.943 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000172273960 379529845 /nfs/dbraw/zinc/52/98/45/379529845.db2.gz TXHPKWRHQSILQJ-GFCCVEGCSA-N 0 0 295.339 2.513 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)c2cccs2)ncc1[N+](=O)[O-] ZINC000266855667 190284908 /nfs/dbraw/zinc/28/49/08/190284908.db2.gz SYPIOLOZIAQWRO-CYBMUJFWSA-N 0 0 293.348 2.679 20 5 CFBDRN CC(C)COCCCNc1ccc([N+](=O)[O-])c(N)c1F ZINC000266865672 190285995 /nfs/dbraw/zinc/28/59/95/190285995.db2.gz QRYGETQKSJKYSO-UHFFFAOYSA-N 0 0 285.319 2.791 20 5 CFBDRN CC(C)n1ccc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000172216705 379518715 /nfs/dbraw/zinc/51/87/15/379518715.db2.gz FVKRGOCZPWWVNC-UHFFFAOYSA-N 0 0 261.281 2.951 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)Cc1cccnc1 ZINC000266993009 190295350 /nfs/dbraw/zinc/29/53/50/190295350.db2.gz VRSCVMVOMWFDRW-UHFFFAOYSA-N 0 0 287.319 2.773 20 5 CFBDRN Cc1sc(C(=O)N2CCSC[C@H]2C)cc1[N+](=O)[O-] ZINC000172524300 379591770 /nfs/dbraw/zinc/59/17/70/379591770.db2.gz FHYWWGSURKFUCK-SSDOTTSWSA-N 0 0 286.378 2.542 20 5 CFBDRN Cc1ccc(NCCCc2cnn(C)c2)c([N+](=O)[O-])c1 ZINC000266943068 190292163 /nfs/dbraw/zinc/29/21/63/190292163.db2.gz BRMLKUMIELMJPX-UHFFFAOYSA-N 0 0 274.324 2.681 20 5 CFBDRN C[C@@]1(CNc2c(F)cccc2[N+](=O)[O-])CCOC1 ZINC000342130406 220269341 /nfs/dbraw/zinc/26/93/41/220269341.db2.gz OXJGKTNCIQFRMK-LBPRGKRZSA-N 0 0 254.261 2.572 20 5 CFBDRN CS[C@@H](C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000172414916 379562596 /nfs/dbraw/zinc/56/25/96/379562596.db2.gz SJXWZOFTJHAUPS-NSHDSACASA-N 0 0 296.392 2.785 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(C(F)(F)F)c1)[C@H]1CCOC1 ZINC000266972615 190294881 /nfs/dbraw/zinc/29/48/81/190294881.db2.gz MMUPWAGDRHRRAE-VIFPVBQESA-N 0 0 290.241 2.839 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)[C@H](C)c1ccccc1 ZINC000267049432 190299554 /nfs/dbraw/zinc/29/95/54/190299554.db2.gz WZBPPZLIHKNCEG-WDEREUQCSA-N 0 0 287.319 2.845 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])cc1F ZINC000267084251 190301994 /nfs/dbraw/zinc/30/19/94/190301994.db2.gz YTPDSVPAUFNZJX-JQWIXIFHSA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@H](C1CC1)N(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000172770549 379644272 /nfs/dbraw/zinc/64/42/72/379644272.db2.gz ROINBAPJGHZQCT-LLVKDONJSA-N 0 0 288.347 2.927 20 5 CFBDRN Cc1cnc(NC[C@]2(C)CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000267114496 190304679 /nfs/dbraw/zinc/30/46/79/190304679.db2.gz RYUXIRJWNLPCEL-OCCSQVGLSA-N 0 0 279.340 2.651 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](C)[C@H]2C)c1 ZINC000172661591 379617169 /nfs/dbraw/zinc/61/71/69/379617169.db2.gz CABRXVWEINALLB-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H]1CCCN(C(=O)COc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000172659895 379617317 /nfs/dbraw/zinc/61/73/17/379617317.db2.gz FOJGHVVYLGUAFD-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H](C)C[C@H](C)O ZINC000267021732 190297757 /nfs/dbraw/zinc/29/77/57/190297757.db2.gz JUQVIADAMDZQBD-QWRGUYRKSA-N 0 0 282.340 2.560 20 5 CFBDRN CCC[C@](C)(NCc1ccc([N+](=O)[O-])cc1F)C(=O)OC ZINC000267032635 190298146 /nfs/dbraw/zinc/29/81/46/190298146.db2.gz MXOSSXBAZXYWIU-AWEZNQCLSA-N 0 0 298.314 2.555 20 5 CFBDRN CN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000172694757 379624834 /nfs/dbraw/zinc/62/48/34/379624834.db2.gz MUVJPMRQOHXZGY-JXMROGBWSA-N 0 0 260.293 2.619 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CCCC[C@@H]2O)ncc1[N+](=O)[O-] ZINC000267138240 190306449 /nfs/dbraw/zinc/30/64/49/190306449.db2.gz XHFISCDXXOBRLG-GXTWGEPZSA-N 0 0 279.340 2.651 20 5 CFBDRN C[C@H]1CCCN1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000173115802 379697532 /nfs/dbraw/zinc/69/75/32/379697532.db2.gz KYJGYRKSMSFDEP-JTQLQIEISA-N 0 0 280.349 2.698 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCO[C@@H]1C(C)C ZINC000267200681 190311132 /nfs/dbraw/zinc/31/11/32/190311132.db2.gz JIRNZCOKPVECPY-WCQYABFASA-N 0 0 279.340 2.771 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC(C2CCCC2)C1 ZINC000334630198 379661942 /nfs/dbraw/zinc/66/19/42/379661942.db2.gz JKQGBNLGMLQRDB-UHFFFAOYSA-N 0 0 274.320 2.857 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000172904246 379667480 /nfs/dbraw/zinc/66/74/80/379667480.db2.gz CSRGIWHAPXABJO-LBPRGKRZSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1cc(C)c(C(=O)NCCc2ccccc2[N+](=O)[O-])o1 ZINC000267290423 190318961 /nfs/dbraw/zinc/31/89/61/190318961.db2.gz ZQMOHLSXLGDGEM-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN CN(C[C@H]1CCOC1)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000267319820 190320642 /nfs/dbraw/zinc/32/06/42/190320642.db2.gz YUHDVYSNQLFWJY-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN COC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])c1ccc(C)o1 ZINC000267323282 190320757 /nfs/dbraw/zinc/32/07/57/190320757.db2.gz AOAPNZYDYITPCJ-NSHDSACASA-N 0 0 291.307 2.999 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@H]1C1CC1 ZINC000334667221 379736653 /nfs/dbraw/zinc/73/66/53/379736653.db2.gz BZUXSSBESRBKKX-LBPRGKRZSA-N 0 0 285.303 2.701 20 5 CFBDRN O=C([N-]c1cc(F)cc(F)c1F)c1ccc([N+](=O)[O-])o1 ZINC000335699226 379744110 /nfs/dbraw/zinc/74/41/10/379744110.db2.gz DDQLUUAPHIOOAP-UHFFFAOYSA-N 0 0 286.165 2.857 20 5 CFBDRN Cc1cc(C(=O)N2CC3(CCC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000335678360 379709024 /nfs/dbraw/zinc/70/90/24/379709024.db2.gz XEQYQWUKWMTEJG-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@@H](C)S[C@H](C)C2)c1[N+](=O)[O-] ZINC000335726096 379788870 /nfs/dbraw/zinc/78/88/70/379788870.db2.gz FNJUQECKMRVOJU-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CC[C@H]2CCC[C@@H]21 ZINC000334708536 379802696 /nfs/dbraw/zinc/80/26/96/379802696.db2.gz OAIVSAXXXRZXIE-YGRLFVJLSA-N 0 0 292.310 2.677 20 5 CFBDRN COC[C@H](C)SCCOc1ccccc1[N+](=O)[O-] ZINC000173789588 379779646 /nfs/dbraw/zinc/77/96/46/379779646.db2.gz YTOPUBGZJVDTPN-JTQLQIEISA-N 0 0 271.338 2.742 20 5 CFBDRN CN(C(=O)C1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000335719994 379780557 /nfs/dbraw/zinc/78/05/57/379780557.db2.gz CIWSEEWWKHQYEX-UHFFFAOYSA-N 0 0 270.235 2.603 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000335724702 379788200 /nfs/dbraw/zinc/78/82/00/379788200.db2.gz OLKUSMUIEVGYEA-ZDUSSCGKSA-N 0 0 298.339 2.891 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N(Cc1ccccc1)CC(F)F ZINC000267507506 190334897 /nfs/dbraw/zinc/33/48/97/190334897.db2.gz OKKVDOGQTPHNAM-UHFFFAOYSA-N 0 0 296.277 2.600 20 5 CFBDRN CCC[C@H](CCO)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000174178964 379839993 /nfs/dbraw/zinc/83/99/93/379839993.db2.gz TVDISRJXNWZXNK-LLVKDONJSA-N 0 0 295.339 2.515 20 5 CFBDRN CSC(C)(C)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000174179416 379840445 /nfs/dbraw/zinc/84/04/45/379840445.db2.gz WKCQUSCRCNADPT-UHFFFAOYSA-N 0 0 283.353 2.858 20 5 CFBDRN CCc1cc(NC(=O)c2csc([N+](=O)[O-])c2)nc(C)n1 ZINC000174228357 379847132 /nfs/dbraw/zinc/84/71/32/379847132.db2.gz QIZHYJMSFSUIOR-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)[C@H]1C ZINC000334735041 379847776 /nfs/dbraw/zinc/84/77/76/379847776.db2.gz SBKNJZICJKHSFI-YUMQZZPRSA-N 0 0 268.700 2.729 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1Cc1ccc(F)cc1 ZINC000334737662 379851784 /nfs/dbraw/zinc/85/17/84/379851784.db2.gz WWRRSGSTADNYKY-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]2CCC[C@H]21 ZINC000174326876 379864929 /nfs/dbraw/zinc/86/49/29/379864929.db2.gz SFUMLVSQBDTXGO-DZGCQCFKSA-N 0 0 288.347 2.928 20 5 CFBDRN CC[C@@](C)(O)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000174716254 379930395 /nfs/dbraw/zinc/93/03/95/379930395.db2.gz AVVLSGKVHPSEMD-GFCCVEGCSA-N 0 0 290.266 2.769 20 5 CFBDRN C[C@@H]1CCC[N@@H+]1Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000174416869 379882070 /nfs/dbraw/zinc/88/20/70/379882070.db2.gz GYCGYYVDALVCSP-SNVBAGLBSA-N 0 0 288.307 2.629 20 5 CFBDRN CC(=O)[C@@H](C)SCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000267563410 190337987 /nfs/dbraw/zinc/33/79/87/190337987.db2.gz HXYVDJGFGJHRMC-MRVPVSSYSA-N 0 0 280.305 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC[C@H]3CCOC3)n2)cc1 ZINC000267573352 190338334 /nfs/dbraw/zinc/33/83/34/190338334.db2.gz OIQYBLZCNMZKSY-JTQLQIEISA-N 0 0 289.291 2.614 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@H](c2ccccc2)C1 ZINC000335795631 379902056 /nfs/dbraw/zinc/90/20/56/379902056.db2.gz LPCPKXGWMDWZOZ-LBPRGKRZSA-N 0 0 285.303 2.553 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000334764547 379907472 /nfs/dbraw/zinc/90/74/72/379907472.db2.gz XAGOTGZHDNKGFT-PSASIEDQSA-N 0 0 268.338 2.917 20 5 CFBDRN CC1CN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000335072920 379967915 /nfs/dbraw/zinc/96/79/15/379967915.db2.gz DDMQEAUKQGMFRE-UHFFFAOYSA-N 0 0 288.225 2.706 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC2(C1)CCCCC2 ZINC000335836100 379968675 /nfs/dbraw/zinc/96/86/75/379968675.db2.gz LFVDTWJLEQHPBI-UHFFFAOYSA-N 0 0 291.351 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H]2CC2(C)C)c1 ZINC000335841547 379977149 /nfs/dbraw/zinc/97/71/49/379977149.db2.gz RTRCTNLVTZMTSR-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1[C@H](c2ccccc2)CCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000267683553 190347162 /nfs/dbraw/zinc/34/71/62/190347162.db2.gz MQXALAQEXCOPNH-DGCLKSJQSA-N 0 0 299.330 2.941 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000267688346 190347366 /nfs/dbraw/zinc/34/73/66/190347366.db2.gz FKYZJESUFUTKES-LHXDFBSTSA-N 0 0 274.320 2.722 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)CCS1 ZINC000174780638 379939010 /nfs/dbraw/zinc/93/90/10/379939010.db2.gz UDZDBGHREWCQAZ-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@H]1C1CC1 ZINC000334887884 379939774 /nfs/dbraw/zinc/93/97/74/379939774.db2.gz KIKWVRAZKZQJNP-LBPRGKRZSA-N 0 0 286.287 2.966 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCSC[C@H]1C1CC1 ZINC000334980462 379950385 /nfs/dbraw/zinc/95/03/85/379950385.db2.gz WWIJNLQKKLPOGV-ZDUSSCGKSA-N 0 0 292.360 2.562 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1C[C@@H](C)[C@H](C)C1 ZINC000267599411 190340368 /nfs/dbraw/zinc/34/03/68/190340368.db2.gz FFKKSOUCJJCSJY-GHMZBOCLSA-N 0 0 264.325 2.833 20 5 CFBDRN Cc1ccc(N(C)C[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000175110018 379997345 /nfs/dbraw/zinc/99/73/45/379997345.db2.gz JXOVLHDLOUUGSR-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN C[C@H]1CC/C(=C\C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000267871132 190359855 /nfs/dbraw/zinc/35/98/55/190359855.db2.gz QMCDADYQWHFHTK-UTEVDWOZSA-N 0 0 274.320 2.957 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@@H]1C ZINC000267735804 190351612 /nfs/dbraw/zinc/35/16/12/190351612.db2.gz KQXNXSCAHYFMRO-UWVGGRQHSA-N 0 0 263.297 2.715 20 5 CFBDRN CC(C)[C@H]1OCCC[C@@H]1CNc1ccc([N+](=O)[O-])cn1 ZINC000267741109 190351942 /nfs/dbraw/zinc/35/19/42/190351942.db2.gz WTRBTIXCEMSZSF-BXUZGUMPSA-N 0 0 279.340 2.853 20 5 CFBDRN CC(=O)[C@H](C)SCCOc1cccc([N+](=O)[O-])c1 ZINC000175201625 380019127 /nfs/dbraw/zinc/01/91/27/380019127.db2.gz IEFMIWNLFLFMDK-JTQLQIEISA-N 0 0 269.322 2.684 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000175413959 380061451 /nfs/dbraw/zinc/06/14/51/380061451.db2.gz KGEBBQGAMIQOAM-NXEZZACHSA-N 0 0 263.297 2.857 20 5 CFBDRN CC[C@@H](OC)C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000267935065 190363739 /nfs/dbraw/zinc/36/37/39/190363739.db2.gz GKJGNFHIHPQVHP-GFCCVEGCSA-N 0 0 289.291 2.507 20 5 CFBDRN CC(C)CNC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268103724 190377231 /nfs/dbraw/zinc/37/72/31/190377231.db2.gz XJNBRNWWIMYRBY-UHFFFAOYSA-N 0 0 285.731 2.703 20 5 CFBDRN CCN(CC)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268104950 190377361 /nfs/dbraw/zinc/37/73/61/190377361.db2.gz FLEBXPPUXMFUIB-UHFFFAOYSA-N 0 0 285.731 2.800 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3CC[C@H](F)C3)cc2N1 ZINC000340871851 284817672 /nfs/dbraw/zinc/81/76/72/284817672.db2.gz IJDUVGPMMLLOIA-VHSXEESVSA-N 0 0 293.298 2.782 20 5 CFBDRN CCOC(=O)c1cc(N2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000268128432 190378726 /nfs/dbraw/zinc/37/87/26/190378726.db2.gz DTVFBHRMKOELHF-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN CCN(CC(C)C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000268130459 190379158 /nfs/dbraw/zinc/37/91/58/190379158.db2.gz OJCIMVMTGOWPMT-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN Cc1nn(C[C@@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000335923190 380112150 /nfs/dbraw/zinc/11/21/50/380112150.db2.gz FPRMRBYKYLJSLZ-SECBINFHSA-N 0 0 259.256 2.925 20 5 CFBDRN CCC[C@@H](CC)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000195144163 270250426 /nfs/dbraw/zinc/25/04/26/270250426.db2.gz RQVBZQTZAFYWMF-LLVKDONJSA-N 0 0 279.340 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CSC[C@H]1C ZINC000335961355 380165206 /nfs/dbraw/zinc/16/52/06/380165206.db2.gz LEETZOONSZHEBN-BXKDBHETSA-N 0 0 295.364 2.776 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@@H]1CC[C@H](F)C1 ZINC000335964135 380168044 /nfs/dbraw/zinc/16/80/44/380168044.db2.gz RVWUZYIBNMQVNJ-IONNQARKSA-N 0 0 285.250 2.746 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1cccc([N+](=O)[O-])c1 ZINC000268208571 190386471 /nfs/dbraw/zinc/38/64/71/190386471.db2.gz SWCAZDIWCZBXLJ-WCQYABFASA-N 0 0 264.325 2.594 20 5 CFBDRN CCC[C@@](C)(O)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000268212036 190386658 /nfs/dbraw/zinc/38/66/58/190386658.db2.gz QQEBJYNGDWHBFT-CYBMUJFWSA-N 0 0 286.303 2.706 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)O1 ZINC000268251334 190390102 /nfs/dbraw/zinc/39/01/02/190390102.db2.gz BZLWKQYNNCFUHV-GDLCADMTSA-N 0 0 292.335 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CC[C@H](CO)CC2)c1 ZINC000268262895 190391058 /nfs/dbraw/zinc/39/10/58/190391058.db2.gz LHKDQYIWQGGEDZ-HAQNSBGRSA-N 0 0 264.325 2.866 20 5 CFBDRN COc1cc(N2CC[C@H](OCC(C)C)C2)ccc1[N+](=O)[O-] ZINC000268269742 190391599 /nfs/dbraw/zinc/39/15/99/190391599.db2.gz MWVRREHAHAAOCM-ZDUSSCGKSA-N 0 0 294.351 2.855 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)C1CC=CC1 ZINC000268285540 190392403 /nfs/dbraw/zinc/39/24/03/190392403.db2.gz XMVLJBMDYKNJJC-UHFFFAOYSA-N 0 0 280.711 2.831 20 5 CFBDRN C[C@H](NC(=O)N1CCC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000335952234 380151189 /nfs/dbraw/zinc/15/11/89/380151189.db2.gz BGHIHFJCLMAXRZ-NSHDSACASA-N 0 0 289.335 2.994 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC2(C1)CCCCC2 ZINC000336019959 380246911 /nfs/dbraw/zinc/24/69/11/380246911.db2.gz YPLIVFVOMADNNB-UHFFFAOYSA-N 0 0 277.324 2.719 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000176547484 380251855 /nfs/dbraw/zinc/25/18/55/380251855.db2.gz JQPKQBGYVCYIEZ-GHMZBOCLSA-N 0 0 276.292 2.588 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@H]1CCOC1 ZINC000176547888 380253704 /nfs/dbraw/zinc/25/37/04/380253704.db2.gz LRUACLFFXKIXLN-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000336002682 380221386 /nfs/dbraw/zinc/22/13/86/380221386.db2.gz UOCULXBKKRGZAB-NXEZZACHSA-N 0 0 263.297 2.857 20 5 CFBDRN Cc1nc(Sc2nnc(C(C)C)n2C)ccc1[N+](=O)[O-] ZINC000268510899 190407869 /nfs/dbraw/zinc/40/78/69/190407869.db2.gz SAIBESYENRFQGH-UHFFFAOYSA-N 0 0 293.352 2.701 20 5 CFBDRN Cc1nc(N2CC[C@@H](OCCC(C)C)C2)ccc1[N+](=O)[O-] ZINC000268530757 190408578 /nfs/dbraw/zinc/40/85/78/190408578.db2.gz XFQRBMPRLSOCHT-CYBMUJFWSA-N 0 0 293.367 2.940 20 5 CFBDRN C[C@H](N(C)C(=O)NCc1cccc([N+](=O)[O-])c1)C1(C)CC1 ZINC000176459197 380238278 /nfs/dbraw/zinc/23/82/78/380238278.db2.gz XUWSKLGNZRPJPZ-NSHDSACASA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1nc(NCCCc2nccs2)ccc1[N+](=O)[O-] ZINC000268533418 190409714 /nfs/dbraw/zinc/40/97/14/190409714.db2.gz XSVJAUQJATUIJW-UHFFFAOYSA-N 0 0 278.337 2.799 20 5 CFBDRN CCS[C@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000178096196 380408749 /nfs/dbraw/zinc/40/87/49/380408749.db2.gz XWQYZMRWYVYWDS-WPRPVWTQSA-N 0 0 284.337 2.592 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000178159591 380415710 /nfs/dbraw/zinc/41/57/10/380415710.db2.gz PNPXWLXCWDHDQZ-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](Nc3ccccc3)C2)n1 ZINC000178207712 380421438 /nfs/dbraw/zinc/42/14/38/380421438.db2.gz JUMNHGHOEFRNQQ-AWEZNQCLSA-N 0 0 298.346 2.989 20 5 CFBDRN CCc1ccc(C(=O)NCCc2ccncc2)cc1[N+](=O)[O-] ZINC000268762370 190429795 /nfs/dbraw/zinc/42/97/95/190429795.db2.gz QEIOZYDGQSNHLK-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000179188239 380518266 /nfs/dbraw/zinc/51/82/66/380518266.db2.gz GKBDUTFLDJCQGA-GXTWGEPZSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000336560808 380466968 /nfs/dbraw/zinc/46/69/68/380466968.db2.gz IOQMQRKNCFBILS-NXEZZACHSA-N 0 0 266.272 2.524 20 5 CFBDRN O=C(N[C@@H]1CCCC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000336609551 380542886 /nfs/dbraw/zinc/54/28/86/380542886.db2.gz NIMRHVKJJAUZNN-SECBINFHSA-N 0 0 284.262 2.903 20 5 CFBDRN COCC1CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000268055109 284837899 /nfs/dbraw/zinc/83/78/99/284837899.db2.gz VSYCACKOIYGASL-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1cc(NC(=O)NCc2cccc([N+](=O)[O-])c2)ccn1 ZINC000179831966 380584032 /nfs/dbraw/zinc/58/40/32/380584032.db2.gz YOIZPUVZOHWYGD-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCCOCC1 ZINC000180367766 380648229 /nfs/dbraw/zinc/64/82/29/380648229.db2.gz SVMWAFQMZJRHGP-NSHDSACASA-N 0 0 293.323 2.594 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1c([N+](=O)[O-])nc(C)n1CC ZINC000336794044 380641724 /nfs/dbraw/zinc/64/17/24/380641724.db2.gz ZKMHRIOSLXIUJP-RKDXNWHRSA-N 0 0 254.334 2.966 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CCCOCC2)cc1[N+](=O)[O-] ZINC000180367650 380647668 /nfs/dbraw/zinc/64/76/68/380647668.db2.gz CVKMLJHGMRWHGB-LLVKDONJSA-N 0 0 293.323 2.594 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H]1CCC[C@@H](O)C1 ZINC000336808146 380667175 /nfs/dbraw/zinc/66/71/75/380667175.db2.gz BAFBIEJYONPKBZ-VHSXEESVSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1CCC[C@H](O)C1 ZINC000336808147 380667578 /nfs/dbraw/zinc/66/75/78/380667578.db2.gz BAFBIEJYONPKBZ-ZJUUUORDSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1cccc(NC(=O)c2c(N)cccc2[N+](=O)[O-])c1 ZINC000180582318 380679133 /nfs/dbraw/zinc/67/91/33/380679133.db2.gz JDVKKZXDLYXTRZ-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN Cc1ccc(NC(=O)c2c(N)cccc2[N+](=O)[O-])cc1 ZINC000180583091 380679503 /nfs/dbraw/zinc/67/95/03/380679503.db2.gz NOTBGJPTBZREJP-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cccc(O)c1 ZINC000180992766 380722479 /nfs/dbraw/zinc/72/24/79/380722479.db2.gz JIECAGFRRIYVRJ-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN CCOCCN(C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000181018877 380724945 /nfs/dbraw/zinc/72/49/45/380724945.db2.gz VGMHIJYKNXXNNV-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])C[C@H]1CCCC[C@@H]1O ZINC000181484133 380803881 /nfs/dbraw/zinc/80/38/81/380803881.db2.gz PEDSAHCEQIOEDB-ABAIWWIYSA-N 0 0 296.342 2.717 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@@]2(C)CC(C)C)c1[N+](=O)[O-] ZINC000295353471 380806607 /nfs/dbraw/zinc/80/66/07/380806607.db2.gz ATONJUWGBCACAE-AWEZNQCLSA-N 0 0 294.355 2.667 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287353621 380811088 /nfs/dbraw/zinc/81/10/88/380811088.db2.gz NMBPTSONFLVWIB-KWQFWETISA-N 0 0 256.277 2.553 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000341175985 380815711 /nfs/dbraw/zinc/81/57/11/380815711.db2.gz FTIUNDONYOUKGH-QMMMGPOBSA-N 0 0 286.278 2.672 20 5 CFBDRN CC1(C)OCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000295374723 380816643 /nfs/dbraw/zinc/81/66/43/380816643.db2.gz DHTSUGVKYKBGHT-LLVKDONJSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cncc(COc2ccccc2[N+](=O)[O-])c1 ZINC000341178881 380817071 /nfs/dbraw/zinc/81/70/71/380817071.db2.gz RDFJLFBJBPTIFJ-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN C[C@@H](c1cccc(O)c1)N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295457510 380866620 /nfs/dbraw/zinc/86/66/20/380866620.db2.gz OBGWOWAPQRIKKI-NSHDSACASA-N 0 0 294.351 2.747 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000287416216 380827904 /nfs/dbraw/zinc/82/79/04/380827904.db2.gz TUEXBGSFFMAXBU-NHYWBVRUSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(C)OCCCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295400244 380830738 /nfs/dbraw/zinc/83/07/38/380830738.db2.gz RKANFVMJJXAYAN-LBPRGKRZSA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1ccc(OCCOc2cccc([N+](=O)[O-])c2)cn1 ZINC000181609593 380840249 /nfs/dbraw/zinc/84/02/49/380840249.db2.gz MQAHWBJPWNNXNL-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN Cc1ccc(OCCOc2ccccc2[N+](=O)[O-])cn1 ZINC000181609680 380840869 /nfs/dbraw/zinc/84/08/69/380840869.db2.gz ABPVFWVLRJJNDU-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000346979946 380841658 /nfs/dbraw/zinc/84/16/58/380841658.db2.gz OJYAWCAIZUGZJW-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N(CC1CC1)C1CCC1 ZINC000358445742 380852667 /nfs/dbraw/zinc/85/26/67/380852667.db2.gz OJCIUHZUHFIVRR-UHFFFAOYSA-N 0 0 288.347 2.928 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCn2cnc3c2CCCC3)c1 ZINC000295434979 380853427 /nfs/dbraw/zinc/85/34/27/380853427.db2.gz ZKJGTEOSHNZTBQ-UHFFFAOYSA-N 0 0 287.319 2.749 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000287522340 380854684 /nfs/dbraw/zinc/85/46/84/380854684.db2.gz VHISVLACHANMRF-LKFCYVNXSA-N 0 0 280.324 2.616 20 5 CFBDRN O=C(Nc1ccc2[nH]ccc2c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000353795347 380858168 /nfs/dbraw/zinc/85/81/68/380858168.db2.gz DDGNJXLCECBEGD-UHFFFAOYSA-N 0 0 270.248 2.657 20 5 CFBDRN C[C@H]1CC[C@H](C)N1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000408455918 380926682 /nfs/dbraw/zinc/92/66/82/380926682.db2.gz YYJSHLIQENDVRL-IUCAKERBSA-N 0 0 260.297 2.848 20 5 CFBDRN Cc1nnsc1-c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000347083207 380928037 /nfs/dbraw/zinc/92/80/37/380928037.db2.gz LTYIRNAOCZLDNC-UHFFFAOYSA-N 0 0 295.305 2.533 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCC[C@@H]1CCOC1 ZINC000401992485 380880963 /nfs/dbraw/zinc/88/09/63/380880963.db2.gz KQYZLZCJOGJZCA-SECBINFHSA-N 0 0 285.731 2.872 20 5 CFBDRN Cc1nn(Cc2cccc(Br)c2)cc1[N+](=O)[O-] ZINC000181823805 380905558 /nfs/dbraw/zinc/90/55/58/380905558.db2.gz FNVDTUXFWIUHNU-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@H]3CCC[C@H]32)nc2sccn21 ZINC000408361005 380921451 /nfs/dbraw/zinc/92/14/51/380921451.db2.gz BHODBZWAKPLVGR-RKDXNWHRSA-N 0 0 278.337 2.683 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCc1ccncc1 ZINC000347073687 380922873 /nfs/dbraw/zinc/92/28/73/380922873.db2.gz SQQMQLJAWDJCNG-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN COc1ccc(OCc2cnccc2OC)c([N+](=O)[O-])c1 ZINC000341351814 380958826 /nfs/dbraw/zinc/95/88/26/380958826.db2.gz YPUCQGFFNGYOGT-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(C3=CCCCC3)n2)n1C ZINC000347129701 380959061 /nfs/dbraw/zinc/95/90/61/380959061.db2.gz BSVVPHNCTNZKLN-UHFFFAOYSA-N 0 0 289.295 2.644 20 5 CFBDRN COc1c(Cl)cccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000347132199 380961898 /nfs/dbraw/zinc/96/18/98/380961898.db2.gz BRKHYXGMGNKHSK-UHFFFAOYSA-N 0 0 295.682 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@](C)(F)C1 ZINC000295592215 380963163 /nfs/dbraw/zinc/96/31/63/380963163.db2.gz OHHBQSRZCPKYCG-AWEZNQCLSA-N 0 0 280.299 2.867 20 5 CFBDRN CCOc1cc(N2CCCO[C@@H](CC)C2)ccc1[N+](=O)[O-] ZINC000341361175 380966373 /nfs/dbraw/zinc/96/63/73/380966373.db2.gz BGAZBKMJXUAZCK-ZDUSSCGKSA-N 0 0 294.351 2.999 20 5 CFBDRN COc1ccncc1COc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000341368001 380969797 /nfs/dbraw/zinc/96/97/97/380969797.db2.gz TXWRXPRAICEIBG-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN Cc1cc(NC(=O)CCc2nccs2)ccc1[N+](=O)[O-] ZINC000347159637 380975568 /nfs/dbraw/zinc/97/55/68/380975568.db2.gz HUEYQYREAREYNY-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN Cc1ccc(OCCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000341382928 380981634 /nfs/dbraw/zinc/98/16/34/380981634.db2.gz GKKSPPOLPCGPEH-UHFFFAOYSA-N 0 0 258.277 2.920 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CCCO1 ZINC000287958946 380982057 /nfs/dbraw/zinc/98/20/57/380982057.db2.gz YXEYKKBAXIMRST-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000279288055 194369528 /nfs/dbraw/zinc/36/95/28/194369528.db2.gz XRPKYVVQBBKRCS-PRHODGIISA-N 0 0 295.314 2.625 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(F)(F)F ZINC000288570192 197115159 /nfs/dbraw/zinc/11/51/59/197115159.db2.gz JUSBHLSSVSXWGQ-YFKPBYRVSA-N 0 0 296.632 2.929 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(C2=CCCCCC2)n1 ZINC000347105580 380942067 /nfs/dbraw/zinc/94/20/67/380942067.db2.gz CEDNVKFZGOGALK-UHFFFAOYSA-N 0 0 289.295 2.726 20 5 CFBDRN C[C@H](CCC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])c[nH]c1=O ZINC000347105518 380943483 /nfs/dbraw/zinc/94/34/83/380943483.db2.gz HPIFVORCAHMTNB-SECBINFHSA-N 0 0 295.339 2.640 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347113695 380946970 /nfs/dbraw/zinc/94/69/70/380946970.db2.gz NKQXCYAIUHWFRE-ISVAXAHUSA-N 0 0 291.311 2.528 20 5 CFBDRN Cc1cccnc1CCNc1ncc([N+](=O)[O-])cc1C ZINC000295682995 381015221 /nfs/dbraw/zinc/01/52/21/381015221.db2.gz HJGCQTFQCDQTQJ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1cccc(C(=O)N2CCOC(C)(C)[C@@H]2C)c1[N+](=O)[O-] ZINC000358688589 381016182 /nfs/dbraw/zinc/01/61/82/381016182.db2.gz LBRCOBKDIPAWMO-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN CSC[C@@H](C)NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347227409 381016198 /nfs/dbraw/zinc/01/61/98/381016198.db2.gz IMBNSSVDJMNBPF-SSDOTTSWSA-N 0 0 287.316 2.607 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000358702319 381022305 /nfs/dbraw/zinc/02/23/05/381022305.db2.gz JGTLSOJTTPOWCM-VIFPVBQESA-N 0 0 298.314 2.667 20 5 CFBDRN CC(C)=CCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347244980 381024380 /nfs/dbraw/zinc/02/43/80/381024380.db2.gz XINTZARJMZIACL-UHFFFAOYSA-N 0 0 267.260 2.822 20 5 CFBDRN CCc1cnccc1[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000358711778 381028308 /nfs/dbraw/zinc/02/83/08/381028308.db2.gz CPQATSRMTWRMNY-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@@H]2CCOC2)c1 ZINC000295640319 380991058 /nfs/dbraw/zinc/99/10/58/380991058.db2.gz YEXUBPVOIKQWJU-JTQLQIEISA-N 0 0 273.292 2.591 20 5 CFBDRN COc1ncccc1OCCc1ccc([N+](=O)[O-])cc1 ZINC000341405768 380996819 /nfs/dbraw/zinc/99/68/19/380996819.db2.gz YFWMFAFSAUVMFA-UHFFFAOYSA-N 0 0 274.276 2.620 20 5 CFBDRN CCc1nn(C)c(Oc2ccc(F)c(F)c2)c1[N+](=O)[O-] ZINC000288077032 381004889 /nfs/dbraw/zinc/00/48/89/381004889.db2.gz HIIOZQGWCLQCKB-UHFFFAOYSA-N 0 0 283.234 2.961 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1CNC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000295737349 381046076 /nfs/dbraw/zinc/04/60/76/381046076.db2.gz MYXPHWGCNZIZQU-WDEREUQCSA-N 0 0 294.355 2.573 20 5 CFBDRN C[C@@H]1C[C@H]1N(CCn1cc([N+](=O)[O-])cn1)c1ccccc1 ZINC000411918903 381056861 /nfs/dbraw/zinc/05/68/61/381056861.db2.gz DJUQSELENJAFEA-IUODEOHRSA-N 0 0 286.335 2.706 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2ncccc2C)c1 ZINC000295756827 381057760 /nfs/dbraw/zinc/05/77/60/381057760.db2.gz OLJQBPHFJBRDEI-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CC(C)CCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347306274 381060288 /nfs/dbraw/zinc/06/02/88/381060288.db2.gz RIGJZFLVWXXZAZ-UHFFFAOYSA-N 0 0 269.276 2.902 20 5 CFBDRN Cc1nc(N2CCC=C(c3ccco3)C2)ncc1[N+](=O)[O-] ZINC000295722706 381036634 /nfs/dbraw/zinc/03/66/34/381036634.db2.gz UMPIRERMJMLLCL-UHFFFAOYSA-N 0 0 286.291 2.580 20 5 CFBDRN COc1cc(CN[C@@H](C)c2nccs2)ccc1[N+](=O)[O-] ZINC000411877007 381043799 /nfs/dbraw/zinc/04/37/99/381043799.db2.gz CBJQBJRJVVFXSS-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cncc(F)c1 ZINC000341552570 381081984 /nfs/dbraw/zinc/08/19/84/381081984.db2.gz UZZRYOPDRGPSFT-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cccc(CN(C)c2cccc(C(N)=O)c2[N+](=O)[O-])c1 ZINC000341561501 381088358 /nfs/dbraw/zinc/08/83/58/381088358.db2.gz TXIMVLVQIAYKAK-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN CC(C)CCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000341572403 381094504 /nfs/dbraw/zinc/09/45/04/381094504.db2.gz WWBSMRLUBVKWME-UHFFFAOYSA-N 0 0 260.297 2.899 20 5 CFBDRN Cn1ccc(-c2noc(-c3cc(F)cc([N+](=O)[O-])c3)n2)c1 ZINC000347357429 381094368 /nfs/dbraw/zinc/09/43/68/381094368.db2.gz GHTOCOZBTGNUHW-UHFFFAOYSA-N 0 0 288.238 2.789 20 5 CFBDRN O=[N+]([O-])c1cn(CCSCc2ccccc2)cn1 ZINC000295820408 381097790 /nfs/dbraw/zinc/09/77/90/381097790.db2.gz VEKHBFLEYLSRSS-UHFFFAOYSA-N 0 0 263.322 2.725 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCc1cscn1 ZINC000295861891 381122710 /nfs/dbraw/zinc/12/27/10/381122710.db2.gz GQWBJJWPDXBISK-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN Cc1c(N[C@@H](C)c2ccccc2[N+](=O)[O-])cnn1C ZINC000347375595 381107975 /nfs/dbraw/zinc/10/79/75/381107975.db2.gz PTFSBMQLLUGFFY-VIFPVBQESA-N 0 0 260.297 2.810 20 5 CFBDRN COCCN(C)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000341593861 381110901 /nfs/dbraw/zinc/11/09/01/381110901.db2.gz MBPSGZYXZBBUOZ-UHFFFAOYSA-N 0 0 279.123 2.984 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000341595209 381112753 /nfs/dbraw/zinc/11/27/53/381112753.db2.gz GTQDDVHULKNWAA-SNVBAGLBSA-N 0 0 286.328 2.605 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCO[C@@H]1C(C)C ZINC000358888122 381139517 /nfs/dbraw/zinc/13/95/17/381139517.db2.gz RKGYAVGBYUFPOK-WCQYABFASA-N 0 0 296.371 2.593 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1scnc1C ZINC000289757709 381141232 /nfs/dbraw/zinc/14/12/32/381141232.db2.gz JKDKCRXQQHFZFV-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CC1(C(=O)COc2ccc([N+](=O)[O-])c(F)c2F)CC1 ZINC000295911216 381151532 /nfs/dbraw/zinc/15/15/32/381151532.db2.gz IXNDKEINXFPLEZ-UHFFFAOYSA-N 0 0 271.219 2.621 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC2(CCC2)C[C@@H]1C ZINC000358915488 381151655 /nfs/dbraw/zinc/15/16/55/381151655.db2.gz OMQYZHBYMJOJSL-JTQLQIEISA-N 0 0 278.356 2.889 20 5 CFBDRN CCc1nc(C)c(NC(=O)c2ccc([N+](=O)[O-])n2C)s1 ZINC000341655363 381152942 /nfs/dbraw/zinc/15/29/42/381152942.db2.gz DDTSLKXKCGKLTQ-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H]1C1CC1 ZINC000341613884 381124536 /nfs/dbraw/zinc/12/45/36/381124536.db2.gz NOKGMWGMLJZEST-NEPJUHHUSA-N 0 0 290.319 2.978 20 5 CFBDRN CC(C)N(C/C=C/c1ccccc1[N+](=O)[O-])C1COC1 ZINC000295946881 381173805 /nfs/dbraw/zinc/17/38/05/381173805.db2.gz GUVAVBARYRJRKD-FNORWQNLSA-N 0 0 276.336 2.717 20 5 CFBDRN COc1ccc(OCCc2c(C)noc2C)cc1[N+](=O)[O-] ZINC000358961412 381177867 /nfs/dbraw/zinc/17/78/67/381177867.db2.gz FWVSZYAYSLNPPA-UHFFFAOYSA-N 0 0 292.291 2.830 20 5 CFBDRN Cc1cc(=O)n(CCSc2ccccc2)cc1[N+](=O)[O-] ZINC000182897412 381180791 /nfs/dbraw/zinc/18/07/91/381180791.db2.gz IHFKEWMWTVUFFQ-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)CF ZINC000289839991 381181591 /nfs/dbraw/zinc/18/15/91/381181591.db2.gz POMIDVMNOHHCNT-QMMMGPOBSA-N 0 0 269.276 2.637 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Cc1c(F)c(OC)ccc1[N+](=O)[O-] ZINC000412174561 381196267 /nfs/dbraw/zinc/19/62/67/381196267.db2.gz IDROWYUXWDGCBB-VIFPVBQESA-N 0 0 298.314 2.542 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)nc(C)n1 ZINC000347529184 381201859 /nfs/dbraw/zinc/20/18/59/381201859.db2.gz LKQSYBXEOXCAFA-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CC(C)OCCCN(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000354340322 381213164 /nfs/dbraw/zinc/21/31/64/381213164.db2.gz KPAVTDQCHXRZSP-UHFFFAOYSA-N 0 0 270.304 2.985 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])n2C)s1 ZINC000341683294 381169437 /nfs/dbraw/zinc/16/94/37/381169437.db2.gz KQABALVBOYLTQH-UHFFFAOYSA-N 0 0 293.348 2.575 20 5 CFBDRN Cc1noc(C)c1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359082105 381253626 /nfs/dbraw/zinc/25/36/26/381253626.db2.gz KTDLIGVNVZQSJW-UHFFFAOYSA-N 0 0 276.296 2.824 20 5 CFBDRN Cc1c(CNC(=O)Nc2cccnc2)cccc1[N+](=O)[O-] ZINC000359082380 381253735 /nfs/dbraw/zinc/25/37/35/381253735.db2.gz WQFBZEFDYRAQNP-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN CC[C@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])[C@H]1CCCO1 ZINC000359090399 381260955 /nfs/dbraw/zinc/26/09/55/381260955.db2.gz FKAJIABXANQBPE-NWDGAFQWSA-N 0 0 279.340 2.976 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)CCCCC(C)(C)C ZINC000290013071 381261669 /nfs/dbraw/zinc/26/16/69/381261669.db2.gz SHEUBOSPANXGRD-UHFFFAOYSA-N 0 0 282.344 2.873 20 5 CFBDRN CC[C@H](CNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)OC ZINC000290015313 381261806 /nfs/dbraw/zinc/26/18/06/381261806.db2.gz ZSSSNGHJJSGOSD-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359098225 381263659 /nfs/dbraw/zinc/26/36/59/381263659.db2.gz NGJBWTLGOJEHTI-VXGBXAGGSA-N 0 0 279.340 2.976 20 5 CFBDRN CCN(CC)C(=O)CSc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359099875 381266149 /nfs/dbraw/zinc/26/61/49/381266149.db2.gz OUAQXLDWDRWOQM-UHFFFAOYSA-N 0 0 297.380 2.567 20 5 CFBDRN CC(C)(C)OCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000183172947 381226879 /nfs/dbraw/zinc/22/68/79/381226879.db2.gz BOMTYIDIWBLDNQ-UHFFFAOYSA-N 0 0 294.351 2.761 20 5 CFBDRN Cn1ccc(-c2noc(Cc3cccc([N+](=O)[O-])c3)n2)c1 ZINC000347572367 381228449 /nfs/dbraw/zinc/22/84/49/381228449.db2.gz NWLCKIJDQICTIJ-UHFFFAOYSA-N 0 0 284.275 2.574 20 5 CFBDRN CC1(C)[C@H]([NH2+]Cc2ccc([N+](=O)[O-])c(F)c2)[C@H]2CCO[C@@H]21 ZINC000296061765 381237509 /nfs/dbraw/zinc/23/75/09/381237509.db2.gz GEWQIUXWZHGPII-HONMWMINSA-N 0 0 294.326 2.637 20 5 CFBDRN CCN(C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CCC1 ZINC000342266822 381238017 /nfs/dbraw/zinc/23/80/17/381238017.db2.gz MDKHSXFDWWQNIF-UHFFFAOYSA-N 0 0 298.289 2.817 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2cccc(O)c2)n1 ZINC000359110510 381271159 /nfs/dbraw/zinc/27/11/59/381271159.db2.gz SAAHNQPJHTYODU-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CN(Cc1nccn1C)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000347600237 381247396 /nfs/dbraw/zinc/24/73/96/381247396.db2.gz LNQRAUNUBCTDEB-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](C)C(F)(F)F)c1F ZINC000290076445 381288598 /nfs/dbraw/zinc/28/85/98/381288598.db2.gz KMQMBEHVIKOTLK-LURJTMIESA-N 0 0 294.204 2.723 20 5 CFBDRN CS[C@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000296219829 381306258 /nfs/dbraw/zinc/30/62/58/381306258.db2.gz OSQROXAODXXLTF-NSHDSACASA-N 0 0 281.337 2.564 20 5 CFBDRN CCC1(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])CC1 ZINC000296185193 381291616 /nfs/dbraw/zinc/29/16/16/381291616.db2.gz MCJPEFXKGOSYAU-BQYQJAHWSA-N 0 0 260.293 2.667 20 5 CFBDRN CC[NH+]1C[C@H](C)N(CCc2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000347702602 381304482 /nfs/dbraw/zinc/30/44/82/381304482.db2.gz JBCZGYDRTOWOCN-KBPBESRZSA-N 0 0 291.395 2.552 20 5 CFBDRN Cc1cscc1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000347642040 381272049 /nfs/dbraw/zinc/27/20/49/381272049.db2.gz JNCHAYGHLJRUQB-UHFFFAOYSA-N 0 0 282.346 2.956 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCc2ccccc21 ZINC000354447879 381273376 /nfs/dbraw/zinc/27/33/76/381273376.db2.gz PHXYHYUAZDRYCN-ZDUSSCGKSA-N 0 0 297.314 2.594 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)(C)O ZINC000296150205 381276682 /nfs/dbraw/zinc/27/66/82/381276682.db2.gz JPFWMMIEBOBXJX-GFCCVEGCSA-N 0 0 295.339 2.574 20 5 CFBDRN CC(C)[C@H]1C[C@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000290051944 381278854 /nfs/dbraw/zinc/27/88/54/381278854.db2.gz JUWJMXVLEGHDHX-VXGBXAGGSA-N 0 0 288.307 2.723 20 5 CFBDRN Cc1cc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)nn1C ZINC000342334672 381281965 /nfs/dbraw/zinc/28/19/65/381281965.db2.gz LJHGLLZBOSMBCT-GFCCVEGCSA-N 0 0 288.351 2.796 20 5 CFBDRN COc1c(C)ccc(NCc2c([N+](=O)[O-])ncn2C)c1C ZINC000347771923 381342266 /nfs/dbraw/zinc/34/22/66/381342266.db2.gz YYQUBTFAMWQGCD-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN Cc1sc(C(=O)N(C)CC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000342446082 381335737 /nfs/dbraw/zinc/33/57/37/381335737.db2.gz IXSSBPKLVNIPDW-JTQLQIEISA-N 0 0 298.364 2.606 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(CF)C1 ZINC000412520831 381336353 /nfs/dbraw/zinc/33/63/53/381336353.db2.gz QUAZDAMVXAUNRY-ZDUSSCGKSA-N 0 0 294.326 2.591 20 5 CFBDRN CCc1nn(C)c(N2CCC(C)(CC)CC2)c1[N+](=O)[O-] ZINC000354564198 381339434 /nfs/dbraw/zinc/33/94/34/381339434.db2.gz KEDWPUAOFRWGHX-UHFFFAOYSA-N 0 0 280.372 2.907 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000347767274 381340211 /nfs/dbraw/zinc/34/02/11/381340211.db2.gz CQAVVWGGBADAOM-ZYHUDNBSSA-N 0 0 277.324 2.535 20 5 CFBDRN Cc1cccc(Cl)c1NCc1c([N+](=O)[O-])ncn1C ZINC000347728303 381319838 /nfs/dbraw/zinc/31/98/38/381319838.db2.gz FWLQEFWSQXMZKK-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN C[C@H](CCCCO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000296317459 381344672 /nfs/dbraw/zinc/34/46/72/381344672.db2.gz OCGPKYUSWIHHDI-SECBINFHSA-N 0 0 256.277 2.697 20 5 CFBDRN CC(C)(C(=O)N[C@H]1C[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000354574219 381345722 /nfs/dbraw/zinc/34/57/22/381345722.db2.gz UYTFTLQAASNYGO-WPRPVWTQSA-N 0 0 298.289 2.642 20 5 CFBDRN Cc1coc(C)c1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000342481653 381353711 /nfs/dbraw/zinc/35/37/11/381353711.db2.gz CAODOULUBSHCOK-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CCC1(NC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)CCC1 ZINC000342508370 381365615 /nfs/dbraw/zinc/36/56/15/381365615.db2.gz CZPUDUYXRVLSOL-UHFFFAOYSA-N 0 0 298.289 2.864 20 5 CFBDRN CCOc1cccc(N[C@H](C)CCCCO)c1[N+](=O)[O-] ZINC000296383020 381371754 /nfs/dbraw/zinc/37/17/54/381371754.db2.gz UHOJMOHKFLCOHY-LLVKDONJSA-N 0 0 282.340 2.957 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OC[C@@H](O)C(F)(F)F ZINC000296400519 381377647 /nfs/dbraw/zinc/37/76/47/381377647.db2.gz XBQQOGKPTZNJDQ-SSDOTTSWSA-N 0 0 285.605 2.550 20 5 CFBDRN CCC[C@H](OC)c1noc(-c2ccc([N+](=O)[O-])c([O-])c2)n1 ZINC000296458911 381404655 /nfs/dbraw/zinc/40/46/55/381404655.db2.gz NQENGYVFSFLYOD-NSHDSACASA-N 0 0 293.279 2.838 20 5 CFBDRN CCn1cc(CCOc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000347920975 381414337 /nfs/dbraw/zinc/41/43/37/381414337.db2.gz KEDZKODNGUOIFR-UHFFFAOYSA-N 0 0 279.271 2.572 20 5 CFBDRN CCc1ccc(C(=O)Nc2ccnnc2C)cc1[N+](=O)[O-] ZINC000347922217 381414481 /nfs/dbraw/zinc/41/44/81/381414481.db2.gz FWFCZYACOQAENQ-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000184204510 381383873 /nfs/dbraw/zinc/38/38/73/381383873.db2.gz LIHFJDCWIMBGCL-CHWSQXEVSA-N 0 0 262.309 2.503 20 5 CFBDRN COc1cc(CN(C)CC(F)(F)F)c([N+](=O)[O-])cc1F ZINC000184214251 381386131 /nfs/dbraw/zinc/38/61/31/381386131.db2.gz BZAOKNKYGSVPFW-UHFFFAOYSA-N 0 0 296.220 2.737 20 5 CFBDRN CCOc1cccc(COc2ncc(C)cc2[N+](=O)[O-])n1 ZINC000342562100 381398225 /nfs/dbraw/zinc/39/82/25/381398225.db2.gz IYFKHNHCPIUEFT-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000184330491 381403199 /nfs/dbraw/zinc/40/31/99/381403199.db2.gz UCDKVGQLOAEWOR-ZJUUUORDSA-N 0 0 280.299 2.848 20 5 CFBDRN CCC[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1)OC ZINC000296502915 381423696 /nfs/dbraw/zinc/42/36/96/381423696.db2.gz BUUHJLQRXLOIJG-GFCCVEGCSA-N 0 0 295.339 2.840 20 5 CFBDRN C[C@H](NC(=O)NOC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000296563611 381450700 /nfs/dbraw/zinc/45/07/00/381450700.db2.gz GMBJJXLMGMKXRL-JTQLQIEISA-N 0 0 293.323 2.829 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000412773506 381436885 /nfs/dbraw/zinc/43/68/85/381436885.db2.gz CADFSRUUXXPELF-MRVPVSSYSA-N 0 0 295.314 2.577 20 5 CFBDRN O=C(NC[C@@H]1COc2ccccc21)c1ccccc1[N+](=O)[O-] ZINC000342617718 381437385 /nfs/dbraw/zinc/43/73/85/381437385.db2.gz KRTDCNFHWZAHRK-LLVKDONJSA-N 0 0 298.298 2.501 20 5 CFBDRN O=C(CC[C@@H]1CCCO1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347964373 381437806 /nfs/dbraw/zinc/43/78/06/381437806.db2.gz OXGDJUMTWIHQPB-LBPRGKRZSA-N 0 0 282.271 2.632 20 5 CFBDRN COc1cc(C(=O)N2CC(C)(C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000412782249 381441617 /nfs/dbraw/zinc/44/16/17/381441617.db2.gz NDPGWTQXFPZWPY-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CCCCS1 ZINC000347981019 381442851 /nfs/dbraw/zinc/44/28/51/381442851.db2.gz NLYPROIVCZZBTC-NSHDSACASA-N 0 0 284.312 2.958 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1cc(C2CC2)on1 ZINC000347984775 381444270 /nfs/dbraw/zinc/44/42/70/381444270.db2.gz QFZGODWGFBSHBJ-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC[C@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000354771877 381446147 /nfs/dbraw/zinc/44/61/47/381446147.db2.gz PUOUUDNQQWVSIH-WDEREUQCSA-N 0 0 294.326 2.879 20 5 CFBDRN Cc1nn(Cc2nc(C3CC3)no2)c2ccc([N+](=O)[O-])cc12 ZINC000359449538 381418079 /nfs/dbraw/zinc/41/80/79/381418079.db2.gz QJXSYHHLVLSABM-UHFFFAOYSA-N 0 0 299.290 2.562 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H]1CF)c1cccc([N+](=O)[O-])c1 ZINC000296490801 381418539 /nfs/dbraw/zinc/41/85/39/381418539.db2.gz XZICIPPLLTWKBR-GXFFZTMASA-N 0 0 295.314 2.799 20 5 CFBDRN CC[C@@H]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000342589082 381419485 /nfs/dbraw/zinc/41/94/85/381419485.db2.gz YINHFYSZMGUIFI-MRVPVSSYSA-N 0 0 278.337 2.836 20 5 CFBDRN CC[C@H](CNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)OC ZINC000290496582 381452706 /nfs/dbraw/zinc/45/27/06/381452706.db2.gz SGCXRFBMWHWAQC-GFCCVEGCSA-N 0 0 294.351 2.873 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCCS1 ZINC000342644262 381452899 /nfs/dbraw/zinc/45/28/99/381452899.db2.gz UKOQWCHKMVCGFK-LBPRGKRZSA-N 0 0 294.376 2.919 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1noc(C2CC2)n1 ZINC000342727807 381504593 /nfs/dbraw/zinc/50/45/93/381504593.db2.gz OSSYTAAYKDBQHT-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000348321000 381507263 /nfs/dbraw/zinc/50/72/63/381507263.db2.gz QVGZSCHENLJEJL-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C=CCCC1 ZINC000348323518 381508431 /nfs/dbraw/zinc/50/84/31/381508431.db2.gz QGFPLAAYFXWEFY-LLVKDONJSA-N 0 0 290.319 2.832 20 5 CFBDRN CCCCN(CC)C(=O)CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000359621870 381512820 /nfs/dbraw/zinc/51/28/20/381512820.db2.gz QVFYXDPNGAKIBD-UHFFFAOYSA-N 0 0 297.330 2.794 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCOCC1CCCC1 ZINC000412979911 381516627 /nfs/dbraw/zinc/51/66/27/381516627.db2.gz BCKSWDBPLPCOQV-UHFFFAOYSA-N 0 0 266.297 2.575 20 5 CFBDRN Cc1ccc(CC[C@H](C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)o1 ZINC000359594302 381494195 /nfs/dbraw/zinc/49/41/95/381494195.db2.gz DCAVPYUPENJKIV-VIFPVBQESA-N 0 0 291.307 2.575 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2C[C@H]2c2ccco2)c1[N+](=O)[O-] ZINC000412926287 381499064 /nfs/dbraw/zinc/49/90/64/381499064.db2.gz QSNDHQZQZYBVOR-VXGBXAGGSA-N 0 0 286.287 2.782 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]2c2ccco2)cc([N+](=O)[O-])c1 ZINC000412931209 381502426 /nfs/dbraw/zinc/50/24/26/381502426.db2.gz PLNURANQNFESSK-CHWSQXEVSA-N 0 0 286.287 2.782 20 5 CFBDRN CC[C@H](CNc1cc(OC)c([N+](=O)[O-])cc1F)OC ZINC000290746193 381538435 /nfs/dbraw/zinc/53/84/35/381538435.db2.gz RYGLVLXZSQRJPS-MRVPVSSYSA-N 0 0 272.276 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCO[C@@H](C2CCC2)C1 ZINC000413469967 381544073 /nfs/dbraw/zinc/54/40/73/381544073.db2.gz CCMPNMMRJYFIDS-CQSZACIVSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1ccc([C@H]2CCCN2c2ncc([N+](=O)[O-])c(C)n2)o1 ZINC000297121301 381550841 /nfs/dbraw/zinc/55/08/41/381550841.db2.gz MLKZIPPHGXVSHV-LLVKDONJSA-N 0 0 288.307 2.936 20 5 CFBDRN COCc1nc(CNc2ncc([N+](=O)[O-])cc2C)cs1 ZINC000290789571 381551404 /nfs/dbraw/zinc/55/14/04/381551404.db2.gz XHYYVGQKFJHIOR-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN Cc1nn(CCO[C@H]2CCCC[C@H]2C)cc1[N+](=O)[O-] ZINC000354924498 381524102 /nfs/dbraw/zinc/52/41/02/381524102.db2.gz VYGRNSVZJDHSQK-MFKMUULPSA-N 0 0 267.329 2.695 20 5 CFBDRN Cn1c2ccccc2nc1NCCc1ccccc1[N+](=O)[O-] ZINC000342767361 381524745 /nfs/dbraw/zinc/52/47/45/381524745.db2.gz AVYRPRUTLPRBIJ-UHFFFAOYSA-N 0 0 296.330 2.558 20 5 CFBDRN CCn1nncc1COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000413001704 381532031 /nfs/dbraw/zinc/53/20/31/381532031.db2.gz MIYFEPHRMXWCRO-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2ccncc2)c(F)c1 ZINC000413100297 381535070 /nfs/dbraw/zinc/53/50/70/381535070.db2.gz UDDVRZFHCYTWOC-ZDUSSCGKSA-N 0 0 288.282 2.865 20 5 CFBDRN Cc1noc(NC(=O)c2cccc([N+](=O)[O-])c2C)c1C ZINC000359722537 381578981 /nfs/dbraw/zinc/57/89/81/381578981.db2.gz JXCCGJQPRLJRNU-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CC(C)C[C@H](C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000185263814 381580720 /nfs/dbraw/zinc/58/07/20/381580720.db2.gz POBQKIBIWFUXCI-LBPRGKRZSA-N 0 0 278.352 2.936 20 5 CFBDRN COc1cc(N[C@H]2C[C@@H](C)[N@@H+](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000290796024 381553048 /nfs/dbraw/zinc/55/30/48/381553048.db2.gz OXASNNVTGHYDAU-PWSUYJOCSA-N 0 0 291.351 2.641 20 5 CFBDRN Cn1ncc(CSCc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000290799984 381554968 /nfs/dbraw/zinc/55/49/68/381554968.db2.gz PODUGTITHUUOMY-UHFFFAOYSA-N 0 0 298.755 2.810 20 5 CFBDRN CC[C@@H](CNc1ccc(C(C)=O)cc1[N+](=O)[O-])OC ZINC000290804840 381556834 /nfs/dbraw/zinc/55/68/34/381556834.db2.gz ZANJHZIOZLRRHY-NSHDSACASA-N 0 0 266.297 2.634 20 5 CFBDRN CN(CCF)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000297370431 381558983 /nfs/dbraw/zinc/55/89/83/381558983.db2.gz UYPXRAACVATTMF-UHFFFAOYSA-N 0 0 297.330 2.528 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC[C@H](CC2CC2)C1 ZINC000359695167 381562057 /nfs/dbraw/zinc/56/20/57/381562057.db2.gz NNVUPRDOKVOXPR-CYBMUJFWSA-N 0 0 288.347 2.786 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H](CO)c1ccccc1 ZINC000342818056 381565296 /nfs/dbraw/zinc/56/52/96/381565296.db2.gz TYBBADDVSQCROW-LBPRGKRZSA-N 0 0 290.294 2.922 20 5 CFBDRN Cc1nnc(Oc2ccc([N+](=O)[O-])c3ncccc23)nc1C ZINC000359703574 381567181 /nfs/dbraw/zinc/56/71/81/381567181.db2.gz CBWUZVFTQWBOGS-UHFFFAOYSA-N 0 0 297.274 2.737 20 5 CFBDRN O=C(Nc1cc2c(cn1)CCC2)c1cccc([N+](=O)[O-])c1 ZINC000359773146 381606251 /nfs/dbraw/zinc/60/62/51/381606251.db2.gz NEKLGGWLFQGPHD-UHFFFAOYSA-N 0 0 283.287 2.731 20 5 CFBDRN CCOc1cc(N2CCC[C@](C)(OC)C2)ccc1[N+](=O)[O-] ZINC000359740540 381590511 /nfs/dbraw/zinc/59/05/11/381590511.db2.gz UAVGJHMMARDRBL-HNNXBMFYSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccc(Cl)cn1 ZINC000348485083 381590657 /nfs/dbraw/zinc/59/06/57/381590657.db2.gz NNMGQNZBURAXRK-SSDOTTSWSA-N 0 0 295.682 2.727 20 5 CFBDRN CC(C)CCNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342867548 381595661 /nfs/dbraw/zinc/59/56/61/381595661.db2.gz DBOIFGONFHPXLK-UHFFFAOYSA-N 0 0 275.308 2.852 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC2CCC1CC2 ZINC000371384608 381609909 /nfs/dbraw/zinc/60/99/09/381609909.db2.gz UCKQPRXXTUGCCR-UHFFFAOYSA-N 0 0 274.320 2.538 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1ncnn1-c1ccccc1 ZINC000359812596 381621055 /nfs/dbraw/zinc/62/10/55/381621055.db2.gz GSOBZOLGRQRLQP-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC1CC(F)(F)C1 ZINC000298431647 381621787 /nfs/dbraw/zinc/62/17/87/381621787.db2.gz YLEHPGFYEORQIY-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN Cn1nccc1Cc1nc2cc3ccccc3cc2c(=O)[nH]1 ZINC000355119099 381624721 /nfs/dbraw/zinc/62/47/21/381624721.db2.gz QJGIKDYSHSAKOI-UHFFFAOYSA-N 0 0 290.326 2.813 20 5 CFBDRN O=[N+]([O-])c1cccc(C[N@H+]2CCC23CCC(CO)CC3)c1 ZINC000371478345 381638177 /nfs/dbraw/zinc/63/81/77/381638177.db2.gz WDZWTNDWCATLMN-UHFFFAOYSA-N 0 0 290.363 2.722 20 5 CFBDRN CC1(CCNC(=O)CSc2ccccc2[N+](=O)[O-])CC1 ZINC000348632560 381648538 /nfs/dbraw/zinc/64/85/38/381648538.db2.gz AOKCVEDJBCIHBF-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN COc1cc(Oc2cc(C)nc(C)n2)ccc1[N+](=O)[O-] ZINC000359867160 381649546 /nfs/dbraw/zinc/64/95/46/381649546.db2.gz BBTYPHTVCAHTQX-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN Cc1cc(NCCNc2ccccn2)c(F)cc1[N+](=O)[O-] ZINC000359849981 381639668 /nfs/dbraw/zinc/63/96/68/381639668.db2.gz YUWPJIKSSCUECX-UHFFFAOYSA-N 0 0 290.298 2.961 20 5 CFBDRN CSCCCN(C)c1c(F)cccc1[N+](=O)[O-] ZINC000343031874 381655645 /nfs/dbraw/zinc/65/56/45/381655645.db2.gz CPTXTVGOKPZOMX-UHFFFAOYSA-N 0 0 258.318 2.923 20 5 CFBDRN CC[C@@H]1C[C@@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000343045791 381658978 /nfs/dbraw/zinc/65/89/78/381658978.db2.gz OIMGWRVDBLMNKC-SVRRBLITSA-N 0 0 264.310 2.810 20 5 CFBDRN CC(C)(CCc1noc(COc2ccccc2)n1)[N+](=O)[O-] ZINC000291082608 381659693 /nfs/dbraw/zinc/65/96/93/381659693.db2.gz NWHCPUQXVNXBNV-UHFFFAOYSA-N 0 0 291.307 2.637 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000298537806 381666452 /nfs/dbraw/zinc/66/64/52/381666452.db2.gz YQQWSNWSBOWXMB-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCc1cccs1 ZINC000185572074 381668896 /nfs/dbraw/zinc/66/88/96/381668896.db2.gz WVMNCOZKGGIUIG-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CCC1(C)CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000291059021 381652409 /nfs/dbraw/zinc/65/24/09/381652409.db2.gz KWWAXSNOXVMLSV-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000185709468 381712432 /nfs/dbraw/zinc/71/24/32/381712432.db2.gz KSOPYJYTPGTTHG-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1ncccc1C ZINC000355204008 381674694 /nfs/dbraw/zinc/67/46/94/381674694.db2.gz VUZACLLVVADUQD-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC(C3CCC3)C2)cs1 ZINC000348708931 381678009 /nfs/dbraw/zinc/67/80/09/381678009.db2.gz ANYUIBDKHQHCQB-UHFFFAOYSA-N 0 0 252.339 2.888 20 5 CFBDRN CCO[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])c(C)n1)C(C)C ZINC000355211460 381679515 /nfs/dbraw/zinc/67/95/15/381679515.db2.gz HQCZDBFQFDDQJE-LBPRGKRZSA-N 0 0 295.339 2.688 20 5 CFBDRN Cc1cc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)co1 ZINC000291146198 381682732 /nfs/dbraw/zinc/68/27/32/381682732.db2.gz YVBIEVQQNYVKNM-UHFFFAOYSA-N 0 0 265.269 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H](CO)C2)cc1 ZINC000298609417 381687732 /nfs/dbraw/zinc/68/77/32/381687732.db2.gz NZRHDWHORWSRAM-PWSUYJOCSA-N 0 0 250.298 2.558 20 5 CFBDRN O=C(NCC1(C(F)F)CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000348742646 381692121 /nfs/dbraw/zinc/69/21/21/381692121.db2.gz AJFQIZQWUONPQN-UHFFFAOYSA-N 0 0 288.225 2.509 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Nc2cn(C)nc2C2CC2)n1 ZINC000359953638 381695991 /nfs/dbraw/zinc/69/59/91/381695991.db2.gz DJQODVLXTJJKEP-UHFFFAOYSA-N 0 0 287.323 2.961 20 5 CFBDRN Cc1nnc(CCNc2ccc([N+](=O)[O-])cc2F)s1 ZINC000291196422 381703835 /nfs/dbraw/zinc/70/38/35/381703835.db2.gz UAUSGGVXVLBULF-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN CC(=O)c1ccc(N[C@]2(C)CCO[C@H]2C)c([N+](=O)[O-])c1 ZINC000343434425 381706317 /nfs/dbraw/zinc/70/63/17/381706317.db2.gz AODOCEBDUDLVLO-IINYFYTJSA-N 0 0 278.308 2.777 20 5 CFBDRN COCCN(Cc1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000298729404 381728681 /nfs/dbraw/zinc/72/86/81/381728681.db2.gz MQIPFKPZMANCKR-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN Cc1cc(NCc2noc(C3CC3)n2)ccc1[N+](=O)[O-] ZINC000343464282 381731247 /nfs/dbraw/zinc/73/12/47/381731247.db2.gz MPIJIEGYAKTOOI-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CC(C)N(C(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000298771724 381744610 /nfs/dbraw/zinc/74/46/10/381744610.db2.gz UMSGDFXNNCZBDU-UHFFFAOYSA-N 0 0 254.311 2.669 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360050566 381751136 /nfs/dbraw/zinc/75/11/36/381751136.db2.gz LEVOHNPVMDMUJW-XOULXFPDSA-N 0 0 268.288 2.781 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000298814711 381755337 /nfs/dbraw/zinc/75/53/37/381755337.db2.gz KRQHDRHLBZGPGS-UHFFFAOYSA-N 0 0 266.272 2.747 20 5 CFBDRN Cc1cccnc1N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000348817566 381724471 /nfs/dbraw/zinc/72/44/71/381724471.db2.gz YKOGPZRARILYTA-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN COc1ccc(F)cc1[C@@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000298722749 381724960 /nfs/dbraw/zinc/72/49/60/381724960.db2.gz PEBCWSNOLXWZKU-MRVPVSSYSA-N 0 0 294.286 2.649 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000360010437 381726289 /nfs/dbraw/zinc/72/62/89/381726289.db2.gz NNHOKXKDGDGVAR-ZCFIWIBFSA-N 0 0 292.213 2.740 20 5 CFBDRN COCC1(COc2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000291864314 381782586 /nfs/dbraw/zinc/78/25/86/381782586.db2.gz KMASZEKORQOCJJ-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN CS[C@@H](C)CC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271763996 295632862 /nfs/dbraw/zinc/63/28/62/295632862.db2.gz MWBTUSDKFZLATE-UWVGGRQHSA-N 0 0 282.365 2.914 20 5 CFBDRN Nc1ccc(-c2noc(-c3ccsc3)n2)cc1[N+](=O)[O-] ZINC000355431215 381769528 /nfs/dbraw/zinc/76/95/28/381769528.db2.gz UKCGMXKKDUCNQD-UHFFFAOYSA-N 0 0 288.288 2.956 20 5 CFBDRN C[C@H](c1cccs1)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298868726 381770565 /nfs/dbraw/zinc/77/05/65/381770565.db2.gz WSXIJAYZTFSDTG-MRVPVSSYSA-N 0 0 279.321 2.818 20 5 CFBDRN C[C@]12CCN(c3ncc([N+](=O)[O-])s3)C[C@H]1C2(F)F ZINC000291760236 381771272 /nfs/dbraw/zinc/77/12/72/381771272.db2.gz AYVMZBPOGXOIGZ-MUWHJKNJSA-N 0 0 275.280 2.533 20 5 CFBDRN O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCCO1 ZINC000291825120 381772522 /nfs/dbraw/zinc/77/25/22/381772522.db2.gz SIRSFRAHAMNATG-UHFFFAOYSA-N 0 0 291.090 2.679 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1nc(C)c(C)s1 ZINC000343526464 381774685 /nfs/dbraw/zinc/77/46/85/381774685.db2.gz ONSVVNIJISPUJF-UHFFFAOYSA-N 0 0 293.348 2.711 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCS[C@H](C(C)C)C1 ZINC000360991172 381799776 /nfs/dbraw/zinc/79/97/76/381799776.db2.gz QYWZWCFKFHXVEX-NSHDSACASA-N 0 0 298.412 2.697 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])c(N)c2F)CCOCC1 ZINC000291850225 381777915 /nfs/dbraw/zinc/77/79/15/381777915.db2.gz MJCPLCPAAABWSW-UHFFFAOYSA-N 0 0 297.330 2.935 20 5 CFBDRN CCOC(=O)C1CCC(Nc2ccc([N+](=O)[O-])cn2)CC1 ZINC000299097590 381824026 /nfs/dbraw/zinc/82/40/26/381824026.db2.gz VPWSEMNMTMXSSZ-UHFFFAOYSA-N 0 0 293.323 2.524 20 5 CFBDRN C[C@H](Cn1cccc([N+](=O)[O-])c1=O)C1CCCCC1 ZINC000292774337 381831429 /nfs/dbraw/zinc/83/14/29/381831429.db2.gz XUDYVOYYIGOPGA-LLVKDONJSA-N 0 0 264.325 2.973 20 5 CFBDRN CC(C)OCCCNc1cccc(F)c1[N+](=O)[O-] ZINC000299133727 381836282 /nfs/dbraw/zinc/83/62/82/381836282.db2.gz FUZJCSCMXBTWQF-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN CS[C@@H]1CCCCN(c2ccncc2[N+](=O)[O-])C1 ZINC000361056864 381801538 /nfs/dbraw/zinc/80/15/38/381801538.db2.gz JELFNGFKINIXRW-SNVBAGLBSA-N 0 0 267.354 2.712 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])nc2)CCCCC1 ZINC000355784347 381804600 /nfs/dbraw/zinc/80/46/00/381804600.db2.gz OOMYVHKZTKBGSY-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000299059686 381810181 /nfs/dbraw/zinc/81/01/81/381810181.db2.gz QZMREMJFEPGXCG-CABZTGNLSA-N 0 0 277.324 2.754 20 5 CFBDRN Cc1cc(N2CCC([C@H](C)O)CC2)c(F)cc1[N+](=O)[O-] ZINC000292608003 381811636 /nfs/dbraw/zinc/81/16/36/381811636.db2.gz MTTXHQMWLVVJHO-JTQLQIEISA-N 0 0 282.315 2.640 20 5 CFBDRN O=[N+]([O-])c1ccn(CCN2CC3(CCC3)c3ccccc32)n1 ZINC000372832438 381877741 /nfs/dbraw/zinc/87/77/41/381877741.db2.gz ULOUCCGXRXDZCE-UHFFFAOYSA-N 0 0 298.346 2.733 20 5 CFBDRN CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C1CC1 ZINC000299271153 381879003 /nfs/dbraw/zinc/87/90/03/381879003.db2.gz UUVZCCOJNZPFPL-UHFFFAOYSA-N 0 0 260.249 2.575 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1Cc1nc([C@@H](C)C(C)(C)C)no1 ZINC000356877164 381879655 /nfs/dbraw/zinc/87/96/55/381879655.db2.gz GMZXSKQPJBQHBI-MRVPVSSYSA-N 0 0 293.327 2.681 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cn2)C[C@@H](c2ccccc2)O1 ZINC000299278697 381880570 /nfs/dbraw/zinc/88/05/70/381880570.db2.gz ZVZZNMOZDJRQCY-DOMZBBRYSA-N 0 0 299.330 2.956 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186327595 381883520 /nfs/dbraw/zinc/88/35/20/381883520.db2.gz ZDSMEFKQAOKNLG-SNVBAGLBSA-N 0 0 282.727 2.911 20 5 CFBDRN Cc1nn(C)c(-c2nc([C@@H](C)C(C)(C)C)no2)c1[N+](=O)[O-] ZINC000356884673 381885046 /nfs/dbraw/zinc/88/50/46/381885046.db2.gz VBMWNJAVJRLBBO-SSDOTTSWSA-N 0 0 293.327 2.836 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1ccc(F)c(Cl)c1 ZINC000349240305 381859119 /nfs/dbraw/zinc/85/91/19/381859119.db2.gz HEKFBCMTDSVTMX-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CC(C)(C)[C@H](O)C[N@H+](Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000343699999 381894585 /nfs/dbraw/zinc/89/45/85/381894585.db2.gz XAIBESWJSPUXES-OAHLLOKOSA-N 0 0 292.379 2.966 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000416705590 381897835 /nfs/dbraw/zinc/89/78/35/381897835.db2.gz LJUXETLEEKQKOL-QWRGUYRKSA-N 0 0 289.335 2.755 20 5 CFBDRN CC[C@]1(C)COCCN1C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000356937544 381913849 /nfs/dbraw/zinc/91/38/49/381913849.db2.gz QWLSUECKELAKGT-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(C)[C@@H](C)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CC1 ZINC000299374483 381915360 /nfs/dbraw/zinc/91/53/60/381915360.db2.gz HHDOXFBUEYCVAG-SECBINFHSA-N 0 0 265.313 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CC[C@@H](C3CC3)C1)CCN2 ZINC000373058809 381918871 /nfs/dbraw/zinc/91/88/71/381918871.db2.gz OHXWAEQIRNCCDC-LLVKDONJSA-N 0 0 273.336 2.799 20 5 CFBDRN CO[C@H](CC(C)C)Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000416914082 381968260 /nfs/dbraw/zinc/96/82/60/381968260.db2.gz WJEFHGLXUXWUPO-LLVKDONJSA-N 0 0 267.329 2.730 20 5 CFBDRN CO[C@@H](CC(C)C)Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000416914083 381968346 /nfs/dbraw/zinc/96/83/46/381968346.db2.gz WJEFHGLXUXWUPO-NSHDSACASA-N 0 0 267.329 2.730 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000299424929 381937381 /nfs/dbraw/zinc/93/73/81/381937381.db2.gz VUMQLLUTWUGJEL-SNVBAGLBSA-N 0 0 298.314 2.616 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000186526280 381938045 /nfs/dbraw/zinc/93/80/45/381938045.db2.gz OSLDGVMBQZXUGU-ZBFHGGJFSA-N 0 0 290.363 2.936 20 5 CFBDRN O=C(CCNc1ccc([N+](=O)[O-])cc1)N1CCCCCC1 ZINC000299456221 381949247 /nfs/dbraw/zinc/94/92/47/381949247.db2.gz ASIDHQRYIPEZRK-UHFFFAOYSA-N 0 0 291.351 2.799 20 5 CFBDRN C[C@@H](CNc1c(Cl)cccc1[N+](=O)[O-])c1nncn1C ZINC000293013853 381951728 /nfs/dbraw/zinc/95/17/28/381951728.db2.gz KAWIMUCLNMZXOX-QMMMGPOBSA-N 0 0 295.730 2.592 20 5 CFBDRN COc1cc(N[C@H]2C[C@@H]2C(F)F)c(F)cc1[N+](=O)[O-] ZINC000343805637 381953673 /nfs/dbraw/zinc/95/36/73/381953673.db2.gz HTUYEFODNWYJCG-FSPLSTOPSA-N 0 0 276.214 2.808 20 5 CFBDRN Cn1ccc(COc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000357068742 381995449 /nfs/dbraw/zinc/99/54/49/381995449.db2.gz BHFIBCKUEWUVSG-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN COc1ccc(COc2cccc([N+](=O)[O-])c2C)cn1 ZINC000362304393 381999827 /nfs/dbraw/zinc/99/98/27/381999827.db2.gz GHFUIVBUEPQEHT-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1cnc(N(C)CCc2ccccc2O)c([N+](=O)[O-])c1 ZINC000343878606 382000070 /nfs/dbraw/zinc/00/00/70/382000070.db2.gz PPJBDUXBUZHZMB-UHFFFAOYSA-N 0 0 287.319 2.683 20 5 CFBDRN CC[C@H](C)N(C)C(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000343885200 382003076 /nfs/dbraw/zinc/00/30/76/382003076.db2.gz ASJUQBVJLURHAJ-LBPRGKRZSA-N 0 0 294.351 2.929 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000373308960 381975840 /nfs/dbraw/zinc/97/58/40/381975840.db2.gz NUNVRROVIQMGAH-CYBMUJFWSA-N 0 0 293.319 2.763 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000416976257 381979526 /nfs/dbraw/zinc/97/95/26/381979526.db2.gz QHMGYRUIEIPURT-KOLCDFICSA-N 0 0 295.364 2.919 20 5 CFBDRN CO[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)CC(C)C ZINC000417004880 381983450 /nfs/dbraw/zinc/98/34/50/381983450.db2.gz XNWDQCNPZHFXTK-CYBMUJFWSA-N 0 0 295.339 2.777 20 5 CFBDRN Cc1noc(Cn2c3ccccc3c(=O)c3ccccc32)n1 ZINC000349784758 381989098 /nfs/dbraw/zinc/98/90/98/381989098.db2.gz WVIIGJTXYNNXRD-UHFFFAOYSA-N 0 0 291.310 2.894 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC(C)(C)C1 ZINC000343973708 382042259 /nfs/dbraw/zinc/04/22/59/382042259.db2.gz XYBVIOYGVZRZMB-NSHDSACASA-N 0 0 291.351 2.997 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC12CCC(CC1)C2 ZINC000374600877 382049816 /nfs/dbraw/zinc/04/98/16/382049816.db2.gz DCJVXIIAAVREGJ-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CC(C)=CCCNC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000343986704 382050349 /nfs/dbraw/zinc/05/03/49/382050349.db2.gz FILYKRILQMIOCE-UHFFFAOYSA-N 0 0 298.289 2.888 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccncc1 ZINC000362344388 382017897 /nfs/dbraw/zinc/01/78/97/382017897.db2.gz DYIWTMIDXOWHCM-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN C[C@@H]1C[C@H](c2ccccc2)N(c2c([N+](=O)[O-])ncn2C)C1 ZINC000343928176 382024559 /nfs/dbraw/zinc/02/45/59/382024559.db2.gz DPCWFGUBPNQARY-DGCLKSJQSA-N 0 0 286.335 2.916 20 5 CFBDRN CC(C)(C)c1noc(-c2cccc([N+](=O)[O-])c2N)n1 ZINC000417262752 382026570 /nfs/dbraw/zinc/02/65/70/382026570.db2.gz SFQQLYZULAEEIR-UHFFFAOYSA-N 0 0 262.269 2.525 20 5 CFBDRN Cc1ccnc(N2CCC(OCC3CC3)CC2)c1[N+](=O)[O-] ZINC000374132628 382035954 /nfs/dbraw/zinc/03/59/54/382035954.db2.gz MXEVAACUPSFSIY-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN CC[C@@H](C)CN(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301234025 382115266 /nfs/dbraw/zinc/11/52/66/382115266.db2.gz GRJRDQYGBHPWBN-SECBINFHSA-N 0 0 267.285 2.985 20 5 CFBDRN C[C@@H]1N(C(=O)Nc2cccc([N+](=O)[O-])c2)CCOC1(C)C ZINC000357339613 382117908 /nfs/dbraw/zinc/11/79/08/382117908.db2.gz XQVRDIXOUZKKMA-JTQLQIEISA-N 0 0 293.323 2.626 20 5 CFBDRN Cc1cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])n(C)n1 ZINC000418061740 382122125 /nfs/dbraw/zinc/12/21/25/382122125.db2.gz FKRSUSMOOVXCIE-UHFFFAOYSA-N 0 0 288.351 2.662 20 5 CFBDRN C[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])Cn1cccn1 ZINC000301105519 382082678 /nfs/dbraw/zinc/08/26/78/382082678.db2.gz PHVQEIFMCXRJOP-JTQLQIEISA-N 0 0 278.287 2.679 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CC=CCC1 ZINC000301131018 382088261 /nfs/dbraw/zinc/08/82/61/382088261.db2.gz UPQBMYWMSRNCKH-LLVKDONJSA-N 0 0 289.335 2.723 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000301129944 382088488 /nfs/dbraw/zinc/08/84/88/382088488.db2.gz SUQLIGAYSRCVLP-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1C[C@H]1C(C)C ZINC000187064582 382088955 /nfs/dbraw/zinc/08/89/55/382088955.db2.gz VBSUBORSFFPDMD-QWRGUYRKSA-N 0 0 279.292 2.801 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CCc1ccccn1 ZINC000301147168 382092322 /nfs/dbraw/zinc/09/23/22/382092322.db2.gz BFWQTFSMOVZQAW-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CN1Cc2ccccc2[C@@H](Nc2ncc([N+](=O)[O-])s2)C1 ZINC000375591355 382093012 /nfs/dbraw/zinc/09/30/12/382093012.db2.gz MUTDVELTTMKCOG-NSHDSACASA-N 0 0 290.348 2.650 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000357312486 382101229 /nfs/dbraw/zinc/10/12/29/382101229.db2.gz JFZWBIXUJHKHAF-YUMQZZPRSA-N 0 0 254.261 2.810 20 5 CFBDRN C/C=C/C=C/c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357313701 382101835 /nfs/dbraw/zinc/10/18/35/382101835.db2.gz KWJAZJJHFDVBNW-MQQKCMAXSA-N 0 0 272.264 2.553 20 5 CFBDRN C[C@H]1CN(Cc2c(F)cc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000352015713 382130409 /nfs/dbraw/zinc/13/04/09/382130409.db2.gz RPGKZYYIGCPCOO-DTORHVGOSA-N 0 0 270.279 2.961 20 5 CFBDRN Cc1ccnc(NCc2cn3c(cccc3C)n2)c1[N+](=O)[O-] ZINC000301273990 382131354 /nfs/dbraw/zinc/13/13/54/382131354.db2.gz IJMDOORJDRQDQU-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCC1CCOCC1 ZINC000352019646 382133955 /nfs/dbraw/zinc/13/39/55/382133955.db2.gz BXMWLOYWFYDDCE-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC[C@H]1[C@H]1CCCO1 ZINC000376392153 382134596 /nfs/dbraw/zinc/13/45/96/382134596.db2.gz QUTBECBPQGGAGX-GXTWGEPZSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1-c1nc(-c2ccon2)no1 ZINC000357377452 382141747 /nfs/dbraw/zinc/14/17/47/382141747.db2.gz YFKAHKGBVKPYQW-UHFFFAOYSA-N 0 0 292.638 2.953 20 5 CFBDRN Cc1cc(-c2nc(-c3ccon3)no2)cc([N+](=O)[O-])c1 ZINC000357397960 382150586 /nfs/dbraw/zinc/15/05/86/382150586.db2.gz QHKQGAANPZVVJC-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CNc1nc(CNc2ccccc2[N+](=O)[O-])cs1 ZINC000301358908 382157414 /nfs/dbraw/zinc/15/74/14/382157414.db2.gz NEIJFMKCAWPIJK-UHFFFAOYSA-N 0 0 264.310 2.705 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)C[C@H]2CCCCO2)c1 ZINC000301355844 382157618 /nfs/dbraw/zinc/15/76/18/382157618.db2.gz OMONTSXMHXMEKX-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(N(C[C@@H]2CCCO2)C2CCCC2)nc1 ZINC000301361126 382159755 /nfs/dbraw/zinc/15/97/55/382159755.db2.gz OASVXIJBKMPEMS-AWEZNQCLSA-N 0 0 291.351 2.918 20 5 CFBDRN CO[C@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)(C)C ZINC000362645195 382184878 /nfs/dbraw/zinc/18/48/78/382184878.db2.gz HOEOQNVBADKOST-GFCCVEGCSA-N 0 0 298.314 2.525 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2COC)C1 ZINC000301380407 382162841 /nfs/dbraw/zinc/16/28/41/382162841.db2.gz ZZPFUVCWICKHCI-AWEZNQCLSA-N 0 0 294.351 2.747 20 5 CFBDRN CCCC[N@H+](C)Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000352102052 382171945 /nfs/dbraw/zinc/17/19/45/382171945.db2.gz HQXSDFFEJVUSPZ-UHFFFAOYSA-N 0 0 262.313 2.703 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000301454551 382172042 /nfs/dbraw/zinc/17/20/42/382172042.db2.gz RLUNREDNIJHCDD-RKDXNWHRSA-N 0 0 280.353 2.929 20 5 CFBDRN CCCN(CC)c1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000357495256 382194856 /nfs/dbraw/zinc/19/48/56/382194856.db2.gz YKLOWLJSAYNKCC-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344200019 382195160 /nfs/dbraw/zinc/19/51/60/382195160.db2.gz NTRWRDIYWBNFKH-UWVGGRQHSA-N 0 0 265.313 2.635 20 5 CFBDRN CC[C@@H](O)CCCNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000357499329 382196553 /nfs/dbraw/zinc/19/65/53/382196553.db2.gz DBTFLDDKYYUQCJ-SECBINFHSA-N 0 0 286.303 2.706 20 5 CFBDRN COC1(COc2cccc(C)c2[N+](=O)[O-])CCC1 ZINC000418928598 382196852 /nfs/dbraw/zinc/19/68/52/382196852.db2.gz ZLLARDPTSOXJNU-UHFFFAOYSA-N 0 0 251.282 2.851 20 5 CFBDRN COC1(COc2ccc(C(C)=O)cc2[N+](=O)[O-])CCC1 ZINC000418940392 382197904 /nfs/dbraw/zinc/19/79/04/382197904.db2.gz STTZLLOFTBPJIV-UHFFFAOYSA-N 0 0 279.292 2.745 20 5 CFBDRN CCc1ccccc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344212301 382202337 /nfs/dbraw/zinc/20/23/37/382202337.db2.gz LXNOTPREJDMUGU-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN Nc1c(F)c(NC[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000418986057 382207111 /nfs/dbraw/zinc/20/71/11/382207111.db2.gz FZLPYWFBDGHPOR-SSDOTTSWSA-N 0 0 251.261 2.528 20 5 CFBDRN Cn1cc(Cl)cc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000352178327 382209082 /nfs/dbraw/zinc/20/90/82/382209082.db2.gz LWOSCAOYSWHAQO-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344227451 382213589 /nfs/dbraw/zinc/21/35/89/382213589.db2.gz YJBMKVWOMSEWCR-UHFFFAOYSA-N 0 0 254.261 2.652 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN(C)[C@@H]1CCCOC1 ZINC000352187354 382213810 /nfs/dbraw/zinc/21/38/10/382213810.db2.gz RFHJTMNRKASBMN-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN CCc1nn(C)c(NCCC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000302217945 382217152 /nfs/dbraw/zinc/21/71/52/382217152.db2.gz KPPADHKMOWCHKP-LLVKDONJSA-N 0 0 296.371 2.652 20 5 CFBDRN COC1CC(N(C)Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000352194492 382218090 /nfs/dbraw/zinc/21/80/90/382218090.db2.gz KFFMERPLYHSGPF-UHFFFAOYSA-N 0 0 284.743 2.857 20 5 CFBDRN CC(C)N(CCc1nccs1)c1ccc([N+](=O)[O-])cn1 ZINC000302225342 382219684 /nfs/dbraw/zinc/21/96/84/382219684.db2.gz RFRPXGDEVHXXJE-UHFFFAOYSA-N 0 0 292.364 2.904 20 5 CFBDRN Cc1cc(N[C@@H]2CCN(CC(F)F)C2)ccc1[N+](=O)[O-] ZINC000419026357 382221408 /nfs/dbraw/zinc/22/14/08/382221408.db2.gz UBVPYIOTZOCIAT-LLVKDONJSA-N 0 0 285.294 2.655 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1Cc2ccccc2CO1 ZINC000377192454 382233998 /nfs/dbraw/zinc/23/39/98/382233998.db2.gz YFESBJAYAIRRKS-UHFFFAOYSA-N 0 0 284.271 2.682 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1COc2ccccc2[C@H]1O ZINC000377193217 382234296 /nfs/dbraw/zinc/23/42/96/382234296.db2.gz QDNIWHWHDMXBLI-SWLSCSKDSA-N 0 0 286.287 2.501 20 5 CFBDRN Cc1ccnc(N2CC3(CCC3(F)F)C2)c1[N+](=O)[O-] ZINC000357577486 382235151 /nfs/dbraw/zinc/23/51/51/382235151.db2.gz DKQNBTOMNFCLSM-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H]2OCCC[C@H]2C1 ZINC000301698267 382187081 /nfs/dbraw/zinc/18/70/81/382187081.db2.gz CDIJNNPOTTUAJQ-GXFFZTMASA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](c1nc[nH]n1)c1ccccc1 ZINC000376924004 382191550 /nfs/dbraw/zinc/19/15/50/382191550.db2.gz DTZVFGCZBGZRMJ-CQSZACIVSA-N 0 0 295.302 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](c2nc[nH]n2)c2ccccc2)cc1 ZINC000376923594 382192187 /nfs/dbraw/zinc/19/21/87/382192187.db2.gz BKHFCUXJBQVSJS-AWEZNQCLSA-N 0 0 295.302 2.914 20 5 CFBDRN CCOc1cc(N2CCC[C@H]([C@H](C)O)C2)ccc1[N+](=O)[O-] ZINC000301791386 382192521 /nfs/dbraw/zinc/19/25/21/382192521.db2.gz RJMGSYDRIOKEOL-RYUDHWBXSA-N 0 0 294.351 2.591 20 5 CFBDRN CCOc1cc(N2CC[C@@H](C)[C@@H](OC)C2)ccc1[N+](=O)[O-] ZINC000302602055 382275829 /nfs/dbraw/zinc/27/58/29/382275829.db2.gz YRGLKYUDGKUWCE-ABAIWWIYSA-N 0 0 294.351 2.855 20 5 CFBDRN COc1cccc(N2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000357687865 382280330 /nfs/dbraw/zinc/28/03/30/382280330.db2.gz TWRLBNOUMFJYAX-VIFPVBQESA-N 0 0 254.261 2.542 20 5 CFBDRN CN(C(=O)[C@H]1C[C@H]1c1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000377532380 382283662 /nfs/dbraw/zinc/28/36/62/382283662.db2.gz VHELDIBPMCGBJO-GJZGRUSLSA-N 0 0 297.314 2.756 20 5 CFBDRN CS[C@H](C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352354710 382286205 /nfs/dbraw/zinc/28/62/05/382286205.db2.gz DNQHQJCEMOQPNU-SECBINFHSA-N 0 0 277.349 2.728 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC2(CCC2(F)F)C1 ZINC000357586930 382239440 /nfs/dbraw/zinc/23/94/40/382239440.db2.gz QSOXQUSBVUOUBD-UHFFFAOYSA-N 0 0 289.669 2.879 20 5 CFBDRN O=C(NCc1ccco1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000344267377 382240634 /nfs/dbraw/zinc/24/06/34/382240634.db2.gz WXGUYOLPPUVBHA-UHFFFAOYSA-N 0 0 286.243 2.864 20 5 CFBDRN CCc1cnccc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000357589388 382241007 /nfs/dbraw/zinc/24/10/07/382241007.db2.gz BEJDVBYYQAPDNB-UHFFFAOYSA-N 0 0 285.303 2.535 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@H]3CCC[C@@H]32)c(F)c1 ZINC000364243857 382289997 /nfs/dbraw/zinc/28/99/97/382289997.db2.gz MJLTZUPCEXKWEL-KBPBESRZSA-N 0 0 280.299 2.882 20 5 CFBDRN Cc1ccnc(N2CCC3(CCOCC3)[C@H]2C)c1[N+](=O)[O-] ZINC000363781944 382246174 /nfs/dbraw/zinc/24/61/74/382246174.db2.gz SWXKCJZJJBGQPK-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC3(CCCC3)C2)cc1 ZINC000302420702 382248468 /nfs/dbraw/zinc/24/84/68/382248468.db2.gz OCQXZVRCBIAEBN-UHFFFAOYSA-N 0 0 276.336 2.992 20 5 CFBDRN CC(C)C[C@@H](CO)N(C)c1c(F)cc([N+](=O)[O-])cc1F ZINC000302439554 382250523 /nfs/dbraw/zinc/25/05/23/382250523.db2.gz QYUYWLFFQONJPP-JTQLQIEISA-N 0 0 288.294 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@@H]1CCc2nc[nH]c2C1 ZINC000377333193 382253607 /nfs/dbraw/zinc/25/36/07/382253607.db2.gz ZQDHXBVZIFWZJU-SECBINFHSA-N 0 0 292.726 2.941 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1cccc(C)c1[N+](=O)[O-] ZINC000302472538 382253864 /nfs/dbraw/zinc/25/38/64/382253864.db2.gz DCVYXXZLUNNZFN-CABZTGNLSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1nc(NCC(F)(F)c2ccccc2)ccc1[N+](=O)[O-] ZINC000357619211 382253973 /nfs/dbraw/zinc/25/39/73/382253973.db2.gz PZHBMNQKNFDKCA-UHFFFAOYSA-N 0 0 293.273 2.924 20 5 CFBDRN Cc1cccc(NCc2cccnc2N(C)C)c1[N+](=O)[O-] ZINC000302480944 382255722 /nfs/dbraw/zinc/25/57/22/382255722.db2.gz GLOGRSDFLZWLCQ-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCc1ccncc1 ZINC000352361882 382290922 /nfs/dbraw/zinc/29/09/22/382290922.db2.gz STAXWXBTMQVSHH-UHFFFAOYSA-N 0 0 294.314 2.615 20 5 CFBDRN Cc1cccc(NCCNC(=O)c2ccccc2)c1[N+](=O)[O-] ZINC000302498927 382258341 /nfs/dbraw/zinc/25/83/41/382258341.db2.gz NUNYMWRVHGWHOW-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN Cc1c(OC[C@@H]2CC3(CCC3)C(=O)O2)cccc1[N+](=O)[O-] ZINC000187902182 382291540 /nfs/dbraw/zinc/29/15/40/382291540.db2.gz WESMXSSSCKGBGF-NSHDSACASA-N 0 0 291.303 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3ccncn3)C2)cc1 ZINC000377432607 382270649 /nfs/dbraw/zinc/27/06/49/382270649.db2.gz OTCSCXHOPUCJEJ-GFCCVEGCSA-N 0 0 284.319 2.769 20 5 CFBDRN COCCC1(NC(=O)c2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000357744256 382302480 /nfs/dbraw/zinc/30/24/80/382302480.db2.gz KYYHZJWGVRXEHB-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCn1ccc(CN(C)c2ncc(C)cc2[N+](=O)[O-])c1 ZINC000302730452 382304455 /nfs/dbraw/zinc/30/44/55/382304455.db2.gz RYEJPTIXIFTMET-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN COCCCSCCc1ccc([N+](=O)[O-])cc1 ZINC000187944417 382306068 /nfs/dbraw/zinc/30/60/68/382306068.db2.gz ORJLBYBNPVFLDA-UHFFFAOYSA-N 0 0 255.339 2.907 20 5 CFBDRN O=C(NCc1coc2ccccc12)c1cc([N+](=O)[O-])c[nH]1 ZINC000352395336 382306296 /nfs/dbraw/zinc/30/62/96/382306296.db2.gz GNUJXPORLJEXRR-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOC[C@H]1c1ccco1 ZINC000364332882 382309701 /nfs/dbraw/zinc/30/97/01/382309701.db2.gz ZCKHFYXWERQHSA-ZDUSSCGKSA-N 0 0 292.266 2.905 20 5 CFBDRN NC(=O)c1cccc(Sc2ccc([N+](=O)[O-])cc2F)c1 ZINC000357772285 382312731 /nfs/dbraw/zinc/31/27/31/382312731.db2.gz BAWVLAKWJRTIGT-UHFFFAOYSA-N 0 0 292.291 2.984 20 5 CFBDRN CC(C)C1(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000293871972 382316231 /nfs/dbraw/zinc/31/62/31/382316231.db2.gz HUUKQEMCVLRTAP-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN Nc1ccc(CNc2ccc3ncccc3c2[N+](=O)[O-])cn1 ZINC000302777436 382316566 /nfs/dbraw/zinc/31/65/66/382316566.db2.gz PLOVVCQSBLHXLK-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C3CCCCC3)C2)nc1 ZINC000364636369 382345034 /nfs/dbraw/zinc/34/50/34/382345034.db2.gz WITKWESJJDBXBA-AWEZNQCLSA-N 0 0 291.351 2.775 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)NCc1c(F)cc([N+](=O)[O-])cc1F ZINC000352421292 382322080 /nfs/dbraw/zinc/32/20/80/382322080.db2.gz KGMQVWAOWLXOMT-ISVAXAHUSA-N 0 0 286.278 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@H]1c2ccccc2C[C@H]1O ZINC000302822295 382326488 /nfs/dbraw/zinc/32/64/88/382326488.db2.gz SGEIPYASMXDROX-CABCVRRESA-N 0 0 288.278 2.804 20 5 CFBDRN Cc1ccnc(N2CC[C@H](c3ccco3)C2)c1[N+](=O)[O-] ZINC000377742717 382333209 /nfs/dbraw/zinc/33/32/09/382333209.db2.gz LFLSLHYGFFTGOI-NSHDSACASA-N 0 0 273.292 2.885 20 5 CFBDRN CC(C)[C@@]1(CO)CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000293917212 382336803 /nfs/dbraw/zinc/33/68/03/382336803.db2.gz DKJMPSJTTIWZFD-HNNXBMFYSA-N 0 0 278.352 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCC1(CO)CCCC1)CCN2 ZINC000302876147 382340531 /nfs/dbraw/zinc/34/05/31/382340531.db2.gz FZOLOWROLFPDGX-UHFFFAOYSA-N 0 0 291.351 2.527 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000352455927 382343113 /nfs/dbraw/zinc/34/31/13/382343113.db2.gz JXQPXRFCEHEMNN-VIFPVBQESA-N 0 0 263.297 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ncccn2)c(C(F)(F)F)c1 ZINC000187912469 382294301 /nfs/dbraw/zinc/29/43/01/382294301.db2.gz WQOIMUXOYDKCLP-UHFFFAOYSA-N 0 0 299.208 2.983 20 5 CFBDRN C[C@H]1CC[C@@](CO)([N@H+](C)Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000187918487 382296651 /nfs/dbraw/zinc/29/66/51/382296651.db2.gz GEDIOYFVSRSUAY-XHDPSFHLSA-N 0 0 296.342 2.717 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCC[C@@]23CCOC3)c(F)c1 ZINC000352376615 382297305 /nfs/dbraw/zinc/29/73/05/382297305.db2.gz SNAUTJMBYIIXDM-AWEZNQCLSA-N 0 0 298.289 2.628 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@H](c2ccco2)C1 ZINC000377610920 382300915 /nfs/dbraw/zinc/30/09/15/382300915.db2.gz YYNLCNKCBMSQAX-VIFPVBQESA-N 0 0 292.316 2.879 20 5 CFBDRN CSCCCCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000188152447 382357034 /nfs/dbraw/zinc/35/70/34/382357034.db2.gz OBNNZHKOWXYKTD-UHFFFAOYSA-N 0 0 288.394 2.919 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc([N+](=O)[O-])cc2)CCCO1 ZINC000294056177 382396965 /nfs/dbraw/zinc/39/69/65/382396965.db2.gz MMAOTPJRKAZNBE-CQSZACIVSA-N 0 0 264.325 2.846 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H]2CF)c1 ZINC000293987440 382365994 /nfs/dbraw/zinc/36/59/94/382365994.db2.gz GWLRSOMEGJUAOV-VIFPVBQESA-N 0 0 298.339 2.891 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000293994186 382369521 /nfs/dbraw/zinc/36/95/21/382369521.db2.gz JBEXXJZYODWILN-SECBINFHSA-N 0 0 286.278 2.845 20 5 CFBDRN Cc1cc(C(=O)N2CCOC(C)(C)[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000357918386 382374711 /nfs/dbraw/zinc/37/47/11/382374711.db2.gz SXCXKQRNJZCBAD-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)[C@]1(CO)CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000377979226 382378745 /nfs/dbraw/zinc/37/87/45/382378745.db2.gz WFOVKKMJBSVLQA-CQSZACIVSA-N 0 0 282.315 2.579 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000357981910 382398002 /nfs/dbraw/zinc/39/80/02/382398002.db2.gz CDJHFBUZJSYGNW-NWDGAFQWSA-N 0 0 292.335 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@@]3(CCCO3)[C@H]2C2CC2)cc1 ZINC000294026682 382383501 /nfs/dbraw/zinc/38/35/01/382383501.db2.gz NVIGRRWQEKSSIA-CVEARBPZSA-N 0 0 288.347 2.738 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000346521909 382395028 /nfs/dbraw/zinc/39/50/28/382395028.db2.gz JTXISBTYCOBRNC-LLVKDONJSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1nccc(C)c1[N+](=O)[O-])OC ZINC000294119349 382423960 /nfs/dbraw/zinc/42/39/60/382423960.db2.gz DNRWUTJUMVIOKI-GXSJLCMTSA-N 0 0 267.329 2.771 20 5 CFBDRN C[C@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccon1 ZINC000352636636 382427624 /nfs/dbraw/zinc/42/76/24/382427624.db2.gz IMRHTOLQISKLLJ-ZETCQYMHSA-N 0 0 295.682 2.727 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCCC1=CCCC1 ZINC000420618915 382428499 /nfs/dbraw/zinc/42/84/99/382428499.db2.gz XLJXGTUASUCPJR-UHFFFAOYSA-N 0 0 275.308 2.606 20 5 CFBDRN CC[C@H]1C[C@H](Nc2nccc(C)c2[N+](=O)[O-])CCO1 ZINC000420617566 382428791 /nfs/dbraw/zinc/42/87/91/382428791.db2.gz KDMHWNZHAFEPKU-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CCC1CC1 ZINC000346597430 382431070 /nfs/dbraw/zinc/43/10/70/382431070.db2.gz PWYOVIKUMJKMIF-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN COC1(CCNC(=O)c2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000294145266 382433174 /nfs/dbraw/zinc/43/31/74/382433174.db2.gz XGHMZXTYMRODOI-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCCOc1cccc(Cn2cc([N+](=O)[O-])ccc2=O)c1 ZINC000294146173 382433805 /nfs/dbraw/zinc/43/38/05/382433805.db2.gz GYGBVKMSDYNIKR-UHFFFAOYSA-N 0 0 288.303 2.594 20 5 CFBDRN COc1cc(NCCCC2(O)CCC2)c(F)cc1[N+](=O)[O-] ZINC000420629300 382435257 /nfs/dbraw/zinc/43/52/57/382435257.db2.gz GECYALREDWDKIT-UHFFFAOYSA-N 0 0 298.314 2.850 20 5 CFBDRN C[C@H]1CCC[C@H]1CNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420635059 382436548 /nfs/dbraw/zinc/43/65/48/382436548.db2.gz YVUHXCCSNXCRMZ-UWVGGRQHSA-N 0 0 277.324 2.542 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](CCO)CC2)c(Cl)c1 ZINC000420645662 382441925 /nfs/dbraw/zinc/44/19/25/382441925.db2.gz UXLUYDIRSKVHNE-JTQLQIEISA-N 0 0 299.758 2.632 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H]3CCCC[C@H]32)cccc1[N+](=O)[O-] ZINC000365797313 382446542 /nfs/dbraw/zinc/44/65/42/382446542.db2.gz MRWBMURLPOZWEF-UKRRQHHQSA-N 0 0 288.347 2.847 20 5 CFBDRN CC(F)(F)CCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000294085233 382409916 /nfs/dbraw/zinc/40/99/16/382409916.db2.gz ARDNLFIFZTYOHZ-UHFFFAOYSA-N 0 0 275.211 2.960 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420593460 382415881 /nfs/dbraw/zinc/41/58/81/382415881.db2.gz BQXAMHCLHXLQPQ-VHSXEESVSA-N 0 0 279.340 2.930 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000294105783 382417573 /nfs/dbraw/zinc/41/75/73/382417573.db2.gz RYONGSZBUIIVQB-VIFPVBQESA-N 0 0 268.700 2.873 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1ncc([N+](=O)[O-])cc1C)OC ZINC000294235009 382470564 /nfs/dbraw/zinc/47/05/64/382470564.db2.gz OQWLEBIRGFSNHD-JOYOIKCWSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1ccc(CN2CCOc3ccc([N+](=O)[O-])cc3C2)nc1 ZINC000352704466 382451624 /nfs/dbraw/zinc/45/16/24/382451624.db2.gz FYHMVKIWAQDSOI-UHFFFAOYSA-N 0 0 299.330 2.693 20 5 CFBDRN CC(F)(F)CCNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000294188250 382451953 /nfs/dbraw/zinc/45/19/53/382451953.db2.gz KUQKEHIEFNKRPY-UHFFFAOYSA-N 0 0 299.277 2.937 20 5 CFBDRN Cc1cnn(C)c1CNCc1ccc([N+](=O)[O-])cc1Cl ZINC000352722265 382459487 /nfs/dbraw/zinc/45/94/87/382459487.db2.gz ABUVURJGCJMAGZ-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN CCN(CC1CCC1)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000358142655 382468073 /nfs/dbraw/zinc/46/80/73/382468073.db2.gz ABPRNWARJCLCRI-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H](C)[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000188862283 382493987 /nfs/dbraw/zinc/49/39/87/382493987.db2.gz OAHMNUAYAPSVGM-KOLCDFICSA-N 0 0 292.335 2.782 20 5 CFBDRN C[C@@H]1CCC[C@@]1(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000294289231 382495624 /nfs/dbraw/zinc/49/56/24/382495624.db2.gz XZNJPSJKDQCJRA-PRHODGIISA-N 0 0 285.731 2.606 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000358172814 382486619 /nfs/dbraw/zinc/48/66/19/382486619.db2.gz RQMNBZDEEBQAGJ-UHFFFAOYSA-N 0 0 294.355 2.704 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC(C)(C)C1=CCCC1 ZINC000309733533 382491293 /nfs/dbraw/zinc/49/12/93/382491293.db2.gz SUEMLEAEFJZIGD-UHFFFAOYSA-N 0 0 264.329 2.877 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOCC2CCCC2)n1 ZINC000313465758 382520366 /nfs/dbraw/zinc/52/03/66/382520366.db2.gz DBMOTEPBSQJUHI-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Cc1cc(C(=O)NCc2ccncc2C)cc([N+](=O)[O-])c1 ZINC000188878467 382497421 /nfs/dbraw/zinc/49/74/21/382497421.db2.gz SRGCAVLZHNKMRY-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2coc3ccccc23)nc1 ZINC000358210085 382506224 /nfs/dbraw/zinc/50/62/24/382506224.db2.gz MVRGXWKQYAWKAV-UHFFFAOYSA-N 0 0 269.260 2.770 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000421443879 382539093 /nfs/dbraw/zinc/53/90/93/382539093.db2.gz GZTRTFOWQJNNDM-BXKDBHETSA-N 0 0 260.293 2.572 20 5 CFBDRN COc1nn(C)cc1N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000353386078 382540219 /nfs/dbraw/zinc/54/02/19/382540219.db2.gz VHYKRLYQTCQEJX-SNVBAGLBSA-N 0 0 290.323 2.818 20 5 CFBDRN C[C@]1(F)CCCN(c2cc(N)ccc2[N+](=O)[O-])C1 ZINC000294892838 382541116 /nfs/dbraw/zinc/54/11/16/382541116.db2.gz HFUBIHPSSHDQGO-LBPRGKRZSA-N 0 0 253.277 2.505 20 5 CFBDRN CO[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CC[C@@H]1C ZINC000189258890 382575914 /nfs/dbraw/zinc/57/59/14/382575914.db2.gz VGPRWTPPSISDAH-HZMBPMFUSA-N 0 0 282.315 2.591 20 5 CFBDRN COc1cc(N[C@H](C)c2ccccc2[N+](=O)[O-])nn1C ZINC000383421939 382543662 /nfs/dbraw/zinc/54/36/62/382543662.db2.gz CPOVPEASFMMGGZ-SECBINFHSA-N 0 0 276.296 2.510 20 5 CFBDRN CN(Cc1cncc(F)c1)Cc1ccccc1[N+](=O)[O-] ZINC000346850908 382544011 /nfs/dbraw/zinc/54/40/11/382544011.db2.gz SMAREADAWJTVGT-UHFFFAOYSA-N 0 0 275.283 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(C3=CCCCC3)n2)nc1 ZINC000346860748 382548796 /nfs/dbraw/zinc/54/87/96/382548796.db2.gz ALTUCFPMUJXFOE-UHFFFAOYSA-N 0 0 286.291 2.921 20 5 CFBDRN Cc1nnsc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000384133696 382550215 /nfs/dbraw/zinc/55/02/15/382550215.db2.gz BIGKQPGRRHXGMM-UHFFFAOYSA-N 0 0 268.273 2.506 20 5 CFBDRN CSC[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421477285 382551641 /nfs/dbraw/zinc/55/16/41/382551641.db2.gz OMFWLNIWVZFRGE-JTQLQIEISA-N 0 0 282.365 2.693 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000421480338 382552569 /nfs/dbraw/zinc/55/25/69/382552569.db2.gz HFLUDNUIJMZRRK-LBPRGKRZSA-N 0 0 274.320 2.746 20 5 CFBDRN COC1(CNc2c3c(ccc2[N+](=O)[O-])NCC3)CCCC1 ZINC000294961286 382552714 /nfs/dbraw/zinc/55/27/14/382552714.db2.gz NLVUOTHJSOMVLT-UHFFFAOYSA-N 0 0 291.351 2.934 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H](C2CC2)C1 ZINC000367046686 382556261 /nfs/dbraw/zinc/55/62/61/382556261.db2.gz YEFBBWKQGBNEMD-JTQLQIEISA-N 0 0 250.273 2.970 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@@H](C3CC3)C2)c1 ZINC000367283069 382563104 /nfs/dbraw/zinc/56/31/04/382563104.db2.gz RJEUDUFTJPGTTI-LLVKDONJSA-N 0 0 261.325 2.803 20 5 CFBDRN CO[C@@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000421509640 382564037 /nfs/dbraw/zinc/56/40/37/382564037.db2.gz SEURHQSBUPIKOQ-NWDGAFQWSA-N 0 0 292.335 2.509 20 5 CFBDRN C[C@@H](CCCO)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000295045918 382565230 /nfs/dbraw/zinc/56/52/30/382565230.db2.gz XCCPYRDACPICTP-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN CO[C@H](CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C(C)C ZINC000421514356 382565939 /nfs/dbraw/zinc/56/59/39/382565939.db2.gz VVSPCQQJZPLWLT-CQSZACIVSA-N 0 0 294.351 2.612 20 5 CFBDRN CSCCN(C)C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421525210 382568406 /nfs/dbraw/zinc/56/84/06/382568406.db2.gz QLJRHQQBODGOGZ-UHFFFAOYSA-N 0 0 282.365 2.647 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@]1(C)CCCO1 ZINC000421522915 382568806 /nfs/dbraw/zinc/56/88/06/382568806.db2.gz NFVLGAMDRPBSKU-OAHLLOKOSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CC23CC3)c2cc([N+](=O)[O-])ccc2n1 ZINC000421525607 382569587 /nfs/dbraw/zinc/56/95/87/382569587.db2.gz VQFJSYCKNOKTOJ-GFCCVEGCSA-N 0 0 297.314 2.612 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(F)(F)F ZINC000346919986 382572381 /nfs/dbraw/zinc/57/23/81/382572381.db2.gz NNVBUWYEJYWCNW-SNVBAGLBSA-N 0 0 290.241 2.974 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CSc3cccnn3)nc2c1 ZINC000358256660 382531389 /nfs/dbraw/zinc/53/13/89/382531389.db2.gz CVXFYTYMGXBKEQ-UHFFFAOYSA-N 0 0 288.288 2.818 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CCNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000358266635 382536143 /nfs/dbraw/zinc/53/61/43/382536143.db2.gz SAEIVHBUABQQPH-GHMZBOCLSA-N 0 0 279.340 2.869 20 5 CFBDRN CSc1ccccc1Cn1cc([N+](=O)[O-])c(C)cc1=O ZINC000358266224 382536744 /nfs/dbraw/zinc/53/67/44/382536744.db2.gz SOIBICQCWJCPLF-UHFFFAOYSA-N 0 0 290.344 2.835 20 5 CFBDRN Cc1c(C[N@H+]2C[C@@H](C(=O)[O-])CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000397021686 382632512 /nfs/dbraw/zinc/63/25/12/382632512.db2.gz RSPAUSCFKLVSKK-MFKMUULPSA-N 0 0 292.335 2.588 20 5 CFBDRN CC[C@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000346967243 382591206 /nfs/dbraw/zinc/59/12/06/382591206.db2.gz ZANNZXTYBGRIFQ-JTQLQIEISA-N 0 0 290.241 2.594 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000358389660 382597601 /nfs/dbraw/zinc/59/76/01/382597601.db2.gz GQMZFJHJLHXELD-OAHLLOKOSA-N 0 0 294.326 2.925 20 5 CFBDRN CC(C)c1ncc(NC(=O)c2csc([N+](=O)[O-])c2)cn1 ZINC000279821710 194586727 /nfs/dbraw/zinc/58/67/27/194586727.db2.gz BKNJCDRLWGASBZ-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CC=CCC1 ZINC000358399081 382600391 /nfs/dbraw/zinc/60/03/91/382600391.db2.gz UTPDYUGTRXZLQH-LLVKDONJSA-N 0 0 278.283 2.501 20 5 CFBDRN CN(Cc1ccoc1)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358417164 382605610 /nfs/dbraw/zinc/60/56/10/382605610.db2.gz DSALPDFLBAOAHK-UHFFFAOYSA-N 0 0 292.266 2.528 20 5 CFBDRN CCc1ccc(OC[C@@H](O)CC(F)(F)F)c([N+](=O)[O-])c1 ZINC000189420279 382606089 /nfs/dbraw/zinc/60/60/89/382606089.db2.gz JSXUNRCWLQMUHR-VIFPVBQESA-N 0 0 293.241 2.849 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)O[C@@H](C)[C@H]1C ZINC000353697060 382608853 /nfs/dbraw/zinc/60/88/53/382608853.db2.gz PCPYARFRESZHQR-TUAOUCFPSA-N 0 0 292.335 2.541 20 5 CFBDRN CO[C@@H]1CC[C@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000189435717 382609288 /nfs/dbraw/zinc/60/92/88/382609288.db2.gz CSJMYHKSCRERJX-CMPLNLGQSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCC(C)(C)O1)c1ccc([N+](=O)[O-])cc1 ZINC000295301210 382611226 /nfs/dbraw/zinc/61/12/26/382611226.db2.gz JJMWRBYDCCBSLT-GWCFXTLKSA-N 0 0 292.335 2.730 20 5 CFBDRN CC1(C)OCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000295324259 382617083 /nfs/dbraw/zinc/61/70/83/382617083.db2.gz ABCJKCLAGABRAX-NSHDSACASA-N 0 0 298.726 2.546 20 5 CFBDRN CCOc1cccc(NC[C@@H](CO)C(C)C)c1[N+](=O)[O-] ZINC000394037905 382625606 /nfs/dbraw/zinc/62/56/06/382625606.db2.gz IJQPHFJNRRGJQT-NSHDSACASA-N 0 0 282.340 2.670 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1cccc(F)c1[N+](=O)[O-] ZINC000189598797 382628810 /nfs/dbraw/zinc/62/88/10/382628810.db2.gz FNQLRDNRWFTANW-MRVPVSSYSA-N 0 0 278.287 2.949 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])O[C@@H]1CCc2cccnc21 ZINC000189280226 382581170 /nfs/dbraw/zinc/58/11/70/382581170.db2.gz AIARQKNYAZCZHT-CQSZACIVSA-N 0 0 298.298 2.763 20 5 CFBDRN Cc1cncc(CN(C)Cc2ccccc2[N+](=O)[O-])c1 ZINC000189760594 382639925 /nfs/dbraw/zinc/63/99/25/382639925.db2.gz ARSXELJCMRDMLY-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)/C=C/c1ccc[nH]1 ZINC000189827981 382642107 /nfs/dbraw/zinc/64/21/07/382642107.db2.gz HYORJRREAHFOGA-CMDGGOBGSA-N 0 0 285.303 2.595 20 5 CFBDRN Cc1cncc(CSc2ccc([N+](=O)[O-])cn2)c1 ZINC000189864563 382643355 /nfs/dbraw/zinc/64/33/55/382643355.db2.gz WBPIGKKCUWNQRG-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN COc1ccc(OCc2c(C)noc2C)cc1[N+](=O)[O-] ZINC000190190348 382656370 /nfs/dbraw/zinc/65/63/70/382656370.db2.gz DMVANKAAAMUKQW-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000190330641 382662680 /nfs/dbraw/zinc/66/26/80/382662680.db2.gz HQFVJTDSJFGKQA-IUCAKERBSA-N 0 0 283.353 2.811 20 5 CFBDRN Cc1cc(C(=O)NCCC2(C)CC2)ccc1[N+](=O)[O-] ZINC000279856170 194602938 /nfs/dbraw/zinc/60/29/38/194602938.db2.gz BFOHMJBCJZUCLJ-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1ccc(OC[C@H]2CC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000190678061 382677347 /nfs/dbraw/zinc/67/73/47/382677347.db2.gz CZNPGCFNSVUTBN-ZYHUDNBSSA-N 0 0 251.282 2.850 20 5 CFBDRN COc1ccc(OC(=O)C(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000191014016 382688369 /nfs/dbraw/zinc/68/83/69/382688369.db2.gz ZSUOTAKHDNSASR-UHFFFAOYSA-N 0 0 291.303 2.945 20 5 CFBDRN CCCSCC(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191028071 382688802 /nfs/dbraw/zinc/68/88/02/382688802.db2.gz QSQZBARSXRJBSA-UHFFFAOYSA-N 0 0 285.321 2.652 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1C[C@@H]2CC[C@@H](O)C[C@H]2C1 ZINC000398916774 382636677 /nfs/dbraw/zinc/63/66/77/382636677.db2.gz POZKFUCNCOOVCG-GARJFASQSA-N 0 0 296.754 2.845 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CCS1 ZINC000191355962 382698984 /nfs/dbraw/zinc/69/89/84/382698984.db2.gz XOPNKTPRBWXEQP-MRVPVSSYSA-N 0 0 286.378 2.624 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H](O)C1CCCCC1 ZINC000191360399 382699103 /nfs/dbraw/zinc/69/91/03/382699103.db2.gz MHKUQOGYHRGTSJ-CYBMUJFWSA-N 0 0 265.309 2.915 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@H]1CCC2(CCCCC2)O1 ZINC000191825969 382702653 /nfs/dbraw/zinc/70/26/53/382702653.db2.gz HSODVJJEBNQJCZ-GFCCVEGCSA-N 0 0 292.335 2.638 20 5 CFBDRN C[C@@H]1CCSCCN1Cc1csc([N+](=O)[O-])c1 ZINC000191821152 382702698 /nfs/dbraw/zinc/70/26/98/382702698.db2.gz UWOQBZNEIPLCKT-SECBINFHSA-N 0 0 272.395 2.984 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC=CCC2)cc([N+](=O)[O-])c1C ZINC000191927659 382703466 /nfs/dbraw/zinc/70/34/66/382703466.db2.gz KMUPBIDCRZFZRD-LBPRGKRZSA-N 0 0 290.319 2.750 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ncc(F)cc1F ZINC000192083532 382704666 /nfs/dbraw/zinc/70/46/66/382704666.db2.gz YHPXDXCUXCWRNS-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ncc(F)cc1F ZINC000192083658 382704745 /nfs/dbraw/zinc/70/47/45/382704745.db2.gz VOWOPWBGFBPBLE-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CCCN1C(=O)N(c2cccc([N+](=O)[O-])c2)C(=O)[C@H]1CC ZINC000192402873 382708596 /nfs/dbraw/zinc/70/85/96/382708596.db2.gz GSGHRCITFJEKCE-GFCCVEGCSA-N 0 0 291.307 2.552 20 5 CFBDRN CC(C)C[C@@H](CCO)CNc1ncc([N+](=O)[O-])s1 ZINC000192473172 382709271 /nfs/dbraw/zinc/70/92/71/382709271.db2.gz BZGZGWLEKWWUQL-SECBINFHSA-N 0 0 273.358 2.508 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCCOC2CCCC2)c1 ZINC000192786300 382712309 /nfs/dbraw/zinc/71/23/09/382712309.db2.gz YZEAHQXAQPVTDX-UHFFFAOYSA-N 0 0 279.340 2.938 20 5 CFBDRN CCN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000193333173 382718802 /nfs/dbraw/zinc/71/88/02/382718802.db2.gz LNXZVVMNKOXMKH-UHFFFAOYSA-N 0 0 256.327 2.774 20 5 CFBDRN CSC(C)(C)CNc1cc(N)ccc1[N+](=O)[O-] ZINC000193461227 382720021 /nfs/dbraw/zinc/72/00/21/382720021.db2.gz PQWIWVYZLCUOAW-UHFFFAOYSA-N 0 0 255.343 2.731 20 5 CFBDRN Cc1cc(NC(=O)N[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000193551898 382720835 /nfs/dbraw/zinc/72/08/35/382720835.db2.gz MIKKBEIZUBURNH-SECBINFHSA-N 0 0 263.297 2.823 20 5 CFBDRN C[C@H](NC(=O)NC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000193668855 382722437 /nfs/dbraw/zinc/72/24/37/382722437.db2.gz VSBJLYXVPJIOBA-VIFPVBQESA-N 0 0 265.313 2.754 20 5 CFBDRN CSC1(CNC(=O)Nc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000193707114 382722805 /nfs/dbraw/zinc/72/28/05/382722805.db2.gz CNIAPWZFWWLZNP-UHFFFAOYSA-N 0 0 295.364 2.920 20 5 CFBDRN Cc1cccc(CC[N@@H+]2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000575056669 382725167 /nfs/dbraw/zinc/72/51/67/382725167.db2.gz LPLDPJMRDAAIRV-UHFFFAOYSA-N 0 0 297.358 2.899 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000193803096 382725805 /nfs/dbraw/zinc/72/58/05/382725805.db2.gz JXBXLUIJHXKEQR-ZYHUDNBSSA-N 0 0 292.335 2.912 20 5 CFBDRN CCOc1cccc(NC[C@@](C)(O)C2CC2)c1[N+](=O)[O-] ZINC000336832312 382726888 /nfs/dbraw/zinc/72/68/88/382726888.db2.gz LMMSDSPDALHWRO-CQSZACIVSA-N 0 0 280.324 2.566 20 5 CFBDRN CC(C)(O)CCSc1ccc([N+](=O)[O-])cc1F ZINC000575241301 382732586 /nfs/dbraw/zinc/73/25/86/382732586.db2.gz KVHPMUBWAZOEKR-UHFFFAOYSA-N 0 0 259.302 2.987 20 5 CFBDRN CNC(=O)c1ccc(CNc2cc([N+](=O)[O-])ccc2C)cc1 ZINC000194039392 382733697 /nfs/dbraw/zinc/73/36/97/382733697.db2.gz LLNLIEARUZKPDW-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])s1)[N@@H+]1CCC[C@H](C)C1 ZINC000195508858 382768134 /nfs/dbraw/zinc/76/81/34/382768134.db2.gz UANOCNMNCUFWIZ-UWVGGRQHSA-N 0 0 284.385 2.584 20 5 CFBDRN CC[C@@H](CCO)CNc1cccc(C)c1[N+](=O)[O-] ZINC000218866783 382790857 /nfs/dbraw/zinc/79/08/57/382790857.db2.gz KYLSJYLNCKTLCU-NSHDSACASA-N 0 0 252.314 2.724 20 5 CFBDRN COc1cc(N[C@H](CO)CC(C)C)c(F)cc1[N+](=O)[O-] ZINC000218855416 382790894 /nfs/dbraw/zinc/79/08/94/382790894.db2.gz BSPGIGHXWZVRKS-VIFPVBQESA-N 0 0 286.303 2.561 20 5 CFBDRN Cc1cccc(NC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000218842882 382790983 /nfs/dbraw/zinc/79/09/83/382790983.db2.gz GVLFKGGYBCFTIV-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN COc1cc(NC[C@@H]2CCCC[C@@H]2O)c(F)cc1[N+](=O)[O-] ZINC000218862186 382791052 /nfs/dbraw/zinc/79/10/52/382791052.db2.gz MBGCXQJGQASPPV-ZANVPECISA-N 0 0 298.314 2.706 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1cccc2[nH]ccc21 ZINC000336885555 382737360 /nfs/dbraw/zinc/73/73/60/382737360.db2.gz FOXGDNRVXBXHNR-UHFFFAOYSA-N 0 0 296.330 2.856 20 5 CFBDRN C[C@H]1CCC[C@]1(O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000336892488 382738382 /nfs/dbraw/zinc/73/83/82/382738382.db2.gz JRNBTDASUQQRFB-SDBXPKJASA-N 0 0 286.278 2.836 20 5 CFBDRN Cc1ccnc(CNc2ncc([N+](=O)[O-])cc2Cl)c1 ZINC000336894300 382738452 /nfs/dbraw/zinc/73/84/52/382738452.db2.gz LQZIGASUNMMESC-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN COC(=O)[C@]1(C)CN(c2cccc(C)c2[N+](=O)[O-])C[C@H]1C ZINC000336903673 382740017 /nfs/dbraw/zinc/74/00/17/382740017.db2.gz SCVOLGJSRAMNER-IAQYHMDHSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cc2n[nH]cc2cc1Nc1ncc([N+](=O)[O-])s1 ZINC000336927914 382743102 /nfs/dbraw/zinc/74/31/02/382743102.db2.gz NDOANMCBJXGVIK-UHFFFAOYSA-N 0 0 275.293 2.980 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)C1CC1 ZINC000194439082 382744319 /nfs/dbraw/zinc/74/43/19/382744319.db2.gz IDASCEHTMIVJGT-UHFFFAOYSA-N 0 0 274.198 2.962 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000336959216 382746245 /nfs/dbraw/zinc/74/62/45/382746245.db2.gz ZCUMNOBVVNZOIT-GXFFZTMASA-N 0 0 291.351 2.891 20 5 CFBDRN Cc1nc(NCC2CCC(F)(F)CC2)ccc1[N+](=O)[O-] ZINC000336994317 382749860 /nfs/dbraw/zinc/74/98/60/382749860.db2.gz MXUIMCPGGYAOJP-UHFFFAOYSA-N 0 0 285.294 2.958 20 5 CFBDRN CC(C)OC(=O)CC[C@@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000337000511 382750417 /nfs/dbraw/zinc/75/04/17/382750417.db2.gz SWRZUSIJKQAFHP-MRVPVSSYSA-N 0 0 287.341 2.584 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CCCS1 ZINC000194829877 382755391 /nfs/dbraw/zinc/75/53/91/382755391.db2.gz YJXYYQDNQXEYIS-JTQLQIEISA-N 0 0 280.349 2.529 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000337045994 382755503 /nfs/dbraw/zinc/75/55/03/382755503.db2.gz FTQZIPGOYSAEPW-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2Cc3ccccc3C2)s1 ZINC000194947393 382757806 /nfs/dbraw/zinc/75/78/06/382757806.db2.gz RPVZBFCEMMTDAF-UHFFFAOYSA-N 0 0 261.306 2.631 20 5 CFBDRN CC1(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)CCCC1 ZINC000228624930 382848150 /nfs/dbraw/zinc/84/81/50/382848150.db2.gz AKIXTYBDLYZGKG-UHFFFAOYSA-N 0 0 284.262 2.936 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCCSCC1 ZINC000221827441 382799919 /nfs/dbraw/zinc/79/99/19/382799919.db2.gz UAGULQJAKMKDER-DAXSKMNVSA-N 0 0 292.360 2.574 20 5 CFBDRN Cc1ccc(NC[C@H]2CCC[C@H](O)C2)c([N+](=O)[O-])c1 ZINC000223247511 382804658 /nfs/dbraw/zinc/80/46/58/382804658.db2.gz YPVJIIHPSBKETQ-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000576044922 382808314 /nfs/dbraw/zinc/80/83/14/382808314.db2.gz IIBLXAGQGZUHKZ-WPRPVWTQSA-N 0 0 285.319 2.525 20 5 CFBDRN O=C(Nc1ccc2scnc2c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000337636517 382850617 /nfs/dbraw/zinc/85/06/17/382850617.db2.gz QDMMTNGCFNFQEH-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1cc(CN[C@H]2CCc3c2cccc3[N+](=O)[O-])on1 ZINC000576239591 382815911 /nfs/dbraw/zinc/81/59/11/382815911.db2.gz CAXYNZYQBQTZNS-ZDUSSCGKSA-N 0 0 273.292 2.668 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(CO)CCCC2)c(Cl)c1 ZINC000225517341 382817718 /nfs/dbraw/zinc/81/77/18/382817718.db2.gz RNDBKJROIKPCCX-UHFFFAOYSA-N 0 0 285.731 2.608 20 5 CFBDRN COc1cc(N[C@@H](C)[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000225815752 382821663 /nfs/dbraw/zinc/82/16/63/382821663.db2.gz XRFITMHMSZFGGA-DTWKUNHWSA-N 0 0 284.287 2.579 20 5 CFBDRN Cc1noc([C@@H](C)N(C)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000577191567 382851209 /nfs/dbraw/zinc/85/12/09/382851209.db2.gz PDGBELIJGRIIHS-SECBINFHSA-N 0 0 276.296 2.792 20 5 CFBDRN CCC[C@](C)(O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000226232246 382825187 /nfs/dbraw/zinc/82/51/87/382825187.db2.gz OKMPJXMPWKAXGI-LBPRGKRZSA-N 0 0 256.277 2.697 20 5 CFBDRN CCC[C@H](O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000226232033 382825319 /nfs/dbraw/zinc/82/53/19/382825319.db2.gz PIVHCYITOIQYJX-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CS[C@@H](CO)[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000227058922 382832587 /nfs/dbraw/zinc/83/25/87/382832587.db2.gz SKSZXDAHSVFGBB-CPCISQLKSA-N 0 0 290.772 2.773 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H]2CCCCO2)n1 ZINC000227315409 382835362 /nfs/dbraw/zinc/83/53/62/382835362.db2.gz BMOVDKONALVAND-LLVKDONJSA-N 0 0 265.313 2.669 20 5 CFBDRN Cc1cc(NC(=O)NC2(C(F)F)CC2)ccc1[N+](=O)[O-] ZINC000337527959 382837280 /nfs/dbraw/zinc/83/72/80/382837280.db2.gz HJEQUYFLTMOKKL-UHFFFAOYSA-N 0 0 285.250 2.822 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cscn2)c(Cl)c1 ZINC000227650836 382838975 /nfs/dbraw/zinc/83/89/75/382838975.db2.gz PDCAORUUHWRBHU-UHFFFAOYSA-N 0 0 270.701 2.712 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCCO2)c(Cl)c1 ZINC000227650385 382839001 /nfs/dbraw/zinc/83/90/01/382839001.db2.gz RNURCSXCOJMAQU-SECBINFHSA-N 0 0 271.704 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCCC[C@@H]2O)c(F)c1 ZINC000227889501 382841916 /nfs/dbraw/zinc/84/19/16/382841916.db2.gz RPOCMUWRCCJZIG-MNOVXSKESA-N 0 0 272.251 2.588 20 5 CFBDRN COCC[C@@H](C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227889519 382841980 /nfs/dbraw/zinc/84/19/80/382841980.db2.gz WBWLTZNOSWWASO-SSDOTTSWSA-N 0 0 260.240 2.710 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC2(O)CCCC2)c(F)c1 ZINC000227888773 382841985 /nfs/dbraw/zinc/84/19/85/382841985.db2.gz OZTFPLKJECSPDL-UHFFFAOYSA-N 0 0 272.251 2.590 20 5 CFBDRN CSC1(CNc2nc(C)ccc2[N+](=O)[O-])CCC1 ZINC000227985835 382842807 /nfs/dbraw/zinc/84/28/07/382842807.db2.gz AAJCGKNCIDQIEK-UHFFFAOYSA-N 0 0 267.354 2.996 20 5 CFBDRN C[C@@H](O)CCCNc1ccc([N+](=O)[O-])cc1Cl ZINC000227995800 382843394 /nfs/dbraw/zinc/84/33/94/382843394.db2.gz AVZBCBJCQNCPOP-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN CSC1(CNc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000227991686 382843564 /nfs/dbraw/zinc/84/35/64/382843564.db2.gz CQYUXHKIALTZLP-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN CCc1cccnc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337589745 382845661 /nfs/dbraw/zinc/84/56/61/382845661.db2.gz HMDFRTPISXWROQ-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CC1(C2(NC(=O)c3cccc([N+](=O)[O-])c3)CC2)CC1 ZINC000578297925 382907826 /nfs/dbraw/zinc/90/78/26/382907826.db2.gz IKAVMFYIWNXDTK-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN Cc1c(CNCc2ccc([N+](=O)[O-])cc2Cl)cnn1C ZINC000230147105 382856358 /nfs/dbraw/zinc/85/63/58/382856358.db2.gz CVIBGHYRIWPSAD-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN COc1ccccc1CCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000230181946 382857052 /nfs/dbraw/zinc/85/70/52/382857052.db2.gz CDKROTYFZHKVJI-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1c([C@@H](C)NCc2ncccn2)cccc1[N+](=O)[O-] ZINC000577388147 382857510 /nfs/dbraw/zinc/85/75/10/382857510.db2.gz AZFCYRKYZVLIRN-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1nc(N[C@@H](C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC000230217854 382857573 /nfs/dbraw/zinc/85/75/73/382857573.db2.gz OEUPXBLEXCMIHS-VIFPVBQESA-N 0 0 265.313 2.525 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])ccc1Cl)NC1CCCC1 ZINC000093307933 184663125 /nfs/dbraw/zinc/66/31/25/184663125.db2.gz DAKWWFQNSRQVCQ-UHFFFAOYSA-N 0 0 298.726 2.686 20 5 CFBDRN CCc1cnc(CNCc2csc([N+](=O)[O-])c2)s1 ZINC000230706369 382862614 /nfs/dbraw/zinc/86/26/14/382862614.db2.gz DMXPWZHDQXOQEZ-UHFFFAOYSA-N 0 0 283.378 2.965 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2ncc([N+](=O)[O-])cn2)C1 ZINC000230873008 382863348 /nfs/dbraw/zinc/86/33/48/382863348.db2.gz SGKUSONXGGHTLR-ZJUUUORDSA-N 0 0 250.302 2.623 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1CCC[C@H](O)C1 ZINC000230908781 382863375 /nfs/dbraw/zinc/86/33/75/382863375.db2.gz CWFFWYDHEZGYJZ-MNOVXSKESA-N 0 0 250.298 2.558 20 5 CFBDRN CCc1ccc(CNc2ncc([N+](=O)[O-])cn2)s1 ZINC000230872803 382863526 /nfs/dbraw/zinc/86/35/26/382863526.db2.gz DCTWPGKTEUAKGK-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN C[C@H](Sc1cccc([N+](=O)[O-])c1)c1nnnn1C1CC1 ZINC000337776718 382863924 /nfs/dbraw/zinc/86/39/24/382863924.db2.gz YBUJAODRAMUONM-QMMMGPOBSA-N 0 0 291.336 2.770 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2C)ncn1 ZINC000231868316 382867529 /nfs/dbraw/zinc/86/75/29/382867529.db2.gz HCUNDXFZSDOXPG-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H](OC(F)F)C1 ZINC000577839077 382872611 /nfs/dbraw/zinc/87/26/11/382872611.db2.gz OMVGZECOBJZBMP-QMMMGPOBSA-N 0 0 276.214 2.552 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)[C@H]2CCSC2)c1F ZINC000234294619 382873688 /nfs/dbraw/zinc/87/36/88/382873688.db2.gz RVZQVLZRRMPTNA-VIFPVBQESA-N 0 0 298.339 2.620 20 5 CFBDRN COC(=O)c1cc(NC[C@@H]2C[C@H]2C)cc(C)c1[N+](=O)[O-] ZINC000577959254 382877178 /nfs/dbraw/zinc/87/71/78/382877178.db2.gz BYAHQZATZYTYQN-SCZZXKLOSA-N 0 0 278.308 2.758 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1C)[C@@H](O)CC ZINC000455203115 382878600 /nfs/dbraw/zinc/87/86/00/382878600.db2.gz XORCLLVTAJYJCS-YPMHNXCESA-N 0 0 295.339 2.574 20 5 CFBDRN C[C@@H](O)[C@@H](C)Sc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235315950 382878782 /nfs/dbraw/zinc/87/87/82/382878782.db2.gz OCPSRJMJILMYPG-PHDIDXHHSA-N 0 0 296.270 2.870 20 5 CFBDRN CC[C@H](C)CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000421598109 382882541 /nfs/dbraw/zinc/88/25/41/382882541.db2.gz YQPMWJVRPIWPHP-JTQLQIEISA-N 0 0 287.319 2.919 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCSC[C@@H]2C)c1F ZINC000235604993 382884553 /nfs/dbraw/zinc/88/45/53/382884553.db2.gz CFPXPUGHKXLPEW-VIFPVBQESA-N 0 0 298.339 2.620 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1C[C@@H]1C(F)F ZINC000337977342 382885624 /nfs/dbraw/zinc/88/56/24/382885624.db2.gz LTFRWYQZWFCVLJ-PPKCKEKNSA-N 0 0 296.273 2.774 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@@H]1CCCOC1 ZINC000533202217 382886186 /nfs/dbraw/zinc/88/61/86/382886186.db2.gz WBZGTSMLBKBZHZ-SECBINFHSA-N 0 0 255.245 2.539 20 5 CFBDRN C[C@H](c1ccco1)N(Cc1c([N+](=O)[O-])ncn1C)C1CC1 ZINC000440905139 382887991 /nfs/dbraw/zinc/88/79/91/382887991.db2.gz OWRTVXCITZYMFR-SNVBAGLBSA-N 0 0 290.323 2.647 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2C)CCO1 ZINC000421662462 382888359 /nfs/dbraw/zinc/88/83/59/382888359.db2.gz LGYXTZXPBGIACH-NWDGAFQWSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCCC2(C1)OCCO2 ZINC000236047374 382891617 /nfs/dbraw/zinc/89/16/17/382891617.db2.gz GPUCXDIFTRZCRW-UHFFFAOYSA-N 0 0 298.726 2.592 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000421670892 382891906 /nfs/dbraw/zinc/89/19/06/382891906.db2.gz OZECUPLHMBKUQD-NEPJUHHUSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2C)CCO1 ZINC000421672065 382892720 /nfs/dbraw/zinc/89/27/20/382892720.db2.gz SPCUOMZTRRQPJZ-YPMHNXCESA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)no1 ZINC000578212927 382901556 /nfs/dbraw/zinc/90/15/56/382901556.db2.gz YSJJQERJIMDWMZ-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN C[C@@H](C(=O)N(C)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000338155573 382937571 /nfs/dbraw/zinc/93/75/71/382937571.db2.gz WYJQPGYDHBJGML-LLVKDONJSA-N 0 0 276.336 2.642 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)[C@@H](C)C1 ZINC000441448372 382914078 /nfs/dbraw/zinc/91/40/78/382914078.db2.gz MXOGDWWGUXVWHR-WPRPVWTQSA-N 0 0 298.364 2.604 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCO[C@H](C2CC2)C1 ZINC000494656919 382915765 /nfs/dbraw/zinc/91/57/65/382915765.db2.gz XRHASCIRYRAJAM-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN CC1(C)C[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000457154933 382972889 /nfs/dbraw/zinc/97/28/89/382972889.db2.gz KLWVYRTYRVRQPD-NSHDSACASA-N 0 0 292.335 2.530 20 5 CFBDRN Cc1ccc(NCC[C@H](O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000338292422 382979209 /nfs/dbraw/zinc/97/92/09/382979209.db2.gz BNLSHLDGVGFKJD-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN O=C(NCCC1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000457218991 382994192 /nfs/dbraw/zinc/99/41/92/382994192.db2.gz UAXSKQAIWQZEMZ-UHFFFAOYSA-N 0 0 284.262 2.760 20 5 CFBDRN CC(C)Cn1nccc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000579233507 382994725 /nfs/dbraw/zinc/99/47/25/382994725.db2.gz MLCDUFHTYZSBPH-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN CCC(CC)(NCc1ccc([N+](=O)[O-])cc1F)C(=O)OC ZINC000338349729 382998583 /nfs/dbraw/zinc/99/85/83/382998583.db2.gz GPQZDENREWIGFI-UHFFFAOYSA-N 0 0 298.314 2.555 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCO[C@@H](C)C1 ZINC000245517180 383004821 /nfs/dbraw/zinc/00/48/21/383004821.db2.gz GSBNKRVGIWKSDF-NWDGAFQWSA-N 0 0 264.325 2.685 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[S@@](=O)C(C)(C)CC2)c1 ZINC000578954690 382968204 /nfs/dbraw/zinc/96/82/04/382968204.db2.gz WRFPULPAZUNVAS-HXUWFJFHSA-N 0 0 296.392 2.641 20 5 CFBDRN CCC1(CC)CN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000338733580 383032989 /nfs/dbraw/zinc/03/29/89/383032989.db2.gz HHIPNEXNUUMLHI-UHFFFAOYSA-N 0 0 280.353 2.930 20 5 CFBDRN Cc1ccc(CN2CCO[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000245789680 383035546 /nfs/dbraw/zinc/03/55/46/383035546.db2.gz VXROHZMLUUDJDR-NEPJUHHUSA-N 0 0 264.325 2.512 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@]1(C)CCCCO1 ZINC000457444866 383039084 /nfs/dbraw/zinc/03/90/84/383039084.db2.gz PWLPYWPIPCYREA-HNNXBMFYSA-N 0 0 292.335 2.512 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000579675809 383041019 /nfs/dbraw/zinc/04/10/19/383041019.db2.gz LPEJIDJGRFBKGI-RYUDHWBXSA-N 0 0 294.351 2.833 20 5 CFBDRN COC(C)(C)C[C@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413178713 532968822 /nfs/dbraw/zinc/96/88/22/532968822.db2.gz UBGWVNZIKKPNIE-QMMMGPOBSA-N 0 0 271.292 2.744 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000579749900 383048988 /nfs/dbraw/zinc/04/89/88/383048988.db2.gz XXIWTRYKLJRPPB-TXEJJXNPSA-N 0 0 293.323 2.544 20 5 CFBDRN C[C@@H](C(=O)N[C@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000579798025 383052960 /nfs/dbraw/zinc/05/29/60/383052960.db2.gz HERYQDJYMSQAMR-NXEZZACHSA-N 0 0 262.309 2.613 20 5 CFBDRN Nc1c(F)c(N[C@H]2CCC[C@H]2F)ccc1[N+](=O)[O-] ZINC000338824777 383061401 /nfs/dbraw/zinc/06/14/01/383061401.db2.gz QLSIXTRJSBAPEU-RQJHMYQMSA-N 0 0 257.240 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CCC[C@H]3F)ccc2c1 ZINC000338826368 383062258 /nfs/dbraw/zinc/06/22/58/383062258.db2.gz DCZKUGSWRWPDFG-DGCLKSJQSA-N 0 0 275.283 2.867 20 5 CFBDRN COc1ccc(NC(=O)[C@]2(C)CCCCO2)cc1[N+](=O)[O-] ZINC000457690577 383073101 /nfs/dbraw/zinc/07/31/01/383073101.db2.gz FGCCIQOVVVCUQE-AWEZNQCLSA-N 0 0 294.307 2.501 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000457314394 383020125 /nfs/dbraw/zinc/02/01/25/383020125.db2.gz YUJOQRPNKYXHJD-DOMZBBRYSA-N 0 0 291.351 2.732 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000457327117 383024164 /nfs/dbraw/zinc/02/41/64/383024164.db2.gz DGUKZRNXTAMCEO-UHFFFAOYSA-N 0 0 286.353 2.591 20 5 CFBDRN CCC[C@H](CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000579556421 383027902 /nfs/dbraw/zinc/02/79/02/383027902.db2.gz JYCNUDHXMHMHBG-BXUZGUMPSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000592004784 383121879 /nfs/dbraw/zinc/12/18/79/383121879.db2.gz ZAUZRGSCVYDZDY-SCZZXKLOSA-N 0 0 279.365 2.869 20 5 CFBDRN C[C@H](Nc1ccc2ncccc2c1[N+](=O)[O-])C1(CO)CC1 ZINC000596435285 383126240 /nfs/dbraw/zinc/12/62/40/383126240.db2.gz DIOFRFBARNMKHI-JTQLQIEISA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1noc(C)c1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000339041200 383128535 /nfs/dbraw/zinc/12/85/35/383128535.db2.gz MTNHZDVRPHMFLQ-UHFFFAOYSA-N 0 0 276.252 2.844 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])s1)C(=O)N1CCCC[C@@H]1C ZINC000609632294 383134743 /nfs/dbraw/zinc/13/47/43/383134743.db2.gz UEDOTSBGSVDPHQ-VHSXEESVSA-N 0 0 297.380 2.858 20 5 CFBDRN CCc1ccc(-c2nc(-c3ccncn3)no2)cc1[N+](=O)[O-] ZINC000339069792 383138388 /nfs/dbraw/zinc/13/83/88/383138388.db2.gz LKMRQUYFVONTEK-UHFFFAOYSA-N 0 0 297.274 2.664 20 5 CFBDRN Cc1c([C@H]2C[C@@H]2Nc2cc(C)ccc2[N+](=O)[O-])cnn1C ZINC000339094333 383147061 /nfs/dbraw/zinc/14/70/61/383147061.db2.gz HOCAMUCABCFCAF-YPMHNXCESA-N 0 0 286.335 2.913 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C[C@H]1C ZINC000246765185 383101443 /nfs/dbraw/zinc/10/14/43/383101443.db2.gz AXDDCJUMRMODGD-CKYFFXLPSA-N 0 0 291.351 2.930 20 5 CFBDRN CCn1nccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000338959113 383101638 /nfs/dbraw/zinc/10/16/38/383101638.db2.gz ASZMNYNAJWDVLW-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN CCC(C)(C)CNc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000553245777 383102677 /nfs/dbraw/zinc/10/26/77/383102677.db2.gz KFMPNQVQLKHDDV-UHFFFAOYSA-N 0 0 290.323 2.514 20 5 CFBDRN C[C@H](C(=O)NCC(C)(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000554600691 383144776 /nfs/dbraw/zinc/14/47/76/383144776.db2.gz GRQKFSYZEYDOGL-ZETCQYMHSA-N 0 0 290.241 2.609 20 5 CFBDRN CO[C@@H](COc1ccc(C(C)=O)cc1[N+](=O)[O-])C1CC1 ZINC000424092977 383178226 /nfs/dbraw/zinc/17/82/26/383178226.db2.gz QCEVTVOUPPZXEA-AWEZNQCLSA-N 0 0 279.292 2.601 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])c2ncccc12)C1CC1 ZINC000424091220 383178234 /nfs/dbraw/zinc/17/82/34/383178234.db2.gz LZMZWKIWMOZXDR-CQSZACIVSA-N 0 0 288.303 2.947 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@H](C)[C@@H](C(=O)[O-])C(C)C ZINC000424623316 383213630 /nfs/dbraw/zinc/21/36/30/383213630.db2.gz PPMIQLQFNWCBOE-RISCZKNCSA-N 0 0 294.351 2.738 20 5 CFBDRN CC[C@H](C)[C@@H](C)N(C(=O)c1cc([N+](=O)[O-])cn1C)C1CC1 ZINC000519115878 383210447 /nfs/dbraw/zinc/21/04/47/383210447.db2.gz VBDMZHSOHDCCGT-WDEREUQCSA-N 0 0 293.367 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCO[C@H]2c2cccnc2)cc1 ZINC000339098830 383149446 /nfs/dbraw/zinc/14/94/46/383149446.db2.gz SZMKWMCWUAULTH-CABCVRRESA-N 0 0 285.303 2.932 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@H]2CCC[C@@H]21 ZINC000339099676 383149455 /nfs/dbraw/zinc/14/94/55/383149455.db2.gz NZXZNXDTKLWMIE-MFKMUULPSA-N 0 0 262.309 2.675 20 5 CFBDRN C[C@]1(NC(=O)COc2ccc([N+](=O)[O-])cc2)CC=CCC1 ZINC000458305978 383158363 /nfs/dbraw/zinc/15/83/63/383158363.db2.gz GCXYTMDQPVXUMJ-HNNXBMFYSA-N 0 0 290.319 2.589 20 5 CFBDRN O=C(NC1CC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000247333158 383164201 /nfs/dbraw/zinc/16/42/01/383164201.db2.gz PLVWGTNPXPHIDX-UHFFFAOYSA-N 0 0 274.198 2.506 20 5 CFBDRN CCC[C@H](NC(=O)c1nn(CC)cc1[N+](=O)[O-])C1CCC1 ZINC000458339032 383168192 /nfs/dbraw/zinc/16/81/92/383168192.db2.gz RZOMOLFFWJUQLO-NSHDSACASA-N 0 0 294.355 2.510 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000247384122 383168963 /nfs/dbraw/zinc/16/89/63/383168963.db2.gz USAVNEXTUJRZQF-PJXYFTJBSA-N 0 0 260.293 2.888 20 5 CFBDRN CC1=C[C@H](C)C[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000339157524 383170080 /nfs/dbraw/zinc/17/00/80/383170080.db2.gz ZVGGUEPZTZKKQT-JTQLQIEISA-N 0 0 262.309 2.698 20 5 CFBDRN CC/C=C\CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000520971983 383280989 /nfs/dbraw/zinc/28/09/89/383280989.db2.gz CNUPGVXUGBZYOC-DOGVGXBMSA-N 0 0 262.309 2.781 20 5 CFBDRN COc1cc(COc2cccc([N+](=O)[O-])c2)ccn1 ZINC000339619247 383263524 /nfs/dbraw/zinc/26/35/24/383263524.db2.gz QJDZLUHCCCVSKS-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H]2CCC[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000458884702 383270058 /nfs/dbraw/zinc/27/00/58/383270058.db2.gz FTAKYVBNXMUEJA-ZKYQVNSYSA-N 0 0 289.335 2.850 20 5 CFBDRN CN(Cc1ccncc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000248153411 383215392 /nfs/dbraw/zinc/21/53/92/383215392.db2.gz YKWZHOSSLGIZDN-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000339519633 383230152 /nfs/dbraw/zinc/23/01/52/383230152.db2.gz RJCJFYKQVLCBTG-RYUDHWBXSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2C[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000458702485 383233271 /nfs/dbraw/zinc/23/32/71/383233271.db2.gz YQONMTYGHVGHME-QWHCGFSZSA-N 0 0 291.351 2.747 20 5 CFBDRN C[C@@H]1CCC[C@H](C)[C@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000248403523 383235994 /nfs/dbraw/zinc/23/59/94/383235994.db2.gz OQLWXDFIRUVPTQ-IWIIMEHWSA-N 0 0 292.339 2.936 20 5 CFBDRN CO[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@H]1C ZINC000449492974 383330339 /nfs/dbraw/zinc/33/03/39/383330339.db2.gz CZAIWTSIOMVFMQ-KOLCDFICSA-N 0 0 270.354 2.513 20 5 CFBDRN CCO[C@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C[C@H]1C ZINC000449492697 383330446 /nfs/dbraw/zinc/33/04/46/383330446.db2.gz JWUPWPFBIIZSAB-ABAIWWIYSA-N 0 0 294.351 2.547 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](C(F)(F)F)C2)cn1 ZINC000339956972 383344623 /nfs/dbraw/zinc/34/46/23/383344623.db2.gz CYVJEQYEXCEGKR-QMMMGPOBSA-N 0 0 275.230 2.769 20 5 CFBDRN O=C(Nc1ccsc1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000522919938 383345317 /nfs/dbraw/zinc/34/53/17/383345317.db2.gz RZVPLPYOZBDPAL-UHFFFAOYSA-N 0 0 292.272 2.637 20 5 CFBDRN COCc1cc(SCc2ccc([N+](=O)[O-])cc2)ncn1 ZINC000521493244 383298976 /nfs/dbraw/zinc/29/89/76/383298976.db2.gz VKDUUBJYGZUNTM-UHFFFAOYSA-N 0 0 291.332 2.824 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000464262500 383309360 /nfs/dbraw/zinc/30/93/60/383309360.db2.gz NHXMDZWBDWXGNR-MNOVXSKESA-N 0 0 276.336 2.992 20 5 CFBDRN CCC1(C)CN(C(=O)NCc2cccc([N+](=O)[O-])c2C)C1 ZINC000464344264 383309598 /nfs/dbraw/zinc/30/95/98/383309598.db2.gz VNJOJJHDMVOUJC-UHFFFAOYSA-N 0 0 291.351 2.845 20 5 CFBDRN CC(C)c1ccc(CNCc2ccno2)cc1[N+](=O)[O-] ZINC000521875822 383313254 /nfs/dbraw/zinc/31/32/54/383313254.db2.gz AWXUVTZJZHPOQO-UHFFFAOYSA-N 0 0 275.308 2.996 20 5 CFBDRN CO[C@H](C)CN[C@@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000521885068 383313466 /nfs/dbraw/zinc/31/34/66/383313466.db2.gz NARKDYDBHFLHOU-KCJUWKMLSA-N 0 0 292.257 2.823 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@@H](F)C2)ncc1[N+](=O)[O-] ZINC000567767816 383314583 /nfs/dbraw/zinc/31/45/83/383314583.db2.gz XYLWLCCCIPFMTP-ZJUUUORDSA-N 0 0 253.277 2.991 20 5 CFBDRN Cc1ccc(C(=O)N2CC(CC(F)F)C2)cc1[N+](=O)[O-] ZINC000425096139 383323596 /nfs/dbraw/zinc/32/35/96/383323596.db2.gz XZZHTAHQNBIMBP-UHFFFAOYSA-N 0 0 284.262 2.630 20 5 CFBDRN CO[C@@H]1CCCN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000450306266 383389925 /nfs/dbraw/zinc/38/99/25/383389925.db2.gz CKZBRXJHLNOAMF-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)[C@H](Nc1ccc(F)cc1[N+](=O)[O-])C(C)(C)O ZINC000477806527 383391142 /nfs/dbraw/zinc/39/11/42/383391142.db2.gz NQDSYGRZRATUHE-LBPRGKRZSA-N 0 0 270.304 2.941 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC[C@H](F)C1 ZINC000335459826 291824370 /nfs/dbraw/zinc/82/43/70/291824370.db2.gz VDWQYHVXYRJELA-NSHDSACASA-N 0 0 280.299 2.704 20 5 CFBDRN CCCc1[nH]ccc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000568380419 383396592 /nfs/dbraw/zinc/39/65/92/383396592.db2.gz GPYNTWJIRFVWRD-UHFFFAOYSA-N 0 0 287.319 2.805 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000525980919 383415819 /nfs/dbraw/zinc/41/58/19/383415819.db2.gz PKDSCSDAWCFDNB-WCQYABFASA-N 0 0 291.351 2.830 20 5 CFBDRN CC[C@H]1CCCN1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000480058427 383415921 /nfs/dbraw/zinc/41/59/21/383415921.db2.gz RNPCGPFKRCMOFQ-ZDUSSCGKSA-N 0 0 291.351 2.987 20 5 CFBDRN C[C@@H](C(=O)NC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000568465498 383404136 /nfs/dbraw/zinc/40/41/36/383404136.db2.gz FYWOADDNAGJCMH-SECBINFHSA-N 0 0 250.298 2.613 20 5 CFBDRN O=C(Nc1cc(Cl)ccc1O)c1cc([N+](=O)[O-])c[nH]1 ZINC000340300490 383409230 /nfs/dbraw/zinc/40/92/30/383409230.db2.gz HQQVLJXCXUUOAU-UHFFFAOYSA-N 0 0 281.655 2.534 20 5 CFBDRN Cc1nc(N[C@H]2C[C@@]2(F)c2ccccc2)ncc1[N+](=O)[O-] ZINC000425272137 383356266 /nfs/dbraw/zinc/35/62/66/383356266.db2.gz KFMSSMVVUWZZPO-GXTWGEPZSA-N 0 0 288.282 2.742 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@H]2[C@@H]2CCCO2)n1 ZINC000343067538 291825040 /nfs/dbraw/zinc/82/50/40/291825040.db2.gz BCBPHUQPUGAVGS-OLZOCXBDSA-N 0 0 291.351 2.754 20 5 CFBDRN NC(=O)c1ccc(NC[C@@H]2C[C@H]2C2CCC2)c([N+](=O)[O-])c1 ZINC000425282667 383359171 /nfs/dbraw/zinc/35/91/71/383359171.db2.gz NSYBQMZYJFOCPO-RYUDHWBXSA-N 0 0 289.335 2.542 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000425293525 383360922 /nfs/dbraw/zinc/36/09/22/383360922.db2.gz BXKSDTAKPVWVCB-ZSBIGDGJSA-N 0 0 290.319 2.521 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000524341625 383370741 /nfs/dbraw/zinc/37/07/41/383370741.db2.gz HTYMSMOPXWGLFM-GFCCVEGCSA-N 0 0 277.324 2.679 20 5 CFBDRN CCc1noc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)n1 ZINC000340193878 383376322 /nfs/dbraw/zinc/37/63/22/383376322.db2.gz CFHMQJIVIQBDLP-UHFFFAOYSA-N 0 0 272.264 2.612 20 5 CFBDRN CC(C)(C)CC[C@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000481038289 383423274 /nfs/dbraw/zinc/42/32/74/383423274.db2.gz MGWAAKYVQWIDPR-SECBINFHSA-N 0 0 299.346 2.915 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCc2ncsc2C1 ZINC000568664647 383426114 /nfs/dbraw/zinc/42/61/14/383426114.db2.gz XLBUAKSPNAACSS-UHFFFAOYSA-N 0 0 291.332 2.623 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450483291 383431475 /nfs/dbraw/zinc/43/14/75/383431475.db2.gz FJUKVBUHOSMHNY-ZWNOBZJWSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450483287 383431727 /nfs/dbraw/zinc/43/17/27/383431727.db2.gz FJUKVBUHOSMHNY-MFKMUULPSA-N 0 0 287.319 2.980 20 5 CFBDRN CN(Cc1ccc(Cl)cc1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340478162 383437099 /nfs/dbraw/zinc/43/70/99/383437099.db2.gz AEADVJSOBMMGQN-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCCC[C@H]1O ZINC000252926929 383439838 /nfs/dbraw/zinc/43/98/38/383439838.db2.gz OPHWEFLDUGJAHT-WCQYABFASA-N 0 0 284.743 2.988 20 5 CFBDRN CS[C@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000450676569 383481468 /nfs/dbraw/zinc/48/14/68/383481468.db2.gz FFBMLCPZBLFWTD-JTQLQIEISA-N 0 0 267.354 2.548 20 5 CFBDRN C[C@H]1CC[C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000252970608 383441638 /nfs/dbraw/zinc/44/16/38/383441638.db2.gz XEOKIINOCRSQIW-HOMQSWHASA-N 0 0 277.324 2.684 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000450534245 383449635 /nfs/dbraw/zinc/44/96/35/383449635.db2.gz CXZJSGKIYHIJCQ-GXSJLCMTSA-N 0 0 278.308 2.521 20 5 CFBDRN CCN(CC)C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000253490420 383461216 /nfs/dbraw/zinc/46/12/16/383461216.db2.gz IPHLYIQWVXZKTJ-JTQLQIEISA-N 0 0 282.365 2.944 20 5 CFBDRN Nc1ccc(-c2noc([C@H]3C[C@H]3C3CC3)n2)cc1[N+](=O)[O-] ZINC000340548866 383468221 /nfs/dbraw/zinc/46/82/21/383468221.db2.gz IITZSFQMRCIZIT-UWVGGRQHSA-N 0 0 286.291 2.741 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000340554470 383469571 /nfs/dbraw/zinc/46/95/71/383469571.db2.gz WOPYKVBGHYFLPX-GFCCVEGCSA-N 0 0 262.309 2.538 20 5 CFBDRN CC(=O)c1ccc(NC(CF)CF)c([N+](=O)[O-])c1 ZINC000450592291 383473436 /nfs/dbraw/zinc/47/34/36/383473436.db2.gz OZNIUZWGVVUMOM-UHFFFAOYSA-N 0 0 258.224 2.517 20 5 CFBDRN O=C(NCCc1cccc(Cl)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000340446138 383421913 /nfs/dbraw/zinc/42/19/13/383421913.db2.gz KTYLEPKLYDTTKM-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1COc2ccccc21 ZINC000341058787 383548620 /nfs/dbraw/zinc/54/86/20/383548620.db2.gz YITRGOVWNBOKHO-JTQLQIEISA-N 0 0 271.276 2.578 20 5 CFBDRN CC(C)C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000569914830 383549584 /nfs/dbraw/zinc/54/95/84/383549584.db2.gz PTCRPOJUHWUIAK-AAEUAGOBSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1nc(N[C@@H]([C@H](CO)C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000450781207 383488705 /nfs/dbraw/zinc/48/87/05/383488705.db2.gz RMNQCCMQAJBOSX-IUODEOHRSA-N 0 0 291.351 2.507 20 5 CFBDRN Cc1nc(N2C[C@@H](C3CC3)[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000450792646 383492957 /nfs/dbraw/zinc/49/29/57/383492957.db2.gz JZUPIPNADSCEQI-WFASDCNBSA-N 0 0 273.336 2.923 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000450800307 383495142 /nfs/dbraw/zinc/49/51/42/383495142.db2.gz UXWWOYIJLZBRCN-DGCLKSJQSA-N 0 0 259.309 2.615 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CC[C@@H](F)C2)c1 ZINC000340909640 383501205 /nfs/dbraw/zinc/50/12/05/383501205.db2.gz IXBCQECZYGEDBW-NXEZZACHSA-N 0 0 282.271 2.684 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@H]2OC)ccc1[N+](=O)[O-] ZINC000340952588 383509697 /nfs/dbraw/zinc/50/96/97/383509697.db2.gz KLDPGZGJFXHAMD-ZWNOBZJWSA-N 0 0 280.324 2.830 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC[C@@H](CO)C2)c(Cl)c1 ZINC000340954970 383509984 /nfs/dbraw/zinc/50/99/84/383509984.db2.gz AFEALRSDZMRUNL-VHSXEESVSA-N 0 0 299.758 2.854 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCCC1(CO)CC1 ZINC000450832085 383510336 /nfs/dbraw/zinc/51/03/36/383510336.db2.gz HSBIRVDQMOLKPP-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN Cc1nc(N(C)[C@H](CO)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000450833571 383511686 /nfs/dbraw/zinc/51/16/86/383511686.db2.gz KIBQAFYPVMDAIO-NSHDSACASA-N 0 0 281.356 2.532 20 5 CFBDRN COc1cc(N(C)[C@H](CO)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000450834754 383511938 /nfs/dbraw/zinc/51/19/38/383511938.db2.gz MJUADIGNCTWNTK-LBPRGKRZSA-N 0 0 296.367 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H](C)Cc1cnn(C)c1 ZINC000450839006 383514513 /nfs/dbraw/zinc/51/45/13/383514513.db2.gz GGGOTSFECPOKMG-NSHDSACASA-N 0 0 274.324 2.680 20 5 CFBDRN C[C@@H](NCc1c([N+](=O)[O-])ncn1C)c1cccc(Cl)c1 ZINC000341065515 383550984 /nfs/dbraw/zinc/55/09/84/383550984.db2.gz HUYHEVNVVVRHBJ-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@@H](O)CCOc1ccc([N+](=O)[O-])cc1Br ZINC000450842442 383517344 /nfs/dbraw/zinc/51/73/44/383517344.db2.gz FYGISLGFTASRIB-SSDOTTSWSA-N 0 0 290.113 2.507 20 5 CFBDRN CCc1ncc(CNc2cccc(OC)c2[N+](=O)[O-])o1 ZINC000450850114 383520146 /nfs/dbraw/zinc/52/01/46/383520146.db2.gz WHAZZJOWVHFCKU-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN CCc1nc(C)c(COc2ncc(C)cc2[N+](=O)[O-])o1 ZINC000450860830 383526245 /nfs/dbraw/zinc/52/62/45/383526245.db2.gz OVGDTKDFNLPNPO-UHFFFAOYSA-N 0 0 277.280 2.736 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000450867126 383528835 /nfs/dbraw/zinc/52/88/35/383528835.db2.gz YBGDHYYSNRXBCO-FZMZJTMJSA-N 0 0 280.324 2.759 20 5 CFBDRN Cc1ccn(CC23CCC(CC2)C3)c(=O)c1[N+](=O)[O-] ZINC000450870941 383530115 /nfs/dbraw/zinc/53/01/15/383530115.db2.gz MNWUOLOWKSFAEV-UHFFFAOYSA-N 0 0 262.309 2.645 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CC=CC1 ZINC000569725637 383532161 /nfs/dbraw/zinc/53/21/61/383532161.db2.gz CTVHWUMMXPZSRC-UHFFFAOYSA-N 0 0 258.199 2.990 20 5 CFBDRN COC(=O)c1cnc(N[C@@H]2CC23CCCC3)c([N+](=O)[O-])c1 ZINC000569783543 383536541 /nfs/dbraw/zinc/53/65/41/383536541.db2.gz HZZCWZDXNSBNJS-LLVKDONJSA-N 0 0 291.307 2.521 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCC2=CCCCC2)c1=O ZINC000450889727 383537407 /nfs/dbraw/zinc/53/74/07/383537407.db2.gz SBTJZEGROMECGE-UHFFFAOYSA-N 0 0 262.309 2.955 20 5 CFBDRN CCOC1(C)CCN(c2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000488438650 383538916 /nfs/dbraw/zinc/53/89/16/383538916.db2.gz MJGNBXKXKYZBSC-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN CC[C@H](F)Cn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000569810441 383539719 /nfs/dbraw/zinc/53/97/19/383539719.db2.gz JHLNIQNTCIWQPH-JTQLQIEISA-N 0 0 264.256 2.658 20 5 CFBDRN C[C@H](N(C)c1ccc(C(N)=O)cc1[N+](=O)[O-])C(C)(C)C ZINC000569838391 383542879 /nfs/dbraw/zinc/54/28/79/383542879.db2.gz LXDQFQRCKHALMG-VIFPVBQESA-N 0 0 279.340 2.565 20 5 CFBDRN Cc1ccoc1CN(C)c1ccc([N+](=O)[O-])c(N)c1F ZINC000488755076 383574963 /nfs/dbraw/zinc/57/49/63/383574963.db2.gz WFEXTWSTDLHINI-UHFFFAOYSA-N 0 0 279.271 2.854 20 5 CFBDRN C[C@H](C(=O)N1CCC(CF)CC1)c1cccc([N+](=O)[O-])c1 ZINC000570281689 383591146 /nfs/dbraw/zinc/59/11/46/383591146.db2.gz VFXSGORDFWNNJV-NSHDSACASA-N 0 0 294.326 2.906 20 5 CFBDRN Cc1cc(NC[C@@H]2C[C@@H]2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000489161075 383597841 /nfs/dbraw/zinc/59/78/41/383597841.db2.gz HSCVCVDIKRFQQO-GWCFXTLKSA-N 0 0 298.346 2.850 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000570461464 383606628 /nfs/dbraw/zinc/60/66/28/383606628.db2.gz QEBIOTYPDMPOOR-UHFFFAOYSA-N 0 0 268.288 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCC(F)(F)C2)cc1CO ZINC000450930398 383555266 /nfs/dbraw/zinc/55/52/66/383555266.db2.gz WTNTWDBKQIDBHW-SECBINFHSA-N 0 0 287.262 2.901 20 5 CFBDRN C[C@@H]1C[C@H](CCNc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000570907844 383646300 /nfs/dbraw/zinc/64/63/00/383646300.db2.gz HSKUKZZPESFMCL-NXEZZACHSA-N 0 0 283.303 2.746 20 5 CFBDRN Cn1nncc1/C=C/c1nc2cc([N+](=O)[O-])ccc2s1 ZINC000430989103 383618543 /nfs/dbraw/zinc/61/85/43/383618543.db2.gz LKMASHGPHOJXSN-HWKANZROSA-N 0 0 287.304 2.503 20 5 CFBDRN Cc1ccc(C[NH2+]C2CCC(C(=O)[O-])CC2)cc1[N+](=O)[O-] ZINC000262471733 383623084 /nfs/dbraw/zinc/62/30/84/383623084.db2.gz ACEKSQBJKFRJCI-UHFFFAOYSA-N 0 0 292.335 2.636 20 5 CFBDRN COC[C@H](C)SCCOc1cccc([N+](=O)[O-])c1 ZINC000173772513 532999185 /nfs/dbraw/zinc/99/91/85/532999185.db2.gz DOJKLPRGAKSXAV-JTQLQIEISA-N 0 0 271.338 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)OC[C@@H]1C ZINC000489616698 383631160 /nfs/dbraw/zinc/63/11/60/383631160.db2.gz ACYOILCNJUTHQS-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(C)CC2CCCC2)c1[N+](=O)[O-] ZINC000264685093 383771283 /nfs/dbraw/zinc/77/12/83/383771283.db2.gz QTOBUNQITQRQDW-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN Cc1nc(N2CC[C@@H](C(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000572352840 383775018 /nfs/dbraw/zinc/77/50/18/383775018.db2.gz BSYVQRUTPQDLOR-SNVBAGLBSA-N 0 0 264.329 2.566 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCC[C@H]1CCOC1 ZINC000453126077 383775427 /nfs/dbraw/zinc/77/54/27/383775427.db2.gz PTTSFYQEWRLZTD-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN CC1=CCCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000435601163 383777766 /nfs/dbraw/zinc/77/77/66/383777766.db2.gz JZFNDPKSRWULIM-UHFFFAOYSA-N 0 0 286.291 2.801 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC2(CCC2)[C@@H]2COC[C@H]21 ZINC000571144935 383667343 /nfs/dbraw/zinc/66/73/43/383667343.db2.gz ANBCOGAIXZIVIK-ZWNOBZJWSA-N 0 0 292.310 2.739 20 5 CFBDRN Cc1n[nH]cc1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000436311043 383803665 /nfs/dbraw/zinc/80/36/65/383803665.db2.gz WRXIWGUOUUIQMY-UHFFFAOYSA-N 0 0 287.201 2.784 20 5 CFBDRN CN1C[C@@H](CNc2ncccc2[N+](=O)[O-])Cc2ccccc21 ZINC000436467265 383818901 /nfs/dbraw/zinc/81/89/01/383818901.db2.gz ZKGOXDBNMMQQLS-GFCCVEGCSA-N 0 0 298.346 2.710 20 5 CFBDRN CN1C[C@H](CNc2ncccc2[N+](=O)[O-])Cc2ccccc21 ZINC000436467266 383818985 /nfs/dbraw/zinc/81/89/85/383818985.db2.gz ZKGOXDBNMMQQLS-LBPRGKRZSA-N 0 0 298.346 2.710 20 5 CFBDRN COc1ccc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)cc1 ZINC000436193636 383786704 /nfs/dbraw/zinc/78/67/04/383786704.db2.gz LGZDUSWIOAHDSH-UHFFFAOYSA-N 0 0 273.292 2.726 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCO[C@@H]1CCCCO1 ZINC000280986330 195057191 /nfs/dbraw/zinc/05/71/91/195057191.db2.gz OAFPGFYNOPWUKL-CQSZACIVSA-N 0 0 280.324 2.940 20 5 CFBDRN Cc1nnsc1COc1cc(C)ccc1[N+](=O)[O-] ZINC000572583409 383794772 /nfs/dbraw/zinc/79/47/72/383794772.db2.gz LYRCXJWNRYEHRW-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cccc2ccncc21 ZINC000531274775 383841615 /nfs/dbraw/zinc/84/16/15/383841615.db2.gz NKXDSRPCROOFQL-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C(F)F ZINC000454150725 383917835 /nfs/dbraw/zinc/91/78/35/383917835.db2.gz REMWDSZFDVXPBO-SECBINFHSA-N 0 0 273.239 2.760 20 5 CFBDRN C[C@@H]1C[C@@H](CNC(=O)c2csc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000281049309 195083366 /nfs/dbraw/zinc/08/33/66/195083366.db2.gz GHGDWBYMHZZVHZ-ILWJIGKKSA-N 0 0 298.364 2.590 20 5 CFBDRN Cc1ccc(NC(=O)NCC[C@@H](C)F)cc1[N+](=O)[O-] ZINC000454157792 383920748 /nfs/dbraw/zinc/92/07/48/383920748.db2.gz YTDQRPBVNQYKCK-SECBINFHSA-N 0 0 269.276 2.773 20 5 CFBDRN COC(C)(C)CN(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000531816942 383926566 /nfs/dbraw/zinc/92/65/66/383926566.db2.gz YFYLZSANBGXTHH-UHFFFAOYSA-N 0 0 273.720 2.504 20 5 CFBDRN O=C(COCC1CCCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000454176659 383927690 /nfs/dbraw/zinc/92/76/90/383927690.db2.gz SDIYVMWYEKBUDV-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN CO[C@@H](CSc1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000573205499 383891283 /nfs/dbraw/zinc/89/12/83/383891283.db2.gz VLNDJDNWSQRPNQ-JTQLQIEISA-N 0 0 254.311 2.507 20 5 CFBDRN CC(C)c1csc(NC(=O)c2ccc([N+](=O)[O-])cn2)n1 ZINC000531628591 383899516 /nfs/dbraw/zinc/89/95/16/383899516.db2.gz VLCHNYQMURJSEB-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)c(C)c1 ZINC000532137525 383982123 /nfs/dbraw/zinc/98/21/23/383982123.db2.gz UAXCXEWKPUDHDS-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC1(C)C ZINC000573805156 383993020 /nfs/dbraw/zinc/99/30/20/383993020.db2.gz QZBHVYTTYFGCLN-SECBINFHSA-N 0 0 263.297 2.621 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)O[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000438376334 383996545 /nfs/dbraw/zinc/99/65/45/383996545.db2.gz JJXSPOMLGKNPJL-QJPTWQEYSA-N 0 0 292.335 2.541 20 5 CFBDRN COc1ccc(CN2C[C@H](C)O[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000438382500 383997192 /nfs/dbraw/zinc/99/71/92/383997192.db2.gz PDDASBNCJMAOKR-SDDRHHMPSA-N 0 0 294.351 2.601 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)Cc1cnccc1C ZINC000573815697 383997922 /nfs/dbraw/zinc/99/79/22/383997922.db2.gz UCIUWSHQLFPWIO-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2C[C@H]2CC)cc1[N+](=O)[O-] ZINC000438098907 383947977 /nfs/dbraw/zinc/94/79/77/383947977.db2.gz RVOUXRREBPMQON-ZYHUDNBSSA-N 0 0 262.309 2.686 20 5 CFBDRN CCC[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1F)OC ZINC000454273357 383973790 /nfs/dbraw/zinc/97/37/90/383973790.db2.gz JZAJVTIBFFBZOX-SNVBAGLBSA-N 0 0 299.302 2.671 20 5 CFBDRN Nc1ccc(-c2noc(/C=C\c3ccc[nH]3)n2)cc1[N+](=O)[O-] ZINC000438243564 383976145 /nfs/dbraw/zinc/97/61/45/383976145.db2.gz MKFMPSDLDVZOIT-XQRVVYSFSA-N 0 0 297.274 2.726 20 5 CFBDRN Cc1cccc(CN2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000438532839 384018766 /nfs/dbraw/zinc/01/87/66/384018766.db2.gz PSCXDZMSFKYUSR-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532393011 384023044 /nfs/dbraw/zinc/02/30/44/384023044.db2.gz WQALOOFDDRZWPW-MEBBXXQBSA-N 0 0 297.314 2.653 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000532393197 384023165 /nfs/dbraw/zinc/02/31/65/384023165.db2.gz LLOZFEMZOLGGJA-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]1C ZINC000532391578 384023228 /nfs/dbraw/zinc/02/32/28/384023228.db2.gz CJJTZOSLIISDJV-FOGDFJRCSA-N 0 0 277.324 2.544 20 5 CFBDRN CC(C)[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532392169 384023319 /nfs/dbraw/zinc/02/33/19/384023319.db2.gz ICIKQFPNLJRRGH-VXGBXAGGSA-N 0 0 291.351 2.934 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]2c2ncon2)c1 ZINC000532296756 384007099 /nfs/dbraw/zinc/00/70/99/384007099.db2.gz NKIIOMOULAGLBH-NSHDSACASA-N 0 0 274.280 2.628 20 5 CFBDRN COC(C)(C)C[N@H+](C)Cc1cccc([N+](=O)[O-])c1C ZINC000532339656 384011838 /nfs/dbraw/zinc/01/18/38/384011838.db2.gz LQXJIKNFXPHRMY-UHFFFAOYSA-N 0 0 266.341 2.760 20 5 CFBDRN CC(=O)c1cccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000532497136 384037360 /nfs/dbraw/zinc/03/73/60/384037360.db2.gz IEOCNSHVPVOUKY-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 ZINC000265974704 384039266 /nfs/dbraw/zinc/03/92/66/384039266.db2.gz CWDQLMJOPMXQJN-UHFFFAOYSA-N 0 0 264.325 2.978 20 5 CFBDRN CCC(C)(C)[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000532738200 384066781 /nfs/dbraw/zinc/06/67/81/384066781.db2.gz FUJYFEDQEBOTIL-ZDUSSCGKSA-N 0 0 293.367 2.974 20 5 CFBDRN CC[C@@H](C)Nc1ccc([N+](=O)[O-])c(N2CCOCC2)c1F ZINC000574291450 384075008 /nfs/dbraw/zinc/07/50/08/384075008.db2.gz VVQYIVXAJKRWJF-SNVBAGLBSA-N 0 0 297.330 2.781 20 5 CFBDRN C[C@H](F)CCNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000455032917 384118085 /nfs/dbraw/zinc/11/80/85/384118085.db2.gz CIRAQNZYAZQBDC-NSHDSACASA-N 0 0 297.330 2.527 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCS1 ZINC000266213450 384117245 /nfs/dbraw/zinc/11/72/45/384117245.db2.gz NUVOSVRDJIRSAL-JTQLQIEISA-N 0 0 297.336 2.835 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000455084273 384135535 /nfs/dbraw/zinc/13/55/35/384135535.db2.gz OFGOFEUVXOOJQP-DGCLKSJQSA-N 0 0 293.323 2.592 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CC(C2CCCCC2)C1 ZINC000574716772 384136769 /nfs/dbraw/zinc/13/67/69/384136769.db2.gz HMBQVRXXQHPDJP-UHFFFAOYSA-N 0 0 289.335 2.642 20 5 CFBDRN COC(=O)[C@H]1CCCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000133946883 291847775 /nfs/dbraw/zinc/84/77/75/291847775.db2.gz SWEVUZCXVHYDGM-VIFPVBQESA-N 0 0 298.726 2.638 20 5 CFBDRN CCc1ncc(CN2CCc3c(cccc3[N+](=O)[O-])C2)cn1 ZINC000574662677 384131200 /nfs/dbraw/zinc/13/12/00/384131200.db2.gz DVXVUOKCWHDOND-UHFFFAOYSA-N 0 0 298.346 2.506 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1-n1ccnc1 ZINC000042388710 285081915 /nfs/dbraw/zinc/08/19/15/285081915.db2.gz MCQGWWVXABXQHE-UHFFFAOYSA-N 0 0 268.070 2.543 20 5 CFBDRN O=C([O-])CCCCC[N@@H+]1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000574816925 384149646 /nfs/dbraw/zinc/14/96/46/384149646.db2.gz XJLDCEFTGJQICP-UHFFFAOYSA-N 0 0 292.335 2.598 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC([C@H]2CCOC2)C1 ZINC000373684539 285089869 /nfs/dbraw/zinc/08/98/69/285089869.db2.gz KFXNAUUQAYUSKI-VIFPVBQESA-N 0 0 282.727 2.721 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(N2CCC[C@H](O)C2)c(Cl)c1 ZINC000226740190 285087568 /nfs/dbraw/zinc/08/75/68/285087568.db2.gz FTYPDENQBRQGFH-QMMMGPOBSA-N 0 0 291.134 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCOC3(CCC3)C2)c(F)c1 ZINC000270802188 285093841 /nfs/dbraw/zinc/09/38/41/285093841.db2.gz NYCSZRMSCHCCBM-UHFFFAOYSA-N 0 0 284.262 2.632 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H]1C(F)F ZINC000343924651 285091835 /nfs/dbraw/zinc/09/18/35/285091835.db2.gz XURZKGPEVQIBML-ZETCQYMHSA-N 0 0 277.658 2.877 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000277151180 384216619 /nfs/dbraw/zinc/21/66/19/384216619.db2.gz RMGMMMKVYRGFRJ-VHSXEESVSA-N 0 0 291.307 2.619 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277159716 384218442 /nfs/dbraw/zinc/21/84/42/384218442.db2.gz QQWVPIGPNUACPG-ZWNOBZJWSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cc(N3CCCC3)ncn2)cc1 ZINC000277327569 384269271 /nfs/dbraw/zinc/26/92/71/384269271.db2.gz DWEMTLYIIYJGIC-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1cccc(F)c1[N+](=O)[O-] ZINC000277337012 384270966 /nfs/dbraw/zinc/27/09/66/384270966.db2.gz CSEOVJWXLBFFKL-PSASIEDQSA-N 0 0 254.261 2.571 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC1CCCC1 ZINC000352355912 285100934 /nfs/dbraw/zinc/10/09/34/285100934.db2.gz JJGLGYACEFKZFR-UHFFFAOYSA-N 0 0 257.293 2.919 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)NC1CC(F)(F)C1 ZINC000277364516 384280101 /nfs/dbraw/zinc/28/01/01/384280101.db2.gz BCGLXKWPYDTWSC-UHFFFAOYSA-N 0 0 271.223 2.514 20 5 CFBDRN Cc1ccnc(NC[C@]2(C)CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000267049878 384287387 /nfs/dbraw/zinc/28/73/87/384287387.db2.gz FCRHYURMWXAXQK-RISCZKNCSA-N 0 0 279.340 2.651 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2CCCSC2)s1 ZINC000288609297 285100230 /nfs/dbraw/zinc/10/02/30/285100230.db2.gz ZHPNAFBRKKQXTG-SSDOTTSWSA-N 0 0 296.377 2.907 20 5 CFBDRN CCC1CCN(C(=O)c2ccc([N+](=O)[O-])cc2OC)CC1 ZINC000277558045 384336853 /nfs/dbraw/zinc/33/68/53/384336853.db2.gz JNHGZLJETIZHLC-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@H]1OCCC[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000277591876 384347317 /nfs/dbraw/zinc/34/73/17/384347317.db2.gz HRYZMVVUGSBJKW-ZIAGYGMSSA-N 0 0 292.335 2.763 20 5 CFBDRN CCCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277643177 384363033 /nfs/dbraw/zinc/36/30/33/384363033.db2.gz UCXKFRJFFVEJKN-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCOC3)n2)cc1 ZINC000264954093 285107505 /nfs/dbraw/zinc/10/75/05/285107505.db2.gz AQEUNEZRUKLKOZ-JTQLQIEISA-N 0 0 275.264 2.539 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H]2CCCC[C@H]2CC)c1[N+](=O)[O-] ZINC000277787875 384405643 /nfs/dbraw/zinc/40/56/43/384405643.db2.gz VXEDCPZEIFOASQ-KOLCDFICSA-N 0 0 294.355 2.579 20 5 CFBDRN CC[C@H](C)[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000277710239 384383138 /nfs/dbraw/zinc/38/31/38/384383138.db2.gz NLVAEXYGUZSGBE-RCOVLWMOSA-N 0 0 294.229 2.895 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cn1)OC1CCCCC1 ZINC000278264772 384511651 /nfs/dbraw/zinc/51/16/51/384511651.db2.gz AEPTZUBBVDLOPR-UHFFFAOYSA-N 0 0 296.348 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC3CC3)n2)s1 ZINC000278209020 384499446 /nfs/dbraw/zinc/49/94/46/384499446.db2.gz QCYFELNLTZFGDB-UHFFFAOYSA-N 0 0 251.267 2.659 20 5 CFBDRN CO[C@H](C)CCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000291818562 533070123 /nfs/dbraw/zinc/07/01/23/533070123.db2.gz FUSUXWYPJRXYRU-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000352844556 220302807 /nfs/dbraw/zinc/30/28/07/220302807.db2.gz UVRWGFBFQCKILR-LLVKDONJSA-N 0 0 291.351 2.702 20 5 CFBDRN CCCOCc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278269748 384513661 /nfs/dbraw/zinc/51/36/61/384513661.db2.gz VMPOMAKXRNFKIE-UHFFFAOYSA-N 0 0 269.282 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC(C)(C)O1 ZINC000278383350 384551543 /nfs/dbraw/zinc/55/15/43/384551543.db2.gz HWMDUFKSUISSOL-LBPRGKRZSA-N 0 0 278.308 2.799 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000268198357 384563276 /nfs/dbraw/zinc/56/32/76/384563276.db2.gz GDILOQUBWZYISF-PHIMTYICSA-N 0 0 262.309 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCc3ccccc3C2)nc1 ZINC000264370470 285125113 /nfs/dbraw/zinc/12/51/13/285125113.db2.gz GLWSLKTYAREPQZ-UHFFFAOYSA-N 0 0 269.304 2.943 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCCCO1 ZINC000278488290 384587382 /nfs/dbraw/zinc/58/73/82/384587382.db2.gz AUWKTMOUQXDWSO-UHFFFAOYSA-N 0 0 279.296 2.771 20 5 CFBDRN C[C@@H](O)[C@H](C)Sc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000278415411 384564580 /nfs/dbraw/zinc/56/45/80/384564580.db2.gz OCPSRJMJILMYPG-RITPCOANSA-N 0 0 296.270 2.870 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCC[C@H]3OCC[C@@H]32)c1[N+](=O)[O-] ZINC000268411605 384618487 /nfs/dbraw/zinc/61/84/87/384618487.db2.gz TVDBVLPYHUMBAM-IJLUTSLNSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1nc(NCc2cccc(N(C)C)c2)ccc1[N+](=O)[O-] ZINC000268443808 384620985 /nfs/dbraw/zinc/62/09/85/384620985.db2.gz DJPNOPCTGSBXCV-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CCc1nc(CCNc2ccc([N+](=O)[O-])c(C)n2)cs1 ZINC000268445206 384621180 /nfs/dbraw/zinc/62/11/80/384621180.db2.gz DSZYBXNCTQUPSA-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C[C@@H](C2CC2)O1 ZINC000279385987 384621835 /nfs/dbraw/zinc/62/18/35/384621835.db2.gz TYBPNRXPJBCYBO-ABAIWWIYSA-N 0 0 276.336 2.594 20 5 CFBDRN CCC(=CC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1)CC ZINC000268452370 384622544 /nfs/dbraw/zinc/62/25/44/384622544.db2.gz RQCYBZIKNUQYRV-UHFFFAOYSA-N 0 0 292.335 2.966 20 5 CFBDRN CCn1nc(C)c(Nc2ccc([N+](=O)[O-])c(C)n2)c1C ZINC000268541513 384631285 /nfs/dbraw/zinc/63/12/85/384631285.db2.gz ZHOBSWNNLAIXCG-UHFFFAOYSA-N 0 0 275.312 2.875 20 5 CFBDRN Cc1nc(N[C@H]2CCCc3c[nH]nc32)ccc1[N+](=O)[O-] ZINC000268821430 384669333 /nfs/dbraw/zinc/66/93/33/384669333.db2.gz JXEZORFALHZENW-JTQLQIEISA-N 0 0 273.296 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(CCOC3)C2)c(Cl)c1 ZINC000301624151 285131350 /nfs/dbraw/zinc/13/13/50/285131350.db2.gz ZFPBJTGKSGJDCJ-ZDUSSCGKSA-N 0 0 282.727 2.865 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccn(C)c2)cc1[N+](=O)[O-] ZINC000268677432 384646966 /nfs/dbraw/zinc/64/69/66/384646966.db2.gz NESDLUGAMSPYFJ-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN CO[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000279679546 384720678 /nfs/dbraw/zinc/72/06/78/384720678.db2.gz GQVUJBQTFADLQH-LLVKDONJSA-N 0 0 284.699 2.612 20 5 CFBDRN CC[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000269408049 384755878 /nfs/dbraw/zinc/75/58/78/384755878.db2.gz WNMLSJASXLEPRJ-SCZZXKLOSA-N 0 0 285.731 2.647 20 5 CFBDRN Cc1nccn1CCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000269440109 384757855 /nfs/dbraw/zinc/75/78/55/384757855.db2.gz AZAYLWLNPNJUGN-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN Cc1nccn1CCCN(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000269454578 384760522 /nfs/dbraw/zinc/76/05/22/384760522.db2.gz FJWWVTLTAAZHDM-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN Cc1nccn1CCCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269657757 384823498 /nfs/dbraw/zinc/82/34/98/384823498.db2.gz SGJHVABZLYWMPT-UHFFFAOYSA-N 0 0 296.277 2.880 20 5 CFBDRN CC(C)(C)[C@@H](CO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000269664445 384825630 /nfs/dbraw/zinc/82/56/30/384825630.db2.gz VGRNZKCLWDENEN-SNVBAGLBSA-N 0 0 274.267 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCN(c3ccccn3)C2)cc1 ZINC000269868590 384887487 /nfs/dbraw/zinc/88/74/87/384887487.db2.gz LVWHJFJMZWGYDX-ZDUSSCGKSA-N 0 0 284.319 2.681 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCN(c2ccccn2)C1 ZINC000269898917 384897784 /nfs/dbraw/zinc/89/77/84/384897784.db2.gz YGMXYCYMNHZCNO-CYBMUJFWSA-N 0 0 298.346 2.989 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H](CO)CC1CCC1 ZINC000280176477 384916689 /nfs/dbraw/zinc/91/66/89/384916689.db2.gz HRMMZXQZBNENKA-JTQLQIEISA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H](CO)CC1CCC1 ZINC000280176480 384916698 /nfs/dbraw/zinc/91/66/98/384916698.db2.gz HRMMZXQZBNENKA-SNVBAGLBSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1ccc(N(C)C[C@H]2CCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000269972792 384925490 /nfs/dbraw/zinc/92/54/90/384925490.db2.gz LJMDXXLRQODTCU-RISCZKNCSA-N 0 0 264.325 2.500 20 5 CFBDRN COc1ccc(CNc2ccn(C(C)C)n2)cc1[N+](=O)[O-] ZINC000280199314 384925457 /nfs/dbraw/zinc/92/54/57/384925457.db2.gz DRMMQGLLBLZWTA-UHFFFAOYSA-N 0 0 290.323 2.993 20 5 CFBDRN COc1cc(OC[C@@H](O)CC2CCCC2)ccc1[N+](=O)[O-] ZINC000280222459 384933487 /nfs/dbraw/zinc/93/34/87/384933487.db2.gz GNPGNYBXHFVNDR-LBPRGKRZSA-N 0 0 295.335 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@H](F)C2)c1 ZINC000450605979 285147396 /nfs/dbraw/zinc/14/73/96/285147396.db2.gz RWJOZWMFCJAACX-JTQLQIEISA-N 0 0 261.256 2.691 20 5 CFBDRN Cc1sc(C(=O)N2CC=C(C)CC2)cc1[N+](=O)[O-] ZINC000270163444 384998341 /nfs/dbraw/zinc/99/83/41/384998341.db2.gz UIXKBYPJUZPKOY-UHFFFAOYSA-N 0 0 266.322 2.757 20 5 CFBDRN CCN(c1nc2ccccc2cc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000359967590 285147950 /nfs/dbraw/zinc/14/79/50/285147950.db2.gz JASJZPCALJJCLL-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000289265191 197279791 /nfs/dbraw/zinc/27/97/91/197279791.db2.gz QUFGJYYOGOHCJT-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN C[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@@H](C2CC2)O1 ZINC000280607138 385081066 /nfs/dbraw/zinc/08/10/66/385081066.db2.gz YDBRWRRPZPRZDQ-SDBXPKJASA-N 0 0 298.289 2.877 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000280612549 385083273 /nfs/dbraw/zinc/08/32/73/385083273.db2.gz ALBPULPHDBYZPH-NOZJJQNGSA-N 0 0 294.326 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1NCCF ZINC000280500985 385036928 /nfs/dbraw/zinc/03/69/28/385036928.db2.gz CDESNUZPEFYWPI-UHFFFAOYSA-N 0 0 263.066 2.739 20 5 CFBDRN CSc1ccccc1CN(C)c1c([N+](=O)[O-])ncn1C ZINC000280631817 385091390 /nfs/dbraw/zinc/09/13/90/385091390.db2.gz ZZFSOAYXDMEPSW-UHFFFAOYSA-N 0 0 292.364 2.687 20 5 CFBDRN CC(C)n1ncnc1CNc1ccc([N+](=O)[O-])cc1F ZINC000280667315 385107130 /nfs/dbraw/zinc/10/71/30/385107130.db2.gz CBZLVUSDOBIBNZ-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000289112883 197238527 /nfs/dbraw/zinc/23/85/27/197238527.db2.gz QFNVWCRADVXORU-AWEZNQCLSA-N 0 0 264.325 2.584 20 5 CFBDRN CCC1(CO)CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000270803502 385231283 /nfs/dbraw/zinc/23/12/83/385231283.db2.gz UYMWDQWEEMDSMN-UHFFFAOYSA-N 0 0 299.758 2.632 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H](C)CF ZINC000289110429 197237542 /nfs/dbraw/zinc/23/75/42/197237542.db2.gz WGEHLSRHSGJDTE-VIFPVBQESA-N 0 0 268.288 2.608 20 5 CFBDRN CC(=O)CCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000282175424 385238089 /nfs/dbraw/zinc/23/80/89/385238089.db2.gz VLMRSKVCZOEDRE-UHFFFAOYSA-N 0 0 292.335 2.701 20 5 CFBDRN Cc1cc(C)cc([C@@H](O)CNc2ccc([N+](=O)[O-])cn2)c1 ZINC000282181642 385239530 /nfs/dbraw/zinc/23/95/30/385239530.db2.gz GHLXZYVQIBOUSK-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN CO[C@@H](Cn1cnc([N+](=O)[O-])c1)c1ccc(Cl)cc1 ZINC000282194198 385243474 /nfs/dbraw/zinc/24/34/74/385243474.db2.gz KZKGWRVJVXUILZ-NSHDSACASA-N 0 0 281.699 2.832 20 5 CFBDRN CON(CC1CCOCC1)c1cc(C)ccc1[N+](=O)[O-] ZINC000282414772 385305732 /nfs/dbraw/zinc/30/57/32/385305732.db2.gz BCZZDYZLLOYQEO-UHFFFAOYSA-N 0 0 280.324 2.698 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(S[C@H]3CCCOC3)c21 ZINC000347454843 285159431 /nfs/dbraw/zinc/15/94/31/285159431.db2.gz UGABZCNNQDTBOD-VIFPVBQESA-N 0 0 291.332 2.809 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(S[C@@H]3CCCOC3)c21 ZINC000347454841 285159463 /nfs/dbraw/zinc/15/94/63/285159463.db2.gz UGABZCNNQDTBOD-SECBINFHSA-N 0 0 291.332 2.809 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C[C@@H]1CCCCO1 ZINC000282245019 385259061 /nfs/dbraw/zinc/25/90/61/385259061.db2.gz IPTVYTJSEQTHGR-JTQLQIEISA-N 0 0 296.298 2.940 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000282279062 385269047 /nfs/dbraw/zinc/26/90/47/385269047.db2.gz UQMYORYIEDFURW-MNOVXSKESA-N 0 0 279.340 2.794 20 5 CFBDRN CC(C)(C)n1ncnc1COc1c(F)cccc1[N+](=O)[O-] ZINC000282282821 385270791 /nfs/dbraw/zinc/27/07/91/385270791.db2.gz VCDWCZIBVCJENS-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2ncnn2C(C)(C)C)c1 ZINC000282281208 385270799 /nfs/dbraw/zinc/27/07/99/385270799.db2.gz UXXFHQPXDCAMBU-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2CCCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000282285690 385270815 /nfs/dbraw/zinc/27/08/15/385270815.db2.gz BQIKQZZGCOWMIU-NXEZZACHSA-N 0 0 296.298 2.796 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C=C1CCC1 ZINC000282328665 385283922 /nfs/dbraw/zinc/28/39/22/385283922.db2.gz AISWOTOBHPYXAA-UHFFFAOYSA-N 0 0 274.320 2.706 20 5 CFBDRN Cc1cc(F)c(NC(=O)CC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000282346797 385289178 /nfs/dbraw/zinc/28/91/78/385289178.db2.gz GWHZBIGUIXHUBC-JTQLQIEISA-N 0 0 296.298 2.940 20 5 CFBDRN CSCCCN(C)Cc1csc([N+](=O)[O-])c1 ZINC000281179597 195137823 /nfs/dbraw/zinc/13/78/23/195137823.db2.gz HORLPTJRNMVIBP-UHFFFAOYSA-N 0 0 260.384 2.841 20 5 CFBDRN CON(Cc1ccc([N+](=O)[O-])cc1F)CC1CCOCC1 ZINC000282502824 385328924 /nfs/dbraw/zinc/32/89/24/385328924.db2.gz OOWUNODCHPNMQI-UHFFFAOYSA-N 0 0 298.314 2.524 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(n2cccn2)CC1 ZINC000301098147 285162678 /nfs/dbraw/zinc/16/26/78/285162678.db2.gz NBNKAVSPUSSKGN-UHFFFAOYSA-N 0 0 272.308 2.633 20 5 CFBDRN CCCCOCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282538378 385337030 /nfs/dbraw/zinc/33/70/30/385337030.db2.gz WDMZRBKQZPBHDU-UHFFFAOYSA-N 0 0 284.287 2.798 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000282584746 385346451 /nfs/dbraw/zinc/34/64/51/385346451.db2.gz HHIDNJREWSGGFD-KBPBESRZSA-N 0 0 293.319 2.638 20 5 CFBDRN COc1ccc(CN[C@H](C)c2cscn2)cc1[N+](=O)[O-] ZINC000271136678 385347216 /nfs/dbraw/zinc/34/72/16/385347216.db2.gz NGTDAQNLOWRPHE-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000282713184 385374564 /nfs/dbraw/zinc/37/45/64/385374564.db2.gz RCQBMLYPCFFSAK-RYUDHWBXSA-N 0 0 279.292 2.628 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@H](C)C2)c1 ZINC000282753997 385383507 /nfs/dbraw/zinc/38/35/07/385383507.db2.gz BPJJBJCYLBJKMR-PHIMTYICSA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@](C)(O)C(F)(F)F ZINC000271919536 385401355 /nfs/dbraw/zinc/40/13/55/385401355.db2.gz DBUVPIAKSFXTLL-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN C[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000271922085 385403047 /nfs/dbraw/zinc/40/30/47/385403047.db2.gz FBJOSTXHQPAMSU-BDAKNGLRSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1ccoc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289012689 197213309 /nfs/dbraw/zinc/21/33/09/197213309.db2.gz JGVHPNXOEIQKSH-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN CC1(C)SC[C@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000282902044 385408273 /nfs/dbraw/zinc/40/82/73/385408273.db2.gz UHQIICLTLFIVEN-SNVBAGLBSA-N 0 0 278.337 2.777 20 5 CFBDRN CC(C)c1nnc(C(=O)Nc2cccc([N+](=O)[O-])c2)s1 ZINC000282910277 385408918 /nfs/dbraw/zinc/40/89/18/385408918.db2.gz MSHIEPJWGSFFFD-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC2(C)CCCCCC2)c1[N+](=O)[O-] ZINC000271973914 385415574 /nfs/dbraw/zinc/41/55/74/385415574.db2.gz IHYVQVXBMROFCW-UHFFFAOYSA-N 0 0 294.355 2.717 20 5 CFBDRN CCC(CC)(CCO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000282993377 385421603 /nfs/dbraw/zinc/42/16/03/385421603.db2.gz NFAKEXCKKHVNDW-UHFFFAOYSA-N 0 0 295.339 2.658 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCO[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000272069346 385440406 /nfs/dbraw/zinc/44/04/06/385440406.db2.gz LCWNZRNRMRRLFK-IJLUTSLNSA-N 0 0 292.335 2.587 20 5 CFBDRN CCC(CC)(CCO)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000283172250 385443416 /nfs/dbraw/zinc/44/34/16/385443416.db2.gz PPEJCTSUWGNBQV-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN CCN(C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000272116738 385451177 /nfs/dbraw/zinc/45/11/77/385451177.db2.gz QNRIXRPQJMBOML-UHFFFAOYSA-N 0 0 286.278 2.944 20 5 CFBDRN CC(C)(C)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272132495 385456327 /nfs/dbraw/zinc/45/63/27/385456327.db2.gz XDLWLMQAHXASSH-UHFFFAOYSA-N 0 0 272.251 2.601 20 5 CFBDRN CC(C)C[C@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000272043470 385434340 /nfs/dbraw/zinc/43/43/40/385434340.db2.gz VFWYTRHWBNPBHB-RYUDHWBXSA-N 0 0 279.340 2.995 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccc([N+](=O)[O-])cn2)C(C)(C)O1 ZINC000272167467 385464695 /nfs/dbraw/zinc/46/46/95/385464695.db2.gz GFQITPWWCQBPKB-SNVBAGLBSA-N 0 0 265.313 2.748 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1cccc([N+](=O)[O-])c1 ZINC000283483911 385469756 /nfs/dbraw/zinc/46/97/56/385469756.db2.gz KKNBPOYGBGOXTO-UHFFFAOYSA-N 0 0 275.312 2.553 20 5 CFBDRN CCC(CC)(CCO)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000283532800 385474192 /nfs/dbraw/zinc/47/41/92/385474192.db2.gz MECKEPQOUJLTFU-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@H]1CC1(C)C ZINC000283593829 385479155 /nfs/dbraw/zinc/47/91/55/385479155.db2.gz LZXWULGTZAQHOC-CYBMUJFWSA-N 0 0 276.336 2.642 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)[C@@H]1CCCCO1 ZINC000272225870 385480203 /nfs/dbraw/zinc/48/02/03/385480203.db2.gz WSSYXUUIGXLYFM-RISCZKNCSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283605294 385480344 /nfs/dbraw/zinc/48/03/44/385480344.db2.gz MVLGRZNRZLRJSU-LSDHHAIUSA-N 0 0 290.363 2.888 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283605286 385480467 /nfs/dbraw/zinc/48/04/67/385480467.db2.gz MVLGRZNRZLRJSU-CABCVRRESA-N 0 0 290.363 2.888 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)CC(C)(F)F ZINC000283650114 385485387 /nfs/dbraw/zinc/48/53/87/385485387.db2.gz QDKYPUZKTBLBSN-UHFFFAOYSA-N 0 0 286.278 2.641 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272250556 385487618 /nfs/dbraw/zinc/48/76/18/385487618.db2.gz SPLNYEQAIURGMP-VHSXEESVSA-N 0 0 298.289 2.944 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000283681102 385487742 /nfs/dbraw/zinc/48/77/42/385487742.db2.gz ZEJNCTYREKEZKW-COPLHBTASA-N 0 0 293.319 2.760 20 5 CFBDRN Cc1cc(NC(=O)CC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000272259739 385491791 /nfs/dbraw/zinc/49/17/91/385491791.db2.gz LTGGBEYVCOFUAW-GFCCVEGCSA-N 0 0 278.308 2.801 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)CCc1ccncc1 ZINC000283730853 385493905 /nfs/dbraw/zinc/49/39/05/385493905.db2.gz HUHSDDTVSKMEHN-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2CCc2ccccc2)nc1 ZINC000302108782 285173773 /nfs/dbraw/zinc/17/37/73/285173773.db2.gz ORKPIBKRJCECFB-AWEZNQCLSA-N 0 0 298.346 2.986 20 5 CFBDRN CCC[C@@H]1C[C@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272313224 385507711 /nfs/dbraw/zinc/50/77/11/385507711.db2.gz IMGMMMRGGDIWQH-BXKDBHETSA-N 0 0 298.289 2.991 20 5 CFBDRN Cc1cc(F)c(NC(=O)N[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000283934888 385514798 /nfs/dbraw/zinc/51/47/98/385514798.db2.gz KPTHJPVGSCRPBT-QMMMGPOBSA-N 0 0 281.287 2.962 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000272340798 385514861 /nfs/dbraw/zinc/51/48/61/385514861.db2.gz OYMOIPRHVQTCIC-PWSUYJOCSA-N 0 0 263.293 2.869 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]3CCC[C@@H]32)c(F)c1 ZINC000408463534 285173315 /nfs/dbraw/zinc/17/33/15/285173315.db2.gz CBKSWRBVJGKFDY-CABZTGNLSA-N 0 0 265.288 2.898 20 5 CFBDRN Cc1cc(F)c(NC(=O)N2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000284063065 385529843 /nfs/dbraw/zinc/52/98/43/385529843.db2.gz QMZKYDHQCHYIBP-MRVPVSSYSA-N 0 0 281.287 2.916 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)[C@H]1C ZINC000284193530 385546352 /nfs/dbraw/zinc/54/63/52/385546352.db2.gz ZCNIOULTCNLQSN-IUCAKERBSA-N 0 0 295.314 2.577 20 5 CFBDRN CCCc1ccc(OC(=O)c2nn(C)cc2[N+](=O)[O-])cc1 ZINC000272364246 385519926 /nfs/dbraw/zinc/51/99/26/385519926.db2.gz CJKJLDDZLZJFNX-UHFFFAOYSA-N 0 0 289.291 2.500 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](c3ccc(F)cc3)C2)nc1 ZINC000273927278 285176956 /nfs/dbraw/zinc/17/69/56/285176956.db2.gz KFXWRURDMFDODI-NSHDSACASA-N 0 0 288.282 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)C(F)(F)F ZINC000288788664 197166754 /nfs/dbraw/zinc/16/67/54/197166754.db2.gz KYWKEKQMNFADDD-SSDOTTSWSA-N 0 0 291.229 2.976 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCc3c2cccc3O)s1 ZINC000281998939 285181538 /nfs/dbraw/zinc/18/15/38/285181538.db2.gz UCUYTRWSFLVCFW-SECBINFHSA-N 0 0 277.305 2.856 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2COc3cccc(Cl)c32)nc1 ZINC000367291133 285181780 /nfs/dbraw/zinc/18/17/80/285181780.db2.gz QBMJQMIIVZKPGW-SECBINFHSA-N 0 0 292.682 2.584 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCc3c(O)cccc32)nc1 ZINC000302734530 285182448 /nfs/dbraw/zinc/18/24/48/285182448.db2.gz VXJFHZUPBWDKDX-LBPRGKRZSA-N 0 0 286.291 2.580 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCS[C@H]1CCCOC1 ZINC000272524203 385558436 /nfs/dbraw/zinc/55/84/36/385558436.db2.gz XZQFTLHUTXBTPT-NSHDSACASA-N 0 0 283.349 2.886 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1nnc(C2CC2)o1 ZINC000286133018 385563612 /nfs/dbraw/zinc/56/36/12/385563612.db2.gz BIYGZHDETGCCKY-UHFFFAOYSA-N 0 0 288.307 2.534 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2COc3cc(F)cc(F)c32)s1 ZINC000376614452 285183631 /nfs/dbraw/zinc/18/36/31/285183631.db2.gz HNOCPOGDQUTCSH-ZETCQYMHSA-N 0 0 299.258 2.875 20 5 CFBDRN CCC1(C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCOCC1 ZINC000272586668 385570233 /nfs/dbraw/zinc/57/02/33/385570233.db2.gz NGXJHSPBDPAERP-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])c(C)c2)[nH]1 ZINC000286343720 385594277 /nfs/dbraw/zinc/59/42/77/385594277.db2.gz JVBDOHPNNXPOAB-MRVPVSSYSA-N 0 0 261.285 2.503 20 5 CFBDRN CCc1nsc(N(C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000286362243 385598874 /nfs/dbraw/zinc/59/88/74/385598874.db2.gz DNRZOJJRUYJLRL-UHFFFAOYSA-N 0 0 292.364 2.688 20 5 CFBDRN CCOCCCCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286385910 385604909 /nfs/dbraw/zinc/60/49/09/385604909.db2.gz NAYKKMVILHBZLL-UHFFFAOYSA-N 0 0 296.352 2.828 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000286290870 385583952 /nfs/dbraw/zinc/58/39/52/385583952.db2.gz HOMQNGXOQKMYFS-ZJUUUORDSA-N 0 0 295.295 2.588 20 5 CFBDRN Cc1cc(COC(=O)[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000272662079 385584693 /nfs/dbraw/zinc/58/46/93/385584693.db2.gz HAQBHSGGSYVEJA-YPMHNXCESA-N 0 0 293.319 2.762 20 5 CFBDRN CO[C@@H](C)CCNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000286332421 385592005 /nfs/dbraw/zinc/59/20/05/385592005.db2.gz BKUFKVRWUMCWQG-VIFPVBQESA-N 0 0 266.297 2.634 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(C)(C)CC1 ZINC000427454857 533133648 /nfs/dbraw/zinc/13/36/48/533133648.db2.gz FGQPJHWLZRIBCG-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC2(CC2)C1 ZINC000450579435 285186747 /nfs/dbraw/zinc/18/67/47/285186747.db2.gz KFMPIMYAHCESAR-UHFFFAOYSA-N 0 0 255.277 2.743 20 5 CFBDRN Cc1cc(COC(=O)COC2CCCC2)ccc1[N+](=O)[O-] ZINC000272841155 385630622 /nfs/dbraw/zinc/63/06/22/385630622.db2.gz ZTUNSWXLZHGVHE-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN O=C(N[C@H](C1CC1)C(F)(F)F)c1csc([N+](=O)[O-])c1 ZINC000288724755 197153078 /nfs/dbraw/zinc/15/30/78/197153078.db2.gz YNGFEZHUOKNEMT-MRVPVSSYSA-N 0 0 294.254 2.727 20 5 CFBDRN Cc1cc(N2CCC(CCCO)CC2)ccc1[N+](=O)[O-] ZINC000272942572 385662405 /nfs/dbraw/zinc/66/24/05/385662405.db2.gz VWPHLGCXTQWJIS-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN COCC(C)(C)CCCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000286686550 385686447 /nfs/dbraw/zinc/68/64/47/385686447.db2.gz IDZOQZXOOQYNEO-UHFFFAOYSA-N 0 0 294.351 2.777 20 5 CFBDRN CCc1ccc(OC(=O)OC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000286726810 385696497 /nfs/dbraw/zinc/69/64/97/385696497.db2.gz BXXMQNNSDJOCNX-LLVKDONJSA-N 0 0 295.291 2.852 20 5 CFBDRN Cc1c(C(=O)NC[C@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000286742193 385701109 /nfs/dbraw/zinc/70/11/09/385701109.db2.gz IMOYGKKFNWKKQA-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@H]1CCO ZINC000286799814 385713355 /nfs/dbraw/zinc/71/33/55/385713355.db2.gz WJXSVYCZOHECLF-FZMZJTMJSA-N 0 0 292.335 2.760 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000286813245 385715762 /nfs/dbraw/zinc/71/57/62/385715762.db2.gz UJHZDFXITKQZEI-MRVPVSSYSA-N 0 0 254.311 2.575 20 5 CFBDRN CO[C@H](C)CN(C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000286619709 385667990 /nfs/dbraw/zinc/66/79/90/385667990.db2.gz UOCCPWVWSYEXDF-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@H](C3CC3)C2)c1 ZINC000274186551 385735691 /nfs/dbraw/zinc/73/56/91/385735691.db2.gz PXBVNPAHYHXQNW-ABAIWWIYSA-N 0 0 292.335 2.973 20 5 CFBDRN COc1ccc(CNC(=O)[C@@H](C)CC(C)C)cc1[N+](=O)[O-] ZINC000274191395 385736754 /nfs/dbraw/zinc/73/67/54/385736754.db2.gz QTUIXBJFTJFHIZ-NSHDSACASA-N 0 0 294.351 2.902 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000287045768 385769029 /nfs/dbraw/zinc/76/90/29/385769029.db2.gz JASMMBFJOXBZTF-NSHDSACASA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1nn(CCC(Cl)(Cl)Cl)cc1[N+](=O)[O-] ZINC000274361191 385776158 /nfs/dbraw/zinc/77/61/58/385776158.db2.gz VTDZPIHTPUKPQD-UHFFFAOYSA-N 0 0 272.519 2.860 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000287250921 385813030 /nfs/dbraw/zinc/81/30/30/385813030.db2.gz RMUUTDRQZOMXDD-CYBMUJFWSA-N 0 0 287.319 2.995 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000274675610 385847667 /nfs/dbraw/zinc/84/76/67/385847667.db2.gz IPVHYPGAGDTSEW-XJKSGUPXSA-N 0 0 290.363 2.936 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000274945953 385900878 /nfs/dbraw/zinc/90/08/78/385900878.db2.gz QSEYXSWLTXTEOM-WDEREUQCSA-N 0 0 278.308 2.834 20 5 CFBDRN O=C(C[C@H]1C=CCCC1)NCCc1ccccc1[N+](=O)[O-] ZINC000275026074 385915347 /nfs/dbraw/zinc/91/53/47/385915347.db2.gz OEDZMUOQXVFBFW-ZDUSSCGKSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@](O)(CCNc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000275029108 385916206 /nfs/dbraw/zinc/91/62/06/385916206.db2.gz NXSSHOMWNYLANM-HNNXBMFYSA-N 0 0 287.319 2.700 20 5 CFBDRN CSC[C@H](O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000275042861 385919219 /nfs/dbraw/zinc/91/92/19/385919219.db2.gz SMHLPKCAYWBFAK-SNVBAGLBSA-N 0 0 293.344 2.851 20 5 CFBDRN COc1cc(N[C@H]2CCC[C@@H]2CCO)c(F)cc1[N+](=O)[O-] ZINC000275044743 385920563 /nfs/dbraw/zinc/92/05/63/385920563.db2.gz RISOEHSRWTWENV-KOLCDFICSA-N 0 0 298.314 2.706 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000274779345 385870031 /nfs/dbraw/zinc/87/00/31/385870031.db2.gz WBAHOLIJKKVWOT-KGLIPLIRSA-N 0 0 276.336 2.546 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](C)[C@H](OC)C1 ZINC000276061629 385981747 /nfs/dbraw/zinc/98/17/47/385981747.db2.gz RNPSOKTYSLETKU-XHDPSFHLSA-N 0 0 294.351 2.602 20 5 CFBDRN CO[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CC[C@@H]1C ZINC000276079921 385983428 /nfs/dbraw/zinc/98/34/28/385983428.db2.gz UJIXUYFXGOKISX-QPUJVOFHSA-N 0 0 286.278 2.734 20 5 CFBDRN CC[C@]1(C)CN(c2cc(OC)c(F)cc2[N+](=O)[O-])CCO1 ZINC000276100882 385984442 /nfs/dbraw/zinc/98/44/42/385984442.db2.gz XZYNDVDLWFMACA-CQSZACIVSA-N 0 0 298.314 2.748 20 5 CFBDRN CC(=O)c1cc(NCC2=CCCOC2)ccc1[N+](=O)[O-] ZINC000288545794 197108289 /nfs/dbraw/zinc/10/82/89/197108289.db2.gz PDAKXYIBCOIGCO-UHFFFAOYSA-N 0 0 276.292 2.556 20 5 CFBDRN CO[C@@H](CNc1ccc(N)cc1[N+](=O)[O-])C(C)(C)C ZINC000288538448 197105898 /nfs/dbraw/zinc/10/58/98/197105898.db2.gz UXVHGDAYSYSRNH-LBPRGKRZSA-N 0 0 267.329 2.650 20 5 CFBDRN COCC[C@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276707460 386020882 /nfs/dbraw/zinc/02/08/82/386020882.db2.gz XZJCXLWAHBZREG-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)=CCCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000276710910 386022034 /nfs/dbraw/zinc/02/20/34/386022034.db2.gz QETYZWBYZWRFBH-UHFFFAOYSA-N 0 0 262.309 2.989 20 5 CFBDRN CC(C)=CCNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000276733305 386028092 /nfs/dbraw/zinc/02/80/92/386028092.db2.gz WBDNKPANDBCHMS-UHFFFAOYSA-N 0 0 276.336 2.955 20 5 CFBDRN C[C@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])CCS1 ZINC000276828378 386054485 /nfs/dbraw/zinc/05/44/85/386054485.db2.gz APWKIMULPQEECK-QMMMGPOBSA-N 0 0 298.393 2.636 20 5 CFBDRN CCOC1CC(N(C)c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000288475142 197087893 /nfs/dbraw/zinc/08/78/93/197087893.db2.gz KVNOJOLSCOVNIB-UHFFFAOYSA-N 0 0 292.335 2.801 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)c1ncnn1C ZINC000276868667 386065779 /nfs/dbraw/zinc/06/57/79/386065779.db2.gz JHFRBGFHCNREOV-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN CCOc1cc(C[N@@H+]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000276878619 386067852 /nfs/dbraw/zinc/06/78/52/386067852.db2.gz INOPYRLCAVYADK-LLVKDONJSA-N 0 0 264.325 2.835 20 5 CFBDRN C/C=C(/CC)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288435875 197077097 /nfs/dbraw/zinc/07/70/97/197077097.db2.gz SBZJECHRMZCRPF-QCDXTXTGSA-N 0 0 276.336 2.952 20 5 CFBDRN CCCCNC(=O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288386600 197065215 /nfs/dbraw/zinc/06/52/15/197065215.db2.gz JKXAMICNRNJLEK-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@H](O)c2ccccc2)ncc1[N+](=O)[O-] ZINC000288387385 197065070 /nfs/dbraw/zinc/06/50/70/197065070.db2.gz ZZMLBQLTGVPINX-NHYWBVRUSA-N 0 0 287.319 2.832 20 5 CFBDRN C[C@H](CF)NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000288384560 197064284 /nfs/dbraw/zinc/06/42/84/197064284.db2.gz VTNMIADQMMMFKX-ZCFIWIBFSA-N 0 0 294.204 2.701 20 5 CFBDRN Cc1ccnc(NCc2noc3c2CCCC3)c1[N+](=O)[O-] ZINC000288373234 197062344 /nfs/dbraw/zinc/06/23/44/197062344.db2.gz YRCWOPPBUJESNO-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000288339015 197054676 /nfs/dbraw/zinc/05/46/76/197054676.db2.gz BDKINPQKJISZQO-IPWDFOCMSA-N 0 0 288.225 2.675 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCc2noc3c2CCCC3)c1 ZINC000288332656 197053623 /nfs/dbraw/zinc/05/36/23/197053623.db2.gz VOCQBTDYSMPWFY-UHFFFAOYSA-N 0 0 288.307 2.656 20 5 CFBDRN CCc1nn(C)c(Oc2ccc(F)cc2F)c1[N+](=O)[O-] ZINC000288261705 197038612 /nfs/dbraw/zinc/03/86/12/197038612.db2.gz YLNAARVYSUZSBR-UHFFFAOYSA-N 0 0 283.234 2.961 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1cccc(F)c1 ZINC000288116471 197007884 /nfs/dbraw/zinc/00/78/84/197007884.db2.gz LEQCREQTZLATHD-UHFFFAOYSA-N 0 0 278.287 2.871 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCSC(F)(F)F ZINC000288114442 197006879 /nfs/dbraw/zinc/00/68/79/197006879.db2.gz KYXCTHBMBGUCDL-UHFFFAOYSA-N 0 0 298.290 2.785 20 5 CFBDRN CCC[C@@]1(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCOC1 ZINC000287958410 196977885 /nfs/dbraw/zinc/97/78/85/196977885.db2.gz SRMHLIZCBKBWDE-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)COC(C)(C)C ZINC000281224980 195157393 /nfs/dbraw/zinc/15/73/93/195157393.db2.gz DOZIWHFUKGVVDI-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN COCCC1(CNc2c(OC)cccc2[N+](=O)[O-])CC1 ZINC000575002272 322714754 /nfs/dbraw/zinc/71/47/54/322714754.db2.gz CTKKIIOTPYDPBU-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)CSC ZINC000281323402 195195768 /nfs/dbraw/zinc/19/57/68/195195768.db2.gz KHBJHWHZGKSQNW-SECBINFHSA-N 0 0 298.364 2.931 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)CC ZINC000281353228 195209194 /nfs/dbraw/zinc/20/91/94/195209194.db2.gz MEPFZGSKFARYIK-VIFPVBQESA-N 0 0 266.297 2.978 20 5 CFBDRN CC[C@](C)(CNC(=O)/C=C\c1cccc([N+](=O)[O-])c1)OC ZINC000493632146 288390750 /nfs/dbraw/zinc/39/07/50/288390750.db2.gz VIADRZSVJMHBIH-WHLNPGIBSA-N 0 0 292.335 2.539 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)SC ZINC000281364901 195213288 /nfs/dbraw/zinc/21/32/88/195213288.db2.gz MYIAHYHSZMJIOZ-MRVPVSSYSA-N 0 0 284.337 2.684 20 5 CFBDRN CC[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)CCCO1 ZINC000281380588 195218224 /nfs/dbraw/zinc/21/82/24/195218224.db2.gz MGYUQUGAXQJYIF-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN C[C@H]1CC(CNC(=O)c2ccc([N+](=O)[O-])s2)C[C@H](C)O1 ZINC000281438122 195240740 /nfs/dbraw/zinc/24/07/40/195240740.db2.gz YXKZHFVUINXABV-IUCAKERBSA-N 0 0 298.364 2.590 20 5 CFBDRN CC[C@@](C)(OC)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000281447973 195243956 /nfs/dbraw/zinc/24/39/56/195243956.db2.gz KQYPQULVUUEPBO-IAQYHMDHSA-N 0 0 294.351 2.896 20 5 CFBDRN CC(=O)c1cc(NC[C@@H](C)C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000287197801 196801596 /nfs/dbraw/zinc/80/15/96/196801596.db2.gz HNHGBWQTTNDTAG-UWVGGRQHSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC1(C)C ZINC000286650181 196667463 /nfs/dbraw/zinc/66/74/63/196667463.db2.gz IIQWPOONSUQPRW-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]1C1CC1 ZINC000176547361 533228975 /nfs/dbraw/zinc/22/89/75/533228975.db2.gz HOESHAVWVOLISA-QWRGUYRKSA-N 0 0 276.292 2.588 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176547328 533229135 /nfs/dbraw/zinc/22/91/35/533229135.db2.gz HOESHAVWVOLISA-MNOVXSKESA-N 0 0 276.292 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCC3(O)CCCCC3)nc2c1 ZINC000094772028 184858173 /nfs/dbraw/zinc/85/81/73/184858173.db2.gz ZDYRIQIMTSZDAZ-UHFFFAOYSA-N 0 0 290.323 2.578 20 5 CFBDRN C[C@@H](CCCO)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000094944713 184899049 /nfs/dbraw/zinc/89/90/49/184899049.db2.gz RMWQQAQFPPZPDQ-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000281718079 195349081 /nfs/dbraw/zinc/34/90/81/195349081.db2.gz DZSIESVVLXMMBQ-NOZJJQNGSA-N 0 0 289.335 2.697 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000281769087 195372911 /nfs/dbraw/zinc/37/29/11/195372911.db2.gz JMCLJABVGNRIAZ-UCYCBVHCSA-N 0 0 260.293 2.523 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)[C@H](C)C1 ZINC000281771901 195373980 /nfs/dbraw/zinc/37/39/80/195373980.db2.gz KAXSZOKBORPHSJ-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccccc1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000001473192 169708770 /nfs/dbraw/zinc/70/87/70/169708770.db2.gz RWEATFCBAJUPMK-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000281794731 195384896 /nfs/dbraw/zinc/38/48/96/195384896.db2.gz LIYAQNWJYDIBTH-RISCZKNCSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000281816597 195394412 /nfs/dbraw/zinc/39/44/12/195394412.db2.gz OIROARDFADQILC-PRHODGIISA-N 0 0 273.292 2.604 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@H](O)c1cccc(F)c1 ZINC000281862052 195413763 /nfs/dbraw/zinc/41/37/63/195413763.db2.gz KHDKJSFXDJVNFX-HQJQHLMTSA-N 0 0 297.311 2.724 20 5 CFBDRN CC(C)SCCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000282162011 195525040 /nfs/dbraw/zinc/52/50/40/195525040.db2.gz GVUPFIZVCRSDNJ-UHFFFAOYSA-N 0 0 276.745 2.552 20 5 CFBDRN CC1(C)CCN(c2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000282188258 195531856 /nfs/dbraw/zinc/53/18/56/195531856.db2.gz GZUCBEMVHQCMSI-UHFFFAOYSA-N 0 0 281.381 2.899 20 5 CFBDRN Cc1cn([C@@H](C)c2cc([N+](=O)[O-])ccc2C)nc1[N+](=O)[O-] ZINC000282293667 195565919 /nfs/dbraw/zinc/56/59/19/195565919.db2.gz QRLNBPWJCQELJG-JTQLQIEISA-N 0 0 290.279 2.926 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000282586789 195653030 /nfs/dbraw/zinc/65/30/30/195653030.db2.gz HLXOMLUFITZRAV-WDEREUQCSA-N 0 0 279.292 2.628 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H]2C)c1 ZINC000282847681 195724375 /nfs/dbraw/zinc/72/43/75/195724375.db2.gz NKKBKFHXAUBGNI-ZWNOBZJWSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(C[C@@H]1CCCO1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000282955108 195754776 /nfs/dbraw/zinc/75/47/76/195754776.db2.gz IEAGEGAGURRWEN-QMMMGPOBSA-N 0 0 284.699 2.756 20 5 CFBDRN Cc1coc(C)c1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000283307557 195837249 /nfs/dbraw/zinc/83/72/49/195837249.db2.gz AJLFVZAZOHWPLB-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN COCCCCN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000417002544 533246322 /nfs/dbraw/zinc/24/63/22/533246322.db2.gz VBJTUTIIULYVEB-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN COc1ncccc1COc1cccc([N+](=O)[O-])c1 ZINC000176250149 533262157 /nfs/dbraw/zinc/26/21/57/533262157.db2.gz DBICBAPZDZFTCL-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)c1ccc2c(c1)OCO2 ZINC000195507346 533565828 /nfs/dbraw/zinc/56/58/28/533565828.db2.gz RNNLIJILHPPSFM-SSDOTTSWSA-N 0 0 293.304 2.953 20 5 CFBDRN C[C@@H](CCCc1cccnc1)Nc1ncc([N+](=O)[O-])cn1 ZINC000413392615 533567656 /nfs/dbraw/zinc/56/76/56/533567656.db2.gz JCTYWBFICGZIKW-NSHDSACASA-N 0 0 287.323 2.603 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1csc(-c2ccoc2)n1 ZINC000341749008 130019581 /nfs/dbraw/zinc/01/95/81/130019581.db2.gz SZGCAMJNWRWQSR-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN CO[C@H](C)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000341784318 130045882 /nfs/dbraw/zinc/04/58/82/130045882.db2.gz ZLNCXQHXQNSJFA-SECBINFHSA-N 0 0 280.324 2.866 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000342014349 130199425 /nfs/dbraw/zinc/19/94/25/130199425.db2.gz QKZLBFSMMRPLQO-WCBMZHEXSA-N 0 0 297.742 2.703 20 5 CFBDRN CCSCCOc1ccc([N+](=O)[O-])c(OC)c1 ZINC000355945652 130358419 /nfs/dbraw/zinc/35/84/19/130358419.db2.gz HLLVXBAHOOADBV-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN C[C@H](O)CCCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000355960818 130361119 /nfs/dbraw/zinc/36/11/19/130361119.db2.gz VKVZYAPTHBFUPJ-NSHDSACASA-N 0 0 275.308 2.619 20 5 CFBDRN Cc1ccc(-c2noc(Cc3cccnc3)n2)cc1[N+](=O)[O-] ZINC000355969438 130362653 /nfs/dbraw/zinc/36/26/53/130362653.db2.gz BGZABSUDISTOGI-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN COc1c(C(=O)Nc2ccsc2)cccc1[N+](=O)[O-] ZINC000356114642 130376707 /nfs/dbraw/zinc/37/67/07/130376707.db2.gz HCLARYAPKDZZOA-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN CCc1cnccc1-c1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000357165879 130408418 /nfs/dbraw/zinc/40/84/18/130408418.db2.gz DKWZVHGMDFNXKN-UHFFFAOYSA-N 0 0 285.263 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCc3ccc(F)cn3)ccc2c1 ZINC000357176925 130410609 /nfs/dbraw/zinc/41/06/09/130410609.db2.gz JCGAXQWGLZNEOA-UHFFFAOYSA-N 0 0 298.277 2.711 20 5 CFBDRN Cc1nc(C)c(CNC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000359353426 130510285 /nfs/dbraw/zinc/51/02/85/130510285.db2.gz VXTLLDXUJBZMMG-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN COCc1nc(CNc2cc(F)cc([N+](=O)[O-])c2)cs1 ZINC000359618994 130525659 /nfs/dbraw/zinc/52/56/59/130525659.db2.gz ICIIZGORDDSWCR-UHFFFAOYSA-N 0 0 297.311 2.949 20 5 CFBDRN O=C(CNc1cc(F)cc([N+](=O)[O-])c1)N1CCCCCC1 ZINC000359623333 130526040 /nfs/dbraw/zinc/52/60/40/130526040.db2.gz VCKNOSWYFJVPSM-UHFFFAOYSA-N 0 0 295.314 2.548 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](CC2CC2)C1 ZINC000359724711 130534534 /nfs/dbraw/zinc/53/45/34/130534534.db2.gz FMGVIXYRBQDDRN-LBPRGKRZSA-N 0 0 289.335 2.560 20 5 CFBDRN CCOc1cc(N2CCC[C@@](C)(OC)C2)ccc1[N+](=O)[O-] ZINC000359740541 130538135 /nfs/dbraw/zinc/53/81/35/130538135.db2.gz UAVGJHMMARDRBL-OAHLLOKOSA-N 0 0 294.351 2.999 20 5 CFBDRN CN(Cc1ccnn1C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000359901112 130560496 /nfs/dbraw/zinc/56/04/96/130560496.db2.gz OCRKLFVDCABRJM-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN COC(=O)c1cnc(NC[C@H]2CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000359931589 130564825 /nfs/dbraw/zinc/56/48/25/130564825.db2.gz LNXXCCYCAOVBDK-NXEZZACHSA-N 0 0 293.323 2.625 20 5 CFBDRN C[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CCCO1 ZINC000227888031 130855614 /nfs/dbraw/zinc/85/56/14/130855614.db2.gz DWEMAFIYTJTLTL-CPCISQLKSA-N 0 0 272.251 2.852 20 5 CFBDRN COc1cccc(N[C@@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000228860303 130877508 /nfs/dbraw/zinc/87/75/08/130877508.db2.gz USNFFNNBDJPKKZ-VHSXEESVSA-N 0 0 266.297 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(CCOc2ccccc2CCO)cc1 ZINC000236505109 130958387 /nfs/dbraw/zinc/95/83/87/130958387.db2.gz SQNLTCZGYNXIMR-UHFFFAOYSA-N 0 0 287.315 2.751 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@H](O)C2)c(Cl)c1 ZINC000236567288 130960144 /nfs/dbraw/zinc/96/01/44/130960144.db2.gz BELMWMDWVAMOGD-SCZZXKLOSA-N 0 0 270.716 2.821 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H]1CCCCS1 ZINC000824614990 781995795 /nfs/dbraw/zinc/99/57/95/781995795.db2.gz IJAFMMYJNGUQRE-SNVBAGLBSA-N 0 0 283.353 2.696 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CC23CCCCC3)c1[N+](=O)[O-] ZINC000181264891 413055117 /nfs/dbraw/zinc/05/51/17/413055117.db2.gz NWBNPGUCARSJKT-SNVBAGLBSA-N 0 0 264.329 2.771 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C[C@H](C)C1 ZINC000181252113 413055151 /nfs/dbraw/zinc/05/51/51/413055151.db2.gz HEONETRJZBPZEI-WDEREUQCSA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@H]1OCCN(Cc2cc([N+](=O)[O-])ccc2Cl)[C@@H]1C ZINC000178595680 393446482 /nfs/dbraw/zinc/44/64/82/393446482.db2.gz DSWLMOHJQCKFOD-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@H]1CCc2ccccc2[C@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301896529 393482165 /nfs/dbraw/zinc/48/21/65/393482165.db2.gz UTVMYRYWPPYPJW-ZANVPECISA-N 0 0 299.334 2.703 20 5 CFBDRN Cc1cnc(N2C[C@@H]3CCCC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000301544544 393474702 /nfs/dbraw/zinc/47/47/02/393474702.db2.gz KTQOGVAFJXKQBZ-TXEJJXNPSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1ccc(N2CC[C@@H](Nc3ccc([N+](=O)[O-])cn3)C2)cc1 ZINC000374224239 393489359 /nfs/dbraw/zinc/48/93/59/393489359.db2.gz SAFNRZNIYMEEIT-CYBMUJFWSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(F)cn2)ccc1[N+](=O)[O-] ZINC000181304573 393493801 /nfs/dbraw/zinc/49/38/01/393493801.db2.gz OJPGTLJCOMGETB-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1nc(N[C@H]2COc3cccc(F)c32)ccc1[N+](=O)[O-] ZINC000374682297 393500048 /nfs/dbraw/zinc/50/00/48/393500048.db2.gz YBVYASCILXDSTP-JTQLQIEISA-N 0 0 289.266 2.983 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCF)c(C(F)(F)F)c1 ZINC000305898816 393504412 /nfs/dbraw/zinc/50/44/12/393504412.db2.gz XQHVSVXCBYITGK-UHFFFAOYSA-N 0 0 252.167 2.995 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C/c1ccncn1 ZINC000182326914 393508953 /nfs/dbraw/zinc/50/89/53/393508953.db2.gz HRYMEGDCERSPKX-ONEGZZNKSA-N 0 0 298.302 2.654 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCOCC1(C)C ZINC000183294925 393518173 /nfs/dbraw/zinc/51/81/73/393518173.db2.gz AARUMZGNMHZCQA-UHFFFAOYSA-N 0 0 264.325 2.514 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC1CCC(O)CC1 ZINC000036950019 393527397 /nfs/dbraw/zinc/52/73/97/393527397.db2.gz FNQQCDPPJMIEIR-UHFFFAOYSA-N 0 0 250.298 2.619 20 5 CFBDRN CC(C)N1CC[C@H](Sc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000185156627 393531499 /nfs/dbraw/zinc/53/14/99/393531499.db2.gz AVECFBGRYYGKBH-LBPRGKRZSA-N 0 0 298.339 2.835 20 5 CFBDRN O=[N+]([O-])c1cc(CNc2cccc(CO)c2)cs1 ZINC000037562922 393532157 /nfs/dbraw/zinc/53/21/57/393532157.db2.gz QKECPGLWLICTAX-UHFFFAOYSA-N 0 0 264.306 2.761 20 5 CFBDRN O=C(Cc1ccsc1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000186858318 393549152 /nfs/dbraw/zinc/54/91/52/393549152.db2.gz VJDXVINVFMETCL-UHFFFAOYSA-N 0 0 288.328 2.788 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)N2CCc3cc([N+](=O)[O-])ccc32)C1 ZINC000331645533 393556967 /nfs/dbraw/zinc/55/69/67/393556967.db2.gz ULXOSYYUROZFCZ-PWSUYJOCSA-N 0 0 274.320 2.920 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1CC=CCC1 ZINC000046136685 393577186 /nfs/dbraw/zinc/57/71/86/393577186.db2.gz ZVGUBLPPXQJSBB-LLVKDONJSA-N 0 0 260.293 2.567 20 5 CFBDRN C[C@@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)C1CCC1 ZINC000334514319 393604940 /nfs/dbraw/zinc/60/49/40/393604940.db2.gz VNELXFHCIHJSQY-SNVBAGLBSA-N 0 0 274.320 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2nncn2C2CC2)cs1 ZINC000047172093 393585000 /nfs/dbraw/zinc/58/50/00/393585000.db2.gz RJWGQCIYVZZDTG-UHFFFAOYSA-N 0 0 282.350 2.875 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2nncs2)cs1 ZINC000047171993 393585890 /nfs/dbraw/zinc/58/58/90/393585890.db2.gz PGEGRCLKMKHMCH-UHFFFAOYSA-N 0 0 259.337 2.800 20 5 CFBDRN C[C@H]1C[C@H](C)N(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000408102280 393624350 /nfs/dbraw/zinc/62/43/50/393624350.db2.gz DBMHZVJINDQZEI-YUMQZZPRSA-N 0 0 278.337 2.834 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)C1 ZINC000410614596 393632921 /nfs/dbraw/zinc/63/29/21/393632921.db2.gz KQUMMSMJRZJERA-SMDDNHRTSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cc(NC(=O)[C@@]2(C)CCCCO2)ccc1[N+](=O)[O-] ZINC000410674313 393634608 /nfs/dbraw/zinc/63/46/08/393634608.db2.gz PASCLJGHLRSQPF-CQSZACIVSA-N 0 0 278.308 2.801 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCCc1cccc(F)c1 ZINC000068766240 393634895 /nfs/dbraw/zinc/63/48/95/393634895.db2.gz NLNUFOGWBZKKTG-UHFFFAOYSA-N 0 0 278.287 2.512 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000070350376 393638597 /nfs/dbraw/zinc/63/85/97/393638597.db2.gz PBJSVDFSTCHCHB-PSASIEDQSA-N 0 0 254.261 2.713 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSc2ncccn2)c1 ZINC000193215885 393645290 /nfs/dbraw/zinc/64/52/90/393645290.db2.gz SMEMTVJGIOXDSS-UHFFFAOYSA-N 0 0 277.305 2.556 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CC(F)(F)F ZINC000193233811 393647148 /nfs/dbraw/zinc/64/71/48/393647148.db2.gz ZKVDUKIZNHQKEI-UHFFFAOYSA-N 0 0 276.214 2.506 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@@H](C)c1ccco1 ZINC000193301581 393648285 /nfs/dbraw/zinc/64/82/85/393648285.db2.gz KIDSATBZLGNYOF-JTQLQIEISA-N 0 0 289.291 2.724 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2CCOC2)c(F)c1 ZINC000193503591 393654237 /nfs/dbraw/zinc/65/42/37/393654237.db2.gz CUKLQTRQXRGODM-QMMMGPOBSA-N 0 0 257.286 2.863 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)N(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000074238254 393654370 /nfs/dbraw/zinc/65/43/70/393654370.db2.gz OYHDSKTZKGTJLU-RISCZKNCSA-N 0 0 292.335 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2(C3(C)CC3)CC2)c1 ZINC000411060857 393655899 /nfs/dbraw/zinc/65/58/99/393655899.db2.gz HSSWOQHXWWKPLC-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(N1CCc2c1cccc2[N+](=O)[O-])C1(CF)CCC1 ZINC000335374766 393664823 /nfs/dbraw/zinc/66/48/23/393664823.db2.gz HXIYSHQVGUQBJL-UHFFFAOYSA-N 0 0 278.283 2.624 20 5 CFBDRN CCN(CC)C(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000411141093 393672828 /nfs/dbraw/zinc/67/28/28/393672828.db2.gz IEGHOAQHGPUFBW-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN CCCNC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000411143765 393674220 /nfs/dbraw/zinc/67/42/20/393674220.db2.gz NEXXBOZHSDMLBN-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H](C)OCC1CC1 ZINC000195149256 393732808 /nfs/dbraw/zinc/73/28/08/393732808.db2.gz MPJGXYZDFBRDHY-LLVKDONJSA-N 0 0 292.335 2.965 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000195322263 393738767 /nfs/dbraw/zinc/73/87/67/393738767.db2.gz LAHDJHPVEJDBMP-UWVGGRQHSA-N 0 0 280.324 2.768 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1Cc2ccccc21 ZINC000195481765 393741248 /nfs/dbraw/zinc/74/12/48/393741248.db2.gz BGGCXPNHVZGGIM-ZDUSSCGKSA-N 0 0 296.326 2.973 20 5 CFBDRN CN(Cc1ccco1)Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000083128289 393741698 /nfs/dbraw/zinc/74/16/98/393741698.db2.gz AQEFVKMFHUQSKA-UHFFFAOYSA-N 0 0 287.275 2.961 20 5 CFBDRN CC(=O)Nc1ccc(CNc2ncc([N+](=O)[O-])s2)cc1 ZINC000195507508 393742319 /nfs/dbraw/zinc/74/23/19/393742319.db2.gz MZRNKBXGIFBJEM-UHFFFAOYSA-N 0 0 292.320 2.622 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccncc1 ZINC000086613992 393755177 /nfs/dbraw/zinc/75/51/77/393755177.db2.gz YOEQPDVWWVQMCS-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC1=C(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000335731135 393696220 /nfs/dbraw/zinc/69/62/20/393696220.db2.gz JSZMSCIGTVRYOZ-UHFFFAOYSA-N 0 0 292.310 2.845 20 5 CFBDRN CCN(CC(C)C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000194488285 393700793 /nfs/dbraw/zinc/70/07/93/393700793.db2.gz XVUZKCYDUORASD-UHFFFAOYSA-N 0 0 279.340 2.782 20 5 CFBDRN CCCN(CC)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411369012 393705106 /nfs/dbraw/zinc/70/51/06/393705106.db2.gz WZJLBJKIRRNUCS-UONOGXRCSA-N 0 0 276.336 2.957 20 5 CFBDRN CCN(CC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000194551654 393705269 /nfs/dbraw/zinc/70/52/69/393705269.db2.gz BLXATBXADZHKPB-JTQLQIEISA-N 0 0 265.313 2.707 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@@H](C)O[C@@H](C)C1 ZINC000226300714 393770689 /nfs/dbraw/zinc/77/06/89/393770689.db2.gz ZWKYMAAEXAQPNC-PHIMTYICSA-N 0 0 250.298 2.517 20 5 CFBDRN CCC1(CNC(=O)c2ccc(NC)c([N+](=O)[O-])c2)CC1 ZINC000226820686 393771255 /nfs/dbraw/zinc/77/12/55/393771255.db2.gz UNPPBHWXBRNBNC-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])C[C@H]1C ZINC000091327266 393783365 /nfs/dbraw/zinc/78/33/65/393783365.db2.gz DVOLMZFGAMSMGH-RKDXNWHRSA-N 0 0 282.727 2.976 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c(F)c1 ZINC000094205518 393807731 /nfs/dbraw/zinc/80/77/31/393807731.db2.gz KSSVSPQPNOLIDL-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cn1ccnc1[C@@H](N[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000424323370 393828810 /nfs/dbraw/zinc/82/88/10/393828810.db2.gz MANHBXPJYPFNBX-KGLIPLIRSA-N 0 0 298.346 2.560 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NC[C@H]1CC12CC2 ZINC000416080627 393867489 /nfs/dbraw/zinc/86/74/89/393867489.db2.gz WTMJQKGFPWCAPD-SNVBAGLBSA-N 0 0 292.360 2.603 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)[C@H](C)[C@H]1C ZINC000416108292 393873086 /nfs/dbraw/zinc/87/30/86/393873086.db2.gz HFIUITMXEXOKGD-GARJFASQSA-N 0 0 292.335 2.720 20 5 CFBDRN CN(CC[C@H]1CCCO1)c1c(F)cccc1[N+](=O)[O-] ZINC000342967697 393875402 /nfs/dbraw/zinc/87/54/02/393875402.db2.gz XDWNPRQDAZPSBF-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@H](C)[C@H]1C ZINC000248596958 393911913 /nfs/dbraw/zinc/91/19/13/393911913.db2.gz IJBQJDQSCOVNJA-VXGBXAGGSA-N 0 0 264.325 2.512 20 5 CFBDRN C[C@H]1CSC[C@@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000343589176 393887891 /nfs/dbraw/zinc/88/78/91/393887891.db2.gz KJRFWUHYJDHBKK-CPCISQLKSA-N 0 0 278.337 2.635 20 5 CFBDRN CN(C(=O)/C=C/C1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000112165809 393889959 /nfs/dbraw/zinc/88/99/59/393889959.db2.gz KLWNAIIYDOHUNT-FARCUNLSSA-N 0 0 290.319 2.540 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])n[nH]1)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000416297561 393900025 /nfs/dbraw/zinc/90/00/25/393900025.db2.gz XMJFNXQYDAIMKE-GXSJLCMTSA-N 0 0 294.355 2.605 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1Cc2cccc(O)c2C1 ZINC000428673877 393905494 /nfs/dbraw/zinc/90/54/94/393905494.db2.gz PBJODSRTDLZEDD-NSHDSACASA-N 0 0 270.288 2.880 20 5 CFBDRN Cc1cc(-c2noc([C@@]3(C)C[C@H]3F)n2)cc([N+](=O)[O-])c1 ZINC000424363608 393840528 /nfs/dbraw/zinc/84/05/28/393840528.db2.gz OXOJPKSQNKTTFR-MFKMUULPSA-N 0 0 277.255 2.953 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000458119391 393851627 /nfs/dbraw/zinc/85/16/27/393851627.db2.gz WJUPRQIVYRAUGM-WDEREUQCSA-N 0 0 266.272 2.508 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000458122950 393852812 /nfs/dbraw/zinc/85/28/12/393852812.db2.gz HSYYFTPLHAPOND-NWDGAFQWSA-N 0 0 292.335 2.768 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2C[C@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000458149717 393859010 /nfs/dbraw/zinc/85/90/10/393859010.db2.gz WMRQSXJZTXVCDD-GDBMZVCRSA-N 0 0 290.363 2.997 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000416063535 393859725 /nfs/dbraw/zinc/85/97/25/393859725.db2.gz GAXKFFOOBSHTAU-LOWVWBTDSA-N 0 0 290.363 2.949 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000416063532 393859943 /nfs/dbraw/zinc/85/99/43/393859943.db2.gz GAXKFFOOBSHTAU-DMDPSCGWSA-N 0 0 290.363 2.949 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@@]1(C)C(C)C ZINC000458152202 393860018 /nfs/dbraw/zinc/86/00/18/393860018.db2.gz RCFWGXNPCVBZOX-ZFWWWQNUSA-N 0 0 292.335 2.768 20 5 CFBDRN CCOc1ccc(C(=O)NC[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416067865 393861169 /nfs/dbraw/zinc/86/11/69/393861169.db2.gz JBXRWPNFWYINEI-LLVKDONJSA-N 0 0 290.319 2.523 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000473510267 393936035 /nfs/dbraw/zinc/93/60/35/393936035.db2.gz SNCNWHDGDZLQIU-KOLCDFICSA-N 0 0 257.293 2.633 20 5 CFBDRN C[C@@H]1CN(C(=O)NCc2ccc([N+](=O)[O-])cc2)[C@@H](C)[C@H]1C ZINC000416694118 393943085 /nfs/dbraw/zinc/94/30/85/393943085.db2.gz UWPBNIOIXMRUFS-WOPDTQHZSA-N 0 0 291.351 2.781 20 5 CFBDRN CSC[C@@H](C)NC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271703594 394022730 /nfs/dbraw/zinc/02/27/30/394022730.db2.gz OBILXJZNVCBIGA-ZJUUUORDSA-N 0 0 297.380 2.707 20 5 CFBDRN O=[N+]([O-])c1c(N2CC(C3CCC3)C2)nc2sccn21 ZINC000343257534 296496572 /nfs/dbraw/zinc/49/65/72/296496572.db2.gz YHCJAMVLKLZCQN-UHFFFAOYSA-N 0 0 278.337 2.540 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ccon1 ZINC000349232407 393965669 /nfs/dbraw/zinc/96/56/69/393965669.db2.gz BWQZWHGIGMCLAR-UWVGGRQHSA-N 0 0 261.281 2.995 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1C[C@H](C)O[C@@H](C)[C@H]1C ZINC000430195646 393966304 /nfs/dbraw/zinc/96/63/04/393966304.db2.gz OUTGKIXJIPVJOG-TUAOUCFPSA-N 0 0 294.351 2.601 20 5 CFBDRN CCOc1cc(NC[C@H](O)[C@@H](C)CC)ccc1[N+](=O)[O-] ZINC000486921366 393971525 /nfs/dbraw/zinc/97/15/25/393971525.db2.gz BLKBOFDUXHXWAO-GWCFXTLKSA-N 0 0 282.340 2.812 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1ccnc(C2CC2)n1 ZINC000266231265 393981090 /nfs/dbraw/zinc/98/10/90/393981090.db2.gz JNJHKACWTUXVJM-UHFFFAOYSA-N 0 0 284.319 2.917 20 5 CFBDRN C[C@H]1COCCN1c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000266949500 393984811 /nfs/dbraw/zinc/98/48/11/393984811.db2.gz DTHMQHXGJSOECU-QMMMGPOBSA-N 0 0 290.241 2.839 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1cc2ccccc2o1 ZINC000487212739 393990003 /nfs/dbraw/zinc/99/00/03/393990003.db2.gz ZARJFUNYNLXNLZ-UHFFFAOYSA-N 0 0 270.244 2.551 20 5 CFBDRN CC(C)N(CC(F)F)C[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000269670551 394006241 /nfs/dbraw/zinc/00/62/41/394006241.db2.gz UMXHSBCEZAADNP-LBPRGKRZSA-N 0 0 288.294 2.604 20 5 CFBDRN C[C@@H](NC(=O)C1SCCS1)c1cccc([N+](=O)[O-])c1 ZINC000270448096 394013256 /nfs/dbraw/zinc/01/32/56/394013256.db2.gz BYCQBLLATHHBIE-MRVPVSSYSA-N 0 0 298.389 2.578 20 5 CFBDRN Cc1c([C@@H]2C[C@H]2Nc2ccc(C)cc2[N+](=O)[O-])cnn1C ZINC000439120655 394100579 /nfs/dbraw/zinc/10/05/79/394100579.db2.gz RNHBDRZGDQPTLG-SMDDNHRTSA-N 0 0 286.335 2.913 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCC1CC(F)(F)C1 ZINC000492931521 394101343 /nfs/dbraw/zinc/10/13/43/394101343.db2.gz RFEXUTZXTCEGCW-UTCJRWHESA-N 0 0 296.273 2.770 20 5 CFBDRN Cc1nn(C)c(C(=O)Nc2cc(C)cc(C)c2)c1[N+](=O)[O-] ZINC000127617244 394052799 /nfs/dbraw/zinc/05/27/99/394052799.db2.gz CDKPOXIYMWASMT-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN C[C@H]1CCCC[C@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000439130405 394101466 /nfs/dbraw/zinc/10/14/66/394101466.db2.gz FOPVSCWWCQSVHI-GXSJLCMTSA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1nnc(CSCCc2ccc([N+](=O)[O-])cc2)o1 ZINC000419578997 394055857 /nfs/dbraw/zinc/05/58/57/394055857.db2.gz FUSVRBLNKTYGPO-UHFFFAOYSA-N 0 0 279.321 2.762 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1C[C@H](C)O[C@@H](C)C1 ZINC000127798418 394056707 /nfs/dbraw/zinc/05/67/07/394056707.db2.gz YQHXCDVQYTZJMY-QWRGUYRKSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1nc(NC(=O)c2ccc(C3CC3)cn2)ccc1[N+](=O)[O-] ZINC000355214816 394060366 /nfs/dbraw/zinc/06/03/66/394060366.db2.gz NQDXAKBKHCHYHY-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000280380641 394072401 /nfs/dbraw/zinc/07/24/01/394072401.db2.gz ZGIQGAWUQFRONB-AWEZNQCLSA-N 0 0 297.330 2.712 20 5 CFBDRN CCOCC1(C(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 ZINC000281441720 394077630 /nfs/dbraw/zinc/07/76/30/394077630.db2.gz KOFUICKYRSQIEO-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CC[C@@H](C)CONC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000492887551 394089459 /nfs/dbraw/zinc/08/94/59/394089459.db2.gz GELGKKBYRRTMBM-OALRPVAKSA-N 0 0 278.308 2.702 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000281891804 394089561 /nfs/dbraw/zinc/08/95/61/394089561.db2.gz WHCPRBKSYVKVBG-VXNVDRBHSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492885451 394089613 /nfs/dbraw/zinc/08/96/13/394089613.db2.gz ZNTJPXKYUGGHQM-ISIFUERMSA-N 0 0 260.293 2.523 20 5 CFBDRN CC[C@H](C)CONC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492887552 394089667 /nfs/dbraw/zinc/08/96/67/394089667.db2.gz GELGKKBYRRTMBM-WDDYJBPVSA-N 0 0 278.308 2.702 20 5 CFBDRN CC1=CCCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000281903117 394091141 /nfs/dbraw/zinc/09/11/41/394091141.db2.gz XMJLMOPYHVMPSH-CMDGGOBGSA-N 0 0 272.304 2.787 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@@H](F)C1 ZINC000492894778 394091980 /nfs/dbraw/zinc/09/19/80/394091980.db2.gz OPLRYXIOOYRZHK-MGNMTHHWSA-N 0 0 278.283 2.615 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CC(C2CCC2)C1 ZINC000492917319 394097307 /nfs/dbraw/zinc/09/73/07/394097307.db2.gz CMUHBORPMRXJFV-FPLPWBNLSA-N 0 0 286.331 2.867 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000272488938 394030683 /nfs/dbraw/zinc/03/06/83/394030683.db2.gz PSNKBPADEMBFNQ-VXGBXAGGSA-N 0 0 278.352 2.936 20 5 CFBDRN CCC[C@H]1[C@H](C)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000273665592 394035595 /nfs/dbraw/zinc/03/55/95/394035595.db2.gz WTXCXZXIGQAPDN-PWSUYJOCSA-N 0 0 264.329 2.790 20 5 CFBDRN CC(C)(C)C1=CCN(c2ncc([N+](=O)[O-])cn2)CC1 ZINC000273692721 394035601 /nfs/dbraw/zinc/03/56/01/394035601.db2.gz BOQYXTXLSUVUQO-UHFFFAOYSA-N 0 0 262.313 2.567 20 5 CFBDRN CCC(=O)C1(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000448734652 394176926 /nfs/dbraw/zinc/17/69/26/394176926.db2.gz HIYQAXZPWNMAMG-JTQLQIEISA-N 0 0 290.319 2.531 20 5 CFBDRN CCc1coc(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])n1 ZINC000493198561 394176960 /nfs/dbraw/zinc/17/69/60/394176960.db2.gz BQZHPFGVUITSFP-FPLPWBNLSA-N 0 0 287.275 2.797 20 5 CFBDRN CN(C(=O)/C=C/c1ccsc1)c1ccc([N+](=O)[O-])nc1 ZINC000492952023 394106472 /nfs/dbraw/zinc/10/64/72/394106472.db2.gz MRXMMBQHLITGED-GORDUTHDSA-N 0 0 289.316 2.728 20 5 CFBDRN CCSCCCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000282022921 394111943 /nfs/dbraw/zinc/11/19/43/394111943.db2.gz VZDJITVIECBDNP-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN C/C=C/C=C\C(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000492974416 394113402 /nfs/dbraw/zinc/11/34/02/394113402.db2.gz RCILTBIDJVVTRH-IAROGAJJSA-N 0 0 299.330 2.867 20 5 CFBDRN Cc1c(CNC(=O)NC2CC(C)C2)cccc1[N+](=O)[O-] ZINC000358918138 394115182 /nfs/dbraw/zinc/11/51/82/394115182.db2.gz XLYCALAHNOEIQR-UHFFFAOYSA-N 0 0 277.324 2.501 20 5 CFBDRN CC(C)[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])s2)CCO1 ZINC000282053184 394116894 /nfs/dbraw/zinc/11/68/94/394116894.db2.gz XYJGDCYDKGPGIH-DTWKUNHWSA-N 0 0 271.342 2.667 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000282082973 394120655 /nfs/dbraw/zinc/12/06/55/394120655.db2.gz ZZTCWHYUECLJIY-SECBINFHSA-N 0 0 288.298 2.874 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC[C@@H]1CC12CC2 ZINC000493015429 394124193 /nfs/dbraw/zinc/12/41/93/394124193.db2.gz FTWXEVMGOLBISR-RXNFCKPNSA-N 0 0 272.304 2.524 20 5 CFBDRN CCC1(NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)CC1 ZINC000493032112 394129832 /nfs/dbraw/zinc/12/98/32/394129832.db2.gz YWSQAYNFTMRTJC-VOTSOKGWSA-N 0 0 260.293 2.667 20 5 CFBDRN Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N1CCC1 ZINC000284421769 394137738 /nfs/dbraw/zinc/13/77/38/394137738.db2.gz FLUCZGDVSUAJRD-UHFFFAOYSA-N 0 0 299.124 2.512 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@@H](O)CC23CCC3)cs1 ZINC000420996056 394151122 /nfs/dbraw/zinc/15/11/22/394151122.db2.gz QBUMDDAQZIFUER-LLVKDONJSA-N 0 0 282.365 2.536 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1CF ZINC000493114817 394151844 /nfs/dbraw/zinc/15/18/44/394151844.db2.gz HQVNLNCKOCTPHX-UJZCVKTISA-N 0 0 278.283 2.569 20 5 CFBDRN CN(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCSC1 ZINC000133769982 394181996 /nfs/dbraw/zinc/18/19/96/394181996.db2.gz AKVCLGVYJUABOK-NSHDSACASA-N 0 0 281.337 2.564 20 5 CFBDRN COc1ccc(CSC[C@H]2C[C@@H](O)C2)cc1[N+](=O)[O-] ZINC000421218244 394182309 /nfs/dbraw/zinc/18/23/09/394182309.db2.gz LQTDXTFNEAZYCP-PHIMTYICSA-N 0 0 283.349 2.608 20 5 CFBDRN Cc1nc(NC(=O)CC2CCSCC2)ccc1[N+](=O)[O-] ZINC000133855637 394184025 /nfs/dbraw/zinc/18/40/25/394184025.db2.gz RPFSTUHNAVDKBU-UHFFFAOYSA-N 0 0 295.364 2.770 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC[C@H](F)C1 ZINC000493162820 394166350 /nfs/dbraw/zinc/16/63/50/394166350.db2.gz SVRSHVYBJFHJMA-JECSTDCCSA-N 0 0 278.283 2.569 20 5 CFBDRN Cn1ccc(/C=C\C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000493191806 394175097 /nfs/dbraw/zinc/17/50/97/394175097.db2.gz PIJCDSSXEXLYET-WAYWQWQTSA-N 0 0 271.276 2.585 20 5 CFBDRN CC[C@H](C)CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000421126685 394176012 /nfs/dbraw/zinc/17/60/12/394176012.db2.gz UFCCEEYFVWNJIK-QMMMGPOBSA-N 0 0 269.276 2.902 20 5 CFBDRN Nc1ccc(N2CCC=C(Br)C2)c([N+](=O)[O-])c1 ZINC000286588316 394198191 /nfs/dbraw/zinc/19/81/91/394198191.db2.gz WWIGYTQKOQTORA-UHFFFAOYSA-N 0 0 298.140 2.666 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000286617186 394198735 /nfs/dbraw/zinc/19/87/35/394198735.db2.gz YQLRZQXMIVSUOZ-KGLIPLIRSA-N 0 0 262.309 2.743 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CC[C@H]1C ZINC000286699500 394199043 /nfs/dbraw/zinc/19/90/43/394199043.db2.gz OIGVGGOIYMMBHW-MEBBXXQBSA-N 0 0 292.335 2.659 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000493303416 394205039 /nfs/dbraw/zinc/20/50/39/394205039.db2.gz OXTTZNRFKONUQK-KRZKBDHCSA-N 0 0 292.360 2.618 20 5 CFBDRN Cc1nc(N2CCC[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000288169378 394211665 /nfs/dbraw/zinc/21/16/65/394211665.db2.gz IFYBNWAJHBGMMU-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cnc(COc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000135355987 394218318 /nfs/dbraw/zinc/21/83/18/394218318.db2.gz APZGPFDTRQMGIW-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CCC[C@H]1CF ZINC000493389027 394228441 /nfs/dbraw/zinc/22/84/41/394228441.db2.gz PIODPTVRIPVCBO-FWWRYZNZSA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1cnc(N[C@@H](c2ncc[nH]2)C(C)C)c([N+](=O)[O-])c1 ZINC000291148298 394237160 /nfs/dbraw/zinc/23/71/60/394237160.db2.gz OTWFBNGHOUHWSR-LLVKDONJSA-N 0 0 275.312 2.831 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000366052068 394238948 /nfs/dbraw/zinc/23/89/48/394238948.db2.gz YUWKXTKSSSZUNU-VHRBIJSZSA-N 0 0 290.319 2.657 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000493459362 394249048 /nfs/dbraw/zinc/24/90/48/394249048.db2.gz VVYLUENJMKKIKP-UFGYOYAJSA-N 0 0 284.262 2.768 20 5 CFBDRN CC(C)OCC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000291632190 394249921 /nfs/dbraw/zinc/24/99/21/394249921.db2.gz BCZYHAJFECZFGV-UHFFFAOYSA-N 0 0 272.688 2.612 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2S[C@@H]2CCCOC2)s1 ZINC000286070390 394187425 /nfs/dbraw/zinc/18/74/25/394187425.db2.gz BAQUPGGLBJHZEF-SSDOTTSWSA-N 0 0 297.361 2.871 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NCc3ccco3)cc2N1 ZINC000134221539 394191566 /nfs/dbraw/zinc/19/15/66/394191566.db2.gz HJUFFWHVKPRLAX-UHFFFAOYSA-N 0 0 287.275 2.685 20 5 CFBDRN COc1cc(CNCc2occc2C)c([N+](=O)[O-])cc1O ZINC000291792556 394260638 /nfs/dbraw/zinc/26/06/38/394260638.db2.gz WKQNDLCTDKVLQI-UHFFFAOYSA-N 0 0 292.291 2.500 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000493510736 394262643 /nfs/dbraw/zinc/26/26/43/394262643.db2.gz HINKYDCQEWPJGJ-LZNQSLFOSA-N 0 0 292.310 2.959 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)[C@H]1CCCO1 ZINC000151864487 394264376 /nfs/dbraw/zinc/26/43/76/394264376.db2.gz DYRBVVGKLKDLMI-UONOGXRCSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)CS1 ZINC000493526738 394268995 /nfs/dbraw/zinc/26/89/95/394268995.db2.gz VYOASGTWSZVAGF-FNSKHJIESA-N 0 0 292.360 2.618 20 5 CFBDRN CN(Cc1ccncc1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153558875 394285760 /nfs/dbraw/zinc/28/57/60/394285760.db2.gz OCXSAXXTXGYMDW-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@H]1c1ccncc1)[N+](=O)[O-] ZINC000294294024 394292078 /nfs/dbraw/zinc/29/20/78/394292078.db2.gz FJVLWOFMJOCCHJ-ZDUSSCGKSA-N 0 0 291.351 2.581 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@H]1c1ccc[nH]1)[N+](=O)[O-] ZINC000294493888 394293495 /nfs/dbraw/zinc/29/34/95/394293495.db2.gz NIWIUGGNTMUIBB-LBPRGKRZSA-N 0 0 279.340 2.514 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@](C)(F)C1 ZINC000294846942 394295365 /nfs/dbraw/zinc/29/53/65/394295365.db2.gz BPJMRVRGKMFPBQ-GFCCVEGCSA-N 0 0 253.277 2.627 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@](C)(F)C1 ZINC000295442858 394299837 /nfs/dbraw/zinc/29/98/37/394299837.db2.gz FPTGCOOOJLWNBC-AWEZNQCLSA-N 0 0 280.299 2.867 20 5 CFBDRN Cc1cc(CNc2c3c(ccc2[N+](=O)[O-])NCC3)ccn1 ZINC000450623582 394301837 /nfs/dbraw/zinc/30/18/37/394301837.db2.gz QNLAFMGGRXCABT-UHFFFAOYSA-N 0 0 284.319 2.878 20 5 CFBDRN CO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1(C)C ZINC000295777386 394303910 /nfs/dbraw/zinc/30/39/10/394303910.db2.gz QLSAZUMJJSBLGW-NSHDSACASA-N 0 0 299.758 2.895 20 5 CFBDRN Cc1nc(N[C@@H]2CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000296662442 394311092 /nfs/dbraw/zinc/31/10/92/394311092.db2.gz YNTDPTIGJOKIGX-GFCCVEGCSA-N 0 0 270.292 2.793 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000155151663 394311609 /nfs/dbraw/zinc/31/16/09/394311609.db2.gz SMPCZOBITDXMBI-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000450664632 394313533 /nfs/dbraw/zinc/31/35/33/394313533.db2.gz UWJCOELWNZBBIC-WDEREUQCSA-N 0 0 266.345 2.875 20 5 CFBDRN CCc1ncc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)cn1 ZINC000155545327 394318118 /nfs/dbraw/zinc/31/81/18/394318118.db2.gz PLZYPOMQQWKTDS-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CCn1nnc(C)c1COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000450697526 394319887 /nfs/dbraw/zinc/31/98/87/394319887.db2.gz NRGGMUMSKAJSRP-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)n1 ZINC000450698293 394320595 /nfs/dbraw/zinc/32/05/95/394320595.db2.gz XEEFWGWGDWRALF-DVZHBHJUSA-N 0 0 285.347 2.947 20 5 CFBDRN CO[C@@H]1COCC[C@H]1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000450703659 394321524 /nfs/dbraw/zinc/32/15/24/394321524.db2.gz TYHKTKOKZNJUOK-IINYFYTJSA-N 0 0 298.314 2.506 20 5 CFBDRN CS[C@H]1CCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000493827546 394325118 /nfs/dbraw/zinc/32/51/18/394325118.db2.gz TULRLTOMWLTQIP-SZZPACECSA-N 0 0 292.360 2.572 20 5 CFBDRN CO[C@@](C)(CNc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000450728308 394327893 /nfs/dbraw/zinc/32/78/93/394327893.db2.gz OMYJJPKJYSIZKA-HNNXBMFYSA-N 0 0 287.319 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC3(CCOCC3)C2)cc1 ZINC000493843559 394328293 /nfs/dbraw/zinc/32/82/93/394328293.db2.gz LRBAKVMKVJQZLS-CYBMUJFWSA-N 0 0 292.335 2.735 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000156788031 394338605 /nfs/dbraw/zinc/33/86/05/394338605.db2.gz GBMYUKOCULKOFC-NEPJUHHUSA-N 0 0 279.340 2.630 20 5 CFBDRN Cc1cc(N[C@H](C(C)C)[C@H](CO)C(C)C)ncc1[N+](=O)[O-] ZINC000450771667 394338832 /nfs/dbraw/zinc/33/88/32/394338832.db2.gz OJDWDAJNNGLFLS-IUODEOHRSA-N 0 0 295.383 2.999 20 5 CFBDRN CN(C)C(=O)CSCc1c(Cl)cccc1[N+](=O)[O-] ZINC000451086393 394356278 /nfs/dbraw/zinc/35/62/78/394356278.db2.gz URFPCKWUTKJMHI-UHFFFAOYSA-N 0 0 288.756 2.570 20 5 CFBDRN COc1ccccc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158424433 394357419 /nfs/dbraw/zinc/35/74/19/394357419.db2.gz ZBYRQYQCHHLLRD-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccccc1F ZINC000494631801 394361137 /nfs/dbraw/zinc/36/11/37/394361137.db2.gz RGEUMRPTNPZLDK-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CC1=CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000160177774 394366005 /nfs/dbraw/zinc/36/60/05/394366005.db2.gz HQDRJKSMXBAJSV-UHFFFAOYSA-N 0 0 264.256 2.526 20 5 CFBDRN Cc1ccc(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)cn1 ZINC000162255692 394367905 /nfs/dbraw/zinc/36/79/05/394367905.db2.gz FLIQTWOFSZCDAB-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1cc(OC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000514489102 394368911 /nfs/dbraw/zinc/36/89/11/394368911.db2.gz JVUAHFLATXJARK-LBPRGKRZSA-N 0 0 251.282 2.851 20 5 CFBDRN COC[C@@H](C)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000174701779 394379488 /nfs/dbraw/zinc/37/94/88/394379488.db2.gz MBWMZROQGLSYGN-QMMMGPOBSA-N 0 0 290.266 2.891 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000176537215 394381600 /nfs/dbraw/zinc/38/16/00/394381600.db2.gz UWJOLYDJDBPTKW-NHYWBVRUSA-N 0 0 274.320 2.920 20 5 CFBDRN CC1(c2noc(CNc3ccccc3[N+](=O)[O-])n2)CC1 ZINC000453323378 394385596 /nfs/dbraw/zinc/38/55/96/394385596.db2.gz PPUFULNVEQBHMS-UHFFFAOYSA-N 0 0 274.280 2.641 20 5 CFBDRN CCCN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000454618194 394424141 /nfs/dbraw/zinc/42/41/41/394424141.db2.gz LKJIALPXHUANCV-UHFFFAOYSA-N 0 0 294.355 2.540 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1c1ncc([N+](=O)[O-])cc1Br ZINC000530431909 394516861 /nfs/dbraw/zinc/51/68/61/394516861.db2.gz GPOOVGYZURDCHT-RNFRBKRXSA-N 0 0 286.129 2.739 20 5 CFBDRN CCC[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])C(=O)OCC ZINC000537036894 394576066 /nfs/dbraw/zinc/57/60/66/394576066.db2.gz COXQKKACOFTWHB-DGCLKSJQSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@H]1CC[C@@H](CN2CCc3c(cccc3[N+](=O)[O-])C2)O1 ZINC000530442290 394517806 /nfs/dbraw/zinc/51/78/06/394517806.db2.gz OFURCDCXFVGUNK-AAEUAGOBSA-N 0 0 276.336 2.520 20 5 CFBDRN C[C@@H]1C[C@H](C)N1c1ncnc2cccc([N+](=O)[O-])c21 ZINC000530484484 394521319 /nfs/dbraw/zinc/52/13/19/394521319.db2.gz NVFPPHVDZUSWIW-DTORHVGOSA-N 0 0 258.281 2.525 20 5 CFBDRN Cc1ccc(CC(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000539339281 394624921 /nfs/dbraw/zinc/62/49/21/394624921.db2.gz QGTPBLJDZNFAKF-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000540451638 394646742 /nfs/dbraw/zinc/64/67/42/394646742.db2.gz UMHKKQQQSJWXGP-NEPJUHHUSA-N 0 0 294.351 2.833 20 5 CFBDRN CCCC[C@H](CCC)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000543766454 394743813 /nfs/dbraw/zinc/74/38/13/394743813.db2.gz NFPUAWGAUKZCOG-NSHDSACASA-N 0 0 294.355 2.892 20 5 CFBDRN O=C(Nc1cnc2c(c1)CCCC2)c1ccc([N+](=O)[O-])cn1 ZINC000542036797 394694101 /nfs/dbraw/zinc/69/41/01/394694101.db2.gz KKVKPJOUWQJICM-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN O=[N+]([O-])c1cnc(Sc2c(F)cc(F)cc2F)nc1 ZINC000544813982 394772040 /nfs/dbraw/zinc/77/20/40/394772040.db2.gz IHWOIAJQHVECLP-UHFFFAOYSA-N 0 0 287.222 2.953 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CCc3cccnc3)n2)c1 ZINC000544901093 394774495 /nfs/dbraw/zinc/77/44/95/394774495.db2.gz BCUGLISSKWJRSR-UHFFFAOYSA-N 0 0 296.286 2.825 20 5 CFBDRN COc1cc(-c2nc([C@@H]3C[C@H]3C)no2)ccc1[N+](=O)[O-] ZINC000545068100 394779047 /nfs/dbraw/zinc/77/90/47/394779047.db2.gz GFDNGLSBNUMKPW-VXNVDRBHSA-N 0 0 275.264 2.777 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(CCNc2ccccc2[N+](=O)[O-])n1 ZINC000546482770 394828087 /nfs/dbraw/zinc/82/80/87/394828087.db2.gz FAUWRDISBPQDAN-UWVGGRQHSA-N 0 0 288.307 2.756 20 5 CFBDRN COc1ccc(NC(=O)N2[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000547760453 394887169 /nfs/dbraw/zinc/88/71/69/394887169.db2.gz XZSAZPRUGPLNAR-DTORHVGOSA-N 0 0 279.296 2.618 20 5 CFBDRN CC(C)c1ccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])cc1 ZINC000548079619 394909098 /nfs/dbraw/zinc/90/90/98/394909098.db2.gz OVNZHTZGXQRDLR-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN C[C@@H]1C[C@H](C)N1CC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000548098204 394911172 /nfs/dbraw/zinc/91/11/72/394911172.db2.gz DDXXQQYVQHEGRG-DTORHVGOSA-N 0 0 297.742 2.669 20 5 CFBDRN CCOc1cc(N2CCO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000548267780 394920190 /nfs/dbraw/zinc/92/01/90/394920190.db2.gz UMGCIBSLUNLQJD-HNNXBMFYSA-N 0 0 292.335 2.609 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCC(C)(C)O2)n1 ZINC000548288390 394921008 /nfs/dbraw/zinc/92/10/08/394921008.db2.gz ZUMZHLOFFGVEDK-NSHDSACASA-N 0 0 279.340 2.976 20 5 CFBDRN CC(C)(C)OCCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000548416255 394928530 /nfs/dbraw/zinc/92/85/30/394928530.db2.gz QZUGZRWOOQAMAD-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000548721594 394948614 /nfs/dbraw/zinc/94/86/14/394948614.db2.gz HXYCSPRDHIGTAE-MNOVXSKESA-N 0 0 294.376 2.568 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H]1[C@@H]1CCCO1 ZINC000286479938 296500093 /nfs/dbraw/zinc/50/00/93/296500093.db2.gz OZUMLJNPUPDVEH-JQWIXIFHSA-N 0 0 297.742 2.791 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000556136307 394991057 /nfs/dbraw/zinc/99/10/57/394991057.db2.gz KNDRNCCJVDXEQC-NSHDSACASA-N 0 0 291.351 2.577 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCC=C(C)C)c1 ZINC000556324422 394998915 /nfs/dbraw/zinc/99/89/15/394998915.db2.gz CDVGQPGZZFPQNZ-UHFFFAOYSA-N 0 0 265.265 2.726 20 5 CFBDRN CC(C)C[C@H](CO)[N@H+](C)C/C=C\c1ccccc1[N+](=O)[O-] ZINC000554889717 394962326 /nfs/dbraw/zinc/96/23/26/394962326.db2.gz HSPXXKHLVPRAHM-DDJMYBDESA-N 0 0 292.379 2.947 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000555638127 394979394 /nfs/dbraw/zinc/97/93/94/394979394.db2.gz ZFMXYTMHJHOEHT-WTDIUWLJSA-N 0 0 274.320 2.613 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000555638123 394979399 /nfs/dbraw/zinc/97/93/99/394979399.db2.gz ZFMXYTMHJHOEHT-QQUHWDOBSA-N 0 0 274.320 2.613 20 5 CFBDRN CCCC[C@H](COC)Nc1ccc([N+](=O)[O-])nc1 ZINC000558326470 395057532 /nfs/dbraw/zinc/05/75/32/395057532.db2.gz RHKXCTNAIHCGKD-LLVKDONJSA-N 0 0 253.302 2.607 20 5 CFBDRN O=C(NCc1coc2ccccc12)c1ccc([N+](=O)[O-])cn1 ZINC000558463197 395060804 /nfs/dbraw/zinc/06/08/04/395060804.db2.gz ACEMZMOZGVQIOX-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOC[C@@H]1CC(F)F ZINC000286261720 304800758 /nfs/dbraw/zinc/80/07/58/304800758.db2.gz FCJXZWIBJWKCMP-VIFPVBQESA-N 0 0 290.241 2.594 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H](CCO)CC1 ZINC000420642012 296514669 /nfs/dbraw/zinc/51/46/69/296514669.db2.gz ODMXPQSRBCEEJE-GFCCVEGCSA-N 0 0 264.325 2.584 20 5 CFBDRN Cc1ccc(C(=O)N2CCC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000370768793 292053334 /nfs/dbraw/zinc/05/33/34/292053334.db2.gz WGVASJHWIBCLCN-CYBMUJFWSA-N 0 0 274.320 2.918 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCO[C@H](c2ccsc2)C1 ZINC000268242169 296517648 /nfs/dbraw/zinc/51/76/48/296517648.db2.gz XITZLVCJLUYRQO-LBPRGKRZSA-N 0 0 291.332 2.629 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2cc(F)c(F)cc21 ZINC000338987065 296517885 /nfs/dbraw/zinc/51/78/85/296517885.db2.gz RCDKGUPRDPJZCR-UHFFFAOYSA-N 0 0 277.230 2.962 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC3(CCCCC3)C2)nc1 ZINC000273597157 296519441 /nfs/dbraw/zinc/51/94/41/296519441.db2.gz ITYFMSBKLABZCE-UHFFFAOYSA-N 0 0 276.340 2.936 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCC23CCOCC3)c(F)c1 ZINC000408466690 296520840 /nfs/dbraw/zinc/52/08/40/296520840.db2.gz JOSYUZMARZHAGE-LBPRGKRZSA-N 0 0 295.314 2.890 20 5 CFBDRN Cc1ccc(C(=O)N2CCS[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000118286275 292061094 /nfs/dbraw/zinc/06/10/94/292061094.db2.gz HTGVHRDCVAMHCI-MNOVXSKESA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000336112253 292062666 /nfs/dbraw/zinc/06/26/66/292062666.db2.gz MTAJNASDERQNHV-AAEUAGOBSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1ccc(CN2CCOC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000093645412 292100643 /nfs/dbraw/zinc/10/06/43/292100643.db2.gz MGTKXIMYPPXCME-OAHLLOKOSA-N 0 0 276.336 2.514 20 5 CFBDRN COc1cc(OCc2ccc(F)cn2)ccc1[N+](=O)[O-] ZINC000355933770 155133192 /nfs/dbraw/zinc/13/31/92/155133192.db2.gz FZLRXBUUJJUNJF-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CC1(C(=O)Cn2ncc3cc([N+](=O)[O-])cc(Cl)c32)CC1 ZINC000355935277 155133470 /nfs/dbraw/zinc/13/34/70/155133470.db2.gz QZQICLHFEAERRS-UHFFFAOYSA-N 0 0 293.710 2.967 20 5 CFBDRN COc1cc(OCc2cccc(C)n2)ccc1[N+](=O)[O-] ZINC000355951400 155134102 /nfs/dbraw/zinc/13/41/02/155134102.db2.gz OBAKTBCGOBFIBJ-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000592000591 397586794 /nfs/dbraw/zinc/58/67/94/397586794.db2.gz ASZZXUAFIPYOAE-KOLCDFICSA-N 0 0 289.360 2.871 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1ccnc3ccc([N+](=O)[O-])cc31)C2 ZINC000601195124 397587339 /nfs/dbraw/zinc/58/73/39/397587339.db2.gz DWLXUNYVJUUMED-BDJLRTHQSA-N 0 0 299.330 2.616 20 5 CFBDRN O=C(Nc1cc(F)c(F)c(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000127565423 397602282 /nfs/dbraw/zinc/60/22/82/397602282.db2.gz BBNXYVQDFBDERM-UHFFFAOYSA-N 0 0 286.165 2.857 20 5 CFBDRN CCCCNC(=O)[C@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271592662 397631752 /nfs/dbraw/zinc/63/17/52/397631752.db2.gz FZTKDVADZJMIJE-RYUDHWBXSA-N 0 0 293.367 2.550 20 5 CFBDRN CN(C[C@@H]1CCCCO1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000298925338 397635526 /nfs/dbraw/zinc/63/55/26/397635526.db2.gz CBGCMVXETZXDKY-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000301665730 397638696 /nfs/dbraw/zinc/63/86/96/397638696.db2.gz ZZPJCHQTHIOQPI-LBPRGKRZSA-N 0 0 280.372 2.899 20 5 CFBDRN Cc1nc(NC[C@@](C)(O)CCC(C)C)ccc1[N+](=O)[O-] ZINC000301664759 397638993 /nfs/dbraw/zinc/63/89/93/397638993.db2.gz NVGISDCRFNMHGQ-AWEZNQCLSA-N 0 0 281.356 2.897 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCOC2(CCCCC2)C1 ZINC000266245774 304810972 /nfs/dbraw/zinc/81/09/72/304810972.db2.gz MDBQHPIOGKALSJ-UHFFFAOYSA-N 0 0 277.324 2.529 20 5 CFBDRN CO[C@@](C)([C@@H](C)Nc1cc(C)c([N+](=O)[O-])cn1)C1CC1 ZINC000413522140 285904192 /nfs/dbraw/zinc/90/41/92/285904192.db2.gz RTZYCTDYXCHILR-YGRLFVJLSA-N 0 0 279.340 2.914 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000356246123 155195798 /nfs/dbraw/zinc/19/57/98/155195798.db2.gz NFFKMAXNHDAFOG-WCQYABFASA-N 0 0 276.336 2.642 20 5 CFBDRN CO[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])CC1(C)C ZINC000295790147 286062809 /nfs/dbraw/zinc/06/28/09/286062809.db2.gz RMRRDXYTFYIEGA-ZDUSSCGKSA-N 0 0 282.315 2.985 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])n1C)C1CCCCC1 ZINC000356307925 155218714 /nfs/dbraw/zinc/21/87/14/155218714.db2.gz SSPSCQHRUCPCBX-JTQLQIEISA-N 0 0 279.340 2.632 20 5 CFBDRN CO[C@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CC[C@H]1C ZINC000341432525 286074533 /nfs/dbraw/zinc/07/45/33/286074533.db2.gz XESXGKLDITYREJ-RISCZKNCSA-N 0 0 264.325 2.764 20 5 CFBDRN CCC[C@@H](CNc1cc(OC)ccc1[N+](=O)[O-])OC ZINC000356417822 155259536 /nfs/dbraw/zinc/25/95/36/155259536.db2.gz QPEFVSNRHBSSGQ-NSHDSACASA-N 0 0 268.313 2.830 20 5 CFBDRN CCOC[C@H]1CCCCN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000413418656 286178202 /nfs/dbraw/zinc/17/82/02/286178202.db2.gz FSXBKMQMMPPDMG-GFCCVEGCSA-N 0 0 296.371 2.515 20 5 CFBDRN COc1c(CNc2cc(C)ccc2[N+](=O)[O-])c(C)nn1C ZINC000123048866 286184172 /nfs/dbraw/zinc/18/41/72/286184172.db2.gz ZOYCLVQPCFODFT-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN COc1cc(N2CCC(C)(OC)CC2)c([N+](=O)[O-])cc1F ZINC000271630240 286228472 /nfs/dbraw/zinc/22/84/72/286228472.db2.gz GOKCFRQGCQGELF-UHFFFAOYSA-N 0 0 298.314 2.748 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](C)[C@@H]2C)c1 ZINC000301499713 286225833 /nfs/dbraw/zinc/22/58/33/286225833.db2.gz WJBAZSWLZDHUMI-BDAKNGLRSA-N 0 0 268.288 2.977 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2C[C@@H](C)[C@H](C)C2)c1 ZINC000301487574 286225895 /nfs/dbraw/zinc/22/58/95/286225895.db2.gz YWWTWZDLPYCUHV-RKDXNWHRSA-N 0 0 268.288 2.835 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1COc1ccccc1Cl ZINC000356612073 155316829 /nfs/dbraw/zinc/31/68/29/155316829.db2.gz RAWXTNZCMISQJH-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN C[C@@H](F)CCNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000356678562 155330798 /nfs/dbraw/zinc/33/07/98/155330798.db2.gz QEWVIZRSFVKGKG-MRVPVSSYSA-N 0 0 288.706 2.655 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000356735589 155345480 /nfs/dbraw/zinc/34/54/80/155345480.db2.gz UMXVXAMCAOYXNP-ZANVPECISA-N 0 0 287.319 2.533 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000356751378 155351799 /nfs/dbraw/zinc/35/17/99/155351799.db2.gz SYAVNJNXPXZGBT-SKDRFNHKSA-N 0 0 289.335 2.697 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000356781348 155365762 /nfs/dbraw/zinc/36/57/62/155365762.db2.gz AMFJLJLWRONYCU-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H]1CCC[C@H](C)C1 ZINC000361966982 292281832 /nfs/dbraw/zinc/28/18/32/292281832.db2.gz IGJFTTMCTKOGFI-JQWIXIFHSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCCCC2)c1 ZINC000088147531 292282028 /nfs/dbraw/zinc/28/20/28/292282028.db2.gz YVVCMXFQTVGEBQ-UHFFFAOYSA-N 0 0 262.309 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(F)(F)[C@@H](C)C2)n1 ZINC000291159471 292284390 /nfs/dbraw/zinc/28/43/90/292284390.db2.gz PTODRXBRXAQAPS-QMMMGPOBSA-N 0 0 271.267 2.780 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(C)(CO)CC2)c1 ZINC000273875654 292284427 /nfs/dbraw/zinc/28/44/27/292284427.db2.gz PPWJAUGRZLIQSR-UHFFFAOYSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCCCO1 ZINC000278615291 292284053 /nfs/dbraw/zinc/28/40/53/292284053.db2.gz RZRHEOPWPDPKDB-UHFFFAOYSA-N 0 0 279.296 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC3(CC2)OCCCO3)c1 ZINC000292936267 292285069 /nfs/dbraw/zinc/28/50/69/292285069.db2.gz ZVYWUGZSBFFCOC-UHFFFAOYSA-N 0 0 292.335 2.637 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(OCC3CC3)CC2)n1 ZINC000370653419 292284795 /nfs/dbraw/zinc/28/47/95/292284795.db2.gz LQHRTENNRXDFKP-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@](C)(OC)C2CC2)c1 ZINC000292399332 286383358 /nfs/dbraw/zinc/38/33/58/286383358.db2.gz RNIFHLRZBUYLMM-AWEZNQCLSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H](C)n3ccnc32)n1 ZINC000450833382 292289926 /nfs/dbraw/zinc/28/99/26/292289926.db2.gz SRSDJEIDVAONPR-NXEZZACHSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCOC[C@H]2C)c1 ZINC000277311190 292290071 /nfs/dbraw/zinc/29/00/71/292290071.db2.gz BJLJRAXJBOQOFB-GHMZBOCLSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)CC(=O)OC(C)(C)C ZINC000356858682 155396859 /nfs/dbraw/zinc/39/68/59/155396859.db2.gz LQETXSMNYBEUFR-JTQLQIEISA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCOCC[C@H]1C ZINC000367716457 292293326 /nfs/dbraw/zinc/29/33/26/292293326.db2.gz FLYLKHYHOUYZPK-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCC[C@@](F)(CO)C1 ZINC000286730805 292296067 /nfs/dbraw/zinc/29/60/67/292296067.db2.gz CXSCVQQAHCZOKY-WFASDCNBSA-N 0 0 296.342 2.761 20 5 CFBDRN CCc1cc(Nc2c([N+](=O)[O-])ncn2C)ccc1F ZINC000343240215 154152149 /nfs/dbraw/zinc/15/21/49/154152149.db2.gz SVXYHJYOVUVHTE-UHFFFAOYSA-N 0 0 264.260 2.773 20 5 CFBDRN CCOc1cccc(N(C)[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000343257514 154158126 /nfs/dbraw/zinc/15/81/26/154158126.db2.gz YDZFCSZUMHTHEK-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000343319617 154174758 /nfs/dbraw/zinc/17/47/58/154174758.db2.gz BRQPJLVUFHTLTA-ZDUSSCGKSA-N 0 0 294.376 2.944 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000345577308 154210230 /nfs/dbraw/zinc/21/02/30/154210230.db2.gz AVQAHXBPVWIYFN-MNOVXSKESA-N 0 0 295.314 2.647 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000345605355 154216742 /nfs/dbraw/zinc/21/67/42/154216742.db2.gz JCSOGGSJAXVAII-QWRGUYRKSA-N 0 0 296.298 2.614 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000345630847 154223922 /nfs/dbraw/zinc/22/39/22/154223922.db2.gz LFQWINHTHHWXSI-RYUDHWBXSA-N 0 0 280.299 2.778 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccccn2)no1 ZINC000345627666 154224223 /nfs/dbraw/zinc/22/42/23/154224223.db2.gz ALJPFZGMQNBKSB-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN COc1c(-c2nc(-c3ccccn3)no2)cccc1[N+](=O)[O-] ZINC000345676406 154237572 /nfs/dbraw/zinc/23/75/72/154237572.db2.gz XVHOGLASSGVINO-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC2(C)C)ccc1[N+](=O)[O-] ZINC000345766033 154262193 /nfs/dbraw/zinc/26/21/93/154262193.db2.gz YNDODWRITKTCNP-GFCCVEGCSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(C)(NC(=O)c1cncs1)c1ccccc1[N+](=O)[O-] ZINC000345786713 154267789 /nfs/dbraw/zinc/26/77/89/154267789.db2.gz UYNHHIVCVQLIBM-UHFFFAOYSA-N 0 0 291.332 2.716 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCC2=O)c1ccc([N+](=O)[O-])o1 ZINC000348009659 154286404 /nfs/dbraw/zinc/28/64/04/154286404.db2.gz VTLBQZDSBJLMRW-UHFFFAOYSA-N 0 0 286.243 2.569 20 5 CFBDRN CC[C@@H](C)[C@H](NCc1cccc([N+](=O)[O-])c1C)C(=O)OC ZINC000348014063 154287383 /nfs/dbraw/zinc/28/73/83/154287383.db2.gz WHIQDQZNPFYWQC-YGRLFVJLSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000348012107 154287499 /nfs/dbraw/zinc/28/74/99/154287499.db2.gz NTIDMVKZRDZOEE-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)c1cccnc1 ZINC000348140624 154337608 /nfs/dbraw/zinc/33/76/08/154337608.db2.gz BEODSKXAGTXICX-VIFPVBQESA-N 0 0 289.266 2.871 20 5 CFBDRN COc1ccc(Cl)cc1NCc1c([N+](=O)[O-])ncn1C ZINC000348144149 154339104 /nfs/dbraw/zinc/33/91/04/154339104.db2.gz OHPXOLOJPIXFEY-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cncc(Cl)c2)cn1 ZINC000348222408 154367974 /nfs/dbraw/zinc/36/79/74/154367974.db2.gz RLJCXHOWVJEVMG-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN COc1cc(CN(C)Cc2cc(C)on2)ccc1[N+](=O)[O-] ZINC000351971434 154424288 /nfs/dbraw/zinc/42/42/88/154424288.db2.gz TWBWAUUKKHOQBA-UHFFFAOYSA-N 0 0 291.307 2.532 20 5 CFBDRN CC(C)(C(=O)Nc1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000352166226 154488354 /nfs/dbraw/zinc/48/83/54/154488354.db2.gz BSOVQAOPYFCGOX-UHFFFAOYSA-N 0 0 285.303 2.906 20 5 CFBDRN Cc1ccc(C)c(OCCCn2cc([N+](=O)[O-])cn2)c1 ZINC000352271237 154519806 /nfs/dbraw/zinc/51/98/06/154519806.db2.gz PCGIZAIBADWAMV-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN CCOC(=O)CSc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352356667 154541870 /nfs/dbraw/zinc/54/18/70/154541870.db2.gz NCGLYYREGXBQTQ-UHFFFAOYSA-N 0 0 292.316 2.798 20 5 CFBDRN COc1cccc(N2CC[C@@H](SC)C2)c1[N+](=O)[O-] ZINC000295023871 286457812 /nfs/dbraw/zinc/45/78/12/286457812.db2.gz QWYNUEXLQYLXNN-SECBINFHSA-N 0 0 268.338 2.545 20 5 CFBDRN COc1cccc(N2CC[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000368935055 286457814 /nfs/dbraw/zinc/45/78/14/286457814.db2.gz ZIYTVBUSKULEBU-NSHDSACASA-N 0 0 262.309 2.840 20 5 CFBDRN CC[C@H](C)[C@H](C)N(C(=O)c1ccc([N+](=O)[O-])n1C)C1CC1 ZINC000355196920 154949370 /nfs/dbraw/zinc/94/93/70/154949370.db2.gz ZWUWIZAMUZIWIP-QWRGUYRKSA-N 0 0 293.367 2.973 20 5 CFBDRN Cc1nc(NC(=O)c2ccc3c(c2)COC3)ccc1[N+](=O)[O-] ZINC000355243817 154966859 /nfs/dbraw/zinc/96/68/59/154966859.db2.gz SNYXZDCITXHXFM-UHFFFAOYSA-N 0 0 299.286 2.581 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000355335302 154999455 /nfs/dbraw/zinc/99/94/55/154999455.db2.gz OUBUUVQMQRKMAP-LERXQTSPSA-N 0 0 290.319 2.575 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CC(=O)c2ccccc21 ZINC000355487811 155022745 /nfs/dbraw/zinc/02/27/45/155022745.db2.gz YVJZQUAQPPWFHK-CQSZACIVSA-N 0 0 296.282 2.904 20 5 CFBDRN Cc1cccc([C@H](C)CNC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000355648158 155053794 /nfs/dbraw/zinc/05/37/94/155053794.db2.gz JOJGXDLPYKLWRP-LLVKDONJSA-N 0 0 287.319 2.765 20 5 CFBDRN C[C@H](c1ccc(F)cc1)N(C)Cc1c([N+](=O)[O-])ncn1C ZINC000355660629 155057957 /nfs/dbraw/zinc/05/79/57/155057957.db2.gz NWTZBCMUYGBTTB-SNVBAGLBSA-N 0 0 292.314 2.660 20 5 CFBDRN CC[C@@H](C)OCc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355691334 155064183 /nfs/dbraw/zinc/06/41/83/155064183.db2.gz SWWSMSZFDCXLTC-SNVBAGLBSA-N 0 0 291.307 2.884 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](CO)C2CCCC2)c([N+](=O)[O-])c1 ZINC000357775363 155531003 /nfs/dbraw/zinc/53/10/03/155531003.db2.gz PCQXRCAXZSGKGN-AWEZNQCLSA-N 0 0 292.335 2.760 20 5 CFBDRN O=[N+]([O-])c1ncn(CCc2ccc3ccccc3c2)n1 ZINC000358009638 155599557 /nfs/dbraw/zinc/59/95/57/155599557.db2.gz XWVARCIPJNUUFI-UHFFFAOYSA-N 0 0 268.276 2.582 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NCc1ccsc1 ZINC000358475315 155776607 /nfs/dbraw/zinc/77/66/07/155776607.db2.gz ZABTWIRRBHDVCM-UHFFFAOYSA-N 0 0 294.307 2.654 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCOC(C)(C)[C@H]2C)c1 ZINC000358680682 155841769 /nfs/dbraw/zinc/84/17/69/155841769.db2.gz BPJGHMVJTMCGDH-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000358913788 155913119 /nfs/dbraw/zinc/91/31/19/155913119.db2.gz JAVYVSKOQPZYQA-CYBMUJFWSA-N 0 0 289.335 2.811 20 5 CFBDRN CCCCNC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000358911264 155913409 /nfs/dbraw/zinc/91/34/09/155913409.db2.gz ZUYKVGOVBNNCFF-UHFFFAOYSA-N 0 0 288.250 2.726 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358994713 155943970 /nfs/dbraw/zinc/94/39/70/155943970.db2.gz RDPUTGNSDHBGFE-QMTHXVAHSA-N 0 0 294.326 2.923 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@H]1C[C@H]1c1ccccc1 ZINC000359174408 156014028 /nfs/dbraw/zinc/01/40/28/156014028.db2.gz IHXZNDGGUXTCMD-OLZOCXBDSA-N 0 0 270.288 2.560 20 5 CFBDRN CCOc1cc(N2CCOCC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000360175543 156042444 /nfs/dbraw/zinc/04/24/44/156042444.db2.gz VZRLKEVPWFHFKW-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN CCc1nn(C)c(Nc2ccc3n[nH]cc3c2)c1[N+](=O)[O-] ZINC000360879811 156062948 /nfs/dbraw/zinc/06/29/48/156062948.db2.gz MGBMZIWBECRAMO-UHFFFAOYSA-N 0 0 286.295 2.511 20 5 CFBDRN Cc1cc(Oc2nccn(C(F)F)c2=O)ccc1[N+](=O)[O-] ZINC000360880282 156063155 /nfs/dbraw/zinc/06/31/55/156063155.db2.gz SZGYECOGTIDTBP-UHFFFAOYSA-N 0 0 297.217 2.647 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CCO)CCC2)cc1 ZINC000362872113 156103550 /nfs/dbraw/zinc/10/35/50/156103550.db2.gz FVXVEHIKHZJDBB-UHFFFAOYSA-N 0 0 250.298 2.559 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1ncc(C)cc1[N+](=O)[O-])OC ZINC000362975900 156134469 /nfs/dbraw/zinc/13/44/69/156134469.db2.gz HKGJXHWLADMQSM-CMPLNLGQSA-N 0 0 267.329 2.771 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2[C@@H]3c4ccccc4CC[C@H]23)nc1 ZINC000363885205 156203067 /nfs/dbraw/zinc/20/30/67/156203067.db2.gz HZZDYQXWMWESNP-RBSFLKMASA-N 0 0 296.330 2.773 20 5 CFBDRN COc1cc(N2CC[C@@H](C3CC3)C2)c([N+](=O)[O-])cc1F ZINC000367046257 156272138 /nfs/dbraw/zinc/27/21/38/156272138.db2.gz VCKIHALDYIIGOE-SNVBAGLBSA-N 0 0 280.299 2.979 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1noc([C@@H]2C[C@]23CCOC3)n1 ZINC000367356030 156318379 /nfs/dbraw/zinc/31/83/79/156318379.db2.gz JCJJBOSQZBNRJQ-HZMBPMFUSA-N 0 0 287.275 2.539 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1C[C@H]2CCCC[C@H]21 ZINC000367764778 156388149 /nfs/dbraw/zinc/38/81/49/156388149.db2.gz FGPDLPIUWDEFIU-UKRRQHHQSA-N 0 0 288.347 2.928 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1CCCC2(CCC2)C1 ZINC000368443519 156486444 /nfs/dbraw/zinc/48/64/44/156486444.db2.gz ULFSVMMWIXZNPP-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CC23CCOCC3)cc1[N+](=O)[O-] ZINC000372718811 156915074 /nfs/dbraw/zinc/91/50/74/156915074.db2.gz RGPXTCZJTMQSMD-LBPRGKRZSA-N 0 0 290.319 2.658 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H](CO)c3ccccc32)nc1 ZINC000373637899 157017988 /nfs/dbraw/zinc/01/79/88/157017988.db2.gz JUOPZQLTQHVZSX-YGRLFVJLSA-N 0 0 285.303 2.623 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCCO[C@@H]2CCC[C@H]21 ZINC000374126412 157072203 /nfs/dbraw/zinc/07/22/03/157072203.db2.gz PDKAKCIMQBXOPB-ZIAGYGMSSA-N 0 0 262.309 2.743 20 5 CFBDRN Cn1cc2c(n1)CCC[C@@H]2Nc1ccccc1[N+](=O)[O-] ZINC000374588122 157134068 /nfs/dbraw/zinc/13/40/68/157134068.db2.gz YWVQIGJOUGCEHH-NSHDSACASA-N 0 0 272.308 2.818 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](Cc2ccncc2)C1 ZINC000374675072 157146063 /nfs/dbraw/zinc/14/60/63/157146063.db2.gz CTUIJZIILRIFJA-AWEZNQCLSA-N 0 0 298.346 2.762 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2C)CC1 ZINC000374667638 157147031 /nfs/dbraw/zinc/14/70/31/157147031.db2.gz YIKJXTXMDZYROE-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cnc3c(c2)COCC3)c1 ZINC000375042312 157188386 /nfs/dbraw/zinc/18/83/86/157188386.db2.gz SAXAKEHGOXTFEG-UHFFFAOYSA-N 0 0 285.303 2.675 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H]2CCC(C)(C)C[C@H]2C)c1[N+](=O)[O-] ZINC000408344964 157393781 /nfs/dbraw/zinc/39/37/81/157393781.db2.gz CTTTZHVKCNVTLR-PSASIEDQSA-N 0 0 294.355 2.571 20 5 CFBDRN O=C([C@H]1[C@@H]2CCC[C@@H]21)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000408447180 157399439 /nfs/dbraw/zinc/39/94/39/157399439.db2.gz VOWJTOJVBPBJAA-FICVDOATSA-N 0 0 286.331 2.526 20 5 CFBDRN C[C@H](F)CCNc1ncc(Br)cc1[N+](=O)[O-] ZINC000338754106 161577588 /nfs/dbraw/zinc/57/75/88/161577588.db2.gz WKQUMRCOQYNPIM-LURJTMIESA-N 0 0 292.108 2.912 20 5 CFBDRN C[C@H]1CN(Cc2csc([N+](=O)[O-])c2)CC(C)(C)O1 ZINC000065422742 161504395 /nfs/dbraw/zinc/50/43/95/161504395.db2.gz UNNOBIZVEDSQJI-VIFPVBQESA-N 0 0 270.354 2.656 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC(C)(C)C1 ZINC000112685710 161511079 /nfs/dbraw/zinc/51/10/79/161511079.db2.gz DSJFYFAYSGOCIF-UHFFFAOYSA-N 0 0 277.324 2.560 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C/c2nc([C@@H]3CCOC3)no2)c1 ZINC000119590401 161511971 /nfs/dbraw/zinc/51/19/71/161511971.db2.gz SBTQOYNGHPASTR-SGUJLRQBSA-N 0 0 287.275 2.652 20 5 CFBDRN Cn1ccc(COc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000339115690 161580609 /nfs/dbraw/zinc/58/06/09/161580609.db2.gz GRRWBPZZPCWCRJ-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C12CCC(CC1)C2 ZINC000342522249 161646348 /nfs/dbraw/zinc/64/63/48/161646348.db2.gz HTJMJYNSGLJIMB-UHFFFAOYSA-N 0 0 274.320 2.791 20 5 CFBDRN Cc1cc(Nc2ccc([N+](=O)[O-])cn2)nn1[C@H](C)C1CC1 ZINC000343602770 161816672 /nfs/dbraw/zinc/81/66/72/161816672.db2.gz LWXLHNAXMTVDKP-SNVBAGLBSA-N 0 0 287.323 2.631 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000343850322 161875431 /nfs/dbraw/zinc/87/54/31/161875431.db2.gz ZIOMHVTYOXXXDO-NEPJUHHUSA-N 0 0 291.351 2.559 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000343882150 161885842 /nfs/dbraw/zinc/88/58/42/161885842.db2.gz NKZDWIPMWNFSOY-BDAKNGLRSA-N 0 0 298.289 2.720 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000343983984 161905329 /nfs/dbraw/zinc/90/53/29/161905329.db2.gz LXJGFTOJFORRMF-GWCFXTLKSA-N 0 0 276.336 2.787 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344200018 161972143 /nfs/dbraw/zinc/97/21/43/161972143.db2.gz NTRWRDIYWBNFKH-NXEZZACHSA-N 0 0 265.313 2.635 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2n[nH]c(-c3ccccc3)n2)cn1 ZINC000344426971 162042129 /nfs/dbraw/zinc/04/21/29/162042129.db2.gz XYMFPUWWMMHIKS-UHFFFAOYSA-N 0 0 299.315 2.926 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1ncnn1-c1ccccc1 ZINC000344598576 162075106 /nfs/dbraw/zinc/07/51/06/162075106.db2.gz BXXMRLJZISCMJQ-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN CCc1cccc(Cn2ccc(C)c([N+](=O)[O-])c2=O)c1 ZINC000344613612 162081356 /nfs/dbraw/zinc/08/13/56/162081356.db2.gz LGOLXUIZZIYXAA-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN Cc1c(NC(=O)N[C@@]2(C)CCO[C@@H]2C)cccc1[N+](=O)[O-] ZINC000344908693 162146647 /nfs/dbraw/zinc/14/66/47/162146647.db2.gz CBBBTDTUQMAHHT-YGRLFVJLSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1c(NC(=O)N[C@@]2(C)CCO[C@H]2C)cccc1[N+](=O)[O-] ZINC000344908687 162147695 /nfs/dbraw/zinc/14/76/95/162147695.db2.gz CBBBTDTUQMAHHT-HZMBPMFUSA-N 0 0 293.323 2.592 20 5 CFBDRN CCN(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000345065726 162171013 /nfs/dbraw/zinc/17/10/13/162171013.db2.gz JUVWRLOCGOMHEO-UHFFFAOYSA-N 0 0 287.319 2.596 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000345081430 162176219 /nfs/dbraw/zinc/17/62/19/162176219.db2.gz XVYZBICJPBBIME-ZJUUUORDSA-N 0 0 278.308 2.978 20 5 CFBDRN Cc1cc(NC[C@@H]2CCOC2)c2cccc([N+](=O)[O-])c2n1 ZINC000345079115 162176373 /nfs/dbraw/zinc/17/63/73/162176373.db2.gz GKIPZKSAXSFFEX-NSHDSACASA-N 0 0 287.319 2.900 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCC1(C)CC1 ZINC000345141093 162184238 /nfs/dbraw/zinc/18/42/38/162184238.db2.gz YSUCIICBXPEFPZ-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CNC(=O)[C@@H]1CCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000345159326 162190317 /nfs/dbraw/zinc/19/03/17/162190317.db2.gz GRZZDKLHHAIRRR-KOLCDFICSA-N 0 0 297.742 2.575 20 5 CFBDRN CC(=O)Nc1ccc(CNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000345191939 162199153 /nfs/dbraw/zinc/19/91/53/162199153.db2.gz ISLVGHJABGEWQL-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN Cn1ccc(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)n1 ZINC000345189562 162199171 /nfs/dbraw/zinc/19/91/71/162199171.db2.gz KMLHQBRWHDQSTK-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000345248575 162210290 /nfs/dbraw/zinc/21/02/90/162210290.db2.gz CCFCNYGWZMGUED-SCZZXKLOSA-N 0 0 263.297 2.673 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1coc(-c2ccc(F)cc2)n1 ZINC000345291058 162220846 /nfs/dbraw/zinc/22/08/46/162220846.db2.gz WRDXTYADIBNMPP-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN CCCN(C)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000345951216 162282124 /nfs/dbraw/zinc/28/21/24/162282124.db2.gz DUESVHUFYFHOPZ-UHFFFAOYSA-N 0 0 279.340 2.655 20 5 CFBDRN CSCCCC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000345992025 162292913 /nfs/dbraw/zinc/29/29/13/162292913.db2.gz CTHRZPKONWAHGV-UHFFFAOYSA-N 0 0 272.301 2.816 20 5 CFBDRN O=C(NC[C@H]1CCCCO1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000346000332 162294763 /nfs/dbraw/zinc/29/47/63/162294763.db2.gz INBVSAHAKZDWFW-GFCCVEGCSA-N 0 0 298.726 2.547 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccc(F)c(F)c3)no2)c1 ZINC000346068641 162311305 /nfs/dbraw/zinc/31/13/05/162311305.db2.gz FYVNKLORXSBWOC-UHFFFAOYSA-N 0 0 292.201 2.918 20 5 CFBDRN Cc1ccc(N(C)C(=O)Cc2ccccc2[N+](=O)[O-])nc1 ZINC000346161227 162334898 /nfs/dbraw/zinc/33/48/98/162334898.db2.gz FDTVITZNAXIDCB-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000346156308 162335119 /nfs/dbraw/zinc/33/51/19/162335119.db2.gz AULSWDRYVFDNLC-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN COc1cccc(-c2nc(CCC3CC3)no2)c1[N+](=O)[O-] ZINC000346798660 162516635 /nfs/dbraw/zinc/51/66/35/162516635.db2.gz MYHDWFCBLCHDOF-UHFFFAOYSA-N 0 0 289.291 2.996 20 5 CFBDRN CCS[C@H](C)c1noc(-c2nn(CC)cc2[N+](=O)[O-])n1 ZINC000346881776 162544196 /nfs/dbraw/zinc/54/41/96/162544196.db2.gz OSCFULWZJGINEK-SSDOTTSWSA-N 0 0 297.340 2.675 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H](C)CCC2CC2)c1[N+](=O)[O-] ZINC000347828457 162782469 /nfs/dbraw/zinc/78/24/69/162782469.db2.gz NZEGMQMYHQBFBK-VIFPVBQESA-N 0 0 294.355 2.579 20 5 CFBDRN COCCC1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000347949174 162807773 /nfs/dbraw/zinc/80/77/73/162807773.db2.gz HIZIDNWWSQJZGW-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN Cc1cc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)ns1 ZINC000347958070 162808784 /nfs/dbraw/zinc/80/87/84/162808784.db2.gz NJXJUDGBWUFLCC-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN O=C(CCc1cscn1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347994755 162815368 /nfs/dbraw/zinc/81/53/68/162815368.db2.gz XJZKJAZZOQFCDB-UHFFFAOYSA-N 0 0 295.295 2.762 20 5 CFBDRN CSc1ccc(-c2nc(C)no2)cc1[N+](=O)[O-] ZINC000349768897 163013119 /nfs/dbraw/zinc/01/31/19/163013119.db2.gz BJBNORXRITUDDN-UHFFFAOYSA-N 0 0 251.267 2.675 20 5 CFBDRN Cc1nc(COc2c(Cl)cncc2[N+](=O)[O-])oc1C ZINC000349834459 163022804 /nfs/dbraw/zinc/02/28/04/163022804.db2.gz XRZSOJLKHIQJRM-UHFFFAOYSA-N 0 0 283.671 2.827 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(-c3ccoc3)n2)c1 ZINC000349862377 163027650 /nfs/dbraw/zinc/02/76/50/163027650.db2.gz NOCSKAXJKNSNCF-UHFFFAOYSA-N 0 0 257.205 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(COc3cccnc3)n2)cc1 ZINC000349866104 163030494 /nfs/dbraw/zinc/03/04/94/163030494.db2.gz MDIAWOPCDAEDLE-UHFFFAOYSA-N 0 0 298.258 2.619 20 5 CFBDRN CC[N@@H+]1C[C@H](C)N(Cc2ccc([N+](=O)[O-])cc2C)C[C@H]1C ZINC000349976602 163045259 /nfs/dbraw/zinc/04/52/59/163045259.db2.gz PVXRRYNBJNDEGD-KGLIPLIRSA-N 0 0 291.395 2.818 20 5 CFBDRN CO[C@H](C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000350016089 163049123 /nfs/dbraw/zinc/04/91/23/163049123.db2.gz GUANTAZLOGDZMK-LBPRGKRZSA-N 0 0 266.297 2.513 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1occ2c1CCC2 ZINC000350013467 163049215 /nfs/dbraw/zinc/04/92/15/163049215.db2.gz FNXZSIFOWXXJNG-UHFFFAOYSA-N 0 0 286.287 2.607 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000350789299 163106712 /nfs/dbraw/zinc/10/67/12/163106712.db2.gz XNSDOGRLXKYSSX-KOLCDFICSA-N 0 0 295.364 2.776 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc(-c2ncc[nH]2)no1 ZINC000351078874 163118087 /nfs/dbraw/zinc/11/80/87/163118087.db2.gz MUXIFWGLTOESFE-HJWRWDBZSA-N 0 0 297.274 2.928 20 5 CFBDRN C[C@@H](NC(=O)CSc1ccccc1[N+](=O)[O-])C1CC1 ZINC000351263707 163125115 /nfs/dbraw/zinc/12/51/15/163125115.db2.gz RVRPVIQIKRXLMM-SECBINFHSA-N 0 0 280.349 2.602 20 5 CFBDRN Cc1cnc(Oc2ccc3c(c2)CN(C)C3=O)c([N+](=O)[O-])c1 ZINC000351504336 163135425 /nfs/dbraw/zinc/13/54/25/163135425.db2.gz JCRCYHYYZCDWMO-UHFFFAOYSA-N 0 0 299.286 2.676 20 5 CFBDRN Cc1c(NC(=O)c2ccnc(C3CC3)n2)cccc1[N+](=O)[O-] ZINC000351511033 163137043 /nfs/dbraw/zinc/13/70/43/163137043.db2.gz OPLJJTQQGGNDGG-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1C[C@@H]1C(F)F ZINC000351539085 163142942 /nfs/dbraw/zinc/14/29/42/163142942.db2.gz PDICCLKKWUAMJK-WPRPVWTQSA-N 0 0 299.277 2.987 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC(C)(C)C1 ZINC000351551247 163145496 /nfs/dbraw/zinc/14/54/96/163145496.db2.gz TXQHCLSCACVUCM-JTQLQIEISA-N 0 0 277.324 2.607 20 5 CFBDRN CCc1noc([C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000351562249 163146983 /nfs/dbraw/zinc/14/69/83/163146983.db2.gz KFGDTPAYWDHHGZ-SSDOTTSWSA-N 0 0 265.244 2.831 20 5 CFBDRN Cc1oncc1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351610255 163157125 /nfs/dbraw/zinc/15/71/25/163157125.db2.gz NZNHHFXFFRWTFW-UHFFFAOYSA-N 0 0 280.215 2.674 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCC[C@@H]1CCCCO1 ZINC000351630765 163161957 /nfs/dbraw/zinc/16/19/57/163161957.db2.gz SEZBCMMXHXXCNP-NSHDSACASA-N 0 0 251.282 2.933 20 5 CFBDRN O=C(NCCc1ccco1)Nc1ccc([N+](=O)[O-])cc1F ZINC000351667985 163169448 /nfs/dbraw/zinc/16/94/48/163169448.db2.gz PCFGANGSSUYNJY-UHFFFAOYSA-N 0 0 293.254 2.691 20 5 CFBDRN Cc1ncsc1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000351669104 163170559 /nfs/dbraw/zinc/17/05/59/163170559.db2.gz HTESHBWRBUPPBQ-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN O=C(N[C@@H]1CC2CCC1CC2)c1csc([N+](=O)[O-])c1 ZINC000351687761 163175911 /nfs/dbraw/zinc/17/59/11/163175911.db2.gz WGSBBQVQOXCGDK-NWGYLPEXSA-N 0 0 280.349 2.965 20 5 CFBDRN C[C@@H](C(=O)N1CCCCCO1)c1ccc([N+](=O)[O-])cc1F ZINC000351694678 163176610 /nfs/dbraw/zinc/17/66/10/163176610.db2.gz UDNHRVLRDBMEEM-SNVBAGLBSA-N 0 0 296.298 2.782 20 5 CFBDRN CCOc1ccc(C(=O)N2CCCCCO2)cc1[N+](=O)[O-] ZINC000351695694 163177000 /nfs/dbraw/zinc/17/70/00/163177000.db2.gz YHRWFZOHVXBITM-UHFFFAOYSA-N 0 0 294.307 2.551 20 5 CFBDRN Cn1c(C(=O)Nc2ccc3ccccc3n2)ccc1[N+](=O)[O-] ZINC000351700621 163178234 /nfs/dbraw/zinc/17/82/34/163178234.db2.gz OXNQVTDXWKFVBT-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1cnc(OCC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000351699125 163178271 /nfs/dbraw/zinc/17/82/71/163178271.db2.gz YWYBDGHLHQRWFJ-LLVKDONJSA-N 0 0 266.297 2.636 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@H]1C[C@@H]1C(F)F ZINC000351727117 163182485 /nfs/dbraw/zinc/18/24/85/163182485.db2.gz ZBZHFERCFWTKTQ-IUCAKERBSA-N 0 0 289.213 2.509 20 5 CFBDRN NC(=O)c1cccc(SCc2ccccc2[N+](=O)[O-])c1 ZINC000351727383 163182789 /nfs/dbraw/zinc/18/27/89/163182789.db2.gz MFMBTUATXQHFJB-UHFFFAOYSA-N 0 0 288.328 2.986 20 5 CFBDRN C[C@@H]1CCN(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])C1 ZINC000351810705 163196358 /nfs/dbraw/zinc/19/63/58/163196358.db2.gz AHIIINOZICWZBV-GFCCVEGCSA-N 0 0 291.351 2.531 20 5 CFBDRN C[C@H]1CCN(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])C1 ZINC000351810706 163196975 /nfs/dbraw/zinc/19/69/75/163196975.db2.gz AHIIINOZICWZBV-LBPRGKRZSA-N 0 0 291.351 2.531 20 5 CFBDRN Cc1ccn(CCCc2cccc(F)c2)c(=O)c1[N+](=O)[O-] ZINC000351828793 163199654 /nfs/dbraw/zinc/19/96/54/163199654.db2.gz IOYUCVOBMZCPAW-UHFFFAOYSA-N 0 0 290.294 2.837 20 5 CFBDRN O=C(Nc1cccc(C(F)F)c1)c1cc([N+](=O)[O-])n[nH]1 ZINC000351845619 163204225 /nfs/dbraw/zinc/20/42/25/163204225.db2.gz VCMYWLLZDVXWJZ-UHFFFAOYSA-N 0 0 282.206 2.508 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)N(C)Cc1cccc([N+](=O)[O-])c1 ZINC000351878608 163210318 /nfs/dbraw/zinc/21/03/18/163210318.db2.gz DYCFXNLEWRYJAC-CQSZACIVSA-N 0 0 294.351 2.614 20 5 CFBDRN CCc1cnccc1CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000352676650 163221135 /nfs/dbraw/zinc/22/11/35/163221135.db2.gz JICZYKKLXZPFNU-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H](C)c2ccon2)ccc1[N+](=O)[O-] ZINC000352882469 163258588 /nfs/dbraw/zinc/25/85/88/163258588.db2.gz JGSAJOUCYOJEPL-VIFPVBQESA-N 0 0 290.279 2.774 20 5 CFBDRN C[C@@H]1OCC[C@H]1SCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000352901510 163266711 /nfs/dbraw/zinc/26/67/11/163266711.db2.gz MDIUSGIRFRQXMK-QPUJVOFHSA-N 0 0 293.348 2.882 20 5 CFBDRN Cc1cc(NC(=O)N[C@H](C)c2cn[nH]c2)ccc1[N+](=O)[O-] ZINC000352911234 163267949 /nfs/dbraw/zinc/26/79/49/163267949.db2.gz GEQIPFKIDBKITL-SECBINFHSA-N 0 0 289.295 2.509 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCCOC(C)(C)C ZINC000352930968 163272087 /nfs/dbraw/zinc/27/20/87/163272087.db2.gz NUTMFTVSZUEOHH-UHFFFAOYSA-N 0 0 255.318 2.695 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000353233005 163324647 /nfs/dbraw/zinc/32/46/47/163324647.db2.gz FEKUYLVXRYFHSI-VXGBXAGGSA-N 0 0 291.351 2.830 20 5 CFBDRN COc1c(C(=O)Nc2ccc(F)cc2)cccc1[N+](=O)[O-] ZINC000353353343 163349535 /nfs/dbraw/zinc/34/95/35/163349535.db2.gz YAVNZDRCFJYFEW-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1ccc(NC(=O)C[C@@H]2CCC(=O)[C@@H]2C)cc1[N+](=O)[O-] ZINC000353357324 163352496 /nfs/dbraw/zinc/35/24/96/163352496.db2.gz UVQQZIJOHMEZPR-MNOVXSKESA-N 0 0 290.319 2.847 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@]1(C)CCOC1 ZINC000353444549 163368098 /nfs/dbraw/zinc/36/80/98/163368098.db2.gz ZHJQZYFHLPFVEH-CQSZACIVSA-N 0 0 280.324 2.580 20 5 CFBDRN CC(C)n1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)n1 ZINC000353664718 163419110 /nfs/dbraw/zinc/41/91/10/163419110.db2.gz QFGXAAHQBFONGN-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCSC1 ZINC000353918764 163481239 /nfs/dbraw/zinc/48/12/39/163481239.db2.gz ZPILJRSKBVTIKJ-JTQLQIEISA-N 0 0 295.364 2.784 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C1CC1 ZINC000353943885 163487730 /nfs/dbraw/zinc/48/77/30/163487730.db2.gz XPJTWLPYBLTPQB-UHFFFAOYSA-N 0 0 263.297 2.783 20 5 CFBDRN CC[C@@H]1CCCCN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000360843611 287017404 /nfs/dbraw/zinc/01/74/04/287017404.db2.gz ZQKOXAXIGLENTE-LLVKDONJSA-N 0 0 266.345 2.889 20 5 CFBDRN C[C@@H](N[C@@H](C)c1nccn1C)c1ccccc1[N+](=O)[O-] ZINC000341872770 287052750 /nfs/dbraw/zinc/05/27/50/287052750.db2.gz FMLDXPZTRFSUMF-MNOVXSKESA-N 0 0 274.324 2.740 20 5 CFBDRN CC[C@@H]1CN(c2cccc(OC)c2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420641794 287213167 /nfs/dbraw/zinc/21/31/67/287213167.db2.gz ZNWGXITZNVKPFT-TXEJJXNPSA-N 0 0 294.351 2.997 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)[C@@H]1C ZINC000284193532 196000423 /nfs/dbraw/zinc/00/04/23/196000423.db2.gz ZCNIOULTCNLQSN-RKDXNWHRSA-N 0 0 295.314 2.577 20 5 CFBDRN CC(C)(C)C[C@H](CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000284200375 196001707 /nfs/dbraw/zinc/00/17/07/196001707.db2.gz LEPZNOHURWYRPA-LBPRGKRZSA-N 0 0 294.351 2.964 20 5 CFBDRN CCCCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000284212729 196004978 /nfs/dbraw/zinc/00/49/78/196004978.db2.gz ZIRXARYAJDTQEW-UHFFFAOYSA-N 0 0 269.276 2.964 20 5 CFBDRN COc1ccc(C(=O)COc2ccc([N+](=O)[O-])cc2)cc1 ZINC000005107931 170066751 /nfs/dbraw/zinc/06/67/51/170066751.db2.gz DAWZHPRSUMPTST-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN Cc1ccc(C(=O)N2CCCC[C@H]2C)cc1[N+](=O)[O-] ZINC000005547399 170111606 /nfs/dbraw/zinc/11/16/06/170111606.db2.gz RACNQNOCDQHQSM-LLVKDONJSA-N 0 0 262.309 2.918 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000005673353 170126608 /nfs/dbraw/zinc/12/66/08/170126608.db2.gz CZPGOZVPCXIUJB-TXEJJXNPSA-N 0 0 276.336 2.642 20 5 CFBDRN CCC(CC)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000006309476 170190086 /nfs/dbraw/zinc/19/00/86/170190086.db2.gz XNAQPAIWJPVEFM-JXMROGBWSA-N 0 0 262.309 2.913 20 5 CFBDRN Nc1ccc(C(=O)Nc2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000007639026 170295126 /nfs/dbraw/zinc/29/51/26/170295126.db2.gz DCTGTZPLLUVQTI-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN Cc1c(NCC(=O)Nc2ccccc2)cccc1[N+](=O)[O-] ZINC000007975132 170311038 /nfs/dbraw/zinc/31/10/38/170311038.db2.gz KTYRACQCQSNELC-UHFFFAOYSA-N 0 0 285.303 2.954 20 5 CFBDRN CCCCCNc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000008055015 170316788 /nfs/dbraw/zinc/31/67/88/170316788.db2.gz PLLVIWNISNWKOH-UHFFFAOYSA-N 0 0 286.353 2.600 20 5 CFBDRN CC(=O)OCCCS/C=C/c1ccc([N+](=O)[O-])o1 ZINC000284359515 196038399 /nfs/dbraw/zinc/03/83/99/196038399.db2.gz WOQJKUPUBUFLCZ-VMPITWQZSA-N 0 0 271.294 2.845 20 5 CFBDRN COC(=O)C1CCN([C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000008470832 170336727 /nfs/dbraw/zinc/33/67/27/170336727.db2.gz IVDAMQVVGUBOCX-LLVKDONJSA-N 0 0 292.335 2.541 20 5 CFBDRN CC[C@H](C)NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000008480969 170337401 /nfs/dbraw/zinc/33/74/01/170337401.db2.gz OIVAGUFYJPXDOH-QMMMGPOBSA-N 0 0 268.338 2.845 20 5 CFBDRN CCc1ccc(OCCOCC(F)F)c([N+](=O)[O-])c1 ZINC000284366896 196040296 /nfs/dbraw/zinc/04/02/96/196040296.db2.gz XFDSQNQCMKJQQK-UHFFFAOYSA-N 0 0 275.251 2.818 20 5 CFBDRN Cc1nn(CC(=O)c2cc(C)ccc2C)c(C)c1[N+](=O)[O-] ZINC000008985891 170360478 /nfs/dbraw/zinc/36/04/78/170360478.db2.gz RDOZGLRUAFEDCM-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)CCCCCF)cc1 ZINC000284371279 196041788 /nfs/dbraw/zinc/04/17/88/196041788.db2.gz XLXGNUXOUVBSKA-UHFFFAOYSA-N 0 0 275.301 2.508 20 5 CFBDRN CC(C)(C)C[C@H](CC(=O)[O-])[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000284401805 196046934 /nfs/dbraw/zinc/04/69/34/196046934.db2.gz ZRVYUYMILGPOBV-LBPRGKRZSA-N 0 0 294.351 2.964 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C)N(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413269934 288493424 /nfs/dbraw/zinc/49/34/24/288493424.db2.gz URKRRNFKCSBPHQ-OPRDCNLKSA-N 0 0 267.304 3.000 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1ccccc1[N+](=O)[O-] ZINC000268039946 287249241 /nfs/dbraw/zinc/24/92/41/287249241.db2.gz NYYBWOKWEZUOQR-WDEREUQCSA-N 0 0 250.298 2.599 20 5 CFBDRN C[C@H](NCc1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000020123807 171004717 /nfs/dbraw/zinc/00/47/17/171004717.db2.gz DEONMBBYEPRLNL-NSHDSACASA-N 0 0 257.293 2.841 20 5 CFBDRN C[C@H](NCc1ccccc1[N+](=O)[O-])c1ccccn1 ZINC000020123813 171005812 /nfs/dbraw/zinc/00/58/12/171005812.db2.gz IUTCQFVPHJMGNU-NSHDSACASA-N 0 0 257.293 2.841 20 5 CFBDRN Cc1c(C(=O)Nc2ccc(O)cc2)cccc1[N+](=O)[O-] ZINC000020211370 171074639 /nfs/dbraw/zinc/07/46/39/171074639.db2.gz ZBSJYKYTTKXLHF-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])Nc1ccc(O)cc1 ZINC000020211523 171074774 /nfs/dbraw/zinc/07/47/74/171074774.db2.gz KHRKIEGSPPJZHI-BJMVGYQFSA-N 0 0 284.271 2.952 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)c(C)c1 ZINC000020448487 171207595 /nfs/dbraw/zinc/20/75/95/171207595.db2.gz IJHWBQWRFWJEDN-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN Cc1cccc(N2CCC[C@@H]([C@@H](C)O)C2)c1[N+](=O)[O-] ZINC000302463337 292558981 /nfs/dbraw/zinc/55/89/81/292558981.db2.gz ARQIEBUZGWDJGF-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN O=[N+]([O-])c1cccc(CSc2nnc(C3CC3)[nH]2)c1 ZINC000022545902 171390663 /nfs/dbraw/zinc/39/06/63/171390663.db2.gz ZZBJYYGSLZYCRT-UHFFFAOYSA-N 0 0 276.321 2.883 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000048915287 287257387 /nfs/dbraw/zinc/25/73/87/287257387.db2.gz JPUXGEGYBBBRNB-ZWNOBZJWSA-N 0 0 292.335 2.801 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000505600909 287256487 /nfs/dbraw/zinc/25/64/87/287256487.db2.gz BEJIRXKAZUXHQA-GHMZBOCLSA-N 0 0 282.315 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCO)c(Cc2ccccc2)c1 ZINC000031767686 171646033 /nfs/dbraw/zinc/64/60/33/171646033.db2.gz CXZUHSKVXAUZDB-UHFFFAOYSA-N 0 0 273.288 2.557 20 5 CFBDRN Cc1cccc(N2CC[C@@H]([C@H]3CCOC3)C2)c1[N+](=O)[O-] ZINC000364255811 292560945 /nfs/dbraw/zinc/56/09/45/292560945.db2.gz OOOOQSAYTPSMEX-OLZOCXBDSA-N 0 0 276.336 2.766 20 5 CFBDRN CC(C)c1noc(OCc2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000284951844 196162775 /nfs/dbraw/zinc/16/27/75/196162775.db2.gz AJNUQLVDQRXOEA-UHFFFAOYSA-N 0 0 299.233 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCO2)c2cccnc21 ZINC000035084568 171972079 /nfs/dbraw/zinc/97/20/79/171972079.db2.gz SILDJARKCJIBQA-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN O=C1CCC(COc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000285066328 196188828 /nfs/dbraw/zinc/18/88/28/196188828.db2.gz CJMKFWKNYLIXSG-UHFFFAOYSA-N 0 0 267.256 2.872 20 5 CFBDRN COC(=O)[C@H](CC(C)C)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000285172975 196212928 /nfs/dbraw/zinc/21/29/28/196212928.db2.gz MKEKEIQYGOTCNQ-AWEZNQCLSA-N 0 0 294.351 2.614 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CC=CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000285203166 196220497 /nfs/dbraw/zinc/22/04/97/196220497.db2.gz BJWTVZQTSVGHOG-MWLCHTKSSA-N 0 0 275.308 2.839 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1ccccc1[N+](=O)[O-] ZINC000035741132 172395036 /nfs/dbraw/zinc/39/50/36/172395036.db2.gz VHXRUMSYYWORFV-MRVPVSSYSA-N 0 0 255.295 2.639 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000036749339 172676425 /nfs/dbraw/zinc/67/64/25/172676425.db2.gz GTLMBSRFTYEPEM-WPRPVWTQSA-N 0 0 252.318 2.627 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000036980189 172847448 /nfs/dbraw/zinc/84/74/48/172847448.db2.gz QMVYENUZJSRZDL-SMDDNHRTSA-N 0 0 294.351 2.833 20 5 CFBDRN CCCCN(CC)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286069 173092699 /nfs/dbraw/zinc/09/26/99/173092699.db2.gz SGBIFHIPTAIXKI-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCc1cccc(O)c1 ZINC000038032270 174027888 /nfs/dbraw/zinc/02/78/88/174027888.db2.gz XBIFCTWASRDRRB-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CCOc1cccc(NCCC2(O)CCC2)c1[N+](=O)[O-] ZINC000286140989 196509193 /nfs/dbraw/zinc/50/91/93/196509193.db2.gz AIYVRMHXMJCANR-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccccc2Cl)nc1 ZINC000040454391 174267255 /nfs/dbraw/zinc/26/72/55/174267255.db2.gz YYCUOHPFGXEMCR-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000040676179 174373830 /nfs/dbraw/zinc/37/38/30/174373830.db2.gz WAMKWBLPNVQSPD-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN CN(c1ccc(Br)cc1[N+](=O)[O-])C1CC1 ZINC000042376816 174703417 /nfs/dbraw/zinc/70/34/17/174703417.db2.gz FQPRGWNNYDHBKK-UHFFFAOYSA-N 0 0 271.114 2.956 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000043342523 175015735 /nfs/dbraw/zinc/01/57/35/175015735.db2.gz GSQCMYTUVBZOSV-QMMMGPOBSA-N 0 0 299.714 2.501 20 5 CFBDRN CCN(C(=O)Nc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000043346395 175017483 /nfs/dbraw/zinc/01/74/83/175017483.db2.gz FILBSNIJVBKEJR-UHFFFAOYSA-N 0 0 263.297 2.919 20 5 CFBDRN COC(=O)[C@H](CC(C)C)Nc1ccc([N+](=O)[O-])cc1 ZINC000043436258 175045351 /nfs/dbraw/zinc/04/53/51/175045351.db2.gz CFWSVEFZHAWMIC-LBPRGKRZSA-N 0 0 266.297 2.594 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1Cc1c(Cl)cccc1Cl ZINC000043669766 175086922 /nfs/dbraw/zinc/08/69/22/175086922.db2.gz ZZQGNFPYUDTXMJ-UHFFFAOYSA-N 0 0 287.106 2.729 20 5 CFBDRN C[C@@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000043842928 175115422 /nfs/dbraw/zinc/11/54/22/175115422.db2.gz VVECRMFKLVDOOZ-SNVBAGLBSA-N 0 0 277.324 2.535 20 5 CFBDRN COCCCCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000044349140 175166004 /nfs/dbraw/zinc/16/60/04/175166004.db2.gz VHJSRQIVQRCIPR-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN COC[C@@H](C)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000044477191 175178504 /nfs/dbraw/zinc/17/85/04/175178504.db2.gz PPIVLQAQYNTQAM-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN CCOC[C@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000044726062 175237940 /nfs/dbraw/zinc/23/79/40/175237940.db2.gz ZSDSWVSSZMHWDB-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1cc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)on1 ZINC000044986321 175284485 /nfs/dbraw/zinc/28/44/85/175284485.db2.gz LJCBIKYESLKLEK-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN CCc1ccc(NCc2ccc(C(N)=O)cc2[N+](=O)[O-])cc1 ZINC000045886633 175385281 /nfs/dbraw/zinc/38/52/81/175385281.db2.gz HPMXSELOGASBNP-UHFFFAOYSA-N 0 0 299.330 2.868 20 5 CFBDRN Cn1cc(CNc2c(Cl)cccc2[N+](=O)[O-])cn1 ZINC000050352132 175514590 /nfs/dbraw/zinc/51/45/90/175514590.db2.gz KHWZFQOWAMTIJZ-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=C(NC1CC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000050536075 175517105 /nfs/dbraw/zinc/51/71/05/175517105.db2.gz HTZRXLNIXLDNPU-UHFFFAOYSA-N 0 0 289.316 2.611 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])cc2Cl)n(C)n1 ZINC000286362795 196580485 /nfs/dbraw/zinc/58/04/85/196580485.db2.gz HXABUVUHQKPPAL-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000286380695 196585742 /nfs/dbraw/zinc/58/57/42/196585742.db2.gz MTQCWPCXVJZEML-NSHDSACASA-N 0 0 278.308 2.636 20 5 CFBDRN CCOC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000070929833 176012726 /nfs/dbraw/zinc/01/27/26/176012726.db2.gz RUXPZBLTAIHVLK-SNVBAGLBSA-N 0 0 298.364 2.545 20 5 CFBDRN Cc1ncsc1CNc1ncc([N+](=O)[O-])cc1C ZINC000071213217 176084791 /nfs/dbraw/zinc/08/47/91/176084791.db2.gz PAPJJPWXZTUXAP-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CO[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000072930995 176441730 /nfs/dbraw/zinc/44/17/30/176441730.db2.gz YQCQPSUKIGRGCN-LBPRGKRZSA-N 0 0 295.339 2.777 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)(C)C ZINC000073220065 176462341 /nfs/dbraw/zinc/46/23/41/176462341.db2.gz AZJAKSBRHUYSPC-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1ncc(CNc2ncc([N+](=O)[O-])cc2F)s1 ZINC001154792685 782022588 /nfs/dbraw/zinc/02/25/88/782022588.db2.gz UEOFFZNGSXLGMD-UHFFFAOYSA-N 0 0 268.273 2.506 20 5 CFBDRN O=C(CCNc1ccc(F)cc1[N+](=O)[O-])N1CCCCC1 ZINC000076840105 177115098 /nfs/dbraw/zinc/11/50/98/177115098.db2.gz MWXNQDDJEGYXLM-UHFFFAOYSA-N 0 0 295.314 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCc2ccon2)cc1 ZINC000077414203 177265279 /nfs/dbraw/zinc/26/52/79/177265279.db2.gz OGQSZSKWHLRYAN-UHFFFAOYSA-N 0 0 280.305 2.895 20 5 CFBDRN CCC1(CNC(=O)N(C)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000078357887 177335087 /nfs/dbraw/zinc/33/50/87/177335087.db2.gz QUYLGGBSPBIEMY-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC[C@H]1C ZINC000078438036 177341552 /nfs/dbraw/zinc/34/15/52/177341552.db2.gz XOFVOQGDKRHNJG-ZWNOBZJWSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(NCc1cc(F)ccc1F)c1ccc([N+](=O)[O-])cc1 ZINC000078508778 177347898 /nfs/dbraw/zinc/34/78/98/177347898.db2.gz ZJWILSCZFWTSRD-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCSCCCO)c(Cl)c1 ZINC000078529111 177350509 /nfs/dbraw/zinc/35/05/09/177350509.db2.gz ZCFYWOVREKMTTI-UHFFFAOYSA-N 0 0 290.772 2.776 20 5 CFBDRN CCC[C@@H](CCO)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000078673470 177369470 /nfs/dbraw/zinc/36/94/70/177369470.db2.gz ICZNWFSTELOVPJ-NSHDSACASA-N 0 0 267.329 2.509 20 5 CFBDRN COC(=O)[C@H](C)S[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000078695138 177372443 /nfs/dbraw/zinc/37/24/43/177372443.db2.gz UQYWNHNUKQRTPB-BDAKNGLRSA-N 0 0 269.322 2.951 20 5 CFBDRN CCO[C@@H](C)c1noc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000078755720 177382107 /nfs/dbraw/zinc/38/21/07/177382107.db2.gz DDQJJLSUADDWFG-VIFPVBQESA-N 0 0 293.279 2.654 20 5 CFBDRN COC(=O)C[C@H](C)SCc1cccc([N+](=O)[O-])c1 ZINC000078816364 177392451 /nfs/dbraw/zinc/39/24/51/177392451.db2.gz UCIXMZBMZXUOTA-VIFPVBQESA-N 0 0 269.322 2.780 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1cccc([N+](=O)[O-])c1 ZINC000078826874 177394641 /nfs/dbraw/zinc/39/46/41/177394641.db2.gz WQMPUSUIKSDUFX-VIFPVBQESA-N 0 0 269.322 2.637 20 5 CFBDRN COC(=O)[C@@H](C)CSc1ccc([N+](=O)[O-])cc1F ZINC000078837634 177396644 /nfs/dbraw/zinc/39/66/44/177396644.db2.gz SMKPRWRRSRQPPI-ZETCQYMHSA-N 0 0 273.285 2.635 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000110510983 287339005 /nfs/dbraw/zinc/33/90/05/287339005.db2.gz IXJWLIPDGICUJS-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000408472922 287361267 /nfs/dbraw/zinc/36/12/67/287361267.db2.gz XUFXFZJHUIOCDU-SECBINFHSA-N 0 0 253.277 2.755 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000425412219 533682038 /nfs/dbraw/zinc/68/20/38/533682038.db2.gz FCTIAGXJODXEAF-SYEHKZFSSA-N 0 0 289.335 2.754 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000430426545 533682187 /nfs/dbraw/zinc/68/21/87/533682187.db2.gz MHVYFOVWKRCHRD-QCZZGDTMSA-N 0 0 289.335 2.754 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCCC2(C)C)n1 ZINC000191667459 227121785 /nfs/dbraw/zinc/12/17/85/227121785.db2.gz WAHRTJTZCKASKH-LLVKDONJSA-N 0 0 294.355 2.510 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H](C)CC2)n1 ZINC000191720593 227137414 /nfs/dbraw/zinc/13/74/14/227137414.db2.gz PIUOMTSRTDYENS-QWRGUYRKSA-N 0 0 294.355 2.510 20 5 CFBDRN Cc1ccc(N[C@@H]2CCC[C@]2(C)CO)c([N+](=O)[O-])c1 ZINC000301474267 227551956 /nfs/dbraw/zinc/55/19/56/227551956.db2.gz MSQQZACPIDPWLN-ZIAGYGMSSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@@H]1CCN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000263746702 287604390 /nfs/dbraw/zinc/60/43/90/287604390.db2.gz RZIBFNYDRRRARA-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN CCCCNc1nc(Cl)nc(SC)c1[N+](=O)[O-] ZINC001154828086 782031217 /nfs/dbraw/zinc/03/12/17/782031217.db2.gz OWASFKOAXRVCEB-UHFFFAOYSA-N 0 0 276.749 2.972 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CC[C@@H](C)O2)c1 ZINC000397896365 270320792 /nfs/dbraw/zinc/32/07/92/270320792.db2.gz ISYMVODUCJHXEZ-MWLCHTKSSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1ccc(N[C@H](C)c2csnn2)c([N+](=O)[O-])c1 ZINC000398288283 270321648 /nfs/dbraw/zinc/32/16/48/270321648.db2.gz HEIXAXUYPNDRJW-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN C[C@@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1csnn1 ZINC000398476864 270322431 /nfs/dbraw/zinc/32/24/31/270322431.db2.gz QDJZOVKVRJAXEE-SSDOTTSWSA-N 0 0 298.755 2.951 20 5 CFBDRN CC1(C)COC[C@@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000313041404 260241202 /nfs/dbraw/zinc/24/12/02/260241202.db2.gz RQYIRWHDMINSMS-ZDUSSCGKSA-N 0 0 287.319 2.980 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)[C@@H](O)CC ZINC000313092133 260246912 /nfs/dbraw/zinc/24/69/12/260246912.db2.gz ADWAAPVSRRCICS-PWSUYJOCSA-N 0 0 256.277 2.695 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(F)(F)C(F)(F)C2)c(F)c1 ZINC000313336450 260284916 /nfs/dbraw/zinc/28/49/16/260284916.db2.gz RUDWQLNXGYNMGU-UHFFFAOYSA-N 0 0 296.195 2.820 20 5 CFBDRN COc1ccc(OC[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000518474817 260435098 /nfs/dbraw/zinc/43/50/98/260435098.db2.gz AKNQLPTVIBOQSS-WDEREUQCSA-N 0 0 281.308 2.797 20 5 CFBDRN COc1cc(CN2CCC[C@@H](F)C2)c([N+](=O)[O-])cc1OC ZINC000518782833 262048517 /nfs/dbraw/zinc/04/85/17/262048517.db2.gz OCRDDZFCDDBOLD-LLVKDONJSA-N 0 0 298.314 2.546 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CCCC1(F)F ZINC000390860788 262355569 /nfs/dbraw/zinc/35/55/69/262355569.db2.gz HURZRLAWIFCNLG-SECBINFHSA-N 0 0 288.298 2.967 20 5 CFBDRN Cc1cnc(NCCc2cccc([N+](=O)[O-])c2)nc1C ZINC000270678806 262990394 /nfs/dbraw/zinc/99/03/94/262990394.db2.gz BYXCCXSYUUZCFT-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CCOC1CC(c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000289038983 263107180 /nfs/dbraw/zinc/10/71/80/263107180.db2.gz JWRGHANTXMSBMX-UHFFFAOYSA-N 0 0 295.320 2.989 20 5 CFBDRN CC[C@H](N[C@@H](C)c1ncn(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000391884399 265024497 /nfs/dbraw/zinc/02/44/97/265024497.db2.gz XNVJMIGJFCSAFC-GWCFXTLKSA-N 0 0 289.339 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CC[N@H+](C)[C@H](C)C2)c1 ZINC000095015704 265285373 /nfs/dbraw/zinc/28/53/73/265285373.db2.gz RKCPXYJXIBEAGJ-NEPJUHHUSA-N 0 0 263.341 2.798 20 5 CFBDRN Cc1ccc(Cn2c(C)ccc([N+](=O)[O-])c2=O)c(C)c1 ZINC000096553242 265373270 /nfs/dbraw/zinc/37/32/70/265373270.db2.gz RCBGVFWDEHDTTF-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN O=C(Nc1nccn1-c1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000192014697 270229039 /nfs/dbraw/zinc/22/90/39/270229039.db2.gz PHNQYRIGYBDBDD-UHFFFAOYSA-N 0 0 298.258 2.626 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc[nH]1 ZINC000193884689 270240638 /nfs/dbraw/zinc/24/06/38/270240638.db2.gz ZNBTWBQBPGAJJE-UHFFFAOYSA-N 0 0 287.319 2.974 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000193823158 270240396 /nfs/dbraw/zinc/24/03/96/270240396.db2.gz SATLFJPWCJGMQV-NSHDSACASA-N 0 0 291.351 2.577 20 5 CFBDRN CC1(NC(=O)COc2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000194856313 270247653 /nfs/dbraw/zinc/24/76/53/270247653.db2.gz DTRLVFVVSVPHFP-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1(C)CCCCC1 ZINC000194851674 270247770 /nfs/dbraw/zinc/24/77/70/270247770.db2.gz AMOXDSVHHZAFFH-UHFFFAOYSA-N 0 0 277.324 2.751 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000194898736 270248034 /nfs/dbraw/zinc/24/80/34/270248034.db2.gz PKGHIFMYNQANJY-JTQLQIEISA-N 0 0 250.298 2.903 20 5 CFBDRN CCC[C@@H](CC)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000195268805 270251976 /nfs/dbraw/zinc/25/19/76/270251976.db2.gz IYOUDPZYFZDJTP-GFCCVEGCSA-N 0 0 279.340 2.973 20 5 CFBDRN CC1(C)COC[C@H]1NCc1c(Cl)cccc1[N+](=O)[O-] ZINC000313048794 270289675 /nfs/dbraw/zinc/28/96/75/270289675.db2.gz YSMAQFYNPHTVNR-GFCCVEGCSA-N 0 0 284.743 2.763 20 5 CFBDRN CCOc1cc(NC[C@H](O)C2CCC2)ccc1[N+](=O)[O-] ZINC000315561035 270292502 /nfs/dbraw/zinc/29/25/02/270292502.db2.gz OFALEBUXSSFROC-ZDUSSCGKSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCCCC1(F)F ZINC000391026059 270306065 /nfs/dbraw/zinc/30/60/65/270306065.db2.gz SFMUEAOBOFDUNV-SNVBAGLBSA-N 0 0 285.294 2.958 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000397117966 270315860 /nfs/dbraw/zinc/31/58/60/270315860.db2.gz JYQFZGWXYWEJAL-GXTWGEPZSA-N 0 0 280.299 2.898 20 5 CFBDRN Cc1cnc(NCc2cnc(C)cc2C)c([N+](=O)[O-])c1 ZINC000397120996 270316105 /nfs/dbraw/zinc/31/61/05/270316105.db2.gz SXCKYIFWEXXUBF-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2c(F)cc([N+](=O)[O-])cc2F)O1 ZINC000397928816 270320851 /nfs/dbraw/zinc/32/08/51/270320851.db2.gz TVADPHQUKKRERP-APPZFPTMSA-N 0 0 272.251 2.852 20 5 CFBDRN C[C@H]1C[C@@H](Nc2c3ccccc3ncc2[N+](=O)[O-])CS1 ZINC000397996155 270321241 /nfs/dbraw/zinc/32/12/41/270321241.db2.gz QHFJRNJUGXOWNJ-VHSXEESVSA-N 0 0 289.360 2.871 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cnc(C)o2)c1 ZINC000398017027 270321575 /nfs/dbraw/zinc/32/15/75/270321575.db2.gz RLUVUACYVOWDHZ-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN Cc1ccc(N[C@@H](C)c2csnn2)c([N+](=O)[O-])c1 ZINC000398288285 270321890 /nfs/dbraw/zinc/32/18/90/270321890.db2.gz HEIXAXUYPNDRJW-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN CNc1c(C(=O)N2C[C@H](C)[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000399668140 270329569 /nfs/dbraw/zinc/32/95/69/270329569.db2.gz MXOYPHDPDJQVPR-GARJFASQSA-N 0 0 291.351 2.753 20 5 CFBDRN C[C@H]1C[C@H](C)N1Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000514683301 270349549 /nfs/dbraw/zinc/34/95/49/270349549.db2.gz RVLKCKGPONSXLT-YUMQZZPRSA-N 0 0 256.252 2.856 20 5 CFBDRN C[N@H+](CCCCCC(=O)[O-])Cc1ccccc1[N+](=O)[O-] ZINC000516187617 270378665 /nfs/dbraw/zinc/37/86/65/270378665.db2.gz GZAPJSXCUOVSDX-UHFFFAOYSA-N 0 0 280.324 2.672 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCc1cccnc1)CCCC2 ZINC000516764643 270387823 /nfs/dbraw/zinc/38/78/23/270387823.db2.gz GRLFAWCELYMVIP-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN CN(Cc1cccc(O)c1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000517074741 270397176 /nfs/dbraw/zinc/39/71/76/270397176.db2.gz DQNSEQJRGRZANR-UHFFFAOYSA-N 0 0 299.330 2.905 20 5 CFBDRN Cc1ccnc(CNC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000517227374 270399990 /nfs/dbraw/zinc/39/99/90/270399990.db2.gz LGVFEVYSFRUTAS-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN CCc1cnc(COc2ccc(OC)cc2[N+](=O)[O-])o1 ZINC000525188421 270473542 /nfs/dbraw/zinc/47/35/42/270473542.db2.gz FETHASLZFCQZRW-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN CC1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000531882731 270846342 /nfs/dbraw/zinc/84/63/42/270846342.db2.gz FSHVYFBOWFXCQC-UHFFFAOYSA-N 0 0 277.324 2.642 20 5 CFBDRN CCc1ccc(C(=O)NC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000532116370 270852861 /nfs/dbraw/zinc/85/28/61/270852861.db2.gz BESBLFHBOJSEOG-UHFFFAOYSA-N 0 0 274.320 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2(C3CC3)CC2)c1 ZINC000532127417 270853374 /nfs/dbraw/zinc/85/33/74/270853374.db2.gz QXOSEJGQZORRGM-UHFFFAOYSA-N 0 0 260.293 2.576 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532138191 270854100 /nfs/dbraw/zinc/85/41/00/270854100.db2.gz BWBUOOGCVCHVNH-SUNKGSAMSA-N 0 0 289.335 2.783 20 5 CFBDRN Cc1cc(C(=O)NC2(C3CC3)CC2)cc([N+](=O)[O-])c1 ZINC000532377377 270858586 /nfs/dbraw/zinc/85/85/86/270858586.db2.gz UZOIVUAQRRPCBH-UHFFFAOYSA-N 0 0 260.293 2.576 20 5 CFBDRN CCn1cncc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000418070535 273781744 /nfs/dbraw/zinc/78/17/44/273781744.db2.gz UPTOPDIXWHECPH-UHFFFAOYSA-N 0 0 288.351 2.836 20 5 CFBDRN CO[C@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000441374902 274080427 /nfs/dbraw/zinc/08/04/27/274080427.db2.gz ICYREKWYPVAFBG-QWRGUYRKSA-N 0 0 282.315 2.733 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1cc2c(cn1)OCCC2 ZINC000376866547 274997676 /nfs/dbraw/zinc/99/76/76/274997676.db2.gz KSUJLNWTMQMQGA-UHFFFAOYSA-N 0 0 299.286 2.567 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC2(CC2)c2ccccc21 ZINC000265953827 275012661 /nfs/dbraw/zinc/01/26/61/275012661.db2.gz FFUNATGSIHEYOX-UHFFFAOYSA-N 0 0 283.287 2.615 20 5 CFBDRN CC(C)(C)OC(=O)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000176958268 275523568 /nfs/dbraw/zinc/52/35/68/275523568.db2.gz OLJWXRSOGJYYBQ-LLVKDONJSA-N 0 0 292.335 2.763 20 5 CFBDRN CC(C)c1ccccc1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000349367270 275755854 /nfs/dbraw/zinc/75/58/54/275755854.db2.gz OGMYZXSLZLBFKB-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CC1(C)CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCS1 ZINC000081521732 275838836 /nfs/dbraw/zinc/83/88/36/275838836.db2.gz CTCJIUBRFOWTGE-UHFFFAOYSA-N 0 0 295.364 2.954 20 5 CFBDRN CC1=CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000278467329 275917439 /nfs/dbraw/zinc/91/74/39/275917439.db2.gz NFYWZVNJWHDNSY-UHFFFAOYSA-N 0 0 258.281 2.628 20 5 CFBDRN CCC[C@@H](CNc1c2c(ccc1[N+](=O)[O-])NCC2)OC ZINC000294984037 276022922 /nfs/dbraw/zinc/02/29/22/276022922.db2.gz PUJATVFIFQEPHM-JTQLQIEISA-N 0 0 279.340 2.790 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000352142318 276041621 /nfs/dbraw/zinc/04/16/21/276041621.db2.gz JCSDJTSIOYXWLC-SECBINFHSA-N 0 0 294.355 2.750 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000187636465 276050807 /nfs/dbraw/zinc/05/08/07/276050807.db2.gz HEPIHUXFRGEYID-GFCCVEGCSA-N 0 0 292.335 2.627 20 5 CFBDRN CC1(C)CCCC[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000094326594 276104175 /nfs/dbraw/zinc/10/41/75/276104175.db2.gz QRMGTTNJLHCTFV-SNVBAGLBSA-N 0 0 250.302 2.766 20 5 CFBDRN CC1(C)CN(c2c(Cl)cccc2[N+](=O)[O-])CC[C@@H]1O ZINC000293182574 276135067 /nfs/dbraw/zinc/13/50/67/276135067.db2.gz TYMCUXDMYRWVJO-NSHDSACASA-N 0 0 284.743 2.845 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])s2)[C@H]1C1CC1 ZINC000290706747 276137028 /nfs/dbraw/zinc/13/70/28/276137028.db2.gz NXYIYBAPAJRCQS-VIFPVBQESA-N 0 0 253.327 2.676 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352355619 276164929 /nfs/dbraw/zinc/16/49/29/276164929.db2.gz IWNIDZNIXPTXFR-QWHCGFSZSA-N 0 0 287.319 2.714 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000356828555 276510531 /nfs/dbraw/zinc/51/05/31/276510531.db2.gz UHAFOTUOJXKIAW-VXGBXAGGSA-N 0 0 264.325 2.907 20 5 CFBDRN CC[C@@H]1CCC[C@H](Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000085066550 276553770 /nfs/dbraw/zinc/55/37/70/276553770.db2.gz FBMYTGBIXQLREG-ZJUUUORDSA-N 0 0 250.302 2.766 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CC[C@H](C)C1 ZINC000133252230 276718456 /nfs/dbraw/zinc/71/84/56/276718456.db2.gz STVGHTBRQKICRB-WCBMZHEXSA-N 0 0 252.318 2.720 20 5 CFBDRN COC(=O)C1CCN(c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000123130816 277053294 /nfs/dbraw/zinc/05/32/94/277053294.db2.gz MUSRHNJUQFLDRR-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN CC(=O)c1ccc(N2CCS[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000134340846 277111617 /nfs/dbraw/zinc/11/16/17/277111617.db2.gz WPFOYADCPGISBA-SECBINFHSA-N 0 0 280.349 2.739 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCOC2(CCC2)C1 ZINC000367934292 277113720 /nfs/dbraw/zinc/11/37/20/277113720.db2.gz GZAIPXBKFCJVJT-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@H](F)C1 ZINC000357709605 277113860 /nfs/dbraw/zinc/11/38/60/277113860.db2.gz VOAPDCGMMJVJDL-JTQLQIEISA-N 0 0 266.272 2.736 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2Cc3ccccc3C2)c1 ZINC000302067293 277162129 /nfs/dbraw/zinc/16/21/29/277162129.db2.gz DBYDEEDXQKVHHB-UHFFFAOYSA-N 0 0 298.298 2.902 20 5 CFBDRN COCC1=CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000274677102 277200558 /nfs/dbraw/zinc/20/05/58/277200558.db2.gz ZRTYDNVXZWYKSB-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000264213346 277231703 /nfs/dbraw/zinc/23/17/03/277231703.db2.gz JKDSRQOHKPJRSY-JTQLQIEISA-N 0 0 266.297 2.839 20 5 CFBDRN CO[C@H]1CCN(c2cc(C)nc3c2cccc3[N+](=O)[O-])C1 ZINC000345105640 277341193 /nfs/dbraw/zinc/34/11/93/277341193.db2.gz JZXTTXSXEMTZIA-NSHDSACASA-N 0 0 287.319 2.677 20 5 CFBDRN CO[C@](C)(CNc1ccc([N+](=O)[O-])c(N)c1F)C1CC1 ZINC000292436160 277357558 /nfs/dbraw/zinc/35/75/58/277357558.db2.gz VJRGAHBVHYZTNJ-CYBMUJFWSA-N 0 0 283.303 2.543 20 5 CFBDRN COc1cc(CN2CCC=C(C)C2)c([N+](=O)[O-])cc1F ZINC000436268359 277376471 /nfs/dbraw/zinc/37/64/71/277376471.db2.gz GMKOVENJQYXSFU-UHFFFAOYSA-N 0 0 280.299 2.895 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@H]2C)c([N+](=O)[O-])cc1F ZINC000127618726 277382178 /nfs/dbraw/zinc/38/21/78/277382178.db2.gz VZTBLUITWIASFL-RKDXNWHRSA-N 0 0 268.288 2.977 20 5 CFBDRN COC(=O)[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C[C@H]1C ZINC000276962565 277397004 /nfs/dbraw/zinc/39/70/04/277397004.db2.gz SLOIYEUQRBLJGM-YPMHNXCESA-N 0 0 292.335 2.539 20 5 CFBDRN CO[C@@H]1CCCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000371734381 277646226 /nfs/dbraw/zinc/64/62/26/277646226.db2.gz NSDVUMYBFQKXIL-LLVKDONJSA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@@H]1CCCCN1c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000286905397 277678190 /nfs/dbraw/zinc/67/81/90/277678190.db2.gz JVDMDZVUNHRRBF-SECBINFHSA-N 0 0 288.307 2.622 20 5 CFBDRN C[C@@H]1CCCN1c1ncc([N+](=O)[O-])cc1Br ZINC000177546578 277701297 /nfs/dbraw/zinc/70/12/97/277701297.db2.gz AVSFBUBGPIFMDI-SSDOTTSWSA-N 0 0 286.129 2.741 20 5 CFBDRN C[C@@H]1CCN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000488122828 277756960 /nfs/dbraw/zinc/75/69/60/277756960.db2.gz IDSAVMOIDCTGSJ-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN CO[C@](C)([C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1)C1CC1 ZINC000413521474 277774707 /nfs/dbraw/zinc/77/47/07/277774707.db2.gz QVJGHAXNJTTXHK-IINYFYTJSA-N 0 0 279.340 2.914 20 5 CFBDRN COc1cc(N2CCC(F)(F)C2)c([N+](=O)[O-])cc1F ZINC000345084266 277824620 /nfs/dbraw/zinc/82/46/20/277824620.db2.gz WYOFRQBXDUEBNB-UHFFFAOYSA-N 0 0 276.214 2.588 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccccc2[N+](=O)[O-])C(C)(C)C1 ZINC000266948540 277825685 /nfs/dbraw/zinc/82/56/85/277825685.db2.gz YRTVZAQZRICKSJ-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]3OCCC[C@@H]23)c([N+](=O)[O-])cc1F ZINC000302575275 277833274 /nfs/dbraw/zinc/83/32/74/277833274.db2.gz RMEJBFZFFPVSFK-IYYTYJHQSA-N 0 0 296.298 2.722 20 5 CFBDRN COc1ccc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)cn1 ZINC000345710401 277860996 /nfs/dbraw/zinc/86/09/96/277860996.db2.gz RCZOCLGAXWXUTM-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@H](C2CC2)O1 ZINC000280496716 277916852 /nfs/dbraw/zinc/91/68/52/277916852.db2.gz OYYILZNNBCEAHK-QMTHXVAHSA-N 0 0 262.309 2.599 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000276566816 277921186 /nfs/dbraw/zinc/92/11/86/277921186.db2.gz GVUWIIXBKDGUSK-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2F)C(C)(C)C1 ZINC000408462791 277922271 /nfs/dbraw/zinc/92/22/71/277922271.db2.gz ANQUITLYIIOCAW-QMMMGPOBSA-N 0 0 253.277 2.754 20 5 CFBDRN C[C@@H]1COCCN(c2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000432330740 277936903 /nfs/dbraw/zinc/93/69/03/277936903.db2.gz FCYOSSNFGCAEQR-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000220073919 277986790 /nfs/dbraw/zinc/98/67/90/277986790.db2.gz YTNSYPBNUMGTTH-SFYZADRCSA-N 0 0 272.251 2.852 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H](C)C2CCCC2)c1[N+](=O)[O-] ZINC000433763734 278001947 /nfs/dbraw/zinc/00/19/47/278001947.db2.gz CGMBVMRTSNPGJV-VIFPVBQESA-N 0 0 294.355 2.750 20 5 CFBDRN CC(C)c1ccc(CN2CC(F)C2)cc1[N+](=O)[O-] ZINC000346315841 278035677 /nfs/dbraw/zinc/03/56/77/278035677.db2.gz AQKFQTWWXHQIRQ-UHFFFAOYSA-N 0 0 252.289 2.872 20 5 CFBDRN CC(C)c1ccc(C[N@@H+]2CCC[C@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000263380313 278035807 /nfs/dbraw/zinc/03/58/07/278035807.db2.gz BMKGMSMSGCKBJR-ZDUSSCGKSA-N 0 0 292.335 2.767 20 5 CFBDRN C[C@@]1(C(=O)N2CCc3c2cccc3[N+](=O)[O-])CC1(F)F ZINC000334984822 278118539 /nfs/dbraw/zinc/11/85/39/278118539.db2.gz CHELDUPBZKXMLN-LBPRGKRZSA-N 0 0 282.246 2.529 20 5 CFBDRN CS[C@@H]1CCCCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000154664980 278143763 /nfs/dbraw/zinc/14/37/63/278143763.db2.gz OVBZNZLNMULIRJ-LLVKDONJSA-N 0 0 267.354 2.712 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1C[C@@H](C)OC(C)(C)C1 ZINC000360954305 278250534 /nfs/dbraw/zinc/25/05/34/278250534.db2.gz QCWSRSBSHZRGQY-SNVBAGLBSA-N 0 0 292.335 2.801 20 5 CFBDRN C[C@H]1CCCCN1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000112963515 278334253 /nfs/dbraw/zinc/33/42/53/278334253.db2.gz PRQPBWHVHFYNIV-JTQLQIEISA-N 0 0 289.335 2.858 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2)[C@@H]1[C@@H]1CCCO1 ZINC000291077593 278343529 /nfs/dbraw/zinc/34/35/29/278343529.db2.gz HRYVSJISSIFVKP-UONOGXRCSA-N 0 0 276.336 2.989 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])nc2)[C@H]1c1cccnc1 ZINC000450540184 278343556 /nfs/dbraw/zinc/34/35/56/278343556.db2.gz OBICSTRUHHISCY-AWEZNQCLSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])nc2)Cc2ccccc2O1 ZINC000488781890 278443083 /nfs/dbraw/zinc/44/30/83/278443083.db2.gz IUYXVTXROCGWPB-NSHDSACASA-N 0 0 285.303 2.777 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000220119334 278482913 /nfs/dbraw/zinc/48/29/13/278482913.db2.gz VQCREHVPLBWJMX-YUMQZZPRSA-N 0 0 271.704 2.623 20 5 CFBDRN C[C@H]1Cc2ccccc2CN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000336021073 278503991 /nfs/dbraw/zinc/50/39/91/278503991.db2.gz DYSATDPXQHGLHS-NSHDSACASA-N 0 0 299.330 2.520 20 5 CFBDRN CCC(C)(C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000441576899 278581692 /nfs/dbraw/zinc/58/16/92/278581692.db2.gz RAXDVKULERVGJU-LBPRGKRZSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CS[C@@H](C)C2)n1 ZINC000413522007 278665502 /nfs/dbraw/zinc/66/55/02/278665502.db2.gz RMFUVVJDYKILSW-UWVGGRQHSA-N 0 0 267.354 2.913 20 5 CFBDRN Cc1cc(N2CC(C)(C)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000408359022 278706429 /nfs/dbraw/zinc/70/64/29/278706429.db2.gz JFHCILICJZXHBU-NSHDSACASA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1cc(N2CCOCC2)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000359843819 278712576 /nfs/dbraw/zinc/71/25/76/278712576.db2.gz RDZXPUQOBYLPBJ-UHFFFAOYSA-N 0 0 290.241 2.759 20 5 CFBDRN Cc1cc(N2CC[C@]3(C)[C@H](C2)C3(F)F)ncc1[N+](=O)[O-] ZINC000292021168 278716785 /nfs/dbraw/zinc/71/67/85/278716785.db2.gz SSLPSKRTLUTMAP-CMPLNLGQSA-N 0 0 283.278 2.780 20 5 CFBDRN CCC[C@@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CCO1 ZINC000270306989 278891276 /nfs/dbraw/zinc/89/12/76/278891276.db2.gz YCLVPSGRXIQPTP-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000335873556 278955904 /nfs/dbraw/zinc/95/59/04/278955904.db2.gz JJHDCCTYGAQQRE-DTORHVGOSA-N 0 0 274.276 2.964 20 5 CFBDRN Cc1ccn(C2CCN(c3ccccc3[N+](=O)[O-])CC2)n1 ZINC000369676511 278977411 /nfs/dbraw/zinc/97/74/11/278977411.db2.gz QCKPGRCFPMVDSS-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN C[C@@H]1[C@@H](C(=O)N2CCCc3c([N+](=O)[O-])cccc32)C1(F)F ZINC000334686345 279075264 /nfs/dbraw/zinc/07/52/64/279075264.db2.gz VBIIBIDMGQEPHX-PELKAZGASA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1nc(C)c(C)c(N2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000301662370 279076132 /nfs/dbraw/zinc/07/61/32/279076132.db2.gz KGNQTJPKYYAYDM-UHFFFAOYSA-N 0 0 298.346 2.873 20 5 CFBDRN Cc1nc(N2CCC[C@@H]([C@@H]3CCOC3)C2)ccc1[N+](=O)[O-] ZINC000436431075 279090323 /nfs/dbraw/zinc/09/03/23/279090323.db2.gz KMLONYCHQWVSBA-CHWSQXEVSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1nc(N2C[C@@H](C)S[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000301672824 279094369 /nfs/dbraw/zinc/09/43/69/279094369.db2.gz RFAWFLXOIWDVLN-RKDXNWHRSA-N 0 0 267.354 2.628 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1ccc([N+](=O)[O-])c2ncccc21 ZINC000413189825 279107224 /nfs/dbraw/zinc/10/72/24/279107224.db2.gz AOVCHJQIGVFKGY-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@]1(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)C[C@H]1c1ccccc1 ZINC000355005272 279160912 /nfs/dbraw/zinc/16/09/12/279160912.db2.gz USNUMHKMBOWMBO-BBRMVZONSA-N 0 0 299.330 2.847 20 5 CFBDRN C[C@@]1(O)CCN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)C1 ZINC000228854939 279173881 /nfs/dbraw/zinc/17/38/81/279173881.db2.gz XNISUTZURRCXAI-LLVKDONJSA-N 0 0 291.134 2.863 20 5 CFBDRN CC(C)N(C(=O)c1csc([N+](=O)[O-])c1)C1CCOCC1 ZINC000078181475 279317025 /nfs/dbraw/zinc/31/70/25/279317025.db2.gz MZJGYESIIADJTE-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN O=C(C1CC(F)(F)C1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000335731640 279735990 /nfs/dbraw/zinc/73/59/90/279735990.db2.gz KLSIKOPWFSADDF-UHFFFAOYSA-N 0 0 282.246 2.529 20 5 CFBDRN CCOC[C@H]1CCCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000266376771 279749588 /nfs/dbraw/zinc/74/95/88/279749588.db2.gz MHZYBVCILNSWCW-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C[C@@H]1C ZINC000168648768 279818581 /nfs/dbraw/zinc/81/85/81/279818581.db2.gz ORSNEFJOQOFIJY-IUCAKERBSA-N 0 0 282.727 2.976 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2CCC[C@@H]2C1)c1ccc([N+](=O)[O-])o1 ZINC000332255909 279913440 /nfs/dbraw/zinc/91/34/40/279913440.db2.gz UBORXEWHGJBKQF-HBNTYKKESA-N 0 0 278.308 2.886 20 5 CFBDRN C[C@H]1CSC[C@@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000343620374 279934443 /nfs/dbraw/zinc/93/44/43/279934443.db2.gz YEWBYWGPJGVPQB-XPUUQOCRSA-N 0 0 273.745 2.807 20 5 CFBDRN C[C@H]1C[C@@H](Nc2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000220073976 279971139 /nfs/dbraw/zinc/97/11/39/279971139.db2.gz YTNSYPBNUMGTTH-YUMQZZPRSA-N 0 0 272.251 2.852 20 5 CFBDRN C[C@H]1C[C@@H](c2noc(-c3ccc([N+](=O)[O-])cc3)n2)CCO1 ZINC000357476223 279977225 /nfs/dbraw/zinc/97/72/25/279977225.db2.gz UEGPEPWHMHYGAT-ONGXEEELSA-N 0 0 289.291 2.927 20 5 CFBDRN C[C@H]1C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278151059 280013533 /nfs/dbraw/zinc/01/35/33/280013533.db2.gz BJHZXWVGYDYTCN-VHSXEESVSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1CC12CC2 ZINC000335558758 280023286 /nfs/dbraw/zinc/02/32/86/280023286.db2.gz KVKVALUVXFBABW-JOYOIKCWSA-N 0 0 272.304 2.673 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)c1cccnc1 ZINC000348906089 280023678 /nfs/dbraw/zinc/02/36/78/280023678.db2.gz FLQSILFZDQZCBD-JTQLQIEISA-N 0 0 283.287 2.581 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000458617253 280037933 /nfs/dbraw/zinc/03/79/33/280037933.db2.gz KIVAMRNAWAKJQH-KGYLQXTDSA-N 0 0 291.351 3.000 20 5 CFBDRN C[C@H]1SCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)[C@H]1C ZINC000278496658 280091223 /nfs/dbraw/zinc/09/12/23/280091223.db2.gz QDSGWRFVRFKDGL-DTWKUNHWSA-N 0 0 292.364 2.801 20 5 CFBDRN C[C@]1(c2ccccc2)CN(c2ccc([N+](=O)[O-])cn2)CCO1 ZINC000301668120 280175019 /nfs/dbraw/zinc/17/50/19/280175019.db2.gz XROVZQALALOGPT-MRXNPFEDSA-N 0 0 299.330 2.742 20 5 CFBDRN CC[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000348048264 280182964 /nfs/dbraw/zinc/18/29/64/280182964.db2.gz KWTUTMUEWTUJQT-SECBINFHSA-N 0 0 276.214 2.666 20 5 CFBDRN Cc1c(NC(=O)N2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000174476115 280243018 /nfs/dbraw/zinc/24/30/18/280243018.db2.gz XHPJRZLOASASFA-VIFPVBQESA-N 0 0 263.297 2.919 20 5 CFBDRN Cc1c(NC(=O)[C@]2(C)CCCCO2)cccc1[N+](=O)[O-] ZINC000459894158 280246900 /nfs/dbraw/zinc/24/69/00/280246900.db2.gz VKACQARXJDHBHE-AWEZNQCLSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1c(Oc2ncccc2[N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000048566151 280251268 /nfs/dbraw/zinc/25/12/68/280251268.db2.gz SXHIMRKDNCZTIU-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H](C)c1ccccc1 ZINC000413001197 280259247 /nfs/dbraw/zinc/25/92/47/280259247.db2.gz KFYFJIMRNQZDRC-NSHDSACASA-N 0 0 272.304 2.869 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000155533625 280302240 /nfs/dbraw/zinc/30/22/40/280302240.db2.gz GTRZZFZLPQXAES-PWSUYJOCSA-N 0 0 262.309 2.903 20 5 CFBDRN CC[C@@H]1CCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000152804094 280311647 /nfs/dbraw/zinc/31/16/47/280311647.db2.gz MSCINCUBJVAPFM-SNVBAGLBSA-N 0 0 289.335 2.716 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC2(C)C)cc([N+](=O)[O-])c1 ZINC000286818506 280352031 /nfs/dbraw/zinc/35/20/31/280352031.db2.gz UVLHURLFRCUDGY-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1C[C@H]2CCC[C@@H]2C1 ZINC000301612381 280360887 /nfs/dbraw/zinc/36/08/87/280360887.db2.gz SFSKYOLNWIEIRI-NXEZZACHSA-N 0 0 250.273 2.970 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCO[C@H]2CCC[C@H]21 ZINC000276584888 280361565 /nfs/dbraw/zinc/36/15/65/280361565.db2.gz IXRJUXQRMDOJFV-HIFRSBDPSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@@H]3CCCC[C@H]32)c(F)c1 ZINC000267037818 280364044 /nfs/dbraw/zinc/36/40/44/280364044.db2.gz OEMHKYPXNQQZOB-HUUCEWRRSA-N 0 0 294.326 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3C[C@@H](F)[C@@H](F)C3)c2c1 ZINC000413317988 280371258 /nfs/dbraw/zinc/37/12/58/280371258.db2.gz RRAMSVRKZXTTAY-TXEJJXNPSA-N 0 0 279.246 2.639 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CC[C@H](F)C1 ZINC000450605319 280377893 /nfs/dbraw/zinc/37/78/93/280377893.db2.gz OJURAEBBRRAIRP-LURJTMIESA-N 0 0 279.193 2.557 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2ccccc2)nc1 ZINC000273649285 280379616 /nfs/dbraw/zinc/37/96/16/280379616.db2.gz UFMVVEUWXQRRLY-ZDUSSCGKSA-N 0 0 270.292 2.726 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3CCC[C@H]32)c(F)c1 ZINC000408472700 280380881 /nfs/dbraw/zinc/38/08/81/280380881.db2.gz WOEGLBUQOKRJQD-LDYMZIIASA-N 0 0 251.261 2.508 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000112010072 280381399 /nfs/dbraw/zinc/38/13/99/280381399.db2.gz RENKHVGCLQCBIL-GFCCVEGCSA-N 0 0 280.299 2.995 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ncnc2cccc(F)c21 ZINC000350690202 280383899 /nfs/dbraw/zinc/38/38/99/280383899.db2.gz IGKRKUIUZDWACC-UHFFFAOYSA-N 0 0 286.222 2.864 20 5 CFBDRN O=c1c(Br)cc([N+](=O)[O-])cn1C1CCCC1 ZINC000273422513 280389275 /nfs/dbraw/zinc/38/92/75/280389275.db2.gz HPJUKLZWIMEOEC-UHFFFAOYSA-N 0 0 287.113 2.634 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCCSC2)n1 ZINC000359092725 280399875 /nfs/dbraw/zinc/39/98/75/280399875.db2.gz GQJDKRYGHNKZLP-JTQLQIEISA-N 0 0 267.354 2.914 20 5 CFBDRN CC(C)[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000294272357 280433697 /nfs/dbraw/zinc/43/36/97/280433697.db2.gz XIAFFXANNSAEHU-CYBMUJFWSA-N 0 0 282.315 2.985 20 5 CFBDRN C[C@H](NC(=O)N[C@@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000049241635 280437076 /nfs/dbraw/zinc/43/70/76/280437076.db2.gz IUEWELUFUSQHMN-UWVGGRQHSA-N 0 0 277.324 2.754 20 5 CFBDRN CCCN(CCC)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000049247272 280437599 /nfs/dbraw/zinc/43/75/99/280437599.db2.gz JWYYPFVQOKZXNV-UHFFFAOYSA-N 0 0 279.340 2.926 20 5 CFBDRN CCCC(C)(C)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000050809148 280442890 /nfs/dbraw/zinc/44/28/90/280442890.db2.gz KQOUKXCNPOHJIZ-UHFFFAOYSA-N 0 0 279.340 2.945 20 5 CFBDRN CC[C@H]1CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000067725543 280473022 /nfs/dbraw/zinc/47/30/22/280473022.db2.gz CRFLXPKXOZHHTO-LBPRGKRZSA-N 0 0 291.351 2.926 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cc2ccccn2c1 ZINC000074048507 280498920 /nfs/dbraw/zinc/49/89/20/280498920.db2.gz ZDCLWEBZNRAAHL-UHFFFAOYSA-N 0 0 295.298 2.778 20 5 CFBDRN Cc1nc(CNC(=O)c2ccc([N+](=O)[O-])cc2)sc1C ZINC000075335186 280510816 /nfs/dbraw/zinc/51/08/16/280510816.db2.gz SSNVBUBFVQDPJR-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000360989562 280531465 /nfs/dbraw/zinc/53/14/65/280531465.db2.gz DFWQKQFNVVCRAO-MWLCHTKSSA-N 0 0 266.345 2.744 20 5 CFBDRN Cc1cncc(NC(=O)c2ccc([N+](=O)[O-])s2)c1 ZINC000079563465 280535510 /nfs/dbraw/zinc/53/55/10/280535510.db2.gz OQIYCABMIAOGQI-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CC(C)(NC(=O)c1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000079630780 280535872 /nfs/dbraw/zinc/53/58/72/280535872.db2.gz LGOXMOMQJPAQCD-UHFFFAOYSA-N 0 0 285.303 2.655 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157952458 288245061 /nfs/dbraw/zinc/24/50/61/288245061.db2.gz RNJWVUOOIGWODP-UWVGGRQHSA-N 0 0 277.324 2.580 20 5 CFBDRN Cc1cc(N2CCSC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000360084631 280565825 /nfs/dbraw/zinc/56/58/25/280565825.db2.gz UIXJLXIDEDKFHZ-VIFPVBQESA-N 0 0 270.329 2.984 20 5 CFBDRN Cc1ccc(C(N)=O)cc1OCc1ccccc1[N+](=O)[O-] ZINC000103463730 280581730 /nfs/dbraw/zinc/58/17/30/280581730.db2.gz QAJXTBJRFSGXBQ-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN C[S@@](=O)c1cccc(NCc2cccc([N+](=O)[O-])c2)c1 ZINC000121778073 280623384 /nfs/dbraw/zinc/62/33/84/280623384.db2.gz VCPKQVVKJJTEED-FQEVSTJZSA-N 0 0 290.344 2.944 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCCOCC1 ZINC000365618463 280656261 /nfs/dbraw/zinc/65/62/61/280656261.db2.gz APKTXACBXCULOT-UHFFFAOYSA-N 0 0 270.716 2.783 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])Nc1ccccc1O ZINC000127512870 280665309 /nfs/dbraw/zinc/66/53/09/280665309.db2.gz WBAUXYVNFLEYSH-MDZDMXLPSA-N 0 0 284.271 2.952 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H](C)S[C@@H](C)C2)n1 ZINC000127856924 280669564 /nfs/dbraw/zinc/66/95/64/280669564.db2.gz XWXUTZXBZQNQRS-UWVGGRQHSA-N 0 0 267.354 2.628 20 5 CFBDRN C[C@@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])CCC1=O ZINC000286781383 288257408 /nfs/dbraw/zinc/25/74/08/288257408.db2.gz IXONTMREIHJTEY-MRVPVSSYSA-N 0 0 268.700 2.664 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccncc2F)c1[N+](=O)[O-] ZINC000132072184 280726199 /nfs/dbraw/zinc/72/61/99/280726199.db2.gz BBUOTCVDNIBLNU-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2ccc(NC)c([N+](=O)[O-])c2)C1 ZINC000134973369 280765524 /nfs/dbraw/zinc/76/55/24/280765524.db2.gz DPPDXWKFYAOJJZ-JTQLQIEISA-N 0 0 277.324 2.509 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccoc2)c(Br)c1 ZINC000135763651 280778492 /nfs/dbraw/zinc/77/84/92/280778492.db2.gz QACNGFPQHQCCPT-UHFFFAOYSA-N 0 0 298.096 2.957 20 5 CFBDRN CC[C@@H](C)NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000184363018 280826662 /nfs/dbraw/zinc/82/66/62/280826662.db2.gz GLMINUXOSMQQCR-MRVPVSSYSA-N 0 0 285.731 2.846 20 5 CFBDRN COc1cccc(NC[C@]2(C)CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000185521068 280836805 /nfs/dbraw/zinc/83/68/05/280836805.db2.gz QNNHSWDJCKYHGS-ZFWWWQNUSA-N 0 0 294.351 2.957 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1(C)C ZINC000219362117 280933338 /nfs/dbraw/zinc/93/33/38/280933338.db2.gz VZWQTUQBEILPSW-NWDGAFQWSA-N 0 0 290.323 2.697 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@H](C)[C@H]1C ZINC000246877684 281000104 /nfs/dbraw/zinc/00/01/04/281000104.db2.gz LRDBJLUKCTWQHJ-IMSIIYSGSA-N 0 0 291.351 2.853 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](c3nccn3C)C2)c1 ZINC000339362178 281013474 /nfs/dbraw/zinc/01/34/74/281013474.db2.gz NXRRQJHWTSLZOK-LBPRGKRZSA-N 0 0 286.335 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCOC(C)(C)C1 ZINC000153918116 281017091 /nfs/dbraw/zinc/01/70/91/281017091.db2.gz IBEAWJRYCWOOBU-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)[C@@H]2CCCC[C@H]21 ZINC000248405528 281020681 /nfs/dbraw/zinc/02/06/81/281020681.db2.gz AQRVBNKWDTUBLI-OUAUKWLOSA-N 0 0 278.308 2.839 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)Nc2ccncc2[N+](=O)[O-])C[C@H]1C ZINC000248588196 281026899 /nfs/dbraw/zinc/02/68/99/281026899.db2.gz GPYPOMTWJWKITP-GMTAPVOTSA-N 0 0 292.339 2.936 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@H](O)CC2)c2cccnc21 ZINC000253144108 281079690 /nfs/dbraw/zinc/07/96/90/281079690.db2.gz YRFHXABAHNRBOX-XYPYZODXSA-N 0 0 287.319 2.858 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000255002752 281084023 /nfs/dbraw/zinc/08/40/23/281084023.db2.gz JODVAFPSAMXKED-LAUAKBEESA-N 0 0 297.314 2.880 20 5 CFBDRN CN(C(=O)/C=C\c1cccc([N+](=O)[O-])c1)[C@@H]1CCSC1 ZINC000255084983 281086814 /nfs/dbraw/zinc/08/68/14/281086814.db2.gz RUMRKKCBWMCJDS-CFHLNLSMSA-N 0 0 292.360 2.572 20 5 CFBDRN Cc1cc(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])ccn1 ZINC000255163385 281089163 /nfs/dbraw/zinc/08/91/63/281089163.db2.gz PDCURJOCXHEZBI-SREVYHEPSA-N 0 0 283.287 2.950 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000156456938 281093838 /nfs/dbraw/zinc/09/38/38/281093838.db2.gz ORXVCCQVXAZSGF-GXFFZTMASA-N 0 0 262.309 2.903 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000268137142 281094470 /nfs/dbraw/zinc/09/44/70/281094470.db2.gz PAVCHWORSOUVOI-WCBMZHEXSA-N 0 0 268.338 2.965 20 5 CFBDRN CN(C(=O)/C=C\c1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000255382162 281096328 /nfs/dbraw/zinc/09/63/28/281096328.db2.gz HZUBFKCNRJXDJP-HJWRWDBZSA-N 0 0 283.287 2.666 20 5 CFBDRN C[C@@H]1CCCN1C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255893432 281109135 /nfs/dbraw/zinc/10/91/35/281109135.db2.gz VMTVCAWWSTWTCL-SKVAFPRGSA-N 0 0 260.293 2.619 20 5 CFBDRN CC[C@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000264735657 288300216 /nfs/dbraw/zinc/30/02/16/288300216.db2.gz JQFHHUVKWLUJMA-MFKMUULPSA-N 0 0 292.335 2.801 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000346198747 281115538 /nfs/dbraw/zinc/11/55/38/281115538.db2.gz RGRZMUMSLDUMQH-LBPRGKRZSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@H](CCCO)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000294909226 281140446 /nfs/dbraw/zinc/14/04/46/281140446.db2.gz IEKPRNXXOOAKCD-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@@H]1C1CC1 ZINC000334888305 281157529 /nfs/dbraw/zinc/15/75/29/281157529.db2.gz CROUVVIIVJXOIB-GFCCVEGCSA-N 0 0 285.303 2.701 20 5 CFBDRN CC[C@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000432331302 281175436 /nfs/dbraw/zinc/17/54/36/281175436.db2.gz LIVDLKPRNMULEQ-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN CC[C@H]1CN(c2cccc(OC)c2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420641793 281178177 /nfs/dbraw/zinc/17/81/77/281178177.db2.gz ZNWGXITZNVKPFT-RYUDHWBXSA-N 0 0 294.351 2.997 20 5 CFBDRN CCCc1nsc(Oc2cccnc2[N+](=O)[O-])n1 ZINC000359839908 281182480 /nfs/dbraw/zinc/18/24/80/281182480.db2.gz LVUSURXZUFGMAH-UHFFFAOYSA-N 0 0 266.282 2.586 20 5 CFBDRN CC(C)[C@@H](CO)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000394091215 281194616 /nfs/dbraw/zinc/19/46/16/281194616.db2.gz NWLUPTBIZDQERY-LLVKDONJSA-N 0 0 289.335 2.819 20 5 CFBDRN Cc1nc(C)c(NCc2ccccc2[N+](=O)[O-])nc1C ZINC000396922469 281198757 /nfs/dbraw/zinc/19/87/57/281198757.db2.gz KHXUKLBQGIPRCG-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1nc(NC[C@@H](C)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000396928116 281198964 /nfs/dbraw/zinc/19/89/64/281198964.db2.gz SKFYSIKUTRELKS-ZETCQYMHSA-N 0 0 277.246 2.721 20 5 CFBDRN CCc1nn(C)c(NC[C@@H](C)CC(F)(F)F)c1[N+](=O)[O-] ZINC000396905074 281199260 /nfs/dbraw/zinc/19/92/60/281199260.db2.gz JCSFZNCHNNNZOT-ZETCQYMHSA-N 0 0 294.277 2.891 20 5 CFBDRN Cc1c(N[C@@H]2CCN(C(C)(C)C)C2=O)cccc1[N+](=O)[O-] ZINC000424576454 281228226 /nfs/dbraw/zinc/22/82/26/281228226.db2.gz ZCOMAUOPTOFDIV-GFCCVEGCSA-N 0 0 291.351 2.715 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000425661109 281230053 /nfs/dbraw/zinc/23/00/53/281230053.db2.gz KPURTOBCMZWMLZ-DOFRTFSJSA-N 0 0 291.307 2.523 20 5 CFBDRN CCc1ccnc(CNC(=O)c2c(C)cccc2[N+](=O)[O-])c1 ZINC000437755697 281238029 /nfs/dbraw/zinc/23/80/29/281238029.db2.gz DXERORYHAKUSLJ-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1OC[C@H]2CCC[C@@H]12 ZINC000366063890 281261345 /nfs/dbraw/zinc/26/13/45/281261345.db2.gz SBDCBRRUSPTFOT-JTNHKYCSSA-N 0 0 291.303 2.624 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000491925037 281276028 /nfs/dbraw/zinc/27/60/28/281276028.db2.gz LKOMILHHGVCNOY-JIVBQCDMSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)CO1 ZINC000515836495 281298286 /nfs/dbraw/zinc/29/82/86/281298286.db2.gz BYNLDEMNLGUHGN-APPZFPTMSA-N 0 0 288.250 2.786 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CS[C@H](C)C1 ZINC000516785759 281305849 /nfs/dbraw/zinc/30/58/49/281305849.db2.gz VJBDFDIHYPUQBU-RKDXNWHRSA-N 0 0 268.338 2.909 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](C2CC2)C1)c1ccc([N+](=O)[O-])cn1 ZINC000520570210 281309964 /nfs/dbraw/zinc/30/99/64/281309964.db2.gz WESDAZWCNFGSKH-NWDGAFQWSA-N 0 0 289.335 2.688 20 5 CFBDRN COc1ccc(CC(=O)N2CCCC2(C)C)cc1[N+](=O)[O-] ZINC000525166511 281312062 /nfs/dbraw/zinc/31/20/62/281312062.db2.gz HPNPCVMJKGRKQW-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN C[C@H](NC(=O)N1[C@@H](C)C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000526881046 281321546 /nfs/dbraw/zinc/32/15/46/281321546.db2.gz XKMOQETWXLSQMY-DCAQKATOSA-N 0 0 277.324 2.848 20 5 CFBDRN COc1cc(C(=O)N2[C@@H](C)C[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000527182141 281326005 /nfs/dbraw/zinc/32/60/05/281326005.db2.gz QPZKVZBRZOWSAO-IUCAKERBSA-N 0 0 278.308 2.535 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1[C@@H](C)C[C@@H]1C ZINC000527320462 281328747 /nfs/dbraw/zinc/32/87/47/281328747.db2.gz YANBVQVLULZAPZ-IUCAKERBSA-N 0 0 279.296 2.618 20 5 CFBDRN Cc1ccccc1C1=CCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000373378831 281337392 /nfs/dbraw/zinc/33/73/92/281337392.db2.gz ONXBGAAKRCPOFM-UHFFFAOYSA-N 0 0 282.303 2.597 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H]2CCCCC2(C)C)c1[N+](=O)[O-] ZINC000192751094 281363657 /nfs/dbraw/zinc/36/36/57/281363657.db2.gz JPJZDSDHBAOOSM-JTQLQIEISA-N 0 0 294.355 2.579 20 5 CFBDRN CC(C)N(Cc1ccco1)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531630239 281436664 /nfs/dbraw/zinc/43/66/64/281436664.db2.gz DECSRVCPCKXHIN-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN CNc1ccc(C(=O)Nc2cnc(C)s2)cc1[N+](=O)[O-] ZINC000532779619 281460089 /nfs/dbraw/zinc/46/00/89/281460089.db2.gz NBUXCDNCABCSEL-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN CC(C)c1cccnc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000533570307 281471472 /nfs/dbraw/zinc/47/14/72/281471472.db2.gz PWMZIGVBNLCLJV-UHFFFAOYSA-N 0 0 286.291 2.761 20 5 CFBDRN Cc1ccnc(N[C@@H]2C[C@H](C)n3ccnc32)c1[N+](=O)[O-] ZINC000450827486 281473262 /nfs/dbraw/zinc/47/32/62/281473262.db2.gz IADIZCRIHBSROA-VHSXEESVSA-N 0 0 273.296 2.613 20 5 CFBDRN CCc1cnc(COc2ccc([N+](=O)[O-])cc2C(C)=O)o1 ZINC000533914611 281475987 /nfs/dbraw/zinc/47/59/87/281475987.db2.gz AINORJZPQWVDHJ-UHFFFAOYSA-N 0 0 290.275 2.927 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000534270751 281479699 /nfs/dbraw/zinc/47/96/99/281479699.db2.gz PJALIVWXACDDTA-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN O=C(NCCCc1cccs1)c1ccc([N+](=O)[O-])o1 ZINC000535829432 281512519 /nfs/dbraw/zinc/51/25/19/281512519.db2.gz LXDMUEALLCOGSG-UHFFFAOYSA-N 0 0 280.305 2.612 20 5 CFBDRN CC(C)(C)CNC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000536009593 281514407 /nfs/dbraw/zinc/51/44/07/281514407.db2.gz ZEWLQDRODQAYCS-UHFFFAOYSA-N 0 0 291.351 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC(C)(C)C1CC1 ZINC000536567259 281522463 /nfs/dbraw/zinc/52/24/63/281522463.db2.gz GJWQLWKJHWVUHW-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H](C)O[C@@H](C)C2)n1 ZINC000536605873 281523815 /nfs/dbraw/zinc/52/38/15/281523815.db2.gz FXBQRFNILOQEED-JGPRNRPPSA-N 0 0 265.313 2.666 20 5 CFBDRN C[C@@H](NCc1nnsc1Cl)c1cccc([N+](=O)[O-])c1 ZINC000537077738 281532258 /nfs/dbraw/zinc/53/22/58/281532258.db2.gz FHCKUGOZUUCWBW-SSDOTTSWSA-N 0 0 298.755 2.951 20 5 CFBDRN C[C@H](NCCOc1ccc([N+](=O)[O-])cc1)c1cscn1 ZINC000540180232 281582857 /nfs/dbraw/zinc/58/28/57/281582857.db2.gz CNLVKNSGFLXWNH-JTQLQIEISA-N 0 0 293.348 2.781 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(CN(C)c2ccccc2[N+](=O)[O-])n1 ZINC000545061877 281678227 /nfs/dbraw/zinc/67/82/27/281678227.db2.gz BBNAHMQTUVTKHF-UWVGGRQHSA-N 0 0 288.307 2.738 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cncnc2C)n1 ZINC000545688734 281691969 /nfs/dbraw/zinc/69/19/69/281691969.db2.gz XMWZLWDCGFRBHG-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1cnc(C)c(N2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000546579565 281712466 /nfs/dbraw/zinc/71/24/66/281712466.db2.gz SAWSJOUSBRCMQR-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CC[C@@H](C)N(C(=O)c1cc([N+](=O)[O-])cn1C)[C@@H](C)CC ZINC000547349511 281734116 /nfs/dbraw/zinc/73/41/16/281734116.db2.gz URLIRQBHBZMBTG-PHIMTYICSA-N 0 0 281.356 2.973 20 5 CFBDRN Cc1csc2c1CN(c1c([N+](=O)[O-])c(C)nn1C)CC2 ZINC000374861256 281743070 /nfs/dbraw/zinc/74/30/70/281743070.db2.gz ZBSHDUHMHPEBGN-UHFFFAOYSA-N 0 0 292.364 2.569 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC3(C2)CCCC3)c1 ZINC000547961652 281761411 /nfs/dbraw/zinc/76/14/11/281761411.db2.gz JXPISTRJDVRVLR-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN COc1ccc(C(=O)NCC2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000548057668 281765839 /nfs/dbraw/zinc/76/58/39/281765839.db2.gz MEBCBZOLNCBGIC-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN Nc1c(F)c(N2C[C@@H]3CCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000548121176 281770189 /nfs/dbraw/zinc/77/01/89/281770189.db2.gz KWVQGFNNQTYOBS-IUCAKERBSA-N 0 0 265.288 2.552 20 5 CFBDRN CNc1ccc(C(=O)N2CCCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000548148681 281772186 /nfs/dbraw/zinc/77/21/86/281772186.db2.gz ZHVNNUYGXKJMLA-NSHDSACASA-N 0 0 291.351 2.899 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000548285740 281776727 /nfs/dbraw/zinc/77/67/27/281776727.db2.gz XRTGBRWCVUQVJA-CHWSQXEVSA-N 0 0 276.336 2.766 20 5 CFBDRN CC1(C)CC(CNC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000548384308 281781807 /nfs/dbraw/zinc/78/18/07/281781807.db2.gz MTVZVWIJOACCBO-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN CCc1csc(NCCOc2ccc([N+](=O)[O-])cc2)n1 ZINC000548480624 281788026 /nfs/dbraw/zinc/78/80/26/281788026.db2.gz ZVZIBPGAQHLNSJ-UHFFFAOYSA-N 0 0 293.348 2.527 20 5 CFBDRN O=C(Nc1cccc2cc[nH]c21)Nc1ccncc1[N+](=O)[O-] ZINC000548488789 281789086 /nfs/dbraw/zinc/78/90/86/281789086.db2.gz DUQPKXGDYHMQKS-UHFFFAOYSA-N 0 0 297.274 2.537 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000548721595 281797511 /nfs/dbraw/zinc/79/75/11/281797511.db2.gz HXYCSPRDHIGTAE-QWRGUYRKSA-N 0 0 294.376 2.568 20 5 CFBDRN C[C@H](C1CCCCC1)N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000549017753 281809466 /nfs/dbraw/zinc/80/94/66/281809466.db2.gz ZZNQEFSHDNHHFO-LLVKDONJSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1nc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)c(C)o1 ZINC000340558315 281813254 /nfs/dbraw/zinc/81/32/54/281813254.db2.gz SPTYTGUXCBVKAR-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN CC(C)C(C)(C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000551275875 281833991 /nfs/dbraw/zinc/83/39/91/281833991.db2.gz MACLYOBUPILVEV-UHFFFAOYSA-N 0 0 256.327 2.821 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H](CO)Cc1ccco1 ZINC000557475969 281963948 /nfs/dbraw/zinc/96/39/48/281963948.db2.gz BNLDCJLMDKELCZ-SNVBAGLBSA-N 0 0 294.282 2.590 20 5 CFBDRN Cc1nc(NC(=O)c2ccc(F)cc2)ccc1[N+](=O)[O-] ZINC000175674552 281968293 /nfs/dbraw/zinc/96/82/93/281968293.db2.gz SUFDDROXOFEBFK-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cnccc1CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000560104327 282015586 /nfs/dbraw/zinc/01/55/86/282015586.db2.gz MTIAHPLBKMZCLS-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@@H]1CCCCO1 ZINC000560438682 282026813 /nfs/dbraw/zinc/02/68/13/282026813.db2.gz DWXOZZGNUMRFTB-QMMMGPOBSA-N 0 0 272.688 2.591 20 5 CFBDRN CC(C)[C@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000560630658 282037696 /nfs/dbraw/zinc/03/76/96/282037696.db2.gz YGPOYJRKOGJQHY-WDEREUQCSA-N 0 0 294.355 2.653 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000561690025 282059580 /nfs/dbraw/zinc/05/95/80/282059580.db2.gz FSVJOOAEEZAXFC-VXGBXAGGSA-N 0 0 288.347 2.992 20 5 CFBDRN CC(C)(C)C(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000562069973 282066999 /nfs/dbraw/zinc/06/69/99/282066999.db2.gz UNZGJQOJRHOBNZ-UHFFFAOYSA-N 0 0 279.340 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc(Cl)cn2)cc1CO ZINC000562353435 282077330 /nfs/dbraw/zinc/07/73/30/282077330.db2.gz VYZOQMIUUQLSSH-UHFFFAOYSA-N 0 0 294.694 2.715 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000416063307 289009120 /nfs/dbraw/zinc/00/91/20/289009120.db2.gz FPPBKIHFAOZLRY-BBBLOLIVSA-N 0 0 280.299 2.850 20 5 CFBDRN O=C(NCc1cccc2cccnc21)c1ccc([N+](=O)[O-])o1 ZINC000062909405 289824701 /nfs/dbraw/zinc/82/47/01/289824701.db2.gz XYXFCLJHIDILFM-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN Cc1conc1NC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000154639862 289900180 /nfs/dbraw/zinc/90/01/80/289900180.db2.gz FOMSQTBRUFVYEO-HWKANZROSA-N 0 0 291.238 2.682 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000270802050 290004405 /nfs/dbraw/zinc/00/44/05/290004405.db2.gz WFHBDCGAOFVUSE-FICVDOATSA-N 0 0 288.347 2.690 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CCO[C@H](C4CC4)C3)ccnc21 ZINC000432174354 290031334 /nfs/dbraw/zinc/03/13/34/290031334.db2.gz GESWYTPSEHZEDI-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@H](C(=O)N1C[C@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000562400390 290040328 /nfs/dbraw/zinc/04/03/28/290040328.db2.gz SQBXRYOZMHSQSC-WOPDTQHZSA-N 0 0 276.336 2.955 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000563022660 290094164 /nfs/dbraw/zinc/09/41/64/290094164.db2.gz FPCMCQJNHRNZOI-DZGCQCFKSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CCC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000563171767 290112471 /nfs/dbraw/zinc/11/24/71/290112471.db2.gz CBCBPOKIBPBCEI-OLZOCXBDSA-N 0 0 294.326 2.843 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CCC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000563171769 290112599 /nfs/dbraw/zinc/11/25/99/290112599.db2.gz CBCBPOKIBPBCEI-STQMWFEESA-N 0 0 294.326 2.843 20 5 CFBDRN C[C@H](O)[C@@H]1CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000301779428 290157968 /nfs/dbraw/zinc/15/79/68/290157968.db2.gz MSIDLVRNPAWGTG-VHSXEESVSA-N 0 0 284.743 2.845 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000563737863 290172001 /nfs/dbraw/zinc/17/20/01/290172001.db2.gz GHVYVPYKHUKVCB-JQWIXIFHSA-N 0 0 294.376 2.710 20 5 CFBDRN C[C@H]1CC[C@H](CNc2nc3c(cc2[N+](=O)[O-])CCCC3)O1 ZINC000564903216 290247374 /nfs/dbraw/zinc/24/73/74/290247374.db2.gz JXOFZEDDEKMZAB-CMPLNLGQSA-N 0 0 291.351 2.848 20 5 CFBDRN CCCN(C(=O)Cc1cccc([N+](=O)[O-])c1)C(C)C ZINC000565161561 290274088 /nfs/dbraw/zinc/27/40/88/290274088.db2.gz HWUBGIOQAMAKFY-UHFFFAOYSA-N 0 0 264.325 2.784 20 5 CFBDRN O=C1CCN(CCc2ccc([N+](=O)[O-])cc2)C2(CCC2)C1 ZINC000565170268 290276106 /nfs/dbraw/zinc/27/61/06/290276106.db2.gz KGENNLKPLQBGJV-UHFFFAOYSA-N 0 0 288.347 2.725 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1conc1C ZINC000565232391 290286072 /nfs/dbraw/zinc/28/60/72/290286072.db2.gz FTJFBELOXKEHOO-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](NC2CC2)C(F)(F)F)cc1 ZINC000565486379 290304634 /nfs/dbraw/zinc/30/46/34/290304634.db2.gz LEKDTFVBQODLDA-SNVBAGLBSA-N 0 0 260.215 2.950 20 5 CFBDRN CSc1ccc(C(=O)N2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000565898192 290333231 /nfs/dbraw/zinc/33/32/31/290333231.db2.gz XLAIKSFVSVPDQS-JTQLQIEISA-N 0 0 298.339 2.891 20 5 CFBDRN CO[C@@H](COc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000566210563 290358642 /nfs/dbraw/zinc/35/86/42/290358642.db2.gz YAJMWHLFPKOMHJ-ZDUSSCGKSA-N 0 0 251.282 2.707 20 5 CFBDRN C[C@H](SC[C@@H]1CN(C)CCO1)c1ccccc1[N+](=O)[O-] ZINC000566214119 290358879 /nfs/dbraw/zinc/35/88/79/290358879.db2.gz DOWULOFUTQBTPN-RYUDHWBXSA-N 0 0 296.392 2.720 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2C[C@@H](C)CCC2=O)c1 ZINC000566213980 290358894 /nfs/dbraw/zinc/35/88/94/290358894.db2.gz CKEYMWVIMJDFQX-XPTSAGLGSA-N 0 0 279.292 2.740 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000336319325 290360184 /nfs/dbraw/zinc/36/01/84/290360184.db2.gz DRLUERSYLSVJIU-NSHDSACASA-N 0 0 274.320 3.000 20 5 CFBDRN CCc1nocc1CSc1ncccc1[N+](=O)[O-] ZINC000566482946 290393654 /nfs/dbraw/zinc/39/36/54/290393654.db2.gz YFKIRRQCGRSVCI-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN C[C@@H](NC(=O)NCCc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000566742083 290414256 /nfs/dbraw/zinc/41/42/56/290414256.db2.gz VDDYQASPXWUJDQ-LLVKDONJSA-N 0 0 293.367 2.871 20 5 CFBDRN CCc1cc(N2CC=CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000567240523 290449526 /nfs/dbraw/zinc/44/95/26/290449526.db2.gz XLICRVKBEUCOIJ-UHFFFAOYSA-N 0 0 296.330 2.990 20 5 CFBDRN CC[C@H](C)Cn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000567384384 290460186 /nfs/dbraw/zinc/46/01/86/290460186.db2.gz DNAYNLCMCVKXQZ-JTQLQIEISA-N 0 0 264.325 2.681 20 5 CFBDRN CO[C@@H](Cn1nc(C)c2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000569212595 290591475 /nfs/dbraw/zinc/59/14/75/290591475.db2.gz VDXZXTMTGVHIQB-AWEZNQCLSA-N 0 0 275.308 2.678 20 5 CFBDRN Cc1cnc(N2CCC[C@](C)(F)C2)c([N+](=O)[O-])c1 ZINC000295021939 292908001 /nfs/dbraw/zinc/90/80/01/292908001.db2.gz UXNDYJYDGIPFMF-LBPRGKRZSA-N 0 0 253.277 2.627 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000358640930 293426254 /nfs/dbraw/zinc/42/62/54/293426254.db2.gz WXVJVHMHDWQEKK-LBPRGKRZSA-N 0 0 294.351 2.789 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]2CCC[C@H]21 ZINC000174333531 293608026 /nfs/dbraw/zinc/60/80/26/293608026.db2.gz WBUWDGFJBVPOTA-SMDDNHRTSA-N 0 0 289.335 2.703 20 5 CFBDRN Cc1sc(C(=O)N2[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000335693424 294071852 /nfs/dbraw/zinc/07/18/52/294071852.db2.gz ZZTUYPMJPIPDGA-BQBZGAKWSA-N 0 0 254.311 2.588 20 5 CFBDRN CO[C@](C)([C@H](C)Nc1ncccc1[N+](=O)[O-])C1CC1 ZINC000413524844 294787260 /nfs/dbraw/zinc/78/72/60/294787260.db2.gz XBDFNPMYVZRTDN-TVQRCGJNSA-N 0 0 265.313 2.605 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@@H](OC)C2)c([N+](=O)[O-])cc1F ZINC000275816071 294995014 /nfs/dbraw/zinc/99/50/14/294995014.db2.gz FDDAREJPPNRXFC-OTYXRUKQSA-N 0 0 298.314 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCCC[C@@H](C)C2)c1 ZINC000186439797 295253450 /nfs/dbraw/zinc/25/34/50/295253450.db2.gz WKCCAMDJXZSIAN-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cccc(N2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000185497051 295304297 /nfs/dbraw/zinc/30/42/97/295304297.db2.gz MOCSFJHFSBRMIL-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN COc1cccc(N2CC(C)(C)OC(C)(C)C2)c1[N+](=O)[O-] ZINC000302697110 295304621 /nfs/dbraw/zinc/30/46/21/295304621.db2.gz WMOZWYVJQCZINF-UHFFFAOYSA-N 0 0 294.351 2.997 20 5 CFBDRN COc1cccc(N2CCO[C@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000279524964 295305520 /nfs/dbraw/zinc/30/55/20/295305520.db2.gz QZWWXHIRSCQHPH-ZDUSSCGKSA-N 0 0 294.351 2.855 20 5 CFBDRN COc1cccc(N2CCOCC3(CCC3)C2)c1[N+](=O)[O-] ZINC000372172189 295305585 /nfs/dbraw/zinc/30/55/85/295305585.db2.gz HNXOSFWXEQRHCR-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN COc1cccc(N2CC[C@H]3OCCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000185593302 295306040 /nfs/dbraw/zinc/30/60/40/295306040.db2.gz BYUUZBOLKZHHNB-DGCLKSJQSA-N 0 0 292.335 2.609 20 5 CFBDRN Nc1ccc(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000283939100 295354305 /nfs/dbraw/zinc/35/43/05/295354305.db2.gz XXLKRRNUVHWRNF-GYSYKLTISA-N 0 0 277.324 2.547 20 5 CFBDRN Nc1ccc(N[C@@H]2CCC[C@@H]3OCC[C@H]32)c([N+](=O)[O-])c1 ZINC000283939111 295354623 /nfs/dbraw/zinc/35/46/23/295354623.db2.gz XXLKRRNUVHWRNF-WDMOLILDSA-N 0 0 277.324 2.547 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCN(c3ccccc3)CC2)c1 ZINC000192761023 295356434 /nfs/dbraw/zinc/35/64/34/295356434.db2.gz MEPIWQIYSMWWLN-UHFFFAOYSA-N 0 0 298.346 2.504 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCSC1 ZINC000492798299 295404042 /nfs/dbraw/zinc/40/40/42/295404042.db2.gz SUGNJMUGZBJLGS-VVEJJEBESA-N 0 0 292.360 2.620 20 5 CFBDRN O=C(C1CC=CC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162169634 295437979 /nfs/dbraw/zinc/43/79/79/295437979.db2.gz XFWCYCYKESCOSB-UHFFFAOYSA-N 0 0 272.304 2.840 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000269835543 297351353 /nfs/dbraw/zinc/35/13/53/297351353.db2.gz GPAKFBDXYWTTEA-GHMZBOCLSA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000178161714 297688233 /nfs/dbraw/zinc/68/82/33/297688233.db2.gz OPBCZMVLDJKWOY-DTWKUNHWSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000280112108 300298827 /nfs/dbraw/zinc/29/88/27/300298827.db2.gz ABSSLINOTCFMAU-TVQRCGJNSA-N 0 0 280.299 2.738 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)Cc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000416075513 300668734 /nfs/dbraw/zinc/66/87/34/300668734.db2.gz FPEDDHCDDFBAED-IJLUTSLNSA-N 0 0 276.336 2.640 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@]3(CCOC3)C2)n1 ZINC000450883201 301203693 /nfs/dbraw/zinc/20/36/93/301203693.db2.gz POAFZCRFECDWIZ-OAHLLOKOSA-N 0 0 291.351 2.614 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCS[C@@H](C)C2)n1 ZINC000408172443 301204841 /nfs/dbraw/zinc/20/48/41/301204841.db2.gz WLUSPGWFCGYJOQ-JTQLQIEISA-N 0 0 267.354 2.548 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H](O)CC(C)(C)C2)n1 ZINC000450861193 301205050 /nfs/dbraw/zinc/20/50/50/301205050.db2.gz YFUAFDDJXGTYET-GFCCVEGCSA-N 0 0 293.367 2.594 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000336323223 301314128 /nfs/dbraw/zinc/31/41/28/301314128.db2.gz ABXQPOUUAHOIQH-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](CO)C[C@H]2C)c1 ZINC000341034793 301854544 /nfs/dbraw/zinc/85/45/44/301854544.db2.gz APJGXTSRXALYMH-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC(C2CCC2)C1 ZINC000336615719 302037364 /nfs/dbraw/zinc/03/73/64/302037364.db2.gz LTNVPVJFOUUSTM-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1ccnc(N2CCCO[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000293879974 302270359 /nfs/dbraw/zinc/27/03/59/302270359.db2.gz BIOSWNHJOLSXAL-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1ccnc(N2CCOC[C@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000292181899 302272850 /nfs/dbraw/zinc/27/28/50/302272850.db2.gz FJHRIVGVOCQLGX-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cnc(N2CCCO[C@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000293930637 302348921 /nfs/dbraw/zinc/34/89/21/302348921.db2.gz FIDQINMEBZAEDB-ZDUSSCGKSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1conc1NC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000446223870 302405104 /nfs/dbraw/zinc/40/51/04/302405104.db2.gz BIPJLGIKOSZOFQ-UHFFFAOYSA-N 0 0 295.682 2.527 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCCO[C@H]2CCC[C@@H]21 ZINC000364868987 304799831 /nfs/dbraw/zinc/79/98/31/304799831.db2.gz IYZPRJQJIDMCJL-JQWIXIFHSA-N 0 0 297.742 2.791 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H]2OCCC[C@H]2C1 ZINC000301699260 304800950 /nfs/dbraw/zinc/80/09/50/304800950.db2.gz CSBKZZRPICVVSU-IINYFYTJSA-N 0 0 280.299 2.739 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)O1 ZINC000413372480 533703697 /nfs/dbraw/zinc/70/36/97/533703697.db2.gz GEOLYPAZNAKANO-UWVGGRQHSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1ccc(OCc2noc(C(C)C)n2)c([N+](=O)[O-])c1 ZINC000159434095 322322859 /nfs/dbraw/zinc/32/28/59/322322859.db2.gz WUSRHECUWPMGSC-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN CCC(CC)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159621579 322325105 /nfs/dbraw/zinc/32/51/05/322325105.db2.gz PXLOFAOLKUVYGO-VIFPVBQESA-N 0 0 297.380 2.775 20 5 CFBDRN Cc1cnc(CSc2ccc([N+](=O)[O-])cn2)o1 ZINC000159617085 322325346 /nfs/dbraw/zinc/32/53/46/322325346.db2.gz RDVCYULYHKNAHS-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NCCC1=CCCC1 ZINC000160266276 322335588 /nfs/dbraw/zinc/33/55/88/322335588.db2.gz WBUQUOYSLZEULF-UHFFFAOYSA-N 0 0 292.310 2.893 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000162168147 322361533 /nfs/dbraw/zinc/36/15/33/322361533.db2.gz ITOFEQCANWECGZ-IUCAKERBSA-N 0 0 266.272 2.747 20 5 CFBDRN CNc1c(C(=O)NCCCC2CC2)cccc1[N+](=O)[O-] ZINC000163198682 322374008 /nfs/dbraw/zinc/37/40/08/322374008.db2.gz JVNXMQJTYBDQPA-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000171167990 322401635 /nfs/dbraw/zinc/40/16/35/322401635.db2.gz FTJGIODDTCWNMX-NEPJUHHUSA-N 0 0 293.367 2.871 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000172454658 322425546 /nfs/dbraw/zinc/42/55/46/322425546.db2.gz FFYKMOLGUWDULW-LLVKDONJSA-N 0 0 264.325 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3CCC(F)(F)C3)no2)nc1 ZINC000569541039 322479269 /nfs/dbraw/zinc/47/92/69/322479269.db2.gz SPKZNEZNMJFXFG-ZETCQYMHSA-N 0 0 296.233 2.943 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H](F)C1 ZINC000569608683 322485143 /nfs/dbraw/zinc/48/51/43/322485143.db2.gz FRAZPEADGLAPQT-MRVPVSSYSA-N 0 0 285.250 2.700 20 5 CFBDRN CSCCCn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000570044517 322510890 /nfs/dbraw/zinc/51/08/90/322510890.db2.gz OIYUCTDNIREYQK-UHFFFAOYSA-N 0 0 278.333 2.663 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](Nc3ccccc3)C2)cn1 ZINC000570315379 322525993 /nfs/dbraw/zinc/52/59/93/322525993.db2.gz UOMUUIXBNKODFL-ZDUSSCGKSA-N 0 0 284.319 2.681 20 5 CFBDRN CC(=O)c1cc(COc2cccc(C)c2[N+](=O)[O-])on1 ZINC000570469603 322534717 /nfs/dbraw/zinc/53/47/17/322534717.db2.gz RUEGQACSSBOIHJ-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN CCc1nocc1COc1c(Cl)cncc1[N+](=O)[O-] ZINC000570528591 322537939 /nfs/dbraw/zinc/53/79/39/322537939.db2.gz FEXZGDQARBIDEP-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN CCCCCn1nc(-c2ccccc2[N+](=O)[O-])oc1=O ZINC000570631457 322542252 /nfs/dbraw/zinc/54/22/52/322542252.db2.gz YTHMSBWADDHOEC-UHFFFAOYSA-N 0 0 277.280 2.602 20 5 CFBDRN CO[C@@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C12CCC2 ZINC000570898156 322557374 /nfs/dbraw/zinc/55/73/74/322557374.db2.gz NXUFABDWAUNRRL-CHWSQXEVSA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1cc(NC(=O)N2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000571413775 322582625 /nfs/dbraw/zinc/58/26/25/322582625.db2.gz LTZVWTOHEMKFAO-JTQLQIEISA-N 0 0 281.287 2.869 20 5 CFBDRN CCCN(CC)c1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000572086510 322606737 /nfs/dbraw/zinc/60/67/37/322606737.db2.gz PCZXQPVWRMBLPK-UHFFFAOYSA-N 0 0 280.324 2.926 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])c(C)c1)[C@@H]1CCOC1 ZINC000573297091 322650968 /nfs/dbraw/zinc/65/09/68/322650968.db2.gz GKFRNYIQTNTNDM-GFCCVEGCSA-N 0 0 250.298 2.518 20 5 CFBDRN CCc1cnc(COc2ccc(OC)c([N+](=O)[O-])c2)o1 ZINC000573386425 322654593 /nfs/dbraw/zinc/65/45/93/322654593.db2.gz YXBVRZSVCPWUHY-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN Cc1ccc(C[NH2+][C@@H]2CCCC[C@@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000573488726 322658317 /nfs/dbraw/zinc/65/83/17/322658317.db2.gz CGCMFXKRERMWPQ-QWHCGFSZSA-N 0 0 292.335 2.636 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSc1nccn1C ZINC000573511236 322659218 /nfs/dbraw/zinc/65/92/18/322659218.db2.gz HRWSCTOQIOIJFT-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN CO[C@@H]1C[C@@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C12CCC2 ZINC000573773545 322668182 /nfs/dbraw/zinc/66/81/82/322668182.db2.gz XRZQGRZAJJMITL-CHWSQXEVSA-N 0 0 291.351 2.692 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)C[C@@H]1CCCC[C@@H]1O ZINC000574530712 322696663 /nfs/dbraw/zinc/69/66/63/322696663.db2.gz DPBJSBYQHKGEOB-AAEUAGOBSA-N 0 0 294.351 2.591 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H](F)C1 ZINC000574924364 322711548 /nfs/dbraw/zinc/71/15/48/322711548.db2.gz GGLKZJNTISNIKA-MRVPVSSYSA-N 0 0 285.250 2.700 20 5 CFBDRN Cc1cc(N(C)CC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC000180122197 323772357 /nfs/dbraw/zinc/77/23/57/323772357.db2.gz XDKHMOLHMBQVNU-UHFFFAOYSA-N 0 0 280.324 2.681 20 5 CFBDRN C[C@H](C(=O)NC1CCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000182212324 323847542 /nfs/dbraw/zinc/84/75/42/323847542.db2.gz WZXFALBXFYJDRN-VIFPVBQESA-N 0 0 280.299 2.896 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCc1cccs1 ZINC000183887849 323929558 /nfs/dbraw/zinc/92/95/58/323929558.db2.gz XNACPAZZILSOOZ-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1cc(NC(=O)NCc2nccs2)ccc1[N+](=O)[O-] ZINC000193727462 324075817 /nfs/dbraw/zinc/07/58/17/324075817.db2.gz BPCAJVQHXZGZJM-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1nc(C)cc(C)n1 ZINC000194220344 324083940 /nfs/dbraw/zinc/08/39/40/324083940.db2.gz ASLLSUJYURCYOY-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN O=c1onc(-c2ccc([N+](=O)[O-])cc2)n1CC1CCCC1 ZINC000195197570 324103549 /nfs/dbraw/zinc/10/35/49/324103549.db2.gz XPRDLTVLUJLIEP-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN Cc1ccc(-c2nc(-c3ccc(=O)[nH]c3)no2)cc1[N+](=O)[O-] ZINC000350795014 324258705 /nfs/dbraw/zinc/25/87/05/324258705.db2.gz AYBRRKJCPHCUQU-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN Cc1ccc(-c2noc(-c3cc([N+](=O)[O-])cn3C)n2)o1 ZINC000350807924 324260243 /nfs/dbraw/zinc/26/02/43/324260243.db2.gz XQZCYBOKSLTKHS-UHFFFAOYSA-N 0 0 274.236 2.552 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc([C@H]2CCCOC2)no1 ZINC000350847919 324267847 /nfs/dbraw/zinc/26/78/47/324267847.db2.gz OHWBQNZZGVRBTN-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc([C@@H]2CCCOC2)no1 ZINC000350850379 324268654 /nfs/dbraw/zinc/26/86/54/324268654.db2.gz BHTHZALFUBPXFM-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc([C@H]3CCCOC3)no2)c1 ZINC000350876214 324274053 /nfs/dbraw/zinc/27/40/53/324274053.db2.gz YJVYBTZHHIGMNU-QMMMGPOBSA-N 0 0 293.254 2.678 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(-c3ccccc3C)n2)n1C ZINC000350928657 324284215 /nfs/dbraw/zinc/28/42/15/324284215.db2.gz QTMPOBHKKYNCFP-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN COC[C@@H](C)[C@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351143306 324325702 /nfs/dbraw/zinc/32/57/02/324325702.db2.gz GDJUKOYAEVQVIW-SFYZADRCSA-N 0 0 281.268 2.624 20 5 CFBDRN CCO[C@H]1C[C@H]1c1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351142699 324326047 /nfs/dbraw/zinc/32/60/47/324326047.db2.gz FCNQCYDDIHTOKV-PWSUYJOCSA-N 0 0 289.291 2.846 20 5 CFBDRN COCCCCc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351145761 324326942 /nfs/dbraw/zinc/32/69/42/324326942.db2.gz KOGHKIIPHWVKJL-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN CCCCCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351145941 324327856 /nfs/dbraw/zinc/32/78/56/324327856.db2.gz KYTJMDPSIAWFQB-UHFFFAOYSA-N 0 0 251.242 2.971 20 5 CFBDRN COCCCc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351147818 324328402 /nfs/dbraw/zinc/32/84/02/324328402.db2.gz PAMAOZLKWGAPKA-UHFFFAOYSA-N 0 0 277.280 2.532 20 5 CFBDRN CCO[C@H]1C[C@@H]1c1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351149297 324329153 /nfs/dbraw/zinc/32/91/53/324329153.db2.gz RHRVWIQXKRLJPV-RYUDHWBXSA-N 0 0 289.291 2.846 20 5 CFBDRN CCO[C@@H]1C[C@H]1c1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351149298 324329161 /nfs/dbraw/zinc/32/91/61/324329161.db2.gz RHRVWIQXKRLJPV-VXGBXAGGSA-N 0 0 289.291 2.846 20 5 CFBDRN CSCCCCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351154077 324331483 /nfs/dbraw/zinc/33/14/83/324331483.db2.gz ZBZBXTIRAAGOQH-UHFFFAOYSA-N 0 0 283.309 2.924 20 5 CFBDRN C[C@@]1(c2nc(Cc3ccccc3[N+](=O)[O-])no2)C[C@H]1F ZINC000351281669 324370290 /nfs/dbraw/zinc/37/02/90/324370290.db2.gz BELKBTDXDALARP-ZWNOBZJWSA-N 0 0 277.255 2.568 20 5 CFBDRN C[C@@]1(c2nc(Cc3ccccc3[N+](=O)[O-])no2)C[C@@H]1F ZINC000351281667 324370398 /nfs/dbraw/zinc/37/03/98/324370398.db2.gz BELKBTDXDALARP-GXFFZTMASA-N 0 0 277.255 2.568 20 5 CFBDRN C[C@]1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)C[C@H]1F ZINC000351287264 324371581 /nfs/dbraw/zinc/37/15/81/324371581.db2.gz HFOFQQMJIVRSHW-SKDRFNHKSA-N 0 0 263.228 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CC34CC4)n2)cc1 ZINC000351290302 324372416 /nfs/dbraw/zinc/37/24/16/324372416.db2.gz LRMMBZRTBZDDMD-JTQLQIEISA-N 0 0 257.249 2.912 20 5 CFBDRN CCOc1cc(N[C@@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000384908920 324454451 /nfs/dbraw/zinc/45/44/51/324454451.db2.gz YDJGGSOKHQBDED-JTQLQIEISA-N 0 0 282.340 2.955 20 5 CFBDRN CCOc1cc(N2CC[C@@H](CO)[C@H]2CC)ccc1[N+](=O)[O-] ZINC000385593189 324462762 /nfs/dbraw/zinc/46/27/62/324462762.db2.gz BIMXBUNVFBRGRN-WCQYABFASA-N 0 0 294.351 2.591 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000385710566 324465833 /nfs/dbraw/zinc/46/58/33/324465833.db2.gz QUTZFDFAMTYZPG-BXKDBHETSA-N 0 0 280.299 2.898 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1CC12CCOCC2 ZINC000387092087 324486676 /nfs/dbraw/zinc/48/66/76/324486676.db2.gz FWTVWDUWTQMZKI-CQSZACIVSA-N 0 0 299.330 2.546 20 5 CFBDRN COc1cc(N[C@H](C)[C@H](OC)C2CC2)ccc1[N+](=O)[O-] ZINC000388282009 324503102 /nfs/dbraw/zinc/50/31/02/324503102.db2.gz NDAZQPTUAZPWMP-OTYXRUKQSA-N 0 0 280.324 2.829 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000576120142 324580997 /nfs/dbraw/zinc/58/09/97/324580997.db2.gz LKGLBPAWLMRAES-USWWRNFRSA-N 0 0 289.335 2.555 20 5 CFBDRN CC/C=C/CNC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000576678678 324645023 /nfs/dbraw/zinc/64/50/23/324645023.db2.gz GDANPNAEHDBPPO-ONEGZZNKSA-N 0 0 289.335 2.629 20 5 CFBDRN CC/C=C\CNC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000576678677 324645211 /nfs/dbraw/zinc/64/52/11/324645211.db2.gz GDANPNAEHDBPPO-ARJAWSKDSA-N 0 0 289.335 2.629 20 5 CFBDRN COc1ccc(C(=O)NC2(C3(C)CC3)CC2)cc1[N+](=O)[O-] ZINC000576713269 324650461 /nfs/dbraw/zinc/65/04/61/324650461.db2.gz ZHKXMVKDKAXPJJ-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2cccc3cc[nH]c32)n1 ZINC000576923754 324675351 /nfs/dbraw/zinc/67/53/51/324675351.db2.gz KLFIHLJKTTUPNB-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](O)CC23CCC3)c1 ZINC000577052238 324690491 /nfs/dbraw/zinc/69/04/91/324690491.db2.gz XHSXSCUHUOBVJQ-LBPRGKRZSA-N 0 0 276.336 2.787 20 5 CFBDRN COCC1(CNc2c(OC)cccc2[N+](=O)[O-])CCC1 ZINC000577076236 324695147 /nfs/dbraw/zinc/69/51/47/324695147.db2.gz DVHWGLISONVNJD-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1noc([C@H](C)N(C)c2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000577124190 324699969 /nfs/dbraw/zinc/69/99/69/324699969.db2.gz JHFYYVVTDBOSRU-QMMMGPOBSA-N 0 0 294.286 2.931 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC[C@H]1C1CCC1 ZINC000577391174 324732616 /nfs/dbraw/zinc/73/26/16/324732616.db2.gz YTLTXGWGRRLCBE-AWEZNQCLSA-N 0 0 262.309 2.600 20 5 CFBDRN CC(F)(F)CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000577927195 324796350 /nfs/dbraw/zinc/79/63/50/324796350.db2.gz HBVBABKKKTYPFT-UHFFFAOYSA-N 0 0 284.262 2.525 20 5 CFBDRN CCc1cnn(Cc2cc(OC)c(F)cc2[N+](=O)[O-])c1 ZINC000578337472 324841913 /nfs/dbraw/zinc/84/19/13/324841913.db2.gz HCSXWTQGTMBMLI-UHFFFAOYSA-N 0 0 279.271 2.550 20 5 CFBDRN CC(F)(F)CCn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000578768683 324887447 /nfs/dbraw/zinc/88/74/47/324887447.db2.gz MPRSTPAYZBYEEX-UHFFFAOYSA-N 0 0 286.278 2.681 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000579118265 324922110 /nfs/dbraw/zinc/92/21/10/324922110.db2.gz OZFINQHIMBCFQA-QWHCGFSZSA-N 0 0 270.292 2.661 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1[C@@H]1C=CCCC1)CCCC2 ZINC000579237331 324933969 /nfs/dbraw/zinc/93/39/69/324933969.db2.gz GEBHKPYIOLFRQS-GFCCVEGCSA-N 0 0 274.320 2.917 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC2(CCC2)[C@H]2COC[C@@H]21 ZINC000579808102 324981430 /nfs/dbraw/zinc/98/14/30/324981430.db2.gz PCQGQKUGWOJHKG-FZMZJTMJSA-N 0 0 292.310 2.739 20 5 CFBDRN CCOc1cc(OC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000580144233 325009730 /nfs/dbraw/zinc/00/97/30/325009730.db2.gz ZRRBMCPJSMEJQR-GFCCVEGCSA-N 0 0 281.308 2.941 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2CC[C@@H](C)O2)c1 ZINC000580193800 325014768 /nfs/dbraw/zinc/01/47/68/325014768.db2.gz VMAULJXYOQTJEH-KOLCDFICSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1cc(N2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000580374250 325030115 /nfs/dbraw/zinc/03/01/15/325030115.db2.gz FSCLZJAMKXVIJL-VIFPVBQESA-N 0 0 254.261 2.542 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](c3cccnc3)C2)c(F)c1 ZINC000580438863 325035666 /nfs/dbraw/zinc/03/56/66/325035666.db2.gz HVHKXIXFEOCGCH-LLVKDONJSA-N 0 0 288.282 2.518 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H](F)C1 ZINC000580546925 325044775 /nfs/dbraw/zinc/04/47/75/325044775.db2.gz MHZHLMQKMFHKHL-NXEZZACHSA-N 0 0 281.287 2.997 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000580879721 325071562 /nfs/dbraw/zinc/07/15/62/325071562.db2.gz CHCPZGUSNFGVSL-VXGBXAGGSA-N 0 0 297.330 2.769 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCC[C@@H](F)C1)CCCC2 ZINC000581485484 325125658 /nfs/dbraw/zinc/12/56/58/325125658.db2.gz XLTXFLRYJKHBDG-LLVKDONJSA-N 0 0 279.315 2.807 20 5 CFBDRN CC[C@@H](Cn1cc(-c2ccccc2[N+](=O)[O-])cn1)OC ZINC000581834375 325152167 /nfs/dbraw/zinc/15/21/67/325152167.db2.gz MUJJHGOCGZFRFG-LBPRGKRZSA-N 0 0 275.308 2.883 20 5 CFBDRN CCN(CC(F)F)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000582013625 325170185 /nfs/dbraw/zinc/17/01/85/325170185.db2.gz QYKMJBZAOVWRKL-UHFFFAOYSA-N 0 0 289.282 2.868 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)C(F)(F)F ZINC000582124659 325179366 /nfs/dbraw/zinc/17/93/66/325179366.db2.gz NJERSQABHGCPCY-ZCFIWIBFSA-N 0 0 291.229 2.773 20 5 CFBDRN CO[C@@H](C)CCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000582417101 325203352 /nfs/dbraw/zinc/20/33/52/325203352.db2.gz DTXMQSAUBBLTPC-JTQLQIEISA-N 0 0 279.340 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(C(F)(F)F)C2)cc1 ZINC000582452915 325206255 /nfs/dbraw/zinc/20/62/55/325206255.db2.gz KVMFROMMCHXBRS-UHFFFAOYSA-N 0 0 260.215 2.589 20 5 CFBDRN CCC1(C)CN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000582759342 325231201 /nfs/dbraw/zinc/23/12/01/325231201.db2.gz KUFOJCAUMJXPDP-UHFFFAOYSA-N 0 0 272.308 2.774 20 5 CFBDRN Cc1noc([C@H](C)N(C)c2ncc(Cl)cc2[N+](=O)[O-])n1 ZINC000583093963 325259983 /nfs/dbraw/zinc/25/99/83/325259983.db2.gz GVRYFSGZXMQTRW-LURJTMIESA-N 0 0 297.702 2.532 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(OC(C)C)n1)C(F)F ZINC000583332715 325279418 /nfs/dbraw/zinc/27/94/18/325279418.db2.gz QOCWCGDWMXKFDO-MRVPVSSYSA-N 0 0 289.282 2.655 20 5 CFBDRN C[C@@H](F)CCn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000583872320 325315924 /nfs/dbraw/zinc/31/59/24/325315924.db2.gz ZHLDEXCGJZQJGY-SECBINFHSA-N 0 0 264.256 2.658 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCCC1(O)CCC1)CCCC2 ZINC000583931600 325319570 /nfs/dbraw/zinc/31/95/70/325319570.db2.gz VKNJTDJEMRDXKC-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN Nc1nc(SCCCCC(F)(F)F)ncc1[N+](=O)[O-] ZINC000584124495 325332793 /nfs/dbraw/zinc/33/27/93/325332793.db2.gz HBJSUTDZANXKIC-UHFFFAOYSA-N 0 0 296.274 2.622 20 5 CFBDRN Cc1nnsc1COc1cc([N+](=O)[O-])ccc1C ZINC000584124767 325333092 /nfs/dbraw/zinc/33/30/92/325333092.db2.gz PTKSZYQSVRVZOP-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN O=C(NCCCF)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000563933137 328838302 /nfs/dbraw/zinc/83/83/02/328838302.db2.gz QPUNDYODPJDOKF-UHFFFAOYSA-N 0 0 298.317 2.771 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3OCC[C@@H]3C2)c2ncccc12 ZINC000584407594 329457003 /nfs/dbraw/zinc/45/70/03/329457003.db2.gz RKXRJXOSPUMYAJ-ABAIWWIYSA-N 0 0 299.330 2.758 20 5 CFBDRN COC(=O)CCCCCCNc1cc([N+](=O)[O-])ccn1 ZINC001155004126 782155911 /nfs/dbraw/zinc/15/59/11/782155911.db2.gz JLEWEDHFSWQIPG-UHFFFAOYSA-N 0 0 281.312 2.525 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000534639910 500621970 /nfs/dbraw/zinc/62/19/70/500621970.db2.gz XJJYJYVUZXBWEG-LBPRGKRZSA-N 0 0 293.367 2.729 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)[C@H]2CCCCO2)c1 ZINC000272272717 500664356 /nfs/dbraw/zinc/66/43/56/500664356.db2.gz NPSXMBKOQMJTLX-BXUZGUMPSA-N 0 0 292.335 2.591 20 5 CFBDRN COCC1(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000284029202 500806298 /nfs/dbraw/zinc/80/62/98/500806298.db2.gz OCWVOKLLSHDVAB-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@@](C)(O)C1CC1 ZINC000270158012 500954647 /nfs/dbraw/zinc/95/46/47/500954647.db2.gz XZOMEJVYDCYXIJ-OAHLLOKOSA-N 0 0 292.335 2.701 20 5 CFBDRN CCC(CC)NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000360924824 521577653 /nfs/dbraw/zinc/57/76/53/521577653.db2.gz RNUYMFLGNCQKON-UHFFFAOYSA-N 0 0 286.278 2.720 20 5 CFBDRN CC[C@H](CC(F)(F)F)Nc1ncc([N+](=O)[O-])cn1 ZINC000354594717 522116463 /nfs/dbraw/zinc/11/64/63/522116463.db2.gz MIZYOCAOPILDEE-ZCFIWIBFSA-N 0 0 264.207 2.528 20 5 CFBDRN Cc1ccc(CCNc2nc(C)ccc2[N+](=O)[O-])nc1 ZINC000413354041 533809259 /nfs/dbraw/zinc/80/92/59/533809259.db2.gz QDTPMISAIYQIMF-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN COc1cccc2c1C[C@H](Nc1ncc(C)cc1[N+](=O)[O-])C2 ZINC000413424404 533894073 /nfs/dbraw/zinc/89/40/73/533894073.db2.gz GGEAZWPAQKUDIJ-GFCCVEGCSA-N 0 0 299.330 2.886 20 5 CFBDRN CC[C@@H](CCO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000158642805 522525096 /nfs/dbraw/zinc/52/50/96/522525096.db2.gz ADBBYNJVBGURSL-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN Cc1ccc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)nn1 ZINC000414366488 533902911 /nfs/dbraw/zinc/90/29/11/533902911.db2.gz CBEICKKSGJXOHW-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN C[C@@H](SC[C@H]1COCCO1)c1ccccc1[N+](=O)[O-] ZINC000419565234 533995565 /nfs/dbraw/zinc/99/55/65/533995565.db2.gz ZHAHCFWSSZGOEW-GHMZBOCLSA-N 0 0 283.349 2.804 20 5 CFBDRN COC(=O)c1cccc(OCCCSC)c1[N+](=O)[O-] ZINC000413017995 534279705 /nfs/dbraw/zinc/27/97/05/534279705.db2.gz PXFSYJUQYSGRRQ-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)c2ccn(C)n2)c1 ZINC000413443479 534329219 /nfs/dbraw/zinc/32/92/19/534329219.db2.gz AXUCVVXIPITRRE-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H](OC(C)C)C2)n1 ZINC000413383151 534329443 /nfs/dbraw/zinc/32/94/43/534329443.db2.gz NFAZNCVRJPALJR-PHIMTYICSA-N 0 0 265.313 2.666 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCO[C@@H](C(C)C)C1 ZINC000361045039 518411097 /nfs/dbraw/zinc/41/10/97/518411097.db2.gz KKEHLQKJGVEQQL-OAHLLOKOSA-N 0 0 292.335 2.659 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)CC1CCOCC1 ZINC000361073980 518411589 /nfs/dbraw/zinc/41/15/89/518411589.db2.gz OBFSUZNRLRZOFL-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CCC[C@H]1F)c1ccc([N+](=O)[O-])cc1 ZINC000340795411 518529554 /nfs/dbraw/zinc/52/95/54/518529554.db2.gz XINYSZVSAHSXKI-CHWSQXEVSA-N 0 0 294.326 2.879 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CCC[C@H]1F)c1ccccc1[N+](=O)[O-] ZINC000340849619 518530538 /nfs/dbraw/zinc/53/05/38/518530538.db2.gz NHVWCNGOCSSINH-VXGBXAGGSA-N 0 0 294.326 2.879 20 5 CFBDRN CC(C)=CCn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O ZINC000361086978 519444408 /nfs/dbraw/zinc/44/44/08/519444408.db2.gz QGTWMGXJURSPPT-UHFFFAOYSA-N 0 0 285.303 2.785 20 5 CFBDRN O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@H]1CC12CC2 ZINC000421476295 534426965 /nfs/dbraw/zinc/42/69/65/534426965.db2.gz VJNUIAWUMMCDMN-GFCCVEGCSA-N 0 0 298.302 2.519 20 5 CFBDRN CC(C)N(C(=O)COc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000078185005 519560414 /nfs/dbraw/zinc/56/04/14/519560414.db2.gz NBHZFPHXLMJDJH-UHFFFAOYSA-N 0 0 292.335 2.763 20 5 CFBDRN CC(C)N(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000078184671 519561330 /nfs/dbraw/zinc/56/13/30/519561330.db2.gz JVRFRBXMUXNXRE-UHFFFAOYSA-N 0 0 276.336 2.927 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])o1)C1CCC1 ZINC000078184213 519579231 /nfs/dbraw/zinc/57/92/31/519579231.db2.gz NCRBHLDYPZJRHB-UHFFFAOYSA-N 0 0 252.270 2.591 20 5 CFBDRN CC(C)CCNC(=O)[C@@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418513 519658814 /nfs/dbraw/zinc/65/88/14/519658814.db2.gz ZJLWKNUOHCSAOL-SNVBAGLBSA-N 0 0 297.380 2.633 20 5 CFBDRN CC(C)N(Cc1cccnc1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000072012093 519659580 /nfs/dbraw/zinc/65/95/80/519659580.db2.gz GIKAXNSTPVDJRZ-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180620367 519691076 /nfs/dbraw/zinc/69/10/76/519691076.db2.gz IPAJUDGBXUJMFR-JTQLQIEISA-N 0 0 279.340 2.732 20 5 CFBDRN CC(C)CSCC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000073577561 519767439 /nfs/dbraw/zinc/76/74/39/519767439.db2.gz QLWDUWYLSBHBKY-UHFFFAOYSA-N 0 0 294.376 2.873 20 5 CFBDRN CC(C)c1nc(COc2ccc([N+](=O)[O-])c(F)c2)no1 ZINC000067099096 519864501 /nfs/dbraw/zinc/86/45/01/519864501.db2.gz SKKDNUQOHDWARM-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN CCCC(O)(CCC)CNc1c([N+](=O)[O-])c(C)nn1CC ZINC000192611125 519886831 /nfs/dbraw/zinc/88/68/31/519886831.db2.gz GGUAGZASOBHCGY-UHFFFAOYSA-N 0 0 298.387 2.863 20 5 CFBDRN CCCC(O)(CCC)CNc1c([N+](=O)[O-])nc(C)n1CC ZINC000360991004 519887116 /nfs/dbraw/zinc/88/71/16/519887116.db2.gz PTOMNXQMFOPXJQ-UHFFFAOYSA-N 0 0 298.387 2.863 20 5 CFBDRN CCCn1nccc1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000338688490 519892190 /nfs/dbraw/zinc/89/21/90/519892190.db2.gz PVTWYFHJSRGLEF-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN CCNc1cc(C)ccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000360073620 520268340 /nfs/dbraw/zinc/26/83/40/520268340.db2.gz VTSVRDBFWCROMM-UHFFFAOYSA-N 0 0 288.307 2.915 20 5 CFBDRN CC1(C)CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336562749 520371054 /nfs/dbraw/zinc/37/10/54/520371054.db2.gz JFMBZGJHTHMZRY-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN CCCCN(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000127386208 520382904 /nfs/dbraw/zinc/38/29/04/520382904.db2.gz WWOXKGUKYGUFMR-UHFFFAOYSA-N 0 0 268.288 2.535 20 5 CFBDRN CCN(CC)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000229551868 520513339 /nfs/dbraw/zinc/51/33/39/520513339.db2.gz ZPPZPUCALUEKGF-UHFFFAOYSA-N 0 0 255.249 2.608 20 5 CFBDRN CCOc1cc(N(CCO)[C@@H](C)CC)ccc1[N+](=O)[O-] ZINC000312716337 520747997 /nfs/dbraw/zinc/74/79/97/520747997.db2.gz UHIHRPWMPRIART-NSHDSACASA-N 0 0 282.340 2.591 20 5 CFBDRN CC1(F)CC(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc32)C1 ZINC000334846833 520752544 /nfs/dbraw/zinc/75/25/44/520752544.db2.gz RLLLRLOXNWMOBU-UHFFFAOYSA-N 0 0 291.282 2.697 20 5 CFBDRN CCOc1cc(NC(C)(C)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000158812689 520758983 /nfs/dbraw/zinc/75/89/83/520758983.db2.gz SARGZQRZVLEATL-SECBINFHSA-N 0 0 268.313 2.565 20 5 CFBDRN CCOC(=O)CN(Cc1ccccc1[N+](=O)[O-])CC(C)C ZINC000065542992 520768853 /nfs/dbraw/zinc/76/88/53/520768853.db2.gz NPZWHQDYTYIRBZ-UHFFFAOYSA-N 0 0 294.351 2.616 20 5 CFBDRN CCOC(=O)C[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360066088 520836545 /nfs/dbraw/zinc/83/65/45/520836545.db2.gz NFPNDATWJDTWEI-SECBINFHSA-N 0 0 284.287 2.796 20 5 CFBDRN CCN(CCC1CC1)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000354870596 520996837 /nfs/dbraw/zinc/99/68/37/520996837.db2.gz SQILJFJWWAORDH-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CCC[C@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000362015924 521248702 /nfs/dbraw/zinc/24/87/02/521248702.db2.gz SAUKQYWLEJLSTB-VHSXEESVSA-N 0 0 263.297 2.673 20 5 CFBDRN CCC(C)(C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360514968 521271474 /nfs/dbraw/zinc/27/14/74/521271474.db2.gz LNTZDDKTCNVOSY-UHFFFAOYSA-N 0 0 264.325 2.956 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(-c2nc(C3CC3)no2)c1 ZINC000339097939 521277182 /nfs/dbraw/zinc/27/71/82/521277182.db2.gz ZKOWLTWTSKSCDK-UHFFFAOYSA-N 0 0 275.264 2.921 20 5 CFBDRN CCN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000361589622 521277714 /nfs/dbraw/zinc/27/77/14/521277714.db2.gz QCIXCHMLJMBIBG-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC=CCC2)c1 ZINC000338527098 521279748 /nfs/dbraw/zinc/27/97/48/521279748.db2.gz YLMPCNHFKOGQHS-LLVKDONJSA-N 0 0 290.319 2.832 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@H]1F ZINC000340805160 521426751 /nfs/dbraw/zinc/42/67/51/521426751.db2.gz CCSDNZNTGVYQTO-NXEZZACHSA-N 0 0 296.298 2.614 20 5 CFBDRN CCCN(CCC)C(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161418354 521641676 /nfs/dbraw/zinc/64/16/76/521641676.db2.gz VMTHGMHBWCHPEB-UHFFFAOYSA-N 0 0 279.340 2.899 20 5 CFBDRN CCSCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000173302990 521713388 /nfs/dbraw/zinc/71/33/88/521713388.db2.gz IMAJJRWPVMPWBN-SECBINFHSA-N 0 0 297.380 2.560 20 5 CFBDRN Cc1cnc(NC[C@H]2CCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000413343171 534587194 /nfs/dbraw/zinc/58/71/94/534587194.db2.gz WSKKPEPBUGCBOX-NSHDSACASA-N 0 0 279.340 2.915 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CC[C@H](C)C1 ZINC000360099506 521794107 /nfs/dbraw/zinc/79/41/07/521794107.db2.gz AIEOUUDFRSXUEH-QWRGUYRKSA-N 0 0 291.351 2.803 20 5 CFBDRN CNC(=O)c1ccc(NC[C@@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000230434963 521848099 /nfs/dbraw/zinc/84/80/99/521848099.db2.gz DUAYTTWEXWOVQM-GHMZBOCLSA-N 0 0 291.351 2.803 20 5 CFBDRN CNC(=O)c1ccc(NC[C@@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000230434969 521848294 /nfs/dbraw/zinc/84/82/94/521848294.db2.gz DUAYTTWEXWOVQM-WDEREUQCSA-N 0 0 291.351 2.803 20 5 CFBDRN CNC(=O)c1ccc(NC[C@H]2CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000230696556 521848589 /nfs/dbraw/zinc/84/85/89/521848589.db2.gz JMMLFTLUNRHXAT-ZYHUDNBSSA-N 0 0 291.351 2.803 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000361481177 521887278 /nfs/dbraw/zinc/88/72/78/521887278.db2.gz KETLQDFLSTVYSF-TVQRCGJNSA-N 0 0 296.323 2.603 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000340013109 521940798 /nfs/dbraw/zinc/94/07/98/521940798.db2.gz HSHSJBJKSCONRS-WPRPVWTQSA-N 0 0 295.339 2.931 20 5 CFBDRN CCc1cnccc1CNc1c([N+](=O)[O-])nc(C)n1CC ZINC000361042469 522080056 /nfs/dbraw/zinc/08/00/56/522080056.db2.gz KUKYJOVWDIZJIJ-UHFFFAOYSA-N 0 0 289.339 2.689 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000156953871 522138057 /nfs/dbraw/zinc/13/80/57/522138057.db2.gz TWGWTRMYVIBZAE-MWLCHTKSSA-N 0 0 278.308 2.522 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000360419576 522280664 /nfs/dbraw/zinc/28/06/64/522280664.db2.gz JLLFMCFILHVCTC-ZJUUUORDSA-N 0 0 280.324 2.760 20 5 CFBDRN COC(=O)c1cnc(NC[C@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000360114329 522339567 /nfs/dbraw/zinc/33/95/67/522339567.db2.gz GKMHEVPPNJYXDB-ZJUUUORDSA-N 0 0 293.323 2.625 20 5 CFBDRN CCc1nc(CSCc2c([N+](=O)[O-])ncn2C)cs1 ZINC000357027011 522369539 /nfs/dbraw/zinc/36/95/39/522369539.db2.gz LATNRMZEMHOFMN-UHFFFAOYSA-N 0 0 298.393 2.781 20 5 CFBDRN COC[C@@H]1CCCCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000338700627 522441769 /nfs/dbraw/zinc/44/17/69/522441769.db2.gz QNSPMFXLKUIOKG-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN CNc1c(C(=O)N(C)c2ccccc2)cccc1[N+](=O)[O-] ZINC000161415277 522534028 /nfs/dbraw/zinc/53/40/28/522534028.db2.gz LEAZHYOAFGPUDX-UHFFFAOYSA-N 0 0 285.303 2.913 20 5 CFBDRN CNc1c(C(=O)N(C)CCC2CC2)cccc1[N+](=O)[O-] ZINC000336831661 522534344 /nfs/dbraw/zinc/53/43/44/522534344.db2.gz JXOZMTPOKWKZJT-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN CNc1c(C(=O)N2CC[C@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000360938238 522537964 /nfs/dbraw/zinc/53/79/64/522537964.db2.gz SAGLTEANROICFT-NSHDSACASA-N 0 0 289.335 2.509 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000161433724 522541116 /nfs/dbraw/zinc/54/11/16/522541116.db2.gz PPIUFUJAEFFSCK-MNOVXSKESA-N 0 0 291.351 2.945 20 5 CFBDRN COC1CCC(CNc2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000360872239 522673212 /nfs/dbraw/zinc/67/32/12/522673212.db2.gz LWRPPABVNJZFJM-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230308570 522763037 /nfs/dbraw/zinc/76/30/37/522763037.db2.gz DQGNGABGHINDAI-BXUZGUMPSA-N 0 0 278.308 2.583 20 5 CFBDRN COCC1CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC1 ZINC000360896956 522937849 /nfs/dbraw/zinc/93/78/49/522937849.db2.gz MOZBHNSOZHLINJ-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000354267904 523141297 /nfs/dbraw/zinc/14/12/97/523141297.db2.gz JYMHKHNCJAQKBA-UWVGGRQHSA-N 0 0 265.313 2.622 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1cncs1 ZINC000340898114 523146835 /nfs/dbraw/zinc/14/68/35/523146835.db2.gz IPUSKJAPIVXCBW-SSDOTTSWSA-N 0 0 281.341 2.749 20 5 CFBDRN COCCCCc1noc(-c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000356369110 523191901 /nfs/dbraw/zinc/19/19/01/523191901.db2.gz RYBVRJBSKXMALK-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN CN(Cc1ccccc1Cl)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340422888 523361669 /nfs/dbraw/zinc/36/16/69/523361669.db2.gz YIFNVTZGLDXYLB-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC[C@H]1F ZINC000413948921 534704528 /nfs/dbraw/zinc/70/45/28/534704528.db2.gz BWCKFUBBJVDMKV-CHWSQXEVSA-N 0 0 280.299 2.534 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCC[C@@H]1C ZINC000230691578 523668575 /nfs/dbraw/zinc/66/85/75/523668575.db2.gz ITNVELURLDQZHE-ONGXEEELSA-N 0 0 266.345 2.968 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Nc1ccc2n[nH]cc2c1 ZINC000195336946 523669403 /nfs/dbraw/zinc/66/94/03/523669403.db2.gz KRCQQRTZXLHUPY-UHFFFAOYSA-N 0 0 286.295 2.740 20 5 CFBDRN CCn1nccc1CCSc1ccc([N+](=O)[O-])cn1 ZINC000361006288 523721782 /nfs/dbraw/zinc/72/17/82/523721782.db2.gz CHDUBEYZCCQLOO-UHFFFAOYSA-N 0 0 278.337 2.541 20 5 CFBDRN CCc1cc(NC(=O)C2=CCC2)c2cc([N+](=O)[O-])ccc2n1 ZINC000360800593 523736642 /nfs/dbraw/zinc/73/66/42/523736642.db2.gz OIIWFWKNCSHQPU-UHFFFAOYSA-N 0 0 297.314 2.786 20 5 CFBDRN CN(C(=O)C(C)(C)c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000360055984 523782899 /nfs/dbraw/zinc/78/28/99/523782899.db2.gz UBIJUNXKDZYQQE-UHFFFAOYSA-N 0 0 299.330 2.930 20 5 CFBDRN CN(C(=O)C1Cc2ccccc2C1)c1ccc([N+](=O)[O-])cc1 ZINC000335815574 523791475 /nfs/dbraw/zinc/79/14/75/523791475.db2.gz PZPSMTPKHVWENZ-UHFFFAOYSA-N 0 0 296.326 2.973 20 5 CFBDRN CC[C@H](C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000338153531 523821990 /nfs/dbraw/zinc/82/19/90/523821990.db2.gz VQBVBQFTNAAZFZ-NSHDSACASA-N 0 0 264.325 2.642 20 5 CFBDRN CCc1cc(NCc2cccc([N+](=O)[O-])c2)ncn1 ZINC000052942793 523823166 /nfs/dbraw/zinc/82/31/66/523823166.db2.gz MVPXMVCVTLOVCE-UHFFFAOYSA-N 0 0 258.281 2.559 20 5 CFBDRN CC[C@H](C)CN(CC)c1c([N+](=O)[O-])nc(C)n1CC ZINC000360989443 523879477 /nfs/dbraw/zinc/87/94/77/523879477.db2.gz CTNKUBDJSHAFLJ-JTQLQIEISA-N 0 0 268.361 2.992 20 5 CFBDRN CN(C(=O)[C@H]1C[C@H]1c1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000158352641 523912511 /nfs/dbraw/zinc/91/25/11/523912511.db2.gz BCIUQRGTWRIJTD-OLZOCXBDSA-N 0 0 286.287 2.954 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000172763312 523913859 /nfs/dbraw/zinc/91/38/59/523913859.db2.gz MRMAMNGEPMWAJG-JTQLQIEISA-N 0 0 250.298 2.774 20 5 CFBDRN CN(C(=O)c1ccnc(C2CC2)n1)c1cccc([N+](=O)[O-])c1 ZINC000338939080 523954513 /nfs/dbraw/zinc/95/45/13/523954513.db2.gz VLNVTMZQVZTHFP-UHFFFAOYSA-N 0 0 298.302 2.539 20 5 CFBDRN CO[C@H]1CCCN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC1 ZINC000377136615 523973448 /nfs/dbraw/zinc/97/34/48/523973448.db2.gz QVQAGGXECMPEMB-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN COc1ccc(CN(C)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000338723685 524001682 /nfs/dbraw/zinc/00/16/82/524001682.db2.gz GNQHLCZWCCOWJW-UHFFFAOYSA-N 0 0 278.230 2.598 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@]12CCOC2 ZINC000362345524 524057071 /nfs/dbraw/zinc/05/70/71/524057071.db2.gz NRCCVZQBYVDJRS-IUODEOHRSA-N 0 0 290.319 2.522 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnc(C)cn1 ZINC000362345873 524057184 /nfs/dbraw/zinc/05/71/84/524057184.db2.gz QFGWOOKGMGKVGQ-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1ccnc(C)n1 ZINC000312569494 524057987 /nfs/dbraw/zinc/05/79/87/524057987.db2.gz LLPBLZZDLAWAMZ-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN COc1ccc(CNc2ccncc2[N+](=O)[O-])c(OC)c1 ZINC000066809901 524102182 /nfs/dbraw/zinc/10/21/82/524102182.db2.gz XMTKCJFBZRWIHF-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN C[C@H]1CCC[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000360372235 524133468 /nfs/dbraw/zinc/13/34/68/524133468.db2.gz MGBUWNLGGOTVAH-QWRGUYRKSA-N 0 0 279.340 2.869 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CS[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000335451119 524137033 /nfs/dbraw/zinc/13/70/33/524137033.db2.gz FARUOIFFCRSIOB-ONGXEEELSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@H](CCCO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214008626 524152275 /nfs/dbraw/zinc/15/22/75/524152275.db2.gz GTZSVQQODKDQTQ-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000339520185 524218281 /nfs/dbraw/zinc/21/82/81/524218281.db2.gz YLGWTKSNEFRURS-IUCAKERBSA-N 0 0 268.338 2.822 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000339509474 524218338 /nfs/dbraw/zinc/21/83/38/524218338.db2.gz UCDRFCQCXNUHDI-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000337159259 524219420 /nfs/dbraw/zinc/21/94/20/524219420.db2.gz RUSXZBOUZAAULI-WDEREUQCSA-N 0 0 294.376 2.992 20 5 CFBDRN COc1cccc2c1CC[C@H]2Nc1ccncc1[N+](=O)[O-] ZINC000361043059 524223124 /nfs/dbraw/zinc/22/31/24/524223124.db2.gz NAQWTNNPYGBEET-GFCCVEGCSA-N 0 0 285.303 2.520 20 5 CFBDRN Cc1ccc(CC(=O)NCc2ccc([N+](=O)[O-])cc2)s1 ZINC000048967572 524266306 /nfs/dbraw/zinc/26/63/06/524266306.db2.gz JJOBELCSNQFZBE-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1cn(C(C)C)nn1 ZINC000314873695 524340414 /nfs/dbraw/zinc/34/04/14/524340414.db2.gz YTWPFFWIESVWTD-UHFFFAOYSA-N 0 0 289.339 2.996 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000362013846 524409730 /nfs/dbraw/zinc/40/97/30/524409730.db2.gz NWGMPKYSXQZMTP-QMMMGPOBSA-N 0 0 290.241 2.594 20 5 CFBDRN C[C@H]1CC[C@H](CNc2ccc([N+](=O)[O-])cc2F)O1 ZINC000128443810 524410431 /nfs/dbraw/zinc/41/04/31/524410431.db2.gz BDOIKZSQNNDLED-WCBMZHEXSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@H]1CC[C@H](CNc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000230434165 524410779 /nfs/dbraw/zinc/41/07/79/524410779.db2.gz GCFRGRWUOKZGJJ-UWVGGRQHSA-N 0 0 277.324 2.542 20 5 CFBDRN COc1ccc(NC(=O)CCCSC)cc1[N+](=O)[O-] ZINC000071530204 524478074 /nfs/dbraw/zinc/47/80/74/524478074.db2.gz LGMJDPZPCAHNBS-UHFFFAOYSA-N 0 0 284.337 2.685 20 5 CFBDRN Cc1ccc(CNC(=O)c2c(N)cccc2[N+](=O)[O-])c(C)c1 ZINC000180634323 524553588 /nfs/dbraw/zinc/55/35/88/524553588.db2.gz CHSDGABQIQRVPX-UHFFFAOYSA-N 0 0 299.330 2.724 20 5 CFBDRN Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])cnc2C)cc1 ZINC000045571001 524555586 /nfs/dbraw/zinc/55/55/86/524555586.db2.gz JVFLYDVUUAUDGN-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000361339851 524614283 /nfs/dbraw/zinc/61/42/83/524614283.db2.gz VJBRSNKXRGDUMX-VIFPVBQESA-N 0 0 296.348 2.685 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334168806 524621049 /nfs/dbraw/zinc/62/10/49/524621049.db2.gz AUZFCXDHKGWUBW-SCZZXKLOSA-N 0 0 273.292 2.604 20 5 CFBDRN COc1ccc(NC(=O)c2cccc(C)n2)c([N+](=O)[O-])c1 ZINC000078796561 524641000 /nfs/dbraw/zinc/64/10/00/524641000.db2.gz NKWVCEJLYUKCGF-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cccc(NC[C@]2(C)CCCO2)c1[N+](=O)[O-] ZINC000218867013 524684284 /nfs/dbraw/zinc/68/42/84/524684284.db2.gz XPCSPFBHLSHPHV-ZDUSSCGKSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1cccc(NCc2cccc([N+](=O)[O-])c2C)c1C(N)=O ZINC000360349807 524688010 /nfs/dbraw/zinc/68/80/10/524688010.db2.gz VDOMDPPOIFCKAY-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN Cc1csc(CCCNc2ccc([N+](=O)[O-])cn2)n1 ZINC000301177364 534803163 /nfs/dbraw/zinc/80/31/63/534803163.db2.gz LSPDOPFQPMZECY-UHFFFAOYSA-N 0 0 278.337 2.799 20 5 CFBDRN Cc1ccc(Cl)c(NC(=O)c2nn(C)cc2[N+](=O)[O-])c1 ZINC000355665917 524712187 /nfs/dbraw/zinc/71/21/87/524712187.db2.gz CIOVQDVYLXYMEY-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C[C@H](Cc1ncc[nH]1)OCc1ccc([N+](=O)[O-])cc1F ZINC000360945703 524768234 /nfs/dbraw/zinc/76/82/34/524768234.db2.gz HEUDZSIEUVDSGR-SECBINFHSA-N 0 0 279.271 2.605 20 5 CFBDRN Cc1cccc([C@@H]2CCCN2C(=O)[C@H]2C[C@H]2[N+](=O)[O-])c1C ZINC000361364273 524849281 /nfs/dbraw/zinc/84/92/81/524849281.db2.gz BKAHEDBZQGBSHX-SOUVJXGZSA-N 0 0 288.347 2.632 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@H](F)C1 ZINC000336560809 524903283 /nfs/dbraw/zinc/90/32/83/524903283.db2.gz IOQMQRKNCFBILS-UWVGGRQHSA-N 0 0 266.272 2.524 20 5 CFBDRN COc1ccc(OCCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000071810318 524940492 /nfs/dbraw/zinc/94/04/92/524940492.db2.gz WJZSSIRBTDJCCF-UHFFFAOYSA-N 0 0 265.187 2.935 20 5 CFBDRN C[C@@H](Cn1cccn1)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000314803491 524953784 /nfs/dbraw/zinc/95/37/84/524953784.db2.gz NMMYVNWYXPELNT-NSHDSACASA-N 0 0 297.318 2.840 20 5 CFBDRN C[C@@H](F)CCNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000356652782 524972634 /nfs/dbraw/zinc/97/26/34/524972634.db2.gz UFOMOPKKXXZQCF-SNVBAGLBSA-N 0 0 282.315 2.737 20 5 CFBDRN CC(=O)c1cc(NC[C@@]2(C)CCOC2)ccc1[N+](=O)[O-] ZINC000342133908 534823756 /nfs/dbraw/zinc/82/37/56/534823756.db2.gz RJYWPUWZPBVWIZ-CQSZACIVSA-N 0 0 278.308 2.636 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000334338170 525022433 /nfs/dbraw/zinc/02/24/33/525022433.db2.gz XPPFPSZXIYMOAS-QWRGUYRKSA-N 0 0 277.324 2.850 20 5 CFBDRN C[C@H](NC(=O)NCc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000339779116 525083923 /nfs/dbraw/zinc/08/39/23/525083923.db2.gz QMDWQIDLQJPHQU-JTQLQIEISA-N 0 0 289.291 2.748 20 5 CFBDRN Cc1ccc(NC(=O)C[C@H]2CCC(=O)[C@H]2C)cc1[N+](=O)[O-] ZINC000353357326 525092220 /nfs/dbraw/zinc/09/22/20/525092220.db2.gz UVQQZIJOHMEZPR-WDEREUQCSA-N 0 0 290.319 2.847 20 5 CFBDRN C[C@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000336338516 525095545 /nfs/dbraw/zinc/09/55/45/525095545.db2.gz MFHRMDHQQQGWMQ-FZMZJTMJSA-N 0 0 299.330 2.941 20 5 CFBDRN Cc1ccc(NC2CCC(CO)CC2)c([N+](=O)[O-])c1 ZINC000311405629 525269681 /nfs/dbraw/zinc/26/96/81/525269681.db2.gz IWCKGJXISXRTFC-UHFFFAOYSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc(NCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000052177603 525273345 /nfs/dbraw/zinc/27/33/45/525273345.db2.gz QPKKHKHCTHMLNF-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cc(O[C@H](C)[C@@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000310248478 525382846 /nfs/dbraw/zinc/38/28/46/525382846.db2.gz VPGLVNNPJUJVRZ-HTQZYQBOSA-N 0 0 259.689 2.705 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCCC[C@@H]2C)c1 ZINC000067297160 525446057 /nfs/dbraw/zinc/44/60/57/525446057.db2.gz ZHWVIZDBIZFTNV-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CCCSC)c1 ZINC000338714561 525450341 /nfs/dbraw/zinc/45/03/41/525450341.db2.gz SKSOUFIIDSEZPY-UHFFFAOYSA-N 0 0 270.354 2.793 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCC(=O)OC(C)(C)C)c1 ZINC000338681435 525450509 /nfs/dbraw/zinc/45/05/09/525450509.db2.gz NYLLYJCSVCAPCT-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CC[C@@H]2CCCO2)c1 ZINC000338711256 525450733 /nfs/dbraw/zinc/45/07/33/525450733.db2.gz PTYBAXJVEYVOPI-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@H](O)C2)c1 ZINC000230910091 525451295 /nfs/dbraw/zinc/45/12/95/525451295.db2.gz XWCNVZXQHBHTTL-MNOVXSKESA-N 0 0 280.324 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@H]2OC)c1 ZINC000338692611 525452812 /nfs/dbraw/zinc/45/28/12/525452812.db2.gz KLWUTHPVFPRCRW-QMTHXVAHSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC[C@@H]1C ZINC000072752472 525454524 /nfs/dbraw/zinc/45/45/24/525454524.db2.gz JUIYDBVXEYSBRD-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN C[C@@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000334170276 525462338 /nfs/dbraw/zinc/46/23/38/525462338.db2.gz BGJLORDXMBEUHD-YPMHNXCESA-N 0 0 299.330 2.941 20 5 CFBDRN CSCCNc1ncc([N+](=O)[O-])cc1Br ZINC000086482051 525512875 /nfs/dbraw/zinc/51/28/75/525512875.db2.gz TTXVTTVCNJVBDM-UHFFFAOYSA-N 0 0 292.158 2.527 20 5 CFBDRN Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000334170131 525526492 /nfs/dbraw/zinc/52/64/92/525526492.db2.gz BFIGMTVGWNUYLY-UHFFFAOYSA-N 0 0 299.124 2.558 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC=C(C)CC1 ZINC000336628327 525558888 /nfs/dbraw/zinc/55/88/88/525558888.db2.gz DYSQUKSAGHRHQT-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccnc1C ZINC000073357614 525564102 /nfs/dbraw/zinc/56/41/02/525564102.db2.gz GQRXRJZUTQBQTB-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC[C@@H]1C ZINC000334285570 525568459 /nfs/dbraw/zinc/56/84/59/525568459.db2.gz GMSVUMVNSCTOSO-GZMMTYOYSA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC1(O)CCCC1 ZINC000169053969 525572026 /nfs/dbraw/zinc/57/20/26/525572026.db2.gz OKRHEPITAQAFSG-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)c1ccc(Cl)cn1 ZINC000338486515 525642587 /nfs/dbraw/zinc/64/25/87/525642587.db2.gz DHWBZGQBJXCHSB-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN Cc1ccnc(NC(=O)c2ccc([N+](=O)[O-])cc2F)c1 ZINC000054127600 525688297 /nfs/dbraw/zinc/68/82/97/525688297.db2.gz AMFAVQSTHUULFP-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000360524777 525719071 /nfs/dbraw/zinc/71/90/71/525719071.db2.gz KFZSGWJBVLBIOR-NSHDSACASA-N 0 0 262.309 2.566 20 5 CFBDRN Cc1c(CNC(=O)c2cccc(O)c2)cccc1[N+](=O)[O-] ZINC000360528444 525721948 /nfs/dbraw/zinc/72/19/48/525721948.db2.gz LWLYAVGDEJXCFK-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Cc1c(CS(=O)(=O)c2cccs2)cccc1[N+](=O)[O-] ZINC000340825692 525732993 /nfs/dbraw/zinc/73/29/93/525732993.db2.gz XXCRNPUQAGYSND-UHFFFAOYSA-N 0 0 297.357 2.939 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1NCc1ccoc1 ZINC000177818313 534879809 /nfs/dbraw/zinc/87/98/09/534879809.db2.gz FMWCFJDQOVBYLJ-UHFFFAOYSA-N 0 0 298.096 2.957 20 5 CFBDRN Cc1ccncc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000171528702 525762119 /nfs/dbraw/zinc/76/21/19/525762119.db2.gz YQYHWKSZODJEHN-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN C[C@@H](O)CN(C)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000360102867 525785276 /nfs/dbraw/zinc/78/52/76/525785276.db2.gz FJLZSJDUYGCUPS-SNVBAGLBSA-N 0 0 282.340 2.589 20 5 CFBDRN Cc1ccoc1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000312654219 525802616 /nfs/dbraw/zinc/80/26/16/525802616.db2.gz FYUQBHKDDHIJIB-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CCO[C@H](C4CC4)C3)c2c1 ZINC000413242798 534884867 /nfs/dbraw/zinc/88/48/67/534884867.db2.gz ZNGLORGHJJWGPG-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CCO[C@@H](C4CC4)C3)c2c1 ZINC000413242799 534885260 /nfs/dbraw/zinc/88/52/60/534885260.db2.gz ZNGLORGHJJWGPG-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000228129532 525836243 /nfs/dbraw/zinc/83/62/43/525836243.db2.gz BYLMNEYNFYLLJD-VHSXEESVSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1c(NC(=O)[C@@H](C)OCC2CC2)cccc1[N+](=O)[O-] ZINC000049141159 525854178 /nfs/dbraw/zinc/85/41/78/525854178.db2.gz NYICPQKTJPKKSG-SNVBAGLBSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1c(NC(=O)[C@H]2[C@@H](C)C2(F)F)cccc1[N+](=O)[O-] ZINC000335157110 525858885 /nfs/dbraw/zinc/85/88/85/525858885.db2.gz VQUWYALZOZFSGR-GMSGAONNSA-N 0 0 270.235 2.743 20 5 CFBDRN Cc1c(OCC(=O)NCCC(C)(C)C)cccc1[N+](=O)[O-] ZINC000053837888 525881237 /nfs/dbraw/zinc/88/12/37/525881237.db2.gz IDSSSTZLOVQLCW-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H]1C[C@H]1C ZINC000340643917 525933352 /nfs/dbraw/zinc/93/33/52/525933352.db2.gz XPOPVQAMRKVGAO-KHQFGBGNSA-N 0 0 298.726 2.540 20 5 CFBDRN Cc1cn2cc(Nc3nc(C)ccc3[N+](=O)[O-])ccc2n1 ZINC000360871467 525954397 /nfs/dbraw/zinc/95/43/97/525954397.db2.gz LZQHNYVXIXTHKE-UHFFFAOYSA-N 0 0 283.291 2.998 20 5 CFBDRN CSc1ccc(C(=O)NCCC2CC2)cc1[N+](=O)[O-] ZINC000174663288 525964357 /nfs/dbraw/zinc/96/43/57/525964357.db2.gz ITYPRAVPYSFRHJ-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN Cc1cnc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)nc1 ZINC000340689279 526011047 /nfs/dbraw/zinc/01/10/47/526011047.db2.gz JDCSXHDSQPBIRE-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN Cc1cc(-c2nc(Cc3cccnc3)no2)cc([N+](=O)[O-])c1 ZINC000340644907 526052792 /nfs/dbraw/zinc/05/27/92/526052792.db2.gz DAYMONNMPWQZLG-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@@H](C)C2)c1 ZINC000157235611 526056301 /nfs/dbraw/zinc/05/63/01/526056301.db2.gz FNPVLZVBMUVVDO-KOLCDFICSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cnc(COc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000150973653 526057335 /nfs/dbraw/zinc/05/73/35/526057335.db2.gz INGVPLGGCWSYDO-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN Cc1cnc(CSc2cccc([N+](=O)[O-])c2)nc1 ZINC000340787897 526059232 /nfs/dbraw/zinc/05/92/32/526059232.db2.gz LZTOWMLOXAKYFF-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(C)(C)CCO)c1 ZINC000088726558 526060112 /nfs/dbraw/zinc/06/01/12/526060112.db2.gz RHPRBFJPXIFSDV-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](CCO)C(C)C)c1 ZINC000088726029 526062282 /nfs/dbraw/zinc/06/22/82/526062282.db2.gz ZUNCJMJMHMLYRF-LLVKDONJSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cn3cccnc3n2)c1 ZINC000340667081 526065050 /nfs/dbraw/zinc/06/50/50/526065050.db2.gz NKSNGZUMEWUNFB-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1cccn1C ZINC000160634287 526068497 /nfs/dbraw/zinc/06/84/97/526068497.db2.gz VKOONASDFHIJNC-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)C[C@H](O)C(F)(F)F ZINC000305781357 526079930 /nfs/dbraw/zinc/07/99/30/526079930.db2.gz UMUZKAISTUTBOS-KWQFWETISA-N 0 0 292.257 2.511 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H]2F)c1 ZINC000340846046 526080224 /nfs/dbraw/zinc/08/02/24/526080224.db2.gz KGBBTIRGZZCKPN-MNOVXSKESA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C[C@@H]1CCCO1 ZINC000069024831 526080747 /nfs/dbraw/zinc/08/07/47/526080747.db2.gz SNBKJEHTEROJLT-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc(C(=O)N2CC(C3CCC3)C2)cc1[N+](=O)[O-] ZINC000335085607 526080711 /nfs/dbraw/zinc/08/07/11/526080711.db2.gz RLFBEDBJYACQMW-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCCCCF ZINC000361669466 526081201 /nfs/dbraw/zinc/08/12/01/526081201.db2.gz JAAONZIXYFEMRP-UHFFFAOYSA-N 0 0 269.276 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1[C@H](C)C1(F)F ZINC000334592707 526083153 /nfs/dbraw/zinc/08/31/53/526083153.db2.gz AQHYBYPEEOGZBC-OIBJUYFYSA-N 0 0 270.235 2.743 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CCC[C@H]1F)c1ccc([N+](=O)[O-])cc1F ZINC000340848641 526103122 /nfs/dbraw/zinc/10/31/22/526103122.db2.gz VFMMRUOAESIOIH-RLCGTCKNSA-N 0 0 298.289 2.844 20 5 CFBDRN COc1cccc(NCc2nccs2)c1[N+](=O)[O-] ZINC000134909718 526210730 /nfs/dbraw/zinc/21/07/30/526210730.db2.gz ILRYXWOTVSQNOJ-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000335194222 526238235 /nfs/dbraw/zinc/23/82/35/526238235.db2.gz SFVACYZMRSWDGB-LLVKDONJSA-N 0 0 276.336 2.927 20 5 CFBDRN Cc1nn(Cc2cccc3cccnc32)cc1[N+](=O)[O-] ZINC000181821278 526293655 /nfs/dbraw/zinc/29/36/55/526293655.db2.gz UTWSTWHZSAGMFQ-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN Cc1csc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000173319635 526365071 /nfs/dbraw/zinc/36/50/71/526365071.db2.gz AKZNDIUQYCWEKW-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1csc(N(C)C(=O)Cc2cccc([N+](=O)[O-])c2)n1 ZINC000172822866 526373602 /nfs/dbraw/zinc/37/36/02/526373602.db2.gz JNAHUCKKDJKSLV-UHFFFAOYSA-N 0 0 291.332 2.565 20 5 CFBDRN Cc1nnc([C@H](C)Sc2ncccc2[N+](=O)[O-])o1 ZINC000049418429 526407332 /nfs/dbraw/zinc/40/73/32/526407332.db2.gz JATSUTOBMFTBJC-LURJTMIESA-N 0 0 266.282 2.535 20 5 CFBDRN CC(=O)CN(CC(C)C)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000421884396 526435354 /nfs/dbraw/zinc/43/53/54/526435354.db2.gz FXDSPGRFKUEXFV-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN Cc1csc([C@H](C)Nc2ccc([N+](=O)[O-])nc2)n1 ZINC000079617857 526436673 /nfs/dbraw/zinc/43/66/73/526436673.db2.gz MICCMXZSBNATAV-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@H](C2CC2)C1 ZINC000334168967 526638350 /nfs/dbraw/zinc/63/83/50/526638350.db2.gz ATLBDHHQZGDEEQ-LBPRGKRZSA-N 0 0 299.330 2.948 20 5 CFBDRN O=C(NCc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000340423227 526661466 /nfs/dbraw/zinc/66/14/66/526661466.db2.gz HCKAOACPQCMLKE-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN CC(C)(C)[C@H](CCO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000139598817 526691601 /nfs/dbraw/zinc/69/16/01/526691601.db2.gz JZJJMJPGOHILPP-LBPRGKRZSA-N 0 0 295.339 2.513 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)o1 ZINC000226363554 526695424 /nfs/dbraw/zinc/69/54/24/526695424.db2.gz KJVPBNSLHHEHNO-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2ccc([N+](=O)[O-])cc2F)o1 ZINC000360316091 526703808 /nfs/dbraw/zinc/70/38/08/526703808.db2.gz KEFUAJFQOSBKRO-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1cc(Cl)c[nH]1 ZINC000049250596 526759624 /nfs/dbraw/zinc/75/96/24/526759624.db2.gz IIGYVGQYDTVGGX-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000370760388 526872115 /nfs/dbraw/zinc/87/21/15/526872115.db2.gz QWKXSRAGHASDBX-JTQLQIEISA-N 0 0 280.349 2.979 20 5 CFBDRN Cc1nc(CNC(=O)c2csc([N+](=O)[O-])c2)sc1C ZINC000075335878 526886244 /nfs/dbraw/zinc/88/62/44/526886244.db2.gz AWEYLWRXZPZRIC-UHFFFAOYSA-N 0 0 297.361 2.660 20 5 CFBDRN O=C(CNc1c(Cl)cccc1[N+](=O)[O-])N1CCCCC1 ZINC000228843096 526892000 /nfs/dbraw/zinc/89/20/00/526892000.db2.gz IDYXDQSNAHTWDV-UHFFFAOYSA-N 0 0 297.742 2.673 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000068043172 526969147 /nfs/dbraw/zinc/96/91/47/526969147.db2.gz KVZQFSYABHGKIE-UHFFFAOYSA-N 0 0 255.657 2.606 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1c1cccc(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000067092581 526973618 /nfs/dbraw/zinc/97/36/18/526973618.db2.gz SHQVXIPZMJJQHY-WDEREUQCSA-N 0 0 290.250 2.613 20 5 CFBDRN Cc1nc(NCCC2CCOCC2)ccc1[N+](=O)[O-] ZINC000311397933 526997526 /nfs/dbraw/zinc/99/75/26/526997526.db2.gz GFRPJANVDVMCNX-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1nc(Nc2ccn(CC(F)F)n2)ccc1[N+](=O)[O-] ZINC000151011288 527005618 /nfs/dbraw/zinc/00/56/18/527005618.db2.gz WHCDABWCFLIIFT-UHFFFAOYSA-N 0 0 283.238 2.503 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000340791713 527037088 /nfs/dbraw/zinc/03/70/88/527037088.db2.gz ONLQZSRYCUFZAL-MNOVXSKESA-N 0 0 286.690 2.869 20 5 CFBDRN Cn1c(C(=O)N2CC[C@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000334177142 527041982 /nfs/dbraw/zinc/04/19/82/527041982.db2.gz CYSZYNPJIKUSTI-LBPRGKRZSA-N 0 0 285.303 2.521 20 5 CFBDRN Cn1c(C(=O)N2CCC3(C2)CCCCC3)ccc1[N+](=O)[O-] ZINC000336452338 527042099 /nfs/dbraw/zinc/04/20/99/527042099.db2.gz FXLBBYIEHREIBH-UHFFFAOYSA-N 0 0 291.351 2.730 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@H]1CC[C@@H](F)C1 ZINC000360356098 527044955 /nfs/dbraw/zinc/04/49/55/527044955.db2.gz UKWRXMWNOFJFIR-ZJUUUORDSA-N 0 0 298.339 2.694 20 5 CFBDRN O=C1c2cccc([N+](=O)[O-])c2C(=O)N1CCC1CCCC1 ZINC000362087228 527125271 /nfs/dbraw/zinc/12/52/71/527125271.db2.gz ATHGQERDGDWQCP-UHFFFAOYSA-N 0 0 288.303 2.771 20 5 CFBDRN O=C(Nc1cc[nH]n1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000049516264 527133948 /nfs/dbraw/zinc/13/39/48/527133948.db2.gz CUKSFWNDHRPODO-UHFFFAOYSA-N 0 0 281.659 2.615 20 5 CFBDRN O=C(Nc1ccc(F)cc1O)c1csc([N+](=O)[O-])c1 ZINC000340331358 527213681 /nfs/dbraw/zinc/21/36/81/527213681.db2.gz VOBNPRBTASQFIO-UHFFFAOYSA-N 0 0 282.252 2.753 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(Cl)cc1F ZINC000336423137 527316247 /nfs/dbraw/zinc/31/62/47/527316247.db2.gz ZMEYBDQSWHVPIX-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCc1ccsc1 ZINC000360948774 527316775 /nfs/dbraw/zinc/31/67/75/527316775.db2.gz DDPNBQXVWFCVPW-UHFFFAOYSA-N 0 0 251.311 2.794 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H]1CCC[C@@H](O)C1 ZINC000078303862 527320178 /nfs/dbraw/zinc/32/01/78/527320178.db2.gz QZOIFKFOIXNTNL-VHSXEESVSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1c(NCC(F)F)ccc2ncccc21 ZINC000075060108 527321647 /nfs/dbraw/zinc/32/16/47/527321647.db2.gz BDIKQORPBIGDNP-UHFFFAOYSA-N 0 0 253.208 2.820 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000341057630 527324881 /nfs/dbraw/zinc/32/48/81/527324881.db2.gz CYWPIJYZYYWCSI-CJNGLKHVSA-N 0 0 299.330 2.744 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(NCc2nc[nH]n2)c(Cl)c1 ZINC000228844072 527331328 /nfs/dbraw/zinc/33/13/28/527331328.db2.gz XYWQVCYRDOSOLQ-UHFFFAOYSA-N 0 0 288.094 2.632 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCc1ccncn1 ZINC000054789118 527334651 /nfs/dbraw/zinc/33/46/51/527334651.db2.gz OXKWONUOCHARJB-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCc1cccnc1 ZINC000129764900 527335403 /nfs/dbraw/zinc/33/54/03/527335403.db2.gz GQLZQAPOPFPLSD-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H]1CCC[C@@H](CO)C1 ZINC000312595399 527335863 /nfs/dbraw/zinc/33/58/63/527335863.db2.gz FCNKXIQTAWYUIT-VHSXEESVSA-N 0 0 299.758 2.854 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCc1ccncc1 ZINC000148471091 527335886 /nfs/dbraw/zinc/33/58/86/527335886.db2.gz BPPFABQFBWERQD-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC2)nc1OCc1ccccc1 ZINC000360695720 527346185 /nfs/dbraw/zinc/34/61/85/527346185.db2.gz PRPUXQFFBJRODG-UHFFFAOYSA-N 0 0 299.330 2.955 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@@H](CO)Cc3ccccc32)cc1 ZINC000366377363 527350246 /nfs/dbraw/zinc/35/02/46/527350246.db2.gz MMCAWWGVUBPNHS-AWEZNQCLSA-N 0 0 298.342 2.766 20 5 CFBDRN Cn1ccc(CCNc2ccc([N+](=O)[O-])c3cnccc23)n1 ZINC000318668363 527351100 /nfs/dbraw/zinc/35/11/00/527351100.db2.gz JDRSLMRNNXMTRA-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H]2CCC[C@@H]2F)c(F)c1 ZINC000339588535 527351383 /nfs/dbraw/zinc/35/13/83/527351383.db2.gz APXOHOYKPUXTLX-JQWIXIFHSA-N 0 0 256.252 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc(N(C[C@H]2CCOC2)C2CC2)c(F)c1 ZINC000167537269 527358593 /nfs/dbraw/zinc/35/85/93/527358593.db2.gz VHSJWUWWCNSSJF-SNVBAGLBSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCCS2)cn1 ZINC000158380660 527365662 /nfs/dbraw/zinc/36/56/62/527365662.db2.gz WRGAMWMMWBSWIL-SNVBAGLBSA-N 0 0 253.327 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@@H](O)C2)c2cccnc21 ZINC000227661807 527365827 /nfs/dbraw/zinc/36/58/27/527365827.db2.gz ZTOSCWRZLLIODH-GHMZBOCLSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2Cc3ccccc3[C@@H]2O)cc1 ZINC000180435184 527367814 /nfs/dbraw/zinc/36/78/14/527367814.db2.gz UAROHFFWISKSLO-CABCVRRESA-N 0 0 270.288 2.665 20 5 CFBDRN O=[N+]([O-])c1ccc(SCC[C@@H]2CCCO2)nc1 ZINC000189106488 527376651 /nfs/dbraw/zinc/37/66/51/527376651.db2.gz LFLPLZWYMSMDEX-JTQLQIEISA-N 0 0 254.311 2.651 20 5 CFBDRN O=[N+]([O-])c1cccc(CCc2nc(-c3ccncc3)no2)c1 ZINC000088337177 527386894 /nfs/dbraw/zinc/38/68/94/527386894.db2.gz FCFNXIGAVBHHMK-UHFFFAOYSA-N 0 0 296.286 2.825 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC1(O)CCCCC1 ZINC000311364056 527389253 /nfs/dbraw/zinc/38/92/53/527389253.db2.gz PLLQDMFSHYLQJZ-UHFFFAOYSA-N 0 0 268.288 2.841 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@@H]3CC[C@@H](O)C3)ccnc21 ZINC000227662249 527395913 /nfs/dbraw/zinc/39/59/13/527395913.db2.gz SVGOSWLURYBADE-GHMZBOCLSA-N 0 0 287.319 2.716 20 5 CFBDRN O=c1[nH]c(Cc2ccccc2[N+](=O)[O-])nc2cc(F)ccc12 ZINC000339563082 527402361 /nfs/dbraw/zinc/40/23/61/527402361.db2.gz RTUSOVAIBGBLEK-UHFFFAOYSA-N 0 0 299.261 2.974 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCC1CCOCC1 ZINC000336790246 527403243 /nfs/dbraw/zinc/40/32/43/527403243.db2.gz WHSLJJAOBUHXRC-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](CO)c1ccc(F)cc1 ZINC000341500685 527404272 /nfs/dbraw/zinc/40/42/72/527404272.db2.gz MTHLFJCKWHWOMY-CYBMUJFWSA-N 0 0 276.267 2.879 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H]1c1nccs1 ZINC000364926927 527405633 /nfs/dbraw/zinc/40/56/33/527405633.db2.gz RSYXYCIBXVODTE-JTQLQIEISA-N 0 0 276.321 2.788 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC1CC(C(F)(F)F)C1 ZINC000340902412 527406120 /nfs/dbraw/zinc/40/61/20/527406120.db2.gz RBDSXCSDZGHCPZ-UHFFFAOYSA-N 0 0 261.203 2.743 20 5 CFBDRN O=[N+]([O-])c1ccn(C[C@H](O)Cc2ccc3ccccc3c2)n1 ZINC000360686728 527408392 /nfs/dbraw/zinc/40/83/92/527408392.db2.gz ZULMMARRBXHHBP-OAHLLOKOSA-N 0 0 297.314 2.548 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccc3cccnc32)nc1 ZINC000159490882 527411355 /nfs/dbraw/zinc/41/13/55/527411355.db2.gz XLLJJMFNPHSQLY-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCO[C@@H](c2cccs2)C1 ZINC000361042213 527412554 /nfs/dbraw/zinc/41/25/54/527412554.db2.gz JEHUAQPXPHGTSL-GFCCVEGCSA-N 0 0 291.332 2.629 20 5 CFBDRN Nc1c(C(=O)N2CCCC23CCCC3)cccc1[N+](=O)[O-] ZINC000314023332 527425366 /nfs/dbraw/zinc/42/53/66/527425366.db2.gz PPGGMHXMAYKORU-UHFFFAOYSA-N 0 0 289.335 2.726 20 5 CFBDRN O=C(NCCCOC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000061260838 527682537 /nfs/dbraw/zinc/68/25/37/527682537.db2.gz HJKZDRGOLJTSRO-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN Cc1nn(C)cc1CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000317379161 527693599 /nfs/dbraw/zinc/69/35/99/527693599.db2.gz UTFWTFJILHQVHU-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CC(C)OC(=O)CC[C@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413277649 527793232 /nfs/dbraw/zinc/79/32/32/527793232.db2.gz ZZRVBLOXNAIGIX-VIFPVBQESA-N 0 0 299.302 2.661 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3c[nH]c4ccc([N+](=O)[O-])cc34)C[C@@H]21 ZINC000174265440 527847970 /nfs/dbraw/zinc/84/79/70/527847970.db2.gz XXCXCTZTZWKNFD-BETUJISGSA-N 0 0 299.330 2.804 20 5 CFBDRN CCCN(C)c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413093340 527869719 /nfs/dbraw/zinc/86/97/19/527869719.db2.gz NVOJYEUFYWJIQS-UHFFFAOYSA-N 0 0 263.219 2.855 20 5 CFBDRN CC(C)OCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000414006439 527904534 /nfs/dbraw/zinc/90/45/34/527904534.db2.gz PPASMQNDFABGRB-UHFFFAOYSA-N 0 0 280.324 2.528 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000135788182 527961293 /nfs/dbraw/zinc/96/12/93/527961293.db2.gz SCTGZQPSLDAYRT-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN CCC[C@H](C)C[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000426792297 528039082 /nfs/dbraw/zinc/03/90/82/528039082.db2.gz ITGBHQQTQSQSCC-SMDDNHRTSA-N 0 0 294.351 2.964 20 5 CFBDRN CC(C)Oc1cc(C(=O)NCC[C@@H](C)F)ccc1[N+](=O)[O-] ZINC000412370817 528101696 /nfs/dbraw/zinc/10/16/96/528101696.db2.gz YNTXVMAORTULBM-SNVBAGLBSA-N 0 0 298.314 2.860 20 5 CFBDRN CCCCCOC1CN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000428161820 528223037 /nfs/dbraw/zinc/22/30/37/528223037.db2.gz FNACQCVQYJYPRZ-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN CCOc1cc(NCCCCCO)ccc1[N+](=O)[O-] ZINC000192584550 528325930 /nfs/dbraw/zinc/32/59/30/528325930.db2.gz GRNJCZLLAVRLBI-UHFFFAOYSA-N 0 0 268.313 2.568 20 5 CFBDRN CCC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000192195169 528530477 /nfs/dbraw/zinc/53/04/77/528530477.db2.gz BHCAWOHDKAFJEC-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CCC(CC)CNC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000173081510 528756943 /nfs/dbraw/zinc/75/69/43/528756943.db2.gz DFXWKHUZUZTFOO-UHFFFAOYSA-N 0 0 293.367 2.583 20 5 CFBDRN CCCC[C@H](C(=O)OC)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000173200187 528878701 /nfs/dbraw/zinc/87/87/01/528878701.db2.gz UYEZBQVARPEKLO-CQSZACIVSA-N 0 0 294.351 2.758 20 5 CFBDRN CC(C)[C@H](Nc1ncc([N+](=O)[O-])cc1F)c1ncc[nH]1 ZINC000413279463 529127305 /nfs/dbraw/zinc/12/73/05/529127305.db2.gz GQYREPUXTBDAEN-JTQLQIEISA-N 0 0 279.275 2.661 20 5 CFBDRN CCSCC[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000173292438 529194925 /nfs/dbraw/zinc/19/49/25/529194925.db2.gz FWOZCAPVOQBNQQ-JTQLQIEISA-N 0 0 282.365 2.856 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1ncc([N+](=O)[O-])cc1F)OC ZINC000413321046 529213772 /nfs/dbraw/zinc/21/37/72/529213772.db2.gz FUADZBDAHDTTBT-GZMMTYOYSA-N 0 0 271.292 2.602 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000155993789 529233615 /nfs/dbraw/zinc/23/36/15/529233615.db2.gz QNTMBASEXWLHTF-SMDDNHRTSA-N 0 0 292.335 2.669 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@H](CC)O1 ZINC000421947012 529282633 /nfs/dbraw/zinc/28/26/33/529282633.db2.gz HISSWMUBMPSXOL-UWVGGRQHSA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)C[C@H](CC)O1 ZINC000421946664 529284910 /nfs/dbraw/zinc/28/49/10/529284910.db2.gz HAYDAQFGSICVDR-QWRGUYRKSA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@@H](C)CNC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000421924395 529320861 /nfs/dbraw/zinc/32/08/61/529320861.db2.gz WLJGBHUZIBWMBO-LLVKDONJSA-N 0 0 294.351 2.834 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000421102259 529328303 /nfs/dbraw/zinc/32/83/03/529328303.db2.gz VRCGQMVPXSLACJ-YPMHNXCESA-N 0 0 293.323 2.674 20 5 CFBDRN CC[C@H](CNC(=O)c1ccc([N+](=O)[O-])o1)CC(F)(F)F ZINC000413997295 529358549 /nfs/dbraw/zinc/35/85/49/529358549.db2.gz CASFZPBWCGBYQL-ZETCQYMHSA-N 0 0 294.229 2.896 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000446323756 535192808 /nfs/dbraw/zinc/19/28/08/535192808.db2.gz HOZBPXOJXWHDFK-ZDUSSCGKSA-N 0 0 276.336 2.642 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000445505921 535249694 /nfs/dbraw/zinc/24/96/94/535249694.db2.gz UVLFLTIRHYUYCA-CYBMUJFWSA-N 0 0 291.351 2.782 20 5 CFBDRN CCC1(CC)CN(c2ccccc2[N+](=O)[O-])CC[S@@]1=O ZINC000302223106 535266967 /nfs/dbraw/zinc/26/69/67/535266967.db2.gz PEJFSRVYCCUNPB-HXUWFJFHSA-N 0 0 296.392 2.722 20 5 CFBDRN CC(C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000490697732 535293190 /nfs/dbraw/zinc/29/31/90/535293190.db2.gz TYZFASFFEKTPOH-UHFFFAOYSA-N 0 0 251.282 2.824 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000457326992 535366742 /nfs/dbraw/zinc/36/67/42/535366742.db2.gz CFQYAWBTMTZBMB-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN CC(C)C1CCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000266195541 535378414 /nfs/dbraw/zinc/37/84/14/535378414.db2.gz GCDLPASJECNGNM-UHFFFAOYSA-N 0 0 266.297 2.696 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC2CC(C)(F)C2)c1 ZINC000452274928 535427673 /nfs/dbraw/zinc/42/76/73/535427673.db2.gz HEJCNMHQMZFIDU-UHFFFAOYSA-N 0 0 296.298 2.614 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000455874990 535505914 /nfs/dbraw/zinc/50/59/14/535505914.db2.gz MIDDCLKYNTXXPV-SNVBAGLBSA-N 0 0 294.355 2.540 20 5 CFBDRN CCC[C@H](C)[C@H]1CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000268999546 535658346 /nfs/dbraw/zinc/65/83/46/535658346.db2.gz TXYBKJZKMJPHIM-GXSJLCMTSA-N 0 0 294.355 2.667 20 5 CFBDRN CC[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1C)C(F)F ZINC000452246526 535788239 /nfs/dbraw/zinc/78/82/39/535788239.db2.gz OJGBWBNQYUUNHO-SNVBAGLBSA-N 0 0 286.278 2.606 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000452771494 535916120 /nfs/dbraw/zinc/91/61/20/535916120.db2.gz IAVSAUMDKAFJBQ-SCZZXKLOSA-N 0 0 266.272 2.510 20 5 CFBDRN CC[C@H](O)CCCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450349378 535959366 /nfs/dbraw/zinc/95/93/66/535959366.db2.gz NYUUSICVQCUEOQ-NSHDSACASA-N 0 0 289.335 2.528 20 5 CFBDRN CN(C(=O)CC1CCC(=O)CC1)c1cccc([N+](=O)[O-])c1 ZINC000355996264 535966546 /nfs/dbraw/zinc/96/65/46/535966546.db2.gz XNWGQYKYAQCFMD-UHFFFAOYSA-N 0 0 290.319 2.707 20 5 CFBDRN CCc1ccnc(CNc2ncc(C)cc2[N+](=O)[O-])c1 ZINC000435602646 536013777 /nfs/dbraw/zinc/01/37/77/536013777.db2.gz DSUIAWVQNWXKIL-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000276038144 536059224 /nfs/dbraw/zinc/05/92/24/536059224.db2.gz MZJFJDRVDYDCBX-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN CN1C(=O)COc2ccc(Nc3ccccc3[N+](=O)[O-])cc21 ZINC000301429316 536315524 /nfs/dbraw/zinc/31/55/24/536315524.db2.gz IBEZKMFQBPXFIW-UHFFFAOYSA-N 0 0 299.286 2.694 20 5 CFBDRN COC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000457045448 536519590 /nfs/dbraw/zinc/51/95/90/536519590.db2.gz RNZSYIYJLSTFER-JTQLQIEISA-N 0 0 298.364 2.545 20 5 CFBDRN COC[C@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000449768215 536525047 /nfs/dbraw/zinc/52/50/47/536525047.db2.gz AHJZJMGCMXZHBN-LBPRGKRZSA-N 0 0 294.351 2.549 20 5 CFBDRN COCC1(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000459893246 536815276 /nfs/dbraw/zinc/81/52/76/536815276.db2.gz UBFSOOVAMROKKN-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN CC[C@H](NCc1cccc([N+](=O)[O-])c1)C(=O)OC(C)(C)C ZINC000206785752 545580396 /nfs/dbraw/zinc/58/03/96/545580396.db2.gz IGIQRFZWRSYZIV-ZDUSSCGKSA-N 0 0 294.351 2.805 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935251600 649891847 /nfs/dbraw/zinc/89/18/47/649891847.db2.gz LNWUWAOXLRZZQB-KFWWJZLASA-N 0 0 288.347 2.955 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935251602 649891936 /nfs/dbraw/zinc/89/19/36/649891936.db2.gz LNWUWAOXLRZZQB-KKUMJFAQSA-N 0 0 288.347 2.955 20 5 CFBDRN O=C(/C=C\C1CC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000744074109 574052270 /nfs/dbraw/zinc/05/22/70/574052270.db2.gz HGZSUOSXEXPPEC-UTCJRWHESA-N 0 0 265.240 2.743 20 5 CFBDRN Cc1ccc(CC(=O)Oc2cccc([N+](=O)[O-])c2)o1 ZINC000753901568 574053985 /nfs/dbraw/zinc/05/39/85/574053985.db2.gz IGEXPJLNWDFBBO-UHFFFAOYSA-N 0 0 261.233 2.644 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)OCCC1CC1 ZINC000744281617 574063817 /nfs/dbraw/zinc/06/38/17/574063817.db2.gz OTTSRSJYIZWJRZ-UHFFFAOYSA-N 0 0 288.303 2.893 20 5 CFBDRN COc1ccc(C(=O)OCCC2CC2)cc1[N+](=O)[O-] ZINC000744287643 574064577 /nfs/dbraw/zinc/06/45/77/574064577.db2.gz ZSBPOOFUYQMRRY-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])cc1Cl)C1CCC1 ZINC000754054808 574066835 /nfs/dbraw/zinc/06/68/35/574066835.db2.gz QCCGDBOIRUCBCW-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN C[C@@H](OC(=O)[C@]1(C)CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000754110683 574073855 /nfs/dbraw/zinc/07/38/55/574073855.db2.gz QWJZFMNVXTVWRM-QMTHXVAHSA-N 0 0 279.292 2.626 20 5 CFBDRN CCCCOC(=O)CN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000754112042 574073895 /nfs/dbraw/zinc/07/38/95/574073895.db2.gz CEUQVHDYADVFCJ-UHFFFAOYSA-N 0 0 292.335 2.609 20 5 CFBDRN C[C@H](OC(=O)/C=C/c1ccncn1)c1cccc([N+](=O)[O-])c1 ZINC000754109584 574073926 /nfs/dbraw/zinc/07/39/26/574073926.db2.gz JAEDTSXHZLRIDH-QRGHLMKCSA-N 0 0 299.286 2.702 20 5 CFBDRN CCOCCC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000728180407 574084929 /nfs/dbraw/zinc/08/49/29/574084929.db2.gz SFAFSWHTUKBVTC-SNVBAGLBSA-N 0 0 267.281 2.626 20 5 CFBDRN COC[C@@H](C)CC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000756243878 574085884 /nfs/dbraw/zinc/08/58/84/574085884.db2.gz ODXNDQACVOVDMC-WDEREUQCSA-N 0 0 281.308 2.872 20 5 CFBDRN Cc1ccc(CC(=O)OCc2c(F)cccc2[N+](=O)[O-])o1 ZINC000754336517 574089189 /nfs/dbraw/zinc/08/91/89/574089189.db2.gz ZDXZXUGGPRCBHP-UHFFFAOYSA-N 0 0 293.250 2.921 20 5 CFBDRN Cc1nn(C)c(C(=O)OCCCC2CCCC2)c1[N+](=O)[O-] ZINC000744855992 574090562 /nfs/dbraw/zinc/09/05/62/574090562.db2.gz OTYNHHHCFZPDBL-UHFFFAOYSA-N 0 0 295.339 2.764 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NC1CC=CC1 ZINC000754357852 574090646 /nfs/dbraw/zinc/09/06/46/574090646.db2.gz JRPHBCRLWDHYQI-KHPPLWFESA-N 0 0 272.304 2.833 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1cscn1 ZINC000728339471 574092418 /nfs/dbraw/zinc/09/24/18/574092418.db2.gz WUBRSRSGCFYCPQ-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CCc1cnc(COC(=O)c2ccc([N+](=O)[O-])cc2C)o1 ZINC000744915365 574093194 /nfs/dbraw/zinc/09/31/94/574093194.db2.gz KFOIZYNPPNKCCC-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1cc(N)ccc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000728364819 574094243 /nfs/dbraw/zinc/09/42/43/574094243.db2.gz ABYQVAOJFHMMEB-UHFFFAOYSA-N 0 0 292.316 2.904 20 5 CFBDRN CCOCCN(CC)C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000730731429 574094369 /nfs/dbraw/zinc/09/43/69/574094369.db2.gz BBZUGSQOTNIKJQ-UHFFFAOYSA-N 0 0 297.380 2.650 20 5 CFBDRN CC[C@@](C)(NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC000730789655 574097288 /nfs/dbraw/zinc/09/72/88/574097288.db2.gz GOFRGCQYHFTPIV-OAHLLOKOSA-N 0 0 287.319 2.978 20 5 CFBDRN CC(C)C(=O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000730845304 574100464 /nfs/dbraw/zinc/10/04/64/574100464.db2.gz FQETXZVFUPOXQN-UHFFFAOYSA-N 0 0 291.259 2.723 20 5 CFBDRN CCN1CCCC[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000745089261 574100861 /nfs/dbraw/zinc/10/08/61/574100861.db2.gz QNTICHDBQZIUMJ-NSHDSACASA-N 0 0 298.364 2.574 20 5 CFBDRN CS[C@@H](C)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000728769707 574107645 /nfs/dbraw/zinc/10/76/45/574107645.db2.gz IIIPFWFZJFYOSK-BDAKNGLRSA-N 0 0 269.322 2.951 20 5 CFBDRN CCOc1ccc(OC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000754631923 574109784 /nfs/dbraw/zinc/10/97/84/574109784.db2.gz NIBANCJOMGCRCX-UHFFFAOYSA-N 0 0 290.275 2.551 20 5 CFBDRN C[C@@H](OC(=O)c1ccc(CO)o1)c1cccc([N+](=O)[O-])c1 ZINC000745383541 574111859 /nfs/dbraw/zinc/11/18/59/574111859.db2.gz MVFWLJTYJXDIJD-SECBINFHSA-N 0 0 291.259 2.598 20 5 CFBDRN Cn1cccc1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000741440672 574112731 /nfs/dbraw/zinc/11/27/31/574112731.db2.gz QZCJXZWRCLKPCL-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000731389316 574119617 /nfs/dbraw/zinc/11/96/17/574119617.db2.gz BUKSAOHWAZPFOG-ZETCQYMHSA-N 0 0 275.351 2.699 20 5 CFBDRN CCC(CC)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000754776753 574119949 /nfs/dbraw/zinc/11/99/49/574119949.db2.gz IXZPTOUDZNRUGF-UHFFFAOYSA-N 0 0 295.291 2.711 20 5 CFBDRN C[C@H](C(=O)OCc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000745617275 574120504 /nfs/dbraw/zinc/12/05/04/574120504.db2.gz CZBQENYMEWYDAS-SSDOTTSWSA-N 0 0 277.198 2.836 20 5 CFBDRN CC(C)(C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731548798 574123244 /nfs/dbraw/zinc/12/32/44/574123244.db2.gz MIOQBBDZUDBCAS-UHFFFAOYSA-N 0 0 255.245 2.937 20 5 CFBDRN C/C(=C/C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000731714688 574128030 /nfs/dbraw/zinc/12/80/30/574128030.db2.gz VENCXMVXBAWURU-YFHOEESVSA-N 0 0 289.287 2.677 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@H]2CCCS2)c1[N+](=O)[O-] ZINC000745960550 574129492 /nfs/dbraw/zinc/12/94/92/574129492.db2.gz UNQHTKHPLTYMMT-JTQLQIEISA-N 0 0 281.333 2.956 20 5 CFBDRN O=C(OCC1CC1)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754981348 574131028 /nfs/dbraw/zinc/13/10/28/574131028.db2.gz CPVARISXKCDPCH-UHFFFAOYSA-N 0 0 273.647 2.954 20 5 CFBDRN CC(C)C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746058283 574131996 /nfs/dbraw/zinc/13/19/96/574131996.db2.gz NBSVXGAHWRVQBS-UHFFFAOYSA-N 0 0 257.673 2.947 20 5 CFBDRN CSCCOC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755013768 574132515 /nfs/dbraw/zinc/13/25/15/574132515.db2.gz RMZIVXIAJAWVIY-KTKRTIGZSA-N 0 0 281.333 2.904 20 5 CFBDRN CCO[C@H]1C[C@@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746067931 574132570 /nfs/dbraw/zinc/13/25/70/574132570.db2.gz AURSHWQQYVTIMY-JQWIXIFHSA-N 0 0 299.710 2.716 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCC(=O)C(C)C ZINC000755019636 574133176 /nfs/dbraw/zinc/13/31/76/574133176.db2.gz KTLBGEMJOCBBHZ-DHZHZOJOSA-N 0 0 291.303 2.766 20 5 CFBDRN CC(C)c1ocnc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000731903347 574133346 /nfs/dbraw/zinc/13/33/46/574133346.db2.gz AFLJWBSEHRGCNV-UHFFFAOYSA-N 0 0 275.264 2.959 20 5 CFBDRN C[C@H](C(=O)OCCCF)c1ccc([N+](=O)[O-])cc1F ZINC000755066637 574135181 /nfs/dbraw/zinc/13/51/81/574135181.db2.gz RZALBAYDRXBBAR-QMMMGPOBSA-N 0 0 273.235 2.740 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1ccc(O)cc1 ZINC000728990125 574144100 /nfs/dbraw/zinc/14/41/00/574144100.db2.gz AYPWSXDKMWLATF-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN COC[C@H](C)CC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000755198844 574146008 /nfs/dbraw/zinc/14/60/08/574146008.db2.gz AJZLNNXCEINQQV-MNOVXSKESA-N 0 0 281.308 2.872 20 5 CFBDRN C[C@H](OC(=O)[C@]1(C)CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000755201183 574146833 /nfs/dbraw/zinc/14/68/33/574146833.db2.gz YQBZTLZVRSAYBK-HZMBPMFUSA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H](O)c1cccc(F)c1 ZINC000751964039 574148358 /nfs/dbraw/zinc/14/83/58/574148358.db2.gz AAQWCTHLNJUNDT-LKFCYVNXSA-N 0 0 291.282 2.663 20 5 CFBDRN Cc1ccc(OC(=O)c2cnn3ccccc23)cc1[N+](=O)[O-] ZINC000755247734 574149666 /nfs/dbraw/zinc/14/96/66/574149666.db2.gz ATOWVNJPTFEIMG-UHFFFAOYSA-N 0 0 297.270 2.770 20 5 CFBDRN COc1cccc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000755250852 574149803 /nfs/dbraw/zinc/14/98/03/574149803.db2.gz UIPLZLIPPJSGKD-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CON(C(=O)Nc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000755335077 574154524 /nfs/dbraw/zinc/15/45/24/574154524.db2.gz BGJLVDYGDSTZCN-UHFFFAOYSA-N 0 0 279.296 2.933 20 5 CFBDRN Cc1c(OC(=O)/C=C\C2CCOCC2)cccc1[N+](=O)[O-] ZINC000732634654 574164011 /nfs/dbraw/zinc/16/40/11/574164011.db2.gz KELFMJFORWZBMV-WAYWQWQTSA-N 0 0 291.303 2.791 20 5 CFBDRN CCCC(=O)COC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000738569243 574166671 /nfs/dbraw/zinc/16/66/71/574166671.db2.gz XZKHTIPDJZBRSR-UHFFFAOYSA-N 0 0 297.332 2.599 20 5 CFBDRN C[C@@H](OC(=O)[C@H](O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000732717429 574167360 /nfs/dbraw/zinc/16/73/60/574167360.db2.gz DSUSYXJQZNMAOL-SKDRFNHKSA-N 0 0 281.308 2.606 20 5 CFBDRN CCOc1cc(COC(=O)C2(C)CC2)ccc1[N+](=O)[O-] ZINC000755550829 574168358 /nfs/dbraw/zinc/16/83/58/574168358.db2.gz MJLHUVBMPUPLBZ-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000746904536 574170098 /nfs/dbraw/zinc/17/00/98/574170098.db2.gz VOZFDEAEGWIOGV-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN CC(C)=CC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746902520 574170167 /nfs/dbraw/zinc/17/01/67/574170167.db2.gz XDMBSMKECUWXKE-UHFFFAOYSA-N 0 0 253.229 2.743 20 5 CFBDRN O=C(CCC1CC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000746986958 574174642 /nfs/dbraw/zinc/17/46/42/574174642.db2.gz PNXBQKJKSUYUKI-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN CCCCCOC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755672332 574176819 /nfs/dbraw/zinc/17/68/19/574176819.db2.gz HMAVXAYAGMXRJL-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN O=C(OCCF)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000747125404 574180864 /nfs/dbraw/zinc/18/08/64/574180864.db2.gz JIKCDCOQSFPWEW-UHFFFAOYSA-N 0 0 281.161 2.740 20 5 CFBDRN COC[C@@H](OC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000747158286 574182769 /nfs/dbraw/zinc/18/27/69/574182769.db2.gz KGENRKNTPPZARH-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN Cc1nc(C)c(COC(=O)c2ccc([N+](=O)[O-])o2)s1 ZINC000729206690 574184692 /nfs/dbraw/zinc/18/46/92/574184692.db2.gz VEFLBLGPHDWUQQ-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN Cc1ccc(N2CCO[C@@H](C(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000747223818 574188230 /nfs/dbraw/zinc/18/82/30/574188230.db2.gz SVXVYXFCMKZZSP-LLVKDONJSA-N 0 0 290.241 2.671 20 5 CFBDRN CSCC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000729344410 574201490 /nfs/dbraw/zinc/20/14/90/574201490.db2.gz GWRHXEKBLFOXSV-QMMMGPOBSA-N 0 0 255.295 2.562 20 5 CFBDRN C[C@@H]1C[C@@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000756039067 574205958 /nfs/dbraw/zinc/20/59/58/574205958.db2.gz QSIKNBSBAZFHHZ-GNXNZQSNSA-N 0 0 293.319 2.637 20 5 CFBDRN CC(C)[C@@H](C)N(C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000733186639 574207913 /nfs/dbraw/zinc/20/79/13/574207913.db2.gz HNXVOSYFJONHMD-SNVBAGLBSA-N 0 0 291.351 2.960 20 5 CFBDRN CC(C)[C@H](C)N(C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000733186637 574208082 /nfs/dbraw/zinc/20/80/82/574208082.db2.gz HNXVOSYFJONHMD-JTQLQIEISA-N 0 0 291.351 2.960 20 5 CFBDRN CC(C)[C@H](C)N(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000733187638 574208860 /nfs/dbraw/zinc/20/88/60/574208860.db2.gz SYWNQTNVSCGYNP-QMMMGPOBSA-N 0 0 264.285 2.612 20 5 CFBDRN CC(C)[C@@H]1CCN(c2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000756140890 574212737 /nfs/dbraw/zinc/21/27/37/574212737.db2.gz UKMHCAUDGYAYKB-SECBINFHSA-N 0 0 276.296 2.613 20 5 CFBDRN CC(C)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000756139839 574212984 /nfs/dbraw/zinc/21/29/84/574212984.db2.gz NXMUFLBSNQBANN-JTQLQIEISA-N 0 0 279.296 2.985 20 5 CFBDRN Cc1ncoc1C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000747819632 574214472 /nfs/dbraw/zinc/21/44/72/574214472.db2.gz BLQPSOWIUNJHDN-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN C[C@H](OC(=O)Cn1ccc([N+](=O)[O-])n1)C1CCCCCC1 ZINC000756168185 574214885 /nfs/dbraw/zinc/21/48/85/574214885.db2.gz PPCDPFRSBCBUSX-NSHDSACASA-N 0 0 295.339 2.693 20 5 CFBDRN O=c1ccn(Cc2ccc([N+](=O)[O-])cc2F)c(Cl)c1 ZINC000747856845 574214996 /nfs/dbraw/zinc/21/49/96/574214996.db2.gz GHIPEUJOQYYVBM-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000747841861 574215117 /nfs/dbraw/zinc/21/51/17/574215117.db2.gz OCBYXHQRECQWPX-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000733302658 574216141 /nfs/dbraw/zinc/21/61/41/574216141.db2.gz KBBQGABZTBBVMA-YFKPBYRVSA-N 0 0 270.232 2.646 20 5 CFBDRN COC[C@H](C)CC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000756243873 574218360 /nfs/dbraw/zinc/21/83/60/574218360.db2.gz ODXNDQACVOVDMC-MNOVXSKESA-N 0 0 281.308 2.872 20 5 CFBDRN CC[C@H](C)COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000756337579 574225893 /nfs/dbraw/zinc/22/58/93/574225893.db2.gz SVMXBKHHMRPCHT-JTQLQIEISA-N 0 0 251.282 2.727 20 5 CFBDRN C/C=C\C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000729651094 574227198 /nfs/dbraw/zinc/22/71/98/574227198.db2.gz SNNDRVRXRKWXCH-ARJAWSKDSA-N 0 0 268.700 2.783 20 5 CFBDRN CCC[C@@H](C)OC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000748110285 574229773 /nfs/dbraw/zinc/22/97/73/574229773.db2.gz BQMVMVUALXOKEW-SNVBAGLBSA-N 0 0 291.307 2.676 20 5 CFBDRN C[C@@H]1CCC[C@H](CC(=O)OCCn2ccc([N+](=O)[O-])n2)C1 ZINC000748120047 574230374 /nfs/dbraw/zinc/23/03/74/574230374.db2.gz IMMFPKPJZMMVIN-NEPJUHHUSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000756386143 574232010 /nfs/dbraw/zinc/23/20/10/574232010.db2.gz IXLNZTLERIOHNU-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000756410084 574234899 /nfs/dbraw/zinc/23/48/99/574234899.db2.gz HQQHRLHCBYXVPG-QMMMGPOBSA-N 0 0 255.245 2.937 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1ccc([N+](=O)[O-])cc1F ZINC000748178017 574235345 /nfs/dbraw/zinc/23/53/45/574235345.db2.gz JXDTWXVLASGYKT-SECBINFHSA-N 0 0 295.266 2.650 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000729895191 574235792 /nfs/dbraw/zinc/23/57/92/574235792.db2.gz HLDZPXBXUIXGOK-RKDXNWHRSA-N 0 0 274.276 2.889 20 5 CFBDRN COCCCCOC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000729892342 574235902 /nfs/dbraw/zinc/23/59/02/574235902.db2.gz VBWPWUIIBXLBTP-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000729896687 574236378 /nfs/dbraw/zinc/23/63/78/574236378.db2.gz YCSPRIVAHRFSMG-MNOVXSKESA-N 0 0 279.292 2.563 20 5 CFBDRN CC(C)OCCCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000733485230 574241520 /nfs/dbraw/zinc/24/15/20/574241520.db2.gz YVXGTZLLWPOOKN-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN CC(C)CC(=O)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000756526521 574243832 /nfs/dbraw/zinc/24/38/32/574243832.db2.gz KTOUFKRJZIPYLK-UHFFFAOYSA-N 0 0 293.319 2.686 20 5 CFBDRN CCc1ocnc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000740269446 574245129 /nfs/dbraw/zinc/24/51/29/574245129.db2.gz KAQAREIEGOFGJB-UHFFFAOYSA-N 0 0 276.248 2.502 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000733579907 574247421 /nfs/dbraw/zinc/24/74/21/574247421.db2.gz SNPJSFDRHZYESC-JTQLQIEISA-N 0 0 279.292 2.613 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000733581218 574248017 /nfs/dbraw/zinc/24/80/17/574248017.db2.gz SZPDAGMFWWZTFX-SNVBAGLBSA-N 0 0 279.292 2.613 20 5 CFBDRN COC[C@H](C)OC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000733708574 574253457 /nfs/dbraw/zinc/25/34/57/574253457.db2.gz OPTOTTPRSFJAQF-QMMMGPOBSA-N 0 0 285.321 2.508 20 5 CFBDRN CSCCCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000748474714 574254484 /nfs/dbraw/zinc/25/44/84/574254484.db2.gz AOSDCASFECSEKU-UHFFFAOYSA-N 0 0 283.349 2.742 20 5 CFBDRN CCn1cc(CN(C)Cc2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000733796153 574257957 /nfs/dbraw/zinc/25/79/57/574257957.db2.gz QUKSVMQIDNYFBX-UHFFFAOYSA-N 0 0 292.314 2.582 20 5 CFBDRN CC[C@H](OC)C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000740675946 574261640 /nfs/dbraw/zinc/26/16/40/574261640.db2.gz XKJSMPGKPXTMBQ-NSHDSACASA-N 0 0 287.699 2.716 20 5 CFBDRN CCn1cc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000733919207 574264989 /nfs/dbraw/zinc/26/49/89/574264989.db2.gz KYOUHDSSZKGMHI-JTQLQIEISA-N 0 0 289.291 2.729 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])s1)c1ccccc1 ZINC000733994586 574268042 /nfs/dbraw/zinc/26/80/42/574268042.db2.gz RLSFJLWWKKJZOX-CYBMUJFWSA-N 0 0 278.333 2.976 20 5 CFBDRN CC[C@@H](CCO)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000740869980 574271092 /nfs/dbraw/zinc/27/10/92/574271092.db2.gz SLRGTJXTDKYVPO-QMMMGPOBSA-N 0 0 281.337 2.777 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)C1CCSCC1 ZINC000740960474 574276053 /nfs/dbraw/zinc/27/60/53/574276053.db2.gz ZLRKAHDJGALOSZ-UHFFFAOYSA-N 0 0 287.362 2.843 20 5 CFBDRN CC1(C)CCC[C@H](COC(=O)Cn2cc([N+](=O)[O-])cn2)C1 ZINC000749011742 574276721 /nfs/dbraw/zinc/27/67/21/574276721.db2.gz RABSAFSSDVALFY-NSHDSACASA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@@H](OC)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000749053128 574277920 /nfs/dbraw/zinc/27/79/20/574277920.db2.gz DBMWAMUDKOPKLZ-BXKDBHETSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(CC1(O)CCCCC1)OCc1ccc([N+](=O)[O-])cc1 ZINC000734196705 574279356 /nfs/dbraw/zinc/27/93/56/574279356.db2.gz YQVRASZAAMAKGQ-UHFFFAOYSA-N 0 0 293.319 2.723 20 5 CFBDRN Cc1c(OC(=O)CCn2cccc2)cccc1[N+](=O)[O-] ZINC000749135229 574281640 /nfs/dbraw/zinc/28/16/40/574281640.db2.gz MWYSERAYBJOVLQ-UHFFFAOYSA-N 0 0 274.276 2.700 20 5 CFBDRN O=C(CCn1cccc1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000749134394 574281726 /nfs/dbraw/zinc/28/17/26/574281726.db2.gz BAXJBUVNHPYJET-UHFFFAOYSA-N 0 0 292.266 2.669 20 5 CFBDRN Cc1[nH]nc(C(=O)O[C@@H](C)c2ccc([N+](=O)[O-])cc2)c1C ZINC000734708980 574299574 /nfs/dbraw/zinc/29/95/74/574299574.db2.gz UBNFDDNIUBRZQJ-JTQLQIEISA-N 0 0 289.291 2.853 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2CCC2)c1 ZINC000741751837 574300570 /nfs/dbraw/zinc/30/05/70/574300570.db2.gz QYMXAYSHOGOQHJ-UHFFFAOYSA-N 0 0 254.261 2.955 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])C1=COCC1 ZINC000734897956 574307093 /nfs/dbraw/zinc/30/70/93/574307093.db2.gz WMLQYOZVGHWVMI-UHFFFAOYSA-N 0 0 283.667 2.596 20 5 CFBDRN Cc1ccncc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000741886734 574309074 /nfs/dbraw/zinc/30/90/74/574309074.db2.gz AISAYRBIQGOVAT-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)Cc2ccco2)c1 ZINC000741904150 574309435 /nfs/dbraw/zinc/30/94/35/574309435.db2.gz NRIJMSCSFZZYBV-LLVKDONJSA-N 0 0 288.303 2.857 20 5 CFBDRN C[C@@H](CC(=O)Oc1cccc([N+](=O)[O-])c1)n1cccc1 ZINC000735030933 574310963 /nfs/dbraw/zinc/31/09/63/574310963.db2.gz QRAZVGOXQJAILK-NSHDSACASA-N 0 0 274.276 2.953 20 5 CFBDRN C/C=C\C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000741958264 574312114 /nfs/dbraw/zinc/31/21/14/574312114.db2.gz QODYUNKPGGKNSQ-XQJDBVBESA-N 0 0 278.333 2.745 20 5 CFBDRN O=C(CC1CCC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000744074835 574315002 /nfs/dbraw/zinc/31/50/02/574315002.db2.gz QQZNFSOBFISGHA-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN CCCCN(CC)c1nc2ccccn2c1[N+](=O)[O-] ZINC000742379438 574326233 /nfs/dbraw/zinc/32/62/33/574326233.db2.gz SNCSURGDNYQWTN-UHFFFAOYSA-N 0 0 262.313 2.869 20 5 CFBDRN COc1cc(NC[C@@H](OC)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000742406231 574328147 /nfs/dbraw/zinc/32/81/47/574328147.db2.gz RZPWEJRANGWGSV-SNVBAGLBSA-N 0 0 294.229 2.593 20 5 CFBDRN Cc1nonc1CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000742419805 574328924 /nfs/dbraw/zinc/32/89/24/574328924.db2.gz QVSMZBGDMANUOU-UHFFFAOYSA-N 0 0 291.292 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccccc2[N+](=O)[O-])cn1 ZINC000742418935 574328992 /nfs/dbraw/zinc/32/89/92/574328992.db2.gz JWCUKKGACVYTIU-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN Cc1cnc(Nc2cccc(CO)c2)c([N+](=O)[O-])c1 ZINC000742428715 574329949 /nfs/dbraw/zinc/32/99/49/574329949.db2.gz KCNUBBNIOUGUQL-UHFFFAOYSA-N 0 0 259.265 2.534 20 5 CFBDRN Cc1cnc(Oc2ccc([N+](=O)[O-])cc2)c([N+](=O)[O-])c1 ZINC000742428683 574330109 /nfs/dbraw/zinc/33/01/09/574330109.db2.gz IRUUVWMBVSAOES-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1ccn(C)n1 ZINC000735644623 574332520 /nfs/dbraw/zinc/33/25/20/574332520.db2.gz PEHDBEWXLHWNHR-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN O=C(COCC1CC1)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735801168 574336373 /nfs/dbraw/zinc/33/63/73/574336373.db2.gz UTOQTQLHYHTCDA-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN O=C(CCC1CCC1)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000750389267 574337240 /nfs/dbraw/zinc/33/72/40/574337240.db2.gz FPVRHTSXQGQSCH-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1cc[nH]c1 ZINC000750496632 574343587 /nfs/dbraw/zinc/34/35/87/574343587.db2.gz UYVXIDWLFGNLHU-UHFFFAOYSA-N 0 0 280.667 2.933 20 5 CFBDRN Cc1cnc(COC(=O)c2cccc(Cl)c2[N+](=O)[O-])o1 ZINC000750535725 574346655 /nfs/dbraw/zinc/34/66/55/574346655.db2.gz QRXVGUBEKDOFLQ-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1cc(COC(=O)c2cccc(Cl)c2[N+](=O)[O-])no1 ZINC000750542685 574347034 /nfs/dbraw/zinc/34/70/34/574347034.db2.gz WWNPJLXVRZRXHE-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN O=C(CC1CCCCCC1)OCCn1ccc([N+](=O)[O-])n1 ZINC000750567339 574347822 /nfs/dbraw/zinc/34/78/22/574347822.db2.gz HBABSNCEAVIOMD-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])cn2C)[C@H](C)C1 ZINC000736029944 574348156 /nfs/dbraw/zinc/34/81/56/574348156.db2.gz TZJHTWVCMCDTMO-BREBYQMCSA-N 0 0 280.324 2.915 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1ccnc(F)c1 ZINC000743183171 574354588 /nfs/dbraw/zinc/35/45/88/574354588.db2.gz UHXNNNXGMMEIPF-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2nnnn2C2CCCC2)cc1 ZINC000743353859 574360014 /nfs/dbraw/zinc/36/00/14/574360014.db2.gz INDURKIOVJHUQQ-UHFFFAOYSA-N 0 0 291.336 2.848 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000743461181 574365822 /nfs/dbraw/zinc/36/58/22/574365822.db2.gz VTKCSVLYDZTNNX-UWVGGRQHSA-N 0 0 270.304 2.943 20 5 CFBDRN C[C@@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1cnccn1 ZINC000751166502 574370757 /nfs/dbraw/zinc/37/07/57/574370757.db2.gz FURLNLSZMUJVHJ-MEQVVJDKSA-N 0 0 299.286 2.702 20 5 CFBDRN O=C(OC/C=C\Cl)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000725936172 574372261 /nfs/dbraw/zinc/37/22/61/574372261.db2.gz VFYNNZSFSCELOY-UPHRSURJSA-N 0 0 277.610 2.782 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nn1cnc2ccccc21 ZINC000751310623 574374058 /nfs/dbraw/zinc/37/40/58/574374058.db2.gz WJTXCWVDTPUOQV-UHFFFAOYSA-N 0 0 296.286 2.637 20 5 CFBDRN COc1cc(C(=O)OC/C=C/Cl)ccc1[N+](=O)[O-] ZINC000725944774 574374331 /nfs/dbraw/zinc/37/43/31/574374331.db2.gz BMGILPSRQLLNIP-GORDUTHDSA-N 0 0 271.656 2.513 20 5 CFBDRN CC[C@H](C)OCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000751880927 574384255 /nfs/dbraw/zinc/38/42/55/574384255.db2.gz ZIIHEMZMQMDDSF-VIFPVBQESA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@H]1CN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000751901363 574384561 /nfs/dbraw/zinc/38/45/61/574384561.db2.gz YYBNMSMDXNHROY-AOOOYVTPSA-N 0 0 279.365 2.879 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=S)NC(C)C)cc1[N+](=O)[O-] ZINC000751916014 574384973 /nfs/dbraw/zinc/38/49/73/574384973.db2.gz XTGVVBCTJUYAIR-SNVBAGLBSA-N 0 0 281.381 2.837 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=S)NC2CC2)cc1[N+](=O)[O-] ZINC000751915610 574385020 /nfs/dbraw/zinc/38/50/20/574385020.db2.gz UTTWPMDFYHBMGJ-SECBINFHSA-N 0 0 279.365 2.591 20 5 CFBDRN C[C@H](C[C@@H](O)c1cccs1)Nc1cccnc1[N+](=O)[O-] ZINC000751941078 574385365 /nfs/dbraw/zinc/38/53/65/574385365.db2.gz FKGYOBOOYGDFBP-MWLCHTKSSA-N 0 0 293.348 2.975 20 5 CFBDRN CCC1(CNc2nc3ccccn3c2[N+](=O)[O-])CC1 ZINC000751967109 574385965 /nfs/dbraw/zinc/38/59/65/574385965.db2.gz ADCSNELLDZZTKC-UHFFFAOYSA-N 0 0 260.297 2.845 20 5 CFBDRN COC(=O)/C=C/c1ccc(NCC2(C)CC2)c([N+](=O)[O-])c1 ZINC000751969301 574385988 /nfs/dbraw/zinc/38/59/88/574385988.db2.gz UTWSAWGNVDWIRJ-GQCTYLIASA-N 0 0 290.319 2.993 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000752355278 574390587 /nfs/dbraw/zinc/39/05/87/574390587.db2.gz PJUWACCYVOVPHR-FBOQAHMBSA-N 0 0 277.276 2.519 20 5 CFBDRN CS[C@H](C)CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000752768857 574394945 /nfs/dbraw/zinc/39/49/45/574394945.db2.gz CDZGNOKAYADCMT-MRVPVSSYSA-N 0 0 285.394 2.633 20 5 CFBDRN CC(=O)c1ccc(N2CC(C)(C)OC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000753020838 574397504 /nfs/dbraw/zinc/39/75/04/574397504.db2.gz JRRIJJAKHULICG-JTQLQIEISA-N 0 0 292.335 2.801 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC(C)(C)C1 ZINC000753025926 574397682 /nfs/dbraw/zinc/39/76/82/574397682.db2.gz DKCHCNDGHHPVNG-JTQLQIEISA-N 0 0 291.351 2.685 20 5 CFBDRN COc1cc(N)c([N+](=O)[O-])cc1C(=O)OCC1CCCC1 ZINC000753446184 574402538 /nfs/dbraw/zinc/40/25/38/574402538.db2.gz IKJOJVQOAFSLDR-UHFFFAOYSA-N 0 0 294.307 2.533 20 5 CFBDRN CC(C)CCC(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000753464009 574402668 /nfs/dbraw/zinc/40/26/68/574402668.db2.gz FALKYALJNKBMMV-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN COc1ccc(OC(=O)C2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000753624790 574406876 /nfs/dbraw/zinc/40/68/76/574406876.db2.gz IXBROAHUVUMLCG-UHFFFAOYSA-N 0 0 287.218 2.554 20 5 CFBDRN C/C(Cl)=C/C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000900099251 620638168 /nfs/dbraw/zinc/63/81/68/620638168.db2.gz NMFIRCIDLYBERU-VURMDHGXSA-N 0 0 266.684 2.627 20 5 CFBDRN COC/C(C)=C\COc1cc([N+](=O)[O-])c(F)cc1F ZINC000901575357 620867287 /nfs/dbraw/zinc/86/72/87/620867287.db2.gz NJNGFPNEOPTUNG-BAQGIRSFSA-N 0 0 273.235 2.845 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000935701279 649931144 /nfs/dbraw/zinc/93/11/44/649931144.db2.gz AREHAYPRSFMGKU-JJLQDPRZSA-N 0 0 292.310 2.581 20 5 CFBDRN CC(C)[N@@H+](C/C=C\c1ccccc1[N+](=O)[O-])CCC(=O)[O-] ZINC000833496471 604643944 /nfs/dbraw/zinc/64/39/44/604643944.db2.gz FLAAUWIZMQEFGR-ALCCZGGFSA-N 0 0 292.335 2.793 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCn2cc(C3CCC3)nn2)c1 ZINC000900523850 620683043 /nfs/dbraw/zinc/68/30/43/620683043.db2.gz AHUXKYPNEBIPOL-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN Cn1c(C(=O)OCC2CC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000851477174 634383222 /nfs/dbraw/zinc/38/32/22/634383222.db2.gz APIOVVKXCYJHLQ-UHFFFAOYSA-N 0 0 278.308 2.670 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000935922581 649960720 /nfs/dbraw/zinc/96/07/20/649960720.db2.gz HSACFARYBICAAO-SKDRFNHKSA-N 0 0 292.360 2.941 20 5 CFBDRN CCCOC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000306106192 617799004 /nfs/dbraw/zinc/79/90/04/617799004.db2.gz SMILIIIEDMXEDZ-SECBINFHSA-N 0 0 252.270 2.792 20 5 CFBDRN O=C(Nc1noc2c1CCCC2)c1ccc([N+](=O)[O-])cc1 ZINC000900774476 620711344 /nfs/dbraw/zinc/71/13/44/620711344.db2.gz QBIWWDSAVCTWFB-UHFFFAOYSA-N 0 0 287.275 2.714 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC2(C)C)c1C ZINC000900788781 620713679 /nfs/dbraw/zinc/71/36/79/620713679.db2.gz YAFLVQKMNRKLJW-UHFFFAOYSA-N 0 0 262.309 2.836 20 5 CFBDRN CSCC(C)(C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000890876016 617932974 /nfs/dbraw/zinc/93/29/74/617932974.db2.gz DITABYUTWHNQMQ-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN CCCONC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000844086676 617906298 /nfs/dbraw/zinc/90/62/98/617906298.db2.gz YDMRAWRUODUYGE-UHFFFAOYSA-N 0 0 292.213 2.685 20 5 CFBDRN Cc1ccc([C@H](C)Nc2c([N+](=O)[O-])ncn2C)s1 ZINC000042375276 620731566 /nfs/dbraw/zinc/73/15/66/620731566.db2.gz PISVDJSJJKYEGA-QMMMGPOBSA-N 0 0 266.326 2.871 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1CCC[C@@H](O)C1 ZINC000807982474 618029989 /nfs/dbraw/zinc/02/99/89/618029989.db2.gz BQKYKIJWZSRION-WCQYABFASA-N 0 0 292.335 2.760 20 5 CFBDRN C[C@H](C(=O)NC/C=C/Cl)c1cccc([N+](=O)[O-])c1 ZINC000891200699 618038778 /nfs/dbraw/zinc/03/87/78/618038778.db2.gz DYMHHTJPHYOWFY-SWTNXBIASA-N 0 0 268.700 2.567 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CC[C@H]2C)c1[N+](=O)[O-] ZINC000804854037 618046195 /nfs/dbraw/zinc/04/61/95/618046195.db2.gz DYSPUNWIVYWIPT-RDDDGLTNSA-N 0 0 266.272 2.571 20 5 CFBDRN CC[C@@H](NC(=O)CCF)c1cccc([N+](=O)[O-])c1 ZINC000900968321 620738826 /nfs/dbraw/zinc/73/88/26/620738826.db2.gz RFLRIXYXXVMENN-LLVKDONJSA-N 0 0 254.261 2.522 20 5 CFBDRN CC[C@@](C)(O)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000233982641 617664344 /nfs/dbraw/zinc/66/43/44/617664344.db2.gz ICHBGVQYXDKYMK-LLVKDONJSA-N 0 0 276.695 2.960 20 5 CFBDRN C[C@@H](Nc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-])C1CC1 ZINC000891572468 617672574 /nfs/dbraw/zinc/67/25/74/617672574.db2.gz FLDPHFJPIGQJIS-SECBINFHSA-N 0 0 287.319 2.657 20 5 CFBDRN Cc1ccc(C2=CCN(c3c([N+](=O)[O-])cnn3C)CC2)cc1 ZINC000891612399 617685562 /nfs/dbraw/zinc/68/55/62/617685562.db2.gz ZLZRVZNFYCMYJQ-UHFFFAOYSA-N 0 0 298.346 2.930 20 5 CFBDRN CC[C@H]1CCC[N@H+](Cc2ccc(O)c(OC)c2[N+](=O)[O-])C1 ZINC000891706373 617720274 /nfs/dbraw/zinc/72/02/74/617720274.db2.gz NDGYCERHYMUWBK-NSHDSACASA-N 0 0 294.351 2.931 20 5 CFBDRN CC[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2OC)C1 ZINC000891783694 617750839 /nfs/dbraw/zinc/75/08/39/617750839.db2.gz KMWRITVEBAXZTK-JTQLQIEISA-N 0 0 265.313 2.625 20 5 CFBDRN C[C@@H](O)[C@@H]1CCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000120657663 617757185 /nfs/dbraw/zinc/75/71/85/617757185.db2.gz ZLMRACVIQDBIBV-ZYHUDNBSSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1cccc(CCNc2ccc(N)cc2[N+](=O)[O-])n1 ZINC000804679449 617800786 /nfs/dbraw/zinc/80/07/86/617800786.db2.gz OMHSOASTMQPKHA-UHFFFAOYSA-N 0 0 272.308 2.535 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@@H]4C[C@@H]43)sc2c1 ZINC000804689411 617814047 /nfs/dbraw/zinc/81/40/47/617814047.db2.gz OJQJQURZWOHNIS-XCBNKYQSSA-N 0 0 261.306 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3C[C@@H]32)c2ncccc12 ZINC000804689313 617814096 /nfs/dbraw/zinc/81/40/96/617814096.db2.gz MXYHVVBXGPXYEQ-RNCFNFMXSA-N 0 0 255.277 2.742 20 5 CFBDRN CC[C@H](O)CCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000234040501 617824060 /nfs/dbraw/zinc/82/40/60/617824060.db2.gz SVNUSQITHINPHG-ZETCQYMHSA-N 0 0 276.695 2.960 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N(Cc1ccoc1)C1CC1 ZINC000889099380 617824429 /nfs/dbraw/zinc/82/44/29/617824429.db2.gz CMNZQYOBMBTQQI-UHFFFAOYSA-N 0 0 286.287 2.993 20 5 CFBDRN O=[N+]([O-])c1ccccc1OS(=O)(=O)CC1CCCCC1 ZINC000104104599 617851498 /nfs/dbraw/zinc/85/14/98/617851498.db2.gz UNOHCYXYCWWZEE-UHFFFAOYSA-N 0 0 299.348 2.884 20 5 CFBDRN Cc1cc(N2CCOCC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000812462140 617856135 /nfs/dbraw/zinc/85/61/35/617856135.db2.gz OQHZBKAADQSNHF-SNVBAGLBSA-N 0 0 268.288 2.658 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc(SC(F)F)cc1 ZINC000860912780 617870648 /nfs/dbraw/zinc/87/06/48/617870648.db2.gz FGAKGGXHRURROI-UHFFFAOYSA-N 0 0 291.275 2.825 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc2c(cccc2F)s1 ZINC000860913434 617871279 /nfs/dbraw/zinc/87/12/79/617871279.db2.gz CDZPCLBIRCLHCK-UHFFFAOYSA-N 0 0 283.280 2.864 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1Cl)[C@H]1CCCOC1 ZINC000804779855 617878955 /nfs/dbraw/zinc/87/89/55/617878955.db2.gz LPBXANBSBKLCOT-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000176175735 617879836 /nfs/dbraw/zinc/87/98/36/617879836.db2.gz RPCVKYZMKSUSIZ-ZJUUUORDSA-N 0 0 279.340 2.650 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@H]3C[C@H]32)c(F)c1 ZINC000804785162 617880685 /nfs/dbraw/zinc/88/06/85/617880685.db2.gz ZDLMUCOFZLBXET-WRWORJQWSA-N 0 0 254.236 2.862 20 5 CFBDRN Cc1ccc([C@@H](O)[C@@H](C)Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000804810359 617893816 /nfs/dbraw/zinc/89/38/16/617893816.db2.gz STFKAQKKGMGCSN-SKDRFNHKSA-N 0 0 293.348 2.894 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804840751 617937102 /nfs/dbraw/zinc/93/71/02/617937102.db2.gz PCUWPBNGEPMOJM-SFYZADRCSA-N 0 0 251.242 2.713 20 5 CFBDRN COC(=O)/C=C/c1ccc(N[C@@H]2CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000804841166 617937200 /nfs/dbraw/zinc/93/72/00/617937200.db2.gz WHVUZVSNVQDREF-GINUYBFQSA-N 0 0 290.319 2.991 20 5 CFBDRN CC(C)c1ccc(CCC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813110757 617937837 /nfs/dbraw/zinc/93/78/37/617937837.db2.gz ITJGUMBZGCKOCB-LBPRGKRZSA-N 0 0 279.336 2.951 20 5 CFBDRN O=C(Oc1cccc(F)c1)c1ccccc1[N+](=O)[O-] ZINC000014474091 617997362 /nfs/dbraw/zinc/99/73/62/617997362.db2.gz WJQRLZQVCFNGHH-UHFFFAOYSA-N 0 0 261.208 2.953 20 5 CFBDRN CC(C)(C)OCCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000064875950 618001174 /nfs/dbraw/zinc/00/11/74/618001174.db2.gz BCJKYNCUXGZNHC-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C/C1CCCCCC1 ZINC000845575941 618014507 /nfs/dbraw/zinc/01/45/07/618014507.db2.gz ABUCMMLGWDTUFA-FBOQAHMBSA-N 0 0 255.314 2.721 20 5 CFBDRN C[C@@H](O)CCOc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000302542854 618036341 /nfs/dbraw/zinc/03/63/41/618036341.db2.gz UCZSANJBRNHUGO-ZCFIWIBFSA-N 0 0 263.652 2.537 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])s2)C1 ZINC000235074513 618092369 /nfs/dbraw/zinc/09/23/69/618092369.db2.gz BTFPWQBYHWKBHG-RQJHMYQMSA-N 0 0 259.356 2.747 20 5 CFBDRN CCOc1cccnc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000175945493 618098497 /nfs/dbraw/zinc/09/84/97/618098497.db2.gz PTXXOCGYHDIYDE-UHFFFAOYSA-N 0 0 288.259 2.608 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)Cc1cscn1 ZINC000061569991 618126809 /nfs/dbraw/zinc/12/68/09/618126809.db2.gz CMSBOINYMDURCN-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)/C=C/C1CCCCCC1 ZINC000891345033 618141662 /nfs/dbraw/zinc/14/16/62/618141662.db2.gz RILUDLXKICWHEH-CMDGGOBGSA-N 0 0 292.339 2.793 20 5 CFBDRN CC(C)C[C@H](C)C[C@@H](C)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000781486484 618150155 /nfs/dbraw/zinc/15/01/55/618150155.db2.gz WLCYYJIBELAHJP-WDEREUQCSA-N 0 0 297.355 2.946 20 5 CFBDRN Cc1ccc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)n1C ZINC000050849322 618159000 /nfs/dbraw/zinc/15/90/00/618159000.db2.gz DRDKTKMARMWWFH-UHFFFAOYSA-N 0 0 288.303 2.641 20 5 CFBDRN Cc1ncc(COC(=O)Cc2ccccc2[N+](=O)[O-])s1 ZINC000077466913 618169330 /nfs/dbraw/zinc/16/93/30/618169330.db2.gz CESRGNCZDHRVCG-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN CS[C@H](C)CNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935043584 618173746 /nfs/dbraw/zinc/17/37/46/618173746.db2.gz LFGQVAJKBOGDEZ-OASPWFOLSA-N 0 0 294.376 2.566 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cccc([N+](=O)[O-])c2N)cc1 ZINC000157297741 618200526 /nfs/dbraw/zinc/20/05/26/618200526.db2.gz IGRPRNZBXQCPDJ-UHFFFAOYSA-N 0 0 285.303 2.762 20 5 CFBDRN Cc1c(CN(C)Cc2cccc(=O)[nH]2)cccc1[N+](=O)[O-] ZINC000933396704 618218675 /nfs/dbraw/zinc/21/86/75/618218675.db2.gz ZBAVUXJSHHZCKN-UHFFFAOYSA-N 0 0 287.319 2.636 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2CC[C@@H](C)C2)c1F ZINC000235316329 618235939 /nfs/dbraw/zinc/23/59/39/618235939.db2.gz JLPCTHIDJGTOTR-SCZZXKLOSA-N 0 0 280.299 2.961 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC2(C1)CCOCC2 ZINC000893941606 618247255 /nfs/dbraw/zinc/24/72/55/618247255.db2.gz QHOIUWQELKSNHM-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN C[C@H](F)CCNc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000893982397 618259858 /nfs/dbraw/zinc/25/98/58/618259858.db2.gz ULPIZHGAFVDPMM-ZETCQYMHSA-N 0 0 257.221 2.663 20 5 CFBDRN C/C=C(\C)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000179370868 618263428 /nfs/dbraw/zinc/26/34/28/618263428.db2.gz MJJGEKLGHFCCKV-NYYWCZLTSA-N 0 0 278.308 2.540 20 5 CFBDRN C[C@@H](Nc1cc(Cl)nc(N)n1)c1cccc([N+](=O)[O-])c1 ZINC000049228022 618264580 /nfs/dbraw/zinc/26/45/80/618264580.db2.gz MSNSJHHGNGGXKG-SSDOTTSWSA-N 0 0 293.714 2.794 20 5 CFBDRN CCOCCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255719800 618269906 /nfs/dbraw/zinc/26/99/06/618269906.db2.gz BTXJXIWFTUBAGB-TWGQIWQCSA-N 0 0 279.292 2.578 20 5 CFBDRN CSCc1cccc(Nc2c([N+](=O)[O-])cnn2C)c1 ZINC000892440668 618277115 /nfs/dbraw/zinc/27/71/15/618277115.db2.gz FDLIONHWYPHODC-UHFFFAOYSA-N 0 0 278.337 2.935 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCOC2)ccc1Cl ZINC000310329421 618281577 /nfs/dbraw/zinc/28/15/77/618281577.db2.gz JSCHFNKSDWCBDS-MRVPVSSYSA-N 0 0 257.673 2.664 20 5 CFBDRN COC/C(C)=C\CNc1cc([N+](=O)[O-])ccc1C ZINC000901348263 620792221 /nfs/dbraw/zinc/79/22/21/620792221.db2.gz MONHBHLLSLPXSK-POHAHGRESA-N 0 0 250.298 2.908 20 5 CFBDRN C/C(=C\C(=O)N[C@@H](C)C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000894042707 618286251 /nfs/dbraw/zinc/28/62/51/618286251.db2.gz MVCDIZJWXTVFHO-FLOXNTQESA-N 0 0 284.262 2.768 20 5 CFBDRN O=C(O[C@H]1COc2ccccc21)c1csc([N+](=O)[O-])c1 ZINC000178696344 618337434 /nfs/dbraw/zinc/33/74/34/618337434.db2.gz HKNZRIJAGCYKON-NSHDSACASA-N 0 0 291.284 2.947 20 5 CFBDRN COc1ccc([C@H](NCC[N+](=O)[O-])c2ccccc2)cc1 ZINC000930928435 618338586 /nfs/dbraw/zinc/33/85/86/618338586.db2.gz FZZVMUMHIBSDPU-MRXNPFEDSA-N 0 0 286.331 2.651 20 5 CFBDRN CC[C@@H](C)NC(=S)Nc1cc([N+](=O)[O-])ccc1F ZINC000749758417 618345082 /nfs/dbraw/zinc/34/50/82/618345082.db2.gz RRJNMYLNVSRHMU-SSDOTTSWSA-N 0 0 271.317 2.819 20 5 CFBDRN COc1cc(OCc2ncc(C)s2)ccc1[N+](=O)[O-] ZINC000794450710 618346600 /nfs/dbraw/zinc/34/66/00/618346600.db2.gz PFWJNJQMXWKLIQ-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCOC1CCSCC1 ZINC000901372927 620797677 /nfs/dbraw/zinc/79/76/77/620797677.db2.gz DNVMIUCUSRAWTF-UHFFFAOYSA-N 0 0 283.349 2.886 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C=CCC1 ZINC000893328938 618372953 /nfs/dbraw/zinc/37/29/53/618372953.db2.gz GTSYFEWXPBENMQ-LLVKDONJSA-N 0 0 260.293 2.599 20 5 CFBDRN Cc1cc(C(=O)OC[C@]2(C)CCCO2)cc([N+](=O)[O-])c1 ZINC000177207118 618374908 /nfs/dbraw/zinc/37/49/08/618374908.db2.gz OXYMCOKSARNHTG-AWEZNQCLSA-N 0 0 279.292 2.629 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@H](O)c1cccnc1 ZINC000804561959 618406260 /nfs/dbraw/zinc/40/62/60/618406260.db2.gz BSQCURYMLOGUNY-ZDUSSCGKSA-N 0 0 293.710 2.789 20 5 CFBDRN COC/C(C)=C/COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000909481439 618407121 /nfs/dbraw/zinc/40/71/21/618407121.db2.gz LEWCHASTZQEMMH-JXMROGBWSA-N 0 0 293.319 2.961 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1OC)[C@H]1CCCOC1 ZINC000895161061 618408236 /nfs/dbraw/zinc/40/82/36/618408236.db2.gz QCFZZOGEMFYRTL-JQWIXIFHSA-N 0 0 295.339 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCC2CCOCC2)c1 ZINC000052177625 618412425 /nfs/dbraw/zinc/41/24/25/618412425.db2.gz PYJWVKULGOVXRK-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CCCCC2)c1F ZINC000312675627 618412402 /nfs/dbraw/zinc/41/24/02/618412402.db2.gz CBZIQDOCQLUHDS-UHFFFAOYSA-N 0 0 284.262 2.808 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)[C@H]2C[C@H]2C)c1C ZINC000909491835 618418539 /nfs/dbraw/zinc/41/85/39/618418539.db2.gz IUIYCDABSAQEGM-KOLCDFICSA-N 0 0 291.303 2.594 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CCO[C@@H](C)C1 ZINC000776342253 618433324 /nfs/dbraw/zinc/43/33/24/618433324.db2.gz DJKFTMIHVPEBTR-QWRGUYRKSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCC(=O)c1ccc(Cl)cc1 ZINC000814043027 618442741 /nfs/dbraw/zinc/44/27/41/618442741.db2.gz RWYBGICYJOFXNX-SECBINFHSA-N 0 0 299.710 2.511 20 5 CFBDRN CCc1ccc(C(=O)OC[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000747114052 618442797 /nfs/dbraw/zinc/44/27/97/618442797.db2.gz QBNHIGRNCHVSBT-JOYOIKCWSA-N 0 0 263.293 2.970 20 5 CFBDRN CC(C)c1cccc(O[C@H](C)C(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000814045812 618449413 /nfs/dbraw/zinc/44/94/13/618449413.db2.gz AAAQWUWAQMZESC-NWDGAFQWSA-N 0 0 295.335 2.786 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)COc1ccc(C(C)(C)C)cc1 ZINC000814046134 618450680 /nfs/dbraw/zinc/45/06/80/618450680.db2.gz GFNQFXZMPQJULU-NSHDSACASA-N 0 0 295.335 2.571 20 5 CFBDRN O=C(OCc1ccnc(Cl)c1)c1ccc([N+](=O)[O-])o1 ZINC000726198668 618454115 /nfs/dbraw/zinc/45/41/15/618454115.db2.gz UWMNZIXIIVEXKX-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCC2(C)CC2)c1F ZINC000235878306 618456010 /nfs/dbraw/zinc/45/60/10/618456010.db2.gz DHOKVHIPGXVSHL-UHFFFAOYSA-N 0 0 266.272 2.572 20 5 CFBDRN Cc1nc([C@@H]2CCCN2c2ncc([N+](=O)[O-])s2)[nH]c1C ZINC000895194569 618459673 /nfs/dbraw/zinc/45/96/73/618459673.db2.gz ZKSGYEVKYXUHAH-VIFPVBQESA-N 0 0 293.352 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](F)C2)c(Br)c1 ZINC000309256406 618459718 /nfs/dbraw/zinc/45/97/18/618459718.db2.gz SWBIMGBGDMFRTR-ZETCQYMHSA-N 0 0 289.104 2.906 20 5 CFBDRN Cc1cnc(CNCc2cc([N+](=O)[O-])ccc2Cl)o1 ZINC000235954815 618465017 /nfs/dbraw/zinc/46/50/17/618465017.db2.gz NBUJZWQBUAQJFF-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN CC(C)Oc1ccc(Cn2cc([N+](=O)[O-])cn2)cc1 ZINC000726431881 618474838 /nfs/dbraw/zinc/47/48/38/618474838.db2.gz SOQZATILJMUWBJ-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN CC(C)=CCNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935610609 618476095 /nfs/dbraw/zinc/47/60/95/618476095.db2.gz MJRWPVSYTNCGGQ-KGLIPLIRSA-N 0 0 274.320 2.781 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000901415355 620811828 /nfs/dbraw/zinc/81/18/28/620811828.db2.gz IJOWABTVSLPHNO-GFCCVEGCSA-N 0 0 292.335 2.508 20 5 CFBDRN CN(CCCF)C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000895306942 618523048 /nfs/dbraw/zinc/52/30/48/618523048.db2.gz LNAVTXYIYWOCBQ-UHFFFAOYSA-N 0 0 294.326 2.835 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Sc1cccc(CO)c1 ZINC000895316318 618529271 /nfs/dbraw/zinc/52/92/71/618529271.db2.gz FYTWKAMJARDFQT-UHFFFAOYSA-N 0 0 293.348 2.763 20 5 CFBDRN C[C@H](CNC(=O)N[C@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000895347549 618542372 /nfs/dbraw/zinc/54/23/72/618542372.db2.gz JDJFQKXMKUFPIY-MFKMUULPSA-N 0 0 291.351 2.796 20 5 CFBDRN Cc1nc([C@H]2CCN(c3cccc(C)c3[N+](=O)[O-])C2)no1 ZINC000895400001 618569896 /nfs/dbraw/zinc/56/98/96/618569896.db2.gz FNWZHHOUQFCCCZ-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN Cc1nc([C@H]2CCN(c3cc(C)ccc3[N+](=O)[O-])C2)no1 ZINC000895404090 618574761 /nfs/dbraw/zinc/57/47/61/618574761.db2.gz QTGQTHOOVLCXHF-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1nccc2c1CCCC2 ZINC000895452228 618594633 /nfs/dbraw/zinc/59/46/33/618594633.db2.gz YOHUYPHYFIIUOF-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN Cc1ccc(C(=O)OCC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000177920998 618613280 /nfs/dbraw/zinc/61/32/80/618613280.db2.gz YCDUBYGSKYSSLC-GFCCVEGCSA-N 0 0 279.292 2.629 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccccc2)CN1c1c([N+](=O)[O-])cnn1C ZINC000892551206 618629211 /nfs/dbraw/zinc/62/92/11/618629211.db2.gz DCGQCUKNHCEBNG-DGCLKSJQSA-N 0 0 286.335 2.711 20 5 CFBDRN C[C@H](O)CCCOc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000310767606 618636901 /nfs/dbraw/zinc/63/69/01/618636901.db2.gz OGCWUBBYKICGLN-ZETCQYMHSA-N 0 0 277.679 2.927 20 5 CFBDRN Cc1cccnc1COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000180251209 618646617 /nfs/dbraw/zinc/64/66/17/618646617.db2.gz ILGISVJDNUXOMI-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CSCCCCNc1ccc2nonc2c1[N+](=O)[O-] ZINC000892602798 618649996 /nfs/dbraw/zinc/64/99/96/618649996.db2.gz FLGQOIOJNQSSNK-UHFFFAOYSA-N 0 0 282.325 2.686 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCc2c(Cl)cccc2C1 ZINC000892630019 618663607 /nfs/dbraw/zinc/66/36/07/618663607.db2.gz AYKMJEOKSJKUHE-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN CCOCCC(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892636767 618665939 /nfs/dbraw/zinc/66/59/39/618665939.db2.gz JBXGXUPKQADQFY-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN C[C@H]1CO[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000892636304 618666418 /nfs/dbraw/zinc/66/64/18/618666418.db2.gz OBSKTIFPLLXTOO-HQJQHLMTSA-N 0 0 285.683 2.579 20 5 CFBDRN O=C(Nc1nccc2cc([N+](=O)[O-])ccc21)C12CC(C1)C2 ZINC000892638906 618667183 /nfs/dbraw/zinc/66/71/83/618667183.db2.gz XOEYGNODEMJJAW-UHFFFAOYSA-N 0 0 283.287 2.882 20 5 CFBDRN C[C@@H]1C[C@H](C)N(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000892642082 618668146 /nfs/dbraw/zinc/66/81/46/618668146.db2.gz LHPUKDGJRRIIMM-BDAKNGLRSA-N 0 0 265.269 2.738 20 5 CFBDRN CC[C@H](OC)C(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892642671 618669222 /nfs/dbraw/zinc/66/92/22/618669222.db2.gz BPIWEEIBFRSINN-LBPRGKRZSA-N 0 0 289.291 2.507 20 5 CFBDRN CC(C)(COC(=O)c1ccc(C(F)F)cc1)[N+](=O)[O-] ZINC000851125853 618687678 /nfs/dbraw/zinc/68/76/78/618687678.db2.gz KUPZNVCOFBQGSO-UHFFFAOYSA-N 0 0 273.235 2.836 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ccc([N+](=O)[O-])s1 ZINC000072508540 618700409 /nfs/dbraw/zinc/70/04/09/618700409.db2.gz LWJUWRVTXUDSMH-UHFFFAOYSA-N 0 0 294.244 2.784 20 5 CFBDRN CC1(C)[C@@H]2CN(c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)C[C@@H]21 ZINC000892681848 618704301 /nfs/dbraw/zinc/70/43/01/618704301.db2.gz PRFMYEBZQMWVAS-TXEJJXNPSA-N 0 0 277.280 2.595 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000892688094 618710514 /nfs/dbraw/zinc/71/05/14/618710514.db2.gz QHEMRTOGCLXVKZ-OUAUKWLOSA-N 0 0 264.329 2.709 20 5 CFBDRN C[C@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000892698236 618716770 /nfs/dbraw/zinc/71/67/70/618716770.db2.gz ZIGBBIDZPWDAOO-IUCAKERBSA-N 0 0 297.336 2.833 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)CCF ZINC000892705711 618722069 /nfs/dbraw/zinc/72/20/69/618722069.db2.gz QNUQMGDTVTYCTQ-UHFFFAOYSA-N 0 0 261.636 2.822 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H](CC)OCC)ccc1[N+](=O)[O-] ZINC000892746134 618738321 /nfs/dbraw/zinc/73/83/21/618738321.db2.gz FNXCFCVDTIFJGR-GFCCVEGCSA-N 0 0 296.323 2.747 20 5 CFBDRN CCOc1cc(NC(=O)CCC(F)F)ccc1[N+](=O)[O-] ZINC000892744487 618739000 /nfs/dbraw/zinc/73/90/00/618739000.db2.gz KEFQUJPUTWHMOQ-UHFFFAOYSA-N 0 0 288.250 2.977 20 5 CFBDRN COCCCC(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892858883 618759698 /nfs/dbraw/zinc/75/96/98/618759698.db2.gz QSMKIZCQPMZHRK-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN C[C@H](COC(=O)CC[C@@H]1CCc2ccccc21)[N+](=O)[O-] ZINC000893043690 618813381 /nfs/dbraw/zinc/81/33/81/618813381.db2.gz AGCJMRRTHWLYAS-YPMHNXCESA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC(C)(C)CC(F)(F)F ZINC000893075975 618822737 /nfs/dbraw/zinc/82/27/37/618822737.db2.gz HCAAUQBBEJXEIW-ZETCQYMHSA-N 0 0 271.235 2.564 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H](C)O[C@H]2C)c1C ZINC000893129758 618841864 /nfs/dbraw/zinc/84/18/64/618841864.db2.gz BKMQQILJIDGKFB-ZMLRMANQSA-N 0 0 292.335 2.507 20 5 CFBDRN Cc1c(-c2noc(C3CSC3)n2)cccc1[N+](=O)[O-] ZINC000924093191 618849815 /nfs/dbraw/zinc/84/98/15/618849815.db2.gz NXKRSGHIVBFKCT-UHFFFAOYSA-N 0 0 277.305 2.784 20 5 CFBDRN Cc1cc(C)c(CC(=O)OCC(C)(C)[N+](=O)[O-])s1 ZINC000893159943 618852546 /nfs/dbraw/zinc/85/25/46/618852546.db2.gz RNUSTACHDLSOBD-UHFFFAOYSA-N 0 0 271.338 2.506 20 5 CFBDRN Cc1ccc(C(=O)OCC2(O)CCCCC2)cc1[N+](=O)[O-] ZINC000893164044 618855192 /nfs/dbraw/zinc/85/51/92/618855192.db2.gz GWZYPBPKMFHAFW-UHFFFAOYSA-N 0 0 293.319 2.755 20 5 CFBDRN CCCCCCC(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853892038 618881954 /nfs/dbraw/zinc/88/19/54/618881954.db2.gz HQLOFXHRZHKXOY-UHFFFAOYSA-N 0 0 270.285 2.905 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCCC[C@H](C)C1 ZINC000893245551 618903147 /nfs/dbraw/zinc/90/31/47/618903147.db2.gz PYZRKLCKRYKIRW-JTQLQIEISA-N 0 0 265.313 2.625 20 5 CFBDRN CC1(CC(=O)OCc2cc([N+](=O)[O-])ccc2Cl)COC1 ZINC000924116131 618913138 /nfs/dbraw/zinc/91/31/38/618913138.db2.gz WPMSRRUVTBRJBN-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN CCO/C=C/C(=O)OCc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000840586289 618916914 /nfs/dbraw/zinc/91/69/14/618916914.db2.gz CCXQKMICEMAJOV-BQYQJAHWSA-N 0 0 295.291 2.587 20 5 CFBDRN C[C@H](COC(=O)c1cc(C(F)F)ccc1F)[N+](=O)[O-] ZINC000857028247 618948088 /nfs/dbraw/zinc/94/80/88/618948088.db2.gz ATLIBUUDMQBCLE-ZCFIWIBFSA-N 0 0 277.198 2.585 20 5 CFBDRN Cc1ccoc1CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000312853332 618958222 /nfs/dbraw/zinc/95/82/22/618958222.db2.gz QRDHTHOVQMJWLU-UHFFFAOYSA-N 0 0 274.236 2.645 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CC[C@@H]1C(F)F ZINC000931421809 618965923 /nfs/dbraw/zinc/96/59/23/618965923.db2.gz RIFDZMJOBYCFBD-GZMMTYOYSA-N 0 0 256.252 2.995 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC(F)(F)C1 ZINC000313311842 618979948 /nfs/dbraw/zinc/97/99/48/618979948.db2.gz PMYMZNUDVWUEFC-UHFFFAOYSA-N 0 0 290.653 2.729 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000070385091 625308088 /nfs/dbraw/zinc/30/80/88/625308088.db2.gz VDOWQVGYSDAZOA-DTORHVGOSA-N 0 0 298.339 2.700 20 5 CFBDRN COC[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000893454098 618998947 /nfs/dbraw/zinc/99/89/47/618998947.db2.gz AXPAMFCQRWXWSP-SNVBAGLBSA-N 0 0 299.298 2.809 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)c2ccc([N+](=O)[O-])cc2)cnn1C ZINC000066879670 619003362 /nfs/dbraw/zinc/00/33/62/619003362.db2.gz JJYUUWIEXLSOSK-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)c2ccc([N+](=O)[O-])cc2)cnn1C ZINC000066879673 619003478 /nfs/dbraw/zinc/00/34/78/619003478.db2.gz JJYUUWIEXLSOSK-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@H]1CSCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000893471560 619010520 /nfs/dbraw/zinc/01/05/20/619010520.db2.gz DBNNEZOPOZJVAX-SECBINFHSA-N 0 0 297.336 2.692 20 5 CFBDRN C/C(=C/c1ccccc1)CN(C)c1c([N+](=O)[O-])cnn1C ZINC000893480514 619013835 /nfs/dbraw/zinc/01/38/35/619013835.db2.gz AUBVTKSIPUZSNT-XFXZXTDPSA-N 0 0 286.335 2.868 20 5 CFBDRN C[C@@H](COC(=O)c1ccccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000893501514 619022008 /nfs/dbraw/zinc/02/20/08/619022008.db2.gz WQFKKLOSJCTNSF-SMDDNHRTSA-N 0 0 293.319 2.957 20 5 CFBDRN C[C@]1(C(=O)[O-])CCC[N@@H+]1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000093633551 619041639 /nfs/dbraw/zinc/04/16/39/619041639.db2.gz FFUAACHXXONMGV-CYBMUJFWSA-N 0 0 298.726 2.687 20 5 CFBDRN CO[C@]1(C)CCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000893591521 619082028 /nfs/dbraw/zinc/08/20/28/619082028.db2.gz GVNARRRNRKQIOB-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1cc(C)c2c(c1)CCCN2Cn1cc([N+](=O)[O-])cn1 ZINC000159417493 619104322 /nfs/dbraw/zinc/10/43/22/619104322.db2.gz HEVWETAQYWUFGI-UHFFFAOYSA-N 0 0 286.335 2.818 20 5 CFBDRN O=C(COc1ccc(F)cc1)Oc1cccc([N+](=O)[O-])c1 ZINC000006270668 619127275 /nfs/dbraw/zinc/12/72/75/619127275.db2.gz MMXDHHVPLLKCKI-UHFFFAOYSA-N 0 0 291.234 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2ncccn2)cc1F ZINC000094775538 619137252 /nfs/dbraw/zinc/13/72/52/619137252.db2.gz AHOQRHMPVYYNGW-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN Cn1c2ccccc2c(N[C@@H]2C[C@H]2C2CC2)c([N+](=O)[O-])c1=O ZINC000893648625 619144159 /nfs/dbraw/zinc/14/41/59/619144159.db2.gz JNMJQZMRZBSTNZ-NWDGAFQWSA-N 0 0 299.330 2.657 20 5 CFBDRN C[C@@H](C(=O)OCCOC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000893678870 619154082 /nfs/dbraw/zinc/15/40/82/619154082.db2.gz QLMDKCQWPVQNQY-SECBINFHSA-N 0 0 297.282 2.560 20 5 CFBDRN CC(C)(C)CCNC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000067014721 619162816 /nfs/dbraw/zinc/16/28/16/619162816.db2.gz DYRLUADWZRULGH-UHFFFAOYSA-N 0 0 298.314 2.665 20 5 CFBDRN CC[S@](C)(=O)=NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000925838834 619170897 /nfs/dbraw/zinc/17/08/97/619170897.db2.gz OKTACOCSZWHMKF-FQEVSTJZSA-N 0 0 298.364 2.517 20 5 CFBDRN Cc1nn(C)c(NC[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000096609834 619210270 /nfs/dbraw/zinc/21/02/70/619210270.db2.gz NHAHEQCHQHOGRV-MRVPVSSYSA-N 0 0 254.334 2.731 20 5 CFBDRN CC(C)(CCC(=O)OCC[C@@H]1CC[C@H]2C[C@H]21)[N+](=O)[O-] ZINC000893798430 619220207 /nfs/dbraw/zinc/22/02/07/619220207.db2.gz PFQQVBWLFCJBGT-SRVKXCTJSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@H]1CSC[C@@H]1Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000893826398 619226526 /nfs/dbraw/zinc/22/65/26/619226526.db2.gz KBSOAXBTZBBCKQ-CPCISQLKSA-N 0 0 283.309 2.666 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCC1(F)CCC1 ZINC000893858198 619229781 /nfs/dbraw/zinc/22/97/81/619229781.db2.gz GPBOVCOXCSAGME-UHFFFAOYSA-N 0 0 269.276 2.693 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CCC1(C)C ZINC000893854067 619232296 /nfs/dbraw/zinc/23/22/96/619232296.db2.gz BOXYUWOMCVHGAI-SNVBAGLBSA-N 0 0 251.286 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCOCC3)c2ccncc21 ZINC000893940417 619248353 /nfs/dbraw/zinc/24/83/53/619248353.db2.gz OLDNDUKGDJPUBB-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=C([O-])CC[N@H+](Cc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000093633494 619281905 /nfs/dbraw/zinc/28/19/05/619281905.db2.gz AHTWNGJBSGFFFP-UHFFFAOYSA-N 0 0 298.726 2.687 20 5 CFBDRN Cc1ccc(OC(=O)c2cc([N+](=O)[O-])cnc2C)cc1 ZINC000047835443 619287134 /nfs/dbraw/zinc/28/71/34/619287134.db2.gz ICTJVVAQNDIYIV-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN O=C(NC1CCCCCCC1)c1cc([N+](=O)[O-])c[nH]c1=O ZINC000016494328 619292255 /nfs/dbraw/zinc/29/22/55/619292255.db2.gz MTFHZUXSCCBKFY-UHFFFAOYSA-N 0 0 293.323 2.538 20 5 CFBDRN Cc1cc(C)c([C@H](C)OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c(C)c1 ZINC000840670934 619303538 /nfs/dbraw/zinc/30/35/38/619303538.db2.gz NJBJMIWOVBISEA-YNEHKIRRSA-N 0 0 277.320 2.881 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889602214 619328550 /nfs/dbraw/zinc/32/85/50/619328550.db2.gz CFRTWZIUHPHZSQ-QJPTWQEYSA-N 0 0 274.320 2.822 20 5 CFBDRN C[C@@H](CNc1ccc2nonc2c1[N+](=O)[O-])CC(F)F ZINC000894345078 619342635 /nfs/dbraw/zinc/34/26/35/619342635.db2.gz AEPBTFCXBNKEJM-ZCFIWIBFSA-N 0 0 286.238 2.834 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H](C)CC(F)F ZINC000894347522 619343856 /nfs/dbraw/zinc/34/38/56/619343856.db2.gz QAOCKXIHJISEDE-SSDOTTSWSA-N 0 0 275.255 2.702 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC[C@]2(CC2(F)F)C1 ZINC000894408800 619373190 /nfs/dbraw/zinc/37/31/90/619373190.db2.gz GTFXFPBWONJQAF-LBPRGKRZSA-N 0 0 299.277 2.624 20 5 CFBDRN O=C(/C=C/c1cccnc1)Nc1ccc([N+](=O)[O-])cc1F ZINC000726916237 619377208 /nfs/dbraw/zinc/37/72/08/619377208.db2.gz OJHONSMWANLFBS-ZZXKWVIFSA-N 0 0 287.250 2.781 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN(C)Cc1ncc[nH]1 ZINC000894587286 619424812 /nfs/dbraw/zinc/42/48/12/619424812.db2.gz YUXSMFQTCWSCDJ-UHFFFAOYSA-N 0 0 274.324 2.567 20 5 CFBDRN COc1c(O)ccc(CN2CCC[C@](C)(F)C2)c1[N+](=O)[O-] ZINC000894658030 619434382 /nfs/dbraw/zinc/43/43/82/619434382.db2.gz DOBXRUMNPNOYBG-AWEZNQCLSA-N 0 0 298.314 2.633 20 5 CFBDRN CN(Cc1ccoc1)c1cccc(F)c1[N+](=O)[O-] ZINC000048805760 619473259 /nfs/dbraw/zinc/47/32/59/619473259.db2.gz FVUZEHUQLDAIRT-UHFFFAOYSA-N 0 0 250.229 2.963 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000048805868 619473315 /nfs/dbraw/zinc/47/33/15/619473315.db2.gz JCCUQCLVSCLILO-SFYZADRCSA-N 0 0 264.285 2.978 20 5 CFBDRN COC/C(C)=C\COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000909407900 619480604 /nfs/dbraw/zinc/48/06/04/619480604.db2.gz UABBVHCCFGLTQO-TWGQIWQCSA-N 0 0 297.282 2.792 20 5 CFBDRN Cc1cc(C)nc(C2CN(c3ccccc3[N+](=O)[O-])C2)n1 ZINC000894892715 619500631 /nfs/dbraw/zinc/50/06/31/619500631.db2.gz CZMUZFXVYXNTSN-UHFFFAOYSA-N 0 0 284.319 2.605 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)Cc2c[nH]nc21 ZINC000894895080 619502065 /nfs/dbraw/zinc/50/20/65/619502065.db2.gz PCRMUGMDTOUJSP-QMMMGPOBSA-N 0 0 276.271 2.581 20 5 CFBDRN COc1c(O)ccc(CN[C@@H]2CCCC[C@H]2F)c1[N+](=O)[O-] ZINC000894914741 619512519 /nfs/dbraw/zinc/51/25/19/619512519.db2.gz YQZOWQCOFYDOFF-GHMZBOCLSA-N 0 0 298.314 2.679 20 5 CFBDRN CC(C)OC1CN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000894967898 619518890 /nfs/dbraw/zinc/51/88/90/619518890.db2.gz QBGFZOIRZPHVGJ-UHFFFAOYSA-N 0 0 287.319 2.757 20 5 CFBDRN O=C1OCC[C@@H]1Nc1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000894999233 619525285 /nfs/dbraw/zinc/52/52/85/619525285.db2.gz UWFJAUIDOVXWIY-LURJTMIESA-N 0 0 291.090 2.629 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2c3ccccc3OC[C@@H]2F)s1 ZINC000895560662 619555764 /nfs/dbraw/zinc/55/57/64/619555764.db2.gz RZWKSARXAQBUEQ-GZMMTYOYSA-N 0 0 295.295 2.935 20 5 CFBDRN Cc1cc(C(=O)OCc2cncc(F)c2)ccc1[N+](=O)[O-] ZINC000795344762 619566764 /nfs/dbraw/zinc/56/67/64/619566764.db2.gz HVSPUTYYJFKAPA-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC(C)(C)OC[C@H]1CCCN1c1cc(N)ccc1[N+](=O)[O-] ZINC000895657257 619577314 /nfs/dbraw/zinc/57/73/14/619577314.db2.gz YWHBWUYCKVMODE-GFCCVEGCSA-N 0 0 293.367 2.961 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2C[C@@H]2C)c1C ZINC000895722204 619591080 /nfs/dbraw/zinc/59/10/80/619591080.db2.gz FDPYLBYOUIWNBS-GXSJLCMTSA-N 0 0 262.309 2.597 20 5 CFBDRN C[C@H]1CCN(c2ccc3cnccc3c2[N+](=O)[O-])CCO1 ZINC000895761078 619601538 /nfs/dbraw/zinc/60/15/38/619601538.db2.gz AXGNGMMRVIBAIG-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C)CC2)c(F)cc1[N+](=O)[O-] ZINC000895762214 619601934 /nfs/dbraw/zinc/60/19/34/619601934.db2.gz PXURTLCLNOSETF-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN Cc1cc(COC(=O)c2cc([N+](=O)[O-])ccc2Cl)on1 ZINC000025400736 619604435 /nfs/dbraw/zinc/60/44/35/619604435.db2.gz WLAIVNPLASVDOH-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CC(C)(C)NC(=O)[C@@H]1CCCN1c1ccc([N+](=O)[O-])s1 ZINC000895852336 619622930 /nfs/dbraw/zinc/62/29/30/619622930.db2.gz DQWOHMSVYYNCFE-VIFPVBQESA-N 0 0 297.380 2.540 20 5 CFBDRN Cc1nn(C)c(Nc2cnn(C3CCCC3)c2)c1[N+](=O)[O-] ZINC000895886262 619627547 /nfs/dbraw/zinc/62/75/47/619627547.db2.gz JAZDWMHRQADCMX-UHFFFAOYSA-N 0 0 290.327 2.692 20 5 CFBDRN C[C@H]1COC[C@@H]1Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000895905216 619630370 /nfs/dbraw/zinc/63/03/70/619630370.db2.gz JVYNSOBCNZLQAA-XVKPBYJWSA-N 0 0 279.321 2.651 20 5 CFBDRN Cc1cc(N[C@@H]2COC[C@@H]2C)c2cccc([N+](=O)[O-])c2n1 ZINC000895908229 619632324 /nfs/dbraw/zinc/63/23/24/619632324.db2.gz GVQXUKQMBSBVHC-TVQRCGJNSA-N 0 0 287.319 2.898 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)C2)c1C ZINC000896048281 619660224 /nfs/dbraw/zinc/66/02/24/619660224.db2.gz MABZKDVMXNJLOE-SECBINFHSA-N 0 0 262.309 2.694 20 5 CFBDRN CCC(CC)c1noc(C(C)(C)n2cc([N+](=O)[O-])cn2)n1 ZINC000896081787 619669152 /nfs/dbraw/zinc/66/91/52/619669152.db2.gz ISZSHANLHRXFJV-UHFFFAOYSA-N 0 0 293.327 2.861 20 5 CFBDRN COC(=O)c1ccnc(NCCC2CC=CC2)c1[N+](=O)[O-] ZINC000896094043 619672497 /nfs/dbraw/zinc/67/24/97/619672497.db2.gz JQOYWTFIZYMEQT-UHFFFAOYSA-N 0 0 291.307 2.545 20 5 CFBDRN CN(C)[C@@H](CCNc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000896109606 619675741 /nfs/dbraw/zinc/67/57/41/619675741.db2.gz ONRWQHCBQGIRNY-NSHDSACASA-N 0 0 291.273 2.889 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H]1CCC2(CCOCC2)O1 ZINC000896172647 619686532 /nfs/dbraw/zinc/68/65/32/619686532.db2.gz CKRYEPNPZUKITA-SNVBAGLBSA-N 0 0 298.364 2.796 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CCCC2(CCC2)O1 ZINC000896167441 619687750 /nfs/dbraw/zinc/68/77/50/619687750.db2.gz HAOLAZVGZDHUBY-NSHDSACASA-N 0 0 277.324 2.894 20 5 CFBDRN CCC[C@@H](O)CCNc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000896188151 619691239 /nfs/dbraw/zinc/69/12/39/619691239.db2.gz ZTHRMIAOSSDHCY-SNVBAGLBSA-N 0 0 298.339 2.575 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3nonc32)CCS1 ZINC000896244198 619699344 /nfs/dbraw/zinc/69/93/44/619699344.db2.gz GDJIYNPVVBUGBQ-JGVFFNPUSA-N 0 0 294.336 2.827 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(F)(C3CC3)CC2)c(F)c1 ZINC000896241294 619703022 /nfs/dbraw/zinc/70/30/22/619703022.db2.gz XMOMMBMTSFHPGW-UHFFFAOYSA-N 0 0 283.278 2.847 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCSC3(CCC3)C2)c1[N+](=O)[O-] ZINC000896254821 619703544 /nfs/dbraw/zinc/70/35/44/619703544.db2.gz RSRKHZMPRJPZGH-SNVBAGLBSA-N 0 0 296.396 2.867 20 5 CFBDRN CC1(C)O[C@@H]2C[C@@H](Nc3c(F)cccc3[N+](=O)[O-])C[C@@H]2O1 ZINC000896357453 619723503 /nfs/dbraw/zinc/72/35/03/619723503.db2.gz RHACYZVTJLHOLY-VTYGSRLXSA-N 0 0 296.298 2.828 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C[C@@H]3C[C@H]3C3CC3)n2)o1 ZINC000896388359 619727927 /nfs/dbraw/zinc/72/79/27/619727927.db2.gz CTTUAFBJDJSWIQ-IUCAKERBSA-N 0 0 275.264 2.826 20 5 CFBDRN CCO[C@H]1CCN(c2ccnc3ccc([N+](=O)[O-])cc32)C1 ZINC000896405012 619730184 /nfs/dbraw/zinc/73/01/84/619730184.db2.gz VVSFLPOXFCXGQP-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCCc2cccnc21 ZINC000896413239 619732249 /nfs/dbraw/zinc/73/22/49/619732249.db2.gz NAYDAODENIUCEU-LLVKDONJSA-N 0 0 270.292 2.874 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])nc1)c1cnn(C)c1 ZINC000896425088 619734907 /nfs/dbraw/zinc/73/49/07/619734907.db2.gz CDJMPYTVFHDSDV-ZDUSSCGKSA-N 0 0 275.312 2.533 20 5 CFBDRN Cc1nc(N[C@H](c2cnn(C)c2)C(C)C)ccc1[N+](=O)[O-] ZINC000896428993 619736822 /nfs/dbraw/zinc/73/68/22/619736822.db2.gz YJURQZMZRZEWLX-AWEZNQCLSA-N 0 0 289.339 2.841 20 5 CFBDRN Cc1ccc(NCCNc2nccc(C)c2[N+](=O)[O-])nc1 ZINC000896456761 619742061 /nfs/dbraw/zinc/74/20/61/619742061.db2.gz ZZIFKPWZJDKSRB-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1cc(NCCOC2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000896463713 619742844 /nfs/dbraw/zinc/74/28/44/619742844.db2.gz SZRLDDVTISTJJC-UHFFFAOYSA-N 0 0 298.314 2.650 20 5 CFBDRN Cc1ccnc(NCc2cccc3c2OCC3)c1[N+](=O)[O-] ZINC000896490405 619744498 /nfs/dbraw/zinc/74/44/98/619744498.db2.gz SMCPEXXYNQVDLB-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN Cc1cc(F)c(CNc2c([N+](=O)[O-])ncn2C)c(F)c1 ZINC000896500803 619750218 /nfs/dbraw/zinc/75/02/18/619750218.db2.gz KXXZNUIEANSLFT-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN Cc1nc(NCc2cc(C3CC3)n(C)n2)ccc1[N+](=O)[O-] ZINC000896527552 619756320 /nfs/dbraw/zinc/75/63/20/619756320.db2.gz FEEZOGYUPMGTKD-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cc(C3CC3)n(C)n2)n1 ZINC000896535372 619756626 /nfs/dbraw/zinc/75/66/26/619756626.db2.gz LHTDMJRFPZVWHW-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN COCC(C)(C)c1noc(-c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000896586058 619768193 /nfs/dbraw/zinc/76/81/93/619768193.db2.gz OUKHUPHROWLRGW-UHFFFAOYSA-N 0 0 291.307 2.877 20 5 CFBDRN COc1ccc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)cc1C ZINC000896778218 619811875 /nfs/dbraw/zinc/81/18/75/619811875.db2.gz VMBNNPAUEOATOW-SNVBAGLBSA-N 0 0 290.323 2.818 20 5 CFBDRN Cc1nnc(NC[C@H](C)c2ccccc2[N+](=O)[O-])nc1C ZINC000896794116 619820052 /nfs/dbraw/zinc/82/00/52/619820052.db2.gz QSSJWMHZAXXTNK-VIFPVBQESA-N 0 0 287.323 2.612 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2(C3(O)CCC3)CC2)c1 ZINC000896808106 619822958 /nfs/dbraw/zinc/82/29/58/619822958.db2.gz QJWMFSFRUZGKOC-UHFFFAOYSA-N 0 0 292.335 2.711 20 5 CFBDRN Cc1cccc(C)c1CN(C)c1ncc([N+](=O)[O-])cn1 ZINC000896917490 619887175 /nfs/dbraw/zinc/88/71/75/619887175.db2.gz BQXRWSLEZXAGJW-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(SC2COC2)c2ncccc12 ZINC000896959509 619902686 /nfs/dbraw/zinc/90/26/86/619902686.db2.gz ZXCRIXIIODCOJS-UHFFFAOYSA-N 0 0 262.290 2.634 20 5 CFBDRN COC[C@H](Sc1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000897002901 619912434 /nfs/dbraw/zinc/91/24/34/619912434.db2.gz HFAUCVKFXNVFDA-LBPRGKRZSA-N 0 0 293.348 2.808 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@]2(C)CCCCO2)c1 ZINC000832488916 625380766 /nfs/dbraw/zinc/38/07/66/625380766.db2.gz WGGHZOMMUGOZSZ-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN COCC1(c2noc(-c3ccc(C)c([N+](=O)[O-])c3)n2)CC1 ZINC000897038179 619917449 /nfs/dbraw/zinc/91/74/49/619917449.db2.gz ISDOWYFVVCKONF-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN C[C@@H](CNC(=O)N[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000897054475 619921203 /nfs/dbraw/zinc/92/12/03/619921203.db2.gz XIVYPTHKPQNAJF-GXFFZTMASA-N 0 0 289.335 2.550 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000897074244 619923424 /nfs/dbraw/zinc/92/34/24/619923424.db2.gz BJPWECITKZQQKU-KQFRYOBMSA-N 0 0 297.314 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c2ncccc12 ZINC000897077220 619928601 /nfs/dbraw/zinc/92/86/01/619928601.db2.gz AZMUNZMXPSJJRA-IQBNQATKSA-N 0 0 297.314 2.731 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000897142186 619938542 /nfs/dbraw/zinc/93/85/42/619938542.db2.gz HLKFFPHQPJUAHN-KGLIPLIRSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000897148032 619939811 /nfs/dbraw/zinc/93/98/11/619939811.db2.gz UAWTZTQZOMRILP-OCCSQVGLSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1c(C)cccc1[N+](=O)[O-] ZINC000897145816 619940815 /nfs/dbraw/zinc/94/08/15/619940815.db2.gz UUCPIJMCOYTWGL-CMPLNLGQSA-N 0 0 250.298 2.517 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000897144842 619940994 /nfs/dbraw/zinc/94/09/94/619940994.db2.gz NOFNVTVSEGBQAC-KBPBESRZSA-N 0 0 287.319 2.757 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)Cc1cccnc1 ZINC000897196738 619947716 /nfs/dbraw/zinc/94/77/16/619947716.db2.gz YVCJVEZVMPKOJS-JTQLQIEISA-N 0 0 289.339 2.558 20 5 CFBDRN Cc1c(CC(=O)OC[C@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000796897939 619953933 /nfs/dbraw/zinc/95/39/33/619953933.db2.gz HSPJGFAPAMPLAI-JOYOIKCWSA-N 0 0 263.293 2.645 20 5 CFBDRN Cc1cc(NC2CC(CCO)C2)c([N+](=O)[O-])s1 ZINC000897233088 619955971 /nfs/dbraw/zinc/95/59/71/619955971.db2.gz CJZRTVSSYDLICL-UHFFFAOYSA-N 0 0 256.327 2.538 20 5 CFBDRN COc1nn(C)cc1CNc1ccc([N+](=O)[O-])cc1Cl ZINC000897244682 619958294 /nfs/dbraw/zinc/95/82/94/619958294.db2.gz LJKDALIAELDKNB-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCC1CCC(F)(F)CC1 ZINC000897245850 619959149 /nfs/dbraw/zinc/95/91/49/619959149.db2.gz KVYBNYXMGHHEFC-UHFFFAOYSA-N 0 0 288.298 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]23C[C@H]2COC32CCC2)cc1 ZINC000897267573 619962462 /nfs/dbraw/zinc/96/24/62/619962462.db2.gz MJEOLYMTARKOMP-SMDDNHRTSA-N 0 0 274.320 2.966 20 5 CFBDRN CS[C@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000079209417 619965191 /nfs/dbraw/zinc/96/51/91/619965191.db2.gz CSDVUGSIYICWJC-MRVPVSSYSA-N 0 0 255.295 2.560 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909593688 619967221 /nfs/dbraw/zinc/96/72/21/619967221.db2.gz LYFZIQVLIVDCGE-MRVPVSSYSA-N 0 0 297.332 2.841 20 5 CFBDRN CNc1ccc(C(=O)O[C@H](C)[C@H](C)SC)cc1[N+](=O)[O-] ZINC000797191368 619973504 /nfs/dbraw/zinc/97/35/04/619973504.db2.gz KEOUUYIPOMKCIP-BDAKNGLRSA-N 0 0 298.364 2.933 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CCOC23CCCC3)ccc1[N+](=O)[O-] ZINC000897322367 619991261 /nfs/dbraw/zinc/99/12/61/619991261.db2.gz DKKYKFKCRJIUEH-LLVKDONJSA-N 0 0 295.314 2.830 20 5 CFBDRN Cc1ccc(COC(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000021839871 620005093 /nfs/dbraw/zinc/00/50/93/620005093.db2.gz NJILAIULIPOJBY-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000925247034 620067251 /nfs/dbraw/zinc/06/72/51/620067251.db2.gz PMXVLLHFAFAHCV-ZYHUDNBSSA-N 0 0 295.314 2.799 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1cccc(F)c1 ZINC000007791126 620077919 /nfs/dbraw/zinc/07/79/19/620077919.db2.gz RMRXCAIAIBZHFN-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN COc1ccc(C(=O)NC(C)(C)/C=C\Cl)cc1[N+](=O)[O-] ZINC000928937576 620087311 /nfs/dbraw/zinc/08/73/11/620087311.db2.gz OBPPEUPZSWURBR-SREVYHEPSA-N 0 0 298.726 2.864 20 5 CFBDRN COc1ccc(C(=O)NC(C)(C)/C=C/Cl)cc1[N+](=O)[O-] ZINC000928937578 620087446 /nfs/dbraw/zinc/08/74/46/620087446.db2.gz OBPPEUPZSWURBR-VOTSOKGWSA-N 0 0 298.726 2.864 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCC[C@H](O)C1CC1 ZINC000897387201 620089681 /nfs/dbraw/zinc/08/96/81/620089681.db2.gz KCEXNHPARUJFOC-NSHDSACASA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1cc(NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])n(C)n1 ZINC000888111222 620102514 /nfs/dbraw/zinc/10/25/14/620102514.db2.gz GAMJXAIJLRZIAX-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1ccnc(NCCc2cccnc2C)c1[N+](=O)[O-] ZINC000897405580 620104670 /nfs/dbraw/zinc/10/46/70/620104670.db2.gz CIABRZCRPTUHKL-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1C[NH2+][C@@H]1CCCOC1 ZINC000109546727 620120404 /nfs/dbraw/zinc/12/04/04/620120404.db2.gz STYCFPYFFRRBDV-LLVKDONJSA-N 0 0 270.716 2.517 20 5 CFBDRN CN(Cc1ccco1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000008054152 620125653 /nfs/dbraw/zinc/12/56/53/620125653.db2.gz IKIZKALGSBFBSX-UHFFFAOYSA-N 0 0 277.236 2.732 20 5 CFBDRN CC(C)(C)C1=N/C(=C/c2csc([N+](=O)[O-])c2)C(=O)O1 ZINC000054425081 620135059 /nfs/dbraw/zinc/13/50/59/620135059.db2.gz RAOJVTSZQZINFG-XBXARRHUSA-N 0 0 280.305 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H]1COC[C@@H]1C1CC1 ZINC000897449584 620175795 /nfs/dbraw/zinc/17/57/95/620175795.db2.gz AQICOSJSENFUPJ-CZUORRHYSA-N 0 0 299.330 2.980 20 5 CFBDRN CC(C)SCOc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000863348187 620177495 /nfs/dbraw/zinc/17/74/95/620177495.db2.gz ZZLVITZZGRMAEF-UHFFFAOYSA-N 0 0 272.282 2.981 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)c1 ZINC000889591093 620183009 /nfs/dbraw/zinc/18/30/09/620183009.db2.gz OEUPKTXYNUUZBS-UFGOTCBOSA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1cc(F)ccc1[N+](=O)[O-] ZINC000889591246 620183652 /nfs/dbraw/zinc/18/36/52/620183652.db2.gz PYIICDVPGDOPET-UBHAPETDSA-N 0 0 278.283 2.652 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1ncc([N+](=O)[O-])cc1Cl)C2 ZINC000897483668 620186859 /nfs/dbraw/zinc/18/68/59/620186859.db2.gz SLZMDUVWRMSJKN-PELKAZGASA-N 0 0 299.783 2.833 20 5 CFBDRN Cc1cncc(COC(=O)c2ccc([N+](=O)[O-])cc2F)c1 ZINC000785667024 620225643 /nfs/dbraw/zinc/22/56/43/620225643.db2.gz VHMBGQUUEBETCB-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC[C@@H](C)c1ccccc1OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841011774 620232422 /nfs/dbraw/zinc/23/24/22/620232422.db2.gz VKQKZKAVKDJUCM-YUSALJHKSA-N 0 0 263.293 2.771 20 5 CFBDRN CC(C)CCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000035121041 620234849 /nfs/dbraw/zinc/23/48/49/620234849.db2.gz IRUARUZJTUTEOI-UHFFFAOYSA-N 0 0 250.258 2.589 20 5 CFBDRN O=C(NOC1CCCCC1)c1cc([N+](=O)[O-])ccc1F ZINC000832547285 625407690 /nfs/dbraw/zinc/40/76/90/625407690.db2.gz DPFWZIDAWVSVAJ-UHFFFAOYSA-N 0 0 282.271 2.728 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(F)F)c1 ZINC000786505729 620246748 /nfs/dbraw/zinc/24/67/48/620246748.db2.gz TWMGISKNXAWWNK-ZETCQYMHSA-N 0 0 259.208 2.714 20 5 CFBDRN C[C@@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(F)F ZINC000786513559 620249999 /nfs/dbraw/zinc/24/99/99/620249999.db2.gz IQSKXFZEQYZFII-ZCFIWIBFSA-N 0 0 284.218 2.887 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cncs1 ZINC000897590502 620253275 /nfs/dbraw/zinc/25/32/75/620253275.db2.gz MLWACUAWWBIYRJ-UHFFFAOYSA-N 0 0 285.231 2.582 20 5 CFBDRN C[C@@H]1CCCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000929138908 620264509 /nfs/dbraw/zinc/26/45/09/620264509.db2.gz OEVLSXLGHHRDOZ-LLVKDONJSA-N 0 0 294.326 2.925 20 5 CFBDRN CCC1CN(C(=O)c2cc3ccccc3c([N+](=O)[O-])c2N)C1 ZINC000897946705 620308669 /nfs/dbraw/zinc/30/86/69/620308669.db2.gz VHGQMSXDPOPXQJ-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN CC[C@@H](C)[C@H](C(=O)OC)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000929165306 620383774 /nfs/dbraw/zinc/38/37/74/620383774.db2.gz QWJYYQMXJCYMTG-BXUZGUMPSA-N 0 0 294.351 2.614 20 5 CFBDRN CC(C)(C)OC(=O)Nc1cccc(OCC[N+](=O)[O-])c1 ZINC000899230477 620533550 /nfs/dbraw/zinc/53/35/50/620533550.db2.gz ICARDPCFVQRYFG-UHFFFAOYSA-N 0 0 282.296 2.689 20 5 CFBDRN O=[N+]([O-])CCOc1ccc(F)c(C(F)(F)F)c1 ZINC000899231110 620533713 /nfs/dbraw/zinc/53/37/13/620533713.db2.gz BAXZVSPFAXDNPB-UHFFFAOYSA-N 0 0 253.151 2.500 20 5 CFBDRN O=[N+]([O-])CCOc1c(F)cc(Cl)cc1Cl ZINC000899232544 620534208 /nfs/dbraw/zinc/53/42/08/620534208.db2.gz PXFVIBFLSDHIMT-UHFFFAOYSA-N 0 0 254.044 2.788 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000899415273 620566228 /nfs/dbraw/zinc/56/62/28/620566228.db2.gz UGACCRZYIFTUGS-FIDNZITISA-N 0 0 292.310 2.756 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000899417587 620566867 /nfs/dbraw/zinc/56/68/67/620566867.db2.gz LDOFJJGFJFZQAF-RETIQXCHSA-N 0 0 288.347 2.925 20 5 CFBDRN CC(C)NC(=S)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621546 620586865 /nfs/dbraw/zinc/58/68/65/620586865.db2.gz NWKUJESXPUQHNJ-SNVBAGLBSA-N 0 0 281.381 2.571 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@]23C[C@H]2CCC3)cc1 ZINC000899618480 620587352 /nfs/dbraw/zinc/58/73/52/620587352.db2.gz OJWBMJFLYXZWGA-NOZJJQNGSA-N 0 0 277.349 2.824 20 5 CFBDRN Cc1ccc(Nc2nnc(SCC[N+](=O)[O-])s2)cc1 ZINC000899859814 620606362 /nfs/dbraw/zinc/60/63/62/620606362.db2.gz CYVASLRPKMSGLH-UHFFFAOYSA-N 0 0 296.377 2.959 20 5 CFBDRN Cc1ccc(-c2nnc(SCC[N+](=O)[O-])o2)cc1C ZINC000899859836 620606470 /nfs/dbraw/zinc/60/64/70/620606470.db2.gz DOUHURBAQKNOBF-UHFFFAOYSA-N 0 0 279.321 2.722 20 5 CFBDRN Cc1ccccc1-n1ccnc1SCC[N+](=O)[O-] ZINC000899861878 620606662 /nfs/dbraw/zinc/60/66/62/620606662.db2.gz MWVJPRQCLGSUJY-UHFFFAOYSA-N 0 0 263.322 2.550 20 5 CFBDRN O=[N+]([O-])CCNc1ccc2c(c1)C=CC1(CCC1)O2 ZINC000899894183 620609787 /nfs/dbraw/zinc/60/97/87/620609787.db2.gz UFIFCVXTBGNIOM-UHFFFAOYSA-N 0 0 260.293 2.704 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000899904638 620611265 /nfs/dbraw/zinc/61/12/65/620611265.db2.gz PQZQFRDXUWLVRN-ZCFIWIBFSA-N 0 0 274.679 2.916 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000899909795 620611987 /nfs/dbraw/zinc/61/19/87/620611987.db2.gz AEUXTVPJBGPXOJ-UHFFFAOYSA-N 0 0 278.308 2.847 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000899911647 620612260 /nfs/dbraw/zinc/61/22/60/620612260.db2.gz TUDNUDVFFYNMPZ-UHFFFAOYSA-N 0 0 278.308 2.847 20 5 CFBDRN CCC/C=C\[C@H](O)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000899934939 620615473 /nfs/dbraw/zinc/61/54/73/620615473.db2.gz FMIRQCORYMXAFJ-QGOGUYACSA-N 0 0 278.308 2.559 20 5 CFBDRN COC/C(C)=C\COc1ccc(F)cc1[N+](=O)[O-] ZINC000901483171 620830682 /nfs/dbraw/zinc/83/06/82/620830682.db2.gz JKOFWAWEVGRVLV-UITAMQMPSA-N 0 0 255.245 2.705 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000901520239 620843225 /nfs/dbraw/zinc/84/32/25/620843225.db2.gz MVOZAZKLWUYEGQ-ILPMLJPZSA-N 0 0 290.294 2.965 20 5 CFBDRN CCn1cc(CSCc2ccc([N+](=O)[O-])cc2)nn1 ZINC000901529121 620846879 /nfs/dbraw/zinc/84/68/79/620846879.db2.gz OEDCRLVYIZCQRG-UHFFFAOYSA-N 0 0 278.337 2.640 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1cnn(C2CCC2)c1 ZINC000901518525 620854122 /nfs/dbraw/zinc/85/41/22/620854122.db2.gz QWYXLHFECXQRME-UHFFFAOYSA-N 0 0 286.291 2.769 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CCCC3(CCC3)O2)c1=O ZINC000901518946 620854793 /nfs/dbraw/zinc/85/47/93/620854793.db2.gz VDZDIFRELXECJU-CYBMUJFWSA-N 0 0 292.335 2.557 20 5 CFBDRN Cc1nn(C[C@H]2CCCC3(CCC3)O2)cc1[N+](=O)[O-] ZINC000901549579 620862105 /nfs/dbraw/zinc/86/21/05/620862105.db2.gz SLKIDWJMEOLFDU-LLVKDONJSA-N 0 0 265.313 2.592 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H](C)[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000901580239 620868445 /nfs/dbraw/zinc/86/84/45/620868445.db2.gz SSNSYUWXMVEFBM-WCQYABFASA-N 0 0 293.319 2.932 20 5 CFBDRN CC[N@H+](CCC(=O)[O-])Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000901601075 620871667 /nfs/dbraw/zinc/87/16/67/620871667.db2.gz CFCYBNHZXQFMDX-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN CCC(=O)COc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000901656508 620883823 /nfs/dbraw/zinc/88/38/23/620883823.db2.gz ZURSIJFXWVCWIX-UHFFFAOYSA-N 0 0 277.198 2.972 20 5 CFBDRN CCOCOc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000901654680 620883983 /nfs/dbraw/zinc/88/39/83/620883983.db2.gz QJBPADQULHPION-UHFFFAOYSA-N 0 0 265.187 2.986 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCCOC(F)(F)F ZINC000901924435 620943327 /nfs/dbraw/zinc/94/33/27/620943327.db2.gz SDNILIOMCORUJS-UHFFFAOYSA-N 0 0 294.229 2.942 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@H+](CCC(=O)[O-])C1CC1 ZINC000901973188 620948531 /nfs/dbraw/zinc/94/85/31/620948531.db2.gz ZTTADCKXNXVLII-UHFFFAOYSA-N 0 0 292.335 2.651 20 5 CFBDRN O=C([O-])CC1CCC([NH2+]Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000902101757 620973715 /nfs/dbraw/zinc/97/37/15/620973715.db2.gz UXGZAZGYXJQOOA-UHFFFAOYSA-N 0 0 292.335 2.718 20 5 CFBDRN Cc1c(OC(=O)C2(C3CC3)CC2)cccc1[N+](=O)[O-] ZINC000888298346 625456547 /nfs/dbraw/zinc/45/65/47/625456547.db2.gz JKKHWLGEKTYXIZ-UHFFFAOYSA-N 0 0 261.277 2.999 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCCOC(F)(F)F ZINC000902088744 620976135 /nfs/dbraw/zinc/97/61/35/620976135.db2.gz OWSCVHYJBYGZJX-UHFFFAOYSA-N 0 0 293.245 2.975 20 5 CFBDRN Cn1cncc1CCCOc1ccc([N+](=O)[O-])cc1Cl ZINC000902120335 620982331 /nfs/dbraw/zinc/98/23/31/620982331.db2.gz RUIGJFAJYZJFIB-UHFFFAOYSA-N 0 0 295.726 2.993 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2C[C@@H]1C[C@@]12CCOC2 ZINC000902259095 621008137 /nfs/dbraw/zinc/00/81/37/621008137.db2.gz MQSCUDRITDKNAX-XHDPSFHLSA-N 0 0 272.304 2.976 20 5 CFBDRN CC(C)c1noc(CSCc2cccnc2[N+](=O)[O-])n1 ZINC000902295932 621024226 /nfs/dbraw/zinc/02/42/26/621024226.db2.gz JQZRRFYXPIKNBB-UHFFFAOYSA-N 0 0 294.336 2.930 20 5 CFBDRN Cc1nn(C[C@H]2C[C@]23CCOC3)c2ccc([N+](=O)[O-])cc12 ZINC000902295491 621024299 /nfs/dbraw/zinc/02/42/99/621024299.db2.gz HOGWFIYFVCIVEY-ABAIWWIYSA-N 0 0 287.319 2.680 20 5 CFBDRN Cc1noc([C@@H](C)SCc2cccnc2[N+](=O)[O-])n1 ZINC000902296330 621024428 /nfs/dbraw/zinc/02/44/28/621024428.db2.gz IONIFGMZVNQQRF-SSDOTTSWSA-N 0 0 280.309 2.676 20 5 CFBDRN CC(=O)NCCCCCOc1ccc(C)c([N+](=O)[O-])c1 ZINC000902309552 621028730 /nfs/dbraw/zinc/02/87/30/621028730.db2.gz PJJUMPUCMKAKNL-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN Cc1cccc(OC[C@H]2C[C@]23CCOC3)c1[N+](=O)[O-] ZINC000902309411 621028922 /nfs/dbraw/zinc/02/89/22/621028922.db2.gz MLXIIXKUHMPOHR-RISCZKNCSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1nc(CSCc2cn(C)nc2[N+](=O)[O-])sc1C ZINC000902341451 621032311 /nfs/dbraw/zinc/03/23/11/621032311.db2.gz XCECEHBPSCWURQ-UHFFFAOYSA-N 0 0 298.393 2.835 20 5 CFBDRN Cc1cc(CSCc2cccnc2[N+](=O)[O-])ncn1 ZINC000902396496 621064861 /nfs/dbraw/zinc/06/48/61/621064861.db2.gz PWGJVTFJFBDIOV-UHFFFAOYSA-N 0 0 276.321 2.522 20 5 CFBDRN CCc1nocc1CSCc1cccnc1[N+](=O)[O-] ZINC000902419331 621072490 /nfs/dbraw/zinc/07/24/90/621072490.db2.gz SRLZIIKJRHBWJS-UHFFFAOYSA-N 0 0 279.321 2.974 20 5 CFBDRN Cn1cc(CSCc2ncccc2Cl)c([N+](=O)[O-])n1 ZINC000902431257 621077100 /nfs/dbraw/zinc/07/71/00/621077100.db2.gz KBOSKEYUZXSXSC-UHFFFAOYSA-N 0 0 298.755 2.810 20 5 CFBDRN Cn1cc(CSCC2=CCCC2)c([N+](=O)[O-])n1 ZINC000902413412 621083463 /nfs/dbraw/zinc/08/34/63/621083463.db2.gz USPORXAIJNUHFC-UHFFFAOYSA-N 0 0 253.327 2.672 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000902486666 621111927 /nfs/dbraw/zinc/11/19/27/621111927.db2.gz AWRLHRBDHKRAOF-QMTHXVAHSA-N 0 0 292.291 2.754 20 5 CFBDRN COc1ccc(CSCc2nonc2C)cc1[N+](=O)[O-] ZINC000902530292 621118916 /nfs/dbraw/zinc/11/89/16/621118916.db2.gz MDWRYTXFVSNOPX-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN Cn1cc(CSCC[C@@H]2C[C@H]2C2CC2)c([N+](=O)[O-])n1 ZINC000902553386 621120253 /nfs/dbraw/zinc/12/02/53/621120253.db2.gz LJZGABPQSBFUKA-PWSUYJOCSA-N 0 0 281.381 2.998 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)n1cc2c(n1)C(=O)CCC2 ZINC000902549261 621125217 /nfs/dbraw/zinc/12/52/17/621125217.db2.gz JPIUUUSRUCPHCA-JTQLQIEISA-N 0 0 285.303 2.920 20 5 CFBDRN CCc1c(C)on(Cc2ccc(Cl)cc2[N+](=O)[O-])c1=O ZINC000902578834 621129369 /nfs/dbraw/zinc/12/93/69/621129369.db2.gz CZYMTOZNVNSMLA-UHFFFAOYSA-N 0 0 296.710 2.922 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCCO[C@@H]1CC1(F)F ZINC000902696944 621149080 /nfs/dbraw/zinc/14/90/80/621149080.db2.gz MWFZIRRVKZGHDM-SECBINFHSA-N 0 0 295.188 2.676 20 5 CFBDRN Cn1cncc1CCCOc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902697006 621149193 /nfs/dbraw/zinc/14/91/93/621149193.db2.gz PBKPVBYPUCSKHS-UHFFFAOYSA-N 0 0 297.261 2.618 20 5 CFBDRN Cc1nccn1CCCOc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902697447 621149740 /nfs/dbraw/zinc/14/97/40/621149740.db2.gz ZJVVXYUIDWWKBY-UHFFFAOYSA-N 0 0 297.261 2.847 20 5 CFBDRN Cc1c(OC(=O)[C@H](C)[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000902722896 621157739 /nfs/dbraw/zinc/15/77/39/621157739.db2.gz NVYFQASCIMVXQP-ZYHUDNBSSA-N 0 0 279.292 2.624 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000902938562 621210517 /nfs/dbraw/zinc/21/05/17/621210517.db2.gz UCJHUMOTUNMJPG-SECBINFHSA-N 0 0 281.312 2.530 20 5 CFBDRN COc1cc(CN2CCC[C@H](F)C2)c([N+](=O)[O-])cc1F ZINC000902994493 621220280 /nfs/dbraw/zinc/22/02/80/621220280.db2.gz CXDNFPYHRJCSSP-JTQLQIEISA-N 0 0 286.278 2.676 20 5 CFBDRN CCOc1cc(CN2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000902996494 621223520 /nfs/dbraw/zinc/22/35/20/621223520.db2.gz SOSYRTKHSUASKG-GFCCVEGCSA-N 0 0 282.315 2.927 20 5 CFBDRN Cc1ncsc1CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000071213267 625478762 /nfs/dbraw/zinc/47/87/62/625478762.db2.gz GDEAYKYHJIJPPV-UHFFFAOYSA-N 0 0 291.292 2.508 20 5 CFBDRN COC(C)(C)[C@@H](C)[NH2+][C@@H](C)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903329311 621340464 /nfs/dbraw/zinc/34/04/64/621340464.db2.gz BNGIXDIMEZZDGR-VHSXEESVSA-N 0 0 282.340 2.765 20 5 CFBDRN CC[C@@H](C[NH2+][C@@H](C)CCc1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000903709371 621418474 /nfs/dbraw/zinc/41/84/74/621418474.db2.gz MYDIMIMTIXZPLA-RYUDHWBXSA-N 0 0 294.351 2.616 20 5 CFBDRN C[C@H](c1noc(-c2ccc([N+](=O)[O-])s2)n1)[C@@H]1CCCO1 ZINC000903792344 621439225 /nfs/dbraw/zinc/43/92/25/621439225.db2.gz PXZRLSPOHZHMDD-YUMQZZPRSA-N 0 0 295.320 2.989 20 5 CFBDRN Cc1nc(NC(=O)C2(C)CC=CC2)ccc1[N+](=O)[O-] ZINC000903817751 621443660 /nfs/dbraw/zinc/44/36/60/621443660.db2.gz HGFREAHNDPJKJD-UHFFFAOYSA-N 0 0 261.281 2.593 20 5 CFBDRN C[C@@H](c1noc(-c2ccccc2[N+](=O)[O-])n1)[C@@H]1CCCO1 ZINC000903809896 621446263 /nfs/dbraw/zinc/44/62/63/621446263.db2.gz WAQMRYPFPMYZIP-SKDRFNHKSA-N 0 0 289.291 2.927 20 5 CFBDRN Nc1c(-c2nc(Cc3ccccc3)no2)cccc1[N+](=O)[O-] ZINC000904317698 621572738 /nfs/dbraw/zinc/57/27/38/621572738.db2.gz GXBZCJRRNGZXCZ-UHFFFAOYSA-N 0 0 296.286 2.818 20 5 CFBDRN COc1ncccc1-c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000904333365 621577663 /nfs/dbraw/zinc/57/76/63/621577663.db2.gz MXDSHYLWKZBVAY-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000832733619 625501509 /nfs/dbraw/zinc/50/15/09/625501509.db2.gz URVVJXJGAXKQJI-MRVPVSSYSA-N 0 0 286.715 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ccc([C@H]3C[C@@H](O)C3)cc2)cn1 ZINC000904798615 621649966 /nfs/dbraw/zinc/64/99/66/621649966.db2.gz IEIBGESAYJBART-OKILXGFUSA-N 0 0 270.288 2.895 20 5 CFBDRN Cc1nc(CN(C)Cc2cc([N+](=O)[O-])ccc2Cl)no1 ZINC000193987770 625505367 /nfs/dbraw/zinc/50/53/67/625505367.db2.gz SHCNTWRNHGZSRO-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1-c1nc(-c2ccncn2)no1 ZINC000904866616 621665249 /nfs/dbraw/zinc/66/52/49/621665249.db2.gz UGUULWLBJHIJFK-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CCOc1cc(OC[C@H]2C[C@@]23CCOC3)ccc1[N+](=O)[O-] ZINC000905231233 621721092 /nfs/dbraw/zinc/72/10/92/621721092.db2.gz AWENJHUDCCBFGZ-IAQYHMDHSA-N 0 0 293.319 2.799 20 5 CFBDRN O=[N+]([O-])CC[NH2+]CC1(c2ccc(F)cc2F)CCCC1 ZINC000905220327 621725661 /nfs/dbraw/zinc/72/56/61/621725661.db2.gz XITSWWNGHQQGDU-UHFFFAOYSA-N 0 0 284.306 2.643 20 5 CFBDRN Cc1c(OC[C@@H]2C[C@]23CCOC3)cccc1[N+](=O)[O-] ZINC000905234336 621731200 /nfs/dbraw/zinc/73/12/00/621731200.db2.gz JDHOYTZWGLKVSO-FZMZJTMJSA-N 0 0 263.293 2.709 20 5 CFBDRN CCc1ccc(OC[C@@H]2C[C@@]23CCOC3)c([N+](=O)[O-])c1 ZINC000905236775 621731467 /nfs/dbraw/zinc/73/14/67/621731467.db2.gz SKXSNDNKBUVRAJ-SWLSCSKDSA-N 0 0 277.320 2.963 20 5 CFBDRN C[C@@H](NC(=S)NC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000905642806 621816572 /nfs/dbraw/zinc/81/65/72/621816572.db2.gz QIKUXXAIOKDOAV-SECBINFHSA-N 0 0 279.365 2.672 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CC(C)=NO1 ZINC000905709678 621825468 /nfs/dbraw/zinc/82/54/68/621825468.db2.gz OOACZIILFHWYQV-CQSZACIVSA-N 0 0 291.307 2.651 20 5 CFBDRN Cc1cc(C)c(OC[C@](C)(O)C(F)F)c([N+](=O)[O-])c1 ZINC000905942227 621904663 /nfs/dbraw/zinc/90/46/63/621904663.db2.gz JPLKIRMRQQTHKK-LBPRGKRZSA-N 0 0 275.251 2.607 20 5 CFBDRN Cc1c(C(=O)OC2CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000192938902 621923421 /nfs/dbraw/zinc/92/34/21/621923421.db2.gz LVHPKWWKIOAQBM-UHFFFAOYSA-N 0 0 294.263 2.911 20 5 CFBDRN C[C@@H](OC(=O)CC1(C)COC1)c1ccccc1[N+](=O)[O-] ZINC000906117677 621933345 /nfs/dbraw/zinc/93/33/45/621933345.db2.gz CJKFCLYMDNSJSH-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN O=C(OCC1CC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149088248 621938236 /nfs/dbraw/zinc/93/82/36/621938236.db2.gz GEDIJVZQICRJAK-UHFFFAOYSA-N 0 0 255.657 2.815 20 5 CFBDRN O=[N+]([O-])c1ccc(CO)c(-c2cccc3c2CCOC3)c1 ZINC000906171887 621943781 /nfs/dbraw/zinc/94/37/81/621943781.db2.gz KAFYASQBGFCVJF-UHFFFAOYSA-N 0 0 285.299 2.827 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCCC12CCC2 ZINC000906202505 621951928 /nfs/dbraw/zinc/95/19/28/621951928.db2.gz XVUCPELFBZDFLD-UHFFFAOYSA-N 0 0 260.293 2.754 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N=[S@](C)(=O)C(C)C)c1 ZINC000906205432 621952652 /nfs/dbraw/zinc/95/26/52/621952652.db2.gz VFIZFINLSXKUTP-LJQANCHMSA-N 0 0 284.337 2.550 20 5 CFBDRN O=C(Nc1nccs1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149116238 621966206 /nfs/dbraw/zinc/96/62/06/621966206.db2.gz TUUZYHVXHPRBJM-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN Cc1ccc(C(=O)N=[S@](C)(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000906311123 621972277 /nfs/dbraw/zinc/97/22/77/621972277.db2.gz UHYZNYSURVBZAF-HXUWFJFHSA-N 0 0 298.364 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@]23C[C@H]2CCC3)c1C ZINC000906466171 621992746 /nfs/dbraw/zinc/99/27/46/621992746.db2.gz ZMQYRNXUEQDZBY-IAQYHMDHSA-N 0 0 274.320 2.884 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000833029810 625543390 /nfs/dbraw/zinc/54/33/90/625543390.db2.gz OOHIQDULSTXXQY-WDEREUQCSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H](NC(=O)C[C@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000833029191 625543743 /nfs/dbraw/zinc/54/37/43/625543743.db2.gz ACIXFASCQFPMCF-NWDGAFQWSA-N 0 0 292.335 2.589 20 5 CFBDRN CC(C)(CCC(=O)NC[C@H]1CCCC1(C)C)[N+](=O)[O-] ZINC000832919828 625538099 /nfs/dbraw/zinc/53/80/99/625538099.db2.gz HQPPZFUEHNBGJL-LLVKDONJSA-N 0 0 270.373 2.764 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC000908267812 622209809 /nfs/dbraw/zinc/20/98/09/622209809.db2.gz LNNKMVPHALHROX-AAEUAGOBSA-N 0 0 292.335 2.965 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000908701763 622265795 /nfs/dbraw/zinc/26/57/95/622265795.db2.gz DAQTZUMGWCCBMK-OIBJUYFYSA-N 0 0 297.694 2.630 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000909017269 622300548 /nfs/dbraw/zinc/30/05/48/622300548.db2.gz DSDQKXPCCLSWOK-CPCISQLKSA-N 0 0 297.694 2.630 20 5 CFBDRN CSCCOC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027146 622388144 /nfs/dbraw/zinc/38/81/44/622388144.db2.gz IGKZCXYZJKQLNK-NWDGAFQWSA-N 0 0 281.333 2.605 20 5 CFBDRN O=C(OC/C=C\Cl)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910029572 622388585 /nfs/dbraw/zinc/38/85/85/622388585.db2.gz YTDDDPFJDPJNDM-OXYQRJNWSA-N 0 0 281.695 2.994 20 5 CFBDRN CO[C@@H](C)COC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000155712495 622397998 /nfs/dbraw/zinc/39/79/98/622397998.db2.gz OYAWLOTUVOGLJX-UWVGGRQHSA-N 0 0 299.348 2.654 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)OC[C@@H](C)CC(C)(C)C ZINC000910206299 622415140 /nfs/dbraw/zinc/41/51/40/622415140.db2.gz WNJUAFARSLEGJR-JTQLQIEISA-N 0 0 297.355 2.715 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1CC12CC2 ZINC000911297259 622516878 /nfs/dbraw/zinc/51/68/78/622516878.db2.gz YQRAFPNETCNXES-GFCCVEGCSA-N 0 0 294.738 2.850 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000911730103 622548126 /nfs/dbraw/zinc/54/81/26/622548126.db2.gz PZSYVTVLKWBEDP-UHFFFAOYSA-N 0 0 272.402 2.606 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)cs1 ZINC000819899415 622568462 /nfs/dbraw/zinc/56/84/62/622568462.db2.gz NCYKXEHTVGJSLW-UHFFFAOYSA-N 0 0 277.305 2.799 20 5 CFBDRN Nc1ccc(NC(=O)c2cccc3cc[nH]c32)cc1[N+](=O)[O-] ZINC000819916195 622570507 /nfs/dbraw/zinc/57/05/07/622570507.db2.gz JAVNHGHZVIEXLO-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C(F)=C1CCC1 ZINC000819941455 622578978 /nfs/dbraw/zinc/57/89/78/622578978.db2.gz NIEZFCCDXHUXCK-UHFFFAOYSA-N 0 0 281.239 2.916 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)/C=C/[C@@H]1CCCO1 ZINC000819948066 622580175 /nfs/dbraw/zinc/58/01/75/622580175.db2.gz YAUXMXBECFQIKR-SYTKJHMZSA-N 0 0 277.276 2.544 20 5 CFBDRN Cc1ccc(OC(=O)C[C@H](C)n2cccn2)cc1[N+](=O)[O-] ZINC000820381090 622659473 /nfs/dbraw/zinc/65/94/73/622659473.db2.gz HKNUXBDXGRHBIY-NSHDSACASA-N 0 0 289.291 2.656 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@@H]2CCOC2)c(Cl)c1 ZINC000912358702 622693496 /nfs/dbraw/zinc/69/34/96/622693496.db2.gz FIOICIKQZFYKFD-SSDOTTSWSA-N 0 0 275.663 2.803 20 5 CFBDRN CC1(COc2c(F)cc([N+](=O)[O-])cc2Cl)COC1 ZINC000912358639 622693648 /nfs/dbraw/zinc/69/36/48/622693648.db2.gz BGDBNKUEKMKBQQ-UHFFFAOYSA-N 0 0 275.663 2.803 20 5 CFBDRN COCCCOc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912364616 622700204 /nfs/dbraw/zinc/70/02/04/622700204.db2.gz JDKQIAHHMADOLP-UHFFFAOYSA-N 0 0 263.652 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC1(C)CC1 ZINC000820567214 622704615 /nfs/dbraw/zinc/70/46/15/622704615.db2.gz DMWNZKVNONIXQR-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN C=C=CCNc1ccc(/C=C\C(=O)OC)cc1[N+](=O)[O-] ZINC000820586907 622708994 /nfs/dbraw/zinc/70/89/94/622708994.db2.gz WTYFODKGNIWJMF-VURMDHGXSA-N 0 0 274.276 2.534 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H](C)c2ncc[nH]2)c1 ZINC000820594342 622710866 /nfs/dbraw/zinc/71/08/66/622710866.db2.gz HDYRPQZFQKIUBT-SSDOTTSWSA-N 0 0 280.259 2.639 20 5 CFBDRN Nc1c(Cl)cc(C(=O)NCC2=CCCC2)cc1[N+](=O)[O-] ZINC000912689942 622759748 /nfs/dbraw/zinc/75/97/48/622759748.db2.gz FGPRMKCXARNFLK-UHFFFAOYSA-N 0 0 295.726 2.671 20 5 CFBDRN COc1ccc(Oc2ccc([N+](=O)[O-])nc2)c([N+](=O)[O-])c1 ZINC000912729016 622769552 /nfs/dbraw/zinc/76/95/52/622769552.db2.gz LRPYPRDKAGHLDA-UHFFFAOYSA-N 0 0 291.219 2.699 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@H]1C(F)F ZINC000820869363 622777587 /nfs/dbraw/zinc/77/75/87/622777587.db2.gz VRTSOMUGEVYGHW-TUAOUCFPSA-N 0 0 296.273 2.564 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000151046433 622823763 /nfs/dbraw/zinc/82/37/63/622823763.db2.gz QLUUFEXTOQRCLF-SECBINFHSA-N 0 0 266.272 2.667 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1ccc2nonc2c1 ZINC000821057913 622835140 /nfs/dbraw/zinc/83/51/40/622835140.db2.gz PIEBXCCGQNMYRP-UHFFFAOYSA-N 0 0 271.232 2.710 20 5 CFBDRN C/C=C\CNC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000913165870 622843352 /nfs/dbraw/zinc/84/33/52/622843352.db2.gz CDSFVJYKLVKCCJ-IHWYPQMZSA-N 0 0 282.727 2.873 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000821179929 622862668 /nfs/dbraw/zinc/86/26/68/622862668.db2.gz XAHKXNUZPIDXKN-BIGNPOOSSA-N 0 0 274.320 2.613 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000151393815 622904005 /nfs/dbraw/zinc/90/40/05/622904005.db2.gz GUOHMWDLTCATJP-ZETCQYMHSA-N 0 0 272.251 2.744 20 5 CFBDRN O=C(/C=C/[C@H]1CCCO1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000821404628 622915433 /nfs/dbraw/zinc/91/54/33/622915433.db2.gz PDLOTIMYCZQSIY-DUMNWFOQSA-N 0 0 295.266 2.512 20 5 CFBDRN O=C(/C=C\[C@@H]1CCCO1)OCc1ccc([N+](=O)[O-])cc1F ZINC000821405050 622916519 /nfs/dbraw/zinc/91/65/19/622916519.db2.gz URABDIPNFZSVRZ-FJOGCWAESA-N 0 0 295.266 2.512 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)CC(C)(C)O)cc1[N+](=O)[O-] ZINC000821591776 622950788 /nfs/dbraw/zinc/95/07/88/622950788.db2.gz OBQINFPQTGVMRZ-SNVBAGLBSA-N 0 0 281.308 2.610 20 5 CFBDRN Nc1ccc(C(=O)O[C@@H]2CCC23CCC3)cc1[N+](=O)[O-] ZINC000821823893 622984410 /nfs/dbraw/zinc/98/44/10/622984410.db2.gz BKQCTDIEDJJDCA-GFCCVEGCSA-N 0 0 276.292 2.667 20 5 CFBDRN CC1(C)CC[C@H]1OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000821832945 622987548 /nfs/dbraw/zinc/98/75/48/622987548.db2.gz KGEGYXXEFRZBSM-GFCCVEGCSA-N 0 0 263.293 2.869 20 5 CFBDRN C[C@]1(COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000822080356 623030911 /nfs/dbraw/zinc/03/09/11/623030911.db2.gz UAMFRHZVSYYRRL-WWNBYQIJSA-N 0 0 279.267 2.899 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@]1(C)C[C@@H]1F ZINC000822099814 623035539 /nfs/dbraw/zinc/03/55/39/623035539.db2.gz PEYIHHLLYIEXLF-WCQYABFASA-N 0 0 267.256 2.808 20 5 CFBDRN CNc1c(C(=O)OC[C@]2(C)C[C@H]2F)cccc1[N+](=O)[O-] ZINC000822131349 623045711 /nfs/dbraw/zinc/04/57/11/623045711.db2.gz VKPZJFBFEBIRSY-MFKMUULPSA-N 0 0 282.271 2.541 20 5 CFBDRN COc1cc(C(=O)OC[C@@]2(C)C[C@@H]2F)cc([N+](=O)[O-])c1C ZINC000822142040 623049482 /nfs/dbraw/zinc/04/94/82/623049482.db2.gz ZOQRFBJMGIOQMY-GXTWGEPZSA-N 0 0 297.282 2.817 20 5 CFBDRN CCCCC[C@@H](O)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000822188715 623057406 /nfs/dbraw/zinc/05/74/06/623057406.db2.gz JPADOPGBALWWPE-CYBMUJFWSA-N 0 0 280.324 2.783 20 5 CFBDRN CCC1CN(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000822305708 623075779 /nfs/dbraw/zinc/07/57/79/623075779.db2.gz AEBWDZZMFDNQOL-KBPBESRZSA-N 0 0 274.320 2.567 20 5 CFBDRN CCC1CN(C(=O)c2cc([N+](=O)[O-])ccc2SC)C1 ZINC000822310294 623077968 /nfs/dbraw/zinc/07/79/68/623077968.db2.gz MGKGBHGBGHUDLS-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN CCOc1cc(N[C@@H]2CCC[C@@H]2CO)ccc1[N+](=O)[O-] ZINC000226571745 623083111 /nfs/dbraw/zinc/08/31/11/623083111.db2.gz ZWCOHIQRTXBJRW-ZYHUDNBSSA-N 0 0 280.324 2.566 20 5 CFBDRN C[C@H](O)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000168296961 623089919 /nfs/dbraw/zinc/08/99/19/623089919.db2.gz RKVSHMIODKRFED-IUCAKERBSA-N 0 0 286.278 2.740 20 5 CFBDRN CC1(CNC(=O)c2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000226841482 623091673 /nfs/dbraw/zinc/09/16/73/623091673.db2.gz SCTZIZDMDHJSLA-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CC[C@H](COC(=O)c1cccc(Cl)c1[N+](=O)[O-])OC ZINC000842082538 623096916 /nfs/dbraw/zinc/09/69/16/623096916.db2.gz NTERQTLLDKUZGW-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822415770 623098909 /nfs/dbraw/zinc/09/89/09/623098909.db2.gz FTOHFJYWUXROQO-GHMZBOCLSA-N 0 0 256.346 2.517 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000822443036 623104625 /nfs/dbraw/zinc/10/46/25/623104625.db2.gz NMDCQQFWNGPFOW-LJISPDSOSA-N 0 0 288.347 2.859 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2cccc(F)c2[N+](=O)[O-])C1(C)C ZINC000227820371 623121918 /nfs/dbraw/zinc/12/19/18/623121918.db2.gz VQGMODSGKHSVAP-GHMZBOCLSA-N 0 0 268.288 2.959 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OC[Si](C)(C)C)c1 ZINC000199523694 623123730 /nfs/dbraw/zinc/12/37/30/623123730.db2.gz QNBDGELAKKFUTI-UHFFFAOYSA-N 0 0 283.356 2.638 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822730368 623161896 /nfs/dbraw/zinc/16/18/96/623161896.db2.gz JURGYOCNOKFEEB-VXGBXAGGSA-N 0 0 270.373 2.764 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822763130 623169471 /nfs/dbraw/zinc/16/94/71/623169471.db2.gz QQBMHBFHXLKZGQ-NEPJUHHUSA-N 0 0 270.373 2.764 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C/[C@H]1CCCO1 ZINC000822797458 623176695 /nfs/dbraw/zinc/17/66/95/623176695.db2.gz GMEPUZTWEQMYRA-WAFBPQNNSA-N 0 0 290.319 2.885 20 5 CFBDRN CC(C)C[C@@H]1CCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822908831 623200959 /nfs/dbraw/zinc/20/09/59/623200959.db2.gz QGYMQXLRUDYPQC-LBPRGKRZSA-N 0 0 270.373 2.859 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N)c1ccsc1 ZINC000061373935 623206568 /nfs/dbraw/zinc/20/65/68/623206568.db2.gz ATVCDEWSIPXBFK-MRVPVSSYSA-N 0 0 291.332 2.730 20 5 CFBDRN Cc1cccc2c1CCN(c1ncccc1[N+](=O)[O-])C2 ZINC000171564187 623230699 /nfs/dbraw/zinc/23/06/99/623230699.db2.gz BFPQXPJMJLPOQC-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000230107604 623252508 /nfs/dbraw/zinc/25/25/08/623252508.db2.gz MSHBHCNCQBMFFU-SDBXPKJASA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1C[C@@H](C)[C@H]1C ZINC000823184004 623256246 /nfs/dbraw/zinc/25/62/46/623256246.db2.gz UPPHDQHEOWFRRC-MWLCHTKSSA-N 0 0 262.309 2.692 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1C[C@@H](C)[C@@H]1C ZINC000823184003 623256363 /nfs/dbraw/zinc/25/63/63/623256363.db2.gz UPPHDQHEOWFRRC-KOLCDFICSA-N 0 0 262.309 2.692 20 5 CFBDRN CC1(C)OC[C@H](CNc2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000230236786 623266111 /nfs/dbraw/zinc/26/61/11/623266111.db2.gz IHERRBOYDJANSI-VIFPVBQESA-N 0 0 286.715 2.812 20 5 CFBDRN COC(C)(C)CCOc1ccc([N+](=O)[O-])cc1C=O ZINC000230401187 623274975 /nfs/dbraw/zinc/27/49/75/623274975.db2.gz INXXZKGWLPCLCP-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823266674 623279377 /nfs/dbraw/zinc/27/93/77/623279377.db2.gz CJZOGGDMIWOGSB-XHDPSFHLSA-N 0 0 290.363 2.872 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000823313354 623291302 /nfs/dbraw/zinc/29/13/02/623291302.db2.gz ZBDIBKOTPXCALJ-CUZKYEQNSA-N 0 0 286.331 2.613 20 5 CFBDRN CC[C@@](C)(CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C)OC ZINC000823347559 623295015 /nfs/dbraw/zinc/29/50/15/623295015.db2.gz NJYHOKDUEHOMEQ-HNNXBMFYSA-N 0 0 294.351 2.757 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)[C@]12C[C@H]1CCC2 ZINC000823407282 623310592 /nfs/dbraw/zinc/31/05/92/623310592.db2.gz HBGRENCJKRURSS-YMTOWFKASA-N 0 0 296.273 2.679 20 5 CFBDRN C[C@@]1(C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)C=CCC1 ZINC000823408084 623310912 /nfs/dbraw/zinc/31/09/12/623310912.db2.gz UYBONXOFZORVSJ-CQSZACIVSA-N 0 0 296.273 2.846 20 5 CFBDRN Cc1ccc(C(N)=O)cc1NCc1cccc([N+](=O)[O-])c1C ZINC000172892525 623314972 /nfs/dbraw/zinc/31/49/72/623314972.db2.gz XMLYJKNIBGXZIY-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN O=C(NCc1ccsc1)c1cc([N+](=O)[O-])ccc1F ZINC000063154464 623327305 /nfs/dbraw/zinc/32/73/05/623327305.db2.gz JMMZTKNXXGHAKX-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1=CCCCO1 ZINC000173612056 623358558 /nfs/dbraw/zinc/35/85/58/623358558.db2.gz SNOCMCBXMBCZHF-UHFFFAOYSA-N 0 0 276.292 2.844 20 5 CFBDRN C[C@H](CC(F)(F)F)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000231749212 623366194 /nfs/dbraw/zinc/36/61/94/623366194.db2.gz QNRGGLFVBAUGIM-RXMQYKEDSA-N 0 0 290.201 2.884 20 5 CFBDRN Cc1c(C[NH2+]CCCCCC(=O)[O-])cccc1[N+](=O)[O-] ZINC000231885881 623374700 /nfs/dbraw/zinc/37/47/00/623374700.db2.gz OWMOZKVPUVOWOF-UHFFFAOYSA-N 0 0 280.324 2.638 20 5 CFBDRN O=C(CCC1CCOCC1)OCc1ccc([N+](=O)[O-])cc1 ZINC000174047338 623384462 /nfs/dbraw/zinc/38/44/62/623384462.db2.gz HTZNPXREABZWKR-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000823811765 623398848 /nfs/dbraw/zinc/39/88/48/623398848.db2.gz AYVSEXMXEIHWGZ-ZSBIGDGJSA-N 0 0 274.320 2.740 20 5 CFBDRN CCN(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCCC1 ZINC000823944638 623422334 /nfs/dbraw/zinc/42/23/34/623422334.db2.gz BQCNAYMKUIYJIF-UHFFFAOYSA-N 0 0 292.339 2.973 20 5 CFBDRN CC1(C)CCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823954960 623425328 /nfs/dbraw/zinc/42/53/28/623425328.db2.gz BMSPPTJHPCFFLH-UHFFFAOYSA-N 0 0 292.339 2.831 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCC1CCC1 ZINC000174532830 623426805 /nfs/dbraw/zinc/42/68/05/623426805.db2.gz YMFQMAHKWHPBEV-RMKNXTFCSA-N 0 0 261.277 2.951 20 5 CFBDRN CCOCCCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000174553809 623427021 /nfs/dbraw/zinc/42/70/21/623427021.db2.gz UFVOIGFLHJMAJP-UHFFFAOYSA-N 0 0 267.281 2.568 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823968750 623427305 /nfs/dbraw/zinc/42/73/05/623427305.db2.gz ZUDOLVJGWFPFSU-MWLCHTKSSA-N 0 0 292.339 2.972 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823972889 623428291 /nfs/dbraw/zinc/42/82/91/623428291.db2.gz AKDYGGQQXWYDGS-ZJUUUORDSA-N 0 0 292.339 2.829 20 5 CFBDRN CC(C)C(NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)C ZINC000823973492 623428321 /nfs/dbraw/zinc/42/83/21/623428321.db2.gz JLDGYHJOMFGMLN-UHFFFAOYSA-N 0 0 294.355 2.979 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])OCC1CCC1 ZINC000174539441 623428953 /nfs/dbraw/zinc/42/89/53/623428953.db2.gz QYKQSLOKKZYBQE-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN C[C@@H](NC(=O)NOCC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000824081909 623447691 /nfs/dbraw/zinc/44/76/91/623447691.db2.gz SGENYXXLGUVLSW-SNVBAGLBSA-N 0 0 293.323 2.687 20 5 CFBDRN C[C@H](COC(=O)CCC(C)(C)[N+](=O)[O-])CC(F)F ZINC000842439482 623462065 /nfs/dbraw/zinc/46/20/65/623462065.db2.gz FWYRYVRWYSOKRY-QMMMGPOBSA-N 0 0 267.272 2.656 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C1CC2(CC2(F)F)C1 ZINC000824250731 623477237 /nfs/dbraw/zinc/47/72/37/623477237.db2.gz GQYNDPVJQGPGGD-UHFFFAOYSA-N 0 0 296.273 2.646 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC(F)(F)F ZINC000064162456 623511727 /nfs/dbraw/zinc/51/17/27/623511727.db2.gz CIUINRDPNHDUKT-UHFFFAOYSA-N 0 0 263.171 2.622 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC2CC1(C)C2 ZINC000824784398 623565009 /nfs/dbraw/zinc/56/50/09/623565009.db2.gz FWRCXBAIUDUBOF-UHFFFAOYSA-N 0 0 274.320 2.836 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Oc2c(C)cccc2[N+](=O)[O-])O1 ZINC000760020245 623621034 /nfs/dbraw/zinc/62/10/34/623621034.db2.gz WHVIPDJQIYKAPY-ZYHUDNBSSA-N 0 0 279.292 2.766 20 5 CFBDRN C[C@H](C(=O)OCCC1(O)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000840494155 623656705 /nfs/dbraw/zinc/65/67/05/623656705.db2.gz SDOUXKMDZIKPEH-NSHDSACASA-N 0 0 293.319 2.547 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@H+]2CC[C@H](CCCO)C2)cc1Cl ZINC000825336975 623675953 /nfs/dbraw/zinc/67/59/53/623675953.db2.gz BLXPGRQDRZXOKU-NSHDSACASA-N 0 0 298.770 2.843 20 5 CFBDRN CC[C@H](NC(=O)CCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000825376085 623683070 /nfs/dbraw/zinc/68/30/70/623683070.db2.gz MJCQMONYCPIXQP-ZDUSSCGKSA-N 0 0 294.326 2.971 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)C1(F)CC1 ZINC000830755469 623693252 /nfs/dbraw/zinc/69/32/52/623693252.db2.gz NIZTYCLUDIJFCW-UHFFFAOYSA-N 0 0 273.647 2.794 20 5 CFBDRN CCCCN(C)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929793310 623701698 /nfs/dbraw/zinc/70/16/98/623701698.db2.gz WAGOVXYCHDEIPB-KBPBESRZSA-N 0 0 276.336 2.957 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000825606301 623722664 /nfs/dbraw/zinc/72/26/64/623722664.db2.gz UKSXSHYKWIJQJD-NXEZZACHSA-N 0 0 292.360 2.799 20 5 CFBDRN CSCCCC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825647705 623730474 /nfs/dbraw/zinc/73/04/74/623730474.db2.gz HUHFTEVJLGHFMJ-UHFFFAOYSA-N 0 0 282.365 2.663 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000825648567 623731004 /nfs/dbraw/zinc/73/10/04/623731004.db2.gz UULXKKTZPVRSPV-GFCCVEGCSA-N 0 0 268.288 2.514 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H](O)c2cc(F)ccc2F)c1 ZINC000152731342 623760944 /nfs/dbraw/zinc/76/09/44/623760944.db2.gz CKSGPIFCAFPYMB-AWEZNQCLSA-N 0 0 295.241 2.985 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@@H]1Cc1ccccc1)[N+](=O)[O-] ZINC000826616259 623824010 /nfs/dbraw/zinc/82/40/10/623824010.db2.gz XVLLFBSNPWHORE-CQSZACIVSA-N 0 0 290.363 2.666 20 5 CFBDRN CC1(C)OCC(Nc2cc(Cl)ccc2[N+](=O)[O-])CO1 ZINC000232808380 623825569 /nfs/dbraw/zinc/82/55/69/623825569.db2.gz WNNJRXHJRNRTPU-UHFFFAOYSA-N 0 0 286.715 2.812 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NOCC1CCC1 ZINC000826628272 623825691 /nfs/dbraw/zinc/82/56/91/623825691.db2.gz ZPUCUKWISIKAJD-UHFFFAOYSA-N 0 0 296.348 2.778 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])O[C@H]1COc2ccccc21 ZINC000153086218 623837731 /nfs/dbraw/zinc/83/77/31/623837731.db2.gz MDHSOEMIMLPCCF-HNNXBMFYSA-N 0 0 299.282 2.814 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCCC1(C)C)[N+](=O)[O-] ZINC000826716340 623854220 /nfs/dbraw/zinc/85/42/20/623854220.db2.gz ZASWXXWCAVNNML-SNVBAGLBSA-N 0 0 256.346 2.517 20 5 CFBDRN CN(Cc1c[nH]cn1)Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000826770367 623871169 /nfs/dbraw/zinc/87/11/69/623871169.db2.gz XFHRZVYTKUQODH-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)CC2(CCC2)O1 ZINC000826790740 623877594 /nfs/dbraw/zinc/87/75/94/623877594.db2.gz GDMKDQOVBYXQIM-JTQLQIEISA-N 0 0 280.299 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H](C)OC3(CCCC3)C2)n1 ZINC000826816698 623883538 /nfs/dbraw/zinc/88/35/38/623883538.db2.gz HWVKZBBQSBNCMC-LBPRGKRZSA-N 0 0 291.351 2.836 20 5 CFBDRN CC[C@@H](Cc1ccccc1)[N@H+](CC)CC[N+](=O)[O-] ZINC000929835044 623889503 /nfs/dbraw/zinc/88/95/03/623889503.db2.gz PFBDSEHCIYTWTF-AWEZNQCLSA-N 0 0 250.342 2.606 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826865454 623897793 /nfs/dbraw/zinc/89/77/93/623897793.db2.gz QCYAOOBEWKCORR-LBPRGKRZSA-N 0 0 270.373 2.861 20 5 CFBDRN O=C(O[C@H]1COc2ccccc21)c1ccccc1[N+](=O)[O-] ZINC000153312320 623922943 /nfs/dbraw/zinc/92/29/43/623922943.db2.gz NIZGVEMIYGTDSY-AWEZNQCLSA-N 0 0 285.255 2.885 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCC1CCCC1 ZINC000914736267 623929652 /nfs/dbraw/zinc/92/96/52/623929652.db2.gz UMWUBBLTYWNRKM-JXMROGBWSA-N 0 0 274.320 2.914 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCCC(F)(F)C1)[N+](=O)[O-] ZINC000827059610 623933771 /nfs/dbraw/zinc/93/37/71/623933771.db2.gz MTFJZFBKSGZXDW-VIFPVBQESA-N 0 0 278.299 2.516 20 5 CFBDRN CC(C)(CCC(=O)N1CCc2cccc(F)c21)[N+](=O)[O-] ZINC000827081561 623936951 /nfs/dbraw/zinc/93/69/51/623936951.db2.gz MBRMDOJBMCXXBL-UHFFFAOYSA-N 0 0 280.299 2.550 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)C(C)C)c([N+](=O)[O-])cc1F ZINC000835227556 623952007 /nfs/dbraw/zinc/95/20/07/623952007.db2.gz KNVQUDJCHCZOQG-QMMMGPOBSA-N 0 0 285.271 2.944 20 5 CFBDRN CCCCSCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000193384023 623988777 /nfs/dbraw/zinc/98/87/77/623988777.db2.gz QAXGDCVLIIETLW-UHFFFAOYSA-N 0 0 282.365 2.744 20 5 CFBDRN COc1ccc(OC(=O)CCOCC(C)C)c([N+](=O)[O-])c1 ZINC000915278478 624013741 /nfs/dbraw/zinc/01/37/41/624013741.db2.gz AKCJJRDISMURHE-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN C/C=C\CNC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000827492259 624016211 /nfs/dbraw/zinc/01/62/11/624016211.db2.gz AYIAYPZVWLOCIY-IHWYPQMZSA-N 0 0 282.727 2.873 20 5 CFBDRN C[C@H]1CCCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000193512496 624034354 /nfs/dbraw/zinc/03/43/54/624034354.db2.gz RMTYFLWZUMYLHV-JTQLQIEISA-N 0 0 289.335 2.716 20 5 CFBDRN C/C=C/COC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000915439973 624042555 /nfs/dbraw/zinc/04/25/55/624042555.db2.gz OABSLZIBZITVFS-ONEGZZNKSA-N 0 0 279.292 2.791 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/SC2=NCCS2)o1 ZINC000915453248 624046558 /nfs/dbraw/zinc/04/65/58/624046558.db2.gz YWQFSXVHCFFUEH-HWKANZROSA-N 0 0 256.308 2.995 20 5 CFBDRN COC(=O)Cc1ccc(N(C)CC2CCC2)c([N+](=O)[O-])c1 ZINC000827612133 624046621 /nfs/dbraw/zinc/04/66/21/624046621.db2.gz CWQMJZWGYYYSHS-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN CN(CCCF)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000888277852 624052171 /nfs/dbraw/zinc/05/21/71/624052171.db2.gz GWNLQIOCDNHTDW-UHFFFAOYSA-N 0 0 273.239 2.557 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCCCCCCC1 ZINC000836922913 624074359 /nfs/dbraw/zinc/07/43/59/624074359.db2.gz GPKXOFVZVNNZJT-UHFFFAOYSA-N 0 0 277.324 2.786 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827751854 624084676 /nfs/dbraw/zinc/08/46/76/624084676.db2.gz IJLWMSDPAZDNLQ-WDEREUQCSA-N 0 0 256.346 2.611 20 5 CFBDRN CC(C)(F)CNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000827806894 624098326 /nfs/dbraw/zinc/09/83/26/624098326.db2.gz VUAWTFAACNGSAT-UHFFFAOYSA-N 0 0 286.278 2.531 20 5 CFBDRN CC(C)(CCC(=O)OCc1cnc(Cl)s1)[N+](=O)[O-] ZINC000837925962 624124443 /nfs/dbraw/zinc/12/44/43/624124443.db2.gz ZTORGMMEUXVZDL-UHFFFAOYSA-N 0 0 292.744 2.675 20 5 CFBDRN C[C@@H]1CSCCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000889908537 624137165 /nfs/dbraw/zinc/13/71/65/624137165.db2.gz IFSILROBMQXGLT-SNVBAGLBSA-N 0 0 280.349 2.562 20 5 CFBDRN O=C(OC[C@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])o1 ZINC000916467837 624247113 /nfs/dbraw/zinc/24/71/13/624247113.db2.gz XQABEGUCPRWQKA-RXMQYKEDSA-N 0 0 280.063 2.538 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OC[C@H]1CCC2(COC2)O1 ZINC000916079387 624219274 /nfs/dbraw/zinc/21/92/74/624219274.db2.gz GSLBIZCEVYGAJY-SNVBAGLBSA-N 0 0 299.710 2.575 20 5 CFBDRN CCCONC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000828283628 624222058 /nfs/dbraw/zinc/22/20/58/624222058.db2.gz WWYSWSWJAYKZSM-JTQLQIEISA-N 0 0 281.312 2.605 20 5 CFBDRN CC(=O)c1ccc(OCC2(O)CCCCC2)c([N+](=O)[O-])c1 ZINC000153839390 624222210 /nfs/dbraw/zinc/22/22/10/624222210.db2.gz RVYSLPHKLHTBNT-UHFFFAOYSA-N 0 0 293.319 2.871 20 5 CFBDRN C/C=C\COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000214042626 624225647 /nfs/dbraw/zinc/22/56/47/624225647.db2.gz BSPTUJXXKHAFFM-IHWYPQMZSA-N 0 0 255.657 2.981 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCn3ccnc32)c([N+](=O)[O-])c1 ZINC000828358110 624232593 /nfs/dbraw/zinc/23/25/93/624232593.db2.gz OMSRYEINUHIPPE-GFCCVEGCSA-N 0 0 286.291 2.551 20 5 CFBDRN Cc1ccc(C(=O)C(=O)Oc2cc([N+](=O)[O-])ccc2C)cc1 ZINC000916401949 624243438 /nfs/dbraw/zinc/24/34/38/624243438.db2.gz JCLJDLBEWFTSHG-UHFFFAOYSA-N 0 0 299.282 3.000 20 5 CFBDRN O=C(O[C@@H]1COc2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000153408864 624250836 /nfs/dbraw/zinc/25/08/36/624250836.db2.gz FTKOPXWVPWWQNY-CQSZACIVSA-N 0 0 285.255 2.885 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSc1nccn1C1CC1 ZINC000916547913 624253856 /nfs/dbraw/zinc/25/38/56/624253856.db2.gz HTNHWHATEAKSJE-UHFFFAOYSA-N 0 0 276.321 2.814 20 5 CFBDRN Cc1cccc(C(=O)OCCC[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000828487367 624255798 /nfs/dbraw/zinc/25/57/98/624255798.db2.gz KTENDKGRXCUMPQ-LBPRGKRZSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1ccc(C(=O)OC/C=C\Cl)cc1[N+](=O)[O-] ZINC000916589417 624258293 /nfs/dbraw/zinc/25/82/93/624258293.db2.gz IKNFDMMOLJSYCQ-DJWKRKHSSA-N 0 0 255.657 2.813 20 5 CFBDRN Cc1c(C(=O)OC/C=C\Cl)cccc1[N+](=O)[O-] ZINC000916582768 624259819 /nfs/dbraw/zinc/25/98/19/624259819.db2.gz LVSYLIBFFJTSDL-UTCJRWHESA-N 0 0 255.657 2.813 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000828520198 624261010 /nfs/dbraw/zinc/26/10/10/624261010.db2.gz VZHFBPNIUSAUDH-LLVKDONJSA-N 0 0 279.292 2.568 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H](C)CCCCO)c1 ZINC000828619290 624278551 /nfs/dbraw/zinc/27/85/51/624278551.db2.gz FZUFTHSVZBNPQQ-VIFPVBQESA-N 0 0 286.303 2.706 20 5 CFBDRN COC(=O)/C=C(\C)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000916780826 624283904 /nfs/dbraw/zinc/28/39/04/624283904.db2.gz ZOCJLEAHBUHDBW-VMPITWQZSA-N 0 0 285.683 2.746 20 5 CFBDRN COC(=O)/C=C(/C)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000916780827 624284049 /nfs/dbraw/zinc/28/40/49/624284049.db2.gz ZOCJLEAHBUHDBW-YVMONPNESA-N 0 0 285.683 2.746 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1c(C)nc([N+](=O)[O-])c1Br ZINC000916773675 624284677 /nfs/dbraw/zinc/28/46/77/624284677.db2.gz XIAWCLKMXCKOEK-SFYZADRCSA-N 0 0 288.145 2.908 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000916865446 624289474 /nfs/dbraw/zinc/28/94/74/624289474.db2.gz QHIYVXNXVJISTR-PWSUYJOCSA-N 0 0 272.308 2.899 20 5 CFBDRN Cc1ncsc1CCNc1ccc(N)cc1[N+](=O)[O-] ZINC000828765957 624297799 /nfs/dbraw/zinc/29/77/99/624297799.db2.gz KFSOYRKCBUREJI-UHFFFAOYSA-N 0 0 278.337 2.597 20 5 CFBDRN C[C@]1(CNc2cccnc2[N+](=O)[O-])CC1(Cl)Cl ZINC000828790841 624301752 /nfs/dbraw/zinc/30/17/52/624301752.db2.gz LQMOIRZFWSNCDD-SECBINFHSA-N 0 0 276.123 2.986 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000828790456 624301835 /nfs/dbraw/zinc/30/18/35/624301835.db2.gz DDPYGCQGWDIXGY-CYBMUJFWSA-N 0 0 279.292 2.674 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCC[C@@H]1CCOC1 ZINC000828790694 624301903 /nfs/dbraw/zinc/30/19/03/624301903.db2.gz IEUZRCLWDMIULU-GFCCVEGCSA-N 0 0 293.319 2.877 20 5 CFBDRN COC(=O)CCCCCCNc1cccnc1[N+](=O)[O-] ZINC000917063020 624304994 /nfs/dbraw/zinc/30/49/94/624304994.db2.gz RXEWPKMMBXYWJK-UHFFFAOYSA-N 0 0 281.312 2.525 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H](O)c1cccc(Cl)c1 ZINC000917063118 624305041 /nfs/dbraw/zinc/30/50/41/624305041.db2.gz BGDHPMNUNGFITR-GFCCVEGCSA-N 0 0 293.710 2.789 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCC1(CF)CCOCC1 ZINC000828834493 624309743 /nfs/dbraw/zinc/30/97/43/624309743.db2.gz QZTIEKKGXLIXQK-UHFFFAOYSA-N 0 0 286.278 2.912 20 5 CFBDRN CCc1ccc(OC(=O)CO[C@H](C)CC)c([N+](=O)[O-])c1 ZINC000154294106 624317898 /nfs/dbraw/zinc/31/78/98/624317898.db2.gz XJLWIZQHYFQATM-SNVBAGLBSA-N 0 0 281.308 2.878 20 5 CFBDRN CO[C@@H](C)CCOC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000174839414 624321645 /nfs/dbraw/zinc/32/16/45/624321645.db2.gz ZBMIKHKAWAHJBB-VIFPVBQESA-N 0 0 299.348 2.899 20 5 CFBDRN CO[C@@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@H]1C ZINC000828903314 624325914 /nfs/dbraw/zinc/32/59/14/624325914.db2.gz GQXAVWOZYDNJCB-BXKDBHETSA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C[C@H]1C ZINC000828903769 624326821 /nfs/dbraw/zinc/32/68/21/624326821.db2.gz JRNHNZSXWCAPSH-BMIGLBTASA-N 0 0 292.335 2.659 20 5 CFBDRN CO[C@@H]1CCN(c2cccc(F)c2[N+](=O)[O-])CC1(C)C ZINC000828911136 624328635 /nfs/dbraw/zinc/32/86/35/624328635.db2.gz KYRCZWHPGFJEDR-GFCCVEGCSA-N 0 0 282.315 2.985 20 5 CFBDRN Cc1noc(C)c1CN(C)Cc1csc([N+](=O)[O-])c1 ZINC000917394927 624336972 /nfs/dbraw/zinc/33/69/72/624336972.db2.gz XKENOYIXEKOISF-UHFFFAOYSA-N 0 0 281.337 2.893 20 5 CFBDRN CC1=NO[C@@H](Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)C1 ZINC000917418905 624339013 /nfs/dbraw/zinc/33/90/13/624339013.db2.gz BRRPXGOKWJKMML-CQSZACIVSA-N 0 0 286.291 2.623 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@H]2c2ccsc2)c1 ZINC000917455163 624344806 /nfs/dbraw/zinc/34/48/06/624344806.db2.gz NRAQACPEQAQWEQ-LBPRGKRZSA-N 0 0 278.337 2.647 20 5 CFBDRN CCc1ncc(COc2c(F)cccc2[N+](=O)[O-])o1 ZINC000917478138 624345112 /nfs/dbraw/zinc/34/51/12/624345112.db2.gz UVWYDJLPQDHSOR-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN CC1(CO)CN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)C1 ZINC000829032929 624358640 /nfs/dbraw/zinc/35/86/40/624358640.db2.gz UCUQBXXRHQZMBJ-UHFFFAOYSA-N 0 0 291.134 2.720 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000917598041 624367757 /nfs/dbraw/zinc/36/77/57/624367757.db2.gz DQFMZSAJVOBZRX-BDAKNGLRSA-N 0 0 262.265 2.549 20 5 CFBDRN COc1cc(OC[C@H]2CC[C@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000917598447 624367807 /nfs/dbraw/zinc/36/78/07/624367807.db2.gz LTINLUCPGBGOIA-TZMCWYRMSA-N 0 0 293.319 2.940 20 5 CFBDRN CCCc1[nH]nc(C(=O)OCC2=CCCC2)c1[N+](=O)[O-] ZINC000829092303 624370172 /nfs/dbraw/zinc/37/01/72/624370172.db2.gz XHFJLXWYTOIEDG-UHFFFAOYSA-N 0 0 279.296 2.538 20 5 CFBDRN Cc1ccc(N(C)C(=O)CNc2ccccc2[N+](=O)[O-])cc1 ZINC000101526954 625715237 /nfs/dbraw/zinc/71/52/37/625715237.db2.gz SUDJHUOHEBSCOH-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000917610517 624372028 /nfs/dbraw/zinc/37/20/28/624372028.db2.gz NOXXDLQCZNUBKH-SECBINFHSA-N 0 0 284.287 2.734 20 5 CFBDRN CCn1nc(C)c(COc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000829107736 624374223 /nfs/dbraw/zinc/37/42/23/624374223.db2.gz SHSXSSVHCWZNHB-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN O=C(C=C1CCCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000917630121 624374399 /nfs/dbraw/zinc/37/43/99/624374399.db2.gz NZYHFPOESWQFGC-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCC3(COC3)O2)ccc1Cl ZINC000917740610 624379486 /nfs/dbraw/zinc/37/94/86/624379486.db2.gz ILXUMMPNRPSPOP-JTQLQIEISA-N 0 0 299.710 2.575 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC[C@@H]1C[C@H]1C1CC1 ZINC000917741249 624379503 /nfs/dbraw/zinc/37/95/03/624379503.db2.gz QKSBUZJBMANTDN-NEPJUHHUSA-N 0 0 262.309 2.501 20 5 CFBDRN CC(C)CCOCCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000917957925 624399358 /nfs/dbraw/zinc/39/93/58/624399358.db2.gz AWPOFINQHFNBDF-UHFFFAOYSA-N 0 0 287.337 2.876 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCCOCCC(C)C ZINC000917964927 624400444 /nfs/dbraw/zinc/40/04/44/624400444.db2.gz ZQLFQZYERWWDLR-UHFFFAOYSA-N 0 0 296.323 2.518 20 5 CFBDRN CCc1ncc(CNc2cc([N+](=O)[O-])ccc2C(C)=O)o1 ZINC000829220231 624402929 /nfs/dbraw/zinc/40/29/29/624402929.db2.gz VRNPGVSNWDCSES-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN Cc1cc(=O)n(C[C@]2(C)CC2(Cl)Cl)cc1[N+](=O)[O-] ZINC000829305537 624413302 /nfs/dbraw/zinc/41/33/02/624413302.db2.gz KFCMIHSDUIYQBQ-JTQLQIEISA-N 0 0 291.134 2.649 20 5 CFBDRN CCC(O)(CC)C(C)(C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000829354376 624424313 /nfs/dbraw/zinc/42/43/13/624424313.db2.gz SPWFGYWLBHUXRG-UHFFFAOYSA-N 0 0 294.351 2.654 20 5 CFBDRN Cc1ccc2c(c1)OCCN2C(=O)c1ccccc1[N+](=O)[O-] ZINC000154666528 624427240 /nfs/dbraw/zinc/42/72/40/624427240.db2.gz HPDKNSYLFDZPDU-UHFFFAOYSA-N 0 0 298.298 2.942 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2cccnc2)c([N+](=O)[O-])c1 ZINC000918240714 624429020 /nfs/dbraw/zinc/42/90/20/624429020.db2.gz GNNYPFWMYSLTGO-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CC(C)(C)c1csc(Cn2ccnc2[N+](=O)[O-])n1 ZINC000918368288 624433566 /nfs/dbraw/zinc/43/35/66/624433566.db2.gz GYGZYOFZHSCWOV-UHFFFAOYSA-N 0 0 266.326 2.594 20 5 CFBDRN Cc1nc(C)c(COc2nc(C)cc(C)c2[N+](=O)[O-])o1 ZINC000829438767 624433667 /nfs/dbraw/zinc/43/36/67/624433667.db2.gz PSTFENHUOWYZBV-UHFFFAOYSA-N 0 0 277.280 2.790 20 5 CFBDRN O=[N+]([O-])c1nccn1CCSCc1ccccc1 ZINC000918377116 624433771 /nfs/dbraw/zinc/43/37/71/624433771.db2.gz CSWKCUQPILBOIP-UHFFFAOYSA-N 0 0 263.322 2.725 20 5 CFBDRN CC(C)CCOCCOC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000918533346 624447994 /nfs/dbraw/zinc/44/79/94/624447994.db2.gz CJJFKFMRXNWLCH-UHFFFAOYSA-N 0 0 295.335 2.743 20 5 CFBDRN COC[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000829515959 624450689 /nfs/dbraw/zinc/45/06/89/624450689.db2.gz KTCOAOSRFGLDDN-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN CC(C)[N@@H+]1CC[C@H](N(C)c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000829572636 624456851 /nfs/dbraw/zinc/45/68/51/624456851.db2.gz QZAMBSOALUGFON-JTQLQIEISA-N 0 0 299.321 2.792 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2F)[C@@H]2COC[C@@H]21 ZINC000829572022 624457086 /nfs/dbraw/zinc/45/70/86/624457086.db2.gz BZSYESJDDMPLNL-GXFFZTMASA-N 0 0 280.299 2.595 20 5 CFBDRN Cc1c(COC(=O)c2cccn2C)cccc1[N+](=O)[O-] ZINC000918800789 624493741 /nfs/dbraw/zinc/49/37/41/624493741.db2.gz LCKOCNWZHHRJMT-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN O=[N+]([O-])CCN1CCCC[C@@H]1c1ccc(F)cc1F ZINC000930043087 624508609 /nfs/dbraw/zinc/50/86/09/624508609.db2.gz NEXUTYJOOVZOQP-CYBMUJFWSA-N 0 0 270.279 2.769 20 5 CFBDRN O=C(CC1CC1)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000919088383 624524067 /nfs/dbraw/zinc/52/40/67/624524067.db2.gz VVZZAQHRCYIFFH-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CC(C)COCCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000919148485 624530171 /nfs/dbraw/zinc/53/01/71/624530171.db2.gz LEILFLZIPCNSQW-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN CC(C)=CCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000919251411 624546248 /nfs/dbraw/zinc/54/62/48/624546248.db2.gz LBLUFJAZMUEQGU-UHFFFAOYSA-N 0 0 253.229 2.857 20 5 CFBDRN C[C@H]1CCC[C@H](Cn2nc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000829837817 624563302 /nfs/dbraw/zinc/56/33/02/624563302.db2.gz MFCGGEDWEFGOLH-IUCAKERBSA-N 0 0 268.273 2.526 20 5 CFBDRN CC[C@@H](c1ccccc1)n1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829842325 624563901 /nfs/dbraw/zinc/56/39/01/624563901.db2.gz JESVFEPQOBFZHK-JTQLQIEISA-N 0 0 276.252 2.699 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n(CCC2CCCCC2)n1 ZINC000829842764 624564281 /nfs/dbraw/zinc/56/42/81/624564281.db2.gz TWAKNCRMTASRFY-UHFFFAOYSA-N 0 0 268.273 2.670 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n([C@@H]2CCCc3ccccc32)n1 ZINC000829847506 624565803 /nfs/dbraw/zinc/56/58/03/624565803.db2.gz IMZIANOPHJTPTM-LLVKDONJSA-N 0 0 288.263 2.625 20 5 CFBDRN COCC1(CC(=O)OCc2csc([N+](=O)[O-])c2)CC1 ZINC000829887756 624577892 /nfs/dbraw/zinc/57/78/92/624577892.db2.gz POBRRAPCNWGLKV-UHFFFAOYSA-N 0 0 285.321 2.516 20 5 CFBDRN CCC(F)(F)COC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000851488930 634392616 /nfs/dbraw/zinc/39/26/16/634392616.db2.gz KVZDPNQXWZGCAK-UHFFFAOYSA-N 0 0 288.250 2.839 20 5 CFBDRN CC(C)(CCC(=O)NCc1cccc2cc[nH]c21)[N+](=O)[O-] ZINC000830009214 624598209 /nfs/dbraw/zinc/59/82/09/624598209.db2.gz UQXLOPTXUZLFHA-UHFFFAOYSA-N 0 0 289.335 2.620 20 5 CFBDRN Cc1occc1CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000919855444 624626788 /nfs/dbraw/zinc/62/67/88/624626788.db2.gz QUOBACYPKQUABO-QPJJXVBHSA-N 0 0 286.287 2.826 20 5 CFBDRN CC(C)N(Cc1cccc(F)c1)Cn1cc([N+](=O)[O-])cn1 ZINC000919954206 624647809 /nfs/dbraw/zinc/64/78/09/624647809.db2.gz SNZFLBZVWLFOLT-UHFFFAOYSA-N 0 0 292.314 2.799 20 5 CFBDRN Cc1c(CO)cc([N+](=O)[O-])c(NCC(C)(C)C)c1[N+](=O)[O-] ZINC000919964289 624650340 /nfs/dbraw/zinc/65/03/40/624650340.db2.gz BZKDTQLNBDZKRK-UHFFFAOYSA-N 0 0 297.311 2.762 20 5 CFBDRN CCC[C@@H](C)OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000174892233 624651183 /nfs/dbraw/zinc/65/11/83/624651183.db2.gz RFWWRTDMALBLGF-SNVBAGLBSA-N 0 0 251.282 2.869 20 5 CFBDRN CC[C@@H](C)OC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000920122950 624682235 /nfs/dbraw/zinc/68/22/35/624682235.db2.gz MKVUXAAAUDRQRF-MRVPVSSYSA-N 0 0 252.270 2.592 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCCCF ZINC000830370399 624724044 /nfs/dbraw/zinc/72/40/44/624724044.db2.gz YOHLQXJSJOSUQU-UHFFFAOYSA-N 0 0 259.208 2.559 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@H]1CC[C@@H](C)O1 ZINC000830369272 624724202 /nfs/dbraw/zinc/72/42/02/624724202.db2.gz JPGCHBIZLIZBBB-PSASIEDQSA-N 0 0 297.282 2.767 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)O[C@@H](C)C(=O)C1CC1 ZINC000830369084 624724462 /nfs/dbraw/zinc/72/44/62/624724462.db2.gz GTWQWUKYEWOXHP-QMMMGPOBSA-N 0 0 295.266 2.567 20 5 CFBDRN Cc1cc(COC(=O)c2ccc([N+](=O)[O-])n2C)c(C)s1 ZINC000920516519 624738301 /nfs/dbraw/zinc/73/83/01/624738301.db2.gz RFAWSXLIMCEDQT-UHFFFAOYSA-N 0 0 294.332 2.969 20 5 CFBDRN C[C@H](OCC1CC1)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000920782421 624765864 /nfs/dbraw/zinc/76/58/64/624765864.db2.gz QURASPVHMISGDQ-QMMMGPOBSA-N 0 0 285.321 2.515 20 5 CFBDRN CC(C)N(C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000921365828 624848494 /nfs/dbraw/zinc/84/84/94/624848494.db2.gz WAWMWQABNRMILN-SNVBAGLBSA-N 0 0 265.313 2.706 20 5 CFBDRN C[C@@H](NC(=O)OC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000921365414 624848599 /nfs/dbraw/zinc/84/85/99/624848599.db2.gz LVXMAJGRSLWXHQ-MFKMUULPSA-N 0 0 294.307 2.561 20 5 CFBDRN C[C@@H](NC(=O)OCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000921365254 624848810 /nfs/dbraw/zinc/84/88/10/624848810.db2.gz HYXRXIWXUVTNAB-SECBINFHSA-N 0 0 270.260 2.742 20 5 CFBDRN C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000174914755 624912031 /nfs/dbraw/zinc/91/20/31/624912031.db2.gz ONRLCHJJVQUOEN-LURJTMIESA-N 0 0 263.171 2.702 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCC(=O)C1)c1cccc([N+](=O)[O-])c1 ZINC000830921786 624929188 /nfs/dbraw/zinc/92/91/88/624929188.db2.gz LCHDVVALAOEDJR-GXSJLCMTSA-N 0 0 277.276 2.568 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1C1CC1 ZINC000175570526 624958508 /nfs/dbraw/zinc/95/85/08/624958508.db2.gz AVQGIEFBGUNFHC-KGLIPLIRSA-N 0 0 275.304 2.727 20 5 CFBDRN CSC(C)(C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000831237384 624976232 /nfs/dbraw/zinc/97/62/32/624976232.db2.gz KLXNDJRKNQVHLI-UHFFFAOYSA-N 0 0 294.376 2.621 20 5 CFBDRN Cc1c(OC(=O)c2ccc3nccn3c2)cccc1[N+](=O)[O-] ZINC000170870899 624983783 /nfs/dbraw/zinc/98/37/83/624983783.db2.gz FKEDTDVNGGJVMU-UHFFFAOYSA-N 0 0 297.270 2.770 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1ncc(C)s1 ZINC000179941747 624985939 /nfs/dbraw/zinc/98/59/39/624985939.db2.gz ODHUHFSDROFZNC-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN Cc1c(C(=O)Nc2ccc(Cl)nn2)cccc1[N+](=O)[O-] ZINC000068296170 624987286 /nfs/dbraw/zinc/98/72/86/624987286.db2.gz MVAVUJDYNUURFT-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCOc1cc(NCCCCCCO)ccc1[N+](=O)[O-] ZINC000180221263 625005695 /nfs/dbraw/zinc/00/56/95/625005695.db2.gz UXOSPGXXFSDIQN-UHFFFAOYSA-N 0 0 282.340 2.958 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CO1 ZINC000831422055 625016808 /nfs/dbraw/zinc/01/68/08/625016808.db2.gz SNXZEXQNBCOHIL-CBAPKCEASA-N 0 0 299.714 2.547 20 5 CFBDRN CCON(CC)C(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000831453870 625027404 /nfs/dbraw/zinc/02/74/04/625027404.db2.gz DSVQWFRNSSGURR-UHFFFAOYSA-N 0 0 296.323 2.796 20 5 CFBDRN O=C(NCCC1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000831460028 625029570 /nfs/dbraw/zinc/02/95/70/625029570.db2.gz NBLFTJSVHKHGRF-UHFFFAOYSA-N 0 0 260.293 2.681 20 5 CFBDRN Cc1cnc(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)c(C)c1 ZINC000831568190 625056161 /nfs/dbraw/zinc/05/61/61/625056161.db2.gz DGTVTENUHOHMCH-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1noc(CNc2cc([N+](=O)[O-])ccc2NC(C)C)n1 ZINC000834645319 625751806 /nfs/dbraw/zinc/75/18/06/625751806.db2.gz BRNOCMQOMYLPQE-UHFFFAOYSA-N 0 0 291.311 2.719 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCCCCCO ZINC000834650736 625756195 /nfs/dbraw/zinc/75/61/95/625756195.db2.gz PDVJRHXNYULMSE-UHFFFAOYSA-N 0 0 281.356 2.990 20 5 CFBDRN CO[C@@H]1CCC[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000073071050 625769277 /nfs/dbraw/zinc/76/92/77/625769277.db2.gz XUEZCPJKAOAEPN-OLZOCXBDSA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1nn(C)cc1C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000073091720 625773744 /nfs/dbraw/zinc/77/37/44/625773744.db2.gz ANOMQBAPWGVXLV-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)CCS1 ZINC000834671057 625774799 /nfs/dbraw/zinc/77/47/99/625774799.db2.gz MLZXHRGNHBNISA-PWSUYJOCSA-N 0 0 294.376 2.538 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000834681212 625780482 /nfs/dbraw/zinc/78/04/82/625780482.db2.gz APIBUUBUGDYLHP-IUCAKERBSA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCS[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000834702676 625792554 /nfs/dbraw/zinc/79/25/54/625792554.db2.gz ZYYFYMRKSNFYBV-JQWIXIFHSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000834707509 625795373 /nfs/dbraw/zinc/79/53/73/625795373.db2.gz VXAJFTGMRKUYHI-KOLCDFICSA-N 0 0 280.349 2.609 20 5 CFBDRN CCSCCCc1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000834722176 625800901 /nfs/dbraw/zinc/80/09/01/625800901.db2.gz PJKHLQMXWOFEIO-UHFFFAOYSA-N 0 0 287.385 2.743 20 5 CFBDRN CCC[C@@H](OC)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000834747641 625826094 /nfs/dbraw/zinc/82/60/94/625826094.db2.gz HXKMRMSKNOYBSV-SECBINFHSA-N 0 0 271.317 2.545 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2[C@H](C)C[C@H]2C)c1F ZINC000834768003 625844140 /nfs/dbraw/zinc/84/41/40/625844140.db2.gz FNPHXLSHJXGQGJ-HTQZYQBOSA-N 0 0 284.262 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@@H](N[C@H]1CCn3ccnc31)CCC2 ZINC000834831441 625889663 /nfs/dbraw/zinc/88/96/63/625889663.db2.gz JMBAKXPGQOPLQG-GJZGRUSLSA-N 0 0 298.346 2.903 20 5 CFBDRN CC(C)(CCc1nc([C@H]2CCOC(C)(C)C2)no1)[N+](=O)[O-] ZINC000834886229 625909432 /nfs/dbraw/zinc/90/94/32/625909432.db2.gz JUFALQPGUVOGSP-JTQLQIEISA-N 0 0 297.355 2.730 20 5 CFBDRN CC[C@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000840774903 625961950 /nfs/dbraw/zinc/96/19/50/625961950.db2.gz ZWMYCGRYERFQGI-VIFPVBQESA-N 0 0 289.307 2.925 20 5 CFBDRN C[C@@H](NC(=O)NOC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000921806479 626133267 /nfs/dbraw/zinc/13/32/67/626133267.db2.gz GMBJJXLMGMKXRL-SNVBAGLBSA-N 0 0 293.323 2.829 20 5 CFBDRN CCc1onc(C)c1COC(=O)c1csc([N+](=O)[O-])c1 ZINC000922362970 626279136 /nfs/dbraw/zinc/27/91/36/626279136.db2.gz FPZHHAZAEDJPBO-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN CC(C)n1cc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)nn1 ZINC000922538833 626304395 /nfs/dbraw/zinc/30/43/95/626304395.db2.gz XBDBAMMTTWNEMS-LLVKDONJSA-N 0 0 289.339 2.618 20 5 CFBDRN CC/C=C/CNC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000922698149 626344436 /nfs/dbraw/zinc/34/44/36/626344436.db2.gz YONKOJPNABMODD-SGUJLRQBSA-N 0 0 277.324 2.921 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157285329 626396206 /nfs/dbraw/zinc/39/62/06/626396206.db2.gz DXXSSRUSCHMJPR-JTQLQIEISA-N 0 0 279.340 2.876 20 5 CFBDRN CC[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000073907027 626399368 /nfs/dbraw/zinc/39/93/68/626399368.db2.gz SLEVWRCISKUHEH-ZCFIWIBFSA-N 0 0 258.224 2.858 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C)N(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000229504910 626410533 /nfs/dbraw/zinc/41/05/33/626410533.db2.gz KJUJYOVOZRGDMY-GMTAPVOTSA-N 0 0 291.351 2.684 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000075663797 626459582 /nfs/dbraw/zinc/45/95/82/626459582.db2.gz XRDPTAAAWFLWRV-ONGXEEELSA-N 0 0 291.351 2.794 20 5 CFBDRN C/C=C\CNC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000923455496 626473695 /nfs/dbraw/zinc/47/36/95/626473695.db2.gz MELNBSOPIHIKQH-UMBAGQNISA-N 0 0 263.297 2.531 20 5 CFBDRN Cc1cncc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c1 ZINC000074235047 626479645 /nfs/dbraw/zinc/47/96/45/626479645.db2.gz VYAFLUAWPSNTDY-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1cccc(F)c1[N+](=O)[O-] ZINC000774714748 626482230 /nfs/dbraw/zinc/48/22/30/626482230.db2.gz DHLQTKBEMUJBEY-SKDRFNHKSA-N 0 0 268.288 2.961 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000775051452 626483098 /nfs/dbraw/zinc/48/30/98/626483098.db2.gz GOOVOFNBAHFSHR-IINYFYTJSA-N 0 0 280.324 2.772 20 5 CFBDRN CC[C@@](C)(CO)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000838209109 626488645 /nfs/dbraw/zinc/48/86/45/626488645.db2.gz MDDNYNBLZSGOKH-HZMBPMFUSA-N 0 0 281.308 2.608 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000838260005 626491211 /nfs/dbraw/zinc/49/12/11/626491211.db2.gz VVYMWLHJRRUGAS-CDMKHQONSA-N 0 0 292.310 2.961 20 5 CFBDRN CC(C)(C)CCCOC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838285497 626491828 /nfs/dbraw/zinc/49/18/28/626491828.db2.gz OUZXOIUYNNJDCB-CYBMUJFWSA-N 0 0 295.335 2.998 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCOC2)cc1Cl ZINC000838345404 626492831 /nfs/dbraw/zinc/49/28/31/626492831.db2.gz PDOGCGIRXTZSJT-SECBINFHSA-N 0 0 299.710 2.750 20 5 CFBDRN CC(C)CCCCC(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000838432147 626497418 /nfs/dbraw/zinc/49/74/18/626497418.db2.gz XDAJZXSDWJVCTF-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC3(C2)CCOCC3)c1 ZINC000839140827 626528624 /nfs/dbraw/zinc/52/86/24/626528624.db2.gz ISHGVHRNTLUESZ-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN C[C@@H](OC(=O)c1cscn1)c1ccc([N+](=O)[O-])cc1 ZINC000103336668 626529327 /nfs/dbraw/zinc/52/93/27/626529327.db2.gz UUQYNIANWXWHPW-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN CCCCOCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000103331216 626531531 /nfs/dbraw/zinc/53/15/31/626531531.db2.gz FCGSCXKJAPCHPZ-RMKNXTFCSA-N 0 0 293.319 2.968 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H](F)C(F)(F)F ZINC000839218060 626532434 /nfs/dbraw/zinc/53/24/34/626532434.db2.gz LQIMPHBFPGYOAG-MRVPVSSYSA-N 0 0 281.161 2.538 20 5 CFBDRN C[C@H](OC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F)C1CC1 ZINC000839313709 626533545 /nfs/dbraw/zinc/53/35/45/626533545.db2.gz OMVRRBNTCLDAQA-QMMMGPOBSA-N 0 0 296.298 2.755 20 5 CFBDRN Cc1ccc(COC(=O)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000839396923 626535369 /nfs/dbraw/zinc/53/53/69/626535369.db2.gz YRDWNNGDOHQUAP-UHFFFAOYSA-N 0 0 277.198 2.899 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000839396215 626535395 /nfs/dbraw/zinc/53/53/95/626535395.db2.gz IABIUFRWCOXSBY-GFCCVEGCSA-N 0 0 281.333 2.842 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])cc(C)c1F)C(F)F ZINC000839401555 626535440 /nfs/dbraw/zinc/53/54/40/626535440.db2.gz OJIRNBCCRQAVIS-SECBINFHSA-N 0 0 290.241 2.816 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000839397819 626535445 /nfs/dbraw/zinc/53/54/45/626535445.db2.gz IASZKEMJIVFTEC-ZDUSSCGKSA-N 0 0 284.262 2.698 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N(c1ccccc1)C1CC1 ZINC000839423257 626535926 /nfs/dbraw/zinc/53/59/26/626535926.db2.gz QBLFSDDUSLPSQY-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN Cn1c(C(=O)N(c2ccccc2)C2CC2)ccc1[N+](=O)[O-] ZINC000839422380 626535976 /nfs/dbraw/zinc/53/59/76/626535976.db2.gz AYCUEWDDKQDXIN-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN CC(C)c1ccc(/C=C2/SC(=N)NC2=O)cc1[N+](=O)[O-] ZINC000103464999 626537481 /nfs/dbraw/zinc/53/74/81/626537481.db2.gz LAFAWOUDNAUBLE-IZZDOVSWSA-N 0 0 291.332 2.857 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCCSC2)nc2ccccn21 ZINC000808096592 626539002 /nfs/dbraw/zinc/53/90/02/626539002.db2.gz PRZRFEYOCBKSMA-SNVBAGLBSA-N 0 0 292.364 2.798 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000749320885 626540670 /nfs/dbraw/zinc/54/06/70/626540670.db2.gz CEFMIKAVSYHLGY-RKDXNWHRSA-N 0 0 286.278 2.877 20 5 CFBDRN O=[N+]([O-])c1cccc([C@@H](NCCF)C(F)(F)F)c1F ZINC000839652992 626549650 /nfs/dbraw/zinc/54/96/50/626549650.db2.gz RYBAYFFWHLDQAM-SECBINFHSA-N 0 0 284.184 2.896 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(Cl)s1 ZINC000839684023 626552256 /nfs/dbraw/zinc/55/22/56/626552256.db2.gz MIOWGUKNAGUCIF-GJMOJQLCSA-N 0 0 288.756 2.634 20 5 CFBDRN CC[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])C(C)(C)c1ccccc1 ZINC000839704284 626552401 /nfs/dbraw/zinc/55/24/01/626552401.db2.gz VDWWZMOWRZDNJP-MGPQQGTHSA-N 0 0 290.363 2.524 20 5 CFBDRN CC(C)c1ccc2c(c1)[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])CC2 ZINC000839731486 626553727 /nfs/dbraw/zinc/55/37/27/626553727.db2.gz QAQIQYFQMUCOSH-QLFBSQMISA-N 0 0 288.347 2.579 20 5 CFBDRN CC[C@](C)(CO)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000757768426 626562346 /nfs/dbraw/zinc/56/23/46/626562346.db2.gz RUEDTLFNBYMVKX-GFCCVEGCSA-N 0 0 281.337 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(OC/C(Cl)=C\Cl)cc1CO ZINC000757982675 626571435 /nfs/dbraw/zinc/57/14/35/626571435.db2.gz BTANPJQBXYADHW-XBXARRHUSA-N 0 0 278.091 2.785 20 5 CFBDRN O=C(OC[C@@H]1CC12CCOCC2)c1ccccc1[N+](=O)[O-] ZINC000758018986 626573076 /nfs/dbraw/zinc/57/30/76/626573076.db2.gz YJPZDILWLYWHQE-NSHDSACASA-N 0 0 291.303 2.568 20 5 CFBDRN CCCCCOC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000758078757 626575838 /nfs/dbraw/zinc/57/58/38/626575838.db2.gz YSTNCDSIKHPVCW-UHFFFAOYSA-N 0 0 270.260 2.663 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000758116279 626577209 /nfs/dbraw/zinc/57/72/09/626577209.db2.gz WIHAFFMEGWFCIG-JTQLQIEISA-N 0 0 265.240 2.999 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000758118175 626577238 /nfs/dbraw/zinc/57/72/38/626577238.db2.gz UKHUETFXZRBONM-VIFPVBQESA-N 0 0 295.266 2.650 20 5 CFBDRN CC(F)(F)CC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000758152726 626579489 /nfs/dbraw/zinc/57/94/89/626579489.db2.gz CMXRAWLOPCTQSM-UHFFFAOYSA-N 0 0 277.198 2.822 20 5 CFBDRN Cc1cc(N[C@H](CO)CC(F)F)c(Cl)cc1[N+](=O)[O-] ZINC000758181128 626580754 /nfs/dbraw/zinc/58/07/54/626580754.db2.gz NBEKPEGUCCEKAL-ZETCQYMHSA-N 0 0 294.685 2.985 20 5 CFBDRN C[C@@]1(CNc2nc3ccccn3c2[N+](=O)[O-])CCCS1 ZINC000758474650 626595088 /nfs/dbraw/zinc/59/50/88/626595088.db2.gz VWNIPKNYPRNMIQ-ZDUSSCGKSA-N 0 0 292.364 2.940 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000758478963 626595225 /nfs/dbraw/zinc/59/52/25/626595225.db2.gz CPSLACDTFCKXLJ-SECBINFHSA-N 0 0 280.299 2.818 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC2(C)CC2)c1[N+](=O)[O-] ZINC000758510887 626596446 /nfs/dbraw/zinc/59/64/46/626596446.db2.gz YSTHWYLYRPLBRJ-UHFFFAOYSA-N 0 0 266.272 2.572 20 5 CFBDRN COc1cc(COC(=O)CC2(C)CC2)ccc1[N+](=O)[O-] ZINC000758807923 626608715 /nfs/dbraw/zinc/60/87/15/626608715.db2.gz KAQBAEWCANMDDX-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN CC1(CC(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)CC1 ZINC000758837183 626612444 /nfs/dbraw/zinc/61/24/44/626612444.db2.gz KQIGGRKSLABJLF-UHFFFAOYSA-N 0 0 290.275 2.969 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000759433860 626658027 /nfs/dbraw/zinc/65/80/27/626658027.db2.gz HKOTVFONXZOQLS-UHFFFAOYSA-N 0 0 265.265 2.511 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000759524704 626667360 /nfs/dbraw/zinc/66/73/60/626667360.db2.gz RZJFMHYSSKFWKO-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN CNc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)cc1 ZINC000759623726 626677186 /nfs/dbraw/zinc/67/71/86/626677186.db2.gz XYKJUPPBLXKPNN-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CC(F)(F)C(F)F ZINC000759846747 626696325 /nfs/dbraw/zinc/69/63/25/626696325.db2.gz AENKEPWLKMRNKV-UHFFFAOYSA-N 0 0 278.205 2.858 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NOCC(F)F ZINC000759988129 626707444 /nfs/dbraw/zinc/70/74/44/626707444.db2.gz YOLNGCPTUBRIOS-UHFFFAOYSA-N 0 0 289.238 2.530 20 5 CFBDRN CCCCSCC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000759993564 626708126 /nfs/dbraw/zinc/70/81/26/626708126.db2.gz BNWOSNRNZBNCHH-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CSC(C)C ZINC000760015645 626709726 /nfs/dbraw/zinc/70/97/26/626709726.db2.gz GDHCDLYRBQTIAQ-UHFFFAOYSA-N 0 0 269.322 2.950 20 5 CFBDRN COc1ncccc1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760022571 626710166 /nfs/dbraw/zinc/71/01/66/626710166.db2.gz MGHWLTLLGQHIKO-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CS[C@@H](C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760023406 626710219 /nfs/dbraw/zinc/71/02/19/626710219.db2.gz UPOQZDCIWJQUPI-QMMMGPOBSA-N 0 0 255.295 2.560 20 5 CFBDRN C[C@H](Nc1nc2ccccn2c1[N+](=O)[O-])c1ccc[nH]1 ZINC000760275414 626727997 /nfs/dbraw/zinc/72/79/97/626727997.db2.gz YDJSZDGDTJWKSZ-VIFPVBQESA-N 0 0 271.280 2.744 20 5 CFBDRN O=C(OCc1ncc(C2CC2)o1)c1ccc([N+](=O)[O-])s1 ZINC000760457251 626747421 /nfs/dbraw/zinc/74/74/21/626747421.db2.gz WTFZPQDPGYLRMO-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN CCOc1ccc(Sc2ncc([N+](=O)[O-])cn2)cc1 ZINC000760466121 626748472 /nfs/dbraw/zinc/74/84/72/626748472.db2.gz NAEOFUSADLRYQG-UHFFFAOYSA-N 0 0 277.305 2.935 20 5 CFBDRN Cc1cc(NCCc2ccn(C)n2)c(Cl)cc1[N+](=O)[O-] ZINC000760604830 626758812 /nfs/dbraw/zinc/75/88/12/626758812.db2.gz VZPSXYKIOXROFT-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC[C@H](C(F)(F)F)C1 ZINC000761050397 626794580 /nfs/dbraw/zinc/79/45/80/626794580.db2.gz JNWYJPALULIVEA-JTQLQIEISA-N 0 0 274.242 2.979 20 5 CFBDRN Cc1c[nH]c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000761752114 626856720 /nfs/dbraw/zinc/85/67/20/626856720.db2.gz PDWWWCKMVVKOPR-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CSCC[C@H](C)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000761931177 626872926 /nfs/dbraw/zinc/87/29/26/626872926.db2.gz PKPMKMCHTZZVGW-WDEREUQCSA-N 0 0 296.392 2.956 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@@H]1CCSC1 ZINC000762019644 626881968 /nfs/dbraw/zinc/88/19/68/626881968.db2.gz UPTABBKPFPBHML-MRVPVSSYSA-N 0 0 285.296 2.530 20 5 CFBDRN Cc1nn(CN(C)Cc2cc(C)ccc2C)cc1[N+](=O)[O-] ZINC000762051387 626884201 /nfs/dbraw/zinc/88/42/01/626884201.db2.gz VEWLUPJBSDFXJE-UHFFFAOYSA-N 0 0 288.351 2.806 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCSC1 ZINC000762068500 626886084 /nfs/dbraw/zinc/88/60/84/626886084.db2.gz SKFUOPUNCRJZKE-VIFPVBQESA-N 0 0 267.306 2.562 20 5 CFBDRN C[C@@H]1CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000762071651 626886763 /nfs/dbraw/zinc/88/67/63/626886763.db2.gz YZXGSFZZRWSNMP-KOLCDFICSA-N 0 0 264.281 2.725 20 5 CFBDRN O=C(OCc1ccc2c(c1)COC2)c1ccc([N+](=O)[O-])o1 ZINC000762162416 626899290 /nfs/dbraw/zinc/89/92/90/626899290.db2.gz MUKRJOAWIMHMKV-UHFFFAOYSA-N 0 0 289.243 2.575 20 5 CFBDRN COc1ccnc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c1 ZINC000762220782 626905027 /nfs/dbraw/zinc/90/50/27/626905027.db2.gz IVBMETSBEZRTSW-QPJJXVBHSA-N 0 0 299.286 2.650 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC[C@@H]3SCCS[C@H]23)cn1 ZINC000762559820 626923713 /nfs/dbraw/zinc/92/37/13/626923713.db2.gz KQLKFUJGQTZPCE-JFGNBEQYSA-N 0 0 297.405 2.781 20 5 CFBDRN O=C(/C=C/C1CC1)OCCc1ccccc1[N+](=O)[O-] ZINC000762689626 626930347 /nfs/dbraw/zinc/93/03/47/626930347.db2.gz BKZCSFQSVVIAHU-BQYQJAHWSA-N 0 0 261.277 2.647 20 5 CFBDRN O=[N+]([O-])c1c(NC2Cc3ccccc3C2)nc2ccccn21 ZINC000762799795 626938693 /nfs/dbraw/zinc/93/86/93/626938693.db2.gz BBDAVCKXJSUTHQ-UHFFFAOYSA-N 0 0 294.314 2.822 20 5 CFBDRN Cc1noc(CN(C)Cc2ccc(Cl)nc2)c1[N+](=O)[O-] ZINC000851798527 634535259 /nfs/dbraw/zinc/53/52/59/634535259.db2.gz FGXBCJGLXHVABI-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN CC(=O)CCCCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000762872561 626946499 /nfs/dbraw/zinc/94/64/99/626946499.db2.gz FTNPGTXUHMMNBD-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN O=C(OCc1cccnc1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000762897143 626948489 /nfs/dbraw/zinc/94/84/89/626948489.db2.gz IOPLURSCENJCOG-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000763001393 626954633 /nfs/dbraw/zinc/95/46/33/626954633.db2.gz UKQOQOIAARNLJG-VIFPVBQESA-N 0 0 297.282 2.532 20 5 CFBDRN CC(C)(C(=O)OCCF)c1ccc([N+](=O)[O-])cc1F ZINC000763012137 626955226 /nfs/dbraw/zinc/95/52/26/626955226.db2.gz JLICIUZECQEHMK-UHFFFAOYSA-N 0 0 273.235 2.524 20 5 CFBDRN CCO[C@H]1CCCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000763202779 626970509 /nfs/dbraw/zinc/97/05/09/626970509.db2.gz FRLHRFRSIGZZFM-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN CCOC(=O)[C@@H](C)NC/C(C)=C/c1cccc([N+](=O)[O-])c1 ZINC000763272263 626975130 /nfs/dbraw/zinc/97/51/30/626975130.db2.gz PIQJFVGWIDLWRN-JATZPVMKSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@H](C(=O)O[C@@H]1CCCCC1=O)c1cccc([N+](=O)[O-])c1 ZINC000763404933 626985820 /nfs/dbraw/zinc/98/58/20/626985820.db2.gz QHUONAAVARPFEX-IINYFYTJSA-N 0 0 291.303 2.753 20 5 CFBDRN CSCCCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763401423 626985941 /nfs/dbraw/zinc/98/59/41/626985941.db2.gz GVIHMEMZLVZDNI-JTQLQIEISA-N 0 0 283.349 2.995 20 5 CFBDRN Cc1cc(COC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)on1 ZINC000763407583 626986439 /nfs/dbraw/zinc/98/64/39/626986439.db2.gz QSWCBJDGJOWBLO-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000763425975 626988586 /nfs/dbraw/zinc/98/85/86/626988586.db2.gz NSWVTVNYVDHYEY-UHFFFAOYSA-N 0 0 297.217 2.730 20 5 CFBDRN CCCC[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000763536337 626996268 /nfs/dbraw/zinc/99/62/68/626996268.db2.gz OMRLRNIXGUNFFI-SECBINFHSA-N 0 0 254.286 2.669 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000763549211 626997428 /nfs/dbraw/zinc/99/74/28/626997428.db2.gz TXMKYFIXUJGIPF-SECBINFHSA-N 0 0 285.271 2.700 20 5 CFBDRN CCC(CC)C(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000763698425 627006506 /nfs/dbraw/zinc/00/65/06/627006506.db2.gz BPPVRULUSBCNOL-UHFFFAOYSA-N 0 0 295.291 2.723 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC/C(Cl)=C/Cl ZINC000763909247 627021458 /nfs/dbraw/zinc/02/14/58/627021458.db2.gz PXSYACSQVZWHBO-CLTKARDFSA-N 0 0 291.090 2.774 20 5 CFBDRN C[C@H](C(=O)OC[C@@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000763953585 627025509 /nfs/dbraw/zinc/02/55/09/627025509.db2.gz ZJAFWTIRBVHMSO-NHCYSSNCSA-N 0 0 263.293 2.898 20 5 CFBDRN CNc1ccc(C(=O)OCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000763960186 627027302 /nfs/dbraw/zinc/02/73/02/627027302.db2.gz FTJDQTPORAUFDE-UHFFFAOYSA-N 0 0 292.213 2.746 20 5 CFBDRN CCOC[C@@H](C)OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764087535 627036910 /nfs/dbraw/zinc/03/69/10/627036910.db2.gz PLLVNCSUYHAVRQ-GHMZBOCLSA-N 0 0 281.308 2.667 20 5 CFBDRN CCNc1ccc(C(=O)O[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000764398043 627056678 /nfs/dbraw/zinc/05/66/78/627056678.db2.gz STSCCKPAVXPLCV-VIFPVBQESA-N 0 0 278.308 2.982 20 5 CFBDRN Cc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)nc1 ZINC000764403132 627057442 /nfs/dbraw/zinc/05/74/42/627057442.db2.gz YRFPSFLTHQRBCI-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCO[C@H](C)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000764588969 627074015 /nfs/dbraw/zinc/07/40/15/627074015.db2.gz HZLQSUJQJYCJIT-VHSXEESVSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC1(F)F ZINC000805291941 627089936 /nfs/dbraw/zinc/08/99/36/627089936.db2.gz GGJQFOPWZWJOFB-VVEJJEBESA-N 0 0 296.273 2.912 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc3cc[nH]c3n2)c([N+](=O)[O-])c1 ZINC000764755625 627090364 /nfs/dbraw/zinc/09/03/64/627090364.db2.gz HOPHQXAUGUJYOH-UHFFFAOYSA-N 0 0 297.270 2.999 20 5 CFBDRN O=C(OC1CCOCC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000764778016 627093568 /nfs/dbraw/zinc/09/35/68/627093568.db2.gz FCLOQKMIJYLIQC-UHFFFAOYSA-N 0 0 291.259 2.677 20 5 CFBDRN CC(C)(O)CCOC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000765389585 627134585 /nfs/dbraw/zinc/13/45/85/627134585.db2.gz NURRHSYZGMPRAR-UHFFFAOYSA-N 0 0 287.699 2.566 20 5 CFBDRN CC(C)(O)CCOC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000765391000 627134789 /nfs/dbraw/zinc/13/47/89/627134789.db2.gz DLXFNFACTNVPJA-UHFFFAOYSA-N 0 0 287.699 2.566 20 5 CFBDRN CNc1c(C(=O)OCC2CCC2)cccc1[N+](=O)[O-] ZINC000765520008 627144486 /nfs/dbraw/zinc/14/44/86/627144486.db2.gz WKJZLDSJSSTNAC-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN CNc1c(C(=O)O[C@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC000765522652 627144530 /nfs/dbraw/zinc/14/45/30/627144530.db2.gz PDDSXKUJVKJLSR-JTQLQIEISA-N 0 0 276.292 2.902 20 5 CFBDRN CNc1c(C(=O)OCCCCCOC)cccc1[N+](=O)[O-] ZINC000765516286 627144730 /nfs/dbraw/zinc/14/47/30/627144730.db2.gz SZZIIUGYLPAKFY-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN COc1cc(COC(=O)[C@H](C)C2CC2)c([N+](=O)[O-])cc1F ZINC000766210680 627184098 /nfs/dbraw/zinc/18/40/98/627184098.db2.gz UHHWHAJGSHJBSV-MRVPVSSYSA-N 0 0 297.282 2.832 20 5 CFBDRN Cc1cccc2c1CCCN2Cn1cc([N+](=O)[O-])cn1 ZINC000766352114 627196869 /nfs/dbraw/zinc/19/68/69/627196869.db2.gz POQYBTXYESYORH-UHFFFAOYSA-N 0 0 272.308 2.510 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCOC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000766398934 627199569 /nfs/dbraw/zinc/19/95/69/627199569.db2.gz KXNYBBGCTZELMS-MJVIPROJSA-N 0 0 293.319 2.872 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)NCc1ccon1 ZINC000766421959 627201608 /nfs/dbraw/zinc/20/16/08/627201608.db2.gz XVUNVVVYJSUPDB-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN C[C@@]1(O)CCCN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000766442841 627203533 /nfs/dbraw/zinc/20/35/33/627203533.db2.gz MZHWIFZDXKWIES-CYBMUJFWSA-N 0 0 293.348 2.556 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1ccccc1[N+](=O)[O-] ZINC000805337376 627225057 /nfs/dbraw/zinc/22/50/57/627225057.db2.gz OOKVIIYVMYMECI-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN CS[C@H](C)CC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000766914130 627226511 /nfs/dbraw/zinc/22/65/11/627226511.db2.gz ASNOQPJAOVEAIB-MRVPVSSYSA-N 0 0 287.312 2.919 20 5 CFBDRN CS[C@H](C)CC(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000766916700 627226764 /nfs/dbraw/zinc/22/67/64/627226764.db2.gz CKUJBDQJPUZHAQ-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN O=C([C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CC(C2CC2)C1 ZINC000936068465 649983043 /nfs/dbraw/zinc/98/30/43/649983043.db2.gz WGRGDLORRXNIQU-GJZGRUSLSA-N 0 0 286.331 2.567 20 5 CFBDRN CS/C=C\C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000767647537 627267678 /nfs/dbraw/zinc/26/76/78/627267678.db2.gz DPRWZISOFAJMGY-SREVYHEPSA-N 0 0 253.279 2.515 20 5 CFBDRN CS/C=C\C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000767655145 627269637 /nfs/dbraw/zinc/26/96/37/627269637.db2.gz VRKNVLUNCSSGPX-PLNGDYQASA-N 0 0 271.269 2.654 20 5 CFBDRN CCOc1ccc([C@H](C)NCc2ccon2)cc1[N+](=O)[O-] ZINC000768107731 627315217 /nfs/dbraw/zinc/31/52/17/627315217.db2.gz MUOIOXUKCHCXMQ-JTQLQIEISA-N 0 0 291.307 2.832 20 5 CFBDRN Cc1cc(Cl)ccc1Cn1cc([N+](=O)[O-])cn1 ZINC000768792874 627374640 /nfs/dbraw/zinc/37/46/40/627374640.db2.gz IKDQAFVKKJHXGH-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC(C)(C)C2)c(N)c([N+](=O)[O-])c1 ZINC000768884989 627383368 /nfs/dbraw/zinc/38/33/68/627383368.db2.gz PNQUZJSONDFJBY-SNVBAGLBSA-N 0 0 291.351 2.794 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(Cc1cncs1)CC2 ZINC000851892977 634574485 /nfs/dbraw/zinc/57/44/85/634574485.db2.gz SHZGPABBEMRBOW-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)Cc1ccco1 ZINC000769665717 627464539 /nfs/dbraw/zinc/46/45/39/627464539.db2.gz ZBJDZGYAUYWKQL-UHFFFAOYSA-N 0 0 261.233 2.644 20 5 CFBDRN CO[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)C(C)C ZINC000769782061 627474096 /nfs/dbraw/zinc/47/40/96/627474096.db2.gz QTCMPLPZDSIGOH-LLVKDONJSA-N 0 0 286.715 2.858 20 5 CFBDRN CO[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)C(C)C ZINC000769782062 627474169 /nfs/dbraw/zinc/47/41/69/627474169.db2.gz QTCMPLPZDSIGOH-NSHDSACASA-N 0 0 286.715 2.858 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OC[C@H]1CCOC1 ZINC000769881447 627485078 /nfs/dbraw/zinc/48/50/78/627485078.db2.gz JRRZRTKRDPOAFL-LBPRGKRZSA-N 0 0 293.319 2.713 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000769888615 627485319 /nfs/dbraw/zinc/48/53/19/627485319.db2.gz NBTSPOONULLAST-LLVKDONJSA-N 0 0 279.292 2.654 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCc1ccno1 ZINC000769891207 627485755 /nfs/dbraw/zinc/48/57/55/627485755.db2.gz WTEKIJPQSMBBDA-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cn1ncc(NCc2cccc([N+](=O)[O-])c2)c1C1CC1 ZINC000769925059 627488332 /nfs/dbraw/zinc/48/83/32/627488332.db2.gz XFWASLSXIHQXHV-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN O=C(O[C@@H]1Cc2ccccc2C1=O)c1ccccc1[N+](=O)[O-] ZINC000769951234 627490967 /nfs/dbraw/zinc/49/09/67/627490967.db2.gz UKRZLBKQTSHALG-CQSZACIVSA-N 0 0 297.266 2.559 20 5 CFBDRN Cc1cnccc1C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000770012398 627496435 /nfs/dbraw/zinc/49/64/35/627496435.db2.gz ZIVOTCQIRUNUMQ-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCCOCC1 ZINC000770014138 627496783 /nfs/dbraw/zinc/49/67/83/627496783.db2.gz AOEALBKVQVHOCB-UHFFFAOYSA-N 0 0 299.714 2.502 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCc2ncccc21 ZINC000770318196 627512984 /nfs/dbraw/zinc/51/29/84/627512984.db2.gz PKWUYHUHAMKXIN-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCO[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000770700305 627542916 /nfs/dbraw/zinc/54/29/16/627542916.db2.gz XTVBHJUVRQAHMH-OUJBWJOFSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2F)o1 ZINC000770955629 627564211 /nfs/dbraw/zinc/56/42/11/627564211.db2.gz HOLJWWNWYHXJBY-MRVPVSSYSA-N 0 0 294.238 2.948 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000770969806 627565139 /nfs/dbraw/zinc/56/51/39/627565139.db2.gz NFGWZBAVSNRDRX-MRVPVSSYSA-N 0 0 294.238 2.948 20 5 CFBDRN CCc1cc(CNc2ccc([N+](=O)[O-])c(C)c2)[nH]n1 ZINC000771204335 627583954 /nfs/dbraw/zinc/58/39/54/627583954.db2.gz KKYWORCDYGDHRZ-UHFFFAOYSA-N 0 0 260.297 2.801 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H](C)c2nnc(C)o2)c1 ZINC000771236400 627587053 /nfs/dbraw/zinc/58/70/53/627587053.db2.gz MRPVLZJQJVERKJ-ZCFIWIBFSA-N 0 0 296.258 2.607 20 5 CFBDRN O=C(NCCCCF)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000936068700 649983172 /nfs/dbraw/zinc/98/31/72/649983172.db2.gz RZCKDQNCMBUQLK-QWHCGFSZSA-N 0 0 280.299 2.564 20 5 CFBDRN Cc1cnc(COC(=O)Cc2ccccc2[N+](=O)[O-])s1 ZINC000771858330 627638930 /nfs/dbraw/zinc/63/89/30/627638930.db2.gz LQKHWLMMGSZRIC-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCc2ccccn2)c2nonc21 ZINC000771921731 627648067 /nfs/dbraw/zinc/64/80/67/627648067.db2.gz KEQRUDNKZGAFOT-UHFFFAOYSA-N 0 0 299.290 2.571 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000772517329 627717614 /nfs/dbraw/zinc/71/76/14/627717614.db2.gz PBJBTDRSAOCJEM-KGLIPLIRSA-N 0 0 293.319 2.809 20 5 CFBDRN O=C(Cc1ccoc1)OCc1ccc([N+](=O)[O-])cc1F ZINC000772581727 627723870 /nfs/dbraw/zinc/72/38/70/627723870.db2.gz ZQELCVWKAQWPMR-UHFFFAOYSA-N 0 0 279.223 2.613 20 5 CFBDRN Cc1ccc(COC(=O)c2cccc(C)c2[N+](=O)[O-])cn1 ZINC000772667580 627733502 /nfs/dbraw/zinc/73/35/02/627733502.db2.gz BSICQOTXGCEMSW-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN COc1ccc(COC(=O)C2(F)CCCC2)cc1[N+](=O)[O-] ZINC000772786386 627744961 /nfs/dbraw/zinc/74/49/61/627744961.db2.gz FXIFQBIZNYBMEV-UHFFFAOYSA-N 0 0 297.282 2.929 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)COC1(C)CCC1 ZINC000772970884 627762497 /nfs/dbraw/zinc/76/24/97/627762497.db2.gz DSTUFYRMFZQVDC-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NOc1ccccc1 ZINC000773460852 627822798 /nfs/dbraw/zinc/82/27/98/627822798.db2.gz JJPWOUWMLQOMML-UHFFFAOYSA-N 0 0 286.287 2.638 20 5 CFBDRN C[C@@H](OC(=O)c1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000773495235 627828238 /nfs/dbraw/zinc/82/82/38/627828238.db2.gz BYRWTAQNSKZRCY-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)/C=C/C1CC1)CC2 ZINC000773984777 627876218 /nfs/dbraw/zinc/87/62/18/627876218.db2.gz FFZRFMIDSYIIRG-SNAWJCMRSA-N 0 0 272.304 2.759 20 5 CFBDRN CCCCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773992057 627876620 /nfs/dbraw/zinc/87/66/20/627876620.db2.gz UIWPVOXOISHPLM-UHFFFAOYSA-N 0 0 262.309 2.983 20 5 CFBDRN C/C=C/C=C\C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773990838 627876866 /nfs/dbraw/zinc/87/68/66/627876866.db2.gz UAXJIGUDSHBIKB-ICWBMWKASA-N 0 0 272.304 2.925 20 5 CFBDRN CCC(C)(C)NC(=S)NCc1cccc([N+](=O)[O-])c1 ZINC000774167774 627898078 /nfs/dbraw/zinc/89/80/78/627898078.db2.gz SPLIWUAQPYTTCQ-UHFFFAOYSA-N 0 0 281.381 2.748 20 5 CFBDRN Cc1cc(COC(=O)c2nccs2)ccc1[N+](=O)[O-] ZINC000774188767 627900767 /nfs/dbraw/zinc/90/07/67/627900767.db2.gz ISJRCZVRETVRSB-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1cnc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)o1 ZINC000774540250 627936809 /nfs/dbraw/zinc/93/68/09/627936809.db2.gz GFZRJQHYYHKWPB-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)C[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000774742925 627956580 /nfs/dbraw/zinc/95/65/80/627956580.db2.gz RUPQLUJEMOAPEX-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@H](CC1CCCCC1)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000774813808 627966478 /nfs/dbraw/zinc/96/64/78/627966478.db2.gz OOSACQPDGVXODQ-SNVBAGLBSA-N 0 0 295.339 2.844 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000851995886 634620200 /nfs/dbraw/zinc/62/02/00/634620200.db2.gz BABZHVGZRILFFX-CMPLNLGQSA-N 0 0 275.308 2.674 20 5 CFBDRN Cc1cc(OC[C@@H]2COC(C)(C)O2)c(F)cc1[N+](=O)[O-] ZINC000775225494 628001057 /nfs/dbraw/zinc/00/10/57/628001057.db2.gz LCTRJTKKRBAMQY-SECBINFHSA-N 0 0 285.271 2.573 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CCC(=O)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000775480107 628029534 /nfs/dbraw/zinc/02/95/34/628029534.db2.gz CFDQYOHHVXQFOD-MWLCHTKSSA-N 0 0 291.303 2.814 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CCC(=O)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000775480105 628029598 /nfs/dbraw/zinc/02/95/98/628029598.db2.gz CFDQYOHHVXQFOD-KOLCDFICSA-N 0 0 291.303 2.814 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1ccn(C(F)F)n1 ZINC000775936945 628085799 /nfs/dbraw/zinc/08/57/99/628085799.db2.gz ICJQULAJRWYAEF-UHFFFAOYSA-N 0 0 297.217 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCC(=O)OCC(C)C ZINC000776271846 628125261 /nfs/dbraw/zinc/12/52/61/628125261.db2.gz ILCHWVSJPAXHIF-UHFFFAOYSA-N 0 0 280.324 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)COc2cc(F)ccc2F)cc1 ZINC000776278789 628125879 /nfs/dbraw/zinc/12/58/79/628125879.db2.gz UYABVUQDADFEEK-CYBMUJFWSA-N 0 0 295.241 2.985 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000776293539 628127552 /nfs/dbraw/zinc/12/75/52/628127552.db2.gz ZSYAQZIZCDXCOA-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCCCCCCO ZINC000776360487 628135664 /nfs/dbraw/zinc/13/56/64/628135664.db2.gz AMINDVFLBRVPMH-JXMROGBWSA-N 0 0 293.319 2.704 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000776423907 628148949 /nfs/dbraw/zinc/14/89/49/628148949.db2.gz LPKPGBYFKKRORI-ZYHUDNBSSA-N 0 0 291.303 2.757 20 5 CFBDRN COc1nc(C)ccc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000776729170 628172286 /nfs/dbraw/zinc/17/22/86/628172286.db2.gz RBIUAOPXYYXGQI-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN Cn1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c1 ZINC000776889270 628185089 /nfs/dbraw/zinc/18/50/89/628185089.db2.gz OVTLLXXCCPKVLC-UHFFFAOYSA-N 0 0 279.683 2.839 20 5 CFBDRN CSCCOC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000777073653 628197757 /nfs/dbraw/zinc/19/77/57/628197757.db2.gz KXVVMEXRSMKWRF-UHFFFAOYSA-N 0 0 287.362 2.837 20 5 CFBDRN CNc1ccc(C(=O)OCCc2ccoc2)cc1[N+](=O)[O-] ZINC000777378508 628218999 /nfs/dbraw/zinc/21/89/99/628218999.db2.gz VJPNFVRBFDBQGK-UHFFFAOYSA-N 0 0 290.275 2.629 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2ccc(CF)cc2)c1=O ZINC000777539989 628234261 /nfs/dbraw/zinc/23/42/61/628234261.db2.gz VQQNLTJYGLWYJH-UHFFFAOYSA-N 0 0 276.267 2.583 20 5 CFBDRN C[C@H]1CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000777923527 628265505 /nfs/dbraw/zinc/26/55/05/628265505.db2.gz GZRKAERAALDYFV-JOYOIKCWSA-N 0 0 297.355 2.972 20 5 CFBDRN COc1cc(C(=O)NOC2CCCCC2)ccc1[N+](=O)[O-] ZINC000777959728 628267667 /nfs/dbraw/zinc/26/76/67/628267667.db2.gz AMYQTQZIIRITBD-UHFFFAOYSA-N 0 0 294.307 2.598 20 5 CFBDRN COCCC[C@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000777960234 628267670 /nfs/dbraw/zinc/26/76/70/628267670.db2.gz AREUINUPOHFTPE-JTQLQIEISA-N 0 0 299.298 2.840 20 5 CFBDRN Cc1c(C(=O)NOC2CCCCC2)cccc1[N+](=O)[O-] ZINC000777964732 628268663 /nfs/dbraw/zinc/26/86/63/628268663.db2.gz UTLQBXZQAJUZQW-UHFFFAOYSA-N 0 0 278.308 2.897 20 5 CFBDRN C[C@@H]1CCN(Cn2ncc3ccc([N+](=O)[O-])cc32)C[C@@H]1F ZINC000777968719 628269100 /nfs/dbraw/zinc/26/91/00/628269100.db2.gz OTZXHZRRMMZCLT-MFKMUULPSA-N 0 0 292.314 2.582 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](C)[C@H](F)C2)c1 ZINC000777998528 628271669 /nfs/dbraw/zinc/27/16/69/628271669.db2.gz TWKUJQJENRWVHJ-LDYMZIIASA-N 0 0 286.278 2.927 20 5 CFBDRN Cc1ccc(N2CCO[C@@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000778010801 628272430 /nfs/dbraw/zinc/27/24/30/628272430.db2.gz YQFHZTLNDGAQMK-CQSZACIVSA-N 0 0 264.325 2.764 20 5 CFBDRN COCCC[C@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000778195439 628285997 /nfs/dbraw/zinc/28/59/97/628285997.db2.gz KCUOZAMHBRHOML-JTQLQIEISA-N 0 0 299.298 2.840 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000778374186 628301727 /nfs/dbraw/zinc/30/17/27/628301727.db2.gz VQZWHKUWZKRVPS-GHMZBOCLSA-N 0 0 279.292 2.567 20 5 CFBDRN CCC[C@@H](C)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000778749079 628329958 /nfs/dbraw/zinc/32/99/58/628329958.db2.gz ATFVGSBDZLQTEY-NXEZZACHSA-N 0 0 268.313 2.915 20 5 CFBDRN O=C(O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1)C1CCC1 ZINC000778785753 628331720 /nfs/dbraw/zinc/33/17/20/628331720.db2.gz CNDHGSNEEWZWJD-CQSZACIVSA-N 0 0 290.319 2.517 20 5 CFBDRN CC(C)(C)C(=O)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778785335 628331736 /nfs/dbraw/zinc/33/17/36/628331736.db2.gz GOLUHOLJEGRTJH-ZDUSSCGKSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2cc([N+](=O)[O-])ccc2C)n[nH]1 ZINC000778782335 628331833 /nfs/dbraw/zinc/33/18/33/628331833.db2.gz BRARQXMTUVKDLV-SNVBAGLBSA-N 0 0 289.291 2.853 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)C1=COCC1 ZINC000778805641 628332663 /nfs/dbraw/zinc/33/26/63/628332663.db2.gz HMOUSGJCMGGWLQ-JTQLQIEISA-N 0 0 277.276 2.812 20 5 CFBDRN CC(C)C(=O)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000778807763 628333228 /nfs/dbraw/zinc/33/32/28/628333228.db2.gz AFTAQZTXGKVKRZ-LLVKDONJSA-N 0 0 296.298 2.512 20 5 CFBDRN COc1cc(COC(=O)C2CC=CC2)ccc1[N+](=O)[O-] ZINC000778807259 628333367 /nfs/dbraw/zinc/33/33/67/628333367.db2.gz JQRLMDRXWUJBNO-UHFFFAOYSA-N 0 0 277.276 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1ccnnc1 ZINC000778832672 628335018 /nfs/dbraw/zinc/33/50/18/628335018.db2.gz QCFRLCVCFMVSRR-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)C1 ZINC000779261285 628365779 /nfs/dbraw/zinc/36/57/79/628365779.db2.gz YBLOZJOGMXHPOD-WCBMZHEXSA-N 0 0 293.275 2.669 20 5 CFBDRN Cc1nn(Cc2ccc(Cl)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] ZINC000779645613 628392154 /nfs/dbraw/zinc/39/21/54/628392154.db2.gz NWRKZNUXBFZHNQ-UHFFFAOYSA-N 0 0 296.670 2.710 20 5 CFBDRN Cc1cnc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c(C)c1 ZINC000779766629 628401278 /nfs/dbraw/zinc/40/12/78/628401278.db2.gz GPVHBKBGZXGEAE-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1nn(CN2CC[C@@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000779860311 628414788 /nfs/dbraw/zinc/41/47/88/628414788.db2.gz WNOJEGBDZZUZBN-CYBMUJFWSA-N 0 0 272.308 2.504 20 5 CFBDRN Cc1nc2c(s1)[C@H](Nc1c([N+](=O)[O-])ncn1C)CCC2 ZINC000779920129 628418357 /nfs/dbraw/zinc/41/83/57/628418357.db2.gz CBFBTZIVBSPBOB-SECBINFHSA-N 0 0 293.352 2.583 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1[C@@H]2CCCO[C@H]12)c1ccccc1[N+](=O)[O-] ZINC000780327420 628455148 /nfs/dbraw/zinc/45/51/48/628455148.db2.gz AFEJDZVBSPWECO-VMXABPDPSA-N 0 0 291.303 2.624 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1[C@H]2CCCO[C@H]21 ZINC000780333418 628456049 /nfs/dbraw/zinc/45/60/49/628456049.db2.gz MOSACQVBDMUSQL-GGZOMVNGSA-N 0 0 297.694 2.579 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC000780622205 628482789 /nfs/dbraw/zinc/48/27/89/628482789.db2.gz MPIOEBSRWSMBGF-GFCCVEGCSA-N 0 0 276.292 2.902 20 5 CFBDRN O=C(NC[C@H]1CCC=CO1)Nc1ccc([N+](=O)[O-])cc1F ZINC000780665216 628486496 /nfs/dbraw/zinc/48/64/96/628486496.db2.gz NCJDGMXBYJKWIT-SNVBAGLBSA-N 0 0 295.270 2.548 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CCC=CO1 ZINC000780747585 628493022 /nfs/dbraw/zinc/49/30/22/628493022.db2.gz DMJMGPZPZPYQGF-GFCCVEGCSA-N 0 0 291.307 2.717 20 5 CFBDRN COC1CCC(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000781516454 628557101 /nfs/dbraw/zinc/55/71/01/628557101.db2.gz LEYNXQIDIVKCET-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H](ONC(=O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000781616587 628566501 /nfs/dbraw/zinc/56/65/01/628566501.db2.gz LIOUDNNBZZRRIV-SECBINFHSA-N 0 0 266.297 2.750 20 5 CFBDRN CCCOC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000781712496 628575274 /nfs/dbraw/zinc/57/52/74/628575274.db2.gz IEWWHNPOHGKYNO-UHFFFAOYSA-N 0 0 275.207 2.763 20 5 CFBDRN CCCn1cc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000781742464 628576867 /nfs/dbraw/zinc/57/68/67/628576867.db2.gz NMILVTGFSKWTSV-UHFFFAOYSA-N 0 0 293.254 2.560 20 5 CFBDRN CC(C)(C(=O)OCCOc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000805702414 628578582 /nfs/dbraw/zinc/57/85/82/628578582.db2.gz PEEFUWHXPNABQQ-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN COC1(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])CCC1 ZINC000781789499 628581144 /nfs/dbraw/zinc/58/11/44/628581144.db2.gz ZCYGDOZAHJFCJF-JTQLQIEISA-N 0 0 279.292 2.768 20 5 CFBDRN CCCCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782008669 628596685 /nfs/dbraw/zinc/59/66/85/628596685.db2.gz QSWTXXVBGRHHHK-UHFFFAOYSA-N 0 0 255.245 2.967 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCCC1CCC1 ZINC000782075657 628602628 /nfs/dbraw/zinc/60/26/28/628602628.db2.gz VHBDUUZOVUOXDY-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN Cc1c(OCCNC(=O)OC(C)(C)C)cccc1[N+](=O)[O-] ZINC000782112399 628605577 /nfs/dbraw/zinc/60/55/77/628605577.db2.gz PZIPRPDTNHVTCG-UHFFFAOYSA-N 0 0 296.323 2.807 20 5 CFBDRN COCc1cc(Oc2cccc(C)c2[N+](=O)[O-])ncn1 ZINC000782150628 628608726 /nfs/dbraw/zinc/60/87/26/628608726.db2.gz ORKDIXCUNWBLFY-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN Cc1ccoc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782152551 628608731 /nfs/dbraw/zinc/60/87/31/628608731.db2.gz VFIIWYDSYQRZHQ-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@H]1CCO[C@H](C)C1 ZINC000782215864 628615911 /nfs/dbraw/zinc/61/59/11/628615911.db2.gz WTGMJODWOTVSMB-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN COC1CCC(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CC1 ZINC000782226343 628616789 /nfs/dbraw/zinc/61/67/89/628616789.db2.gz JNYLTLHAAWJCSJ-UHFFFAOYSA-N 0 0 296.298 2.878 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCc1cc(F)cc(F)c1 ZINC000782246222 628618998 /nfs/dbraw/zinc/61/89/98/628618998.db2.gz VBNSKCVOFKLCCE-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN C[C@H](OC(=O)Cc1cncs1)c1ccc([N+](=O)[O-])cc1 ZINC000782315403 628627641 /nfs/dbraw/zinc/62/76/41/628627641.db2.gz MXDRRWWVNROFKG-VIFPVBQESA-N 0 0 292.316 2.898 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)OCc1cccc([N+](=O)[O-])c1 ZINC000782325297 628628709 /nfs/dbraw/zinc/62/87/09/628628709.db2.gz GHHAXZZPSVQBNB-SREVYHEPSA-N 0 0 272.260 2.680 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H](O)C1CCCCC1 ZINC000782369600 628633296 /nfs/dbraw/zinc/63/32/96/628633296.db2.gz VINXXUIVLRSKGZ-CQSZACIVSA-N 0 0 293.319 2.579 20 5 CFBDRN C[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)[C@H](C)[C@H](C)O1 ZINC000782409659 628636446 /nfs/dbraw/zinc/63/64/46/628636446.db2.gz DSYQDDQEZGVMFG-HLTSFMKQSA-N 0 0 286.278 2.875 20 5 CFBDRN C[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])[C@H](C)[C@@H](C)O1 ZINC000782410057 628636497 /nfs/dbraw/zinc/63/64/97/628636497.db2.gz NLXCCFUFEVDAEW-OPRDCNLKSA-N 0 0 268.288 2.736 20 5 CFBDRN COc1ccc(NC(=O)C(C)=C(C)C)cc1[N+](=O)[O-] ZINC000782472326 628640885 /nfs/dbraw/zinc/64/08/85/628640885.db2.gz OFIMOAAIQLQGLS-UHFFFAOYSA-N 0 0 264.281 2.898 20 5 CFBDRN Cc1oncc1CN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000782530500 628643261 /nfs/dbraw/zinc/64/32/61/628643261.db2.gz HCDFQZPYQXGAFL-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000782601757 628646990 /nfs/dbraw/zinc/64/69/90/628646990.db2.gz SMFULNMANYHMCD-ZETCQYMHSA-N 0 0 293.304 2.673 20 5 CFBDRN C[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])[C@@H](C)[C@H](C)O1 ZINC000782628458 628650005 /nfs/dbraw/zinc/65/00/05/628650005.db2.gz NLEHCHXUYFHBEF-VWYCJHECSA-N 0 0 282.315 2.732 20 5 CFBDRN O=C(CC1CC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782726693 628657207 /nfs/dbraw/zinc/65/72/07/628657207.db2.gz JXVPAHPALNDLCW-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CCCCS2)c([N+](=O)[O-])c1 ZINC000783354646 628701224 /nfs/dbraw/zinc/70/12/24/628701224.db2.gz FRRLJVLSZHDXHX-LBPRGKRZSA-N 0 0 297.332 2.795 20 5 CFBDRN COc1cc(C(=O)OCCC2CCC2)ccc1[N+](=O)[O-] ZINC000783447056 628709113 /nfs/dbraw/zinc/70/91/13/628709113.db2.gz LQIZJWDHRWUWDY-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN COc1ccc(C(=O)OCCC2CCC2)cc1[N+](=O)[O-] ZINC000783446867 628709181 /nfs/dbraw/zinc/70/91/81/628709181.db2.gz VRDWADQWBOANGH-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN Cc1nn(CN2CCCC3(CCCC3)C2)cc1[N+](=O)[O-] ZINC000783542032 628718697 /nfs/dbraw/zinc/71/86/97/628718697.db2.gz FPZKAQGBCOBCAN-UHFFFAOYSA-N 0 0 278.356 2.713 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783581975 628723098 /nfs/dbraw/zinc/72/30/98/628723098.db2.gz GGNZGKQBRUDIEQ-QMMMGPOBSA-N 0 0 287.312 2.776 20 5 CFBDRN C[N@H+](Cc1ccc([N+](=O)[O-])c(Cl)c1)CC1(O)CCC1 ZINC000783674099 628733340 /nfs/dbraw/zinc/73/33/40/628733340.db2.gz UJUTYTZLSLQUGI-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN CSCCCCCCNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000783924791 628755396 /nfs/dbraw/zinc/75/53/96/628755396.db2.gz NODOFGBXQZZLBR-UHFFFAOYSA-N 0 0 285.369 2.576 20 5 CFBDRN C/C(=C\C(=O)Nc1cnoc1)c1ccc([N+](=O)[O-])cc1 ZINC000783944161 628756727 /nfs/dbraw/zinc/75/67/27/628756727.db2.gz DJQWVWYFPMOUBO-RMKNXTFCSA-N 0 0 273.248 2.625 20 5 CFBDRN CCCN(C)C(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000783941573 628756740 /nfs/dbraw/zinc/75/67/40/628756740.db2.gz UGNKTATTWCKMGM-ZHACJKMWSA-N 0 0 262.309 2.867 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](F)C(C)C ZINC000784022068 628764540 /nfs/dbraw/zinc/76/45/40/628764540.db2.gz WWZIUOMPMROPBW-NSHDSACASA-N 0 0 271.244 2.503 20 5 CFBDRN C/C(=C/C(=O)N(C)Cc1ccc[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000784057430 628770162 /nfs/dbraw/zinc/77/01/62/628770162.db2.gz PWRHSGCWPZGVOX-BENRWUELSA-N 0 0 299.330 2.985 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)CO1 ZINC000784107797 628777146 /nfs/dbraw/zinc/77/71/46/628777146.db2.gz VPHAPRWADSAPLX-WPRPVWTQSA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)CO1 ZINC000784107794 628777214 /nfs/dbraw/zinc/77/72/14/628777214.db2.gz VPHAPRWADSAPLX-WCBMZHEXSA-N 0 0 299.710 2.716 20 5 CFBDRN CC(C)[C@@H](F)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000784129632 628779936 /nfs/dbraw/zinc/77/99/36/628779936.db2.gz APXHMJLGLNLAGE-LLVKDONJSA-N 0 0 288.706 2.859 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@@H]1CCSC1 ZINC000784161168 628786528 /nfs/dbraw/zinc/78/65/28/628786528.db2.gz UZQHEFYXNHBWSF-SECBINFHSA-N 0 0 285.296 2.530 20 5 CFBDRN CCc1cnc(COC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000784200158 628790021 /nfs/dbraw/zinc/79/00/21/628790021.db2.gz OPBSAFULIRGDCS-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN CCc1cnc(COC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000784201267 628790598 /nfs/dbraw/zinc/79/05/98/628790598.db2.gz SWWZCHLRAFHKNK-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000784273640 628797464 /nfs/dbraw/zinc/79/74/64/628797464.db2.gz IKVWFLAGZLDLED-WPRPVWTQSA-N 0 0 299.710 2.716 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H](F)C(C)C ZINC000784310840 628803960 /nfs/dbraw/zinc/80/39/60/628803960.db2.gz PTLNJFYPCPRHNU-LBPRGKRZSA-N 0 0 285.271 2.641 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CCC(C)(C)O1 ZINC000784449452 628817898 /nfs/dbraw/zinc/81/78/98/628817898.db2.gz YTEMMHIDRUVDHU-LLVKDONJSA-N 0 0 279.292 2.766 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCO[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000784491926 628821069 /nfs/dbraw/zinc/82/10/69/628821069.db2.gz CGDXSQZLEWVOIS-JFGNBEQYSA-N 0 0 279.292 2.624 20 5 CFBDRN CS/C=C\C(=O)OCc1ccc(N(C)C)c([N+](=O)[O-])c1 ZINC000784501614 628822506 /nfs/dbraw/zinc/82/25/06/628822506.db2.gz MVKVPHCNWAHUKU-SREVYHEPSA-N 0 0 296.348 2.581 20 5 CFBDRN C/C(=C\C(=O)OCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000784841263 628845204 /nfs/dbraw/zinc/84/52/04/628845204.db2.gz XTWIIIHZVODDBC-CSKARUKUSA-N 0 0 261.277 2.951 20 5 CFBDRN C/C(=C\C(=O)OC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000784841438 628845818 /nfs/dbraw/zinc/84/58/18/628845818.db2.gz JPRIMTRJSAENEJ-JATZPVMKSA-N 0 0 291.303 2.578 20 5 CFBDRN CCOCCCOC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784874983 628847462 /nfs/dbraw/zinc/84/74/62/628847462.db2.gz IWLDYEZUZHLYOR-VAWYXSNFSA-N 0 0 293.319 2.968 20 5 CFBDRN CCO[C@H](C)C(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784936180 628853279 /nfs/dbraw/zinc/85/32/79/628853279.db2.gz PPISWYUKYCSSBK-SNVBAGLBSA-N 0 0 299.348 2.655 20 5 CFBDRN COC1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCCC1 ZINC000784950828 628854825 /nfs/dbraw/zinc/85/48/25/628854825.db2.gz MOZZGQWYCNKYRI-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN CC1(C)OC[C@H](COc2ccc(Cl)cc2[N+](=O)[O-])O1 ZINC000785026691 628861099 /nfs/dbraw/zinc/86/10/99/628861099.db2.gz JDHPXIOKFOQMOW-VIFPVBQESA-N 0 0 287.699 2.779 20 5 CFBDRN CC(C)/C=C/C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000785069815 628864145 /nfs/dbraw/zinc/86/41/45/628864145.db2.gz KWIIGEUWVSBPIX-FPYGCLRLSA-N 0 0 263.293 2.893 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])O1 ZINC000785268860 628874749 /nfs/dbraw/zinc/87/47/49/628874749.db2.gz XPOWUVDIAHHWHR-LBPRGKRZSA-N 0 0 297.282 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCCC2(O)CC2)c1 ZINC000785448787 628884175 /nfs/dbraw/zinc/88/41/75/628884175.db2.gz PJWMFPTWAMUEBN-UHFFFAOYSA-N 0 0 257.673 2.542 20 5 CFBDRN C[C@H](O)[C@@H]1C[C@H](C)CC[N@@H+]1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000785546598 628892535 /nfs/dbraw/zinc/89/25/35/628892535.db2.gz QRVSWDGCIPEBPQ-ZETOZRRWSA-N 0 0 296.342 2.715 20 5 CFBDRN Cc1c(COC(=O)c2ccc(F)nc2)cccc1[N+](=O)[O-] ZINC000785626504 628899347 /nfs/dbraw/zinc/89/93/47/628899347.db2.gz AUUIIWFLJCBTGC-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cncc(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000785649178 628901553 /nfs/dbraw/zinc/90/15/53/628901553.db2.gz HNMBUDJFKDCMHX-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@H]1C[C@H]1CNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000785778405 628913352 /nfs/dbraw/zinc/91/33/52/628913352.db2.gz VZDAOBJIUUYNSH-UWVGGRQHSA-N 0 0 289.335 2.555 20 5 CFBDRN CC1(C)C[C@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000785913024 628922113 /nfs/dbraw/zinc/92/21/13/628922113.db2.gz ZCQFCTMJKHEKTE-GFCCVEGCSA-N 0 0 297.282 2.848 20 5 CFBDRN CC1(C)C[C@H](OC(=O)Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000785912528 628922137 /nfs/dbraw/zinc/92/21/37/628922137.db2.gz WDJKVJUMTNGSIM-GFCCVEGCSA-N 0 0 293.319 2.638 20 5 CFBDRN C/C=C\COC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000785938702 628925439 /nfs/dbraw/zinc/92/54/39/628925439.db2.gz AGYXXLINWYURQL-IHWYPQMZSA-N 0 0 269.684 2.910 20 5 CFBDRN CCCC(C)(C)CC(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000786337996 628948933 /nfs/dbraw/zinc/94/89/33/628948933.db2.gz WIRCPRVOFXVSPC-UHFFFAOYSA-N 0 0 297.355 2.859 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(F)F ZINC000786521654 628965141 /nfs/dbraw/zinc/96/51/41/628965141.db2.gz FTQGVIWGVAKSEI-ZETCQYMHSA-N 0 0 259.208 2.714 20 5 CFBDRN Cc1ncc(CN(C)c2ccc(N)cc2[N+](=O)[O-])s1 ZINC000786575064 628968800 /nfs/dbraw/zinc/96/88/00/628968800.db2.gz IKOUHBLLRAKZRB-UHFFFAOYSA-N 0 0 278.337 2.578 20 5 CFBDRN Nc1ccc(NCCc2cccc(F)c2)c([N+](=O)[O-])c1 ZINC000786573672 628968829 /nfs/dbraw/zinc/96/88/29/628968829.db2.gz CLTCCIBLKSAFRV-UHFFFAOYSA-N 0 0 275.283 2.971 20 5 CFBDRN CO[C@@H](C)[C@H](C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000786677730 628973228 /nfs/dbraw/zinc/97/32/28/628973228.db2.gz OSZRUJMOFGXZQL-GSGCRYEPSA-N 0 0 292.335 2.538 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCc1ccc(F)cn1 ZINC000786749148 628977906 /nfs/dbraw/zinc/97/79/06/628977906.db2.gz LFDVELYSSAPYHW-UHFFFAOYSA-N 0 0 290.250 2.911 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCc1nccs1 ZINC000786788954 628979701 /nfs/dbraw/zinc/97/97/01/628979701.db2.gz DBVHGJHHALNOCR-UHFFFAOYSA-N 0 0 291.332 2.909 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CCC=CO2)cccc1[N+](=O)[O-] ZINC000787028159 628989055 /nfs/dbraw/zinc/98/90/55/628989055.db2.gz UQHMEUTVVZVDHW-CYBMUJFWSA-N 0 0 277.276 2.639 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CCC=CO1 ZINC000787037269 628989765 /nfs/dbraw/zinc/98/97/65/628989765.db2.gz RNEDMMMAOKRPRS-LBPRGKRZSA-N 0 0 297.694 2.984 20 5 CFBDRN COC(C)(C)CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000787126332 628994855 /nfs/dbraw/zinc/99/48/55/628994855.db2.gz RMIXBADMXWBJBG-UHFFFAOYSA-N 0 0 273.310 2.515 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000852240043 634699988 /nfs/dbraw/zinc/69/99/88/634699988.db2.gz XQJGABFZXHOSHA-GHMZBOCLSA-N 0 0 275.308 2.674 20 5 CFBDRN CCC/C=C/C(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000787507573 629015819 /nfs/dbraw/zinc/01/58/19/629015819.db2.gz IMISJMVSHZMHLB-SNAWJCMRSA-N 0 0 290.319 2.541 20 5 CFBDRN Cc1c(CC(=O)OCC[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000787751032 629031841 /nfs/dbraw/zinc/03/18/41/629031841.db2.gz UTQFRMSQRMORPA-CYBMUJFWSA-N 0 0 293.319 2.558 20 5 CFBDRN Cc1ccc(CNc2ccc(Cl)nn2)cc1[N+](=O)[O-] ZINC000787787555 629035738 /nfs/dbraw/zinc/03/57/38/629035738.db2.gz GNDFHXUJSHIORC-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN O=C(OCCCCCO)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000787977740 629045809 /nfs/dbraw/zinc/04/58/09/629045809.db2.gz GCGNTXFMYKVOAX-UHFFFAOYSA-N 0 0 287.699 2.568 20 5 CFBDRN CCC[C@](C)(NCc1ccc([N+](=O)[O-])c(F)c1)C(=O)OC ZINC000788480553 629073164 /nfs/dbraw/zinc/07/31/64/629073164.db2.gz LXMDNEIJETUSJQ-AWEZNQCLSA-N 0 0 298.314 2.555 20 5 CFBDRN CCOC[C@H](Nc1nc2ccccn2c1[N+](=O)[O-])C(C)C ZINC000788858335 629105075 /nfs/dbraw/zinc/10/50/75/629105075.db2.gz DAZANRLMHDKFSO-NSHDSACASA-N 0 0 292.339 2.716 20 5 CFBDRN CCOC1CC(CC(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000789633805 629176075 /nfs/dbraw/zinc/17/60/75/629176075.db2.gz LNGPOTVYUAUSEV-UHFFFAOYSA-N 0 0 296.298 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2cnccn2)c([N+](=O)[O-])c1 ZINC000789920984 629196561 /nfs/dbraw/zinc/19/65/61/629196561.db2.gz IHBBIZKVROLROL-UHFFFAOYSA-N 0 0 292.276 2.585 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)c2nccs2)c1F ZINC000790234527 629222055 /nfs/dbraw/zinc/22/20/55/629222055.db2.gz IRGDUEWGVQVAPE-UHFFFAOYSA-N 0 0 295.295 2.775 20 5 CFBDRN CCCN(C)c1c(Cl)cc([N+](=O)[O-])cc1C(=O)OC ZINC000790265542 629223917 /nfs/dbraw/zinc/22/39/17/629223917.db2.gz KUBLEHFGUINLIG-UHFFFAOYSA-N 0 0 286.715 2.881 20 5 CFBDRN CCOC(=O)C1(CNc2cccnc2[N+](=O)[O-])CCCC1 ZINC000790819554 629266347 /nfs/dbraw/zinc/26/63/47/629266347.db2.gz WVDFTJVBDLKGFY-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2ccc([N+](=O)[O-])s2)c1Cl ZINC000790979871 629276889 /nfs/dbraw/zinc/27/68/89/629276889.db2.gz QKRDTYGBXNJVRV-UHFFFAOYSA-N 0 0 286.700 2.594 20 5 CFBDRN O=[N+]([O-])CCN(Cc1ccc(C(F)(F)F)cc1)C1CC1 ZINC000791054793 629284148 /nfs/dbraw/zinc/28/41/48/629284148.db2.gz XVZVMCVIFJWCDK-UHFFFAOYSA-N 0 0 288.269 2.947 20 5 CFBDRN O=[N+]([O-])CCN(Cc1ccc(Cl)cc1)C1CC1 ZINC000791054606 629284326 /nfs/dbraw/zinc/28/43/26/629284326.db2.gz WGCVJNXCXPPZAO-UHFFFAOYSA-N 0 0 254.717 2.581 20 5 CFBDRN Cc1nc(C(C)C)sc1[C@H](C)N(C)CC[N+](=O)[O-] ZINC000791055803 629284703 /nfs/dbraw/zinc/28/47/03/629284703.db2.gz YOXBBPWJCAETQU-JTQLQIEISA-N 0 0 271.386 2.844 20 5 CFBDRN O=[N+]([O-])CCN[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000791055350 629284948 /nfs/dbraw/zinc/28/49/48/629284948.db2.gz SIHDZHCTSSYOPD-JTQLQIEISA-N 0 0 280.221 2.686 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)cc1 ZINC000791291038 629297484 /nfs/dbraw/zinc/29/74/84/629297484.db2.gz RGJSQKWJRSSEPU-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000791344638 629302372 /nfs/dbraw/zinc/30/23/72/629302372.db2.gz RXTRGYYLTGSESQ-SECBINFHSA-N 0 0 297.330 2.670 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)OCCCCCF ZINC000791465423 629319244 /nfs/dbraw/zinc/31/92/44/629319244.db2.gz VJZADXOTKDALQZ-UHFFFAOYSA-N 0 0 285.271 2.657 20 5 CFBDRN CNc1ccc(C(=O)OCCCCCF)cc1[N+](=O)[O-] ZINC000791484847 629320566 /nfs/dbraw/zinc/32/05/66/629320566.db2.gz XLJBOISXOYKXTF-UHFFFAOYSA-N 0 0 284.287 2.933 20 5 CFBDRN COC1(CC(=O)OCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000791512716 629324735 /nfs/dbraw/zinc/32/47/35/629324735.db2.gz VOLYKEGKEZXVMD-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN COC1(CC(=O)OCc2csc([N+](=O)[O-])c2)CCC1 ZINC000791518318 629325936 /nfs/dbraw/zinc/32/59/36/629325936.db2.gz URSGRYMDVDEUJD-UHFFFAOYSA-N 0 0 285.321 2.659 20 5 CFBDRN CO[C@@H](C(=O)OCc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000791593453 629337959 /nfs/dbraw/zinc/33/79/59/629337959.db2.gz KOPKXXDJEYSPQG-GFCCVEGCSA-N 0 0 299.710 2.716 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)C2CC2)cc([N+](=O)[O-])c1C ZINC000791782783 629356729 /nfs/dbraw/zinc/35/67/29/629356729.db2.gz JOPWMPMYBOEOCQ-VIFPVBQESA-N 0 0 279.292 2.867 20 5 CFBDRN COc1ccc(OC(=O)C[C@@H]2CC[C@H]3C[C@H]32)c([N+](=O)[O-])c1 ZINC000835068089 629368878 /nfs/dbraw/zinc/36/88/78/629368878.db2.gz DOCDLMQSMYSDGQ-JBLDHEPKSA-N 0 0 291.303 2.945 20 5 CFBDRN CCC[C@@H](C)COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000791895430 629373277 /nfs/dbraw/zinc/37/32/77/629373277.db2.gz QENNAOKNLRVRIV-SECBINFHSA-N 0 0 254.286 2.526 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC000791978497 629384463 /nfs/dbraw/zinc/38/44/63/629384463.db2.gz RWJVYLSTNYTVBS-MRVPVSSYSA-N 0 0 294.263 2.592 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)c2ccc([N+](=O)[O-])cc2F)O1 ZINC000792023390 629388818 /nfs/dbraw/zinc/38/88/18/629388818.db2.gz CPJMKRQSFWGZQR-JTQLQIEISA-N 0 0 297.282 2.848 20 5 CFBDRN C/C=C/COC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835095709 629396901 /nfs/dbraw/zinc/39/69/01/629396901.db2.gz SBJRFRQLCPWUAL-NSCUHMNNSA-N 0 0 277.276 2.677 20 5 CFBDRN CNc1c(C(=O)OCCCCCF)cccc1[N+](=O)[O-] ZINC000792134684 629401710 /nfs/dbraw/zinc/40/17/10/629401710.db2.gz YUTUUBQVZHKDJK-UHFFFAOYSA-N 0 0 284.287 2.933 20 5 CFBDRN COc1cccc(C(=O)OCCCCCF)c1[N+](=O)[O-] ZINC000792184896 629407792 /nfs/dbraw/zinc/40/77/92/629407792.db2.gz NCWJZQRJZOUSDT-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN CCC[C@@H](OC)C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000835112173 629416887 /nfs/dbraw/zinc/41/68/87/629416887.db2.gz NIVKLOPEWZPCOM-SNVBAGLBSA-N 0 0 287.699 2.969 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000792530420 629430978 /nfs/dbraw/zinc/43/09/78/629430978.db2.gz XMAURJHPSQVGFI-OCAPTIKFSA-N 0 0 268.700 2.871 20 5 CFBDRN COCC1(C(=O)OCc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000792692088 629445066 /nfs/dbraw/zinc/44/50/66/629445066.db2.gz HZLZYVSXOHORFJ-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN CNc1c(C(=O)O[C@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000793190219 629502131 /nfs/dbraw/zinc/50/21/31/629502131.db2.gz LEWAMQBCLXNLOD-VIFPVBQESA-N 0 0 262.265 2.512 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@@H]1C=CCCCCC1 ZINC000793409705 629539988 /nfs/dbraw/zinc/53/99/88/629539988.db2.gz WEXANNZTRYERGW-GFCCVEGCSA-N 0 0 278.308 2.979 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C(F)(F)F ZINC000835237533 629549325 /nfs/dbraw/zinc/54/93/25/629549325.db2.gz WXBFZUPCBHISPM-RKDXNWHRSA-N 0 0 293.172 2.838 20 5 CFBDRN O=C(CCC(F)F)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000793734868 629589688 /nfs/dbraw/zinc/58/96/88/629589688.db2.gz CZXCTNSPKUECEZ-UHFFFAOYSA-N 0 0 284.262 2.525 20 5 CFBDRN C[C@@H](NC(=O)C[C@H]1CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000793773747 629593595 /nfs/dbraw/zinc/59/35/95/629593595.db2.gz VURXPZDJRMEFBU-VXGBXAGGSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CC[C@@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000794261233 629628142 /nfs/dbraw/zinc/62/81/42/629628142.db2.gz TZKVQORUGKYEPF-MWLCHTKSSA-N 0 0 291.351 2.651 20 5 CFBDRN O=C(NOC/C=C\Cl)c1c(Cl)cccc1[N+](=O)[O-] ZINC000794292853 629632535 /nfs/dbraw/zinc/63/25/35/629632535.db2.gz JWXJAPKMVCGENE-DJWKRKHSSA-N 0 0 291.090 2.662 20 5 CFBDRN CCONC(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000794373100 629641517 /nfs/dbraw/zinc/64/15/17/629641517.db2.gz SFBBLAZHILRBRQ-UHFFFAOYSA-N 0 0 281.312 2.965 20 5 CFBDRN CC1(C)OC[C@H](CSCc2ccc([N+](=O)[O-])cc2)O1 ZINC000794432331 629645920 /nfs/dbraw/zinc/64/59/20/629645920.db2.gz KZOVCMUQUJMOGT-GFCCVEGCSA-N 0 0 283.349 2.980 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])CC[C@@H]1C ZINC000867120975 629670508 /nfs/dbraw/zinc/67/05/08/629670508.db2.gz JAOKLSONVJXUJU-QWRGUYRKSA-N 0 0 294.326 2.781 20 5 CFBDRN CC(C)(C)[C@H]1CCC[N@H+](Cn2cc([N+](=O)[O-])cn2)C1 ZINC000794704971 629677809 /nfs/dbraw/zinc/67/78/09/629677809.db2.gz HKCNORMWWOFICJ-NSHDSACASA-N 0 0 266.345 2.507 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000794760518 629685196 /nfs/dbraw/zinc/68/51/96/629685196.db2.gz QTUFDUFKBHFYLP-RISCZKNCSA-N 0 0 292.335 2.965 20 5 CFBDRN CCc1nc(C(=O)Oc2cccc([N+](=O)[O-])c2)c(C)o1 ZINC000794840921 629693079 /nfs/dbraw/zinc/69/30/79/629693079.db2.gz NOPGPJOYJJTKCZ-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN C[C@@H](NCc1cccnc1F)c1ccc([N+](=O)[O-])cc1 ZINC000794838687 629693087 /nfs/dbraw/zinc/69/30/87/629693087.db2.gz ZPANRMGRQJYAMQ-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)CC(C)(C)O)c1 ZINC000835422163 629706761 /nfs/dbraw/zinc/70/67/61/629706761.db2.gz HETYJEOBVIZEDP-SNVBAGLBSA-N 0 0 281.308 2.610 20 5 CFBDRN CCCCNC(=S)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000795095084 629734095 /nfs/dbraw/zinc/73/40/95/629734095.db2.gz BAQOKVLQSAKUSQ-UHFFFAOYSA-N 0 0 295.408 2.744 20 5 CFBDRN CCC(=O)c1ccc(N2CCC[C@H]2CCO)c([N+](=O)[O-])c1 ZINC000795143837 629738922 /nfs/dbraw/zinc/73/89/22/629738922.db2.gz NNGVRPMSWLFPOF-LBPRGKRZSA-N 0 0 292.335 2.539 20 5 CFBDRN CCC(=O)c1ccc(N2CCC[C@@H]2CCO)c([N+](=O)[O-])c1 ZINC000795143835 629739121 /nfs/dbraw/zinc/73/91/21/629739121.db2.gz NNGVRPMSWLFPOF-GFCCVEGCSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1cccnc1F)CCN2 ZINC000795225122 629748027 /nfs/dbraw/zinc/74/80/27/629748027.db2.gz GSXQMIXPKLLJCL-UHFFFAOYSA-N 0 0 288.282 2.709 20 5 CFBDRN O=[N+]([O-])c1c(N2CC(C3CCCC3)C2)nc2ccccn21 ZINC000795259560 629753544 /nfs/dbraw/zinc/75/35/44/629753544.db2.gz AZGACYQOROBSTH-UHFFFAOYSA-N 0 0 286.335 2.869 20 5 CFBDRN Cc1c(C(=O)OCc2cncc(F)c2)cccc1[N+](=O)[O-] ZINC000795355282 629766301 /nfs/dbraw/zinc/76/63/01/629766301.db2.gz GXZJIHPCRYPFRP-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc(COC(=O)/C=C\[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000835479435 629768235 /nfs/dbraw/zinc/76/82/35/629768235.db2.gz DGOGPWPBIHILSY-QMAVJUDZSA-N 0 0 291.303 2.539 20 5 CFBDRN Cc1ccc(COC(=O)C[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000835483759 629774410 /nfs/dbraw/zinc/77/44/10/629774410.db2.gz OWAXEFYPKLQVIJ-LBPRGKRZSA-N 0 0 279.292 2.516 20 5 CFBDRN CS[C@H](C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835483269 629774895 /nfs/dbraw/zinc/77/48/95/629774895.db2.gz FWWJRNNJUKTHNW-SECBINFHSA-N 0 0 269.322 2.698 20 5 CFBDRN CCO[C@@H](CC)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835486452 629776193 /nfs/dbraw/zinc/77/61/93/629776193.db2.gz UYHDKSUOOBJAEP-ZDUSSCGKSA-N 0 0 281.308 2.762 20 5 CFBDRN O=C(OCc1cncc(F)c1)c1cc([N+](=O)[O-])ccc1F ZINC000795449720 629780360 /nfs/dbraw/zinc/78/03/60/629780360.db2.gz VNZBMCMUGNTMCW-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN C[C@H](O)CCCOC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000795505579 629789771 /nfs/dbraw/zinc/78/97/71/629789771.db2.gz SINTYUISWZCFBU-QMMMGPOBSA-N 0 0 287.699 2.566 20 5 CFBDRN O=C(C[C@@H]1CCCOC1)OCc1csc([N+](=O)[O-])c1 ZINC000795914677 629849581 /nfs/dbraw/zinc/84/95/81/629849581.db2.gz XSWYCUJSXNMTCT-VIFPVBQESA-N 0 0 285.321 2.516 20 5 CFBDRN O=C(C[C@H]1CCCOC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000795920392 629850493 /nfs/dbraw/zinc/85/04/93/629850493.db2.gz VNMCBPPRTZRJKK-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN C[C@H]1C(=O)CC[C@H]1CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000796232459 629893822 /nfs/dbraw/zinc/89/38/22/629893822.db2.gz VLVHXMQJCIQUDH-MNOVXSKESA-N 0 0 291.303 2.643 20 5 CFBDRN C[C@H]1C(=O)CC[C@@H]1CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000796232458 629894222 /nfs/dbraw/zinc/89/42/22/629894222.db2.gz VLVHXMQJCIQUDH-GHMZBOCLSA-N 0 0 291.303 2.643 20 5 CFBDRN CCCc1[nH]nc(C(=O)OCC2CCCC2)c1[N+](=O)[O-] ZINC000796260518 629897053 /nfs/dbraw/zinc/89/70/53/629897053.db2.gz LGNNYIZNHMSQBS-UHFFFAOYSA-N 0 0 281.312 2.617 20 5 CFBDRN CC/C=C/CCOC(=O)c1n[nH]c(CCC)c1[N+](=O)[O-] ZINC000796261636 629897440 /nfs/dbraw/zinc/89/74/40/629897440.db2.gz WYPOFBPLUKZHEZ-AATRIKPKSA-N 0 0 281.312 2.784 20 5 CFBDRN CC[C@H](C)COC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796306726 629901280 /nfs/dbraw/zinc/90/12/80/629901280.db2.gz MCBRLFCONOQLKE-VIFPVBQESA-N 0 0 267.281 2.806 20 5 CFBDRN COc1c(C(=O)OCCC2CC2)cccc1[N+](=O)[O-] ZINC000796307493 629901587 /nfs/dbraw/zinc/90/15/87/629901587.db2.gz QXBDKQRPLNMSLB-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CC[C@H](C)[C@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)OC ZINC000835638802 629909379 /nfs/dbraw/zinc/90/93/79/629909379.db2.gz VZLNVOJBRXMBTR-FZMZJTMJSA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@@H](C)[C@H](COC(=O)c1cccc([N+](=O)[O-])c1)OC ZINC000835645236 629914733 /nfs/dbraw/zinc/91/47/33/629914733.db2.gz SOMSLMQWOZTFDY-MFKMUULPSA-N 0 0 281.308 2.813 20 5 CFBDRN CC[C@](C)(OC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000796413511 629915752 /nfs/dbraw/zinc/91/57/52/629915752.db2.gz VHFXWIGFWMHILK-ZDUSSCGKSA-N 0 0 285.271 2.592 20 5 CFBDRN CON(CCOc1ccc([N+](=O)[O-])cc1)CC(C)(C)C ZINC000796470854 629922197 /nfs/dbraw/zinc/92/21/97/629922197.db2.gz HVBIKMOBKDBOAH-UHFFFAOYSA-N 0 0 282.340 2.883 20 5 CFBDRN CS[C@H](C)CCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000835660434 629924055 /nfs/dbraw/zinc/92/40/55/629924055.db2.gz FMRLWHCLOSCSBN-SECBINFHSA-N 0 0 269.322 2.893 20 5 CFBDRN COc1cc(C(=O)OC[C@H](C)CSC)ccc1[N+](=O)[O-] ZINC000835673946 629935585 /nfs/dbraw/zinc/93/55/85/629935585.db2.gz WAOVOTCXSKLNHB-VIFPVBQESA-N 0 0 299.348 2.759 20 5 CFBDRN Cc1ccc(OC(=O)C[C@@H]2CCC(=O)[C@H]2C)c([N+](=O)[O-])c1 ZINC000796648602 629945750 /nfs/dbraw/zinc/94/57/50/629945750.db2.gz CVMIKCCEOSAASC-QWRGUYRKSA-N 0 0 291.303 2.814 20 5 CFBDRN C[C@@H]1C(=O)CC[C@H]1CC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000796777727 629964671 /nfs/dbraw/zinc/96/46/71/629964671.db2.gz PCURMBQYTWUCQG-IUCAKERBSA-N 0 0 295.266 2.645 20 5 CFBDRN C[C@@H](CC(C)(C)C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000835702516 629965678 /nfs/dbraw/zinc/96/56/78/629965678.db2.gz DBRFCSMWDXPAPK-VIFPVBQESA-N 0 0 268.313 2.915 20 5 CFBDRN CCc1nc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)c(C)o1 ZINC000796817204 629971644 /nfs/dbraw/zinc/97/16/44/629971644.db2.gz GYIFJWWYABZICQ-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN COc1ccc(OC(=O)CCC(F)F)cc1[N+](=O)[O-] ZINC000796898661 629986408 /nfs/dbraw/zinc/98/64/08/629986408.db2.gz HAOFHAMUHFGZRF-UHFFFAOYSA-N 0 0 275.207 2.554 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC1CC2(CC2)C1 ZINC000835725636 629989728 /nfs/dbraw/zinc/98/97/28/629989728.db2.gz GAYGHYNTHKISBQ-UHFFFAOYSA-N 0 0 261.277 2.623 20 5 CFBDRN COc1c(C(=O)OC[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000796922207 629990144 /nfs/dbraw/zinc/99/01/44/629990144.db2.gz VXFXOHQRJZGDSH-SECBINFHSA-N 0 0 279.292 2.806 20 5 CFBDRN Cc1ccc(C(=O)OCCc2nccs2)cc1[N+](=O)[O-] ZINC000796936806 629991848 /nfs/dbraw/zinc/99/18/48/629991848.db2.gz BLKURHRALDYEOC-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN COc1ccc(CC(=O)OC2CC3(CC3)C2)cc1[N+](=O)[O-] ZINC000835727621 629991962 /nfs/dbraw/zinc/99/19/62/629991962.db2.gz BBLHHULTUVYOEF-UHFFFAOYSA-N 0 0 291.303 2.632 20 5 CFBDRN COc1ccc(C(=O)OC2CC3(CC3)C2)cc1[N+](=O)[O-] ZINC000835732569 629999117 /nfs/dbraw/zinc/99/91/17/629999117.db2.gz YHDYKODWJWIENV-UHFFFAOYSA-N 0 0 277.276 2.703 20 5 CFBDRN C/C=C\COC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000797138184 630025379 /nfs/dbraw/zinc/02/53/79/630025379.db2.gz UCVLOXBZMAGFJL-IHWYPQMZSA-N 0 0 267.306 2.806 20 5 CFBDRN CC(F)(F)CCOC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000797214308 630040399 /nfs/dbraw/zinc/04/03/99/630040399.db2.gz BVDLOTYXDXPVRX-UHFFFAOYSA-N 0 0 273.235 2.726 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)[C@@H](C)SC)ccc1[N+](=O)[O-] ZINC000797217447 630041388 /nfs/dbraw/zinc/04/13/88/630041388.db2.gz ZNBRGXXSODSRLZ-DTWKUNHWSA-N 0 0 299.348 2.900 20 5 CFBDRN CC(F)(F)CCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000797218074 630041780 /nfs/dbraw/zinc/04/17/80/630041780.db2.gz USZOTUPWPGGCOF-UHFFFAOYSA-N 0 0 259.208 2.797 20 5 CFBDRN COc1cccc(C(=O)OCCC(C)(F)F)c1[N+](=O)[O-] ZINC000797239783 630046457 /nfs/dbraw/zinc/04/64/57/630046457.db2.gz LDIKHZHBSXWWSZ-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@@H]2C[C@H]2C2CC2)cc1 ZINC000797407906 630062066 /nfs/dbraw/zinc/06/20/66/630062066.db2.gz FCYSDVWVMSUYPJ-NWDGAFQWSA-N 0 0 277.349 2.680 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1cccnc1F ZINC000797422249 630064294 /nfs/dbraw/zinc/06/42/94/630064294.db2.gz JRVBVHVGFBOEMA-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C1(C)CCC1 ZINC000797475191 630071583 /nfs/dbraw/zinc/07/15/83/630071583.db2.gz WNERQDMLMVTZRI-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)CCC1CC1 ZINC000797474963 630071695 /nfs/dbraw/zinc/07/16/95/630071695.db2.gz NYHQYDGIAQNAFX-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN CC[C@H](C)OC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000797492793 630074017 /nfs/dbraw/zinc/07/40/17/630074017.db2.gz BLTZKDDBKIOIPL-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN CC[C@H](C)COC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000797494303 630074022 /nfs/dbraw/zinc/07/40/22/630074022.db2.gz XJAIGZXEHGUGDF-JTQLQIEISA-N 0 0 281.308 2.871 20 5 CFBDRN CC[C@@H](C)COC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000797494304 630074131 /nfs/dbraw/zinc/07/41/31/630074131.db2.gz XJAIGZXEHGUGDF-SNVBAGLBSA-N 0 0 281.308 2.871 20 5 CFBDRN CCCCC(=O)OCc1cc([N+](=O)[O-])ccc1OC ZINC000797646935 630091915 /nfs/dbraw/zinc/09/19/15/630091915.db2.gz PDKUJMRBMMQKAX-UHFFFAOYSA-N 0 0 267.281 2.837 20 5 CFBDRN Cc1cc(C(=O)N2CC(C)=C[C@@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000797777192 630101651 /nfs/dbraw/zinc/10/16/51/630101651.db2.gz VWHMEEDXVWGQCV-SNVBAGLBSA-N 0 0 289.335 2.524 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000835914530 630154957 /nfs/dbraw/zinc/15/49/57/630154957.db2.gz UPWPXGOWFODFTN-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN Cc1cc([C@H](C)OC(=O)c2ccccc2[N+](=O)[O-])no1 ZINC000798239769 630171463 /nfs/dbraw/zinc/17/14/63/630171463.db2.gz GSELLPCSSUBRHJ-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN CC[C@](C)(COC(=O)c1cccc([N+](=O)[O-])c1)OC ZINC000835959536 630181486 /nfs/dbraw/zinc/18/14/86/630181486.db2.gz YPYMOYBFMZXOPK-CYBMUJFWSA-N 0 0 267.281 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)Nc2cncc(F)c2)cc1 ZINC000798373460 630182882 /nfs/dbraw/zinc/18/28/82/630182882.db2.gz BJKCOTFWKXKJSJ-UHFFFAOYSA-N 0 0 292.295 2.938 20 5 CFBDRN CCc1[nH]nc(C(=O)OC[C@H](C)CC(C)C)c1[N+](=O)[O-] ZINC000798653925 630223933 /nfs/dbraw/zinc/22/39/33/630223933.db2.gz PUPWQUIWBUJBDB-SECBINFHSA-N 0 0 283.328 2.719 20 5 CFBDRN C[C@@H](NCc1cnc(Cl)cn1)c1cccc([N+](=O)[O-])c1 ZINC000799155709 630281178 /nfs/dbraw/zinc/28/11/78/630281178.db2.gz RURFTVFFLQIKLV-SECBINFHSA-N 0 0 292.726 2.889 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H](O)c1ccc(F)cn1 ZINC000799617794 630324960 /nfs/dbraw/zinc/32/49/60/630324960.db2.gz WGOVLFHSOCLNSA-CYBMUJFWSA-N 0 0 292.266 2.550 20 5 CFBDRN Cc1ccc(OC[C@@H](O)c2ccc(F)cn2)c([N+](=O)[O-])c1 ZINC000799617097 630325118 /nfs/dbraw/zinc/32/51/18/630325118.db2.gz OBKMUYUATSBCBX-CYBMUJFWSA-N 0 0 292.266 2.550 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)c1csnn1 ZINC000836096593 630347281 /nfs/dbraw/zinc/34/72/81/630347281.db2.gz OVQWUWBCQBGKEJ-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN O=C(COc1ccsc1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000799862117 630348953 /nfs/dbraw/zinc/34/89/53/630348953.db2.gz VAPWCLMWKADTIB-UHFFFAOYSA-N 0 0 296.279 2.813 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000799861863 630348977 /nfs/dbraw/zinc/34/89/77/630348977.db2.gz LXKCLFVTDQZSNP-OPQQBVKSSA-N 0 0 279.292 2.622 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2csnn2)ccc1[N+](=O)[O-] ZINC000836100288 630360477 /nfs/dbraw/zinc/36/04/77/630360477.db2.gz HPOIZIPKQYWYHU-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NC1(C(F)F)CC1 ZINC000800336956 630372734 /nfs/dbraw/zinc/37/27/34/630372734.db2.gz MCEIXGMSEYZKLI-CMDGGOBGSA-N 0 0 296.273 2.912 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000800387196 630376721 /nfs/dbraw/zinc/37/67/21/630376721.db2.gz PECQBGNEPYQXRL-JOAULVNJSA-N 0 0 299.710 2.967 20 5 CFBDRN O=C(CSc1cccc([N+](=O)[O-])c1)OCC(F)(F)F ZINC000800839145 630410126 /nfs/dbraw/zinc/41/01/26/630410126.db2.gz WBKVDVTVNZXTET-UHFFFAOYSA-N 0 0 295.238 2.792 20 5 CFBDRN COc1cccc(CN(C)c2cc(N)ccc2[N+](=O)[O-])c1 ZINC000800845197 630410696 /nfs/dbraw/zinc/41/06/96/630410696.db2.gz FXSCPPQLPPECGT-UHFFFAOYSA-N 0 0 287.319 2.822 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1c1cc(N)ccc1[N+](=O)[O-] ZINC000800869631 630413243 /nfs/dbraw/zinc/41/32/43/630413243.db2.gz AGCZCCHFUOUIGW-VXNVDRBHSA-N 0 0 289.257 2.954 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H]1C[C@@H]1C(F)F)CC2 ZINC000800932342 630417549 /nfs/dbraw/zinc/41/75/49/630417549.db2.gz DWDLYTZNCWJCNB-UWVGGRQHSA-N 0 0 296.273 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1nccn1C1CC1 ZINC000839935605 630446113 /nfs/dbraw/zinc/44/61/13/630446113.db2.gz VTGKXNSOTHZTQJ-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN C[C@@H](CNC(=O)c1cc([N+](=O)[O-])c(F)cc1N)C(C)(C)C ZINC000801902076 630484565 /nfs/dbraw/zinc/48/45/65/630484565.db2.gz BFBVLWVQTXFUOA-QMMMGPOBSA-N 0 0 297.330 2.728 20 5 CFBDRN COc1ccc(OC(=O)/C=C\c2ccc[nH]2)c([N+](=O)[O-])c1 ZINC000802043997 630499362 /nfs/dbraw/zinc/49/93/62/630499362.db2.gz ABAIGJYLAJYRTF-DAXSKMNVSA-N 0 0 288.259 2.550 20 5 CFBDRN CSC1(COC(=O)Cc2cccc([N+](=O)[O-])c2C)CC1 ZINC000802840150 630554985 /nfs/dbraw/zinc/55/49/85/630554985.db2.gz RVZSNTWMHJKIBM-UHFFFAOYSA-N 0 0 295.360 2.885 20 5 CFBDRN CC1(C)CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])s2)C1=O ZINC000839980894 630557290 /nfs/dbraw/zinc/55/72/90/630557290.db2.gz AXINLRXPYMYZKY-QMMMGPOBSA-N 0 0 297.332 2.961 20 5 CFBDRN C/C(=C\C(=O)O[C@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000802900751 630562345 /nfs/dbraw/zinc/56/23/45/630562345.db2.gz WEWSIXRBSCCHSL-SLZMIMFISA-N 0 0 291.303 2.720 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])s2)C1=O ZINC000839984473 630570333 /nfs/dbraw/zinc/57/03/33/630570333.db2.gz OVTZSATULBORPU-SSDOTTSWSA-N 0 0 283.305 2.571 20 5 CFBDRN C[C@@H](CC1CCOCC1)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000803018453 630578831 /nfs/dbraw/zinc/57/88/31/630578831.db2.gz QMMHGCPMWDKYNV-NSHDSACASA-N 0 0 293.319 2.957 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000803029486 630579663 /nfs/dbraw/zinc/57/96/63/630579663.db2.gz LEWKBSKBQHVNLS-SUHUHFCYSA-N 0 0 292.335 2.587 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCCCCF ZINC000803228642 630592172 /nfs/dbraw/zinc/59/21/72/630592172.db2.gz PABNXGFSTSBFKG-VMPITWQZSA-N 0 0 267.256 2.901 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCCCCF ZINC000803228643 630592358 /nfs/dbraw/zinc/59/23/58/630592358.db2.gz PABNXGFSTSBFKG-YVMONPNESA-N 0 0 267.256 2.901 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1Cc2ccccc21 ZINC000803267297 630596760 /nfs/dbraw/zinc/59/67/60/630596760.db2.gz KGXZYKXJWSWNOK-LLVKDONJSA-N 0 0 298.298 2.674 20 5 CFBDRN O=C(OCCCCF)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000803293595 630599867 /nfs/dbraw/zinc/59/98/67/630599867.db2.gz MCQMYBIPXSLZSG-UHFFFAOYSA-N 0 0 280.255 2.983 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000803337138 630603210 /nfs/dbraw/zinc/60/32/10/630603210.db2.gz OSNTUIMQFRGPHV-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN Cc1ncoc1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803383539 630609826 /nfs/dbraw/zinc/60/98/26/630609826.db2.gz SYLHGJIVJOPACU-UHFFFAOYSA-N 0 0 298.201 2.526 20 5 CFBDRN O=C(OCc1ccncc1)c1c(F)ccc([N+](=O)[O-])c1F ZINC000803386555 630610517 /nfs/dbraw/zinc/61/05/17/630610517.db2.gz QXBFGKZVXKCJBI-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Nc1c(C(=O)OC[C@H]2Cc3ccccc32)cccc1[N+](=O)[O-] ZINC000803390819 630611283 /nfs/dbraw/zinc/61/12/83/630611283.db2.gz LVBDRRWGBZMFJV-LLVKDONJSA-N 0 0 298.298 2.674 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCCF ZINC000803418541 630615415 /nfs/dbraw/zinc/61/54/15/630615415.db2.gz GWMVPNRWWGYCPB-UHFFFAOYSA-N 0 0 255.245 2.646 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H]1Cc2ccccc21 ZINC000803422393 630616476 /nfs/dbraw/zinc/61/64/76/630616476.db2.gz KJDMYBBKEUSXAK-NSHDSACASA-N 0 0 298.298 2.674 20 5 CFBDRN O=C(OCCF)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000803433502 630617558 /nfs/dbraw/zinc/61/75/58/630617558.db2.gz OLVUVJGEIZJIGZ-UHFFFAOYSA-N 0 0 281.161 2.740 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCCCCF)c1F ZINC000803460484 630620309 /nfs/dbraw/zinc/62/03/09/630620309.db2.gz BDDWVLJBTWORIF-UHFFFAOYSA-N 0 0 273.235 2.949 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H]2CCCCC2=O)c1F ZINC000803461380 630620445 /nfs/dbraw/zinc/62/04/45/630620445.db2.gz VFBZWLGTIBHTRP-LBPRGKRZSA-N 0 0 295.266 2.711 20 5 CFBDRN C[C@H](C(=O)OCCCCF)c1cccc([N+](=O)[O-])c1 ZINC000803474399 630621478 /nfs/dbraw/zinc/62/14/78/630621478.db2.gz XHDKLDZSRPYBSX-JTQLQIEISA-N 0 0 269.272 2.991 20 5 CFBDRN COc1c(C(=O)OCCSC(C)C)cccc1[N+](=O)[O-] ZINC000803516712 630625973 /nfs/dbraw/zinc/62/59/73/630625973.db2.gz ZQICWJTXFJYYTP-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN CCC(=O)OCc1cccc([N+](=O)[O-])c1Br ZINC000840012190 630631990 /nfs/dbraw/zinc/63/19/90/630631990.db2.gz PIZPFYXNGMNHPV-UHFFFAOYSA-N 0 0 288.097 2.811 20 5 CFBDRN CCc1[nH]ccc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000803776854 630642029 /nfs/dbraw/zinc/64/20/29/630642029.db2.gz CAVGYVBNOBSPGR-UHFFFAOYSA-N 0 0 288.303 2.885 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000803888271 630652115 /nfs/dbraw/zinc/65/21/15/630652115.db2.gz SHCNRCUVJDBBRS-YPMHNXCESA-N 0 0 297.282 2.592 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000804297097 630669231 /nfs/dbraw/zinc/66/92/31/630669231.db2.gz DPRHTAYXZCJCAA-PWSUYJOCSA-N 0 0 290.319 2.618 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCc1cccnc1 ZINC000804315433 630670792 /nfs/dbraw/zinc/67/07/92/630670792.db2.gz KWWRAAZYSVTMSG-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1C2CCC1CC2 ZINC000804340935 630673987 /nfs/dbraw/zinc/67/39/87/630673987.db2.gz PVOGXPNANDGMMS-UHFFFAOYSA-N 0 0 263.253 2.634 20 5 CFBDRN COc1ccc(CNc2ccc(N)cc2[N+](=O)[O-])cc1O ZINC000804354298 630674981 /nfs/dbraw/zinc/67/49/81/630674981.db2.gz JTEYBPKRNPHBCL-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCCNc1cnccn1 ZINC000804372328 630676861 /nfs/dbraw/zinc/67/68/61/630676861.db2.gz PVSGXTWJHMUPIB-UHFFFAOYSA-N 0 0 293.714 2.562 20 5 CFBDRN CCCCOCCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804387288 630678400 /nfs/dbraw/zinc/67/84/00/630678400.db2.gz UORNRWFTHYJRAH-UHFFFAOYSA-N 0 0 283.284 2.732 20 5 CFBDRN CC[C@@H](C)CN(C)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804445525 630681832 /nfs/dbraw/zinc/68/18/32/630681832.db2.gz HVWXXZWPUASFKC-SECBINFHSA-N 0 0 267.285 2.985 20 5 CFBDRN CC(C)OC1CCN(c2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000804443152 630681989 /nfs/dbraw/zinc/68/19/89/630681989.db2.gz UKEKSUAKDRLCLE-UHFFFAOYSA-N 0 0 279.340 2.571 20 5 CFBDRN CCc1nncn1CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804522369 630689297 /nfs/dbraw/zinc/68/92/97/630689297.db2.gz YNGZNGSAQIVAKM-UHFFFAOYSA-N 0 0 295.730 2.514 20 5 CFBDRN CC[C@@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCS1 ZINC000804524826 630689945 /nfs/dbraw/zinc/68/99/45/630689945.db2.gz WDUYRROAQVPUSP-SECBINFHSA-N 0 0 297.336 2.835 20 5 CFBDRN C[C@@H](Cc1ccncc1)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000804535654 630690992 /nfs/dbraw/zinc/69/09/92/630690992.db2.gz NCJHYIHMRBBRNM-JTQLQIEISA-N 0 0 272.308 2.615 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1Oc1cccnc1F ZINC000804539061 630691574 /nfs/dbraw/zinc/69/15/74/630691574.db2.gz APLUFGHWGFZRSR-UHFFFAOYSA-N 0 0 279.183 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC(n2ccnc2)C1 ZINC000804545870 630692024 /nfs/dbraw/zinc/69/20/24/630692024.db2.gz GITIEUOAQOKXGC-UHFFFAOYSA-N 0 0 278.699 2.506 20 5 CFBDRN Cc1ncc(CCNc2ccc(N)cc2[N+](=O)[O-])s1 ZINC000804563248 630693165 /nfs/dbraw/zinc/69/31/65/630693165.db2.gz ULZBNIZURMGULY-UHFFFAOYSA-N 0 0 278.337 2.597 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000804581675 630759316 /nfs/dbraw/zinc/75/93/16/630759316.db2.gz LGROYLGEADDCEO-UHFFFAOYSA-N 0 0 280.324 2.953 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CCC=C(F)C1 ZINC000804600255 630762987 /nfs/dbraw/zinc/76/29/87/630762987.db2.gz YVENDEQJPUQCQE-UHFFFAOYSA-N 0 0 267.216 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@@H](O)c1ccccn1 ZINC000804612928 630764941 /nfs/dbraw/zinc/76/49/41/630764941.db2.gz FLJIHQJVUBIPOF-CYBMUJFWSA-N 0 0 293.710 2.789 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])[C@H]1C ZINC000804646262 630768339 /nfs/dbraw/zinc/76/83/39/630768339.db2.gz VUXSKMKJHOPNHV-WCBMZHEXSA-N 0 0 298.726 2.636 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NCC2CC2)cc1Cl ZINC000836285320 630784989 /nfs/dbraw/zinc/78/49/89/630784989.db2.gz BPDPAPORDMGNFP-UHFFFAOYSA-N 0 0 268.700 2.696 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H]2C[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000806454796 630803434 /nfs/dbraw/zinc/80/34/34/630803434.db2.gz OQVKIWOKVZSIQU-SUHUHFCYSA-N 0 0 289.335 2.850 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(-c3cccnc3F)n2)c1 ZINC000806653323 630827844 /nfs/dbraw/zinc/82/78/44/630827844.db2.gz BNUGORQVKBJUSS-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN C[C@H](NC(=O)N1CC=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000836500192 630835982 /nfs/dbraw/zinc/83/59/82/630835982.db2.gz ABUGEHAKHLNJSK-NSHDSACASA-N 0 0 275.308 2.627 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CC2(CCC2)[C@H]1c1ccccc1 ZINC000840080435 630850876 /nfs/dbraw/zinc/85/08/76/630850876.db2.gz QZPOMICBPQXUTC-OAHLLOKOSA-N 0 0 298.346 2.976 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CC[C@@H](F)C1 ZINC000836598597 630856755 /nfs/dbraw/zinc/85/67/55/630856755.db2.gz CAPKSLHLNZREMB-SSDOTTSWSA-N 0 0 287.678 2.824 20 5 CFBDRN CCCCCCOC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000102505622 630857104 /nfs/dbraw/zinc/85/71/04/630857104.db2.gz UKHHHJPVLREUIU-UHFFFAOYSA-N 0 0 297.355 2.922 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CC(C)(F)C2)cc1Cl ZINC000836785435 630882488 /nfs/dbraw/zinc/88/24/88/630882488.db2.gz PEUXINOSIAJDHY-UHFFFAOYSA-N 0 0 286.690 2.741 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NOCC2CC2)cc1Cl ZINC000836791142 630883341 /nfs/dbraw/zinc/88/33/41/630883341.db2.gz YUFUGNWJUKVJCM-UHFFFAOYSA-N 0 0 284.699 2.628 20 5 CFBDRN CS[C@@H]1CCN(C(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000836796606 630885203 /nfs/dbraw/zinc/88/52/03/630885203.db2.gz SCTAOTRVAYGJLY-SNVBAGLBSA-N 0 0 298.339 2.620 20 5 CFBDRN CC/C=C\CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000836812366 630889423 /nfs/dbraw/zinc/88/94/23/630889423.db2.gz PBHCNHLBKYYOPT-PLNGDYQASA-N 0 0 266.272 2.738 20 5 CFBDRN C/C(=C\C(=O)N1CC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000836820523 630891308 /nfs/dbraw/zinc/89/13/08/630891308.db2.gz CJVXABAFFOCPOS-ZJNQMXKESA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1ccc(F)c(CCNC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000836914117 630914666 /nfs/dbraw/zinc/91/46/66/630914666.db2.gz AXKHLOXQEXZKDC-UHFFFAOYSA-N 0 0 296.342 2.628 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N2CCCCCCCC2)n1 ZINC000836924234 630918108 /nfs/dbraw/zinc/91/81/08/630918108.db2.gz CCVRGLJKEKKYPH-UHFFFAOYSA-N 0 0 294.355 2.608 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H](O)c1ccco1 ZINC000807924996 630922591 /nfs/dbraw/zinc/92/25/91/630922591.db2.gz CXWVKHQCTQNRSG-ZDUSSCGKSA-N 0 0 290.275 2.536 20 5 CFBDRN CCOC1CC(N(C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000808087895 630949267 /nfs/dbraw/zinc/94/92/67/630949267.db2.gz ZJOCHFANSQEREG-UHFFFAOYSA-N 0 0 268.288 2.738 20 5 CFBDRN CCC1CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000837258153 630987751 /nfs/dbraw/zinc/98/77/51/630987751.db2.gz IYIJNWFYIBLRRN-UHFFFAOYSA-N 0 0 284.262 2.555 20 5 CFBDRN CCC/C(C)=C\C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000808794238 631049338 /nfs/dbraw/zinc/04/93/38/631049338.db2.gz HOUHFIXBEDVNGE-NTMALXAHSA-N 0 0 263.297 2.699 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000809300198 631106433 /nfs/dbraw/zinc/10/64/33/631106433.db2.gz ANGVQQPAPQSJEO-LURJTMIESA-N 0 0 294.204 2.723 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000837742062 631120732 /nfs/dbraw/zinc/12/07/32/631120732.db2.gz QHTRJXOZYQYVBH-JTQLQIEISA-N 0 0 293.319 2.783 20 5 CFBDRN CC(C)(C)OCCCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000855700931 635619088 /nfs/dbraw/zinc/61/90/88/635619088.db2.gz AUERMPNVVCIZTA-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN COc1ccc(COC(=O)CSC2CC2)cc1[N+](=O)[O-] ZINC000837872524 631146164 /nfs/dbraw/zinc/14/61/64/631146164.db2.gz PBGWMOUGKQQWNF-UHFFFAOYSA-N 0 0 297.332 2.542 20 5 CFBDRN Cc1ccc(C(=O)COC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000837924224 631151541 /nfs/dbraw/zinc/15/15/41/631151541.db2.gz RLPQEMWMEVUVEL-UHFFFAOYSA-N 0 0 293.319 2.556 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CC1(F)F ZINC000837982534 631157917 /nfs/dbraw/zinc/15/79/17/631157917.db2.gz WHWIIQHFQYOGDM-MRVPVSSYSA-N 0 0 291.637 2.947 20 5 CFBDRN O=C(OCC(F)F)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840655806 631173249 /nfs/dbraw/zinc/17/32/49/631173249.db2.gz MWNHNYKOSYFVJS-VHSXEESVSA-N 0 0 271.219 2.507 20 5 CFBDRN CCOCCCOC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840656956 631173524 /nfs/dbraw/zinc/17/35/24/631173524.db2.gz XWTUBYMMXOJJBC-UONOGXRCSA-N 0 0 293.319 2.668 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1Cl ZINC000840673302 631174157 /nfs/dbraw/zinc/17/41/57/631174157.db2.gz YBHVKQHPRXKYKC-JVUFJMBOSA-N 0 0 269.684 2.609 20 5 CFBDRN Cc1ccc(SCCOC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1C ZINC000840672938 631174235 /nfs/dbraw/zinc/17/42/35/631174235.db2.gz PBUMGBKPCGSERI-CHWSQXEVSA-N 0 0 295.360 2.604 20 5 CFBDRN CC(C)[C@@H](C)NC(=O)CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000042471217 649999084 /nfs/dbraw/zinc/99/90/84/649999084.db2.gz JHCNYMWOELGLJC-SECBINFHSA-N 0 0 299.758 2.821 20 5 CFBDRN Cc1cc(CNCc2cc([N+](=O)[O-])ccc2Cl)nn1C ZINC000840905772 631257935 /nfs/dbraw/zinc/25/79/35/631257935.db2.gz SJMPXKWVDKNGTK-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN Cc1c(OS(=O)(=O)CCC2CCC2)cccc1[N+](=O)[O-] ZINC000809809508 631293165 /nfs/dbraw/zinc/29/31/65/631293165.db2.gz PHFOACIFJAWYFD-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OS(=O)(=O)CCC1CCC1 ZINC000809828625 631294228 /nfs/dbraw/zinc/29/42/28/631294228.db2.gz PEZQNEKUTPUGPL-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN Cc1cc(C)c(NC(=O)[C@@H]2CC2[N+](=O)[O-])c(Cl)c1 ZINC000841007982 631304705 /nfs/dbraw/zinc/30/47/05/631304705.db2.gz VZYYUZNHLVPNDX-PSASIEDQSA-N 0 0 268.700 2.561 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000841016418 631306592 /nfs/dbraw/zinc/30/65/92/631306592.db2.gz VQNFZTFABOBHDV-GFCCVEGCSA-N 0 0 284.287 2.734 20 5 CFBDRN C[C@H]1Sc2ccccc2N(C(=O)[C@@H]2CC2[N+](=O)[O-])[C@H]1C ZINC000841141607 631347015 /nfs/dbraw/zinc/34/70/15/631347015.db2.gz NTEPNHYIHGKXHK-BTQIBKBOSA-N 0 0 292.360 2.568 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCSC1 ZINC000810541306 631386484 /nfs/dbraw/zinc/38/64/84/631386484.db2.gz WOCQGZGBAQZNIF-LLVKDONJSA-N 0 0 281.333 2.781 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])c(OC(=O)OCCCF)c1 ZINC000841499995 631418193 /nfs/dbraw/zinc/41/81/93/631418193.db2.gz DZWCCCYLYQSQHG-UHFFFAOYSA-N 0 0 286.259 2.536 20 5 CFBDRN CO[C@H](COc1ccc(C=O)cc1[N+](=O)[O-])CC(C)C ZINC000841666285 631448270 /nfs/dbraw/zinc/44/82/70/631448270.db2.gz IDRUIKMHTZYDIX-LBPRGKRZSA-N 0 0 281.308 2.847 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000121514581 631450375 /nfs/dbraw/zinc/45/03/75/631450375.db2.gz GNJJPKICFGGAMQ-YPMHNXCESA-N 0 0 295.339 2.693 20 5 CFBDRN Cc1ncccc1COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000810883542 631467534 /nfs/dbraw/zinc/46/75/34/631467534.db2.gz MDLBVRUHFNKWIE-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1ccccc1[N+](=O)[O-] ZINC000811033645 631501959 /nfs/dbraw/zinc/50/19/59/631501959.db2.gz OPOPLEZQXJVKNM-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN Nc1ccc(OC[C@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000842027083 631505441 /nfs/dbraw/zinc/50/54/41/631505441.db2.gz XHBUAASGBKBZGI-QMMMGPOBSA-N 0 0 272.251 2.991 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)O[C@H]1CCCC[C@@H]1F ZINC000811057520 631507310 /nfs/dbraw/zinc/50/73/10/631507310.db2.gz UQKPRVKCRJBUTR-STQMWFEESA-N 0 0 297.282 2.798 20 5 CFBDRN COCC1(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000811058419 631508136 /nfs/dbraw/zinc/50/81/36/631508136.db2.gz NGMPVQFKJNTAPR-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN CO[C@H](CNc1cc(N)ccc1[N+](=O)[O-])CC(C)C ZINC000842047038 631512548 /nfs/dbraw/zinc/51/25/48/631512548.db2.gz MNDOGZJFPLNPBI-NSHDSACASA-N 0 0 267.329 2.650 20 5 CFBDRN CC[C@@H](COC(=O)CSc1ccccc1[N+](=O)[O-])OC ZINC000842055235 631515539 /nfs/dbraw/zinc/51/55/39/631515539.db2.gz VSICHFJTINUXJU-JTQLQIEISA-N 0 0 299.348 2.655 20 5 CFBDRN CO[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1F)CC(C)C ZINC000842067563 631522285 /nfs/dbraw/zinc/52/22/85/631522285.db2.gz SFLNCJVQTJYJBJ-LLVKDONJSA-N 0 0 299.298 2.952 20 5 CFBDRN COC1(COC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCC1 ZINC000811169984 631527570 /nfs/dbraw/zinc/52/75/70/631527570.db2.gz BPLULZCKHPRFPX-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN COC1(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCC1 ZINC000811174619 631529626 /nfs/dbraw/zinc/52/96/26/631529626.db2.gz SOEJWGPAGXIMOG-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN CO[C@H](COC(=O)c1ccc(F)cc1[N+](=O)[O-])CC(C)C ZINC000842083162 631530505 /nfs/dbraw/zinc/53/05/05/631530505.db2.gz KUKNVWZTOIYHBJ-NSHDSACASA-N 0 0 299.298 2.952 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1=O ZINC000840228571 631535888 /nfs/dbraw/zinc/53/58/88/631535888.db2.gz QGBILUDMOGVMCW-NSHDSACASA-N 0 0 295.266 2.648 20 5 CFBDRN CC[C@H](COC(=O)c1cccc(SC)c1[N+](=O)[O-])OC ZINC000842091608 631535863 /nfs/dbraw/zinc/53/58/63/631535863.db2.gz OMKJYRGHWGOZKD-SECBINFHSA-N 0 0 299.348 2.899 20 5 CFBDRN CC[C@H](COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)OC ZINC000842102998 631542255 /nfs/dbraw/zinc/54/22/55/631542255.db2.gz BORMVRMVUCYXBA-HZSPNIEDSA-N 0 0 293.319 2.667 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)cc1Cl ZINC000842303821 631583889 /nfs/dbraw/zinc/58/38/89/631583889.db2.gz KWHHRVFSMUXKQG-PTHKFVFGSA-N 0 0 287.674 2.749 20 5 CFBDRN O=C(O[C@@H]1C=CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842331296 631595581 /nfs/dbraw/zinc/59/55/81/631595581.db2.gz RXDNJKHOFBMVQO-HZSPNIEDSA-N 0 0 273.288 2.960 20 5 CFBDRN Nc1ccc(N2CCNc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000811725719 631613201 /nfs/dbraw/zinc/61/32/01/631613201.db2.gz IZQFBNTZQKHCNI-UHFFFAOYSA-N 0 0 284.319 2.609 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1cccc(F)c1[N+](=O)[O-] ZINC000811815643 631627566 /nfs/dbraw/zinc/62/75/66/631627566.db2.gz WVXVEXZWZLLZJT-UWVGGRQHSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@H](Cc1ccco1)Nc1ncc([N+](=O)[O-])cc1F ZINC000840242536 631643668 /nfs/dbraw/zinc/64/36/68/631643668.db2.gz WIIHEHGHOBSSKH-MRVPVSSYSA-N 0 0 265.244 2.765 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(C2(c3ccccc3)CCC2)no1 ZINC000842496899 631646142 /nfs/dbraw/zinc/64/61/42/631646142.db2.gz BCOIGVHJAWAZDE-VXGBXAGGSA-N 0 0 285.303 2.672 20 5 CFBDRN C[C@@H](c1noc([C@@H]2CC2[N+](=O)[O-])n1)c1c(F)cccc1F ZINC000842497022 631646204 /nfs/dbraw/zinc/64/62/04/631646204.db2.gz YSAWKBRKXFMSAM-BRDIYROLSA-N 0 0 295.245 2.632 20 5 CFBDRN CC1(COc2c(F)c(F)c([N+](=O)[O-])c(F)c2F)COC1 ZINC000842663868 631696637 /nfs/dbraw/zinc/69/66/37/631696637.db2.gz MEQCBDGGPPXSOQ-UHFFFAOYSA-N 0 0 295.188 2.567 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@@H]1CCC(=O)C1 ZINC000842722950 631717677 /nfs/dbraw/zinc/71/76/77/631717677.db2.gz SVXNSFVOZKATGI-MRVPVSSYSA-N 0 0 297.694 2.831 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C(F)F)C2)c(F)cc1[N+](=O)[O-] ZINC000812475721 631723146 /nfs/dbraw/zinc/72/31/46/631723146.db2.gz XWBUDUZKLHZSKG-LLVKDONJSA-N 0 0 290.241 2.513 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCCCF)c1 ZINC000812479526 631723956 /nfs/dbraw/zinc/72/39/56/631723956.db2.gz GDZRPKNBODAVGZ-UHFFFAOYSA-N 0 0 260.240 2.904 20 5 CFBDRN CCN(c1ccc2ncccc2c1[N+](=O)[O-])[C@H]1CCOC1 ZINC000812484515 631724948 /nfs/dbraw/zinc/72/49/48/631724948.db2.gz JHAUQJOWDQKVSZ-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccc(NOCCOC(C)C)c([N+](=O)[O-])c1 ZINC000812488588 631725292 /nfs/dbraw/zinc/72/52/92/631725292.db2.gz HOQKWBOGSGLMBJ-UHFFFAOYSA-N 0 0 254.286 2.672 20 5 CFBDRN CCC(=O)c1ccc(N(CC)[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000812484714 631725392 /nfs/dbraw/zinc/72/53/92/631725392.db2.gz MQDHRUVNUDCCBQ-GFCCVEGCSA-N 0 0 292.335 2.803 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC(C2CC2)C1 ZINC000812654220 631752486 /nfs/dbraw/zinc/75/24/86/631752486.db2.gz YCEPVTXKIIFFGG-UHFFFAOYSA-N 0 0 280.711 2.730 20 5 CFBDRN CC[C@H]1C[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCO1 ZINC000812812868 631788410 /nfs/dbraw/zinc/78/84/10/631788410.db2.gz VUZPUVNKTYOEEI-QWRGUYRKSA-N 0 0 297.355 2.730 20 5 CFBDRN COCCC[C@@H](C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812811355 631788861 /nfs/dbraw/zinc/78/88/61/631788861.db2.gz SEIKXUBYNFWMAI-SNVBAGLBSA-N 0 0 285.344 2.588 20 5 CFBDRN CC(C)(CCc1noc(CSC(F)(F)F)n1)[N+](=O)[O-] ZINC000812814393 631789528 /nfs/dbraw/zinc/78/95/28/631789528.db2.gz WOUQEIPJLNSHGN-UHFFFAOYSA-N 0 0 299.274 2.811 20 5 CFBDRN CC[C@@H](C)Cc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812814493 631789822 /nfs/dbraw/zinc/78/98/22/631789822.db2.gz YPKBJJCZZWTIKM-SECBINFHSA-N 0 0 255.318 2.646 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000812915158 631806766 /nfs/dbraw/zinc/80/67/66/631806766.db2.gz BUOLJSKXWQRBAM-QWRGUYRKSA-N 0 0 281.308 2.727 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H](C)[C@@H](C)COC)c([N+](=O)[O-])c1 ZINC000813068927 631824443 /nfs/dbraw/zinc/82/44/43/631824443.db2.gz BBAGPZBBQWNJMU-QWRGUYRKSA-N 0 0 295.335 2.981 20 5 CFBDRN C[C@@H](COC(=O)/C=C/c1ccc(Cl)s1)[N+](=O)[O-] ZINC000813103378 631838063 /nfs/dbraw/zinc/83/80/63/631838063.db2.gz POOQYHLUEMJUBI-MZTFZBDOSA-N 0 0 275.713 2.623 20 5 CFBDRN C[C@H](COC(=O)c1csc2c1CC[C@H](C)C2)[N+](=O)[O-] ZINC000813102775 631838814 /nfs/dbraw/zinc/83/88/14/631838814.db2.gz VHEHDUZHYJVFLL-DTWKUNHWSA-N 0 0 283.349 2.695 20 5 CFBDRN CCc1ccc2occ(C(=O)OC[C@@H](C)[N+](=O)[O-])c2c1 ZINC000813104834 631839583 /nfs/dbraw/zinc/83/95/83/631839583.db2.gz SEVKPGKYAUXFAN-SECBINFHSA-N 0 0 277.276 2.817 20 5 CFBDRN C[C@H](COC(=O)CCC(C)(C)c1ccccc1)[N+](=O)[O-] ZINC000813105141 631840045 /nfs/dbraw/zinc/84/00/45/631840045.db2.gz JXWVIGRXZSUTCJ-GFCCVEGCSA-N 0 0 279.336 2.953 20 5 CFBDRN CC[C@H](C(=O)OC[C@@H](C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000813104241 631840294 /nfs/dbraw/zinc/84/02/94/631840294.db2.gz KQHHGGFWHNILRP-SKDRFNHKSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@H](COC(=O)c1ccc(-c2ccco2)s1)[N+](=O)[O-] ZINC000813107280 631841164 /nfs/dbraw/zinc/84/11/64/631841164.db2.gz OKGIRQRRTWHLAU-MRVPVSSYSA-N 0 0 281.289 2.830 20 5 CFBDRN C[C@H](COC(=O)Cc1cc(Cl)ccc1Cl)[N+](=O)[O-] ZINC000813106586 631841320 /nfs/dbraw/zinc/84/13/20/631841320.db2.gz FOGMPRYIAZNYFX-SSDOTTSWSA-N 0 0 292.118 2.744 20 5 CFBDRN C[C@H](CC(=O)OC[C@@H](C)[N+](=O)[O-])c1cccc(F)c1 ZINC000813106858 631841580 /nfs/dbraw/zinc/84/15/80/631841580.db2.gz IVHXUMAQYOBTDJ-NXEZZACHSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@@H](COC(=O)c1ccccc1COC(C)(C)C)[N+](=O)[O-] ZINC000813107275 631842263 /nfs/dbraw/zinc/84/22/63/631842263.db2.gz OHJZXHTWGAUKHS-NSHDSACASA-N 0 0 295.335 2.824 20 5 CFBDRN Cc1ccc(OCCCC(=O)OC[C@@H](C)[N+](=O)[O-])c(C)c1 ZINC000813109824 631843158 /nfs/dbraw/zinc/84/31/58/631843158.db2.gz WSVWERFBTOFPPA-CYBMUJFWSA-N 0 0 295.335 2.671 20 5 CFBDRN CC(C)c1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813110407 631843460 /nfs/dbraw/zinc/84/34/60/631843460.db2.gz ZVIRLMOBFUGHKA-SNVBAGLBSA-N 0 0 251.282 2.632 20 5 CFBDRN C[C@@H](COC(=O)CCc1ccc(Cl)s1)[N+](=O)[O-] ZINC000813112481 631844347 /nfs/dbraw/zinc/84/43/47/631844347.db2.gz QOSMRAHDKPNFBH-ZETCQYMHSA-N 0 0 277.729 2.543 20 5 CFBDRN CCCc1cc(C(=O)OC[C@H](C)[N+](=O)[O-])sc1C ZINC000813113644 631844677 /nfs/dbraw/zinc/84/46/77/631844677.db2.gz SAVWHZNBTZULBL-QMMMGPOBSA-N 0 0 271.338 2.831 20 5 CFBDRN CC[C@@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(OC)cc1 ZINC000813113376 631845061 /nfs/dbraw/zinc/84/50/61/631845061.db2.gz ZVHQHVXFDRCTIL-RYUDHWBXSA-N 0 0 295.335 2.787 20 5 CFBDRN CCc1ccc([C@H](C)C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813110954 631845124 /nfs/dbraw/zinc/84/51/24/631845124.db2.gz MUVKNWXHEZPWMI-QWRGUYRKSA-N 0 0 265.309 2.561 20 5 CFBDRN C[C@@H](COC(=O)C1(c2ccccc2F)CCCC1)[N+](=O)[O-] ZINC000813114739 631845860 /nfs/dbraw/zinc/84/58/60/631845860.db2.gz GHGVCDKSANZQFS-NSHDSACASA-N 0 0 295.310 2.846 20 5 CFBDRN C[C@H](COC(=O)CCc1ccc2ccccc2c1)[N+](=O)[O-] ZINC000813115385 631846411 /nfs/dbraw/zinc/84/64/11/631846411.db2.gz QPKCLYKHDGLNTI-GFCCVEGCSA-N 0 0 287.315 2.981 20 5 CFBDRN C[C@@H](COC(=O)Cc1c(Cl)cccc1Cl)[N+](=O)[O-] ZINC000813115748 631846465 /nfs/dbraw/zinc/84/64/65/631846465.db2.gz ZBKOLWHLVOPQKT-ZETCQYMHSA-N 0 0 292.118 2.744 20 5 CFBDRN CC[C@H](C)c1ccccc1OCC(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813114182 631846677 /nfs/dbraw/zinc/84/66/77/631846677.db2.gz ZRTISDFUMQOFFI-NWDGAFQWSA-N 0 0 295.335 2.787 20 5 CFBDRN Cn1c(C(=O)OCCCCC2CC2)ccc1[N+](=O)[O-] ZINC000813179171 631872728 /nfs/dbraw/zinc/87/27/28/631872728.db2.gz CVPFGKBMLZYWAZ-UHFFFAOYSA-N 0 0 266.297 2.670 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OCCCCC1CC1 ZINC000813179676 631872973 /nfs/dbraw/zinc/87/29/73/631872973.db2.gz SUNFLDKLQDYOJP-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC2CC(C)C2)c1 ZINC000813230795 631887594 /nfs/dbraw/zinc/88/75/94/631887594.db2.gz IKOZCFFSLAQMFQ-UHFFFAOYSA-N 0 0 265.265 2.559 20 5 CFBDRN COc1cc(C(=O)OC2CC(C)C2)cc([N+](=O)[O-])c1C ZINC000813232775 631889107 /nfs/dbraw/zinc/88/91/07/631889107.db2.gz JVVOOPQAEAHDJZ-UHFFFAOYSA-N 0 0 279.292 2.867 20 5 CFBDRN O=C(NCCF)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000813237645 631889627 /nfs/dbraw/zinc/88/96/27/631889627.db2.gz MZUDWPVDULEJIM-UHFFFAOYSA-N 0 0 281.070 2.601 20 5 CFBDRN COc1cccc(C(=O)OC2CC(C)C2)c1[N+](=O)[O-] ZINC000813235155 631890213 /nfs/dbraw/zinc/89/02/13/631890213.db2.gz YQMQYHMJUNENOS-UHFFFAOYSA-N 0 0 265.265 2.559 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccncc1 ZINC000813246058 631890891 /nfs/dbraw/zinc/89/08/91/631890891.db2.gz VSUWAURNCXOEHE-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1CCSC1 ZINC000813245484 631890995 /nfs/dbraw/zinc/89/09/95/631890995.db2.gz SBMNKBARZTVHNC-SSDOTTSWSA-N 0 0 286.740 2.940 20 5 CFBDRN Cc1nc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)co1 ZINC000813245305 631891056 /nfs/dbraw/zinc/89/10/56/631891056.db2.gz PFHJCARCQVHGDN-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN Cc1cc(-c2noc([C@@H](N)CC(F)F)n2)cc([N+](=O)[O-])c1 ZINC000843240443 631911433 /nfs/dbraw/zinc/91/14/33/631911433.db2.gz WQWHKZXPPSHZRO-VIFPVBQESA-N 0 0 298.249 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CCC[C@@H]1CCOC1 ZINC000843296515 631921223 /nfs/dbraw/zinc/92/12/23/631921223.db2.gz MPJPHVNNJRCSIZ-GFCCVEGCSA-N 0 0 276.336 2.774 20 5 CFBDRN COCC(C)(C)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000813625422 631942893 /nfs/dbraw/zinc/94/28/93/631942893.db2.gz BNDJVOHQRFVIJU-UHFFFAOYSA-N 0 0 283.284 2.588 20 5 CFBDRN CC[C@@H](C)CONC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000813734043 631955233 /nfs/dbraw/zinc/95/52/33/631955233.db2.gz QAPYLQMPXGJEQM-SNVBAGLBSA-N 0 0 295.339 2.680 20 5 CFBDRN CC[C@H](C)CONC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000813822174 631962069 /nfs/dbraw/zinc/96/20/69/631962069.db2.gz GQNNYHYORPQJBQ-VIFPVBQESA-N 0 0 267.285 2.694 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C1(C(F)F)CC1 ZINC000843515855 631972830 /nfs/dbraw/zinc/97/28/30/631972830.db2.gz RSSMYHJABBJJFJ-UHFFFAOYSA-N 0 0 257.192 2.546 20 5 CFBDRN COCC(C)(C)COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000813993352 631987347 /nfs/dbraw/zinc/98/73/47/631987347.db2.gz ULHQYTZVBDMWFD-UHFFFAOYSA-N 0 0 295.335 2.662 20 5 CFBDRN Cc1cc(NC(=O)C2(C(F)F)CC2)ccc1[N+](=O)[O-] ZINC000843574127 631992951 /nfs/dbraw/zinc/99/29/51/631992951.db2.gz OJNJSQFDGPRKNY-UHFFFAOYSA-N 0 0 270.235 2.887 20 5 CFBDRN Cc1c(CNC(=O)C2(C(F)F)CC2)cccc1[N+](=O)[O-] ZINC000843584830 631996363 /nfs/dbraw/zinc/99/63/63/631996363.db2.gz QVHKKZWHOSJDLD-UHFFFAOYSA-N 0 0 284.262 2.565 20 5 CFBDRN Cc1ccc(N(C)C(C)C)c(C(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000814040564 631998729 /nfs/dbraw/zinc/99/87/29/631998729.db2.gz JBHWYOYYKNZMBD-GFCCVEGCSA-N 0 0 294.351 2.662 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(c2ccc(F)cc2)CCCC1 ZINC000814042428 631999306 /nfs/dbraw/zinc/99/93/06/631999306.db2.gz KKVOWSPMVSBNMY-NSHDSACASA-N 0 0 295.310 2.846 20 5 CFBDRN C[C@H](Cc1ccccc1Cl)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814041563 631999567 /nfs/dbraw/zinc/99/95/67/631999567.db2.gz QMWXOZBLKJBKLA-ZJUUUORDSA-N 0 0 285.727 2.727 20 5 CFBDRN CC(C)[C@@H](C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814044013 632000313 /nfs/dbraw/zinc/00/03/13/632000313.db2.gz NCWMQIGDQJBNCD-WCQYABFASA-N 0 0 265.309 2.635 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccccc1COC(C)(C)C ZINC000814043315 632000660 /nfs/dbraw/zinc/00/06/60/632000660.db2.gz CRZWJACMQLEXRG-NSHDSACASA-N 0 0 295.335 2.824 20 5 CFBDRN Cc1ccc(-c2nc(C(=O)O[C@H](C)C[N+](=O)[O-])cs2)o1 ZINC000814044632 632000678 /nfs/dbraw/zinc/00/06/78/632000678.db2.gz SUAUCYQPLDZVKD-MRVPVSSYSA-N 0 0 296.304 2.534 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCCCC(=O)c1ccccc1 ZINC000814048572 632000909 /nfs/dbraw/zinc/00/09/09/632000909.db2.gz VADXEDQIMRYPCT-GFCCVEGCSA-N 0 0 293.319 2.638 20 5 CFBDRN Cc1ccc(CCCCC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814045233 632001167 /nfs/dbraw/zinc/00/11/67/632001167.db2.gz ZNJKMZJZBUMIGU-ZDUSSCGKSA-N 0 0 279.336 2.916 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1coc(C2CCCCC2)n1 ZINC000814047781 632001224 /nfs/dbraw/zinc/00/12/24/632001224.db2.gz XLQHXIVTIDHTKT-VIFPVBQESA-N 0 0 282.296 2.544 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCCCC(=O)c1ccccc1 ZINC000814048573 632001251 /nfs/dbraw/zinc/00/12/51/632001251.db2.gz VADXEDQIMRYPCT-LBPRGKRZSA-N 0 0 293.319 2.638 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCSc1ccc(F)cc1 ZINC000814046873 632001257 /nfs/dbraw/zinc/00/12/57/632001257.db2.gz POHYOAYFJWDDID-SECBINFHSA-N 0 0 287.312 2.516 20 5 CFBDRN CCc1noc(NCc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000814255481 632046412 /nfs/dbraw/zinc/04/64/12/632046412.db2.gz PUVQZFZHJSMHBH-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCCCC1 ZINC000843750017 632049640 /nfs/dbraw/zinc/04/96/40/632049640.db2.gz UENLXHLKNYIMQA-UHFFFAOYSA-N 0 0 266.272 2.668 20 5 CFBDRN COC(C)(C)CN(C)C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843822523 632062318 /nfs/dbraw/zinc/06/23/18/632062318.db2.gz GDTFOSSJUZIFPX-UHFFFAOYSA-N 0 0 298.314 2.539 20 5 CFBDRN Cc1cc(C(=O)OCCC2CCOCC2)ccc1[N+](=O)[O-] ZINC000814431199 632063132 /nfs/dbraw/zinc/06/31/32/632063132.db2.gz HDPGYJAONTXSFH-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCC1(C)CC1 ZINC000843872682 632069959 /nfs/dbraw/zinc/06/99/59/632069959.db2.gz HSOKQQULLYAASK-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1[C@@H](C)C[C@@H]1C ZINC000843873705 632070012 /nfs/dbraw/zinc/07/00/12/632070012.db2.gz QPBHRZHWDXTMFZ-YUMQZZPRSA-N 0 0 266.272 2.665 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NOCCC1CC1 ZINC000843893649 632072785 /nfs/dbraw/zinc/07/27/85/632072785.db2.gz PGRYLXKAWXXKKF-UHFFFAOYSA-N 0 0 282.271 2.504 20 5 CFBDRN CCON(C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000844075455 632106768 /nfs/dbraw/zinc/10/67/68/632106768.db2.gz OLTVLUXMWNITCO-NSHDSACASA-N 0 0 280.324 2.887 20 5 CFBDRN CCON(C(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000844078093 632109200 /nfs/dbraw/zinc/10/92/00/632109200.db2.gz RTZAVCWQXBOGJF-UHFFFAOYSA-N 0 0 266.297 2.705 20 5 CFBDRN CCON(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000844082366 632111644 /nfs/dbraw/zinc/11/16/44/632111644.db2.gz XIALDCLTOPBGEK-YFHOEESVSA-N 0 0 278.308 2.797 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc(C=O)c[nH]1 ZINC000814715608 632113322 /nfs/dbraw/zinc/11/33/22/632113322.db2.gz DNNPOWXHQVMKIX-UHFFFAOYSA-N 0 0 287.275 2.605 20 5 CFBDRN Cc1nc(COc2cccc(Cl)c2[N+](=O)[O-])no1 ZINC000814774657 632121677 /nfs/dbraw/zinc/12/16/77/632121677.db2.gz XYSXFBXTLDBNQF-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cn1ccnc1COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814775648 632121878 /nfs/dbraw/zinc/12/18/78/632121878.db2.gz QGFJZVGZIJSSNM-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN C[C@H]1CCC[C@@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000844265361 632148842 /nfs/dbraw/zinc/14/88/42/632148842.db2.gz UKJUXTRQEYDBOZ-GXSJLCMTSA-N 0 0 266.297 2.669 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC[C@@H](C)F ZINC000814915024 632162480 /nfs/dbraw/zinc/16/24/80/632162480.db2.gz MIFPNSDGEFBPMG-MRVPVSSYSA-N 0 0 254.261 2.957 20 5 CFBDRN COC(=O)/C=C/c1ccc(NCC[C@H](C)F)c([N+](=O)[O-])c1 ZINC000814915474 632163269 /nfs/dbraw/zinc/16/32/69/632163269.db2.gz WBMISFTWENVPGH-RWCYGVJQSA-N 0 0 296.298 2.941 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)C[C@H](C)N1C ZINC000814929201 632169493 /nfs/dbraw/zinc/16/94/93/632169493.db2.gz ODXQMTSXPCRROW-AOOOYVTPSA-N 0 0 283.759 2.777 20 5 CFBDRN C[C@H](COC(=O)Cn1cc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000815186373 632225450 /nfs/dbraw/zinc/22/54/50/632225450.db2.gz RKWNPSQREPQPCT-LLVKDONJSA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@@H](COC(=O)Cn1ccc([N+](=O)[O-])n1)C1CCCCC1 ZINC000815194277 632227406 /nfs/dbraw/zinc/22/74/06/632227406.db2.gz QEGQNWVUEGHWPI-NSHDSACASA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@@H](COC(=O)c1c([N+](=O)[O-])cnn1C)C1CCCCC1 ZINC000815224687 632233787 /nfs/dbraw/zinc/23/37/87/632233787.db2.gz DWDAYMAIKSEWJH-JTQLQIEISA-N 0 0 295.339 2.702 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000815230146 632234591 /nfs/dbraw/zinc/23/45/91/632234591.db2.gz NHZMYCBCEKFJHY-RKDXNWHRSA-N 0 0 264.281 2.522 20 5 CFBDRN CCOCCOC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000815404735 632267974 /nfs/dbraw/zinc/26/79/74/632267974.db2.gz VAUIHTHQLBYWEY-UHFFFAOYSA-N 0 0 287.699 2.750 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OCc2ccno2)cc1[N+](=O)[O-] ZINC000815404471 632268417 /nfs/dbraw/zinc/26/84/17/632268417.db2.gz KQFXSWOHXFVBGH-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN C[C@H](NCc1ncccc1F)c1ccc(N)c([N+](=O)[O-])c1 ZINC000815597740 632295426 /nfs/dbraw/zinc/29/54/26/632295426.db2.gz FCNHSZIYAMDGGF-VIFPVBQESA-N 0 0 290.298 2.562 20 5 CFBDRN O=C(NC1(C2CCC2)CC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000844880979 632326720 /nfs/dbraw/zinc/32/67/20/632326720.db2.gz JZEMHIXGEYRVEN-UHFFFAOYSA-N 0 0 296.273 2.936 20 5 CFBDRN Cc1nc(C(=O)Oc2cccc([N+](=O)[O-])c2C)c(C)o1 ZINC000815914250 632333205 /nfs/dbraw/zinc/33/32/05/632333205.db2.gz URJKWFQCUFBNDD-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC(=O)C(C)(C)C(C)(C)C ZINC000815916889 632333250 /nfs/dbraw/zinc/33/32/50/632333250.db2.gz NXGWIUQUOKPPDY-UHFFFAOYSA-N 0 0 297.355 2.715 20 5 CFBDRN Cc1nc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)c(C)o1 ZINC000815914389 632333273 /nfs/dbraw/zinc/33/32/73/632333273.db2.gz ZLMSQIXIXZAKHT-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN C[C@H](COC(=O)/C(F)=C/C1CCCCC1)[N+](=O)[O-] ZINC000816091309 632370048 /nfs/dbraw/zinc/37/00/48/632370048.db2.gz RONWHJJRMKJFCM-MDXIRLPMSA-N 0 0 259.277 2.629 20 5 CFBDRN Cc1ccoc1COC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000816103181 632374013 /nfs/dbraw/zinc/37/40/13/632374013.db2.gz OTYPGWUZVCUECB-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN COC/C(C)=C\C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000845241096 632392222 /nfs/dbraw/zinc/39/22/22/632392222.db2.gz BADWJZQVQLRRHD-IEHMKBBKSA-N 0 0 279.292 2.792 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000816351821 632424433 /nfs/dbraw/zinc/42/44/33/632424433.db2.gz XHWTULCHYJXEEZ-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCCC1(F)F ZINC000816394528 632442909 /nfs/dbraw/zinc/44/29/09/632442909.db2.gz VSDQAPJAMWHXBW-QMMMGPOBSA-N 0 0 288.250 2.526 20 5 CFBDRN CCc1ccc(C(=O)OC[C@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000816413113 632446008 /nfs/dbraw/zinc/44/60/08/632446008.db2.gz STPLUEWDROEYCH-SNVBAGLBSA-N 0 0 285.246 2.969 20 5 CFBDRN O=C(OC[C@@H]1CC1(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000816423804 632452474 /nfs/dbraw/zinc/45/24/74/632452474.db2.gz OEYYNTJBCKQOFV-ZETCQYMHSA-N 0 0 275.182 2.546 20 5 CFBDRN Cc1ccc(COC(=O)Cc2ccc([N+](=O)[O-])cc2)o1 ZINC000816426108 632453129 /nfs/dbraw/zinc/45/31/29/632453129.db2.gz VGGGXDZJBHFXCM-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCC[C@H]1CCOC1 ZINC000816437147 632459531 /nfs/dbraw/zinc/45/95/31/632459531.db2.gz ADTBZGTXFHQXCZ-ACVFHECNSA-N 0 0 291.303 2.578 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000845670212 632513353 /nfs/dbraw/zinc/51/33/53/632513353.db2.gz PGEVDMGLLGDOBW-NSHDSACASA-N 0 0 295.295 2.508 20 5 CFBDRN COC(=O)[C@H](CNc1cccc(F)c1[N+](=O)[O-])CC1CC1 ZINC000845679430 632515439 /nfs/dbraw/zinc/51/54/39/632515439.db2.gz ROALHHPNMUNQRZ-JTQLQIEISA-N 0 0 296.298 2.735 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1C[C@H]2[C@@H](C1)C2(F)F ZINC000845751235 632551073 /nfs/dbraw/zinc/55/10/73/632551073.db2.gz AGKWSYQGSPYDFC-AOOOYVTPSA-N 0 0 291.257 2.844 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@H]2[C@@H](C1)C2(F)F ZINC000845755914 632553273 /nfs/dbraw/zinc/55/32/73/632553273.db2.gz ZQZQINHEMJSRBM-AOOOYVTPSA-N 0 0 254.236 2.605 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CC[C@@]2(CC2(F)F)C1 ZINC000845773032 632560404 /nfs/dbraw/zinc/56/04/04/632560404.db2.gz ZOVZTKKIFLGHGR-LLVKDONJSA-N 0 0 299.233 2.739 20 5 CFBDRN Cn1c(C(=O)OC[C@@H]2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000845773758 632560569 /nfs/dbraw/zinc/56/05/69/632560569.db2.gz RBRNTYLOZRCCPD-MXWKQRLJSA-N 0 0 278.308 2.526 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000817125039 632561614 /nfs/dbraw/zinc/56/16/14/632561614.db2.gz JOTTWKHXWIKJTD-UWVGGRQHSA-N 0 0 295.295 2.873 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@H](CCO)CC1 ZINC000817129291 632562436 /nfs/dbraw/zinc/56/24/36/632562436.db2.gz FMSQGENTWWHFHQ-ZDUSSCGKSA-N 0 0 278.352 2.892 20 5 CFBDRN O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)[C@@H]1CC12CC2 ZINC000817231476 632576089 /nfs/dbraw/zinc/57/60/89/632576089.db2.gz UFOMNLPEYCMNLS-VIFPVBQESA-N 0 0 288.259 2.579 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC000845833086 632577691 /nfs/dbraw/zinc/57/76/91/632577691.db2.gz YREVGTZLUUJMSU-MRVPVSSYSA-N 0 0 291.300 2.631 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000817234417 632578395 /nfs/dbraw/zinc/57/83/95/632578395.db2.gz JEUQPTWGKSXMFF-CABZTGNLSA-N 0 0 261.277 2.999 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(F)F ZINC000817238332 632580772 /nfs/dbraw/zinc/58/07/72/632580772.db2.gz IAORDWASLWWVDW-UHFFFAOYSA-N 0 0 259.208 2.634 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@H]1CC[C@@H](C)O1 ZINC000817239142 632580921 /nfs/dbraw/zinc/58/09/21/632580921.db2.gz QBNFHBYBOPQEKF-VXGBXAGGSA-N 0 0 293.319 2.936 20 5 CFBDRN CCOCCC(=O)O[C@@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845873938 632589224 /nfs/dbraw/zinc/58/92/24/632589224.db2.gz FMRUSCBTSUGIBG-QMTHXVAHSA-N 0 0 299.298 2.502 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1ccc([N+](=O)[O-])cc1C ZINC000845904315 632595137 /nfs/dbraw/zinc/59/51/37/632595137.db2.gz RDZADGSVAFOSBG-SNVBAGLBSA-N 0 0 287.337 2.800 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NOCCC1CC1 ZINC000817399071 632620780 /nfs/dbraw/zinc/62/07/80/632620780.db2.gz DLNYQOPWMXOMDU-KHPPLWFESA-N 0 0 290.319 2.846 20 5 CFBDRN C[C@]1(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)CC1(F)F ZINC000817424783 632629739 /nfs/dbraw/zinc/62/97/39/632629739.db2.gz TVGINXMILLPRLR-SNVBAGLBSA-N 0 0 292.188 2.857 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000817426200 632630745 /nfs/dbraw/zinc/63/07/45/632630745.db2.gz WOEFRVMUSZULRE-GMTAPVOTSA-N 0 0 291.303 2.945 20 5 CFBDRN CC(C)N(Cc1cnsn1)Cc1ccc([N+](=O)[O-])cc1 ZINC000846782411 632797220 /nfs/dbraw/zinc/79/72/20/632797220.db2.gz IVDKESITZFLGEU-UHFFFAOYSA-N 0 0 292.364 2.857 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCCc1ccccc1 ZINC000818395930 632840076 /nfs/dbraw/zinc/84/00/76/632840076.db2.gz YXCUKCQWTUQZEO-UHFFFAOYSA-N 0 0 285.299 2.923 20 5 CFBDRN COCC[C@H](C)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000840360400 632846486 /nfs/dbraw/zinc/84/64/86/632846486.db2.gz YMLNSAPZAMTTKX-VIFPVBQESA-N 0 0 283.284 2.588 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])CS1 ZINC000840364175 632870602 /nfs/dbraw/zinc/87/06/02/632870602.db2.gz AEGHYLGJWHTORW-RKDXNWHRSA-N 0 0 278.337 2.548 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000840370594 632925188 /nfs/dbraw/zinc/92/51/88/632925188.db2.gz XWZRCLPYVQYZLR-BBBLOLIVSA-N 0 0 298.314 2.968 20 5 CFBDRN Cc1c(NC(=O)/C=C\[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000819001809 632927190 /nfs/dbraw/zinc/92/71/90/632927190.db2.gz FFGJANDALUQJJH-SKVAFPRGSA-N 0 0 276.292 2.577 20 5 CFBDRN O=C(C(F)=C1CCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000819058770 632946725 /nfs/dbraw/zinc/94/67/25/632946725.db2.gz PFOWFTNZGJDBRX-UHFFFAOYSA-N 0 0 276.267 2.891 20 5 CFBDRN Cc1cc(OCc2c(F)cccc2[N+](=O)[O-])nnc1C ZINC000107068214 633047310 /nfs/dbraw/zinc/04/73/10/633047310.db2.gz LSRDXYQFHFPUHN-UHFFFAOYSA-N 0 0 277.255 2.720 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COc1cc(C)c(C)nn1 ZINC000107079411 633049152 /nfs/dbraw/zinc/04/91/52/633049152.db2.gz GFAOHWNTHIJESB-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@H]1OCc2cc(Cl)ccc21 ZINC000847717076 633052699 /nfs/dbraw/zinc/05/26/99/633052699.db2.gz UCZQVOCCONQDDU-PRHODGIISA-N 0 0 299.710 2.510 20 5 CFBDRN CCCCCC(C)(C)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847783464 633076426 /nfs/dbraw/zinc/07/64/26/633076426.db2.gz GSEPLHPIESFSIE-UHFFFAOYSA-N 0 0 297.355 2.978 20 5 CFBDRN C[C@@H](OC(=O)c1cc([N+](=O)[O-])cn1C)C1CCCC1 ZINC000847801191 633078540 /nfs/dbraw/zinc/07/85/40/633078540.db2.gz FYFUGMNIBOWPNM-SECBINFHSA-N 0 0 266.297 2.669 20 5 CFBDRN COC[C@@H](C)CCOC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000847868614 633119075 /nfs/dbraw/zinc/11/90/75/633119075.db2.gz JRCBVZQECRGPMS-JTQLQIEISA-N 0 0 281.308 2.733 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000847919369 633144521 /nfs/dbraw/zinc/14/45/21/633144521.db2.gz GVFVGNZQHWXJMU-JOCQHMNTSA-N 0 0 279.292 2.628 20 5 CFBDRN C[C@H](NCc1cnc(Cl)n1C)c1ccccc1[N+](=O)[O-] ZINC000848546973 633285471 /nfs/dbraw/zinc/28/54/71/633285471.db2.gz HCZSYEZHTUPDFB-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN CCc1nocc1CNc1cc([N+](=O)[O-])ccc1F ZINC000848660062 633311405 /nfs/dbraw/zinc/31/14/05/633311405.db2.gz BWZKLFZYYWAEQY-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CCc1nocc1CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000848660818 633312128 /nfs/dbraw/zinc/31/21/28/633312128.db2.gz MFTSRFSZGZLEGR-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCC=CO1 ZINC000848686833 633317253 /nfs/dbraw/zinc/31/72/53/633317253.db2.gz KXSDGCNKWJNCHZ-SNVBAGLBSA-N 0 0 264.281 2.708 20 5 CFBDRN CCOc1cc(N2Cc3ccncc3C2)ccc1[N+](=O)[O-] ZINC000848727073 633326773 /nfs/dbraw/zinc/32/67/73/633326773.db2.gz PELJBQIOIHMAPW-UHFFFAOYSA-N 0 0 285.303 2.909 20 5 CFBDRN CC(=O)N1CCC(Sc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000848749578 633333982 /nfs/dbraw/zinc/33/39/82/633333982.db2.gz XULJZYADRPYNMM-UHFFFAOYSA-N 0 0 298.339 2.837 20 5 CFBDRN COc1ccc2c(c1)CN(c1nc(C)ccc1[N+](=O)[O-])C2 ZINC000848773395 633340937 /nfs/dbraw/zinc/34/09/37/633340937.db2.gz XRVFDOIXHVOWPO-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN C[C@@H](O)CN(c1nc2ccc([N+](=O)[O-])cc2s1)C1CC1 ZINC000848790629 633343027 /nfs/dbraw/zinc/34/30/27/633343027.db2.gz FKLZSTJTJPWOIU-MRVPVSSYSA-N 0 0 293.348 2.554 20 5 CFBDRN Cc1ccc(N2CCC[C@@H](CO)[C@H]2C)c([N+](=O)[O-])c1 ZINC000840388103 633382170 /nfs/dbraw/zinc/38/21/70/633382170.db2.gz PDAKUQJYXAGQSZ-NEPJUHHUSA-N 0 0 264.325 2.500 20 5 CFBDRN COC(=O)[C@]1(C)CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000840388386 633389002 /nfs/dbraw/zinc/38/90/02/633389002.db2.gz WWJYAXJIIPNRGA-OAHLLOKOSA-N 0 0 292.335 2.683 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@@H]1CC1(Cl)Cl)[N+](=O)[O-] ZINC000857383178 635972781 /nfs/dbraw/zinc/97/27/81/635972781.db2.gz LPCKWTSNLZAVLP-MRVPVSSYSA-N 0 0 297.182 2.522 20 5 CFBDRN Cc1ncccc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000108163486 633481813 /nfs/dbraw/zinc/48/18/13/633481813.db2.gz KPXCETOTVNZONG-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1cc2[nH]c(CCC(C)(C)[N+](=O)[O-])nc(=O)c2s1 ZINC000849184860 633581412 /nfs/dbraw/zinc/58/14/12/633581412.db2.gz YPKVONAJNDFDKZ-UHFFFAOYSA-N 0 0 281.337 2.693 20 5 CFBDRN C[C@H]1CC=CC[C@H]1COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000849186423 633581958 /nfs/dbraw/zinc/58/19/58/633581958.db2.gz QAAZLDLWPCYUQU-JQWIXIFHSA-N 0 0 290.319 2.936 20 5 CFBDRN O=[N+]([O-])c1cc(NCCC2(O)CCC2)ccc1Cl ZINC000849311693 633612882 /nfs/dbraw/zinc/61/28/82/633612882.db2.gz PDIUWLCTALANNC-UHFFFAOYSA-N 0 0 270.716 2.965 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1cc(C)nc(C)n1 ZINC000849317196 633615027 /nfs/dbraw/zinc/61/50/27/633615027.db2.gz JZGCLLGHRQQDTF-UHFFFAOYSA-N 0 0 287.323 2.655 20 5 CFBDRN O=C(COc1cc(Cl)ccc1[N+](=O)[O-])NCC1CCC1 ZINC000108662560 633639018 /nfs/dbraw/zinc/63/90/18/633639018.db2.gz ODDCBGUDCQEKSQ-UHFFFAOYSA-N 0 0 298.726 2.543 20 5 CFBDRN CO[C@@H](COC(=O)c1cccc(C)c1[N+](=O)[O-])C1CCC1 ZINC000849616902 633641278 /nfs/dbraw/zinc/64/12/78/633641278.db2.gz CHGJWYGXKRRZCG-ZDUSSCGKSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000849616947 633641312 /nfs/dbraw/zinc/64/13/12/633641312.db2.gz CYOLUJAWEBZJJX-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1cccc([N+](=O)[O-])c1C ZINC000849635973 633651465 /nfs/dbraw/zinc/65/14/65/633651465.db2.gz GQPRSPROOQIVSD-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN CCCCO[C@@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849714088 633685791 /nfs/dbraw/zinc/68/57/91/633685791.db2.gz HSVABMRSAHRMTN-JTQLQIEISA-N 0 0 299.298 2.982 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2COC(C)(C)C2)c1 ZINC000849734386 633694265 /nfs/dbraw/zinc/69/42/65/633694265.db2.gz GNLZMLWAPWVWCF-JTQLQIEISA-N 0 0 279.292 2.628 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000849752271 633702546 /nfs/dbraw/zinc/70/25/46/633702546.db2.gz DDZLZUXSVHSXSP-VHSXEESVSA-N 0 0 297.282 2.592 20 5 CFBDRN CO[C@H](C)CCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849755395 633704298 /nfs/dbraw/zinc/70/42/98/633704298.db2.gz UDAXDNDHIVFMFJ-SECBINFHSA-N 0 0 285.271 2.592 20 5 CFBDRN CO[C@@](C)(C(=O)OCc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000849780181 633716287 /nfs/dbraw/zinc/71/62/87/633716287.db2.gz PMQNPAHCMPFGNK-CQSZACIVSA-N 0 0 297.282 2.592 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CC12CC2 ZINC000849809191 633730430 /nfs/dbraw/zinc/73/04/30/633730430.db2.gz BCWOMRXRNOMLEP-JTQLQIEISA-N 0 0 265.240 2.577 20 5 CFBDRN Cc1nocc1COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000849809376 633730507 /nfs/dbraw/zinc/73/05/07/633730507.db2.gz GHAWGCHYJHGGCZ-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=C(/C=C/[C@@H]1CCCO1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849812401 633731391 /nfs/dbraw/zinc/73/13/91/633731391.db2.gz YLOLBGBJPAZDHF-FYJFLYSWSA-N 0 0 295.266 2.512 20 5 CFBDRN CC[C@H](CC(F)F)Cn1cc([N+](=O)[O-])cc(F)c1=O ZINC000849925424 633766384 /nfs/dbraw/zinc/76/63/84/633766384.db2.gz ANXYJWMFDZBBPO-SSDOTTSWSA-N 0 0 278.230 2.577 20 5 CFBDRN CC1(C)OC[C@H]2C[C@]21C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000849929890 633767064 /nfs/dbraw/zinc/76/70/64/633767064.db2.gz OEESVTGOPCKZGT-NOZJJQNGSA-N 0 0 297.332 2.515 20 5 CFBDRN CSCCCOc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849957019 633774946 /nfs/dbraw/zinc/77/49/46/633774946.db2.gz XSNKHWBCELJOTD-UHFFFAOYSA-N 0 0 272.282 2.635 20 5 CFBDRN CO[C@H](COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CCC1 ZINC000849957531 633775256 /nfs/dbraw/zinc/77/52/56/633775256.db2.gz FEGWJNNTVQFNKJ-CYBMUJFWSA-N 0 0 296.279 2.697 20 5 CFBDRN CO[C@H](COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)CC(C)C ZINC000849957425 633775272 /nfs/dbraw/zinc/77/52/72/633775272.db2.gz ACZJTDFQCQAIPV-ZDUSSCGKSA-N 0 0 298.295 2.943 20 5 CFBDRN Cc1nc(COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)oc1C ZINC000849956789 633775402 /nfs/dbraw/zinc/77/54/02/633775402.db2.gz NNYGDUMDFDYNNS-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN CSC(C)(C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000849987523 633784210 /nfs/dbraw/zinc/78/42/10/633784210.db2.gz MOCKXPKJVYNASZ-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN CSC(C)(C)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000849987739 633784243 /nfs/dbraw/zinc/78/42/43/633784243.db2.gz WAMARTWUAQUGBV-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN O=[N+]([O-])c1cn(CC2CCCC2)c(Br)n1 ZINC000849991703 633785971 /nfs/dbraw/zinc/78/59/71/633785971.db2.gz WHXYKYGWCAAZFS-UHFFFAOYSA-N 0 0 274.118 2.744 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C1CC2(CC2)C1 ZINC000850215329 633898528 /nfs/dbraw/zinc/89/85/28/633898528.db2.gz JNHWQOFUWSLPAI-UHFFFAOYSA-N 0 0 279.267 2.967 20 5 CFBDRN C[C@H]1CO[C@@H](C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000885575174 633918539 /nfs/dbraw/zinc/91/85/39/633918539.db2.gz WOZVXDLNHYHCID-PRHODGIISA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H](C)CO1)c1ccccc1[N+](=O)[O-] ZINC000885575477 633931101 /nfs/dbraw/zinc/93/11/01/633931101.db2.gz BHDQRRFYBYGOGS-KWBADKCTSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Oc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000850766729 634082865 /nfs/dbraw/zinc/08/28/65/634082865.db2.gz RKTAGMNPJOZDGA-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN CCCn1nccc1C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000850770389 634084596 /nfs/dbraw/zinc/08/45/96/634084596.db2.gz PVEXNNSBMLBZKQ-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000850986210 634166913 /nfs/dbraw/zinc/16/69/13/634166913.db2.gz OQRAZJBLRJRWIE-MYJAWHEDSA-N 0 0 277.276 2.555 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCCC[C@H]1C(F)(F)F ZINC000851046067 634194449 /nfs/dbraw/zinc/19/44/49/634194449.db2.gz NQOMDCXOXRBIGR-IWSPIJDZSA-N 0 0 283.246 2.564 20 5 CFBDRN CC(C)(COC(=O)/C=C/Sc1ccccc1)[N+](=O)[O-] ZINC000851122906 634234276 /nfs/dbraw/zinc/23/42/76/634234276.db2.gz KXGBLVBLQQSPPH-CMDGGOBGSA-N 0 0 281.333 2.891 20 5 CFBDRN CC(C)(COC(=O)c1cccc(-n2cccc2)c1)[N+](=O)[O-] ZINC000851124253 634234297 /nfs/dbraw/zinc/23/42/97/634234297.db2.gz QUNRBOLENGZDEJ-UHFFFAOYSA-N 0 0 288.303 2.689 20 5 CFBDRN CCOc1ccccc1CCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851123339 634234315 /nfs/dbraw/zinc/23/43/15/634234315.db2.gz BGKHMEIYXJXBDL-UHFFFAOYSA-N 0 0 295.335 2.616 20 5 CFBDRN Cc1cc(C)cc(C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851124033 634234547 /nfs/dbraw/zinc/23/45/47/634234547.db2.gz ZULZMAFIISTHFG-UHFFFAOYSA-N 0 0 251.282 2.516 20 5 CFBDRN CC(C)(COC(=O)C1(c2ccc(Cl)cc2)CC1)[N+](=O)[O-] ZINC000851124413 634234735 /nfs/dbraw/zinc/23/47/35/634234735.db2.gz VVSPWUQXOVSRDU-UHFFFAOYSA-N 0 0 297.738 2.970 20 5 CFBDRN CC(C)(COC(=O)C1(c2ccccc2)CCC1)[N+](=O)[O-] ZINC000851124238 634234946 /nfs/dbraw/zinc/23/49/46/634234946.db2.gz QHUPHGXOKSFXIU-UHFFFAOYSA-N 0 0 277.320 2.707 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCC[C@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000851124820 634235605 /nfs/dbraw/zinc/23/56/05/634235605.db2.gz MMCFQZUBTJKDNG-BDAKNGLRSA-N 0 0 297.273 2.954 20 5 CFBDRN Cc1cccc([C@@H](C)C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851125679 634235742 /nfs/dbraw/zinc/23/57/42/634235742.db2.gz GCNJYIQTXUZYJS-LLVKDONJSA-N 0 0 265.309 2.697 20 5 CFBDRN C[C@@H](SCc1ccccc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851124855 634235815 /nfs/dbraw/zinc/23/58/15/634235815.db2.gz NJZPCLPFLHPZPP-LLVKDONJSA-N 0 0 297.376 2.907 20 5 CFBDRN C[C@H](SCc1ccccc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851124856 634235931 /nfs/dbraw/zinc/23/59/31/634235931.db2.gz NJZPCLPFLHPZPP-NSHDSACASA-N 0 0 297.376 2.907 20 5 CFBDRN C[C@@H](Cc1ccc(F)cc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125785 634235942 /nfs/dbraw/zinc/23/59/42/634235942.db2.gz JESZXQCZOMVIIN-JTQLQIEISA-N 0 0 283.299 2.603 20 5 CFBDRN CC(C)(COC(=O)c1ccccc1OCC1CC1)[N+](=O)[O-] ZINC000851125471 634235992 /nfs/dbraw/zinc/23/59/92/634235992.db2.gz GEFOFNBMUQSXBQ-UHFFFAOYSA-N 0 0 293.319 2.688 20 5 CFBDRN C[C@H](CC(=O)OCC(C)(C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000851127021 634236502 /nfs/dbraw/zinc/23/65/02/634236502.db2.gz WLYRDOCYWFDTAO-SNVBAGLBSA-N 0 0 283.299 2.918 20 5 CFBDRN Cc1c(C(=O)OCC(C)(C)[N+](=O)[O-])cnc2ccccc12 ZINC000851126397 634236568 /nfs/dbraw/zinc/23/65/68/634236568.db2.gz MNMHQQGFKLKKER-UHFFFAOYSA-N 0 0 288.303 2.755 20 5 CFBDRN CC(C)Oc1ccccc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126727 634236606 /nfs/dbraw/zinc/23/66/06/634236606.db2.gz QSBYGJACGOWZAX-UHFFFAOYSA-N 0 0 281.308 2.686 20 5 CFBDRN CC(C)(COC(=O)CC1(C)CCCCC1)[N+](=O)[O-] ZINC000851126420 634236647 /nfs/dbraw/zinc/23/66/47/634236647.db2.gz NNSWGWLPWYBXAE-UHFFFAOYSA-N 0 0 257.330 2.945 20 5 CFBDRN CC(C)(COC(=O)c1ccc(-c2cnco2)cc1)[N+](=O)[O-] ZINC000851127036 634236701 /nfs/dbraw/zinc/23/67/01/634236701.db2.gz XJMFTFNJEVHRLM-UHFFFAOYSA-N 0 0 290.275 2.554 20 5 CFBDRN C[C@H](CCOc1ccccc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126450 634236715 /nfs/dbraw/zinc/23/67/15/634236715.db2.gz OVFRPJVAYFUWEX-GFCCVEGCSA-N 0 0 295.335 2.690 20 5 CFBDRN C[C@@H](CCOc1ccccc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126451 634236840 /nfs/dbraw/zinc/23/68/40/634236840.db2.gz OVFRPJVAYFUWEX-LBPRGKRZSA-N 0 0 295.335 2.690 20 5 CFBDRN CCCCS[C@@H](C)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126161 634236963 /nfs/dbraw/zinc/23/69/63/634236963.db2.gz OQVRMJOMFXXOLQ-VIFPVBQESA-N 0 0 263.359 2.507 20 5 CFBDRN Cc1cccc(Cl)c1CC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851128190 634237506 /nfs/dbraw/zinc/23/75/06/634237506.db2.gz LOIMZKWELKYUSH-UHFFFAOYSA-N 0 0 285.727 2.789 20 5 CFBDRN COc1cccc(/C(C)=C\C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851127554 634237799 /nfs/dbraw/zinc/23/77/99/634237799.db2.gz YXOKNMZAEORXFG-FLIBITNWSA-N 0 0 293.319 2.697 20 5 CFBDRN CC(C)(COC(=O)/C=C/c1ccc2[nH]ccc2c1)[N+](=O)[O-] ZINC000851127498 634237856 /nfs/dbraw/zinc/23/78/56/634237856.db2.gz NPFKDFKIGWUMCJ-GQCTYLIASA-N 0 0 288.303 2.780 20 5 CFBDRN Cc1ccc(CC(=O)OCC(C)(C)[N+](=O)[O-])c(Cl)c1 ZINC000851129364 634238962 /nfs/dbraw/zinc/23/89/62/634238962.db2.gz LBEWSHHBTFUZHD-UHFFFAOYSA-N 0 0 285.727 2.789 20 5 CFBDRN CC(C)(COC(=O)c1ccc2c(c1)CCC=C2)[N+](=O)[O-] ZINC000851130179 634239846 /nfs/dbraw/zinc/23/98/46/634239846.db2.gz SJZJOMSNPIWCRA-UHFFFAOYSA-N 0 0 275.304 2.858 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@@H](C)C1CCCC1 ZINC000851137997 634242607 /nfs/dbraw/zinc/24/26/07/634242607.db2.gz AIEJTZHSKXEUOP-JTQLQIEISA-N 0 0 295.339 2.612 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC/C=C/C(F)(F)F ZINC000851283487 634304430 /nfs/dbraw/zinc/30/44/30/634304430.db2.gz FYTYKBDKPYTDPU-LZCJLJQNSA-N 0 0 289.209 2.799 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC/C=C/C(F)(F)F ZINC000851286892 634306429 /nfs/dbraw/zinc/30/64/29/634306429.db2.gz GUAYUTJIAMVXFR-GORDUTHDSA-N 0 0 289.209 2.799 20 5 CFBDRN O=C(OC[C@@H]1CCC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000851314440 634319635 /nfs/dbraw/zinc/31/96/35/634319635.db2.gz YRLKMBLMIFBLLX-VIFPVBQESA-N 0 0 271.219 2.797 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCc3n[nH]cc3C2)c(Cl)c1 ZINC000852968236 634868338 /nfs/dbraw/zinc/86/83/38/634868338.db2.gz OLIVLGWGHSWEBT-UHFFFAOYSA-N 0 0 292.726 2.924 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(CCOC(F)F)c1 ZINC000853020829 634878658 /nfs/dbraw/zinc/87/86/58/634878658.db2.gz AIBGINRJNHYWBQ-UHFFFAOYSA-N 0 0 283.234 2.698 20 5 CFBDRN COc1c(F)cc(NCc2onc(C)c2[N+](=O)[O-])cc1F ZINC000853058334 634892671 /nfs/dbraw/zinc/89/26/71/634892671.db2.gz XRTUKLKRPRNSFQ-UHFFFAOYSA-N 0 0 299.233 2.790 20 5 CFBDRN CSc1cccc(C(=O)O[C@@H]2COC[C@H]2C)c1[N+](=O)[O-] ZINC000853196893 634932289 /nfs/dbraw/zinc/93/22/89/634932289.db2.gz JFMVUCFMJMUKQO-PSASIEDQSA-N 0 0 297.332 2.508 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H]1COC[C@H]1C ZINC000853197095 634932324 /nfs/dbraw/zinc/93/23/24/634932324.db2.gz MRQVHDANQYWFMI-GDEHEKBSSA-N 0 0 291.303 2.576 20 5 CFBDRN CCO[C@@H]1CCCN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000853230543 634946217 /nfs/dbraw/zinc/94/62/17/634946217.db2.gz IWUCCGCEOWIANV-GFCCVEGCSA-N 0 0 294.351 2.917 20 5 CFBDRN COc1cc(N(C)CC(C)(C)OC)c([N+](=O)[O-])cc1C ZINC000853443732 635002203 /nfs/dbraw/zinc/00/22/03/635002203.db2.gz FEDUJWJRLBPFDR-UHFFFAOYSA-N 0 0 282.340 2.773 20 5 CFBDRN CC(=O)c1ccnc(N2CCc3c(cccc3[N+](=O)[O-])C2)c1 ZINC000853459341 635005602 /nfs/dbraw/zinc/00/56/02/635005602.db2.gz LAGLFAPMRCDIKQ-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CCSCCSc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000853479359 635011724 /nfs/dbraw/zinc/01/17/24/635011724.db2.gz YWDTYZIDNUZMRL-UHFFFAOYSA-N 0 0 286.378 2.539 20 5 CFBDRN Cc1cc(SCCSCCO)c([N+](=O)[O-])s1 ZINC000853546870 635034196 /nfs/dbraw/zinc/03/41/96/635034196.db2.gz IQYBKNRXJAJAHP-UHFFFAOYSA-N 0 0 279.408 2.782 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CC[C@@H](C3CCCCC3)C2)c1 ZINC000853553609 635034953 /nfs/dbraw/zinc/03/49/53/635034953.db2.gz GBDDSWHDMLLMER-CYBMUJFWSA-N 0 0 278.356 2.651 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2C[C@@H](C)[C@@H]2C)c1 ZINC000853649734 635060553 /nfs/dbraw/zinc/06/05/53/635060553.db2.gz BYUPDOGYQNXDRX-SFYZADRCSA-N 0 0 254.261 2.587 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H]2C(F)F)c(F)c1 ZINC000853650509 635061135 /nfs/dbraw/zinc/06/11/35/635061135.db2.gz SJYJXXVGYPWUMH-MRVPVSSYSA-N 0 0 264.178 2.717 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H]2C(F)F)c(F)c1 ZINC000853650513 635061187 /nfs/dbraw/zinc/06/11/87/635061187.db2.gz SJYJXXVGYPWUMH-QMMMGPOBSA-N 0 0 264.178 2.717 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2CCOC(F)F ZINC000853667790 635068602 /nfs/dbraw/zinc/06/86/02/635068602.db2.gz BEWRORPNYWGELS-UHFFFAOYSA-N 0 0 256.208 2.789 20 5 CFBDRN CC[C@@H](O)c1nccn1Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000853694758 635077789 /nfs/dbraw/zinc/07/77/89/635077789.db2.gz NPWDPRGBZQBRAW-GFCCVEGCSA-N 0 0 297.261 2.561 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1C[C@@H]2CCCC[C@@H]21 ZINC000853719067 635084149 /nfs/dbraw/zinc/08/41/49/635084149.db2.gz KORKYOBSOWGJOV-UWVGGRQHSA-N 0 0 277.280 2.882 20 5 CFBDRN COC(=O)c1cc(N[C@@H]2C=CCC2)cc(C)c1[N+](=O)[O-] ZINC000853799468 635110729 /nfs/dbraw/zinc/11/07/29/635110729.db2.gz GGOVPFRCYYYZLZ-SNVBAGLBSA-N 0 0 276.292 2.820 20 5 CFBDRN COC(=O)c1cc(N[C@H]2C=CCC2)cc(C)c1[N+](=O)[O-] ZINC000853799467 635110896 /nfs/dbraw/zinc/11/08/96/635110896.db2.gz GGOVPFRCYYYZLZ-JTQLQIEISA-N 0 0 276.292 2.820 20 5 CFBDRN CC(C)CCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000111608857 635112557 /nfs/dbraw/zinc/11/25/57/635112557.db2.gz BFQNTDVXGCVRJY-UHFFFAOYSA-N 0 0 255.245 2.937 20 5 CFBDRN C[C@@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])C[C@@H](C)C1=O ZINC000853817066 635117041 /nfs/dbraw/zinc/11/70/41/635117041.db2.gz LZSUUYBDYPYIQB-RKDXNWHRSA-N 0 0 282.727 2.910 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1COC2(CCC2)C1 ZINC000853827215 635120070 /nfs/dbraw/zinc/12/00/70/635120070.db2.gz OOIXYIXRUSWFDS-GFCCVEGCSA-N 0 0 292.335 2.865 20 5 CFBDRN CN(CC1=CCCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000853836440 635122892 /nfs/dbraw/zinc/12/28/92/635122892.db2.gz JWEVTIJCWPKPAM-UHFFFAOYSA-N 0 0 266.272 2.517 20 5 CFBDRN CCCCOCCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000111678718 635137078 /nfs/dbraw/zinc/13/70/78/635137078.db2.gz PCVIIFQUHKAZAX-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN Cc1ccc(N2CC[C@@H](C)O[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000853872492 635143521 /nfs/dbraw/zinc/14/35/21/635143521.db2.gz WEAOTVCVUQXANY-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1noc(COC(=O)CCc2cccs2)c1[N+](=O)[O-] ZINC000853883473 635145296 /nfs/dbraw/zinc/14/52/96/635145296.db2.gz INWJFAKDJDYBAL-UHFFFAOYSA-N 0 0 296.304 2.629 20 5 CFBDRN Cc1ccc(C(=O)OCc2onc(C)c2[N+](=O)[O-])s1 ZINC000853884463 635146266 /nfs/dbraw/zinc/14/62/66/635146266.db2.gz YCFXREHXAKFKOY-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H]2C[C@H]2C1 ZINC000853902056 635152910 /nfs/dbraw/zinc/15/29/10/635152910.db2.gz NSRNLVHUJATYKD-QWRGUYRKSA-N 0 0 262.309 2.587 20 5 CFBDRN C/C(=C\C(=O)OCc1onc(C)c1[N+](=O)[O-])C(C)(C)C ZINC000853901394 635153063 /nfs/dbraw/zinc/15/30/63/635153063.db2.gz ABOFLTAKPHODEC-SOFGYWHQSA-N 0 0 282.296 2.927 20 5 CFBDRN Cc1ccc([C@H](C)C(=O)OCc2onc(C)c2[N+](=O)[O-])o1 ZINC000853901450 635153156 /nfs/dbraw/zinc/15/31/56/635153156.db2.gz BHHRNVDAWYFBLD-QMMMGPOBSA-N 0 0 294.263 2.640 20 5 CFBDRN Cc1noc(COC(=O)C(C)(C)C(C)C)c1[N+](=O)[O-] ZINC000853910728 635159174 /nfs/dbraw/zinc/15/91/74/635159174.db2.gz NBQWSPFQKQGLDO-UHFFFAOYSA-N 0 0 270.285 2.617 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)OC[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000853915322 635161355 /nfs/dbraw/zinc/16/13/55/635161355.db2.gz ZTPNGGBEAIDCAM-ILWJIGKKSA-N 0 0 293.323 2.644 20 5 CFBDRN Cc1noc(COC(=O)[C@]2(C)CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000853923093 635165707 /nfs/dbraw/zinc/16/57/07/635165707.db2.gz WXBZJFRBNSBSNL-ISVAXAHUSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2CCCC3(CC3)C2)c1[N+](=O)[O-] ZINC000853926288 635167075 /nfs/dbraw/zinc/16/70/75/635167075.db2.gz UXRDDNVHAJGHMU-SNVBAGLBSA-N 0 0 294.307 2.905 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2c1cc(N)ccc1[N+](=O)[O-] ZINC000853964931 635180558 /nfs/dbraw/zinc/18/05/58/635180558.db2.gz SUGHBIIKAKOGTN-CLYYMRHHSA-N 0 0 261.325 2.944 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC2CC1(C)C2 ZINC000853997552 635187349 /nfs/dbraw/zinc/18/73/49/635187349.db2.gz LXJZGNNGCAKNMX-UHFFFAOYSA-N 0 0 262.309 2.730 20 5 CFBDRN COc1cc(N2CC3CC2(C)C3)c([N+](=O)[O-])cc1C ZINC000853997745 635187413 /nfs/dbraw/zinc/18/74/13/635187413.db2.gz ONHGMIQCWDLQFN-UHFFFAOYSA-N 0 0 262.309 2.901 20 5 CFBDRN Cc1ncsc1COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000111955032 635223023 /nfs/dbraw/zinc/22/30/23/635223023.db2.gz ZNFGBXDJYGSOOT-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN C[C@H](O)c1cccc(-c2cc([N+](=O)[O-])ccc2CCO)c1 ZINC000854208381 635254745 /nfs/dbraw/zinc/25/47/45/635254745.db2.gz FPFGNCYWZNPXKJ-NSHDSACASA-N 0 0 287.315 2.850 20 5 CFBDRN CC(C)C(C)(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854494698 635316675 /nfs/dbraw/zinc/31/66/75/635316675.db2.gz FIKNLGGUDBWQJW-UHFFFAOYSA-N 0 0 265.313 2.798 20 5 CFBDRN Nc1ccc(NC(=O)[C@H]2C[C@@H]2C2CCCC2)cc1[N+](=O)[O-] ZINC000854496014 635317640 /nfs/dbraw/zinc/31/76/40/635317640.db2.gz ASFFYYPJHOKPJH-NEPJUHHUSA-N 0 0 289.335 2.942 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCCC1 ZINC000854497100 635317655 /nfs/dbraw/zinc/31/76/55/635317655.db2.gz HOYAASKWMJUDNG-SECBINFHSA-N 0 0 277.324 2.942 20 5 CFBDRN CC(C)(CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000854495927 635317757 /nfs/dbraw/zinc/31/77/57/635317757.db2.gz YFWGDHOGKUPLHN-UHFFFAOYSA-N 0 0 277.324 2.942 20 5 CFBDRN Nc1ccc(NC(=O)CC2CCSCC2)cc1[N+](=O)[O-] ZINC000854497224 635318890 /nfs/dbraw/zinc/31/88/90/635318890.db2.gz KXABDPMQNLKFOP-UHFFFAOYSA-N 0 0 295.364 2.649 20 5 CFBDRN CCC(F)(F)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000854678534 635363160 /nfs/dbraw/zinc/36/31/60/635363160.db2.gz DSRZEUMFOHLAMB-QMMMGPOBSA-N 0 0 272.251 2.817 20 5 CFBDRN O=C(CCCc1ccccn1)Oc1cccc([N+](=O)[O-])c1 ZINC000112774591 635421174 /nfs/dbraw/zinc/42/11/74/635421174.db2.gz BDCXXYGFLCPBBE-UHFFFAOYSA-N 0 0 286.287 2.918 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000855415944 635534705 /nfs/dbraw/zinc/53/47/05/635534705.db2.gz CILCSLRHKFWCGX-VIFPVBQESA-N 0 0 266.684 2.697 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000855429631 635542727 /nfs/dbraw/zinc/54/27/27/635542727.db2.gz MGDOQCWULNHRQJ-QMMMGPOBSA-N 0 0 266.684 2.697 20 5 CFBDRN C[C@H](OC(=O)c1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000113465030 635587362 /nfs/dbraw/zinc/58/73/62/635587362.db2.gz WJKRRIXMLPEGRC-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN C[C@@]1(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000855801553 635654636 /nfs/dbraw/zinc/65/46/36/635654636.db2.gz QMBNIGDZIQVXOI-LVDDQXARSA-N 0 0 296.273 2.770 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000856137680 635737559 /nfs/dbraw/zinc/73/75/59/635737559.db2.gz ARFVERRRLIURHS-WUKHSURWSA-N 0 0 274.320 2.913 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000856243622 635763250 /nfs/dbraw/zinc/76/32/50/635763250.db2.gz PICBVHMSTBCBBM-FGWVZKOKSA-N 0 0 289.335 2.580 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000856457713 635814423 /nfs/dbraw/zinc/81/44/23/635814423.db2.gz BXTGQNKHXXTDOE-SECBINFHSA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C)C(C)(C)C ZINC000157428980 650216618 /nfs/dbraw/zinc/21/66/18/650216618.db2.gz PYYNFATWZXBXNJ-SNVBAGLBSA-N 0 0 293.367 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(C/C=C/Cl)n2)cc1 ZINC000158027529 650221336 /nfs/dbraw/zinc/22/13/36/650221336.db2.gz SLLUYEUUCXTTCO-LZCJLJQNSA-N 0 0 264.672 2.606 20 5 CFBDRN CC(C)(COC(=O)Cn1ccc([N+](=O)[O-])n1)C1CCCC1 ZINC000158132907 650222119 /nfs/dbraw/zinc/22/21/19/650222119.db2.gz LATSIYVEPRZZIX-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@@H](O)c1ccccc1 ZINC000011520967 635949724 /nfs/dbraw/zinc/94/97/24/635949724.db2.gz MYFFSRODYJNIRN-CYBMUJFWSA-N 0 0 277.251 2.846 20 5 CFBDRN C[C@@H](C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CCC1 ZINC000857636202 635999581 /nfs/dbraw/zinc/99/95/81/635999581.db2.gz HUGNBXJCDDWJGQ-MRVPVSSYSA-N 0 0 294.263 2.845 20 5 CFBDRN O=C(Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)[C@@H]1CC12CCC2 ZINC000857637007 635999747 /nfs/dbraw/zinc/99/97/47/635999747.db2.gz UQKCCIURHPBQPO-NSHDSACASA-N 0 0 292.247 2.599 20 5 CFBDRN CC[C@@H](C)CC(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857636402 635999846 /nfs/dbraw/zinc/99/98/46/635999846.db2.gz KRBGZNXQXXGRLN-MRVPVSSYSA-N 0 0 282.252 2.845 20 5 CFBDRN Cn1cccc1C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000857664860 636006487 /nfs/dbraw/zinc/00/64/87/636006487.db2.gz HYCFXIWCFNVLHD-UHFFFAOYSA-N 0 0 299.330 2.916 20 5 CFBDRN COc1ccc(CN2Cc3ccncc3C2)cc1[N+](=O)[O-] ZINC000857875209 636044221 /nfs/dbraw/zinc/04/42/21/636044221.db2.gz XYFCSELJUYWOPR-UHFFFAOYSA-N 0 0 285.303 2.514 20 5 CFBDRN Cc1cc(F)cc2c1N(Cn1cc([N+](=O)[O-])cn1)CCC2 ZINC000158595615 650231112 /nfs/dbraw/zinc/23/11/12/650231112.db2.gz IBWDJYTYJUHXKX-UHFFFAOYSA-N 0 0 290.298 2.649 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000858187431 636151166 /nfs/dbraw/zinc/15/11/66/636151166.db2.gz GTHBKYPJAMJJJP-XHSYRHOGSA-N 0 0 279.292 2.560 20 5 CFBDRN COCOCCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000858606125 636306111 /nfs/dbraw/zinc/30/61/11/636306111.db2.gz IBDKNYZTWXXMFE-UHFFFAOYSA-N 0 0 291.307 2.566 20 5 CFBDRN CC1(C)C[C@@H](Sc2nc3ccccn3c2[N+](=O)[O-])CO1 ZINC000858677393 636321911 /nfs/dbraw/zinc/32/19/11/636321911.db2.gz WUDHHZVRXAAWSO-SECBINFHSA-N 0 0 293.348 2.902 20 5 CFBDRN COc1cc(NCC2CC=CC2)c([N+](=O)[O-])cc1OC ZINC000858682416 636325105 /nfs/dbraw/zinc/32/51/05/636325105.db2.gz SLZWQXOSNILFLZ-UHFFFAOYSA-N 0 0 278.308 2.990 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000858692670 636326802 /nfs/dbraw/zinc/32/68/02/636326802.db2.gz ZEAPKORSRNNIBF-SECBINFHSA-N 0 0 282.321 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC4(C3)CCCO4)sc2c1 ZINC000858721876 636332690 /nfs/dbraw/zinc/33/26/90/636332690.db2.gz QYOPWDZVECXXFR-UHFFFAOYSA-N 0 0 291.332 2.574 20 5 CFBDRN O=[N+]([O-])c1c(N2CC(Cc3ccco3)C2)nc2ccccn21 ZINC000858731489 636334618 /nfs/dbraw/zinc/33/46/18/636334618.db2.gz ZDMGFHUPOISNHW-UHFFFAOYSA-N 0 0 298.302 2.514 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000858732483 636334746 /nfs/dbraw/zinc/33/47/46/636334746.db2.gz AIFQOYAKPKZZEP-GFCCVEGCSA-N 0 0 290.319 2.967 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000858734345 636335255 /nfs/dbraw/zinc/33/52/55/636335255.db2.gz PCTQVGKQNFINAP-SNVBAGLBSA-N 0 0 294.282 2.798 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000858808763 636349064 /nfs/dbraw/zinc/34/90/64/636349064.db2.gz LGGXLGDXGAEEQX-IAQYHMDHSA-N 0 0 290.319 2.777 20 5 CFBDRN CC(C)(CCc1nc(C2(C)CCCC2)no1)[N+](=O)[O-] ZINC000858855764 636358006 /nfs/dbraw/zinc/35/80/06/636358006.db2.gz VUYIYLSERLVFDO-UHFFFAOYSA-N 0 0 267.329 2.889 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)OCCC(C)C ZINC000858914041 636381428 /nfs/dbraw/zinc/38/14/28/636381428.db2.gz PIZNWEGGZFQPKD-UHFFFAOYSA-N 0 0 296.323 2.876 20 5 CFBDRN CCCOCCOC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000859080981 636445114 /nfs/dbraw/zinc/44/51/14/636445114.db2.gz VEYGKOLZDGBTDT-UHFFFAOYSA-N 0 0 283.280 2.845 20 5 CFBDRN CCCCOC(=O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000048176447 650257541 /nfs/dbraw/zinc/25/75/41/650257541.db2.gz PWGFPXFHCIUQEA-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN Cc1c(C(=O)OC[C@@H]2C[C@@H]2C)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000859506546 636584948 /nfs/dbraw/zinc/58/49/48/636584948.db2.gz RVEIWQJXQDYOMN-CBAPKCEASA-N 0 0 294.263 2.624 20 5 CFBDRN CCC[C@@H](C)CCCOC(=O)Cn1cc([N+](=O)[O-])nc1C ZINC000859526756 636589648 /nfs/dbraw/zinc/58/96/48/636589648.db2.gz YESSGZSTNKAVRT-LLVKDONJSA-N 0 0 297.355 2.859 20 5 CFBDRN CC(C)=C(C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859583550 636610116 /nfs/dbraw/zinc/61/01/16/636610116.db2.gz WOHFBPWXRWVVAV-UHFFFAOYSA-N 0 0 295.266 2.816 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000859618239 636624335 /nfs/dbraw/zinc/62/43/35/636624335.db2.gz OALGHQXLSLPZKP-NXEZZACHSA-N 0 0 286.287 2.956 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000859692411 636654610 /nfs/dbraw/zinc/65/46/10/636654610.db2.gz VGUYJXGYZLSSCU-SFYZADRCSA-N 0 0 285.246 2.615 20 5 CFBDRN CCO[C@H](C(=O)OCc1csc([N+](=O)[O-])c1)C1CC1 ZINC000859866211 636731765 /nfs/dbraw/zinc/73/17/65/636731765.db2.gz IGQCQNUDWWFKPG-NSHDSACASA-N 0 0 285.321 2.515 20 5 CFBDRN Cc1noc(COC(=O)C[C@@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000860325128 636855864 /nfs/dbraw/zinc/85/58/64/636855864.db2.gz BWWBFHXJMLLCRX-RXMQYKEDSA-N 0 0 296.201 2.523 20 5 CFBDRN CCO[C@@H](C(=O)OCc1ccccc1[N+](=O)[O-])C(C)C ZINC000860366123 636866943 /nfs/dbraw/zinc/86/69/43/636866943.db2.gz CTCRCIODHFTGKD-CYBMUJFWSA-N 0 0 281.308 2.699 20 5 CFBDRN CCO[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000860369066 636868743 /nfs/dbraw/zinc/86/87/43/636868743.db2.gz PXEIHEDBFZSDMF-CYBMUJFWSA-N 0 0 299.298 2.838 20 5 CFBDRN CCO[C@@H](C(=O)Oc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000860479543 636893022 /nfs/dbraw/zinc/89/30/22/636893022.db2.gz SRDCRZZNUJQEBZ-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN CCO[C@H](C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000860507703 636901160 /nfs/dbraw/zinc/90/11/60/636901160.db2.gz XSXPOWXJNODKTN-LBPRGKRZSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@@H](COC(=O)Cc1coc2cc(Cl)ccc12)[N+](=O)[O-] ZINC000860777143 636969144 /nfs/dbraw/zinc/96/91/44/636969144.db2.gz LCRFMABIHRZOGD-QMMMGPOBSA-N 0 0 297.694 2.837 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1C(F)(F)F ZINC000860777344 636969239 /nfs/dbraw/zinc/96/92/39/636969239.db2.gz NRZIDAKLLBPUAQ-MRVPVSSYSA-N 0 0 291.225 2.836 20 5 CFBDRN Cc1ccccc1C[C@@H](C)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000860866749 636995104 /nfs/dbraw/zinc/99/51/04/636995104.db2.gz YALGHIJJWASWRL-GFCCVEGCSA-N 0 0 279.336 2.772 20 5 CFBDRN CC(C)(COC(=O)c1nccc2ccsc21)[N+](=O)[O-] ZINC000860866758 636995386 /nfs/dbraw/zinc/99/53/86/636995386.db2.gz YGLSYUJWXWKNAO-UHFFFAOYSA-N 0 0 280.305 2.508 20 5 CFBDRN O=C(/C=C/c1ccccc1Cl)OCCC[N+](=O)[O-] ZINC000860911074 637014672 /nfs/dbraw/zinc/01/46/72/637014672.db2.gz HBODUMZBHHGOLC-VOTSOKGWSA-N 0 0 269.684 2.563 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc2ccccc2s1 ZINC000860912805 637015023 /nfs/dbraw/zinc/01/50/23/637015023.db2.gz XTDOJTVOPWGMTB-UHFFFAOYSA-N 0 0 265.290 2.725 20 5 CFBDRN Cc1ccc(C(C)C)cc1OCC(=O)OCCC[N+](=O)[O-] ZINC000860912658 637015227 /nfs/dbraw/zinc/01/52/27/637015227.db2.gz VWPZIWRAEWNRCH-UHFFFAOYSA-N 0 0 295.335 2.707 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc2cc(F)ccc2s1 ZINC000860912553 637015459 /nfs/dbraw/zinc/01/54/59/637015459.db2.gz ROAXCDGXFUMVDS-UHFFFAOYSA-N 0 0 283.280 2.864 20 5 CFBDRN Cc1cccc2cc(C(=O)OCCC[N+](=O)[O-])oc21 ZINC000860915976 637016022 /nfs/dbraw/zinc/01/60/22/637016022.db2.gz LGAAYJNIJVDSIV-UHFFFAOYSA-N 0 0 263.249 2.565 20 5 CFBDRN O=C(Cn1ccc2ccc(Cl)cc21)OCCC[N+](=O)[O-] ZINC000860916077 637016490 /nfs/dbraw/zinc/01/64/90/637016490.db2.gz OAIBKOSEOFNKJS-UHFFFAOYSA-N 0 0 296.710 2.505 20 5 CFBDRN CC1(C)CCCC[C@H]1CC(=O)OCCC[N+](=O)[O-] ZINC000860918079 637017060 /nfs/dbraw/zinc/01/70/60/637017060.db2.gz ZPWNLGFBDKCWNX-NSHDSACASA-N 0 0 257.330 2.803 20 5 CFBDRN C[C@H](C(=O)OCCC[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000860918882 637017124 /nfs/dbraw/zinc/01/71/24/637017124.db2.gz FSINTUSBVDWKAR-VIFPVBQESA-N 0 0 271.700 2.654 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CC[C@H]1c1ccc(F)cc1 ZINC000860922470 637018001 /nfs/dbraw/zinc/01/80/01/637018001.db2.gz ABGPCHHDJIIJMP-QWHCGFSZSA-N 0 0 281.283 2.529 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1csc(C(F)(F)F)c1 ZINC000860922199 637018136 /nfs/dbraw/zinc/01/81/36/637018136.db2.gz LKDTXKOMVLNJEK-UHFFFAOYSA-N 0 0 283.227 2.591 20 5 CFBDRN Cc1cc(C(=O)OC[C@]2(C)CCCOC2)cc([N+](=O)[O-])c1 ZINC000861040997 637052567 /nfs/dbraw/zinc/05/25/67/637052567.db2.gz MWNYTXCNMGQHLM-OAHLLOKOSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@]2(C)CCCOC2)c1[N+](=O)[O-] ZINC000861040954 637052892 /nfs/dbraw/zinc/05/28/92/637052892.db2.gz JOHOKCJOYXNSFU-HNNXBMFYSA-N 0 0 293.319 2.877 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc2ccccc21)N1CCCCO1 ZINC000861211691 637118253 /nfs/dbraw/zinc/11/82/53/637118253.db2.gz KSAXXQQBGUPLAC-UHFFFAOYSA-N 0 0 286.287 2.916 20 5 CFBDRN Cc1c(CC(=O)OCC(C)(F)F)cccc1[N+](=O)[O-] ZINC000861222276 637120986 /nfs/dbraw/zinc/12/09/86/637120986.db2.gz IDVNEHCVJUXZOL-UHFFFAOYSA-N 0 0 273.235 2.644 20 5 CFBDRN C[C@@H](C(=O)OCC(C)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000861223101 637121767 /nfs/dbraw/zinc/12/17/67/637121767.db2.gz LIXMZOAZUXAQOJ-MRVPVSSYSA-N 0 0 273.235 2.897 20 5 CFBDRN O=C(NOC1CCC1)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000861880780 637249694 /nfs/dbraw/zinc/24/96/94/637249694.db2.gz IKMWMOBZZMVVIN-UHFFFAOYSA-N 0 0 286.287 2.962 20 5 CFBDRN CC[C@@H](C)NC(=S)Nc1cccc([N+](=O)[O-])c1 ZINC000013820815 637251069 /nfs/dbraw/zinc/25/10/69/637251069.db2.gz PRDWHHPEUAKONK-MRVPVSSYSA-N 0 0 253.327 2.680 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccsc1 ZINC000118678726 637261347 /nfs/dbraw/zinc/26/13/47/637261347.db2.gz SFLLNTBNHNHQIH-UHFFFAOYSA-N 0 0 291.332 2.975 20 5 CFBDRN CNC(=O)c1ccc(NCc2cccc([N+](=O)[O-])c2C)cc1 ZINC000863999463 637834362 /nfs/dbraw/zinc/83/43/62/637834362.db2.gz DPXBUVROPMLGOK-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2ccc3nonc3c2)c1 ZINC000864047092 637840214 /nfs/dbraw/zinc/84/02/14/637840214.db2.gz RKSXEOXYCDKQMN-UHFFFAOYSA-N 0 0 289.222 2.849 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NC1CCCCC1 ZINC000864395272 637961363 /nfs/dbraw/zinc/96/13/63/637961363.db2.gz LUCZKBIUQUMWNF-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1CC2(CSC2)C1 ZINC000864405027 637963796 /nfs/dbraw/zinc/96/37/96/637963796.db2.gz YCOONKWLRWIFHV-UHFFFAOYSA-N 0 0 278.333 2.677 20 5 CFBDRN CCCCCCNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864689876 638068666 /nfs/dbraw/zinc/06/86/66/638068666.db2.gz JBGIXMRPFTVLIL-UHFFFAOYSA-N 0 0 282.315 2.973 20 5 CFBDRN Cc1cc(OS(=O)(=O)c2cccs2)ccc1[N+](=O)[O-] ZINC000015335725 638113098 /nfs/dbraw/zinc/11/30/98/638113098.db2.gz INPXOFIVOYWQMJ-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN Cc1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2C)cn1 ZINC000076609228 650396084 /nfs/dbraw/zinc/39/60/84/650396084.db2.gz BGEXBLLNONCSES-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865000846 638153740 /nfs/dbraw/zinc/15/37/40/638153740.db2.gz MDRRVOZTFUTZBB-VHSXEESVSA-N 0 0 282.315 2.827 20 5 CFBDRN CC(C)C(C)(C)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865145995 638204460 /nfs/dbraw/zinc/20/44/60/638204460.db2.gz FPXQCQFLEHYRQA-UHFFFAOYSA-N 0 0 282.315 2.827 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H](C)C(=O)C(C)(C)C ZINC000865341727 638273125 /nfs/dbraw/zinc/27/31/25/638273125.db2.gz XURUILLHONODOI-VIFPVBQESA-N 0 0 281.308 2.986 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2CC3(CO2)CCOCC3)c1 ZINC000865342676 638273820 /nfs/dbraw/zinc/27/38/20/638273820.db2.gz UGTYQCKBTDTLMU-CQSZACIVSA-N 0 0 293.319 2.559 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OCOC1CCOCC1 ZINC000865368240 638287850 /nfs/dbraw/zinc/28/78/50/638287850.db2.gz OIAXTRZZZXRQEL-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCSC(C)(C)C ZINC000865367831 638287924 /nfs/dbraw/zinc/28/79/24/638287924.db2.gz HLRNULPKWGLVFN-UHFFFAOYSA-N 0 0 284.381 2.987 20 5 CFBDRN CCc1nc(C)cn1CCOc1ccccc1[N+](=O)[O-] ZINC000865392464 638302594 /nfs/dbraw/zinc/30/25/94/638302594.db2.gz INIXREUKPTWPEG-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CCCCCC2)cc1F ZINC000865397987 638308649 /nfs/dbraw/zinc/30/86/49/638308649.db2.gz VRXKXPGCLCVSQR-UHFFFAOYSA-N 0 0 268.288 2.607 20 5 CFBDRN Cc1ncc(CNc2cc(F)c([N+](=O)[O-])cc2CO)s1 ZINC000865464270 638352700 /nfs/dbraw/zinc/35/27/00/638352700.db2.gz WCGLJCMPANVLFC-UHFFFAOYSA-N 0 0 297.311 2.603 20 5 CFBDRN CN(CCn1cccn1)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000865533426 638402638 /nfs/dbraw/zinc/40/26/38/638402638.db2.gz IHBQRCTYHRFBTB-UHFFFAOYSA-N 0 0 296.277 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@H]2CC=CCC2)cc1F ZINC000865546964 638413285 /nfs/dbraw/zinc/41/32/85/638413285.db2.gz LLGSGAIMGQQJMF-JTQLQIEISA-N 0 0 266.272 2.747 20 5 CFBDRN C[C@](O)(CNc1ncc(F)cc1[N+](=O)[O-])c1ccsc1 ZINC000865553436 638417891 /nfs/dbraw/zinc/41/78/91/638417891.db2.gz HKWZAMGMGFJYMV-LBPRGKRZSA-N 0 0 297.311 2.510 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CCC3(CCC3)C2)cc1F ZINC000865610655 638458083 /nfs/dbraw/zinc/45/80/83/638458083.db2.gz VEVRKVOSGQBKIA-UHFFFAOYSA-N 0 0 280.299 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CC3(C2)CCCCC3)cc1F ZINC000865678693 638506649 /nfs/dbraw/zinc/50/66/49/638506649.db2.gz OKNIBTJEQSENIM-UHFFFAOYSA-N 0 0 294.326 2.997 20 5 CFBDRN C[C@H]1CC[C@H](Nc2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000865708876 638528050 /nfs/dbraw/zinc/52/80/50/638528050.db2.gz DVDNQWXTGFTHSZ-BQBZGAKWSA-N 0 0 292.261 2.948 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CCCC2(F)F)cc1F ZINC000865756223 638556167 /nfs/dbraw/zinc/55/61/67/638556167.db2.gz FSVODZLTAFUGHD-LLVKDONJSA-N 0 0 290.241 2.826 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CCC3(CCC3)CC2)cc1F ZINC000865801182 638580522 /nfs/dbraw/zinc/58/05/22/638580522.db2.gz ZRPOCDSIOGGOHE-UHFFFAOYSA-N 0 0 294.326 2.997 20 5 CFBDRN C[C@@]1(F)CCCN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865841089 638606393 /nfs/dbraw/zinc/60/63/93/638606393.db2.gz RUUVMNNFHMCUOQ-CYBMUJFWSA-N 0 0 286.278 2.555 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3C[C@@H](F)[C@@H](F)C3)c2c1 ZINC000865848483 638611501 /nfs/dbraw/zinc/61/15/01/638611501.db2.gz IMMPXJJDXUNTBK-PHIMTYICSA-N 0 0 279.246 2.639 20 5 CFBDRN CN(C)C(=O)CSc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865865049 638625052 /nfs/dbraw/zinc/62/50/52/638625052.db2.gz MDKNHWLSTHUZAK-UHFFFAOYSA-N 0 0 290.291 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](CF)C2)c(C(F)F)c1 ZINC000865874118 638633474 /nfs/dbraw/zinc/63/34/74/638633474.db2.gz RQFBYRWQZDUWCG-SECBINFHSA-N 0 0 290.241 2.707 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)CCO1 ZINC000865883228 638641920 /nfs/dbraw/zinc/64/19/20/638641920.db2.gz BXGHXDGHGAJRBK-QWRGUYRKSA-N 0 0 298.314 2.596 20 5 CFBDRN COCC1CN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000865914669 638655082 /nfs/dbraw/zinc/65/50/82/638655082.db2.gz SNHOOSQPDANVQH-UHFFFAOYSA-N 0 0 272.251 2.615 20 5 CFBDRN CN(Cc1c[nH]cn1)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000865929370 638663274 /nfs/dbraw/zinc/66/32/74/638663274.db2.gz HNEPKKLFEDHYTE-UHFFFAOYSA-N 0 0 282.250 2.892 20 5 CFBDRN CC1(C)CC(Nc2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865955960 638675400 /nfs/dbraw/zinc/67/54/00/638675400.db2.gz XLPCEJJLXOCCEN-UHFFFAOYSA-N 0 0 268.288 2.827 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCC2CC=CC2)cc1F ZINC000865965739 638679617 /nfs/dbraw/zinc/67/96/17/638679617.db2.gz PSRAUQHOXMVUNV-UHFFFAOYSA-N 0 0 266.272 2.604 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC(CCF)CC2)c1 ZINC000866024580 638702205 /nfs/dbraw/zinc/70/22/05/638702205.db2.gz VPNSUFGIJUEXFU-UHFFFAOYSA-N 0 0 267.304 2.753 20 5 CFBDRN CCC[C@@H](O)CCCSc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000866031820 638705409 /nfs/dbraw/zinc/70/54/09/638705409.db2.gz AZVXDHLYKMHUNC-SECBINFHSA-N 0 0 286.353 2.729 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000866040889 638707543 /nfs/dbraw/zinc/70/75/43/638707543.db2.gz KUCVBQLGEFHBQN-IYYTYJHQSA-N 0 0 278.308 2.775 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2 ZINC000866043292 638708492 /nfs/dbraw/zinc/70/84/92/638708492.db2.gz BCRKSMRNRYAAHP-JTKVUXJHSA-N 0 0 278.283 2.569 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)c(F)c1 ZINC000866044172 638709472 /nfs/dbraw/zinc/70/94/72/638709472.db2.gz GJMWSRHFKRMIEO-VISJDALTSA-N 0 0 296.273 2.708 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CCCC[C@@H]2F)cc1F ZINC000866045158 638709874 /nfs/dbraw/zinc/70/98/74/638709874.db2.gz FYYPUUKPKXRIAG-GXSJLCMTSA-N 0 0 286.278 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C(F)F)CC2)c(F)c1 ZINC000866064828 638719482 /nfs/dbraw/zinc/71/94/82/638719482.db2.gz YPEFBQZXEWEKGB-NSHDSACASA-N 0 0 290.241 2.594 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC=C(Cl)C1 ZINC000866062798 638719631 /nfs/dbraw/zinc/71/96/31/638719631.db2.gz WBSXHLKANTVISD-UHFFFAOYSA-N 0 0 281.699 2.955 20 5 CFBDRN CCOc1cc(N2C[C@H](OC)CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000866069277 638722383 /nfs/dbraw/zinc/72/23/83/638722383.db2.gz CJUJZUIMLQHUCA-DGCLKSJQSA-N 0 0 294.351 2.997 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000866069174 638722397 /nfs/dbraw/zinc/72/23/97/638722397.db2.gz JRUPISRPVWVYLP-MWLCHTKSSA-N 0 0 268.288 2.738 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H](C2CCC2)[C@H]2CCCO2)c1 ZINC000866109024 638741734 /nfs/dbraw/zinc/74/17/34/638741734.db2.gz YRJZBHKSYIVHID-CABCVRRESA-N 0 0 291.351 2.937 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C1CCC1)[C@@H]1CCOC1 ZINC000866144131 638757148 /nfs/dbraw/zinc/75/71/48/638757148.db2.gz WYANAHKKHRBCLZ-TZMCWYRMSA-N 0 0 291.351 2.915 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC23CCOCC3)c1 ZINC000866174327 638763851 /nfs/dbraw/zinc/76/38/51/638763851.db2.gz IPPQYIXJOXDCOV-NSHDSACASA-N 0 0 291.351 2.796 20 5 CFBDRN Cc1cnc(NCc2nn(C)cc2C(F)F)c([N+](=O)[O-])c1 ZINC000866192736 638766706 /nfs/dbraw/zinc/76/67/06/638766706.db2.gz DQSPLKQJVZVRIQ-UHFFFAOYSA-N 0 0 297.265 2.581 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H]2CSC[C@@H]2C1 ZINC000866202440 638769155 /nfs/dbraw/zinc/76/91/55/638769155.db2.gz USSIIUGUHJZPEX-UWVGGRQHSA-N 0 0 282.340 2.923 20 5 CFBDRN COc1cc(N2CC[C@H]3CSC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000866204322 638769744 /nfs/dbraw/zinc/76/97/44/638769744.db2.gz WFSXLEDVDQCXBZ-QWRGUYRKSA-N 0 0 294.376 2.793 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H]2CSC[C@H]2C1 ZINC000866207534 638770307 /nfs/dbraw/zinc/77/03/07/638770307.db2.gz PVAMHOSNTVGLKT-WDEREUQCSA-N 0 0 264.350 2.784 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H]2CSC[C@@H]2C1 ZINC000866207532 638770450 /nfs/dbraw/zinc/77/04/50/638770450.db2.gz PVAMHOSNTVGLKT-QWRGUYRKSA-N 0 0 264.350 2.784 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H](O)CC(C)(C)C2)c1 ZINC000866226463 638773940 /nfs/dbraw/zinc/77/39/40/638773940.db2.gz KYMAHGLOSLVJQU-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])c(C)c1)C1CCOCC1 ZINC000866265220 638783900 /nfs/dbraw/zinc/78/39/00/638783900.db2.gz YRZSYGJLLKOWGC-UHFFFAOYSA-N 0 0 294.351 2.535 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1CCCn2nccc21 ZINC000866298400 638791004 /nfs/dbraw/zinc/79/10/04/638791004.db2.gz YUVHHALBTHWULS-SNVBAGLBSA-N 0 0 276.271 2.877 20 5 CFBDRN CCON(CC)c1ccc(/C=C\C(=O)OC)cc1[N+](=O)[O-] ZINC000866307256 638794090 /nfs/dbraw/zinc/79/40/90/638794090.db2.gz VWIDGUIHGXYAPJ-CLFYSBASSA-N 0 0 294.307 2.559 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C(C)(C)C1 ZINC000866311182 638795144 /nfs/dbraw/zinc/79/51/44/638795144.db2.gz XTANTSUUMUPUPC-JTQLQIEISA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])[C@H]1C ZINC000866338271 638798957 /nfs/dbraw/zinc/79/89/57/638798957.db2.gz PSYFUSVUJACSNF-UWVGGRQHSA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)CC(C)C)c(N)c([N+](=O)[O-])c1 ZINC000121061208 638820225 /nfs/dbraw/zinc/82/02/25/638820225.db2.gz NEACCRLSGSEYLI-SNVBAGLBSA-N 0 0 279.340 2.650 20 5 CFBDRN Cc1cc([C@H](C)NCc2ccc(C)c([N+](=O)[O-])c2)nn1C ZINC000866540469 638838912 /nfs/dbraw/zinc/83/89/12/638838912.db2.gz OTEUQBILAICRJT-LBPRGKRZSA-N 0 0 288.351 2.796 20 5 CFBDRN Cc1ccc(CNC(=O)OC(C)C)cc1[N+](=O)[O-] ZINC000867785310 638897760 /nfs/dbraw/zinc/89/77/60/638897760.db2.gz NUDIQIISBHWYLA-UHFFFAOYSA-N 0 0 252.270 2.538 20 5 CFBDRN Cc1ccc(CNC(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000867785675 638897827 /nfs/dbraw/zinc/89/78/27/638897827.db2.gz TYVYIYBHAQDLAC-UHFFFAOYSA-N 0 0 266.297 2.928 20 5 CFBDRN CC1(CCNC(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000867914329 638904711 /nfs/dbraw/zinc/90/47/11/638904711.db2.gz FLTPUUZGEDGGIS-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN Cc1ccc(CNC(=O)NCCC(C)C)cc1[N+](=O)[O-] ZINC000867951448 638908672 /nfs/dbraw/zinc/90/86/72/638908672.db2.gz HYYUOYHXEJLMBE-UHFFFAOYSA-N 0 0 279.340 2.749 20 5 CFBDRN CCC[C@@H](C)NC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000867982978 638910994 /nfs/dbraw/zinc/91/09/94/638910994.db2.gz YWAVMDGHQVGROH-LLVKDONJSA-N 0 0 279.340 2.891 20 5 CFBDRN CC(C)C1(NC(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000868575545 638957731 /nfs/dbraw/zinc/95/77/31/638957731.db2.gz CMVXHEJUHRTSGF-UHFFFAOYSA-N 0 0 280.299 2.581 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000868611133 638961844 /nfs/dbraw/zinc/96/18/44/638961844.db2.gz QYOUNYXCVBKECR-AWEZNQCLSA-N 0 0 298.289 2.627 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000077897132 650460135 /nfs/dbraw/zinc/46/01/35/650460135.db2.gz XGVRYRDHVGJDGV-SECBINFHSA-N 0 0 268.288 2.756 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868878575 638988477 /nfs/dbraw/zinc/98/84/77/638988477.db2.gz KRCPHHUBVSMQHZ-SNVBAGLBSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C[C@H]1C ZINC000868896773 638990165 /nfs/dbraw/zinc/99/01/65/638990165.db2.gz IXJYBBCUBZOGKO-GMTAPVOTSA-N 0 0 294.326 2.779 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000869307406 639158107 /nfs/dbraw/zinc/15/81/07/639158107.db2.gz SHJCISSEFLCQLE-UHFFFAOYSA-N 0 0 294.307 2.553 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(=O)C(C)(C)C ZINC000869383022 639204687 /nfs/dbraw/zinc/20/46/87/639204687.db2.gz GVISBNMDVPOSTQ-MRVPVSSYSA-N 0 0 297.282 2.894 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000869387039 639207096 /nfs/dbraw/zinc/20/70/96/639207096.db2.gz CDJZFPMQMLQQPG-DTWKUNHWSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000869429145 639225049 /nfs/dbraw/zinc/22/50/49/639225049.db2.gz STSYQZGQGXUOSO-DTWKUNHWSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@H]2CCO[C@H]2C)c1F ZINC000869483907 639254494 /nfs/dbraw/zinc/25/44/94/639254494.db2.gz DHFBOMJPGAHYSQ-VHSXEESVSA-N 0 0 297.282 2.624 20 5 CFBDRN CCCCC(=O)COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000869544976 639289072 /nfs/dbraw/zinc/28/90/72/639289072.db2.gz QPGPYTCQFZRUDT-UHFFFAOYSA-N 0 0 297.282 2.958 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCO[C@@H]1C ZINC000869545253 639289946 /nfs/dbraw/zinc/28/99/46/639289946.db2.gz WHZUOUXHFYPVHF-ZJUUUORDSA-N 0 0 297.282 2.624 20 5 CFBDRN C/C(=C\C(=O)NCC(C)(C)F)c1ccc([N+](=O)[O-])cc1 ZINC000869623412 639328387 /nfs/dbraw/zinc/32/83/87/639328387.db2.gz GAHFBUOOLGELTQ-CSKARUKUSA-N 0 0 280.299 2.862 20 5 CFBDRN CCOC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000869769702 639394357 /nfs/dbraw/zinc/39/43/57/639394357.db2.gz PLBYQMVJARQXMO-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN CC(C)OCCCOC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869896398 639457428 /nfs/dbraw/zinc/45/74/28/639457428.db2.gz BORPJQXECITFRQ-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2C[C@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000870159962 639530229 /nfs/dbraw/zinc/53/02/29/639530229.db2.gz HIBCIQREKRVMEE-INTQDDNPSA-N 0 0 279.292 2.622 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)cccc1[N+](=O)[O-] ZINC000870159963 639530446 /nfs/dbraw/zinc/53/04/46/639530446.db2.gz HIBCIQREKRVMEE-JMJZKYOTSA-N 0 0 279.292 2.622 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC(CCF)CC1 ZINC000870275968 639575058 /nfs/dbraw/zinc/57/50/58/639575058.db2.gz RKVPADVNUHRQKX-UHFFFAOYSA-N 0 0 298.289 2.946 20 5 CFBDRN C[C@H](COC(=O)CCc1ccc(C(F)F)cc1)[N+](=O)[O-] ZINC000870377295 639616006 /nfs/dbraw/zinc/61/60/06/639616006.db2.gz PSNIOCOWVHHWOL-SECBINFHSA-N 0 0 287.262 2.765 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc(Cl)cc(Cl)c1F ZINC000870387413 639619444 /nfs/dbraw/zinc/61/94/44/639619444.db2.gz MVRAWFWLRAGTPA-YFKPBYRVSA-N 0 0 296.081 2.955 20 5 CFBDRN CC(C)(COC(=O)[C@H]1Cc2ccc(Cl)cc21)[N+](=O)[O-] ZINC000870468760 639653790 /nfs/dbraw/zinc/65/37/90/639653790.db2.gz YFYVACOTWPRNCF-NSHDSACASA-N 0 0 283.711 2.578 20 5 CFBDRN Cc1ccc2sc(NC(=O)[C@@H]3CC3[N+](=O)[O-])nc2c1C ZINC000870553061 639718259 /nfs/dbraw/zinc/71/82/59/639718259.db2.gz XFBBMEOZTNITHL-RKDXNWHRSA-N 0 0 291.332 2.517 20 5 CFBDRN CCO[C@@H](C)C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870699880 639777861 /nfs/dbraw/zinc/77/78/61/639777861.db2.gz MFULSEKMHWKSMF-NSHDSACASA-N 0 0 281.308 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@H]1CCCCO1 ZINC000870701464 639778949 /nfs/dbraw/zinc/77/89/49/639778949.db2.gz UPWRARYKTOISBW-CQSZACIVSA-N 0 0 293.319 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@]1(C)CCOC1 ZINC000870702053 639778971 /nfs/dbraw/zinc/77/89/71/639778971.db2.gz ZNKIYUWCOCWNNO-OAHLLOKOSA-N 0 0 293.319 2.681 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000870716683 639787080 /nfs/dbraw/zinc/78/70/80/639787080.db2.gz WPUKJAPQXXJQGO-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000870718024 639788005 /nfs/dbraw/zinc/78/80/05/639788005.db2.gz WKEOWIIYNZCTFI-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN CCCCOC(=O)COc1cc(C)c([N+](=O)[O-])cc1F ZINC000123359570 641093858 /nfs/dbraw/zinc/09/38/58/641093858.db2.gz MHGMJZSLFJYQET-UHFFFAOYSA-N 0 0 285.271 2.764 20 5 CFBDRN Cc1cccc(C(=O)NCC[C@@H]2CCCS2)c1[N+](=O)[O-] ZINC000871026474 641159129 /nfs/dbraw/zinc/15/91/29/641159129.db2.gz CSSZOHCGIYNSHK-NSHDSACASA-N 0 0 294.376 2.919 20 5 CFBDRN O=C(NCC[C@@H]1CCCS1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000871030246 641163072 /nfs/dbraw/zinc/16/30/72/641163072.db2.gz JTKIPUKKSMHCQG-LBPRGKRZSA-N 0 0 298.339 2.749 20 5 CFBDRN CCOC(COc1cc(C)c([N+](=O)[O-])cc1F)OCC ZINC000123550990 641265339 /nfs/dbraw/zinc/26/53/39/641265339.db2.gz MALOIGFJNWEPSE-UHFFFAOYSA-N 0 0 287.287 2.820 20 5 CFBDRN Cc1c(C(=O)N2C[C@@H]3CC=CC[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000871515388 641336284 /nfs/dbraw/zinc/33/62/84/641336284.db2.gz DSPRTOHGPKRNJG-BETUJISGSA-N 0 0 286.331 2.941 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000123715553 641368180 /nfs/dbraw/zinc/36/81/80/641368180.db2.gz XVOXTLMSQFWGER-MRVPVSSYSA-N 0 0 255.245 2.935 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000871630656 641374796 /nfs/dbraw/zinc/37/47/96/641374796.db2.gz NATKZUQEAQJECA-NTZNESFSSA-N 0 0 263.293 2.931 20 5 CFBDRN COc1ccc(OC[C@H]2CC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000871637532 641376807 /nfs/dbraw/zinc/37/68/07/641376807.db2.gz LGHHXWUOAJICQV-GFCCVEGCSA-N 0 0 293.319 2.941 20 5 CFBDRN Cc1ccc(OCc2nccn2CC(F)F)c([N+](=O)[O-])c1 ZINC000871641411 641378431 /nfs/dbraw/zinc/37/84/31/641378431.db2.gz WWHCJLAOLCASLA-UHFFFAOYSA-N 0 0 297.261 2.944 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000871651017 641382424 /nfs/dbraw/zinc/38/24/24/641382424.db2.gz OFWLAAQNXMXLRE-DRZSPHRISA-N 0 0 293.319 2.940 20 5 CFBDRN Cc1ccc(Cn2cnc(C(C)(C)C)n2)cc1[N+](=O)[O-] ZINC000871751405 641439699 /nfs/dbraw/zinc/43/96/99/641439699.db2.gz JKLVCMCXTAVZGZ-UHFFFAOYSA-N 0 0 274.324 2.841 20 5 CFBDRN Cc1c(OC(=O)Cc2ccoc2)cccc1[N+](=O)[O-] ZINC000123762697 641441085 /nfs/dbraw/zinc/44/10/85/641441085.db2.gz CSKPWXCRLKRMKQ-UHFFFAOYSA-N 0 0 261.233 2.644 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CC12CCC2 ZINC000871763012 641445878 /nfs/dbraw/zinc/44/58/78/641445878.db2.gz WLPCOQVUJBAECH-LLVKDONJSA-N 0 0 279.271 2.798 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCSC3(CCCCC3)C2)c1 ZINC000080301087 650661733 /nfs/dbraw/zinc/66/17/33/650661733.db2.gz BCYLJRARCKGBMD-UHFFFAOYSA-N 0 0 296.396 2.501 20 5 CFBDRN CC[C@@H](CC[NH2+]Cc1c(C)ccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000871874834 641493305 /nfs/dbraw/zinc/49/33/05/641493305.db2.gz WYZZAZRWIINYGG-LBPRGKRZSA-N 0 0 294.351 2.802 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)[O-])C[C@@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000871875562 641494104 /nfs/dbraw/zinc/49/41/04/641494104.db2.gz LTLRRIQESQKAHD-QKCSRTOESA-N 0 0 292.335 2.574 20 5 CFBDRN C[C@H](NCc1ccon1)c1c(F)cccc1[N+](=O)[O-] ZINC000872038824 641571618 /nfs/dbraw/zinc/57/16/18/641571618.db2.gz AAGFERZMJVUEQV-QMMMGPOBSA-N 0 0 265.244 2.573 20 5 CFBDRN C[C@H](NC1COC(C)(C)OC1)c1c(F)cccc1[N+](=O)[O-] ZINC000872062411 641594013 /nfs/dbraw/zinc/59/40/13/641594013.db2.gz MNBPVUKJXUXINS-VIFPVBQESA-N 0 0 298.314 2.536 20 5 CFBDRN Cc1ccc(C[N@@H+]2CCCC[C@H]2CC(=O)[O-])cc1[N+](=O)[O-] ZINC000872159876 641680243 /nfs/dbraw/zinc/68/02/43/641680243.db2.gz RPDLOBUKXULLAT-ZDUSSCGKSA-N 0 0 292.335 2.732 20 5 CFBDRN CC(=O)C1CCN(c2nc3ccccc3cc2[N+](=O)[O-])CC1 ZINC000872667833 641890239 /nfs/dbraw/zinc/89/02/39/641890239.db2.gz NLCMIIYXSGNCHJ-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN COc1cccc(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1[N+](=O)[O-] ZINC000872697051 641901042 /nfs/dbraw/zinc/90/10/42/641901042.db2.gz FUTZFSNYMIWXEL-GMXVVIOVSA-N 0 0 292.335 2.973 20 5 CFBDRN CC(C)OC1(CNc2ccc([N+](=O)[O-])cn2)CCC1 ZINC000872707271 641906727 /nfs/dbraw/zinc/90/67/27/641906727.db2.gz XIICWPTUBVNBCA-UHFFFAOYSA-N 0 0 265.313 2.749 20 5 CFBDRN C[C@]1(C(F)(F)F)CCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000872729444 641914540 /nfs/dbraw/zinc/91/45/40/641914540.db2.gz TZIMBEYLCVJXTO-JTQLQIEISA-N 0 0 293.220 2.908 20 5 CFBDRN Cc1csc([C@H]2CCN(c3ccc([N+](=O)[O-])cn3)C2)n1 ZINC000872734338 641916219 /nfs/dbraw/zinc/91/62/19/641916219.db2.gz FOEDFZZASVIQFB-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])C1 ZINC000872763252 641923151 /nfs/dbraw/zinc/92/31/51/641923151.db2.gz BDOUJOFOKCXETB-MGCOHNPYSA-N 0 0 293.323 2.522 20 5 CFBDRN CCOC(=O)[C@H](C)C1CN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000872772361 641927170 /nfs/dbraw/zinc/92/71/70/641927170.db2.gz MZVAICRWTBRNSS-LLVKDONJSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@@H](Nc1ncccc1[N+](=O)[O-])[C@@H](O)c1ccccc1 ZINC000872774297 641928963 /nfs/dbraw/zinc/92/89/63/641928963.db2.gz HLSJUQSBMHDQJY-OCCSQVGLSA-N 0 0 287.319 2.914 20 5 CFBDRN CCn1ncc(Nc2ncc([N+](=O)[O-])cc2C)c1C ZINC000872778155 641931682 /nfs/dbraw/zinc/93/16/82/641931682.db2.gz BMXGNQWBOGXDSP-UHFFFAOYSA-N 0 0 261.285 2.567 20 5 CFBDRN CCCn1cc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)cn1 ZINC000080734827 650706519 /nfs/dbraw/zinc/70/65/19/650706519.db2.gz RSVKFQNYASERIV-UHFFFAOYSA-N 0 0 294.336 2.824 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1CC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000872960029 641972126 /nfs/dbraw/zinc/97/21/26/641972126.db2.gz KHLAHJJWVXKCDV-ZWNOBZJWSA-N 0 0 289.335 2.898 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@@H](O)c1ccccc1 ZINC000124344117 641977882 /nfs/dbraw/zinc/97/78/82/641977882.db2.gz DETYGMMPYPHCFD-CYBMUJFWSA-N 0 0 277.251 2.846 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(O)cc2)cc([N+](=O)[O-])c1 ZINC000124392554 642009285 /nfs/dbraw/zinc/00/92/85/642009285.db2.gz KVTMTBYXAIQRLL-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN COc1cc(NCc2c(C)ccc([N+](=O)[O-])c2C)nn1C ZINC000873108596 642013488 /nfs/dbraw/zinc/01/34/88/642013488.db2.gz PCEVYWKUIWKUJN-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN O=C(OC[C@H]1CC2(CCC2)CO1)c1cccc([N+](=O)[O-])c1 ZINC000873317215 642133414 /nfs/dbraw/zinc/13/34/14/642133414.db2.gz IKEGGYSQDUVXCV-CYBMUJFWSA-N 0 0 291.303 2.711 20 5 CFBDRN CC[C@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873339312 642140766 /nfs/dbraw/zinc/14/07/66/642140766.db2.gz AKPXKZSOBQOZFP-LBPRGKRZSA-N 0 0 297.332 2.598 20 5 CFBDRN CCc1ccc(C(=O)OC[C@H]2C[C@@H](OC)C2)cc1[N+](=O)[O-] ZINC000873364872 642156573 /nfs/dbraw/zinc/15/65/73/642156573.db2.gz YJCBGVGHGMGZOJ-GSJFWJNXSA-N 0 0 293.319 2.739 20 5 CFBDRN CC[C@@H](OC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873377916 642166808 /nfs/dbraw/zinc/16/68/08/642166808.db2.gz RMLFDUJQQNTQMD-ATWMFIQVSA-N 0 0 291.303 2.909 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000873382998 642170015 /nfs/dbraw/zinc/17/00/15/642170015.db2.gz BCELPSGGPSWFGF-GYSYKLTISA-N 0 0 293.319 2.667 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCCCCCO ZINC000873438542 642204976 /nfs/dbraw/zinc/20/49/76/642204976.db2.gz QGCKKTDIDXKDKN-UHFFFAOYSA-N 0 0 281.308 2.531 20 5 CFBDRN Cc1conc1COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873438230 642205150 /nfs/dbraw/zinc/20/51/50/642205150.db2.gz GFSVZSGIWHLDAX-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000194898788 650732278 /nfs/dbraw/zinc/73/22/78/650732278.db2.gz PTTRGAFEFQECPQ-SNVBAGLBSA-N 0 0 295.295 2.812 20 5 CFBDRN Cn1cc(Cl)cc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000194935858 650733372 /nfs/dbraw/zinc/73/33/72/650733372.db2.gz XJXMKNFTALYWIC-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC1CCSCC1 ZINC000873557091 642248498 /nfs/dbraw/zinc/24/84/98/642248498.db2.gz ZKPVEPKYXWSBJH-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC1CCSCC1 ZINC000873558744 642249695 /nfs/dbraw/zinc/24/96/95/642249695.db2.gz ZJASKRQZUUZIAX-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])[C@H]1CC12CC2 ZINC000873624821 642276698 /nfs/dbraw/zinc/27/66/98/642276698.db2.gz RSQTUNGRQSFTPP-NXEZZACHSA-N 0 0 255.314 2.554 20 5 CFBDRN CC(=O)c1cc(OC(=O)CCC(C)(C)[N+](=O)[O-])ccc1F ZINC000873637561 642281661 /nfs/dbraw/zinc/28/16/61/642281661.db2.gz DZVHVNTWVLBCCU-UHFFFAOYSA-N 0 0 297.282 2.769 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccc3c(c2)OCO3)c1 ZINC000020056446 642293018 /nfs/dbraw/zinc/29/30/18/642293018.db2.gz PRWAKHMPRBVYJV-UHFFFAOYSA-N 0 0 272.260 2.936 20 5 CFBDRN CC(C)CCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124655808 642294353 /nfs/dbraw/zinc/29/43/53/642294353.db2.gz RYPNZHCHTKKEEC-UHFFFAOYSA-N 0 0 255.245 2.937 20 5 CFBDRN Cc1ncc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])s1 ZINC000195218920 650742421 /nfs/dbraw/zinc/74/24/21/650742421.db2.gz SWLRTTOHFYEGNO-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN CCOCCN(CC)C(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000874442579 642386281 /nfs/dbraw/zinc/38/62/81/642386281.db2.gz PRVNHJURJSJGDE-UHFFFAOYSA-N 0 0 294.351 2.710 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCC(F)(F)C(F)F ZINC000020518302 642422499 /nfs/dbraw/zinc/42/24/99/642422499.db2.gz IXHPUNCMPNTHPS-UHFFFAOYSA-N 0 0 281.161 2.687 20 5 CFBDRN C[C@@H](NC(=O)/C=C/[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000874625496 642427944 /nfs/dbraw/zinc/42/79/44/642427944.db2.gz GTINRBUEHGOLQS-DGTDAXKGSA-N 0 0 290.319 2.507 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@@H](C)O[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000874625587 642428982 /nfs/dbraw/zinc/42/89/82/642428982.db2.gz CCHPASBYDGOGMX-HNCHTBHHSA-N 0 0 292.335 2.586 20 5 CFBDRN CCC1(CC)CCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000874625869 642429454 /nfs/dbraw/zinc/42/94/54/642429454.db2.gz BIMSWFBSGZDRQH-UHFFFAOYSA-N 0 0 266.297 2.983 20 5 CFBDRN Cc1ncc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)s1 ZINC000195461681 650755058 /nfs/dbraw/zinc/75/50/58/650755058.db2.gz VVYXJCWPOSZOQY-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000125157652 642472612 /nfs/dbraw/zinc/47/26/12/642472612.db2.gz CBJXATUBGNWGNB-LLVKDONJSA-N 0 0 274.267 2.961 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CC12CCC2 ZINC000874777966 642477097 /nfs/dbraw/zinc/47/70/97/642477097.db2.gz PBGXWEHRAUYXPW-ZDUSSCGKSA-N 0 0 274.320 2.884 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@H]2CC23CCC3)c1F ZINC000874778549 642478299 /nfs/dbraw/zinc/47/82/99/642478299.db2.gz PLRGHLLHDAPMOW-VIFPVBQESA-N 0 0 296.273 2.854 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000874784263 642485504 /nfs/dbraw/zinc/48/55/04/642485504.db2.gz UNVGLFOXGYNBHH-CYBMUJFWSA-N 0 0 288.347 2.931 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CC23CCC3)c1[N+](=O)[O-] ZINC000874790171 642490616 /nfs/dbraw/zinc/49/06/16/642490616.db2.gz ZDIXGMVVDMZZKJ-NSHDSACASA-N 0 0 260.293 2.576 20 5 CFBDRN COCC1(N(C)C(=O)c2cccc([N+](=O)[O-])c2C)CCC1 ZINC000874935801 642558029 /nfs/dbraw/zinc/55/80/29/642558029.db2.gz RIKBSWUKQFLGHJ-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000875054741 642581136 /nfs/dbraw/zinc/58/11/36/642581136.db2.gz ZJFUSWXUPCNVCQ-LOKDSWTASA-N 0 0 296.298 2.732 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1(F)CCOCC1 ZINC000875053984 642581290 /nfs/dbraw/zinc/58/12/90/642581290.db2.gz DIHDTQCUMSKLGI-UHFFFAOYSA-N 0 0 296.298 2.669 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000875054326 642581306 /nfs/dbraw/zinc/58/13/06/642581306.db2.gz OBSGGJBNGPMYBQ-GHORINQJSA-N 0 0 260.293 2.825 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000875054743 642581376 /nfs/dbraw/zinc/58/13/76/642581376.db2.gz ZJFUSWXUPCNVCQ-VXFNFUGZSA-N 0 0 296.298 2.732 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000875155380 642592609 /nfs/dbraw/zinc/59/26/09/642592609.db2.gz FVRJRAVXHKAACA-SNVBAGLBSA-N 0 0 291.351 2.531 20 5 CFBDRN Cn1cc(NCc2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000084440023 650774628 /nfs/dbraw/zinc/77/46/28/650774628.db2.gz XDETVFZTHXVYAK-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000875882881 642706566 /nfs/dbraw/zinc/70/65/66/642706566.db2.gz JYKJIQXNRIXVQH-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCCC2(CC2)C1)[N+](=O)[O-] ZINC000888694141 642755037 /nfs/dbraw/zinc/75/50/37/642755037.db2.gz RBDWADZFBQCIPD-NSHDSACASA-N 0 0 268.357 2.661 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000085067473 650786575 /nfs/dbraw/zinc/78/65/75/650786575.db2.gz MBRPJNNYAYIYCE-JQWIXIFHSA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cnc1Cl ZINC000085309333 650787981 /nfs/dbraw/zinc/78/79/81/650787981.db2.gz YVYSGBCBLWTNSB-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC(C)(F)CNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000876135354 642778943 /nfs/dbraw/zinc/77/89/43/642778943.db2.gz NIVNVTOFPSOPAQ-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN Cc1ccc(CNC(=O)N2[C@@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000876172073 642787229 /nfs/dbraw/zinc/78/72/29/642787229.db2.gz QNMOLPLQSYLCJK-RYUDHWBXSA-N 0 0 291.351 2.986 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@]23C[C@H]2CCCC3)c1 ZINC000876278881 642809560 /nfs/dbraw/zinc/80/95/60/642809560.db2.gz NXWQGUBFCNTRDQ-MEBBXXQBSA-N 0 0 290.319 2.666 20 5 CFBDRN C[C@@H](OC(=O)[C@H](C)n1cccn1)c1ccccc1[N+](=O)[O-] ZINC000876468342 642883198 /nfs/dbraw/zinc/88/31/98/642883198.db2.gz KSCRZXDIWJHLTR-WDEREUQCSA-N 0 0 289.291 2.657 20 5 CFBDRN CCSCCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000125971659 642890373 /nfs/dbraw/zinc/89/03/73/642890373.db2.gz GCBNLQLXCRTYJH-UHFFFAOYSA-N 0 0 255.295 2.539 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000876742482 642989877 /nfs/dbraw/zinc/98/98/77/642989877.db2.gz PRSAGZQNCXBTPR-BETUJISGSA-N 0 0 276.336 2.575 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCc2cc(C3CC3)no2)c1 ZINC000876972465 643088124 /nfs/dbraw/zinc/08/81/24/643088124.db2.gz KUTMGRVOUYOZNY-UHFFFAOYSA-N 0 0 273.292 2.750 20 5 CFBDRN O=C(NCCC1CC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000088455887 650813125 /nfs/dbraw/zinc/81/31/25/650813125.db2.gz BOANFAIREZOMJM-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN COCCCCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000126500332 643256493 /nfs/dbraw/zinc/25/64/93/643256493.db2.gz KCSMJMUDOIEXOE-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN COc1cc(C)c(NCc2cn(C)nc2[N+](=O)[O-])cc1C ZINC000877479303 643296086 /nfs/dbraw/zinc/29/60/86/643296086.db2.gz AOPZOBQYCNLRLD-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1CC[C@@H](F)C1 ZINC000877559661 643360388 /nfs/dbraw/zinc/36/03/88/643360388.db2.gz RISBKCRYEOJSMU-LLVKDONJSA-N 0 0 252.289 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CC2)c(Cl)c1 ZINC000877874735 643615071 /nfs/dbraw/zinc/61/50/71/643615071.db2.gz OEDQJPRINACZJN-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN COC[C@@H](COc1ccc([N+](=O)[O-])cc1OC)C(C)C ZINC000877876491 643615960 /nfs/dbraw/zinc/61/59/60/643615960.db2.gz RFIBZZPUVGYQCN-NSHDSACASA-N 0 0 283.324 2.901 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCc2ccns2)n1 ZINC000877879627 643618588 /nfs/dbraw/zinc/61/85/88/643618588.db2.gz KKNNABFWPUDBQM-UHFFFAOYSA-N 0 0 279.321 2.685 20 5 CFBDRN COc1cc(OCc2cc(C)nnc2C)ccc1[N+](=O)[O-] ZINC000877889823 643625051 /nfs/dbraw/zinc/62/50/51/643625051.db2.gz LYLCXNBUJYGZEX-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN CC1CC(Cn2cc([N+](=O)[O-])c(-c3ccccn3)n2)C1 ZINC000877890959 643625804 /nfs/dbraw/zinc/62/58/04/643625804.db2.gz XBXQYMBYCHAPLT-UHFFFAOYSA-N 0 0 272.308 2.899 20 5 CFBDRN CCON(CC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000877903530 643632540 /nfs/dbraw/zinc/63/25/40/643632540.db2.gz WDQXRLRYXKCEOB-UHFFFAOYSA-N 0 0 267.285 2.709 20 5 CFBDRN CCON(CC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000877952808 643661183 /nfs/dbraw/zinc/66/11/83/643661183.db2.gz YKJUNIQVSWDFHX-UHFFFAOYSA-N 0 0 267.285 2.709 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc(F)n2)cc1[N+](=O)[O-] ZINC000127288426 644002437 /nfs/dbraw/zinc/00/24/37/644002437.db2.gz VXIFNHJNWNDXEY-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000127370045 644052653 /nfs/dbraw/zinc/05/26/53/644052653.db2.gz YTEAUYWTHJLWFI-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN CCC1(CC)CCCN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000879070997 644132328 /nfs/dbraw/zinc/13/23/28/644132328.db2.gz CRJGTLZXFOQVPN-UHFFFAOYSA-N 0 0 292.339 2.598 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000879325226 644232577 /nfs/dbraw/zinc/23/25/77/644232577.db2.gz JKYSRRPPRNFVPN-GWCFXTLKSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC[C@H](C)CC2)c(N)c([N+](=O)[O-])c1 ZINC000247284674 650902916 /nfs/dbraw/zinc/90/29/16/650902916.db2.gz ZLYLPAFJRWMAET-HOMQSWHASA-N 0 0 291.351 2.794 20 5 CFBDRN C[C@H]1CO[C@H](C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000888770756 644243954 /nfs/dbraw/zinc/24/39/54/644243954.db2.gz QLBWELUSKPSODT-HQJQHLMTSA-N 0 0 284.699 2.612 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000128183098 644523849 /nfs/dbraw/zinc/52/38/49/644523849.db2.gz QYAJRNVFSMYBLO-GXSJLCMTSA-N 0 0 277.324 2.580 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCC(F)CC3)nc2c1 ZINC000880242092 644605977 /nfs/dbraw/zinc/60/59/77/644605977.db2.gz PCQNTKTWJRVBOL-UHFFFAOYSA-N 0 0 279.271 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(CNC2(c3ncccn3)CCC2)cc1 ZINC000880364395 644668273 /nfs/dbraw/zinc/66/82/73/644668273.db2.gz ASFPLUHTKVXCSQ-UHFFFAOYSA-N 0 0 284.319 2.554 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000888815917 644713041 /nfs/dbraw/zinc/71/30/41/644713041.db2.gz XWDNDIIRGMPCOA-NSHDSACASA-N 0 0 294.376 2.999 20 5 CFBDRN CC(C)C[C@H]1CCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000128463255 644736536 /nfs/dbraw/zinc/73/65/36/644736536.db2.gz SCHDQJSQFGVNNA-GFCCVEGCSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCc2ncncc2C1 ZINC000880597133 644783167 /nfs/dbraw/zinc/78/31/67/644783167.db2.gz ONZOSZPZJHJZDI-GFCCVEGCSA-N 0 0 298.346 2.813 20 5 CFBDRN CCOc1cc(NC(=O)N[C@@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000888829259 644805264 /nfs/dbraw/zinc/80/52/64/644805264.db2.gz BTEOMLUPCXTGKJ-SNVBAGLBSA-N 0 0 291.307 2.834 20 5 CFBDRN CCOc1cc(NC(=O)NCC2(C)CC2)ccc1[N+](=O)[O-] ZINC000888832454 644815981 /nfs/dbraw/zinc/81/59/81/644815981.db2.gz FFCMQYCMGODTDO-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN CCOCCN(CC)C(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000888856279 644887771 /nfs/dbraw/zinc/88/77/71/644887771.db2.gz IHDKFHOVCUVNGY-UHFFFAOYSA-N 0 0 294.351 2.710 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2F)c(C)n1 ZINC000094665030 650961477 /nfs/dbraw/zinc/96/14/77/650961477.db2.gz RKGTUVRJCVOTDR-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000881489729 644988542 /nfs/dbraw/zinc/98/85/42/644988542.db2.gz WQEKLDPWVLZHGY-LLVKDONJSA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000881491838 644991107 /nfs/dbraw/zinc/99/11/07/644991107.db2.gz ANEWGIJTNNTMRB-JTQLQIEISA-N 0 0 285.303 2.772 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000881497918 644997644 /nfs/dbraw/zinc/99/76/44/644997644.db2.gz HSGMBAOCUAFQII-NWDGAFQWSA-N 0 0 274.320 2.781 20 5 CFBDRN CC1(C)CC[C@@H](CNC(=O)c2ccccc2[N+](=O)[O-])OC1 ZINC000881507599 645005132 /nfs/dbraw/zinc/00/51/32/645005132.db2.gz MNPNCOVTSRPVAQ-NSHDSACASA-N 0 0 292.335 2.530 20 5 CFBDRN CC1(C)CC[C@H](CNC(=O)c2ccc([N+](=O)[O-])s2)OC1 ZINC000881510704 645007280 /nfs/dbraw/zinc/00/72/80/645007280.db2.gz ZHDNOJZTVPYHRX-SECBINFHSA-N 0 0 298.364 2.591 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H](CF)C1)c1ccc([N+](=O)[O-])cc1F ZINC000881675629 645102741 /nfs/dbraw/zinc/10/27/41/645102741.db2.gz XLXFVTJTXWGTGL-VHSXEESVSA-N 0 0 298.289 2.655 20 5 CFBDRN Cc1nn(C[C@@H]2CCC3(CCC3)O2)c(C)c1[N+](=O)[O-] ZINC000882018435 645177025 /nfs/dbraw/zinc/17/70/25/645177025.db2.gz YCVBXHNJLMPKOU-NSHDSACASA-N 0 0 265.313 2.510 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCCC2(CCOCC2)C1 ZINC000882019225 645178029 /nfs/dbraw/zinc/17/80/29/645178029.db2.gz JAEDVQJJBXXKGF-UHFFFAOYSA-N 0 0 295.314 2.526 20 5 CFBDRN CC(C)[C@H]1CN(c2ccnc(F)c2[N+](=O)[O-])CCS1 ZINC000882035349 645183356 /nfs/dbraw/zinc/18/33/56/645183356.db2.gz JTODVEHRUTTWDP-SNVBAGLBSA-N 0 0 285.344 2.707 20 5 CFBDRN CCC1(CNc2ccnc(F)c2[N+](=O)[O-])CCOCC1 ZINC000882041370 645186160 /nfs/dbraw/zinc/18/61/60/645186160.db2.gz QNXAXUVCLSCKCR-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@H]1CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882060208 645189499 /nfs/dbraw/zinc/18/94/99/645189499.db2.gz QFYDQAYJERIAIR-GWCFXTLKSA-N 0 0 297.330 2.992 20 5 CFBDRN CC(=O)c1c(NC[C@@]2(C)CCCO2)cccc1[N+](=O)[O-] ZINC000882077321 645193864 /nfs/dbraw/zinc/19/38/64/645193864.db2.gz GKUQVWZEILBKFD-CQSZACIVSA-N 0 0 278.308 2.778 20 5 CFBDRN CC(=O)c1ccc(OCC[C@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000882147070 645218597 /nfs/dbraw/zinc/21/85/97/645218597.db2.gz ITLSCPCTBRMHBT-ZDUSSCGKSA-N 0 0 281.308 2.583 20 5 CFBDRN C[C@H]1CN(c2ccnc(F)c2[N+](=O)[O-])CC[C@@H]1C ZINC000882148412 645219313 /nfs/dbraw/zinc/21/93/13/645219313.db2.gz VKAYWPSTFJGAJH-IUCAKERBSA-N 0 0 253.277 2.611 20 5 CFBDRN CC(C)[C@H]1C[C@H](Nc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882156203 645222822 /nfs/dbraw/zinc/22/28/22/645222822.db2.gz MWPKFFHDUFBIJV-MWLCHTKSSA-N 0 0 283.303 2.744 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCO[C@H](C(C)C)C1 ZINC000882167037 645227159 /nfs/dbraw/zinc/22/71/59/645227159.db2.gz VNLSIKANEMBKLI-AWEZNQCLSA-N 0 0 292.335 2.659 20 5 CFBDRN Cc1nn(C[C@H]2CC(C)(C)OC2(C)C)cc1[N+](=O)[O-] ZINC000882170206 645227838 /nfs/dbraw/zinc/22/78/38/645227838.db2.gz LOXRAWMYVGVYLE-SNVBAGLBSA-N 0 0 267.329 2.693 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000129493798 645237487 /nfs/dbraw/zinc/23/74/87/645237487.db2.gz YZKGVJXYQNGHSC-SECBINFHSA-N 0 0 266.297 2.575 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC[C@H](c2ccccn2)C1 ZINC000882308511 645275561 /nfs/dbraw/zinc/27/55/61/645275561.db2.gz BKSWLZINIMIYBI-JTQLQIEISA-N 0 0 288.282 2.518 20 5 CFBDRN CCSCCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882313384 645279870 /nfs/dbraw/zinc/27/98/70/645279870.db2.gz KFLFQKVVNLETNH-UHFFFAOYSA-N 0 0 259.306 2.684 20 5 CFBDRN CCCCNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129581969 645280461 /nfs/dbraw/zinc/28/04/61/645280461.db2.gz DDZXNBQRFPBZKD-UHFFFAOYSA-N 0 0 256.689 2.778 20 5 CFBDRN O=C(NCC1CCC1)c1cccc([N+](=O)[O-])c1Cl ZINC000129588522 645281388 /nfs/dbraw/zinc/28/13/88/645281388.db2.gz DQISPLNURCQSFH-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN COCC(C)(C)CCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882371101 645293197 /nfs/dbraw/zinc/29/31/97/645293197.db2.gz VTYGZSCEYGNYRJ-UHFFFAOYSA-N 0 0 285.319 2.994 20 5 CFBDRN C[C@@H]1CN(c2ccnc(F)c2[N+](=O)[O-])CCC1(F)F ZINC000882382361 645295452 /nfs/dbraw/zinc/29/54/52/645295452.db2.gz RFIQWVOVFWDVOA-SSDOTTSWSA-N 0 0 275.230 2.610 20 5 CFBDRN CC(=O)c1c(NCC2=CCOCC2)cccc1[N+](=O)[O-] ZINC000882409458 645303420 /nfs/dbraw/zinc/30/34/20/645303420.db2.gz XWKQGSVPXTWWSQ-UHFFFAOYSA-N 0 0 276.292 2.556 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](CNc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882418821 645306267 /nfs/dbraw/zinc/30/62/67/645306267.db2.gz OKKGDCADCNMFCT-JQWIXIFHSA-N 0 0 297.330 2.992 20 5 CFBDRN CCC1(CNc2cccc([N+](=O)[O-])c2C(C)=O)COC1 ZINC000882428654 645309309 /nfs/dbraw/zinc/30/93/09/645309309.db2.gz MKLGRIZDPFLJTE-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@]2(CCSC2)C1 ZINC000882432099 645310887 /nfs/dbraw/zinc/31/08/87/645310887.db2.gz MXNYFLRURXPBMP-ZDUSSCGKSA-N 0 0 297.355 2.852 20 5 CFBDRN C[C@H](CNc1ccnc(F)c1[N+](=O)[O-])CC(F)F ZINC000882513802 645351128 /nfs/dbraw/zinc/35/11/28/645351128.db2.gz QDXKAXMGSOETNX-LURJTMIESA-N 0 0 263.219 2.832 20 5 CFBDRN CO[C@@H](CNc1ccnc(F)c1[N+](=O)[O-])C1CCCC1 ZINC000882632769 645396208 /nfs/dbraw/zinc/39/62/08/645396208.db2.gz ZFLHPLMKTUKMTF-NSHDSACASA-N 0 0 283.303 2.746 20 5 CFBDRN C[C@@H]1CN(c2ccnc(F)c2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000882650414 645400807 /nfs/dbraw/zinc/40/08/07/645400807.db2.gz YDZDGNNIWCKNNA-OCAPTIKFSA-N 0 0 289.257 2.856 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1CCCC12CC2 ZINC000882677090 645407506 /nfs/dbraw/zinc/40/75/06/645407506.db2.gz CBZLIKCLQIETIP-SECBINFHSA-N 0 0 251.261 2.874 20 5 CFBDRN C[C@@H](O)CSc1c(Br)cccc1[N+](=O)[O-] ZINC000882737446 645436500 /nfs/dbraw/zinc/43/65/00/645436500.db2.gz LTVYFZUYUGZIAU-ZCFIWIBFSA-N 0 0 292.154 2.830 20 5 CFBDRN CO[C@H]1C[C@H](CNc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000882797634 645459390 /nfs/dbraw/zinc/45/93/90/645459390.db2.gz LTNMHXRZFOYUGU-UMSPYCQHSA-N 0 0 287.319 2.980 20 5 CFBDRN Nc1ccc(NCC[C@H]2CCCC2(F)F)nc1[N+](=O)[O-] ZINC000882799353 645460630 /nfs/dbraw/zinc/46/06/30/645460630.db2.gz ZOFWAYAKHAONCA-MRVPVSSYSA-N 0 0 286.282 2.809 20 5 CFBDRN CO[C@H]1C[C@H](CNc2ccc([N+](=O)[O-])c3cnccc23)C1 ZINC000882799115 645460768 /nfs/dbraw/zinc/46/07/68/645460768.db2.gz PSOWKVHVBUJZAO-XYPYZODXSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCC[C@H](CF)C3)c2c1 ZINC000882801548 645462843 /nfs/dbraw/zinc/46/28/43/645462843.db2.gz UNSALOJRCLVSDX-SNVBAGLBSA-N 0 0 290.298 2.724 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CCC[C@@H](CF)C1 ZINC000882802446 645464279 /nfs/dbraw/zinc/46/42/79/645464279.db2.gz DKTSKRQZKIJNGG-VIFPVBQESA-N 0 0 283.259 2.689 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](CF)C2)c(C(F)(F)F)c1 ZINC000882839003 645474167 /nfs/dbraw/zinc/47/41/67/645474167.db2.gz DKUSFMAQBRDBLK-SSDOTTSWSA-N 0 0 293.220 2.804 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC[C@@H](CF)C1)CCCC2 ZINC000882839336 645474879 /nfs/dbraw/zinc/47/48/79/645474879.db2.gz ODFRRJMVTMTCDE-JTQLQIEISA-N 0 0 279.315 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](CF)C2)cc1OC(F)F ZINC000882841028 645476396 /nfs/dbraw/zinc/47/63/96/645476396.db2.gz KSBILLWAQMMFEX-MRVPVSSYSA-N 0 0 290.241 2.992 20 5 CFBDRN COc1cc(N2CC[C@@H](CF)C2)c(F)cc1[N+](=O)[O-] ZINC000882841041 645476436 /nfs/dbraw/zinc/47/64/36/645476436.db2.gz LBHZIEZPFHWLHF-QMMMGPOBSA-N 0 0 272.251 2.538 20 5 CFBDRN Nc1ccc(N2CC[C@@H](c3nccs3)C2)c([N+](=O)[O-])c1 ZINC000882856599 645479724 /nfs/dbraw/zinc/47/97/24/645479724.db2.gz OKVASPFTGNNMJD-SECBINFHSA-N 0 0 290.348 2.627 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](CO)Cc2ccoc2)c1 ZINC000882866166 645481759 /nfs/dbraw/zinc/48/17/59/645481759.db2.gz GCIWPHXUICRUPJ-CYBMUJFWSA-N 0 0 290.319 2.759 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(N[C@@H]3C[C@@H]4CCCC[C@H]34)nn21 ZINC000882907003 645505418 /nfs/dbraw/zinc/50/54/18/645505418.db2.gz HMYDTNNGQRGUFX-GARJFASQSA-N 0 0 287.323 2.628 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC2(CCCCO2)CC1 ZINC000882949285 645523615 /nfs/dbraw/zinc/52/36/15/645523615.db2.gz JLWCHIFPEUEVHN-UHFFFAOYSA-N 0 0 277.324 2.529 20 5 CFBDRN Cc1nc(N2CCC3(CCCCO3)CC2)ccc1[N+](=O)[O-] ZINC000882950185 645524734 /nfs/dbraw/zinc/52/47/34/645524734.db2.gz ZLMWQIOZMIDZCY-UHFFFAOYSA-N 0 0 291.351 2.838 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC2(CCCCO2)CC1 ZINC000882949889 645524928 /nfs/dbraw/zinc/52/49/28/645524928.db2.gz LWDPYJZGGQDSOF-UHFFFAOYSA-N 0 0 277.324 2.529 20 5 CFBDRN CC[C@H](Nc1ccc(N)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000882954384 645525658 /nfs/dbraw/zinc/52/56/58/645525658.db2.gz MXMMVSRSMJIUDE-JQWIXIFHSA-N 0 0 279.340 2.794 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)[C@H]1CCCOC1 ZINC000882954520 645526617 /nfs/dbraw/zinc/52/66/17/645526617.db2.gz PUZUTDVAEBACRR-JOYOIKCWSA-N 0 0 283.303 2.746 20 5 CFBDRN CC[N@@H+]1CC[C@@H]1CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000882958759 645528479 /nfs/dbraw/zinc/52/84/79/645528479.db2.gz JQFGHGMOGDADGR-CYBMUJFWSA-N 0 0 286.335 2.649 20 5 CFBDRN CC(C)[C@H](O)CCn1ccc2c1cccc2[N+](=O)[O-] ZINC000882970966 645533905 /nfs/dbraw/zinc/53/39/05/645533905.db2.gz URVUQGZYTBXMEM-CQSZACIVSA-N 0 0 262.309 2.957 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC3(CCC3)O2)c(F)c1 ZINC000882973126 645535652 /nfs/dbraw/zinc/53/56/52/645535652.db2.gz JHBNYCKNFZNCMB-SNVBAGLBSA-N 0 0 281.287 2.643 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCC2(CCC2)O1 ZINC000882972961 645535957 /nfs/dbraw/zinc/53/59/57/645535957.db2.gz CQFUANCGXAMAHQ-NSHDSACASA-N 0 0 294.355 2.633 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000130777036 645569174 /nfs/dbraw/zinc/56/91/74/645569174.db2.gz SGNQRPVNNXUWCI-MRVPVSSYSA-N 0 0 290.275 2.909 20 5 CFBDRN C[C@@H](NC(=O)NC[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000883153413 645629822 /nfs/dbraw/zinc/62/98/22/645629822.db2.gz CKBJAOTVNBTYLK-NEPJUHHUSA-N 0 0 289.335 2.921 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000883153707 645629961 /nfs/dbraw/zinc/62/99/61/645629961.db2.gz LRYYNYFJUMEZOC-GHMZBOCLSA-N 0 0 295.314 2.657 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H](CF)C1)c1ccccc1[N+](=O)[O-] ZINC000883552860 645885594 /nfs/dbraw/zinc/88/55/94/645885594.db2.gz DHPGWDGIEPXDGS-QWRGUYRKSA-N 0 0 295.314 2.657 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)C1 ZINC000132240467 645896846 /nfs/dbraw/zinc/89/68/46/645896846.db2.gz HFPOPPIBWMZBMV-SFYZADRCSA-N 0 0 284.262 2.791 20 5 CFBDRN Cc1cc(Cl)ncc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000305256379 651056292 /nfs/dbraw/zinc/05/62/92/651056292.db2.gz MTIVLNNOYVZMDC-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1C[C@@H]1C1CC1 ZINC000132767088 645964007 /nfs/dbraw/zinc/96/40/07/645964007.db2.gz KXJGLRNOPAOGIW-NEPJUHHUSA-N 0 0 265.240 2.685 20 5 CFBDRN CC[C@H](C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000133108567 646031079 /nfs/dbraw/zinc/03/10/79/646031079.db2.gz UVAOYEQDWDQLNB-QMMMGPOBSA-N 0 0 255.245 2.728 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000800043767 646119933 /nfs/dbraw/zinc/11/99/33/646119933.db2.gz YZLZXUAHJFKIJV-NEPJUHHUSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H](F)C(F)(F)F)cc1[N+](=O)[O-] ZINC000800083761 646130053 /nfs/dbraw/zinc/13/00/53/646130053.db2.gz OHFXUKDGRZHVCM-SECBINFHSA-N 0 0 295.188 2.960 20 5 CFBDRN CC(=O)CCC(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000800093330 646136693 /nfs/dbraw/zinc/13/66/93/646136693.db2.gz ZTQWPQJAAHGAAB-UHFFFAOYSA-N 0 0 285.683 2.661 20 5 CFBDRN Cc1c(C(=O)OC[C@H](F)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000800098899 646141039 /nfs/dbraw/zinc/14/10/39/646141039.db2.gz CWITVJDJWXHHGO-VIFPVBQESA-N 0 0 295.188 2.960 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCOCC1CC1 ZINC000800145880 646156017 /nfs/dbraw/zinc/15/60/17/646156017.db2.gz QGQNCAOAUXWALS-UHFFFAOYSA-N 0 0 296.298 2.798 20 5 CFBDRN Cc1noc(C)c1C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800144720 646156155 /nfs/dbraw/zinc/15/61/55/646156155.db2.gz CFLNBSHJDMSRFW-UHFFFAOYSA-N 0 0 293.254 2.899 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2C[C@@H]2C)c(F)ccc1[N+](=O)[O-] ZINC000800150399 646157125 /nfs/dbraw/zinc/15/71/25/646157125.db2.gz NXAFJMIFLIUDHW-POYBYMJQSA-N 0 0 252.245 2.637 20 5 CFBDRN Cc1cccnc1C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800148380 646157862 /nfs/dbraw/zinc/15/78/62/646157862.db2.gz BVPWIHDVARJAFS-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1ccc(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)cn1 ZINC000800151458 646157968 /nfs/dbraw/zinc/15/79/68/646157968.db2.gz KHLQIWXOZYUSFG-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1cc(C(=O)OC[C@H](F)C(F)(F)F)cc([N+](=O)[O-])c1 ZINC000800151649 646158735 /nfs/dbraw/zinc/15/87/35/646158735.db2.gz PUEJOPQSRDNBNT-VIFPVBQESA-N 0 0 295.188 2.960 20 5 CFBDRN C[C@H](NC(=O)N(C)CCCF)c1cccc([N+](=O)[O-])c1 ZINC000884085831 646205897 /nfs/dbraw/zinc/20/58/97/646205897.db2.gz FTGUDCPGHRENAG-JTQLQIEISA-N 0 0 283.303 2.657 20 5 CFBDRN O=C(NCc1ccccc1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134018652 646267180 /nfs/dbraw/zinc/26/71/80/646267180.db2.gz PYPOJRFQYDWHTE-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN CC[C@](C)(CC(=O)OC)[NH2+]Cc1c(F)cccc1[N+](=O)[O-] ZINC000134143519 646290559 /nfs/dbraw/zinc/29/05/59/646290559.db2.gz FYQJTOFCWKREIQ-CQSZACIVSA-N 0 0 298.314 2.555 20 5 CFBDRN CCCNC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000134169334 646297124 /nfs/dbraw/zinc/29/71/24/646297124.db2.gz IOHZMKQIIVPFSX-QMMMGPOBSA-N 0 0 286.715 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCc2ncccn2)c1 ZINC000134203188 646304549 /nfs/dbraw/zinc/30/45/49/646304549.db2.gz SVUVCTLFZCQIBJ-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN C[C@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000134220042 646307174 /nfs/dbraw/zinc/30/71/74/646307174.db2.gz KKWRAWMDYFGZCO-MRVPVSSYSA-N 0 0 266.272 2.510 20 5 CFBDRN CSCC[C@H](C)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000134233687 646308307 /nfs/dbraw/zinc/30/83/07/646308307.db2.gz HPQQLHCRQGYEBV-BWNDKRECSA-N 0 0 294.376 2.866 20 5 CFBDRN CCC(CC)CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000134394794 646339244 /nfs/dbraw/zinc/33/92/44/646339244.db2.gz SOUHSWXSIHTSLT-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN C=C/C=C/CCOC(=O)c1n[nH]c(CCC)c1[N+](=O)[O-] ZINC000884485896 646391586 /nfs/dbraw/zinc/39/15/86/646391586.db2.gz JUAVPVNIVHNYNN-AATRIKPKSA-N 0 0 279.296 2.560 20 5 CFBDRN COc1cccc(NC[C@@H](O)c2ccccc2)c1[N+](=O)[O-] ZINC000134904439 646402868 /nfs/dbraw/zinc/40/28/68/646402868.db2.gz QWDXFTXZCQVNMW-CYBMUJFWSA-N 0 0 288.303 2.749 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2=CCCOC2)c2ccccc21 ZINC000884509465 646407616 /nfs/dbraw/zinc/40/76/16/646407616.db2.gz GUKSGYTYDAUYCB-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN CC(C)CCCC(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884531371 646427022 /nfs/dbraw/zinc/42/70/22/646427022.db2.gz KLTINYUNPGLXRB-UHFFFAOYSA-N 0 0 266.297 2.859 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H]3[C@@H](C2)C3(F)F)c2ccccc21 ZINC000884546707 646439463 /nfs/dbraw/zinc/43/94/63/646439463.db2.gz RSPZBPJMOVLEDD-PHIMTYICSA-N 0 0 291.257 2.844 20 5 CFBDRN C[C@@H](CC(F)(F)F)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884546213 646439498 /nfs/dbraw/zinc/43/94/98/646439498.db2.gz DABVZKWJLOXQHU-ZETCQYMHSA-N 0 0 292.213 2.622 20 5 CFBDRN Cc1cc(NCc2cc(C3CC3)no2)ncc1[N+](=O)[O-] ZINC000884637992 646498250 /nfs/dbraw/zinc/49/82/50/646498250.db2.gz IFDALLBFWKPPKJ-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000884650133 646508392 /nfs/dbraw/zinc/50/83/92/646508392.db2.gz ZOGRRGKWXXUKPB-PKZYVASSSA-N 0 0 261.325 2.881 20 5 CFBDRN Cc1nc(NC[C@@H]2CC3(CCC3)CO2)ccc1[N+](=O)[O-] ZINC000884662248 646516114 /nfs/dbraw/zinc/51/61/14/646516114.db2.gz LLKKKCHYSBAVTN-NSHDSACASA-N 0 0 277.324 2.669 20 5 CFBDRN CCC(CC)OC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000135338805 646520102 /nfs/dbraw/zinc/52/01/02/646520102.db2.gz CDYAFHNPBJRHQW-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H]([C@@H]3CCCO3)C2)c(F)c1 ZINC000884730117 646543471 /nfs/dbraw/zinc/54/34/71/646543471.db2.gz CLTXBVFABHQOBF-RNCFNFMXSA-N 0 0 298.289 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]([C@H]3CCCO3)C2)s1 ZINC000884731207 646544168 /nfs/dbraw/zinc/54/41/68/646544168.db2.gz UZIZRPKVUKTPMI-NXEZZACHSA-N 0 0 268.338 2.662 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@@H]3CCCO3)C2)ccc1[N+](=O)[O-] ZINC000884731137 646544372 /nfs/dbraw/zinc/54/43/72/646544372.db2.gz TZHUYBZXLPQINK-DOMZBBRYSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1ccc(N2CC[C@@H]([C@@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000884731161 646544389 /nfs/dbraw/zinc/54/43/89/646544389.db2.gz UILUTSMHHPPBJR-DOMZBBRYSA-N 0 0 276.336 2.909 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000884731359 646544546 /nfs/dbraw/zinc/54/45/46/646544546.db2.gz XITBDEVIZNUHTO-QMTHXVAHSA-N 0 0 280.299 2.739 20 5 CFBDRN CN(CCCF)c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000884859100 646560160 /nfs/dbraw/zinc/56/01/60/646560160.db2.gz QJRIWDOXRPDTPQ-UHFFFAOYSA-N 0 0 281.209 2.804 20 5 CFBDRN CC[C@@H]1CCC[C@@]1(C)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000885062017 646597828 /nfs/dbraw/zinc/59/78/28/646597828.db2.gz RGDZOXACUJJNBH-BXUZGUMPSA-N 0 0 295.339 2.588 20 5 CFBDRN CO[C@@H](COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])C1CC1 ZINC000885424363 646684730 /nfs/dbraw/zinc/68/47/30/646684730.db2.gz OOFKKKXHUDATDX-ZDUSSCGKSA-N 0 0 293.319 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCC[C@H](C)O)c1C ZINC000885423972 646685011 /nfs/dbraw/zinc/68/50/11/646685011.db2.gz ICJATSOUUWCKBT-JTQLQIEISA-N 0 0 281.308 2.529 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)C1(C2CC2)CC1 ZINC000885734585 646742120 /nfs/dbraw/zinc/74/21/20/646742120.db2.gz FDUXETKUBWAPFP-UHFFFAOYSA-N 0 0 291.303 2.707 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000886007382 646812134 /nfs/dbraw/zinc/81/21/34/646812134.db2.gz IQSZOPOWLJLENE-HWPZZCPQSA-N 0 0 299.330 2.996 20 5 CFBDRN O=[N+]([O-])c1ncccc1CNC1(c2ccc(F)cc2)CC1 ZINC000886065838 646851773 /nfs/dbraw/zinc/85/17/73/646851773.db2.gz WLAWUGULTPSIQV-UHFFFAOYSA-N 0 0 287.294 2.908 20 5 CFBDRN C[C@@H]1COc2ccccc2N1Cc1cccnc1[N+](=O)[O-] ZINC000886072718 646855179 /nfs/dbraw/zinc/85/51/79/646855179.db2.gz MDGJEKAIPORFLA-LLVKDONJSA-N 0 0 285.303 2.777 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC(OC2CCC2)CC1 ZINC000886249243 646937352 /nfs/dbraw/zinc/93/73/52/646937352.db2.gz GBVIKQJSSFVLLD-UHFFFAOYSA-N 0 0 291.351 2.523 20 5 CFBDRN COc1ccc2c(c1)N(Cc1cccnc1[N+](=O)[O-])CCC2 ZINC000886283741 646952275 /nfs/dbraw/zinc/95/22/75/646952275.db2.gz FXQMDDVKHPNMMJ-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN CC(C)CCO[C@@H]1CCN(Cc2cccnc2[N+](=O)[O-])C1 ZINC000886290349 646956590 /nfs/dbraw/zinc/95/65/90/646956590.db2.gz BVSGDXSXRIXJNZ-CQSZACIVSA-N 0 0 293.367 2.627 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH2+]C1(C2CC2)CCC1 ZINC000886391952 646994927 /nfs/dbraw/zinc/99/49/27/646994927.db2.gz IMCHIALGRGZIBW-UHFFFAOYSA-N 0 0 262.309 2.723 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000886478398 647013888 /nfs/dbraw/zinc/01/38/88/647013888.db2.gz VUHKZALAZHNGCF-WDEREUQCSA-N 0 0 298.364 2.546 20 5 CFBDRN CC(C)[C@@H](F)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887400701 647302973 /nfs/dbraw/zinc/30/29/73/647302973.db2.gz HVEORJKGVSVJTD-ZWNOBZJWSA-N 0 0 282.315 2.809 20 5 CFBDRN C[C@@H](CNC(=O)C1CC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000887402562 647303758 /nfs/dbraw/zinc/30/37/58/647303758.db2.gz FGORFJCLURRZSJ-VIFPVBQESA-N 0 0 298.289 2.860 20 5 CFBDRN CO[C@H](C)CCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403092 647303788 /nfs/dbraw/zinc/30/37/88/647303788.db2.gz OOCYLEJEMQJIEY-NWDGAFQWSA-N 0 0 294.351 2.630 20 5 CFBDRN Cc1ccncc1C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887402398 647303962 /nfs/dbraw/zinc/30/39/62/647303962.db2.gz BROIJMPHUVZWLK-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN CC(C)Cn1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2)n1 ZINC000887598685 647367609 /nfs/dbraw/zinc/36/76/09/647367609.db2.gz DMNKKIRUDBSBAW-UHFFFAOYSA-N 0 0 289.291 2.667 20 5 CFBDRN CC1(C)CO[C@@H](CC(=O)Oc2cccc([N+](=O)[O-])c2)C1 ZINC000887598828 647367769 /nfs/dbraw/zinc/36/77/69/647367769.db2.gz JPKYPXKITMYXFM-LBPRGKRZSA-N 0 0 279.292 2.705 20 5 CFBDRN COc1ccc(OC(=O)C2(C3CC3)CC2)c([N+](=O)[O-])c1 ZINC000887660477 647382937 /nfs/dbraw/zinc/38/29/37/647382937.db2.gz MTGKKAQASJPUSF-UHFFFAOYSA-N 0 0 277.276 2.699 20 5 CFBDRN CN(C(=O)c1cnc(C2CC2)o1)c1ccc([N+](=O)[O-])cc1 ZINC000887822060 647418532 /nfs/dbraw/zinc/41/85/32/647418532.db2.gz JFNVQXUSGKAHDV-UHFFFAOYSA-N 0 0 287.275 2.737 20 5 CFBDRN Cc1cccc(C)c1CN(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000887960587 647447765 /nfs/dbraw/zinc/44/77/65/647447765.db2.gz NGHGWXNDFMMIKY-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@@H](C(F)(F)F)C1 ZINC000888005573 647461103 /nfs/dbraw/zinc/46/11/03/647461103.db2.gz APYXYVLQDYZLAN-SSDOTTSWSA-N 0 0 294.254 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@@H](C)C2)c1C ZINC000889293313 647538834 /nfs/dbraw/zinc/53/88/34/647538834.db2.gz KEJCJQHRQJGLPL-QWRGUYRKSA-N 0 0 276.336 2.940 20 5 CFBDRN CCc1cnc(NC(=O)CCc2cccc([N+](=O)[O-])c2)o1 ZINC000889389961 647557354 /nfs/dbraw/zinc/55/73/54/647557354.db2.gz AKUGVLQCLFAUPY-UHFFFAOYSA-N 0 0 289.291 2.717 20 5 CFBDRN CCc1cnc(NC(=O)c2ccc(CC)c([N+](=O)[O-])c2)o1 ZINC000889391617 647558376 /nfs/dbraw/zinc/55/83/76/647558376.db2.gz OXQUSZFTKXTKEE-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000889584202 647604637 /nfs/dbraw/zinc/60/46/37/647604637.db2.gz KJLSVXSMSZVADE-BPYAMOTFSA-N 0 0 299.330 2.995 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000889588133 647606725 /nfs/dbraw/zinc/60/67/25/647606725.db2.gz VBDQIDGJOXDYEH-KXUCPTDWSA-N 0 0 280.349 2.883 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000889599884 647612011 /nfs/dbraw/zinc/61/20/11/647612011.db2.gz NVODTHDODUCQLA-OPQQBVKSSA-N 0 0 299.330 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)Cc1cccnc1 ZINC000889660509 647633955 /nfs/dbraw/zinc/63/39/55/647633955.db2.gz ZZUCOGOKDAYFQD-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccnn1C1CC1 ZINC000889691865 647654416 /nfs/dbraw/zinc/65/44/16/647654416.db2.gz WPNIVPXGGNFVNP-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN O=C(Nc1ccnn1C1CCC1)c1csc([N+](=O)[O-])c1 ZINC000890350446 647782325 /nfs/dbraw/zinc/78/23/25/647782325.db2.gz JULHZVNKFSIZMB-UHFFFAOYSA-N 0 0 292.320 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CSC2(C)C)c1C ZINC000890447344 647803467 /nfs/dbraw/zinc/80/34/67/647803467.db2.gz CJTHJCLLGOASCH-NSHDSACASA-N 0 0 294.376 2.835 20 5 CFBDRN Cc1c(C(=O)OCC(=O)c2ccccc2)cccc1[N+](=O)[O-] ZINC000003444773 647831706 /nfs/dbraw/zinc/83/17/06/647831706.db2.gz WCJYDPFPVMBQPJ-UHFFFAOYSA-N 0 0 299.282 2.943 20 5 CFBDRN C[C@@H](OC(=O)c1cccn1C)c1cccc([N+](=O)[O-])c1 ZINC000007635485 647867744 /nfs/dbraw/zinc/86/77/44/647867744.db2.gz YNGURYXTQZZGGK-SNVBAGLBSA-N 0 0 274.276 2.851 20 5 CFBDRN C[C@H](OC(=O)CC1(C)COC1)c1cccc([N+](=O)[O-])c1 ZINC000924113060 647924795 /nfs/dbraw/zinc/92/47/95/647924795.db2.gz OAOGOGYWUCHSPP-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN CC(C)C(=O)COC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000924242607 647947325 /nfs/dbraw/zinc/94/73/25/647947325.db2.gz WXVRELDWMLAJGU-UHFFFAOYSA-N 0 0 299.710 2.559 20 5 CFBDRN CCC1(CC(=O)OCc2csc([N+](=O)[O-])c2)COC1 ZINC000924344296 647962562 /nfs/dbraw/zinc/96/25/62/647962562.db2.gz UFTDHNIYKDBIRB-UHFFFAOYSA-N 0 0 285.321 2.516 20 5 CFBDRN C[C@]1(C(=O)OCc2cccc([N+](=O)[O-])c2)C=CCC1 ZINC000924402897 647973562 /nfs/dbraw/zinc/97/35/62/647973562.db2.gz ARYBILVFIRBILO-AWEZNQCLSA-N 0 0 261.277 2.994 20 5 CFBDRN Cc1nonc1[C@H](C)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000924799861 648050038 /nfs/dbraw/zinc/05/00/38/648050038.db2.gz VMNVZVNOJPTZLJ-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN O=C(NC[C@@]12C[C@@H]1CCCC2)c1ccc([N+](=O)[O-])cc1 ZINC000927457450 648782965 /nfs/dbraw/zinc/78/29/65/648782965.db2.gz NYHVWQFGJYHTJQ-SWLSCSKDSA-N 0 0 274.320 2.905 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000927500261 648793097 /nfs/dbraw/zinc/79/30/97/648793097.db2.gz RACMWMUKFPKYSY-JKOKRWQUSA-N 0 0 274.320 2.761 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000927500651 648793454 /nfs/dbraw/zinc/79/34/54/648793454.db2.gz UQKUXDVOAJXAAY-GZBFAFLISA-N 0 0 288.347 2.690 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000928039230 648846492 /nfs/dbraw/zinc/84/64/92/648846492.db2.gz IVSKSOOXVSRWBK-AVFNUOGKSA-N 0 0 288.347 2.859 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000928040197 648846613 /nfs/dbraw/zinc/84/66/13/648846613.db2.gz NDUXJYGVFITZDQ-WISYIIOYSA-N 0 0 274.320 2.678 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000928045261 648849402 /nfs/dbraw/zinc/84/94/02/648849402.db2.gz JYIZPSDYYPAOBT-UPSWMWPXSA-N 0 0 299.330 2.850 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000928048221 648850891 /nfs/dbraw/zinc/85/08/91/648850891.db2.gz VTPRNAVBMDTMHU-WXVIEYFRSA-N 0 0 278.283 2.508 20 5 CFBDRN Cc1ccoc1CC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246152 648887540 /nfs/dbraw/zinc/88/75/40/648887540.db2.gz BMDWRWNGTPYKRF-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000928246492 648887604 /nfs/dbraw/zinc/88/76/04/648887604.db2.gz AHQWBICCYWMNBW-QQUHWDOBSA-N 0 0 274.320 2.818 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H](C)O[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000928246311 648887716 /nfs/dbraw/zinc/88/77/16/648887716.db2.gz AMNHDBPPJRHOJG-BIAAXOCRSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000928249164 648888288 /nfs/dbraw/zinc/88/82/88/648888288.db2.gz PCXGSBOXIUDVCY-SKDRFNHKSA-N 0 0 280.349 2.668 20 5 CFBDRN C[C@@H](NC(=O)CC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928246614 648888303 /nfs/dbraw/zinc/88/83/03/648888303.db2.gz HFLREMCQSVQPTQ-RISCZKNCSA-N 0 0 292.335 2.731 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246669 648888327 /nfs/dbraw/zinc/88/83/27/648888327.db2.gz JUPPVEFTKKPDFJ-BXUZGUMPSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCn3cccc3C2)c1C ZINC000928958332 648969068 /nfs/dbraw/zinc/96/90/68/648969068.db2.gz MMMJFHODOPETHC-UHFFFAOYSA-N 0 0 299.330 2.669 20 5 CFBDRN O=C(NCc1ccco1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929092530 648977216 /nfs/dbraw/zinc/97/72/16/648977216.db2.gz STUJKEUIMJSQMJ-UONOGXRCSA-N 0 0 286.287 2.608 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H](C2CC2)O1)Nc1cccc([N+](=O)[O-])c1 ZINC000929134263 648986780 /nfs/dbraw/zinc/98/67/80/648986780.db2.gz ULOFQAIXEDBNBB-KBPBESRZSA-N 0 0 290.319 2.881 20 5 CFBDRN CC(C)CCNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929159645 648991730 /nfs/dbraw/zinc/99/17/30/648991730.db2.gz NOXKPAVCJSCRHF-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN Cc1c(NC(=O)N2CC(OC(C)C)C2)cccc1[N+](=O)[O-] ZINC000929221055 649007067 /nfs/dbraw/zinc/00/70/67/649007067.db2.gz JWYQSQGMTOBJKO-UHFFFAOYSA-N 0 0 293.323 2.544 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])NCC1CC1 ZINC000929733561 649108530 /nfs/dbraw/zinc/10/85/30/649108530.db2.gz BWQCQSRCWADOST-UHFFFAOYSA-N 0 0 282.727 2.707 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])c(C)nn1 ZINC000930234738 649211279 /nfs/dbraw/zinc/21/12/79/649211279.db2.gz GIDRAEKXYBWKIR-LBPRGKRZSA-N 0 0 286.335 2.852 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)CCC1(C)CC1 ZINC000930315708 649228417 /nfs/dbraw/zinc/22/84/17/649228417.db2.gz PNMVZAPGIWKBDX-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@]1(C)C=CCC1 ZINC000930316707 649229762 /nfs/dbraw/zinc/22/97/62/649229762.db2.gz VPJWHEHDBQCGHT-HNNXBMFYSA-N 0 0 290.319 2.576 20 5 CFBDRN CCOC(=O)/C=C/CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000930555902 649272610 /nfs/dbraw/zinc/27/26/10/649272610.db2.gz XXNBWSFDAGYRQO-JXMROGBWSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H](CNC(=O)CCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000930644940 649295740 /nfs/dbraw/zinc/29/57/40/649295740.db2.gz HLOSMSKNCIRJPE-JTQLQIEISA-N 0 0 294.326 2.829 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)c1ccccn1 ZINC000035694856 649298625 /nfs/dbraw/zinc/29/86/25/649298625.db2.gz ZYWWVOGQJQPEEX-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN Cc1cc(NC(=O)N2CCO[C@@H](C)CC2)ccc1[N+](=O)[O-] ZINC000930732654 649316915 /nfs/dbraw/zinc/31/69/15/649316915.db2.gz QQJSIIMPOHXIHA-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@@]1(C(=O)NCc2cccc([N+](=O)[O-])c2)C=CCC1 ZINC000930921269 649350276 /nfs/dbraw/zinc/35/02/76/649350276.db2.gz OFVVYDDHHTYJKV-CQSZACIVSA-N 0 0 260.293 2.567 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000931466503 649434820 /nfs/dbraw/zinc/43/48/20/649434820.db2.gz KGZBSXYJISUTIP-SECBINFHSA-N 0 0 290.298 2.576 20 5 CFBDRN C[C@@H](NCc1nnsc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000932181987 649560106 /nfs/dbraw/zinc/56/01/06/649560106.db2.gz GXHFBBNAZXUNTQ-SSDOTTSWSA-N 0 0 298.755 2.951 20 5 CFBDRN CC[C@@H]1COCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000036326259 649580424 /nfs/dbraw/zinc/58/04/24/649580424.db2.gz JVCBRKFXMYBCKG-LLVKDONJSA-N 0 0 284.743 2.859 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN[C@H](c1ccccn1)C1CC1 ZINC000932387795 649591825 /nfs/dbraw/zinc/59/18/25/649591825.db2.gz ZNFLLZOPYRFCOL-AWEZNQCLSA-N 0 0 284.319 2.626 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCn2cccc2C1 ZINC000932525797 649614949 /nfs/dbraw/zinc/61/49/49/649614949.db2.gz DYVGILBXNPWGFW-UHFFFAOYSA-N 0 0 275.283 2.551 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1cccc(=O)[nH]1 ZINC000933396462 649691818 /nfs/dbraw/zinc/69/18/18/649691818.db2.gz RFZWORXXKVGNRY-LLVKDONJSA-N 0 0 287.319 2.888 20 5 CFBDRN C[C@]1(C(=O)NCCc2ccccc2[N+](=O)[O-])C=CCC1 ZINC000933624373 649707568 /nfs/dbraw/zinc/70/75/68/649707568.db2.gz UGGWCAPNAPAKAW-HNNXBMFYSA-N 0 0 274.320 2.610 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000934092306 649747826 /nfs/dbraw/zinc/74/78/26/649747826.db2.gz BHWTVMUUTPKPEL-WDEREUQCSA-N 0 0 294.326 2.923 20 5 CFBDRN CC[C@@H]1CCN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000934466598 649789294 /nfs/dbraw/zinc/78/92/94/649789294.db2.gz JMNBUYBXHPHKFH-KCPJHIHWSA-N 0 0 288.347 2.957 20 5 CFBDRN Cc1c(CN2CCOC[C@@]2(C)C2CC2)cccc1[N+](=O)[O-] ZINC000934480696 649793248 /nfs/dbraw/zinc/79/32/48/649793248.db2.gz JYDFFMAAAQNYQU-INIZCTEOSA-N 0 0 290.363 2.904 20 5 CFBDRN C[C@]1(C2CC2)COCCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000934483298 649793609 /nfs/dbraw/zinc/79/36/09/649793609.db2.gz VQHQAOTWSDJEFQ-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CCCCCC[C@@H](C)NC(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000098097550 651068731 /nfs/dbraw/zinc/06/87/31/651068731.db2.gz LYLJRTVVKCYIQD-SNVBAGLBSA-N 0 0 296.371 2.725 20 5 CFBDRN Cc1ccc(C=Cc2n[nH]cc2C=O)cc1[N+](=O)[O-] ZINC000098125565 651070083 /nfs/dbraw/zinc/07/00/83/651070083.db2.gz DKDGOQCZHOTTMW-SNAWJCMRSA-N 0 0 257.249 2.609 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)C1CCOCC1 ZINC000116061970 651278488 /nfs/dbraw/zinc/27/84/88/651278488.db2.gz RNBNTKSKQCKBLZ-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN C[C@@H]1CCCN(c2cnc3cc([N+](=O)[O-])ccc3n2)C1 ZINC001155201290 782248344 /nfs/dbraw/zinc/24/83/44/782248344.db2.gz XESUWHNQBLMEQF-SNVBAGLBSA-N 0 0 272.308 2.774 20 5 CFBDRN O=C(Oc1ccc(Cl)c([N+](=O)[O-])c1)C(F)(F)F ZINC001212057345 778335586 /nfs/dbraw/zinc/33/55/86/778335586.db2.gz RYNVRXVGSPDJRX-UHFFFAOYSA-N 0 0 269.562 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cccn3ccnc23)c1 ZINC001204416526 769850044 /nfs/dbraw/zinc/85/00/44/769850044.db2.gz VVPWEEMAQRIVMK-UHFFFAOYSA-N 0 0 254.249 2.986 20 5 CFBDRN O=[N+]([O-])c1cc[n+]([O-])c(N2CC[C@H](C3CCCCC3)C2)c1 ZINC001167063532 769886140 /nfs/dbraw/zinc/88/61/40/769886140.db2.gz CHXHSEBTHOGPAF-ZDUSSCGKSA-N 0 0 291.351 2.635 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(N(C)CC2CCCCC2)n1 ZINC001167096241 769991625 /nfs/dbraw/zinc/99/16/25/769991625.db2.gz KLQIRFQZYPCQBO-UHFFFAOYSA-N 0 0 264.329 2.710 20 5 CFBDRN CCc1ncsc1NC(=O)c1cc([N+](=O)[O-])cc(C)n1 ZINC001266360349 770019873 /nfs/dbraw/zinc/01/98/73/770019873.db2.gz GDMHHTNQYOXULH-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CCC(CC)N(CC)c1cc([N+](=O)[O-])cc(Cl)[n+]1[O-] ZINC001167111203 770034736 /nfs/dbraw/zinc/03/47/36/770034736.db2.gz HWOCVJRDFAFGRS-UHFFFAOYSA-N 0 0 287.747 2.897 20 5 CFBDRN Cc1cc2c(cn1)CN(c1ccc3[nH]cc([N+](=O)[O-])c3n1)C2 ZINC001167112892 770039602 /nfs/dbraw/zinc/03/96/02/770039602.db2.gz UBXZFQWFUWMGSH-UHFFFAOYSA-N 0 0 295.302 2.695 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cccn3nccc23)c1 ZINC001204449745 770108449 /nfs/dbraw/zinc/10/84/49/770108449.db2.gz DHZGFXUJONHRKM-UHFFFAOYSA-N 0 0 254.249 2.986 20 5 CFBDRN Nc1ccc(Nc2cnccc2OC(F)F)cc1[N+](=O)[O-] ZINC001209935284 770165438 /nfs/dbraw/zinc/16/54/38/770165438.db2.gz YXJOZGWZYKFPEH-UHFFFAOYSA-N 0 0 296.233 2.917 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cccc(F)c2CO)c1 ZINC001204476835 770172276 /nfs/dbraw/zinc/17/22/76/770172276.db2.gz OZFYNRNDCYQGDO-UHFFFAOYSA-N 0 0 262.240 2.970 20 5 CFBDRN Nc1cccc2c1CCN2c1cccc([N+](=O)[O-])c1 ZINC001204477102 770175490 /nfs/dbraw/zinc/17/54/90/770175490.db2.gz QODCDQRDUOEVNO-UHFFFAOYSA-N 0 0 255.277 2.871 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2cncnc2)cc2cccnc21 ZINC001167266181 770190823 /nfs/dbraw/zinc/19/08/23/770190823.db2.gz NXUWVZBAHYBQTG-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN Cc1nc(NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])oc1C ZINC001167301202 770247390 /nfs/dbraw/zinc/24/73/90/770247390.db2.gz VMFPDLVGBRHKKB-UHFFFAOYSA-N 0 0 293.254 2.520 20 5 CFBDRN Cc1cc(Cl)c(NCc2cccnn2)c([N+](=O)[O-])c1 ZINC001167333799 770307279 /nfs/dbraw/zinc/30/72/79/770307279.db2.gz FRCFDBTZTPXQGS-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1oncc1-n1c2ccccc2cc2c(=O)c(N)ccc1-2 ZINC001210585185 770321806 /nfs/dbraw/zinc/32/18/06/770321806.db2.gz MNCDWSANSUNVDV-UHFFFAOYSA-N 0 0 291.310 2.974 20 5 CFBDRN Cc1cc(NC2CC(=O)C2)c([N+](=O)[O-])cc1Br ZINC001167344432 770328153 /nfs/dbraw/zinc/32/81/53/770328153.db2.gz KZLFVELJUGYXLO-UHFFFAOYSA-N 0 0 299.124 2.809 20 5 CFBDRN CSc1cc(Nc2ccc(F)cc2[N+](=O)[O-])nn1C ZINC001210953236 770404391 /nfs/dbraw/zinc/40/43/91/770404391.db2.gz XWIRJUKZGKNFBS-UHFFFAOYSA-N 0 0 282.300 2.933 20 5 CFBDRN COCc1ccc(CNc2ncc([N+](=O)[O-])cc2F)cc1 ZINC001161326926 770499890 /nfs/dbraw/zinc/49/98/90/770499890.db2.gz IEPACYRHHVZQID-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN CC1(C(=O)Nc2cc3cccnc3c3ncccc23)COC1 ZINC001143388696 770516612 /nfs/dbraw/zinc/51/66/12/770516612.db2.gz UHSITYWYUWSNAX-UHFFFAOYSA-N 0 0 293.326 2.758 20 5 CFBDRN O=C(OCCC1CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000217735296 770539991 /nfs/dbraw/zinc/53/99/91/770539991.db2.gz YIDORNDJRCNPEM-UHFFFAOYSA-N 0 0 253.229 2.691 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@H]1CCCOC1 ZINC000723878087 770702717 /nfs/dbraw/zinc/70/27/17/770702717.db2.gz ZIIWRTJJNHDGKU-DTWKUNHWSA-N 0 0 271.342 2.668 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc[nH]1 ZINC000588446222 770709728 /nfs/dbraw/zinc/70/97/28/770709728.db2.gz XMAYDXKNJQPWLJ-LUAWRHEFSA-N 0 0 285.303 2.643 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)Nc1ccnnc1C ZINC001267064619 770846314 /nfs/dbraw/zinc/84/63/14/770846314.db2.gz GBHGIGRIGGLQSD-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN O=C(NCCC1CC=CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000724367644 770851395 /nfs/dbraw/zinc/85/13/95/770851395.db2.gz CZNRWYMSZMLFDD-UHFFFAOYSA-N 0 0 278.283 2.820 20 5 CFBDRN CC(=O)CNc1cc2cccnc2c2ncccc12 ZINC001167629399 773199048 /nfs/dbraw/zinc/19/90/48/773199048.db2.gz MJHUPXDUHKXYBD-UHFFFAOYSA-N 0 0 251.289 2.784 20 5 CFBDRN CSc1nc(Nc2ccc(C)c([N+](=O)[O-])c2)cc(=O)[nH]1 ZINC001213661596 773209269 /nfs/dbraw/zinc/20/92/69/773209269.db2.gz PHRPXBQLHZAZIZ-UHFFFAOYSA-N 0 0 292.320 2.864 20 5 CFBDRN C/C=C(/CC)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000588572775 773245186 /nfs/dbraw/zinc/24/51/86/773245186.db2.gz FZDBPWXSHAJOII-KMKOMSMNSA-N 0 0 260.293 2.840 20 5 CFBDRN C[C@H](OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(F)(F)F ZINC001224095058 775100737 /nfs/dbraw/zinc/10/07/37/775100737.db2.gz MIQOAIVZLZZUEQ-LURJTMIESA-N 0 0 294.185 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC001224097413 775100875 /nfs/dbraw/zinc/10/08/75/775100875.db2.gz ULQHIWCQLKRFPN-NSHDSACASA-N 0 0 264.237 2.738 20 5 CFBDRN C[C@H](OCc1ccc([N+](=O)[O-])nc1)c1cccnc1 ZINC001224108715 775102361 /nfs/dbraw/zinc/10/23/61/775102361.db2.gz JWALTUGBMNGGSD-JTQLQIEISA-N 0 0 259.265 2.663 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@H]2COCc3ccccc32)cn1 ZINC001224116223 775104848 /nfs/dbraw/zinc/10/48/48/775104848.db2.gz ZCIMHCYNNSKVNW-AWEZNQCLSA-N 0 0 286.287 2.778 20 5 CFBDRN Cc1cnc(CO[C@@H]2CCOC[C@@H]2C)c(C)c1[N+](=O)[O-] ZINC001224181541 775121436 /nfs/dbraw/zinc/12/14/36/775121436.db2.gz LQKNMEFQVHPXJP-GXFFZTMASA-N 0 0 280.324 2.548 20 5 CFBDRN CO[C@H](C)CCOCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224185605 775122701 /nfs/dbraw/zinc/12/27/01/775122701.db2.gz KVQQRZIRWZYFDO-SNVBAGLBSA-N 0 0 268.313 2.548 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000721535439 775128524 /nfs/dbraw/zinc/12/85/24/775128524.db2.gz ZVMBADJOEGHRDF-WCBMZHEXSA-N 0 0 264.281 2.522 20 5 CFBDRN CCN1CC(OCc2ccc([N+](=O)[O-])c3ccccc23)C1 ZINC001224204631 775129158 /nfs/dbraw/zinc/12/91/58/775129158.db2.gz UNIFGCHFXUKMAA-UHFFFAOYSA-N 0 0 286.331 2.969 20 5 CFBDRN CC[C@@H](NC(=O)NC1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC001224278103 775142849 /nfs/dbraw/zinc/14/28/49/775142849.db2.gz JQUAMIRDOFLCLX-GFCCVEGCSA-N 0 0 277.324 2.898 20 5 CFBDRN O=[N+]([O-])c1ncccc1-c1nc(-c2cccc(F)c2)no1 ZINC001213171877 775151376 /nfs/dbraw/zinc/15/13/76/775151376.db2.gz DIRCVXRMNGDRGS-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN C[C@@H]1C[C@@H](OCCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001224333202 775160832 /nfs/dbraw/zinc/16/08/32/775160832.db2.gz BZMDTYRKOGUMMT-RISCZKNCSA-N 0 0 265.309 2.721 20 5 CFBDRN C[C@H]1C[C@@H](OCCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001224333200 775161194 /nfs/dbraw/zinc/16/11/94/775161194.db2.gz BZMDTYRKOGUMMT-FZMZJTMJSA-N 0 0 265.309 2.721 20 5 CFBDRN Cc1cnc(NCCC2SCCS2)c([N+](=O)[O-])c1 ZINC000693843325 775196564 /nfs/dbraw/zinc/19/65/64/775196564.db2.gz XMWXBCGUFFYTMI-UHFFFAOYSA-N 0 0 285.394 2.906 20 5 CFBDRN O=[N+]([O-])C[C@H](OCCC1CC1)c1ccc2c(c1)OCO2 ZINC001224760793 775267971 /nfs/dbraw/zinc/26/79/71/775267971.db2.gz DANNLNBSDGDXPI-AWEZNQCLSA-N 0 0 279.292 2.550 20 5 CFBDRN C[C@@H](OCCOc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC001224777505 775271324 /nfs/dbraw/zinc/27/13/24/775271324.db2.gz YNCDTBSGVCTYFI-MRVPVSSYSA-N 0 0 279.214 2.941 20 5 CFBDRN C[C@@H](COCc1ccccc1)CO[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225003710 775331965 /nfs/dbraw/zinc/33/19/65/775331965.db2.gz KPGCRLKYWLXGGV-BBRMVZONSA-N 0 0 293.363 2.911 20 5 CFBDRN CC(=O)N1CC[C@H](CO[C@@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC001225011275 775334784 /nfs/dbraw/zinc/33/47/84/775334784.db2.gz JCGTXCOQKAKYAZ-AAEUAGOBSA-N 0 0 292.335 2.541 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC(F)(F)CC2)c1 ZINC000725378182 775366205 /nfs/dbraw/zinc/36/62/05/775366205.db2.gz XZNKFAZNVSOJCH-UHFFFAOYSA-N 0 0 256.252 2.826 20 5 CFBDRN COc1ccc(O[C@@H](C)Cn2c(C)ncc2[N+](=O)[O-])cc1 ZINC001225253737 775380178 /nfs/dbraw/zinc/38/01/78/775380178.db2.gz DBIYIFLWVXHEOM-JTQLQIEISA-N 0 0 291.307 2.576 20 5 CFBDRN Cc1cnn(C)c1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001125796882 775397560 /nfs/dbraw/zinc/39/75/60/775397560.db2.gz XSBRWQKSCNDBKV-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2ncccc2c1)C1CC1 ZINC001225329710 775414531 /nfs/dbraw/zinc/41/45/31/775414531.db2.gz PQYARRVCSIWYJR-AWEZNQCLSA-N 0 0 258.277 2.669 20 5 CFBDRN COc1cc(F)ccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225344557 775419541 /nfs/dbraw/zinc/41/95/41/775419541.db2.gz PORKJNPFCSDPGM-CQSZACIVSA-N 0 0 292.266 2.626 20 5 CFBDRN Cc1ncccc1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001225346363 775421794 /nfs/dbraw/zinc/42/17/94/775421794.db2.gz PAKQWZOFHURMBB-CQSZACIVSA-N 0 0 270.288 2.844 20 5 CFBDRN COC(=O)c1ccc(Cl)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225382746 775436794 /nfs/dbraw/zinc/43/67/94/775436794.db2.gz RSKNDZKTZJNEIV-LBPRGKRZSA-N 0 0 299.710 2.561 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1OC[C@@H]1CCCCO1 ZINC001225430542 775454194 /nfs/dbraw/zinc/45/41/94/775454194.db2.gz CVSWBYRPSXLGGO-QMMMGPOBSA-N 0 0 272.688 2.591 20 5 CFBDRN O=C1CCCC[C@H]1Oc1c(Cl)nccc1[N+](=O)[O-] ZINC001225434610 775454220 /nfs/dbraw/zinc/45/42/20/775454220.db2.gz GPELHQVUUMTCLN-SECBINFHSA-N 0 0 270.672 2.534 20 5 CFBDRN COc1cc(OCC[C@H]2COC(C)(C)O2)ccc1[N+](=O)[O-] ZINC001225436306 775455658 /nfs/dbraw/zinc/45/56/58/775455658.db2.gz QXEVNSXGXDFQMH-NSHDSACASA-N 0 0 297.307 2.524 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])c(OC)c1 ZINC001225441653 775458888 /nfs/dbraw/zinc/45/88/88/775458888.db2.gz VHLICDBJGQGGJT-GFCCVEGCSA-N 0 0 267.281 2.740 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H]1C=CCCC1 ZINC001225450059 775461955 /nfs/dbraw/zinc/46/19/55/775461955.db2.gz FBNAQYMCOMDEFN-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN CC1(C)CC[C@@H](COc2cc([O-])ccc2[N+](=O)[O-])O1 ZINC001225488153 775477075 /nfs/dbraw/zinc/47/70/75/775477075.db2.gz CAAJNVQHTBTISO-JTQLQIEISA-N 0 0 267.281 2.637 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCC(F)F ZINC000309406537 775489160 /nfs/dbraw/zinc/48/91/60/775489160.db2.gz WBPNOUIKGNQQNQ-UHFFFAOYSA-N 0 0 251.620 2.710 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2cc(F)ccc2[N+](=O)[O-])C1 ZINC001225577718 775509324 /nfs/dbraw/zinc/50/93/24/775509324.db2.gz IJAPOIVNWZPVGQ-GXSJLCMTSA-N 0 0 297.282 2.845 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225602604 775519000 /nfs/dbraw/zinc/51/90/00/775519000.db2.gz YUIMBQOXMWBWEV-LBPRGKRZSA-N 0 0 296.279 2.948 20 5 CFBDRN CC[C@@H](C)Oc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225602499 775519315 /nfs/dbraw/zinc/51/93/15/775519315.db2.gz XIEZVWMSGOVDIC-MRVPVSSYSA-N 0 0 254.242 2.989 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1cc([N+](=O)[O-])ccc1OC ZINC001225613833 775523881 /nfs/dbraw/zinc/52/38/81/775523881.db2.gz WARMLYGQPCFWOQ-JTQLQIEISA-N 0 0 297.307 2.714 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H](C)c1cncnc1 ZINC001225616843 775525367 /nfs/dbraw/zinc/52/53/67/775525367.db2.gz XIMSDAMQWOFNGH-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CCCOC[C@H](C)Oc1cc([N+](=O)[O-])ccc1OC ZINC001225616619 775525657 /nfs/dbraw/zinc/52/56/57/775525657.db2.gz SUSCRYVKODWNNN-JTQLQIEISA-N 0 0 269.297 2.797 20 5 CFBDRN C[C@H](COC(C)(C)C)Oc1ccc([N+](=O)[O-])cn1 ZINC001225627745 775530201 /nfs/dbraw/zinc/53/02/01/775530201.db2.gz HEMIEHAMLUYKDE-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN CC(C)[C@H](Oc1ccc([N+](=O)[O-])cn1)C(F)(F)F ZINC001225627889 775530895 /nfs/dbraw/zinc/53/08/95/775530895.db2.gz JDSXHCZOVAGJFR-VIFPVBQESA-N 0 0 264.203 2.956 20 5 CFBDRN C[C@H](Oc1cccnc1[N+](=O)[O-])c1cnc2ccccn21 ZINC001225628085 775530954 /nfs/dbraw/zinc/53/09/54/775530954.db2.gz CKWVXLZUBPXGSX-JTQLQIEISA-N 0 0 284.275 2.778 20 5 CFBDRN O=[N+]([O-])c1nccnc1NCc1nccc2ccccc21 ZINC001167699455 775531501 /nfs/dbraw/zinc/53/15/01/775531501.db2.gz IODGFGNMYRXCPI-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN CC/C=C\CCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cn1 ZINC001225630602 775531718 /nfs/dbraw/zinc/53/17/18/775531718.db2.gz DOYOHDUDXATXSH-DOGVGXBMSA-N 0 0 294.307 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2COCc3ccccc32)nc1 ZINC001225630825 775531733 /nfs/dbraw/zinc/53/17/33/775531733.db2.gz GPZWHJOHFUTIOR-CYBMUJFWSA-N 0 0 272.260 2.640 20 5 CFBDRN C[C@H](Oc1cccnc1[N+](=O)[O-])c1ncccc1F ZINC001225629467 775531775 /nfs/dbraw/zinc/53/17/75/775531775.db2.gz OCRNNCWCSAIISZ-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H](COc1cccnc1[N+](=O)[O-])OCc1ccccc1 ZINC001225629314 775532287 /nfs/dbraw/zinc/53/22/87/775532287.db2.gz KEZPMAXAGFSPFX-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN CC1(C)CC(Oc2ccc([N+](=O)[O-])cn2)CC(C)(C)N1O ZINC001225633044 775533232 /nfs/dbraw/zinc/53/32/32/775533232.db2.gz PFOVIGLMLMMQHD-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN Cc1ccnc(O[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001225633522 775533914 /nfs/dbraw/zinc/53/39/14/775533914.db2.gz YRSHLMKCKUJRMV-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CC(C)Oc1cncc(COc2ccc([N+](=O)[O-])cn2)c1 ZINC001225633859 775533999 /nfs/dbraw/zinc/53/39/99/775533999.db2.gz AHQBOQCACZCYHA-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)nn1 ZINC001225645754 775539315 /nfs/dbraw/zinc/53/93/15/775539315.db2.gz SAPPRIBPQMWDOP-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc(O[C@@H](C)c2ncccc2F)ncc1[N+](=O)[O-] ZINC001225662000 775547302 /nfs/dbraw/zinc/54/73/02/775547302.db2.gz MOCILWWBZSMWPV-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN CCCCOC[C@H](C)Oc1cc(C)c([N+](=O)[O-])cn1 ZINC001225661937 775547815 /nfs/dbraw/zinc/54/78/15/775547815.db2.gz MIYWZZLMPZNRKF-NSHDSACASA-N 0 0 268.313 2.882 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C1 ZINC001225668148 775550432 /nfs/dbraw/zinc/55/04/32/775550432.db2.gz YXTMOLXQOVOYRJ-KKZNHRDASA-N 0 0 266.297 2.899 20 5 CFBDRN C[C@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C[C@@]1(C)CO ZINC000694707228 775552429 /nfs/dbraw/zinc/55/24/29/775552429.db2.gz NYIATBZRQCMCAP-HZMBPMFUSA-N 0 0 298.770 2.699 20 5 CFBDRN CC1=C(Oc2cccc([N+](=O)[O-])c2C)C(=O)O[C@@H]1C ZINC001225689453 775559638 /nfs/dbraw/zinc/55/96/38/775559638.db2.gz HIRXGCVOSMJSOD-SECBINFHSA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1c(O[C@H]2C=C(C)OC(=O)C2)cccc1[N+](=O)[O-] ZINC001225690238 775560071 /nfs/dbraw/zinc/56/00/71/775560071.db2.gz UWWYYTQQHHILKG-JTQLQIEISA-N 0 0 263.249 2.501 20 5 CFBDRN COc1ccc(OC2CCC(OC)CC2)c([N+](=O)[O-])c1 ZINC001225768908 775587782 /nfs/dbraw/zinc/58/77/82/775587782.db2.gz FOKLTOOEJTXXAA-UHFFFAOYSA-N 0 0 281.308 2.940 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(OC[C@H]2CCCCO2)c1 ZINC001225804359 775598507 /nfs/dbraw/zinc/59/85/07/775598507.db2.gz REJMWLPPHJETGA-GFCCVEGCSA-N 0 0 279.292 2.745 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225804638 775598739 /nfs/dbraw/zinc/59/87/39/775598739.db2.gz WICVKDRZVUPLHX-SECBINFHSA-N 0 0 295.291 2.518 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC1CCC2(CC1)OCCO2 ZINC001225905169 775629320 /nfs/dbraw/zinc/62/93/20/775629320.db2.gz QANRBBIXTDGUSQ-UHFFFAOYSA-N 0 0 297.282 2.798 20 5 CFBDRN CC1(C)OC[C@H](CCOc2ccc(F)cc2[N+](=O)[O-])O1 ZINC001225905793 775630890 /nfs/dbraw/zinc/63/08/90/775630890.db2.gz YRFAXAYPJCIILJ-JTQLQIEISA-N 0 0 285.271 2.654 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225916227 775634294 /nfs/dbraw/zinc/63/42/94/775634294.db2.gz OZUWKVJGXJXLQN-LBPRGKRZSA-N 0 0 253.229 2.624 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1F ZINC001225941226 775642094 /nfs/dbraw/zinc/64/20/94/775642094.db2.gz DTTGVRXZCMAVOO-JQWIXIFHSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC001225942020 775642575 /nfs/dbraw/zinc/64/25/75/775642575.db2.gz LVCNMXIKDFWBSB-ONGXEEELSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(F)c(F)c1F)c1cccnc1 ZINC001225952624 775646646 /nfs/dbraw/zinc/64/66/46/775646646.db2.gz ZJMMIPKPOXJVNR-LLVKDONJSA-N 0 0 298.220 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(F)c1OC[C@@H]1CCC=CO1 ZINC001225958833 775648516 /nfs/dbraw/zinc/64/85/16/775648516.db2.gz GALLJRBHGKFWOJ-QMMMGPOBSA-N 0 0 271.219 2.945 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225961427 775648553 /nfs/dbraw/zinc/64/85/53/775648553.db2.gz WOPVMRSRRFNUHC-SFYZADRCSA-N 0 0 273.235 2.677 20 5 CFBDRN CC[C@@H](C)O[C@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001204628864 775652325 /nfs/dbraw/zinc/65/23/25/775652325.db2.gz AWMAJRUHFDEAIF-NOZJJQNGSA-N 0 0 267.281 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2C[C@H](O)C2)c(C(F)(F)F)c1 ZINC001218424510 775656067 /nfs/dbraw/zinc/65/60/67/775656067.db2.gz MACSOLCKLBLCCZ-XWEPSHTISA-N 0 0 291.225 2.763 20 5 CFBDRN Cc1cc(OC[C@H]2C[C@H](O)C2)c(C)c(C)c1[N+](=O)[O-] ZINC001218430718 775664061 /nfs/dbraw/zinc/66/40/61/775664061.db2.gz ZHOPRABGOFHTBU-HAQNSBGRSA-N 0 0 265.309 2.670 20 5 CFBDRN CCCOC[C@H](C)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226006861 775664348 /nfs/dbraw/zinc/66/43/48/775664348.db2.gz HRUKOTVMKJLPJZ-VIFPVBQESA-N 0 0 257.261 2.928 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(-c2csnn2)cc1)C1CC1 ZINC001226011505 775667157 /nfs/dbraw/zinc/66/71/57/775667157.db2.gz LPAYOWHHEVFMDY-ZDUSSCGKSA-N 0 0 291.332 2.639 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCO2)c(Br)c1 ZINC001226041666 775677556 /nfs/dbraw/zinc/67/75/56/775677556.db2.gz WJQOXJQUWAFENP-MRVPVSSYSA-N 0 0 288.097 2.525 20 5 CFBDRN COC[C@H](C)Oc1ccc([N+](=O)[O-])cc1Br ZINC001226041031 775677958 /nfs/dbraw/zinc/67/79/58/775677958.db2.gz KSBUUNLQARCSKW-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN O=C(COC1CCCCC1)Oc1cccc([N+](=O)[O-])c1 ZINC000128322346 775684474 /nfs/dbraw/zinc/68/44/74/775684474.db2.gz UWJKCHDHYUNVEO-UHFFFAOYSA-N 0 0 279.292 2.850 20 5 CFBDRN Cc1ccnc(OC[C@@H]2CCC(C)(C)O2)c1[N+](=O)[O-] ZINC001226115655 775703504 /nfs/dbraw/zinc/70/35/04/775703504.db2.gz HFCNTSVBKWLKCB-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN C[C@@H](Oc1ncc(Cl)cc1[N+](=O)[O-])c1cncnc1 ZINC001226131130 775711510 /nfs/dbraw/zinc/71/15/10/775711510.db2.gz BMDAECVFZJXOFK-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C1 ZINC001226167203 775723710 /nfs/dbraw/zinc/72/37/10/775723710.db2.gz GVDSUIZSZOIGMN-ISTVAULSSA-N 0 0 292.335 2.897 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168418 775723862 /nfs/dbraw/zinc/72/38/62/775723862.db2.gz RZDWRVIOKHTWQT-CABZTGNLSA-N 0 0 278.308 2.651 20 5 CFBDRN CC[N@@H+]1CCC[C@H](Oc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC001226179408 775726500 /nfs/dbraw/zinc/72/65/00/775726500.db2.gz AKAQUNZLIGUNAP-VIFPVBQESA-N 0 0 286.278 2.736 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCCO2)c(Cl)cc1F ZINC001226196288 775734404 /nfs/dbraw/zinc/73/44/04/775734404.db2.gz OQHGHPKMBLLPJB-ZETCQYMHSA-N 0 0 275.663 2.945 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2COCO2)c(Cl)cc1Cl ZINC001226205246 775737012 /nfs/dbraw/zinc/73/70/12/775737012.db2.gz RSNCQZZKFKOIAK-ZCFIWIBFSA-N 0 0 294.090 2.653 20 5 CFBDRN Cc1ccc(N2CCC[C@H](CO)CC2)c([N+](=O)[O-])c1 ZINC000294254948 775741421 /nfs/dbraw/zinc/74/14/21/775741421.db2.gz WPYBWKKVFDHEEA-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ncc([N+](=O)[O-])cc1Cl ZINC001226252390 775755393 /nfs/dbraw/zinc/75/53/93/775755393.db2.gz PNIMYVJKXARKJQ-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cc1Cl)C1CCOCC1 ZINC001226255290 775757681 /nfs/dbraw/zinc/75/76/81/775757681.db2.gz IZISRJBOHHXFFL-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN CC1(C)OC[C@H](CCOc2cc([N+](=O)[O-])ccc2F)O1 ZINC001226266221 775761823 /nfs/dbraw/zinc/76/18/23/775761823.db2.gz QLILOEQSVFDTDL-JTQLQIEISA-N 0 0 285.271 2.654 20 5 CFBDRN CC(=O)c1ccc(O[C@H]2CCn3ccnc32)c([N+](=O)[O-])c1 ZINC001226289858 775768990 /nfs/dbraw/zinc/76/89/90/775768990.db2.gz ZMQNEZRPUSNQHX-ZDUSSCGKSA-N 0 0 287.275 2.518 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226291642 775770195 /nfs/dbraw/zinc/77/01/95/775770195.db2.gz GKKQRXAECJXZPB-ZDUSSCGKSA-N 0 0 279.292 2.934 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001226294817 775771875 /nfs/dbraw/zinc/77/18/75/775771875.db2.gz MQFITJVTIQOJQN-JOYOIKCWSA-N 0 0 279.292 2.744 20 5 CFBDRN C[C@@H]1C[C@H](Oc2nc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC001226363938 775791113 /nfs/dbraw/zinc/79/11/13/775791113.db2.gz AOJAEGDYTRLILU-HTQZYQBOSA-N 0 0 272.688 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(OC3CC=CC3)c2c1 ZINC001226436154 775821247 /nfs/dbraw/zinc/82/12/47/775821247.db2.gz JIKHZSMHFSTBFA-UHFFFAOYSA-N 0 0 257.249 2.635 20 5 CFBDRN CC[C@H](C)Oc1cc(C(=O)OC)c([N+](=O)[O-])cc1OC ZINC001226441841 775822725 /nfs/dbraw/zinc/82/27/25/775822725.db2.gz VEXPZGOUYALXGJ-QMMMGPOBSA-N 0 0 283.280 2.567 20 5 CFBDRN COCC(COC)Oc1ccc(C(C)(C)C)cc1[N+](=O)[O-] ZINC001226446160 775825253 /nfs/dbraw/zinc/82/52/53/775825253.db2.gz KSKDKDDVGHHZQS-UHFFFAOYSA-N 0 0 297.351 2.933 20 5 CFBDRN C[C@H](CON)Oc1ccc(C(C)(C)C)cc1[N+](=O)[O-] ZINC001226445108 775825737 /nfs/dbraw/zinc/82/57/37/775825737.db2.gz ADZSBKUMCDXCSE-SECBINFHSA-N 0 0 268.313 2.550 20 5 CFBDRN CC[C@H](O)C(=O)Oc1ccc(C(C)(C)C)cc1[N+](=O)[O-] ZINC001226446001 775825758 /nfs/dbraw/zinc/82/57/58/775825758.db2.gz JEXGSXFPGZIHMO-NSHDSACASA-N 0 0 281.308 2.569 20 5 CFBDRN CCc1nc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)cc(=O)[nH]1 ZINC001226476802 775838980 /nfs/dbraw/zinc/83/89/80/775838980.db2.gz SNIPCXVEBOILGC-VIFPVBQESA-N 0 0 289.291 2.793 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H](C)c1ncccn1 ZINC001226529258 775860904 /nfs/dbraw/zinc/86/09/04/775860904.db2.gz FJWHAPTVADOOCU-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN COC(C[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(C)=O)OC ZINC001226530835 775862249 /nfs/dbraw/zinc/86/22/49/775862249.db2.gz HFZZPVWIDKNNJX-VIFPVBQESA-N 0 0 297.307 2.574 20 5 CFBDRN CO[C@H](C)CCOc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226531992 775864618 /nfs/dbraw/zinc/86/46/18/775864618.db2.gz QESBSOOAEHIDEX-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN C[C@@H](Oc1ccc2nccn2n1)c1ccccc1[N+](=O)[O-] ZINC001226581710 775881536 /nfs/dbraw/zinc/88/15/36/775881536.db2.gz NLMWLFXGYUDUQE-SNVBAGLBSA-N 0 0 284.275 2.778 20 5 CFBDRN COc1ccc2nc(O[C@H](C[N+](=O)[O-])C3CC3)sc2c1 ZINC001226646204 775902076 /nfs/dbraw/zinc/90/20/76/775902076.db2.gz SLUSAAXLSHVJKU-LLVKDONJSA-N 0 0 294.332 2.739 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc(O)c1Cl)c1cccnc1 ZINC001226709619 775931880 /nfs/dbraw/zinc/93/18/80/775931880.db2.gz PFVMPCLORSKJAE-LBPRGKRZSA-N 0 0 294.694 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCc3ccccc3C2)cn1 ZINC001226711888 775933315 /nfs/dbraw/zinc/93/33/15/775933315.db2.gz IBISPQMUFJZUOT-CYBMUJFWSA-N 0 0 270.288 2.926 20 5 CFBDRN C[C@@H](COc1ccc([N+](=O)[O-])nc1)Oc1ccccc1 ZINC001226711820 775933534 /nfs/dbraw/zinc/93/35/34/775933534.db2.gz GQTSQGJIQVQFMU-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN CC1(C)[C@H](Oc2ncc([N+](=O)[O-])cn2)[C@]2(C)CC[C@H]1C2 ZINC001226733279 775941927 /nfs/dbraw/zinc/94/19/27/775941927.db2.gz LMVACRNHRMCYRQ-NURSFMCSSA-N 0 0 277.324 2.978 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cn1)c1cccc(N(C)C)c1 ZINC001226734568 775942329 /nfs/dbraw/zinc/94/23/29/775942329.db2.gz YULQZOOLBSXDKR-JTQLQIEISA-N 0 0 288.307 2.591 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@H]2CCC=CO2)c(F)c1 ZINC001226745157 775945162 /nfs/dbraw/zinc/94/51/62/775945162.db2.gz ZPXHIHMGROGGQT-SECBINFHSA-N 0 0 271.219 2.945 20 5 CFBDRN CO[C@@H](COc1ncc(F)cc1[N+](=O)[O-])c1ccccc1 ZINC001226756361 775949229 /nfs/dbraw/zinc/94/92/29/775949229.db2.gz PYUHHXQOHHJNPN-ZDUSSCGKSA-N 0 0 292.266 2.895 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOC[C@@H]2F)c(Cl)c1 ZINC001226760246 775950965 /nfs/dbraw/zinc/95/09/65/775950965.db2.gz GSUNIVHQDCLYAF-GXSJLCMTSA-N 0 0 275.663 2.754 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1OC1Cc2ccccc2C1 ZINC001226761325 775951074 /nfs/dbraw/zinc/95/10/74/775951074.db2.gz RYMLFNFIDHCJEW-UHFFFAOYSA-N 0 0 270.288 2.844 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226762969 775952558 /nfs/dbraw/zinc/95/25/58/775952558.db2.gz ZMWSXWRHUPLQAD-OTSSQURYSA-N 0 0 285.683 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)Cc1ccco1 ZINC001226763861 775953062 /nfs/dbraw/zinc/95/30/62/775953062.db2.gz CTRKGLCGPPURNA-JTQLQIEISA-N 0 0 262.265 2.901 20 5 CFBDRN COC1=CC[C@@H](Oc2ncc([N+](=O)[O-])cc2C)CC1 ZINC001226765775 775954336 /nfs/dbraw/zinc/95/43/36/775954336.db2.gz WFAZMWGBQDTJKS-GFCCVEGCSA-N 0 0 264.281 2.760 20 5 CFBDRN O=c1[nH]ccc(N[C@H]2CCCC3(CC3)C2)c1[N+](=O)[O-] ZINC000695719543 775960532 /nfs/dbraw/zinc/96/05/32/775960532.db2.gz SLNWBDXZAQBGQW-VIFPVBQESA-N 0 0 263.297 2.830 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1F)ncnc2OC1CC(F)(F)C1 ZINC001226805525 775971953 /nfs/dbraw/zinc/97/19/53/775971953.db2.gz ZOFFBYQGHSOYNM-UHFFFAOYSA-N 0 0 299.208 2.854 20 5 CFBDRN COC(=O)C1CC(Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226808480 775972636 /nfs/dbraw/zinc/97/26/36/775972636.db2.gz WPIIFSMUTLJBMX-UHFFFAOYSA-N 0 0 285.683 2.579 20 5 CFBDRN CC1=C[C@@H](Oc2cc([N+](=O)[O-])ccc2Cl)CC(=O)O1 ZINC001226811149 775973692 /nfs/dbraw/zinc/97/36/92/775973692.db2.gz WCFFWYNNRBPQNW-SECBINFHSA-N 0 0 283.667 2.846 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226810260 775973752 /nfs/dbraw/zinc/97/37/52/775973752.db2.gz LORODQZCDUEQGP-QMMMGPOBSA-N 0 0 285.683 2.745 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2CCC3(CC3)CC2)nc1 ZINC000695768251 775986647 /nfs/dbraw/zinc/98/66/47/775986647.db2.gz WMWCENAMPWSGFL-UHFFFAOYSA-N 0 0 262.313 2.767 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC001226843902 775986936 /nfs/dbraw/zinc/98/69/36/775986936.db2.gz QUHQOIUAJXKVIN-KLPPZKSPSA-N 0 0 279.292 2.624 20 5 CFBDRN COC(=O)C1(C)CC(Oc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC001226842870 775987026 /nfs/dbraw/zinc/98/70/26/775987026.db2.gz CAFDQEYFMIHKBC-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(O[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC001226844371 775988783 /nfs/dbraw/zinc/98/87/83/775988783.db2.gz XSSOGHKOOBZFON-ZYHUDNBSSA-N 0 0 251.282 2.850 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCc1ccccc1)c1cccnc1 ZINC001204638627 775998168 /nfs/dbraw/zinc/99/81/68/775998168.db2.gz SCFZYKCLNUQXNP-CQSZACIVSA-N 0 0 258.277 2.616 20 5 CFBDRN O=[N+]([O-])c1cnc(OC[C@@H]2CCC=CO2)cc1Cl ZINC001226916406 776016488 /nfs/dbraw/zinc/01/64/88/776016488.db2.gz CBKMKFXCWJOVSC-QMMMGPOBSA-N 0 0 270.672 2.715 20 5 CFBDRN C[C@@H](Oc1cc(Cl)c([N+](=O)[O-])cn1)C(F)(F)F ZINC001226918478 776017492 /nfs/dbraw/zinc/01/74/92/776017492.db2.gz XMFKDPYZHCDKHO-SCSAIBSYSA-N 0 0 270.594 2.973 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc(Cl)c([N+](=O)[O-])cn2)=C1C ZINC001226918459 776018185 /nfs/dbraw/zinc/01/81/85/776018185.db2.gz XHISYULZOGPCML-SECBINFHSA-N 0 0 298.682 2.631 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H]1CCn2ccnc21 ZINC001226968779 776038636 /nfs/dbraw/zinc/03/86/36/776038636.db2.gz IPZLXYSONHBWLD-NSHDSACASA-N 0 0 259.265 2.624 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC1CCC2(CC1)OCCO2 ZINC001226969679 776038967 /nfs/dbraw/zinc/03/89/67/776038967.db2.gz SNJZOCCVNZVWHT-UHFFFAOYSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@@H]1CCO[C@H](C)C1 ZINC001226991545 776051747 /nfs/dbraw/zinc/05/17/47/776051747.db2.gz ANENHBWWVOMIKO-ZYHUDNBSSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC[C@H]1COC(C)(C)O1 ZINC001226993878 776052827 /nfs/dbraw/zinc/05/28/27/776052827.db2.gz AKVGQEKZJOVWEJ-LBPRGKRZSA-N 0 0 281.308 2.824 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2cc([N+](=O)[O-])ccc2C)C1(C)C ZINC001226991794 776053035 /nfs/dbraw/zinc/05/30/35/776053035.db2.gz DDZQBZKCYJRWGR-AAEUAGOBSA-N 0 0 293.319 2.870 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CC(F)(F)C2(F)F)n1 ZINC001227063856 776079867 /nfs/dbraw/zinc/07/98/67/776079867.db2.gz IAGKZUSHVKYMKJ-ZETCQYMHSA-N 0 0 280.177 2.720 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)c2nccs2)n1 ZINC001227063160 776080572 /nfs/dbraw/zinc/08/05/72/776080572.db2.gz BGELLIBKUFGJQK-QMMMGPOBSA-N 0 0 265.294 2.895 20 5 CFBDRN Cc1cc2c(ccnc2O[C@@H](C[N+](=O)[O-])c2cccnc2)o1 ZINC001227089152 776090863 /nfs/dbraw/zinc/09/08/63/776090863.db2.gz ASTHLLRIASWHST-AWEZNQCLSA-N 0 0 299.286 2.928 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1C[C@H]2CC[C@@H]1C2 ZINC001227121392 776106703 /nfs/dbraw/zinc/10/67/03/776106703.db2.gz ASTOZHDYBSZBCC-OPQQBVKSSA-N 0 0 291.303 2.949 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1noc2cc(Cl)ccc21)C1CC1 ZINC001227189312 776129317 /nfs/dbraw/zinc/12/93/17/776129317.db2.gz GSBZXOGISJSJEI-LLVKDONJSA-N 0 0 282.683 2.915 20 5 CFBDRN Cc1ccc(O[C@H](C)COC(C)(C)C)c([N+](=O)[O-])n1 ZINC001227217999 776141808 /nfs/dbraw/zinc/14/18/08/776141808.db2.gz FKKXJEIDZWEUBU-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1ccc(C)nc1[N+](=O)[O-] ZINC001227223283 776143911 /nfs/dbraw/zinc/14/39/11/776143911.db2.gz GSDAJQQDFGJTIU-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN C[C@@H](Oc1nc2ccc([N+](=O)[O-])cc2o1)c1ncccn1 ZINC001227228661 776147021 /nfs/dbraw/zinc/14/70/21/776147021.db2.gz IKAKCONVOQNZNE-MRVPVSSYSA-N 0 0 286.247 2.666 20 5 CFBDRN CC[C@@H](COC)Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227233849 776147875 /nfs/dbraw/zinc/14/78/75/776147875.db2.gz IDSSZTZCXLTNJQ-VIFPVBQESA-N 0 0 266.253 2.540 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227232184 776148653 /nfs/dbraw/zinc/14/86/53/776148653.db2.gz NDELDIQZENJIRY-KCJUWKMLSA-N 0 0 278.264 2.540 20 5 CFBDRN O=[N+]([O-])c1cccc2oc(O[C@@H]3COc4cnccc43)nc21 ZINC001227235356 776149551 /nfs/dbraw/zinc/14/95/51/776149551.db2.gz BJTYMHDSBLLKGM-GFCCVEGCSA-N 0 0 299.242 2.644 20 5 CFBDRN COC1=CC[C@H](Oc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC001227236403 776149853 /nfs/dbraw/zinc/14/98/53/776149853.db2.gz FTUNDXPTUHPOTJ-NSHDSACASA-N 0 0 264.281 2.760 20 5 CFBDRN Cc1cnc(O[C@H](C)c2cccnc2F)c([N+](=O)[O-])c1 ZINC001227236641 776151432 /nfs/dbraw/zinc/15/14/32/776151432.db2.gz JPRIBPYOJMZTAV-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1occc(=O)c1O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001227242198 776153673 /nfs/dbraw/zinc/15/36/73/776153673.db2.gz JZFCLTUPFZXHOL-SECBINFHSA-N 0 0 275.260 2.996 20 5 CFBDRN COc1cccc(NCC2SCCS2)c1[N+](=O)[O-] ZINC000692810314 776194379 /nfs/dbraw/zinc/19/43/79/776194379.db2.gz IBWKSXHGZGRHAP-UHFFFAOYSA-N 0 0 286.378 2.821 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])cc(F)c2C)nn1C ZINC001167769710 776203399 /nfs/dbraw/zinc/20/33/99/776203399.db2.gz CAKABFWVAAHOIA-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CCOCC1 ZINC001227464449 776208248 /nfs/dbraw/zinc/20/82/48/776208248.db2.gz XXVADNAXWHAKBB-SECBINFHSA-N 0 0 296.279 2.697 20 5 CFBDRN CC1(C)C[C@H](Oc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC001227466724 776209694 /nfs/dbraw/zinc/20/96/94/776209694.db2.gz PGQNBRBQVVFAGT-SNVBAGLBSA-N 0 0 296.279 2.839 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124774015 776216608 /nfs/dbraw/zinc/21/66/08/776216608.db2.gz IDBJYGYYJQNZPO-MRVPVSSYSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC001227523697 776218377 /nfs/dbraw/zinc/21/83/77/776218377.db2.gz QBUAPTZQOYVMHW-RYUDHWBXSA-N 0 0 279.292 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2COc3cscc3O2)cc1 ZINC001227523973 776219910 /nfs/dbraw/zinc/21/99/10/776219910.db2.gz UVHUNAQOMHKMDY-LLVKDONJSA-N 0 0 293.300 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2SCCS2)c(F)c1 ZINC000692824426 776234877 /nfs/dbraw/zinc/23/48/77/776234877.db2.gz SRUIFFFDEXZPDP-UHFFFAOYSA-N 0 0 274.342 2.952 20 5 CFBDRN O=[N+]([O-])C[C@H](OCC1CCCC1)c1cccnc1 ZINC001222709158 776247046 /nfs/dbraw/zinc/24/70/46/776247046.db2.gz UJUDJNQDTVRAPY-ZDUSSCGKSA-N 0 0 250.298 2.606 20 5 CFBDRN COCc1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc1 ZINC001227675650 776252918 /nfs/dbraw/zinc/25/29/18/776252918.db2.gz XJPCONROBLJQQO-HNNXBMFYSA-N 0 0 288.303 2.625 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2ncc([N+](=O)[O-])c3nc[nH]c32)CC1 ZINC001227692049 776258864 /nfs/dbraw/zinc/25/88/64/776258864.db2.gz SOYWGMOBPFVUGD-DTORHVGOSA-N 0 0 276.296 2.824 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227693119 776259638 /nfs/dbraw/zinc/25/96/38/776259638.db2.gz LGHBZTKOMAQKBA-PSASIEDQSA-N 0 0 276.296 2.824 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(F)cc(Cl)c1)C1CC1 ZINC001227856385 776311216 /nfs/dbraw/zinc/31/12/16/776311216.db2.gz ASZIAPNNSLUVTC-NSHDSACASA-N 0 0 259.664 2.913 20 5 CFBDRN COc1ccc([C@H](C)Oc2cncc([N+](=O)[O-])c2)cn1 ZINC001227987893 776350863 /nfs/dbraw/zinc/35/08/63/776350863.db2.gz LORVUDCOZPXSSD-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1cncc(OC[C@H]2CCc3ccccc3O2)c1 ZINC001227988632 776351360 /nfs/dbraw/zinc/35/13/60/776351360.db2.gz UYRHLZCOHGTHDH-CYBMUJFWSA-N 0 0 286.287 2.762 20 5 CFBDRN C[C@@H](Oc1cncc([N+](=O)[O-])c1)c1ncccc1F ZINC001227990277 776351950 /nfs/dbraw/zinc/35/19/50/776351950.db2.gz IUYXNBRCZBPPAE-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CC1(C)CC(Oc2cncc([N+](=O)[O-])c2)CC(C)(C)N1O ZINC001227988981 776352551 /nfs/dbraw/zinc/35/25/51/776352551.db2.gz ZUBQLIJIKQVZKN-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1cncc([N+](=O)[O-])c1 ZINC001227990825 776354006 /nfs/dbraw/zinc/35/40/06/776354006.db2.gz RIIOTWNQUMACNB-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@@H]1CCOC[C@@H]1F ZINC001228001268 776355863 /nfs/dbraw/zinc/35/58/63/776355863.db2.gz VCTDDUHNGCJESX-WCBMZHEXSA-N 0 0 275.663 2.754 20 5 CFBDRN CCOC(=O)[C@@H](Oc1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC001228000758 776356489 /nfs/dbraw/zinc/35/64/89/776356489.db2.gz OBFCOEXMDHWURL-NSHDSACASA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@H]1CCOC[C@@H]1F ZINC001228001269 776356814 /nfs/dbraw/zinc/35/68/14/776356814.db2.gz VCTDDUHNGCJESX-WPRPVWTQSA-N 0 0 275.663 2.754 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1nccc(Cl)c1[N+](=O)[O-] ZINC001228014987 776364663 /nfs/dbraw/zinc/36/46/63/776364663.db2.gz QCMMQMCRAPOUQD-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN C[C@H](Oc1nccc(Cl)c1[N+](=O)[O-])c1cnccn1 ZINC001228017566 776365291 /nfs/dbraw/zinc/36/52/91/776365291.db2.gz PXDQSRZJSHFCSM-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001228017514 776365977 /nfs/dbraw/zinc/36/59/77/776365977.db2.gz OWNXAZZQIIKDRI-QXFUBDJGSA-N 0 0 266.684 2.987 20 5 CFBDRN Cc1ncccc1/C=C/C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC001126172886 776371637 /nfs/dbraw/zinc/37/16/37/776371637.db2.gz MRHUQOQZPZPATD-BQYQJAHWSA-N 0 0 297.314 2.628 20 5 CFBDRN CCO[C@@H](C)COc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228136962 776405904 /nfs/dbraw/zinc/40/59/04/776405904.db2.gz AWHIAMBHBAAHER-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN O=c1[nH]cnc(O[C@@H]2CCCc3ccccc32)c1[N+](=O)[O-] ZINC001228140918 776407065 /nfs/dbraw/zinc/40/70/65/776407065.db2.gz NSXJGSCUGGJLQT-LLVKDONJSA-N 0 0 287.275 2.547 20 5 CFBDRN C[C@@H]1C[C@H](Oc2nc[nH]c(=O)c2[N+](=O)[O-])CC(C)(C)C1 ZINC001228141801 776407368 /nfs/dbraw/zinc/40/73/68/776407368.db2.gz VFVNEJBNXLTREX-BDAKNGLRSA-N 0 0 281.312 2.684 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(O)ccc1Cl)c1cccnc1 ZINC001228225669 776427365 /nfs/dbraw/zinc/42/73/65/776427365.db2.gz CKHOGAQVFILCIE-CYBMUJFWSA-N 0 0 294.694 2.837 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC001228231031 776429119 /nfs/dbraw/zinc/42/91/19/776429119.db2.gz YAHRHVWBCGTCFY-SNVBAGLBSA-N 0 0 267.281 2.542 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC001228231077 776429288 /nfs/dbraw/zinc/42/92/88/776429288.db2.gz YVHCUGXNBULTIP-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(OC2CC3(COC3)C2)c1 ZINC001228255307 776434829 /nfs/dbraw/zinc/43/48/29/776434829.db2.gz HPQIBUTWQFQTDV-UHFFFAOYSA-N 0 0 269.684 2.806 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228258424 776436174 /nfs/dbraw/zinc/43/61/74/776436174.db2.gz XNFGELOQJBYBQR-NSHDSACASA-N 0 0 287.699 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@@H](C3CC3)O2)cc1 ZINC000722335537 776484063 /nfs/dbraw/zinc/48/40/63/776484063.db2.gz YXEKRKXAGXYWAI-KGLIPLIRSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1cnccc1/C=C\C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC001126247293 776487675 /nfs/dbraw/zinc/48/76/75/776487675.db2.gz MZMBHDVICZFAND-WAYWQWQTSA-N 0 0 297.314 2.628 20 5 CFBDRN CC(C)O[C@@]1(CNc2ccsc2[N+](=O)[O-])CCOC1 ZINC000722343713 776494864 /nfs/dbraw/zinc/49/48/64/776494864.db2.gz FNODJLKMMWATRW-GFCCVEGCSA-N 0 0 286.353 2.652 20 5 CFBDRN CC(C)O[C@]1(CNc2ccsc2[N+](=O)[O-])CCOC1 ZINC000722343714 776494963 /nfs/dbraw/zinc/49/49/63/776494963.db2.gz FNODJLKMMWATRW-LBPRGKRZSA-N 0 0 286.353 2.652 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@@H]1CCOC[C@H]1C ZINC001228451373 776495126 /nfs/dbraw/zinc/49/51/26/776495126.db2.gz VOWPUIROWSHDCF-QMTHXVAHSA-N 0 0 293.319 2.910 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001228570863 776524122 /nfs/dbraw/zinc/52/41/22/776524122.db2.gz IFJDANLNIBNVAG-GFCCVEGCSA-N 0 0 280.280 2.646 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@H]1CCc2nccn2C1 ZINC001228572313 776525838 /nfs/dbraw/zinc/52/58/38/776525838.db2.gz ZBKKEPSMIKNSMO-ZDUSSCGKSA-N 0 0 287.319 2.802 20 5 CFBDRN COc1ncccc1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228593030 776533340 /nfs/dbraw/zinc/53/33/40/776533340.db2.gz LOSMUNDGSVHXAM-ZDUSSCGKSA-N 0 0 286.287 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](OCc1cncs1)C2 ZINC001223276288 776549233 /nfs/dbraw/zinc/54/92/33/776549233.db2.gz VMCNGTLJYOPTSE-GFCCVEGCSA-N 0 0 276.317 2.735 20 5 CFBDRN COc1ccc([C@H](C)Oc2ncncc2[N+](=O)[O-])cc1 ZINC001228654390 776553367 /nfs/dbraw/zinc/55/33/67/776553367.db2.gz JYTJJXVBNVJXPM-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CC[C@@H](C)CC[C@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228655378 776553525 /nfs/dbraw/zinc/55/35/25/776553525.db2.gz RKJNLYJAMMBVAL-ZJUUUORDSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1ccccc1OC[C@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228653980 776553832 /nfs/dbraw/zinc/55/38/32/776553832.db2.gz DASFWBPKPGQMNY-NSHDSACASA-N 0 0 289.291 2.540 20 5 CFBDRN C[C@@H](Oc1ncncc1[N+](=O)[O-])c1cccc(N(C)C)c1 ZINC001228654593 776553869 /nfs/dbraw/zinc/55/38/69/776553869.db2.gz LJSYFSZWQCCGIQ-SNVBAGLBSA-N 0 0 288.307 2.591 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1Oc1ncncc1[N+](=O)[O-] ZINC001228656491 776554749 /nfs/dbraw/zinc/55/47/49/776554749.db2.gz FKXJJLRTMXWAEC-KOLCDFICSA-N 0 0 251.286 2.732 20 5 CFBDRN CCCC/C=C/[C@@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228655734 776554884 /nfs/dbraw/zinc/55/48/84/776554884.db2.gz VHBOHZRCZWPLKF-VQCYPWCPSA-N 0 0 251.286 2.899 20 5 CFBDRN O=[N+]([O-])c1cncnc1O[C@@H](c1ccccc1)C1CC1 ZINC001228658564 776555015 /nfs/dbraw/zinc/55/50/15/776555015.db2.gz YGZCJJZJUPWKHG-ZDUSSCGKSA-N 0 0 271.276 2.915 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])C1 ZINC001228662328 776557316 /nfs/dbraw/zinc/55/73/16/776557316.db2.gz VAFLHLUVUKTLCM-NXEZZACHSA-N 0 0 299.348 2.566 20 5 CFBDRN Cc1cc2[nH]c(OC3CCC(=O)CC3)nc2cc1[N+](=O)[O-] ZINC001228672419 776561448 /nfs/dbraw/zinc/56/14/48/776561448.db2.gz TZAMTROGBNUGTI-UHFFFAOYSA-N 0 0 289.291 2.670 20 5 CFBDRN CCCOC[C@H](C)Oc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228672730 776562345 /nfs/dbraw/zinc/56/23/45/776562345.db2.gz AEBIOSWQCGFIQP-JTQLQIEISA-N 0 0 293.323 2.973 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CCC(=O)CC2(C)C)c1[N+](=O)[O-] ZINC001228685641 776567710 /nfs/dbraw/zinc/56/77/10/776567710.db2.gz JCXGSTZLEUUNAT-NSHDSACASA-N 0 0 294.307 2.530 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC001228687467 776568936 /nfs/dbraw/zinc/56/89/36/776568936.db2.gz XMQKTCYMVWOIAQ-PSASIEDQSA-N 0 0 266.297 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC001228687468 776569118 /nfs/dbraw/zinc/56/91/18/776569118.db2.gz XMQKTCYMVWOIAQ-SCZZXKLOSA-N 0 0 266.297 2.961 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001228759273 776600205 /nfs/dbraw/zinc/60/02/05/776600205.db2.gz ZFSMTAVJUNMUCD-QMMMGPOBSA-N 0 0 285.683 2.745 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc2c(c1)CCCC2)c1cccnc1 ZINC001228778397 776608059 /nfs/dbraw/zinc/60/80/59/776608059.db2.gz JSSUQPRVMGOTDE-INIZCTEOSA-N 0 0 299.330 2.752 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228832730 776625523 /nfs/dbraw/zinc/62/55/23/776625523.db2.gz BLCDNWSGIKDONH-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1cc(O[C@H]2CCn3ccnc32)cc([N+](=O)[O-])c1 ZINC001228832938 776626087 /nfs/dbraw/zinc/62/60/87/776626087.db2.gz GFHXNJWUDGVIRV-LBPRGKRZSA-N 0 0 259.265 2.624 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@@H](C(C)(C)C)C1 ZINC000893637078 776630110 /nfs/dbraw/zinc/63/01/10/776630110.db2.gz WGIFODMUWRYMFS-SNVBAGLBSA-N 0 0 266.345 2.591 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1cnccc1[N+](=O)[O-] ZINC001228879960 776645474 /nfs/dbraw/zinc/64/54/74/776645474.db2.gz MXYOPUXZWQPKPP-LBPRGKRZSA-N 0 0 266.297 2.907 20 5 CFBDRN COc1ccc([C@H](C)Oc2cnccc2[N+](=O)[O-])cn1 ZINC001228880172 776645506 /nfs/dbraw/zinc/64/55/06/776645506.db2.gz QIZLEQZFLJJSBP-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CC1(C)CC[C@@H](COc2ccc([N+](=O)[O-])c(Cl)n2)O1 ZINC001228935815 776661381 /nfs/dbraw/zinc/66/13/81/776661381.db2.gz HWGSYTJHXUKKHC-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN COC(C[C@@H](C)Oc1c(C(C)=O)cccc1[N+](=O)[O-])OC ZINC001228970498 776672669 /nfs/dbraw/zinc/67/26/69/776672669.db2.gz JFOLERQSRCOIIF-SECBINFHSA-N 0 0 297.307 2.574 20 5 CFBDRN CCOC[C@@H](C)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228972087 776673980 /nfs/dbraw/zinc/67/39/80/776673980.db2.gz UNJTXRZWNPMZSE-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1OC[C@@H]1CC[C@H](C)O1 ZINC001228972706 776674607 /nfs/dbraw/zinc/67/46/07/776674607.db2.gz CQBOHRUIPZCHFW-ONGXEEELSA-N 0 0 279.292 2.744 20 5 CFBDRN CCCOCCNc1cc2cc[nH]c2c([N+](=O)[O-])c1 ZINC001167873033 776696826 /nfs/dbraw/zinc/69/68/26/776696826.db2.gz BPVGYPZSWXHGSL-UHFFFAOYSA-N 0 0 263.297 2.915 20 5 CFBDRN COC(=O)c1c(O[C@@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC001229043785 776698409 /nfs/dbraw/zinc/69/84/09/776698409.db2.gz BHSKKYCMKROPIO-GXSJLCMTSA-N 0 0 279.292 2.949 20 5 CFBDRN COC(=O)c1c(O[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC001229043985 776699195 /nfs/dbraw/zinc/69/91/95/776699195.db2.gz CLEFSXAALJJWTG-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN C[C@@H](Oc1ccc(/C=C/[N+](=O)[O-])cc1)c1cncnc1 ZINC001229113325 776720959 /nfs/dbraw/zinc/72/09/59/776720959.db2.gz BMSRLVCEVQTMOL-XUIVZRPNSA-N 0 0 271.276 2.864 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ccc(/C=C/[N+](=O)[O-])cc2)CCO1 ZINC001229117220 776723871 /nfs/dbraw/zinc/72/38/71/776723871.db2.gz ZQFGJANGMMSEML-VLPCURTJSA-N 0 0 263.293 2.880 20 5 CFBDRN CC[C@@H](C)Oc1cc(NC(C)=O)c([N+](=O)[O-])cc1C(C)=O ZINC001229128292 776726925 /nfs/dbraw/zinc/72/69/25/776726925.db2.gz PJTSEQHUKDCHOG-MRVPVSSYSA-N 0 0 294.307 2.933 20 5 CFBDRN CCOC[C@@H](C)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229139315 776730868 /nfs/dbraw/zinc/73/08/68/776730868.db2.gz WWQHBRATXHZGCC-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccnc2sccc21)C1CC1 ZINC001229203462 776751338 /nfs/dbraw/zinc/75/13/38/776751338.db2.gz BDZZPMIEABDWRH-NSHDSACASA-N 0 0 264.306 2.730 20 5 CFBDRN COCc1ccccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001229253284 776765800 /nfs/dbraw/zinc/76/58/00/776765800.db2.gz WIFXJLQCXTVJFN-OAHLLOKOSA-N 0 0 288.303 2.625 20 5 CFBDRN CC[C@H](COC)Oc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229344256 776784992 /nfs/dbraw/zinc/78/49/92/776784992.db2.gz UWEMOWIHAXAWME-GFCCVEGCSA-N 0 0 276.292 2.947 20 5 CFBDRN C[C@@H](Oc1n[nH]c(=O)c2ccc([N+](=O)[O-])cc21)C1CCC1 ZINC001229363555 776791354 /nfs/dbraw/zinc/79/13/54/776791354.db2.gz DDZBKBQCNGXDJN-MRVPVSSYSA-N 0 0 289.291 2.811 20 5 CFBDRN O=c1[nH]nc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c2c1cccc2[N+](=O)[O-] ZINC001229367556 776792457 /nfs/dbraw/zinc/79/24/57/776792457.db2.gz OVSQGZCPEHWTEI-YGOYTEALSA-N 0 0 299.286 2.587 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1O[C@H]1CCc2nccn2C1 ZINC001229379454 776795806 /nfs/dbraw/zinc/79/58/06/776795806.db2.gz FNYRVKPPOINMPB-JTQLQIEISA-N 0 0 293.710 2.839 20 5 CFBDRN COC1CCC(Oc2cc([N+](=O)[O-])ccc2O)CC1 ZINC001229396856 776800135 /nfs/dbraw/zinc/80/01/35/776800135.db2.gz ZUJFMGZOFGYZDJ-UHFFFAOYSA-N 0 0 267.281 2.637 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@H]2CCCC[C@H]2F)c1 ZINC001229396033 776800186 /nfs/dbraw/zinc/80/01/86/776800186.db2.gz PHMDSNYLKRXGES-KOLCDFICSA-N 0 0 255.245 2.960 20 5 CFBDRN COc1cc(O[C@H](C[N+](=O)[O-])C2CC2)nc2ccccc21 ZINC001229441784 776814268 /nfs/dbraw/zinc/81/42/68/776814268.db2.gz QOFIIOHTCFBBQJ-CQSZACIVSA-N 0 0 288.303 2.678 20 5 CFBDRN O=[N+]([O-])c1c[n+]([O-])ccc1O[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC001229452169 776817473 /nfs/dbraw/zinc/81/74/73/776817473.db2.gz MSLKYUWLVSSFEG-JHJVBQTASA-N 0 0 292.335 2.966 20 5 CFBDRN CC1(C)CCC[C@H](Oc2cc[n+]([O-])cc2[N+](=O)[O-])C1 ZINC001229452577 776817514 /nfs/dbraw/zinc/81/75/14/776817514.db2.gz UFFIOMPLJKUGFA-JTQLQIEISA-N 0 0 266.297 2.576 20 5 CFBDRN CCC[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])C(C)(C)C ZINC001229452263 776817613 /nfs/dbraw/zinc/81/76/13/776817613.db2.gz OIVZSHCNDCCDNY-LBPRGKRZSA-N 0 0 268.313 2.822 20 5 CFBDRN CC1(C)CCC(Oc2cc[n+]([O-])cc2[N+](=O)[O-])CC1 ZINC001229453509 776818453 /nfs/dbraw/zinc/81/84/53/776818453.db2.gz FZCWAUXCRDRIPL-UHFFFAOYSA-N 0 0 266.297 2.576 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2cc[n+]([O-])cc2[N+](=O)[O-])c(C)c1 ZINC001229452997 776818776 /nfs/dbraw/zinc/81/87/76/776818776.db2.gz ZGFKWZJTYCRHNN-GFCCVEGCSA-N 0 0 288.303 2.985 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2cc(C)c([N+](=O)[O-])c(C)c2)C1 ZINC001229465248 776819875 /nfs/dbraw/zinc/81/98/75/776819875.db2.gz AZELZBYLALINBT-CYBMUJFWSA-N 0 0 292.335 2.601 20 5 CFBDRN CC[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])C1CCCCC1 ZINC001229456945 776820466 /nfs/dbraw/zinc/82/04/66/776820466.db2.gz ZQHDQFKXGIKCEK-ZDUSSCGKSA-N 0 0 280.324 2.966 20 5 CFBDRN Cc1cc(O[C@@H]2CCOC[C@H]2F)cc(C)c1[N+](=O)[O-] ZINC001229469374 776822160 /nfs/dbraw/zinc/82/21/60/776822160.db2.gz HILPQXQQFYSRQL-VXGBXAGGSA-N 0 0 269.272 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(O[C@H]3COc4cnccc43)nc2c1 ZINC001229488917 776828577 /nfs/dbraw/zinc/82/85/77/776828577.db2.gz AMDQGEBDUIUPGU-ZDUSSCGKSA-N 0 0 299.242 2.644 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@H]2C2CCC2)n1 ZINC001143552635 776872222 /nfs/dbraw/zinc/87/22/22/776872222.db2.gz CKVPDJLWBSJGLO-AWEZNQCLSA-N 0 0 289.335 2.703 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc([N+](=O)[O-])c(C)cc1O ZINC001229753025 776888131 /nfs/dbraw/zinc/88/81/31/776888131.db2.gz FVPITQLBOTXQHQ-GFCCVEGCSA-N 0 0 267.281 2.745 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc(F)c1)c1cccnc1 ZINC001225229079 776903354 /nfs/dbraw/zinc/90/33/54/776903354.db2.gz PPMYXMJNTSJTSO-ZDUSSCGKSA-N 0 0 262.240 2.618 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1ccc([N+](=O)[O-])c(C)c1C ZINC001229880942 776909252 /nfs/dbraw/zinc/90/92/52/776909252.db2.gz KTZNAIHLRGPFII-SECBINFHSA-N 0 0 279.292 2.708 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@@H]1C=C(C)OC(=O)C1 ZINC001229883648 776910362 /nfs/dbraw/zinc/91/03/62/776910362.db2.gz WUCNPJVDYJGIMQ-LLVKDONJSA-N 0 0 277.276 2.810 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCn3ccnc32)cc1F ZINC001229892380 776911888 /nfs/dbraw/zinc/91/18/88/776911888.db2.gz MCMHIRRQPDKFGN-LLVKDONJSA-N 0 0 277.255 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H](C)c2cnccn2)cc1F ZINC001229894283 776912590 /nfs/dbraw/zinc/91/25/90/776912590.db2.gz GXBIWDJIMCRAHB-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cc(F)c(C)cc2[N+](=O)[O-])C1 ZINC001229895391 776912923 /nfs/dbraw/zinc/91/29/23/776912923.db2.gz DFFVICBEHQPYES-AOOOYVTPSA-N 0 0 297.282 2.763 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cc(F)c(C)cc2[N+](=O)[O-])C1 ZINC001229896853 776914231 /nfs/dbraw/zinc/91/42/31/776914231.db2.gz YQEPXASSPVTODT-DEZZCRIOSA-N 0 0 297.282 2.763 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC001229965169 776926231 /nfs/dbraw/zinc/92/62/31/776926231.db2.gz ZHENKGUJRCECMJ-LLVKDONJSA-N 0 0 286.671 2.682 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001229965173 776926460 /nfs/dbraw/zinc/92/64/60/776926460.db2.gz ZIMTXGFOJPNLRS-QMMMGPOBSA-N 0 0 285.683 2.745 20 5 CFBDRN CC(=O)N1CC[C@@H](Oc2cc(C)c(Cl)cc2[N+](=O)[O-])C1 ZINC001229975005 776929089 /nfs/dbraw/zinc/92/90/89/776929089.db2.gz KRLJDXBYEMTEPX-SNVBAGLBSA-N 0 0 298.726 2.556 20 5 CFBDRN Cc1cc(O[C@@H]2C(=O)OCC2(C)C)c([N+](=O)[O-])cc1Cl ZINC001229975185 776929701 /nfs/dbraw/zinc/92/97/01/776929701.db2.gz LVYZCBZSVIKYJB-LLVKDONJSA-N 0 0 299.710 2.887 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000696235357 776948493 /nfs/dbraw/zinc/94/84/93/776948493.db2.gz KQSKGXSHHUHALK-VIFPVBQESA-N 0 0 268.288 2.900 20 5 CFBDRN CN1CC(Oc2ccc([N+](=O)[O-])c(Cl)c2Cl)C1 ZINC001230042884 776948382 /nfs/dbraw/zinc/94/83/82/776948382.db2.gz YFDSEYCOCOJAFY-UHFFFAOYSA-N 0 0 277.107 2.594 20 5 CFBDRN CC1=C(Oc2ccc(C)cc2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001230145612 776955100 /nfs/dbraw/zinc/95/51/00/776955100.db2.gz GMGROBRNGIGIMG-SECBINFHSA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1ccc(O[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC001230145360 776955546 /nfs/dbraw/zinc/95/55/46/776955546.db2.gz FHDIWSJOAMAYIL-PWSUYJOCSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ccc(O[C@H]2C=C(C)OC(=O)C2)c([N+](=O)[O-])c1 ZINC001230142932 776955842 /nfs/dbraw/zinc/95/58/42/776955842.db2.gz DKYSSIBICZCEEY-JTQLQIEISA-N 0 0 263.249 2.501 20 5 CFBDRN CC(=O)N1CC(Oc2nccc3c4ccccc4ccc32)C1 ZINC001230202704 776958061 /nfs/dbraw/zinc/95/80/61/776958061.db2.gz BFAQPYYNLAFUCB-UHFFFAOYSA-N 0 0 292.338 2.998 20 5 CFBDRN CC[C@H](C)[C@@H](C)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230230295 776961442 /nfs/dbraw/zinc/96/14/42/776961442.db2.gz NHXGFKFLISTWHJ-JGVFFNPUSA-N 0 0 264.285 2.680 20 5 CFBDRN O=[N+]([O-])c1c[nH]cc2nc(O[C@H]3CCc4ccccc43)nc1-2 ZINC001230225028 776961506 /nfs/dbraw/zinc/96/15/06/776961506.db2.gz FTTRRBFENCKFRC-ZDUSSCGKSA-N 0 0 296.286 2.932 20 5 CFBDRN C[C@H]1CCC[C@H](Oc2nc3c[nH]cc([N+](=O)[O-])c-3n2)C1 ZINC001230229013 776961517 /nfs/dbraw/zinc/96/15/17/776961517.db2.gz COWYAMJVSKIVQY-IUCAKERBSA-N 0 0 276.296 2.824 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2scnc2c1)C1CC1 ZINC001230232050 776962330 /nfs/dbraw/zinc/96/23/30/776962330.db2.gz AVRSSAGTFZFYFX-LLVKDONJSA-N 0 0 264.306 2.730 20 5 CFBDRN C[C@@H](Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1)c1ccco1 ZINC001230231803 776962717 /nfs/dbraw/zinc/96/27/17/776962717.db2.gz UCRXEBFENKNSIM-SSDOTTSWSA-N 0 0 274.236 2.599 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc([N+](=O)[O-])ccc1NC(C)=O ZINC001230246062 776966033 /nfs/dbraw/zinc/96/60/33/776966033.db2.gz HGEVBYFWRZXOEN-CYBMUJFWSA-N 0 0 294.307 2.690 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCOC1CCC1 ZINC000690940434 776979313 /nfs/dbraw/zinc/97/93/13/776979313.db2.gz AGRTUPYHUYQCGC-UHFFFAOYSA-N 0 0 254.261 2.715 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225384049 776980964 /nfs/dbraw/zinc/98/09/64/776980964.db2.gz KAUOHQCQOAOGQK-LBPRGKRZSA-N 0 0 253.229 2.624 20 5 CFBDRN COc1ccc2sc(O[C@@H](C[N+](=O)[O-])C3CC3)nc2c1 ZINC001230338674 776981875 /nfs/dbraw/zinc/98/18/75/776981875.db2.gz BMFMIFXFVVMRAX-NSHDSACASA-N 0 0 294.332 2.739 20 5 CFBDRN Cc1cc(OC2CN(C(C)C)C2)cc([N+](=O)[O-])c1C ZINC001230471445 776996306 /nfs/dbraw/zinc/99/63/06/776996306.db2.gz GQXIUGUJKQKNIJ-UHFFFAOYSA-N 0 0 264.325 2.683 20 5 CFBDRN Cc1cc(O[C@@H]2C=C(C)OC(=O)C2)cc([N+](=O)[O-])c1C ZINC001230471730 776996362 /nfs/dbraw/zinc/99/63/62/776996362.db2.gz PEZLNQGUKKOQBB-GFCCVEGCSA-N 0 0 277.276 2.810 20 5 CFBDRN Cc1cc(O[C@H]2C=C(C)OC(=O)C2)cc([N+](=O)[O-])c1C ZINC001230471731 776996410 /nfs/dbraw/zinc/99/64/10/776996410.db2.gz PEZLNQGUKKOQBB-LBPRGKRZSA-N 0 0 277.276 2.810 20 5 CFBDRN CCOC(=O)[C@H](Oc1cc(C)c(C)c([N+](=O)[O-])c1)C1CC1 ZINC001230477411 776997607 /nfs/dbraw/zinc/99/76/07/776997607.db2.gz LRPCAUPLBPHGBP-CQSZACIVSA-N 0 0 293.319 2.932 20 5 CFBDRN CCOC[C@H](C)Oc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230491154 776998265 /nfs/dbraw/zinc/99/82/65/776998265.db2.gz JTILWCYDTNFLSS-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC001230491514 776999784 /nfs/dbraw/zinc/99/97/84/776999784.db2.gz UXISROCZXBTHMU-SNVBAGLBSA-N 0 0 294.263 2.883 20 5 CFBDRN Cc1ccc(OC2CC(F)(F)C2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230493050 776999919 /nfs/dbraw/zinc/99/99/19/776999919.db2.gz WZLYXRDNXYIMOC-UHFFFAOYSA-N 0 0 288.206 2.988 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cc(C)c([N+](=O)[O-])cc1C ZINC001230722780 777050728 /nfs/dbraw/zinc/05/07/28/777050728.db2.gz AFTUBWMQMLWBBV-YPMHNXCESA-N 0 0 293.319 2.932 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1OC1COCOC1 ZINC001230769015 777059209 /nfs/dbraw/zinc/05/92/09/777059209.db2.gz XYNVSAYQHGHIRS-UHFFFAOYSA-N 0 0 294.090 2.653 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ncnc2c(Cl)cccc12)C1CC1 ZINC001230776726 777062006 /nfs/dbraw/zinc/06/20/06/777062006.db2.gz UUOBJRFPFSYWJV-LLVKDONJSA-N 0 0 293.710 2.717 20 5 CFBDRN CC(=O)Cc1c(F)c(OCCOC(C)C)ccc1[N+](=O)[O-] ZINC001230794433 777066659 /nfs/dbraw/zinc/06/66/59/777066659.db2.gz NMVZBEOGSVSGAL-UHFFFAOYSA-N 0 0 299.298 2.669 20 5 CFBDRN C[C@H](Oc1ccc(Cl)nc1[N+](=O)[O-])C(F)(F)F ZINC001230872981 777078255 /nfs/dbraw/zinc/07/82/55/777078255.db2.gz ULMKRZBXCSYSSA-BYPYZUCNSA-N 0 0 270.594 2.973 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ccc(Cl)nc2[N+](=O)[O-])CCO1 ZINC001230878760 777079527 /nfs/dbraw/zinc/07/95/27/777079527.db2.gz HYKRXOYVLZPWFI-HTQZYQBOSA-N 0 0 272.688 2.590 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ccc(Cl)nc2[N+](=O)[O-])CCO1 ZINC001230878763 777079851 /nfs/dbraw/zinc/07/98/51/777079851.db2.gz HYKRXOYVLZPWFI-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001230878356 777079932 /nfs/dbraw/zinc/07/99/32/777079932.db2.gz DRNWFNXMLBPUNJ-RNLVFQAGSA-N 0 0 254.673 2.821 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC1CCC(OC)CC1 ZINC001225612151 777088285 /nfs/dbraw/zinc/08/82/85/777088285.db2.gz CDPDBNFALACFFR-UHFFFAOYSA-N 0 0 281.308 2.940 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2cccc(Cl)c2[N+](=O)[O-])C1 ZINC001230915694 777092749 /nfs/dbraw/zinc/09/27/49/777092749.db2.gz TWUAPQDWHKQPIF-SNVBAGLBSA-N 0 0 298.726 2.638 20 5 CFBDRN CC(=O)c1cc(Cl)cc([N+](=O)[O-])c1O[C@@H]1CCOC1 ZINC001230926221 777094821 /nfs/dbraw/zinc/09/48/21/777094821.db2.gz CQJMOHHDNDBCMA-SECBINFHSA-N 0 0 285.683 2.619 20 5 CFBDRN Cc1cc(N2CCC(O)(C(C)C)CC2)ccc1[N+](=O)[O-] ZINC000710671352 777095048 /nfs/dbraw/zinc/09/50/48/777095048.db2.gz HZULMVXYKWIAHI-UHFFFAOYSA-N 0 0 278.352 2.891 20 5 CFBDRN O=C1C[C@H](Oc2cccc(-c3cccc([N+](=O)[O-])c3)c2)CO1 ZINC001230938012 777098098 /nfs/dbraw/zinc/09/80/98/777098098.db2.gz CZKVHUSFYQDAMV-HNNXBMFYSA-N 0 0 299.282 2.956 20 5 CFBDRN C[C@H](Oc1cccnc1[N+](=O)[O-])c1ccncc1F ZINC001225629460 777098998 /nfs/dbraw/zinc/09/89/98/777098998.db2.gz NVEBOYWMDRZROI-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2CC[C@H](C)C2)c1 ZINC000721575232 777110532 /nfs/dbraw/zinc/11/05/32/777110532.db2.gz IJGCXJKDKHXFIT-GXSJLCMTSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1ccc(O[C@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC001225643182 777125934 /nfs/dbraw/zinc/12/59/34/777125934.db2.gz CYUFMKYEMVLAGB-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@@H]1CCCOC1 ZINC001231058554 777128758 /nfs/dbraw/zinc/12/87/58/777128758.db2.gz BERVAXZZKUVHQV-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)/C(F)=C/C1CCCCC1 ZINC001269827158 777254857 /nfs/dbraw/zinc/25/48/57/777254857.db2.gz DMRBMHOVWGZKDT-YFHOEESVSA-N 0 0 296.302 2.701 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225914682 777334445 /nfs/dbraw/zinc/33/44/45/777334445.db2.gz AOJCFQRGKVKUEU-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1ccccc1CO[C@H]1CCCC2(C1)OCCO2 ZINC000712828061 777390297 /nfs/dbraw/zinc/39/02/97/777390297.db2.gz LQBHJFVBOSKXLI-ZDUSSCGKSA-N 0 0 293.319 2.797 20 5 CFBDRN C[C@H](Oc1ncc(Cl)cc1[N+](=O)[O-])C1CCOCC1 ZINC001226130985 777445602 /nfs/dbraw/zinc/44/56/02/777445602.db2.gz ZRSRWKQUIIGPIV-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN COc1ccc([N+](=O)[O-])nc1NCCC(C)(C)C ZINC001161677473 777524449 /nfs/dbraw/zinc/52/44/49/777524449.db2.gz SDLQMDYUGSOKLH-UHFFFAOYSA-N 0 0 253.302 2.847 20 5 CFBDRN CC[C@H](C)Nc1ccc([N+](=O)[O-])c2c1CCN(C)C2 ZINC001168002931 777566983 /nfs/dbraw/zinc/56/69/83/777566983.db2.gz FQIFEAOMKGBRQQ-JTQLQIEISA-N 0 0 263.341 2.793 20 5 CFBDRN CC[C@@H](Oc1cc(OC)c([N+](=O)[O-])cn1)C(C)C ZINC001226578330 777579970 /nfs/dbraw/zinc/57/99/70/777579970.db2.gz GIFZZHQOXAETSP-SNVBAGLBSA-N 0 0 254.286 2.812 20 5 CFBDRN C[C@H](Cc1ccccc1)Oc1ccc([N+](=O)[O-])nc1 ZINC001226711042 777615150 /nfs/dbraw/zinc/61/51/50/777615150.db2.gz XUXLDVAWSANHED-LLVKDONJSA-N 0 0 258.277 3.000 20 5 CFBDRN CC(C)[C@H](Oc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)(C)C ZINC001226712492 777617455 /nfs/dbraw/zinc/61/74/55/777617455.db2.gz NSKRDDOVWOJASK-LBPRGKRZSA-N 0 0 296.323 2.735 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)c1cccnc1 ZINC001226762436 777635508 /nfs/dbraw/zinc/63/55/08/777635508.db2.gz UUAWFNSZCDNIMZ-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2c(C)cccc2[N+](=O)[O-])=C1C ZINC001226968782 777713315 /nfs/dbraw/zinc/71/33/15/777713315.db2.gz IQNPPVKWAJRERV-LLVKDONJSA-N 0 0 277.276 2.891 20 5 CFBDRN CC(=O)c1cc(O[C@@H](C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC001233495687 777969390 /nfs/dbraw/zinc/96/93/90/777969390.db2.gz JVWQZFAGXMFYJR-NSHDSACASA-N 0 0 293.319 2.991 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233498421 777970075 /nfs/dbraw/zinc/97/00/75/777970075.db2.gz KQFDFWFVKLFSHU-CQSZACIVSA-N 0 0 279.292 2.934 20 5 CFBDRN CCO[C@H](C)COc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233505773 777973509 /nfs/dbraw/zinc/97/35/09/777973509.db2.gz GIMRVEHELWPJOY-SSDOTTSWSA-N 0 0 299.714 2.928 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1OC[C@H]1Cc2ccccc2O1 ZINC001233551196 777988356 /nfs/dbraw/zinc/98/83/56/777988356.db2.gz OEILYAXDKWURNK-GFCCVEGCSA-N 0 0 286.287 2.681 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)c1ccncc1F ZINC001233553912 777988999 /nfs/dbraw/zinc/98/89/99/777988999.db2.gz OQMAHDLZSTYMDF-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN C=Cc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(OC)c1 ZINC001233571814 777996797 /nfs/dbraw/zinc/99/67/97/777996797.db2.gz ZBLRSDIKTPPWFJ-AWEZNQCLSA-N 0 0 263.293 2.772 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001233577955 777996888 /nfs/dbraw/zinc/99/68/88/777996888.db2.gz LZQZDXONUIFPDP-QWRGUYRKSA-N 0 0 296.279 2.839 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccnc(Cl)c2[N+](=O)[O-])=C1C ZINC001228057209 778003716 /nfs/dbraw/zinc/00/37/16/778003716.db2.gz LHJAFNPYFHRBGF-ZETCQYMHSA-N 0 0 298.682 2.631 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233597605 778004436 /nfs/dbraw/zinc/00/44/36/778004436.db2.gz OPIIHGQBPOLMCB-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233597947 778007063 /nfs/dbraw/zinc/00/70/63/778007063.db2.gz RLBHODAJSFXYLW-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC001233701503 778047235 /nfs/dbraw/zinc/04/72/35/778047235.db2.gz BGWIVWIYAAHAAF-SECBINFHSA-N 0 0 264.237 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC001233701736 778048592 /nfs/dbraw/zinc/04/85/92/778048592.db2.gz DQXCPLBPDSINPP-UHFFFAOYSA-N 0 0 274.179 2.680 20 5 CFBDRN CCOC[C@H](C)Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233765751 778068961 /nfs/dbraw/zinc/06/89/61/778068961.db2.gz YMGUCSRBUATEDM-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233840288 778092520 /nfs/dbraw/zinc/09/25/20/778092520.db2.gz FIUNRNZNROFQEE-ZWNOBZJWSA-N 0 0 288.303 2.947 20 5 CFBDRN COC(=O)c1cnc(O[C@H](C)CC(C)C)c([N+](=O)[O-])c1 ZINC001233861992 778098923 /nfs/dbraw/zinc/09/89/23/778098923.db2.gz MRWKLSIIPTZEEH-SECBINFHSA-N 0 0 282.296 2.590 20 5 CFBDRN COC(=O)c1cnc(OC(C(C)C)C(C)C)c([N+](=O)[O-])c1 ZINC001233861295 778099176 /nfs/dbraw/zinc/09/91/76/778099176.db2.gz HDIRNTWWPVLZKC-UHFFFAOYSA-N 0 0 296.323 2.836 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc(CC[N+](=O)[O-])cc1OC ZINC001233986168 778130842 /nfs/dbraw/zinc/13/08/42/778130842.db2.gz IQUUHPQIAQKDBD-ZDUSSCGKSA-N 0 0 295.335 2.651 20 5 CFBDRN Cc1cc(F)c(O[C@@H](C)c2ncccn2)cc1[N+](=O)[O-] ZINC001234056057 778153851 /nfs/dbraw/zinc/15/38/51/778153851.db2.gz GEBQSZMZCMZATH-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234058619 778155568 /nfs/dbraw/zinc/15/55/68/778155568.db2.gz GUKJSVWWDYLDQC-LLVKDONJSA-N 0 0 285.271 2.763 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cc([N+](=O)[O-])c(C)cc2F)C1 ZINC001234062006 778156126 /nfs/dbraw/zinc/15/61/26/778156126.db2.gz XTHAENJNFBNFHY-AOOOYVTPSA-N 0 0 297.282 2.763 20 5 CFBDRN CSc1ccc(F)c(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234244644 778192909 /nfs/dbraw/zinc/19/29/09/778192909.db2.gz ISFVCJYINPIXCN-GFCCVEGCSA-N 0 0 271.313 2.982 20 5 CFBDRN COc1cc(C)c(O[C@H](C[N+](=O)[O-])C2CC2)c(C)c1 ZINC001234274722 778198815 /nfs/dbraw/zinc/19/88/15/778198815.db2.gz LBXUMBJAIPFDAI-CYBMUJFWSA-N 0 0 265.309 2.746 20 5 CFBDRN COc1ccnnc1O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001234417474 778220673 /nfs/dbraw/zinc/22/06/73/778220673.db2.gz ZHSYHJNSZCLGSZ-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=C1CCc2c1c(Cl)ccc2O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001234508037 778239788 /nfs/dbraw/zinc/23/97/88/778239788.db2.gz SBFLBCJLZFFYHH-ZDUSSCGKSA-N 0 0 295.722 2.903 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1C[C@H](F)C1 ZINC001168237047 778265954 /nfs/dbraw/zinc/26/59/54/778265954.db2.gz CBIDPNRKCICJBI-KYZUINATSA-N 0 0 282.271 2.541 20 5 CFBDRN Cc1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)c(Cl)n1 ZINC001229428040 778303333 /nfs/dbraw/zinc/30/33/33/778303333.db2.gz CBLJJZYZCYEPTH-GFCCVEGCSA-N 0 0 293.710 2.835 20 5 CFBDRN Cc1ccc(Nc2cc(C(N)=O)ccc2F)c([N+](=O)[O-])c1 ZINC001214174394 778309766 /nfs/dbraw/zinc/30/97/66/778309766.db2.gz STDLAZFLCSBAKU-UHFFFAOYSA-N 0 0 289.266 2.885 20 5 CFBDRN Cc1cc(OC2CN(C(C)C)C2)c(C)c(C)c1[N+](=O)[O-] ZINC001234871471 778318247 /nfs/dbraw/zinc/31/82/47/778318247.db2.gz IMIKUJLJPIECMM-UHFFFAOYSA-N 0 0 278.352 2.991 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Oc1nc(OC)ccc1[N+](=O)[O-] ZINC001234880318 778320435 /nfs/dbraw/zinc/32/04/35/778320435.db2.gz BBCMFTDRKYWNOE-RKDXNWHRSA-N 0 0 254.286 2.812 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)c1cccnc1 ZINC001234903151 778325051 /nfs/dbraw/zinc/32/50/51/778325051.db2.gz JGEUSCHKQAPETA-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H]1CCCC[C@H]1F ZINC001234905008 778325152 /nfs/dbraw/zinc/32/51/52/778325152.db2.gz KTGGTPGFJVKIPP-MWLCHTKSSA-N 0 0 254.261 2.958 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)c1ccncc1F ZINC001234904633 778326839 /nfs/dbraw/zinc/32/68/39/778326839.db2.gz GVUXPJFIXURHBQ-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)OCc1cccs1 ZINC001223863447 778359196 /nfs/dbraw/zinc/35/91/96/778359196.db2.gz AEAPQPBJRIVPQY-SECBINFHSA-N 0 0 281.337 2.767 20 5 CFBDRN CCC1(CNc2cc(F)cc(F)c2[N+](=O)[O-])COC1 ZINC001168331889 778435930 /nfs/dbraw/zinc/43/59/30/778435930.db2.gz CZDSARZNGJQPGM-UHFFFAOYSA-N 0 0 272.251 2.712 20 5 CFBDRN CCC1(CNc2cc3cccnc3c([N+](=O)[O-])c2)COC1 ZINC001168332177 778435852 /nfs/dbraw/zinc/43/58/52/778435852.db2.gz IMWUWFXQZZISTB-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])OCCC(F)(F)F ZINC000127898656 778442288 /nfs/dbraw/zinc/44/22/88/778442288.db2.gz NXPNOUUVFGYAGM-UHFFFAOYSA-N 0 0 292.213 2.502 20 5 CFBDRN Cc1c(NC[C@H]2C[C@@](C)(O)C2)cc(F)cc1[N+](=O)[O-] ZINC001168345424 778444357 /nfs/dbraw/zinc/44/43/57/778444357.db2.gz GBVPRPQYFUKPJJ-SWZMTVOYSA-N 0 0 268.288 2.615 20 5 CFBDRN CN(Cc1cscn1)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000138786930 778563985 /nfs/dbraw/zinc/56/39/85/778563985.db2.gz WCOIRHUEPTWZIH-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN O=C(NCc1ccncc1)c1cccc([N+](=O)[O-])c1Cl ZINC000160368157 778601854 /nfs/dbraw/zinc/60/18/54/778601854.db2.gz QINLKRLJBUJDFT-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN COC(C)(CO[C@@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC001224679054 778624162 /nfs/dbraw/zinc/62/41/62/778624162.db2.gz ZBCKKTPNXUMHDP-JTQLQIEISA-N 0 0 269.297 2.681 20 5 CFBDRN CC(F)(F)CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000698010688 778627674 /nfs/dbraw/zinc/62/76/74/778627674.db2.gz LXXLVUWRXAZIFW-UHFFFAOYSA-N 0 0 278.642 2.633 20 5 CFBDRN C[Si](C)(C)CCO[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001224906566 778739421 /nfs/dbraw/zinc/73/94/21/778739421.db2.gz KDMQAHPJQZSKMG-GFCCVEGCSA-N 0 0 268.389 2.754 20 5 CFBDRN C[C@H](OCCCF)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001225155834 778850371 /nfs/dbraw/zinc/85/03/71/778850371.db2.gz CWWBPIBVIAVNGK-QMMMGPOBSA-N 0 0 271.244 2.761 20 5 CFBDRN CC(C)(C)[C@H]1CCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC001120765702 778975744 /nfs/dbraw/zinc/97/57/44/778975744.db2.gz OCFXBNFUSWBFLO-GFCCVEGCSA-N 0 0 280.299 2.995 20 5 CFBDRN C=C/C=C\CCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC001288433687 779020854 /nfs/dbraw/zinc/02/08/54/779020854.db2.gz UBVPDZRVUVMPPG-PRTWDDKSSA-N 0 0 272.304 2.857 20 5 CFBDRN CC(=O)c1cc(OC2CN(C(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC001233496062 779044722 /nfs/dbraw/zinc/04/47/22/779044722.db2.gz PPIGXUPLUCMCDC-UHFFFAOYSA-N 0 0 292.335 2.659 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233768071 779085277 /nfs/dbraw/zinc/08/52/77/779085277.db2.gz CCEIGBCJZSTGJO-VIFPVBQESA-N 0 0 271.219 2.764 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2ccnc([N+](=O)[O-])c2)cn1 ZINC001233856294 779095822 /nfs/dbraw/zinc/09/58/22/779095822.db2.gz BCGALZKCIOWNCC-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ccnc([N+](=O)[O-])c2)cn1 ZINC001233856782 779095988 /nfs/dbraw/zinc/09/59/88/779095988.db2.gz GYHBWKJVUOGZNU-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COC[C@@H](COc1cc(C=O)ccc1[N+](=O)[O-])C(C)C ZINC000721971690 779148741 /nfs/dbraw/zinc/14/87/41/779148741.db2.gz KMOFRTKPJHBMMX-LBPRGKRZSA-N 0 0 281.308 2.705 20 5 CFBDRN Cc1cccc(NC[C@H]2CC(C)(C)O2)c1[N+](=O)[O-] ZINC001168452375 779178781 /nfs/dbraw/zinc/17/87/81/779178781.db2.gz GNJHTOUICUBWTN-SNVBAGLBSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H]1CC(C)(C)O1 ZINC001168452780 779179347 /nfs/dbraw/zinc/17/93/47/779179347.db2.gz MFHBHQJEEPLUQH-SNVBAGLBSA-N 0 0 250.298 2.883 20 5 CFBDRN CC1(C)C[C@H](CNc2nc(Cl)ccc2[N+](=O)[O-])O1 ZINC001168457098 779184073 /nfs/dbraw/zinc/18/40/73/779184073.db2.gz UQYHBUYJULOIKJ-SSDOTTSWSA-N 0 0 271.704 2.623 20 5 CFBDRN Cc1c(NC[C@H]2CC(C)(C)O2)ccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001168456987 779184159 /nfs/dbraw/zinc/18/41/59/779184159.db2.gz SBKHQSFQEBWRMR-SECBINFHSA-N 0 0 295.295 2.791 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC[C@H]2C[C@H]2C1 ZINC000699219078 779221749 /nfs/dbraw/zinc/22/17/49/779221749.db2.gz QHKMYHJTSOEDCT-IUCAKERBSA-N 0 0 280.711 2.730 20 5 CFBDRN CCN(CCNc1cc(C)ccc1[N+](=O)[O-])C(=O)C(C)C ZINC001106682172 779337663 /nfs/dbraw/zinc/33/76/63/779337663.db2.gz WJEACHPVEFMECC-UHFFFAOYSA-N 0 0 293.367 2.820 20 5 CFBDRN CC[C@H](C[N+](=O)[O-])OC(=O)[C@H]1Cc2ccc(Cl)cc21 ZINC001123354536 779392322 /nfs/dbraw/zinc/39/23/22/779392322.db2.gz AYOXTTPPSNKMPF-PWSUYJOCSA-N 0 0 283.711 2.578 20 5 CFBDRN Nc1c(C(=O)N2CC=CCC2)cc2ccccc2c1[N+](=O)[O-] ZINC001127478740 779495055 /nfs/dbraw/zinc/49/50/55/779495055.db2.gz INUNXKPTAXKMBE-UHFFFAOYSA-N 0 0 297.314 2.732 20 5 CFBDRN O=[N+]([O-])c1cc(NCCOc2cccnc2)cc2cc[nH]c21 ZINC001168617727 780092936 /nfs/dbraw/zinc/09/29/36/780092936.db2.gz NJUPBFMTTXRZOA-UHFFFAOYSA-N 0 0 298.302 2.962 20 5 CFBDRN CC(C)=CCO[C@@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001205025920 780128578 /nfs/dbraw/zinc/12/85/78/780128578.db2.gz PUTDCORIIPQADB-AWEZNQCLSA-N 0 0 279.292 2.716 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1CCNc2ccccc21 ZINC001168646011 780182522 /nfs/dbraw/zinc/18/25/22/780182522.db2.gz OZBYPUMSWVJAFH-GFCCVEGCSA-N 0 0 270.292 2.959 20 5 CFBDRN COc1c(F)cc(NCCCOC(C)C)cc1[N+](=O)[O-] ZINC001168681282 780317430 /nfs/dbraw/zinc/31/74/30/780317430.db2.gz UAPZKIMWTVDXEX-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(N[C@@H](C)C(C)(C)C)n1 ZINC001168683977 780320897 /nfs/dbraw/zinc/32/08/97/780320897.db2.gz ZJVNFIVNIPNROP-QMMMGPOBSA-N 0 0 281.312 2.623 20 5 CFBDRN Cc1cc(O)c(O[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC001229754803 780465794 /nfs/dbraw/zinc/46/57/94/780465794.db2.gz RFOIQJJCVNJGBK-ZJUUUORDSA-N 0 0 267.281 2.555 20 5 CFBDRN Cc1cc(O)c(O[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC001229754802 780466306 /nfs/dbraw/zinc/46/63/06/780466306.db2.gz RFOIQJJCVNJGBK-VHSXEESVSA-N 0 0 267.281 2.555 20 5 CFBDRN C[C@@H](SCCn1cc([N+](=O)[O-])cn1)c1cccnc1 ZINC001117542666 780566385 /nfs/dbraw/zinc/56/63/85/780566385.db2.gz SOSCEXMDWHTVBS-SNVBAGLBSA-N 0 0 278.337 2.681 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1C[NH2+][C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000132774463 780598134 /nfs/dbraw/zinc/59/81/34/780598134.db2.gz HCXSADLQOPRMQV-WZRBSPASSA-N 0 0 282.727 2.658 20 5 CFBDRN CCCCNc1cc(C)c(OCC(=O)OC)c([N+](=O)[O-])c1 ZINC001162207539 780616074 /nfs/dbraw/zinc/61/60/74/780616074.db2.gz HNHWVYFYAVWVAH-UHFFFAOYSA-N 0 0 296.323 2.667 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(NC[C@@H](O)c2ccco2)c1 ZINC001162258279 780645750 /nfs/dbraw/zinc/64/57/50/780645750.db2.gz NQYBGVDWYKRVEP-LLVKDONJSA-N 0 0 282.683 2.987 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cc([N+](=O)[O-])cc(C)n1 ZINC001128600782 780657616 /nfs/dbraw/zinc/65/76/16/780657616.db2.gz SAYDQGPMCJAFIW-UHFFFAOYSA-N 0 0 265.313 2.559 20 5 CFBDRN CC1CC(CNC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000700718267 780713197 /nfs/dbraw/zinc/71/31/97/780713197.db2.gz XESZGOGFGCBSAI-UHFFFAOYSA-N 0 0 266.272 2.510 20 5 CFBDRN CC1(C)CC(CNC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000701454597 780804469 /nfs/dbraw/zinc/80/44/69/780804469.db2.gz RPKVQDMQCOQSFV-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000701963799 780857389 /nfs/dbraw/zinc/85/73/89/780857389.db2.gz AYHYLAYSYKEODR-ZETCQYMHSA-N 0 0 287.222 2.960 20 5 CFBDRN C[C@H](F)CCNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000702571219 780934937 /nfs/dbraw/zinc/93/49/37/780934937.db2.gz RWHRBGISZKSYMP-ZETCQYMHSA-N 0 0 274.679 2.726 20 5 CFBDRN CCc1nn(C)cc1NCc1ccc(F)cc1[N+](=O)[O-] ZINC000703038538 780981849 /nfs/dbraw/zinc/98/18/49/780981849.db2.gz HIKUAJFXNPSNQE-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN CCO[C@H](COc1ccc([N+](=O)[O-])cc1C=O)C1CC1 ZINC000703513884 781018545 /nfs/dbraw/zinc/01/85/45/781018545.db2.gz CNYJXOBKXUJKLI-CQSZACIVSA-N 0 0 279.292 2.601 20 5 CFBDRN COC(=O)c1ccc(C)c([N+](=O)[O-])c1O[C@@H]1C=CCC1 ZINC001229898918 781057202 /nfs/dbraw/zinc/05/72/02/781057202.db2.gz FLRAXTUYJIVQMZ-SNVBAGLBSA-N 0 0 277.276 2.787 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1OCCF ZINC000703835080 781066793 /nfs/dbraw/zinc/06/67/93/781066793.db2.gz ULZRCVIKDWHNER-UHFFFAOYSA-N 0 0 264.050 2.706 20 5 CFBDRN COC1CC(COc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000704216959 781092575 /nfs/dbraw/zinc/09/25/75/781092575.db2.gz AQNAPDNDQMJHSF-UHFFFAOYSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1nnc(CN(C)c2cccc(F)c2[N+](=O)[O-])s1 ZINC000704475383 781102080 /nfs/dbraw/zinc/10/20/80/781102080.db2.gz FZGHVXQZKNURAY-UHFFFAOYSA-N 0 0 282.300 2.530 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC[C@H]1CCSC1 ZINC000704796557 781117216 /nfs/dbraw/zinc/11/72/16/781117216.db2.gz JBWCAMSNSURRPY-VIFPVBQESA-N 0 0 253.327 2.545 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC[C@@H]1CCSC1 ZINC000704789336 781117252 /nfs/dbraw/zinc/11/72/52/781117252.db2.gz FJBUZYRQSLISQS-MRVPVSSYSA-N 0 0 287.772 2.620 20 5 CFBDRN CC1(O)CCC(Nc2ccccc2[N+](=O)[O-])CC1 ZINC000705760427 781146928 /nfs/dbraw/zinc/14/69/28/781146928.db2.gz NZFCCNGFVLZINR-UHFFFAOYSA-N 0 0 250.298 2.700 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1cc([N+](=O)[O-])ccc1F ZINC000706284527 781170753 /nfs/dbraw/zinc/17/07/53/781170753.db2.gz MBZXPVCTBUOFQY-GFCCVEGCSA-N 0 0 278.283 2.796 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC(C(F)F)C1 ZINC000706472412 781179403 /nfs/dbraw/zinc/17/94/03/781179403.db2.gz DDGOUSLGJVMESY-UHFFFAOYSA-N 0 0 290.653 2.585 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2Cl)[C@H]1C ZINC000706927533 781216141 /nfs/dbraw/zinc/21/61/41/781216141.db2.gz MCOHUWRVQWHTKH-SFYZADRCSA-N 0 0 268.700 2.729 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2C[C@@H](C)[C@H]2C)c1F ZINC000706947233 781218678 /nfs/dbraw/zinc/21/86/78/781218678.db2.gz ZCQSPRFKBWGROF-HTQZYQBOSA-N 0 0 284.262 2.662 20 5 CFBDRN Cc1ccnc(COc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000707902552 781258089 /nfs/dbraw/zinc/25/80/89/781258089.db2.gz YALIYPYPFDECFO-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CC1(C)C[C@H](CNc2ccc([N+](=O)[O-])nc2)C(C)(C)O1 ZINC000709766565 781308245 /nfs/dbraw/zinc/30/82/45/781308245.db2.gz PCDGNNIMWRAONN-SNVBAGLBSA-N 0 0 279.340 2.995 20 5 CFBDRN CC[C@@]1(O)CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000710589561 781345266 /nfs/dbraw/zinc/34/52/66/781345266.db2.gz CYCYEQNNDLSHDK-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC[C@H](CF)C1 ZINC000711409657 781429790 /nfs/dbraw/zinc/42/97/90/781429790.db2.gz MWLJKFQGYZUGNH-SNVBAGLBSA-N 0 0 266.272 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC3(CCC3)O2)nc1 ZINC000711495770 781446606 /nfs/dbraw/zinc/44/66/06/781446606.db2.gz LRYSVIDYLVRLOG-NSHDSACASA-N 0 0 263.297 2.503 20 5 CFBDRN Cc1nc(NC[C@@H]2CCC3(CCC3)O2)ccc1[N+](=O)[O-] ZINC000711518640 781451598 /nfs/dbraw/zinc/45/15/98/781451598.db2.gz GAEKOOKHGNGJPQ-NSHDSACASA-N 0 0 277.324 2.812 20 5 CFBDRN CSCCCNc1ccc([N+](=O)[O-])c2c1CCN(C)C2 ZINC001163879523 781601845 /nfs/dbraw/zinc/60/18/45/781601845.db2.gz DOGYRVWFORVAFW-UHFFFAOYSA-N 0 0 295.408 2.748 20 5 CFBDRN CON(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(C)C ZINC001118676552 781704092 /nfs/dbraw/zinc/70/40/92/781704092.db2.gz ACQXRDZDSAQQNJ-UHFFFAOYSA-N 0 0 272.688 2.660 20 5 CFBDRN Cc1cnc(N2CC[C@](C)(F)[C@H](F)C2)c([N+](=O)[O-])c1 ZINC001164327417 781707880 /nfs/dbraw/zinc/70/78/80/781707880.db2.gz OZYQDQOSIKGXRP-PWSUYJOCSA-N 0 0 271.267 2.575 20 5 CFBDRN Cc1cc(CNc2ncc([N+](=O)[O-])c(C)n2)cc(Cl)n1 ZINC001118866129 781726914 /nfs/dbraw/zinc/72/69/14/781726914.db2.gz VMGMKHCDEHPZRJ-UHFFFAOYSA-N 0 0 293.714 2.662 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](OCCC(C)C)C2)n1 ZINC000178253161 781817392 /nfs/dbraw/zinc/81/73/92/781817392.db2.gz GGRFVGHIESWXJS-ZDUSSCGKSA-N 0 0 293.367 2.940 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2cccc(Cl)c2[N+](=O)[O-])C1 ZINC001230916889 781882747 /nfs/dbraw/zinc/88/27/47/781882747.db2.gz FQKXMIFZISNJRV-ZKCHVHJHSA-N 0 0 285.683 2.579 20 5 CFBDRN C[C@H](CNC(=O)NC1CCC1)c1ccccc1[N+](=O)[O-] ZINC001120051961 781900822 /nfs/dbraw/zinc/90/08/22/781900822.db2.gz YQCKMXPDYWMSMN-SNVBAGLBSA-N 0 0 277.324 2.550 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC2(CC2(F)F)C1 ZINC000594590195 349453140 /nfs/dbraw/zinc/45/31/40/349453140.db2.gz WGIUQDVVXFJQHP-UHFFFAOYSA-N 0 0 291.257 2.988 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc([C@@H]3CCC[C@H]4C[C@H]43)no2)c1 ZINC000594534764 349442787 /nfs/dbraw/zinc/44/27/87/349442787.db2.gz BSIDPUZKAXWQTL-FXBDTBDDSA-N 0 0 274.280 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCC[C@H]4C[C@H]43)no2)[nH]1 ZINC000594538506 349443527 /nfs/dbraw/zinc/44/35/27/349443527.db2.gz YOGYGSCYXUKAFI-DJLDLDEBSA-N 0 0 274.280 2.877 20 5 CFBDRN CCO[C@H]1CCCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000594545153 349444106 /nfs/dbraw/zinc/44/41/06/349444106.db2.gz CTXGFNLBDAZMFM-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1csc(C)n1 ZINC000594546363 349445090 /nfs/dbraw/zinc/44/50/90/349445090.db2.gz HIKURPKPLLFZOL-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](C)[C@@H]1C ZINC000594553642 349446551 /nfs/dbraw/zinc/44/65/51/349446551.db2.gz MLOMIKKCRAPJLX-ZJUUUORDSA-N 0 0 250.298 2.838 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@](C)(F)C1 ZINC000594568896 349449548 /nfs/dbraw/zinc/44/95/48/349449548.db2.gz GGDXCHFIRDSLIH-GFCCVEGCSA-N 0 0 254.261 2.542 20 5 CFBDRN CCC[C@@H](C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000269082306 190466065 /nfs/dbraw/zinc/46/60/65/190466065.db2.gz IXSQSBKJVIAVSG-PWSUYJOCSA-N 0 0 279.340 2.964 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H](C1CC1)[C@H]1CCCCO1 ZINC000594591289 349453944 /nfs/dbraw/zinc/45/39/44/349453944.db2.gz QHHKYUGINARDSV-CHWSQXEVSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](OC3CCC3)C2)cn1 ZINC000594594250 349454658 /nfs/dbraw/zinc/45/46/58/349454658.db2.gz MQLXKDCLIRKADJ-ZDUSSCGKSA-N 0 0 277.324 2.528 20 5 CFBDRN Cc1nc(N2CCC[C@H](OC3CCC3)C2)ccc1[N+](=O)[O-] ZINC000594595408 349455123 /nfs/dbraw/zinc/45/51/23/349455123.db2.gz XVUKZAUIZAHKCB-ZDUSSCGKSA-N 0 0 291.351 2.836 20 5 CFBDRN COC[C@@H](CC(C)(C)C)Nc1ncc([N+](=O)[O-])s1 ZINC000594595352 349455641 /nfs/dbraw/zinc/45/56/41/349455641.db2.gz BECBHKAVFSVBLK-MRVPVSSYSA-N 0 0 273.358 2.914 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000067519575 346807644 /nfs/dbraw/zinc/80/76/44/346807644.db2.gz CWHLWKMXWRWZPU-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cn2)CC2(CCCCC2)O1 ZINC000594601788 349458178 /nfs/dbraw/zinc/45/81/78/349458178.db2.gz USSDWBIKYUQRQI-GFCCVEGCSA-N 0 0 291.351 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc(-n2cc(C3CCCCC3)nn2)nc1 ZINC000594649341 349467508 /nfs/dbraw/zinc/46/75/08/349467508.db2.gz LAIZEZGBBNDALP-UHFFFAOYSA-N 0 0 273.296 2.618 20 5 CFBDRN CC(C)=CCCN1C(=O)c2ccc([N+](=O)[O-])cc2C1=O ZINC000594881194 349492554 /nfs/dbraw/zinc/49/25/54/349492554.db2.gz KKBVKGIMGAIBID-UHFFFAOYSA-N 0 0 274.276 2.547 20 5 CFBDRN COc1ccc([C@H](C)Cn2cnc([N+](=O)[O-])c2)cc1 ZINC000594885367 349493122 /nfs/dbraw/zinc/49/31/22/349493122.db2.gz DGZVEOOIULIVRG-SNVBAGLBSA-N 0 0 261.281 2.604 20 5 CFBDRN Cn1ncc(C2CC2)c1/C=C\c1ccnc([N+](=O)[O-])c1 ZINC000594696552 349475685 /nfs/dbraw/zinc/47/56/85/349475685.db2.gz QAHHUGDJEBZYOK-DJWKRKHSSA-N 0 0 270.292 2.771 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000067681134 346813791 /nfs/dbraw/zinc/81/37/91/346813791.db2.gz FMJXNXQJRATBCC-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CO[C@H]1CC[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000594730955 349482220 /nfs/dbraw/zinc/48/22/20/349482220.db2.gz ZPMKZQTZFUCVHY-PWSUYJOCSA-N 0 0 289.291 2.927 20 5 CFBDRN CO[C@@H]1CC[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000594731884 349483161 /nfs/dbraw/zinc/48/31/61/349483161.db2.gz KHWGNZLYZFPZIZ-CMPLNLGQSA-N 0 0 289.291 2.927 20 5 CFBDRN CO[C@@H](Cn1c(C)ncc1[N+](=O)[O-])C1CCCCC1 ZINC000594888282 349494361 /nfs/dbraw/zinc/49/43/61/349494361.db2.gz VTXGEIYMCMAYDG-LBPRGKRZSA-N 0 0 267.329 2.695 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CCC1CCC1 ZINC000594892577 349496085 /nfs/dbraw/zinc/49/60/85/349496085.db2.gz AZQRHELCDSUFJH-UHFFFAOYSA-N 0 0 256.689 2.600 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000594893255 349496143 /nfs/dbraw/zinc/49/61/43/349496143.db2.gz VJZPEFDQFYHTSP-BBBLOLIVSA-N 0 0 282.727 2.846 20 5 CFBDRN CC(C)=CCCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000594892608 349496206 /nfs/dbraw/zinc/49/62/06/349496206.db2.gz BLXGRNWOFZLJHH-UHFFFAOYSA-N 0 0 256.689 2.766 20 5 CFBDRN O=[N+]([O-])c1cn(CCOCc2ccccc2)nc1C1CC1 ZINC000594894793 349496977 /nfs/dbraw/zinc/49/69/77/349496977.db2.gz GOEOFUPZPXEXTA-UHFFFAOYSA-N 0 0 287.319 2.886 20 5 CFBDRN CCC(CC)CS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000594896006 349497661 /nfs/dbraw/zinc/49/76/61/349497661.db2.gz OWZMPMPCVXZPDI-UHFFFAOYSA-N 0 0 271.338 2.805 20 5 CFBDRN CCCN(CCC)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000067704288 346815468 /nfs/dbraw/zinc/81/54/68/346815468.db2.gz GRWAEVUJNKLSGY-UHFFFAOYSA-N 0 0 279.340 2.655 20 5 CFBDRN CC(=O)[C@@H](C)CCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000594901915 349499624 /nfs/dbraw/zinc/49/96/24/349499624.db2.gz RKFLJQKZUMIMID-JTQLQIEISA-N 0 0 288.303 2.525 20 5 CFBDRN CCC(CC)Cn1cnc2ccc([N+](=O)[O-])cc2c1=O ZINC000594901041 349500000 /nfs/dbraw/zinc/50/00/00/349500000.db2.gz IRHYHIPMLAFVFT-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN CC(C)=CCCn1cnc2ccc([N+](=O)[O-])cc2c1=O ZINC000594901074 349500033 /nfs/dbraw/zinc/50/00/33/349500033.db2.gz JOAHXQRAAAMHHE-UHFFFAOYSA-N 0 0 273.292 2.661 20 5 CFBDRN Cn1cc(CSCc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000594905598 349500470 /nfs/dbraw/zinc/50/04/70/349500470.db2.gz YGYMWXLYMOOHHI-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN Cc1cnc(SCCn2cc([N+](=O)[O-])cn2)c(C)c1 ZINC000594916222 349505210 /nfs/dbraw/zinc/50/52/10/349505210.db2.gz FBMBCWHELOMWNM-UHFFFAOYSA-N 0 0 278.337 2.595 20 5 CFBDRN CC[C@@H](C)Cn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000594915511 349505355 /nfs/dbraw/zinc/50/53/55/349505355.db2.gz REJQCPMQFSHFCA-SNVBAGLBSA-N 0 0 260.297 2.899 20 5 CFBDRN Cc1cc(OC[C@@H](O)C(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000290189015 197625228 /nfs/dbraw/zinc/62/52/28/197625228.db2.gz RLPLIQNXIQOVOZ-GFCCVEGCSA-N 0 0 271.288 2.828 20 5 CFBDRN COc1ccc(CC(=O)N(C)CCC(C)C)cc1[N+](=O)[O-] ZINC000067801873 346819598 /nfs/dbraw/zinc/81/95/98/346819598.db2.gz MZCOZIZEXHHFFF-UHFFFAOYSA-N 0 0 294.351 2.650 20 5 CFBDRN Cc1csc([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000067875551 346825416 /nfs/dbraw/zinc/82/54/16/346825416.db2.gz NLPXWMOTUJEGKQ-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cn2)C[C@H](c2ccccc2)O1 ZINC000067905037 346827228 /nfs/dbraw/zinc/82/72/28/346827228.db2.gz ZVZZNMOZDJRQCY-SWLSCSKDSA-N 0 0 299.330 2.956 20 5 CFBDRN CN(CCO)c1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000067949479 346829070 /nfs/dbraw/zinc/82/90/70/346829070.db2.gz WRWKWUUTXNSGIC-UHFFFAOYSA-N 0 0 298.648 2.696 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000595316141 349600649 /nfs/dbraw/zinc/60/06/49/349600649.db2.gz CNGGDWJDVHZXOW-GWCFXTLKSA-N 0 0 282.315 2.733 20 5 CFBDRN CC1(C)CN(Cc2ccc(F)cc2[N+](=O)[O-])CCCO1 ZINC000595318775 349601446 /nfs/dbraw/zinc/60/14/46/349601446.db2.gz NJCHHDBSXACMFG-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN CCS[C@H]1CC[C@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1 ZINC000595331938 349603416 /nfs/dbraw/zinc/60/34/16/349603416.db2.gz ZGBYKAFLEXISPC-QWRGUYRKSA-N 0 0 299.396 2.997 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000068320798 346847073 /nfs/dbraw/zinc/84/70/73/346847073.db2.gz QGZCIUXUCVZGHD-JTQLQIEISA-N 0 0 258.281 2.582 20 5 CFBDRN CCCN(CCC)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000068322869 346847082 /nfs/dbraw/zinc/84/70/82/346847082.db2.gz APUQIVDYAURLGP-UHFFFAOYSA-N 0 0 256.327 2.919 20 5 CFBDRN CCn1nc(C)c(C(=O)Nc2cccc([N+](=O)[O-])c2)c1C ZINC000068317521 346847252 /nfs/dbraw/zinc/84/72/52/346847252.db2.gz KZHDABOBLQSNOM-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1noc(CN(C)Cc2cccc(C)c2)c1[N+](=O)[O-] ZINC000595293955 349593519 /nfs/dbraw/zinc/59/35/19/349593519.db2.gz VLIKTVZCZNTKKU-UHFFFAOYSA-N 0 0 275.308 2.832 20 5 CFBDRN Cc1nc(NCC2CCSCC2)ccc1[N+](=O)[O-] ZINC000125344453 187180808 /nfs/dbraw/zinc/18/08/08/187180808.db2.gz JNRSYXFRYQUYFQ-UHFFFAOYSA-N 0 0 267.354 2.853 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000068500317 346856183 /nfs/dbraw/zinc/85/61/83/346856183.db2.gz YNOSTJBYDHEPBY-JTQLQIEISA-N 0 0 296.323 2.747 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000068505977 346857159 /nfs/dbraw/zinc/85/71/59/346857159.db2.gz OHNFUNRCGPXYDV-RYUDHWBXSA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1noc(CN2CCc3ccc(F)cc32)c1[N+](=O)[O-] ZINC000595365802 349610664 /nfs/dbraw/zinc/61/06/64/349610664.db2.gz YCITYCDLKPGIPT-UHFFFAOYSA-N 0 0 277.255 2.593 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000421952656 529382162 /nfs/dbraw/zinc/38/21/62/529382162.db2.gz MIQOAMKNMMJGEZ-TXEJJXNPSA-N 0 0 292.335 2.624 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H](C)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000269586645 190516630 /nfs/dbraw/zinc/51/66/30/190516630.db2.gz BJBLLLJODLRRMH-QWRGUYRKSA-N 0 0 276.336 2.560 20 5 CFBDRN CCCCC[C@H](C)NC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000068564107 346859359 /nfs/dbraw/zinc/85/93/59/346859359.db2.gz GHWGWJPJCJZVEK-NSHDSACASA-N 0 0 296.371 2.575 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)C[C@@H](CC)O1 ZINC000421946659 529383478 /nfs/dbraw/zinc/38/34/78/529383478.db2.gz HAYDAQFGSICVDR-GHMZBOCLSA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595400892 349617307 /nfs/dbraw/zinc/61/73/07/349617307.db2.gz QMDUZYSXWZMJIX-CQSZACIVSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1noc(CN(CC(F)F)CC2CCC2)c1[N+](=O)[O-] ZINC000595403545 349617332 /nfs/dbraw/zinc/61/73/32/349617332.db2.gz CSVVLTMDWGUQAQ-UHFFFAOYSA-N 0 0 289.282 2.758 20 5 CFBDRN Cc1noc(CN[C@@H](C)c2cnn(C(C)C)c2)c1[N+](=O)[O-] ZINC000595428721 349623646 /nfs/dbraw/zinc/62/36/46/349623646.db2.gz JMFLZMXHRMZCHY-VIFPVBQESA-N 0 0 293.327 2.519 20 5 CFBDRN CCc1ccc(C(=O)NC2(C)CCC2)cc1[N+](=O)[O-] ZINC000125460458 187185849 /nfs/dbraw/zinc/18/58/49/187185849.db2.gz PHFIWTFVYAPWCK-UHFFFAOYSA-N 0 0 262.309 2.830 20 5 CFBDRN CCOc1cccc(NCc2nccn2CC)c1[N+](=O)[O-] ZINC000294974206 199277595 /nfs/dbraw/zinc/27/75/95/199277595.db2.gz LFHNPLMODZVWKW-UHFFFAOYSA-N 0 0 290.323 2.822 20 5 CFBDRN CCc1nnc([C@@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])[nH]1 ZINC000595427537 349622575 /nfs/dbraw/zinc/62/25/75/349622575.db2.gz ZJUYNFWEVXBUQX-NXEZZACHSA-N 0 0 289.339 2.687 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCc2ncsc2C1 ZINC000595452140 349630437 /nfs/dbraw/zinc/63/04/37/349630437.db2.gz YLXILIJKSZXSSW-UHFFFAOYSA-N 0 0 293.323 2.749 20 5 CFBDRN CC(C)[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000595452022 349630451 /nfs/dbraw/zinc/63/04/51/349630451.db2.gz GINLNJTXIFMTIV-AWEZNQCLSA-N 0 0 291.351 2.829 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000177057973 529410394 /nfs/dbraw/zinc/41/03/94/529410394.db2.gz SOPSFGQEZAMDQQ-IAQYHMDHSA-N 0 0 291.351 2.559 20 5 CFBDRN C[C@]1(Nc2ccc([N+](=O)[O-])cc2Cl)CCOC1 ZINC000125586189 187192152 /nfs/dbraw/zinc/19/21/52/187192152.db2.gz RVSBDYMLMRPDKB-NSHDSACASA-N 0 0 256.689 2.839 20 5 CFBDRN CC1=CCCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595471611 349636772 /nfs/dbraw/zinc/63/67/72/349636772.db2.gz CFORMYCOSVTGHV-UHFFFAOYSA-N 0 0 250.273 2.886 20 5 CFBDRN CC[C@H](Cn1nc(C)c2cc([N+](=O)[O-])ccc21)OC ZINC000416915804 529435997 /nfs/dbraw/zinc/43/59/97/529435997.db2.gz YLFIKYNCIYIYCD-LLVKDONJSA-N 0 0 263.297 2.678 20 5 CFBDRN CC(C)(F)CCNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000595522240 349650279 /nfs/dbraw/zinc/65/02/79/349650279.db2.gz KCFXCKOONMWNAS-UHFFFAOYSA-N 0 0 297.330 2.575 20 5 CFBDRN CCc1[nH]nc(-c2nc(CCC(C)C)no2)c1[N+](=O)[O-] ZINC000349749506 529465147 /nfs/dbraw/zinc/46/51/47/529465147.db2.gz OTGKHDGNOSSNQT-UHFFFAOYSA-N 0 0 279.300 2.519 20 5 CFBDRN C[C@H]1CSCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000277420723 300387118 /nfs/dbraw/zinc/38/71/18/300387118.db2.gz MQRVAORIZRBCAF-MRVPVSSYSA-N 0 0 287.772 2.833 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ccc(C)cc1[N+](=O)[O-] ZINC000413459019 529473338 /nfs/dbraw/zinc/47/33/38/529473338.db2.gz XCSSOHKICJOEGL-YPMHNXCESA-N 0 0 250.298 2.883 20 5 CFBDRN CCCC[C@@H]1CCC[C@@H]1NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000069541751 346895370 /nfs/dbraw/zinc/89/53/70/346895370.db2.gz JPTYZCQEQJKHLH-MNOVXSKESA-N 0 0 294.355 2.715 20 5 CFBDRN Cc1noc(CN2CCC(C3CCC3)CC2)c1[N+](=O)[O-] ZINC000595657916 349692254 /nfs/dbraw/zinc/69/22/54/349692254.db2.gz CLTSMCSHVVMROY-UHFFFAOYSA-N 0 0 279.340 2.903 20 5 CFBDRN CC[C@@]1(C)CN(Cc2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000442886851 529532209 /nfs/dbraw/zinc/53/22/09/529532209.db2.gz UVSCSSIHMVTDMT-HNNXBMFYSA-N 0 0 294.351 2.604 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000177143285 529534022 /nfs/dbraw/zinc/53/40/22/529534022.db2.gz DVFDAGTUIYJCCB-DOMZBBRYSA-N 0 0 276.336 2.989 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC12CC2 ZINC000424971256 529541275 /nfs/dbraw/zinc/54/12/75/529541275.db2.gz OGSFNXPAEOYACE-GXTWGEPZSA-N 0 0 288.347 2.832 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000595676932 349696647 /nfs/dbraw/zinc/69/66/47/349696647.db2.gz WGQPQQLDBQIEIH-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000595711778 349713766 /nfs/dbraw/zinc/71/37/66/349713766.db2.gz DDNWLZLSBOSCIQ-UTUOFQBUSA-N 0 0 276.336 2.813 20 5 CFBDRN CN(CCOc1ccccc1F)c1ncccc1[N+](=O)[O-] ZINC000070793133 346914472 /nfs/dbraw/zinc/91/44/72/346914472.db2.gz ARCZLWJORKKXQM-UHFFFAOYSA-N 0 0 291.282 2.644 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC[C@@H](C)O[C@@H](C)C1 ZINC000595784643 349732780 /nfs/dbraw/zinc/73/27/80/349732780.db2.gz UNLLNKTXTMIUTL-NEPJUHHUSA-N 0 0 294.351 2.603 20 5 CFBDRN CC(C)(C)C[N@H+](CC(=O)[O-])Cc1ccc([N+](=O)[O-])cc1 ZINC000595888661 349761827 /nfs/dbraw/zinc/76/18/27/349761827.db2.gz ZATQYTJFTSPXEY-UHFFFAOYSA-N 0 0 280.324 2.528 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596056319 349783721 /nfs/dbraw/zinc/78/37/21/349783721.db2.gz RPSMQPDLYYXKCN-BXKDBHETSA-N 0 0 277.324 2.684 20 5 CFBDRN CC(C)c1cccc(Cn2ccc(=O)c([N+](=O)[O-])c2)c1 ZINC000596024058 349777171 /nfs/dbraw/zinc/77/71/71/349777171.db2.gz UOQINBRAFCROHS-UHFFFAOYSA-N 0 0 272.304 2.928 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])[C@@H]1C ZINC000596056568 349783849 /nfs/dbraw/zinc/78/38/49/349783849.db2.gz YMVLSMLPVPZRSW-OPRDCNLKSA-N 0 0 265.313 2.932 20 5 CFBDRN COc1cc(NC[C@@H]2CCCO2)c(Cl)cc1[N+](=O)[O-] ZINC000596050963 349781976 /nfs/dbraw/zinc/78/19/76/349781976.db2.gz IMLWVEHQMWNIDK-QMMMGPOBSA-N 0 0 286.715 2.848 20 5 CFBDRN C[C@@]1(C(F)F)CN(Cc2csc([N+](=O)[O-])c2)CCO1 ZINC000595917851 349769057 /nfs/dbraw/zinc/76/90/57/349769057.db2.gz UPGQXPRKCYVWHX-NSHDSACASA-N 0 0 292.307 2.512 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596076300 349788345 /nfs/dbraw/zinc/78/83/45/349788345.db2.gz NMHFKFXWNNMEOP-WDEREUQCSA-N 0 0 291.351 2.709 20 5 CFBDRN Cc1cc(N[C@@H](C)Cn2ccnc2)c([N+](=O)[O-])s1 ZINC000596078921 349789239 /nfs/dbraw/zinc/78/92/39/349789239.db2.gz FJRBJSHZMAXVNP-QMMMGPOBSA-N 0 0 266.326 2.662 20 5 CFBDRN Cc1cc(NCc2nnc3n2CCCC3)c([N+](=O)[O-])s1 ZINC000596083913 349789948 /nfs/dbraw/zinc/78/99/48/349789948.db2.gz YMCIIPYUAOPBFC-UHFFFAOYSA-N 0 0 293.352 2.505 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCCc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000126235670 187226076 /nfs/dbraw/zinc/22/60/76/187226076.db2.gz XCHUJLOYZVWRNN-NEPJUHHUSA-N 0 0 291.351 2.577 20 5 CFBDRN CCc1nc(Sc2cc(C)sc2[N+](=O)[O-])n[nH]1 ZINC000596071087 349787391 /nfs/dbraw/zinc/78/73/91/349787391.db2.gz XTLCEMDHBUZLLQ-UHFFFAOYSA-N 0 0 270.339 2.796 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157353062 529683125 /nfs/dbraw/zinc/68/31/25/529683125.db2.gz WASAUEDZUUHXOP-UWVGGRQHSA-N 0 0 279.340 2.732 20 5 CFBDRN CC[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413557165 529681387 /nfs/dbraw/zinc/68/13/87/529681387.db2.gz UAUWQBATTIQYSV-GXSJLCMTSA-N 0 0 287.747 2.851 20 5 CFBDRN CC[C@H](O)CCCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413169212 529686906 /nfs/dbraw/zinc/68/69/06/529686906.db2.gz XTJLVGHSODFRIA-ZDUSSCGKSA-N 0 0 289.335 2.528 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596123056 349799861 /nfs/dbraw/zinc/79/98/61/349799861.db2.gz MBCVHQBUTATFNB-OIBJUYFYSA-N 0 0 258.343 2.784 20 5 CFBDRN COc1cccc(NCC2(OC)CCCC2)c1[N+](=O)[O-] ZINC000294982858 199283369 /nfs/dbraw/zinc/28/33/69/199283369.db2.gz PQZQUIZIEMMLAC-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN CN(c1ccc(C(F)(F)F)c([N+](=O)[O-])c1)[C@H]1CCOC1 ZINC000596123739 349799449 /nfs/dbraw/zinc/79/94/49/349799449.db2.gz PGAMYMDBICRNBY-VIFPVBQESA-N 0 0 290.241 2.839 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1F)[C@H]1CCSC1 ZINC000270148102 190603638 /nfs/dbraw/zinc/60/36/38/190603638.db2.gz HGSMHVLUMFTWDT-VIFPVBQESA-N 0 0 256.302 2.676 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])[C@@H](C)C1 ZINC000596127319 349801144 /nfs/dbraw/zinc/80/11/44/349801144.db2.gz YOOJFLXVLHEQIG-UTLUCORTSA-N 0 0 265.313 2.932 20 5 CFBDRN CCn1cc(CN(C)c2cc(C)sc2[N+](=O)[O-])cn1 ZINC000596128510 349801304 /nfs/dbraw/zinc/80/13/04/349801304.db2.gz MBVYDZVIIOBDOC-UHFFFAOYSA-N 0 0 280.353 2.818 20 5 CFBDRN C[C@H]1C[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@@H](C)C1 ZINC000596134023 349803143 /nfs/dbraw/zinc/80/31/43/349803143.db2.gz CDVZWOGTVXGEEU-MYJAWHEDSA-N 0 0 265.313 2.932 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(C[C@@H]3CCCO3)c2)cc1 ZINC000072626224 346933161 /nfs/dbraw/zinc/93/31/61/346933161.db2.gz KJAJXCIUULUCAU-AWEZNQCLSA-N 0 0 273.292 2.637 20 5 CFBDRN O=[N+]([O-])c1cn(CCc2ncc(-c3ccccc3)o2)cn1 ZINC000072624492 346933199 /nfs/dbraw/zinc/93/31/99/346933199.db2.gz RJJHXGKIRBKOEV-UHFFFAOYSA-N 0 0 284.275 2.689 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596138748 349805786 /nfs/dbraw/zinc/80/57/86/349805786.db2.gz MTWPEQSCAZLIQS-VHSXEESVSA-N 0 0 279.340 2.930 20 5 CFBDRN CC[C@H](O)CCCNc1cc(C)sc1[N+](=O)[O-] ZINC000596145114 349808166 /nfs/dbraw/zinc/80/81/66/349808166.db2.gz GOBMXIMAOXBXIT-VIFPVBQESA-N 0 0 258.343 2.928 20 5 CFBDRN CCOC[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596149028 349808992 /nfs/dbraw/zinc/80/89/92/349808992.db2.gz IGCJQSONCODHQN-VIFPVBQESA-N 0 0 285.731 2.506 20 5 CFBDRN CCC1(O)CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC1 ZINC000288410673 136397947 /nfs/dbraw/zinc/39/79/47/136397947.db2.gz NGINAZFNYGKFRD-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H]1[C@H](c2ccccc2)CCN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596154165 349811208 /nfs/dbraw/zinc/81/12/08/349811208.db2.gz DWUJTEZGEQSXMD-DGCLKSJQSA-N 0 0 298.346 2.954 20 5 CFBDRN COC(=O)c1cc(N2CCCCC2)cc(C)c1[N+](=O)[O-] ZINC000128947272 136387690 /nfs/dbraw/zinc/38/76/90/136387690.db2.gz MWAMULXBCYETBF-UHFFFAOYSA-N 0 0 278.308 2.680 20 5 CFBDRN Cc1cc(N[C@H]2CCc3c[nH]nc3C2)c([N+](=O)[O-])s1 ZINC000596163875 349814321 /nfs/dbraw/zinc/81/43/21/349814321.db2.gz RYLCEECZGLYXDF-VIFPVBQESA-N 0 0 278.337 2.657 20 5 CFBDRN Cc1cc(NCC[C@@H](C)[S@@](C)=O)c([N+](=O)[O-])s1 ZINC000596165465 349814726 /nfs/dbraw/zinc/81/47/26/349814726.db2.gz LANRTBVAMXTVMB-CQLKUDPESA-N 0 0 276.383 2.534 20 5 CFBDRN CCC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596164203 349814733 /nfs/dbraw/zinc/81/47/33/349814733.db2.gz XJCZPWJZUJKKLE-NSHDSACASA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1ccc(-c2noc([C@@]3(C)CCCO3)n2)cc1[N+](=O)[O-] ZINC000273917755 192361799 /nfs/dbraw/zinc/36/17/99/192361799.db2.gz YIDJCSFGRIJUFP-CQSZACIVSA-N 0 0 289.291 2.979 20 5 CFBDRN CN(CCOC(C)(C)C)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596165409 349814915 /nfs/dbraw/zinc/81/49/15/349814915.db2.gz KIDPSEUPDOPEAI-UHFFFAOYSA-N 0 0 287.747 2.895 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](SC)C1 ZINC000295000581 199292759 /nfs/dbraw/zinc/29/27/59/199292759.db2.gz OBXRAEVQQPSUGN-LBPRGKRZSA-N 0 0 282.365 2.683 20 5 CFBDRN Cc1cc(N[C@H]2CC[C@@H](CO)CC2)c([N+](=O)[O-])s1 ZINC000596163047 349813758 /nfs/dbraw/zinc/81/37/58/349813758.db2.gz RPALDABOMZINGU-AOOOYVTPSA-N 0 0 270.354 2.928 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@@H]3CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596171978 349816919 /nfs/dbraw/zinc/81/69/19/349816919.db2.gz RRKIHZKAJBUUDV-GHMZBOCLSA-N 0 0 282.365 2.828 20 5 CFBDRN NC(=O)c1cc(N[C@@H]2CCCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000596166207 349815414 /nfs/dbraw/zinc/81/54/14/349815414.db2.gz RKLLOHADUMNRHQ-SECBINFHSA-N 0 0 299.277 2.684 20 5 CFBDRN CCOCCC1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CCC1 ZINC000596168587 349815700 /nfs/dbraw/zinc/81/57/00/349815700.db2.gz PFKVRGDTSWEPED-UHFFFAOYSA-N 0 0 295.339 2.704 20 5 CFBDRN Cc1ccc(NCCC(C)(C)CO)c([N+](=O)[O-])c1 ZINC000295023526 199305194 /nfs/dbraw/zinc/30/51/94/199305194.db2.gz UUMVMNSOOOMNJY-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@@H]2CCOC2)ccc1C(F)(F)F ZINC000596173409 349817097 /nfs/dbraw/zinc/81/70/97/349817097.db2.gz UWQVKLHQAHWZFI-MRVPVSSYSA-N 0 0 276.214 2.814 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2NC[C@H](C)OC ZINC000295030768 199310162 /nfs/dbraw/zinc/31/01/62/199310162.db2.gz NCSSWSZDKSALIH-VIFPVBQESA-N 0 0 291.307 2.598 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1S[C@H]1CCCOC1 ZINC000596185044 349820718 /nfs/dbraw/zinc/82/07/18/349820718.db2.gz AHEFZJMKVGHGJM-ZETCQYMHSA-N 0 0 274.729 2.914 20 5 CFBDRN COc1cc(N[C@H](C)C[C@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000596189997 349822241 /nfs/dbraw/zinc/82/22/41/349822241.db2.gz NWZDUIPWPYWIEA-SFYZADRCSA-N 0 0 288.731 2.828 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCC[C@@H]1CCCCO1 ZINC000596192414 349822964 /nfs/dbraw/zinc/82/29/64/349822964.db2.gz RNTOCGGRHOLEIV-JTQLQIEISA-N 0 0 299.758 2.826 20 5 CFBDRN C[C@@H]1C[C@@H](c2cccnc2)N(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596199301 349824948 /nfs/dbraw/zinc/82/49/48/349824948.db2.gz RVRYCTAMYJKNKI-MFKMUULPSA-N 0 0 299.334 2.555 20 5 CFBDRN Cc1cc(C)c2c(c1)CN(c1ccc(N)c([N+](=O)[O-])n1)CC2 ZINC000596201265 349825790 /nfs/dbraw/zinc/82/57/90/349825790.db2.gz WWVHIZWGDOYLRC-UHFFFAOYSA-N 0 0 298.346 2.752 20 5 CFBDRN Cc1cc(C)c2c(c1)CN(c1cc[nH]c(=O)c1[N+](=O)[O-])CC2 ZINC000596201311 349825815 /nfs/dbraw/zinc/82/58/15/349825815.db2.gz YLGYBCAGJZIXFA-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN COc1cc(N2CCCCO2)c(Cl)cc1[N+](=O)[O-] ZINC000596203435 349826329 /nfs/dbraw/zinc/82/63/29/349826329.db2.gz GDWNAQTYAFCLGS-UHFFFAOYSA-N 0 0 272.688 2.789 20 5 CFBDRN Cc1cc(NCCC[C@H](C)CO)c([N+](=O)[O-])s1 ZINC000596181222 349819385 /nfs/dbraw/zinc/81/93/85/349819385.db2.gz YQASOEVXNIIFOM-QMMMGPOBSA-N 0 0 258.343 2.785 20 5 CFBDRN C[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H]1F ZINC000596184594 349820139 /nfs/dbraw/zinc/82/01/39/349820139.db2.gz CFVFRTLESIRIGB-VXNVDRBHSA-N 0 0 273.695 2.828 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000596225164 349833228 /nfs/dbraw/zinc/83/32/28/349833228.db2.gz BGKBTNKWBXMZAB-MRVPVSSYSA-N 0 0 287.266 2.933 20 5 CFBDRN CCOC[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000072946569 346952426 /nfs/dbraw/zinc/95/24/26/346952426.db2.gz FJYYDWSVDSJWTG-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@@]2(C1)CCCOC2 ZINC000596227884 349834223 /nfs/dbraw/zinc/83/42/23/349834223.db2.gz ZTEMXTNUPZCXCD-CYBMUJFWSA-N 0 0 297.742 2.650 20 5 CFBDRN COc1cc(N(C)C[C@@H](C)OC)c(Cl)cc1[N+](=O)[O-] ZINC000596233082 349834612 /nfs/dbraw/zinc/83/46/12/349834612.db2.gz WWLYTNLOWOEKOM-MRVPVSSYSA-N 0 0 288.731 2.728 20 5 CFBDRN Cc1cc(N2CCc3cc[nH]c(=O)c3C2)c([N+](=O)[O-])s1 ZINC000596211218 349827753 /nfs/dbraw/zinc/82/77/53/349827753.db2.gz TXHXPNKVPSJSOE-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN C[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])CCS1 ZINC000596209221 349827945 /nfs/dbraw/zinc/82/79/45/349827945.db2.gz DLLNWVUIQZCXMA-QMMMGPOBSA-N 0 0 287.772 2.975 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596217703 349830332 /nfs/dbraw/zinc/83/03/32/349830332.db2.gz OTJUASWVMZHRNR-DTWKUNHWSA-N 0 0 251.286 2.544 20 5 CFBDRN CC(C)O[C@@H]1C[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1(C)C ZINC000596220115 349831295 /nfs/dbraw/zinc/83/12/95/349831295.db2.gz WGEKYYJVJKTSDD-WDEREUQCSA-N 0 0 295.339 2.699 20 5 CFBDRN C[C@@H](Nc1nc2c(cc1[N+](=O)[O-])CCCC2)c1ncc[nH]1 ZINC000596237055 349835667 /nfs/dbraw/zinc/83/56/67/349835667.db2.gz AXVZJTKFVIEDEP-SECBINFHSA-N 0 0 287.323 2.765 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC1CC(C(F)(F)F)C1 ZINC000596236425 349835705 /nfs/dbraw/zinc/83/57/05/349835705.db2.gz VPEFTYNYYZYJGK-UHFFFAOYSA-N 0 0 295.648 2.818 20 5 CFBDRN Cc1cc(NCc2snnc2C)c([N+](=O)[O-])s1 ZINC000596240524 349837093 /nfs/dbraw/zinc/83/70/93/349837093.db2.gz DAMDHPIJHUIRKV-UHFFFAOYSA-N 0 0 270.339 2.737 20 5 CFBDRN Nc1ccc(N2CCC[C@H](c3ccccc3)C2)nc1[N+](=O)[O-] ZINC000596242651 349837971 /nfs/dbraw/zinc/83/79/71/349837971.db2.gz TXSJMLNYFIDECH-ZDUSSCGKSA-N 0 0 298.346 2.956 20 5 CFBDRN O=C(NC1(c2ccccc2)CCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000073025410 346955392 /nfs/dbraw/zinc/95/53/92/346955392.db2.gz AOKHSBBNXVZRCW-UHFFFAOYSA-N 0 0 285.303 2.732 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])[C@H]1C ZINC000596245803 349839082 /nfs/dbraw/zinc/83/90/82/349839082.db2.gz ALPYVCPLBLSVRR-ZJUUUORDSA-N 0 0 257.293 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCO[C@H]2CC2(F)F)c(F)c1 ZINC000596248602 349839553 /nfs/dbraw/zinc/83/95/53/349839553.db2.gz JNLRWNAURNLBPG-JTQLQIEISA-N 0 0 277.198 2.537 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2C(F)(F)F)[C@H]1C ZINC000596247712 349839607 /nfs/dbraw/zinc/83/96/07/349839607.db2.gz LBOCGIHKELCRGI-RQJHMYQMSA-N 0 0 275.230 2.853 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@H]1C(F)F ZINC000596246669 349839668 /nfs/dbraw/zinc/83/96/68/349839668.db2.gz FRIOFWNNOMAHTM-NSHDSACASA-N 0 0 279.246 2.987 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@@H]1C(F)F ZINC000596246666 349839776 /nfs/dbraw/zinc/83/97/76/349839776.db2.gz FRIOFWNNOMAHTM-LLVKDONJSA-N 0 0 279.246 2.987 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596248680 349839793 /nfs/dbraw/zinc/83/97/93/349839793.db2.gz AWGORPKOPZVASR-GHMZBOCLSA-N 0 0 291.351 2.932 20 5 CFBDRN Cc1cc(NC[C@]2(O)CCC[C@@H]2C)c([N+](=O)[O-])s1 ZINC000596248238 349839811 /nfs/dbraw/zinc/83/98/11/349839811.db2.gz UWWGBQOSNOCVMW-QPUJVOFHSA-N 0 0 270.354 2.928 20 5 CFBDRN CCC1(Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)CCCC1 ZINC000596252410 349841424 /nfs/dbraw/zinc/84/14/24/349841424.db2.gz KSNGSLDTVUMGGL-UHFFFAOYSA-N 0 0 277.324 2.828 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCO[C@H]1CC1(F)F ZINC000596253531 349841927 /nfs/dbraw/zinc/84/19/27/349841927.db2.gz ATHMUWGBELKSHV-JTQLQIEISA-N 0 0 277.198 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@H]3C(F)F)c2c1 ZINC000596254806 349842040 /nfs/dbraw/zinc/84/20/40/349842040.db2.gz HEJTZFOPWWCPKD-NSHDSACASA-N 0 0 279.246 2.987 20 5 CFBDRN CO[C@H]1CCN(c2cc(C)sc2[N+](=O)[O-])C[C@H]1C ZINC000596255001 349842188 /nfs/dbraw/zinc/84/21/88/349842188.db2.gz OJJUFCYTAGYZDL-KCJUWKMLSA-N 0 0 270.354 2.826 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2ccc(C(F)F)cc2[N+](=O)[O-])C1 ZINC000596274857 349850222 /nfs/dbraw/zinc/85/02/22/349850222.db2.gz KPKRPNYQKZOGQN-LYWQIFSTSA-N 0 0 272.251 2.858 20 5 CFBDRN COc1cccc(SCCn2cc(C)c([N+](=O)[O-])n2)c1 ZINC000596277507 349850747 /nfs/dbraw/zinc/85/07/47/349850747.db2.gz GXFAGBYICICHTO-UHFFFAOYSA-N 0 0 293.348 2.901 20 5 CFBDRN Cc1cc(N2CC[C@H](O)CC(C)(C)C2)c([N+](=O)[O-])s1 ZINC000596258779 349844580 /nfs/dbraw/zinc/84/45/80/349844580.db2.gz MLKODKDUQJOWDV-JTQLQIEISA-N 0 0 284.381 2.952 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1sccc1[N+](=O)[O-] ZINC000596260401 349845808 /nfs/dbraw/zinc/84/58/08/349845808.db2.gz GDTUTRAHBJGXAD-UHFFFAOYSA-N 0 0 266.326 2.651 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H](C)c1ncccc1F ZINC000596263095 349846385 /nfs/dbraw/zinc/84/63/85/349846385.db2.gz DRRFLZSSZCELQP-MRVPVSSYSA-N 0 0 293.302 2.827 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596265699 349847382 /nfs/dbraw/zinc/84/73/82/349847382.db2.gz WDFSHCIMNKFAFA-PSASIEDQSA-N 0 0 251.286 2.542 20 5 CFBDRN CC[N@@H+]1CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])[C@@H](C)C1 ZINC000596268326 349848065 /nfs/dbraw/zinc/84/80/65/349848065.db2.gz HXXKIIPAJHQLJU-ZDUSSCGKSA-N 0 0 292.383 2.527 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1ncccc1F ZINC000596267043 349848081 /nfs/dbraw/zinc/84/80/81/349848081.db2.gz YRHAORPXEGJRCG-MRVPVSSYSA-N 0 0 293.302 2.827 20 5 CFBDRN CC(C)ONC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000295472300 199525252 /nfs/dbraw/zinc/52/52/52/199525252.db2.gz DBCYGQANLRRUBI-UHFFFAOYSA-N 0 0 266.297 2.788 20 5 CFBDRN CC1(C)C[C@@H](Nc2nc3ccccc3cc2[N+](=O)[O-])CO1 ZINC000596310698 349859921 /nfs/dbraw/zinc/85/99/21/349859921.db2.gz JEXZFLWFKLBMIV-LLVKDONJSA-N 0 0 287.319 2.544 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2COC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000596310255 349860231 /nfs/dbraw/zinc/86/02/31/349860231.db2.gz ABRFXGYBTOURKJ-NSHDSACASA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1cc(N(C)Cc2noc(C3CC3)n2)c([N+](=O)[O-])s1 ZINC000596280641 349852310 /nfs/dbraw/zinc/85/23/10/349852310.db2.gz ZXYRCQFSMYECQD-UHFFFAOYSA-N 0 0 294.336 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cc3c(n2)CCOC3)c(Cl)c1 ZINC000596284546 349852772 /nfs/dbraw/zinc/85/27/72/349852772.db2.gz AKUJJFPWFUDNOS-UHFFFAOYSA-N 0 0 293.710 2.566 20 5 CFBDRN COCC1(CNc2cc(OC)c(C)cc2[N+](=O)[O-])CC1 ZINC000596301046 349856966 /nfs/dbraw/zinc/85/69/66/349856966.db2.gz XIIBEANKPDUICB-UHFFFAOYSA-N 0 0 280.324 2.750 20 5 CFBDRN CC1(Cn2c(=O)c([N+](=O)[O-])cc3c2CCCC3)CCC1 ZINC000596303379 349857263 /nfs/dbraw/zinc/85/72/63/349857263.db2.gz AJHLBRSECVKAGY-UHFFFAOYSA-N 0 0 276.336 2.826 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H](CO)C2CCC2)ccc2ncccc21 ZINC000596322497 349862660 /nfs/dbraw/zinc/86/26/60/349862660.db2.gz BIZHZTMNQPCQJQ-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN COC[C@@H](CCNc1ccc([N+](=O)[O-])cc1Cl)OC ZINC000596321334 349862795 /nfs/dbraw/zinc/86/27/95/349862795.db2.gz XFLLSDRKTGLSGP-SNVBAGLBSA-N 0 0 288.731 2.712 20 5 CFBDRN COC[C@H](CCNc1ccc(Cl)cc1[N+](=O)[O-])OC ZINC000596330337 349866089 /nfs/dbraw/zinc/86/60/89/349866089.db2.gz OGCBDBSBLYKWHP-JTQLQIEISA-N 0 0 288.731 2.712 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000073327587 346970132 /nfs/dbraw/zinc/97/01/32/346970132.db2.gz ARWJQHMBFVUUFO-YPMHNXCESA-N 0 0 276.336 2.784 20 5 CFBDRN COc1cccc(N2CCO[C@](C)(C3CC3)C2)c1[N+](=O)[O-] ZINC000596334689 349867569 /nfs/dbraw/zinc/86/75/69/349867569.db2.gz LVKRIYOUTNJQLV-HNNXBMFYSA-N 0 0 292.335 2.609 20 5 CFBDRN Cc1nn([C@H](C)c2ccc(F)c(F)c2)cc1[N+](=O)[O-] ZINC000295491679 199533538 /nfs/dbraw/zinc/53/35/38/199533538.db2.gz ZJUMVYGNVJBTCG-MRVPVSSYSA-N 0 0 267.235 2.987 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@](C)(C2CC2)C1 ZINC000596334818 349868267 /nfs/dbraw/zinc/86/82/67/349868267.db2.gz RTNZPTZIKBCJMK-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1CCCS1 ZINC000074242442 347004406 /nfs/dbraw/zinc/00/44/06/347004406.db2.gz ROVBTJPXUULWTQ-TVQRCGJNSA-N 0 0 292.360 2.768 20 5 CFBDRN Cc1ccc(NC(=O)CC2(O)CCCCC2)cc1[N+](=O)[O-] ZINC000074165220 347000982 /nfs/dbraw/zinc/00/09/82/347000982.db2.gz JQLWNDZRIBGMSN-UHFFFAOYSA-N 0 0 292.335 2.927 20 5 CFBDRN CC[C@H](CSCc1onc(C)c1[N+](=O)[O-])OC ZINC000596370049 349880494 /nfs/dbraw/zinc/88/04/94/349880494.db2.gz CJEKFDSTZMUDJR-MRVPVSSYSA-N 0 0 260.315 2.549 20 5 CFBDRN COC[C@H](CSCCc1ccc([N+](=O)[O-])cc1)OC ZINC000596397454 349888919 /nfs/dbraw/zinc/88/89/19/349888919.db2.gz YSCSALNANPUMBM-CYBMUJFWSA-N 0 0 285.365 2.532 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000074424485 347013685 /nfs/dbraw/zinc/01/36/85/347013685.db2.gz IGCFBOUCIREHEV-CQSZACIVSA-N 0 0 292.335 2.530 20 5 CFBDRN CNC(=O)c1ccc(N2CC(C)(C)C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000413583068 529940403 /nfs/dbraw/zinc/94/04/03/529940403.db2.gz NDYACEJNUISATL-JTQLQIEISA-N 0 0 291.351 2.579 20 5 CFBDRN CCOc1cc(CSCC[C@H](C)O)ccc1[N+](=O)[O-] ZINC000596406830 349891633 /nfs/dbraw/zinc/89/16/33/349891633.db2.gz KEAHJEJOEMGYRG-JTQLQIEISA-N 0 0 285.365 2.998 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000074424482 347013987 /nfs/dbraw/zinc/01/39/87/347013987.db2.gz IGCFBOUCIREHEV-AWEZNQCLSA-N 0 0 292.335 2.530 20 5 CFBDRN CC1(CSCCc2ccc([N+](=O)[O-])cc2)COC1 ZINC000596414261 349895681 /nfs/dbraw/zinc/89/56/81/349895681.db2.gz AXBDNUIMDAWPOJ-UHFFFAOYSA-N 0 0 267.350 2.907 20 5 CFBDRN CC[C@@H](C)CCNc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000596415840 349896072 /nfs/dbraw/zinc/89/60/72/349896072.db2.gz NQVBLCILAFUJCQ-SNVBAGLBSA-N 0 0 279.340 2.803 20 5 CFBDRN CC[C@@H](C)CCNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000596416150 349896248 /nfs/dbraw/zinc/89/62/48/349896248.db2.gz PTOWABLMNUHBRV-SECBINFHSA-N 0 0 265.313 2.542 20 5 CFBDRN O=[N+]([O-])c1cc(NC/C=C\CO)ccc1C(F)(F)F ZINC000596422792 349899155 /nfs/dbraw/zinc/89/91/55/349899155.db2.gz GJTMPFURAZCWLM-UPHRSURJSA-N 0 0 276.214 2.574 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSC[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000596427604 349900568 /nfs/dbraw/zinc/90/05/68/349900568.db2.gz KIRAENQZYMRGKU-JGPRNRPPSA-N 0 0 267.354 2.571 20 5 CFBDRN C[C@H](CO)[C@H]1CCCCN1c1ccccc1[N+](=O)[O-] ZINC000596432255 349901046 /nfs/dbraw/zinc/90/10/46/349901046.db2.gz DCPBIUVSLJQMHU-VXGBXAGGSA-N 0 0 264.325 2.582 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000596436693 349902423 /nfs/dbraw/zinc/90/24/23/349902423.db2.gz OPHCPVRKDURWTB-XYPYZODXSA-N 0 0 250.298 2.883 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000596436270 349902486 /nfs/dbraw/zinc/90/24/86/349902486.db2.gz JRAOAJWLUCTLJI-KYZUINATSA-N 0 0 256.327 2.944 20 5 CFBDRN COc1ccc(CNc2cc(C)c([N+](=O)[O-])cn2)cc1O ZINC000074740832 347029521 /nfs/dbraw/zinc/02/95/21/347029521.db2.gz XBXQLXODUDXTAC-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN Cc1ccn2cc(CNc3cc(C)c([N+](=O)[O-])cn3)nc2c1 ZINC000074742446 347029548 /nfs/dbraw/zinc/02/95/48/347029548.db2.gz CBZQAGZOWOKXQA-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN Cc1cc(N[C@@H](C)c2nnc3ccccn32)ncc1[N+](=O)[O-] ZINC000074727399 347029724 /nfs/dbraw/zinc/02/97/24/347029724.db2.gz CCYGVVKKRLUOHU-JTQLQIEISA-N 0 0 298.306 2.514 20 5 CFBDRN Cc1nc(CN(C)c2cc(C)c([N+](=O)[O-])cn2)cs1 ZINC000074744035 347030441 /nfs/dbraw/zinc/03/04/41/347030441.db2.gz AJZMYCLSDPGAKA-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1cc(N2C[C@H](C)S[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000074767678 347031369 /nfs/dbraw/zinc/03/13/69/347031369.db2.gz HFQOAELIMNEZQS-UWVGGRQHSA-N 0 0 267.354 2.628 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@]12C[C@H]1CCC2 ZINC000596444430 349904893 /nfs/dbraw/zinc/90/48/93/349904893.db2.gz SRGAHDJBXMXEQI-AMIZOPFISA-N 0 0 279.271 2.798 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(c1c(Cl)cncc1[N+](=O)[O-])C2 ZINC000596444949 349905263 /nfs/dbraw/zinc/90/52/63/349905263.db2.gz YYURTJVGBGIRSB-BETUJISGSA-N 0 0 297.742 2.506 20 5 CFBDRN Cc1cc(NCCO[C@@H]2CC2(F)F)c(F)cc1[N+](=O)[O-] ZINC000596446282 349905811 /nfs/dbraw/zinc/90/58/11/349905811.db2.gz DGLBCZQNLFKKOZ-LLVKDONJSA-N 0 0 290.241 2.878 20 5 CFBDRN Cc1nnc(CN(C)c2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000596445043 349905921 /nfs/dbraw/zinc/90/59/21/349905921.db2.gz SLZSYQBLEUUMID-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN CSCC[C@H](C)N(C)c1ncccc1[N+](=O)[O-] ZINC000074769908 347032234 /nfs/dbraw/zinc/03/22/34/347032234.db2.gz DMXIAEIMUQZICK-VIFPVBQESA-N 0 0 255.343 2.568 20 5 CFBDRN CCC(CC)(CCO)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074769562 347032375 /nfs/dbraw/zinc/03/23/75/347032375.db2.gz APEFRMCOKCMYSN-UHFFFAOYSA-N 0 0 281.356 2.899 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC(C2CCC2)CC1 ZINC000596453468 349907220 /nfs/dbraw/zinc/90/72/20/349907220.db2.gz KMDJDRYDCLALKE-UHFFFAOYSA-N 0 0 277.324 2.575 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000290654661 197778791 /nfs/dbraw/zinc/77/87/91/197778791.db2.gz GTZYWSNAXPRCFR-LLVKDONJSA-N 0 0 292.726 2.896 20 5 CFBDRN C[C@H]1CCC[C@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)O1 ZINC000596471293 349910664 /nfs/dbraw/zinc/91/06/64/349910664.db2.gz ZECBWYILJDMWRO-GXFFZTMASA-N 0 0 293.323 2.674 20 5 CFBDRN C[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@@H](C)C1O ZINC000596469621 349909918 /nfs/dbraw/zinc/90/99/18/349909918.db2.gz MZMXPLOTYKJFBB-RKDXNWHRSA-N 0 0 284.743 2.701 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@@]1(C)CC1(F)F ZINC000596474759 349911584 /nfs/dbraw/zinc/91/15/84/349911584.db2.gz CAWJAGDJSPYNFS-NSHDSACASA-N 0 0 271.267 2.567 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H](C)O2)n1 ZINC000596473607 349911080 /nfs/dbraw/zinc/91/10/80/349911080.db2.gz HFXKOQUEHMHCOW-NEPJUHHUSA-N 0 0 279.340 2.976 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CCO)CCCC2)cc1 ZINC000075064179 347052686 /nfs/dbraw/zinc/05/26/86/347052686.db2.gz VLMKLBQXWKNBSH-UHFFFAOYSA-N 0 0 264.325 2.950 20 5 CFBDRN Cc1ccc(CC2CN(C(=O)c3cc([N+](=O)[O-])c[nH]3)C2)cc1 ZINC000596473343 349911369 /nfs/dbraw/zinc/91/13/69/349911369.db2.gz RCABYFLGPIFRIP-UHFFFAOYSA-N 0 0 299.330 2.546 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CO)C2CCCCC2)c(Cl)c1 ZINC000075066245 347053572 /nfs/dbraw/zinc/05/35/72/347053572.db2.gz MEEMFZBXNPNRCI-GFCCVEGCSA-N 0 0 299.758 2.996 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](CO)C1CCCCC1 ZINC000075066264 347053673 /nfs/dbraw/zinc/05/36/73/347053673.db2.gz SHGBPYHYKKAXDE-ZDUSSCGKSA-N 0 0 264.325 2.948 20 5 CFBDRN CCc1nn(C)c(NCC[C@@]2(C)CC2(F)F)c1[N+](=O)[O-] ZINC000596475672 349912296 /nfs/dbraw/zinc/91/22/96/349912296.db2.gz KWCUQJCZUVOWST-NSHDSACASA-N 0 0 288.298 2.738 20 5 CFBDRN CCCCN(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000075108532 347056153 /nfs/dbraw/zinc/05/61/53/347056153.db2.gz PYYGMHQYJQVTRR-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN Cc1ccnc(NC[C@@]2(C)CC2(F)F)c1[N+](=O)[O-] ZINC000596476447 349913049 /nfs/dbraw/zinc/91/30/49/349913049.db2.gz IOAJEMVSEXJXTH-SNVBAGLBSA-N 0 0 257.240 2.755 20 5 CFBDRN C[C@@]1(CNc2c(Cl)cncc2[N+](=O)[O-])CC1(F)F ZINC000596479416 349914401 /nfs/dbraw/zinc/91/44/01/349914401.db2.gz UVICEANNCRTPAW-VIFPVBQESA-N 0 0 277.658 2.522 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1C=CCCC1 ZINC000176830652 530004284 /nfs/dbraw/zinc/00/42/84/530004284.db2.gz KQFBVVIXYZMPTL-ZDUSSCGKSA-N 0 0 289.335 2.845 20 5 CFBDRN CN(Cc1cccnc1)Cc1ccc([N+](=O)[O-])cc1F ZINC000132450858 530006522 /nfs/dbraw/zinc/00/65/22/530006522.db2.gz QMMRAMFVJMFNBE-UHFFFAOYSA-N 0 0 275.283 2.761 20 5 CFBDRN CCOCc1ccc(CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000273208587 192075472 /nfs/dbraw/zinc/07/54/72/192075472.db2.gz SOSRIIJPVONFOM-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1ccc([N+](=O)[O-])c3cnccc31)C2 ZINC000596483461 349915620 /nfs/dbraw/zinc/91/56/20/349915620.db2.gz OTRVNNOTKYGSJU-BZNIZROVSA-N 0 0 299.330 2.616 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ccc([N+](=O)[O-])cn1)C2 ZINC000596484393 349915813 /nfs/dbraw/zinc/91/58/13/349915813.db2.gz PAIYJDSDQSOKDM-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN CCC1(CC)CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000596480959 349915292 /nfs/dbraw/zinc/91/52/92/349915292.db2.gz VRHNZBXTGVYLDE-UHFFFAOYSA-N 0 0 264.329 2.791 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1ccnc3c1cccc3[N+](=O)[O-])C2 ZINC000596481281 349915318 /nfs/dbraw/zinc/91/53/18/349915318.db2.gz WBLDJGHRPPWEOV-BDJLRTHQSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1ccnc3cc([N+](=O)[O-])ccc31)C2 ZINC000596480878 349915339 /nfs/dbraw/zinc/91/53/39/349915339.db2.gz RIRKTGCEUYUUBY-BZNIZROVSA-N 0 0 299.330 2.616 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@H](CC)C2CC2)c1[N+](=O)[O-] ZINC000596485744 349916420 /nfs/dbraw/zinc/91/64/20/349916420.db2.gz PFKDGPUGRDJUQD-NSHDSACASA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H](CO)CC1CC1 ZINC000596493138 349918698 /nfs/dbraw/zinc/91/86/98/349918698.db2.gz VGZQPZJDUOYVIE-GFCCVEGCSA-N 0 0 264.325 2.724 20 5 CFBDRN CCOc1cccc(NC[C@H](CO)CC2CC2)c1[N+](=O)[O-] ZINC000596492061 349918898 /nfs/dbraw/zinc/91/88/98/349918898.db2.gz KRMWCWPWQAUOCN-GFCCVEGCSA-N 0 0 294.351 2.814 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H](CO)CC1CC1 ZINC000596492660 349918922 /nfs/dbraw/zinc/91/89/22/349918922.db2.gz LVIKIBYUSVXXJC-GFCCVEGCSA-N 0 0 294.351 2.562 20 5 CFBDRN COc1cc(NC[C@@H](CO)CC2CC2)c([N+](=O)[O-])cc1C ZINC000596491487 349918962 /nfs/dbraw/zinc/91/89/62/349918962.db2.gz FPOHLJJHOAEUFP-LBPRGKRZSA-N 0 0 294.351 2.732 20 5 CFBDRN CC(=O)c1cc(N2CC[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000596492522 349919015 /nfs/dbraw/zinc/91/90/15/349919015.db2.gz DLHUEGPOVUBLEA-MNOVXSKESA-N 0 0 292.335 2.801 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000596495803 349919638 /nfs/dbraw/zinc/91/96/38/349919638.db2.gz WEYOCPVZIFAMNU-NEPJUHHUSA-N 0 0 294.351 2.997 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC([C@H]2CCCCO2)CC1 ZINC000596496872 349920453 /nfs/dbraw/zinc/92/04/53/349920453.db2.gz IITDNIRWUHFHAR-OAHLLOKOSA-N 0 0 291.351 2.775 20 5 CFBDRN O=C(N[C@@H]1CCCOCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000271892768 295727044 /nfs/dbraw/zinc/72/70/44/295727044.db2.gz UIYZKZHYAXLTBI-SECBINFHSA-N 0 0 298.726 2.547 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC([C@@H]2CCCCO2)CC1 ZINC000596496871 349920571 /nfs/dbraw/zinc/92/05/71/349920571.db2.gz IITDNIRWUHFHAR-HNNXBMFYSA-N 0 0 291.351 2.775 20 5 CFBDRN Cc1cncc(CCNc2c(C)cccc2[N+](=O)[O-])n1 ZINC000596499671 349921261 /nfs/dbraw/zinc/92/12/61/349921261.db2.gz USWNOCRCOKDROY-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000596502826 349922713 /nfs/dbraw/zinc/92/27/13/349922713.db2.gz JEWVZUCXOUXFFS-TZMCWYRMSA-N 0 0 291.351 2.775 20 5 CFBDRN Cc1cccc(N[C@H]2C[C@@H](O)C23CCC3)c1[N+](=O)[O-] ZINC000596504548 349923494 /nfs/dbraw/zinc/92/34/94/349923494.db2.gz HNBFCWRKVLALEO-NWDGAFQWSA-N 0 0 262.309 2.619 20 5 CFBDRN CCSC1(CNc2cccnc2[N+](=O)[O-])CC1 ZINC000596511283 349924660 /nfs/dbraw/zinc/92/46/60/349924660.db2.gz MMGRBBZSUIQABY-UHFFFAOYSA-N 0 0 253.327 2.687 20 5 CFBDRN CC1(C)[C@H](CNc2ncccc2[N+](=O)[O-])C1(F)F ZINC000596512452 349926057 /nfs/dbraw/zinc/92/60/57/349926057.db2.gz FTZNGEFBRMYSJG-QMMMGPOBSA-N 0 0 257.240 2.693 20 5 CFBDRN CC1(C)OCC[C@@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000452476714 534994505 /nfs/dbraw/zinc/99/45/05/534994505.db2.gz OUDXHOSELWAFBP-NSHDSACASA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC(F)F)c2ncccc12 ZINC000098000815 232183146 /nfs/dbraw/zinc/18/31/46/232183146.db2.gz KSAISMCRTOZVOF-UHFFFAOYSA-N 0 0 253.208 2.820 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCC(O)CC2)s1 ZINC000596515466 349927239 /nfs/dbraw/zinc/92/72/39/349927239.db2.gz QVUZGTPZYSBRDD-UHFFFAOYSA-N 0 0 256.327 2.619 20 5 CFBDRN Cc1cnc(N2CC3(CCCC3)OC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000596515679 349927262 /nfs/dbraw/zinc/92/72/62/349927262.db2.gz QJGBZJCMMDJYNQ-LBPRGKRZSA-N 0 0 291.351 2.836 20 5 CFBDRN C[C@H]1COC2(CCCC2)CN1c1ncccc1[N+](=O)[O-] ZINC000596516527 349927476 /nfs/dbraw/zinc/92/74/76/349927476.db2.gz TUVGLBIWAIDVBC-NSHDSACASA-N 0 0 277.324 2.528 20 5 CFBDRN CS(=O)(=O)c1ccc(NCC2=CCCC2)c([N+](=O)[O-])c1 ZINC000596518773 349927924 /nfs/dbraw/zinc/92/79/24/349927924.db2.gz DYGMOYJVXXQELN-UHFFFAOYSA-N 0 0 296.348 2.521 20 5 CFBDRN Nc1ccc(N2CCC[C@@H](C3CCC3)C2)nc1[N+](=O)[O-] ZINC000596525466 349929915 /nfs/dbraw/zinc/92/99/15/349929915.db2.gz KACRKMRLUSLUQG-LLVKDONJSA-N 0 0 276.340 2.589 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])cc1C)C1CCCC1 ZINC000596524475 349930013 /nfs/dbraw/zinc/93/00/13/349930013.db2.gz BJWGXCUVDZIQHH-ZDUSSCGKSA-N 0 0 279.340 2.915 20 5 CFBDRN CC(C)(C)N1C[C@H](CNc2ccccc2[N+](=O)[O-])CC1=O ZINC000596519161 349928824 /nfs/dbraw/zinc/92/88/24/349928824.db2.gz GTLWTVPYAVDUBH-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN COC(=O)c1cnc(N[C@@H](C(C)C)C2CC2)c([N+](=O)[O-])c1 ZINC000596523127 349928831 /nfs/dbraw/zinc/92/88/31/349928831.db2.gz RYMVSVREABEADC-LBPRGKRZSA-N 0 0 293.323 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@@H]2COC[C@@H]2C1 ZINC000596527367 349930549 /nfs/dbraw/zinc/93/05/49/349930549.db2.gz IWVFSDQQKVZUIZ-NEPJUHHUSA-N 0 0 299.330 2.616 20 5 CFBDRN COCCOCCCCNc1cc(C)ccc1[N+](=O)[O-] ZINC000152036737 232216653 /nfs/dbraw/zinc/21/66/53/232216653.db2.gz YZZCQTPGRIGNHL-UHFFFAOYSA-N 0 0 282.340 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2cccc(CO)c2)c(F)c1 ZINC000151569336 232215866 /nfs/dbraw/zinc/21/58/66/232215866.db2.gz RDPKTXCDRCUZIL-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCc1ccco1 ZINC000596542251 349936845 /nfs/dbraw/zinc/93/68/45/349936845.db2.gz OSASKLIBPSJTHZ-UHFFFAOYSA-N 0 0 278.312 2.757 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]2CC2CCC2)nc1 ZINC000596542518 349936535 /nfs/dbraw/zinc/93/65/35/349936535.db2.gz KWPILCIWEDNMQS-GFCCVEGCSA-N 0 0 276.340 2.934 20 5 CFBDRN CN(C[C@H]1CCc2ccccc21)c1ncc([N+](=O)[O-])cn1 ZINC000596543467 349937558 /nfs/dbraw/zinc/93/75/58/349937558.db2.gz JVGPBNHKEWVUEM-GFCCVEGCSA-N 0 0 284.319 2.551 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1 ZINC000596545202 349937871 /nfs/dbraw/zinc/93/78/71/349937871.db2.gz RBQMRCCZZRUWKT-SNVBAGLBSA-N 0 0 250.298 2.822 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1ccc([N+](=O)[O-])cc1 ZINC000596545201 349938213 /nfs/dbraw/zinc/93/82/13/349938213.db2.gz RBQMRCCZZRUWKT-JTQLQIEISA-N 0 0 250.298 2.822 20 5 CFBDRN CN(C[C@H]1CCc2ccccc21)c1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596544985 349938230 /nfs/dbraw/zinc/93/82/30/349938230.db2.gz UMLRWGXXDJRIOJ-GFCCVEGCSA-N 0 0 299.330 2.862 20 5 CFBDRN CCOC(=O)[C@@]1(C)CCC[C@H]1Nc1cccnc1[N+](=O)[O-] ZINC000596548514 349938568 /nfs/dbraw/zinc/93/85/68/349938568.db2.gz DUSZFHHNMDNNPO-RISCZKNCSA-N 0 0 293.323 2.524 20 5 CFBDRN Nc1c(C(=O)Nc2cc(F)cc(F)c2)cccc1[N+](=O)[O-] ZINC000157306529 232236663 /nfs/dbraw/zinc/23/66/63/232236663.db2.gz UEAFWKNCSCDGGV-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN Cc1cccnc1NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000161835666 232252901 /nfs/dbraw/zinc/25/29/01/232252901.db2.gz FOTLNBXHGVYEOF-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN COc1cccc(CNc2c([N+](=O)[O-])c(C)nn2C)c1C ZINC000596552484 349940108 /nfs/dbraw/zinc/94/01/08/349940108.db2.gz MULICPPRNPZSBQ-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN CC[C@@H](COC)Nc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000290744260 197804447 /nfs/dbraw/zinc/80/44/47/197804447.db2.gz HUFKPSIJNZRYJF-JTQLQIEISA-N 0 0 296.323 2.527 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@@H]1O ZINC000273268627 192093613 /nfs/dbraw/zinc/09/36/13/192093613.db2.gz JJBBCAFSVDMUAQ-NEPJUHHUSA-N 0 0 298.408 2.885 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176688167 232340005 /nfs/dbraw/zinc/34/00/05/232340005.db2.gz UVBJQJLULBUQIX-FZZIBODNSA-N 0 0 286.331 2.919 20 5 CFBDRN Cc1cc(C)c(OC(=O)COC(C)(C)C)c([N+](=O)[O-])c1 ZINC000182248875 232406689 /nfs/dbraw/zinc/40/66/89/232406689.db2.gz YJKPVEKTHNBAFK-UHFFFAOYSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1nc(C)c(NC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000295132136 199362841 /nfs/dbraw/zinc/36/28/41/199362841.db2.gz NQIDKWVHWJYDND-UHFFFAOYSA-N 0 0 271.342 2.534 20 5 CFBDRN CC[C@@H](NC(=O)[C@@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000295133026 199362999 /nfs/dbraw/zinc/36/29/99/199362999.db2.gz IHLPARCCKIWEHY-WDEREUQCSA-N 0 0 284.262 2.817 20 5 CFBDRN C[C@H](O)[C@@H](Nc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000596567853 349943558 /nfs/dbraw/zinc/94/35/58/349943558.db2.gz WVOGNHWUYNMTJC-GZMMTYOYSA-N 0 0 279.321 2.585 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCCOCCF ZINC000596569972 349943744 /nfs/dbraw/zinc/94/37/44/349943744.db2.gz OZKWFHLOUYRGCJ-UHFFFAOYSA-N 0 0 279.271 2.541 20 5 CFBDRN COc1ccc(Oc2ncccc2[N+](=O)[O-])c([N+](=O)[O-])c1 ZINC000007186586 346217088 /nfs/dbraw/zinc/21/70/88/346217088.db2.gz OVKAYQLDADVYED-UHFFFAOYSA-N 0 0 291.219 2.699 20 5 CFBDRN C[C@@]1(C(F)F)CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000596571020 349944590 /nfs/dbraw/zinc/94/45/90/349944590.db2.gz CTZWAMOLBGOJJQ-JTQLQIEISA-N 0 0 278.280 2.517 20 5 CFBDRN CSc1ccc(Oc2c([N+](=O)[O-])ncn2C)cc1 ZINC000008337255 346223955 /nfs/dbraw/zinc/22/39/55/346223955.db2.gz MTLXSFXZOOSKRZ-UHFFFAOYSA-N 0 0 265.294 2.843 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])ncn1C)c1ccc(OC)cc1 ZINC000008376419 346224009 /nfs/dbraw/zinc/22/40/09/346224009.db2.gz UFKSGUJYQWATEN-LBPRGKRZSA-N 0 0 290.323 2.900 20 5 CFBDRN Cc1nc2ccc(Nc3c([N+](=O)[O-])ncn3C)cc2s1 ZINC000008376589 346224222 /nfs/dbraw/zinc/22/42/22/346224222.db2.gz WSIAEHNRNAJDRZ-UHFFFAOYSA-N 0 0 289.320 2.990 20 5 CFBDRN CCCCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000018176715 346241410 /nfs/dbraw/zinc/24/14/10/346241410.db2.gz FSKCCXGXDYOZBB-UHFFFAOYSA-N 0 0 251.286 2.907 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1c1ccccc1 ZINC000022552139 346249920 /nfs/dbraw/zinc/24/99/20/346249920.db2.gz AYIOQWVJQMUJGQ-CQSZACIVSA-N 0 0 299.330 2.911 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])C[C@@](C)(C(F)F)O1 ZINC000596574097 349945296 /nfs/dbraw/zinc/94/52/96/349945296.db2.gz NJOIRUXJPZHNLF-HQJQHLMTSA-N 0 0 292.307 2.905 20 5 CFBDRN CC[C@H](CNc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000029853109 346284546 /nfs/dbraw/zinc/28/45/46/346284546.db2.gz BRYCPSUTSJFDIA-LLVKDONJSA-N 0 0 274.324 2.934 20 5 CFBDRN Cc1ccc(CCCNc2c([N+](=O)[O-])ncn2C)c(C)c1 ZINC000029853117 346284587 /nfs/dbraw/zinc/28/45/87/346284587.db2.gz OHFPVFVYUFHKLZ-UHFFFAOYSA-N 0 0 288.351 2.990 20 5 CFBDRN CCN(Cc1nc(-c2cccc([N+](=O)[O-])c2)no1)C1CC1 ZINC000029914445 346284610 /nfs/dbraw/zinc/28/46/10/346284610.db2.gz OTLXDGWBTGUEES-UHFFFAOYSA-N 0 0 288.307 2.629 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(CCCF)n1 ZINC000596583699 349947995 /nfs/dbraw/zinc/94/79/95/349947995.db2.gz UDITWOQVNYHLLE-UHFFFAOYSA-N 0 0 265.244 2.855 20 5 CFBDRN CC(=O)c1ccc(Nc2c(C)nn(C)c2C)c([N+](=O)[O-])c1 ZINC000029164824 346279174 /nfs/dbraw/zinc/27/91/74/346279174.db2.gz JKXJYZWFVKGRKE-UHFFFAOYSA-N 0 0 288.307 2.891 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cccc2c[nH]nc21 ZINC000029508302 346281220 /nfs/dbraw/zinc/28/12/20/346281220.db2.gz BKJFWPQQMCYSJH-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)c1cc([N+](=O)[O-])cn1C ZINC000029431438 346281418 /nfs/dbraw/zinc/28/14/18/346281418.db2.gz TZIYWIKTMYPUDG-NSHDSACASA-N 0 0 281.356 2.880 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCCC1CCCCC1 ZINC000033121404 346309696 /nfs/dbraw/zinc/30/96/96/346309696.db2.gz XNPCSSADPSOFMV-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000033181162 346309769 /nfs/dbraw/zinc/30/97/69/346309769.db2.gz ISIAXWKRCRPGEN-VXGBXAGGSA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000033181164 346310060 /nfs/dbraw/zinc/31/00/60/346310060.db2.gz ISIAXWKRCRPGEN-NWDGAFQWSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1nc(CNc2nc3sccn3c2[N+](=O)[O-])cs1 ZINC000040437711 346346016 /nfs/dbraw/zinc/34/60/16/346346016.db2.gz BTWGKKBTMIXNPM-UHFFFAOYSA-N 0 0 295.349 2.681 20 5 CFBDRN O=C(Nc1cccc(-c2cc[nH]n2)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000042045205 346359699 /nfs/dbraw/zinc/35/96/99/346359699.db2.gz VVYWLJOKPQGVCL-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN CC[C@@H]1CCCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000042102756 346360974 /nfs/dbraw/zinc/36/09/74/346360974.db2.gz HSMZPLZRAPCYLC-SECBINFHSA-N 0 0 280.353 2.930 20 5 CFBDRN Cc1c(CO)cc([N+](=O)[O-])c(NCC2CCC2)c1[N+](=O)[O-] ZINC000042099915 346361002 /nfs/dbraw/zinc/36/10/02/346361002.db2.gz CPMVQGKLZLNRDM-UHFFFAOYSA-N 0 0 295.295 2.516 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2ccnc(C)n2)cc1[N+](=O)[O-] ZINC000038037537 346336733 /nfs/dbraw/zinc/33/67/33/346336733.db2.gz CXMLFRQWROJBRQ-LLVKDONJSA-N 0 0 286.335 2.852 20 5 CFBDRN Cc1c(-c2noc(CCCF)n2)cccc1[N+](=O)[O-] ZINC000596600822 349953640 /nfs/dbraw/zinc/95/36/40/349953640.db2.gz KLGLUIDXYVXVBF-UHFFFAOYSA-N 0 0 265.244 2.855 20 5 CFBDRN CCO[C@@H](CC)c1noc(-c2cc([N+](=O)[O-])cnc2C)n1 ZINC000596616445 349956451 /nfs/dbraw/zinc/95/64/51/349956451.db2.gz YSTQATMSBQTRRL-NSHDSACASA-N 0 0 292.295 2.836 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000596618543 349957603 /nfs/dbraw/zinc/95/76/03/349957603.db2.gz CYESYGBWBOAVQO-PWSUYJOCSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000596618961 349957629 /nfs/dbraw/zinc/95/76/29/349957629.db2.gz FOTMEYIMQBHDSH-URLYPYJESA-N 0 0 260.293 2.888 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@H](C)C1 ZINC000045208982 346405242 /nfs/dbraw/zinc/40/52/42/346405242.db2.gz IYDPAGLPMKJJQC-WDEREUQCSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@H](c1ccccc1F)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000045395190 346407577 /nfs/dbraw/zinc/40/75/77/346407577.db2.gz ZXOYIUKJHNPPLS-SECBINFHSA-N 0 0 291.282 2.895 20 5 CFBDRN CCOC1(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000596619061 349958455 /nfs/dbraw/zinc/95/84/55/349958455.db2.gz HEBOWLSVWOAOFA-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1sc(C(=O)N(C)Cc2ccco2)cc1[N+](=O)[O-] ZINC000045933607 346416699 /nfs/dbraw/zinc/41/66/99/346416699.db2.gz RCQWZADIZXFLOK-UHFFFAOYSA-N 0 0 280.305 2.830 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1c(F)cccc1F ZINC000046149114 346421573 /nfs/dbraw/zinc/42/15/73/346421573.db2.gz JSLNJFQAODVYDV-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cn1)N1CCc2ccccc21 ZINC000046479400 346428080 /nfs/dbraw/zinc/42/80/80/346428080.db2.gz FXLZWHSQTRXZCS-GFCCVEGCSA-N 0 0 298.346 2.853 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000075669717 347091373 /nfs/dbraw/zinc/09/13/73/347091373.db2.gz SCQRXECLHTYETQ-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1ccc(-c2ccc3c(c2)C[C@@H](CO)O3)cc1[N+](=O)[O-] ZINC000596639194 349965100 /nfs/dbraw/zinc/96/51/00/349965100.db2.gz LBCQYFKLONJNJK-AWEZNQCLSA-N 0 0 285.299 2.866 20 5 CFBDRN O=C(Nc1ccccn1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048314082 346471201 /nfs/dbraw/zinc/47/12/01/346471201.db2.gz HWHYGHBGRIJSNQ-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CC(=O)N1CCCc2cc(-c3ccc([N+](=O)[O-])nc3)ccc21 ZINC000596637133 349964271 /nfs/dbraw/zinc/96/42/71/349964271.db2.gz HGMUQYKTGSYRBY-UHFFFAOYSA-N 0 0 297.314 2.956 20 5 CFBDRN CC(C)(C)c1cc(NC(=O)c2csc([N+](=O)[O-])c2)n[nH]1 ZINC000048750774 346476584 /nfs/dbraw/zinc/47/65/84/346476584.db2.gz ZKKZYLSULOJRIQ-UHFFFAOYSA-N 0 0 294.336 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)COc2ccccc2)s1 ZINC000048999710 346477892 /nfs/dbraw/zinc/47/78/92/346477892.db2.gz UAZXZQBGFHUJOD-SNVBAGLBSA-N 0 0 294.332 2.508 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1cccc2c1OCO2 ZINC000049057345 346479062 /nfs/dbraw/zinc/47/90/62/346479062.db2.gz FWGSIDCAAUTJNL-UHFFFAOYSA-N 0 0 278.289 2.997 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])s2)CC(C)(C)O1 ZINC000049177139 346479872 /nfs/dbraw/zinc/47/98/72/346479872.db2.gz MOEOTDDVIQRMNY-QMMMGPOBSA-N 0 0 256.327 2.660 20 5 CFBDRN C[C@H]1CCCN(C(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000075765479 347097859 /nfs/dbraw/zinc/09/78/59/347097859.db2.gz OWFFWOGBNKJTKX-LBPRGKRZSA-N 0 0 292.335 2.622 20 5 CFBDRN COc1cc(C(=O)N(C)[C@@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000263652339 232525825 /nfs/dbraw/zinc/52/58/25/232525825.db2.gz GJLJXUMWXCUUJJ-JTQLQIEISA-N 0 0 280.324 2.720 20 5 CFBDRN O=C(Nc1cccc2cccnc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000051868799 346507459 /nfs/dbraw/zinc/50/74/59/346507459.db2.gz VRGNLXPTRDAPEY-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN C[C@H]1CN(c2c([N+](=O)[O-])ncn2C)[C@@H]1c1ccccc1 ZINC000266186111 232527905 /nfs/dbraw/zinc/52/79/05/232527905.db2.gz FDYYRAUWFYRVAL-JQWIXIFHSA-N 0 0 272.308 2.526 20 5 CFBDRN CN(C)c1ncccc1CNc1ccc([N+](=O)[O-])cc1F ZINC000052075590 346512792 /nfs/dbraw/zinc/51/27/92/346512792.db2.gz JWIYUSNVNCIDGH-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN O=C([O-])C1(C[NH2+]Cc2ccc([N+](=O)[O-])cc2Cl)CCC1 ZINC000596656116 349970397 /nfs/dbraw/zinc/97/03/97/349970397.db2.gz GJINPWFXUNDMAY-UHFFFAOYSA-N 0 0 298.726 2.593 20 5 CFBDRN CCc1cc(Oc2cccc([N+](=O)[O-])c2C)n2ncnc2n1 ZINC000052471739 346522860 /nfs/dbraw/zinc/52/28/60/346522860.db2.gz CBYRWROPGZXUQK-UHFFFAOYSA-N 0 0 299.290 2.696 20 5 CFBDRN CSc1ccccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051203376 346500562 /nfs/dbraw/zinc/50/05/62/346500562.db2.gz MPDGBXZYFPBZSO-UHFFFAOYSA-N 0 0 277.305 2.897 20 5 CFBDRN CCN(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051203297 346500965 /nfs/dbraw/zinc/50/09/65/346500965.db2.gz IOVZDYQNGGXNOI-UHFFFAOYSA-N 0 0 273.292 2.585 20 5 CFBDRN CCCCCNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052602077 346525350 /nfs/dbraw/zinc/52/53/50/346525350.db2.gz HOZYIXIQAXSKPJ-UHFFFAOYSA-N 0 0 275.308 2.996 20 5 CFBDRN C[C@H](Cc1ccccc1F)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000053193252 346540397 /nfs/dbraw/zinc/54/03/97/346540397.db2.gz MSXBOXUBVHVIQO-SECBINFHSA-N 0 0 292.266 2.688 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000596667279 349971628 /nfs/dbraw/zinc/97/16/28/349971628.db2.gz QWBXOPGIAHHCML-CYBMUJFWSA-N 0 0 291.351 2.559 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)CNc2cccc([N+](=O)[O-])c2)C1 ZINC000054529786 346570783 /nfs/dbraw/zinc/57/07/83/346570783.db2.gz AWMOYCKFDVBJCL-TXEJJXNPSA-N 0 0 291.351 2.511 20 5 CFBDRN CCN(CC(C)C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000054647131 346574353 /nfs/dbraw/zinc/57/43/53/346574353.db2.gz RQVUXCZOQRYLJR-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN CC(C)CCN(C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000056834155 346614058 /nfs/dbraw/zinc/61/40/58/346614058.db2.gz WEECRUKZYLELFH-UHFFFAOYSA-N 0 0 279.340 2.782 20 5 CFBDRN O=C(C=C(C1CC1)C1CC1)NCc1ccccc1[N+](=O)[O-] ZINC000058387985 346644567 /nfs/dbraw/zinc/64/45/67/346644567.db2.gz WARFHYNIPGJMPR-UHFFFAOYSA-N 0 0 286.331 2.957 20 5 CFBDRN O=C(CNc1ccsc1[N+](=O)[O-])NC1CCCCC1 ZINC000058543829 346646196 /nfs/dbraw/zinc/64/61/96/346646196.db2.gz YEGRYFBSFLHOHY-UHFFFAOYSA-N 0 0 283.353 2.517 20 5 CFBDRN CCOc1ccccc1COc1cccnc1[N+](=O)[O-] ZINC000058858609 346652035 /nfs/dbraw/zinc/65/20/35/346652035.db2.gz MNQFUSYWDFYMJH-UHFFFAOYSA-N 0 0 274.276 2.968 20 5 CFBDRN Cc1c(NC(=O)NCC[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000058856004 346652125 /nfs/dbraw/zinc/65/21/25/346652125.db2.gz CDHIYCNXHAZRFR-LLVKDONJSA-N 0 0 293.323 2.594 20 5 CFBDRN CCOC(=O)CSCc1cccc([N+](=O)[O-])c1C ZINC000059123201 346655965 /nfs/dbraw/zinc/65/59/65/346655965.db2.gz HDACYFOWLWADAX-UHFFFAOYSA-N 0 0 269.322 2.700 20 5 CFBDRN CCC[C@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000059306896 346656835 /nfs/dbraw/zinc/65/68/35/346656835.db2.gz ZXXKXBWZWQMGGY-JTQLQIEISA-N 0 0 250.298 2.647 20 5 CFBDRN CC(C)ONC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000060257836 346661246 /nfs/dbraw/zinc/66/12/46/346661246.db2.gz VWOZWGTZCKEOAQ-UHFFFAOYSA-N 0 0 280.305 2.879 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1nccn1C ZINC000057620375 346632775 /nfs/dbraw/zinc/63/27/75/346632775.db2.gz XPAALSZGFAJBSB-NSHDSACASA-N 0 0 274.324 2.521 20 5 CFBDRN Cc1c(C(=O)OC[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000057967455 346641232 /nfs/dbraw/zinc/64/12/32/346641232.db2.gz UEBONUWAHAHXDI-NSHDSACASA-N 0 0 279.292 2.629 20 5 CFBDRN C[C@H]1CCCC[C@H]1Cn1cc([N+](=O)[O-])ccc1=O ZINC000563441584 290152412 /nfs/dbraw/zinc/15/24/12/290152412.db2.gz YBWQAFDSNSKXJA-QWRGUYRKSA-N 0 0 250.298 2.583 20 5 CFBDRN Cc1c(C(=O)Nc2cnn(C(C)C)c2)cccc1[N+](=O)[O-] ZINC000066389952 346757898 /nfs/dbraw/zinc/75/78/98/346757898.db2.gz WEABCRMATYKQOL-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN Cc1c(OCCOCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000066409832 346758924 /nfs/dbraw/zinc/75/89/24/346758924.db2.gz SUAXTQCFJPEMOC-UHFFFAOYSA-N 0 0 279.214 2.861 20 5 CFBDRN CC(C)Oc1ccc(CNc2ccncc2[N+](=O)[O-])cn1 ZINC000066809257 346780812 /nfs/dbraw/zinc/78/08/12/346780812.db2.gz CQMNCQNMGRZDSA-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCCc1nc2ccccc2[nH]1 ZINC000066797233 346779621 /nfs/dbraw/zinc/77/96/21/346779621.db2.gz FYEVHOXUGYAWLY-UHFFFAOYSA-N 0 0 297.318 2.911 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2CCC2(C)C)c1F ZINC000289945156 232575689 /nfs/dbraw/zinc/57/56/89/232575689.db2.gz AXLOGYOJZUFGMX-NSHDSACASA-N 0 0 280.299 2.961 20 5 CFBDRN C[C@@H](Nc1ccncc1[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC000066797530 346780077 /nfs/dbraw/zinc/78/00/77/346780077.db2.gz MPVNGNBFLFZJPA-SECBINFHSA-N 0 0 287.275 2.892 20 5 CFBDRN CSCCCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000291617231 232586205 /nfs/dbraw/zinc/58/62/05/232586205.db2.gz KFAXJFAVHFTMOV-UHFFFAOYSA-N 0 0 278.333 2.663 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C(C)C ZINC000067022554 346789232 /nfs/dbraw/zinc/78/92/32/346789232.db2.gz IYIBZEBTTIBMCJ-JTQLQIEISA-N 0 0 250.298 2.678 20 5 CFBDRN Cc1ccc(O)c(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000067071644 346790911 /nfs/dbraw/zinc/79/09/11/346790911.db2.gz KETQDGJQAOBYNW-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000291634835 232588682 /nfs/dbraw/zinc/58/86/82/232588682.db2.gz ASJZERVZRTYEER-UHFFFAOYSA-N 0 0 287.222 2.960 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)c1ccc(Cl)cn1 ZINC000076346450 347135799 /nfs/dbraw/zinc/13/57/99/347135799.db2.gz OJQQCUBSFTYLBT-UHFFFAOYSA-N 0 0 281.655 2.513 20 5 CFBDRN CCO[C@H]1CCCN(Cc2cc([N+](=O)[O-])ccc2OC)C1 ZINC000076403311 347136693 /nfs/dbraw/zinc/13/66/93/347136693.db2.gz ODDOYGQKRZXEBW-AWEZNQCLSA-N 0 0 294.351 2.604 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000076514881 347142761 /nfs/dbraw/zinc/14/27/61/347142761.db2.gz MKBXKGUSMPMARH-CHWSQXEVSA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1nn(C)c(N2CCc3sccc3[C@@H]2C)c1[N+](=O)[O-] ZINC000076610968 347145844 /nfs/dbraw/zinc/14/58/44/347145844.db2.gz WEYMZXJPKCAINT-VIFPVBQESA-N 0 0 292.364 2.822 20 5 CFBDRN CSC[C@@H]1CCCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000292216886 136676236 /nfs/dbraw/zinc/67/62/36/136676236.db2.gz HTPKGASGHUBVFC-SNVBAGLBSA-N 0 0 267.354 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H](O)c3ccco3)ccc2c1 ZINC000076685307 347148338 /nfs/dbraw/zinc/14/83/38/347148338.db2.gz WLTXOSKJQQFUOY-ZDUSSCGKSA-N 0 0 299.286 2.882 20 5 CFBDRN Nc1nc(N(CC2CC2)C2CCCCC2)ncc1[N+](=O)[O-] ZINC000076270162 347132280 /nfs/dbraw/zinc/13/22/80/347132280.db2.gz QSWFDSOFCQMVJA-UHFFFAOYSA-N 0 0 291.355 2.516 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000076291149 347133152 /nfs/dbraw/zinc/13/31/52/347133152.db2.gz MRLUHDMCVPJMEO-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCC1CCN(C(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000077462314 347194065 /nfs/dbraw/zinc/19/40/65/347194065.db2.gz AWUHYNFWDHCNPL-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CCCSCC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000077580880 347201574 /nfs/dbraw/zinc/20/15/74/347201574.db2.gz LLXKZMZOOZZTLQ-UHFFFAOYSA-N 0 0 268.338 2.701 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cc1F)CC1(C)OCCO1 ZINC000077210164 347173236 /nfs/dbraw/zinc/17/32/36/347173236.db2.gz MPCASUJKSVUEQG-JTQLQIEISA-N 0 0 298.314 2.935 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CCCO)c2ccccc2)cn1 ZINC000077238054 347179805 /nfs/dbraw/zinc/17/98/05/347179805.db2.gz UALBGTLSKRTKJF-CQSZACIVSA-N 0 0 287.319 2.916 20 5 CFBDRN O=[N+]([O-])c1cnccc1Oc1cccc(-n2cccn2)c1 ZINC000077982681 347222585 /nfs/dbraw/zinc/22/25/85/347222585.db2.gz JYMHNALDGFTBKG-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1nccc(C)c1[N+](=O)[O-] ZINC000077991243 347223289 /nfs/dbraw/zinc/22/32/89/347223289.db2.gz NYOJLALSORYOSA-SECBINFHSA-N 0 0 290.323 2.946 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000078026738 347225937 /nfs/dbraw/zinc/22/59/37/347225937.db2.gz AFQUMZVERYTGLL-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN COC(=O)C[C@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000078262187 347235842 /nfs/dbraw/zinc/23/58/42/347235842.db2.gz PCMAKWVEKOQYNT-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN CC(C)(CO)CCCNc1ccccc1[N+](=O)[O-] ZINC000078303498 347239559 /nfs/dbraw/zinc/23/95/59/347239559.db2.gz ASZXYGWZAUBKQK-UHFFFAOYSA-N 0 0 252.314 2.805 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCOCC(F)(F)F ZINC000078331581 347242093 /nfs/dbraw/zinc/24/20/93/347242093.db2.gz PYBFRTLNXVYMSS-UHFFFAOYSA-N 0 0 278.230 2.976 20 5 CFBDRN Cc1nn(C)c(N2CCCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000078373523 347247446 /nfs/dbraw/zinc/24/74/46/347247446.db2.gz VCEOURGJADEQAZ-UHFFFAOYSA-N 0 0 266.345 2.653 20 5 CFBDRN C[C@@](O)(CNc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000078375883 347247627 /nfs/dbraw/zinc/24/76/27/347247627.db2.gz FKWSYNGLQBZHPR-CYBMUJFWSA-N 0 0 262.265 2.507 20 5 CFBDRN CCCCn1nnnc1CSc1ccc([N+](=O)[O-])cc1 ZINC000079051791 347287021 /nfs/dbraw/zinc/28/70/21/347287021.db2.gz VFEHFDQCKULATI-UHFFFAOYSA-N 0 0 293.352 2.674 20 5 CFBDRN CCC[C@@H]1C[C@@H]1C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000079322679 347298491 /nfs/dbraw/zinc/29/84/91/347298491.db2.gz RVGOUFXQAOPZQB-NEPJUHHUSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1ccc(O[C@@H](C)CNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000078469969 347255357 /nfs/dbraw/zinc/25/53/57/347255357.db2.gz PXGHYWOUBPHOQC-NSHDSACASA-N 0 0 290.323 2.516 20 5 CFBDRN C[C@H]1CN(c2ccccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000078480414 347256132 /nfs/dbraw/zinc/25/61/32/347256132.db2.gz PPQTXQCXVHWISW-UWVGGRQHSA-N 0 0 252.339 2.925 20 5 CFBDRN C[C@@H]1CN(c2nc3sccn3c2[N+](=O)[O-])C[C@H](C)S1 ZINC000078480275 347256347 /nfs/dbraw/zinc/25/63/47/347256347.db2.gz QAWKWPXLJPGFGO-OCAPTIKFSA-N 0 0 298.393 2.634 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H](C)S1 ZINC000078495608 347257369 /nfs/dbraw/zinc/25/73/69/347257369.db2.gz WOULPOXEMIODBI-DTORHVGOSA-N 0 0 297.336 2.833 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCS[C@@H](C(C)C)C1 ZINC000078577890 347262372 /nfs/dbraw/zinc/26/23/72/347262372.db2.gz LKDMZIKNYLBOEM-GFCCVEGCSA-N 0 0 281.381 2.876 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCO[C@H](c2ccco2)C1 ZINC000133376762 136713736 /nfs/dbraw/zinc/71/37/36/136713736.db2.gz JKQBDCBGFKVZAO-AWEZNQCLSA-N 0 0 292.266 2.905 20 5 CFBDRN COc1cc(CNc2ncc(C)cc2[N+](=O)[O-])ccc1O ZINC000078629824 347266064 /nfs/dbraw/zinc/26/60/64/347266064.db2.gz WMVGBZVSLAVOMF-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN Cc1cnc(NCCCOCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000078629597 347266212 /nfs/dbraw/zinc/26/62/12/347266212.db2.gz RWQQSHSVZGNESS-UHFFFAOYSA-N 0 0 293.245 2.679 20 5 CFBDRN Cc1cnc(N2CCO[C@@H](c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000078628997 347266573 /nfs/dbraw/zinc/26/65/73/347266573.db2.gz QSWDPPMCSPWOKA-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1cnc(Nc2cnn(C(C)(C)C)c2)c([N+](=O)[O-])c1 ZINC000078655531 347267476 /nfs/dbraw/zinc/26/74/76/347267476.db2.gz FJVAAVMQSVGWMD-UHFFFAOYSA-N 0 0 275.312 2.993 20 5 CFBDRN CCN(Cc1ccoc1)c1ncc(C)cc1[N+](=O)[O-] ZINC000078657744 347268398 /nfs/dbraw/zinc/26/83/98/347268398.db2.gz UFBGNJXHFSAJRQ-UHFFFAOYSA-N 0 0 261.281 2.918 20 5 CFBDRN CCOC[C@H]1CCCN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000078663501 347269037 /nfs/dbraw/zinc/26/90/37/347269037.db2.gz NUMDFJHLPVECMH-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1CCCC[C@@H]1O ZINC000086016005 179215918 /nfs/dbraw/zinc/21/59/18/179215918.db2.gz BKQJZEYOMWUXAJ-MFKMUULPSA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1cc(N2CCCSCC2)ccc1[N+](=O)[O-] ZINC000079932966 347332045 /nfs/dbraw/zinc/33/20/45/347332045.db2.gz PCWLXIWACJSOGK-UHFFFAOYSA-N 0 0 252.339 2.847 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(CCCO)c2ccccc2)c1 ZINC000079918733 347332111 /nfs/dbraw/zinc/33/21/11/347332111.db2.gz SFWNUPUYJYETDC-UHFFFAOYSA-N 0 0 286.331 2.984 20 5 CFBDRN CC1CC(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)C1 ZINC000080040484 347338703 /nfs/dbraw/zinc/33/87/03/347338703.db2.gz QVGRUWCATNXQHO-UHFFFAOYSA-N 0 0 289.335 2.697 20 5 CFBDRN CC1CC(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)C1 ZINC000080040082 347338963 /nfs/dbraw/zinc/33/89/63/347338963.db2.gz UWRMCZBIVXELAJ-UHFFFAOYSA-N 0 0 291.351 2.702 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCC[C@H]3CCCO3)c2c1 ZINC000080109276 347343954 /nfs/dbraw/zinc/34/39/54/347343954.db2.gz DNWUNSMNOLKVSB-LLVKDONJSA-N 0 0 288.307 2.519 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000080189250 347348458 /nfs/dbraw/zinc/34/84/58/347348458.db2.gz XGCMAJPDNCUYIB-GFCCVEGCSA-N 0 0 293.367 2.536 20 5 CFBDRN CCOC(=O)c1ccc(CNc2ccc([N+](=O)[O-])cc2)o1 ZINC000080231648 347350159 /nfs/dbraw/zinc/35/01/59/347350159.db2.gz MZEKEDSOTLBKAP-UHFFFAOYSA-N 0 0 290.275 2.977 20 5 CFBDRN CCn1ccnc1CNC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000080347184 347355432 /nfs/dbraw/zinc/35/54/32/347355432.db2.gz RSGUHOJAXKAHDU-UHFFFAOYSA-N 0 0 288.351 2.836 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCSCC1 ZINC000079625497 347317283 /nfs/dbraw/zinc/31/72/83/347317283.db2.gz LHWDIWUPDFQBRI-UHFFFAOYSA-N 0 0 295.364 2.874 20 5 CFBDRN CC(C)Cn1cc(NC(=O)c2cccc([N+](=O)[O-])c2)cn1 ZINC000081280969 347410915 /nfs/dbraw/zinc/41/09/15/347410915.db2.gz JLIMYYSWUKOYTI-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN CC(C)Cn1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000081279853 347411157 /nfs/dbraw/zinc/41/11/57/347411157.db2.gz UINYXIVGGRKFHG-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1ccco1 ZINC000080511397 347363809 /nfs/dbraw/zinc/36/38/09/347363809.db2.gz ODCLGJRYUFISAO-UHFFFAOYSA-N 0 0 262.265 2.946 20 5 CFBDRN Cc1ccc(NCCNc2ccccn2)c([N+](=O)[O-])c1 ZINC000080535974 347364788 /nfs/dbraw/zinc/36/47/88/347364788.db2.gz ZLTVYJNFCUVSMB-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN Cc1ccc(NCCNC(=O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000080544129 347365189 /nfs/dbraw/zinc/36/51/89/347365189.db2.gz MSJQXPYKMTYDNA-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN Cc1cc(N2CCO[C@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000080542205 347365210 /nfs/dbraw/zinc/36/52/10/347365210.db2.gz DXVVOKSLCKIMNY-SECBINFHSA-N 0 0 270.716 2.782 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1c2ccccc2C[C@H]1O ZINC000080571296 347365995 /nfs/dbraw/zinc/36/59/95/347365995.db2.gz KRSSMZBOQBTZBA-HUUCEWRRSA-N 0 0 288.278 2.804 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1c2ccccc2C[C@@H]1O ZINC000080584117 347368099 /nfs/dbraw/zinc/36/80/99/347368099.db2.gz JPIZKZKQXNARBF-JKSUJKDBSA-N 0 0 284.315 2.973 20 5 CFBDRN Cc1cc(Oc2cccc([N+](=O)[O-])c2)ncc1[N+](=O)[O-] ZINC000080584576 347368123 /nfs/dbraw/zinc/36/81/23/347368123.db2.gz WBSNOOLKJYRENM-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN Cc1cc(N[C@@H](C)C[C@H]2CCCO2)ncc1[N+](=O)[O-] ZINC000080600505 347368765 /nfs/dbraw/zinc/36/87/65/347368765.db2.gz GOPQWDNWXQMTBG-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN COC(=O)N1CCC[C@@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000080610900 347369585 /nfs/dbraw/zinc/36/95/85/347369585.db2.gz AXLQEAMVFYNGQD-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc(NC[C@@H](O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000080638645 347371798 /nfs/dbraw/zinc/37/17/98/347371798.db2.gz VYRJAYMWFLNLLT-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CCSC1 ZINC000080652080 347372365 /nfs/dbraw/zinc/37/23/65/347372365.db2.gz NEHRUFQYDMQLKQ-JTQLQIEISA-N 0 0 268.338 2.659 20 5 CFBDRN Cc1ccc(NCCN(C)CC(F)(F)F)c([N+](=O)[O-])c1 ZINC000080659198 347372730 /nfs/dbraw/zinc/37/27/30/347372730.db2.gz PMIIVVOIRQVYGQ-UHFFFAOYSA-N 0 0 291.273 2.809 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCC1CC1 ZINC000080652054 347372732 /nfs/dbraw/zinc/37/27/32/347372732.db2.gz AYVMXJMMBLBIHA-UHFFFAOYSA-N 0 0 250.298 2.953 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCc2c1cccc2O ZINC000080758026 347378632 /nfs/dbraw/zinc/37/86/32/347378632.db2.gz LEOGKFZCNMWCIX-LLVKDONJSA-N 0 0 271.276 2.795 20 5 CFBDRN CC(C)CS(=O)(=O)Oc1cc([N+](=O)[O-])ccc1Cl ZINC000080768534 347380085 /nfs/dbraw/zinc/38/00/85/347380085.db2.gz XBEQWDCCSKUBNX-UHFFFAOYSA-N 0 0 293.728 2.613 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@@H](C)S1 ZINC000080961337 347389773 /nfs/dbraw/zinc/38/97/73/347389773.db2.gz AONAFAPSZCLMKH-HTQZYQBOSA-N 0 0 286.378 2.622 20 5 CFBDRN CC1(C)CN(c2nc3sccn3c2[N+](=O)[O-])CCS1 ZINC000081032556 347398907 /nfs/dbraw/zinc/39/89/07/347398907.db2.gz GIRLCLUXYHOPHW-UHFFFAOYSA-N 0 0 298.393 2.636 20 5 CFBDRN Cc1noc(C)c1N(C)Cc1cccc([N+](=O)[O-])c1 ZINC000081602024 347428766 /nfs/dbraw/zinc/42/87/66/347428766.db2.gz CCGJPDKNRUAXRV-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN CC(=O)[C@@H](C)SCC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000083124086 347448724 /nfs/dbraw/zinc/44/87/24/347448724.db2.gz WWAPTZRKOMVPMT-SNVBAGLBSA-N 0 0 296.348 2.552 20 5 CFBDRN COC[C@H](Nc1ncnc2ccc([N+](=O)[O-])cc21)C(C)C ZINC000086702544 347488183 /nfs/dbraw/zinc/48/81/83/347488183.db2.gz KWWBTCMAPHMAMK-ZDUSSCGKSA-N 0 0 290.323 2.621 20 5 CFBDRN CC1CCC(O)(CNc2ccc([N+](=O)[O-])cc2)CC1 ZINC000087066459 347491723 /nfs/dbraw/zinc/49/17/23/347491723.db2.gz SZZPRURTDQBMOV-UHFFFAOYSA-N 0 0 264.325 2.948 20 5 CFBDRN COc1cc(NCC2(O)CCC(C)CC2)ccc1[N+](=O)[O-] ZINC000087066776 347491763 /nfs/dbraw/zinc/49/17/63/347491763.db2.gz PEQHLLITPGBGHM-UHFFFAOYSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1cncc(NC(=O)CCc2cccc([N+](=O)[O-])c2)c1 ZINC000087373578 347495457 /nfs/dbraw/zinc/49/54/57/347495457.db2.gz VGTOWIYTEMKETE-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(=O)NCc2ccccc2)c1 ZINC000084726358 347470057 /nfs/dbraw/zinc/47/00/57/347470057.db2.gz PTKKPSPRRNEKSF-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN O=C(CC1CC1)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776308 347474637 /nfs/dbraw/zinc/47/46/37/347474637.db2.gz IKSDUVDWIGPIHM-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2nnc3ccccn32)c1 ZINC000088698935 347507096 /nfs/dbraw/zinc/50/70/96/347507096.db2.gz JQNQEQVGESMRCN-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(n3ccnc3)CC2)c1 ZINC000088716707 347508125 /nfs/dbraw/zinc/50/81/25/347508125.db2.gz NERYYTIJAFQWCC-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN CCc1noc(CC)c1CNc1ccc([N+](=O)[O-])cn1 ZINC000088741918 347509414 /nfs/dbraw/zinc/50/94/14/347509414.db2.gz IXTVWHSDEKAPBK-UHFFFAOYSA-N 0 0 276.296 2.715 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCOCC2CC2)c1 ZINC000088759039 347509974 /nfs/dbraw/zinc/50/99/74/347509974.db2.gz IGPPWJYPGPTNRU-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC2CCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000089314990 347515408 /nfs/dbraw/zinc/51/54/08/347515408.db2.gz BWOMKIBKVZLLPR-UHFFFAOYSA-N 0 0 294.355 2.573 20 5 CFBDRN O=C(NCCc1ccc[nH]1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000089345251 347515966 /nfs/dbraw/zinc/51/59/66/347515966.db2.gz LLHASJSIHFVNFX-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN CCOC(=O)CC[C@H](C)Nc1ccc([N+](=O)[O-])cc1F ZINC000089657032 347525078 /nfs/dbraw/zinc/52/50/78/347525078.db2.gz ZJAIQKKACDCBFN-VIFPVBQESA-N 0 0 284.287 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCCNc2ncccn2)cc1 ZINC000091483120 347589916 /nfs/dbraw/zinc/58/99/16/347589916.db2.gz CHZVMFRGNKWSJJ-UHFFFAOYSA-N 0 0 290.348 2.979 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)CNc1ccc([N+](=O)[O-])cc1 ZINC000091484949 347590742 /nfs/dbraw/zinc/59/07/42/347590742.db2.gz VYCGMXPHOZVAOY-JTQLQIEISA-N 0 0 266.297 2.594 20 5 CFBDRN CCc1nn(C)c(Sc2ccccc2O)c1[N+](=O)[O-] ZINC000091560514 347592682 /nfs/dbraw/zinc/59/26/82/347592682.db2.gz KBAFCCHZCODXOU-UHFFFAOYSA-N 0 0 279.321 2.748 20 5 CFBDRN CN(C[C@H](O)C(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl ZINC000091629497 347594181 /nfs/dbraw/zinc/59/41/81/347594181.db2.gz QTUKNUSJBGRWJH-VIFPVBQESA-N 0 0 298.648 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3cc(F)ccc3C2)nc1 ZINC000091673911 347594908 /nfs/dbraw/zinc/59/49/08/347594908.db2.gz CVHNERSCVCXDSG-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@@](C)(CC)C2)c1[N+](=O)[O-] ZINC000091728149 347596444 /nfs/dbraw/zinc/59/64/44/347596444.db2.gz CIDLHYBZLDIICH-CQSZACIVSA-N 0 0 280.372 2.907 20 5 CFBDRN CC(C)(CNC(=O)c1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000091837715 347601259 /nfs/dbraw/zinc/60/12/59/347601259.db2.gz LEONRHVNHRHHGY-UHFFFAOYSA-N 0 0 299.330 2.697 20 5 CFBDRN COC(=O)c1cccc(Oc2ncc([N+](=O)[O-])cc2C)c1 ZINC000092582342 347633172 /nfs/dbraw/zinc/63/31/72/347633172.db2.gz UOHDSAHIUSEMJQ-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN CCOC(=O)C[C@H](C)Sc1ncc([N+](=O)[O-])cc1C ZINC000092654988 347637327 /nfs/dbraw/zinc/63/73/27/347637327.db2.gz DNMITJNTTWJENQ-VIFPVBQESA-N 0 0 284.337 2.732 20 5 CFBDRN CCOC(=O)[C@@H](CC)Sc1ncc([N+](=O)[O-])cc1C ZINC000092663913 347638517 /nfs/dbraw/zinc/63/85/17/347638517.db2.gz QYEVGHXDUIXDJX-SNVBAGLBSA-N 0 0 284.337 2.732 20 5 CFBDRN Cc1cc(N(C)C2CCC(CO)CC2)ccc1[N+](=O)[O-] ZINC000092680975 347640689 /nfs/dbraw/zinc/64/06/89/347640689.db2.gz INYRAEAWXVEAEF-UHFFFAOYSA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1SC1CCOCC1 ZINC000092688844 347641486 /nfs/dbraw/zinc/64/14/86/347641486.db2.gz AXVBXTJJJPVUIG-UHFFFAOYSA-N 0 0 254.311 2.569 20 5 CFBDRN CCOc1cc(NCc2ncoc2C)ccc1[N+](=O)[O-] ZINC000092689768 347641621 /nfs/dbraw/zinc/64/16/21/347641621.db2.gz GWEFAWGQKQTWIT-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN C[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])C(C1CC1)C1CC1 ZINC000092703948 347641945 /nfs/dbraw/zinc/64/19/45/347641945.db2.gz OBERTCVFIZWBPZ-UHFFFAOYSA-N 0 0 276.336 2.921 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(F)c(C)c2)c1[N+](=O)[O-] ZINC000092827673 347648277 /nfs/dbraw/zinc/64/82/77/347648277.db2.gz DWCNRAFBLQWCIO-UHFFFAOYSA-N 0 0 292.314 2.950 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@H](CC)C2)c1[N+](=O)[O-] ZINC000092828516 347648316 /nfs/dbraw/zinc/64/83/16/347648316.db2.gz WUPOEFBXKJMLKF-JTQLQIEISA-N 0 0 266.345 2.517 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1cccc(F)c1Cl ZINC000092851168 347648391 /nfs/dbraw/zinc/64/83/91/347648391.db2.gz VIMAFIRRNLVNIU-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000092829346 347648528 /nfs/dbraw/zinc/64/85/28/347648528.db2.gz GIRUZMHUASVGGB-SNVBAGLBSA-N 0 0 266.345 2.517 20 5 CFBDRN Cc1cccnc1CN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000093189032 347663596 /nfs/dbraw/zinc/66/35/96/347663596.db2.gz AEQHIZUVRJKAAL-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCCCO2)c1 ZINC000094659571 347696299 /nfs/dbraw/zinc/69/62/99/347696299.db2.gz CNFVTBAWPYQXSG-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000102285890 347717146 /nfs/dbraw/zinc/71/71/46/347717146.db2.gz MQXRZIZVBNYNFT-UHFFFAOYSA-N 0 0 274.276 2.850 20 5 CFBDRN CC(C)CCc1nc(Cn2nccc2[N+](=O)[O-])cs1 ZINC000103260322 185822478 /nfs/dbraw/zinc/82/24/78/185822478.db2.gz AQNLVNIBNUGLDH-UHFFFAOYSA-N 0 0 280.353 2.885 20 5 CFBDRN Cc1ccc(C(=O)NCCCc2ccco2)cc1[N+](=O)[O-] ZINC000113935108 347769079 /nfs/dbraw/zinc/76/90/79/347769079.db2.gz QTMSYZRPSTUDGF-UHFFFAOYSA-N 0 0 288.303 2.859 20 5 CFBDRN Cc1ccnc(N2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c1 ZINC000115294449 347777951 /nfs/dbraw/zinc/77/79/51/347777951.db2.gz VPRLTEIGFHQBFU-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN O=C(Nc1cnc(C2CC2)nc1)c1cccc([N+](=O)[O-])c1 ZINC000103019154 347721317 /nfs/dbraw/zinc/72/13/17/347721317.db2.gz DJYNWYBXKUNWGQ-UHFFFAOYSA-N 0 0 284.275 2.515 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC000110595925 347748731 /nfs/dbraw/zinc/74/87/31/347748731.db2.gz XJGOJRIGAZLUNS-GFCCVEGCSA-N 0 0 286.335 2.779 20 5 CFBDRN CCC1CN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000335109867 282558516 /nfs/dbraw/zinc/55/85/16/282558516.db2.gz JWGRTRXLOARQJS-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN C[C@@H](NC(=O)NC1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000119467192 347802403 /nfs/dbraw/zinc/80/24/03/347802403.db2.gz KUXNJEHVIOCFRW-SNVBAGLBSA-N 0 0 275.308 2.674 20 5 CFBDRN CC(C)N(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000120064117 347805314 /nfs/dbraw/zinc/80/53/14/347805314.db2.gz KMEQBLASSPIZCZ-UHFFFAOYSA-N 0 0 280.202 2.601 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000122158741 347814543 /nfs/dbraw/zinc/81/45/43/347814543.db2.gz NVZVYWYTMSIQOB-CQSZACIVSA-N 0 0 292.335 2.544 20 5 CFBDRN CSCCCCNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000126043941 347831700 /nfs/dbraw/zinc/83/17/00/347831700.db2.gz PTUMHXADPRIXBV-UHFFFAOYSA-N 0 0 288.394 2.838 20 5 CFBDRN Cn1c2ccc(NCc3cccc([N+](=O)[O-])c3)cc2oc1=O ZINC000127827527 347844878 /nfs/dbraw/zinc/84/48/78/347844878.db2.gz FTPDVVWOUPZHQF-UHFFFAOYSA-N 0 0 299.286 2.652 20 5 CFBDRN C[C@@H](NC(=O)CSc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000129942569 347866084 /nfs/dbraw/zinc/86/60/84/347866084.db2.gz QOBCKHJUVPRLEP-SNVBAGLBSA-N 0 0 294.376 2.992 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000130275697 347869372 /nfs/dbraw/zinc/86/93/72/347869372.db2.gz MIFSGZHBWYLACY-ZETCQYMHSA-N 0 0 268.338 2.883 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000130930919 347875375 /nfs/dbraw/zinc/87/53/75/347875375.db2.gz AJAXSROTLXSCRV-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CCC[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000131408013 347878252 /nfs/dbraw/zinc/87/82/52/347878252.db2.gz CHZDILVQAHTHRG-NSHDSACASA-N 0 0 282.315 2.735 20 5 CFBDRN C[C@@H](CO)CNc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000086631125 179314540 /nfs/dbraw/zinc/31/45/40/179314540.db2.gz LPVMBRAEYTXHNO-SSDOTTSWSA-N 0 0 278.230 2.654 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1C[C@@H]1c1ccccc1 ZINC000134403169 347898245 /nfs/dbraw/zinc/89/82/45/347898245.db2.gz RIAHKEAYLUVIFB-DGCLKSJQSA-N 0 0 255.277 2.958 20 5 CFBDRN COc1cccc(NCc2cccc(C)n2)c1[N+](=O)[O-] ZINC000134916260 347902268 /nfs/dbraw/zinc/90/22/68/347902268.db2.gz OEOJSJRXVHUKQG-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000132027621 347882106 /nfs/dbraw/zinc/88/21/06/347882106.db2.gz NJYKPDWIRHLIKT-MRVPVSSYSA-N 0 0 266.272 2.652 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cnc2ccccc2c1 ZINC000142740283 347935402 /nfs/dbraw/zinc/93/54/02/347935402.db2.gz TUWKGWOELSGDOE-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN CC(C)(C)C[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000143388468 347936698 /nfs/dbraw/zinc/93/66/98/347936698.db2.gz IVEIXWBXSGFBEL-JTQLQIEISA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000135237146 347905780 /nfs/dbraw/zinc/90/57/80/347905780.db2.gz AFEUBDKANAAVHH-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H](CO)C1CCCC1 ZINC000290788567 197817629 /nfs/dbraw/zinc/81/76/29/197817629.db2.gz NVVCBHJYLAXREM-SNVBAGLBSA-N 0 0 285.731 2.606 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(F)c1F ZINC000138091804 347914609 /nfs/dbraw/zinc/91/46/09/347914609.db2.gz ILUVGVMLJJWEHG-UHFFFAOYSA-N 0 0 299.208 2.603 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)cc1F ZINC000138092939 347914709 /nfs/dbraw/zinc/91/47/09/347914709.db2.gz HABGDPCMBDKLSA-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN COc1ccc(CN(C)c2ncccc2[N+](=O)[O-])cc1F ZINC000138954806 347917920 /nfs/dbraw/zinc/91/79/20/347917920.db2.gz BPJLYWICKKPMBI-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCCC(C)(C)C1 ZINC000150189871 347955768 /nfs/dbraw/zinc/95/57/68/347955768.db2.gz MLVHCMFLIVSXTD-SECBINFHSA-N 0 0 252.318 2.709 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSc2ccc(F)cc2)c1 ZINC000153620181 347973283 /nfs/dbraw/zinc/97/32/83/347973283.db2.gz RLGPKRCYYVXBPB-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H](O)C1CCCCC1 ZINC000153690033 347974709 /nfs/dbraw/zinc/97/47/09/347974709.db2.gz LHJFJYJALFFCOP-GFCCVEGCSA-N 0 0 299.758 2.996 20 5 CFBDRN CCc1nc(SCc2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000146939723 347946370 /nfs/dbraw/zinc/94/63/70/347946370.db2.gz NTSWZWJBTWQZPG-UHFFFAOYSA-N 0 0 264.310 2.568 20 5 CFBDRN COc1ccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)cc1 ZINC000158455597 348007185 /nfs/dbraw/zinc/00/71/85/348007185.db2.gz YCWGKWBYZXFVKB-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN COc1ccc(CNc2cccnc2[N+](=O)[O-])cc1OC ZINC000159280675 348011113 /nfs/dbraw/zinc/01/11/13/348011113.db2.gz DIRHCOGPFHUYFW-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1Cc2ccccc21 ZINC000159482895 348012491 /nfs/dbraw/zinc/01/24/91/348012491.db2.gz YMDBVNRPAOXPOJ-LLVKDONJSA-N 0 0 255.277 2.742 20 5 CFBDRN Cc1ncccc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000170987630 348066490 /nfs/dbraw/zinc/06/64/90/348066490.db2.gz DQBGHDKRVIMJFE-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCC[C@H]1CCCO1 ZINC000162734468 348032114 /nfs/dbraw/zinc/03/21/14/348032114.db2.gz CWQLMDFDBDBAFY-GFCCVEGCSA-N 0 0 293.323 2.594 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163842321 348034853 /nfs/dbraw/zinc/03/48/53/348034853.db2.gz ANVTWZMZSRQOCN-YGRLFVJLSA-N 0 0 274.320 2.742 20 5 CFBDRN CS[C@H](C)CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000167234667 348045269 /nfs/dbraw/zinc/04/52/69/348045269.db2.gz CCKVGFMHKNBQLM-MRVPVSSYSA-N 0 0 278.337 2.701 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@H]1C ZINC000172645993 348101137 /nfs/dbraw/zinc/10/11/37/348101137.db2.gz WABOGMKZWFUBSP-BDAKNGLRSA-N 0 0 268.338 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCSCC2)c2cccnc21 ZINC000196204897 348147846 /nfs/dbraw/zinc/14/78/46/348147846.db2.gz DSIDYGIEKZFYNQ-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN C[C@H](CC[S@@](C)=O)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000128742337 187387464 /nfs/dbraw/zinc/38/74/64/187387464.db2.gz PFNZMZRSRVSCLN-CVJBHZAOSA-N 0 0 290.772 2.817 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(CO)CCCCC2)c(Cl)c1 ZINC000227354413 348186139 /nfs/dbraw/zinc/18/61/39/348186139.db2.gz CDUICRZJAVVNHI-UHFFFAOYSA-N 0 0 299.758 2.998 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC1CCC(O)CC1 ZINC000227707617 348190052 /nfs/dbraw/zinc/19/00/52/348190052.db2.gz UHFKORWGQHHDBP-UHFFFAOYSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)c1ncc[nH]1 ZINC000227831134 348191072 /nfs/dbraw/zinc/19/10/72/348191072.db2.gz VWYQCDNXONFSDC-ZCFIWIBFSA-N 0 0 268.223 2.769 20 5 CFBDRN Cc1cc(NCC(C)(C)CO)c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000228486377 348195353 /nfs/dbraw/zinc/19/53/53/348195353.db2.gz NSNOKJWOLDDFMG-UHFFFAOYSA-N 0 0 289.335 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCCCO1 ZINC000086963751 179369574 /nfs/dbraw/zinc/36/95/74/179369574.db2.gz RUGALFPIOUUPFB-LBPRGKRZSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1ccc(NC(=O)C[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000086963733 179369640 /nfs/dbraw/zinc/36/96/40/179369640.db2.gz OBCWVOKYYIROHH-GFCCVEGCSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC[C@H]1CCOC1 ZINC000230102474 348204575 /nfs/dbraw/zinc/20/45/75/348204575.db2.gz USPKUBYYXKSGIM-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC[C@H](CCO)C1 ZINC000236494486 348233853 /nfs/dbraw/zinc/23/38/53/348233853.db2.gz ONHIXMCLAHUGNZ-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN Cc1c(CNc2c(C)cccc2[N+](=O)[O-])cnn1C ZINC000128820452 187391988 /nfs/dbraw/zinc/39/19/88/187391988.db2.gz WYVWPYHVHDSEJF-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN C[C@@H](NC(=O)C=C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000087142552 179397505 /nfs/dbraw/zinc/39/75/05/179397505.db2.gz SULNSZKDDXWSFL-SNVBAGLBSA-N 0 0 260.293 2.882 20 5 CFBDRN Cc1cccc(CCNC(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000279301214 348339898 /nfs/dbraw/zinc/33/98/98/348339898.db2.gz DGFZTVQJZVUBPH-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC(C)(NC(=O)NCCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000293549617 348362929 /nfs/dbraw/zinc/36/29/29/348362929.db2.gz VEVGTPYWDQSXHN-UHFFFAOYSA-N 0 0 291.351 2.929 20 5 CFBDRN Cc1nc(N[C@H]2CCS[C@@H]2C)ccc1[N+](=O)[O-] ZINC000310450778 348409724 /nfs/dbraw/zinc/40/97/24/348409724.db2.gz BMQNLTWSQAMQLG-BDAKNGLRSA-N 0 0 253.327 2.604 20 5 CFBDRN COc1cc(N[C@H]2CCS[C@H]2C)ccc1[N+](=O)[O-] ZINC000311120625 348411517 /nfs/dbraw/zinc/41/15/17/348411517.db2.gz ZAYNBLBCGWXCDZ-WPRPVWTQSA-N 0 0 268.338 2.909 20 5 CFBDRN CCC[C@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000311137824 348411617 /nfs/dbraw/zinc/41/16/17/348411617.db2.gz KSAGCGQXLLBRQZ-QMMMGPOBSA-N 0 0 254.261 2.652 20 5 CFBDRN COc1cc(N[C@@H]2CCS[C@@H]2C)ccc1[N+](=O)[O-] ZINC000311120622 348411635 /nfs/dbraw/zinc/41/16/35/348411635.db2.gz ZAYNBLBCGWXCDZ-PSASIEDQSA-N 0 0 268.338 2.909 20 5 CFBDRN COCC(C)(C)CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000311214447 348412443 /nfs/dbraw/zinc/41/24/43/348412443.db2.gz MCVWLIAPPZPVGD-UHFFFAOYSA-N 0 0 273.720 2.728 20 5 CFBDRN C[C@H](C[S@@](C)=O)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000311677253 348413985 /nfs/dbraw/zinc/41/39/85/348413985.db2.gz MNDMPBOVMBJOCA-QOLSBQFWSA-N 0 0 292.307 2.711 20 5 CFBDRN CCc1ccc(CNc2ccc(N)c([N+](=O)[O-])n2)s1 ZINC000311773633 348414592 /nfs/dbraw/zinc/41/45/92/348414592.db2.gz GVGAFBNQVGXXOH-UHFFFAOYSA-N 0 0 278.337 2.808 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC1CCC(O)CC1 ZINC000311831547 348415609 /nfs/dbraw/zinc/41/56/09/348415609.db2.gz LRTMVDMFXVGPAM-UHFFFAOYSA-N 0 0 268.288 2.697 20 5 CFBDRN CC(C)n1cc(CNc2ccc(F)cc2[N+](=O)[O-])nn1 ZINC000312254128 348417159 /nfs/dbraw/zinc/41/71/59/348417159.db2.gz JSAJFWXWZGPPTA-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN COc1cc(CNc2c(Cl)cncc2[N+](=O)[O-])ccn1 ZINC000312341216 348417968 /nfs/dbraw/zinc/41/79/68/348417968.db2.gz GOJDNJRXAHNAFJ-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000315553449 348429539 /nfs/dbraw/zinc/42/95/39/348429539.db2.gz QMCURQBRLWVQSJ-SECBINFHSA-N 0 0 299.277 2.554 20 5 CFBDRN COC[C@@H](C)N(C)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413086908 233016469 /nfs/dbraw/zinc/01/64/69/233016469.db2.gz YPUYXMBBNXSEOB-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN CNc1c(C(=O)N[C@H](C(C)C)C2CC2)cccc1[N+](=O)[O-] ZINC000324517783 348439639 /nfs/dbraw/zinc/43/96/39/348439639.db2.gz PIBQVINBSUPYND-CYBMUJFWSA-N 0 0 291.351 2.801 20 5 CFBDRN COC1(c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)CC1 ZINC000351359612 348493944 /nfs/dbraw/zinc/49/39/44/348493944.db2.gz RKPPLHUBDAZFAP-UHFFFAOYSA-N 0 0 289.291 2.513 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(-c2cccs2)no1 ZINC000349859827 348468485 /nfs/dbraw/zinc/46/84/85/348468485.db2.gz MDIGEVARCHOXLU-UHFFFAOYSA-N 0 0 276.277 2.712 20 5 CFBDRN COC[C@H](C)Cc1noc(-c2cc([N+](=O)[O-])ccc2C)n1 ZINC000351458438 348496906 /nfs/dbraw/zinc/49/69/06/348496906.db2.gz IFPIAWQLFNVNLY-SECBINFHSA-N 0 0 291.307 2.778 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cc2F)CCCS1 ZINC000413197668 233033846 /nfs/dbraw/zinc/03/38/46/233033846.db2.gz SISDRPALXOZRFE-LLVKDONJSA-N 0 0 271.317 2.827 20 5 CFBDRN O=C(CCCc1cccnc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000596867357 349999803 /nfs/dbraw/zinc/99/98/03/349999803.db2.gz CCXWRHWGTQWDPL-UHFFFAOYSA-N 0 0 299.330 2.629 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1CCCC1(F)F ZINC000401093755 348577398 /nfs/dbraw/zinc/57/73/98/348577398.db2.gz IJYNKMMIIIZLOR-MRVPVSSYSA-N 0 0 261.203 2.729 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1ncc(F)cc1[N+](=O)[O-])OC ZINC000401212427 348577999 /nfs/dbraw/zinc/57/79/99/348577999.db2.gz QPXLLFTYWBRCON-GZMMTYOYSA-N 0 0 271.292 2.602 20 5 CFBDRN CCOc1cccc(N[C@@H]2CO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000402201761 348580679 /nfs/dbraw/zinc/58/06/79/348580679.db2.gz GZZFCOLILWKECY-UWVGGRQHSA-N 0 0 266.297 2.583 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403561949 348585929 /nfs/dbraw/zinc/58/59/29/348585929.db2.gz VLGYOMZIGUSWSG-UTUOFQBUSA-N 0 0 278.356 2.968 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1cc(C)ns1 ZINC000404288754 348590154 /nfs/dbraw/zinc/59/01/54/348590154.db2.gz SVAUYSHVCDBNEJ-UHFFFAOYSA-N 0 0 293.348 2.658 20 5 CFBDRN CCn1cc(CNc2ccc(C(F)F)cc2[N+](=O)[O-])nn1 ZINC000404447939 348592631 /nfs/dbraw/zinc/59/26/31/348592631.db2.gz GGBASWZEUSZBAO-UHFFFAOYSA-N 0 0 297.265 2.756 20 5 CFBDRN CNc1ccc(C(=O)N2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000405117907 348595964 /nfs/dbraw/zinc/59/59/64/348595964.db2.gz IENDVWRRXXHMOT-UHFFFAOYSA-N 0 0 299.277 2.508 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCCCO)c(C(F)(F)F)c1 ZINC000413264249 233043828 /nfs/dbraw/zinc/04/38/28/233043828.db2.gz JUSOEHGCXLOSJZ-UHFFFAOYSA-N 0 0 293.245 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C3CC3)C2)c2cccnc21 ZINC000413242869 233040817 /nfs/dbraw/zinc/04/08/17/233040817.db2.gz ZSJLXEOIMYPMMV-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H](CO)CC2CCCC2)c(F)c1 ZINC000413270743 233045201 /nfs/dbraw/zinc/04/52/01/233045201.db2.gz PEYIEURTYXQJMV-NSHDSACASA-N 0 0 297.330 2.730 20 5 CFBDRN CCn1cc([C@@H](C)Nc2ncc([N+](=O)[O-])cc2F)cn1 ZINC000413314888 233051485 /nfs/dbraw/zinc/05/14/85/233051485.db2.gz JCWMEKVEFNSUPI-MRVPVSSYSA-N 0 0 279.275 2.518 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])cc2F)[C@@H]2CCCO[C@H]21 ZINC000584766371 348713314 /nfs/dbraw/zinc/71/33/14/348713314.db2.gz ATWLQXOFCGZAAZ-MVWJERBFSA-N 0 0 295.314 2.744 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCC(C)(F)F ZINC000584853092 348723167 /nfs/dbraw/zinc/72/31/67/348723167.db2.gz WAQPDJLWPNUCTA-UHFFFAOYSA-N 0 0 288.250 2.757 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CC[C@@H](C)O2)n1 ZINC000413378627 233062941 /nfs/dbraw/zinc/06/29/41/233062941.db2.gz PZBQTOUAOQQEHA-GHMZBOCLSA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1cc(NCCC2CC(F)(F)C2)ncc1[N+](=O)[O-] ZINC000413346619 233057714 /nfs/dbraw/zinc/05/77/14/233057714.db2.gz WVEISBGDVPJNKD-UHFFFAOYSA-N 0 0 271.267 2.567 20 5 CFBDRN CCCCn1c(C)cn(-c2cccc([N+](=O)[O-])c2)c1=O ZINC000585707430 348739656 /nfs/dbraw/zinc/73/96/56/348739656.db2.gz ASEHJJBYEWHOSZ-UHFFFAOYSA-N 0 0 275.308 2.656 20 5 CFBDRN CC(C)[C@H]1CCCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588027244 348767378 /nfs/dbraw/zinc/76/73/78/348767378.db2.gz SFJWIEQLOXRPGV-NSHDSACASA-N 0 0 293.367 2.542 20 5 CFBDRN C[C@H]1CCC[C@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000588039764 348768082 /nfs/dbraw/zinc/76/80/82/348768082.db2.gz KJXSLBLAHLMHHI-UWVGGRQHSA-N 0 0 279.340 2.517 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@]2(C1)CCCOC2 ZINC000588051546 348768932 /nfs/dbraw/zinc/76/89/32/348768932.db2.gz CRTPDXAYRPGXPO-LBPRGKRZSA-N 0 0 268.338 2.663 20 5 CFBDRN Cc1cccc(CCCNc2cccnc2[N+](=O)[O-])n1 ZINC000588062714 348769369 /nfs/dbraw/zinc/76/93/69/348769369.db2.gz DKMCYJDFUFMYIF-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1ccc([N+](=O)[O-])s1 ZINC000588075372 348770339 /nfs/dbraw/zinc/77/03/39/348770339.db2.gz UJNFHAHENDCUPV-BDAKNGLRSA-N 0 0 256.327 2.741 20 5 CFBDRN C[C@@H]1CO[C@H](C(F)(F)F)CN1c1ccccc1[N+](=O)[O-] ZINC000588068588 348770416 /nfs/dbraw/zinc/77/04/16/348770416.db2.gz WFLHWEATTCCQKY-KCJUWKMLSA-N 0 0 290.241 2.751 20 5 CFBDRN C[C@H]1C[C@@H]([NH+]2CCCC2)CN1c1ccc([N+](=O)[O-])s1 ZINC000588071854 348770445 /nfs/dbraw/zinc/77/04/45/348770445.db2.gz HETCFGJUVNCRSX-WDEREUQCSA-N 0 0 281.381 2.719 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC1(F)F ZINC000588225786 348779705 /nfs/dbraw/zinc/77/97/05/348779705.db2.gz OEEAPXLRRJCIAT-SNVBAGLBSA-N 0 0 285.250 2.904 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000588683213 348791945 /nfs/dbraw/zinc/79/19/45/348791945.db2.gz NKPXUSRXEKXAJO-BXKDBHETSA-N 0 0 277.324 2.538 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H](C)c1ccncc1 ZINC000588822437 348796590 /nfs/dbraw/zinc/79/65/90/348796590.db2.gz QITGTODKCZWCLG-SNVBAGLBSA-N 0 0 289.339 2.730 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@]2(CNc3ccccc32)C1 ZINC000588832365 348797320 /nfs/dbraw/zinc/79/73/20/348797320.db2.gz BCWWGDWUYLJOSW-INIZCTEOSA-N 0 0 296.330 2.563 20 5 CFBDRN Cc1c(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)ccn1C ZINC000588833930 348797374 /nfs/dbraw/zinc/79/73/74/348797374.db2.gz JIZVENYDGXWTEP-UHFFFAOYSA-N 0 0 273.292 2.518 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2C[C@H](OC)C23CCC3)c1 ZINC000413438219 233072823 /nfs/dbraw/zinc/07/28/23/233072823.db2.gz IRQUONLUJDRWKG-KBPBESRZSA-N 0 0 292.335 2.973 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cn1)C(C)(C)c1ccccc1 ZINC000585810150 348745344 /nfs/dbraw/zinc/74/53/44/348745344.db2.gz OUOWZOUGEJZBRK-UHFFFAOYSA-N 0 0 299.330 2.997 20 5 CFBDRN CCC[C@]1(CO)CCN(c2sccc2[N+](=O)[O-])C1 ZINC000588862703 348799722 /nfs/dbraw/zinc/79/97/22/348799722.db2.gz CGBRZABHNOJHIT-LBPRGKRZSA-N 0 0 270.354 2.645 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@H]3C[C@H]32)cc([N+](=O)[O-])c1C ZINC000586890041 348759249 /nfs/dbraw/zinc/75/92/49/348759249.db2.gz JNYFLRLSBWRYKE-GXFFZTMASA-N 0 0 290.319 2.536 20 5 CFBDRN CCc1ccc(C(=O)N2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000586899232 348760663 /nfs/dbraw/zinc/76/06/63/348760663.db2.gz TVKNJACUUIYDLJ-WCQYABFASA-N 0 0 274.320 2.782 20 5 CFBDRN C[C@H](CNC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000588894477 348800176 /nfs/dbraw/zinc/80/01/76/348800176.db2.gz JXAZODWWIGWQCI-MRVPVSSYSA-N 0 0 298.289 2.578 20 5 CFBDRN CCO[C@@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000587483554 348762672 /nfs/dbraw/zinc/76/26/72/348762672.db2.gz FNWYITBIWBGAFU-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN CC(C)C1CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)CC1 ZINC000587986367 348763884 /nfs/dbraw/zinc/76/38/84/348763884.db2.gz ZEMZSJJGNSNKQI-UHFFFAOYSA-N 0 0 293.367 2.542 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCC[C@]2(C)CO)ccc1[N+](=O)[O-] ZINC000587985513 348763983 /nfs/dbraw/zinc/76/39/83/348763983.db2.gz MVFLVVPVNYUBIP-HUUCEWRRSA-N 0 0 292.335 2.760 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCO[C@@H](C(F)(F)F)C1 ZINC000587982718 348764074 /nfs/dbraw/zinc/76/40/74/348764074.db2.gz QGCINAYLUSFLIB-SECBINFHSA-N 0 0 294.204 2.501 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@H]1O ZINC000588012597 348765979 /nfs/dbraw/zinc/76/59/79/348765979.db2.gz ISHMIDVJNDGWIG-ZWNOBZJWSA-N 0 0 296.342 2.967 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c(C)s1 ZINC000588984643 348807310 /nfs/dbraw/zinc/80/73/10/348807310.db2.gz JVYLZVBBEIZLQW-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCCC(F)(F)[C@@H]1O ZINC000413567136 233096592 /nfs/dbraw/zinc/09/65/92/233096592.db2.gz BINVMKJMTAYYMW-GXSJLCMTSA-N 0 0 272.251 2.555 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCC[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000413477985 233080502 /nfs/dbraw/zinc/08/05/02/233080502.db2.gz HRIRTSBVKGWOBS-RYUDHWBXSA-N 0 0 278.356 2.875 20 5 CFBDRN COCC[C@@H](C)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000413488965 233082657 /nfs/dbraw/zinc/08/26/57/233082657.db2.gz GENFPEVLJARKGJ-LLVKDONJSA-N 0 0 289.335 2.649 20 5 CFBDRN COCC[C@H](C)CNc1cccc(C)c1[N+](=O)[O-] ZINC000413490541 233083005 /nfs/dbraw/zinc/08/30/05/233083005.db2.gz IUIUMXXMCYIWBG-JTQLQIEISA-N 0 0 252.314 2.988 20 5 CFBDRN COCC[C@@H](C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000413493785 233083467 /nfs/dbraw/zinc/08/34/67/233083467.db2.gz PAFVGFRSIMJNHI-LLVKDONJSA-N 0 0 289.335 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(CF)C2)c2ccncc21 ZINC000413500830 233084805 /nfs/dbraw/zinc/08/48/05/233084805.db2.gz KRASIFQOWDJTHD-UHFFFAOYSA-N 0 0 261.256 2.549 20 5 CFBDRN COCC1CCC(Nc2ccc([N+](=O)[O-])cn2)CC1 ZINC000413527456 233089045 /nfs/dbraw/zinc/08/90/45/233089045.db2.gz AWXCBANRXXYINV-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ncc(Cl)cc2[N+](=O)[O-])[C@H](C)O1 ZINC000413535081 233091647 /nfs/dbraw/zinc/09/16/47/233091647.db2.gz PSPOFDIAVIVTHU-HRDYMLBCSA-N 0 0 285.731 2.869 20 5 CFBDRN COC1([C@@H](C)Nc2ncc(C)cc2[N+](=O)[O-])CCC1 ZINC000413559599 233095970 /nfs/dbraw/zinc/09/59/70/233095970.db2.gz JSFGGUYRKCOLCF-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H](C)C[C@@H]1CCOC1 ZINC000589584772 348840163 /nfs/dbraw/zinc/84/01/63/348840163.db2.gz OPSYULBAPQSUON-NEPJUHHUSA-N 0 0 294.351 2.968 20 5 CFBDRN COc1cc(N[C@@H](C)C[C@@H]2CCOC2)c([N+](=O)[O-])cc1F ZINC000589584527 348840215 /nfs/dbraw/zinc/84/02/15/348840215.db2.gz NFMXQEYSVGXKLO-UWVGGRQHSA-N 0 0 298.314 2.970 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])s1 ZINC000589584734 348840370 /nfs/dbraw/zinc/84/03/70/348840370.db2.gz OCVCSMNFDKVSBT-DTWKUNHWSA-N 0 0 256.327 2.883 20 5 CFBDRN Cc1cccc2c1OC[C@H](Nc1cccnc1[N+](=O)[O-])C2 ZINC000589607944 348842762 /nfs/dbraw/zinc/84/27/62/348842762.db2.gz MPKOHNHSEQFQMH-GFCCVEGCSA-N 0 0 285.303 2.714 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000413456429 233076090 /nfs/dbraw/zinc/07/60/90/233076090.db2.gz KMSUGSATSFTADJ-OUAUKWLOSA-N 0 0 264.329 2.720 20 5 CFBDRN Cn1cc(CSCc2ccccc2[N+](=O)[O-])cn1 ZINC000588922708 348802849 /nfs/dbraw/zinc/80/28/49/348802849.db2.gz FLXQNCSTWPFBGK-UHFFFAOYSA-N 0 0 263.322 2.762 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cs1 ZINC000588941115 348805584 /nfs/dbraw/zinc/80/55/84/348805584.db2.gz XYGFIQSCYUKNKD-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(CCCC1CC1)NCc1cccc([N+](=O)[O-])c1 ZINC000589696333 348851865 /nfs/dbraw/zinc/85/18/65/348851865.db2.gz UPRSOMXDDJXEFR-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC(C2CC2)C1 ZINC000589725044 348853514 /nfs/dbraw/zinc/85/35/14/348853514.db2.gz SVFGEPQXYLGFBY-UHFFFAOYSA-N 0 0 297.314 2.625 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC(C2CC2)C1 ZINC000589725839 348853558 /nfs/dbraw/zinc/85/35/58/348853558.db2.gz ZEZQRKZFUOLEHU-UHFFFAOYSA-N 0 0 292.360 2.555 20 5 CFBDRN C[C@H](C(=O)N1CC(C2CC2)C1)c1ccc([N+](=O)[O-])cc1F ZINC000589725100 348853725 /nfs/dbraw/zinc/85/37/25/348853725.db2.gz VPARIHPRSUZHTH-VIFPVBQESA-N 0 0 292.310 2.706 20 5 CFBDRN Nc1ccc(-c2noc(CCCC3CC3)n2)cc1[N+](=O)[O-] ZINC000589737563 348854394 /nfs/dbraw/zinc/85/43/94/348854394.db2.gz UZWUCRWWMSHCIQ-UHFFFAOYSA-N 0 0 288.307 2.960 20 5 CFBDRN CC(C)C1CN(C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])C1 ZINC000590313407 348892710 /nfs/dbraw/zinc/89/27/10/348892710.db2.gz ZPNGDSVEBMKVOW-UHFFFAOYSA-N 0 0 290.363 2.987 20 5 CFBDRN COCC[C@@H](C)CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000413626106 233107234 /nfs/dbraw/zinc/10/72/34/233107234.db2.gz PMMXAEWNSAQVJN-SNVBAGLBSA-N 0 0 295.339 2.697 20 5 CFBDRN CC1(F)CN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000589866349 348862000 /nfs/dbraw/zinc/86/20/00/348862000.db2.gz FUJGXGPDYHDYCT-UHFFFAOYSA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(F)(c3ccccc3F)C2)s1 ZINC000589872376 348862713 /nfs/dbraw/zinc/86/27/13/348862713.db2.gz FAOYOUKCVIWHOX-UHFFFAOYSA-N 0 0 297.286 2.875 20 5 CFBDRN C[C@H]1CN(c2cccnc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000589879587 348863395 /nfs/dbraw/zinc/86/33/95/348863395.db2.gz FGUBMUNNKBRHSO-NSHDSACASA-N 0 0 285.303 2.777 20 5 CFBDRN CSC[C@H]1CCCN(c2cccnc2[N+](=O)[O-])C1 ZINC000589881321 348863400 /nfs/dbraw/zinc/86/34/00/348863400.db2.gz JAOWSVJIKOMIQL-JTQLQIEISA-N 0 0 267.354 2.569 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@@H](CO)CC3CC3)ccnc21 ZINC000590083845 348873242 /nfs/dbraw/zinc/87/32/42/348873242.db2.gz WCNIKIDTOONION-LLVKDONJSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc([N+](=O)[O-])s2)CCCO1 ZINC000590152955 348879494 /nfs/dbraw/zinc/87/94/94/348879494.db2.gz BGVHTIMRJBBANB-SNVBAGLBSA-N 0 0 270.354 2.908 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]([C@H](CO)C1CC1)C1CC1 ZINC000590719255 348935554 /nfs/dbraw/zinc/93/55/54/348935554.db2.gz MBYYOFVKEBGINF-PWSUYJOCSA-N 0 0 282.365 2.865 20 5 CFBDRN C[C@@H]1C[C@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)[C@@H](C)O1 ZINC000413776586 233127884 /nfs/dbraw/zinc/12/78/84/233127884.db2.gz RIMUKKGWGIWJFP-GMTAPVOTSA-N 0 0 293.323 2.530 20 5 CFBDRN C[C@@H]1C[C@@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)[C@@H](C)O1 ZINC000413780394 233128146 /nfs/dbraw/zinc/12/81/46/233128146.db2.gz VSXKGIGVYRCZPG-MXWKQRLJSA-N 0 0 293.323 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@]3(CCOC3)C2)s1 ZINC000590730211 348938768 /nfs/dbraw/zinc/93/87/68/348938768.db2.gz JXFSPLMPBREFQI-LBPRGKRZSA-N 0 0 268.338 2.663 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC1CCC(OC(F)F)CC1 ZINC000590732409 348938827 /nfs/dbraw/zinc/93/88/27/348938827.db2.gz RKBZDBAQAIZEKE-UHFFFAOYSA-N 0 0 287.266 2.952 20 5 CFBDRN Cc1ccccc1CCN(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000590767640 348945340 /nfs/dbraw/zinc/94/53/40/348945340.db2.gz OMGYJQJHEAMOEC-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC(C2CC2)CC1 ZINC000590430999 348900792 /nfs/dbraw/zinc/90/07/92/348900792.db2.gz YDIHGPKHTPEOFI-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN Nc1ccc(N[C@H](c2ccccc2)C2CC2)nc1[N+](=O)[O-] ZINC000590570827 348910233 /nfs/dbraw/zinc/91/02/33/348910233.db2.gz DAGATVWWTMHGST-CQSZACIVSA-N 0 0 284.319 2.557 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC[C@H]1C(F)(F)F ZINC000590602354 348914318 /nfs/dbraw/zinc/91/43/18/348914318.db2.gz WRYYHBZQUXLPFU-NSHDSACASA-N 0 0 290.241 2.740 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)C1CCC1 ZINC000590695464 348929289 /nfs/dbraw/zinc/92/92/89/348929289.db2.gz PWMYTEYHSDABCM-SECBINFHSA-N 0 0 298.364 2.599 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC(CC(F)(F)F)C1 ZINC000590969219 348974593 /nfs/dbraw/zinc/97/45/93/348974593.db2.gz QBYVRZWXBVYABW-UHFFFAOYSA-N 0 0 274.242 2.979 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CC(C(F)F)C1 ZINC000590968821 348974757 /nfs/dbraw/zinc/97/47/57/348974757.db2.gz UNIQDZPNXCXDQD-HWKANZROSA-N 0 0 268.263 2.805 20 5 CFBDRN CCC[C@@H](O)CSc1ccc([N+](=O)[O-])cc1F ZINC000591128876 348991406 /nfs/dbraw/zinc/99/14/06/348991406.db2.gz BFKNQVVUHJIPOF-SECBINFHSA-N 0 0 259.302 2.987 20 5 CFBDRN CC(C)COC1CCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000591141900 348991603 /nfs/dbraw/zinc/99/16/03/348991603.db2.gz LIUBRPOUKOHRJF-UHFFFAOYSA-N 0 0 297.330 2.770 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000591159140 348995050 /nfs/dbraw/zinc/99/50/50/348995050.db2.gz NTSWGNIZMYVLEV-OLZOCXBDSA-N 0 0 273.292 2.732 20 5 CFBDRN CC(C)(C)c1nnc(CNc2ccsc2[N+](=O)[O-])[nH]1 ZINC000591177268 348996982 /nfs/dbraw/zinc/99/69/82/348996982.db2.gz DANQYKYYABTFOK-UHFFFAOYSA-N 0 0 281.341 2.684 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@@H]2COC[C@@H]21 ZINC000591183469 348997915 /nfs/dbraw/zinc/99/79/15/348997915.db2.gz ZRXORJANJUZEQC-RNCFNFMXSA-N 0 0 282.727 2.863 20 5 CFBDRN Cc1nn(C)c(Sc2ccc3c(c2)CCO3)c1[N+](=O)[O-] ZINC000591179587 348997987 /nfs/dbraw/zinc/99/79/87/348997987.db2.gz HXAUEOUPAYYIMM-UHFFFAOYSA-N 0 0 291.332 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](O)C[C@@H]2c2ccccc2)s1 ZINC000591189758 348998707 /nfs/dbraw/zinc/99/87/07/348998707.db2.gz USJQVHRJZFHQKS-NWDGAFQWSA-N 0 0 290.344 2.969 20 5 CFBDRN O=C([O-])[C@@H]1CC[C@H]([NH2+]Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000591754772 349042152 /nfs/dbraw/zinc/04/21/52/349042152.db2.gz WEOWFGFKONWLNO-SCZZXKLOSA-N 0 0 298.726 2.591 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000591510502 349027946 /nfs/dbraw/zinc/02/79/46/349027946.db2.gz PTFGQCXSTNJFDW-BETUJISGSA-N 0 0 288.347 2.940 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000591534419 349029242 /nfs/dbraw/zinc/02/92/42/349029242.db2.gz GBNMFFYGCIMEBP-RISCZKNCSA-N 0 0 274.320 2.836 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000591980447 349069290 /nfs/dbraw/zinc/06/92/90/349069290.db2.gz DFHGEJYRFACBLP-WDEREUQCSA-N 0 0 252.314 2.804 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2F)C1 ZINC000591988337 349070532 /nfs/dbraw/zinc/07/05/32/349070532.db2.gz RRFZBGLELJHSLO-WAAGHKOSSA-N 0 0 283.303 2.887 20 5 CFBDRN C[C@H]1CC2(CN1c1ccccc1[N+](=O)[O-])CCOCC2 ZINC000591992895 349071814 /nfs/dbraw/zinc/07/18/14/349071814.db2.gz OQTSXDSQOBWKPC-LBPRGKRZSA-N 0 0 276.336 2.990 20 5 CFBDRN Cc1cc(N2CC3(C[C@H]2C)CCOCC3)ncc1[N+](=O)[O-] ZINC000591993954 349071840 /nfs/dbraw/zinc/07/18/40/349071840.db2.gz ZTGBGFSSMQDQRF-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN CCc1nn(C)c(NCC2CCC(F)CC2)c1[N+](=O)[O-] ZINC000591993120 349071950 /nfs/dbraw/zinc/07/19/50/349071950.db2.gz BEUNHLKBWQYNNZ-UHFFFAOYSA-N 0 0 284.335 2.831 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1C[C@@H]1CCC(F)(F)C1 ZINC000592349081 349106885 /nfs/dbraw/zinc/10/68/85/349106885.db2.gz OZBXEHVFYCVKJW-MRVPVSSYSA-N 0 0 298.245 2.938 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCC[C@@H]1CCOC1 ZINC000592088055 349081131 /nfs/dbraw/zinc/08/11/31/349081131.db2.gz SXTVWYVUDAGFGD-GFCCVEGCSA-N 0 0 276.336 2.774 20 5 CFBDRN C/C(=C/C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000089204352 179753614 /nfs/dbraw/zinc/75/36/14/179753614.db2.gz GXFXDSZWXYQZCR-VURMDHGXSA-N 0 0 286.291 2.766 20 5 CFBDRN CCCC[C@@](C)(CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000592211572 349101805 /nfs/dbraw/zinc/10/18/05/349101805.db2.gz TYBIUKUOQOCVQF-AWEZNQCLSA-N 0 0 295.339 2.658 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000592252182 349103823 /nfs/dbraw/zinc/10/38/23/349103823.db2.gz VTVKVTHIDXQOQI-ZYHUDNBSSA-N 0 0 295.339 2.513 20 5 CFBDRN C[C@H](F)CCn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000592359652 349108476 /nfs/dbraw/zinc/10/84/76/349108476.db2.gz TUYAASUQKCOYOI-VIFPVBQESA-N 0 0 264.256 2.658 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCC[C@@H]1CCOC1 ZINC000592345230 349106096 /nfs/dbraw/zinc/10/60/96/349106096.db2.gz YRYOMXSPKOOCIL-SNVBAGLBSA-N 0 0 269.272 2.929 20 5 CFBDRN CC(C)C1CN(c2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000591923157 349060516 /nfs/dbraw/zinc/06/05/16/349060516.db2.gz CQLDJANKDVVPNZ-UHFFFAOYSA-N 0 0 275.352 2.961 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@H](Oc2ccccc2)C1 ZINC000591960841 349065533 /nfs/dbraw/zinc/06/55/33/349065533.db2.gz YYEMKMQALLXQKM-ZDUSSCGKSA-N 0 0 285.303 2.648 20 5 CFBDRN COC(=O)[C@H](CC(C)(C)C)Nc1ncc([N+](=O)[O-])cc1F ZINC000591973718 349067770 /nfs/dbraw/zinc/06/77/70/349067770.db2.gz JEUZKCOGGGECEG-JTQLQIEISA-N 0 0 299.302 2.519 20 5 CFBDRN COC(=O)[C@@H](CC(C)(C)C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000591972663 349067849 /nfs/dbraw/zinc/06/78/49/349067849.db2.gz BKELBLDRFPXSFL-SNVBAGLBSA-N 0 0 295.339 2.688 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000592664880 349147479 /nfs/dbraw/zinc/14/74/79/349147479.db2.gz KWJIWSRJSFIEGN-ZJUUUORDSA-N 0 0 296.298 2.614 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H](C)CC(F)F)c1[N+](=O)[O-] ZINC000592670887 349148765 /nfs/dbraw/zinc/14/87/65/349148765.db2.gz CVNWFRWZRLXAOZ-QMMMGPOBSA-N 0 0 286.278 2.924 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)CC(F)F ZINC000592671561 349148776 /nfs/dbraw/zinc/14/87/76/349148776.db2.gz HXHJGAJCJKABRF-ZETCQYMHSA-N 0 0 290.241 2.755 20 5 CFBDRN CN(CCC(C)(C)C)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000592691652 349152459 /nfs/dbraw/zinc/15/24/59/349152459.db2.gz XSIIYCIBFUXFEX-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN C[C@H](CNC(=O)c1csc([N+](=O)[O-])c1)CC(F)F ZINC000592672568 349149452 /nfs/dbraw/zinc/14/94/52/349149452.db2.gz MTTMZGPMZYOCDE-LURJTMIESA-N 0 0 278.280 2.677 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C1[C@@H]2CCCC[C@H]12 ZINC000089312455 179767794 /nfs/dbraw/zinc/76/77/94/179767794.db2.gz WFHBDCGAOFVUSE-YIONKMFJSA-N 0 0 288.347 2.690 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1CCC(F)(F)F)CCCC2 ZINC000592360378 349108925 /nfs/dbraw/zinc/10/89/25/349108925.db2.gz CAGCIPGTILWBHE-UHFFFAOYSA-N 0 0 290.241 2.588 20 5 CFBDRN CC[C@H](NCc1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC000592377280 349112079 /nfs/dbraw/zinc/11/20/79/349112079.db2.gz OIMWITCKWDACLF-ZDUSSCGKSA-N 0 0 294.351 2.805 20 5 CFBDRN CC[C@H]([NH2+]Cc1ccc(C(C)C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000592422387 349118656 /nfs/dbraw/zinc/11/86/56/349118656.db2.gz AMGFTZZOBMNXBL-LBPRGKRZSA-N 0 0 280.324 2.671 20 5 CFBDRN CN(C)c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000273983320 192388080 /nfs/dbraw/zinc/38/80/80/192388080.db2.gz VKAQFNKQCKOZCE-UHFFFAOYSA-N 0 0 294.286 2.509 20 5 CFBDRN O=C(C[C@H]1C=CCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000089304124 179767212 /nfs/dbraw/zinc/76/72/12/179767212.db2.gz UMXYBCFLWVZKEE-LBPRGKRZSA-N 0 0 274.320 2.610 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000592901905 349176997 /nfs/dbraw/zinc/17/69/97/349176997.db2.gz AUXYROKFBDHLLL-GARJFASQSA-N 0 0 299.330 2.995 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000592998046 349183752 /nfs/dbraw/zinc/18/37/52/349183752.db2.gz OBVDYFYCGZCMJU-SNVBAGLBSA-N 0 0 280.299 2.677 20 5 CFBDRN C[N@@H+]1CCC[C@@H]1CN(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000593021944 349189107 /nfs/dbraw/zinc/18/91/07/349189107.db2.gz BHTSZCHHJZFGFC-OAHLLOKOSA-N 0 0 289.379 2.653 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000592732632 349158472 /nfs/dbraw/zinc/15/84/72/349158472.db2.gz AXFLFYIQOCYLIQ-HOTUBEGUSA-N 0 0 278.283 2.652 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000592732585 349158559 /nfs/dbraw/zinc/15/85/59/349158559.db2.gz LHGMCJJIVMONNN-IJLUTSLNSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCS[C@H]1C ZINC000592735111 349158929 /nfs/dbraw/zinc/15/89/29/349158929.db2.gz KLQJQOPOICKTMG-JOYOIKCWSA-N 0 0 280.349 2.527 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCCC[C@@H]1C1CCC1 ZINC000592734350 349159185 /nfs/dbraw/zinc/15/91/85/349159185.db2.gz FWKFFNQLOPUBLQ-CQSZACIVSA-N 0 0 289.335 2.785 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CCS[C@@H]2C)cc1[N+](=O)[O-] ZINC000592735958 349159590 /nfs/dbraw/zinc/15/95/90/349159590.db2.gz OOUXKCLHNCMDQX-BXKDBHETSA-N 0 0 294.376 2.781 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000592735279 349159638 /nfs/dbraw/zinc/15/96/38/349159638.db2.gz MVEXDLFKOFXMDS-IJLUTSLNSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCS[C@H]2C)c1 ZINC000592735865 349159683 /nfs/dbraw/zinc/15/96/83/349159683.db2.gz NXUIWRGRUZKDOE-ONGXEEELSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCS[C@H]1C ZINC000592737363 349160353 /nfs/dbraw/zinc/16/03/53/349160353.db2.gz WIOAEJPZQJOYRR-UWVGGRQHSA-N 0 0 280.349 2.527 20 5 CFBDRN CCN(CCSC)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000592743392 349162929 /nfs/dbraw/zinc/16/29/29/349162929.db2.gz GMFUJGKZGSWZCC-LLVKDONJSA-N 0 0 296.392 2.910 20 5 CFBDRN Cc1cccc([C@@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)c1 ZINC000273991737 192392581 /nfs/dbraw/zinc/39/25/81/192392581.db2.gz RPSGOPDYEQLFQH-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CCC(F)(F)F ZINC000592828555 349169467 /nfs/dbraw/zinc/16/94/67/349169467.db2.gz QWVGTYUSOIWLDG-UHFFFAOYSA-N 0 0 291.229 2.918 20 5 CFBDRN CCCC[C@](C)(CO)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000592856128 349171608 /nfs/dbraw/zinc/17/16/08/349171608.db2.gz YHAJJHRYOIIBLM-OAHLLOKOSA-N 0 0 294.351 2.574 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC(F)(F)C1 ZINC000593236577 349233228 /nfs/dbraw/zinc/23/32/28/349233228.db2.gz PVHNHHOKUWTGBY-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN CC(C)O[C@H]1CCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000593241085 349233984 /nfs/dbraw/zinc/23/39/84/349233984.db2.gz MUMWBYHKOWOHII-LBPRGKRZSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000593257803 349237049 /nfs/dbraw/zinc/23/70/49/349237049.db2.gz HVMNGRDHUGRQNO-OCCSQVGLSA-N 0 0 292.335 2.624 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NC[C@H]1CC=CCC1 ZINC000593042087 349193223 /nfs/dbraw/zinc/19/32/23/349193223.db2.gz XQGBOWVTHOGEEV-NSHDSACASA-N 0 0 292.310 2.749 20 5 CFBDRN CC[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593061484 349198709 /nfs/dbraw/zinc/19/87/09/349198709.db2.gz CCHIDBPDSJWILT-DGCLKSJQSA-N 0 0 264.325 2.594 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593063798 349199310 /nfs/dbraw/zinc/19/93/10/349199310.db2.gz NURJUDLIWVANGB-WCQYABFASA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593089565 349205835 /nfs/dbraw/zinc/20/58/35/349205835.db2.gz BWRXZNABIUBZRV-GXFFZTMASA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1cc(N[C@H](CO)CCF)c2cccc([N+](=O)[O-])c2n1 ZINC000593510642 349287837 /nfs/dbraw/zinc/28/78/37/349287837.db2.gz XPPCJCQXNCKDST-JTQLQIEISA-N 0 0 293.298 2.584 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCC1(CO)CCC1 ZINC000593511497 349287854 /nfs/dbraw/zinc/28/78/54/349287854.db2.gz BGLVFVNCAAJYPA-UHFFFAOYSA-N 0 0 285.731 2.608 20 5 CFBDRN C[C@@H](CCO)N(C)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000593516579 349288722 /nfs/dbraw/zinc/28/87/22/349288722.db2.gz OYSNVONUUGBZPV-NSHDSACASA-N 0 0 296.367 2.979 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2CC3(CCC3)C2)n1 ZINC000593516770 349288761 /nfs/dbraw/zinc/28/87/61/349288761.db2.gz RAGBOHXAPYTDJI-UHFFFAOYSA-N 0 0 291.307 2.521 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@]2(CC2(F)F)C1 ZINC000593520678 349289494 /nfs/dbraw/zinc/28/94/94/349289494.db2.gz IYVREXWNNHMCSK-VIFPVBQESA-N 0 0 260.265 2.892 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@@]2(CC2(F)F)C1 ZINC000593520676 349289626 /nfs/dbraw/zinc/28/96/26/349289626.db2.gz IYVREXWNNHMCSK-SECBINFHSA-N 0 0 260.265 2.892 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC1(C2CCC2)CC1 ZINC000593304697 349244192 /nfs/dbraw/zinc/24/41/92/349244192.db2.gz VJPHOSQKLLDASB-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN O=C(NC1(C2CCC2)CC1)c1cccc([N+](=O)[O-])c1 ZINC000593305555 349244293 /nfs/dbraw/zinc/24/42/93/349244293.db2.gz MSFIIYGZBYPHQH-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593421684 349266552 /nfs/dbraw/zinc/26/65/52/349266552.db2.gz XGKOPFOZODBMNI-ZDUSSCGKSA-N 0 0 294.326 2.923 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593448048 349272556 /nfs/dbraw/zinc/27/25/56/349272556.db2.gz MGLWYEIQHJQCRE-GFCCVEGCSA-N 0 0 280.299 2.677 20 5 CFBDRN CO[C@@H](C)CCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461404 349275270 /nfs/dbraw/zinc/27/52/70/349275270.db2.gz JXKNWCJNBCNCRG-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CC[C@@H](CCO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461819 349275348 /nfs/dbraw/zinc/27/53/48/349275348.db2.gz PCVLQXHCVJTTOK-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593462374 349276028 /nfs/dbraw/zinc/27/60/28/349276028.db2.gz UTERLBFSXWVBLK-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CCC(F)(F)C1 ZINC000593469767 349277426 /nfs/dbraw/zinc/27/74/26/349277426.db2.gz MYKUFIKLQZXLFA-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@@H]1CC[C@H](O)C1 ZINC000593474109 349278112 /nfs/dbraw/zinc/27/81/12/349278112.db2.gz DAMOTELGHMQGIK-PWSUYJOCSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@H](O)C1CCC1 ZINC000593481605 349279659 /nfs/dbraw/zinc/27/96/59/349279659.db2.gz JXKOKYFCEJTUDC-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@@H](OC(C)C)C1 ZINC000593486554 349281747 /nfs/dbraw/zinc/28/17/47/349281747.db2.gz AKZPCINTZRPBRQ-GFCCVEGCSA-N 0 0 296.371 2.513 20 5 CFBDRN CC(C)O[C@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000593486666 349281786 /nfs/dbraw/zinc/28/17/86/349281786.db2.gz FCNCAVJOXWOJKG-JTQLQIEISA-N 0 0 297.330 2.710 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000593486745 349281942 /nfs/dbraw/zinc/28/19/42/349281942.db2.gz BUPZXPUGGBHTOF-IUCAKERBSA-N 0 0 258.343 2.865 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCCC(F)(F)C3)c21 ZINC000593487427 349282565 /nfs/dbraw/zinc/28/25/65/349282565.db2.gz YCIKFTOUDSTCQK-UHFFFAOYSA-N 0 0 294.261 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C1CC1)C1CCOCC1 ZINC000593491844 349283775 /nfs/dbraw/zinc/28/37/75/349283775.db2.gz KTXXCIFQXGFAFJ-AWEZNQCLSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C1CC1)C1CCOCC1 ZINC000593491846 349283819 /nfs/dbraw/zinc/28/38/19/349283819.db2.gz KTXXCIFQXGFAFJ-CQSZACIVSA-N 0 0 291.351 2.915 20 5 CFBDRN CC[C@H]1CN(c2ccccc2[N+](=O)[O-])[C@@H](CC)CO1 ZINC000593495482 349284320 /nfs/dbraw/zinc/28/43/20/349284320.db2.gz ZPEOQLIYFIHMAP-RYUDHWBXSA-N 0 0 264.325 2.989 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CC3(F)F)CC2)s1 ZINC000593498833 349284891 /nfs/dbraw/zinc/28/48/91/349284891.db2.gz SCGZHLBHXYILAX-UHFFFAOYSA-N 0 0 275.280 2.677 20 5 CFBDRN CCN1C[C@@H](CNc2ccc(Cl)cc2[N+](=O)[O-])CC1=O ZINC000593498411 349285305 /nfs/dbraw/zinc/28/53/05/349285305.db2.gz ARUCDJPPJQSKNG-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CC3(F)F)CC2)c(F)c1 ZINC000593498827 349285350 /nfs/dbraw/zinc/28/53/50/349285350.db2.gz SAFGQHRLKGBAHI-UHFFFAOYSA-N 0 0 287.241 2.755 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593499522 349285992 /nfs/dbraw/zinc/28/59/92/349285992.db2.gz VCLGPQZUJIIFGD-MFKMUULPSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)COCC2CC2)n1 ZINC000593507944 349287163 /nfs/dbraw/zinc/28/71/63/349287163.db2.gz KYHFORHOSWICBA-NSHDSACASA-N 0 0 279.340 2.834 20 5 CFBDRN O=C(NC1CC2(CCC2)C1)c1ccc([N+](=O)[O-])s1 ZINC000593885569 349332747 /nfs/dbraw/zinc/33/27/47/349332747.db2.gz SHXBVYGCQPSFHP-UHFFFAOYSA-N 0 0 266.322 2.719 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H](F)C1 ZINC000593894124 349333347 /nfs/dbraw/zinc/33/33/47/349333347.db2.gz UHZNHKVECBUGJV-MRVPVSSYSA-N 0 0 286.690 2.822 20 5 CFBDRN C[C@@H]1C[C@H](C)C[N@@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593730089 349315307 /nfs/dbraw/zinc/31/53/07/349315307.db2.gz COCWSWMHYMPPRJ-PHIMTYICSA-N 0 0 292.335 2.771 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)[C@@H]1CCCCO1 ZINC000594189224 349392278 /nfs/dbraw/zinc/39/22/78/349392278.db2.gz UTWTXZIFBZKEGT-UWVGGRQHSA-N 0 0 298.364 2.734 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)Cc1ccccn1 ZINC000594143052 349385699 /nfs/dbraw/zinc/38/56/99/349385699.db2.gz QFKTYGDSOTZIFC-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597092538 350028892 /nfs/dbraw/zinc/02/88/92/350028892.db2.gz NIAPUPGUWXKLNI-XPUUQOCRSA-N 0 0 298.730 2.750 20 5 CFBDRN Nc1c(NC(=O)N[C@H]2CC23CC3)cc(Cl)cc1[N+](=O)[O-] ZINC000597091191 350029340 /nfs/dbraw/zinc/02/93/40/350029340.db2.gz XEBAWYVGFSAUAI-VIFPVBQESA-N 0 0 296.714 2.504 20 5 CFBDRN CCCN(C)C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597103935 350030097 /nfs/dbraw/zinc/03/00/97/350030097.db2.gz NMBMCJNFDRPKFB-UHFFFAOYSA-N 0 0 286.719 2.704 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000273490751 192171577 /nfs/dbraw/zinc/17/15/77/192171577.db2.gz SLNYDFDUFVSHBN-MRVPVSSYSA-N 0 0 299.327 2.703 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000271223967 191012734 /nfs/dbraw/zinc/01/27/34/191012734.db2.gz DLEISPDXQPDWKF-IUCAKERBSA-N 0 0 284.287 2.722 20 5 CFBDRN O=C(CCCF)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000597467972 350100679 /nfs/dbraw/zinc/10/06/79/350100679.db2.gz NRROJDRHMUFGSU-UHFFFAOYSA-N 0 0 280.299 2.836 20 5 CFBDRN CCOC1(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CCC1 ZINC000597473352 350101328 /nfs/dbraw/zinc/10/13/28/350101328.db2.gz GNGBGJGTNDHELW-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1ccoc1CC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000597476534 350101797 /nfs/dbraw/zinc/10/17/97/350101797.db2.gz NCNBGMGJLBVCFE-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000597364570 350089704 /nfs/dbraw/zinc/08/97/04/350089704.db2.gz NMZQQGLJMQPAGI-GDNZZTSVSA-N 0 0 297.314 2.550 20 5 CFBDRN Cc1cnc(NC[C@H]2Cc3ccccc3O2)c([N+](=O)[O-])c1 ZINC000090692062 180024665 /nfs/dbraw/zinc/02/46/65/180024665.db2.gz VLMPXHQGMCWVAF-GFCCVEGCSA-N 0 0 285.303 2.714 20 5 CFBDRN Cc1ccncc1NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000104453082 186007090 /nfs/dbraw/zinc/00/70/90/186007090.db2.gz ASZPXOSAFZDGRF-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(C)(NC(=O)CCCF)c1ccccc1[N+](=O)[O-] ZINC000597689772 350124216 /nfs/dbraw/zinc/12/42/16/350124216.db2.gz WORCSHYQXQNHOR-UHFFFAOYSA-N 0 0 268.288 2.696 20 5 CFBDRN Cc1sc(C(=O)N[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000597882858 350147948 /nfs/dbraw/zinc/14/79/48/350147948.db2.gz LBDPTXXBXULFAJ-PRHODGIISA-N 0 0 266.322 2.637 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCC2)c1ccc([N+](=O)[O-])cc1Cl ZINC000597882831 350147980 /nfs/dbraw/zinc/14/79/80/350147980.db2.gz KGXHIARDXWXRTD-SDBXPKJASA-N 0 0 280.711 2.921 20 5 CFBDRN COc1cc(C(=O)N[C@]23C[C@H]2CCC3)cc([N+](=O)[O-])c1C ZINC000597887334 350148663 /nfs/dbraw/zinc/14/86/63/350148663.db2.gz FJNWTDSSPJVIDG-IAQYHMDHSA-N 0 0 290.319 2.584 20 5 CFBDRN CC(C)SCCNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492804335 535106593 /nfs/dbraw/zinc/10/65/93/535106593.db2.gz XDNFUFCBGWPSRQ-SREVYHEPSA-N 0 0 294.376 2.866 20 5 CFBDRN CCC(CC)CNc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000104658674 186011240 /nfs/dbraw/zinc/01/12/40/186011240.db2.gz KCMLIHPLGYQDKX-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@H]1C ZINC000104620446 186011422 /nfs/dbraw/zinc/01/14/22/186011422.db2.gz QKCAQKSAUNFJOZ-MFKMUULPSA-N 0 0 262.309 2.822 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@@H]21 ZINC000597827965 350141089 /nfs/dbraw/zinc/14/10/89/350141089.db2.gz FNHCGTJMXJGWMK-JSGCOSHPSA-N 0 0 274.320 2.538 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CCCC[C@@H]21 ZINC000597830336 350141474 /nfs/dbraw/zinc/14/14/74/350141474.db2.gz QCFFYTRWLCYXJM-GWCFXTLKSA-N 0 0 290.319 2.618 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC000597862017 350144719 /nfs/dbraw/zinc/14/47/19/350144719.db2.gz AKXQHDNZCVZIPB-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000271266678 191038458 /nfs/dbraw/zinc/03/84/58/191038458.db2.gz MAKWKRKLVVOLOI-IUCAKERBSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1nc(NC[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000271284897 191050260 /nfs/dbraw/zinc/05/02/60/191050260.db2.gz CZTKWPVVCZATBF-GXSJLCMTSA-N 0 0 265.313 2.525 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000597979176 350171027 /nfs/dbraw/zinc/17/10/27/350171027.db2.gz UJDBHMVPVKOZRF-SNVBAGLBSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2cnccc2C)c1 ZINC000597978486 350171065 /nfs/dbraw/zinc/17/10/65/350171065.db2.gz SJYXOILGQOHLGX-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@H]1CC(CNC(=O)c2ccc([N+](=O)[O-])o2)C[C@H](C)C1 ZINC000597981059 350171769 /nfs/dbraw/zinc/17/17/69/350171769.db2.gz XMJBJKSPYAYKSG-NXEZZACHSA-N 0 0 280.324 2.990 20 5 CFBDRN C[C@H]1C[C@H](CNC(=O)c2ccc([N+](=O)[O-])o2)C[C@@H](C)C1 ZINC000597981061 350171805 /nfs/dbraw/zinc/17/18/05/350171805.db2.gz XMJBJKSPYAYKSG-URLYPYJESA-N 0 0 280.324 2.990 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000271287905 191052342 /nfs/dbraw/zinc/05/23/42/191052342.db2.gz DMCIKBWNQDCNRD-GHMZBOCLSA-N 0 0 265.313 2.525 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC(C2CCC2)CC1 ZINC000597995800 350175688 /nfs/dbraw/zinc/17/56/88/350175688.db2.gz UTEOSQNRHZGDHT-UHFFFAOYSA-N 0 0 289.335 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1SCCS1 ZINC000271301111 191060558 /nfs/dbraw/zinc/06/05/58/191060558.db2.gz UKKMUBIPUHBXSY-UHFFFAOYSA-N 0 0 285.346 2.615 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])o2)CC12CCC2 ZINC000271317421 191071258 /nfs/dbraw/zinc/07/12/58/191071258.db2.gz JSSITMSPZJJOOI-SNVBAGLBSA-N 0 0 278.308 2.840 20 5 CFBDRN CN(Cc1ccon1)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000271325609 191073722 /nfs/dbraw/zinc/07/37/22/191073722.db2.gz PFYGGFCREYZMSQ-UHFFFAOYSA-N 0 0 299.233 2.821 20 5 CFBDRN COc1cc(N[C@@H](C)[C@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000091427426 180152196 /nfs/dbraw/zinc/15/21/96/180152196.db2.gz RBJODONIBPMFKX-QPUJVOFHSA-N 0 0 284.287 2.722 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCC[C@@H]1C ZINC000091555642 180190511 /nfs/dbraw/zinc/19/05/11/180190511.db2.gz WFOWWDIENVPLHJ-WCBMZHEXSA-N 0 0 252.318 2.720 20 5 CFBDRN C[C@]1(CNC(=O)CCc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000598213854 350215932 /nfs/dbraw/zinc/21/59/32/350215932.db2.gz DCKYQRYOHBEEKR-CYBMUJFWSA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCC[C@@H](C)O2)c1 ZINC000598214657 350216030 /nfs/dbraw/zinc/21/60/30/350216030.db2.gz URVKEEHEPMXIIK-VXGBXAGGSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCC[C@@H](C)O2)cc([N+](=O)[O-])c1 ZINC000598215183 350217099 /nfs/dbraw/zinc/21/70/99/350217099.db2.gz WIAAJFMRTPCPLL-RISCZKNCSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(NCCCC1CCC1)c1csc([N+](=O)[O-])c1 ZINC000598217376 350217665 /nfs/dbraw/zinc/21/76/65/350217665.db2.gz ZWYCWMAFAXLTOO-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](CCF)C1 ZINC000598222386 350220076 /nfs/dbraw/zinc/22/00/76/350220076.db2.gz YZSMADKAIGJMPB-LLVKDONJSA-N 0 0 295.314 2.510 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000598233579 350221491 /nfs/dbraw/zinc/22/14/91/350221491.db2.gz QLJYCUQZZARVEN-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CC1 ZINC000598233288 350221523 /nfs/dbraw/zinc/22/15/23/350221523.db2.gz NIOYGZMAFXLOGQ-ZDUSSCGKSA-N 0 0 287.319 2.995 20 5 CFBDRN CC[C@H](NC(=O)c1cccc(OC)c1[N+](=O)[O-])C1CC1 ZINC000598232524 350221592 /nfs/dbraw/zinc/22/15/92/350221592.db2.gz GVAQUJGNWAUCNG-NSHDSACASA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000598233426 350221649 /nfs/dbraw/zinc/22/16/49/350221649.db2.gz MRQFPJPPHKCUPD-GFCCVEGCSA-N 0 0 266.272 2.652 20 5 CFBDRN CC[C@@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598235527 350222242 /nfs/dbraw/zinc/22/22/42/350222242.db2.gz XIZHCYRJTADPML-CQSZACIVSA-N 0 0 276.336 2.832 20 5 CFBDRN C[C@H]1CN(c2cc(F)ccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000373641672 290890387 /nfs/dbraw/zinc/89/03/87/290890387.db2.gz SPLGALHGXQYNSF-LKFCYVNXSA-N 0 0 280.299 2.738 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc(F)cc([N+](=O)[O-])c1 ZINC000598205896 350213451 /nfs/dbraw/zinc/21/34/51/350213451.db2.gz BGGFULBAYQOCQJ-BFVZDQMLSA-N 0 0 292.310 2.900 20 5 CFBDRN CC[C@@](C)(NC(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598251172 350225415 /nfs/dbraw/zinc/22/54/15/350225415.db2.gz QBSOWVSPRDERGW-OAHLLOKOSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000598300092 350229168 /nfs/dbraw/zinc/22/91/68/350229168.db2.gz ZMRYAPPMJXTXEX-GHMZBOCLSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000598298661 350229205 /nfs/dbraw/zinc/22/92/05/350229205.db2.gz LGNVYXRGTNYPFO-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC[C@@H]2C[C@@H]2C1 ZINC000598326934 350234577 /nfs/dbraw/zinc/23/45/77/350234577.db2.gz FERQCDKEOOJULB-GHMZBOCLSA-N 0 0 292.360 2.555 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000598329338 350236176 /nfs/dbraw/zinc/23/61/76/350236176.db2.gz KRJXJIKWBDPRIF-MWLCHTKSSA-N 0 0 292.360 2.799 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CC[C@@H]2C[C@@H]2C1 ZINC000598332148 350237537 /nfs/dbraw/zinc/23/75/37/350237537.db2.gz SHTGAPRVLDKPTI-RKDXNWHRSA-N 0 0 280.711 2.730 20 5 CFBDRN CCSC1(CNC(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000598333690 350238336 /nfs/dbraw/zinc/23/83/36/350238336.db2.gz BNELFEPNOPEIED-UHFFFAOYSA-N 0 0 294.376 2.539 20 5 CFBDRN CCSC1(CNC(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000598336408 350238734 /nfs/dbraw/zinc/23/87/34/350238734.db2.gz XKENAEPEBQTRLH-UHFFFAOYSA-N 0 0 298.339 2.749 20 5 CFBDRN CCSC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000598337455 350239379 /nfs/dbraw/zinc/23/93/79/350239379.db2.gz IGCMSUGSSRFNNW-UHFFFAOYSA-N 0 0 280.349 2.610 20 5 CFBDRN CC1(C)[C@H](CNC(=O)Cc2ccccc2[N+](=O)[O-])C1(F)F ZINC000598341009 350240844 /nfs/dbraw/zinc/24/08/44/350240844.db2.gz NPMKIUVWILKQKH-NSHDSACASA-N 0 0 298.289 2.545 20 5 CFBDRN CC[C@](C)(NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000598250120 350224425 /nfs/dbraw/zinc/22/44/25/350224425.db2.gz LGZFPYFMTZFMKH-HNNXBMFYSA-N 0 0 294.326 2.971 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@H]1C ZINC000105383903 186056146 /nfs/dbraw/zinc/05/61/46/186056146.db2.gz CZOPHGYTOAXFEV-BXKDBHETSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(C)[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598379827 350252165 /nfs/dbraw/zinc/25/21/65/350252165.db2.gz AGCPOPGJRZEUEB-HNNXBMFYSA-N 0 0 276.336 2.688 20 5 CFBDRN CC(C)[C@@H](NC(=O)CNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598381833 350253903 /nfs/dbraw/zinc/25/39/03/350253903.db2.gz QCHNQQQUIFIZRZ-OAHLLOKOSA-N 0 0 291.351 2.558 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000598384433 350254408 /nfs/dbraw/zinc/25/44/08/350254408.db2.gz DNWBSIGOTXSFEA-AWEZNQCLSA-N 0 0 298.289 2.720 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000598384041 350254603 /nfs/dbraw/zinc/25/46/03/350254603.db2.gz BLPPPEFIHQTDIZ-OAHLLOKOSA-N 0 0 287.319 2.995 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C(C)C)C2CC2)c1 ZINC000598382651 350254662 /nfs/dbraw/zinc/25/46/62/350254662.db2.gz ZAAAMEPNTYPMIT-CQSZACIVSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1cc(C(=O)N(C)C[C@@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000598564656 350282610 /nfs/dbraw/zinc/28/26/10/350282610.db2.gz KOWASTYDICAXIA-NSHDSACASA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1cc(C(=O)N2CC3CC2(C)C3)cc([N+](=O)[O-])c1 ZINC000598567767 350283360 /nfs/dbraw/zinc/28/33/60/350283360.db2.gz HBRKJRHRRMDZAA-UHFFFAOYSA-N 0 0 260.293 2.528 20 5 CFBDRN CC12CC(C1)CN2C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000598570874 350283948 /nfs/dbraw/zinc/28/39/48/350283948.db2.gz KWOPDASGDDRIKX-UHFFFAOYSA-N 0 0 274.320 2.538 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC2CC1(C)C2 ZINC000598570835 350283967 /nfs/dbraw/zinc/28/39/67/350283967.db2.gz KHHJWXXEMGNNRH-UHFFFAOYSA-N 0 0 274.320 2.836 20 5 CFBDRN Cc1cc(C(=O)NCCCc2ccco2)cc([N+](=O)[O-])c1 ZINC000598436723 350268370 /nfs/dbraw/zinc/26/83/70/350268370.db2.gz VHPGVWGXUBBGRE-UHFFFAOYSA-N 0 0 288.303 2.859 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc([N+](=O)[O-])o1)C1CCCCC1 ZINC000598437799 350268884 /nfs/dbraw/zinc/26/88/84/350268884.db2.gz PHDWPXZEWGTGSR-GFCCVEGCSA-N 0 0 296.323 2.513 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000598450182 350270645 /nfs/dbraw/zinc/27/06/45/350270645.db2.gz VLRHHKYWZRPYSN-FTNCPSPGSA-N 0 0 274.320 2.998 20 5 CFBDRN Cc1c(C(=O)NC2CC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000598503270 350277566 /nfs/dbraw/zinc/27/75/66/350277566.db2.gz SLGWOKJIHRTYQL-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN CSCCCN(C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000290956527 197869513 /nfs/dbraw/zinc/86/95/13/197869513.db2.gz NSBPCRMGHZLMCT-UHFFFAOYSA-N 0 0 280.353 2.660 20 5 CFBDRN Cc1cccc(C(=O)N(C)CC2=CCSC2)c1[N+](=O)[O-] ZINC000598513637 350278592 /nfs/dbraw/zinc/27/85/92/350278592.db2.gz FHXLOYQOYBUFFJ-UHFFFAOYSA-N 0 0 292.360 2.648 20 5 CFBDRN CC1CC(CNc2cc3nc[nH]c(=O)c3cc2[N+](=O)[O-])C1 ZINC000598643001 350299627 /nfs/dbraw/zinc/29/96/27/350299627.db2.gz LLBMRYGCNSMQCI-UHFFFAOYSA-N 0 0 288.307 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CC[C@@H](C)[C@@H](CO)C1 ZINC000598647561 350301725 /nfs/dbraw/zinc/30/17/25/350301725.db2.gz OTZGBJSBBGDBHC-KWCYVHTRSA-N 0 0 292.379 2.915 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CC(CC2CC2)C1 ZINC000598655504 350303917 /nfs/dbraw/zinc/30/39/17/350303917.db2.gz UCDVDKYCHIVSNY-UHFFFAOYSA-N 0 0 289.335 2.536 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC(CC3CC3)C2)cs1 ZINC000598677450 350308108 /nfs/dbraw/zinc/30/81/08/350308108.db2.gz HOJCDANBRRAXDL-UHFFFAOYSA-N 0 0 252.339 2.888 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC000598595689 350289286 /nfs/dbraw/zinc/28/92/86/350289286.db2.gz FXRBRHQHMZBHRZ-IUCAKERBSA-N 0 0 281.312 2.623 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000598828210 350335807 /nfs/dbraw/zinc/33/58/07/350335807.db2.gz MPBJNRWFYUERTQ-JQWIXIFHSA-N 0 0 278.308 2.657 20 5 CFBDRN CC(NC(=O)c1ccccc1[N+](=O)[O-])(C1CC1)C1CC1 ZINC000598879563 350343832 /nfs/dbraw/zinc/34/38/32/350343832.db2.gz SLOVYDPDDYAENR-UHFFFAOYSA-N 0 0 274.320 2.903 20 5 CFBDRN CC(C)CC1(NC(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000598878247 350343840 /nfs/dbraw/zinc/34/38/40/350343840.db2.gz AEQZRCKMVIDZRR-UHFFFAOYSA-N 0 0 262.309 2.903 20 5 CFBDRN CC[C@H](CCO)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599061194 350367963 /nfs/dbraw/zinc/36/79/63/350367963.db2.gz LBMVNOSYVGUVMG-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H](NC(=O)NCC1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000598972106 350351716 /nfs/dbraw/zinc/35/17/16/350351716.db2.gz MSXYZQUWIZAAGI-NSHDSACASA-N 0 0 289.335 2.921 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CC[C@H](O)CC2)ccc2cnccc21 ZINC000599059773 350368206 /nfs/dbraw/zinc/36/82/06/350368206.db2.gz QVJYPXJFOWALOG-HAQNSBGRSA-N 0 0 287.319 2.858 20 5 CFBDRN C/C=C/CNC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000599001334 350357443 /nfs/dbraw/zinc/35/74/43/350357443.db2.gz RPCAVQPOASEWEG-SGUJLRQBSA-N 0 0 277.324 2.840 20 5 CFBDRN C/C=C/CNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000599022214 350360279 /nfs/dbraw/zinc/36/02/79/350360279.db2.gz OPWZKLHELMCBRL-FSIBCCDJSA-N 0 0 263.297 2.531 20 5 CFBDRN COC1CCN(c2ccc3cnccc3c2[N+](=O)[O-])CC1 ZINC000599021596 350360355 /nfs/dbraw/zinc/36/03/55/350360355.db2.gz BTOQHTODDKYESB-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H](CCO)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599050746 350365758 /nfs/dbraw/zinc/36/57/58/350365758.db2.gz ARDWCFCNFPYONP-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1cccc(C(=O)NCC2CC(C)C2)c1[N+](=O)[O-] ZINC000598778859 350328326 /nfs/dbraw/zinc/32/83/26/350328326.db2.gz ZOOXVOHAILIBRW-UHFFFAOYSA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1ccc(C(=O)NCC2CC(C)C2)cc1[N+](=O)[O-] ZINC000598778808 350328426 /nfs/dbraw/zinc/32/84/26/350328426.db2.gz XUFVXHODUXKAJN-UHFFFAOYSA-N 0 0 262.309 2.679 20 5 CFBDRN CC1CC(CNC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000598778572 350328482 /nfs/dbraw/zinc/32/84/82/350328482.db2.gz UVYYGRCAFDRJTI-UHFFFAOYSA-N 0 0 287.319 2.852 20 5 CFBDRN Cc1cc(C(=O)NCC[C@@H]2CC2(F)F)ccc1[N+](=O)[O-] ZINC000598786024 350329979 /nfs/dbraw/zinc/32/99/79/350329979.db2.gz ZBEXEFZKBCKRJZ-SNVBAGLBSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@]12C[C@H]1COC2(C)C ZINC000598800509 350330156 /nfs/dbraw/zinc/33/01/56/350330156.db2.gz FCCHNNWUYXZAEX-BONVTDFDSA-N 0 0 290.319 2.657 20 5 CFBDRN O=C(C1CC2(CC2)C1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000598802401 350330537 /nfs/dbraw/zinc/33/05/37/350330537.db2.gz DDPRDAGRRKGUGD-UHFFFAOYSA-N 0 0 272.304 2.674 20 5 CFBDRN CC1(C)[C@H](Nc2ccc3cnccc3c2[N+](=O)[O-])C[C@@H]1O ZINC000599071982 350370831 /nfs/dbraw/zinc/37/08/31/350370831.db2.gz VUHSXDFTUZXDFZ-OLZOCXBDSA-N 0 0 287.319 2.714 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599071981 350371032 /nfs/dbraw/zinc/37/10/32/350371032.db2.gz VUHSXDFTUZXDFZ-CHWSQXEVSA-N 0 0 287.319 2.714 20 5 CFBDRN CC1(C)CN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000599081770 350372313 /nfs/dbraw/zinc/37/23/13/350372313.db2.gz VEBGZGQUNDXLIS-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@H]1C(F)F ZINC000599086540 350373320 /nfs/dbraw/zinc/37/33/20/350373320.db2.gz OESPKTLVUQYFDV-NSHDSACASA-N 0 0 279.246 2.987 20 5 CFBDRN Cc1ccnc([C@@H]2CCN(c3ccncc3[N+](=O)[O-])C2)c1 ZINC000599097346 350375019 /nfs/dbraw/zinc/37/50/19/350375019.db2.gz CJLJRIDVJLRPMJ-GFCCVEGCSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H](c3ccncc3)C2)n1 ZINC000599099873 350375893 /nfs/dbraw/zinc/37/58/93/350375893.db2.gz FVIJWMHHPIEQPM-CQSZACIVSA-N 0 0 298.346 2.996 20 5 CFBDRN Cc1cnc(N2CC[C@H](c3ccncc3)C2)c([N+](=O)[O-])c1 ZINC000599101034 350376060 /nfs/dbraw/zinc/37/60/60/350376060.db2.gz KFSVNGWHXNJBFP-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN CN(CC1=CCCOC1)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000599106948 350376563 /nfs/dbraw/zinc/37/65/63/350376563.db2.gz JDMRLZWOPDUVDC-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599110644 350377371 /nfs/dbraw/zinc/37/73/71/350377371.db2.gz DYZAQBGCSJPRBP-OCCSQVGLSA-N 0 0 273.292 2.732 20 5 CFBDRN COCCC1CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000599121342 350379917 /nfs/dbraw/zinc/37/99/17/350379917.db2.gz VADDIXQMJGMWTA-UHFFFAOYSA-N 0 0 270.354 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1S[C@H]1COC(C)(C)C1 ZINC000599125175 350380395 /nfs/dbraw/zinc/38/03/95/350380395.db2.gz KZBHSLYDOUSVPG-SNVBAGLBSA-N 0 0 268.338 2.958 20 5 CFBDRN Cc1cccc2c1C[C@H](Nc1ccc([N+](=O)[O-])nc1)CO2 ZINC000599123368 350380443 /nfs/dbraw/zinc/38/04/43/350380443.db2.gz MPFOCFYPABQNED-LBPRGKRZSA-N 0 0 285.303 2.714 20 5 CFBDRN COC(=O)Cc1ccc(NCC2CC=CC2)c([N+](=O)[O-])c1 ZINC000599126564 350380874 /nfs/dbraw/zinc/38/08/74/350380874.db2.gz JTTAIVFQSURDOS-UHFFFAOYSA-N 0 0 290.319 2.688 20 5 CFBDRN Cc1cnc(N[C@@H](CO)CCC(C)C)c([N+](=O)[O-])c1 ZINC000599137221 350382532 /nfs/dbraw/zinc/38/25/32/350382532.db2.gz IAQGQPSVHJKFBX-LLVKDONJSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cc(Nc2cnn(CCF)c2)ccc1[N+](=O)[O-] ZINC000599142340 350383599 /nfs/dbraw/zinc/38/35/99/350383599.db2.gz VFJBTBFAWHCOOG-UHFFFAOYSA-N 0 0 264.260 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn(CCF)c2)c1 ZINC000599142639 350383723 /nfs/dbraw/zinc/38/37/23/350383723.db2.gz ZJKOUOINFULLIG-UHFFFAOYSA-N 0 0 264.260 2.813 20 5 CFBDRN COC1CC(c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000599153215 350386283 /nfs/dbraw/zinc/38/62/83/350386283.db2.gz VQVYKXLSAXJJAY-UHFFFAOYSA-N 0 0 281.293 2.599 20 5 CFBDRN Cc1cnc(N2CCC(F)(F)C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000599162578 350386838 /nfs/dbraw/zinc/38/68/38/350386838.db2.gz NULKOWDEFOCSMO-VIFPVBQESA-N 0 0 271.267 2.922 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cccnc2C)n1 ZINC000599157438 350386937 /nfs/dbraw/zinc/38/69/37/350386937.db2.gz ZJAMYBZVNGDPFA-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000599163947 350387456 /nfs/dbraw/zinc/38/74/56/350387456.db2.gz IFTYHXQNEUXSAC-YDEXJTGXSA-N 0 0 290.323 2.695 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000599166522 350388054 /nfs/dbraw/zinc/38/80/54/350388054.db2.gz YZSUVKYJVJTHEU-BSTOTGJRSA-N 0 0 250.298 2.819 20 5 CFBDRN C/C=C/CNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 ZINC000599173344 350389209 /nfs/dbraw/zinc/38/92/09/350389209.db2.gz ZVROUOGQUJLPPS-NSCUHMNNSA-N 0 0 289.335 2.819 20 5 CFBDRN C[C@@H]1CC[C@@H](c2noc(-c3ccccc3[N+](=O)[O-])n2)O1 ZINC000599178066 350390362 /nfs/dbraw/zinc/39/03/62/350390362.db2.gz XZGXQKBLLVWCIW-KCJUWKMLSA-N 0 0 275.264 2.885 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179010 350390476 /nfs/dbraw/zinc/39/04/76/350390476.db2.gz DJWBBIJMTXQYJA-WFASDCNBSA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CO[C@@H](C4CC4)C3)ccc2c1 ZINC000599180921 350390879 /nfs/dbraw/zinc/39/08/79/350390879.db2.gz ODUKPMLLLUFASL-SWLSCSKDSA-N 0 0 299.330 2.544 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CO[C@H](C2CC2)C1 ZINC000599180340 350391065 /nfs/dbraw/zinc/39/10/65/350391065.db2.gz KSWPDNSRKYMWGI-YPMHNXCESA-N 0 0 262.309 2.883 20 5 CFBDRN C[C@H]1CC[C@H](c2noc(-c3ccc([N+](=O)[O-])s3)n2)O1 ZINC000599180315 350391083 /nfs/dbraw/zinc/39/10/83/350391083.db2.gz MBXIABPHLITSMD-NKWVEPMBSA-N 0 0 281.293 2.946 20 5 CFBDRN Cc1cnc(N2CCc3c(O)cccc3C2)c([N+](=O)[O-])c1 ZINC000599181563 350391240 /nfs/dbraw/zinc/39/12/40/350391240.db2.gz GNGRHJAUZHYXDQ-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@H](C3CC3)C2)c([N+](=O)[O-])cc1C ZINC000599181890 350391255 /nfs/dbraw/zinc/39/12/55/350391255.db2.gz UUUOUQQGHGLWKN-NHYWBVRUSA-N 0 0 292.335 2.891 20 5 CFBDRN Cc1ccc(N[C@H]2CO[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000599181989 350391360 /nfs/dbraw/zinc/39/13/60/350391360.db2.gz VWPSLAJZSLURML-RISCZKNCSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CO[C@H](C2CC2)C1 ZINC000599181356 350391481 /nfs/dbraw/zinc/39/14/81/350391481.db2.gz RMLWJYOXVWVGKJ-MFKMUULPSA-N 0 0 266.272 2.713 20 5 CFBDRN CC(C)c1nocc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000599189740 350393028 /nfs/dbraw/zinc/39/30/28/350393028.db2.gz WAGWHESKVLRPKE-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](c3ccc(O)cc3)C2)c1[N+](=O)[O-] ZINC000599193440 350393536 /nfs/dbraw/zinc/39/35/36/350393536.db2.gz ZJJKDPYPPIUQPD-CYBMUJFWSA-N 0 0 299.330 2.998 20 5 CFBDRN CCC(O)(CC)CN(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000599191827 350393616 /nfs/dbraw/zinc/39/36/16/350393616.db2.gz PHDSRWRFMUSJPJ-UHFFFAOYSA-N 0 0 266.341 2.891 20 5 CFBDRN C/C=C/C[C@H]1CCCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000599201035 350395018 /nfs/dbraw/zinc/39/50/18/350395018.db2.gz ZATVXHAMRWVISP-VUDBWIFFSA-N 0 0 276.340 2.755 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(c2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000599203429 350395895 /nfs/dbraw/zinc/39/58/95/350395895.db2.gz YWKFFSCBOQYTCG-QMAVJUDZSA-N 0 0 278.356 2.819 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(c2c([N+](=O)[O-])ncn2C)C1 ZINC000599203524 350395946 /nfs/dbraw/zinc/39/59/46/350395946.db2.gz ZWDAOGBPMBTZES-DLRQAJBASA-N 0 0 264.329 2.511 20 5 CFBDRN O=C(COc1cc(Cl)ccc1[N+](=O)[O-])C1CCOCC1 ZINC000092261084 180354229 /nfs/dbraw/zinc/35/42/29/180354229.db2.gz CTEKETBIRUNSGB-UHFFFAOYSA-N 0 0 299.710 2.623 20 5 CFBDRN CCCC[C@@H](CCC)CNC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000271372504 191103636 /nfs/dbraw/zinc/10/36/36/191103636.db2.gz IDUDICSXNKRNIV-LLVKDONJSA-N 0 0 296.371 2.665 20 5 CFBDRN Cc1noc(CN2C[C@@H](C)C(F)(F)[C@H](C)C2)c1[N+](=O)[O-] ZINC000599514853 350448869 /nfs/dbraw/zinc/44/88/69/350448869.db2.gz LWFMTWOTPLYHAK-HTQZYQBOSA-N 0 0 289.282 2.614 20 5 CFBDRN CCO[C@H](C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1)C(C)C ZINC000599744737 350489869 /nfs/dbraw/zinc/48/98/69/350489869.db2.gz NXNDTBPWMAHZQA-ZDUSSCGKSA-N 0 0 296.323 2.603 20 5 CFBDRN CCC[C@H](OCC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000599742871 350489943 /nfs/dbraw/zinc/48/99/43/350489943.db2.gz KJWRFZVSPTUZRG-RISCZKNCSA-N 0 0 294.351 2.977 20 5 CFBDRN CCC[C@H](OCC)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000599745768 350490630 /nfs/dbraw/zinc/49/06/30/350490630.db2.gz YITGIXIIKQKQPS-ZDUSSCGKSA-N 0 0 296.323 2.747 20 5 CFBDRN C/C=C\CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000599753175 350491528 /nfs/dbraw/zinc/49/15/28/350491528.db2.gz NZGQKFODZXWWCS-PLNGDYQASA-N 0 0 263.297 2.909 20 5 CFBDRN O=[N+]([O-])c1cc(CN(CC(F)F)C2CC2)cs1 ZINC000106873053 186145773 /nfs/dbraw/zinc/14/57/73/186145773.db2.gz ADFINRQPFKDVTP-UHFFFAOYSA-N 0 0 262.281 2.886 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCC1CC=CC1 ZINC000599779808 350492579 /nfs/dbraw/zinc/49/25/79/350492579.db2.gz LDCVCFUAEYATIQ-UHFFFAOYSA-N 0 0 275.308 2.991 20 5 CFBDRN CCC(F)(F)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000599780448 350493289 /nfs/dbraw/zinc/49/32/89/350493289.db2.gz XNBAYJRZKVMGDE-UHFFFAOYSA-N 0 0 273.239 2.762 20 5 CFBDRN CC[C@@H](C)CN[C@@](C)(C(=O)OC)c1cccc([N+](=O)[O-])c1 ZINC000599652215 350473497 /nfs/dbraw/zinc/47/34/97/350473497.db2.gz KUCXYMBAXZBNTR-IAQYHMDHSA-N 0 0 294.351 2.619 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@H]1CCOC1 ZINC000106508596 186121977 /nfs/dbraw/zinc/12/19/77/186121977.db2.gz CDEXFQHMRYBGGB-VIFPVBQESA-N 0 0 299.714 2.501 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CCOC1 ZINC000106508593 186122404 /nfs/dbraw/zinc/12/24/04/186122404.db2.gz CDEXFQHMRYBGGB-SECBINFHSA-N 0 0 299.714 2.501 20 5 CFBDRN Cc1cc(C(=O)N(CC(F)F)C2CC2)cc([N+](=O)[O-])c1 ZINC000106833357 186138595 /nfs/dbraw/zinc/13/85/95/186138595.db2.gz SKYIIFORVAMYGC-UHFFFAOYSA-N 0 0 284.262 2.773 20 5 CFBDRN COc1ccc(COc2nc(C(C)C)no2)cc1[N+](=O)[O-] ZINC000107418901 186174338 /nfs/dbraw/zinc/17/43/38/186174338.db2.gz BMBDQXWUYJZQCS-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN CCC1(C)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000291089300 197913655 /nfs/dbraw/zinc/91/36/55/197913655.db2.gz PUVNONINUUSJEB-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CCO[C@H](C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)C1CC1 ZINC000599960163 350501156 /nfs/dbraw/zinc/50/11/56/350501156.db2.gz ZGGNIZIZLPIQEO-AWEZNQCLSA-N 0 0 292.335 2.965 20 5 CFBDRN O=C(NCC(C1CCC1)C1CCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000600167505 350527694 /nfs/dbraw/zinc/52/76/94/350527694.db2.gz WTMVMVYSVWHMKU-UHFFFAOYSA-N 0 0 291.351 2.869 20 5 CFBDRN O=C(NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1csc([N+](=O)[O-])c1 ZINC000600167444 350527733 /nfs/dbraw/zinc/52/77/33/350527733.db2.gz FUUQGMQSDXXWLJ-BBBLOLIVSA-N 0 0 280.349 2.822 20 5 CFBDRN CCC[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)OCC ZINC000600173187 350530222 /nfs/dbraw/zinc/53/02/22/350530222.db2.gz AKMCVEGCKDHRPZ-LLVKDONJSA-N 0 0 298.314 2.669 20 5 CFBDRN CCC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)OCC ZINC000600173598 350530309 /nfs/dbraw/zinc/53/03/09/350530309.db2.gz FNKRZYLNXKIGNU-ZDUSSCGKSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@@H]1C[C@@H]1Cn1cc([N+](=O)[O-])c(-c2ccc(F)cn2)n1 ZINC000600546479 350568649 /nfs/dbraw/zinc/56/86/49/350568649.db2.gz YMBZKPMAGOVPJE-RKDXNWHRSA-N 0 0 276.271 2.648 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCCc2cnoc2)c1C ZINC000600547012 350568658 /nfs/dbraw/zinc/56/86/58/350568658.db2.gz FURRMXZFGSLQDF-UHFFFAOYSA-N 0 0 277.280 2.606 20 5 CFBDRN CC1(C)CCC(C)(C)N(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000600225937 350543373 /nfs/dbraw/zinc/54/33/73/350543373.db2.gz FCYBPXPFCVYHKA-UHFFFAOYSA-N 0 0 279.340 2.964 20 5 CFBDRN CCC(NC(=O)c1ccc([N+](=O)[O-])[nH]1)(C1CC1)C1CC1 ZINC000600245346 350545065 /nfs/dbraw/zinc/54/50/65/350545065.db2.gz ITQYVKMRZASSCG-UHFFFAOYSA-N 0 0 277.324 2.622 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCCC(F)(F)CC1 ZINC000600254507 350546021 /nfs/dbraw/zinc/54/60/21/350546021.db2.gz CMFRRNHJFZGWDW-UHFFFAOYSA-N 0 0 298.289 2.785 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N(C1CC1)C1CC1 ZINC000600361800 350550625 /nfs/dbraw/zinc/55/06/25/350550625.db2.gz XGGNGLVGBBRQHE-UHFFFAOYSA-N 0 0 294.738 2.944 20 5 CFBDRN CCC(F)(F)CNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000600379861 350552211 /nfs/dbraw/zinc/55/22/11/350552211.db2.gz JKUYISRBAAPXJL-UHFFFAOYSA-N 0 0 286.278 2.607 20 5 CFBDRN Nc1c(C(=O)NCC[C@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000600391545 350553386 /nfs/dbraw/zinc/55/33/86/350553386.db2.gz DSQJHMGZMOWALI-NSHDSACASA-N 0 0 289.335 2.653 20 5 CFBDRN C/C=C\CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000600488743 350558400 /nfs/dbraw/zinc/55/84/00/350558400.db2.gz DAKMXBAUSDDZRB-PLNGDYQASA-N 0 0 262.309 2.565 20 5 CFBDRN C/C=C\CNC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000600490829 350558451 /nfs/dbraw/zinc/55/84/51/350558451.db2.gz MEGWRKJVOISUSH-IHWYPQMZSA-N 0 0 298.726 2.709 20 5 CFBDRN C/C=C\CNC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000600490448 350558504 /nfs/dbraw/zinc/55/85/04/350558504.db2.gz IAWZGEHAMABLTH-ARJAWSKDSA-N 0 0 266.322 2.623 20 5 CFBDRN CC1(C(=O)CNC(=O)c2ccc([N+](=O)[O-])s2)CCCC1 ZINC000600487094 350558675 /nfs/dbraw/zinc/55/86/75/350558675.db2.gz RUFHUFCYUONSBA-UHFFFAOYSA-N 0 0 296.348 2.536 20 5 CFBDRN C[C@]1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H]2C[C@H]2C1 ZINC000600499687 350559684 /nfs/dbraw/zinc/55/96/84/350559684.db2.gz NVLVVXGWEVDGPY-HBYGRHMLSA-N 0 0 292.310 2.900 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2C[C@H]3C=C[C@@H]2C3)nc1C1CC1 ZINC000600530202 350565360 /nfs/dbraw/zinc/56/53/60/350565360.db2.gz BJLQGKOZYLWAAJ-WCQGTBRESA-N 0 0 259.309 2.881 20 5 CFBDRN Cc1cnc(OC[C@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC000600535016 350566320 /nfs/dbraw/zinc/56/63/20/350566320.db2.gz JOVSVPINSXIKBG-QJPTWQEYSA-N 0 0 260.293 2.889 20 5 CFBDRN COC1CC(n2cc(-c3cccc([N+](=O)[O-])c3)cn2)C1 ZINC000600535288 350567251 /nfs/dbraw/zinc/56/72/51/350567251.db2.gz RGSVCDZVIKLUPX-UHFFFAOYSA-N 0 0 273.292 2.808 20 5 CFBDRN CCO[C@@H](CSc1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000600535561 350567262 /nfs/dbraw/zinc/56/72/62/350567262.db2.gz JFXYMQYBZPLPSA-NSHDSACASA-N 0 0 268.338 2.897 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCCc1cnoc1 ZINC000600536242 350567279 /nfs/dbraw/zinc/56/72/79/350567279.db2.gz LCJPJYXCEZYKJN-UHFFFAOYSA-N 0 0 262.265 2.903 20 5 CFBDRN C[C@@H]1C[C@@H]1Cn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000600674640 350594665 /nfs/dbraw/zinc/59/46/65/350594665.db2.gz HDEGJYZWYBHPOZ-NXEZZACHSA-N 0 0 258.277 2.566 20 5 CFBDRN C[C@H]1CC(C(=O)[O-])C[C@H](C)[NH+]1Cc1cccc([N+](=O)[O-])c1 ZINC000600676704 350595656 /nfs/dbraw/zinc/59/56/56/350595656.db2.gz ZYFBFAUNKINXBV-QWRGUYRKSA-N 0 0 292.335 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCOC2)c2cccnc21 ZINC000109548152 186262336 /nfs/dbraw/zinc/26/23/36/186262336.db2.gz XTQXBDWZODDBNC-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN CCCN(CCC)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600889786 350623576 /nfs/dbraw/zinc/62/35/76/350623576.db2.gz ZZVUXOMYWRJAIO-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN C[C@]12CCCC[C@H]1CN2C(=O)c1cccc([N+](=O)[O-])c1 ZINC000600760437 350606307 /nfs/dbraw/zinc/60/63/07/350606307.db2.gz UBSRQQHQQLNVGU-WFASDCNBSA-N 0 0 274.320 3.000 20 5 CFBDRN C[C@]12CCCC[C@H]1CN2C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000600760413 350606376 /nfs/dbraw/zinc/60/63/76/350606376.db2.gz SUCFHIWXGHLESX-WFASDCNBSA-N 0 0 274.320 3.000 20 5 CFBDRN CN(C(=O)c1ccccc1[N+](=O)[O-])c1ccc(Cl)cn1 ZINC000109732877 186270764 /nfs/dbraw/zinc/27/07/64/186270764.db2.gz IDVUZDIHLWZODU-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCC2(CC2)CC1 ZINC000600769212 350607754 /nfs/dbraw/zinc/60/77/54/350607754.db2.gz QYVLDMYUDCYCCK-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc(CO)c(-c2ccc3c(n2)CCCN3)c1 ZINC000600782561 350610517 /nfs/dbraw/zinc/61/05/17/350610517.db2.gz NGEHJRBZURLQKP-UHFFFAOYSA-N 0 0 285.303 2.507 20 5 CFBDRN Cc1nc(-c2cc([N+](=O)[O-])ccc2CO)sc1C ZINC000600783327 350610763 /nfs/dbraw/zinc/61/07/63/350610763.db2.gz SHKBENFWACFDNN-UHFFFAOYSA-N 0 0 264.306 2.827 20 5 CFBDRN CCc1csc(-c2cc([N+](=O)[O-])ccc2CCO)n1 ZINC000600790991 350612656 /nfs/dbraw/zinc/61/26/56/350612656.db2.gz CMVNYXWEOZBDIQ-UHFFFAOYSA-N 0 0 278.333 2.816 20 5 CFBDRN COc1cc(NC[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000271399003 191115767 /nfs/dbraw/zinc/11/57/67/191115767.db2.gz RHMSFJBONSKLCK-UWVGGRQHSA-N 0 0 298.314 2.970 20 5 CFBDRN CCC[C@H](C)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600984489 350641606 /nfs/dbraw/zinc/64/16/06/350641606.db2.gz XLWMWQIGUFESAZ-QMMMGPOBSA-N 0 0 254.261 2.652 20 5 CFBDRN CC(C)N(C)C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600990198 350642706 /nfs/dbraw/zinc/64/27/06/350642706.db2.gz PYFASSKAAWFFKR-UHFFFAOYSA-N 0 0 276.336 2.883 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)Nc1ccc([N+](=O)[O-])cc1 ZINC000110178023 186287509 /nfs/dbraw/zinc/28/75/09/186287509.db2.gz UJUKDWIIENVPQX-CYBMUJFWSA-N 0 0 278.308 2.883 20 5 CFBDRN CCOC(C)(C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000601003420 350644222 /nfs/dbraw/zinc/64/42/22/350644222.db2.gz KNVSXJOFJNGFEJ-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN CN(CC1CC1)C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601003125 350644246 /nfs/dbraw/zinc/64/42/46/350644246.db2.gz HPEUJLOFOBQQKX-UHFFFAOYSA-N 0 0 288.347 2.885 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C1(C(F)F)CCC1 ZINC000601001697 350644292 /nfs/dbraw/zinc/64/42/92/350644292.db2.gz AQPOMBYMDMDGJH-UHFFFAOYSA-N 0 0 284.262 2.646 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)OCc1ccc([N+](=O)[O-])cc1 ZINC000110167968 186288166 /nfs/dbraw/zinc/28/81/66/186288166.db2.gz CGVASDIGQWXNPV-AWEZNQCLSA-N 0 0 293.319 2.987 20 5 CFBDRN O=C(NCc1cscn1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110260927 186289450 /nfs/dbraw/zinc/28/94/50/186289450.db2.gz IMCZMMLJBLAJOU-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601016176 350646065 /nfs/dbraw/zinc/64/60/65/350646065.db2.gz UYFMCCJJUIKMPN-IUCAKERBSA-N 0 0 268.288 2.898 20 5 CFBDRN CC(C)CCOCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601020407 350646642 /nfs/dbraw/zinc/64/66/42/350646642.db2.gz OJNCTPOCCMIMKO-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCOC2)c(Cl)c1 ZINC000110445206 186296266 /nfs/dbraw/zinc/29/62/66/186296266.db2.gz IWFDRDPDAKEXFV-QMMMGPOBSA-N 0 0 256.689 2.839 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ncc(F)cc2[N+](=O)[O-])C12CCC2 ZINC000601118885 350672813 /nfs/dbraw/zinc/67/28/13/350672813.db2.gz JDFBIAJNZUDMDW-NWDGAFQWSA-N 0 0 295.314 2.889 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H]1CCO[C@H]1C ZINC000601076201 350659808 /nfs/dbraw/zinc/65/98/08/350659808.db2.gz ZYFRGNHMGJHPTN-WDEREUQCSA-N 0 0 251.282 2.707 20 5 CFBDRN C[C@H]1OCC[C@@H]1Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000601076890 350660495 /nfs/dbraw/zinc/66/04/95/350660495.db2.gz SNLYUGURSADWAP-DGCLKSJQSA-N 0 0 287.319 2.883 20 5 CFBDRN Cc1cccc(OC[C@H]2CCO[C@H]2C)c1[N+](=O)[O-] ZINC000601079916 350661705 /nfs/dbraw/zinc/66/17/05/350661705.db2.gz GDOPDOYZHQTNGU-WDEREUQCSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1cc(OC[C@@H]2CCO[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000601081695 350662230 /nfs/dbraw/zinc/66/22/30/350662230.db2.gz SIVVDDKAIJCDIW-ZJUUUORDSA-N 0 0 269.272 2.846 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COc1c(F)cccc1[N+](=O)[O-] ZINC000601082151 350662399 /nfs/dbraw/zinc/66/23/99/350662399.db2.gz YFPZUZWTRIQCKI-DTWKUNHWSA-N 0 0 255.245 2.538 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NCC(C)(C)C ZINC000601106812 350669921 /nfs/dbraw/zinc/66/99/21/350669921.db2.gz XNSLSYYDKZVHQA-UHFFFAOYSA-N 0 0 280.250 2.805 20 5 CFBDRN C[C@H]1CCCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601107915 350669980 /nfs/dbraw/zinc/66/99/80/350669980.db2.gz CVYVVMMKLXICSY-VIFPVBQESA-N 0 0 253.277 2.755 20 5 CFBDRN Cc1occc1CN(C)c1ncc(F)cc1[N+](=O)[O-] ZINC000601106676 350670028 /nfs/dbraw/zinc/67/00/28/350670028.db2.gz UUMPVVZBJJOALL-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)[C@@H](C)O1 ZINC000601223474 350701982 /nfs/dbraw/zinc/70/19/82/350701982.db2.gz CONDEFLDENQLGH-FCHSOHFDSA-N 0 0 287.319 2.543 20 5 CFBDRN COCC1(C2CC2)CN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601224722 350702835 /nfs/dbraw/zinc/70/28/35/350702835.db2.gz AGPBAPRVPFIGBL-UHFFFAOYSA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2cnn3c2CCCC3)c(Cl)c1 ZINC000601231043 350704291 /nfs/dbraw/zinc/70/42/91/350704291.db2.gz AHCKMCNMPXIBEM-UHFFFAOYSA-N 0 0 293.714 2.920 20 5 CFBDRN COc1cc(NC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000111048740 186348399 /nfs/dbraw/zinc/34/83/99/186348399.db2.gz NRMWFVZHAOBGTK-NSHDSACASA-N 0 0 266.297 2.584 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601239197 350707055 /nfs/dbraw/zinc/70/70/55/350707055.db2.gz GZHMBHJINUPYPP-SECBINFHSA-N 0 0 298.314 2.667 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](O)C(F)(F)F ZINC000601237828 350707089 /nfs/dbraw/zinc/70/70/89/350707089.db2.gz MRGVXXXPWUWVPF-CDUCUWFYSA-N 0 0 298.648 2.972 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@H](O)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000601237975 350707208 /nfs/dbraw/zinc/70/72/08/350707208.db2.gz PVAJAYDUKGYEOR-WKEGUHRASA-N 0 0 296.220 2.766 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1cccc2c1CCOC2 ZINC000601240009 350707763 /nfs/dbraw/zinc/70/77/63/350707763.db2.gz VAZZUKIGZLCLJR-UHFFFAOYSA-N 0 0 285.303 2.675 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CCC2)[C@H]2CCCO2)c(F)c1 ZINC000601250100 350709135 /nfs/dbraw/zinc/70/91/35/350709135.db2.gz JZXZOQNEURBOHP-OLZOCXBDSA-N 0 0 295.314 2.889 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](O)[C@@H]2CCCC[C@@H]21 ZINC000601252197 350710446 /nfs/dbraw/zinc/71/04/46/350710446.db2.gz HGCKMTDNBRHTPM-XUJVJEKNSA-N 0 0 276.336 2.725 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@H](C2CCC2)CC1 ZINC000601251886 350710502 /nfs/dbraw/zinc/71/05/02/350710502.db2.gz LUHXUPMMJFRIKH-LBPRGKRZSA-N 0 0 278.356 2.735 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)CC2CSC2)cc1[N+](=O)[O-] ZINC000601281474 350716592 /nfs/dbraw/zinc/71/65/92/350716592.db2.gz OHKORSLSRCJVJQ-JTQLQIEISA-N 0 0 294.376 2.834 20 5 CFBDRN C[C@H]1CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000601258020 350710875 /nfs/dbraw/zinc/71/08/75/350710875.db2.gz SWMFAKVMNHCWCH-IUCAKERBSA-N 0 0 298.339 2.700 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCn3nccc32)c(Cl)c1 ZINC000601270147 350714008 /nfs/dbraw/zinc/71/40/08/350714008.db2.gz SFFQIQURNKKDBT-JTQLQIEISA-N 0 0 293.714 2.787 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC(OCC2CC2)CC1 ZINC000601125689 350675449 /nfs/dbraw/zinc/67/54/49/350675449.db2.gz SVYAYIFTYUIDPJ-UHFFFAOYSA-N 0 0 295.314 2.524 20 5 CFBDRN CO[C@@H](CNc1ncc(F)cc1[N+](=O)[O-])C(C)(C)C ZINC000601126030 350675798 /nfs/dbraw/zinc/67/57/98/350675798.db2.gz XWYUBIWFZMWPHS-JTQLQIEISA-N 0 0 271.292 2.602 20 5 CFBDRN C[C@H]1CCN(c2ncc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000601135450 350678232 /nfs/dbraw/zinc/67/82/32/350678232.db2.gz FEYSHCHOLRVGIB-DTWKUNHWSA-N 0 0 253.277 2.611 20 5 CFBDRN CCCCN(CCOC)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601137312 350678902 /nfs/dbraw/zinc/67/89/02/350678902.db2.gz ATCGRMOBMCFPLV-UHFFFAOYSA-N 0 0 298.314 2.623 20 5 CFBDRN CCC[C@H](C)C[NH2+][C@@](C)(CO)c1cccc([N+](=O)[O-])c1 ZINC000601150345 350682884 /nfs/dbraw/zinc/68/28/84/350682884.db2.gz WBBZFALEMUWEQT-WFASDCNBSA-N 0 0 280.368 2.828 20 5 CFBDRN CC(C)=CCNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601151876 350683015 /nfs/dbraw/zinc/68/30/15/350683015.db2.gz GWSCYAXKIKOCBA-UHFFFAOYSA-N 0 0 278.234 2.725 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1c1ncc(F)cc1[N+](=O)[O-] ZINC000601156210 350684513 /nfs/dbraw/zinc/68/45/13/350684513.db2.gz UFHPJUQGHSTOAI-SECBINFHSA-N 0 0 265.288 2.898 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCO[C@@H]1CCCCO1 ZINC000601166311 350686750 /nfs/dbraw/zinc/68/67/50/350686750.db2.gz HURTUDDMEKKMCH-SNVBAGLBSA-N 0 0 272.326 2.611 20 5 CFBDRN C[C@H](F)CCNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601168986 350687136 /nfs/dbraw/zinc/68/71/36/350687136.db2.gz HPHWJCWARVKTCJ-VIFPVBQESA-N 0 0 263.272 2.725 20 5 CFBDRN CC1(C)OCC[C@@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601169817 350687179 /nfs/dbraw/zinc/68/71/79/350687179.db2.gz GMNFBWGDOFZOAM-AWEZNQCLSA-N 0 0 287.319 2.544 20 5 CFBDRN CC(C)[C@H]1C[C@H](CNc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601171987 350688701 /nfs/dbraw/zinc/68/87/01/350688701.db2.gz SNCJROWMCODOIH-ZWNOBZJWSA-N 0 0 297.330 2.992 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)CS1 ZINC000601175574 350689619 /nfs/dbraw/zinc/68/96/19/350689619.db2.gz JGNLKDLTGGEQCK-UWVGGRQHSA-N 0 0 289.360 2.871 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC(OC2CCC2)CC1 ZINC000601175469 350689682 /nfs/dbraw/zinc/68/96/82/350689682.db2.gz OWLANSNNZAWZGG-UHFFFAOYSA-N 0 0 295.314 2.667 20 5 CFBDRN COCC1CN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000601186742 350691558 /nfs/dbraw/zinc/69/15/58/350691558.db2.gz YRFBDBDBSSVZHL-UHFFFAOYSA-N 0 0 290.241 2.696 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC[C@H](c2ccncc2)C1 ZINC000601186101 350691587 /nfs/dbraw/zinc/69/15/87/350691587.db2.gz GDVALOIFXHSXSW-NSHDSACASA-N 0 0 288.282 2.518 20 5 CFBDRN C[C@@H]1CC2(CN1c1ncc(F)cc1[N+](=O)[O-])CCOCC2 ZINC000601184470 350691678 /nfs/dbraw/zinc/69/16/78/350691678.db2.gz LZERMZZEOXDBOY-SNVBAGLBSA-N 0 0 295.314 2.524 20 5 CFBDRN COc1cc(CNc2cc(C)sc2[N+](=O)[O-])on1 ZINC000601197986 350694128 /nfs/dbraw/zinc/69/41/28/350694128.db2.gz KODXHDYTGBLSNM-UHFFFAOYSA-N 0 0 269.282 2.573 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cc1Cl)n1cccn1 ZINC000601197781 350694461 /nfs/dbraw/zinc/69/44/61/350694461.db2.gz FPCDONMQHLMUKK-UHFFFAOYSA-N 0 0 295.730 2.687 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC(Cc2ccsc2)C1 ZINC000601199014 350694899 /nfs/dbraw/zinc/69/48/99/350694899.db2.gz KZCLETROZZJGKH-UHFFFAOYSA-N 0 0 293.323 2.869 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC[C@@H]1CC1(F)F ZINC000601198660 350695076 /nfs/dbraw/zinc/69/50/76/350695076.db2.gz DKGDFLLKEVNWLY-ZCFIWIBFSA-N 0 0 261.203 2.586 20 5 CFBDRN C[C@@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])c1ccncc1 ZINC000601204249 350695997 /nfs/dbraw/zinc/69/59/97/350695997.db2.gz WRGFOAUIONSBNN-LLVKDONJSA-N 0 0 297.314 2.683 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N[C@@H]3CO[C@H](C4CC4)C3)c2c1 ZINC000601203065 350696198 /nfs/dbraw/zinc/69/61/98/350696198.db2.gz MWCUBVDDJUFBLJ-ZBEGNZNMSA-N 0 0 299.330 2.544 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601201918 350696406 /nfs/dbraw/zinc/69/64/06/350696406.db2.gz VWPHTBXOYMHVKG-SECBINFHSA-N 0 0 264.256 2.572 20 5 CFBDRN CSC1CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000601206754 350696977 /nfs/dbraw/zinc/69/69/77/350696977.db2.gz CMEJATLFKCRFBE-UHFFFAOYSA-N 0 0 287.772 2.975 20 5 CFBDRN CSC1CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000601206865 350697062 /nfs/dbraw/zinc/69/70/62/350697062.db2.gz JVOZWZVIBQODLC-UHFFFAOYSA-N 0 0 287.772 2.975 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCCC12CC2 ZINC000601211311 350698197 /nfs/dbraw/zinc/69/81/97/350698197.db2.gz ZVGIZPKMOXTOHH-ZDUSSCGKSA-N 0 0 289.335 2.699 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCCC12CC2 ZINC000601211310 350698250 /nfs/dbraw/zinc/69/82/50/350698250.db2.gz ZVGIZPKMOXTOHH-CYBMUJFWSA-N 0 0 289.335 2.699 20 5 CFBDRN CCC[C@@H](CCO)Nc1cc(OC)ccc1[N+](=O)[O-] ZINC000601211927 350698805 /nfs/dbraw/zinc/69/88/05/350698805.db2.gz AIUJXBDDUIQIQC-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]1c1ccco1 ZINC000601221745 350701438 /nfs/dbraw/zinc/70/14/38/350701438.db2.gz RANZQMSHMKLUDG-LBPRGKRZSA-N 0 0 289.291 2.739 20 5 CFBDRN CCOC(=O)CCSc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000601221922 350701600 /nfs/dbraw/zinc/70/16/00/350701600.db2.gz UBNHYGLPVDAROV-UHFFFAOYSA-N 0 0 284.337 2.652 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC[C@H]1C(F)F ZINC000601607714 350746528 /nfs/dbraw/zinc/74/65/28/350746528.db2.gz WZPNTPFPUAALTJ-VIFPVBQESA-N 0 0 290.653 2.728 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC[C@H]1C(F)F ZINC000601607809 350747433 /nfs/dbraw/zinc/74/74/33/350747433.db2.gz XHNIJSZSNAZUGC-JTQLQIEISA-N 0 0 298.289 3.000 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC12CC2 ZINC000601699646 350764491 /nfs/dbraw/zinc/76/44/91/350764491.db2.gz AUGRIUCZZFEUTA-ZDUSSCGKSA-N 0 0 290.319 2.666 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCC(C)(C)F ZINC000601627502 350750226 /nfs/dbraw/zinc/75/02/26/350750226.db2.gz YLOKRHGMYAPHGR-UHFFFAOYSA-N 0 0 297.330 2.527 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCCC23CC3)cccc1[N+](=O)[O-] ZINC000601705542 350764866 /nfs/dbraw/zinc/76/48/66/350764866.db2.gz ONSRPHSAQHGHJE-GFCCVEGCSA-N 0 0 289.335 2.699 20 5 CFBDRN CC(C)(F)CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000601689073 350760721 /nfs/dbraw/zinc/76/07/21/350760721.db2.gz YEHODFWJKXLLEJ-UHFFFAOYSA-N 0 0 279.271 2.554 20 5 CFBDRN CSC1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000601694078 350762361 /nfs/dbraw/zinc/76/23/61/350762361.db2.gz XJSNWKCKQDRPQO-UHFFFAOYSA-N 0 0 298.339 2.702 20 5 CFBDRN CCC1(CNC(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601286283 350717685 /nfs/dbraw/zinc/71/76/85/350717685.db2.gz KFUVFDIKCCUENY-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CSC1CCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000601696757 350763254 /nfs/dbraw/zinc/76/32/54/350763254.db2.gz RNBNZSORTVVJGH-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)[C@H](C)O1 ZINC000601353409 350725680 /nfs/dbraw/zinc/72/56/80/350725680.db2.gz KFYDKBNWGGRXAC-VDDIYKPWSA-N 0 0 289.291 2.926 20 5 CFBDRN CC(C)NC(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000601383135 350729165 /nfs/dbraw/zinc/72/91/65/350729165.db2.gz MIQLDKMPLMQMEX-LBPRGKRZSA-N 0 0 295.364 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CCO)CC2)nc1-c1ccccc1 ZINC000431497361 383678587 /nfs/dbraw/zinc/67/85/87/383678587.db2.gz WZDHEVBINKGUNQ-UHFFFAOYSA-N 0 0 299.330 2.984 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H](C)O[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000601410336 350730915 /nfs/dbraw/zinc/73/09/15/350730915.db2.gz CCHPASBYDGOGMX-XZUYRWCXSA-N 0 0 292.335 2.586 20 5 CFBDRN CC1(F)CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000601566012 350741144 /nfs/dbraw/zinc/74/11/44/350741144.db2.gz LKEMBCKEHJIUMJ-UHFFFAOYSA-N 0 0 295.314 2.628 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCCC23CC3)ccc1[N+](=O)[O-] ZINC000601702173 350764183 /nfs/dbraw/zinc/76/41/83/350764183.db2.gz BXJNMLRZVHEPKX-ZDUSSCGKSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCCC12CC2 ZINC000601706047 350765749 /nfs/dbraw/zinc/76/57/49/350765749.db2.gz RKCXOOMCEMAQQG-GFCCVEGCSA-N 0 0 274.320 2.966 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@H](C(F)F)CC2)cs1 ZINC000601973547 350821524 /nfs/dbraw/zinc/82/15/24/350821524.db2.gz PVVXAFKRAFTILK-VIFPVBQESA-N 0 0 292.307 2.512 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@]12C[C@H]1CCCC2 ZINC000601768336 350773457 /nfs/dbraw/zinc/77/34/57/350773457.db2.gz ASFMPCHTUHZVFV-MEBBXXQBSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1cc(C(=O)N[C@@]23C[C@@H]2CCCC3)ccc1[N+](=O)[O-] ZINC000601770887 350773551 /nfs/dbraw/zinc/77/35/51/350773551.db2.gz DEWXDGGXXTZLDY-WFASDCNBSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCCC2)c1cccc([N+](=O)[O-])c1 ZINC000601772689 350773914 /nfs/dbraw/zinc/77/39/14/350773914.db2.gz IQXLQXJUWBYSLI-FZMZJTMJSA-N 0 0 260.293 2.657 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@]12C[C@@H]1CCCC2 ZINC000601773159 350773985 /nfs/dbraw/zinc/77/39/85/350773985.db2.gz JLRTZWRDDATEMQ-NHYWBVRUSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCCC2)c1ccc([N+](=O)[O-])s1 ZINC000601772581 350774059 /nfs/dbraw/zinc/77/40/59/350774059.db2.gz HZIZYHWKAQNCSC-UFBFGSQYSA-N 0 0 266.322 2.719 20 5 CFBDRN COc1c(C(=O)N[C@]23C[C@H]2CCCC3)cccc1[N+](=O)[O-] ZINC000601773222 350774068 /nfs/dbraw/zinc/77/40/68/350774068.db2.gz KPIMMHARJVGKIX-MEBBXXQBSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCCC2)c1cccc([N+](=O)[O-])c1 ZINC000601772688 350774202 /nfs/dbraw/zinc/77/42/02/350774202.db2.gz IQXLQXJUWBYSLI-BXUZGUMPSA-N 0 0 260.293 2.657 20 5 CFBDRN Cc1c(CC(=O)N[C@]23C[C@H]2CCCC3)cccc1[N+](=O)[O-] ZINC000601777446 350775024 /nfs/dbraw/zinc/77/50/24/350775024.db2.gz RZJXKWHYGUMRNY-CZUORRHYSA-N 0 0 288.347 2.895 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCCC2)c1cc(F)cc([N+](=O)[O-])c1 ZINC000601778913 350775070 /nfs/dbraw/zinc/77/50/70/350775070.db2.gz WDJXLHYNWRVPCU-HZMBPMFUSA-N 0 0 278.283 2.796 20 5 CFBDRN C[C@@H](CN(C)c1ccc([N+](=O)[O-])c(C(N)=O)c1)C(C)(C)C ZINC000601980293 350822260 /nfs/dbraw/zinc/82/22/60/350822260.db2.gz GWUXRSPHORKTCA-JTQLQIEISA-N 0 0 293.367 2.812 20 5 CFBDRN C[C@H](CN(C)c1cc[nH]c(=O)c1[N+](=O)[O-])C(C)(C)C ZINC000601981813 350823086 /nfs/dbraw/zinc/82/30/86/350823086.db2.gz YYAPUTAYAPDTAW-SECBINFHSA-N 0 0 267.329 2.814 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000601871025 350795261 /nfs/dbraw/zinc/79/52/61/350795261.db2.gz RILRJNZQUWBEGR-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000601871386 350795621 /nfs/dbraw/zinc/79/56/21/350795621.db2.gz STACGVDMDGFWGA-SNVBAGLBSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1C(F)(F)F ZINC000601889337 350798198 /nfs/dbraw/zinc/79/81/98/350798198.db2.gz NADMXTNYMMWUHG-HTQZYQBOSA-N 0 0 288.225 2.584 20 5 CFBDRN COc1ccc(SCCn2c(C)ncc2[N+](=O)[O-])cc1 ZINC000601911414 350799800 /nfs/dbraw/zinc/79/98/00/350799800.db2.gz JIHATSGIMINAGC-UHFFFAOYSA-N 0 0 293.348 2.901 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCC1CC=CC1 ZINC000601917057 350801573 /nfs/dbraw/zinc/80/15/73/350801573.db2.gz DWHHQLBQAWHODM-UHFFFAOYSA-N 0 0 267.354 2.799 20 5 CFBDRN COC1(CCSCCn2c(C)ncc2[N+](=O)[O-])CCC1 ZINC000601917804 350802183 /nfs/dbraw/zinc/80/21/83/350802183.db2.gz LTQNUNYCDDFQJC-UHFFFAOYSA-N 0 0 299.396 2.792 20 5 CFBDRN O=C1C[C@H]2CCCCC2=NN1c1cccc([N+](=O)[O-])c1 ZINC000601931070 350805235 /nfs/dbraw/zinc/80/52/35/350805235.db2.gz FEIPSSAPYJVXHV-SNVBAGLBSA-N 0 0 273.292 2.878 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000602070727 350837402 /nfs/dbraw/zinc/83/74/02/350837402.db2.gz IIKFSJOQYUDYOQ-MFKMUULPSA-N 0 0 294.351 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@]12CCC[C@H]1OCC2 ZINC000602229337 350854166 /nfs/dbraw/zinc/85/41/66/350854166.db2.gz HYBSCHZILLUFOT-HIFRSBDPSA-N 0 0 290.319 2.801 20 5 CFBDRN CC(=O)CC(C)(C)CC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000602226636 350854322 /nfs/dbraw/zinc/85/43/22/350854322.db2.gz BYAOVFKCIRWNKE-UHFFFAOYSA-N 0 0 278.308 2.929 20 5 CFBDRN CCOC(C)(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000602232477 350855001 /nfs/dbraw/zinc/85/50/01/350855001.db2.gz UTUYIHGWOVGRBM-UHFFFAOYSA-N 0 0 280.324 2.965 20 5 CFBDRN CN(C(=O)[C@H]1CCCC12CC2)c1ccc([N+](=O)[O-])nc1 ZINC000602324092 350863949 /nfs/dbraw/zinc/86/39/49/350863949.db2.gz ZUALOCKKJMEBRO-LLVKDONJSA-N 0 0 275.308 2.533 20 5 CFBDRN CC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111273049 186385383 /nfs/dbraw/zinc/38/53/83/186385383.db2.gz RQQHDFKSHJBTMS-FZMZJTMJSA-N 0 0 264.325 2.594 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])CC1CCC1 ZINC000111298212 186392665 /nfs/dbraw/zinc/39/26/65/186392665.db2.gz AOUNKICRKFBBKB-UHFFFAOYSA-N 0 0 252.289 2.966 20 5 CFBDRN C[C@@H]1CN(Cc2cn(C)nc2[N+](=O)[O-])[C@@H]1c1ccccc1 ZINC000602488006 350904107 /nfs/dbraw/zinc/90/41/07/350904107.db2.gz YBTKTRDFONPXRM-RISCZKNCSA-N 0 0 286.335 2.521 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1C2CCC1CC2 ZINC000602366024 350870297 /nfs/dbraw/zinc/87/02/97/350870297.db2.gz WIHRLIULCHCKFS-UHFFFAOYSA-N 0 0 261.281 2.754 20 5 CFBDRN Cc1cccc(C(=O)Nc2nccc(C3CC3)n2)c1[N+](=O)[O-] ZINC000602381639 350872229 /nfs/dbraw/zinc/87/22/29/350872229.db2.gz ASFXBKAPWPOMOP-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CC(C)(NCc1nc2cc([N+](=O)[O-])ccc2o1)C(F)F ZINC000602647035 350960724 /nfs/dbraw/zinc/96/07/24/350960724.db2.gz GCWUFIFPNMMVFT-UHFFFAOYSA-N 0 0 285.250 2.869 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1CNCC(F)F ZINC000602652337 350962033 /nfs/dbraw/zinc/96/20/33/350962033.db2.gz CQPKIXLGDWBEIL-UHFFFAOYSA-N 0 0 284.184 2.968 20 5 CFBDRN Cc1ccc([C@H](C)N(C)Cc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000602762037 351015939 /nfs/dbraw/zinc/01/59/39/351015939.db2.gz FHFMYNMWRWVLGW-LBPRGKRZSA-N 0 0 288.351 2.830 20 5 CFBDRN Cc1ccc([C@@H](C)N(C)Cc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000602762036 351016002 /nfs/dbraw/zinc/01/60/02/351016002.db2.gz FHFMYNMWRWVLGW-GFCCVEGCSA-N 0 0 288.351 2.830 20 5 CFBDRN Cn1cc(CN2CCC[C@@H]2c2ccsc2)c([N+](=O)[O-])n1 ZINC000602763498 351017538 /nfs/dbraw/zinc/01/75/38/351017538.db2.gz PDWHLKBQYDBGFL-GFCCVEGCSA-N 0 0 292.364 2.727 20 5 CFBDRN CC(C)N(Cc1cn(C)nc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000602791221 351027214 /nfs/dbraw/zinc/02/72/14/351027214.db2.gz ODEFJJSBWDCCNS-UHFFFAOYSA-N 0 0 292.314 2.882 20 5 CFBDRN C[C@@H]1C[C@@H]1N(Cc1cn(C)nc1[N+](=O)[O-])c1ccccc1 ZINC000602841147 351043076 /nfs/dbraw/zinc/04/30/76/351043076.db2.gz RLXRBPPHCFSANC-RISCZKNCSA-N 0 0 286.335 2.743 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC[C@H]2c2ncccn2)c1 ZINC000602897523 351060539 /nfs/dbraw/zinc/06/05/39/351060539.db2.gz KPNOQNIKRSVDDB-AWEZNQCLSA-N 0 0 284.319 2.722 20 5 CFBDRN CSCc1cn([C@H](C)c2cccc([N+](=O)[O-])c2)nn1 ZINC000603185571 351115645 /nfs/dbraw/zinc/11/56/45/351115645.db2.gz JBBNJYDZMSEZPG-SECBINFHSA-N 0 0 278.337 2.659 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)n1cc(C2CC2)nn1 ZINC000603185319 351115927 /nfs/dbraw/zinc/11/59/27/351115927.db2.gz FVISFAZNWPIXTP-VIFPVBQESA-N 0 0 258.281 2.673 20 5 CFBDRN CCCN(CC(C)C)C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602959606 351072719 /nfs/dbraw/zinc/07/27/19/351072719.db2.gz YRBLJNXJCHYBPI-UHFFFAOYSA-N 0 0 294.355 2.620 20 5 CFBDRN CC1(F)CCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000602993173 351087323 /nfs/dbraw/zinc/08/73/23/351087323.db2.gz SGARWLBTOPNGQW-UHFFFAOYSA-N 0 0 292.314 2.795 20 5 CFBDRN O=[N+]([O-])c1c(NCC2CSC2)ccc2ncccc21 ZINC000603412496 351159460 /nfs/dbraw/zinc/15/94/60/351159460.db2.gz DKZOVODBHBDLRX-UHFFFAOYSA-N 0 0 275.333 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCC3CSC3)c2c1 ZINC000603414049 351159755 /nfs/dbraw/zinc/15/97/55/351159755.db2.gz NOSGWZLXQQVDBJ-UHFFFAOYSA-N 0 0 275.333 2.918 20 5 CFBDRN CCc1nnc(Sc2ccc(C(C)=O)cc2[N+](=O)[O-])o1 ZINC000603417976 351160383 /nfs/dbraw/zinc/16/03/83/351160383.db2.gz RMZPLRUHJALXII-UHFFFAOYSA-N 0 0 293.304 2.894 20 5 CFBDRN COCc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000603425697 351161746 /nfs/dbraw/zinc/16/17/46/351161746.db2.gz XGCJNWCLVIWMEA-SNVBAGLBSA-N 0 0 291.307 2.580 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000603265946 351127115 /nfs/dbraw/zinc/12/71/15/351127115.db2.gz IPEJQTPFIOGYFD-JTQLQIEISA-N 0 0 278.308 2.616 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000603268353 351127897 /nfs/dbraw/zinc/12/78/97/351127897.db2.gz ZDNNDWRSOQQDIN-SSDOTTSWSA-N 0 0 288.225 2.848 20 5 CFBDRN Cc1ccc(SCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000603300210 351133699 /nfs/dbraw/zinc/13/36/99/351133699.db2.gz NDAORCNOMAEUJJ-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN Cn1cc(CSCc2ccccc2)c([N+](=O)[O-])n1 ZINC000603302483 351134375 /nfs/dbraw/zinc/13/43/75/351134375.db2.gz ZBBBBSVPKLWSFN-UHFFFAOYSA-N 0 0 263.322 2.762 20 5 CFBDRN Cc1nn(C[C@@H]2C[C@H]3C=C[C@@H]2C3)c2ncc([N+](=O)[O-])cc12 ZINC000603317721 351138057 /nfs/dbraw/zinc/13/80/57/351138057.db2.gz BLMSOYQRQGWERF-TUAOUCFPSA-N 0 0 284.319 2.860 20 5 CFBDRN Cc1nn(Cc2cnoc2C2CC2)c2ncc([N+](=O)[O-])cc12 ZINC000603326288 351139751 /nfs/dbraw/zinc/13/97/51/351139751.db2.gz MMRRWMAPRSDZCE-UHFFFAOYSA-N 0 0 299.290 2.562 20 5 CFBDRN COC(=O)C[C@@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000604304908 351205517 /nfs/dbraw/zinc/20/55/17/351205517.db2.gz LAHWXONTLUIYLX-NSHDSACASA-N 0 0 298.364 2.574 20 5 CFBDRN Cc1occc1CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000604311128 351206324 /nfs/dbraw/zinc/20/63/24/351206324.db2.gz RCHUTASLGNFPEJ-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN Cc1c(C(=O)NC[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000604395882 351210740 /nfs/dbraw/zinc/21/07/40/351210740.db2.gz GYLGVYZWHKKHHP-SECBINFHSA-N 0 0 262.309 2.679 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H](CO)Cc1ccco1 ZINC000604432675 351211993 /nfs/dbraw/zinc/21/19/93/351211993.db2.gz NUXZNBKTCUHUSP-SECBINFHSA-N 0 0 282.321 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@]2(CO)C[C@H]2c2ccccc2)nc1 ZINC000291271973 197974013 /nfs/dbraw/zinc/97/40/13/197974013.db2.gz ZUMAFQUMRRWFKH-GOEBONIOSA-N 0 0 299.330 2.568 20 5 CFBDRN O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCCC1 ZINC000116713298 186721293 /nfs/dbraw/zinc/72/12/93/186721293.db2.gz WHECOAPVZSWGAG-UHFFFAOYSA-N 0 0 298.726 2.640 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CO[C@H](C)CC ZINC000291374303 198004240 /nfs/dbraw/zinc/00/42/40/198004240.db2.gz DJYPCCQGHWFTRK-SNVBAGLBSA-N 0 0 280.324 2.911 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1ccncc1[N+](=O)[O-])CC2 ZINC000609288402 351494603 /nfs/dbraw/zinc/49/46/03/351494603.db2.gz RLMPAXQHCNLZBF-ZDUSSCGKSA-N 0 0 269.304 2.820 20 5 CFBDRN CC(C)c1ccc(CNCc2cocn2)cc1[N+](=O)[O-] ZINC000609353991 351497805 /nfs/dbraw/zinc/49/78/05/351497805.db2.gz IQVUDIYBVXDFQV-UHFFFAOYSA-N 0 0 275.308 2.996 20 5 CFBDRN C[C@@H](c1ccccc1)[C@H](CO)Nc1ncccc1[N+](=O)[O-] ZINC000609496687 351504223 /nfs/dbraw/zinc/50/42/23/351504223.db2.gz OKEXADFVBKYOLK-AAEUAGOBSA-N 0 0 287.319 2.566 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@H](C)O1 ZINC000609513222 351505239 /nfs/dbraw/zinc/50/52/39/351505239.db2.gz QFXADRMRLAPFKP-DTWKUNHWSA-N 0 0 256.327 2.660 20 5 CFBDRN COC(=O)c1cc(OCC2CCCC2)ccc1[N+](=O)[O-] ZINC000609563221 351507777 /nfs/dbraw/zinc/50/77/77/351507777.db2.gz MFLPKHVJCOBCOO-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000291419217 198016475 /nfs/dbraw/zinc/01/64/75/198016475.db2.gz KDRYVEAUUKEMDW-SECBINFHSA-N 0 0 266.297 2.521 20 5 CFBDRN O=C(Nc1ccc2nccn2c1)c1csc([N+](=O)[O-])c1 ZINC000609618953 351512186 /nfs/dbraw/zinc/51/21/86/351512186.db2.gz OFXSNLVJMFPZQQ-UHFFFAOYSA-N 0 0 288.288 2.556 20 5 CFBDRN C[C@H](C[C@@H]1CCCO1)Nc1ccccc1[N+](=O)[O-] ZINC000608831513 351478723 /nfs/dbraw/zinc/47/87/23/351478723.db2.gz IMEYENUPOGWPBJ-MNOVXSKESA-N 0 0 250.298 2.964 20 5 CFBDRN C[C@@H](C[C@@H]1CCCO1)Nc1ccccc1[N+](=O)[O-] ZINC000608831514 351478865 /nfs/dbraw/zinc/47/88/65/351478865.db2.gz IMEYENUPOGWPBJ-QWRGUYRKSA-N 0 0 250.298 2.964 20 5 CFBDRN C[C@H](CC(=O)N1CCc2ccc([N+](=O)[O-])cc21)n1cccc1 ZINC000609152164 351488717 /nfs/dbraw/zinc/48/87/17/351488717.db2.gz JQVFOZQNIQYQRO-GFCCVEGCSA-N 0 0 299.330 2.937 20 5 CFBDRN C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)n1cccc1 ZINC000609152286 351488726 /nfs/dbraw/zinc/48/87/26/351488726.db2.gz UFCAUUTYOMLKJW-NSHDSACASA-N 0 0 273.292 2.986 20 5 CFBDRN CCCCOCC(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000291401139 198011620 /nfs/dbraw/zinc/01/16/20/198011620.db2.gz HUFUIHQYJPSYDV-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN COCCCCCNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000119447746 186828415 /nfs/dbraw/zinc/82/84/15/186828415.db2.gz GWNQDDWGIXEUED-UHFFFAOYSA-N 0 0 286.303 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2OCCc3ccccc32)cn1 ZINC000609797376 351519755 /nfs/dbraw/zinc/51/97/55/351519755.db2.gz RUYGNNWQVFQABM-CQSZACIVSA-N 0 0 285.303 2.716 20 5 CFBDRN O=C(NCCCC1CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000609890816 351526400 /nfs/dbraw/zinc/52/64/00/351526400.db2.gz OWVKUFBQOHHMBS-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN CC[C@H](C)[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000609974636 351529395 /nfs/dbraw/zinc/52/93/95/351529395.db2.gz UXPRCKFGTJISTA-WCQYABFASA-N 0 0 293.367 2.974 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccccc2)s1 ZINC000610076398 351533368 /nfs/dbraw/zinc/53/33/68/351533368.db2.gz VXRDBXKICXLFNM-JTQLQIEISA-N 0 0 264.306 2.802 20 5 CFBDRN CC1(C)CCN1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000610116662 351534330 /nfs/dbraw/zinc/53/43/30/351534330.db2.gz LUWJGXFLHZLISV-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCCOC[C@H]1CCOC1 ZINC000610131396 351534572 /nfs/dbraw/zinc/53/45/72/351534572.db2.gz DYNNHXSWMOFBSP-SNVBAGLBSA-N 0 0 286.353 2.511 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000610126486 351534709 /nfs/dbraw/zinc/53/47/09/351534709.db2.gz NTAFKTIIMIJGBS-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cccc([C@H](C)CNc2ccncc2[N+](=O)[O-])c1 ZINC000610160896 351535890 /nfs/dbraw/zinc/53/58/90/351535890.db2.gz UWHDJJVTCCCRTE-GFCCVEGCSA-N 0 0 271.320 2.936 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NC1CCC(C)(C)CC1 ZINC000610179315 351537545 /nfs/dbraw/zinc/53/75/45/351537545.db2.gz HLNZYPHJAYWVIG-UHFFFAOYSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000610210078 351540669 /nfs/dbraw/zinc/54/06/69/351540669.db2.gz USKNQAVXURLQJC-LQJRIPTKSA-N 0 0 292.360 2.825 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ncc(Cl)s1 ZINC000610247051 351544834 /nfs/dbraw/zinc/54/48/34/351544834.db2.gz MSCTUQVBBIAIBU-UHFFFAOYSA-N 0 0 297.723 2.886 20 5 CFBDRN Cc1ccccc1[C@H]1CN(c2cccnc2[N+](=O)[O-])CCO1 ZINC000610279817 351547667 /nfs/dbraw/zinc/54/76/67/351547667.db2.gz JJZCWIKHJSCMGY-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)c([N+](=O)[O-])c1 ZINC000610284266 351549379 /nfs/dbraw/zinc/54/93/79/351549379.db2.gz JTXBGXVURZFELK-UHTWSYAYSA-N 0 0 291.351 2.914 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000610401334 351563625 /nfs/dbraw/zinc/56/36/25/351563625.db2.gz BLWRVZJBSPIZTG-YPMHNXCESA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1C[C@H]2CC[C@@H](C1)O2 ZINC000610410623 351563716 /nfs/dbraw/zinc/56/37/16/351563716.db2.gz LGQULWZFURKQBG-SDDRHHMPSA-N 0 0 262.309 2.519 20 5 CFBDRN C[C@H](CCO)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130399243 187490303 /nfs/dbraw/zinc/49/03/03/187490303.db2.gz FGLWLKJPMTZXHF-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@]2(C)CCCC[C@@H]2O)c1 ZINC000610489337 351569584 /nfs/dbraw/zinc/56/95/84/351569584.db2.gz IWIPHROVDJBTOW-LSDHHAIUSA-N 0 0 294.351 2.957 20 5 CFBDRN CCOC[C@H]1CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000610489055 351569708 /nfs/dbraw/zinc/56/97/08/351569708.db2.gz UMJCTQNKSTUTOD-VIFPVBQESA-N 0 0 256.327 2.519 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C1CC1 ZINC000120235208 186885275 /nfs/dbraw/zinc/88/52/75/186885275.db2.gz AGDUBQZEWYKNJT-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN CCN(CC)C(=O)CCCNc1ccc([N+](=O)[O-])s1 ZINC000610550317 351581063 /nfs/dbraw/zinc/58/10/63/351581063.db2.gz MSIGVAGUJWQINA-UHFFFAOYSA-N 0 0 285.369 2.717 20 5 CFBDRN COC[C@@H]1CCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000610627872 351586529 /nfs/dbraw/zinc/58/65/29/351586529.db2.gz GWJBEWVZAJWKIN-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2cnc3ccccn23)nc1 ZINC000610648498 351587566 /nfs/dbraw/zinc/58/75/66/351587566.db2.gz PEPPMASKXJGXQW-XQRVVYSFSA-N 0 0 266.260 2.808 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1COc2c1cccc2Cl ZINC000610709923 351595093 /nfs/dbraw/zinc/59/50/93/351595093.db2.gz MGIRFBLREKVJCX-VIFPVBQESA-N 0 0 294.698 2.527 20 5 CFBDRN COC(C)(C)CN(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000610720741 351597052 /nfs/dbraw/zinc/59/70/52/351597052.db2.gz LYPQYCCMJAWYDX-UHFFFAOYSA-N 0 0 270.304 2.591 20 5 CFBDRN C[C@@]1(c2ccccc2)CN(c2ccc([N+](=O)[O-])nc2)CCO1 ZINC000610734810 351600372 /nfs/dbraw/zinc/60/03/72/351600372.db2.gz NRYNXJDUECJKRY-INIZCTEOSA-N 0 0 299.330 2.742 20 5 CFBDRN Cc1nc(N[C@H]2CCCc3c2cnn3C)ccc1[N+](=O)[O-] ZINC000610754612 351604072 /nfs/dbraw/zinc/60/40/72/351604072.db2.gz MCQRJXVGGIGCSE-NSHDSACASA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1nc(NC(=O)[C@H](C)c2ccco2)ccc1[N+](=O)[O-] ZINC000610774718 351607566 /nfs/dbraw/zinc/60/75/66/351607566.db2.gz CZWVIGCMSYHDIX-MRVPVSSYSA-N 0 0 275.264 2.633 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CCOC(C)(C)C)c1 ZINC000610856130 351614293 /nfs/dbraw/zinc/61/42/93/351614293.db2.gz CDOGEQMFUQGUCW-UHFFFAOYSA-N 0 0 282.340 2.855 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCOC2(CCCC2)C1 ZINC000610807601 351610728 /nfs/dbraw/zinc/61/07/28/351610728.db2.gz NXDBOCSHQJDIDO-UHFFFAOYSA-N 0 0 268.338 2.806 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1ccc([N+](=O)[O-])s1 ZINC000610340473 351555926 /nfs/dbraw/zinc/55/59/26/351555926.db2.gz BCSYFYREJRJENP-DTWKUNHWSA-N 0 0 258.343 2.865 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000120088925 186874573 /nfs/dbraw/zinc/87/45/73/186874573.db2.gz WCNZCSVYMDKRSC-NWDGAFQWSA-N 0 0 276.336 2.784 20 5 CFBDRN CCOCCC1(CNc2cccnc2[N+](=O)[O-])CC1 ZINC000611030542 351635159 /nfs/dbraw/zinc/63/51/59/351635159.db2.gz MESQNMCOIPUPGQ-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CC(C)Oc1cc(CNc2cccnc2[N+](=O)[O-])ccn1 ZINC000611030806 351635278 /nfs/dbraw/zinc/63/52/78/351635278.db2.gz JAAVISNJPQAMPA-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN CN(CCc1nccs1)c1ccc([N+](=O)[O-])s1 ZINC000611078810 351638330 /nfs/dbraw/zinc/63/83/30/351638330.db2.gz ZWQZDQBDJIGNIH-UHFFFAOYSA-N 0 0 269.351 2.792 20 5 CFBDRN Cc1ccnc(N2CC=C(C(F)(F)F)CC2)c1[N+](=O)[O-] ZINC000611133188 351643485 /nfs/dbraw/zinc/64/34/85/351643485.db2.gz AEQFLQVTRRESMH-UHFFFAOYSA-N 0 0 287.241 2.997 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])cc2C)CCOCC1 ZINC000120535832 186906551 /nfs/dbraw/zinc/90/65/51/186906551.db2.gz HJOYKOIQXGLFKR-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCO[C@H]2C2CC2)s1 ZINC000611166634 351645920 /nfs/dbraw/zinc/64/59/20/351645920.db2.gz IEYVLWNIZSWDOC-KCJUWKMLSA-N 0 0 254.311 2.636 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CCC1 ZINC000611197250 351651774 /nfs/dbraw/zinc/65/17/74/351651774.db2.gz DGMIRRWWLATGFR-SECBINFHSA-N 0 0 287.319 2.995 20 5 CFBDRN CC[N@@H+]1C[C@H](C)N(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H]1C ZINC000611221660 351652409 /nfs/dbraw/zinc/65/24/09/351652409.db2.gz JHPBMGQDRIYHEM-UWVGGRQHSA-N 0 0 298.774 2.562 20 5 CFBDRN C[C@@H](CO)COc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000611225895 351653556 /nfs/dbraw/zinc/65/35/56/351653556.db2.gz HQRVLBPNAISCBU-ZETCQYMHSA-N 0 0 279.214 2.621 20 5 CFBDRN COC(=O)c1cc(N2CC[C@@](C)(F)C2)cc(C)c1[N+](=O)[O-] ZINC000611228254 351653580 /nfs/dbraw/zinc/65/35/80/351653580.db2.gz AWUWTQBNUOCJCO-CQSZACIVSA-N 0 0 296.298 2.628 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)c(C)nn1 ZINC000611235067 351654269 /nfs/dbraw/zinc/65/42/69/351654269.db2.gz DTVGBMNSXPBFFS-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])c(C)c2)nn1C ZINC000610861163 351616617 /nfs/dbraw/zinc/61/66/17/351616617.db2.gz COQDEMSERMKCJK-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CCOC(C)(C)C ZINC000610862681 351616675 /nfs/dbraw/zinc/61/66/75/351616675.db2.gz ZNIWRTWWXASZRD-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1CCOC2(CCOCC2)C1 ZINC000610864701 351617522 /nfs/dbraw/zinc/61/75/22/351617522.db2.gz SOLFZMLKULCNKE-JTQLQIEISA-N 0 0 298.364 2.796 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCC[C@@H]1CCCC[C@@H]1O ZINC000610909529 351620435 /nfs/dbraw/zinc/62/04/35/351620435.db2.gz CFUQPWWTBLDWJP-AAEUAGOBSA-N 0 0 279.340 2.733 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000610946486 351623239 /nfs/dbraw/zinc/62/32/39/351623239.db2.gz NARRBXCYVGAERO-SECBINFHSA-N 0 0 285.731 2.506 20 5 CFBDRN CCC[C@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000610968481 351626949 /nfs/dbraw/zinc/62/69/49/351626949.db2.gz KXGABTVCIGNSIW-JTQLQIEISA-N 0 0 264.325 2.751 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)OCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000611385343 351678148 /nfs/dbraw/zinc/67/81/48/351678148.db2.gz JMSMIJDTXSYASJ-MNOVXSKESA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H](CO)Cc1ccco1 ZINC000611411296 351685021 /nfs/dbraw/zinc/68/50/21/351685021.db2.gz AKNSIHQWSGAGMM-VIFPVBQESA-N 0 0 282.321 2.512 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1ccccc1CO ZINC000611411637 351685080 /nfs/dbraw/zinc/68/50/80/351685080.db2.gz GPHOFVGXXNNBFR-UHFFFAOYSA-N 0 0 264.306 2.761 20 5 CFBDRN O=c1[nH]c2c(cc1CNc1sccc1[N+](=O)[O-])CCC2 ZINC000611411968 351685110 /nfs/dbraw/zinc/68/51/10/351685110.db2.gz KYWKLYNIMSBFIA-UHFFFAOYSA-N 0 0 291.332 2.858 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@H]([C@H]2CCOC2)C1 ZINC000611413661 351686808 /nfs/dbraw/zinc/68/68/08/351686808.db2.gz KYSLRLFOVWFTTA-UWVGGRQHSA-N 0 0 268.338 2.519 20 5 CFBDRN C[C@@H]1CCN(c2sccc2[N+](=O)[O-])C[C@H]1n1ccnc1 ZINC000611413610 351686909 /nfs/dbraw/zinc/68/69/09/351686909.db2.gz HYYYKBSYLTVOIE-ZYHUDNBSSA-N 0 0 292.364 2.940 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1ccc(F)cn1 ZINC000611413634 351687025 /nfs/dbraw/zinc/68/70/25/351687025.db2.gz JHAVZGLRIMIJMF-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1sccc1[N+](=O)[O-] ZINC000611414348 351687061 /nfs/dbraw/zinc/68/70/61/351687061.db2.gz VOXRMCHTAHKZOT-PSASIEDQSA-N 0 0 256.327 2.883 20 5 CFBDRN CCOC(=O)CC[C@H](C)Nc1sccc1[N+](=O)[O-] ZINC000611414073 351687062 /nfs/dbraw/zinc/68/70/62/351687062.db2.gz FVUPVKNCBZDDNV-QMMMGPOBSA-N 0 0 272.326 2.800 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CCN(c2ccccn2)C1 ZINC000611414578 351687469 /nfs/dbraw/zinc/68/74/69/351687469.db2.gz KIGQSVCTSQOVPM-JTQLQIEISA-N 0 0 290.348 2.742 20 5 CFBDRN Cc1cc(NC2(CO)CCC2)c2cccc([N+](=O)[O-])c2n1 ZINC000611444825 351690944 /nfs/dbraw/zinc/69/09/44/351690944.db2.gz SPCSSANQTKTBSD-UHFFFAOYSA-N 0 0 287.319 2.778 20 5 CFBDRN Cc1cnc(N2CCC[C@@H]2C2CCOCC2)c([N+](=O)[O-])c1 ZINC000611467958 351692997 /nfs/dbraw/zinc/69/29/97/351692997.db2.gz XUHYYEGFRIZPPC-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)NCc1cocn1 ZINC000611474266 351694650 /nfs/dbraw/zinc/69/46/50/351694650.db2.gz OFKRVXGXBLSDQI-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN C[C@@H]1CCN(CCOc2cccc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611501557 351697790 /nfs/dbraw/zinc/69/77/90/351697790.db2.gz FFOXSTHVHCWNFF-RISCZKNCSA-N 0 0 282.315 2.654 20 5 CFBDRN C[C@@H]1CCN(CCOc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000611501751 351698951 /nfs/dbraw/zinc/69/89/51/351698951.db2.gz HYXXWOSYLOMQSJ-BXUZGUMPSA-N 0 0 282.315 2.654 20 5 CFBDRN C[C@@H]1CCN(CCOc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000611501753 351699245 /nfs/dbraw/zinc/69/92/45/351699245.db2.gz HYXXWOSYLOMQSJ-RISCZKNCSA-N 0 0 282.315 2.654 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C[C@H]1F ZINC000611506195 351701211 /nfs/dbraw/zinc/70/12/11/351701211.db2.gz IDJWKNLPJQFRJC-QPUJVOFHSA-N 0 0 284.262 2.554 20 5 CFBDRN CC(C)(C(=O)N1CC=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000611518002 351703296 /nfs/dbraw/zinc/70/32/96/351703296.db2.gz IKRLYRLJTPRWJZ-UHFFFAOYSA-N 0 0 274.320 2.661 20 5 CFBDRN Cc1ccccc1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000611525340 351704266 /nfs/dbraw/zinc/70/42/66/351704266.db2.gz YRCDGSFSGUBLJL-UHFFFAOYSA-N 0 0 287.319 2.590 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000611525225 351704533 /nfs/dbraw/zinc/70/45/33/351704533.db2.gz OIYLTELZQBVKTL-ZANVPECISA-N 0 0 279.340 2.660 20 5 CFBDRN C[C@H]1CCCC[C@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000611525224 351704652 /nfs/dbraw/zinc/70/46/52/351704652.db2.gz OIYLTELZQBVKTL-TVQRCGJNSA-N 0 0 279.340 2.660 20 5 CFBDRN Cc1ccncc1[C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000611299175 351666185 /nfs/dbraw/zinc/66/61/85/351666185.db2.gz UKPLKMGYPZLTAP-JTQLQIEISA-N 0 0 272.308 2.597 20 5 CFBDRN Cc1ccncc1[C@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000611300660 351666250 /nfs/dbraw/zinc/66/62/50/351666250.db2.gz UXEPWCCKZUFLMO-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN CC(C)[C@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611306954 351666891 /nfs/dbraw/zinc/66/68/91/351666891.db2.gz GJADPRBCBVJTJK-ZYHUDNBSSA-N 0 0 265.313 2.605 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2C)ncn1 ZINC000611337277 351670083 /nfs/dbraw/zinc/67/00/83/351670083.db2.gz RBWZDSWUJRFPPL-LBPRGKRZSA-N 0 0 286.335 2.852 20 5 CFBDRN COCC1(C)CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000611339012 351670937 /nfs/dbraw/zinc/67/09/37/351670937.db2.gz SVFOOZKHFDKQRH-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000611339115 351671033 /nfs/dbraw/zinc/67/10/33/351671033.db2.gz ITTMGSGKXAXYMH-STQMWFEESA-N 0 0 291.351 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2nccn2C(F)F)n1 ZINC000121036242 186947231 /nfs/dbraw/zinc/94/72/31/186947231.db2.gz KFKANFQWIJRIQX-UHFFFAOYSA-N 0 0 297.265 2.526 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@H](OC)CC2)c1 ZINC000611931187 351751577 /nfs/dbraw/zinc/75/15/77/351751577.db2.gz ICGQEEZCTKLIMD-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCCOc2ccccc21 ZINC000299529309 282775614 /nfs/dbraw/zinc/77/56/14/282775614.db2.gz BNYYSIKHMBPHJP-NSHDSACASA-N 0 0 288.307 2.654 20 5 CFBDRN CC[C@@H]1CCN(c2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000611707546 351727109 /nfs/dbraw/zinc/72/71/09/351727109.db2.gz JJHDXCPQCAHGTP-SNVBAGLBSA-N 0 0 272.308 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(S[C@@H]3CCCOC3)c2c1 ZINC000611713932 351727546 /nfs/dbraw/zinc/72/75/46/351727546.db2.gz LUXKOBSSMXBYNP-SNVBAGLBSA-N 0 0 291.332 2.809 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000611775600 351739473 /nfs/dbraw/zinc/73/94/73/351739473.db2.gz XWPIZKHQWCBXOM-SNVBAGLBSA-N 0 0 276.292 2.732 20 5 CFBDRN CCC(CC)CN(CC)c1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000611565215 351709272 /nfs/dbraw/zinc/70/92/72/351709272.db2.gz RJKGDZQAQYHGBS-UHFFFAOYSA-N 0 0 295.383 2.932 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC(Oc3ccccc3)C2)n1 ZINC000611616131 351714306 /nfs/dbraw/zinc/71/43/06/351714306.db2.gz QDBZKWTZVXCVNK-UHFFFAOYSA-N 0 0 299.330 2.874 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCc3ccc(O)cc3C2)n1 ZINC000611617357 351715523 /nfs/dbraw/zinc/71/55/23/351715523.db2.gz ZXPKORCDSCNISX-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)C[C@H]2CCOC2)n1 ZINC000611617316 351715603 /nfs/dbraw/zinc/71/56/03/351715603.db2.gz WTZQKOSXNAQZEP-VXGBXAGGSA-N 0 0 279.340 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCc2ccccc2)n1 ZINC000121589184 186983340 /nfs/dbraw/zinc/98/33/40/186983340.db2.gz OXLMQLJHNDEKBQ-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)[C@H]1CCOC(C)(C)C1 ZINC000291699426 198062719 /nfs/dbraw/zinc/06/27/19/198062719.db2.gz UGJNGYRLOOCOTM-JTQLQIEISA-N 0 0 296.323 2.608 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)[C@@H]1CCOC(C)(C)C1 ZINC000291699428 198062960 /nfs/dbraw/zinc/06/29/60/198062960.db2.gz UGJNGYRLOOCOTM-SNVBAGLBSA-N 0 0 296.323 2.608 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@H](c3ccco3)C2)cs1 ZINC000121270376 186961672 /nfs/dbraw/zinc/96/16/72/186961672.db2.gz AHEHXRIOYHKSLO-LBPRGKRZSA-N 0 0 294.332 2.823 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)CC(C)C)ccc1[N+](=O)[O-] ZINC000121405518 186970824 /nfs/dbraw/zinc/97/08/24/186970824.db2.gz VEUUXDFFYINOMW-SNVBAGLBSA-N 0 0 280.324 2.768 20 5 CFBDRN CCc1nnc(COc2ccc([N+](=O)[O-])cc2)s1 ZINC000612011782 351761518 /nfs/dbraw/zinc/76/15/18/351761518.db2.gz PPUKKRILFJMKBU-UHFFFAOYSA-N 0 0 265.294 2.588 20 5 CFBDRN CCc1nnc(COc2cc([N+](=O)[O-])ccc2C)s1 ZINC000612018665 351762324 /nfs/dbraw/zinc/76/23/24/351762324.db2.gz XNFNZDMUMLPALW-UHFFFAOYSA-N 0 0 279.321 2.896 20 5 CFBDRN COc1ccc2c(c1)CC[C@H](Nc1cccnc1[N+](=O)[O-])C2 ZINC000612098691 351774371 /nfs/dbraw/zinc/77/43/71/351774371.db2.gz ZNDPMPADDSZPDH-ZDUSSCGKSA-N 0 0 299.330 2.968 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000121872802 187002254 /nfs/dbraw/zinc/00/22/54/187002254.db2.gz RMPMAXYULKQAED-LLVKDONJSA-N 0 0 264.325 2.688 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000121905817 187004058 /nfs/dbraw/zinc/00/40/58/187004058.db2.gz NLCGOMXBPYDGRF-LLVKDONJSA-N 0 0 280.324 2.524 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000122200138 187020566 /nfs/dbraw/zinc/02/05/66/187020566.db2.gz UTVIRSBOSQYRNJ-LLVKDONJSA-N 0 0 278.352 2.950 20 5 CFBDRN CSC[C@@H](C)Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000123144120 187073880 /nfs/dbraw/zinc/07/38/80/187073880.db2.gz UIJACAZLXBOWIU-MRVPVSSYSA-N 0 0 295.364 2.643 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC[C@H]3CCC[C@@H]3C2)nc1 ZINC000612785872 351861723 /nfs/dbraw/zinc/86/17/23/351861723.db2.gz WTHHWFHDNZYGSW-GMTAPVOTSA-N 0 0 262.313 2.766 20 5 CFBDRN C[C@@H](NC(=O)c1cncc(F)c1)c1cccc([N+](=O)[O-])c1 ZINC000122498685 187035595 /nfs/dbraw/zinc/03/55/95/187035595.db2.gz YGHIHXJNMLPRCO-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C[C@@H]1F ZINC000612616608 351844463 /nfs/dbraw/zinc/84/44/63/351844463.db2.gz PNWFQUZCPGAISR-KCJUWKMLSA-N 0 0 299.277 2.946 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCc2nc(C3CC3)no2)c1 ZINC000122772087 187050657 /nfs/dbraw/zinc/05/06/57/187050657.db2.gz CLXQOBCVQVCUJT-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC(=O)NC(C)(C)C)c1 ZINC000122885042 187057563 /nfs/dbraw/zinc/05/75/63/187057563.db2.gz CUHLPZQCUJNSPC-UHFFFAOYSA-N 0 0 279.340 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)[C@H]2CCOC2)c1 ZINC000122889496 187057582 /nfs/dbraw/zinc/05/75/82/187057582.db2.gz LYWZOTHTZWRJAG-MNOVXSKESA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1nn(Cc2csc(C3CC3)n2)c(C)c1[N+](=O)[O-] ZINC000124110445 187122617 /nfs/dbraw/zinc/12/26/17/187122617.db2.gz NDOYTGBSJJQVRI-UHFFFAOYSA-N 0 0 278.337 2.790 20 5 CFBDRN CSCCCNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000124138827 187123817 /nfs/dbraw/zinc/12/38/17/187123817.db2.gz OCQMXNJYODUIIP-UHFFFAOYSA-N 0 0 288.756 2.731 20 5 CFBDRN CC(C)(O)CCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000291888173 198096674 /nfs/dbraw/zinc/09/66/74/198096674.db2.gz JJSOQLJASOXZBL-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@@H]1CC[C@@H](C(F)(F)F)CN1c1ncc([N+](=O)[O-])cn1 ZINC000612794893 351862338 /nfs/dbraw/zinc/86/23/38/351862338.db2.gz GNVCZZOFNGTDOD-HTQZYQBOSA-N 0 0 290.245 2.552 20 5 CFBDRN CC(=O)c1ccc(N(C)CC2(O)CCCC2)c([N+](=O)[O-])c1 ZINC000124205988 187126733 /nfs/dbraw/zinc/12/67/33/187126733.db2.gz DBYVYQFLMGEHOG-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cc(OCCC2OCCCO2)c(F)cc1[N+](=O)[O-] ZINC000123541629 187097741 /nfs/dbraw/zinc/09/77/41/187097741.db2.gz YIBKKIQBFMBUMF-UHFFFAOYSA-N 0 0 285.271 2.574 20 5 CFBDRN Nc1c(F)c(N[C@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000291917734 198105342 /nfs/dbraw/zinc/10/53/42/198105342.db2.gz RFKUWBLMGZOAHA-ZETCQYMHSA-N 0 0 271.317 2.624 20 5 CFBDRN CCCNC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000123880178 187113074 /nfs/dbraw/zinc/11/30/74/187113074.db2.gz RKPRPKUPBWHNER-UHFFFAOYSA-N 0 0 276.214 2.753 20 5 CFBDRN Cc1cc(NCC2CC(F)(F)C2)ncc1[N+](=O)[O-] ZINC000291949216 198115437 /nfs/dbraw/zinc/11/54/37/198115437.db2.gz UHQGCBBHXRFKSU-UHFFFAOYSA-N 0 0 257.240 2.755 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2CCCS2)c1[N+](=O)[O-] ZINC000130727125 187515424 /nfs/dbraw/zinc/51/54/24/187515424.db2.gz SFBBSPUZAXEUON-JTQLQIEISA-N 0 0 280.349 2.529 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCOCC1CCC1 ZINC000613185087 351911118 /nfs/dbraw/zinc/91/11/18/351911118.db2.gz ASCPBKAIKWAMGF-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000271457982 191149494 /nfs/dbraw/zinc/14/94/94/191149494.db2.gz ZUMXQJRUPMNGKW-ZJUUUORDSA-N 0 0 268.288 2.961 20 5 CFBDRN COc1cc(Nc2cccnc2[N+](=O)[O-])ccc1F ZINC000614828766 352124869 /nfs/dbraw/zinc/12/48/69/352124869.db2.gz NXDLHIQCWHCQGW-UHFFFAOYSA-N 0 0 263.228 2.881 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])s2)CC[C@H]1C ZINC000615179126 352163539 /nfs/dbraw/zinc/16/35/39/352163539.db2.gz GFWMYJNXZOVVEK-BDAKNGLRSA-N 0 0 256.327 2.518 20 5 CFBDRN CSCCNC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000615180357 352163895 /nfs/dbraw/zinc/16/38/95/352163895.db2.gz XQLOXAIAWZDLML-UHFFFAOYSA-N 0 0 288.756 2.649 20 5 CFBDRN Cc1cccc(N2CCO[C@H](C(F)(F)F)C2)c1[N+](=O)[O-] ZINC000614976695 352138558 /nfs/dbraw/zinc/13/85/58/352138558.db2.gz AGWXDVDZALSPHO-JTQLQIEISA-N 0 0 290.241 2.671 20 5 CFBDRN Cc1cc(CNc2cccc(C)c2[N+](=O)[O-])ncn1 ZINC000614976519 352138567 /nfs/dbraw/zinc/13/85/67/352138567.db2.gz FMJJQXJKCHWCFL-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CNCc1cocn1 ZINC000615723997 352236335 /nfs/dbraw/zinc/23/63/35/352236335.db2.gz FTTGRLBAZOCSEZ-VZUCSPMQSA-N 0 0 273.292 2.776 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1[C@@H]2Cc3ccccc3[C@H]12 ZINC000132393537 187625544 /nfs/dbraw/zinc/62/55/44/187625544.db2.gz PZERKWHMDPTIRB-GUTXKFCHSA-N 0 0 281.315 2.988 20 5 CFBDRN COCC(C)(C)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000292013226 198134466 /nfs/dbraw/zinc/13/44/66/198134466.db2.gz ZPGRCRPKUWLUKR-UHFFFAOYSA-N 0 0 278.312 2.556 20 5 CFBDRN CC(C)[C@@H](CNc1cccnc1[N+](=O)[O-])c1ccnn1C ZINC000615944039 352265076 /nfs/dbraw/zinc/26/50/76/352265076.db2.gz IRKCBWIYYXCNJT-LLVKDONJSA-N 0 0 289.339 2.575 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000615949721 352266190 /nfs/dbraw/zinc/26/61/90/352266190.db2.gz DMYQCOYREZDCQS-MNOVXSKESA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000616172194 352295875 /nfs/dbraw/zinc/29/58/75/352295875.db2.gz YZQQSKGMNZOOFL-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CC[C@@]1(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCOC1 ZINC000616690201 352414719 /nfs/dbraw/zinc/41/47/19/352414719.db2.gz ONVLAQWBJPDFBM-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)CCS1 ZINC000617221879 352520516 /nfs/dbraw/zinc/52/05/16/352520516.db2.gz UUPTZKXXQJRYFW-MRVPVSSYSA-N 0 0 258.368 2.988 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000617431453 352563441 /nfs/dbraw/zinc/56/34/41/352563441.db2.gz WJGADHCAKQKAHU-BDAKNGLRSA-N 0 0 267.329 2.516 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC2CC(F)(F)C2)n1 ZINC000617486691 352572205 /nfs/dbraw/zinc/57/22/05/352572205.db2.gz DRDIVRIWEIKBSC-UHFFFAOYSA-N 0 0 257.240 2.816 20 5 CFBDRN Cc1occc1CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000617706965 352630393 /nfs/dbraw/zinc/63/03/93/352630393.db2.gz MPFLEYQAKJLJOQ-JTQLQIEISA-N 0 0 288.303 2.916 20 5 CFBDRN CCOc1cc(N2CCC23CCOCC3)ccc1[N+](=O)[O-] ZINC000617976676 352688003 /nfs/dbraw/zinc/68/80/03/352688003.db2.gz QDKKHLRDXOTNIH-UHFFFAOYSA-N 0 0 292.335 2.753 20 5 CFBDRN CC[C@H](C)NC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271622639 191246273 /nfs/dbraw/zinc/24/62/73/191246273.db2.gz NATKNJCACHDLOP-VHSXEESVSA-N 0 0 265.313 2.754 20 5 CFBDRN C[C@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@@H]1F ZINC000618304591 352751728 /nfs/dbraw/zinc/75/17/28/352751728.db2.gz YRMQQYYYZAOHAN-ONGXEEELSA-N 0 0 292.314 2.651 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2cc(C)on2)c1 ZINC000271638599 191253690 /nfs/dbraw/zinc/25/36/90/191253690.db2.gz PGQAVUXMFQYUOT-UHFFFAOYSA-N 0 0 277.280 2.536 20 5 CFBDRN CC[C@H](C)CONC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000292158037 198185783 /nfs/dbraw/zinc/18/57/83/198185783.db2.gz SUCJWOSRNCQGMV-VIFPVBQESA-N 0 0 266.297 2.611 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO[C@H]2CCCCO2)c(F)c1 ZINC000292218914 198206147 /nfs/dbraw/zinc/20/61/47/198206147.db2.gz DTCOKKWZLHQBQX-ZDUSSCGKSA-N 0 0 284.287 2.689 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)(C)C1 ZINC000619147680 352966686 /nfs/dbraw/zinc/96/66/86/352966686.db2.gz OIEOQOKSNUAMNE-SNVBAGLBSA-N 0 0 294.376 2.944 20 5 CFBDRN COc1ccc(CNC(C)(C)C(F)F)cc1[N+](=O)[O-] ZINC000619432042 353022428 /nfs/dbraw/zinc/02/24/28/353022428.db2.gz FFUJDNYGNQADPV-UHFFFAOYSA-N 0 0 274.267 2.737 20 5 CFBDRN C[C@H](NC(=O)C1CCSCC1)c1ccccc1[N+](=O)[O-] ZINC000271654340 191262433 /nfs/dbraw/zinc/26/24/33/191262433.db2.gz FOZGQCXBUBWRFA-JTQLQIEISA-N 0 0 294.376 2.915 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccoc1C ZINC000619477521 353028511 /nfs/dbraw/zinc/02/85/11/353028511.db2.gz BTGBDKPSPOKDMB-UHFFFAOYSA-N 0 0 264.285 2.633 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000619500121 353029484 /nfs/dbraw/zinc/02/94/84/353029484.db2.gz KJHQZIHNQKCBNR-LLVKDONJSA-N 0 0 256.327 2.662 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1c1cccnc1[N+](=O)[O-] ZINC000619500929 353029543 /nfs/dbraw/zinc/02/95/43/353029543.db2.gz UFFLUTCQEXMNJD-JGVFFNPUSA-N 0 0 275.230 2.767 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@@H](C2CC2)O1 ZINC000619500014 353029633 /nfs/dbraw/zinc/02/96/33/353029633.db2.gz XFWXZQLKPYORBF-SCZZXKLOSA-N 0 0 268.338 2.660 20 5 CFBDRN C[C@@H]1CN(c2sccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000619499892 353029663 /nfs/dbraw/zinc/02/96/63/353029663.db2.gz DSYWQWYZTKGXIQ-LDYMZIIASA-N 0 0 268.338 2.660 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC(F)(F)CC2)c1 ZINC000619504241 353030210 /nfs/dbraw/zinc/03/02/10/353030210.db2.gz SPJPTHNGMXRJQL-UHFFFAOYSA-N 0 0 272.251 2.839 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCC(F)(F)CC3)nc2c1 ZINC000619516199 353031486 /nfs/dbraw/zinc/03/14/86/353031486.db2.gz VJXBVXFKAZHXAI-UHFFFAOYSA-N 0 0 296.277 2.702 20 5 CFBDRN Cc1cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])no1 ZINC000292251310 198218713 /nfs/dbraw/zinc/21/87/13/198218713.db2.gz XRTIKBWKRROLSV-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN CC[C@@H](C)CONC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000292253895 198219732 /nfs/dbraw/zinc/21/97/32/198219732.db2.gz JWNVHNVFEGPMOR-MNOVXSKESA-N 0 0 295.339 2.933 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCOc2cccc(F)c2C1 ZINC000619746117 353062691 /nfs/dbraw/zinc/06/26/91/353062691.db2.gz HLHBWWALICOUGS-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC1CC=CC1 ZINC000619639015 353041705 /nfs/dbraw/zinc/04/17/05/353041705.db2.gz YYAXIBWZOBZGRP-UHFFFAOYSA-N 0 0 291.307 2.834 20 5 CFBDRN COCC1(CNc2sccc2[N+](=O)[O-])CCC1 ZINC000619698320 353052933 /nfs/dbraw/zinc/05/29/33/353052933.db2.gz KYZRRIDBJDHWON-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN Cc1cc(N2CC(C3CCOCC3)C2)ccc1[N+](=O)[O-] ZINC000619699333 353052937 /nfs/dbraw/zinc/05/29/37/353052937.db2.gz FAVKBYFPAGLEPY-UHFFFAOYSA-N 0 0 276.336 2.766 20 5 CFBDRN CC[C@H](CCO)CNc1ccc([N+](=O)[O-])cc1COC ZINC000152391154 188015951 /nfs/dbraw/zinc/01/59/51/188015951.db2.gz MANYJFWRLOOJMD-LLVKDONJSA-N 0 0 282.340 2.562 20 5 CFBDRN CCN(CC)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152515701 188025154 /nfs/dbraw/zinc/02/51/54/188025154.db2.gz CDWKXCMJSMDKAZ-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000153131545 188066852 /nfs/dbraw/zinc/06/68/52/188066852.db2.gz WXLPHCTXTRQIAB-NSHDSACASA-N 0 0 293.323 2.674 20 5 CFBDRN CC(C)c1nnc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)[nH]1 ZINC000292303413 198236950 /nfs/dbraw/zinc/23/69/50/198236950.db2.gz AAZCOOFGQXHPPI-JTQLQIEISA-N 0 0 289.339 2.687 20 5 CFBDRN Cc1cc(NC(=O)N2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000153656609 188095760 /nfs/dbraw/zinc/09/57/60/188095760.db2.gz XKYUIYRFXLUXDS-JTQLQIEISA-N 0 0 263.297 2.919 20 5 CFBDRN Cc1cccc(OC[C@H](O)Cc2ccccc2)c1[N+](=O)[O-] ZINC000153791872 188104005 /nfs/dbraw/zinc/10/40/05/188104005.db2.gz CDCCBPNOIANNMK-CQSZACIVSA-N 0 0 287.315 2.886 20 5 CFBDRN Cc1cc(NC(=O)NC2(C)CCOCC2)ccc1[N+](=O)[O-] ZINC000153804760 188104575 /nfs/dbraw/zinc/10/45/75/188104575.db2.gz LKADSCSIANGJNT-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN O=C(CC1CC1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000292335806 198248714 /nfs/dbraw/zinc/24/87/14/198248714.db2.gz ONMVSHLYMUJGSE-UHFFFAOYSA-N 0 0 254.673 2.987 20 5 CFBDRN CSCCCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000153827376 188106525 /nfs/dbraw/zinc/10/65/25/188106525.db2.gz NMZFROWOIUXMRX-UHFFFAOYSA-N 0 0 278.337 2.606 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCOC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000154139555 188125629 /nfs/dbraw/zinc/12/56/29/188125629.db2.gz SIUNRPUEKIGVJC-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)(C)c1csc(Cn2cnc([N+](=O)[O-])c2)n1 ZINC000154554491 188150112 /nfs/dbraw/zinc/15/01/12/188150112.db2.gz ZQDOSRKGPOVEOG-UHFFFAOYSA-N 0 0 266.326 2.594 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N1CCCC2(CCCCC2)C1 ZINC000155639263 188218427 /nfs/dbraw/zinc/21/84/27/188218427.db2.gz BBKHTPGSQXVLLK-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN Cc1cc(N(C)Cc2nncn2C(C)C)ccc1[N+](=O)[O-] ZINC000292455057 198294885 /nfs/dbraw/zinc/29/48/85/198294885.db2.gz ZLXQXZGVQOZWLZ-UHFFFAOYSA-N 0 0 289.339 2.712 20 5 CFBDRN Cc1ccc(C)c(Cn2cc([N+](=O)[O-])cc(C)c2=O)c1 ZINC000155849749 188230378 /nfs/dbraw/zinc/23/03/78/188230378.db2.gz WIKVHECLDMDLCV-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN O=C(N[C@H]1C[C@H]1C1CCCCC1)c1ccc([N+](=O)[O-])o1 ZINC000155943071 188236208 /nfs/dbraw/zinc/23/62/08/188236208.db2.gz SZTZUFCTUNYCAL-QWRGUYRKSA-N 0 0 278.308 2.886 20 5 CFBDRN CSCCC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000292499517 198310865 /nfs/dbraw/zinc/31/08/65/198310865.db2.gz ZGOZYYHLHPEAHS-UHFFFAOYSA-N 0 0 274.729 2.940 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCOC(C)(C)C2)cc1[N+](=O)[O-] ZINC000155726587 188223784 /nfs/dbraw/zinc/22/37/84/188223784.db2.gz KUEOQMMXRWVNJW-VIFPVBQESA-N 0 0 298.364 2.652 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H](C)C[C@H](C)O ZINC000156704459 188277183 /nfs/dbraw/zinc/27/71/83/188277183.db2.gz LTRIFFIOJOPNCU-ONGXEEELSA-N 0 0 252.314 2.722 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000157535409 188322777 /nfs/dbraw/zinc/32/27/77/188322777.db2.gz NRCBQIXPWQLHOQ-LBPRGKRZSA-N 0 0 294.376 2.871 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157357504 188311488 /nfs/dbraw/zinc/31/14/88/188311488.db2.gz DZHYOFWUSVKTKA-JTQLQIEISA-N 0 0 279.340 2.685 20 5 CFBDRN C[C@H](CN1CCCC1=O)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000292611017 198350442 /nfs/dbraw/zinc/35/04/42/198350442.db2.gz WTCKMQVMJGKEJW-SECBINFHSA-N 0 0 297.742 2.671 20 5 CFBDRN CO[C@H](CNc1ccc(C)cc1[N+](=O)[O-])C1CC1 ZINC000292659996 198365672 /nfs/dbraw/zinc/36/56/72/198365672.db2.gz CJKMLAFYBAJQPS-CYBMUJFWSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1cccc(C(=O)N2CCC3(CCC3)C2)c1[N+](=O)[O-] ZINC000158177445 188362011 /nfs/dbraw/zinc/36/20/11/188362011.db2.gz KVSUOHQLPQQVLI-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN COCCc1ccccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295182707 199391936 /nfs/dbraw/zinc/39/19/36/199391936.db2.gz JBSTURGCIXOEIH-UHFFFAOYSA-N 0 0 294.351 2.650 20 5 CFBDRN O=C(Cn1cc(Cl)cc([N+](=O)[O-])c1=O)C1CCCCC1 ZINC000158549618 188381661 /nfs/dbraw/zinc/38/16/61/188381661.db2.gz QSKLWVQYRDKEHA-UHFFFAOYSA-N 0 0 298.726 2.559 20 5 CFBDRN CSC[C@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158581677 188383146 /nfs/dbraw/zinc/38/31/46/188383146.db2.gz HMHZSGCDIAEALX-VIFPVBQESA-N 0 0 268.338 2.557 20 5 CFBDRN Cc1cc(NCCC[C@H](C)CO)c(F)cc1[N+](=O)[O-] ZINC000292710904 198384495 /nfs/dbraw/zinc/38/44/95/198384495.db2.gz SRPIEFAVGFQOBK-VIFPVBQESA-N 0 0 270.304 2.863 20 5 CFBDRN C[C@@H](CC[S@](C)=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000158672968 188388582 /nfs/dbraw/zinc/38/85/82/188388582.db2.gz KUWYWGLDGBSLNO-DCXZOGHSSA-N 0 0 290.772 2.817 20 5 CFBDRN CC(C)(C)OC(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000292742168 198396513 /nfs/dbraw/zinc/39/65/13/198396513.db2.gz UWIZOQCWLNIHSF-UHFFFAOYSA-N 0 0 287.699 2.969 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CC1CCCC1 ZINC000158732740 188392139 /nfs/dbraw/zinc/39/21/39/188392139.db2.gz FACWSLSOWDTLIK-UHFFFAOYSA-N 0 0 256.689 2.600 20 5 CFBDRN C[C@@H](O)C(C)(C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000158792455 188394487 /nfs/dbraw/zinc/39/44/87/188394487.db2.gz KKIMMZIBIGIJHU-SSDOTTSWSA-N 0 0 258.705 2.820 20 5 CFBDRN C[C@H](C(=O)Oc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000292811137 198419498 /nfs/dbraw/zinc/41/94/98/198419498.db2.gz PBKLXTUFFBTJKL-SSDOTTSWSA-N 0 0 259.208 2.792 20 5 CFBDRN CC(C)CN(C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271718144 191288823 /nfs/dbraw/zinc/28/88/23/191288823.db2.gz QRYQMHQCMCUBEF-NSHDSACASA-N 0 0 279.340 2.953 20 5 CFBDRN CC[C@H](SC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271735851 191297962 /nfs/dbraw/zinc/29/79/62/191297962.db2.gz HNSJYAMRTKOFNN-SKDRFNHKSA-N 0 0 282.365 2.914 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N(C[C@H]1CCOC1)C1CC1 ZINC000167546252 188643432 /nfs/dbraw/zinc/64/34/32/188643432.db2.gz MKKXKKFEOCIQJT-SNVBAGLBSA-N 0 0 280.299 2.739 20 5 CFBDRN C[C@H](NC(=O)[C@H]1O[C@H](C)C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000295282975 199439128 /nfs/dbraw/zinc/43/91/28/199439128.db2.gz HVYYFWXRZLYCID-PUHVVEEASA-N 0 0 292.335 2.586 20 5 CFBDRN CCC(CC)CNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000170925787 188704655 /nfs/dbraw/zinc/70/46/55/188704655.db2.gz OMAYKAPKTAXKNH-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN C[C@H](NC(=O)NC1(C)CCC1)c1ccccc1[N+](=O)[O-] ZINC000271758925 191310213 /nfs/dbraw/zinc/31/02/13/191310213.db2.gz UYQLPBCCAZWQRM-JTQLQIEISA-N 0 0 277.324 2.898 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCc2ccccc2C1 ZINC000171524977 188740802 /nfs/dbraw/zinc/74/08/02/188740802.db2.gz IYIRRCMCVCRDPU-UHFFFAOYSA-N 0 0 286.287 2.776 20 5 CFBDRN CSC[C@@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000171811816 188760830 /nfs/dbraw/zinc/76/08/30/188760830.db2.gz DZBCREYFFPKROY-SNVBAGLBSA-N 0 0 282.365 2.552 20 5 CFBDRN C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000172038366 188776714 /nfs/dbraw/zinc/77/67/14/188776714.db2.gz KKJLEPZPUWARKF-QMMMGPOBSA-N 0 0 282.727 2.705 20 5 CFBDRN CC(C)N(C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271774588 191318454 /nfs/dbraw/zinc/31/84/54/191318454.db2.gz YCNIRNFMLAKGIM-SNVBAGLBSA-N 0 0 265.313 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)n2cccc/c2=N\C(C)C)c1 ZINC000172721051 188822638 /nfs/dbraw/zinc/82/26/38/188822638.db2.gz IBPPVHNVYYGEGJ-BMRADRMJSA-N 0 0 299.330 2.702 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000173810548 188869291 /nfs/dbraw/zinc/86/92/91/188869291.db2.gz CEKLHCSTYQSTQF-NSHDSACASA-N 0 0 294.351 2.837 20 5 CFBDRN O=C(NOC1CCCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000271822463 191349358 /nfs/dbraw/zinc/34/93/58/191349358.db2.gz ANDGYFZGVRUPOK-UHFFFAOYSA-N 0 0 289.291 2.680 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000173845187 188871031 /nfs/dbraw/zinc/87/10/31/188871031.db2.gz MRNUNOHYWCTWKT-LLVKDONJSA-N 0 0 294.351 2.837 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000271829443 191353749 /nfs/dbraw/zinc/35/37/49/191353749.db2.gz WTPNREZELVRMLG-MWLCHTKSSA-N 0 0 262.309 2.818 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000271883927 191387747 /nfs/dbraw/zinc/38/77/47/191387747.db2.gz MXECZCXJXPSJSU-GHMZBOCLSA-N 0 0 278.308 2.657 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272539745 191777069 /nfs/dbraw/zinc/77/70/69/191777069.db2.gz NNJNSAHSBSZMSI-JTQLQIEISA-N 0 0 284.262 2.601 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCSC(F)(F)F ZINC000223276955 540193426 /nfs/dbraw/zinc/19/34/26/540193426.db2.gz ASAOVCRHEMCXAT-UHFFFAOYSA-N 0 0 267.232 2.655 20 5 CFBDRN COc1ccc(NC(=O)CC2CCC2)cc1[N+](=O)[O-] ZINC000272819241 191931188 /nfs/dbraw/zinc/93/11/88/191931188.db2.gz OSNJFLOJMSELJF-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000272849889 191943556 /nfs/dbraw/zinc/94/35/56/191943556.db2.gz QDWCQUKEUZCALJ-JTQLQIEISA-N 0 0 291.307 2.834 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000272850921 191944991 /nfs/dbraw/zinc/94/49/91/191944991.db2.gz VMHWCLJQLSPXKJ-VIFPVBQESA-N 0 0 264.281 2.588 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000273016237 192020697 /nfs/dbraw/zinc/02/06/97/192020697.db2.gz ZYFLUZXZBHCBGN-IWIIMEHWSA-N 0 0 276.292 2.588 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000273025310 192023648 /nfs/dbraw/zinc/02/36/48/192023648.db2.gz CLRYNEKWOJIKQO-MNOVXSKESA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000273540658 192194765 /nfs/dbraw/zinc/19/47/65/192194765.db2.gz WOYZWTVGTRCOGY-SSDOTTSWSA-N 0 0 279.325 2.631 20 5 CFBDRN CCC1(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCC1 ZINC000174934349 188924622 /nfs/dbraw/zinc/92/46/22/188924622.db2.gz OHTCQSSESPKYAW-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](c2ccccc2)C2CC2)nc1 ZINC000273551683 192200539 /nfs/dbraw/zinc/20/05/39/192200539.db2.gz AKPXSKVOEINBPW-ZDUSSCGKSA-N 0 0 270.292 2.948 20 5 CFBDRN Cc1nc([C@H](C)Sc2ncccc2[N+](=O)[O-])no1 ZINC000295814116 199676913 /nfs/dbraw/zinc/67/69/13/199676913.db2.gz UODCWTRAIPIRKL-LURJTMIESA-N 0 0 266.282 2.535 20 5 CFBDRN COc1ccc([C@@H](C)N(C)c2ncc([N+](=O)[O-])cn2)cc1 ZINC000273627276 192236437 /nfs/dbraw/zinc/23/64/37/192236437.db2.gz PQFWZYQJRCWRFD-SNVBAGLBSA-N 0 0 288.307 2.591 20 5 CFBDRN Cc1nnc([C@H](C)NCc2cccc([N+](=O)[O-])c2C)s1 ZINC000273633536 192239010 /nfs/dbraw/zinc/23/90/10/192239010.db2.gz SQLPMOJCZHMTNN-VIFPVBQESA-N 0 0 292.364 2.914 20 5 CFBDRN C[C@H]1C[C@H](CCNC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000273619865 192232895 /nfs/dbraw/zinc/23/28/95/192232895.db2.gz VOZLXFUMBMTNFY-NWDGAFQWSA-N 0 0 292.335 2.530 20 5 CFBDRN O=[N+]([O-])c1cnc(N(CC2CC2)C2CCCCC2)nc1 ZINC000273672094 192256845 /nfs/dbraw/zinc/25/68/45/192256845.db2.gz XUYLRURNNMMAMG-UHFFFAOYSA-N 0 0 276.340 2.934 20 5 CFBDRN CCCN(CC)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000267038867 283188880 /nfs/dbraw/zinc/18/88/80/283188880.db2.gz CJKCCDMOEDESDG-UHFFFAOYSA-N 0 0 277.324 2.716 20 5 CFBDRN CCCC[C@@H](CCC)CNC(=O)c1cc([N+](=O)[O-])nn1C ZINC000476670499 535351586 /nfs/dbraw/zinc/35/15/86/535351586.db2.gz ZECZMKDKWWUMCV-LLVKDONJSA-N 0 0 296.371 2.665 20 5 CFBDRN CC(C)=CCCNS(=O)(=O)c1cccc([N+](=O)[O-])c1C ZINC000359990885 535358258 /nfs/dbraw/zinc/35/82/58/535358258.db2.gz FGBZYKRFVGLNGP-UHFFFAOYSA-N 0 0 298.364 2.538 20 5 CFBDRN CC[C@H]1CCN1C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000294105857 198911581 /nfs/dbraw/zinc/91/15/81/198911581.db2.gz RYWPWPYBZSXSJJ-GUOLPTJISA-N 0 0 260.293 2.619 20 5 CFBDRN COC[C@@]1(C)CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000294109945 198912599 /nfs/dbraw/zinc/91/25/99/198912599.db2.gz CURBFCRYALELRS-HNNXBMFYSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1nc(N2CCCO[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000294158738 198932783 /nfs/dbraw/zinc/93/27/83/198932783.db2.gz RIYLJBMUABMFQQ-CYBMUJFWSA-N 0 0 279.340 2.550 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000457347043 535366585 /nfs/dbraw/zinc/36/65/85/535366585.db2.gz SKCLBBZSIHBFGV-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)C1CCC1 ZINC000569150101 290588934 /nfs/dbraw/zinc/58/89/34/290588934.db2.gz SYHXUSMXVSJROQ-UHFFFAOYSA-N 0 0 287.319 2.535 20 5 CFBDRN COc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c(C)c1 ZINC000294354334 199010072 /nfs/dbraw/zinc/01/00/72/199010072.db2.gz ALAZKVJOMCZJIU-UHFFFAOYSA-N 0 0 280.324 2.778 20 5 CFBDRN O=C(NCC1CC1)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000294382744 199019444 /nfs/dbraw/zinc/01/94/44/199019444.db2.gz JQERUBQTRYJNTN-UHFFFAOYSA-N 0 0 288.225 2.753 20 5 CFBDRN CC(C)(CCC(=O)Nc1cccc2[nH]ccc21)[N+](=O)[O-] ZINC000294432119 199037386 /nfs/dbraw/zinc/03/73/86/199037386.db2.gz FUIIAUHKEKBQER-UHFFFAOYSA-N 0 0 275.308 2.942 20 5 CFBDRN Cc1ccccc1C[C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294515329 199069018 /nfs/dbraw/zinc/06/90/18/199069018.db2.gz QAPUCHIWNGQNPZ-ZDUSSCGKSA-N 0 0 292.379 2.878 20 5 CFBDRN CCCCN(C(=O)c1n[nH]cc1[N+](=O)[O-])C1CCCCC1 ZINC000294524079 199071456 /nfs/dbraw/zinc/07/14/56/199071456.db2.gz SKEQWODBKVLORC-UHFFFAOYSA-N 0 0 294.355 2.893 20 5 CFBDRN Cc1cc(N(C)C)ccc1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000296184298 199810143 /nfs/dbraw/zinc/81/01/43/199810143.db2.gz BDECFTGJHTYNFA-UHFFFAOYSA-N 0 0 287.323 2.811 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H]1CC1(F)F ZINC000294638715 199118519 /nfs/dbraw/zinc/11/85/19/199118519.db2.gz UVZXLIHPZHLYQT-GFCCVEGCSA-N 0 0 298.289 2.987 20 5 CFBDRN CCOC1CCN(c2cc(OC)c([N+](=O)[O-])cc2F)CC1 ZINC000301978828 535399544 /nfs/dbraw/zinc/39/95/44/535399544.db2.gz WZGJMFNLNATMLF-UHFFFAOYSA-N 0 0 298.314 2.748 20 5 CFBDRN Cc1ccsc1CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294700389 199141573 /nfs/dbraw/zinc/14/15/73/199141573.db2.gz LFLRSZAUAMJWCK-UHFFFAOYSA-N 0 0 270.354 2.508 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H](C)CCCCO ZINC000296263678 199834159 /nfs/dbraw/zinc/83/41/59/199834159.db2.gz JSHPNYZBLLQCSP-NSHDSACASA-N 0 0 252.314 2.866 20 5 CFBDRN CN(CCc1cccs1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294822624 199200066 /nfs/dbraw/zinc/20/00/66/199200066.db2.gz DMWYDPKSOTWVKF-UHFFFAOYSA-N 0 0 284.381 2.585 20 5 CFBDRN C[C@H](CCO)CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000294899187 199237746 /nfs/dbraw/zinc/23/77/46/199237746.db2.gz HZCJBQAHYCLJBJ-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN COc1cc(N2CC[C@@H](SC)C2)c([N+](=O)[O-])cc1F ZINC000294939714 199261259 /nfs/dbraw/zinc/26/12/59/199261259.db2.gz ICYVGGQTVWBYSW-MRVPVSSYSA-N 0 0 286.328 2.684 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCCC2(O)CCC2)n1 ZINC000420625798 236661835 /nfs/dbraw/zinc/66/18/35/236661835.db2.gz BLWPOFAXRPRDCC-UHFFFAOYSA-N 0 0 279.340 2.714 20 5 CFBDRN CC1(C)OCC[C@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000296293425 199844583 /nfs/dbraw/zinc/84/45/83/199844583.db2.gz LZZOYDGZGHMCHX-SNVBAGLBSA-N 0 0 272.251 2.852 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H]1CC1(C)C ZINC000421767802 236904974 /nfs/dbraw/zinc/90/49/74/236904974.db2.gz LZNVPTJCVPGCSL-LLVKDONJSA-N 0 0 276.336 2.988 20 5 CFBDRN Cc1nc(Oc2ccc3c(c2)CCC3)ncc1[N+](=O)[O-] ZINC000296607520 199945998 /nfs/dbraw/zinc/94/59/98/199945998.db2.gz TXTFFNOPWOPTAX-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN Cc1nc(NC[C@@H](C)c2ccccc2)ncc1[N+](=O)[O-] ZINC000296649844 199958202 /nfs/dbraw/zinc/95/82/02/199958202.db2.gz XHNVJRRYFBZIOO-SNVBAGLBSA-N 0 0 272.308 2.909 20 5 CFBDRN CC(C)C[C@H]1OCCC[C@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000274784586 192774699 /nfs/dbraw/zinc/77/46/99/192774699.db2.gz PZXKGLURMBIQJX-CHWSQXEVSA-N 0 0 279.340 2.995 20 5 CFBDRN CCC[C@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC000296664803 199963480 /nfs/dbraw/zinc/96/34/80/199963480.db2.gz FWVAMDXHDJNENO-FZMZJTMJSA-N 0 0 294.351 2.977 20 5 CFBDRN O=C(CSC1CC1)OCc1ccc([N+](=O)[O-])cc1 ZINC000296675774 199966285 /nfs/dbraw/zinc/96/62/85/199966285.db2.gz ZFJXPFRPBXVWKU-UHFFFAOYSA-N 0 0 267.306 2.534 20 5 CFBDRN CC[C@H](C)CONC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000296848096 200015287 /nfs/dbraw/zinc/01/52/87/200015287.db2.gz OIIMFGNOIFSFLL-VIFPVBQESA-N 0 0 297.311 2.703 20 5 CFBDRN Cc1nc(COC(=O)CCC(C)(C)[N+](=O)[O-])c(C)s1 ZINC000296918347 200034211 /nfs/dbraw/zinc/03/42/11/200034211.db2.gz MFQZQJZIPMQQIF-UHFFFAOYSA-N 0 0 286.353 2.639 20 5 CFBDRN CCS[C@H]1CCCCN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000296937468 200040077 /nfs/dbraw/zinc/04/00/77/200040077.db2.gz BLKIOTNCPJRJEL-NSHDSACASA-N 0 0 296.396 2.805 20 5 CFBDRN Cc1cc(NC(=O)N(C)[C@H](C)C(C)(C)O)ccc1[N+](=O)[O-] ZINC000296956510 200045156 /nfs/dbraw/zinc/04/51/56/200045156.db2.gz WDTZSUMVOMCNRP-SNVBAGLBSA-N 0 0 295.339 2.526 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000296964751 200048243 /nfs/dbraw/zinc/04/82/43/200048243.db2.gz BFYGTCBCIKTQAI-DCAQKATOSA-N 0 0 277.324 2.706 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H]1CF ZINC000296973375 200050490 /nfs/dbraw/zinc/05/04/90/200050490.db2.gz SLLFOVREGBBBDE-JTQLQIEISA-N 0 0 297.286 2.569 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@H]2CCO)c(Cl)c1 ZINC000275014341 192878034 /nfs/dbraw/zinc/87/80/34/192878034.db2.gz KCSBXEWINBTYGN-GZMMTYOYSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@H]2CCC[C@@H]2O)c1 ZINC000296998254 200057392 /nfs/dbraw/zinc/05/73/92/200057392.db2.gz FTLUJCYWNJNNIV-RISCZKNCSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@@H](c1ccncc1)N(C)c1ncc([N+](=O)[O-])c(C)n1 ZINC000297219499 200118597 /nfs/dbraw/zinc/11/85/97/200118597.db2.gz SQPULSKLJNQHBA-LBPRGKRZSA-N 0 0 287.323 2.676 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NOCC1CC1 ZINC000297247896 200124550 /nfs/dbraw/zinc/12/45/50/200124550.db2.gz BQTGOKYKSGSVPW-UHFFFAOYSA-N 0 0 279.296 2.620 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])c(C)n1)c1ccncc1 ZINC000297187484 200108536 /nfs/dbraw/zinc/10/85/36/200108536.db2.gz QKLMJFAYKZQIOC-LLVKDONJSA-N 0 0 273.296 2.651 20 5 CFBDRN Cc1nc(N[C@H]2CCO[C@@H](C(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000297576567 200232549 /nfs/dbraw/zinc/23/25/49/200232549.db2.gz SJHGAESPGHXRTE-CMPLNLGQSA-N 0 0 294.355 2.699 20 5 CFBDRN O=C(CSC1CC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000297826790 200288137 /nfs/dbraw/zinc/28/81/37/200288137.db2.gz MRODUMGHLIAXHY-UHFFFAOYSA-N 0 0 270.285 2.568 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1CC1(F)F ZINC000297782991 200280122 /nfs/dbraw/zinc/28/01/22/200280122.db2.gz NPQAZYSGARHDSF-RXMQYKEDSA-N 0 0 276.626 2.842 20 5 CFBDRN COC(=O)c1ccc(OCC2CC=CC2)c([N+](=O)[O-])c1 ZINC000594880968 540680915 /nfs/dbraw/zinc/68/09/15/540680915.db2.gz SIZRVCYOFSAWJP-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN C[C@H]1CN(CCSCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000298169055 200350936 /nfs/dbraw/zinc/35/09/36/200350936.db2.gz CRTJJPAPQSVFOB-LBPRGKRZSA-N 0 0 296.392 2.549 20 5 CFBDRN CCC[C@H](O)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000298224459 200365379 /nfs/dbraw/zinc/36/53/79/200365379.db2.gz KGHAPEGQEZFDNP-AWEZNQCLSA-N 0 0 275.308 2.619 20 5 CFBDRN CCN(C(=O)/C=C/c1ccccc1[N+](=O)[O-])C1CC1 ZINC000298200130 200358808 /nfs/dbraw/zinc/35/88/08/200358808.db2.gz FSNPFSCVFPRNQP-JXMROGBWSA-N 0 0 260.293 2.619 20 5 CFBDRN CCCCCNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000298299862 200388128 /nfs/dbraw/zinc/38/81/28/200388128.db2.gz KAAFHRFVCBVGNY-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN Cc1cc(CN(C)c2ccc(F)cc2[N+](=O)[O-])no1 ZINC000298313015 200392499 /nfs/dbraw/zinc/39/24/99/200392499.db2.gz PGPJCSZZVMQYQS-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN Cc1nc(CNc2ccc(F)c([N+](=O)[O-])c2)oc1C ZINC000176900464 189013844 /nfs/dbraw/zinc/01/38/44/189013844.db2.gz CVKBSUPMQLBIOT-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000298416645 200422215 /nfs/dbraw/zinc/42/22/15/200422215.db2.gz RYIHIPGDAGNIAG-MRVPVSSYSA-N 0 0 256.327 2.773 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000176998801 189019955 /nfs/dbraw/zinc/01/99/55/189019955.db2.gz HBCJRPIXVWNSOH-GXSJLCMTSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)[C@H]1C ZINC000177127239 189030471 /nfs/dbraw/zinc/03/04/71/189030471.db2.gz KWBSXHCNZSCTGO-UWVGGRQHSA-N 0 0 287.319 2.947 20 5 CFBDRN CCc1n[nH]c(C(=O)NCC(CC)(CC)CC)c1[N+](=O)[O-] ZINC000177269917 189041140 /nfs/dbraw/zinc/04/11/40/189041140.db2.gz ZWJFSWGVZZTWLA-UHFFFAOYSA-N 0 0 296.371 2.827 20 5 CFBDRN CCCC[C@H](CCC)CNC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000177299261 189042950 /nfs/dbraw/zinc/04/29/50/189042950.db2.gz JOTOSSQYOXFKTR-LBPRGKRZSA-N 0 0 296.371 2.514 20 5 CFBDRN O=C1OCC[C@@H]1CCOc1cc([N+](=O)[O-])ccc1Cl ZINC000177590034 189059048 /nfs/dbraw/zinc/05/90/48/189059048.db2.gz RPBDOIFAXKBXEI-QMMMGPOBSA-N 0 0 285.683 2.580 20 5 CFBDRN O=C(NCCCOc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000177468992 189053281 /nfs/dbraw/zinc/05/32/81/189053281.db2.gz HSDCKLOUVFSIIR-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN COC(=O)CCSc1ccc([N+](=O)[O-])c(C)c1 ZINC000298697605 200508336 /nfs/dbraw/zinc/50/83/36/200508336.db2.gz IDDJIIBMZVPJLX-UHFFFAOYSA-N 0 0 255.295 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ccncn3)CC2)cc1 ZINC000298663130 200497456 /nfs/dbraw/zinc/49/74/56/200497456.db2.gz SXENPYYUSOFGPH-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN CCOCCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177834859 189073132 /nfs/dbraw/zinc/07/31/32/189073132.db2.gz MSAYMHACNDYHDV-LLVKDONJSA-N 0 0 280.324 2.507 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000298755637 200526149 /nfs/dbraw/zinc/52/61/49/200526149.db2.gz PPCWVPFIHLCTML-ZETCQYMHSA-N 0 0 254.311 2.527 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@@H]1c1cccs1 ZINC000298849654 200548347 /nfs/dbraw/zinc/54/83/47/200548347.db2.gz CBWVPLDLFMFPRO-SNVBAGLBSA-N 0 0 291.332 2.962 20 5 CFBDRN CCS[C@@H]1CCC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000178099245 189090582 /nfs/dbraw/zinc/09/05/82/189090582.db2.gz DDUZTCZZQPDQRB-QWHCGFSZSA-N 0 0 294.376 2.999 20 5 CFBDRN COc1ccc(CN(C)c2nc(C)ccc2[N+](=O)[O-])cc1 ZINC000178148448 189093132 /nfs/dbraw/zinc/09/31/32/189093132.db2.gz VSOZNKFLQLTKTB-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000178170569 189095089 /nfs/dbraw/zinc/09/50/89/189095089.db2.gz HESPBJLWDRJJRA-WDEREUQCSA-N 0 0 291.351 2.531 20 5 CFBDRN Cc1cc(Cn2cc([N+](=O)[O-])cc(C)c2=O)c(C)s1 ZINC000178223877 189098733 /nfs/dbraw/zinc/09/87/33/189098733.db2.gz OIYOZVYYIMBNPK-UHFFFAOYSA-N 0 0 278.333 2.792 20 5 CFBDRN CCCc1ccc(C(=O)Cn2nccc2[N+](=O)[O-])cc1 ZINC000299067175 200597977 /nfs/dbraw/zinc/59/79/77/200597977.db2.gz VZOHBFJBCTWJNP-UHFFFAOYSA-N 0 0 273.292 2.627 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000178617865 189131497 /nfs/dbraw/zinc/13/14/97/189131497.db2.gz NNFMNANTZBQCJQ-PWSUYJOCSA-N 0 0 278.352 2.997 20 5 CFBDRN CN(CCC1CC1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000178707290 189138580 /nfs/dbraw/zinc/13/85/80/189138580.db2.gz FINGIGACBQIHMZ-UHFFFAOYSA-N 0 0 254.311 2.528 20 5 CFBDRN COc1ccc(CN(C)Cc2ccncc2)cc1[N+](=O)[O-] ZINC000178703971 189138750 /nfs/dbraw/zinc/13/87/50/189138750.db2.gz HGDIEGIAPLRLQY-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN Cc1c(CC(=O)N2C[C@@H]3[C@H](C2)C3(C)C)cccc1[N+](=O)[O-] ZINC000178733715 189141284 /nfs/dbraw/zinc/14/12/84/189141284.db2.gz LHUGCWRTMQDVEK-BETUJISGSA-N 0 0 288.347 2.560 20 5 CFBDRN COC[C@@H](C)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000178870344 189151440 /nfs/dbraw/zinc/15/14/40/189151440.db2.gz QFQWHVDFQIYVSO-ZETCQYMHSA-N 0 0 260.240 2.567 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CC1(C)C ZINC000178795684 189146824 /nfs/dbraw/zinc/14/68/24/189146824.db2.gz IGENPXUMSQZVJC-LLVKDONJSA-N 0 0 262.309 2.599 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000178837635 189149231 /nfs/dbraw/zinc/14/92/31/189149231.db2.gz LVFNCZXXAHBIIO-SECBINFHSA-N 0 0 287.747 2.852 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H]2CCO)c1 ZINC000275068988 192901519 /nfs/dbraw/zinc/90/15/19/192901519.db2.gz YSRDKGSPPPFERE-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN COc1cc(N2CCC[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000301623029 540924829 /nfs/dbraw/zinc/92/48/29/540924829.db2.gz OJJYCRGYRQHZOA-SECBINFHSA-N 0 0 268.288 2.979 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])C1CCOCC1 ZINC000179848713 189223588 /nfs/dbraw/zinc/22/35/88/189223588.db2.gz GCRWHCJKURDUQT-UHFFFAOYSA-N 0 0 299.710 2.623 20 5 CFBDRN COCc1noc(CSCc2cccc([N+](=O)[O-])c2)n1 ZINC000180330023 189250113 /nfs/dbraw/zinc/25/01/13/189250113.db2.gz YYDQDWUOEUNLOG-UHFFFAOYSA-N 0 0 295.320 2.558 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccn(C)n1 ZINC000180349598 189251374 /nfs/dbraw/zinc/25/13/74/189251374.db2.gz BWSIAQZIASMUAL-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN COc1ccc(CNc2ncccc2[N+](=O)[O-])c(C)c1 ZINC000531706235 540965593 /nfs/dbraw/zinc/96/55/93/540965593.db2.gz MQPZFQVMAHTULY-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1c([C@@H](C)Nc2cccc(F)c2[N+](=O)[O-])cnn1C ZINC000180400639 189253136 /nfs/dbraw/zinc/25/31/36/189253136.db2.gz GDHDKUZKPJOMNH-MRVPVSSYSA-N 0 0 278.287 2.949 20 5 CFBDRN CCOC(=O)[C@H](CC)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180454886 189256738 /nfs/dbraw/zinc/25/67/38/189256738.db2.gz ZHXARZZOWIYCHT-MFKMUULPSA-N 0 0 280.324 2.587 20 5 CFBDRN O=C1CCCCN1COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000180493541 189258254 /nfs/dbraw/zinc/25/82/54/189258254.db2.gz IVKXKTZOPFXRES-UHFFFAOYSA-N 0 0 284.699 2.597 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccsc1 ZINC000301120163 200920573 /nfs/dbraw/zinc/92/05/73/200920573.db2.gz RETKTIJOXVFUSF-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN Cc1noc(C)c1CN(C)c1cccc(F)c1[N+](=O)[O-] ZINC000301074451 200907291 /nfs/dbraw/zinc/90/72/91/200907291.db2.gz GPAYDQHOQHUXJU-UHFFFAOYSA-N 0 0 279.271 2.975 20 5 CFBDRN CC(C)OCCCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000180622504 189266282 /nfs/dbraw/zinc/26/62/82/189266282.db2.gz FMEPRQFJSSUODP-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN COC(=O)N1CCC[C@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000301150937 200927040 /nfs/dbraw/zinc/92/70/40/200927040.db2.gz DDLQRRZHBHKJTB-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CCC[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000270283122 283637599 /nfs/dbraw/zinc/63/75/99/283637599.db2.gz SSFNQNQCVRXVAE-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN CO[C@@H](C)CCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000180918085 189283787 /nfs/dbraw/zinc/28/37/87/189283787.db2.gz QMCOSHHEYKUIBL-JTQLQIEISA-N 0 0 280.324 2.965 20 5 CFBDRN Cc1ccnc(NCCc2nc3ccccc3[nH]2)c1[N+](=O)[O-] ZINC000301305898 200970377 /nfs/dbraw/zinc/97/03/77/200970377.db2.gz UQCKHOUIRUIUEO-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000181185348 189290204 /nfs/dbraw/zinc/29/02/04/189290204.db2.gz RHTWQWOVTKOUIC-VIFPVBQESA-N 0 0 286.328 2.605 20 5 CFBDRN Cc1cc(COC(=O)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000181301499 189293173 /nfs/dbraw/zinc/29/31/73/189293173.db2.gz JUWINUMXPCFDPW-UHFFFAOYSA-N 0 0 277.198 2.899 20 5 CFBDRN Cc1cc(COC(=O)CC[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000181291529 189293445 /nfs/dbraw/zinc/29/34/45/189293445.db2.gz RRQFBEDXLWDPBR-LBPRGKRZSA-N 0 0 293.319 2.763 20 5 CFBDRN CC[C@@H](C)OCC(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181293664 189293488 /nfs/dbraw/zinc/29/34/88/189293488.db2.gz CKMWSHLJKTWSCX-LLVKDONJSA-N 0 0 281.308 2.762 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000301354934 200984219 /nfs/dbraw/zinc/98/42/19/200984219.db2.gz NZSZVYIQMCVRQY-VXGBXAGGSA-N 0 0 277.324 2.749 20 5 CFBDRN C[C@]1(CO)CCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000301369624 200988645 /nfs/dbraw/zinc/98/86/45/200988645.db2.gz RVYYSILZQSRTGO-QWHCGFSZSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1cccc(C(=O)NCC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000181580672 189301571 /nfs/dbraw/zinc/30/15/71/189301571.db2.gz LENHKNQDOYDJKD-LBPRGKRZSA-N 0 0 292.335 2.592 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)Cc2cccnc2)c1 ZINC000301441469 201009605 /nfs/dbraw/zinc/00/96/05/201009605.db2.gz NBTVBFRZEWTDRW-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN CCCc1nnc(NCc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000181673256 189304006 /nfs/dbraw/zinc/30/40/06/189304006.db2.gz NDOKTERARBZNKU-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN CCOc1ccc(OCCn2cc([N+](=O)[O-])c(C)n2)cc1 ZINC000181816794 189307475 /nfs/dbraw/zinc/30/74/75/189307475.db2.gz PPTKKXYSEVCBRT-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN CSc1ccc(OCCn2cc([N+](=O)[O-])c(C)n2)cc1 ZINC000181829321 189307486 /nfs/dbraw/zinc/30/74/86/189307486.db2.gz ZSBFNPBPWNQMRM-UHFFFAOYSA-N 0 0 293.348 2.901 20 5 CFBDRN NC(=O)c1ccc(CNc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000301485868 201025103 /nfs/dbraw/zinc/02/51/03/201025103.db2.gz XBWHLKGQQGPFDZ-UHFFFAOYSA-N 0 0 295.682 2.552 20 5 CFBDRN Cc1ccc(CCCn2cc([N+](=O)[O-])ccc2=O)cc1 ZINC000181855571 189308714 /nfs/dbraw/zinc/30/87/14/189308714.db2.gz QLUBSLSNERLSEN-UHFFFAOYSA-N 0 0 272.304 2.698 20 5 CFBDRN CO[C@@H](C)CCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181636306 189303555 /nfs/dbraw/zinc/30/35/55/189303555.db2.gz FKHPOQBKMSAYOI-NWDGAFQWSA-N 0 0 294.351 2.896 20 5 CFBDRN C[C@@H]1CCC[C@@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)[C@@H]1C ZINC000182007774 189311712 /nfs/dbraw/zinc/31/17/12/189311712.db2.gz WURXCGSTGBGRRQ-NQBHXWOUSA-N 0 0 295.339 2.549 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)C[C@@H](C)O1 ZINC000182005740 189311783 /nfs/dbraw/zinc/31/17/83/189311783.db2.gz IYKYXVBDAFQHOQ-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN COC[C@@H](C)N(C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182068362 189313552 /nfs/dbraw/zinc/31/35/52/189313552.db2.gz CQFBKBKZKPTITD-SECBINFHSA-N 0 0 272.732 2.715 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1cccs1 ZINC000301529009 201050760 /nfs/dbraw/zinc/05/07/60/201050760.db2.gz GYEUBQVVAOULBO-SSDOTTSWSA-N 0 0 279.325 2.592 20 5 CFBDRN C[C@@H](C(=O)NC(C)(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000182182352 189316364 /nfs/dbraw/zinc/31/63/64/189316364.db2.gz MJFZJIIOZAMYRC-MRVPVSSYSA-N 0 0 268.288 2.752 20 5 CFBDRN CCN(CC)C(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000182180578 189316436 /nfs/dbraw/zinc/31/64/36/189316436.db2.gz YLVMTUDPOORJQV-ZHACJKMWSA-N 0 0 262.309 2.867 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCC(C)C ZINC000182208769 189317228 /nfs/dbraw/zinc/31/72/28/189317228.db2.gz MEPMTQVNIQCLAV-DHZHZOJOSA-N 0 0 262.309 2.770 20 5 CFBDRN CCOc1cc(N2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301521694 201042752 /nfs/dbraw/zinc/04/27/52/201042752.db2.gz LNVLTOZVQZNFKE-SNVBAGLBSA-N 0 0 250.298 2.840 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1NCC1CC1 ZINC000301532447 201052077 /nfs/dbraw/zinc/05/20/77/201052077.db2.gz PWPHOXPDTLUUOV-UHFFFAOYSA-N 0 0 282.271 2.733 20 5 CFBDRN Cc1cc(NC(=O)CC2CCOCC2)ccc1[N+](=O)[O-] ZINC000182404344 189322758 /nfs/dbraw/zinc/32/27/58/189322758.db2.gz JGNVWNKUFBXLDW-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CCOCCN(C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182411403 189322991 /nfs/dbraw/zinc/32/29/91/189322991.db2.gz XQUGJIHGAJJBOA-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN CC(C)c1noc(N2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000301601575 201089956 /nfs/dbraw/zinc/08/99/56/201089956.db2.gz JIEYTMSXZKRAGE-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000182448249 189324153 /nfs/dbraw/zinc/32/41/53/189324153.db2.gz DJUMRRPTGWXJJR-UHFFFAOYSA-N 0 0 278.280 2.692 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000301577247 201078315 /nfs/dbraw/zinc/07/83/15/201078315.db2.gz REEMYLNPUBMQOP-GWCFXTLKSA-N 0 0 252.314 2.722 20 5 CFBDRN COCCC[C@@H](C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000182951972 189340576 /nfs/dbraw/zinc/34/05/76/189340576.db2.gz YRDLBNYMZGHGGF-LLVKDONJSA-N 0 0 292.335 2.547 20 5 CFBDRN CCOC(=O)c1cc(N[C@H](C)CC)ccc1[N+](=O)[O-] ZINC000301642479 201112045 /nfs/dbraw/zinc/11/20/45/201112045.db2.gz ZINZZPOOQWLKCI-SECBINFHSA-N 0 0 266.297 2.982 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000182871138 189337954 /nfs/dbraw/zinc/33/79/54/189337954.db2.gz GAFAYXVZLPFAIT-TVQRCGJNSA-N 0 0 277.276 2.506 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CC[C@@H](CO)CC1 ZINC000301628951 201102804 /nfs/dbraw/zinc/10/28/04/201102804.db2.gz RSKLIXXSSVSTLJ-JGZJWPJOSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000183258885 189352034 /nfs/dbraw/zinc/35/20/34/189352034.db2.gz IAIGZTNUDBEDHR-GHMZBOCLSA-N 0 0 294.351 2.902 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000183246034 189352296 /nfs/dbraw/zinc/35/22/96/189352296.db2.gz SFHQBAJBQHILOR-WDEREUQCSA-N 0 0 264.325 2.893 20 5 CFBDRN CCC1(CC)[C@H](Nc2ccc([N+](=O)[O-])cn2)C[C@H]1OC ZINC000301656588 201119304 /nfs/dbraw/zinc/11/93/04/201119304.db2.gz BBJQLYPMYYZPLN-VXGBXAGGSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@](CO)(Nc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000301662451 201122932 /nfs/dbraw/zinc/12/29/32/201122932.db2.gz KLFQBBKUUCMFKP-GFCCVEGCSA-N 0 0 270.716 2.821 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC[C@H](F)C1 ZINC000424596096 238799646 /nfs/dbraw/zinc/79/96/46/238799646.db2.gz ODVAPTSCZZPVOY-NEPJUHHUSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@@H+]1CCCO[C@@H](C)C1 ZINC000183327233 189355086 /nfs/dbraw/zinc/35/50/86/189355086.db2.gz VXUMPXWCOYECGY-LBPRGKRZSA-N 0 0 264.325 2.514 20 5 CFBDRN O=C(NCCC[C@@H]1CCCCO1)c1csc([N+](=O)[O-])c1 ZINC000183364867 189356742 /nfs/dbraw/zinc/35/67/42/189356742.db2.gz OPDZPQHETUMKNH-NSHDSACASA-N 0 0 298.364 2.735 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]2C1 ZINC000183597206 189363563 /nfs/dbraw/zinc/36/35/63/189363563.db2.gz JFTKOZBLZRAYFZ-PHIMTYICSA-N 0 0 290.323 2.644 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C)Cc1cccnc1 ZINC000183656205 189364944 /nfs/dbraw/zinc/36/49/44/189364944.db2.gz UOMJYDXYQXHOBI-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000416063316 291275493 /nfs/dbraw/zinc/27/54/93/291275493.db2.gz FPPBKIHFAOZLRY-OPRDCNLKSA-N 0 0 280.299 2.850 20 5 CFBDRN COCCC(C)(C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000183998232 189373958 /nfs/dbraw/zinc/37/39/58/189373958.db2.gz KSFDURZRGUWKGU-UHFFFAOYSA-N 0 0 294.351 2.616 20 5 CFBDRN O=[N+]([O-])c1cccnc1Oc1ccc(Cl)nc1 ZINC000301734866 201166150 /nfs/dbraw/zinc/16/61/50/201166150.db2.gz ASFYPUAXGDVWGB-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN CC(=O)c1ccc(NCc2ncccc2F)c([N+](=O)[O-])c1 ZINC000301784812 201196360 /nfs/dbraw/zinc/19/63/60/201196360.db2.gz KOSRKLURVKLNAU-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CCOc1cc(N2CCC[C@@H]2C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000301786705 201196602 /nfs/dbraw/zinc/19/66/02/201196602.db2.gz WGNLTNVRLVDCLI-VXGBXAGGSA-N 0 0 294.351 2.733 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCOc2cccnc2)c(F)c1 ZINC000301796676 201203272 /nfs/dbraw/zinc/20/32/72/201203272.db2.gz QQXXRRABAHWDGR-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cc(NCC3CC3)ncn2)c1 ZINC000301820157 201217197 /nfs/dbraw/zinc/21/71/97/201217197.db2.gz JFPFRTSWXNOUDO-UHFFFAOYSA-N 0 0 299.334 2.819 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@@H](CCO)c1ccco1 ZINC000184501677 189387656 /nfs/dbraw/zinc/38/76/56/189387656.db2.gz HCXNXNIMBAYNNM-AWEZNQCLSA-N 0 0 290.319 2.710 20 5 CFBDRN Cc1ccc(CNc2ccc3ncc([N+](=O)[O-])n3n2)s1 ZINC000301860994 201237173 /nfs/dbraw/zinc/23/71/73/201237173.db2.gz AABQXGQHVYZPAK-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN Cc1ccnc([C@@H](Nc2ncccc2[N+](=O)[O-])C2CC2)n1 ZINC000301866512 201240419 /nfs/dbraw/zinc/24/04/19/201240419.db2.gz NBEKPBHZNIYTLW-LBPRGKRZSA-N 0 0 285.307 2.651 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@@]2(C)CO)c(F)cc1[N+](=O)[O-] ZINC000301897899 201251845 /nfs/dbraw/zinc/25/18/45/201251845.db2.gz WEKARTYXHVMODW-KGLIPLIRSA-N 0 0 298.314 2.706 20 5 CFBDRN C[C@@H](CO)CNc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301898997 201253769 /nfs/dbraw/zinc/25/37/69/201253769.db2.gz XNSLBXTVFWUDAV-SNVBAGLBSA-N 0 0 282.340 2.812 20 5 CFBDRN C[C@@H]1CN(CCCNc2sccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000301922995 201265004 /nfs/dbraw/zinc/26/50/04/201265004.db2.gz FHEIVJPYFRGAKX-PHIMTYICSA-N 0 0 299.396 2.568 20 5 CFBDRN COc1cc(N(C)CC2CCC2)c(F)cc1[N+](=O)[O-] ZINC000301925784 201265537 /nfs/dbraw/zinc/26/55/37/201265537.db2.gz LKXNXFBFTJYBBU-UHFFFAOYSA-N 0 0 268.288 2.979 20 5 CFBDRN COc1cc(NC[C@](C)(O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000301924040 201265775 /nfs/dbraw/zinc/26/57/75/201265775.db2.gz KSAIINIINVZZIH-ZDUSSCGKSA-N 0 0 286.303 2.561 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1c2ccccc2C[C@H]1O ZINC000301931533 201266157 /nfs/dbraw/zinc/26/61/57/201266157.db2.gz LWMBSXLDZNTZLC-VXGBXAGGSA-N 0 0 276.317 2.727 20 5 CFBDRN CC(C)(CNc1ncccc1[N+](=O)[O-])c1ccncc1 ZINC000301941501 201270378 /nfs/dbraw/zinc/27/03/78/201270378.db2.gz WWCGGUIUNCPJQY-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)CC2CCOCC2)c1 ZINC000301974274 201282909 /nfs/dbraw/zinc/28/29/09/201282909.db2.gz VHHQGISBGWAPPC-UHFFFAOYSA-N 0 0 298.314 2.605 20 5 CFBDRN Cc1ccnc(NCc2ccc(Cl)cn2)c1[N+](=O)[O-] ZINC000301983724 201287027 /nfs/dbraw/zinc/28/70/27/201287027.db2.gz BYEQSULHBLIFOS-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1sccc1[N+](=O)[O-] ZINC000301989065 201288237 /nfs/dbraw/zinc/28/82/37/201288237.db2.gz FCNUHJZZEFPMNM-LDYMZIIASA-N 0 0 258.343 2.865 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000185380109 189411560 /nfs/dbraw/zinc/41/15/60/189411560.db2.gz KRSJUNLDINFASC-MFKMUULPSA-N 0 0 262.309 2.855 20 5 CFBDRN CCOc1cc(N2CCOCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000302012122 201296933 /nfs/dbraw/zinc/29/69/33/201296933.db2.gz ZCZILWCCSUYJMD-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN COC(=O)c1ccc(CNc2sccc2[N+](=O)[O-])o1 ZINC000302018528 201300620 /nfs/dbraw/zinc/30/06/20/201300620.db2.gz MTHRBTOEWDBKHT-UHFFFAOYSA-N 0 0 282.277 2.648 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(CO)cc2)c(F)c1 ZINC000302020515 201302740 /nfs/dbraw/zinc/30/27/40/201302740.db2.gz NQFMPUTVYBCLIZ-UHFFFAOYSA-N 0 0 290.294 2.881 20 5 CFBDRN C[C@@H](c1cnn(C)c1)N(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000302025222 201304876 /nfs/dbraw/zinc/30/48/76/201304876.db2.gz HOMHDQJJQYUBJL-QMMMGPOBSA-N 0 0 295.730 2.574 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2sccc2[N+](=O)[O-])C1 ZINC000302030226 201307688 /nfs/dbraw/zinc/30/76/88/201307688.db2.gz RTXPPNGQQRRMKL-JTQLQIEISA-N 0 0 270.354 2.908 20 5 CFBDRN CCc1ncnc(Oc2cc(C=O)ccc2[N+](=O)[O-])c1F ZINC000301997839 201292857 /nfs/dbraw/zinc/29/28/57/201292857.db2.gz PGQNFPYXUWXCBJ-UHFFFAOYSA-N 0 0 291.238 2.691 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](CO)C(C)(C)C)c1 ZINC000302070678 201330542 /nfs/dbraw/zinc/33/05/42/201330542.db2.gz YFCFKNMCKDLTPU-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cccnc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000426057141 239159043 /nfs/dbraw/zinc/15/90/43/239159043.db2.gz GMFIIECALKQEDG-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1cc(NCC2(C)COC2)c2cccc([N+](=O)[O-])c2n1 ZINC000302046689 201318300 /nfs/dbraw/zinc/31/83/00/201318300.db2.gz YKOORXRYINXQPI-UHFFFAOYSA-N 0 0 287.319 2.900 20 5 CFBDRN C[C@@H](c1cnn(C)c1)N(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000302077032 201333747 /nfs/dbraw/zinc/33/37/47/201333747.db2.gz YQQMKAJRXCSTEU-VIFPVBQESA-N 0 0 278.287 2.665 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccccc2OC(F)F)nc1 ZINC000302080167 201334897 /nfs/dbraw/zinc/33/48/97/201334897.db2.gz BNGZYZKSFZOAQI-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@@H]1CCCC[C@H]1O ZINC000302084553 201337514 /nfs/dbraw/zinc/33/75/14/201337514.db2.gz YWSWPCHZYDNDGE-SWLSCSKDSA-N 0 0 278.352 2.891 20 5 CFBDRN COc1ccc(F)cc1[C@@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000302094059 201339761 /nfs/dbraw/zinc/33/97/61/201339761.db2.gz IFMYVVCFLQVBRD-MRVPVSSYSA-N 0 0 292.270 2.706 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000186380460 189437818 /nfs/dbraw/zinc/43/78/18/189437818.db2.gz GCRFEPZGLZOTCY-ZJUUUORDSA-N 0 0 266.272 2.965 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000152371986 283717103 /nfs/dbraw/zinc/71/71/03/283717103.db2.gz DGFXBLPVMNLHQF-YLXGSJGQSA-N 0 0 286.331 2.867 20 5 CFBDRN Cc1ccnc(Oc2cccc(-n3ccnn3)c2)c1[N+](=O)[O-] ZINC000302168313 201377398 /nfs/dbraw/zinc/37/73/98/201377398.db2.gz SPIAXJVIUYGMDH-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])c3ncccc23)ncn1 ZINC000427559508 239317408 /nfs/dbraw/zinc/31/74/08/239317408.db2.gz MREFAPWWBIIRHC-UHFFFAOYSA-N 0 0 296.286 2.820 20 5 CFBDRN CC(C)Oc1nccnc1NCc1ccccc1[N+](=O)[O-] ZINC000302202905 201394705 /nfs/dbraw/zinc/39/47/05/201394705.db2.gz BQOCADJZOGVPDE-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN CC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2)CC(C)(C)O1 ZINC000188993628 189519170 /nfs/dbraw/zinc/51/91/70/189519170.db2.gz FENOGQCGYOJZFP-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@H](C)CC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000188865970 189515794 /nfs/dbraw/zinc/51/57/94/189515794.db2.gz SAHMBMSJGUVVLP-JTQLQIEISA-N 0 0 250.298 2.647 20 5 CFBDRN CCCN(C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1)C1CC1 ZINC000191254182 189588736 /nfs/dbraw/zinc/58/87/36/189588736.db2.gz MOBXDPGCNSGPQC-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CCS1 ZINC000191355975 189592925 /nfs/dbraw/zinc/59/29/25/189592925.db2.gz XOPNKTPRBWXEQP-QMMMGPOBSA-N 0 0 286.378 2.624 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000191404065 189594644 /nfs/dbraw/zinc/59/46/44/189594644.db2.gz JIRZTODNYZBEBS-NSHDSACASA-N 0 0 298.364 2.791 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000191943833 189609219 /nfs/dbraw/zinc/60/92/19/189609219.db2.gz OJBXHPZCWNMIRE-CMPLNLGQSA-N 0 0 278.308 2.657 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000191946478 189609612 /nfs/dbraw/zinc/60/96/12/189609612.db2.gz CXLCAFSGFNDIGH-JTQLQIEISA-N 0 0 280.349 2.562 20 5 CFBDRN COCc1cc(CNc2ncc([N+](=O)[O-])s2)ccc1F ZINC000192452231 189622405 /nfs/dbraw/zinc/62/24/05/189622405.db2.gz KFWIAXGFAKPEEQ-UHFFFAOYSA-N 0 0 297.311 2.949 20 5 CFBDRN C[C@H]1CSCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000192269770 189618165 /nfs/dbraw/zinc/61/81/65/189618165.db2.gz XFVXWIXMLVRNSH-SNVBAGLBSA-N 0 0 295.364 2.812 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])C12CCC2 ZINC000192795469 189628910 /nfs/dbraw/zinc/62/89/10/189628910.db2.gz GIZOTKOPHGLSAT-UONOGXRCSA-N 0 0 291.351 2.937 20 5 CFBDRN CNC(=O)[C@H]1CCC[C@@H]1Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000432329982 239986397 /nfs/dbraw/zinc/98/63/97/239986397.db2.gz WDCPVJXOHNZOCW-WPRPVWTQSA-N 0 0 297.742 2.575 20 5 CFBDRN COC[C@H](C)N(C)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432327429 239986800 /nfs/dbraw/zinc/98/68/00/239986800.db2.gz GUBCRXAQPPYBMH-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN CC[C@H]1CCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000432454268 240011767 /nfs/dbraw/zinc/01/17/67/240011767.db2.gz FEPGEUFQEDRLDH-VIFPVBQESA-N 0 0 279.296 2.620 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC=CCC1 ZINC000193229883 189637803 /nfs/dbraw/zinc/63/78/03/189637803.db2.gz NMUIFCLRRCNDPS-GFCCVEGCSA-N 0 0 274.320 2.910 20 5 CFBDRN CCc1nc(CCNc2cc(N)ccc2[N+](=O)[O-])cs1 ZINC000193406345 189642186 /nfs/dbraw/zinc/64/21/86/189642186.db2.gz XCGBWAGJPDYXOI-UHFFFAOYSA-N 0 0 292.364 2.851 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1cc(N)ccc1[N+](=O)[O-] ZINC000193451404 189643723 /nfs/dbraw/zinc/64/37/23/189643723.db2.gz GNPSQFSJAJZEKQ-MRVPVSSYSA-N 0 0 290.323 2.825 20 5 CFBDRN C/C=C/C[C@H](NCc1cccc([N+](=O)[O-])c1)C(=O)OCC ZINC000432917075 240065911 /nfs/dbraw/zinc/06/59/11/240065911.db2.gz ZFKHSUMKCHRRLJ-KQIUPUNMSA-N 0 0 292.335 2.582 20 5 CFBDRN CCC[C@H](CNc1nccc(C)c1[N+](=O)[O-])C(=O)OCC ZINC000432929161 240071631 /nfs/dbraw/zinc/07/16/31/240071631.db2.gz PRHPRZBSTBCVJC-LLVKDONJSA-N 0 0 295.339 2.690 20 5 CFBDRN Cc1ccc(N(C)[C@H](CO)CC(C)C)c([N+](=O)[O-])c1 ZINC000302351727 201436459 /nfs/dbraw/zinc/43/64/59/201436459.db2.gz DEXJFYSZQUPNOB-LBPRGKRZSA-N 0 0 266.341 2.746 20 5 CFBDRN CSC1(CNC(=O)c2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000194479215 189661825 /nfs/dbraw/zinc/66/18/25/189661825.db2.gz BSEFTASUEDQDQL-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCO[C@@H](c3ccccc3)C2)s1 ZINC000195507622 189676822 /nfs/dbraw/zinc/67/68/22/189676822.db2.gz XVWLXDYABHMCAG-LLVKDONJSA-N 0 0 291.332 2.629 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000435920991 240370834 /nfs/dbraw/zinc/37/08/34/240370834.db2.gz BJBLORNLODJLNX-ZYHUDNBSSA-N 0 0 277.324 2.583 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000436023819 240378895 /nfs/dbraw/zinc/37/88/95/240378895.db2.gz BVVIJJHIMNWRNW-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cccc(C(=O)N(C)[C@H](C)C(C)C)c1[N+](=O)[O-] ZINC000435543352 240320283 /nfs/dbraw/zinc/32/02/83/240320283.db2.gz VRGIQOCTYYDVBO-SNVBAGLBSA-N 0 0 280.324 2.720 20 5 CFBDRN Cc1nc(C)c(Cn2cc([N+](=O)[O-])c(C3CC3)n2)s1 ZINC000436212202 240408332 /nfs/dbraw/zinc/40/83/32/240408332.db2.gz SZNNKGACHXPOHN-UHFFFAOYSA-N 0 0 278.337 2.790 20 5 CFBDRN CN(Cc1ccco1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000275457989 193045612 /nfs/dbraw/zinc/04/56/12/193045612.db2.gz YLCNPQJWDHAFEK-UHFFFAOYSA-N 0 0 273.292 2.792 20 5 CFBDRN CC1(C)CN(Cc2cc([N+](=O)[O-])ccc2Cl)CC[C@H]1O ZINC000275523657 193067055 /nfs/dbraw/zinc/06/70/55/193067055.db2.gz VWUXAHUDXPOVIP-CYBMUJFWSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1cccc(CN(C)c2cc(C)c([N+](=O)[O-])cn2)n1 ZINC000439600202 240783626 /nfs/dbraw/zinc/78/36/26/240783626.db2.gz ZCYGTKSQYYNIHP-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000439713705 240791098 /nfs/dbraw/zinc/79/10/98/240791098.db2.gz JHICPUOFJYSISD-WDEREUQCSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cnc(CNC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000441391025 240937257 /nfs/dbraw/zinc/93/72/57/240937257.db2.gz ZXNCZVWHCHKKLD-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cn1ccc2ccc(Nc3c([N+](=O)[O-])ncn3C)cc21 ZINC000443516236 241069515 /nfs/dbraw/zinc/06/95/15/241069515.db2.gz FJZUIBXSKCMBDW-UHFFFAOYSA-N 0 0 271.280 2.564 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000444320209 241135804 /nfs/dbraw/zinc/13/58/04/241135804.db2.gz QPRWVOSHRORZOY-NEPJUHHUSA-N 0 0 292.335 2.669 20 5 CFBDRN CCc1ccccc1Cn1ccc(C)c([N+](=O)[O-])c1=O ZINC000445610636 241225758 /nfs/dbraw/zinc/22/57/58/241225758.db2.gz PTCSVHTXQZIXON-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN COC[C@@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000445642122 241227967 /nfs/dbraw/zinc/22/79/67/241227967.db2.gz KPOLYHMOIKHBPD-VIFPVBQESA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCC[C@H]1CCO ZINC000302460198 201454492 /nfs/dbraw/zinc/45/44/92/201454492.db2.gz RJZVPFXBQMUKJR-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H](C)C(F)(F)F ZINC000446341176 241297300 /nfs/dbraw/zinc/29/73/00/241297300.db2.gz LLRMWOMPTRGBDJ-QMMMGPOBSA-N 0 0 290.241 2.831 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)c1ccon1 ZINC000446489093 241302216 /nfs/dbraw/zinc/30/22/16/241302216.db2.gz UQDPFGZWJRSAEA-VIFPVBQESA-N 0 0 290.279 2.774 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@H](C)O[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000446610527 241307157 /nfs/dbraw/zinc/30/71/57/241307157.db2.gz MXLLVYVZIJZOMG-TUAOUCFPSA-N 0 0 292.335 2.541 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1CCO[C@@H](C)C1 ZINC000447286379 241362206 /nfs/dbraw/zinc/36/22/06/241362206.db2.gz FUOJUWFZWKEWEN-WDEREUQCSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1cccc(C[C@H](CO)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000302619138 201493612 /nfs/dbraw/zinc/49/36/12/201493612.db2.gz DVAJLROEBMIGOA-OAHLLOKOSA-N 0 0 286.331 2.919 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])nc1)[C@@H](CCO)c1ccccc1 ZINC000446857128 241333734 /nfs/dbraw/zinc/33/37/34/241333734.db2.gz SVSHAIYCUGEGDT-AWEZNQCLSA-N 0 0 287.319 2.550 20 5 CFBDRN CCCC[C@@H](COC)N=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1 ZINC000447672445 241399741 /nfs/dbraw/zinc/39/97/41/241399741.db2.gz UHQLJRDZGCGXGU-JTQLQIEISA-N 0 0 292.339 2.510 20 5 CFBDRN Cc1ccc(CN[C@@H]2C[C@@H](C)n3ccnc32)cc1[N+](=O)[O-] ZINC000449575749 242041512 /nfs/dbraw/zinc/04/15/12/242041512.db2.gz YRXWYSPMNADQNY-DGCLKSJQSA-N 0 0 286.335 2.895 20 5 CFBDRN CCCc1ncc(CNCc2ccccc2[N+](=O)[O-])o1 ZINC000449612996 242065863 /nfs/dbraw/zinc/06/58/63/242065863.db2.gz QAEWATMGGZRQDF-UHFFFAOYSA-N 0 0 275.308 2.825 20 5 CFBDRN CCn1ccnc1[C@@H](C)NCc1ccc([N+](=O)[O-])cc1C ZINC000449563607 242034232 /nfs/dbraw/zinc/03/42/32/242034232.db2.gz WVPFVGUIMTUTGR-GFCCVEGCSA-N 0 0 288.351 2.970 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCc2cnc(C3CC3)o2)c1 ZINC000449699139 242113059 /nfs/dbraw/zinc/11/30/59/242113059.db2.gz PYGWQCYXMDHNBC-UHFFFAOYSA-N 0 0 273.292 2.750 20 5 CFBDRN COC[C@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000449758378 242142595 /nfs/dbraw/zinc/14/25/95/242142595.db2.gz GKQMERQFDCMNTE-LLVKDONJSA-N 0 0 270.354 2.657 20 5 CFBDRN CC(C)OC(=O)[C@H](C)NC/C=C/c1ccccc1[N+](=O)[O-] ZINC000449663062 242094710 /nfs/dbraw/zinc/09/47/10/242094710.db2.gz NLRCAGQWMNJNNY-WMADIVHISA-N 0 0 292.335 2.538 20 5 CFBDRN CN(CC1CC1)c1nccc2c1cccc2[N+](=O)[O-] ZINC000450265851 242332625 /nfs/dbraw/zinc/33/26/25/242332625.db2.gz ZWFUDKYYLIATHB-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN COC[C@H](C)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450273807 242335833 /nfs/dbraw/zinc/33/58/33/242335833.db2.gz DPDDKFNNFLKTDE-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCC1CCOCC1 ZINC000450294889 242344964 /nfs/dbraw/zinc/34/49/64/242344964.db2.gz SNLJOYWWHPGPEV-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CC[C@](C)(O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450304832 242348817 /nfs/dbraw/zinc/34/88/17/242348817.db2.gz SNJWJKQVFVASQC-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN CCC[C@@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450329784 242359035 /nfs/dbraw/zinc/35/90/35/242359035.db2.gz MOVCQJMXISPTHS-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000450339173 242365093 /nfs/dbraw/zinc/36/50/93/242365093.db2.gz OQEZRCHHYKHWKM-IUPBHXKESA-N 0 0 285.303 2.875 20 5 CFBDRN CCN(c1ncc([N+](=O)[O-])c(C)n1)[C@@H](C)c1cccnc1 ZINC000450338408 242365649 /nfs/dbraw/zinc/36/56/49/242365649.db2.gz KKRYBBMBGXGXLQ-NSHDSACASA-N 0 0 287.323 2.676 20 5 CFBDRN Cc1nc(NC[C@H]2CCCc3ccccc32)ncc1[N+](=O)[O-] ZINC000450243626 242321842 /nfs/dbraw/zinc/32/18/42/242321842.db2.gz LNOXFGVOKHBYDE-CYBMUJFWSA-N 0 0 298.346 2.647 20 5 CFBDRN CC(C)c1nnc(N(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000450244132 242322316 /nfs/dbraw/zinc/32/23/16/242322316.db2.gz UFCLPZBZCBHGPP-UHFFFAOYSA-N 0 0 276.296 2.738 20 5 CFBDRN Cc1nc(N(C)CCCc2ccccc2)ncc1[N+](=O)[O-] ZINC000450253215 242325957 /nfs/dbraw/zinc/32/59/57/242325957.db2.gz CLXDKDIAJTYTDS-UHFFFAOYSA-N 0 0 286.335 2.762 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450258985 242328207 /nfs/dbraw/zinc/32/82/07/242328207.db2.gz UNASGYUGCNFYOL-MWLCHTKSSA-N 0 0 257.293 2.633 20 5 CFBDRN Cc1nc(N2CCC[C@H](C(C)C)C2)ncc1[N+](=O)[O-] ZINC000450471409 242437841 /nfs/dbraw/zinc/43/78/41/242437841.db2.gz KAQVXRUBOQDLMK-NSHDSACASA-N 0 0 264.329 2.566 20 5 CFBDRN CCSCC[C@H](C)Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000450359672 242377130 /nfs/dbraw/zinc/37/71/30/242377130.db2.gz HPWJRFLBQXOJBH-QMMMGPOBSA-N 0 0 270.358 2.637 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCC[C@H]2CCOC2)c1 ZINC000450438618 242422010 /nfs/dbraw/zinc/42/20/10/242422010.db2.gz KYVQTMPDSAPMOU-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CCO[C@H](C2CC2)C1 ZINC000450454809 242430282 /nfs/dbraw/zinc/43/02/82/242430282.db2.gz MKCQWKMJLKPWNQ-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN O=C1c2ccc([N+](=O)[O-])cc2C(=O)N1CC1=CCCCC1 ZINC000450626625 242510803 /nfs/dbraw/zinc/51/08/03/242510803.db2.gz GPVSOJLOFXTSIV-UHFFFAOYSA-N 0 0 286.287 2.691 20 5 CFBDRN C[C@@H](CCCCO)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000450643771 242520097 /nfs/dbraw/zinc/52/00/97/242520097.db2.gz BUJQDDLPUCDRSZ-JTQLQIEISA-N 0 0 279.340 2.526 20 5 CFBDRN CS[C@@H]1CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000450675573 242537061 /nfs/dbraw/zinc/53/70/61/242537061.db2.gz CTDNXBMFJXEDCL-LLVKDONJSA-N 0 0 280.349 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]([C@@H](CO)C1CC1)C1CC1 ZINC000450780076 242600327 /nfs/dbraw/zinc/60/03/27/242600327.db2.gz PRHMYACDECYWLP-UONOGXRCSA-N 0 0 291.351 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CC23CCOCC3)c1 ZINC000450799688 242611101 /nfs/dbraw/zinc/61/11/01/242611101.db2.gz XAZMGNIZABDZMW-AWEZNQCLSA-N 0 0 299.330 2.546 20 5 CFBDRN C[C@H]1CCC(=O)[C@H](Sc2ncccc2[N+](=O)[O-])C1 ZINC000450814713 242617853 /nfs/dbraw/zinc/61/78/53/242617853.db2.gz ZKCWRWJBATZPOY-GZMMTYOYSA-N 0 0 266.322 2.840 20 5 CFBDRN Cc1cnc(NCc2cnc(C3CC3)o2)c([N+](=O)[O-])c1 ZINC000450911794 242668109 /nfs/dbraw/zinc/66/81/09/242668109.db2.gz KVEUCLTUPMLWQT-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN COc1ccc(NC(=O)CSC2CCC2)c([N+](=O)[O-])c1 ZINC000450989896 242699501 /nfs/dbraw/zinc/69/95/01/242699501.db2.gz HIVPQQRPUXUIQQ-UHFFFAOYSA-N 0 0 296.348 2.828 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CC2)nc1NCC1CC1 ZINC000302786826 201554150 /nfs/dbraw/zinc/55/41/50/201554150.db2.gz WNZPRFKUTZJADW-UHFFFAOYSA-N 0 0 262.313 2.634 20 5 CFBDRN COCCN(C)c1c(C)cc([N+](=O)[O-])cc1Cl ZINC000302836229 201572631 /nfs/dbraw/zinc/57/26/31/201572631.db2.gz WINALFMKGVTZNL-UHFFFAOYSA-N 0 0 258.705 2.639 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000458292012 284032150 /nfs/dbraw/zinc/03/21/50/284032150.db2.gz YMCXZVKLKFLVJI-KGYLQXTDSA-N 0 0 260.293 2.513 20 5 CFBDRN COC(=O)c1cc(N[C@@H](C)[C@H](C)OC)cc(C)c1[N+](=O)[O-] ZINC000275981911 193180059 /nfs/dbraw/zinc/18/00/59/193180059.db2.gz BLOIALOWOLPEDC-UWVGGRQHSA-N 0 0 296.323 2.525 20 5 CFBDRN C[C@H](NC(=O)CCc1ccoc1)c1ccc([N+](=O)[O-])cc1 ZINC000452184568 243077341 /nfs/dbraw/zinc/07/73/41/243077341.db2.gz UPWHDIYUBXXVHX-NSHDSACASA-N 0 0 288.303 2.998 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC2(CC2)C1 ZINC000452273898 243106852 /nfs/dbraw/zinc/10/68/52/243106852.db2.gz UAMYVZREQXCMSA-SNVBAGLBSA-N 0 0 292.360 2.698 20 5 CFBDRN CC(C)(C(=O)NC1CC(C)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000452284649 243113440 /nfs/dbraw/zinc/11/34/40/243113440.db2.gz ZHMJFWCMZFXHLU-UHFFFAOYSA-N 0 0 294.326 2.879 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)OC ZINC000452425890 243166682 /nfs/dbraw/zinc/16/66/82/243166682.db2.gz ZZRNPDMSKXTHKM-BXUZGUMPSA-N 0 0 294.351 2.694 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H]2C2CC2)cc([N+](=O)[O-])c1C ZINC000452485417 243189821 /nfs/dbraw/zinc/18/98/21/243189821.db2.gz GQFJCKGLOFWUGD-GFCCVEGCSA-N 0 0 290.319 2.536 20 5 CFBDRN CC/C=C\CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000452618649 243247038 /nfs/dbraw/zinc/24/70/38/243247038.db2.gz WDKWCJNGVNEYKU-ARJAWSKDSA-N 0 0 291.351 2.869 20 5 CFBDRN CCC[C@H](NC(=O)c1nn(CC)cc1[N+](=O)[O-])C(C)(C)C ZINC000452662960 243256419 /nfs/dbraw/zinc/25/64/19/243256419.db2.gz BGFFLCKCRGLHQT-NSHDSACASA-N 0 0 296.371 2.756 20 5 CFBDRN C[C@@]12CN(C(=O)c3cccc([N+](=O)[O-])c3)C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000452594367 243235090 /nfs/dbraw/zinc/23/50/90/243235090.db2.gz HNCCZTWIRWCYIY-GKKDWNQVSA-N 0 0 298.342 2.879 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)C(C)(F)F ZINC000452875210 243327544 /nfs/dbraw/zinc/32/75/44/243327544.db2.gz SJOIDLYNTSLTBH-MRVPVSSYSA-N 0 0 272.251 2.677 20 5 CFBDRN Cc1nn(C[C@@H](O)[C@H](C)c2ccccc2)c(C)c1[N+](=O)[O-] ZINC000453021897 243366880 /nfs/dbraw/zinc/36/68/80/243366880.db2.gz RHUUTEINCIMPIB-QMTHXVAHSA-N 0 0 289.335 2.573 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000330566316 202979602 /nfs/dbraw/zinc/97/96/02/202979602.db2.gz KPIZDSIPTYBFAH-ZETCQYMHSA-N 0 0 256.327 2.835 20 5 CFBDRN C[C@H](F)CCNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000454374740 243698540 /nfs/dbraw/zinc/69/85/40/243698540.db2.gz VHLDALHPTDAHIG-UWVGGRQHSA-N 0 0 283.303 2.703 20 5 CFBDRN C[C@H](NC(=O)NC1CC(C)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000454767557 243805115 /nfs/dbraw/zinc/80/51/15/243805115.db2.gz RUWSRBGBWVTBSR-IPWFMCSPSA-N 0 0 295.314 2.846 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CCC[C@@H]2F)cccc1[N+](=O)[O-] ZINC000454862740 243830621 /nfs/dbraw/zinc/83/06/21/243830621.db2.gz YJBHGWDSQUXESA-NWDGAFQWSA-N 0 0 295.314 2.593 20 5 CFBDRN CC[C@H](O)[C@H](CC)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000455203110 243913780 /nfs/dbraw/zinc/91/37/80/243913780.db2.gz XORCLLVTAJYJCS-AAEUAGOBSA-N 0 0 295.339 2.574 20 5 CFBDRN COc1cc(NCC2(CO)CCCC2)c(F)cc1[N+](=O)[O-] ZINC000225517224 189755692 /nfs/dbraw/zinc/75/56/92/189755692.db2.gz UKSWBSARVSEYJE-UHFFFAOYSA-N 0 0 298.314 2.707 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000330668791 203097304 /nfs/dbraw/zinc/09/73/04/203097304.db2.gz YDZBFKSFIQNCDO-VXGBXAGGSA-N 0 0 292.335 2.763 20 5 CFBDRN CC(C)(NC(=O)Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000456144684 244086630 /nfs/dbraw/zinc/08/66/30/244086630.db2.gz IJOPJXQCJUSSHR-UHFFFAOYSA-N 0 0 276.336 2.832 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000456070547 244066833 /nfs/dbraw/zinc/06/68/33/244066833.db2.gz SBNFUNGWKFZTBM-SNVBAGLBSA-N 0 0 294.355 2.682 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]1C(C)C ZINC000456274994 244121701 /nfs/dbraw/zinc/12/17/01/244121701.db2.gz AKNBGDBKDPFPEW-GWCFXTLKSA-N 0 0 279.340 2.676 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C[C@H]1C(C)C ZINC000456320726 244139317 /nfs/dbraw/zinc/13/93/17/244139317.db2.gz OVZQPWFGSWVADJ-RYUDHWBXSA-N 0 0 276.336 2.925 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCCC1 ZINC000456665139 244255119 /nfs/dbraw/zinc/25/51/19/244255119.db2.gz RSVANLPCQRIFRO-SNVBAGLBSA-N 0 0 265.313 2.622 20 5 CFBDRN CO[C@H]([C@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000456606013 244239843 /nfs/dbraw/zinc/23/98/43/244239843.db2.gz SVZZLIJXDRRREG-JVXZTZIISA-N 0 0 298.364 2.508 20 5 CFBDRN Cc1cc(C)c(CNC(=O)c2c(C)cccc2[N+](=O)[O-])cn1 ZINC000456835380 244299033 /nfs/dbraw/zinc/29/90/33/244299033.db2.gz IOZZIGACVQWICI-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1C[C@H]1C1CC1 ZINC000456874643 244314139 /nfs/dbraw/zinc/31/41/39/244314139.db2.gz DSFCTCXACFUUEX-FZMZJTMJSA-N 0 0 274.320 2.679 20 5 CFBDRN CCC[C@H]1CCCC[C@H]1CNC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000456843163 244300907 /nfs/dbraw/zinc/30/09/07/244300907.db2.gz GXXSSGIJWOJHNT-QWRGUYRKSA-N 0 0 294.355 2.654 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CC[C@H](CC(C)(C)C)C2)c1[N+](=O)[O-] ZINC000457013248 244357857 /nfs/dbraw/zinc/35/78/57/244357857.db2.gz JMZIZZPAVVZGND-SNVBAGLBSA-N 0 0 294.355 2.525 20 5 CFBDRN CC1(C)[C@H](Nc2cc(F)ccc2[N+](=O)[O-])[C@@H]2CCO[C@H]21 ZINC000227479339 189784760 /nfs/dbraw/zinc/78/47/60/189784760.db2.gz CEPIRTXMMRNFOA-ZWKOPEQDSA-N 0 0 280.299 2.959 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCCC[C@H]2O)c(F)c1 ZINC000227889508 189789410 /nfs/dbraw/zinc/78/94/10/189789410.db2.gz RPOCMUWRCCJZIG-GHMZBOCLSA-N 0 0 272.251 2.588 20 5 CFBDRN CCC1CN(C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])C1 ZINC000457285663 244468727 /nfs/dbraw/zinc/46/87/27/244468727.db2.gz WQUADNRIMQPCGC-UHFFFAOYSA-N 0 0 276.336 2.741 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCC[C@H](C)CO ZINC000230146790 189806028 /nfs/dbraw/zinc/80/60/28/189806028.db2.gz GMPPOWJFKFEOKQ-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN CS[C@@H](CO)[C@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000230198872 189807138 /nfs/dbraw/zinc/80/71/38/189807138.db2.gz YMMABJCVOZVINU-CPCISQLKSA-N 0 0 290.772 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc(NOC[C@H]2CCOC2)c(Cl)c1 ZINC000276157378 193228358 /nfs/dbraw/zinc/22/83/58/193228358.db2.gz ALRITOGRUCEJLC-QMMMGPOBSA-N 0 0 272.688 2.628 20 5 CFBDRN O=C([C@H]1C[C@@H]1C1CC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000177352662 296145248 /nfs/dbraw/zinc/14/52/48/296145248.db2.gz WIWYPWGCUUALPG-KGLIPLIRSA-N 0 0 286.331 2.920 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000230269397 189808376 /nfs/dbraw/zinc/80/83/76/189808376.db2.gz ZJTGVRXBCOZTJF-OAHLLOKOSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@@](C)(O)CC2)ccc1[N+](=O)[O-] ZINC000230356340 189809569 /nfs/dbraw/zinc/80/95/69/189809569.db2.gz PBVOWOQRQVCUNE-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CSC2(C)C)cc1[N+](=O)[O-] ZINC000276245329 193254808 /nfs/dbraw/zinc/25/48/08/193254808.db2.gz LLASKYVVTHRYAT-SECBINFHSA-N 0 0 286.378 2.589 20 5 CFBDRN COCCC(C)(C)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000230836018 189811503 /nfs/dbraw/zinc/81/15/03/189811503.db2.gz AQPVVASNVZDFHX-UHFFFAOYSA-N 0 0 298.368 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@H](O)C2)cc1 ZINC000230909709 189811744 /nfs/dbraw/zinc/81/17/44/189811744.db2.gz WJUBYMQMEBBHFC-GWCFXTLKSA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1nc(CCNc2ncc(C)cc2[N+](=O)[O-])cs1 ZINC000231137319 189812573 /nfs/dbraw/zinc/81/25/73/189812573.db2.gz ISOOPXBIDBGJDG-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000330933638 203399987 /nfs/dbraw/zinc/39/99/87/203399987.db2.gz DURBTTWSQHBANC-JQWIXIFHSA-N 0 0 292.335 2.864 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1ccc2[nH]ccc2c1 ZINC000276455821 193338646 /nfs/dbraw/zinc/33/86/46/193338646.db2.gz WUYZNIRPFOECSK-UHFFFAOYSA-N 0 0 299.334 2.799 20 5 CFBDRN COC[C@H]1CCN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000276405590 193319154 /nfs/dbraw/zinc/31/91/54/193319154.db2.gz AHDQJBVPKWJPOL-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN CC1(C)SC[C@H]1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000276498032 193356699 /nfs/dbraw/zinc/35/66/99/193356699.db2.gz OXTTZNRFKONUQK-ABZNLYFFSA-N 0 0 292.360 2.618 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CSC2(C)C)c1[N+](=O)[O-] ZINC000276465764 193343133 /nfs/dbraw/zinc/34/31/33/193343133.db2.gz JFYRRDRFCHOIRT-SNVBAGLBSA-N 0 0 280.349 2.527 20 5 CFBDRN COc1c(C(=O)N2CCCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000331014018 203464973 /nfs/dbraw/zinc/46/49/73/203464973.db2.gz GBGAJYKHIZSMBW-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1C ZINC000276520373 193366038 /nfs/dbraw/zinc/36/60/38/193366038.db2.gz CJSGDQZWJUAIBX-HZGVNTEJSA-N 0 0 268.700 2.695 20 5 CFBDRN CC(C)=CCCNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000276636326 193409223 /nfs/dbraw/zinc/40/92/23/193409223.db2.gz PRZNGHBWAOZKDT-UHFFFAOYSA-N 0 0 262.309 2.989 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@@H](OC)C2)ccc1[N+](=O)[O-] ZINC000231684858 189814662 /nfs/dbraw/zinc/81/46/62/189814662.db2.gz JZCSXOLUCWKDBP-MWLCHTKSSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000458273363 244823689 /nfs/dbraw/zinc/82/36/89/244823689.db2.gz ICSDNOJEYHUBOX-CDMKHQONSA-N 0 0 290.319 2.522 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000458276858 244825576 /nfs/dbraw/zinc/82/55/76/244825576.db2.gz GYOGVNANGIROTN-USWWRNFRSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1c(C(=O)N2CCCC2(C)C)cccc1[N+](=O)[O-] ZINC000077354637 291493626 /nfs/dbraw/zinc/49/36/26/291493626.db2.gz HDIHDOQFTPIFFN-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN COc1cc(N[C@@H]2CCOC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000277309189 193615961 /nfs/dbraw/zinc/61/59/61/193615961.db2.gz BHDRCYLWLUYKBS-WCBMZHEXSA-N 0 0 284.287 2.579 20 5 CFBDRN CN(CCn1cc(Cl)cn1)Cc1ccccc1[N+](=O)[O-] ZINC000459289652 245121839 /nfs/dbraw/zinc/12/18/39/245121839.db2.gz KKAMDBGPRIQZPY-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277382545 193636898 /nfs/dbraw/zinc/63/68/98/193636898.db2.gz FEDVGOIKCFUQEH-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000459296815 245126002 /nfs/dbraw/zinc/12/60/02/245126002.db2.gz IFGNYJBWRXVTOS-JIMOISOXSA-N 0 0 275.308 2.905 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000459511234 245204765 /nfs/dbraw/zinc/20/47/65/245204765.db2.gz OTUARXOUHSSHCV-OLZOCXBDSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000459904400 245343414 /nfs/dbraw/zinc/34/34/14/245343414.db2.gz QULKSCFBVXFYBJ-SNVBAGLBSA-N 0 0 262.309 2.994 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CSC1CC1 ZINC000459985406 245346503 /nfs/dbraw/zinc/34/65/03/245346503.db2.gz JITQDEFHEDBHCU-VIFPVBQESA-N 0 0 292.360 2.768 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000460060389 245347873 /nfs/dbraw/zinc/34/78/73/245347873.db2.gz LDRGYXDWSMCXDA-MWLCHTKSSA-N 0 0 296.298 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCO)c(Br)c1 ZINC000236506002 189833665 /nfs/dbraw/zinc/83/36/65/189833665.db2.gz YTANACOXCQMMLO-UHFFFAOYSA-N 0 0 289.129 2.542 20 5 CFBDRN COC1([C@H](C)NC(=O)c2cc([N+](=O)[O-])c(C)s2)CCC1 ZINC000331979087 204356624 /nfs/dbraw/zinc/35/66/24/204356624.db2.gz FBUUKHSXOYVLMJ-VIFPVBQESA-N 0 0 298.364 2.652 20 5 CFBDRN Cc1ccc(NC(=O)COc2ccc([N+](=O)[O-])cc2)cc1 ZINC000003515297 371804861 /nfs/dbraw/zinc/80/48/61/371804861.db2.gz WMDUMYQCKGVBCT-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000332429708 204688346 /nfs/dbraw/zinc/68/83/46/204688346.db2.gz ILLJFCBNZZDBLI-ZDUSSCGKSA-N 0 0 276.336 2.703 20 5 CFBDRN CC(C)Cn1nccc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000016470372 372107081 /nfs/dbraw/zinc/10/70/81/372107081.db2.gz QXAMMSKUUMEYFK-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN C[C@@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000015133263 372080590 /nfs/dbraw/zinc/08/05/90/372080590.db2.gz KPNTWWKROODWJK-SECBINFHSA-N 0 0 280.349 2.602 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000015797295 372092994 /nfs/dbraw/zinc/09/29/94/372092994.db2.gz NZVLTKBJZDMUMY-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(C(=O)NCCCOCC(C)C)ccc1[N+](=O)[O-] ZINC000018232964 372145176 /nfs/dbraw/zinc/14/51/76/372145176.db2.gz JDLQXLKZJZSCCH-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc(O)c2)cc1[N+](=O)[O-] ZINC000019838924 372195872 /nfs/dbraw/zinc/19/58/72/372195872.db2.gz XIRVDXKCVUKVBA-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CCOc1ccc(C(=O)NCC2CCC2)cc1[N+](=O)[O-] ZINC000026139025 372314458 /nfs/dbraw/zinc/31/44/58/372314458.db2.gz DSLPPMJIGMPXNC-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccncc1 ZINC000027144321 372335163 /nfs/dbraw/zinc/33/51/63/372335163.db2.gz GDSJBFODGIKXII-UHFFFAOYSA-N 0 0 289.316 2.721 20 5 CFBDRN O=C(Cc1ccccc1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000029041301 372372791 /nfs/dbraw/zinc/37/27/91/372372791.db2.gz ONAOYFWOLYWDEY-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN NC(=O)c1ccc(CSc2ccc([N+](=O)[O-])cc2)cc1 ZINC000029678795 372384514 /nfs/dbraw/zinc/38/45/14/372384514.db2.gz VJACQYYTJUZUGT-UHFFFAOYSA-N 0 0 288.328 2.986 20 5 CFBDRN CCCC[C@H](CC)CNc1c([N+](=O)[O-])ncn1C ZINC000030545916 372394341 /nfs/dbraw/zinc/39/43/41/372394341.db2.gz KULFYPAKNJXKPI-JTQLQIEISA-N 0 0 254.334 2.957 20 5 CFBDRN Cc1cccc(CNC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000031107638 372404396 /nfs/dbraw/zinc/40/43/96/372404396.db2.gz CGWYNQBYXSWUFK-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000032384548 372437415 /nfs/dbraw/zinc/43/74/15/372437415.db2.gz YXJJGZVVSDGZDF-SFYZADRCSA-N 0 0 268.700 2.634 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000033181702 372485223 /nfs/dbraw/zinc/48/52/23/372485223.db2.gz SUPUKKJJWFXWFY-AAEUAGOBSA-N 0 0 276.336 2.832 20 5 CFBDRN CCn1cc(Nc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000035781455 372552231 /nfs/dbraw/zinc/55/22/31/372552231.db2.gz WCTGHGUSSHPDHT-UHFFFAOYSA-N 0 0 250.233 2.694 20 5 CFBDRN Cc1csc(CNCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000037988478 372749217 /nfs/dbraw/zinc/74/92/17/372749217.db2.gz SVSMYKNLVRDDHZ-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037996003 372754205 /nfs/dbraw/zinc/75/42/05/372754205.db2.gz NDFBFFFOZMAQJZ-SECBINFHSA-N 0 0 268.288 2.850 20 5 CFBDRN CN(CC1CC1)C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000038000550 372757698 /nfs/dbraw/zinc/75/76/98/372757698.db2.gz MZQQGNDNQYEZSQ-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCOC2)ccc2ncccc21 ZINC000037998944 372758136 /nfs/dbraw/zinc/75/81/36/372758136.db2.gz QYDACIOWBLMJKB-JTQLQIEISA-N 0 0 273.292 2.591 20 5 CFBDRN CCc1ccc(C(=O)Nc2cnc(C)nc2)cc1[N+](=O)[O-] ZINC000471870315 245534817 /nfs/dbraw/zinc/53/48/17/245534817.db2.gz KECRILNAZNIWHO-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(O)CC2)cc1C(F)(F)F ZINC000040412057 372782401 /nfs/dbraw/zinc/78/24/01/372782401.db2.gz PJKBCOVMKSNBNH-UHFFFAOYSA-N 0 0 290.241 2.575 20 5 CFBDRN Cc1nc(CNCc2cccc([N+](=O)[O-])c2C)cs1 ZINC000041010896 372803288 /nfs/dbraw/zinc/80/32/88/372803288.db2.gz ZFPOPJPTOAXWOE-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(O)c1 ZINC000041031496 372805134 /nfs/dbraw/zinc/80/51/34/372805134.db2.gz KYBYLXXNEYILHJ-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN COC[C@H](C)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000041675034 372858185 /nfs/dbraw/zinc/85/81/85/372858185.db2.gz UIHCRFVTQIYZTQ-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1ncc([N+](=O)[O-])cn1 ZINC000042864660 372962301 /nfs/dbraw/zinc/96/23/01/372962301.db2.gz ZVENNSSNXAHXPL-ZJUUUORDSA-N 0 0 250.302 2.623 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)C1CCC1 ZINC000042918716 372966403 /nfs/dbraw/zinc/96/64/03/372966403.db2.gz PSVIWBVWVQKDQS-UHFFFAOYSA-N 0 0 286.234 2.935 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000043147061 372979917 /nfs/dbraw/zinc/97/99/17/372979917.db2.gz LMCKIKYRRRGRDB-ZJUUUORDSA-N 0 0 263.297 2.681 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])NC(C1CC1)C1CC1 ZINC000045437691 373017552 /nfs/dbraw/zinc/01/75/52/373017552.db2.gz ULEHSSYAKFDZDN-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000486767866 245699947 /nfs/dbraw/zinc/69/99/47/245699947.db2.gz LXWAUPJHDZSNOW-PJXYFTJBSA-N 0 0 275.308 2.823 20 5 CFBDRN Cc1c(Cn2nnc(-c3ccccc3)n2)cccc1[N+](=O)[O-] ZINC000047369204 373089616 /nfs/dbraw/zinc/08/96/16/373089616.db2.gz AQVBJAUCKQBXLV-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])cn2)cc(C)c1O ZINC000047537867 373100678 /nfs/dbraw/zinc/10/06/78/373100678.db2.gz VRLWJFNDLRNZBX-UHFFFAOYSA-N 0 0 273.292 2.924 20 5 CFBDRN CCCCN(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048315353 373160852 /nfs/dbraw/zinc/16/08/52/373160852.db2.gz ZKFXHRCKOXZKAR-UHFFFAOYSA-N 0 0 275.308 2.948 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1csc(Br)c1 ZINC000048705985 373216579 /nfs/dbraw/zinc/21/65/79/373216579.db2.gz RGEFZYSNDPLVTD-UHFFFAOYSA-N 0 0 288.126 2.664 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)cs2)c1 ZINC000049027417 373252122 /nfs/dbraw/zinc/25/21/22/373252122.db2.gz RZAPRDUWGSVKIG-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCNc1cncc(Cl)n1 ZINC000049320794 373288551 /nfs/dbraw/zinc/28/85/51/373288551.db2.gz BYUOIVFHPWIDPD-UHFFFAOYSA-N 0 0 293.714 2.562 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccnc1 ZINC000049375704 373291267 /nfs/dbraw/zinc/29/12/67/373291267.db2.gz YLLYVYCGGSKXBQ-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1cc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)c(C)o1 ZINC000049380428 373291284 /nfs/dbraw/zinc/29/12/84/373291284.db2.gz ZYUYMTHDRVUVGY-MRVPVSSYSA-N 0 0 278.264 2.889 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ccc(F)c(F)c1 ZINC000049577921 373311870 /nfs/dbraw/zinc/31/18/70/373311870.db2.gz BLKQXQYZCWRVGF-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2c([N+](=O)[O-])ncn2C)C1(CC)CC ZINC000487646146 245774945 /nfs/dbraw/zinc/77/49/45/245774945.db2.gz MSPQHKKZOZZZOM-MNOVXSKESA-N 0 0 296.371 2.724 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C)Cc1ccncc1 ZINC000487678585 245777071 /nfs/dbraw/zinc/77/70/71/245777071.db2.gz PPTIGHUYGPPUFL-LLVKDONJSA-N 0 0 272.308 2.736 20 5 CFBDRN O=C([O-])C[N@@H+](Cc1ccc([N+](=O)[O-])cc1Cl)CC1CC1 ZINC000049964244 373338609 /nfs/dbraw/zinc/33/86/09/373338609.db2.gz SCWOFYIYLBEFMB-UHFFFAOYSA-N 0 0 298.726 2.545 20 5 CFBDRN CNc1ccccc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000050016041 373339580 /nfs/dbraw/zinc/33/95/80/373339580.db2.gz QNCYZHDDNKKGQN-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CCn1ccnc1CNc1ccc(C)cc1[N+](=O)[O-] ZINC000051922484 373420315 /nfs/dbraw/zinc/42/03/15/373420315.db2.gz YAKONMPMYMNLIG-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1ccccc1[N+](=O)[O-] ZINC000052812857 373451355 /nfs/dbraw/zinc/45/13/55/373451355.db2.gz OWENUFLCQVYPKV-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN COc1cccc(CNc2ncc([N+](=O)[O-])cc2C)c1 ZINC000052812395 373451493 /nfs/dbraw/zinc/45/14/93/373451493.db2.gz WZXWLMYXAQUNHB-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCCCN(C)C(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000054918246 220200305 /nfs/dbraw/zinc/20/03/05/220200305.db2.gz NLNXEGZZHBPHRD-UHFFFAOYSA-N 0 0 279.340 2.899 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cccc([N+](=O)[O-])c1 ZINC000052942790 373459587 /nfs/dbraw/zinc/45/95/87/373459587.db2.gz BYPOFUWIHXUUDN-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccc(Cl)c2)nc1 ZINC000053158508 373466647 /nfs/dbraw/zinc/46/66/47/373466647.db2.gz JIDVZFSYGKFMEA-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000054982423 373533250 /nfs/dbraw/zinc/53/32/50/373533250.db2.gz NJZKFSWGOUQXAC-ONGXEEELSA-N 0 0 266.345 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC[C@@H]1CCCO1 ZINC000053734538 373485864 /nfs/dbraw/zinc/48/58/64/373485864.db2.gz WPTITRYVTMGCNI-LBPRGKRZSA-N 0 0 250.298 2.884 20 5 CFBDRN C/C=C/C=C/C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000055466640 373553471 /nfs/dbraw/zinc/55/34/71/373553471.db2.gz UWEFIZIPMJUDKR-DKHWSBQMSA-N 0 0 260.293 2.904 20 5 CFBDRN CCOCCCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000055467288 373553678 /nfs/dbraw/zinc/55/36/78/373553678.db2.gz QYZGDPIDMYOOCN-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN Cc1ccc(CC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000055467657 373554558 /nfs/dbraw/zinc/55/45/58/373554558.db2.gz CRVUCYMHLRNDHB-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1cccc(C(=O)N(C)CCc2ccccn2)c1[N+](=O)[O-] ZINC000057027803 373578502 /nfs/dbraw/zinc/57/85/02/373578502.db2.gz AFRFEFSZPVGITB-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN Cc1ccc(OCC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000057648050 373606930 /nfs/dbraw/zinc/60/69/30/373606930.db2.gz GIGZLIZKLIFIME-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN CCOCCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000059245027 373678148 /nfs/dbraw/zinc/67/81/48/373678148.db2.gz WTZZILCGSJPGJB-UHFFFAOYSA-N 0 0 272.688 2.613 20 5 CFBDRN CCc1cc(N2CCC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000064905577 373840054 /nfs/dbraw/zinc/84/00/54/373840054.db2.gz LAVPHEBPZSLICJ-UHFFFAOYSA-N 0 0 284.319 2.824 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc([N+](=O)[O-])c2)ccc1F ZINC000063061273 373782074 /nfs/dbraw/zinc/78/20/74/373782074.db2.gz AZXHTWZYZVSRBB-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CN(CCOc1ccc([N+](=O)[O-])cc1)Cc1cscn1 ZINC000065537774 373885563 /nfs/dbraw/zinc/88/55/63/373885563.db2.gz QKKXGXXFWIICSV-UHFFFAOYSA-N 0 0 293.348 2.562 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cn1ccc(C(F)(F)F)n1 ZINC000065948284 373903299 /nfs/dbraw/zinc/90/32/99/373903299.db2.gz FELPHRUQIWNPFI-UHFFFAOYSA-N 0 0 271.198 2.858 20 5 CFBDRN CN(CCc1ccc(F)cc1)c1ccc([N+](=O)[O-])cn1 ZINC000065586522 373890038 /nfs/dbraw/zinc/89/00/38/373890038.db2.gz UNGRDJOQTJUCOD-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CCc1ncc(C(=O)NCc2ccc([N+](=O)[O-])cc2)s1 ZINC000065067158 373843923 /nfs/dbraw/zinc/84/39/23/373843923.db2.gz DRXVCMMDBWQNSZ-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000066756238 373949327 /nfs/dbraw/zinc/94/93/27/373949327.db2.gz KVVFTSBJEUKQET-QMMMGPOBSA-N 0 0 268.338 2.841 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2ccc(Cl)cc2F)c1 ZINC000067799370 374004604 /nfs/dbraw/zinc/00/46/04/374004604.db2.gz CTHWAJXQXFTXCW-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN CC1CCC(NC(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000068847428 374040194 /nfs/dbraw/zinc/04/01/94/374040194.db2.gz OZJRTKSMZBOGQI-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC(F)(F)F)c(F)c1 ZINC000070167322 374134062 /nfs/dbraw/zinc/13/40/62/374134062.db2.gz UTZYZHXEPUHQOG-UHFFFAOYSA-N 0 0 282.193 2.725 20 5 CFBDRN CCCC(=O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000070089325 374125732 /nfs/dbraw/zinc/12/57/32/374125732.db2.gz WTTADQPKMOSXTA-UHFFFAOYSA-N 0 0 257.673 2.996 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000070194031 374145491 /nfs/dbraw/zinc/14/54/91/374145491.db2.gz VFPYPCDSKHIFQK-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NCc1ccsc1 ZINC000491094755 246039983 /nfs/dbraw/zinc/03/99/83/246039983.db2.gz YHAXJDOTFWETKI-PLNGDYQASA-N 0 0 288.328 2.986 20 5 CFBDRN CC(C)CN(C)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000248143939 189945387 /nfs/dbraw/zinc/94/53/87/189945387.db2.gz VARRMNNNRSBWMC-UHFFFAOYSA-N 0 0 282.365 2.801 20 5 CFBDRN O=C(/C=C\c1ccccc1)NCc1ccccc1[N+](=O)[O-] ZINC000491166754 246046074 /nfs/dbraw/zinc/04/60/74/246046074.db2.gz GVADCHAUFMCNRE-KHPPLWFESA-N 0 0 282.299 2.924 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000072645244 374272334 /nfs/dbraw/zinc/27/23/34/374272334.db2.gz CFIMOVWKKQEORJ-GFCCVEGCSA-N 0 0 292.335 2.765 20 5 CFBDRN O=C(/C=C\c1ccsc1)NCc1cccc([N+](=O)[O-])c1 ZINC000491864055 246101833 /nfs/dbraw/zinc/10/18/33/246101833.db2.gz DQCSERJQJVLXPN-PLNGDYQASA-N 0 0 288.328 2.986 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@H]21 ZINC000491873423 246108180 /nfs/dbraw/zinc/10/81/80/246108180.db2.gz TUTLQNJGBSAFFG-AYSSICMYSA-N 0 0 272.304 2.619 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]21 ZINC000491873426 246108190 /nfs/dbraw/zinc/10/81/90/246108190.db2.gz TUTLQNJGBSAFFG-TYDXBBDOSA-N 0 0 272.304 2.619 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2)ncc1[N+](=O)[O-] ZINC000073000382 374314512 /nfs/dbraw/zinc/31/45/12/374314512.db2.gz UFMCSTNEEGYBOG-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NCCC(F)(F)F ZINC000491911201 246119323 /nfs/dbraw/zinc/11/93/23/246119323.db2.gz QYMQRPIEDSUOSU-SNAWJCMRSA-N 0 0 288.225 2.677 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)/C=C\C1CC1 ZINC000491945984 246132410 /nfs/dbraw/zinc/13/24/10/246132410.db2.gz SFDDGJPGFQPAHY-HJWRWDBZSA-N 0 0 260.293 2.519 20 5 CFBDRN C/C=C/C=C/C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000073756259 374378093 /nfs/dbraw/zinc/37/80/93/374378093.db2.gz AFBMVCQZADEFEM-MQQKCMAXSA-N 0 0 258.277 2.616 20 5 CFBDRN CCc1noc([C@@H](C)Oc2ccc([N+](=O)[O-])cc2)n1 ZINC000073752756 374378360 /nfs/dbraw/zinc/37/83/60/374378360.db2.gz CVXWDDUZYJIZIV-MRVPVSSYSA-N 0 0 263.253 2.680 20 5 CFBDRN CCOc1ccc(C(=O)N(C)[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000073786641 374384808 /nfs/dbraw/zinc/38/48/08/374384808.db2.gz VAZWYSDIBJXDDV-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2coc(-c3ccc(F)cc3)n2)c1 ZINC000074251776 374410954 /nfs/dbraw/zinc/41/09/54/374410954.db2.gz BXXXNPCIXQOFPE-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN CSC(C)(C)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074769131 374436009 /nfs/dbraw/zinc/43/60/09/374436009.db2.gz RZBVFZRTDKRBBB-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN O=C(/C=C/C1CCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000492093826 246185173 /nfs/dbraw/zinc/18/51/73/246185173.db2.gz HTZMYIASILDNNI-RMKNXTFCSA-N 0 0 274.320 2.610 20 5 CFBDRN Cc1cnc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)o1 ZINC000492087226 246180972 /nfs/dbraw/zinc/18/09/72/246180972.db2.gz IRPPTPPQIGBQCK-DAXSKMNVSA-N 0 0 273.248 2.543 20 5 CFBDRN CC1(C)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000075538457 374491840 /nfs/dbraw/zinc/49/18/40/374491840.db2.gz FESTWFVLBRXNFR-UHFFFAOYSA-N 0 0 263.297 2.859 20 5 CFBDRN CSC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000075364348 374478484 /nfs/dbraw/zinc/47/84/84/374478484.db2.gz XBQITOZKVJJECK-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN Cc1cc(NC(=O)C=Cc2ccc([N+](=O)[O-])cc2)c(C)cn1 ZINC000492262048 246236449 /nfs/dbraw/zinc/23/64/49/246236449.db2.gz PCLVIRQJDJKJJR-YVMONPNESA-N 0 0 297.314 2.680 20 5 CFBDRN C/C=C/C=C\C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000492285010 246244723 /nfs/dbraw/zinc/24/47/23/246244723.db2.gz ZRRPLSRYIRCHNN-HOJFPOIOSA-N 0 0 272.304 2.612 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000492366942 246270343 /nfs/dbraw/zinc/27/03/43/246270343.db2.gz OOIDVJHXZLAJAQ-DGMVEKRQSA-N 0 0 297.314 2.880 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492346452 246264240 /nfs/dbraw/zinc/26/42/40/246264240.db2.gz UCZOGDONMDWVSO-PSKZRQQASA-N 0 0 274.320 2.865 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000492345230 246264467 /nfs/dbraw/zinc/26/44/67/246264467.db2.gz QYHRLHCHTQJZLC-GPAKFWEMSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccc(/C=C\C(=O)Nc2cccc([N+](=O)[O-])c2)nc1 ZINC000492423376 246287727 /nfs/dbraw/zinc/28/77/27/246287727.db2.gz XMZQPEGQNGRWTK-FPLPWBNLSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1O[C@H](C)C[C@@H]1C ZINC000282504827 291600258 /nfs/dbraw/zinc/60/02/58/291600258.db2.gz ZDYZHTIAHZWONW-HHURGBBESA-N 0 0 296.298 2.794 20 5 CFBDRN CC[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])CCO1 ZINC000080544333 374660694 /nfs/dbraw/zinc/66/06/94/374660694.db2.gz HTAJAIZEJRRCPM-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000080693170 374669251 /nfs/dbraw/zinc/66/92/51/374669251.db2.gz BPYFOSWOESLJAI-NEPJUHHUSA-N 0 0 294.351 2.915 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000080834385 374672846 /nfs/dbraw/zinc/67/28/46/374672846.db2.gz VUKHJKSLHGEMEC-SNVBAGLBSA-N 0 0 279.340 2.685 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000084205509 374767892 /nfs/dbraw/zinc/76/78/92/374767892.db2.gz ZDCXCMUOWITNFW-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN CCOC(=O)CCCNc1cc(C)ccc1[N+](=O)[O-] ZINC000084726132 374786996 /nfs/dbraw/zinc/78/69/96/374786996.db2.gz FAQVMJYGFHTCHS-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000493510737 246609235 /nfs/dbraw/zinc/60/92/35/246609235.db2.gz HINKYDCQEWPJGJ-MVGZEHJDSA-N 0 0 292.310 2.959 20 5 CFBDRN CCC1CN(C(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000493517335 246612348 /nfs/dbraw/zinc/61/23/48/246612348.db2.gz HIWXSHRWKYMIOC-XQRVVYSFSA-N 0 0 278.283 2.616 20 5 CFBDRN CC(C)CCn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000571560438 383705186 /nfs/dbraw/zinc/70/51/86/383705186.db2.gz DIHRBQKQJRYFMA-UHFFFAOYSA-N 0 0 260.293 2.956 20 5 CFBDRN COC(=O)C[C@H](C)Sc1ccc([N+](=O)[O-])c(C)c1 ZINC000090100088 374991730 /nfs/dbraw/zinc/99/17/30/374991730.db2.gz RNBVUOOKNFHRFF-VIFPVBQESA-N 0 0 269.322 2.947 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)[C@H]1CCSC1 ZINC000089936868 374973074 /nfs/dbraw/zinc/97/30/74/374973074.db2.gz ZHBPUVRAIRGLRC-VIFPVBQESA-N 0 0 258.368 2.594 20 5 CFBDRN CC[C@@H]1COCCN1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000091980350 375075755 /nfs/dbraw/zinc/07/57/55/375075755.db2.gz AXKZHAMCCAEZPK-HZRUHFOJSA-N 0 0 276.336 2.719 20 5 CFBDRN CC[C@H](CSC)N(C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000091150380 375044228 /nfs/dbraw/zinc/04/42/28/375044228.db2.gz SRCYYKPZDZRLLJ-SNVBAGLBSA-N 0 0 297.380 2.512 20 5 CFBDRN O=C(Nc1ccc2c(c1)COC2)c1cccc([N+](=O)[O-])c1 ZINC000091682829 375057792 /nfs/dbraw/zinc/05/77/92/375057792.db2.gz HOGMFBPYFLAHRT-UHFFFAOYSA-N 0 0 284.271 2.877 20 5 CFBDRN CCc1cnc(CNc2ncc([N+](=O)[O-])cc2C)s1 ZINC000092658285 375135024 /nfs/dbraw/zinc/13/50/24/375135024.db2.gz HOHHIJYPKJSIEF-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000092656707 375135843 /nfs/dbraw/zinc/13/58/43/375135843.db2.gz YXTLVYPCQUCXHS-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN CC(C)(F)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000092826174 375162461 /nfs/dbraw/zinc/16/24/61/375162461.db2.gz SDUKTSQIMNGXHB-UHFFFAOYSA-N 0 0 292.213 2.883 20 5 CFBDRN CCc1nn(C)cc1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000092959649 375169206 /nfs/dbraw/zinc/16/92/06/375169206.db2.gz CWPFQIYJTSELMK-UHFFFAOYSA-N 0 0 295.730 2.551 20 5 CFBDRN CC(=O)C[C@H](C)NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000093098139 375187220 /nfs/dbraw/zinc/18/72/20/375187220.db2.gz QSYMIHURNVEAAX-JTQLQIEISA-N 0 0 292.335 2.617 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccon2)c2ccncc21 ZINC000093297662 375203523 /nfs/dbraw/zinc/20/35/23/375203523.db2.gz QEYNJWZTAHBLTQ-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN CCCCNC(=O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000093307900 375204779 /nfs/dbraw/zinc/20/47/79/375204779.db2.gz NNFBRQWFHJEOAH-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccnc1C ZINC000494706683 246807088 /nfs/dbraw/zinc/80/70/88/246807088.db2.gz GCNUKGKMTLRPQC-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H](CCCO)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000094944714 375392909 /nfs/dbraw/zinc/39/29/09/375392909.db2.gz RMWQQAQFPPZPDQ-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CC[C@H](SC)C2)c1[N+](=O)[O-] ZINC000094169569 375342473 /nfs/dbraw/zinc/34/24/73/375342473.db2.gz BLUXTTSCRXSMCE-BDAKNGLRSA-N 0 0 284.385 2.587 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCC[C@H](SC)C2)c1[N+](=O)[O-] ZINC000094161573 375343287 /nfs/dbraw/zinc/34/32/87/375343287.db2.gz GYJLFOMNNJYIIQ-UWVGGRQHSA-N 0 0 298.412 2.977 20 5 CFBDRN CC[C@H](CCO)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000094957550 375398521 /nfs/dbraw/zinc/39/85/21/375398521.db2.gz PGNLITOJBFHWAP-LLVKDONJSA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)Cn2ccnc2)c1 ZINC000094659530 375373094 /nfs/dbraw/zinc/37/30/94/375373094.db2.gz XKMXNQVWEOERBY-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)Cn2cccn2)c1 ZINC000094659492 375373476 /nfs/dbraw/zinc/37/34/76/375373476.db2.gz VYVURDHHLVEZRD-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN COC(=O)[C@H](C)CSCc1ccc([N+](=O)[O-])c(F)c1 ZINC000094772544 375377856 /nfs/dbraw/zinc/37/78/56/375377856.db2.gz KCJJBHALPSNAQG-MRVPVSSYSA-N 0 0 287.312 2.776 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@]1(C)CCCO1 ZINC000094804671 375380847 /nfs/dbraw/zinc/38/08/47/375380847.db2.gz PWZLZRZKTZFSDO-ZDUSSCGKSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCCC(F)(F)F ZINC000277937412 193799609 /nfs/dbraw/zinc/79/96/09/193799609.db2.gz IMSRTOLOVWWKTA-UHFFFAOYSA-N 0 0 290.241 2.976 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2ccccn2)c1 ZINC000096470336 375487362 /nfs/dbraw/zinc/48/73/62/375487362.db2.gz ZFKZNNYUZOOUEK-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CC[C@@]1(C)OC(=O)N(Cc2cccc([N+](=O)[O-])c2C)C1=O ZINC000497261954 247065919 /nfs/dbraw/zinc/06/59/19/247065919.db2.gz OMQMLABOVZXHBA-CQSZACIVSA-N 0 0 292.291 2.551 20 5 CFBDRN CC(C)C[C@@H](C)CN1C(=O)c2cccc([N+](=O)[O-])c2C1=O ZINC000499907847 247092583 /nfs/dbraw/zinc/09/25/83/247092583.db2.gz LFTLEMCJUKHARA-SNVBAGLBSA-N 0 0 290.319 2.873 20 5 CFBDRN CC(C)(C)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000102634790 375683209 /nfs/dbraw/zinc/68/32/09/375683209.db2.gz SIJOZTXKKPSXHX-UHFFFAOYSA-N 0 0 261.281 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC2CCCC2)cc1 ZINC000509526602 247189396 /nfs/dbraw/zinc/18/93/96/247189396.db2.gz QZWNJZWZHVQDPF-ZDUSSCGKSA-N 0 0 264.325 2.948 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CC2CC2)c1 ZINC000112608734 375937878 /nfs/dbraw/zinc/93/78/78/375937878.db2.gz WWKUYHWEYTUCKU-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN CC1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000112681274 375942825 /nfs/dbraw/zinc/94/28/25/375942825.db2.gz FYNBORTVXHIGEO-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1cccc(N2CCN(c3ccc([N+](=O)[O-])cc3)CC2)n1 ZINC000115294875 376120597 /nfs/dbraw/zinc/12/05/97/376120597.db2.gz AQONEOMVUJLSNT-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CSc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])nc1 ZINC000116236579 376171754 /nfs/dbraw/zinc/17/17/54/376171754.db2.gz WAWHCPVUAYLCRM-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC[C@H]1C(C)C ZINC000117195518 376228733 /nfs/dbraw/zinc/22/87/33/376228733.db2.gz IVQBLAVMGNUUAN-AWEZNQCLSA-N 0 0 291.351 2.949 20 5 CFBDRN CS[C@@H]1CCC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000117218009 376229626 /nfs/dbraw/zinc/22/96/26/376229626.db2.gz VNZOFDCQXLVASK-WCQYABFASA-N 0 0 294.376 2.999 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1C)c1ccncc1 ZINC000117663475 376254881 /nfs/dbraw/zinc/25/48/81/376254881.db2.gz HNXNCNMSXYWXAK-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN COC(=O)c1cc(NC(=O)CC(C)(C)C)cc([N+](=O)[O-])c1 ZINC000118723650 376311746 /nfs/dbraw/zinc/31/17/46/376311746.db2.gz APSVABGBHWNZNG-UHFFFAOYSA-N 0 0 294.307 2.756 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CC2)cc1C(F)(F)F ZINC000118907693 376324783 /nfs/dbraw/zinc/32/47/83/376324783.db2.gz SBUZWXKICHUROY-UHFFFAOYSA-N 0 0 290.241 2.798 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000119037834 376340464 /nfs/dbraw/zinc/34/04/64/376340464.db2.gz UWONACVGTATWOR-BXUZGUMPSA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCS[C@H](C)[C@@H]1C ZINC000118283287 376283878 /nfs/dbraw/zinc/28/38/78/376283878.db2.gz WUHXYRMIXJIIEP-WDEREUQCSA-N 0 0 294.376 2.869 20 5 CFBDRN O=C(NCc1ccco1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000119537451 376433674 /nfs/dbraw/zinc/43/36/74/376433674.db2.gz YXLDZVLNZBIKHG-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN COc1cc(N2CCS[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000119615860 376444842 /nfs/dbraw/zinc/44/48/42/376444842.db2.gz XAAFSOXPNVGDNI-QMMMGPOBSA-N 0 0 286.328 2.684 20 5 CFBDRN Cn1cc(CCCNc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000263754228 190076386 /nfs/dbraw/zinc/07/63/86/190076386.db2.gz PRSIYLGUGWVMHV-UHFFFAOYSA-N 0 0 278.287 2.512 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000119756220 376465438 /nfs/dbraw/zinc/46/54/38/376465438.db2.gz GHLUKIOUVPOOHU-SNVBAGLBSA-N 0 0 298.314 2.605 20 5 CFBDRN CC(C)(F)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278082703 193830279 /nfs/dbraw/zinc/83/02/79/193830279.db2.gz GMZIOUUGHQKRAB-UHFFFAOYSA-N 0 0 257.246 2.911 20 5 CFBDRN O=C(Cc1nc2cc3ccccc3cc2c(=O)[nH]1)C1CC1 ZINC000119775792 376470976 /nfs/dbraw/zinc/47/09/76/376470976.db2.gz GEFGJWUQDIDXCM-UHFFFAOYSA-N 0 0 278.311 2.598 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000119877335 376496186 /nfs/dbraw/zinc/49/61/86/376496186.db2.gz MSTQKUPYFJMVMV-ZDUSSCGKSA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000263800452 190079653 /nfs/dbraw/zinc/07/96/53/190079653.db2.gz LSVQGGJILOZDMD-VIFPVBQESA-N 0 0 274.276 2.823 20 5 CFBDRN C[C@H](Nc1ccccc1[N+](=O)[O-])C(=O)N1CCCC[C@H]1C ZINC000263909249 190084789 /nfs/dbraw/zinc/08/47/89/190084789.db2.gz RSLZGTAHMXWJJQ-NEPJUHHUSA-N 0 0 291.351 2.796 20 5 CFBDRN Cc1ccc(OS(=O)(=O)CCC(C)C)c([N+](=O)[O-])c1 ZINC000264201444 190096513 /nfs/dbraw/zinc/09/65/13/190096513.db2.gz PVEVCMKJSMYXAC-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN Cc1n[nH]c(C(=O)N(C)C2CCCCCCC2)c1[N+](=O)[O-] ZINC000264212076 190097128 /nfs/dbraw/zinc/09/71/28/190097128.db2.gz RYYUAQUXAZORMU-UHFFFAOYSA-N 0 0 294.355 2.811 20 5 CFBDRN O=C(NCCc1cc2ccccc2[nH]1)c1ccc([N+](=O)[O-])o1 ZINC000120059091 376538264 /nfs/dbraw/zinc/53/82/64/376538264.db2.gz ZNNVWIGANVWCJM-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000120064104 376539351 /nfs/dbraw/zinc/53/93/51/376539351.db2.gz PHMCTDDSMKOFHK-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN O=C(NCC1CC1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000120354431 376599765 /nfs/dbraw/zinc/59/97/65/376599765.db2.gz SQOUSUBUECZDKQ-UHFFFAOYSA-N 0 0 289.335 2.679 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000264352891 190105025 /nfs/dbraw/zinc/10/50/25/190105025.db2.gz ZLDSJLUANBDBEN-BXKDBHETSA-N 0 0 263.297 2.905 20 5 CFBDRN CC(C)CO[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000120256406 376580952 /nfs/dbraw/zinc/58/09/52/376580952.db2.gz DWAPCAWXWQWVME-GFCCVEGCSA-N 0 0 296.342 2.981 20 5 CFBDRN CCCCCOCC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000120925967 376724481 /nfs/dbraw/zinc/72/44/81/376724481.db2.gz PMHQIIUYUCGQAV-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN CCCCCOCC(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000120767446 376689544 /nfs/dbraw/zinc/68/95/44/376689544.db2.gz FRPYDYUPNZONNH-UHFFFAOYSA-N 0 0 297.307 2.716 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCCc1c[nH]c2ccccc12 ZINC000264643953 190125398 /nfs/dbraw/zinc/12/53/98/190125398.db2.gz WCQHUIRUUARGOK-UHFFFAOYSA-N 0 0 299.334 2.854 20 5 CFBDRN CC(C)(C)/C=C/C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000264666658 190126951 /nfs/dbraw/zinc/12/69/51/190126951.db2.gz XIZXDRYHGKVKGD-CMDGGOBGSA-N 0 0 262.309 2.813 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccc2c(c1)COC2 ZINC000432030194 383715154 /nfs/dbraw/zinc/71/51/54/383715154.db2.gz CALRWRPRYQQMAG-UHFFFAOYSA-N 0 0 271.276 2.632 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCC2(C)CCCC2)c1 ZINC000264675371 190128060 /nfs/dbraw/zinc/12/80/60/190128060.db2.gz YAQOXLIORQPDJA-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000121709686 376889848 /nfs/dbraw/zinc/88/98/48/376889848.db2.gz KBIXFFKBPOEDDH-JTQLQIEISA-N 0 0 250.298 2.759 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000121824386 376914764 /nfs/dbraw/zinc/91/47/64/376914764.db2.gz XKCSLSSWXTUUFV-RISCZKNCSA-N 0 0 262.309 2.647 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1c1ccccc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000264694116 190128955 /nfs/dbraw/zinc/12/89/55/190128955.db2.gz IBQCXVFZPBAZHM-STQMWFEESA-N 0 0 299.330 2.989 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000264766557 190133613 /nfs/dbraw/zinc/13/36/13/190133613.db2.gz FJJJMXBJQNQWPM-LDYMZIIASA-N 0 0 256.277 2.553 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000264849603 190138722 /nfs/dbraw/zinc/13/87/22/190138722.db2.gz ZRSSCVZGLCODEB-IINYFYTJSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOc2ccccc2)n1 ZINC000128912833 377360837 /nfs/dbraw/zinc/36/08/37/377360837.db2.gz ZGZKTQDARLTIMV-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000129069574 377378818 /nfs/dbraw/zinc/37/88/18/377378818.db2.gz CKVQWFFJMKYMBJ-STQMWFEESA-N 0 0 287.319 2.714 20 5 CFBDRN Cc1c(CC(=O)NCC2(C(C)C)CC2)cccc1[N+](=O)[O-] ZINC000129097552 377384060 /nfs/dbraw/zinc/38/40/60/377384060.db2.gz RXFQGGGKUATYFZ-UHFFFAOYSA-N 0 0 290.363 2.998 20 5 CFBDRN Cc1cc(=O)n(CCO[C@H]2CCCC[C@H]2C)cc1[N+](=O)[O-] ZINC000128508155 377344402 /nfs/dbraw/zinc/34/44/02/377344402.db2.gz ZXWISSFLAGDQOU-RISCZKNCSA-N 0 0 294.351 2.660 20 5 CFBDRN CN(C)C(=O)C1(CNc2ccccc2[N+](=O)[O-])CCCC1 ZINC000264955894 190147540 /nfs/dbraw/zinc/14/75/40/190147540.db2.gz MDYQAKDZKCCCPY-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](OCC(C)C)C2)n1 ZINC000129775055 377471097 /nfs/dbraw/zinc/47/10/97/377471097.db2.gz ZPPGPMQPUCIANZ-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN CCC(O)(CC)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129794537 377473359 /nfs/dbraw/zinc/47/33/59/377473359.db2.gz OGOJVTMVPAYHIN-UHFFFAOYSA-N 0 0 273.720 2.606 20 5 CFBDRN CCO[C@H]1C[C@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000265024237 190153186 /nfs/dbraw/zinc/15/31/86/190153186.db2.gz UMCYVLNZOSWBBY-MNOVXSKESA-N 0 0 275.264 2.537 20 5 CFBDRN C[C@@H](CCNc1ccc(Cl)cc1[N+](=O)[O-])[S@](C)=O ZINC000130336140 377540577 /nfs/dbraw/zinc/54/05/77/377540577.db2.gz VYHRZDVLSIWYCP-DCXZOGHSSA-N 0 0 290.772 2.817 20 5 CFBDRN O=C(CCC1CC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000265228883 190167589 /nfs/dbraw/zinc/16/75/89/190167589.db2.gz URFQLRKLTGPHOM-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000130497576 377568922 /nfs/dbraw/zinc/56/89/22/377568922.db2.gz LKIOFPLLPNLGAD-GFCCVEGCSA-N 0 0 294.376 2.783 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CC3CCOCC3)n2)c1 ZINC000265370736 190177154 /nfs/dbraw/zinc/17/71/54/190177154.db2.gz ZPAQOPMFDQPEGZ-UHFFFAOYSA-N 0 0 289.291 2.614 20 5 CFBDRN Cc1cc(CN(C)c2ncc([N+](=O)[O-])cc2Cl)no1 ZINC000265483821 190185850 /nfs/dbraw/zinc/18/58/50/190185850.db2.gz DRIIKNNPZJRKMV-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000265612426 190194027 /nfs/dbraw/zinc/19/40/27/190194027.db2.gz XNCZCLXDDSWPJJ-LLVKDONJSA-N 0 0 282.727 2.705 20 5 CFBDRN CSC[C@H](C)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000265533592 190188435 /nfs/dbraw/zinc/18/84/35/190188435.db2.gz VGYASOAXLZYBED-QMMMGPOBSA-N 0 0 268.338 2.961 20 5 CFBDRN CCOC(=O)CCCCOc1ccccc1[N+](=O)[O-] ZINC000265728581 190202405 /nfs/dbraw/zinc/20/24/05/190202405.db2.gz WUWYHAMIYXHYBL-UHFFFAOYSA-N 0 0 267.281 2.707 20 5 CFBDRN C[C@@H](O)C[N@H+](C)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000130726155 377601185 /nfs/dbraw/zinc/60/11/85/377601185.db2.gz CVIZLNOYQNGCMK-LLVKDONJSA-N 0 0 290.319 2.667 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1ccon1 ZINC000265739280 190203290 /nfs/dbraw/zinc/20/32/90/190203290.db2.gz BQMLUZDOLFPYLK-JTQLQIEISA-N 0 0 261.281 2.776 20 5 CFBDRN CN(CC1CC1)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265750745 190203794 /nfs/dbraw/zinc/20/37/94/190203794.db2.gz HQEUTPHMLRBILM-UHFFFAOYSA-N 0 0 276.336 2.741 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000130862712 377622274 /nfs/dbraw/zinc/62/22/74/377622274.db2.gz WFCPLBVPEMUVAV-NSHDSACASA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000130862933 377622315 /nfs/dbraw/zinc/62/23/15/377622315.db2.gz WFCPLBVPEMUVAV-LLVKDONJSA-N 0 0 280.349 2.529 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@H](C2CCCC2)C1 ZINC000335589633 284670087 /nfs/dbraw/zinc/67/00/87/284670087.db2.gz COZIZKZBVICDJN-NSHDSACASA-N 0 0 278.308 2.840 20 5 CFBDRN C[C@H]1C[C@H]1CNc1ncc(Br)cc1[N+](=O)[O-] ZINC000131349200 377698395 /nfs/dbraw/zinc/69/83/95/377698395.db2.gz RUGIZMBSEBPSMC-BQBZGAKWSA-N 0 0 286.129 2.820 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)NC1Cc2ccccc2C1 ZINC000131631806 377738279 /nfs/dbraw/zinc/73/82/79/377738279.db2.gz QHVNRRARVHWJLN-UHFFFAOYSA-N 0 0 297.314 2.884 20 5 CFBDRN C[C@@H]1CCN(C(=O)CNc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000131731729 377753023 /nfs/dbraw/zinc/75/30/23/377753023.db2.gz KGQFZLYNDIKAGZ-VXGBXAGGSA-N 0 0 291.351 2.511 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1ccccn1 ZINC000132257117 377818699 /nfs/dbraw/zinc/81/86/99/377818699.db2.gz KFMNFWAMUCNHSK-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CCCn1ccnc1 ZINC000133021208 377895793 /nfs/dbraw/zinc/89/57/93/377895793.db2.gz XBSZDANYUMJHOL-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])C1 ZINC000133315446 377920027 /nfs/dbraw/zinc/92/00/27/377920027.db2.gz VRNCPXLBBYFLIV-HTQZYQBOSA-N 0 0 266.326 2.905 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000133353139 377923699 /nfs/dbraw/zinc/92/36/99/377923699.db2.gz RKGGRNWPTLGTTJ-GXSJLCMTSA-N 0 0 277.324 2.555 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000133352762 377924235 /nfs/dbraw/zinc/92/42/35/377924235.db2.gz RKGGRNWPTLGTTJ-ONGXEEELSA-N 0 0 277.324 2.555 20 5 CFBDRN Cc1nc(NCc2cccc(O)c2)ccc1[N+](=O)[O-] ZINC000133450955 377930607 /nfs/dbraw/zinc/93/06/07/377930607.db2.gz JOLGTFJQRBNBAM-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN CCC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000134001540 377974840 /nfs/dbraw/zinc/97/48/40/377974840.db2.gz DRVGMRYHAZQOOM-UHFFFAOYSA-N 0 0 251.286 2.673 20 5 CFBDRN Cc1nc(NC(=O)CC[C@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000134021877 377976709 /nfs/dbraw/zinc/97/67/09/377976709.db2.gz UJXCNJYNBWWLHB-MWLCHTKSSA-N 0 0 293.323 2.584 20 5 CFBDRN CCCc1nc(COc2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000134069066 377980993 /nfs/dbraw/zinc/98/09/93/377980993.db2.gz HGIBIEDWKRHTJA-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN Cc1cccc(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)n1 ZINC000134117402 377985821 /nfs/dbraw/zinc/98/58/21/377985821.db2.gz JKBPDDXCDFSUNV-JXMROGBWSA-N 0 0 297.314 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCC[C@H]1CCCO1 ZINC000134193549 377990890 /nfs/dbraw/zinc/99/08/90/377990890.db2.gz BXSMAHVYQQWIOS-SNVBAGLBSA-N 0 0 255.245 2.682 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC=CCC2)c1 ZINC000265988721 190221505 /nfs/dbraw/zinc/22/15/05/190221505.db2.gz CSYCJSPSWBIVQH-NSHDSACASA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)C2CC2)c1 ZINC000266005222 190222264 /nfs/dbraw/zinc/22/22/64/190222264.db2.gz GGQAAMJYGJRNOH-JTQLQIEISA-N 0 0 262.309 2.774 20 5 CFBDRN CSCCCCNc1nccc(C)c1[N+](=O)[O-] ZINC000134828667 378051579 /nfs/dbraw/zinc/05/15/79/378051579.db2.gz FUVJEWKXASRDSW-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN COc1cccc(NCCc2cscn2)c1[N+](=O)[O-] ZINC000134919565 378063210 /nfs/dbraw/zinc/06/32/10/378063210.db2.gz BDRNVVJPGSNLBC-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN COc1cc(CNc2cccc(OC)c2[N+](=O)[O-])ccn1 ZINC000134917906 378063793 /nfs/dbraw/zinc/06/37/93/378063793.db2.gz YIWYMUGPGZRUQS-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CCOc1cccc(N[C@H](C)CCCO)c1[N+](=O)[O-] ZINC000134926844 378065487 /nfs/dbraw/zinc/06/54/87/378065487.db2.gz XKUQDNDPLMRTET-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN CC[C@@]1(C)CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000135299451 378111698 /nfs/dbraw/zinc/11/16/98/378111698.db2.gz OWCMNSGJQUYUHC-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CC(C)C)c1 ZINC000266031879 190224950 /nfs/dbraw/zinc/22/49/50/190224950.db2.gz UWMKUUWRYWQWNY-UHFFFAOYSA-N 0 0 250.298 2.631 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000266033581 190224975 /nfs/dbraw/zinc/22/49/75/190224975.db2.gz CUYUXRICWVFDFK-JSGCOSHPSA-N 0 0 292.335 2.591 20 5 CFBDRN CSC(C)(C)CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000135361989 378123836 /nfs/dbraw/zinc/12/38/36/378123836.db2.gz VYHWDXDCAUYVNS-UHFFFAOYSA-N 0 0 282.325 2.685 20 5 CFBDRN C[C@@H]([C@H]1Cc2ccccc2O1)N(C)c1ncccc1[N+](=O)[O-] ZINC000135560784 378144847 /nfs/dbraw/zinc/14/48/47/378144847.db2.gz SAOUZUNMVFWYEB-XHDPSFHLSA-N 0 0 299.330 2.818 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCCSC2)c1 ZINC000135659568 378154978 /nfs/dbraw/zinc/15/49/78/378154978.db2.gz MXKJWLLEJDLFPE-SECBINFHSA-N 0 0 268.338 2.911 20 5 CFBDRN CCCC[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OC ZINC000135171543 378095119 /nfs/dbraw/zinc/09/51/19/378095119.db2.gz KTGYOPXNLKYGSS-SMDDNHRTSA-N 0 0 294.351 2.977 20 5 CFBDRN CC1CCC(N(C(=O)c2n[nH]cc2[N+](=O)[O-])C2CC2)CC1 ZINC000136700279 378207891 /nfs/dbraw/zinc/20/78/91/378207891.db2.gz WSXHWEQCWRQZMS-UHFFFAOYSA-N 0 0 292.339 2.501 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOC[C@@H]2C2CCC2)c1 ZINC000571795644 383727897 /nfs/dbraw/zinc/72/78/97/383727897.db2.gz JMUZLVAIRORYGO-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN COC[C@@H]1CCN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000432170176 383727550 /nfs/dbraw/zinc/72/75/50/383727550.db2.gz QFGDXIUMYURFNC-ZDUSSCGKSA-N 0 0 299.330 2.882 20 5 CFBDRN C[C@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000147491686 378251986 /nfs/dbraw/zinc/25/19/86/378251986.db2.gz XBOBLUUWGRXYPQ-GMSGAONNSA-N 0 0 256.689 2.838 20 5 CFBDRN CC(C)(C)CC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266089435 190229266 /nfs/dbraw/zinc/22/92/66/190229266.db2.gz YGDINYGISOHARE-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN CC(C)(C)OC1CCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000151703859 378350458 /nfs/dbraw/zinc/35/04/58/378350458.db2.gz QTMRCWIVTYFWET-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H]2OCCC[C@@H]2C1 ZINC000152358645 378410571 /nfs/dbraw/zinc/41/05/71/378410571.db2.gz ILVRAUJRMQOWRY-BXUZGUMPSA-N 0 0 262.309 2.600 20 5 CFBDRN CS[C@H](C)CC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000152002099 378383918 /nfs/dbraw/zinc/38/39/18/378383918.db2.gz RKSSMSOJLSHROI-SECBINFHSA-N 0 0 268.338 2.699 20 5 CFBDRN CC1CCC(O)(COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000152623926 378432706 /nfs/dbraw/zinc/43/27/06/378432706.db2.gz PELPQKDMKAYSFJ-UHFFFAOYSA-N 0 0 265.309 2.915 20 5 CFBDRN CC(C)(C)OCCN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000153332999 378485974 /nfs/dbraw/zinc/48/59/74/378485974.db2.gz KPLHSIYFNVHIHW-UHFFFAOYSA-N 0 0 278.352 2.768 20 5 CFBDRN Cc1cc(NC(=O)NC[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000153856840 378516449 /nfs/dbraw/zinc/51/64/49/378516449.db2.gz DCJVSQHLIGMSEX-LLVKDONJSA-N 0 0 295.364 2.920 20 5 CFBDRN NC(=O)C[C@@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000330435984 378554565 /nfs/dbraw/zinc/55/45/65/378554565.db2.gz BADMTVSECOHIHQ-JTQLQIEISA-N 0 0 283.353 2.936 20 5 CFBDRN CC[C@@H]1[C@H](C)CC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330448864 378558859 /nfs/dbraw/zinc/55/88/59/378558859.db2.gz HHICJFKGFDRJTH-ZWNOBZJWSA-N 0 0 264.325 2.921 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1CC(C)C ZINC000330449509 378559639 /nfs/dbraw/zinc/55/96/39/378559639.db2.gz IZXXLHIYYVILQV-LBPRGKRZSA-N 0 0 291.351 2.949 20 5 CFBDRN NC(=O)C[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000330507537 378572784 /nfs/dbraw/zinc/57/27/84/378572784.db2.gz BDDLUDYAPJCROI-GFCCVEGCSA-N 0 0 291.307 2.515 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000331091355 378631007 /nfs/dbraw/zinc/63/10/07/378631007.db2.gz WPONCXRLGDOARJ-VXGBXAGGSA-N 0 0 276.336 2.690 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000331117989 378635573 /nfs/dbraw/zinc/63/55/73/378635573.db2.gz NAGDTNRBVFOBJS-QMTHXVAHSA-N 0 0 292.335 2.545 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])n1C)C1CCCC1 ZINC000330580263 378587987 /nfs/dbraw/zinc/58/79/87/378587987.db2.gz KMOCQHZRVNVODK-UHFFFAOYSA-N 0 0 279.340 2.727 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2nnc(-c3ccncc3)o2)c1 ZINC000154800314 378594791 /nfs/dbraw/zinc/59/47/91/378594791.db2.gz FVMULEHTZCCSDT-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000330936062 378600159 /nfs/dbraw/zinc/60/01/59/378600159.db2.gz QKIYSKNLUCQZIB-WCQYABFASA-N 0 0 276.336 2.784 20 5 CFBDRN CC(C)C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000330946752 378601348 /nfs/dbraw/zinc/60/13/48/378601348.db2.gz HDZOUUKIPKRLHK-LBPRGKRZSA-N 0 0 293.367 2.832 20 5 CFBDRN O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCC1CCC1 ZINC000266148006 190234629 /nfs/dbraw/zinc/23/46/29/190234629.db2.gz SJZSHNSPUCFXIK-UHFFFAOYSA-N 0 0 291.307 2.763 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000331005001 378613093 /nfs/dbraw/zinc/61/30/93/378613093.db2.gz SDTJEJHOLUVZOI-HNNXBMFYSA-N 0 0 291.351 2.655 20 5 CFBDRN C[C@H](Cn1cnc([N+](=O)[O-])c1)C(=O)c1ccc(F)c(F)c1 ZINC000155228888 378616933 /nfs/dbraw/zinc/61/69/33/378616933.db2.gz RDHNTOVVOVECGH-MRVPVSSYSA-N 0 0 295.245 2.589 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@@H]1CCC(F)(F)C1 ZINC000331329114 378669781 /nfs/dbraw/zinc/66/97/81/378669781.db2.gz NYGUFXVXINNWPP-MRVPVSSYSA-N 0 0 258.224 2.804 20 5 CFBDRN Cc1c(CC(=O)N2C[C@@H](C)C[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000158752605 378673800 /nfs/dbraw/zinc/67/38/00/378673800.db2.gz WLAYJSCAFZDJOI-RYUDHWBXSA-N 0 0 290.363 2.950 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@@H]1CCCC[C@H]1O ZINC000331359538 378677927 /nfs/dbraw/zinc/67/79/27/378677927.db2.gz WPZBKILFHOEGMC-GXSJLCMTSA-N 0 0 268.338 2.633 20 5 CFBDRN Cc1c(CNC(=O)N(C)CC2CCC2)cccc1[N+](=O)[O-] ZINC000331414965 378683910 /nfs/dbraw/zinc/68/39/10/378683910.db2.gz KGNCYOXYXMNUFC-UHFFFAOYSA-N 0 0 291.351 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCSC1 ZINC000159259033 378698600 /nfs/dbraw/zinc/69/86/00/378698600.db2.gz DICBSRWBDUFASD-LLVKDONJSA-N 0 0 295.364 2.872 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(CCC2CCCC2)n1 ZINC000331426978 378687779 /nfs/dbraw/zinc/68/77/79/378687779.db2.gz SOLUNYWUYFHTOG-UHFFFAOYSA-N 0 0 291.311 2.501 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000331169352 378643971 /nfs/dbraw/zinc/64/39/71/378643971.db2.gz UVMUWQDMIYOHPX-PHIMTYICSA-N 0 0 292.335 2.722 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000331190104 378647658 /nfs/dbraw/zinc/64/76/58/378647658.db2.gz JXWHRRKDOIDADO-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CC1(Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)CCC1 ZINC000159899115 378737261 /nfs/dbraw/zinc/73/72/61/378737261.db2.gz DBDQXDHRSGLPNH-UHFFFAOYSA-N 0 0 275.308 2.834 20 5 CFBDRN Cc1ccc(C(=O)NCC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000160162883 378752102 /nfs/dbraw/zinc/75/21/02/378752102.db2.gz JKXKJWKSRVUYKV-UHFFFAOYSA-N 0 0 274.320 2.823 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000159334691 378703988 /nfs/dbraw/zinc/70/39/88/378703988.db2.gz BKADFTYTGOSXCL-JOYOIKCWSA-N 0 0 262.309 2.818 20 5 CFBDRN CSC1(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)CCC1 ZINC000332429991 378762130 /nfs/dbraw/zinc/76/21/30/378762130.db2.gz GWERKFKYNPDOTQ-UHFFFAOYSA-N 0 0 281.337 2.522 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000332431417 378762545 /nfs/dbraw/zinc/76/25/45/378762545.db2.gz JAVRKVODARXABT-ZDUSSCGKSA-N 0 0 298.289 2.672 20 5 CFBDRN Cc1nc(N[C@@H]2CCO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000160353694 378765600 /nfs/dbraw/zinc/76/56/00/378765600.db2.gz CTFBEBQRLYCPEU-YPMHNXCESA-N 0 0 277.324 2.668 20 5 CFBDRN CSC1(C(=O)Nc2cccc([N+](=O)[O-])c2)CCC1 ZINC000332480213 378768215 /nfs/dbraw/zinc/76/82/15/378768215.db2.gz UXMPWNXOVOVNBN-UHFFFAOYSA-N 0 0 266.322 2.819 20 5 CFBDRN CC(C)COCCN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000332703358 378791345 /nfs/dbraw/zinc/79/13/45/378791345.db2.gz WCBIHHRJCKMAJJ-UHFFFAOYSA-N 0 0 278.352 2.626 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332734025 378795717 /nfs/dbraw/zinc/79/57/17/378795717.db2.gz XDQDSCPSYGGBKV-MNOVXSKESA-N 0 0 291.351 2.557 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCc1nc(C)c(C)o1 ZINC000160778610 378797863 /nfs/dbraw/zinc/79/78/63/378797863.db2.gz IAIKVTHNYRVYHF-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@@H](O)c1ccccc1 ZINC000266233110 190242259 /nfs/dbraw/zinc/24/22/59/190242259.db2.gz JXXLSRUVTZEVAA-CQSZACIVSA-N 0 0 287.319 2.834 20 5 CFBDRN CC(C)(C)C[C@@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000161454485 378848221 /nfs/dbraw/zinc/84/82/21/378848221.db2.gz FBYLUZFMMVODPU-VIFPVBQESA-N 0 0 270.304 2.943 20 5 CFBDRN CCC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000278506865 194016439 /nfs/dbraw/zinc/01/64/39/194016439.db2.gz MEPIIANKLASEEU-UHFFFAOYSA-N 0 0 276.214 2.640 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(c1ccnc(C3CC3)n1)C2 ZINC000161767450 378870169 /nfs/dbraw/zinc/87/01/69/378870169.db2.gz AJCKPMNQDAWYKR-UHFFFAOYSA-N 0 0 296.330 2.825 20 5 CFBDRN CC[C@H](C)CS(=O)(=O)Oc1cccc(C)c1[N+](=O)[O-] ZINC000161333703 378838822 /nfs/dbraw/zinc/83/88/22/378838822.db2.gz WJQFGHFZYGRABE-VIFPVBQESA-N 0 0 287.337 2.658 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)/C=C/C1CC1 ZINC000266276594 190245243 /nfs/dbraw/zinc/24/52/43/190245243.db2.gz SFDDGJPGFQPAHY-CMDGGOBGSA-N 0 0 260.293 2.519 20 5 CFBDRN CC(C)N(CC(F)F)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000161930784 378882812 /nfs/dbraw/zinc/88/28/12/378882812.db2.gz XRBPLCOOIMIPGT-UHFFFAOYSA-N 0 0 278.280 2.772 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCc2cccc(F)c2)c1 ZINC000162087069 378892998 /nfs/dbraw/zinc/89/29/98/378892998.db2.gz OWQJIDVEGZZPLB-UHFFFAOYSA-N 0 0 281.312 2.864 20 5 CFBDRN Cc1c(Br)cc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000162647041 378922684 /nfs/dbraw/zinc/92/26/84/378922684.db2.gz SQNBDGDAKPUHMU-UHFFFAOYSA-N 0 0 299.124 2.558 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)c2ccco2)ccc1[N+](=O)[O-] ZINC000266306811 190248136 /nfs/dbraw/zinc/24/81/36/190248136.db2.gz ZMUAXBUMOKZCPJ-CQSZACIVSA-N 0 0 276.292 2.816 20 5 CFBDRN CC(C)(C)C[C@@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000162995277 378947289 /nfs/dbraw/zinc/94/72/89/378947289.db2.gz NGEKYDSGCWCZEB-NSHDSACASA-N 0 0 295.339 2.513 20 5 CFBDRN Cc1c(CC(=O)NC[C@@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000163171651 378956537 /nfs/dbraw/zinc/95/65/37/378956537.db2.gz KEAWBLQWVDMKCF-CYBMUJFWSA-N 0 0 288.347 2.918 20 5 CFBDRN CC1(C)CCN(C(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000334231530 378956713 /nfs/dbraw/zinc/95/67/13/378956713.db2.gz UPJRFAVKXNZOAB-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1F)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000334237127 378963947 /nfs/dbraw/zinc/96/39/47/378963947.db2.gz IIISVZFBNQIRLK-YPMHNXCESA-N 0 0 291.282 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCC2OCCO2)cc1 ZINC000163744511 378989543 /nfs/dbraw/zinc/98/95/43/378989543.db2.gz HXKSHOPRJLCEDT-UHFFFAOYSA-N 0 0 269.322 2.591 20 5 CFBDRN Cn1ccc(NCCNc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000278652258 194084477 /nfs/dbraw/zinc/08/44/77/194084477.db2.gz IHLIQYXACIRELS-UHFFFAOYSA-N 0 0 295.730 2.506 20 5 CFBDRN C[C@@H](Nc1ccc2cc([N+](=O)[O-])ccc2n1)[C@@H]1CCOC1 ZINC000166383405 379055775 /nfs/dbraw/zinc/05/57/75/379055775.db2.gz NVWOGSLOPUIJHT-ZYHUDNBSSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000334295536 379049055 /nfs/dbraw/zinc/04/90/55/379049055.db2.gz WVFIMUSYFNLKRE-PELKAZGASA-N 0 0 273.292 2.604 20 5 CFBDRN CC(=O)OCCCSCCc1ccc([N+](=O)[O-])cc1 ZINC000278735675 194129404 /nfs/dbraw/zinc/12/94/04/194129404.db2.gz UYDADURQBKTWKJ-UHFFFAOYSA-N 0 0 283.349 2.824 20 5 CFBDRN O=c1c2cccc([N+](=O)[O-])c2ccn1CC1CCC1 ZINC000335343967 379127367 /nfs/dbraw/zinc/12/73/67/379127367.db2.gz QHRQUJOGRJMPBR-UHFFFAOYSA-N 0 0 258.277 2.710 20 5 CFBDRN Cc1nc([C@H](C)N(C)CCc2cccc([N+](=O)[O-])c2)no1 ZINC000289450635 197353596 /nfs/dbraw/zinc/35/35/96/197353596.db2.gz UUAVKRKYIPXLIA-JTQLQIEISA-N 0 0 290.323 2.522 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)S[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000334380178 379194101 /nfs/dbraw/zinc/19/41/01/379194101.db2.gz IKDCBPQCDZNWBJ-PHIMTYICSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@H]1CN(c2ccc3cc([N+](=O)[O-])ccc3n2)CCCO1 ZINC000171046984 379215096 /nfs/dbraw/zinc/21/50/96/379215096.db2.gz TTYNHRRSOYHHOY-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])NCC1CCCC1 ZINC000266459901 190258996 /nfs/dbraw/zinc/25/89/96/190258996.db2.gz AAMUDWOKZJPAKI-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCCOC[C@@H]1CCCO1 ZINC000266465466 190259548 /nfs/dbraw/zinc/25/95/48/190259548.db2.gz AFFNYPDSRSHKLM-LBPRGKRZSA-N 0 0 298.314 2.732 20 5 CFBDRN CC[C@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000334388012 379208603 /nfs/dbraw/zinc/20/86/03/379208603.db2.gz PWWREGLTMDEPFJ-CQSZACIVSA-N 0 0 279.340 2.586 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)CC(C)(C)C1 ZINC000334396164 379222816 /nfs/dbraw/zinc/22/28/16/379222816.db2.gz WGCURXFJPYLLHM-UHFFFAOYSA-N 0 0 293.367 2.832 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC2(CCCC2)CC1 ZINC000334396955 379225262 /nfs/dbraw/zinc/22/52/62/379225262.db2.gz CDPKEEDTSHFXPO-UHFFFAOYSA-N 0 0 277.324 2.719 20 5 CFBDRN COc1cccc(CN[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000266515927 190262981 /nfs/dbraw/zinc/26/29/81/190262981.db2.gz YNIABVLYDOGORP-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000334398772 379227492 /nfs/dbraw/zinc/22/74/92/379227492.db2.gz DKWPNGGBWMSRLP-GFCCVEGCSA-N 0 0 287.319 2.947 20 5 CFBDRN CC(C)[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000334409325 379247854 /nfs/dbraw/zinc/24/78/54/379247854.db2.gz SITDWDRGLNMRFZ-LBPRGKRZSA-N 0 0 293.367 2.832 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000335417376 379257166 /nfs/dbraw/zinc/25/71/66/379257166.db2.gz IZWWZKNXDLOKQV-MNOVXSKESA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc(C)c(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000334420186 379266436 /nfs/dbraw/zinc/26/64/36/379266436.db2.gz STIWTENQTUAXMD-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN CSc1ccc(C(=O)N(C)CC(F)F)cc1[N+](=O)[O-] ZINC000171474967 379318141 /nfs/dbraw/zinc/31/81/41/379318141.db2.gz CVBZSGSVBAWVNP-UHFFFAOYSA-N 0 0 290.291 2.654 20 5 CFBDRN CC[N@H+](CCCO)Cc1cc([N+](=O)[O-])ccc1OC(C)C ZINC000266601161 190269889 /nfs/dbraw/zinc/26/98/89/190269889.db2.gz WWUHTKUUPGZUMK-UHFFFAOYSA-N 0 0 296.367 2.586 20 5 CFBDRN CSC[C@H](CCO)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000432315109 383735954 /nfs/dbraw/zinc/73/59/54/383735954.db2.gz SWTSNVLIEKFDEH-QMMMGPOBSA-N 0 0 290.772 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@H](F)C1 ZINC000334483375 379377889 /nfs/dbraw/zinc/37/78/89/379377889.db2.gz YXKYQGIRTQVCQQ-UWVGGRQHSA-N 0 0 281.287 2.915 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc21)C1(CF)CCC1 ZINC000335475020 379364771 /nfs/dbraw/zinc/36/47/71/379364771.db2.gz TXKDHSGPIWESSB-UHFFFAOYSA-N 0 0 278.283 2.624 20 5 CFBDRN CCc1n[nH]c(C(=O)N([C@H](C)C(C)C)C2CC2)c1[N+](=O)[O-] ZINC000171665582 379365069 /nfs/dbraw/zinc/36/50/69/379365069.db2.gz KLQFXTFMHDWHNQ-SECBINFHSA-N 0 0 294.355 2.529 20 5 CFBDRN CC(C)[C@@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000171924686 379439150 /nfs/dbraw/zinc/43/91/50/379439150.db2.gz VFOASSZYWVVGHW-SNVBAGLBSA-N 0 0 250.298 2.503 20 5 CFBDRN COC(C)(C)CC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000278961301 194231763 /nfs/dbraw/zinc/23/17/63/194231763.db2.gz IDNBGTOUZCBWHP-LLVKDONJSA-N 0 0 294.351 2.896 20 5 CFBDRN COC(=O)c1cc(O[C@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000171933768 379443816 /nfs/dbraw/zinc/44/38/16/379443816.db2.gz RXCUJCUIEUXMEV-JTQLQIEISA-N 0 0 277.276 2.869 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)NCc1ccccc1[N+](=O)[O-] ZINC000171849082 379420716 /nfs/dbraw/zinc/42/07/16/379420716.db2.gz UPDFHXAXBUFESK-CYBMUJFWSA-N 0 0 292.335 2.560 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCOCC1 ZINC000266732617 190277549 /nfs/dbraw/zinc/27/75/49/190277549.db2.gz WFBGDKHWGDKGNZ-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN CCC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CCOCC1 ZINC000266734338 190277881 /nfs/dbraw/zinc/27/78/81/190277881.db2.gz WOCPJBPABADELO-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000334525130 379452771 /nfs/dbraw/zinc/45/27/71/379452771.db2.gz HWFYEBNRPYWQDC-CYBMUJFWSA-N 0 0 260.293 2.528 20 5 CFBDRN CN(C[C@H]1CCOC1)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432338032 383737619 /nfs/dbraw/zinc/73/76/19/383737619.db2.gz VVFRPNUCOFLOBE-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CCC1(CNc2ncc([N+](=O)[O-])cc2C)CCOCC1 ZINC000266698044 190275725 /nfs/dbraw/zinc/27/57/25/190275725.db2.gz GIORJLBKPVXNFH-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@@H]1C ZINC000335548273 379491723 /nfs/dbraw/zinc/49/17/23/379491723.db2.gz AGJCYMSZGZIZJD-QWRGUYRKSA-N 0 0 262.309 2.692 20 5 CFBDRN Cc1ccnc(NCCSC(F)(F)F)c1[N+](=O)[O-] ZINC000172277639 379529027 /nfs/dbraw/zinc/52/90/27/379529027.db2.gz ZXPBZEUDNWKBTC-UHFFFAOYSA-N 0 0 281.259 2.963 20 5 CFBDRN CN(C(=O)NCc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000172312892 379540014 /nfs/dbraw/zinc/54/00/14/379540014.db2.gz ISPFTAILWDBMQB-UHFFFAOYSA-N 0 0 277.324 2.679 20 5 CFBDRN O=C(CCc1ccncc1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172361166 379549396 /nfs/dbraw/zinc/54/93/96/379549396.db2.gz WBWLLUTWUWIEEX-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN Cc1cc(NCc2nccn2C(F)F)ncc1[N+](=O)[O-] ZINC000266842379 190284117 /nfs/dbraw/zinc/28/41/17/190284117.db2.gz NDXSWSFNGSRMSX-UHFFFAOYSA-N 0 0 283.238 2.502 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CCCSC1 ZINC000335556445 379505993 /nfs/dbraw/zinc/50/59/93/379505993.db2.gz QYSDHMMZUHOJCY-NSHDSACASA-N 0 0 294.376 2.837 20 5 CFBDRN CC(C)N1C[C@H](Nc2c(Cl)cccc2[N+](=O)[O-])CC1=O ZINC000432333716 383737811 /nfs/dbraw/zinc/73/78/11/383737811.db2.gz CSFHQAKXVOYQBV-SECBINFHSA-N 0 0 297.742 2.669 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(Cl)cn1 ZINC000172417419 379562318 /nfs/dbraw/zinc/56/23/18/379562318.db2.gz TVWIJADCPJDYJT-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)C2CCCC2)c1 ZINC000172708829 379628659 /nfs/dbraw/zinc/62/86/59/379628659.db2.gz ZQNKQQNWMMSCSD-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000267055052 190300107 /nfs/dbraw/zinc/30/01/07/190300107.db2.gz FVUWXRPEOHSZPF-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000267082165 190302549 /nfs/dbraw/zinc/30/25/49/190302549.db2.gz SHXNSCFDXJFBLQ-ZDUSSCGKSA-N 0 0 276.292 2.950 20 5 CFBDRN Cc1cnc(NC[C@@]2(C)CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000267114492 190304390 /nfs/dbraw/zinc/30/43/90/190304390.db2.gz RYUXIRJWNLPCEL-GXTWGEPZSA-N 0 0 279.340 2.651 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCC(OC)CC1 ZINC000266999156 190296189 /nfs/dbraw/zinc/29/61/89/190296189.db2.gz CBJKHVNGZDHCDT-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN CCOCCN(CC)Cc1ccc([N+](=O)[O-])cc1F ZINC000267008152 190296630 /nfs/dbraw/zinc/29/66/30/190296630.db2.gz GKQHTRQENUPJGI-UHFFFAOYSA-N 0 0 270.304 2.592 20 5 CFBDRN Cc1sc(C(=O)N(C)C2CCC2)cc1[N+](=O)[O-] ZINC000172702529 379628354 /nfs/dbraw/zinc/62/83/54/379628354.db2.gz IUJNMHQFQGMESX-UHFFFAOYSA-N 0 0 254.311 2.589 20 5 CFBDRN C[C@H]1CCCN1C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000335668743 379689500 /nfs/dbraw/zinc/68/95/00/379689500.db2.gz MVEPSRGIPCHYCW-NSHDSACASA-N 0 0 276.336 2.883 20 5 CFBDRN CN(C[C@H]1CCCO1)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000267164361 190307900 /nfs/dbraw/zinc/30/79/00/190307900.db2.gz AWAYNVZAWIZYAQ-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN CSc1ccc(C(=O)N2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000173122855 379698758 /nfs/dbraw/zinc/69/87/58/379698758.db2.gz VUTWCIJZMMVSAE-VIFPVBQESA-N 0 0 280.349 2.941 20 5 CFBDRN CCCc1nc(CNc2cc([N+](=O)[O-])ccc2F)no1 ZINC000172883649 379665398 /nfs/dbraw/zinc/66/53/98/379665398.db2.gz GAOQJUMMZDYEAI-UHFFFAOYSA-N 0 0 280.259 2.682 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000267208138 190312161 /nfs/dbraw/zinc/31/21/61/190312161.db2.gz FHDVUHXORFORII-NSHDSACASA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CS[C@@H](C)C1 ZINC000335653943 379668242 /nfs/dbraw/zinc/66/82/42/379668242.db2.gz HPGRYVSLZKTLGI-VHSXEESVSA-N 0 0 280.349 2.527 20 5 CFBDRN CCC1(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000267229729 190313367 /nfs/dbraw/zinc/31/33/67/190313367.db2.gz YUGKUHZPHQLRAC-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H]2C)c1 ZINC000173130859 379700353 /nfs/dbraw/zinc/70/03/53/379700353.db2.gz KZZYTWGAXSHXQY-VIFPVBQESA-N 0 0 280.349 2.941 20 5 CFBDRN Cc1ccc(F)cc1Cn1c(C)ccc([N+](=O)[O-])c1=O ZINC000335683228 379715811 /nfs/dbraw/zinc/71/58/11/379715811.db2.gz YHXKHPVEMOUTJL-UHFFFAOYSA-N 0 0 276.267 2.561 20 5 CFBDRN C[C@@H](NCc1ncc(Cl)n1C)c1cccc([N+](=O)[O-])c1 ZINC000173373109 379731162 /nfs/dbraw/zinc/73/11/62/379731162.db2.gz AXKBCCUUTHXLGP-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@H]21 ZINC000335696683 379738838 /nfs/dbraw/zinc/73/88/38/379738838.db2.gz UUBKCXULSIFZJP-GXTWGEPZSA-N 0 0 274.320 2.538 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@@H]1C1CC1 ZINC000334669414 379741252 /nfs/dbraw/zinc/74/12/52/379741252.db2.gz KMMFNTMAAJQWMX-CQSZACIVSA-N 0 0 285.303 2.701 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000267270292 190316537 /nfs/dbraw/zinc/31/65/37/190316537.db2.gz ZMSWZKGUNXEZJZ-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCO[C@@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000267271172 190316863 /nfs/dbraw/zinc/31/68/63/190316863.db2.gz ZQAMUIMUZRKVFT-WCQYABFASA-N 0 0 279.340 2.771 20 5 CFBDRN O=C(NC1CCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000334700500 379789276 /nfs/dbraw/zinc/78/92/76/379789276.db2.gz FTMDVRBAIGPAFE-UHFFFAOYSA-N 0 0 254.673 2.531 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000173864882 379790350 /nfs/dbraw/zinc/79/03/50/379790350.db2.gz GKOWPVQEWLWURF-QMMMGPOBSA-N 0 0 286.353 2.590 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1cnccn1 ZINC000174643226 379922793 /nfs/dbraw/zinc/92/27/93/379922793.db2.gz AFYAMAUSWCPMPM-NSHDSACASA-N 0 0 272.308 2.578 20 5 CFBDRN CC(=O)[C@@H](C)SCc1ccc([N+](=O)[O-])cc1F ZINC000267542705 190336801 /nfs/dbraw/zinc/33/68/01/190336801.db2.gz AMRLQXNVCQCVES-MRVPVSSYSA-N 0 0 257.286 2.945 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1C[C@H]2CCCC[C@@H]2C1 ZINC000335809582 379924887 /nfs/dbraw/zinc/92/48/87/379924887.db2.gz AAPUMWMJOQLGNH-GHMZBOCLSA-N 0 0 292.310 2.996 20 5 CFBDRN COC[C@H](C)N(C)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000174690933 379928635 /nfs/dbraw/zinc/92/86/35/379928635.db2.gz SNFHEVZORRLSOP-QMMMGPOBSA-N 0 0 290.266 2.667 20 5 CFBDRN Cc1cccc(NC(=O)Cc2ccccc2[N+](=O)[O-])c1O ZINC000174948000 379967035 /nfs/dbraw/zinc/96/70/35/379967035.db2.gz MVCONAKQWDOMQF-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1cccc(NC(=O)c2ccccc2[N+](=O)[O-])c1O ZINC000174955456 379968363 /nfs/dbraw/zinc/96/83/63/379968363.db2.gz YAYNUAMRTAHRIW-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cccc(F)c1Cl ZINC000335840740 379976028 /nfs/dbraw/zinc/97/60/28/379976028.db2.gz SVLHXTPWSSELRB-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000267701969 190348305 /nfs/dbraw/zinc/34/83/05/190348305.db2.gz JHNMSUNLQYSBMY-VIFPVBQESA-N 0 0 273.292 2.701 20 5 CFBDRN CO[C@]1(C)C[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)C1(C)C ZINC000174814709 379945096 /nfs/dbraw/zinc/94/50/96/379945096.db2.gz BRNQMFQDPHFZHH-NOZJJQNGSA-N 0 0 298.364 2.590 20 5 CFBDRN C[C@H]1CCN(CCn2cc([N+](=O)[O-])cn2)c2ccccc21 ZINC000174820634 379946210 /nfs/dbraw/zinc/94/62/10/379946210.db2.gz WZRBTNQSGACWMO-LBPRGKRZSA-N 0 0 286.335 2.805 20 5 CFBDRN CN(Cc1cc(Cl)ccc1[N+](=O)[O-])C[C@@H]1CCCO1 ZINC000174841761 379950100 /nfs/dbraw/zinc/95/01/00/379950100.db2.gz FWSAOSKIRQUVLE-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@]1(F)CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335844223 379982532 /nfs/dbraw/zinc/98/25/32/379982532.db2.gz ULOOSPGFHBWVSI-LBPRGKRZSA-N 0 0 285.250 2.700 20 5 CFBDRN Cc1c(C(=O)N2C[C@@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000335164852 379986361 /nfs/dbraw/zinc/98/63/61/379986361.db2.gz BXGWPQAOUCHXBL-VHSXEESVSA-N 0 0 262.309 2.774 20 5 CFBDRN CO[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000267828875 190357034 /nfs/dbraw/zinc/35/70/34/190357034.db2.gz ZNRVFQXNNGAUMX-VIFPVBQESA-N 0 0 299.714 2.501 20 5 CFBDRN CC1(CNC(=O)c2csc([N+](=O)[O-])c2)CCC1 ZINC000175075521 379991135 /nfs/dbraw/zinc/99/11/35/379991135.db2.gz SWHOGTOHVZXCGH-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)C1 ZINC000335197103 379995540 /nfs/dbraw/zinc/99/55/40/379995540.db2.gz QMLMJWFCMXMLKT-SECBINFHSA-N 0 0 262.309 2.694 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000175169652 380012025 /nfs/dbraw/zinc/01/20/25/380012025.db2.gz XZKONJJCNTUGIK-NEPJUHHUSA-N 0 0 291.351 2.925 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)[C@H]1C ZINC000267722197 190350627 /nfs/dbraw/zinc/35/06/27/190350627.db2.gz UYNDZPAELVJNEV-GHMZBOCLSA-N 0 0 264.325 2.976 20 5 CFBDRN CO[C@@H](C)c1nc(CNc2ncccc2[N+](=O)[O-])cs1 ZINC000267765432 190353046 /nfs/dbraw/zinc/35/30/46/190353046.db2.gz JZXLVZXPFIUKOF-QMMMGPOBSA-N 0 0 294.336 2.766 20 5 CFBDRN CC1(F)CC(NC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335238455 380060971 /nfs/dbraw/zinc/06/09/71/380060971.db2.gz IHANIVJRRWATPT-UHFFFAOYSA-N 0 0 285.250 2.746 20 5 CFBDRN CCCN(C(=O)CCn1cc([N+](=O)[O-])nc1C)C(C)(C)C ZINC000267914705 190362772 /nfs/dbraw/zinc/36/27/72/190362772.db2.gz NVHITYFGAKFMAH-UHFFFAOYSA-N 0 0 296.371 2.527 20 5 CFBDRN C[C@@]1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CCCO1 ZINC000268047681 190372418 /nfs/dbraw/zinc/37/24/18/190372418.db2.gz NGSCOIGENOJTGW-ZDUSSCGKSA-N 0 0 275.264 2.670 20 5 CFBDRN CC[C@H]1CCN(C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000267972566 190366448 /nfs/dbraw/zinc/36/64/48/190366448.db2.gz VGQZBZDLIVWTOR-LBPRGKRZSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC12CC2 ZINC000335230867 380047306 /nfs/dbraw/zinc/04/73/06/380047306.db2.gz DWIBQURSFCWUJK-LLVKDONJSA-N 0 0 261.281 2.577 20 5 CFBDRN CC(C)N(C)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268119317 190378043 /nfs/dbraw/zinc/37/80/43/190378043.db2.gz IWZJAYWUAPIBCI-UHFFFAOYSA-N 0 0 285.731 2.798 20 5 CFBDRN C[C@H](N(C)C(=O)COc1cccc([N+](=O)[O-])c1)C1(C)CC1 ZINC000175613035 380099812 /nfs/dbraw/zinc/09/98/12/380099812.db2.gz VMKUBYWDJOILTD-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)[C@H]1C ZINC000335914533 380099926 /nfs/dbraw/zinc/09/99/26/380099926.db2.gz FMFOASMWOZYYRP-ZJUUUORDSA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1nc(NC(=O)C[C@@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000175666231 380108579 /nfs/dbraw/zinc/10/85/79/380108579.db2.gz ASJKDVYXMNTZDJ-SNVBAGLBSA-N 0 0 261.281 2.593 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@H](C3CC3)C2)cc1[N+](=O)[O-] ZINC000335934948 380125534 /nfs/dbraw/zinc/12/55/34/380125534.db2.gz LIDQGIWJHZBSMT-ZDUSSCGKSA-N 0 0 274.320 2.775 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3CCC[C@H]3F)cc2N1 ZINC000338823292 284816755 /nfs/dbraw/zinc/81/67/55/284816755.db2.gz FRJVIFQDUIHQKX-NXEZZACHSA-N 0 0 293.298 2.782 20 5 CFBDRN CCC(C)(C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000268215328 190386750 /nfs/dbraw/zinc/38/67/50/190386750.db2.gz NWDBOHJLADWEHQ-UHFFFAOYSA-N 0 0 279.340 2.625 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC2(C)C)c1 ZINC000176096964 380178573 /nfs/dbraw/zinc/17/85/73/380178573.db2.gz DPLBMNFTQRBDBY-CYBMUJFWSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](C(=O)NCc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000268267726 190391279 /nfs/dbraw/zinc/39/12/79/190391279.db2.gz SWBUIOCCYKNIHH-MRVPVSSYSA-N 0 0 282.727 2.911 20 5 CFBDRN C[C@H](N(C)C(=O)CNc1ccccc1[N+](=O)[O-])C1(C)CC1 ZINC000176248716 380201228 /nfs/dbraw/zinc/20/12/28/380201228.db2.gz ZECHNKUECMWZEL-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000268385217 190398939 /nfs/dbraw/zinc/39/89/39/190398939.db2.gz KQGIHYNNKBIVLT-JTNHKYCSSA-N 0 0 262.309 2.964 20 5 CFBDRN C[C@@H]1Cc2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000336024237 380253807 /nfs/dbraw/zinc/25/38/07/380253807.db2.gz JTHCIPIGOWYZIZ-SNVBAGLBSA-N 0 0 285.303 2.510 20 5 CFBDRN O=C([C@H]1C[C@@H]1C1CC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000176595349 380256527 /nfs/dbraw/zinc/25/65/27/380256527.db2.gz COHFTZMUQBYCNP-OLZOCXBDSA-N 0 0 272.304 2.530 20 5 CFBDRN COCC[C@@H](C)Nc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000268471812 190405030 /nfs/dbraw/zinc/40/50/30/190405030.db2.gz IBUCQPDKGNKEHR-SNVBAGLBSA-N 0 0 296.323 2.527 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000336012348 380235314 /nfs/dbraw/zinc/23/53/14/380235314.db2.gz RIDZEUQYYHQLAZ-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN CN(Cc1cccnc1)Cc1cccc([N+](=O)[O-])c1 ZINC000177008134 380292663 /nfs/dbraw/zinc/29/26/63/380292663.db2.gz IXQGAVYCBHZBCI-UHFFFAOYSA-N 0 0 257.293 2.622 20 5 CFBDRN C[C@@H]1CC[C@@]2(CCN(C(=O)c3cc([N+](=O)[O-])c[nH]3)C2)C1 ZINC000336507329 380382676 /nfs/dbraw/zinc/38/26/76/380382676.db2.gz KSIHPCDYRNIAAB-QMTHXVAHSA-N 0 0 277.324 2.575 20 5 CFBDRN CC(C)C1(CNC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000177400812 380338742 /nfs/dbraw/zinc/33/87/42/380338742.db2.gz FSPVGHCVVPCWCG-UHFFFAOYSA-N 0 0 268.338 2.822 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC=C(F)C1 ZINC000336533853 380427696 /nfs/dbraw/zinc/42/76/96/380427696.db2.gz MDEUSOWQYLCZTM-UHFFFAOYSA-N 0 0 283.234 2.825 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCOc2ccccc2)n1 ZINC000178148481 380414053 /nfs/dbraw/zinc/41/40/53/380414053.db2.gz PQTJYJLVBFHCNE-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN CCc1noc(C)c1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000179332296 380532028 /nfs/dbraw/zinc/53/20/28/380532028.db2.gz STGQMKOAOQMRKP-UHFFFAOYSA-N 0 0 281.293 2.767 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1NCCCCF ZINC000336677879 380587606 /nfs/dbraw/zinc/58/76/06/380587606.db2.gz WKJOLFJTCMFSPL-UHFFFAOYSA-N 0 0 292.108 2.914 20 5 CFBDRN Cn1cnnc1SCc1ccc([N+](=O)[O-])cc1Cl ZINC000180898115 380709833 /nfs/dbraw/zinc/70/98/33/380709833.db2.gz QPXLVVJRCYBFGI-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN CCCCCCC(=O)Cn1cc([N+](=O)[O-])c(C)n1 ZINC000295378447 380818638 /nfs/dbraw/zinc/81/86/38/380818638.db2.gz PXWXEGCFWBPHSD-UHFFFAOYSA-N 0 0 253.302 2.639 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCCOCC1CCC1 ZINC000400714666 380864520 /nfs/dbraw/zinc/86/45/20/380864520.db2.gz VAKFSGRLNIQXRA-UHFFFAOYSA-N 0 0 293.319 2.993 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000295406535 380833839 /nfs/dbraw/zinc/83/38/39/380833839.db2.gz TVZXLSQZFVNMTF-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CCCCC1 ZINC000279210869 194334770 /nfs/dbraw/zinc/33/47/70/194334770.db2.gz MJRZCFWQNOPJRR-UHFFFAOYSA-N 0 0 295.314 2.721 20 5 CFBDRN CCc1nn(C)c(N2CCC3(CCC3)CC2)c1[N+](=O)[O-] ZINC000367872495 380845319 /nfs/dbraw/zinc/84/53/19/380845319.db2.gz GFVQHHVRTZLPMJ-UHFFFAOYSA-N 0 0 278.356 2.661 20 5 CFBDRN CCCN(CC(F)F)C(=O)c1ccccc1[N+](=O)[O-] ZINC000353846179 380897178 /nfs/dbraw/zinc/89/71/78/380897178.db2.gz QBLVTKFUPIWZGM-UHFFFAOYSA-N 0 0 272.251 2.712 20 5 CFBDRN CN(CCc1ccccn1)c1c(F)cccc1[N+](=O)[O-] ZINC000341288175 380905249 /nfs/dbraw/zinc/90/52/49/380905249.db2.gz MESZWYRXIRFKLH-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN Cc1nn(Cc2ccccc2Cl)cc1[N+](=O)[O-] ZINC000181830553 380908068 /nfs/dbraw/zinc/90/80/68/380908068.db2.gz FNNHKHWYGLQWRQ-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000353889448 380931431 /nfs/dbraw/zinc/93/14/31/380931431.db2.gz AMAPOSRPPALKDO-LBPRGKRZSA-N 0 0 294.351 2.789 20 5 CFBDRN Cc1cccc(CCNc2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000295520568 380910976 /nfs/dbraw/zinc/91/09/76/380910976.db2.gz MJYNKXULTZCZDD-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CC[C@H](NC(=O)N[C@H](C)CF)c1cccc([N+](=O)[O-])c1 ZINC000287829734 380951218 /nfs/dbraw/zinc/95/12/18/380951218.db2.gz YAZCNSZJKGBQQG-SKDRFNHKSA-N 0 0 283.303 2.703 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(C3CC(C)(C)C3)n2)n1C ZINC000347138048 380964078 /nfs/dbraw/zinc/96/40/78/380964078.db2.gz INTCYNZTXSSOCS-UHFFFAOYSA-N 0 0 291.311 2.590 20 5 CFBDRN Cc1c(C(=O)NCCC(C)(F)F)cccc1[N+](=O)[O-] ZINC000295606682 380971391 /nfs/dbraw/zinc/97/13/91/380971391.db2.gz POAWRIZQSCIYGC-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN COCC1(CNc2cc(F)ccc2[N+](=O)[O-])CCOCC1 ZINC000368296376 380985845 /nfs/dbraw/zinc/98/58/45/380985845.db2.gz NHCIVEWXPYSDAY-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN COc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)cc1 ZINC000295619815 380979180 /nfs/dbraw/zinc/97/91/80/380979180.db2.gz WGNCKKQOMOXUBA-SECBINFHSA-N 0 0 288.307 2.875 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)c1cccc(C)c1 ZINC000347092276 380932909 /nfs/dbraw/zinc/93/29/09/380932909.db2.gz MGQDMPJILBIKBA-UHFFFAOYSA-N 0 0 273.292 2.898 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000341329542 380939624 /nfs/dbraw/zinc/93/96/24/380939624.db2.gz QDYKMXRPBWRNDB-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCCOC[C@H]1CCCO1 ZINC000341338885 380947166 /nfs/dbraw/zinc/94/71/66/380947166.db2.gz HNSRQFGVZWSPQX-LLVKDONJSA-N 0 0 298.314 2.732 20 5 CFBDRN Cc1cc(NC(=O)CCOCC2CC2)ccc1[N+](=O)[O-] ZINC000347178180 380986490 /nfs/dbraw/zinc/98/64/90/380986490.db2.gz YHWGZQCXOPVQOP-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CC(C)(NC(=O)Cc1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000182086659 380988301 /nfs/dbraw/zinc/98/83/01/380988301.db2.gz AJJQMRBVCSYFGE-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN Cc1cnc(N2CC(COc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000288148235 381007224 /nfs/dbraw/zinc/00/72/24/381007224.db2.gz GLKNZIMGKBDPCH-UHFFFAOYSA-N 0 0 299.330 2.813 20 5 CFBDRN CO[C@H](C)CN(C)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000295672186 381007418 /nfs/dbraw/zinc/00/74/18/381007418.db2.gz KEODYXQMAZVTEQ-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN Cc1nc(-c2noc(-c3cccc([N+](=O)[O-])c3C)n2)co1 ZINC000347289048 381050324 /nfs/dbraw/zinc/05/03/24/381050324.db2.gz UCQFEIASQHJBPJ-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1c(F)cccc1F ZINC000341500796 381051316 /nfs/dbraw/zinc/05/13/16/381051316.db2.gz OBGPFOQBBFAUAO-GMSGAONNSA-N 0 0 294.261 2.575 20 5 CFBDRN Cc1cc(Br)ccc1Cn1nccc1[N+](=O)[O-] ZINC000182313071 381060142 /nfs/dbraw/zinc/06/01/42/381060142.db2.gz VVGIAASEHYPRHT-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN Cc1c(NC(=O)NCCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000341531750 381069806 /nfs/dbraw/zinc/06/98/06/381069806.db2.gz JDRUNORCZUCFFZ-UHFFFAOYSA-N 0 0 291.229 2.977 20 5 CFBDRN CN(C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CC1(C)C ZINC000347261285 381034749 /nfs/dbraw/zinc/03/47/49/381034749.db2.gz LSJOPOYKOVNIHA-LLVKDONJSA-N 0 0 281.287 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NC3(CCO)CCCC3)nc2c1 ZINC000295722724 381036877 /nfs/dbraw/zinc/03/68/77/381036877.db2.gz UNAGPNCSSWAEFA-UHFFFAOYSA-N 0 0 290.323 2.578 20 5 CFBDRN COc1ccc(CN2CC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000347339306 381080743 /nfs/dbraw/zinc/08/07/43/381080743.db2.gz CBFJIYVAQSHQNT-ZDUSSCGKSA-N 0 0 268.288 2.537 20 5 CFBDRN Cc1c(CC(=O)Nc2cncc(F)c2)cccc1[N+](=O)[O-] ZINC000341547495 381080966 /nfs/dbraw/zinc/08/09/66/381080966.db2.gz SCGDFVQSBQYJDD-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000411981229 381081183 /nfs/dbraw/zinc/08/11/83/381081183.db2.gz OOAKLUSTTRSMOK-MWLCHTKSSA-N 0 0 278.308 2.520 20 5 CFBDRN Cc1occc1SCc1c([N+](=O)[O-])ncn1C ZINC000358785766 381081598 /nfs/dbraw/zinc/08/15/98/381081598.db2.gz NKMLNHPTLQQYDU-UHFFFAOYSA-N 0 0 253.283 2.522 20 5 CFBDRN O=C(N[C@H]1CC(=O)c2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000354112658 381087947 /nfs/dbraw/zinc/08/79/47/381087947.db2.gz LGLGFGYNKTYSFZ-AWEZNQCLSA-N 0 0 296.282 2.652 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCCOC(C)C)n1 ZINC000358825413 381105618 /nfs/dbraw/zinc/10/56/18/381105618.db2.gz DLUXHPFDFBEMPJ-UHFFFAOYSA-N 0 0 268.313 2.801 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000341595208 381112602 /nfs/dbraw/zinc/11/26/02/381112602.db2.gz GTQDDVHULKNWAA-JTQLQIEISA-N 0 0 286.328 2.605 20 5 CFBDRN Cc1cc(C(=O)Nc2cncc(F)c2)cc([N+](=O)[O-])c1 ZINC000341543831 381079005 /nfs/dbraw/zinc/07/90/05/381079005.db2.gz MHHFEUAALGDAST-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](OC)C(C)C ZINC000341629752 381138408 /nfs/dbraw/zinc/13/84/08/381138408.db2.gz DQVDOMXLWHTSEH-CYBMUJFWSA-N 0 0 296.323 2.603 20 5 CFBDRN CCn1cc([C@H](C)Nc2ccc([N+](=O)[O-])c(N)c2F)cn1 ZINC000295894976 381142212 /nfs/dbraw/zinc/14/22/12/381142212.db2.gz DSBBBSOLQLYPBJ-QMMMGPOBSA-N 0 0 293.302 2.706 20 5 CFBDRN O=C(Cc1ccsc1)NCCc1ccccc1[N+](=O)[O-] ZINC000358903050 381145741 /nfs/dbraw/zinc/14/57/41/381145741.db2.gz OFQKKFHGMCBPIE-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN CCCCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@H](C)CC ZINC000347443561 381154385 /nfs/dbraw/zinc/15/43/85/381154385.db2.gz OMNZHLQFGCKJHQ-SNVBAGLBSA-N 0 0 267.329 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ncc(Cl)cn2)c(Cl)c1 ZINC000341658801 381155292 /nfs/dbraw/zinc/15/52/92/381155292.db2.gz BSJHRQNKCZUPRS-UHFFFAOYSA-N 0 0 299.117 2.726 20 5 CFBDRN CC(C)(CCC(=O)Oc1ccc2cccnc2c1)[N+](=O)[O-] ZINC000295934739 381168198 /nfs/dbraw/zinc/16/81/98/381168198.db2.gz DCWURNKBMNMUQL-UHFFFAOYSA-N 0 0 288.303 2.976 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)c1ncccc1C ZINC000341664917 381159135 /nfs/dbraw/zinc/15/91/35/381159135.db2.gz KLUPFRKTQQLDAI-UHFFFAOYSA-N 0 0 275.264 2.558 20 5 CFBDRN COc1cc(C)nc(CNc2cccc([N+](=O)[O-])c2)c1 ZINC000354247479 381163961 /nfs/dbraw/zinc/16/39/61/381163961.db2.gz ZUUWDVBLSRBMNO-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC1=CCCCC1 ZINC000295867680 381126786 /nfs/dbraw/zinc/12/67/86/381126786.db2.gz UMQCIUASZHGOAZ-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN CCSCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000347411426 381133145 /nfs/dbraw/zinc/13/31/45/381133145.db2.gz AKDDPBVMIQITRS-UHFFFAOYSA-N 0 0 264.310 2.564 20 5 CFBDRN CCCCOC(=O)COc1ccc([N+](=O)[O-])c(F)c1F ZINC000295947054 381173785 /nfs/dbraw/zinc/17/37/85/381173785.db2.gz LOFJIGACMBQZQH-UHFFFAOYSA-N 0 0 289.234 2.595 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](CC)COC ZINC000289823695 381175879 /nfs/dbraw/zinc/17/58/79/381175879.db2.gz OSQNYLXIQHCFNG-LLVKDONJSA-N 0 0 295.339 2.704 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412137278 381178579 /nfs/dbraw/zinc/17/85/79/381178579.db2.gz XKGXRPSDAWZRGC-VHDGCEQUSA-N 0 0 286.331 2.923 20 5 CFBDRN Cc1cccc(O)c1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000183023824 381199483 /nfs/dbraw/zinc/19/94/83/381199483.db2.gz UYKUYLFBJCWOLQ-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC=CCC2)c([N+](=O)[O-])cc1F ZINC000295992858 381202823 /nfs/dbraw/zinc/20/28/23/381202823.db2.gz XFRDVWSHMCMFQF-SECBINFHSA-N 0 0 294.282 2.581 20 5 CFBDRN Cc1ccnc(NC2CC[NH+](C3CCC3)CC2)c1[N+](=O)[O-] ZINC000296011503 381210542 /nfs/dbraw/zinc/21/05/42/381210542.db2.gz NPFIWBWRWDTPHT-UHFFFAOYSA-N 0 0 290.367 2.727 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@@H](CC)CC2)c1[N+](=O)[O-] ZINC000354342860 381214652 /nfs/dbraw/zinc/21/46/52/381214652.db2.gz HGFASICMVZUUQV-LLVKDONJSA-N 0 0 280.372 2.907 20 5 CFBDRN CC(C)(CCc1nc(Cc2cccc(F)c2)no1)[N+](=O)[O-] ZINC000295939016 381169842 /nfs/dbraw/zinc/16/98/42/381169842.db2.gz OBGDJRDGYRKXDM-UHFFFAOYSA-N 0 0 293.298 2.787 20 5 CFBDRN CN(C(=O)CSc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000342290803 381252028 /nfs/dbraw/zinc/25/20/28/381252028.db2.gz MEOGDUNIHQNVDS-UHFFFAOYSA-N 0 0 280.349 2.698 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H](C)Cn2ccnc2)n1 ZINC000359080716 381252334 /nfs/dbraw/zinc/25/23/34/381252334.db2.gz HKGWWCRGGGLRNK-JTQLQIEISA-N 0 0 289.339 2.551 20 5 CFBDRN CCC[C@@H]([NH2+][C@H]1C[C@@H](O)C1)c1cccc([N+](=O)[O-])c1 ZINC000296094754 381253120 /nfs/dbraw/zinc/25/31/20/381253120.db2.gz YJDCSNLJYHXMNG-KWCYVHTRSA-N 0 0 264.325 2.549 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ccccn2)n1 ZINC000359087714 381258712 /nfs/dbraw/zinc/25/87/12/381258712.db2.gz XPWDSHUVGNZECF-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2C[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000412361472 381270720 /nfs/dbraw/zinc/27/07/20/381270720.db2.gz LDAZJSRFYNVNBE-WDEREUQCSA-N 0 0 292.335 2.862 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@H](C3CC3)C2)n1 ZINC000359093904 381262661 /nfs/dbraw/zinc/26/26/61/381262661.db2.gz HXNNQIRFLRIZSF-LBPRGKRZSA-N 0 0 261.325 2.843 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@H]2CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000412350546 381265872 /nfs/dbraw/zinc/26/58/72/381265872.db2.gz YDUKDFSVIWQVOQ-PWSUYJOCSA-N 0 0 292.335 2.910 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCO[C@H]3CCC[C@H]32)cs1 ZINC000369467008 381266649 /nfs/dbraw/zinc/26/66/49/381266649.db2.gz KXPXAAMQAGASJI-NEPJUHHUSA-N 0 0 282.365 2.800 20 5 CFBDRN Cc1nocc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296129818 381268576 /nfs/dbraw/zinc/26/85/76/381268576.db2.gz UKVPDXFUHQIJJV-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)ccc1O ZINC000354356282 381223215 /nfs/dbraw/zinc/22/32/15/381223215.db2.gz ARDKPPUZOWZKOR-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN C/C(=C\c1nc(N(C)C)no1)c1ccc([N+](=O)[O-])cc1 ZINC000342236173 381228483 /nfs/dbraw/zinc/22/84/83/381228483.db2.gz RKXBGIXOMCITTM-CMDGGOBGSA-N 0 0 274.280 2.604 20 5 CFBDRN CC1(C)[C@H]([NH2+]Cc2ccc([N+](=O)[O-])c(F)c2)[C@H]2CCO[C@H]21 ZINC000296061768 381237707 /nfs/dbraw/zinc/23/77/07/381237707.db2.gz GEWQIUXWZHGPII-LERXQTSPSA-N 0 0 294.326 2.637 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H](C)C(F)(F)F)c1F ZINC000290076447 381288010 /nfs/dbraw/zinc/28/80/10/381288010.db2.gz KMQMBEHVIKOTLK-ZCFIWIBFSA-N 0 0 294.204 2.723 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1C[C@H]2CCCC[C@@H]2C1 ZINC000183597225 381291529 /nfs/dbraw/zinc/29/15/29/381291529.db2.gz JFTKOZBLZRAYFZ-GHMZBOCLSA-N 0 0 290.323 2.644 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2C[C@@H]1CCCCO1 ZINC000183627469 381296482 /nfs/dbraw/zinc/29/64/82/381296482.db2.gz WWQKJKMOQIALBW-NSHDSACASA-N 0 0 262.309 2.526 20 5 CFBDRN CC(C)(CF)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000354482022 381296807 /nfs/dbraw/zinc/29/68/07/381296807.db2.gz UVUSQYKPSPHWFL-UHFFFAOYSA-N 0 0 279.271 2.554 20 5 CFBDRN CC(C)=CCC[C@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000296194328 381296984 /nfs/dbraw/zinc/29/69/84/381296984.db2.gz DXNGXQPERKWFPX-JTQLQIEISA-N 0 0 252.318 2.875 20 5 CFBDRN CC(C)=CCC[C@@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000296252153 381321365 /nfs/dbraw/zinc/32/13/65/381321365.db2.gz IYFCODIJLRMEFR-SNVBAGLBSA-N 0 0 250.302 2.932 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc2ncsc2c1 ZINC000342421156 381323507 /nfs/dbraw/zinc/32/35/07/381323507.db2.gz CMGYEEZASLOYJH-UHFFFAOYSA-N 0 0 275.293 2.682 20 5 CFBDRN Cc1cc(C(=O)N2CCC3(CC3)CC2)ccc1[N+](=O)[O-] ZINC000369760315 381326337 /nfs/dbraw/zinc/32/63/37/381326337.db2.gz NRDMMGYBALMETQ-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN C[C@@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(F)(F)F ZINC000290184184 381333626 /nfs/dbraw/zinc/33/36/26/381333626.db2.gz SNFFHWLGHZYFAW-RXMQYKEDSA-N 0 0 296.632 2.929 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCC[C@@H]2CCCCO2)n1 ZINC000354550037 381333993 /nfs/dbraw/zinc/33/39/93/381333993.db2.gz VUTDDHILEVFNQK-LBPRGKRZSA-N 0 0 280.324 2.945 20 5 CFBDRN CCOCC(C)(C)CNc1cc(N)ccc1[N+](=O)[O-] ZINC000288829189 197174273 /nfs/dbraw/zinc/17/42/73/197174273.db2.gz HZXUXFFWVVHGNK-UHFFFAOYSA-N 0 0 267.329 2.652 20 5 CFBDRN CC[C@H](O)CCCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000342387508 381309059 /nfs/dbraw/zinc/30/90/59/381309059.db2.gz VVIRGLSZBQQZED-QMMMGPOBSA-N 0 0 296.352 2.563 20 5 CFBDRN Cc1cc(=O)n(Cc2cnc3ccccc3c2)cc1[N+](=O)[O-] ZINC000347775802 381343894 /nfs/dbraw/zinc/34/38/94/381343894.db2.gz JGZOZTLCZWUNQS-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1[C@@H]1NC(=O)c2ccccc2N1C ZINC000183947421 381346136 /nfs/dbraw/zinc/34/61/36/381346136.db2.gz SSJCWJAAAJVIHP-OAHLLOKOSA-N 0 0 297.314 2.782 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354576821 381347808 /nfs/dbraw/zinc/34/78/08/381347808.db2.gz WNJYKJRXIFNYEU-JGVFFNPUSA-N 0 0 290.266 2.768 20 5 CFBDRN CC1=CCN(c2nnc(-c3ccc([N+](=O)[O-])cc3)n2C)CC1 ZINC000290244751 381356584 /nfs/dbraw/zinc/35/65/84/381356584.db2.gz QSXCMAFSGPPDRP-UHFFFAOYSA-N 0 0 299.334 2.547 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000412589638 381356530 /nfs/dbraw/zinc/35/65/30/381356530.db2.gz FOGFIPJUMCUATD-BXKDBHETSA-N 0 0 294.376 2.781 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cc1ccco1 ZINC000359332842 381357346 /nfs/dbraw/zinc/35/73/46/381357346.db2.gz CABWHRICGFCFLL-UHFFFAOYSA-N 0 0 274.276 2.986 20 5 CFBDRN C[C@@H](CCO)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354596416 381359724 /nfs/dbraw/zinc/35/97/24/381359724.db2.gz OHHNGEWDERFWPM-QMMMGPOBSA-N 0 0 290.266 2.627 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CCOC2(C)C)cc1[N+](=O)[O-] ZINC000296364327 381362740 /nfs/dbraw/zinc/36/27/40/381362740.db2.gz QWHIRUNNOXDAOV-GFCCVEGCSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1nc(C)c(CNC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000359348170 381366585 /nfs/dbraw/zinc/36/65/85/381366585.db2.gz OGOZJIGTLZFNBV-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCC(CC)CN(CC)C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000354626350 381371681 /nfs/dbraw/zinc/37/16/81/381371681.db2.gz FRBZCLBPKWSMDK-UHFFFAOYSA-N 0 0 282.344 2.525 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCC(C2CCCC2)CC1 ZINC000370045177 381372197 /nfs/dbraw/zinc/37/21/97/381372197.db2.gz KFQRNBPDEUOVQJ-UHFFFAOYSA-N 0 0 292.383 2.731 20 5 CFBDRN Cc1nn(C[C@H]2C[C@@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359366418 381374612 /nfs/dbraw/zinc/37/46/12/381374612.db2.gz IOTRFGCXJFFCFQ-CHWSQXEVSA-N 0 0 257.293 2.903 20 5 CFBDRN C[C@@H]1CCCCCN1S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000354630778 381375818 /nfs/dbraw/zinc/37/58/18/381375818.db2.gz WCXJYEFEMWIGOK-LLVKDONJSA-N 0 0 298.364 2.548 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000354712408 381417132 /nfs/dbraw/zinc/41/71/32/381417132.db2.gz QMIZKSGMQKVYQP-MISXGVKJSA-N 0 0 294.351 2.833 20 5 CFBDRN CC1(C)CC(NC(=O)c2[nH]ncc2[N+](=O)[O-])CC(C)(C)C1 ZINC000347908954 381407500 /nfs/dbraw/zinc/40/75/00/381407500.db2.gz CAVRFNSOSKJPQV-UHFFFAOYSA-N 0 0 294.355 2.653 20 5 CFBDRN COc1c(C(=O)Nc2nc(C)cs2)cccc1[N+](=O)[O-] ZINC000354704603 381411258 /nfs/dbraw/zinc/41/12/58/381411258.db2.gz IDTSJEYGKPMCAJ-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN COc1cc(N[C@@H](C)CCCCO)c([N+](=O)[O-])cc1F ZINC000296436014 381393486 /nfs/dbraw/zinc/39/34/86/381393486.db2.gz YSZSIVZGKUTRGV-VIFPVBQESA-N 0 0 286.303 2.706 20 5 CFBDRN Cc1csc([C@@H](C)CNc2ccc([N+](=O)[O-])nc2)n1 ZINC000347882825 381397143 /nfs/dbraw/zinc/39/71/43/381397143.db2.gz UVUOZMGQLNUZQE-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN CC(C)n1nccc1/C=C\c1ccc([N+](=O)[O-])cn1 ZINC000359522959 381450168 /nfs/dbraw/zinc/45/01/68/381450168.db2.gz HYUWJUYWSOKBPR-HYXAFXHYSA-N 0 0 258.281 2.938 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000296505291 381424695 /nfs/dbraw/zinc/42/46/95/381424695.db2.gz YFKACVBHARWWKS-MFKMUULPSA-N 0 0 295.339 2.777 20 5 CFBDRN Cn1cc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)nn1 ZINC000359480921 381427983 /nfs/dbraw/zinc/42/79/83/381427983.db2.gz MGFXXTRRUSGYKV-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)c2cccc(F)c2)cc1 ZINC000359484762 381428765 /nfs/dbraw/zinc/42/87/65/381428765.db2.gz GAEQYMFCYDKQLV-CQSZACIVSA-N 0 0 276.267 2.879 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1ncnn1C(C)C ZINC000290440455 381429869 /nfs/dbraw/zinc/42/98/69/381429869.db2.gz BWYXSULOKFAJOF-UHFFFAOYSA-N 0 0 289.339 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCO[C@@H](C)C1 ZINC000354739323 381430664 /nfs/dbraw/zinc/43/06/64/381430664.db2.gz WLGNUVZNOITQMY-WDEREUQCSA-N 0 0 293.323 2.592 20 5 CFBDRN O=C(Cc1ccc(O)cc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347959408 381434313 /nfs/dbraw/zinc/43/43/13/381434313.db2.gz MOISUPZOFXWTBT-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN O=C(NC[C@@H]1CCCCS1)c1csc([N+](=O)[O-])c1 ZINC000342634350 381448201 /nfs/dbraw/zinc/44/82/01/381448201.db2.gz HJLNLGCXQASNQH-VIFPVBQESA-N 0 0 286.378 2.672 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1cc(Cl)c[nH]1 ZINC000359519430 381448740 /nfs/dbraw/zinc/44/87/40/381448740.db2.gz ROWNIFYOJNXVJH-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN COC(=O)CCSc1c(C)cccc1[N+](=O)[O-] ZINC000296491818 381418471 /nfs/dbraw/zinc/41/84/71/381418471.db2.gz LCBQAJWKQATIGL-UHFFFAOYSA-N 0 0 255.295 2.558 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@@H]1CF)c1ccc([N+](=O)[O-])cc1F ZINC000359564968 381473814 /nfs/dbraw/zinc/47/38/14/381473814.db2.gz GVSDNHKBAJVDPH-MWLCHTKSSA-N 0 0 298.289 2.798 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@H]1CF ZINC000296626362 381479875 /nfs/dbraw/zinc/47/98/75/381479875.db2.gz QSBJBTDOOQQCFK-VIFPVBQESA-N 0 0 285.250 2.700 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N1CCC[C@H]1CF ZINC000359581894 381485460 /nfs/dbraw/zinc/48/54/60/381485460.db2.gz HMGQUIJUJMMNDL-JTQLQIEISA-N 0 0 298.339 2.647 20 5 CFBDRN Cc1c(NCc2ncnn2C(C)C)cccc1[N+](=O)[O-] ZINC000290503555 381456322 /nfs/dbraw/zinc/45/63/22/381456322.db2.gz KXRZWSLMZFQPMD-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1CCCCS1 ZINC000342649961 381456592 /nfs/dbraw/zinc/45/65/92/381456592.db2.gz GGINXPQKAWVRMB-LBPRGKRZSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCc1ncnn1C(C)C ZINC000290510530 381457611 /nfs/dbraw/zinc/45/76/11/381457611.db2.gz FGCYHFVDVIXUBE-UHFFFAOYSA-N 0 0 293.302 2.827 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc[nH]n1 ZINC000184711924 381467646 /nfs/dbraw/zinc/46/76/46/381467646.db2.gz FEJFQIDQMQNUAN-NSHDSACASA-N 0 0 288.307 2.525 20 5 CFBDRN O=C(c1ccccc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359616315 381509862 /nfs/dbraw/zinc/50/98/62/381509862.db2.gz YXGZLDSPQFILQL-UHFFFAOYSA-N 0 0 298.298 2.630 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCc2nc(C3CC3)no2)c1 ZINC000359621056 381513612 /nfs/dbraw/zinc/51/36/12/381513612.db2.gz TVDUVBCZRLLXRR-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN Cc1nc(NCCCc2ccccc2F)ncc1[N+](=O)[O-] ZINC000296707258 381514484 /nfs/dbraw/zinc/51/44/84/381514484.db2.gz FZFQYFNNUZUDNA-UHFFFAOYSA-N 0 0 290.298 2.877 20 5 CFBDRN Cc1nc(COc2c(F)cccc2[N+](=O)[O-])oc1C ZINC000185023298 381515228 /nfs/dbraw/zinc/51/52/28/381515228.db2.gz MMFGGFDCFSSSQO-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN O=C(CNc1cc(F)cc([N+](=O)[O-])c1)Nc1ccccc1 ZINC000359622966 381515101 /nfs/dbraw/zinc/51/51/01/381515101.db2.gz UGQSDOUHLSPJJN-UHFFFAOYSA-N 0 0 289.266 2.785 20 5 CFBDRN Cc1cc(COc2c(F)cccc2[N+](=O)[O-])no1 ZINC000185025026 381516275 /nfs/dbraw/zinc/51/62/75/381516275.db2.gz MXSJGUQJVHKESB-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN COc1cc(COc2ccc([N+](=O)[O-])cc2C)ccn1 ZINC000342697827 381485992 /nfs/dbraw/zinc/48/59/92/381485992.db2.gz GPMVUIFSXGDUTP-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CC(C)(CNC(=O)c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000412882950 381486595 /nfs/dbraw/zinc/48/65/95/381486595.db2.gz OVIAVEWTKMEDDY-UHFFFAOYSA-N 0 0 299.330 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC[C@](O)(C2CC2)C1 ZINC000370674377 381488109 /nfs/dbraw/zinc/48/81/09/381488109.db2.gz UYIRWRFXLQQLTJ-CQSZACIVSA-N 0 0 296.754 2.595 20 5 CFBDRN CCN(C(=O)Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000348306397 381492915 /nfs/dbraw/zinc/49/29/15/381492915.db2.gz DSUPFDHPBZKTST-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Oc1ccc2nccnc2c1 ZINC000359593448 381494121 /nfs/dbraw/zinc/49/41/21/381494121.db2.gz NCKSLFPVQSOUTH-UHFFFAOYSA-N 0 0 299.290 2.855 20 5 CFBDRN CC[C@@H](CNc1ccc([N+](=O)[O-])c2cccnc12)OC ZINC000290603739 381495960 /nfs/dbraw/zinc/49/59/60/381495960.db2.gz DYPBSJQVKVYRMM-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CCC1(c2ccccc2)CN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000413446188 381543920 /nfs/dbraw/zinc/54/39/20/381543920.db2.gz GYJDIQAJFAZXEH-UHFFFAOYSA-N 0 0 298.346 2.861 20 5 CFBDRN CCOCc1nc([C@@H](C)Nc2ccc([N+](=O)[O-])cc2)no1 ZINC000290770634 381545282 /nfs/dbraw/zinc/54/52/82/381545282.db2.gz VHRPJBFKGLMVEH-SECBINFHSA-N 0 0 292.295 2.687 20 5 CFBDRN Cc1cn2cc(Nc3ccc([N+](=O)[O-])c(C)n3)ccc2n1 ZINC000348349155 381523356 /nfs/dbraw/zinc/52/33/56/381523356.db2.gz LLZAEGABNBLQEW-UHFFFAOYSA-N 0 0 283.291 2.998 20 5 CFBDRN CC1(C)C(NC(=O)c2cccc([N+](=O)[O-])c2)C1(C)C ZINC000359635787 381525180 /nfs/dbraw/zinc/52/51/80/381525180.db2.gz CHQMCRUXUVWFTE-UHFFFAOYSA-N 0 0 262.309 2.759 20 5 CFBDRN Cc1cc([N+](=O)[O-])nn1C[C@@H](O)c1ccc(F)cc1Cl ZINC000185071429 381528278 /nfs/dbraw/zinc/52/82/78/381528278.db2.gz PHBWBIPDUPNSBR-LLVKDONJSA-N 0 0 299.689 2.626 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCCOC(C)(C)C ZINC000412998003 381529063 /nfs/dbraw/zinc/52/90/63/381529063.db2.gz BWBLLUXWBJVQDV-UHFFFAOYSA-N 0 0 282.340 2.660 20 5 CFBDRN CCCNC(=O)CCCSc1cccc([N+](=O)[O-])c1 ZINC000413002810 381531977 /nfs/dbraw/zinc/53/19/77/381531977.db2.gz GZDDVDVUDYZSJS-UHFFFAOYSA-N 0 0 282.365 2.993 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000185102551 381535074 /nfs/dbraw/zinc/53/50/74/381535074.db2.gz AWQNXROMOJRTAZ-KBPBESRZSA-N 0 0 276.336 2.546 20 5 CFBDRN Cc1cc(N(C)C(=O)c2cccc([N+](=O)[O-])c2)ccn1 ZINC000359654075 381536650 /nfs/dbraw/zinc/53/66/50/381536650.db2.gz QTQCDVJLQGYTLP-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CC(C)NC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000348466420 381579741 /nfs/dbraw/zinc/57/97/41/381579741.db2.gz QGFQPXNCDGCPBR-UHFFFAOYSA-N 0 0 276.214 2.752 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@@H](C)O[C@H](C3CC3)C2)n1 ZINC000359726813 381582015 /nfs/dbraw/zinc/58/20/15/381582015.db2.gz XVXNYCBVIPLBIX-YPMHNXCESA-N 0 0 291.351 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCc3nc[nH]c3C2)c(Cl)c1 ZINC000371282652 381582413 /nfs/dbraw/zinc/58/24/13/381582413.db2.gz ZOWIZYLAZQOJLU-QMMMGPOBSA-N 0 0 292.726 2.941 20 5 CFBDRN COc1cc(N[C@H](CO)C2CCCC2)ccc1[N+](=O)[O-] ZINC000290877107 381582796 /nfs/dbraw/zinc/58/27/96/381582796.db2.gz RYWIREFRQVBESB-GFCCVEGCSA-N 0 0 280.324 2.566 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCO[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000371136877 381554253 /nfs/dbraw/zinc/55/42/53/381554253.db2.gz QCVXWVYFXXBOCR-HIFRSBDPSA-N 0 0 290.319 2.777 20 5 CFBDRN Cc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000342809302 381557266 /nfs/dbraw/zinc/55/72/66/381557266.db2.gz SYRUBPUCHIMPQN-LLVKDONJSA-N 0 0 286.335 2.852 20 5 CFBDRN CCO[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000348417811 381561132 /nfs/dbraw/zinc/56/11/32/381561132.db2.gz FMEVRTNCJCQWTD-ZDUSSCGKSA-N 0 0 280.324 2.985 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H](CO)c1ccccc1 ZINC000342818055 381565362 /nfs/dbraw/zinc/56/53/62/381565362.db2.gz TYBBADDVSQCROW-GFCCVEGCSA-N 0 0 290.294 2.922 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@H](C2CC2)C1 ZINC000290923877 381599208 /nfs/dbraw/zinc/59/92/08/381599208.db2.gz OBGVQWSWIONCAU-ZDUSSCGKSA-N 0 0 274.320 2.857 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000342891148 381601151 /nfs/dbraw/zinc/60/11/51/381601151.db2.gz YNLNNAABOYFRDJ-MVZIDQBPSA-N 0 0 278.308 2.536 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cc2c(cn1)CCC2 ZINC000359768827 381604531 /nfs/dbraw/zinc/60/45/31/381604531.db2.gz XMMGSWIEWSNQTG-UHFFFAOYSA-N 0 0 297.314 2.660 20 5 CFBDRN Cn1c(C(=O)NCCCCC(C)(C)C)ccc1[N+](=O)[O-] ZINC000355085459 381604686 /nfs/dbraw/zinc/60/46/86/381604686.db2.gz JARKAPDCHZKRDV-UHFFFAOYSA-N 0 0 281.356 2.880 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3ccc([N+](=O)[O-])c(C)c3)C2)c1 ZINC000290889931 381587177 /nfs/dbraw/zinc/58/71/77/381587177.db2.gz TWUYRMWZBBBJOD-CQSZACIVSA-N 0 0 286.335 2.860 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3cccc(F)c3[N+](=O)[O-])C2)c1 ZINC000290889857 381587267 /nfs/dbraw/zinc/58/72/67/381587267.db2.gz TUKACAAQPGTKET-NSHDSACASA-N 0 0 290.298 2.690 20 5 CFBDRN CC(=O)c1cc(N(C)Cc2nccs2)ccc1[N+](=O)[O-] ZINC000342856221 381588584 /nfs/dbraw/zinc/58/85/84/381588584.db2.gz WYOLYBHBUYJXBK-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000298368300 381595260 /nfs/dbraw/zinc/59/52/60/381595260.db2.gz CPZWWZSBJQSRON-SNVBAGLBSA-N 0 0 250.298 2.711 20 5 CFBDRN CCCCCNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342869530 381597488 /nfs/dbraw/zinc/59/74/88/381597488.db2.gz ZTWPAIBMDWXXNF-UHFFFAOYSA-N 0 0 275.308 2.996 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342948702 381634016 /nfs/dbraw/zinc/63/40/16/381634016.db2.gz MBWZKNFYMHJPOK-SECBINFHSA-N 0 0 287.319 2.995 20 5 CFBDRN CC1(C)CCCCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342948626 381634519 /nfs/dbraw/zinc/63/45/19/381634519.db2.gz WYVBHXAKNCHOSB-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN CNc1cccnc1CNc1ccc([N+](=O)[O-])cc1 ZINC000359837081 381634805 /nfs/dbraw/zinc/63/48/05/381634805.db2.gz ZUROKTLHAZRVTH-UHFFFAOYSA-N 0 0 258.281 2.644 20 5 CFBDRN CCOCCC(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000185450785 381636952 /nfs/dbraw/zinc/63/69/52/381636952.db2.gz OBWXZKRDZCBOJR-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN CCc1ncc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])cn1 ZINC000342991078 381641430 /nfs/dbraw/zinc/64/14/30/381641430.db2.gz BOMXCSDWRIWZKZ-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN C[C@@H]1CCC[C@@H](Cn2cc([N+](=O)[O-])ccc2=O)C1 ZINC000185479940 381646208 /nfs/dbraw/zinc/64/62/08/381646208.db2.gz HDDCDMSCXIEUDQ-GHMZBOCLSA-N 0 0 250.298 2.583 20 5 CFBDRN C[C@H]1CCC[C@H](Cn2cccc([N+](=O)[O-])c2=O)C1 ZINC000185527396 381658413 /nfs/dbraw/zinc/65/84/13/381658413.db2.gz PTGJJPZABJSMDV-QWRGUYRKSA-N 0 0 250.298 2.583 20 5 CFBDRN CC(C)(CCc1noc(-c2ccncc2Cl)n1)[N+](=O)[O-] ZINC000291087000 381663252 /nfs/dbraw/zinc/66/32/52/381663252.db2.gz OROSSCHERBBGIR-UHFFFAOYSA-N 0 0 296.714 2.773 20 5 CFBDRN Cc1cccc(C(=O)NC2Cc3ccccc3C2)c1[N+](=O)[O-] ZINC000185704186 381710645 /nfs/dbraw/zinc/71/06/45/381710645.db2.gz UHEFNMZKGAWUGE-UHFFFAOYSA-N 0 0 296.326 2.800 20 5 CFBDRN CCC1(C)CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000291129515 381676468 /nfs/dbraw/zinc/67/64/68/381676468.db2.gz VZYRTYJPDOTJPE-UHFFFAOYSA-N 0 0 298.289 2.945 20 5 CFBDRN C[C@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)c1cccnc1 ZINC000291129062 381676605 /nfs/dbraw/zinc/67/66/05/381676605.db2.gz WBZQHQHQILRIKX-JTQLQIEISA-N 0 0 290.323 2.604 20 5 CFBDRN COc1cccc(N(C)CCC2CC2)c1[N+](=O)[O-] ZINC000185608618 381680982 /nfs/dbraw/zinc/68/09/82/381680982.db2.gz KRUPOHPVFJWBJQ-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN Cc1cc(N2CC[C@@H](CO)C[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000359951992 381697039 /nfs/dbraw/zinc/69/70/39/381697039.db2.gz HHDGPBNLHVPIAH-GHMZBOCLSA-N 0 0 282.315 2.640 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCO[C@@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000343422582 381701202 /nfs/dbraw/zinc/70/12/02/381701202.db2.gz FOZILSQDJFHTPY-GHMZBOCLSA-N 0 0 296.371 2.642 20 5 CFBDRN CCCCCNC(=O)COc1cc(C)c([N+](=O)[O-])cc1F ZINC000185673296 381702664 /nfs/dbraw/zinc/70/26/64/381702664.db2.gz SAKLZIGOMFDJEN-UHFFFAOYSA-N 0 0 298.314 2.728 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC[C@@H](O)C(F)(F)F ZINC000185683356 381704025 /nfs/dbraw/zinc/70/40/25/381704025.db2.gz IZEXFSFYVFSKAT-SSDOTTSWSA-N 0 0 285.605 2.550 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3nc[nH]c3C2)c(F)cc1[N+](=O)[O-] ZINC000359970273 381704730 /nfs/dbraw/zinc/70/47/30/381704730.db2.gz YNAKDKFRUSXABL-SECBINFHSA-N 0 0 290.298 2.735 20 5 CFBDRN CS[C@@H](CNC(=O)c1ccc([N+](=O)[O-])o1)C(C)(C)C ZINC000343459984 381727337 /nfs/dbraw/zinc/72/73/37/381727337.db2.gz XEFADCKDONFQII-VIFPVBQESA-N 0 0 286.353 2.695 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2cc(C)c([N+](=O)[O-])cc2F)[C@H]1OC ZINC000360044919 381747913 /nfs/dbraw/zinc/74/79/13/381747913.db2.gz AUWBMGBLXHFHHW-MRVWCRGKSA-N 0 0 298.314 2.647 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000185865106 381759351 /nfs/dbraw/zinc/75/93/51/381759351.db2.gz LTRDJGHWPLWWSR-NWDGAFQWSA-N 0 0 262.309 2.503 20 5 CFBDRN O=C(NCC1CCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000298788963 381750543 /nfs/dbraw/zinc/75/05/43/381750543.db2.gz PKDWWPKISBPKHW-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CC(C)CSc1nc2cc([N+](=O)[O-])ccc2c(=O)n1C ZINC000185758815 381724599 /nfs/dbraw/zinc/72/45/99/381724599.db2.gz PKHWGVSAGQKCHG-UHFFFAOYSA-N 0 0 293.348 2.590 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC1(F)F ZINC000298720506 381724570 /nfs/dbraw/zinc/72/45/70/381724570.db2.gz RVIVYFWSLKKGIC-MRVPVSSYSA-N 0 0 286.234 2.587 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)[C@@H]2CCCC[C@@H]21 ZINC000360548753 381785073 /nfs/dbraw/zinc/78/50/73/381785073.db2.gz XPYWFPMMUYEVHG-IJLUTSLNSA-N 0 0 291.351 2.584 20 5 CFBDRN O=C(NCCOC1CCCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000298982112 381791951 /nfs/dbraw/zinc/79/19/51/381791951.db2.gz NQEHJVPNJDCDPK-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H]1C1CCC1 ZINC000360954729 381795949 /nfs/dbraw/zinc/79/59/49/381795949.db2.gz SQFUYQVUEPLRCF-LBPRGKRZSA-N 0 0 278.356 2.889 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000298902804 381778135 /nfs/dbraw/zinc/77/81/35/381778135.db2.gz BWNKSFSTHYACMX-MFKMUULPSA-N 0 0 296.298 2.878 20 5 CFBDRN CC/C=C/CCn1c(=O)onc1-c1ccc([N+](=O)[O-])cc1 ZINC000186094678 381823204 /nfs/dbraw/zinc/82/32/04/381823204.db2.gz SUNXXGZIWBRYOJ-ONEGZZNKSA-N 0 0 289.291 2.768 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc([C@H]3CCOC3)cc2)nc1 ZINC000292758661 381823928 /nfs/dbraw/zinc/82/39/28/381823928.db2.gz KCERYDJVIISAHC-NSHDSACASA-N 0 0 286.291 2.632 20 5 CFBDRN CC[C@H](C)N(CC(=O)OC)Cc1ccc([N+](=O)[O-])cc1C ZINC000343595500 381826305 /nfs/dbraw/zinc/82/63/05/381826305.db2.gz BLSJLUPAXIEOML-LBPRGKRZSA-N 0 0 294.351 2.677 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000415333087 381830273 /nfs/dbraw/zinc/83/02/73/381830273.db2.gz IOLMHBDFIFKNIN-QMMMGPOBSA-N 0 0 272.251 2.849 20 5 CFBDRN CCOC(=O)CCCOc1cccc(Cl)c1[N+](=O)[O-] ZINC000292779704 381832621 /nfs/dbraw/zinc/83/26/21/381832621.db2.gz YJTKEXHQXJZTSG-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CC3CCOCC3)c2)c1 ZINC000349142423 381832864 /nfs/dbraw/zinc/83/28/64/381832864.db2.gz IEWNEIKNEIHPGG-UHFFFAOYSA-N 0 0 287.319 2.885 20 5 CFBDRN Cn1c(C(=O)NC2CCC(C)(C)CC2)ccc1[N+](=O)[O-] ZINC000356311756 381842661 /nfs/dbraw/zinc/84/26/61/381842661.db2.gz WEYFVAYZTUNSLS-UHFFFAOYSA-N 0 0 279.340 2.632 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2c(F)cc(F)cc2C1 ZINC000361047118 381801877 /nfs/dbraw/zinc/80/18/77/381801877.db2.gz WDXPPIQFBNNUFC-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000299057000 381809793 /nfs/dbraw/zinc/80/97/93/381809793.db2.gz MVLDQLCMLPZBKK-NSHDSACASA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000299059684 381810270 /nfs/dbraw/zinc/81/02/70/381810270.db2.gz QZMREMJFEPGXCG-BXKDBHETSA-N 0 0 277.324 2.754 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000299059690 381810368 /nfs/dbraw/zinc/81/03/68/381810368.db2.gz QZMREMJFEPGXCG-SKDRFNHKSA-N 0 0 277.324 2.754 20 5 CFBDRN CSc1cccc(C(=O)N(C)C2CC2)c1[N+](=O)[O-] ZINC000186302307 381876802 /nfs/dbraw/zinc/87/68/02/381876802.db2.gz UNJZAJOUGVLXFH-UHFFFAOYSA-N 0 0 266.322 2.551 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000299283908 381882781 /nfs/dbraw/zinc/88/27/81/381882781.db2.gz FSCKLTJMIXQHJE-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN CO[C@@H](CNc1c(C)cccc1[N+](=O)[O-])C1CC1 ZINC000292814546 381848236 /nfs/dbraw/zinc/84/82/36/381848236.db2.gz OKTVRKGVBSWKOI-LBPRGKRZSA-N 0 0 250.298 2.740 20 5 CFBDRN C[C@H](CNc1c([N+](=O)[O-])ncn1C)c1ccc(F)cc1 ZINC000299172151 381851156 /nfs/dbraw/zinc/85/11/56/381851156.db2.gz QHFRKUMYQBGFQE-SECBINFHSA-N 0 0 278.287 2.683 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000415512840 381851528 /nfs/dbraw/zinc/85/15/28/381851528.db2.gz CRAHURPIOCWXCI-CQSZACIVSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1cc(C)c(OC[C@H](O)CCOC(C)C)c([N+](=O)[O-])c1 ZINC000349219028 381852845 /nfs/dbraw/zinc/85/28/45/381852845.db2.gz VJFNDCMSUGORAI-CYBMUJFWSA-N 0 0 297.351 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ncccc1F ZINC000349246894 381863287 /nfs/dbraw/zinc/86/32/87/381863287.db2.gz YIEZHPMZUGUHEY-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN C[C@H](C(=O)NCc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000186345670 381886792 /nfs/dbraw/zinc/88/67/92/381886792.db2.gz XUQXAWDJWZEXLM-QMMMGPOBSA-N 0 0 282.727 2.911 20 5 CFBDRN CCCCS(=O)(=O)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC000292922696 381904213 /nfs/dbraw/zinc/90/42/13/381904213.db2.gz YEUWJARPFZPGAW-UHFFFAOYSA-N 0 0 293.728 2.757 20 5 CFBDRN CCOC1(CNc2ccc([N+](=O)[O-])cn2)CCCC1 ZINC000292934272 381909797 /nfs/dbraw/zinc/90/97/97/381909797.db2.gz ZPMSFELGIJLHOW-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN Cc1c(NC(=O)NC[C@@H]2CC23CC3)cccc1[N+](=O)[O-] ZINC000416733229 381910448 /nfs/dbraw/zinc/91/04/48/381910448.db2.gz LNFSRHGVQXYEHR-JTQLQIEISA-N 0 0 275.308 2.825 20 5 CFBDRN Cc1cc(NC(=O)C[C@H]2C[C@@H]2C)c2cc([N+](=O)[O-])ccc2n1 ZINC000362114609 381917555 /nfs/dbraw/zinc/91/75/55/381917555.db2.gz USBMDYPLNWGGMZ-GXSJLCMTSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1cc(NC(=O)C[C@@H]2C[C@H]2C)c2cc([N+](=O)[O-])ccc2n1 ZINC000362114610 381917839 /nfs/dbraw/zinc/91/78/39/381917839.db2.gz USBMDYPLNWGGMZ-KOLCDFICSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2csc(C3CCCC3)n2)c1 ZINC000299402172 381925891 /nfs/dbraw/zinc/92/58/91/381925891.db2.gz LATJCJDUQRARAA-UHFFFAOYSA-N 0 0 278.337 2.954 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000343759126 381927192 /nfs/dbraw/zinc/92/71/92/381927192.db2.gz HJGNQZYLMRFQDQ-IUCAKERBSA-N 0 0 258.281 2.748 20 5 CFBDRN Cn1ccnc1-c1noc(/C=C\c2ccccc2[N+](=O)[O-])n1 ZINC000356968195 381931329 /nfs/dbraw/zinc/93/13/29/381931329.db2.gz ARDATBJYHDTSBW-SREVYHEPSA-N 0 0 297.274 2.549 20 5 CFBDRN CSCCCCCNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000186363191 381890704 /nfs/dbraw/zinc/89/07/04/381890704.db2.gz CYMGJPZWPDDZOU-UHFFFAOYSA-N 0 0 297.380 2.639 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)CC(F)(F)F)cccc1[N+](=O)[O-] ZINC000362062613 381893152 /nfs/dbraw/zinc/89/31/52/381893152.db2.gz UGTPASCBCMSFPA-SSDOTTSWSA-N 0 0 290.241 2.974 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000343808054 381955794 /nfs/dbraw/zinc/95/57/94/381955794.db2.gz MAWRWPQQFWZROU-BXKDBHETSA-N 0 0 289.335 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@H]1C[C@H]1C(F)F)CCN2 ZINC000343814021 381958960 /nfs/dbraw/zinc/95/89/60/381958960.db2.gz UYABGWCNVFTOHB-APPZFPTMSA-N 0 0 269.251 2.628 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000349585828 381965772 /nfs/dbraw/zinc/96/57/72/381965772.db2.gz MIHQZKPWHOQFHG-VWYCJHECSA-N 0 0 291.351 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2CF)c(F)c1 ZINC000293054320 381968521 /nfs/dbraw/zinc/96/85/21/381968521.db2.gz NEBOPXNIWYYTLW-LLVKDONJSA-N 0 0 256.252 2.668 20 5 CFBDRN CC(C)(C)CC(=O)COc1ccccc1[N+](=O)[O-] ZINC000343831971 381970571 /nfs/dbraw/zinc/97/05/71/381970571.db2.gz NRJIINJGOXNWQU-UHFFFAOYSA-N 0 0 251.282 2.979 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000299424926 381937406 /nfs/dbraw/zinc/93/74/06/381937406.db2.gz VUMQLLUTWUGJEL-JTQLQIEISA-N 0 0 298.314 2.616 20 5 CFBDRN COC1(c2ccccc2)CN(c2ccccc2[N+](=O)[O-])C1 ZINC000343800826 381950268 /nfs/dbraw/zinc/95/02/68/381950268.db2.gz BZPRKYIPCYLRQW-UHFFFAOYSA-N 0 0 284.315 2.957 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000362187923 381953166 /nfs/dbraw/zinc/95/31/66/381953166.db2.gz MGFRZDLCOSFRHC-QMMMGPOBSA-N 0 0 290.241 2.594 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1C[C@@H]1C ZINC000362191712 381954803 /nfs/dbraw/zinc/95/48/03/381954803.db2.gz REIMTEIKZNEYRR-UWVGGRQHSA-N 0 0 278.308 2.978 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CCOCC1CC1 ZINC000362342843 382016272 /nfs/dbraw/zinc/01/62/72/382016272.db2.gz CMPZCEGTIXVTDI-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000293150800 382009934 /nfs/dbraw/zinc/00/99/34/382009934.db2.gz SKHMIVRHSDNPQM-UONOGXRCSA-N 0 0 293.319 2.843 20 5 CFBDRN Cc1nc(C)c(CSCc2c([N+](=O)[O-])ncn2C)s1 ZINC000357031101 381975231 /nfs/dbraw/zinc/97/52/31/381975231.db2.gz VWSVWACEZQDPKQ-UHFFFAOYSA-N 0 0 298.393 2.835 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCC1(c2ccccc2)CCC1 ZINC000357036774 381979905 /nfs/dbraw/zinc/97/99/05/381979905.db2.gz KTHUWVPGQRVIFL-UHFFFAOYSA-N 0 0 283.331 2.946 20 5 CFBDRN COCC[C@@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000416993011 381981953 /nfs/dbraw/zinc/98/19/53/381981953.db2.gz HZOAZAKIFDNIDN-SNVBAGLBSA-N 0 0 295.339 2.697 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCOCC3(CCCC3)C2)c1 ZINC000374539705 382049043 /nfs/dbraw/zinc/04/90/43/382049043.db2.gz YZYLDKPMXLAADY-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN CC(C)c1nnc(CN[C@H](C)c2ccccc2[N+](=O)[O-])[nH]1 ZINC000293261187 382051601 /nfs/dbraw/zinc/05/16/01/382051601.db2.gz RYGGUZSKWCFCTH-SNVBAGLBSA-N 0 0 289.339 2.687 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N(C)Cc1ccccc1 ZINC000301062739 382070077 /nfs/dbraw/zinc/07/00/77/382070077.db2.gz DYADHFBAVHPPLO-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN CC1(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)CC1 ZINC000357217091 382062415 /nfs/dbraw/zinc/06/24/15/382062415.db2.gz KACYWQXLZVYYQE-UHFFFAOYSA-N 0 0 274.276 2.871 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC1CCSCC1 ZINC000299653992 382016878 /nfs/dbraw/zinc/01/68/78/382016878.db2.gz WKAUVVRHFXHDPS-ZZXKWVIFSA-N 0 0 292.360 2.620 20 5 CFBDRN CCc1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2CC)co1 ZINC000362345267 382017134 /nfs/dbraw/zinc/01/71/34/382017134.db2.gz LQISYWMPCBVEMS-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@@H](C)CC(=O)[O-])cc1[N+](=O)[O-] ZINC000362349340 382020826 /nfs/dbraw/zinc/02/08/26/382020826.db2.gz URZMVYPYRAGMDX-JTQLQIEISA-N 0 0 280.324 2.671 20 5 CFBDRN COc1cc(NCCc2cc(C)no2)c([N+](=O)[O-])cc1F ZINC000343934577 382028617 /nfs/dbraw/zinc/02/86/17/382028617.db2.gz GIOUJRBQTAYGIA-UHFFFAOYSA-N 0 0 295.270 2.694 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H]2C2CC2)c1 ZINC000362373560 382033667 /nfs/dbraw/zinc/03/36/67/382033667.db2.gz MQQBCOOLOZTUTK-GHMZBOCLSA-N 0 0 276.292 2.592 20 5 CFBDRN Cc1cc(CCNc2c(F)cccc2[N+](=O)[O-])on1 ZINC000343960102 382037692 /nfs/dbraw/zinc/03/76/92/382037692.db2.gz QPYUOQNMTWQLFX-UHFFFAOYSA-N 0 0 265.244 2.685 20 5 CFBDRN CCOC(C)(C)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000186899932 382040603 /nfs/dbraw/zinc/04/06/03/382040603.db2.gz NEVNFEGHKVUHNY-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN COC1(c2ccccc2)CN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000376262077 382127962 /nfs/dbraw/zinc/12/79/62/382127962.db2.gz LSKMTKLQUIUEHQ-UHFFFAOYSA-N 0 0 299.330 2.660 20 5 CFBDRN CCCC[C@H]1CCC[C@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301209202 382107520 /nfs/dbraw/zinc/10/75/20/382107520.db2.gz VIRXXAMKWNULIL-VHSXEESVSA-N 0 0 279.344 2.738 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ncc([N+](=O)[O-])cc1C ZINC000301224804 382110772 /nfs/dbraw/zinc/11/07/72/382110772.db2.gz FPKIEQRWBUUQQG-GWCFXTLKSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](O)c2ccccc2C1 ZINC000375888029 382111597 /nfs/dbraw/zinc/11/15/97/382111597.db2.gz FMIRLJRVVICMGL-OAHLLOKOSA-N 0 0 299.330 2.742 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1 ZINC000301235075 382115385 /nfs/dbraw/zinc/11/53/85/382115385.db2.gz LKIAKVUOAOQGJO-ZWNOBZJWSA-N 0 0 252.314 2.804 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000301236984 382116448 /nfs/dbraw/zinc/11/64/48/382116448.db2.gz IDNUISKMYHQMPC-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN NC(=O)c1cccc(Oc2ncc([N+](=O)[O-])cc2Cl)c1 ZINC000301250314 382120686 /nfs/dbraw/zinc/12/06/86/382120686.db2.gz BEUMCPVXSZSTLJ-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1CCNc1ccc([N+](=O)[O-])cn1 ZINC000301078930 382076128 /nfs/dbraw/zinc/07/61/28/382076128.db2.gz HOYFVZOJEPNYOF-GFCCVEGCSA-N 0 0 298.346 2.853 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC[N@H+]1C[C@H](C)[C@H]1C ZINC000293328568 382080543 /nfs/dbraw/zinc/08/05/43/382080543.db2.gz GHRJNXUYZIQKFD-NWDGAFQWSA-N 0 0 291.351 2.572 20 5 CFBDRN COCc1ccc(CNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000301118933 382086057 /nfs/dbraw/zinc/08/60/57/382086057.db2.gz ZMNXAUPOHVYLFM-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN CC[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2C(=O)NC)C1 ZINC000301124677 382086663 /nfs/dbraw/zinc/08/66/63/382086663.db2.gz USJFNKAOXBAKRY-NSHDSACASA-N 0 0 291.351 2.581 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCc1cccc(C)c1 ZINC000301124823 382086683 /nfs/dbraw/zinc/08/66/83/382086683.db2.gz VFEKFYYKBNZIEN-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Cc1occc1CN(C)c1nccc(C)c1[N+](=O)[O-] ZINC000301261576 382126809 /nfs/dbraw/zinc/12/68/09/382126809.db2.gz FOMGIMQLIHPBNJ-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H](O)Cc1ccccc1 ZINC000301178500 382100261 /nfs/dbraw/zinc/10/02/61/382100261.db2.gz GZEDVHQBLSBBFL-CYBMUJFWSA-N 0 0 272.304 2.610 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1Nc1cn[nH]c1 ZINC000301190898 382101214 /nfs/dbraw/zinc/10/12/14/382101214.db2.gz RTUZWTYVUYSCQE-UHFFFAOYSA-N 0 0 283.085 2.824 20 5 CFBDRN Cc1cc(Cn2cccc([N+](=O)[O-])c2=O)c2ccccc2n1 ZINC000344166340 382174834 /nfs/dbraw/zinc/17/48/34/382174834.db2.gz RVHMIOXUFXGUPR-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN C[C@H]1C[C@H](CO)CCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000344172768 382178105 /nfs/dbraw/zinc/17/81/05/382178105.db2.gz PNZCSBNCCCVCIU-WDEREUQCSA-N 0 0 298.770 2.841 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCS[C@@H]2CCCC[C@@H]21 ZINC000301575511 382178770 /nfs/dbraw/zinc/17/87/70/382178770.db2.gz PYVXYCLPYSDTNS-CMPLNLGQSA-N 0 0 279.365 2.854 20 5 CFBDRN COc1cc(N2C[C@@H]3CCC[C@@H]3C2)c([N+](=O)[O-])cc1F ZINC000301619982 382182017 /nfs/dbraw/zinc/18/20/17/382182017.db2.gz CIRLVBRWVXSSEU-AOOOYVTPSA-N 0 0 280.299 2.979 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c(C)n1C ZINC000362534759 382131473 /nfs/dbraw/zinc/13/14/73/382131473.db2.gz RDLIIMFVUSNUPV-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CC(C)OCCCNc1ncc([N+](=O)[O-])cc1Cl ZINC000301280321 382134979 /nfs/dbraw/zinc/13/49/79/382134979.db2.gz NZHTXTZLLCMXQE-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN CNC(=O)c1ccc(N2CCCCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000301287948 382138950 /nfs/dbraw/zinc/13/89/50/382138950.db2.gz PAKVACVDVOMLDZ-NSHDSACASA-N 0 0 291.351 2.723 20 5 CFBDRN CCCN(C[C@H]1CCCCO1)c1ncccc1[N+](=O)[O-] ZINC000301296474 382142069 /nfs/dbraw/zinc/14/20/69/382142069.db2.gz VUPNQNYPSSYEGA-GFCCVEGCSA-N 0 0 279.340 2.775 20 5 CFBDRN NC(=O)[C@@H]1CCC[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000301331859 382150920 /nfs/dbraw/zinc/15/09/20/382150920.db2.gz APHRYUUQMAUFBN-SCZZXKLOSA-N 0 0 297.742 2.704 20 5 CFBDRN NC(=O)[C@H]1CCC[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000301331861 382150931 /nfs/dbraw/zinc/15/09/31/382150931.db2.gz APHRYUUQMAUFBN-WPRPVWTQSA-N 0 0 297.742 2.704 20 5 CFBDRN COc1cccc(CNC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000344138996 382152997 /nfs/dbraw/zinc/15/29/97/382152997.db2.gz AQXQYKXHQXBHKE-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN COc1cccc(F)c1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000187317459 382153742 /nfs/dbraw/zinc/15/37/42/382153742.db2.gz SPWLAUHTTMYBBE-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCC[C@@]2(C)CO)c([N+](=O)[O-])c1 ZINC000301348106 382153936 /nfs/dbraw/zinc/15/39/36/382153936.db2.gz IUJBSBUUTGRZOY-CABCVRRESA-N 0 0 292.335 2.760 20 5 CFBDRN O=C(Nc1cccc2ocnc21)c1cccc([N+](=O)[O-])c1 ZINC000357403355 382154161 /nfs/dbraw/zinc/15/41/61/382154161.db2.gz UWMOHEXMIBVAME-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN Cc1cc(-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)n[nH]1 ZINC000357403204 382154824 /nfs/dbraw/zinc/15/48/24/382154824.db2.gz TWPOHCHQBWFZQF-UHFFFAOYSA-N 0 0 299.290 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCOC2CCC2)nc1 ZINC000344153171 382162598 /nfs/dbraw/zinc/16/25/98/382162598.db2.gz YXNXWAHNMZVICQ-UHFFFAOYSA-N 0 0 254.311 2.651 20 5 CFBDRN Cc1ccc(NCCCNC(=O)C2CCC2)c([N+](=O)[O-])c1 ZINC000301388195 382164350 /nfs/dbraw/zinc/16/43/50/382164350.db2.gz XDLIPOWCXRSGBF-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN CCCC[C@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])C1CCC1 ZINC000362602135 382168752 /nfs/dbraw/zinc/16/87/52/382168752.db2.gz NQZDPQQKGNOXLS-NSHDSACASA-N 0 0 294.355 2.715 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC[C@H](O)C(C)C ZINC000301408697 382170446 /nfs/dbraw/zinc/17/04/46/382170446.db2.gz IQNGRDMJUZUTEH-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN CC(C)[C@H](O)CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000301409682 382171057 /nfs/dbraw/zinc/17/10/57/382171057.db2.gz JIJFSOLQDFCNIL-CQSZACIVSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1c(NCc2nnc(C3CC3)[nH]2)cccc1[N+](=O)[O-] ZINC000187399702 382172435 /nfs/dbraw/zinc/17/24/35/382172435.db2.gz JUFVZKCFOMSOES-UHFFFAOYSA-N 0 0 273.296 2.511 20 5 CFBDRN Cc1cccc(OCCc2cscn2)c1[N+](=O)[O-] ZINC000418925855 382194909 /nfs/dbraw/zinc/19/49/09/382194909.db2.gz SQMDGOZXTXAKOQ-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CC[C@H](C2CC2)C1 ZINC000362684261 382198843 /nfs/dbraw/zinc/19/88/43/382198843.db2.gz HECRKMZCPXIUNT-NPQIQWPPSA-N 0 0 286.331 2.867 20 5 CFBDRN CC1(Nc2ccc([N+](=O)[O-])cn2)Cc2ccccc2C1 ZINC000301944396 382200528 /nfs/dbraw/zinc/20/05/28/382200528.db2.gz YVHMXLGOPLSUBU-UHFFFAOYSA-N 0 0 269.304 2.959 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344208485 382200565 /nfs/dbraw/zinc/20/05/65/382200565.db2.gz HTBCSXJEDLKYHO-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@@H]2CCOC2)c2cccnc21 ZINC000418958499 382201358 /nfs/dbraw/zinc/20/13/58/382201358.db2.gz GHSZJGKHETXEQS-NSHDSACASA-N 0 0 288.303 2.948 20 5 CFBDRN Cc1cc(N(CCO)CC2CCCCC2)ncc1[N+](=O)[O-] ZINC000362693802 382202103 /nfs/dbraw/zinc/20/21/03/382202103.db2.gz OHGZCSAVEBKPBQ-UHFFFAOYSA-N 0 0 293.367 2.677 20 5 CFBDRN COCC[C@@H](C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000187534064 382203850 /nfs/dbraw/zinc/20/38/50/382203850.db2.gz LNLHSZZHJJNOEA-LLVKDONJSA-N 0 0 294.351 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(OC3CCC3)CC2)cn1 ZINC000418976853 382204884 /nfs/dbraw/zinc/20/48/84/382204884.db2.gz VUEXUMLELKUCRX-UHFFFAOYSA-N 0 0 277.324 2.528 20 5 CFBDRN CCc1cc(N2CCc3ccc([N+](=O)[O-])cc3C2)nc(C)n1 ZINC000302098110 382206711 /nfs/dbraw/zinc/20/67/11/382206711.db2.gz OVEHCEIKXRSNJX-UHFFFAOYSA-N 0 0 298.346 2.818 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])cc1F)CC(C)C ZINC000419000748 382212433 /nfs/dbraw/zinc/21/24/33/382212433.db2.gz YIJCOCPRVROCGG-JTQLQIEISA-N 0 0 271.292 2.602 20 5 CFBDRN CO[C@@H](CNc1nc(C)ccc1[N+](=O)[O-])CC(C)C ZINC000418998787 382212546 /nfs/dbraw/zinc/21/25/46/382212546.db2.gz WIYSGALURSJDFQ-LLVKDONJSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1c(Nc2ncc([N+](=O)[O-])cn2)cccc1N(C)C ZINC000302212743 382214091 /nfs/dbraw/zinc/21/40/91/382214091.db2.gz HISQUNUWASIDGA-UHFFFAOYSA-N 0 0 273.296 2.503 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2C[C@@](C)(O)C2)c([N+](=O)[O-])c1 ZINC000419002299 382214569 /nfs/dbraw/zinc/21/45/69/382214569.db2.gz STCIXRBUNQCBHD-WJONMLJTSA-N 0 0 278.308 2.513 20 5 CFBDRN C[C@@H](CCC1CC1)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000302220639 382217632 /nfs/dbraw/zinc/21/76/32/382217632.db2.gz NAXLKDCAWUMOJT-VIFPVBQESA-N 0 0 275.312 2.628 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1C[C@H]2CCCCC[C@H]21 ZINC000362838014 382218592 /nfs/dbraw/zinc/21/85/92/382218592.db2.gz GSHODWGAZSRCCW-UKRRQHHQSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1ccc(N2CC3(C2)CCCOC3)c([N+](=O)[O-])c1 ZINC000377153998 382227662 /nfs/dbraw/zinc/22/76/62/382227662.db2.gz XBQNUDGVWNOIEE-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000352131977 382186659 /nfs/dbraw/zinc/18/66/59/382186659.db2.gz XOXSVAPNPRXQDR-SECBINFHSA-N 0 0 294.355 2.579 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344187167 382187755 /nfs/dbraw/zinc/18/77/55/382187755.db2.gz WBYUFHOZHFYBKS-VIFPVBQESA-N 0 0 268.288 2.850 20 5 CFBDRN Cc1csc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000344189433 382188912 /nfs/dbraw/zinc/18/89/12/382188912.db2.gz NJBAUYQPUJZFBO-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CCC(CC)CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344194930 382191694 /nfs/dbraw/zinc/19/16/94/382191694.db2.gz AHAUTCHAYAZZHL-UHFFFAOYSA-N 0 0 265.313 2.779 20 5 CFBDRN Cc1nn(CC[C@H]2CCOC2)c2ccc([N+](=O)[O-])cc12 ZINC000418916801 382192858 /nfs/dbraw/zinc/19/28/58/382192858.db2.gz SLOVSSWWAOZJFV-NSHDSACASA-N 0 0 275.308 2.680 20 5 CFBDRN Cc1cccc(C[C@@H](CO)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000302619137 382278330 /nfs/dbraw/zinc/27/83/30/382278330.db2.gz DVAJLROEBMIGOA-HNNXBMFYSA-N 0 0 286.331 2.919 20 5 CFBDRN Cc1c(CN2CCC[C@@H]2c2ncon2)cccc1[N+](=O)[O-] ZINC000377495454 382278438 /nfs/dbraw/zinc/27/84/38/382278438.db2.gz OTAGWBYVGUITOI-CYBMUJFWSA-N 0 0 288.307 2.623 20 5 CFBDRN CSCCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352359092 382288906 /nfs/dbraw/zinc/28/89/06/382288906.db2.gz BVERVLUVIJSEJN-UHFFFAOYSA-N 0 0 277.349 2.730 20 5 CFBDRN COCCCN(C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352360939 382289055 /nfs/dbraw/zinc/28/90/55/382289055.db2.gz OWWAKFYLZDJEQI-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]2(CO)C[C@H]2c2ccccc2)cn1 ZINC000357603988 382247951 /nfs/dbraw/zinc/24/79/51/382247951.db2.gz RJIBVTMITZXELG-HOCLYGCPSA-N 0 0 299.330 2.568 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000377283852 382248012 /nfs/dbraw/zinc/24/80/12/382248012.db2.gz VHELDIBPMCGBJO-LSDHHAIUSA-N 0 0 297.314 2.756 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc3sccc3c2)nc1 ZINC000357608225 382249930 /nfs/dbraw/zinc/24/99/30/382249930.db2.gz MAKCHFBMGZOJPQ-UHFFFAOYSA-N 0 0 286.316 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1ccco1)CCN2 ZINC000302479525 382255114 /nfs/dbraw/zinc/25/51/14/382255114.db2.gz WDCPZKKIVMWAOF-UHFFFAOYSA-N 0 0 259.265 2.768 20 5 CFBDRN Cc1cccc(NC[C@H](C)Cn2cccn2)c1[N+](=O)[O-] ZINC000302516352 382261457 /nfs/dbraw/zinc/26/14/57/382261457.db2.gz VKIDMKFIGNKZHM-NSHDSACASA-N 0 0 274.324 2.848 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NOC[C@@H](C)CC)c1 ZINC000293748160 382263068 /nfs/dbraw/zinc/26/30/68/382263068.db2.gz OVTCLPIBRSFDIK-JTQLQIEISA-N 0 0 296.323 2.701 20 5 CFBDRN COCC[C@H]1CCCCN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000364123028 382267404 /nfs/dbraw/zinc/26/74/04/382267404.db2.gz WZYFGZPJOYNQNA-CYBMUJFWSA-N 0 0 293.367 2.941 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2C[C@]23CCOC3)c([N+](=O)[O-])c1 ZINC000364130184 382269999 /nfs/dbraw/zinc/26/99/99/382269999.db2.gz RRVDZBQQSHGYPJ-NHYWBVRUSA-N 0 0 291.303 2.544 20 5 CFBDRN COc1cc(Nc2ccc([N+](=O)[O-])cn2)ccc1F ZINC000302571327 382271301 /nfs/dbraw/zinc/27/13/01/382271301.db2.gz ZEGYSTWDOKGURG-UHFFFAOYSA-N 0 0 263.228 2.881 20 5 CFBDRN COC[C@@H]1CCCCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000364153226 382274627 /nfs/dbraw/zinc/27/46/27/382274627.db2.gz MJNDOKSMKJQBND-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN CS(=O)(=O)c1cc([N+](=O)[O-])ccc1Nc1ccccc1 ZINC000302724427 382302673 /nfs/dbraw/zinc/30/26/73/382302673.db2.gz RBNNKZKHCADVGX-UHFFFAOYSA-N 0 0 292.316 2.742 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC[C@H]1c1ccco1 ZINC000364326421 382306561 /nfs/dbraw/zinc/30/65/61/382306561.db2.gz PBNWUXSJJSDPBS-ZDUSSCGKSA-N 0 0 274.276 2.766 20 5 CFBDRN CC(C)n1cc(-c2nc3cc([N+](=O)[O-])ccc3n2C)cn1 ZINC000352398381 382307733 /nfs/dbraw/zinc/30/77/33/382307733.db2.gz BMQAVXDCKQRVPD-UHFFFAOYSA-N 0 0 285.307 2.926 20 5 CFBDRN Cc1ccnc(N2CCOc3ccc([N+](=O)[O-])cc3C2)c1 ZINC000357776762 382316310 /nfs/dbraw/zinc/31/63/10/382316310.db2.gz WXBSPVIVYDTYOK-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN COC(=O)c1cnc(NCC(C)(C)C(C)C)c([N+](=O)[O-])c1 ZINC000302785080 382318041 /nfs/dbraw/zinc/31/80/41/382318041.db2.gz VFLCDLIOCJQCNK-UHFFFAOYSA-N 0 0 295.339 2.871 20 5 CFBDRN Cc1ccc(N(C)[C@@H](C)C(=O)NC(C)(C)C)c([N+](=O)[O-])c1 ZINC000302827429 382329421 /nfs/dbraw/zinc/32/94/21/382329421.db2.gz BJWQGDIKAVVYRO-NSHDSACASA-N 0 0 293.367 2.643 20 5 CFBDRN Cc1cc(N[C@H]2CC2(C)C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000352431129 382329588 /nfs/dbraw/zinc/32/95/88/382329588.db2.gz KWQLDRXPEDNYLN-ZDUSSCGKSA-N 0 0 298.346 2.993 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCCn1ccnn1 ZINC000302832048 382330831 /nfs/dbraw/zinc/33/08/31/382330831.db2.gz RBYSMHSFFYAHIP-UHFFFAOYSA-N 0 0 295.730 2.650 20 5 CFBDRN COc1cccc(NC[C@@H]2CCC[C@@H]2OC)c1[N+](=O)[O-] ZINC000346111983 382332444 /nfs/dbraw/zinc/33/24/44/382332444.db2.gz VGEGJPAMLAILGW-JQWIXIFHSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1ccc(CN2C[C@@H](CO)c3ccccc32)cc1[N+](=O)[O-] ZINC000293929441 382340644 /nfs/dbraw/zinc/34/06/44/382340644.db2.gz NIJXNLAUVNNDQI-AWEZNQCLSA-N 0 0 298.342 2.999 20 5 CFBDRN CC(C)CC[C@H](C)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000302702532 382296500 /nfs/dbraw/zinc/29/65/00/382296500.db2.gz BNMCIAHCRGFNCO-JTQLQIEISA-N 0 0 277.328 2.874 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)[C@@H](C)c1ccccc1 ZINC000352379306 382297849 /nfs/dbraw/zinc/29/78/49/382297849.db2.gz FMQULBWXYATROL-GHMZBOCLSA-N 0 0 287.319 2.845 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@H](n2ccnc2)C1 ZINC000364300866 382300136 /nfs/dbraw/zinc/30/01/36/382300136.db2.gz MKXXCGPFEXNUSN-CYBMUJFWSA-N 0 0 286.335 2.941 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@H]2CCCCC[C@H]21 ZINC000378082665 382396328 /nfs/dbraw/zinc/39/63/28/382396328.db2.gz IKBRMCRTBJOAOM-DGCLKSJQSA-N 0 0 274.320 3.000 20 5 CFBDRN Cc1nn(Cc2cc(F)cc(F)c2)c(C)c1[N+](=O)[O-] ZINC000188131695 382352803 /nfs/dbraw/zinc/35/28/03/382352803.db2.gz SINDHKUSBNSICO-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000357867030 382356249 /nfs/dbraw/zinc/35/62/49/382356249.db2.gz NQXAVHCYGZLIOQ-JTQLQIEISA-N 0 0 294.376 2.944 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCCO[C@@H]2CCC[C@@H]21 ZINC000364868986 382356392 /nfs/dbraw/zinc/35/63/92/382356392.db2.gz IYZPRJQJIDMCJL-CMPLNLGQSA-N 0 0 297.742 2.791 20 5 CFBDRN CSCCCCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000188169221 382360840 /nfs/dbraw/zinc/36/08/40/382360840.db2.gz RJPDKSRPHJKMBD-UHFFFAOYSA-N 0 0 288.394 2.919 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1cc(F)ccc1Cl ZINC000188191759 382363761 /nfs/dbraw/zinc/36/37/61/382363761.db2.gz WFWBCIOXHOMUTF-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000346527233 382397292 /nfs/dbraw/zinc/39/72/92/382397292.db2.gz ISDPITIIOLBKJZ-JTQLQIEISA-N 0 0 262.309 2.903 20 5 CFBDRN O=[N+]([O-])c1cnn(CCN2CC3(CCC3)c3ccccc32)c1 ZINC000365276431 382369700 /nfs/dbraw/zinc/36/97/00/382369700.db2.gz RJMXMQWEVWEMMV-UHFFFAOYSA-N 0 0 298.346 2.733 20 5 CFBDRN Cc1ccc(C(=O)N2CCOC(C)(C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000357920695 382374813 /nfs/dbraw/zinc/37/48/13/382374813.db2.gz DFPGTWYWDJXACF-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cc(CN2C[C@@]3(CCCO3)[C@H]2C2CC2)cs1 ZINC000294014157 382378131 /nfs/dbraw/zinc/37/81/31/382378131.db2.gz MZUKAUGBUCZVHK-KGLIPLIRSA-N 0 0 294.376 2.800 20 5 CFBDRN Cc1cc(N2CCC[C@](CO)(C(C)C)C2)ncc1[N+](=O)[O-] ZINC000294033096 382386978 /nfs/dbraw/zinc/38/69/78/382386978.db2.gz LJYPNBFMBBQJME-HNNXBMFYSA-N 0 0 293.367 2.533 20 5 CFBDRN C[C@H](CCn1cc([N+](=O)[O-])cn1)OCc1ccccc1 ZINC000352554439 382394357 /nfs/dbraw/zinc/39/43/57/382394357.db2.gz RYTYUVLLAAFIKH-GFCCVEGCSA-N 0 0 275.308 2.787 20 5 CFBDRN Cc1c(COc2ccc3c(c2)C(=O)NC3)cccc1[N+](=O)[O-] ZINC000352554173 382394650 /nfs/dbraw/zinc/39/46/50/382394650.db2.gz QEYSXIBWWPHWQS-UHFFFAOYSA-N 0 0 298.298 2.726 20 5 CFBDRN Cc1cnc(COc2cc([N+](=O)[O-])ccc2C)cn1 ZINC000352562784 382395651 /nfs/dbraw/zinc/39/56/51/382395651.db2.gz MRTSYJGHZAKIIO-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000188490011 382422619 /nfs/dbraw/zinc/42/26/19/382422619.db2.gz KFLZQDRZBXUJAM-JTQLQIEISA-N 0 0 274.276 2.987 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)CCO1 ZINC000420615562 382427145 /nfs/dbraw/zinc/42/71/45/382427145.db2.gz DOGRPRUTSZVQES-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@@H]1CC[C@H](COc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000188533439 382429935 /nfs/dbraw/zinc/42/99/35/382429935.db2.gz LULFUUJMKAUSQQ-PSASIEDQSA-N 0 0 255.245 2.680 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CCC1CC1 ZINC000346614788 382438230 /nfs/dbraw/zinc/43/82/30/382438230.db2.gz NKIXGVGDJRDACO-VIFPVBQESA-N 0 0 277.324 2.607 20 5 CFBDRN CCOc1cc(OCC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000188581105 382438229 /nfs/dbraw/zinc/43/82/29/382438229.db2.gz HLOWPZJQBPDNKN-LLVKDONJSA-N 0 0 281.308 2.941 20 5 CFBDRN Cc1cc(N2CCC[C@H](CCO)CC2)ccc1[N+](=O)[O-] ZINC000420639372 382439507 /nfs/dbraw/zinc/43/95/07/382439507.db2.gz KTOYQQAWXGRYQY-ZDUSSCGKSA-N 0 0 278.352 2.892 20 5 CFBDRN CCOc1cc(OCC(=O)OCC(C)C)ccc1[N+](=O)[O-] ZINC000188605724 382442388 /nfs/dbraw/zinc/44/23/88/382442388.db2.gz AANDGYJEDNUCBO-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@H](CCO)CC1 ZINC000420647306 382443916 /nfs/dbraw/zinc/44/39/16/382443916.db2.gz XILRVAVMTBSDQD-CYBMUJFWSA-N 0 0 278.352 2.892 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1CF ZINC000294173906 382445951 /nfs/dbraw/zinc/44/59/51/382445951.db2.gz STCCBLLAJPAEOS-LLVKDONJSA-N 0 0 298.339 2.647 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1CF ZINC000294173910 382446064 /nfs/dbraw/zinc/44/60/64/382446064.db2.gz STCCBLLAJPAEOS-NSHDSACASA-N 0 0 298.339 2.647 20 5 CFBDRN CCC1(NC(=O)c2cccc([N+](=O)[O-])c2N)CCCC1 ZINC000294175709 382446100 /nfs/dbraw/zinc/44/61/00/382446100.db2.gz DBPKDDWTZGSFPB-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN COC[C@H]1CCN1C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000294062107 382399622 /nfs/dbraw/zinc/39/96/22/382399622.db2.gz ZWNZJVIJHUPHDW-GFCCVEGCSA-N 0 0 292.335 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1ccc(F)cn1)CC2 ZINC000346536820 382402347 /nfs/dbraw/zinc/40/23/47/382402347.db2.gz WJRQFCZBXZMPOD-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CC23CCSCC3)c1[N+](=O)[O-] ZINC000420656925 382446578 /nfs/dbraw/zinc/44/65/78/382446578.db2.gz VRSCISVCXJJKKS-SNVBAGLBSA-N 0 0 296.396 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC[C@H](n2cccn2)C1 ZINC000365564895 382414685 /nfs/dbraw/zinc/41/46/85/382414685.db2.gz PZICAMPGCIRTTM-LBPRGKRZSA-N 0 0 290.298 2.772 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CC(CC)(CC)C2)c1[N+](=O)[O-] ZINC000294108552 382418346 /nfs/dbraw/zinc/41/83/46/382418346.db2.gz JKTMJOPEQGZPJN-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN CC(C)(NC(=O)Cc1ccco1)c1ccccc1[N+](=O)[O-] ZINC000294230500 382469767 /nfs/dbraw/zinc/46/97/67/382469767.db2.gz VLOFMAZPNHRJHZ-UHFFFAOYSA-N 0 0 288.303 2.782 20 5 CFBDRN C[C@H]1CCC[C@@]1(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000294289244 382495667 /nfs/dbraw/zinc/49/56/67/382495667.db2.gz XZNJPSJKDQCJRA-QPUJVOFHSA-N 0 0 285.731 2.606 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000358187230 382495912 /nfs/dbraw/zinc/49/59/12/382495912.db2.gz QVBQZJOFBGVRKK-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN COc1cc(C(=O)NC2CCCCC2)c([N+](=O)[O-])cc1F ZINC000294248598 382477589 /nfs/dbraw/zinc/47/75/89/382477589.db2.gz OLTHLTQHYXIEDJ-UHFFFAOYSA-N 0 0 296.298 2.805 20 5 CFBDRN CC[C@@H](C)CNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000420855770 382478832 /nfs/dbraw/zinc/47/88/32/382478832.db2.gz XANUANQOBZYWTF-GFCCVEGCSA-N 0 0 293.367 2.825 20 5 CFBDRN C[C@@H](Cc1cccs1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294262105 382483684 /nfs/dbraw/zinc/48/36/84/382483684.db2.gz GPMKUKDLGHQTJB-JTQLQIEISA-N 0 0 284.381 2.631 20 5 CFBDRN Cc1c(NC(=O)CCC(C)(C)[N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000294259731 382483812 /nfs/dbraw/zinc/48/38/12/382483812.db2.gz GEXZSPIHRKNJST-UHFFFAOYSA-N 0 0 295.295 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@H]1CCO ZINC000310626462 382496812 /nfs/dbraw/zinc/49/68/12/382496812.db2.gz KHBDBWPNUFTSKZ-JTQLQIEISA-N 0 0 270.716 2.599 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000358180236 382491165 /nfs/dbraw/zinc/49/11/65/382491165.db2.gz KDLJKMZABNYKBF-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN COc1c(-c2nc([C@@H]3CCCO3)no2)cccc1[N+](=O)[O-] ZINC000346805543 382520587 /nfs/dbraw/zinc/52/05/87/382520587.db2.gz ZDLYBETXZXLDMH-JTQLQIEISA-N 0 0 291.263 2.505 20 5 CFBDRN O=C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000421418910 382529480 /nfs/dbraw/zinc/52/94/80/382529480.db2.gz DOKBENQUBJBQCA-RAIGVLPGSA-N 0 0 286.331 2.920 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC12CCC(CC1)C2 ZINC000378643805 382500295 /nfs/dbraw/zinc/50/02/95/382500295.db2.gz BJYHJGJCDMBVAM-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000310977796 382500412 /nfs/dbraw/zinc/50/04/12/382500412.db2.gz XFWPZNGLBHJCRG-IONNQARKSA-N 0 0 254.261 2.953 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000380648200 382514986 /nfs/dbraw/zinc/51/49/86/382514986.db2.gz WUGGVHWTVYEAFO-NXEZZACHSA-N 0 0 277.324 2.507 20 5 CFBDRN CO[C@@H](C)CN(C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000353201902 382528800 /nfs/dbraw/zinc/52/88/00/382528800.db2.gz OFGQDNOLRUGZRE-VIFPVBQESA-N 0 0 272.732 2.715 20 5 CFBDRN CC1(O)C[C@H]2CC[C@@H](C1)N2c1ncc([N+](=O)[O-])cc1Cl ZINC000366685793 382541088 /nfs/dbraw/zinc/54/10/88/382541088.db2.gz WTQHODIRHQHQRT-PHXKMMTBSA-N 0 0 297.742 2.525 20 5 CFBDRN Cc1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)ccn1 ZINC000346846174 382542821 /nfs/dbraw/zinc/54/28/21/382542821.db2.gz PWZWEAFLYDTYEJ-UHFFFAOYSA-N 0 0 297.270 2.719 20 5 CFBDRN CCCN(C)C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421457760 382544206 /nfs/dbraw/zinc/54/42/06/382544206.db2.gz XOZJYPLXNWGUOT-UHFFFAOYSA-N 0 0 250.298 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@](C)(F)C2)n1 ZINC000294931506 382544341 /nfs/dbraw/zinc/54/43/41/382544341.db2.gz KPNMVYKESPCFAW-LBPRGKRZSA-N 0 0 253.277 2.627 20 5 CFBDRN CC1(C)OCC[C@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000328364296 382547609 /nfs/dbraw/zinc/54/76/09/382547609.db2.gz GMAYOFKAJKLVOK-SECBINFHSA-N 0 0 271.704 2.623 20 5 CFBDRN Cc1nn(-c2ccccc2)cc1Nc1c([N+](=O)[O-])ncn1C ZINC000358286424 382548699 /nfs/dbraw/zinc/54/86/99/382548699.db2.gz NWAFXIYJFSQRKS-UHFFFAOYSA-N 0 0 298.306 2.566 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2=CCCCC2)n1 ZINC000294958113 382550825 /nfs/dbraw/zinc/55/08/25/382550825.db2.gz NESACMORQQQQMI-UHFFFAOYSA-N 0 0 291.307 2.689 20 5 CFBDRN CC[C@@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000358295939 382554302 /nfs/dbraw/zinc/55/43/02/382554302.db2.gz RYWDGFIRAJMHAL-SECBINFHSA-N 0 0 265.313 2.501 20 5 CFBDRN C[C@@H](CCCO)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000384550865 382554690 /nfs/dbraw/zinc/55/46/90/382554690.db2.gz IFHVEBGHRWSREV-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@H](CC(C)(C)O)Nc1c(F)cccc1[N+](=O)[O-] ZINC000384774437 382557926 /nfs/dbraw/zinc/55/79/26/382557926.db2.gz SJWQVCZVSNCNKK-QMMMGPOBSA-N 0 0 256.277 2.695 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@@H]3CCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000295006421 382559796 /nfs/dbraw/zinc/55/97/96/382559796.db2.gz SIRAEWTUIZVZPZ-MNOVXSKESA-N 0 0 276.340 2.708 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@H](C)C1 ZINC000421502835 382561258 /nfs/dbraw/zinc/56/12/58/382561258.db2.gz FUCSMCHQWIPWMY-VXGBXAGGSA-N 0 0 292.335 2.509 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000421507916 382563161 /nfs/dbraw/zinc/56/31/61/382563161.db2.gz LHZKVQLYFWLESF-UFBFGSQYSA-N 0 0 284.262 2.817 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000421510624 382565080 /nfs/dbraw/zinc/56/50/80/382565080.db2.gz NLFACZLBQWDHCX-KOLCDFICSA-N 0 0 260.293 2.572 20 5 CFBDRN CC(C)[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000346902511 382566131 /nfs/dbraw/zinc/56/61/31/382566131.db2.gz YRSBHFDMKMYBIS-QMMMGPOBSA-N 0 0 296.270 2.973 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC(CF)CC1 ZINC000295049211 382566459 /nfs/dbraw/zinc/56/64/59/382566459.db2.gz UKEZGFZZHTZEAT-UHFFFAOYSA-N 0 0 282.315 2.927 20 5 CFBDRN Cc1nc(N[C@H]2CCCO[C@H]2CC(C)C)ncc1[N+](=O)[O-] ZINC000294825744 382532189 /nfs/dbraw/zinc/53/21/89/382532189.db2.gz AEYJYORLEKKTDG-AAEUAGOBSA-N 0 0 294.355 2.699 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H](C)[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000294870426 382535279 /nfs/dbraw/zinc/53/52/79/382535279.db2.gz GUYSTRRCERNBFK-IUCAKERBSA-N 0 0 296.298 2.613 20 5 CFBDRN CCn1nccc1NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421433626 382535557 /nfs/dbraw/zinc/53/55/57/382535557.db2.gz VQXSGBVIBAXUQZ-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1ccco1 ZINC000346836206 382536128 /nfs/dbraw/zinc/53/61/28/382536128.db2.gz WQFWWWPTOIUDNL-UHFFFAOYSA-N 0 0 290.275 2.517 20 5 CFBDRN Cc1c(C[N@@H+](C)C2(C(=O)[O-])CCCC2)cccc1[N+](=O)[O-] ZINC000386232591 382584966 /nfs/dbraw/zinc/58/49/66/382584966.db2.gz QWKMBDDJPMREIU-UHFFFAOYSA-N 0 0 292.335 2.732 20 5 CFBDRN COC(=O)c1cnc(SCCC(C)C)c([N+](=O)[O-])c1 ZINC000189329440 382594160 /nfs/dbraw/zinc/59/41/60/382594160.db2.gz RPAIXTABQAXSQV-UHFFFAOYSA-N 0 0 284.337 2.915 20 5 CFBDRN CC[C@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@@H](C)O ZINC000386949617 382594430 /nfs/dbraw/zinc/59/44/30/382594430.db2.gz XESOPSZDIQFWFE-KOLCDFICSA-N 0 0 275.308 2.714 20 5 CFBDRN CC[C@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@H](C)O ZINC000386949619 382594517 /nfs/dbraw/zinc/59/45/17/382594517.db2.gz XESOPSZDIQFWFE-ONGXEEELSA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@H]1CCCCCN1S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000358378334 382594797 /nfs/dbraw/zinc/59/47/97/382594797.db2.gz BXTIXXXUJNXRLZ-NSHDSACASA-N 0 0 298.364 2.548 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000295263161 382602605 /nfs/dbraw/zinc/60/26/05/382602605.db2.gz GGTXOZLVMMNZER-JOYOIKCWSA-N 0 0 262.309 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OC[C@@H](O)CC(F)(F)F ZINC000189416270 382606342 /nfs/dbraw/zinc/60/63/42/382606342.db2.gz KRLVPMICLYDTML-ZETCQYMHSA-N 0 0 299.632 2.940 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1O[C@H](C)C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000295282966 382607446 /nfs/dbraw/zinc/60/74/46/382607446.db2.gz HVYYFWXRZLYCID-BBGACYKPSA-N 0 0 292.335 2.586 20 5 CFBDRN Cc1cc(OC[C@H](O)CC(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000189428735 382608476 /nfs/dbraw/zinc/60/84/76/382608476.db2.gz NSCLONJOMPRQAD-SSDOTTSWSA-N 0 0 297.204 2.734 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000389277812 382613580 /nfs/dbraw/zinc/61/35/80/382613580.db2.gz JVVPNFQMTMFHLL-VHSXEESVSA-N 0 0 268.288 2.879 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000189471378 382613709 /nfs/dbraw/zinc/61/37/09/382613709.db2.gz MNNNXOYJHOGIEI-GHMZBOCLSA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1c(C(=O)N2C[C@H](C)O[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000353736582 382621483 /nfs/dbraw/zinc/62/14/83/382621483.db2.gz XUCCKTFUJBBYQY-WCQGTBRESA-N 0 0 292.335 2.541 20 5 CFBDRN COc1cc(C(=O)N[C@H]2C=CCCC2)cc([N+](=O)[O-])c1C ZINC000189280659 382581382 /nfs/dbraw/zinc/58/13/82/382581382.db2.gz QDPXEIBNNXQWBS-LBPRGKRZSA-N 0 0 290.319 2.750 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H]1CC12CC2 ZINC000421565990 382582516 /nfs/dbraw/zinc/58/25/16/382582516.db2.gz YJHOLHGHWOVZDN-LLVKDONJSA-N 0 0 274.320 2.742 20 5 CFBDRN COc1cc(C(=O)N2CCCCC2)cc([N+](=O)[O-])c1C ZINC000190193167 382656354 /nfs/dbraw/zinc/65/63/54/382656354.db2.gz OFBLFBZXHKKLDY-UHFFFAOYSA-N 0 0 278.308 2.538 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000190330659 382662730 /nfs/dbraw/zinc/66/27/30/382662730.db2.gz HQFVJTDSJFGKQA-RKDXNWHRSA-N 0 0 283.353 2.811 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCCSC)c1 ZINC000090794859 184616587 /nfs/dbraw/zinc/61/65/87/184616587.db2.gz OHQCJPXCGHGKMB-UHFFFAOYSA-N 0 0 256.327 2.768 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H]1CC[C@@H](C)O1 ZINC000190650327 382676246 /nfs/dbraw/zinc/67/62/46/382676246.db2.gz FCEUPKKGNDFFDD-MWLCHTKSSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1ccc(OCC2(O)CCC(C)CC2)cc1[N+](=O)[O-] ZINC000190670458 382677090 /nfs/dbraw/zinc/67/70/90/382677090.db2.gz VMABHMLMNOAWLD-UHFFFAOYSA-N 0 0 295.335 2.923 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000190676888 382677362 /nfs/dbraw/zinc/67/73/62/382677362.db2.gz NTMKCAVCTNURPF-JOYOIKCWSA-N 0 0 279.292 2.744 20 5 CFBDRN Cc1cc(OC[C@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000191024848 382688692 /nfs/dbraw/zinc/68/86/92/382688692.db2.gz VEFSPKWSMBYWSX-ZYHUDNBSSA-N 0 0 251.282 2.850 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000191027507 382688816 /nfs/dbraw/zinc/68/88/16/382688816.db2.gz XBERQUSZIALRPV-SECBINFHSA-N 0 0 267.281 2.801 20 5 CFBDRN CC[C@H](COC)NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191320309 382698352 /nfs/dbraw/zinc/69/83/52/382698352.db2.gz PPMFOHGOWYIPJI-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)CCCC(C)C)n1 ZINC000191451928 382700698 /nfs/dbraw/zinc/70/06/98/382700698.db2.gz KPVJMOVGYANURK-NSHDSACASA-N 0 0 296.371 2.756 20 5 CFBDRN Cc1cc(OC[C@@H]2CC3(CCC3)C(=O)O2)ccc1[N+](=O)[O-] ZINC000191711703 382702094 /nfs/dbraw/zinc/70/20/94/382702094.db2.gz UNSCKALQBNTUHI-LBPRGKRZSA-N 0 0 291.303 2.768 20 5 CFBDRN CC[C@@H](C)CC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000191933486 382703468 /nfs/dbraw/zinc/70/34/68/382703468.db2.gz CRAACVYJVNZSHT-SNVBAGLBSA-N 0 0 250.298 2.994 20 5 CFBDRN CCC(C)(C)CNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000192190467 382705459 /nfs/dbraw/zinc/70/54/59/382705459.db2.gz FPOYMAUFMAQAHL-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN CCc1nc(CNc2ncc([N+](=O)[O-])s2)cs1 ZINC000192452263 382709056 /nfs/dbraw/zinc/70/90/56/382709056.db2.gz JINJXUANTFLDPA-UHFFFAOYSA-N 0 0 270.339 2.682 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC[C@@H]1CCCCO1 ZINC000192458186 382709124 /nfs/dbraw/zinc/70/91/24/382709124.db2.gz IDQPTQPBHQKOHD-LBPRGKRZSA-N 0 0 292.335 2.592 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNc1ncc([N+](=O)[O-])s1 ZINC000192462451 382709191 /nfs/dbraw/zinc/70/91/91/382709191.db2.gz BQFGNJCCCZZWGL-LLVKDONJSA-N 0 0 273.358 2.650 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCCO1 ZINC000192504868 382709518 /nfs/dbraw/zinc/70/95/18/382709518.db2.gz PKYYJQZZERZTEP-NSHDSACASA-N 0 0 278.308 2.719 20 5 CFBDRN CC[C@H](C)CN(C)c1c([N+](=O)[O-])c(C)nn1CC ZINC000192585838 382710333 /nfs/dbraw/zinc/71/03/33/382710333.db2.gz SZIGYEBJLIUVOB-VIFPVBQESA-N 0 0 254.334 2.602 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCCCC[C@@H]2CC)c1[N+](=O)[O-] ZINC000192605182 382710595 /nfs/dbraw/zinc/71/05/95/382710595.db2.gz QRCOQPGZNPEZQF-JTQLQIEISA-N 0 0 294.355 2.675 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(CC)CC1 ZINC000192668767 382711009 /nfs/dbraw/zinc/71/10/09/382711009.db2.gz ORYTURLDFQUTPM-UHFFFAOYSA-N 0 0 252.318 2.722 20 5 CFBDRN C[C@@H](O)COc1ccc([N+](=O)[O-])cc1Cc1ccccc1 ZINC000193079376 382715368 /nfs/dbraw/zinc/71/53/68/382715368.db2.gz JLVBBONKMADFPA-GFCCVEGCSA-N 0 0 287.315 2.945 20 5 CFBDRN CC(C)C[C@H](CCO)CNc1cc(N)ccc1[N+](=O)[O-] ZINC000193461571 382719971 /nfs/dbraw/zinc/71/99/71/382719971.db2.gz YIAMMTLJSMEGFV-NSHDSACASA-N 0 0 281.356 2.634 20 5 CFBDRN CO[C@H](CNc1cc(N)ccc1[N+](=O)[O-])C(C)(C)C ZINC000193461663 382720006 /nfs/dbraw/zinc/72/00/06/382720006.db2.gz JBVWRIYLDBQZQS-GFCCVEGCSA-N 0 0 267.329 2.650 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC2(CCO)CCCCC2)c1 ZINC000193472458 382720050 /nfs/dbraw/zinc/72/00/50/382720050.db2.gz CEBOHQOGIHIKKO-UHFFFAOYSA-N 0 0 293.367 2.922 20 5 CFBDRN Cc1cc(NC(=O)NCC2CCC2)ccc1[N+](=O)[O-] ZINC000193685020 382722478 /nfs/dbraw/zinc/72/24/78/382722478.db2.gz HNVYAESQPQRLAH-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN COCCC(C)(C)CNc1ncc([N+](=O)[O-])s1 ZINC000193853008 382727018 /nfs/dbraw/zinc/72/70/18/382727018.db2.gz DVTZEJIKTIEMBA-UHFFFAOYSA-N 0 0 259.331 2.526 20 5 CFBDRN CSC1(CNC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000193956447 382730902 /nfs/dbraw/zinc/73/09/02/382730902.db2.gz BQJGBUAQXXIFFY-UHFFFAOYSA-N 0 0 295.364 2.920 20 5 CFBDRN C[C@@](O)(CNc1ccnc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000336846709 382734444 /nfs/dbraw/zinc/73/44/44/382734444.db2.gz BIVWHUNFQWXCBC-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1N)C1CCCCC1 ZINC000195212052 382764087 /nfs/dbraw/zinc/76/40/87/382764087.db2.gz ZBAKFJGAUKBPLN-UHFFFAOYSA-N 0 0 295.314 2.721 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337133158 382765664 /nfs/dbraw/zinc/76/56/64/382765664.db2.gz LZANVQIECZWTNQ-QPUJVOFHSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCO1 ZINC000219936138 382795161 /nfs/dbraw/zinc/79/51/61/382795161.db2.gz ANEJGCPRWYUQHR-RKDXNWHRSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cc(NC[C@@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000218844860 382790854 /nfs/dbraw/zinc/79/08/54/382790854.db2.gz JNDRXKUJBSVPQE-VIFPVBQESA-N 0 0 284.287 2.581 20 5 CFBDRN COc1cc(NCc2cc(C)no2)c(F)cc1[N+](=O)[O-] ZINC000218848010 382790929 /nfs/dbraw/zinc/79/09/29/382790929.db2.gz WYIYIHPLVXBNJD-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN Cc1cccc(N[C@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000218873175 382791092 /nfs/dbraw/zinc/79/10/92/382791092.db2.gz VTZHUPKPOJXILX-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN COC(=O)[C@]1(C)CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@H]1C ZINC000336921080 382742546 /nfs/dbraw/zinc/74/25/46/382742546.db2.gz RURWCMQELIJURZ-IAQYHMDHSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1CCC(F)(F)CC1 ZINC000336996846 382750236 /nfs/dbraw/zinc/75/02/36/382750236.db2.gz OQZWNFQPNVVLRT-UHFFFAOYSA-N 0 0 285.294 2.958 20 5 CFBDRN O=[N+]([O-])c1cnc(NC/C=C\c2ccccc2)s1 ZINC000337017838 382752637 /nfs/dbraw/zinc/75/26/37/382752637.db2.gz NOCDUCXAAQMLGM-DAXSKMNVSA-N 0 0 261.306 2.599 20 5 CFBDRN Cc1cc(OCCO[C@H]2CCCCO2)c(F)cc1[N+](=O)[O-] ZINC000194721669 382753156 /nfs/dbraw/zinc/75/31/56/382753156.db2.gz NKIZBZZFMMQACP-AWEZNQCLSA-N 0 0 299.298 2.964 20 5 CFBDRN O=C(COc1ccsc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000337022167 382753561 /nfs/dbraw/zinc/75/35/61/382753561.db2.gz XODWYWDBYJQBFY-UHFFFAOYSA-N 0 0 296.279 2.813 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000228258458 382845991 /nfs/dbraw/zinc/84/59/91/382845991.db2.gz NAQXUXOIFKXGOJ-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN COCCN(CC(C)C)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000228258752 382846140 /nfs/dbraw/zinc/84/61/40/382846140.db2.gz QMEDKMZGDPMMCO-UHFFFAOYSA-N 0 0 294.351 2.906 20 5 CFBDRN Cc1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000337298580 382797141 /nfs/dbraw/zinc/79/71/41/382797141.db2.gz LNSRQKTZFSONCB-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN COc1cc(NCC(C)(C)CCCO)ccc1[N+](=O)[O-] ZINC000221185494 382797641 /nfs/dbraw/zinc/79/76/41/382797641.db2.gz FVFAKXVBIRTDOP-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCc2noc(C(C)C)n2)c1C ZINC000575815898 382800725 /nfs/dbraw/zinc/80/07/25/382800725.db2.gz JCHUHJCEZVECSD-UHFFFAOYSA-N 0 0 292.295 2.692 20 5 CFBDRN Cc1ccc(NC[C@@H]2CCC[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC000223247341 382804746 /nfs/dbraw/zinc/80/47/46/382804746.db2.gz YPVJIIHPSBKETQ-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2F)[C@H]1C1CC1 ZINC000576208344 382814115 /nfs/dbraw/zinc/81/41/15/382814115.db2.gz KDDKBWWKCWGKBC-NSHDSACASA-N 0 0 265.288 2.754 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2F)[C@@H]1C1CC1 ZINC000576208343 382814226 /nfs/dbraw/zinc/81/42/26/382814226.db2.gz KDDKBWWKCWGKBC-LLVKDONJSA-N 0 0 265.288 2.754 20 5 CFBDRN CC(C)C(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000576367361 382820403 /nfs/dbraw/zinc/82/04/03/382820403.db2.gz NQTVZQCXQLHDRY-UHFFFAOYSA-N 0 0 250.298 2.759 20 5 CFBDRN C[C@H](O)C1CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000225740201 382821134 /nfs/dbraw/zinc/82/11/34/382821134.db2.gz RWRHZAJMEWGOFD-VIFPVBQESA-N 0 0 284.743 2.845 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000225750360 382821330 /nfs/dbraw/zinc/82/13/30/382821330.db2.gz DDXPLLKQABURKY-ZDUSSCGKSA-N 0 0 270.304 2.943 20 5 CFBDRN CCC(CC)[C@H](O)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000225825152 382822155 /nfs/dbraw/zinc/82/21/55/382822155.db2.gz UVUJLVGFPPACTP-CYBMUJFWSA-N 0 0 295.339 2.513 20 5 CFBDRN CCC(CC)[C@@H](O)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000225825134 382822286 /nfs/dbraw/zinc/82/22/86/382822286.db2.gz UVUJLVGFPPACTP-ZDUSSCGKSA-N 0 0 295.339 2.513 20 5 CFBDRN CC1(C)[C@H](Nc2ccccc2[N+](=O)[O-])[C@@H]2CCO[C@H]21 ZINC000227067167 382832596 /nfs/dbraw/zinc/83/25/96/382832596.db2.gz BOUCCILVDIZVHP-ZWKOPEQDSA-N 0 0 262.309 2.820 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000227356397 382836063 /nfs/dbraw/zinc/83/60/63/382836063.db2.gz BSENZZPUHSMNFP-QMMMGPOBSA-N 0 0 298.368 2.513 20 5 CFBDRN CC(C)C[C@H](C)Cn1cc([N+](=O)[O-])cc(F)c1=O ZINC000576822976 382837513 /nfs/dbraw/zinc/83/75/13/382837513.db2.gz LJZFWCIWILHUMK-VIFPVBQESA-N 0 0 256.277 2.578 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOCC(F)(F)F)c(Cl)c1 ZINC000227648800 382838850 /nfs/dbraw/zinc/83/88/50/382838850.db2.gz IEZUSKUDPCQOPC-UHFFFAOYSA-N 0 0 299.636 2.634 20 5 CFBDRN COC(=O)C[C@H](C)Sc1ncc([N+](=O)[O-])cc1Cl ZINC000227652514 382839058 /nfs/dbraw/zinc/83/90/58/382839058.db2.gz DRBPJTOAPJWEAN-LURJTMIESA-N 0 0 290.728 2.687 20 5 CFBDRN CSC1(CNc2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000227989494 382843520 /nfs/dbraw/zinc/84/35/20/382843520.db2.gz GXFFJMLMASLCKU-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@@H](c2cccnc2)C1 ZINC000578238527 382903474 /nfs/dbraw/zinc/90/34/74/382903474.db2.gz DIRKZGZKIWOMPV-CQSZACIVSA-N 0 0 297.314 2.620 20 5 CFBDRN CCn1ccc(CN[C@H](C)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000577362164 382856541 /nfs/dbraw/zinc/85/65/41/382856541.db2.gz IPLRTMJBIIBPDA-GFCCVEGCSA-N 0 0 288.351 2.970 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccncc2)c(Cl)c1 ZINC000230214604 382857363 /nfs/dbraw/zinc/85/73/63/382857363.db2.gz YEXZWDVOHOERME-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1nc(N[C@H](C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC000230217857 382857414 /nfs/dbraw/zinc/85/74/14/382857414.db2.gz OEUPXBLEXCMIHS-SECBINFHSA-N 0 0 265.313 2.525 20 5 CFBDRN CCC1(CNc2cc3c(cc2[N+](=O)[O-])OCC(=O)N3)CC1 ZINC000230215766 382857438 /nfs/dbraw/zinc/85/74/38/382857438.db2.gz MMHZMPUCDWFMJV-UHFFFAOYSA-N 0 0 291.307 2.528 20 5 CFBDRN C[C@H](Nc1nc2sccn2c1[N+](=O)[O-])C1CCOCC1 ZINC000230217257 382857492 /nfs/dbraw/zinc/85/74/92/382857492.db2.gz YZBBPGJYKNICAI-QMMMGPOBSA-N 0 0 296.352 2.531 20 5 CFBDRN CO[C@]1(C)CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000230279772 382858832 /nfs/dbraw/zinc/85/88/32/382858832.db2.gz RXCNTARYYUEVSY-CYBMUJFWSA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1ccc(N[C@@H]2CCO[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000230308914 382859068 /nfs/dbraw/zinc/85/90/68/382859068.db2.gz BSJYRUCLCFVCIK-OCCSQVGLSA-N 0 0 262.309 2.883 20 5 CFBDRN COc1cc(NCc2ccco2)c(F)cc1[N+](=O)[O-] ZINC000230354702 382859379 /nfs/dbraw/zinc/85/93/79/382859379.db2.gz GXFRYEJOJFJHGK-UHFFFAOYSA-N 0 0 266.228 2.948 20 5 CFBDRN CCCCCNC(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000337735994 382859808 /nfs/dbraw/zinc/85/98/08/382859808.db2.gz AEVUUBKJWFSKJR-UHFFFAOYSA-N 0 0 282.365 2.993 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]1C(F)F ZINC000337737571 382860006 /nfs/dbraw/zinc/86/00/06/382860006.db2.gz BCRJDFGBUPJBNB-BDAKNGLRSA-N 0 0 270.235 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@@H](O)C2)c(F)c1 ZINC000230909152 382863359 /nfs/dbraw/zinc/86/33/59/382863359.db2.gz JFJNUJGOWSELDA-GXSJLCMTSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2ncc([N+](=O)[O-])cn2)C1 ZINC000230873013 382863469 /nfs/dbraw/zinc/86/34/69/382863469.db2.gz SGKUSONXGGHTLR-UWVGGRQHSA-N 0 0 250.302 2.623 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1c1ncc([N+](=O)[O-])cc1F ZINC000577587810 382863971 /nfs/dbraw/zinc/86/39/71/382863971.db2.gz NUCJDVCERHEHIS-BDAKNGLRSA-N 0 0 253.277 2.896 20 5 CFBDRN Cc1ccc(NCCOCC(F)F)c([N+](=O)[O-])c1 ZINC000231678186 382866191 /nfs/dbraw/zinc/86/61/91/382866191.db2.gz VCQLXNQVINBYKQ-UHFFFAOYSA-N 0 0 260.240 2.597 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)CC(F)(F)F)c1F ZINC000234253261 382873553 /nfs/dbraw/zinc/87/35/53/382873553.db2.gz WKHQNAOAGMNROL-UHFFFAOYSA-N 0 0 294.204 2.677 20 5 CFBDRN Cc1cn(Cc2c(F)cccc2Cl)nc1[N+](=O)[O-] ZINC000337933269 382873900 /nfs/dbraw/zinc/87/39/00/382873900.db2.gz BBLVMYSHIJZNGG-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN Cc1cn(Cc2ncc(-c3ccccc3)o2)nc1[N+](=O)[O-] ZINC000337933222 382873902 /nfs/dbraw/zinc/87/39/02/382873902.db2.gz DOCLXDIKRKUJGS-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN C[C@H](C(=O)N(C)[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000577927227 382875397 /nfs/dbraw/zinc/87/53/97/382875397.db2.gz SNTBNZMFIKCPNP-GWCFXTLKSA-N 0 0 294.376 2.662 20 5 CFBDRN COc1c(C(=O)NC[C@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000533742878 382910493 /nfs/dbraw/zinc/91/04/93/382910493.db2.gz MRFXKESUQPRZMR-GHMZBOCLSA-N 0 0 292.335 2.769 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])NOCCC1CC1 ZINC000493709643 382879913 /nfs/dbraw/zinc/87/99/13/382879913.db2.gz SCIAWOMBDRLTPH-XQRVVYSFSA-N 0 0 294.282 2.595 20 5 CFBDRN CC[C@@H](F)CN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000440772891 382880577 /nfs/dbraw/zinc/88/05/77/382880577.db2.gz DPYQRPIVRSJSCF-GFCCVEGCSA-N 0 0 252.289 2.701 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2ccsc2)c1 ZINC000337965345 382882286 /nfs/dbraw/zinc/88/22/86/382882286.db2.gz ZKVVAHOUERRZJB-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000421660060 382887395 /nfs/dbraw/zinc/88/73/95/382887395.db2.gz ADUXOIGGKXDDSG-CHWSQXEVSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC[C@@H]1CC12CC2 ZINC000493730441 382887600 /nfs/dbraw/zinc/88/76/00/382887600.db2.gz XTDHXXVFINBOJC-FYJFLYSWSA-N 0 0 272.304 2.524 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC[C@@H]1CC12CC2 ZINC000493730439 382887603 /nfs/dbraw/zinc/88/76/03/382887603.db2.gz XTDHXXVFINBOJC-FJOGCWAESA-N 0 0 272.304 2.524 20 5 CFBDRN C[C@@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(C)(F)F ZINC000455341822 382898698 /nfs/dbraw/zinc/89/86/98/382898698.db2.gz XPVKQSLZTUYEAR-ZCFIWIBFSA-N 0 0 291.229 2.899 20 5 CFBDRN Cc1ccc(C(=O)NC(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000535031533 382944084 /nfs/dbraw/zinc/94/40/84/382944084.db2.gz RBWBKVLEHTZASJ-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@H]2C2CCC2)c(F)c1 ZINC000578745804 382950036 /nfs/dbraw/zinc/95/00/36/382950036.db2.gz GYGWQIHFZCVFRW-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@@H]1CF)c1cccc([N+](=O)[O-])c1 ZINC000578392306 382917578 /nfs/dbraw/zinc/91/75/78/382917578.db2.gz SGOVPOWEFNASFV-ZWNOBZJWSA-N 0 0 280.299 2.659 20 5 CFBDRN CC[C@H](C(=O)[O-])[N@@H+]1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000578524747 382929474 /nfs/dbraw/zinc/92/94/74/382929474.db2.gz QEJXEXHJWWNLAL-GXTWGEPZSA-N 0 0 292.335 2.637 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cccs1 ZINC000338144905 382933555 /nfs/dbraw/zinc/93/35/55/382933555.db2.gz NXONSTRIILOQHS-UHFFFAOYSA-N 0 0 290.344 2.971 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000457192808 382985211 /nfs/dbraw/zinc/98/52/11/382985211.db2.gz MEXJYZPQPVLYFB-VIFPVBQESA-N 0 0 279.340 2.732 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H](C1CC1)C1CCC1 ZINC000457224993 382996972 /nfs/dbraw/zinc/99/69/72/382996972.db2.gz SDJSMDFKSUWMDT-AWEZNQCLSA-N 0 0 289.335 2.607 20 5 CFBDRN CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000549184618 383001945 /nfs/dbraw/zinc/00/19/45/383001945.db2.gz SXYRQCCTFHQQKW-JQWIXIFHSA-N 0 0 294.355 2.653 20 5 CFBDRN Cc1ccc(CN2C[C@@H](C)OC[C@H]2C)cc1[N+](=O)[O-] ZINC000245513166 383003801 /nfs/dbraw/zinc/00/38/01/383003801.db2.gz CCCMEZDRNBPSRH-VXGBXAGGSA-N 0 0 264.325 2.512 20 5 CFBDRN C[C@H]1CCCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000457263138 383006323 /nfs/dbraw/zinc/00/63/23/383006323.db2.gz PJQVFPSDNGHBQI-ZANVPECISA-N 0 0 265.313 2.622 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1NC1CC1 ZINC000447806249 383009118 /nfs/dbraw/zinc/00/91/18/383009118.db2.gz MSMSGQJRDZOYHX-UHFFFAOYSA-N 0 0 270.672 2.609 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@@H]1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000457276637 383009943 /nfs/dbraw/zinc/00/99/43/383009943.db2.gz KIWAKAQPLLPJEG-WFASDCNBSA-N 0 0 291.351 2.732 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000457276543 383009948 /nfs/dbraw/zinc/00/99/48/383009948.db2.gz KFJFJHYZPSAOHV-HIFRSBDPSA-N 0 0 291.351 2.853 20 5 CFBDRN CC(C)[C@@H](C)N(C)c1ccc([N+](=O)[O-])c(N)c1F ZINC000443447280 382968120 /nfs/dbraw/zinc/96/81/20/382968120.db2.gz WAUQDDMJMCMEDC-MRVPVSSYSA-N 0 0 255.293 2.797 20 5 CFBDRN CCC(O)(CC)CCNc1cc(OC)ccc1[N+](=O)[O-] ZINC000338706888 383028356 /nfs/dbraw/zinc/02/83/56/383028356.db2.gz YDBRJARALJGHKM-UHFFFAOYSA-N 0 0 282.340 2.957 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000457344831 383028924 /nfs/dbraw/zinc/02/89/24/383028924.db2.gz OGWSVPXPDSHHDZ-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]2C(F)F)c1 ZINC000338725902 383031040 /nfs/dbraw/zinc/03/10/40/383031040.db2.gz LXOKQVZBUPNQSX-HTQZYQBOSA-N 0 0 258.224 2.669 20 5 CFBDRN C[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1cc[nH]c(=O)c1 ZINC000338735460 383033891 /nfs/dbraw/zinc/03/38/91/383033891.db2.gz YGGQBERCBJLNOH-SSDOTTSWSA-N 0 0 294.698 2.917 20 5 CFBDRN Cc1ccc(C[N@@H+]2CC[C@@H]3OCCC[C@H]3C2)cc1[N+](=O)[O-] ZINC000245788185 383036115 /nfs/dbraw/zinc/03/61/15/383036115.db2.gz VPMYKXXDIAKXQZ-HOCLYGCPSA-N 0 0 290.363 2.904 20 5 CFBDRN Cc1cccc(CSc2ccc([N+](=O)[O-])cn2)n1 ZINC000448038846 383043050 /nfs/dbraw/zinc/04/30/50/383043050.db2.gz MQEUTVDTYXAMED-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000246495563 383078869 /nfs/dbraw/zinc/07/88/69/383078869.db2.gz RMCNZNNSZKBZIF-SCVCMEIPSA-N 0 0 262.309 2.736 20 5 CFBDRN COC(=O)c1cncnc1/C=C/c1ccc([N+](=O)[O-])cc1C ZINC000448130779 383046290 /nfs/dbraw/zinc/04/62/90/383046290.db2.gz SRZKQWVSIMIOOZ-GQCTYLIASA-N 0 0 299.286 2.650 20 5 CFBDRN CN(Cc1c(F)cccc1F)c1ncc([N+](=O)[O-])s1 ZINC000338780430 383048753 /nfs/dbraw/zinc/04/87/53/383048753.db2.gz COKMHDJOCORLPV-UHFFFAOYSA-N 0 0 285.275 2.966 20 5 CFBDRN NC(=O)C[C@H](Nc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000338781604 383049724 /nfs/dbraw/zinc/04/97/24/383049724.db2.gz HKFZRMXTGRUZMC-ZDUSSCGKSA-N 0 0 285.303 2.623 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](CC3CC3)C2)s1 ZINC000338808069 383058312 /nfs/dbraw/zinc/05/83/12/383058312.db2.gz FVKJDGAEIKBAPI-VIFPVBQESA-N 0 0 253.327 2.678 20 5 CFBDRN Cc1ccc(NC(=O)C2(CF)CCOCC2)cc1[N+](=O)[O-] ZINC000448557597 383070254 /nfs/dbraw/zinc/07/02/54/383070254.db2.gz KFTDAZQJFRUTNG-UHFFFAOYSA-N 0 0 296.298 2.608 20 5 CFBDRN CC1(CSCc2cccc([N+](=O)[O-])c2)COC1 ZINC000596325121 383125854 /nfs/dbraw/zinc/12/58/54/383125854.db2.gz UKJPGIFLPDPTAA-UHFFFAOYSA-N 0 0 253.323 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1Nc1cc2n(n1)CCCC2 ZINC000553727626 383116914 /nfs/dbraw/zinc/11/69/14/383116914.db2.gz HFOJDAJBIDZZBW-UHFFFAOYSA-N 0 0 292.726 2.947 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000458261462 383145320 /nfs/dbraw/zinc/14/53/20/383145320.db2.gz QTWGRPHVAUFFQM-USWWRNFRSA-N 0 0 290.319 2.522 20 5 CFBDRN CCCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000517244474 383172092 /nfs/dbraw/zinc/17/20/92/383172092.db2.gz IAJJOLFWPKGFFB-UHFFFAOYSA-N 0 0 268.288 2.995 20 5 CFBDRN CO[C@@H](COc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000424089638 383178854 /nfs/dbraw/zinc/17/88/54/383178854.db2.gz JNFZAWQEMAZMGQ-NSHDSACASA-N 0 0 255.245 2.538 20 5 CFBDRN CO[C@@H](COc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000424096290 383179294 /nfs/dbraw/zinc/17/92/94/383179294.db2.gz ZLDZLONPPMASSG-LBPRGKRZSA-N 0 0 255.245 2.538 20 5 CFBDRN CCCCOCCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000339222389 383185055 /nfs/dbraw/zinc/18/50/55/383185055.db2.gz ZQRRKQSLOZCBON-UHFFFAOYSA-N 0 0 290.323 2.670 20 5 CFBDRN C[C@H](C(=O)NCCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000517642333 383188054 /nfs/dbraw/zinc/18/80/54/383188054.db2.gz WHVCUQPOABCCBS-QMMMGPOBSA-N 0 0 290.241 2.767 20 5 CFBDRN O=C(N[C@@H]1CCCCC12CCCC2)c1c[nH]nc1[N+](=O)[O-] ZINC000518456583 383197504 /nfs/dbraw/zinc/19/75/04/383197504.db2.gz SFHNHPNZIWEWQL-LLVKDONJSA-N 0 0 292.339 2.551 20 5 CFBDRN COc1ccc(OC[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000518474814 383198546 /nfs/dbraw/zinc/19/85/46/383198546.db2.gz AKNQLPTVIBOQSS-GHMZBOCLSA-N 0 0 281.308 2.797 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CCCC2(CC2)C1 ZINC000339097993 383147849 /nfs/dbraw/zinc/14/78/49/383147849.db2.gz SCAKDEJAEYKYHB-UHFFFAOYSA-N 0 0 262.309 2.676 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000458284239 383152506 /nfs/dbraw/zinc/15/25/06/383152506.db2.gz RNGAETXLLOPSOJ-ADEWGFFLSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2csc([N+](=O)[O-])c2)n1 ZINC000339115793 383154372 /nfs/dbraw/zinc/15/43/72/383154372.db2.gz TVXSGTSQAAOWJT-IHWYPQMZSA-N 0 0 263.278 2.631 20 5 CFBDRN COc1ccc(C=Cc2nc(C)cc(=O)[nH]2)cc1[N+](=O)[O-] ZINC000339115681 383155009 /nfs/dbraw/zinc/15/50/09/383155009.db2.gz QOTLDCAZKNCQJZ-XQRVVYSFSA-N 0 0 287.275 2.578 20 5 CFBDRN CO[C@@H](C)c1cccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000339147699 383166876 /nfs/dbraw/zinc/16/68/76/383166876.db2.gz AZQFGAGHPVVLND-VIFPVBQESA-N 0 0 289.291 2.883 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)C[C@@H]1F)c1ccccc1[N+](=O)[O-] ZINC000424758156 383252834 /nfs/dbraw/zinc/25/28/34/383252834.db2.gz RSKRKQHWESYPJV-LJUAHTATSA-N 0 0 266.272 2.520 20 5 CFBDRN O=C(NCCCF)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000520965935 383281183 /nfs/dbraw/zinc/28/11/83/383281183.db2.gz YTRMHUXJHQIFCX-UHFFFAOYSA-N 0 0 294.204 2.703 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC[C@H]1C1CC1 ZINC000449422390 383281340 /nfs/dbraw/zinc/28/13/40/383281340.db2.gz DBVGKHZZILLKMS-AWEZNQCLSA-N 0 0 276.336 2.978 20 5 CFBDRN COC[C@@]1(C)CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000449389923 383258737 /nfs/dbraw/zinc/25/87/37/383258737.db2.gz FBAGHPBUGJPRCY-LBPRGKRZSA-N 0 0 270.354 2.515 20 5 CFBDRN CCC[C@H](CNc1c(OC)cccc1[N+](=O)[O-])OC ZINC000567290856 383260590 /nfs/dbraw/zinc/26/05/90/383260590.db2.gz NYIFYVXOYLWUIZ-SNVBAGLBSA-N 0 0 268.313 2.830 20 5 CFBDRN CC1=CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000339657282 383276078 /nfs/dbraw/zinc/27/60/78/383276078.db2.gz DUJVOOSPHUZUKL-UHFFFAOYSA-N 0 0 250.273 2.886 20 5 CFBDRN COc1cc(C(=O)NC[C@H]2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000339503226 383221095 /nfs/dbraw/zinc/22/10/95/383221095.db2.gz GFRZXILATZFYBT-ZYHUDNBSSA-N 0 0 292.335 2.769 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000339506214 383221658 /nfs/dbraw/zinc/22/16/58/383221658.db2.gz CSZYIUKDCSJKKT-IUCAKERBSA-N 0 0 268.338 2.822 20 5 CFBDRN CC(C)CCCNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000248292550 383222569 /nfs/dbraw/zinc/22/25/69/383222569.db2.gz UXIUTUKOMZGTER-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN CC[C@H](NCc1ccc(OC)c([N+](=O)[O-])c1)C(F)F ZINC000449358767 383238030 /nfs/dbraw/zinc/23/80/30/383238030.db2.gz GLPYOMYRJXMOIR-VIFPVBQESA-N 0 0 274.267 2.737 20 5 CFBDRN COCCCCCn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000519825809 383239414 /nfs/dbraw/zinc/23/94/14/383239414.db2.gz LDFAEWAXWHWAJY-UHFFFAOYSA-N 0 0 290.319 2.726 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000425153790 383334060 /nfs/dbraw/zinc/33/40/60/383334060.db2.gz JQWUOIWOXQLJLA-CUZKYEQNSA-N 0 0 286.331 2.613 20 5 CFBDRN CSCCCCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000521138838 383286833 /nfs/dbraw/zinc/28/68/33/383286833.db2.gz WOZUUUSUYYEWMU-NSHDSACASA-N 0 0 296.392 2.958 20 5 CFBDRN C[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])C1CC(C)(C)C1 ZINC000459740422 383296160 /nfs/dbraw/zinc/29/61/60/383296160.db2.gz UGIJOPQKZSEDST-UHFFFAOYSA-N 0 0 264.325 2.921 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]1C1CCC1 ZINC000424978066 383302160 /nfs/dbraw/zinc/30/21/60/383302160.db2.gz HFZVEDVUXYFAGX-LSDHHAIUSA-N 0 0 288.347 2.832 20 5 CFBDRN Cc1cnc(OCc2cccc(C)n2)c([N+](=O)[O-])c1 ZINC000339740022 383302858 /nfs/dbraw/zinc/30/28/58/383302858.db2.gz CXYKGRSQJBODCI-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2C[C@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000424981270 383303247 /nfs/dbraw/zinc/30/32/47/383303247.db2.gz MISYTXJBBFJUJL-NWDGAFQWSA-N 0 0 290.319 2.522 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOC[C@H]2CC2CCC2)c1 ZINC000339778457 383315765 /nfs/dbraw/zinc/31/57/65/383315765.db2.gz VYNDHVPTXYJNHI-MRXNPFEDSA-N 0 0 290.363 2.986 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc(CO)c(F)c2)n1 ZINC000339782318 383316666 /nfs/dbraw/zinc/31/66/66/383316666.db2.gz ZDBRULSRIXVJDN-UHFFFAOYSA-N 0 0 291.282 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@@H](c2cccnc2)C1 ZINC000567796403 383317247 /nfs/dbraw/zinc/31/72/47/383317247.db2.gz AQOCSBBXFKHJBM-CYBMUJFWSA-N 0 0 299.330 2.685 20 5 CFBDRN COC[C@H](NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000525225771 383399468 /nfs/dbraw/zinc/39/94/68/383399468.db2.gz FZCZOJXHKHMYEQ-LBPRGKRZSA-N 0 0 295.339 2.696 20 5 CFBDRN CCOC(=O)c1ccnc(NC[C@@H](C)C(C)C)c1[N+](=O)[O-] ZINC000523513908 383356035 /nfs/dbraw/zinc/35/60/35/383356035.db2.gz DXQQNUPHYAWSMF-SNVBAGLBSA-N 0 0 295.339 2.871 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000468037947 383357059 /nfs/dbraw/zinc/35/70/59/383357059.db2.gz KLWLCFJHYWLYOZ-HNNXBMFYSA-N 0 0 291.351 2.926 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000340123919 383360499 /nfs/dbraw/zinc/36/04/99/383360499.db2.gz ZPJZPUGDHLKPHO-VIFPVBQESA-N 0 0 275.308 2.947 20 5 CFBDRN Cc1cc(NCC2([S@@](C)=O)CCC2)ccc1[N+](=O)[O-] ZINC000280686529 194930546 /nfs/dbraw/zinc/93/05/46/194930546.db2.gz FGOPPJVZNDAZEK-LJQANCHMSA-N 0 0 282.365 2.616 20 5 CFBDRN CO[C@H](C)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450470409 383425111 /nfs/dbraw/zinc/42/51/11/383425111.db2.gz RZGSLNUDQJESFO-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[N@H+](CC[C@H]1CCCO1)C2 ZINC000526056972 383425656 /nfs/dbraw/zinc/42/56/56/383425656.db2.gz HDNUZCFGMUAXTJ-CYBMUJFWSA-N 0 0 276.336 2.522 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CC[C@@H](F)C2)n1 ZINC000340912372 291827310 /nfs/dbraw/zinc/82/73/10/291827310.db2.gz JMUKCYSWYAHONL-ZJUUUORDSA-N 0 0 253.277 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCCC2CC(O)C2)c1 ZINC000450491109 383435391 /nfs/dbraw/zinc/43/53/91/383435391.db2.gz VZONGBIOCIOSSG-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN CCOc1cc(Oc2nnc(C)c(C)n2)ccc1[N+](=O)[O-] ZINC000428263381 383438639 /nfs/dbraw/zinc/43/86/39/383438639.db2.gz CQTHYXWCABJBPT-UHFFFAOYSA-N 0 0 290.279 2.588 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])nc1)c1ncc[nH]1 ZINC000450511694 383444195 /nfs/dbraw/zinc/44/41/95/383444195.db2.gz QREAYAKQOJTXDA-NSHDSACASA-N 0 0 261.285 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CC(C(F)(F)F)C2)cn1 ZINC000450526443 383447377 /nfs/dbraw/zinc/44/73/77/383447377.db2.gz GFKSLBHJJDOLNQ-UHFFFAOYSA-N 0 0 261.203 2.743 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@H](Nc2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000413221514 532984507 /nfs/dbraw/zinc/98/45/07/532984507.db2.gz JLCRAOJXWHQELG-PPKCKEKNSA-N 0 0 283.303 2.600 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@@H](CO)C1CC1 ZINC000450537418 383450640 /nfs/dbraw/zinc/45/06/40/383450640.db2.gz DGHWWBIZURZCCE-NSHDSACASA-N 0 0 287.319 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2[C@H]2CCC[C@@H]2O)cn1 ZINC000450688326 383482123 /nfs/dbraw/zinc/48/21/23/383482123.db2.gz WJTGNSPNYCVRAF-MCIONIFRSA-N 0 0 291.351 2.510 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1Cc3cccnc3C1)CCCC2 ZINC000569098850 383467228 /nfs/dbraw/zinc/46/72/28/383467228.db2.gz PPZBIYQNVDENHS-UHFFFAOYSA-N 0 0 296.330 2.784 20 5 CFBDRN CC1(F)CC(Nc2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000450581033 383469166 /nfs/dbraw/zinc/46/91/66/383469166.db2.gz OZFUQPNCEPTJHA-UHFFFAOYSA-N 0 0 259.668 2.946 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(O[C@H]2C[C@@H](C)CCC2=O)n1 ZINC000450898151 291827274 /nfs/dbraw/zinc/82/72/74/291827274.db2.gz SQQHHCYRXQLUCF-UFBFGSQYSA-N 0 0 278.308 2.743 20 5 CFBDRN NC(=O)c1cccc(NCC2=CCCCC2)c1[N+](=O)[O-] ZINC000450585417 383471315 /nfs/dbraw/zinc/47/13/15/383471315.db2.gz QEEURHCBNBRAPC-UHFFFAOYSA-N 0 0 275.308 2.606 20 5 CFBDRN Cc1cc(=O)n(C/C=C\c2ccccc2)cc1[N+](=O)[O-] ZINC000254930271 383471876 /nfs/dbraw/zinc/47/18/76/383471876.db2.gz QKNOSCYIDIUJRQ-YVMONPNESA-N 0 0 270.288 2.778 20 5 CFBDRN COCC1=CCN(c2nccc3c2cccc3[N+](=O)[O-])CC1 ZINC000450458214 383418601 /nfs/dbraw/zinc/41/86/01/383418601.db2.gz UGIHUAZLTSGUTD-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CC(=O)c1cccc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)c1 ZINC000450908069 383545650 /nfs/dbraw/zinc/54/56/50/383545650.db2.gz TZUNGNVAAULQQZ-UHFFFAOYSA-N 0 0 285.303 2.920 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]([C@@H](CO)C1CC1)C1CC1 ZINC000450782201 383489934 /nfs/dbraw/zinc/48/99/34/383489934.db2.gz UJSVEFXPCBRDJX-WFASDCNBSA-N 0 0 276.336 2.804 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1C[C@@H]1CCCC1(F)F ZINC000450795267 383493845 /nfs/dbraw/zinc/49/38/45/383493845.db2.gz KZPHHXALEBCJHE-QMMMGPOBSA-N 0 0 298.245 2.938 20 5 CFBDRN CNC(=O)c1ccc(NC[C@@H]2C[C@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000450810002 383497775 /nfs/dbraw/zinc/49/77/75/383497775.db2.gz LHHJNHXIZZVKOL-RYUDHWBXSA-N 0 0 291.351 2.658 20 5 CFBDRN C[C@@H]1CCC(=O)[C@@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC000450807827 383498127 /nfs/dbraw/zinc/49/81/27/383498127.db2.gz HIRSHXBCKGQMPU-OQPBUACISA-N 0 0 267.256 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCSC2)cn1 ZINC000340943150 383507430 /nfs/dbraw/zinc/50/74/30/383507430.db2.gz WYSXROQVQVUBQR-SECBINFHSA-N 0 0 253.327 2.545 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H](O)C2CCC2)c([N+](=O)[O-])c1 ZINC000450920159 383550804 /nfs/dbraw/zinc/55/08/04/383550804.db2.gz UCOWZHIXMBREKH-OAHLLOKOSA-N 0 0 292.335 2.760 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@H]2OC)c(F)cc1[N+](=O)[O-] ZINC000340962335 383511795 /nfs/dbraw/zinc/51/17/95/383511795.db2.gz GCFGQRVDVUNLOD-NOZJJQNGSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cccc(N[C@@H](C)Cc2cnn(C)c2)c1[N+](=O)[O-] ZINC000450842968 383517413 /nfs/dbraw/zinc/51/74/13/383517413.db2.gz NLHNYKGPHCRWGR-NSHDSACASA-N 0 0 274.324 2.680 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC[C@H](C)C1 ZINC000429350414 383521801 /nfs/dbraw/zinc/52/18/01/383521801.db2.gz PQGFJUMXZLDYFN-JTQLQIEISA-N 0 0 292.335 2.926 20 5 CFBDRN Cc1cnc(OCc2nnc(C3CC3)s2)c([N+](=O)[O-])c1 ZINC000450856193 383523030 /nfs/dbraw/zinc/52/30/30/383523030.db2.gz HINSXQYBXMBHDC-UHFFFAOYSA-N 0 0 292.320 2.606 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000450894610 383539507 /nfs/dbraw/zinc/53/95/07/383539507.db2.gz GPAUDMGMFKAQKY-UHFFFAOYSA-N 0 0 285.319 2.525 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCC[C@@H]1CCOC1 ZINC000450900390 383542422 /nfs/dbraw/zinc/54/24/22/383542422.db2.gz HBGSGOXOMWJTMQ-VIFPVBQESA-N 0 0 255.245 2.539 20 5 CFBDRN Cc1ccc2nc(CNCc3cccc([N+](=O)[O-])c3)cn2c1 ZINC000341045399 383542877 /nfs/dbraw/zinc/54/28/77/383542877.db2.gz LIVYUUYVOCZSOH-UHFFFAOYSA-N 0 0 296.330 2.841 20 5 CFBDRN Cc1cc(Sc2nc3c([nH]2)CCC3)ncc1[N+](=O)[O-] ZINC000488750537 383573338 /nfs/dbraw/zinc/57/33/38/383573338.db2.gz UMVXIQVXIVWLIT-UHFFFAOYSA-N 0 0 276.321 2.661 20 5 CFBDRN C[C@@H](C(=O)N(C)[C@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000570227599 383585556 /nfs/dbraw/zinc/58/55/56/383585556.db2.gz WBWXWTWXTIJFGN-MFKMUULPSA-N 0 0 276.336 2.955 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@@H](F)C2)n1 ZINC000570326909 383594182 /nfs/dbraw/zinc/59/41/82/383594182.db2.gz LFVBQVSKQDZXHC-ZJUUUORDSA-N 0 0 253.277 2.991 20 5 CFBDRN CCC[C@@H](C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000489271349 383602860 /nfs/dbraw/zinc/60/28/60/383602860.db2.gz QKKLGWZEQBITDY-VXGBXAGGSA-N 0 0 293.367 2.974 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCC(CF)CC3)nc2c1 ZINC000451399642 383606720 /nfs/dbraw/zinc/60/67/20/383606720.db2.gz ZRXMHJSCYWQQKA-UHFFFAOYSA-N 0 0 292.314 2.653 20 5 CFBDRN Cc1cc(N2CC[C@@H](CO)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000341070007 383553658 /nfs/dbraw/zinc/55/36/58/383553658.db2.gz MTIYRAVBUIDURQ-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN COc1cc(O[C@@H]2C[C@@H](C)CCC2=O)ccc1[N+](=O)[O-] ZINC000450929660 383554468 /nfs/dbraw/zinc/55/44/68/383554468.db2.gz ITPUHLMGFPSWQJ-LKFCYVNXSA-N 0 0 279.292 2.740 20 5 CFBDRN CN(C)c1ccccc1CN(C)c1ccc([N+](=O)[O-])nc1 ZINC000341080945 383557512 /nfs/dbraw/zinc/55/75/12/383557512.db2.gz KIFUAXDXXUUPOR-UHFFFAOYSA-N 0 0 286.335 2.692 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Cn1ncc(Cl)c1C ZINC000429781985 383568806 /nfs/dbraw/zinc/56/88/06/383568806.db2.gz DBDYGBKRVGHYAD-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN CC1=CCCN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000280886628 195015657 /nfs/dbraw/zinc/01/56/57/195015657.db2.gz PTUDDLSXSKMBAM-UHFFFAOYSA-N 0 0 250.273 2.886 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000431189152 383638342 /nfs/dbraw/zinc/63/83/42/383638342.db2.gz BWEZHZKZWNCTBZ-CMPLNLGQSA-N 0 0 275.308 2.823 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000431207214 383639728 /nfs/dbraw/zinc/63/97/28/383639728.db2.gz NCMMOPUXUXTHPL-WDEREUQCSA-N 0 0 291.307 2.523 20 5 CFBDRN O=C1NCC[C@@H]1Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] ZINC000431243570 383640583 /nfs/dbraw/zinc/64/05/83/383640583.db2.gz UQWBGVDZMQCUBY-HNNXBMFYSA-N 0 0 298.298 2.529 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)[nH]1 ZINC000431249588 383641270 /nfs/dbraw/zinc/64/12/70/383641270.db2.gz TVXPJBFIRPEKNF-UHFFFAOYSA-N 0 0 287.275 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(C3CCOCC3)CC2)cn1 ZINC000570894060 383644980 /nfs/dbraw/zinc/64/49/80/383644980.db2.gz NORQNMHMTXAAJS-UHFFFAOYSA-N 0 0 291.351 2.633 20 5 CFBDRN C[C@H](S[C@H]1CCCNC1=O)c1cccc([N+](=O)[O-])c1 ZINC000489602230 383630093 /nfs/dbraw/zinc/63/00/93/383630093.db2.gz IBWLPUSNBBJRNI-CABZTGNLSA-N 0 0 280.349 2.668 20 5 CFBDRN COC(=O)c1cc(NC(=O)C(C)(C)C)c(C)c([N+](=O)[O-])c1 ZINC000489758019 383634527 /nfs/dbraw/zinc/63/45/27/383634527.db2.gz NBSWBQGZIKNSGD-UHFFFAOYSA-N 0 0 294.307 2.674 20 5 CFBDRN Cc1nn(C)c(N2CC[C@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000572074911 383752771 /nfs/dbraw/zinc/75/27/71/383752771.db2.gz BODSRDZEYMZRKE-JTQLQIEISA-N 0 0 266.345 2.509 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000572100585 383754787 /nfs/dbraw/zinc/75/47/87/383754787.db2.gz MGBVMAHDYMGQPQ-LBPRGKRZSA-N 0 0 292.335 2.590 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C)=C[C@@H](C)C1 ZINC000435268239 383773544 /nfs/dbraw/zinc/77/35/44/383773544.db2.gz OHVQJXGSYDPTIB-SNVBAGLBSA-N 0 0 290.319 2.642 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000435826849 383780116 /nfs/dbraw/zinc/78/01/16/383780116.db2.gz NDVGCOQPJXGAQO-OAHLLOKOSA-N 0 0 292.335 2.866 20 5 CFBDRN CCCNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000435822927 383780255 /nfs/dbraw/zinc/78/02/55/383780255.db2.gz WMQQFXODVVZINV-UHFFFAOYSA-N 0 0 280.327 2.821 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+]1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC000263346008 383659085 /nfs/dbraw/zinc/65/90/85/383659085.db2.gz OQGQOMSETLTTJR-YPMHNXCESA-N 0 0 292.335 2.588 20 5 CFBDRN CC(C)C[C@@H]([NH2+]C/C=C/c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000263451836 383667443 /nfs/dbraw/zinc/66/74/43/383667443.db2.gz ZYVNSKBCACACAV-VUDGCMKMSA-N 0 0 292.335 2.697 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000431470462 383674717 /nfs/dbraw/zinc/67/47/17/383674717.db2.gz HTXNQORZEALLSI-NSHDSACASA-N 0 0 293.367 2.868 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@@H](C)CC1(C)C ZINC000572676290 383805761 /nfs/dbraw/zinc/80/57/61/383805761.db2.gz VWKMZQVABZACEY-VIFPVBQESA-N 0 0 266.345 2.744 20 5 CFBDRN Cc1c(-c2noc([C@@H]3CCCOC3)n2)cccc1[N+](=O)[O-] ZINC000453244546 383814233 /nfs/dbraw/zinc/81/42/33/383814233.db2.gz AXBAOKPETUUFRY-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1cc(C)on1 ZINC000531094138 383818484 /nfs/dbraw/zinc/81/84/84/383818484.db2.gz WTBIBDOCIBEJTB-UHFFFAOYSA-N 0 0 277.280 2.650 20 5 CFBDRN Cc1nn([C@@H](C)C(=O)c2ccccc2)cc1[N+](=O)[O-] ZINC000436475753 383821268 /nfs/dbraw/zinc/82/12/68/383821268.db2.gz VKAWABMHKOMBDP-JTQLQIEISA-N 0 0 259.265 2.544 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000531131248 383823259 /nfs/dbraw/zinc/82/32/59/383823259.db2.gz JLEJEPZBBVNZFB-JQWIXIFHSA-N 0 0 293.367 2.878 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(C2CC2)cn1 ZINC000530786290 383792036 /nfs/dbraw/zinc/79/20/36/383792036.db2.gz PEXMTSPZCFTCRV-UHFFFAOYSA-N 0 0 297.314 2.797 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C\[C@H]3CCOC3)n2)s1 ZINC000453174745 383792239 /nfs/dbraw/zinc/79/22/39/383792239.db2.gz JZPVBSJINKMHRR-CJKINAQCSA-N 0 0 293.304 2.756 20 5 CFBDRN Cc1cc(N2CC(C)(C)[C@@H]3COC[C@@H]32)ccc1[N+](=O)[O-] ZINC000572572967 383794256 /nfs/dbraw/zinc/79/42/56/383794256.db2.gz IMZKJSBHLNSFOK-OCCSQVGLSA-N 0 0 276.336 2.764 20 5 CFBDRN C[C@@H](C(=O)NCCC(C)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000572932093 383839906 /nfs/dbraw/zinc/83/99/06/383839906.db2.gz YJWAYTPPRLXAKX-SECBINFHSA-N 0 0 286.278 2.860 20 5 CFBDRN COC[C@H](C)CC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000531267263 383842461 /nfs/dbraw/zinc/84/24/61/383842461.db2.gz UWMOAPXPTSCWCX-LLVKDONJSA-N 0 0 292.335 2.547 20 5 CFBDRN Cc1cc(-c2noc([C@@H]3CCCOC3)n2)cc([N+](=O)[O-])c1 ZINC000453280618 383832321 /nfs/dbraw/zinc/83/23/21/383832321.db2.gz CMHFARSRZJOMNY-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN C[C@@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])c1ccon1 ZINC000573458447 383934754 /nfs/dbraw/zinc/93/47/54/383934754.db2.gz SSNDUTHOPNQHOH-NOZJJQNGSA-N 0 0 273.292 2.921 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000573373818 383919665 /nfs/dbraw/zinc/91/96/65/383919665.db2.gz JZPZZWXNNSEHJW-LLVKDONJSA-N 0 0 283.353 2.717 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc([N+](=O)[O-])cc2F)o1 ZINC000531584431 383892066 /nfs/dbraw/zinc/89/20/66/383892066.db2.gz NPILAZRTVXMZTO-LURJTMIESA-N 0 0 266.232 2.598 20 5 CFBDRN CC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000531627258 383900024 /nfs/dbraw/zinc/90/00/24/383900024.db2.gz FUGRXJAAKJSAAG-LBPRGKRZSA-N 0 0 299.330 2.913 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000454309185 383986868 /nfs/dbraw/zinc/98/68/68/383986868.db2.gz XZUSISBRXCLNEQ-ZJUUUORDSA-N 0 0 263.297 2.762 20 5 CFBDRN Cc1ccc(F)cc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531881617 383937335 /nfs/dbraw/zinc/93/73/35/383937335.db2.gz BUGWXAJSEKLOFT-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531882480 383937440 /nfs/dbraw/zinc/93/74/40/383937440.db2.gz MFBDNMRMWAJAEH-NWDGAFQWSA-N 0 0 291.351 2.936 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C(C)(C)C ZINC000531882704 383937547 /nfs/dbraw/zinc/93/75/47/383937547.db2.gz BMASEBMIDXRLAR-NSHDSACASA-N 0 0 265.313 2.544 20 5 CFBDRN CCOC1(C)CN(C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000454197926 383940248 /nfs/dbraw/zinc/94/02/48/383940248.db2.gz LAHWQZYRBSTTIC-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN O=C(Cc1cccnc1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000265586247 383951174 /nfs/dbraw/zinc/95/11/74/383951174.db2.gz WKLNTBAAKJCJFZ-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2ccsc2Cl)c1[N+](=O)[O-] ZINC000438559221 384019957 /nfs/dbraw/zinc/01/99/57/384019957.db2.gz QGYMTVUWGFCAOA-UHFFFAOYSA-N 0 0 286.700 2.594 20 5 CFBDRN C[C@@H](CCc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532391606 384023038 /nfs/dbraw/zinc/02/30/38/384023038.db2.gz UCMXOOXCSOWVKJ-LBPRGKRZSA-N 0 0 299.330 2.741 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532393012 384023056 /nfs/dbraw/zinc/02/30/56/384023056.db2.gz WQALOOFDDRZWPW-ZUZCIYMTSA-N 0 0 297.314 2.653 20 5 CFBDRN CC(C)C1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000439853747 384044831 /nfs/dbraw/zinc/04/48/31/384044831.db2.gz PGZVUUNOUJBROG-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1C[C@@H]1C ZINC000439922961 384050374 /nfs/dbraw/zinc/05/03/74/384050374.db2.gz BZCHYJVDXIWVLN-VHSXEESVSA-N 0 0 293.323 2.771 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)c1cccc(O)c1 ZINC000532710869 384063013 /nfs/dbraw/zinc/06/30/13/384063013.db2.gz SQKYUUZDSVYUPS-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN CCO[C@H]1C[C@H]1NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000574266115 384069196 /nfs/dbraw/zinc/06/91/96/384069196.db2.gz QXVIDDZACBVTAI-YPMHNXCESA-N 0 0 293.323 2.511 20 5 CFBDRN O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CCCCC1 ZINC000266152493 384095726 /nfs/dbraw/zinc/09/57/26/384095726.db2.gz UFWFFVYBNAPPHN-UHFFFAOYSA-N 0 0 291.307 2.542 20 5 CFBDRN N#CN(CC(=O)c1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1 ZINC000440587539 384109670 /nfs/dbraw/zinc/10/96/70/384109670.db2.gz HZEQQNRGBHXNKT-UHFFFAOYSA-N 0 0 299.261 2.904 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1(CF)CCOCC1 ZINC000574531879 384113442 /nfs/dbraw/zinc/11/34/42/384113442.db2.gz VNCLYQGBIIUADU-UHFFFAOYSA-N 0 0 298.314 2.782 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H](C)c1ccon1 ZINC000574414408 384094246 /nfs/dbraw/zinc/09/42/46/384094246.db2.gz MMVCQFXPGBVMQU-MRVPVSSYSA-N 0 0 263.253 2.765 20 5 CFBDRN CC/C=C\CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000455083286 384134922 /nfs/dbraw/zinc/13/49/22/384134922.db2.gz LPMUVNJPWCHLTJ-PLNGDYQASA-N 0 0 263.297 2.991 20 5 CFBDRN CC(C)c1ncc(CN(C)c2ccc([N+](=O)[O-])cn2)cn1 ZINC000440645638 384119637 /nfs/dbraw/zinc/11/96/37/384119637.db2.gz JMRRQVVZUKESIE-UHFFFAOYSA-N 0 0 287.323 2.540 20 5 CFBDRN COCCOC1CCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000269893965 285085249 /nfs/dbraw/zinc/08/52/49/285085249.db2.gz DCSVXVZBSWMIIR-UHFFFAOYSA-N 0 0 294.351 2.535 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H]1c1ncc[nH]1 ZINC000364029504 285091904 /nfs/dbraw/zinc/09/19/04/285091904.db2.gz BHVGMJXLCIQBSL-SECBINFHSA-N 0 0 293.714 2.708 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC1CC(F)(F)C1 ZINC000277160844 384218213 /nfs/dbraw/zinc/21/82/13/384218213.db2.gz LOZVANFPUUKIEF-UHFFFAOYSA-N 0 0 272.251 2.951 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@@H](C)C(C)C ZINC000277193022 384227469 /nfs/dbraw/zinc/22/74/69/384227469.db2.gz BOFKPPJCOCHLML-JTQLQIEISA-N 0 0 280.324 2.720 20 5 CFBDRN CO[C@H](C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)C1CC1 ZINC000414785099 533050636 /nfs/dbraw/zinc/05/06/36/533050636.db2.gz ISLYACQHVUTPKW-ZDUSSCGKSA-N 0 0 278.308 2.575 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCCC=C(C)C ZINC000277322423 384266964 /nfs/dbraw/zinc/26/69/64/384266964.db2.gz WOEUVOLXQKOODL-UHFFFAOYSA-N 0 0 278.308 2.690 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1CC[C@@H](F)C1 ZINC000340985298 285101499 /nfs/dbraw/zinc/10/14/99/285101499.db2.gz YNHQBANJYBKXPP-GHMZBOCLSA-N 0 0 275.283 2.867 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2CCCOCC2)s1 ZINC000286612797 285100219 /nfs/dbraw/zinc/10/02/19/285100219.db2.gz VLAKOHNHQRHZTN-MRVPVSSYSA-N 0 0 294.336 2.581 20 5 CFBDRN CN(Cc1cc(Cl)ccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000267336229 384361555 /nfs/dbraw/zinc/36/15/55/384361555.db2.gz KCYPYVNZHDRMAX-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN CC[C@H](C)c1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])cn2)[nH]1 ZINC000277538496 384331344 /nfs/dbraw/zinc/33/13/44/384331344.db2.gz ZAKIBXLAWVHPSP-DTWKUNHWSA-N 0 0 290.327 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3C[C@@]34CCOC4)n2)cc1 ZINC000349890281 285107593 /nfs/dbraw/zinc/10/75/93/285107593.db2.gz KCRQCTUFDFDTQQ-SMDDNHRTSA-N 0 0 287.275 2.539 20 5 CFBDRN C[C@@H]1CCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000277781801 384404009 /nfs/dbraw/zinc/40/40/09/384404009.db2.gz MMNSCKUUOORYEJ-SECBINFHSA-N 0 0 260.297 2.707 20 5 CFBDRN CCO[C@@H](CNc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000277709682 384381883 /nfs/dbraw/zinc/38/18/83/384381883.db2.gz INRABQYXLNBRHJ-LBPRGKRZSA-N 0 0 290.323 2.518 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCc1nccn1C ZINC000277895109 384434054 /nfs/dbraw/zinc/43/40/54/384434054.db2.gz XGDUYVJQMALMEX-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc3ccccc3n2)nc1 ZINC000344485490 220283735 /nfs/dbraw/zinc/28/37/35/220283735.db2.gz ZALBYOPMMXDPNL-UHFFFAOYSA-N 0 0 294.314 2.615 20 5 CFBDRN C[C@@H]1CSCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000278086059 384471779 /nfs/dbraw/zinc/47/17/79/384471779.db2.gz VCWVQQUKGHKLDW-JTQLQIEISA-N 0 0 293.392 2.752 20 5 CFBDRN CC1(C)CC[C@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)O1 ZINC000278193362 384496838 /nfs/dbraw/zinc/49/68/38/384496838.db2.gz FBWGNWQUPVJPGE-LLVKDONJSA-N 0 0 282.271 2.630 20 5 CFBDRN CC(C)OC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000352289764 220301712 /nfs/dbraw/zinc/30/17/12/220301712.db2.gz LLKBNJIIHOTWIF-UHFFFAOYSA-N 0 0 275.207 2.761 20 5 CFBDRN COCCC(C)(C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000353074980 220304578 /nfs/dbraw/zinc/30/45/78/220304578.db2.gz AUVYXZYYUKRLHC-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H](C)c2ccon2)c1 ZINC000353279788 220305230 /nfs/dbraw/zinc/30/52/30/220305230.db2.gz XLIBLSXFGTWKRZ-QMMMGPOBSA-N 0 0 291.263 2.543 20 5 CFBDRN C[C@@H](Nc1ncnc2cccc([N+](=O)[O-])c21)c1ccon1 ZINC000353279873 220305637 /nfs/dbraw/zinc/30/56/37/220305637.db2.gz YOWRSBKDOLPSHF-MRVPVSSYSA-N 0 0 285.263 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC([C@@H]3CCOC3)C2)c(Cl)c1 ZINC000366479313 285120226 /nfs/dbraw/zinc/12/02/26/285120226.db2.gz PNZXCDSNQYPWDT-SECBINFHSA-N 0 0 282.727 2.721 20 5 CFBDRN COc1ccccc1CCCn1cc(C)c([N+](=O)[O-])n1 ZINC000281143034 195121356 /nfs/dbraw/zinc/12/13/56/195121356.db2.gz JYPKKNQOCCLNEC-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC([C@H]3CCOC3)C2)c(Cl)c1 ZINC000366479314 285120422 /nfs/dbraw/zinc/12/04/22/285120422.db2.gz PNZXCDSNQYPWDT-VIFPVBQESA-N 0 0 282.727 2.721 20 5 CFBDRN COCCSCCCOc1c(F)cccc1[N+](=O)[O-] ZINC000278337507 384533953 /nfs/dbraw/zinc/53/39/53/384533953.db2.gz XRQYXLCLLSVLMB-UHFFFAOYSA-N 0 0 289.328 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(F)(F)C2)c2ncccc12 ZINC000345093202 285120843 /nfs/dbraw/zinc/12/08/43/285120843.db2.gz IVJMACNCJXXYOY-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN CCCC(=O)COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000289318748 197295830 /nfs/dbraw/zinc/29/58/30/197295830.db2.gz FWPPVEIMWJBFIR-UHFFFAOYSA-N 0 0 265.265 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]3COC[C@H]32)c2ccncc21 ZINC000374422797 285124962 /nfs/dbraw/zinc/12/49/62/285124962.db2.gz KZAXBUIKDOHKBW-MEDUHNTESA-N 0 0 299.330 2.758 20 5 CFBDRN CCC(CC)(CCO)CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000268560458 384632820 /nfs/dbraw/zinc/63/28/20/384632820.db2.gz GVHDKVKXIGYTKB-UHFFFAOYSA-N 0 0 294.351 2.513 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000279312628 384610053 /nfs/dbraw/zinc/61/00/53/384610053.db2.gz BUGVWUPTIATLJV-NXEZZACHSA-N 0 0 299.758 2.773 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)c1ccco1 ZINC000268437448 384620789 /nfs/dbraw/zinc/62/07/89/384620789.db2.gz CHBFHFKIYXVNCZ-JTQLQIEISA-N 0 0 277.280 2.691 20 5 CFBDRN Cc1nc(N2CCOC[C@H]2CC(C)C)ccc1[N+](=O)[O-] ZINC000268448333 384623044 /nfs/dbraw/zinc/62/30/44/384623044.db2.gz FHYZVFNACSGQDF-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1nc(N(C)Cc2nccn2C(F)F)ccc1[N+](=O)[O-] ZINC000268487641 384627040 /nfs/dbraw/zinc/62/70/40/384627040.db2.gz MZOAZSOPGCGGOP-UHFFFAOYSA-N 0 0 297.265 2.526 20 5 CFBDRN COC(=O)[C@@H](C)Sc1cc(Cl)ccc1[N+](=O)[O-] ZINC000279522238 384663505 /nfs/dbraw/zinc/66/35/05/384663505.db2.gz SUHCSHDKLKPCPO-ZCFIWIBFSA-N 0 0 275.713 2.902 20 5 CFBDRN Cc1c([C@@H](C)[NH2+][C@H](C)Cn2ccnc2)cccc1[N+](=O)[O-] ZINC000268815497 384665935 /nfs/dbraw/zinc/66/59/35/384665935.db2.gz MEPRLVHPXUJTNS-DGCLKSJQSA-N 0 0 288.351 2.839 20 5 CFBDRN Cc1c([C@H](C)[NH2+][C@@H](C)Cn2ccnc2)cccc1[N+](=O)[O-] ZINC000268815496 384666937 /nfs/dbraw/zinc/66/69/37/384666937.db2.gz MEPRLVHPXUJTNS-AAEUAGOBSA-N 0 0 288.351 2.839 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3c(F)cccc3C2)cn1 ZINC000339786658 285131336 /nfs/dbraw/zinc/13/13/36/285131336.db2.gz HLHSPVWFQWOWTB-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN CC[C@](C)(CCO)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000268603577 384637728 /nfs/dbraw/zinc/63/77/28/384637728.db2.gz XRPBYZRJLUGSMJ-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CCC1CCCC1 ZINC000268625480 384640702 /nfs/dbraw/zinc/64/07/02/384640702.db2.gz OWPNMRZAUODDKU-UHFFFAOYSA-N 0 0 270.716 2.990 20 5 CFBDRN CCC[C@H](C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000269082299 384723531 /nfs/dbraw/zinc/72/35/31/384723531.db2.gz IXSQSBKJVIAVSG-CMPLNLGQSA-N 0 0 279.340 2.964 20 5 CFBDRN CN(CCCn1ccnc1)c1ccc([N+](=O)[O-])cc1Cl ZINC000268951562 384700879 /nfs/dbraw/zinc/70/08/79/384700879.db2.gz IKESZNMCIFVKJQ-UHFFFAOYSA-N 0 0 294.742 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccc(F)c(F)c3C2)nc1 ZINC000362997565 285132055 /nfs/dbraw/zinc/13/20/55/285132055.db2.gz QOHRIJJYGYWMAJ-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ncccc3C2)cc1 ZINC000371006955 285132066 /nfs/dbraw/zinc/13/20/66/285132066.db2.gz SNKQMJJYADLNHP-UHFFFAOYSA-N 0 0 255.277 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@]23CCOc2ccccc23)nc1 ZINC000369694570 285136040 /nfs/dbraw/zinc/13/60/40/285136040.db2.gz HMSRQCWHGSPZPK-GDBMZVCRSA-N 0 0 297.314 2.895 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2[C@@H]3COC[C@@H]32)nc1-c1ccccc1 ZINC000360115523 285136568 /nfs/dbraw/zinc/13/65/68/285136568.db2.gz MYTYPOZMTPKQAZ-ATCWAGBWSA-N 0 0 297.314 2.714 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ncc(Cl)cc2[N+](=O)[O-])o1 ZINC000269406552 384756508 /nfs/dbraw/zinc/75/65/08/384756508.db2.gz WANAWDZIRHTNMO-JTQLQIEISA-N 0 0 297.698 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(C[C@@H](O)c1ccccc1)CC2 ZINC000279778719 384757672 /nfs/dbraw/zinc/75/76/72/384757672.db2.gz UDGNMABADBPZML-MRXNPFEDSA-N 0 0 284.315 2.691 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000269498972 384769088 /nfs/dbraw/zinc/76/90/88/384769088.db2.gz YXQFLOJQLSNBRE-SNVBAGLBSA-N 0 0 292.339 2.527 20 5 CFBDRN COC(=O)[C@@H](C)Sc1c(F)cc([N+](=O)[O-])cc1F ZINC000269604984 384801712 /nfs/dbraw/zinc/80/17/12/384801712.db2.gz BRVLKPFHYYUGRK-RXMQYKEDSA-N 0 0 277.248 2.527 20 5 CFBDRN CC1(CCNC(=O)c2cc(F)c([N+](=O)[O-])cc2F)CC1 ZINC000280101725 384884017 /nfs/dbraw/zinc/88/40/17/384884017.db2.gz SPFKPPHDYGOJBC-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000289283891 197284188 /nfs/dbraw/zinc/28/41/88/197284188.db2.gz SXFACPSNCAXWAP-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN COc1cc(OC[C@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000280023996 384852484 /nfs/dbraw/zinc/85/24/84/384852484.db2.gz VEEDZGPJHFLLCN-GXSJLCMTSA-N 0 0 267.281 2.550 20 5 CFBDRN CC1(C)C[C@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000269755267 384863589 /nfs/dbraw/zinc/86/35/89/384863589.db2.gz DWDJUQUOSOVTAC-JTQLQIEISA-N 0 0 260.293 2.530 20 5 CFBDRN CC1=C(C)CN(C(=O)COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000280129278 384896297 /nfs/dbraw/zinc/89/62/97/384896297.db2.gz AQYCLTMGXZHJII-UHFFFAOYSA-N 0 0 290.319 2.542 20 5 CFBDRN COc1cccc(N2CCC[C@](C)(OC)CC2)c1[N+](=O)[O-] ZINC000280174112 384915359 /nfs/dbraw/zinc/91/53/59/384915359.db2.gz HISXUEKLNSQOSW-HNNXBMFYSA-N 0 0 294.351 2.999 20 5 CFBDRN Cc1nc(N[C@@H]2[C@H]3CCO[C@H]3C23CCC3)ccc1[N+](=O)[O-] ZINC000269974210 384927263 /nfs/dbraw/zinc/92/72/63/384927263.db2.gz YIQKZHRHJAUGIX-LERXQTSPSA-N 0 0 289.335 2.668 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)[C@H](C)C1 ZINC000280298878 384966903 /nfs/dbraw/zinc/96/69/03/384966903.db2.gz FOYLXQFBTMSBJC-PHIMTYICSA-N 0 0 292.335 2.722 20 5 CFBDRN CC1=C(C)CN(C(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000280313407 384971171 /nfs/dbraw/zinc/97/11/71/384971171.db2.gz XHUMMZOSQAHBGB-UHFFFAOYSA-N 0 0 274.320 2.706 20 5 CFBDRN COC(=O)[C@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C[C@@H]1C ZINC000276838925 291874489 /nfs/dbraw/zinc/87/44/89/291874489.db2.gz FZWCHPIYEPYJAC-RYUDHWBXSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(NC[C@@H]1CCC(F)(F)C1)c1csc([N+](=O)[O-])c1 ZINC000280435191 385015145 /nfs/dbraw/zinc/01/51/45/385015145.db2.gz BORMKMGYDGRLLU-SSDOTTSWSA-N 0 0 290.291 2.822 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@H](C2CC2)O1 ZINC000280496712 385034991 /nfs/dbraw/zinc/03/49/91/385034991.db2.gz OYYILZNNBCEAHK-IINYFYTJSA-N 0 0 262.309 2.599 20 5 CFBDRN Cc1ccc(NC[C@@](C)(O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000270476959 385116977 /nfs/dbraw/zinc/11/69/77/385116977.db2.gz ONXZDXHJKUYKMC-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCCCO2)c(F)cc1[N+](=O)[O-] ZINC000270500347 385124406 /nfs/dbraw/zinc/12/44/06/385124406.db2.gz GHMLWBJMXYPEAI-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](C)CCCO)c([N+](=O)[O-])c1 ZINC000280734698 385134102 /nfs/dbraw/zinc/13/41/02/385134102.db2.gz NOWONPYJUAAILV-JTQLQIEISA-N 0 0 280.324 2.760 20 5 CFBDRN Cc1ccc(CN2C[C@@H](C)O[C@@H](C3CC3)C2)cc1[N+](=O)[O-] ZINC000280628174 385091476 /nfs/dbraw/zinc/09/14/76/385091476.db2.gz QERMHLBEDKZTPV-MLGOLLRUSA-N 0 0 290.363 2.903 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1SCCS1 ZINC000270545902 385142705 /nfs/dbraw/zinc/14/27/05/385142705.db2.gz YTIGIJGVJQENNL-UHFFFAOYSA-N 0 0 298.389 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2([S@](C)=O)CCC2)c1 ZINC000280806855 385161987 /nfs/dbraw/zinc/16/19/87/385161987.db2.gz SQRAYQGYBZZQBW-IBGZPJMESA-N 0 0 282.365 2.616 20 5 CFBDRN CC(C)[C@H](C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289132112 197241606 /nfs/dbraw/zinc/24/16/06/197241606.db2.gz VJQSMQYPYXXFIR-LBPRGKRZSA-N 0 0 278.352 2.888 20 5 CFBDRN CCC1(CO)CCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000270772950 385220470 /nfs/dbraw/zinc/22/04/70/385220470.db2.gz MIQZLHIPPLCWGB-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN CC(C)SCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000282155241 385230247 /nfs/dbraw/zinc/23/02/47/385230247.db2.gz GDVOWXZWIXGOIX-UHFFFAOYSA-N 0 0 289.378 2.510 20 5 CFBDRN COC(=O)c1cc(OCCSC(C)C)ccc1[N+](=O)[O-] ZINC000282212823 385250373 /nfs/dbraw/zinc/25/03/73/385250373.db2.gz NAWUDHFQHZJCRW-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN COc1cc(NC[C@H](C)C[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000271058896 385324471 /nfs/dbraw/zinc/32/44/71/385324471.db2.gz BNXQFLZGBQGRSK-RKDXNWHRSA-N 0 0 286.303 2.561 20 5 CFBDRN Cc1ccccc1CN(C)c1ncc([N+](=O)[O-])s1 ZINC000282256510 385263515 /nfs/dbraw/zinc/26/35/15/385263515.db2.gz UFULXEFZFVZJKP-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CO[C@@H](C)CCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282274951 385266791 /nfs/dbraw/zinc/26/67/91/385266791.db2.gz AUQXYSGHSRZOPT-VIFPVBQESA-N 0 0 284.287 2.796 20 5 CFBDRN CC(=O)c1ccc(N(C)CCc2cccc([N+](=O)[O-])c2)nc1 ZINC000282301193 385277349 /nfs/dbraw/zinc/27/73/49/385277349.db2.gz RNSAGHXBKBUKFE-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000270978619 385288994 /nfs/dbraw/zinc/28/89/94/385288994.db2.gz RIHMPLHUGHRPNN-NEPJUHHUSA-N 0 0 276.336 2.642 20 5 CFBDRN CO[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)[C@@H](C)C1 ZINC000282363751 385294336 /nfs/dbraw/zinc/29/43/36/385294336.db2.gz NMIWUJMQNUZKAI-GXFFZTMASA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1n[nH]c(C(=O)N(C)[C@@H](C)C2CCCCC2)c1[N+](=O)[O-] ZINC000282390835 385299515 /nfs/dbraw/zinc/29/95/15/385299515.db2.gz DMUVRFGSABCVRC-JTQLQIEISA-N 0 0 294.355 2.667 20 5 CFBDRN Cc1n[nH]c(C(=O)N(C)[C@H](C)C2CCCCC2)c1[N+](=O)[O-] ZINC000282390839 385299612 /nfs/dbraw/zinc/29/96/12/385299612.db2.gz DMUVRFGSABCVRC-SNVBAGLBSA-N 0 0 294.355 2.667 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000282689354 385371546 /nfs/dbraw/zinc/37/15/46/385371546.db2.gz PEAMHSHUYOETNZ-MNOVXSKESA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000282761309 385384455 /nfs/dbraw/zinc/38/44/55/385384455.db2.gz VIGAWACZSOLIBL-NXEZZACHSA-N 0 0 299.710 2.973 20 5 CFBDRN CCc1noc([C@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271635063 385386891 /nfs/dbraw/zinc/38/68/91/385386891.db2.gz QAESOWHSLDJEAF-UWVGGRQHSA-N 0 0 290.323 2.952 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCC(=O)[C@H](C)C1 ZINC000283019060 385424708 /nfs/dbraw/zinc/42/47/08/385424708.db2.gz HRNSHRACQAFBJZ-GHMZBOCLSA-N 0 0 262.309 2.567 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC(F)(c2ccccc2)C1 ZINC000376236839 285165145 /nfs/dbraw/zinc/16/51/45/285165145.db2.gz LJXNGPCFRJBCCF-UHFFFAOYSA-N 0 0 273.267 2.675 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])C1CC=CC1 ZINC000272083312 385443429 /nfs/dbraw/zinc/44/34/29/385443429.db2.gz BHWKUBIEUOVNEN-UHFFFAOYSA-N 0 0 294.738 2.873 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CCOC2(CCC2)C1 ZINC000450714581 285166989 /nfs/dbraw/zinc/16/69/89/285166989.db2.gz HKEQIQVZGLICCG-NSHDSACASA-N 0 0 277.324 2.751 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2nonc21)[C@H]1CCCCO1 ZINC000272049521 385435418 /nfs/dbraw/zinc/43/54/18/385435418.db2.gz XDKBFASQMACJQI-LDYMZIIASA-N 0 0 292.295 2.501 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2nonc21)[C@H]1CCCCO1 ZINC000272049518 385435544 /nfs/dbraw/zinc/43/55/44/385435544.db2.gz XDKBFASQMACJQI-GZMMTYOYSA-N 0 0 292.295 2.501 20 5 CFBDRN COc1cc(N[C@H](C)[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272055082 385437306 /nfs/dbraw/zinc/43/73/06/385437306.db2.gz YGJABNXRRPXZMD-MFKMUULPSA-N 0 0 280.324 2.973 20 5 CFBDRN CC1(C)C[C@H]1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272173882 385465607 /nfs/dbraw/zinc/46/56/07/385465607.db2.gz QSEIFIRFVLNMCQ-NSHDSACASA-N 0 0 296.754 2.953 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000272169830 385465724 /nfs/dbraw/zinc/46/57/24/385465724.db2.gz GVTCPQFRMVKGLC-SMDDNHRTSA-N 0 0 294.351 2.591 20 5 CFBDRN CCN(CC)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272182536 385467400 /nfs/dbraw/zinc/46/74/00/385467400.db2.gz STTJOTQVVSIFGZ-UHFFFAOYSA-N 0 0 299.758 2.842 20 5 CFBDRN C[C@H](NC(=O)C(C)(Cl)Cl)c1ccccc1[N+](=O)[O-] ZINC000272184323 385468503 /nfs/dbraw/zinc/46/85/03/385468503.db2.gz GESVSJDIOGINGM-ZETCQYMHSA-N 0 0 291.134 2.966 20 5 CFBDRN CN(Cc1ncc(Cl)n1C)c1ccc([N+](=O)[O-])cc1F ZINC000272187752 385469834 /nfs/dbraw/zinc/46/98/34/385469834.db2.gz DXFFBXFRGHTQHQ-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2O[C@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000283557216 385476334 /nfs/dbraw/zinc/47/63/34/385476334.db2.gz PLHDRMPIAYOTPD-GIPNMCIBSA-N 0 0 278.308 2.655 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CCCC1 ZINC000283596074 385479681 /nfs/dbraw/zinc/47/96/81/385479681.db2.gz XWMXTTZFFSAHIQ-UHFFFAOYSA-N 0 0 295.314 2.721 20 5 CFBDRN CC(C)Cn1ncnc1CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000272241278 385484303 /nfs/dbraw/zinc/48/43/03/385484303.db2.gz WOXYPQKNLRCHHC-UHFFFAOYSA-N 0 0 293.302 2.594 20 5 CFBDRN Cc1ccc(OC(=O)OC2CCOCC2)c([N+](=O)[O-])c1 ZINC000283651817 385485635 /nfs/dbraw/zinc/48/56/35/385485635.db2.gz YIXMEZNHHAVRAE-UHFFFAOYSA-N 0 0 281.264 2.598 20 5 CFBDRN CCN(CC1CC1)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272149092 385460336 /nfs/dbraw/zinc/46/03/36/385460336.db2.gz CESRITJXCBXOTF-UHFFFAOYSA-N 0 0 298.289 2.945 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCC23CCOCC3)s1 ZINC000283393097 385462201 /nfs/dbraw/zinc/46/22/01/385462201.db2.gz DZYZSZCKNQFCRH-VIFPVBQESA-N 0 0 283.353 2.813 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C[C@H]1C=CCC1 ZINC000283678189 385487858 /nfs/dbraw/zinc/48/78/58/385487858.db2.gz SGXNZLUYFSWSPF-ZDUSSCGKSA-N 0 0 288.347 2.952 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2C[C@@H]3[C@H](C2)C3(C)C)c1F ZINC000283827461 385505745 /nfs/dbraw/zinc/50/57/45/385505745.db2.gz GMCPRSXDVZWWKR-TXEJJXNPSA-N 0 0 292.310 2.770 20 5 CFBDRN C[C@@H]1OCC[C@@H]1SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000283880100 385510713 /nfs/dbraw/zinc/51/07/13/385510713.db2.gz IHHWRHRSOLCGRE-UFBFGSQYSA-N 0 0 298.320 2.914 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000272253066 385489367 /nfs/dbraw/zinc/48/93/67/385489367.db2.gz SYRWNMYKTVXYJE-BXKDBHETSA-N 0 0 299.758 2.631 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@]3(CCOC3)C2)c(Cl)c1 ZINC000450879592 285173350 /nfs/dbraw/zinc/17/33/50/285173350.db2.gz JTJXJLWSKQRUFH-CYBMUJFWSA-N 0 0 297.742 2.650 20 5 CFBDRN Cc1cc(Cn2cc([N+](=O)[O-])c(C)n2)c(C)s1 ZINC000272405295 385529637 /nfs/dbraw/zinc/52/96/37/385529637.db2.gz YBHHSJYVNAWARQ-UHFFFAOYSA-N 0 0 251.311 2.826 20 5 CFBDRN Cc1nn(CCCCCCO)c2ccc([N+](=O)[O-])cc12 ZINC000272408409 385530557 /nfs/dbraw/zinc/53/05/57/385530557.db2.gz YSRFSWUPBYPDDG-UHFFFAOYSA-N 0 0 277.324 2.806 20 5 CFBDRN CN(C(=O)CCC1CCC1)c1ccc([N+](=O)[O-])nc1 ZINC000288854501 197180184 /nfs/dbraw/zinc/18/01/84/197180184.db2.gz PJJRYPJKDPDEFS-UHFFFAOYSA-N 0 0 263.297 2.533 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@H]1Nc1ncc([N+](=O)[O-])s1 ZINC000288866904 197181434 /nfs/dbraw/zinc/18/14/34/197181434.db2.gz KXWVXSLGXCLYSN-CPCISQLKSA-N 0 0 277.305 2.985 20 5 CFBDRN COCCCN(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000284017195 385524895 /nfs/dbraw/zinc/52/48/95/385524895.db2.gz ODFYRGNRBQDNJL-UHFFFAOYSA-N 0 0 299.302 2.543 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2c1cccc(F)c1[N+](=O)[O-] ZINC000286236180 385575576 /nfs/dbraw/zinc/57/55/76/385575576.db2.gz FABYYVWIANUCMI-YXRHHCIQSA-N 0 0 292.310 2.594 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](C(C)(C)C)[C@H](O)C1 ZINC000272488265 385550097 /nfs/dbraw/zinc/55/00/97/385550097.db2.gz KCUBQGMJDVNIRT-CHWSQXEVSA-N 0 0 293.367 2.532 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1S[C@H]1CCCOC1 ZINC000272548602 385562572 /nfs/dbraw/zinc/56/25/72/385562572.db2.gz SXEULULBYYOKQW-JTQLQIEISA-N 0 0 254.311 2.569 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2COc3c2cccc3Cl)nc1 ZINC000371845699 285183593 /nfs/dbraw/zinc/18/35/93/285183593.db2.gz HPZMRASCQDTWNG-JTQLQIEISA-N 0 0 292.682 2.584 20 5 CFBDRN CC1(C)CC[C@@H]1Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000286363161 385598864 /nfs/dbraw/zinc/59/88/64/385598864.db2.gz JOSWVIPGVBWKDU-LBPRGKRZSA-N 0 0 298.364 2.599 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)O1 ZINC000272625273 385578319 /nfs/dbraw/zinc/57/83/19/385578319.db2.gz APLCXVFKEHGDOZ-OCCSQVGLSA-N 0 0 293.319 2.904 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])C[C@@H](C)[S@@]1=O ZINC000286279409 385582259 /nfs/dbraw/zinc/58/22/59/385582259.db2.gz HXILBIUQHFZUMR-HTGLOVNISA-N 0 0 296.392 2.639 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000272649863 385582546 /nfs/dbraw/zinc/58/25/46/385582546.db2.gz PNZHLPUSSVIHLP-NHYWBVRUSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ccnc(NC[C@H]2COc3ccccc32)c1[N+](=O)[O-] ZINC000288781806 197164408 /nfs/dbraw/zinc/16/44/08/197164408.db2.gz DNYYLCKLIPFNNK-NSHDSACASA-N 0 0 285.303 2.886 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC(C)(C)C[C@@H]1C ZINC000412763855 533133439 /nfs/dbraw/zinc/13/34/39/533133439.db2.gz GENDLLBEDIQTHX-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@]1(C)CCCS1 ZINC000288776960 197163662 /nfs/dbraw/zinc/16/36/62/197163662.db2.gz DWQAYANAYWQKLS-GFCCVEGCSA-N 0 0 284.385 2.817 20 5 CFBDRN CCC(=O)c1ccc(N(C)C[C@@H](C)OC)c([N+](=O)[O-])c1 ZINC000286329424 385591091 /nfs/dbraw/zinc/59/10/91/385591091.db2.gz JAGAYTJNBPYEPS-SNVBAGLBSA-N 0 0 280.324 2.659 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272788153 385615502 /nfs/dbraw/zinc/61/55/02/385615502.db2.gz GBIKTDSZOVLXSQ-MRVPVSSYSA-N 0 0 267.285 2.523 20 5 CFBDRN Cc1cc(N2CCCCC[C@H]2C[C@@H](C)O)ncc1[N+](=O)[O-] ZINC000286446962 385622115 /nfs/dbraw/zinc/62/21/15/385622115.db2.gz SZPHNHWVAHSVHS-OLZOCXBDSA-N 0 0 293.367 2.818 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCO[C@H](C)C1 ZINC000286464361 385628605 /nfs/dbraw/zinc/62/86/05/385628605.db2.gz KJXKRYPMFSKGHS-KOLCDFICSA-N 0 0 278.308 2.777 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCO[C@H](C)C1 ZINC000286464369 385628611 /nfs/dbraw/zinc/62/86/11/385628611.db2.gz KJXKRYPMFSKGHS-MWLCHTKSSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1cccc(F)c1[N+](=O)[O-] ZINC000286499970 385635775 /nfs/dbraw/zinc/63/57/75/385635775.db2.gz WIUALURCQCASOM-NXEZZACHSA-N 0 0 268.288 2.818 20 5 CFBDRN CCOC(CCNc1c(F)cccc1[N+](=O)[O-])OCC ZINC000286543820 385644771 /nfs/dbraw/zinc/64/47/71/385644771.db2.gz DAQFXILGIRBQCE-UHFFFAOYSA-N 0 0 286.303 2.935 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(CCCO)CC1 ZINC000272954264 385665788 /nfs/dbraw/zinc/66/57/88/385665788.db2.gz YIARYDXZDXFBIO-UHFFFAOYSA-N 0 0 264.325 2.584 20 5 CFBDRN CCc1ccc([C@@H](O)CNc2ncccc2[N+](=O)[O-])cc1 ZINC000286583789 385656635 /nfs/dbraw/zinc/65/66/35/385656635.db2.gz NLLVWZZQMNXSHR-AWEZNQCLSA-N 0 0 287.319 2.698 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc([N+](=O)[O-])cc2C(C)=O)CCO1 ZINC000286662435 385679803 /nfs/dbraw/zinc/67/98/03/385679803.db2.gz MFBNNEPBGYWSQY-HNNXBMFYSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1cc(C(=O)NCCSC(C)C)cc([N+](=O)[O-])c1 ZINC000286706337 385693113 /nfs/dbraw/zinc/69/31/13/385693113.db2.gz LVABNVGIEMPGRP-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000286783147 385709252 /nfs/dbraw/zinc/70/92/52/385709252.db2.gz RGAGUNQLOQIWBM-CYBMUJFWSA-N 0 0 276.336 2.832 20 5 CFBDRN CC(C)SCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000286619925 385667753 /nfs/dbraw/zinc/66/77/53/385667753.db2.gz GJRUGYBMIBYAGW-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN Cc1nccn1CCCOc1c(F)cccc1[N+](=O)[O-] ZINC000274268724 385751955 /nfs/dbraw/zinc/75/19/55/385751955.db2.gz DSWSMJUQDHUSIW-UHFFFAOYSA-N 0 0 279.271 2.708 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC2(C)C)c1 ZINC000286974337 385754155 /nfs/dbraw/zinc/75/41/55/385754155.db2.gz GJXHHONOMXAHGD-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@H]2CCC2(C)C)ccc1[N+](=O)[O-] ZINC000286835886 385719932 /nfs/dbraw/zinc/71/99/32/385719932.db2.gz WOOPKHONDIUTMM-CYBMUJFWSA-N 0 0 292.335 2.587 20 5 CFBDRN COC1(c2noc(-c3ccc(C)c([N+](=O)[O-])c3)n2)CCC1 ZINC000274123185 385723022 /nfs/dbraw/zinc/72/30/22/385723022.db2.gz VEIZTRFNJFWEND-UHFFFAOYSA-N 0 0 289.291 2.979 20 5 CFBDRN CSCCCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000287212661 385803837 /nfs/dbraw/zinc/80/38/37/385803837.db2.gz IIZOYBBRVHRLJP-UHFFFAOYSA-N 0 0 284.366 2.765 20 5 CFBDRN Cc1noc(CCCOc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000274721997 385857106 /nfs/dbraw/zinc/85/71/06/385857106.db2.gz FKHVRDJTUFGZEB-UHFFFAOYSA-N 0 0 295.270 2.745 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000274516882 385816030 /nfs/dbraw/zinc/81/60/30/385816030.db2.gz VWMGQGSIPSLBLD-PCAWENJQSA-N 0 0 250.298 2.642 20 5 CFBDRN Nc1c(F)c(NC(C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000274714045 385855044 /nfs/dbraw/zinc/85/50/44/385855044.db2.gz YWLRNKGPYANDKR-UHFFFAOYSA-N 0 0 265.288 2.917 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCC[C@H]2CCO)c([N+](=O)[O-])c1 ZINC000274972392 385905046 /nfs/dbraw/zinc/90/50/46/385905046.db2.gz ABAQANKJQRDGJU-WCQYABFASA-N 0 0 292.335 2.760 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000275024824 385915201 /nfs/dbraw/zinc/91/52/01/385915201.db2.gz MZLBFSLHSDPAOM-CYBMUJFWSA-N 0 0 299.758 2.632 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1CCC[C@@H]1CCO ZINC000275068089 385926078 /nfs/dbraw/zinc/92/60/78/385926078.db2.gz YKNCBUVMCSMGSU-MWLCHTKSSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1ccc(N[C@@H]2CCC[C@@H]2CCO)c([N+](=O)[O-])c1 ZINC000275068099 385926222 /nfs/dbraw/zinc/92/62/22/385926222.db2.gz YKVHQTRZNJZPIZ-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN COc1cccc(NCc2ncoc2C)c1[N+](=O)[O-] ZINC000274763773 385866477 /nfs/dbraw/zinc/86/64/77/385866477.db2.gz SBBSBLQUUOONCR-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN Cc1ccc(N(C)[C@H]2CC[C@H](O)CC2)c([N+](=O)[O-])c1 ZINC000275951108 385980297 /nfs/dbraw/zinc/98/02/97/385980297.db2.gz ULDNNTLZPJECBB-HAQNSBGRSA-N 0 0 264.325 2.643 20 5 CFBDRN CC[C@]1(C)CN(c2cccc(OC)c2[N+](=O)[O-])CCO1 ZINC000276029576 385980977 /nfs/dbraw/zinc/98/09/77/385980977.db2.gz LLHUETLCKCDXIX-CQSZACIVSA-N 0 0 280.324 2.609 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)[C@H](OC)C1 ZINC000276061624 385981540 /nfs/dbraw/zinc/98/15/40/385981540.db2.gz RNPSOKTYSLETKU-IAQYHMDHSA-N 0 0 294.351 2.602 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CCCCO1 ZINC000288528267 197104504 /nfs/dbraw/zinc/10/45/04/197104504.db2.gz RQDWRMWFESEABH-GFCCVEGCSA-N 0 0 278.308 2.778 20 5 CFBDRN CC(C)C1(C)CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000275236553 385963845 /nfs/dbraw/zinc/96/38/45/385963845.db2.gz KEUNXDYZWMJHAN-UHFFFAOYSA-N 0 0 279.340 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCSC2)nc1 ZINC000288507386 197098451 /nfs/dbraw/zinc/09/84/51/197098451.db2.gz MWCICJACPUKJJB-SECBINFHSA-N 0 0 253.327 2.545 20 5 CFBDRN COC1(CC(=O)N(C)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000276794681 386045778 /nfs/dbraw/zinc/04/57/78/386045778.db2.gz USVSQLRJYUXPNO-UHFFFAOYSA-N 0 0 278.308 2.517 20 5 CFBDRN COC1(CC(=O)OCc2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000276862612 386061731 /nfs/dbraw/zinc/06/17/31/386061731.db2.gz MTGVGSFCJDJZRX-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN CCOC1CC(CCNc2nccc(C)c2[N+](=O)[O-])C1 ZINC000276857781 386062216 /nfs/dbraw/zinc/06/22/16/386062216.db2.gz ICEVCCOGWYOYGT-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN COc1cc(C(=O)N2C[C@@H](C)[C@H](C)C2)cc([N+](=O)[O-])c1C ZINC000276919702 386080337 /nfs/dbraw/zinc/08/03/37/386080337.db2.gz QPRGVOKGUWSTEZ-NXEZZACHSA-N 0 0 292.335 2.640 20 5 CFBDRN COC(=O)c1cc(NC(C)C)c(C)c([N+](=O)[O-])c1 ZINC000276968410 386094473 /nfs/dbraw/zinc/09/44/73/386094473.db2.gz QAWYMZDKPSZTQH-UHFFFAOYSA-N 0 0 252.270 2.510 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCC1(C)CC1 ZINC000288394579 197066696 /nfs/dbraw/zinc/06/66/96/197066696.db2.gz JZVSSHZFSSVOET-UHFFFAOYSA-N 0 0 252.318 2.722 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2noc3c2CCCC3)s1 ZINC000288371333 197061048 /nfs/dbraw/zinc/06/10/48/197061048.db2.gz YPODSEMMIHFDHC-UHFFFAOYSA-N 0 0 280.309 2.530 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1scnc1C ZINC000288301568 197046836 /nfs/dbraw/zinc/04/68/36/197046836.db2.gz CDHZALOWLJMNGQ-UHFFFAOYSA-N 0 0 295.368 2.521 20 5 CFBDRN CCOC[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000288180054 197020305 /nfs/dbraw/zinc/02/03/05/197020305.db2.gz BNKQMJSSLVOJSZ-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN CCc1nn(C)c(Oc2cccc(F)c2)c1[N+](=O)[O-] ZINC000288153220 197015172 /nfs/dbraw/zinc/01/51/72/197015172.db2.gz ONFJYFKZYOTCCJ-UHFFFAOYSA-N 0 0 265.244 2.822 20 5 CFBDRN Cc1nc(N2CC(COc3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000288092060 197002663 /nfs/dbraw/zinc/00/26/63/197002663.db2.gz ACGYYNDBUJNILC-UHFFFAOYSA-N 0 0 299.330 2.813 20 5 CFBDRN CO[C@@H](CNc1ncnc2sc([N+](=O)[O-])cc21)C(C)C ZINC000287987160 196983602 /nfs/dbraw/zinc/98/36/02/196983602.db2.gz ASYZCMDAHNJMOV-VIFPVBQESA-N 0 0 296.352 2.682 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(SC[C@@H]2CCCO2)n1 ZINC000573871458 322671979 /nfs/dbraw/zinc/67/19/79/322671979.db2.gz MOKCJXXZAHYISE-JTQLQIEISA-N 0 0 268.338 2.878 20 5 CFBDRN COCCC(C)(C)CNc1ncc([N+](=O)[O-])cc1F ZINC000413227762 533179561 /nfs/dbraw/zinc/17/95/61/533179561.db2.gz IOJJVRWQPLLEBH-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000287625676 196904376 /nfs/dbraw/zinc/90/43/76/196904376.db2.gz ZJDLAGXZIWKEOW-CQSZACIVSA-N 0 0 280.324 2.760 20 5 CFBDRN COC[C@@H]1CCCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000372038374 285261826 /nfs/dbraw/zinc/26/18/26/285261826.db2.gz NTDSZZBJDRJLHK-SNVBAGLBSA-N 0 0 299.758 2.896 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1CC ZINC000281275015 195177408 /nfs/dbraw/zinc/17/74/08/195177408.db2.gz WQXPASFQJAMRKB-NXEZZACHSA-N 0 0 278.308 2.522 20 5 CFBDRN CCCCCC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000281277338 195177637 /nfs/dbraw/zinc/17/76/37/195177637.db2.gz WRIIWZUQPDVHLA-UHFFFAOYSA-N 0 0 251.286 2.533 20 5 CFBDRN COc1ncc(C)cc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000173513227 533208105 /nfs/dbraw/zinc/20/81/05/533208105.db2.gz HVNXFNNTIBNVOB-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H]1CC(CNC(=O)c2ccc([N+](=O)[O-])s2)C[C@@H](C)O1 ZINC000281438128 195240725 /nfs/dbraw/zinc/24/07/25/195240725.db2.gz YXKZHFVUINXABV-RKDXNWHRSA-N 0 0 298.364 2.590 20 5 CFBDRN COC[C@@H]1CCCCN(c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000364216634 292868077 /nfs/dbraw/zinc/86/80/77/292868077.db2.gz NHMRSMRBBFOMQA-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN COC(=O)CC1(COc2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000281484687 195260697 /nfs/dbraw/zinc/26/06/97/195260697.db2.gz PLMPRXLKYSIHCX-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN O=C(Nc1ccc(C2CCC2)cc1)c1cc([N+](=O)[O-])n[nH]1 ZINC000287146600 196789650 /nfs/dbraw/zinc/78/96/50/196789650.db2.gz QXXUJFRHFDRVHR-UHFFFAOYSA-N 0 0 286.291 2.838 20 5 CFBDRN COCCC(C)(C)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000286984336 196751675 /nfs/dbraw/zinc/75/16/75/196751675.db2.gz TWIAEDUOLSKYQL-UHFFFAOYSA-N 0 0 267.329 2.652 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000286813336 196711063 /nfs/dbraw/zinc/71/10/63/196711063.db2.gz UKJIRPFAJFYAIF-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000286813248 196710437 /nfs/dbraw/zinc/71/04/37/196710437.db2.gz UJHZDFXITKQZEI-QMMMGPOBSA-N 0 0 254.311 2.575 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000286788521 196703890 /nfs/dbraw/zinc/70/38/90/196703890.db2.gz RPHXORKOXRRAGT-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN COC[C@@]1(C)CCN(c2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000294874140 285322052 /nfs/dbraw/zinc/32/20/52/285322052.db2.gz DNBNXSXKCAWNNL-AWEZNQCLSA-N 0 0 298.314 2.605 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)NCCC1CC1 ZINC000081021909 177757140 /nfs/dbraw/zinc/75/71/40/177757140.db2.gz WMFSFPPIYGOEAM-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccnc(C)c1 ZINC000170234554 533228946 /nfs/dbraw/zinc/22/89/46/533228946.db2.gz VSANPRYRCXZFNK-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cnc(Oc2ccc3c[nH]nc3c2)c([N+](=O)[O-])c1 ZINC000095411561 184971881 /nfs/dbraw/zinc/97/18/81/184971881.db2.gz XXXAYPHCSRRSDN-UHFFFAOYSA-N 0 0 270.248 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N(C)C2CCC2)c1 ZINC000281602470 195304167 /nfs/dbraw/zinc/30/41/67/195304167.db2.gz OLPLXPZKCXRQDM-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN CCCN(C(=O)[C@H](CC)OC)c1cccc([N+](=O)[O-])c1 ZINC000281659850 195326320 /nfs/dbraw/zinc/32/63/20/195326320.db2.gz CZMDCKQVSQCGLM-ZDUSSCGKSA-N 0 0 280.324 2.763 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2C)[C@H](C)C1 ZINC000281752103 195365231 /nfs/dbraw/zinc/36/52/31/195365231.db2.gz JBMVQLKIFXYOCV-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCCO1 ZINC000281761050 195368827 /nfs/dbraw/zinc/36/88/27/195368827.db2.gz FONQMFFHEARSEY-LLVKDONJSA-N 0 0 291.351 2.568 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@H](Nc2ncc([N+](=O)[O-])s2)C1(C)C ZINC000281785060 195381343 /nfs/dbraw/zinc/38/13/43/195381343.db2.gz FALFZAXDLVXPHK-BWVDBABLSA-N 0 0 271.342 2.523 20 5 CFBDRN COC(=O)C1(CNc2ncc([N+](=O)[O-])s2)CCCCC1 ZINC000282079557 195498611 /nfs/dbraw/zinc/49/86/11/195498611.db2.gz ZSZDYUHAHUQPAK-UHFFFAOYSA-N 0 0 299.352 2.587 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1cc([N+](=O)[O-])ccc1F ZINC000282284474 195563237 /nfs/dbraw/zinc/56/32/37/195563237.db2.gz PWHIQQNFKHQSTQ-UHFFFAOYSA-N 0 0 293.302 2.693 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000282739307 195694858 /nfs/dbraw/zinc/69/48/58/195694858.db2.gz TZDOGGMDVUPOEX-SYVBBQGSSA-N 0 0 291.303 2.719 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CSC2(C)C)c1 ZINC000283150663 195804372 /nfs/dbraw/zinc/80/43/72/195804372.db2.gz BVROYAGNHHPLAQ-NSHDSACASA-N 0 0 280.349 2.527 20 5 CFBDRN COC[C@H](C)SCCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000283412439 195854086 /nfs/dbraw/zinc/85/40/86/195854086.db2.gz GBWFDPWUKFYBPI-JTQLQIEISA-N 0 0 298.364 2.692 20 5 CFBDRN Cc1nnc(CNc2c(C)c([N+](=O)[O-])ccc2F)s1 ZINC000283642430 195892858 /nfs/dbraw/zinc/89/28/58/195892858.db2.gz WKTGDEKWXKGYGA-UHFFFAOYSA-N 0 0 282.300 2.814 20 5 CFBDRN Cc1cncc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 ZINC000283684529 195900730 /nfs/dbraw/zinc/90/07/30/195900730.db2.gz SWHVOMCPJMLSBU-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN COC[C@H](NCc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000184552808 285405481 /nfs/dbraw/zinc/40/54/81/285405481.db2.gz VWOXQSNCCVELNY-LBPRGKRZSA-N 0 0 276.292 2.665 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N[C@@H]1CC12CC2 ZINC000425269893 533303825 /nfs/dbraw/zinc/30/38/25/533303825.db2.gz DGSLXYCALOLBKI-CYBMUJFWSA-N 0 0 285.303 2.538 20 5 CFBDRN C[C@@H](NCc1ccccc1[N+](=O)[O-])c1cc2n(n1)CCC2 ZINC000414108065 533462132 /nfs/dbraw/zinc/46/21/32/533462132.db2.gz ZORLRWRAKYUNCA-LLVKDONJSA-N 0 0 286.335 2.588 20 5 CFBDRN CSCCN(C)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413185040 533580112 /nfs/dbraw/zinc/58/01/12/533580112.db2.gz LLEHUGCDXLFFLS-UHFFFAOYSA-N 0 0 277.349 2.942 20 5 CFBDRN Cc1cc(C)cc([C@H](C)NCc2c([N+](=O)[O-])ncn2C)c1 ZINC000341733215 130005030 /nfs/dbraw/zinc/00/50/30/130005030.db2.gz SAVBQEVHMHILIC-LBPRGKRZSA-N 0 0 288.351 2.796 20 5 CFBDRN CC(C)CCCNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341746098 130017372 /nfs/dbraw/zinc/01/73/72/130017372.db2.gz WIBBQPHYGPOXSK-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)C(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000341779327 130041973 /nfs/dbraw/zinc/04/19/73/130041973.db2.gz TWDNIRAKRBUKLZ-JGVFFNPUSA-N 0 0 290.241 2.970 20 5 CFBDRN COC[C@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000226531612 285447468 /nfs/dbraw/zinc/44/74/68/285447468.db2.gz PZVWDLCKOFDFPS-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN CCC1(NC(=O)c2cccc([N+](=O)[O-])c2C)CCOCC1 ZINC000342065187 130230881 /nfs/dbraw/zinc/23/08/81/130230881.db2.gz TWZBLXYDFGPBBI-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCC1(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCOCC1 ZINC000342087176 130301791 /nfs/dbraw/zinc/30/17/91/130301791.db2.gz JFLMYMLZDGPSOC-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C2=CCC2)cc1[N+](=O)[O-] ZINC000355634264 130324944 /nfs/dbraw/zinc/32/49/44/130324944.db2.gz JIEYLTHMNAUGNS-JTQLQIEISA-N 0 0 260.293 2.801 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cn1)C1CCCC1 ZINC000355938854 130356225 /nfs/dbraw/zinc/35/62/25/130356225.db2.gz KMNJXKQZRNSMHB-UHFFFAOYSA-N 0 0 266.322 2.841 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000356558286 130390037 /nfs/dbraw/zinc/39/00/37/130390037.db2.gz DGHGCZPMZXGYJV-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(CCc3ccco3)no2)c1 ZINC000357379094 130457002 /nfs/dbraw/zinc/45/70/02/130457002.db2.gz RIHHMATUHSMPIF-UHFFFAOYSA-N 0 0 299.286 2.947 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC1(C)CC1 ZINC000357529355 130490423 /nfs/dbraw/zinc/49/04/23/130490423.db2.gz QBWGHEVSRZLGNT-JTQLQIEISA-N 0 0 294.376 2.992 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ncnn2C(C)C)c1 ZINC000357682206 130498889 /nfs/dbraw/zinc/49/88/89/130498889.db2.gz CRSCMZVDUZZLBT-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN Cc1cnc(NC[C@H](CO)CC2CCCC2)c([N+](=O)[O-])c1 ZINC000359297769 130506445 /nfs/dbraw/zinc/50/64/45/130506445.db2.gz QINJRLRKTGJOGS-CYBMUJFWSA-N 0 0 293.367 2.899 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](CO)C(C)C)c([N+](=O)[O-])c1 ZINC000359543238 130520583 /nfs/dbraw/zinc/52/05/83/130520583.db2.gz CSFBXNYQAIJYBY-LBPRGKRZSA-N 0 0 280.324 2.616 20 5 CFBDRN O=C(CCC1CC1)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359648285 130527588 /nfs/dbraw/zinc/52/75/88/130527588.db2.gz PBCWPIPNJWWHJE-UHFFFAOYSA-N 0 0 290.319 2.506 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000359651954 130527985 /nfs/dbraw/zinc/52/79/85/130527985.db2.gz RNLOJIAVYRDAAJ-KTLBGCOUSA-N 0 0 292.335 2.927 20 5 CFBDRN C[C@H](C(=O)NCCNc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000359852734 130553086 /nfs/dbraw/zinc/55/30/86/130553086.db2.gz VGJSLLONDOIPKH-LLVKDONJSA-N 0 0 293.367 2.805 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC(C2CCC2)C1 ZINC000336441352 291994872 /nfs/dbraw/zinc/99/48/72/291994872.db2.gz YFMWHUWVOFDBHO-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN CCOc1cc(N(C)[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000181235827 413055256 /nfs/dbraw/zinc/05/52/56/413055256.db2.gz FQYGJLJATBGZOD-LLVKDONJSA-N 0 0 282.365 2.935 20 5 CFBDRN CC[C@@H](C)NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000015338759 393481755 /nfs/dbraw/zinc/48/17/55/393481755.db2.gz JECKQCLKNIYKKZ-SECBINFHSA-N 0 0 268.338 2.602 20 5 CFBDRN O=C(CNc1cccc([N+](=O)[O-])c1)NCC1CCCCC1 ZINC000007115962 393465911 /nfs/dbraw/zinc/46/59/11/393465911.db2.gz CPQIKHOXMAHWCG-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CCC(=O)c1ccc(N2CCOC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000373521721 393468763 /nfs/dbraw/zinc/46/87/63/393468763.db2.gz LBUAEZMCHVFTPO-LLVKDONJSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1cnc(N2C[C@@H]3CCCC[C@H]3C2)c([N+](=O)[O-])c1 ZINC000301544543 393474946 /nfs/dbraw/zinc/47/49/46/393474946.db2.gz KTQOGVAFJXKQBZ-RYUDHWBXSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cc(N2CC(C)(C)O[C@@H]3CCC[C@H]32)ncc1[N+](=O)[O-] ZINC000374138805 393485182 /nfs/dbraw/zinc/48/51/82/393485182.db2.gz VCEXHMFOSCAZHE-DGCLKSJQSA-N 0 0 291.351 2.835 20 5 CFBDRN CCCOc1cccc(Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000029589041 393504003 /nfs/dbraw/zinc/50/40/03/393504003.db2.gz YQGABDLWRBDXIY-UHFFFAOYSA-N 0 0 276.296 2.861 20 5 CFBDRN Cc1csc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])n1 ZINC000029886020 393505182 /nfs/dbraw/zinc/50/51/82/393505182.db2.gz ZXLSCYYLTNGGIW-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCOc1cc(N[C@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000182993083 393515364 /nfs/dbraw/zinc/51/53/64/393515364.db2.gz NZMNNQBFZJCXTN-NSHDSACASA-N 0 0 280.324 2.975 20 5 CFBDRN CC(C)N(C(=O)COc1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000185020419 393531325 /nfs/dbraw/zinc/53/13/25/393531325.db2.gz NMBFKWDWGPPGEJ-UHFFFAOYSA-N 0 0 298.314 2.758 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1cccc([N+](=O)[O-])c1C ZINC000330537345 393553076 /nfs/dbraw/zinc/55/30/76/393553076.db2.gz WGLHSZOSXMFCCU-HNNXBMFYSA-N 0 0 278.352 2.904 20 5 CFBDRN CC[C@@]1(C)COCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000330625014 393554756 /nfs/dbraw/zinc/55/47/56/393554756.db2.gz GYOGCNCUIOIXJN-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN CCOc1ncccc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000044507626 393565135 /nfs/dbraw/zinc/56/51/35/393565135.db2.gz DEEKIZYZSUCEJG-UHFFFAOYSA-N 0 0 293.304 2.702 20 5 CFBDRN Cc1ccsc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000046146750 393576807 /nfs/dbraw/zinc/57/68/07/393576807.db2.gz XHHMAQAYBKWPPM-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCC[C@@H]1CCOC1 ZINC000401059909 393602567 /nfs/dbraw/zinc/60/25/67/393602567.db2.gz FTLAABRHMCVPSM-NSHDSACASA-N 0 0 279.292 2.603 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000048866326 393605572 /nfs/dbraw/zinc/60/55/72/393605572.db2.gz OAGOAPKXPUZTTD-ZJUUUORDSA-N 0 0 279.340 2.801 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1cccc(Br)c1 ZINC000047168981 393585791 /nfs/dbraw/zinc/58/57/91/393585791.db2.gz VADDFOZIHKFLTJ-UHFFFAOYSA-N 0 0 282.097 2.602 20 5 CFBDRN C[C@H]1CC[C@H](CSc2ncccc2[N+](=O)[O-])O1 ZINC000191101649 393586890 /nfs/dbraw/zinc/58/68/90/393586890.db2.gz CWLMMDZQVYUIDO-DTWKUNHWSA-N 0 0 254.311 2.649 20 5 CFBDRN CCOCCCCNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000047345975 393587076 /nfs/dbraw/zinc/58/70/76/393587076.db2.gz IQHNVQXZSMOLND-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN CCCN(C(=O)[C@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000194123318 393679298 /nfs/dbraw/zinc/67/92/98/393679298.db2.gz URSMNSRSKHSRHG-MFKMUULPSA-N 0 0 262.309 2.994 20 5 CFBDRN COc1cccc(C(=O)NC[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000194156294 393679313 /nfs/dbraw/zinc/67/93/13/393679313.db2.gz PXCPQWULBNXQFS-NSHDSACASA-N 0 0 290.319 2.690 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCCC(C)(C)C1 ZINC000192592473 393626580 /nfs/dbraw/zinc/62/65/80/393626580.db2.gz JGGTVBJBOYHTAY-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@H]3OCC[C@@H]32)c1 ZINC000192800301 393632985 /nfs/dbraw/zinc/63/29/85/393632985.db2.gz SHUMTZHHIKZVPH-UHIISALHSA-N 0 0 277.324 2.547 20 5 CFBDRN CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000193538592 393654244 /nfs/dbraw/zinc/65/42/44/393654244.db2.gz BFPVPVHFYNGILM-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN CCN(C(=O)CCNc1ccccc1[N+](=O)[O-])C(C)C ZINC000193557762 393655200 /nfs/dbraw/zinc/65/52/00/393655200.db2.gz JSMNQQICRKDHQM-UHFFFAOYSA-N 0 0 279.340 2.654 20 5 CFBDRN COc1cccc(C(=O)NCCC2CCCC2)c1[N+](=O)[O-] ZINC000193959295 393669777 /nfs/dbraw/zinc/66/97/77/393669777.db2.gz OKEAXVKEYKGIMK-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CC(C)C[C@H]1CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000075061165 393670397 /nfs/dbraw/zinc/67/03/97/393670397.db2.gz YUAQTKPFGQWMHC-LLVKDONJSA-N 0 0 291.351 2.566 20 5 CFBDRN O=C(NCc1ccco1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411128657 393671340 /nfs/dbraw/zinc/67/13/40/393671340.db2.gz AUZFZFJEORVWTF-UONOGXRCSA-N 0 0 286.287 2.608 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000336607189 393743388 /nfs/dbraw/zinc/74/33/88/393743388.db2.gz BPZCDWBIYXSILV-SECBINFHSA-N 0 0 278.283 2.772 20 5 CFBDRN CC(C)n1ccc(CNCc2ccccc2[N+](=O)[O-])n1 ZINC000084225472 393747368 /nfs/dbraw/zinc/74/73/68/393747368.db2.gz CHKSKXSPUHBRAV-UHFFFAOYSA-N 0 0 274.324 2.662 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccncc1 ZINC000087006930 393755939 /nfs/dbraw/zinc/75/59/39/393755939.db2.gz KDPARFDLPZXRNY-UHFFFAOYSA-N 0 0 271.276 2.561 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCO[C@@H](C3CCC3)C2)c1 ZINC000411860221 393756436 /nfs/dbraw/zinc/75/64/36/393756436.db2.gz LIFIAQLBPKJRQE-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN C[C@@H](CN1CCCC1=O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000076872004 393692610 /nfs/dbraw/zinc/69/26/10/393692610.db2.gz KMBZYAWHLGKRNK-VIFPVBQESA-N 0 0 297.742 2.671 20 5 CFBDRN CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000194401003 393692935 /nfs/dbraw/zinc/69/29/35/393692935.db2.gz BZXJTZXZXXNDSG-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccccc1O ZINC000194474918 393699639 /nfs/dbraw/zinc/69/96/39/393699639.db2.gz NYULYVJMVDHZAH-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CCCOCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000194547801 393704548 /nfs/dbraw/zinc/70/45/48/393704548.db2.gz GMUJMUOUVZYKCN-UHFFFAOYSA-N 0 0 266.297 2.577 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000078317233 393705523 /nfs/dbraw/zinc/70/55/23/393705523.db2.gz CZANESJXWOFWAS-ZDUSSCGKSA-N 0 0 291.351 2.973 20 5 CFBDRN O=[N+]([O-])c1cn(CCOc2cccc(F)c2)nc1C1CC1 ZINC000195048421 393729273 /nfs/dbraw/zinc/72/92/73/393729273.db2.gz ZQEJDUWMPNWLNU-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN CC[C@@](C)(CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000080882299 393729487 /nfs/dbraw/zinc/72/94/87/393729487.db2.gz AODFWQOFIYTRPD-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN O=c1cc(C2CCC2)ncn1Cc1csc([N+](=O)[O-])c1 ZINC000089704401 393769490 /nfs/dbraw/zinc/76/94/90/393769490.db2.gz MJBLGHQPYXEVFM-UHFFFAOYSA-N 0 0 291.332 2.529 20 5 CFBDRN COC1CCC(NC(=O)c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000458054962 393835076 /nfs/dbraw/zinc/83/50/76/393835076.db2.gz BVDUNPQIDYRKMP-UHFFFAOYSA-N 0 0 292.335 2.591 20 5 CFBDRN CNc1ccc(C(=O)NCC2(C)CCC2)cc1[N+](=O)[O-] ZINC000226821999 393771250 /nfs/dbraw/zinc/77/12/50/393771250.db2.gz REPAZSYNSIBEJX-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN COc1ccnc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000089981556 393773326 /nfs/dbraw/zinc/77/33/26/393773326.db2.gz QTTMVAMBIGBXMB-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cc(NC[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000228582218 393774968 /nfs/dbraw/zinc/77/49/68/393774968.db2.gz QBCWQYKKWQNPEI-VIFPVBQESA-N 0 0 268.338 2.768 20 5 CFBDRN COc1cccc(NC[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000228583161 393775146 /nfs/dbraw/zinc/77/51/46/393775146.db2.gz SJUMFENEKOUHEN-VIFPVBQESA-N 0 0 268.338 2.768 20 5 CFBDRN O=C(CSCC(F)(F)F)Nc1ccc([N+](=O)[O-])cc1 ZINC000090444534 393777207 /nfs/dbraw/zinc/77/72/07/393777207.db2.gz NRXFWRCAMSVDEG-UHFFFAOYSA-N 0 0 294.254 2.829 20 5 CFBDRN Cn1ccc(C(=O)COc2c(Cl)cccc2[N+](=O)[O-])c1 ZINC000230180603 393778456 /nfs/dbraw/zinc/77/84/56/393778456.db2.gz ZCKQZJOLTCBNSR-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN O=C(Nc1cccc(-c2cn[nH]c2)c1)c1ccc([N+](=O)[O-])o1 ZINC000090499182 393779005 /nfs/dbraw/zinc/77/90/05/393779005.db2.gz RZDDECCIXQVAGZ-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN CCOc1cccc(N[C@@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000231038313 393780477 /nfs/dbraw/zinc/78/04/77/393780477.db2.gz TUEPSYCHNDTRNQ-WDEREUQCSA-N 0 0 280.324 2.973 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1Nc1ncc([N+](=O)[O-])cc1F ZINC000413310556 393781644 /nfs/dbraw/zinc/78/16/44/393781644.db2.gz CKMBYTUFRJHXMT-VXGBXAGGSA-N 0 0 283.303 2.889 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc(F)cc2[N+](=O)[O-])[C@H](C)O1 ZINC000413528712 393785256 /nfs/dbraw/zinc/78/52/56/393785256.db2.gz HJBQVFYFILBPDH-KXUCPTDWSA-N 0 0 268.288 2.959 20 5 CFBDRN CCc1csc(NCCc2cccc([N+](=O)[O-])c2)n1 ZINC000340083137 393836344 /nfs/dbraw/zinc/83/63/44/393836344.db2.gz PIDUUHUCRQKONA-UHFFFAOYSA-N 0 0 277.349 2.690 20 5 CFBDRN Cc1c(CS(=O)(=O)[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000424209632 393798251 /nfs/dbraw/zinc/79/82/51/393798251.db2.gz SLXSPWGEYXNODN-NSHDSACASA-N 0 0 285.365 2.863 20 5 CFBDRN Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)nc1C ZINC000457995457 393819936 /nfs/dbraw/zinc/81/99/36/393819936.db2.gz XMCRZGJFZVEPBI-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000415742765 393822687 /nfs/dbraw/zinc/82/26/87/393822687.db2.gz JUPPVEFTKKPDFJ-SMDDNHRTSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000416075871 393865167 /nfs/dbraw/zinc/86/51/67/393865167.db2.gz GBWZZPNISVGKQG-UTUOFQBUSA-N 0 0 276.336 2.640 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000416083308 393868784 /nfs/dbraw/zinc/86/87/84/393868784.db2.gz XZSCDSWOJYBLDC-MXWKQRLJSA-N 0 0 294.326 2.779 20 5 CFBDRN Cc1cnccc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000107287565 393869473 /nfs/dbraw/zinc/86/94/73/393869473.db2.gz KSEAKUKSCNFNKI-QPJJXVBHSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1cnccc1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000471735543 393911040 /nfs/dbraw/zinc/91/10/40/393911040.db2.gz JCOZXFQJBVHQIM-LLVKDONJSA-N 0 0 297.314 2.890 20 5 CFBDRN Cc1c(NC(=O)/C=C/C2CCOCC2)cccc1[N+](=O)[O-] ZINC000112164269 393889957 /nfs/dbraw/zinc/88/99/57/393889957.db2.gz WBYMKBLWIJKIBJ-AATRIKPKSA-N 0 0 290.319 2.825 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCNc2c([N+](=O)[O-])ncn2C)C1 ZINC000112400940 393892525 /nfs/dbraw/zinc/89/25/25/393892525.db2.gz ZCMSZQAQNTWEDK-MNOVXSKESA-N 0 0 266.345 2.957 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1N)[C@H]1CC1(C)C ZINC000416280787 393896442 /nfs/dbraw/zinc/89/64/42/393896442.db2.gz ZLBRHDQZGPAXQL-MNOVXSKESA-N 0 0 291.351 2.732 20 5 CFBDRN CC(C)OCC(C)(C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000416015299 393850664 /nfs/dbraw/zinc/85/06/64/393850664.db2.gz PTKVEJMKXIEZGR-UHFFFAOYSA-N 0 0 280.324 2.528 20 5 CFBDRN CO[C@@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])CC(C)C ZINC000416039717 393851938 /nfs/dbraw/zinc/85/19/38/393851938.db2.gz GINXBCLVFVPAGT-GFCCVEGCSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000458121572 393852084 /nfs/dbraw/zinc/85/20/84/393852084.db2.gz GEJUXNFDPABLNQ-NWDGAFQWSA-N 0 0 262.309 2.678 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000458130597 393855030 /nfs/dbraw/zinc/85/50/30/393855030.db2.gz NWUXJHIPECTJIZ-HIFRSBDPSA-N 0 0 294.326 2.827 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000458140433 393857127 /nfs/dbraw/zinc/85/71/27/393857127.db2.gz LBSGFHLXMCWIAZ-HIFRSBDPSA-N 0 0 276.336 2.688 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])C[C@H]1CCOC1 ZINC000119292626 393917514 /nfs/dbraw/zinc/91/75/14/393917514.db2.gz YDPBGJABDXYBJW-SNVBAGLBSA-N 0 0 284.743 2.717 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000346339503 393927295 /nfs/dbraw/zinc/92/72/95/393927295.db2.gz AZNXNCNKMSVJNP-UHFFFAOYSA-N 0 0 294.355 2.704 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ncc([N+](=O)[O-])cn2)C[C@H]1C ZINC000251603195 393932445 /nfs/dbraw/zinc/93/24/45/393932445.db2.gz CSVSBELSUDJCHA-BBBLOLIVSA-N 0 0 250.302 2.621 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000429238795 393947197 /nfs/dbraw/zinc/94/71/97/393947197.db2.gz RXDIELCGRXRLMU-SMDDNHRTSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCN(c2ccccn2)CC1 ZINC000263777102 393965103 /nfs/dbraw/zinc/96/51/03/393965103.db2.gz USVVTHVNLPHYHD-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN COC1(C)CCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000271750083 394023579 /nfs/dbraw/zinc/02/35/79/394023579.db2.gz YIFJFUSADBFEDW-UHFFFAOYSA-N 0 0 286.278 2.878 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)Cc1ccoc1 ZINC000430222871 393969944 /nfs/dbraw/zinc/96/99/44/393969944.db2.gz GOWKWGAFODMQQU-UHFFFAOYSA-N 0 0 276.292 2.828 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)C[C@@H]1CCCCO1 ZINC000430227805 393971011 /nfs/dbraw/zinc/97/10/11/393971011.db2.gz SPIMPDRVCBFJDN-AWEZNQCLSA-N 0 0 294.351 2.604 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@H]1CC12CCCC2 ZINC000430229157 393971059 /nfs/dbraw/zinc/97/10/59/393971059.db2.gz FQOCKMZJTNTDLF-ZDUSSCGKSA-N 0 0 292.310 2.725 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])cc1OC)CC(F)(F)F ZINC000430235706 393971843 /nfs/dbraw/zinc/97/18/43/393971843.db2.gz FKUIFJTZTPRHJB-UHFFFAOYSA-N 0 0 292.257 2.988 20 5 CFBDRN Cc1cc(N2CC(C)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000430516498 393990515 /nfs/dbraw/zinc/99/05/15/393990515.db2.gz XMERATPNCFNWGL-UHFFFAOYSA-N 0 0 284.319 2.816 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269476055 394004542 /nfs/dbraw/zinc/00/45/42/394004542.db2.gz JLYXFIHVVXYPNV-UHFFFAOYSA-N 0 0 282.344 2.702 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)Cc1ccncc1 ZINC000487862072 394026397 /nfs/dbraw/zinc/02/63/97/394026397.db2.gz REEKFORFOVKXSG-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN C[C@@H]1OCC[C@H]1Sc1nc2sccn2c1[N+](=O)[O-] ZINC000269957980 394008708 /nfs/dbraw/zinc/00/87/08/394008708.db2.gz UCISZHBJSNQTMZ-NKWVEPMBSA-N 0 0 285.350 2.574 20 5 CFBDRN CC1=CCN(C(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)CC1 ZINC000270109836 394009993 /nfs/dbraw/zinc/00/99/93/394009993.db2.gz MYPOCMPBMMCYOZ-UHFFFAOYSA-N 0 0 299.330 2.728 20 5 CFBDRN CC(C)O[C@@H](Cn1cnc([N+](=O)[O-])c1)c1ccccc1 ZINC000488273831 394037432 /nfs/dbraw/zinc/03/74/32/394037432.db2.gz QZIUCEHKOMKFJM-ZDUSSCGKSA-N 0 0 275.308 2.958 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H]1C=CCCC1 ZINC000127019049 394041303 /nfs/dbraw/zinc/04/13/03/394041303.db2.gz YXAQLQVYAIBXLV-GFCCVEGCSA-N 0 0 299.330 2.844 20 5 CFBDRN Cc1sc(C(=O)NCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000437057364 394045202 /nfs/dbraw/zinc/04/52/02/394045202.db2.gz LDVNYVSJOSJADD-UHFFFAOYSA-N 0 0 282.243 2.647 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@@](C)(O)C1 ZINC000277821768 394058569 /nfs/dbraw/zinc/05/85/69/394058569.db2.gz QMGWHRXHXIVYMT-GFCCVEGCSA-N 0 0 270.716 2.518 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000279288058 394065756 /nfs/dbraw/zinc/06/57/56/394065756.db2.gz XRPKYVVQBBKRCS-UFBFGSQYSA-N 0 0 295.314 2.625 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCc2cccc(F)c2C1 ZINC000128374480 394068130 /nfs/dbraw/zinc/06/81/30/394068130.db2.gz RTLRKBGFKXBHLL-UHFFFAOYSA-N 0 0 290.250 2.525 20 5 CFBDRN Cc1cc(=O)n(Cc2ccc(F)cc2Cl)cc1[N+](=O)[O-] ZINC000128420928 394069581 /nfs/dbraw/zinc/06/95/81/394069581.db2.gz TYUCNBAANWXWTM-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000280524271 394073496 /nfs/dbraw/zinc/07/34/96/394073496.db2.gz QYTFVRGQPMVMAL-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN CCC(=O)c1ccc(N2CCCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000280774806 394073753 /nfs/dbraw/zinc/07/37/53/394073753.db2.gz SGTGYZQEQXJYKG-NSHDSACASA-N 0 0 292.335 2.803 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000128672975 394074194 /nfs/dbraw/zinc/07/41/94/394074194.db2.gz MJYZPUGFYMZTCS-OLZOCXBDSA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1nc(C(F)F)n(Cc2cccc([N+](=O)[O-])c2C)n1 ZINC000439190150 394103851 /nfs/dbraw/zinc/10/38/51/394103851.db2.gz IXDFOYMTTMDSOO-UHFFFAOYSA-N 0 0 282.250 2.789 20 5 CFBDRN CCSCCCNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492849646 394081047 /nfs/dbraw/zinc/08/10/47/394081047.db2.gz COKOOOWAUMUJPS-BQYQJAHWSA-N 0 0 294.376 2.867 20 5 CFBDRN Cc1cc(OC[C@@](C)(O)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000281848995 394083315 /nfs/dbraw/zinc/08/33/15/394083315.db2.gz MBBUGUKQPCSJQC-SNVBAGLBSA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1cc(N(C)[C@H]2CC[C@H](O)CC2)ccc1[N+](=O)[O-] ZINC000438897087 394086237 /nfs/dbraw/zinc/08/62/37/394086237.db2.gz PDLTUACJBFOJLU-AULYBMBSSA-N 0 0 264.325 2.643 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@@H](F)C1 ZINC000492894776 394091342 /nfs/dbraw/zinc/09/13/42/394091342.db2.gz OPLRYXIOOYRZHK-KSOFABFVSA-N 0 0 278.283 2.615 20 5 CFBDRN Cc1nc(Nc2ccc3c(c2)CCCN3)ncc1[N+](=O)[O-] ZINC000420192699 394091533 /nfs/dbraw/zinc/09/15/33/394091533.db2.gz QZQXJXGBCNHZIM-UHFFFAOYSA-N 0 0 285.307 2.795 20 5 CFBDRN COCC[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1ccco1 ZINC000272484874 394029516 /nfs/dbraw/zinc/02/95/16/394029516.db2.gz PFVVNIGWYPZJGB-LLVKDONJSA-N 0 0 277.280 2.773 20 5 CFBDRN CC[C@H](NC(=O)/C=C\c1cccc([N+](=O)[O-])c1)C(F)F ZINC000493202932 394178428 /nfs/dbraw/zinc/17/84/28/394178428.db2.gz RRJZGKOWHBPYHO-ZADCQDASSA-N 0 0 284.262 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@](C)(O)C(F)(F)F)c1 ZINC000282017575 394111091 /nfs/dbraw/zinc/11/10/91/394111091.db2.gz XJJCTDGRBOEMOL-SNVBAGLBSA-N 0 0 279.214 2.595 20 5 CFBDRN CCSCCCNc1ncc([N+](=O)[O-])cc1C ZINC000282050459 394115992 /nfs/dbraw/zinc/11/59/92/394115992.db2.gz XTBYFJHMCSWBSW-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN CC[C@@H](O)CNc1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000420632111 394121243 /nfs/dbraw/zinc/12/12/43/394121243.db2.gz WIRQYZUZPUOWSZ-SNVBAGLBSA-N 0 0 275.308 2.634 20 5 CFBDRN CC[C@@H](C)CONC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000492999917 394121531 /nfs/dbraw/zinc/12/15/31/394121531.db2.gz VSBFKAKPEGPJEE-BREXMAIKSA-N 0 0 296.298 2.841 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@H](C)C[C@H](C)O)cc1[N+](=O)[O-] ZINC000439744655 394129408 /nfs/dbraw/zinc/12/94/08/394129408.db2.gz LSJKKMBKTIQWTQ-MNOVXSKESA-N 0 0 294.351 2.607 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000493214804 394180877 /nfs/dbraw/zinc/18/08/77/394180877.db2.gz GPXHZAQXVKPTGE-BZJXQOFCSA-N 0 0 284.262 2.768 20 5 CFBDRN Cc1ccc(OCc2nnsc2Cl)cc1[N+](=O)[O-] ZINC000131698475 394138188 /nfs/dbraw/zinc/13/81/88/394138188.db2.gz ZXEZDDDUPMOQQI-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CC[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000420957794 394140635 /nfs/dbraw/zinc/14/06/35/394140635.db2.gz ZXTTYLMKDLPJND-ZIAGYGMSSA-N 0 0 278.352 2.984 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@H](C)O[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000446610524 394149132 /nfs/dbraw/zinc/14/91/32/394149132.db2.gz MXLLVYVZIJZOMG-QJPTWQEYSA-N 0 0 292.335 2.541 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1c(C)noc1C ZINC000132267728 394151458 /nfs/dbraw/zinc/15/14/58/394151458.db2.gz PPSKAPICJTXOEI-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)cc(=O)[nH]1 ZINC000132488533 394156646 /nfs/dbraw/zinc/15/66/46/394156646.db2.gz YBBXLMASEVPQPC-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000493218521 394182482 /nfs/dbraw/zinc/18/24/82/394182482.db2.gz KXKDLAJYXYPTOL-GTBONMDNSA-N 0 0 292.310 2.959 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000421036677 394167010 /nfs/dbraw/zinc/16/70/10/394167010.db2.gz OCDHRZGYHFOXTL-WCQYABFASA-N 0 0 293.323 2.674 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000421036674 394167400 /nfs/dbraw/zinc/16/74/00/394167400.db2.gz OCDHRZGYHFOXTL-AAEUAGOBSA-N 0 0 293.323 2.674 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC[C@@H]1C[C@H]1C1CC1 ZINC000493168045 394167593 /nfs/dbraw/zinc/16/75/93/394167593.db2.gz BMFZYCIOJOXGMU-BPFGOOJPSA-N 0 0 286.331 2.770 20 5 CFBDRN CCCN(CCOc1ccc([N+](=O)[O-])cc1)CC(F)F ZINC000133272301 394173060 /nfs/dbraw/zinc/17/30/60/394173060.db2.gz AKUUEZJOIGIANG-UHFFFAOYSA-N 0 0 288.294 2.951 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)NCCc1ccc([N+](=O)[O-])cc1 ZINC000448772342 394183527 /nfs/dbraw/zinc/18/35/27/394183527.db2.gz COAHSFRHSSIWEJ-KCQAQPDRSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1ccc(/C=C\C(=O)N(C)c2ccc([N+](=O)[O-])nc2)o1 ZINC000493483007 394255605 /nfs/dbraw/zinc/25/56/05/394255605.db2.gz IDQCVQMHBHGDEY-VURMDHGXSA-N 0 0 287.275 2.567 20 5 CFBDRN Cn1ccc(/C=C\C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000493281463 394198294 /nfs/dbraw/zinc/19/82/94/394198294.db2.gz DLRDFSPJQSLPTP-UQCOIBPSSA-N 0 0 271.276 2.585 20 5 CFBDRN Cc1cccc(N2CC=C(c3ccnn3C)CC2)c1[N+](=O)[O-] ZINC000286627878 394198515 /nfs/dbraw/zinc/19/85/15/394198515.db2.gz RWGWTDYJXUKAFM-UHFFFAOYSA-N 0 0 298.346 2.930 20 5 CFBDRN C[C@@H]1CCCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000286855244 394199923 /nfs/dbraw/zinc/19/99/23/394199923.db2.gz GTLFDBHEMDLYCR-MRVPVSSYSA-N 0 0 278.337 2.836 20 5 CFBDRN CCN(C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000493289969 394201093 /nfs/dbraw/zinc/20/10/93/394201093.db2.gz GMYQDNAWSQMFMF-YWEYNIOJSA-N 0 0 278.283 2.758 20 5 CFBDRN CC1(F)CC(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000493330227 394212637 /nfs/dbraw/zinc/21/26/37/394212637.db2.gz YTFBQAJIXOEIKO-SREVYHEPSA-N 0 0 278.283 2.615 20 5 CFBDRN Cc1nc(NC(=O)/C=C\C2CCCC2)ccc1[N+](=O)[O-] ZINC000493352257 394219422 /nfs/dbraw/zinc/21/94/22/394219422.db2.gz MOJQHDNJAOECID-TWGQIWQCSA-N 0 0 275.308 2.983 20 5 CFBDRN CCc1nn(C)cc1N[C@@H](C)Cc1ccccc1[N+](=O)[O-] ZINC000449198911 394249468 /nfs/dbraw/zinc/24/94/68/394249468.db2.gz AKLQHQPFXGBRCB-NSHDSACASA-N 0 0 288.351 2.934 20 5 CFBDRN CC(C)CNC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000133955214 394185705 /nfs/dbraw/zinc/18/57/05/394185705.db2.gz ZBDMAUUJDLPUMO-UHFFFAOYSA-N 0 0 291.351 2.925 20 5 CFBDRN COCC(C)(C)CNc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000291685031 394255193 /nfs/dbraw/zinc/25/51/93/394255193.db2.gz FOXWNEVLSRALAB-UHFFFAOYSA-N 0 0 298.339 2.697 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000286102061 394191826 /nfs/dbraw/zinc/19/18/26/394191826.db2.gz DAWZRASMYMUMQN-JOYOIKCWSA-N 0 0 278.308 2.777 20 5 CFBDRN CCC(CC)S(=O)(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151864815 394264734 /nfs/dbraw/zinc/26/47/34/394264734.db2.gz BCJFSFVFDNDMTB-UHFFFAOYSA-N 0 0 271.338 2.698 20 5 CFBDRN Cc1cc(N2CCOC3(CCC3)C2)c(F)cc1[N+](=O)[O-] ZINC000292356873 394272950 /nfs/dbraw/zinc/27/29/50/394272950.db2.gz CLFCFNBAQIFFNS-UHFFFAOYSA-N 0 0 280.299 2.802 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])s2)[C@@H]2CCCO[C@@H]21 ZINC000369121269 394278440 /nfs/dbraw/zinc/27/84/40/394278440.db2.gz DLUYRYSXMNJAHD-SFGNSQDASA-N 0 0 283.353 2.667 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@]2(CCCCO2)C1 ZINC000369363091 394279270 /nfs/dbraw/zinc/27/92/70/394279270.db2.gz OQKBTERILINMRW-HNNXBMFYSA-N 0 0 291.351 2.838 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CCC(CF)CC1 ZINC000493568965 394280036 /nfs/dbraw/zinc/28/00/36/394280036.db2.gz QUFUDPFCJCTDBP-WAYWQWQTSA-N 0 0 292.310 2.816 20 5 CFBDRN C[C@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153502612 394284825 /nfs/dbraw/zinc/28/48/25/394284825.db2.gz BMMHBKJBBPGHPV-QMMMGPOBSA-N 0 0 255.705 2.880 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@@H]1F ZINC000493866976 394333647 /nfs/dbraw/zinc/33/36/47/394333647.db2.gz DXZDZVLQCYJPAS-YSDIBMRGSA-N 0 0 278.283 2.615 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])NC[C@H]1CC12CC2 ZINC000493672708 394305664 /nfs/dbraw/zinc/30/56/64/394305664.db2.gz IIJAUAXVRJZELB-CJHWANRJSA-N 0 0 290.294 2.663 20 5 CFBDRN Cc1nc(N2CCC[C@H]3CCCC[C@H]32)ncc1[N+](=O)[O-] ZINC000296483490 394310061 /nfs/dbraw/zinc/31/00/61/394310061.db2.gz KRGAPVVRWZBONG-VXGBXAGGSA-N 0 0 276.340 2.852 20 5 CFBDRN CC[C@@H](C)OCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000155534743 394318126 /nfs/dbraw/zinc/31/81/26/394318126.db2.gz VZBOIBFUJPCYLY-GHMZBOCLSA-N 0 0 292.335 2.688 20 5 CFBDRN CC[C@](C)(CNc1c2c(ccc1[N+](=O)[O-])NCC2)OC ZINC000450713452 394323341 /nfs/dbraw/zinc/32/33/41/394323341.db2.gz KBGHYBDIOWPONA-CQSZACIVSA-N 0 0 279.340 2.790 20 5 CFBDRN CC[C@@](C)(CNc1nc2ccccc2cc1[N+](=O)[O-])OC ZINC000450712802 394323493 /nfs/dbraw/zinc/32/34/93/394323493.db2.gz JENNSAMNDQWWAX-HNNXBMFYSA-N 0 0 289.335 2.792 20 5 CFBDRN CC(C)(CNc1cccc(C(N)=O)c1[N+](=O)[O-])C1CCC1 ZINC000450725091 394326856 /nfs/dbraw/zinc/32/68/56/394326856.db2.gz BQMNJUUVEMQTSN-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN CCCCCN(CCO)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000156647174 394336641 /nfs/dbraw/zinc/33/66/41/394336641.db2.gz CNCJCMMOEGYJMQ-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN Cc1cc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])nn1C ZINC000156668827 394337517 /nfs/dbraw/zinc/33/75/17/394337517.db2.gz BMJFDRBUJKWMGQ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC(C)[C@@H](CO)[C@@H](Nc1ncccc1[N+](=O)[O-])C(C)C ZINC000450772390 394338749 /nfs/dbraw/zinc/33/87/49/394338749.db2.gz PFSLHYJJCNYLEV-YPMHNXCESA-N 0 0 281.356 2.691 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]([C@@H](CO)C1CC1)C1CC1 ZINC000450779874 394340447 /nfs/dbraw/zinc/34/04/47/394340447.db2.gz PRHMYACDECYWLP-KBPBESRZSA-N 0 0 291.351 2.507 20 5 CFBDRN Cc1cnc(N[C@@H]2C[C@@H](C)n3ccnc32)c([N+](=O)[O-])c1 ZINC000450821823 394340635 /nfs/dbraw/zinc/34/06/35/394340635.db2.gz ALHXALOENRPNRT-NXEZZACHSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2C[C@@H](C)n3ccnc32)n1 ZINC000450831755 394341345 /nfs/dbraw/zinc/34/13/45/394341345.db2.gz QAVJJDZCORRGKH-MNOVXSKESA-N 0 0 287.323 2.921 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCc1csc(C)n1 ZINC000157683504 394348861 /nfs/dbraw/zinc/34/88/61/394348861.db2.gz NBDWLMZEFYMJOW-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN(C1CC1)C1COC1 ZINC000451123855 394360765 /nfs/dbraw/zinc/36/07/65/394360765.db2.gz BVBHQKCBTDEUHU-UHFFFAOYSA-N 0 0 282.727 2.611 20 5 CFBDRN Cc1c(CC(=O)N2CCCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000159199512 394364293 /nfs/dbraw/zinc/36/42/93/394364293.db2.gz UJBPNJKDZOBKIH-NSHDSACASA-N 0 0 276.336 2.847 20 5 CFBDRN CCOc1cc(CN2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000451201818 394368253 /nfs/dbraw/zinc/36/82/53/394368253.db2.gz VSHYCQWBRJPOGZ-NSHDSACASA-N 0 0 268.288 2.537 20 5 CFBDRN C[C@@H]1CCCN1c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000519707329 394375961 /nfs/dbraw/zinc/37/59/61/394375961.db2.gz JMGVKRUBLBKUNI-SNVBAGLBSA-N 0 0 261.325 2.857 20 5 CFBDRN C[C@@H]1C[C@H](C)N1c1ncc([N+](=O)[O-])cc1Br ZINC000530431908 394516936 /nfs/dbraw/zinc/51/69/36/394516936.db2.gz GPOOVGYZURDCHT-KNVOCYPGSA-N 0 0 286.129 2.739 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000537275247 394578436 /nfs/dbraw/zinc/57/84/36/394578436.db2.gz KCEZJGBCQUCOMB-CYBMUJFWSA-N 0 0 277.324 2.535 20 5 CFBDRN C[C@H]1C[C@H](C)N1c1ncnc2cccc([N+](=O)[O-])c21 ZINC000530484485 394521159 /nfs/dbraw/zinc/52/11/59/394521159.db2.gz NVFPPHVDZUSWIW-IUCAKERBSA-N 0 0 258.281 2.525 20 5 CFBDRN CCC(CC)(CC)CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531888309 394531087 /nfs/dbraw/zinc/53/10/87/394531087.db2.gz WRBJXYKIQPZMQP-UHFFFAOYSA-N 0 0 279.340 2.936 20 5 CFBDRN Cc1cc(N2CCc3c(cccc3[N+](=O)[O-])C2)nc(C)n1 ZINC000535245076 394533339 /nfs/dbraw/zinc/53/33/39/394533339.db2.gz DFSYBFCZEDQVOR-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CCO[C@H](CC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000538251103 394600495 /nfs/dbraw/zinc/60/04/95/394600495.db2.gz DBMZQQAANIJFJB-GXFFZTMASA-N 0 0 280.324 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc[nH]n2)cc1OC(F)F ZINC000538895750 394617804 /nfs/dbraw/zinc/61/78/04/394617804.db2.gz GDNWDTDMPOUQOS-UHFFFAOYSA-N 0 0 284.222 2.531 20 5 CFBDRN CC(C)C[C@H](C)CN1C(=O)c2ccc([N+](=O)[O-])cc2C1=O ZINC000539511080 394627375 /nfs/dbraw/zinc/62/73/75/394627375.db2.gz CHTSOEHAVKZAEY-JTQLQIEISA-N 0 0 290.319 2.873 20 5 CFBDRN CC(C)SCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000540451142 394647106 /nfs/dbraw/zinc/64/71/06/394647106.db2.gz BCELNMUOLXWKGZ-SNVBAGLBSA-N 0 0 282.365 2.914 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[N@H+](CC[C@@H]1CCCCO1)C2 ZINC000543444321 394730574 /nfs/dbraw/zinc/73/05/74/394730574.db2.gz VSUAZXVDBZKDRU-AWEZNQCLSA-N 0 0 290.363 2.912 20 5 CFBDRN COC(C)(C)CN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000543645574 394737694 /nfs/dbraw/zinc/73/76/94/394737694.db2.gz YWIRAUXKUKAOBZ-UHFFFAOYSA-N 0 0 299.302 2.623 20 5 CFBDRN CCc1cc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000543876770 394745869 /nfs/dbraw/zinc/74/58/69/394745869.db2.gz CRHWHNDIMZXROJ-JTQLQIEISA-N 0 0 286.335 2.851 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCc3cccnc3)n2)cc1 ZINC000546257752 394822031 /nfs/dbraw/zinc/82/20/31/394822031.db2.gz WEUAZSAFLOUELU-UHFFFAOYSA-N 0 0 296.286 2.825 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CN(c3ccccc3)C2=O)c1 ZINC000544394393 394758034 /nfs/dbraw/zinc/75/80/34/394758034.db2.gz AGQFECFDDFZACE-CQSZACIVSA-N 0 0 297.314 2.731 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCC3CCCCC3)c21 ZINC000544409861 394758822 /nfs/dbraw/zinc/75/88/22/394758822.db2.gz KEHKRHSDXWCLNU-UHFFFAOYSA-N 0 0 286.335 2.952 20 5 CFBDRN Cc1cccc2c1CC[C@H]2Nc1ncc([N+](=O)[O-])cn1 ZINC000546180545 394821093 /nfs/dbraw/zinc/82/10/93/394821093.db2.gz CYXHFKOABYWRLJ-CYBMUJFWSA-N 0 0 270.292 2.793 20 5 CFBDRN CC1(C)CC(CNC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000548384013 394926886 /nfs/dbraw/zinc/92/68/86/394926886.db2.gz IOIKGFXJJINRLM-UHFFFAOYSA-N 0 0 262.309 2.761 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000548606498 394940506 /nfs/dbraw/zinc/94/05/06/394940506.db2.gz SNCYEIJPDWOFLS-UHFFFAOYSA-N 0 0 293.367 2.976 20 5 CFBDRN Cc1cc(-c2noc(-c3ccc([N+](=O)[O-])cn3)n2)c(C)o1 ZINC000556018590 394988395 /nfs/dbraw/zinc/98/83/95/394988395.db2.gz PVDBEKXJPKOPCF-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN CC(F)(F)CNCc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000555610219 394978444 /nfs/dbraw/zinc/97/84/44/394978444.db2.gz ZVYVLLQZORGUCJ-UHFFFAOYSA-N 0 0 296.220 2.941 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]1C(F)F ZINC000343970249 296501109 /nfs/dbraw/zinc/50/11/09/296501109.db2.gz TYKGTMFTNBSFDE-SECBINFHSA-N 0 0 260.215 2.968 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC(CF)CC1 ZINC000295022291 296501187 /nfs/dbraw/zinc/50/11/87/296501187.db2.gz QQRFKXRYPZMRSJ-UHFFFAOYSA-N 0 0 256.252 2.920 20 5 CFBDRN O=C(NC1(C(F)F)CCCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000557454495 395036619 /nfs/dbraw/zinc/03/66/19/395036619.db2.gz FPBNJDBHZWWGQE-UHFFFAOYSA-N 0 0 299.277 2.688 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOC[C@H](C2CCC2)C1 ZINC000292412868 304800730 /nfs/dbraw/zinc/80/07/30/304800730.db2.gz VUEYBTDXSLATLT-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@@]2(CCOC2)C1 ZINC000366658008 296510900 /nfs/dbraw/zinc/51/09/00/296510900.db2.gz ILRKNNOFZKHKNQ-CYBMUJFWSA-N 0 0 282.727 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCCC[C@@H](CO)C1 ZINC000367327617 304802444 /nfs/dbraw/zinc/80/24/44/304802444.db2.gz SCLYWCSEPDUPHV-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@]2(CCOC2)C1 ZINC000450882921 296514770 /nfs/dbraw/zinc/51/47/70/296514770.db2.gz OZJUNMVSMBMATL-AWEZNQCLSA-N 0 0 262.309 2.602 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2cccc(F)c21 ZINC000493845544 296517769 /nfs/dbraw/zinc/51/77/69/296517769.db2.gz OLSLQMBXRARSFD-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCS[C@@H]3CCCC[C@H]32)s1 ZINC000249275965 296520389 /nfs/dbraw/zinc/52/03/89/296520389.db2.gz KXEJJDZJPJNFNX-RKDXNWHRSA-N 0 0 285.394 2.916 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@H]1CCCCC1(F)F ZINC000296067579 296528032 /nfs/dbraw/zinc/52/80/32/296528032.db2.gz RQWSBFYICPDBSX-SECBINFHSA-N 0 0 272.251 2.582 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOCC2(CCCC2)C1 ZINC000302392932 304807964 /nfs/dbraw/zinc/80/79/64/304807964.db2.gz JPKKUYQTOUPBKG-UHFFFAOYSA-N 0 0 276.336 2.992 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000592000598 397586798 /nfs/dbraw/zinc/58/67/98/397586798.db2.gz ASZZXUAFIPYOAE-ONGXEEELSA-N 0 0 289.360 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cncc(Br)c2)cn1 ZINC000309979522 397645755 /nfs/dbraw/zinc/64/57/55/397645755.db2.gz DEQWQZNNODIIHI-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN Cc1ccc(N2CCO[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000368793386 292135693 /nfs/dbraw/zinc/13/56/93/292135693.db2.gz VYOZGADKCJTVPB-AWEZNQCLSA-N 0 0 262.309 2.518 20 5 CFBDRN Cc1ccc(N2CC[C@H]3OCCC[C@H]3C2)c([N+](=O)[O-])c1 ZINC000301714371 292139001 /nfs/dbraw/zinc/13/90/01/292139001.db2.gz JFLOHYQUMIBICW-SWLSCSKDSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)n(C)n1 ZINC000355984707 155136741 /nfs/dbraw/zinc/13/67/41/155136741.db2.gz MCCFHWHXWCJNJX-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1cccc(C(F)F)c1 ZINC000356036829 155144251 /nfs/dbraw/zinc/14/42/51/155144251.db2.gz DNZPRZOUOUVHGC-UHFFFAOYSA-N 0 0 253.208 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3cccs3)no2)[nH]1 ZINC000356109221 155163732 /nfs/dbraw/zinc/16/37/32/155163732.db2.gz BIBSMLXRJAKJJA-UHFFFAOYSA-N 0 0 276.277 2.625 20 5 CFBDRN CCOC1CC(N(C)c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000340868685 286013853 /nfs/dbraw/zinc/01/38/53/286013853.db2.gz DBGKQSDCYXIKEE-UHFFFAOYSA-N 0 0 279.340 2.610 20 5 CFBDRN CCC1(CNC(=O)c2cccc([N+](=O)[O-])c2OC)CCC1 ZINC000356307587 155218557 /nfs/dbraw/zinc/21/85/57/155218557.db2.gz DCRYKITWQWVREY-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN COc1c(C(=O)NCC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000356321524 155226063 /nfs/dbraw/zinc/22/60/63/155226063.db2.gz NHBSBUNPSZMPSH-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1ccc([C@@H]2CCCN2Cc2c([N+](=O)[O-])ncn2C)o1 ZINC000371825855 292214093 /nfs/dbraw/zinc/21/40/93/292214093.db2.gz ZXVUQGWOWYDURX-NSHDSACASA-N 0 0 290.323 2.567 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)C(F)(F)F ZINC000356400246 155254195 /nfs/dbraw/zinc/25/41/95/155254195.db2.gz SWKJGPJTQXIRRW-MRVPVSSYSA-N 0 0 290.241 2.831 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C)C(F)(F)F ZINC000356400248 155254792 /nfs/dbraw/zinc/25/47/92/155254792.db2.gz SWKJGPJTQXIRRW-QMMMGPOBSA-N 0 0 290.241 2.831 20 5 CFBDRN CO[C@](C)(CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC000292245991 286146752 /nfs/dbraw/zinc/14/67/52/286146752.db2.gz GTTGRXRVPGZNHZ-CYBMUJFWSA-N 0 0 295.295 2.730 20 5 CFBDRN CCC[C@H](CNc1cc(OC)ccc1[N+](=O)[O-])OC ZINC000356417819 155259001 /nfs/dbraw/zinc/25/90/01/155259001.db2.gz QPEFVSNRHBSSGQ-LLVKDONJSA-N 0 0 268.313 2.830 20 5 CFBDRN COc1cc(N2CCCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000267231500 286228682 /nfs/dbraw/zinc/22/86/82/286228682.db2.gz PZQNMXAVFUOXAW-SNVBAGLBSA-N 0 0 250.298 2.982 20 5 CFBDRN COc1cc(N2CCOC3(CCC3)C2)c([N+](=O)[O-])cc1F ZINC000270803365 286229694 /nfs/dbraw/zinc/22/96/94/286229694.db2.gz OFBUTKCTGYHMBI-UHFFFAOYSA-N 0 0 296.298 2.502 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1ccc(Cl)cn1 ZINC000356564426 155303488 /nfs/dbraw/zinc/30/34/88/155303488.db2.gz JRTNAZMLSLJKBN-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCCC[C@@H]1C ZINC000334076939 286249593 /nfs/dbraw/zinc/24/95/93/286249593.db2.gz WAETVZBGXOPTMC-GWCFXTLKSA-N 0 0 292.335 2.912 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCc1ccccc1 ZINC000356582062 155308235 /nfs/dbraw/zinc/30/82/35/155308235.db2.gz RMNGAGGWBWHYLL-UHFFFAOYSA-N 0 0 263.322 2.762 20 5 CFBDRN COc1c(C(=O)N(C)[C@@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000356626293 155320008 /nfs/dbraw/zinc/32/00/08/155320008.db2.gz GWAAXELIACUGQP-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN COc1c(C(=O)N(C)[C@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000356626297 155320063 /nfs/dbraw/zinc/32/00/63/155320063.db2.gz GWAAXELIACUGQP-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000356730336 155342363 /nfs/dbraw/zinc/34/23/63/155342363.db2.gz OWPATMXWEQKTCC-WRWORJQWSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000356730326 155342522 /nfs/dbraw/zinc/34/25/22/155342522.db2.gz OWPATMXWEQKTCC-HQJQHLMTSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000274646849 299749088 /nfs/dbraw/zinc/74/90/88/299749088.db2.gz GJNITRLZVZNOEV-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC[C@@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000356752162 155353623 /nfs/dbraw/zinc/35/36/23/155353623.db2.gz WJMGMBUOKLTAKH-MWODSPESSA-N 0 0 280.299 2.752 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H]2CC[C@H]2C)c1 ZINC000356756969 155356137 /nfs/dbraw/zinc/35/61/37/155356137.db2.gz OTNOLKXFBCAEKY-NEPJUHHUSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1c(-c2nc(Cc3ccon3)no2)cccc1[N+](=O)[O-] ZINC000356778198 155364445 /nfs/dbraw/zinc/36/44/45/155364445.db2.gz NNXGHAGBCLFCNO-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN COc1c(C(=O)N[C@H](C)CCC2CC2)cccc1[N+](=O)[O-] ZINC000356788376 155368295 /nfs/dbraw/zinc/36/82/95/155368295.db2.gz WYZCHZPGCUNSKM-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1Cc1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000356800758 155373585 /nfs/dbraw/zinc/37/35/85/155373585.db2.gz JUERRAUUIIDFOU-GOEBONIOSA-N 0 0 296.326 2.956 20 5 CFBDRN COCCC(C)(C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000273665938 292279447 /nfs/dbraw/zinc/27/94/47/292279447.db2.gz YRVIVASLWAXWHW-LLVKDONJSA-N 0 0 294.351 2.835 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC([C@@H](C)O)CC2)c1 ZINC000088722234 292284739 /nfs/dbraw/zinc/28/47/39/292284739.db2.gz XJNNMTFXWKFEFS-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2Cc3ccccc3CO2)n1 ZINC000367933502 292289702 /nfs/dbraw/zinc/28/97/02/292289702.db2.gz BQKHMDPIJSVEGO-UHFFFAOYSA-N 0 0 271.276 2.750 20 5 CFBDRN COCCC1(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000271855583 292297251 /nfs/dbraw/zinc/29/72/51/292297251.db2.gz XTLFWEXQENOTNB-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN COc1ccc(NC(=O)CCc2ccco2)c([N+](=O)[O-])c1 ZINC000343166547 154131244 /nfs/dbraw/zinc/13/12/44/154131244.db2.gz XNAFCWRPJKMSTD-UHFFFAOYSA-N 0 0 290.275 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@H]2CCCOC2)c1 ZINC000343265877 154161100 /nfs/dbraw/zinc/16/11/00/154161100.db2.gz CXPDTNMBOLQQCF-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000343301751 154171621 /nfs/dbraw/zinc/17/16/21/154171621.db2.gz IUWFSLZAWUWVNF-ZDUSSCGKSA-N 0 0 291.351 2.654 20 5 CFBDRN CCC(CC)(CC)CNc1c([N+](=O)[O-])ncn1C ZINC000345631822 154225743 /nfs/dbraw/zinc/22/57/43/154225743.db2.gz DOKGOWZWBHTPSX-UHFFFAOYSA-N 0 0 254.334 2.957 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000345653332 154232621 /nfs/dbraw/zinc/23/26/21/154232621.db2.gz BSBGUDBPKZKPDT-SNVBAGLBSA-N 0 0 299.330 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cccnc3)no2)s1 ZINC000345676291 154237525 /nfs/dbraw/zinc/23/75/25/154237525.db2.gz TVKBRICCNLDEMQ-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CO1 ZINC000345693207 154241701 /nfs/dbraw/zinc/24/17/01/154241701.db2.gz PLMPREXZKSPSIS-SCZZXKLOSA-N 0 0 275.264 2.537 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nc(-c2cccnc2)no1 ZINC000345708231 154246844 /nfs/dbraw/zinc/24/68/44/154246844.db2.gz OPSKIUGIMCJMGY-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H]1C[C@@H]1C(F)F ZINC000345755358 154259665 /nfs/dbraw/zinc/25/96/65/154259665.db2.gz XLOJLBJFZZCERF-UWVGGRQHSA-N 0 0 298.289 2.903 20 5 CFBDRN CC(C)(NC(=O)c1cc(F)c[nH]1)c1ccccc1[N+](=O)[O-] ZINC000345770576 154263919 /nfs/dbraw/zinc/26/39/19/154263919.db2.gz FIBAJDAQHDJVJA-UHFFFAOYSA-N 0 0 291.282 2.727 20 5 CFBDRN CC(C)(NC(=O)c1ccccn1)c1ccccc1[N+](=O)[O-] ZINC000345778449 154264673 /nfs/dbraw/zinc/26/46/73/154264673.db2.gz BGRWAIVBWDAQFP-UHFFFAOYSA-N 0 0 285.303 2.655 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000345808634 154271628 /nfs/dbraw/zinc/27/16/28/154271628.db2.gz QROKTAQBNKSZPD-UFBFGSQYSA-N 0 0 297.742 2.846 20 5 CFBDRN CC(C)COCCC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348014754 154287399 /nfs/dbraw/zinc/28/73/99/154287399.db2.gz PMGIBECZYCALLZ-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)C(F)(F)F ZINC000348047343 154297315 /nfs/dbraw/zinc/29/73/15/154297315.db2.gz KEOLBBFUUHVTJI-JTQLQIEISA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C)[nH]c2=O)c1 ZINC000348118224 154327379 /nfs/dbraw/zinc/32/73/79/154327379.db2.gz OLOFGOIAYDJQAG-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSC1CCCCC1 ZINC000348133191 154333011 /nfs/dbraw/zinc/33/30/11/154333011.db2.gz RAENGFMHUGXRKT-UHFFFAOYSA-N 0 0 255.343 2.894 20 5 CFBDRN CC(C)(C(=O)NCCc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000348149659 154340461 /nfs/dbraw/zinc/34/04/61/154340461.db2.gz XGKAMTGUIGZJQI-UHFFFAOYSA-N 0 0 286.278 2.545 20 5 CFBDRN COC1CC(N(C)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000348170069 154347010 /nfs/dbraw/zinc/34/70/10/154347010.db2.gz QCKTYMCFLMNEFK-UHFFFAOYSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1cc(N[C@@H](CCO)c2ccccc2)ncc1[N+](=O)[O-] ZINC000348181797 154352311 /nfs/dbraw/zinc/35/23/11/154352311.db2.gz PIDKIXOOPVRXKD-ZDUSSCGKSA-N 0 0 287.319 2.834 20 5 CFBDRN CO[C@@]1(C)CCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000348218641 154365474 /nfs/dbraw/zinc/36/54/74/154365474.db2.gz ABJBFXGZYIWAFP-AWEZNQCLSA-N 0 0 282.315 2.735 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1cncs1 ZINC000348245167 154377625 /nfs/dbraw/zinc/37/76/25/154377625.db2.gz JQTIEBZNDPNOHL-SSDOTTSWSA-N 0 0 295.295 2.681 20 5 CFBDRN C[C@@H](CO)[N@@H+](Cc1cccc([N+](=O)[O-])c1)CC1CCC1 ZINC000348286269 154396199 /nfs/dbraw/zinc/39/61/99/154396199.db2.gz YFRBBMGABAJNTB-LBPRGKRZSA-N 0 0 278.352 2.578 20 5 CFBDRN Cc1cnc(COc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000352550975 154610171 /nfs/dbraw/zinc/61/01/71/154610171.db2.gz RYUSQKQAIITMFJ-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccc(F)c(C2CC2)c1 ZINC000352628099 154632775 /nfs/dbraw/zinc/63/27/75/154632775.db2.gz IXLLIUSCUJZWNL-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000354848153 154835665 /nfs/dbraw/zinc/83/56/65/154835665.db2.gz KXKXAUOQCQFETH-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN CC(F)(F)c1cccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)c1 ZINC000355049201 154898511 /nfs/dbraw/zinc/89/85/11/154898511.db2.gz VJKZMDKJVWYSPS-UHFFFAOYSA-N 0 0 296.233 2.682 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccc4nc[nH]c4c3)no2)c1 ZINC000356981548 155453993 /nfs/dbraw/zinc/45/39/93/155453993.db2.gz PRZYUFNCKFKJOD-UHFFFAOYSA-N 0 0 296.246 2.516 20 5 CFBDRN CSCCN(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000355235665 154963333 /nfs/dbraw/zinc/96/33/33/154963333.db2.gz LAHVNPXJLCWKBO-UHFFFAOYSA-N 0 0 293.348 2.511 20 5 CFBDRN CCc1nc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c(C)o1 ZINC000355447169 155010054 /nfs/dbraw/zinc/01/00/54/155010054.db2.gz ZMMKQKCFQARYRV-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NCC1CCCC1 ZINC000355537882 155035108 /nfs/dbraw/zinc/03/51/08/155035108.db2.gz WBURNTMXZVKEOI-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN Cc1nn(C)c(-c2nc(-c3cccc(C)c3)no2)c1[N+](=O)[O-] ZINC000355548211 155037926 /nfs/dbraw/zinc/03/79/26/155037926.db2.gz TZPKMWRROGTUHK-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN CCCC[C@@H](C)N(C)c1ccc2ncc([N+](=O)[O-])n2n1 ZINC000357779016 155532434 /nfs/dbraw/zinc/53/24/34/155532434.db2.gz FAYJQVSCBRBXQB-SNVBAGLBSA-N 0 0 277.328 2.652 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000357795503 155540104 /nfs/dbraw/zinc/54/01/04/155540104.db2.gz BEKTZRJMJDOYCI-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN COc1ncccc1CCNc1cc(C)ccc1[N+](=O)[O-] ZINC000357811479 155545189 /nfs/dbraw/zinc/54/51/89/155545189.db2.gz GAFGRKWUHBMNTL-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000357848269 155556429 /nfs/dbraw/zinc/55/64/29/155556429.db2.gz KGWJFNCAZQBHOQ-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@H]1CC=CCC1 ZINC000358399084 155750298 /nfs/dbraw/zinc/75/02/98/155750298.db2.gz UTPDYUGTRXZLQH-NSHDSACASA-N 0 0 278.283 2.501 20 5 CFBDRN C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000358416443 155758356 /nfs/dbraw/zinc/75/83/56/155758356.db2.gz UPEBVHMANOWHGZ-JTQLQIEISA-N 0 0 294.326 2.971 20 5 CFBDRN CCOc1cc(OCC(=O)[C@@H](C)CC)ccc1[N+](=O)[O-] ZINC000358541994 155794466 /nfs/dbraw/zinc/79/44/66/155794466.db2.gz OZPVZCZQRHNGKR-JTQLQIEISA-N 0 0 281.308 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](C)C(F)(F)F)c1 ZINC000358940726 155923510 /nfs/dbraw/zinc/92/35/10/155923510.db2.gz WWXQXQAZGHISNK-QMMMGPOBSA-N 0 0 290.241 2.831 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000359034049 155960161 /nfs/dbraw/zinc/96/01/61/155960161.db2.gz UMBUEZRBDMSNST-MNOVXSKESA-N 0 0 292.339 2.502 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cscn2)n1 ZINC000359110273 155990566 /nfs/dbraw/zinc/99/05/66/155990566.db2.gz RDFCCFSEYBJRIO-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1ccn(C[C@@H]2C[C@@H]2c2ccccc2)c(=O)c1[N+](=O)[O-] ZINC000359166190 156010592 /nfs/dbraw/zinc/01/05/92/156010592.db2.gz QPNSSPOWJRBTLY-UONOGXRCSA-N 0 0 284.315 2.869 20 5 CFBDRN C[C@@H](Sc1nccnc1N)c1ccccc1[N+](=O)[O-] ZINC000359167635 156010767 /nfs/dbraw/zinc/01/07/67/156010767.db2.gz AGMLQPQIDYYODD-MRVPVSSYSA-N 0 0 276.321 2.820 20 5 CFBDRN Cc1c(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)oc2ccccc12 ZINC000360208981 156052292 /nfs/dbraw/zinc/05/22/92/156052292.db2.gz ODISKUSPCZOWRY-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000362794693 156085881 /nfs/dbraw/zinc/08/58/81/156085881.db2.gz FBZBYPYREWSDSP-MRVPVSSYSA-N 0 0 298.289 2.578 20 5 CFBDRN CO[C@H](CNc1c(F)cc([N+](=O)[O-])cc1F)C(C)C ZINC000362977624 156134831 /nfs/dbraw/zinc/13/48/31/156134831.db2.gz SGPXXWMKCVWQAY-LLVKDONJSA-N 0 0 274.267 2.956 20 5 CFBDRN C[C@H]1COC(C)(C)CN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000362991556 156139192 /nfs/dbraw/zinc/13/91/92/156139192.db2.gz BMAXTJHZQDSCFV-JTQLQIEISA-N 0 0 293.323 2.626 20 5 CFBDRN Cc1ccnc(N2CC[C@H](Oc3ccccc3)C2)c1[N+](=O)[O-] ZINC000363218672 156161839 /nfs/dbraw/zinc/16/18/39/156161839.db2.gz KZZHBAYBRUSOLM-AWEZNQCLSA-N 0 0 299.330 2.956 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000367434430 156330434 /nfs/dbraw/zinc/33/04/34/156330434.db2.gz HPGGQMVQODDOSI-KCPJHIHWSA-N 0 0 290.319 2.777 20 5 CFBDRN Cc1ccc(NC(=O)N2CCOCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000367724038 156381026 /nfs/dbraw/zinc/38/10/26/156381026.db2.gz OAVSEPDLUXRIAC-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN COc1cc(N2CCCO[C@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000369698472 156609339 /nfs/dbraw/zinc/60/93/39/156609339.db2.gz XYSHEEXCFXXXLK-JSGCOSHPSA-N 0 0 292.335 2.751 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C[C@@H]2CCCCCC[C@@H]21 ZINC000370548405 156699215 /nfs/dbraw/zinc/69/92/15/156699215.db2.gz KIARDHICVVXECD-QWRGUYRKSA-N 0 0 278.308 2.983 20 5 CFBDRN CCOc1cccc(N2C[C@@H](C)O[C@@H](CC)C2)c1[N+](=O)[O-] ZINC000302128395 286573792 /nfs/dbraw/zinc/57/37/92/286573792.db2.gz BGHUYGABXCTLLO-NEPJUHHUSA-N 0 0 294.351 2.997 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC2(CCC2)C[C@@H]1C ZINC000372890399 156931455 /nfs/dbraw/zinc/93/14/55/156931455.db2.gz XKVHBESSBPBUEK-JTQLQIEISA-N 0 0 289.335 2.703 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1ncccc1[N+](=O)[O-])CO2 ZINC000301685868 292353832 /nfs/dbraw/zinc/35/38/32/292353832.db2.gz VMAOQWCSJLIFSG-NSHDSACASA-N 0 0 271.276 2.844 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCCOCC2)cc1[N+](=O)[O-] ZINC000373909040 157045225 /nfs/dbraw/zinc/04/52/25/157045225.db2.gz JRJSFFGOULSSFD-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCC[C@@H]1c1cccc(Cl)c1 ZINC000373934542 157048718 /nfs/dbraw/zinc/04/87/18/157048718.db2.gz ASKJNNGHTWTWIU-JHJVBQTASA-N 0 0 294.738 2.669 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cnc2c(c1)COCC2 ZINC000375055167 157189954 /nfs/dbraw/zinc/18/99/54/157189954.db2.gz RPYKSQDMZSFYLA-UHFFFAOYSA-N 0 0 285.303 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cnc3c(c2)COCC3)cc1 ZINC000375053050 157189964 /nfs/dbraw/zinc/18/99/64/157189964.db2.gz FBOALZKHYIWHAS-UHFFFAOYSA-N 0 0 285.303 2.675 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CC[C@@H](C3CC3)C2)c1F ZINC000375156098 157202101 /nfs/dbraw/zinc/20/21/01/157202101.db2.gz CVVQWUSWPGMNQZ-LLVKDONJSA-N 0 0 292.310 2.914 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cn2)[C@@H]2CCCO[C@@H]21 ZINC000376491668 157362929 /nfs/dbraw/zinc/36/29/29/157362929.db2.gz MMTPTILNGRAWOP-UHTWSYAYSA-N 0 0 277.324 2.605 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)n1 ZINC000408171227 157383633 /nfs/dbraw/zinc/38/36/33/157383633.db2.gz YSZBXDHSPJOWIG-RTXFEEFZSA-N 0 0 291.351 2.832 20 5 CFBDRN C[C@H]1COC(C)(C)CN1Cc1csc([N+](=O)[O-])c1 ZINC000408360510 157394460 /nfs/dbraw/zinc/39/44/60/157394460.db2.gz VGFZOVOQGKNTIY-VIFPVBQESA-N 0 0 270.354 2.656 20 5 CFBDRN CSC[C@@H]1CCCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000292219758 286677145 /nfs/dbraw/zinc/67/71/45/286677145.db2.gz HZIKNRRPWRKPJH-SNVBAGLBSA-N 0 0 281.381 2.756 20 5 CFBDRN CSC[C@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000066759751 286679895 /nfs/dbraw/zinc/67/98/95/286679895.db2.gz DXMFJCBSDYEHHF-VHSXEESVSA-N 0 0 294.376 2.872 20 5 CFBDRN CS[C@@H]1CCCCN(c2ncccc2[N+](=O)[O-])C1 ZINC000154625312 286692646 /nfs/dbraw/zinc/69/26/46/286692646.db2.gz HUTKWUHTVVZGAX-SNVBAGLBSA-N 0 0 267.354 2.712 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000178420910 286742399 /nfs/dbraw/zinc/74/23/99/286742399.db2.gz JZFZNCYDDSDGTP-SNVBAGLBSA-N 0 0 262.309 2.599 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC[C@@H]2CCCC[C@@H]21 ZINC000335449922 161556014 /nfs/dbraw/zinc/55/60/14/161556014.db2.gz JATSAAGEUCEKNF-ZFWWWQNUSA-N 0 0 288.347 2.928 20 5 CFBDRN CC(C)CCNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000339998610 161592004 /nfs/dbraw/zinc/59/20/04/161592004.db2.gz FCTYPZHDVYMCSH-UHFFFAOYSA-N 0 0 282.365 2.849 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCSC2)cn1 ZINC000340943152 161603005 /nfs/dbraw/zinc/60/30/05/161603005.db2.gz WYSXROQVQVUBQR-VIFPVBQESA-N 0 0 253.327 2.545 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ncn(CCC(F)(F)F)n2)c1 ZINC000342516911 161645011 /nfs/dbraw/zinc/64/50/11/161645011.db2.gz CJFRLKUHXQHZHQ-UHFFFAOYSA-N 0 0 286.213 2.806 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])C[C@@H]1O ZINC000342534547 161648988 /nfs/dbraw/zinc/64/89/88/161648988.db2.gz CGTZHHVSBNSETA-WKEGUHRASA-N 0 0 291.134 2.719 20 5 CFBDRN CC(=O)c1cc(NCC2(CCO)CCC2)ccc1[N+](=O)[O-] ZINC000342665470 161680769 /nfs/dbraw/zinc/68/07/69/161680769.db2.gz CVVSWSNKUGYMRO-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN CCCCCOC1CN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000342729364 161694219 /nfs/dbraw/zinc/69/42/19/161694219.db2.gz GZRWRADWEVWIFD-UHFFFAOYSA-N 0 0 298.364 2.688 20 5 CFBDRN O=C(Nc1cnn(C(F)F)c1)c1csc([N+](=O)[O-])c1 ZINC000343554588 161800068 /nfs/dbraw/zinc/80/00/68/161800068.db2.gz QRJOIRANMMESII-UHFFFAOYSA-N 0 0 288.235 2.500 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)Cc1ccoc1 ZINC000343832347 161871569 /nfs/dbraw/zinc/87/15/69/161871569.db2.gz QDCZFKJLWUHHBY-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2C[C@@H]2C(F)F)s1 ZINC000343852136 161876903 /nfs/dbraw/zinc/87/69/03/161876903.db2.gz KEYKFSKDTPDPLI-NJGYIYPDSA-N 0 0 286.263 2.665 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)CCc1ccccc1O ZINC000343890016 161888889 /nfs/dbraw/zinc/88/88/89/161888889.db2.gz RNIJKWOJIKQWCB-UHFFFAOYSA-N 0 0 287.319 2.683 20 5 CFBDRN CN(C(=O)[C@@H]1CCCC1(C)C)c1ccc([N+](=O)[O-])nc1 ZINC000344218002 161976642 /nfs/dbraw/zinc/97/66/42/161976642.db2.gz WWTPYRARHHTNEP-NSHDSACASA-N 0 0 277.324 2.779 20 5 CFBDRN O=C(NCC1CCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344254642 161987636 /nfs/dbraw/zinc/98/76/36/161987636.db2.gz VEMRUNBMJLBVGO-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])nc1)[C@H](C)c1cccnc1 ZINC000344430392 162041785 /nfs/dbraw/zinc/04/17/85/162041785.db2.gz KACFUBJAVQZHDC-LLVKDONJSA-N 0 0 272.308 2.972 20 5 CFBDRN COc1ccc(CCNc2ccc([N+](=O)[O-])nc2)cc1F ZINC000344427179 162041901 /nfs/dbraw/zinc/04/19/01/162041901.db2.gz ZSCWSCCZJMMQKD-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN COc1cc(C)nc(Oc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000344437921 162043736 /nfs/dbraw/zinc/04/37/36/162043736.db2.gz RKEQDXCNVBEBFE-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN C[C@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000344527084 162060129 /nfs/dbraw/zinc/06/01/29/162060129.db2.gz UVGLPDHKLWQEMX-YFKPBYRVSA-N 0 0 295.192 2.806 20 5 CFBDRN CCN(Cc1cccs1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000344578550 162072272 /nfs/dbraw/zinc/07/22/72/162072272.db2.gz IXOUVNYWFAEVFL-UHFFFAOYSA-N 0 0 279.321 2.647 20 5 CFBDRN CC(C)C[C@@H](C)Cn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000344607825 162077366 /nfs/dbraw/zinc/07/73/66/162077366.db2.gz GCJUIAGGGBFJPR-LLVKDONJSA-N 0 0 289.335 2.987 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)Nc2cccc3ccccc32)n1 ZINC000344602339 162078888 /nfs/dbraw/zinc/07/88/88/162078888.db2.gz HHTQUXVNZICYCE-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN CCc1nn(C)c(NCc2cc(C)cs2)c1[N+](=O)[O-] ZINC000344612978 162081201 /nfs/dbraw/zinc/08/12/01/162081201.db2.gz DRLMGWBLQXOKOA-UHFFFAOYSA-N 0 0 280.353 2.873 20 5 CFBDRN O=C(Nc1cccc2ccsc21)c1n[nH]cc1[N+](=O)[O-] ZINC000344661249 162092650 /nfs/dbraw/zinc/09/26/50/162092650.db2.gz SSZMSLWEWKNRPD-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN CN(Cc1ccc(O)cc1)c1ccc([N+](=O)[O-])c(N)c1F ZINC000345152633 162187580 /nfs/dbraw/zinc/18/75/80/162187580.db2.gz YQOPHMRYCATPDL-UHFFFAOYSA-N 0 0 291.282 2.658 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000345156619 162190092 /nfs/dbraw/zinc/19/00/92/162190092.db2.gz BNXOMOAEOXKLAX-YPMHNXCESA-N 0 0 276.336 2.690 20 5 CFBDRN CCCCN(CCOC)c1ccccc1[N+](=O)[O-] ZINC000345167725 162191768 /nfs/dbraw/zinc/19/17/68/162191768.db2.gz CXMAMKPQFPGRRV-UHFFFAOYSA-N 0 0 252.314 2.848 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2)c2nccn21 ZINC000345350630 162233467 /nfs/dbraw/zinc/23/34/67/162233467.db2.gz IGIJJWQMJVTUQM-SKDRFNHKSA-N 0 0 258.281 2.909 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)c2nccn21 ZINC000345350622 162233773 /nfs/dbraw/zinc/23/37/73/162233773.db2.gz IGIJJWQMJVTUQM-BXKDBHETSA-N 0 0 258.281 2.909 20 5 CFBDRN C/C=C/c1ccc(NCc2c([N+](=O)[O-])ncn2C)cc1 ZINC000345362628 162235857 /nfs/dbraw/zinc/23/58/57/162235857.db2.gz PNUXSACAGKAMCD-ONEGZZNKSA-N 0 0 272.308 2.974 20 5 CFBDRN Cc1nc(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])c(C)o1 ZINC000345423129 162244659 /nfs/dbraw/zinc/24/46/59/162244659.db2.gz XRWWKASDSAAHTG-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1C1CCCCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000345482641 162256267 /nfs/dbraw/zinc/25/62/67/162256267.db2.gz UMXUFZBWSFYYPU-NEPJUHHUSA-N 0 0 277.324 2.622 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])o1)c1ccccc1F ZINC000345905781 162274992 /nfs/dbraw/zinc/27/49/92/162274992.db2.gz OFNJROFDRJJHIH-UHFFFAOYSA-N 0 0 292.266 2.992 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(Cc2ccccn2)no1 ZINC000346048733 162306063 /nfs/dbraw/zinc/30/60/63/162306063.db2.gz ACMMFNOPWGDQCW-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN C[C@@H]1CCCC[C@H]1c1noc(-c2cc([N+](=O)[O-])nn2C)n1 ZINC000346426055 162412326 /nfs/dbraw/zinc/41/23/26/162412326.db2.gz SALMDMKBAANIJY-RKDXNWHRSA-N 0 0 291.311 2.672 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000346960795 162565986 /nfs/dbraw/zinc/56/59/86/162565986.db2.gz PTTSVAXLXMKLLE-DGCLKSJQSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000347828970 162781643 /nfs/dbraw/zinc/78/16/43/162781643.db2.gz JTPDTVVQWBSLIT-YPMHNXCESA-N 0 0 291.351 2.577 20 5 CFBDRN C[C@H](C(=O)NC[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000347935508 162804814 /nfs/dbraw/zinc/80/48/14/162804814.db2.gz GWQMXJHQDLCZRN-VHSXEESVSA-N 0 0 294.326 3.000 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1C=C[C@@H](CO)C1 ZINC000349268931 162958787 /nfs/dbraw/zinc/95/87/87/162958787.db2.gz REVJOAHXXWRAHS-PSASIEDQSA-N 0 0 268.700 2.597 20 5 CFBDRN Cn1nc2ccccc2c1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000349708386 163006053 /nfs/dbraw/zinc/00/60/53/163006053.db2.gz BILMJYQGSYASIM-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1nc(COc2cc(C)c([N+](=O)[O-])cc2Cl)no1 ZINC000349840218 163022906 /nfs/dbraw/zinc/02/29/06/163022906.db2.gz POXCXHHRTWSOHO-UHFFFAOYSA-N 0 0 283.671 2.827 20 5 CFBDRN COCCOCCOc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000349834691 163023907 /nfs/dbraw/zinc/02/39/07/163023907.db2.gz YOBFQLMFBYZUBY-UHFFFAOYSA-N 0 0 289.715 2.598 20 5 CFBDRN COCc1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 ZINC000349858321 163028766 /nfs/dbraw/zinc/02/87/66/163028766.db2.gz CIAMTDPBSOWOIC-UHFFFAOYSA-N 0 0 275.220 2.537 20 5 CFBDRN CN(C)c1ccc(-c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)cc1 ZINC000349882901 163032273 /nfs/dbraw/zinc/03/22/73/163032273.db2.gz YEJWVOUNKMLOAM-UHFFFAOYSA-N 0 0 299.290 2.706 20 5 CFBDRN CCCc1noc(COc2c(Cl)cncc2[N+](=O)[O-])n1 ZINC000349919339 163036797 /nfs/dbraw/zinc/03/67/97/163036797.db2.gz DXVFFVMAWIEJBJ-UHFFFAOYSA-N 0 0 298.686 2.558 20 5 CFBDRN O=[N+]([O-])c1ncn(CC2CCC(C(F)(F)F)CC2)n1 ZINC000349985250 163047379 /nfs/dbraw/zinc/04/73/79/163047379.db2.gz REFJRZDRKAILFT-UHFFFAOYSA-N 0 0 278.234 2.555 20 5 CFBDRN CC(=O)c1nccn1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000350020014 163052648 /nfs/dbraw/zinc/05/26/48/163052648.db2.gz VFLQIZXMSYXWHI-UHFFFAOYSA-N 0 0 279.683 2.696 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1F)C(=O)OC(C)(C)C ZINC000350254900 163068339 /nfs/dbraw/zinc/06/83/39/163068339.db2.gz RJQPPEISHAIIDE-VIFPVBQESA-N 0 0 298.314 2.554 20 5 CFBDRN Cc1noc([C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000350398640 163076752 /nfs/dbraw/zinc/07/67/52/163076752.db2.gz VETVDDQRMKSTGK-ZCFIWIBFSA-N 0 0 251.217 2.577 20 5 CFBDRN CC[C@](C)(OC)c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000350461160 163083963 /nfs/dbraw/zinc/08/39/63/163083963.db2.gz NPJVCQQULWOJQO-ZDUSSCGKSA-N 0 0 277.280 2.916 20 5 CFBDRN CCC(O)(CC)CCNc1ccc([N+](=O)[O-])cc1 ZINC000350670067 163097986 /nfs/dbraw/zinc/09/79/86/163097986.db2.gz PGPKLEQAKZHWAS-UHFFFAOYSA-N 0 0 252.314 2.948 20 5 CFBDRN CN(CCCCO)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000350684557 163098715 /nfs/dbraw/zinc/09/87/15/163098715.db2.gz KMVPQVQKDOBZAJ-UHFFFAOYSA-N 0 0 296.367 2.981 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2(CO)CCCC2)n1 ZINC000351530105 163141961 /nfs/dbraw/zinc/14/19/61/163141961.db2.gz HQEUVYYKDDZDPA-UHFFFAOYSA-N 0 0 279.340 2.571 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncc(F)cn2)c2cccnc21 ZINC000351560906 163146783 /nfs/dbraw/zinc/14/67/83/163146783.db2.gz DEZURDRLHDRNHV-UHFFFAOYSA-N 0 0 286.222 2.864 20 5 CFBDRN Cc1cnc(N[C@@H](CO)c2ccsc2)c([N+](=O)[O-])c1 ZINC000351560973 163147697 /nfs/dbraw/zinc/14/76/97/163147697.db2.gz FCQPNHCSQABJAN-JTQLQIEISA-N 0 0 279.321 2.505 20 5 CFBDRN COCCc1ccnc(NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000351568746 163148487 /nfs/dbraw/zinc/14/84/87/163148487.db2.gz OEOYMYYFLBSMMO-UHFFFAOYSA-N 0 0 287.319 2.791 20 5 CFBDRN CCc1nocc1CN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000351569745 163149252 /nfs/dbraw/zinc/14/92/52/163149252.db2.gz VCRHSOUUTJFEGV-UHFFFAOYSA-N 0 0 287.319 2.704 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351655544 163167562 /nfs/dbraw/zinc/16/75/62/163167562.db2.gz NAHVAYVWXPPVGY-JGVFFNPUSA-N 0 0 267.260 2.511 20 5 CFBDRN Cc1ncsc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000351678155 163171837 /nfs/dbraw/zinc/17/18/37/163171837.db2.gz HLWWNCKOMJWFLW-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@H]1C[C@H]1C(F)F ZINC000351727115 163182313 /nfs/dbraw/zinc/18/23/13/163182313.db2.gz ZBZHFERCFWTKTQ-BDAKNGLRSA-N 0 0 289.213 2.509 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000351724600 163183327 /nfs/dbraw/zinc/18/33/27/163183327.db2.gz OTIPUKMKEBHQRC-WRWORJQWSA-N 0 0 267.260 2.654 20 5 CFBDRN Cc1ncc(CN[C@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000351751443 163186580 /nfs/dbraw/zinc/18/65/80/163186580.db2.gz BHHBOKCYWIJRCY-SNVBAGLBSA-N 0 0 272.308 2.544 20 5 CFBDRN CN(Cc1cc[nH]n1)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000351823466 163198397 /nfs/dbraw/zinc/19/83/97/163198397.db2.gz RNWNIQRZSIMXTH-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCN(C)CC(F)(F)F ZINC000351820849 163199032 /nfs/dbraw/zinc/19/90/32/163199032.db2.gz HJSBMBLMOQVCKT-UHFFFAOYSA-N 0 0 291.273 2.809 20 5 CFBDRN COc1cc(CSc2ncccn2)ccc1[N+](=O)[O-] ZINC000351829229 163199807 /nfs/dbraw/zinc/19/98/07/163199807.db2.gz MNYZFDQKCHKIES-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000352880417 163258955 /nfs/dbraw/zinc/25/89/55/163258955.db2.gz AEPXKVCPBKXSAJ-JQWIXIFHSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000353080625 163303885 /nfs/dbraw/zinc/30/38/85/163303885.db2.gz FGBMLZRKGDLIDA-PWSUYJOCSA-N 0 0 294.376 2.915 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000353416655 163361148 /nfs/dbraw/zinc/36/11/48/163361148.db2.gz RCPAMLYFINCREL-GXFFZTMASA-N 0 0 276.336 2.751 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCOC[C@@H]1CCOC1 ZINC000353441740 163364676 /nfs/dbraw/zinc/36/46/76/163364676.db2.gz UANSATKXIFNHPU-ZDUSSCGKSA-N 0 0 294.351 2.758 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000353450956 163367781 /nfs/dbraw/zinc/36/77/81/163367781.db2.gz VVSMUEAHHXJEHR-ZDUSSCGKSA-N 0 0 252.314 2.986 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000353898278 163477955 /nfs/dbraw/zinc/47/79/55/163477955.db2.gz DEYHEMZNACFNBN-SNVBAGLBSA-N 0 0 298.314 2.619 20 5 CFBDRN O=c1ccccn1CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000354082980 163517367 /nfs/dbraw/zinc/51/73/67/163517367.db2.gz APQTVPZVZNAPNF-UHFFFAOYSA-N 0 0 293.710 2.522 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000181095668 287051405 /nfs/dbraw/zinc/05/14/05/287051405.db2.gz OZPLUWRBHLRJQE-LLVKDONJSA-N 0 0 291.351 2.829 20 5 CFBDRN CC[C@@H]1CCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330436467 287057352 /nfs/dbraw/zinc/05/73/52/287057352.db2.gz RAXOSIGCTLBXIY-LLVKDONJSA-N 0 0 250.298 2.675 20 5 CFBDRN CC[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])CCCO1 ZINC000281719111 287213149 /nfs/dbraw/zinc/21/31/49/287213149.db2.gz CAUNKSXIYGHSPC-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000284164978 195993644 /nfs/dbraw/zinc/99/36/44/195993644.db2.gz WSHODQMLIJTDOX-HTRCEHHLSA-N 0 0 269.688 2.778 20 5 CFBDRN COC(=O)c1cccc(COc2ccc([N+](=O)[O-])cc2)c1 ZINC000005864808 170148741 /nfs/dbraw/zinc/14/87/41/170148741.db2.gz RYJMFXKDQFMVIB-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN O=C(COc1cccnc1[N+](=O)[O-])c1ccc(F)c(F)c1 ZINC000005971028 170164301 /nfs/dbraw/zinc/16/43/01/170164301.db2.gz IPBPGRQJALGABG-UHFFFAOYSA-N 0 0 294.213 2.530 20 5 CFBDRN Cc1ccc(NC(=O)COc2cccc([N+](=O)[O-])c2)cc1 ZINC000006061487 170173077 /nfs/dbraw/zinc/17/30/77/170173077.db2.gz ICYCMEWMAYVUDL-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN Cc1cc(C(=O)COc2cccc([N+](=O)[O-])c2)c(C)n1C ZINC000006061116 170173171 /nfs/dbraw/zinc/17/31/71/170173171.db2.gz ZVBINMJZCXOPIZ-UHFFFAOYSA-N 0 0 288.303 2.812 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1cccc([N+](=O)[O-])c1 ZINC000006505874 170207931 /nfs/dbraw/zinc/20/79/31/170207931.db2.gz NWVBVWLXOAXKNI-UHFFFAOYSA-N 0 0 288.215 2.722 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2ccccn2)ccc1[N+](=O)[O-] ZINC000007597441 170292192 /nfs/dbraw/zinc/29/21/92/170292192.db2.gz ILWYMRHGCGFHCO-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN C/C=C/C=C/C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000008907753 170358633 /nfs/dbraw/zinc/35/86/33/170358633.db2.gz HTFIQQAPZOEADE-VNKDHWASSA-N 0 0 262.265 2.674 20 5 CFBDRN CC[C@@H]1COCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000302789056 287239674 /nfs/dbraw/zinc/23/96/74/287239674.db2.gz XSYCBUQWPXAQQK-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN NC(=O)c1ccc(CSc2ccccc2)c([N+](=O)[O-])c1 ZINC000016743647 170711319 /nfs/dbraw/zinc/71/13/19/170711319.db2.gz GBPAUXFOUUWHLZ-UHFFFAOYSA-N 0 0 288.328 2.986 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1cc(C)ccc1[N+](=O)[O-] ZINC000447060386 287248749 /nfs/dbraw/zinc/24/87/49/287248749.db2.gz PYADCTIASNDVEK-NWDGAFQWSA-N 0 0 264.325 2.907 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(O)c1 ZINC000020212107 171075213 /nfs/dbraw/zinc/07/52/13/171075213.db2.gz NBNOBKSVELCIPZ-RMKNXTFCSA-N 0 0 284.271 2.952 20 5 CFBDRN COc1ccccc1CNc1ccncc1[N+](=O)[O-] ZINC000020370226 171167769 /nfs/dbraw/zinc/16/77/69/171167769.db2.gz URZVHWOMTLLNNJ-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN COc1ccc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000020526246 171239542 /nfs/dbraw/zinc/23/95/42/171239542.db2.gz DLFSZNRBTQLQRK-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccccc1 ZINC000020890426 171278164 /nfs/dbraw/zinc/27/81/64/171278164.db2.gz BMLWLCHWYIUKNJ-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN CN(CC(=O)Nc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000021130962 171298115 /nfs/dbraw/zinc/29/81/15/171298115.db2.gz RYDJLCWFMJCCDY-UHFFFAOYSA-N 0 0 285.303 2.670 20 5 CFBDRN C[C@H]1CCC[C@@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000025571524 171460840 /nfs/dbraw/zinc/46/08/40/171460840.db2.gz NFOOHGVEGWPKGJ-GXSJLCMTSA-N 0 0 277.324 2.684 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCO2)c1cccc([N+](=O)[O-])c1 ZINC000027133902 171508556 /nfs/dbraw/zinc/50/85/56/171508556.db2.gz ILYGGZCCMOFTMC-UHFFFAOYSA-N 0 0 284.271 2.782 20 5 CFBDRN NC(=O)c1ccc(NCC2CCCCC2)c([N+](=O)[O-])c1 ZINC000028725873 171572339 /nfs/dbraw/zinc/57/23/39/171572339.db2.gz OFOCXQXTYRPJCK-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN O=C(Cc1ccsc1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029891708 171610754 /nfs/dbraw/zinc/61/07/54/171610754.db2.gz KSBFTUZPBDYZGZ-UHFFFAOYSA-N 0 0 288.328 2.788 20 5 CFBDRN CNC(=O)c1ccc(NCc2ccccc2[N+](=O)[O-])cc1 ZINC000035045603 171949278 /nfs/dbraw/zinc/94/92/78/171949278.db2.gz RCYFQUWZBVWGSM-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN Cc1nn(CC[C@@H](O)c2ccccc2)c(C)c1[N+](=O)[O-] ZINC000285035525 196183818 /nfs/dbraw/zinc/18/38/18/196183818.db2.gz FPZCTAOWFQQDON-CYBMUJFWSA-N 0 0 275.308 2.532 20 5 CFBDRN C[C@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000035134864 172001814 /nfs/dbraw/zinc/00/18/14/172001814.db2.gz GBKBGKBGTNAFNF-ZETCQYMHSA-N 0 0 268.700 2.777 20 5 CFBDRN CC(C)C[C@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000035331565 172130151 /nfs/dbraw/zinc/13/01/51/172130151.db2.gz GFZQJKZSAYOIOD-VIFPVBQESA-N 0 0 265.313 2.540 20 5 CFBDRN CC1CCC(N(C(=O)c2cc([N+](=O)[O-])n[nH]2)C2CC2)CC1 ZINC000285121062 196201301 /nfs/dbraw/zinc/20/13/01/196201301.db2.gz MRPOAJIZUKQKBF-UHFFFAOYSA-N 0 0 292.339 2.501 20 5 CFBDRN CCC(=O)COc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000285193209 196217394 /nfs/dbraw/zinc/21/73/94/196217394.db2.gz OGWOAVZPKWTVAV-UHFFFAOYSA-N 0 0 261.636 2.745 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1Cc1nc(CCC(C)(C)C)no1 ZINC000285229661 196226463 /nfs/dbraw/zinc/22/64/63/196226463.db2.gz VJWFKAJMSLMUBL-UHFFFAOYSA-N 0 0 293.327 2.510 20 5 CFBDRN CCOC1CC(COc2cccc([N+](=O)[O-])c2)C1 ZINC000285273832 196238357 /nfs/dbraw/zinc/23/83/57/196238357.db2.gz TWVNABGMZCCVTQ-UHFFFAOYSA-N 0 0 251.282 2.789 20 5 CFBDRN CCOCCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000036230027 172526582 /nfs/dbraw/zinc/52/65/82/172526582.db2.gz HAQNMXMDTZANIN-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN CCOC1CC(CN2CCc3c2cccc3[N+](=O)[O-])C1 ZINC000285606522 196342071 /nfs/dbraw/zinc/34/20/71/196342071.db2.gz LTKDPUWTTGJXDQ-UHFFFAOYSA-N 0 0 276.336 2.772 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000037283191 173091112 /nfs/dbraw/zinc/09/11/12/173091112.db2.gz SDHVRPFUBLVUPL-CYBMUJFWSA-N 0 0 294.351 2.581 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037285934 173091579 /nfs/dbraw/zinc/09/15/79/173091579.db2.gz KSZFSVKJGNUZIB-VIFPVBQESA-N 0 0 266.272 2.749 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286206 173092570 /nfs/dbraw/zinc/09/25/70/173092570.db2.gz XPSLXNSOVULSGT-QMMMGPOBSA-N 0 0 254.261 2.604 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286209 173092649 /nfs/dbraw/zinc/09/26/49/173092649.db2.gz JQKLGYWWYAOSHL-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN COCCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000037362201 173151573 /nfs/dbraw/zinc/15/15/73/173151573.db2.gz XCTPOYNIDPCNMO-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN Cc1nn(C)c(Cl)c1COc1ccccc1[N+](=O)[O-] ZINC000037408761 173185201 /nfs/dbraw/zinc/18/52/01/173185201.db2.gz ZQOKCAKKHPMNFQ-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CC[C@H](C)NC(=O)CCNc1ccc(C)cc1[N+](=O)[O-] ZINC000038015084 173987793 /nfs/dbraw/zinc/98/77/93/173987793.db2.gz UTYRJJDLZMKUDY-NSHDSACASA-N 0 0 279.340 2.620 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCCOC2)ccc2ncccc21 ZINC000038046341 174054496 /nfs/dbraw/zinc/05/44/96/174054496.db2.gz JSWONLRMVYHGIH-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CCCOC1 ZINC000038046451 174055431 /nfs/dbraw/zinc/05/54/31/174055431.db2.gz LLOWCEBUDDPZEC-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000039628729 174171047 /nfs/dbraw/zinc/17/10/47/174171047.db2.gz PKRYJXRPGJNRLQ-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1ncc(CNCc2ccccc2[N+](=O)[O-])s1 ZINC000040437577 174262726 /nfs/dbraw/zinc/26/27/26/174262726.db2.gz HGGQGQBJSHVBTC-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN Cc1noc([C@@H]2CCCN2c2ccc([N+](=O)[O-])cc2F)n1 ZINC000286242621 196539080 /nfs/dbraw/zinc/53/90/80/196539080.db2.gz CZACAMAJNIGMEH-LBPRGKRZSA-N 0 0 292.270 2.767 20 5 CFBDRN COc1cc(CNc2ccc(F)cc2[N+](=O)[O-])ccn1 ZINC000042677935 174833785 /nfs/dbraw/zinc/83/37/85/174833785.db2.gz SAZUECBVVOOCQV-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN O=C(NCC1CC1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000043342419 175015902 /nfs/dbraw/zinc/01/59/02/175015902.db2.gz CQMMHJRFGKNWHU-UHFFFAOYSA-N 0 0 269.688 2.780 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)Nc1ccc([N+](=O)[O-])cc1 ZINC000043436260 175045343 /nfs/dbraw/zinc/04/53/43/175045343.db2.gz CFWSVEFZHAWMIC-GFCCVEGCSA-N 0 0 266.297 2.594 20 5 CFBDRN CC(C)(CO)Nc1ccc([N+](=O)[O-])cc1Br ZINC000043596492 175076428 /nfs/dbraw/zinc/07/64/28/175076428.db2.gz QJANVOXAZAYCQU-UHFFFAOYSA-N 0 0 289.129 2.540 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1Cc1ccc(Cl)cc1Cl ZINC000043669455 175086891 /nfs/dbraw/zinc/08/68/91/175086891.db2.gz CRLRXNCEAOKDCQ-UHFFFAOYSA-N 0 0 287.106 2.729 20 5 CFBDRN COC[C@H](C)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000044477242 175178748 /nfs/dbraw/zinc/17/87/48/175178748.db2.gz FMMSIGAYZNYMQM-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccc(O)c1 ZINC000044747823 175243624 /nfs/dbraw/zinc/24/36/24/175243624.db2.gz JTPHHUUVYBKWTE-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cc1sc(C(=O)NCCCOCC2CC2)cc1[N+](=O)[O-] ZINC000045061747 175298381 /nfs/dbraw/zinc/29/83/81/175298381.db2.gz RXOXFKLMVJCBFM-UHFFFAOYSA-N 0 0 298.364 2.511 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000045204941 175327763 /nfs/dbraw/zinc/32/77/63/175327763.db2.gz XGSZZBOABTZMGN-CMPLNLGQSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000049441611 175477265 /nfs/dbraw/zinc/47/72/65/175477265.db2.gz LXHTWNKJWKFXDT-BDAKNGLRSA-N 0 0 299.758 2.963 20 5 CFBDRN CC(C)(C)c1nc(Cn2cc([N+](=O)[O-])cn2)cs1 ZINC000060817130 175640736 /nfs/dbraw/zinc/64/07/36/175640736.db2.gz AAHIRNXYFICDQL-UHFFFAOYSA-N 0 0 266.326 2.594 20 5 CFBDRN Nc1ccc(N[C@@H]2CCOC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000286374577 196584859 /nfs/dbraw/zinc/58/48/59/196584859.db2.gz XWEPHVCQJXIXBO-LLVKDONJSA-N 0 0 277.324 2.691 20 5 CFBDRN Cc1cccc(NC[C@@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC000286396478 196590033 /nfs/dbraw/zinc/59/00/33/196590033.db2.gz OBELOYLIFPIJSR-RYUDHWBXSA-N 0 0 264.325 2.988 20 5 CFBDRN COc1ccc(CCNc2nccc(C)c2[N+](=O)[O-])cc1 ZINC000070495788 175957057 /nfs/dbraw/zinc/95/70/57/175957057.db2.gz HHYQUKCMBRVUKC-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1ccc(NCc2ccc(C(N)=O)cc2[N+](=O)[O-])cc1C ZINC000071813438 176268972 /nfs/dbraw/zinc/26/89/72/176268972.db2.gz UWEFERFZHYMWES-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN CSc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000072088857 176314052 /nfs/dbraw/zinc/31/40/52/176314052.db2.gz ZKYHTCIXUKQWIR-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN CC(C)SCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000286442237 196605424 /nfs/dbraw/zinc/60/54/24/196605424.db2.gz OHPVBXXMCKDJMN-UHFFFAOYSA-N 0 0 286.382 2.858 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000286451063 196606924 /nfs/dbraw/zinc/60/69/24/196606924.db2.gz SACGHMUUDNVBEI-MNOVXSKESA-N 0 0 291.351 2.647 20 5 CFBDRN CCCCOCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000072590689 176416008 /nfs/dbraw/zinc/41/60/08/176416008.db2.gz WTJXCGGAKXOZKM-UHFFFAOYSA-N 0 0 295.339 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCO2)c(Cl)c1 ZINC000072645282 176420141 /nfs/dbraw/zinc/42/01/41/176420141.db2.gz CRROMDHRHUJFFL-SECBINFHSA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCO2)c(Cl)c1 ZINC000072645281 176420199 /nfs/dbraw/zinc/42/01/99/176420199.db2.gz CRROMDHRHUJFFL-VIFPVBQESA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)C(F)(F)F)c(Cl)c1 ZINC000074055562 176507129 /nfs/dbraw/zinc/50/71/29/176507129.db2.gz JNQWXQLJYCFVKT-MRVPVSSYSA-N 0 0 284.621 2.583 20 5 CFBDRN Cc1cc(NC[C@@H](O)CC(C)(C)C)ncc1[N+](=O)[O-] ZINC000074764185 176611437 /nfs/dbraw/zinc/61/14/37/176611437.db2.gz CZSZXHBOUTWRPP-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN O=C(CC[C@H]1CCCO1)OCc1ccc([N+](=O)[O-])cc1 ZINC000075719864 176762501 /nfs/dbraw/zinc/76/25/01/176762501.db2.gz UZPIOPWNDDDFEG-CYBMUJFWSA-N 0 0 279.292 2.597 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000286505213 196624769 /nfs/dbraw/zinc/62/47/69/196624769.db2.gz AXSZAGDSKXRYAT-MNOVXSKESA-N 0 0 279.340 2.794 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CC1CCC1 ZINC000076819583 177108398 /nfs/dbraw/zinc/10/83/98/177108398.db2.gz OYIUXPAWCIRGMS-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN CSCCCNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000077178395 177230861 /nfs/dbraw/zinc/23/08/61/177230861.db2.gz WGFVOQZEFSJUGZ-UHFFFAOYSA-N 0 0 283.353 2.778 20 5 CFBDRN COc1cc(NC[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000077208364 177234860 /nfs/dbraw/zinc/23/48/60/177234860.db2.gz PQEYGVWPKMOJBW-GXFFZTMASA-N 0 0 280.324 2.566 20 5 CFBDRN CCCSCC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000077647956 177290399 /nfs/dbraw/zinc/29/03/99/177290399.db2.gz HIBYAQVHNINRTN-UHFFFAOYSA-N 0 0 269.322 2.952 20 5 CFBDRN C[C@H](NCc1ccc(CO)o1)c1cccc([N+](=O)[O-])c1 ZINC000077897923 177307985 /nfs/dbraw/zinc/30/79/85/177307985.db2.gz FSCVBZSPMNDOJS-JTQLQIEISA-N 0 0 276.292 2.531 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000078353691 177335186 /nfs/dbraw/zinc/33/51/86/177335186.db2.gz JECXAQMGYGFKFE-LBPRGKRZSA-N 0 0 292.335 2.541 20 5 CFBDRN CCc1cnc(CNc2nccc(C)c2[N+](=O)[O-])s1 ZINC000078513565 177348479 /nfs/dbraw/zinc/34/84/79/177348479.db2.gz ZPGMUACQLDDQLC-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN CCC[C@H](CCO)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000078672934 177368776 /nfs/dbraw/zinc/36/87/76/177368776.db2.gz KNNUVBMKMCKYMZ-SNVBAGLBSA-N 0 0 270.304 2.945 20 5 CFBDRN CCC[C@H](CCO)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000078673472 177369305 /nfs/dbraw/zinc/36/93/05/177369305.db2.gz ICZNWFSTELOVPJ-LLVKDONJSA-N 0 0 267.329 2.509 20 5 CFBDRN CC(C)CSCC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000078747554 177380989 /nfs/dbraw/zinc/38/09/89/177380989.db2.gz NUFYURIKEPXHJG-UHFFFAOYSA-N 0 0 294.376 2.873 20 5 CFBDRN C[C@@H](O)CCNc1ccc(Br)cc1[N+](=O)[O-] ZINC000078777208 177386544 /nfs/dbraw/zinc/38/65/44/177386544.db2.gz OSAXZJMPDPUACF-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN C[C@@H](SCCc1ccc([N+](=O)[O-])cc1)[C@H](C)O ZINC000079040576 177419816 /nfs/dbraw/zinc/41/98/16/177419816.db2.gz UBPWJXGPMCHTEJ-VHSXEESVSA-N 0 0 255.339 2.640 20 5 CFBDRN CC[C@H](COC)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000079745076 177549516 /nfs/dbraw/zinc/54/95/16/177549516.db2.gz HWRQQQWSTOPASC-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H](C)CC2)n1 ZINC000191720606 227136478 /nfs/dbraw/zinc/13/64/78/227136478.db2.gz PIUOMTSRTDYENS-GHMZBOCLSA-N 0 0 294.355 2.510 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccn(C3CCCC3)n2)s1 ZINC000192499866 227845146 /nfs/dbraw/zinc/84/51/46/227845146.db2.gz FLJPGXAUMJIYKK-UHFFFAOYSA-N 0 0 293.352 2.975 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)c([N+](=O)[O-])cc2F)[C@@H]1C ZINC000160754983 287564860 /nfs/dbraw/zinc/56/48/60/287564860.db2.gz QDTUNKDRRFWTHA-HTQZYQBOSA-N 0 0 284.262 2.744 20 5 CFBDRN C[C@@H]1CCOCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000302517111 287638188 /nfs/dbraw/zinc/63/81/88/287638188.db2.gz AGIKWTCEVSXNGO-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@H]1CC[C@H](CNc2ncc(Cl)cc2[N+](=O)[O-])O1 ZINC000397856047 270319940 /nfs/dbraw/zinc/31/99/40/270319940.db2.gz BCSALXUPGUEFSA-IONNQARKSA-N 0 0 271.704 2.623 20 5 CFBDRN Cc1cccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000195519355 270254340 /nfs/dbraw/zinc/25/43/40/270254340.db2.gz XKTXTJWHJPUPIU-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cnccc1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000179847349 260077363 /nfs/dbraw/zinc/07/73/63/260077363.db2.gz HSROCKKKVFWMCN-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN CC[C@](C)(CNc1cc(C)c([N+](=O)[O-])cn1)OC ZINC000323025673 260122847 /nfs/dbraw/zinc/12/28/47/260122847.db2.gz KMLUZNBMNLXZAK-GFCCVEGCSA-N 0 0 253.302 2.525 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000078827535 260169563 /nfs/dbraw/zinc/16/95/63/260169563.db2.gz JPPRZWUXLFNUPJ-VIFPVBQESA-N 0 0 299.348 2.646 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCCN1c1ccc([N+](=O)[O-])nc1 ZINC000312758896 260197983 /nfs/dbraw/zinc/19/79/83/260197983.db2.gz CFJZFROTIVRZRL-NEPJUHHUSA-N 0 0 279.340 2.510 20 5 CFBDRN CCOc1cc(N[C@H]2COCC2(C)C)ccc1[N+](=O)[O-] ZINC000313027497 260237383 /nfs/dbraw/zinc/23/73/83/260237383.db2.gz OHMFKONVOJDFCH-ZDUSSCGKSA-N 0 0 280.324 2.830 20 5 CFBDRN CC1(C)COC[C@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000313041512 260240970 /nfs/dbraw/zinc/24/09/70/260240970.db2.gz RZISMILSQLCZNV-SNVBAGLBSA-N 0 0 272.251 2.710 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H](C)[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000516903994 260241671 /nfs/dbraw/zinc/24/16/71/260241671.db2.gz AHTRSWHCZGECOX-GRYCIOLGSA-N 0 0 276.336 2.955 20 5 CFBDRN Cc1cc(N[C@H]2COCC2(C)C)ccc1[N+](=O)[O-] ZINC000313073206 260243468 /nfs/dbraw/zinc/24/34/68/260243468.db2.gz GWIHUNNDPIQBEZ-LBPRGKRZSA-N 0 0 250.298 2.740 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1ccc(C)cc1[N+](=O)[O-] ZINC000313123030 260251491 /nfs/dbraw/zinc/25/14/91/260251491.db2.gz VRAPWIQJWGBVMN-GWCFXTLKSA-N 0 0 252.314 2.865 20 5 CFBDRN CC(C)C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776384 260368146 /nfs/dbraw/zinc/36/81/46/260368146.db2.gz QKPRKFUJMYWGPI-UHFFFAOYSA-N 0 0 259.265 2.738 20 5 CFBDRN CS(=O)(=O)c1cc([N+](=O)[O-])ccc1NCCCC1CC1 ZINC000526052759 261164418 /nfs/dbraw/zinc/16/44/18/261164418.db2.gz XHGWHCGSXQKJGV-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCC1(C(C)C)CC1 ZINC000177371235 261351683 /nfs/dbraw/zinc/35/16/83/261351683.db2.gz QQZOIWYRFCOAOT-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN COc1ccc(C(=O)N(CC2CC2)C(C)C)cc1[N+](=O)[O-] ZINC000514498685 261762905 /nfs/dbraw/zinc/76/29/05/261762905.db2.gz VCSPJNBVVBIPSX-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(CC(F)(F)F)Nc1ccc([N+](=O)[O-])cc1F ZINC000339192068 262612403 /nfs/dbraw/zinc/61/24/03/262612403.db2.gz JKUGIGSRLXXIAP-UHFFFAOYSA-N 0 0 266.150 2.625 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCSC1 ZINC000274319259 263022830 /nfs/dbraw/zinc/02/28/30/263022830.db2.gz YMULSMLGAKJNPW-SNVBAGLBSA-N 0 0 295.364 2.839 20 5 CFBDRN C[C@H](N(C)C(=O)COc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000518972366 265263835 /nfs/dbraw/zinc/26/38/35/265263835.db2.gz AUIMKPZMUSBKJD-NSHDSACASA-N 0 0 294.351 2.867 20 5 CFBDRN Cc1ncc(COc2ccc([N+](=O)[O-])cc2)s1 ZINC000193860935 270240562 /nfs/dbraw/zinc/24/05/62/270240562.db2.gz OPLMHPXKCOEXJJ-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN C[C@H](NC(=O)C(=O)c1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000194135595 270243586 /nfs/dbraw/zinc/24/35/86/270243586.db2.gz TUDVWPFSBCFZQJ-NSHDSACASA-N 0 0 298.298 2.655 20 5 CFBDRN CCC[C@H](CC)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000194897872 270248247 /nfs/dbraw/zinc/24/82/47/270248247.db2.gz DIRZHPRSDXXWDR-LBPRGKRZSA-N 0 0 264.325 2.832 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)cc1 ZINC000195518473 270254024 /nfs/dbraw/zinc/25/40/24/270254024.db2.gz XUEVZNHMKUYWLM-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN CCOC[C@H](C)Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000227536418 270257438 /nfs/dbraw/zinc/25/74/38/270257438.db2.gz MLEQKEARUABMTC-QMMMGPOBSA-N 0 0 272.276 2.579 20 5 CFBDRN Cc1c(C[N@H+]2CC[C@@H](C)C[C@H]2C(=O)[O-])cccc1[N+](=O)[O-] ZINC000229298125 270258707 /nfs/dbraw/zinc/25/87/07/270258707.db2.gz GNNJGRRKVOMYTK-YGRLFVJLSA-N 0 0 292.335 2.588 20 5 CFBDRN COc1cc(CN2CCC=C(F)C2)c([N+](=O)[O-])cc1F ZINC000274292366 270280477 /nfs/dbraw/zinc/28/04/77/270280477.db2.gz HEPIJFBCBSWTLC-UHFFFAOYSA-N 0 0 284.262 2.802 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000312263875 270289189 /nfs/dbraw/zinc/28/91/89/270289189.db2.gz LJOGAQXESLGWFN-SFYZADRCSA-N 0 0 294.336 2.579 20 5 CFBDRN CCOc1cccc(NC[C@@H](O)C2CCC2)c1[N+](=O)[O-] ZINC000315476748 270292404 /nfs/dbraw/zinc/29/24/04/270292404.db2.gz DCKCWYZOFVZBQV-GFCCVEGCSA-N 0 0 280.324 2.566 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H](O)C2CCC2)ccc2ncccc21 ZINC000315661155 270293181 /nfs/dbraw/zinc/29/31/81/270293181.db2.gz JDKNEYSJKMERKB-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@](C)(CNc1cc(C(=O)OC)ccc1[N+](=O)[O-])OC ZINC000322529314 270295960 /nfs/dbraw/zinc/29/59/60/270295960.db2.gz PBYHPHHDEYANEY-CQSZACIVSA-N 0 0 296.323 2.608 20 5 CFBDRN CC[C@@](C)(CNc1ccc([N+](=O)[O-])cc1F)OC ZINC000322642747 270297090 /nfs/dbraw/zinc/29/70/90/270297090.db2.gz CFAKYPBHMFPGEZ-LBPRGKRZSA-N 0 0 256.277 2.961 20 5 CFBDRN CCC[C@H](Nc1c([N+](=O)[O-])ncn1C)C1CCC1 ZINC000324827264 270298250 /nfs/dbraw/zinc/29/82/50/270298250.db2.gz YAXGAGWDSLJYGU-JTQLQIEISA-N 0 0 252.318 2.709 20 5 CFBDRN CCC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1N)C1CCC1 ZINC000324767677 270298378 /nfs/dbraw/zinc/29/83/78/270298378.db2.gz BNHPLHYNLADSBF-GFCCVEGCSA-N 0 0 291.351 2.876 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1ccccc1[N+](=O)[O-] ZINC000393514231 270307691 /nfs/dbraw/zinc/30/76/91/270307691.db2.gz CMHFFBFNFAQNEY-UHFFFAOYSA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000395023170 270310910 /nfs/dbraw/zinc/31/09/10/270310910.db2.gz NVKBBMFTQGFKIX-QMMMGPOBSA-N 0 0 297.330 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(CO)CC2)c2ncccc12 ZINC000395888464 270313619 /nfs/dbraw/zinc/31/36/19/270313619.db2.gz SGZUADNWYZDVMO-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000397241260 270316613 /nfs/dbraw/zinc/31/66/13/270316613.db2.gz RSCCZWYXUFUMIF-OCCSQVGLSA-N 0 0 277.324 2.540 20 5 CFBDRN CNc1c(C(=O)N[C@H]2C[C@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000397239121 270316793 /nfs/dbraw/zinc/31/67/93/270316793.db2.gz WXJJHBNDDYBEGW-SWLSCSKDSA-N 0 0 291.351 2.801 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CS[C@@H](C)C2)c1 ZINC000397754860 270319425 /nfs/dbraw/zinc/31/94/25/270319425.db2.gz FUOPXOTZGGMQRP-WCBMZHEXSA-N 0 0 296.348 2.687 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2ccc3cc([N+](=O)[O-])ccc3n2)O1 ZINC000397927158 270320418 /nfs/dbraw/zinc/32/04/18/270320418.db2.gz RSLSNBKFMQXXFI-MFKMUULPSA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2ccc3cc([N+](=O)[O-])ccc3n2)O1 ZINC000397927159 270320664 /nfs/dbraw/zinc/32/06/64/270320664.db2.gz RSLSNBKFMQXXFI-ZWNOBZJWSA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])CS1 ZINC000397889641 270320811 /nfs/dbraw/zinc/32/08/11/270320811.db2.gz LKMBFKCWVOJMGA-SVRRBLITSA-N 0 0 273.745 2.949 20 5 CFBDRN CO[C@H](COc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000514603052 270346773 /nfs/dbraw/zinc/34/67/73/270346773.db2.gz YYFQTEDPBMGAOJ-CYBMUJFWSA-N 0 0 251.282 2.707 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000514650777 270347549 /nfs/dbraw/zinc/34/75/49/270347549.db2.gz WNDCFWHYEVLDDR-PSASIEDQSA-N 0 0 288.250 2.786 20 5 CFBDRN CC(C)(C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C1CC1 ZINC000514685282 270349566 /nfs/dbraw/zinc/34/95/66/270349566.db2.gz PNAJUKFLQNBBTK-UHFFFAOYSA-N 0 0 288.347 2.916 20 5 CFBDRN Cc1cc(Cl)cnc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000515269755 270366085 /nfs/dbraw/zinc/36/60/85/270366085.db2.gz KDMPMZRQAQKEHS-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])s1)C(=O)OC(C)(C)C ZINC000515297421 270366381 /nfs/dbraw/zinc/36/63/81/270366381.db2.gz LFMPUEBVLRNFMH-SSDOTTSWSA-N 0 0 287.341 2.584 20 5 CFBDRN C[C@@H](C(=O)N(C)c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000521990932 270440224 /nfs/dbraw/zinc/44/02/24/270440224.db2.gz AUXDJMQRFRGUTD-LLVKDONJSA-N 0 0 285.303 2.756 20 5 CFBDRN Cc1cccc(CNc2nc3ccccc3cc2[N+](=O)[O-])n1 ZINC000530384119 270789015 /nfs/dbraw/zinc/78/90/15/270789015.db2.gz NOTJXRBFNXZQJD-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN COc1nn(C)cc1N[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000530438762 270793140 /nfs/dbraw/zinc/79/31/40/270793140.db2.gz ZTKQNFZNQQTOJO-SNVBAGLBSA-N 0 0 290.323 2.818 20 5 CFBDRN Cc1cccc2c1CC[C@H]2NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531882165 270846318 /nfs/dbraw/zinc/84/63/18/270846318.db2.gz DYHRLYYPQPWUOV-CQSZACIVSA-N 0 0 297.314 2.716 20 5 CFBDRN CC(C)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532139030 270854106 /nfs/dbraw/zinc/85/41/06/270854106.db2.gz PIUVUYNWFASIHG-CYBMUJFWSA-N 0 0 277.324 2.641 20 5 CFBDRN O=C(Nc1ccncc1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000121023756 275000547 /nfs/dbraw/zinc/00/05/47/275000547.db2.gz RDEADJGJXBUSLF-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CC2(CC2)c2ccccc21 ZINC000370515368 275012676 /nfs/dbraw/zinc/01/26/76/275012676.db2.gz UEUWZPYQHMVILQ-UHFFFAOYSA-N 0 0 295.298 2.682 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCc3ccccc3OC2)nc1 ZINC000367165122 275037139 /nfs/dbraw/zinc/03/71/39/275037139.db2.gz MHTXZZOFIOMVQM-GFCCVEGCSA-N 0 0 285.303 2.796 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC=C(c3ccccc3)CC2)nc1 ZINC000376926896 275040724 /nfs/dbraw/zinc/04/07/24/275040724.db2.gz WNNJSZMCOKMUAP-UHFFFAOYSA-N 0 0 282.303 2.679 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CSc3ccccc32)nc1 ZINC000354560940 275041446 /nfs/dbraw/zinc/04/14/46/275041446.db2.gz DZNNPENSXHFWNS-SNVBAGLBSA-N 0 0 274.305 2.644 20 5 CFBDRN CC(C)(C)CCN1C(=O)c2ccc([N+](=O)[O-])cc2C1=O ZINC000330499612 275510348 /nfs/dbraw/zinc/51/03/48/275510348.db2.gz JXHCNUKWAFLYKI-UHFFFAOYSA-N 0 0 276.292 2.627 20 5 CFBDRN CC(C)[C@H](C)N(C)c1ccc([N+](=O)[O-])c(N)c1F ZINC000443447284 275696556 /nfs/dbraw/zinc/69/65/56/275696556.db2.gz WAUQDDMJMCMEDC-QMMMGPOBSA-N 0 0 255.293 2.797 20 5 CFBDRN CC1(C)C[C@@H]1Nc1ncc(Br)cc1[N+](=O)[O-] ZINC000045135609 275863373 /nfs/dbraw/zinc/86/33/73/275863373.db2.gz XZPRISUTFAGKJO-QMMMGPOBSA-N 0 0 286.129 2.963 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269357805 276039836 /nfs/dbraw/zinc/03/98/36/276039836.db2.gz IIPSRDLJIWKISU-VIFPVBQESA-N 0 0 282.344 2.702 20 5 CFBDRN CCN(CC)C(=O)[C@@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000037126562 276091463 /nfs/dbraw/zinc/09/14/63/276091463.db2.gz ZPISZMYENUEDDU-VXGBXAGGSA-N 0 0 293.367 2.502 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162208529 276144090 /nfs/dbraw/zinc/14/40/90/276144090.db2.gz NDICJBFWYPLIQQ-LLVKDONJSA-N 0 0 274.320 2.920 20 5 CFBDRN CCO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)n2)C[C@@H]1C ZINC000450747446 276339417 /nfs/dbraw/zinc/33/94/17/276339417.db2.gz UCIZBTRBGMRHFF-GXFFZTMASA-N 0 0 279.340 2.550 20 5 CFBDRN CCO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H]1C ZINC000450743136 276339588 /nfs/dbraw/zinc/33/95/88/276339588.db2.gz FVQAOHNFCAPICL-BXKDBHETSA-N 0 0 299.758 2.895 20 5 CFBDRN CC[C@H]1CCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000338677249 276653463 /nfs/dbraw/zinc/65/34/63/276653463.db2.gz MBQMOQWTSGVDIT-JTQLQIEISA-N 0 0 250.298 2.840 20 5 CFBDRN CC[C@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCS1 ZINC000302872520 276663026 /nfs/dbraw/zinc/66/30/26/276663026.db2.gz CKUFQKBCIRJYRH-JTQLQIEISA-N 0 0 293.392 2.895 20 5 CFBDRN CCc1ccc(C(=O)N(C)[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000163542679 276687173 /nfs/dbraw/zinc/68/71/73/276687173.db2.gz JSYVOLZHKDZQIF-GFCCVEGCSA-N 0 0 294.376 2.735 20 5 CFBDRN CN(c1ncccc1[N+](=O)[O-])[C@H]1CCc2ccccc2C1 ZINC000301290608 276871061 /nfs/dbraw/zinc/87/10/61/276871061.db2.gz QCEOLCFZDHYIKA-AWEZNQCLSA-N 0 0 283.331 2.984 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)CC1(O)CCCC1 ZINC000361072052 277113742 /nfs/dbraw/zinc/11/37/42/277113742.db2.gz BLMCSMFKMPAGJB-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@@](C)(O)CC1 ZINC000377151202 277113821 /nfs/dbraw/zinc/11/38/21/277113821.db2.gz ORYDJAAEINPJNN-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC(C)CC2)c1 ZINC000136292435 277161628 /nfs/dbraw/zinc/16/16/28/277161628.db2.gz QZXSZOQQAOSTPE-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CC(C)(C)OCC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000183522069 277212177 /nfs/dbraw/zinc/21/21/77/277212177.db2.gz OERXFYBVVVZABI-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN CC(C)(C)[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000267190944 277256357 /nfs/dbraw/zinc/25/63/57/277256357.db2.gz BVVMAACHAWUZFE-GFCCVEGCSA-N 0 0 291.351 2.828 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000281675526 277293660 /nfs/dbraw/zinc/29/36/60/277293660.db2.gz QDMPKIWUORZUOK-GXFFZTMASA-N 0 0 250.298 2.599 20 5 CFBDRN CO[C@@](C)(CNc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000336815647 277317348 /nfs/dbraw/zinc/31/73/48/277317348.db2.gz IKXGRFZMXWDWLI-ZDUSSCGKSA-N 0 0 268.288 2.961 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000414735254 277326659 /nfs/dbraw/zinc/32/66/59/277326659.db2.gz XCFNGCJJFQUVSJ-CYBMUJFWSA-N 0 0 280.324 2.903 20 5 CFBDRN CO[C@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000374656384 277337614 /nfs/dbraw/zinc/33/76/14/277337614.db2.gz AWABGSLYAWATIR-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(C)=C(C)C1 ZINC000280127849 277389331 /nfs/dbraw/zinc/38/93/31/277389331.db2.gz AQQCMHPINIHQFD-UHFFFAOYSA-N 0 0 290.319 2.786 20 5 CFBDRN CC(C)C[C@@H]1CCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000192473025 277454564 /nfs/dbraw/zinc/45/45/64/277454564.db2.gz QICLZAHFMMGLLR-VIFPVBQESA-N 0 0 255.343 2.924 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)[C@H]1C ZINC000301949901 277730885 /nfs/dbraw/zinc/73/08/85/277730885.db2.gz ZIGBRVDXROAFHH-VWYCJHECSA-N 0 0 289.339 2.874 20 5 CFBDRN COc1cc(N2CC[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000362395627 277826599 /nfs/dbraw/zinc/82/65/99/277826599.db2.gz JTHWUNMTZAQMCT-LLVKDONJSA-N 0 0 262.309 2.840 20 5 CFBDRN COc1cc(N2CCSC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000271101883 277826692 /nfs/dbraw/zinc/82/66/92/277826692.db2.gz PRWDUCDGKMOUPQ-MRVPVSSYSA-N 0 0 286.328 2.684 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC12CCC(CC1)C2 ZINC000370448145 277840104 /nfs/dbraw/zinc/84/01/04/277840104.db2.gz SOHOLJKXERXVFP-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CC(C)c1ccc(C(=O)NC2=NO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000275992055 278030730 /nfs/dbraw/zinc/03/07/30/278030730.db2.gz GOJANEQSRMKDIW-SECBINFHSA-N 0 0 291.307 2.570 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000230105869 278063381 /nfs/dbraw/zinc/06/33/81/278063381.db2.gz AJSAEGCXOJPGGL-SDBXPKJASA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])s1 ZINC000336978715 278089603 /nfs/dbraw/zinc/08/96/03/278089603.db2.gz BCSZODJGXITRPY-PHDIDXHHSA-N 0 0 281.259 2.828 20 5 CFBDRN C[C@@H]1c2cccn2CCN1Cc1cccc([N+](=O)[O-])c1 ZINC000023706668 278110664 /nfs/dbraw/zinc/11/06/64/278110664.db2.gz YSSLGNUBPQZUNU-GFCCVEGCSA-N 0 0 271.320 2.973 20 5 CFBDRN C[C@@]1(F)CCN(c2cc(C(F)(F)F)ncc2[N+](=O)[O-])C1 ZINC000436405297 278125386 /nfs/dbraw/zinc/12/53/86/278125386.db2.gz PFEJYHOMTODULY-SNVBAGLBSA-N 0 0 293.220 2.947 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@@H]2C)c1 ZINC000294196070 278174920 /nfs/dbraw/zinc/17/49/20/278174920.db2.gz QLEPVBXZGCZOJL-IUCAKERBSA-N 0 0 280.349 2.797 20 5 CFBDRN CC1(C)CCC[C@@H](n2cc(Cl)cc([N+](=O)[O-])c2=O)C1=O ZINC000413001363 278228512 /nfs/dbraw/zinc/22/85/12/278228512.db2.gz PIXQHSQHEFDEFZ-SECBINFHSA-N 0 0 298.726 2.730 20 5 CFBDRN CC(=O)c1ccc(N2CCCC[C@H]2CCO)c([N+](=O)[O-])c1 ZINC000096967089 278246965 /nfs/dbraw/zinc/24/69/65/278246965.db2.gz BWTNILDPQDUUMP-ZDUSSCGKSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@H]1CC(Nc2nc3sccn3c2[N+](=O)[O-])C[C@H](C)O1 ZINC000301911574 278326909 /nfs/dbraw/zinc/32/69/09/278326909.db2.gz BOUWIDDUOAJHQG-YUMQZZPRSA-N 0 0 296.352 2.672 20 5 CFBDRN CC1(C)CN(c2ccc(Cl)cc2[N+](=O)[O-])CC[C@@H]1O ZINC000275501164 278343347 /nfs/dbraw/zinc/34/33/47/278343347.db2.gz SLUCBTLGJOYRET-LBPRGKRZSA-N 0 0 284.743 2.845 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2)CCCO1 ZINC000377694007 278343496 /nfs/dbraw/zinc/34/34/96/278343496.db2.gz CXDKQJFHKLZNII-UHFFFAOYSA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccnn1C)c1ccccc1[N+](=O)[O-] ZINC000278789569 278365278 /nfs/dbraw/zinc/36/52/78/278365278.db2.gz QHGLYHNVUMKPHY-MNOVXSKESA-N 0 0 274.324 2.740 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)C(C)(C)O1 ZINC000183073457 278367783 /nfs/dbraw/zinc/36/77/83/278367783.db2.gz WTSXKEAYZZBIJM-SECBINFHSA-N 0 0 298.364 2.732 20 5 CFBDRN CC(C)(C)OC(=O)[C@@H]1CCCN1c1ccccc1[N+](=O)[O-] ZINC000135980077 278447569 /nfs/dbraw/zinc/44/75/69/278447569.db2.gz RJMWLKJBTWXGFD-ZDUSSCGKSA-N 0 0 292.335 2.905 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000279057048 278512551 /nfs/dbraw/zinc/51/25/51/278512551.db2.gz ANBRJZMOTDDTCW-LBPRGKRZSA-N 0 0 282.315 2.985 20 5 CFBDRN C[C@H]1OCCN(c2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000301698091 278516385 /nfs/dbraw/zinc/51/63/85/278516385.db2.gz CYJKPCAGADYWGY-DTWKUNHWSA-N 0 0 270.716 2.862 20 5 CFBDRN C[C@@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CCS1 ZINC000278445236 278559150 /nfs/dbraw/zinc/55/91/50/278559150.db2.gz KWCCIMPZMARBMP-SECBINFHSA-N 0 0 292.364 2.803 20 5 CFBDRN CC(C)(C)c1nnnn1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000154505786 278576260 /nfs/dbraw/zinc/57/62/60/278576260.db2.gz CZNWZDSMIGDFAR-UHFFFAOYSA-N 0 0 295.730 2.581 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000343782423 278590579 /nfs/dbraw/zinc/59/05/79/278590579.db2.gz ODGUXHSNSJGBBS-KOLCDFICSA-N 0 0 257.293 2.775 20 5 CFBDRN C[C@@H]1CCc2ccccc2N1CCn1ccc([N+](=O)[O-])n1 ZINC000176194778 278620943 /nfs/dbraw/zinc/62/09/43/278620943.db2.gz VHCIFMLHWKXOKG-GFCCVEGCSA-N 0 0 286.335 2.633 20 5 CFBDRN Cc1cc(N2CC(C)(C)[C@H]2[C@H]2CCCO2)ncc1[N+](=O)[O-] ZINC000291232787 278706555 /nfs/dbraw/zinc/70/65/55/278706555.db2.gz WWTWYQDTHIFWMH-TZMCWYRMSA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1cc(N2CCS[C@@H](C)CC2)ncc1[N+](=O)[O-] ZINC000276851544 278713888 /nfs/dbraw/zinc/71/38/88/278713888.db2.gz DQXMHBWXXUCVAS-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN C[C@@H]1CN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CCO1 ZINC000253601607 278801901 /nfs/dbraw/zinc/80/19/01/278801901.db2.gz UUTCHDAGURASBE-MRVPVSSYSA-N 0 0 290.241 2.839 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000277620536 278852581 /nfs/dbraw/zinc/85/25/81/278852581.db2.gz MIQPYOZAJPUBAA-HQJQHLMTSA-N 0 0 272.251 2.710 20 5 CFBDRN CCC[C@@H]1CN(c2cccc(OCC)c2[N+](=O)[O-])CCO1 ZINC000302150554 278891248 /nfs/dbraw/zinc/89/12/48/278891248.db2.gz LJLVLFJCGZRAQA-GFCCVEGCSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@@H]1Cc2ccccc2CN1c1ccc([N+](=O)[O-])cn1 ZINC000184172236 279017300 /nfs/dbraw/zinc/01/73/00/279017300.db2.gz GZUVUCWQHRCLBY-LLVKDONJSA-N 0 0 269.304 2.941 20 5 CFBDRN Cc1c[nH]c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000135676957 279019402 /nfs/dbraw/zinc/01/94/02/279019402.db2.gz DLRNQAJOAWPTBY-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cnc(N[C@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000135659744 279020131 /nfs/dbraw/zinc/02/01/31/279020131.db2.gz WSNZYGLTTZNFOM-VIFPVBQESA-N 0 0 253.327 2.606 20 5 CFBDRN CC(C)C[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000343927476 279020755 /nfs/dbraw/zinc/02/07/55/279020755.db2.gz ONQGMYIPBFXXMM-NSHDSACASA-N 0 0 279.340 2.964 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000110568180 279023225 /nfs/dbraw/zinc/02/32/25/279023225.db2.gz YGWNXPAEZLBQOP-OTYXRUKQSA-N 0 0 286.287 2.851 20 5 CFBDRN C[C@@H]1N(c2ncc([N+](=O)[O-])cc2F)CCC12CCOCC2 ZINC000408468797 279026211 /nfs/dbraw/zinc/02/62/11/279026211.db2.gz ODVJMVKORIXCKN-JTQLQIEISA-N 0 0 295.314 2.524 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432336198 279107273 /nfs/dbraw/zinc/10/72/73/279107273.db2.gz ORZHJDZOHUCVRO-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@]1(Cc2ccccc2)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000274266876 279164823 /nfs/dbraw/zinc/16/48/23/279164823.db2.gz OVAZOVUVBHRVPC-INIZCTEOSA-N 0 0 298.346 2.986 20 5 CFBDRN Cc1ncsc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000055098356 279179279 /nfs/dbraw/zinc/17/92/79/279179279.db2.gz QFWGCCJIWNIVAH-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN CC(C)C[C@H]1OCCC[C@H]1Nc1ncccc1[N+](=O)[O-] ZINC000302409615 279234538 /nfs/dbraw/zinc/23/45/38/279234538.db2.gz IDUPIYXRDXFRPF-DGCLKSJQSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@H](CF)Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000340972586 279276432 /nfs/dbraw/zinc/27/64/32/279276432.db2.gz PARUTTNEJIFFMF-SNVBAGLBSA-N 0 0 275.283 2.849 20 5 CFBDRN Cn1c(C(=O)N2CC(C)(C)[C@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000334570844 279305400 /nfs/dbraw/zinc/30/54/00/279305400.db2.gz YCRQULQUWRFTPQ-QWRGUYRKSA-N 0 0 291.351 2.584 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000343782414 279761820 /nfs/dbraw/zinc/76/18/20/279761820.db2.gz ODGUXHSNSJGBBS-GXSJLCMTSA-N 0 0 257.293 2.775 20 5 CFBDRN CCO[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1C ZINC000450744786 279784036 /nfs/dbraw/zinc/78/40/36/279784036.db2.gz NUZBEMIJVBSRIS-BXKDBHETSA-N 0 0 299.758 2.895 20 5 CFBDRN C[C@H]1CN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C[C@@H]1C ZINC000267806792 279811691 /nfs/dbraw/zinc/81/16/91/279811691.db2.gz UVWBPXJBZKGUCK-UWVGGRQHSA-N 0 0 287.319 2.804 20 5 CFBDRN C[C@H]1CN(c2ncnc3sc([N+](=O)[O-])cc32)C[C@H]1C ZINC000288186929 279894008 /nfs/dbraw/zinc/89/40/08/279894008.db2.gz BVFGDAILMJXIPT-OCAPTIKFSA-N 0 0 278.337 2.692 20 5 CFBDRN C[C@H]1CSC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000343609089 279934927 /nfs/dbraw/zinc/93/49/27/279934927.db2.gz MFHVNOVXYDXLEV-TVQRCGJNSA-N 0 0 289.360 2.728 20 5 CFBDRN C[C@H]1C[C@@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235578894 279980335 /nfs/dbraw/zinc/98/03/35/279980335.db2.gz ULGLWYOVMHNKMX-WDSKDSINSA-N 0 0 261.203 2.829 20 5 CFBDRN C[C@H]1Cc2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000336580121 280027273 /nfs/dbraw/zinc/02/72/73/280027273.db2.gz AKCRHUFYWKJYMV-NSHDSACASA-N 0 0 299.330 2.520 20 5 CFBDRN C[C@H]1N(Cc2ccc([N+](=O)[O-])cc2)CCOC1(C)C ZINC000330603361 280038561 /nfs/dbraw/zinc/03/85/61/280038561.db2.gz FZVSRJKLBQSJSY-LLVKDONJSA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@H]1OCC[C@@H]1Sc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000297380209 280061046 /nfs/dbraw/zinc/06/10/46/280061046.db2.gz DINWVGVSOIEREP-HQJQHLMTSA-N 0 0 279.321 2.741 20 5 CFBDRN O=C([C@@H]1CC[C@H]2C[C@H]2C1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000421466613 280098934 /nfs/dbraw/zinc/09/89/34/280098934.db2.gz DDFIKBBNMIHFAN-TUAOUCFPSA-N 0 0 286.331 2.920 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC12CCC2 ZINC000336013772 280158203 /nfs/dbraw/zinc/15/82/03/280158203.db2.gz FRPOTTQNODYQCI-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN C[C@]1(CO)CCC[C@H]1Nc1ccccc1[N+](=O)[O-] ZINC000301359667 280161254 /nfs/dbraw/zinc/16/12/54/280161254.db2.gz NOPNAJPOVMSETC-CHWSQXEVSA-N 0 0 250.298 2.558 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC12CCCCC2 ZINC000365572245 280191541 /nfs/dbraw/zinc/19/15/41/280191541.db2.gz FZPBCOJRVFSHGU-UHFFFAOYSA-N 0 0 275.308 2.539 20 5 CFBDRN C[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000266921038 288210233 /nfs/dbraw/zinc/21/02/33/288210233.db2.gz OZZUZMHHFYGYGG-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000361313125 280206094 /nfs/dbraw/zinc/20/60/94/280206094.db2.gz HTHZSXZXSNPBKE-LBPRGKRZSA-N 0 0 293.367 2.534 20 5 CFBDRN Cc1c(NC(=O)N2CC[C@@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000334340442 280244013 /nfs/dbraw/zinc/24/40/13/280244013.db2.gz MXCBQUIUZSCTSR-CYBMUJFWSA-N 0 0 281.287 2.869 20 5 CFBDRN CC(C)[C@H](CO)[C@@H](Nc1ncc([N+](=O)[O-])s1)C(C)C ZINC000450774143 280267998 /nfs/dbraw/zinc/26/79/98/280267998.db2.gz SGYYNIAXNPRCBG-ONGXEEELSA-N 0 0 287.385 2.752 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000336465063 280313253 /nfs/dbraw/zinc/31/32/53/280313253.db2.gz OSMMOMRBMIWYDG-SNVBAGLBSA-N 0 0 263.297 2.919 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)S[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000335677212 280340257 /nfs/dbraw/zinc/34/02/57/280340257.db2.gz PBBJQVPYVXOSFU-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)C(F)(F)F)cc([N+](=O)[O-])c1 ZINC000297648535 280346685 /nfs/dbraw/zinc/34/66/85/280346685.db2.gz BTBGLXYEHQYWJD-UHFFFAOYSA-N 0 0 290.241 2.974 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCCSC2)nc2sccn21 ZINC000135661353 280354827 /nfs/dbraw/zinc/35/48/27/280354827.db2.gz MRLRCBMVFLSZBA-ZETCQYMHSA-N 0 0 284.366 2.612 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000408423655 280358793 /nfs/dbraw/zinc/35/87/93/280358793.db2.gz AUIDDGRSHZRMGV-NXEZZACHSA-N 0 0 297.742 2.506 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1C[C@H]2CCC[C@@H]2C1 ZINC000153941539 280359194 /nfs/dbraw/zinc/35/91/94/280359194.db2.gz HCRXKDPCJLRWGM-RKDXNWHRSA-N 0 0 267.716 2.880 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCC(F)(F)C1 ZINC000352362230 280361203 /nfs/dbraw/zinc/36/12/03/280361203.db2.gz VFWQNTJTWKCZEB-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1C[C@@H](CO)Cc2ccccc21 ZINC000366373785 280374417 /nfs/dbraw/zinc/37/44/17/280374417.db2.gz XAWUFASZHDKAJX-ZDUSSCGKSA-N 0 0 298.342 2.766 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]3CCC[C@H]32)c(F)c1 ZINC000408463537 280379172 /nfs/dbraw/zinc/37/91/72/280379172.db2.gz CBKSWRBVJGKFDY-JOYOIKCWSA-N 0 0 265.288 2.898 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCSC2)c(C(F)(F)F)c1 ZINC000413165220 280382558 /nfs/dbraw/zinc/38/25/58/280382558.db2.gz ILUBUNCWBIQWLN-LURJTMIESA-N 0 0 293.270 2.926 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC=C(F)C1 ZINC000335049705 280400350 /nfs/dbraw/zinc/40/03/50/280400350.db2.gz MDCKFFMQGFCUTQ-UHFFFAOYSA-N 0 0 278.283 2.911 20 5 CFBDRN CC(C)CC[C@@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000070186533 280482154 /nfs/dbraw/zinc/48/21/54/280482154.db2.gz VKUDIUDZMFSTPX-SNVBAGLBSA-N 0 0 279.340 2.930 20 5 CFBDRN CCOCCSCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000073259059 280492672 /nfs/dbraw/zinc/49/26/72/280492672.db2.gz DOBAAVLYNMJITB-UHFFFAOYSA-N 0 0 299.348 2.731 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSc2cccs2)c1 ZINC000074783316 280505290 /nfs/dbraw/zinc/50/52/90/280505290.db2.gz ASNXTTPRCPSCTM-UHFFFAOYSA-N 0 0 255.324 2.645 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCOCC(F)(F)F ZINC000077541423 280525143 /nfs/dbraw/zinc/52/51/43/280525143.db2.gz DHAKIRLFVQAGLV-UHFFFAOYSA-N 0 0 295.213 2.561 20 5 CFBDRN CC[C@H](COC)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000079745426 280536122 /nfs/dbraw/zinc/53/61/22/280536122.db2.gz MJIGBCLDKFIFBI-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)[C@]1(CO)CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000294192037 280553478 /nfs/dbraw/zinc/55/34/78/280553478.db2.gz SZPUSGVYLYGZKJ-OAHLLOKOSA-N 0 0 296.342 2.969 20 5 CFBDRN CC(C)[C@]1(CO)CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000294021904 280553497 /nfs/dbraw/zinc/55/34/97/280553497.db2.gz KRXUGYRWDIQUJC-OAHLLOKOSA-N 0 0 296.342 2.969 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1CCCCO1 ZINC000087060539 280556709 /nfs/dbraw/zinc/55/67/09/280556709.db2.gz QUEAWTKKPDQRAM-LLVKDONJSA-N 0 0 294.307 2.501 20 5 CFBDRN Cc1cscc1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089306361 280562223 /nfs/dbraw/zinc/56/22/23/280562223.db2.gz IMFHDAPUUHOVLF-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN Cc1cc(N2CCOC[C@@H](C3CCC3)C2)ncc1[N+](=O)[O-] ZINC000292364701 280563356 /nfs/dbraw/zinc/56/33/56/280563356.db2.gz RMZFZIWYGJKUNH-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cc(N2C[C@@H]3CCCC[C@@H]3C2)ncc1[N+](=O)[O-] ZINC000301595920 280584912 /nfs/dbraw/zinc/58/49/12/280584912.db2.gz ZCXWUYBJPCGIGS-TXEJJXNPSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cccc(N(C)C(=O)/C=C/c2cccc([N+](=O)[O-])c2)n1 ZINC000118624956 280603928 /nfs/dbraw/zinc/60/39/28/280603928.db2.gz BPIGCXLWYFRQJE-MDZDMXLPSA-N 0 0 297.314 2.974 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000121710658 280623620 /nfs/dbraw/zinc/62/36/20/280623620.db2.gz QQXJYRGTMGJTIW-NSHDSACASA-N 0 0 279.340 2.558 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@H]3OCC[C@H]32)ncc1[N+](=O)[O-] ZINC000268429074 280629316 /nfs/dbraw/zinc/62/93/16/280629316.db2.gz ZTZCVAAKZROJCK-DMDPSCGWSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCO[C@@H](C)C1 ZINC000190311128 280656728 /nfs/dbraw/zinc/65/67/28/280656728.db2.gz AVOWXEKTOMWJSL-VIFPVBQESA-N 0 0 270.716 2.782 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC2(CC1)OCCCO2 ZINC000292859090 280660903 /nfs/dbraw/zinc/66/09/03/280660903.db2.gz SBQFGQMOZVBMEE-UHFFFAOYSA-N 0 0 292.335 2.637 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1C[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000450691254 280665592 /nfs/dbraw/zinc/66/55/92/280665592.db2.gz JYUIMUPXYGVVRQ-FIRUKDTASA-N 0 0 285.347 2.947 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000127803520 280668871 /nfs/dbraw/zinc/66/88/71/280668871.db2.gz VSDMPNIKZWCFAE-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN C[C@@H]1CN(c2cc(N)ccc2[N+](=O)[O-])CCC1(F)F ZINC000291124227 288257159 /nfs/dbraw/zinc/25/71/59/288257159.db2.gz MLUIRAHZRHSQIU-MRVPVSSYSA-N 0 0 271.267 2.659 20 5 CFBDRN CCOC(=O)c1cc(N(C)CCSC)ccc1[N+](=O)[O-] ZINC000128990768 280685799 /nfs/dbraw/zinc/68/57/99/280685799.db2.gz AMJYHVVJERFBOM-UHFFFAOYSA-N 0 0 298.364 2.571 20 5 CFBDRN CSC[C@@H](C)NC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000130843320 280708955 /nfs/dbraw/zinc/70/89/55/280708955.db2.gz FDRRVAMMHMVQLX-OTQAPUNGSA-N 0 0 298.339 2.615 20 5 CFBDRN CO[C@@H](C)c1noc(COc2cccc(C)c2[N+](=O)[O-])n1 ZINC000131212735 280713702 /nfs/dbraw/zinc/71/37/02/280713702.db2.gz ONENXZIDMWSVPA-VIFPVBQESA-N 0 0 293.279 2.573 20 5 CFBDRN C[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1ccccn1 ZINC000131619195 280720732 /nfs/dbraw/zinc/72/07/32/280720732.db2.gz UKFJZAJYZUUPCY-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(F)nc1 ZINC000135008776 280765455 /nfs/dbraw/zinc/76/54/55/280765455.db2.gz XVJQZEKYYHDGHL-FPYGCLRLSA-N 0 0 287.250 2.781 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(C4CC4)no3)c2c1 ZINC000136353063 280782291 /nfs/dbraw/zinc/78/22/91/280782291.db2.gz RAACFIAHKGVEAN-UHFFFAOYSA-N 0 0 284.275 2.927 20 5 CFBDRN Cc1cc(C(=O)N(C)C2CCCC2)cc([N+](=O)[O-])c1 ZINC000147481816 280793022 /nfs/dbraw/zinc/79/30/22/280793022.db2.gz ZYFSJBGFPUGSSQ-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2cscn2)cc([N+](=O)[O-])c1 ZINC000148418206 280793395 /nfs/dbraw/zinc/79/33/95/280793395.db2.gz NNAFVCMXYYWSMA-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1C[C@H]2CCCC[C@@H]2C1 ZINC000150773304 280795253 /nfs/dbraw/zinc/79/52/53/280795253.db2.gz BDWDMAFWWZFHSP-GHMZBOCLSA-N 0 0 292.310 2.996 20 5 CFBDRN Cc1ccsc1[C@@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000151018892 280798711 /nfs/dbraw/zinc/79/87/11/280798711.db2.gz PDAZKOMQQBMNLL-SNVBAGLBSA-N 0 0 293.348 2.814 20 5 CFBDRN C[C@H](NC(=O)CCc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000177155541 280814365 /nfs/dbraw/zinc/81/43/65/280814365.db2.gz ICCKQDFLHVHRGR-NSHDSACASA-N 0 0 288.303 2.998 20 5 CFBDRN O=C(COc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000183961520 280823313 /nfs/dbraw/zinc/82/33/13/280823313.db2.gz XRTOTDHSYKZIRE-VIFPVBQESA-N 0 0 299.710 2.623 20 5 CFBDRN Cc1cccn2cc(Cn3cc([N+](=O)[O-])c(C4CC4)n3)nc12 ZINC000185176756 280833556 /nfs/dbraw/zinc/83/35/56/280833556.db2.gz ANMJQBUOXZVMOB-UHFFFAOYSA-N 0 0 297.318 2.673 20 5 CFBDRN O=[N+]([O-])c1cn(CCOc2ccccc2)nc1C1CC1 ZINC000185178251 280833854 /nfs/dbraw/zinc/83/38/54/280833854.db2.gz RXXVDEMHTIOQKS-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN C[C@@H](C1CC1)n1cc(NC(=O)c2ccc([N+](=O)[O-])o2)cn1 ZINC000186465086 280847129 /nfs/dbraw/zinc/84/71/29/280847129.db2.gz APDTXPDTDQWWEB-QMMMGPOBSA-N 0 0 290.279 2.608 20 5 CFBDRN Cc1c(NC(=O)N(C)CC(F)(F)F)cccc1[N+](=O)[O-] ZINC000186525079 280847786 /nfs/dbraw/zinc/84/77/86/280847786.db2.gz SJCADHHYKGAHTI-UHFFFAOYSA-N 0 0 291.229 2.929 20 5 CFBDRN CC(=O)CC(C)(C)CC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000191792526 280905543 /nfs/dbraw/zinc/90/55/43/280905543.db2.gz FEDNZIRUVJCNMZ-UHFFFAOYSA-N 0 0 292.335 2.606 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCOC1CCCC1 ZINC000194815991 280927437 /nfs/dbraw/zinc/92/74/37/280927437.db2.gz HOUMIXYLKBZWMH-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCOC[C@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213967939 280932269 /nfs/dbraw/zinc/93/22/69/280932269.db2.gz BRMGQLIBKJACSI-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CC1(C)CCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000112684970 280975154 /nfs/dbraw/zinc/97/51/54/280975154.db2.gz SKEDBYMMNXIBRV-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN Cc1cncc(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])c1 ZINC000255171759 281090698 /nfs/dbraw/zinc/09/06/98/281090698.db2.gz KGIGUCUKDKLCKI-SREVYHEPSA-N 0 0 283.287 2.950 20 5 CFBDRN CC[C@@H]1CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000255435277 281098054 /nfs/dbraw/zinc/09/80/54/281098054.db2.gz DJHKIJPWMFCSGC-VVEJJEBESA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NCc1cccc(O)c1 ZINC000255506066 281099471 /nfs/dbraw/zinc/09/94/71/281099471.db2.gz VTPOREUMLDYSBN-FPLPWBNLSA-N 0 0 298.298 2.630 20 5 CFBDRN CC[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000287789271 288299001 /nfs/dbraw/zinc/29/90/01/288299001.db2.gz OROXSJAJHMVINQ-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@@H]1CC=CCC1 ZINC000255561169 281102225 /nfs/dbraw/zinc/10/22/25/281102225.db2.gz ZWONMSDBFDIPQO-BSYHEUMXSA-N 0 0 272.304 2.833 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000255729401 281106789 /nfs/dbraw/zinc/10/67/89/281106789.db2.gz CHOBLMNVEXZZID-MCTUQULKSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000255729406 281107075 /nfs/dbraw/zinc/10/70/75/281107075.db2.gz CHOBLMNVEXZZID-OBBGCOQJSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@@H]1CSCCN1C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255779083 281107615 /nfs/dbraw/zinc/10/76/15/281107615.db2.gz YMKJLKNINKMRDF-ISALQUGTSA-N 0 0 292.360 2.572 20 5 CFBDRN NC(=O)/C=C\c1ccc(-c2ccccc2[N+](=O)[O-])s1 ZINC000255886970 281109169 /nfs/dbraw/zinc/10/91/69/281109169.db2.gz WZKMMMJEHCPRIS-VURMDHGXSA-N 0 0 274.301 2.822 20 5 CFBDRN Cc1ccc2c(c1C)OC[C@@H]2Nc1ncc([N+](=O)[O-])cn1 ZINC000273597055 281134592 /nfs/dbraw/zinc/13/45/92/281134592.db2.gz IOWFBGBSBZFGRI-LBPRGKRZSA-N 0 0 286.291 2.547 20 5 CFBDRN CCCCOCCCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000299673741 281146820 /nfs/dbraw/zinc/14/68/20/281146820.db2.gz TZHBOFYLPBDDTH-UHFFFAOYSA-N 0 0 294.351 2.840 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])cc2)s1 ZINC000353276190 281176219 /nfs/dbraw/zinc/17/62/19/281176219.db2.gz KVLMJYVCFKAUDD-SSDOTTSWSA-N 0 0 264.310 2.928 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCCO1 ZINC000281766329 281177754 /nfs/dbraw/zinc/17/77/54/281177754.db2.gz FZMDYFIPFPSZMX-NSHDSACASA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2c3ccccc3ncc2[N+](=O)[O-])O1 ZINC000397933644 281203556 /nfs/dbraw/zinc/20/35/56/281203556.db2.gz WDVRYNSXAYSGET-MNOVXSKESA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2ncnc3sc([N+](=O)[O-])cc32)O1 ZINC000397960062 281203576 /nfs/dbraw/zinc/20/35/76/281203576.db2.gz UNQNURHVOZXWBO-SFYZADRCSA-N 0 0 294.336 2.579 20 5 CFBDRN CC[C@H](O)CCCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000398463655 281206357 /nfs/dbraw/zinc/20/63/57/281206357.db2.gz KPMXIYVTPHKBBL-VIFPVBQESA-N 0 0 274.267 2.836 20 5 CFBDRN C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1csnn1 ZINC000398407742 281206374 /nfs/dbraw/zinc/20/63/74/281206374.db2.gz KPAUGRBRYLWWKS-RKDXNWHRSA-N 0 0 278.337 2.858 20 5 CFBDRN Cc1ccc(CN[C@H](C)c2csnn2)cc1[N+](=O)[O-] ZINC000398419834 281206386 /nfs/dbraw/zinc/20/63/86/281206386.db2.gz PGYKZWXJXMUKCB-SECBINFHSA-N 0 0 278.337 2.606 20 5 CFBDRN CCOc1cc(N[C@H](C)CCCCO)ccc1[N+](=O)[O-] ZINC000398579266 281207125 /nfs/dbraw/zinc/20/71/25/281207125.db2.gz KVKGEUMAACWEPI-LLVKDONJSA-N 0 0 282.340 2.957 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)CCCCO)c1 ZINC000398558392 281207258 /nfs/dbraw/zinc/20/72/58/281207258.db2.gz ZRUVJSYPMYIVSL-LLVKDONJSA-N 0 0 252.314 2.866 20 5 CFBDRN COc1cc(N[C@@H](C)CCCCO)c(F)cc1[N+](=O)[O-] ZINC000398589994 281207442 /nfs/dbraw/zinc/20/74/42/281207442.db2.gz OVGDFEDKARAIQL-VIFPVBQESA-N 0 0 286.303 2.706 20 5 CFBDRN C[C@H]1CC[C@H](O)CN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000399278924 281210345 /nfs/dbraw/zinc/21/03/45/281210345.db2.gz ZCTSJYQGSFEYRE-UWVGGRQHSA-N 0 0 284.743 2.593 20 5 CFBDRN Cc1cccc(C)c1NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000187543489 281211229 /nfs/dbraw/zinc/21/12/29/281211229.db2.gz VALWLPNKRBNIIW-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CC(C)(C)N1CC[C@H](Nc2cc(F)cc([N+](=O)[O-])c2)C1=O ZINC000424577604 281228513 /nfs/dbraw/zinc/22/85/13/281228513.db2.gz VDIUKCDOLXJKIG-LBPRGKRZSA-N 0 0 295.314 2.545 20 5 CFBDRN Cc1cccc(N2CCOc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000357805768 281229097 /nfs/dbraw/zinc/22/90/97/281229097.db2.gz MPDMHRYBWWZCHK-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)C[C@@H](C)O1 ZINC000430238760 281232674 /nfs/dbraw/zinc/23/26/74/281232674.db2.gz RFEJYNQGWFSDAN-RISCZKNCSA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@@H](NC(=O)CCOCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000433423672 281233997 /nfs/dbraw/zinc/23/39/97/281233997.db2.gz PLGYNAVTILRLKH-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN CCSCC[C@H](C)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000433426368 281234414 /nfs/dbraw/zinc/23/44/14/281234414.db2.gz YWJODWCNUCCBLQ-JTQLQIEISA-N 0 0 269.370 2.958 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000336433065 281234950 /nfs/dbraw/zinc/23/49/50/281234950.db2.gz XWRFCNDMPBKMRL-WPRPVWTQSA-N 0 0 268.338 2.917 20 5 CFBDRN CC(C)n1cc(/C=C\c2ccc([N+](=O)[O-])cn2)cn1 ZINC000439536041 281238942 /nfs/dbraw/zinc/23/89/42/281238942.db2.gz RXESRWXEEDTBFN-ARJAWSKDSA-N 0 0 258.281 2.938 20 5 CFBDRN Cc1cccc(NC[C@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC000286396475 281247731 /nfs/dbraw/zinc/24/77/31/281247731.db2.gz OBELOYLIFPIJSR-NWDGAFQWSA-N 0 0 264.325 2.988 20 5 CFBDRN Cc1cccc2c1CN(c1ncc([N+](=O)[O-])c(C)n1)CC2 ZINC000294906870 281276240 /nfs/dbraw/zinc/27/62/40/281276240.db2.gz HMESDVJCRPXAPW-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CC1(C)CCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000515798972 281297544 /nfs/dbraw/zinc/29/75/44/281297544.db2.gz WVTLMSCVCOFMOS-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN Cc1cccc2nc(-n3cc([N+](=O)[O-])cn3)sc21 ZINC000359440975 281316024 /nfs/dbraw/zinc/31/60/24/281316024.db2.gz PYMCQRFPWGQRTD-UHFFFAOYSA-N 0 0 260.278 2.699 20 5 CFBDRN CC[C@]1(C)CN(c2cc(OC)cc(F)c2[N+](=O)[O-])CCO1 ZINC000302681602 281323159 /nfs/dbraw/zinc/32/31/59/281323159.db2.gz SFJNEVRWQLJNKU-CQSZACIVSA-N 0 0 298.314 2.748 20 5 CFBDRN CC[C@]1(CO)CCCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000275071592 281346419 /nfs/dbraw/zinc/34/64/19/281346419.db2.gz ZTWCXXZYPPLBNF-HNNXBMFYSA-N 0 0 294.351 2.592 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531633553 281436658 /nfs/dbraw/zinc/43/66/58/281436658.db2.gz UHPUNEDSMLUHAY-RYUDHWBXSA-N 0 0 299.330 2.912 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])c(C)n2)c(C)c1 ZINC000532217518 281445890 /nfs/dbraw/zinc/44/58/90/281445890.db2.gz UDWCBIXRZNGTCY-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532391618 281453315 /nfs/dbraw/zinc/45/33/15/281453315.db2.gz WGAJUECJDRMFAC-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)(C)C1=CCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000534016173 281476745 /nfs/dbraw/zinc/47/67/45/281476745.db2.gz HTAMOROUMYTPNR-UHFFFAOYSA-N 0 0 289.335 2.808 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000534399744 281486102 /nfs/dbraw/zinc/48/61/02/281486102.db2.gz XTJXJWAJZFHHBW-LBPRGKRZSA-N 0 0 251.282 2.851 20 5 CFBDRN O=C(NCCCC1CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000534982907 281492517 /nfs/dbraw/zinc/49/25/17/281492517.db2.gz VGYOUFYEEIARPZ-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN CC(C)[C@H](C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000535319609 281501069 /nfs/dbraw/zinc/50/10/69/281501069.db2.gz WZXUPBQTOVPYBS-NSHDSACASA-N 0 0 276.336 2.772 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000537275256 281534213 /nfs/dbraw/zinc/53/42/13/281534213.db2.gz KCEZJGBCQUCOMB-ZDUSSCGKSA-N 0 0 277.324 2.535 20 5 CFBDRN CCCCCN(CCO)c1ccc([N+](=O)[O-])c(C)c1 ZINC000537823299 281545600 /nfs/dbraw/zinc/54/56/00/281545600.db2.gz OTBJPWUQGFVNJU-UHFFFAOYSA-N 0 0 266.341 2.892 20 5 CFBDRN Cc1cnc(N[C@@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000135659712 281629159 /nfs/dbraw/zinc/62/91/59/281629159.db2.gz WSNZYGLTTZNFOM-SECBINFHSA-N 0 0 253.327 2.606 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000546615795 281712846 /nfs/dbraw/zinc/71/28/46/281712846.db2.gz CZPXWUYEIXTYTP-GFCCVEGCSA-N 0 0 294.351 2.772 20 5 CFBDRN Cc1c(CC(=O)NC[C@@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000546668581 281716725 /nfs/dbraw/zinc/71/67/25/281716725.db2.gz NMGCPQUQDZSWBJ-RISCZKNCSA-N 0 0 290.363 2.998 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000547181269 281727518 /nfs/dbraw/zinc/72/75/18/281727518.db2.gz OFXIMTJUEWLEIH-KWQFWETISA-N 0 0 275.264 2.680 20 5 CFBDRN Cc1ccncc1N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000547337408 281733690 /nfs/dbraw/zinc/73/36/90/281733690.db2.gz ZGEYHHPDGJJCFZ-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CN1CCC[C@H](CSCc2ccc([N+](=O)[O-])cc2)C1=O ZINC000548908196 281807603 /nfs/dbraw/zinc/80/76/03/281807603.db2.gz IODOILJEOFYMLG-GFCCVEGCSA-N 0 0 294.376 2.696 20 5 CFBDRN CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548928318 281808098 /nfs/dbraw/zinc/80/80/98/281808098.db2.gz UEKYMFABZQQIAB-MNOVXSKESA-N 0 0 294.355 2.653 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN(C(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000549175040 281813268 /nfs/dbraw/zinc/81/32/68/281813268.db2.gz YZOWSAQXMZUWAS-SNVBAGLBSA-N 0 0 294.355 2.606 20 5 CFBDRN CC(C)(NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CC1 ZINC000551942245 281846096 /nfs/dbraw/zinc/84/60/96/281846096.db2.gz VWVKBJKALNFSGU-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN CC(C)CC(CC(C)C)NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000554856853 281904458 /nfs/dbraw/zinc/90/44/58/281904458.db2.gz BIRBCQGFDXAKLR-UHFFFAOYSA-N 0 0 282.344 2.509 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Sc1nc(C)c(C)o1 ZINC000555382826 281914508 /nfs/dbraw/zinc/91/45/08/281914508.db2.gz KALKAODOLYENGW-UHFFFAOYSA-N 0 0 282.325 2.876 20 5 CFBDRN O=[N+]([O-])c1cc(CS(=O)(=O)Cc2ccccc2)cs1 ZINC000555914371 281923980 /nfs/dbraw/zinc/92/39/80/281923980.db2.gz SUKDCYCTAZLETD-UHFFFAOYSA-N 0 0 297.357 2.771 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccc(F)cc3F)no2)c1 ZINC000556345615 281932694 /nfs/dbraw/zinc/93/26/94/281932694.db2.gz ILMPWBISZWJKKK-UHFFFAOYSA-N 0 0 292.201 2.918 20 5 CFBDRN CCC[C@H]1CCCC[C@H]1CNC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000556830129 281948862 /nfs/dbraw/zinc/94/88/62/281948862.db2.gz RTOKJOOPZYOISA-QWRGUYRKSA-N 0 0 294.355 2.654 20 5 CFBDRN CC(C)OC1CCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000560803385 282042219 /nfs/dbraw/zinc/04/22/19/282042219.db2.gz AZAMXOBVJYVJBZ-UHFFFAOYSA-N 0 0 283.303 2.523 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1C[C@H]2CCC[C@@H]2C1 ZINC000561235033 282050416 /nfs/dbraw/zinc/05/04/16/282050416.db2.gz ICRWTTMICZKDSV-NXEZZACHSA-N 0 0 250.273 2.970 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2[C@@H]3CCC[C@@H]32)s1 ZINC000561325188 282052611 /nfs/dbraw/zinc/05/26/11/282052611.db2.gz KLKBGVQGNCFBBV-LYIWLLOFSA-N 0 0 276.321 2.810 20 5 CFBDRN COCC(C)(C)N(C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000561647038 282058695 /nfs/dbraw/zinc/05/86/95/282058695.db2.gz OWTGDQMDBCXXSL-LLVKDONJSA-N 0 0 294.351 2.582 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)Cc1ccco1 ZINC000561883426 282062519 /nfs/dbraw/zinc/06/25/19/282062519.db2.gz WLLVWHPABCLULM-UHFFFAOYSA-N 0 0 262.265 2.833 20 5 CFBDRN CC(=O)Nc1ccccc1CNc1ccc([N+](=O)[O-])nc1 ZINC000562281671 282071135 /nfs/dbraw/zinc/07/11/35/282071135.db2.gz UUHDUQCNIDRMGN-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@@](C)(OC)C1(C)C ZINC000361003731 288677646 /nfs/dbraw/zinc/67/76/46/288677646.db2.gz UTWNNKRYGDTBGQ-QMTHXVAHSA-N 0 0 296.371 2.735 20 5 CFBDRN C[C@@H]1Cc2ccccc2CN1c1ncccc1[N+](=O)[O-] ZINC000184174775 288812123 /nfs/dbraw/zinc/81/21/23/288812123.db2.gz BSIWZRPIZPBWJF-LLVKDONJSA-N 0 0 269.304 2.941 20 5 CFBDRN C[C@@H]1OCCN(Cc2cc([N+](=O)[O-])ccc2Cl)[C@H]1C ZINC000178595663 288877190 /nfs/dbraw/zinc/87/71/90/288877190.db2.gz DSWLMOHJQCKFOD-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000118286150 288943635 /nfs/dbraw/zinc/94/36/35/288943635.db2.gz UYTVVQQWEHBWES-UWVGGRQHSA-N 0 0 280.349 2.561 20 5 CFBDRN C[C@@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000369214850 289175682 /nfs/dbraw/zinc/17/56/82/289175682.db2.gz MGPARZKQHCXYPM-CABCVRRESA-N 0 0 291.351 2.794 20 5 CFBDRN C[C@@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000376473866 289175811 /nfs/dbraw/zinc/17/58/11/289175811.db2.gz JDHOGDGEBBCRMB-CABCVRRESA-N 0 0 291.351 2.794 20 5 CFBDRN Cc1cscc1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000154155647 289887049 /nfs/dbraw/zinc/88/70/49/289887049.db2.gz SNLZIEZOUHZAPZ-UHFFFAOYSA-N 0 0 292.316 2.982 20 5 CFBDRN Cc1cscc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000154429305 289894340 /nfs/dbraw/zinc/89/43/40/289894340.db2.gz VCRQALHADZEJHQ-UHFFFAOYSA-N 0 0 252.251 2.810 20 5 CFBDRN Cc1ccc(NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)cn1 ZINC000154881966 289906112 /nfs/dbraw/zinc/90/61/12/289906112.db2.gz ZSOHTUAVNPTWJI-SOFGYWHQSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCC(=O)N[C@H](C)C(C)C ZINC000155019266 289909312 /nfs/dbraw/zinc/90/93/12/289909312.db2.gz CLMIEOCFGPYSGE-GFCCVEGCSA-N 0 0 293.367 2.784 20 5 CFBDRN O=C(Nc1cccnc1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000157866048 289964471 /nfs/dbraw/zinc/96/44/71/289964471.db2.gz PUVGIXFODFFLNJ-UHFFFAOYSA-N 0 0 298.302 2.580 20 5 CFBDRN CNc1ccc(C(=O)N(C)CCC2CC2)cc1[N+](=O)[O-] ZINC000313524046 290018148 /nfs/dbraw/zinc/01/81/48/290018148.db2.gz MDCGTMCSFTWMDQ-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN C[C@@H]1C[C@H](COc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000447299671 290031975 /nfs/dbraw/zinc/03/19/75/290031975.db2.gz SQCNZWNVKLKNIS-NXEZZACHSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@H](C(=O)NCc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000562395028 290040578 /nfs/dbraw/zinc/04/05/78/290040578.db2.gz IQNFBYWVNJKXLX-JTQLQIEISA-N 0 0 274.276 2.608 20 5 CFBDRN CCO[C@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000562437919 290043428 /nfs/dbraw/zinc/04/34/28/290043428.db2.gz NBYCAOIBBNQCKB-MNOVXSKESA-N 0 0 299.714 2.547 20 5 CFBDRN CC1=CCCN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000562447778 290044496 /nfs/dbraw/zinc/04/44/96/290044496.db2.gz QMZZLGMDMLOMBU-GFCCVEGCSA-N 0 0 274.320 2.877 20 5 CFBDRN Cc1cnc(N2CCc3c(cccc3[N+](=O)[O-])C2)s1 ZINC000562472971 290046854 /nfs/dbraw/zinc/04/68/54/290046854.db2.gz DQMLOOFSPLXMIB-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)[C@@H]1C(C)C ZINC000562580964 290057223 /nfs/dbraw/zinc/05/72/23/290057223.db2.gz ROBZQAKLVIBJOU-GXTWGEPZSA-N 0 0 293.367 2.686 20 5 CFBDRN C[C@H]1CCCC[C@]1(C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000562588072 290057881 /nfs/dbraw/zinc/05/78/81/290057881.db2.gz SQRCCHZTOBTBQB-HZMBPMFUSA-N 0 0 279.340 2.632 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCC(C)(F)F ZINC000562602702 290059056 /nfs/dbraw/zinc/05/90/56/290059056.db2.gz WPYJYPLDQHJLQU-UHFFFAOYSA-N 0 0 287.266 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[NH+]1CCC(C(=O)[O-])CC1 ZINC000563126130 290106314 /nfs/dbraw/zinc/10/63/14/290106314.db2.gz RTDVRGDYMGWHCS-LLVKDONJSA-N 0 0 292.335 2.761 20 5 CFBDRN C[C@@H](C(=O)N(C)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000563180946 290114317 /nfs/dbraw/zinc/11/43/17/290114317.db2.gz WFFHUJPHOGSVTH-SNVBAGLBSA-N 0 0 264.325 2.955 20 5 CFBDRN COC(=O)[C@H](CNc1ccccc1[N+](=O)[O-])CC1CC1 ZINC000563245184 290123639 /nfs/dbraw/zinc/12/36/39/290123639.db2.gz LISSZRFLPKZNEA-NSHDSACASA-N 0 0 278.308 2.596 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)c1[N+](=O)[O-] ZINC000268411610 292788929 /nfs/dbraw/zinc/78/89/29/292788929.db2.gz TVDBVLPYHUMBAM-UTUOFQBUSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc(CN2CCc3ncc([N+](=O)[O-])cc3C2)cs1 ZINC000563353657 290136580 /nfs/dbraw/zinc/13/65/80/290136580.db2.gz OCZCHEBCHWZMDS-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCCC[C@@H]1O ZINC000563423639 290149390 /nfs/dbraw/zinc/14/93/90/290149390.db2.gz HVLZGPRNLFZDOE-PWSUYJOCSA-N 0 0 280.324 2.566 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000279312638 290149490 /nfs/dbraw/zinc/14/94/90/290149490.db2.gz BUGVWUPTIATLJV-VHSXEESVSA-N 0 0 299.758 2.773 20 5 CFBDRN CCC[C@@H](NC(=O)c1c[nH]nc1[N+](=O)[O-])C1CCCCC1 ZINC000563458003 290154276 /nfs/dbraw/zinc/15/42/76/290154276.db2.gz FDZXXFFMAVFHRI-GFCCVEGCSA-N 0 0 294.355 2.797 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCOC[C@H]1C1CCC1 ZINC000563811835 290175775 /nfs/dbraw/zinc/17/57/75/290175775.db2.gz ONWWPEGLNNOARJ-INIZCTEOSA-N 0 0 290.363 2.904 20 5 CFBDRN C[C@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000564030853 290189505 /nfs/dbraw/zinc/18/95/05/290189505.db2.gz YNLRWZHHBQBKMY-VHSXEESVSA-N 0 0 294.355 2.605 20 5 CFBDRN COCC1(Nc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000564583727 290216899 /nfs/dbraw/zinc/21/68/99/290216899.db2.gz PADYIJOKKQBRIB-UHFFFAOYSA-N 0 0 256.689 2.839 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2nc3c(cc2[N+](=O)[O-])CCCC3)O1 ZINC000564903218 290247303 /nfs/dbraw/zinc/24/73/03/290247303.db2.gz JXOFZEDDEKMZAB-PWSUYJOCSA-N 0 0 291.351 2.848 20 5 CFBDRN CC[C@@H](F)Cn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000564971185 290253723 /nfs/dbraw/zinc/25/37/23/290253723.db2.gz SUDYLLADQRJTEX-SECBINFHSA-N 0 0 264.256 2.658 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)C(C)C ZINC000565160822 290274210 /nfs/dbraw/zinc/27/42/10/290274210.db2.gz FEJNHBQUMNKDTI-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H](C)C[C@@H]1CCCO1 ZINC000565222895 290285115 /nfs/dbraw/zinc/28/51/15/290285115.db2.gz RHZGXOGBBXPJBZ-MNOVXSKESA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@H](C(=O)Nc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000565341049 290295103 /nfs/dbraw/zinc/29/51/03/290295103.db2.gz WUBARHNKAKJSBM-JTQLQIEISA-N 0 0 271.276 2.732 20 5 CFBDRN C[C@@H](C(=O)NCCOC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000565875266 290331462 /nfs/dbraw/zinc/33/14/62/290331462.db2.gz XHVFWSJAVRRDPU-LLVKDONJSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000565895235 290333376 /nfs/dbraw/zinc/33/33/76/290333376.db2.gz PMXVLLHFAFAHCV-JQWIXIFHSA-N 0 0 295.314 2.799 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cn2)[C@H]1C ZINC000565985650 290338666 /nfs/dbraw/zinc/33/86/66/290338666.db2.gz JBUYPUWLOVLPJJ-WOPDTQHZSA-N 0 0 291.351 2.792 20 5 CFBDRN O=C(NC[C@@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])o1 ZINC000566266093 290364702 /nfs/dbraw/zinc/36/47/02/290364702.db2.gz ICSCYSSYLOQLGL-ILDUYXDCSA-N 0 0 278.308 2.744 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cn1 ZINC000566724893 290412540 /nfs/dbraw/zinc/41/25/40/290412540.db2.gz QGZITDMSXAPMLO-WCQYABFASA-N 0 0 289.335 2.546 20 5 CFBDRN O=C(NC[C@H]1CCC[C@H]1C1CC1)c1ccc([N+](=O)[O-])cn1 ZINC000566724894 290412614 /nfs/dbraw/zinc/41/26/14/290412614.db2.gz QGZITDMSXAPMLO-YPMHNXCESA-N 0 0 289.335 2.546 20 5 CFBDRN CCn1ccc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000567472844 290466305 /nfs/dbraw/zinc/46/63/05/290466305.db2.gz WKZURLXYIODHPB-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1cn(C)nc1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000414784326 292842935 /nfs/dbraw/zinc/84/29/35/292842935.db2.gz HGEYQRNRWOLZJI-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1cnc(N2CCC(OC3CCC3)CC2)c([N+](=O)[O-])c1 ZINC000418968128 292904103 /nfs/dbraw/zinc/90/41/03/292904103.db2.gz AXIOQXIDQUXAQI-UHFFFAOYSA-N 0 0 291.351 2.836 20 5 CFBDRN Cc1nc(N2CCOC[C@@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000364407302 293299283 /nfs/dbraw/zinc/29/92/83/293299283.db2.gz JWWJXVUPMWXMJC-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000286568190 293361862 /nfs/dbraw/zinc/36/18/62/293361862.db2.gz RFKMZYFKHAZCNX-UHFFFAOYSA-N 0 0 266.297 2.645 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000286546963 293361874 /nfs/dbraw/zinc/36/18/74/293361874.db2.gz PXLOPPAQFJBYHY-UHFFFAOYSA-N 0 0 284.287 2.784 20 5 CFBDRN CO[C@@H](C)CCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000272841526 293412609 /nfs/dbraw/zinc/41/26/09/293412609.db2.gz TXGBLCWVSNRZMU-QWRGUYRKSA-N 0 0 280.324 2.587 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000353895675 293426839 /nfs/dbraw/zinc/42/68/39/293426839.db2.gz LKLUNTCFMSVHQV-LBPRGKRZSA-N 0 0 294.351 2.789 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC12CCCC2 ZINC000365566857 293607727 /nfs/dbraw/zinc/60/77/27/293607727.db2.gz SGJCCSZAUADVNN-UHFFFAOYSA-N 0 0 289.335 2.847 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1ccc(C)cc1[N+](=O)[O-] ZINC000269276901 293720513 /nfs/dbraw/zinc/72/05/13/293720513.db2.gz JEXNLSAJZHVSEF-UHFFFAOYSA-N 0 0 260.297 2.997 20 5 CFBDRN C[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])[C@H](C)[C@@H](C)O1 ZINC000446071537 297033183 /nfs/dbraw/zinc/03/31/83/297033183.db2.gz JSCBBOAZRJHHHE-IJLUTSLNSA-N 0 0 264.325 2.593 20 5 CFBDRN Cn1cc([C@H]2CCCN2c2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000302824224 294298001 /nfs/dbraw/zinc/29/80/01/294298001.db2.gz WNMVFCVZCNNARA-GFCCVEGCSA-N 0 0 290.298 2.809 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000280136839 297082245 /nfs/dbraw/zinc/08/22/45/297082245.db2.gz BNZKYYOAFGFBFY-QMTHXVAHSA-N 0 0 262.309 2.599 20 5 CFBDRN CO[C@]1(C)CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000338709697 294833485 /nfs/dbraw/zinc/83/34/85/294833485.db2.gz FYWQPJRHCJGQSN-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN COc1ccc(CN2C[C@H](C)O[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000438382504 295131894 /nfs/dbraw/zinc/13/18/94/295131894.db2.gz PDDASBNCJMAOKR-TUAOUCFPSA-N 0 0 294.351 2.601 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H](C3CC3)O[C@@H](C)C2)c1 ZINC000280565045 295254455 /nfs/dbraw/zinc/25/44/55/295254455.db2.gz VAGNKTNUWYLKRU-BONVTDFDSA-N 0 0 292.335 2.607 20 5 CFBDRN COc1cccc(C(=O)N2C[C@@H](C)CC[C@@H]2C)c1[N+](=O)[O-] ZINC000194532938 295286834 /nfs/dbraw/zinc/28/68/34/295286834.db2.gz FHPIZAUAMZDQNO-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cccc(N2CC[C@@](C)(F)C2)c1[N+](=O)[O-] ZINC000346243326 295306120 /nfs/dbraw/zinc/30/61/20/295306120.db2.gz HFYQTSHQVIWCDU-GFCCVEGCSA-N 0 0 254.261 2.542 20 5 CFBDRN COc1cccc(N2C[C@@H](C)O[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000280469593 295306553 /nfs/dbraw/zinc/30/65/53/295306553.db2.gz MVUDJJWWZCZYKM-YGRLFVJLSA-N 0 0 292.335 2.607 20 5 CFBDRN Nc1ccc(N2CCC3(CCC3)CC2)c([N+](=O)[O-])c1 ZINC000367874712 295353212 /nfs/dbraw/zinc/35/32/12/295353212.db2.gz PHOFPJIBLCIDOA-UHFFFAOYSA-N 0 0 261.325 2.948 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCCC3(CCC3)C2)c1 ZINC000375695907 295356045 /nfs/dbraw/zinc/35/60/45/295356045.db2.gz FRUBTTQGBNUIOC-UHFFFAOYSA-N 0 0 261.325 2.948 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CC[C@@H](C2CC2)C1 ZINC000492301870 295406316 /nfs/dbraw/zinc/40/63/16/295406316.db2.gz WPHJTTUHJLFXRF-HJHHMULASA-N 0 0 286.331 2.867 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000334231567 297234928 /nfs/dbraw/zinc/23/49/28/297234928.db2.gz LNPQWYOXRLWIOL-NXEZZACHSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420611437 297351304 /nfs/dbraw/zinc/35/13/04/297351304.db2.gz NKALEHCLHGGWGE-GHMZBOCLSA-N 0 0 291.351 2.566 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CC(C2CCCC2)C1 ZINC000336297177 304522718 /nfs/dbraw/zinc/52/27/18/304522718.db2.gz ARFRZPGADKZHEY-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CC[C@@H](C2CC2)C1 ZINC000334310958 304523224 /nfs/dbraw/zinc/52/32/24/304523224.db2.gz PYRQSIFFXKLPDO-SNVBAGLBSA-N 0 0 278.283 2.606 20 5 CFBDRN C[C@@H]1Cc2ccccc2N(Cc2c([N+](=O)[O-])ncn2C)C1 ZINC000332078902 297501995 /nfs/dbraw/zinc/50/19/95/297501995.db2.gz ZSAYXSKCPNPKLS-LLVKDONJSA-N 0 0 286.335 2.527 20 5 CFBDRN C[C@H](F)CCNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000454768382 298935812 /nfs/dbraw/zinc/93/58/12/298935812.db2.gz SUNRRVFLDYIWMN-UWVGGRQHSA-N 0 0 283.303 2.703 20 5 CFBDRN C[C@H]1CCSCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000332246304 300027986 /nfs/dbraw/zinc/02/79/86/300027986.db2.gz BKCALZNKDUOJKO-JTQLQIEISA-N 0 0 282.365 2.628 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000088704982 300058669 /nfs/dbraw/zinc/05/86/69/300058669.db2.gz DVRXIUHPLAJFLC-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C(C)(C)C1 ZINC000284486856 300188387 /nfs/dbraw/zinc/18/83/87/300188387.db2.gz UEMUSKJYLNVFLK-MRVPVSSYSA-N 0 0 295.314 2.577 20 5 CFBDRN C[C@H]1COC(C)(C)CN1c1ncc([N+](=O)[O-])cc1Cl ZINC000408355602 300336224 /nfs/dbraw/zinc/33/62/24/300336224.db2.gz SLZKDVUUEJMBED-QMMMGPOBSA-N 0 0 285.731 2.647 20 5 CFBDRN C[C@H]1CO[C@H](c2ccccc2)CN1c1ncccc1[N+](=O)[O-] ZINC000267327889 300369149 /nfs/dbraw/zinc/36/91/49/300369149.db2.gz ZYPUNUCDCFRKHH-WFASDCNBSA-N 0 0 299.330 2.956 20 5 CFBDRN C[C@H]1C[C@@H](c2cccnc2)N(c2ccncc2[N+](=O)[O-])C1 ZINC000361045976 300449438 /nfs/dbraw/zinc/44/94/38/300449438.db2.gz NDLHMVRNRLDIIE-FZMZJTMJSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000334039215 300612530 /nfs/dbraw/zinc/61/25/30/300612530.db2.gz JUWXPLKECCCYNF-AMIZOPFISA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cc(C(=O)N2CC(C)(C)OC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000489524801 301084682 /nfs/dbraw/zinc/08/46/82/301084682.db2.gz BKYIXPJRZDGSBM-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCSC[C@H](C)C2)n1 ZINC000408360255 301204947 /nfs/dbraw/zinc/20/49/47/301204947.db2.gz SPEUKIAEVCHGJV-SECBINFHSA-N 0 0 281.381 2.796 20 5 CFBDRN Cc1ccc(C(=O)N2CC(C)(C)OC[C@@H]2C)cc1[N+](=O)[O-] ZINC000489480430 301495801 /nfs/dbraw/zinc/49/58/01/301495801.db2.gz SEVGWVQSIIKWFF-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cccc(C(=O)N2CC(C3CCC3)C2)c1[N+](=O)[O-] ZINC000335103490 301943111 /nfs/dbraw/zinc/94/31/11/301943111.db2.gz OQYRHOWLSRVZTP-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cccc(C(=O)N2CC(C)(C)OC[C@@H]2C)c1[N+](=O)[O-] ZINC000489663568 301943186 /nfs/dbraw/zinc/94/31/86/301943186.db2.gz WIYNPJPYWNCQJE-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@@H](C(C)C)C1 ZINC000349866524 302040171 /nfs/dbraw/zinc/04/01/71/302040171.db2.gz FXGIVATYZPYZRL-CYBMUJFWSA-N 0 0 264.325 2.764 20 5 CFBDRN Cc1ccnc(N2CCO[C@@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000279593669 302272774 /nfs/dbraw/zinc/27/27/74/302272774.db2.gz WMHNOFIHZYOYEA-LLVKDONJSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1ccnc(N2CCOC[C@@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000292181900 302272905 /nfs/dbraw/zinc/27/29/05/302272905.db2.gz FJHRIVGVOCQLGX-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cnc(N2CCC[C@@](CO)(C(C)C)C2)c([N+](=O)[O-])c1 ZINC000293896126 302349830 /nfs/dbraw/zinc/34/98/30/302349830.db2.gz CDYGHLJJKMRAOC-OAHLLOKOSA-N 0 0 293.367 2.533 20 5 CFBDRN Cc1nn(C)c(Oc2cc(F)ccc2C)c1[N+](=O)[O-] ZINC000276204994 302786911 /nfs/dbraw/zinc/78/69/11/302786911.db2.gz KHLPCGWFBFSECS-UHFFFAOYSA-N 0 0 265.244 2.877 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@H](C(C)(C)C)C1 ZINC000408340916 303020551 /nfs/dbraw/zinc/02/05/51/303020551.db2.gz YGEKAZZXGXXLBG-JTQLQIEISA-N 0 0 266.345 2.591 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCc2cc(Cl)ccc21 ZINC000286241276 303020955 /nfs/dbraw/zinc/02/09/55/303020955.db2.gz FIMYMIJUXFZDCQ-UHFFFAOYSA-N 0 0 278.699 2.676 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCCC[C@@H](CO)C1 ZINC000371060572 304799454 /nfs/dbraw/zinc/79/94/54/304799454.db2.gz ASUXICPQKSNSII-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC23CCOCC3)c(F)c1 ZINC000367256196 304802918 /nfs/dbraw/zinc/80/29/18/304802918.db2.gz TYOLHDNUISHBJL-UHFFFAOYSA-N 0 0 280.299 2.883 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H]1c1nccs1 ZINC000364926928 304810867 /nfs/dbraw/zinc/81/08/67/304810867.db2.gz RSYXYCIBXVODTE-SNVBAGLBSA-N 0 0 276.321 2.788 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)N(C)c2ccsc2)c1[N+](=O)[O-] ZINC000828211468 782083116 /nfs/dbraw/zinc/08/31/16/782083116.db2.gz GWBQVOAXQMRDAL-UHFFFAOYSA-N 0 0 294.336 2.779 20 5 CFBDRN COc1cc(C(=O)Nc2cc(C)ccn2)ccc1[N+](=O)[O-] ZINC000160335579 322336110 /nfs/dbraw/zinc/33/61/10/322336110.db2.gz OQKFBMMIQONALQ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCCn1c(C)nnc1COc1ccc([N+](=O)[O-])cc1F ZINC000161279217 322349741 /nfs/dbraw/zinc/34/97/41/322349741.db2.gz WUIGRXJMFIPXBK-UHFFFAOYSA-N 0 0 294.286 2.623 20 5 CFBDRN O=C([C@@H]1C[C@H]1C1CC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161391943 322351031 /nfs/dbraw/zinc/35/10/31/322351031.db2.gz CYTGNDLSASNBNF-UONOGXRCSA-N 0 0 286.331 2.526 20 5 CFBDRN C[C@H](C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C1CC1 ZINC000161435440 322351865 /nfs/dbraw/zinc/35/18/65/322351865.db2.gz FNHPVUFJHNAHOA-JTQLQIEISA-N 0 0 274.320 2.526 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000162168258 322361457 /nfs/dbraw/zinc/36/14/57/322361457.db2.gz ITOFEQCANWECGZ-RKDXNWHRSA-N 0 0 266.272 2.747 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000162307143 322363059 /nfs/dbraw/zinc/36/30/59/322363059.db2.gz INTKPWIIAAGPIZ-OCAPTIKFSA-N 0 0 254.311 2.669 20 5 CFBDRN Cc1ncccc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000170987628 322397899 /nfs/dbraw/zinc/39/78/99/322397899.db2.gz MAZSCMHQTIQTMQ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCOCC(F)(F)F ZINC000171149986 322401388 /nfs/dbraw/zinc/40/13/88/322401388.db2.gz GQNMQMPIPSEETR-UHFFFAOYSA-N 0 0 283.177 2.692 20 5 CFBDRN COC(=O)c1coc(COc2cc([N+](=O)[O-])ccc2C)c1 ZINC000171412432 322405653 /nfs/dbraw/zinc/40/56/53/322405653.db2.gz UUHUXFXFNRNDPN-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=C(NCc1ccoc1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000171870208 322414886 /nfs/dbraw/zinc/41/48/86/322414886.db2.gz SFPBOAXRLQCOCE-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN COc1cccc(COc2ccc(C)cc2[N+](=O)[O-])n1 ZINC000172319163 322422763 /nfs/dbraw/zinc/42/27/63/322422763.db2.gz NSBMFTHWSPDECA-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC/C=C/c1ccccc1 ZINC000173026649 322431689 /nfs/dbraw/zinc/43/16/89/322431689.db2.gz FKYIJWALDJBPNG-WEVVVXLNSA-N 0 0 296.326 2.967 20 5 CFBDRN CC(C)(C)CCn1cnc2sc([N+](=O)[O-])cc2c1=O ZINC000569692871 322490411 /nfs/dbraw/zinc/49/04/11/322490411.db2.gz SVENHLDTKTYSLF-UHFFFAOYSA-N 0 0 281.337 2.802 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@@H]2OCC[C@@H]2C1 ZINC000570678737 322545655 /nfs/dbraw/zinc/54/56/55/322545655.db2.gz BLKVHOVAKDPKBF-ABAIWWIYSA-N 0 0 299.330 2.758 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C1(C)C ZINC000571124676 322570394 /nfs/dbraw/zinc/57/03/94/322570394.db2.gz AZQDMVPGPDHZDJ-OLZOCXBDSA-N 0 0 291.351 2.788 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2nc(C(C)C)no2)c1 ZINC000571522581 322586364 /nfs/dbraw/zinc/58/63/64/322586364.db2.gz CPDAAJKQASSFBD-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN Cc1ncc(CNC(C)(C)c2ccccc2[N+](=O)[O-])n1C ZINC000572617941 322625829 /nfs/dbraw/zinc/62/58/29/322625829.db2.gz MJRMBHIMIXSMIY-UHFFFAOYSA-N 0 0 288.351 2.662 20 5 CFBDRN O=C(Nc1c(O)cccc1Cl)c1ccc([N+](=O)[O-])cn1 ZINC000572994842 322640432 /nfs/dbraw/zinc/64/04/32/322640432.db2.gz BQKYOKFFDRVPLA-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN CC(C)(C)C(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000573164886 322646419 /nfs/dbraw/zinc/64/64/19/322646419.db2.gz HIFDRDZAZUHMKT-UHFFFAOYSA-N 0 0 289.335 2.781 20 5 CFBDRN Cc1ccc([C@H]2CCN(c3ncc([N+](=O)[O-])c(C)n3)C2)cc1 ZINC000574030518 322677937 /nfs/dbraw/zinc/67/79/37/322677937.db2.gz FWZMXQPKKOKIPM-AWEZNQCLSA-N 0 0 298.346 2.996 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC[C@@H]4OCC[C@@H]4C3)ccnc21 ZINC000574633667 322699961 /nfs/dbraw/zinc/69/99/61/322699961.db2.gz GLDBWLPCVYBADX-ABAIWWIYSA-N 0 0 299.330 2.758 20 5 CFBDRN CCCc1nc(COc2cc([N+](=O)[O-])ccc2C)no1 ZINC000575802707 322729928 /nfs/dbraw/zinc/72/99/28/322729928.db2.gz SKUVNYDSPGZVQI-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN COc1cccc(C(=O)N(CC2CC2)C(C)C)c1[N+](=O)[O-] ZINC000575821873 322731001 /nfs/dbraw/zinc/73/10/01/322731001.db2.gz XAGQBXXBGIYLRP-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cccc(COc2ncc(C)cc2[N+](=O)[O-])c1 ZINC000175134145 323660704 /nfs/dbraw/zinc/66/07/04/323660704.db2.gz BBQPZFAOMJSVIR-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000179247745 323738500 /nfs/dbraw/zinc/73/85/00/323738500.db2.gz NJXGMHXGVLIUSX-JTQLQIEISA-N 0 0 289.335 2.876 20 5 CFBDRN CCc1ccnc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000180137206 323773299 /nfs/dbraw/zinc/77/32/99/323773299.db2.gz LHGUYTJOPKMGPU-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN C[C@@H](C(=O)Nc1cccnc1)c1ccc([N+](=O)[O-])cc1F ZINC000182494470 323866986 /nfs/dbraw/zinc/86/69/86/323866986.db2.gz IBZFYGXEBOOZMV-SECBINFHSA-N 0 0 289.266 2.871 20 5 CFBDRN C[C@H](C(=O)NCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000182516391 323868025 /nfs/dbraw/zinc/86/80/25/323868025.db2.gz AVJMWHDLKDBASW-LURJTMIESA-N 0 0 294.204 2.516 20 5 CFBDRN C[C@@H](C(=O)NC1(C)CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000185550544 323967665 /nfs/dbraw/zinc/96/76/65/323967665.db2.gz BWXGIPOJNNNMLU-SECBINFHSA-N 0 0 280.299 2.896 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCCCF ZINC000191371021 324049251 /nfs/dbraw/zinc/04/92/51/324049251.db2.gz ULLAQZFBGPJQIB-UHFFFAOYSA-N 0 0 269.276 2.693 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)Cc2ccccc2)cc1 ZINC000192477289 324060320 /nfs/dbraw/zinc/06/03/20/324060320.db2.gz BOUCEHPPUXRDGB-CQSZACIVSA-N 0 0 273.288 2.577 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCCOCC(C)C ZINC000192931644 324067220 /nfs/dbraw/zinc/06/72/20/324067220.db2.gz MBUYWIXETQURNX-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)CC1CCCC1 ZINC000193583234 324073825 /nfs/dbraw/zinc/07/38/25/324073825.db2.gz FBLICYTUFYOCJQ-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1cc(F)ccc1F ZINC000193628037 324074974 /nfs/dbraw/zinc/07/49/74/324074974.db2.gz FARKEAVDNJZQLE-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN COc1cccc(C(=O)N[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000194349326 324086846 /nfs/dbraw/zinc/08/68/46/324086846.db2.gz ZITAMQGTWFPUAZ-SECBINFHSA-N 0 0 280.324 2.768 20 5 CFBDRN Cc1sc(C(=O)NC2CCSCC2)cc1[N+](=O)[O-] ZINC000194798229 324095850 /nfs/dbraw/zinc/09/58/50/324095850.db2.gz XPQHYZAQMLQTAM-UHFFFAOYSA-N 0 0 286.378 2.590 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)NC1CCSCC1 ZINC000195152446 324102122 /nfs/dbraw/zinc/10/21/22/324102122.db2.gz UUILONHUUAENOE-UHFFFAOYSA-N 0 0 281.337 2.612 20 5 CFBDRN CCc1ccnc(-c2noc(-c3cc([N+](=O)[O-])cn3C)n2)c1 ZINC000350485645 324219805 /nfs/dbraw/zinc/21/98/05/324219805.db2.gz MVYSFSIJWDDJHP-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN CCc1nn(C)cc1-c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000350537906 324226390 /nfs/dbraw/zinc/22/63/90/324226390.db2.gz DYYMEXTVFUHPDY-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(-c3cscn3)no2)c1 ZINC000350543834 324227650 /nfs/dbraw/zinc/22/76/50/324227650.db2.gz NFIHEOULVFOYTK-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN C[C@@H]1COCC[C@H]1c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000350594495 324240428 /nfs/dbraw/zinc/24/04/28/324240428.db2.gz KPSVHZMVNDEDKS-BXKDBHETSA-N 0 0 289.291 2.785 20 5 CFBDRN Cc1ccc(-c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)o1 ZINC000350793180 324257697 /nfs/dbraw/zinc/25/76/97/324257697.db2.gz JYQHRHCVGHTDJE-UHFFFAOYSA-N 0 0 260.209 2.541 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(-c2ccoc2)no1 ZINC000350812296 324261605 /nfs/dbraw/zinc/26/16/05/324261605.db2.gz KFJPXHUCFFNCHT-UHFFFAOYSA-N 0 0 257.205 2.905 20 5 CFBDRN C[C@@H](c1nc(-c2ccc([N+](=O)[O-])s2)no1)n1cccn1 ZINC000350832755 324265301 /nfs/dbraw/zinc/26/53/01/324265301.db2.gz KPFFXXWKBSGNGS-ZETCQYMHSA-N 0 0 291.292 2.512 20 5 CFBDRN Cc1ccc(-c2nc([C@H]3CCCOC3)no2)cc1[N+](=O)[O-] ZINC000350849091 324267547 /nfs/dbraw/zinc/26/75/47/324267547.db2.gz JHPZYUFIPULFRM-NSHDSACASA-N 0 0 289.291 2.847 20 5 CFBDRN CCC[C@@H](C)Cc1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350926564 324283984 /nfs/dbraw/zinc/28/39/84/324283984.db2.gz MQMGWPGQSPQNTH-MRVPVSSYSA-N 0 0 293.327 2.665 20 5 CFBDRN COc1cnccc1-c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000350925748 324284110 /nfs/dbraw/zinc/28/41/10/324284110.db2.gz QQSKBRPHUQBKRN-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CCSCCCc1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000350979503 324290526 /nfs/dbraw/zinc/29/05/26/324290526.db2.gz BCDZQZHNHGFOGG-UHFFFAOYSA-N 0 0 296.352 2.669 20 5 CFBDRN Cc1c(Cc2noc([C@@H]3CC3(F)F)n2)cccc1[N+](=O)[O-] ZINC000351096514 324310378 /nfs/dbraw/zinc/31/03/78/324310378.db2.gz IFZXINLCUZHORE-VIFPVBQESA-N 0 0 295.245 3.000 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCOCC3)n2)o1 ZINC000351139961 324324245 /nfs/dbraw/zinc/32/42/45/324324245.db2.gz AYMFAWLYUNCMDF-MRVPVSSYSA-N 0 0 279.252 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3C[C@H]3C3CC3)n2)o1 ZINC000351147309 324327803 /nfs/dbraw/zinc/32/78/03/324327803.db2.gz OBILWPJJAHLVRH-JGVFFNPUSA-N 0 0 261.237 2.751 20 5 CFBDRN CCCOCc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351147826 324328216 /nfs/dbraw/zinc/32/82/16/324328216.db2.gz PCCVINSRHJHWET-UHFFFAOYSA-N 0 0 277.280 2.880 20 5 CFBDRN CSCc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351151823 324329964 /nfs/dbraw/zinc/32/99/64/324329964.db2.gz VRKQCYQFZRXGTN-UHFFFAOYSA-N 0 0 265.294 2.816 20 5 CFBDRN CCOCCc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351150575 324329980 /nfs/dbraw/zinc/32/99/80/324329980.db2.gz SWCQZFBROLQPDF-UHFFFAOYSA-N 0 0 277.280 2.532 20 5 CFBDRN C[C@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C(C)(F)F ZINC000351152820 324330811 /nfs/dbraw/zinc/33/08/11/324330811.db2.gz XAIAZABAQGKIFE-RXMQYKEDSA-N 0 0 273.195 2.997 20 5 CFBDRN CC1(c2noc(-c3n[nH]c4ccc([N+](=O)[O-])cc43)n2)CC1 ZINC000351175794 324338696 /nfs/dbraw/zinc/33/86/96/324338696.db2.gz PKEHHNWSBPWLGV-UHFFFAOYSA-N 0 0 285.263 2.573 20 5 CFBDRN O=C1C[C@H]2C[C@@H]1[C@H](c1nc(-c3ccc([N+](=O)[O-])cc3)no1)C2 ZINC000351186888 324342095 /nfs/dbraw/zinc/34/20/95/324342095.db2.gz QTLXJPXOCYSSPJ-XXILOJSOSA-N 0 0 299.286 2.727 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CC1(F)F ZINC000351276628 324368054 /nfs/dbraw/zinc/36/80/54/324368054.db2.gz AFZBHNMNFDWBND-SECBINFHSA-N 0 0 271.179 2.535 20 5 CFBDRN C[C@@H](CC(F)F)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351283042 324370083 /nfs/dbraw/zinc/37/00/83/324370083.db2.gz VIYLCYXOZANFDQ-YFKPBYRVSA-N 0 0 273.195 2.997 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C[C@H]1F ZINC000351288368 324371589 /nfs/dbraw/zinc/37/15/89/324371589.db2.gz HWQQIPBDIVHYNX-BXKDBHETSA-N 0 0 263.228 2.644 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C[C@@H]1F ZINC000351288369 324371725 /nfs/dbraw/zinc/37/17/25/324371725.db2.gz HWQQIPBDIVHYNX-CABZTGNLSA-N 0 0 263.228 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@]34C[C@H]3CCC4)n2)o1 ZINC000351305058 324378242 /nfs/dbraw/zinc/37/82/42/324378242.db2.gz SZGXKQHDZWRBKZ-JMCQJSRRSA-N 0 0 261.237 2.679 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1N)C1CCCC1 ZINC000382870406 324433930 /nfs/dbraw/zinc/43/39/30/324433930.db2.gz QJEKAKVFYXSYOR-LBPRGKRZSA-N 0 0 291.351 2.876 20 5 CFBDRN CC/C=C\CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000384897482 324453956 /nfs/dbraw/zinc/45/39/56/324453956.db2.gz VRODCVICQHMZSX-ARJAWSKDSA-N 0 0 268.700 2.944 20 5 CFBDRN CCOc1cc(N[C@H](CC)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000386737216 324482028 /nfs/dbraw/zinc/48/20/28/324482028.db2.gz CMRYXZGPUUDXDO-MWLCHTKSSA-N 0 0 268.313 2.565 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1ncc(C)cc1[N+](=O)[O-])C1CC1 ZINC000388364659 324505535 /nfs/dbraw/zinc/50/55/35/324505535.db2.gz UVFHBRXBULDVOP-CABZTGNLSA-N 0 0 265.313 2.524 20 5 CFBDRN CC[C@H]1CCC[C@H]1Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000388641445 324509012 /nfs/dbraw/zinc/50/90/12/324509012.db2.gz OSEDIENRPFOCQB-CMPLNLGQSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](CO)C2CCCC2)c1 ZINC000395142197 324540930 /nfs/dbraw/zinc/54/09/30/324540930.db2.gz VEMDAPGTZPJLHI-ZDUSSCGKSA-N 0 0 264.325 2.866 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)C[C@H](C)C1 ZINC000576901446 324673656 /nfs/dbraw/zinc/67/36/56/324673656.db2.gz UQWDIHUSPINWAQ-AOOOYVTPSA-N 0 0 266.345 2.602 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CO1 ZINC000577103526 324696867 /nfs/dbraw/zinc/69/68/67/324696867.db2.gz NKUZLHQDPBNQLT-WCQYABFASA-N 0 0 299.330 2.668 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC[C@H](C)C1 ZINC000577132070 324701633 /nfs/dbraw/zinc/70/16/33/324701633.db2.gz ASXKBLWNSUJGIO-VIFPVBQESA-N 0 0 278.308 2.536 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2nnc(C(C)C)o2)c1 ZINC000577729612 324772881 /nfs/dbraw/zinc/77/28/81/324772881.db2.gz RPPFPTUEUKJRPF-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN CC1(C)CCN(c2ncc([N+](=O)[O-])cc2F)CCS1 ZINC000578421809 324849679 /nfs/dbraw/zinc/84/96/79/324849679.db2.gz NNSFBPBGXVSLLK-UHFFFAOYSA-N 0 0 285.344 2.851 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC(C)(C)O1 ZINC000578510715 324859394 /nfs/dbraw/zinc/85/93/94/324859394.db2.gz YUZAHXAISLIOOJ-LBPRGKRZSA-N 0 0 293.323 2.533 20 5 CFBDRN C[C@H](O)[C@H](C)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000578523592 324861772 /nfs/dbraw/zinc/86/17/72/324861772.db2.gz IBLAUQFXOAYAPR-YUMQZZPRSA-N 0 0 259.302 2.736 20 5 CFBDRN CN(CC1CCC1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000579073021 324916149 /nfs/dbraw/zinc/91/61/49/324916149.db2.gz OBDMPJPBZVRZJI-UHFFFAOYSA-N 0 0 260.297 2.707 20 5 CFBDRN Cc1c(CNC(=O)N2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000579543374 324957646 /nfs/dbraw/zinc/95/76/46/324957646.db2.gz KHIWYIPEZFSCBJ-UHFFFAOYSA-N 0 0 277.324 2.597 20 5 CFBDRN CCc1coc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000579850248 324986068 /nfs/dbraw/zinc/98/60/68/324986068.db2.gz RRURJCOKSXFTDV-SECBINFHSA-N 0 0 289.291 2.887 20 5 CFBDRN CCCCNc1cc(C(=O)OC)cc([N+](=O)[O-])c1C ZINC000580139588 325009839 /nfs/dbraw/zinc/00/98/39/325009839.db2.gz CVBJOWMNSPKJLA-UHFFFAOYSA-N 0 0 266.297 2.902 20 5 CFBDRN Cc1cnc([C@H](C)N2CCc3c(cccc3[N+](=O)[O-])C2)cn1 ZINC000580392756 325031425 /nfs/dbraw/zinc/03/14/25/325031425.db2.gz HOGITSSOKFGBQF-LBPRGKRZSA-N 0 0 298.346 2.813 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1ncccc1C ZINC000580502343 325040975 /nfs/dbraw/zinc/04/09/75/325040975.db2.gz PVLZBYVZGXMKRS-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COC(=O)[C@H](CNc1ccc(F)cc1[N+](=O)[O-])CC1CC1 ZINC000580719203 325059050 /nfs/dbraw/zinc/05/90/50/325059050.db2.gz RAFXZSZNYTUAHE-JTQLQIEISA-N 0 0 296.298 2.735 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)Cc1ccccn1 ZINC000580918021 325074722 /nfs/dbraw/zinc/07/47/22/325074722.db2.gz OPFOLBPGJCRISS-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN CN(C(=O)c1c[nH]nc1[N+](=O)[O-])[C@@H]1CCC[C@H]1C(C)(C)C ZINC000581000309 325081167 /nfs/dbraw/zinc/08/11/67/325081167.db2.gz VNGUQOONBMNSQF-GHMZBOCLSA-N 0 0 294.355 2.605 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1-c1nc(C2CCCCCC2)no1 ZINC000581011917 325082538 /nfs/dbraw/zinc/08/25/38/325082538.db2.gz NCCXAGAZDVCVRI-UHFFFAOYSA-N 0 0 291.311 2.816 20 5 CFBDRN CCCCCn1cnc2sc([N+](=O)[O-])cc2c1=O ZINC000581547774 325130918 /nfs/dbraw/zinc/13/09/18/325130918.db2.gz WSWAKQAJUBOPDC-UHFFFAOYSA-N 0 0 267.310 2.556 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCC(C)(C)OC)c1 ZINC000581607049 325136228 /nfs/dbraw/zinc/13/62/28/325136228.db2.gz DHXRWOPPRFHSHK-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN CCOc1cc(N2Cc3cccnc3C2)ccc1[N+](=O)[O-] ZINC000582900793 325243487 /nfs/dbraw/zinc/24/34/87/325243487.db2.gz ZXVRNPWTBNGFGC-UHFFFAOYSA-N 0 0 285.303 2.909 20 5 CFBDRN Cc1c(CN(C)[C@H](C)c2ccccc2[N+](=O)[O-])cnn1C ZINC000583302431 325276268 /nfs/dbraw/zinc/27/62/68/325276268.db2.gz RDNSDWGSJCYVIJ-GFCCVEGCSA-N 0 0 288.351 2.830 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1c(C)nn(C)c1C ZINC000583432903 325287140 /nfs/dbraw/zinc/28/71/40/325287140.db2.gz FXRQHUWSGIHEAP-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCCCCO1 ZINC000583443882 325288422 /nfs/dbraw/zinc/28/84/22/325288422.db2.gz FZHRXTOZDDNQAE-UHFFFAOYSA-N 0 0 279.296 2.853 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CCOCC2(CC2)C1 ZINC000583624039 325299873 /nfs/dbraw/zinc/29/98/73/325299873.db2.gz NOBFAHXOAKRAIB-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cn2)cc1C ZINC000583856213 325314991 /nfs/dbraw/zinc/31/49/91/325314991.db2.gz VPJBUOUJFHHUNK-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000584117929 325332030 /nfs/dbraw/zinc/33/20/30/325332030.db2.gz ZZUZAPHWAKKKQA-NOZJJQNGSA-N 0 0 292.339 2.680 20 5 CFBDRN Cc1c(CN2CCOC[C@H]2C2CCC2)cccc1[N+](=O)[O-] ZINC000584170796 325334968 /nfs/dbraw/zinc/33/49/68/325334968.db2.gz KHRDZQYQPJXHQN-INIZCTEOSA-N 0 0 290.363 2.904 20 5 CFBDRN Cc1nc(N[C@@H]2CCCC(F)(F)C2)ncc1[N+](=O)[O-] ZINC000584272898 325342514 /nfs/dbraw/zinc/34/25/14/325342514.db2.gz HDSZMJCQQHDDJE-MRVPVSSYSA-N 0 0 272.255 2.683 20 5 CFBDRN O=C(Nc1ccc2ccoc2c1)c1ccc([N+](=O)[O-])cn1 ZINC000584385017 329308163 /nfs/dbraw/zinc/30/81/63/329308163.db2.gz BJKMEGNUNWNVHL-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN CCCNC(=O)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000444827417 330826777 /nfs/dbraw/zinc/82/67/77/330826777.db2.gz KCUKMCDKRRRFFU-UHFFFAOYSA-N 0 0 280.327 2.821 20 5 CFBDRN CN(C(=O)c1cccc2c(=O)c3ccccc3[nH]c12)C1CC1 ZINC000445178113 330829059 /nfs/dbraw/zinc/82/90/59/330829059.db2.gz DIESVTPEVSTYHV-UHFFFAOYSA-N 0 0 292.338 2.916 20 5 CFBDRN C[C@@H]1COC[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000829437546 782131026 /nfs/dbraw/zinc/13/10/26/782131026.db2.gz ICXVSQAFFNUUEN-RNCFNFMXSA-N 0 0 273.292 2.590 20 5 CFBDRN CC(C)CNc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000053751004 519749761 /nfs/dbraw/zinc/74/97/61/519749761.db2.gz NUWRSYAOOKYZRC-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN CC(C)[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000335819653 519824696 /nfs/dbraw/zinc/82/46/96/519824696.db2.gz YONJMBPISXCTDQ-GFCCVEGCSA-N 0 0 265.313 2.574 20 5 CFBDRN CCOC(=O)c1ccnc(NC(CC)CC)c1[N+](=O)[O-] ZINC000077902547 500700203 /nfs/dbraw/zinc/70/02/03/500700203.db2.gz VIIXMUCZOQZQDQ-UHFFFAOYSA-N 0 0 281.312 2.767 20 5 CFBDRN O=[N+]([O-])c1cccc(Cn2nccc2-c2cccnc2)c1 ZINC000177484704 501082861 /nfs/dbraw/zinc/08/28/61/501082861.db2.gz JAQJCYZPZRIUOB-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN CCC[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1C ZINC000223245704 521294620 /nfs/dbraw/zinc/29/46/20/521294620.db2.gz FQUADGFAOSXPBY-ZDUSSCGKSA-N 0 0 252.314 2.866 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OC(C)C ZINC000161137508 521426892 /nfs/dbraw/zinc/42/68/92/521426892.db2.gz ZWNHTACVAVLNTR-UHFFFAOYSA-N 0 0 253.254 2.559 20 5 CFBDRN COc1cccc2c1C[C@@H](Nc1nccc(C)c1[N+](=O)[O-])C2 ZINC000413423837 533884068 /nfs/dbraw/zinc/88/40/68/533884068.db2.gz FDPUHWOKPUUZJG-LBPRGKRZSA-N 0 0 299.330 2.886 20 5 CFBDRN COc1cccc2c1C[C@@H](Nc1ccc([N+](=O)[O-])nc1)C2 ZINC000413422875 533882578 /nfs/dbraw/zinc/88/25/78/533882578.db2.gz DAWNGLHKPVWKAD-LBPRGKRZSA-N 0 0 285.303 2.578 20 5 CFBDRN C[C@H](CCCO)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413190818 533886091 /nfs/dbraw/zinc/88/60/91/533886091.db2.gz XQOUTLOAWHQYGQ-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000178146402 533911163 /nfs/dbraw/zinc/91/11/63/533911163.db2.gz BMYBYHSTXIGFBB-BYAJROORSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@H](NCc1nc(C(F)F)no1)c1ccccc1[N+](=O)[O-] ZINC000425458627 533944878 /nfs/dbraw/zinc/94/48/78/533944878.db2.gz MQGZCYYVRYOTIP-ZETCQYMHSA-N 0 0 298.249 2.766 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])c1 ZINC000152983060 534139405 /nfs/dbraw/zinc/13/94/05/534139405.db2.gz DVUAEUULTUSDPN-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN COC(=O)c1cccc(OCCCOC(C)C)c1[N+](=O)[O-] ZINC000413016054 534279262 /nfs/dbraw/zinc/27/92/62/534279262.db2.gz LJWKKRYROJVWML-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN COC(=O)c1cccc(OCCCCF)c1[N+](=O)[O-] ZINC000413014943 534279732 /nfs/dbraw/zinc/27/97/32/534279732.db2.gz JORXBDCBBWKSLF-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN CC(=O)c1cc(NCC[C@@H](C)F)ccc1[N+](=O)[O-] ZINC000338757636 518291338 /nfs/dbraw/zinc/29/13/38/518291338.db2.gz VJMBAXYLMDAYCQ-MRVPVSSYSA-N 0 0 254.261 2.957 20 5 CFBDRN CC(C)(C(=O)NCc1ccc[nH]1)c1ccccc1[N+](=O)[O-] ZINC000341018049 518528595 /nfs/dbraw/zinc/52/85/95/518528595.db2.gz UYGAQSXVTFEWRW-UHFFFAOYSA-N 0 0 287.319 2.517 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000311769609 518683802 /nfs/dbraw/zinc/68/38/02/518683802.db2.gz BRKUPAAFEVGTMF-SECBINFHSA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)=CCNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000191852705 519436465 /nfs/dbraw/zinc/43/64/65/519436465.db2.gz OLHGLPAPPAMDDY-UHFFFAOYSA-N 0 0 273.292 2.772 20 5 CFBDRN CC(C)CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c[nH]c1=O ZINC000302758477 519645214 /nfs/dbraw/zinc/64/52/14/519645214.db2.gz WDMWSWPFMANAHL-SNVBAGLBSA-N 0 0 295.339 2.640 20 5 CFBDRN CC(C)N(Cc1c(F)cccc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000361419636 519653428 /nfs/dbraw/zinc/65/34/28/519653428.db2.gz FYHOAPXXSZJUER-LLVKDONJSA-N 0 0 282.315 2.733 20 5 CFBDRN CC(C)O[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000361621678 519776941 /nfs/dbraw/zinc/77/69/41/519776941.db2.gz MWJKTNNAZYTALO-VXGBXAGGSA-N 0 0 279.340 2.994 20 5 CFBDRN CCC1CN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000335456053 519860684 /nfs/dbraw/zinc/86/06/84/519860684.db2.gz DQVXKIYJYWHPDL-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN CCC1CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000335116496 519860905 /nfs/dbraw/zinc/86/09/05/519860905.db2.gz ZZBHCYFTNYQXDR-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN CC(C)c1ncc(CN(C)c2ccncc2[N+](=O)[O-])cn1 ZINC000340860809 519874304 /nfs/dbraw/zinc/87/43/04/519874304.db2.gz CRPFJZHFBZLNCI-UHFFFAOYSA-N 0 0 287.323 2.540 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CCCCC1 ZINC000050698623 520071887 /nfs/dbraw/zinc/07/18/87/520071887.db2.gz RSAZEWAMRVCUST-UHFFFAOYSA-N 0 0 291.351 2.972 20 5 CFBDRN CCN(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CC1 ZINC000048695901 520074113 /nfs/dbraw/zinc/07/41/13/520074113.db2.gz CKTHYHBTCZVYBU-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN CCOCc1cccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000338687712 520205144 /nfs/dbraw/zinc/20/51/44/520205144.db2.gz GIMXNRSKQLOESK-UHFFFAOYSA-N 0 0 289.291 2.712 20 5 CFBDRN CCO[C@@H](C)c1nccn1Cc1ccc([N+](=O)[O-])cc1 ZINC000089521339 520274515 /nfs/dbraw/zinc/27/45/15/520274515.db2.gz AOSKUOFJRHIAMI-NSHDSACASA-N 0 0 275.308 2.937 20 5 CFBDRN CCNc1ccc(C(=O)NCC2(C)CCC2)cc1[N+](=O)[O-] ZINC000226841427 520286175 /nfs/dbraw/zinc/28/61/75/520286175.db2.gz VHLASNATBQHLSX-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN Cc1nc(NC(=O)c2ccc(F)c(F)c2)ccc1[N+](=O)[O-] ZINC000175666136 534483481 /nfs/dbraw/zinc/48/34/81/534483481.db2.gz RCPFKBDOLBCMTJ-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1nc(NC(=O)c2cccc(F)c2)ccc1[N+](=O)[O-] ZINC000175675936 534484970 /nfs/dbraw/zinc/48/49/70/534484970.db2.gz ABZCWWOZZIPAFE-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000162520383 520407217 /nfs/dbraw/zinc/40/72/17/520407217.db2.gz FKKOYAGINCJJFM-QMMMGPOBSA-N 0 0 268.338 2.965 20 5 CFBDRN CCCCN(CC)C(=O)COc1ccc([N+](=O)[O-])cc1F ZINC000060807032 520461899 /nfs/dbraw/zinc/46/18/99/520461899.db2.gz GKWKOGCKUBSKHL-UHFFFAOYSA-N 0 0 298.314 2.761 20 5 CFBDRN Cc1nc(N[C@H]2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000160624653 534499704 /nfs/dbraw/zinc/49/97/04/534499704.db2.gz HTGVHDXKNQPOTL-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCCC1CC(F)(F)C1 ZINC000420644302 534502063 /nfs/dbraw/zinc/50/20/63/534502063.db2.gz XDNFXJHIRDAGLF-UHFFFAOYSA-N 0 0 299.277 2.541 20 5 CFBDRN CCOc1cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)ccc1C ZINC000340167271 520756953 /nfs/dbraw/zinc/75/69/53/520756953.db2.gz SZWYFSFGGQFXHW-UHFFFAOYSA-N 0 0 289.291 2.882 20 5 CFBDRN CC1CCC(N(C)C(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000334400799 520934839 /nfs/dbraw/zinc/93/48/39/520934839.db2.gz GBXVOICIHWTQLK-UHFFFAOYSA-N 0 0 279.340 2.584 20 5 CFBDRN CCN(CCC1CC1)c1nc2sccn2c1[N+](=O)[O-] ZINC000354573626 520999196 /nfs/dbraw/zinc/99/91/96/520999196.db2.gz SERBUZCLRBESOB-UHFFFAOYSA-N 0 0 280.353 2.930 20 5 CFBDRN CCC[C@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360539822 521002941 /nfs/dbraw/zinc/00/29/41/521002941.db2.gz JNPPUTWGCIRSRE-JTQLQIEISA-N 0 0 264.325 2.956 20 5 CFBDRN CCC[C@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)CCO1 ZINC000361045535 521223372 /nfs/dbraw/zinc/22/33/72/521223372.db2.gz XQJYXNXNQSLVDG-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN CCC[C@H]1[C@H](C)CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000361958174 521262072 /nfs/dbraw/zinc/26/20/72/521262072.db2.gz DPZRWZYPPXTLFQ-NEPJUHHUSA-N 0 0 293.367 2.974 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@@H]2C2CC2)c1 ZINC000338465252 521280365 /nfs/dbraw/zinc/28/03/65/521280365.db2.gz QGUUBGQTVYANAI-DGCLKSJQSA-N 0 0 290.319 2.522 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H]2F)c1 ZINC000340832770 521281836 /nfs/dbraw/zinc/28/18/36/521281836.db2.gz UMLXTZLAXVVOQT-NEPJUHHUSA-N 0 0 296.298 2.614 20 5 CFBDRN CCCCc1nc(Cn2cc([N+](=O)[O-])ccc2=O)cs1 ZINC000075474799 521309289 /nfs/dbraw/zinc/30/92/89/521309289.db2.gz JBGHXGARIKRTPI-UHFFFAOYSA-N 0 0 293.348 2.604 20 5 CFBDRN CCC(CC)(CO)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000229177088 521454633 /nfs/dbraw/zinc/45/46/33/521454633.db2.gz KWKHHYLNZKKTHK-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN CCC(CC)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088230745 521493532 /nfs/dbraw/zinc/49/35/32/521493532.db2.gz SVRXZJNQBMDUTG-UHFFFAOYSA-N 0 0 276.336 2.916 20 5 CFBDRN CCC(CC)CN(CC)c1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000340737102 521522847 /nfs/dbraw/zinc/52/28/47/521522847.db2.gz CYQYNFLIBHYAPX-UHFFFAOYSA-N 0 0 293.367 2.956 20 5 CFBDRN CCCc1nccn1Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000070424455 521555126 /nfs/dbraw/zinc/55/51/26/521555126.db2.gz BMJFWXNMXGRNCH-UHFFFAOYSA-N 0 0 275.308 2.801 20 5 CFBDRN CCOC1CC(CCNc2c([N+](=O)[O-])c(C)nn2CC)C1 ZINC000192893113 521646619 /nfs/dbraw/zinc/64/66/19/521646619.db2.gz VRQLUXAWSIFGDG-UHFFFAOYSA-N 0 0 296.371 2.737 20 5 CFBDRN CCC(O)(CC)COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000360686497 521658314 /nfs/dbraw/zinc/65/83/14/521658314.db2.gz WGWNFNYKSJPKIP-UHFFFAOYSA-N 0 0 269.297 2.533 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000157435993 521782712 /nfs/dbraw/zinc/78/27/12/521782712.db2.gz RPAGJXDPVPGXPB-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCC1(C)CCCC1 ZINC000217016813 521792679 /nfs/dbraw/zinc/79/26/79/521792679.db2.gz YBDUZWJODGMMDX-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CNC(=O)c1ccc(NC2CCCCC2)c([N+](=O)[O-])c1 ZINC000048892754 521848950 /nfs/dbraw/zinc/84/89/50/521848950.db2.gz YAYJAHCRPPYJFN-UHFFFAOYSA-N 0 0 277.324 2.699 20 5 CFBDRN COC(=O)c1cc(OCCC(C)(C)OC)ccc1[N+](=O)[O-] ZINC000340673125 521901893 /nfs/dbraw/zinc/90/18/93/521901893.db2.gz FGYPYCHKJVDJJH-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCC[C@H](C)F ZINC000338754623 521914801 /nfs/dbraw/zinc/91/48/01/521914801.db2.gz MPYHFDJVTQFMDP-VIFPVBQESA-N 0 0 284.287 2.850 20 5 CFBDRN Cc1cnc(O[C@@H]2CCCC(C)(C)C2=O)c([N+](=O)[O-])c1 ZINC000412998461 534598701 /nfs/dbraw/zinc/59/87/01/534598701.db2.gz KGVKYBLFSLSCLV-LLVKDONJSA-N 0 0 278.308 2.825 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000050748658 521968492 /nfs/dbraw/zinc/96/84/92/521968492.db2.gz DGXAIUOMNCZEKC-SNVBAGLBSA-N 0 0 279.340 2.755 20 5 CFBDRN CCc1ccnc(C(=O)NCCc2ccccc2[N+](=O)[O-])c1 ZINC000341223565 521980502 /nfs/dbraw/zinc/98/05/02/521980502.db2.gz IVDAAVQRLACOBV-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000361481100 522007222 /nfs/dbraw/zinc/00/72/22/522007222.db2.gz JOWJKVMLCQARQU-CABZTGNLSA-N 0 0 266.297 2.594 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000361837794 522025188 /nfs/dbraw/zinc/02/51/88/522025188.db2.gz XWSHBMZRILPDID-ZJUUUORDSA-N 0 0 279.340 2.853 20 5 CFBDRN COC(=O)c1ccc(CSc2ncccc2[N+](=O)[O-])o1 ZINC000127864130 522050254 /nfs/dbraw/zinc/05/02/54/522050254.db2.gz HYRWPLRGHLTEKP-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN CCc1cnc(NC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000081228654 522055647 /nfs/dbraw/zinc/05/56/47/522055647.db2.gz AKUYVZVVQNMKRS-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CC[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000049302854 522169523 /nfs/dbraw/zinc/16/95/23/522169523.db2.gz YEXKMGPIGZTIFT-MRVPVSSYSA-N 0 0 286.715 2.542 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@H]2C)n1 ZINC000230689241 522175661 /nfs/dbraw/zinc/17/56/61/522175661.db2.gz FSJBWJPKWJKHNT-ZJUUUORDSA-N 0 0 293.323 2.625 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361715463 522275452 /nfs/dbraw/zinc/27/54/52/522275452.db2.gz ANOJBRNCWBDAAD-RKDXNWHRSA-N 0 0 284.287 2.591 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000360710204 522278967 /nfs/dbraw/zinc/27/89/67/522278967.db2.gz BATBMYTWBWWEDX-BDAKNGLRSA-N 0 0 284.287 2.591 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000361481144 522395982 /nfs/dbraw/zinc/39/59/82/522395982.db2.gz JXLKXPVNAFZTOA-MFKMUULPSA-N 0 0 280.324 2.619 20 5 CFBDRN COc1cc(CN[C@H](C)c2ccccc2[N+](=O)[O-])ccn1 ZINC000062057720 522487778 /nfs/dbraw/zinc/48/77/78/522487778.db2.gz HZOPVLXNWJPKGW-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN CNc1c(C(=O)N2CC[C@@H](C)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000163207095 522536878 /nfs/dbraw/zinc/53/68/78/522536878.db2.gz HSNCXHUOMFLVNP-MNOVXSKESA-N 0 0 291.351 2.755 20 5 CFBDRN CNc1c(C(=O)NC[C@@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000230713931 522539221 /nfs/dbraw/zinc/53/92/21/522539221.db2.gz BQHVNGQMOVPDMQ-MNOVXSKESA-N 0 0 291.351 2.803 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000161482655 522541298 /nfs/dbraw/zinc/54/12/98/522541298.db2.gz BAHPVCSHMPHCKM-KOLCDFICSA-N 0 0 277.324 2.555 20 5 CFBDRN CN(CC1CC1)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000340880471 522619376 /nfs/dbraw/zinc/61/93/76/522619376.db2.gz AMEQJAIJQACLEO-UHFFFAOYSA-N 0 0 280.349 2.555 20 5 CFBDRN Cc1cnc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000177854090 534656094 /nfs/dbraw/zinc/65/60/94/534656094.db2.gz UWHSXLWKTURXKW-ZETCQYMHSA-N 0 0 297.361 2.912 20 5 CFBDRN COc1cc(NC[C@@H]2CCCCO2)c(F)cc1[N+](=O)[O-] ZINC000218847519 522745090 /nfs/dbraw/zinc/74/50/90/522745090.db2.gz XEZAYCWSNIETIV-VIFPVBQESA-N 0 0 284.287 2.724 20 5 CFBDRN COc1cc(NCc2cccc(O)c2)ccc1[N+](=O)[O-] ZINC000180418285 522748494 /nfs/dbraw/zinc/74/84/94/522748494.db2.gz LPFZGIJKILXYPG-UHFFFAOYSA-N 0 0 274.276 2.921 20 5 CFBDRN COc1cc(N[C@@H](C)C[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000360976908 522760178 /nfs/dbraw/zinc/76/01/78/522760178.db2.gz YGLMPIVMECTSFV-CMPLNLGQSA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000339360182 522777820 /nfs/dbraw/zinc/77/78/20/522777820.db2.gz TYXOZRKKWNAYHB-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN COc1cc([C@H](C)Nc2ccc([N+](=O)[O-])nc2)ccn1 ZINC000340904873 522950025 /nfs/dbraw/zinc/95/00/25/522950025.db2.gz UUPMUUYZQPWJGF-VIFPVBQESA-N 0 0 274.280 2.567 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CS[C@@H](C)C(C)=O ZINC000362340006 522975797 /nfs/dbraw/zinc/97/57/97/522975797.db2.gz PGAJXTWPEMJXBU-VIFPVBQESA-N 0 0 269.322 2.814 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H]1C(C)C ZINC000360952776 523140378 /nfs/dbraw/zinc/14/03/78/523140378.db2.gz FBTOTWWAWASDKF-NSHDSACASA-N 0 0 266.345 2.744 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@@H](C)C1CCC1 ZINC000361063990 523142297 /nfs/dbraw/zinc/14/22/97/523142297.db2.gz YAHAXVAGGWLMHQ-VIFPVBQESA-N 0 0 266.345 2.744 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(CC)CCOCC1 ZINC000313865141 523143505 /nfs/dbraw/zinc/14/35/05/523143505.db2.gz KIAFKZIYXBVCTN-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000162559922 523286971 /nfs/dbraw/zinc/28/69/71/523286971.db2.gz KSMCUULRRHDIQE-NEPJUHHUSA-N 0 0 291.351 2.702 20 5 CFBDRN COC(=O)C[C@H](C)SCc1cc([N+](=O)[O-])ccc1OC ZINC000078818331 523330088 /nfs/dbraw/zinc/33/00/88/523330088.db2.gz RCRSGVWSHFVCLY-VIFPVBQESA-N 0 0 299.348 2.788 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000360989561 523374485 /nfs/dbraw/zinc/37/44/85/523374485.db2.gz DFWQKQFNVVCRAO-KOLCDFICSA-N 0 0 266.345 2.744 20 5 CFBDRN CN(Cc1cccs1)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157302363 523445444 /nfs/dbraw/zinc/44/54/44/523445444.db2.gz FDDZCYWOVQLTSM-UHFFFAOYSA-N 0 0 291.332 2.511 20 5 CFBDRN CN(Cc1cnccn1)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182218825 523503532 /nfs/dbraw/zinc/50/35/32/523503532.db2.gz IHRQVKCWEPLJQD-UHFFFAOYSA-N 0 0 292.726 2.670 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2cccc([N+](=O)[O-])c2NC)C1 ZINC000360955446 523712914 /nfs/dbraw/zinc/71/29/14/523712914.db2.gz RMPBVVXYWCSQKJ-HNNXBMFYSA-N 0 0 291.351 2.899 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000162642428 523736488 /nfs/dbraw/zinc/73/64/88/523736488.db2.gz SVOFUANFNNAVAR-NSHDSACASA-N 0 0 292.335 2.912 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@@H](Nc2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000364992751 523780231 /nfs/dbraw/zinc/78/02/31/523780231.db2.gz DZLTTXATIFGEQD-ZWKOPEQDSA-N 0 0 277.324 2.605 20 5 CFBDRN CC[C@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049217410 523834026 /nfs/dbraw/zinc/83/40/26/523834026.db2.gz QLJKKFZSVXUVDU-UWVGGRQHSA-N 0 0 250.298 2.818 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000340784739 523893145 /nfs/dbraw/zinc/89/31/45/523893145.db2.gz OTEZRIXAVJLGMK-NWDGAFQWSA-N 0 0 280.299 2.778 20 5 CFBDRN CN(C(=O)[C@H]1CCCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000336031664 523908372 /nfs/dbraw/zinc/90/83/72/523908372.db2.gz XCLCSVCGBHTMNW-JTQLQIEISA-N 0 0 280.349 2.701 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049397179 524091696 /nfs/dbraw/zinc/09/16/96/524091696.db2.gz AGDKYLVPUVZZPF-LLVKDONJSA-N 0 0 277.324 2.679 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000171850106 524091634 /nfs/dbraw/zinc/09/16/34/524091634.db2.gz MIVHBGCSNWZUIW-SNVBAGLBSA-N 0 0 277.324 2.583 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CSC2(C)C)cc([N+](=O)[O-])c1 ZINC000338495645 524135431 /nfs/dbraw/zinc/13/54/31/524135431.db2.gz XJWJMUZNBDUUPZ-LLVKDONJSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@H](CCCO)CNc1ccc([N+](=O)[O-])cc1F ZINC000294872725 524142590 /nfs/dbraw/zinc/14/25/90/524142590.db2.gz DIXMHKUECKZVEF-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN C[C@H]1CCC[C@H](c2noc(-c3c([N+](=O)[O-])cnn3C)n2)C1 ZINC000356186916 524211285 /nfs/dbraw/zinc/21/12/85/524211285.db2.gz KDZDFTYUZRANDT-IUCAKERBSA-N 0 0 291.311 2.672 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000157250104 524213140 /nfs/dbraw/zinc/21/31/40/524213140.db2.gz JBLZDOBSSJEZTK-KOLCDFICSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000339263634 524223592 /nfs/dbraw/zinc/22/35/92/524223592.db2.gz HUDYXPRNAXXISJ-NEPJUHHUSA-N 0 0 299.330 2.971 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000335231069 524241327 /nfs/dbraw/zinc/24/13/27/524241327.db2.gz GGYLZBOHYMBMNU-JTQLQIEISA-N 0 0 298.289 2.641 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000340781540 524316973 /nfs/dbraw/zinc/31/69/73/524316973.db2.gz VSUZWZHGXYFUMA-QMMMGPOBSA-N 0 0 298.289 2.672 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@H]2c2cccnc2)n1 ZINC000339098605 524337586 /nfs/dbraw/zinc/33/75/86/524337586.db2.gz RYNQZYNTLLEIHV-QWHCGFSZSA-N 0 0 284.319 2.970 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000335015471 524338474 /nfs/dbraw/zinc/33/84/74/524338474.db2.gz ZAHHTLMLCWKVQA-GHMZBOCLSA-N 0 0 280.299 2.832 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CC12CC2 ZINC000334995084 524339815 /nfs/dbraw/zinc/33/98/15/524339815.db2.gz OGVDVRLQTZVJHM-JTQLQIEISA-N 0 0 260.293 2.950 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2N)c1 ZINC000317352065 524372643 /nfs/dbraw/zinc/37/26/43/524372643.db2.gz RPJHHUWKIPXVEH-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000158417325 524420048 /nfs/dbraw/zinc/42/00/48/524420048.db2.gz MGAURLUSMDHMQF-ONGXEEELSA-N 0 0 263.297 2.905 20 5 CFBDRN Cc1cccc(CNC(=O)Nc2ccncc2[N+](=O)[O-])c1 ZINC000124615528 524423977 /nfs/dbraw/zinc/42/39/77/524423977.db2.gz DWALWMVYRZLLHD-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN C[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1N)c1ccccc1 ZINC000317572383 524468443 /nfs/dbraw/zinc/46/84/43/524468443.db2.gz CIVGKQITSOTRNJ-LLVKDONJSA-N 0 0 299.330 2.711 20 5 CFBDRN Cc1ccc(CN2CCc3ccc([N+](=O)[O-])cc3C2)nc1 ZINC000156805036 524477440 /nfs/dbraw/zinc/47/74/40/524477440.db2.gz BKIYHQOAYXBTGN-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000336338062 524485495 /nfs/dbraw/zinc/48/54/95/524485495.db2.gz ULKJPDDWHUFUOF-MRVPVSSYSA-N 0 0 268.700 2.730 20 5 CFBDRN C[C@@H](CCO)NC(=O)c1cc2ccccc2c2cccnc12 ZINC000305093071 524514926 /nfs/dbraw/zinc/51/49/26/524514926.db2.gz YYWBVBGPPPJHOO-LBPRGKRZSA-N 0 0 294.354 2.889 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000167218656 524572681 /nfs/dbraw/zinc/57/26/81/524572681.db2.gz AWBLSJVDDPLJBE-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000361439095 524585667 /nfs/dbraw/zinc/58/56/67/524585667.db2.gz GAQXNOZJGGUAJK-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000228131043 524639661 /nfs/dbraw/zinc/63/96/61/524639661.db2.gz GLJFEFHWTJZESC-ZJUUUORDSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1cccc(NCCN(C)CC(F)(F)F)c1[N+](=O)[O-] ZINC000338667566 524672787 /nfs/dbraw/zinc/67/27/87/524672787.db2.gz JJWBOAKDEOGPKI-UHFFFAOYSA-N 0 0 291.273 2.809 20 5 CFBDRN Cc1cccc(OCC(=O)N2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000124009875 524713184 /nfs/dbraw/zinc/71/31/84/524713184.db2.gz SWLJCBXVLUYHAU-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])o1)c1ccccc1 ZINC000069295966 524719546 /nfs/dbraw/zinc/71/95/46/524719546.db2.gz OEDNBWHMPMYOEB-JTQLQIEISA-N 0 0 274.276 2.721 20 5 CFBDRN Cc1ccc(Cn2cc([N+](=O)[O-])ccc2=O)c(Cl)c1 ZINC000336181376 524773101 /nfs/dbraw/zinc/77/31/01/524773101.db2.gz TYZVNRLOFKNDMG-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN C[C@@H](C[C@H]1CCCO1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000338715131 524857661 /nfs/dbraw/zinc/85/76/61/524857661.db2.gz FGSXYGNSAGVBFE-GXFFZTMASA-N 0 0 293.323 2.674 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)[C@H]2CCCC[C@H]12 ZINC000360548754 524867502 /nfs/dbraw/zinc/86/75/02/524867502.db2.gz XPYWFPMMUYEVHG-UTUOFQBUSA-N 0 0 291.351 2.584 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CC[C@@H]1CCCO1 ZINC000362517208 524901410 /nfs/dbraw/zinc/90/14/10/524901410.db2.gz XHPGLFJUKSRQEN-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)C[C@@H]1CCCO1 ZINC000334104140 524903433 /nfs/dbraw/zinc/90/34/33/524903433.db2.gz SBKONSCMIIWOMO-RYUDHWBXSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCc1ccsc1 ZINC000193159183 524904203 /nfs/dbraw/zinc/90/42/03/524904203.db2.gz FYCQMKVSVDLHFQ-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1cc(Cn2ccc3c2cccc3[N+](=O)[O-])on1 ZINC000135120183 524922898 /nfs/dbraw/zinc/92/28/98/524922898.db2.gz PHXPPERQGHRXOT-UHFFFAOYSA-N 0 0 257.249 2.894 20 5 CFBDRN C[C@@H](Cn1ccnc1)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000315381226 524964639 /nfs/dbraw/zinc/96/46/39/524964639.db2.gz SKVGZYOLIXOTTH-NSHDSACASA-N 0 0 297.318 2.840 20 5 CFBDRN C[C@H](NC(=O)C[C@@H]1C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000355179152 524982177 /nfs/dbraw/zinc/98/21/77/524982177.db2.gz MXRLUZVSAVVCFY-VWYCJHECSA-N 0 0 262.309 2.818 20 5 CFBDRN C[C@@H](Nc1ccncc1[N+](=O)[O-])c1ccc(Cl)cn1 ZINC000340893975 525034049 /nfs/dbraw/zinc/03/40/49/525034049.db2.gz FBBMYWRJLWQJTL-MRVPVSSYSA-N 0 0 278.699 2.633 20 5 CFBDRN C[C@H](NC(=O)NCCCCF)c1cccc([N+](=O)[O-])c1 ZINC000361474979 525063013 /nfs/dbraw/zinc/06/30/13/525063013.db2.gz JGGVUVKDAHSNIB-JTQLQIEISA-N 0 0 283.303 2.705 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000335964442 525204918 /nfs/dbraw/zinc/20/49/18/525204918.db2.gz AVKFDXYEDBIXAQ-ONGXEEELSA-N 0 0 281.287 2.915 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000334995072 525220432 /nfs/dbraw/zinc/22/04/32/525220432.db2.gz OBWNLNCUWJUTCB-SNVBAGLBSA-N 0 0 256.208 2.579 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1cccnc1 ZINC000078361662 525221029 /nfs/dbraw/zinc/22/10/29/525221029.db2.gz BAYOYZTTZAAZBJ-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2Cc3ccccc3O2)ccc1[N+](=O)[O-] ZINC000182414137 525221502 /nfs/dbraw/zinc/22/15/02/525221502.db2.gz XOUOFFLQGYEVLM-HNNXBMFYSA-N 0 0 298.298 2.845 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2ncccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000338483334 525237637 /nfs/dbraw/zinc/23/76/37/525237637.db2.gz DEELTWQKBDIFRS-ZSBIGDGJSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1cc(NCCC2CC(O)C2)c(F)cc1[N+](=O)[O-] ZINC000360071931 525267788 /nfs/dbraw/zinc/26/77/88/525267788.db2.gz LZBPJYXJAZGUNJ-UHFFFAOYSA-N 0 0 268.288 2.615 20 5 CFBDRN Cc1cc(NC[C@H]2CCC[C@H](CO)C2)ncc1[N+](=O)[O-] ZINC000312714395 525276762 /nfs/dbraw/zinc/27/67/62/525276762.db2.gz UAAWGNBMHPWTDJ-RYUDHWBXSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1cc(N[C@H](C)c2cc[nH]c(=O)c2)ncc1[N+](=O)[O-] ZINC000338733832 525299791 /nfs/dbraw/zinc/29/97/91/525299791.db2.gz NHADBLHYNIVHPS-SECBINFHSA-N 0 0 274.280 2.572 20 5 CFBDRN Cc1cc(OCc2ccc(CO)cc2)ccc1[N+](=O)[O-] ZINC000168689104 525373436 /nfs/dbraw/zinc/37/34/36/525373436.db2.gz XMRJYMBTQMAJHC-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN CSCCCCNc1ncc(C)cc1[N+](=O)[O-] ZINC000078665235 525438170 /nfs/dbraw/zinc/43/81/70/525438170.db2.gz BYEPIOAVHRAJLF-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@H]2OC)c1 ZINC000338692610 525450322 /nfs/dbraw/zinc/45/03/22/525450322.db2.gz KLWUTHPVFPRCRW-IINYFYTJSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1cccn(Cc2ccc([N+](=O)[O-])cc2Cl)c1=O ZINC000167330907 525452106 /nfs/dbraw/zinc/45/21/06/525452106.db2.gz NKYPZSPLEAZPTL-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@@H](CO)C2)c1 ZINC000315093294 525453215 /nfs/dbraw/zinc/45/32/15/525453215.db2.gz LBLDFAOYCKFLCU-NWDGAFQWSA-N 0 0 294.351 2.814 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1C[C@@H]1C ZINC000361334679 525468854 /nfs/dbraw/zinc/46/88/54/525468854.db2.gz HEDPFKWDVIFDKW-DTWKUNHWSA-N 0 0 264.281 2.588 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCC(C)(C)OC ZINC000230403142 525470706 /nfs/dbraw/zinc/47/07/06/525470706.db2.gz YKGRUXGYPSQNQD-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCC1(OC)CCC1 ZINC000338516371 525471938 /nfs/dbraw/zinc/47/19/38/525471938.db2.gz PBCGUCJPCBFKTB-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN CSCCN(C)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000228261924 525498212 /nfs/dbraw/zinc/49/82/12/525498212.db2.gz XRGVURHGSSLOHT-UHFFFAOYSA-N 0 0 268.338 2.597 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1CCO[C@@H]1C ZINC000334064162 525554525 /nfs/dbraw/zinc/55/45/25/525554525.db2.gz HCZZGSLZWOABAE-MNOVXSKESA-N 0 0 293.323 2.511 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H](C)Cn1cccn1 ZINC000049077612 525570065 /nfs/dbraw/zinc/57/00/65/525570065.db2.gz YYGDDFVFRWURQY-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000335802590 525588904 /nfs/dbraw/zinc/58/89/04/525588904.db2.gz PVOISQMWKQJBHI-SMDDNHRTSA-N 0 0 274.320 2.918 20 5 CFBDRN C[C@@H]1OCC[C@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235345367 525592544 /nfs/dbraw/zinc/59/25/44/525592544.db2.gz NXOOXRPQILACRV-NKWVEPMBSA-N 0 0 291.229 2.598 20 5 CFBDRN Cc1c(C(=O)N2CC[C@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000335802587 525593348 /nfs/dbraw/zinc/59/33/48/525593348.db2.gz PVOISQMWKQJBHI-BXUZGUMPSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1ccn(CCCc2ccsc2)c(=O)c1[N+](=O)[O-] ZINC000360937179 525601550 /nfs/dbraw/zinc/60/15/50/525601550.db2.gz YMNCDOIJRZXFHJ-UHFFFAOYSA-N 0 0 278.333 2.759 20 5 CFBDRN CSC[C@@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360526102 525609523 /nfs/dbraw/zinc/60/95/23/525609523.db2.gz RZUSKDQUXUVNRV-SECBINFHSA-N 0 0 282.365 2.519 20 5 CFBDRN Cc1ccnc(COc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000339197171 525654002 /nfs/dbraw/zinc/65/40/02/525654002.db2.gz DERDNTSWQSCFGD-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccnc(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)n1 ZINC000339306719 525662930 /nfs/dbraw/zinc/66/29/30/525662930.db2.gz WGFIGVAYWMPKPR-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN C[C@H](Nc1ccnc2c1cccc2[N+](=O)[O-])[C@H]1CCOC1 ZINC000166421747 525674107 /nfs/dbraw/zinc/67/41/07/525674107.db2.gz FZNCFEJSQOBLAR-QWRGUYRKSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1cc[nH]c1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000336154646 525709987 /nfs/dbraw/zinc/70/99/87/525709987.db2.gz ACZMJNSQXYYCNI-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)c1cn[nH]c1 ZINC000229871309 525711558 /nfs/dbraw/zinc/71/15/58/525711558.db2.gz BULDKHJUHSWEAB-SSDOTTSWSA-N 0 0 250.233 2.630 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2CCC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000360289426 525719690 /nfs/dbraw/zinc/71/96/90/525719690.db2.gz AAESSNQPSFQQME-JTQLQIEISA-N 0 0 298.289 2.955 20 5 CFBDRN Cc1c(CNc2ccnc3c2cccc3[N+](=O)[O-])cnn1C ZINC000318846864 525730446 /nfs/dbraw/zinc/73/04/46/525730446.db2.gz HQGXCXLUPGLHTM-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1ccoc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000073304045 525775885 /nfs/dbraw/zinc/77/58/85/525775885.db2.gz CLJOGSJKRQIZBF-UHFFFAOYSA-N 0 0 260.249 2.773 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])c2cccnc12)C1CC1 ZINC000314442400 525779300 /nfs/dbraw/zinc/77/93/00/525779300.db2.gz XLXVYEJAHBWLAU-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H](O)COc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000083676489 525788104 /nfs/dbraw/zinc/78/81/04/525788104.db2.gz BXRMPVOKCOTVGZ-YFKPBYRVSA-N 0 0 266.080 2.661 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000130342988 525836170 /nfs/dbraw/zinc/83/61/70/525836170.db2.gz MYFCVLJTOBCTOO-VHSXEESVSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1c(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000246451163 525858444 /nfs/dbraw/zinc/85/84/44/525858444.db2.gz LUOAJBCQWJKXRO-IWIIMEHWSA-N 0 0 260.293 2.888 20 5 CFBDRN Cc1c(NCCn2cc(Cl)cn2)cccc1[N+](=O)[O-] ZINC000311867792 525868844 /nfs/dbraw/zinc/86/88/44/525868844.db2.gz YPHSTIJZONXHBI-UHFFFAOYSA-N 0 0 280.715 2.865 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2OC[C@H]3CCC[C@H]23)cccc1[N+](=O)[O-] ZINC000367988310 525880940 /nfs/dbraw/zinc/88/09/40/525880940.db2.gz RPRFGPCDCHKDTA-UHIISALHSA-N 0 0 291.303 2.624 20 5 CFBDRN CS[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000335009276 525912561 /nfs/dbraw/zinc/91/25/61/525912561.db2.gz VCUWHKDZHOHWCO-NSHDSACASA-N 0 0 294.376 2.789 20 5 CFBDRN Cc1c([C@H]2C[C@H]2Nc2cc(C)ccc2[N+](=O)[O-])cnn1C ZINC000339094331 525928127 /nfs/dbraw/zinc/92/81/27/525928127.db2.gz HOCAMUCABCFCAF-DGCLKSJQSA-N 0 0 286.335 2.913 20 5 CFBDRN Cc1cn2cc(Nc3ncc(C)cc3[N+](=O)[O-])ccc2n1 ZINC000361259535 525954166 /nfs/dbraw/zinc/95/41/66/525954166.db2.gz BQJOOHYLHIJITD-UHFFFAOYSA-N 0 0 283.291 2.998 20 5 CFBDRN COc1cccc(CNc2nc(C)ccc2[N+](=O)[O-])c1 ZINC000162717670 526044077 /nfs/dbraw/zinc/04/40/77/526044077.db2.gz HVNSHFUHAOZJPU-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H](C)C2)c1 ZINC000157235456 526053804 /nfs/dbraw/zinc/05/38/04/526053804.db2.gz FNPVLZVBMUVVDO-MWLCHTKSSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(C)CCOCC2)n1 ZINC000223012214 526059862 /nfs/dbraw/zinc/05/98/62/526059862.db2.gz PQVDJSMCCDFMMI-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)Cc2ccncc2)n1 ZINC000360872651 526062441 /nfs/dbraw/zinc/06/24/41/526062441.db2.gz PJXREXKEAFHJKX-LLVKDONJSA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nnc(C(C)C)s2)n1 ZINC000360869767 526062588 /nfs/dbraw/zinc/06/25/88/526062588.db2.gz GIBPXXHEJBWXKD-UHFFFAOYSA-N 0 0 293.352 2.885 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCC(C)(C)C)c1 ZINC000067473734 526065290 /nfs/dbraw/zinc/06/52/90/526065290.db2.gz IJDJEWFOIYFTEB-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCC(C)C ZINC000049398013 526072644 /nfs/dbraw/zinc/07/26/44/526072644.db2.gz PWFVYIHIPOXMEJ-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@@H](C)C1 ZINC000157075180 526073442 /nfs/dbraw/zinc/07/34/42/526073442.db2.gz HVGWWDIYBUSRJT-KOLCDFICSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CC=CCC1 ZINC000049412770 526073675 /nfs/dbraw/zinc/07/36/75/526073675.db2.gz DGUVLHKMMNYHQR-LBPRGKRZSA-N 0 0 274.320 2.989 20 5 CFBDRN Cc1cnc(NC(=O)NCc2cccc([N+](=O)[O-])c2C)o1 ZINC000361982132 526082215 /nfs/dbraw/zinc/08/22/15/526082215.db2.gz ITVUZHCUUFQTAF-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)CC1(F)F ZINC000334994376 526082501 /nfs/dbraw/zinc/08/25/01/526082501.db2.gz NARUTKKVVRRJKJ-NSHDSACASA-N 0 0 270.235 2.887 20 5 CFBDRN Cc1cnc(N[C@H](CO)c2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000361270836 526096317 /nfs/dbraw/zinc/09/63/17/526096317.db2.gz GVPAPQZCTQOJJB-GFCCVEGCSA-N 0 0 291.282 2.583 20 5 CFBDRN CSc1cccc(N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000338390849 526106320 /nfs/dbraw/zinc/10/63/20/526106320.db2.gz YHDRUAIDQWZQHU-UHFFFAOYSA-N 0 0 291.332 2.921 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000335044586 526140784 /nfs/dbraw/zinc/14/07/84/526140784.db2.gz KVVWSVWFXLHCHX-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1cncc(C(=O)NCc2cccc([N+](=O)[O-])c2C)c1 ZINC000360514435 526181970 /nfs/dbraw/zinc/18/19/70/526181970.db2.gz ILQCKZAIGDTFGZ-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1cncc(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000124059662 526202113 /nfs/dbraw/zinc/20/21/13/526202113.db2.gz UFIMBNZOBZCJHN-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN COc1cccc(NCCC2CCOCC2)c1[N+](=O)[O-] ZINC000312731177 526208001 /nfs/dbraw/zinc/20/80/01/526208001.db2.gz JHPWRCSUBZTIFR-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN COc1cccc(NCC2(CCO)CCC2)c1[N+](=O)[O-] ZINC000339201048 526208228 /nfs/dbraw/zinc/20/82/28/526208228.db2.gz ICUCHGAMEFJKBI-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN Cc1cncc(NC(=O)c2c(C)cccc2[N+](=O)[O-])c1 ZINC000194811716 526209482 /nfs/dbraw/zinc/20/94/82/526209482.db2.gz ZGHKQBNWIFNBBJ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CS[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000334802939 526215780 /nfs/dbraw/zinc/21/57/80/526215780.db2.gz IZJYRJNZJNNGTD-GXSJLCMTSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000335198221 526221770 /nfs/dbraw/zinc/22/17/70/526221770.db2.gz NNSIDXOOCPUZQG-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1ccc(C(N)=O)c(OCc2cccc([N+](=O)[O-])c2)c1 ZINC000054248549 526251745 /nfs/dbraw/zinc/25/17/45/526251745.db2.gz ODQWBSYBOHJGPO-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN Cc1csc(CN2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000088616533 526345618 /nfs/dbraw/zinc/34/56/18/526345618.db2.gz YUETUWFQXWESJL-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])NCc1ccccc1 ZINC000340879170 526432280 /nfs/dbraw/zinc/43/22/80/526432280.db2.gz QMRAKNHIFRSZOH-UHFFFAOYSA-N 0 0 299.330 2.637 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1ccccc1[N+](=O)[O-] ZINC000049275207 526586200 /nfs/dbraw/zinc/58/62/00/526586200.db2.gz FFGSFKFRPMLSHY-NSHDSACASA-N 0 0 260.293 2.681 20 5 CFBDRN O=C(NC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1Cl ZINC000049251608 526591557 /nfs/dbraw/zinc/59/15/57/526591557.db2.gz JNDWDVGMQJKGHL-SNVBAGLBSA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cncc(F)c1 ZINC000341551510 526604737 /nfs/dbraw/zinc/60/47/37/526604737.db2.gz SIIUCCWFNRAHDZ-UHFFFAOYSA-N 0 0 289.266 2.700 20 5 CFBDRN CC(C)(C)[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000413996313 526666989 /nfs/dbraw/zinc/66/69/89/526666989.db2.gz UVNATRIATACESN-NXEZZACHSA-N 0 0 279.340 2.868 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCC12CCCCC2 ZINC000334276176 526713826 /nfs/dbraw/zinc/71/38/26/526713826.db2.gz CJIZJIVXGXLNFO-UHFFFAOYSA-N 0 0 277.324 2.862 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCc2cc(F)ccc21 ZINC000336259377 526715353 /nfs/dbraw/zinc/71/53/53/526715353.db2.gz BJMUXYGCYAPZBI-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN O=C(NCc1cccc(F)c1)c1csc([N+](=O)[O-])c1 ZINC000044484050 526719368 /nfs/dbraw/zinc/71/93/68/526719368.db2.gz HPSHIUHFADJUJI-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN Cc1nc(C)c(NC(=O)Cc2cccc([N+](=O)[O-])c2)s1 ZINC000340818833 526733607 /nfs/dbraw/zinc/73/36/07/526733607.db2.gz JDJQKDVADWVHNL-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN O=C(NCc1ccccc1)c1ccc([N+](=O)[O-])cc1F ZINC000054056958 526738283 /nfs/dbraw/zinc/73/82/83/526738283.db2.gz SEBXXQXAOSPODU-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN Cc1occc1CN(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000049225002 526820987 /nfs/dbraw/zinc/82/09/87/526820987.db2.gz OXZOMVHZAKZAFM-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN Cc1nc(CN(C)C(=O)c2ccccc2[N+](=O)[O-])cs1 ZINC000049413347 526837848 /nfs/dbraw/zinc/83/78/48/526837848.db2.gz IXKIJRWBPQNDCY-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])s1 ZINC000335417868 526901675 /nfs/dbraw/zinc/90/16/75/526901675.db2.gz LUZMBRKVTHVXMX-HTQZYQBOSA-N 0 0 272.301 2.667 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])Nc1cccc(F)c1 ZINC000067703979 526902215 /nfs/dbraw/zinc/90/22/15/526902215.db2.gz OBYREMXCAZPJNH-UHFFFAOYSA-N 0 0 289.266 2.785 20 5 CFBDRN Cc1nc(COc2cc(C=O)ccc2[N+](=O)[O-])oc1C ZINC000050517444 526905853 /nfs/dbraw/zinc/90/58/53/526905853.db2.gz RNYQDBVNZHJIHN-UHFFFAOYSA-N 0 0 276.248 2.591 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@@H]21 ZINC000336111291 526907354 /nfs/dbraw/zinc/90/73/54/526907354.db2.gz VJXDODMHKIRTIK-WPRPVWTQSA-N 0 0 266.322 2.671 20 5 CFBDRN Cc1nc(COc2ccc([N+](=O)[O-])c(F)c2)oc1C ZINC000049373640 526908652 /nfs/dbraw/zinc/90/86/52/526908652.db2.gz TWGZLIAGPVNFNN-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN Cc1nc(Cn2cnc(-c3ccc([N+](=O)[O-])cc3)n2)oc1C ZINC000339221534 526943293 /nfs/dbraw/zinc/94/32/93/526943293.db2.gz GWPVUQJLTUCFLQ-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H](F)C1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000336401391 526948286 /nfs/dbraw/zinc/94/82/86/526948286.db2.gz UFTTYXMMDGMSJD-RKDXNWHRSA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(COc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000068044143 526957838 /nfs/dbraw/zinc/95/78/38/526957838.db2.gz YBCSPPHQPZCMJM-UHFFFAOYSA-N 0 0 255.657 2.606 20 5 CFBDRN Cc1nc(NC[C@H]2CCC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000312705818 526998664 /nfs/dbraw/zinc/99/86/64/526998664.db2.gz SEKYWRKFMDDXJE-NWDGAFQWSA-N 0 0 279.340 2.509 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3C[C@@H]3C3CC3)cc2N1 ZINC000362365102 526999094 /nfs/dbraw/zinc/99/90/94/526999094.db2.gz MSHPXORCSQVYPH-PWSUYJOCSA-N 0 0 287.319 2.690 20 5 CFBDRN NC(=O)[C@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000150897655 527006172 /nfs/dbraw/zinc/00/61/72/527006172.db2.gz RGGJPFNMVODCSF-IUCAKERBSA-N 0 0 297.742 2.704 20 5 CFBDRN NC(=O)c1ccc(NCC23CCC(CC2)C3)c([N+](=O)[O-])c1 ZINC000339695421 527066108 /nfs/dbraw/zinc/06/61/08/527066108.db2.gz LROFGTLIFXNZOX-UHFFFAOYSA-N 0 0 289.335 2.686 20 5 CFBDRN NC(=O)c1ccc(N[C@@H]2CC3CCC2CC3)c([N+](=O)[O-])c1 ZINC000314921452 527068293 /nfs/dbraw/zinc/06/82/93/527068293.db2.gz MNAVDGRPQLPEFB-SRHKJQAYSA-N 0 0 289.335 2.684 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000336056270 527123394 /nfs/dbraw/zinc/12/33/94/527123394.db2.gz ARUMUVLOCMZDJX-AOOOYVTPSA-N 0 0 293.298 2.998 20 5 CFBDRN CC(C)(CNc1ncccc1[N+](=O)[O-])OCC1CC1 ZINC000413518941 527186491 /nfs/dbraw/zinc/18/64/91/527186491.db2.gz VGGVBOLIGGHMPF-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@H]1F ZINC000334497640 527260721 /nfs/dbraw/zinc/26/07/21/527260721.db2.gz HGJHDJUSNSGQEH-MNOVXSKESA-N 0 0 267.260 2.607 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ocnc1C1CC1 ZINC000361026756 527261404 /nfs/dbraw/zinc/26/14/04/527261404.db2.gz JQRNUGVWORCBKA-UHFFFAOYSA-N 0 0 273.248 2.713 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1CC12CC2 ZINC000335058399 527261774 /nfs/dbraw/zinc/26/17/74/527261774.db2.gz SQBNDFVJOYHAFN-JTQLQIEISA-N 0 0 281.699 2.922 20 5 CFBDRN Cn1cc(OCc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000227962640 527281520 /nfs/dbraw/zinc/28/15/20/527281520.db2.gz UKAXLDKKTWZJEU-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN CC(C)(NC(=O)c1cc[nH]c1)c1ccc([N+](=O)[O-])cc1 ZINC000176494220 527283567 /nfs/dbraw/zinc/28/35/67/527283567.db2.gz KRWNVUKQVWGTIU-UHFFFAOYSA-N 0 0 273.292 2.588 20 5 CFBDRN Cc1ncc(NC(=O)c2cccc([N+](=O)[O-])c2)c(C2CC2)n1 ZINC000339201582 527301513 /nfs/dbraw/zinc/30/15/13/527301513.db2.gz PXRRQQUBJNQUNC-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(F)cc1 ZINC000055750540 527311318 /nfs/dbraw/zinc/31/13/18/527311318.db2.gz SOMZEVLCGBYRLK-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC2(CCCC2)C1 ZINC000173754092 527311461 /nfs/dbraw/zinc/31/14/61/527311461.db2.gz QVXJUFOCNYILPU-UHFFFAOYSA-N 0 0 289.335 2.705 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1noc(C2CCCCC2)n1 ZINC000159656142 527316025 /nfs/dbraw/zinc/31/60/25/527316025.db2.gz MPOGWLBGUXLHAX-UHFFFAOYSA-N 0 0 291.311 2.579 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1cc2ccccc2[nH]1 ZINC000361047467 527322843 /nfs/dbraw/zinc/32/28/43/527322843.db2.gz YFELJDKGRBGHLQ-UHFFFAOYSA-N 0 0 282.303 2.548 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC[C@H]2OCC[C@H]2C1 ZINC000365869478 527332750 /nfs/dbraw/zinc/33/27/50/527332750.db2.gz GBWJMMZSHKBJHQ-SMDDNHRTSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@H]2c2ncon2)c(F)c1 ZINC000370878917 527335240 /nfs/dbraw/zinc/33/52/40/527335240.db2.gz IMUOIRHMGFKMSW-JTQLQIEISA-N 0 0 296.233 2.598 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCC[C@H]2CCOC2)c1 ZINC000236218316 527336805 /nfs/dbraw/zinc/33/68/05/527336805.db2.gz VDXVLKVDVQWAMP-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H](CO)CC1CCC1 ZINC000360115283 527338254 /nfs/dbraw/zinc/33/82/54/527338254.db2.gz LJGFIWUDGOQART-NSHDSACASA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCCO)c(Cl)c1 ZINC000228725108 527363420 /nfs/dbraw/zinc/36/34/20/527363420.db2.gz CPWVTAULDPHQJH-UHFFFAOYSA-N 0 0 258.705 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(-n3cncn3)c2)cc1 ZINC000377722825 527367153 /nfs/dbraw/zinc/36/71/53/527367153.db2.gz MNCXFWWREDMNDP-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COc3ccccc3[C@@H]2O)cc1 ZINC000377180802 527367791 /nfs/dbraw/zinc/36/77/91/527367791.db2.gz XUMIFABNFYMVRF-ZFWWWQNUSA-N 0 0 286.287 2.501 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCc2ncccc2C1 ZINC000361652305 527373252 /nfs/dbraw/zinc/37/32/52/527373252.db2.gz LAKWLVFAJPISHF-UHFFFAOYSA-N 0 0 298.302 2.580 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ocnc1C1CC1 ZINC000361033600 527374591 /nfs/dbraw/zinc/37/45/91/527374591.db2.gz UCAXRRHHBSVFGS-UHFFFAOYSA-N 0 0 273.248 2.713 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)NC1CCCC1 ZINC000065713058 527379393 /nfs/dbraw/zinc/37/93/93/527379393.db2.gz PWLZATUOJLWKDS-UHFFFAOYSA-N 0 0 287.319 2.608 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3CCC[C@@H](O)C3)n2)c1 ZINC000314268713 527383476 /nfs/dbraw/zinc/38/34/76/527383476.db2.gz XNGIGGNNZUOHIB-ZYHUDNBSSA-N 0 0 289.291 2.663 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CSCCCO ZINC000085163884 527387786 /nfs/dbraw/zinc/38/77/86/527387786.db2.gz CQOSBBBTDNBKJJ-UHFFFAOYSA-N 0 0 261.730 2.864 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCCOCC(F)(F)F ZINC000185019843 527388943 /nfs/dbraw/zinc/38/89/43/527388943.db2.gz JQZOXJXVFPFOKI-UHFFFAOYSA-N 0 0 283.177 2.692 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2cn(-c3ccccc3)nn2)c1 ZINC000338845241 527393239 /nfs/dbraw/zinc/39/32/39/527393239.db2.gz FUQBKVOHFGKIHB-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@@H]3CCCO3)ccnc21 ZINC000214726956 527395531 /nfs/dbraw/zinc/39/55/31/527395531.db2.gz YHPMWYZSLRIFQM-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2cnccn2)no1 ZINC000255559217 527397143 /nfs/dbraw/zinc/39/71/43/527397143.db2.gz CWZFRIPRUBJVKM-WAYWQWQTSA-N 0 0 295.258 2.605 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cccc(-c2nc[nH]n2)c1 ZINC000168778890 527400763 /nfs/dbraw/zinc/40/07/63/527400763.db2.gz WREXQWKXUHFWAZ-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCC[C@H]1CCCC[C@H]1O ZINC000154455569 527405092 /nfs/dbraw/zinc/40/50/92/527405092.db2.gz NFCQRMBICDOIPI-DGCLKSJQSA-N 0 0 279.340 2.733 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@H](Oc2ccccc2)C1 ZINC000374224077 527405668 /nfs/dbraw/zinc/40/56/68/527405668.db2.gz QOMQNKFSWOUBNZ-ZDUSSCGKSA-N 0 0 285.303 2.648 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cccc(C(F)(F)F)c2)cn1 ZINC000247334133 527407976 /nfs/dbraw/zinc/40/79/76/527407976.db2.gz QCZOTXDTMVMVRY-UHFFFAOYSA-N 0 0 271.198 2.858 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOC(F)(F)F)c(Cl)c1 ZINC000352367703 527409952 /nfs/dbraw/zinc/40/99/52/527409952.db2.gz QDTOSVNETLSJAF-UHFFFAOYSA-N 0 0 285.609 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2CCSCC2)s1 ZINC000226776679 527410275 /nfs/dbraw/zinc/41/02/75/527410275.db2.gz YWEQEQUFVFPBIC-UHFFFAOYSA-N 0 0 259.356 2.606 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCC[C@H]2n2cccn2)s1 ZINC000192474219 527411105 /nfs/dbraw/zinc/41/11/05/527411105.db2.gz VWAYWRVRFIVRAL-VHSXEESVSA-N 0 0 293.352 2.844 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCCOc1ccc(F)cc1 ZINC000071793770 527417350 /nfs/dbraw/zinc/41/73/50/527417350.db2.gz ZWRIDTXGBDIMKQ-UHFFFAOYSA-N 0 0 292.266 2.977 20 5 CFBDRN Cc1nccnc1[C@@H](C)NCc1cccc([N+](=O)[O-])c1C ZINC000235190744 527454353 /nfs/dbraw/zinc/45/43/53/527454353.db2.gz SDBUCPOCPLOHRQ-GFCCVEGCSA-N 0 0 286.335 2.852 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1ccc2ncccc2c1 ZINC000049390974 527491528 /nfs/dbraw/zinc/49/15/28/527491528.db2.gz OUNINJLRIQRBLI-UHFFFAOYSA-N 0 0 270.248 2.669 20 5 CFBDRN O=c1oc2ccccc2n1Cc1ccc([N+](=O)[O-])cc1F ZINC000313806962 527501353 /nfs/dbraw/zinc/50/13/53/527501353.db2.gz AKIBDAPUYWQNET-UHFFFAOYSA-N 0 0 288.234 2.690 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(F)c1F ZINC000050705770 527504178 /nfs/dbraw/zinc/50/41/78/527504178.db2.gz UVFBJDPUOFOOGN-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CCC1(CNC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)CC1 ZINC000427300017 527740815 /nfs/dbraw/zinc/74/08/15/527740815.db2.gz RMRITFZZBPFNNJ-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN CCC[C@H](C)C[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000426823973 528039659 /nfs/dbraw/zinc/03/96/59/528039659.db2.gz KUKXGOQCYIXLLK-FZMZJTMJSA-N 0 0 294.351 2.964 20 5 CFBDRN CCO[C@H](CCNc1ncc([N+](=O)[O-])cc1F)C(C)C ZINC000413173100 528051180 /nfs/dbraw/zinc/05/11/80/528051180.db2.gz CBMRYMRAXZSCLK-GFCCVEGCSA-N 0 0 285.319 2.992 20 5 CFBDRN CCC[C@H](O)CNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413132439 528262842 /nfs/dbraw/zinc/26/28/42/528262842.db2.gz GNEFVNQVAGWZOQ-QMMMGPOBSA-N 0 0 293.245 2.582 20 5 CFBDRN CCOc1cc(OCCC2(O)CCC2)ccc1[N+](=O)[O-] ZINC000413008365 528333659 /nfs/dbraw/zinc/33/36/59/528333659.db2.gz XCESEGBHDRPFHW-UHFFFAOYSA-N 0 0 281.308 2.677 20 5 CFBDRN CCCNC(=O)CCCNc1cc([N+](=O)[O-])c(C)cc1C ZINC000416986891 528362862 /nfs/dbraw/zinc/36/28/62/528362862.db2.gz JMMLJWBWXQIHPF-UHFFFAOYSA-N 0 0 293.367 2.930 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000413405934 528413582 /nfs/dbraw/zinc/41/35/82/528413582.db2.gz FZBJQBLLDKIGPR-BXKDBHETSA-N 0 0 277.324 2.540 20 5 CFBDRN CCCCOCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000176495427 528575592 /nfs/dbraw/zinc/57/55/92/528575592.db2.gz GABVFAXFSQJZSF-UHFFFAOYSA-N 0 0 294.351 2.763 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C1CCC1 ZINC000281442336 528613753 /nfs/dbraw/zinc/61/37/53/528613753.db2.gz RLCGEJIQTFOTNA-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC12CC2 ZINC000421533507 528614752 /nfs/dbraw/zinc/61/47/52/528614752.db2.gz ACWYAFULDVKCGZ-JTQLQIEISA-N 0 0 276.292 2.732 20 5 CFBDRN CCC(CC)[C@H](O)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000185403182 528866644 /nfs/dbraw/zinc/86/66/44/528866644.db2.gz FZGGYQYGNZLTFU-GFCCVEGCSA-N 0 0 282.340 2.812 20 5 CFBDRN CCN(Cc1occc1C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000413988990 528914483 /nfs/dbraw/zinc/91/44/83/528914483.db2.gz ZRQIXWBMAPMWBM-UHFFFAOYSA-N 0 0 278.264 2.752 20 5 CFBDRN CCCC[C@H](COC)Nc1ncc([N+](=O)[O-])cc1F ZINC000413158667 528969327 /nfs/dbraw/zinc/96/93/27/528969327.db2.gz RZMJBBVZODEWTB-SECBINFHSA-N 0 0 271.292 2.746 20 5 CFBDRN CCC1(C(=O)NCc2ccccc2[N+](=O)[O-])CCC1 ZINC000176755453 529002813 /nfs/dbraw/zinc/00/28/13/529002813.db2.gz ITJIWEHNAUTAAN-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cnc1C)C1CC(C)(C)C1 ZINC000413991727 529075883 /nfs/dbraw/zinc/07/58/83/529075883.db2.gz RPYRRXRBCPHJKX-UHFFFAOYSA-N 0 0 291.351 2.949 20 5 CFBDRN CC1(C)CN(Cc2ccc([N+](=O)[O-])cc2)CC(C)(C)O1 ZINC000190524021 529124250 /nfs/dbraw/zinc/12/42/50/529124250.db2.gz NTTJYCKZSNRBMZ-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@@H](C)CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000421597380 529321090 /nfs/dbraw/zinc/32/10/90/529321090.db2.gz VEKDDZCEHJPANN-LLVKDONJSA-N 0 0 264.325 2.690 20 5 CFBDRN CC[C@@H](C)CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000421586179 529325502 /nfs/dbraw/zinc/32/55/02/529325502.db2.gz DVRVBMQLICQRAO-ZJUUUORDSA-N 0 0 282.315 3.000 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000421925180 529326232 /nfs/dbraw/zinc/32/62/32/529326232.db2.gz XKPYRWUDHUTWQM-SECBINFHSA-N 0 0 275.308 2.852 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1ccc([N+](=O)[O-])c(N)c1F)C1CC1 ZINC000450863261 536552784 /nfs/dbraw/zinc/55/27/84/536552784.db2.gz SSQXOBCRKXCWFL-CPFSXVBKSA-N 0 0 283.303 2.542 20 5 CFBDRN CC(C)(CF)NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492654667 535057614 /nfs/dbraw/zinc/05/76/14/535057614.db2.gz WHILSXMKWCVBOB-XQRVVYSFSA-N 0 0 284.262 2.611 20 5 CFBDRN CC(C)(C)[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000487076884 535100238 /nfs/dbraw/zinc/10/02/38/535100238.db2.gz SWTAWTOMBRIREV-ZYHUDNBSSA-N 0 0 279.340 2.995 20 5 CFBDRN CC(C)SCCNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492801974 535106471 /nfs/dbraw/zinc/10/64/71/535106471.db2.gz RITPGSUXXSVBOS-FPLPWBNLSA-N 0 0 294.376 2.866 20 5 CFBDRN CC1(CNC(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000492103836 535122118 /nfs/dbraw/zinc/12/21/18/535122118.db2.gz PWRDTYOCRPASSR-HYXAFXHYSA-N 0 0 278.283 2.663 20 5 CFBDRN CC(C)(CO)CCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450628651 535167996 /nfs/dbraw/zinc/16/79/96/535167996.db2.gz MHVCDAZHOCDGHT-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN CC1(NC(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000492300395 535175817 /nfs/dbraw/zinc/17/58/17/535175817.db2.gz DKDBUSPKKHCGBS-XQRVVYSFSA-N 0 0 278.283 2.806 20 5 CFBDRN CC(C)OC(=O)c1sc(Br)cc1[N+](=O)[O-] ZINC000447200140 535316230 /nfs/dbraw/zinc/31/62/30/535316230.db2.gz NZJYBCFLGFGSRG-UHFFFAOYSA-N 0 0 294.126 2.984 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000457350739 535366902 /nfs/dbraw/zinc/36/69/02/535366902.db2.gz ZKTVKHQZVJLNQG-UHFFFAOYSA-N 0 0 286.353 2.591 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H]2C2CC2)c1 ZINC000452978009 535427237 /nfs/dbraw/zinc/42/72/37/535427237.db2.gz AYAYZELPGABIBR-ZDUSSCGKSA-N 0 0 290.319 2.618 20 5 CFBDRN CCOc1cccc(NCCC2CC(O)C2)c1[N+](=O)[O-] ZINC000432879448 535470004 /nfs/dbraw/zinc/47/00/04/535470004.db2.gz PLGLPFQHFMXCOA-UHFFFAOYSA-N 0 0 280.324 2.566 20 5 CFBDRN CC[C@@H](N[C@H]1CCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000453141830 535809126 /nfs/dbraw/zinc/80/91/26/535809126.db2.gz UBLIOECQMYPXHT-KGLIPLIRSA-N 0 0 286.335 2.977 20 5 CFBDRN CC[C@@H](O)CCCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450349377 535810414 /nfs/dbraw/zinc/81/04/14/535810414.db2.gz NYUUSICVQCUEOQ-LLVKDONJSA-N 0 0 289.335 2.528 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(F)F ZINC000452259183 535880336 /nfs/dbraw/zinc/88/03/36/535880336.db2.gz YNXDJCAWHLLMTC-VIFPVBQESA-N 0 0 272.251 2.677 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000450118456 535905690 /nfs/dbraw/zinc/90/56/90/535905690.db2.gz NBRQBMAYXZSANJ-ZWNOBZJWSA-N 0 0 276.336 2.992 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000452765842 535915882 /nfs/dbraw/zinc/91/58/82/535915882.db2.gz LHCLDVVSEZAZFW-BDAKNGLRSA-N 0 0 268.338 2.741 20 5 CFBDRN CN(C(=O)CSC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000450990252 535999261 /nfs/dbraw/zinc/99/92/61/535999261.db2.gz GXGAPAPSVFRBCX-UHFFFAOYSA-N 0 0 280.349 2.843 20 5 CFBDRN CCc1n[nH]c(C(=O)NC2(CC)CCCCC2)c1[N+](=O)[O-] ZINC000456225883 536002527 /nfs/dbraw/zinc/00/25/27/536002527.db2.gz YSCVXBBPRAXECK-UHFFFAOYSA-N 0 0 294.355 2.723 20 5 CFBDRN CC[C@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)C(F)F ZINC000452247977 536156943 /nfs/dbraw/zinc/15/69/43/536156943.db2.gz QHGDCHLMLOQLGZ-NSHDSACASA-N 0 0 286.278 2.687 20 5 CFBDRN COC(=O)Cc1ccc(NC2CC(C)(F)C2)c([N+](=O)[O-])c1 ZINC000450582660 536366971 /nfs/dbraw/zinc/36/69/71/536366971.db2.gz SKOVWWDZNNNCHX-UHFFFAOYSA-N 0 0 296.298 2.613 20 5 CFBDRN COC[C@@H]1CCCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000269643313 536762229 /nfs/dbraw/zinc/76/22/29/536762229.db2.gz OKTJCJJIKXSMOT-SECBINFHSA-N 0 0 286.278 2.736 20 5 CFBDRN CO[C@@H](C)CCC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000181426806 536860454 /nfs/dbraw/zinc/86/04/54/536860454.db2.gz RTLVOQGSZYMLSI-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C(C)C)C2)c1 ZINC000446323674 536949795 /nfs/dbraw/zinc/94/97/95/536949795.db2.gz UMLALNBZFRPBDU-NSHDSACASA-N 0 0 292.335 2.722 20 5 CFBDRN O=C([C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCC=C(F)C1 ZINC000935219931 649888201 /nfs/dbraw/zinc/88/82/01/649888201.db2.gz TYENVVPVHUYVRV-KBPBESRZSA-N 0 0 290.294 2.784 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])N1CC=CCC1 ZINC000935281630 649893926 /nfs/dbraw/zinc/89/39/26/649893926.db2.gz QIQFIHRPWDJKMI-UHFFFAOYSA-N 0 0 294.738 2.969 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC=CCC1 ZINC000935281032 649894080 /nfs/dbraw/zinc/89/40/80/649894080.db2.gz GFPSWKPJTIDSLY-UHFFFAOYSA-N 0 0 278.333 2.719 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC1(Cl)Cl ZINC000727436627 574050401 /nfs/dbraw/zinc/05/04/01/574050401.db2.gz KZCOMMXKMFCMOP-QMMMGPOBSA-N 0 0 290.102 2.980 20 5 CFBDRN Cc1ccc(/C=C\C(=O)Oc2cccc([N+](=O)[O-])c2)cn1 ZINC000753993239 574061879 /nfs/dbraw/zinc/06/18/79/574061879.db2.gz GSLJYAXWZPGGKX-FPLPWBNLSA-N 0 0 284.271 2.917 20 5 CFBDRN O=C(OCCC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000744281758 574063855 /nfs/dbraw/zinc/06/38/55/574063855.db2.gz BUGOAHNICVHGAA-UHFFFAOYSA-N 0 0 253.229 2.691 20 5 CFBDRN O=C(COC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C1CCC1 ZINC000754055294 574066993 /nfs/dbraw/zinc/06/69/93/574066993.db2.gz NCOQCSGOOBSUQM-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN CC[C@@H]1CCCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000727826335 574067320 /nfs/dbraw/zinc/06/73/20/574067320.db2.gz PBWQZZGAYYBWPU-SNVBAGLBSA-N 0 0 260.297 2.621 20 5 CFBDRN C[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000744337683 574068764 /nfs/dbraw/zinc/06/87/64/574068764.db2.gz OIPRWXMEYPKTAY-YFKPBYRVSA-N 0 0 281.161 2.842 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@@H]1CCCS1 ZINC000744362797 574071329 /nfs/dbraw/zinc/07/13/29/574071329.db2.gz MINZHIJXEVIXNV-NSHDSACASA-N 0 0 285.296 2.673 20 5 CFBDRN CCCC[C@H](CCC)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000730298503 574071773 /nfs/dbraw/zinc/07/17/73/574071773.db2.gz NMAGKBLFTIFCDK-NSHDSACASA-N 0 0 283.328 2.693 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@H]1CCCCO1)CC2 ZINC000754113441 574073846 /nfs/dbraw/zinc/07/38/46/574073846.db2.gz JGGHIEZRCSLXGT-CYBMUJFWSA-N 0 0 276.336 2.835 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CC(=O)OC(C)(C)C)CC2 ZINC000754114351 574074381 /nfs/dbraw/zinc/07/43/81/574074381.db2.gz NXULHKGJXWBUAT-UHFFFAOYSA-N 0 0 292.335 2.607 20 5 CFBDRN CCc1cnc(COC(=O)c2ccc([N+](=O)[O-])s2)o1 ZINC000744460468 574075754 /nfs/dbraw/zinc/07/57/54/574075754.db2.gz HYISUTBKCLEQLY-UHFFFAOYSA-N 0 0 282.277 2.564 20 5 CFBDRN O=C(COC(=O)c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000744474954 574076716 /nfs/dbraw/zinc/07/67/16/574076716.db2.gz HBUGSXCAKNVPNB-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN O=C(OCCOC1CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000750774740 574076770 /nfs/dbraw/zinc/07/67/70/574076770.db2.gz LUBMTMHLXSJDOX-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN CCNc1ccc(C(=O)OCCSCC)cc1[N+](=O)[O-] ZINC000744480360 574076937 /nfs/dbraw/zinc/07/69/37/574076937.db2.gz XALUUPHEJXEZJL-UHFFFAOYSA-N 0 0 298.364 2.937 20 5 CFBDRN CSCCCOC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000744602189 574081686 /nfs/dbraw/zinc/08/16/86/574081686.db2.gz ZHHNZFAUZPSMJM-UHFFFAOYSA-N 0 0 294.332 2.986 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnc(C)nc1 ZINC000754239114 574083613 /nfs/dbraw/zinc/08/36/13/574083613.db2.gz OPYABQFDHJXKJJ-JXMROGBWSA-N 0 0 298.302 2.735 20 5 CFBDRN C[C@H](OC(=O)C(C)(C)C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000744765686 574085454 /nfs/dbraw/zinc/08/54/54/574085454.db2.gz CWOODRPWEFYGOJ-VIFPVBQESA-N 0 0 294.307 2.511 20 5 CFBDRN C[C@@H](OC(=O)C(C)(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000744767509 574086541 /nfs/dbraw/zinc/08/65/41/574086541.db2.gz RFGTVOPMYWJTGA-SECBINFHSA-N 0 0 294.307 2.511 20 5 CFBDRN Cc1c([N+](=O)[O-])cc(C(=O)OCC2CCC2)cc1[N+](=O)[O-] ZINC000744768550 574087003 /nfs/dbraw/zinc/08/70/03/574087003.db2.gz GBHYKUILYADSIP-UHFFFAOYSA-N 0 0 294.263 2.768 20 5 CFBDRN Cc1c(C(=O)OCCC2CC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000744767965 574087110 /nfs/dbraw/zinc/08/71/10/574087110.db2.gz CDHARNHUYNEKDM-UHFFFAOYSA-N 0 0 294.263 2.768 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCC1(C)CC1 ZINC000754334262 574088892 /nfs/dbraw/zinc/08/88/92/574088892.db2.gz PSNWQKVSLAOYTB-LUAWRHEFSA-N 0 0 274.320 2.914 20 5 CFBDRN Cc1ccc(CC(=O)OCc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000754338794 574089282 /nfs/dbraw/zinc/08/92/82/574089282.db2.gz JJNDTAUOFUHPQG-UHFFFAOYSA-N 0 0 293.250 2.921 20 5 CFBDRN CCc1cnc(COC(=O)c2ccc([N+](=O)[O-])cc2F)o1 ZINC000744833489 574089856 /nfs/dbraw/zinc/08/98/56/574089856.db2.gz XFYIMXPWEQYNTI-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN CCSCCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000744878602 574091659 /nfs/dbraw/zinc/09/16/59/574091659.db2.gz OIPGPVWJGRMWQH-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@@H]2C2CC2)nc2ccccn21 ZINC000728567759 574099955 /nfs/dbraw/zinc/09/99/55/574099955.db2.gz YGXDZMROMVNEHS-LLVKDONJSA-N 0 0 272.308 2.621 20 5 CFBDRN CO[C@H](CNC(=S)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000745177006 574105069 /nfs/dbraw/zinc/10/50/69/574105069.db2.gz YPHFGNZSPBQXAX-GFCCVEGCSA-N 0 0 297.380 2.552 20 5 CFBDRN CS[C@@H](C)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000728769710 574107621 /nfs/dbraw/zinc/10/76/21/574107621.db2.gz IIIPFWFZJFYOSK-IUCAKERBSA-N 0 0 269.322 2.951 20 5 CFBDRN COCC[C@H](C)OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000754610785 574108868 /nfs/dbraw/zinc/10/88/68/574108868.db2.gz PMDUSJBKFYLVIS-VIFPVBQESA-N 0 0 292.291 2.658 20 5 CFBDRN Cc1nn(Cc2c(F)cccc2[N+](=O)[O-])c(C)c1[N+](=O)[O-] ZINC000728787808 574110020 /nfs/dbraw/zinc/11/00/20/574110020.db2.gz ZLDZXWWCGRZUBV-UHFFFAOYSA-N 0 0 294.242 2.504 20 5 CFBDRN CC1(C)CCN1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000754661121 574111457 /nfs/dbraw/zinc/11/14/57/574111457.db2.gz WIJYCBISSCODQY-UHFFFAOYSA-N 0 0 261.281 2.720 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000754575815 574112336 /nfs/dbraw/zinc/11/23/36/574112336.db2.gz NYAIJWOUVNGKLY-NSHDSACASA-N 0 0 296.323 2.608 20 5 CFBDRN C[C@@H]1CN(c2nc3ccc([N+](=O)[O-])cc3s2)CCCO1 ZINC000731210473 574112921 /nfs/dbraw/zinc/11/29/21/574112921.db2.gz YHSDNTXQISRDSM-SECBINFHSA-N 0 0 293.348 2.820 20 5 CFBDRN O=C(OCCCF)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000741443835 574114719 /nfs/dbraw/zinc/11/47/19/574114719.db2.gz IYNMPHNXDBEJSV-UHFFFAOYSA-N 0 0 261.636 2.765 20 5 CFBDRN Cc1ccc(OC(=O)c2cc([N+](=O)[O-])cn2C)c(C)c1 ZINC000754761784 574118975 /nfs/dbraw/zinc/11/89/75/574118975.db2.gz GJVYODUXWQKBDR-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN CC(C)CCOC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000745618677 574120394 /nfs/dbraw/zinc/12/03/94/574120394.db2.gz RQHZAQGKWMRMST-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN O=C(OCc1ccoc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731546523 574123153 /nfs/dbraw/zinc/12/31/53/574123153.db2.gz FDHMMYMDXZULEG-UHFFFAOYSA-N 0 0 265.196 2.684 20 5 CFBDRN CCSCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731547325 574123159 /nfs/dbraw/zinc/12/31/59/574123159.db2.gz XUQLMNSWSJBJPW-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN CSc1ccc(OC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000754677947 574124827 /nfs/dbraw/zinc/12/48/27/574124827.db2.gz XKWVWIVQWBUQHJ-UHFFFAOYSA-N 0 0 292.316 2.874 20 5 CFBDRN CC/C=C(\C)C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000731696805 574126968 /nfs/dbraw/zinc/12/69/68/574126968.db2.gz CKXHMPWBEFHCMC-BJMVGYQFSA-N 0 0 277.276 2.677 20 5 CFBDRN CCC/C=C/C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000731710158 574127634 /nfs/dbraw/zinc/12/76/34/574127634.db2.gz NHNXBQDHVBYBIT-XBXARRHUSA-N 0 0 277.276 2.677 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@H]1CCCS1 ZINC000745960966 574129596 /nfs/dbraw/zinc/12/95/96/574129596.db2.gz PAXGLOMKDBZQFS-GFCCVEGCSA-N 0 0 281.333 2.576 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1cnn2ccccc12 ZINC000731842349 574130188 /nfs/dbraw/zinc/13/01/88/574130188.db2.gz ZSXLPNVCFPAVTN-UHFFFAOYSA-N 0 0 297.270 2.600 20 5 CFBDRN CCCOC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754989424 574131441 /nfs/dbraw/zinc/13/14/41/574131441.db2.gz RGRORKOQVUFDSI-UHFFFAOYSA-N 0 0 261.636 2.954 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000746059369 574132136 /nfs/dbraw/zinc/13/21/36/574132136.db2.gz SOIJPPLZVSJCCW-UHFFFAOYSA-N 0 0 255.657 2.701 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])C1=COCCC1 ZINC000746076601 574132754 /nfs/dbraw/zinc/13/27/54/574132754.db2.gz NEUAFVWHEKAGJW-UHFFFAOYSA-N 0 0 297.694 2.986 20 5 CFBDRN CCCC(=O)COC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755057654 574134607 /nfs/dbraw/zinc/13/46/07/574134607.db2.gz ZERIMAOCILGYTH-VIFPVBQESA-N 0 0 297.282 2.750 20 5 CFBDRN CC(C)c1ocnc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000731965192 574138312 /nfs/dbraw/zinc/13/83/12/574138312.db2.gz IVRLHXKYLZQMJW-UHFFFAOYSA-N 0 0 289.291 2.983 20 5 CFBDRN CC[C@@H](C)CN(C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000732049430 574141045 /nfs/dbraw/zinc/14/10/45/574141045.db2.gz WMAINNIXSXRPHH-SNVBAGLBSA-N 0 0 262.313 2.725 20 5 CFBDRN C[N@H+](Cc1cc([N+](=O)[O-])ccc1Cl)C(C)(C)C(=O)[O-] ZINC000737452047 574142267 /nfs/dbraw/zinc/14/22/67/574142267.db2.gz WPDKVPQNWUJFEF-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C1CCCC1 ZINC000728990151 574144166 /nfs/dbraw/zinc/14/41/66/574144166.db2.gz CDLRDGNWIPIQNH-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN C[C@H](C[C@@H](O)c1cccs1)Nc1ccc([N+](=O)[O-])nc1 ZINC000751943077 574146594 /nfs/dbraw/zinc/14/65/94/574146594.db2.gz SCZARYBVXJLREM-MWLCHTKSSA-N 0 0 293.348 2.975 20 5 CFBDRN CSCC[C@@H](C)N(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000746390779 574147260 /nfs/dbraw/zinc/14/72/60/574147260.db2.gz FVCSWGZWJZBIDS-MRVPVSSYSA-N 0 0 296.352 2.709 20 5 CFBDRN Cn1c(C(=O)Oc2ccc(Cl)cc2)ccc1[N+](=O)[O-] ZINC000755232976 574148704 /nfs/dbraw/zinc/14/87/04/574148704.db2.gz XNMSJQCGIXXYHX-UHFFFAOYSA-N 0 0 280.667 2.806 20 5 CFBDRN CCc1nnc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])s2)o1 ZINC000732310649 574151392 /nfs/dbraw/zinc/15/13/92/574151392.db2.gz LUZCSLXCBIINCN-LURJTMIESA-N 0 0 297.292 2.520 20 5 CFBDRN CCC1(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)COC1 ZINC000755379274 574157988 /nfs/dbraw/zinc/15/79/88/574157988.db2.gz PIUQGXGSSFWGMA-LLVKDONJSA-N 0 0 292.335 2.507 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NOC1CCCCC1 ZINC000755397087 574159694 /nfs/dbraw/zinc/15/96/94/574159694.db2.gz NNYBQDGFTWFKGA-UHFFFAOYSA-N 0 0 294.307 2.598 20 5 CFBDRN O=C(Nc1ccc[nH]c1=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000732578383 574161797 /nfs/dbraw/zinc/16/17/97/574161797.db2.gz MJLZKLNXJRGABS-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN Cc1ccccc1CN(Cn1nccc1[N+](=O)[O-])C1CC1 ZINC000746709983 574163874 /nfs/dbraw/zinc/16/38/74/574163874.db2.gz RWILENDINXURDU-UHFFFAOYSA-N 0 0 286.335 2.722 20 5 CFBDRN CCOc1cc(COC(=O)CC2CC2)ccc1[N+](=O)[O-] ZINC000755528039 574167556 /nfs/dbraw/zinc/16/75/56/574167556.db2.gz ASURSEDBBZUBRV-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1cscn1 ZINC000746968788 574173565 /nfs/dbraw/zinc/17/35/65/574173565.db2.gz JFZSUFCBXRQCEP-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1ccncc1F ZINC000746989229 574174805 /nfs/dbraw/zinc/17/48/05/574174805.db2.gz MMLOQRBATUQJIQ-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CCc1ccc(C(=O)OCc2cc(C)no2)cc1[N+](=O)[O-] ZINC000747014354 574175676 /nfs/dbraw/zinc/17/56/76/574175676.db2.gz WRPSPTADUQWYAK-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN C[C@@H](C(=O)O[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1F ZINC000755653835 574175948 /nfs/dbraw/zinc/17/59/48/574175948.db2.gz CJURCTJADPOMBT-SCZZXKLOSA-N 0 0 299.323 2.886 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC1CCC1 ZINC000755675150 574177531 /nfs/dbraw/zinc/17/75/31/574177531.db2.gz SYYVEHVRCRBYSH-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN Cc1ccc(OC(=O)C2CCSCC2)c([N+](=O)[O-])c1 ZINC000732933970 574179291 /nfs/dbraw/zinc/17/92/91/574179291.db2.gz OOOGYTWPPSDUSN-UHFFFAOYSA-N 0 0 281.333 2.952 20 5 CFBDRN CCc1ccc(C(=O)OC[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000747114050 574180466 /nfs/dbraw/zinc/18/04/66/574180466.db2.gz QBNHIGRNCHVSBT-CABZTGNLSA-N 0 0 263.293 2.970 20 5 CFBDRN CC1(C)CCCN(c2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000732981126 574181007 /nfs/dbraw/zinc/18/10/07/574181007.db2.gz AIVGVXVYNYAPEI-UHFFFAOYSA-N 0 0 276.296 2.757 20 5 CFBDRN Cc1nn(C)c(N2CCc3c(C)cccc3C2)c1[N+](=O)[O-] ZINC000729183299 574182237 /nfs/dbraw/zinc/18/22/37/574182237.db2.gz LJBKFYKHYUWENJ-UHFFFAOYSA-N 0 0 286.335 2.508 20 5 CFBDRN CC1=C(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000747163238 574183844 /nfs/dbraw/zinc/18/38/44/574183844.db2.gz XCUVFIVSWJOODL-UHFFFAOYSA-N 0 0 289.287 2.821 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC[C@H](C)OC)c1 ZINC000747215912 574187565 /nfs/dbraw/zinc/18/75/65/574187565.db2.gz RJEINZXPGLGVOQ-QMMMGPOBSA-N 0 0 272.276 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)Cc1cccc(C(N)=O)c1 ZINC000747210770 574187567 /nfs/dbraw/zinc/18/75/67/574187567.db2.gz XQEHZGNOUATNKX-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN Cc1cc(N[C@H]2CCC(=O)N(C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000747223775 574187994 /nfs/dbraw/zinc/18/79/94/574187994.db2.gz QADXIGOJPUDLBT-VIFPVBQESA-N 0 0 297.742 2.589 20 5 CFBDRN CC(C)n1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)n1 ZINC000733091674 574189083 /nfs/dbraw/zinc/18/90/83/574189083.db2.gz DOTRLHJHVKOPPG-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H]1CCCS1 ZINC000755812527 574189138 /nfs/dbraw/zinc/18/91/38/574189138.db2.gz UQVCIAKBCAXBJD-SNVBAGLBSA-N 0 0 297.332 2.656 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC1CC=CC1 ZINC000755831844 574191210 /nfs/dbraw/zinc/19/12/10/574191210.db2.gz KEFYFDJFOLSZGO-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN C[C@@H](Nc1nc2ccccn2c1[N+](=O)[O-])C1CCC1 ZINC000755924598 574198410 /nfs/dbraw/zinc/19/84/10/574198410.db2.gz QAAJPCMJBMVKHV-SECBINFHSA-N 0 0 260.297 2.843 20 5 CFBDRN CCc1cc(Oc2ccc(C=O)cc2[N+](=O)[O-])ncn1 ZINC000733183591 574204527 /nfs/dbraw/zinc/20/45/27/574204527.db2.gz DQLYWXHBDNQIDJ-UHFFFAOYSA-N 0 0 273.248 2.552 20 5 CFBDRN C[C@@H](C1CC1)N(C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000733187930 574209516 /nfs/dbraw/zinc/20/95/16/574209516.db2.gz WZLSVWSQSMPONC-VIFPVBQESA-N 0 0 289.335 2.714 20 5 CFBDRN CC(C)[C@H]1CCN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000756139607 574212620 /nfs/dbraw/zinc/21/26/20/574212620.db2.gz JFFLLEJHVJMWBE-NSHDSACASA-N 0 0 274.324 2.725 20 5 CFBDRN C[C@@H](CCCO)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000756145849 574213215 /nfs/dbraw/zinc/21/32/15/574213215.db2.gz MVJKJERZMYOUNQ-QMMMGPOBSA-N 0 0 281.337 2.777 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])cc2C)cn1 ZINC000747841856 574215193 /nfs/dbraw/zinc/21/51/93/574215193.db2.gz NWBOWGCEIWYUNC-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN C[C@H](OC(=O)C[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000739509308 574216357 /nfs/dbraw/zinc/21/63/57/574216357.db2.gz IUULPFYCXYKSAB-QWRGUYRKSA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@@H](OC(=O)C[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000739509305 574216420 /nfs/dbraw/zinc/21/64/20/574216420.db2.gz IUULPFYCXYKSAB-GHMZBOCLSA-N 0 0 279.292 2.626 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000747878231 574216946 /nfs/dbraw/zinc/21/69/46/574216946.db2.gz YOYLJTDJASCBLT-UHFFFAOYSA-N 0 0 293.254 2.615 20 5 CFBDRN CCC(CC)CNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000729433843 574217109 /nfs/dbraw/zinc/21/71/09/574217109.db2.gz YLMSJLIDUAJGNA-UHFFFAOYSA-N 0 0 293.367 2.827 20 5 CFBDRN CCc1ocnc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000739535745 574217410 /nfs/dbraw/zinc/21/74/10/574217410.db2.gz FFJRKMZWOIKWRC-UHFFFAOYSA-N 0 0 276.248 2.502 20 5 CFBDRN CNc1ccc(C(=O)OCCCOC(C)C)cc1[N+](=O)[O-] ZINC000733379416 574224756 /nfs/dbraw/zinc/22/47/56/574224756.db2.gz HYLAVVHJUFFNLC-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000756342874 574226987 /nfs/dbraw/zinc/22/69/87/574226987.db2.gz GFEWZXNJMXERND-OALRPVAKSA-N 0 0 291.303 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccsc2)s1 ZINC000739890805 574227753 /nfs/dbraw/zinc/22/77/53/574227753.db2.gz WYGINVGSIYTNAR-QMMMGPOBSA-N 0 0 270.335 2.863 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000733398330 574228523 /nfs/dbraw/zinc/22/85/23/574228523.db2.gz FWVRUORACMXTLW-SECBINFHSA-N 0 0 291.259 2.589 20 5 CFBDRN CCC[C@H](C)OC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000748101714 574229157 /nfs/dbraw/zinc/22/91/57/574229157.db2.gz KCVCSCYUBLSRHN-VIFPVBQESA-N 0 0 266.297 2.982 20 5 CFBDRN CC(C)(C)C[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000756360826 574229392 /nfs/dbraw/zinc/22/93/92/574229392.db2.gz ILGMOFSJIJKRNP-LBPRGKRZSA-N 0 0 280.324 2.574 20 5 CFBDRN CC(C)(C)C[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000756360952 574229460 /nfs/dbraw/zinc/22/94/60/574229460.db2.gz JOCLYJLFOGTMCE-LBPRGKRZSA-N 0 0 280.324 2.574 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000756367069 574230056 /nfs/dbraw/zinc/23/00/56/574230056.db2.gz CFHXSSAXRLQFSU-ZETCQYMHSA-N 0 0 285.321 2.737 20 5 CFBDRN CCC[C@H](C)OC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000748118217 574230219 /nfs/dbraw/zinc/23/02/19/574230219.db2.gz FEGJAQUXJIFTEC-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CC(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000748124318 574230585 /nfs/dbraw/zinc/23/05/85/574230585.db2.gz ZCGOVENTAIPUKA-NEPJUHHUSA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CC(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000748122316 574230801 /nfs/dbraw/zinc/23/08/01/574230801.db2.gz GLAICMWODSKQRC-VXGBXAGGSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000756373757 574230954 /nfs/dbraw/zinc/23/09/54/574230954.db2.gz JTVFIHWXQMOOBF-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN CC[C@@H](C)COC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000756380935 574231446 /nfs/dbraw/zinc/23/14/46/574231446.db2.gz HGLHHWOZJUOSJI-LLVKDONJSA-N 0 0 281.308 2.953 20 5 CFBDRN CC[C@H](C)COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000756388144 574232609 /nfs/dbraw/zinc/23/26/09/574232609.db2.gz PXKXWYGUAVQHCB-QMMMGPOBSA-N 0 0 255.245 2.937 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCC[C@@H]1CCCC1=O ZINC000748159906 574233436 /nfs/dbraw/zinc/23/34/36/574233436.db2.gz ICZVJQNBUYHQSS-NSHDSACASA-N 0 0 291.303 2.819 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000756394979 574233698 /nfs/dbraw/zinc/23/36/98/574233698.db2.gz XRZUSIGJUIVZJI-SNVBAGLBSA-N 0 0 279.292 2.675 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000756410082 574235044 /nfs/dbraw/zinc/23/50/44/574235044.db2.gz HQQHRLHCBYXVPG-MRVPVSSYSA-N 0 0 255.245 2.937 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1cc([N+](=O)[O-])ccc1F ZINC000733450043 574237290 /nfs/dbraw/zinc/23/72/90/574237290.db2.gz RRWBARRPPKSXMM-SNVBAGLBSA-N 0 0 265.240 2.999 20 5 CFBDRN CC1=C(C(=O)OCCc2ccc([N+](=O)[O-])cc2)CCCO1 ZINC000756441443 574237854 /nfs/dbraw/zinc/23/78/54/574237854.db2.gz JOYSMNGMTXWVJI-UHFFFAOYSA-N 0 0 291.303 2.765 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC[C@@H]2CCCC2=O)c1 ZINC000748197209 574238767 /nfs/dbraw/zinc/23/87/67/574238767.db2.gz ZJOZCBOLVGNJST-NSHDSACASA-N 0 0 291.303 2.819 20 5 CFBDRN C[C@H](COC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000748216940 574239829 /nfs/dbraw/zinc/23/98/29/574239829.db2.gz KPXMOAOJPHOQSL-SSDOTTSWSA-N 0 0 277.198 2.950 20 5 CFBDRN Cc1cc(COC(=O)CCc2cccc([N+](=O)[O-])c2)no1 ZINC000756538240 574244194 /nfs/dbraw/zinc/24/41/94/574244194.db2.gz WMUYXUUUBKAZRO-UHFFFAOYSA-N 0 0 290.275 2.567 20 5 CFBDRN CCC1(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])COC1 ZINC000756566631 574248263 /nfs/dbraw/zinc/24/82/63/574248263.db2.gz PMYHSBKONKWVJH-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN CCCOC(=O)c1ccc([N+](=O)[O-])cc1Br ZINC000740423034 574249649 /nfs/dbraw/zinc/24/96/49/574249649.db2.gz WIYDEPKPVYIGAJ-UHFFFAOYSA-N 0 0 288.097 2.924 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1CCCS1 ZINC000748480227 574254691 /nfs/dbraw/zinc/25/46/91/574254691.db2.gz QKRPMGZUBPFPQJ-LLVKDONJSA-N 0 0 267.306 2.534 20 5 CFBDRN CCOc1ccc(SCCn2ccc([N+](=O)[O-])n2)cc1 ZINC000748614923 574260975 /nfs/dbraw/zinc/26/09/75/574260975.db2.gz DCJWSODMHNCNHH-UHFFFAOYSA-N 0 0 293.348 2.982 20 5 CFBDRN CCN(CC(C)(C)O)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000733895407 574263367 /nfs/dbraw/zinc/26/33/67/574263367.db2.gz CDZNZSVYOCMCQL-UHFFFAOYSA-N 0 0 295.364 2.802 20 5 CFBDRN CC(C)(C)OCCCOc1ccc([N+](=O)[O-])cc1C=O ZINC000756849472 574268260 /nfs/dbraw/zinc/26/82/60/574268260.db2.gz QRPRFSVGTQIWOR-UHFFFAOYSA-N 0 0 281.308 2.991 20 5 CFBDRN C[C@H](OC(=O)CC1(O)CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000740981980 574276974 /nfs/dbraw/zinc/27/69/74/574276974.db2.gz VFHQGSMJKOKYLT-NSHDSACASA-N 0 0 293.319 2.894 20 5 CFBDRN O=C(OCCCOc1ccc([N+](=O)[O-])cc1)C1CC=CC1 ZINC000741046108 574280251 /nfs/dbraw/zinc/28/02/51/574280251.db2.gz ORZMACTYAOCEIV-UHFFFAOYSA-N 0 0 291.303 2.873 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ccc([N+](=O)[O-])s2)o1 ZINC000741243895 574284270 /nfs/dbraw/zinc/28/42/70/574284270.db2.gz SJOJTWPZLDYDEK-MRVPVSSYSA-N 0 0 268.294 2.703 20 5 CFBDRN Cn1ccc(CNc2ccc(Cl)c([N+](=O)[O-])c2)cc1=O ZINC000749257208 574286645 /nfs/dbraw/zinc/28/66/45/574286645.db2.gz FQTVWNOIMIQUSU-UHFFFAOYSA-N 0 0 293.710 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccccc2F)s1 ZINC000749266667 574287149 /nfs/dbraw/zinc/28/71/49/574287149.db2.gz ZXWRLEMRXYIGLM-SNVBAGLBSA-N 0 0 282.296 2.941 20 5 CFBDRN Cc1ocnc1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000741438964 574289467 /nfs/dbraw/zinc/28/94/67/574289467.db2.gz COPVZCQHRRHBFR-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CCCc1n[nH]c(C(=O)NC[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000734549092 574292083 /nfs/dbraw/zinc/29/20/83/574292083.db2.gz JFEOMXGIIYXIOC-SECBINFHSA-N 0 0 296.371 2.682 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)C(C)(C)C)c(N)c([N+](=O)[O-])c1 ZINC000734549096 574292115 /nfs/dbraw/zinc/29/21/15/574292115.db2.gz JKEHZKNNYGPTTB-SNVBAGLBSA-N 0 0 293.367 2.897 20 5 CFBDRN O=C(CCC1CC1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000734612198 574295558 /nfs/dbraw/zinc/29/55/58/574295558.db2.gz WHDFLXSVCSKULM-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN CC1(COc2cc(Cl)ccc2[N+](=O)[O-])COC1 ZINC000741852743 574306605 /nfs/dbraw/zinc/30/66/05/574306605.db2.gz IEOFEBYXCPBECH-UHFFFAOYSA-N 0 0 257.673 2.664 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734889296 574306696 /nfs/dbraw/zinc/30/66/96/574306696.db2.gz DUXKGTIPLFCHSD-CMPLNLGQSA-N 0 0 299.710 2.716 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])C1CCOCC1 ZINC000734887244 574306848 /nfs/dbraw/zinc/30/68/48/574306848.db2.gz CBBKOFMPWPGRDU-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN Cc1ccncc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000741888240 574309092 /nfs/dbraw/zinc/30/90/92/574309092.db2.gz LPUBIBFEBOXHIE-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COCCCCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000735144536 574315350 /nfs/dbraw/zinc/31/53/50/574315350.db2.gz QGZFSIOLNJDCSI-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN Cc1c(COC(=O)CC2CCOCC2)cccc1[N+](=O)[O-] ZINC000735357065 574321627 /nfs/dbraw/zinc/32/16/27/574321627.db2.gz GAKURVMMQNSRAB-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccn3c2[N+](=O)[O-])C[C@@H](C)S1 ZINC000742420375 574329650 /nfs/dbraw/zinc/32/96/50/574329650.db2.gz BRTXSKWGDNUHCL-NXEZZACHSA-N 0 0 292.364 2.573 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC[C@@H](O)c1ccccc1 ZINC000742427814 574329946 /nfs/dbraw/zinc/32/99/46/574329946.db2.gz QDSNOPSRASGZJD-CYBMUJFWSA-N 0 0 273.292 2.525 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2ncc(C)cc2[N+](=O)[O-])no1 ZINC000742428847 574330087 /nfs/dbraw/zinc/33/00/87/574330087.db2.gz SJYFFLQXKRMYNU-LBPRGKRZSA-N 0 0 288.307 2.936 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)OCC1CC=CC1 ZINC000750363384 574335516 /nfs/dbraw/zinc/33/55/16/574335516.db2.gz DGOAWAYFMHGPAL-UHFFFAOYSA-N 0 0 290.319 2.784 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735800115 574336226 /nfs/dbraw/zinc/33/62/26/574336226.db2.gz AWNMTRUAOBKKKM-CBAPKCEASA-N 0 0 269.684 2.947 20 5 CFBDRN COc1cc(C(=O)OCC2CC=CC2)ccc1[N+](=O)[O-] ZINC000750379725 574336352 /nfs/dbraw/zinc/33/63/52/574336352.db2.gz ITAYFTPSWVAVNO-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN Cc1cc(C(=O)OC2([C@H]3CCOC3)CC2)ccc1[N+](=O)[O-] ZINC000735909956 574341461 /nfs/dbraw/zinc/34/14/61/574341461.db2.gz KTPWHRJQCBVHGS-LBPRGKRZSA-N 0 0 291.303 2.629 20 5 CFBDRN CCC1(C(=O)OCCOc2ccccc2[N+](=O)[O-])CC1 ZINC000750481918 574342193 /nfs/dbraw/zinc/34/21/93/574342193.db2.gz MTCKVHQVYGHBRM-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN C[Si](C)(C)COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000735962681 574344560 /nfs/dbraw/zinc/34/45/60/574344560.db2.gz OXEPCBZRWLFOLM-UHFFFAOYSA-N 0 0 253.330 2.629 20 5 CFBDRN CCC(CC)(CNC(=O)c1cc([N+](=O)[O-])cn1C)SC ZINC000742839776 574345619 /nfs/dbraw/zinc/34/56/19/574345619.db2.gz QRWLODCPDIQPTL-UHFFFAOYSA-N 0 0 299.396 2.585 20 5 CFBDRN CC1(C(=O)OCCOc2cccc([N+](=O)[O-])c2)CCC1 ZINC000750532149 574346378 /nfs/dbraw/zinc/34/63/78/574346378.db2.gz BDZBJTSCFQNRFX-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)[C@@H]1CC=CCC1 ZINC000742862898 574346367 /nfs/dbraw/zinc/34/63/67/574346367.db2.gz CGGMGMBFOFXSEG-GFCCVEGCSA-N 0 0 291.303 2.873 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCCCN1C)c1cccc([N+](=O)[O-])c1 ZINC000745080407 574347104 /nfs/dbraw/zinc/34/71/04/574347104.db2.gz AGFCOGNNDBAOJO-FZMZJTMJSA-N 0 0 292.335 2.683 20 5 CFBDRN O=C(O[C@@H]1CCCCC1=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750540856 574347120 /nfs/dbraw/zinc/34/71/20/574347120.db2.gz IWHKWMWJHRQOMP-LLVKDONJSA-N 0 0 297.694 2.917 20 5 CFBDRN Nc1ccc(OCCOc2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000750605629 574349184 /nfs/dbraw/zinc/34/91/84/574349184.db2.gz LJECXVNQKSRMIN-UHFFFAOYSA-N 0 0 292.266 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCCOC1CCC1 ZINC000743055733 574352611 /nfs/dbraw/zinc/35/26/11/574352611.db2.gz KMWFBDWMGABXPR-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc2occc2[nH]1 ZINC000750844364 574357744 /nfs/dbraw/zinc/35/77/44/574357744.db2.gz YKINEMKDCHFZTE-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccnc2[N+](=O)[O-])c(F)c1F ZINC000750873354 574358856 /nfs/dbraw/zinc/35/88/56/574358856.db2.gz MZJMXPIVKFBCFP-UHFFFAOYSA-N 0 0 297.173 2.969 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1cc2occc2[nH]1 ZINC000750890427 574359688 /nfs/dbraw/zinc/35/96/88/574359688.db2.gz NKOZYESFXGUGFX-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])cc1Cl)C(F)(F)F ZINC000736288466 574359685 /nfs/dbraw/zinc/35/96/85/574359685.db2.gz RRTZURBMBZUPQR-ZETCQYMHSA-N 0 0 299.636 2.632 20 5 CFBDRN O=[N+]([O-])c1c(NCCCC2CC2)nc2ccccn21 ZINC000736298278 574360230 /nfs/dbraw/zinc/36/02/30/574360230.db2.gz DZWFZDNYRKRVCM-UHFFFAOYSA-N 0 0 260.297 2.845 20 5 CFBDRN Cc1ncoc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000750927009 574363389 /nfs/dbraw/zinc/36/33/89/574363389.db2.gz PJQCRTNHCFCWAN-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1nc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])cs1 ZINC000751074445 574369283 /nfs/dbraw/zinc/36/92/83/574369283.db2.gz YBIYWNLVTAOQCN-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc(F)ccc2[N+](=O)[O-])o1 ZINC000751082664 574369366 /nfs/dbraw/zinc/36/93/66/574369366.db2.gz FALSJEJTWHAHSH-UHFFFAOYSA-N 0 0 292.266 2.608 20 5 CFBDRN CC(C)=C(C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000751117275 574369628 /nfs/dbraw/zinc/36/96/28/574369628.db2.gz WSYIXTCRYUNVFJ-UHFFFAOYSA-N 0 0 260.293 2.840 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CCOCC1CC1 ZINC000751233118 574372708 /nfs/dbraw/zinc/37/27/08/574372708.db2.gz BZVPOHARTTUKOA-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CC(C)(C)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751647159 574380530 /nfs/dbraw/zinc/38/05/30/574380530.db2.gz KMNGYPLIHGKROL-UHFFFAOYSA-N 0 0 278.264 2.825 20 5 CFBDRN Cc1c(COC(=O)CC[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000751709398 574381227 /nfs/dbraw/zinc/38/12/27/574381227.db2.gz FFKBLGWQMIQCOJ-GFCCVEGCSA-N 0 0 293.319 2.763 20 5 CFBDRN O=C(CC[C@H]1CCOC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000751709326 574381265 /nfs/dbraw/zinc/38/12/65/574381265.db2.gz NAVOXBJUGNSRDO-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@H]1C[C@@H]1C1CC1 ZINC000751746867 574382088 /nfs/dbraw/zinc/38/20/88/574382088.db2.gz OLXBIAZNFRDOOJ-ZJUUUORDSA-N 0 0 279.267 2.823 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@@H]1C[C@H]1C1CC1 ZINC000751746866 574382125 /nfs/dbraw/zinc/38/21/25/574382125.db2.gz OLXBIAZNFRDOOJ-VHSXEESVSA-N 0 0 279.267 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2([C@H](O)c3ccccc3)CC2)cn1 ZINC000751948492 574385631 /nfs/dbraw/zinc/38/56/31/574385631.db2.gz KTRCVZYUDGKZOF-OAHLLOKOSA-N 0 0 299.330 2.916 20 5 CFBDRN C/C(=C\C(=O)OCC(=O)C(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000752343029 574390530 /nfs/dbraw/zinc/39/05/30/574390530.db2.gz GWSYPCKRGYCJOK-DHZHZOJOSA-N 0 0 291.303 2.766 20 5 CFBDRN Cn1c(C(=O)OCC2(C)CCCC2)ccc1[N+](=O)[O-] ZINC000752550080 574392740 /nfs/dbraw/zinc/39/27/40/574392740.db2.gz MZCGQLQUKVXIIT-UHFFFAOYSA-N 0 0 266.297 2.670 20 5 CFBDRN Cc1cnc(COC(=O)c2c(F)ccc([N+](=O)[O-])c2F)o1 ZINC000752564689 574392846 /nfs/dbraw/zinc/39/28/46/574392846.db2.gz GSJNGZJDNBREGZ-UHFFFAOYSA-N 0 0 298.201 2.526 20 5 CFBDRN Cn1c(Cl)cnc1/C=C/c1ccc([N+](=O)[O-])cn1 ZINC000752885820 574396078 /nfs/dbraw/zinc/39/60/78/574396078.db2.gz INUBIPHVKODFCU-HWKANZROSA-N 0 0 264.672 2.547 20 5 CFBDRN C[C@@H](N(C)c1ccc([N+](=O)[O-])c2nonc21)C1(C)CC1 ZINC000753119547 574398884 /nfs/dbraw/zinc/39/88/84/574398884.db2.gz RHUZBIYCQWOQEA-MRVPVSSYSA-N 0 0 276.296 2.756 20 5 CFBDRN CC/C=C\CCOC(=O)c1cc([N+](=O)[O-])c(N)cc1OC ZINC000753450041 574402523 /nfs/dbraw/zinc/40/25/23/574402523.db2.gz CAXQIPDAMULJHG-PLNGDYQASA-N 0 0 294.307 2.699 20 5 CFBDRN Cc1ccc([C@H](C)OC(=O)c2cocn2)cc1[N+](=O)[O-] ZINC000753677544 574407931 /nfs/dbraw/zinc/40/79/31/574407931.db2.gz RQVLNANVNWJJQN-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN CC(C)[N@H+](CC(=O)[O-])Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000050444711 600215201 /nfs/dbraw/zinc/21/52/01/600215201.db2.gz PFTHXIOSKYJDEO-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN COc1cc(C(=O)OCC2CC(C)C2)c([N+](=O)[O-])cc1F ZINC000851464769 634377781 /nfs/dbraw/zinc/37/77/81/634377781.db2.gz OIQXQEGQGCHZBP-UHFFFAOYSA-N 0 0 297.282 2.945 20 5 CFBDRN CC[C@](C)(CC(=O)[O-])[NH2+]Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000828664750 601432291 /nfs/dbraw/zinc/43/22/91/601432291.db2.gz HLTUTHSRDDFAFM-CQSZACIVSA-N 0 0 280.324 2.636 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000935702309 649931181 /nfs/dbraw/zinc/93/11/81/649931181.db2.gz WWINYWRBPBJELB-IWIIMEHWSA-N 0 0 292.360 2.845 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000900268038 620656289 /nfs/dbraw/zinc/65/62/89/620656289.db2.gz LYMFTJHKESCOFK-JTQLQIEISA-N 0 0 292.335 2.777 20 5 CFBDRN CC(C)[C@@H](C[NH2+][C@H](C)c1ccc([N+](=O)[O-])cc1)NC(=O)[O-] ZINC000825483757 603832181 /nfs/dbraw/zinc/83/21/81/603832181.db2.gz AAAZWPKPXHUJFU-ZWNOBZJWSA-N 0 0 295.339 2.538 20 5 CFBDRN CC1=CCCN(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935792155 649945555 /nfs/dbraw/zinc/94/55/55/649945555.db2.gz OVMIZEAOTZLXSG-GJZGRUSLSA-N 0 0 286.331 2.877 20 5 CFBDRN C[C@H](CCc1ccccc1[N+](=O)[O-])[NH2+][C@@H](C)[C@H](C)C(=O)[O-] ZINC000901448935 620823938 /nfs/dbraw/zinc/82/39/38/620823938.db2.gz ONONFWMRJIEWSP-WOPDTQHZSA-N 0 0 294.351 2.615 20 5 CFBDRN CC(C)[C@](C)(CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000901819111 620927230 /nfs/dbraw/zinc/92/72/30/620927230.db2.gz OWDMAUKPSDXBES-AWEZNQCLSA-N 0 0 280.324 2.574 20 5 CFBDRN Cc1ccc(SCCNc2c([N+](=O)[O-])cnn2C)cc1 ZINC000891578804 617674072 /nfs/dbraw/zinc/67/40/72/617674072.db2.gz PLTYQVJIJGPZEG-UHFFFAOYSA-N 0 0 292.364 2.841 20 5 CFBDRN CCc1ccc([C@@H](O)CNc2cccnc2[N+](=O)[O-])cc1 ZINC000804776305 617877586 /nfs/dbraw/zinc/87/75/86/617877586.db2.gz VXUOEVTYHKOQOU-AWEZNQCLSA-N 0 0 287.319 2.698 20 5 CFBDRN CSCC(C)(C)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000890874284 617932473 /nfs/dbraw/zinc/93/24/73/617932473.db2.gz AAAMNMYELHUZQF-UHFFFAOYSA-N 0 0 296.392 2.785 20 5 CFBDRN C[C@@H](O)CN(C)c1c2cc(Cl)ccc2ncc1[N+](=O)[O-] ZINC000892004996 617910275 /nfs/dbraw/zinc/91/02/75/617910275.db2.gz OSMNJJJEOUYSLA-MRVPVSSYSA-N 0 0 295.726 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OC[C@@H]1CCOC1 ZINC000109744071 617931256 /nfs/dbraw/zinc/93/12/56/617931256.db2.gz UAQMYGFTIAKOMM-MRVPVSSYSA-N 0 0 257.673 2.664 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1cccnc1 ZINC000049417174 617603699 /nfs/dbraw/zinc/60/36/99/617603699.db2.gz CCPVKFZBVGUGEL-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C[C@@H]1CCC[C@H](CCOC(=O)Cn2ccc([N+](=O)[O-])n2)C1 ZINC000160222143 617624361 /nfs/dbraw/zinc/62/43/61/617624361.db2.gz IIRDLHLEDOIBFS-VXGBXAGGSA-N 0 0 295.339 2.551 20 5 CFBDRN Cc1ccccc1CSc1c([N+](=O)[O-])cnn1C ZINC000891489374 617633695 /nfs/dbraw/zinc/63/36/95/617633695.db2.gz HYGBBVJLGMGMEA-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1cc([N+](=O)[O-])ccc1F ZINC000080110230 617636602 /nfs/dbraw/zinc/63/66/02/617636602.db2.gz SPNLWVFVYKASGX-VIFPVBQESA-N 0 0 264.256 2.572 20 5 CFBDRN COc1c(O)ccc(C[N@@H+]2CCC[C@@H]2C(C)C)c1[N+](=O)[O-] ZINC000891618936 617688003 /nfs/dbraw/zinc/68/80/03/617688003.db2.gz VWNYMOTXUPHUER-GFCCVEGCSA-N 0 0 294.351 2.929 20 5 CFBDRN CON(C(=O)Nc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000121502407 617690241 /nfs/dbraw/zinc/69/02/41/617690241.db2.gz DZLFREDWVUILTB-UHFFFAOYSA-N 0 0 279.296 2.933 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCc2sccc2C1 ZINC000891661424 617700033 /nfs/dbraw/zinc/70/00/33/617700033.db2.gz XYEKJEWYLVTYPP-UHFFFAOYSA-N 0 0 291.332 2.623 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000924039877 617721673 /nfs/dbraw/zinc/72/16/73/617721673.db2.gz CMECWSMRWXXDDH-UEEZHKSASA-N 0 0 288.347 2.546 20 5 CFBDRN CCCOc1cccc(Nc2c([N+](=O)[O-])cnn2C)c1 ZINC000891782121 617750121 /nfs/dbraw/zinc/75/01/21/617750121.db2.gz NFMDKDPEUQMVTF-UHFFFAOYSA-N 0 0 276.296 2.861 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000120663755 617760894 /nfs/dbraw/zinc/76/08/94/617760894.db2.gz IBMPFNQSRKMCQT-MWLCHTKSSA-N 0 0 288.303 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2cc(F)ncc2F)nc1 ZINC000806567303 617765755 /nfs/dbraw/zinc/76/57/55/617765755.db2.gz UXJMMCIEYKOTFG-UPHRSURJSA-N 0 0 263.203 2.833 20 5 CFBDRN C[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933815983 617784302 /nfs/dbraw/zinc/78/43/02/617784302.db2.gz ULEOMADRELGGIG-DDTOSNHZSA-N 0 0 274.320 2.709 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233986744 617785086 /nfs/dbraw/zinc/78/50/86/617785086.db2.gz FSCCMMAMKNVGKF-VIFPVBQESA-N 0 0 268.288 2.913 20 5 CFBDRN CO[C@]1(C)CCCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804679462 617800763 /nfs/dbraw/zinc/80/07/63/617800763.db2.gz ORKWXJRBLDNSQF-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1ccncc1CN(C)c1ccc(N)cc1[N+](=O)[O-] ZINC000804681593 617801346 /nfs/dbraw/zinc/80/13/46/617801346.db2.gz VITQTLXWFOSLDK-UHFFFAOYSA-N 0 0 272.308 2.517 20 5 CFBDRN C[C@H]1CN(c2cc(Cl)c(F)cc2[N+](=O)[O-])CC[C@H]1O ZINC000300529862 617804420 /nfs/dbraw/zinc/80/44/20/617804420.db2.gz MRGNPKLHHAIDIF-JVXZTZIISA-N 0 0 288.706 2.594 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@H]2C[C@H]21 ZINC000804687597 617811189 /nfs/dbraw/zinc/81/11/89/617811189.db2.gz CZOACVHMLGCWAE-CMPLNLGQSA-N 0 0 255.277 2.742 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](C(F)F)C2)c1 ZINC000804688513 617811980 /nfs/dbraw/zinc/81/19/80/617811980.db2.gz LLIGJOKEHIRVNI-ZETCQYMHSA-N 0 0 290.241 2.834 20 5 CFBDRN CCC(=O)c1ccc(N2CC[C@@H]3C[C@@H]32)c([N+](=O)[O-])c1 ZINC000804689580 617813956 /nfs/dbraw/zinc/81/39/56/617813956.db2.gz QOVLIOPNUAHCRH-SKDRFNHKSA-N 0 0 260.293 2.786 20 5 CFBDRN O=C(OCC(=O)c1ccccc1)c1ccc([N+](=O)[O-])s1 ZINC000003496667 617820757 /nfs/dbraw/zinc/82/07/57/617820757.db2.gz UXRQXILLBZFNHP-UHFFFAOYSA-N 0 0 291.284 2.696 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])cnn1C)c1c(F)cccc1F ZINC000891915111 617823583 /nfs/dbraw/zinc/82/35/83/617823583.db2.gz FPMDOLSDZRCMSI-SSDOTTSWSA-N 0 0 282.250 2.780 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000890766359 617854435 /nfs/dbraw/zinc/85/44/35/617854435.db2.gz DVLSXTLKLMEDMG-NOZJJQNGSA-N 0 0 278.283 2.818 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC=CC[C@H]2C)c1 ZINC000890768631 617860855 /nfs/dbraw/zinc/86/08/55/617860855.db2.gz GEJMFCKFLKOFCG-DGCLKSJQSA-N 0 0 274.320 2.988 20 5 CFBDRN CC1CC(NC(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000934025231 617865096 /nfs/dbraw/zinc/86/50/96/617865096.db2.gz CKACEAAUJVAZMG-WTIRTOOYSA-N 0 0 274.320 2.613 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@H]3C[C@H]32)c([N+](=O)[O-])c1 ZINC000804780452 617878907 /nfs/dbraw/zinc/87/89/07/617878907.db2.gz BVYNQOGDFZGRKG-WCQYABFASA-N 0 0 260.293 2.786 20 5 CFBDRN C[C@@H]1CO[C@@H](C(F)(F)F)CN1c1ccc([N+](=O)[O-])cc1 ZINC000804793062 617883992 /nfs/dbraw/zinc/88/39/92/617883992.db2.gz WACVOKTYOMHGSV-LDYMZIIASA-N 0 0 290.241 2.751 20 5 CFBDRN C[C@H]1CO[C@H](C(F)(F)F)CN1c1ccc([N+](=O)[O-])cc1 ZINC000804793061 617884125 /nfs/dbraw/zinc/88/41/25/617884125.db2.gz WACVOKTYOMHGSV-KWQFWETISA-N 0 0 290.241 2.751 20 5 CFBDRN Cc1ccc([C@@H](O)[C@@H](C)Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000804811302 617894631 /nfs/dbraw/zinc/89/46/31/617894631.db2.gz SCSYUWXTXCELBL-ABAIWWIYSA-N 0 0 287.319 2.832 20 5 CFBDRN Cc1cc(C(=O)NCCc2cccnc2C)ccc1[N+](=O)[O-] ZINC000890838374 617921727 /nfs/dbraw/zinc/92/17/27/617921727.db2.gz LJMFCFMLPZSSLA-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCCCc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813101669 617923813 /nfs/dbraw/zinc/92/38/13/617923813.db2.gz GRTDLKRRBRUHNC-LLVKDONJSA-N 0 0 265.309 2.851 20 5 CFBDRN CCc1ccc([C@H](C)CC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813103984 617924531 /nfs/dbraw/zinc/92/45/31/617924531.db2.gz VRUKNRQSONGBCU-NEPJUHHUSA-N 0 0 279.336 2.951 20 5 CFBDRN CCSCc1ccccc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813103225 617924580 /nfs/dbraw/zinc/92/45/80/617924580.db2.gz ZFDYLKPNLKURIS-JTQLQIEISA-N 0 0 283.349 2.762 20 5 CFBDRN Cc1ccc(CCCC(=O)OC[C@H](C)[N+](=O)[O-])s1 ZINC000813104027 617924867 /nfs/dbraw/zinc/92/48/67/617924867.db2.gz JBGWMCGNJFSAJZ-VIFPVBQESA-N 0 0 271.338 2.588 20 5 CFBDRN C[C@H](CNC(=O)NC[C@@H]1C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000892061970 617932121 /nfs/dbraw/zinc/93/21/21/617932121.db2.gz WWUIZSCKZFQVLQ-UTUOFQBUSA-N 0 0 291.351 2.654 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN[C@@H](C)c1cncs1 ZINC000934192985 617942603 /nfs/dbraw/zinc/94/26/03/617942603.db2.gz AZMUSAANKZGGOY-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN C[C@@H](COC(=O)[C@@H](OC(C)(C)C)c1ccccc1)[N+](=O)[O-] ZINC000813115421 617953603 /nfs/dbraw/zinc/95/36/03/617953603.db2.gz RJINYAZZAKAPJR-AAEUAGOBSA-N 0 0 295.335 2.751 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000176750122 617955887 /nfs/dbraw/zinc/95/58/87/617955887.db2.gz YMNHQIBMGDSOJA-VXGBXAGGSA-N 0 0 261.277 2.855 20 5 CFBDRN CO[C@](C)(COC(=O)c1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000813189141 617979250 /nfs/dbraw/zinc/97/92/50/617979250.db2.gz AMXZHZDDAFVCKP-OAHLLOKOSA-N 0 0 293.319 2.875 20 5 CFBDRN CC1CC(OC(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000813232399 617986003 /nfs/dbraw/zinc/98/60/03/617986003.db2.gz GMDSJXCYAYBGDJ-UHFFFAOYSA-N 0 0 278.308 2.739 20 5 CFBDRN CCCCO[C@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000065534705 617987652 /nfs/dbraw/zinc/98/76/52/617987652.db2.gz GRYDKKNYXSHXFT-SNVBAGLBSA-N 0 0 297.307 2.714 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C\C1CCCCCC1 ZINC000845575944 618014921 /nfs/dbraw/zinc/01/49/21/618014921.db2.gz ABUCMMLGWDTUFA-IQQGHNRFSA-N 0 0 255.314 2.721 20 5 CFBDRN C[C@]1(C2CC2)COCCN1Cc1ccccc1[N+](=O)[O-] ZINC000934478792 618050964 /nfs/dbraw/zinc/05/09/64/618050964.db2.gz NIAROMJDPZOXGZ-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cc(C2CC2)[nH]n1 ZINC000051706540 618055322 /nfs/dbraw/zinc/05/53/22/618055322.db2.gz YQJRKYZYEBZDGW-UHFFFAOYSA-N 0 0 287.275 2.723 20 5 CFBDRN CCCCC1(NC(=O)c2cc([N+](=O)[O-])ccc2N)CC1 ZINC000889528543 618057944 /nfs/dbraw/zinc/05/79/44/618057944.db2.gz OYBRQIYKOHASSY-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000176681647 618058957 /nfs/dbraw/zinc/05/89/57/618058957.db2.gz CXJYHIGEOCPTHT-SECBINFHSA-N 0 0 280.299 2.914 20 5 CFBDRN CN(C(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1ccccn1 ZINC000050037389 618061659 /nfs/dbraw/zinc/06/16/59/618061659.db2.gz JBJDIOYFUGOBMW-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC2CCCC2)c1[N+](=O)[O-] ZINC000176686196 618066110 /nfs/dbraw/zinc/06/61/10/618066110.db2.gz GCNDZCWDLYHXEQ-UHFFFAOYSA-N 0 0 266.272 2.715 20 5 CFBDRN CC(C)OCCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255194204 618075930 /nfs/dbraw/zinc/07/59/30/618075930.db2.gz DMMYJNOJILCRJN-TWGQIWQCSA-N 0 0 293.319 2.966 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NC1CCCCCC1 ZINC000050201466 618092228 /nfs/dbraw/zinc/09/22/28/618092228.db2.gz UYAXDSYJHZVJIM-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N)c1ccccc1 ZINC000050201115 618093079 /nfs/dbraw/zinc/09/30/79/618093079.db2.gz PQHXIMGMFVKMHD-JTQLQIEISA-N 0 0 285.303 2.668 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000071208592 618095228 /nfs/dbraw/zinc/09/52/28/618095228.db2.gz ZPCQDVLXKLNVEO-QPUJVOFHSA-N 0 0 266.272 2.652 20 5 CFBDRN CC(C)(C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000061354804 618117443 /nfs/dbraw/zinc/11/74/43/618117443.db2.gz BKWXOLPHTJUAQG-UHFFFAOYSA-N 0 0 251.282 2.727 20 5 CFBDRN O=C(CC1CCCCCC1)OCCn1cc([N+](=O)[O-])cn1 ZINC000176755887 618121585 /nfs/dbraw/zinc/12/15/85/618121585.db2.gz MDYSMGCSAGLSFG-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN Cc1nn(C)cc1NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000889143471 618124952 /nfs/dbraw/zinc/12/49/52/618124952.db2.gz HHYDEHCEYDLFHV-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000161544811 618133640 /nfs/dbraw/zinc/13/36/40/618133640.db2.gz ZEWUIVRMQNPVQN-IUCAKERBSA-N 0 0 266.272 2.747 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1cccc2c1OCO2 ZINC000077431483 618133715 /nfs/dbraw/zinc/13/37/15/618133715.db2.gz VSHBAHQYPCIHIA-UHFFFAOYSA-N 0 0 287.227 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCCS2)c1C ZINC000889467765 618135340 /nfs/dbraw/zinc/13/53/40/618135340.db2.gz HFYUYGPISYISAF-NSHDSACASA-N 0 0 294.376 2.837 20 5 CFBDRN CC(C)OC(=O)C(C)(C)NCc1c(F)cccc1[N+](=O)[O-] ZINC000934817642 618138289 /nfs/dbraw/zinc/13/82/89/618138289.db2.gz BQSUQXHJINVHIG-UHFFFAOYSA-N 0 0 298.314 2.554 20 5 CFBDRN CCOCCCC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000078796443 618211355 /nfs/dbraw/zinc/21/13/55/618211355.db2.gz JZGKIEUIHWXKMC-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CC3CSC3)n2)c1 ZINC000923773904 618213840 /nfs/dbraw/zinc/21/38/40/618213840.db2.gz QXQYKKSSBFISMB-UHFFFAOYSA-N 0 0 277.305 2.550 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000935253919 618216837 /nfs/dbraw/zinc/21/68/37/618216837.db2.gz YCBSDHLGFDQELQ-ZDUSSCGKSA-N 0 0 294.326 2.923 20 5 CFBDRN CC(C)C1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)COC1 ZINC000923774556 618230082 /nfs/dbraw/zinc/23/00/82/618230082.db2.gz ZEHLVKBEEWWPPH-UHFFFAOYSA-N 0 0 289.291 2.569 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC2(C1)CCOCC2 ZINC000893941835 618247151 /nfs/dbraw/zinc/24/71/51/618247151.db2.gz UGFNWIBIBFYXHF-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCc2ccoc2)c1[N+](=O)[O-] ZINC000177011336 618251954 /nfs/dbraw/zinc/25/19/54/618251954.db2.gz IMEIGMOVNWMBGZ-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN CC(=O)c1ccc(OC(=O)Cc2ccc([N+](=O)[O-])cc2)cc1 ZINC000014464205 618252493 /nfs/dbraw/zinc/25/24/93/618252493.db2.gz FHDOSKXIDBMACP-UHFFFAOYSA-N 0 0 299.282 2.946 20 5 CFBDRN C[C@@H](F)CCNc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000893982708 618257112 /nfs/dbraw/zinc/25/71/12/618257112.db2.gz XXOOCKCJRYRNHR-SECBINFHSA-N 0 0 293.298 2.607 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])c(N2CC3(CC3)C2)cc1C ZINC000894017146 618270879 /nfs/dbraw/zinc/27/08/79/618270879.db2.gz YCYPOCSVLUUOSW-UHFFFAOYSA-N 0 0 290.319 2.680 20 5 CFBDRN COC/C(C)=C/CNc1cc([N+](=O)[O-])ccc1OC ZINC000901348019 620791803 /nfs/dbraw/zinc/79/18/03/620791803.db2.gz HGSGRHLHVQCBIO-UXBLZVDNSA-N 0 0 266.297 2.608 20 5 CFBDRN Cn1c2ccccc2c(N[C@H]2CC23CCC3)c([N+](=O)[O-])c1=O ZINC000895091090 618283555 /nfs/dbraw/zinc/28/35/55/618283555.db2.gz SWOHLERLNBLMHR-LBPRGKRZSA-N 0 0 299.330 2.801 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000749322178 618285758 /nfs/dbraw/zinc/28/57/58/618285758.db2.gz WNNMKCAFLGFXMQ-VIFPVBQESA-N 0 0 286.278 2.736 20 5 CFBDRN C/C(=C/C(=O)N[C@H](C)C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000894042710 618286103 /nfs/dbraw/zinc/28/61/03/618286103.db2.gz MVCDIZJWXTVFHO-UFGYOYAJSA-N 0 0 284.262 2.768 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H](C)C(F)F ZINC000894044533 618286602 /nfs/dbraw/zinc/28/66/02/618286602.db2.gz WHGKYTLLPLPEGR-SECBINFHSA-N 0 0 286.278 2.903 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCc1cc(C)cs1 ZINC000894060356 618298104 /nfs/dbraw/zinc/29/81/04/618298104.db2.gz RGUFZZGXKAUJTJ-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)c1[N+](=O)[O-] ZINC000889605927 618299468 /nfs/dbraw/zinc/29/94/68/618299468.db2.gz MFJIAXAYJNWYJN-CKYFFXLPSA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(c1cc(Cl)c(F)c([N+](=O)[O-])c1)N1CC=CCC1 ZINC000901362612 620794800 /nfs/dbraw/zinc/79/48/00/620794800.db2.gz ZHXNWZYALXYKGW-UHFFFAOYSA-N 0 0 284.674 2.789 20 5 CFBDRN CC1(COC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)COC1 ZINC000727048457 618332630 /nfs/dbraw/zinc/33/26/30/618332630.db2.gz TXRNUGSHDZAFTK-UHFFFAOYSA-N 0 0 291.259 2.534 20 5 CFBDRN COCC(C)(C)CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000840591402 618342398 /nfs/dbraw/zinc/34/23/98/618342398.db2.gz LSGZCKKPIGBESW-UHFFFAOYSA-N 0 0 287.337 2.762 20 5 CFBDRN O=C(CCc1ccoc1)OCc1cccc([N+](=O)[O-])c1 ZINC000177191347 618368111 /nfs/dbraw/zinc/36/81/11/618368111.db2.gz HCUAFZOTTYJZNT-UHFFFAOYSA-N 0 0 275.260 2.864 20 5 CFBDRN CN(C[C@H](O)c1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000062351377 618375175 /nfs/dbraw/zinc/37/51/75/618375175.db2.gz HSOLDEMRWBFGIC-HNNXBMFYSA-N 0 0 272.304 2.765 20 5 CFBDRN Cc1cc(C(=O)OC[C@@]2(C)CCCO2)cc([N+](=O)[O-])c1 ZINC000177207130 618375249 /nfs/dbraw/zinc/37/52/49/618375249.db2.gz OXYMCOKSARNHTG-CQSZACIVSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@]2(C)CCCO2)c1 ZINC000177241290 618380334 /nfs/dbraw/zinc/38/03/34/618380334.db2.gz LWPWAUFIMPLMGC-AWEZNQCLSA-N 0 0 279.292 2.629 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC1(C2CCC2)CCC1 ZINC000894136576 618381722 /nfs/dbraw/zinc/38/17/22/618381722.db2.gz PGBAIRVGWJGVMA-UHFFFAOYSA-N 0 0 264.329 2.711 20 5 CFBDRN CC(C)(C)CCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000134219786 618399912 /nfs/dbraw/zinc/39/99/12/618399912.db2.gz XCFBIWGBONGGQB-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN Cc1nn(Cc2cc(F)ccc2[N+](=O)[O-])c(C)c1[N+](=O)[O-] ZINC000047369195 618405631 /nfs/dbraw/zinc/40/56/31/618405631.db2.gz HWXHPWCQJHDNNY-UHFFFAOYSA-N 0 0 294.242 2.504 20 5 CFBDRN COC/C(C)=C\COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000909481440 618407103 /nfs/dbraw/zinc/40/71/03/618407103.db2.gz LEWCHASTZQEMMH-YFHOEESVSA-N 0 0 293.319 2.961 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CC[C@H](C2CCC2)C1 ZINC000840571891 618410341 /nfs/dbraw/zinc/41/03/41/618410341.db2.gz HDAKDGPPWMKEMJ-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN CC[C@](C)(O)c1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901395280 620804151 /nfs/dbraw/zinc/80/41/51/620804151.db2.gz LAFAYYDTHKAPTK-ZDUSSCGKSA-N 0 0 262.265 2.867 20 5 CFBDRN CC[C@@](C)(O)c1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901395279 620804185 /nfs/dbraw/zinc/80/41/85/620804185.db2.gz LAFAYYDTHKAPTK-CYBMUJFWSA-N 0 0 262.265 2.867 20 5 CFBDRN CC[C@@](C)(O)Cc1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901395981 620804608 /nfs/dbraw/zinc/80/46/08/620804608.db2.gz OGRPLAKNAWDVFH-CQSZACIVSA-N 0 0 276.292 2.953 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCCC1 ZINC000235764238 618431117 /nfs/dbraw/zinc/43/11/17/618431117.db2.gz GNTLRUGUYHIXEC-UHFFFAOYSA-N 0 0 263.297 2.921 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)Cc1cccc(Cl)c1 ZINC000814035823 618434720 /nfs/dbraw/zinc/43/47/20/618434720.db2.gz PUUWLHZUZYSMNL-VHSXEESVSA-N 0 0 285.727 2.727 20 5 CFBDRN Cn1c2ccccc2c(N[C@H]2CC2(C)C)c([N+](=O)[O-])c1=O ZINC000894192780 618435790 /nfs/dbraw/zinc/43/57/90/618435790.db2.gz PNJQIYDCJHGCJG-NSHDSACASA-N 0 0 287.319 2.657 20 5 CFBDRN CCCc1cc(C(=O)O[C@@H](C)C[N+](=O)[O-])sc1C ZINC000814041183 618440685 /nfs/dbraw/zinc/44/06/85/618440685.db2.gz PUFMNPXDGXLDQR-QMMMGPOBSA-N 0 0 271.338 2.831 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])c(Cl)c1C ZINC000814044713 618443075 /nfs/dbraw/zinc/44/30/75/618443075.db2.gz JLDXMGAADMKGAF-MRVPVSSYSA-N 0 0 271.700 2.779 20 5 CFBDRN CCCN(CC)c1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814045106 618444509 /nfs/dbraw/zinc/44/45/09/618444509.db2.gz MRDWUOHLEHVAFO-LBPRGKRZSA-N 0 0 294.351 2.745 20 5 CFBDRN CCC(=O)COC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000727181121 618453547 /nfs/dbraw/zinc/45/35/47/618453547.db2.gz MBADRYCASPIJSN-SECBINFHSA-N 0 0 297.332 2.598 20 5 CFBDRN CC[C@H](COC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)OC ZINC000842090431 618460507 /nfs/dbraw/zinc/46/05/07/618460507.db2.gz WRWOYCMPDSKJSU-GXSJLCMTSA-N 0 0 299.298 2.806 20 5 CFBDRN CC[C@@H](COC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)OC ZINC000842090433 618460894 /nfs/dbraw/zinc/46/08/94/618460894.db2.gz WRWOYCMPDSKJSU-KOLCDFICSA-N 0 0 299.298 2.806 20 5 CFBDRN CC(C)Oc1ccc(Cn2cnc([N+](=O)[O-])c2)cc1 ZINC000726431873 618474629 /nfs/dbraw/zinc/47/46/29/618474629.db2.gz RZPUSNDEQYHLSS-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000814483265 618477029 /nfs/dbraw/zinc/47/70/29/618477029.db2.gz KBGYZJXARXJWLA-QMMMGPOBSA-N 0 0 284.287 2.755 20 5 CFBDRN O=C(OC[C@H]1CCCO1)c1cc([N+](=O)[O-])ccc1Cl ZINC000057973118 618478094 /nfs/dbraw/zinc/47/80/94/618478094.db2.gz SRFQTWVKJUSCDV-SECBINFHSA-N 0 0 285.683 2.584 20 5 CFBDRN O=C(OC[C@@H]1CCCO1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000057972303 618478465 /nfs/dbraw/zinc/47/84/65/618478465.db2.gz LAODJQHUIAGMKF-VIFPVBQESA-N 0 0 285.683 2.584 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCCOC(F)F ZINC000901411824 620810866 /nfs/dbraw/zinc/81/08/66/620810866.db2.gz AKVLQAOZSYIRKR-UHFFFAOYSA-N 0 0 276.239 2.645 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000895292380 618514905 /nfs/dbraw/zinc/51/49/05/618514905.db2.gz LAFUMSRYMUUTSJ-PWSUYJOCSA-N 0 0 278.308 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3C[C@@]3(c3ccccc3)C2)nc1 ZINC000895393661 618565809 /nfs/dbraw/zinc/56/58/09/618565809.db2.gz GUZTYPQSCGDPTH-CJNGLKHVSA-N 0 0 281.315 2.768 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CC[C@@H]1C(F)F ZINC000895435647 618575570 /nfs/dbraw/zinc/57/55/70/618575570.db2.gz HJDFTWSBBSVTCT-OGOUPESXSA-N 0 0 268.263 2.947 20 5 CFBDRN NC(=O)c1ccc(NCC[C@@H]2CC[C@@H]3C[C@@H]32)c([N+](=O)[O-])c1 ZINC000895428477 618577272 /nfs/dbraw/zinc/57/72/72/618577272.db2.gz HCDMFTKVUBXMHN-HOSYDEDBSA-N 0 0 289.335 2.542 20 5 CFBDRN NC(=O)c1ccc(NCC[C@@H]2CC[C@H]3C[C@H]32)c([N+](=O)[O-])c1 ZINC000895428479 618577290 /nfs/dbraw/zinc/57/72/90/618577290.db2.gz HCDMFTKVUBXMHN-NHCYSSNCSA-N 0 0 289.335 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H]2C(F)F)c(F)c1 ZINC000895431177 618579334 /nfs/dbraw/zinc/57/93/34/618579334.db2.gz KQTJQJXVSAPHEP-SNVBAGLBSA-N 0 0 260.215 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC[C@@H]3C(F)F)nc2c1 ZINC000895432432 618580175 /nfs/dbraw/zinc/58/01/75/618580175.db2.gz ZZPCDYZRPFCCTJ-SECBINFHSA-N 0 0 283.234 2.575 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC[C@H](O)C1CC1 ZINC000236540631 618631819 /nfs/dbraw/zinc/63/18/19/618631819.db2.gz STTKLGZLQFANRS-NSHDSACASA-N 0 0 274.679 2.570 20 5 CFBDRN Cc1cccc([C@H]2CCCN2Cn2nccc2[N+](=O)[O-])c1 ZINC000055033894 618634110 /nfs/dbraw/zinc/63/41/10/618634110.db2.gz YLKZNQMPBBVUJQ-CQSZACIVSA-N 0 0 286.335 2.894 20 5 CFBDRN Cc1cccnc1COC(=O)c1ccccc1[N+](=O)[O-] ZINC000180255437 618647680 /nfs/dbraw/zinc/64/76/80/618647680.db2.gz PAJYZYKCLMYGQR-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1cccnc1COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000180254430 618647724 /nfs/dbraw/zinc/64/77/24/618647724.db2.gz ISOPUPLOCPDLPA-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN C/C=C(\C)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000178203992 618648310 /nfs/dbraw/zinc/64/83/10/618648310.db2.gz VWIQJMQIILUACA-XCVCLJGOSA-N 0 0 286.291 2.685 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@@H]1CCCO[C@@H]1C(C)(C)C ZINC000892600512 618648755 /nfs/dbraw/zinc/64/87/55/618648755.db2.gz SDNTZPBGTPFRLW-JQWIXIFHSA-N 0 0 296.371 2.582 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892630995 618663412 /nfs/dbraw/zinc/66/34/12/618663412.db2.gz IBUOLUWEROMVQT-QPUJVOFHSA-N 0 0 271.276 2.738 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892635784 618665928 /nfs/dbraw/zinc/66/59/28/618665928.db2.gz TZNQKJXROXYPCQ-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN C[C@H]1CO[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000892636306 618666131 /nfs/dbraw/zinc/66/61/31/618666131.db2.gz OBSKTIFPLLXTOO-RDDDGLTNSA-N 0 0 285.683 2.579 20 5 CFBDRN C[C@@H]1CO[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000892636308 618666277 /nfs/dbraw/zinc/66/62/77/618666277.db2.gz OBSKTIFPLLXTOO-WRWORJQWSA-N 0 0 285.683 2.579 20 5 CFBDRN O=C(Nc1nccc2cc([N+](=O)[O-])ccc21)[C@@H]1CC12CC2 ZINC000892637651 618666777 /nfs/dbraw/zinc/66/67/77/618666777.db2.gz KZSGVQWBIZJMIM-LBPRGKRZSA-N 0 0 283.287 2.882 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892638189 618666807 /nfs/dbraw/zinc/66/68/07/618666807.db2.gz SXTDROKJTVTIFN-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN CCc1ccccc1CNc1c([N+](=O)[O-])cnn1C ZINC000892645041 618670200 /nfs/dbraw/zinc/67/02/00/618670200.db2.gz JURWIPCKRXFMPD-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)OCC1CC1 ZINC000062699536 618672008 /nfs/dbraw/zinc/67/20/08/618672008.db2.gz XZQJQCJZCYUWNM-UHFFFAOYSA-N 0 0 267.306 2.640 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CC[C@@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000851128732 618689187 /nfs/dbraw/zinc/68/91/87/618689187.db2.gz DCEUZMWVPWRAFD-JGVFFNPUSA-N 0 0 283.246 2.564 20 5 CFBDRN CC(C)(COC(=O)CCSCc1ccccc1)[N+](=O)[O-] ZINC000851130178 618689876 /nfs/dbraw/zinc/68/98/76/618689876.db2.gz SGNZGYQPMVENTQ-UHFFFAOYSA-N 0 0 297.376 2.908 20 5 CFBDRN O=C(Cc1ccccc1F)Oc1cccc([N+](=O)[O-])c1 ZINC000072508509 618700301 /nfs/dbraw/zinc/70/03/01/618700301.db2.gz UZXGJVLXZRTKSY-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn(CC3CC3)c2)n1 ZINC000178242975 618705732 /nfs/dbraw/zinc/70/57/32/618705732.db2.gz LGKJVSLNBCZTLQ-UHFFFAOYSA-N 0 0 273.296 2.648 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])ccc1Cl)c1cccnc1 ZINC000070231003 625286557 /nfs/dbraw/zinc/28/65/57/625286557.db2.gz UCIJJMNZWKTUQP-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CN(C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1cccnc1 ZINC000070230976 625287120 /nfs/dbraw/zinc/28/71/20/625287120.db2.gz LLPOTRDHWLXXKF-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN Cc1cnc(OCc2ccc([N+](=O)[O-])cc2)c([N+](=O)[O-])c1 ZINC000178282982 618733441 /nfs/dbraw/zinc/73/34/41/618733441.db2.gz RQVICMJAYRNFOG-UHFFFAOYSA-N 0 0 289.247 2.785 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2CO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000784025390 618733463 /nfs/dbraw/zinc/73/34/63/618733463.db2.gz BUQAEHJSDLMGOS-MNOVXSKESA-N 0 0 279.292 2.542 20 5 CFBDRN O=[N+]([O-])c1cc(NCCC(F)(F)F)cc([N+](=O)[O-])c1 ZINC000892731511 618734466 /nfs/dbraw/zinc/73/44/66/618734466.db2.gz DCKDAIWODLYAER-UHFFFAOYSA-N 0 0 279.174 2.867 20 5 CFBDRN CCOc1cc(NC(=O)[C@](C)(CC)OC)ccc1[N+](=O)[O-] ZINC000892745448 618738359 /nfs/dbraw/zinc/73/83/59/618738359.db2.gz YJFUJZVUGXTERV-AWEZNQCLSA-N 0 0 296.323 2.747 20 5 CFBDRN CSC[C@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000066762478 618741210 /nfs/dbraw/zinc/74/12/10/618741210.db2.gz XAMLZRCKRISHIH-VIFPVBQESA-N 0 0 269.322 2.808 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C=CCC2)c1C ZINC000892822975 618751583 /nfs/dbraw/zinc/75/15/83/618751583.db2.gz QGAKATZQQBABQU-LLVKDONJSA-N 0 0 260.293 2.660 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H](C)c1ccncc1 ZINC000892837207 618755257 /nfs/dbraw/zinc/75/52/57/618755257.db2.gz SCNGAXULPUFJQH-JTQLQIEISA-N 0 0 288.307 2.609 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892852915 618757739 /nfs/dbraw/zinc/75/77/39/618757739.db2.gz FXUYCMLZLRHCNJ-ZWNOBZJWSA-N 0 0 274.320 2.920 20 5 CFBDRN COC1(C)CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000893096492 618829892 /nfs/dbraw/zinc/82/98/92/618829892.db2.gz MDBSPXCWNHSHGE-UHFFFAOYSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1c(-c2noc(CC3CSC3)n2)cccc1[N+](=O)[O-] ZINC000924093525 618850361 /nfs/dbraw/zinc/85/03/61/618850361.db2.gz RZFMZFYYZJZBFU-UHFFFAOYSA-N 0 0 291.332 2.859 20 5 CFBDRN C[C@@]1(CNc2ccc(N)c([N+](=O)[O-])n2)CC1(Cl)Cl ZINC000853663211 618854547 /nfs/dbraw/zinc/85/45/47/618854547.db2.gz WCDMTNAAFZSYPX-VIFPVBQESA-N 0 0 291.138 2.568 20 5 CFBDRN CCO/C=C/C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000840584723 618858900 /nfs/dbraw/zinc/85/89/00/618858900.db2.gz KBSMSPXHFFMWNL-AATRIKPKSA-N 0 0 285.683 2.842 20 5 CFBDRN CCO/C=C/C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000840584946 618866605 /nfs/dbraw/zinc/86/66/05/618866605.db2.gz MNOJIWQJAWRLDA-AATRIKPKSA-N 0 0 285.683 2.842 20 5 CFBDRN CCn1cc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])c(C)n1 ZINC000893280126 618929158 /nfs/dbraw/zinc/92/91/58/618929158.db2.gz VMFHPUNXKYHNBE-LBPRGKRZSA-N 0 0 288.351 2.970 20 5 CFBDRN Cc1nn(C)c(NCC[C@H]2CC2(Cl)Cl)c1[N+](=O)[O-] ZINC000856784747 618930529 /nfs/dbraw/zinc/93/05/29/618930529.db2.gz BAVRASYCDULLGH-ZETCQYMHSA-N 0 0 293.154 2.633 20 5 CFBDRN Cc1nonc1[C@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000925696221 618948316 /nfs/dbraw/zinc/94/83/16/618948316.db2.gz YHOVHDJIXKVTGD-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN C=C/C=C/CCNC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000893392540 618959495 /nfs/dbraw/zinc/95/94/95/618959495.db2.gz FXGVKCAEUHWEIW-AATRIKPKSA-N 0 0 290.319 2.856 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H]2C(F)F)cc1F ZINC000931423921 618967629 /nfs/dbraw/zinc/96/76/29/618967629.db2.gz HVPFROPULYSWFG-JTQLQIEISA-N 0 0 260.215 2.573 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1C[C@@H]2CCCC[C@H]2C1 ZINC000301565629 618976668 /nfs/dbraw/zinc/97/66/68/618976668.db2.gz PUDJNYYLKPSDGS-RYUDHWBXSA-N 0 0 261.325 2.925 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC(F)(F)C1 ZINC000313315364 618979668 /nfs/dbraw/zinc/97/96/68/618979668.db2.gz SGYZXKLJAFJBEA-UHFFFAOYSA-N 0 0 290.653 2.729 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)Cc2c[nH]nc21 ZINC000931459436 618980388 /nfs/dbraw/zinc/98/03/88/618980388.db2.gz OYGIJRZHUMNTBY-SECBINFHSA-N 0 0 290.298 2.576 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC(F)(F)C1 ZINC000313322374 618981956 /nfs/dbraw/zinc/98/19/56/618981956.db2.gz YLUQYOXOBXTQCV-UHFFFAOYSA-N 0 0 290.653 2.729 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC(F)CC1 ZINC000893420284 618985555 /nfs/dbraw/zinc/98/55/55/618985555.db2.gz SSKUUCHFWFOTKT-UHFFFAOYSA-N 0 0 286.690 2.822 20 5 CFBDRN COC[C@@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)C(C)C ZINC000893457794 619001083 /nfs/dbraw/zinc/00/10/83/619001083.db2.gz DJBIWKGGPBEDSS-ZDUSSCGKSA-N 0 0 295.335 2.599 20 5 CFBDRN CC(=O)C1CCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000111691495 619020684 /nfs/dbraw/zinc/02/06/84/619020684.db2.gz RKZHKBZNLHXDMN-UHFFFAOYSA-N 0 0 266.272 2.539 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(=O)[C@H]1C[C@@H]1C ZINC000909396497 619053968 /nfs/dbraw/zinc/05/39/68/619053968.db2.gz LSTHKNSRPBZOLA-ONGXEEELSA-N 0 0 291.303 2.594 20 5 CFBDRN Cn1cncc1CCCOc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000314143128 619057825 /nfs/dbraw/zinc/05/78/25/619057825.db2.gz UENQFZPBZQEFBW-UHFFFAOYSA-N 0 0 295.726 2.993 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC1(SC)CCC1 ZINC000893560311 619071541 /nfs/dbraw/zinc/07/15/41/619071541.db2.gz ZKPGAKCEALFXBY-UHFFFAOYSA-N 0 0 283.353 2.696 20 5 CFBDRN CSC1(CNc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000893559742 619071997 /nfs/dbraw/zinc/07/19/97/619071997.db2.gz OCPAUGROJSVFIF-UHFFFAOYSA-N 0 0 283.309 2.811 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccco1 ZINC000066960691 619093535 /nfs/dbraw/zinc/09/35/35/619093535.db2.gz SVYSKAJZAIRRAX-UHFFFAOYSA-N 0 0 268.175 2.718 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CCCc2ccc(F)cc21 ZINC000893616252 619101452 /nfs/dbraw/zinc/10/14/52/619101452.db2.gz FSOPHQSLONHGCI-GFCCVEGCSA-N 0 0 290.298 2.957 20 5 CFBDRN COc1ccc(COc2cc(C)c(C)nn2)cc1[N+](=O)[O-] ZINC000107067808 619128434 /nfs/dbraw/zinc/12/84/34/619128434.db2.gz NGJCJZGOMCLXCH-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1C[C@H](c2ccccc2)C1 ZINC000893659505 619147895 /nfs/dbraw/zinc/14/78/95/619147895.db2.gz CCAKKUWGHPJMBH-HAQNSBGRSA-N 0 0 272.308 2.686 20 5 CFBDRN CSc1ccc(CCNc2c([N+](=O)[O-])cnn2C)cc1 ZINC000893659884 619147916 /nfs/dbraw/zinc/14/79/16/619147916.db2.gz MGSZKOOOTUKSDV-UHFFFAOYSA-N 0 0 292.364 2.705 20 5 CFBDRN C[C@H](CNC(=O)NC1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000893662139 619148892 /nfs/dbraw/zinc/14/88/92/619148892.db2.gz VGMKUDSTUCVBDP-LLVKDONJSA-N 0 0 289.335 2.716 20 5 CFBDRN CC1=CCCN(c2c3ccccc3n(C)c(=O)c2[N+](=O)[O-])C1 ZINC000893664860 619150556 /nfs/dbraw/zinc/15/05/56/619150556.db2.gz XEUBHFLJTPQHOV-UHFFFAOYSA-N 0 0 299.330 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](CCO)C2)c(Cl)c1 ZINC000051895017 619161156 /nfs/dbraw/zinc/16/11/56/619161156.db2.gz QGQUMRCPKIQOLJ-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@@H]1CCCC(F)(F)C1 ZINC000893693369 619161905 /nfs/dbraw/zinc/16/19/05/619161905.db2.gz BMXDPFODBJYBTN-MRVPVSSYSA-N 0 0 274.271 2.566 20 5 CFBDRN O=C(Nc1ccc2ccccc2c1)c1c[nH]nc1[N+](=O)[O-] ZINC000788262823 619171545 /nfs/dbraw/zinc/17/15/45/619171545.db2.gz YOOLKFRVSIIHOX-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000925875356 619195996 /nfs/dbraw/zinc/19/59/96/619195996.db2.gz ROAGXNBUEAPTSX-UHFFFAOYSA-N 0 0 298.364 2.860 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000925879065 619199951 /nfs/dbraw/zinc/19/99/51/619199951.db2.gz SXMTWIMMNRHUSQ-UHFFFAOYSA-N 0 0 284.337 2.551 20 5 CFBDRN CO[C@@H](C)CN(C)c1c2cc(F)ccc2ncc1[N+](=O)[O-] ZINC000893847783 619228843 /nfs/dbraw/zinc/22/88/43/619228843.db2.gz JGEMKHCKIBVOER-VIFPVBQESA-N 0 0 293.298 2.753 20 5 CFBDRN Cc1ccc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cn1 ZINC000047538238 619267657 /nfs/dbraw/zinc/26/76/57/619267657.db2.gz CGRQYLZDSZVSAT-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN COc1ccc([C@H](C)OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1Cl ZINC000840670841 619301737 /nfs/dbraw/zinc/30/17/37/619301737.db2.gz KNUXNRMJOOKNFM-JVUFJMBOSA-N 0 0 299.710 2.618 20 5 CFBDRN Cc1cncc(/C=C/C(=O)Oc2cccc([N+](=O)[O-])c2)c1 ZINC000159362293 619308156 /nfs/dbraw/zinc/30/81/56/619308156.db2.gz POZGZUZAXGIPBT-AATRIKPKSA-N 0 0 284.271 2.917 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc(Cl)c1F ZINC000840672985 619330747 /nfs/dbraw/zinc/33/07/47/619330747.db2.gz HCLUEGBDOGFWGM-GTNGPMTGSA-N 0 0 287.674 2.749 20 5 CFBDRN CCC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791736648 619348412 /nfs/dbraw/zinc/34/84/12/619348412.db2.gz QNDOOCRFKVMXST-VIFPVBQESA-N 0 0 296.279 2.982 20 5 CFBDRN Cc1cc(C(=O)OCC[C@@H]2CCCC2=O)ccc1[N+](=O)[O-] ZINC000748166396 619353556 /nfs/dbraw/zinc/35/35/56/619353556.db2.gz ZQHFUJMUBIECEV-NSHDSACASA-N 0 0 291.303 2.819 20 5 CFBDRN CC1=NN(c2cccc([N+](=O)[O-])c2)C(=O)[C@H]1C(C)C ZINC000108459830 619360634 /nfs/dbraw/zinc/36/06/34/619360634.db2.gz URMOYBKIQOHKKF-LBPRGKRZSA-N 0 0 261.281 2.590 20 5 CFBDRN Cn1c(=O)c([N+](=O)[O-])c(N[C@@H]2C=CCC2)c2ccccc21 ZINC000894522316 619410111 /nfs/dbraw/zinc/41/01/11/619410111.db2.gz GTDGBIWNCXPRMN-SNVBAGLBSA-N 0 0 285.303 2.577 20 5 CFBDRN C[C@]1(CNc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1(F)F ZINC000894557408 619415676 /nfs/dbraw/zinc/41/56/76/619415676.db2.gz DKLUIDKWSUZGQB-SNVBAGLBSA-N 0 0 287.222 2.960 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2ccc([N+](=O)[O-])cc2Cl)[nH]1 ZINC000894772977 619460431 /nfs/dbraw/zinc/46/04/31/619460431.db2.gz SVAHBVJBDDZBSC-SSDOTTSWSA-N 0 0 295.730 2.526 20 5 CFBDRN CCNc1cc(CNc2nccc(C)c2[N+](=O)[O-])ccn1 ZINC000894869925 619492110 /nfs/dbraw/zinc/49/21/10/619492110.db2.gz GMSBWFDCISXSRB-UHFFFAOYSA-N 0 0 287.323 2.737 20 5 CFBDRN Cc1nc2c(s1)CN(c1c(Cl)cncc1[N+](=O)[O-])C2 ZINC000894906735 619510161 /nfs/dbraw/zinc/51/01/61/619510161.db2.gz BCDDHHPFUJQMKW-UHFFFAOYSA-N 0 0 296.739 2.928 20 5 CFBDRN Cc1nc2c(s1)CN(c1sccc1[N+](=O)[O-])C2 ZINC000894907713 619511005 /nfs/dbraw/zinc/51/10/05/619511005.db2.gz SPAUIULEJYMDTI-UHFFFAOYSA-N 0 0 267.335 2.941 20 5 CFBDRN C[C@@H]1CNc2c(Nc3ccc([N+](=O)[O-])cc3)cnn2C1 ZINC000894952615 619517844 /nfs/dbraw/zinc/51/78/44/619517844.db2.gz BFGDKNMTIPDJAM-SECBINFHSA-N 0 0 273.296 2.597 20 5 CFBDRN Cc1ccc(CNc2cccc([C@@H](C)O)n2)cc1[N+](=O)[O-] ZINC000895011529 619525531 /nfs/dbraw/zinc/52/55/31/619525531.db2.gz DHHJCFABLDCNBV-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN C[C@H]1CCC[C@H](C2CN(c3c([N+](=O)[O-])cnn3C)C2)C1 ZINC000895034988 619529815 /nfs/dbraw/zinc/52/98/15/619529815.db2.gz SOYIPAAWINYQOZ-QWRGUYRKSA-N 0 0 278.356 2.591 20 5 CFBDRN CC(C)(C)OC[C@@H]1CCCN1c1cc(N)ccc1[N+](=O)[O-] ZINC000895660845 619578206 /nfs/dbraw/zinc/57/82/06/619578206.db2.gz YWHBWUYCKVMODE-LBPRGKRZSA-N 0 0 293.367 2.961 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000895722018 619590956 /nfs/dbraw/zinc/59/09/56/619590956.db2.gz BDRVLKLIZXAWFG-KOLCDFICSA-N 0 0 299.330 2.716 20 5 CFBDRN CC[C@@H](CNc1ccc([N+](=O)[O-])cn1)C(=O)OC(C)(C)C ZINC000895826377 619612698 /nfs/dbraw/zinc/61/26/98/619612698.db2.gz ZMMRXMAZSDCYFR-JTQLQIEISA-N 0 0 295.339 2.770 20 5 CFBDRN CC[C@@H](CNc1ncccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000895826010 619615769 /nfs/dbraw/zinc/61/57/69/619615769.db2.gz NCVTYENITJMOPM-JTQLQIEISA-N 0 0 295.339 2.770 20 5 CFBDRN COc1ccc(CNCc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000895833313 619619313 /nfs/dbraw/zinc/61/93/13/619619313.db2.gz MQGVEYMXAXWHLK-UHFFFAOYSA-N 0 0 276.292 2.795 20 5 CFBDRN O=[N+]([O-])c1cnn(C2CC(Nc3cncc(Cl)c3)C2)c1 ZINC000895874412 619625200 /nfs/dbraw/zinc/62/52/00/619625200.db2.gz GBWWAMACZHJRFD-UHFFFAOYSA-N 0 0 293.714 2.655 20 5 CFBDRN C[N@@H+](C/C=C/c1ccccc1[N+](=O)[O-])CCCF ZINC000895898047 619629903 /nfs/dbraw/zinc/62/99/03/619629903.db2.gz IWDLJIHMUGHDJL-QPJJXVBHSA-N 0 0 252.289 2.899 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1c1ncccn1 ZINC000895944529 619641776 /nfs/dbraw/zinc/64/17/76/619641776.db2.gz QCDQHLCLZKOHCC-CYBMUJFWSA-N 0 0 270.292 2.726 20 5 CFBDRN C[C@H]1CO[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000895954335 619643590 /nfs/dbraw/zinc/64/35/90/619643590.db2.gz ZLGWCDWVGHLYCM-KCJUWKMLSA-N 0 0 275.264 2.742 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCn1ccnc1C(C)C ZINC000895988662 619649224 /nfs/dbraw/zinc/64/92/24/619649224.db2.gz VGDYMGBEOKPLHB-UHFFFAOYSA-N 0 0 289.339 2.730 20 5 CFBDRN C[C@@H]1Cc2cc(CNc3ccc([N+](=O)[O-])nc3)ccc2O1 ZINC000896044884 619659276 /nfs/dbraw/zinc/65/92/76/619659276.db2.gz FKRGAFCMQKQLAL-SNVBAGLBSA-N 0 0 285.303 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)C2)c1C ZINC000896048282 619660139 /nfs/dbraw/zinc/66/01/39/619660139.db2.gz MABZKDVMXNJLOE-VIFPVBQESA-N 0 0 262.309 2.694 20 5 CFBDRN C[C@@H]1Cc2cc(CNc3cccnc3[N+](=O)[O-])ccc2O1 ZINC000896042722 619662115 /nfs/dbraw/zinc/66/21/15/619662115.db2.gz FFNRGYQUWZDMGB-SNVBAGLBSA-N 0 0 285.303 2.925 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1nc2c(s1)CCC2 ZINC000896070251 619666193 /nfs/dbraw/zinc/66/61/93/619666193.db2.gz RVXYZCXIQLEKBB-UHFFFAOYSA-N 0 0 290.348 2.590 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2CC=CC2)c(F)c1 ZINC000896094513 619672446 /nfs/dbraw/zinc/67/24/46/619672446.db2.gz OPPZXOCBVBBHCE-UHFFFAOYSA-N 0 0 251.261 2.897 20 5 CFBDRN CN(C)[C@H](CCNc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000896110012 619676224 /nfs/dbraw/zinc/67/62/24/619676224.db2.gz QJQSQKRIADIHHY-LLVKDONJSA-N 0 0 291.273 2.889 20 5 CFBDRN CN(C)[C@H](CCNc1sccc1[N+](=O)[O-])C(F)(F)F ZINC000896110457 619676452 /nfs/dbraw/zinc/67/64/52/619676452.db2.gz LOEDZSJLYWKJCV-MRVPVSSYSA-N 0 0 297.302 2.951 20 5 CFBDRN C[C@]1(CNc2ccnc(F)c2[N+](=O)[O-])CCCCO1 ZINC000896182028 619687532 /nfs/dbraw/zinc/68/75/32/619687532.db2.gz PMSHYFZEZLKQJM-GFCCVEGCSA-N 0 0 269.276 2.500 20 5 CFBDRN CCC[C@H](O)CCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000896186099 619690185 /nfs/dbraw/zinc/69/01/85/619690185.db2.gz FSTRWPMSSBFKQD-LBPRGKRZSA-N 0 0 252.314 2.866 20 5 CFBDRN CCC[C@H](O)CCNc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000896187219 619690655 /nfs/dbraw/zinc/69/06/55/619690655.db2.gz XDZLBJLNZQIZTR-NSHDSACASA-N 0 0 297.355 2.740 20 5 CFBDRN Cc1cnc(N[C@@H]2CCS[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000896244262 619699395 /nfs/dbraw/zinc/69/93/95/619699395.db2.gz HAFJNZDDVBNYGH-NXEZZACHSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3nonc32)CCS1 ZINC000896244196 619699403 /nfs/dbraw/zinc/69/94/03/619699403.db2.gz GDJIYNPVVBUGBQ-HTQZYQBOSA-N 0 0 294.336 2.827 20 5 CFBDRN C[C@H]1C[C@H](Nc2nc3ccccn3c2[N+](=O)[O-])CCS1 ZINC000896244204 619699502 /nfs/dbraw/zinc/69/95/02/619699502.db2.gz GDPUKXAWBBGCMQ-VHSXEESVSA-N 0 0 292.364 2.939 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccnc(F)c2[N+](=O)[O-])CCS1 ZINC000896245096 619699835 /nfs/dbraw/zinc/69/98/35/619699835.db2.gz DRQDAVIKBAJHOM-SFYZADRCSA-N 0 0 271.317 2.825 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCS[C@@H](C)C1 ZINC000896245695 619700248 /nfs/dbraw/zinc/70/02/48/619700248.db2.gz ABWUCQXRKHGVCA-WPRPVWTQSA-N 0 0 284.385 2.816 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCS[C@H](C)C1 ZINC000896248090 619701511 /nfs/dbraw/zinc/70/15/11/619701511.db2.gz JTQXLINUBTWJDY-SCZZXKLOSA-N 0 0 284.385 2.816 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc3nonc3c2[N+](=O)[O-])CCS1 ZINC000896248925 619704899 /nfs/dbraw/zinc/70/48/99/619704899.db2.gz XMVQAPSAMBNAOA-SFYZADRCSA-N 0 0 294.336 2.827 20 5 CFBDRN CC(C)(CCc1noc(C[C@@H]2C[C@H]2C2CC2)n1)[N+](=O)[O-] ZINC000896315053 619716440 /nfs/dbraw/zinc/71/64/40/619716440.db2.gz LOYUQMSDNGHADL-QWRGUYRKSA-N 0 0 279.340 2.646 20 5 CFBDRN C[C@@H]1CCC(=O)CN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000896340585 619719854 /nfs/dbraw/zinc/71/98/54/619719854.db2.gz ZQEXELSTRBAVOE-SNVBAGLBSA-N 0 0 285.303 2.701 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CC2)[C@@H]2CCCOC2)c(F)c1 ZINC000896435260 619733448 /nfs/dbraw/zinc/73/34/48/619733448.db2.gz KDKGOBGCJZFHBH-MFKMUULPSA-N 0 0 295.314 2.746 20 5 CFBDRN Cc1nc(NCc2cccc3c2OCC3)ccc1[N+](=O)[O-] ZINC000896490927 619744921 /nfs/dbraw/zinc/74/49/21/619744921.db2.gz XUHXVKGNYJXBSV-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN COC[C@@H](CNc1ccc([N+](=O)[O-])c(N)c1F)C(C)C ZINC000896505049 619752265 /nfs/dbraw/zinc/75/22/65/619752265.db2.gz KMCHAYVCFYWYAA-SECBINFHSA-N 0 0 285.319 2.647 20 5 CFBDRN C[C@H]1CCN(Cc2ccc([N+](=O)[O-])c(Cl)c2)CCO1 ZINC000896583975 619767348 /nfs/dbraw/zinc/76/73/48/619767348.db2.gz KWQCQZUCRJEGNP-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1noc2c1COCC2 ZINC000896704869 619791332 /nfs/dbraw/zinc/79/13/32/619791332.db2.gz QWELWXSOKKEIDR-UHFFFAOYSA-N 0 0 289.291 2.576 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1noc2c1COCC2 ZINC000896706719 619791481 /nfs/dbraw/zinc/79/14/81/619791481.db2.gz JZBZMMBFIMBAOB-UHFFFAOYSA-N 0 0 289.291 2.576 20 5 CFBDRN Cc1c(-c2nc(C3CSC3)no2)cccc1[N+](=O)[O-] ZINC000896713037 619796280 /nfs/dbraw/zinc/79/62/80/619796280.db2.gz CVFXTKILRGSFKM-UHFFFAOYSA-N 0 0 277.305 2.784 20 5 CFBDRN Cc1nccc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000896795031 619820238 /nfs/dbraw/zinc/82/02/38/619820238.db2.gz ZILFAHMYNLSFFQ-SNVBAGLBSA-N 0 0 272.308 2.909 20 5 CFBDRN Cc1nnc(NC[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000896794890 619820326 /nfs/dbraw/zinc/82/03/26/619820326.db2.gz XLKJDLBFMSJSOU-QMMMGPOBSA-N 0 0 262.269 2.502 20 5 CFBDRN Cc1ccc(NC[C@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC000896794891 619820447 /nfs/dbraw/zinc/82/04/47/619820447.db2.gz XMSOXLPTRSMCLZ-JTQLQIEISA-N 0 0 272.308 2.909 20 5 CFBDRN C[C@@H](CNC(=O)N(C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000897049465 619919745 /nfs/dbraw/zinc/91/97/45/619919745.db2.gz FTSDGGDDIWEZEG-JTQLQIEISA-N 0 0 277.324 2.502 20 5 CFBDRN Cc1nc(NC2CC3(C2)CO[C@H](C)C3)ccc1[N+](=O)[O-] ZINC000897053302 619921261 /nfs/dbraw/zinc/92/12/61/619921261.db2.gz UUHIZXMEUXVQPZ-FDMSEYEVSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc(NC2CC3(C2)CO[C@@H](C)C3)ncc1[N+](=O)[O-] ZINC000897051144 619922556 /nfs/dbraw/zinc/92/25/56/619922556.db2.gz CMHISJHZYLNQNZ-IFQILLTASA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCSC(C)(C)C2)c1[N+](=O)[O-] ZINC000897062703 619923663 /nfs/dbraw/zinc/92/36/63/619923663.db2.gz YGFQLNIUDMZBSS-SECBINFHSA-N 0 0 284.385 2.723 20 5 CFBDRN C[C@H](CNC(=O)NC1(C)CCC1)c1ccccc1[N+](=O)[O-] ZINC000897062717 619923685 /nfs/dbraw/zinc/92/36/85/619923685.db2.gz YLBATSVVOTZLNO-LLVKDONJSA-N 0 0 291.351 2.940 20 5 CFBDRN CCO[C@H]1CC[N@H+](C/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000897069514 619925270 /nfs/dbraw/zinc/92/52/70/619925270.db2.gz WPDDANCFFZUSJF-VSKVDJIOSA-N 0 0 276.336 2.719 20 5 CFBDRN COc1c(C(=O)OC[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000796767319 619933965 /nfs/dbraw/zinc/93/39/65/619933965.db2.gz DMYMVCIJTYRHLQ-SECBINFHSA-N 0 0 297.332 2.513 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ncc([N+](=O)[O-])c2ccccc21 ZINC000897140572 619938174 /nfs/dbraw/zinc/93/81/74/619938174.db2.gz YVJNYTQMNOFYCF-JSGCOSHPSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000897142047 619938625 /nfs/dbraw/zinc/93/86/25/619938625.db2.gz FSGZYCMNLZPKMX-CABCVRRESA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000897142895 619938959 /nfs/dbraw/zinc/93/89/59/619938959.db2.gz VEZRWJUWFBLMJZ-CABCVRRESA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000897150208 619940112 /nfs/dbraw/zinc/94/01/12/619940112.db2.gz ZGHWAQWPIBOBKW-JSGCOSHPSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1cccc(C)c1[N+](=O)[O-] ZINC000897145860 619940933 /nfs/dbraw/zinc/94/09/33/619940933.db2.gz VKLZATDCIOEBDH-CMPLNLGQSA-N 0 0 250.298 2.517 20 5 CFBDRN CCOc1cccc(N(C)[C@H]2CC[C@@H]2OC)c1[N+](=O)[O-] ZINC000897146997 619941198 /nfs/dbraw/zinc/94/11/98/619941198.db2.gz DVFBBXPVSWBUMZ-JQWIXIFHSA-N 0 0 280.324 2.607 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]2C2CCCC2)c(F)c1 ZINC000897155652 619941797 /nfs/dbraw/zinc/94/17/97/619941797.db2.gz DVANBCZLSJLFLL-GFCCVEGCSA-N 0 0 265.288 2.898 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H]3CCC[C@H]23)c(F)c1 ZINC000897176362 619944615 /nfs/dbraw/zinc/94/46/15/619944615.db2.gz UAAUUXFHOAVLBC-OVYXKVPISA-N 0 0 251.261 2.729 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897179457 619945317 /nfs/dbraw/zinc/94/53/17/619945317.db2.gz IYGTZDHIJXTOLE-OUAUKWLOSA-N 0 0 264.329 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC2CC(CCO)C2)c1 ZINC000897233166 619955921 /nfs/dbraw/zinc/95/59/21/619955921.db2.gz FQBFHYVAEMSJGB-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1c(NC2CC(CCO)C2)ccc2cnccc21 ZINC000897236649 619956117 /nfs/dbraw/zinc/95/61/17/619956117.db2.gz PWLNOVQCIFGHJB-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN Nc1ccc(NCC[C@H]2CCC(F)(F)C2)nc1[N+](=O)[O-] ZINC000897236316 619956258 /nfs/dbraw/zinc/95/62/58/619956258.db2.gz GKSZJYVKLHJEMR-MRVPVSSYSA-N 0 0 286.282 2.809 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC[C@H]1CCC(F)(F)C1 ZINC000897239436 619957320 /nfs/dbraw/zinc/95/73/20/619957320.db2.gz CYEPQNWRHJDVCD-MRVPVSSYSA-N 0 0 274.271 2.566 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCCC(C)(C)O1 ZINC000897253567 619959734 /nfs/dbraw/zinc/95/97/34/619959734.db2.gz DHWNZQLRTGGPRG-LLVKDONJSA-N 0 0 296.371 2.879 20 5 CFBDRN CC1(C)CCC[C@@H](CNc2ncccc2[N+](=O)[O-])O1 ZINC000897254056 619960185 /nfs/dbraw/zinc/96/01/85/619960185.db2.gz KSFRJTRMLMPWFQ-JTQLQIEISA-N 0 0 265.313 2.749 20 5 CFBDRN CC1(C)CCC[C@@H](CNc2cc(N)ccc2[N+](=O)[O-])O1 ZINC000897254746 619960271 /nfs/dbraw/zinc/96/02/71/619960271.db2.gz UVNNNJOBWZYFIL-NSHDSACASA-N 0 0 279.340 2.937 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@]12C[C@H]1COC21CCC1 ZINC000897265908 619962160 /nfs/dbraw/zinc/96/21/60/619962160.db2.gz UVKUVIRNBJCMBB-SMDDNHRTSA-N 0 0 289.335 2.669 20 5 CFBDRN C[C@@H]1CN(c2ncccc2[N+](=O)[O-])CCN1c1ccccc1 ZINC000897274610 619966115 /nfs/dbraw/zinc/96/61/15/619966115.db2.gz PMTTWNQAUOMEJI-CYBMUJFWSA-N 0 0 298.346 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](Cc3nccs3)C2)cn1 ZINC000897285030 619969223 /nfs/dbraw/zinc/96/92/23/619969223.db2.gz ROQCZEAJOWYHLM-SNVBAGLBSA-N 0 0 290.348 2.515 20 5 CFBDRN CC(C)COCCCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000070793597 625389030 /nfs/dbraw/zinc/38/90/30/625389030.db2.gz QQLNVLBUMLJVCH-UHFFFAOYSA-N 0 0 297.311 2.978 20 5 CFBDRN Cc1cc(N[C@H]2CCOC23CCOCC3)ccc1[N+](=O)[O-] ZINC000897323896 619993438 /nfs/dbraw/zinc/99/34/38/619993438.db2.gz RVAFNSZERAYOCG-AWEZNQCLSA-N 0 0 292.335 2.653 20 5 CFBDRN Cc1cc(CSc2nc(C)ccc2[N+](=O)[O-])no1 ZINC000897333097 619998418 /nfs/dbraw/zinc/99/84/18/619998418.db2.gz BRIUEVQGKXKSRK-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN COc1ccc(CNc2nc3ccccn3c2[N+](=O)[O-])cc1 ZINC000070789581 625388394 /nfs/dbraw/zinc/38/83/94/625388394.db2.gz NMUJIXZYDIDTAA-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H](O)C2CC2)c2ccncc21 ZINC000897387113 620089529 /nfs/dbraw/zinc/08/95/29/620089529.db2.gz GBWJGTCEFXPMGL-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1Nc1ncc([N+](=O)[O-])cc1F ZINC000897393038 620093311 /nfs/dbraw/zinc/09/33/11/620093311.db2.gz YFTKIEKCJMHWLI-LDYMZIIASA-N 0 0 251.261 2.896 20 5 CFBDRN CCC(CC)(C[NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000263039455 620098751 /nfs/dbraw/zinc/09/87/51/620098751.db2.gz IMUBLLOUEWCMAP-UHFFFAOYSA-N 0 0 280.324 2.575 20 5 CFBDRN COC1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CCCCCC1 ZINC000897406465 620105024 /nfs/dbraw/zinc/10/50/24/620105024.db2.gz SUUCPCVSKCLORT-UHFFFAOYSA-N 0 0 295.339 2.847 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1ccc([N+](=O)[O-])s1 ZINC000889581251 620148064 /nfs/dbraw/zinc/14/80/64/620148064.db2.gz OYPDDLHTTXOURX-IWSPIJDZSA-N 0 0 266.322 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2COC[C@H]2C2CC2)c1 ZINC000897452873 620174661 /nfs/dbraw/zinc/17/46/61/620174661.db2.gz YHEJOCCVFRBBEL-GJZGRUSLSA-N 0 0 299.330 2.980 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2ccnc(C)n2)c([N+](=O)[O-])c1 ZINC000079796293 620178959 /nfs/dbraw/zinc/17/89/59/620178959.db2.gz CSPHXSXGECYFDE-UHFFFAOYSA-N 0 0 287.275 2.529 20 5 CFBDRN COC(=O)C[C@@H]1CC[C@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000897542131 620234193 /nfs/dbraw/zinc/23/41/93/620234193.db2.gz HXOPDOVLOFPYKW-BDAKNGLRSA-N 0 0 284.337 2.800 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000923987535 620240934 /nfs/dbraw/zinc/24/09/34/620240934.db2.gz RELCEXXKTHPHNN-ZWNOBZJWSA-N 0 0 289.335 2.898 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1NCCCO ZINC000865397718 620245606 /nfs/dbraw/zinc/24/56/06/620245606.db2.gz JZYOONFIIYLJLX-UHFFFAOYSA-N 0 0 265.096 2.696 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2[C@H](C)C[C@@H]2C)c1C ZINC000897613359 620258985 /nfs/dbraw/zinc/25/89/85/620258985.db2.gz OKERCMAWUYXOMS-AOOOYVTPSA-N 0 0 262.309 2.835 20 5 CFBDRN CCN(CC)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929141154 620267238 /nfs/dbraw/zinc/26/72/38/620267238.db2.gz MRBZOXMFOOIOGD-QWHCGFSZSA-N 0 0 262.309 2.567 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H]3C[C@H]32)c1C ZINC000897731287 620280484 /nfs/dbraw/zinc/28/04/84/620280484.db2.gz VRIZMMDFSHBLFZ-WCQYABFASA-N 0 0 274.320 2.836 20 5 CFBDRN CCC[C@@H](NCc1c(C)ccc([N+](=O)[O-])c1C)C(=O)OC ZINC000897833282 620291301 /nfs/dbraw/zinc/29/13/01/620291301.db2.gz CXCSVMQZKBUEQY-CYBMUJFWSA-N 0 0 294.351 2.643 20 5 CFBDRN Nc1c(C(=O)N[C@H]2CC23CC3)cc2ccccc2c1[N+](=O)[O-] ZINC000898009244 620316540 /nfs/dbraw/zinc/31/65/40/620316540.db2.gz KGGBCKIIZVRGLT-LBPRGKRZSA-N 0 0 297.314 2.613 20 5 CFBDRN CC(C)c1ccc(CN2CC[C@@H](O)[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000898194840 620351894 /nfs/dbraw/zinc/35/18/94/620351894.db2.gz PVAIEXZUZLUENU-DZGCQCFKSA-N 0 0 296.342 2.623 20 5 CFBDRN COC[C@H]1CCCCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000832605643 625423586 /nfs/dbraw/zinc/42/35/86/625423586.db2.gz IISPEYILYSHTOZ-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN O=C(OCC[C@@H]1CCCO1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000787573726 620409612 /nfs/dbraw/zinc/40/96/12/620409612.db2.gz ALOGAKAFRYVJKY-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN Cc1cc(CCC(=O)OCc2ccc([N+](=O)[O-])cc2)no1 ZINC000923884502 620419867 /nfs/dbraw/zinc/41/98/67/620419867.db2.gz OGDMDXYGNLFRFS-UHFFFAOYSA-N 0 0 290.275 2.567 20 5 CFBDRN O=[N+]([O-])c1cccc(S(=O)(=O)N2CCCCCCC2)c1 ZINC000192701514 620420899 /nfs/dbraw/zinc/42/08/99/620420899.db2.gz VUEDPKXMNJNNSA-UHFFFAOYSA-N 0 0 298.364 2.550 20 5 CFBDRN Cc1cc(C(=O)OCCOCC2CCC2)ccc1[N+](=O)[O-] ZINC000842055499 620422882 /nfs/dbraw/zinc/42/28/82/620422882.db2.gz KSXDBDWTKZBACL-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN O=C(OC[C@@H]1CC1(Cl)Cl)c1ccccc1[N+](=O)[O-] ZINC000078301232 620447492 /nfs/dbraw/zinc/44/74/92/620447492.db2.gz ZEHIFZNGELEZNW-ZETCQYMHSA-N 0 0 290.102 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H]3C[C@@H]3C2)c1C ZINC000898976725 620511189 /nfs/dbraw/zinc/51/11/89/620511189.db2.gz YZVNXEPAHHCNMQ-VXGBXAGGSA-N 0 0 274.320 2.694 20 5 CFBDRN CN(C(=O)OC(C)(C)C)c1ccc(OCC[N+](=O)[O-])cc1 ZINC000899231939 620534129 /nfs/dbraw/zinc/53/41/29/620534129.db2.gz IXIGDWQJHYQFAC-UHFFFAOYSA-N 0 0 296.323 2.713 20 5 CFBDRN CCOc1ccc2ccccc2c1OCC[N+](=O)[O-] ZINC000899232979 620534465 /nfs/dbraw/zinc/53/44/65/620534465.db2.gz YWFBUQLKWBPOCD-UHFFFAOYSA-N 0 0 261.277 2.894 20 5 CFBDRN CC1=NN(c2cccc([N+](=O)[O-])c2C)C(=O)C12CCC2 ZINC000899349773 620549675 /nfs/dbraw/zinc/54/96/75/620549675.db2.gz MJCGFNDGACCAMW-UHFFFAOYSA-N 0 0 273.292 2.796 20 5 CFBDRN C/C(=C\Cl)CS(=O)(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000899496963 620574844 /nfs/dbraw/zinc/57/48/44/620574844.db2.gz QAUOJYRTKRNUNM-RMKNXTFCSA-N 0 0 289.740 2.652 20 5 CFBDRN C[C@H](CNC(=S)NCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000899621188 620586829 /nfs/dbraw/zinc/58/68/29/620586829.db2.gz ICAIFMXDLAEUFN-SNVBAGLBSA-N 0 0 293.392 2.572 20 5 CFBDRN C[C@@H](CNC(=S)NC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000899621089 620586884 /nfs/dbraw/zinc/58/68/84/620586884.db2.gz CVTKXBGALBOYSV-JTQLQIEISA-N 0 0 293.392 2.715 20 5 CFBDRN CC[C@@H](C)NC(=S)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621346 620586910 /nfs/dbraw/zinc/58/69/10/620586910.db2.gz KEXAJXQMRDZPDH-WDEREUQCSA-N 0 0 295.408 2.961 20 5 CFBDRN Cc1ccc(-n2cnnc2SCC[N+](=O)[O-])cc1Cl ZINC000899862059 620606630 /nfs/dbraw/zinc/60/66/30/620606630.db2.gz RDTLXCQCXUTZFJ-UHFFFAOYSA-N 0 0 298.755 2.598 20 5 CFBDRN CC[C@@H](c1nnc(SCC[N+](=O)[O-])o1)c1ccccc1 ZINC000899875697 620607902 /nfs/dbraw/zinc/60/79/02/620607902.db2.gz HUPLESXKFJZOIJ-LLVKDONJSA-N 0 0 293.348 2.980 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)C[NH2+][C@H](C)[C@H](C)C(=O)[O-] ZINC000901455132 620828099 /nfs/dbraw/zinc/82/80/99/620828099.db2.gz ZAPHOASZUHSIIZ-DXGKCEBASA-N 0 0 292.335 2.697 20 5 CFBDRN COC/C(C)=C\COc1cc([N+](=O)[O-])ccc1C ZINC000901470202 620832206 /nfs/dbraw/zinc/83/22/06/620832206.db2.gz ALELMMFVZNEGCO-POHAHGRESA-N 0 0 251.282 2.875 20 5 CFBDRN Cc1cnc(OCc2cc(C)cc(C)n2)c([N+](=O)[O-])c1 ZINC000901504325 620839041 /nfs/dbraw/zinc/83/90/41/620839041.db2.gz DKOFHOYLWRKKEO-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN Cc1cnc(OCCOC2CCSCC2)c([N+](=O)[O-])c1 ZINC000901506300 620839563 /nfs/dbraw/zinc/83/95/63/620839563.db2.gz WYSUFZKGCDTNQS-UHFFFAOYSA-N 0 0 298.364 2.589 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@]12C[C@H]1CCC2 ZINC000901520951 620843714 /nfs/dbraw/zinc/84/37/14/620843714.db2.gz VIZURTDBDOLHJK-AMIZOPFISA-N 0 0 264.256 2.863 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000901532044 620848045 /nfs/dbraw/zinc/84/80/45/620848045.db2.gz VGFUKJNEMISMFP-QMTHXVAHSA-N 0 0 285.303 2.683 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@]12CCO[C@H]1CCCC2 ZINC000901519014 620854766 /nfs/dbraw/zinc/85/47/66/620854766.db2.gz VXBHBWTVICQEEN-ZFWWWQNUSA-N 0 0 290.319 2.883 20 5 CFBDRN CC[C@H](C)C[C@@H]([NH2+]Cc1csc([N+](=O)[O-])c1)C(=O)[O-] ZINC000901675037 620881846 /nfs/dbraw/zinc/88/18/46/620881846.db2.gz QVZZVRHWILVLPR-WCBMZHEXSA-N 0 0 286.353 2.635 20 5 CFBDRN O=C(COc1cccc(C(F)(F)F)c1[N+](=O)[O-])C(F)F ZINC000901654175 620883539 /nfs/dbraw/zinc/88/35/39/620883539.db2.gz NMPZJTPMLURIMR-UHFFFAOYSA-N 0 0 299.151 2.827 20 5 CFBDRN O=C([O-])C1(C[NH2+]Cc2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000901785978 620915055 /nfs/dbraw/zinc/91/50/55/620915055.db2.gz MTGZAOYHPNJJGB-UHFFFAOYSA-N 0 0 292.335 2.720 20 5 CFBDRN Cc1nnc(CCSCc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000901891091 620931655 /nfs/dbraw/zinc/93/16/55/620931655.db2.gz ATPUSBSQEYMQSF-UHFFFAOYSA-N 0 0 297.311 2.901 20 5 CFBDRN Cc1cc(CNc2cccc([N+](=O)[O-])c2C)c(C)nn1 ZINC000901930365 620944270 /nfs/dbraw/zinc/94/42/70/620944270.db2.gz RSAMIQSVEJTOLT-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN COCCc1ccccc1NCc1cccnc1[N+](=O)[O-] ZINC000902039007 620961698 /nfs/dbraw/zinc/96/16/98/620961698.db2.gz IBWFGFXMISUYCS-UHFFFAOYSA-N 0 0 287.319 2.791 20 5 CFBDRN COc1cccc(Cn2nc(C)c([N+](=O)[O-])c2C)c1C ZINC000902128391 620984574 /nfs/dbraw/zinc/98/45/74/620984574.db2.gz FXTVIXYHTLVHBP-UHFFFAOYSA-N 0 0 275.308 2.773 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1CC[C@@H]1C[C@H]1C1CC1 ZINC000902190857 620995227 /nfs/dbraw/zinc/99/52/27/620995227.db2.gz KSMAXUZGRFGJEE-PWSUYJOCSA-N 0 0 288.303 2.939 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@H]1C[C@]12CCOC2 ZINC000902234540 621003869 /nfs/dbraw/zinc/00/38/69/621003869.db2.gz QNJWDRUTNCTNHP-RNCFNFMXSA-N 0 0 267.256 2.539 20 5 CFBDRN Cc1ccn(C)c(=O)c1NCc1cccc([N+](=O)[O-])c1C ZINC000902257006 621007039 /nfs/dbraw/zinc/00/70/39/621007039.db2.gz MTJKGGYVKVFKEC-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2C[C@H]1C[C@@]12CCOC2 ZINC000902259093 621007919 /nfs/dbraw/zinc/00/79/19/621007919.db2.gz MQSCUDRITDKNAX-IAQYHMDHSA-N 0 0 272.304 2.976 20 5 CFBDRN CC(C)OCCSCc1cccnc1[N+](=O)[O-] ZINC000902320078 621023666 /nfs/dbraw/zinc/02/36/66/621023666.db2.gz RDQSNHFWKMBDKQ-UHFFFAOYSA-N 0 0 256.327 2.648 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(C[C@@H]3C[C@@]34CCOC4)c2)c1 ZINC000902316368 621031349 /nfs/dbraw/zinc/03/13/49/621031349.db2.gz QPGXOHORYICBFF-GOEBONIOSA-N 0 0 299.330 2.885 20 5 CFBDRN CC(=O)NCCCCCOc1cc(C)c([N+](=O)[O-])cc1F ZINC000902342676 621032881 /nfs/dbraw/zinc/03/28/81/621032881.db2.gz PUXVWGMSAVOHCG-UHFFFAOYSA-N 0 0 298.314 2.728 20 5 CFBDRN Cn1cc(CSCCC(C)(C)C)c([N+](=O)[O-])n1 ZINC000902368325 621043222 /nfs/dbraw/zinc/04/32/22/621043222.db2.gz HEYHQICFIRBJED-UHFFFAOYSA-N 0 0 257.359 2.998 20 5 CFBDRN O=[N+]([O-])c1ncccc1COc1ccnc2c1CCCC2 ZINC000902491016 621102361 /nfs/dbraw/zinc/10/23/61/621102361.db2.gz JRLCXZWEUZIHBY-UHFFFAOYSA-N 0 0 285.303 2.843 20 5 CFBDRN Cc1c([C@H](C)NCc2csc(N)n2)cccc1[N+](=O)[O-] ZINC000902491650 621102993 /nfs/dbraw/zinc/10/29/93/621102993.db2.gz RFCSRPZIBCIVQM-VIFPVBQESA-N 0 0 292.364 2.793 20 5 CFBDRN Cc1ccc([C@H](C)NCc2csc(N)n2)cc1[N+](=O)[O-] ZINC000902486683 621112058 /nfs/dbraw/zinc/11/20/58/621112058.db2.gz AXEGIDHEOKRVAJ-VIFPVBQESA-N 0 0 292.364 2.793 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2csc(N)n2)cc1[N+](=O)[O-] ZINC000902486680 621112198 /nfs/dbraw/zinc/11/21/98/621112198.db2.gz AXEGIDHEOKRVAJ-SECBINFHSA-N 0 0 292.364 2.793 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSC[C@@H]1C[C@]12CCOC2 ZINC000902540521 621114179 /nfs/dbraw/zinc/11/41/79/621114179.db2.gz FZFXYEKJNREOAX-AAEUAGOBSA-N 0 0 280.349 2.650 20 5 CFBDRN Cc1noc(CSC[C@H]2C[C@@]23CCOC3)c1[N+](=O)[O-] ZINC000902543296 621114461 /nfs/dbraw/zinc/11/44/61/621114461.db2.gz SEBOFWLZJMQKSA-BXKDBHETSA-N 0 0 284.337 2.551 20 5 CFBDRN CC[C@H]1c2ccccc2CN1Cn1nccc1[N+](=O)[O-] ZINC000902666966 621147943 /nfs/dbraw/zinc/14/79/43/621147943.db2.gz ZLXXDESXRADAOP-ZDUSSCGKSA-N 0 0 272.308 2.716 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCCO[C@H]1CC1(F)F ZINC000902696945 621149265 /nfs/dbraw/zinc/14/92/65/621149265.db2.gz MWFZIRRVKZGHDM-VIFPVBQESA-N 0 0 295.188 2.676 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000902937973 621210176 /nfs/dbraw/zinc/21/01/76/621210176.db2.gz PSVJYHODCUSRNI-QMMMGPOBSA-N 0 0 299.302 2.669 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000902978748 621216510 /nfs/dbraw/zinc/21/65/10/621216510.db2.gz CQKXENAKQPROAC-ILPMLJPZSA-N 0 0 290.294 2.965 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000903099564 621252142 /nfs/dbraw/zinc/25/21/42/621252142.db2.gz JNUABRYRNWBZPL-GFCCVEGCSA-N 0 0 297.698 2.742 20 5 CFBDRN COc1cc([C@H](C)[NH2+]C[C@H]2CC[C@H](C)O2)cc([N+](=O)[O-])c1 ZINC000903183250 621277325 /nfs/dbraw/zinc/27/73/25/621277325.db2.gz NBZUFUWEJAFAMA-COPLHBTASA-N 0 0 294.351 2.822 20 5 CFBDRN CC[C@@H](C)[C@@H](C(=O)[O-])[N@H+](C)CCc1cccc([N+](=O)[O-])c1 ZINC000903596876 621404351 /nfs/dbraw/zinc/40/43/51/621404351.db2.gz UXEOMBPZNCBKFW-RISCZKNCSA-N 0 0 294.351 2.568 20 5 CFBDRN CC[C@@H](C[NH2+]Cc1ccc(C(C)C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000903704697 621422605 /nfs/dbraw/zinc/42/26/05/621422605.db2.gz KTWCGSNLMIVXJU-LBPRGKRZSA-N 0 0 294.351 2.919 20 5 CFBDRN Cc1nc(NC(=O)C2(C3CC3)CCC2)ccc1[N+](=O)[O-] ZINC000903817588 621443803 /nfs/dbraw/zinc/44/38/03/621443803.db2.gz AACIRFCHIIVNTN-UHFFFAOYSA-N 0 0 275.308 2.817 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000903817766 621443888 /nfs/dbraw/zinc/44/38/88/621443888.db2.gz HVLPEZPNOFLALP-PDEGPIFNSA-N 0 0 289.335 2.919 20 5 CFBDRN CC(C)(O)c1ccc(-c2cc(CO)cc([N+](=O)[O-])c2)cc1 ZINC000904772061 621643423 /nfs/dbraw/zinc/64/34/23/621643423.db2.gz XXSJRSAVWJKCPD-UHFFFAOYSA-N 0 0 287.315 2.982 20 5 CFBDRN CN(C(=O)C[C@@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])nc1 ZINC000905024378 621688081 /nfs/dbraw/zinc/68/80/81/621688081.db2.gz MOLZBILNGVTMTQ-VIFPVBQESA-N 0 0 299.277 2.778 20 5 CFBDRN CCCc1cncc(OCc2onc(C)c2[N+](=O)[O-])c1 ZINC000905095563 621704198 /nfs/dbraw/zinc/70/41/98/621704198.db2.gz IVEPHQBSFCSALS-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN CCCN(C(=O)CC1(C)COC1)c1cccc([N+](=O)[O-])c1 ZINC000905145845 621710648 /nfs/dbraw/zinc/71/06/48/621710648.db2.gz PXWAAHWFBUYLCZ-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1c(OC[C@H]2C[C@@]23CCOC3)cccc1[N+](=O)[O-] ZINC000905234334 621730832 /nfs/dbraw/zinc/73/08/32/621730832.db2.gz JDHOYTZWGLKVSO-BXUZGUMPSA-N 0 0 263.293 2.709 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2C[C@@]23CCOC3)c(F)cc1F ZINC000905236387 621731513 /nfs/dbraw/zinc/73/15/13/621731513.db2.gz FRHYLGRDYFRWLC-AMIZOPFISA-N 0 0 285.246 2.678 20 5 CFBDRN O=[N+]([O-])CCNc1cccc(-c2ccc3c(c2)CCO3)c1 ZINC000905328723 621743580 /nfs/dbraw/zinc/74/35/80/621743580.db2.gz JKXIMHQZEFHESO-UHFFFAOYSA-N 0 0 284.315 2.977 20 5 CFBDRN C/C=C/CS(=O)(=O)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000906019913 621918288 /nfs/dbraw/zinc/91/82/88/621918288.db2.gz XCFWBRCEDMHUIH-NSCUHMNNSA-N 0 0 289.740 2.739 20 5 CFBDRN Cc1cccc(C(=O)N=[S@](C)(=O)C(C)C)c1[N+](=O)[O-] ZINC000906204571 621952410 /nfs/dbraw/zinc/95/24/10/621952410.db2.gz RNVXWDLLXHLNQU-LJQANCHMSA-N 0 0 284.337 2.550 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)/C=C/Cl)c1 ZINC000906288704 621970316 /nfs/dbraw/zinc/97/03/16/621970316.db2.gz FZGNUZUXCIVIHV-VOTSOKGWSA-N 0 0 298.726 2.864 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NCC(C)(F)F)cc1[N+](=O)[O-] ZINC000832876544 625534981 /nfs/dbraw/zinc/53/49/81/625534981.db2.gz CASLTEXRYFPFRC-UHFFFAOYSA-N 0 0 292.669 2.942 20 5 CFBDRN CC(C)(C)C1CC(NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000832871288 625535535 /nfs/dbraw/zinc/53/55/35/625535535.db2.gz XSSIDTKUPLXMTO-UHFFFAOYSA-N 0 0 270.373 2.763 20 5 CFBDRN CC(C)C1CN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C1 ZINC000907117077 622087323 /nfs/dbraw/zinc/08/73/23/622087323.db2.gz CDYNWTFZDVVALF-UHFFFAOYSA-N 0 0 297.742 2.558 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)N1CC=CCC1 ZINC000907245393 622103360 /nfs/dbraw/zinc/10/33/60/622103360.db2.gz ULJQKXIMMPSBAJ-UHFFFAOYSA-N 0 0 294.738 2.969 20 5 CFBDRN C[C@H](NC(=O)CCn1cccc1)c1ccc([N+](=O)[O-])cc1 ZINC000833030230 625543436 /nfs/dbraw/zinc/54/34/36/625543436.db2.gz WLOWXEHXCIADRC-LBPRGKRZSA-N 0 0 287.319 2.664 20 5 CFBDRN CCCOC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000907458360 622130113 /nfs/dbraw/zinc/13/01/13/622130113.db2.gz VQPGQICQRNXHDP-SNVBAGLBSA-N 0 0 266.297 2.835 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000907939274 622174879 /nfs/dbraw/zinc/17/48/79/622174879.db2.gz JYDDOFPWBJLFDD-WCBMZHEXSA-N 0 0 298.701 2.916 20 5 CFBDRN CCN(CC(C)=O)C(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000908385846 622224710 /nfs/dbraw/zinc/22/47/10/622224710.db2.gz JKOLAKOLNBMQBY-UHFFFAOYSA-N 0 0 298.726 2.608 20 5 CFBDRN C/C(Cl)=C\C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000908511270 622241002 /nfs/dbraw/zinc/24/10/02/622241002.db2.gz AMYVSKFFLUSXFH-FLOXNTQESA-N 0 0 268.700 2.915 20 5 CFBDRN O=C(c1cc(Cl)c(F)c([N+](=O)[O-])c1)N1CC[C@H](F)C1 ZINC000908537365 622242072 /nfs/dbraw/zinc/24/20/72/622242072.db2.gz NEUNVLDBEPECTK-ZETCQYMHSA-N 0 0 290.653 2.571 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2ccc([N+](=O)[O-])o2)C[C@H](C)O1 ZINC000908693001 622261525 /nfs/dbraw/zinc/26/15/25/622261525.db2.gz GCISAQSZTGCQMC-MYJAWHEDSA-N 0 0 283.280 2.548 20 5 CFBDRN Cc1nnsc1[C@@H](C)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000908693331 622261581 /nfs/dbraw/zinc/26/15/81/622261581.db2.gz KFBHFFBJRKYXAE-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000908701762 622265560 /nfs/dbraw/zinc/26/55/60/622265560.db2.gz DAQTZUMGWCCBMK-GMSGAONNSA-N 0 0 297.694 2.630 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000908702781 622266174 /nfs/dbraw/zinc/26/61/74/622266174.db2.gz MJVHKYPIZRBJDY-WEVVVXLNSA-N 0 0 299.710 2.998 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000909048071 622303237 /nfs/dbraw/zinc/30/32/37/622303237.db2.gz CNMFWZQKUSRKEW-APPZFPTMSA-N 0 0 297.694 2.630 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027808 622388093 /nfs/dbraw/zinc/38/80/93/622388093.db2.gz NSZPRGCAQLOVMP-BIMULSAOSA-N 0 0 291.303 2.609 20 5 CFBDRN CSCCCOC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910029160 622388552 /nfs/dbraw/zinc/38/85/52/622388552.db2.gz XMUMLNDOSFJJPL-OLZOCXBDSA-N 0 0 295.360 2.995 20 5 CFBDRN O=C(OCC(F)F)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028910 622388622 /nfs/dbraw/zinc/38/86/22/622388622.db2.gz WSULIWRTVXGXFF-UWVGGRQHSA-N 0 0 271.219 2.507 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])OCCCCF ZINC000923960986 622405288 /nfs/dbraw/zinc/40/52/88/622405288.db2.gz GSRLXXJYWGZSPS-UHFFFAOYSA-N 0 0 287.262 2.959 20 5 CFBDRN CC(C)(CCC(=O)NC[C@H]1CCCCC1(F)F)[N+](=O)[O-] ZINC000833389988 625564715 /nfs/dbraw/zinc/56/47/15/625564715.db2.gz UTONJUMQACFNKQ-SNVBAGLBSA-N 0 0 292.326 2.764 20 5 CFBDRN CCc1nc(COC(=O)c2ccc([N+](=O)[O-])o2)cs1 ZINC000028126322 622429583 /nfs/dbraw/zinc/42/95/83/622429583.db2.gz GTLCYKPDQMYPPI-UHFFFAOYSA-N 0 0 282.277 2.564 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911473312 622522346 /nfs/dbraw/zinc/52/23/46/622522346.db2.gz ALSOJNQLUXBKBT-MRVPVSSYSA-N 0 0 284.262 2.535 20 5 CFBDRN CCC(C)(C)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911476168 622522398 /nfs/dbraw/zinc/52/23/98/622522398.db2.gz NOPSJLYEGNABQD-UHFFFAOYSA-N 0 0 286.278 2.925 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000819795511 622558355 /nfs/dbraw/zinc/55/83/55/622558355.db2.gz LCNOZKUQSJODFZ-DOFRTFSJSA-N 0 0 278.283 2.571 20 5 CFBDRN Cc1ccc(F)c(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000819899040 622568109 /nfs/dbraw/zinc/56/81/09/622568109.db2.gz HPOXEPXMFCDDTO-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)c1cccs1 ZINC000819893487 622568284 /nfs/dbraw/zinc/56/82/84/622568284.db2.gz UCCRFJPOICEXPM-MRVPVSSYSA-N 0 0 291.332 2.981 20 5 CFBDRN CCC[C@H](C)CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819915606 622570233 /nfs/dbraw/zinc/57/02/33/622570233.db2.gz AIXYWSVHLBFWMZ-VIFPVBQESA-N 0 0 265.313 2.942 20 5 CFBDRN CCC(C)(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819916428 622571039 /nfs/dbraw/zinc/57/10/39/622571039.db2.gz MCCNYRQRZVEEGJ-UHFFFAOYSA-N 0 0 251.286 2.552 20 5 CFBDRN Nc1ccc(NC(=O)CCc2ccccc2)cc1[N+](=O)[O-] ZINC000819919271 622571283 /nfs/dbraw/zinc/57/12/83/622571283.db2.gz CRIJMQSTXXOHKK-UHFFFAOYSA-N 0 0 285.303 2.748 20 5 CFBDRN CC[C@H](C)CONC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000820279189 622640530 /nfs/dbraw/zinc/64/05/30/622640530.db2.gz KKICTOMLXGVODQ-BPNCWPANSA-N 0 0 292.335 2.792 20 5 CFBDRN C[C@@H]1CCCCN1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000912154664 622652657 /nfs/dbraw/zinc/65/26/57/622652657.db2.gz BBVXAZCJXNIJBK-MQGYJPLLSA-N 0 0 289.335 2.514 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NN1CCCC[C@@H]1C ZINC000912161234 622653530 /nfs/dbraw/zinc/65/35/30/622653530.db2.gz UTYSAAZUKOQCRD-NSHDSACASA-N 0 0 291.351 2.731 20 5 CFBDRN COC(=O)CCCOc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912359742 622693271 /nfs/dbraw/zinc/69/32/71/622693271.db2.gz VBTKSRBRRUKNNU-UHFFFAOYSA-N 0 0 291.662 2.719 20 5 CFBDRN CC(C)(O)COc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912359808 622693305 /nfs/dbraw/zinc/69/33/05/622693305.db2.gz WFOCCRVMKNHNIX-UHFFFAOYSA-N 0 0 263.652 2.537 20 5 CFBDRN C[C@@H](O)CCCOc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912364626 622699929 /nfs/dbraw/zinc/69/99/29/622699929.db2.gz JNWQYTZEXGJBBM-SSDOTTSWSA-N 0 0 277.679 2.927 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)[C@H]1C ZINC000820656648 622729133 /nfs/dbraw/zinc/72/91/33/622729133.db2.gz OHKFCCWOYDXJGZ-RKDXNWHRSA-N 0 0 280.349 2.797 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])[C@H]1C[C@@H]1C1CC1 ZINC000151174623 622848033 /nfs/dbraw/zinc/84/80/33/622848033.db2.gz LVFFCUXTASGVLB-OLZOCXBDSA-N 0 0 275.304 2.727 20 5 CFBDRN CO[C@@H]1CC[C@@H]([N@H+](C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000821342142 622899268 /nfs/dbraw/zinc/89/92/68/622899268.db2.gz KZRIWRRVDCTDEQ-CHWSQXEVSA-N 0 0 264.325 2.594 20 5 CFBDRN Cc1c(COC(=O)/C=C\[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000821416360 622918714 /nfs/dbraw/zinc/91/87/14/622918714.db2.gz JDYJCUDPJKJICP-WSROAFLRSA-N 0 0 291.303 2.682 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000821422860 622919352 /nfs/dbraw/zinc/91/93/52/622919352.db2.gz MGIADGFHXRQRPK-PWSUYJOCSA-N 0 0 294.326 2.829 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000821428274 622920902 /nfs/dbraw/zinc/92/09/02/622920902.db2.gz HJFTVTCRRQQPMR-UEEZHKSASA-N 0 0 288.347 2.861 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)Oc1ccccc1 ZINC000075536001 622922747 /nfs/dbraw/zinc/92/27/47/622922747.db2.gz RELCCGQUGUJJRH-UHFFFAOYSA-N 0 0 273.244 2.579 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCCC[C@@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000156555588 622946852 /nfs/dbraw/zinc/94/68/52/622946852.db2.gz JLAKRXNFWXXAIK-JQWIXIFHSA-N 0 0 291.351 2.794 20 5 CFBDRN C[C@H]1CCC[C@H](CNC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000055478399 622950930 /nfs/dbraw/zinc/95/09/30/622950930.db2.gz MFLIGERAVGXDAH-QWRGUYRKSA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1cc(C(=O)Nc2cnnc(Cl)c2)ccc1[N+](=O)[O-] ZINC000821659524 622960039 /nfs/dbraw/zinc/96/00/39/622960039.db2.gz IDBPAJSHGSIHCX-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC(C)CCOCCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000045108171 622964822 /nfs/dbraw/zinc/96/48/22/622964822.db2.gz FYBLSZWXJXXIKC-UHFFFAOYSA-N 0 0 297.311 2.978 20 5 CFBDRN Nc1ccc(C(=O)O[C@H]2CCC23CCC3)cc1[N+](=O)[O-] ZINC000821823899 622984417 /nfs/dbraw/zinc/98/44/17/622984417.db2.gz BKQCTDIEDJJDCA-LBPRGKRZSA-N 0 0 276.292 2.667 20 5 CFBDRN COc1cccc(C(=O)O[C@@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000821833412 622987480 /nfs/dbraw/zinc/98/74/80/622987480.db2.gz OGIWWAHREUIVBE-LLVKDONJSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1c(OC(=O)[C@@H](C)C2CCOCC2)cccc1[N+](=O)[O-] ZINC000165629000 622994360 /nfs/dbraw/zinc/99/43/60/622994360.db2.gz BMRRVWUPFVVOII-JTQLQIEISA-N 0 0 293.319 2.871 20 5 CFBDRN CC(C)(CCC(=O)OC[C@]1(C)C[C@]1(F)Cl)[N+](=O)[O-] ZINC000821914813 623007101 /nfs/dbraw/zinc/00/71/01/623007101.db2.gz NLKUUNDYFRIRAA-WDEREUQCSA-N 0 0 281.711 2.680 20 5 CFBDRN C[C@@]1(COC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc32)C[C@@H]1F ZINC000822087710 623031865 /nfs/dbraw/zinc/03/18/65/623031865.db2.gz GTQVZRGUDGQIAN-JSGCOSHPSA-N 0 0 292.266 2.981 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@]1(C)C[C@H]1F ZINC000822099813 623035718 /nfs/dbraw/zinc/03/57/18/623035718.db2.gz PEYIHHLLYIEXLF-DGCLKSJQSA-N 0 0 267.256 2.808 20 5 CFBDRN C[C@@]1(COC(=O)CCNc2ccccc2[N+](=O)[O-])C[C@@H]1F ZINC000822102067 623036823 /nfs/dbraw/zinc/03/68/23/623036823.db2.gz XPLVAPRZGWTOAM-JSGCOSHPSA-N 0 0 296.298 2.688 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H](CCO)C2)ccc1Cl ZINC000225381153 623037050 /nfs/dbraw/zinc/03/70/50/623037050.db2.gz VQTHWAPWJOAQGR-NSHDSACASA-N 0 0 298.770 2.843 20 5 CFBDRN C/C(=C\C(=O)NCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000058387983 623046405 /nfs/dbraw/zinc/04/64/05/623046405.db2.gz DDINKJAOPDLVOX-CSKARUKUSA-N 0 0 260.293 2.567 20 5 CFBDRN Cc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1F ZINC000822176153 623055427 /nfs/dbraw/zinc/05/54/27/623055427.db2.gz KZLUNLQLYXSXQT-UHFFFAOYSA-N 0 0 268.288 2.908 20 5 CFBDRN CCC1CN(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000822305709 623075536 /nfs/dbraw/zinc/07/55/36/623075536.db2.gz AEBWDZZMFDNQOL-KGLIPLIRSA-N 0 0 274.320 2.567 20 5 CFBDRN CCC1CN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000822305712 623075962 /nfs/dbraw/zinc/07/59/62/623075962.db2.gz AEBWDZZMFDNQOL-ZIAGYGMSSA-N 0 0 274.320 2.567 20 5 CFBDRN CCC1CN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000822307304 623076971 /nfs/dbraw/zinc/07/69/71/623076971.db2.gz XADYVKAYYNMFDR-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN CC1(NC(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)CCC1 ZINC000168389678 623092988 /nfs/dbraw/zinc/09/29/88/623092988.db2.gz QAQNCTRWVBCVHN-UHFFFAOYSA-N 0 0 283.715 2.503 20 5 CFBDRN CCCCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1CC1 ZINC000822419827 623099610 /nfs/dbraw/zinc/09/96/10/623099610.db2.gz IIHVLBZZRKJEJY-UHFFFAOYSA-N 0 0 256.346 2.613 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822432511 623102322 /nfs/dbraw/zinc/10/23/22/623102322.db2.gz IEYPFCOQTWMDLG-NWDGAFQWSA-N 0 0 270.373 2.859 20 5 CFBDRN C[C@H](O)CCCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000227682212 623116168 /nfs/dbraw/zinc/11/61/68/623116168.db2.gz ZXYQLZWDEXXAMJ-ZETCQYMHSA-N 0 0 276.695 2.960 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cncc(Cl)n1 ZINC000227864110 623122517 /nfs/dbraw/zinc/12/25/17/623122517.db2.gz WFTYXDBKWGPIDQ-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN COc1cc(NC[C@H]2CCSC2)c([N+](=O)[O-])cc1OC ZINC000228581346 623150737 /nfs/dbraw/zinc/15/07/37/623150737.db2.gz JSGQKNNJAYVALJ-SECBINFHSA-N 0 0 298.364 2.777 20 5 CFBDRN CN(CC(=O)OC(C)(C)C)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000170645777 623171088 /nfs/dbraw/zinc/17/10/88/623171088.db2.gz AWLWBUYTJDIVDY-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN Cc1ncccc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000170996359 623198991 /nfs/dbraw/zinc/19/89/91/623198991.db2.gz MTOLHZRWMXRDHP-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN Cc1cccc2c1CCN(c1ccc([N+](=O)[O-])cn1)C2 ZINC000171564233 623230578 /nfs/dbraw/zinc/23/05/78/623230578.db2.gz XKROZRHSOUODKM-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823096374 623234291 /nfs/dbraw/zinc/23/42/91/623234291.db2.gz DDQGUMRERAWITP-PHIMTYICSA-N 0 0 256.346 2.611 20 5 CFBDRN CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccn1 ZINC000823113353 623239528 /nfs/dbraw/zinc/23/95/28/623239528.db2.gz VUVQRUGPOPCQTG-CYBMUJFWSA-N 0 0 293.367 2.875 20 5 CFBDRN C[C@H]1OCC[C@]1(C)[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000230154930 623255995 /nfs/dbraw/zinc/25/59/95/623255995.db2.gz CFKYAVYNMREZPR-RNCFNFMXSA-N 0 0 284.743 2.905 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000823196176 623260059 /nfs/dbraw/zinc/26/00/59/623260059.db2.gz XRVZFIDVKKRKRC-XYPYZODXSA-N 0 0 256.346 2.517 20 5 CFBDRN CCSCCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000076852573 623264615 /nfs/dbraw/zinc/26/46/15/623264615.db2.gz KETLLTZUMDMQQY-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN CC1(C)OCC(Nc2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000230612569 623286968 /nfs/dbraw/zinc/28/69/68/623286968.db2.gz HWXIPPNOIPDPOY-UHFFFAOYSA-N 0 0 286.715 2.812 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Cl)nc1 ZINC000172733438 623301975 /nfs/dbraw/zinc/30/19/75/623301975.db2.gz DEJQKWKVXPIGCX-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@H]1CC[C@@H](C)O1 ZINC000823505279 623336299 /nfs/dbraw/zinc/33/62/99/623336299.db2.gz VIADKAYZARMPIA-ZYHUDNBSSA-N 0 0 292.335 2.509 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN(Cc1ccccc1)CC(F)F ZINC000173502702 623354015 /nfs/dbraw/zinc/35/40/15/623354015.db2.gz ZORMMEJTIDOYKZ-UHFFFAOYSA-N 0 0 296.277 2.516 20 5 CFBDRN CC1(C)OC[C@@H](CNc2ccc(Cl)cc2[N+](=O)[O-])O1 ZINC000231676883 623364908 /nfs/dbraw/zinc/36/49/08/623364908.db2.gz SQTRWDKEYZEBGJ-SECBINFHSA-N 0 0 286.715 2.812 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2ccoc2)c1 ZINC000174061594 623382888 /nfs/dbraw/zinc/38/28/88/623382888.db2.gz PCNNBMMCLLHGMW-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN O=C(CCC1CCOCC1)OCc1cccc([N+](=O)[O-])c1 ZINC000174124922 623390578 /nfs/dbraw/zinc/39/05/78/623390578.db2.gz XJESCNYNUSZVFB-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN COc1ccc(C(=O)OCCOC(C)(C)C)cc1[N+](=O)[O-] ZINC000063904865 623402047 /nfs/dbraw/zinc/40/20/47/623402047.db2.gz IGFVFYXOQSJSPL-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1cnc(COC(=O)c2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000174258682 623405018 /nfs/dbraw/zinc/40/50/18/623405018.db2.gz GQFYXKCFCYFBRZ-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CCN(CCC1CC1)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823961990 623426637 /nfs/dbraw/zinc/42/66/37/623426637.db2.gz NQLNNIVEHGLPPT-UHFFFAOYSA-N 0 0 292.339 2.831 20 5 CFBDRN CCOCCCCOC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000174553470 623426824 /nfs/dbraw/zinc/42/68/24/623426824.db2.gz WRTFDHCKGCLBCP-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CCOCCCCOC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000174563621 623427673 /nfs/dbraw/zinc/42/76/73/623427673.db2.gz FQCWGSDZBYAWSK-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823985542 623429172 /nfs/dbraw/zinc/42/91/72/623429172.db2.gz AHEHSOKKXZSHBC-MWLCHTKSSA-N 0 0 292.339 2.829 20 5 CFBDRN C[C@@H]1CC(OC(=O)CCn2cc([N+](=O)[O-])cn2)C[C@@H](C)C1 ZINC000174577897 623431364 /nfs/dbraw/zinc/43/13/64/623431364.db2.gz QLJCYQWNPOVLKC-QWRGUYRKSA-N 0 0 295.339 2.549 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000824008868 623431644 /nfs/dbraw/zinc/43/16/44/623431644.db2.gz XLGDOWDSBXLQAO-AOOOYVTPSA-N 0 0 292.339 2.687 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000323939321 623432860 /nfs/dbraw/zinc/43/28/60/623432860.db2.gz ZYPKNMNJHTUHCU-LLVKDONJSA-N 0 0 266.272 2.652 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CCC[C@@H](F)C1 ZINC000824151846 623460965 /nfs/dbraw/zinc/46/09/65/623460965.db2.gz KFDOMQKQEQRKGM-GFCCVEGCSA-N 0 0 298.289 2.627 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929705850 623518447 /nfs/dbraw/zinc/51/84/47/623518447.db2.gz IQEOPQXXULGQOY-JTQLQIEISA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H](N(C)C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000824649305 623539122 /nfs/dbraw/zinc/53/91/22/623539122.db2.gz CKHYZUKCULXEEG-GXFFZTMASA-N 0 0 294.351 2.521 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OS(=O)(=O)c1ccccc1 ZINC000151691798 623556436 /nfs/dbraw/zinc/55/64/36/623556436.db2.gz PENDVFRGYRLNQW-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN Cn1ccnc1S/C=C/c1ccc([N+](=O)[O-])o1 ZINC000825191895 623648159 /nfs/dbraw/zinc/64/81/59/623648159.db2.gz MHJBKYBUJDORDO-QPJJXVBHSA-N 0 0 251.267 2.684 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CC[C@@H]1C ZINC000914155666 623715261 /nfs/dbraw/zinc/71/52/61/623715261.db2.gz GZQDTFLOZHQVDX-QMMMGPOBSA-N 0 0 268.700 2.791 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000761108858 623721084 /nfs/dbraw/zinc/72/10/84/623721084.db2.gz FFVNEXYRAMAIBJ-CQSZACIVSA-N 0 0 282.315 2.735 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000914166060 623724528 /nfs/dbraw/zinc/72/45/28/623724528.db2.gz VTONRSYRPLYYIB-ZCFIWIBFSA-N 0 0 272.663 2.622 20 5 CFBDRN CCc1cccnc1C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825647181 623729981 /nfs/dbraw/zinc/72/99/81/623729981.db2.gz DHVGDIIXTURTCI-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000825648465 623729998 /nfs/dbraw/zinc/72/99/98/623729998.db2.gz SGLJVGZXPLKORA-GFCCVEGCSA-N 0 0 274.320 2.710 20 5 CFBDRN CCOC(=O)Cc1ccc(N2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000825687112 623740051 /nfs/dbraw/zinc/74/00/51/623740051.db2.gz YFHFAPBAARHMTE-LLVKDONJSA-N 0 0 292.335 2.547 20 5 CFBDRN C[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1CCCC1 ZINC000825829432 623759131 /nfs/dbraw/zinc/75/91/31/623759131.db2.gz AGTBHUPDXMEALG-SNVBAGLBSA-N 0 0 256.346 2.517 20 5 CFBDRN CCC[C@@H](C)CCNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000914363897 623772779 /nfs/dbraw/zinc/77/27/79/623772779.db2.gz LENOGFUEKYEHIG-SNVBAGLBSA-N 0 0 279.340 2.733 20 5 CFBDRN C[C@H]1CCC[C@H](C)C1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826009410 623781842 /nfs/dbraw/zinc/78/18/42/623781842.db2.gz SVKQWHWZHIRLLQ-QWRGUYRKSA-N 0 0 270.373 2.763 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1C[C@@H]1C1CCCCC1)[N+](=O)[O-] ZINC000826086216 623791574 /nfs/dbraw/zinc/79/15/74/623791574.db2.gz OWEVBAKEPVFELY-OLZOCXBDSA-N 0 0 282.384 2.907 20 5 CFBDRN CC[C@@](C)(NC(=O)CCC(C)(C)[N+](=O)[O-])c1nccs1 ZINC000826136548 623796935 /nfs/dbraw/zinc/79/69/35/623796935.db2.gz CSELOWPHNOEJIH-CYBMUJFWSA-N 0 0 299.396 2.720 20 5 CFBDRN CC[C@@H](CNc1cc(Cl)ccc1[N+](=O)[O-])C(=O)OC ZINC000826638625 623828191 /nfs/dbraw/zinc/82/81/91/623828191.db2.gz KRMJAMPYLKPVGS-QMMMGPOBSA-N 0 0 286.715 2.859 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000826670315 623836775 /nfs/dbraw/zinc/83/67/75/623836775.db2.gz LDBMNDAKDQYQPL-UWVGGRQHSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1nc(C)ccc1[N+](=O)[O-] ZINC000826670736 623836841 /nfs/dbraw/zinc/83/68/41/623836841.db2.gz XETPYWKHIVJYKG-KOLCDFICSA-N 0 0 267.329 2.507 20 5 CFBDRN CC12CC(C1)CN2C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000826782664 623873675 /nfs/dbraw/zinc/87/36/75/623873675.db2.gz ABYKLBPSHJDAKQ-HSMXMWKUSA-N 0 0 286.331 2.709 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)c1ccc(C)cc1 ZINC000914475807 623874220 /nfs/dbraw/zinc/87/42/20/623874220.db2.gz VKKWJPDEHDIZTC-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000826804120 623881812 /nfs/dbraw/zinc/88/18/12/623881812.db2.gz ZIBDDYSPWAUXID-YUMQZZPRSA-N 0 0 283.309 2.809 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@H]1COc2ccccc21 ZINC000153216799 623893174 /nfs/dbraw/zinc/89/31/74/623893174.db2.gz IWOJHGLTRKZDFS-HNNXBMFYSA-N 0 0 299.282 2.814 20 5 CFBDRN COCCN(CCF)C/C(C)=C\c1cccc([N+](=O)[O-])c1 ZINC000827054687 623932970 /nfs/dbraw/zinc/93/29/70/623932970.db2.gz VRBNXGDEXCNMNA-RAXLEYEMSA-N 0 0 296.342 2.916 20 5 CFBDRN CSCCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000193204594 623935648 /nfs/dbraw/zinc/93/56/48/623935648.db2.gz UYIGBDLSKWJWHZ-UHFFFAOYSA-N 0 0 276.264 2.565 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ccc([N+](=O)[O-])nc1 ZINC000914787434 623938343 /nfs/dbraw/zinc/93/83/43/623938343.db2.gz OTLDFBIGBNAKQE-UHFFFAOYSA-N 0 0 288.263 2.534 20 5 CFBDRN Cc1cscc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827189837 623962779 /nfs/dbraw/zinc/96/27/79/623962779.db2.gz LTWOIZNGEGHEOV-UHFFFAOYSA-N 0 0 256.327 2.831 20 5 CFBDRN COC(=O)Cc1ccc(N2CCC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000827262255 623976779 /nfs/dbraw/zinc/97/67/79/623976779.db2.gz SPIPJYCXAIWPQJ-NSHDSACASA-N 0 0 292.335 2.547 20 5 CFBDRN O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CC2(CC(F)C2)C1 ZINC000827261815 623977345 /nfs/dbraw/zinc/97/73/45/623977345.db2.gz MJRZUYXQKLLCQT-UHFFFAOYSA-N 0 0 298.701 2.822 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2cnn(-c3ccccc3)n2)c1 ZINC000915355424 624029338 /nfs/dbraw/zinc/02/93/38/624029338.db2.gz XMSXZTBYRVJHCC-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN C[C@H](OC(=O)COCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000915539146 624076352 /nfs/dbraw/zinc/07/63/52/624076352.db2.gz HBKZSUYUALXCPT-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCC(C)(C)[N+](=O)[O-])CC12CCC2 ZINC000827731459 624079355 /nfs/dbraw/zinc/07/93/55/624079355.db2.gz HSCWCPZGIIKMMA-GFCCVEGCSA-N 0 0 282.384 2.861 20 5 CFBDRN CCc1ncc(COc2cc([N+](=O)[O-])ccc2OC)o1 ZINC000915587701 624080959 /nfs/dbraw/zinc/08/09/59/624080959.db2.gz HVVRJFYFQYLDTK-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H]1CC[C@@H](C2CC2)O1 ZINC000915603614 624083077 /nfs/dbraw/zinc/08/30/77/624083077.db2.gz OJPLMSVZYUVSEX-AAEUAGOBSA-N 0 0 263.293 2.931 20 5 CFBDRN C[C@H](C(=O)OCCC(C)(C)O)c1ccc([N+](=O)[O-])cc1F ZINC000765511074 624102179 /nfs/dbraw/zinc/10/21/79/624102179.db2.gz ZRPLUCCRZIULAK-VIFPVBQESA-N 0 0 299.298 2.542 20 5 CFBDRN Cc1cc(C(=O)N2CCCSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000889909036 624137858 /nfs/dbraw/zinc/13/78/58/624137858.db2.gz OSDKVURYKOJLFW-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])nc1)OC1CCCCC1 ZINC000828036195 624156407 /nfs/dbraw/zinc/15/64/07/624156407.db2.gz IXNSUIFOHBCWBS-UHFFFAOYSA-N 0 0 296.348 2.958 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1C(=O)OCC1CCC(C)(C)CC1 ZINC000766660551 624181378 /nfs/dbraw/zinc/18/13/78/624181378.db2.gz HHCKHSZNTCDKAZ-UHFFFAOYSA-N 0 0 295.339 2.702 20 5 CFBDRN CCC(F)(F)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000828268684 624219011 /nfs/dbraw/zinc/21/90/11/624219011.db2.gz HQRXOHNYVRPBDW-UHFFFAOYSA-N 0 0 270.235 2.529 20 5 CFBDRN O=C(COc1ccc(Cl)cc1[N+](=O)[O-])C1(Cl)CC1 ZINC000916079844 624219660 /nfs/dbraw/zinc/21/96/60/624219660.db2.gz RMSXYFVYNOSMPI-UHFFFAOYSA-N 0 0 290.102 2.968 20 5 CFBDRN CC(C)N(C)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929922115 624228869 /nfs/dbraw/zinc/22/88/69/624228869.db2.gz KILSOBGZQMXRNG-OLZOCXBDSA-N 0 0 262.309 2.565 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C[C@H]1C ZINC000828398850 624238089 /nfs/dbraw/zinc/23/80/89/624238089.db2.gz GXQNPHPRILKFJF-IJLUTSLNSA-N 0 0 270.373 2.763 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])OC ZINC000828492994 624256437 /nfs/dbraw/zinc/25/64/37/624256437.db2.gz XXNKWIBBVKAWOE-BXKDBHETSA-N 0 0 297.311 2.976 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1ccc([N+](=O)[O-])s1 ZINC000828503912 624257836 /nfs/dbraw/zinc/25/78/36/624257836.db2.gz YCQKMNDGSMKFFP-VIFPVBQESA-N 0 0 285.321 2.630 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])s1 ZINC000828503910 624257948 /nfs/dbraw/zinc/25/79/48/624257948.db2.gz YCQKMNDGSMKFFP-SECBINFHSA-N 0 0 285.321 2.630 20 5 CFBDRN Cc1nc(Cn2nccc2[N+](=O)[O-])sc1C(C)(C)C ZINC000916722446 624280479 /nfs/dbraw/zinc/28/04/79/624280479.db2.gz KZZNLBRMIBFPNL-UHFFFAOYSA-N 0 0 280.353 2.902 20 5 CFBDRN C[C@@]1(COc2cccnc2[N+](=O)[O-])CC1(Cl)Cl ZINC000828663241 624284328 /nfs/dbraw/zinc/28/43/28/624284328.db2.gz DRLQJVWJCQMKRQ-VIFPVBQESA-N 0 0 277.107 2.953 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@H]1CC2CCC1CC2 ZINC000916831786 624286085 /nfs/dbraw/zinc/28/60/85/624286085.db2.gz CFWMPBCOLIEXGX-HTAVTVPLSA-N 0 0 262.309 2.583 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000916827866 624286231 /nfs/dbraw/zinc/28/62/31/624286231.db2.gz CZMJBSIZVBZKAY-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1cc([N+](=O)[O-])ccc1F ZINC000828743542 624295003 /nfs/dbraw/zinc/29/50/03/624295003.db2.gz KPMOXQCYKMFEIM-SNVBAGLBSA-N 0 0 297.282 2.707 20 5 CFBDRN CCCCNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000916969391 624296549 /nfs/dbraw/zinc/29/65/49/624296549.db2.gz RIMXLGQBKXNNSF-UHFFFAOYSA-N 0 0 277.324 2.699 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H](O)c2ccc3ccccc3c2)cn1 ZINC000916998806 624298104 /nfs/dbraw/zinc/29/81/04/624298104.db2.gz VNOZUFRIUHTMDJ-AWEZNQCLSA-N 0 0 283.287 2.678 20 5 CFBDRN CC(C)O[C@@H]1CCN(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000828856861 624313880 /nfs/dbraw/zinc/31/38/80/624313880.db2.gz VVPSXRFMSUDFHP-CYBMUJFWSA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000767674072 625711998 /nfs/dbraw/zinc/71/19/98/625711998.db2.gz GPGJZAXRHNKVNJ-LLVKDONJSA-N 0 0 287.312 2.919 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC[C@H]1CCC2(COC2)O1 ZINC000917218442 624324567 /nfs/dbraw/zinc/32/45/67/624324567.db2.gz UMWKUSAOYWQGQH-SECBINFHSA-N 0 0 299.710 2.575 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C[C@H]1C ZINC000828903551 624325766 /nfs/dbraw/zinc/32/57/66/624325766.db2.gz HMXVGKIPGCKCHA-MEBBXXQBSA-N 0 0 292.335 2.659 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C[C@@H]1C ZINC000828903552 624325826 /nfs/dbraw/zinc/32/58/26/624325826.db2.gz HMXVGKIPGCKCHA-ZUZCIYMTSA-N 0 0 292.335 2.659 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000828904451 624326799 /nfs/dbraw/zinc/32/67/99/624326799.db2.gz OIOIFHRGGULSIG-RNCFNFMXSA-N 0 0 268.288 2.595 20 5 CFBDRN CC[C@H](C)[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)OC ZINC000917346409 624333835 /nfs/dbraw/zinc/33/38/35/624333835.db2.gz BEFKZLHGSAPVSV-KWQFWETISA-N 0 0 284.337 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2C[C@@]23CCOC3)nc1 ZINC000917347115 624333843 /nfs/dbraw/zinc/33/38/43/624333843.db2.gz JPFRCSNXBHMYGQ-JOYOIKCWSA-N 0 0 266.322 2.509 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2ccc(Cl)nn2)n1 ZINC000917441902 624343650 /nfs/dbraw/zinc/34/36/50/624343650.db2.gz LINGMUDSALMQDN-UHFFFAOYSA-N 0 0 294.698 2.629 20 5 CFBDRN O=C1N[C@@H](c2ccccc2[N+](=O)[O-])Nc2cc(F)ccc21 ZINC000917502977 624348156 /nfs/dbraw/zinc/34/81/56/624348156.db2.gz VUYOABNQSVJFFA-ZDUSSCGKSA-N 0 0 287.250 2.588 20 5 CFBDRN O=C1N[C@H](c2csc([N+](=O)[O-])c2)Nc2cc(F)ccc21 ZINC000917503204 624348310 /nfs/dbraw/zinc/34/83/10/624348310.db2.gz ZIKYCBMFEGJNBA-LLVKDONJSA-N 0 0 293.279 2.650 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000917565938 624357729 /nfs/dbraw/zinc/35/77/29/624357729.db2.gz YBLSERNHKVWEMV-NSHDSACASA-N 0 0 281.308 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NCc2nccs2)cc1 ZINC000917581820 624365013 /nfs/dbraw/zinc/36/50/13/624365013.db2.gz UJMNNHHGMVVTIK-UHFFFAOYSA-N 0 0 294.361 2.538 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@H]1CC[C@@H](C2CC2)O1 ZINC000917710898 624378529 /nfs/dbraw/zinc/37/85/29/624378529.db2.gz PVYQFCRTQXUKPF-SKDRFNHKSA-N 0 0 298.726 2.980 20 5 CFBDRN Cc1cccc2c1CCN2C[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000154501131 624379266 /nfs/dbraw/zinc/37/92/66/624379266.db2.gz PWBQDKCYTBPDOT-QGZVFWFLSA-N 0 0 298.342 2.999 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])cn2C)cc1C ZINC000917808302 624386408 /nfs/dbraw/zinc/38/64/08/624386408.db2.gz XQAMUSKUPBSDIZ-UHFFFAOYSA-N 0 0 287.319 2.827 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1)C(C)C ZINC000917825474 624389472 /nfs/dbraw/zinc/38/94/72/624389472.db2.gz HPLSCWKQPPEPGA-UHFFFAOYSA-N 0 0 295.295 2.682 20 5 CFBDRN O=C([C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCC(F)CC1 ZINC000829198867 624397032 /nfs/dbraw/zinc/39/70/32/624397032.db2.gz DGLSKBKCYAOSSI-KGLIPLIRSA-N 0 0 292.310 2.659 20 5 CFBDRN CCc1nnc(SCc2cccnc2[N+](=O)[O-])s1 ZINC000918049887 624410354 /nfs/dbraw/zinc/41/03/54/624410354.db2.gz URYXYTILTCDBET-UHFFFAOYSA-N 0 0 282.350 2.696 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@@]3(CCOC3)C2)c(F)c1 ZINC000829327233 624418726 /nfs/dbraw/zinc/41/87/26/624418726.db2.gz HBDBBZYPMGUCRN-CQSZACIVSA-N 0 0 298.289 2.880 20 5 CFBDRN CC1=NO[C@@H](CSCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000918158424 624423629 /nfs/dbraw/zinc/42/36/29/624423629.db2.gz AGOUBRAQFBGPOA-CYBMUJFWSA-N 0 0 296.348 2.872 20 5 CFBDRN CSc1ccc(OCCn2ccnc2[N+](=O)[O-])cc1 ZINC000918369076 624433641 /nfs/dbraw/zinc/43/36/41/624433641.db2.gz PMTRDBUVWFXHGA-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN CC[C@H](OCCn1ccnc1[N+](=O)[O-])c1ccccc1 ZINC000918386129 624435176 /nfs/dbraw/zinc/43/51/76/624435176.db2.gz IHJHQRDPJCXHFI-ZDUSSCGKSA-N 0 0 275.308 2.959 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCC1CCCC1 ZINC000918506482 624441009 /nfs/dbraw/zinc/44/10/09/624441009.db2.gz XFDUTPDNHWQWNN-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN O=C(OCOc1cc(Cl)c([N+](=O)[O-])cc1F)C1CC1 ZINC000918658343 624459165 /nfs/dbraw/zinc/45/91/65/624459165.db2.gz JWIAFQJHMCXXAZ-UHFFFAOYSA-N 0 0 289.646 2.677 20 5 CFBDRN CC(C)(CCC(=O)OCC1CC2(CCC2)C1)[N+](=O)[O-] ZINC000851480644 634386220 /nfs/dbraw/zinc/38/62/20/634386220.db2.gz XMAVNYXKUKICDY-UHFFFAOYSA-N 0 0 269.341 2.945 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000919023734 624516155 /nfs/dbraw/zinc/51/61/55/624516155.db2.gz MPQGQGDUOASWIQ-MRVPVSSYSA-N 0 0 290.275 2.601 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1F ZINC000919025846 624518541 /nfs/dbraw/zinc/51/85/41/624518541.db2.gz UDMMDSCNAKHKOI-VIFPVBQESA-N 0 0 292.266 2.990 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1F ZINC000919025845 624518793 /nfs/dbraw/zinc/51/87/93/624518793.db2.gz UDMMDSCNAKHKOI-SECBINFHSA-N 0 0 292.266 2.990 20 5 CFBDRN CC(C)OCCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000919088993 624523770 /nfs/dbraw/zinc/52/37/70/624523770.db2.gz FHBCLWIUZXOXOK-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(OC[C@H]1CCOC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000919210725 624536085 /nfs/dbraw/zinc/53/60/85/624536085.db2.gz IMKRPKFSMISCBT-VIFPVBQESA-N 0 0 291.259 2.534 20 5 CFBDRN CCC/C=C\C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000919243561 624546395 /nfs/dbraw/zinc/54/63/95/624546395.db2.gz SDXDIXHSMMNCSC-PLNGDYQASA-N 0 0 277.276 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC2CC2)c(F)c1F ZINC000829883678 624576839 /nfs/dbraw/zinc/57/68/39/624576839.db2.gz QDVCIQGTJNTYSF-UHFFFAOYSA-N 0 0 273.235 2.678 20 5 CFBDRN COCCCCOc1ccc([N+](=O)[O-])c(F)c1F ZINC000829882664 624577497 /nfs/dbraw/zinc/57/74/97/624577497.db2.gz BGQAKLGHNMWKTM-UHFFFAOYSA-N 0 0 261.224 2.678 20 5 CFBDRN Cc1noc(CCCOc2ccc([N+](=O)[O-])c(F)c2F)n1 ZINC000829882700 624577397 /nfs/dbraw/zinc/57/73/97/624577397.db2.gz CRYZYOGBUZMVOL-UHFFFAOYSA-N 0 0 299.233 2.576 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCCC(C)(C)C1)[N+](=O)[O-] ZINC000829888101 624578366 /nfs/dbraw/zinc/57/83/66/624578366.db2.gz WEZXURVVPDPMFP-NSHDSACASA-N 0 0 270.373 2.907 20 5 CFBDRN C[C@H](O)c1ccc(CNc2cccnc2[N+](=O)[O-])cc1 ZINC000919722278 624606780 /nfs/dbraw/zinc/60/67/80/624606780.db2.gz IEJJDXUHXKOSFF-JTQLQIEISA-N 0 0 273.292 2.655 20 5 CFBDRN CN(C)C(=O)CSCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000830074172 624615084 /nfs/dbraw/zinc/61/50/84/624615084.db2.gz HGWSROSTNJHBNA-UHFFFAOYSA-N 0 0 288.756 2.570 20 5 CFBDRN CCCOCn1nc(-c2cccc([N+](=O)[O-])c2)nc1Cl ZINC000920418095 624720976 /nfs/dbraw/zinc/72/09/76/624720976.db2.gz YOSZQRIJLQIAJW-UHFFFAOYSA-N 0 0 296.714 2.891 20 5 CFBDRN O=C1OC(c2ccsc2)=N/C1=C/c1ccc([N+](=O)[O-])o1 ZINC000920392956 624722365 /nfs/dbraw/zinc/72/23/65/624722365.db2.gz WAEYHUXQKNCCBB-WEVVVXLNSA-N 0 0 290.256 2.594 20 5 CFBDRN COc1ccc(CNC(=O)C[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000920437188 624728526 /nfs/dbraw/zinc/72/85/26/624728526.db2.gz RWYOGRRULPORAK-JTQLQIEISA-N 0 0 292.335 2.656 20 5 CFBDRN CCCOCC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000920877405 624777187 /nfs/dbraw/zinc/77/71/87/624777187.db2.gz FJLQOHGDGSACDE-SNVBAGLBSA-N 0 0 267.281 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC=CCC2)c2nonc21 ZINC000921058761 624804204 /nfs/dbraw/zinc/80/42/04/624804204.db2.gz JIAVUEBQGUMZCC-SECBINFHSA-N 0 0 274.280 2.899 20 5 CFBDRN CN(C)c1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1F ZINC000830780555 624865119 /nfs/dbraw/zinc/86/51/19/624865119.db2.gz TXCBUEDEKIBUQG-UHFFFAOYSA-N 0 0 297.330 2.666 20 5 CFBDRN CCCCN(C)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000232952941 624912138 /nfs/dbraw/zinc/91/21/38/624912138.db2.gz YJXNXJZWZWXSJD-UHFFFAOYSA-N 0 0 268.288 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@H]1CCC(=O)C1 ZINC000830896664 624922924 /nfs/dbraw/zinc/92/29/24/624922924.db2.gz KFKRRFPYMCDCPM-MNOVXSKESA-N 0 0 291.303 2.877 20 5 CFBDRN C[C@@H]1[C@@H](c2ccccc2)CC[N@@H+]1Cn1nccc1[N+](=O)[O-] ZINC000175265706 624942486 /nfs/dbraw/zinc/94/24/86/624942486.db2.gz YKSYFVCBESCUFQ-OCCSQVGLSA-N 0 0 286.335 2.627 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233856856 624958486 /nfs/dbraw/zinc/95/84/86/624958486.db2.gz FSCCMMAMKNVGKF-SECBINFHSA-N 0 0 268.288 2.913 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc(Cl)nn2)c1[N+](=O)[O-] ZINC000068296418 624987071 /nfs/dbraw/zinc/98/70/71/624987071.db2.gz URPWNWILYZZOFB-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)C[C@@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000068510127 625004683 /nfs/dbraw/zinc/00/46/83/625004683.db2.gz YGMHEFRZZXQXNP-GHMZBOCLSA-N 0 0 291.351 2.604 20 5 CFBDRN Cc1cccc(C(=O)NCCC2CC=CC2)c1[N+](=O)[O-] ZINC000831452044 625025679 /nfs/dbraw/zinc/02/56/79/625025679.db2.gz JROKNALQELLQSA-UHFFFAOYSA-N 0 0 274.320 2.989 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCC1CC=CC1 ZINC000831456082 625027852 /nfs/dbraw/zinc/02/78/52/625027852.db2.gz AFJLBIOYMDASAE-UHFFFAOYSA-N 0 0 274.320 2.610 20 5 CFBDRN CCON(CC)C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000831471286 625031719 /nfs/dbraw/zinc/03/17/19/625031719.db2.gz NDBFWDIDDPSZPH-UHFFFAOYSA-N 0 0 272.688 2.662 20 5 CFBDRN O=[N+]([O-])c1cnn(C[N@H+](CC2CC2)C2CCCCC2)c1 ZINC000068878737 625033421 /nfs/dbraw/zinc/03/34/21/625033421.db2.gz IBQZXYIPNHZQSQ-UHFFFAOYSA-N 0 0 278.356 2.794 20 5 CFBDRN CC1(S(=O)(=O)Oc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000831556035 625053293 /nfs/dbraw/zinc/05/32/93/625053293.db2.gz HYRDPCMWYMERMB-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C[C@H]1F ZINC000831800824 625136255 /nfs/dbraw/zinc/13/62/55/625136255.db2.gz XWDYXLBIASYKBZ-PRHODGIISA-N 0 0 284.262 2.554 20 5 CFBDRN CSc1cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000832020968 625205490 /nfs/dbraw/zinc/20/54/90/625205490.db2.gz RRAZLSMUIGFBLP-UHFFFAOYSA-N 0 0 283.353 2.578 20 5 CFBDRN C[C@@H](c1noc(CCC(C)(C)[N+](=O)[O-])n1)C1CC1 ZINC000834645599 625752534 /nfs/dbraw/zinc/75/25/34/625752534.db2.gz HHDPVLWBWWHSPM-MRVPVSSYSA-N 0 0 253.302 2.571 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCS[C@H](C)C1 ZINC000834650311 625755162 /nfs/dbraw/zinc/75/51/62/625755162.db2.gz OIVSSBBQJILOFB-MNOVXSKESA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])CCS1 ZINC000834666213 625770255 /nfs/dbraw/zinc/77/02/55/625770255.db2.gz QWSZUTJQKYVMSC-SCZZXKLOSA-N 0 0 298.339 2.748 20 5 CFBDRN O=C(OCCCc1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000073076833 625770613 /nfs/dbraw/zinc/77/06/13/625770613.db2.gz JFLSEJQTDLUTEI-UHFFFAOYSA-N 0 0 286.287 2.779 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCS[C@@H](C)C1 ZINC000834676329 625778688 /nfs/dbraw/zinc/77/86/88/625778688.db2.gz FXYQKQQIOVDDCR-WDEREUQCSA-N 0 0 294.376 2.917 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCS[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000834681223 625780176 /nfs/dbraw/zinc/78/01/76/625780176.db2.gz AWMSKWGUTXEAGN-CMPLNLGQSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000834681211 625780243 /nfs/dbraw/zinc/78/02/43/625780243.db2.gz APIBUUBUGDYLHP-DTWKUNHWSA-N 0 0 298.339 2.748 20 5 CFBDRN CCc1nc(C)cn1CC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000073199174 625801286 /nfs/dbraw/zinc/80/12/86/625801286.db2.gz ULWUYTRGIHOYEI-UHFFFAOYSA-N 0 0 273.292 2.545 20 5 CFBDRN CCC[C@H](OC)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000834747642 625825477 /nfs/dbraw/zinc/82/54/77/625825477.db2.gz HXKMRMSKNOYBSV-VIFPVBQESA-N 0 0 271.317 2.545 20 5 CFBDRN CCc1cccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)n1 ZINC000834744763 625825562 /nfs/dbraw/zinc/82/55/62/625825562.db2.gz TZJNNEBETUCWTO-UHFFFAOYSA-N 0 0 290.323 2.682 20 5 CFBDRN COc1cc(C(=O)OCCC(C)C)ccc1[N+](=O)[O-] ZINC000101704972 625849932 /nfs/dbraw/zinc/84/99/32/625849932.db2.gz NDYUMCIXLDILCC-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@@H](N[C@@H]1CCn3ccnc31)CCC2 ZINC000834831445 625890595 /nfs/dbraw/zinc/89/05/95/625890595.db2.gz JMBAKXPGQOPLQG-LSDHHAIUSA-N 0 0 298.346 2.903 20 5 CFBDRN Cc1c([C@@H](C)N[C@@H]2CCn3ccnc32)cccc1[N+](=O)[O-] ZINC000834837425 625896863 /nfs/dbraw/zinc/89/68/63/625896863.db2.gz WFQJCRQQMCAKMK-DGCLKSJQSA-N 0 0 286.335 2.895 20 5 CFBDRN C[C@H]1OCC[C@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000771081891 625976839 /nfs/dbraw/zinc/97/68/39/625976839.db2.gz WWGAIUOHIZGZEK-LDYMZIIASA-N 0 0 299.710 2.716 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000929222366 625981299 /nfs/dbraw/zinc/98/12/99/625981299.db2.gz HAGRIPWEAVAUFT-STQMWFEESA-N 0 0 293.323 2.544 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCc2ccoc2C)c1 ZINC000073534908 626032138 /nfs/dbraw/zinc/03/21/38/626032138.db2.gz YTPRGHWKQKMJQC-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=[N+]([O-])c1cc(CSCCCO)ccc1Cl ZINC000085162482 626037523 /nfs/dbraw/zinc/03/75/23/626037523.db2.gz FCIXJLSCHLXMHC-UHFFFAOYSA-N 0 0 261.730 2.864 20 5 CFBDRN C[C@@H](NC(=O)N[C@]12C[C@H]1CCC2)c1ccccc1[N+](=O)[O-] ZINC000921807089 626134617 /nfs/dbraw/zinc/13/46/17/626134617.db2.gz MWAMFWCZPPUCNI-UEKVPHQBSA-N 0 0 289.335 2.898 20 5 CFBDRN O=[N+]([O-])c1cccc(CN[C@@H](c2ncccn2)C2CC2)c1 ZINC000922580797 626316654 /nfs/dbraw/zinc/31/66/54/626316654.db2.gz FAYXPESAXPUZKO-CQSZACIVSA-N 0 0 284.319 2.626 20 5 CFBDRN O=C(NC[C@H]1CCCCS1)c1cc(F)ccc1[N+](=O)[O-] ZINC000156385426 626387949 /nfs/dbraw/zinc/38/79/49/626387949.db2.gz CCFGHNQIAJLASG-SNVBAGLBSA-N 0 0 298.339 2.749 20 5 CFBDRN CC(C)(C)n1nnnc1S/C=C/c1ccc([N+](=O)[O-])o1 ZINC000073928569 626400127 /nfs/dbraw/zinc/40/01/27/626400127.db2.gz JIDJEQUVWAMDJE-VOTSOKGWSA-N 0 0 295.324 2.692 20 5 CFBDRN C[C@@H]1CCCC[C@H]1N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822406378 626416650 /nfs/dbraw/zinc/41/66/50/626416650.db2.gz KASPKSFTERZEEL-VXGBXAGGSA-N 0 0 270.373 2.859 20 5 CFBDRN CCc1onc(C)c1CNCc1ccc([N+](=O)[O-])cc1 ZINC000922987936 626439881 /nfs/dbraw/zinc/43/98/81/626439881.db2.gz MFBPMNHLKUZSOJ-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN Cc1c(COC(=O)[C@H](C)[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000923047879 626446456 /nfs/dbraw/zinc/44/64/56/626446456.db2.gz HFFFHXZHWJJYKV-BXUZGUMPSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCO1 ZINC000923055169 626446949 /nfs/dbraw/zinc/44/69/49/626446949.db2.gz HLVHVYXAQUUPJB-TVQRCGJNSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1cc(N[C@H](C)c2nncn2C)c(Cl)cc1[N+](=O)[O-] ZINC000774514124 626481848 /nfs/dbraw/zinc/48/18/48/626481848.db2.gz MBDSGNHUWYPVDO-MRVPVSSYSA-N 0 0 295.730 2.858 20 5 CFBDRN CCOC(=O)CN(CC(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000774537871 626481874 /nfs/dbraw/zinc/48/18/74/626481874.db2.gz ZJBDLYPWNPDCFI-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN CC/C(C)=C\C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000838037516 626484056 /nfs/dbraw/zinc/48/40/56/626484056.db2.gz LKSMSDPOGGFBLH-NTMALXAHSA-N 0 0 277.276 2.677 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)CC1(O)CCC1 ZINC000838212438 626488907 /nfs/dbraw/zinc/48/89/07/626488907.db2.gz UNMYLJVZUMYBEJ-NSHDSACASA-N 0 0 293.319 2.813 20 5 CFBDRN Cc1nc(C)c(COc2ccc([N+](=O)[O-])cc2F)o1 ZINC000788098627 626490195 /nfs/dbraw/zinc/49/01/95/626490195.db2.gz BNCJVOPQZZOMAL-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN C[C@@]1(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC=CCC1 ZINC000838318051 626493173 /nfs/dbraw/zinc/49/31/73/626493173.db2.gz MCYMHVXIMMZPRX-CQSZACIVSA-N 0 0 293.298 2.545 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000838627712 626502194 /nfs/dbraw/zinc/50/21/94/626502194.db2.gz UVXBCBCZXDMTHT-VHRBIJSZSA-N 0 0 289.335 2.850 20 5 CFBDRN CC/C=C(\F)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000867001890 626524538 /nfs/dbraw/zinc/52/45/38/626524538.db2.gz UYNFWGBQRDCSJF-QCDXTXTGSA-N 0 0 278.283 2.743 20 5 CFBDRN COCCCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000103333994 626529005 /nfs/dbraw/zinc/52/90/05/626529005.db2.gz MMBBAWHTFVGUSN-RMKNXTFCSA-N 0 0 279.292 2.578 20 5 CFBDRN CS[C@@H](C)C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000103336834 626529580 /nfs/dbraw/zinc/52/95/80/626529580.db2.gz USIBVMBDISMNLK-LURJTMIESA-N 0 0 275.713 2.905 20 5 CFBDRN O=C(COCC1CCCC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000839224377 626531650 /nfs/dbraw/zinc/53/16/50/626531650.db2.gz NQZULNIMAASQBP-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN Cc1c(OC(=O)c2cncnc2C2CC2)cccc1[N+](=O)[O-] ZINC000839256213 626532652 /nfs/dbraw/zinc/53/26/52/626532652.db2.gz KMLJIIMOOIIWRQ-UHFFFAOYSA-N 0 0 299.286 2.790 20 5 CFBDRN CC(C)(CCC(=O)N(CC(F)(F)F)C1CCC1)[N+](=O)[O-] ZINC000839298413 626533180 /nfs/dbraw/zinc/53/31/80/626533180.db2.gz QVIWERWXAIATHV-UHFFFAOYSA-N 0 0 296.289 2.765 20 5 CFBDRN Cc1ccc(COC(=O)CCn2cccc2)cc1[N+](=O)[O-] ZINC000839396313 626535325 /nfs/dbraw/zinc/53/53/25/626535325.db2.gz KOJNSQBYQMLTHI-UHFFFAOYSA-N 0 0 288.303 2.838 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000839453412 626537329 /nfs/dbraw/zinc/53/73/29/626537329.db2.gz PVQMJZYJODLGQD-PWSUYJOCSA-N 0 0 298.726 2.546 20 5 CFBDRN CN(CCOCC1CC1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000749321648 626540672 /nfs/dbraw/zinc/54/06/72/626540672.db2.gz MHVTYMFWGMWMQC-UHFFFAOYSA-N 0 0 286.278 2.736 20 5 CFBDRN Cc1c(Nc2nc3ccc([N+](=O)[O-])cc3s2)cnn1C ZINC000756984198 626543084 /nfs/dbraw/zinc/54/30/84/626543084.db2.gz RUIZWXPSMONOKS-UHFFFAOYSA-N 0 0 289.320 2.990 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756996751 626543124 /nfs/dbraw/zinc/54/31/24/626543124.db2.gz DFDWPKJNVQUQJM-GMSGAONNSA-N 0 0 268.700 2.520 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc[nH]1 ZINC000756996315 626543162 /nfs/dbraw/zinc/54/31/62/626543162.db2.gz GAIWCRQENDXFQT-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCCCOC(C)(C)C ZINC000757030564 626543682 /nfs/dbraw/zinc/54/36/82/626543682.db2.gz IFVOURROJQDMIM-UHFFFAOYSA-N 0 0 296.323 2.660 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCc2cccc([N+](=O)[O-])c2)O1 ZINC000757137726 626545855 /nfs/dbraw/zinc/54/58/55/626545855.db2.gz UPCNIIDUSOIKCF-STQMWFEESA-N 0 0 279.292 2.596 20 5 CFBDRN C[C@H]1CCN1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000757264569 626547424 /nfs/dbraw/zinc/54/74/24/626547424.db2.gz ZWIUSDBZKZNQOE-QMMMGPOBSA-N 0 0 283.715 2.552 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c2ccccc21 ZINC000839605220 626547810 /nfs/dbraw/zinc/54/78/10/626547810.db2.gz SJLNHRYAPLBISF-KWCYVHTRSA-N 0 0 288.347 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCO[C@H](C2CCC2)C1 ZINC000839621944 626548557 /nfs/dbraw/zinc/54/85/57/626548557.db2.gz HGGPLZBLFWEPJF-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN CC(C)(C)CN(Cc1ccccc1)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839649160 626549372 /nfs/dbraw/zinc/54/93/72/626549372.db2.gz DFHNMAVLITZSFJ-ZIAGYGMSSA-N 0 0 290.363 2.727 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cc2ccccc2s1 ZINC000839665577 626550418 /nfs/dbraw/zinc/55/04/18/626550418.db2.gz JLKDZSGYPCIUOJ-FBIMIBRVSA-N 0 0 290.344 2.744 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000867016854 626550623 /nfs/dbraw/zinc/55/06/23/626550623.db2.gz WVINYXPWPYWTMZ-RCWTZXSCSA-N 0 0 279.340 2.817 20 5 CFBDRN Cc1cc(F)ncc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000867015603 626550775 /nfs/dbraw/zinc/55/07/75/626550775.db2.gz DEPOTCCLIXTSPD-UHFFFAOYSA-N 0 0 294.286 2.567 20 5 CFBDRN Cc1ccccc1[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])C(C)(C)C ZINC000839698513 626551479 /nfs/dbraw/zinc/55/14/79/626551479.db2.gz FWFNMHVHLKBUAV-MCIONIFRSA-N 0 0 290.363 2.864 20 5 CFBDRN O=C(Nc1ccc(C2CCC2)cc1)[C@@H]1CC1[N+](=O)[O-] ZINC000839746446 626554238 /nfs/dbraw/zinc/55/42/38/626554238.db2.gz WRKAJYICXIQLEE-CHWSQXEVSA-N 0 0 260.293 2.558 20 5 CFBDRN O=C(OC[C@H]1CC12CCOCC2)c1ccccc1[N+](=O)[O-] ZINC000758018985 626573054 /nfs/dbraw/zinc/57/30/54/626573054.db2.gz YJPZDILWLYWHQE-LLVKDONJSA-N 0 0 291.303 2.568 20 5 CFBDRN Nc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc(C2CC2)cc1 ZINC000758451270 626594378 /nfs/dbraw/zinc/59/43/78/626594378.db2.gz DXSUCZNEKXKMIY-UHFFFAOYSA-N 0 0 298.302 2.702 20 5 CFBDRN C[C@H](C[C@@H](O)c1ccccc1)Nc1cccnc1[N+](=O)[O-] ZINC000758465666 626595135 /nfs/dbraw/zinc/59/51/35/626595135.db2.gz AVHZSUSCSCLOHV-BXUZGUMPSA-N 0 0 287.319 2.914 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)CC(C)(C)OC)c1 ZINC000758486196 626595566 /nfs/dbraw/zinc/59/55/66/626595566.db2.gz MUDRQEAHLCEFKK-UHFFFAOYSA-N 0 0 286.303 2.604 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCCC1CC1 ZINC000758738807 626605869 /nfs/dbraw/zinc/60/58/69/626605869.db2.gz JQKWXMCZEJJSOX-UHFFFAOYSA-N 0 0 288.303 2.962 20 5 CFBDRN Cc1cc([N+](=O)[O-])nn1C[C@H](O)c1ccc(Cl)c(F)c1 ZINC000759044411 626625929 /nfs/dbraw/zinc/62/59/29/626625929.db2.gz CPVIQXFYULQQIR-NSHDSACASA-N 0 0 299.689 2.626 20 5 CFBDRN C[C@@H](C[C@H](O)c1ccco1)Nc1cccnc1[N+](=O)[O-] ZINC000759246682 626639242 /nfs/dbraw/zinc/63/92/42/626639242.db2.gz MQBHNQYRZZAENV-ONGXEEELSA-N 0 0 277.280 2.507 20 5 CFBDRN CNc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000759617365 626676826 /nfs/dbraw/zinc/67/68/26/626676826.db2.gz ZKMLBNJZXPUZMV-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN COCCC1(C)CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000808170721 626684086 /nfs/dbraw/zinc/68/40/86/626684086.db2.gz CNFYKUHBVGKPFD-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)NC1CC=CC1 ZINC000759759321 626689517 /nfs/dbraw/zinc/68/95/17/626689517.db2.gz KPMKIHKAYLLSRF-UHFFFAOYSA-N 0 0 295.726 2.766 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)[C@H]1CC12CCC2 ZINC000759981976 626707005 /nfs/dbraw/zinc/70/70/05/626707005.db2.gz WPUIVCDPXOFGBV-CYBMUJFWSA-N 0 0 291.303 2.707 20 5 CFBDRN O=C(COC(=O)[C@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000759987281 626707545 /nfs/dbraw/zinc/70/75/45/626707545.db2.gz VKZKPOUUZHXQQK-GFCCVEGCSA-N 0 0 289.287 2.511 20 5 CFBDRN Cc1cccnc1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760016354 626709723 /nfs/dbraw/zinc/70/97/23/626709723.db2.gz JOVCWUAMJUHLAJ-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CSCCS1 ZINC000760015802 626709743 /nfs/dbraw/zinc/70/97/43/626709743.db2.gz IJZVOUUZGDSYDI-JTQLQIEISA-N 0 0 299.373 2.657 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)C1CCSCC1 ZINC000760022589 626710393 /nfs/dbraw/zinc/71/03/93/626710393.db2.gz MORMSQVOLLWJLA-UHFFFAOYSA-N 0 0 281.333 2.952 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000805195807 626713459 /nfs/dbraw/zinc/71/34/59/626713459.db2.gz CTKBEJIELBNOJE-PRHODGIISA-N 0 0 297.742 2.846 20 5 CFBDRN CCO[C@H](CC(=O)Oc1cccc([N+](=O)[O-])c1)C(C)C ZINC000760225500 626721149 /nfs/dbraw/zinc/72/11/49/626721149.db2.gz DELRTFTVCBGUII-CYBMUJFWSA-N 0 0 281.308 2.951 20 5 CFBDRN C[C@@H](Nc1nc2ccccn2c1[N+](=O)[O-])c1ccc[nH]1 ZINC000760275412 626728239 /nfs/dbraw/zinc/72/82/39/626728239.db2.gz YDJSZDGDTJWKSZ-SECBINFHSA-N 0 0 271.280 2.744 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2ccc[nH]2)cc([N+](=O)[O-])c1 ZINC000760424565 626744740 /nfs/dbraw/zinc/74/47/40/626744740.db2.gz BEZCCWJTGJCBED-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1CC1CCOCC1 ZINC000760570870 626756469 /nfs/dbraw/zinc/75/64/69/626756469.db2.gz DSNVHAYOKWDPRA-NSHDSACASA-N 0 0 276.336 2.772 20 5 CFBDRN CC1(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000760614929 626759632 /nfs/dbraw/zinc/75/96/32/626759632.db2.gz MMXHFGSLPUCMMU-UHFFFAOYSA-N 0 0 268.700 2.665 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC000760654700 626760974 /nfs/dbraw/zinc/76/09/74/626760974.db2.gz MGKZOJPGWRKFEC-UHFFFAOYSA-N 0 0 288.225 2.570 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCC1=O ZINC000761454786 626836700 /nfs/dbraw/zinc/83/67/00/626836700.db2.gz HZUIHYKEOUGWFW-WCBMZHEXSA-N 0 0 297.332 2.705 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C1=CCCC1 ZINC000761560739 626846674 /nfs/dbraw/zinc/84/66/74/626846674.db2.gz YRVAORPPAJWROA-UHFFFAOYSA-N 0 0 277.276 2.757 20 5 CFBDRN Cc1ccc(-c2noc(C3=COCC3)n2)cc1[N+](=O)[O-] ZINC000761639626 626850753 /nfs/dbraw/zinc/85/07/53/626850753.db2.gz ZWEQDMSZOKENOJ-UHFFFAOYSA-N 0 0 273.248 2.714 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000761979757 626876852 /nfs/dbraw/zinc/87/68/52/626876852.db2.gz JFNANIMCLGAIPM-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(C2=COCC2)n1 ZINC000762072536 626887377 /nfs/dbraw/zinc/88/73/77/626887377.db2.gz VKQMHKROOPTLOH-UHFFFAOYSA-N 0 0 273.248 2.714 20 5 CFBDRN CCC(CC)OC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000762111444 626892602 /nfs/dbraw/zinc/89/26/02/626892602.db2.gz WRXYJEJWIYKKNS-UHFFFAOYSA-N 0 0 267.281 2.705 20 5 CFBDRN COc1ccc(C(=O)OC[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000762134770 626895564 /nfs/dbraw/zinc/89/55/64/626895564.db2.gz KBJKLVRCNAHRDJ-VIFPVBQESA-N 0 0 297.332 2.513 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccc([N+](=O)[O-])cn1)SC ZINC000762186208 626901629 /nfs/dbraw/zinc/90/16/29/626901629.db2.gz ZBTCUSROUITIKM-UHFFFAOYSA-N 0 0 297.380 2.641 20 5 CFBDRN O=C1O[C@H](COc2cc(Cl)ccc2[N+](=O)[O-])CC12CC2 ZINC000762205469 626903239 /nfs/dbraw/zinc/90/32/39/626903239.db2.gz AJNVTLPOGOLNDZ-VIFPVBQESA-N 0 0 297.694 2.723 20 5 CFBDRN C[C@H](C(=O)NOC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000762229585 626905401 /nfs/dbraw/zinc/90/54/01/626905401.db2.gz BIBFJSHXWFLKKF-JTQLQIEISA-N 0 0 278.308 2.689 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(COc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000762252009 626907403 /nfs/dbraw/zinc/90/74/03/626907403.db2.gz IJNXBMMBVFUWIG-IONNQARKSA-N 0 0 293.254 2.819 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)n1 ZINC000762256244 626907987 /nfs/dbraw/zinc/90/79/87/626907987.db2.gz UEXDLCPWCBKFQO-QUBYGPBYSA-N 0 0 290.235 2.676 20 5 CFBDRN C[C@@H](O)C(C)(C)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000762568584 626924224 /nfs/dbraw/zinc/92/42/24/626924224.db2.gz NLHHNAZHTYANIL-SSDOTTSWSA-N 0 0 281.337 2.776 20 5 CFBDRN CC(C)SCC(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000762709863 626932270 /nfs/dbraw/zinc/93/22/70/626932270.db2.gz DGFFGXKAUVEAIP-UHFFFAOYSA-N 0 0 283.349 2.822 20 5 CFBDRN O=C(OC/C=C/Cl)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000762895113 626948201 /nfs/dbraw/zinc/94/82/01/626948201.db2.gz CNKYUSADQFVLPE-GORDUTHDSA-N 0 0 280.667 2.985 20 5 CFBDRN CC(=O)CCCCOC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000762913156 626949524 /nfs/dbraw/zinc/94/95/24/626949524.db2.gz DCDBUVZBTZYZQQ-UHFFFAOYSA-N 0 0 293.319 2.830 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1c1ccc([N+](=O)[O-])c2nonc21 ZINC000763037528 626957832 /nfs/dbraw/zinc/95/78/32/626957832.db2.gz SWFJSQHRQSLQOY-SECBINFHSA-N 0 0 288.307 2.900 20 5 CFBDRN CC(=O)c1c(NCc2cccnc2)cccc1[N+](=O)[O-] ZINC000763207153 626970587 /nfs/dbraw/zinc/97/05/87/626970587.db2.gz SMSWDSXEHDPEEN-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN C[C@@H](C(=O)OCC1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000763395099 626985417 /nfs/dbraw/zinc/98/54/17/626985417.db2.gz VAVDRGDYLHKEDY-LLVKDONJSA-N 0 0 293.319 2.668 20 5 CFBDRN C[C@H](C(=O)OCC1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000763395100 626985420 /nfs/dbraw/zinc/98/54/20/626985420.db2.gz VAVDRGDYLHKEDY-NSHDSACASA-N 0 0 293.319 2.668 20 5 CFBDRN C[C@H](C(=O)OC[C@H]1CC[C@@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000763400530 626985890 /nfs/dbraw/zinc/98/58/90/626985890.db2.gz BWTHTMFZGLGDQT-UHIISALHSA-N 0 0 293.319 2.809 20 5 CFBDRN C[C@@H](C(=O)OCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000763403042 626985922 /nfs/dbraw/zinc/98/59/22/626985922.db2.gz OGJPVWMJRITDMO-SSDOTTSWSA-N 0 0 277.198 2.804 20 5 CFBDRN Cc1cnc(COC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)o1 ZINC000763718238 627007593 /nfs/dbraw/zinc/00/75/93/627007593.db2.gz APFGYDPTOYPKGI-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SCCO ZINC000763747059 627009790 /nfs/dbraw/zinc/00/97/90/627009790.db2.gz QBIYRQNFLMOWRC-SECBINFHSA-N 0 0 299.348 2.635 20 5 CFBDRN O=C(OC/C(Cl)=C\Cl)c1ccc([N+](=O)[O-])o1 ZINC000763860097 627018270 /nfs/dbraw/zinc/01/82/70/627018270.db2.gz BRRFBVGBKMSUBZ-HWKANZROSA-N 0 0 266.036 2.664 20 5 CFBDRN CC1(COC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)CCC1 ZINC000764101416 627038163 /nfs/dbraw/zinc/03/81/63/627038163.db2.gz NUZRTLRHPYFHFB-UHFFFAOYSA-N 0 0 293.275 2.671 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000764583812 627073189 /nfs/dbraw/zinc/07/31/89/627073189.db2.gz YBBDACKDGBRXNU-VIFPVBQESA-N 0 0 295.291 2.915 20 5 CFBDRN C[C@H](OC(=O)[C@]1(C)CCCO1)c1ccccc1[N+](=O)[O-] ZINC000764597170 627074496 /nfs/dbraw/zinc/07/44/96/627074496.db2.gz LTCBXXPLXQRNJP-HZMBPMFUSA-N 0 0 279.292 2.768 20 5 CFBDRN CCC1(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])COC1 ZINC000764598578 627074614 /nfs/dbraw/zinc/07/46/14/627074614.db2.gz PWDNOQVBOBBPSU-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H]1CCCC1(F)F ZINC000805291939 627089631 /nfs/dbraw/zinc/08/96/31/627089631.db2.gz GGJQFOPWZWJOFB-JECSTDCCSA-N 0 0 296.273 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc2cc[nH]c2n1 ZINC000764756086 627090541 /nfs/dbraw/zinc/09/05/41/627090541.db2.gz NKZGYHBPFCPHDC-UHFFFAOYSA-N 0 0 297.270 2.999 20 5 CFBDRN O=[N+]([O-])c1cccc(NCCOCC2CCC2)c1 ZINC000765070670 627117338 /nfs/dbraw/zinc/11/73/38/627117338.db2.gz CGWSPKCFQJEGPD-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN Cc1ccc(Cl)c(OC(=O)c2nn(C)cc2[N+](=O)[O-])c1 ZINC000765110326 627119781 /nfs/dbraw/zinc/11/97/81/627119781.db2.gz NNHHTRRKMIDVDD-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cccc(CO)c2)n1 ZINC000765453004 627139403 /nfs/dbraw/zinc/13/94/03/627139403.db2.gz IJVUFGVHTIDRSW-UHFFFAOYSA-N 0 0 259.265 2.534 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCc3nc(C)ncc32)n1 ZINC000765506736 627144157 /nfs/dbraw/zinc/14/41/57/627144157.db2.gz PYGJOMVCKVYFGW-CYBMUJFWSA-N 0 0 299.334 2.886 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000765535697 627146083 /nfs/dbraw/zinc/14/60/83/627146083.db2.gz PZZXNJSKZSXDRD-BDAKNGLRSA-N 0 0 293.348 2.818 20 5 CFBDRN COc1cc(COC(=O)C2(C)CC2)c([N+](=O)[O-])cc1F ZINC000766215221 627184751 /nfs/dbraw/zinc/18/47/51/627184751.db2.gz KPKNTPIGAUVLGB-UHFFFAOYSA-N 0 0 283.255 2.586 20 5 CFBDRN O=C(OC[C@@H]1CCCOC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000766309969 627194284 /nfs/dbraw/zinc/19/42/84/627194284.db2.gz SDCXFQKYICRDKW-SECBINFHSA-N 0 0 299.710 2.832 20 5 CFBDRN C[C@@H]1COCC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000766592657 627210656 /nfs/dbraw/zinc/21/06/56/627210656.db2.gz VWKFCSQQIBKYHR-SCZZXKLOSA-N 0 0 298.726 2.859 20 5 CFBDRN COc1ccc(CNc2cccc(F)c2[N+](=O)[O-])nc1 ZINC000767407951 627248240 /nfs/dbraw/zinc/24/82/40/627248240.db2.gz PVJXSMGYSORWLN-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC[C@@H](SC)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000767676589 627273696 /nfs/dbraw/zinc/27/36/96/627273696.db2.gz LVQSUDKJYGONOJ-GFCCVEGCSA-N 0 0 299.348 2.658 20 5 CFBDRN CCCCC[C@@H]1CCCN1Cn1cc([N+](=O)[O-])cn1 ZINC000767764913 627283246 /nfs/dbraw/zinc/28/32/46/627283246.db2.gz NIDNJPPAIYDABG-GFCCVEGCSA-N 0 0 266.345 2.794 20 5 CFBDRN O=C(Cc1ccc(O)cc1)OCc1csc([N+](=O)[O-])c1 ZINC000768605717 627357717 /nfs/dbraw/zinc/35/77/17/627357717.db2.gz VYBAXFIRONQSGU-UHFFFAOYSA-N 0 0 293.300 2.648 20 5 CFBDRN C[C@H]1C[N@H+](C)[C@@H](C)CN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000768771944 627370905 /nfs/dbraw/zinc/37/09/05/627370905.db2.gz MCXPHKZOCURHLT-QWRGUYRKSA-N 0 0 297.786 2.773 20 5 CFBDRN C[C@@H]1C[N@H+](C)[C@H](C)CN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000768773167 627371363 /nfs/dbraw/zinc/37/13/63/627371363.db2.gz PTIONFZKFNKIOV-GHMZBOCLSA-N 0 0 297.786 2.773 20 5 CFBDRN Cc1cccc(COC(=O)c2cc(O)cc([N+](=O)[O-])c2)c1 ZINC000769046777 627399270 /nfs/dbraw/zinc/39/92/70/627399270.db2.gz LZUJKVUQPXTGCD-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1c(F)cccc1Cl ZINC000769290312 627419333 /nfs/dbraw/zinc/41/93/33/627419333.db2.gz DGQXKHKNOQHIAZ-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN Cc1nc(NC(=O)[C@H](F)c2ccccc2)ccc1[N+](=O)[O-] ZINC000769468601 627444290 /nfs/dbraw/zinc/44/42/90/627444290.db2.gz DDIJPMLYLRLICG-CYBMUJFWSA-N 0 0 289.266 2.948 20 5 CFBDRN Cc1nc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)co1 ZINC000851892415 634574567 /nfs/dbraw/zinc/57/45/67/634574567.db2.gz ODHVHXDOHBJZRQ-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN Cc1cc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)nc(C)n1 ZINC000851892397 634574813 /nfs/dbraw/zinc/57/48/13/634574813.db2.gz NKGNWPCVHIEPFQ-UHFFFAOYSA-N 0 0 298.346 2.873 20 5 CFBDRN Cc1cnccc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000769722893 627470059 /nfs/dbraw/zinc/47/00/59/627470059.db2.gz IEWRSFUVTYLSDI-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCc1ccon1 ZINC000769887614 627484573 /nfs/dbraw/zinc/48/45/73/627484573.db2.gz KMOYSZFGWNUOJQ-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1cnc([C@H](C)Oc2ccc([N+](=O)[O-])cc2C=O)o1 ZINC000770682415 627540886 /nfs/dbraw/zinc/54/08/86/627540886.db2.gz ZDQRDBVZJZXYTL-VIFPVBQESA-N 0 0 276.248 2.844 20 5 CFBDRN C[C@@H]1CC/C(=C/C(=O)Nc2cc([N+](=O)[O-])ccc2[O-])C1 ZINC000770857001 627551713 /nfs/dbraw/zinc/55/17/13/627551713.db2.gz OXHMYHILUXCVGC-SBMLRHLQSA-N 0 0 276.292 2.985 20 5 CFBDRN O=C(C=C1CCCC1)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000770859248 627551816 /nfs/dbraw/zinc/55/18/16/627551816.db2.gz WNUOAAMMYHPIAB-UHFFFAOYSA-N 0 0 262.265 2.739 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2cc(F)ccc2[N+](=O)[O-])o1 ZINC000770959936 627564421 /nfs/dbraw/zinc/56/44/21/627564421.db2.gz ACAMROOBOMZBHA-QMMMGPOBSA-N 0 0 294.238 2.948 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000770964564 627564854 /nfs/dbraw/zinc/56/48/54/627564854.db2.gz GPMJZFLAOPURSA-QMMMGPOBSA-N 0 0 294.238 2.948 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2ccc(F)cc2[N+](=O)[O-])o1 ZINC000771001474 627568121 /nfs/dbraw/zinc/56/81/21/627568121.db2.gz DQLCIWDQYBANLY-MRVPVSSYSA-N 0 0 294.238 2.948 20 5 CFBDRN Cc1ncc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)s1 ZINC000771479798 627607749 /nfs/dbraw/zinc/60/77/49/627607749.db2.gz GDJDRCIKYZFFOR-UHFFFAOYSA-N 0 0 282.252 2.718 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000771493667 627609323 /nfs/dbraw/zinc/60/93/23/627609323.db2.gz NUTBLSBDHSIYJA-VIFPVBQESA-N 0 0 296.371 2.853 20 5 CFBDRN Nc1ccc(OCC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000808256476 627610668 /nfs/dbraw/zinc/61/06/68/627610668.db2.gz RMZMESRFVNTJCW-SNVBAGLBSA-N 0 0 266.297 2.515 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1c1ccc([N+](=O)[O-])c2nonc21 ZINC000771691367 627624589 /nfs/dbraw/zinc/62/45/89/627624589.db2.gz UMVHSRAGFDKMLT-BDAKNGLRSA-N 0 0 276.296 2.898 20 5 CFBDRN O=C(CCOc1cccc([N+](=O)[O-])c1)OC1CCCC1 ZINC000771913320 627647230 /nfs/dbraw/zinc/64/72/30/627647230.db2.gz FSRLMRZPNHFORK-UHFFFAOYSA-N 0 0 279.292 2.850 20 5 CFBDRN Cc1cccnc1COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000772005883 627662096 /nfs/dbraw/zinc/66/20/96/627662096.db2.gz WVVGGUAAQINKGF-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN COc1cccc(NCCc2cccc(O)c2)c1[N+](=O)[O-] ZINC000772019629 627664449 /nfs/dbraw/zinc/66/44/49/627664449.db2.gz YOLOKQRJBMQVGH-UHFFFAOYSA-N 0 0 288.303 2.964 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(N2CC(CCO)C2)c(Cl)c1 ZINC000772147703 627679613 /nfs/dbraw/zinc/67/96/13/627679613.db2.gz ZHWWCLFMBJUCMO-UHFFFAOYSA-N 0 0 291.134 2.720 20 5 CFBDRN O=C(Cc1ccoc1)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000772157866 627681018 /nfs/dbraw/zinc/68/10/18/627681018.db2.gz GYGABDKWJXSOKI-UHFFFAOYSA-N 0 0 294.694 2.700 20 5 CFBDRN C[C@@H]1CCCCN(c2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000772212188 627686880 /nfs/dbraw/zinc/68/68/80/627686880.db2.gz MJJVGYPJWBHOKN-SECBINFHSA-N 0 0 276.296 2.757 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000772495727 627714059 /nfs/dbraw/zinc/71/40/59/627714059.db2.gz ACBBBXWCCLVHHV-NEPJUHHUSA-N 0 0 293.319 2.809 20 5 CFBDRN O=C(Cc1ccco1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000772650784 627731115 /nfs/dbraw/zinc/73/11/15/627731115.db2.gz BJOYSXBAZJNUTP-UHFFFAOYSA-N 0 0 281.651 2.989 20 5 CFBDRN Cc1ccc(COC(=O)c2ccccc2[N+](=O)[O-])cn1 ZINC000772660062 627732239 /nfs/dbraw/zinc/73/22/39/627732239.db2.gz MPTQDWCKHFXAHU-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000772664526 627732688 /nfs/dbraw/zinc/73/26/88/627732688.db2.gz FVJJKFCDNWYJQE-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC1(OCC(=O)OCCc2ccccc2[N+](=O)[O-])CCC1 ZINC000773000586 627767164 /nfs/dbraw/zinc/76/71/64/627767164.db2.gz WNYDLDJEEILWDD-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN Cc1ccc2c(c1)CCN2C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000773381498 627811561 /nfs/dbraw/zinc/81/15/61/627811561.db2.gz DZAUHCDYQHMZGB-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1c(COC(=O)c2nccs2)cccc1[N+](=O)[O-] ZINC000773508172 627830366 /nfs/dbraw/zinc/83/03/66/627830366.db2.gz CFKUWARMCFSETI-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1ccc(O)cc1F ZINC000773586877 627838925 /nfs/dbraw/zinc/83/89/25/627838925.db2.gz XLKKIKWKMPCUNQ-UHFFFAOYSA-N 0 0 297.263 2.858 20 5 CFBDRN CC[C@@H](SC)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773995483 627876355 /nfs/dbraw/zinc/87/63/55/627876355.db2.gz GASQPZGABNLGQB-CYBMUJFWSA-N 0 0 294.376 2.934 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H]1C[C@H]1C1CC1)CC2 ZINC000773991323 627876756 /nfs/dbraw/zinc/87/67/56/627876756.db2.gz WEWNNFCULGYQPF-STQMWFEESA-N 0 0 286.331 2.838 20 5 CFBDRN C[C@@H](OC(=O)c1nccs1)c1ccc([N+](=O)[O-])cc1 ZINC000774068894 627884009 /nfs/dbraw/zinc/88/40/09/627884009.db2.gz UVFMYPKODMKJPH-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN COc1cccc(C(=O)OC[C@H]2CCCS2)c1[N+](=O)[O-] ZINC000774120727 627890299 /nfs/dbraw/zinc/89/02/99/627890299.db2.gz CBSLJTWSQDGIMX-SECBINFHSA-N 0 0 297.332 2.656 20 5 CFBDRN Cc1cccc(C(=O)Nc2c[nH]nc2Cl)c1[N+](=O)[O-] ZINC000774216153 627904608 /nfs/dbraw/zinc/90/46/08/627904608.db2.gz BLASRPRYTNQYFU-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN COc1cccc(C(=O)OCCc2ccoc2)c1[N+](=O)[O-] ZINC000774219588 627905293 /nfs/dbraw/zinc/90/52/93/627905293.db2.gz SXELQMGACAJEHQ-UHFFFAOYSA-N 0 0 291.259 2.596 20 5 CFBDRN O=C(NOc1ccccc1)c1cc([N+](=O)[O-])ccc1Cl ZINC000774270407 627910192 /nfs/dbraw/zinc/91/01/92/627910192.db2.gz MJZKGGBLCHKCPD-UHFFFAOYSA-N 0 0 292.678 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCc3cccc(F)n3)nc2c1 ZINC000774673258 627947494 /nfs/dbraw/zinc/94/74/94/627947494.db2.gz OFQMHCVNJWJNNY-UHFFFAOYSA-N 0 0 287.254 2.617 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C[C@H]2CCOC2)c1 ZINC000774734860 627953999 /nfs/dbraw/zinc/95/39/99/627953999.db2.gz ORLBOTYJMMEMOJ-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)C[C@H]1CCOC1 ZINC000774757808 627959545 /nfs/dbraw/zinc/95/95/45/627959545.db2.gz XILVKWRIQJDOCS-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H](CC1CCCCC1)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000774807664 627965274 /nfs/dbraw/zinc/96/52/74/627965274.db2.gz HXCYXODUEGMONQ-NSHDSACASA-N 0 0 295.339 2.693 20 5 CFBDRN CC(C)[C@H]1C[C@H]1COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000774868031 627974913 /nfs/dbraw/zinc/97/49/13/627974913.db2.gz TUJRVVDDOJOBSM-WDEREUQCSA-N 0 0 278.308 2.626 20 5 CFBDRN CNc1ccc(C(=O)OCC(C)(C)SC)cc1[N+](=O)[O-] ZINC000775320404 628009532 /nfs/dbraw/zinc/00/95/32/628009532.db2.gz PYLASRPLCJGGLL-UHFFFAOYSA-N 0 0 298.364 2.935 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000775464742 628028498 /nfs/dbraw/zinc/02/84/98/628028498.db2.gz KMBPDSLRJIBVLM-ZJUUUORDSA-N 0 0 277.276 2.506 20 5 CFBDRN CCc1onc(C)c1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000776167655 628110275 /nfs/dbraw/zinc/11/02/75/628110275.db2.gz CAXDRMQBNGBJLC-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000776406059 628144392 /nfs/dbraw/zinc/14/43/92/628144392.db2.gz FZVFNDYZAHDNKR-ZJUUUORDSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000776417316 628147064 /nfs/dbraw/zinc/14/70/64/628147064.db2.gz RUOCFUHIPLVQNM-JQWIXIFHSA-N 0 0 291.303 2.757 20 5 CFBDRN Cc1c(OC(=O)c2coc(C3CC3)n2)cccc1[N+](=O)[O-] ZINC000776787937 628177909 /nfs/dbraw/zinc/17/79/09/628177909.db2.gz XUOBFKCLFIPBHE-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2C2CCOCC2)cc1 ZINC000776814049 628180455 /nfs/dbraw/zinc/18/04/55/628180455.db2.gz AIEJWUDLUTYDDM-HNNXBMFYSA-N 0 0 276.336 2.990 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1coc(C2CC2)n1 ZINC000776880986 628184245 /nfs/dbraw/zinc/18/42/45/628184245.db2.gz RWJFSWBVIITPGN-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@](C)(O)C1CC1 ZINC000805581449 628210090 /nfs/dbraw/zinc/21/00/90/628210090.db2.gz XPBGNALRWIQJTH-ZUZCIYMTSA-N 0 0 293.319 2.668 20 5 CFBDRN O=C(OCCc1ccoc1)c1ccccc1[N+](=O)[O-] ZINC000777389877 628221553 /nfs/dbraw/zinc/22/15/53/628221553.db2.gz WLVIQSUEVFRXAC-UHFFFAOYSA-N 0 0 261.233 2.587 20 5 CFBDRN CC[C@]1(O)CCN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000777840511 628256187 /nfs/dbraw/zinc/25/61/87/628256187.db2.gz ZUWQFOHQCIFYPL-ZDUSSCGKSA-N 0 0 293.348 2.556 20 5 CFBDRN C[C@@H]1CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000777923528 628265592 /nfs/dbraw/zinc/26/55/92/628265592.db2.gz GZRKAERAALDYFV-SKDRFNHKSA-N 0 0 297.355 2.972 20 5 CFBDRN C[C@H]1CCN(Cn2ncc3cc([N+](=O)[O-])ccc32)C[C@H]1F ZINC000777962111 628268133 /nfs/dbraw/zinc/26/81/33/628268133.db2.gz BYPNAMJEWZVVSA-GXFFZTMASA-N 0 0 292.314 2.582 20 5 CFBDRN C[C@@H](COC(=O)c1c(N)cccc1[N+](=O)[O-])C(F)(F)F ZINC000778139391 628280275 /nfs/dbraw/zinc/28/02/75/628280275.db2.gz CJIITXNQZXLTTG-LURJTMIESA-N 0 0 292.213 2.532 20 5 CFBDRN CCC[C@H](C)OC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000778145158 628280421 /nfs/dbraw/zinc/28/04/21/628280421.db2.gz HPIPOGIFTBVEKK-QMMMGPOBSA-N 0 0 252.270 2.522 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000778288849 628294647 /nfs/dbraw/zinc/29/46/47/628294647.db2.gz YYODKMOFJDDACP-ZJUUUORDSA-N 0 0 298.289 2.944 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000778375836 628302139 /nfs/dbraw/zinc/30/21/39/628302139.db2.gz ZDRUJPGFVYOEDH-VHSXEESVSA-N 0 0 297.282 2.706 20 5 CFBDRN CC[C@]1(O)CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000778397078 628304600 /nfs/dbraw/zinc/30/46/00/628304600.db2.gz OXOMVCWWFDMBQP-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@@H]1CCCO1 ZINC000778756738 628330472 /nfs/dbraw/zinc/33/04/72/628330472.db2.gz ICFLUCPIZYPVEU-MFKMUULPSA-N 0 0 279.292 2.686 20 5 CFBDRN COc1cc(COC(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000778778165 628331307 /nfs/dbraw/zinc/33/13/07/628331307.db2.gz DFUBLIREUGPPIK-UHFFFAOYSA-N 0 0 267.281 2.693 20 5 CFBDRN CC[C@@H](C)C(=O)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778792348 628332473 /nfs/dbraw/zinc/33/24/73/628332473.db2.gz LAUQYTRFYMLFAA-BXUZGUMPSA-N 0 0 292.335 2.763 20 5 CFBDRN CCCCCOC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000778897833 628339241 /nfs/dbraw/zinc/33/92/41/628339241.db2.gz BBUGJVTVHDZFFZ-UHFFFAOYSA-N 0 0 281.264 2.671 20 5 CFBDRN COc1ccc(C(=O)O[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000779262823 628365774 /nfs/dbraw/zinc/36/57/74/628365774.db2.gz JPGISWPXPCDPDX-MWLCHTKSSA-N 0 0 279.292 2.949 20 5 CFBDRN COc1ccc(CC(=O)O[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000779263585 628366117 /nfs/dbraw/zinc/36/61/17/628366117.db2.gz NPJHUZNFIGNJEN-ZYHUDNBSSA-N 0 0 293.319 2.878 20 5 CFBDRN CC[C@@H]1C[C@H](OC(=O)Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000779294193 628370581 /nfs/dbraw/zinc/37/05/81/628370581.db2.gz YRNBJEZYJPRLAS-CHWSQXEVSA-N 0 0 293.319 2.638 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C[C@@H]1O ZINC000779426642 628381056 /nfs/dbraw/zinc/38/10/56/628381056.db2.gz FNWXLZHLRFJIIS-KBPBESRZSA-N 0 0 278.352 2.828 20 5 CFBDRN Cc1cnc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(C)c1 ZINC000779743087 628398756 /nfs/dbraw/zinc/39/87/56/628398756.db2.gz PJYNEKYAZUMXFV-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CCC(CC)[N@H+](Cn1cc([N+](=O)[O-])c(C)n1)C1CC1 ZINC000779860330 628414772 /nfs/dbraw/zinc/41/47/72/628414772.db2.gz XARJQTUDNXABHC-UHFFFAOYSA-N 0 0 266.345 2.710 20 5 CFBDRN CC/C(C)=C(\C)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000779981346 628423636 /nfs/dbraw/zinc/42/36/36/628423636.db2.gz NCBRECSULJKNSC-CMDGGOBGSA-N 0 0 263.297 2.983 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCO1 ZINC000780141541 628434677 /nfs/dbraw/zinc/43/46/77/628434677.db2.gz KGZYTGDOTAYMDU-WDEREUQCSA-N 0 0 299.348 2.905 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCO1 ZINC000780141535 628434717 /nfs/dbraw/zinc/43/47/17/628434717.db2.gz KGZYTGDOTAYMDU-GHMZBOCLSA-N 0 0 299.348 2.905 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(C)=O ZINC000780283699 628450633 /nfs/dbraw/zinc/45/06/33/628450633.db2.gz YWOJAPVLEZZNKV-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN CC1(COC(=O)Cn2ccc([N+](=O)[O-])n2)CCCCCC1 ZINC000780496529 628468910 /nfs/dbraw/zinc/46/89/10/628468910.db2.gz CBIRARJJWQAIDF-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN O=C(O[C@@H]1C=CCC1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 ZINC000780551500 628474108 /nfs/dbraw/zinc/47/41/08/628474108.db2.gz HPNWGOKLELHGCE-CYBMUJFWSA-N 0 0 299.286 2.656 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NC[C@@H]3CCC=CO3)c21 ZINC000780621836 628482530 /nfs/dbraw/zinc/48/25/30/628482530.db2.gz HTLHILXKVWQYIK-JTQLQIEISA-N 0 0 286.291 2.643 20 5 CFBDRN CS/C=C/C(=O)OCCOc1ccc([N+](=O)[O-])cc1C ZINC000780636060 628483959 /nfs/dbraw/zinc/48/39/59/628483959.db2.gz HVVSVQNPJXHYDZ-VMPITWQZSA-N 0 0 297.332 2.702 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC000780728868 628489952 /nfs/dbraw/zinc/48/99/52/628489952.db2.gz HKKOGINXZXBOSD-LBPRGKRZSA-N 0 0 291.307 2.717 20 5 CFBDRN Cc1cc(COC(=O)[C@@]2(C)CCCOC2)ccc1[N+](=O)[O-] ZINC000781170991 628528780 /nfs/dbraw/zinc/52/87/80/628528780.db2.gz FTMGPRRCEXZIRB-HNNXBMFYSA-N 0 0 293.319 2.763 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000781172730 628528849 /nfs/dbraw/zinc/52/88/49/628528849.db2.gz PNVYRCOTUSIVML-LLVKDONJSA-N 0 0 281.333 2.700 20 5 CFBDRN CC(C)C[C@H](C)C[C@@H](C)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000781482071 628553159 /nfs/dbraw/zinc/55/31/59/628553159.db2.gz RWGGUJGXIFDCCD-NWDGAFQWSA-N 0 0 297.355 2.795 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H](O)c2ccsc2)n1 ZINC000781658413 628569991 /nfs/dbraw/zinc/56/99/91/628569991.db2.gz JLKUMYZTTGGUSU-LLVKDONJSA-N 0 0 293.348 2.814 20 5 CFBDRN COC1(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)CCC1 ZINC000781675574 628572737 /nfs/dbraw/zinc/57/27/37/628572737.db2.gz VICBFTBKJICFSX-UHFFFAOYSA-N 0 0 299.710 2.861 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC1(c2ccccc2)CC1 ZINC000781747315 628577339 /nfs/dbraw/zinc/57/73/39/628577339.db2.gz APDQIDKYQYJDKE-UHFFFAOYSA-N 0 0 286.287 2.779 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000781986810 628595246 /nfs/dbraw/zinc/59/52/46/628595246.db2.gz BABXJQDLMNJVSJ-ZETCQYMHSA-N 0 0 293.304 2.673 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000782006563 628596556 /nfs/dbraw/zinc/59/65/56/628596556.db2.gz LJAOKCMQCQHHPO-SSDOTTSWSA-N 0 0 293.304 2.673 20 5 CFBDRN COCc1cc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC000782139878 628606889 /nfs/dbraw/zinc/60/68/89/628606889.db2.gz DNCSUQVNZQOFEL-JTQLQIEISA-N 0 0 288.307 2.704 20 5 CFBDRN CCc1cc(Oc2ccc(C=O)cc2[N+](=O)[O-])nc(C)n1 ZINC000782132620 628606990 /nfs/dbraw/zinc/60/69/90/628606990.db2.gz FSESOPBHPOBKTD-UHFFFAOYSA-N 0 0 287.275 2.860 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCO[C@@H](C)C1 ZINC000782215865 628615748 /nfs/dbraw/zinc/61/57/48/628615748.db2.gz WTGMJODWOTVSMB-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN Cn1c(C(=O)OCc2cc(F)cc(F)c2)ccc1[N+](=O)[O-] ZINC000782244389 628619009 /nfs/dbraw/zinc/61/90/09/628619009.db2.gz JJJFTZMDJLOKMQ-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN CC(C)CC(N)=NOCCOc1ccc([N+](=O)[O-])cc1 ZINC000782320636 628627857 /nfs/dbraw/zinc/62/78/57/628627857.db2.gz JTVPJSKJYVITSB-UHFFFAOYSA-N 0 0 281.312 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC3(CC3)C2)c(Cl)c1 ZINC000782385299 628634256 /nfs/dbraw/zinc/63/42/56/628634256.db2.gz ARLWYBKXCJPDBJ-UHFFFAOYSA-N 0 0 282.727 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCOCC3(CC3)C2)c1 ZINC000782539276 628644018 /nfs/dbraw/zinc/64/40/18/628644018.db2.gz RPBISLMNQWDTPO-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C1CCC1 ZINC000782606297 628647673 /nfs/dbraw/zinc/64/76/73/628647673.db2.gz WPMOTIKXUNGHQY-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN Cc1ncsc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782931639 628663913 /nfs/dbraw/zinc/66/39/13/628663913.db2.gz DGRUZMMBXSTKKG-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783123683 628676714 /nfs/dbraw/zinc/67/67/14/628676714.db2.gz MPYRXBOGHVJCKW-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN O=C(OC1CC1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000783197408 628684555 /nfs/dbraw/zinc/68/45/55/628684555.db2.gz ZRUPTKRHBOFOMD-UHFFFAOYSA-N 0 0 275.182 2.933 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])OCCC1CCC1 ZINC000783445413 628709224 /nfs/dbraw/zinc/70/92/24/628709224.db2.gz PXBVGGCLNSZTJQ-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN CN(Cc1cc[nH]n1)Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000783566860 628721322 /nfs/dbraw/zinc/72/13/22/628721322.db2.gz SHOQPLUYWAVZIM-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSCCSCCO ZINC000783605857 628725857 /nfs/dbraw/zinc/72/58/57/628725857.db2.gz BWIDHJRGTRIFCY-UHFFFAOYSA-N 0 0 273.379 2.554 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C1CCSCC1 ZINC000783645056 628729949 /nfs/dbraw/zinc/72/99/49/628729949.db2.gz IPLQVWLWPZTXFF-UHFFFAOYSA-N 0 0 299.323 2.920 20 5 CFBDRN Cc1c(NC(=O)c2ccccc2[N+](=O)[O-])n[nH]c1C1CC1 ZINC000783648034 628730273 /nfs/dbraw/zinc/73/02/73/628730273.db2.gz QYENRELXYIATEC-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN COCC[C@H](C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000783946180 628757144 /nfs/dbraw/zinc/75/71/44/628757144.db2.gz OVRMZHDFRNWFDD-WEHUKYGOSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N(CCO)C3CCC3)sc2c1 ZINC000784008069 628762158 /nfs/dbraw/zinc/76/21/58/628762158.db2.gz JSVXSLQKOCCMHX-UHFFFAOYSA-N 0 0 293.348 2.556 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C1CCC(=O)CC1 ZINC000784045773 628768401 /nfs/dbraw/zinc/76/84/01/628768401.db2.gz WWBBWQGSFVVFCC-UHFFFAOYSA-N 0 0 295.266 2.536 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](F)C(C)C ZINC000784062538 628770971 /nfs/dbraw/zinc/77/09/71/628770971.db2.gz PPJZNGVXJVXKPS-NSHDSACASA-N 0 0 255.245 2.803 20 5 CFBDRN C/C(=C\C(=O)Nc1ncc(C)cn1)c1ccc([N+](=O)[O-])cc1 ZINC000784074286 628772414 /nfs/dbraw/zinc/77/24/14/628772414.db2.gz UQRNUBGBROKRSP-YRNVUSSQSA-N 0 0 298.302 2.735 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000852175833 634681799 /nfs/dbraw/zinc/68/17/99/634681799.db2.gz MATXYIWZBBTKMI-AAEUAGOBSA-N 0 0 289.335 2.982 20 5 CFBDRN CC(C)[C@H](F)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000784129634 628780204 /nfs/dbraw/zinc/78/02/04/628780204.db2.gz APXHMJLGLNLAGE-NSHDSACASA-N 0 0 288.706 2.859 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000784302022 628802393 /nfs/dbraw/zinc/80/23/93/628802393.db2.gz BFKJGHKGBSRPEX-VIFPVBQESA-N 0 0 261.274 2.694 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H](F)C(C)C ZINC000784310839 628803936 /nfs/dbraw/zinc/80/39/36/628803936.db2.gz PTLNJFYPCPRHNU-GFCCVEGCSA-N 0 0 285.271 2.641 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000784311840 628804038 /nfs/dbraw/zinc/80/40/38/628804038.db2.gz UTAVZQIPGWLUMF-LBPRGKRZSA-N 0 0 296.254 2.773 20 5 CFBDRN COC[C@H](C)OC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000784487540 628820336 /nfs/dbraw/zinc/82/03/36/628820336.db2.gz VUEFDOXIJRXHRE-JTQLQIEISA-N 0 0 281.308 2.712 20 5 CFBDRN CC(=O)CCCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784782503 628842156 /nfs/dbraw/zinc/84/21/56/628842156.db2.gz JROBACVZUJYLOO-UHFFFAOYSA-N 0 0 283.255 2.536 20 5 CFBDRN C/C(=C\C(=O)OC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000784841541 628844889 /nfs/dbraw/zinc/84/48/89/628844889.db2.gz JPRIMTRJSAENEJ-OBIHZWKSSA-N 0 0 291.303 2.578 20 5 CFBDRN CC(C)/C=C\C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000785037544 628861304 /nfs/dbraw/zinc/86/13/04/628861304.db2.gz YHBLORGYTNAVGQ-UTCJRWHESA-N 0 0 290.275 2.991 20 5 CFBDRN Cc1cncc(COC(=O)c2cccc(C)c2[N+](=O)[O-])c1 ZINC000785638946 628900551 /nfs/dbraw/zinc/90/05/51/628900551.db2.gz BSCBCYRKOBVMGS-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CO[C@H](C)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000785850580 628917236 /nfs/dbraw/zinc/91/72/36/628917236.db2.gz ZGMUHGKVZFEYJK-SSDOTTSWSA-N 0 0 267.310 2.651 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1CC12CCOCC2 ZINC000786436960 628956491 /nfs/dbraw/zinc/95/64/91/628956491.db2.gz BMOBOLRHFSDGHJ-JTQLQIEISA-N 0 0 297.332 2.516 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCCc3nn(C)cc32)c1[N+](=O)[O-] ZINC000786554797 628968350 /nfs/dbraw/zinc/96/83/50/628968350.db2.gz MVQDEYHVKBYBBL-LLVKDONJSA-N 0 0 287.323 2.521 20 5 CFBDRN C[C@H](Nc1ccc(N)cc1[N+](=O)[O-])c1ccccn1 ZINC000786575349 628969240 /nfs/dbraw/zinc/96/92/40/628969240.db2.gz UVTNERGAGUAILG-VIFPVBQESA-N 0 0 258.281 2.745 20 5 CFBDRN Cc1ccn2cc(CNc3ccc(N)cc3[N+](=O)[O-])nc2c1 ZINC000786582376 628969769 /nfs/dbraw/zinc/96/97/69/628969769.db2.gz JBSRNOYPJSNWNP-UHFFFAOYSA-N 0 0 297.318 2.745 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCc2ccc(F)cn2)c1 ZINC000786721400 628976400 /nfs/dbraw/zinc/97/64/00/628976400.db2.gz DCLOVWUBRXCMAU-UHFFFAOYSA-N 0 0 276.223 2.520 20 5 CFBDRN C[C@@](O)(CNc1ccc(N)cc1[N+](=O)[O-])c1ccsc1 ZINC000786804609 628979979 /nfs/dbraw/zinc/97/99/79/628979979.db2.gz SDKKDSSWYGRKOC-CYBMUJFWSA-N 0 0 293.348 2.558 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CNCc1ccon1 ZINC000786818481 628980994 /nfs/dbraw/zinc/98/09/94/628980994.db2.gz ZCYLPMSUYYQFIV-XFFZJAGNSA-N 0 0 273.292 2.776 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCC=CO1)c1cccc([N+](=O)[O-])c1 ZINC000787043347 628990175 /nfs/dbraw/zinc/99/01/75/628990175.db2.gz RLZBUOVZOVFBSR-MFKMUULPSA-N 0 0 277.276 2.892 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(Cl)s1 ZINC000787060234 628991025 /nfs/dbraw/zinc/99/10/25/628991025.db2.gz KUZOJIFWTNRRPT-UHFFFAOYSA-N 0 0 298.711 2.539 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C(F)F ZINC000787379500 629010419 /nfs/dbraw/zinc/01/04/19/629010419.db2.gz OMCIUJAMVQPWBV-UHFFFAOYSA-N 0 0 264.615 2.760 20 5 CFBDRN CC(C)n1cnc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c1 ZINC000787525257 629017140 /nfs/dbraw/zinc/01/71/40/629017140.db2.gz VDTQUIAPSRCDCJ-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN COC(C)(C)CCOC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000787635860 629022912 /nfs/dbraw/zinc/02/29/12/629022912.db2.gz SZFVQGXKAOSFHV-UHFFFAOYSA-N 0 0 287.337 2.937 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccc(F)cn1 ZINC000787672085 629025104 /nfs/dbraw/zinc/02/51/04/629025104.db2.gz WRNBPSGLFYTQJF-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN C/C(=C\C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000787720025 629029176 /nfs/dbraw/zinc/02/91/76/629029176.db2.gz QQSIPTKVETYATJ-VUHBAWRJSA-N 0 0 286.331 2.913 20 5 CFBDRN O=C(OCc1ccc(F)cn1)c1ccc(F)cc1[N+](=O)[O-] ZINC000787738808 629030765 /nfs/dbraw/zinc/03/07/65/629030765.db2.gz MKRVGFDIQLOVDN-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN COC(C)(C)CCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000787750880 629031693 /nfs/dbraw/zinc/03/16/93/629031693.db2.gz SSRXCDBHURNWLR-UHFFFAOYSA-N 0 0 295.335 2.804 20 5 CFBDRN Cc1cc(C)c(CNc2cc(N)ccc2[N+](=O)[O-])c(=O)[nH]1 ZINC000787894408 629041713 /nfs/dbraw/zinc/04/17/13/629041713.db2.gz MBNKZUOWOCYZPM-UHFFFAOYSA-N 0 0 288.307 2.507 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CCC1=O ZINC000788460634 629071109 /nfs/dbraw/zinc/07/11/09/629071109.db2.gz SBCQHQTXSLCCHU-SECBINFHSA-N 0 0 282.727 2.659 20 5 CFBDRN C[C@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CCC1=O ZINC000788460638 629071362 /nfs/dbraw/zinc/07/13/62/629071362.db2.gz SBCQHQTXSLCCHU-VIFPVBQESA-N 0 0 282.727 2.659 20 5 CFBDRN O=C(COC(=O)[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000788511649 629076837 /nfs/dbraw/zinc/07/68/37/629076837.db2.gz CUEWGKUOHDBXRW-GFCCVEGCSA-N 0 0 289.287 2.677 20 5 CFBDRN COc1cnc(NCc2ccc(Cl)c([N+](=O)[O-])c2)nc1 ZINC000788867250 629106117 /nfs/dbraw/zinc/10/61/17/629106117.db2.gz NMMMSTKKTBRWBU-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CC1(C)CCCC[C@H]1C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000789057269 629121195 /nfs/dbraw/zinc/12/11/95/629121195.db2.gz DQBKXZKGJSCXGU-NSHDSACASA-N 0 0 295.339 2.551 20 5 CFBDRN CN(Cc1c[nH]cn1)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000852288486 634711115 /nfs/dbraw/zinc/71/11/15/634711115.db2.gz ODSWFXUTZZFKRR-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN CCc1cncc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000789462664 629159047 /nfs/dbraw/zinc/15/90/47/629159047.db2.gz JXOSCEJCWPGVIB-UHFFFAOYSA-N 0 0 290.250 2.911 20 5 CFBDRN O=C(OCCCC1CCOCC1)c1ccc([N+](=O)[O-])o1 ZINC000789552253 629166301 /nfs/dbraw/zinc/16/63/01/629166301.db2.gz IQAWJGNZIHNBDC-UHFFFAOYSA-N 0 0 283.280 2.551 20 5 CFBDRN O=C(OCC1(F)CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000789583116 629168535 /nfs/dbraw/zinc/16/85/35/629168535.db2.gz ZGLUHVZAMRPWEF-UHFFFAOYSA-N 0 0 278.239 2.735 20 5 CFBDRN Cc1c(C(=O)OCC2(F)CC2)cccc1[N+](=O)[O-] ZINC000789590558 629169521 /nfs/dbraw/zinc/16/95/21/629169521.db2.gz NCDZHUBECQKVGT-UHFFFAOYSA-N 0 0 253.229 2.562 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC1(F)CC1 ZINC000789597675 629171116 /nfs/dbraw/zinc/17/11/16/629171116.db2.gz VJCYSPJHHZHFPQ-UHFFFAOYSA-N 0 0 253.229 2.562 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)ON=C(N)[C@@H]1CCCO1 ZINC000789610581 629173605 /nfs/dbraw/zinc/17/36/05/629173605.db2.gz PXQORPSUSGCOAV-MFKMUULPSA-N 0 0 293.323 2.642 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2cccnn2)c([N+](=O)[O-])c1 ZINC000789965507 629200324 /nfs/dbraw/zinc/20/03/24/629200324.db2.gz BZFBWVPDFNNKHZ-UHFFFAOYSA-N 0 0 292.276 2.585 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@H]1C ZINC000790267221 629224070 /nfs/dbraw/zinc/22/40/70/629224070.db2.gz OKVGIOQBNPFXDP-SSDOTTSWSA-N 0 0 284.699 2.633 20 5 CFBDRN O=C1O[C@H](COc2cc(F)cc([N+](=O)[O-])c2)CC12CCC2 ZINC000790268280 629224870 /nfs/dbraw/zinc/22/48/70/629224870.db2.gz BLWKQOMVVQMTHC-LBPRGKRZSA-N 0 0 295.266 2.599 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1CC=CCC1 ZINC000790277983 629225156 /nfs/dbraw/zinc/22/51/56/629225156.db2.gz YNMOVPAQFXWSDD-UHFFFAOYSA-N 0 0 296.710 2.801 20 5 CFBDRN CC(C)(C)[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000790435671 629238718 /nfs/dbraw/zinc/23/87/18/629238718.db2.gz PBIVWEOAVGNYDO-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)ccn1 ZINC000790704437 629258251 /nfs/dbraw/zinc/25/82/51/629258251.db2.gz GVHTYOYJFKILJV-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])OCC(F)F ZINC000790743383 629259307 /nfs/dbraw/zinc/25/93/07/629259307.db2.gz MUSIFQJCNFTCMW-UHFFFAOYSA-N 0 0 297.616 2.738 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OCC1=CCCC1 ZINC000790828127 629268061 /nfs/dbraw/zinc/26/80/61/629268061.db2.gz VZIGQLKCSNVAKB-UHFFFAOYSA-N 0 0 277.276 2.627 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cnoc1 ZINC000790895387 629270491 /nfs/dbraw/zinc/27/04/91/629270491.db2.gz SADVKOWXCMYUGP-UHFFFAOYSA-N 0 0 299.645 2.557 20 5 CFBDRN Cc1c(CNC(=O)C(C)(Cl)Cl)cccc1[N+](=O)[O-] ZINC000791016117 629280855 /nfs/dbraw/zinc/28/08/55/629280855.db2.gz LFOOJXFTMBLRNS-UHFFFAOYSA-N 0 0 291.134 2.713 20 5 CFBDRN Cc1cnc(C(=O)NCc2cccc([N+](=O)[O-])c2C)c(C)c1 ZINC000791016759 629280902 /nfs/dbraw/zinc/28/09/02/629280902.db2.gz XPCLJKBVEQNONY-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=[N+]([O-])CCNC(c1ccccc1)c1ccccc1 ZINC000791053660 629284160 /nfs/dbraw/zinc/28/41/60/629284160.db2.gz VIMBHVFVCYTMCH-UHFFFAOYSA-N 0 0 256.305 2.642 20 5 CFBDRN CN(CC[N+](=O)[O-])Cc1csc2ccccc12 ZINC000791054222 629284407 /nfs/dbraw/zinc/28/44/07/629284407.db2.gz RWNRAQXWQAMUPK-UHFFFAOYSA-N 0 0 250.323 2.610 20 5 CFBDRN CCCN(CCC)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000791292404 629296617 /nfs/dbraw/zinc/29/66/17/629296617.db2.gz NXUCSVSQGCRZDB-UHFFFAOYSA-N 0 0 283.303 2.578 20 5 CFBDRN Cc1cc(F)c(F)cc1OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000791404066 629310010 /nfs/dbraw/zinc/31/00/10/629310010.db2.gz RVKHMGGEJKXTEO-UHFFFAOYSA-N 0 0 296.229 2.739 20 5 CFBDRN CC(C)CC(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791493232 629320956 /nfs/dbraw/zinc/32/09/56/629320956.db2.gz GPNKWOCNODWFMN-UHFFFAOYSA-N 0 0 282.252 2.592 20 5 CFBDRN O=C(Oc1ccc2cccnc2c1)c1ccc([N+](=O)[O-])cn1 ZINC000791859413 629368274 /nfs/dbraw/zinc/36/82/74/629368274.db2.gz KSBKGCJZRFUSCQ-UHFFFAOYSA-N 0 0 295.254 2.757 20 5 CFBDRN CCCCOC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000835078398 629375453 /nfs/dbraw/zinc/37/54/53/629375453.db2.gz RKBYGDDJLUJSEH-UHFFFAOYSA-N 0 0 271.244 2.699 20 5 CFBDRN CC(C)COC(=O)c1ccc([N+](=O)[O-])c(-n2ccnc2)c1 ZINC000835079572 629375563 /nfs/dbraw/zinc/37/55/63/629375563.db2.gz YZANVRUCSYGDFX-UHFFFAOYSA-N 0 0 289.291 2.593 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)c2ccnn2C)cc1[N+](=O)[O-] ZINC000791917226 629376576 /nfs/dbraw/zinc/37/65/76/629376576.db2.gz BVHBRAUNZCEWFY-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)c2ccnn2C)cccc1[N+](=O)[O-] ZINC000791920415 629377079 /nfs/dbraw/zinc/37/70/79/629377079.db2.gz ATZGNTREFKNTFH-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2ccnn2C)cc([N+](=O)[O-])c1 ZINC000791925348 629378055 /nfs/dbraw/zinc/37/80/55/629378055.db2.gz WJQCVALVEXRVLR-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC000791978498 629384875 /nfs/dbraw/zinc/38/48/75/629384875.db2.gz RWJVYLSTNYTVBS-QMMMGPOBSA-N 0 0 294.263 2.592 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1cncnc1C1CC1 ZINC000835086380 629385562 /nfs/dbraw/zinc/38/55/62/629385562.db2.gz GHABQEKLUBKSHD-UHFFFAOYSA-N 0 0 299.286 2.619 20 5 CFBDRN CCOC[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000792032263 629390992 /nfs/dbraw/zinc/39/09/92/629390992.db2.gz OQHKYXZQXBJYEF-LLVKDONJSA-N 0 0 295.335 2.841 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000792053767 629394390 /nfs/dbraw/zinc/39/43/90/629394390.db2.gz PEQHIBLADAHKAH-JTQLQIEISA-N 0 0 294.263 2.592 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000852359260 634732643 /nfs/dbraw/zinc/73/26/43/634732643.db2.gz OKSOOLGMLJXKJA-JQWIXIFHSA-N 0 0 275.308 2.674 20 5 CFBDRN CCC[C@H](OC)C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000835112171 629416808 /nfs/dbraw/zinc/41/68/08/629416808.db2.gz NIVKLOPEWZPCOM-JTQLQIEISA-N 0 0 287.699 2.969 20 5 CFBDRN O=C(/C=C/[C@H]1CCOC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000835110922 629417901 /nfs/dbraw/zinc/41/79/01/629417901.db2.gz DWQRVVHKLRGSON-PWTMJPFMSA-N 0 0 297.694 2.746 20 5 CFBDRN COCC1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000792693630 629445310 /nfs/dbraw/zinc/44/53/10/629445310.db2.gz XHBWFLDZUKOILT-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN CCC[C@@H](OC)C(=O)Oc1ccc(CC)cc1[N+](=O)[O-] ZINC000835169743 629483956 /nfs/dbraw/zinc/48/39/56/629483956.db2.gz SEWIWXMXYIWKCT-CYBMUJFWSA-N 0 0 281.308 2.878 20 5 CFBDRN CCc1ccc(C(=O)OC[C@H]2CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000793434008 629546773 /nfs/dbraw/zinc/54/67/73/629546773.db2.gz ZONVUONDSDCLFC-ZWNOBZJWSA-N 0 0 293.319 2.739 20 5 CFBDRN Cc1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000835250301 629563362 /nfs/dbraw/zinc/56/33/62/629563362.db2.gz ZAXULRUXTOCRDV-UHFFFAOYSA-N 0 0 296.279 2.644 20 5 CFBDRN CC[C@@H]1CCN1Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000793817851 629598091 /nfs/dbraw/zinc/59/80/91/629598091.db2.gz BMNWGZRFJIIWLC-LLVKDONJSA-N 0 0 274.324 2.695 20 5 CFBDRN CC[C@H]1CCN1Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000793822564 629598793 /nfs/dbraw/zinc/59/87/93/629598793.db2.gz YMMWHMJOFJENLT-AWEZNQCLSA-N 0 0 286.335 2.900 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@H]1CCCSC1 ZINC000806045009 629622597 /nfs/dbraw/zinc/62/25/97/629622597.db2.gz AJIJUDFVGZQOCO-GFCCVEGCSA-N 0 0 295.360 2.824 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@@H]1CCCSC1 ZINC000806045686 629623787 /nfs/dbraw/zinc/62/37/87/629623787.db2.gz XNRJIGIQPCIEPQ-LBPRGKRZSA-N 0 0 295.360 2.824 20 5 CFBDRN O=C(NOC/C=C\Cl)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000794288329 629631139 /nfs/dbraw/zinc/63/11/39/629631139.db2.gz GEATYRFYKZRSHM-RJRFIUFISA-N 0 0 291.090 2.662 20 5 CFBDRN COc1cc(OCc2cscn2)ccc1[N+](=O)[O-] ZINC000794447463 629647809 /nfs/dbraw/zinc/64/78/09/629647809.db2.gz BHVPKPFYAVLJID-UHFFFAOYSA-N 0 0 266.278 2.639 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC(C3CC3)C2)c1[N+](=O)[O-] ZINC000794487499 629650397 /nfs/dbraw/zinc/65/03/97/629650397.db2.gz JZJDXVUZQABBGG-UHFFFAOYSA-N 0 0 278.283 2.524 20 5 CFBDRN CC(C)(CCC(=O)O[C@H]1CCCC12CCOCC2)[N+](=O)[O-] ZINC000835400832 629689260 /nfs/dbraw/zinc/68/92/60/629689260.db2.gz WZHBADWDASTNIC-LBPRGKRZSA-N 0 0 299.367 2.714 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CC1(Cl)Cl ZINC000795066455 629731714 /nfs/dbraw/zinc/73/17/14/629731714.db2.gz BKUOVFGQCLKLJP-SSDOTTSWSA-N 0 0 293.154 2.725 20 5 CFBDRN CCc1c2ccccc2oc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000835453299 629737497 /nfs/dbraw/zinc/73/74/97/629737497.db2.gz AAAHZCJICJBVHD-SECBINFHSA-N 0 0 277.276 2.817 20 5 CFBDRN CCC(=O)c1ccc(N(C)CC2(O)CCC2)c([N+](=O)[O-])c1 ZINC000795136448 629738310 /nfs/dbraw/zinc/73/83/10/629738310.db2.gz IHBLSVXAJGMLTH-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN CCC(=O)c1ccc(N2CC[C@@](O)(CC)C2)c([N+](=O)[O-])c1 ZINC000795141790 629738966 /nfs/dbraw/zinc/73/89/66/629738966.db2.gz FAQIHVUTIMHKCT-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000795191612 629742943 /nfs/dbraw/zinc/74/29/43/629742943.db2.gz ZXTUMJATWXTRQV-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@H]1CCC[C@@H](O)C1 ZINC000795269363 629754966 /nfs/dbraw/zinc/75/49/66/629754966.db2.gz AHSQZSINFMDQED-NWDGAFQWSA-N 0 0 293.319 2.611 20 5 CFBDRN CSc1ccc(C(=O)OCCC[C@@H](C)O)cc1[N+](=O)[O-] ZINC000795324413 629761576 /nfs/dbraw/zinc/76/15/76/629761576.db2.gz JJULSLADMFYEOG-SECBINFHSA-N 0 0 299.348 2.635 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835480324 629769402 /nfs/dbraw/zinc/76/94/02/629769402.db2.gz IEJMXTYDPJZTGC-NEPJUHHUSA-N 0 0 295.335 2.865 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000835481587 629769528 /nfs/dbraw/zinc/76/95/28/629769528.db2.gz XHJHVHUJOWHLJK-AAEUAGOBSA-N 0 0 293.319 2.762 20 5 CFBDRN CS/C=C/C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835483505 629774032 /nfs/dbraw/zinc/77/40/32/629774032.db2.gz JRRMGJVCUIGNQE-AATRIKPKSA-N 0 0 267.306 2.823 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835486297 629776717 /nfs/dbraw/zinc/77/67/17/629776717.db2.gz SPHKZJCNMXDRBE-JTQLQIEISA-N 0 0 283.349 2.946 20 5 CFBDRN COC(C)(C)COC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000835497765 629791256 /nfs/dbraw/zinc/79/12/56/629791256.db2.gz PTALHFNHIUFPNV-UHFFFAOYSA-N 0 0 295.335 2.841 20 5 CFBDRN CCC[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1C)OC ZINC000835535738 629816468 /nfs/dbraw/zinc/81/64/68/629816468.db2.gz CGXLXJAZSAZTBQ-LBPRGKRZSA-N 0 0 281.308 2.875 20 5 CFBDRN Cc1ccc(OS(=O)(=O)c2ccsc2)c([N+](=O)[O-])c1 ZINC000795776085 629832140 /nfs/dbraw/zinc/83/21/40/629832140.db2.gz SQOMIFCZUJLFDN-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN CCC[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1NC)OC ZINC000835546006 629833249 /nfs/dbraw/zinc/83/32/49/629833249.db2.gz QFTCCUXSEXLABL-JTQLQIEISA-N 0 0 296.323 2.608 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC(C)(C)C)c1 ZINC000102144674 629842357 /nfs/dbraw/zinc/84/23/57/629842357.db2.gz YKEYCPBANRJAMI-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN O=C(CCC(F)F)OCCOc1cccc([N+](=O)[O-])c1 ZINC000796009652 629863192 /nfs/dbraw/zinc/86/31/92/629863192.db2.gz AMPSXGRYNQPWKL-UHFFFAOYSA-N 0 0 289.234 2.562 20 5 CFBDRN CC[N@@H+]1CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000796324130 629903975 /nfs/dbraw/zinc/90/39/75/629903975.db2.gz PZZFJNUMEKIAJY-NSHDSACASA-N 0 0 297.786 2.774 20 5 CFBDRN CC[C@H](C)[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1)OC ZINC000835641934 629911310 /nfs/dbraw/zinc/91/13/10/629911310.db2.gz JTOMGBHKYKAXLA-GWCFXTLKSA-N 0 0 281.308 2.813 20 5 CFBDRN Cc1ccc(OC(=O)CCC(F)F)c([N+](=O)[O-])c1 ZINC000796539441 629931972 /nfs/dbraw/zinc/93/19/72/629931972.db2.gz LLPJGIIZQOEDIS-UHFFFAOYSA-N 0 0 259.208 2.854 20 5 CFBDRN CS[C@@H](C)COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000835681294 629948130 /nfs/dbraw/zinc/94/81/30/629948130.db2.gz QHTLTGLJRSGXHM-JTQLQIEISA-N 0 0 298.364 2.692 20 5 CFBDRN COc1ccc(C(=O)OC[C@H](C)SC)cc1[N+](=O)[O-] ZINC000835682925 629948626 /nfs/dbraw/zinc/94/86/26/629948626.db2.gz WZRIWJSFHVNLMR-QMMMGPOBSA-N 0 0 285.321 2.512 20 5 CFBDRN Cc1ccc(OC(=O)C[C@@H]2CCC(=O)[C@@H]2C)cc1[N+](=O)[O-] ZINC000796786021 629966747 /nfs/dbraw/zinc/96/67/47/629966747.db2.gz FJKKJAOFUAKIOR-MNOVXSKESA-N 0 0 291.303 2.814 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@H](C)CC(C)(C)C)n1 ZINC000835703081 629967367 /nfs/dbraw/zinc/96/73/67/629967367.db2.gz GHWWXIBZRIJRKP-SECBINFHSA-N 0 0 283.328 2.793 20 5 CFBDRN COc1c(C(=O)OCC=C(C)C)cccc1[N+](=O)[O-] ZINC000796808594 629971034 /nfs/dbraw/zinc/97/10/34/629971034.db2.gz JRRKSZVIQIGRFC-UHFFFAOYSA-N 0 0 265.265 2.726 20 5 CFBDRN CCc1nc(C(=O)OCc2cccc([N+](=O)[O-])c2)c(C)o1 ZINC000796914905 629988867 /nfs/dbraw/zinc/98/88/67/629988867.db2.gz CCKVNEQVCJGGQQ-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC000835776466 630028946 /nfs/dbraw/zinc/02/89/46/630028946.db2.gz GILKHDMNQLEVLZ-QMTHXVAHSA-N 0 0 293.319 2.739 20 5 CFBDRN CS[C@@H](C)[C@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000797204385 630037563 /nfs/dbraw/zinc/03/75/63/630037563.db2.gz IQVGHKJUONYZCV-UWVGGRQHSA-N 0 0 299.348 2.657 20 5 CFBDRN C[C@H]1COCC[C@@H]1OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000835789136 630046849 /nfs/dbraw/zinc/04/68/49/630046849.db2.gz UVMNQQVFVSMIFX-UFBFGSQYSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1cn(C[C@H](O)c2ccc(Cl)c(F)c2)nc1[N+](=O)[O-] ZINC000797398396 630061134 /nfs/dbraw/zinc/06/11/34/630061134.db2.gz RWTRVGZHAKRBJC-NSHDSACASA-N 0 0 299.689 2.626 20 5 CFBDRN CCc1occc1C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000797418028 630064755 /nfs/dbraw/zinc/06/47/55/630064755.db2.gz VALZJXJCKCNNCO-UHFFFAOYSA-N 0 0 291.259 2.978 20 5 CFBDRN CCC1(C(=O)OCc2ccc([N+](=O)[O-])cc2OC)CC1 ZINC000797474809 630071107 /nfs/dbraw/zinc/07/11/07/630071107.db2.gz QVFPBSKOZLYUSD-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN COc1c(C(=O)O[C@H](C)CCSC)cccc1[N+](=O)[O-] ZINC000835813078 630079685 /nfs/dbraw/zinc/07/96/85/630079685.db2.gz MHKJHZKLMHHSBS-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000797796902 630105349 /nfs/dbraw/zinc/10/53/49/630105349.db2.gz SSMVXKFFYXIYED-LDYMZIIASA-N 0 0 265.338 2.680 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2ccccc2[N+](=O)[O-])C12CCC2 ZINC000835881398 630130340 /nfs/dbraw/zinc/13/03/40/630130340.db2.gz DHRNZXFGTPPZAD-OLZOCXBDSA-N 0 0 291.303 2.709 20 5 CFBDRN CCc1ccc(C(=O)OCC(C)(C)C(C)=O)cc1[N+](=O)[O-] ZINC000835913415 630153999 /nfs/dbraw/zinc/15/39/99/630153999.db2.gz QODHXAKCUREMFV-UHFFFAOYSA-N 0 0 293.319 2.929 20 5 CFBDRN C[C@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(=O)C1CC1 ZINC000798624364 630217284 /nfs/dbraw/zinc/21/72/84/630217284.db2.gz UVRAZFRXVAFTFF-ZETCQYMHSA-N 0 0 297.694 2.773 20 5 CFBDRN CC(C)C[C@H](C)COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000798635061 630219500 /nfs/dbraw/zinc/21/95/00/630219500.db2.gz CBBCTODINSZBEH-JTQLQIEISA-N 0 0 266.297 2.829 20 5 CFBDRN Cc1c(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)ccn1C ZINC000798726741 630236089 /nfs/dbraw/zinc/23/60/89/630236089.db2.gz SVESIWASPWONES-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H](C(C)C)N(C)C)c([N+](=O)[O-])c1 ZINC000798829997 630243388 /nfs/dbraw/zinc/24/33/88/630243388.db2.gz RVNTZPOZFINQRM-CQSZACIVSA-N 0 0 294.351 2.649 20 5 CFBDRN CCC(CC)COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000798892504 630256172 /nfs/dbraw/zinc/25/61/72/630256172.db2.gz HWSRAMWPXFAXPS-UHFFFAOYSA-N 0 0 254.286 2.526 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1cnc(Cl)cn1 ZINC000799144421 630280083 /nfs/dbraw/zinc/28/00/83/630280083.db2.gz APTHIMFQDWVEFM-UHFFFAOYSA-N 0 0 290.710 2.601 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1cc(C2CC2)no1 ZINC000799352039 630303772 /nfs/dbraw/zinc/30/37/72/630303772.db2.gz CDDUASLZQQIWEQ-UHFFFAOYSA-N 0 0 292.222 2.819 20 5 CFBDRN CCOCC1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000799557890 630321078 /nfs/dbraw/zinc/32/10/78/630321078.db2.gz YYTOPQROXHWWHJ-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1cccc(OC[C@@H](O)c2ccc(F)cn2)c1[N+](=O)[O-] ZINC000799616269 630324238 /nfs/dbraw/zinc/32/42/38/630324238.db2.gz FUAXWVFGRBCBHE-GFCCVEGCSA-N 0 0 292.266 2.550 20 5 CFBDRN Cc1cc(OC[C@H](O)c2ccc(F)cn2)ccc1[N+](=O)[O-] ZINC000799618008 630325059 /nfs/dbraw/zinc/32/50/59/630325059.db2.gz YTCBZHXEVMABQY-AWEZNQCLSA-N 0 0 292.266 2.550 20 5 CFBDRN O=C(OCCCc1cscn1)c1ccc([N+](=O)[O-])cc1 ZINC000799660338 630329181 /nfs/dbraw/zinc/32/91/81/630329181.db2.gz DZRDPLQQICSEBU-UHFFFAOYSA-N 0 0 292.316 2.841 20 5 CFBDRN COCC1(COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000799669226 630331780 /nfs/dbraw/zinc/33/17/80/630331780.db2.gz KKSCDEVTYPYWMQ-DAXSKMNVSA-N 0 0 291.303 2.578 20 5 CFBDRN COc1cccc(C(=O)OCC2(SC)CC2)c1[N+](=O)[O-] ZINC000799682213 630334078 /nfs/dbraw/zinc/33/40/78/630334078.db2.gz DTHRLYNXJRMUSG-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN CSC1(COC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000799687126 630335719 /nfs/dbraw/zinc/33/57/19/630335719.db2.gz WEPGUSMYQZGDEC-UHFFFAOYSA-N 0 0 281.333 2.576 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(Cl)nc1Cl ZINC000799883732 630351142 /nfs/dbraw/zinc/35/11/42/630351142.db2.gz OAMIIBSCJKYRLW-UHFFFAOYSA-N 0 0 287.106 2.850 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)O1 ZINC000800303852 630369550 /nfs/dbraw/zinc/36/95/50/630369550.db2.gz CYJLNYZLRCITPM-VAOFZXAKSA-N 0 0 285.321 2.513 20 5 CFBDRN CN(Cc1cccs1)c1cc(N)ccc1[N+](=O)[O-] ZINC000800845149 630410549 /nfs/dbraw/zinc/41/05/49/630410549.db2.gz DBMUXOKFBVGJJJ-UHFFFAOYSA-N 0 0 263.322 2.875 20 5 CFBDRN CN(CCc1ccccc1F)c1cc(N)ccc1[N+](=O)[O-] ZINC000800849969 630411099 /nfs/dbraw/zinc/41/10/99/630411099.db2.gz MLMAWXCJEADGOV-UHFFFAOYSA-N 0 0 289.310 2.995 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCC[C@@H]2CCCC[C@@H]2O)c1 ZINC000800860680 630412026 /nfs/dbraw/zinc/41/20/26/630412026.db2.gz WLXQQXGOLPUNJV-NHYWBVRUSA-N 0 0 293.367 2.920 20 5 CFBDRN Cc1cn(Cc2ccc([N+](=O)[O-])cc2Cl)nc1[N+](=O)[O-] ZINC000800870130 630413446 /nfs/dbraw/zinc/41/34/46/630413446.db2.gz LWWJNEKARWTUAQ-UHFFFAOYSA-N 0 0 296.670 2.710 20 5 CFBDRN Cc1cc(C)cc([C@@H](O)CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000800884739 630414141 /nfs/dbraw/zinc/41/41/41/630414141.db2.gz LCBCIXKDUCZUIJ-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1cccc(C(=O)Nc2nccn2C2CC2)c1[N+](=O)[O-] ZINC000839931602 630430428 /nfs/dbraw/zinc/43/04/28/630430428.db2.gz BJBGQBSEQMBOOJ-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])c(F)c2)C[C@H]1F ZINC000801537571 630455174 /nfs/dbraw/zinc/45/51/74/630455174.db2.gz VIHIGKOHKCKECM-BXKDBHETSA-N 0 0 270.279 2.914 20 5 CFBDRN CCCCCC(C)(C)CNC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000801629663 630462954 /nfs/dbraw/zinc/46/29/54/630462954.db2.gz DXOYOVNXBOECNX-UHFFFAOYSA-N 0 0 282.344 2.654 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801913282 630485803 /nfs/dbraw/zinc/48/58/03/630485803.db2.gz BVUDNBOIYIIYJU-RKDXNWHRSA-N 0 0 295.314 2.577 20 5 CFBDRN Cc1cscc1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801930109 630489983 /nfs/dbraw/zinc/48/99/83/630489983.db2.gz FRWBQVMUBGARJQ-UHFFFAOYSA-N 0 0 295.295 2.938 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801952082 630494143 /nfs/dbraw/zinc/49/41/43/630494143.db2.gz NHWOTXCYKJZWHA-QMMMGPOBSA-N 0 0 295.314 2.625 20 5 CFBDRN COCC[C@@H](OC(=O)c1cc([N+](=O)[O-])cn1C)C(C)(C)C ZINC000802282584 630522780 /nfs/dbraw/zinc/52/27/80/630522780.db2.gz DTOYVOVMXKTAHR-GFCCVEGCSA-N 0 0 298.339 2.541 20 5 CFBDRN Cc1c(CC(=O)OCC2(C)CC2)cccc1[N+](=O)[O-] ZINC000802824588 630552928 /nfs/dbraw/zinc/55/29/28/630552928.db2.gz CFMBEGBGXVTTTA-UHFFFAOYSA-N 0 0 263.293 2.789 20 5 CFBDRN CC1(C)CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])s2)C1=O ZINC000839980893 630557320 /nfs/dbraw/zinc/55/73/20/630557320.db2.gz AXINLRXPYMYZKY-MRVPVSSYSA-N 0 0 297.332 2.961 20 5 CFBDRN CCOC1CC(COC(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000802948580 630570216 /nfs/dbraw/zinc/57/02/16/630570216.db2.gz VUSSZPJNWKBQFH-UHFFFAOYSA-N 0 0 299.348 2.937 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1ccc2cc[nH]c2c1 ZINC000802958091 630571649 /nfs/dbraw/zinc/57/16/49/630571649.db2.gz QBXUXHGYGNVWTG-UHFFFAOYSA-N 0 0 285.259 2.634 20 5 CFBDRN Cc1cccc(C(=O)OCCCCF)c1[N+](=O)[O-] ZINC000803214945 630589811 /nfs/dbraw/zinc/58/98/11/630589811.db2.gz OFPNMOCKLUULQM-UHFFFAOYSA-N 0 0 255.245 2.810 20 5 CFBDRN CC(C)(C)C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803284688 630598653 /nfs/dbraw/zinc/59/86/53/630598653.db2.gz DINVNHRQZXFRDY-UHFFFAOYSA-N 0 0 273.235 2.962 20 5 CFBDRN O=C(OCCCCF)c1cc([N+](=O)[O-])ccc1F ZINC000803287488 630599178 /nfs/dbraw/zinc/59/91/78/630599178.db2.gz UICVLEXEAGUMIV-UHFFFAOYSA-N 0 0 259.208 2.640 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000803294191 630600127 /nfs/dbraw/zinc/60/01/27/630600127.db2.gz CHTXFWLAUDEVAF-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN Nc1c(C(=O)OC[C@@H]2Cc3ccccc32)cccc1[N+](=O)[O-] ZINC000803390820 630611529 /nfs/dbraw/zinc/61/15/29/630611529.db2.gz LVBDRRWGBZMFJV-NSHDSACASA-N 0 0 298.298 2.674 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCCCCF ZINC000803410310 630614399 /nfs/dbraw/zinc/61/43/99/630614399.db2.gz FGJRPDZLWULDSU-UHFFFAOYSA-N 0 0 294.282 2.912 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC1CCCC1 ZINC000804319099 630671585 /nfs/dbraw/zinc/67/15/85/630671585.db2.gz YNOUXBBWSBXVLM-UHFFFAOYSA-N 0 0 251.242 2.858 20 5 CFBDRN COc1cccc(CN(C)c2ccc(N)cc2[N+](=O)[O-])c1 ZINC000804322775 630672197 /nfs/dbraw/zinc/67/21/97/630672197.db2.gz BDYVIVUDBKNXCU-UHFFFAOYSA-N 0 0 287.319 2.822 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2ccc(N)cc2[N+](=O)[O-])no1 ZINC000804341703 630673851 /nfs/dbraw/zinc/67/38/51/630673851.db2.gz GUWVPIHJYPJSBG-LBPRGKRZSA-N 0 0 288.307 2.815 20 5 CFBDRN Cc1csc(CCNc2ccc(N)cc2[N+](=O)[O-])n1 ZINC000804342305 630673958 /nfs/dbraw/zinc/67/39/58/630673958.db2.gz WXSPPOMFQYTZCD-UHFFFAOYSA-N 0 0 278.337 2.597 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CCCOC1 ZINC000804366261 630676103 /nfs/dbraw/zinc/67/61/03/630676103.db2.gz UXFDOVGOPHPNPV-NSHDSACASA-N 0 0 278.308 2.636 20 5 CFBDRN CN(Cc1ccoc1)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804374375 630676553 /nfs/dbraw/zinc/67/65/53/630676553.db2.gz IJOAKWDDDAPMJV-UHFFFAOYSA-N 0 0 277.236 2.732 20 5 CFBDRN Cc1ccccc1[C@@H](O)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000804450123 630682323 /nfs/dbraw/zinc/68/23/23/630682323.db2.gz HFGLEKLXVQSPQD-HNNXBMFYSA-N 0 0 287.319 2.631 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804463181 630684218 /nfs/dbraw/zinc/68/42/18/630684218.db2.gz ITYXCPIQDMECFB-SECBINFHSA-N 0 0 297.311 2.712 20 5 CFBDRN C[C@@](O)(CNc1c(F)cccc1[N+](=O)[O-])c1ccco1 ZINC000804503121 630688708 /nfs/dbraw/zinc/68/87/08/630688708.db2.gz ALLPVHBQPOEECU-CYBMUJFWSA-N 0 0 280.255 2.647 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](OC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000836127026 630747046 /nfs/dbraw/zinc/74/70/46/630747046.db2.gz GUXZGWHIOMMEPW-BETUJISGSA-N 0 0 293.319 2.637 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1ncoc1C ZINC000804578640 630757424 /nfs/dbraw/zinc/75/74/24/630757424.db2.gz MWMFOFARYLKYRW-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000804582926 630759286 /nfs/dbraw/zinc/75/92/86/630759286.db2.gz ODXQQBXRFGALMY-UHFFFAOYSA-N 0 0 280.324 2.953 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000804581979 630759414 /nfs/dbraw/zinc/75/94/14/630759414.db2.gz PWTSTBLLFPQTMU-UHFFFAOYSA-N 0 0 295.339 2.535 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCC[C@H]1CCCCO1 ZINC000804605190 630763751 /nfs/dbraw/zinc/76/37/51/630763751.db2.gz NLCKRJXWLZZKLS-SNVBAGLBSA-N 0 0 295.295 2.874 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@H](O)c1ccccn1 ZINC000804612929 630764570 /nfs/dbraw/zinc/76/45/70/630764570.db2.gz FLJIHQJVUBIPOF-ZDUSSCGKSA-N 0 0 293.710 2.789 20 5 CFBDRN Nc1ccc(NC2Cc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000804613550 630765061 /nfs/dbraw/zinc/76/50/61/630765061.db2.gz LBEOLBSHLICXQF-UHFFFAOYSA-N 0 0 269.304 2.756 20 5 CFBDRN CC1(C)CC[C@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])O1 ZINC000804624924 630766815 /nfs/dbraw/zinc/76/68/15/630766815.db2.gz DBUICFPSVPPJJW-SECBINFHSA-N 0 0 295.295 2.873 20 5 CFBDRN CCC(C)(C)c1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000867174857 630811299 /nfs/dbraw/zinc/81/12/99/630811299.db2.gz UTDZHWOHTYGCIG-UHFFFAOYSA-N 0 0 264.285 2.671 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H](O)c2ccc(F)cc2)nc1C1CC1 ZINC000806630341 630825022 /nfs/dbraw/zinc/82/50/22/630825022.db2.gz CSHYNEAVGKDLLB-ZDUSSCGKSA-N 0 0 291.282 2.541 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NOCC1CC1 ZINC000836518687 630838881 /nfs/dbraw/zinc/83/88/81/630838881.db2.gz BNSIMNVQKNYTHR-UHFFFAOYSA-N 0 0 279.296 2.675 20 5 CFBDRN Cc1nn(CCC(=O)OCCCC(C)C)c(C)c1[N+](=O)[O-] ZINC000102596465 630878429 /nfs/dbraw/zinc/87/84/29/630878429.db2.gz ZEGLGWHCMSMKSX-UHFFFAOYSA-N 0 0 297.355 2.778 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](F)C1 ZINC000836820975 630891590 /nfs/dbraw/zinc/89/15/90/630891590.db2.gz SYLPNDVNYXCKKE-OANVXVOSSA-N 0 0 278.283 2.569 20 5 CFBDRN CC(C)(NC(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CCC1 ZINC000836847665 630898996 /nfs/dbraw/zinc/89/89/96/630898996.db2.gz ZMPAJMKAJRNGMN-UHFFFAOYSA-N 0 0 295.314 2.625 20 5 CFBDRN Nc1ccc(C(=O)NC23CCC(CC2)CC3)cc1[N+](=O)[O-] ZINC000836875783 630905023 /nfs/dbraw/zinc/90/50/23/630905023.db2.gz ATHYVLDLJUYTKR-UHFFFAOYSA-N 0 0 289.335 2.630 20 5 CFBDRN COCCCCNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807926326 630923560 /nfs/dbraw/zinc/92/35/60/630923560.db2.gz HCNWNNLVVHLIHA-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN CCC[C@](C)(O)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807972323 630927933 /nfs/dbraw/zinc/92/79/33/630927933.db2.gz OWUSCXRMJRPEBJ-AWEZNQCLSA-N 0 0 280.324 2.760 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@](C)(O)C(C)C ZINC000807973347 630927941 /nfs/dbraw/zinc/92/79/41/630927941.db2.gz PRWOXQRWMSAMIV-CQSZACIVSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H]1CCCC[C@H]1O ZINC000807983128 630930608 /nfs/dbraw/zinc/93/06/08/630930608.db2.gz YBCGLGFYDLYPDW-XHDPSFHLSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@]1(C)CCCO1 ZINC000807993863 630933967 /nfs/dbraw/zinc/93/39/67/630933967.db2.gz HMOUQHKEXPSZGW-CQSZACIVSA-N 0 0 278.308 2.778 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@]1(O)CCc2ccccc21 ZINC000808005215 630939527 /nfs/dbraw/zinc/93/95/27/630939527.db2.gz NXFFEWAGBJGDTD-AWEZNQCLSA-N 0 0 290.344 2.902 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)NN2CCCC2)cc1[N+](=O)[O-] ZINC000808009272 630941864 /nfs/dbraw/zinc/94/18/64/630941864.db2.gz AHNQZXRVXVHFQK-UHFFFAOYSA-N 0 0 291.351 2.633 20 5 CFBDRN COC1(CNc2cc([N+](=O)[O-])ccc2C(C)=O)CCC1 ZINC000808013738 630942533 /nfs/dbraw/zinc/94/25/33/630942533.db2.gz OBHYKEBYGXHKRJ-UHFFFAOYSA-N 0 0 278.308 2.778 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC[C@@H]1CCOC1 ZINC000808016453 630942894 /nfs/dbraw/zinc/94/28/94/630942894.db2.gz MACZTBYRPJHCEA-LLVKDONJSA-N 0 0 278.308 2.636 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1cccnc1F ZINC000808059998 630946302 /nfs/dbraw/zinc/94/63/02/630946302.db2.gz XGGGIMWFJYIJDA-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN O=[N+]([O-])c1ccsc1Nc1ccc(C2(O)COC2)cc1 ZINC000808110602 630952536 /nfs/dbraw/zinc/95/25/36/630952536.db2.gz ALNSUVNCWWTBPN-UHFFFAOYSA-N 0 0 292.316 2.618 20 5 CFBDRN Cc1nn(CN2Cc3cccc(Cl)c3C2)cc1[N+](=O)[O-] ZINC000808201050 630968816 /nfs/dbraw/zinc/96/88/16/630968816.db2.gz LODJZWVHJQSWQD-UHFFFAOYSA-N 0 0 292.726 2.726 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)OCCCCF ZINC000808562977 631014149 /nfs/dbraw/zinc/01/41/49/631014149.db2.gz GOBIIVOXPVEQDP-UHFFFAOYSA-N 0 0 291.225 2.708 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1nccn1C1CC1 ZINC000840568962 631165672 /nfs/dbraw/zinc/16/56/72/631165672.db2.gz IQPZPHMBOOWQEU-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN COCC(C)(C)CC(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000840590789 631167628 /nfs/dbraw/zinc/16/76/28/631167628.db2.gz JJZPUEKKQSZNIG-UHFFFAOYSA-N 0 0 295.335 2.743 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc(Cl)c1 ZINC000840672148 631173958 /nfs/dbraw/zinc/17/39/58/631173958.db2.gz FBRATJBZNWPOSD-AVPPRXQKSA-N 0 0 269.684 2.609 20 5 CFBDRN O=C(OCc1cc(Cl)sc1Cl)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840674825 631174229 /nfs/dbraw/zinc/17/42/29/631174229.db2.gz PIJQWWSJJIQNKO-PHDIDXHHSA-N 0 0 296.131 2.763 20 5 CFBDRN Cc1cn(C)nc1C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000840688968 631174778 /nfs/dbraw/zinc/17/47/78/631174778.db2.gz OKMCHFRNNVLMHI-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1nn(CCc2ccc([N+](=O)[O-])cc2)c(C)c1[N+](=O)[O-] ZINC000042108069 649995144 /nfs/dbraw/zinc/99/51/44/649995144.db2.gz UUQGVXJLGMABGW-UHFFFAOYSA-N 0 0 290.279 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1COc1cccnc1[N+](=O)[O-] ZINC000042151806 649995593 /nfs/dbraw/zinc/99/55/93/649995593.db2.gz IEIWDAPZZIRSHU-UHFFFAOYSA-N 0 0 293.210 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CSc1nncn1C1CC1 ZINC000042154920 649995729 /nfs/dbraw/zinc/99/57/29/649995729.db2.gz FEMOJEIIFUOCDQ-UHFFFAOYSA-N 0 0 294.311 2.953 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H](O)c1ccsc1 ZINC000809354583 631218042 /nfs/dbraw/zinc/21/80/42/631218042.db2.gz VMDQPBCSFOAADI-NSHDSACASA-N 0 0 294.332 2.810 20 5 CFBDRN Cc1nonc1CNCc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000809744860 631277428 /nfs/dbraw/zinc/27/74/28/631277428.db2.gz GMQYIGPWSHNDFZ-UHFFFAOYSA-N 0 0 290.323 2.699 20 5 CFBDRN C/C=C/C=C/C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000043111160 650003278 /nfs/dbraw/zinc/00/32/78/650003278.db2.gz MJEVQSQMQSVKNE-VNKDHWASSA-N 0 0 263.249 2.641 20 5 CFBDRN Cc1cc(Cl)cc(C)c1OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841007137 631304262 /nfs/dbraw/zinc/30/42/62/631304262.db2.gz BGMQVUAHUBOSLR-NXEZZACHSA-N 0 0 269.684 2.527 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000841013986 631305752 /nfs/dbraw/zinc/30/57/52/631305752.db2.gz CQVUBTYGSLFDSL-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000841083558 631334455 /nfs/dbraw/zinc/33/44/55/631334455.db2.gz SCJDMOIMZDTNFB-ZDUSSCGKSA-N 0 0 299.298 2.838 20 5 CFBDRN CCOc1cccc([C@@H](CC)OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000841138693 631346318 /nfs/dbraw/zinc/34/63/18/631346318.db2.gz FMUGXOGAYIHSBK-MGPQQGTHSA-N 0 0 293.319 2.745 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1CCCSC1 ZINC000810524341 631380401 /nfs/dbraw/zinc/38/04/01/631380401.db2.gz SCPBHOVYRBWJDR-SECBINFHSA-N 0 0 267.306 2.643 20 5 CFBDRN CO[C@@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])CC(C)C ZINC000841351086 631393500 /nfs/dbraw/zinc/39/35/00/631393500.db2.gz NDOQMUMNAFTMBF-LLVKDONJSA-N 0 0 298.314 2.525 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000121514748 631450935 /nfs/dbraw/zinc/45/09/35/631450935.db2.gz GNJJPKICFGGAMQ-AAEUAGOBSA-N 0 0 295.339 2.693 20 5 CFBDRN CCC[C@@](C)(O)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000841736684 631462526 /nfs/dbraw/zinc/46/25/26/631462526.db2.gz GXTBAWSQCBNLIJ-CYBMUJFWSA-N 0 0 277.280 2.652 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000842043433 631511073 /nfs/dbraw/zinc/51/10/73/631511073.db2.gz BBMRBCOLONOURE-DKTWLAJQSA-N 0 0 256.689 2.574 20 5 CFBDRN COCCCCN(C)c1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000842050840 631514086 /nfs/dbraw/zinc/51/40/86/631514086.db2.gz YXJOYSZYSZXUOV-UHFFFAOYSA-N 0 0 286.303 2.605 20 5 CFBDRN CC[C@H](COC(=O)CSc1ccccc1[N+](=O)[O-])OC ZINC000842055236 631515679 /nfs/dbraw/zinc/51/56/79/631515679.db2.gz VSICHFJTINUXJU-SNVBAGLBSA-N 0 0 299.348 2.655 20 5 CFBDRN O=C(OCCOCC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000842054790 631515780 /nfs/dbraw/zinc/51/57/80/631515780.db2.gz CHXCHOCEVBFUJI-UHFFFAOYSA-N 0 0 279.292 2.568 20 5 CFBDRN COC1(COC(=O)CCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000811172204 631528026 /nfs/dbraw/zinc/52/80/26/631528026.db2.gz OQOIWFBUGZFHJK-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN CCc1ccc(C(=O)OCC2(OC)CCC2)cc1[N+](=O)[O-] ZINC000811174231 631529124 /nfs/dbraw/zinc/52/91/24/631529124.db2.gz MEQLSXWDHIDMFS-UHFFFAOYSA-N 0 0 293.319 2.883 20 5 CFBDRN O=C(O[C@H]1CCc2ccc(Cl)cc21)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842301076 631582994 /nfs/dbraw/zinc/58/29/94/631582994.db2.gz UXIMTQQSEIQIGA-UTUOFQBUSA-N 0 0 281.695 2.536 20 5 CFBDRN Cc1cccc2c1[C@H](C)C[C@H]2OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842331838 631595679 /nfs/dbraw/zinc/59/56/79/631595679.db2.gz NUYLVMULOREZRC-OJAKKHQRSA-N 0 0 275.304 2.752 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCc1cnn(C)c1 ZINC000811788371 631622673 /nfs/dbraw/zinc/62/26/73/631622673.db2.gz ICMGNHPLTGXTKK-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN Nc1ccc(NCCc2cccc(O)c2)c([N+](=O)[O-])c1 ZINC000811782011 631623060 /nfs/dbraw/zinc/62/30/60/631623060.db2.gz KWSJLRQBBUVFLP-UHFFFAOYSA-N 0 0 273.292 2.537 20 5 CFBDRN CCC[C@](C)(O)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000842487515 631642616 /nfs/dbraw/zinc/64/26/16/631642616.db2.gz RZZZURYJCGNBSL-AWEZNQCLSA-N 0 0 291.307 2.576 20 5 CFBDRN Cc1ccc(-c2noc([C@@](C)(O)C3CC3)n2)cc1[N+](=O)[O-] ZINC000842488523 631643667 /nfs/dbraw/zinc/64/36/67/631643667.db2.gz RURUBWPGBGZSBH-AWEZNQCLSA-N 0 0 289.291 2.571 20 5 CFBDRN CC(C)(c1noc([C@@H]2CC2[N+](=O)[O-])n1)c1cccc(F)c1 ZINC000842498307 631647381 /nfs/dbraw/zinc/64/73/81/631647381.db2.gz NSIURBIWEQLMHD-GHMZBOCLSA-N 0 0 291.282 2.667 20 5 CFBDRN CCCOC1(c2noc([C@@H]3CC3[N+](=O)[O-])n2)CCCCC1 ZINC000842507414 631649615 /nfs/dbraw/zinc/64/96/15/631649615.db2.gz ZVHSDJXWNTUAEG-GHMZBOCLSA-N 0 0 295.339 2.788 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC[C@H]1CC1(Cl)Cl ZINC000842548089 631663020 /nfs/dbraw/zinc/66/30/20/631663020.db2.gz HRCPNWCQJREMKV-ZETCQYMHSA-N 0 0 264.112 2.684 20 5 CFBDRN CSCCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842664557 631696347 /nfs/dbraw/zinc/69/63/47/631696347.db2.gz XFFCZHJKCOKMGH-UHFFFAOYSA-N 0 0 285.218 2.893 20 5 CFBDRN CCOCCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842664363 631696356 /nfs/dbraw/zinc/69/63/56/631696356.db2.gz XAMGPIOLWQFRAO-UHFFFAOYSA-N 0 0 283.177 2.567 20 5 CFBDRN Cc1cc(SCCSCCO)c(F)cc1[N+](=O)[O-] ZINC000812475296 631723108 /nfs/dbraw/zinc/72/31/08/631723108.db2.gz XRSNJGKQJNJJPP-UHFFFAOYSA-N 0 0 291.369 2.860 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CCOC1 ZINC000812484528 631725372 /nfs/dbraw/zinc/72/53/72/631725372.db2.gz JODYHECJLYASJG-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCCCCF ZINC000812555983 631733511 /nfs/dbraw/zinc/73/35/11/631733511.db2.gz FPCXGDKYMBACMD-KHPPLWFESA-N 0 0 280.299 2.864 20 5 CFBDRN CO[C@@H](C)COC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000155548371 650024865 /nfs/dbraw/zinc/02/48/65/650024865.db2.gz IDHSEPWUYYHGAW-QMMMGPOBSA-N 0 0 285.321 2.508 20 5 CFBDRN CC(C)=CCC[C@@H](C)CC(=O)O[C@H](C)C[N+](=O)[O-] ZINC000842807031 631746513 /nfs/dbraw/zinc/74/65/13/631746513.db2.gz RGLZDCZCCWLGBX-VXGBXAGGSA-N 0 0 257.330 2.967 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000812626201 631746927 /nfs/dbraw/zinc/74/69/27/631746927.db2.gz UDLOHKWANQAXED-MNOVXSKESA-N 0 0 281.308 2.557 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000812628400 631747933 /nfs/dbraw/zinc/74/79/33/631747933.db2.gz DEWBKWBHKXEKAB-IUCAKERBSA-N 0 0 287.337 2.618 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000812636536 631749567 /nfs/dbraw/zinc/74/95/67/631749567.db2.gz PFBQVOPPPBLQAU-BXUZGUMPSA-N 0 0 293.319 2.953 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000812633891 631749746 /nfs/dbraw/zinc/74/97/46/631749746.db2.gz UPFYGLGILIBARQ-ZJUUUORDSA-N 0 0 299.298 2.696 20 5 CFBDRN C[C@](O)(CNc1ncc([N+](=O)[O-])cc1F)c1ccsc1 ZINC000840266144 631767775 /nfs/dbraw/zinc/76/77/75/631767775.db2.gz LUABNGXNVUJWJB-LBPRGKRZSA-N 0 0 297.311 2.510 20 5 CFBDRN O=C(NOC1CCC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000812788138 631775750 /nfs/dbraw/zinc/77/57/50/631775750.db2.gz PSYXUKVJPSOBTK-UHFFFAOYSA-N 0 0 276.248 2.555 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NOC1CCC1 ZINC000812790548 631777714 /nfs/dbraw/zinc/77/77/14/631777714.db2.gz XBIQUCAMSFKWBS-LBPRGKRZSA-N 0 0 292.335 2.764 20 5 CFBDRN Cn1c(C(=O)Oc2cccc3c2CCC3)ccc1[N+](=O)[O-] ZINC000842933057 631785548 /nfs/dbraw/zinc/78/55/48/631785548.db2.gz AYJSBXPZCKWIMK-UHFFFAOYSA-N 0 0 286.287 2.641 20 5 CFBDRN CC[C@H](C)[C@H](OC)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812658 631788451 /nfs/dbraw/zinc/78/84/51/631788451.db2.gz OQYTUKLBZAFZRB-ONGXEEELSA-N 0 0 285.344 2.791 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812815322 631789343 /nfs/dbraw/zinc/78/93/43/631789343.db2.gz JHONZGNHWFANQH-KKZNHRDASA-N 0 0 283.328 2.544 20 5 CFBDRN C[C@H]1CC[C@@H](CCc2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812813156 631789493 /nfs/dbraw/zinc/78/94/93/631789493.db2.gz DAHNFXDAIQFAHJ-QWRGUYRKSA-N 0 0 297.355 2.558 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000813076922 631827867 /nfs/dbraw/zinc/82/78/67/631827867.db2.gz ROJIHPJLVYJLIS-VXGBXAGGSA-N 0 0 295.335 2.599 20 5 CFBDRN C[C@@H](COC(=O)c1scnc1C1CCCC1)[N+](=O)[O-] ZINC000813101920 631838373 /nfs/dbraw/zinc/83/83/73/631838373.db2.gz LIEYOMRGGVCZLQ-QMMMGPOBSA-N 0 0 284.337 2.623 20 5 CFBDRN C[C@@H](COC(=O)c1cc(Cl)ccc1Cl)[N+](=O)[O-] ZINC000813102274 631838470 /nfs/dbraw/zinc/83/84/70/631838470.db2.gz DAXHRKDRWNJGAO-LURJTMIESA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@@H](COC(=O)c1c(Cl)c2ccccc2n1C)[N+](=O)[O-] ZINC000813101612 631838494 /nfs/dbraw/zinc/83/84/94/631838494.db2.gz FJBKMJPOAUTMIV-QMMMGPOBSA-N 0 0 296.710 2.654 20 5 CFBDRN C[C@@H](COC(=O)c1csc(-c2ccccc2)n1)[N+](=O)[O-] ZINC000813101863 631838659 /nfs/dbraw/zinc/83/86/59/631838659.db2.gz KGQLJJDOGBTLHD-VIFPVBQESA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@H](COC(=O)[C@H](C)c1cccc(Cl)c1)[N+](=O)[O-] ZINC000813103573 631839576 /nfs/dbraw/zinc/83/95/76/631839576.db2.gz QPJBZZUKEBFQSE-RKDXNWHRSA-N 0 0 271.700 2.652 20 5 CFBDRN C[C@H](COC(=O)CCC(=O)c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000813103604 631839818 /nfs/dbraw/zinc/83/98/18/631839818.db2.gz RHBZPUUHKLZIQR-SECBINFHSA-N 0 0 299.710 2.511 20 5 CFBDRN Cc1cc(C(=O)OC[C@H](C)[N+](=O)[O-])nn1C1CCCCC1 ZINC000813104409 631839903 /nfs/dbraw/zinc/83/99/03/631839903.db2.gz CDJBHQXVWXJBPK-NSHDSACASA-N 0 0 295.339 2.519 20 5 CFBDRN C[C@H](COC(=O)/C=C/Sc1ccccc1)[N+](=O)[O-] ZINC000813105333 631841838 /nfs/dbraw/zinc/84/18/38/631841838.db2.gz LXQIVDNIYVBDMQ-QROSGCPLSA-N 0 0 267.306 2.501 20 5 CFBDRN Cc1cc2occ(C(=O)OC[C@H](C)[N+](=O)[O-])c2cc1C ZINC000813108277 631843425 /nfs/dbraw/zinc/84/34/25/631843425.db2.gz CONISKQHQIAWKW-JTQLQIEISA-N 0 0 277.276 2.872 20 5 CFBDRN C[C@H](COC(=O)c1cc(-c2ccccc2)ns1)[N+](=O)[O-] ZINC000813112026 631844689 /nfs/dbraw/zinc/84/46/89/631844689.db2.gz MLJOQJAKVHDAQB-SECBINFHSA-N 0 0 292.316 2.632 20 5 CFBDRN CCCn1c(C(=O)OC[C@H](C)[N+](=O)[O-])cc2ccccc21 ZINC000813114730 631846163 /nfs/dbraw/zinc/84/61/63/631846163.db2.gz VUJILOAKOWLSNS-NSHDSACASA-N 0 0 290.319 2.873 20 5 CFBDRN C[C@H](COC(=O)C1(c2cccc(Cl)c2)CC1)[N+](=O)[O-] ZINC000813114031 631846192 /nfs/dbraw/zinc/84/61/92/631846192.db2.gz XVTRRGJORAVGDO-SECBINFHSA-N 0 0 283.711 2.580 20 5 CFBDRN C[C@H]1COCC[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813245546 631891050 /nfs/dbraw/zinc/89/10/50/631891050.db2.gz UZFZELWPPOGCMV-DTWKUNHWSA-N 0 0 298.726 2.859 20 5 CFBDRN C[C@H]1COCC[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813245548 631891211 /nfs/dbraw/zinc/89/12/11/631891211.db2.gz UZFZELWPPOGCMV-IUCAKERBSA-N 0 0 298.726 2.859 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2ccc(F)cc2[N+](=O)[O-])C1=O ZINC000840286234 631895662 /nfs/dbraw/zinc/89/56/62/631895662.db2.gz IZFNAACRBSUZMT-LLVKDONJSA-N 0 0 295.266 2.648 20 5 CFBDRN Cc1c(NC(=O)C2=C(C)OCCS2)cccc1[N+](=O)[O-] ZINC000813394396 631917264 /nfs/dbraw/zinc/91/72/64/631917264.db2.gz ROHDYZWYJZLKMZ-UHFFFAOYSA-N 0 0 294.332 2.837 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1nccn1C(F)F ZINC000843298675 631921832 /nfs/dbraw/zinc/92/18/32/631921832.db2.gz RLELVVOLCUICHM-UHFFFAOYSA-N 0 0 297.265 2.840 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])c(Cl)c2)ncn1 ZINC000813570173 631933869 /nfs/dbraw/zinc/93/38/69/631933869.db2.gz JFUAFBFJGKNIMO-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CCC/C=C/COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000813907467 631974559 /nfs/dbraw/zinc/97/45/59/631974559.db2.gz NOGHZYZWXSOANN-SNAWJCMRSA-N 0 0 279.292 2.873 20 5 CFBDRN CCC/C=C/COC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000813911077 631977004 /nfs/dbraw/zinc/97/70/04/631977004.db2.gz STHSGOQUQIORBL-VOTSOKGWSA-N 0 0 295.339 2.698 20 5 CFBDRN COCC(C)(C)COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000813994419 631988404 /nfs/dbraw/zinc/98/84/04/631988404.db2.gz VRPOMZINFDFPSV-UHFFFAOYSA-N 0 0 281.308 2.733 20 5 CFBDRN COCC(C)(C)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000813996730 631989125 /nfs/dbraw/zinc/98/91/25/631989125.db2.gz BIUYUWACHFXXOQ-NSHDSACASA-N 0 0 295.335 2.914 20 5 CFBDRN O=C(N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)C1(F)CC1 ZINC000843572909 631992066 /nfs/dbraw/zinc/99/20/66/631992066.db2.gz ZIPDPVOTQLCFRY-UHFFFAOYSA-N 0 0 278.283 2.588 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCc2c1cccc2Cl ZINC000814035738 631997392 /nfs/dbraw/zinc/99/73/92/631997392.db2.gz CIYMOBHJCCBTJY-GZMMTYOYSA-N 0 0 283.711 2.578 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccnc(SC(C)(C)C)c1 ZINC000814036778 631997749 /nfs/dbraw/zinc/99/77/49/631997749.db2.gz KSWNCSLBQJDBQM-VIFPVBQESA-N 0 0 298.364 2.794 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(OC(C)(C)C)cc1 ZINC000814037765 631997922 /nfs/dbraw/zinc/99/79/22/631997922.db2.gz GBYABUIRRAADBA-JTQLQIEISA-N 0 0 281.308 2.686 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1c[nH]c2ccc(Cl)cc12 ZINC000814040500 631998772 /nfs/dbraw/zinc/99/87/72/631998772.db2.gz HSCBQCXENGXSQM-MRVPVSSYSA-N 0 0 296.710 2.572 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)c1ccc(Cl)s1 ZINC000814038898 631998903 /nfs/dbraw/zinc/99/89/03/631998903.db2.gz VALTXUGRLGYOEM-RNFRBKRXSA-N 0 0 277.729 2.713 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cn1ccc2ccc(Cl)cc21 ZINC000814038573 631998920 /nfs/dbraw/zinc/99/89/20/631998920.db2.gz DYCCLRTVDYGDNO-SECBINFHSA-N 0 0 296.710 2.503 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(Oc2ccccc2)o1 ZINC000814038081 631998939 /nfs/dbraw/zinc/99/89/39/631998939.db2.gz LUEIUBGKOAQOQL-JTQLQIEISA-N 0 0 291.259 2.894 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(c2cccc(Cl)c2)CCC1 ZINC000814042157 631999383 /nfs/dbraw/zinc/99/93/83/631999383.db2.gz HJXPYZJOAKVNTI-JTQLQIEISA-N 0 0 297.738 2.970 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1ccc(F)cc1F ZINC000814042275 631999430 /nfs/dbraw/zinc/99/94/30/631999430.db2.gz VJFZDBVQMPLYRO-DTWKUNHWSA-N 0 0 287.262 2.667 20 5 CFBDRN CC[C@H](C)Oc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814041085 631999483 /nfs/dbraw/zinc/99/94/83/631999483.db2.gz NBQHLFKWOBVZGH-WDEREUQCSA-N 0 0 281.308 2.686 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(C(F)(F)F)cc1 ZINC000814042685 631999729 /nfs/dbraw/zinc/99/97/29/631999729.db2.gz ZETQWXLRQDOVPA-SSDOTTSWSA-N 0 0 277.198 2.527 20 5 CFBDRN Cc1ccc(SCC(=O)O[C@@H](C)C[N+](=O)[O-])c(C)c1 ZINC000814042136 631999751 /nfs/dbraw/zinc/99/97/51/631999751.db2.gz GZAYITYWSGHGRE-NSHDSACASA-N 0 0 283.349 2.604 20 5 CFBDRN CC(C)[C@H](C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814044010 632000156 /nfs/dbraw/zinc/00/01/56/632000156.db2.gz NCWMQIGDQJBNCD-AAEUAGOBSA-N 0 0 265.309 2.635 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccccc2)n1C ZINC000814044947 632000578 /nfs/dbraw/zinc/00/05/78/632000578.db2.gz MAZCWPNCXOBGNO-LLVKDONJSA-N 0 0 288.303 2.514 20 5 CFBDRN C[C@H](CCc1ccccc1F)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814047181 632000848 /nfs/dbraw/zinc/00/08/48/632000848.db2.gz LWWDGMRFQLUXJK-MNOVXSKESA-N 0 0 283.299 2.603 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1nc2ccccc2cc1Cl ZINC000814045683 632001205 /nfs/dbraw/zinc/00/12/05/632001205.db2.gz XIYCGJBLKKVRNE-MRVPVSSYSA-N 0 0 294.694 2.710 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1c(Cl)cccc1Cl ZINC000814046364 632001394 /nfs/dbraw/zinc/00/13/94/632001394.db2.gz JHBPLXJRGMYSHM-ZETCQYMHSA-N 0 0 292.118 2.744 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@H](F)CC1CCCCC1 ZINC000814049239 632001859 /nfs/dbraw/zinc/00/18/59/632001859.db2.gz QQJXQXXCAXPXJY-GXSJLCMTSA-N 0 0 261.293 2.503 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(Cc2cccc(F)c2)CCC1 ZINC000814049900 632002134 /nfs/dbraw/zinc/00/21/34/632002134.db2.gz UPWOCNZTOSSOTA-LLVKDONJSA-N 0 0 295.310 2.747 20 5 CFBDRN CCCCCNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843749525 632048633 /nfs/dbraw/zinc/04/86/33/632048633.db2.gz KMJVUSNXGQURII-UHFFFAOYSA-N 0 0 268.288 2.962 20 5 CFBDRN COCCCCCNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843778664 632054992 /nfs/dbraw/zinc/05/49/92/632054992.db2.gz IFUXCOJDPUSBTD-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCSC[C@@H]1C ZINC000843805773 632059658 /nfs/dbraw/zinc/05/96/58/632059658.db2.gz UAYZRYLGKSOMGW-QMMMGPOBSA-N 0 0 298.339 2.620 20 5 CFBDRN O=C(OCCC1CCOCC1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000814451727 632066229 /nfs/dbraw/zinc/06/62/29/632066229.db2.gz MHJMZXIMESVODT-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CSC1(C)C ZINC000843881320 632070979 /nfs/dbraw/zinc/07/09/79/632070979.db2.gz WPGBFESMHLGWFW-SNVBAGLBSA-N 0 0 298.339 2.666 20 5 CFBDRN C[C@H](OC(=O)c1cccc(=O)[nH]1)c1ccccc1[N+](=O)[O-] ZINC000814472867 632073485 /nfs/dbraw/zinc/07/34/85/632073485.db2.gz UGRDXIQIOOJDCX-VIFPVBQESA-N 0 0 288.259 2.613 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCC(C)(F)F ZINC000843898661 632074697 /nfs/dbraw/zinc/07/46/97/632074697.db2.gz YTKZHJDDLQECBM-UHFFFAOYSA-N 0 0 290.241 2.817 20 5 CFBDRN CC/C=C/CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843900102 632074733 /nfs/dbraw/zinc/07/47/33/632074733.db2.gz WIDRSVFFIHZHIF-SNAWJCMRSA-N 0 0 266.272 2.738 20 5 CFBDRN CCc1nc(C)c(C(=O)OCc2csc([N+](=O)[O-])c2)o1 ZINC000814516750 632084979 /nfs/dbraw/zinc/08/49/79/632084979.db2.gz VTSSVRHISFDWPX-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN CCON(C(=O)c1cc(F)cc(C)c1[N+](=O)[O-])C(C)C ZINC000844074671 632106023 /nfs/dbraw/zinc/10/60/23/632106023.db2.gz BYMASJHPGMYTPV-UHFFFAOYSA-N 0 0 284.287 2.844 20 5 CFBDRN CCON(C(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000844076330 632107449 /nfs/dbraw/zinc/10/74/49/632107449.db2.gz KTLCDAUMAWOICN-UHFFFAOYSA-N 0 0 266.297 2.705 20 5 CFBDRN CCON(C(=O)CCOc1ccccc1[N+](=O)[O-])C(C)C ZINC000844076975 632108327 /nfs/dbraw/zinc/10/83/27/632108327.db2.gz CMDUUTGNEGMICJ-UHFFFAOYSA-N 0 0 296.323 2.552 20 5 CFBDRN CCCONC(=O)[C@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000844088595 632115789 /nfs/dbraw/zinc/11/57/89/632115789.db2.gz XWABJRHKKUIFDG-GFCCVEGCSA-N 0 0 280.324 2.621 20 5 CFBDRN CC(C)COC(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814773604 632120849 /nfs/dbraw/zinc/12/08/49/632120849.db2.gz CRZPURCDQKZSKC-UHFFFAOYSA-N 0 0 287.699 2.826 20 5 CFBDRN CC[C@@H](C)NC(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814775093 632121588 /nfs/dbraw/zinc/12/15/88/632121588.db2.gz FDCSHTXDYIZIGU-MRVPVSSYSA-N 0 0 286.715 2.542 20 5 CFBDRN Cc1nccc(COc2cccc(Cl)c2[N+](=O)[O-])n1 ZINC000814775972 632121843 /nfs/dbraw/zinc/12/18/43/632121843.db2.gz FFFPZAPWBQGBMJ-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=C1O[C@@H](COc2cccc(Cl)c2[N+](=O)[O-])CC12CC2 ZINC000814775758 632121936 /nfs/dbraw/zinc/12/19/36/632121936.db2.gz VZGBKZQZFZDVBI-MRVPVSSYSA-N 0 0 297.694 2.723 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])OC1CCCC1 ZINC000844267662 632148966 /nfs/dbraw/zinc/14/89/66/632148966.db2.gz ADHHLSZKNRZUTI-UHFFFAOYSA-N 0 0 267.256 2.762 20 5 CFBDRN CCc1noc(C)c1CNc1cc(N)ccc1[N+](=O)[O-] ZINC000814900278 632155287 /nfs/dbraw/zinc/15/52/87/632155287.db2.gz LQSXBDLAYAPUKG-UHFFFAOYSA-N 0 0 276.296 2.648 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@H]2C2CCC2)c([N+](=O)[O-])c1 ZINC000844287595 632157234 /nfs/dbraw/zinc/15/72/34/632157234.db2.gz LSERPAMKDHESAD-NWDGAFQWSA-N 0 0 291.303 2.945 20 5 CFBDRN CC(F)(F)CNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000814912268 632161773 /nfs/dbraw/zinc/16/17/73/632161773.db2.gz OGKGCKQSOFNHCW-UHFFFAOYSA-N 0 0 299.277 2.554 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC[C@@H](C)F ZINC000814915085 632162458 /nfs/dbraw/zinc/16/24/58/632162458.db2.gz NYEXCGMDPGTGGO-MRVPVSSYSA-N 0 0 254.261 2.957 20 5 CFBDRN C[C@@H](OC(=O)C[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000844327699 632171018 /nfs/dbraw/zinc/17/10/18/632171018.db2.gz SOHWWYAWYPELTM-ZWNOBZJWSA-N 0 0 279.292 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@]3(CCCO3)[C@@H]2C2CC2)cc1 ZINC000814956847 632179202 /nfs/dbraw/zinc/17/92/02/632179202.db2.gz NDGUOUYNXAEQEE-GJZGRUSLSA-N 0 0 274.320 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)c(Cl)c1 ZINC000844457853 632219328 /nfs/dbraw/zinc/21/93/28/632219328.db2.gz LTNKLZNDXYSCMV-AOOOYVTPSA-N 0 0 288.681 2.945 20 5 CFBDRN CCCc1[nH]nc(C(=O)O[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000815244022 632238328 /nfs/dbraw/zinc/23/83/28/632238328.db2.gz PITMKKBYMRILSP-BDAKNGLRSA-N 0 0 281.312 2.616 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000844535061 632240220 /nfs/dbraw/zinc/24/02/20/632240220.db2.gz OJWQIICMQOEEIK-GFCCVEGCSA-N 0 0 280.299 2.581 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000844782640 632307647 /nfs/dbraw/zinc/30/76/47/632307647.db2.gz VQEOLRDWRKJUEV-UONOGXRCSA-N 0 0 290.363 2.803 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc(Cl)c(F)cc1Cl ZINC000816097045 632371700 /nfs/dbraw/zinc/37/17/00/632371700.db2.gz SJURFQXBNKWRND-YFKPBYRVSA-N 0 0 296.081 2.955 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000816225367 632398765 /nfs/dbraw/zinc/39/87/65/632398765.db2.gz RLHDBVIXAWVPHR-CKYFFXLPSA-N 0 0 280.324 2.915 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCCc1cnoc1 ZINC000816230401 632401641 /nfs/dbraw/zinc/40/16/41/632401641.db2.gz PFRJXJHAPZMPJS-UHFFFAOYSA-N 0 0 290.275 2.681 20 5 CFBDRN CO[C@@H](COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000816375771 632433126 /nfs/dbraw/zinc/43/31/26/632433126.db2.gz JLYQDEADMTYYLT-MDDUGHTDSA-N 0 0 291.303 2.576 20 5 CFBDRN CO[C@H](COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C1CC1 ZINC000816375631 632433397 /nfs/dbraw/zinc/43/33/97/632433397.db2.gz GTJYHPHSQKMLPF-GFCCVEGCSA-N 0 0 299.710 2.830 20 5 CFBDRN CO[C@H](COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000816387165 632440686 /nfs/dbraw/zinc/44/06/86/632440686.db2.gz YDIFLKKXHTVSAY-IINYFYTJSA-N 0 0 293.319 2.667 20 5 CFBDRN Cc1c(CC(=O)OC[C@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000816415779 632447424 /nfs/dbraw/zinc/44/74/24/632447424.db2.gz BYEPRVOCWLCMCG-SNVBAGLBSA-N 0 0 285.246 2.644 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H]1CC1(F)F ZINC000816419742 632450174 /nfs/dbraw/zinc/45/01/74/632450174.db2.gz XMKYKJWRYXAIGD-MRVPVSSYSA-N 0 0 271.219 2.715 20 5 CFBDRN CO[C@H](C)CN(C)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000845547671 632461305 /nfs/dbraw/zinc/46/13/05/632461305.db2.gz VHKNIMVEUJTWKM-SSDOTTSWSA-N 0 0 276.695 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1C[C@@H](F)[C@@H](F)C1 ZINC000845558433 632463598 /nfs/dbraw/zinc/46/35/98/632463598.db2.gz FFEYNWISJSUQFE-PHIMTYICSA-N 0 0 279.246 2.639 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC000845578402 632468661 /nfs/dbraw/zinc/46/86/61/632468661.db2.gz WYSUMXYQCYIELK-BDAKNGLRSA-N 0 0 271.700 2.652 20 5 CFBDRN CC(C)O[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000845638520 632499066 /nfs/dbraw/zinc/49/90/66/632499066.db2.gz HZULWSXFJRNUJT-CQSZACIVSA-N 0 0 264.325 2.989 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H](C2CC2)C2CCOCC2)c1 ZINC000845658829 632509381 /nfs/dbraw/zinc/50/93/81/632509381.db2.gz CTVIXMFZCXZDNM-OAHLLOKOSA-N 0 0 291.351 2.794 20 5 CFBDRN CC[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)[C@@H](C)CO1 ZINC000845662594 632510131 /nfs/dbraw/zinc/51/01/31/632510131.db2.gz XYLYNVNCTNWBRS-WCBMZHEXSA-N 0 0 286.278 2.877 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1ccnc(NC)c1[N+](=O)[O-] ZINC000816839861 632528882 /nfs/dbraw/zinc/52/88/82/632528882.db2.gz RXUXPOLCRWIBAE-JTQLQIEISA-N 0 0 294.355 2.730 20 5 CFBDRN Cc1ccc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])nc1 ZINC000817038690 632548425 /nfs/dbraw/zinc/54/84/25/632548425.db2.gz AQCUABYEYJONPA-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC1CCC1 ZINC000156845099 650076107 /nfs/dbraw/zinc/07/61/07/650076107.db2.gz PHDNOPYVFBHBEI-UHFFFAOYSA-N 0 0 252.318 2.722 20 5 CFBDRN COC1CCC(Nc2ccc([N+](=O)[O-])c3nonc32)CC1 ZINC000840336179 632564505 /nfs/dbraw/zinc/56/45/05/632564505.db2.gz WUERGNSMJDUCLP-UHFFFAOYSA-N 0 0 292.295 2.501 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000817231669 632576942 /nfs/dbraw/zinc/57/69/42/632576942.db2.gz BNPNZVNOIBLKDO-BXKDBHETSA-N 0 0 261.277 2.999 20 5 CFBDRN CC[C@H](COC(=O)c1cc([N+](=O)[O-])c(C)cc1C)OC ZINC000817239723 632581752 /nfs/dbraw/zinc/58/17/52/632581752.db2.gz VOWBRFAPCLRYCS-LLVKDONJSA-N 0 0 281.308 2.793 20 5 CFBDRN C[C@]1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CC1(F)F ZINC000817248411 632584414 /nfs/dbraw/zinc/58/44/14/632584414.db2.gz KLNZSBFYKHLCDU-LLVKDONJSA-N 0 0 289.209 2.822 20 5 CFBDRN CO[C@H](C)CC(=O)O[C@@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845876031 632589246 /nfs/dbraw/zinc/58/92/46/632589246.db2.gz PHCSOSDBKNHSIZ-ISTVAULSSA-N 0 0 299.298 2.500 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1ccc([N+](=O)[O-])cc1C ZINC000845904314 632595302 /nfs/dbraw/zinc/59/53/02/632595302.db2.gz RDZADGSVAFOSBG-JTQLQIEISA-N 0 0 287.337 2.800 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@@H](O)CC12CCC2 ZINC000817292729 632596583 /nfs/dbraw/zinc/59/65/83/632596583.db2.gz VUQTZMWLOZYARP-CYBMUJFWSA-N 0 0 294.326 2.613 20 5 CFBDRN Cc1cc(COC(=O)[C@]2(C)CC2(F)F)ccc1[N+](=O)[O-] ZINC000817442829 632635903 /nfs/dbraw/zinc/63/59/03/632635903.db2.gz GLKXGUKWKBRNGX-LBPRGKRZSA-N 0 0 285.246 2.992 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H]1CC13CC3)CC2 ZINC000817442807 632635938 /nfs/dbraw/zinc/63/59/38/632635938.db2.gz FXUMSKCMLFCCQP-LLVKDONJSA-N 0 0 272.304 2.592 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000817717640 632691492 /nfs/dbraw/zinc/69/14/92/632691492.db2.gz RSBLHHGHZJNKBT-JTQLQIEISA-N 0 0 261.277 2.863 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)[C@H]1CC12CC2 ZINC000817728550 632696014 /nfs/dbraw/zinc/69/60/14/632696014.db2.gz VZXDSEXEGGJFCV-GFCCVEGCSA-N 0 0 291.303 2.625 20 5 CFBDRN C[C@@H](COC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1)C1CCC1 ZINC000817754572 632705678 /nfs/dbraw/zinc/70/56/78/632705678.db2.gz VUIBFTILEJJDCE-JTQLQIEISA-N 0 0 295.339 2.506 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CC[C@H]1CC1(Cl)Cl ZINC000818083607 632796456 /nfs/dbraw/zinc/79/64/56/632796456.db2.gz ZSUJKBHMLOYZEJ-QMMMGPOBSA-N 0 0 291.134 2.649 20 5 CFBDRN CC(C)(C)C1CC(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000818142220 632806171 /nfs/dbraw/zinc/80/61/71/632806171.db2.gz TYDYRBOJQJGQCB-UHFFFAOYSA-N 0 0 291.351 2.732 20 5 CFBDRN CCn1ccc(CNc2cc([N+](=O)[O-])ccc2C(C)=O)n1 ZINC000840357204 632825462 /nfs/dbraw/zinc/82/54/62/632825462.db2.gz IXARFECDOGLDMB-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C3CCC3)C2)c(F)c1 ZINC000840357294 632827018 /nfs/dbraw/zinc/82/70/18/632827018.db2.gz CLCOWLIPVOOTAK-AWEZNQCLSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C3CCC3)C2)cc1 ZINC000840358798 632838452 /nfs/dbraw/zinc/83/84/52/632838452.db2.gz KYRLRQUYARCVPI-CQSZACIVSA-N 0 0 262.309 2.600 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@@H](C2CCC2)C1 ZINC000840359569 632839985 /nfs/dbraw/zinc/83/99/85/632839985.db2.gz ULQYRTUOUVUSCS-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN CCn1ccc(CNc2cccc(F)c2[N+](=O)[O-])n1 ZINC000840359357 632839989 /nfs/dbraw/zinc/83/99/89/632839989.db2.gz RXKHROUMVDTNHO-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN C[C@H]1C[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])CS1 ZINC000840364173 632870240 /nfs/dbraw/zinc/87/02/40/632870240.db2.gz AEGHYLGJWHTORW-DTWKUNHWSA-N 0 0 278.337 2.548 20 5 CFBDRN C[C@H]1C[C@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CS1 ZINC000840364075 632870421 /nfs/dbraw/zinc/87/04/21/632870421.db2.gz JZGLQFYHASIRPQ-YUMQZZPRSA-N 0 0 283.309 2.809 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCCOC2)c1C ZINC000885423910 632875090 /nfs/dbraw/zinc/87/50/90/632875090.db2.gz FNZMWBWBBCLREC-GFCCVEGCSA-N 0 0 293.319 2.795 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@@H](C)O1 ZINC000840367303 632897897 /nfs/dbraw/zinc/89/78/97/632897897.db2.gz HYPBTYVXEZYUSZ-BBBLOLIVSA-N 0 0 295.295 2.728 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@@H](C)O1 ZINC000840367306 632898170 /nfs/dbraw/zinc/89/81/70/632898170.db2.gz HYPBTYVXEZYUSZ-OPRDCNLKSA-N 0 0 295.295 2.728 20 5 CFBDRN CC(=O)c1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc1C ZINC000847211044 632953695 /nfs/dbraw/zinc/95/36/95/632953695.db2.gz VZHHEVIWKDCVGQ-UHFFFAOYSA-N 0 0 292.335 2.972 20 5 CFBDRN C[C@H](NC(=O)/C=C/[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000819129482 632978237 /nfs/dbraw/zinc/97/82/37/632978237.db2.gz JZPOOMKHLOQUBX-FTWBLAIESA-N 0 0 290.319 2.507 20 5 CFBDRN Cc1cccc(CCOC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000847741783 633064612 /nfs/dbraw/zinc/06/46/12/633064612.db2.gz ZRKVAGBPHFDNAK-UHFFFAOYSA-N 0 0 279.336 2.916 20 5 CFBDRN Cc1cccc(CCOC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000847745433 633066928 /nfs/dbraw/zinc/06/69/28/633066928.db2.gz WJZWUXVBNPDCGY-UHFFFAOYSA-N 0 0 288.303 2.641 20 5 CFBDRN C[C@H](CC1CCCC1)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847783060 633076060 /nfs/dbraw/zinc/07/60/60/633076060.db2.gz REEPPCVXZHSAFP-SNVBAGLBSA-N 0 0 295.339 2.588 20 5 CFBDRN COC[C@H](C)CCOC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000847870049 633119649 /nfs/dbraw/zinc/11/96/49/633119649.db2.gz DAHLWGJDVUWCEU-SNVBAGLBSA-N 0 0 281.308 2.733 20 5 CFBDRN COC[C@H](C)CCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000847872616 633120999 /nfs/dbraw/zinc/12/09/99/633120999.db2.gz KMGADDGTARTHQO-SECBINFHSA-N 0 0 285.271 2.563 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCC(C)(C)C(C)C)n1 ZINC000847944744 633159173 /nfs/dbraw/zinc/15/91/73/633159173.db2.gz JMPTUYPGLLJBGR-UHFFFAOYSA-N 0 0 283.328 2.650 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CCC[C@H](CO)C2)c1 ZINC000840386121 633179397 /nfs/dbraw/zinc/17/93/97/633179397.db2.gz NDUNAWPZVHKDQK-UWVGGRQHSA-N 0 0 298.314 2.706 20 5 CFBDRN C[C@H](ON=C(N)[C@@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000848521953 633275158 /nfs/dbraw/zinc/27/51/58/633275158.db2.gz UTISFZNVHASRIC-VDDIYKPWSA-N 0 0 263.297 2.810 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2N[C@H]1CCn2ccnc21 ZINC000848554599 633287954 /nfs/dbraw/zinc/28/79/54/633287954.db2.gz XPRKRUVQOZSVRO-OLZOCXBDSA-N 0 0 284.319 2.513 20 5 CFBDRN O=C([O-])C[N@H+](Cc1cc(Cl)ccc1[N+](=O)[O-])C1CCC1 ZINC000848599656 633297801 /nfs/dbraw/zinc/29/78/01/633297801.db2.gz NYGZIEHDJZHKNS-UHFFFAOYSA-N 0 0 298.726 2.687 20 5 CFBDRN CCc1nocc1CN1CCc2cc([N+](=O)[O-])ccc21 ZINC000848660332 633311777 /nfs/dbraw/zinc/31/17/77/633311777.db2.gz AOFKOVYGSBOOTC-UHFFFAOYSA-N 0 0 273.292 2.708 20 5 CFBDRN Cc1nc(CNc2c(C)c([N+](=O)[O-])ccc2F)co1 ZINC000848662905 633312223 /nfs/dbraw/zinc/31/22/23/633312223.db2.gz LPQIHENXCQQWPL-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CCc1nocc1CNc1cc([N+](=O)[O-])ccc1NC ZINC000848664408 633313435 /nfs/dbraw/zinc/31/34/35/633313435.db2.gz YSAYECLXZOCNEX-UHFFFAOYSA-N 0 0 276.296 2.799 20 5 CFBDRN Cc1cnc(CCNc2cc(N)ccc2[N+](=O)[O-])c(C)c1 ZINC000848755692 633336538 /nfs/dbraw/zinc/33/65/38/633336538.db2.gz BGJVBQUSJUXVSZ-UHFFFAOYSA-N 0 0 286.335 2.843 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](C3CCC3)C2)n1 ZINC000840391024 633397460 /nfs/dbraw/zinc/39/74/60/633397460.db2.gz FKKNSRGOOZCFNS-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=S)NCC1CC1 ZINC000849250750 633591178 /nfs/dbraw/zinc/59/11/78/633591178.db2.gz CHJXQORJTJHQEK-UHFFFAOYSA-N 0 0 265.338 2.600 20 5 CFBDRN C[C@@H](NC(=S)NCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000849254639 633592838 /nfs/dbraw/zinc/59/28/38/633592838.db2.gz FKEYXINVJDUTHT-SECBINFHSA-N 0 0 279.365 2.530 20 5 CFBDRN C[C@H](N[C@H]1C[C@H](C)n2ncnc21)c1ccccc1[N+](=O)[O-] ZINC000849322666 633616625 /nfs/dbraw/zinc/61/66/25/633616625.db2.gz SQYATZSDEALARG-NHCYSSNCSA-N 0 0 287.323 2.543 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])o1)C1CCCC1 ZINC000849627521 633645600 /nfs/dbraw/zinc/64/56/00/633645600.db2.gz GXXDTOCFFHKPLG-LLVKDONJSA-N 0 0 283.280 2.550 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000849647357 633656246 /nfs/dbraw/zinc/65/62/46/633656246.db2.gz HTQNQDLDSIIBRJ-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN O=C(COC1CCCC1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849700546 633679415 /nfs/dbraw/zinc/67/94/15/633679415.db2.gz RBCSXCVQXQCYFH-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN CO[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1C)C1CCC1 ZINC000849717425 633687614 /nfs/dbraw/zinc/68/76/14/633687614.db2.gz WGKJJHIGPXTMQV-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(C)=CCCOC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000849723339 633689756 /nfs/dbraw/zinc/68/97/56/633689756.db2.gz VFJHBOXZYGKQCJ-UHFFFAOYSA-N 0 0 295.339 2.698 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])c(F)c1)C1CCC1 ZINC000849724345 633690212 /nfs/dbraw/zinc/69/02/12/633690212.db2.gz LOFRWQLGQVWLOV-ZDUSSCGKSA-N 0 0 297.282 2.706 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000849752267 633702380 /nfs/dbraw/zinc/70/23/80/633702380.db2.gz DDZLZUXSVHSXSP-NXEZZACHSA-N 0 0 297.282 2.592 20 5 CFBDRN CC(C)c1ccc(C(=O)OC[C@H](O)C(C)C)cc1[N+](=O)[O-] ZINC000849761250 633707435 /nfs/dbraw/zinc/70/74/35/633707435.db2.gz ORBUSGFZUPXNHE-AWEZNQCLSA-N 0 0 295.335 2.892 20 5 CFBDRN CC(C)c1ccc(C(=O)OCC[C@@H](C)O)cc1[N+](=O)[O-] ZINC000849760710 633707501 /nfs/dbraw/zinc/70/75/01/633707501.db2.gz JIKKEXIYLHVLQP-SNVBAGLBSA-N 0 0 281.308 2.646 20 5 CFBDRN COC(C)(C)CC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849767282 633709572 /nfs/dbraw/zinc/70/95/72/633709572.db2.gz DCQJHIWLGLDYKA-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(O[C@@H]1CCCCC1=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000007108155 633717491 /nfs/dbraw/zinc/71/74/91/633717491.db2.gz PBYDJBWHVDLYPC-GFCCVEGCSA-N 0 0 297.694 2.917 20 5 CFBDRN CCC(C)(C)OCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849802926 633726979 /nfs/dbraw/zinc/72/69/79/633726979.db2.gz WOWATWSCCIUCQS-UHFFFAOYSA-N 0 0 299.298 2.982 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CCCCO1 ZINC000849803507 633727862 /nfs/dbraw/zinc/72/78/62/633727862.db2.gz HBWVJXDBGNVERU-CQSZACIVSA-N 0 0 297.282 2.736 20 5 CFBDRN O=C1CC[C@H](CSCc2ccc([N+](=O)[O-])cc2)O1 ZINC000849888576 633753171 /nfs/dbraw/zinc/75/31/71/633753171.db2.gz LHMOIXLDXDCDNR-LLVKDONJSA-N 0 0 267.306 2.534 20 5 CFBDRN Cc1cc(OC[C@H]2CCC(=O)O2)c(Cl)cc1[N+](=O)[O-] ZINC000849897731 633757326 /nfs/dbraw/zinc/75/73/26/633757326.db2.gz PJGKOAAPEKYUEW-MRVPVSSYSA-N 0 0 285.683 2.641 20 5 CFBDRN CC[C@@H](CC(F)F)Cn1cc([N+](=O)[O-])cc(F)c1=O ZINC000849925425 633766515 /nfs/dbraw/zinc/76/65/15/633766515.db2.gz ANXYJWMFDZBBPO-ZETCQYMHSA-N 0 0 278.230 2.577 20 5 CFBDRN CCc1nocc1COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849956953 633775281 /nfs/dbraw/zinc/77/52/81/633775281.db2.gz VVAYBWQLILRETO-UHFFFAOYSA-N 0 0 293.235 2.632 20 5 CFBDRN CCC(CC)Cn1cc([N+](=O)[O-])nc1Br ZINC000849992980 633786219 /nfs/dbraw/zinc/78/62/19/633786219.db2.gz MYPAWSYQOGYZLS-UHFFFAOYSA-N 0 0 276.134 2.990 20 5 CFBDRN O=[N+]([O-])c1cn(CC2CC=CC2)c(Br)n1 ZINC000849993453 633786263 /nfs/dbraw/zinc/78/62/63/633786263.db2.gz WFYFVGJJPPGYLC-UHFFFAOYSA-N 0 0 272.102 2.520 20 5 CFBDRN C[C@@H](CC(=O)OCc1cccc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000850077513 633825783 /nfs/dbraw/zinc/82/57/83/633825783.db2.gz NTCNFZONSFIURB-SMDDNHRTSA-N 0 0 293.319 2.843 20 5 CFBDRN CO[C@H](C(=O)OCc1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000850178616 633874377 /nfs/dbraw/zinc/87/43/77/633874377.db2.gz DQNYBEPJHBXUKG-ZDUSSCGKSA-N 0 0 297.282 2.592 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C1CC2(CC2)C1 ZINC000850213586 633896648 /nfs/dbraw/zinc/89/66/48/633896648.db2.gz AYNLVXUTFOIXER-UHFFFAOYSA-N 0 0 279.267 2.967 20 5 CFBDRN CCOC1(C(=O)OCc2ccccc2[N+](=O)[O-])CCC1 ZINC000850286928 633926567 /nfs/dbraw/zinc/92/65/67/633926567.db2.gz MGWPQOKNDNMLKG-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN CO[C@@H](C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-])C1CCC1 ZINC000850796086 634098196 /nfs/dbraw/zinc/09/81/96/634098196.db2.gz JBGNGGCGAXEETF-GFCCVEGCSA-N 0 0 299.710 2.969 20 5 CFBDRN CC(C)c1ccccc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000851046443 634195234 /nfs/dbraw/zinc/19/52/34/634195234.db2.gz QIYUOQPMLGOXRC-SNVBAGLBSA-N 0 0 251.282 2.632 20 5 CFBDRN CC(C)COc1cccc(C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851122396 634233940 /nfs/dbraw/zinc/23/39/40/634233940.db2.gz BUCVTESXNSYMOO-UHFFFAOYSA-N 0 0 295.335 2.934 20 5 CFBDRN CC(C)(COC(=O)c1ccc2c(c1)CCCC2)[N+](=O)[O-] ZINC000851123679 634234343 /nfs/dbraw/zinc/23/43/43/634234343.db2.gz UENVUFZETCXUAP-UHFFFAOYSA-N 0 0 277.320 2.778 20 5 CFBDRN CC(C)COc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851122923 634234765 /nfs/dbraw/zinc/23/47/65/634234765.db2.gz LAFRGFWEWRFYRU-UHFFFAOYSA-N 0 0 295.335 2.934 20 5 CFBDRN Cc1ccccc1CCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125232 634235975 /nfs/dbraw/zinc/23/59/75/634235975.db2.gz CIPYEPSJAIWACZ-UHFFFAOYSA-N 0 0 265.309 2.526 20 5 CFBDRN CC(C)(COC(=O)C(C)(C)c1cccs1)[N+](=O)[O-] ZINC000851127425 634237395 /nfs/dbraw/zinc/23/73/95/634237395.db2.gz XJGNEDWOBVFUQH-UHFFFAOYSA-N 0 0 271.338 2.624 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])C[C@@H](C)C1 ZINC000851127265 634237629 /nfs/dbraw/zinc/23/76/29/634237629.db2.gz MLDGLIFIVLFWPZ-URLYPYJESA-N 0 0 257.330 2.657 20 5 CFBDRN CCC[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccn1 ZINC000851128679 634237830 /nfs/dbraw/zinc/23/78/30/634237830.db2.gz BXSVBKSMVXLKEB-NSHDSACASA-N 0 0 280.324 2.564 20 5 CFBDRN CC(C)O[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000851130058 634238554 /nfs/dbraw/zinc/23/85/54/634238554.db2.gz VMYBDSCPEMHGLQ-CYBMUJFWSA-N 0 0 295.335 2.751 20 5 CFBDRN Cc1ccc(CCC(=O)OCC(C)(C)[N+](=O)[O-])s1 ZINC000851129326 634238786 /nfs/dbraw/zinc/23/87/86/634238786.db2.gz JICZZRPVJJWLJA-UHFFFAOYSA-N 0 0 271.338 2.588 20 5 CFBDRN CC(C)c1cccc(CC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851129550 634238942 /nfs/dbraw/zinc/23/89/42/634238942.db2.gz PBZLPITVXLASIU-UHFFFAOYSA-N 0 0 279.336 2.951 20 5 CFBDRN CC(C)(COC(=O)[C@@H](F)CC1CCCCC1)[N+](=O)[O-] ZINC000851130408 634239736 /nfs/dbraw/zinc/23/97/36/634239736.db2.gz VFXAXMCXJRKQOU-NSHDSACASA-N 0 0 275.320 2.893 20 5 CFBDRN C[C@H](Cc1ccsc1)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000851146053 634248317 /nfs/dbraw/zinc/24/83/17/634248317.db2.gz SJFSXGSHZTWLBI-SECBINFHSA-N 0 0 294.332 2.783 20 5 CFBDRN O=C(OC[C@@H]1CCC[C@H](C(F)(F)F)C1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000851158719 634252591 /nfs/dbraw/zinc/25/25/91/634252591.db2.gz ZRXLBEWRVVLGMT-UTINFBMNSA-N 0 0 295.257 2.564 20 5 CFBDRN CCC[C@H]1CCCC[C@@H]1OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000851453772 634369930 /nfs/dbraw/zinc/36/99/30/634369930.db2.gz TVFVSYVRYGXOIK-AAEUAGOBSA-N 0 0 295.339 2.693 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1C(=O)OC[C@H]1CCCCC1(C)C ZINC000853160314 634922458 /nfs/dbraw/zinc/92/24/58/634922458.db2.gz UWIQOEPPSVAWME-SNVBAGLBSA-N 0 0 295.339 2.702 20 5 CFBDRN Cc1cc(NCc2ncc(Cl)n2C)c([N+](=O)[O-])s1 ZINC000853253013 634951992 /nfs/dbraw/zinc/95/19/92/634951992.db2.gz BYQPJLPMPRCALP-UHFFFAOYSA-N 0 0 286.744 2.964 20 5 CFBDRN Cc1cc(N2CCC[C@H](C3OCCO3)C2)c([N+](=O)[O-])s1 ZINC000853254194 634952150 /nfs/dbraw/zinc/95/21/50/634952150.db2.gz XNFMBPHFXWJPEU-JTQLQIEISA-N 0 0 298.364 2.554 20 5 CFBDRN O=c1[nH]ccc(N2CCC3(CCCC3)CC2)c1[N+](=O)[O-] ZINC000853362531 634983624 /nfs/dbraw/zinc/98/36/24/634983624.db2.gz WCXNWNVHKWUIDP-UHFFFAOYSA-N 0 0 277.324 2.856 20 5 CFBDRN COc1cc(NCc2nonc2C)c(Cl)cc1[N+](=O)[O-] ZINC000853384992 634989785 /nfs/dbraw/zinc/98/97/85/634989785.db2.gz ZJURTEUIIHMRCI-UHFFFAOYSA-N 0 0 298.686 2.560 20 5 CFBDRN COc1cc(N2CCC[C@@H](OC)CC2)c([N+](=O)[O-])cc1C ZINC000853475271 635010933 /nfs/dbraw/zinc/01/09/33/635010933.db2.gz GSANRKIKTDNYCC-GFCCVEGCSA-N 0 0 294.351 2.917 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H]2c2cnn(C)c2C)c([N+](=O)[O-])s1 ZINC000853560066 635036002 /nfs/dbraw/zinc/03/60/02/635036002.db2.gz LEVCKSMOVJFMIO-GXSJLCMTSA-N 0 0 292.364 2.975 20 5 CFBDRN Cc1cc(N2CC(O)(c3ccccc3)C2)c([N+](=O)[O-])s1 ZINC000853621215 635050358 /nfs/dbraw/zinc/05/03/58/635050358.db2.gz UOTCBRCPVHZUOU-UHFFFAOYSA-N 0 0 290.344 2.673 20 5 CFBDRN CC1(CCCCOc2ccc([N+](=O)[O-])cc2)OCCO1 ZINC000853635849 635055556 /nfs/dbraw/zinc/05/55/56/635055556.db2.gz KODCCJAQZPDIIK-UHFFFAOYSA-N 0 0 281.308 2.907 20 5 CFBDRN CCOC1(C)CN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000853651886 635061167 /nfs/dbraw/zinc/06/11/67/635061167.db2.gz SHOYCGIFDADAPK-UHFFFAOYSA-N 0 0 280.324 2.527 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1C[C@@H](C)[C@H]1C ZINC000853650672 635061499 /nfs/dbraw/zinc/06/14/99/635061499.db2.gz VICZWJRGGDCQBB-HTQZYQBOSA-N 0 0 298.726 2.879 20 5 CFBDRN CC1=NO[C@@H](CNc2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000853661208 635065576 /nfs/dbraw/zinc/06/55/76/635065576.db2.gz RELSLJUKWNTTHD-SNVBAGLBSA-N 0 0 286.291 2.720 20 5 CFBDRN CC[C@H](O)c1ccc(OCc2onc(C)c2[N+](=O)[O-])cc1 ZINC000853667657 635068082 /nfs/dbraw/zinc/06/80/82/635068082.db2.gz KIQNKOUTSMRXGL-LBPRGKRZSA-N 0 0 292.291 2.914 20 5 CFBDRN CC(C)(C)OCC(N)=NOCc1ccc(F)cc1[N+](=O)[O-] ZINC000853688033 635075257 /nfs/dbraw/zinc/07/52/57/635075257.db2.gz CURHLSSXYTUJMP-UHFFFAOYSA-N 0 0 299.302 2.547 20 5 CFBDRN C[C@@H]1C[C@H]1C(N)=NOCc1c(F)cc([N+](=O)[O-])cc1F ZINC000853731781 635087729 /nfs/dbraw/zinc/08/77/29/635087729.db2.gz RCXVVTQYPSCZPI-HTRCEHHLSA-N 0 0 285.250 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCCOC(F)F)cc1 ZINC000853796019 635108791 /nfs/dbraw/zinc/10/87/91/635108791.db2.gz QHIDYXFVAGHCAO-UHFFFAOYSA-N 0 0 293.291 2.946 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1c1ccc([N+](=O)[O-])cc1F ZINC000853810124 635112536 /nfs/dbraw/zinc/11/25/36/635112536.db2.gz XDIGSSOSCXJVNL-SNVBAGLBSA-N 0 0 280.299 2.882 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1COC2(CCC2)C1 ZINC000853828499 635120331 /nfs/dbraw/zinc/12/03/31/635120331.db2.gz MVHBAPVXFNUJMC-SNVBAGLBSA-N 0 0 278.308 2.727 20 5 CFBDRN COc1cc(N[C@H]2COC3(CCC3)C2)c(F)cc1[N+](=O)[O-] ZINC000853827495 635120395 /nfs/dbraw/zinc/12/03/95/635120395.db2.gz ZNMDLLJRGAZSJN-SECBINFHSA-N 0 0 296.298 2.866 20 5 CFBDRN CC(=O)c1cc(N[C@H]2COC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000853828870 635121057 /nfs/dbraw/zinc/12/10/57/635121057.db2.gz QCQSZQIOFWOGLH-GFCCVEGCSA-N 0 0 290.319 2.921 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1C[C@@H](O)c2ccccc21 ZINC000853836317 635122867 /nfs/dbraw/zinc/12/28/67/635122867.db2.gz BGJGGLVPUKCWAD-UONOGXRCSA-N 0 0 285.303 2.889 20 5 CFBDRN CC(C)(F)CCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000853837734 635124349 /nfs/dbraw/zinc/12/43/49/635124349.db2.gz BLAAKHRKAYNCGV-UHFFFAOYSA-N 0 0 266.276 2.793 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@@H](O)c3ccccc32)s1 ZINC000853839511 635124812 /nfs/dbraw/zinc/12/48/12/635124812.db2.gz NRFJCNCUWLONGD-VHSXEESVSA-N 0 0 277.305 2.642 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@]12OCCC[C@H]1C2(F)F ZINC000853847322 635130115 /nfs/dbraw/zinc/13/01/15/635130115.db2.gz IPHRYRIIHXZSLE-PWSUYJOCSA-N 0 0 299.277 2.524 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2ccc(N)cc2[N+](=O)[O-])O1 ZINC000853849046 635131558 /nfs/dbraw/zinc/13/15/58/635131558.db2.gz FXBZGTHQQNFCPB-GXSJLCMTSA-N 0 0 265.313 2.547 20 5 CFBDRN COc1cc(N2CC[C@@H](C)O[C@@H](C)C2)c([N+](=O)[O-])cc1C ZINC000853870641 635142122 /nfs/dbraw/zinc/14/21/22/635142122.db2.gz LTVHWCNTIUUYOB-NEPJUHHUSA-N 0 0 294.351 2.916 20 5 CFBDRN CCC/C(C)=C\C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853893042 635148569 /nfs/dbraw/zinc/14/85/69/635148569.db2.gz HBRSXADNWMEKCU-VURMDHGXSA-N 0 0 268.269 2.681 20 5 CFBDRN Cc1noc(COC(=O)[C@H](C)c2cccs2)c1[N+](=O)[O-] ZINC000853898568 635150898 /nfs/dbraw/zinc/15/08/98/635150898.db2.gz FLODXWYDZZBMMZ-SSDOTTSWSA-N 0 0 296.304 2.800 20 5 CFBDRN Cc1noc(COC(=O)[C@H](C)c2ccsc2)c1[N+](=O)[O-] ZINC000853899755 635151575 /nfs/dbraw/zinc/15/15/75/635151575.db2.gz PPUJYEWVAXTWDJ-SSDOTTSWSA-N 0 0 296.304 2.800 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000853904585 635155166 /nfs/dbraw/zinc/15/51/66/635155166.db2.gz SWMAFXDPHUNKIZ-AYMMMOKOSA-N 0 0 294.282 2.519 20 5 CFBDRN Cc1noc(COC(=O)C2CCC3(CC3)CC2)c1[N+](=O)[O-] ZINC000853924999 635166740 /nfs/dbraw/zinc/16/67/40/635166740.db2.gz DNZIOHSXBQGFTC-UHFFFAOYSA-N 0 0 294.307 2.905 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000853974487 635182815 /nfs/dbraw/zinc/18/28/15/635182815.db2.gz HDASHPIPLHDAAM-XYPYZODXSA-N 0 0 279.340 2.935 20 5 CFBDRN Cc1c(Cc2noc(CCCF)n2)cccc1[N+](=O)[O-] ZINC000854037856 635196651 /nfs/dbraw/zinc/19/66/51/635196651.db2.gz CLMFGPIMLPUMRA-UHFFFAOYSA-N 0 0 279.271 2.779 20 5 CFBDRN Cc1ncsc1COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000111952780 635222135 /nfs/dbraw/zinc/22/21/35/635222135.db2.gz PJHLWYJIEHXXCC-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CO[C@@]1(C)C[C@H]1NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000854183936 635246618 /nfs/dbraw/zinc/24/66/18/635246618.db2.gz GRUWCCGYEJOKII-PWSUYJOCSA-N 0 0 299.714 2.547 20 5 CFBDRN CSC(C)(C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000854316496 635286302 /nfs/dbraw/zinc/28/63/02/635286302.db2.gz VZUOCFGUKUJFRJ-UHFFFAOYSA-N 0 0 255.295 2.642 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C(C)(C)C ZINC000112249237 635311445 /nfs/dbraw/zinc/31/14/45/635311445.db2.gz ODPKGKHBTBMFKD-MRVPVSSYSA-N 0 0 295.295 2.667 20 5 CFBDRN CC(=O)CC(C)(C)CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854494618 635316599 /nfs/dbraw/zinc/31/65/99/635316599.db2.gz DFUWWZVJIVBETN-UHFFFAOYSA-N 0 0 293.323 2.511 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)cc1[N+](=O)[O-] ZINC000854496443 635317495 /nfs/dbraw/zinc/31/74/95/635317495.db2.gz LEQBNAPJYXGKHA-JFGNBEQYSA-N 0 0 287.319 2.718 20 5 CFBDRN Nc1ccc(NC(=O)[C@H]2CC3CCC2CC3)cc1[N+](=O)[O-] ZINC000854495522 635317943 /nfs/dbraw/zinc/31/79/43/635317943.db2.gz PBSRHVRLNDIEBC-CBINBANVSA-N 0 0 289.335 2.942 20 5 CFBDRN C[C@@H]1CC[C@H](CC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497418 635318323 /nfs/dbraw/zinc/31/83/23/635318323.db2.gz NTEXHKZPKFPBFQ-ZJUUUORDSA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@H]1CC/C(=C/C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497590 635318992 /nfs/dbraw/zinc/31/89/92/635318992.db2.gz RNKNJPNGJRILJW-CBFJXKFUSA-N 0 0 275.308 2.862 20 5 CFBDRN C/C(=C\C(=O)N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000855430841 635543379 /nfs/dbraw/zinc/54/33/79/635543379.db2.gz LUJQACSCSGBVIB-NHAQELONSA-N 0 0 272.304 2.833 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C=CCC1 ZINC000855431305 635543689 /nfs/dbraw/zinc/54/36/89/635543689.db2.gz UWHASXDNODZYSY-ZIAGYGMSSA-N 0 0 288.347 2.998 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000855435427 635548744 /nfs/dbraw/zinc/54/87/44/635548744.db2.gz FCIYFVOJMJTJCO-KWCYVHTRSA-N 0 0 272.304 2.533 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C)C1CC1 ZINC000855856697 635671487 /nfs/dbraw/zinc/67/14/87/635671487.db2.gz AATJMMRHZXTAIO-CYBMUJFWSA-N 0 0 291.351 2.579 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000855857887 635672337 /nfs/dbraw/zinc/67/23/37/635672337.db2.gz NAGOVGIKGBJIHR-GFCCVEGCSA-N 0 0 280.299 2.961 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000856137677 635737582 /nfs/dbraw/zinc/73/75/82/635737582.db2.gz ARFVERRRLIURHS-AJHXJQRKSA-N 0 0 274.320 2.913 20 5 CFBDRN CSc1ccc(C(=O)NOCC2CCC2)cc1[N+](=O)[O-] ZINC000856343546 635786949 /nfs/dbraw/zinc/78/69/49/635786949.db2.gz PDGTXYVVIBCKCH-UHFFFAOYSA-N 0 0 296.348 2.778 20 5 CFBDRN C[C@@H](C(=O)NOCC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000856350718 635793535 /nfs/dbraw/zinc/79/35/35/635793535.db2.gz PBFFLTSQMDMFOD-SNVBAGLBSA-N 0 0 278.308 2.546 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@@]2(CC=CCC2)C1 ZINC000114445206 635834407 /nfs/dbraw/zinc/83/44/07/635834407.db2.gz SIXFOSDNVWMSSJ-AWEZNQCLSA-N 0 0 276.340 2.571 20 5 CFBDRN CC1(C)CC[C@H](CO)N(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000856802615 635864456 /nfs/dbraw/zinc/86/44/56/635864456.db2.gz SZXWNXPKTDEFPY-CYBMUJFWSA-N 0 0 296.342 2.717 20 5 CFBDRN CC[C@@H](SC)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000158345849 650224766 /nfs/dbraw/zinc/22/47/66/650224766.db2.gz UOTTWCDURHNPQZ-GFCCVEGCSA-N 0 0 283.349 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@]12C[C@@H]1COC2(C)C ZINC000857579094 635986659 /nfs/dbraw/zinc/98/66/59/635986659.db2.gz YXFZAZIAACDRME-MEBBXXQBSA-N 0 0 291.303 2.624 20 5 CFBDRN Cc1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000047248315 650228527 /nfs/dbraw/zinc/22/85/27/650228527.db2.gz PLMFHJUWWURDMQ-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)C1 ZINC000857618709 635996109 /nfs/dbraw/zinc/99/61/09/635996109.db2.gz UMPNMHIYVZAZRI-ZJUUUORDSA-N 0 0 296.298 2.796 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857637357 635999650 /nfs/dbraw/zinc/99/96/50/635999650.db2.gz ZXFHWFKTKULPFY-VXGBXAGGSA-N 0 0 294.263 2.701 20 5 CFBDRN O=C(CCC1CCC1)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857636786 635999753 /nfs/dbraw/zinc/99/97/53/635999753.db2.gz QHUMXPNBYDLCIX-UHFFFAOYSA-N 0 0 294.263 2.989 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857634879 635999763 /nfs/dbraw/zinc/99/97/63/635999763.db2.gz MQISQYGYABDJNB-NSHDSACASA-N 0 0 294.263 2.845 20 5 CFBDRN CC(C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)=C1CCC1 ZINC000857637004 635999898 /nfs/dbraw/zinc/99/98/98/635999898.db2.gz UOXQUKHIQRMKOF-UHFFFAOYSA-N 0 0 292.247 2.909 20 5 CFBDRN Cc1ccc(C(F)(F)F)c(NC(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC000857659077 636005020 /nfs/dbraw/zinc/00/50/20/636005020.db2.gz AVBAFBGAYGNMAR-GMSGAONNSA-N 0 0 288.225 2.618 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000858187427 636151983 /nfs/dbraw/zinc/15/19/83/636151983.db2.gz GTHBKYPJAMJJJP-COMAGPEQSA-N 0 0 279.292 2.560 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCCc2cccc(Cl)c21 ZINC000158892001 650240085 /nfs/dbraw/zinc/24/00/85/650240085.db2.gz BTUKKOFYGVEBOA-UHFFFAOYSA-N 0 0 292.726 2.855 20 5 CFBDRN CCn1nncc1CNc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000858650199 636316973 /nfs/dbraw/zinc/31/69/73/636316973.db2.gz ORUAOBSNVXLINK-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCC[C@@H]1CCCOC1 ZINC000858652917 636317184 /nfs/dbraw/zinc/31/71/84/636317184.db2.gz FVZPNJKSSFJBRJ-JTQLQIEISA-N 0 0 268.288 2.963 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)cc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000858664086 636319402 /nfs/dbraw/zinc/31/94/02/636319402.db2.gz FBFDWTAOMXEBNH-DTORHVGOSA-N 0 0 285.294 2.905 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000858689947 636326040 /nfs/dbraw/zinc/32/60/40/636326040.db2.gz NOBAVFVSRRRUNU-LLVKDONJSA-N 0 0 276.292 2.577 20 5 CFBDRN CCC(C)(C)OC1CN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000858702557 636327936 /nfs/dbraw/zinc/32/79/36/636327936.db2.gz ASRJYMHULHZFAY-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H](O)c2ccc(F)cc2)nc1 ZINC000159189109 650250505 /nfs/dbraw/zinc/25/05/05/650250505.db2.gz VZHZTWQLXWVKBQ-LBPRGKRZSA-N 0 0 294.307 2.955 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCc3nc[nH]c3C2)ccc1Cl ZINC000859307697 636536975 /nfs/dbraw/zinc/53/69/75/636536975.db2.gz HFMUENQSRPCBTA-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000859765297 636683350 /nfs/dbraw/zinc/68/33/50/636683350.db2.gz IEKFAHYNKLHZJG-GFCCVEGCSA-N 0 0 299.298 2.574 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000859766855 636684671 /nfs/dbraw/zinc/68/46/71/636684671.db2.gz QYMHCRYDZLKSSU-LBPRGKRZSA-N 0 0 299.298 2.574 20 5 CFBDRN O=C(O[C@H]1CCOC1)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859812015 636703349 /nfs/dbraw/zinc/70/33/49/636703349.db2.gz ZMNCDGQVEQKODS-LBPRGKRZSA-N 0 0 287.271 2.694 20 5 CFBDRN CO[C@@]1(C)C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000159785910 650278095 /nfs/dbraw/zinc/27/80/95/650278095.db2.gz RBSWGAYPHKIINS-DOMZBBRYSA-N 0 0 293.319 2.955 20 5 CFBDRN CCO[C@@H](C(=O)OCc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000859866436 636731647 /nfs/dbraw/zinc/73/16/47/636731647.db2.gz IQQIGEOXYJBRSF-CQSZACIVSA-N 0 0 293.319 2.762 20 5 CFBDRN Cc1c(OC(=O)c2cncc(F)c2)cccc1[N+](=O)[O-] ZINC000159811526 650279644 /nfs/dbraw/zinc/27/96/44/650279644.db2.gz TURUHITZDBVOHB-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN C/C(=C\C(=O)NCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000048807317 650279783 /nfs/dbraw/zinc/27/97/83/650279783.db2.gz PHOPFFOLMMTTPA-CSKARUKUSA-N 0 0 260.293 2.567 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN[C@H](C)c1ccns1 ZINC000860062247 636773481 /nfs/dbraw/zinc/77/34/81/636773481.db2.gz SFNVCAOTIPJSEQ-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN C[C@H](COC(=O)c1csc(C(F)(F)F)c1)[N+](=O)[O-] ZINC000860777180 636968914 /nfs/dbraw/zinc/96/89/14/636968914.db2.gz LQIMSOGEVBNANU-RXMQYKEDSA-N 0 0 283.227 2.589 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(c2cccc(Cl)c2)CC1 ZINC000860914593 637015110 /nfs/dbraw/zinc/01/51/10/637015110.db2.gz YSDRCEXIQZVFGY-UHFFFAOYSA-N 0 0 283.711 2.582 20 5 CFBDRN CCC[C@H](C(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860912868 637015273 /nfs/dbraw/zinc/01/52/73/637015273.db2.gz GKLUACIEBRLBFG-ZDUSSCGKSA-N 0 0 265.309 2.780 20 5 CFBDRN C/C(=C/c1ccccc1Cl)C(=O)OCCC[N+](=O)[O-] ZINC000860917564 637016037 /nfs/dbraw/zinc/01/60/37/637016037.db2.gz NRIPQJLJVOBLGQ-KTKRTIGZSA-N 0 0 283.711 2.953 20 5 CFBDRN C[C@H](CC(=O)OCCC[N+](=O)[O-])c1ccc(F)cc1 ZINC000860916546 637016135 /nfs/dbraw/zinc/01/61/35/637016135.db2.gz UJRRZLJVDLZZSY-SNVBAGLBSA-N 0 0 269.272 2.529 20 5 CFBDRN O=C(CC1CC(c2ccccc2)C1)OCCC[N+](=O)[O-] ZINC000860921024 637018017 /nfs/dbraw/zinc/01/80/17/637018017.db2.gz LVEHTHZNWDLPRU-UHFFFAOYSA-N 0 0 277.320 2.780 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cccc2ccsc21 ZINC000860921779 637018064 /nfs/dbraw/zinc/01/80/64/637018064.db2.gz XFNZRBMWUGMNHF-UHFFFAOYSA-N 0 0 265.290 2.725 20 5 CFBDRN CC1(C)C[C@]1(C(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860922280 637018345 /nfs/dbraw/zinc/01/83/45/637018345.db2.gz LYORMXFYDMAYAN-OAHLLOKOSA-N 0 0 277.320 2.564 20 5 CFBDRN C[C@@]1(COC(=O)c2ccc([N+](=O)[O-])cc2)CCCOC1 ZINC000861037072 637050720 /nfs/dbraw/zinc/05/07/20/637050720.db2.gz MJQPCOIJGKAWBZ-CQSZACIVSA-N 0 0 279.292 2.568 20 5 CFBDRN CC(F)(F)COC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000861227271 637124609 /nfs/dbraw/zinc/12/46/09/637124609.db2.gz ZANBODWTCIICOE-UHFFFAOYSA-N 0 0 298.245 2.748 20 5 CFBDRN CCOc1ccc(C(=O)OCC(C)(F)F)cc1[N+](=O)[O-] ZINC000861227929 637124687 /nfs/dbraw/zinc/12/46/87/637124687.db2.gz QMFMTZZNSWLUQY-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CCCCCO1 ZINC000861248601 637132330 /nfs/dbraw/zinc/13/23/30/637132330.db2.gz QOUHBOVTEMLXIS-UHFFFAOYSA-N 0 0 284.699 2.806 20 5 CFBDRN CCOCCCOC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000118153532 637142923 /nfs/dbraw/zinc/14/29/23/637142923.db2.gz XWMRLSCXPUUULV-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN O=C(O[C@H]1CCCc2ccc(Cl)cc21)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000861404893 637191097 /nfs/dbraw/zinc/19/10/97/637191097.db2.gz FFIIDFMQXLNUHT-UPJWGTAASA-N 0 0 295.722 2.926 20 5 CFBDRN O=C(NOCC1CC1)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000861822876 637240595 /nfs/dbraw/zinc/24/05/95/637240595.db2.gz AXUVMJDTOOAQIQ-UHFFFAOYSA-N 0 0 286.287 2.819 20 5 CFBDRN CC(=O)CCCCCCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000862072189 637269800 /nfs/dbraw/zinc/26/98/00/637269800.db2.gz XKYQFLUDDFQUOC-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1C[C@@H]2C[C@@H]2C1 ZINC000862440992 637381083 /nfs/dbraw/zinc/38/10/83/637381083.db2.gz NJNLMSHJFZBCAL-DTORHVGOSA-N 0 0 252.701 2.700 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC2(C3CC3)CC2)c1[N+](=O)[O-] ZINC000118953090 637390848 /nfs/dbraw/zinc/39/08/48/637390848.db2.gz FAUCBUIVHJDAPT-UHFFFAOYSA-N 0 0 292.310 2.962 20 5 CFBDRN COc1cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1C ZINC000862776876 637489130 /nfs/dbraw/zinc/48/91/30/637489130.db2.gz UADNRRQPMCKUQC-UHFFFAOYSA-N 0 0 280.324 2.778 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000862899936 637519439 /nfs/dbraw/zinc/51/94/39/637519439.db2.gz DOKGJHMDUZJGNE-UHFFFAOYSA-N 0 0 290.241 2.817 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(Cn2cc([N+](=O)[O-])c(C)n2)C1 ZINC000863341133 637631148 /nfs/dbraw/zinc/63/11/48/637631148.db2.gz PRPLIPNUKIPBTL-DHCBQETCSA-N 0 0 278.356 2.735 20 5 CFBDRN CC1(C)CC(Cn2cc([N+](=O)[O-])nc2Br)C1 ZINC000863347752 637635347 /nfs/dbraw/zinc/63/53/47/637635347.db2.gz HFQVFXZTMQUPPK-UHFFFAOYSA-N 0 0 288.145 2.990 20 5 CFBDRN CCOCSCCOc1ccc([N+](=O)[O-])cc1 ZINC000863352986 637638098 /nfs/dbraw/zinc/63/80/98/637638098.db2.gz RAICOXDPTIYTIY-UHFFFAOYSA-N 0 0 257.311 2.701 20 5 CFBDRN Cc1sc(C(=O)N(C)OCC(F)(F)F)cc1[N+](=O)[O-] ZINC000863849377 637795844 /nfs/dbraw/zinc/79/58/44/637795844.db2.gz YXCMFGFKVBKCNS-UHFFFAOYSA-N 0 0 298.242 2.531 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864446871 637982942 /nfs/dbraw/zinc/98/29/42/637982942.db2.gz SMOJAQGIKMFLGZ-SNVBAGLBSA-N 0 0 280.299 2.677 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864447683 637983754 /nfs/dbraw/zinc/98/37/54/637983754.db2.gz OTLDKIOPGNJUSH-ZWNOBZJWSA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000864822295 638102019 /nfs/dbraw/zinc/10/20/19/638102019.db2.gz FHBVEKGPSPYOFB-YXCITZCRSA-N 0 0 288.347 2.845 20 5 CFBDRN CC(C)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000120474627 638248444 /nfs/dbraw/zinc/24/84/44/638248444.db2.gz NMZGCOKXWQYICM-UHFFFAOYSA-N 0 0 251.282 2.727 20 5 CFBDRN COC[C@@H](CSCc1ccc(C)c([N+](=O)[O-])c1)OC ZINC000865283291 638256257 /nfs/dbraw/zinc/25/62/57/638256257.db2.gz RVEXFXQLQUENEB-LBPRGKRZSA-N 0 0 285.365 2.798 20 5 CFBDRN C[C@H](Cn1cc([N+](=O)[O-])ccc1=O)CC(C)(C)C ZINC000865340935 638273045 /nfs/dbraw/zinc/27/30/45/638273045.db2.gz BYBODOUPSYJXSZ-JTQLQIEISA-N 0 0 252.314 2.829 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCOC1CCOCC1 ZINC000865346149 638275159 /nfs/dbraw/zinc/27/51/59/638275159.db2.gz JNMGXWWRZCBBHD-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H](C)C(=O)C(C)(C)C ZINC000865352950 638278981 /nfs/dbraw/zinc/27/89/81/638278981.db2.gz DDRQDQYWDXHJOM-VIFPVBQESA-N 0 0 281.308 2.986 20 5 CFBDRN C[C@H](Cn1cccc([N+](=O)[O-])c1=O)CC(C)(C)C ZINC000865354246 638279746 /nfs/dbraw/zinc/27/97/46/638279746.db2.gz POIYGDDWOYMPTA-JTQLQIEISA-N 0 0 252.314 2.829 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCOC1CCOCC1 ZINC000865359200 638282975 /nfs/dbraw/zinc/28/29/75/638282975.db2.gz GZJRYUHRQVRVSO-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN C[C@@H]1OCC[C@H]1COc1ccc([N+](=O)[O-])c(F)c1F ZINC000865368609 638288186 /nfs/dbraw/zinc/28/81/86/638288186.db2.gz BBZZOTVMYBAZFR-YUMQZZPRSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC2CCCC2)cc1F ZINC000865400585 638309925 /nfs/dbraw/zinc/30/99/25/638309925.db2.gz JLGSTLRBGRFNRY-UHFFFAOYSA-N 0 0 254.261 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1N1CCOCC1 ZINC000865399543 638309976 /nfs/dbraw/zinc/30/99/76/638309976.db2.gz QNPRPRYIVBCOOM-UHFFFAOYSA-N 0 0 277.107 2.738 20 5 CFBDRN Cc1nc(Sc2cc(F)c([N+](=O)[O-])cc2CO)oc1C ZINC000865426245 638328073 /nfs/dbraw/zinc/32/80/73/638328073.db2.gz IGXKYHCPJRKFPS-UHFFFAOYSA-N 0 0 298.295 2.982 20 5 CFBDRN C[S@](=O)CCNc1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000865542838 638410535 /nfs/dbraw/zinc/41/05/35/638410535.db2.gz YAVRDQRLOXDZFS-KRWDZBQOSA-N 0 0 297.163 2.692 20 5 CFBDRN CC1(CNc2cc(F)c([N+](=O)[O-])cc2CO)CCC1 ZINC000865594638 638447155 /nfs/dbraw/zinc/44/71/55/638447155.db2.gz ROZIIUGIQWXELT-UHFFFAOYSA-N 0 0 268.288 2.828 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(c1ccc(F)nn1)C1CC1 ZINC000865629289 638474458 /nfs/dbraw/zinc/47/44/58/638474458.db2.gz ZJBASAVDGYEGMW-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN CC1(CNC(=O)Cc2cccc(F)c2[N+](=O)[O-])CCCC1 ZINC000865630380 638475616 /nfs/dbraw/zinc/47/56/16/638475616.db2.gz MCMOWGFSEMGXDI-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)C[C@H](C)O1 ZINC000865653517 638490029 /nfs/dbraw/zinc/49/00/29/638490029.db2.gz QITWMKHZZGKPFK-DOFRTFSJSA-N 0 0 298.314 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(OC(F)F)C2)c(C(F)F)c1 ZINC000865709451 638528140 /nfs/dbraw/zinc/52/81/40/638528140.db2.gz IOGHKQXSTSCSNU-UHFFFAOYSA-N 0 0 294.204 2.960 20 5 CFBDRN CC(C)([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000865745741 638549582 /nfs/dbraw/zinc/54/95/82/638549582.db2.gz JXLUNZRWCCRHQP-AWEZNQCLSA-N 0 0 294.351 2.738 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2C[C@H]2C(F)(F)F)cc1F ZINC000865759021 638557248 /nfs/dbraw/zinc/55/72/48/638557248.db2.gz RPCBEBUIYJVPJG-HZGVNTEJSA-N 0 0 294.204 2.589 20 5 CFBDRN Cc1ccc(C[NH2+]Cc2cnc(C3CC3)nc2)cc1[N+](=O)[O-] ZINC000865787826 638574761 /nfs/dbraw/zinc/57/47/61/638574761.db2.gz SUNLCDMZIJBEPU-UHFFFAOYSA-N 0 0 298.346 2.860 20 5 CFBDRN Cn1cc(C(F)F)c(CNCc2cccc([N+](=O)[O-])c2)n1 ZINC000865809749 638585864 /nfs/dbraw/zinc/58/58/64/638585864.db2.gz ATVJJFWTPXLNGQ-UHFFFAOYSA-N 0 0 296.277 2.556 20 5 CFBDRN CCCSCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000077583381 650433615 /nfs/dbraw/zinc/43/36/15/650433615.db2.gz OEUDPMUWIPHABM-UHFFFAOYSA-N 0 0 290.291 2.955 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC[C@@H]2C[C@H]2C2CC2)cc1F ZINC000865862276 638623019 /nfs/dbraw/zinc/62/30/19/638623019.db2.gz IDHLWYOMRYPAPD-ONGXEEELSA-N 0 0 280.299 2.684 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1F ZINC000865901991 638651127 /nfs/dbraw/zinc/65/11/27/638651127.db2.gz LJONNUIFLACZIA-FXPVBKGRSA-N 0 0 280.299 2.827 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]2C(F)(F)F)c([N+](=O)[O-])c1 ZINC000865923680 638659447 /nfs/dbraw/zinc/65/94/47/638659447.db2.gz VAIWBPXZDATEDA-SECBINFHSA-N 0 0 291.185 2.644 20 5 CFBDRN CC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])C(OC)OC ZINC000865923867 638659860 /nfs/dbraw/zinc/65/98/60/638659860.db2.gz CTGWGOYALGFWMV-VIFPVBQESA-N 0 0 272.276 2.543 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2cc(F)c([N+](=O)[O-])cc2CO)O1 ZINC000865943562 638669533 /nfs/dbraw/zinc/66/95/33/638669533.db2.gz UGEUAFVDJDHLKS-KOLCDFICSA-N 0 0 298.314 2.596 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000866041613 638707172 /nfs/dbraw/zinc/70/71/72/638707172.db2.gz WYQWBYLQLQNFOX-IPURGOFSSA-N 0 0 278.308 2.775 20 5 CFBDRN Cc1cc(N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)c([N+](=O)[O-])s1 ZINC000866045089 638709887 /nfs/dbraw/zinc/70/98/87/638709887.db2.gz SKBHNMUXSJBSMZ-ORZSEXNPSA-N 0 0 280.349 2.800 20 5 CFBDRN Cc1cc(N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)c(F)cc1[N+](=O)[O-] ZINC000866046259 638710900 /nfs/dbraw/zinc/71/09/00/638710900.db2.gz XFGIVFFJISXTJF-UTSHJETRSA-N 0 0 292.310 2.878 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC=C(Cl)C1 ZINC000866062451 638718420 /nfs/dbraw/zinc/71/84/20/638718420.db2.gz LQKVEDMLVAVPJO-UHFFFAOYSA-N 0 0 281.699 2.955 20 5 CFBDRN O=[N+]([O-])c1c(Nc2cnn3c2CCCC3)nc2ccccn21 ZINC000866066348 638720474 /nfs/dbraw/zinc/72/04/74/638720474.db2.gz IFUROIWBDASVMP-UHFFFAOYSA-N 0 0 298.306 2.519 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])cc2)C1 ZINC000866070111 638722410 /nfs/dbraw/zinc/72/24/10/638722410.db2.gz TWVSNMPORSQJQN-GWCFXTLKSA-N 0 0 250.298 2.599 20 5 CFBDRN CS[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000866102858 638739217 /nfs/dbraw/zinc/73/92/17/638739217.db2.gz SQFLTQUNDZOOQZ-KYZUINATSA-N 0 0 253.327 2.604 20 5 CFBDRN COC(C)(C)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000866127022 638750042 /nfs/dbraw/zinc/75/00/42/638750042.db2.gz PGFLLGPMUPCYPV-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C2CCC2)[C@H]2CCOC2)n1 ZINC000866141695 638755674 /nfs/dbraw/zinc/75/56/74/638755674.db2.gz MIQBNJSRAMUOAR-GXTWGEPZSA-N 0 0 291.351 2.915 20 5 CFBDRN C[C@]1(C(F)(F)F)CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000866159521 638760836 /nfs/dbraw/zinc/76/08/36/638760836.db2.gz LASNSNBXFQMSAA-SECBINFHSA-N 0 0 296.270 2.814 20 5 CFBDRN C[C@@]1(C(F)(F)F)CN(c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000866160307 638760921 /nfs/dbraw/zinc/76/09/21/638760921.db2.gz VEYUVAWTWFVOBJ-NSHDSACASA-N 0 0 290.241 2.752 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC3(CO2)CCOCC3)s1 ZINC000866177141 638764390 /nfs/dbraw/zinc/76/43/90/638764390.db2.gz XXXPSYQJLCBROV-JTQLQIEISA-N 0 0 298.364 2.654 20 5 CFBDRN Cc1ccc(CNc2ccc([C@H](C)O)cn2)cc1[N+](=O)[O-] ZINC000866182428 638764808 /nfs/dbraw/zinc/76/48/08/638764808.db2.gz YDVQAAWNPVOZGW-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN Cc1cc(NC[C@H]2c3ccccc3CN2C)ncc1[N+](=O)[O-] ZINC000866194821 638767441 /nfs/dbraw/zinc/76/74/41/638767441.db2.gz KKCPFMVEYDZPKV-HNNXBMFYSA-N 0 0 298.346 2.897 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2c3ccccc3CN2C)n1 ZINC000866195375 638767561 /nfs/dbraw/zinc/76/75/61/638767561.db2.gz SKPKUYWRJDIGPC-OAHLLOKOSA-N 0 0 298.346 2.897 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H]2CSC[C@@H]2C1 ZINC000866201457 638768741 /nfs/dbraw/zinc/76/87/41/638768741.db2.gz NMMWSXBFGBPOJF-QWRGUYRKSA-N 0 0 294.376 2.793 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866204532 638769679 /nfs/dbraw/zinc/76/96/79/638769679.db2.gz XTRQTQGPYZHMQF-BDAKNGLRSA-N 0 0 270.379 2.846 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@H]2CSC[C@H]2C1 ZINC000866204533 638769932 /nfs/dbraw/zinc/76/99/32/638769932.db2.gz XTRQTQGPYZHMQF-DTWKUNHWSA-N 0 0 270.379 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3CSC[C@@H]3C2)cc1 ZINC000866205185 638770037 /nfs/dbraw/zinc/77/00/37/638770037.db2.gz BQLNDTSYXKVQRK-MNOVXSKESA-N 0 0 264.350 2.784 20 5 CFBDRN CCOc1cc(N[C@@H](C)c2ccnn2C)ccc1[N+](=O)[O-] ZINC000866251948 638780480 /nfs/dbraw/zinc/78/04/80/638780480.db2.gz TVAKXONGRCOJLG-JTQLQIEISA-N 0 0 290.323 2.900 20 5 CFBDRN COc1cc(N[C@H](C)c2ccnn2C)c(F)cc1[N+](=O)[O-] ZINC000866252138 638780603 /nfs/dbraw/zinc/78/06/03/638780603.db2.gz VLSJEAUPGVZNBX-MRVPVSSYSA-N 0 0 294.286 2.649 20 5 CFBDRN C[C@H]1CCC[C@H](C2CN(c3ncc([N+](=O)[O-])cn3)C2)C1 ZINC000866254748 638781649 /nfs/dbraw/zinc/78/16/49/638781649.db2.gz XYFMWOBYQQLVPD-QWRGUYRKSA-N 0 0 276.340 2.647 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1c(OC)cccc1[N+](=O)[O-] ZINC000866301642 638791949 /nfs/dbraw/zinc/79/19/49/638791949.db2.gz QAXFURYTJDMHSX-NXEZZACHSA-N 0 0 268.313 2.565 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000866302085 638792402 /nfs/dbraw/zinc/79/24/02/638792402.db2.gz YKTOIZMOCYJEJP-BDAKNGLRSA-N 0 0 286.303 2.704 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866482203 638828701 /nfs/dbraw/zinc/82/87/01/638828701.db2.gz VPCBDDIRUUHPLT-SNVBAGLBSA-N 0 0 280.299 2.535 20 5 CFBDRN CCN(C(=O)NCc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000867785637 638897933 /nfs/dbraw/zinc/89/79/33/638897933.db2.gz RWFJIRYVXOKMIV-UHFFFAOYSA-N 0 0 279.340 2.843 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC[C@@H]1F ZINC000868074603 638921155 /nfs/dbraw/zinc/92/11/55/638921155.db2.gz MUEHHZBTMACZRN-QWHCGFSZSA-N 0 0 295.314 2.675 20 5 CFBDRN Cc1ccc(CNC(=O)NCCC2CCC2)cc1[N+](=O)[O-] ZINC000868387875 638941577 /nfs/dbraw/zinc/94/15/77/638941577.db2.gz UNIUNXLKNFMPJL-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@@H]1C(F)F ZINC000868609796 638961551 /nfs/dbraw/zinc/96/15/51/638961551.db2.gz OZGMOIUESDPBJH-SECBINFHSA-N 0 0 288.225 2.522 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868848838 638985962 /nfs/dbraw/zinc/98/59/62/638985962.db2.gz ZNLHTXZXVDWTFW-DOMZBBRYSA-N 0 0 294.326 2.827 20 5 CFBDRN Cc1ccc(CNC(=O)N2CC[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000869052843 639014676 /nfs/dbraw/zinc/01/46/76/639014676.db2.gz FOOXSKKWAARCIR-PWSUYJOCSA-N 0 0 291.351 2.843 20 5 CFBDRN Cc1ccc(CNC(=O)N2CC[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000869052844 639014790 /nfs/dbraw/zinc/01/47/90/639014790.db2.gz FOOXSKKWAARCIR-ZYHUDNBSSA-N 0 0 291.351 2.843 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC000869066140 639020885 /nfs/dbraw/zinc/02/08/85/639020885.db2.gz GKKICCDYFYPNJJ-JTLRNRKASA-N 0 0 289.335 2.867 20 5 CFBDRN C[C@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000869314863 639166426 /nfs/dbraw/zinc/16/64/26/639166426.db2.gz UBKXNNZORCLECU-JTQLQIEISA-N 0 0 293.319 2.684 20 5 CFBDRN CCCCC(=O)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000869315526 639169289 /nfs/dbraw/zinc/16/92/89/639169289.db2.gz AGAQANKNLJPUHS-YFHOEESVSA-N 0 0 291.303 2.911 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000869326694 639179157 /nfs/dbraw/zinc/17/91/57/639179157.db2.gz WELOKVZBOPVOMX-NSHDSACASA-N 0 0 294.326 2.781 20 5 CFBDRN Cc1ccc(COC(=O)C2(O)CCCCC2)cc1[N+](=O)[O-] ZINC000869340817 639186774 /nfs/dbraw/zinc/18/67/74/639186774.db2.gz NHQDIQHSSYSHJL-UHFFFAOYSA-N 0 0 293.319 2.642 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000869370978 639200441 /nfs/dbraw/zinc/20/04/41/639200441.db2.gz NHYSYXPMDUIIEL-SNVBAGLBSA-N 0 0 297.282 2.706 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000869383209 639204596 /nfs/dbraw/zinc/20/45/96/639204596.db2.gz IZZDFBYXQZMZNK-SNVBAGLBSA-N 0 0 297.282 2.706 20 5 CFBDRN C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)C(=O)C(C)(C)C ZINC000869415995 639218935 /nfs/dbraw/zinc/21/89/35/639218935.db2.gz QEZCEUGAULDTDZ-SNVBAGLBSA-N 0 0 293.319 2.684 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@@H]2CCO[C@H]2C)c1[N+](=O)[O-] ZINC000869430824 639226522 /nfs/dbraw/zinc/22/65/22/639226522.db2.gz LOCQDJICGPFJJJ-UWVGGRQHSA-N 0 0 297.282 2.624 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000869467744 639244252 /nfs/dbraw/zinc/24/42/52/639244252.db2.gz YCORPOFMWGGEEA-IMRBUKKESA-N 0 0 275.304 2.993 20 5 CFBDRN O=C(Cc1cccs1)Oc1cccc([N+](=O)[O-])c1 ZINC000078233902 650482787 /nfs/dbraw/zinc/48/27/87/650482787.db2.gz RNZKYHOCBCGJGU-UHFFFAOYSA-N 0 0 263.274 2.804 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000869653464 639341733 /nfs/dbraw/zinc/34/17/33/639341733.db2.gz KSHNJDRQJSMRKG-KBVBSXBZSA-N 0 0 297.282 2.591 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869812068 639417337 /nfs/dbraw/zinc/41/73/37/639417337.db2.gz OPESOTJLKYFQMV-LLVKDONJSA-N 0 0 291.303 2.537 20 5 CFBDRN CCC(=O)COC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869811956 639417885 /nfs/dbraw/zinc/41/78/85/639417885.db2.gz WNXLTDZUPRPGCY-UHFFFAOYSA-N 0 0 291.303 2.539 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897028 639459173 /nfs/dbraw/zinc/45/91/73/639459173.db2.gz LCIWBWWMQLWFAG-VIFPVBQESA-N 0 0 295.266 2.650 20 5 CFBDRN O=C(CCc1ccco1)OCc1ccc([N+](=O)[O-])cc1 ZINC000078456290 650504061 /nfs/dbraw/zinc/50/40/61/650504061.db2.gz XCXIFXZAVAJCNN-UHFFFAOYSA-N 0 0 275.260 2.864 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC000870134957 639527648 /nfs/dbraw/zinc/52/76/48/639527648.db2.gz QDCQIWISEQKOMD-HJQYOEGKSA-N 0 0 291.303 2.801 20 5 CFBDRN COc1ccc2cc(C(=O)OC[C@@H](C)[N+](=O)[O-])sc2c1 ZINC000870377973 639616366 /nfs/dbraw/zinc/61/63/66/639616366.db2.gz SXEYNFLTVUSMAI-MRVPVSSYSA-N 0 0 295.316 2.732 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCc1ccc(C(F)F)cc1 ZINC000870386117 639618821 /nfs/dbraw/zinc/61/88/21/639618821.db2.gz ACOFMNPHILJZFU-VIFPVBQESA-N 0 0 287.262 2.765 20 5 CFBDRN COc1cc(C)cc(C(=O)OCC(C)(C)[N+](=O)[O-])c1C ZINC000870467228 639652484 /nfs/dbraw/zinc/65/24/84/639652484.db2.gz CBIBTJWYXJPIEE-UHFFFAOYSA-N 0 0 281.308 2.524 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000870577787 639731317 /nfs/dbraw/zinc/73/13/17/639731317.db2.gz AAVDORUZTULMEI-PHIMTYICSA-N 0 0 281.333 2.954 20 5 CFBDRN COc1cccc(C(=O)O[C@H]2C[C@@H](SC)C2)c1[N+](=O)[O-] ZINC000870579441 639732866 /nfs/dbraw/zinc/73/28/66/639732866.db2.gz IVWNVVGYZDVVRH-DTORHVGOSA-N 0 0 297.332 2.654 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000870717030 639787112 /nfs/dbraw/zinc/78/71/12/639787112.db2.gz XGSGUKJHFMTCLX-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN Cn1c(Cl)cnc1COc1ccc([N+](=O)[O-])cc1F ZINC000192799909 650598218 /nfs/dbraw/zinc/59/82/18/650598218.db2.gz NYZZCVRTECRNSC-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN C[C@H]1CC=CC[C@H]1COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000192897154 650606810 /nfs/dbraw/zinc/60/68/10/650606810.db2.gz AARDHVBGTGXMJO-UWVGGRQHSA-N 0 0 265.265 2.947 20 5 CFBDRN C[C@@H](C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1)C(C)(C)C ZINC000870830064 641091568 /nfs/dbraw/zinc/09/15/68/641091568.db2.gz VSFYHQUJXIYYNR-BMQDGWLCSA-N 0 0 295.339 2.720 20 5 CFBDRN O=C(NCC1CCC2(CC2)CC1)c1ccc([N+](=O)[O-])o1 ZINC000871014937 641150223 /nfs/dbraw/zinc/15/02/23/641150223.db2.gz KATMKTKGCKBDMY-UHFFFAOYSA-N 0 0 278.308 2.888 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC[C@H]1CCCS1 ZINC000871023879 641158109 /nfs/dbraw/zinc/15/81/09/641158109.db2.gz KEVLGVXLVDNAAL-GFCCVEGCSA-N 0 0 294.376 2.539 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC[C@@H]1CCCS1 ZINC000871023473 641158329 /nfs/dbraw/zinc/15/83/29/641158329.db2.gz FBBIXUFLGCCXKT-ZDUSSCGKSA-N 0 0 294.376 2.539 20 5 CFBDRN CC(C)(NC(=O)c1cccc([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000871164068 641226069 /nfs/dbraw/zinc/22/60/69/641226069.db2.gz RQMZRKZHEQHKSD-CYBMUJFWSA-N 0 0 292.335 2.672 20 5 CFBDRN C/C=C(/C)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000123524477 641254934 /nfs/dbraw/zinc/25/49/34/641254934.db2.gz VWIQJMQIILUACA-KMKOMSMNSA-N 0 0 286.291 2.685 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nccn1CC(F)F ZINC000871630801 641374634 /nfs/dbraw/zinc/37/46/34/641374634.db2.gz SNOBAVDAZYPUJR-UHFFFAOYSA-N 0 0 283.234 2.635 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000871630655 641374657 /nfs/dbraw/zinc/37/46/57/641374657.db2.gz NATKZUQEAQJECA-MDZLAQPJSA-N 0 0 263.293 2.931 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cc(C(F)(F)F)co1 ZINC000871649311 641381221 /nfs/dbraw/zinc/38/12/21/641381221.db2.gz GWYJJXMALUZPPH-UHFFFAOYSA-N 0 0 275.186 2.760 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@H]3CCC[C@H]3O2)c1 ZINC000871651379 641382329 /nfs/dbraw/zinc/38/23/29/641382329.db2.gz DKSSHZGSKHOUTM-MBNYWOFBSA-N 0 0 291.303 2.744 20 5 CFBDRN CO[C@H]1C[C@@H](COc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000871664628 641388699 /nfs/dbraw/zinc/38/86/99/641388699.db2.gz YWDOXJIZLDJSSK-KLPPZKSPSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2C[C@H]3CCC[C@@H]3O2)nc1C1CC1 ZINC000871667290 641392688 /nfs/dbraw/zinc/39/26/88/641392688.db2.gz GOBLLPKDAPVAME-MDZLAQPJSA-N 0 0 277.324 2.626 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000123826763 641481903 /nfs/dbraw/zinc/48/19/03/641481903.db2.gz FRLMHOFNNPAOBR-VIFPVBQESA-N 0 0 267.281 2.806 20 5 CFBDRN Cc1nnc(NCc2c(C)ccc([N+](=O)[O-])c2C)o1 ZINC000871862211 641487373 /nfs/dbraw/zinc/48/73/73/641487373.db2.gz VIFRYBHKGLHZBH-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN Cc1c(C[NH2+][C@@H](C)[C@@H](C(=O)[O-])C(C)C)cccc1[N+](=O)[O-] ZINC000871874741 641493171 /nfs/dbraw/zinc/49/31/71/641493171.db2.gz UEJXGDBBGZTMJI-FZMZJTMJSA-N 0 0 294.351 2.738 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)[O-])C[C@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000871876272 641493745 /nfs/dbraw/zinc/49/37/45/641493745.db2.gz WSAFYPNBMCODTL-MPKXVKKWSA-N 0 0 292.335 2.574 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2)C(=O)C2(CCC2)C1 ZINC000871948467 641523230 /nfs/dbraw/zinc/52/32/30/641523230.db2.gz OPLHWLXDVMLJTC-UHFFFAOYSA-N 0 0 273.292 2.878 20 5 CFBDRN C[C@H](NC[C@H](O)c1ccco1)c1c(F)cccc1[N+](=O)[O-] ZINC000872019964 641551144 /nfs/dbraw/zinc/55/11/44/641551144.db2.gz BDMSUJKXKPIFRA-CABZTGNLSA-N 0 0 294.282 2.711 20 5 CFBDRN C[C@@H](NCCOCC1CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000872035337 641567687 /nfs/dbraw/zinc/56/76/87/641567687.db2.gz LZMRPNJBBRXRFC-SNVBAGLBSA-N 0 0 282.315 2.811 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2c(F)cccc2[N+](=O)[O-])[nH]n1 ZINC000872039315 641573093 /nfs/dbraw/zinc/57/30/93/641573093.db2.gz SHXBNYWXNRGWIF-VIFPVBQESA-N 0 0 278.287 2.616 20 5 CFBDRN C[C@@H](N[C@H]1CCn2ccnc21)c1c(F)cccc1[N+](=O)[O-] ZINC000872075144 641606890 /nfs/dbraw/zinc/60/68/90/641606890.db2.gz OUXVWFATLWLPPX-KOLCDFICSA-N 0 0 290.298 2.726 20 5 CFBDRN C[C@H](N[C@H]1CCn2ccnc21)c1c(F)cccc1[N+](=O)[O-] ZINC000872075146 641606933 /nfs/dbraw/zinc/60/69/33/641606933.db2.gz OUXVWFATLWLPPX-ONGXEEELSA-N 0 0 290.298 2.726 20 5 CFBDRN C[C@@H](N[C@@H]1CCn2ccnc21)c1ccccc1[N+](=O)[O-] ZINC000872129850 641657883 /nfs/dbraw/zinc/65/78/83/641657883.db2.gz ZUOKCTIBBKRLDL-ZYHUDNBSSA-N 0 0 272.308 2.587 20 5 CFBDRN CC(C)(O)[C@@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000872676170 641894108 /nfs/dbraw/zinc/89/41/08/641894108.db2.gz PRNSVIQMNQFYBE-SECBINFHSA-N 0 0 270.354 2.644 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000872697236 641900959 /nfs/dbraw/zinc/90/09/59/641900959.db2.gz HZFKTGHLAWBDHD-GVXVVHGQSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1nc(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)ccc1[N+](=O)[O-] ZINC000872698455 641903045 /nfs/dbraw/zinc/90/30/45/641903045.db2.gz XOYNQAKVBCUYFW-GVXVVHGQSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@]1(C(F)(F)F)CCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000872728955 641914667 /nfs/dbraw/zinc/91/46/67/641914667.db2.gz GSDMNWLAXNHTKP-NSHDSACASA-N 0 0 289.257 2.956 20 5 CFBDRN COC(=O)CC[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000872749933 641919996 /nfs/dbraw/zinc/91/99/96/641919996.db2.gz LHMZZUQLEVBBCQ-GFCCVEGCSA-N 0 0 292.335 2.764 20 5 CFBDRN COC(=O)CC[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000872749934 641920015 /nfs/dbraw/zinc/92/00/15/641920015.db2.gz LHMZZUQLEVBBCQ-LBPRGKRZSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1nccnc1[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000872769524 641927141 /nfs/dbraw/zinc/92/71/41/641927141.db2.gz VVUWYXOSAVNGDS-SNVBAGLBSA-N 0 0 273.296 2.570 20 5 CFBDRN CC[C@H](C)COC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000124269101 641945089 /nfs/dbraw/zinc/94/50/89/641945089.db2.gz SENCUJWAQUGZSV-VIFPVBQESA-N 0 0 267.281 2.806 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000872960024 641972095 /nfs/dbraw/zinc/97/20/95/641972095.db2.gz KHLAHJJWVXKCDV-GWCFXTLKSA-N 0 0 289.335 2.898 20 5 CFBDRN Cc1c(CNc2cnn(CC(F)F)c2)cccc1[N+](=O)[O-] ZINC000873079322 642007523 /nfs/dbraw/zinc/00/75/23/642007523.db2.gz DROJUKGJFALKDN-UHFFFAOYSA-N 0 0 296.277 2.977 20 5 CFBDRN CC[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(C)=O ZINC000873318051 642134530 /nfs/dbraw/zinc/13/45/30/642134530.db2.gz HNGLSJWJJDKMSA-LLVKDONJSA-N 0 0 285.683 2.773 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2CCC[C@H]2O1)c1ccc([N+](=O)[O-])cc1 ZINC000873339776 642141727 /nfs/dbraw/zinc/14/17/27/642141727.db2.gz KTZNFUUVUOUVIT-KWCYVHTRSA-N 0 0 291.303 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OC[C@H]1CCO[C@H]1C ZINC000873438223 642205191 /nfs/dbraw/zinc/20/51/91/642205191.db2.gz FQGGHFLKDJVBBA-NWDGAFQWSA-N 0 0 293.319 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC1CCOCC1 ZINC000873438628 642205820 /nfs/dbraw/zinc/20/58/20/642205820.db2.gz SOUWWUHITOXXHT-UHFFFAOYSA-N 0 0 293.319 2.795 20 5 CFBDRN CC1(C(=O)Oc2cccc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000873850018 642299947 /nfs/dbraw/zinc/29/99/47/642299947.db2.gz ARPVREDXEUYMKJ-UHFFFAOYSA-N 0 0 271.219 2.936 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2N)cc1 ZINC000195136389 650739130 /nfs/dbraw/zinc/73/91/30/650739130.db2.gz RFDDQFVGRJSFAT-LLVKDONJSA-N 0 0 299.330 2.976 20 5 CFBDRN CCN(Cc1cc(F)ccc1[N+](=O)[O-])C[C@H]1CCCO1 ZINC000195311075 650745933 /nfs/dbraw/zinc/74/59/33/650745933.db2.gz BRTGNASBILCOLM-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN C[C@H](NC(=O)[C@H]1O[C@H](C)C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000874625405 642427935 /nfs/dbraw/zinc/42/79/35/642427935.db2.gz PEMDUUDCIDJEJO-PUHVVEEASA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000874625106 642428306 /nfs/dbraw/zinc/42/83/06/642428306.db2.gz CCHPASBYDGOGMX-LSCVPOLPSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCO[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000874625665 642429178 /nfs/dbraw/zinc/42/91/78/642429178.db2.gz LCWNZRNRMRRLFK-QJPTWQEYSA-N 0 0 292.335 2.587 20 5 CFBDRN CCC1(CC)CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000874626547 642429332 /nfs/dbraw/zinc/42/93/32/642429332.db2.gz AQDAQWVRAAZVTL-UHFFFAOYSA-N 0 0 279.340 2.728 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000874778787 642478155 /nfs/dbraw/zinc/47/81/55/642478155.db2.gz SEKZACYBNRPEFN-ZDUSSCGKSA-N 0 0 288.347 2.931 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000874778785 642478402 /nfs/dbraw/zinc/47/84/02/642478402.db2.gz SEKZACYBNRPEFN-CYBMUJFWSA-N 0 0 288.347 2.931 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC12CCC2 ZINC000874779719 642480097 /nfs/dbraw/zinc/48/00/97/642480097.db2.gz IPFIZWVOVPEGSH-LLVKDONJSA-N 0 0 260.293 2.576 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CC23CCC3)c1[N+](=O)[O-] ZINC000874781781 642481885 /nfs/dbraw/zinc/48/18/85/642481885.db2.gz ASVNTELEBGRERW-LLVKDONJSA-N 0 0 278.283 2.715 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000874783371 642484186 /nfs/dbraw/zinc/48/41/86/642484186.db2.gz KWMWDVASECLXFM-LLVKDONJSA-N 0 0 280.711 2.921 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000874785177 642486216 /nfs/dbraw/zinc/48/62/16/642486216.db2.gz DHRVNNRKZLPAFH-CYBMUJFWSA-N 0 0 285.303 2.749 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000874790938 642491888 /nfs/dbraw/zinc/49/18/88/642491888.db2.gz DDNSMYGSGYHVFP-LLVKDONJSA-N 0 0 280.711 2.921 20 5 CFBDRN CCn1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2C)c1C ZINC000874818759 642506403 /nfs/dbraw/zinc/50/64/03/642506403.db2.gz URRGOBMJXIDUBX-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CN(Cc1cc2ccccc2o1)Cn1cc([N+](=O)[O-])cn1 ZINC000083114026 650760083 /nfs/dbraw/zinc/76/00/83/650760083.db2.gz KJTMTIHDDRXDEE-UHFFFAOYSA-N 0 0 286.291 2.627 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000875250440 642599685 /nfs/dbraw/zinc/59/96/85/642599685.db2.gz FPTXDDZCFFAMQZ-GRLWKWRFSA-N 0 0 278.283 2.965 20 5 CFBDRN CCOC(C)(C)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000875525693 642635362 /nfs/dbraw/zinc/63/53/62/642635362.db2.gz IXGOSEIVVOPZQF-UHFFFAOYSA-N 0 0 292.335 2.607 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1C[C@@H](C)O[C@H]1C ZINC000875675627 642663972 /nfs/dbraw/zinc/66/39/72/642663972.db2.gz WKNYLDHKXDCCHQ-FKTZTGRPSA-N 0 0 296.298 2.794 20 5 CFBDRN COC(C)(C)CCNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000876080589 642768585 /nfs/dbraw/zinc/76/85/85/642768585.db2.gz FTFGBTWHRAWOOC-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1ccc(CNC(=O)N2[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000876169327 642784840 /nfs/dbraw/zinc/78/48/40/642784840.db2.gz TZSNZPPYMWSAOZ-GHMZBOCLSA-N 0 0 277.324 2.596 20 5 CFBDRN CO[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C(C)(C)C ZINC000876340784 642834506 /nfs/dbraw/zinc/83/45/06/642834506.db2.gz RIANTOKIHQXRIN-SECBINFHSA-N 0 0 280.324 2.866 20 5 CFBDRN COCCCCCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000125952867 642871074 /nfs/dbraw/zinc/87/10/74/642871074.db2.gz NPUOGKFLHVEGPT-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN CCSCCOc1ccc([N+](=O)[O-])cc1C=O ZINC000126009341 642917435 /nfs/dbraw/zinc/91/74/35/642917435.db2.gz CHVKUHAZRDVJLY-UHFFFAOYSA-N 0 0 255.295 2.539 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1ccns1)C2 ZINC000876738785 642987723 /nfs/dbraw/zinc/98/77/23/642987723.db2.gz AKKYMBKZMVLKFY-UHFFFAOYSA-N 0 0 275.333 2.610 20 5 CFBDRN Cc1cc(CN2CCc3c(cccc3[N+](=O)[O-])C2)sn1 ZINC000876740016 642988985 /nfs/dbraw/zinc/98/89/85/642988985.db2.gz LSAVTTGIUVTOLS-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN Cn1cc(CNc2cccc(C(F)F)c2)c([N+](=O)[O-])n1 ZINC000876857085 643039030 /nfs/dbraw/zinc/03/90/30/643039030.db2.gz LLZSHQQLBGCKMA-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN CSc1ccc(C)c(NCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000876880003 643047130 /nfs/dbraw/zinc/04/71/30/643047130.db2.gz YCDXRRZOEBMFEL-UHFFFAOYSA-N 0 0 292.364 2.971 20 5 CFBDRN CCSCCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000126593754 643357158 /nfs/dbraw/zinc/35/71/58/643357158.db2.gz LNAVCQRVTKSIFN-UHFFFAOYSA-N 0 0 255.295 2.539 20 5 CFBDRN CCc1ccncc1NC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000877614462 643399541 /nfs/dbraw/zinc/39/95/41/643399541.db2.gz YVUNTCALGOVCEQ-UHFFFAOYSA-N 0 0 287.323 2.564 20 5 CFBDRN CSc1c(F)cccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000877622276 643409212 /nfs/dbraw/zinc/40/92/12/643409212.db2.gz JXGSWIKFILISIV-UHFFFAOYSA-N 0 0 296.327 2.801 20 5 CFBDRN Cc1ccc2c(c1)C(=O)N[C@@H](c1ccc([N+](=O)[O-])s1)N2 ZINC000877862736 643611189 /nfs/dbraw/zinc/61/11/89/643611189.db2.gz NMHYTDCRKDXMAM-LBPRGKRZSA-N 0 0 289.316 2.819 20 5 CFBDRN Cc1ccc2c(c1)C(=O)N[C@@H](c1csc([N+](=O)[O-])c1)N2 ZINC000877862354 643611277 /nfs/dbraw/zinc/61/12/77/643611277.db2.gz WDYOEUKGNHVTTF-LBPRGKRZSA-N 0 0 289.316 2.819 20 5 CFBDRN COC[C@@H](COc1ccc(OC)cc1[N+](=O)[O-])C(C)C ZINC000877873146 643613929 /nfs/dbraw/zinc/61/39/29/643613929.db2.gz FNYUFJJMWGKLAV-NSHDSACASA-N 0 0 283.324 2.901 20 5 CFBDRN Cc1nnc(CCOc2cc(C)c([N+](=O)[O-])cc2Cl)o1 ZINC000877884547 643621990 /nfs/dbraw/zinc/62/19/90/643621990.db2.gz ZZYWTDSVUKCXGZ-UHFFFAOYSA-N 0 0 297.698 2.870 20 5 CFBDRN Cc1ccc(OCCc2ccns2)c([N+](=O)[O-])c1 ZINC000877888111 643625209 /nfs/dbraw/zinc/62/52/09/643625209.db2.gz ISAXKEKCJWZCFU-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN O=[N+]([O-])c1cn(CCSC(F)(F)F)nc1C1CC1 ZINC000877888566 643625286 /nfs/dbraw/zinc/62/52/86/643625286.db2.gz BTGKBDDRDDSOHC-UHFFFAOYSA-N 0 0 281.259 2.922 20 5 CFBDRN CC1CC(Cn2cnc(-c3cccc([N+](=O)[O-])c3)n2)C1 ZINC000877890297 643626123 /nfs/dbraw/zinc/62/61/23/643626123.db2.gz XLUWMORZHMIOKV-UHFFFAOYSA-N 0 0 272.308 2.899 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(F)CC1 ZINC000877892743 643627399 /nfs/dbraw/zinc/62/73/99/643627399.db2.gz DNJQLZBODZFEJF-UHFFFAOYSA-N 0 0 297.286 2.569 20 5 CFBDRN Cc1cccc2c1CCN2C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000126789227 643636395 /nfs/dbraw/zinc/63/63/95/643636395.db2.gz ZNFDNVMDARMLAL-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN Cc1c(NC(=O)N2CCC(F)CC2)cccc1[N+](=O)[O-] ZINC000877952745 643661044 /nfs/dbraw/zinc/66/10/44/643661044.db2.gz WJRDKLKMRKXSIN-UHFFFAOYSA-N 0 0 281.287 2.869 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000126934650 643766717 /nfs/dbraw/zinc/76/67/17/643766717.db2.gz FDBDAFCYJYUHOR-YUMQZZPRSA-N 0 0 258.705 2.820 20 5 CFBDRN CCCC[C@@H](C)OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000127014184 643819767 /nfs/dbraw/zinc/81/97/67/643819767.db2.gz UGTJCWOREHXQRQ-SNVBAGLBSA-N 0 0 283.328 2.648 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000246474441 650869978 /nfs/dbraw/zinc/86/99/78/650869978.db2.gz HBMCTZMCKKYMCO-YABSGUDNSA-N 0 0 291.303 2.945 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(Cc1nccnc1C)CC2 ZINC000878344093 643852386 /nfs/dbraw/zinc/85/23/86/643852386.db2.gz RSNRLKGTTXIRLP-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CC1(C)[C@@H]2OCC[C@H]2[C@@H]1OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000127183317 643932519 /nfs/dbraw/zinc/93/25/19/643932519.db2.gz HHUJBHKUYXPDOI-UPJWGTAASA-N 0 0 291.303 2.565 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC=C(Cl)C2)c([N+](=O)[O-])c1 ZINC000878790084 644026810 /nfs/dbraw/zinc/02/68/10/644026810.db2.gz DJBTVINWJIZNKD-UHFFFAOYSA-N 0 0 297.698 2.831 20 5 CFBDRN O=C(OCc1nc(C2CC2)cs1)c1ccc([N+](=O)[O-])o1 ZINC000091137484 650892688 /nfs/dbraw/zinc/89/26/88/650892688.db2.gz OFFTWUMAUBVEDE-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000879145343 644157616 /nfs/dbraw/zinc/15/76/16/644157616.db2.gz SUFCHTNLXFQHGE-GFCCVEGCSA-N 0 0 291.307 2.668 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)NC[C@H](C)C(C)(C)C ZINC000879220386 644179940 /nfs/dbraw/zinc/17/99/40/644179940.db2.gz LTCPEOMYHLZHBA-JTQLQIEISA-N 0 0 294.355 2.524 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000127596608 644180074 /nfs/dbraw/zinc/18/00/74/644180074.db2.gz HMJBJHDRDYITNO-HZMBPMFUSA-N 0 0 291.303 2.643 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC12CCC2 ZINC000879228236 644182621 /nfs/dbraw/zinc/18/26/21/644182621.db2.gz HPCNTCJUINNHGC-GFCCVEGCSA-N 0 0 275.308 2.967 20 5 CFBDRN Cc1coc(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)c1 ZINC000879302212 644212521 /nfs/dbraw/zinc/21/25/21/644212521.db2.gz AYYYECUFTIIJNL-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(Cc2csc([N+](=O)[O-])c2)C1 ZINC000879320670 644225862 /nfs/dbraw/zinc/22/58/62/644225862.db2.gz KSUHUPCFWKTVLP-GXSJLCMTSA-N 0 0 270.354 2.656 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(CC)OCC ZINC000879464773 644279927 /nfs/dbraw/zinc/27/99/27/644279927.db2.gz UEQUFNRSEWRFEG-UHFFFAOYSA-N 0 0 297.311 2.799 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@H]1CCC[C@@H](C)C1 ZINC000127937798 644380014 /nfs/dbraw/zinc/38/00/14/644380014.db2.gz FUNMOCZSKJYLPC-PWSUYJOCSA-N 0 0 295.339 2.612 20 5 CFBDRN CSCCCC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000128399112 644684771 /nfs/dbraw/zinc/68/47/71/644684771.db2.gz MAZQIJFLLRXVNY-UHFFFAOYSA-N 0 0 272.301 2.816 20 5 CFBDRN CCOc1cc(NC(=O)N(CC)OCC)ccc1[N+](=O)[O-] ZINC000888829983 644810691 /nfs/dbraw/zinc/81/06/91/644810691.db2.gz OJJUAQOIQIQYJA-UHFFFAOYSA-N 0 0 297.311 2.799 20 5 CFBDRN CCOc1cc(NC(=O)N2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000888831952 644815993 /nfs/dbraw/zinc/81/59/93/644815993.db2.gz RPNQXAKHOIKTIJ-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CCCC(=O)c1cn(Cc2ccc(C)c([N+](=O)[O-])c2)nn1 ZINC000881211600 644931762 /nfs/dbraw/zinc/93/17/62/644931762.db2.gz DCIHZGPPFPJEKA-UHFFFAOYSA-N 0 0 288.307 2.526 20 5 CFBDRN CSCc1cn(Cc2ccc(Cl)c([N+](=O)[O-])c2)nn1 ZINC000881227019 644934386 /nfs/dbraw/zinc/93/43/86/644934386.db2.gz GKOXSAIAZBIAKK-UHFFFAOYSA-N 0 0 298.755 2.751 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2cc([C@@H]3CCCCO3)nn2)cs1 ZINC000881226011 644934652 /nfs/dbraw/zinc/93/46/52/644934652.db2.gz QDVADAJRWVYMRO-NSHDSACASA-N 0 0 294.336 2.538 20 5 CFBDRN CC(C)(C)c1cn(Cc2cc(F)ccc2[N+](=O)[O-])nn1 ZINC000881224811 644934754 /nfs/dbraw/zinc/93/47/54/644934754.db2.gz OBXKNEFLHQGJJC-UHFFFAOYSA-N 0 0 278.287 2.671 20 5 CFBDRN CCOC1CCN(Cc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000094774379 650963115 /nfs/dbraw/zinc/96/31/15/650963115.db2.gz NZFQOCREMIQFIT-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN C[C@@]1(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCCO1 ZINC000094801131 650964025 /nfs/dbraw/zinc/96/40/25/650964025.db2.gz HATHSMNDCDCJGO-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@H]1CCN1C(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000881481841 644984499 /nfs/dbraw/zinc/98/44/99/644984499.db2.gz RMTXKGXFQSRTJF-JTQLQIEISA-N 0 0 270.288 2.982 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000881493201 644992964 /nfs/dbraw/zinc/99/29/64/644992964.db2.gz SINNIKKLHLHECU-SNVBAGLBSA-N 0 0 285.303 2.772 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@H]1C=CCC1 ZINC000881499768 644999196 /nfs/dbraw/zinc/99/91/96/644999196.db2.gz BJJVRNVRZPFBNM-LBPRGKRZSA-N 0 0 274.320 2.908 20 5 CFBDRN CC1(C)CC[C@@H](CNC(=O)c2csc([N+](=O)[O-])c2)OC1 ZINC000881510594 645007276 /nfs/dbraw/zinc/00/72/76/645007276.db2.gz RUNHKKZIEVCAJG-JTQLQIEISA-N 0 0 298.364 2.591 20 5 CFBDRN C=C/C=C\CCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000881567188 645046868 /nfs/dbraw/zinc/04/68/68/645046868.db2.gz LICAUBMMNIDWTD-RXNFCKPNSA-N 0 0 274.320 2.947 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)[C@H]1C ZINC000250485449 650974664 /nfs/dbraw/zinc/97/46/64/650974664.db2.gz LGBNIQSKMOPXPH-ISTVAULSSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC[C@@H](CF)C1 ZINC000881678870 645104390 /nfs/dbraw/zinc/10/43/90/645104390.db2.gz FVJDQAZOOIEKGS-NSHDSACASA-N 0 0 280.299 2.643 20 5 CFBDRN Cc1ncnc(NCCNc2ccccc2[N+](=O)[O-])c1C ZINC000881774738 645125303 /nfs/dbraw/zinc/12/53/03/645125303.db2.gz WBCATMBTGAMBOY-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ccnc(F)c1[N+](=O)[O-] ZINC000881999202 645171854 /nfs/dbraw/zinc/17/18/54/645171854.db2.gz FGCNTWXDAMJJJO-SSDOTTSWSA-N 0 0 294.286 2.777 20 5 CFBDRN CCc1cnc(CCNc2ccnc(F)c2[N+](=O)[O-])s1 ZINC000882029575 645182149 /nfs/dbraw/zinc/18/21/49/645182149.db2.gz JRMIINJALDFXSX-UHFFFAOYSA-N 0 0 296.327 2.802 20 5 CFBDRN CC(C)[C@H]1OCCC[C@@H]1CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882060212 645189696 /nfs/dbraw/zinc/18/96/96/645189696.db2.gz QFYDQAYJERIAIR-ZWNOBZJWSA-N 0 0 297.330 2.992 20 5 CFBDRN Cc1ncnc(N2CCc3c(cccc3[N+](=O)[O-])C2)c1C ZINC000882136981 645216222 /nfs/dbraw/zinc/21/62/22/645216222.db2.gz USMWPSATDQCKJN-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CCC[C@@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)CCO1 ZINC000882137562 645216624 /nfs/dbraw/zinc/21/66/24/645216624.db2.gz NYGLMICKCQRALQ-GFCCVEGCSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(C)C(=O)N(C)CSCc1ccc([N+](=O)[O-])cc1 ZINC000882149353 645219233 /nfs/dbraw/zinc/21/92/33/645219233.db2.gz RWXZWCGQMQCUOJ-UHFFFAOYSA-N 0 0 282.365 2.900 20 5 CFBDRN C[C@@H](CNc1ccnc(F)c1[N+](=O)[O-])c1nccs1 ZINC000882184382 645236307 /nfs/dbraw/zinc/23/63/07/645236307.db2.gz LIZHCTLQWSSQIO-ZETCQYMHSA-N 0 0 282.300 2.801 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1c1ccnc(F)c1[N+](=O)[O-] ZINC000882287006 645263842 /nfs/dbraw/zinc/26/38/42/645263842.db2.gz UOUWFHQSSRMUBX-NKWVEPMBSA-N 0 0 293.220 2.906 20 5 CFBDRN CC(=O)c1c(NCC2=CCCOC2)cccc1[N+](=O)[O-] ZINC000882375025 645293571 /nfs/dbraw/zinc/29/35/71/645293571.db2.gz LCSAMRDITXUFPY-UHFFFAOYSA-N 0 0 276.292 2.556 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCCc2occc2C1 ZINC000882381164 645294723 /nfs/dbraw/zinc/29/47/23/645294723.db2.gz QNMPILWEIVZRJS-UHFFFAOYSA-N 0 0 277.255 2.675 20 5 CFBDRN Cc1ccoc1CN(C)c1ccnc(F)c1[N+](=O)[O-] ZINC000882394890 645298658 /nfs/dbraw/zinc/29/86/58/645298658.db2.gz KITRISYDQQVKJS-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN CC(=O)c1c(NCC[C@@H](C)CCO)cccc1[N+](=O)[O-] ZINC000882407229 645302557 /nfs/dbraw/zinc/30/25/57/645302557.db2.gz IZQNTXGMQWKUGT-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN CC(C)(CNc1ccnc(F)c1[N+](=O)[O-])c1ccccn1 ZINC000882409290 645303263 /nfs/dbraw/zinc/30/32/63/645303263.db2.gz WJXSLHATCHYISC-UHFFFAOYSA-N 0 0 290.298 2.914 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC[C@H](C2CCC2)C1 ZINC000882465771 645328699 /nfs/dbraw/zinc/32/86/99/645328699.db2.gz DHBQSXPOHFPTNN-JTQLQIEISA-N 0 0 265.288 2.755 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1C[C@H]1C1CCC1 ZINC000882482163 645335936 /nfs/dbraw/zinc/33/59/36/645335936.db2.gz OFKDIZSXEIDJAH-WCBMZHEXSA-N 0 0 251.261 2.729 20 5 CFBDRN CC(=O)c1c(N[C@H]2C[C@H](O)C23CCC3)cccc1[N+](=O)[O-] ZINC000882622321 645392133 /nfs/dbraw/zinc/39/21/33/645392133.db2.gz MTMGFDKILSQBHM-STQMWFEESA-N 0 0 290.319 2.513 20 5 CFBDRN CCC[C@@H](CNc1ccnc(F)c1[N+](=O)[O-])OCC ZINC000882648376 645399954 /nfs/dbraw/zinc/39/99/54/645399954.db2.gz GMNMYAUAXKWXLX-VIFPVBQESA-N 0 0 271.292 2.746 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H](C1CCC1)[C@@H]1CCOC1 ZINC000882691928 645412407 /nfs/dbraw/zinc/41/24/07/645412407.db2.gz CODVIYZKEXIRAZ-PWSUYJOCSA-N 0 0 295.314 2.746 20 5 CFBDRN C[C@@H](O)CSc1ccc([N+](=O)[O-])cc1Br ZINC000882737835 645436428 /nfs/dbraw/zinc/43/64/28/645436428.db2.gz YVCFQNOCWVODBJ-ZCFIWIBFSA-N 0 0 292.154 2.830 20 5 CFBDRN C=C/C=C\CCNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000882795004 645457268 /nfs/dbraw/zinc/45/72/68/645457268.db2.gz CNAKSUQMKBHOKB-PLNGDYQASA-N 0 0 290.245 2.891 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@H](CF)C1 ZINC000882802420 645463721 /nfs/dbraw/zinc/46/37/21/645463721.db2.gz COMWTQJKXXSQCQ-LLVKDONJSA-N 0 0 280.299 2.983 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC(F)CC2)c1 ZINC000882804962 645465023 /nfs/dbraw/zinc/46/50/23/645465023.db2.gz GTHHLZFAULKZFN-UHFFFAOYSA-N 0 0 254.261 2.542 20 5 CFBDRN Cn1ccc(COc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000213153634 645474117 /nfs/dbraw/zinc/47/41/17/645474117.db2.gz QDRKUFZRVOOSBY-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](CF)C1 ZINC000882840658 645476168 /nfs/dbraw/zinc/47/61/68/645476168.db2.gz AXGUUNCSOWZJQC-JTQLQIEISA-N 0 0 268.288 2.537 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@@H](CF)C1 ZINC000882840412 645476370 /nfs/dbraw/zinc/47/63/70/645476370.db2.gz UGHZZGQKCJFDJR-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](c3nccs3)C2)c(F)c1 ZINC000882856509 645479940 /nfs/dbraw/zinc/47/99/40/645479940.db2.gz MUSSHHJJZZUTJD-MRVPVSSYSA-N 0 0 294.311 2.579 20 5 CFBDRN Cc1cnc(N2CC[C@@H](c3nccs3)C2)c([N+](=O)[O-])c1 ZINC000882859639 645480910 /nfs/dbraw/zinc/48/09/10/645480910.db2.gz KCFDLKOMIDRAHD-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](CO)Cc1ccoc1 ZINC000882866442 645481800 /nfs/dbraw/zinc/48/18/00/645481800.db2.gz MGIXKUNOPVXPHL-ZDUSSCGKSA-N 0 0 290.319 2.759 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H](CO)Cc1ccoc1 ZINC000882866654 645482163 /nfs/dbraw/zinc/48/21/63/645482163.db2.gz RMCMHVWOTKSCOL-LLVKDONJSA-N 0 0 294.282 2.590 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC(CC2CCOCC2)C1 ZINC000882885871 645491944 /nfs/dbraw/zinc/49/19/44/645491944.db2.gz RUNCXZJJFLFRSD-UHFFFAOYSA-N 0 0 282.365 2.909 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCCCO3)CC2)c(F)c1 ZINC000882949395 645523671 /nfs/dbraw/zinc/52/36/71/645523671.db2.gz OHYGIHQLCBEJFZ-UHFFFAOYSA-N 0 0 295.314 2.668 20 5 CFBDRN Nc1ccc(N2CCC3(CCCCO3)CC2)c([N+](=O)[O-])c1 ZINC000882949286 645523718 /nfs/dbraw/zinc/52/37/18/645523718.db2.gz JNPCVDWLHHQIOL-UHFFFAOYSA-N 0 0 291.351 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@]23CCCO3)nc1 ZINC000882981350 645538736 /nfs/dbraw/zinc/53/87/36/645538736.db2.gz JHAJXCHMBQPIGM-YPMHNXCESA-N 0 0 263.297 2.503 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000883153415 645629464 /nfs/dbraw/zinc/62/94/64/645629464.db2.gz CKBJAOTVNBTYLK-RYUDHWBXSA-N 0 0 289.335 2.921 20 5 CFBDRN C=C/C=C\CCNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000883183378 645646501 /nfs/dbraw/zinc/64/65/01/645646501.db2.gz ZZURJDRFMWSOHR-ARJAWSKDSA-N 0 0 289.335 2.569 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1cc2occc2[nH]1 ZINC000131388031 645716936 /nfs/dbraw/zinc/71/69/36/645716936.db2.gz QEDYTDFNODLOOJ-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2C(F)F)CC[C@H]1O ZINC000253182421 651039143 /nfs/dbraw/zinc/03/91/43/651039143.db2.gz JBLYXOVENNTJDN-QPUJVOFHSA-N 0 0 286.278 2.740 20 5 CFBDRN C[C@@H](Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H](C)C(F)F ZINC000883400094 645796564 /nfs/dbraw/zinc/79/65/64/645796564.db2.gz DEPSZFKYCOONJJ-YUMQZZPRSA-N 0 0 276.258 2.908 20 5 CFBDRN CSCCC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131963615 645856897 /nfs/dbraw/zinc/85/68/97/645856897.db2.gz IZAAITZUXNUNIZ-UHFFFAOYSA-N 0 0 255.295 2.562 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1ccco1 ZINC000132497988 645923442 /nfs/dbraw/zinc/92/34/42/645923442.db2.gz NAJFLGJDEUYNPC-UHFFFAOYSA-N 0 0 251.169 2.546 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000883668870 645923846 /nfs/dbraw/zinc/92/38/46/645923846.db2.gz OHOZBGVVNOIYFU-RYUDHWBXSA-N 0 0 289.335 2.921 20 5 CFBDRN C[N@@H+](Cc1ccc(Cl)c([N+](=O)[O-])c1)C[C@@H]1CCC[C@H]1O ZINC000305308945 651057743 /nfs/dbraw/zinc/05/77/43/651057743.db2.gz JBCYQDSVCOSWKC-SMDDNHRTSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1ccc(CC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000132585086 645937528 /nfs/dbraw/zinc/93/75/28/645937528.db2.gz GEIXJWBGQXVQIZ-UHFFFAOYSA-N 0 0 290.250 2.585 20 5 CFBDRN Cc1ccc(CNC(=O)NC[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000883757809 645961770 /nfs/dbraw/zinc/96/17/70/645961770.db2.gz WYFONQVSQAFDOK-LBPRGKRZSA-N 0 0 289.335 2.669 20 5 CFBDRN O=C(OCCCOC(F)(F)F)c1ccc([N+](=O)[O-])s1 ZINC000883938157 646055553 /nfs/dbraw/zinc/05/55/53/646055553.db2.gz XKWCUWCBGVOYDL-UHFFFAOYSA-N 0 0 299.226 2.740 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000800086581 646132771 /nfs/dbraw/zinc/13/27/71/646132771.db2.gz MWUYVNOGCHYLGN-QMMMGPOBSA-N 0 0 281.161 2.652 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@H](F)C(F)(F)F ZINC000800103274 646141932 /nfs/dbraw/zinc/14/19/32/646141932.db2.gz LEIUYZDVURKXMU-QMMMGPOBSA-N 0 0 295.188 2.960 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCOC(C)C ZINC000800151241 646157348 /nfs/dbraw/zinc/15/73/48/646157348.db2.gz IDWBRYJGMISQOF-UHFFFAOYSA-N 0 0 284.287 2.796 20 5 CFBDRN CCc1ocnc1C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800148982 646157394 /nfs/dbraw/zinc/15/73/94/646157394.db2.gz MSTRGFUDBSQBBJ-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H](F)C(F)(F)F)cc([N+](=O)[O-])c1 ZINC000800151647 646159042 /nfs/dbraw/zinc/15/90/42/646159042.db2.gz PUEJOPQSRDNBNT-SECBINFHSA-N 0 0 295.188 2.960 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@@H](F)C(F)(F)F ZINC000800172823 646169493 /nfs/dbraw/zinc/16/94/93/646169493.db2.gz MYXDPRTZFFGTAQ-SECBINFHSA-N 0 0 295.188 2.581 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2ccnc(F)c2)c([N+](=O)[O-])c1 ZINC000133736961 646218095 /nfs/dbraw/zinc/21/80/95/646218095.db2.gz ADRPNPDDYYVPFJ-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN O=C(Nc1ccncc1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134029656 646266536 /nfs/dbraw/zinc/26/65/36/646266536.db2.gz RVILQFFTFSMUEL-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=[N+]([O-])c1cc(COc2ccc(CO)nc2)ccc1Cl ZINC000134605940 646370829 /nfs/dbraw/zinc/37/08/29/646370829.db2.gz WMUYUKPLTNXLDK-UHFFFAOYSA-N 0 0 294.694 2.715 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1CCCCCCO ZINC000134646413 646376328 /nfs/dbraw/zinc/37/63/28/646376328.db2.gz HQLYGQZPFSPWHA-GFCCVEGCSA-N 0 0 278.352 2.899 20 5 CFBDRN CC1=NO[C@H](CNc2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000884521520 646417591 /nfs/dbraw/zinc/41/75/91/646417591.db2.gz ZFUOMHMQMGVEEU-JTQLQIEISA-N 0 0 286.291 2.720 20 5 CFBDRN CC[C@H]1CCC[C@@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884531614 646426558 /nfs/dbraw/zinc/42/65/58/646426558.db2.gz QQQJUPOMJIBPNM-JQWIXIFHSA-N 0 0 278.308 2.859 20 5 CFBDRN CC(C)(C(=O)OCc1cccnc1[N+](=O)[O-])C1CCC1 ZINC000884539689 646432644 /nfs/dbraw/zinc/43/26/44/646432644.db2.gz HUTUOKLMDXJTIQ-UHFFFAOYSA-N 0 0 278.308 2.859 20 5 CFBDRN COCC1(CNc2ncc([N+](=O)[O-])c3ccccc32)CC1 ZINC000884548292 646442176 /nfs/dbraw/zinc/44/21/76/646442176.db2.gz HQLGVPRQQSBGRZ-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H](O)C23CCC3)c2ccccc21 ZINC000884557961 646448145 /nfs/dbraw/zinc/44/81/45/646448145.db2.gz FZJCRDFHIWICQY-KBPBESRZSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3C[C@@H]3C2)c2ncccc12 ZINC000884587760 646472289 /nfs/dbraw/zinc/47/22/89/646472289.db2.gz PYUWHVYOOXJVTF-AOOOYVTPSA-N 0 0 255.277 2.599 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884602488 646482235 /nfs/dbraw/zinc/48/22/35/646482235.db2.gz MYRIFXAGVHYEFM-GHMZBOCLSA-N 0 0 278.308 2.715 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1C[C@@H]2CSC[C@@H]2C1 ZINC000884645210 646505741 /nfs/dbraw/zinc/50/57/41/646505741.db2.gz VKNSWEARMXVPQB-PHIMTYICSA-N 0 0 292.360 2.597 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000884649112 646507318 /nfs/dbraw/zinc/50/73/18/646507318.db2.gz SYGUJDLKVIVMJC-QCZKYFFMSA-N 0 0 294.326 2.930 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000884649111 646507524 /nfs/dbraw/zinc/50/75/24/646507524.db2.gz SYGUJDLKVIVMJC-PKZYVASSSA-N 0 0 294.326 2.930 20 5 CFBDRN CC1(C)CO[C@H](CCNc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000884675927 646522956 /nfs/dbraw/zinc/52/29/56/646522956.db2.gz VNTXMWGMGJOKRI-LLVKDONJSA-N 0 0 279.340 2.794 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1CCC2(CCC2)CO1 ZINC000884682013 646527966 /nfs/dbraw/zinc/52/79/66/646527966.db2.gz MQFPLWPTNDNFLW-JTQLQIEISA-N 0 0 295.314 2.890 20 5 CFBDRN COc1cc(N2CC[C@@H]([C@H]3CCCO3)C2)ccc1[N+](=O)[O-] ZINC000884732575 646544882 /nfs/dbraw/zinc/54/48/82/646544882.db2.gz QDDJCHNWFBHOCB-BXUZGUMPSA-N 0 0 292.335 2.609 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000884731724 646545128 /nfs/dbraw/zinc/54/51/28/646545128.db2.gz DTSVTRAILARXEL-BXKDBHETSA-N 0 0 297.742 2.649 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000884732639 646546174 /nfs/dbraw/zinc/54/61/74/646546174.db2.gz QRYALXUDTRKMKE-KOLCDFICSA-N 0 0 268.338 2.662 20 5 CFBDRN COC[C@@H](Nc1cccc(F)c1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000884796629 646552638 /nfs/dbraw/zinc/55/26/38/646552638.db2.gz SVJIIVGJJPMFRU-ZWNOBZJWSA-N 0 0 298.314 2.587 20 5 CFBDRN COC[C@@H](Nc1cccc(F)c1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000884796625 646552976 /nfs/dbraw/zinc/55/29/76/646552976.db2.gz SVJIIVGJJPMFRU-GXFFZTMASA-N 0 0 298.314 2.587 20 5 CFBDRN CN(CCCF)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000884857444 646560538 /nfs/dbraw/zinc/56/05/38/646560538.db2.gz XUQCMBDCEVYLLM-UHFFFAOYSA-N 0 0 278.230 2.992 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N(C)CCCF)c2c1 ZINC000884858652 646560645 /nfs/dbraw/zinc/56/06/45/646560645.db2.gz ISDGRJXHHJIXOT-UHFFFAOYSA-N 0 0 293.298 2.947 20 5 CFBDRN Cc1cc(C(=O)NOC2CCCC2)cc([N+](=O)[O-])c1 ZINC000135713712 646579306 /nfs/dbraw/zinc/57/93/06/646579306.db2.gz YBIBJTHNHXSMAR-UHFFFAOYSA-N 0 0 264.281 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCOC2CCC2)c1C ZINC000885424694 646684897 /nfs/dbraw/zinc/68/48/97/646684897.db2.gz VEMRWTOJYLLLMD-UHFFFAOYSA-N 0 0 293.319 2.938 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC2CCOCC2)c1C ZINC000885424451 646685068 /nfs/dbraw/zinc/68/50/68/646685068.db2.gz QATXOXZBXHUPFR-UHFFFAOYSA-N 0 0 293.319 2.795 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C1(C2CC2)CC1 ZINC000885732372 646741713 /nfs/dbraw/zinc/74/17/13/646741713.db2.gz QCOKHJSFTCGPEU-UHFFFAOYSA-N 0 0 279.267 2.967 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCO[C@H](c2ccccc2)C1 ZINC000885951838 646779173 /nfs/dbraw/zinc/77/91/73/646779173.db2.gz QDUKFOBWLDEWOL-HNNXBMFYSA-N 0 0 299.330 2.563 20 5 CFBDRN CCN(Cc1ccoc1)Cc1cccnc1[N+](=O)[O-] ZINC000885981861 646794852 /nfs/dbraw/zinc/79/48/52/646794852.db2.gz OVEMHKZKGZYGIU-UHFFFAOYSA-N 0 0 261.281 2.605 20 5 CFBDRN COc1cc(C(=O)NC[C@]23C[C@H]2CCC3)ccc1[N+](=O)[O-] ZINC000886004816 646808943 /nfs/dbraw/zinc/80/89/43/646808943.db2.gz OUCUZHWNBJLSBC-ABAIWWIYSA-N 0 0 290.319 2.523 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC[C@H]1c1cccnc1 ZINC000886090315 646862638 /nfs/dbraw/zinc/86/26/38/646862638.db2.gz VATQPRFJXYAEIO-AWEZNQCLSA-N 0 0 284.319 2.722 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC(c2cccnc2)CC1 ZINC000886100331 646872070 /nfs/dbraw/zinc/87/20/70/646872070.db2.gz KTYCGZYYTVROQM-UHFFFAOYSA-N 0 0 298.346 2.764 20 5 CFBDRN Cc1ccc(C(C)(C)NCc2cccnc2[N+](=O)[O-])cn1 ZINC000886134490 646888385 /nfs/dbraw/zinc/88/83/85/646888385.db2.gz UHBNQPHCHQFTHU-UHFFFAOYSA-N 0 0 286.335 2.718 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC=C(c2ccco2)C1 ZINC000886201617 646916853 /nfs/dbraw/zinc/91/68/53/646916853.db2.gz KNFGZNHUKZEBSN-UHFFFAOYSA-N 0 0 285.303 2.872 20 5 CFBDRN C[C@H]1CN(Cc2cccnc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000886215212 646924947 /nfs/dbraw/zinc/92/49/47/646924947.db2.gz GDAGSNCCCPBCPM-LBPRGKRZSA-N 0 0 299.330 2.773 20 5 CFBDRN O=[N+]([O-])c1c(NCc2ccccc2F)nc2ccccn21 ZINC000137639706 646966090 /nfs/dbraw/zinc/96/60/90/646966090.db2.gz SZIFXOPEOAIKOP-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN C[C@@]1(C(F)(F)F)CCN(Cc2cccnc2[N+](=O)[O-])C1 ZINC000886314314 646966121 /nfs/dbraw/zinc/96/61/21/646966121.db2.gz SSAYCWYQORJTDK-LLVKDONJSA-N 0 0 289.257 2.764 20 5 CFBDRN CCn1ncc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1C ZINC000138163817 647024056 /nfs/dbraw/zinc/02/40/56/647024056.db2.gz HHXHKTUYGXKSKP-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN(CC(F)F)C1CCC1 ZINC000887104068 647213893 /nfs/dbraw/zinc/21/38/93/647213893.db2.gz WCXSHPDVUGOEGX-UHFFFAOYSA-N 0 0 271.267 2.609 20 5 CFBDRN C[C@H](CNC(=O)CCCF)c1ccccc1[N+](=O)[O-] ZINC000887398899 647302387 /nfs/dbraw/zinc/30/23/87/647302387.db2.gz JGFTXEUOYCSHIQ-SNVBAGLBSA-N 0 0 268.288 2.564 20 5 CFBDRN COC(C)(C)CC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887401585 647302963 /nfs/dbraw/zinc/30/29/63/647302963.db2.gz ZCJAFCCXXHHKAT-LLVKDONJSA-N 0 0 294.351 2.630 20 5 CFBDRN Cc1cncc(C(=O)NC[C@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC000887402624 647303753 /nfs/dbraw/zinc/30/37/53/647303753.db2.gz GNYBNUHNNRFTFZ-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN Cc1[nH]ccc1C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887402663 647303785 /nfs/dbraw/zinc/30/37/85/647303785.db2.gz HDVHFUHYQNHPLB-JTQLQIEISA-N 0 0 287.319 2.765 20 5 CFBDRN C[C@@H](CNC(=O)[C@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000887402930 647303794 /nfs/dbraw/zinc/30/37/94/647303794.db2.gz LLDGGHQMAVIPPS-WDEREUQCSA-N 0 0 276.336 2.861 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000887402927 647304008 /nfs/dbraw/zinc/30/40/08/647304008.db2.gz LLDGGHQMAVIPPS-GHMZBOCLSA-N 0 0 276.336 2.861 20 5 CFBDRN CS[C@H](C)CC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403298 647304042 /nfs/dbraw/zinc/30/40/42/647304042.db2.gz SWVGKHQIQBZOQD-WDEREUQCSA-N 0 0 296.392 2.956 20 5 CFBDRN C[C@@H](CNC(=O)CC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000887404919 647304706 /nfs/dbraw/zinc/30/47/06/647304706.db2.gz OZQWBFVJVRXJAI-QMMMGPOBSA-N 0 0 290.241 2.767 20 5 CFBDRN CC(C)SCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887405120 647305124 /nfs/dbraw/zinc/30/51/24/647305124.db2.gz TYNAJNMSIVGWIL-LLVKDONJSA-N 0 0 296.392 2.956 20 5 CFBDRN Cc1ncc(CC(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])o1 ZINC000887753963 647407133 /nfs/dbraw/zinc/40/71/33/647407133.db2.gz RJAPFFYIDSSUKU-UHFFFAOYSA-N 0 0 290.275 2.656 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc21)C1(C2CC2)CC1 ZINC000887862017 647423974 /nfs/dbraw/zinc/42/39/74/647423974.db2.gz FKTODZROKJLDKU-UHFFFAOYSA-N 0 0 272.304 2.674 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@H](C(F)(F)F)C1 ZINC000888000228 647459540 /nfs/dbraw/zinc/45/95/40/647459540.db2.gz NIGMSLPKJLTBKM-LURJTMIESA-N 0 0 294.254 2.681 20 5 CFBDRN CCCCC1(NC(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000889530173 647592491 /nfs/dbraw/zinc/59/24/91/647592491.db2.gz HIVCUWDVIRMEAR-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000889582153 647604546 /nfs/dbraw/zinc/60/45/46/647604546.db2.gz WYUXRLXHROKDBW-KGYLQXTDSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)c1[N+](=O)[O-] ZINC000889585627 647605184 /nfs/dbraw/zinc/60/51/84/647605184.db2.gz VQUABNSQIGLOTA-DMDPSCGWSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000889589422 647606742 /nfs/dbraw/zinc/60/67/42/647606742.db2.gz CGBRXAQCPQVZKE-YUSALJHKSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889590908 647607556 /nfs/dbraw/zinc/60/75/56/647607556.db2.gz MTCVSMPTFYJGCJ-ZLKJLUDKSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889611527 647616530 /nfs/dbraw/zinc/61/65/30/647616530.db2.gz KEKRSBNMUIJMRT-TVYUQYBPSA-N 0 0 292.310 2.581 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000889611524 647616614 /nfs/dbraw/zinc/61/66/14/647616614.db2.gz KEKRSBNMUIJMRT-JIMOISOXSA-N 0 0 292.310 2.581 20 5 CFBDRN CCc1cc(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000889862872 647682643 /nfs/dbraw/zinc/68/26/43/647682643.db2.gz CAXUOOKQOVCJDA-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCSC[C@@H]1C ZINC000889914549 647698993 /nfs/dbraw/zinc/69/89/93/647698993.db2.gz GBMNBJJDOJLFSS-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN COc1ccc(OC(=O)C2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000890176139 647762155 /nfs/dbraw/zinc/76/21/55/647762155.db2.gz DYDRDFHBRYAVPL-UHFFFAOYSA-N 0 0 277.276 2.699 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000890421301 647798380 /nfs/dbraw/zinc/79/83/80/647798380.db2.gz HFQJSBUQJCYPBQ-UWVGGRQHSA-N 0 0 297.282 2.767 20 5 CFBDRN C[C@H]1CO[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000890437958 647802487 /nfs/dbraw/zinc/80/24/87/647802487.db2.gz VERDZABHVCLMQU-XCBNKYQSSA-N 0 0 284.699 2.612 20 5 CFBDRN CC(C)OCC(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000828083601 647818924 /nfs/dbraw/zinc/81/89/24/647818924.db2.gz VPRJOQYMEFVTPH-UHFFFAOYSA-N 0 0 289.291 2.507 20 5 CFBDRN CCN(C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C(C)C ZINC000930311469 647897482 /nfs/dbraw/zinc/89/74/82/647897482.db2.gz MXTLUIDMNZSPNI-ZIAGYGMSSA-N 0 0 276.336 2.955 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000924036526 647915048 /nfs/dbraw/zinc/91/50/48/647915048.db2.gz QSKUDOYDZLXGSM-LSKIRQOJSA-N 0 0 292.310 2.756 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000924038306 647915336 /nfs/dbraw/zinc/91/53/36/647915336.db2.gz YYONPWPGQDZDMX-LSKIRQOJSA-N 0 0 292.310 2.756 20 5 CFBDRN CC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000924195204 647939059 /nfs/dbraw/zinc/93/90/59/647939059.db2.gz CYDXADPDYYTULC-UHFFFAOYSA-N 0 0 261.277 2.994 20 5 CFBDRN CC1(C(=O)OCCOc2ccccc2[N+](=O)[O-])CC=CC1 ZINC000924199922 647939963 /nfs/dbraw/zinc/93/99/63/647939963.db2.gz WWNXRGMMUNJXRH-UHFFFAOYSA-N 0 0 291.303 2.873 20 5 CFBDRN Cc1noc(COC(=O)C[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000924250908 647948706 /nfs/dbraw/zinc/94/87/06/647948706.db2.gz OJSBLRLQMQDQBZ-SNVBAGLBSA-N 0 0 280.280 2.681 20 5 CFBDRN C[C@@]1(C(=O)OCc2cccc([N+](=O)[O-])c2)C=CCC1 ZINC000924402898 647973495 /nfs/dbraw/zinc/97/34/95/647973495.db2.gz ARYBILVFIRBILO-CQSZACIVSA-N 0 0 261.277 2.994 20 5 CFBDRN O=C(COC(=O)[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000924428092 647978421 /nfs/dbraw/zinc/97/84/21/647978421.db2.gz HUDJIKRNNASEQV-IAQYHMDHSA-N 0 0 289.287 2.511 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])[C@]12C[C@H]1CCC2 ZINC000924430521 647979635 /nfs/dbraw/zinc/97/96/35/647979635.db2.gz GVDOFPONJRMSAT-IAQYHMDHSA-N 0 0 291.303 2.707 20 5 CFBDRN CC[C@H](NCc1cc(N)cc[nH+]1)c1cccc([N+](=O)[O-])c1 ZINC000925772992 648315589 /nfs/dbraw/zinc/31/55/89/648315589.db2.gz YSMNAVUPXOJGQG-HNNXBMFYSA-N 0 0 286.335 2.813 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000925895115 648359213 /nfs/dbraw/zinc/35/92/13/648359213.db2.gz RPDLHPXYGYHBIK-UHFFFAOYSA-N 0 0 284.337 2.551 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@]12C[C@@H]1CCCC2 ZINC000927445991 648780601 /nfs/dbraw/zinc/78/06/01/648780601.db2.gz WEZBEWWUEAKQAW-XJKSGUPXSA-N 0 0 288.347 2.834 20 5 CFBDRN Cc1cnccc1CC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928244936 648886732 /nfs/dbraw/zinc/88/67/32/648886732.db2.gz HCMAGYBZASSLSC-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928246346 648887390 /nfs/dbraw/zinc/88/73/90/648887390.db2.gz BHLPKCPCJTWGNF-WDMOLILDSA-N 0 0 292.335 2.587 20 5 CFBDRN CCC(F)(F)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246227 648887948 /nfs/dbraw/zinc/88/79/48/648887948.db2.gz DSRZEUMFOHLAMB-MRVPVSSYSA-N 0 0 272.251 2.817 20 5 CFBDRN C[C@@H](NC(=O)CCc1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000928246795 648888730 /nfs/dbraw/zinc/88/87/30/648888730.db2.gz KHPOVTLPLYWVDE-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN CS[C@@H](C)CC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928248746 648888814 /nfs/dbraw/zinc/88/88/14/648888814.db2.gz NXOZCCQIAKLLOA-VHSXEESVSA-N 0 0 282.365 2.914 20 5 CFBDRN C[C@@H](NC(=O)C1(C(F)F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928247595 648888823 /nfs/dbraw/zinc/88/88/23/648888823.db2.gz YSWILBWPZMTUHW-MRVPVSSYSA-N 0 0 284.262 2.817 20 5 CFBDRN Cc1ccc(NC(=O)C2(C(C)C)COC2)cc1[N+](=O)[O-] ZINC000929133446 648987147 /nfs/dbraw/zinc/98/71/47/648987147.db2.gz NGCBRBRUHQDTCV-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN CC(C)CCNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929159936 648991929 /nfs/dbraw/zinc/99/19/29/648991929.db2.gz SUJAEPJRNFIHTL-KGLIPLIRSA-N 0 0 276.336 2.861 20 5 CFBDRN O=C(C[C@H]1CC[C@H](C2CC2)O1)Oc1cccc([N+](=O)[O-])c1 ZINC000929497328 649061300 /nfs/dbraw/zinc/06/13/00/649061300.db2.gz CWPUKSSKZIGBJU-ZIAGYGMSSA-N 0 0 291.303 2.848 20 5 CFBDRN O=C(NCC1CCC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000930152389 649194270 /nfs/dbraw/zinc/19/42/70/649194270.db2.gz FJBQIPCXQKAFPC-KGLIPLIRSA-N 0 0 274.320 2.615 20 5 CFBDRN Cc1cn(C)nc1CN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000930181710 649200267 /nfs/dbraw/zinc/20/02/67/649200267.db2.gz JDHKIITXWMAKGI-UHFFFAOYSA-N 0 0 286.335 2.508 20 5 CFBDRN CCC[C@H](NCC[N+](=O)[O-])c1ccc(F)cc1F ZINC000930233479 649211351 /nfs/dbraw/zinc/21/13/51/649211351.db2.gz RAJSJORLHGNZQC-LBPRGKRZSA-N 0 0 258.268 2.672 20 5 CFBDRN COc1ccc(CNC(=O)C2(C)CC=CC2)cc1[N+](=O)[O-] ZINC000930337434 649233190 /nfs/dbraw/zinc/23/31/90/649233190.db2.gz BFZQNFQPGWSMSZ-UHFFFAOYSA-N 0 0 290.319 2.576 20 5 CFBDRN O=[N+]([O-])CCN(Cc1ccccc1Cl)CC1CC1 ZINC000930449011 649250153 /nfs/dbraw/zinc/25/01/53/649250153.db2.gz KVGIVTFIBCJAQM-UHFFFAOYSA-N 0 0 268.744 2.829 20 5 CFBDRN Cc1cnc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)cc1C ZINC000930492818 649260644 /nfs/dbraw/zinc/26/06/44/649260644.db2.gz INULURXRKIRVLB-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCn1cc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cn1 ZINC000035781218 649331851 /nfs/dbraw/zinc/33/18/51/649331851.db2.gz KDHCNUZQBLHXFX-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN C[C@@H]1CN(CC[N+](=O)[O-])CCc2ccc(Cl)cc21 ZINC000930847776 649335035 /nfs/dbraw/zinc/33/50/35/649335035.db2.gz COJPGEDXONFFQJ-SNVBAGLBSA-N 0 0 268.744 2.578 20 5 CFBDRN CCCCNc1ccc(/C=C\C(=O)OC)cc1[N+](=O)[O-] ZINC000931270452 649399880 /nfs/dbraw/zinc/39/98/80/649399880.db2.gz XVDWCJLUGMTQMZ-VURMDHGXSA-N 0 0 278.308 2.993 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@H]1C(F)F ZINC000931422739 649423337 /nfs/dbraw/zinc/42/33/37/649423337.db2.gz VXHICVBKOHTRSM-JTQLQIEISA-N 0 0 260.215 2.573 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000931609241 649461827 /nfs/dbraw/zinc/46/18/27/649461827.db2.gz FTKQVRKCOGGQOW-AOOOYVTPSA-N 0 0 293.298 2.998 20 5 CFBDRN Cc1noc(CN2C[C@H]3C[C@@]3(c3ccccc3)C2)c1[N+](=O)[O-] ZINC000931923479 649511869 /nfs/dbraw/zinc/51/18/69/649511869.db2.gz OSLBWZNBETYZLZ-CJNGLKHVSA-N 0 0 299.330 2.665 20 5 CFBDRN Cc1nc([C@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000932177203 649559612 /nfs/dbraw/zinc/55/96/12/649559612.db2.gz LOEQMVAKWDYEOU-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN C[C@@H]([NH2+]CCO[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000932178093 649559842 /nfs/dbraw/zinc/55/98/42/649559842.db2.gz WHMLGEMFRPYWCN-IUODEOHRSA-N 0 0 294.351 2.789 20 5 CFBDRN CC(C)c1nnc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000932184626 649560439 /nfs/dbraw/zinc/56/04/39/649560439.db2.gz XIVXALWOSRKSDE-JTQLQIEISA-N 0 0 290.323 2.952 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCn2cccc2C1 ZINC000932529204 649615674 /nfs/dbraw/zinc/61/56/74/649615674.db2.gz JHWHAZINDUWKJW-UHFFFAOYSA-N 0 0 275.283 2.551 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000932635209 649632064 /nfs/dbraw/zinc/63/20/64/649632064.db2.gz ZXYOIZANDUOVPU-BNOWGMLFSA-N 0 0 288.347 2.861 20 5 CFBDRN CSCCCNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933160929 649676155 /nfs/dbraw/zinc/67/61/55/649676155.db2.gz YZKFUMHCOVDSBV-QWHCGFSZSA-N 0 0 294.376 2.568 20 5 CFBDRN O=C(NCCC1CC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933681099 649713511 /nfs/dbraw/zinc/71/35/11/649713511.db2.gz LXPOOPTUFSWFCF-KBPBESRZSA-N 0 0 274.320 2.615 20 5 CFBDRN CC1(C)CCN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000933779654 649721448 /nfs/dbraw/zinc/72/14/48/649721448.db2.gz FIHJTXRDJLXGTE-UONOGXRCSA-N 0 0 288.347 2.957 20 5 CFBDRN O=C(NC1(C2CC2)CC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000934739689 649822499 /nfs/dbraw/zinc/82/24/99/649822499.db2.gz GULZPJMGZIOSMS-ZIAGYGMSSA-N 0 0 286.331 2.757 20 5 CFBDRN CCc1cc(C(=O)O[C@@H](C)c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000098161093 651073075 /nfs/dbraw/zinc/07/30/75/651073075.db2.gz RHRYXWAZUYKCSY-VIFPVBQESA-N 0 0 289.291 2.798 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)nc1 ZINC000019161082 651287772 /nfs/dbraw/zinc/28/77/72/651287772.db2.gz USIVPKFZXCSQIZ-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1ncc([N+](=O)[O-])cc1N ZINC001155078425 782203326 /nfs/dbraw/zinc/20/33/26/782203326.db2.gz RZLWQXLNJVZEHF-UWVGGRQHSA-N 0 0 264.329 2.810 20 5 CFBDRN O=C(Nc1ccsn1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000705821150 770111889 /nfs/dbraw/zinc/11/18/89/770111889.db2.gz HQEPIXFTLBSOFM-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN Cc1cnc(NC[C@H]2CCC3(CCC3)O2)c([N+](=O)[O-])c1 ZINC000711523823 781453824 /nfs/dbraw/zinc/45/38/24/781453824.db2.gz XIUKVLWEJCNMFX-LLVKDONJSA-N 0 0 277.324 2.812 20 5 CFBDRN CC(=O)c1cc(N2C[C@@H]3CSC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000711547829 781454454 /nfs/dbraw/zinc/45/44/54/781454454.db2.gz FHLUHCBJHNURTJ-PHIMTYICSA-N 0 0 292.360 2.597 20 5 CFBDRN COc1cc(N2CC(c3ccccc3)C2)ncc1[N+](=O)[O-] ZINC001167063875 769885957 /nfs/dbraw/zinc/88/59/57/769885957.db2.gz UFFKXEFQXYQUFA-UHFFFAOYSA-N 0 0 285.303 2.602 20 5 CFBDRN CC(C)[C@H](O)COc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000690695589 770003084 /nfs/dbraw/zinc/00/30/84/770003084.db2.gz JYNNQWUSIZFIPL-SNVBAGLBSA-N 0 0 277.679 2.783 20 5 CFBDRN CCC(CC)N(CC)c1c(Cl)[n+]([O-])ccc1[N+](=O)[O-] ZINC001167111135 770035977 /nfs/dbraw/zinc/03/59/77/770035977.db2.gz GBNBQZWWUXWUIZ-UHFFFAOYSA-N 0 0 287.747 2.897 20 5 CFBDRN COc1ncc(F)cc1Nc1cccc([N+](=O)[O-])c1 ZINC001204439537 770055542 /nfs/dbraw/zinc/05/55/42/770055542.db2.gz GMAZXYBVUJJPOO-UHFFFAOYSA-N 0 0 263.228 2.881 20 5 CFBDRN O=C(Nc1ccns1)c1ccc([N+](=O)[O-])cc1Cl ZINC000705796439 770102519 /nfs/dbraw/zinc/10/25/19/770102519.db2.gz RTHQAWRAHKUMHL-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(N3C[C@H]4[C@H](CF)[C@H]4C3)nc21 ZINC001167221277 770155073 /nfs/dbraw/zinc/15/50/73/770155073.db2.gz BNFFTZGJMMSOFQ-CNDDSTCGSA-N 0 0 287.294 2.795 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N2C[C@H]3[C@H](CF)[C@H]3C2)nc1Cl ZINC001167223252 770155940 /nfs/dbraw/zinc/15/59/40/770155940.db2.gz ZMSWYWZTOCOHAO-PSVAKVPMSA-N 0 0 285.706 2.603 20 5 CFBDRN COC(=O)c1cccc(Nc2cccc([N+](=O)[O-])c2F)n1 ZINC001210378158 770273246 /nfs/dbraw/zinc/27/32/46/770273246.db2.gz GMFNUUXCCJKIGS-UHFFFAOYSA-N 0 0 291.238 2.659 20 5 CFBDRN Cc1cc(Nc2cccc([N+](=O)[O-])c2F)n(C)n1 ZINC001210379203 770274929 /nfs/dbraw/zinc/27/49/29/770274929.db2.gz VFZMKJRXEJZUSX-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCc1cccnn1 ZINC001167319330 770281279 /nfs/dbraw/zinc/28/12/79/770281279.db2.gz XTXMOODZUJJDLY-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1cnc(F)cc1OC ZINC001210444717 770285838 /nfs/dbraw/zinc/28/58/38/770285838.db2.gz NFYUPBBDOMHPMN-UHFFFAOYSA-N 0 0 293.254 2.890 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2cccnn2)cc2cccnc21 ZINC001167327398 770297972 /nfs/dbraw/zinc/29/79/72/770297972.db2.gz BJBHHQLEACHABS-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN CC(C)Oc1cc(C(=O)NC/C=C\Cl)ccc1[N+](=O)[O-] ZINC000891202969 770355146 /nfs/dbraw/zinc/35/51/46/770355146.db2.gz YGXYOGPMHWGQAJ-UTCJRWHESA-N 0 0 298.726 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@]23C[C@H]2CCC3)c([N+](=O)[O-])c1 ZINC000693230939 770426774 /nfs/dbraw/zinc/42/67/74/770426774.db2.gz LUEDBSJPTHCESF-PRHODGIISA-N 0 0 263.253 2.858 20 5 CFBDRN C[C@@]1(CO)CCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000723014650 770446038 /nfs/dbraw/zinc/44/60/38/770446038.db2.gz IAHGNZSLGYLHRJ-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1c[nH]c(=O)c(Nc2ccc(F)c([N+](=O)[O-])c2)c1 ZINC001211190095 770448507 /nfs/dbraw/zinc/44/85/07/770448507.db2.gz KYCHHRLIEKCTAX-UHFFFAOYSA-N 0 0 263.228 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1c(N)cccc1O ZINC001211290200 770477050 /nfs/dbraw/zinc/47/70/50/770477050.db2.gz SKFSNUVBFUIKRJ-UHFFFAOYSA-N 0 0 259.265 2.935 20 5 CFBDRN C/C=C\COC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000588400979 770525198 /nfs/dbraw/zinc/52/51/98/770525198.db2.gz SAPFUUSYCNHKID-IHWYPQMZSA-N 0 0 287.218 2.929 20 5 CFBDRN O=C(Nc1cnoc1)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC001143391546 770543049 /nfs/dbraw/zinc/54/30/49/770543049.db2.gz IFPGXIUXPDNPEV-UHFFFAOYSA-N 0 0 285.618 2.628 20 5 CFBDRN O=[N+]([O-])c1cnc(Cl)nc1N1CCC12CCCC2 ZINC000707171934 770610673 /nfs/dbraw/zinc/61/06/73/770610673.db2.gz RYGYMQGCHZMVLG-UHFFFAOYSA-N 0 0 268.704 2.561 20 5 CFBDRN CC[C@H](NC(=O)c1ccsn1)c1cccc([N+](=O)[O-])c1 ZINC001266794958 770616172 /nfs/dbraw/zinc/61/61/72/770616172.db2.gz YNEPCDOUPUOUTC-NSHDSACASA-N 0 0 291.332 2.932 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CC2)[C@H]2CCCOC2)cn1 ZINC000723846998 770683018 /nfs/dbraw/zinc/68/30/18/770683018.db2.gz SWUBNUYIUQZCCE-FZMZJTMJSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@H](Nc1cccnc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723859793 770691113 /nfs/dbraw/zinc/69/11/13/770691113.db2.gz ZQKBELJZOOXQDM-GHMZBOCLSA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@H]1CCCOC1 ZINC000723870534 770696788 /nfs/dbraw/zinc/69/67/88/770696788.db2.gz IFXIOSXOTLHKMA-NWDGAFQWSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1C)[C@@H]1CCCOC1 ZINC000723871929 770698214 /nfs/dbraw/zinc/69/82/14/770698214.db2.gz YIHQUUNGPLYIES-YPMHNXCESA-N 0 0 279.340 2.915 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000724122875 770797039 /nfs/dbraw/zinc/79/70/39/770797039.db2.gz UWXNEPAYUORBTL-CHWSQXEVSA-N 0 0 284.743 2.857 20 5 CFBDRN CC(=O)CNc1cc(OC(C)C)c([N+](=O)[O-])cc1C ZINC001167631613 773200557 /nfs/dbraw/zinc/20/05/57/773200557.db2.gz GARGSAXDRBVWQV-UHFFFAOYSA-N 0 0 266.297 2.691 20 5 CFBDRN CC(=O)CNc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC001167631374 773200640 /nfs/dbraw/zinc/20/06/40/773200640.db2.gz YSSONGPCSWPCBR-UHFFFAOYSA-N 0 0 262.187 2.615 20 5 CFBDRN Cc1ccc(-n2cc3cccc(N)c3n2)cc1[N+](=O)[O-] ZINC001213666825 773216985 /nfs/dbraw/zinc/21/69/85/773216985.db2.gz CTPWXCVEYXZXOF-UHFFFAOYSA-N 0 0 268.276 2.824 20 5 CFBDRN C[C@@H](NCc1ccns1)c1ccc([N+](=O)[O-])cc1 ZINC000404382853 773230107 /nfs/dbraw/zinc/23/01/07/773230107.db2.gz LROZNDHAADCXBB-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN CCCOC[C@H](C)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001224098028 775101172 /nfs/dbraw/zinc/10/11/72/775101172.db2.gz ZAMKOSPTEDPGDH-JTQLQIEISA-N 0 0 298.295 2.835 20 5 CFBDRN COCCCCO[C@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001224320107 775155731 /nfs/dbraw/zinc/15/57/31/775155731.db2.gz OAORAOXXUPHFAS-SNVBAGLBSA-N 0 0 297.307 2.828 20 5 CFBDRN Cc1ccnc(NCCC2SCCS2)c1[N+](=O)[O-] ZINC000693840079 775198437 /nfs/dbraw/zinc/19/84/37/775198437.db2.gz NPWAGTRLLSAJQW-UHFFFAOYSA-N 0 0 285.394 2.906 20 5 CFBDRN CCOC(=N)CCCCO[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001224966884 775321668 /nfs/dbraw/zinc/32/16/68/775321668.db2.gz UABDQBUZMSTDMS-CYBMUJFWSA-N 0 0 295.339 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](OCCc1cccnc1)C2 ZINC001224965018 775322900 /nfs/dbraw/zinc/32/29/00/775322900.db2.gz AWZYSSMSISGVGH-MRXNPFEDSA-N 0 0 284.315 2.716 20 5 CFBDRN CC(C)[C@H](C)OCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC001224986700 775326937 /nfs/dbraw/zinc/32/69/37/775326937.db2.gz JFUDZSLJQDSCJA-NSHDSACASA-N 0 0 281.308 2.699 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1c(F)cccc1F ZINC001225208217 775366224 /nfs/dbraw/zinc/36/62/24/775366224.db2.gz UNSHFOXJJXCSIL-MRVPVSSYSA-N 0 0 297.261 2.845 20 5 CFBDRN Cc1ccc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC001225280570 775388390 /nfs/dbraw/zinc/38/83/90/775388390.db2.gz YPHIRQXSQUAYSV-HNNXBMFYSA-N 0 0 270.288 2.844 20 5 CFBDRN O=[N+]([O-])c1cc(OC2CC[NH+](C3CC3)CC2)ccc1F ZINC001225381162 775435415 /nfs/dbraw/zinc/43/54/15/775435415.db2.gz DJEOIEHQWFLWCS-UHFFFAOYSA-N 0 0 280.299 2.740 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382205 775436910 /nfs/dbraw/zinc/43/69/10/775436910.db2.gz MRRSGUNMQPDGMU-GXFFZTMASA-N 0 0 297.282 2.845 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])c(OC)c1 ZINC001225436670 775455615 /nfs/dbraw/zinc/45/56/15/775455615.db2.gz XWWUIBKDJYYUAZ-SNVBAGLBSA-N 0 0 269.297 2.797 20 5 CFBDRN CCC[C@H](Oc1ccc([N+](=O)[O-])c(OC)c1)C(=O)OCC ZINC001225435577 775455628 /nfs/dbraw/zinc/45/56/28/775455628.db2.gz IVYCUUIGOWNBPN-LBPRGKRZSA-N 0 0 297.307 2.714 20 5 CFBDRN Cc1ccc2[nH]ccc2c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225437126 775456991 /nfs/dbraw/zinc/45/69/91/775456991.db2.gz KDPBKHIHECWESG-ZDUSSCGKSA-N 0 0 260.293 2.910 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])c(OC)c1 ZINC001225441654 775458488 /nfs/dbraw/zinc/45/84/88/775458488.db2.gz VHLICDBJGQGGJT-LBPRGKRZSA-N 0 0 267.281 2.740 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2ccncc2c1)C1CC1 ZINC001225450576 775461040 /nfs/dbraw/zinc/46/10/40/775461040.db2.gz IDZCGXISETZPIZ-CQSZACIVSA-N 0 0 258.277 2.669 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@H]1CCC[C@@H]1C ZINC001225448156 775461923 /nfs/dbraw/zinc/46/19/23/775461923.db2.gz PCMRFPBHOLMVNP-CABZTGNLSA-N 0 0 279.292 2.949 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1cc([O-])ccc1[N+](=O)[O-] ZINC001225494750 775479811 /nfs/dbraw/zinc/47/98/11/775479811.db2.gz WFEVYUMAQDWXEU-RYUDHWBXSA-N 0 0 267.281 2.637 20 5 CFBDRN Cc1cc(O[C@@H](C)c2ccccc2[N+](=O)[O-])cc(=O)n1C ZINC001225532104 775492957 /nfs/dbraw/zinc/49/29/57/775492957.db2.gz YPYHHJYZKFQQPD-NSHDSACASA-N 0 0 288.303 2.742 20 5 CFBDRN Cc1cc(O[C@H](C)c2cccc([N+](=O)[O-])c2)cc(=O)n1C ZINC001225534318 775494391 /nfs/dbraw/zinc/49/43/91/775494391.db2.gz QOZXOLGTIDSZHF-LLVKDONJSA-N 0 0 288.303 2.742 20 5 CFBDRN C[C@@H](Oc1cnc(CF)nc1)c1cccc([N+](=O)[O-])c1 ZINC001225538319 775496031 /nfs/dbraw/zinc/49/60/31/775496031.db2.gz YCDJLAIIKQPXQE-SECBINFHSA-N 0 0 277.255 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1O[C@H]1COc2cnccc21 ZINC001225579116 775509252 /nfs/dbraw/zinc/50/92/52/775509252.db2.gz RLYXIUQQJMHOMP-ZDUSSCGKSA-N 0 0 276.223 2.641 20 5 CFBDRN CC(C)[C@@H](Oc1ncccc1[N+](=O)[O-])C(F)(F)F ZINC001225592601 775515148 /nfs/dbraw/zinc/51/51/48/775515148.db2.gz IGHQJBHMVXVPCX-MRVPVSSYSA-N 0 0 264.203 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H](C)c1cncnc1 ZINC001225616842 775524709 /nfs/dbraw/zinc/52/47/09/775524709.db2.gz XIMSDAMQWOFNGH-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc([N+](=O)[O-])ccc2OC)=C1C ZINC001225616413 775525390 /nfs/dbraw/zinc/52/53/90/775525390.db2.gz PDASZCORGJACET-SNVBAGLBSA-N 0 0 293.275 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2Cc3ccccc3C2)nc1 ZINC001225628129 775529905 /nfs/dbraw/zinc/52/99/05/775529905.db2.gz MRXJLLMCFMPTKU-UHFFFAOYSA-N 0 0 256.261 2.536 20 5 CFBDRN CC1(C)CC(=O)CC[C@@H]1Oc1cccnc1[N+](=O)[O-] ZINC001225629396 775531692 /nfs/dbraw/zinc/53/16/92/775531692.db2.gz MNPYHXRFCCRBNK-NSHDSACASA-N 0 0 264.281 2.516 20 5 CFBDRN O=[N+]([O-])c1ncccc1O[C@@H]1COCc2ccccc21 ZINC001225628952 775532143 /nfs/dbraw/zinc/53/21/43/775532143.db2.gz AQXHYPIRZQOOLL-CYBMUJFWSA-N 0 0 272.260 2.640 20 5 CFBDRN CC/C=C\CCOC(=O)[C@H](C)Oc1cccnc1[N+](=O)[O-] ZINC001225629177 775532309 /nfs/dbraw/zinc/53/23/09/775532309.db2.gz GDIBKUCJPRTWQC-WYGGZMRJSA-N 0 0 294.307 2.657 20 5 CFBDRN CC(C)Oc1cc(COc2cccnc2[N+](=O)[O-])ccn1 ZINC001225631903 775532994 /nfs/dbraw/zinc/53/29/94/775532994.db2.gz GHRJYNGWHZDUPD-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1cccc(C)c1[N+](=O)[O-] ZINC001225635389 775534488 /nfs/dbraw/zinc/53/44/88/775534488.db2.gz GVZNAJZGLIEUKI-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cccc(O[C@@H](C)c2cncnc2)c1[N+](=O)[O-] ZINC001225636270 775534927 /nfs/dbraw/zinc/53/49/27/775534927.db2.gz UQCYZCMZOJFYLE-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225663797 775547360 /nfs/dbraw/zinc/54/73/60/775547360.db2.gz GWEIGLLAYVVPAI-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN O=c1cc[nH]c(O[C@@H]2CCOc3ccccc32)c1[N+](=O)[O-] ZINC001225666709 775549023 /nfs/dbraw/zinc/54/90/23/775549023.db2.gz LEYWRILYZQAQQV-GFCCVEGCSA-N 0 0 288.259 2.598 20 5 CFBDRN O=c1cc[nH]c(OC2CCC(F)(F)CC2)c1[N+](=O)[O-] ZINC001225667671 775549271 /nfs/dbraw/zinc/54/92/71/775549271.db2.gz WDDXBWCRQBLHRD-UHFFFAOYSA-N 0 0 274.223 2.652 20 5 CFBDRN C[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@]1(C)CO ZINC000694697614 775549717 /nfs/dbraw/zinc/54/97/17/775549717.db2.gz HKEGAULMUZOSKU-QMTHXVAHSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cccc(F)c1[N+](=O)[O-] ZINC001225670225 775550388 /nfs/dbraw/zinc/55/03/88/775550388.db2.gz BKYRBAXATIYNTC-WPRPVWTQSA-N 0 0 255.245 2.538 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2cc(C)ccc2[N+](=O)[O-])C1(C)C ZINC001225681925 775556454 /nfs/dbraw/zinc/55/64/54/775556454.db2.gz GVDVGMVXQWBAOU-GWCFXTLKSA-N 0 0 293.319 2.870 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc(C(F)(F)F)n1)C1CC1 ZINC001225706421 775568526 /nfs/dbraw/zinc/56/85/26/775568526.db2.gz WIYHTORZJQIREF-MRVPVSSYSA-N 0 0 276.214 2.535 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(Cl)cc1F)C1CC1 ZINC001225716633 775571065 /nfs/dbraw/zinc/57/10/65/775571065.db2.gz GRLKKJSRCOQYJK-LLVKDONJSA-N 0 0 259.664 2.913 20 5 CFBDRN COc1ccc(O[C@H](C)C2CCOCC2)c([N+](=O)[O-])c1 ZINC001225767373 775586813 /nfs/dbraw/zinc/58/68/13/775586813.db2.gz KXVBTQNRLOMZNB-SNVBAGLBSA-N 0 0 281.308 2.797 20 5 CFBDRN COc1ccc(O[C@@H](C)C2CCOCC2)c([N+](=O)[O-])c1 ZINC001225767372 775586941 /nfs/dbraw/zinc/58/69/41/775586941.db2.gz KXVBTQNRLOMZNB-JTQLQIEISA-N 0 0 281.308 2.797 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225775645 775589309 /nfs/dbraw/zinc/58/93/09/775589309.db2.gz HGDIIIMIULMJMB-RDDDGLTNSA-N 0 0 273.235 2.677 20 5 CFBDRN CC(C)OCCOc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225779409 775590795 /nfs/dbraw/zinc/59/07/95/775590795.db2.gz NVCRBFKOOBFNDN-UHFFFAOYSA-N 0 0 261.224 2.677 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225778025 775590931 /nfs/dbraw/zinc/59/09/31/775590931.db2.gz GPVLGQBEEMCKMU-JTQLQIEISA-N 0 0 289.234 2.594 20 5 CFBDRN COC(=O)C1CC(Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225785614 775591748 /nfs/dbraw/zinc/59/17/48/775591748.db2.gz IRSYKCYOLFDEAO-UHFFFAOYSA-N 0 0 285.683 2.579 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2CCCO2)c1Cl ZINC001225785488 775591858 /nfs/dbraw/zinc/59/18/58/775591858.db2.gz CPJCESQYSBMUOO-QMMMGPOBSA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2CCCOC2)c1Cl ZINC001225786867 775593401 /nfs/dbraw/zinc/59/34/01/775593401.db2.gz YZHXVOAPMBGASU-MRVPVSSYSA-N 0 0 257.673 2.806 20 5 CFBDRN CC1=C[C@H](Oc2cccc([N+](=O)[O-])c2Cl)CC(=O)O1 ZINC001225786599 775593552 /nfs/dbraw/zinc/59/35/52/775593552.db2.gz VONYHCNVTVISQH-QMMMGPOBSA-N 0 0 283.667 2.846 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2cccc([N+](=O)[O-])c2F)C1 ZINC001225795803 775595936 /nfs/dbraw/zinc/59/59/36/775595936.db2.gz HTKNHHYRKQWPQS-NXEZZACHSA-N 0 0 297.282 2.845 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1cccc([N+](=O)[O-])c1F ZINC001225794082 775596410 /nfs/dbraw/zinc/59/64/10/775596410.db2.gz HTXJFDTWPAIXAJ-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cccc([N+](=O)[O-])c1F ZINC001225797222 775597269 /nfs/dbraw/zinc/59/72/69/775597269.db2.gz SBIIXZYYOJTTBL-WPRPVWTQSA-N 0 0 255.245 2.538 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H](C)c2cncnc2)c1 ZINC001225803849 775598624 /nfs/dbraw/zinc/59/86/24/775598624.db2.gz JJWDGDUHQBSIGW-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2CCO[C@H](C)C2)c1 ZINC001225805004 775598978 /nfs/dbraw/zinc/59/89/78/775598978.db2.gz GACLCFSIQQDHDJ-SKDRFNHKSA-N 0 0 279.292 2.744 20 5 CFBDRN CCN1CCC[C@H](Oc2cc(C(C)=O)ccc2[N+](=O)[O-])C1 ZINC001225804752 775599068 /nfs/dbraw/zinc/59/90/68/775599068.db2.gz AVOUDNVWVSVXPI-ZDUSSCGKSA-N 0 0 292.335 2.661 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2CCOC[C@@H]2C)c1 ZINC001225807652 775599839 /nfs/dbraw/zinc/59/98/39/775599839.db2.gz YKAKOQAGFXSDNT-TVQRCGJNSA-N 0 0 279.292 2.601 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2CCOC[C@@H]2C)c1 ZINC001225807656 775600360 /nfs/dbraw/zinc/60/03/60/775600360.db2.gz YKAKOQAGFXSDNT-ZANVPECISA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])c2cccnc2)cnc1Cl ZINC001225824630 775605385 /nfs/dbraw/zinc/60/53/85/775605385.db2.gz JUIWGZVOFBZAHH-GFCCVEGCSA-N 0 0 293.710 2.835 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(F)cccc1Cl)C1CC1 ZINC001225864599 775614977 /nfs/dbraw/zinc/61/49/77/775614977.db2.gz IQWHWCXXIBMKFD-SNVBAGLBSA-N 0 0 259.664 2.913 20 5 CFBDRN CC[C@@H](C)Oc1c([N+](=O)[O-])cc(F)cc1[N+](=O)[O-] ZINC001225888826 775624057 /nfs/dbraw/zinc/62/40/57/775624057.db2.gz HCKUOPSWHKDPOB-ZCFIWIBFSA-N 0 0 258.205 2.819 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc([N+](=O)[O-])c1OC[C@H]1CCC=CO1 ZINC001225889200 775624717 /nfs/dbraw/zinc/62/47/17/775624717.db2.gz KCESVSNETMQPII-SECBINFHSA-N 0 0 298.226 2.714 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(F)ccc1F)c1cccnc1 ZINC001225902606 775629460 /nfs/dbraw/zinc/62/94/60/775629460.db2.gz LQTYUVLOKGLMNN-CYBMUJFWSA-N 0 0 280.230 2.757 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225907910 775631093 /nfs/dbraw/zinc/63/10/93/775631093.db2.gz UYTXBPCVNZRRTC-GZMMTYOYSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225911807 775632637 /nfs/dbraw/zinc/63/26/37/775632637.db2.gz CATCOHRVTMEDAK-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN CCN1CC(Oc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC001225913792 775632671 /nfs/dbraw/zinc/63/26/71/775632671.db2.gz KAZZEAXZFZRTMJ-UHFFFAOYSA-N 0 0 290.241 2.697 20 5 CFBDRN CC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC001225918976 775635329 /nfs/dbraw/zinc/63/53/29/775635329.db2.gz NOAVMURCXVAICG-SSDOTTSWSA-N 0 0 277.198 2.970 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])cc1F ZINC001225942320 775642314 /nfs/dbraw/zinc/64/23/14/775642314.db2.gz PQXVWHCQSHWIJA-JTQLQIEISA-N 0 0 285.271 2.845 20 5 CFBDRN CO[C@H](C)CCOc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225962748 775648816 /nfs/dbraw/zinc/64/88/16/775648816.db2.gz LXVXQLHSPYROPB-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225962002 775649171 /nfs/dbraw/zinc/64/91/71/775649171.db2.gz BTCAECVVEGEBJG-VIFPVBQESA-N 0 0 289.234 2.594 20 5 CFBDRN CC[C@@H](COC)Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225964396 775650099 /nfs/dbraw/zinc/65/00/99/775650099.db2.gz ZJYPTMFMQWLLKB-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@H](O)C(=O)Oc1ccc(-c2ccc([N+](=O)[O-])cc2)cc1 ZINC001225974586 775653278 /nfs/dbraw/zinc/65/32/78/775653278.db2.gz ZLEFFNAYCQIAOE-JTQLQIEISA-N 0 0 287.271 2.548 20 5 CFBDRN CC[C@@H](C)O[C@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC001204628984 775663985 /nfs/dbraw/zinc/66/39/85/775663985.db2.gz DARPQQLJRUPEFB-NEPJUHHUSA-N 0 0 264.325 2.989 20 5 CFBDRN CC[C@H](C)O[C@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC001204628985 775664022 /nfs/dbraw/zinc/66/40/22/775664022.db2.gz DARPQQLJRUPEFB-RYUDHWBXSA-N 0 0 264.325 2.989 20 5 CFBDRN Cc1c([C@@H](C)Oc2c(F)cccc2[N+](=O)[O-])cnn1C ZINC001226006891 775665011 /nfs/dbraw/zinc/66/50/11/775665011.db2.gz IAEIXAPROGQQNH-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC001226008465 775665738 /nfs/dbraw/zinc/66/57/38/775665738.db2.gz GFTIXHHFFUYAIT-VHSXEESVSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)C1 ZINC001226061209 775684967 /nfs/dbraw/zinc/68/49/67/775684967.db2.gz HCEBOXAIJLWFOP-KOLCDFICSA-N 0 0 299.348 2.566 20 5 CFBDRN CCC[C@H](C)Oc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC001226060921 775685708 /nfs/dbraw/zinc/68/57/08/775685708.db2.gz AHPBFCJKXQWHOS-VIFPVBQESA-N 0 0 287.337 2.566 20 5 CFBDRN Cc1ccnc(OC[C@H]2Cc3ccccc3O2)c1[N+](=O)[O-] ZINC001226117136 775705080 /nfs/dbraw/zinc/70/50/80/775705080.db2.gz YMKVSXIBLBOIAL-GFCCVEGCSA-N 0 0 286.287 2.681 20 5 CFBDRN CCC[C@@H](CC(=O)OCC)Oc1nccc(C)c1[N+](=O)[O-] ZINC001226117713 775705193 /nfs/dbraw/zinc/70/51/93/775705193.db2.gz FZHPTWMIBZCTKJ-NSHDSACASA-N 0 0 296.323 2.799 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1nccc(C)c1[N+](=O)[O-] ZINC001226121621 775707780 /nfs/dbraw/zinc/70/77/80/775707780.db2.gz STEVWINVJQUSDZ-QWRGUYRKSA-N 0 0 266.297 2.635 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001226129589 775710975 /nfs/dbraw/zinc/71/09/75/775710975.db2.gz FFORQSLLJTWEET-VAOFZXAKSA-N 0 0 266.684 2.987 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC001226131693 775711936 /nfs/dbraw/zinc/71/19/36/775711936.db2.gz PHEIMXZWAONBSM-APPZFPTMSA-N 0 0 272.688 2.590 20 5 CFBDRN COc1cccnc1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001226138607 775713360 /nfs/dbraw/zinc/71/33/60/775713360.db2.gz CYZCNPDWGDXLNS-ZDUSSCGKSA-N 0 0 286.287 2.545 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C1 ZINC001226166946 775723336 /nfs/dbraw/zinc/72/33/36/775723336.db2.gz APCIXJYRSXMVBW-MWLCHTKSSA-N 0 0 278.308 2.651 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C1 ZINC001226166935 775723718 /nfs/dbraw/zinc/72/37/18/775723718.db2.gz APCIXJYRSXMVBW-KOLCDFICSA-N 0 0 278.308 2.651 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])c(F)cc1F)c1cncnc1 ZINC001226182180 775728110 /nfs/dbraw/zinc/72/81/10/775728110.db2.gz YQGYWCZPJQDONA-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=C1CCC(Oc2cc([N+](=O)[O-])c(F)cc2F)CC1 ZINC001226190000 775730834 /nfs/dbraw/zinc/73/08/34/775730834.db2.gz HWYHSGGUWKZGSL-UHFFFAOYSA-N 0 0 271.219 2.764 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCOC[C@H]2F)c(Cl)cc1F ZINC001226199162 775735449 /nfs/dbraw/zinc/73/54/49/775735449.db2.gz TWHOQZRCRXLNBM-PSASIEDQSA-N 0 0 293.653 2.893 20 5 CFBDRN Cn1c(O[C@H](C[N+](=O)[O-])c2cccnc2)cc2ccccc21 ZINC001226236230 775747525 /nfs/dbraw/zinc/74/75/25/775747525.db2.gz YKNCOETUQVUJKN-OAHLLOKOSA-N 0 0 297.314 2.970 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ncc([N+](=O)[O-])cc1Cl ZINC001226252389 775756509 /nfs/dbraw/zinc/75/65/09/775756509.db2.gz PNIMYVJKXARKJQ-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cc1Cl)C1CCOCC1 ZINC001226255288 775757902 /nfs/dbraw/zinc/75/79/02/775757902.db2.gz IZISRJBOHHXFFL-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1cc([N+](=O)[O-])ccc1F ZINC001226266883 775763825 /nfs/dbraw/zinc/76/38/25/775763825.db2.gz BCUAXHMJUXJEPI-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN CC(=O)c1ccc(O[C@@H](C)c2ncccn2)c([N+](=O)[O-])c1 ZINC001226288810 775768855 /nfs/dbraw/zinc/76/88/55/775768855.db2.gz SELRQQWVBRNQDD-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1OC1CCSCC1 ZINC001226335141 775784198 /nfs/dbraw/zinc/78/41/98/775784198.db2.gz DGUFSAAJIOJQMS-UHFFFAOYSA-N 0 0 255.295 2.575 20 5 CFBDRN C[C@H](Oc1c(O)cccc1[N+](=O)[O-])c1nccs1 ZINC001226334923 775784349 /nfs/dbraw/zinc/78/43/49/775784349.db2.gz ARNNJSSUHPSICC-ZETCQYMHSA-N 0 0 266.278 2.897 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@H]1CC(F)(F)C1(F)F ZINC001226341808 775785282 /nfs/dbraw/zinc/78/52/82/775785282.db2.gz UQQMUXAZOVSYAN-ZETCQYMHSA-N 0 0 281.161 2.722 20 5 CFBDRN C[C@H](Oc1c(O)cccc1[N+](=O)[O-])c1cccnc1F ZINC001226340062 775785414 /nfs/dbraw/zinc/78/54/14/775785414.db2.gz MQFUGYOESJNKKR-QMMMGPOBSA-N 0 0 278.239 2.975 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1c(O)cccc1[N+](=O)[O-] ZINC001226341312 775785518 /nfs/dbraw/zinc/78/55/18/775785518.db2.gz RUUOSIQRUFKWPI-RYUDHWBXSA-N 0 0 267.281 2.637 20 5 CFBDRN O=C1CCC(Oc2nc(Cl)ccc2[N+](=O)[O-])CC1 ZINC001226365699 775791792 /nfs/dbraw/zinc/79/17/92/775791792.db2.gz FHSWDPBAVBWFQS-UHFFFAOYSA-N 0 0 270.672 2.534 20 5 CFBDRN Cc1cc(C(F)(F)F)ncc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001226404890 775807457 /nfs/dbraw/zinc/80/74/57/775807457.db2.gz AFEMDURFFGAQQX-SNVBAGLBSA-N 0 0 290.241 2.843 20 5 CFBDRN COC(=O)c1cc(OC)c(O[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC001226431953 775821147 /nfs/dbraw/zinc/82/11/47/775821147.db2.gz VWCJQSIVBNDFOU-VIFPVBQESA-N 0 0 297.307 2.813 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC2CC(F)(F)C2)c1 ZINC001226442651 775823093 /nfs/dbraw/zinc/82/30/93/775823093.db2.gz DLNDMWJSQZALBU-UHFFFAOYSA-N 0 0 259.208 2.780 20 5 CFBDRN C[C@@H](O)CC(=O)Oc1ccc(C(C)(C)C)cc1[N+](=O)[O-] ZINC001226445426 775825415 /nfs/dbraw/zinc/82/54/15/775825415.db2.gz CYQAUSUSINCGEW-SECBINFHSA-N 0 0 281.308 2.569 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc(OC)ccc1[N+](=O)[O-] ZINC001226447307 775826174 /nfs/dbraw/zinc/82/61/74/775826174.db2.gz QPJMTEJGCDJFPU-LBPRGKRZSA-N 0 0 267.281 2.740 20 5 CFBDRN COc1cc(O[C@H](C)c2ccncc2F)ncc1[N+](=O)[O-] ZINC001226579157 775879985 /nfs/dbraw/zinc/87/99/85/775879985.db2.gz OCTKYCXAUZUPRO-MRVPVSSYSA-N 0 0 293.254 2.673 20 5 CFBDRN COc1cc(O[C@H](C)c2cccnc2)ncc1[N+](=O)[O-] ZINC001226576227 775880080 /nfs/dbraw/zinc/88/00/80/775880080.db2.gz NZUFMHDCXOTHJP-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COc1cc(O[C@@H](C(C)C)C(F)(F)F)ncc1[N+](=O)[O-] ZINC001226580581 775880934 /nfs/dbraw/zinc/88/09/34/775880934.db2.gz BKFJZFLGOYGCMK-JTQLQIEISA-N 0 0 294.229 2.964 20 5 CFBDRN CCc1cc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)nc(C)n1 ZINC001226676607 775916319 /nfs/dbraw/zinc/91/63/19/775916319.db2.gz PJHOAJUYSRXFCW-OAHLLOKOSA-N 0 0 299.330 2.802 20 5 CFBDRN CCc1cc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)nc(C)n1 ZINC001226676606 775916742 /nfs/dbraw/zinc/91/67/42/775916742.db2.gz PJHOAJUYSRXFCW-HNNXBMFYSA-N 0 0 299.330 2.802 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H](c2ccccc2)C2CC2)nc1 ZINC001226732682 775941496 /nfs/dbraw/zinc/94/14/96/775941496.db2.gz XZCKUIGWAPHNQV-CYBMUJFWSA-N 0 0 271.276 2.915 20 5 CFBDRN CCC[C@H](C)[C@@H](CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226732831 775941675 /nfs/dbraw/zinc/94/16/75/775941675.db2.gz AAJLTIUMFXHESO-GXSJLCMTSA-N 0 0 253.302 2.978 20 5 CFBDRN CCC[C@H](Oc1ncc([N+](=O)[O-])cn1)[C@@H](C)CC ZINC001226733403 775941847 /nfs/dbraw/zinc/94/18/47/775941847.db2.gz OSTSNQXLCYPLHX-ONGXEEELSA-N 0 0 253.302 2.978 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(O[C@H]2CCn3ccnc32)c(F)c1 ZINC001226743659 775944348 /nfs/dbraw/zinc/94/43/48/775944348.db2.gz GBKYTXKIXYOPIA-JTQLQIEISA-N 0 0 281.218 2.593 20 5 CFBDRN CC[C@H](COc1c(F)cc([N+](=O)[O-])cc1F)OC ZINC001226747406 775945442 /nfs/dbraw/zinc/94/54/42/775945442.db2.gz BJVUNVDVJIMLDC-MRVPVSSYSA-N 0 0 261.224 2.677 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226745082 775945833 /nfs/dbraw/zinc/94/58/33/775945833.db2.gz XRXKBUOSGKDLEI-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@@H](Cc1ccco1)Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226750935 775947159 /nfs/dbraw/zinc/94/71/59/775947159.db2.gz BFVISFMLBXXTKH-QMMMGPOBSA-N 0 0 266.228 2.732 20 5 CFBDRN CC(C)CCOC(=O)[C@@H](C)Oc1cccc([N+](=O)[O-])c1 ZINC001226763363 775953041 /nfs/dbraw/zinc/95/30/41/775953041.db2.gz QUTXJMWFJGKKQD-LLVKDONJSA-N 0 0 281.308 2.951 20 5 CFBDRN CC1(C)COC(=O)[C@@H]1Oc1ccc([N+](=O)[O-])cc1Cl ZINC001226762379 775953293 /nfs/dbraw/zinc/95/32/93/775953293.db2.gz RVFINRQOLRPFNW-JTQLQIEISA-N 0 0 285.683 2.579 20 5 CFBDRN CN1CCC[C@H](Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226810353 775972985 /nfs/dbraw/zinc/97/29/85/775972985.db2.gz MZTWRDBIODAMJQ-JTQLQIEISA-N 0 0 270.716 2.721 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226810237 775973208 /nfs/dbraw/zinc/97/32/08/775973208.db2.gz LDCFQUXPXULQGL-WAAGHKOSSA-N 0 0 299.710 2.969 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226811033 775973262 /nfs/dbraw/zinc/97/32/62/775973262.db2.gz UZZDPCLEVUEZJQ-LLVKDONJSA-N 0 0 298.726 2.638 20 5 CFBDRN CC1=C[C@H](Oc2cc([N+](=O)[O-])ccc2Cl)CC(=O)O1 ZINC001226811151 775974520 /nfs/dbraw/zinc/97/45/20/775974520.db2.gz WCFFWYNNRBPQNW-VIFPVBQESA-N 0 0 283.667 2.846 20 5 CFBDRN Cc1cc(O[C@@H]2COc3cnccc32)ccc1[N+](=O)[O-] ZINC001226843291 775986928 /nfs/dbraw/zinc/98/69/28/775986928.db2.gz HMPGWGPEQKSRNM-CQSZACIVSA-N 0 0 272.260 2.811 20 5 CFBDRN Cc1cc(O[C@@H]2CCCC3(C2)OCCO3)ccc1[N+](=O)[O-] ZINC001226843891 775987138 /nfs/dbraw/zinc/98/71/38/775987138.db2.gz QLLHWYBVQHJQIA-CYBMUJFWSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1cc(O[C@H]2COc3cnccc32)ccc1[N+](=O)[O-] ZINC001226843288 775987692 /nfs/dbraw/zinc/98/76/92/775987692.db2.gz HMPGWGPEQKSRNM-AWEZNQCLSA-N 0 0 272.260 2.811 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2cc(O)ccc21)C1CC1 ZINC001226860230 775995246 /nfs/dbraw/zinc/99/52/46/775995246.db2.gz TUXYQBULEJMRDO-OAHLLOKOSA-N 0 0 273.288 2.980 20 5 CFBDRN C[C@H]1C[C@H](Oc2cc(Cl)c([N+](=O)[O-])cn2)CCO1 ZINC001226915367 776016644 /nfs/dbraw/zinc/01/66/44/776016644.db2.gz GPNJYWFQNDTHKV-JGVFFNPUSA-N 0 0 272.688 2.590 20 5 CFBDRN O=C1CC(Oc2ccc(C(F)(F)F)c([N+](=O)[O-])c2)C1 ZINC001226968928 776037977 /nfs/dbraw/zinc/03/79/77/776037977.db2.gz JYFKQAUURLWQIK-UHFFFAOYSA-N 0 0 275.182 2.724 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@@H]1CC[C@H](C)O1 ZINC001226969221 776038796 /nfs/dbraw/zinc/03/87/96/776038796.db2.gz MEDSIRGXRJOTBY-QWRGUYRKSA-N 0 0 251.282 2.850 20 5 CFBDRN COC(C[C@@H](C)Oc1c(C)cccc1[N+](=O)[O-])OC ZINC001226971299 776040286 /nfs/dbraw/zinc/04/02/86/776040286.db2.gz LJJRIOMVXGXEPT-SNVBAGLBSA-N 0 0 269.297 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1OC[C@@H]1CCC(=O)O1 ZINC001226990601 776051441 /nfs/dbraw/zinc/05/14/41/776051441.db2.gz WEKHUOZGUWDLMT-QMMMGPOBSA-N 0 0 285.683 2.641 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1OCC(=O)OC(C)C ZINC001226990598 776051499 /nfs/dbraw/zinc/05/14/99/776051499.db2.gz WDUYZMXRQFYURT-UHFFFAOYSA-N 0 0 287.699 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC1CCC2(CC1)OCCO2 ZINC001226996530 776054206 /nfs/dbraw/zinc/05/42/06/776054206.db2.gz RYVZEGUCAXXCAF-UHFFFAOYSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@@H]1CCn2ccnc21 ZINC001226996547 776054418 /nfs/dbraw/zinc/05/44/18/776054418.db2.gz SDSDNSJTVIUWAH-LLVKDONJSA-N 0 0 259.265 2.624 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cc([N+](=O)[O-])ccc2C)C1 ZINC001226994975 776054718 /nfs/dbraw/zinc/05/47/18/776054718.db2.gz JJIQJZMTUHIVEQ-PWSUYJOCSA-N 0 0 279.292 2.624 20 5 CFBDRN CCc1cc2c(ncnc2O[C@@H](C[N+](=O)[O-])C2CC2)s1 ZINC001227100654 776094861 /nfs/dbraw/zinc/09/48/61/776094861.db2.gz KUIGCEZESIPMKN-NSHDSACASA-N 0 0 293.348 2.688 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2ncc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC001227109340 776101931 /nfs/dbraw/zinc/10/19/31/776101931.db2.gz XBVHBQKMDVVHPO-SCZZXKLOSA-N 0 0 281.268 2.856 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1OC1CC(F)(F)C1 ZINC001227121932 776106444 /nfs/dbraw/zinc/10/64/44/776106444.db2.gz HNIOLPAQJROFDV-UHFFFAOYSA-N 0 0 287.218 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1OC[C@H]1CCO1 ZINC001227154412 776117633 /nfs/dbraw/zinc/11/76/33/776117633.db2.gz RHIAQBAIVLXGNH-ZCFIWIBFSA-N 0 0 261.636 2.555 20 5 CFBDRN CCCc1ccc(O)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001227150721 776117639 /nfs/dbraw/zinc/11/76/39/776117639.db2.gz QMLOVELRUFOGJO-CQSZACIVSA-N 0 0 265.309 2.779 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC1CCSCC1 ZINC001227185606 776129426 /nfs/dbraw/zinc/12/94/26/776129426.db2.gz VBEJXXAPBLFNRM-UHFFFAOYSA-N 0 0 269.322 2.878 20 5 CFBDRN O=C1CC[C@@H](COc2c3ccccc3ccc2[N+](=O)[O-])O1 ZINC001227213803 776140426 /nfs/dbraw/zinc/14/04/26/776140426.db2.gz ASNSWKUHWOXJBT-NSHDSACASA-N 0 0 287.271 2.832 20 5 CFBDRN O=C1CCCC[C@H]1Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227231426 776148469 /nfs/dbraw/zinc/14/84/69/776148469.db2.gz GISUSTIZSOEWEG-LLVKDONJSA-N 0 0 276.248 2.627 20 5 CFBDRN C[C@H](Oc1nc2ccc([N+](=O)[O-])cc2o1)c1cnccn1 ZINC001227232112 776148649 /nfs/dbraw/zinc/14/86/49/776148649.db2.gz MAYKMWSKUYYOMS-QMMMGPOBSA-N 0 0 286.247 2.666 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(OC3CC(F)(F)C3)oc2c1 ZINC001227235161 776149594 /nfs/dbraw/zinc/14/95/94/776149594.db2.gz YIZRUVMXRZWIPI-UHFFFAOYSA-N 0 0 270.191 2.913 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(O[C@@H]3C[C@H]4C[C@H]4C3)oc2c1 ZINC001227234982 776149715 /nfs/dbraw/zinc/14/97/15/776149715.db2.gz WMZWPNUSKDRCMM-DIYOJNKTSA-N 0 0 260.249 2.913 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227235949 776149991 /nfs/dbraw/zinc/14/99/91/776149991.db2.gz MXIVMWSWILNNIY-PSASIEDQSA-N 0 0 278.264 2.540 20 5 CFBDRN COC(C[C@@H](C)Oc1nc2c(cccc2[N+](=O)[O-])o1)OC ZINC001227237572 776150563 /nfs/dbraw/zinc/15/05/63/776150563.db2.gz JJYDJTDDDUYYNT-MRVPVSSYSA-N 0 0 296.279 2.512 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1ncc(C)cc1[N+](=O)[O-] ZINC001227237379 776150680 /nfs/dbraw/zinc/15/06/80/776150680.db2.gz USCKBXJPBHQKED-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1cc2nc(O[C@@H](C[N+](=O)[O-])C3CC3)[nH]c2cc1C ZINC001227238191 776151205 /nfs/dbraw/zinc/15/12/05/776151205.db2.gz IYDOPRUXFRNWTQ-ZDUSSCGKSA-N 0 0 275.308 2.614 20 5 CFBDRN Cc1cnc(O[C@@H]2CCC(=O)CC2(C)C)c([N+](=O)[O-])c1 ZINC001227236881 776151266 /nfs/dbraw/zinc/15/12/66/776151266.db2.gz MAFUQBGMHFIVIN-GFCCVEGCSA-N 0 0 278.308 2.825 20 5 CFBDRN Cc1cnc(O[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 ZINC001227237169 776151331 /nfs/dbraw/zinc/15/13/31/776151331.db2.gz RWKOXKPPUIOWCJ-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC1(C)Cc2cccc(O[C@@H](C[N+](=O)[O-])C3CC3)c2O1 ZINC001227254694 776160080 /nfs/dbraw/zinc/16/00/80/776160080.db2.gz XIPOJDAPYWRNFP-ZDUSSCGKSA-N 0 0 277.320 2.834 20 5 CFBDRN Cc1ccc2cc(O[C@H](C[N+](=O)[O-])C3CC3)ccc2n1 ZINC001227275654 776167911 /nfs/dbraw/zinc/16/79/11/776167911.db2.gz BPMQFTQAESVBHK-OAHLLOKOSA-N 0 0 272.304 2.977 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1ccccc1[N+](=O)[O-] ZINC001227479348 776210847 /nfs/dbraw/zinc/21/08/47/776210847.db2.gz HEAQEPHTSZMDGS-SNVBAGLBSA-N 0 0 267.281 2.705 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC1CC[NH+](C2CC2)CC1 ZINC001227481401 776212566 /nfs/dbraw/zinc/21/25/66/776212566.db2.gz VNMQIBSYUNZBKA-UHFFFAOYSA-N 0 0 262.309 2.600 20 5 CFBDRN C[C@@H](Oc1ccccc1[N+](=O)[O-])C1CCOCC1 ZINC001227482699 776212609 /nfs/dbraw/zinc/21/26/09/776212609.db2.gz LAUUPRFXFBFUQC-SNVBAGLBSA-N 0 0 251.282 2.789 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])cc(C)c2F)nn1C ZINC001167771040 776213921 /nfs/dbraw/zinc/21/39/21/776213921.db2.gz JZORDQNGKCHNEA-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN CCOc1cc(NCc2cc(C)n(C)n2)ccc1[N+](=O)[O-] ZINC001167771388 776216403 /nfs/dbraw/zinc/21/64/03/776216403.db2.gz PQFDSXKRZIRRDU-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC001227520034 776217395 /nfs/dbraw/zinc/21/73/95/776217395.db2.gz FPRYVYUERNZXMN-OLZOCXBDSA-N 0 0 279.292 2.705 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1O[C@H](C)C(C)C ZINC001227540731 776224572 /nfs/dbraw/zinc/22/45/72/776224572.db2.gz BFGPMLDCFVRWKN-SSDOTTSWSA-N 0 0 273.310 2.866 20 5 CFBDRN Cc1noc([C@@H](C)OC(=O)c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000124963906 776227360 /nfs/dbraw/zinc/22/73/60/776227360.db2.gz SNCHFJQTTOMLHH-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CCCCC2)c2[nH]cnc21 ZINC001227692836 776259585 /nfs/dbraw/zinc/25/95/85/776259585.db2.gz FPYOAWQCOPWGTF-UHFFFAOYSA-N 0 0 262.269 2.578 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1OC[C@@H]1CCC=CO1 ZINC001227695787 776260844 /nfs/dbraw/zinc/26/08/44/776260844.db2.gz HXFOVGIBJRNSPS-JTQLQIEISA-N 0 0 265.265 2.675 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H]1CCC[N@@H+](C(C)C)C1 ZINC001227702374 776262208 /nfs/dbraw/zinc/26/22/08/776262208.db2.gz VWLRXIQDSLXBKJ-GFCCVEGCSA-N 0 0 294.351 2.855 20 5 CFBDRN COC(=O)c1cccc(O[C@H]2C[C@H]3C=C[C@@H]2C3)c1[N+](=O)[O-] ZINC001227891778 776319631 /nfs/dbraw/zinc/31/96/31/776319631.db2.gz DRJSFUGEQIIZBC-CWSCBRNRSA-N 0 0 289.287 2.725 20 5 CFBDRN COC(=O)c1cccc(OC(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC001227894856 776321024 /nfs/dbraw/zinc/32/10/24/776321024.db2.gz QDPCMKQULLMJAZ-UHFFFAOYSA-N 0 0 291.303 2.949 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cccc(Cl)n1 ZINC001227928578 776331908 /nfs/dbraw/zinc/33/19/08/776331908.db2.gz DSOFVJRAAJPLAG-QMMMGPOBSA-N 0 0 296.714 2.616 20 5 CFBDRN CC(C)Oc1ccc(COc2cncc([N+](=O)[O-])c2)cn1 ZINC001227987225 776350913 /nfs/dbraw/zinc/35/09/13/776350913.db2.gz GOPNSTBNWSPVFH-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN O=[N+]([O-])C[C@H](OCc1nc2ccccc2s1)C1CC1 ZINC001222917563 776353972 /nfs/dbraw/zinc/35/39/72/776353972.db2.gz HGCFOZYCGXFGFT-NSHDSACASA-N 0 0 278.333 2.868 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@@H]1CN2CCC1CC2 ZINC001228000516 776356261 /nfs/dbraw/zinc/35/62/61/776356261.db2.gz MBEOAPKJCWZPOZ-GFCCVEGCSA-N 0 0 282.727 2.721 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@@H]1CCc2nccn2C1 ZINC001228001305 776356301 /nfs/dbraw/zinc/35/63/01/776356301.db2.gz WTHICZCKVPTVNQ-SECBINFHSA-N 0 0 293.710 2.839 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1nccc(Cl)c1[N+](=O)[O-] ZINC001228018071 776365853 /nfs/dbraw/zinc/36/58/53/776365853.db2.gz UUCUZTFSAOFEAE-VIFPVBQESA-N 0 0 272.688 2.780 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228019047 776366206 /nfs/dbraw/zinc/36/62/06/776366206.db2.gz DQIBGZZIFUILSW-XCBNKYQSSA-N 0 0 273.235 2.677 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228022624 776367390 /nfs/dbraw/zinc/36/73/90/776367390.db2.gz ZMZJTNXGCVXLHB-SNVBAGLBSA-N 0 0 271.219 2.764 20 5 CFBDRN C[C@H]1C[C@H](Oc2ccnc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228058869 776380964 /nfs/dbraw/zinc/38/09/64/776380964.db2.gz YECIAGZAHXUXIE-JGVFFNPUSA-N 0 0 272.688 2.590 20 5 CFBDRN Cc1ccc2c(c1)onc2O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001228081858 776389590 /nfs/dbraw/zinc/38/95/90/776389590.db2.gz AGVFBSDHBIHDGI-LBPRGKRZSA-N 0 0 262.265 2.570 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2c(O)cccc12)C1CC1 ZINC001228101657 776396847 /nfs/dbraw/zinc/39/68/47/776396847.db2.gz FTIQMGBLLFJSHB-HNNXBMFYSA-N 0 0 273.288 2.980 20 5 CFBDRN CCC[C@H](C)[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C(C)C ZINC001228140943 776406685 /nfs/dbraw/zinc/40/66/85/776406685.db2.gz OHXKYAHBWCMVKB-GXSJLCMTSA-N 0 0 283.328 2.930 20 5 CFBDRN O=c1[nH]cnc(O[C@@H]2CC[C@H]3CCCC[C@@H]3C2)c1[N+](=O)[O-] ZINC001228141854 776408518 /nfs/dbraw/zinc/40/85/18/776408518.db2.gz VXQUKNVOZLSPPP-GMTAPVOTSA-N 0 0 293.323 2.828 20 5 CFBDRN CC[C@H](O)C(=O)Oc1ccc2c(c1)oc1ccccc1c2=O ZINC001228179932 776416126 /nfs/dbraw/zinc/41/61/26/776416126.db2.gz LOVVBVOAAMVYIW-ZDUSSCGKSA-N 0 0 298.294 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(OC[C@@H]3CCCO3)sc2c1 ZINC001228208834 776422538 /nfs/dbraw/zinc/42/25/38/776422538.db2.gz HCSFOGMFQGEYLM-VIFPVBQESA-N 0 0 280.305 2.762 20 5 CFBDRN Cc1cc(C)c(O[C@H]2CCn3ccnc32)c([N+](=O)[O-])c1 ZINC001228229208 776428125 /nfs/dbraw/zinc/42/81/25/776428125.db2.gz FWOBQXKZGISLLA-LBPRGKRZSA-N 0 0 273.292 2.932 20 5 CFBDRN Cc1cc(C)c(O[C@@H]2C(=O)OCC2(C)C)c([N+](=O)[O-])c1 ZINC001228230609 776428916 /nfs/dbraw/zinc/42/89/16/776428916.db2.gz UHIDORULZHUURH-GFCCVEGCSA-N 0 0 279.292 2.542 20 5 CFBDRN CC1=C(Oc2c(C)cc(C)cc2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001228237968 776430174 /nfs/dbraw/zinc/43/01/74/776430174.db2.gz RFLCXCLXRGDXBZ-JTQLQIEISA-N 0 0 277.276 2.810 20 5 CFBDRN COC(=O)C1(C)CC(Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC001228237309 776430735 /nfs/dbraw/zinc/43/07/35/776430735.db2.gz JGJKJPMZHWNTID-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228258306 776435671 /nfs/dbraw/zinc/43/56/71/776435671.db2.gz SYZVZMKGTPZTIU-QMMMGPOBSA-N 0 0 285.683 2.745 20 5 CFBDRN CC1=C[C@H](Oc2cc(Cl)cc([N+](=O)[O-])c2)CC(=O)O1 ZINC001228256055 776435864 /nfs/dbraw/zinc/43/58/64/776435864.db2.gz QBLGVPDPRBWDHV-JTQLQIEISA-N 0 0 283.667 2.846 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(OC[C@H]2CCCO2)c1 ZINC001228255845 776436015 /nfs/dbraw/zinc/43/60/15/776436015.db2.gz MYTLBFCVJUGFIP-SNVBAGLBSA-N 0 0 257.673 2.806 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228256376 776436058 /nfs/dbraw/zinc/43/60/58/776436058.db2.gz VXWULKPTPDWAGH-GFCCVEGCSA-N 0 0 298.726 2.638 20 5 CFBDRN CC(=O)c1cccnc1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228291205 776446815 /nfs/dbraw/zinc/44/68/15/776446815.db2.gz XJADFPGNTSTHCK-AWEZNQCLSA-N 0 0 298.298 2.739 20 5 CFBDRN Cc1nc2ccc(O[C@@H](C[N+](=O)[O-])C3CC3)cc2o1 ZINC001228335805 776463740 /nfs/dbraw/zinc/46/37/40/776463740.db2.gz PZRIQANSWVCKQY-ZDUSSCGKSA-N 0 0 262.265 2.570 20 5 CFBDRN Cc1cnc(NC[C@H]2CC[C@@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000722331620 776477056 /nfs/dbraw/zinc/47/70/56/776477056.db2.gz CKBPYFCITINFAX-YPMHNXCESA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@@H](C3CC3)O2)ncc1[N+](=O)[O-] ZINC000722333768 776480646 /nfs/dbraw/zinc/48/06/46/776480646.db2.gz ODVHSIPHRUAHPK-YPMHNXCESA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CC[C@H](C3CC3)O2)n1 ZINC000722335156 776482492 /nfs/dbraw/zinc/48/24/92/776482492.db2.gz XORVFMYIWHZQFO-DGCLKSJQSA-N 0 0 277.324 2.668 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1OC[C@@H]1CCCO1 ZINC001228449774 776493978 /nfs/dbraw/zinc/49/39/78/776493978.db2.gz DMCJHHXYFCWRBY-NSHDSACASA-N 0 0 279.292 2.664 20 5 CFBDRN c1ccc2nc3c(cccc3OC[C@H]3COCCO3)nc2c1 ZINC001228517936 776510534 /nfs/dbraw/zinc/51/05/34/776510534.db2.gz AJECCWXNBXGPGL-GFCCVEGCSA-N 0 0 296.326 2.577 20 5 CFBDRN c1ccc2nc3c(cccc3OC[C@@H]3CCO3)nc2c1 ZINC001228524506 776511816 /nfs/dbraw/zinc/51/18/16/776511816.db2.gz ZMSVVNAVWRBIJB-NSHDSACASA-N 0 0 266.300 2.951 20 5 CFBDRN CC[C@@H](COC)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC001223223957 776523614 /nfs/dbraw/zinc/52/36/14/776523614.db2.gz HHMLAFGKQFZGLI-LBPRGKRZSA-N 0 0 253.298 2.845 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2c(C)cc([N+](=O)[O-])cc2C)C1 ZINC001228571234 776524266 /nfs/dbraw/zinc/52/42/66/776524266.db2.gz MLAZLXTVDBBBGE-YPMHNXCESA-N 0 0 293.319 2.932 20 5 CFBDRN CC1=C(OCc2ccc(C)c([N+](=O)[O-])c2)C(=O)O[C@@H]1C ZINC001223226542 776527635 /nfs/dbraw/zinc/52/76/35/776527635.db2.gz XSKFEXALZNCCDO-SNVBAGLBSA-N 0 0 277.276 2.639 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccnc1C(F)(F)F)C1CC1 ZINC001228595727 776534863 /nfs/dbraw/zinc/53/48/63/776534863.db2.gz CRYNSSBCIBKXRL-VIFPVBQESA-N 0 0 276.214 2.535 20 5 CFBDRN C[C@H](Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-])C1CCC1 ZINC001228604604 776536869 /nfs/dbraw/zinc/53/68/69/776536869.db2.gz VSDWZCNOKMNWMO-ZETCQYMHSA-N 0 0 279.296 2.535 20 5 CFBDRN C[C@H]1CCC[C@H]1Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228605618 776537598 /nfs/dbraw/zinc/53/75/98/776537598.db2.gz JZOCOAJEKFNAPY-IONNQARKSA-N 0 0 279.296 2.535 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228610753 776538554 /nfs/dbraw/zinc/53/85/54/776538554.db2.gz YWDQZKZNFHIIHZ-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228610926 776538773 /nfs/dbraw/zinc/53/87/73/776538773.db2.gz BLVCZVXCXRXLQF-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN Cc1ccc2c(ccnc2O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001228638856 776549035 /nfs/dbraw/zinc/54/90/35/776549035.db2.gz ILTIOXHOZYHUJH-CQSZACIVSA-N 0 0 272.304 2.977 20 5 CFBDRN C[C@H]1CC[C@H](Oc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])C1 ZINC001228662330 776557349 /nfs/dbraw/zinc/55/73/49/776557349.db2.gz VAFLHLUVUKTLCM-UWVGGRQHSA-N 0 0 299.348 2.566 20 5 CFBDRN Cc1cc2[nH]c(OC3CC(F)(F)C3)nc2cc1[N+](=O)[O-] ZINC001228672885 776562271 /nfs/dbraw/zinc/56/22/71/776562271.db2.gz CHBKSWYVJGTJFG-UHFFFAOYSA-N 0 0 283.234 2.956 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)c1[N+](=O)[O-] ZINC001228689670 776568775 /nfs/dbraw/zinc/56/87/75/776568775.db2.gz VWBVMYDYMHVZDO-OWUUHHOZSA-N 0 0 278.308 2.817 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228689575 776569231 /nfs/dbraw/zinc/56/92/31/776569231.db2.gz UHXISSDIDVDWQH-VXNVDRBHSA-N 0 0 254.286 2.817 20 5 CFBDRN Cc1oc(=O)oc1CO[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001223316112 776572671 /nfs/dbraw/zinc/57/26/71/776572671.db2.gz NDGMLCNEUXZRQX-QMMMGPOBSA-N 0 0 279.248 2.727 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@@H](C(F)(F)F)O2)nc1 ZINC000722392866 776575537 /nfs/dbraw/zinc/57/55/37/776575537.db2.gz XCLBFBYCTGILGF-BDAKNGLRSA-N 0 0 291.229 2.512 20 5 CFBDRN Cc1nc(Cl)ccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001228705338 776577153 /nfs/dbraw/zinc/57/71/53/776577153.db2.gz VZLWXZFRIWOOOR-GFCCVEGCSA-N 0 0 293.710 2.835 20 5 CFBDRN COC(=O)C1CC(Oc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC001228756436 776598713 /nfs/dbraw/zinc/59/87/13/776598713.db2.gz NAMPGXVBOWCSRX-UHFFFAOYSA-N 0 0 285.683 2.579 20 5 CFBDRN CCC(CC)Oc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC001228768649 776602770 /nfs/dbraw/zinc/60/27/70/776602770.db2.gz KCORCUWSWSMIQC-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1cc(OC(C2CC2)C2CC2)cc([N+](=O)[O-])c1 ZINC001228770677 776604744 /nfs/dbraw/zinc/60/47/44/776604744.db2.gz LYQGBNUZTGABHJ-UHFFFAOYSA-N 0 0 291.303 2.949 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ncc(Cl)cc1F)c1cccnc1 ZINC001228832194 776623989 /nfs/dbraw/zinc/62/39/89/776623989.db2.gz RGZXSPSPGDQTJF-LLVKDONJSA-N 0 0 297.673 2.666 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228832731 776625927 /nfs/dbraw/zinc/62/59/27/776625927.db2.gz BLCDNWSGIKDONH-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1cc(O[C@H]2CCO[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC001228839525 776628600 /nfs/dbraw/zinc/62/86/00/776628600.db2.gz WIBZBKLZHZMOKF-PWSUYJOCSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cc(O[C@@H]2CCO[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC001228839521 776628607 /nfs/dbraw/zinc/62/86/07/776628607.db2.gz WIBZBKLZHZMOKF-CMPLNLGQSA-N 0 0 251.282 2.850 20 5 CFBDRN O=C1CCCc2ccc(O[C@@H](C[N+](=O)[O-])C3CC3)cc21 ZINC001228842913 776630397 /nfs/dbraw/zinc/63/03/97/776630397.db2.gz ZOHXCCHFRIZLOV-HNNXBMFYSA-N 0 0 275.304 2.640 20 5 CFBDRN O=[N+]([O-])c1ccncc1O[C@@H]1CCCc2cccnc21 ZINC001228879628 776644114 /nfs/dbraw/zinc/64/41/14/776644114.db2.gz IYYJZAGCDQGKAA-GFCCVEGCSA-N 0 0 271.276 2.841 20 5 CFBDRN CC(C)Oc1cncc(COc2cnccc2[N+](=O)[O-])c1 ZINC001228881321 776645349 /nfs/dbraw/zinc/64/53/49/776645349.db2.gz DBNOTOARKQTIJH-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN O=[N+]([O-])c1ccncc1O[C@H]1COCc2ccccc21 ZINC001228881304 776645559 /nfs/dbraw/zinc/64/55/59/776645559.db2.gz CYFAIAGPGVAQGH-AWEZNQCLSA-N 0 0 272.260 2.640 20 5 CFBDRN CCCCCOC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000126007346 776653321 /nfs/dbraw/zinc/65/33/21/776653321.db2.gz JPMRDHYPCJNRCU-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C ZINC001228916519 776657905 /nfs/dbraw/zinc/65/79/05/776657905.db2.gz UBCSBLVEMCXHNS-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1cccc(C(F)(F)F)c1OC[C@@H]1CCO1 ZINC001228929527 776660229 /nfs/dbraw/zinc/66/02/29/776660229.db2.gz DLZFYIRTNKWLGI-ZETCQYMHSA-N 0 0 277.198 2.781 20 5 CFBDRN O=C1CC(Oc2c([N+](=O)[O-])cccc2C(F)(F)F)C1 ZINC001228929958 776660985 /nfs/dbraw/zinc/66/09/85/776660985.db2.gz IHECHGGIQIQFRX-UHFFFAOYSA-N 0 0 275.182 2.724 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(Cl)n1)c1cncnc1 ZINC001228934097 776661931 /nfs/dbraw/zinc/66/19/31/776661931.db2.gz LUFOPXBCJBKTTC-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CO[C@H](C)CCOc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228970137 776672935 /nfs/dbraw/zinc/67/29/35/776672935.db2.gz GKHYKBGTKYEIFD-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(O[C@H]3CCOC[C@H]3F)c2c1 ZINC001229008374 776687082 /nfs/dbraw/zinc/68/70/82/776687082.db2.gz MEIUFJUURUQYGO-OLZOCXBDSA-N 0 0 292.266 2.649 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229007128 776687671 /nfs/dbraw/zinc/68/76/71/776687671.db2.gz FZLDECKWPZTKIA-IINYFYTJSA-N 0 0 288.303 2.947 20 5 CFBDRN CC[C@H](COc1nccc2ccc([N+](=O)[O-])cc21)OC ZINC001229006598 776687852 /nfs/dbraw/zinc/68/78/52/776687852.db2.gz CCSRUJOVJUNNGC-GFCCVEGCSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(O[C@@H]3CCn4ccnc43)c2c1 ZINC001229006274 776687932 /nfs/dbraw/zinc/68/79/32/776687932.db2.gz VXNSJRDUVRYNIS-CYBMUJFWSA-N 0 0 296.286 2.863 20 5 CFBDRN CC[C@H](C)Oc1cccc([N+](=O)[O-])c1C(=O)OC ZINC001229044236 776698436 /nfs/dbraw/zinc/69/84/36/776698436.db2.gz FNBPSLPPQVDAMO-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CC[C@H](C)C1 ZINC001229056887 776704026 /nfs/dbraw/zinc/70/40/26/776704026.db2.gz WTGRVALLJXOSCS-ONGXEEELSA-N 0 0 279.292 2.949 20 5 CFBDRN CC(=O)Nc1cc(OC(C)C)c(C(C)=O)cc1[N+](=O)[O-] ZINC001229131359 776726570 /nfs/dbraw/zinc/72/65/70/776726570.db2.gz WTBULSUEMOPCMR-UHFFFAOYSA-N 0 0 280.280 2.543 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cc(O[C@@H]2CCOC2)c1 ZINC001229146583 776732997 /nfs/dbraw/zinc/73/29/97/776732997.db2.gz VCPJGKKMLQALCA-SECBINFHSA-N 0 0 288.097 2.525 20 5 CFBDRN Cc1cccc(CO[C@H]2CCCCC2=O)c1[N+](=O)[O-] ZINC001223665787 776745391 /nfs/dbraw/zinc/74/53/91/776745391.db2.gz YTCUDQATFCWLKE-ZDUSSCGKSA-N 0 0 263.293 2.932 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1CCO[C@H](C)C1 ZINC001229202571 776750886 /nfs/dbraw/zinc/75/08/86/776750886.db2.gz YNEYSNINANZCCX-MWLCHTKSSA-N 0 0 269.272 2.989 20 5 CFBDRN COC[C@H](C)Oc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229344081 776784656 /nfs/dbraw/zinc/78/46/56/776784656.db2.gz OXTOGSQAXYIFKD-VIFPVBQESA-N 0 0 262.265 2.557 20 5 CFBDRN O=c1[nH]nc(OC2CCCCC2)c2c1cccc2[N+](=O)[O-] ZINC001229367120 776792421 /nfs/dbraw/zinc/79/24/21/776792421.db2.gz IDLMBARBGAOYJT-UHFFFAOYSA-N 0 0 289.291 2.955 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@H]2CCCC[C@@H]2F)c1 ZINC001229396036 776799913 /nfs/dbraw/zinc/79/99/13/776799913.db2.gz PHMDSNYLKRXGES-ONGXEEELSA-N 0 0 255.245 2.960 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@H]2CCc3cccnc32)c1 ZINC001229395499 776800277 /nfs/dbraw/zinc/80/02/77/776800277.db2.gz ISYYYQUJFKEFRY-LBPRGKRZSA-N 0 0 272.260 2.762 20 5 CFBDRN CCCCC[C@@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452018 776817174 /nfs/dbraw/zinc/81/71/74/776817174.db2.gz MGXMCOUKTJPJAB-SNVBAGLBSA-N 0 0 254.286 2.576 20 5 CFBDRN CCC[C@@H](C)[C@@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229455334 776819043 /nfs/dbraw/zinc/81/90/43/776819043.db2.gz DWVWQMHVEFBXSZ-ZYHUDNBSSA-N 0 0 268.313 2.822 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])CC2 ZINC001229454054 776819139 /nfs/dbraw/zinc/81/91/39/776819139.db2.gz HMRJARIKFCQOSM-CQSZACIVSA-N 0 0 286.287 2.603 20 5 CFBDRN Cc1cc(O[C@@H]2CCCOC2)cc(C)c1[N+](=O)[O-] ZINC001229465334 776820035 /nfs/dbraw/zinc/82/00/35/776820035.db2.gz BUVDRXJCKOVPQV-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN CC1=C(Oc2cc(C)c([N+](=O)[O-])c(C)c2)C(=O)O[C@H]1C ZINC001229470897 776821162 /nfs/dbraw/zinc/82/11/62/776821162.db2.gz VDXYAZQJICRLNY-JTQLQIEISA-N 0 0 277.276 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(OC[C@@H]3CCCCO3)nc2c1 ZINC001229485955 776827372 /nfs/dbraw/zinc/82/73/72/776827372.db2.gz CLMYRLULERPHOJ-JTQLQIEISA-N 0 0 278.264 2.684 20 5 CFBDRN C[C@H](Oc1nc2cc([N+](=O)[O-])ccc2o1)c1cnccn1 ZINC001229492215 776829050 /nfs/dbraw/zinc/82/90/50/776829050.db2.gz XMBBOADKTOWRFH-QMMMGPOBSA-N 0 0 286.247 2.666 20 5 CFBDRN CC(C)c1cccc(O[C@H](C[N+](=O)[O-])C2CC2)c(=O)c1 ZINC001229634192 776861147 /nfs/dbraw/zinc/86/11/47/776861147.db2.gz ISRDOHBMLPAHBK-OAHLLOKOSA-N 0 0 277.320 2.604 20 5 CFBDRN COC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(Cl)c1 ZINC001229751301 776887969 /nfs/dbraw/zinc/88/79/69/776887969.db2.gz NRIDMQPKFVBCIC-LBPRGKRZSA-N 0 0 299.710 2.561 20 5 CFBDRN Cc1cc(O)c(O[C@@H]2COc3cnccc32)cc1[N+](=O)[O-] ZINC001229754108 776889256 /nfs/dbraw/zinc/88/92/56/776889256.db2.gz MHPBTJDZQVYHNU-CQSZACIVSA-N 0 0 288.259 2.516 20 5 CFBDRN Cc1ccccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225219622 776896176 /nfs/dbraw/zinc/89/61/76/776896176.db2.gz UOQLKGUQAAQRQR-CQSZACIVSA-N 0 0 258.277 2.787 20 5 CFBDRN COc1c(C)cc([N+](=O)[O-])c(OC(C)C)c1[N+](=O)[O-] ZINC001229869740 776908082 /nfs/dbraw/zinc/90/80/82/776908082.db2.gz ILZPKACSVMLNKG-UHFFFAOYSA-N 0 0 270.241 2.607 20 5 CFBDRN COc1c(C)cc([N+](=O)[O-])c(OC2CCC2)c1[N+](=O)[O-] ZINC001229869509 776908316 /nfs/dbraw/zinc/90/83/16/776908316.db2.gz CMJGOVKFUKLVKV-UHFFFAOYSA-N 0 0 282.252 2.751 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@H]1CCCOC1 ZINC001229881909 776909622 /nfs/dbraw/zinc/90/96/22/776909622.db2.gz IFAFPROAEFEXEY-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@H]1C=C(C)OC(=O)C1 ZINC001229883653 776910794 /nfs/dbraw/zinc/91/07/94/776910794.db2.gz WUCNPJVDYJGIMQ-NSHDSACASA-N 0 0 277.276 2.810 20 5 CFBDRN CCOC(=O)[C@H](Oc1cc(F)c(C)cc1[N+](=O)[O-])C1CC1 ZINC001229895309 776912498 /nfs/dbraw/zinc/91/24/98/776912498.db2.gz AGKPSCKZPMOCIK-CYBMUJFWSA-N 0 0 297.282 2.763 20 5 CFBDRN CO[C@@H](C)CCOc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229894880 776913158 /nfs/dbraw/zinc/91/31/58/776913158.db2.gz LQKHRHPDOURYJQ-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCOC[C@@H]2F)cc1F ZINC001229895743 776913887 /nfs/dbraw/zinc/91/38/87/776913887.db2.gz GBWBGYPMLMDJOM-GXSJLCMTSA-N 0 0 273.235 2.548 20 5 CFBDRN CC[C@H](C)Oc1c(C(=O)OC)ccc(C)c1[N+](=O)[O-] ZINC001229904544 776915028 /nfs/dbraw/zinc/91/50/28/776915028.db2.gz YDXMTBFDLZSKRU-VIFPVBQESA-N 0 0 267.281 2.867 20 5 CFBDRN CC(C)[N@@H+]1CC[C@H](Oc2ccc([N+](=O)[O-])nc2Cl)C1 ZINC001229915185 776917673 /nfs/dbraw/zinc/91/76/73/776917673.db2.gz LPCWUTSXJKSCBS-VIFPVBQESA-N 0 0 285.731 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2COc3cnccc32)c(Cl)n1 ZINC001229918720 776918330 /nfs/dbraw/zinc/91/83/30/776918330.db2.gz GMPCTARETSQELV-SNVBAGLBSA-N 0 0 293.666 2.551 20 5 CFBDRN COC(=O)c1cc(O[C@H](C)C(C)C)c(F)cc1[N+](=O)[O-] ZINC001229938456 776920812 /nfs/dbraw/zinc/92/08/12/776920812.db2.gz IRMVFTBYJIHNQP-MRVPVSSYSA-N 0 0 285.271 2.944 20 5 CFBDRN Cc1cc(OCC(=O)OC(C)C)c([N+](=O)[O-])cc1Cl ZINC001229974727 776929460 /nfs/dbraw/zinc/92/94/60/776929460.db2.gz IEMIGPMGMKUBQI-UHFFFAOYSA-N 0 0 287.699 2.887 20 5 CFBDRN C/C=C/COC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 ZINC000126260921 776943192 /nfs/dbraw/zinc/94/31/92/776943192.db2.gz LCBMGNRWQCFUHD-NSCUHMNNSA-N 0 0 287.275 2.513 20 5 CFBDRN COc1cc(O[C@@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC001230025811 776943482 /nfs/dbraw/zinc/94/34/82/776943482.db2.gz UGTQPFIDLHXHCN-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc(C)cc1[N+](=O)[O-])OC ZINC001230146363 776955053 /nfs/dbraw/zinc/95/50/53/776955053.db2.gz NZGPCFWBEZVGMY-SNVBAGLBSA-N 0 0 269.297 2.680 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1ccc(C)cc1[N+](=O)[O-] ZINC001230146788 776955172 /nfs/dbraw/zinc/95/51/72/776955172.db2.gz WTCQAFPIKONKFS-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1ccc(C)cc1[N+](=O)[O-] ZINC001230143266 776955619 /nfs/dbraw/zinc/95/56/19/776955619.db2.gz ILOKOHIDVXVXQT-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230229950 776961098 /nfs/dbraw/zinc/96/10/98/776961098.db2.gz IUHOMPGGPHIPLN-LDYMZIIASA-N 0 0 276.296 2.824 20 5 CFBDRN Cc1cc2n[nH]cc2cc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001230225097 776961619 /nfs/dbraw/zinc/96/16/19/776961619.db2.gz HXJRAJAVUMRZQO-OAHLLOKOSA-N 0 0 298.302 2.663 20 5 CFBDRN CC[C@@H](C)[C@H](C)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230230296 776961667 /nfs/dbraw/zinc/96/16/67/776961667.db2.gz NHXGFKFLISTWHJ-SFYZADRCSA-N 0 0 264.285 2.680 20 5 CFBDRN CCC[C@@H](CC)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230230682 776961731 /nfs/dbraw/zinc/96/17/31/776961731.db2.gz ZHAGKTNBUYODKG-MRVPVSSYSA-N 0 0 264.285 2.824 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cncc(Cl)c1 ZINC001225290424 776963838 /nfs/dbraw/zinc/96/38/38/776963838.db2.gz YUTJFFPNXVKKJJ-MRVPVSSYSA-N 0 0 296.714 2.616 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc([N+](=O)[O-])ccc1NC(C)=O ZINC001230246063 776965455 /nfs/dbraw/zinc/96/54/55/776965455.db2.gz HGEVBYFWRZXOEN-ZDUSSCGKSA-N 0 0 294.307 2.690 20 5 CFBDRN CCCOC[C@@H](C)Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC001230316245 776977070 /nfs/dbraw/zinc/97/70/70/776977070.db2.gz SOQJQQQRDYXFGX-SECBINFHSA-N 0 0 284.268 2.697 20 5 CFBDRN C[C@H](Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C1CCOCC1 ZINC001230312133 776977283 /nfs/dbraw/zinc/97/72/83/776977283.db2.gz CTZRMBONGFANFN-VIFPVBQESA-N 0 0 296.279 2.697 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1O[C@@H]1C=CCC1 ZINC001230314456 776977473 /nfs/dbraw/zinc/97/74/73/776977473.db2.gz FMFULDPYJKXOGP-MRVPVSSYSA-N 0 0 250.210 2.600 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC001230315788 776977590 /nfs/dbraw/zinc/97/75/90/776977590.db2.gz OUIAODWUUPHINS-NSHDSACASA-N 0 0 282.252 2.640 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1O[C@H]1C=CCC1 ZINC001230314457 776977675 /nfs/dbraw/zinc/97/76/75/776977675.db2.gz FMFULDPYJKXOGP-QMMMGPOBSA-N 0 0 250.210 2.600 20 5 CFBDRN Cc1c([C@@H](C)Oc2ccc(F)c([N+](=O)[O-])c2)cnn1C ZINC001225383167 776980566 /nfs/dbraw/zinc/98/05/66/776980566.db2.gz VRVBUJRAGMZHBA-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN Cc1cc(O[C@@H]2CCn3ccnc32)cc([N+](=O)[O-])c1C ZINC001230471864 776995859 /nfs/dbraw/zinc/99/58/59/776995859.db2.gz TVHMKRGXKLMWAX-CYBMUJFWSA-N 0 0 273.292 2.932 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1cc(C)c(C)c([N+](=O)[O-])c1 ZINC001230471336 776995869 /nfs/dbraw/zinc/99/58/69/776995869.db2.gz CLGPVOISRPGBEE-JTQLQIEISA-N 0 0 279.292 2.708 20 5 CFBDRN Cc1ccc(O[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492238 776999934 /nfs/dbraw/zinc/99/99/34/776999934.db2.gz LXMXIEGCJHCKMR-ILWJIGKKSA-N 0 0 278.264 2.989 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1O[C@H]1C=CCCC1 ZINC001230600455 777023697 /nfs/dbraw/zinc/02/36/97/777023697.db2.gz DXZFSVRCTDEKFB-JTQLQIEISA-N 0 0 259.265 2.959 20 5 CFBDRN O=C1OC[C@H](COc2ccc3ccccc3c2[N+](=O)[O-])O1 ZINC001230623899 777027878 /nfs/dbraw/zinc/02/78/78/777027878.db2.gz ZRBPUVDYRGPTOI-JTQLQIEISA-N 0 0 289.243 2.662 20 5 CFBDRN O=[N+]([O-])c1c(OC[C@@H]2CCO2)ccc2ccccc21 ZINC001230622902 777028124 /nfs/dbraw/zinc/02/81/24/777028124.db2.gz QHQXXRRKAMSUJW-NSHDSACASA-N 0 0 259.261 2.916 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001230723849 777051599 /nfs/dbraw/zinc/05/15/99/777051599.db2.gz PEHKJDSLYALUJM-ZDUSSCGKSA-N 0 0 280.280 2.646 20 5 CFBDRN CCOC[C@H](C)Oc1ccc([N+](=O)[O-])c(CC(C)=O)c1F ZINC001230793443 777066632 /nfs/dbraw/zinc/06/66/32/777066632.db2.gz AHTPZBDHKBYDBE-JTQLQIEISA-N 0 0 299.298 2.669 20 5 CFBDRN CC[C@H](COC)Oc1ccc([N+](=O)[O-])c(CC(C)=O)c1F ZINC001230793636 777066917 /nfs/dbraw/zinc/06/69/17/777066917.db2.gz CXGOVPWBFGOCAW-SNVBAGLBSA-N 0 0 299.298 2.669 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)nc1[N+](=O)[O-])c1ncccn1 ZINC001230873029 777078758 /nfs/dbraw/zinc/07/87/58/777078758.db2.gz UVKNUEAAOZNUGX-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1OC[C@H]1CCC=CO1 ZINC001230872251 777078790 /nfs/dbraw/zinc/07/87/90/777078790.db2.gz LMYSWMRNWFQDNW-MRVPVSSYSA-N 0 0 270.672 2.715 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1OCCOC1CCCC1 ZINC001230879696 777079793 /nfs/dbraw/zinc/07/97/93/777079793.db2.gz RZPIAOROYIPWFQ-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230915508 777092918 /nfs/dbraw/zinc/09/29/18/777092918.db2.gz QIKHHRMSQRHWQJ-MRVPVSSYSA-N 0 0 285.683 2.745 20 5 CFBDRN CC(=O)c1cc(Cl)cc([N+](=O)[O-])c1O[C@@H]1CCN(C)C1 ZINC001230928949 777095451 /nfs/dbraw/zinc/09/54/51/777095451.db2.gz PEGSVQWAMSLNRN-SNVBAGLBSA-N 0 0 298.726 2.534 20 5 CFBDRN O=C1SCC[C@@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC001167946145 777121995 /nfs/dbraw/zinc/12/19/95/777121995.db2.gz PCDLUPPZIIAJMX-JTQLQIEISA-N 0 0 289.316 2.587 20 5 CFBDRN CCCOC[C@@H](C)Oc1ncnc2cccc([N+](=O)[O-])c21 ZINC001231053162 777125932 /nfs/dbraw/zinc/12/59/32/777125932.db2.gz KFHUJENRFVOTKX-SNVBAGLBSA-N 0 0 291.307 2.732 20 5 CFBDRN COC(=O)C1(C)CC(Oc2c(C)ccc(C)c2[N+](=O)[O-])C1 ZINC001231056190 777127044 /nfs/dbraw/zinc/12/70/44/777127044.db2.gz KFFCKSQTQAOYBG-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ncnc2cccc([N+](=O)[O-])c21 ZINC001231055408 777127318 /nfs/dbraw/zinc/12/73/18/777127318.db2.gz IZYBFOVKPXDRMA-GFCCVEGCSA-N 0 0 289.291 2.675 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1c(C)ccc(C)c1[N+](=O)[O-] ZINC001231061853 777128280 /nfs/dbraw/zinc/12/82/80/777128280.db2.gz UWLOHVSWGCWRBN-NSHDSACASA-N 0 0 279.292 2.708 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1c(C)ccc(C)c1[N+](=O)[O-] ZINC001231058825 777128394 /nfs/dbraw/zinc/12/83/94/777128394.db2.gz CZRKKNUFIRDSMC-NEPJUHHUSA-N 0 0 293.319 2.932 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1c(C)ccc(C)c1[N+](=O)[O-] ZINC001231061873 777128767 /nfs/dbraw/zinc/12/87/67/777128767.db2.gz VCGIJBXZYZHKHE-JTQLQIEISA-N 0 0 267.281 2.542 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(-c2ncc[nH]2)cc1)C1CC1 ZINC001231080585 777135150 /nfs/dbraw/zinc/13/51/50/777135150.db2.gz FOCNMEQHXIUVRR-ZDUSSCGKSA-N 0 0 273.292 2.511 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2c(Cl)ncnc2c1)C1CC1 ZINC001231114683 777144956 /nfs/dbraw/zinc/14/49/56/777144956.db2.gz HGBILRUPDZXJCX-GFCCVEGCSA-N 0 0 293.710 2.717 20 5 CFBDRN CNc1cc([N+](=O)[O-])cnc1NCc1ccccc1C ZINC001161620766 777150093 /nfs/dbraw/zinc/15/00/93/777150093.db2.gz FPZYAYPXQHDGPB-UHFFFAOYSA-N 0 0 272.308 2.952 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc2cccc(F)c2c1)C1CC1 ZINC001231135487 777152418 /nfs/dbraw/zinc/15/24/18/777152418.db2.gz MXYHEIFVULNHPS-AWEZNQCLSA-N 0 0 276.267 2.808 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc2cc(F)ccc2c1)C1CC1 ZINC001231134253 777152948 /nfs/dbraw/zinc/15/29/48/777152948.db2.gz KGLATYITOBHOMW-AWEZNQCLSA-N 0 0 276.267 2.808 20 5 CFBDRN C[C@@H]1CC(Oc2[nH]ccc(=O)c2[N+](=O)[O-])C[C@@H](C)C1 ZINC001225666031 777154869 /nfs/dbraw/zinc/15/48/69/777154869.db2.gz FROJJBMAYMFZJG-IUCAKERBSA-N 0 0 266.297 2.899 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc2c(ccc(F)c2F)c1)C1CC1 ZINC001231152129 777157994 /nfs/dbraw/zinc/15/79/94/777157994.db2.gz GIKRZOWWKCKHKZ-GFCCVEGCSA-N 0 0 294.257 2.947 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2cccc(F)c2[N+](=O)[O-])C1 ZINC001225667867 777158415 /nfs/dbraw/zinc/15/84/15/777158415.db2.gz VICSPFCURJBNAR-NXEZZACHSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(O[C@@H]3CCCOC3)cnc21 ZINC001231155679 777159567 /nfs/dbraw/zinc/15/95/67/777159567.db2.gz CKEQKJSHJGQWFB-LLVKDONJSA-N 0 0 274.276 2.701 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(O[C@H]3CCn4ccnc43)cnc21 ZINC001231158998 777160208 /nfs/dbraw/zinc/16/02/08/777160208.db2.gz QXCLAAXZERIJHF-ZDUSSCGKSA-N 0 0 296.286 2.863 20 5 CFBDRN O=c1ccc2nc3ccc(OC4CC4)cc3oc-2c1 ZINC001231334393 777193782 /nfs/dbraw/zinc/19/37/82/777193782.db2.gz INJGCFOHWJSDLL-UHFFFAOYSA-N 0 0 253.257 2.834 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@H]1C=CCC1 ZINC000711761213 777234851 /nfs/dbraw/zinc/23/48/51/777234851.db2.gz SPWKSWTWZZEZEP-NSHDSACASA-N 0 0 269.304 2.943 20 5 CFBDRN COC(C)(C)CCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000711873080 777242365 /nfs/dbraw/zinc/24/23/65/777242365.db2.gz CDUBKRFRIXBLLE-UHFFFAOYSA-N 0 0 283.284 2.730 20 5 CFBDRN O=C1CCC(Oc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC001225906663 777331077 /nfs/dbraw/zinc/33/10/77/777331077.db2.gz ZYGWZIGDYZZHOJ-UHFFFAOYSA-N 0 0 253.229 2.624 20 5 CFBDRN CCN1CC[C@@H]1CNc1ccc([N+](=O)[O-])cc1Cl ZINC000712526871 777338650 /nfs/dbraw/zinc/33/86/50/777338650.db2.gz FSRMTTPFSWCWLG-SNVBAGLBSA-N 0 0 269.732 2.754 20 5 CFBDRN COC(=O)c1ncc([N+](=O)[O-])c(C)c1NCC(C)(C)C ZINC001161639147 777345214 /nfs/dbraw/zinc/34/52/14/777345214.db2.gz HHICTIKCGZLSQA-UHFFFAOYSA-N 0 0 281.312 2.543 20 5 CFBDRN C[C@@H](Oc1c(F)cccc1[N+](=O)[O-])c1cnccn1 ZINC001226010967 777376933 /nfs/dbraw/zinc/37/69/33/777376933.db2.gz XSHSCRXGYJQSJZ-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226180183 777470659 /nfs/dbraw/zinc/47/06/59/777470659.db2.gz KMAGGYVLIZRYQS-WRWORJQWSA-N 0 0 273.235 2.677 20 5 CFBDRN c1cnc2c(c1)ccc1ccc(CN3CCCO3)nc12 ZINC001232219616 777479916 /nfs/dbraw/zinc/47/99/16/777479916.db2.gz WLFZCLNUBGPJGV-UHFFFAOYSA-N 0 0 265.316 2.920 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCCCC1=O ZINC001226530645 777570012 /nfs/dbraw/zinc/57/00/12/777570012.db2.gz IBTHDAJLCAMCJY-AWEZNQCLSA-N 0 0 277.276 2.688 20 5 CFBDRN Cc1cnnc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC001226718212 777618681 /nfs/dbraw/zinc/61/86/81/777618681.db2.gz MOQUDERYDBOHII-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1OC1CCSCC1 ZINC001226761921 777635473 /nfs/dbraw/zinc/63/54/73/777635473.db2.gz NXWCVZQDCGXTAG-UHFFFAOYSA-N 0 0 254.311 2.573 20 5 CFBDRN CCc1nc(NC(=O)[C@@H]2CC2[N+](=O)[O-])sc1C(C)(C)C ZINC000839797300 777646267 /nfs/dbraw/zinc/64/62/67/777646267.db2.gz HOBKHKFNPJDYQY-VXNVDRBHSA-N 0 0 297.380 2.607 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@@H](C)c1ncccn1 ZINC001226997544 777724044 /nfs/dbraw/zinc/72/40/44/777724044.db2.gz XWKBNBKCJFRHHA-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC(=O)c1c(Cl)cccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001227010214 777728762 /nfs/dbraw/zinc/72/87/62/777728762.db2.gz RKGYMPRAQUNLQU-LBPRGKRZSA-N 0 0 283.711 2.977 20 5 CFBDRN Cc1cnc(O[C@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC001227052845 777746226 /nfs/dbraw/zinc/74/62/26/777746226.db2.gz HFPICSVUMOVCPK-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCC(=O)CC2(C)C)n1 ZINC001227062965 777752703 /nfs/dbraw/zinc/75/27/03/777752703.db2.gz WUHKEHMSXCRHLD-LBPRGKRZSA-N 0 0 278.308 2.825 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H](C)C1CC1 ZINC001227122094 777768192 /nfs/dbraw/zinc/76/81/92/777768192.db2.gz KZMHILWUICVOGK-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN Cc1ccc(OCCOC2CCCC2)c([N+](=O)[O-])n1 ZINC001227222951 777792078 /nfs/dbraw/zinc/79/20/78/777792078.db2.gz YCMVZTWXQYECMM-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2ccncc2F)c([N+](=O)[O-])n1 ZINC001227225102 777792395 /nfs/dbraw/zinc/79/23/95/777792395.db2.gz XUCVRLSDEUUNSH-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN C[C@H](CO)[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000696801926 777827455 /nfs/dbraw/zinc/82/74/55/777827455.db2.gz TVPBFNGWMKGJMQ-ZWNOBZJWSA-N 0 0 282.315 2.721 20 5 CFBDRN CCOc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(F)c1F ZINC001227878323 777950231 /nfs/dbraw/zinc/95/02/31/777950231.db2.gz AMSZBUNCIUZLOV-LLVKDONJSA-N 0 0 287.262 2.798 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1OC1CCSCC1 ZINC001233551581 777987864 /nfs/dbraw/zinc/98/78/64/777987864.db2.gz QFTNGSJYGZZZDN-UHFFFAOYSA-N 0 0 254.311 2.573 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H](C)COC(C)(C)C ZINC001233552174 777987867 /nfs/dbraw/zinc/98/78/67/777987867.db2.gz UOFRZFCJWFIYCN-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H]1Cc2ccncc2[C@H]1C ZINC001233553027 777989787 /nfs/dbraw/zinc/98/97/87/777989787.db2.gz GABFLJOIZJVFRM-MFKMUULPSA-N 0 0 285.303 2.800 20 5 CFBDRN Cc1c([C@H](C)Oc2nccc(Cl)c2[N+](=O)[O-])cnn1C ZINC001228014554 777995535 /nfs/dbraw/zinc/99/55/35/777995535.db2.gz LAZUXXXZJZUXJG-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@H]2CCC=CO2)cc1F ZINC001233597229 778004315 /nfs/dbraw/zinc/00/43/15/778004315.db2.gz KIRMFUVNKGKUNM-MRVPVSSYSA-N 0 0 271.219 2.945 20 5 CFBDRN Cc1noc2cccc(O[C@@H](C[N+](=O)[O-])C3CC3)c12 ZINC001233666545 778032275 /nfs/dbraw/zinc/03/22/75/778032275.db2.gz DNLLNJRXYGQXRQ-LBPRGKRZSA-N 0 0 262.265 2.570 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228254991 778034250 /nfs/dbraw/zinc/03/42/50/778034250.db2.gz CMDXPTGJCYVOQH-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN CCOC[C@@H](C)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233699412 778044388 /nfs/dbraw/zinc/04/43/88/778044388.db2.gz OAQRCVIVGFCPMS-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@H]1C[C@H](Oc2c(F)cc(F)cc2[N+](=O)[O-])CCO1 ZINC001233698020 778045536 /nfs/dbraw/zinc/04/55/36/778045536.db2.gz DYLIBSUCOVPHJL-IONNQARKSA-N 0 0 273.235 2.819 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233699431 778046380 /nfs/dbraw/zinc/04/63/80/778046380.db2.gz OQOKZXGQMLJIIV-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc(Cl)cnc2[N+](=O)[O-])=C1C ZINC001233716943 778051325 /nfs/dbraw/zinc/05/13/25/778051325.db2.gz SAUGDNWYFGQNJY-MRVPVSSYSA-N 0 0 298.682 2.631 20 5 CFBDRN O=[N+]([O-])c1ncc(Cl)cc1OC[C@@H]1CCCCO1 ZINC001233716658 778051962 /nfs/dbraw/zinc/05/19/62/778051962.db2.gz PBVHWKLYLCAFMS-VIFPVBQESA-N 0 0 272.688 2.591 20 5 CFBDRN COC(C[C@H](C)Oc1cc(F)c([N+](=O)[O-])c(F)c1)OC ZINC001233754955 778064271 /nfs/dbraw/zinc/06/42/71/778064271.db2.gz WWNPCYJKTOQQAC-ZETCQYMHSA-N 0 0 291.250 2.649 20 5 CFBDRN CCOC[C@@H](C)Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233752186 778064804 /nfs/dbraw/zinc/06/48/04/778064804.db2.gz AJVQNOBPRDRFMR-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233771458 778072952 /nfs/dbraw/zinc/07/29/52/778072952.db2.gz WBJALNKLUDUIBX-QMMMGPOBSA-N 0 0 289.234 2.594 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H]1C[C@@H]1C ZINC000127359847 778076548 /nfs/dbraw/zinc/07/65/48/778076548.db2.gz GSHORJJIPNSUPI-JOYOIKCWSA-N 0 0 279.292 2.693 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(O[C@H]3CCCOC3)nc21 ZINC001233835901 778091354 /nfs/dbraw/zinc/09/13/54/778091354.db2.gz GGTBHPCWJHGQLE-NSHDSACASA-N 0 0 274.276 2.701 20 5 CFBDRN CC[C@@H](COC)Oc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233836347 778092578 /nfs/dbraw/zinc/09/25/78/778092578.db2.gz MRZAYTICEIYRAZ-NSHDSACASA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H](c2cccnc2)C2CC2)ccn1 ZINC001233856685 778096906 /nfs/dbraw/zinc/09/69/06/778096906.db2.gz DRHFSRJBHPMXMF-CQSZACIVSA-N 0 0 271.276 2.915 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858923 778098223 /nfs/dbraw/zinc/09/82/23/778098223.db2.gz HYQJKNMIHAXFPO-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN COC(=O)c1cnc(OC2CCCCCC2)c([N+](=O)[O-])c1 ZINC001233861648 778098644 /nfs/dbraw/zinc/09/86/44/778098644.db2.gz KBIRBOSJSVCETA-UHFFFAOYSA-N 0 0 294.307 2.878 20 5 CFBDRN CC(C)Oc1cc(COc2ccnc([N+](=O)[O-])c2)ccn1 ZINC001233859510 778098715 /nfs/dbraw/zinc/09/87/15/778098715.db2.gz OIKIXVJIRNQSEK-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)ncc1F)c1cccnc1 ZINC001233911875 778111869 /nfs/dbraw/zinc/11/18/69/778111869.db2.gz SJSCBCZAZZMGLE-NSHDSACASA-N 0 0 297.673 2.666 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccccc1OC(F)F)C1CC1 ZINC001234022507 778144485 /nfs/dbraw/zinc/14/44/85/778144485.db2.gz NXOGFISSUWVSKG-NSHDSACASA-N 0 0 273.235 2.722 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC001234052720 778153698 /nfs/dbraw/zinc/15/36/98/778153698.db2.gz UTKQIVCHUWCEBY-DOFRTFSJSA-N 0 0 277.276 2.559 20 5 CFBDRN Cc1cc(F)c(OC[C@@H]2CC[C@H](C)O2)cc1[N+](=O)[O-] ZINC001234056012 778153975 /nfs/dbraw/zinc/15/39/75/778153975.db2.gz FALVUVXZIVLKTB-UWVGGRQHSA-N 0 0 269.272 2.989 20 5 CFBDRN COC(=O)c1ccc(O[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC001234051302 778154202 /nfs/dbraw/zinc/15/42/02/778154202.db2.gz OPYKHHSEADGKMY-LURJTMIESA-N 0 0 293.197 2.711 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cc([N+](=O)[O-])c(C)cc2F)C1 ZINC001234061597 778154944 /nfs/dbraw/zinc/15/49/44/778154944.db2.gz VMESMJOUTUODGV-UHFFFAOYSA-N 0 0 297.282 2.763 20 5 CFBDRN Cc1cc(F)c(O[C@H]2CCc3nccn3C2)cc1[N+](=O)[O-] ZINC001234061005 778155055 /nfs/dbraw/zinc/15/50/55/778155055.db2.gz SVMGXDZFQGSOEW-JTQLQIEISA-N 0 0 291.282 2.633 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234056717 778155128 /nfs/dbraw/zinc/15/51/28/778155128.db2.gz LHALDWKUEDRQBV-SECBINFHSA-N 0 0 285.271 2.763 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2CCc3nccn3C2)cc1[N+](=O)[O-] ZINC001234061006 778155397 /nfs/dbraw/zinc/15/53/97/778155397.db2.gz SVMGXDZFQGSOEW-SNVBAGLBSA-N 0 0 291.282 2.633 20 5 CFBDRN CNc1cc([N+](=O)[O-])cnc1NC1(c2ccccn2)CC1 ZINC001168150035 778164055 /nfs/dbraw/zinc/16/40/55/778164055.db2.gz HHUMBCYJCOMEGK-UHFFFAOYSA-N 0 0 285.307 2.528 20 5 CFBDRN COc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1Cl ZINC001234220467 778188194 /nfs/dbraw/zinc/18/81/94/778188194.db2.gz DAQXCRHWOPUSJO-GFCCVEGCSA-N 0 0 271.700 2.783 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H](C(C)(C)O)C1 ZINC000721849219 778199071 /nfs/dbraw/zinc/19/90/71/778199071.db2.gz WERWLWXEZKVSMG-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc([N+](=O)[O-])c(Cl)n1 ZINC001228934103 778210304 /nfs/dbraw/zinc/21/03/04/778210304.db2.gz LWODLVAOOYLMLJ-QMMMGPOBSA-N 0 0 274.704 2.837 20 5 CFBDRN COC[C@H](C)Oc1cc(N2CCCCC2)ccc1[N+](=O)[O-] ZINC001234361162 778214655 /nfs/dbraw/zinc/21/46/55/778214655.db2.gz ACKFWPDQSLPUEF-LBPRGKRZSA-N 0 0 294.351 2.999 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@H]1CCOC[C@@H]1C ZINC001228977780 778217494 /nfs/dbraw/zinc/21/74/94/778217494.db2.gz RCBOJUJACFXMAF-ZANVPECISA-N 0 0 279.292 2.601 20 5 CFBDRN COC(C)(C)[C@@H](C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000302490007 778226891 /nfs/dbraw/zinc/22/68/91/778226891.db2.gz RYTUPLDYXMVQJF-MRVPVSSYSA-N 0 0 256.277 2.959 20 5 CFBDRN C[C@H](Oc1cccoc1=O)c1ccccc1[N+](=O)[O-] ZINC001229088411 778249191 /nfs/dbraw/zinc/24/91/91/778249191.db2.gz MDWALZZQWNJJLY-VIFPVBQESA-N 0 0 261.233 2.688 20 5 CFBDRN CN1CCc2c(NC[C@H]3C[C@H](F)C3)ccc([N+](=O)[O-])c2C1 ZINC001168238747 778264706 /nfs/dbraw/zinc/26/47/06/778264706.db2.gz RVYZYEGRPVVNOR-XYPYZODXSA-N 0 0 293.342 2.743 20 5 CFBDRN CN1Cc2cc(NC[C@H]3C[C@H](F)C3)cc([N+](=O)[O-])c2N1 ZINC001168239397 778266040 /nfs/dbraw/zinc/26/60/40/778266040.db2.gz BEJDOZVRFYYVEG-CZMCAQCFSA-N 0 0 280.303 2.527 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2ncc(C)cc2[N+](=O)[O-])C1 ZINC000721246670 778273801 /nfs/dbraw/zinc/27/38/01/778273801.db2.gz UOFYEGYBBUTNCM-KHJSKFAYSA-N 0 0 277.324 2.668 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2nccc(C)c2[N+](=O)[O-])C1 ZINC000721247397 778279514 /nfs/dbraw/zinc/27/95/14/778279514.db2.gz WRNCGCAFABGCOA-IQLKVPPVSA-N 0 0 277.324 2.668 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229199152 778281941 /nfs/dbraw/zinc/28/19/41/778281941.db2.gz SQXQYVBXUNTFAP-SECBINFHSA-N 0 0 285.271 2.763 20 5 CFBDRN CO[C@H](C)COc1cc(C)c([N+](=O)[O-])c(C)c1C ZINC001234870353 778317284 /nfs/dbraw/zinc/31/72/84/778317284.db2.gz HWRAJODVIGQJTI-SECBINFHSA-N 0 0 253.298 2.934 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2ccncc2)n1 ZINC001234878122 778319204 /nfs/dbraw/zinc/31/92/04/778319204.db2.gz GSIUUUJLZVRAJI-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CC(C)Oc1c(O)cc(Br)cc1[N+](=O)[O-] ZINC001234880337 778320053 /nfs/dbraw/zinc/32/00/53/778320053.db2.gz BOXUWSFSIGXRKY-UHFFFAOYSA-N 0 0 276.086 2.850 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2ncccc2F)n1 ZINC001234880784 778320238 /nfs/dbraw/zinc/32/02/38/778320238.db2.gz HMUXVSBYHYUQBH-MRVPVSSYSA-N 0 0 293.254 2.673 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2COCCO2)c2ccccc21 ZINC001168268487 778320264 /nfs/dbraw/zinc/32/02/64/778320264.db2.gz QDMPEZIYGPGEPY-NSHDSACASA-N 0 0 288.303 2.575 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H](C)c1ncccc1F ZINC001234900557 778325075 /nfs/dbraw/zinc/32/50/75/778325075.db2.gz JYGUREXBFIXNQC-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cn2c(n1)[C@H](Oc1c(C)nccc1[N+](=O)[O-])CCC2 ZINC001234901734 778325283 /nfs/dbraw/zinc/32/52/83/778325283.db2.gz RHFIHBCQXBVHKB-GFCCVEGCSA-N 0 0 288.307 2.717 20 5 CFBDRN O=c1ccc(CNc2cc3cc[nH]c3c([N+](=O)[O-])c2)c[nH]1 ZINC001168303163 778417731 /nfs/dbraw/zinc/41/77/31/778417731.db2.gz KZXQXNKSZJAFGQ-UHFFFAOYSA-N 0 0 284.275 2.789 20 5 CFBDRN CCC1(CNc2cc([N+](=O)[O-])cc(F)c2C)COC1 ZINC001168333985 778437241 /nfs/dbraw/zinc/43/72/41/778437241.db2.gz IKRSTEKTNOWKCL-UHFFFAOYSA-N 0 0 268.288 2.881 20 5 CFBDRN CCOC(=O)/C=C/CO[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001224345794 778479312 /nfs/dbraw/zinc/47/93/12/778479312.db2.gz ZGKXEJHUANTMOO-AYLMVEPYSA-N 0 0 279.292 2.792 20 5 CFBDRN O=[N+]([O-])c1cc(NC2CSC2)cc2cccnc21 ZINC001168364681 778527259 /nfs/dbraw/zinc/52/72/59/778527259.db2.gz SBIIUYQBMGZTSU-UHFFFAOYSA-N 0 0 261.306 2.670 20 5 CFBDRN C[C@@H](OC[C@@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC001224478577 778532266 /nfs/dbraw/zinc/53/22/66/778532266.db2.gz CTKILLMSEQATFS-GHMZBOCLSA-N 0 0 251.282 2.709 20 5 CFBDRN CC[C@@H](C)CO[C@@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001224665982 778619484 /nfs/dbraw/zinc/61/94/84/778619484.db2.gz YOFVBZFAYOEJQT-ZJUUUORDSA-N 0 0 255.318 2.551 20 5 CFBDRN CC(C)C(C)(C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000698018593 778629945 /nfs/dbraw/zinc/62/99/45/778629945.db2.gz WYTPZKQJMVVFOD-UHFFFAOYSA-N 0 0 268.288 2.898 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC001126987616 778638575 /nfs/dbraw/zinc/63/85/75/778638575.db2.gz VTEAUMSVJIYJIK-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN O=C1CC(CNc2ncc(F)c3cc([N+](=O)[O-])ccc32)C1 ZINC001168390140 778770598 /nfs/dbraw/zinc/77/05/98/778770598.db2.gz PFFXDVVHKOPCKO-UHFFFAOYSA-N 0 0 289.266 2.673 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(NCc2cnc(C)nc2)c1F ZINC001168390392 778771186 /nfs/dbraw/zinc/77/11/86/778771186.db2.gz BAAKXJMMFLDTND-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN Cc1c[nH]nc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000226794220 778848005 /nfs/dbraw/zinc/84/80/05/778848005.db2.gz RNIKUNBDGNTICW-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ncc[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000138949306 778902668 /nfs/dbraw/zinc/90/26/68/778902668.db2.gz GLPDZZHRXZKRBU-ZJUUUORDSA-N 0 0 260.297 2.730 20 5 CFBDRN Cc1nc(CNc2ccsc2[N+](=O)[O-])[nH]c1C ZINC001120958753 779011694 /nfs/dbraw/zinc/01/16/94/779011694.db2.gz AAEUTOQNGLRTII-UHFFFAOYSA-N 0 0 252.299 2.608 20 5 CFBDRN C[C@H](Oc1c(F)cc(F)cc1[N+](=O)[O-])c1cncnc1 ZINC001233699871 779073983 /nfs/dbraw/zinc/07/39/83/779073983.db2.gz WBXLXQARBYIWTG-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN CCCO[C@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001205090307 779152106 /nfs/dbraw/zinc/15/21/06/779152106.db2.gz AMJOPRPRBZKZAB-MRVPVSSYSA-N 0 0 253.254 2.811 20 5 CFBDRN CC1(C)C[C@H](CNc2c(Cl)nccc2[N+](=O)[O-])O1 ZINC001168451398 779177769 /nfs/dbraw/zinc/17/77/69/779177769.db2.gz LWBACYNMWYNADB-SSDOTTSWSA-N 0 0 271.704 2.623 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1C1CC1 ZINC000176478785 779195907 /nfs/dbraw/zinc/19/59/07/779195907.db2.gz JMVGWKHWOUOFNW-QWHCGFSZSA-N 0 0 261.277 2.684 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000176531603 779218811 /nfs/dbraw/zinc/21/88/11/779218811.db2.gz KLGMUIRDRAWJRI-WDEREUQCSA-N 0 0 277.276 2.555 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CC[C@H]2C[C@H]2C1 ZINC000699219306 779221948 /nfs/dbraw/zinc/22/19/48/779221948.db2.gz SDWKVZYAHKMIPU-WPRPVWTQSA-N 0 0 280.711 2.730 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@@H]1C[C@@H]1C1CC1 ZINC000176620160 779333046 /nfs/dbraw/zinc/33/30/46/779333046.db2.gz GNAQDYVEAMOATI-HTQZYQBOSA-N 0 0 282.246 2.858 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(CCc2ccccc2)CC1 ZINC001123053669 779334930 /nfs/dbraw/zinc/33/49/30/779334930.db2.gz ZJIRTJBQMITNNZ-LBPRGKRZSA-N 0 0 277.320 2.608 20 5 CFBDRN CC[C@H](C[N+](=O)[O-])OC(=O)[C@@H](CC)OC1CCCCC1 ZINC001123258342 779369206 /nfs/dbraw/zinc/36/92/06/779369206.db2.gz YKXLCKXUYYIIFP-DGCLKSJQSA-N 0 0 287.356 2.713 20 5 CFBDRN O=C(NCCOC1CCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000691202984 779389850 /nfs/dbraw/zinc/38/98/50/779389850.db2.gz KZNYWZJPEQYHCJ-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1cccnc1NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC001124522394 779685557 /nfs/dbraw/zinc/68/55/57/779685557.db2.gz JNFFWIJTOUHQAX-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1nccnc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001124996727 779750654 /nfs/dbraw/zinc/75/06/54/779750654.db2.gz OPZNTYXICWNIMD-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN CC/C=C\COC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000213748631 779964416 /nfs/dbraw/zinc/96/44/16/779964416.db2.gz ACJGBCGUZNIRFI-PLNGDYQASA-N 0 0 265.265 2.726 20 5 CFBDRN COC1CCC(Nc2ncc(F)c(C)c2[N+](=O)[O-])CC1 ZINC001168603587 780034376 /nfs/dbraw/zinc/03/43/76/780034376.db2.gz PWIRMFZVTGDUKY-UHFFFAOYSA-N 0 0 283.303 2.807 20 5 CFBDRN COC1CCC(Nc2ccc3nc[nH]c3c2[N+](=O)[O-])CC1 ZINC001168608338 780040714 /nfs/dbraw/zinc/04/07/14/780040714.db2.gz GULYMTJCLBWIDC-UHFFFAOYSA-N 0 0 290.323 2.841 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(F)cc1NCCOc1cccnc1 ZINC001168616785 780088302 /nfs/dbraw/zinc/08/83/02/780088302.db2.gz AYTJXPRLUQDGLD-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN CC(C)OCCCNc1ccc2nsnc2c1[N+](=O)[O-] ZINC001168681138 780316813 /nfs/dbraw/zinc/31/68/13/780316813.db2.gz MTNRHPBOFRGLPP-UHFFFAOYSA-N 0 0 296.352 2.827 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1c(F)c([N+](=O)[O-])cc[n+]1[O-] ZINC001168691747 780344820 /nfs/dbraw/zinc/34/48/20/780344820.db2.gz CYPZUECXSLDPFW-DTWKUNHWSA-N 0 0 271.292 2.604 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H](C)CCCC(C)(C)O ZINC001168692750 780347363 /nfs/dbraw/zinc/34/73/63/780347363.db2.gz JZBWYFSAPVJSMH-JTQLQIEISA-N 0 0 297.355 2.740 20 5 CFBDRN COc1ccc(N[C@@H](C)CCCC(C)(C)O)nc1[N+](=O)[O-] ZINC001168697867 780364691 /nfs/dbraw/zinc/36/46/91/780364691.db2.gz SDKBUEXILHHIGG-JTQLQIEISA-N 0 0 297.355 2.740 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2OC)[C@H]1C ZINC001128281765 780377109 /nfs/dbraw/zinc/37/71/09/780377109.db2.gz SCWYPHUNWLGHEJ-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2CC23CCC3)s1 ZINC000721295245 780453196 /nfs/dbraw/zinc/45/31/96/780453196.db2.gz AGSLEXHWKMBXGC-MRVPVSSYSA-N 0 0 276.321 2.954 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@H]3CC34CCC4)nc2c1 ZINC000721295936 780462232 /nfs/dbraw/zinc/46/22/32/780462232.db2.gz WOGAAOMEGMHVHK-LLVKDONJSA-N 0 0 258.281 2.826 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NCCOC(C)C ZINC001161788684 780540921 /nfs/dbraw/zinc/54/09/21/780540921.db2.gz MYDCIXQLOXEPGV-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN CN1C[C@H](CNc2cc3ccccc3c([N+](=O)[O-])c2)CC1=O ZINC001168728854 780552221 /nfs/dbraw/zinc/55/22/21/780552221.db2.gz WNQPJHPLLCSJSD-NSHDSACASA-N 0 0 299.330 2.638 20 5 CFBDRN CN1C[C@@H](CNc2cc3ccccc3c([N+](=O)[O-])c2)CC1=O ZINC001168728852 780552315 /nfs/dbraw/zinc/55/23/15/780552315.db2.gz WNQPJHPLLCSJSD-LLVKDONJSA-N 0 0 299.330 2.638 20 5 CFBDRN Cc1ncc(CNc2ncc(F)c(C)c2[N+](=O)[O-])s1 ZINC001162155467 780588026 /nfs/dbraw/zinc/58/80/26/780588026.db2.gz VNZKPXRIGSPCDO-UHFFFAOYSA-N 0 0 282.300 2.814 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1NC(C1CC1)C1CC1 ZINC001168744173 780600275 /nfs/dbraw/zinc/60/02/75/780600275.db2.gz LYFXNELOBVLLML-UHFFFAOYSA-N 0 0 290.319 2.982 20 5 CFBDRN COc1cc([N+](=O)[O-])c(NCCC2CCOCC2)cc1F ZINC001162221455 780623622 /nfs/dbraw/zinc/62/36/22/780623622.db2.gz RATNDUOOUVGHON-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN C[C@@H]1CCN(Cc2cc([N+](=O)[O-])ccc2Cl)C[C@H]1CO ZINC000700376491 780683821 /nfs/dbraw/zinc/68/38/21/780683821.db2.gz MESHQVQEMLQWLW-PWSUYJOCSA-N 0 0 298.770 2.699 20 5 CFBDRN Cc1nnccc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000701980832 780859606 /nfs/dbraw/zinc/85/96/06/780859606.db2.gz LBGBBTRMAIGOHZ-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000702328072 780915242 /nfs/dbraw/zinc/91/52/42/780915242.db2.gz SYCPDMUSUJPFTC-CYBMUJFWSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H](F)CCNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000702569027 780933582 /nfs/dbraw/zinc/93/35/82/780933582.db2.gz DXTFVQUTIKZXOT-ZETCQYMHSA-N 0 0 274.679 2.726 20 5 CFBDRN CC1(O)CCC(Nc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000703166606 780994222 /nfs/dbraw/zinc/99/42/22/780994222.db2.gz VDYKNMPYBGGQHH-UHFFFAOYSA-N 0 0 268.288 2.839 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCOC[C@@H]2C)cc1F ZINC001229896205 781052254 /nfs/dbraw/zinc/05/22/54/781052254.db2.gz LVDVXZUMOOWGEJ-JOYOIKCWSA-N 0 0 269.272 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1Cn1ccnc1C1CC1 ZINC000704134011 781091464 /nfs/dbraw/zinc/09/14/64/781091464.db2.gz XLJCGNREHIRJTA-UHFFFAOYSA-N 0 0 261.256 2.856 20 5 CFBDRN Cc1csc(CNC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC001129061262 781109257 /nfs/dbraw/zinc/10/92/57/781109257.db2.gz DZRFNNJOOOKTDV-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Nc1c(F)c(NCC[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000704837450 781113732 /nfs/dbraw/zinc/11/37/32/781113732.db2.gz KLCHEWYUSKHLGU-MRVPVSSYSA-N 0 0 285.344 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCSC2)cn1 ZINC000704815099 781121361 /nfs/dbraw/zinc/12/13/61/781121361.db2.gz RVEBFSFXFGMXTM-VIFPVBQESA-N 0 0 253.327 2.545 20 5 CFBDRN Cn1cccc1CNc1nc(Cl)ccc1[N+](=O)[O-] ZINC001162709433 781125170 /nfs/dbraw/zinc/12/51/70/781125170.db2.gz JULQKGSZCXQCEI-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=C(NCC[C@@H]1CCSC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000704940953 781126694 /nfs/dbraw/zinc/12/66/94/781126694.db2.gz MSQGZXOSMBIHPM-SECBINFHSA-N 0 0 298.339 2.607 20 5 CFBDRN Cc1ccc(COC(=O)c2ccncc2)cc1[N+](=O)[O-] ZINC001129134494 781134754 /nfs/dbraw/zinc/13/47/54/781134754.db2.gz BISXICQBEXGETA-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CCOc1cc(NC2CSC2)ccc1[N+](=O)[O-] ZINC000705274738 781137786 /nfs/dbraw/zinc/13/77/86/781137786.db2.gz NNOUOEIUOJYDIF-UHFFFAOYSA-N 0 0 254.311 2.521 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@@H]3C[C@@H]32)ccc1Br ZINC000707002721 781220260 /nfs/dbraw/zinc/22/02/60/781220260.db2.gz RQPWHTGHVXPXDM-KOLCDFICSA-N 0 0 297.152 2.952 20 5 CFBDRN Cc1conc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000708225610 781267689 /nfs/dbraw/zinc/26/76/89/781267689.db2.gz LYYDUOQWTWWYLZ-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN CC(C)[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000708990730 781286348 /nfs/dbraw/zinc/28/63/48/781286348.db2.gz QZJGXKGNWRDQHB-GFCCVEGCSA-N 0 0 268.288 2.595 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000710084807 781327636 /nfs/dbraw/zinc/32/76/36/781327636.db2.gz CKPQBTDHLQOVME-SECBINFHSA-N 0 0 279.340 2.732 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cn(C)cn1)c1ccc([N+](=O)[O-])cc1 ZINC000710153476 781329442 /nfs/dbraw/zinc/32/94/42/781329442.db2.gz KKPGUAHCEMWCCD-MNOVXSKESA-N 0 0 274.324 2.740 20 5 CFBDRN CC[C@]1(O)CCCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000710667416 781345846 /nfs/dbraw/zinc/34/58/46/781345846.db2.gz LASYIHPTAZJHEZ-ZDUSSCGKSA-N 0 0 286.278 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CC2)cc1Cl ZINC000711688546 781464591 /nfs/dbraw/zinc/46/45/91/781464591.db2.gz MLDBLMOVONZUOF-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC[C@H]2C=CCC2)s1 ZINC000711754380 781469588 /nfs/dbraw/zinc/46/95/88/781469588.db2.gz VHECOPFNQWKCCP-QMMMGPOBSA-N 0 0 276.321 2.978 20 5 CFBDRN COc1cc(NC[C@H]2C=CCC2)c([N+](=O)[O-])cc1OC ZINC000711759573 781470790 /nfs/dbraw/zinc/47/07/90/781470790.db2.gz LIPZUBVPTCYLEC-JTQLQIEISA-N 0 0 278.308 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC(F)(F)C2)c(Cl)n1 ZINC001229915078 781472500 /nfs/dbraw/zinc/47/25/00/781472500.db2.gz JOPBQMSEHNEXHT-UHFFFAOYSA-N 0 0 264.615 2.820 20 5 CFBDRN O=C(OCCOC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000712028765 781490684 /nfs/dbraw/zinc/49/06/84/781490684.db2.gz NTYOVUKZLAALPA-UHFFFAOYSA-N 0 0 285.683 2.584 20 5 CFBDRN CC(=O)NCc1ccc(CNc2ccc([N+](=O)[O-])cc2)cc1 ZINC001063414900 781518267 /nfs/dbraw/zinc/51/82/67/781518267.db2.gz GTBHZHWFRKPSSB-UHFFFAOYSA-N 0 0 299.330 2.843 20 5 CFBDRN Cc1cc(Cl)nc(N2CCC[C@H](O)[C@@H](C)C2)c1[N+](=O)[O-] ZINC001164217132 781687761 /nfs/dbraw/zinc/68/77/61/781687761.db2.gz ASPKCKQNYJVXEN-UWVGGRQHSA-N 0 0 299.758 2.549 20 5 CFBDRN Nc1cccc(CNc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC001164220979 781694482 /nfs/dbraw/zinc/69/44/82/781694482.db2.gz QVWLUPLTNZIQMM-UHFFFAOYSA-N 0 0 278.699 2.838 20 5 CFBDRN O=[N+]([O-])c1ccnc(N2CC[C@@](CF)(C(F)(F)F)C2)c1 ZINC001164264756 781704678 /nfs/dbraw/zinc/70/46/78/781704678.db2.gz JHDOKPKQYRTWBS-JTQLQIEISA-N 0 0 293.220 2.718 20 5 CFBDRN Cc1cnc(N2CC(F)(F)C[C@]2(C)CF)c([N+](=O)[O-])c1 ZINC001164557294 781728768 /nfs/dbraw/zinc/72/87/68/781728768.db2.gz BUAQUJKIXKSWJP-LLVKDONJSA-N 0 0 289.257 2.872 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3C=CCC3)cc2N1 ZINC000698340914 781761982 /nfs/dbraw/zinc/76/19/82/781761982.db2.gz FQHKIHCMNJQUEU-JTQLQIEISA-N 0 0 273.292 2.610 20 5 CFBDRN COc1cc(C)nc(N2CCC[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC001166608892 781766080 /nfs/dbraw/zinc/76/60/80/781766080.db2.gz CDZQALQICRBJIN-MWLCHTKSSA-N 0 0 279.340 2.932 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001229961900 781835304 /nfs/dbraw/zinc/83/53/04/781835304.db2.gz HQQHIWMTGNDNHK-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc([N+](=O)[O-])ccc1F ZINC000822164608 781893429 /nfs/dbraw/zinc/89/34/29/781893429.db2.gz DZWISXRMTIBWOK-DFAYQTQMSA-N 0 0 278.283 2.652 20 5 CFBDRN CCOc1cc(N2CC3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000594590370 349453145 /nfs/dbraw/zinc/45/31/45/349453145.db2.gz YHEGPHIOLIUSHR-UHFFFAOYSA-N 0 0 284.262 2.839 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@]1(C)CCCC[C@H]1O ZINC000594553320 349446101 /nfs/dbraw/zinc/44/61/01/349446101.db2.gz FACRGWWYHYDRQE-HIFRSBDPSA-N 0 0 294.351 2.957 20 5 CFBDRN CC(C)Oc1nc(S[C@@H](C)CCO)ccc1[N+](=O)[O-] ZINC000594554366 349446531 /nfs/dbraw/zinc/44/65/31/349446531.db2.gz BLTSFUYPYOJZTD-VIFPVBQESA-N 0 0 286.353 2.640 20 5 CFBDRN CC(C)Oc1nc(N2CC3(CC(F)C3)C2)ccc1[N+](=O)[O-] ZINC000594568715 349449484 /nfs/dbraw/zinc/44/94/84/349449484.db2.gz OMMIOLILVJOQAP-UHFFFAOYSA-N 0 0 295.314 2.715 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@]2(C1)CCCOC2 ZINC000594579327 349451034 /nfs/dbraw/zinc/45/10/34/349451034.db2.gz RACQHTCSGVBOCV-HNNXBMFYSA-N 0 0 292.335 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](OC3CCC3)C2)nc1 ZINC000594593792 349454651 /nfs/dbraw/zinc/45/46/51/349454651.db2.gz CYINJOVFIHLHBQ-ZDUSSCGKSA-N 0 0 277.324 2.528 20 5 CFBDRN COCC1(CNc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000594593133 349454861 /nfs/dbraw/zinc/45/48/61/349454861.db2.gz YUFXJZYWMWXKEO-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN Cc1cnc(N2CCC[C@H](OC3CCC3)C2)c([N+](=O)[O-])c1 ZINC000594594726 349455526 /nfs/dbraw/zinc/45/55/26/349455526.db2.gz QJOJOBHAKWKZJE-ZDUSSCGKSA-N 0 0 291.351 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC3(CCCC3)O2)cn1 ZINC000594597817 349456347 /nfs/dbraw/zinc/45/63/47/349456347.db2.gz BGDVWXDHLLHUOM-GFCCVEGCSA-N 0 0 277.324 2.894 20 5 CFBDRN Cc1cc(NC[C@H]2CCC3(CCCC3)O2)ncc1[N+](=O)[O-] ZINC000594599874 349457428 /nfs/dbraw/zinc/45/74/28/349457428.db2.gz OMFHQENQYRDBSI-GFCCVEGCSA-N 0 0 291.351 2.624 20 5 CFBDRN Cc1noc(CN(C)c2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000594601032 349458240 /nfs/dbraw/zinc/45/82/40/349458240.db2.gz SMTSDPLGUYHPPN-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN C[C@@H]1CN(c2cccnc2[N+](=O)[O-])CC2(CCCCC2)O1 ZINC000594601812 349458256 /nfs/dbraw/zinc/45/82/56/349458256.db2.gz VKUUUYYXKGIVAN-GFCCVEGCSA-N 0 0 291.351 2.918 20 5 CFBDRN COc1ccc2c(c1)CN(c1cc(C)c([N+](=O)[O-])cn1)C2 ZINC000594604330 349460073 /nfs/dbraw/zinc/46/00/73/349460073.db2.gz NGSQNFQXEOGKIM-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN COc1ccc([C@H](C)Cn2c(C)ncc2[N+](=O)[O-])cc1 ZINC000594887504 349494295 /nfs/dbraw/zinc/49/42/95/349494295.db2.gz MFJUGAZAQPFUSP-SNVBAGLBSA-N 0 0 275.308 2.912 20 5 CFBDRN CO[C@H](Cn1c(C)ncc1[N+](=O)[O-])C1CCCCC1 ZINC000594888281 349494300 /nfs/dbraw/zinc/49/43/00/349494300.db2.gz VTXGEIYMCMAYDG-GFCCVEGCSA-N 0 0 267.329 2.695 20 5 CFBDRN COc1ccc([C@@H](C)Cn2nccc2[N+](=O)[O-])cc1 ZINC000594885499 349492855 /nfs/dbraw/zinc/49/28/55/349492855.db2.gz GASVKJOMVXKIFM-JTQLQIEISA-N 0 0 261.281 2.604 20 5 CFBDRN CC[C@H](OC)C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000269197257 190475815 /nfs/dbraw/zinc/47/58/15/190475815.db2.gz NIWBIKVFEZXVKD-AWEZNQCLSA-N 0 0 292.335 2.511 20 5 CFBDRN CO[C@@H](COc1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000594891914 349496123 /nfs/dbraw/zinc/49/61/23/349496123.db2.gz SQOYVKQXUJVAPG-ZDUSSCGKSA-N 0 0 269.272 2.928 20 5 CFBDRN CO[C@H](Cn1cc([N+](=O)[O-])c(C2CC2)n1)C1CCCC1 ZINC000594895484 349497795 /nfs/dbraw/zinc/49/77/95/349497795.db2.gz JXZMUFYEPGYRPU-CYBMUJFWSA-N 0 0 279.340 2.874 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCC1CCC1 ZINC000594897504 349498289 /nfs/dbraw/zinc/49/82/89/349498289.db2.gz NAZDPHSGPBHCKJ-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN CC[C@@H](CC(F)F)Cn1c(C)c([N+](=O)[O-])ccc1=O ZINC000594897150 349498641 /nfs/dbraw/zinc/49/86/41/349498641.db2.gz IGOBUDXDANTXHT-VIFPVBQESA-N 0 0 274.267 2.746 20 5 CFBDRN COc1cc(OC[C@@H](OC)C2CCC2)ccc1[N+](=O)[O-] ZINC000594899487 349499417 /nfs/dbraw/zinc/49/94/17/349499417.db2.gz IVYLSRVKENYMON-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1nc(C(F)(F)F)nn1Cc1csc([N+](=O)[O-])c1 ZINC000594902598 349499936 /nfs/dbraw/zinc/49/99/36/349499936.db2.gz YLRYMWDXWSHWAY-UHFFFAOYSA-N 0 0 292.242 2.623 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCc2cncs2)c1C ZINC000594905022 349500857 /nfs/dbraw/zinc/50/08/57/349500857.db2.gz NBDURSSAFMEOGM-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN O=[N+]([O-])c1cn(CC2CC(F)(F)C2)nc1-c1ccccn1 ZINC000594912497 349503897 /nfs/dbraw/zinc/50/38/97/349503897.db2.gz DAAKZCMWDOUHGP-UHFFFAOYSA-N 0 0 294.261 2.899 20 5 CFBDRN COCC[C@H](C)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000127667444 187324645 /nfs/dbraw/zinc/32/46/45/187324645.db2.gz WHHGVSBFEFFUEA-JTQLQIEISA-N 0 0 295.339 2.758 20 5 CFBDRN C[C@H]1CCSCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000336347249 290612341 /nfs/dbraw/zinc/61/23/41/290612341.db2.gz ZJUHYPRRULZMCJ-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC(F)F ZINC000127753949 187331101 /nfs/dbraw/zinc/33/11/01/187331101.db2.gz HWEIGJRPIYFTGK-UHFFFAOYSA-N 0 0 273.239 2.598 20 5 CFBDRN CCCN(CCC)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000096030435 185067189 /nfs/dbraw/zinc/06/71/89/185067189.db2.gz LCKCZRBXTPHZCK-UHFFFAOYSA-N 0 0 264.325 2.786 20 5 CFBDRN COc1ccc(NC(=O)CCCOC(C)C)cc1[N+](=O)[O-] ZINC000273054904 192031481 /nfs/dbraw/zinc/03/14/81/192031481.db2.gz RPHYINJNWZYYAO-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCOCC2)c1 ZINC000124870712 187158456 /nfs/dbraw/zinc/15/84/56/187158456.db2.gz JHIILKNIMHGFLP-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1csc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000067875509 346825509 /nfs/dbraw/zinc/82/55/09/346825509.db2.gz VFKHOZQUVZMDQA-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H]1COc2ccccc2O1 ZINC000067905598 346826908 /nfs/dbraw/zinc/82/69/08/346826908.db2.gz FGNRXRLEQDUYRL-VIFPVBQESA-N 0 0 292.316 2.908 20 5 CFBDRN CCOCCCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000067949798 346829328 /nfs/dbraw/zinc/82/93/28/346829328.db2.gz AMLGXJFELFEEON-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN CCCCCC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000068185579 346839786 /nfs/dbraw/zinc/83/97/86/346839786.db2.gz YCHWPDXNJOWOTI-UHFFFAOYSA-N 0 0 280.324 2.670 20 5 CFBDRN CCCCN(CCOC)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000068139876 346836819 /nfs/dbraw/zinc/83/68/19/346836819.db2.gz NPDIBAMRWRCWIY-UHFFFAOYSA-N 0 0 298.314 2.623 20 5 CFBDRN CC(C)(C)OCCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000127819103 187335036 /nfs/dbraw/zinc/33/50/36/187335036.db2.gz DWZCFEKYZVBUGF-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000068303761 346846459 /nfs/dbraw/zinc/84/64/59/346846459.db2.gz KUNCZBOLKUBEKG-UHFFFAOYSA-N 0 0 271.276 2.657 20 5 CFBDRN CO[C@@]1(C)C[C@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1(C)C ZINC000595335218 349604173 /nfs/dbraw/zinc/60/41/73/349604173.db2.gz SOUJIFOCTQFHQC-FZMZJTMJSA-N 0 0 297.355 2.527 20 5 CFBDRN C[C@H](c1cccnc1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000068321779 346847602 /nfs/dbraw/zinc/84/76/02/346847602.db2.gz VOMLQXLPSYYLPK-SNVBAGLBSA-N 0 0 258.281 2.582 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595291351 349592597 /nfs/dbraw/zinc/59/25/97/349592597.db2.gz REFJKPWKMWSFPO-GWCFXTLKSA-N 0 0 282.315 2.733 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCOC2(CCCC2)C1 ZINC000595344172 349605984 /nfs/dbraw/zinc/60/59/84/349605984.db2.gz ATOXBRSRGUCGDZ-UHFFFAOYSA-N 0 0 294.326 2.879 20 5 CFBDRN Cc1ccnc(NCC[C@@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000273097376 192042435 /nfs/dbraw/zinc/04/24/35/192042435.db2.gz DPKKNSCERQHRME-NWDGAFQWSA-N 0 0 279.340 2.915 20 5 CFBDRN CC1=CCN(Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000595394027 349615227 /nfs/dbraw/zinc/61/52/27/349615227.db2.gz USLOOYKJGSHKQD-UHFFFAOYSA-N 0 0 250.273 2.886 20 5 CFBDRN CO[C@H](C)CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269605145 190519292 /nfs/dbraw/zinc/51/92/92/190519292.db2.gz BZORPNLIRQUASG-SSDOTTSWSA-N 0 0 260.240 2.710 20 5 CFBDRN CC[C@]1(C(C)C)C[C@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000413379723 529391282 /nfs/dbraw/zinc/39/12/82/529391282.db2.gz MXXPEVYKKAEHNW-BXKDBHETSA-N 0 0 252.318 2.565 20 5 CFBDRN COc1cc(CN2CCc3ccc([N+](=O)[O-])cc32)sn1 ZINC000595430397 349624313 /nfs/dbraw/zinc/62/43/13/349624313.db2.gz JYWYXALYMRUNLN-UHFFFAOYSA-N 0 0 291.332 2.623 20 5 CFBDRN CCc1cnccc1[C@@H](C)NCc1onc(C)c1[N+](=O)[O-] ZINC000595427606 349622380 /nfs/dbraw/zinc/62/23/80/349622380.db2.gz XZANMJCYMBDCNS-SECBINFHSA-N 0 0 290.323 2.699 20 5 CFBDRN CCOC[C@@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000269653364 190528336 /nfs/dbraw/zinc/52/83/36/190528336.db2.gz RGGQJJZBTSEPMD-SECBINFHSA-N 0 0 286.278 2.736 20 5 CFBDRN CC1(C)CC(NC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000595447701 349629891 /nfs/dbraw/zinc/62/98/91/349629891.db2.gz PDMSAEZOMCXJMF-UHFFFAOYSA-N 0 0 277.324 2.583 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCCc2ncccc21 ZINC000068745417 346867443 /nfs/dbraw/zinc/86/74/43/346867443.db2.gz KDGHXUASYHHPBR-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN CC[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000595453471 349630741 /nfs/dbraw/zinc/63/07/41/349630741.db2.gz PDJOXVHFZPWSQT-ZDUSSCGKSA-N 0 0 277.324 2.583 20 5 CFBDRN O=C1[C@@H](Nc2ccccc2[N+](=O)[O-])CCN1c1ccccc1 ZINC000068769710 346868627 /nfs/dbraw/zinc/86/86/27/346868627.db2.gz CCVKJHUXXYJUJN-AWEZNQCLSA-N 0 0 297.314 2.812 20 5 CFBDRN Cc1noc(CN(C)Cc2ccc(F)cc2C)c1[N+](=O)[O-] ZINC000595461794 349634675 /nfs/dbraw/zinc/63/46/75/349634675.db2.gz NNQNSMSPKCTQNM-UHFFFAOYSA-N 0 0 293.298 2.971 20 5 CFBDRN CC(C)CCO[C@@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000068795946 346870347 /nfs/dbraw/zinc/87/03/47/346870347.db2.gz PJFAAUSFTZZLOC-LBPRGKRZSA-N 0 0 294.351 2.662 20 5 CFBDRN CC[C@@H](C)[C@H](C)N(C(=O)c1[nH]nc(C)c1[N+](=O)[O-])C1CC1 ZINC000331440630 529411593 /nfs/dbraw/zinc/41/15/93/529411593.db2.gz FFSSHPWQZOFPKD-SCZZXKLOSA-N 0 0 294.355 2.666 20 5 CFBDRN CC[C@@H](NC(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000595471054 349636581 /nfs/dbraw/zinc/63/65/81/349636581.db2.gz ZUKMCZFDHLHKPP-CQSZACIVSA-N 0 0 291.351 2.625 20 5 CFBDRN CO[C@@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000595473218 349637510 /nfs/dbraw/zinc/63/75/10/349637510.db2.gz UYCPWBASKOPCAP-GXFFZTMASA-N 0 0 282.315 2.733 20 5 CFBDRN CO[C@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000595473217 349637812 /nfs/dbraw/zinc/63/78/12/349637812.db2.gz UYCPWBASKOPCAP-GWCFXTLKSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1c1ccccc1 ZINC000339203998 282167640 /nfs/dbraw/zinc/16/76/40/282167640.db2.gz XLVFPGHPAZAYHG-OAHLLOKOSA-N 0 0 297.314 2.885 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@H]1CC=CCC1 ZINC000068833865 346873551 /nfs/dbraw/zinc/87/35/51/346873551.db2.gz JQGJHTYQMQIUCR-GFCCVEGCSA-N 0 0 274.320 2.610 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@H](C)CC1 ZINC000188850177 282168131 /nfs/dbraw/zinc/16/81/31/282168131.db2.gz NRZKUBSBUSPGEK-CMPLNLGQSA-N 0 0 291.351 2.997 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@H]1C(F)(F)F ZINC000595493230 349641813 /nfs/dbraw/zinc/64/18/13/349641813.db2.gz DXXCZEGATFMTHL-JTQLQIEISA-N 0 0 278.205 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H]2C(F)(F)F)c(F)c1 ZINC000595496175 349642195 /nfs/dbraw/zinc/64/21/95/349642195.db2.gz XQYCOWXTAAVZSY-JTQLQIEISA-N 0 0 278.205 2.871 20 5 CFBDRN CC[C@@H](NC(=O)NCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000595521359 349650469 /nfs/dbraw/zinc/65/04/69/349650469.db2.gz BYBQNPNNRGHBQA-CYBMUJFWSA-N 0 0 291.351 2.625 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2C)CCO1 ZINC000420614656 529431095 /nfs/dbraw/zinc/43/10/95/529431095.db2.gz BAFOPVGHMURRKX-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2C)CCO1 ZINC000421672063 529446464 /nfs/dbraw/zinc/44/64/64/529446464.db2.gz SPCUOMZTRRQPJZ-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1noc(CN2Cc3cccc(F)c3C2)c1[N+](=O)[O-] ZINC000595541499 349654868 /nfs/dbraw/zinc/65/48/68/349654868.db2.gz TUOPUSZPFPVKAB-UHFFFAOYSA-N 0 0 277.255 2.546 20 5 CFBDRN CC[C@@H](CCO)Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413152971 529471241 /nfs/dbraw/zinc/47/12/41/529471241.db2.gz JRKSOHXWDISESI-ZETCQYMHSA-N 0 0 293.245 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CO)CCC2)cc1C(F)(F)F ZINC000125696048 187196962 /nfs/dbraw/zinc/19/69/62/187196962.db2.gz UXUPWFXWUIQDIX-UHFFFAOYSA-N 0 0 290.241 2.941 20 5 CFBDRN COc1cc(CN[C@H](C)c2cscn2)ccc1[N+](=O)[O-] ZINC000595666795 349693838 /nfs/dbraw/zinc/69/38/38/349693838.db2.gz CRIOEGABQZRYHT-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1ccc(F)cc1F ZINC000069798355 346900698 /nfs/dbraw/zinc/90/06/98/346900698.db2.gz VLJASUAJXZVGJY-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000413379729 529521227 /nfs/dbraw/zinc/52/12/27/529521227.db2.gz MXXPEVYKKAEHNW-SKDRFNHKSA-N 0 0 252.318 2.565 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000330986679 529525966 /nfs/dbraw/zinc/52/59/66/529525966.db2.gz LLONZKDFMCEYGK-HNNXBMFYSA-N 0 0 292.335 2.622 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CCc2nccs2)c1 ZINC000269879933 190563050 /nfs/dbraw/zinc/56/30/50/190563050.db2.gz RLDRLUNUOQJQBS-UHFFFAOYSA-N 0 0 293.348 2.739 20 5 CFBDRN Cc1nc(CNc2nccc(C)c2[N+](=O)[O-])cs1 ZINC000070496042 346909929 /nfs/dbraw/zinc/90/99/29/346909929.db2.gz CVZSZOICCSJZGZ-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000595809587 349736707 /nfs/dbraw/zinc/73/67/07/349736707.db2.gz HNFDUCQARIQNAB-MWLCHTKSSA-N 0 0 250.273 2.576 20 5 CFBDRN Cc1nnc(CN(C)[C@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC000595884882 349760702 /nfs/dbraw/zinc/76/07/02/349760702.db2.gz IGICAQWMLAJPDZ-SECBINFHSA-N 0 0 292.364 2.948 20 5 CFBDRN CCc1ccc(C(=O)Nc2nnc(C)s2)cc1[N+](=O)[O-] ZINC000173256642 529611731 /nfs/dbraw/zinc/61/17/31/529611731.db2.gz JCAMIOACLRIZSP-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@@H]2CCCC[C@@H]2C1 ZINC000192668898 529602057 /nfs/dbraw/zinc/60/20/57/529602057.db2.gz IOBGIKIVVHRCMW-TXEJJXNPSA-N 0 0 278.356 2.746 20 5 CFBDRN CC(=O)c1sccc1OCc1onc(C)c1[N+](=O)[O-] ZINC000596023449 349777196 /nfs/dbraw/zinc/77/71/96/349777196.db2.gz MKMOONNAUXFKLH-UHFFFAOYSA-N 0 0 282.277 2.734 20 5 CFBDRN CCOC(=O)[C@H]1CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596055755 349782615 /nfs/dbraw/zinc/78/26/15/349782615.db2.gz NOBRWRZWQSJXJN-JTQLQIEISA-N 0 0 298.364 2.744 20 5 CFBDRN COc1cc(NCc2ccnn2C)c(Cl)cc1[N+](=O)[O-] ZINC000596075678 349788340 /nfs/dbraw/zinc/78/83/40/349788340.db2.gz NOTKOQJYXZEZMP-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN CCn1cc(Nc2cc(C)sc2[N+](=O)[O-])cn1 ZINC000596081136 349789047 /nfs/dbraw/zinc/78/90/47/349789047.db2.gz SJBKKWDFKHRDLK-UHFFFAOYSA-N 0 0 252.299 2.925 20 5 CFBDRN CC1CCC(CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1 ZINC000596085743 349790661 /nfs/dbraw/zinc/79/06/61/349790661.db2.gz PYGKDRGDBHVCDG-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN COc1cc(NC2CCOCC2)c(Cl)cc1[N+](=O)[O-] ZINC000596088811 349791480 /nfs/dbraw/zinc/79/14/80/349791480.db2.gz SHPGYVMHQWGQHI-UHFFFAOYSA-N 0 0 286.715 2.848 20 5 CFBDRN CC[C@H](C)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000421583510 529666741 /nfs/dbraw/zinc/66/67/41/529666741.db2.gz YPSIHPMCRYBRTB-QMMMGPOBSA-N 0 0 254.261 2.510 20 5 CFBDRN CNC(=O)C1CCC(Nc2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596095502 349793633 /nfs/dbraw/zinc/79/36/33/349793633.db2.gz PRWIBMMDJJAXEF-UHFFFAOYSA-N 0 0 297.380 2.681 20 5 CFBDRN Cc1cc(NCCCc2cnn(C)c2)c([N+](=O)[O-])s1 ZINC000596104729 349795407 /nfs/dbraw/zinc/79/54/07/349795407.db2.gz MJGLRDPKKWKJKK-UHFFFAOYSA-N 0 0 280.353 2.743 20 5 CFBDRN C[C@H](CCCO)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000294979109 199281468 /nfs/dbraw/zinc/28/14/68/199281468.db2.gz OZGQXULDNMHCQM-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1cc(NC[C@H](O)Cc2ccccc2)c([N+](=O)[O-])s1 ZINC000596112614 349797201 /nfs/dbraw/zinc/79/72/01/349797201.db2.gz BZNFFFUWNFEJBS-GFCCVEGCSA-N 0 0 292.360 2.980 20 5 CFBDRN CCc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2N)cc1 ZINC000157275598 529686993 /nfs/dbraw/zinc/68/69/93/529686993.db2.gz DJTDFRQBFIPNCO-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])C[C@H]1C ZINC000072539854 346928265 /nfs/dbraw/zinc/92/82/65/346928265.db2.gz DYMUCRLWPUVDCE-RKDXNWHRSA-N 0 0 282.727 2.976 20 5 CFBDRN CC1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CCCC1 ZINC000596119730 349798911 /nfs/dbraw/zinc/79/89/11/349798911.db2.gz NJNNGLPYAVIETM-UHFFFAOYSA-N 0 0 251.286 2.688 20 5 CFBDRN O=c1[nH]ccc(N2CCCc3ccccc3C2)c1[N+](=O)[O-] ZINC000596122154 349799890 /nfs/dbraw/zinc/79/98/90/349799890.db2.gz JRBRQJTUURDBLD-UHFFFAOYSA-N 0 0 285.303 2.648 20 5 CFBDRN CC[C@H](O)CCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413189755 529694669 /nfs/dbraw/zinc/69/46/69/529694669.db2.gz ADHOXMWGGWNHGF-LBPRGKRZSA-N 0 0 275.308 2.716 20 5 CFBDRN Nc1ccc(N2CCC[C@@]3(CC=CCC3)C2)nc1[N+](=O)[O-] ZINC000596128985 349801102 /nfs/dbraw/zinc/80/11/02/349801102.db2.gz SDPWUWCEWRFFJA-HNNXBMFYSA-N 0 0 288.351 2.899 20 5 CFBDRN Cc1cc(NCCOC[C@@H]2CCCO2)c([N+](=O)[O-])s1 ZINC000596129818 349802228 /nfs/dbraw/zinc/80/22/28/349802228.db2.gz RGJGFGVUONDHRP-JTQLQIEISA-N 0 0 286.353 2.572 20 5 CFBDRN C[C@H]1C[C@@H](c2ccccc2)CN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596129964 349802251 /nfs/dbraw/zinc/80/22/51/349802251.db2.gz WOSSKGMLIXKGIZ-WCQYABFASA-N 0 0 298.346 2.954 20 5 CFBDRN CS[C@H]1CCC[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596132292 349802946 /nfs/dbraw/zinc/80/29/46/349802946.db2.gz WUSKXXQLEGRMDP-BDAKNGLRSA-N 0 0 283.353 2.782 20 5 CFBDRN CS[C@@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000294987300 199286196 /nfs/dbraw/zinc/28/61/96/199286196.db2.gz MQCKHFBMHFMJMQ-SNVBAGLBSA-N 0 0 252.339 2.845 20 5 CFBDRN C[C@@H](CCO)Nc1c(Br)cccc1[N+](=O)[O-] ZINC000596133281 349803082 /nfs/dbraw/zinc/80/30/82/349803082.db2.gz GKBBQDSOOPRNTJ-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc[n+]2[O-])c2ccncc21 ZINC000072672681 346935495 /nfs/dbraw/zinc/93/54/95/346935495.db2.gz YXMRSEAPNXPCDA-UHFFFAOYSA-N 0 0 283.243 2.569 20 5 CFBDRN CCOC(=O)[C@H](C)[C@H](C)Nc1ccccc1[N+](=O)[O-] ZINC000273911562 192359137 /nfs/dbraw/zinc/35/91/37/192359137.db2.gz ARHOCGHKSITPAM-ZJUUUORDSA-N 0 0 266.297 2.594 20 5 CFBDRN Cc1cc(NC[C@H]2CCCC[C@@H]2O)c([N+](=O)[O-])s1 ZINC000596147877 349808874 /nfs/dbraw/zinc/80/88/74/349808874.db2.gz WOOXCTAQGDOGJB-KOLCDFICSA-N 0 0 270.354 2.928 20 5 CFBDRN C[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])[C@@H]1C ZINC000596149400 349809374 /nfs/dbraw/zinc/80/93/74/349809374.db2.gz MNZHZRBNFRZBRR-HTQZYQBOSA-N 0 0 255.705 2.878 20 5 CFBDRN CC(C)CC1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596156169 349811698 /nfs/dbraw/zinc/81/16/98/349811698.db2.gz GIXHMPQDWFAXQM-UHFFFAOYSA-N 0 0 265.313 2.934 20 5 CFBDRN CC(C)C1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596155758 349811893 /nfs/dbraw/zinc/81/18/93/349811893.db2.gz WHZWSVGNYKKJDX-UHFFFAOYSA-N 0 0 251.286 2.544 20 5 CFBDRN C[C@@]1(O)CCCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000127581068 136386632 /nfs/dbraw/zinc/38/66/32/136386632.db2.gz YWIAONRJHOHMOR-GFCCVEGCSA-N 0 0 270.716 2.599 20 5 CFBDRN COC(C)(C)CN(C)c1cc(C)sc1[N+](=O)[O-] ZINC000596164746 349814762 /nfs/dbraw/zinc/81/47/62/349814762.db2.gz XKYFMAAXEGRIKJ-UHFFFAOYSA-N 0 0 258.343 2.826 20 5 CFBDRN CCC[C@@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596159321 349813007 /nfs/dbraw/zinc/81/30/07/349813007.db2.gz AQSMWVWLJIPVDX-SNVBAGLBSA-N 0 0 265.313 2.712 20 5 CFBDRN CCC(CC)CN(CC)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596170581 349816059 /nfs/dbraw/zinc/81/60/59/349816059.db2.gz VFSMGUCVBIAICI-UHFFFAOYSA-N 0 0 293.367 2.956 20 5 CFBDRN CN(CCC1CC1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596169366 349816093 /nfs/dbraw/zinc/81/60/93/349816093.db2.gz ICVFATUPKVWIPY-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN CC(C)OC1CCC(Nc2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596175201 349817845 /nfs/dbraw/zinc/81/78/45/349817845.db2.gz POPXRQSLQDHGFN-UHFFFAOYSA-N 0 0 295.339 2.844 20 5 CFBDRN Cc1ccc(OCCN(C)c2ccc([N+](=O)[O-])nc2)cc1 ZINC000072883642 346947051 /nfs/dbraw/zinc/94/70/51/346947051.db2.gz GQNAXGWOXQMNHC-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN CC[C@@H](SC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000126825022 187264553 /nfs/dbraw/zinc/26/45/53/187264553.db2.gz SSSFHSNQHWHDOG-BXKDBHETSA-N 0 0 282.365 2.914 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCC[C@H]2O)c([N+](=O)[O-])s1 ZINC000596176279 349817965 /nfs/dbraw/zinc/81/79/65/349817965.db2.gz ZTEYXQZBQLNHJZ-MWLCHTKSSA-N 0 0 270.354 2.562 20 5 CFBDRN CC(C)[C@@H]1C[C@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596183218 349820374 /nfs/dbraw/zinc/82/03/74/349820374.db2.gz AGDMEPMIGWQBDQ-SKDRFNHKSA-N 0 0 299.758 2.681 20 5 CFBDRN CCCCCSc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596185777 349820829 /nfs/dbraw/zinc/82/08/29/349820829.db2.gz QJHQBVZLCVIFGD-UHFFFAOYSA-N 0 0 268.338 2.976 20 5 CFBDRN CC(C)[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596188238 349821418 /nfs/dbraw/zinc/82/14/18/349821418.db2.gz UMMJLOSVLIIMHZ-LLVKDONJSA-N 0 0 285.731 2.504 20 5 CFBDRN CO[C@@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596190281 349822247 /nfs/dbraw/zinc/82/22/47/349822247.db2.gz QVBDNXAKNKXVEO-SECBINFHSA-N 0 0 285.731 2.649 20 5 CFBDRN C[C@@H]1CN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC(C)(C)C1 ZINC000596191541 349822566 /nfs/dbraw/zinc/82/25/66/349822566.db2.gz WTMYYEJUQBMSGC-VIFPVBQESA-N 0 0 265.313 2.568 20 5 CFBDRN C[C@H]1C[C@H](CCNc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596193262 349823093 /nfs/dbraw/zinc/82/30/93/349823093.db2.gz MAWUFUHAHDFKIO-VHSXEESVSA-N 0 0 299.758 2.682 20 5 CFBDRN CO[C@H](C)CNc1c(Br)cccc1[N+](=O)[O-] ZINC000596205024 349826180 /nfs/dbraw/zinc/82/61/80/349826180.db2.gz YBAAZQSIWZHFPX-SSDOTTSWSA-N 0 0 289.129 2.804 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2CC23CCCCC3)c1[N+](=O)[O-] ZINC000596182111 349819314 /nfs/dbraw/zinc/81/93/14/349819314.db2.gz NLKRCRUOCOVGOI-SNVBAGLBSA-N 0 0 263.297 2.830 20 5 CFBDRN NC(=O)c1cc(N[C@H]2CC23CCCCC3)ccc1[N+](=O)[O-] ZINC000596181535 349819340 /nfs/dbraw/zinc/81/93/40/349819340.db2.gz GPXBEKATTVTEJV-ZDUSSCGKSA-N 0 0 289.335 2.828 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596180650 349819347 /nfs/dbraw/zinc/81/93/47/349819347.db2.gz WXAWYGBHSYPLEM-MRVPVSSYSA-N 0 0 286.353 2.966 20 5 CFBDRN Cc1cc(N2C[C@@H](C)O[C@@H](C3CC3)C2)c([N+](=O)[O-])s1 ZINC000596224053 349833340 /nfs/dbraw/zinc/83/33/40/349833340.db2.gz UATFTMMWNIJMQG-PRHODGIISA-N 0 0 282.365 2.968 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000072946495 346952448 /nfs/dbraw/zinc/95/24/48/346952448.db2.gz KIKSZFNXFJKWCT-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN Cc1cc(N[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])s1 ZINC000596207398 349827241 /nfs/dbraw/zinc/82/72/41/349827241.db2.gz FDLNSIMJZQVIJY-APPZFPTMSA-N 0 0 256.327 2.802 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H](n2cccn2)C1 ZINC000301188196 136425359 /nfs/dbraw/zinc/42/53/59/136425359.db2.gz QKPYXXNIHZHJLE-LLVKDONJSA-N 0 0 290.298 2.772 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596221046 349831159 /nfs/dbraw/zinc/83/11/59/349831159.db2.gz UCAOSIZAEKKVFH-DTWKUNHWSA-N 0 0 251.286 2.544 20 5 CFBDRN CC[C@@H](CO)Nc1c(Br)cccc1[N+](=O)[O-] ZINC000596220398 349831186 /nfs/dbraw/zinc/83/11/86/349831186.db2.gz BGTXXVLGTWYHKF-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC[C@H](F)C1 ZINC000596220651 349831317 /nfs/dbraw/zinc/83/13/17/349831317.db2.gz QCZLHTSSFDOKKO-ZETCQYMHSA-N 0 0 259.668 2.582 20 5 CFBDRN CC(C)[C@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596222815 349832061 /nfs/dbraw/zinc/83/20/61/349832061.db2.gz NBDOBJAUGYZCOV-JTQLQIEISA-N 0 0 265.313 2.568 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000072946527 346952609 /nfs/dbraw/zinc/95/26/09/346952609.db2.gz RWFMNZVRMAIOAN-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN Cc1cc(NC[C@H](CO)c2cccnc2)c([N+](=O)[O-])s1 ZINC000596236492 349835558 /nfs/dbraw/zinc/83/55/58/349835558.db2.gz XUOXJPALSGLRBI-LLVKDONJSA-N 0 0 293.348 2.548 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1ccc2c(c1)OCCO2 ZINC000073020470 346955427 /nfs/dbraw/zinc/95/54/27/346955427.db2.gz RRGLSHWPMKEAHU-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@H]1C(F)F ZINC000596245797 349839011 /nfs/dbraw/zinc/83/90/11/349839011.db2.gz AGZBWABVAWBDSO-JTQLQIEISA-N 0 0 279.246 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCO[C@@H]2CC2(F)F)c(F)c1 ZINC000596248608 349839767 /nfs/dbraw/zinc/83/97/67/349839767.db2.gz JNLRWNAURNLBPG-SNVBAGLBSA-N 0 0 277.198 2.537 20 5 CFBDRN CS[C@@H]1CCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596250065 349840633 /nfs/dbraw/zinc/84/06/33/349840633.db2.gz MWCKHDGPXVEPRQ-MRVPVSSYSA-N 0 0 258.368 2.906 20 5 CFBDRN COc1cc(N2CC[C@H](F)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596252710 349841057 /nfs/dbraw/zinc/84/10/57/349841057.db2.gz JUPYVEGYVXOPDG-ZETCQYMHSA-N 0 0 274.679 2.805 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H](c2ccncc2)C1 ZINC000596254021 349841863 /nfs/dbraw/zinc/84/18/63/349841863.db2.gz KMXZSGAMDRAMSX-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN COc1cc(N2CC=CC2)c(Cl)cc1[N+](=O)[O-] ZINC000596270283 349848813 /nfs/dbraw/zinc/84/88/13/349848813.db2.gz YMBXRTWMJKMJOB-UHFFFAOYSA-N 0 0 254.673 2.633 20 5 CFBDRN CC(F)(F)CCNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000295464288 199520456 /nfs/dbraw/zinc/52/04/56/199520456.db2.gz HKDUTFKLYDMNQB-UHFFFAOYSA-N 0 0 297.261 2.851 20 5 CFBDRN COC(=O)C1(COc2cc(C)c([N+](=O)[O-])cc2Cl)CC1 ZINC000596280464 349851457 /nfs/dbraw/zinc/85/14/57/349851457.db2.gz GHMRCDFQIBVWFN-UHFFFAOYSA-N 0 0 299.710 2.889 20 5 CFBDRN Cc1cc(N[C@H]2CC23CCOCC3)c([N+](=O)[O-])s1 ZINC000596259569 349844638 /nfs/dbraw/zinc/84/46/38/349844638.db2.gz WQNCEZIZKKQUJF-JTQLQIEISA-N 0 0 268.338 2.946 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1ccc([N+](=O)[O-])cc1F ZINC000596261695 349846265 /nfs/dbraw/zinc/84/62/65/349846265.db2.gz UCOZNSNDNQBRDB-UHFFFAOYSA-N 0 0 278.287 2.728 20 5 CFBDRN O=c1[nH]ccc(NCCC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC000596264807 349847358 /nfs/dbraw/zinc/84/73/58/349847358.db2.gz JXMNDIQIWSHBNH-UHFFFAOYSA-N 0 0 273.239 2.543 20 5 CFBDRN CC1(C)C[C@H](Nc2ncnc3cccc([N+](=O)[O-])c32)CO1 ZINC000596310712 349860253 /nfs/dbraw/zinc/86/02/53/349860253.db2.gz JUUMTPFJWNDPKE-VIFPVBQESA-N 0 0 288.307 2.517 20 5 CFBDRN C[C@H]1CN(c2cc[nH]c(=O)c2[N+](=O)[O-])CCc2ccccc21 ZINC000596296123 349855631 /nfs/dbraw/zinc/85/56/31/349855631.db2.gz RLWGHKBLOMUWQP-NSHDSACASA-N 0 0 299.330 2.862 20 5 CFBDRN COC1CC(CCNc2nccc(C)c2[N+](=O)[O-])C1 ZINC000596307544 349857883 /nfs/dbraw/zinc/85/78/83/349857883.db2.gz AUVUXPPBHDNAKQ-UHFFFAOYSA-N 0 0 265.313 2.525 20 5 CFBDRN CC[C@@H](Cc1ccc(F)cc1)Nc1c([N+](=O)[O-])ncn1C ZINC000596317004 349862357 /nfs/dbraw/zinc/86/23/57/349862357.db2.gz LKYPXRRSVJPOMS-LBPRGKRZSA-N 0 0 292.314 2.901 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@H](CO)C3CCC3)ccnc21 ZINC000596322768 349862857 /nfs/dbraw/zinc/86/28/57/349862857.db2.gz DEASUJQGLHSPHN-CYBMUJFWSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)C2CCC2)c(Cl)c1 ZINC000596324077 349863393 /nfs/dbraw/zinc/86/33/93/349863393.db2.gz DXXGCOZRWHYQOP-LBPRGKRZSA-N 0 0 270.716 2.821 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000073327584 346970202 /nfs/dbraw/zinc/97/02/02/346970202.db2.gz ARWJQHMBFVUUFO-DGCLKSJQSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@H]1C[C@@H](Nc2c(F)cc([N+](=O)[O-])cc2F)c2ncnn21 ZINC000596342900 349871070 /nfs/dbraw/zinc/87/10/70/349871070.db2.gz IDUGGBGCYCQPFN-QUBYGPBYSA-N 0 0 295.249 2.582 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000073968804 346987291 /nfs/dbraw/zinc/98/72/91/346987291.db2.gz DNUJLFUPLOYVOF-SECBINFHSA-N 0 0 295.295 2.764 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1cccnc1N1CCCC1 ZINC000596357559 349875679 /nfs/dbraw/zinc/87/56/79/349875679.db2.gz QJSZAAQVDNJVHR-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN CCC(O)(CC)C(C)(C)CNc1ccc([N+](=O)[O-])nc1 ZINC000596362954 349877725 /nfs/dbraw/zinc/87/77/25/349877725.db2.gz FXGPPMCZZTWTHX-UHFFFAOYSA-N 0 0 281.356 2.979 20 5 CFBDRN C[S@](=O)[C@H]1CCC[C@@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000596375002 349882957 /nfs/dbraw/zinc/88/29/57/349882957.db2.gz OLPBXZFJFOOMAG-FDMGOTLNSA-N 0 0 282.365 2.696 20 5 CFBDRN C[C@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000074238278 347004577 /nfs/dbraw/zinc/00/45/77/347004577.db2.gz BSBCZGIHSUBJSL-SSDOTTSWSA-N 0 0 276.214 2.756 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCC[C@@H](C)O ZINC000596405614 349891107 /nfs/dbraw/zinc/89/11/07/349891107.db2.gz CQLDJQDOMCVKTE-SECBINFHSA-N 0 0 271.338 2.608 20 5 CFBDRN CC(C)=CCCSCCn1cc([N+](=O)[O-])cn1 ZINC000596419392 349898382 /nfs/dbraw/zinc/89/83/82/349898382.db2.gz UUBVQOPUFCSNOM-UHFFFAOYSA-N 0 0 255.343 2.881 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)C1CCOCC1 ZINC000074422242 347013922 /nfs/dbraw/zinc/01/39/22/347013922.db2.gz YFCFLSJHZZAFCE-JTQLQIEISA-N 0 0 298.364 2.591 20 5 CFBDRN C[C@@H](O)CCSCc1ccc(F)cc1[N+](=O)[O-] ZINC000596410484 349894005 /nfs/dbraw/zinc/89/40/05/349894005.db2.gz XACLZKWVFQAASO-MRVPVSSYSA-N 0 0 259.302 2.738 20 5 CFBDRN CC[C@H](C)CCNc1c([N+](=O)[O-])nc(C)n1CC ZINC000596414557 349895580 /nfs/dbraw/zinc/89/55/80/349895580.db2.gz AVIWIQKTRICIHL-VIFPVBQESA-N 0 0 254.334 2.968 20 5 CFBDRN CC[C@@H](C)CCNc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000596415811 349896251 /nfs/dbraw/zinc/89/62/51/349896251.db2.gz MYJBMTNQXUKCJE-SNVBAGLBSA-N 0 0 279.340 2.803 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413120075 529950697 /nfs/dbraw/zinc/95/06/97/529950697.db2.gz ZRLYRLAUARYFSW-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1cscn1 ZINC000596430363 349901127 /nfs/dbraw/zinc/90/11/27/349901127.db2.gz JADAIKBRWGQJJA-ZETCQYMHSA-N 0 0 281.341 2.749 20 5 CFBDRN Cc1nn(C)c(N2CCC(C3CCC3)CC2)c1[N+](=O)[O-] ZINC000596426349 349899747 /nfs/dbraw/zinc/89/97/47/349899747.db2.gz ADVOAPSLJFPTGX-UHFFFAOYSA-N 0 0 278.356 2.653 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000596437299 349902470 /nfs/dbraw/zinc/90/24/70/349902470.db2.gz VZQWNTHVFAUXLA-VIFPVBQESA-N 0 0 297.742 2.791 20 5 CFBDRN C[C@@H](Nc1ccnc2cc([N+](=O)[O-])ccc21)C1(CO)CC1 ZINC000596433894 349901850 /nfs/dbraw/zinc/90/18/50/349901850.db2.gz SBTUDASWHQWPFJ-SNVBAGLBSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCC[C@@H]2[C@H](C)CO)n1 ZINC000596434111 349901884 /nfs/dbraw/zinc/90/18/84/349901884.db2.gz IXXQPQOTMQITCU-DGCLKSJQSA-N 0 0 293.367 2.594 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1c1ncc([N+](=O)[O-])cc1Cl ZINC000596436994 349902582 /nfs/dbraw/zinc/90/25/82/349902582.db2.gz UIDDQDDIDGXEDW-VIFPVBQESA-N 0 0 297.742 2.791 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000074719968 347028701 /nfs/dbraw/zinc/02/87/01/347028701.db2.gz HWAKBYUUYMMMNC-NSHDSACASA-N 0 0 267.306 2.704 20 5 CFBDRN COc1cc(NCCC2CC2)c([N+](=O)[O-])cc1F ZINC000127185472 187288413 /nfs/dbraw/zinc/28/84/13/187288413.db2.gz IMFSXMUCMIPUNH-UHFFFAOYSA-N 0 0 254.261 2.955 20 5 CFBDRN Cc1cc(N[C@H]2CCCc3c2cnn3C)ncc1[N+](=O)[O-] ZINC000074739883 347029557 /nfs/dbraw/zinc/02/95/57/347029557.db2.gz DJGWIJSOIJEBGV-NSHDSACASA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1ccc(CCNc2cc(C)c([N+](=O)[O-])cn2)cn1 ZINC000074750359 347030359 /nfs/dbraw/zinc/03/03/59/347030359.db2.gz WQLSXMIBZATAOB-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CCc1nc(CN(C)c2cc(C)c([N+](=O)[O-])cn2)cs1 ZINC000074743268 347030432 /nfs/dbraw/zinc/03/04/32/347030432.db2.gz RIUIXQBOZFXSAD-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074751008 347030611 /nfs/dbraw/zinc/03/06/11/347030611.db2.gz ZWFPAXUTLMRUMO-MFKMUULPSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)c2ccsc2)ncc1[N+](=O)[O-] ZINC000074765573 347031556 /nfs/dbraw/zinc/03/15/56/347031556.db2.gz DSTVJXQDQWGAEK-CYBMUJFWSA-N 0 0 293.348 2.679 20 5 CFBDRN C[C@H]1CCCN(c2ccccc2[N+](=O)[O-])[C@@H]1CCO ZINC000596443973 349904841 /nfs/dbraw/zinc/90/48/41/349904841.db2.gz RNDVWPPSGKPYCE-NWDGAFQWSA-N 0 0 264.325 2.582 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@H](C)[C@H]2CCO)n1 ZINC000596443609 349905042 /nfs/dbraw/zinc/90/50/42/349905042.db2.gz PKSXUWKAPTVJDL-ZWNOBZJWSA-N 0 0 293.367 2.594 20 5 CFBDRN C[C@@H]1CCCN(c2ccccc2[N+](=O)[O-])[C@@H]1CCO ZINC000596443975 349905064 /nfs/dbraw/zinc/90/50/64/349905064.db2.gz RNDVWPPSGKPYCE-VXGBXAGGSA-N 0 0 264.325 2.582 20 5 CFBDRN Cc1nnc(CN(C)c2c(Cl)cccc2[N+](=O)[O-])o1 ZINC000596445081 349905489 /nfs/dbraw/zinc/90/54/89/349905489.db2.gz UUOHDBOREOFYAH-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN COCC1(NC(=O)Nc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000596442728 349904400 /nfs/dbraw/zinc/90/44/00/349904400.db2.gz KKLJYWNPDAIHDH-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c2cccnc21)C1CCOCC1 ZINC000074775532 347033086 /nfs/dbraw/zinc/03/30/86/347033086.db2.gz TUWROSWSRUMYPQ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H]1CCC[C@@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)O1 ZINC000596470821 349909930 /nfs/dbraw/zinc/90/99/30/349909930.db2.gz QRPRQAKWXMDRDH-GWCFXTLKSA-N 0 0 293.323 2.674 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@H](C)O2)c1 ZINC000596474551 349911671 /nfs/dbraw/zinc/91/16/71/349911671.db2.gz MCWLMDXUTUOIQS-JQWIXIFHSA-N 0 0 280.324 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC(F)F)cc1OC(F)F ZINC000075060304 347051969 /nfs/dbraw/zinc/05/19/69/347051969.db2.gz BTEVSVAGIHUZOM-UHFFFAOYSA-N 0 0 268.166 2.873 20 5 CFBDRN COc1cc(NC[C@@H](OC)C(C)C)ccc1[N+](=O)[O-] ZINC000075062577 347052020 /nfs/dbraw/zinc/05/20/20/347052020.db2.gz WZFDGZVEFBEHQP-CYBMUJFWSA-N 0 0 268.313 2.686 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000075064679 347052795 /nfs/dbraw/zinc/05/27/95/347052795.db2.gz TUGHZNGSKPLHKI-ZWNOBZJWSA-N 0 0 265.313 2.605 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2ccc([N+](=O)[O-])c(N)c2F)O1 ZINC000596473001 349911249 /nfs/dbraw/zinc/91/12/49/349911249.db2.gz DQTYEZZOFFMKHF-BDAKNGLRSA-N 0 0 283.303 2.686 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1C[C@H](c2ccccc2)CO1 ZINC000596473875 349911323 /nfs/dbraw/zinc/91/13/23/349911323.db2.gz JQJDLWFYYMFTAI-KBPBESRZSA-N 0 0 299.330 2.974 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)O1 ZINC000596475919 349912644 /nfs/dbraw/zinc/91/26/44/349912644.db2.gz VWCDEJUMIAEYSR-WDEREUQCSA-N 0 0 291.351 2.932 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000596473934 349911802 /nfs/dbraw/zinc/91/18/02/349911802.db2.gz KMLYHJGKHRFRDG-WOPDTQHZSA-N 0 0 278.356 2.968 20 5 CFBDRN CCC(CC)(CCO)CNc1nccc(C)c1[N+](=O)[O-] ZINC000075065657 347053610 /nfs/dbraw/zinc/05/36/10/347053610.db2.gz KYEUMYPPKDHLTD-UHFFFAOYSA-N 0 0 281.356 2.899 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000596474885 349912498 /nfs/dbraw/zinc/91/24/98/349912498.db2.gz STWYAPNIYHBZMH-SCVCMEIPSA-N 0 0 289.335 2.542 20 5 CFBDRN C[C@@]1(CCN=c2[nH]c3ccc([N+](=O)[O-])cc3[nH]2)CC1(F)F ZINC000596476635 349912946 /nfs/dbraw/zinc/91/29/46/349912946.db2.gz DIPKMWJSZNVEKN-GFCCVEGCSA-N 0 0 296.277 2.740 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1nccc3c1cccc3[N+](=O)[O-])C2 ZINC000596478574 349913966 /nfs/dbraw/zinc/91/39/66/349913966.db2.gz HFNHFUYSOMOVSC-BZNIZROVSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1nc3ccccc3cc1[N+](=O)[O-])C2 ZINC000596480624 349915191 /nfs/dbraw/zinc/91/51/91/349915191.db2.gz NWUDOJXCOCVQML-WBMJQRKESA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])cn1C)C1CCC(F)CC1 ZINC000596482214 349915659 /nfs/dbraw/zinc/91/56/59/349915659.db2.gz HCPPAXIOJZSHCU-KPPDAEKUSA-N 0 0 297.330 2.580 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1ccc3ncccc3c1[N+](=O)[O-])C2 ZINC000596484267 349915795 /nfs/dbraw/zinc/91/57/95/349915795.db2.gz UDAYYTITMRKJBH-ZBEGNZNMSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1ccc([N+](=O)[O-])c3cnccc31)C2 ZINC000596483460 349915957 /nfs/dbraw/zinc/91/59/57/349915957.db2.gz OTRVNNOTKYGSJU-BDJLRTHQSA-N 0 0 299.330 2.616 20 5 CFBDRN CN(Cc1cscn1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000427987908 530020716 /nfs/dbraw/zinc/02/07/16/530020716.db2.gz CIFWSLPNOAVEDT-UHFFFAOYSA-N 0 0 289.320 2.564 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@@H](CCF)C1 ZINC000596481635 349915279 /nfs/dbraw/zinc/91/52/79/349915279.db2.gz ZECAJBDTCWIYRL-NSHDSACASA-N 0 0 284.335 2.696 20 5 CFBDRN CC[C@H](Nc1nc(C)cc(C(=O)OC)c1[N+](=O)[O-])C1CC1 ZINC000596485562 349916626 /nfs/dbraw/zinc/91/66/26/349916626.db2.gz KNBLFNRBNKBDPH-NSHDSACASA-N 0 0 293.323 2.685 20 5 CFBDRN COCCN(C(=O)c1ccc([N+](=O)[O-])s1)C1CCCC1 ZINC000075447131 347077646 /nfs/dbraw/zinc/07/76/46/347077646.db2.gz DLNQQIAYZPKPPT-UHFFFAOYSA-N 0 0 298.364 2.688 20 5 CFBDRN COC(=O)C[C@H](CNc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000596489636 349918128 /nfs/dbraw/zinc/91/81/28/349918128.db2.gz CSGUPQZOQDGIEC-SNVBAGLBSA-N 0 0 296.298 2.735 20 5 CFBDRN CCOc1cccc(NC[C@@H](CO)CC2CC2)c1[N+](=O)[O-] ZINC000596492064 349918563 /nfs/dbraw/zinc/91/85/63/349918563.db2.gz KRMWCWPWQAUOCN-LBPRGKRZSA-N 0 0 294.351 2.814 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@@H](C)O[C@@H](C)C1 ZINC000596492505 349918656 /nfs/dbraw/zinc/91/86/56/349918656.db2.gz CYFINTBLFHLPOH-MNOVXSKESA-N 0 0 292.335 2.801 20 5 CFBDRN COC(=O)C[C@H](CNc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000596491124 349918830 /nfs/dbraw/zinc/91/88/30/349918830.db2.gz PMPCVSKBPKAYGY-GFCCVEGCSA-N 0 0 292.335 2.904 20 5 CFBDRN CC[C@H](O)CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000127270180 187293278 /nfs/dbraw/zinc/29/32/78/187293278.db2.gz YSUBCXIKHQPCNG-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1nc(NCC[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000273214503 192077654 /nfs/dbraw/zinc/07/76/54/192077654.db2.gz ULFDJUIRMRAFNM-JQWIXIFHSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC(O)(C2CC2)C2CC2)c(F)c1 ZINC000596495401 349919614 /nfs/dbraw/zinc/91/96/14/349919614.db2.gz XAQNZFDMQDIBRC-UHFFFAOYSA-N 0 0 298.289 2.836 20 5 CFBDRN Cc1noc(-c2ccc([N+](=O)[O-])cc2Br)n1 ZINC000349785357 282374562 /nfs/dbraw/zinc/37/45/62/282374562.db2.gz YYLFRYSDBIIMIV-UHFFFAOYSA-N 0 0 284.069 2.716 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](O)C23CCC3)c(F)cc1[N+](=O)[O-] ZINC000596502725 349922403 /nfs/dbraw/zinc/92/24/03/349922403.db2.gz FUROBLQZXKENGJ-OLZOCXBDSA-N 0 0 280.299 2.758 20 5 CFBDRN CN(CC1(O)CCCCCC1)c1ccncc1[N+](=O)[O-] ZINC000361015412 282384113 /nfs/dbraw/zinc/38/41/13/282384113.db2.gz SQMNZXMRUPZKEH-UHFFFAOYSA-N 0 0 279.340 2.511 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC1CCC2(CC2(F)F)CC1 ZINC000596503670 349922820 /nfs/dbraw/zinc/92/28/20/349922820.db2.gz WMHXZBHZDALEFZ-UHFFFAOYSA-N 0 0 283.278 2.792 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@H]3C[C@H](O)C34CCC4)ccnc21 ZINC000596509112 349923846 /nfs/dbraw/zinc/92/38/46/349923846.db2.gz NTDGJQWRQLHKFN-KBPBESRZSA-N 0 0 299.330 2.858 20 5 CFBDRN COC(=O)c1cc(N2CC[C@H]3C[C@H]3C2)cc(C)c1[N+](=O)[O-] ZINC000596508774 349924042 /nfs/dbraw/zinc/92/40/42/349924042.db2.gz FOTDSAQMVIEDES-QWRGUYRKSA-N 0 0 290.319 2.536 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@H]2C[C@H]2C1 ZINC000596508566 349924046 /nfs/dbraw/zinc/92/40/46/349924046.db2.gz CTIJBCAVBJHYGJ-QWRGUYRKSA-N 0 0 269.304 2.989 20 5 CFBDRN CCCCCN(CCCOC)c1ncc([N+](=O)[O-])c(C)n1 ZINC000295099478 199345069 /nfs/dbraw/zinc/34/50/69/199345069.db2.gz TXMMWGSFWDPZDQ-UHFFFAOYSA-N 0 0 296.371 2.726 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1C[C@@H](O)C12CCC2 ZINC000596511381 349925348 /nfs/dbraw/zinc/92/53/48/349925348.db2.gz PLPDTDVCWCCPJL-VXGBXAGGSA-N 0 0 282.727 2.964 20 5 CFBDRN CC1(C)[C@H](CNc2cccnc2[N+](=O)[O-])C1(F)F ZINC000596513485 349926737 /nfs/dbraw/zinc/92/67/37/349926737.db2.gz YTCGUMHUXBLRTA-QMMMGPOBSA-N 0 0 257.240 2.693 20 5 CFBDRN CCc1nnc(CNc2ccc([N+](=O)[O-])c(OC)c2)s1 ZINC000290688706 197789284 /nfs/dbraw/zinc/78/92/84/197789284.db2.gz MEMQDERAEVXRKO-UHFFFAOYSA-N 0 0 294.336 2.629 20 5 CFBDRN Cc1cc(N2CC3(CCCC3)OC[C@@H]2C)ncc1[N+](=O)[O-] ZINC000596514009 349926798 /nfs/dbraw/zinc/92/67/98/349926798.db2.gz CLESSAIEJKURMF-LBPRGKRZSA-N 0 0 291.351 2.836 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@H](O)C23CCC3)c1 ZINC000596513855 349926807 /nfs/dbraw/zinc/92/68/07/349926807.db2.gz WOIMNJRBDMSWKF-STQMWFEESA-N 0 0 262.309 2.619 20 5 CFBDRN C[C@@H](CCCO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000094944779 232179123 /nfs/dbraw/zinc/17/91/23/232179123.db2.gz CZEJASVXJPOWBB-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)C1CCC(F)CC1 ZINC000596515696 349927036 /nfs/dbraw/zinc/92/70/36/349927036.db2.gz WPRXFGUTWHXOJP-IDKOKCKLSA-N 0 0 270.308 2.657 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)ccc1F ZINC000103026404 232185799 /nfs/dbraw/zinc/18/57/99/232185799.db2.gz QGXVYLWFBJMLNJ-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1C[C@H]1Cc1ccccc1)[N+](=O)[O-] ZINC000295107157 199348047 /nfs/dbraw/zinc/34/80/47/199348047.db2.gz XYLSUBHRIWCFDR-KGLIPLIRSA-N 0 0 290.363 2.569 20 5 CFBDRN CNC(=O)c1ccc(N[C@]2(C)CC2(C)C)c([N+](=O)[O-])c1 ZINC000596524219 349929591 /nfs/dbraw/zinc/92/95/91/349929591.db2.gz SOKQBZPGKRGOSL-CQSZACIVSA-N 0 0 277.324 2.555 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H](C(C)C)C1CC1 ZINC000596522837 349928858 /nfs/dbraw/zinc/92/88/58/349928858.db2.gz RLGOSRVBLWXBQD-AWEZNQCLSA-N 0 0 291.351 2.801 20 5 CFBDRN CC(C)(CNc1ccccc1[N+](=O)[O-])[C@]1(O)CCCOC1 ZINC000596529470 349931338 /nfs/dbraw/zinc/93/13/38/349931338.db2.gz ADQWGGNGIQCDHB-HNNXBMFYSA-N 0 0 294.351 2.574 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000596539640 349934866 /nfs/dbraw/zinc/93/48/66/349934866.db2.gz KZVLMIXSNQRXNO-PWSUYJOCSA-N 0 0 288.250 2.786 20 5 CFBDRN CN(C[C@@H]1CCc2ccccc21)c1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596544986 349937836 /nfs/dbraw/zinc/93/78/36/349937836.db2.gz UMLRWGXXDJRIOJ-LBPRGKRZSA-N 0 0 299.330 2.862 20 5 CFBDRN Cc1cc(N[C@H](C)C(=O)N2CCCCC2)ccc1[N+](=O)[O-] ZINC000159482507 232244536 /nfs/dbraw/zinc/24/45/36/232244536.db2.gz NBFGOMOJONJGRH-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])s2)ccc1O ZINC000157046634 232235427 /nfs/dbraw/zinc/23/54/27/232235427.db2.gz RXUHEGWYYDFZGA-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN C[C@@H](c1ccccc1)[C@@H](O)CNc1cccnc1[N+](=O)[O-] ZINC000596548944 349938668 /nfs/dbraw/zinc/93/86/68/349938668.db2.gz WCQBYUVEMIPNKP-FZMZJTMJSA-N 0 0 287.319 2.566 20 5 CFBDRN CCc1nn(C)c(N2Cc3ccccc3[C@H]2C)c1[N+](=O)[O-] ZINC000596555812 349940612 /nfs/dbraw/zinc/94/06/12/349940612.db2.gz GWWAEFOEZGBPLJ-SNVBAGLBSA-N 0 0 286.335 2.972 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(N[C@H]2C[C@H](O)C2)c(Cl)c1 ZINC000596556914 349940674 /nfs/dbraw/zinc/94/06/74/349940674.db2.gz XGLCZWNFOYSYPT-KBTIHESUSA-N 0 0 277.107 2.837 20 5 CFBDRN CC[C@@H]1CN([C@@H](C)c2ccccc2[N+](=O)[O-])CCO1 ZINC000075624476 347088679 /nfs/dbraw/zinc/08/86/79/347088679.db2.gz YBGXZVOCBYPABQ-NWDGAFQWSA-N 0 0 264.325 2.767 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC(C(C)C)CC1 ZINC000174499760 232296364 /nfs/dbraw/zinc/29/63/64/232296364.db2.gz SADSNXKHJNRXHI-UHFFFAOYSA-N 0 0 291.351 2.806 20 5 CFBDRN COc1ccc(C)nc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000174803406 232301119 /nfs/dbraw/zinc/30/11/19/232301119.db2.gz QSTUYFOYSKFNGM-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@@H](C)C1(C)CC1 ZINC000175600811 232316135 /nfs/dbraw/zinc/31/61/35/232316135.db2.gz RLTUZPFZLGAXKC-JTQLQIEISA-N 0 0 277.324 2.559 20 5 CFBDRN Cc1cccc(C(N)=O)c1NCc1ccc([N+](=O)[O-])cc1 ZINC000085279808 179000123 /nfs/dbraw/zinc/00/01/23/179000123.db2.gz ILXFIXBVELFMOP-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000005322201 346211254 /nfs/dbraw/zinc/21/12/54/346211254.db2.gz RFMJYMJAIWKGDH-UHFFFAOYSA-N 0 0 285.303 2.652 20 5 CFBDRN Cc1nc(N[C@H](c2ccccc2)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000596563975 349942286 /nfs/dbraw/zinc/94/22/86/349942286.db2.gz AGWYXHRACIBYFD-NHYWBVRUSA-N 0 0 287.319 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCCOCCF ZINC000596569648 349943582 /nfs/dbraw/zinc/94/35/82/349943582.db2.gz ASQIDYYFCKDFDS-UHFFFAOYSA-N 0 0 279.271 2.541 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCCF)c2ncccc12 ZINC000596569878 349943763 /nfs/dbraw/zinc/94/37/63/349943763.db2.gz LULKSROCPGWBDS-UHFFFAOYSA-N 0 0 279.271 2.541 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H](OC(C)C)C2(C)C)ncc1[N+](=O)[O-] ZINC000295128274 199361109 /nfs/dbraw/zinc/36/11/09/199361109.db2.gz XSKLDIOYFZGGNW-NEPJUHHUSA-N 0 0 294.355 2.697 20 5 CFBDRN CCn1nccc1CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000596572048 349944314 /nfs/dbraw/zinc/94/43/14/349944314.db2.gz JZSCXWDDRRZDAG-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN COCc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)cc1 ZINC000007476580 346218408 /nfs/dbraw/zinc/21/84/08/346218408.db2.gz PLAFDLGTJZMYKQ-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN COC(=O)c1ccc(N2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000007739863 346219254 /nfs/dbraw/zinc/21/92/54/346219254.db2.gz CRBKAIXYEMQOSG-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCOCCF ZINC000596571024 349944634 /nfs/dbraw/zinc/94/46/34/349944634.db2.gz XJMZJJNYWGHUJK-UHFFFAOYSA-N 0 0 276.695 2.955 20 5 CFBDRN Cc1nn(C)c(C)c1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000008273874 346223340 /nfs/dbraw/zinc/22/33/40/346223340.db2.gz OKAQEZLTINKHNE-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN CN(Cc1ccccc1)c1nc2sccn2c1[N+](=O)[O-] ZINC000008308443 346223564 /nfs/dbraw/zinc/22/35/64/346223564.db2.gz CKUMSOIKZMUKGY-UHFFFAOYSA-N 0 0 288.332 2.940 20 5 CFBDRN CC[C@@H](CNC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1 ZINC000008403662 346224001 /nfs/dbraw/zinc/22/40/01/346224001.db2.gz SGPAOURACYCWSQ-NSHDSACASA-N 0 0 287.319 2.847 20 5 CFBDRN Cc1nc2cc(NC(=O)c3cc([N+](=O)[O-])c[nH]3)ccc2o1 ZINC000008369368 346224082 /nfs/dbraw/zinc/22/40/82/346224082.db2.gz MVKDEQHBZRGFJS-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1COc2ccccc2C1 ZINC000016959389 346239617 /nfs/dbraw/zinc/23/96/17/346239617.db2.gz CVGBAODWPWMQHF-LBPRGKRZSA-N 0 0 298.298 2.785 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1ccc(-n2ccnc2)nc1 ZINC000022136114 346248351 /nfs/dbraw/zinc/24/83/51/346248351.db2.gz LMPBCNMMCQMGLV-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Nc1ccc(-c2noc(C3CC4(CC4)C3)n2)cc1[N+](=O)[O-] ZINC000596578747 349946265 /nfs/dbraw/zinc/94/62/65/349946265.db2.gz DHEBELVUZJNWFU-UHFFFAOYSA-N 0 0 286.291 2.885 20 5 CFBDRN CN(C)c1ccccc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000023176112 346251287 /nfs/dbraw/zinc/25/12/87/346251287.db2.gz UVHAJJGDKOKHDP-UHFFFAOYSA-N 0 0 285.303 2.913 20 5 CFBDRN C[C@@]1(C(F)F)CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000596573103 349945256 /nfs/dbraw/zinc/94/52/56/349945256.db2.gz URIACSDJNPBLMP-JTQLQIEISA-N 0 0 278.280 2.517 20 5 CFBDRN C[C@H]1CN(c2ccsc2[N+](=O)[O-])C[C@](C)(C(F)F)O1 ZINC000596574099 349945315 /nfs/dbraw/zinc/94/53/15/349945315.db2.gz NJOIRUXJPZHNLF-WRWORJQWSA-N 0 0 292.307 2.905 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cn1 ZINC000029888631 346284629 /nfs/dbraw/zinc/28/46/29/346284629.db2.gz KBCGOMHZRQPNPF-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@H]1c1ccc(F)cc1 ZINC000026141982 346263514 /nfs/dbraw/zinc/26/35/14/346263514.db2.gz WBQVKTOZYBIACN-LBPRGKRZSA-N 0 0 290.298 2.809 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])s2)o1 ZINC000031420848 346294252 /nfs/dbraw/zinc/29/42/52/346294252.db2.gz WXDXYSUNYCVNBH-UHFFFAOYSA-N 0 0 280.305 2.530 20 5 CFBDRN O=C(NCc1cc(F)cc(F)c1)c1ccccc1[N+](=O)[O-] ZINC000030163376 346286684 /nfs/dbraw/zinc/28/66/84/346286684.db2.gz GGBSYTQNNGXFDD-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN CN(C)c1ccccc1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000030669451 346289628 /nfs/dbraw/zinc/28/96/28/346289628.db2.gz QEUNOXOJLIHRSA-UHFFFAOYSA-N 0 0 299.330 2.842 20 5 CFBDRN CC(=O)Nc1nc(COc2ccccc2[N+](=O)[O-])cs1 ZINC000032856754 346308428 /nfs/dbraw/zinc/30/84/28/346308428.db2.gz TZMCFTPANCMSMI-UHFFFAOYSA-N 0 0 293.304 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(C3CC4(CC4)C3)n2)nc1 ZINC000596596743 349951924 /nfs/dbraw/zinc/95/19/24/349951924.db2.gz UJTSBAGFXXYEOP-UHFFFAOYSA-N 0 0 286.291 2.621 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000041958215 346358009 /nfs/dbraw/zinc/35/80/09/346358009.db2.gz RHXQHIUMFVXUQT-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN COc1ccc(CSc2nncs2)cc1[N+](=O)[O-] ZINC000042151947 346361496 /nfs/dbraw/zinc/36/14/96/346361496.db2.gz QFGSFLHASHMCOM-UHFFFAOYSA-N 0 0 283.334 2.747 20 5 CFBDRN CO[C@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C1CCC1 ZINC000596601568 349953657 /nfs/dbraw/zinc/95/36/57/349953657.db2.gz IGDGMDIEIAAQOW-JTQLQIEISA-N 0 0 279.252 2.725 20 5 CFBDRN Cc1c(NC(=O)N[C@@H](C)[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000043346639 346382521 /nfs/dbraw/zinc/38/25/21/346382521.db2.gz RQYOWCGGIUCRLJ-GXFFZTMASA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1c(NC(=O)N[C@H](C)[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000043346641 346382527 /nfs/dbraw/zinc/38/25/27/346382527.db2.gz RQYOWCGGIUCRLJ-ZWNOBZJWSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cc(NCCNc2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000044713838 346398070 /nfs/dbraw/zinc/39/80/70/346398070.db2.gz GHLLTGUZSZOZFC-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1c([N+](=O)[O-])cc(C(=O)N(C)CC(C)C)cc1[N+](=O)[O-] ZINC000045469963 346409695 /nfs/dbraw/zinc/40/96/95/346409695.db2.gz LUVXOSOHMVRASK-UHFFFAOYSA-N 0 0 295.295 2.539 20 5 CFBDRN CCNC(=O)c1ccc(N2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000045165444 346404431 /nfs/dbraw/zinc/40/44/31/346404431.db2.gz GXXKGJJIWBZQOH-LLVKDONJSA-N 0 0 291.351 2.581 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H](C)C1 ZINC000045208979 346405254 /nfs/dbraw/zinc/40/52/54/346405254.db2.gz IYDPAGLPMKJJQC-GHMZBOCLSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1nc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)cs1 ZINC000045343977 346407040 /nfs/dbraw/zinc/40/70/40/346407040.db2.gz SCFQZSANGYMDFM-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN Cc1ccc(NC(=O)[C@@]23C[C@@H]2COC3(C)C)cc1[N+](=O)[O-] ZINC000596619993 349958505 /nfs/dbraw/zinc/95/85/05/349958505.db2.gz NSZFVNLRJYEQTI-MEBBXXQBSA-N 0 0 290.319 2.657 20 5 CFBDRN CO[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1C)C1CCC1 ZINC000596621118 349958540 /nfs/dbraw/zinc/95/85/40/349958540.db2.gz RMNOGPMZJUHNLP-CYBMUJFWSA-N 0 0 278.308 2.657 20 5 CFBDRN NC(=O)c1ccc(Oc2ccc([N+](=O)[O-])cc2F)cc1 ZINC000075667341 347090977 /nfs/dbraw/zinc/09/09/77/347090977.db2.gz CHBGTJFBABZHMZ-UHFFFAOYSA-N 0 0 276.223 2.625 20 5 CFBDRN CC1(C)CCC[C@](O)(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000596619561 349958626 /nfs/dbraw/zinc/95/86/26/349958626.db2.gz JHBBHLHQUWSIEC-OAHLLOKOSA-N 0 0 292.335 2.865 20 5 CFBDRN O=C(NCCc1ccsc1)c1csc([N+](=O)[O-])c1 ZINC000044491419 346394116 /nfs/dbraw/zinc/39/41/16/346394116.db2.gz AIIQKGYGKVVSTQ-UHFFFAOYSA-N 0 0 282.346 2.690 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000044522288 346394877 /nfs/dbraw/zinc/39/48/77/346394877.db2.gz GWQFSSNMHZAMJI-QMMMGPOBSA-N 0 0 256.327 2.917 20 5 CFBDRN O=C(NCC1(c2ccccc2)CC1)c1ccc([N+](=O)[O-])o1 ZINC000046642239 346430429 /nfs/dbraw/zinc/43/04/29/346430429.db2.gz DKCKJCMJYQNHGD-UHFFFAOYSA-N 0 0 286.287 2.649 20 5 CFBDRN C[C@@H](C1CC1)N(C(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000046059202 346418829 /nfs/dbraw/zinc/41/88/29/346418829.db2.gz ZAQQMDSGNCGBJY-JTQLQIEISA-N 0 0 274.320 2.998 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@]12C[C@@H]1CCC2 ZINC000596626460 349961004 /nfs/dbraw/zinc/96/10/04/349961004.db2.gz NTDHYQSKLCLKIV-XPTSAGLGSA-N 0 0 291.307 2.668 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCCF ZINC000596633607 349963527 /nfs/dbraw/zinc/96/35/27/349963527.db2.gz MCSNXKZCABHWCO-UHFFFAOYSA-N 0 0 254.261 2.900 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1COC(C)(C)C1 ZINC000596633769 349963547 /nfs/dbraw/zinc/96/35/47/349963547.db2.gz AXYWQISDGBWBFN-JTQLQIEISA-N 0 0 293.323 2.592 20 5 CFBDRN CCC[C@@H](C)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000048688215 346476684 /nfs/dbraw/zinc/47/66/84/346476684.db2.gz NRLWZJZTWIPXID-SNVBAGLBSA-N 0 0 265.313 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ccc(C[C@H](O)C3CC3)cc2)cn1 ZINC000596638191 349964504 /nfs/dbraw/zinc/96/45/04/349964504.db2.gz RRNYXFBUXKCIRV-HNNXBMFYSA-N 0 0 284.315 2.970 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCc2c1cccc2F ZINC000048962694 346478032 /nfs/dbraw/zinc/47/80/32/346478032.db2.gz KUPLOCPIUJECSA-LLVKDONJSA-N 0 0 276.271 2.567 20 5 CFBDRN CN(Cc1cnn(C)c1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000051382690 346503468 /nfs/dbraw/zinc/50/34/68/346503468.db2.gz OQMJOFKMNSNRHL-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN O=C(NCCc1cccc(Cl)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000051864597 346507224 /nfs/dbraw/zinc/50/72/24/346507224.db2.gz XRFJHKORPDHCRN-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN Cc1ccsc1CN(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051873791 346507304 /nfs/dbraw/zinc/50/73/04/346507304.db2.gz OMPIXUMZHMBCPP-UHFFFAOYSA-N 0 0 279.321 2.565 20 5 CFBDRN CCc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000051865963 346507344 /nfs/dbraw/zinc/50/73/44/346507344.db2.gz MOTCLRPNFPXKKT-JTQLQIEISA-N 0 0 287.319 2.976 20 5 CFBDRN CC(C)NC(=O)c1ccc(N(C)CC2CC2)c([N+](=O)[O-])c1 ZINC000052456898 346521733 /nfs/dbraw/zinc/52/17/33/346521733.db2.gz XDYBJIWULZGGEW-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CCc1cnc(-c2cc([N+](=O)[O-])ccc2CCO)s1 ZINC000596647110 349966985 /nfs/dbraw/zinc/96/69/85/349966985.db2.gz ARVLYRWZLCEHLH-UHFFFAOYSA-N 0 0 278.333 2.816 20 5 CFBDRN CCCC(C)(C)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000050808356 346498103 /nfs/dbraw/zinc/49/81/03/346498103.db2.gz WHDSMUYVTMPXLB-UHFFFAOYSA-N 0 0 290.323 2.780 20 5 CFBDRN C[C@H]1Cc2ccccc2N1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000050823145 346498290 /nfs/dbraw/zinc/49/82/90/346498290.db2.gz JZEWXSLQVBWQKQ-VIFPVBQESA-N 0 0 271.276 2.514 20 5 CFBDRN CCOc1ccccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051202850 346501193 /nfs/dbraw/zinc/50/11/93/346501193.db2.gz VDVQLKMADFQUNX-UHFFFAOYSA-N 0 0 275.264 2.574 20 5 CFBDRN C[C@@H]1c2ccsc2CCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000052988445 346535189 /nfs/dbraw/zinc/53/51/89/346535189.db2.gz BTTJOQCRLAYUJY-MRVPVSSYSA-N 0 0 291.332 2.744 20 5 CFBDRN C[C@H](c1ccc(F)cc1)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000053307596 346543003 /nfs/dbraw/zinc/54/30/03/346543003.db2.gz SDFKYQQRPZZPSL-SECBINFHSA-N 0 0 291.282 2.895 20 5 CFBDRN CCC1CCN(C(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000054612412 346573113 /nfs/dbraw/zinc/57/31/13/346573113.db2.gz PARKTZQAPSTALA-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN C[C@H](C(=O)NCCc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000270726648 190789300 /nfs/dbraw/zinc/78/93/00/190789300.db2.gz CZXUIRVUUURSHH-LLVKDONJSA-N 0 0 278.352 2.936 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1c(F)cccc1F ZINC000055798013 346596978 /nfs/dbraw/zinc/59/69/78/346596978.db2.gz ZFCNTIUIIOGSEX-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1cccs1 ZINC000056353570 346607530 /nfs/dbraw/zinc/60/75/30/346607530.db2.gz UQOTZMUWKSGTLM-VIFPVBQESA-N 0 0 279.321 2.866 20 5 CFBDRN CC(C)(C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000056442460 346608673 /nfs/dbraw/zinc/60/86/73/346608673.db2.gz DVZAWEAKTSAMNX-UHFFFAOYSA-N 0 0 261.281 2.604 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@H]3CCCC[C@@H]32)cs1 ZINC000056494649 346609502 /nfs/dbraw/zinc/60/95/02/346609502.db2.gz BMEJWEAHYNHPNH-RYUDHWBXSA-N 0 0 282.365 2.800 20 5 CFBDRN O=C(CCc1nccs1)Nc1ccc([N+](=O)[O-])cc1 ZINC000057228589 346622693 /nfs/dbraw/zinc/62/26/93/346622693.db2.gz BRAVUVNITYEVDN-UHFFFAOYSA-N 0 0 277.305 2.623 20 5 CFBDRN CSC[C@H](C)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162233610 136552575 /nfs/dbraw/zinc/55/25/75/136552575.db2.gz NSFVGMYEWFYPFJ-JTQLQIEISA-N 0 0 294.376 2.873 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCc2ccc(F)cc21 ZINC000058741142 346649014 /nfs/dbraw/zinc/64/90/14/346649014.db2.gz JJZRNYWWYFPADI-UHFFFAOYSA-N 0 0 292.291 2.998 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(O)CCCC[C@@H]3C2)cc1 ZINC000168623295 136556283 /nfs/dbraw/zinc/55/62/83/136556283.db2.gz VMRRBYKGLRCDNF-IUODEOHRSA-N 0 0 276.336 2.726 20 5 CFBDRN C[C@@H](CNc1ccccc1[N+](=O)[O-])Cn1ccnc1 ZINC000060380121 346664296 /nfs/dbraw/zinc/66/42/96/346664296.db2.gz PWQCMOLIWFFCHA-NSHDSACASA-N 0 0 260.297 2.540 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cc1F)Cn1ccnc1 ZINC000060380068 346664394 /nfs/dbraw/zinc/66/43/94/346664394.db2.gz GOKVUDXWNRFPFW-SNVBAGLBSA-N 0 0 278.287 2.679 20 5 CFBDRN CCOC1CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000060451020 346665350 /nfs/dbraw/zinc/66/53/50/346665350.db2.gz SMPLZDIDLNXCAG-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN CCOC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000060451121 346666123 /nfs/dbraw/zinc/66/61/23/346666123.db2.gz HNWBRTJCPOYMIK-UHFFFAOYSA-N 0 0 250.298 2.600 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057836975 346637893 /nfs/dbraw/zinc/63/78/93/346637893.db2.gz IXNWJOWPTGQGDB-LLVKDONJSA-N 0 0 272.304 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2COc3ccccc3O2)s1 ZINC000064029146 346714310 /nfs/dbraw/zinc/71/43/10/346714310.db2.gz AEIGTRAABXQDRU-SECBINFHSA-N 0 0 292.316 2.908 20 5 CFBDRN CN(CC1CCCC1)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000064088541 346714813 /nfs/dbraw/zinc/71/48/13/346714813.db2.gz JICXEBQXYGLSRZ-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ncc(-c2ccccc2)o1 ZINC000064331965 346717674 /nfs/dbraw/zinc/71/76/74/346717674.db2.gz HGYOAQULSYPBHV-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc2c(c1)COC2 ZINC000064394331 346719733 /nfs/dbraw/zinc/71/97/33/346719733.db2.gz CQKGJBYKVJUJRD-UHFFFAOYSA-N 0 0 298.298 2.555 20 5 CFBDRN CN(C(=O)c1ccccc1[N+](=O)[O-])C(C1CC1)C1CC1 ZINC000064975036 346729161 /nfs/dbraw/zinc/72/91/61/346729161.db2.gz KBYZBWLCKKNPGU-UHFFFAOYSA-N 0 0 274.320 2.855 20 5 CFBDRN Cc1noc(C)c1CNc1ccc([N+](=O)[O-])s1 ZINC000063255283 346698690 /nfs/dbraw/zinc/69/86/90/346698690.db2.gz FIGPHWUGOGDUSU-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN Cc1noc(C)c1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000063447543 346700643 /nfs/dbraw/zinc/70/06/43/346700643.db2.gz VKVOIEWTVRKRJQ-VOTSOKGWSA-N 0 0 287.275 2.852 20 5 CFBDRN Cc1ncc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000063473540 346700791 /nfs/dbraw/zinc/70/07/91/346700791.db2.gz PKVPFLZEOQYXAB-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1ncc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)s1 ZINC000063473544 346700979 /nfs/dbraw/zinc/70/09/79/346700979.db2.gz YWDBAQXQRNUIDD-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cc(C(=O)Cn2c(C)ncc2[N+](=O)[O-])c(C)s1 ZINC000065694028 346738496 /nfs/dbraw/zinc/73/84/96/346738496.db2.gz HLAACUJTCVLPKG-UHFFFAOYSA-N 0 0 279.321 2.661 20 5 CFBDRN CC[C@@H](OC)C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000065719529 346738625 /nfs/dbraw/zinc/73/86/25/346738625.db2.gz MVTVITQSHNDWSI-LLVKDONJSA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nnc(-c2ccc(C)cc2)o1 ZINC000065693781 346738678 /nfs/dbraw/zinc/73/86/78/346738678.db2.gz NLYRGYRYZUOHEF-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CCCN(CC1CC1)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000065857582 346742832 /nfs/dbraw/zinc/74/28/32/346742832.db2.gz IHHBUJBHJSBGDW-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000065866921 346743642 /nfs/dbraw/zinc/74/36/42/346743642.db2.gz BRKQEAMEUSZRHV-NSHDSACASA-N 0 0 279.340 2.654 20 5 CFBDRN CC(C)CN(C(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000075901507 347108839 /nfs/dbraw/zinc/10/88/39/347108839.db2.gz KPCRDFNLIZNQIL-UHFFFAOYSA-N 0 0 268.338 2.917 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000067059168 346790116 /nfs/dbraw/zinc/79/01/16/346790116.db2.gz BCVDLIGEUXIPQC-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cc(F)ccc1F ZINC000067070851 346790799 /nfs/dbraw/zinc/79/07/99/346790799.db2.gz PLFFXFCYZDKYFS-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C1(c2ccccc2)CC1 ZINC000067071809 346790948 /nfs/dbraw/zinc/79/09/48/346790948.db2.gz KOPDGIXOGZNMJM-UHFFFAOYSA-N 0 0 296.326 2.943 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccn1 ZINC000067143171 346793166 /nfs/dbraw/zinc/79/31/66/346793166.db2.gz SJPAAJJJGSQUGS-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1c1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000067502249 346804905 /nfs/dbraw/zinc/80/49/05/346804905.db2.gz GRMZFODWHWEONI-HUUCEWRRSA-N 0 0 282.299 2.881 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1=C(C)OCCS1 ZINC000291537016 232582351 /nfs/dbraw/zinc/58/23/51/232582351.db2.gz URHNCCLDSNIJQV-UHFFFAOYSA-N 0 0 294.332 2.837 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])s1)c1ccc(Cl)cn1 ZINC000076347680 347135736 /nfs/dbraw/zinc/13/57/36/347135736.db2.gz DLPUTJCLCGYPJO-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN CCC[C@H](OC)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000297761216 136693504 /nfs/dbraw/zinc/69/35/04/136693504.db2.gz LNISKFOESZEZMX-AWEZNQCLSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1ccccc1[C@H]1CCCN1c1ncc([N+](=O)[O-])c(N)n1 ZINC000076268609 347132287 /nfs/dbraw/zinc/13/22/87/347132287.db2.gz QIOMICJDJQLEOR-GFCCVEGCSA-N 0 0 299.334 2.617 20 5 CFBDRN CO[C@H]1CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000076291013 347133185 /nfs/dbraw/zinc/13/31/85/347133185.db2.gz YOYZIPNHSACLTN-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN CCC[C@@H]1CCCC[C@@H]1NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000077447936 347192888 /nfs/dbraw/zinc/19/28/88/347192888.db2.gz NWUJAOBQNNLEFE-MNOVXSKESA-N 0 0 294.355 2.715 20 5 CFBDRN CCC[C@H]1CCCC[C@@H]1NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000077447942 347193423 /nfs/dbraw/zinc/19/34/23/347193423.db2.gz NWUJAOBQNNLEFE-QWRGUYRKSA-N 0 0 294.355 2.715 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCCCC1CC1 ZINC000077177972 347171206 /nfs/dbraw/zinc/17/12/06/347171206.db2.gz KSJVRXRRPUUBAW-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN C[C@@H](CNc1ccccc1[N+](=O)[O-])CC1(C)OCCO1 ZINC000077210133 347173420 /nfs/dbraw/zinc/17/34/20/347173420.db2.gz QURMYTCNRSBHRM-LLVKDONJSA-N 0 0 280.324 2.796 20 5 CFBDRN Cc1cc(NC[C@@H](C)C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000077228399 347177983 /nfs/dbraw/zinc/17/79/83/347177983.db2.gz ZKRGNTDODYNOPL-GXSJLCMTSA-N 0 0 252.314 2.722 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H](O)c1ccccc1Cl ZINC000077231114 347178211 /nfs/dbraw/zinc/17/82/11/347178211.db2.gz QSQFNAYEHKGXIF-GFCCVEGCSA-N 0 0 293.710 2.789 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@@H](CCO)c1ccccc1 ZINC000077231537 347178449 /nfs/dbraw/zinc/17/84/49/347178449.db2.gz PQKMKNZIZHJLOU-CYBMUJFWSA-N 0 0 287.319 2.568 20 5 CFBDRN Cc1ccc(Oc2ncc([N+](=O)[O-])c(N)n2)c(Cl)c1 ZINC000077231459 347178494 /nfs/dbraw/zinc/17/84/94/347178494.db2.gz IEJZUHDDZOEANE-UHFFFAOYSA-N 0 0 280.671 2.721 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000077229104 347178556 /nfs/dbraw/zinc/17/85/56/347178556.db2.gz CNKXOWHJLSVKSY-RKDXNWHRSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@](O)(CNc1ccccc1[N+](=O)[O-])c1ccsc1 ZINC000077236630 347180101 /nfs/dbraw/zinc/18/01/01/347180101.db2.gz DEUNIYTVMVABJG-ZDUSSCGKSA-N 0 0 278.333 2.976 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CCCO)c2ccccc2)nc1 ZINC000077238074 347180112 /nfs/dbraw/zinc/18/01/12/347180112.db2.gz JJUZZUSMAAVVSX-CQSZACIVSA-N 0 0 287.319 2.916 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000077237703 347180220 /nfs/dbraw/zinc/18/02/20/347180220.db2.gz AJTALGPVMCPILV-SWLSCSKDSA-N 0 0 292.335 2.760 20 5 CFBDRN COCCN(C)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000077307736 347185569 /nfs/dbraw/zinc/18/55/69/347185569.db2.gz RRLLYSLJVAAKOC-UHFFFAOYSA-N 0 0 278.230 2.696 20 5 CFBDRN CSC1(CNc2ccc([N+](=O)[O-])s2)CCOCC1 ZINC000078412564 347250876 /nfs/dbraw/zinc/25/08/76/347250876.db2.gz QYDXRXXFMGDVHI-UHFFFAOYSA-N 0 0 288.394 2.980 20 5 CFBDRN COc1ccccc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078149696 347230462 /nfs/dbraw/zinc/23/04/62/347230462.db2.gz OXCSTRKEWYRODB-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN CC(C)CN(C)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000078285975 347237928 /nfs/dbraw/zinc/23/79/28/347237928.db2.gz WQBGEEMSDNGCTO-UHFFFAOYSA-N 0 0 270.716 2.976 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)[C@H](C)CO1 ZINC000078331317 347241997 /nfs/dbraw/zinc/24/19/97/347241997.db2.gz IXHUFXSLOLMYME-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000078335211 347242751 /nfs/dbraw/zinc/24/27/51/347242751.db2.gz RDQVHEZLLHPJCN-DTWKUNHWSA-N 0 0 280.353 2.929 20 5 CFBDRN COC[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)C(C)C ZINC000078358840 347246018 /nfs/dbraw/zinc/24/60/18/347246018.db2.gz CWXKUQBLCKXSGH-JTQLQIEISA-N 0 0 273.720 2.726 20 5 CFBDRN CCCn1cc(Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cn1 ZINC000078472869 347255558 /nfs/dbraw/zinc/25/55/58/347255558.db2.gz VRZQNRGTFBJTCA-UHFFFAOYSA-N 0 0 291.267 2.853 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@H](C)S1 ZINC000078495645 347257043 /nfs/dbraw/zinc/25/70/43/347257043.db2.gz WBDHAISOEFKEMP-UWVGGRQHSA-N 0 0 252.339 2.925 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2C)CCS1 ZINC000078590060 347264456 /nfs/dbraw/zinc/26/44/56/347264456.db2.gz ORZYAKOMQMRHQK-LLVKDONJSA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1cnc(N2CCc3sccc3C2)c([N+](=O)[O-])c1 ZINC000078628297 347266075 /nfs/dbraw/zinc/26/60/75/347266075.db2.gz YFDBZTOHEHIYFS-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](O)Cc1ccccc1 ZINC000078641432 347267559 /nfs/dbraw/zinc/26/75/59/347267559.db2.gz OBSLSUQNRHGYAU-HNNXBMFYSA-N 0 0 286.331 2.919 20 5 CFBDRN Cc1cnc(NC[C@@](C)(O)c2ccsc2)c([N+](=O)[O-])c1 ZINC000078667282 347268954 /nfs/dbraw/zinc/26/89/54/347268954.db2.gz JOWGHKBPZLTZTL-CYBMUJFWSA-N 0 0 293.348 2.679 20 5 CFBDRN Cc1cnc(N2CC[C@H](Oc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000078663860 347268981 /nfs/dbraw/zinc/26/89/81/347268981.db2.gz KJMQFOOIPLCFJF-AWEZNQCLSA-N 0 0 299.330 2.956 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000078727496 347272571 /nfs/dbraw/zinc/27/25/71/347272571.db2.gz SLDYXXHBFLGFOT-MRVPVSSYSA-N 0 0 256.327 2.917 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000078807213 347275778 /nfs/dbraw/zinc/27/57/78/347275778.db2.gz WOBDMCCXUFKUJU-NSHDSACASA-N 0 0 264.325 2.784 20 5 CFBDRN COCc1nc(CSc2ncccc2[N+](=O)[O-])cs1 ZINC000078963461 347281895 /nfs/dbraw/zinc/28/18/95/347281895.db2.gz NWIQELZQPKDRNJ-UHFFFAOYSA-N 0 0 297.361 2.885 20 5 CFBDRN CCC1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000080427126 347357724 /nfs/dbraw/zinc/35/77/24/347357724.db2.gz NCJRQEOVQGUGKA-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1ccccn1 ZINC000080530344 347364680 /nfs/dbraw/zinc/36/46/80/347364680.db2.gz BNRJDGVDIRIXHB-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN CCO[C@H](CCNc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000080606224 347369673 /nfs/dbraw/zinc/36/96/73/347369673.db2.gz HFVRXAMZBGQSGY-GFCCVEGCSA-N 0 0 267.329 2.853 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@](C)(O)C(C)C ZINC000080637936 347370909 /nfs/dbraw/zinc/37/09/09/347370909.db2.gz YNQIVQHXIMJFAG-AWEZNQCLSA-N 0 0 282.340 2.560 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H](OC)C(C)C ZINC000080669094 347373336 /nfs/dbraw/zinc/37/33/36/347373336.db2.gz DPKBRKULPGBWTL-AWEZNQCLSA-N 0 0 282.340 2.824 20 5 CFBDRN CCOC(=O)[C@@H](CC)Sc1nccc(C)c1[N+](=O)[O-] ZINC000080678461 347374144 /nfs/dbraw/zinc/37/41/44/347374144.db2.gz FUHVZCWQUFAANV-SECBINFHSA-N 0 0 284.337 2.732 20 5 CFBDRN CN(C)c1nc(CNc2ccccc2[N+](=O)[O-])cs1 ZINC000080686475 347375717 /nfs/dbraw/zinc/37/57/17/347375717.db2.gz SAFKFSMDZTYHCS-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@H](C)S1 ZINC000080946739 347386714 /nfs/dbraw/zinc/38/67/14/347386714.db2.gz JNXADWAVPVVTNL-UWVGGRQHSA-N 0 0 280.349 2.561 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)S[C@H](C)C1 ZINC000080960424 347390125 /nfs/dbraw/zinc/39/01/25/347390125.db2.gz DXOHHHJRFHOGTI-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)Cc1ccc[nH]1 ZINC000080971990 347390999 /nfs/dbraw/zinc/39/09/99/347390999.db2.gz AJJJTGJXMUFNJT-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN Cc1c(C(=O)N(C)Cc2ccc[nH]2)cccc1[N+](=O)[O-] ZINC000080976504 347391757 /nfs/dbraw/zinc/39/17/57/347391757.db2.gz AANLTZAASSLMED-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN(CC(F)F)C3CC3)nc2c1 ZINC000083170993 347449596 /nfs/dbraw/zinc/44/95/96/347449596.db2.gz HJHSZNCHINSIMW-UHFFFAOYSA-N 0 0 297.261 2.966 20 5 CFBDRN Cc1nn(C)c(NC2C[C@H](C)C[C@@H](C)C2)c1[N+](=O)[O-] ZINC000084439026 347463769 /nfs/dbraw/zinc/46/37/69/347463769.db2.gz YXOHKAOMXIOVGL-RKDXNWHRSA-N 0 0 266.345 2.873 20 5 CFBDRN CCOCCC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000102849042 185794544 /nfs/dbraw/zinc/79/45/44/185794544.db2.gz AAUPUKBCKXHCOL-UHFFFAOYSA-N 0 0 267.281 2.544 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2cccnc2F)c(Cl)c1 ZINC000084927787 347476499 /nfs/dbraw/zinc/47/64/99/347476499.db2.gz WSKKFZOENGPLLY-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN Cc1noc(C)c1CCCNc1ncc([N+](=O)[O-])cc1C ZINC000084928505 347476606 /nfs/dbraw/zinc/47/66/06/347476606.db2.gz LFVNNMCHIBFENA-UHFFFAOYSA-N 0 0 290.323 2.948 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCNc2ncccn2)c1 ZINC000088701708 347507160 /nfs/dbraw/zinc/50/71/60/347507160.db2.gz QQMJGKLLYMAGPR-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN COC(=O)N1CCC[C@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000088718236 347507704 /nfs/dbraw/zinc/50/77/04/347507704.db2.gz WVPHQGRPHIRBFV-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(=O)NC2CCCCC2)c1 ZINC000088716325 347508044 /nfs/dbraw/zinc/50/80/44/347508044.db2.gz MPQKDQXPFYTIHA-UHFFFAOYSA-N 0 0 291.351 2.764 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@]2(C)CO)c1 ZINC000088725870 347508528 /nfs/dbraw/zinc/50/85/28/347508528.db2.gz PEYYWNGBXQGQPS-ZIAGYGMSSA-N 0 0 264.325 2.866 20 5 CFBDRN CCOC[C@@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000088727042 347508653 /nfs/dbraw/zinc/50/86/53/347508653.db2.gz MBBFIDPFLILMJC-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1cc(N2CCCC[C@H]2c2ncc[nH]2)ncc1[N+](=O)[O-] ZINC000088727622 347508724 /nfs/dbraw/zinc/50/87/24/347508724.db2.gz DTHLEHRUZDDJNC-NSHDSACASA-N 0 0 287.323 2.753 20 5 CFBDRN Cc1cc(C)nc(N2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000088763594 347510091 /nfs/dbraw/zinc/51/00/91/347510091.db2.gz KUOILIHEGDAMLW-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN Cc1ncc([C@H](C)NCc2ccccc2[N+](=O)[O-])c(C)n1 ZINC000089511999 347520893 /nfs/dbraw/zinc/52/08/93/347520893.db2.gz LGRRLAVAQDPKPO-JTQLQIEISA-N 0 0 286.335 2.852 20 5 CFBDRN CC[C@@H](C)C(=O)CSc1ccc([N+](=O)[O-])cn1 ZINC000089692506 347526927 /nfs/dbraw/zinc/52/69/27/347526927.db2.gz REVSQBPOJZUDJY-MRVPVSSYSA-N 0 0 254.311 2.697 20 5 CFBDRN COCCN(C)c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090072600 347539649 /nfs/dbraw/zinc/53/96/49/347539649.db2.gz NJFFIAGFIYFKQD-UHFFFAOYSA-N 0 0 287.319 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCCC3CC3)c2c1 ZINC000088459694 347503399 /nfs/dbraw/zinc/50/33/99/347503399.db2.gz ZGECDPLHONHIPI-UHFFFAOYSA-N 0 0 258.281 2.750 20 5 CFBDRN C[C@H]1CC[C@H](C)[NH+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000091937319 347604083 /nfs/dbraw/zinc/60/40/83/347604083.db2.gz GGTWVQCCUVSZCH-UWVGGRQHSA-N 0 0 250.298 2.673 20 5 CFBDRN COc1cc(N(C)Cc2cc(C)on2)ccc1[N+](=O)[O-] ZINC000091429215 347585350 /nfs/dbraw/zinc/58/53/50/347585350.db2.gz HGRWVNBRSJWJKY-UHFFFAOYSA-N 0 0 277.280 2.536 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1Cc2ccccc21 ZINC000091558523 347592503 /nfs/dbraw/zinc/59/25/03/347592503.db2.gz ZQZWIIJZDISOAH-LBPRGKRZSA-N 0 0 286.335 2.871 20 5 CFBDRN CCC(CC)[N@H+](CCOC)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000092565778 347632471 /nfs/dbraw/zinc/63/24/71/347632471.db2.gz LYUWCOWETTVHAT-UHFFFAOYSA-N 0 0 296.367 2.937 20 5 CFBDRN CCOc1cc(SCCC(=O)OC)ccc1[N+](=O)[O-] ZINC000092582051 347632849 /nfs/dbraw/zinc/63/28/49/347632849.db2.gz XUWYSMQGSFLGFG-UHFFFAOYSA-N 0 0 285.321 2.649 20 5 CFBDRN CCc1nsc(Oc2cccc([N+](=O)[O-])c2)n1 ZINC000092581614 347633066 /nfs/dbraw/zinc/63/30/66/347633066.db2.gz DRXXQKFHGVGWRH-UHFFFAOYSA-N 0 0 251.267 2.801 20 5 CFBDRN Cc1n[nH]cc1Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092624758 347635705 /nfs/dbraw/zinc/63/57/05/347635705.db2.gz CKMFVXPXJIWMLQ-UHFFFAOYSA-N 0 0 284.222 2.971 20 5 CFBDRN COCCSc1ccc([N+](=O)[O-])c2cccnc12 ZINC000092662659 347638326 /nfs/dbraw/zinc/63/83/26/347638326.db2.gz PPPJISOQLAOSJL-UHFFFAOYSA-N 0 0 264.306 2.882 20 5 CFBDRN COc1cc(NCc2ncoc2C)ccc1[N+](=O)[O-] ZINC000092689754 347641628 /nfs/dbraw/zinc/64/16/28/347641628.db2.gz UVTGTRNCTWLLLB-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC000092827593 347648311 /nfs/dbraw/zinc/64/83/11/347648311.db2.gz ZOTTVMQPQVZDCH-CYBMUJFWSA-N 0 0 286.335 2.990 20 5 CFBDRN CCOCCN(C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000092963218 347655680 /nfs/dbraw/zinc/65/56/80/347655680.db2.gz MBLDRVNGOMXTKF-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN Cc1cc(NCC2(O)CCC(C)CC2)ncc1[N+](=O)[O-] ZINC000092027390 347606359 /nfs/dbraw/zinc/60/63/59/347606359.db2.gz NCHJYXPTDHQHCY-UHFFFAOYSA-N 0 0 279.340 2.651 20 5 CFBDRN COC(=O)C(C)(C)CSc1ccccc1[N+](=O)[O-] ZINC000093703687 347681706 /nfs/dbraw/zinc/68/17/06/347681706.db2.gz KDPPROIVYUFGIT-UHFFFAOYSA-N 0 0 269.322 2.886 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(Cl)nc2)c1[N+](=O)[O-] ZINC000094139630 347693121 /nfs/dbraw/zinc/69/31/21/347693121.db2.gz JZGWMXKKAQAFDG-UHFFFAOYSA-N 0 0 295.730 2.551 20 5 CFBDRN CCc1ccc(OCC(=O)NC(C)(C)CC)c([N+](=O)[O-])c1 ZINC000115200748 347777506 /nfs/dbraw/zinc/77/75/06/347777506.db2.gz BOHFOOIVHMFLFC-UHFFFAOYSA-N 0 0 294.351 2.841 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC1(C2CC2)CCC1 ZINC000120547325 347807093 /nfs/dbraw/zinc/80/70/93/347807093.db2.gz COTZZMJJZJERMO-UHFFFAOYSA-N 0 0 289.335 2.608 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1ccc(F)cc1Cl ZINC000123455918 347819943 /nfs/dbraw/zinc/81/99/43/347819943.db2.gz NSHRZVLIMGHGJX-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000125824570 347829719 /nfs/dbraw/zinc/82/97/19/347829719.db2.gz DKEYJPQWONPQJV-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN CCSCCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000126660915 347836430 /nfs/dbraw/zinc/83/64/30/347836430.db2.gz UNKLJEJZHZNRDP-UHFFFAOYSA-N 0 0 278.337 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H]1CCCCO1 ZINC000129775651 347864371 /nfs/dbraw/zinc/86/43/71/347864371.db2.gz YIOAKNATEKDEQR-VIFPVBQESA-N 0 0 271.704 2.624 20 5 CFBDRN C[C@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000130877914 347874658 /nfs/dbraw/zinc/87/46/58/347874658.db2.gz CVKRTMPANYEHDE-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN Cc1ccoc1CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000596714525 349977116 /nfs/dbraw/zinc/97/71/16/349977116.db2.gz ZSOQUVFXWATECG-UHFFFAOYSA-N 0 0 286.287 2.628 20 5 CFBDRN C[C@@H](CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)C1CC1 ZINC000132811018 347886963 /nfs/dbraw/zinc/88/69/63/347886963.db2.gz OHLCQSKKOHGNOD-VIFPVBQESA-N 0 0 289.335 2.938 20 5 CFBDRN CSCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000086624574 179312437 /nfs/dbraw/zinc/31/24/37/179312437.db2.gz MRHQNDQNYLDPJZ-UHFFFAOYSA-N 0 0 254.311 2.513 20 5 CFBDRN COC(=O)C1CCC(Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000134598985 347900102 /nfs/dbraw/zinc/90/01/02/347900102.db2.gz SDURWMSILRBNAM-UHFFFAOYSA-N 0 0 278.308 2.739 20 5 CFBDRN O=[N+]([O-])c1c(NCCCC2CC2)nc2sccn21 ZINC000134829765 347901622 /nfs/dbraw/zinc/90/16/22/347901622.db2.gz SKLPSCSCDXUJKP-UHFFFAOYSA-N 0 0 266.326 2.906 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@H]3CC[C@@H]2O3)c1[N+](=O)[O-] ZINC000134927523 347902253 /nfs/dbraw/zinc/90/22/53/347902253.db2.gz GWNGGXYNJPCSAO-JLLWLGSASA-N 0 0 278.308 2.725 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])n[nH]1)c1ccc(C)cc1 ZINC000140260810 347924976 /nfs/dbraw/zinc/92/49/76/347924976.db2.gz LJHQPPPIEMZIRS-LLVKDONJSA-N 0 0 288.307 2.507 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152252705 347968144 /nfs/dbraw/zinc/96/81/44/347968144.db2.gz UFCAZSGKCMOIRE-MFKMUULPSA-N 0 0 274.320 2.742 20 5 CFBDRN COC(=O)[C@@H](NCc1cccc([N+](=O)[O-])c1C)C(C)(C)C ZINC000152561447 347969572 /nfs/dbraw/zinc/96/95/72/347969572.db2.gz XKUHOBPSLNBJRR-CYBMUJFWSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1CC=CC1 ZINC000155353498 347986271 /nfs/dbraw/zinc/98/62/71/347986271.db2.gz XXFOIMSSLFYEBH-UHFFFAOYSA-N 0 0 261.281 2.743 20 5 CFBDRN Cc1c(NC(=O)NC2CC=CC2)cccc1[N+](=O)[O-] ZINC000155473315 347987175 /nfs/dbraw/zinc/98/71/75/347987175.db2.gz GCVUWOZEHHEUJC-UHFFFAOYSA-N 0 0 261.281 2.743 20 5 CFBDRN CCO[C@H]1CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000156102189 347991496 /nfs/dbraw/zinc/99/14/96/347991496.db2.gz KVRDVRSUIKJXLJ-NSHDSACASA-N 0 0 270.354 2.657 20 5 CFBDRN C[C@H](Nc1c(F)cccc1[N+](=O)[O-])c1nccn1C ZINC000156428552 347994621 /nfs/dbraw/zinc/99/46/21/347994621.db2.gz URESIBAJQJINPX-QMMMGPOBSA-N 0 0 264.260 2.641 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCCCC[C@@H]1CO ZINC000157004585 347998062 /nfs/dbraw/zinc/99/80/62/347998062.db2.gz JRUSSWQJPCGHSQ-CYBMUJFWSA-N 0 0 298.770 2.985 20 5 CFBDRN Cc1noc(C)c1CCSc1ncccc1[N+](=O)[O-] ZINC000160142867 348016281 /nfs/dbraw/zinc/01/62/81/348016281.db2.gz BLCCVZUGAYTKPY-UHFFFAOYSA-N 0 0 279.321 2.929 20 5 CFBDRN CSC[C@@H](C)Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000167226516 348045169 /nfs/dbraw/zinc/04/51/69/348045169.db2.gz XDACTFNBUOEGOV-MRVPVSSYSA-N 0 0 278.337 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccco3)no2)cc1 ZINC000167819133 348047172 /nfs/dbraw/zinc/04/71/72/348047172.db2.gz TWGSGSFZIFTBFT-UHFFFAOYSA-N 0 0 257.205 2.905 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000171841973 348082547 /nfs/dbraw/zinc/08/25/47/348082547.db2.gz RXTPWNVQULGVMB-NSHDSACASA-N 0 0 279.340 2.782 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000172379936 348092774 /nfs/dbraw/zinc/09/27/74/348092774.db2.gz BYYVFIDJOJHMDB-NSHDSACASA-N 0 0 279.340 2.686 20 5 CFBDRN CC(=O)c1cnc(NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000172500030 348097723 /nfs/dbraw/zinc/09/77/23/348097723.db2.gz SVRWLJRQACPVJO-UHFFFAOYSA-N 0 0 297.317 2.568 20 5 CFBDRN O=C(NC1(c2ccccc2)CCC1)c1ccc([N+](=O)[O-])o1 ZINC000198878762 348151968 /nfs/dbraw/zinc/15/19/68/348151968.db2.gz BPNLZILMTUJFJR-UHFFFAOYSA-N 0 0 286.287 2.997 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000128754264 187388297 /nfs/dbraw/zinc/38/82/97/187388297.db2.gz XGISDUOGVYZXJD-LDYMZIIASA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1cccc(N2CCC[C@@H](CCO)C2)c1[N+](=O)[O-] ZINC000218850738 348167796 /nfs/dbraw/zinc/16/77/96/348167796.db2.gz AHFMNDFKSHRXPU-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000235444786 348227771 /nfs/dbraw/zinc/22/77/71/348227771.db2.gz SANMROFCQXUKRZ-QMMMGPOBSA-N 0 0 288.307 2.677 20 5 CFBDRN COc1cc(NCC2CCC(O)CC2)ccc1[N+](=O)[O-] ZINC000227715327 348190360 /nfs/dbraw/zinc/19/03/60/348190360.db2.gz OFLMZOCDCAZXBY-UHFFFAOYSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@H]2C2CC2)c1 ZINC000230308992 348205347 /nfs/dbraw/zinc/20/53/47/348205347.db2.gz BKOPNWDSMVBJFE-RISCZKNCSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC(F)(F)F)c(F)c1 ZINC000236201865 348231648 /nfs/dbraw/zinc/23/16/48/348231648.db2.gz ZPESMGUIPIEQRL-UHFFFAOYSA-N 0 0 256.130 2.847 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C=C1CCC1 ZINC000087058390 179389333 /nfs/dbraw/zinc/38/93/33/179389333.db2.gz BTEMMKXTAJVRDR-UHFFFAOYSA-N 0 0 263.249 2.619 20 5 CFBDRN CC(=O)c1cc(N(C)[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000252922785 348299415 /nfs/dbraw/zinc/29/94/15/348299415.db2.gz IXXLSAWTHYNDKR-HUUCEWRRSA-N 0 0 292.335 2.537 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cc1Cl)[C@@H]1CC1(C)C ZINC000302850510 282601987 /nfs/dbraw/zinc/60/19/87/282601987.db2.gz IQDIQMBYUINSST-SECBINFHSA-N 0 0 255.705 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C[C@@H]1CCCCO1 ZINC000087167511 179398442 /nfs/dbraw/zinc/39/84/42/179398442.db2.gz KQKGCQMGDFOEOI-LBPRGKRZSA-N 0 0 279.292 2.768 20 5 CFBDRN O=C(NCCC1CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000266510844 348325034 /nfs/dbraw/zinc/32/50/34/348325034.db2.gz UNRVLYGSIRUOAW-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)Cc2ccco2)cc1[N+](=O)[O-] ZINC000268813235 348328290 /nfs/dbraw/zinc/32/82/90/348328290.db2.gz MVNPULZEXBDSHR-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000276552862 348336406 /nfs/dbraw/zinc/33/64/06/348336406.db2.gz FMUPWWWTGFQLPF-QKCSRTOESA-N 0 0 285.303 2.875 20 5 CFBDRN C[C@H]1C[C@@H](c2ccccc2)N(c2ncc([N+](=O)[O-])c(N)n2)C1 ZINC000286229037 348346590 /nfs/dbraw/zinc/34/65/90/348346590.db2.gz CITKJEGXDKBPCH-JQWIXIFHSA-N 0 0 299.334 2.555 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2CCC[C@H]2C1)c1ccc([N+](=O)[O-])o1 ZINC000332255912 295788065 /nfs/dbraw/zinc/78/80/65/295788065.db2.gz UBORXEWHGJBKQF-OUAUKWLOSA-N 0 0 278.308 2.886 20 5 CFBDRN CC[C@@H](CCO)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000128888762 187396582 /nfs/dbraw/zinc/39/65/82/187396582.db2.gz LNASOKNBBVNMLC-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN C[C@]1(CNc2c(Cl)cncc2[N+](=O)[O-])CCCO1 ZINC000310706278 348410607 /nfs/dbraw/zinc/41/06/07/348410607.db2.gz CYTKMQKVQODIKD-LLVKDONJSA-N 0 0 271.704 2.624 20 5 CFBDRN COc1cccc(N[C@@H]2CCS[C@H]2C)c1[N+](=O)[O-] ZINC000310842718 348410973 /nfs/dbraw/zinc/41/09/73/348410973.db2.gz KWVSZWMVDQRISJ-DTWKUNHWSA-N 0 0 268.338 2.909 20 5 CFBDRN CCn1ccc(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000311392696 348412231 /nfs/dbraw/zinc/41/22/31/348412231.db2.gz RFBWJYSTNOPZJB-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@@H]1CCCC[C@H]1O ZINC000311520482 348413235 /nfs/dbraw/zinc/41/32/35/348413235.db2.gz DZMDDEVAEOKNHF-GZMMTYOYSA-N 0 0 285.731 2.606 20 5 CFBDRN CCC1(CC)CCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000311634548 348413790 /nfs/dbraw/zinc/41/37/90/348413790.db2.gz AQGDASNPFQVQEE-UHFFFAOYSA-N 0 0 264.329 2.589 20 5 CFBDRN CO[C@](C)(CNc1c(Cl)cncc1[N+](=O)[O-])C1CC1 ZINC000311743336 348414715 /nfs/dbraw/zinc/41/47/15/348414715.db2.gz SYNZUUURTXWKID-GFCCVEGCSA-N 0 0 285.731 2.870 20 5 CFBDRN Cc1cccc(N2CC[C@@H](O)C(C)(C)C2)c1[N+](=O)[O-] ZINC000311823706 348415636 /nfs/dbraw/zinc/41/56/36/348415636.db2.gz KLBSJJMGYAIFCJ-GFCCVEGCSA-N 0 0 264.325 2.500 20 5 CFBDRN CN(Cc1ccccc1Cl)c1ccc(N)c([N+](=O)[O-])n1 ZINC000312992996 348421262 /nfs/dbraw/zinc/42/12/62/348421262.db2.gz MMDYYNDHVVXZBA-UHFFFAOYSA-N 0 0 292.726 2.862 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000315553453 348429742 /nfs/dbraw/zinc/42/97/42/348429742.db2.gz QMCURQBRLWVQSJ-VIFPVBQESA-N 0 0 299.277 2.554 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CC=CCC2)c(F)c1 ZINC000413086879 233016504 /nfs/dbraw/zinc/01/65/04/233016504.db2.gz QTBYRMRRTCMVOI-SECBINFHSA-N 0 0 251.261 2.897 20 5 CFBDRN C[C@@H](Sc1ncnn1C)c1ccccc1[N+](=O)[O-] ZINC000273378724 192132244 /nfs/dbraw/zinc/13/22/44/192132244.db2.gz GHIMKKKXLIYTLZ-MRVPVSSYSA-N 0 0 264.310 2.577 20 5 CFBDRN CNc1c(C(=O)N[C@@H](C(C)C)C2CC2)cccc1[N+](=O)[O-] ZINC000324517784 348439807 /nfs/dbraw/zinc/43/98/07/348439807.db2.gz PIBQVINBSUPYND-ZDUSSCGKSA-N 0 0 291.351 2.801 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1c(C)noc1C ZINC000313808326 348424006 /nfs/dbraw/zinc/42/40/06/348424006.db2.gz HTQRCDSTAKTPOK-SSDOTTSWSA-N 0 0 293.327 2.898 20 5 CFBDRN Cc1ccc(-c2noc(C3(F)CC3)n2)cc1[N+](=O)[O-] ZINC000351335754 348493425 /nfs/dbraw/zinc/49/34/25/348493425.db2.gz LOGKJDANYNGCFY-UHFFFAOYSA-N 0 0 263.228 2.912 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1)C1CC1 ZINC000596830194 349994371 /nfs/dbraw/zinc/99/43/71/349994371.db2.gz AYVOVJPLHYTDFG-LLVKDONJSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1ccc(NC(=O)N[C@@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000596827547 349994385 /nfs/dbraw/zinc/99/43/85/349994385.db2.gz DFBOECGKINBKMC-AWEZNQCLSA-N 0 0 293.323 2.914 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273386941 192135334 /nfs/dbraw/zinc/13/53/34/192135334.db2.gz JGSXXSNYMIFXEW-JTQLQIEISA-N 0 0 267.260 2.654 20 5 CFBDRN C[C@H](CO)CSc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413172540 233028632 /nfs/dbraw/zinc/02/86/32/233028632.db2.gz RAOUFSVXWWNEPW-ZCFIWIBFSA-N 0 0 296.270 2.729 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(C)CCCS2)n1 ZINC000128918078 187398994 /nfs/dbraw/zinc/39/89/94/187398994.db2.gz ZLZVPZZTHPHFSX-GFCCVEGCSA-N 0 0 267.354 2.996 20 5 CFBDRN COC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)c1ccco1 ZINC000413180692 233030964 /nfs/dbraw/zinc/03/09/64/233030964.db2.gz PIRPRPQDMXTAJK-SNVBAGLBSA-N 0 0 281.243 2.522 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC1CCSCC1 ZINC000401122050 348577231 /nfs/dbraw/zinc/57/72/31/348577231.db2.gz JOFMLYKMBNMNCY-UHFFFAOYSA-N 0 0 271.317 2.684 20 5 CFBDRN COCCC(C)(C)CNc1ncc(F)cc1[N+](=O)[O-] ZINC000401148226 348577288 /nfs/dbraw/zinc/57/72/88/348577288.db2.gz CTTUETDBXYVCMV-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000401800779 348578928 /nfs/dbraw/zinc/57/89/28/348578928.db2.gz SZXYLZHJWMMREY-JGVFFNPUSA-N 0 0 256.689 2.838 20 5 CFBDRN Cc1cc(N[C@H]2CO[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000402078449 348581103 /nfs/dbraw/zinc/58/11/03/348581103.db2.gz TYEFVQKMKFFOOG-RKDXNWHRSA-N 0 0 254.261 2.632 20 5 CFBDRN C[C@H]1C[C@H](Nc2cc(C(F)(F)F)ncc2[N+](=O)[O-])CO1 ZINC000402340089 348581646 /nfs/dbraw/zinc/58/16/46/348581646.db2.gz VZTFFOUFYBIFCN-BQBZGAKWSA-N 0 0 291.229 2.598 20 5 CFBDRN O=[N+]([O-])c1cnc(S[C@H]2CCOC2)c(C(F)(F)F)c1 ZINC000413256874 233042475 /nfs/dbraw/zinc/04/24/75/233042475.db2.gz DKTASNZZXCAWFS-ZETCQYMHSA-N 0 0 294.254 2.890 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403322699 348584377 /nfs/dbraw/zinc/58/43/77/348584377.db2.gz AGBVFDYBOVMNSZ-GARJFASQSA-N 0 0 264.329 2.720 20 5 CFBDRN COC(C)(C)CN(C)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000404393845 348591361 /nfs/dbraw/zinc/59/13/61/348591361.db2.gz ZXBJFQOUXGCFDM-UHFFFAOYSA-N 0 0 280.324 2.659 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCCS2)c(F)c1 ZINC000413243089 233040716 /nfs/dbraw/zinc/04/07/16/233040716.db2.gz LNXQFFAGAWTOKQ-VIFPVBQESA-N 0 0 271.317 2.827 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCC1CC(F)(F)C1 ZINC000413330038 233054006 /nfs/dbraw/zinc/05/40/06/233054006.db2.gz AGBSKUBLDMPGQA-UHFFFAOYSA-N 0 0 271.267 2.567 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@H]2OC(F)F)c(F)c1 ZINC000413278298 233045717 /nfs/dbraw/zinc/04/57/17/233045717.db2.gz FDIGGNQTNATXLT-RKDXNWHRSA-N 0 0 291.229 2.701 20 5 CFBDRN C[C@@]12CN(c3ncc([N+](=O)[O-])cc3F)C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000413312223 233050959 /nfs/dbraw/zinc/05/09/59/233050959.db2.gz JSFKODUAJUFKMI-YOLKCXPHSA-N 0 0 289.310 2.777 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1SCCCSC ZINC000413320748 233052142 /nfs/dbraw/zinc/05/21/42/233052142.db2.gz QCRIOTFHPBBRON-UHFFFAOYSA-N 0 0 275.399 2.965 20 5 CFBDRN Cc1noc([C@H]2CCN(c3cccc(C)c3[N+](=O)[O-])C2)n1 ZINC000584761305 348712418 /nfs/dbraw/zinc/71/24/18/348712418.db2.gz ITUSSBGSDRJJSL-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN Cc1ccc(CN2CCOC[C@@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000584720355 348709378 /nfs/dbraw/zinc/70/93/78/348709378.db2.gz INAVIDOQXGRQBH-MRXNPFEDSA-N 0 0 290.363 2.904 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H](F)C1 ZINC000584727264 348709859 /nfs/dbraw/zinc/70/98/59/348709859.db2.gz SEOIFNRXSYUEMI-SNVBAGLBSA-N 0 0 296.298 2.568 20 5 CFBDRN Cc1nc(N[C@@H](C)CC(C)(C)C)ncc1[N+](=O)[O-] ZINC000413359561 233059620 /nfs/dbraw/zinc/05/96/20/233059620.db2.gz XTNTZSIFGHVSKI-QMMMGPOBSA-N 0 0 252.318 2.930 20 5 CFBDRN CC1(C)CC(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588027241 348767536 /nfs/dbraw/zinc/76/75/36/348767536.db2.gz QSVYZLXFEVWUBA-UHFFFAOYSA-N 0 0 279.340 2.517 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588038203 348768111 /nfs/dbraw/zinc/76/81/11/348768111.db2.gz HCFJQQBMOBPMEX-VHSXEESVSA-N 0 0 279.340 2.517 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC3(CC3)C2)s1 ZINC000588040493 348768122 /nfs/dbraw/zinc/76/81/22/348768122.db2.gz AHOXOVGHYIZPEH-UHFFFAOYSA-N 0 0 276.321 2.590 20 5 CFBDRN CC[C@]1(Nc2nc(C)cc(C)c2[N+](=O)[O-])CCOC1 ZINC000588041316 348768740 /nfs/dbraw/zinc/76/87/40/348768740.db2.gz OQQYXTSZAALUHV-ZDUSSCGKSA-N 0 0 265.313 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCc3cncn3C2)c(Cl)c1 ZINC000588067640 348770015 /nfs/dbraw/zinc/77/00/15/348770015.db2.gz FTWIAUPFKMAKLD-SECBINFHSA-N 0 0 292.726 2.872 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCCC2(F)F)cc([N+](=O)[O-])c1 ZINC000588180205 348778161 /nfs/dbraw/zinc/77/81/61/348778161.db2.gz MQDQFZUEYGCBFY-LLVKDONJSA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1c(C(=O)Nc2ccc([N+](=O)[O-])cc2F)ccn1C ZINC000588901180 348801279 /nfs/dbraw/zinc/80/12/79/348801279.db2.gz SMVLCGVCSHYZDX-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN COC(=O)c1ccc(OCC2CCCC2)cc1[N+](=O)[O-] ZINC000613109811 539663874 /nfs/dbraw/zinc/66/38/74/539663874.db2.gz AWAXDATZFYZTAD-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CCC[C@@H](C)NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000588815045 348796551 /nfs/dbraw/zinc/79/65/51/348796551.db2.gz IDDZGPNTZAGSBT-MRVPVSSYSA-N 0 0 286.278 2.720 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC(C)(C)C(F)F ZINC000588834604 348797678 /nfs/dbraw/zinc/79/76/78/348797678.db2.gz YDGMHACDFUFCJZ-UHFFFAOYSA-N 0 0 262.260 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(C2)CCCOC3)c1 ZINC000588853173 348798888 /nfs/dbraw/zinc/79/88/88/348798888.db2.gz ZIDUVXRUFKRRPU-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCCc2occc2C1 ZINC000588863745 348799475 /nfs/dbraw/zinc/79/94/75/348799475.db2.gz FUCXKTSKGRIJPA-UHFFFAOYSA-N 0 0 259.265 2.536 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC1(C(F)F)CCCC1 ZINC000588861262 348799771 /nfs/dbraw/zinc/79/97/71/348799771.db2.gz MMQBZGPXLPPVLW-UHFFFAOYSA-N 0 0 257.240 2.980 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000588862454 348799799 /nfs/dbraw/zinc/79/97/99/348799799.db2.gz BPJFZCYGOABVIV-GFCCVEGCSA-N 0 0 270.354 2.645 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H]2C[C@H]21 ZINC000586892343 348759890 /nfs/dbraw/zinc/75/98/90/348759890.db2.gz VDAPXECMZMKJFQ-GZMMTYOYSA-N 0 0 280.711 2.873 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@@H]2C[C@@H]21 ZINC000586898549 348760627 /nfs/dbraw/zinc/76/06/27/348760627.db2.gz ODUMMUQJAHHIGJ-SKDRFNHKSA-N 0 0 286.287 2.966 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587159466 348761059 /nfs/dbraw/zinc/76/10/59/348761059.db2.gz FBKRDWRDDVMUIA-ZYHUDNBSSA-N 0 0 293.367 2.684 20 5 CFBDRN C[C@H]1CCC[C@@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587165246 348761918 /nfs/dbraw/zinc/76/19/18/348761918.db2.gz ZHLFZFYHFYHDKT-GXSJLCMTSA-N 0 0 279.340 2.660 20 5 CFBDRN CCSCC[C@@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587988923 348764016 /nfs/dbraw/zinc/76/40/16/348764016.db2.gz LXYNGOMZRKHHMA-SECBINFHSA-N 0 0 299.396 2.613 20 5 CFBDRN CCCC1(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)CC1 ZINC000587998892 348765587 /nfs/dbraw/zinc/76/55/87/348765587.db2.gz WDARXVQYENSAFN-UHFFFAOYSA-N 0 0 279.340 2.662 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCOc2ncccc2C1 ZINC000588017009 348766910 /nfs/dbraw/zinc/76/69/10/348766910.db2.gz BMEHMXCMCJBYMI-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN COc1cc(NC(=O)c2c(C)cccc2[N+](=O)[O-])ccn1 ZINC000589023152 348807295 /nfs/dbraw/zinc/80/72/95/348807295.db2.gz RADYLQKKQUIOFI-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC(=O)c1ccnc(N(C)Cc2ccccc2[N+](=O)[O-])c1 ZINC000589104750 348810839 /nfs/dbraw/zinc/81/08/39/348810839.db2.gz ARIOAGXMTDLVHQ-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN C[C@@H](CCO)Nc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000589114370 348811217 /nfs/dbraw/zinc/81/12/17/348811217.db2.gz YMBNCEVBYXHVPI-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN COCC[C@H](C)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000413495368 233083516 /nfs/dbraw/zinc/08/35/16/233083516.db2.gz RTFRACZZBKHIAI-JTQLQIEISA-N 0 0 280.324 2.882 20 5 CFBDRN CC[C@@H](CSC)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000564721079 290226718 /nfs/dbraw/zinc/22/67/18/290226718.db2.gz OPGGXKDTUPGWBE-PWSUYJOCSA-N 0 0 296.392 2.956 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2nc3sccn3c2[N+](=O)[O-])[C@@H](C)O1 ZINC000413538963 233092126 /nfs/dbraw/zinc/09/21/26/233092126.db2.gz SVXYGKZMWHMMCQ-HLTSFMKQSA-N 0 0 296.352 2.529 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2F)[C@H](C)O1 ZINC000413540611 233092449 /nfs/dbraw/zinc/09/24/49/233092449.db2.gz VDSMBLJFBVQCBZ-UTLUCORTSA-N 0 0 268.288 2.959 20 5 CFBDRN CC[C@H](C)CN(CC)c1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000589581590 348839623 /nfs/dbraw/zinc/83/96/23/348839623.db2.gz KKKOQHCDPTZSNT-JTQLQIEISA-N 0 0 281.356 2.542 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000589580530 348839678 /nfs/dbraw/zinc/83/96/78/348839678.db2.gz UGLKHGXDZSDEIF-NXEZZACHSA-N 0 0 281.356 2.906 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3ccc([N+](=O)[O-])s3)C2)c1 ZINC000589608731 348842976 /nfs/dbraw/zinc/84/29/76/348842976.db2.gz XHOXPRKVULNOBA-SNVBAGLBSA-N 0 0 278.337 2.613 20 5 CFBDRN Cc1c(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)ccn1C ZINC000588953251 348805449 /nfs/dbraw/zinc/80/54/49/348805449.db2.gz PYVWQTVCWOPJKP-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN Cc1cc(N2CCC23CCOCC3)c(F)cc1[N+](=O)[O-] ZINC000589692079 348851194 /nfs/dbraw/zinc/85/11/94/348851194.db2.gz PVSCMLQTFHNWAY-UHFFFAOYSA-N 0 0 280.299 2.802 20 5 CFBDRN Cc1cc(N[C@H](CO)CC2CC2)c(F)cc1[N+](=O)[O-] ZINC000589689987 348851201 /nfs/dbraw/zinc/85/12/01/348851201.db2.gz QMHGJFJYUZABBB-JTQLQIEISA-N 0 0 268.288 2.615 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCO[C@@H]1CCCCO1 ZINC000589692234 348851228 /nfs/dbraw/zinc/85/12/28/348851228.db2.gz GBHIZYDBDFHRKF-SNVBAGLBSA-N 0 0 272.326 2.611 20 5 CFBDRN O=C(CCCC1CC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000589693173 348851511 /nfs/dbraw/zinc/85/15/11/348851511.db2.gz NJOYOUCPEWZXGA-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@H](CO)C2)n1 ZINC000413606211 233103279 /nfs/dbraw/zinc/10/32/79/233103279.db2.gz KXQLCADVGUFPAJ-NWDGAFQWSA-N 0 0 279.340 2.570 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCOC[C@H](C2CCC2)C1 ZINC000589878667 348862439 /nfs/dbraw/zinc/86/24/39/348862439.db2.gz QKZWTKXJFURSLS-LLVKDONJSA-N 0 0 282.365 2.909 20 5 CFBDRN CCO[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@@H]1C ZINC000590718146 348934809 /nfs/dbraw/zinc/93/48/09/348934809.db2.gz KJVZURIXPWANIO-VHSXEESVSA-N 0 0 270.354 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]([C@H](CO)C2CC2)C2CC2)s1 ZINC000590719271 348935713 /nfs/dbraw/zinc/93/57/13/348935713.db2.gz PXFJUAPDIKMXHJ-ZWNOBZJWSA-N 0 0 282.365 2.865 20 5 CFBDRN C[C@@H]1C[C@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)[C@H](C)O1 ZINC000413780395 233128181 /nfs/dbraw/zinc/12/81/81/233128181.db2.gz VSXKGIGVYRCZPG-OUAUKWLOSA-N 0 0 293.323 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCC[C@H]2O)s1 ZINC000590725321 348937357 /nfs/dbraw/zinc/93/73/57/348937357.db2.gz PXSTYHOLRCMPFO-DTWKUNHWSA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@]2(CCSC2)C1 ZINC000590737186 348939255 /nfs/dbraw/zinc/93/92/55/348939255.db2.gz DNHVXSDLZLHJPX-CYBMUJFWSA-N 0 0 279.365 2.713 20 5 CFBDRN Cc1cc(C(=O)NC/C=C\c2cccnc2)ccc1[N+](=O)[O-] ZINC000590396928 348897803 /nfs/dbraw/zinc/89/78/03/348897803.db2.gz IPHQRDNUUHFKBI-HYXAFXHYSA-N 0 0 297.314 2.741 20 5 CFBDRN CC(C)(C)C1CC(NC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000590503467 348906479 /nfs/dbraw/zinc/90/64/79/348906479.db2.gz GVLWYSFKFGOCJH-UHFFFAOYSA-N 0 0 277.324 2.544 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC(C(F)(F)F)C1 ZINC000590534951 348907858 /nfs/dbraw/zinc/90/78/58/348907858.db2.gz JZILNCBEDYKIID-UHFFFAOYSA-N 0 0 288.225 2.538 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1ccccn1 ZINC000590568907 348910033 /nfs/dbraw/zinc/91/00/33/348910033.db2.gz IVBNXXBJFKTWID-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000590608845 348915034 /nfs/dbraw/zinc/91/50/34/348915034.db2.gz SZANTCBLMDQAAN-SNVBAGLBSA-N 0 0 285.250 2.775 20 5 CFBDRN CSCCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000590684521 348928096 /nfs/dbraw/zinc/92/80/96/348928096.db2.gz FQKSLOWNIDPWIP-UHFFFAOYSA-N 0 0 263.322 2.918 20 5 CFBDRN Cc1nc(NC2CCC(F)(F)CC2)ncc1[N+](=O)[O-] ZINC000590696616 348930140 /nfs/dbraw/zinc/93/01/40/348930140.db2.gz AYRCKEGSRQJXCB-UHFFFAOYSA-N 0 0 272.255 2.683 20 5 CFBDRN CC[C@@H](Nc1ncnc2ccc([N+](=O)[O-])cc21)C(F)F ZINC000590705418 348931593 /nfs/dbraw/zinc/93/15/93/348931593.db2.gz RPWSMDAYVJZDAF-SECBINFHSA-N 0 0 282.250 2.994 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@H](C2CCC2)C1 ZINC000591125582 348989763 /nfs/dbraw/zinc/98/97/63/348989763.db2.gz CJTMNXFVIGEBRZ-ZDUSSCGKSA-N 0 0 274.320 2.857 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@H](C2CCC2)C1 ZINC000591125563 348989949 /nfs/dbraw/zinc/98/99/49/348989949.db2.gz BSDPORAXCFPDAV-JTQLQIEISA-N 0 0 280.349 2.919 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C2CCC2)C1 ZINC000591126689 348990646 /nfs/dbraw/zinc/99/06/46/348990646.db2.gz KIANNOLLWNIXJT-LBPRGKRZSA-N 0 0 289.335 2.560 20 5 CFBDRN CSCCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000591137262 348991582 /nfs/dbraw/zinc/99/15/82/348991582.db2.gz BCYSSXQWWXQHAG-UHFFFAOYSA-N 0 0 263.322 2.918 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2F)C12CCC2 ZINC000591147732 348992345 /nfs/dbraw/zinc/99/23/45/348992345.db2.gz GRTWXSICFGKFLJ-VXGBXAGGSA-N 0 0 295.314 2.889 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(O)CCCCCC2)c(F)c1 ZINC000591147324 348992734 /nfs/dbraw/zinc/99/27/34/348992734.db2.gz ZIDCWITXMMMLDO-UHFFFAOYSA-N 0 0 283.303 2.626 20 5 CFBDRN CS[C@H]1CCCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000591150714 348993576 /nfs/dbraw/zinc/99/35/76/348993576.db2.gz SUENFAOODSKDDA-JTQLQIEISA-N 0 0 285.344 2.851 20 5 CFBDRN Cc1cccc(N2CCCc3c(cnn3C)C2)c1[N+](=O)[O-] ZINC000591186550 348998412 /nfs/dbraw/zinc/99/84/12/348998412.db2.gz OWBJZLPMSHNDKA-UHFFFAOYSA-N 0 0 286.335 2.590 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])s2)[C@@H](C)O1 ZINC000591193699 348999092 /nfs/dbraw/zinc/99/90/92/348999092.db2.gz RUXXCIAPRWIYSD-HLTSFMKQSA-N 0 0 256.327 2.882 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1c1nc2sccn2c1[N+](=O)[O-] ZINC000591197175 348999262 /nfs/dbraw/zinc/99/92/62/348999262.db2.gz JUSXTOBHJHKLEH-MRVPVSSYSA-N 0 0 280.353 2.929 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000591903909 349057273 /nfs/dbraw/zinc/05/72/73/349057273.db2.gz ZGXGVOKMTQUFPK-WCFLWFBJSA-N 0 0 289.335 2.583 20 5 CFBDRN C[C@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000129199072 187416351 /nfs/dbraw/zinc/41/63/51/187416351.db2.gz KGQFHFDEMHEYSQ-QPUJVOFHSA-N 0 0 298.726 2.546 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1nccc(C)c1[N+](=O)[O-] ZINC000591979927 349068655 /nfs/dbraw/zinc/06/86/55/349068655.db2.gz AOCXNYBYDNZCPX-ONGXEEELSA-N 0 0 267.329 2.507 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1sccc1[N+](=O)[O-] ZINC000591980765 349069012 /nfs/dbraw/zinc/06/90/12/349069012.db2.gz HWOJHAAWWGQWBL-BDAKNGLRSA-N 0 0 258.343 2.865 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ccsc1[N+](=O)[O-] ZINC000591982209 349069021 /nfs/dbraw/zinc/06/90/21/349069021.db2.gz NTOMAVMRRSDFCC-DTWKUNHWSA-N 0 0 258.343 2.865 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000591980702 349069032 /nfs/dbraw/zinc/06/90/32/349069032.db2.gz GRTPHRUKBQIPLO-BXKDBHETSA-N 0 0 281.356 2.816 20 5 CFBDRN Cc1nc(N2CC3(C[C@H]2C)CCOCC3)ccc1[N+](=O)[O-] ZINC000591992601 349071207 /nfs/dbraw/zinc/07/12/07/349071207.db2.gz QODXZIXFWGOOFD-LLVKDONJSA-N 0 0 291.351 2.694 20 5 CFBDRN CCN(CCSC)c1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000591999249 349073236 /nfs/dbraw/zinc/07/32/36/349073236.db2.gz JZDDFNPGJDWSJN-UHFFFAOYSA-N 0 0 298.364 2.571 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])s2)CC2(CCCC2)O1 ZINC000592002626 349073555 /nfs/dbraw/zinc/07/35/55/349073555.db2.gz TUCHQERDJXIMDJ-SECBINFHSA-N 0 0 283.353 2.589 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC[C@@H]3C[C@@H]3C2)c(F)c1 ZINC000592002315 349073818 /nfs/dbraw/zinc/07/38/18/349073818.db2.gz HXQRBRCBXQDVDP-IWSPIJDZSA-N 0 0 251.261 2.729 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCCC[C@H]1CCOC1 ZINC000592348436 349106207 /nfs/dbraw/zinc/10/62/07/349106207.db2.gz LCPUNOCFCAZGLG-JTQLQIEISA-N 0 0 269.272 2.929 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1CC1=CCCC1 ZINC000592348521 349106293 /nfs/dbraw/zinc/10/62/93/349106293.db2.gz IFNXQRNNHXBGIS-UHFFFAOYSA-N 0 0 260.249 2.613 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H](C)CC(F)F ZINC000591962234 349066091 /nfs/dbraw/zinc/06/60/91/349066091.db2.gz YGKWDXOOXZFQCB-SSDOTTSWSA-N 0 0 276.287 2.823 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cc1F)CC(F)F ZINC000591961967 349066148 /nfs/dbraw/zinc/06/61/48/349066148.db2.gz UBZXOYZQMKYHOA-LURJTMIESA-N 0 0 263.219 2.832 20 5 CFBDRN CC[C@H](CNc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OC ZINC000591976001 349068333 /nfs/dbraw/zinc/06/83/33/349068333.db2.gz QMGDKWZFMUQAHK-MRVPVSSYSA-N 0 0 286.715 2.859 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)CC(F)F)ccc1[N+](=O)[O-] ZINC000592673792 349149932 /nfs/dbraw/zinc/14/99/32/349149932.db2.gz YNHRKLHSDBHMLC-MRVPVSSYSA-N 0 0 286.278 2.924 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCC[C@@H]2CCOC2)c1 ZINC000592361189 349108771 /nfs/dbraw/zinc/10/87/71/349108771.db2.gz QITFVCHJEGIULQ-LLVKDONJSA-N 0 0 281.308 2.799 20 5 CFBDRN C[C@@]1(CCCO)CCCN1c1ccccc1[N+](=O)[O-] ZINC000271155501 190966247 /nfs/dbraw/zinc/96/62/47/190966247.db2.gz MYMVALXMAGCZCM-AWEZNQCLSA-N 0 0 264.325 2.726 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000129455655 187432526 /nfs/dbraw/zinc/43/25/26/187432526.db2.gz PLHASXQKUQODDP-VXGBXAGGSA-N 0 0 291.351 2.997 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCC[C@H]1C1CCC1 ZINC000592924898 349177564 /nfs/dbraw/zinc/17/75/64/349177564.db2.gz UCKANNUVNYLZTG-LBPRGKRZSA-N 0 0 277.324 2.718 20 5 CFBDRN CO[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000593014014 349187379 /nfs/dbraw/zinc/18/73/79/349187379.db2.gz QGBWEUPUDSIXDT-VIFPVBQESA-N 0 0 292.213 2.501 20 5 CFBDRN COC[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000129453389 187432729 /nfs/dbraw/zinc/43/27/29/187432729.db2.gz VBLHKXLMGBXZPN-NSHDSACASA-N 0 0 272.251 2.710 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000592732415 349157880 /nfs/dbraw/zinc/15/78/80/349157880.db2.gz JFNNTXSPAUAXST-SDDRHHMPSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000592732701 349158437 /nfs/dbraw/zinc/15/84/37/349158437.db2.gz MTAGHRZYORXDAX-OPRDCNLKSA-N 0 0 280.349 2.883 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CCS[C@@H]2C)cc1[N+](=O)[O-] ZINC000592735967 349159852 /nfs/dbraw/zinc/15/98/52/349159852.db2.gz OOUXKCLHNCMDQX-SKDRFNHKSA-N 0 0 294.376 2.781 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@](=O)CC(C)(C)C ZINC000414251430 233206124 /nfs/dbraw/zinc/20/61/24/233206124.db2.gz CEFNVCTUAVJRNX-IBGZPJMESA-N 0 0 285.365 2.898 20 5 CFBDRN C[C@@H](COCC1CC1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000593132434 349217898 /nfs/dbraw/zinc/21/78/98/349217898.db2.gz QSNWJGINBLTAJF-JTQLQIEISA-N 0 0 293.323 2.531 20 5 CFBDRN CC(C)=CCCNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593139313 349218824 /nfs/dbraw/zinc/21/88/24/349218824.db2.gz GABZVSOKHRVCLH-UHFFFAOYSA-N 0 0 280.299 2.749 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593146779 349220480 /nfs/dbraw/zinc/22/04/80/349220480.db2.gz FLZKAMDKBQTHBX-JQWIXIFHSA-N 0 0 294.326 2.829 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593172520 349223639 /nfs/dbraw/zinc/22/36/39/349223639.db2.gz YLCVFAHSIHSZOP-UKRRQHHQSA-N 0 0 294.326 2.827 20 5 CFBDRN CC(C)Cc1noc(Cc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000593219091 349229356 /nfs/dbraw/zinc/22/93/56/349229356.db2.gz YJUDRHZVJXWSBV-UHFFFAOYSA-N 0 0 279.271 2.906 20 5 CFBDRN Cc1c[nH]nc1COCc1cccc([N+](=O)[O-])c1C ZINC000593237150 349233197 /nfs/dbraw/zinc/23/31/97/349233197.db2.gz KBGCBOUBSPDYMN-UHFFFAOYSA-N 0 0 261.281 2.652 20 5 CFBDRN Cc1cc(OCc2cc3n(n2)CCC3)c(F)cc1[N+](=O)[O-] ZINC000593237838 349234207 /nfs/dbraw/zinc/23/42/07/349234207.db2.gz XSNCIMDPGLRAQH-UHFFFAOYSA-N 0 0 291.282 2.764 20 5 CFBDRN CC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)[C@@H](CC)CO1 ZINC000593056952 349195878 /nfs/dbraw/zinc/19/58/78/349195878.db2.gz BUZMWZWPUQPZMG-ZFWWWQNUSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593063799 349199155 /nfs/dbraw/zinc/19/91/55/349199155.db2.gz NURJUDLIWVANGB-YPMHNXCESA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593062795 349199450 /nfs/dbraw/zinc/19/94/50/349199450.db2.gz JABUAQSEZBCQTK-FZMZJTMJSA-N 0 0 264.325 2.594 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)[C@H](C)CO1 ZINC000593064095 349199557 /nfs/dbraw/zinc/19/95/57/349199557.db2.gz WYDWGJNJPAYAST-DGCLKSJQSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)[C@@H](C)CO1 ZINC000593064096 349199652 /nfs/dbraw/zinc/19/96/52/349199652.db2.gz WYDWGJNJPAYAST-WCQYABFASA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593089566 349205839 /nfs/dbraw/zinc/20/58/39/349205839.db2.gz BWRXZNABIUBZRV-MFKMUULPSA-N 0 0 294.326 2.971 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593089748 349205980 /nfs/dbraw/zinc/20/59/80/349205980.db2.gz DYEARGMEICPFIE-HNNXBMFYSA-N 0 0 294.326 2.925 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCC2(CO)CCC2)c1 ZINC000593512378 349287894 /nfs/dbraw/zinc/28/78/94/349287894.db2.gz VJPNWXRENSJEAM-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)[C@H](C)CO1 ZINC000593284094 349241775 /nfs/dbraw/zinc/24/17/75/349241775.db2.gz LIHRKCJKUURQFY-PWSUYJOCSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC2(CC2(F)F)CC1 ZINC000593299927 349243330 /nfs/dbraw/zinc/24/33/30/349243330.db2.gz HOPAQXRLVRFQCM-UHFFFAOYSA-N 0 0 296.273 2.856 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC2(CC2(F)F)CC1 ZINC000593300188 349243394 /nfs/dbraw/zinc/24/33/94/349243394.db2.gz KJHLWQIHWUJGBA-UHFFFAOYSA-N 0 0 296.273 2.856 20 5 CFBDRN C[C@@H](Nc1ccnc2cc([N+](=O)[O-])ccc21)[C@H]1CCOC1 ZINC000593464174 349276210 /nfs/dbraw/zinc/27/62/10/349276210.db2.gz DSRHHNQAHFSSFO-MNOVXSKESA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CCOCC2(CC2)C1 ZINC000593467264 349276740 /nfs/dbraw/zinc/27/67/40/349276740.db2.gz KCEFMCVCVZFWQO-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593474042 349277568 /nfs/dbraw/zinc/27/75/68/349277568.db2.gz YUOCLUPRLCUIFV-ZWNOBZJWSA-N 0 0 287.319 2.980 20 5 CFBDRN CC[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593491130 349282924 /nfs/dbraw/zinc/28/29/24/349282924.db2.gz GUJBVYZIQQCJNL-PSASIEDQSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@H]1CN(c2cc(C)ccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593493427 349283569 /nfs/dbraw/zinc/28/35/69/349283569.db2.gz VJVDHOFOFHORPM-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1ccnc(N[C@@H](C2CC2)C2CCOCC2)c1[N+](=O)[O-] ZINC000593492949 349283731 /nfs/dbraw/zinc/28/37/31/349283731.db2.gz SDVKSRBOHHACNJ-ZDUSSCGKSA-N 0 0 291.351 2.915 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1c1cc(C)c([N+](=O)[O-])cn1 ZINC000593495475 349284251 /nfs/dbraw/zinc/28/42/51/349284251.db2.gz ZGVMZYNDXVJWOS-NSHDSACASA-N 0 0 279.340 2.692 20 5 CFBDRN COC(=O)[C@H](CNc1ccc([N+](=O)[O-])s1)CC1CC1 ZINC000593497113 349285322 /nfs/dbraw/zinc/28/53/22/349285322.db2.gz OZRBVTMVJYVAFZ-VIFPVBQESA-N 0 0 284.337 2.658 20 5 CFBDRN Cc1ccc(SCc2cnn(C)c2)c([N+](=O)[O-])c1 ZINC000593504065 349286031 /nfs/dbraw/zinc/28/60/31/349286031.db2.gz DWFGBLGXVKTWSV-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NC1CC2(CCC2)C1 ZINC000593882516 349332090 /nfs/dbraw/zinc/33/20/90/349332090.db2.gz PWOAALIKUYCKKM-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN COc1cccc(C(=O)NC2CC3(CCC3)C2)c1[N+](=O)[O-] ZINC000593880952 349332170 /nfs/dbraw/zinc/33/21/70/349332170.db2.gz BPSWZTJFGOJUFK-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@@H](F)C1 ZINC000593894893 349333486 /nfs/dbraw/zinc/33/34/86/349333486.db2.gz SPEHJTXVPMOTEQ-SECBINFHSA-N 0 0 291.282 2.650 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593665413 349306869 /nfs/dbraw/zinc/30/68/69/349306869.db2.gz CFGSNMIXKOTTSI-JSGCOSHPSA-N 0 0 278.352 2.903 20 5 CFBDRN C[C@@H]1CC(C)(C)C[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593738516 349317064 /nfs/dbraw/zinc/31/70/64/349317064.db2.gz GLYPYHROQDQVKE-SNVBAGLBSA-N 0 0 292.335 2.913 20 5 CFBDRN CC[C@]1(C)CC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593740585 349318319 /nfs/dbraw/zinc/31/83/19/349318319.db2.gz GRVHTQXYCSHKHA-OAHLLOKOSA-N 0 0 292.335 2.915 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC12CCCC2 ZINC000593759748 349321669 /nfs/dbraw/zinc/32/16/69/349321669.db2.gz OAIRYLUBOCMDQV-UHFFFAOYSA-N 0 0 260.293 2.754 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCCCO1 ZINC000594178426 349390689 /nfs/dbraw/zinc/39/06/89/349390689.db2.gz BFMWGIQOJXJBOW-KGLIPLIRSA-N 0 0 292.335 2.672 20 5 CFBDRN Cc1noc([C@H](C)N(C)Cc2c(F)cccc2[N+](=O)[O-])n1 ZINC000594037598 349359137 /nfs/dbraw/zinc/35/91/37/349359137.db2.gz NBZUOHFRFWNBFA-QMMMGPOBSA-N 0 0 294.286 2.618 20 5 CFBDRN CC1(NC(=O)CSc2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000594071884 349369204 /nfs/dbraw/zinc/36/92/04/349369204.db2.gz JXHADBJUHPWOBV-UHFFFAOYSA-N 0 0 292.360 2.912 20 5 CFBDRN CC1(NC(=O)CSc2ccccc2[N+](=O)[O-])CC=CC1 ZINC000594075717 349369930 /nfs/dbraw/zinc/36/99/30/349369930.db2.gz SGWPUVAFEDOQBR-UHFFFAOYSA-N 0 0 292.360 2.912 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(OC(F)(F)F)cc2)cn1 ZINC000201768298 539743448 /nfs/dbraw/zinc/74/34/48/539743448.db2.gz OTMXBKIPDWCOQX-UHFFFAOYSA-N 0 0 287.197 2.738 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC2(CC2(F)F)C1 ZINC000594387079 349413809 /nfs/dbraw/zinc/41/38/09/349413809.db2.gz CZLKXXBYELXFEN-UHFFFAOYSA-N 0 0 296.273 2.693 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000597273241 350077687 /nfs/dbraw/zinc/07/76/87/350077687.db2.gz MGDHLOLUJLZFDQ-NSHDSACASA-N 0 0 274.320 2.920 20 5 CFBDRN O=C([C@@H]1CCC[C@@H]2C[C@@H]21)N1CCc2c1cccc2[N+](=O)[O-] ZINC000597274417 350077880 /nfs/dbraw/zinc/07/78/80/350077880.db2.gz WDSWQXCCOGTGHA-WZRBSPASSA-N 0 0 286.331 2.920 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000597307501 350081122 /nfs/dbraw/zinc/08/11/22/350081122.db2.gz YJIRSMHLXSWNQY-LBPRGKRZSA-N 0 0 276.336 2.690 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000597477469 350101787 /nfs/dbraw/zinc/10/17/87/350101787.db2.gz PCRQJIOXVPZAGK-IJLUTSLNSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCc1cscn1 ZINC000104449874 186006923 /nfs/dbraw/zinc/00/69/23/186006923.db2.gz JHOFIDHZBAMYMG-UHFFFAOYSA-N 0 0 267.285 2.845 20 5 CFBDRN Cc1ncsc1CNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000104475009 186007925 /nfs/dbraw/zinc/00/79/25/186007925.db2.gz RETJYXOTFPMTMZ-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CO[C@@H](C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)C1CCC1 ZINC000597666635 350122155 /nfs/dbraw/zinc/12/21/55/350122155.db2.gz JZJQKAMIAPWVLI-CQSZACIVSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1cc(CC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)on1 ZINC000597664719 350122192 /nfs/dbraw/zinc/12/21/92/350122192.db2.gz DGYZJZOCPUQCRH-UHFFFAOYSA-N 0 0 289.291 2.689 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000597668818 350122400 /nfs/dbraw/zinc/12/24/00/350122400.db2.gz ONEBYUXXDXUKHN-NWDGAFQWSA-N 0 0 292.335 2.965 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@]12C[C@H]1CCC2 ZINC000597882794 350147900 /nfs/dbraw/zinc/14/79/00/350147900.db2.gz JGUKKUXSKXERHC-QMTHXVAHSA-N 0 0 292.360 2.746 20 5 CFBDRN Cc1cc(C(=O)N[C@@]23C[C@@H]2CCC3)ccc1[N+](=O)[O-] ZINC000597879922 350147915 /nfs/dbraw/zinc/14/79/15/350147915.db2.gz BEYFWWDZKFKRGX-FZMZJTMJSA-N 0 0 260.293 2.576 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCC2)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000597885575 350148518 /nfs/dbraw/zinc/14/85/18/350148518.db2.gz AVRUNKXKJGWKQI-VFZGTOFNSA-N 0 0 285.303 2.749 20 5 CFBDRN C[C@@H](C(=O)NCCC1CSC1)c1cccc([N+](=O)[O-])c1 ZINC000597790517 350136149 /nfs/dbraw/zinc/13/61/49/350136149.db2.gz YQBUCRHQHBYDDA-SNVBAGLBSA-N 0 0 294.376 2.568 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H]2CCCC[C@@H]21 ZINC000597828794 350141790 /nfs/dbraw/zinc/14/17/90/350141790.db2.gz KIHGEULFIWOEND-RYUDHWBXSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H](C)c1ccccn1 ZINC000597864296 350145555 /nfs/dbraw/zinc/14/55/55/350145555.db2.gz MICXZOVDQGXVOQ-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN CC[C@H](COCC1CC1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000597918247 350157399 /nfs/dbraw/zinc/15/73/99/350157399.db2.gz DQGBGLJPSICYTE-SNVBAGLBSA-N 0 0 298.364 2.591 20 5 CFBDRN CC[C@H](COCC1CC1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000597919763 350158395 /nfs/dbraw/zinc/15/83/95/350158395.db2.gz PWRMTRGVMANSKE-CYBMUJFWSA-N 0 0 292.335 2.530 20 5 CFBDRN Cc1cc(NC[C@@H]2CCO[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000271296021 191056613 /nfs/dbraw/zinc/05/66/13/191056613.db2.gz FRJUPRTZOFASLN-WDEREUQCSA-N 0 0 265.313 2.525 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000597976276 350169238 /nfs/dbraw/zinc/16/92/38/350169238.db2.gz SGQBXBQPEAZNDQ-JTQLQIEISA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1ccncc1CCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000597976917 350169302 /nfs/dbraw/zinc/16/93/02/350169302.db2.gz AXOUVHZTEVFAFG-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000597976338 350169396 /nfs/dbraw/zinc/16/93/96/350169396.db2.gz UXMNNKAZOKQZMT-SECBINFHSA-N 0 0 268.288 2.900 20 5 CFBDRN CC[C@H](C)CCNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000597976963 350169604 /nfs/dbraw/zinc/16/96/04/350169604.db2.gz YUPQYRGIMVSKGA-LBPRGKRZSA-N 0 0 293.367 2.949 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000597977207 350170023 /nfs/dbraw/zinc/17/00/23/350170023.db2.gz IDIAMZUEKKVJSN-JTQLQIEISA-N 0 0 279.340 2.803 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000597977208 350170036 /nfs/dbraw/zinc/17/00/36/350170036.db2.gz IDIAMZUEKKVJSN-SNVBAGLBSA-N 0 0 279.340 2.803 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCC[C@H]1C ZINC000091555645 180190124 /nfs/dbraw/zinc/19/01/24/180190124.db2.gz WFOWWDIENVPLHJ-PSASIEDQSA-N 0 0 252.318 2.720 20 5 CFBDRN CCc1nn(C)c(Sc2ccc(O)cc2)c1[N+](=O)[O-] ZINC000091560513 180191609 /nfs/dbraw/zinc/19/16/09/180191609.db2.gz NOXFJKMRAYSXRY-UHFFFAOYSA-N 0 0 279.321 2.748 20 5 CFBDRN CCc1nn(C)c(NCCc2cccc(C)c2)c1[N+](=O)[O-] ZINC000091560864 180192096 /nfs/dbraw/zinc/19/20/96/180192096.db2.gz KUSZQUKRLNPPCL-UHFFFAOYSA-N 0 0 288.351 2.854 20 5 CFBDRN CCc1nn(C)c(N[C@@H](C)[C@H](C)CC)c1[N+](=O)[O-] ZINC000091560849 180192101 /nfs/dbraw/zinc/19/21/01/180192101.db2.gz APDNXINBUFMKSO-BDAKNGLRSA-N 0 0 254.334 2.737 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@H](CCF)C1 ZINC000598225051 350219881 /nfs/dbraw/zinc/21/98/81/350219881.db2.gz KPPLFKFANGFKSJ-SNVBAGLBSA-N 0 0 298.289 2.946 20 5 CFBDRN CC[C@@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])C1CC1 ZINC000598232537 350221696 /nfs/dbraw/zinc/22/16/96/350221696.db2.gz HCCGHWPLFNSRIQ-LLVKDONJSA-N 0 0 262.309 2.822 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(C)s2)c1[N+](=O)[O-] ZINC000091562319 180192976 /nfs/dbraw/zinc/19/29/76/180192976.db2.gz GPKPXAQNZLABAG-UHFFFAOYSA-N 0 0 280.353 2.873 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000598207030 350213277 /nfs/dbraw/zinc/21/32/77/350213277.db2.gz DVXGTXSOWRHLNR-MDZLAQPJSA-N 0 0 274.320 2.761 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCC[C@@H](C)O1 ZINC000598212544 350215105 /nfs/dbraw/zinc/21/51/05/350215105.db2.gz KVKAPCPTNGSYHJ-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@](C)(NC(=O)COc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598251406 350225007 /nfs/dbraw/zinc/22/50/07/350225007.db2.gz RDLBSUWRDWBIKH-HNNXBMFYSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H](C)O[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000598298648 350228919 /nfs/dbraw/zinc/22/89/19/350228919.db2.gz KRUJPRXAMTWZPS-MNOVXSKESA-N 0 0 292.335 2.543 20 5 CFBDRN CCc1nn(C)c(NCCC2=CCCC2)c1[N+](=O)[O-] ZINC000091672846 180224763 /nfs/dbraw/zinc/22/47/63/180224763.db2.gz MIHUCCUADWLLHM-UHFFFAOYSA-N 0 0 264.329 2.803 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@@H]2C[C@@H]2C1 ZINC000598326286 350234561 /nfs/dbraw/zinc/23/45/61/350234561.db2.gz AUORXHRVAHVOCY-MWLCHTKSSA-N 0 0 285.303 2.558 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CC[C@H]2C[C@H]2C1 ZINC000598332147 350237455 /nfs/dbraw/zinc/23/74/55/350237455.db2.gz SHTGAPRVLDKPTI-IUCAKERBSA-N 0 0 280.711 2.730 20 5 CFBDRN CC1(C)[C@@H](CNC(=O)c2csc([N+](=O)[O-])c2)C1(F)F ZINC000598340058 350240352 /nfs/dbraw/zinc/24/03/52/350240352.db2.gz HHSBTDBRRUUSAE-SSDOTTSWSA-N 0 0 290.291 2.677 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2C(C)(C)C2(F)F)cc([N+](=O)[O-])c1 ZINC000598340979 350240828 /nfs/dbraw/zinc/24/08/28/350240828.db2.gz MUIAJGDLJZFYGI-LLVKDONJSA-N 0 0 298.289 2.924 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C(C)(C)C2(F)F)c1 ZINC000598341310 350240881 /nfs/dbraw/zinc/24/08/81/350240881.db2.gz SKBRIEDBTZYJIZ-LLVKDONJSA-N 0 0 298.289 2.924 20 5 CFBDRN CC[C@](C)(NC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598250610 350224551 /nfs/dbraw/zinc/22/45/51/350224551.db2.gz MFSFRWJUSAMYBK-AWEZNQCLSA-N 0 0 262.309 2.903 20 5 CFBDRN CC(C)CC1(NC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000598402313 350257366 /nfs/dbraw/zinc/25/73/66/350257366.db2.gz GUCIKBKZYFNCGS-UHFFFAOYSA-N 0 0 268.338 2.965 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC[C@@H](C2CCC2)C1 ZINC000598401185 350257376 /nfs/dbraw/zinc/25/73/76/350257376.db2.gz RGUAIHNHYDJMHS-GFCCVEGCSA-N 0 0 289.335 2.642 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000271339999 191084425 /nfs/dbraw/zinc/08/44/25/191084425.db2.gz FULFSOYXPFLGNC-ONGXEEELSA-N 0 0 293.323 2.592 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598380365 350252999 /nfs/dbraw/zinc/25/29/99/350252999.db2.gz DZYYAQLPPVQESK-CYBMUJFWSA-N 0 0 262.309 2.759 20 5 CFBDRN COc1cccc(C(=O)N[C@@H](C(C)C)C2CC2)c1[N+](=O)[O-] ZINC000598382657 350254370 /nfs/dbraw/zinc/25/43/70/350254370.db2.gz ZCDGRCKQBXSDCV-ZDUSSCGKSA-N 0 0 292.335 2.768 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000598384953 350254415 /nfs/dbraw/zinc/25/44/15/350254415.db2.gz GWMVTGBMOVYBOX-CQSZACIVSA-N 0 0 294.376 2.992 20 5 CFBDRN Cc1c(C(=O)N[C@]2(C)CC2(C)C)cccc1[N+](=O)[O-] ZINC000598384919 350254634 /nfs/dbraw/zinc/25/46/34/350254634.db2.gz FMSJIHCCBMUQGK-CQSZACIVSA-N 0 0 262.309 2.822 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000598386535 350255367 /nfs/dbraw/zinc/25/53/67/350255367.db2.gz QDUORDPNOVRHSD-HNNXBMFYSA-N 0 0 276.336 2.832 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000598393950 350255675 /nfs/dbraw/zinc/25/56/75/350255675.db2.gz KYYBIJUQKZJGGS-AWEZNQCLSA-N 0 0 292.335 2.530 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000598549433 350281491 /nfs/dbraw/zinc/28/14/91/350281491.db2.gz AKCHUUGDEMDXMI-LLVKDONJSA-N 0 0 294.326 2.781 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000598551007 350282204 /nfs/dbraw/zinc/28/22/04/350282204.db2.gz PEDDOSNOJNOGLC-VIFPVBQESA-N 0 0 280.299 2.852 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000598550959 350282207 /nfs/dbraw/zinc/28/22/07/350282207.db2.gz OPVWKMWEAQTATD-JTQLQIEISA-N 0 0 280.299 2.852 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000598556112 350282466 /nfs/dbraw/zinc/28/24/66/350282466.db2.gz DWCXSLSGEJIWPY-LBPRGKRZSA-N 0 0 276.336 2.642 20 5 CFBDRN COc1ccc(C(=O)N(C)C[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000598564516 350282911 /nfs/dbraw/zinc/28/29/11/350282911.db2.gz JFTTXPWOUDJUDW-NSHDSACASA-N 0 0 292.335 2.722 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000598566656 350283425 /nfs/dbraw/zinc/28/34/25/350283425.db2.gz ZIRVOBAOHBJMNX-QMMMGPOBSA-N 0 0 268.338 2.774 20 5 CFBDRN C[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000280524330 290893529 /nfs/dbraw/zinc/89/35/29/290893529.db2.gz QZUNXEGYVYYCJC-LKFCYVNXSA-N 0 0 280.299 2.738 20 5 CFBDRN CN(C[C@@H]1CCc2ccccc21)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000598469508 350273887 /nfs/dbraw/zinc/27/38/87/350273887.db2.gz NTVNDUQAXCVSFW-LBPRGKRZSA-N 0 0 299.330 2.725 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1CC(C)(C)C1 ZINC000598503874 350277854 /nfs/dbraw/zinc/27/78/54/350277854.db2.gz AKLGVNMBMXZVOO-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1sc(C(=O)NC2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000598504226 350277965 /nfs/dbraw/zinc/27/79/65/350277965.db2.gz IIHCKVBCWXGBDB-UHFFFAOYSA-N 0 0 268.338 2.883 20 5 CFBDRN CC1(C)CC(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000598505656 350278422 /nfs/dbraw/zinc/27/84/22/350278422.db2.gz XRBPLRFWHNALEC-UHFFFAOYSA-N 0 0 266.272 2.652 20 5 CFBDRN CC1(c2ccccc2)CN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000598637130 350298870 /nfs/dbraw/zinc/29/88/70/350298870.db2.gz JOETVKQHJHHUJB-UHFFFAOYSA-N 0 0 269.304 2.768 20 5 CFBDRN C[C@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])C[C@@H]1CO ZINC000598639359 350298982 /nfs/dbraw/zinc/29/89/82/350298982.db2.gz SGXBTVUVHHCANA-VHSXEESVSA-N 0 0 284.743 2.703 20 5 CFBDRN CC1(c2ccccc2)CN(c2ncc([N+](=O)[O-])s2)C1 ZINC000598637227 350299106 /nfs/dbraw/zinc/29/91/06/350299106.db2.gz KYCCXGBOABWBAC-UHFFFAOYSA-N 0 0 275.333 2.829 20 5 CFBDRN Cc1ccnc([C@@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])n1 ZINC000598640759 350299851 /nfs/dbraw/zinc/29/98/51/350299851.db2.gz MQFZQOONRWBIIV-MRVPVSSYSA-N 0 0 293.714 2.915 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC(Cc2ccsc2)C1 ZINC000598643507 350300848 /nfs/dbraw/zinc/30/08/48/350300848.db2.gz LWRUWAQEIWDLLS-UHFFFAOYSA-N 0 0 275.333 2.730 20 5 CFBDRN Cc1ccc(CN2CCOC(C)(C)C2)cc1[N+](=O)[O-] ZINC000091917412 180286044 /nfs/dbraw/zinc/28/60/44/180286044.db2.gz SMLCCUDJQAOHDL-UHFFFAOYSA-N 0 0 264.325 2.514 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000598829156 350335743 /nfs/dbraw/zinc/33/57/43/350335743.db2.gz SLLUTEFWRUXJFR-URLYPYJESA-N 0 0 260.293 2.888 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c3ncccc23)CCCO1 ZINC000413112275 290895555 /nfs/dbraw/zinc/89/55/55/290895555.db2.gz BGNPKXMEYGWSEX-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC[C@@H](OC)C1 ZINC000598842124 350338275 /nfs/dbraw/zinc/33/82/75/350338275.db2.gz HSNGTKAYDYLBHA-WCQYABFASA-N 0 0 292.335 2.911 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598881389 350343768 /nfs/dbraw/zinc/34/37/68/350343768.db2.gz QEYZILHXJQNOTN-ZWNOBZJWSA-N 0 0 274.320 2.920 20 5 CFBDRN COc1cccc(C(=O)NC2(CC(C)C)CC2)c1[N+](=O)[O-] ZINC000598878248 350343870 /nfs/dbraw/zinc/34/38/70/350343870.db2.gz AGAWHGMGBHMEAF-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CCNc1ccc(C(=O)NCC2CC(C)C2)cc1[N+](=O)[O-] ZINC000598892574 350345101 /nfs/dbraw/zinc/34/51/01/350345101.db2.gz NQINALUQNHOCLW-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCc3cccnc32)c1[N+](=O)[O-] ZINC000598945962 350348341 /nfs/dbraw/zinc/34/83/41/350348341.db2.gz MKILSFIVDXIEID-LBPRGKRZSA-N 0 0 284.319 2.835 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CCc2cccnc21 ZINC000598946459 350348434 /nfs/dbraw/zinc/34/84/34/350348434.db2.gz RZEQHRNHJJEDEA-NSHDSACASA-N 0 0 270.292 2.527 20 5 CFBDRN CCO[C@@H](C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000598954165 350349085 /nfs/dbraw/zinc/34/90/85/350349085.db2.gz OORGXLCWFSVMBJ-CYBMUJFWSA-N 0 0 280.324 2.903 20 5 CFBDRN CCC(F)(F)CNCc1csc([N+](=O)[O-])c1 ZINC000598996602 350356862 /nfs/dbraw/zinc/35/68/62/350356862.db2.gz LIYGJIFOYXBTPL-UHFFFAOYSA-N 0 0 250.270 2.791 20 5 CFBDRN C/C=C\CNC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000599001335 350357187 /nfs/dbraw/zinc/35/71/87/350357187.db2.gz RPCAVQPOASEWEG-WYGGZMRJSA-N 0 0 277.324 2.840 20 5 CFBDRN C[C@H](CO)CCCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599059290 350368348 /nfs/dbraw/zinc/36/83/48/350368348.db2.gz MHJSDYIZCWMSTR-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C/C=C\CNC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000599018574 350359683 /nfs/dbraw/zinc/35/96/83/350359683.db2.gz ANZCAYBFGFWPOY-IHWYPQMZSA-N 0 0 297.742 2.666 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599043486 350363784 /nfs/dbraw/zinc/36/37/84/350363784.db2.gz MXUFTRAVFVXEQQ-IINYFYTJSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H]1CCN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000599039930 350363833 /nfs/dbraw/zinc/36/38/33/350363833.db2.gz CBBHVGYUBJKEDO-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN Cc1sc(C(=O)N2CC(CC3CC3)C2)cc1[N+](=O)[O-] ZINC000598781830 350329275 /nfs/dbraw/zinc/32/92/75/350329275.db2.gz RJTVQLGAEVQRJS-UHFFFAOYSA-N 0 0 280.349 2.837 20 5 CFBDRN Cc1c(C(=O)NCC[C@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000598781514 350329367 /nfs/dbraw/zinc/32/93/67/350329367.db2.gz JKIZZQKLMHFADC-VIFPVBQESA-N 0 0 284.262 2.678 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000598803186 350331050 /nfs/dbraw/zinc/33/10/50/350331050.db2.gz LXOCJTUYMMCYAB-VXGBXAGGSA-N 0 0 278.308 2.737 20 5 CFBDRN C[C@H](CC(=O)N(C)c1ccc([N+](=O)[O-])cc1)[C@H]1CCCO1 ZINC000598804112 350331121 /nfs/dbraw/zinc/33/11/21/350331121.db2.gz SHGRIZQEBSWCTL-BXUZGUMPSA-N 0 0 292.335 2.763 20 5 CFBDRN CN(C(=O)[C@@H]1CCC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000598803437 350331183 /nfs/dbraw/zinc/33/11/83/350331183.db2.gz MTGJLRUVHSJIIH-ZLKJLUDKSA-N 0 0 274.320 2.994 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599072307 350370850 /nfs/dbraw/zinc/37/08/50/350370850.db2.gz AVKUSUGUTLFWSH-ZWNOBZJWSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599072306 350371154 /nfs/dbraw/zinc/37/11/54/350371154.db2.gz AVKUSUGUTLFWSH-MFKMUULPSA-N 0 0 287.319 2.980 20 5 CFBDRN CC(C)c1nocc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000599082439 350372689 /nfs/dbraw/zinc/37/26/89/350372689.db2.gz CBETXWBQUJBZCA-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN C[C@H](CC(=O)NCc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000599084917 350373393 /nfs/dbraw/zinc/37/33/93/350373393.db2.gz ZITMBNOBBNMKNZ-LLVKDONJSA-N 0 0 288.303 2.998 20 5 CFBDRN CCO[C@@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000599101926 350375986 /nfs/dbraw/zinc/37/59/86/350375986.db2.gz KSVQPMXPTDMRSR-SMDDNHRTSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]2c2cn[nH]c2)c1 ZINC000599098123 350376063 /nfs/dbraw/zinc/37/60/63/350376063.db2.gz COOLMGSWORCMMW-GFCCVEGCSA-N 0 0 272.308 2.968 20 5 CFBDRN CCC[C@H](OCC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000599101937 350376069 /nfs/dbraw/zinc/37/60/69/350376069.db2.gz LBBTYBCUXKDVOY-FZMZJTMJSA-N 0 0 294.351 2.977 20 5 CFBDRN COCCC1CN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000599104196 350376179 /nfs/dbraw/zinc/37/61/79/350376179.db2.gz SWSWPRHCQHCYHD-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCCOC2)c1 ZINC000599116108 350377819 /nfs/dbraw/zinc/37/78/19/350377819.db2.gz DJJNCKGOOHFKIM-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN COc1cc(NCC[C@H]2CCCOC2)c([N+](=O)[O-])cc1F ZINC000599117198 350378006 /nfs/dbraw/zinc/37/80/06/350378006.db2.gz OXKVREMYBYRLGY-SNVBAGLBSA-N 0 0 298.314 2.971 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@@H]1CCCOC1 ZINC000599116678 350378033 /nfs/dbraw/zinc/37/80/33/350378033.db2.gz JRLULRBIJHQEME-LBPRGKRZSA-N 0 0 294.351 2.970 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])s2)C[C@H](C)C1(F)F ZINC000599119879 350379374 /nfs/dbraw/zinc/37/93/74/350379374.db2.gz WIONPBNANIDLEE-BQBZGAKWSA-N 0 0 277.296 2.779 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1Cc2ccccc2CO1 ZINC000599124353 350380275 /nfs/dbraw/zinc/38/02/75/350380275.db2.gz OXOMTBODIFXYRP-ZDUSSCGKSA-N 0 0 285.303 2.543 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1S[C@@H]1COC(C)(C)C1 ZINC000599125889 350381082 /nfs/dbraw/zinc/38/10/82/350381082.db2.gz SUBOKLWTXIKMCU-VIFPVBQESA-N 0 0 285.369 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[N@@H+]2C2CCCCC2)cn1 ZINC000599125879 350381240 /nfs/dbraw/zinc/38/12/40/350381240.db2.gz FBDFPDRNQGLNQV-AWEZNQCLSA-N 0 0 290.367 2.809 20 5 CFBDRN Cc1cnc(N2CCCC(F)(F)CC2)c([N+](=O)[O-])c1 ZINC000599133947 350381545 /nfs/dbraw/zinc/38/15/45/350381545.db2.gz NZZOAZFZKGBXFZ-UHFFFAOYSA-N 0 0 271.267 2.924 20 5 CFBDRN CCC(C)(C)OC1CN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000599136581 350382518 /nfs/dbraw/zinc/38/25/18/350382518.db2.gz WLTICYJICOVRBJ-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](c2ccccn2)C2CCC2)nc1 ZINC000599138461 350383124 /nfs/dbraw/zinc/38/31/24/350383124.db2.gz DARCVJXVUKKVLS-CYBMUJFWSA-N 0 0 285.307 2.733 20 5 CFBDRN CC1(C)CC(=O)CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000599143959 350384095 /nfs/dbraw/zinc/38/40/95/350384095.db2.gz ASCRJGKSQRQUHX-UHFFFAOYSA-N 0 0 262.309 2.790 20 5 CFBDRN Cc1cc(N[C@@]23C[C@@H]2COC3(C)C)ccc1[N+](=O)[O-] ZINC000599143908 350384234 /nfs/dbraw/zinc/38/42/34/350384234.db2.gz YSIBPEIBJKFNEP-YGRLFVJLSA-N 0 0 262.309 2.883 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000599147367 350384902 /nfs/dbraw/zinc/38/49/02/350384902.db2.gz LYMKLXZUVUXTDA-HIFRSBDPSA-N 0 0 287.319 2.544 20 5 CFBDRN CCC(F)(F)CNc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000599150542 350385415 /nfs/dbraw/zinc/38/54/15/350385415.db2.gz ZICYAUNIHNEICQ-UHFFFAOYSA-N 0 0 288.250 2.839 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1c(F)cccc1[N+](=O)[O-] ZINC000599162885 350386699 /nfs/dbraw/zinc/38/66/99/350386699.db2.gz AXIXMGZDBSXLMI-SREGZZRCSA-N 0 0 268.288 2.958 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)n1 ZINC000599162969 350386714 /nfs/dbraw/zinc/38/67/14/350386714.db2.gz CERXUEGJCNCIFB-WYUUTHIRSA-N 0 0 279.340 2.830 20 5 CFBDRN CCO[C@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C1CC1 ZINC000599165930 350388040 /nfs/dbraw/zinc/38/80/40/350388040.db2.gz WKMSQWRRVHLTKD-JTQLQIEISA-N 0 0 279.252 2.725 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000599166529 350388087 /nfs/dbraw/zinc/38/80/87/350388087.db2.gz YZSUVKYJVJTHEU-XHSYRHOGSA-N 0 0 250.298 2.819 20 5 CFBDRN CC(C)(CNc1ccccc1[N+](=O)[O-])C(=O)N1CCCC1 ZINC000599171044 350388743 /nfs/dbraw/zinc/38/87/43/350388743.db2.gz WKNLKLYTVBPOMA-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN C/C=C/CNc1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000599173134 350389207 /nfs/dbraw/zinc/38/92/07/350389207.db2.gz WLXAQVDNNLYLDY-SNAWJCMRSA-N 0 0 277.324 2.721 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179769 350390254 /nfs/dbraw/zinc/39/02/54/350390254.db2.gz HOLVAPCCHDSIMM-WCQYABFASA-N 0 0 278.308 2.583 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000599180052 350390380 /nfs/dbraw/zinc/39/03/80/350390380.db2.gz IPIWOAPIYNKQGV-GWCFXTLKSA-N 0 0 278.308 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CO[C@H](C4CC4)C3)ccc2c1 ZINC000599180919 350390624 /nfs/dbraw/zinc/39/06/24/350390624.db2.gz ODUKPMLLLUFASL-DOMZBBRYSA-N 0 0 299.330 2.544 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000599180339 350390667 /nfs/dbraw/zinc/39/06/67/350390667.db2.gz KSWPDNSRKYMWGI-WCQYABFASA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000599180337 350390792 /nfs/dbraw/zinc/39/07/92/350390792.db2.gz KSWPDNSRKYMWGI-AAEUAGOBSA-N 0 0 262.309 2.883 20 5 CFBDRN C[C@@H]1CC[C@@H](c2noc(-c3cccc([N+](=O)[O-])c3)n2)O1 ZINC000599181002 350390890 /nfs/dbraw/zinc/39/08/90/350390890.db2.gz SHHGGEAFKTYFEH-KCJUWKMLSA-N 0 0 275.264 2.885 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CO[C@H](C3CC3)C2)n1 ZINC000599181736 350391485 /nfs/dbraw/zinc/39/14/85/350391485.db2.gz SWBFNKNKLBVMHA-RYUDHWBXSA-N 0 0 277.324 2.586 20 5 CFBDRN COc1cccc(N[C@H]2CO[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599181746 350391656 /nfs/dbraw/zinc/39/16/56/350391656.db2.gz UBONVBZMXMXTNX-ZWNOBZJWSA-N 0 0 278.308 2.583 20 5 CFBDRN COc1cccc2c1CN(c1ccc([N+](=O)[O-])c(C)n1)C2 ZINC000599182579 350391982 /nfs/dbraw/zinc/39/19/82/350391982.db2.gz ZDANYTQOLYVGBZ-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN CO[C@H]1C[C@H](Nc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000599190095 350392699 /nfs/dbraw/zinc/39/26/99/350392699.db2.gz RFSIGZZAQUJKIM-ZKCHVHJHSA-N 0 0 256.689 2.838 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3coc(C4CC4)n3)no2)[nH]1 ZINC000599189319 350392975 /nfs/dbraw/zinc/39/29/75/350392975.db2.gz OUXVCHBGVNOFHJ-UHFFFAOYSA-N 0 0 287.235 2.505 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](c2ccc(O)cc2)C1 ZINC000599192405 350393361 /nfs/dbraw/zinc/39/33/61/350393361.db2.gz QZLHTJHUNSNJLC-ZDUSSCGKSA-N 0 0 299.330 2.998 20 5 CFBDRN NC(=O)c1cc(NC[C@H]2CCCC23CC3)ccc1[N+](=O)[O-] ZINC000599198592 350395078 /nfs/dbraw/zinc/39/50/78/350395078.db2.gz NAULCXATNSMCDA-SNVBAGLBSA-N 0 0 289.335 2.686 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000599199006 350395273 /nfs/dbraw/zinc/39/52/73/350395273.db2.gz XVBYUQPGTNHCSC-SECBINFHSA-N 0 0 263.297 2.688 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000599205075 350395873 /nfs/dbraw/zinc/39/58/73/350395873.db2.gz CHHWALJEYUUVIW-PHIMTYICSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1cccc(NCCN(C)CC(F)F)c1[N+](=O)[O-] ZINC000599203972 350395984 /nfs/dbraw/zinc/39/59/84/350395984.db2.gz YUDYRPXTADFVDF-UHFFFAOYSA-N 0 0 273.283 2.512 20 5 CFBDRN CC1(c2noc(-c3ccc([N+](=O)[O-])cn3)n2)CCCC1 ZINC000599206088 350396573 /nfs/dbraw/zinc/39/65/73/350396573.db2.gz NKQQXDYYOKSJIU-UHFFFAOYSA-N 0 0 274.280 2.872 20 5 CFBDRN CCO[C@@H](CN1CCc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000599340312 350418809 /nfs/dbraw/zinc/41/88/09/350418809.db2.gz SJRWSHDAFWFGQD-HNNXBMFYSA-N 0 0 276.336 2.772 20 5 CFBDRN COc1cccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000291047990 197900105 /nfs/dbraw/zinc/90/01/05/197900105.db2.gz HUAQONLIWWLZOY-UHFFFAOYSA-N 0 0 291.307 2.733 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1snnc1C1CC1 ZINC000291050179 197901025 /nfs/dbraw/zinc/90/10/25/197901025.db2.gz BXTVURPJQVOJPS-UHFFFAOYSA-N 0 0 290.304 2.576 20 5 CFBDRN O=C(NCC1CC=CC1)Nc1cccc([N+](=O)[O-])c1 ZINC000599693779 350485275 /nfs/dbraw/zinc/48/52/75/350485275.db2.gz CQBCLIPSQPWXBX-UHFFFAOYSA-N 0 0 261.281 2.683 20 5 CFBDRN CCC[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)OCC ZINC000599697094 350485393 /nfs/dbraw/zinc/48/53/93/350485393.db2.gz UXUSWKCZHOSMOI-ZDUSSCGKSA-N 0 0 295.339 2.922 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CCCC12CC2 ZINC000599717187 350488633 /nfs/dbraw/zinc/48/86/33/350488633.db2.gz FNVRUKHGQWHWTL-AWEZNQCLSA-N 0 0 288.347 2.834 20 5 CFBDRN CC(C)(C)c1noc(OCc2c(F)cccc2[N+](=O)[O-])n1 ZINC000107419638 186174245 /nfs/dbraw/zinc/17/42/45/186174245.db2.gz GGMQAJWGHWYGJE-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN Cc1sc(C(=O)NCC[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000600172642 350530326 /nfs/dbraw/zinc/53/03/26/350530326.db2.gz LIRCHTCTTWQBTC-JTQLQIEISA-N 0 0 298.364 2.511 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CC2(C1)CCCO2 ZINC000600191092 350536105 /nfs/dbraw/zinc/53/61/05/350536105.db2.gz UFFLXYUMVMIEJT-MDWZMJQESA-N 0 0 288.347 2.863 20 5 CFBDRN CC1(C)CCC[C@H]1CCNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000600159871 350524178 /nfs/dbraw/zinc/52/41/78/350524178.db2.gz KKOWFPVDCAQZLI-JTQLQIEISA-N 0 0 279.340 2.869 20 5 CFBDRN CO[C@@H](Cn1cc([N+](=O)[O-])c(C)n1)c1ccc(F)cc1 ZINC000600547446 350568471 /nfs/dbraw/zinc/56/84/71/350568471.db2.gz RDJANBSBFUNNGD-ZDUSSCGKSA-N 0 0 279.271 2.627 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSC[C@H]2CCOC2)cc1 ZINC000600568008 350572064 /nfs/dbraw/zinc/57/20/64/350572064.db2.gz GYVQKNJESFPCAV-NSHDSACASA-N 0 0 283.349 2.743 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)CCC1(C)C ZINC000600226935 350543595 /nfs/dbraw/zinc/54/35/95/350543595.db2.gz WDXYDSDHWDEIQK-UHFFFAOYSA-N 0 0 293.367 2.974 20 5 CFBDRN O=C(NCC1CC=CC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000600229994 350544407 /nfs/dbraw/zinc/54/44/07/350544407.db2.gz MUYASODSPPGYSJ-UHFFFAOYSA-N 0 0 285.303 2.772 20 5 CFBDRN O=C(NCC1CC=CC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000600230610 350544457 /nfs/dbraw/zinc/54/44/57/350544457.db2.gz WLKQFCRHAPOVFD-UHFFFAOYSA-N 0 0 280.711 2.944 20 5 CFBDRN CCC(NC(=O)c1ccc([N+](=O)[O-])cn1)(C1CC1)C1CC1 ZINC000600245789 350545055 /nfs/dbraw/zinc/54/50/55/350545055.db2.gz NFBWXMMSYFABER-UHFFFAOYSA-N 0 0 289.335 2.688 20 5 CFBDRN CCC(NC(=O)c1ccc([N+](=O)[O-])n1C)(C1CC1)C1CC1 ZINC000600246950 350545196 /nfs/dbraw/zinc/54/51/96/350545196.db2.gz SWESVPNOISZHBD-UHFFFAOYSA-N 0 0 291.351 2.632 20 5 CFBDRN CCC(C)(C)OC1CN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000600268075 350545901 /nfs/dbraw/zinc/54/59/01/350545901.db2.gz MYPVZTHPMJRQKH-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C1CC1)C1CC1 ZINC000600360768 350550529 /nfs/dbraw/zinc/55/05/29/350550529.db2.gz RIAXHYVIXLZYEZ-UHFFFAOYSA-N 0 0 274.320 2.979 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000600384506 350552207 /nfs/dbraw/zinc/55/22/07/350552207.db2.gz RBHGSBAXHPGXHU-UHFFFAOYSA-N 0 0 289.335 2.550 20 5 CFBDRN CCc1ccc(C(=O)NCC(F)(F)CC)cc1[N+](=O)[O-] ZINC000600380391 350552250 /nfs/dbraw/zinc/55/22/50/350552250.db2.gz VATXGMSPUYNWIP-UHFFFAOYSA-N 0 0 286.278 2.932 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1cc([N+](=O)[O-])n[nH]1)C1CCCCC1 ZINC000600475314 350558019 /nfs/dbraw/zinc/55/80/19/350558019.db2.gz GFKUYEGSUAOGCD-JTQLQIEISA-N 0 0 294.355 2.606 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1c[nH]nc1[N+](=O)[O-])C1CCCCC1 ZINC000600477715 350558040 /nfs/dbraw/zinc/55/80/40/350558040.db2.gz YCECLSODLLOAPD-JTQLQIEISA-N 0 0 294.355 2.606 20 5 CFBDRN CCO[C@H](COc1ccccc1[N+](=O)[O-])C1CC1 ZINC000600510165 350561080 /nfs/dbraw/zinc/56/10/80/350561080.db2.gz ZHVFNDKCZSUYHI-CYBMUJFWSA-N 0 0 251.282 2.789 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1C[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC000600516263 350561886 /nfs/dbraw/zinc/56/18/86/350561886.db2.gz NCFNPXSIUVGXNA-AXFHLTTASA-N 0 0 286.287 2.715 20 5 CFBDRN CO[C@H](Cn1c(C)ncc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000600519752 350562193 /nfs/dbraw/zinc/56/21/93/350562193.db2.gz DQHMHRAIZKIOHT-GFCCVEGCSA-N 0 0 279.271 2.627 20 5 CFBDRN Cc1nc(COc2c(F)cccc2[N+](=O)[O-])c(C)o1 ZINC000600530205 350565048 /nfs/dbraw/zinc/56/50/48/350565048.db2.gz BJPOOBJJENTCTN-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC000600528802 350565325 /nfs/dbraw/zinc/56/53/25/350565325.db2.gz JHDLBCPVGRZRBI-AEJSXWLSSA-N 0 0 280.711 2.622 20 5 CFBDRN Cc1cc(OCCc2cnoc2)c(F)cc1[N+](=O)[O-] ZINC000600534596 350566436 /nfs/dbraw/zinc/56/64/36/350566436.db2.gz OWMGBMSJCZSENH-UHFFFAOYSA-N 0 0 266.228 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCCc2cnoc2)nc1 ZINC000600537823 350567881 /nfs/dbraw/zinc/56/78/81/350567881.db2.gz UJZUPLOBPCCPSV-UHFFFAOYSA-N 0 0 265.294 2.703 20 5 CFBDRN CCn1nc(C)cc1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000600603740 350579098 /nfs/dbraw/zinc/57/90/98/350579098.db2.gz PAUJYFWSFCWEIM-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCCOCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129793885 187454026 /nfs/dbraw/zinc/45/40/26/187454026.db2.gz AQVGJGGKLBIZNH-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN CC(C)c1ncc(-c2cc([N+](=O)[O-])ccc2CCO)n1C ZINC000600847970 350619319 /nfs/dbraw/zinc/61/93/19/350619319.db2.gz AXZUBOHCLJYFIV-UHFFFAOYSA-N 0 0 289.335 2.654 20 5 CFBDRN CCn1ncc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)c1C ZINC000600867831 350621273 /nfs/dbraw/zinc/62/12/73/350621273.db2.gz VTMLSQOKWOJMQC-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCC(O)(CC)CN(C)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000600766635 350607884 /nfs/dbraw/zinc/60/78/84/350607884.db2.gz MVSUCHLCPQMOCE-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN CO[C@H]1C[C@H](NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)C1 ZINC000600771551 350608537 /nfs/dbraw/zinc/60/85/37/350608537.db2.gz FGKNGPPDAVYISH-HAQNSBGRSA-N 0 0 292.335 2.625 20 5 CFBDRN Cc1ccc(-c2cnn(C[C@@H]3CCOC3)c2)cc1[N+](=O)[O-] ZINC000600790353 350612783 /nfs/dbraw/zinc/61/27/83/350612783.db2.gz JVRBQMIPRWVQMT-LBPRGKRZSA-N 0 0 287.319 2.803 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CCC[C@H](CC)C2)c1[N+](=O)[O-] ZINC000109429051 186251463 /nfs/dbraw/zinc/25/14/63/186251463.db2.gz KANVJPNTZRPAMN-JTQLQIEISA-N 0 0 294.355 2.533 20 5 CFBDRN O=C(NC[C@@H]1CCCC12CC2)c1csc([N+](=O)[O-])c1 ZINC000600803461 350615228 /nfs/dbraw/zinc/61/52/28/350615228.db2.gz JQMSPEMPFUOJLY-JTQLQIEISA-N 0 0 280.349 2.966 20 5 CFBDRN O=C(NC[C@@H]1CCCC12CC2)c1ccccc1[N+](=O)[O-] ZINC000600803101 350615284 /nfs/dbraw/zinc/61/52/84/350615284.db2.gz IYLHKEGXTDVKOU-NSHDSACASA-N 0 0 274.320 2.905 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000600808946 350615758 /nfs/dbraw/zinc/61/57/58/350615758.db2.gz MPLAXAWAUUCSOU-KXMPLOMGSA-N 0 0 277.324 2.741 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600998566 350643303 /nfs/dbraw/zinc/64/33/03/350643303.db2.gz XTFTWVWBSLMLRL-NWDGAFQWSA-N 0 0 288.347 2.789 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)Oc1cccc([N+](=O)[O-])c1 ZINC000110157775 186286943 /nfs/dbraw/zinc/28/69/43/186286943.db2.gz OQBDGMCJDULVSZ-GFCCVEGCSA-N 0 0 279.292 2.850 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000601004006 350644001 /nfs/dbraw/zinc/64/40/01/350644001.db2.gz UAVJKOOWOZMJIH-NEPJUHHUSA-N 0 0 299.330 2.971 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000110330466 186292098 /nfs/dbraw/zinc/29/20/98/186292098.db2.gz RACNJGWFSJTVIO-JTQLQIEISA-N 0 0 280.324 2.722 20 5 CFBDRN CN(CC1CCC1)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000110464799 186297173 /nfs/dbraw/zinc/29/71/73/186297173.db2.gz MPLIMPMAEMIGKS-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC[S@](=O)CC2CC2)cc1 ZINC000600917525 350629989 /nfs/dbraw/zinc/62/99/89/350629989.db2.gz YDIIYEMNRDGORL-IBGZPJMESA-N 0 0 283.349 2.522 20 5 CFBDRN Cc1ncsc1CN(C)c1ncc(F)cc1[N+](=O)[O-] ZINC000601114961 350672512 /nfs/dbraw/zinc/67/25/12/350672512.db2.gz CIKHKKHSZVEQRV-UHFFFAOYSA-N 0 0 282.300 2.530 20 5 CFBDRN CC1CC(Nc2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000601123844 350674256 /nfs/dbraw/zinc/67/42/56/350674256.db2.gz LRURYBVGRKBCNZ-UHFFFAOYSA-N 0 0 278.234 2.558 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000110909270 186331567 /nfs/dbraw/zinc/33/15/67/186331567.db2.gz RESXHAZGOLERTH-JQWIXIFHSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H]2CCO[C@@H]2C)c1 ZINC000601072137 350658508 /nfs/dbraw/zinc/65/85/08/350658508.db2.gz GIQGGDDQEXGQLC-GHMZBOCLSA-N 0 0 251.282 2.707 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000110961488 186338260 /nfs/dbraw/zinc/33/82/60/186338260.db2.gz JTGQVWHVZBQPHY-VIFPVBQESA-N 0 0 290.323 2.589 20 5 CFBDRN CCOc1cc(OCc2nccnc2C)ccc1[N+](=O)[O-] ZINC000601081496 350662118 /nfs/dbraw/zinc/66/21/18/350662118.db2.gz OYEZRRHYMICHIY-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2nc(CC3CC3)no2)nc1 ZINC000601081032 350662475 /nfs/dbraw/zinc/66/24/75/350662475.db2.gz SAJBTUDJPYNKEK-UHFFFAOYSA-N 0 0 292.320 2.618 20 5 CFBDRN CC1(C)CO[C@@H](COc2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000601083005 350663057 /nfs/dbraw/zinc/66/30/57/350663057.db2.gz XRXAOSINMCYZHM-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN CCCO[C@H]1CCCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601116157 350672174 /nfs/dbraw/zinc/67/21/74/350672174.db2.gz OYCHOLPUTGGBCS-LBPRGKRZSA-N 0 0 297.330 2.914 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@@H](C)O[C@@H]2C)n1 ZINC000601223710 350702198 /nfs/dbraw/zinc/70/21/98/350702198.db2.gz LZJFXLFOJAPNIW-GMTAPVOTSA-N 0 0 265.313 2.584 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncnc3cccc([N+](=O)[O-])c32)[C@H](C)O1 ZINC000601223960 350702203 /nfs/dbraw/zinc/70/22/03/350702203.db2.gz UXQWQTIIXBLEMV-YWVKMMECSA-N 0 0 288.307 2.516 20 5 CFBDRN Cc1cc(N2CCO[C@H](C(F)F)CC2)ccc1[N+](=O)[O-] ZINC000601231352 350704224 /nfs/dbraw/zinc/70/42/24/350704224.db2.gz JKMBQHIXDZWQRW-LBPRGKRZSA-N 0 0 286.278 2.764 20 5 CFBDRN O=[N+]([O-])c1sccc1Nc1cnn2c1CCCC2 ZINC000601232594 350704578 /nfs/dbraw/zinc/70/45/78/350704578.db2.gz DPBWIDVYRVKSRA-UHFFFAOYSA-N 0 0 264.310 2.933 20 5 CFBDRN CCOc1cccc(N2CCC[C@](O)(CC)C2)c1[N+](=O)[O-] ZINC000601232700 350705003 /nfs/dbraw/zinc/70/50/03/350705003.db2.gz GACDDZOZHVKHEA-OAHLLOKOSA-N 0 0 294.351 2.735 20 5 CFBDRN CC[C@]1(O)CCCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000601233740 350705591 /nfs/dbraw/zinc/70/55/91/350705591.db2.gz NTSRMSFMJARNIA-AWEZNQCLSA-N 0 0 282.315 2.784 20 5 CFBDRN Cc1nocc1C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000601281238 350716438 /nfs/dbraw/zinc/71/64/38/350716438.db2.gz LUWOHSSVBOYKJT-VIFPVBQESA-N 0 0 289.291 2.691 20 5 CFBDRN Cc1nn(C)c(NCc2cccc(F)c2F)c1[N+](=O)[O-] ZINC000601239270 350707063 /nfs/dbraw/zinc/70/70/63/350707063.db2.gz IXVHKHYLHUCTIF-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](O)C(F)(F)F ZINC000601237827 350707136 /nfs/dbraw/zinc/70/71/36/350707136.db2.gz MRGVXXXPWUWVPF-ANLVUFKYSA-N 0 0 298.648 2.972 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](O)[C@H]2CCCC[C@@H]21 ZINC000601252195 350710092 /nfs/dbraw/zinc/71/00/92/350710092.db2.gz HGCKMTDNBRHTPM-HUBLWGQQSA-N 0 0 276.336 2.725 20 5 CFBDRN CCC[C@@H]1C[C@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601264806 350712514 /nfs/dbraw/zinc/71/25/14/350712514.db2.gz HQXQJLIQZICSOJ-PSASIEDQSA-N 0 0 266.272 2.652 20 5 CFBDRN CO[C@@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])C(C)(C)C ZINC000601266848 350712571 /nfs/dbraw/zinc/71/25/71/350712571.db2.gz CJYSAWLEEPIYCS-NSHDSACASA-N 0 0 298.314 2.525 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C[C@H](C)O1 ZINC000111006620 186342144 /nfs/dbraw/zinc/34/21/44/186342144.db2.gz GHSZSAVHUAJHIE-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(N2OC3CCC2CC3)c(F)c1 ZINC000601273567 350714686 /nfs/dbraw/zinc/71/46/86/350714686.db2.gz WIJYDRPBZFJMQV-UHFFFAOYSA-N 0 0 252.245 2.797 20 5 CFBDRN COC1CCC(CNc2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601125502 350675304 /nfs/dbraw/zinc/67/53/04/350675304.db2.gz HIQLFIQKQPMVMT-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)C[C@H](C)O1 ZINC000111008834 186342485 /nfs/dbraw/zinc/34/24/85/186342485.db2.gz UXUJLMZVPJEEGC-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN CS[C@@H](C)CNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601134184 350678088 /nfs/dbraw/zinc/67/80/88/350678088.db2.gz BAWHJEBNEGCOMJ-YFKPBYRVSA-N 0 0 298.290 2.511 20 5 CFBDRN C[C@@H](CN(C)c1ncc(F)cc1[N+](=O)[O-])c1nccs1 ZINC000601142545 350681244 /nfs/dbraw/zinc/68/12/44/350681244.db2.gz UONFVYWMXSHJNC-QMMMGPOBSA-N 0 0 296.327 2.825 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601149252 350681973 /nfs/dbraw/zinc/68/19/73/350681973.db2.gz INXNPOPDFIVHOX-VIFPVBQESA-N 0 0 268.288 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NC[C@@H]3CCSC3)c2c1 ZINC000601156693 350684262 /nfs/dbraw/zinc/68/42/62/350684262.db2.gz WUQHFGFTUDYGMS-JTQLQIEISA-N 0 0 289.360 2.730 20 5 CFBDRN CO[C@]1(C)CCCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601157916 350684637 /nfs/dbraw/zinc/68/46/37/350684637.db2.gz IVQMEVUMIJYOHN-CYBMUJFWSA-N 0 0 283.303 2.524 20 5 CFBDRN CCOC1(C)CCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601168211 350687168 /nfs/dbraw/zinc/68/71/68/350687168.db2.gz RTRBGLZHGADXIY-UHFFFAOYSA-N 0 0 283.303 2.524 20 5 CFBDRN C[C@@H](F)CCNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601170283 350687391 /nfs/dbraw/zinc/68/73/91/350687391.db2.gz ZUMRWMXKJBVEGK-RXMQYKEDSA-N 0 0 284.213 2.507 20 5 CFBDRN CN(CCF)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000601169297 350687463 /nfs/dbraw/zinc/68/74/63/350687463.db2.gz MIRJQBCQZXDTAU-UHFFFAOYSA-N 0 0 264.203 2.602 20 5 CFBDRN CC/C=C/CNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601172055 350688724 /nfs/dbraw/zinc/68/87/24/350688724.db2.gz VLKOJZWTFROJSO-SNAWJCMRSA-N 0 0 278.234 2.725 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC[C@H](C2CCC2)C1 ZINC000601175486 350689421 /nfs/dbraw/zinc/68/94/21/350689421.db2.gz PNYVFJUEWCIGJV-JTQLQIEISA-N 0 0 265.288 2.755 20 5 CFBDRN CC(C)(CNc1ncc(F)cc1[N+](=O)[O-])OCC1CC1 ZINC000601176350 350689559 /nfs/dbraw/zinc/68/95/59/350689559.db2.gz OBTFPSZFTXKSGC-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCSC2(CCC2)CC1 ZINC000601176868 350690445 /nfs/dbraw/zinc/69/04/45/350690445.db2.gz SFKDMDSSSHQHOV-UHFFFAOYSA-N 0 0 297.355 2.995 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601184407 350691704 /nfs/dbraw/zinc/69/17/04/350691704.db2.gz KVTYAPGPMOWRKL-SKDRFNHKSA-N 0 0 289.360 2.871 20 5 CFBDRN CC(C)(CNc1c(F)cc([N+](=O)[O-])cc1F)n1ccnc1 ZINC000601198309 350694828 /nfs/dbraw/zinc/69/48/28/350694828.db2.gz UWCAREGVVNLBKQ-UHFFFAOYSA-N 0 0 296.277 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N[C@@H]3CO[C@@H](C4CC4)C3)c2c1 ZINC000601203063 350696325 /nfs/dbraw/zinc/69/63/25/350696325.db2.gz MWCUBVDDJUFBLJ-MEDUHNTESA-N 0 0 299.330 2.544 20 5 CFBDRN CSC1CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000601206779 350696763 /nfs/dbraw/zinc/69/67/63/350696763.db2.gz DNFNHCVNGSSDBY-UHFFFAOYSA-N 0 0 258.368 2.988 20 5 CFBDRN Cc1nc(N2CC(c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000601210177 350698440 /nfs/dbraw/zinc/69/84/40/350698440.db2.gz MTXJAFNBFYETLR-UHFFFAOYSA-N 0 0 269.304 2.902 20 5 CFBDRN CCC[C@H](CCO)Nc1c(OC)cccc1[N+](=O)[O-] ZINC000601212183 350698748 /nfs/dbraw/zinc/69/87/48/350698748.db2.gz ISUNNWYYXCJMRS-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H](c2cccnc2)C1 ZINC000601216258 350699685 /nfs/dbraw/zinc/69/96/85/350699685.db2.gz AEMFSFSAWFMNPE-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1cnc(N2CCC(CCF)CC2)c([N+](=O)[O-])c1 ZINC000601218170 350701061 /nfs/dbraw/zinc/70/10/61/350701061.db2.gz TWJAHOGOWBZRLM-UHFFFAOYSA-N 0 0 267.304 2.874 20 5 CFBDRN O=C(N1CC[C@H](F)C1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601605671 350746525 /nfs/dbraw/zinc/74/65/25/350746525.db2.gz LCXPLBQMOPGUNW-LBPRGKRZSA-N 0 0 292.310 2.587 20 5 CFBDRN CCC1(CC)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601606774 350746590 /nfs/dbraw/zinc/74/65/90/350746590.db2.gz LCNHHWBWGARICE-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000601699633 350764412 /nfs/dbraw/zinc/76/44/12/350764412.db2.gz AOKJWEKCSZPNQF-GFCCVEGCSA-N 0 0 260.293 2.657 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CC[C@@H](C2CCC2)C1 ZINC000601635357 350751637 /nfs/dbraw/zinc/75/16/37/350751637.db2.gz KXSHZVFEIGJTFA-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1c(F)cccc1[N+](=O)[O-] ZINC000601704940 350764829 /nfs/dbraw/zinc/76/48/29/350764829.db2.gz NIGSERRZCXYESQ-NSHDSACASA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCCC23CC3)cc([N+](=O)[O-])c1 ZINC000601704896 350764879 /nfs/dbraw/zinc/76/48/79/350764879.db2.gz MTNSDBGSSMSHLC-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN C/C=C\CNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601682484 350758552 /nfs/dbraw/zinc/75/85/52/350758552.db2.gz BMXGUPYLLQVHQH-IHWYPQMZSA-N 0 0 274.320 2.709 20 5 CFBDRN CC(C)(F)CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000601687703 350759400 /nfs/dbraw/zinc/75/94/00/350759400.db2.gz IAMIPMHCJXFRHS-UHFFFAOYSA-N 0 0 279.271 2.554 20 5 CFBDRN CC(C)(F)CNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601688422 350759876 /nfs/dbraw/zinc/75/98/76/350759876.db2.gz RFBLQYJIVVSYLQ-UHFFFAOYSA-N 0 0 294.326 2.881 20 5 CFBDRN Cc1conc1C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000601282126 350716982 /nfs/dbraw/zinc/71/69/82/350716982.db2.gz ZNKYJNMOQHDYBA-JTQLQIEISA-N 0 0 289.291 2.691 20 5 CFBDRN CN(CCOC(C)(C)C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601340163 350725201 /nfs/dbraw/zinc/72/52/01/350725201.db2.gz MNAYFWOIDMUUFL-UHFFFAOYSA-N 0 0 298.314 2.621 20 5 CFBDRN CC[C@H](C)Cc1noc(-c2cc([N+](=O)[O-])cnc2C)n1 ZINC000601396434 350729502 /nfs/dbraw/zinc/72/95/02/350729502.db2.gz YJMDUNZKPCCYPE-QMMMGPOBSA-N 0 0 276.296 2.937 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601543811 350737891 /nfs/dbraw/zinc/73/78/91/350737891.db2.gz CKSRYNGFVOXVKL-SECBINFHSA-N 0 0 278.283 2.772 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601546149 350738941 /nfs/dbraw/zinc/73/89/41/350738941.db2.gz ANCAWLADGWMTEH-NXEZZACHSA-N 0 0 280.299 2.900 20 5 CFBDRN CC1(F)CCN(C(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000601555495 350739354 /nfs/dbraw/zinc/73/93/54/350739354.db2.gz MWIPKINFJQWNGS-UHFFFAOYSA-N 0 0 295.314 2.628 20 5 CFBDRN C[C@H](NC(=O)NCC(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000601563997 350740079 /nfs/dbraw/zinc/74/00/79/350740079.db2.gz ZBVGRCUHTKLNAH-VIFPVBQESA-N 0 0 283.303 2.703 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC12CC2 ZINC000601702208 350764258 /nfs/dbraw/zinc/76/42/58/350764258.db2.gz CEGJVHGZLAYDOJ-CQSZACIVSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1conc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000601597137 350744523 /nfs/dbraw/zinc/74/45/23/350744523.db2.gz YMXXJPBBGJEJNA-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)[C@H](C)O1 ZINC000601760767 350772691 /nfs/dbraw/zinc/77/26/91/350772691.db2.gz JXIBITLBIMHHQO-LWINAJNOSA-N 0 0 298.726 2.544 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@]12C[C@@H]1CCCC2 ZINC000601776009 350774620 /nfs/dbraw/zinc/77/46/20/350774620.db2.gz OXSARTHRNOJITF-BBRMVZONSA-N 0 0 288.347 2.976 20 5 CFBDRN C[C@H]1C[C@@H](CNc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000271409792 191121165 /nfs/dbraw/zinc/12/11/65/191121165.db2.gz SRPXCUTYGWJYRW-IUCAKERBSA-N 0 0 285.731 2.870 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCC2CCOCC2)c1 ZINC000111165088 186364557 /nfs/dbraw/zinc/36/45/57/186364557.db2.gz PTHQLHQKHZXRBN-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN CC1(F)CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000601869804 350795611 /nfs/dbraw/zinc/79/56/11/350795611.db2.gz YLJLZMZZJVLEKT-UHFFFAOYSA-N 0 0 272.301 2.621 20 5 CFBDRN Cc1ccc(C(=O)N2CCC(C)(F)CC2)cc1[N+](=O)[O-] ZINC000601870710 350795639 /nfs/dbraw/zinc/79/56/39/350795639.db2.gz YCUQIHNRZNHHOC-UHFFFAOYSA-N 0 0 280.299 2.867 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1cc(Cl)ccc1F ZINC000601911477 350799867 /nfs/dbraw/zinc/79/98/67/350799867.db2.gz JWVSGDBFLJGYMW-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCC[C@H]1CCCCO1 ZINC000601917203 350801615 /nfs/dbraw/zinc/80/16/15/350801615.db2.gz FWNQXLBIWCIHSO-GFCCVEGCSA-N 0 0 299.396 2.792 20 5 CFBDRN CCOC1CC(CSCCn2c(C)ncc2[N+](=O)[O-])C1 ZINC000601918601 350802228 /nfs/dbraw/zinc/80/22/28/350802228.db2.gz XVXOUSNLQDCZDV-UHFFFAOYSA-N 0 0 299.396 2.648 20 5 CFBDRN C[C@H](CN(C)C(=O)c1cc([N+](=O)[O-])c[nH]1)C(C)(C)C ZINC000601922457 350802733 /nfs/dbraw/zinc/80/27/33/350802733.db2.gz IBBSNAQDLBNTOR-SECBINFHSA-N 0 0 267.329 2.677 20 5 CFBDRN Cn1nccc1CCSCc1ccc(F)cc1[N+](=O)[O-] ZINC000601921398 350802827 /nfs/dbraw/zinc/80/28/27/350802827.db2.gz ZIQXKXQPDJUKIG-UHFFFAOYSA-N 0 0 295.339 2.943 20 5 CFBDRN CC[C@@H](O)Cn1nc(C)cc1-c1ccc([N+](=O)[O-])cc1 ZINC000601945576 350813568 /nfs/dbraw/zinc/81/35/68/350813568.db2.gz LMMMKZYUGQMXPO-CYBMUJFWSA-N 0 0 275.308 2.538 20 5 CFBDRN CCc1nocc1C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000602346974 350867496 /nfs/dbraw/zinc/86/74/96/350867496.db2.gz WIQSCBPCPZSXRL-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C12CC(C1)C2 ZINC000602344504 350867749 /nfs/dbraw/zinc/86/77/49/350867749.db2.gz OCGAEFAYJIUDAD-UHFFFAOYSA-N 0 0 260.293 2.896 20 5 CFBDRN CC(C)=C(C)CC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000602111892 350842195 /nfs/dbraw/zinc/84/21/95/350842195.db2.gz VSQBKXFOMSCFHP-UHFFFAOYSA-N 0 0 276.336 3.000 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1OC2CCC1CC2 ZINC000602211968 350852347 /nfs/dbraw/zinc/85/23/47/350852347.db2.gz JACROQBLLJWOMN-UHFFFAOYSA-N 0 0 296.710 2.947 20 5 CFBDRN Cc1cccc(C(=O)N2OC3CCC2CC3)c1[N+](=O)[O-] ZINC000602214981 350852672 /nfs/dbraw/zinc/85/26/72/350852672.db2.gz CFMPILVGUCATKL-UHFFFAOYSA-N 0 0 276.292 2.602 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000602269359 350858018 /nfs/dbraw/zinc/85/80/18/350858018.db2.gz TUIIRZMCXPGEMJ-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1nc(NC(=O)C[C@@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000602289084 350859791 /nfs/dbraw/zinc/85/97/91/350859791.db2.gz QTYWLKHWKBYOAN-ZCFIWIBFSA-N 0 0 291.229 2.825 20 5 CFBDRN CC[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000111275556 186386792 /nfs/dbraw/zinc/38/67/92/186386792.db2.gz IPDVULOXLACZID-QWRGUYRKSA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111275668 186387171 /nfs/dbraw/zinc/38/71/71/186387171.db2.gz JRHNDQJHWIPHQV-WCQYABFASA-N 0 0 294.351 2.603 20 5 CFBDRN C/C=C\CNC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000602376693 350871600 /nfs/dbraw/zinc/87/16/00/350871600.db2.gz XZIQAOLMMNYUCZ-IHWYPQMZSA-N 0 0 289.335 2.845 20 5 CFBDRN CCOCCC(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602381756 350872340 /nfs/dbraw/zinc/87/23/40/350872340.db2.gz IISVDOIZSOVUAM-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC(C)(C)F ZINC000602389462 350872935 /nfs/dbraw/zinc/87/29/35/350872935.db2.gz RBRKJICOTVIDHC-UHFFFAOYSA-N 0 0 269.276 2.773 20 5 CFBDRN CCN(Cc1cn(C)nc1[N+](=O)[O-])Cc1ccccc1C ZINC000602440057 350883723 /nfs/dbraw/zinc/88/37/23/350883723.db2.gz NGTVVSRFPVSKSQ-UHFFFAOYSA-N 0 0 288.351 2.659 20 5 CFBDRN C[C@@H](c1cccs1)N(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602441417 350883976 /nfs/dbraw/zinc/88/39/76/350883976.db2.gz FWZOKLDJEXHPEY-VIFPVBQESA-N 0 0 280.353 2.583 20 5 CFBDRN CC(C)C1CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000602644486 350959433 /nfs/dbraw/zinc/95/94/33/350959433.db2.gz RUXOFHGMHAGDKT-UHFFFAOYSA-N 0 0 275.308 2.824 20 5 CFBDRN CCc1cccc(N(C)Cc2cn(C)nc2[N+](=O)[O-])c1 ZINC000602691666 350981437 /nfs/dbraw/zinc/98/14/37/350981437.db2.gz ZDTZGKFGZXRDKT-UHFFFAOYSA-N 0 0 274.324 2.527 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)CC2CC2)ccc1[N+](=O)[O-] ZINC000112607284 186508884 /nfs/dbraw/zinc/50/88/84/186508884.db2.gz HJAVXAAHECZPCZ-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000112608299 186509417 /nfs/dbraw/zinc/50/94/17/186509417.db2.gz PIWRBPRQYKPLSH-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](NCc1cn(C)nc1[N+](=O)[O-])c1cccc(Cl)c1 ZINC000602796762 351029171 /nfs/dbraw/zinc/02/91/71/351029171.db2.gz CSCPFXVREWDOQK-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@@H](NC(=O)NC[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000416705588 233601731 /nfs/dbraw/zinc/60/17/31/233601731.db2.gz LJUXETLEEKQKOL-GHMZBOCLSA-N 0 0 289.335 2.755 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H]2c2ncccn2)cs1 ZINC000602895275 351058987 /nfs/dbraw/zinc/05/89/87/351058987.db2.gz AXCJZQJKCWZRPQ-NSHDSACASA-N 0 0 290.348 2.783 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC[C@@H]2c2ncccn2)c1 ZINC000602897526 351060146 /nfs/dbraw/zinc/06/01/46/351060146.db2.gz KPNOQNIKRSVDDB-CQSZACIVSA-N 0 0 284.319 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1OC2CCC1CC2 ZINC000602757194 351014622 /nfs/dbraw/zinc/01/46/22/351014622.db2.gz RWHYPSVXSNFIBW-UHFFFAOYSA-N 0 0 291.307 2.994 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)C(F)F ZINC000602758265 351014859 /nfs/dbraw/zinc/01/48/59/351014859.db2.gz YPMHVVNFUBAPMX-SSDOTTSWSA-N 0 0 273.239 2.678 20 5 CFBDRN CC(C)/C=C/c1cn(Cc2cccc([N+](=O)[O-])c2)nn1 ZINC000603164200 351113930 /nfs/dbraw/zinc/11/39/30/351113930.db2.gz UELSCYHXVOCNFA-VOTSOKGWSA-N 0 0 272.308 2.904 20 5 CFBDRN CCC(C)(C)c1cn(Cc2ccccc2[N+](=O)[O-])nn1 ZINC000603187393 351115797 /nfs/dbraw/zinc/11/57/97/351115797.db2.gz SQCIORWKWLXDOQ-UHFFFAOYSA-N 0 0 274.324 2.922 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])n1cc(C2CC2)nn1 ZINC000603212307 351118891 /nfs/dbraw/zinc/11/88/91/351118891.db2.gz SQPHRTJRBWOTEB-SECBINFHSA-N 0 0 258.281 2.673 20 5 CFBDRN CSCc1cn(Cc2ccc([N+](=O)[O-])cc2Cl)nn1 ZINC000603219549 351120098 /nfs/dbraw/zinc/12/00/98/351120098.db2.gz SANSEGXYQJVJLV-UHFFFAOYSA-N 0 0 298.755 2.751 20 5 CFBDRN CCC(CC)N(CC)C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602955465 351071782 /nfs/dbraw/zinc/07/17/82/351071782.db2.gz DCZRJSHOHJKKIV-UHFFFAOYSA-N 0 0 294.355 2.763 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H](C)C(F)F ZINC000602951542 351071827 /nfs/dbraw/zinc/07/18/27/351071827.db2.gz TTZMGGXSLVSRJD-QMMMGPOBSA-N 0 0 287.266 2.987 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602959440 351072542 /nfs/dbraw/zinc/07/25/42/351072542.db2.gz MYRSSMGPJFGDRE-NSHDSACASA-N 0 0 292.339 2.517 20 5 CFBDRN COc1cc(CN2CCCCCO2)ccc1[N+](=O)[O-] ZINC000603009176 351090355 /nfs/dbraw/zinc/09/03/55/351090355.db2.gz CIUWRUOXWUUEEU-UHFFFAOYSA-N 0 0 266.297 2.521 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)C(F)F ZINC000603017341 351091147 /nfs/dbraw/zinc/09/11/47/351091147.db2.gz SDLDHGFMFIDILQ-ZETCQYMHSA-N 0 0 287.266 2.932 20 5 CFBDRN Cn1cc(C[N@@H+]2CC[C@@]2(C)C2CCCCC2)c([N+](=O)[O-])n1 ZINC000603029250 351091862 /nfs/dbraw/zinc/09/18/62/351091862.db2.gz METDJQLNMYOBAE-HNNXBMFYSA-N 0 0 292.383 2.873 20 5 CFBDRN CO[C@@](C)(C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000291242308 197964985 /nfs/dbraw/zinc/96/49/85/197964985.db2.gz VFEUIIHBZREAKI-CQSZACIVSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1cc(NCCCOc2ncccn2)ccc1[N+](=O)[O-] ZINC000603402025 351156884 /nfs/dbraw/zinc/15/68/84/351156884.db2.gz LLGBKQRGYBCJKZ-UHFFFAOYSA-N 0 0 288.307 2.574 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1cc2n(n1)CCCC2 ZINC000603404809 351157401 /nfs/dbraw/zinc/15/74/01/351157401.db2.gz UJLQTQXRVDJFHP-UHFFFAOYSA-N 0 0 278.337 2.801 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cn(C3CCC3)nn2)c1 ZINC000603403619 351157445 /nfs/dbraw/zinc/15/74/45/351157445.db2.gz JWFTZKIUQRHBRT-UHFFFAOYSA-N 0 0 287.323 2.832 20 5 CFBDRN COc1cncc(CNc2cc(C)sc2[N+](=O)[O-])c1 ZINC000603406918 351158401 /nfs/dbraw/zinc/15/84/01/351158401.db2.gz NZNZFLOPGTUTDB-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN CN1C[C@@H](Nc2ncc([N+](=O)[O-])s2)Cc2ccccc21 ZINC000603414810 351160101 /nfs/dbraw/zinc/16/01/01/351160101.db2.gz FPWOZQRMXBXBNA-JTQLQIEISA-N 0 0 290.348 2.524 20 5 CFBDRN CCOc1ccn(Cc2cccc([N+](=O)[O-])c2C)n1 ZINC000603425154 351161656 /nfs/dbraw/zinc/16/16/56/351161656.db2.gz BHKMNRZXOWLRSK-UHFFFAOYSA-N 0 0 261.281 2.547 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1nnn(C)c1C ZINC000603428379 351162390 /nfs/dbraw/zinc/16/23/90/351162390.db2.gz MEHWLRYUXHTOGX-YFHOEESVSA-N 0 0 287.323 2.547 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)N[C@H](C)C(F)F ZINC000603431407 351163160 /nfs/dbraw/zinc/16/31/60/351163160.db2.gz WTXXHXKDDDMEHX-JGVFFNPUSA-N 0 0 274.267 2.908 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc2ncccc2c1 ZINC000603768444 351180518 /nfs/dbraw/zinc/18/05/18/351180518.db2.gz AFRWAGJIIOYTKT-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN COC(=O)c1ccc(Oc2cccnc2[N+](=O)[O-])cc1 ZINC000603836753 351184307 /nfs/dbraw/zinc/18/43/07/351184307.db2.gz VRSSOHROWPKHNZ-UHFFFAOYSA-N 0 0 274.232 2.569 20 5 CFBDRN O=[N+]([O-])c1ncccc1Sc1ncc2ccccn21 ZINC000603840275 351184863 /nfs/dbraw/zinc/18/48/63/351184863.db2.gz XVKNLBZCOVAKIU-UHFFFAOYSA-N 0 0 272.289 2.789 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000603954455 351194552 /nfs/dbraw/zinc/19/45/52/351194552.db2.gz WCEGRITXWZSQNO-LLVKDONJSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1nc2ccccc2n1CCNc1cccnc1[N+](=O)[O-] ZINC000603843071 351185501 /nfs/dbraw/zinc/18/55/01/351185501.db2.gz VSLXQZILZSUVGM-UHFFFAOYSA-N 0 0 297.318 2.760 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1cccc(Cn2cccn2)c1 ZINC000603848753 351185662 /nfs/dbraw/zinc/18/56/62/351185662.db2.gz KWNRPYVAKXWQDX-UHFFFAOYSA-N 0 0 295.302 2.978 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCCN1CCc2ccccc21 ZINC000603849951 351186250 /nfs/dbraw/zinc/18/62/50/351186250.db2.gz WWUXULAASTXCSV-UHFFFAOYSA-N 0 0 298.346 2.855 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCOc1ccc(F)cc1 ZINC000603849181 351186437 /nfs/dbraw/zinc/18/64/37/351186437.db2.gz QHHOZNZGHUPFRA-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN COc1ccc(C)cc1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000603849903 351186713 /nfs/dbraw/zinc/18/67/13/351186713.db2.gz JSWGHPKMFAJEHJ-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H](O)C2)c2ccncc21 ZINC000603865759 351188070 /nfs/dbraw/zinc/18/80/70/351188070.db2.gz OWZUDVBROXBBDO-WDEREUQCSA-N 0 0 287.319 2.858 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000603265968 351127273 /nfs/dbraw/zinc/12/72/73/351127273.db2.gz IUALSYOUBJQONA-SSDOTTSWSA-N 0 0 288.225 2.848 20 5 CFBDRN Cc1cccc(SCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000603317907 351138212 /nfs/dbraw/zinc/13/82/12/351138212.db2.gz KDAMEZJTMREALR-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN CO[C@H](CC(C)C)Cn1nc(C)c2cc([N+](=O)[O-])cnc21 ZINC000603319595 351138241 /nfs/dbraw/zinc/13/82/41/351138241.db2.gz JUIXIUIOWHPBHC-GFCCVEGCSA-N 0 0 292.339 2.709 20 5 CFBDRN CCC(C)(C)C(=O)Cn1nc(C)c2cc([N+](=O)[O-])cnc21 ZINC000603328625 351140429 /nfs/dbraw/zinc/14/04/29/351140429.db2.gz SGTLYJVTLFQUOI-UHFFFAOYSA-N 0 0 290.323 2.653 20 5 CFBDRN CS[C@@H](C)CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000130166716 187474989 /nfs/dbraw/zinc/47/49/89/187474989.db2.gz QSNBFOKIYVZKQP-VIFPVBQESA-N 0 0 280.349 2.626 20 5 CFBDRN COc1ccc(NC(=O)CCC2CC2)c([N+](=O)[O-])c1 ZINC000115008078 186653408 /nfs/dbraw/zinc/65/34/08/186653408.db2.gz FSJKCTSGMAGWQS-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])c(CO)c1)CC(C)C ZINC000416910935 233633889 /nfs/dbraw/zinc/63/38/89/233633889.db2.gz SHMNNWUWXVGMIS-ZDUSSCGKSA-N 0 0 283.324 2.527 20 5 CFBDRN C[C@@H](CNC(=O)CSc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000604395919 351210804 /nfs/dbraw/zinc/21/08/04/351210804.db2.gz JKSOOTHKSGFYPJ-JTQLQIEISA-N 0 0 294.376 2.849 20 5 CFBDRN CCCC(=O)NC1CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000604433505 351212227 /nfs/dbraw/zinc/21/22/27/351212227.db2.gz QMLZKTDUYFABFH-UHFFFAOYSA-N 0 0 297.380 2.541 20 5 CFBDRN C[C@H]1CCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000604438870 351212844 /nfs/dbraw/zinc/21/28/44/351212844.db2.gz XUKLQFREFRMRIG-QMMMGPOBSA-N 0 0 260.249 2.575 20 5 CFBDRN O=C(CNc1ccc([N+](=O)[O-])cc1Cl)NC1CCCC1 ZINC000604502113 351215725 /nfs/dbraw/zinc/21/57/25/351215725.db2.gz XNDQPCPFFHDXGQ-UHFFFAOYSA-N 0 0 297.742 2.719 20 5 CFBDRN CN(C)c1cccc(CNc2cccnc2[N+](=O)[O-])c1 ZINC000604524826 351216874 /nfs/dbraw/zinc/21/68/74/351216874.db2.gz OXXCSZSXUJJHFG-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H](C)C1CC1 ZINC000604562731 351219827 /nfs/dbraw/zinc/21/98/27/351219827.db2.gz TVLWRDQNFFKSBY-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1occc1CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000604562762 351219954 /nfs/dbraw/zinc/21/99/54/351219954.db2.gz LGBASEYHWRLEFR-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN CCC[C@@H]1SCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000118650467 186779071 /nfs/dbraw/zinc/77/90/71/186779071.db2.gz ILJZXYUVUOYPEM-AWEZNQCLSA-N 0 0 294.376 2.839 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ncnc3ncccc32)cc1 ZINC000119097604 186802069 /nfs/dbraw/zinc/80/20/69/186802069.db2.gz KVUVKIBOMGMEHM-UHFFFAOYSA-N 0 0 295.302 2.588 20 5 CFBDRN CC1(c2ccccc2)CC(Nc2ccncc2[N+](=O)[O-])C1 ZINC000609269603 351493736 /nfs/dbraw/zinc/49/37/36/351493736.db2.gz IEIMHMVJMHIOMX-UHFFFAOYSA-N 0 0 283.331 2.944 20 5 CFBDRN CN(C[C@H]1CCCOC1)c1ccc([N+](=O)[O-])s1 ZINC000609350703 351496968 /nfs/dbraw/zinc/49/69/68/351496968.db2.gz KDQRVERMPSSHSM-SECBINFHSA-N 0 0 256.327 2.519 20 5 CFBDRN O=C(NCc1cocn1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000609353832 351497739 /nfs/dbraw/zinc/49/77/39/351497739.db2.gz TYSNCDSSMWZNRT-UHFFFAOYSA-N 0 0 296.670 2.558 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000609442132 351502433 /nfs/dbraw/zinc/50/24/33/351502433.db2.gz TYPIJVLOHGPDIE-MRVPVSSYSA-N 0 0 254.261 2.652 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000609505730 351504140 /nfs/dbraw/zinc/50/41/40/351504140.db2.gz HYTOKIZABDVQQY-LLVKDONJSA-N 0 0 294.351 2.650 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@@H](C)C2)c1 ZINC000609494911 351504218 /nfs/dbraw/zinc/50/42/18/351504218.db2.gz HEWOQWRICOXEOC-SNVBAGLBSA-N 0 0 250.298 2.840 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1(OC)CCC1 ZINC000291409718 198014465 /nfs/dbraw/zinc/01/44/65/198014465.db2.gz IYVUXVYPQFTFAR-UHFFFAOYSA-N 0 0 278.308 2.665 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])s1 ZINC000609571307 351508606 /nfs/dbraw/zinc/50/86/06/351508606.db2.gz XODLNBITTPZVCU-GZMMTYOYSA-N 0 0 258.343 2.865 20 5 CFBDRN Cn1cc(/C=C\c2nc(-c3cccc([N+](=O)[O-])c3)no2)cn1 ZINC000609604139 351510970 /nfs/dbraw/zinc/51/09/70/351510970.db2.gz QJOBBYYRBASNKY-WAYWQWQTSA-N 0 0 297.274 2.549 20 5 CFBDRN COC[C@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000609586522 351510983 /nfs/dbraw/zinc/51/09/83/351510983.db2.gz PDAZJYGKNHZXDH-VIFPVBQESA-N 0 0 256.327 2.519 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000609586521 351511114 /nfs/dbraw/zinc/51/11/14/351511114.db2.gz PDAZJYGKNHZXDH-SECBINFHSA-N 0 0 256.327 2.519 20 5 CFBDRN CCn1cc(CN(C)c2ccc([N+](=O)[O-])s2)cn1 ZINC000609613884 351512161 /nfs/dbraw/zinc/51/21/61/351512161.db2.gz ZKXKAVURNSPSRF-UHFFFAOYSA-N 0 0 266.326 2.509 20 5 CFBDRN CC(C)c1cc(CNC(=O)c2ccc([N+](=O)[O-])s2)on1 ZINC000609621760 351512314 /nfs/dbraw/zinc/51/23/14/351512314.db2.gz WIONFPNVGRDKKP-UHFFFAOYSA-N 0 0 295.320 2.698 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nccn2c1 ZINC000609623096 351512338 /nfs/dbraw/zinc/51/23/38/351512338.db2.gz LJCRBIUCXOLDJD-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN C[C@@H](C[C@H]1CCCO1)Nc1ccc([N+](=O)[O-])cc1 ZINC000608831530 351479207 /nfs/dbraw/zinc/47/92/07/351479207.db2.gz JTZPCXFPLXTTCZ-GXFFZTMASA-N 0 0 250.298 2.964 20 5 CFBDRN CCn1nc(C)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000608870373 351480963 /nfs/dbraw/zinc/48/09/63/351480963.db2.gz CNTMCRCRTWEJKD-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@@H]1CCCC[C@H]1C ZINC000608947833 351483025 /nfs/dbraw/zinc/48/30/25/351483025.db2.gz ZULAWIGKOVOHKG-DGCLKSJQSA-N 0 0 293.367 2.974 20 5 CFBDRN C[C@@H](CC(=O)N1CCc2ccc([N+](=O)[O-])cc21)n1cccc1 ZINC000609152165 351488625 /nfs/dbraw/zinc/48/86/25/351488625.db2.gz JQVFOZQNIQYQRO-LBPRGKRZSA-N 0 0 299.330 2.937 20 5 CFBDRN COC(=O)CS[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000609156107 351488795 /nfs/dbraw/zinc/48/87/95/351488795.db2.gz LMJSDUYBEYHTAJ-QMMMGPOBSA-N 0 0 255.295 2.562 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000609880170 351526087 /nfs/dbraw/zinc/52/60/87/351526087.db2.gz AQXJDKGEVZBJNK-GRYCIOLGSA-N 0 0 291.351 2.727 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)Cc3ccccc3C2)s1 ZINC000609965083 351528250 /nfs/dbraw/zinc/52/82/50/351528250.db2.gz RKPZGIVSXGIZHG-UHFFFAOYSA-N 0 0 290.344 2.598 20 5 CFBDRN Cc1ccnc(Nc2cnn(-c3ccccn3)c2)c1[N+](=O)[O-] ZINC000610076970 351533836 /nfs/dbraw/zinc/53/38/36/351533836.db2.gz ILWNSOOWTCBIBR-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])s2)[C@@H]2CCO[C@@H]21 ZINC000610160737 351535811 /nfs/dbraw/zinc/53/58/11/351535811.db2.gz GWMXGEZDXFXAJH-XROYCOCOSA-N 0 0 268.338 2.882 20 5 CFBDRN COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)nc1 ZINC000610244773 351543666 /nfs/dbraw/zinc/54/36/66/351543666.db2.gz RNIFOBZXFOQBAQ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@H](n2ccnc2)C1 ZINC000610276387 351546804 /nfs/dbraw/zinc/54/68/04/351546804.db2.gz SKFAVLYREAVTPX-JTQLQIEISA-N 0 0 278.337 2.694 20 5 CFBDRN Cc1nccn1CCCNc1ccc([N+](=O)[O-])s1 ZINC000610279236 351547844 /nfs/dbraw/zinc/54/78/44/351547844.db2.gz GGTMIPKBJDQVFH-UHFFFAOYSA-N 0 0 266.326 2.663 20 5 CFBDRN COc1ccccc1[C@@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000610278576 351547953 /nfs/dbraw/zinc/54/79/53/351547953.db2.gz SMSXJZBHHLKGBD-SNVBAGLBSA-N 0 0 294.332 2.810 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])C12CCC2 ZINC000610281448 351548954 /nfs/dbraw/zinc/54/89/54/351548954.db2.gz REXUDQOXARGUDV-NWDGAFQWSA-N 0 0 277.324 2.749 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])C12CCC2 ZINC000610281450 351549274 /nfs/dbraw/zinc/54/92/74/351549274.db2.gz REXUDQOXARGUDV-VXGBXAGGSA-N 0 0 277.324 2.749 20 5 CFBDRN CC(C)[C@@H]1CN(c2cccnc2[N+](=O)[O-])CCS1 ZINC000610283434 351549332 /nfs/dbraw/zinc/54/93/32/351549332.db2.gz KSTUJNGOYVSHIZ-NSHDSACASA-N 0 0 267.354 2.568 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC(C(C)(C)C)CC1 ZINC000609704435 351516468 /nfs/dbraw/zinc/51/64/68/351516468.db2.gz OCOGNJGGTUOILW-UHFFFAOYSA-N 0 0 266.345 2.591 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@@H]2c2ccco2)cs1 ZINC000609739868 351517499 /nfs/dbraw/zinc/51/74/99/351517499.db2.gz YPHBLRKSHMLLIW-LLVKDONJSA-N 0 0 294.332 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCc3ccccc3C2)nc1 ZINC000609765690 351518974 /nfs/dbraw/zinc/51/89/74/351518974.db2.gz LBEKPRFWIGNCTG-GFCCVEGCSA-N 0 0 283.331 2.629 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000610431873 351565867 /nfs/dbraw/zinc/56/58/67/351565867.db2.gz KMFBTLVIBICLAH-RKDXNWHRSA-N 0 0 267.304 2.798 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000610526691 351579111 /nfs/dbraw/zinc/57/91/11/351579111.db2.gz SASYJHHPRGAMPQ-NSHDSACASA-N 0 0 285.303 2.523 20 5 CFBDRN Cc1c(C(=O)NC[C@@H](C)c2ccncc2)cccc1[N+](=O)[O-] ZINC000610526648 351579250 /nfs/dbraw/zinc/57/92/50/351579250.db2.gz QTCBKNSDYUDWKR-LLVKDONJSA-N 0 0 299.330 2.832 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000610643942 351587548 /nfs/dbraw/zinc/58/75/48/351587548.db2.gz FBPMGWCALGFREW-GHMZBOCLSA-N 0 0 277.324 2.768 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000610643943 351587593 /nfs/dbraw/zinc/58/75/93/351587593.db2.gz FBPMGWCALGFREW-MNOVXSKESA-N 0 0 277.324 2.768 20 5 CFBDRN Cc1cccc(CC2CN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)c1 ZINC000610660022 351589497 /nfs/dbraw/zinc/58/94/97/351589497.db2.gz SJKGEIIZMSAVFH-UHFFFAOYSA-N 0 0 299.330 2.546 20 5 CFBDRN COc1cc(N2C[C@@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000610685229 351593572 /nfs/dbraw/zinc/59/35/72/351593572.db2.gz WWCIJBNWROAGLK-UWVGGRQHSA-N 0 0 250.298 2.838 20 5 CFBDRN Cc1ccc(C(=O)NC2(C(F)(F)F)CC2)cc1[N+](=O)[O-] ZINC000610704195 351594278 /nfs/dbraw/zinc/59/42/78/351594278.db2.gz CTGNNKAFAYXZHE-UHFFFAOYSA-N 0 0 288.225 2.728 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)c2ccccc21 ZINC000610707736 351594636 /nfs/dbraw/zinc/59/46/36/351594636.db2.gz GJLQDEKUJBPCBR-UHFFFAOYSA-N 0 0 285.303 2.861 20 5 CFBDRN CC(C)(CNc1ncccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000610716827 351596443 /nfs/dbraw/zinc/59/64/43/351596443.db2.gz WDTIZSXDDZQMNC-LBPRGKRZSA-N 0 0 279.340 2.997 20 5 CFBDRN O=c1[nH]c(-c2ccc([N+](=O)[O-])s2)nc2cccc(F)c12 ZINC000610724135 351597372 /nfs/dbraw/zinc/59/73/72/351597372.db2.gz YHJYKVRSTXSNEI-UHFFFAOYSA-N 0 0 291.263 2.699 20 5 CFBDRN C[C@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@@H]1n1ccnc1 ZINC000610726419 351598074 /nfs/dbraw/zinc/59/80/74/351598074.db2.gz IDVBLBPSLLFDAI-JQWIXIFHSA-N 0 0 292.364 2.940 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000610734728 351599171 /nfs/dbraw/zinc/59/91/71/351599171.db2.gz HYFIAMVTVVBIBU-UWVGGRQHSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1nc(N2CCOc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000610754989 351604414 /nfs/dbraw/zinc/60/44/14/351604414.db2.gz LCWFVQJSUXLWQD-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN O=[N+]([O-])c1sccc1NCC1(CCO)CCC1 ZINC000610856086 351613920 /nfs/dbraw/zinc/61/39/20/351613920.db2.gz CMYCCAHKZPEIIV-UHFFFAOYSA-N 0 0 256.327 2.621 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H]2CCC[C@H]21 ZINC000610766079 351605856 /nfs/dbraw/zinc/60/58/56/351605856.db2.gz XBQZJLAOSBHBIO-BXKDBHETSA-N 0 0 291.307 2.620 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCO[C@H](C(C)C)C1 ZINC000271437800 191138252 /nfs/dbraw/zinc/13/82/52/191138252.db2.gz NTQRJQJKWZPGPQ-YPMHNXCESA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC(F)(F)C1 ZINC000610791077 351608903 /nfs/dbraw/zinc/60/89/03/351608903.db2.gz SDFMOMOUZUXJSC-SECBINFHSA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC(C(C)C)C1 ZINC000611078252 351638339 /nfs/dbraw/zinc/63/83/39/351638339.db2.gz OSCUCDGCFGNIHG-UHFFFAOYSA-N 0 0 262.309 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCN(c3ccccn3)C2)s1 ZINC000611080154 351638568 /nfs/dbraw/zinc/63/85/68/351638568.db2.gz NTHXITWJYQCBOG-SNVBAGLBSA-N 0 0 290.348 2.742 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)C1CCC1 ZINC000611124525 351642682 /nfs/dbraw/zinc/64/26/82/351642682.db2.gz FJKLCJOCIBKZIT-SECBINFHSA-N 0 0 293.323 2.914 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CCC1 ZINC000611122199 351643041 /nfs/dbraw/zinc/64/30/41/351643041.db2.gz DPQYZTKLALRSIK-MRVPVSSYSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1cc(CNc2ccc(Cl)cc2[N+](=O)[O-])ncn1 ZINC000611132891 351643418 /nfs/dbraw/zinc/64/34/18/351643418.db2.gz GEINXACPGXTRBB-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])c3cccnc23)ncn1 ZINC000611132909 351643771 /nfs/dbraw/zinc/64/37/71/351643771.db2.gz IGLKOKARGCNQCF-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cnco1 ZINC000611147562 351645102 /nfs/dbraw/zinc/64/51/02/351645102.db2.gz ZFNHDFLUGXLLSW-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN Cc1cn(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c(C)n1 ZINC000611165002 351645978 /nfs/dbraw/zinc/64/59/78/351645978.db2.gz QMVAINBRMLJWJI-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC[C@@H](C(F)(F)F)C2)c1 ZINC000611177114 351646544 /nfs/dbraw/zinc/64/65/44/351646544.db2.gz UGQNSVMSBLCIAR-SNVBAGLBSA-N 0 0 274.242 2.979 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12)C1CC1 ZINC000611222661 351653314 /nfs/dbraw/zinc/65/33/14/351653314.db2.gz AENLHDAMTMMJGD-SNVBAGLBSA-N 0 0 299.330 2.919 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12)C1CC1 ZINC000611222654 351653673 /nfs/dbraw/zinc/65/36/73/351653673.db2.gz AENLHDAMTMMJGD-JTQLQIEISA-N 0 0 299.330 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3cccnc3)CC2)cn1 ZINC000611256794 351658669 /nfs/dbraw/zinc/65/86/69/351658669.db2.gz ZWNNWEBSYFPOIZ-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN COc1cc(N2C[C@@H](C)C[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000611255855 351659317 /nfs/dbraw/zinc/65/93/17/351659317.db2.gz OCTRDFCVFIBSNX-IUCAKERBSA-N 0 0 268.288 2.977 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC3(CCCC3)C2)cn1 ZINC000610856274 351614840 /nfs/dbraw/zinc/61/48/40/351614840.db2.gz IJWZELAKMAVBSC-NSHDSACASA-N 0 0 277.324 2.894 20 5 CFBDRN CCC[C@H](C)[C@H]1CCCN1c1c([N+](=O)[O-])ncn1C ZINC000610856486 351614854 /nfs/dbraw/zinc/61/48/54/351614854.db2.gz ZTMWEQGLVFSFHY-WDEREUQCSA-N 0 0 266.345 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCO)c(C(F)(F)F)c1 ZINC000610946397 351623193 /nfs/dbraw/zinc/62/31/93/351623193.db2.gz WYFKSJJKFXMQFG-UHFFFAOYSA-N 0 0 281.255 2.839 20 5 CFBDRN Cc1ccc(NC(=O)N2CCCOC[C@H]2C)cc1[N+](=O)[O-] ZINC000610949150 351623200 /nfs/dbraw/zinc/62/32/00/351623200.db2.gz STGTVKOOQNTLLZ-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1nccn1CCCN(C)c1ccc([N+](=O)[O-])s1 ZINC000610958163 351625264 /nfs/dbraw/zinc/62/52/64/351625264.db2.gz JYVBRJQIWJYIHF-UHFFFAOYSA-N 0 0 280.353 2.688 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC2(C1)CC(F)(F)C2 ZINC000610968298 351627158 /nfs/dbraw/zinc/62/71/58/351627158.db2.gz RYTZQDQJPWGQDK-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])s1 ZINC000611373620 351677057 /nfs/dbraw/zinc/67/70/57/351677057.db2.gz KJWIVRQNMXJPNQ-BDAKNGLRSA-N 0 0 256.327 2.883 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1nccc(C)c1[N+](=O)[O-] ZINC000611373708 351677199 /nfs/dbraw/zinc/67/71/99/351677199.db2.gz UVNGZBHBFMSDBR-WDEREUQCSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000611377891 351678393 /nfs/dbraw/zinc/67/83/93/351678393.db2.gz ALRFWFOMGRZXTQ-PWSUYJOCSA-N 0 0 274.320 2.962 20 5 CFBDRN CC[C@@H](C)CONC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000291612402 198050252 /nfs/dbraw/zinc/05/02/52/198050252.db2.gz JQDQWGJEYASYLX-GHMZBOCLSA-N 0 0 295.339 2.933 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1sccc1[N+](=O)[O-] ZINC000611411533 351684962 /nfs/dbraw/zinc/68/49/62/351684962.db2.gz LLCKEQVMUZPZLL-UHFFFAOYSA-N 0 0 280.353 2.661 20 5 CFBDRN Cc1c(NC(=O)c2ccn(C(F)F)n2)cccc1[N+](=O)[O-] ZINC000611410045 351685268 /nfs/dbraw/zinc/68/52/68/351685268.db2.gz ILQDAKYNGCNTPI-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN COC(=O)CCC1CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000611413302 351686006 /nfs/dbraw/zinc/68/60/06/351686006.db2.gz DTXRPCGJUQAVAL-UHFFFAOYSA-N 0 0 298.364 2.826 20 5 CFBDRN CN(CCNc1sccc1[N+](=O)[O-])CC(F)(F)F ZINC000611412113 351686067 /nfs/dbraw/zinc/68/60/67/351686067.db2.gz SGDUTDNGGVECIH-UHFFFAOYSA-N 0 0 283.275 2.562 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC1(O)CCCCC1 ZINC000611412344 351686148 /nfs/dbraw/zinc/68/61/48/351686148.db2.gz QBBHRKDNAKLAIN-UHFFFAOYSA-N 0 0 256.327 2.763 20 5 CFBDRN COC(=O)c1cc(Nc2sccc2[N+](=O)[O-])cn1C ZINC000611413683 351686880 /nfs/dbraw/zinc/68/68/80/351686880.db2.gz MBWHIUBRWWMGGG-UHFFFAOYSA-N 0 0 281.293 2.525 20 5 CFBDRN CCOC(=O)c1cc(Nc2sccc2[N+](=O)[O-])cn1C ZINC000611413625 351686969 /nfs/dbraw/zinc/68/69/69/351686969.db2.gz IQBHTJVIWSVITH-UHFFFAOYSA-N 0 0 295.320 2.915 20 5 CFBDRN Cc1nc(N2CCC[C@@H]2C2CCOCC2)ccc1[N+](=O)[O-] ZINC000611467786 351693087 /nfs/dbraw/zinc/69/30/87/351693087.db2.gz UAFSHIWXTHHELS-CQSZACIVSA-N 0 0 291.351 2.694 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](C)[C@@H](F)C2)c1 ZINC000611494226 351696629 /nfs/dbraw/zinc/69/66/29/351696629.db2.gz HOSREFBSOBQLNL-ONGXEEELSA-N 0 0 268.288 2.788 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1ccc([N+](=O)[O-])s1 ZINC000611494892 351697646 /nfs/dbraw/zinc/69/76/46/351697646.db2.gz NIIILEVRPJYJFX-VHSXEESVSA-N 0 0 270.354 2.644 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H]1F ZINC000611497623 351698049 /nfs/dbraw/zinc/69/80/49/351698049.db2.gz XBBPLNAVYJHDPF-BXKDBHETSA-N 0 0 281.287 2.807 20 5 CFBDRN Cc1c(C(=O)N2CC[C@H](C)[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000611505673 351700204 /nfs/dbraw/zinc/70/02/04/351700204.db2.gz LKZIVXKRPQPZNQ-JOYOIKCWSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)[C@H](F)C2)c1 ZINC000611506085 351700908 /nfs/dbraw/zinc/70/09/08/351700908.db2.gz GMWRLUROSSSYMO-ZYHUDNBSSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCc2c[nH]nc2C1 ZINC000611516723 351702862 /nfs/dbraw/zinc/70/28/62/351702862.db2.gz GZHXIMWORPKIBP-LLVKDONJSA-N 0 0 286.335 2.746 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000611525223 351704650 /nfs/dbraw/zinc/70/46/50/351704650.db2.gz OIYLTELZQBVKTL-RNCFNFMXSA-N 0 0 279.340 2.660 20 5 CFBDRN COc1ncccc1CNc1sccc1[N+](=O)[O-] ZINC000611289997 351664044 /nfs/dbraw/zinc/66/40/44/351664044.db2.gz PJSOWFLRVWWEOU-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN Cc1cc(N2CC3(CC(F)C3)C2)ccc1[N+](=O)[O-] ZINC000611298425 351665666 /nfs/dbraw/zinc/66/56/66/351665666.db2.gz OMOFWEGMYYDDLZ-UHFFFAOYSA-N 0 0 250.273 2.842 20 5 CFBDRN O=C(C1=CCC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000611541326 351705742 /nfs/dbraw/zinc/70/57/42/351705742.db2.gz PFLAUGFVSNPMPB-UHFFFAOYSA-N 0 0 258.277 2.594 20 5 CFBDRN O=C(Nc1ccc(C2CC2)cc1)c1cc([N+](=O)[O-])c[nH]c1=O ZINC000611335462 351669022 /nfs/dbraw/zinc/66/90/22/351669022.db2.gz XGIUNQBZVKNJMR-UHFFFAOYSA-N 0 0 299.286 2.825 20 5 CFBDRN CC(C)(C)OC(=O)CCCCNc1cccnc1[N+](=O)[O-] ZINC000611338662 351670954 /nfs/dbraw/zinc/67/09/54/351670954.db2.gz TZJIILQUNCCJJE-UHFFFAOYSA-N 0 0 295.339 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3cc[nH]n3)C2)s1 ZINC000611370441 351675287 /nfs/dbraw/zinc/67/52/87/351675287.db2.gz RNUOTKIOXKAERW-SECBINFHSA-N 0 0 278.337 2.763 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H](C)[C@H](F)C2)n1 ZINC000611617722 351716451 /nfs/dbraw/zinc/71/64/51/351716451.db2.gz ZUMXKSZYADAVKP-LDYMZIIASA-N 0 0 267.304 2.791 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCCc2ccccc21 ZINC000008317323 282775552 /nfs/dbraw/zinc/77/55/52/282775552.db2.gz KTRMBAUIURNEEK-LBPRGKRZSA-N 0 0 272.308 2.818 20 5 CFBDRN CN(Cc1cccnc1)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611699893 351725485 /nfs/dbraw/zinc/72/54/85/351725485.db2.gz INPBCLNSRBAVJT-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN CO[C@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000611931210 351751702 /nfs/dbraw/zinc/75/17/02/351751702.db2.gz JUSLFRNBGRXPER-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN COc1ccc(NC(=O)CCC(C)(F)F)cc1[N+](=O)[O-] ZINC000611775370 351739088 /nfs/dbraw/zinc/73/90/88/351739088.db2.gz VAFJHFCRVXHTCA-UHFFFAOYSA-N 0 0 288.250 2.977 20 5 CFBDRN CN(Cc1cn[nH]c1)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000611791851 351741040 /nfs/dbraw/zinc/74/10/40/351741040.db2.gz ZBIPIBBEECQXSQ-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN C[C@H]1COCCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000611792494 351741272 /nfs/dbraw/zinc/74/12/72/351741272.db2.gz OTSJRVIGLDIPNE-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1cn2c(n1)CN([C@H](C)c1ccccc1[N+](=O)[O-])CC2 ZINC000611802210 351741893 /nfs/dbraw/zinc/74/18/93/351741893.db2.gz RNDVJVKAOGZCGV-GFCCVEGCSA-N 0 0 286.335 2.677 20 5 CFBDRN CCC[C@H](C)NC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000611828641 351744028 /nfs/dbraw/zinc/74/40/28/351744028.db2.gz DAISXVJDWJPBMH-VIFPVBQESA-N 0 0 281.312 2.914 20 5 CFBDRN COC(=O)[C@@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(C)(C)C ZINC000611564239 351709189 /nfs/dbraw/zinc/70/91/89/351709189.db2.gz GJNBKJWYIYODEM-CYBMUJFWSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1cc(N2CCC[C@@H](OC(F)F)C2)ncc1[N+](=O)[O-] ZINC000611583601 351711975 /nfs/dbraw/zinc/71/19/75/351711975.db2.gz LQLBWYYKQXZVSJ-SECBINFHSA-N 0 0 287.266 2.506 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@H](OC(F)F)C1 ZINC000611584028 351712365 /nfs/dbraw/zinc/71/23/65/351712365.db2.gz SBJOFAYDDIPKLM-ZETCQYMHSA-N 0 0 278.280 2.864 20 5 CFBDRN Cc1nc(N2CCC[C@H](OC(F)F)C2)ccc1[N+](=O)[O-] ZINC000611584184 351712399 /nfs/dbraw/zinc/71/23/99/351712399.db2.gz XAZMTTKBXIALPA-VIFPVBQESA-N 0 0 287.266 2.506 20 5 CFBDRN Cc1ccnc(N2CCC[C@H](OC(F)F)C2)c1[N+](=O)[O-] ZINC000611583654 351712648 /nfs/dbraw/zinc/71/26/48/351712648.db2.gz NKBDSWXGNPNYQS-VIFPVBQESA-N 0 0 287.266 2.506 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616395 351714485 /nfs/dbraw/zinc/71/44/85/351714485.db2.gz KDWBLNMRYFYALT-YGRLFVJLSA-N 0 0 281.356 2.816 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H](O)C2CCCCC2)n1 ZINC000611615920 351714611 /nfs/dbraw/zinc/71/46/11/351714611.db2.gz HMDXSRDLTYYMTA-ZDUSSCGKSA-N 0 0 293.367 2.960 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)C[C@@H]2CCCCO2)n1 ZINC000611616575 351714616 /nfs/dbraw/zinc/71/46/16/351714616.db2.gz CLVAESQAQRILNX-LBPRGKRZSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCCC[C@@H]2O)n1 ZINC000611616790 351715321 /nfs/dbraw/zinc/71/53/21/351715321.db2.gz HJNAHZYJJVBYPJ-RYUDHWBXSA-N 0 0 279.340 2.570 20 5 CFBDRN CCC[C@@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])CCO1 ZINC000611617432 351715551 /nfs/dbraw/zinc/71/55/51/351715551.db2.gz SQVVUYQYTIQXCX-GFCCVEGCSA-N 0 0 279.340 2.612 20 5 CFBDRN CC1(NC(=O)c2cc([N+](=O)[O-])c[nH]2)CCC(F)(F)CC1 ZINC000612034584 351763675 /nfs/dbraw/zinc/76/36/75/351763675.db2.gz LWKSDSFZZKIXTI-UHFFFAOYSA-N 0 0 287.266 2.621 20 5 CFBDRN CCc1nn(C)c(N2C[C@H](C)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000612099891 351774262 /nfs/dbraw/zinc/77/42/62/351774262.db2.gz QZZHLRDWFTYKSX-SNVBAGLBSA-N 0 0 280.372 2.763 20 5 CFBDRN COc1ccc2c(c1)CC[C@H](Nc1ccc([N+](=O)[O-])nc1)C2 ZINC000612098169 351774378 /nfs/dbraw/zinc/77/43/78/351774378.db2.gz HAMOMJSMWAZFSQ-ZDUSSCGKSA-N 0 0 299.330 2.968 20 5 CFBDRN Cc1nnc(CNc2sccc2[N+](=O)[O-])n1C1CC1 ZINC000612449191 351822132 /nfs/dbraw/zinc/82/21/32/351822132.db2.gz ITILQHQFSNNTEB-UHFFFAOYSA-N 0 0 279.325 2.503 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000121819695 186998576 /nfs/dbraw/zinc/99/85/76/186998576.db2.gz LDRUFFZCVQQTBV-JTQLQIEISA-N 0 0 262.309 2.775 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000612462462 351823727 /nfs/dbraw/zinc/82/37/27/351823727.db2.gz NLRZAOJLEJPJFZ-NXEZZACHSA-N 0 0 294.326 3.000 20 5 CFBDRN Cc1cc(C(=O)NCc2ccccc2F)cc([N+](=O)[O-])c1 ZINC000121853528 187000736 /nfs/dbraw/zinc/00/07/36/187000736.db2.gz SEZAGJSYLFBRIB-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C(C)C)C1CCC1 ZINC000121860086 187001255 /nfs/dbraw/zinc/00/12/55/187001255.db2.gz RXSKRSODXPGHKX-UHFFFAOYSA-N 0 0 277.324 2.701 20 5 CFBDRN C[C@H](c1ccncc1)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000122061159 187012783 /nfs/dbraw/zinc/01/27/83/187012783.db2.gz MGHFMLCHWOUQON-LLVKDONJSA-N 0 0 285.303 2.823 20 5 CFBDRN CCN(CCc1ccc([N+](=O)[O-])cc1)CC(F)F ZINC000612534693 351833151 /nfs/dbraw/zinc/83/31/51/351833151.db2.gz JYZIUWADJIUQCI-UHFFFAOYSA-N 0 0 258.268 2.724 20 5 CFBDRN Cc1c(CC(=O)N(C)CC2CCC2)cccc1[N+](=O)[O-] ZINC000122326526 187027082 /nfs/dbraw/zinc/02/70/82/187027082.db2.gz KGQDCJAKTPSUDO-UHFFFAOYSA-N 0 0 276.336 2.704 20 5 CFBDRN Cc1ccsc1CN(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000612572198 351837009 /nfs/dbraw/zinc/83/70/09/351837009.db2.gz RXVPHOXBLKEJDD-UHFFFAOYSA-N 0 0 293.348 2.575 20 5 CFBDRN CC[C@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000291736066 198075369 /nfs/dbraw/zinc/07/53/69/198075369.db2.gz ISPDUDCDQAMSHH-QMMMGPOBSA-N 0 0 267.260 2.750 20 5 CFBDRN CC1(C)C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000121713328 186991081 /nfs/dbraw/zinc/99/10/81/186991081.db2.gz XSMRAFZSRSIEEN-ZDUSSCGKSA-N 0 0 274.320 2.526 20 5 CFBDRN CCOc1cccc(N2CCC(OC)CC2)c1[N+](=O)[O-] ZINC000612398567 351814697 /nfs/dbraw/zinc/81/46/97/351814697.db2.gz DVHZLPGWYWMSMD-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H]1C ZINC000123101120 187070873 /nfs/dbraw/zinc/07/08/73/187070873.db2.gz LLHKVBODLLNTNH-VIFPVBQESA-N 0 0 279.296 2.620 20 5 CFBDRN O=C(NOCCC(F)(F)F)Nc1cccc([N+](=O)[O-])c1 ZINC000122438195 187032475 /nfs/dbraw/zinc/03/24/75/187032475.db2.gz SBEVQYCLYRALMT-UHFFFAOYSA-N 0 0 293.201 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CC(F)(F)C2)c2nonc21 ZINC000291879457 198093566 /nfs/dbraw/zinc/09/35/66/198093566.db2.gz OFKFWVLKKXBXHZ-UHFFFAOYSA-N 0 0 284.222 2.588 20 5 CFBDRN C[C@@H](O)CCOc1ccc([N+](=O)[O-])c2ccccc12 ZINC000122842104 187054868 /nfs/dbraw/zinc/05/48/68/187054868.db2.gz VTROROMAMCEHCN-SNVBAGLBSA-N 0 0 261.277 2.898 20 5 CFBDRN Cc1cc(C2CC2)nc(NC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000291757312 198082384 /nfs/dbraw/zinc/08/23/84/198082384.db2.gz ZBOIDTBXJIIQAT-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCOCC3(CCC3)C2)c1 ZINC000612665590 351848970 /nfs/dbraw/zinc/84/89/70/351848970.db2.gz FOSNMUHYLVDATP-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000123195378 187076666 /nfs/dbraw/zinc/07/66/66/187076666.db2.gz ALDVYAPTNXYSDX-RYUDHWBXSA-N 0 0 291.351 2.715 20 5 CFBDRN COCC(C)(C)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000291759207 198082991 /nfs/dbraw/zinc/08/29/91/198082991.db2.gz GLSCDSWFVKONSG-UHFFFAOYSA-N 0 0 286.303 2.827 20 5 CFBDRN Cc1ccnc(NCc2nn(C)c3ccccc23)c1[N+](=O)[O-] ZINC000612666598 351849691 /nfs/dbraw/zinc/84/96/91/351849691.db2.gz CIHWWJHFHSPYFY-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CCc1nn(C)c(N2CC[C@H](C)C(C)(C)C2)c1[N+](=O)[O-] ZINC000612666761 351849759 /nfs/dbraw/zinc/84/97/59/351849759.db2.gz DWKOKNLXAABOFZ-JTQLQIEISA-N 0 0 280.372 2.763 20 5 CFBDRN C[C@@H]1CCN(c2ccc3ncc([N+](=O)[O-])n3n2)CC1(C)C ZINC000612666822 351849785 /nfs/dbraw/zinc/84/97/85/351849785.db2.gz PPEMVQFNTXMHPT-SNVBAGLBSA-N 0 0 289.339 2.510 20 5 CFBDRN Cc1cccc(OCC(=O)NC2CCCCC2)c1[N+](=O)[O-] ZINC000124024259 187118633 /nfs/dbraw/zinc/11/86/33/187118633.db2.gz WVCLZALLFJUEIT-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H]1CC[C@H](C(F)(F)F)CN1c1ncc([N+](=O)[O-])cn1 ZINC000612794896 351862232 /nfs/dbraw/zinc/86/22/32/351862232.db2.gz GNVCZZOFNGTDOD-SFYZADRCSA-N 0 0 290.245 2.552 20 5 CFBDRN O=C(COc1c(F)cccc1[N+](=O)[O-])C1CCCC1 ZINC000124293456 187130866 /nfs/dbraw/zinc/13/08/66/187130866.db2.gz OZCPTPYRFMZNOX-UHFFFAOYSA-N 0 0 267.256 2.872 20 5 CFBDRN CCC(=CC(=O)NCCc1ccc([N+](=O)[O-])cc1)CC ZINC000123804406 187109400 /nfs/dbraw/zinc/10/94/00/187109400.db2.gz KPBKRWSKMYIEAV-UHFFFAOYSA-N 0 0 276.336 3.000 20 5 CFBDRN CCc1ccc(C(=O)NOC/C=C/Cl)cc1[N+](=O)[O-] ZINC000291971721 198120702 /nfs/dbraw/zinc/12/07/02/198120702.db2.gz YJKYSHYGRJALLQ-ZZXKWVIFSA-N 0 0 284.699 2.571 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCSC ZINC000613171066 351908734 /nfs/dbraw/zinc/90/87/34/351908734.db2.gz IUWGCKQSLPOTEG-GFCCVEGCSA-N 0 0 296.392 2.643 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCSC ZINC000613171067 351908824 /nfs/dbraw/zinc/90/88/24/351908824.db2.gz IUWGCKQSLPOTEG-LBPRGKRZSA-N 0 0 296.392 2.643 20 5 CFBDRN Cc1cc(NC(=O)N2CCCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000613779231 352006858 /nfs/dbraw/zinc/00/68/58/352006858.db2.gz DRTZSPWIULDGKT-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@@H]21 ZINC000614466973 352097074 /nfs/dbraw/zinc/09/70/74/352097074.db2.gz VNIAPZNYBKBGEB-JSGCOSHPSA-N 0 0 289.335 2.679 20 5 CFBDRN C[C@@H](C1CC1)n1cc(Nc2cccnc2[N+](=O)[O-])cn1 ZINC000614523198 352100790 /nfs/dbraw/zinc/10/07/90/352100790.db2.gz NORKFQYKIQBWOW-VIFPVBQESA-N 0 0 273.296 2.901 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000614528804 352101320 /nfs/dbraw/zinc/10/13/20/352101320.db2.gz ABQKNAJRKDDBJQ-GFCCVEGCSA-N 0 0 270.354 2.645 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000614528903 352101503 /nfs/dbraw/zinc/10/15/03/352101503.db2.gz PAFXZDXLOJIESZ-GFCCVEGCSA-N 0 0 270.354 2.645 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@H]21 ZINC000614644819 352108609 /nfs/dbraw/zinc/10/86/09/352108609.db2.gz ROBCNGAYXGRQRB-DZGCQCFKSA-N 0 0 288.347 2.928 20 5 CFBDRN CSCCNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000615180337 352163334 /nfs/dbraw/zinc/16/33/34/352163334.db2.gz PLTYCVZOAKOGCL-UHFFFAOYSA-N 0 0 282.365 2.811 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCc1cn2c(n1)CCCC2 ZINC000615424724 352192347 /nfs/dbraw/zinc/19/23/47/352192347.db2.gz YRGHZUURJHZXDM-UHFFFAOYSA-N 0 0 292.364 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cn3c(n2)CCCC3)s1 ZINC000615424694 352192471 /nfs/dbraw/zinc/19/24/71/352192471.db2.gz IGKOAEICWVOQRE-UHFFFAOYSA-N 0 0 292.364 2.844 20 5 CFBDRN Cc1cccc(N2CCC[C@H](n3ccnc3)C2)c1[N+](=O)[O-] ZINC000614976879 352138241 /nfs/dbraw/zinc/13/82/41/352138241.db2.gz RAVOYHURHRQFRU-ZDUSSCGKSA-N 0 0 286.335 2.941 20 5 CFBDRN Cc1cccc(N2CCO[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000614976642 352138471 /nfs/dbraw/zinc/13/84/71/352138471.db2.gz YYNMZLGCJPRONZ-ZDUSSCGKSA-N 0 0 264.325 2.764 20 5 CFBDRN CC(C)[C@H](CNc1cccnc1[N+](=O)[O-])c1ccnn1C ZINC000615944040 352265372 /nfs/dbraw/zinc/26/53/72/352265372.db2.gz IRKCBWIYYXCNJT-NSHDSACASA-N 0 0 289.339 2.575 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000133004442 187659842 /nfs/dbraw/zinc/65/98/42/187659842.db2.gz WBUSKRUYZDERBM-JSGCOSHPSA-N 0 0 292.335 2.591 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000292048977 198144796 /nfs/dbraw/zinc/14/47/96/198144796.db2.gz SIDXDMDXBGKDOU-SECBINFHSA-N 0 0 282.344 2.531 20 5 CFBDRN CCn1ccc(CN(C)C(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000616482823 352357894 /nfs/dbraw/zinc/35/78/94/352357894.db2.gz MKEHSQAAAYCCGT-UHFFFAOYSA-N 0 0 287.319 2.688 20 5 CFBDRN COCC1(COc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000292054345 198147385 /nfs/dbraw/zinc/14/73/85/198147385.db2.gz ZJCSMISJOKCBRO-UHFFFAOYSA-N 0 0 251.282 2.790 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000292056570 198148107 /nfs/dbraw/zinc/14/81/07/198148107.db2.gz GKSGCSLVJLDLDS-VIFPVBQESA-N 0 0 266.297 2.611 20 5 CFBDRN CSC[C@H]1CCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000292066797 198150661 /nfs/dbraw/zinc/15/06/61/198150661.db2.gz CFVRAZNPIRPCCJ-NSHDSACASA-N 0 0 294.376 2.810 20 5 CFBDRN CCOc1cc(CS[C@@H](C)CCO)ccc1[N+](=O)[O-] ZINC000617192961 352509222 /nfs/dbraw/zinc/50/92/22/352509222.db2.gz ZZGCABNFIPDBHN-JTQLQIEISA-N 0 0 285.365 2.998 20 5 CFBDRN C[C@H](C(=O)N(C)c1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000617837646 352656001 /nfs/dbraw/zinc/65/60/01/352656001.db2.gz QNAXNZBMXVWULW-NSHDSACASA-N 0 0 285.303 2.756 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000617690089 352629487 /nfs/dbraw/zinc/62/94/87/352629487.db2.gz PLWJQLKYPVXSMT-VXGBXAGGSA-N 0 0 299.330 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@H](CO)CC2)cc1 ZINC000271620288 191244816 /nfs/dbraw/zinc/24/48/16/191244816.db2.gz LZRLUQCKOGLNDH-XYPYZODXSA-N 0 0 250.298 2.558 20 5 CFBDRN CCOc1ccc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)nc1 ZINC000618145732 352723308 /nfs/dbraw/zinc/72/33/08/352723308.db2.gz ZGKQOJQRACBAEI-UHFFFAOYSA-N 0 0 288.307 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(CNc4ccccc43)C2)nc1 ZINC000618166211 352729294 /nfs/dbraw/zinc/72/92/94/352729294.db2.gz CRZYQIFWVPEUSO-MRXNPFEDSA-N 0 0 296.330 2.563 20 5 CFBDRN C[C@@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H]1F ZINC000618585911 352822555 /nfs/dbraw/zinc/82/25/55/352822555.db2.gz YDINBNGUUWLCEV-PSASIEDQSA-N 0 0 278.287 2.655 20 5 CFBDRN CCOCCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273499686 192177138 /nfs/dbraw/zinc/17/71/38/192177138.db2.gz VBGOQTNIDAMOOD-UHFFFAOYSA-N 0 0 299.302 2.672 20 5 CFBDRN CCCOC(=O)[C@@H](C)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000292191494 198196793 /nfs/dbraw/zinc/19/67/93/198196793.db2.gz RLPGDYBMJCLLPF-LLVKDONJSA-N 0 0 294.351 2.761 20 5 CFBDRN Cn1ccc(CSc2ccc([N+](=O)[O-])cc2F)cc1=O ZINC000618964495 352921251 /nfs/dbraw/zinc/92/12/51/352921251.db2.gz TWRLBLKHRJSFJR-UHFFFAOYSA-N 0 0 294.307 2.725 20 5 CFBDRN C[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000148465123 187918178 /nfs/dbraw/zinc/91/81/78/187918178.db2.gz IXAYIHWCEMYZLU-OIBJUYFYSA-N 0 0 271.704 2.623 20 5 CFBDRN CC(C)CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149089449 187920358 /nfs/dbraw/zinc/92/03/58/187920358.db2.gz MASXQMGRGPABOV-UHFFFAOYSA-N 0 0 256.689 2.634 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@]1(C)CCCO1 ZINC000149708917 187922622 /nfs/dbraw/zinc/92/26/22/187922622.db2.gz IPTSVGYAWLNYNY-ZDUSSCGKSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC(C)(F)C1 ZINC000619327923 352991531 /nfs/dbraw/zinc/99/15/31/352991531.db2.gz AVLLMLAVCNMHPU-UHFFFAOYSA-N 0 0 281.287 2.787 20 5 CFBDRN CCCN(CC(C)(C)O)c1ccc([N+](=O)[O-])cc1 ZINC000271652096 191261247 /nfs/dbraw/zinc/26/12/47/191261247.db2.gz SEDOGLQABMMJLS-UHFFFAOYSA-N 0 0 252.314 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO[C@@H]2CCCCO2)c(F)c1 ZINC000292218908 198206399 /nfs/dbraw/zinc/20/63/99/198206399.db2.gz DTCOKKWZLHQBQX-CYBMUJFWSA-N 0 0 284.287 2.689 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000619147704 352966578 /nfs/dbraw/zinc/96/65/78/352966578.db2.gz SOMYMQJSDHKKEA-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN CC1(F)CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000619420075 353019547 /nfs/dbraw/zinc/01/95/47/353019547.db2.gz VCRRMWFQNAMLNN-UHFFFAOYSA-N 0 0 278.239 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2c[nH]cn2)cc1OC(F)F ZINC000619569984 353036809 /nfs/dbraw/zinc/03/68/09/353036809.db2.gz AKPYUUKSUQIIMI-UHFFFAOYSA-N 0 0 270.195 2.663 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2C[C@@H]2c2cccnc2)cc1[N+](=O)[O-] ZINC000619567294 353036816 /nfs/dbraw/zinc/03/68/16/353036816.db2.gz OZDCARBBFACTFR-KGLIPLIRSA-N 0 0 297.314 2.584 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCO[C@H](C(C)C)C1 ZINC000619487915 353029212 /nfs/dbraw/zinc/02/92/12/353029212.db2.gz FATHNRSFKKPIOL-RYUDHWBXSA-N 0 0 296.371 2.735 20 5 CFBDRN C[C@H]1C[C@H](C)N1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000619500813 353029658 /nfs/dbraw/zinc/02/96/58/353029658.db2.gz YRUJXMXWGWHPHE-IUCAKERBSA-N 0 0 258.281 2.525 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1c1cccnc1[N+](=O)[O-] ZINC000619500928 353029764 /nfs/dbraw/zinc/02/97/64/353029764.db2.gz UFFLUTCQEXMNJD-HTQZYQBOSA-N 0 0 275.230 2.767 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2C[C@@H]2c2cccnc2)c1[N+](=O)[O-] ZINC000619567335 353036934 /nfs/dbraw/zinc/03/69/34/353036934.db2.gz UCLITNWJESRPNH-KGLIPLIRSA-N 0 0 297.314 2.584 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CCC(F)F)n2)c1 ZINC000619508118 353030119 /nfs/dbraw/zinc/03/01/19/353030119.db2.gz SQXSOUNGVQQQFJ-UHFFFAOYSA-N 0 0 269.207 2.843 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H](C)C2CCC2)ccc1[N+](=O)[O-] ZINC000619530296 353033224 /nfs/dbraw/zinc/03/32/24/353033224.db2.gz FYTRZRDACMRWDO-LLVKDONJSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1nnsc1CNc1c(C)cccc1[N+](=O)[O-] ZINC000292231283 198210940 /nfs/dbraw/zinc/21/09/40/198210940.db2.gz IWZWMZYEUHBKGA-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC[C@H]1CN(c2sccc2[N+](=O)[O-])CCCO1 ZINC000619590000 353038465 /nfs/dbraw/zinc/03/84/65/353038465.db2.gz NCYPASGBESCHST-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])s2)CC(C)(C)C1 ZINC000619697695 353052383 /nfs/dbraw/zinc/05/23/83/353052383.db2.gz UPWKUIPBDUDDNB-QMMMGPOBSA-N 0 0 255.343 2.924 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@@H](n2cccn2)C1 ZINC000292267571 198224261 /nfs/dbraw/zinc/22/42/61/198224261.db2.gz INGSQEZTRRUZEW-LLVKDONJSA-N 0 0 292.726 2.896 20 5 CFBDRN Cc1nc(C)c(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000271661002 191264304 /nfs/dbraw/zinc/26/43/04/191264304.db2.gz ABVFNKPLLMYXPC-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN CCCC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000152075005 187994462 /nfs/dbraw/zinc/99/44/62/187994462.db2.gz LOPJSOZFBZQYNR-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1ncc(C)o1 ZINC000152275187 188006227 /nfs/dbraw/zinc/00/62/27/188006227.db2.gz SZMXMEHMLXQBBY-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000152326066 188009465 /nfs/dbraw/zinc/00/94/65/188009465.db2.gz RQEHIJVMQHVEQP-LLVKDONJSA-N 0 0 279.340 2.829 20 5 CFBDRN Cc1nccc(N(Cc2cccc([N+](=O)[O-])c2)C2CC2)n1 ZINC000152474045 188021587 /nfs/dbraw/zinc/02/15/87/188021587.db2.gz SCXIFLMNHRUIMJ-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CC[C@@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000152840790 188047104 /nfs/dbraw/zinc/04/71/04/188047104.db2.gz HXYLRWRMARLTOA-MRVPVSSYSA-N 0 0 266.326 2.540 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000292336793 198250334 /nfs/dbraw/zinc/25/03/34/198250334.db2.gz BEIIITGVUDGCED-LLVKDONJSA-N 0 0 282.315 2.905 20 5 CFBDRN COc1ccc(SCCn2cc([N+](=O)[O-])cn2)cc1 ZINC000153726357 188099673 /nfs/dbraw/zinc/09/96/73/188099673.db2.gz CHNSGZYHJOYXKB-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN CCC(CC)(CNc1ncc([N+](=O)[O-])cc1C)OC ZINC000292367714 198260949 /nfs/dbraw/zinc/26/09/49/198260949.db2.gz RTKXVWOJXJWMCZ-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CCCS1 ZINC000153962422 188114002 /nfs/dbraw/zinc/11/40/02/188114002.db2.gz SHEQSLLRCMSCJO-CYBMUJFWSA-N 0 0 280.349 2.610 20 5 CFBDRN Cc1cccc(SCCn2cc([N+](=O)[O-])cn2)c1 ZINC000153818297 188105636 /nfs/dbraw/zinc/10/56/36/188105636.db2.gz GBFGVTZQXYXEQV-UHFFFAOYSA-N 0 0 263.322 2.892 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000154490112 188145458 /nfs/dbraw/zinc/14/54/58/188145458.db2.gz PVGBWHUNUPKQPR-TXEJJXNPSA-N 0 0 274.320 2.775 20 5 CFBDRN CC[C@H](C)OCC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000154181982 188127893 /nfs/dbraw/zinc/12/78/93/188127893.db2.gz XZUOJKZHARHELY-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN CC(C)N(Cc1ccnn1C)Cc1ccc([N+](=O)[O-])cc1 ZINC000154465286 188143863 /nfs/dbraw/zinc/14/38/63/188143863.db2.gz KKTGYMPSOBWBIF-UHFFFAOYSA-N 0 0 288.351 2.739 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccc([N+](=O)[O-])cc1C)OC ZINC000292424030 198283754 /nfs/dbraw/zinc/28/37/54/198283754.db2.gz VMUCXIIXIZXAIA-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000155533730 188213092 /nfs/dbraw/zinc/21/30/92/188213092.db2.gz GTRZZFZLPQXAES-JQWIXIFHSA-N 0 0 262.309 2.903 20 5 CFBDRN CCC(CC)(CNc1cc(C)c([N+](=O)[O-])cn1)OC ZINC000292452899 198293957 /nfs/dbraw/zinc/29/39/57/198293957.db2.gz ZFPDTXWGAOBHTH-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)Cc1ccccn1 ZINC000295170582 199385380 /nfs/dbraw/zinc/38/53/80/199385380.db2.gz OIWFVJYLFFKYTP-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1C1CCCCC1)c1ccc([N+](=O)[O-])o1 ZINC000155942835 188236186 /nfs/dbraw/zinc/23/61/86/188236186.db2.gz SZTZUFCTUNYCAL-MNOVXSKESA-N 0 0 278.308 2.886 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000156385390 188258773 /nfs/dbraw/zinc/25/87/73/188258773.db2.gz CWEGPGPUXOAYBZ-WCQYABFASA-N 0 0 276.336 2.832 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])o1)Cc1cccs1 ZINC000156360281 188257727 /nfs/dbraw/zinc/25/77/27/188257727.db2.gz ZQCMZJAWCFITBM-VIFPVBQESA-N 0 0 294.332 2.858 20 5 CFBDRN CO[C@](C)(C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000292515202 198316863 /nfs/dbraw/zinc/31/68/63/198316863.db2.gz GQHKNWODFRHCBJ-BONVTDFDSA-N 0 0 292.335 2.587 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@]1(C)CCCS1 ZINC000155764611 188226394 /nfs/dbraw/zinc/22/63/94/188226394.db2.gz WVZRACIVLBPEQR-LBPRGKRZSA-N 0 0 284.385 2.817 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000157290371 188307844 /nfs/dbraw/zinc/30/78/44/188307844.db2.gz JABTVICZCNLGHX-MRVPVSSYSA-N 0 0 286.378 2.624 20 5 CFBDRN Cc1noc(CCCNc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000292542728 198326447 /nfs/dbraw/zinc/32/64/47/198326447.db2.gz IKJBRKUXXLUOAC-UHFFFAOYSA-N 0 0 294.286 2.778 20 5 CFBDRN Cc1nn(C)c(NCCC2=CCCC2)c1[N+](=O)[O-] ZINC000157448598 188318272 /nfs/dbraw/zinc/31/82/72/188318272.db2.gz DSTYOKFVLVCMFI-UHFFFAOYSA-N 0 0 250.302 2.549 20 5 CFBDRN CS[C@H](C)CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000157482273 188319962 /nfs/dbraw/zinc/31/99/62/188319962.db2.gz BORHQFUMBUSVQQ-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000157565961 188325299 /nfs/dbraw/zinc/32/52/99/188325299.db2.gz TYVHCJUOFRIFRY-GFCCVEGCSA-N 0 0 294.376 2.871 20 5 CFBDRN CSC[C@](C)(O)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292614557 198351393 /nfs/dbraw/zinc/35/13/93/198351393.db2.gz NBXWCAHBCKSJOS-GFCCVEGCSA-N 0 0 288.344 2.568 20 5 CFBDRN Cc1cc(S[C@H](C)[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292632127 198357778 /nfs/dbraw/zinc/35/77/78/198357778.db2.gz ODGZTFRBRGKWNZ-JGVFFNPUSA-N 0 0 259.302 2.904 20 5 CFBDRN CC[C@](C)(O)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292653857 198363377 /nfs/dbraw/zinc/36/33/77/198363377.db2.gz PNCKDQYQGBYQDW-LBPRGKRZSA-N 0 0 256.277 2.615 20 5 CFBDRN CCC(CC)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158367309 188372610 /nfs/dbraw/zinc/37/26/10/188372610.db2.gz WPCKHTQKLGXXLW-UHFFFAOYSA-N 0 0 250.298 2.994 20 5 CFBDRN COC[C@H](Nc1cc(C)c([N+](=O)[O-])cc1F)C1CC1 ZINC000292662260 198367051 /nfs/dbraw/zinc/36/70/51/198367051.db2.gz PYPGPCPDYAYMEM-LBPRGKRZSA-N 0 0 268.288 2.879 20 5 CFBDRN Cc1cc(NCCCO)c([N+](=O)[O-])cc1C(F)(F)F ZINC000292666802 198368485 /nfs/dbraw/zinc/36/84/85/198368485.db2.gz DHARIYYRMGQRNW-UHFFFAOYSA-N 0 0 278.230 2.716 20 5 CFBDRN CON(CC1CCOCC1)c1cc(C)c([N+](=O)[O-])cc1F ZINC000292702023 198381487 /nfs/dbraw/zinc/38/14/87/198381487.db2.gz SCRJHOOGMKNFOF-UHFFFAOYSA-N 0 0 298.314 2.837 20 5 CFBDRN CCCCCOCC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158574227 188384047 /nfs/dbraw/zinc/38/40/47/188384047.db2.gz WMFLWFGAXCEHIN-UHFFFAOYSA-N 0 0 280.324 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@@H](CO)c3ccccc32)cc1 ZINC000292713012 198385414 /nfs/dbraw/zinc/38/54/14/198385414.db2.gz ONDSKKVLDYMTGJ-ZDUSSCGKSA-N 0 0 284.315 2.691 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000292723315 198389788 /nfs/dbraw/zinc/38/97/88/198389788.db2.gz IFYMPSVQIMDNHG-CHWSQXEVSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1c(CC(=O)N[C@H](C)c2ccccn2)cccc1[N+](=O)[O-] ZINC000159510160 188429275 /nfs/dbraw/zinc/42/92/75/188429275.db2.gz AGSWGKZAHNKHFE-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN CCOC(C)(C)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000159545456 188431499 /nfs/dbraw/zinc/43/14/99/188431499.db2.gz AIDZFVGWNJUFJL-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN CCc1noc(C)c1CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000292824217 198423530 /nfs/dbraw/zinc/42/35/30/198423530.db2.gz PFFPTVNMGOUGTL-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000160141436 188457320 /nfs/dbraw/zinc/45/73/20/188457320.db2.gz KSIMKSBTBZIZJC-LBPRGKRZSA-N 0 0 279.292 2.686 20 5 CFBDRN CO[C@H](CNc1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000292830797 198426063 /nfs/dbraw/zinc/42/60/63/198426063.db2.gz PRMTXZANVRREDC-CYBMUJFWSA-N 0 0 250.298 2.740 20 5 CFBDRN C[C@@H]1CN(CC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)[C@@H]1C ZINC000292861513 198436368 /nfs/dbraw/zinc/43/63/68/198436368.db2.gz AIFZCHAVYSYMBV-RKDXNWHRSA-N 0 0 297.742 2.527 20 5 CFBDRN CC(C)(C)OCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000308529658 260387847 /nfs/dbraw/zinc/38/78/47/260387847.db2.gz NFNFSTKFFWYCCP-UHFFFAOYSA-N 0 0 284.341 2.531 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000295214244 199405101 /nfs/dbraw/zinc/40/51/01/199405101.db2.gz AVDWHGLWUYKEMS-ZDUSSCGKSA-N 0 0 266.272 2.559 20 5 CFBDRN CC(C)CNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293043283 198506942 /nfs/dbraw/zinc/50/69/42/198506942.db2.gz WGQJMLAWGGKQON-UHFFFAOYSA-N 0 0 279.340 2.785 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000293150798 198541215 /nfs/dbraw/zinc/54/12/15/198541215.db2.gz SKHMIVRHSDNPQM-KBPBESRZSA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(NCC1CC1)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000293160099 198544299 /nfs/dbraw/zinc/54/42/99/198544299.db2.gz UMFXAXQEEJBEEP-UHFFFAOYSA-N 0 0 288.225 2.753 20 5 CFBDRN C[C@H](Nc1ccc2cc([N+](=O)[O-])ccc2n1)[C@H]1CCOC1 ZINC000166383522 188636986 /nfs/dbraw/zinc/63/69/86/188636986.db2.gz NVWOGSLOPUIJHT-JQWIXIFHSA-N 0 0 287.319 2.980 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000462635263 535264698 /nfs/dbraw/zinc/26/46/98/535264698.db2.gz CFBUFNNCSZGJES-GFCCVEGCSA-N 0 0 278.352 2.936 20 5 CFBDRN CSCCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271750438 191305724 /nfs/dbraw/zinc/30/57/24/191305724.db2.gz KOIGWRFVHBCOKC-VIFPVBQESA-N 0 0 268.338 2.525 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000271750383 191305753 /nfs/dbraw/zinc/30/57/53/191305753.db2.gz KMXMJOQAMXTSOA-SKDRFNHKSA-N 0 0 280.349 2.668 20 5 CFBDRN CC(C)[C@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000171915287 188767354 /nfs/dbraw/zinc/76/73/54/188767354.db2.gz IFZUSWOSKJNDPH-JTQLQIEISA-N 0 0 250.298 2.850 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])O1 ZINC000271778160 191321454 /nfs/dbraw/zinc/32/14/54/191321454.db2.gz OTOOYNKDWNNFBN-JTNHKYCSSA-N 0 0 292.335 2.730 20 5 CFBDRN C[C@@H]1CSCCN1C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000172528457 188809990 /nfs/dbraw/zinc/80/99/90/188809990.db2.gz FKTLNLMCLZSQKA-XUIVZRPNSA-N 0 0 292.360 2.572 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000172655045 188819423 /nfs/dbraw/zinc/81/94/23/188819423.db2.gz BMHDMRIPAZGBHD-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc(CN(C)C(=O)Cc2cccc([N+](=O)[O-])c2)o1 ZINC000172943088 188835089 /nfs/dbraw/zinc/83/50/89/188835089.db2.gz XNFXYPDVFKTXMP-UHFFFAOYSA-N 0 0 288.303 2.697 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCO[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000271799683 191333216 /nfs/dbraw/zinc/33/32/16/191333216.db2.gz ABCPJKSMGRLRKS-NTZNESFSSA-N 0 0 292.335 2.587 20 5 CFBDRN CC[C@H](C)OCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271789233 191327536 /nfs/dbraw/zinc/32/75/36/191327536.db2.gz QGGPQNYQZQTOBC-QWRGUYRKSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1ccc(CC(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000271791105 191329395 /nfs/dbraw/zinc/32/93/95/191329395.db2.gz KCXUCZDUFIUUOX-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN C[C@@H](NC(=O)C(C)(C)F)c1ccccc1[N+](=O)[O-] ZINC000271834185 191356258 /nfs/dbraw/zinc/35/62/58/191356258.db2.gz SRKRLRVRZFRXPY-MRVPVSSYSA-N 0 0 254.261 2.520 20 5 CFBDRN COCCS[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000173736601 188865876 /nfs/dbraw/zinc/86/58/76/188865876.db2.gz SXNDDGKTQJXELC-SNVBAGLBSA-N 0 0 298.364 2.610 20 5 CFBDRN C[C@H](NC(=O)C=C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000271830906 191353791 /nfs/dbraw/zinc/35/37/91/191353791.db2.gz RRTUVHMSZWGEJX-JTQLQIEISA-N 0 0 260.293 2.882 20 5 CFBDRN CSC[C@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271849545 191366812 /nfs/dbraw/zinc/36/68/12/191366812.db2.gz WCLVGLCFQUDIBY-VHSXEESVSA-N 0 0 282.365 2.771 20 5 CFBDRN CCc1ccc(C(=O)N2CCC(CF)CC2)cc1[N+](=O)[O-] ZINC000295733747 199640456 /nfs/dbraw/zinc/64/04/56/199640456.db2.gz LUWSYQGUGAZLHQ-UHFFFAOYSA-N 0 0 294.326 2.979 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC=C(F)C1 ZINC000272257707 191613802 /nfs/dbraw/zinc/61/38/02/191613802.db2.gz PVIZTERFIGOIGD-UHFFFAOYSA-N 0 0 298.701 2.876 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000272340796 191665605 /nfs/dbraw/zinc/66/56/05/191665605.db2.gz OYMOIPRHVQTCIC-CMPLNLGQSA-N 0 0 263.293 2.869 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCS[C@@H]1CCCOC1 ZINC000272524202 191767772 /nfs/dbraw/zinc/76/77/72/191767772.db2.gz XZQFTLHUTXBTPT-LLVKDONJSA-N 0 0 283.349 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CCCOC1 ZINC000272678961 191860799 /nfs/dbraw/zinc/86/07/99/191860799.db2.gz YIYYNDPXLIGGFH-AWEZNQCLSA-N 0 0 278.308 2.658 20 5 CFBDRN CO[C@@H](C)COc1ccc(Br)cc1[N+](=O)[O-] ZINC000272766851 191906563 /nfs/dbraw/zinc/90/65/63/191906563.db2.gz RYQCAJBZNBAOPU-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN Cc1cc(COC(=O)[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000272750131 191896743 /nfs/dbraw/zinc/89/67/43/191896743.db2.gz OAMYVBNRVKRCQZ-GFCCVEGCSA-N 0 0 281.333 2.842 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000272810408 191926597 /nfs/dbraw/zinc/92/65/97/191926597.db2.gz JCSFFDJIBZKCDA-NSHDSACASA-N 0 0 279.296 2.523 20 5 CFBDRN CN(C(=O)[C@@H]1CCCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000272970222 192002618 /nfs/dbraw/zinc/00/26/18/192002618.db2.gz OBKSBTYPAVDFHQ-LBPRGKRZSA-N 0 0 280.349 2.843 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000273518253 192183893 /nfs/dbraw/zinc/18/38/93/192183893.db2.gz YEGFHZSDIADTIS-RKDXNWHRSA-N 0 0 281.287 2.854 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000174915972 188923554 /nfs/dbraw/zinc/92/35/54/188923554.db2.gz RGTKLNOZWXAPHY-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN C[C@H]1C[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000273556557 192200944 /nfs/dbraw/zinc/20/09/44/192200944.db2.gz MSQAPVQOFMPKIE-UWVGGRQHSA-N 0 0 298.364 2.591 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000175002257 188928489 /nfs/dbraw/zinc/92/84/89/188928489.db2.gz IGYKPEMXFGCGOA-ZDUSSCGKSA-N 0 0 276.336 2.832 20 5 CFBDRN C[C@H]1C[C@@H](CCNC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000273583119 192215682 /nfs/dbraw/zinc/21/56/82/192215682.db2.gz PMVBLSZXUHTKPW-UWVGGRQHSA-N 0 0 298.364 2.591 20 5 CFBDRN Cc1ccc(O[C@H](C)CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000273603643 192227288 /nfs/dbraw/zinc/22/72/88/192227288.db2.gz JYDWYHTYCYIGME-LLVKDONJSA-N 0 0 288.307 2.573 20 5 CFBDRN Cc1ccc([C@@H](C)CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000273626280 192236057 /nfs/dbraw/zinc/23/60/57/192236057.db2.gz PNFHHYMLLGAGFX-NSHDSACASA-N 0 0 272.308 2.909 20 5 CFBDRN C[C@H]1[C@H](Cc2ccccc2)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000273646399 192243951 /nfs/dbraw/zinc/24/39/51/192243951.db2.gz TVOHLZSGRQFZQV-JSGCOSHPSA-N 0 0 298.346 2.842 20 5 CFBDRN CC(=O)[C@@H](C)S[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000175199183 188939351 /nfs/dbraw/zinc/93/93/51/188939351.db2.gz BINLACYDRFZZSB-ZJUUUORDSA-N 0 0 296.348 2.633 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000175263009 188942607 /nfs/dbraw/zinc/94/26/07/188942607.db2.gz VITZTVVKTLQYSV-NEPJUHHUSA-N 0 0 291.351 2.925 20 5 CFBDRN CCN(CC(C)(C)O)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000175302748 188944152 /nfs/dbraw/zinc/94/41/52/188944152.db2.gz MWZHYYZHVIZGGR-UHFFFAOYSA-N 0 0 295.339 2.528 20 5 CFBDRN Cc1cc(-c2nc([C@@H]3CCCO3)no2)cc([N+](=O)[O-])c1 ZINC000273774566 192301045 /nfs/dbraw/zinc/30/10/45/192301045.db2.gz BXTLGDOQCKCVAT-NSHDSACASA-N 0 0 275.264 2.805 20 5 CFBDRN Cc1cccnc1CCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000295982828 199743014 /nfs/dbraw/zinc/74/30/14/199743014.db2.gz JQOMSTIMYHVCSL-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000567998761 290513966 /nfs/dbraw/zinc/51/39/66/290513966.db2.gz ZQECOCDIBJCHGC-MRVPVSSYSA-N 0 0 290.316 2.664 20 5 CFBDRN CC[C@H](C)C[C@H](Nc1ncc([N+](=O)[O-])cc1F)C(=O)OC ZINC000568387900 290550986 /nfs/dbraw/zinc/55/09/86/290550986.db2.gz VMPKYXMOIZHSNL-KWQFWETISA-N 0 0 299.302 2.519 20 5 CFBDRN CC(C)[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)CCCO1 ZINC000294211282 198953737 /nfs/dbraw/zinc/95/37/37/198953737.db2.gz CQTKVBUPJGAOEG-SNVBAGLBSA-N 0 0 298.364 2.543 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CO)CC2)ccc1[N+](=O)[O-] ZINC000294311918 198993706 /nfs/dbraw/zinc/99/37/06/198993706.db2.gz YXKHMUDCGQXEOA-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1ccc(F)c(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294359002 199011387 /nfs/dbraw/zinc/01/13/87/199011387.db2.gz JNNJLQSLOMDUDO-UHFFFAOYSA-N 0 0 268.288 2.908 20 5 CFBDRN CS[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000294443555 199041375 /nfs/dbraw/zinc/04/13/75/199041375.db2.gz RCKWEFWJIOQBIC-LLVKDONJSA-N 0 0 270.329 2.671 20 5 CFBDRN CCC1(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)CCCC1 ZINC000294410071 199029522 /nfs/dbraw/zinc/02/95/22/199029522.db2.gz MTJJXFKXYJPMKQ-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN C[C@@H](Cc1ccccc1F)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294522569 199071756 /nfs/dbraw/zinc/07/17/56/199071756.db2.gz LQCCMHTWWUYWJW-NSHDSACASA-N 0 0 296.342 2.708 20 5 CFBDRN C[C@H](Cc1ccccc1F)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294522566 199071438 /nfs/dbraw/zinc/07/14/38/199071438.db2.gz LQCCMHTWWUYWJW-LLVKDONJSA-N 0 0 296.342 2.708 20 5 CFBDRN CC(C)(CCC(=O)Oc1ccccc1F)[N+](=O)[O-] ZINC000294546842 199080954 /nfs/dbraw/zinc/08/09/54/199080954.db2.gz VOECFYCNZDIVBO-UHFFFAOYSA-N 0 0 255.245 2.567 20 5 CFBDRN CCC(CC)(CNC(=O)c1cc(F)ccc1[N+](=O)[O-])OC ZINC000294617586 199109053 /nfs/dbraw/zinc/10/90/53/199109053.db2.gz KHPHPWVVGLFXIT-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN Cc1cnccc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000294624670 199112367 /nfs/dbraw/zinc/11/23/67/199112367.db2.gz ZEKRXZIVOXIEFW-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN CN(C)c1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000294626727 199113548 /nfs/dbraw/zinc/11/35/48/199113548.db2.gz ZDMIORSJACNHIT-UHFFFAOYSA-N 0 0 279.340 2.527 20 5 CFBDRN CC[C@H](C)NC(=O)CNc1c(C)ccc([N+](=O)[O-])c1C ZINC000175959344 188974194 /nfs/dbraw/zinc/97/41/94/188974194.db2.gz PNAUEQFHYLKNAG-JTQLQIEISA-N 0 0 279.340 2.538 20 5 CFBDRN COc1ccc(OCCC2CCOCC2)cc1[N+](=O)[O-] ZINC000419002401 236424187 /nfs/dbraw/zinc/42/41/87/236424187.db2.gz XCGQVNQDKVIHBL-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN Cc1ccccc1CCN(C)c1ncc([N+](=O)[O-])c(C)n1 ZINC000294843959 199209801 /nfs/dbraw/zinc/20/98/01/199209801.db2.gz AGMBKYAKWQEQHO-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN C[C@H](CCCO)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000294866282 199220875 /nfs/dbraw/zinc/22/08/75/199220875.db2.gz CRTZLPWZYBPNGA-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CCc1nnc(CNc2cc(Cl)ccc2[N+](=O)[O-])[nH]1 ZINC000294885814 199231190 /nfs/dbraw/zinc/23/11/90/199231190.db2.gz GLPBQADFGORCGB-UHFFFAOYSA-N 0 0 281.703 2.541 20 5 CFBDRN COC[C@]1(C)CCN(c2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000294887232 199232865 /nfs/dbraw/zinc/23/28/65/199232865.db2.gz FVCXVDWFBTZVPO-CQSZACIVSA-N 0 0 298.314 2.605 20 5 CFBDRN CS[C@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000294931563 199256158 /nfs/dbraw/zinc/25/61/58/199256158.db2.gz HKOLDORIMHLEFJ-JTQLQIEISA-N 0 0 252.339 2.845 20 5 CFBDRN CC[C@@H](COCC(F)(F)F)Nc1ncccc1[N+](=O)[O-] ZINC000294940392 199261709 /nfs/dbraw/zinc/26/17/09/199261709.db2.gz LEGJVNXHICRPNU-QMMMGPOBSA-N 0 0 293.245 2.759 20 5 CFBDRN COC1([C@@H](C)Nc2ccccc2[N+](=O)[O-])CCOCC1 ZINC000420638491 236666036 /nfs/dbraw/zinc/66/60/36/236666036.db2.gz JWUZSJSJYDSLBF-LLVKDONJSA-N 0 0 280.324 2.591 20 5 CFBDRN Cn1cc(Cl)c(CN2CCc3c2cccc3[N+](=O)[O-])n1 ZINC000420942402 236711154 /nfs/dbraw/zinc/71/11/54/236711154.db2.gz UZGTXJPXCBFMEV-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN CNC(=O)[C@@H]1CCC[C@@H]1Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000432329980 283407211 /nfs/dbraw/zinc/40/72/11/283407211.db2.gz WDCPVJXOHNZOCW-SCZZXKLOSA-N 0 0 297.742 2.575 20 5 CFBDRN CN(C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000421524830 236832714 /nfs/dbraw/zinc/83/27/14/236832714.db2.gz LXQSNUUPYUTFEH-IJLUTSLNSA-N 0 0 274.320 2.994 20 5 CFBDRN CCOCCCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000296469256 199903252 /nfs/dbraw/zinc/90/32/52/199903252.db2.gz JVMAJGDCBZRZQV-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN C[C@@H]1C[C@H](Sc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000421830741 236942794 /nfs/dbraw/zinc/94/27/94/236942794.db2.gz CPTDFLSIQDXBOX-PSASIEDQSA-N 0 0 254.311 2.649 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@@H]1CF)c1ccccc1[N+](=O)[O-] ZINC000296647112 199957116 /nfs/dbraw/zinc/95/71/16/199957116.db2.gz MTZXKLMJFVPTNY-GHMZBOCLSA-N 0 0 295.314 2.799 20 5 CFBDRN O=C([O-])c1cccc(C[NH2+]Cc2ccc([N+](=O)[O-])cc2)c1 ZINC000213491448 295991012 /nfs/dbraw/zinc/99/10/12/295991012.db2.gz KDMHLPJVYBQWFO-UHFFFAOYSA-N 0 0 286.287 2.583 20 5 CFBDRN COc1ccc(CNC(=O)OC2CCCC2)cc1[N+](=O)[O-] ZINC000296835873 200010494 /nfs/dbraw/zinc/01/04/94/200010494.db2.gz WUXMDXAAEORPBZ-UHFFFAOYSA-N 0 0 294.307 2.772 20 5 CFBDRN CC/C=C/CNC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000296898144 200028680 /nfs/dbraw/zinc/02/86/80/200028680.db2.gz LPKLZVSJMIDNRS-SNAWJCMRSA-N 0 0 292.335 2.755 20 5 CFBDRN CCCCOC(=O)[C@@H](C)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296870315 200021547 /nfs/dbraw/zinc/02/15/47/200021547.db2.gz ZNVYOQBFDLWDAE-NWDGAFQWSA-N 0 0 294.351 2.977 20 5 CFBDRN COc1ccc(F)cc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000296943719 200041987 /nfs/dbraw/zinc/04/19/87/200041987.db2.gz BUCXOIYMHNRIBR-UHFFFAOYSA-N 0 0 284.287 2.608 20 5 CFBDRN CS[C@H]1CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000296972574 200050505 /nfs/dbraw/zinc/05/05/05/200050505.db2.gz QOYAJWVACPLDHU-LVDDQXARSA-N 0 0 292.360 2.572 20 5 CFBDRN CC(C)CN(C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000297011456 200061809 /nfs/dbraw/zinc/06/18/09/200061809.db2.gz KCRUSUCXPQSGOG-NSHDSACASA-N 0 0 279.340 2.953 20 5 CFBDRN CC[C@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000176298791 188988597 /nfs/dbraw/zinc/98/85/97/188988597.db2.gz GTMQPRBVUDDXLE-NSHDSACASA-N 0 0 277.324 2.536 20 5 CFBDRN Cc1cc(Cc2noc([C@@H]3CC3(F)F)n2)ccc1[N+](=O)[O-] ZINC000297066776 200076693 /nfs/dbraw/zinc/07/66/93/200076693.db2.gz WDXYPOFCSGDAQK-VIFPVBQESA-N 0 0 295.245 3.000 20 5 CFBDRN COc1cc(C)ccc1CNc1ncc([N+](=O)[O-])c(C)n1 ZINC000297384531 200171598 /nfs/dbraw/zinc/17/15/98/200171598.db2.gz DRYYLEDXSNPCNX-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CNC(=O)c1ccc(N2CCCC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000112695687 283475255 /nfs/dbraw/zinc/47/52/55/283475255.db2.gz ZLWIRNZFYQGHCY-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN Cc1nc(NC[C@H]2CCCO[C@@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000297431233 200187614 /nfs/dbraw/zinc/18/76/14/200187614.db2.gz HYMPEWQGUKWLOJ-DGCLKSJQSA-N 0 0 294.355 2.556 20 5 CFBDRN CCC[C@@H](OC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000297485474 200207719 /nfs/dbraw/zinc/20/77/19/200207719.db2.gz LZPHJEUFMNXMPL-ZWNOBZJWSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1ncc([N+](=O)[O-])c(C)n1 ZINC000297551906 200225592 /nfs/dbraw/zinc/22/55/92/200225592.db2.gz QWMPXXBZIRJVBS-ZETCQYMHSA-N 0 0 291.311 2.514 20 5 CFBDRN C[C@H](NC(=O)N1C[C@@H](C)[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000297560639 200228716 /nfs/dbraw/zinc/22/87/16/200228716.db2.gz KKYOMMZGXHPJKT-OUAUKWLOSA-N 0 0 277.324 2.706 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000297706871 200265646 /nfs/dbraw/zinc/26/56/46/200265646.db2.gz FVSQOYWAPVOOGX-GXFFZTMASA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1nc(NC(=O)[C@H](C)C(C)(F)F)ccc1[N+](=O)[O-] ZINC000297874657 200296619 /nfs/dbraw/zinc/29/66/19/200296619.db2.gz WUDJEXRDVCBCQZ-LURJTMIESA-N 0 0 273.239 2.528 20 5 CFBDRN CCc1cnccc1-c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000297941179 200305989 /nfs/dbraw/zinc/30/59/89/200305989.db2.gz YCHHEZCAOWWEGV-UHFFFAOYSA-N 0 0 290.323 2.682 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC1CC(F)(F)C1 ZINC000298217720 200363083 /nfs/dbraw/zinc/36/30/83/200363083.db2.gz FKOWZRNNCYDHDB-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN CC(C)CCCC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000298225606 200365707 /nfs/dbraw/zinc/36/57/07/200365707.db2.gz AMEMHSZWSNLGDP-UHFFFAOYSA-N 0 0 265.313 2.779 20 5 CFBDRN CCN(C(=O)COc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000298318696 200393958 /nfs/dbraw/zinc/39/39/58/200393958.db2.gz GHZWKHWHMBXEQH-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN Cc1c(NC(=O)CC[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000176548539 188999707 /nfs/dbraw/zinc/99/97/07/188999707.db2.gz HCYXBJZGPZIQAK-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN CC(C)CN(C(=O)CNc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000176821350 189010402 /nfs/dbraw/zinc/01/04/02/189010402.db2.gz LHKXZQOJZJVFSA-UHFFFAOYSA-N 0 0 291.351 2.654 20 5 CFBDRN CCCN(CC)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000298341263 200399766 /nfs/dbraw/zinc/39/97/66/200399766.db2.gz PBWWQIVZKOXKMA-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000298416649 200422817 /nfs/dbraw/zinc/42/28/17/200422817.db2.gz RYIHIPGDAGNIAG-QMMMGPOBSA-N 0 0 256.327 2.773 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)c([N+](=O)[O-])n2)[C@@H]2CCCC[C@@H]21 ZINC000596189870 540704813 /nfs/dbraw/zinc/70/48/13/540704813.db2.gz MMPZECSVNZZYRR-CKYFFXLPSA-N 0 0 276.340 2.587 20 5 CFBDRN CCOCCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177834847 189073262 /nfs/dbraw/zinc/07/32/62/189073262.db2.gz MSAYMHACNDYHDV-NSHDSACASA-N 0 0 280.324 2.507 20 5 CFBDRN Cc1cnc([C@H](C)NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000177865484 189074958 /nfs/dbraw/zinc/07/49/58/189074958.db2.gz QWHJMKFEYPLJDO-ZETCQYMHSA-N 0 0 297.361 2.912 20 5 CFBDRN CCO[C@@H](C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177861201 189074986 /nfs/dbraw/zinc/07/49/86/189074986.db2.gz RTPZEPHLGPLIGD-MNOVXSKESA-N 0 0 280.324 2.505 20 5 CFBDRN CO[C@H]1CCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000178013649 189085961 /nfs/dbraw/zinc/08/59/61/189085961.db2.gz WLPQUDIURGYUKY-NSHDSACASA-N 0 0 284.743 2.859 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000178132763 189092613 /nfs/dbraw/zinc/09/26/13/189092613.db2.gz SKIKDKAYNDEXAK-SECBINFHSA-N 0 0 286.378 2.624 20 5 CFBDRN CCC1(CNC(=O)c2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000178152362 189093628 /nfs/dbraw/zinc/09/36/28/189093628.db2.gz BQYGCUJRAWRNHZ-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CCC1(CNC(=O)c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000178174449 189095433 /nfs/dbraw/zinc/09/54/33/189095433.db2.gz VDNZTSDXXCYGRP-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1nccn1CCCCNc1nc(C)ccc1[N+](=O)[O-] ZINC000178236727 189099732 /nfs/dbraw/zinc/09/97/32/189099732.db2.gz NMIMQFLFBYIJMA-UHFFFAOYSA-N 0 0 289.339 2.695 20 5 CFBDRN CCCCc1nc(COc2ncc(C)cc2[N+](=O)[O-])no1 ZINC000178280358 189102097 /nfs/dbraw/zinc/10/20/97/189102097.db2.gz CIFZVKXHXGONPZ-UHFFFAOYSA-N 0 0 292.295 2.603 20 5 CFBDRN O=C1CCC[C@H]1CCSc1ncccc1[N+](=O)[O-] ZINC000178506212 189122239 /nfs/dbraw/zinc/12/22/39/189122239.db2.gz PAUQWNYFCDCTQC-VIFPVBQESA-N 0 0 266.322 2.841 20 5 CFBDRN CN(C)C(=O)CCCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000299111971 200612444 /nfs/dbraw/zinc/61/24/44/200612444.db2.gz AHYRAJRIRAYZHV-UHFFFAOYSA-N 0 0 285.731 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCCc1ccccn1 ZINC000178619170 189131459 /nfs/dbraw/zinc/13/14/59/189131459.db2.gz SYTJLCICJHHZHJ-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)(F)F ZINC000299191072 200635384 /nfs/dbraw/zinc/63/53/84/200635384.db2.gz RYQQPQNCFDPKNM-LURJTMIESA-N 0 0 276.214 2.964 20 5 CFBDRN CCCN(CC)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000299484706 200745191 /nfs/dbraw/zinc/74/51/91/200745191.db2.gz ZVAKEZDYPYJLDS-UHFFFAOYSA-N 0 0 295.339 2.545 20 5 CFBDRN CN(Cc1cncs1)Cc1ccccc1[N+](=O)[O-] ZINC000179315189 189185234 /nfs/dbraw/zinc/18/52/34/189185234.db2.gz BHOSVSVIMNJHNV-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN CC(C)n1cccc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000179563627 189202426 /nfs/dbraw/zinc/20/24/26/189202426.db2.gz YFQYMSBJIQZIQN-UHFFFAOYSA-N 0 0 287.319 2.907 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000205178453 540927354 /nfs/dbraw/zinc/92/73/54/540927354.db2.gz KFLJJVUORYJQDW-SNVBAGLBSA-N 0 0 250.298 2.822 20 5 CFBDRN CCOCCN(C)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000180037324 189233691 /nfs/dbraw/zinc/23/36/91/189233691.db2.gz SSTPUEYCMGPIPQ-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)CC[N@@H+]1C ZINC000230911233 540995756 /nfs/dbraw/zinc/99/57/56/540995756.db2.gz DYHJQBOSHGCJSQ-IUCAKERBSA-N 0 0 284.747 2.538 20 5 CFBDRN CCCOC(=O)[C@@H](C)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180416748 189254056 /nfs/dbraw/zinc/25/40/56/189254056.db2.gz HYKHHEJDMZLYIC-GHMZBOCLSA-N 0 0 280.324 2.587 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1C ZINC000301124770 200920880 /nfs/dbraw/zinc/92/08/80/200920880.db2.gz VCWNRABIHZOJCE-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NC1CCCCCC1 ZINC000180589002 189263964 /nfs/dbraw/zinc/26/39/64/189263964.db2.gz MBWVEABEXHMXSW-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@@H]1CCCCO1 ZINC000301182232 200932776 /nfs/dbraw/zinc/93/27/76/200932776.db2.gz NLYZXHUYZSJENH-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCCn3cccn3)ccc2c1 ZINC000301149159 200926066 /nfs/dbraw/zinc/92/60/66/200926066.db2.gz NPXDOKYBEITLAI-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN Cc1cc(Oc2ncc([N+](=O)[O-])c(N)n2)ccc1Cl ZINC000301145341 200927252 /nfs/dbraw/zinc/92/72/52/200927252.db2.gz GDRNFAXKIHKXOY-UHFFFAOYSA-N 0 0 280.671 2.721 20 5 CFBDRN CO[C@H](C)CCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000180835888 189278209 /nfs/dbraw/zinc/27/82/09/189278209.db2.gz AHCIUUFOFOMKOH-SNVBAGLBSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1ccnc(N(C)CCc2ccccc2)c1[N+](=O)[O-] ZINC000301246584 200950327 /nfs/dbraw/zinc/95/03/27/200950327.db2.gz AUXBCLKNIYEKBP-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ncc([N+](=O)[O-])cc1C ZINC000301224806 200943374 /nfs/dbraw/zinc/94/33/74/200943374.db2.gz FPKIEQRWBUUQQG-MFKMUULPSA-N 0 0 267.329 2.507 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180977706 189285417 /nfs/dbraw/zinc/28/54/17/189285417.db2.gz UGQYWMYGGDWMNB-WDEREUQCSA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1cc(COC(=O)CC[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000181291515 189293533 /nfs/dbraw/zinc/29/35/33/189293533.db2.gz RRQFBEDXLWDPBR-GFCCVEGCSA-N 0 0 293.319 2.763 20 5 CFBDRN Nc1ccc(C(=O)N[C@@H]2CC23CCCCC3)cc1[N+](=O)[O-] ZINC000181298178 189293563 /nfs/dbraw/zinc/29/35/63/189293563.db2.gz BTVCWZGKONOUHN-CYBMUJFWSA-N 0 0 289.335 2.630 20 5 CFBDRN CN(CC(=O)Nc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000301432922 201006686 /nfs/dbraw/zinc/00/66/86/201006686.db2.gz JITUFTJXNRORNL-UHFFFAOYSA-N 0 0 285.303 2.670 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)Cc1cccnc1 ZINC000301431349 201006866 /nfs/dbraw/zinc/00/68/66/201006866.db2.gz GQQUVSRGZKCURP-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1sc(C(=O)NCC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000181533921 189299496 /nfs/dbraw/zinc/29/94/96/189299496.db2.gz SWANUFQNINWAAT-SNVBAGLBSA-N 0 0 298.364 2.654 20 5 CFBDRN CC(C)OCCCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000181575514 189301708 /nfs/dbraw/zinc/30/17/08/189301708.db2.gz BQQSMHIRBLAVPN-UHFFFAOYSA-N 0 0 298.368 2.921 20 5 CFBDRN Cc1nc(CNc2ncc(C)cc2[N+](=O)[O-])sc1C ZINC000301443695 201010147 /nfs/dbraw/zinc/01/01/47/201010147.db2.gz NKKPQYRPLDKNIQ-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN Cc1ccc(N(C)Cc2cccnc2)c([N+](=O)[O-])c1 ZINC000301461757 201018301 /nfs/dbraw/zinc/01/83/01/201018301.db2.gz ZVYQYKSKDHIRMJ-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1nn(CCCOc2ccccc2)cc1[N+](=O)[O-] ZINC000181820986 189307448 /nfs/dbraw/zinc/30/74/48/189307448.db2.gz FEZFOYFJRLHCSO-UHFFFAOYSA-N 0 0 261.281 2.569 20 5 CFBDRN Cc1ccc(NC[C@@H](O)Cc2ccccc2)c([N+](=O)[O-])c1 ZINC000301444248 201009422 /nfs/dbraw/zinc/00/94/22/201009422.db2.gz ORKGLRXLMSCRPB-AWEZNQCLSA-N 0 0 286.331 2.919 20 5 CFBDRN CC1(C)CN(Cc2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000182003865 189312186 /nfs/dbraw/zinc/31/21/86/189312186.db2.gz DDTMOVGIGIABAP-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CCCCN(CCCO)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000182330162 189320576 /nfs/dbraw/zinc/32/05/76/189320576.db2.gz OXPWRSUTMDMYAI-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN CCN(c1ncc([N+](=O)[O-])cc1Br)C(C)C ZINC000301566293 201071355 /nfs/dbraw/zinc/07/13/55/201071355.db2.gz VSFQXPMENWQJRG-UHFFFAOYSA-N 0 0 288.145 2.987 20 5 CFBDRN Cc1cccc(NCCNc2ccc([N+](=O)[O-])cc2)n1 ZINC000301550501 201062477 /nfs/dbraw/zinc/06/24/77/201062477.db2.gz QMBXESDMEUYBQV-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN CCCCN(CCCO)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000182322538 189319994 /nfs/dbraw/zinc/31/99/94/189319994.db2.gz DRTPMUIUXGCRSY-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN CCOC(=O)c1cc(NCCOC(C)C)ccc1[N+](=O)[O-] ZINC000182640346 189329842 /nfs/dbraw/zinc/32/98/42/189329842.db2.gz FILMMJGHDJEIGN-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN O=C1C[C@H](CNc2ncccc2[N+](=O)[O-])c2ccccc2N1 ZINC000301597424 201090271 /nfs/dbraw/zinc/09/02/71/201090271.db2.gz SSNAGJBMVKTHSP-SNVBAGLBSA-N 0 0 298.302 2.528 20 5 CFBDRN CCOCCCCNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182763566 189334129 /nfs/dbraw/zinc/33/41/29/189334129.db2.gz HEOOXDFKXYKRNQ-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN COCCC[C@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000182527172 189326539 /nfs/dbraw/zinc/32/65/39/189326539.db2.gz KFXGCNIKOOJUQC-RYUDHWBXSA-N 0 0 294.351 2.835 20 5 CFBDRN Cc1ccc(NCCCO[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000301612606 201094620 /nfs/dbraw/zinc/09/46/20/201094620.db2.gz SMYMKERMPSKDIM-LBPRGKRZSA-N 0 0 280.324 2.511 20 5 CFBDRN COc1cc(NCCc2cscn2)c([N+](=O)[O-])cc1F ZINC000301616282 201097508 /nfs/dbraw/zinc/09/75/08/201097508.db2.gz AAIVMKPVMNMLRE-UHFFFAOYSA-N 0 0 297.311 2.854 20 5 CFBDRN Cc1nc(Oc2ccc([N+](=O)[O-])cc2)ccc1[N+](=O)[O-] ZINC000301617421 201097949 /nfs/dbraw/zinc/09/79/49/201097949.db2.gz CNDARKUWJJDDFN-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@@H](O)C2)c([N+](=O)[O-])cc1F ZINC000301650321 201117299 /nfs/dbraw/zinc/11/72/99/201117299.db2.gz RSPBOZXFFPEMIX-VHSXEESVSA-N 0 0 298.314 2.706 20 5 CFBDRN CCOC(=O)c1cc(NC2CC=CC2)ccc1[N+](=O)[O-] ZINC000301653899 201117844 /nfs/dbraw/zinc/11/78/44/201117844.db2.gz RJISTIACBMMEBP-UHFFFAOYSA-N 0 0 276.292 2.902 20 5 CFBDRN C[C@@](CO)(Nc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000301662452 201122491 /nfs/dbraw/zinc/12/24/91/201122491.db2.gz KLFQBBKUUCMFKP-LBPRGKRZSA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCC(=O)C[C@@H]1C ZINC000183155355 189348235 /nfs/dbraw/zinc/34/82/35/189348235.db2.gz JGPPZBUCRDUNEW-GXFFZTMASA-N 0 0 291.303 2.814 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCC(=O)C[C@H]1C ZINC000183155408 189348303 /nfs/dbraw/zinc/34/83/03/189348303.db2.gz JGPPZBUCRDUNEW-MFKMUULPSA-N 0 0 291.303 2.814 20 5 CFBDRN C[C@@H](CNC(=O)c1csc([N+](=O)[O-])c1)c1nccs1 ZINC000183535239 189361462 /nfs/dbraw/zinc/36/14/62/189361462.db2.gz YPKMZTKZIVXUBA-ZETCQYMHSA-N 0 0 297.361 2.646 20 5 CFBDRN CCCC(=O)OCc1ccc(N(C)C)c([N+](=O)[O-])c1 ZINC000183483397 189359634 /nfs/dbraw/zinc/35/96/34/189359634.db2.gz BKLGKENCIXTRSW-UHFFFAOYSA-N 0 0 266.297 2.504 20 5 CFBDRN COCCC(C)(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000183823127 189368962 /nfs/dbraw/zinc/36/89/62/189368962.db2.gz SNQDBIQNQUJRNQ-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN CC(C)C1(CNC(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000183622615 189364070 /nfs/dbraw/zinc/36/40/70/189364070.db2.gz XDXXERVJUHFXHF-UHFFFAOYSA-N 0 0 278.312 2.548 20 5 CFBDRN CCCN(CCC)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183639925 189364415 /nfs/dbraw/zinc/36/44/15/189364415.db2.gz NNZAUUWQGOFKOQ-UHFFFAOYSA-N 0 0 266.301 2.644 20 5 CFBDRN CC[C@@H](CSC)N(C)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183649901 189365169 /nfs/dbraw/zinc/36/51/69/189365169.db2.gz MPHRVLRJNMWGMS-VIFPVBQESA-N 0 0 298.368 2.595 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1)[C@@H](O)Cc1ccccc1 ZINC000301801445 201206260 /nfs/dbraw/zinc/20/62/60/201206260.db2.gz WNHDYTJJDFMWLI-LRDDRELGSA-N 0 0 286.331 2.999 20 5 CFBDRN CCc1sc(C(=O)Nc2c([N+](=O)[O-])cnn2C)cc1C ZINC000184409162 189385131 /nfs/dbraw/zinc/38/51/31/189385131.db2.gz WPSRCWQZNGGDPS-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000301826079 201220497 /nfs/dbraw/zinc/22/04/97/201220497.db2.gz OVPAZOIZNMYWME-LERXQTSPSA-N 0 0 274.320 2.964 20 5 CFBDRN COC(=O)C(C)(C)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000301831167 201222247 /nfs/dbraw/zinc/22/22/47/201222247.db2.gz SKYOOTROWMACEF-UHFFFAOYSA-N 0 0 266.297 2.514 20 5 CFBDRN CC(C)(C)CCNC(=O)COc1c(F)cccc1[N+](=O)[O-] ZINC000185040953 189401812 /nfs/dbraw/zinc/40/18/12/189401812.db2.gz VCFLKVFQQUPHSL-UHFFFAOYSA-N 0 0 298.314 2.665 20 5 CFBDRN Cc1nn(C[C@@H](O)c2ccc(F)cc2Cl)cc1[N+](=O)[O-] ZINC000185069251 189403138 /nfs/dbraw/zinc/40/31/38/189403138.db2.gz PMUJJFAUMYZEQJ-GFCCVEGCSA-N 0 0 299.689 2.626 20 5 CFBDRN Cc1cnc(NCc2ccc([N+](=O)[O-])cc2)nc1C ZINC000301898115 201253235 /nfs/dbraw/zinc/25/32/35/201253235.db2.gz WKSVTZRQRTVDIW-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN COc1cc(N(C)CCC2CC2)c(F)cc1[N+](=O)[O-] ZINC000301919204 201262968 /nfs/dbraw/zinc/26/29/68/201262968.db2.gz IIVVWNJYHAHVPV-UHFFFAOYSA-N 0 0 268.288 2.979 20 5 CFBDRN Cc1nsc(Oc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000301938953 201270817 /nfs/dbraw/zinc/27/08/17/201270817.db2.gz XQRXWLYQTXISDT-UHFFFAOYSA-N 0 0 251.267 2.855 20 5 CFBDRN C[C@H](CNc1sccc1[N+](=O)[O-])Cn1cccn1 ZINC000301944256 201272162 /nfs/dbraw/zinc/27/21/62/201272162.db2.gz ZBHBKFIUNZWNEH-SECBINFHSA-N 0 0 266.326 2.601 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)C1CCC1 ZINC000185451706 189414033 /nfs/dbraw/zinc/41/40/33/189414033.db2.gz IWENAONUQFLEMJ-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN CCC1(CNc2ccc3ncc([N+](=O)[O-])n3n2)CCC1 ZINC000301954556 201275772 /nfs/dbraw/zinc/27/57/72/201275772.db2.gz KENBKJNBEPJYJV-UHFFFAOYSA-N 0 0 275.312 2.630 20 5 CFBDRN C[C@H]1CCC[C@@H](Cn2ccc(=O)c([N+](=O)[O-])c2)C1 ZINC000185532828 189415980 /nfs/dbraw/zinc/41/59/80/189415980.db2.gz WCBXCTZPCQZYGA-WDEREUQCSA-N 0 0 250.298 2.583 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1ccc([N+](=O)[O-])cc1F ZINC000302010019 201297480 /nfs/dbraw/zinc/29/74/80/201297480.db2.gz AIKKQNCNXLYGIJ-YGRLFVJLSA-N 0 0 282.315 2.721 20 5 CFBDRN COCCCN(C)c1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000302023875 201304114 /nfs/dbraw/zinc/30/41/14/201304114.db2.gz PCJBKEJIYOPEGL-UHFFFAOYSA-N 0 0 289.335 2.924 20 5 CFBDRN C[C@@H](c1cnn(C)c1)N(C)c1ccccc1[N+](=O)[O-] ZINC000302069304 201329239 /nfs/dbraw/zinc/32/92/39/201329239.db2.gz VDIKAJIZQHDEAD-JTQLQIEISA-N 0 0 260.297 2.526 20 5 CFBDRN O=Cc1ccc(Oc2ncc([N+](=O)[O-])cn2)c(Cl)c1 ZINC000302140964 201362380 /nfs/dbraw/zinc/36/23/80/201362380.db2.gz DVTISTRVUFFNNI-UHFFFAOYSA-N 0 0 279.639 2.643 20 5 CFBDRN CCC(CC)c1cc(CNc2ncc([N+](=O)[O-])cn2)on1 ZINC000302134374 201357021 /nfs/dbraw/zinc/35/70/21/201357021.db2.gz ZJEAJGUKCBILJO-UHFFFAOYSA-N 0 0 291.311 2.889 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cn1)c1ccc(F)cc1F ZINC000302140215 201360851 /nfs/dbraw/zinc/36/08/51/201360851.db2.gz DLQFYSDWJPHEGU-ZETCQYMHSA-N 0 0 280.234 2.836 20 5 CFBDRN Cc1cccc2c(CCNc3ncc([N+](=O)[O-])cn3)c[nH]c21 ZINC000302165212 201376671 /nfs/dbraw/zinc/37/66/71/201376671.db2.gz QVFDIVDOQLEANX-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN CSc1ccc(Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302167528 201376825 /nfs/dbraw/zinc/37/68/25/201376825.db2.gz SDHHJTRWKCZWQD-UHFFFAOYSA-N 0 0 262.294 2.850 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc(F)cc2Cl)nc1 ZINC000302179783 201385069 /nfs/dbraw/zinc/38/50/69/201385069.db2.gz YXRYMNRBRHAHLT-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc(F)c(F)c2)cc1CO ZINC000428086110 239374311 /nfs/dbraw/zinc/37/43/11/239374311.db2.gz NEUUPGGIFSFQCT-UHFFFAOYSA-N 0 0 295.241 2.944 20 5 CFBDRN Cc1ccc(COc2ccc([N+](=O)[O-])c(CO)c2)cc1 ZINC000428089639 239377274 /nfs/dbraw/zinc/37/72/74/239377274.db2.gz JTQQGVRZGUCOLC-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN CO[C@H](C)[C@H](C)NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000188299899 189497194 /nfs/dbraw/zinc/49/71/94/189497194.db2.gz QTEQKAOXTWNJEE-NYXKHXTOSA-N 0 0 292.335 2.538 20 5 CFBDRN COC(=O)[C@H](C)SCc1ccc([N+](=O)[O-])c(F)c1 ZINC000188291895 189497238 /nfs/dbraw/zinc/49/72/38/189497238.db2.gz FLLKFRLWMBTIHY-ZETCQYMHSA-N 0 0 273.285 2.529 20 5 CFBDRN CO[C@H](C)[C@H](C)NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000188299846 189497466 /nfs/dbraw/zinc/49/74/66/189497466.db2.gz NYEDXMFYWKYXEO-NWDGAFQWSA-N 0 0 294.351 2.673 20 5 CFBDRN C[C@@H]1CC[C@@H](COc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000188533495 189505479 /nfs/dbraw/zinc/50/54/79/189505479.db2.gz LULFUUJMKAUSQQ-SCZZXKLOSA-N 0 0 255.245 2.680 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCC[C@H]1CCCO1 ZINC000188975159 189519346 /nfs/dbraw/zinc/51/93/46/189519346.db2.gz ILYFXBCNJLKNBC-SECBINFHSA-N 0 0 254.311 2.651 20 5 CFBDRN COc1cc(C(=O)NC2(C)CCC2)cc([N+](=O)[O-])c1C ZINC000189760325 189545378 /nfs/dbraw/zinc/54/53/78/189545378.db2.gz FTWAVRBJJKFVJO-UHFFFAOYSA-N 0 0 278.308 2.584 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000429245462 239594831 /nfs/dbraw/zinc/59/48/31/239594831.db2.gz KFWQTUZZFGKWBB-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1nc([C@@H](C)OC(=O)c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000191290856 189590348 /nfs/dbraw/zinc/59/03/48/189590348.db2.gz ZREYFHZQKNMYRW-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1cnc(COC(=O)C2CC=CC2)c(C)c1[N+](=O)[O-] ZINC000191402491 189594587 /nfs/dbraw/zinc/59/45/87/189594587.db2.gz VLHYIWZAUDGSBY-UHFFFAOYSA-N 0 0 276.292 2.616 20 5 CFBDRN CCOC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000191460462 189597070 /nfs/dbraw/zinc/59/70/70/189597070.db2.gz XJSUZLKPQZQXCB-CYBMUJFWSA-N 0 0 295.339 2.777 20 5 CFBDRN Cc1c(NC(=O)CCc2cncs2)cccc1[N+](=O)[O-] ZINC000432060713 239960251 /nfs/dbraw/zinc/96/02/51/239960251.db2.gz GMKDVJQBJMCTGO-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN CCNC(=O)c1ccc(NCc2cccc([N+](=O)[O-])c2)cc1 ZINC000192806586 189629335 /nfs/dbraw/zinc/62/93/35/189629335.db2.gz JYUIDVSTFJYBQD-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN Cc1ccn(CC(=O)C2CCCCCC2)c(=O)c1[N+](=O)[O-] ZINC000432535257 240017980 /nfs/dbraw/zinc/01/79/80/240017980.db2.gz JWFPTBOWEIUZGS-UHFFFAOYSA-N 0 0 292.335 2.604 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2nccn3ccnc23)cs1 ZINC000432664576 240028295 /nfs/dbraw/zinc/02/82/95/240028295.db2.gz ARFZZRGSUCPMDH-UHFFFAOYSA-N 0 0 292.345 2.991 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1(CO)CC2(CCC2)C1 ZINC000432827764 240053624 /nfs/dbraw/zinc/05/36/24/240053624.db2.gz GPNIGFNJHUJRES-UHFFFAOYSA-N 0 0 291.351 2.653 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCC1CC(OC(C)(C)C)C1 ZINC000432875811 240059765 /nfs/dbraw/zinc/05/97/65/240059765.db2.gz XWJAXTKKEOSSGV-UHFFFAOYSA-N 0 0 296.371 2.724 20 5 CFBDRN C/C=C/C[C@H](NCc1csc([N+](=O)[O-])c1)C(=O)OCC ZINC000432913796 240066229 /nfs/dbraw/zinc/06/62/29/240066229.db2.gz GLWNGRNFSBJKNB-TZNOJPMFSA-N 0 0 298.364 2.644 20 5 CFBDRN CCC[C@H](CNc1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC000432925316 240068427 /nfs/dbraw/zinc/06/84/27/240068427.db2.gz BUZOQSGWUVUZIZ-LLVKDONJSA-N 0 0 280.324 2.986 20 5 CFBDRN CCCCNc1ccc([N+](=O)[O-])c(C(=O)OCC)c1 ZINC000433707732 240167570 /nfs/dbraw/zinc/16/75/70/240167570.db2.gz OECDSHNEBSHSDB-UHFFFAOYSA-N 0 0 266.297 2.984 20 5 CFBDRN CCCN(C(=O)[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000194122259 189654961 /nfs/dbraw/zinc/65/49/61/189654961.db2.gz YDGQFFWFUBXEBG-CQSZACIVSA-N 0 0 292.335 2.907 20 5 CFBDRN C[C@@H]1C[C@@H]1c1cc(NC(=O)c2csc([N+](=O)[O-])c2)n[nH]1 ZINC000194545885 189663023 /nfs/dbraw/zinc/66/30/23/189663023.db2.gz HUZVIVVXCSIUTA-SVRRBLITSA-N 0 0 292.320 2.755 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@@H](O)C(F)F ZINC000435597206 240328122 /nfs/dbraw/zinc/32/81/22/240328122.db2.gz IKFIJAGAVMYJBG-MRVPVSSYSA-N 0 0 280.658 2.595 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC000435953010 240373019 /nfs/dbraw/zinc/37/30/19/240373019.db2.gz KOJXTYKWYSCKCK-ZDUSSCGKSA-N 0 0 291.282 2.929 20 5 CFBDRN COc1cccc(C(=O)NCCC=C(C)C)c1[N+](=O)[O-] ZINC000436016039 240380303 /nfs/dbraw/zinc/38/03/03/240380303.db2.gz XVTWXGSZKYFLFX-UHFFFAOYSA-N 0 0 278.308 2.690 20 5 CFBDRN Cc1cc[nH]c1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000436082598 240388877 /nfs/dbraw/zinc/38/88/77/240388877.db2.gz NKBFWBXCZSCCPQ-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN COc1ccc(CNc2ccc(F)cc2[N+](=O)[O-])nc1 ZINC000436445996 240449175 /nfs/dbraw/zinc/44/91/75/240449175.db2.gz GMYRUXAQUVARKX-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCc2nnc(C3CC3)o2)c(F)c1 ZINC000275365015 193011732 /nfs/dbraw/zinc/01/17/32/193011732.db2.gz MGGAEDNKMKGIAX-UHFFFAOYSA-N 0 0 296.233 2.746 20 5 CFBDRN Cc1cccc(N[C@H]2CCC[C@@H]2CCO)c1[N+](=O)[O-] ZINC000275423165 193030614 /nfs/dbraw/zinc/03/06/14/193030614.db2.gz HQMSLWLLPIQLJL-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN CCOC[C@@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000275400228 193021419 /nfs/dbraw/zinc/02/14/19/193021419.db2.gz DPIGUAMASWVMSZ-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000437851795 240600974 /nfs/dbraw/zinc/60/09/74/240600974.db2.gz JNXWGXHRJKADDB-ZYHUDNBSSA-N 0 0 277.324 2.501 20 5 CFBDRN CNC(=O)C1CCC(Nc2cccc(C)c2[N+](=O)[O-])CC1 ZINC000275495811 193059229 /nfs/dbraw/zinc/05/92/29/193059229.db2.gz RTHJLLKEORYQFU-UHFFFAOYSA-N 0 0 291.351 2.620 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2cccc(C)c2[N+](=O)[O-])[C@@H]1OC ZINC000275526841 193067472 /nfs/dbraw/zinc/06/74/72/193067472.db2.gz XNMFPHARUYXWOC-SCRDCRAPSA-N 0 0 280.324 2.508 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@H](C)[C@@H](C)C1 ZINC000275632048 193091030 /nfs/dbraw/zinc/09/10/30/193091030.db2.gz LMURJOWKOJJEIO-UWVGGRQHSA-N 0 0 293.323 2.723 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CCSC1 ZINC000275593072 193080729 /nfs/dbraw/zinc/08/07/29/193080729.db2.gz FPTBNOKFSYEOIK-SECBINFHSA-N 0 0 281.337 2.530 20 5 CFBDRN CC[C@H](F)COc1ccc([N+](=O)[O-])c(C(=O)OC)c1 ZINC000440587103 240858862 /nfs/dbraw/zinc/85/88/62/240858862.db2.gz YLLFHTAGFPPJKQ-QMMMGPOBSA-N 0 0 271.244 2.508 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N(C)C1CC1 ZINC000275868714 193151803 /nfs/dbraw/zinc/15/18/03/193151803.db2.gz OEAIGORETZXAKD-UHFFFAOYSA-N 0 0 268.700 2.791 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)[C@@H](C)C1 ZINC000441448370 240939286 /nfs/dbraw/zinc/93/92/86/240939286.db2.gz MXOGDWWGUXVWHR-WCBMZHEXSA-N 0 0 298.364 2.604 20 5 CFBDRN Cn1c2cc(NCc3ccc([N+](=O)[O-])cc3)ccc2[nH]c1=O ZINC000442963566 241025051 /nfs/dbraw/zinc/02/50/51/241025051.db2.gz MDQMBSNPRLKCPK-UHFFFAOYSA-N 0 0 298.302 2.799 20 5 CFBDRN C[C@H](CC(=O)OC(C)(C)C)Nc1ncc([N+](=O)[O-])s1 ZINC000443508235 241067145 /nfs/dbraw/zinc/06/71/45/241067145.db2.gz IOQQQXVVAHXCJR-SSDOTTSWSA-N 0 0 287.341 2.584 20 5 CFBDRN Cc1nc(COc2cccnc2[N+](=O)[O-])c(C)s1 ZINC000443525791 241072042 /nfs/dbraw/zinc/07/20/42/241072042.db2.gz ARCHIKMNGPMNTK-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC12CCC2 ZINC000444025038 241110922 /nfs/dbraw/zinc/11/09/22/241110922.db2.gz OGFDAUBIFNOBKL-UHFFFAOYSA-N 0 0 291.307 2.764 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSCCC2(O)CC2)c1 ZINC000443158762 241043806 /nfs/dbraw/zinc/04/38/06/241043806.db2.gz PNQZVDWQEFOEEG-UHFFFAOYSA-N 0 0 283.349 2.622 20 5 CFBDRN Cc1cc2cc[nH]c2cc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000444449706 241141742 /nfs/dbraw/zinc/14/17/42/241141742.db2.gz QBQAVDAYNAFFEL-UHFFFAOYSA-N 0 0 284.275 2.965 20 5 CFBDRN Cc1ncoc1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000444726406 241179036 /nfs/dbraw/zinc/17/90/36/241179036.db2.gz IIOVTAMHNWBSKM-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CC(=O)N1CC[C@H](Nc2c(C)cc([N+](=O)[O-])cc2Cl)C1 ZINC000444836914 241199655 /nfs/dbraw/zinc/19/96/55/241199655.db2.gz RMAUPSAEJWIJFZ-JTQLQIEISA-N 0 0 297.742 2.589 20 5 CFBDRN O=C(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)C1CCC1 ZINC000446075121 241273372 /nfs/dbraw/zinc/27/33/72/241273372.db2.gz VZIZSEKTKPHEOR-UHFFFAOYSA-N 0 0 293.710 2.967 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2c(C)cccc2[N+](=O)[O-])n1 ZINC000446136821 241281929 /nfs/dbraw/zinc/28/19/29/241281929.db2.gz LLHHUNAZLQNXPC-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CC[C@@H](C)C1 ZINC000302521277 201470590 /nfs/dbraw/zinc/47/05/90/201470590.db2.gz ITOXXPDHPXYHPB-NXEZZACHSA-N 0 0 277.324 2.555 20 5 CFBDRN COc1cccc(CCNc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000447059102 241348705 /nfs/dbraw/zinc/34/87/05/241348705.db2.gz WTFMLPOUEUZSOP-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN C[C@@H]1C[C@@H](CSc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000447117044 241354070 /nfs/dbraw/zinc/35/40/70/241354070.db2.gz AAOUQAPAOWOZPX-ZJUUUORDSA-N 0 0 268.338 2.897 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000302598903 201486708 /nfs/dbraw/zinc/48/67/08/201486708.db2.gz YCILGOQYOPPNFL-BPLDGKMQSA-N 0 0 292.335 2.721 20 5 CFBDRN Cc1c(OCC(=O)c2nccs2)cccc1[N+](=O)[O-] ZINC000447289196 241362869 /nfs/dbraw/zinc/36/28/69/241362869.db2.gz WIEGQCVCVAXKBG-UHFFFAOYSA-N 0 0 278.289 2.621 20 5 CFBDRN COc1ncccc1COc1ccc(F)cc1[N+](=O)[O-] ZINC000447346955 241371087 /nfs/dbraw/zinc/37/10/87/241371087.db2.gz IFWHQWMZCBOGFH-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(c1ncc(F)cn1)C1CC1 ZINC000446981491 241343961 /nfs/dbraw/zinc/34/39/61/241343961.db2.gz PUACXKWUSPKQIL-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc([N+](=O)[O-])c2C)ccn1 ZINC000447602727 241392136 /nfs/dbraw/zinc/39/21/36/241392136.db2.gz VNNDBMNHDOATDL-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cn1c(C(=O)Nc2ccc3cc[nH]c3c2)ccc1[N+](=O)[O-] ZINC000447644237 241397956 /nfs/dbraw/zinc/39/79/56/241397956.db2.gz UOUWMMSCJNOTAQ-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CNC(CF)CF ZINC000449382824 241886748 /nfs/dbraw/zinc/88/67/48/241886748.db2.gz YXHYXOKEFJIVNX-YHYXMXQVSA-N 0 0 270.279 2.895 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@]3(CCOC3)C2)cs1 ZINC000449681074 242103651 /nfs/dbraw/zinc/10/36/51/242103651.db2.gz DKNWGIHUPQLRAN-ZDUSSCGKSA-N 0 0 282.365 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000263559656 383681541 /nfs/dbraw/zinc/68/15/41/383681541.db2.gz BCCIDUAKMZKQPY-NHYWBVRUSA-N 0 0 292.335 2.761 20 5 CFBDRN C[C@]1(CO)CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000132615443 291392462 /nfs/dbraw/zinc/39/24/62/291392462.db2.gz IOHXESVCQZRAGQ-ZDUSSCGKSA-N 0 0 284.743 2.847 20 5 CFBDRN COCCC1(CNc2ncc([N+](=O)[O-])cc2C)CC1 ZINC000225368382 189748515 /nfs/dbraw/zinc/74/85/15/189748515.db2.gz QXDLRHSQYANJAF-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1nc([C@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)cs1 ZINC000450325584 242355975 /nfs/dbraw/zinc/35/59/75/242355975.db2.gz UVHXGNLVFHITFB-LURJTMIESA-N 0 0 279.325 2.631 20 5 CFBDRN CCN(c1ncc([N+](=O)[O-])c(C)n1)[C@H](C)c1cccnc1 ZINC000450338406 242365388 /nfs/dbraw/zinc/36/53/88/242365388.db2.gz KKRYBBMBGXGXLQ-LLVKDONJSA-N 0 0 287.323 2.676 20 5 CFBDRN Cc1ccc([C@H](C)CNc2ncc([N+](=O)[O-])c(C)n2)cc1 ZINC000450342382 242366994 /nfs/dbraw/zinc/36/69/94/242366994.db2.gz ABHIZZSVOKRSHZ-LLVKDONJSA-N 0 0 286.335 2.639 20 5 CFBDRN CCS[C@H]1CC[C@@H](N(C)c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000450361450 242378076 /nfs/dbraw/zinc/37/80/76/242378076.db2.gz NZTZVZMYRZONJI-MNOVXSKESA-N 0 0 296.396 2.804 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000295495731 291398518 /nfs/dbraw/zinc/39/85/18/291398518.db2.gz JTDNVXJYHVAPNQ-ZDUSSCGKSA-N 0 0 266.272 2.559 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCCC1(O)CCC1 ZINC000450515209 242462179 /nfs/dbraw/zinc/46/21/79/242462179.db2.gz GWUQDAOTJACNPC-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN Cc1nc(NCC(C)(C)C2CCC2)ncc1[N+](=O)[O-] ZINC000450730116 242569457 /nfs/dbraw/zinc/56/94/57/242569457.db2.gz RXXAKMNYFHIFPA-UHFFFAOYSA-N 0 0 264.329 2.931 20 5 CFBDRN Cc1nc(N[C@@H](C(C)C)[C@@H](CO)C(C)C)ccc1[N+](=O)[O-] ZINC000450765115 242592688 /nfs/dbraw/zinc/59/26/88/242592688.db2.gz IXEHWRKRGDESPV-WFASDCNBSA-N 0 0 295.383 2.999 20 5 CFBDRN CCC1(CNc2cc(C)c([N+](=O)[O-])cc2F)COC1 ZINC000450842040 242634599 /nfs/dbraw/zinc/63/45/99/242634599.db2.gz FBEKELQGNNBEIF-UHFFFAOYSA-N 0 0 268.288 2.881 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1cnc(C2CC2)o1 ZINC000450912948 242667531 /nfs/dbraw/zinc/66/75/31/242667531.db2.gz LZPLHOMQBUMIRN-UHFFFAOYSA-N 0 0 291.311 2.597 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H](CCCO)C1 ZINC000450950231 242685116 /nfs/dbraw/zinc/68/51/16/242685116.db2.gz CGGPPEFCBKWLKZ-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN O=C1CC[C@@H](CSc2cccc([N+](=O)[O-])c2)CCN1 ZINC000450949335 242685124 /nfs/dbraw/zinc/68/51/24/242685124.db2.gz JNGDXOHSOOOSCD-SNVBAGLBSA-N 0 0 280.349 2.603 20 5 CFBDRN CC(=O)[C@H](Cc1ccccc1F)n1cc([N+](=O)[O-])c(C)n1 ZINC000450955159 242688587 /nfs/dbraw/zinc/68/85/87/242688587.db2.gz DKSKKMKIBKHVTO-ZDUSSCGKSA-N 0 0 291.282 2.612 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000302793548 201557001 /nfs/dbraw/zinc/55/70/01/201557001.db2.gz ZWRLERBSJNBTHP-NEPJUHHUSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1cc(NCCCCO)c(Cl)cc1[N+](=O)[O-] ZINC000302843930 201574213 /nfs/dbraw/zinc/57/42/13/201574213.db2.gz FJPIYCSNPXECBZ-UHFFFAOYSA-N 0 0 258.705 2.741 20 5 CFBDRN CCc1ccc(C(=O)NCCC(C)(F)F)cc1[N+](=O)[O-] ZINC000452216184 243089210 /nfs/dbraw/zinc/08/92/10/243089210.db2.gz NBLTVFUYLCJLPL-UHFFFAOYSA-N 0 0 286.278 2.932 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC2(CC2)C1 ZINC000452270579 243106428 /nfs/dbraw/zinc/10/64/28/243106428.db2.gz CKOAOJNMTQFOET-ZDUSSCGKSA-N 0 0 288.347 2.786 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC2(CC2)C1 ZINC000452270578 243106731 /nfs/dbraw/zinc/10/67/31/243106731.db2.gz CKOAOJNMTQFOET-CYBMUJFWSA-N 0 0 288.347 2.786 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C(C)(F)F ZINC000452458791 243180490 /nfs/dbraw/zinc/18/04/90/243180490.db2.gz MZTSNZQXJKYJNW-ZCFIWIBFSA-N 0 0 276.214 2.507 20 5 CFBDRN C[C@@]12CN(C(=O)c3cccc([N+](=O)[O-])c3)C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000452594377 243235073 /nfs/dbraw/zinc/23/50/73/243235073.db2.gz HNCCZTWIRWCYIY-VXAPYIKBSA-N 0 0 298.342 2.879 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NC(CF)CF ZINC000452739277 243283136 /nfs/dbraw/zinc/28/31/36/243283136.db2.gz CHFSABYBHFHZPC-UHFFFAOYSA-N 0 0 286.278 2.557 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])OC ZINC000452799766 243303183 /nfs/dbraw/zinc/30/31/83/243303183.db2.gz BOAPBCKKBCKKNO-ZWNOBZJWSA-N 0 0 294.351 2.694 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC1CC(C)(F)C1 ZINC000452707397 243270200 /nfs/dbraw/zinc/27/02/00/243270200.db2.gz XFNNPOQHOXJGOI-UHFFFAOYSA-N 0 0 296.298 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C\[C@H]3CCOC3)n2)cc1 ZINC000453023812 243368480 /nfs/dbraw/zinc/36/84/80/243368480.db2.gz PKXGITJKBUGHJA-IKHYVWBUSA-N 0 0 287.275 2.695 20 5 CFBDRN O=C(NCC1(C2CCC2)CCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000454364761 243696019 /nfs/dbraw/zinc/69/60/19/243696019.db2.gz TUHKAACBNGDGFA-UHFFFAOYSA-N 0 0 277.324 2.623 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1CC[C@@H](C)O1 ZINC000455184331 243910675 /nfs/dbraw/zinc/91/06/75/243910675.db2.gz LJEIMNLJNJPWGP-PWSUYJOCSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000330724687 203155833 /nfs/dbraw/zinc/15/58/33/203155833.db2.gz ZRYKOUZOSNDTKH-GHMZBOCLSA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1sc(C(=O)NCCC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000456723785 244267808 /nfs/dbraw/zinc/26/78/08/244267808.db2.gz HARURUWAMVPBNN-SNVBAGLBSA-N 0 0 298.364 2.511 20 5 CFBDRN Cc1cc(C(=O)NCc2cnc(C)cc2C)cc([N+](=O)[O-])c1 ZINC000456866850 244310325 /nfs/dbraw/zinc/31/03/25/244310325.db2.gz VISGSQHXJOGEHK-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN COC[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000456957250 244336619 /nfs/dbraw/zinc/33/66/19/244336619.db2.gz DOPSDGVYGVXYRL-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CN(C[C@H]1CC=CCC1)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000330817592 203272233 /nfs/dbraw/zinc/27/22/33/203272233.db2.gz MGDNMENZEQTMBV-NSHDSACASA-N 0 0 289.335 2.605 20 5 CFBDRN Cc1c(CNc2c(Cl)cccc2[N+](=O)[O-])cnn1C ZINC000226426744 189774944 /nfs/dbraw/zinc/77/49/44/189774944.db2.gz JNOIFDBYLKWCKR-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CCOc1ccc(C(=O)N2C[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000330855537 203316742 /nfs/dbraw/zinc/31/67/42/203316742.db2.gz HWZBCYJJSXDPEN-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CN(CCCCO)c1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000276176713 193233982 /nfs/dbraw/zinc/23/39/82/193233982.db2.gz DSZJUUKVFCKJID-UHFFFAOYSA-N 0 0 292.257 2.822 20 5 CFBDRN O=C(N[C@H]1CC12CCCC2)c1ccc([N+](=O)[O-])s1 ZINC000336117420 284163626 /nfs/dbraw/zinc/16/36/26/284163626.db2.gz JMFRAIRBWKCKTA-VIFPVBQESA-N 0 0 266.322 2.719 20 5 CFBDRN CC(C)(CCO)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000231156761 189812340 /nfs/dbraw/zinc/81/23/40/189812340.db2.gz NBJFJCMYFDECNP-UHFFFAOYSA-N 0 0 256.277 2.554 20 5 CFBDRN CCOc1cccc(N[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000231037657 189812419 /nfs/dbraw/zinc/81/24/19/189812419.db2.gz BFLKFKQDLQJXAX-SECBINFHSA-N 0 0 268.338 2.911 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)CC(=O)NC(C)C ZINC000276421585 193324040 /nfs/dbraw/zinc/32/40/40/193324040.db2.gz ISMJPKMJZZYEMB-UHFFFAOYSA-N 0 0 299.758 2.517 20 5 CFBDRN Cc1cc(NCc2ccccc2N(C)C)ncc1[N+](=O)[O-] ZINC000276438233 193330773 /nfs/dbraw/zinc/33/07/73/193330773.db2.gz KGKWECTVEVMAGQ-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN COC[C@@H](C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276620475 193403403 /nfs/dbraw/zinc/40/34/03/193403403.db2.gz MTVNCIHAVHRYMF-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN COCCCCCOc1ccc(F)cc1[N+](=O)[O-] ZINC000116612407 376199322 /nfs/dbraw/zinc/19/93/22/376199322.db2.gz UJIVNDDSFFDYLG-UHFFFAOYSA-N 0 0 257.261 2.929 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@@]2(C)C(C)C)c1 ZINC000458091377 244760131 /nfs/dbraw/zinc/76/01/31/244760131.db2.gz FTOUANBHDCSBJM-ZFWWWQNUSA-N 0 0 292.335 2.768 20 5 CFBDRN CC(C)=CCCNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000276729856 193443495 /nfs/dbraw/zinc/44/34/95/193443495.db2.gz VEPXNINDBNACRJ-UHFFFAOYSA-N 0 0 276.336 2.918 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000458151497 244781566 /nfs/dbraw/zinc/78/15/66/244781566.db2.gz QILVWJDQPUJOQT-ZFWWWQNUSA-N 0 0 292.335 2.524 20 5 CFBDRN CCC[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)C1CCC1 ZINC000458309360 244839878 /nfs/dbraw/zinc/83/98/78/244839878.db2.gz PUSUAVZFMICDOW-SNVBAGLBSA-N 0 0 266.297 2.886 20 5 CFBDRN C[C@H](Nc1ccc(Cl)cc1[N+](=O)[O-])c1ncnn1C ZINC000276864879 193483467 /nfs/dbraw/zinc/48/34/67/193483467.db2.gz IWWJMPFHQSOWEN-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN Cc1c(C(=O)N2CCC[C@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000295673606 291498387 /nfs/dbraw/zinc/49/83/87/291498387.db2.gz XJCYAFCSOJNVPC-AWEZNQCLSA-N 0 0 280.299 2.867 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1C[C@H]1C ZINC000277191383 193580490 /nfs/dbraw/zinc/58/04/90/193580490.db2.gz WRJSVSKDEOPUJG-ZJUUUORDSA-N 0 0 250.298 2.809 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C(C)C)C(C)C ZINC000277398328 193642043 /nfs/dbraw/zinc/64/20/43/193642043.db2.gz GOAKLLGWDLZEJZ-UHFFFAOYSA-N 0 0 280.324 2.862 20 5 CFBDRN CC(C)NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116712761 376202905 /nfs/dbraw/zinc/20/29/05/376202905.db2.gz SIRCWUOLAIARBT-UHFFFAOYSA-N 0 0 286.715 2.542 20 5 CFBDRN C[C@H]1[C@@H](C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)C1(F)F ZINC000461029602 245365333 /nfs/dbraw/zinc/36/53/33/245365333.db2.gz OASPSOBTUOVLOT-KWQFWETISA-N 0 0 298.289 2.847 20 5 CFBDRN C[C@@H](Sc1cc(C(F)(F)F)ncc1[N+](=O)[O-])[C@H](C)O ZINC000235560010 189829036 /nfs/dbraw/zinc/82/90/36/189829036.db2.gz OCPSRJMJILMYPG-NTSWFWBYSA-N 0 0 296.270 2.870 20 5 CFBDRN O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CCCCCC1 ZINC000050037225 296176128 /nfs/dbraw/zinc/17/61/28/296176128.db2.gz DVJPYPDYGBQNEC-UHFFFAOYSA-N 0 0 284.262 2.889 20 5 CFBDRN O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CC[C@@H](C2CC2)C1 ZINC000361200026 296176310 /nfs/dbraw/zinc/17/63/10/296176310.db2.gz HGDGRWLOXFGHAA-SECBINFHSA-N 0 0 296.273 2.745 20 5 CFBDRN Cc1ccc(CN2C(=O)c3cccc([N+](=O)[O-])c3C2=O)cc1 ZINC000004433917 371857597 /nfs/dbraw/zinc/85/75/97/371857597.db2.gz FOBUEGMLTDKESE-UHFFFAOYSA-N 0 0 296.282 2.699 20 5 CFBDRN CCCCCNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000011560451 371921587 /nfs/dbraw/zinc/92/15/87/371921587.db2.gz SUMDYLDOTFOVGA-UHFFFAOYSA-N 0 0 279.340 2.703 20 5 CFBDRN CCC(CC)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000011660980 371925019 /nfs/dbraw/zinc/92/50/19/371925019.db2.gz BZNVLIQPJFPTKZ-UHFFFAOYSA-N 0 0 279.340 2.702 20 5 CFBDRN Cc1c(C(=O)NCCc2ccsc2)cccc1[N+](=O)[O-] ZINC000013698195 372040815 /nfs/dbraw/zinc/04/08/15/372040815.db2.gz CZEMSNWXECTMQT-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCC2)c1ccc([N+](=O)[O-])o1 ZINC000014665044 372072701 /nfs/dbraw/zinc/07/27/01/372072701.db2.gz CVUPLPBBUVYGLQ-UHFFFAOYSA-N 0 0 272.260 2.929 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC=CCC1 ZINC000015628105 372087677 /nfs/dbraw/zinc/08/76/77/372087677.db2.gz PNNYDWMFOAJBDE-SNVBAGLBSA-N 0 0 276.292 2.898 20 5 CFBDRN O=C(NCCCOCC1CC1)Nc1cccc([N+](=O)[O-])c1 ZINC000017742805 372135782 /nfs/dbraw/zinc/13/57/82/372135782.db2.gz AUWSJBRLRGRRHM-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN CCCc1nnc(NC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000018840948 372147813 /nfs/dbraw/zinc/14/78/13/372147813.db2.gz ILOINRBHNIDMTA-UHFFFAOYSA-N 0 0 292.320 2.651 20 5 CFBDRN Cn1ccc(C(=O)COc2ccc([N+](=O)[O-])cc2Cl)c1 ZINC000023891764 372267037 /nfs/dbraw/zinc/26/70/37/372267037.db2.gz AAEMJVADOKVCJV-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1COc2ccccc2O1 ZINC000025763278 372306669 /nfs/dbraw/zinc/30/66/69/372306669.db2.gz HCHLGZRCSIYEQA-NSHDSACASA-N 0 0 286.287 2.847 20 5 CFBDRN CC(C)CCCNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000026719598 372326921 /nfs/dbraw/zinc/32/69/21/372326921.db2.gz HAEAPUPOGKAIFV-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN O=C(NCC1CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000031441408 372410594 /nfs/dbraw/zinc/41/05/94/372410594.db2.gz WKTVPKMWLCMPMF-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CNc1ccc(C(=O)NCc2cccs2)cc1[N+](=O)[O-] ZINC000031817918 372418763 /nfs/dbraw/zinc/41/87/63/372418763.db2.gz RMFNYDIWSYJPCP-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000032030748 372424714 /nfs/dbraw/zinc/42/47/14/372424714.db2.gz KKNKVWLVTDNOAK-NEPJUHHUSA-N 0 0 292.335 2.669 20 5 CFBDRN CCn1cc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000035779812 372552573 /nfs/dbraw/zinc/55/25/73/372552573.db2.gz PJDCRDQOJZQPSM-JTQLQIEISA-N 0 0 260.297 2.984 20 5 CFBDRN CCOCCNc1ccc(Br)cc1[N+](=O)[O-] ZINC000036229974 372568612 /nfs/dbraw/zinc/56/86/12/372568612.db2.gz FWVZORVUVWDZIC-UHFFFAOYSA-N 0 0 289.129 2.806 20 5 CFBDRN CC[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)CCO1 ZINC000036769756 372591759 /nfs/dbraw/zinc/59/17/59/372591759.db2.gz XTFOKOHJJNYZTN-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN COCCn1cc(N[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000037090533 372647600 /nfs/dbraw/zinc/64/76/00/372647600.db2.gz MGPMMOJNXYQJKS-NSHDSACASA-N 0 0 290.323 2.611 20 5 CFBDRN CCC(C)(C)CNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000470354898 245502664 /nfs/dbraw/zinc/50/26/64/245502664.db2.gz SUQFBQNQHPKHQZ-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN CN(Cc1ccc(O)cc1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000037987621 372749082 /nfs/dbraw/zinc/74/90/82/372749082.db2.gz DPTRKGROQFJCAG-UHFFFAOYSA-N 0 0 286.287 2.573 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)cn1 ZINC000041818198 372863647 /nfs/dbraw/zinc/86/36/47/372863647.db2.gz WWNZMGFMEYQOHK-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCOCCCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000042391090 372917881 /nfs/dbraw/zinc/91/78/81/372917881.db2.gz XCBJUKLVVFXLGO-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000042677807 372944581 /nfs/dbraw/zinc/94/45/81/372944581.db2.gz RIBPTJBHEMXOQG-HTQZYQBOSA-N 0 0 292.261 2.861 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000279535773 296195586 /nfs/dbraw/zinc/19/55/86/296195586.db2.gz RROZLWGRQWFSBU-MWLCHTKSSA-N 0 0 299.758 2.773 20 5 CFBDRN CCOCCCCNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000047323244 373087007 /nfs/dbraw/zinc/08/70/07/373087007.db2.gz IXTGPVICRQAJPR-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN Cc1ccc(NCCc2ccncc2)c([N+](=O)[O-])c1 ZINC000048262725 373156462 /nfs/dbraw/zinc/15/64/62/373156462.db2.gz BFFOUXWXKBKAID-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000048346281 373167162 /nfs/dbraw/zinc/16/71/62/373167162.db2.gz MUWSNDGEMLGQRN-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000487076881 245722312 /nfs/dbraw/zinc/72/23/12/245722312.db2.gz SWTAWTOMBRIREV-JQWIXIFHSA-N 0 0 279.340 2.995 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1cc(C)on1 ZINC000048444130 373182462 /nfs/dbraw/zinc/18/24/62/373182462.db2.gz WBPRMTTYPPXSAT-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1Cl ZINC000048545192 373196124 /nfs/dbraw/zinc/19/61/24/373196124.db2.gz FTFTYTIRACCFTC-ZETCQYMHSA-N 0 0 293.714 2.794 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000049094478 373268259 /nfs/dbraw/zinc/26/82/59/373268259.db2.gz SPFOYFGFBAFZOU-RKDXNWHRSA-N 0 0 290.323 2.678 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000049389905 373290035 /nfs/dbraw/zinc/29/00/35/373290035.db2.gz IOGJWXJXZSRQGO-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=C(NCc1cccs1)NCc1ccc([N+](=O)[O-])cc1 ZINC000049269434 373282300 /nfs/dbraw/zinc/28/23/00/373282300.db2.gz CXHYDFXHVBQFAY-UHFFFAOYSA-N 0 0 291.332 2.656 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037590 373342291 /nfs/dbraw/zinc/34/22/91/373342291.db2.gz OKCKRYYFYOSMFG-MRVPVSSYSA-N 0 0 286.278 2.990 20 5 CFBDRN CN(Cc1ccnn1C)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000487718760 245779849 /nfs/dbraw/zinc/77/98/49/245779849.db2.gz FSIBNHLDNCEPOT-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000245741382 189920956 /nfs/dbraw/zinc/92/09/56/189920956.db2.gz RRIJPFKBENZEEF-URLYPYJESA-N 0 0 291.351 2.732 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCC[C@@H](CCO)C1 ZINC000051916439 373419254 /nfs/dbraw/zinc/41/92/54/373419254.db2.gz ZKWFNAZPGXBPBV-NSHDSACASA-N 0 0 298.770 2.843 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050701039 373380899 /nfs/dbraw/zinc/38/08/99/373380899.db2.gz UUHCSYMGRQDHLU-JTQLQIEISA-N 0 0 277.324 2.582 20 5 CFBDRN CC[C@H](F)Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487865355 245787793 /nfs/dbraw/zinc/78/77/93/245787793.db2.gz DJENTPWQRXADCR-VIFPVBQESA-N 0 0 264.256 2.658 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1CC[C@@H](F)C1 ZINC000488047830 245808631 /nfs/dbraw/zinc/80/86/31/245808631.db2.gz XBMDHUDCKZXYHZ-NXEZZACHSA-N 0 0 275.283 2.867 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000054982296 220200172 /nfs/dbraw/zinc/20/01/72/220200172.db2.gz MBFPHADTVDUHBM-WDEREUQCSA-N 0 0 291.351 2.932 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCC1=CCCCC1 ZINC000052894970 373455349 /nfs/dbraw/zinc/45/53/49/373455349.db2.gz VAYHBOJTNDJZQW-UHFFFAOYSA-N 0 0 289.335 2.919 20 5 CFBDRN Cc1c(NC(=O)NCc2ccccn2)cccc1[N+](=O)[O-] ZINC000053249797 373472109 /nfs/dbraw/zinc/47/21/09/373472109.db2.gz CXSRNYDNUPXESL-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN O=C(NCc1ccc(F)cc1)c1ccc([N+](=O)[O-])cc1F ZINC000054058095 373495744 /nfs/dbraw/zinc/49/57/44/373495744.db2.gz QZICDWFMPWKHMA-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN CCOCCCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000055467289 373553872 /nfs/dbraw/zinc/55/38/72/373553872.db2.gz QYZGDPIDMYOOCN-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN CCOCCCCNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000055497458 373555760 /nfs/dbraw/zinc/55/57/60/373555760.db2.gz MLCRNDSYUJJNLZ-MDZDMXLPSA-N 0 0 292.335 2.541 20 5 CFBDRN COCCSCCCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000488323112 245839156 /nfs/dbraw/zinc/83/91/56/245839156.db2.gz HUQYIQRLNJJHDM-UHFFFAOYSA-N 0 0 296.392 2.727 20 5 CFBDRN Cc1cc(C(=O)N(C)CCc2ccccn2)ccc1[N+](=O)[O-] ZINC000057027620 373577925 /nfs/dbraw/zinc/57/79/25/373577925.db2.gz XYWYGPIQQLNJJB-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN Cc1ncc(C(=O)Nc2cccc([N+](=O)[O-])c2C)s1 ZINC000063473564 373804193 /nfs/dbraw/zinc/80/41/93/373804193.db2.gz GHQFGXVVXAXGHP-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CN(Cc1cscn1)Cc1ccc([N+](=O)[O-])cc1 ZINC000063590769 373809262 /nfs/dbraw/zinc/80/92/62/373809262.db2.gz KFRGONBIJNZQGZ-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN CC(C)CCCNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000065511748 373881999 /nfs/dbraw/zinc/88/19/99/373881999.db2.gz PLDCWEDUPMGDQD-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN CSC[C@@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000066764029 373952852 /nfs/dbraw/zinc/95/28/52/373952852.db2.gz CVYSPICNOHJIOJ-MRVPVSSYSA-N 0 0 269.322 2.808 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000067725539 373997025 /nfs/dbraw/zinc/99/70/25/373997025.db2.gz CRFLXPKXOZHHTO-GFCCVEGCSA-N 0 0 291.351 2.926 20 5 CFBDRN C[C@H]1CN(Cc2ccccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000068477591 374030670 /nfs/dbraw/zinc/03/06/70/374030670.db2.gz BDIMVRMJPNRMMZ-NSHDSACASA-N 0 0 264.325 2.594 20 5 CFBDRN Cc1c(C(=O)N2C[C@@H](C)OC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000068531192 374032511 /nfs/dbraw/zinc/03/25/11/374032511.db2.gz LUIJDAGWCOHXLM-SNVBAGLBSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@H]1CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000068885327 374041193 /nfs/dbraw/zinc/04/11/93/374041193.db2.gz FAOAAVDRAPURQI-LBPRGKRZSA-N 0 0 291.351 2.926 20 5 CFBDRN CC1(C)CN(C(=O)Nc2ccncc2[N+](=O)[O-])[C@@H]1C1CC1 ZINC000289491625 197374224 /nfs/dbraw/zinc/37/42/24/197374224.db2.gz ABJUVBMATNJWCJ-GFCCVEGCSA-N 0 0 290.323 2.642 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1(C)CCCC1 ZINC000490708159 246020801 /nfs/dbraw/zinc/02/08/01/246020801.db2.gz HIJHEOPFHGQKAN-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN Cc1cc(C(=O)N(C(C)C)C2CC2)ccc1[N+](=O)[O-] ZINC000247977229 189944072 /nfs/dbraw/zinc/94/40/72/189944072.db2.gz DLQSGGYYBZOMGS-UHFFFAOYSA-N 0 0 262.309 2.916 20 5 CFBDRN C[C@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])C1CCCC1 ZINC000070307413 374163268 /nfs/dbraw/zinc/16/32/68/374163268.db2.gz GZWWCDILQUZNKP-VIFPVBQESA-N 0 0 277.324 2.684 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000490552228 246012468 /nfs/dbraw/zinc/01/24/68/246012468.db2.gz ODIJZZCTUTVMED-VQNWOSHQSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])c1nccn1C ZINC000070444078 374175370 /nfs/dbraw/zinc/17/53/70/374175370.db2.gz IKWHOTCZVAWOLV-QMMMGPOBSA-N 0 0 264.260 2.641 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)c1nccn1C ZINC000070445347 374178168 /nfs/dbraw/zinc/17/81/68/374178168.db2.gz VBIULBVCBHQANK-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCCc1ccco1 ZINC000490983051 246035356 /nfs/dbraw/zinc/03/53/56/246035356.db2.gz UELIWRQXROTYNU-YVMONPNESA-N 0 0 286.287 2.560 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@H]1CCCOC1 ZINC000070464186 374186379 /nfs/dbraw/zinc/18/63/79/374186379.db2.gz QROTXLXZCUWJRP-VIFPVBQESA-N 0 0 256.689 2.839 20 5 CFBDRN Cc1ncc(CNc2nccc(C)c2[N+](=O)[O-])s1 ZINC000070496040 374187418 /nfs/dbraw/zinc/18/74/18/374187418.db2.gz FVRXWFUXJXUDBR-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1ccnc(NC[C@H]2Cc3ccccc3O2)c1[N+](=O)[O-] ZINC000070495944 374188530 /nfs/dbraw/zinc/18/85/30/374188530.db2.gz OREHMDUCDOWSJC-GFCCVEGCSA-N 0 0 285.303 2.714 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)CN(C)c1ccccc1 ZINC000072543895 374262649 /nfs/dbraw/zinc/26/26/49/374262649.db2.gz FZYLSJLADQBOPA-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN O=C(/C=C\c1cscn1)Nc1ccc([N+](=O)[O-])cc1 ZINC000491387940 246065912 /nfs/dbraw/zinc/06/59/12/246065912.db2.gz ROAKFEGPAHDZJW-UTCJRWHESA-N 0 0 275.289 2.703 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000491211204 246050560 /nfs/dbraw/zinc/05/05/60/246050560.db2.gz BGXRDCHBEXGWDA-FOQNGQEVSA-N 0 0 297.314 2.880 20 5 CFBDRN O=C(/C=C\c1cscn1)Nc1ccc([N+](=O)[O-])cc1F ZINC000491480819 246074739 /nfs/dbraw/zinc/07/47/39/246074739.db2.gz YSPOJELETKKODO-RJRFIUFISA-N 0 0 293.279 2.842 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000491560122 246079325 /nfs/dbraw/zinc/07/93/25/246079325.db2.gz DDSIZBZEPAQYQZ-KRZKBDHCSA-N 0 0 297.314 2.880 20 5 CFBDRN CC(C)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 ZINC000073082032 374320802 /nfs/dbraw/zinc/32/08/02/374320802.db2.gz BKFDPFJGZUBSCB-UHFFFAOYSA-N 0 0 294.307 2.741 20 5 CFBDRN CCC[C@H](C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000073004268 374314230 /nfs/dbraw/zinc/31/42/30/374314230.db2.gz VBKWYNBCHRYTPJ-JTQLQIEISA-N 0 0 262.309 2.920 20 5 CFBDRN Cc1cccnc1CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000073000424 374315420 /nfs/dbraw/zinc/31/54/20/374315420.db2.gz DXBYCBXGWAHFOG-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=C(/C=C\C1CCOCC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000491888914 246111453 /nfs/dbraw/zinc/11/14/53/246111453.db2.gz WXBGSVPRQHWUQP-RJRFIUFISA-N 0 0 294.282 2.655 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)Nc1cccc([N+](=O)[O-])c1 ZINC000491992160 246149656 /nfs/dbraw/zinc/14/96/56/246149656.db2.gz SODMNXYBOKXWIU-SREVYHEPSA-N 0 0 257.249 2.575 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000491978624 246144096 /nfs/dbraw/zinc/14/40/96/246144096.db2.gz QTBBJRXJVZNNBX-SREVYHEPSA-N 0 0 297.314 2.521 20 5 CFBDRN CCO[C@@H](CCNC(=O)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000074291594 374413549 /nfs/dbraw/zinc/41/35/49/374413549.db2.gz WRQIKNAWGOASNT-AWEZNQCLSA-N 0 0 294.351 2.776 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074750555 374435927 /nfs/dbraw/zinc/43/59/27/374435927.db2.gz HRXJWYBOKKKRAK-LBPRGKRZSA-N 0 0 267.329 2.507 20 5 CFBDRN CCc1cnccc1NC(=O)C=Cc1ccc([N+](=O)[O-])cc1 ZINC000492089623 246182392 /nfs/dbraw/zinc/18/23/92/246182392.db2.gz VKFVKCFDFPMLLZ-VMPITWQZSA-N 0 0 297.314 2.626 20 5 CFBDRN O=C(/C=C\C1CCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000492093827 246185260 /nfs/dbraw/zinc/18/52/60/246185260.db2.gz HTZMYIASILDNNI-TWGQIWQCSA-N 0 0 274.320 2.610 20 5 CFBDRN CN(Cc1cccn1C)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492113461 246190650 /nfs/dbraw/zinc/19/06/50/246190650.db2.gz PDVCNXBVQLHFQW-HJWRWDBZSA-N 0 0 299.330 2.605 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)Nc3ccc([N+](=O)[O-])cc3)C[C@@H]21 ZINC000075498785 374483423 /nfs/dbraw/zinc/48/34/23/374483423.db2.gz JGVDYPDYTXZUSQ-TXEJJXNPSA-N 0 0 275.308 2.715 20 5 CFBDRN CN(Cc1cccn1C)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492167049 246210772 /nfs/dbraw/zinc/21/07/72/246210772.db2.gz OGNZLZVUIZMFAW-KTKRTIGZSA-N 0 0 299.330 2.605 20 5 CFBDRN CN(Cc1cccn1C)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492170356 246212285 /nfs/dbraw/zinc/21/22/85/246212285.db2.gz XYVPVXOMSSTJEF-YFHOEESVSA-N 0 0 299.330 2.605 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000075287745 374473664 /nfs/dbraw/zinc/47/36/64/374473664.db2.gz GPMCKDBTOPMFSL-MNOVXSKESA-N 0 0 262.309 2.855 20 5 CFBDRN CC[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)CCS1 ZINC000076072593 374528141 /nfs/dbraw/zinc/52/81/41/374528141.db2.gz SHORHSMSTHKYED-CYBMUJFWSA-N 0 0 296.392 2.931 20 5 CFBDRN Cc1cc(NC(=O)C=Cc2cccc([N+](=O)[O-])c2)c[nH]c1=O ZINC000492283249 246244461 /nfs/dbraw/zinc/24/44/61/246244461.db2.gz MVDWIQFUFRMDST-WAYWQWQTSA-N 0 0 299.286 2.656 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000492282162 246244984 /nfs/dbraw/zinc/24/49/84/246244984.db2.gz GYRFEDJJWLXCGF-VBROQKIQSA-N 0 0 278.283 2.569 20 5 CFBDRN O=C(/C=C\C1CCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000492303047 246251703 /nfs/dbraw/zinc/25/17/03/246251703.db2.gz AMMCNVIBQKMBLP-FPLPWBNLSA-N 0 0 286.331 2.836 20 5 CFBDRN O=C(/C=C\c1ccc(O)cc1)NCc1ccccc1[N+](=O)[O-] ZINC000492494212 246310036 /nfs/dbraw/zinc/31/00/36/246310036.db2.gz YMFLWUUOUKMVML-YFHOEESVSA-N 0 0 298.298 2.630 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])cn2)cc1F ZINC000078941228 374576233 /nfs/dbraw/zinc/57/62/33/374576233.db2.gz ZVCUWOZKVCWVGA-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN Cc1ccncc1/C=C/C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000492530773 246319764 /nfs/dbraw/zinc/31/97/64/246319764.db2.gz KBFIXBICFVGZPR-AATRIKPKSA-N 0 0 283.287 2.950 20 5 CFBDRN COc1ccc(/C=C/C(=O)Nc2cccc([N+](=O)[O-])c2)nc1 ZINC000492544644 246324211 /nfs/dbraw/zinc/32/42/11/246324211.db2.gz BSZQYGSUQZGBDU-SOFGYWHQSA-N 0 0 299.286 2.650 20 5 CFBDRN O=C(/C=C\c1cscn1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000492698743 246364067 /nfs/dbraw/zinc/36/40/67/246364067.db2.gz AZDNHCJEHWUZLW-UPHRSURJSA-N 0 0 293.279 2.842 20 5 CFBDRN Cc1c(CS[C@@H](C)[C@@H](C)O)cccc1[N+](=O)[O-] ZINC000079075454 374590855 /nfs/dbraw/zinc/59/08/55/374590855.db2.gz NVSNYIDWUFSBEH-ZJUUUORDSA-N 0 0 255.339 2.906 20 5 CFBDRN O=C(/C=C\c1cccc(O)c1)NCc1cccc([N+](=O)[O-])c1 ZINC000492758809 246376472 /nfs/dbraw/zinc/37/64/72/246376472.db2.gz GSIPLQUJBWQGCB-FPLPWBNLSA-N 0 0 298.298 2.630 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1Cl)NC1CC1 ZINC000079926445 374633871 /nfs/dbraw/zinc/63/38/71/374633871.db2.gz QMXPEDIBGQGVQT-UHFFFAOYSA-N 0 0 297.742 2.719 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CC[C@@H](F)C1 ZINC000492799589 246390215 /nfs/dbraw/zinc/39/02/15/246390215.db2.gz MUZUIAMOBZROQE-SBXROPNJSA-N 0 0 296.273 2.754 20 5 CFBDRN Cc1cnc([C@H](C)Oc2ccc([N+](=O)[O-])c(CO)c2)o1 ZINC000431860014 383702126 /nfs/dbraw/zinc/70/21/26/383702126.db2.gz HPFVBRXDYXKZHC-VIFPVBQESA-N 0 0 278.264 2.524 20 5 CFBDRN CC[C@](C)(CO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000080882459 374675317 /nfs/dbraw/zinc/67/53/17/374675317.db2.gz IMXAUAXBXYBUBJ-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN CC(C)C1(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000492974967 246442942 /nfs/dbraw/zinc/44/29/42/246442942.db2.gz SLXOKVWGCHDQIN-YVMONPNESA-N 0 0 274.320 2.913 20 5 CFBDRN CCOC[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000081520349 374703093 /nfs/dbraw/zinc/70/30/93/374703093.db2.gz IDXQPNXVRRXMJY-JTQLQIEISA-N 0 0 270.354 2.515 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCCC1CC1 ZINC000081022471 374682235 /nfs/dbraw/zinc/68/22/35/374682235.db2.gz XVUSOTZGYRCIKY-UHFFFAOYSA-N 0 0 282.727 2.707 20 5 CFBDRN CC(C)c1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)n(C)n1 ZINC000081821437 374719009 /nfs/dbraw/zinc/71/90/09/374719009.db2.gz CSFDMLRHMMFEBW-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC(C)Nc1ncc([N+](=O)[O-])cc1Br ZINC000081940851 374724407 /nfs/dbraw/zinc/72/44/07/374724407.db2.gz UPVGJNRMJGQTBW-UHFFFAOYSA-N 0 0 260.091 2.573 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1ccco1 ZINC000083396960 374741986 /nfs/dbraw/zinc/74/19/86/374741986.db2.gz ORIHZJRHITYGGV-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cc2)[C@@H]2CCO[C@@H]21 ZINC000085633449 374802491 /nfs/dbraw/zinc/80/24/91/374802491.db2.gz NGFLDBICPAHSLH-XQQFMLRXSA-N 0 0 262.309 2.820 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000087408127 374821102 /nfs/dbraw/zinc/82/11/02/374821102.db2.gz KXUVLBPQYRVYCU-SECBINFHSA-N 0 0 260.297 2.810 20 5 CFBDRN CC1(C)CCCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000087660670 374827930 /nfs/dbraw/zinc/82/79/30/374827930.db2.gz UYGQHVSAUITYFT-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN CCC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000084253651 374772485 /nfs/dbraw/zinc/77/24/85/374772485.db2.gz DGILPYDINDFPAC-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1CF ZINC000493114814 246490908 /nfs/dbraw/zinc/49/09/08/246490908.db2.gz HQVNLNCKOCTPHX-DMTLFAOVSA-N 0 0 278.283 2.569 20 5 CFBDRN CN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@@H]1CC1(C)C ZINC000493373107 246570156 /nfs/dbraw/zinc/57/01/56/246570156.db2.gz TZAYQSBALYKDTG-OYVUYXNMSA-N 0 0 274.320 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@H]2O)c2cccnc21 ZINC000089167725 374882783 /nfs/dbraw/zinc/88/27/83/374882783.db2.gz PFFSMXHOYNNSDQ-IINYFYTJSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)(C)CC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089203924 374888887 /nfs/dbraw/zinc/88/88/87/374888887.db2.gz ZMJVRIXZJUBFGC-UHFFFAOYSA-N 0 0 276.296 2.846 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@H]1CC=CCC1 ZINC000089835945 374959449 /nfs/dbraw/zinc/95/94/49/374959449.db2.gz HNCFSBSDTCCMDF-ZDUSSCGKSA-N 0 0 274.320 2.610 20 5 CFBDRN CCC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000089472143 374911715 /nfs/dbraw/zinc/91/17/15/374911715.db2.gz DYOZURWMHYPXPZ-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H](CCCC(F)(F)F)Nc1c([N+](=O)[O-])ncn1C ZINC000493657327 246658612 /nfs/dbraw/zinc/65/86/12/246658612.db2.gz MPPRBJVHTVGHEI-ZETCQYMHSA-N 0 0 280.250 2.861 20 5 CFBDRN C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OC(C)(C)C ZINC000090451632 375015710 /nfs/dbraw/zinc/01/57/10/375015710.db2.gz LESLFHLRQXSHEF-GHMZBOCLSA-N 0 0 294.351 2.976 20 5 CFBDRN CC(=O)c1ccc(N2C[C@H](C)[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000090824943 375033464 /nfs/dbraw/zinc/03/34/64/375033464.db2.gz UWJSZKWVTJIIMH-AOOOYVTPSA-N 0 0 262.309 2.890 20 5 CFBDRN Cc1nc(CNCc2ccc([N+](=O)[O-])cc2)sc1C ZINC000091437442 375051911 /nfs/dbraw/zinc/05/19/11/375051911.db2.gz GYUMZPLEYAUMOJ-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN C[C@@H]1CC(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000091847340 375066807 /nfs/dbraw/zinc/06/68/07/375066807.db2.gz QRFIKUWSKADMBI-FOSCPWQOSA-N 0 0 292.335 2.761 20 5 CFBDRN CCc1nn(C)cc1CNc1ccccc1[N+](=O)[O-] ZINC000092959672 375169199 /nfs/dbraw/zinc/16/91/99/375169199.db2.gz JBKPXIBAZHQDQA-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN CN(C/C=C/c1ccccc1[N+](=O)[O-])CC(F)F ZINC000092508868 375118321 /nfs/dbraw/zinc/11/83/21/375118321.db2.gz VIEDHMZARKQOKF-GQCTYLIASA-N 0 0 256.252 2.805 20 5 CFBDRN CC[C@@H](C)NC(=O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000093307965 375205781 /nfs/dbraw/zinc/20/57/81/375205781.db2.gz GMWLWUQEDOWAII-MRVPVSSYSA-N 0 0 286.715 2.542 20 5 CFBDRN C[C@H]1OCCN(C/C=C/c2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000093417824 375232298 /nfs/dbraw/zinc/23/22/98/375232298.db2.gz CWJWZZUOVJMMCJ-MVTQWGDUSA-N 0 0 276.336 2.717 20 5 CFBDRN CC(C)[N@H+](CC(=O)[O-])Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000093633495 375278360 /nfs/dbraw/zinc/27/83/60/375278360.db2.gz LBYUNYXZCLYFAH-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN Cc1ccc(CN2CCOC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000093640133 375279495 /nfs/dbraw/zinc/27/94/95/375279495.db2.gz YDUURTSQVRJIMS-UHFFFAOYSA-N 0 0 276.336 2.658 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ncc(Cl)cn1 ZINC000093537091 375265095 /nfs/dbraw/zinc/26/50/95/375265095.db2.gz REWVEZNTYPYTKC-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN CCOc1cc(N[C@@H](C)CCCO)ccc1[N+](=O)[O-] ZINC000094944649 375392855 /nfs/dbraw/zinc/39/28/55/375392855.db2.gz VPXIMWHEVNXLLS-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CC(C)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 ZINC000094189012 375345096 /nfs/dbraw/zinc/34/50/96/375345096.db2.gz KNROIIXKDUAKPN-UHFFFAOYSA-N 0 0 287.113 2.952 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000094957179 375398533 /nfs/dbraw/zinc/39/85/33/375398533.db2.gz WPOGCUYFKBHQGF-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN CCOc1cc(NC[C@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000094804735 375381507 /nfs/dbraw/zinc/38/15/07/375381507.db2.gz QRJCKPQXRWEUED-AWEZNQCLSA-N 0 0 280.324 2.975 20 5 CFBDRN CNC(=O)c1ccc(N[C@H](C)CC2CC2)c([N+](=O)[O-])c1 ZINC000096513966 375488630 /nfs/dbraw/zinc/48/86/30/375488630.db2.gz HGBMCLAIQCSBNJ-SECBINFHSA-N 0 0 277.324 2.555 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1ccccc1Cl ZINC000096553060 375491369 /nfs/dbraw/zinc/49/13/69/375491369.db2.gz MUPNXXHNLYXKFD-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1cc(=O)n(CCCOc2ccccc2)cc1[N+](=O)[O-] ZINC000096548110 375491523 /nfs/dbraw/zinc/49/15/23/375491523.db2.gz HVNZQUPCJGBXAN-UHFFFAOYSA-N 0 0 288.303 2.534 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1ccccc1Cl ZINC000097335949 375550691 /nfs/dbraw/zinc/55/06/91/375550691.db2.gz LDBNQXQUJVPVIC-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000496410083 246979837 /nfs/dbraw/zinc/97/98/37/246979837.db2.gz IWLLCRJQBQLTFS-MWLCHTKSSA-N 0 0 291.307 2.762 20 5 CFBDRN CCCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000097363522 375552256 /nfs/dbraw/zinc/55/22/56/375552256.db2.gz KZBMDQYJARFHSE-UHFFFAOYSA-N 0 0 250.254 2.693 20 5 CFBDRN C[C@H](CCO)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000097443480 375561883 /nfs/dbraw/zinc/56/18/83/375561883.db2.gz NYGSFXPAULYLHT-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN CCOC(=O)NO[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000497281925 247066774 /nfs/dbraw/zinc/06/67/74/247066774.db2.gz UJNAXUQJXAVHNM-VIFPVBQESA-N 0 0 268.269 2.642 20 5 CFBDRN CCOC(=O)NO[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000497281920 247067540 /nfs/dbraw/zinc/06/75/40/247067540.db2.gz UJNAXUQJXAVHNM-SECBINFHSA-N 0 0 268.269 2.642 20 5 CFBDRN Cc1ncsc1CCOc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000505546925 247141004 /nfs/dbraw/zinc/14/10/04/247141004.db2.gz LABRRSIJBDZMFQ-UHFFFAOYSA-N 0 0 293.348 2.993 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000505634525 247146349 /nfs/dbraw/zinc/14/63/49/247146349.db2.gz HWFAUGJONAIITG-JSGCOSHPSA-N 0 0 278.352 2.903 20 5 CFBDRN O=C(COc1ccc(F)cc1[N+](=O)[O-])NC1CCCCC1 ZINC000102599999 375682042 /nfs/dbraw/zinc/68/20/42/375682042.db2.gz JNGDQZHYSYGTBL-UHFFFAOYSA-N 0 0 296.298 2.562 20 5 CFBDRN CC(C)(C)CCNC(=O)COc1ccc(F)cc1[N+](=O)[O-] ZINC000102599538 375682262 /nfs/dbraw/zinc/68/22/62/375682262.db2.gz KLAWICNDPLZKAZ-UHFFFAOYSA-N 0 0 298.314 2.665 20 5 CFBDRN CC(C)(C(=O)NC1CC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000507332697 247171936 /nfs/dbraw/zinc/17/19/36/247171936.db2.gz JFEPWQNHJZIOGZ-UHFFFAOYSA-N 0 0 298.289 2.786 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1[C@H](C)CCC[C@H]1C ZINC000103368599 375734544 /nfs/dbraw/zinc/73/45/44/375734544.db2.gz COHGTGXGFLJOKN-NXEZZACHSA-N 0 0 277.324 2.701 20 5 CFBDRN CN(CC(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000103502066 375753517 /nfs/dbraw/zinc/75/35/17/375753517.db2.gz SHMQZAGEUCLRFZ-UHFFFAOYSA-N 0 0 293.657 2.977 20 5 CFBDRN O=C(CCc1ccncc1)Nc1cccc([N+](=O)[O-])c1 ZINC000104197108 375773791 /nfs/dbraw/zinc/77/37/91/375773791.db2.gz XYDOGJWACMZSGP-UHFFFAOYSA-N 0 0 271.276 2.561 20 5 CFBDRN O=C([C@H]1CC12CC2)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000421528748 284543406 /nfs/dbraw/zinc/54/34/06/284543406.db2.gz RNXJZMFFOWJKRO-CYBMUJFWSA-N 0 0 286.331 2.886 20 5 CFBDRN CC[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111422121 375846427 /nfs/dbraw/zinc/84/64/27/375846427.db2.gz AUAYOADLHUXIML-GWCFXTLKSA-N 0 0 293.323 2.626 20 5 CFBDRN CCN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000112179426 375886555 /nfs/dbraw/zinc/88/65/55/375886555.db2.gz UVWUDVJPFMMUEZ-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CCN(CC1CC1)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000112178918 375887565 /nfs/dbraw/zinc/88/75/65/375887565.db2.gz PTMIXWPNNGQHBN-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1nnc([C@@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000112532176 375928295 /nfs/dbraw/zinc/92/82/95/375928295.db2.gz UJGIIFBLFCVSCT-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN Cc1cc(N[C@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC000112552838 375930835 /nfs/dbraw/zinc/93/08/35/375930835.db2.gz GNTYQUIFESDRSE-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN CC1(CNc2ccc3cc([N+](=O)[O-])ccc3n2)COC1 ZINC000112695874 375946362 /nfs/dbraw/zinc/94/63/62/375946362.db2.gz UWFCVHPEZIKETN-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN CC(C)C(=O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000113418996 376015059 /nfs/dbraw/zinc/01/50/59/376015059.db2.gz WFBNIZSQBIICEQ-UHFFFAOYSA-N 0 0 257.673 2.852 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1COc2ccccc21 ZINC000113012763 375985392 /nfs/dbraw/zinc/98/53/92/375985392.db2.gz KNZSFKIPNWDYEB-AWEZNQCLSA-N 0 0 299.282 2.814 20 5 CFBDRN O=C(CCC1CC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000115013739 376100565 /nfs/dbraw/zinc/10/05/65/376100565.db2.gz CAHOJRAICCUPAO-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN COC(=O)C[C@@H](C)SCCOc1cccc([N+](=O)[O-])c1 ZINC000115190508 376113226 /nfs/dbraw/zinc/11/32/26/376113226.db2.gz FSNUHIOCMAVYSU-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN COC(=O)[C@H](C)CSCc1csc([N+](=O)[O-])c1 ZINC000115195774 376114411 /nfs/dbraw/zinc/11/44/11/376114411.db2.gz ATCOUDJTWWZFET-SSDOTTSWSA-N 0 0 275.351 2.699 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(CC1CC1)CC1CC1 ZINC000115251913 376118715 /nfs/dbraw/zinc/11/87/15/376118715.db2.gz LZCWCFRAYYCKKI-UHFFFAOYSA-N 0 0 289.335 2.560 20 5 CFBDRN Cc1ccnc(NC[C@@H](O)C2CCCCC2)c1[N+](=O)[O-] ZINC000115516828 376134886 /nfs/dbraw/zinc/13/48/86/376134886.db2.gz YXFGLCHDLJOFJO-GFCCVEGCSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])s2)cc1 ZINC000116209602 376171685 /nfs/dbraw/zinc/17/16/85/376171685.db2.gz UDJKBXQGDNJIAW-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CS[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000117220072 376230257 /nfs/dbraw/zinc/23/02/57/376230257.db2.gz IXFWZIQUDIKOBZ-WCQYABFASA-N 0 0 294.376 2.999 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCC(F)(F)C1 ZINC000335957908 296240608 /nfs/dbraw/zinc/24/06/08/296240608.db2.gz MPAAUWUBDVUQLN-VIFPVBQESA-N 0 0 256.252 2.997 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C(C1CC1)C1CC1 ZINC000119319284 376393200 /nfs/dbraw/zinc/39/32/00/376393200.db2.gz KYLSELNMPBGEQL-UHFFFAOYSA-N 0 0 274.320 2.647 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3CCOCC3)n2)s1 ZINC000278075919 193829997 /nfs/dbraw/zinc/82/99/97/193829997.db2.gz FXPLSENTQLQBCT-UHFFFAOYSA-N 0 0 281.293 2.600 20 5 CFBDRN Cc1cc(C)n(CCCNc2nc(C)ccc2[N+](=O)[O-])n1 ZINC000119778681 376470338 /nfs/dbraw/zinc/47/03/38/376470338.db2.gz UNJOLCKFNLNKEQ-UHFFFAOYSA-N 0 0 289.339 2.614 20 5 CFBDRN COCCCC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000119881863 376498592 /nfs/dbraw/zinc/49/85/92/376498592.db2.gz NAQCCWUTLRNGQO-UHFFFAOYSA-N 0 0 267.281 2.544 20 5 CFBDRN COCC[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000119988644 376520924 /nfs/dbraw/zinc/52/09/24/376520924.db2.gz ZDCFWRDRTUDAMV-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])[C@@H](CO)c1ccccc1 ZINC000264032395 190089478 /nfs/dbraw/zinc/08/94/78/190089478.db2.gz PUZTYOKBEMPIPI-INIZCTEOSA-N 0 0 286.331 2.760 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000119877481 376495381 /nfs/dbraw/zinc/49/53/81/376495381.db2.gz MSTQKUPYFJMVMV-CYBMUJFWSA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000264233969 190098444 /nfs/dbraw/zinc/09/84/44/190098444.db2.gz ISTAPUXWLLSWFN-VXGBXAGGSA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000264302295 190102603 /nfs/dbraw/zinc/10/26/03/190102603.db2.gz RTFBBEJVHFVURN-ZANVPECISA-N 0 0 287.319 2.995 20 5 CFBDRN CC(C)n1nccc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000120286421 376585643 /nfs/dbraw/zinc/58/56/43/376585643.db2.gz MOVRFVPVSFYQHG-UHFFFAOYSA-N 0 0 288.307 2.649 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(C[C@H]2CCCO2)no1 ZINC000264562824 190118897 /nfs/dbraw/zinc/11/88/97/190118897.db2.gz ARXPVKMULFDVHT-LLVKDONJSA-N 0 0 289.291 2.675 20 5 CFBDRN CCCCOC(=O)COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000120919548 376722612 /nfs/dbraw/zinc/72/26/12/376722612.db2.gz WRZPRJKCBFBPPR-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000120941192 376726767 /nfs/dbraw/zinc/72/67/67/376726767.db2.gz MWWKOOPWYDGJTL-NSHDSACASA-N 0 0 264.325 2.688 20 5 CFBDRN COc1ccccc1CN(C)c1ncc([N+](=O)[O-])cc1C ZINC000264483419 190112839 /nfs/dbraw/zinc/11/28/39/190112839.db2.gz QTAXLGXWCOMOGK-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN Cc1nn(C)c(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1C ZINC000264528988 190116382 /nfs/dbraw/zinc/11/63/82/190116382.db2.gz RCVHZSCVQVLFIS-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CC(=O)c1ccc(OCC(=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000120882181 376715441 /nfs/dbraw/zinc/71/54/41/376715441.db2.gz YURSQRLPIPILDU-UHFFFAOYSA-N 0 0 279.292 2.791 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@H](c3ccco3)C2)cc1 ZINC000120891945 376717548 /nfs/dbraw/zinc/71/75/48/376717548.db2.gz RAAUPIRGZHFMSB-HNNXBMFYSA-N 0 0 288.303 2.761 20 5 CFBDRN Cc1c(-c2nc(C[C@H]3CCCO3)no2)cccc1[N+](=O)[O-] ZINC000264655965 190126139 /nfs/dbraw/zinc/12/61/39/190126139.db2.gz YITHCRMOIPOTDL-SNVBAGLBSA-N 0 0 289.291 2.675 20 5 CFBDRN C[C@H]1C[C@H](Nc2c(F)cc([N+](=O)[O-])cc2F)C[N@@H+]1C1CC1 ZINC000121759293 376897344 /nfs/dbraw/zinc/89/73/44/376897344.db2.gz ARLMGLWONTVUCR-IUCAKERBSA-N 0 0 297.305 2.910 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C ZINC000121561036 376859007 /nfs/dbraw/zinc/85/90/07/376859007.db2.gz NRDHMJCAEDHXJL-ZETCQYMHSA-N 0 0 295.295 2.648 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)Cc1cccnc1 ZINC000123755726 376977132 /nfs/dbraw/zinc/97/71/32/376977132.db2.gz WBXFANMWLFQLDG-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CCN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000124316726 377038365 /nfs/dbraw/zinc/03/83/65/377038365.db2.gz PTYTUOSZTQYFEG-UHFFFAOYSA-N 0 0 250.298 2.713 20 5 CFBDRN CCCN(CCC)C(=O)COc1cccc(C)c1[N+](=O)[O-] ZINC000123977857 377001423 /nfs/dbraw/zinc/00/14/23/377001423.db2.gz RKLGJWCQBRPCJG-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CC[C@H](C)O2)c1 ZINC000125805147 377195085 /nfs/dbraw/zinc/19/50/85/377195085.db2.gz BQTNVFGZEZPSAL-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000264766556 190133737 /nfs/dbraw/zinc/13/37/37/190133737.db2.gz FJJJMXBJQNQWPM-KWQFWETISA-N 0 0 256.277 2.553 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000264770624 190133782 /nfs/dbraw/zinc/13/37/82/190133782.db2.gz FZPZZIYFOXPVHH-ZANVPECISA-N 0 0 252.314 2.722 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@]2(O)CCCC[C@@H]2C1 ZINC000128315739 377330900 /nfs/dbraw/zinc/33/09/00/377330900.db2.gz DUXARFWLUAEYJG-DOMZBBRYSA-N 0 0 276.336 2.726 20 5 CFBDRN Cc1nn(C)cc1CNc1cccc([N+](=O)[O-])c1C ZINC000127862124 377306320 /nfs/dbraw/zinc/30/63/20/377306320.db2.gz AGZAJRKPIQIWIV-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CC[C@H]1CCN(c2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000128973855 377368157 /nfs/dbraw/zinc/36/81/57/377368157.db2.gz KUHGWJPUPCPTEA-VIFPVBQESA-N 0 0 268.288 2.979 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000128978203 377368920 /nfs/dbraw/zinc/36/89/20/377368920.db2.gz PDYCVAZDFWMDGV-STQMWFEESA-N 0 0 287.319 2.714 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCCSCC1 ZINC000264931408 190145378 /nfs/dbraw/zinc/14/53/78/190145378.db2.gz PLEZPZODKOBLOE-UHFFFAOYSA-N 0 0 252.339 2.847 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000129206468 377398344 /nfs/dbraw/zinc/39/83/44/377398344.db2.gz SCHQWNWXSUGMSC-JQWIXIFHSA-N 0 0 291.351 2.945 20 5 CFBDRN CNc1ccc([N+](=O)[O-])c(OCc2ccccc2)n1 ZINC000129084605 377380959 /nfs/dbraw/zinc/38/09/59/377380959.db2.gz UPVOMPFZKFTXMF-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN O=C(NCC1CCSCC1)c1csc([N+](=O)[O-])c1 ZINC000264956015 190147529 /nfs/dbraw/zinc/14/75/29/190147529.db2.gz XTFSJPAVAVLRCV-UHFFFAOYSA-N 0 0 286.378 2.529 20 5 CFBDRN C[C@@]1(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CCOC1 ZINC000129234896 377402279 /nfs/dbraw/zinc/40/22/79/377402279.db2.gz JHOPJOKFRGZENU-GFCCVEGCSA-N 0 0 299.714 2.549 20 5 CFBDRN Cc1cc2c(NCc3ccccc3[N+](=O)[O-])nccn2n1 ZINC000129360170 377416072 /nfs/dbraw/zinc/41/60/72/377416072.db2.gz BJTGIXMEANRDMZ-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CCn1cc(Nc2ncc(Cl)cc2[N+](=O)[O-])cn1 ZINC000129761600 377469890 /nfs/dbraw/zinc/46/98/90/377469890.db2.gz LQYOLXPIQLOPBF-UHFFFAOYSA-N 0 0 267.676 2.603 20 5 CFBDRN Cc1cccc(CNc2ncc(Cl)cc2[N+](=O)[O-])n1 ZINC000129780046 377472392 /nfs/dbraw/zinc/47/23/92/377472392.db2.gz NUGBEYDMNYKVSL-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H]1CCCC[C@H]1O ZINC000129792352 377474552 /nfs/dbraw/zinc/47/45/52/377474552.db2.gz BZOBDVYJAHIAMX-GZMMTYOYSA-N 0 0 285.731 2.606 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000265018476 190152603 /nfs/dbraw/zinc/15/26/03/190152603.db2.gz QFOUINRJHPDTAZ-UHFFFAOYSA-N 0 0 250.298 2.855 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCCc2occc2C1 ZINC000289149675 296263098 /nfs/dbraw/zinc/26/30/98/296263098.db2.gz MYHLTXHONSIGLC-UHFFFAOYSA-N 0 0 286.287 2.776 20 5 CFBDRN C[C@H](CCC(C)(C)C)NC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000130453426 377560903 /nfs/dbraw/zinc/56/09/03/377560903.db2.gz LMZWOHGZFXIUBB-LLVKDONJSA-N 0 0 296.371 2.512 20 5 CFBDRN Cc1cc(C(=O)N(C)C(C)(C)C)cc([N+](=O)[O-])c1 ZINC000335414193 291678145 /nfs/dbraw/zinc/67/81/45/291678145.db2.gz BFAZROYZXLGPIE-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC(C(C)(C)C)CC2)c1[N+](=O)[O-] ZINC000265342134 190175612 /nfs/dbraw/zinc/17/56/12/190175612.db2.gz IRXXOQBFXHZQMG-UHFFFAOYSA-N 0 0 294.355 2.525 20 5 CFBDRN Cc1cc(Oc2cccnc2[N+](=O)[O-])nc(C2CC2)n1 ZINC000265350503 190176427 /nfs/dbraw/zinc/17/64/27/190176427.db2.gz KAIQVXPITUSNJR-UHFFFAOYSA-N 0 0 272.264 2.758 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000265425143 190181190 /nfs/dbraw/zinc/18/11/90/190181190.db2.gz CIBIMUNSURWGGY-LBPRGKRZSA-N 0 0 294.351 2.838 20 5 CFBDRN CSC[C@H](C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000265449635 190183262 /nfs/dbraw/zinc/18/32/62/190183262.db2.gz NZAXCSMSLSPUJT-QMMMGPOBSA-N 0 0 294.332 2.822 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000265595490 190192630 /nfs/dbraw/zinc/19/26/30/190192630.db2.gz YVBCJPUTRSRRSR-LLVKDONJSA-N 0 0 285.303 2.915 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000130663836 377589414 /nfs/dbraw/zinc/58/94/14/377589414.db2.gz YBEXCLCQIUCHNS-NSHDSACASA-N 0 0 262.309 2.775 20 5 CFBDRN CC(C)c1noc(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000265641664 190196354 /nfs/dbraw/zinc/19/63/54/190196354.db2.gz SAVDVTVBIMRRJC-UHFFFAOYSA-N 0 0 276.296 2.738 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCCOCC(F)(F)F)c1 ZINC000265751436 190204060 /nfs/dbraw/zinc/20/40/60/190204060.db2.gz FQOCUSYXLNPSLD-UHFFFAOYSA-N 0 0 279.214 2.943 20 5 CFBDRN CC(C)N(C)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265705859 190201166 /nfs/dbraw/zinc/20/11/66/190201166.db2.gz LWAQKECMGHCWHB-UHFFFAOYSA-N 0 0 264.325 2.739 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265763914 190204973 /nfs/dbraw/zinc/20/49/73/190204973.db2.gz HBKOBXMBYYAXLG-WDEREUQCSA-N 0 0 276.336 2.645 20 5 CFBDRN CC(C)CN(C)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265720545 190201971 /nfs/dbraw/zinc/20/19/71/190201971.db2.gz RRZXLZUPCGPWOA-UHFFFAOYSA-N 0 0 278.352 2.987 20 5 CFBDRN CC(C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000265772312 190205692 /nfs/dbraw/zinc/20/56/92/190205692.db2.gz MZMBBMWXQNJZDK-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1ccc(NCCCNc2ncccn2)c([N+](=O)[O-])c1 ZINC000265777300 190205779 /nfs/dbraw/zinc/20/57/79/190205779.db2.gz FEAPVNHKPCSJSB-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN CCn1cc(CNCc2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000265801571 190208233 /nfs/dbraw/zinc/20/82/33/190208233.db2.gz SWGSFYBGQLGNIY-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)CCC1 ZINC000286688609 196677422 /nfs/dbraw/zinc/67/74/22/196677422.db2.gz ZTZDNIYXXMOWCI-UHFFFAOYSA-N 0 0 278.308 2.778 20 5 CFBDRN COC[C@@H]1CCN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000265852616 190213187 /nfs/dbraw/zinc/21/31/87/190213187.db2.gz VPMWULWVGUMPME-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CC(C)OCCCN(C)c1ccccc1[N+](=O)[O-] ZINC000265834932 190211599 /nfs/dbraw/zinc/21/15/99/190211599.db2.gz LTVCGQFDRCUWSB-UHFFFAOYSA-N 0 0 252.314 2.846 20 5 CFBDRN CSc1cncc(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000131940869 377781842 /nfs/dbraw/zinc/78/18/42/377781842.db2.gz HIATXDRIWXBDNC-UHFFFAOYSA-N 0 0 276.321 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2[C@@H]3c4ccccc4C[C@H]23)nc1 ZINC000132409465 377835166 /nfs/dbraw/zinc/83/51/66/377835166.db2.gz DJZHIANLGSJCMV-IIAWOOMASA-N 0 0 281.315 2.988 20 5 CFBDRN Cc1cnc(NCCc2ccc([N+](=O)[O-])cc2)nc1C ZINC000132689150 377867510 /nfs/dbraw/zinc/86/75/10/377867510.db2.gz VVRKAFYJSNLNOM-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1nc(Sc2n[nH]c(C(C)(C)C)n2)ccc1[N+](=O)[O-] ZINC000132837399 377880932 /nfs/dbraw/zinc/88/09/32/377880932.db2.gz MANCUKAFTQBSSE-UHFFFAOYSA-N 0 0 293.352 2.865 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1C)[C@H]1CCCO1 ZINC000132857588 377882777 /nfs/dbraw/zinc/88/27/77/377882777.db2.gz HSLGJWLUJSDIRW-GXTWGEPZSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132568655 377853435 /nfs/dbraw/zinc/85/34/35/377853435.db2.gz DIFJDGJXZGLOIL-NSHDSACASA-N 0 0 283.255 2.599 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2ccc(F)cc2[N+](=O)[O-])n[nH]1 ZINC000133535573 377938859 /nfs/dbraw/zinc/93/88/59/377938859.db2.gz XTRZCFWLNUREFN-MRVPVSSYSA-N 0 0 278.287 2.809 20 5 CFBDRN C[C@@H](Oc1c(Br)cccc1[N+](=O)[O-])[C@H](C)O ZINC000133615960 377943881 /nfs/dbraw/zinc/94/38/81/377943881.db2.gz AFYBYZJRQTXCBK-NKWVEPMBSA-N 0 0 290.113 2.505 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000133134682 377908259 /nfs/dbraw/zinc/90/82/59/377908259.db2.gz QCWZARHINMZMKE-ZJUUUORDSA-N 0 0 284.743 2.988 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000134368001 378006175 /nfs/dbraw/zinc/00/61/75/378006175.db2.gz CETNHCGQMFWRPK-ZANVPECISA-N 0 0 276.292 2.539 20 5 CFBDRN Cc1ccnc(NCc2ccc(O)cc2)c1[N+](=O)[O-] ZINC000134679202 378042832 /nfs/dbraw/zinc/04/28/32/378042832.db2.gz YAGZHLAFMITXOG-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000266012620 190223079 /nfs/dbraw/zinc/22/30/79/190223079.db2.gz JQYMOLOKELQULQ-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CCc1nn(C)cc1CNc1cccc(OC)c1[N+](=O)[O-] ZINC000134929932 378065471 /nfs/dbraw/zinc/06/54/71/378065471.db2.gz GZFXKHINZZQODP-UHFFFAOYSA-N 0 0 290.323 2.511 20 5 CFBDRN COc1cccc(NC[C@@]2(C)CCCO2)c1[N+](=O)[O-] ZINC000134926623 378065618 /nfs/dbraw/zinc/06/56/18/378065618.db2.gz DORXYYBOLBQQSJ-CYBMUJFWSA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1cc(NCC2(CO)CCCC2)ccc1[N+](=O)[O-] ZINC000135108614 378082734 /nfs/dbraw/zinc/08/27/34/378082734.db2.gz RMKXVWQHOUOQOR-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CCCC2)c(F)c1 ZINC000135108305 378082793 /nfs/dbraw/zinc/08/27/93/378082793.db2.gz ZAPRXMXTBOSFBO-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@H](CO)C1CC1 ZINC000432150549 383726361 /nfs/dbraw/zinc/72/63/61/383726361.db2.gz NMZOYVGMLFQWLS-VIFPVBQESA-N 0 0 270.716 2.679 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000135369446 378125106 /nfs/dbraw/zinc/12/51/06/378125106.db2.gz NWVVHXLZSDCEEV-SNVBAGLBSA-N 0 0 296.298 2.514 20 5 CFBDRN CC(C)N(CCOc1ccc([N+](=O)[O-])cc1)CC(F)F ZINC000135412686 378128179 /nfs/dbraw/zinc/12/81/79/378128179.db2.gz QSQOUUWELYGJQN-UHFFFAOYSA-N 0 0 288.294 2.949 20 5 CFBDRN CSC[C@@H]1CCCN1Cc1csc([N+](=O)[O-])c1 ZINC000135438446 378130882 /nfs/dbraw/zinc/13/08/82/378130882.db2.gz ZTHJTBFANZNYHU-JTQLQIEISA-N 0 0 272.395 2.984 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCCSC1 ZINC000135660270 378154911 /nfs/dbraw/zinc/15/49/11/378154911.db2.gz SUMONGMJDQPDAR-VIFPVBQESA-N 0 0 253.327 2.606 20 5 CFBDRN C[C@H]([C@H]1Cc2ccccc2O1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000135560479 378144704 /nfs/dbraw/zinc/14/47/04/378144704.db2.gz QZJOJEQEFCNYDZ-IAQYHMDHSA-N 0 0 299.330 2.818 20 5 CFBDRN CC[C@@]1(C)CN(Cc2cc([N+](=O)[O-])ccc2OC)CCO1 ZINC000135702076 378159458 /nfs/dbraw/zinc/15/94/58/378159458.db2.gz WCSOBIVLAYYJIR-HNNXBMFYSA-N 0 0 294.351 2.604 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000151053496 378290376 /nfs/dbraw/zinc/29/03/76/378290376.db2.gz UOVWGNSAQCHVQX-VIFPVBQESA-N 0 0 266.272 2.606 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ncc[nH]1 ZINC000138903780 378233586 /nfs/dbraw/zinc/23/35/86/378233586.db2.gz JHJAGCSWOBAQJU-VHSXEESVSA-N 0 0 260.297 2.730 20 5 CFBDRN CCC1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000266075290 190228687 /nfs/dbraw/zinc/22/86/87/190228687.db2.gz MQWRIBNECQOYNA-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)c1ncc[nH]1 ZINC000139774711 378237375 /nfs/dbraw/zinc/23/73/75/378237375.db2.gz AIKMZVCUYGYBRV-VIFPVBQESA-N 0 0 261.285 2.585 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)c1ncc[nH]1 ZINC000140523234 378238860 /nfs/dbraw/zinc/23/88/60/378238860.db2.gz CHBNTURGLCSTFM-NSHDSACASA-N 0 0 288.307 2.507 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1C)c1ncc[nH]1 ZINC000140592584 378239756 /nfs/dbraw/zinc/23/97/56/378239756.db2.gz MBPGVGYXENETBW-LLVKDONJSA-N 0 0 288.307 2.507 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])CC[N@@H+]1C ZINC000148565411 378258047 /nfs/dbraw/zinc/25/80/47/378258047.db2.gz ADALXPRBADQAAS-WPRPVWTQSA-N 0 0 284.747 2.538 20 5 CFBDRN CC[C@@H](NCc1ccon1)c1cccc([N+](=O)[O-])c1 ZINC000148646857 378259606 /nfs/dbraw/zinc/25/96/06/378259606.db2.gz KZUWFTWHSBJJIJ-CYBMUJFWSA-N 0 0 261.281 2.824 20 5 CFBDRN CCCN(CCO)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000152299236 378407512 /nfs/dbraw/zinc/40/75/12/378407512.db2.gz NFVYOWGGBZRLCF-UHFFFAOYSA-N 0 0 296.367 2.981 20 5 CFBDRN CCN(CC)C(=O)CCCNc1cc(C)ccc1[N+](=O)[O-] ZINC000151916854 378372340 /nfs/dbraw/zinc/37/23/40/378372340.db2.gz VBPVMQJNXFILPW-UHFFFAOYSA-N 0 0 293.367 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](C)OC(C)(C)C2)c1 ZINC000151950787 378375730 /nfs/dbraw/zinc/37/57/30/378375730.db2.gz YQSCRHUELGNQMI-LLVKDONJSA-N 0 0 264.325 2.907 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1C[C@@H]2CCC[C@H]2C1 ZINC000152505951 378421538 /nfs/dbraw/zinc/42/15/38/378421538.db2.gz QGCFNXAKNNZEDX-UWVGGRQHSA-N 0 0 278.283 2.606 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1C=CCCC1 ZINC000152506813 378422684 /nfs/dbraw/zinc/42/26/84/378422684.db2.gz KOASFNQVLSWVIS-JTQLQIEISA-N 0 0 261.281 2.825 20 5 CFBDRN C[C@@]1(CNc2ncc(Cl)cc2[N+](=O)[O-])CCCC[C@H]1O ZINC000153898757 378522203 /nfs/dbraw/zinc/52/22/03/378522203.db2.gz RMLJZYDNDFUWFS-YPMHNXCESA-N 0 0 299.758 2.996 20 5 CFBDRN C[C@H]1CCCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330560332 378583318 /nfs/dbraw/zinc/58/33/18/378583318.db2.gz BJUFLZGKYIDZGJ-JTQLQIEISA-N 0 0 250.298 2.675 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H]2OCCC[C@@H]2C1 ZINC000154184280 378546290 /nfs/dbraw/zinc/54/62/90/378546290.db2.gz NIDGLGXMQTWYOE-BXKDBHETSA-N 0 0 297.742 2.649 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)C[C@H]1CCCO1 ZINC000330431006 378553797 /nfs/dbraw/zinc/55/37/97/378553797.db2.gz JGHKOVWLKSCLMX-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000330507123 378573598 /nfs/dbraw/zinc/57/35/98/378573598.db2.gz ASUSXSXCVLLMEI-NXEZZACHSA-N 0 0 276.292 2.539 20 5 CFBDRN CC(C)c1ccc(CN2C[C@@H](F)C[C@H]2CO)cc1[N+](=O)[O-] ZINC000330533278 378580696 /nfs/dbraw/zinc/58/06/96/378580696.db2.gz HVRUDWGRUMGKAU-STQMWFEESA-N 0 0 296.342 2.623 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2cccc([N+](=O)[O-])c2OC)C1 ZINC000331077417 378627227 /nfs/dbraw/zinc/62/72/27/378627227.db2.gz WESQCRZAGDORPS-OAHLLOKOSA-N 0 0 292.335 2.866 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@H]1CCC(F)(F)C1 ZINC000331089779 378631724 /nfs/dbraw/zinc/63/17/24/378631724.db2.gz UMIPROWHSRRMQC-VIFPVBQESA-N 0 0 284.262 2.646 20 5 CFBDRN CCNc1ccc(C(=O)N2CC[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000331099169 378632536 /nfs/dbraw/zinc/63/25/36/378632536.db2.gz AKPCMDGGQFBHNN-GHMZBOCLSA-N 0 0 291.351 2.897 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1CCCOCC1 ZINC000156439419 378640454 /nfs/dbraw/zinc/64/04/54/378640454.db2.gz SQPCCCGYTJYDAB-VIFPVBQESA-N 0 0 254.261 2.715 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000331037406 378619544 /nfs/dbraw/zinc/61/95/44/378619544.db2.gz OEGWJNRWSPKVKQ-IINYFYTJSA-N 0 0 292.335 2.545 20 5 CFBDRN CCCN(C(=O)[C@@H]1CO[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000331261356 378659347 /nfs/dbraw/zinc/65/93/47/378659347.db2.gz CAOHLZDFJGXBQJ-RYUDHWBXSA-N 0 0 292.335 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cnc3c2CCCC3)cc1 ZINC000159727019 378727771 /nfs/dbraw/zinc/72/77/71/378727771.db2.gz GCFAHTKPJPQNOG-UHFFFAOYSA-N 0 0 257.293 2.718 20 5 CFBDRN COC1([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000332451003 378765207 /nfs/dbraw/zinc/76/52/07/378765207.db2.gz HCVNWSJHQZSVHC-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1nc(N[C@@H]2CCO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000160353913 378765293 /nfs/dbraw/zinc/76/52/93/378765293.db2.gz CTFBEBQRLYCPEU-DGCLKSJQSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)c([N+](=O)[O-])cc2F)[C@H]1C ZINC000160755417 378795937 /nfs/dbraw/zinc/79/59/37/378795937.db2.gz QDTUNKDRRFWTHA-YUMQZZPRSA-N 0 0 284.262 2.744 20 5 CFBDRN Cc1cc(N[C@@H](CO)CC(C)(C)C)ncc1[N+](=O)[O-] ZINC000161406865 378844262 /nfs/dbraw/zinc/84/42/62/378844262.db2.gz WESUTQUMXNSFHU-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1nc(C)c(CNC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000266260500 190244299 /nfs/dbraw/zinc/24/42/99/190244299.db2.gz WGPQICWPYLRIJI-UHFFFAOYSA-N 0 0 297.361 2.660 20 5 CFBDRN O=[N+]([O-])c1cccc(Cn2nc(C3CC3)nc2C2CC2)c1 ZINC000161454532 378848337 /nfs/dbraw/zinc/84/83/37/378848337.db2.gz UAYUDZLFGFRKRN-UHFFFAOYSA-N 0 0 284.319 2.989 20 5 CFBDRN C[C@@](O)(CNc1ncc([N+](=O)[O-])cc1Cl)c1ccco1 ZINC000266249191 190243064 /nfs/dbraw/zinc/24/30/64/190243064.db2.gz MRNPIUYQRUDRNY-GFCCVEGCSA-N 0 0 297.698 2.556 20 5 CFBDRN CC[C@@H](C)OCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000161611562 378861141 /nfs/dbraw/zinc/86/11/41/378861141.db2.gz KMNMOCWMAPZHFD-NEPJUHHUSA-N 0 0 294.351 2.896 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCCN(CC(F)F)CC1 ZINC000162219518 378900774 /nfs/dbraw/zinc/90/07/74/378900774.db2.gz YKWRSXUPTDTGET-UHFFFAOYSA-N 0 0 299.321 2.680 20 5 CFBDRN CCCC1(CNc2ccc([N+](=O)[O-])cc2C(=O)NC)CC1 ZINC000162869506 378940022 /nfs/dbraw/zinc/94/00/22/378940022.db2.gz MUUTWNBUMHAONS-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000162605272 378921209 /nfs/dbraw/zinc/92/12/09/378921209.db2.gz HVRDZOBONONHPZ-GXFFZTMASA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2Cc1nccs1 ZINC000163038520 378950991 /nfs/dbraw/zinc/95/09/91/378950991.db2.gz VCPXRGKRNZNZDU-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@]1(C)CCOC1 ZINC000164231227 379009179 /nfs/dbraw/zinc/00/91/79/379009179.db2.gz FBRGLSSRXCKYLB-CQSZACIVSA-N 0 0 293.323 2.512 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H]1C(F)F ZINC000334288723 379038378 /nfs/dbraw/zinc/03/83/78/379038378.db2.gz JJKRMZYPLPJRCG-SNVBAGLBSA-N 0 0 288.225 2.604 20 5 CFBDRN Cc1ccc(F)c(NC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000334282212 379028242 /nfs/dbraw/zinc/02/82/42/379028242.db2.gz TYKNEVRJHNZUIP-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@H](C2CC2)C1 ZINC000335290147 379032484 /nfs/dbraw/zinc/03/24/84/379032484.db2.gz MBBGLCPQMIJQRU-NSHDSACASA-N 0 0 299.330 2.948 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1nnc(C2CC2)o1 ZINC000278675179 194098211 /nfs/dbraw/zinc/09/82/11/194098211.db2.gz GJTBLMXQLTZNAR-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN COCC1=CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC1 ZINC000167753879 379079192 /nfs/dbraw/zinc/07/91/92/379079192.db2.gz MXIAHVJJMDPFFN-UHFFFAOYSA-N 0 0 290.319 2.580 20 5 CFBDRN C[C@@H](CO)Sc1ccc2ncccc2c1[N+](=O)[O-] ZINC000168747276 379095833 /nfs/dbraw/zinc/09/58/33/379095833.db2.gz LVGABWOCCZJGED-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1CC1CC(F)(F)C1)CCCC2 ZINC000335344422 379128358 /nfs/dbraw/zinc/12/83/58/379128358.db2.gz DTJRSHYBXKVEPG-UHFFFAOYSA-N 0 0 298.289 2.681 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2[C@H](C)C[C@@H]2C)c1 ZINC000335339140 379119267 /nfs/dbraw/zinc/11/92/67/379119267.db2.gz INMYSXDQAVWLTL-DTORHVGOSA-N 0 0 280.349 2.940 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2[C@H](C)C[C@H]2C)c1 ZINC000335339142 379119339 /nfs/dbraw/zinc/11/93/39/379119339.db2.gz INMYSXDQAVWLTL-RKDXNWHRSA-N 0 0 280.349 2.940 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C[C@H]1C ZINC000335338718 379119374 /nfs/dbraw/zinc/11/93/74/379119374.db2.gz NTLMILFJYZBAJJ-DTORHVGOSA-N 0 0 282.727 2.976 20 5 CFBDRN O=C(N[C@H]1CCC[C@H](F)C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000335406907 379231988 /nfs/dbraw/zinc/23/19/88/379231988.db2.gz FFJITPBHBDPYLG-WPRPVWTQSA-N 0 0 284.262 2.744 20 5 CFBDRN COc1cccc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000266515929 190262993 /nfs/dbraw/zinc/26/29/93/190262993.db2.gz YNIABVLYDOGORP-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000171486832 379321072 /nfs/dbraw/zinc/32/10/72/379321072.db2.gz SXQKITKAPNMFST-UHFFFAOYSA-N 0 0 254.311 2.671 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000335459927 379332420 /nfs/dbraw/zinc/33/24/20/379332420.db2.gz NAJFXZLXFUHWSN-LLVKDONJSA-N 0 0 276.336 2.927 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nc(CC2CC2)no1 ZINC000266565877 190267129 /nfs/dbraw/zinc/26/71/29/190267129.db2.gz KJYYRMKAYNFXMO-UHFFFAOYSA-N 0 0 275.264 2.509 20 5 CFBDRN COC(=O)c1coc(CSc2ncccc2[N+](=O)[O-])c1 ZINC000171412598 379301308 /nfs/dbraw/zinc/30/13/08/379301308.db2.gz NUQLTLLJLKVIRS-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1CF ZINC000335490272 379392349 /nfs/dbraw/zinc/39/23/49/379392349.db2.gz XSHNUPHLSGNFLK-NSHDSACASA-N 0 0 280.299 2.786 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000266631813 190272413 /nfs/dbraw/zinc/27/24/13/190272413.db2.gz XASKKMFNTIXCAY-SKDRFNHKSA-N 0 0 280.349 2.668 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000334474077 379362600 /nfs/dbraw/zinc/36/26/00/379362600.db2.gz KINSYJULLPZPFQ-SNVBAGLBSA-N 0 0 285.303 2.525 20 5 CFBDRN Cc1ccc(NC(=O)[C@@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000335494722 379399466 /nfs/dbraw/zinc/39/94/66/379399466.db2.gz JLLWWVDGGNEMPI-JQWIXIFHSA-N 0 0 252.245 2.590 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)CC2CCCCC2)c1[N+](=O)[O-] ZINC000171773949 379400187 /nfs/dbraw/zinc/40/01/87/379400187.db2.gz OUDUCGGSBFKALR-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN O=c1onc(-c2ccc([N+](=O)[O-])cc2)n1[C@@H]1C=CCCC1 ZINC000171793390 379404730 /nfs/dbraw/zinc/40/47/30/379404730.db2.gz AUXFSUAWWBSVRT-LLVKDONJSA-N 0 0 287.275 2.693 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N1CCC12CCC2 ZINC000335530320 379460692 /nfs/dbraw/zinc/46/06/92/379460692.db2.gz ZSWZTKZVJPTORN-UHFFFAOYSA-N 0 0 296.273 2.571 20 5 CFBDRN CN(Cc1cnn(C)c1)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432336531 383737740 /nfs/dbraw/zinc/73/77/40/383737740.db2.gz QASUFKBWVXFYDF-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN CSCC[C@@H](C)N(C)c1cc(C)c([N+](=O)[O-])cn1 ZINC000266846759 190284232 /nfs/dbraw/zinc/28/42/32/190284232.db2.gz PIJLPUQQMAJBPN-SNVBAGLBSA-N 0 0 269.370 2.876 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)CF ZINC000288098076 197004318 /nfs/dbraw/zinc/00/43/18/197004318.db2.gz GTKSWHLGLJZICR-DTWKUNHWSA-N 0 0 286.328 2.550 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000334594276 379592770 /nfs/dbraw/zinc/59/27/70/379592770.db2.gz GSZABUOYMBKFAC-WFASDCNBSA-N 0 0 288.347 2.847 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C)C(C)C ZINC000172452723 379571769 /nfs/dbraw/zinc/57/17/69/379571769.db2.gz BUTXFIOKJPYEAP-NSHDSACASA-N 0 0 264.325 2.925 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000172455457 379572754 /nfs/dbraw/zinc/57/27/54/379572754.db2.gz WXEWKNFAIBTDTI-JTQLQIEISA-N 0 0 286.353 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]2(O)CCSC2)c(Cl)c1 ZINC000267067327 190301140 /nfs/dbraw/zinc/30/11/40/190301140.db2.gz QFHHIUUUGPACBW-LLVKDONJSA-N 0 0 288.756 2.528 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000172638383 379611813 /nfs/dbraw/zinc/61/18/13/379611813.db2.gz ZYFBPPQKUIESDO-QWRGUYRKSA-N 0 0 262.309 2.855 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000267017874 190296963 /nfs/dbraw/zinc/29/69/63/190296963.db2.gz JSMBQHUVYUUVPX-GWCFXTLKSA-N 0 0 282.315 2.733 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000335628212 379623305 /nfs/dbraw/zinc/62/33/05/379623305.db2.gz YNRGYWYJZJPNSJ-DOFRTFSJSA-N 0 0 261.281 2.515 20 5 CFBDRN CN(C(=O)c1c(Cl)cccc1[N+](=O)[O-])C1CCC1 ZINC000172691946 379624188 /nfs/dbraw/zinc/62/41/88/379624188.db2.gz MAJCCXMTMPLODG-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1cc(NC[C@]2(C)CCCC[C@@H]2O)ncc1[N+](=O)[O-] ZINC000267138242 190305988 /nfs/dbraw/zinc/30/59/88/190305988.db2.gz XHFISCDXXOBRLG-JSGCOSHPSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1cc(NC[C@H]2CCO[C@@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000267213693 190312698 /nfs/dbraw/zinc/31/26/98/190312698.db2.gz MJLOVHHJEVNEDH-BXUZGUMPSA-N 0 0 279.340 2.771 20 5 CFBDRN CCCC[C@@H](CCC)NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000267132763 190305350 /nfs/dbraw/zinc/30/53/50/190305350.db2.gz FFSLNALMGXAGEA-SNVBAGLBSA-N 0 0 282.344 2.715 20 5 CFBDRN CN(C[C@H]1CCOC1)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000267305952 190319702 /nfs/dbraw/zinc/31/97/02/190319702.db2.gz VZJZNAJXJIZTMU-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCSC2)c1 ZINC000267303876 190319908 /nfs/dbraw/zinc/31/99/08/190319908.db2.gz YKVXMCHMPNNHQF-SNVBAGLBSA-N 0 0 280.349 2.529 20 5 CFBDRN CCc1ccc(C(=O)N(C)C(C)C)cc1[N+](=O)[O-] ZINC000173285063 379720858 /nfs/dbraw/zinc/72/08/58/379720858.db2.gz IPNSSWKSQSHCBJ-UHFFFAOYSA-N 0 0 250.298 2.638 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000267256059 190315746 /nfs/dbraw/zinc/31/57/46/190315746.db2.gz NCQHHZNOCAHXEH-QMMMGPOBSA-N 0 0 286.378 2.590 20 5 CFBDRN CCc1ccc(C(=O)N2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000173491624 379744244 /nfs/dbraw/zinc/74/42/44/379744244.db2.gz UFYPHLVGKFRCAM-JTQLQIEISA-N 0 0 262.309 2.782 20 5 CFBDRN CC1(C)CCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173508010 379746958 /nfs/dbraw/zinc/74/69/58/379746958.db2.gz NFEVMNCGJIOJJX-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000173899033 379795166 /nfs/dbraw/zinc/79/51/66/379795166.db2.gz BXIIAPJCWHEGIQ-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN Cc1cc(NCCCNc2ccccn2)ncc1[N+](=O)[O-] ZINC000173913670 379800072 /nfs/dbraw/zinc/80/00/72/379800072.db2.gz LSLATJQSAHFREF-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN C[C@H](NC(=O)CC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000173625879 379758883 /nfs/dbraw/zinc/75/88/83/379758883.db2.gz VVMFVNANQWCFMC-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN CCCC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 ZINC000173635855 379760462 /nfs/dbraw/zinc/76/04/62/379760462.db2.gz CFGAVGWLAVECBN-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN CC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000173688551 379765424 /nfs/dbraw/zinc/76/54/24/379765424.db2.gz GWOUWWFVANRPCK-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000334696136 379782029 /nfs/dbraw/zinc/78/20/29/379782029.db2.gz LJBSLLLMHDELLL-LBPRGKRZSA-N 0 0 275.308 2.919 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000173825601 379784564 /nfs/dbraw/zinc/78/45/64/379784564.db2.gz JNNHWJQDQOIZBR-LLVKDONJSA-N 0 0 294.351 2.837 20 5 CFBDRN Cc1noc(CCCNc2ccc(C)cc2[N+](=O)[O-])n1 ZINC000267509038 190335404 /nfs/dbraw/zinc/33/54/04/190335404.db2.gz BFRJNTYDDMIYNO-UHFFFAOYSA-N 0 0 276.296 2.639 20 5 CFBDRN O=C([C@H]1CCC(F)(F)C1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000335760989 379845328 /nfs/dbraw/zinc/84/53/28/379845328.db2.gz HVCPABIIKQKYKI-JTQLQIEISA-N 0 0 296.273 2.919 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335806964 379921098 /nfs/dbraw/zinc/92/10/98/379921098.db2.gz BRTXCZVZKFIPJE-SECBINFHSA-N 0 0 281.287 2.998 20 5 CFBDRN CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2c1ccc([N+](=O)[O-])cc1F ZINC000267553641 190337416 /nfs/dbraw/zinc/33/74/16/190337416.db2.gz SGQVNHJLJZSAIH-YPMHNXCESA-N 0 0 293.342 2.797 20 5 CFBDRN CC(C)[C@@H](CNc1c([N+](=O)[O-])ncn1C)c1cccnc1 ZINC000267560712 190338086 /nfs/dbraw/zinc/33/80/86/190338086.db2.gz UWSYGWWVKJKTFL-GFCCVEGCSA-N 0 0 289.339 2.575 20 5 CFBDRN CC(C)(C)C1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000334762395 379903621 /nfs/dbraw/zinc/90/36/21/379903621.db2.gz RCBLEJZYLFWSNQ-UHFFFAOYSA-N 0 0 262.309 2.713 20 5 CFBDRN Cc1cccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)c1O ZINC000174977758 379973829 /nfs/dbraw/zinc/97/38/29/379973829.db2.gz QJCJIJKVMJEVQX-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C[C@H]1C ZINC000267656372 190344928 /nfs/dbraw/zinc/34/49/28/190344928.db2.gz MPAPCENTLZSHMI-AOOOYVTPSA-N 0 0 296.754 2.905 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1ccoc1 ZINC000175041324 379983546 /nfs/dbraw/zinc/98/35/46/379983546.db2.gz XDWLTVFUQMCVQX-UHFFFAOYSA-N 0 0 262.265 2.946 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000175003499 379978394 /nfs/dbraw/zinc/97/83/94/379978394.db2.gz YBSNEGCRKCTILJ-LBPRGKRZSA-N 0 0 262.309 2.903 20 5 CFBDRN CC[C@@H](OC)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000267673875 190346357 /nfs/dbraw/zinc/34/63/57/190346357.db2.gz AJGSMBZSKWJFST-GXFFZTMASA-N 0 0 280.324 2.505 20 5 CFBDRN C[C@H](N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-])C1(C)CC1 ZINC000267685782 190347606 /nfs/dbraw/zinc/34/76/06/190347606.db2.gz CSBTZVAKSOGYKY-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H](N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-])C1(C)CC1 ZINC000267685781 190347670 /nfs/dbraw/zinc/34/76/70/190347670.db2.gz CSBTZVAKSOGYKY-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN CN(Cc1cc(Cl)ccc1[N+](=O)[O-])C[C@H]1CCCO1 ZINC000174841772 379949704 /nfs/dbraw/zinc/94/97/04/379949704.db2.gz FWSAOSKIRQUVLE-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN CCS[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000175270796 380034187 /nfs/dbraw/zinc/03/41/87/380034187.db2.gz YNGZCMZQYXWNOR-GXSJLCMTSA-N 0 0 298.364 2.982 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)Cc1nccs1 ZINC000175077038 379991126 /nfs/dbraw/zinc/99/11/26/379991126.db2.gz AUBWQCHOLSZRTL-UHFFFAOYSA-N 0 0 269.351 2.745 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN(C)C1CC1 ZINC000175095666 379995814 /nfs/dbraw/zinc/99/58/14/379995814.db2.gz KFXSHKLICPQSEI-UHFFFAOYSA-N 0 0 250.298 2.588 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC(C2CCCCC2)C1 ZINC000335203829 380003383 /nfs/dbraw/zinc/00/33/83/380003383.db2.gz GRDNLSHHHFAWQA-UHFFFAOYSA-N 0 0 277.324 2.575 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@H]1C ZINC000175153869 380008983 /nfs/dbraw/zinc/00/89/83/380008983.db2.gz JUGXHMJYKSCJTE-SNVBAGLBSA-N 0 0 250.298 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@H](C)C1 ZINC000267721597 190350357 /nfs/dbraw/zinc/35/03/57/190350357.db2.gz JGUOPGDPQSUDCT-PHIMTYICSA-N 0 0 262.309 2.631 20 5 CFBDRN C[C@H]1CCN(C(=O)NCCc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000267756490 190352771 /nfs/dbraw/zinc/35/27/71/190352771.db2.gz PUHHAKKJCVOYDF-RYUDHWBXSA-N 0 0 291.351 2.577 20 5 CFBDRN CCN(Cc1ccccn1)c1cc(C)c([N+](=O)[O-])cn1 ZINC000267782727 190354380 /nfs/dbraw/zinc/35/43/80/190354380.db2.gz NUMCNZDNDFXUFZ-UHFFFAOYSA-N 0 0 272.308 2.720 20 5 CFBDRN CC(C)C1(C)CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000335882722 380047803 /nfs/dbraw/zinc/04/78/03/380047803.db2.gz AXMRXZLCAVDBHE-UHFFFAOYSA-N 0 0 279.340 2.821 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000267989441 190367631 /nfs/dbraw/zinc/36/76/31/190367631.db2.gz WAZLDMNKNYOZCC-VHSXEESVSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000335261445 380099605 /nfs/dbraw/zinc/09/96/05/380099605.db2.gz JZSASNHJGZAELO-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000268137138 190379680 /nfs/dbraw/zinc/37/96/80/190379680.db2.gz PAVCHWORSOUVOI-PSASIEDQSA-N 0 0 268.338 2.965 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000175734267 380121876 /nfs/dbraw/zinc/12/18/76/380121876.db2.gz ZKCXUKVFXFNOMA-WDEREUQCSA-N 0 0 277.324 2.850 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000175974929 380163540 /nfs/dbraw/zinc/16/35/40/380163540.db2.gz VFZYXXRZPPJANQ-RYUDHWBXSA-N 0 0 291.351 2.925 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC(F)(F)C1 ZINC000335960395 380163893 /nfs/dbraw/zinc/16/38/93/380163893.db2.gz NMVHSUBWNPFDID-UHFFFAOYSA-N 0 0 295.245 2.557 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC1(C)C ZINC000335966313 380172089 /nfs/dbraw/zinc/17/20/89/380172089.db2.gz TUJYTHJRXNLJLQ-LLVKDONJSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000268222086 190387215 /nfs/dbraw/zinc/38/72/15/190387215.db2.gz KCSRPMXGBQRSLQ-KOLCDFICSA-N 0 0 277.324 2.684 20 5 CFBDRN CCc1n[nH]c(C(=O)NCC2(C)CCCCC2)c1[N+](=O)[O-] ZINC000268218444 190387438 /nfs/dbraw/zinc/38/74/38/190387438.db2.gz PULHAWSWVMVKLS-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCC2(C)C)c1 ZINC000176096951 380178687 /nfs/dbraw/zinc/17/86/87/380178687.db2.gz DPLBMNFTQRBDBY-ZDUSSCGKSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@H]3OCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000268361118 190398114 /nfs/dbraw/zinc/39/81/14/190398114.db2.gz CLTURQUFLCXREO-YRGRVCCFSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCO[C@@H]1CCOC1 ZINC000268250934 190389554 /nfs/dbraw/zinc/38/95/54/190389554.db2.gz PHDCSPMPUHMPFT-CYBMUJFWSA-N 0 0 280.324 2.511 20 5 CFBDRN CC(C)[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000335979949 380190164 /nfs/dbraw/zinc/19/01/64/380190164.db2.gz IYTKSQJLVUWDDN-NSHDSACASA-N 0 0 279.340 2.821 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000268254853 190390618 /nfs/dbraw/zinc/39/06/18/190390618.db2.gz IIBGOPGPTXWTBM-LBPRGKRZSA-N 0 0 264.325 2.846 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2C[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000176547765 380253770 /nfs/dbraw/zinc/25/37/70/380253770.db2.gz PRTFWVLFDPZHFF-VXGBXAGGSA-N 0 0 260.293 2.888 20 5 CFBDRN O=C([C@H]1C[C@H]1C1CC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000176595380 380255746 /nfs/dbraw/zinc/25/57/46/380255746.db2.gz COHFTZMUQBYCNP-STQMWFEESA-N 0 0 272.304 2.530 20 5 CFBDRN O=C([C@H]1C[C@@H]1C1CC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000176610013 380256497 /nfs/dbraw/zinc/25/64/97/380256497.db2.gz UCNHXCWXEVMINL-OLZOCXBDSA-N 0 0 272.304 2.530 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000274646855 296307080 /nfs/dbraw/zinc/30/70/80/296307080.db2.gz GJNITRLZVZNOEV-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1nc(N[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000268476361 190405515 /nfs/dbraw/zinc/40/55/15/190405515.db2.gz KHLGZLCIZLVKKH-ZWKOPEQDSA-N 0 0 277.324 2.524 20 5 CFBDRN Cc1nc(NC[C@@H](CCO)CC(C)C)ccc1[N+](=O)[O-] ZINC000268488945 190406083 /nfs/dbraw/zinc/40/60/83/190406083.db2.gz NAONRAXWOVPJPN-LBPRGKRZSA-N 0 0 281.356 2.755 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000336176163 380280582 /nfs/dbraw/zinc/28/05/82/380280582.db2.gz FBQKIKSJJRZHNA-LBPRGKRZSA-N 0 0 262.309 2.713 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H](C)S[C@@H](C)C1 ZINC000336504176 380378174 /nfs/dbraw/zinc/37/81/74/380378174.db2.gz BAGYVSLTZUXWDL-PHIMTYICSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)S[C@@H](C)C1 ZINC000336504177 380378394 /nfs/dbraw/zinc/37/83/94/380378394.db2.gz BAGYVSLTZUXWDL-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCCC2(CCCC2)C1 ZINC000336510437 380387296 /nfs/dbraw/zinc/38/72/96/380387296.db2.gz ASZTXKWHMIMQOP-UHFFFAOYSA-N 0 0 291.351 2.730 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cn1nccc1-c1cccnc1 ZINC000177485062 380347607 /nfs/dbraw/zinc/34/76/07/380347607.db2.gz CAANXPUTWSMFDE-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CCSC1 ZINC000279067617 194270138 /nfs/dbraw/zinc/27/01/38/194270138.db2.gz FYFKKNKUZWQWRD-SNVBAGLBSA-N 0 0 295.364 2.778 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@@H]2CCCC[C@H]2C1 ZINC000178266481 380429045 /nfs/dbraw/zinc/42/90/45/380429045.db2.gz QRNZKWNJVDPMIC-UWVGGRQHSA-N 0 0 280.349 2.919 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCSC1CCCCC1 ZINC000159340180 322321331 /nfs/dbraw/zinc/32/13/31/322321331.db2.gz YILSNJWISIIKHH-UHFFFAOYSA-N 0 0 282.365 2.822 20 5 CFBDRN COc1ncccc1COc1ccc([N+](=O)[O-])cc1C ZINC000178989934 380500131 /nfs/dbraw/zinc/50/01/31/380500131.db2.gz UELDKXSGSURRMK-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CS[C@H](C)CC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000179247907 380524936 /nfs/dbraw/zinc/52/49/36/380524936.db2.gz MCXUQLXPXAWSTD-NXEZZACHSA-N 0 0 282.365 2.914 20 5 CFBDRN CCOC(=O)CN(Cc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000179424419 380541225 /nfs/dbraw/zinc/54/12/25/380541225.db2.gz KCEMULJWZGEQGG-UHFFFAOYSA-N 0 0 294.351 2.677 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCCOCC1 ZINC000180367752 380648090 /nfs/dbraw/zinc/64/80/90/380648090.db2.gz SVMWAFQMZJRHGP-LLVKDONJSA-N 0 0 293.323 2.594 20 5 CFBDRN CCc1ccc(OCCC[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000336792827 380639060 /nfs/dbraw/zinc/63/90/60/380639060.db2.gz UIEKFJULJMMRST-SNVBAGLBSA-N 0 0 253.298 2.697 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCc1ccccc1 ZINC000336722397 380614312 /nfs/dbraw/zinc/61/43/12/380614312.db2.gz VUUWFNKVHIQUBS-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN Cc1ccc(-c2nnc([C@H](C)n3cc([N+](=O)[O-])cn3)o2)cc1 ZINC000180508882 380669239 /nfs/dbraw/zinc/66/92/39/380669239.db2.gz PHUZGZFXXYBRTL-JTQLQIEISA-N 0 0 299.290 2.759 20 5 CFBDRN CC1(C)[C@@H](O)CCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000336814380 380674221 /nfs/dbraw/zinc/67/42/21/380674221.db2.gz FLCWBKRFVNDJAZ-LBPRGKRZSA-N 0 0 284.743 2.593 20 5 CFBDRN CO[C@@H](C)CCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000180836167 380704247 /nfs/dbraw/zinc/70/42/47/380704247.db2.gz XJLSRYSGCNHNAK-VIFPVBQESA-N 0 0 266.297 2.657 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(N[C@@H]3CC34CCCCC4)nn21 ZINC000181265975 380766909 /nfs/dbraw/zinc/76/69/09/380766909.db2.gz IECHUESBEMLTAK-SNVBAGLBSA-N 0 0 287.323 2.772 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000279183678 194321565 /nfs/dbraw/zinc/32/15/65/194321565.db2.gz VTJSMLFGBJSXNC-WDEREUQCSA-N 0 0 278.308 2.657 20 5 CFBDRN CCCSCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295391426 380825917 /nfs/dbraw/zinc/82/59/17/380825917.db2.gz QMSSEAUFRIMRSU-JTQLQIEISA-N 0 0 282.365 2.915 20 5 CFBDRN CCC1(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000295389910 380824261 /nfs/dbraw/zinc/82/42/61/380824261.db2.gz QLBINZONKUTIID-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN CO[C@H](C)CCC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000181426824 380791462 /nfs/dbraw/zinc/79/14/62/380791462.db2.gz RTLVOQGSZYMLSI-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])C[C@@H]1CCCC[C@@H]1O ZINC000181484114 380803954 /nfs/dbraw/zinc/80/39/54/380803954.db2.gz PEDSAHCEQIOEDB-NHYWBVRUSA-N 0 0 296.342 2.717 20 5 CFBDRN CC(C)(C(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000287348181 380810894 /nfs/dbraw/zinc/81/08/94/380810894.db2.gz XGHUVEOMXDQKBD-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1ccn(C)c1C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295382216 380821050 /nfs/dbraw/zinc/82/10/50/380821050.db2.gz PRZWZJJZEYLHLN-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN COC1(CC(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000295386187 380822282 /nfs/dbraw/zinc/82/22/82/380822282.db2.gz QCHHUDQWKZWSFA-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1ccsc1CCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000358484894 380873260 /nfs/dbraw/zinc/87/32/60/380873260.db2.gz SOWYPFMVJHQILG-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOCC1CC1 ZINC000181728884 380877481 /nfs/dbraw/zinc/87/74/81/380877481.db2.gz KRQXKZLXVCSICX-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000279204040 194331365 /nfs/dbraw/zinc/33/13/65/194331365.db2.gz LLUBFDJPKURIFY-DTWKUNHWSA-N 0 0 295.314 2.625 20 5 CFBDRN CCCC(O)(CCC)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000287432445 380829747 /nfs/dbraw/zinc/82/97/47/380829747.db2.gz UTHAKXGZNWZTGA-UHFFFAOYSA-N 0 0 281.356 2.920 20 5 CFBDRN O=C([C@H]1Cc2ccccc21)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000358438107 380849042 /nfs/dbraw/zinc/84/90/42/380849042.db2.gz OJJKZUJMFRMKMB-HNNXBMFYSA-N 0 0 294.310 2.824 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000295437735 380855788 /nfs/dbraw/zinc/85/57/88/380855788.db2.gz FETUIHPESAFHAE-HNNXBMFYSA-N 0 0 294.326 2.878 20 5 CFBDRN CC(C)[C@H]1N(c2c([N+](=O)[O-])ncn2C)CC12CCCC2 ZINC000408459454 380925635 /nfs/dbraw/zinc/92/56/35/380925635.db2.gz XWHBWQUNLMALSP-LLVKDONJSA-N 0 0 278.356 2.733 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000287745267 380927767 /nfs/dbraw/zinc/92/77/67/380927767.db2.gz MKDPBPCPBJVJDT-SNVBAGLBSA-N 0 0 280.349 2.962 20 5 CFBDRN CN(C)c1cccc(CNC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000353883641 380928355 /nfs/dbraw/zinc/92/83/55/380928355.db2.gz VZKFSHGWGQENFT-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN CCCCN(C(=O)c1cc([N+](=O)[O-])n[nH]1)c1ccccc1 ZINC000287612264 380882806 /nfs/dbraw/zinc/88/28/06/380882806.db2.gz SMGIOZJGUMFCFB-UHFFFAOYSA-N 0 0 288.307 2.765 20 5 CFBDRN O=[N+]([O-])c1c(NCCC[C@H]2CCOC2)nc2sccn21 ZINC000402382099 380884466 /nfs/dbraw/zinc/88/44/66/380884466.db2.gz NKOGYDFJJHYXHM-VIFPVBQESA-N 0 0 296.352 2.533 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)CCCO1 ZINC000287617681 380886170 /nfs/dbraw/zinc/88/61/70/380886170.db2.gz GLOFKVAADNZFDZ-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN CCC[C@](C)(O)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000287625674 380889071 /nfs/dbraw/zinc/88/90/71/380889071.db2.gz ZJDLAGXZIWKEOW-AWEZNQCLSA-N 0 0 280.324 2.760 20 5 CFBDRN CCc1nn(C)c(N2CCC(C3CC3)CC2)c1[N+](=O)[O-] ZINC000368023916 380890506 /nfs/dbraw/zinc/89/05/06/380890506.db2.gz CCJBKPURUWYSJL-UHFFFAOYSA-N 0 0 278.356 2.517 20 5 CFBDRN CC(C)C[C@H](CCO)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000288553134 197110271 /nfs/dbraw/zinc/11/02/71/197110271.db2.gz VUCQJOKWBXWIAS-NSHDSACASA-N 0 0 281.356 2.634 20 5 CFBDRN CCc1nnc(CNc2ccc([N+](=O)[O-])c3cccnc23)o1 ZINC000353861403 380909225 /nfs/dbraw/zinc/90/92/25/380909225.db2.gz PEWFFBBDHVEXNV-UHFFFAOYSA-N 0 0 299.290 2.701 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3C[C@@H]4CCC[C@H]4C3)c21 ZINC000408165802 380916034 /nfs/dbraw/zinc/91/60/34/380916034.db2.gz NYLLAMWFGYVJEV-QWRGUYRKSA-N 0 0 284.319 2.774 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]3OCC[C@H]32)n1 ZINC000408171421 380916240 /nfs/dbraw/zinc/91/62/40/380916240.db2.gz JEWXIKXVUFJOFU-XQQFMLRXSA-N 0 0 291.351 2.976 20 5 CFBDRN CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000358585748 380949458 /nfs/dbraw/zinc/94/94/58/380949458.db2.gz WFLQGKNMHOFRGW-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1COc1cccc(Cl)c1F ZINC000358585514 380949610 /nfs/dbraw/zinc/94/96/10/380949610.db2.gz GBPXDYHEXDPAAY-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN COc1ccncc1COc1ccc([N+](=O)[O-])cc1F ZINC000341344781 380952821 /nfs/dbraw/zinc/95/28/21/380952821.db2.gz UCLMNOOGHICCDM-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)Nc1c[nH]nc1C ZINC000353930592 380965942 /nfs/dbraw/zinc/96/59/42/380965942.db2.gz MOFAUCXYSRUKHN-UHFFFAOYSA-N 0 0 289.295 2.833 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H]1C(F)F ZINC000353936790 380972628 /nfs/dbraw/zinc/97/26/28/380972628.db2.gz BRWFWMSSRDBKRS-MWLCHTKSSA-N 0 0 299.277 2.932 20 5 CFBDRN COc1cncc(COc2ccc(F)cc2[N+](=O)[O-])c1 ZINC000341376346 380976276 /nfs/dbraw/zinc/97/62/76/380976276.db2.gz YGQHRTKAAJOJKC-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341332735 380941404 /nfs/dbraw/zinc/94/14/04/380941404.db2.gz WWFHLPYTHXUKCG-WFASDCNBSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000287797862 380942520 /nfs/dbraw/zinc/94/25/20/380942520.db2.gz PCNNBIFWDMFKLY-TVQRCGJNSA-N 0 0 278.308 2.634 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1cncc(F)c1 ZINC000341337027 380944364 /nfs/dbraw/zinc/94/43/64/380944364.db2.gz JIESKYKVRYTTTD-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN CN(Cc1cc(F)cc(F)c1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000347110160 380945499 /nfs/dbraw/zinc/94/54/99/380945499.db2.gz RXVOCKPFMZACGY-UHFFFAOYSA-N 0 0 296.229 2.738 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347113691 380947093 /nfs/dbraw/zinc/94/70/93/380947093.db2.gz NKQXCYAIUHWFRE-AMIZOPFISA-N 0 0 291.311 2.528 20 5 CFBDRN CN(CC1CCCCC1)c1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341437592 381013755 /nfs/dbraw/zinc/01/37/55/381013755.db2.gz ZTOXLVZPWPKFFI-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN CCOC(=O)C[C@H](C)N(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000182093198 380990623 /nfs/dbraw/zinc/99/06/23/380990623.db2.gz UKQZLQJRXBLUTE-VIFPVBQESA-N 0 0 284.287 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@@H]1C=C[C@H](CO)C1 ZINC000279305832 194377920 /nfs/dbraw/zinc/37/79/20/194377920.db2.gz DFOZXSBVMHRQMB-WCBMZHEXSA-N 0 0 268.700 2.597 20 5 CFBDRN CCc1nc(Sc2c(F)cccc2[N+](=O)[O-])n[nH]1 ZINC000341416200 381004111 /nfs/dbraw/zinc/00/41/11/381004111.db2.gz GSODVDOFZZHMRH-UHFFFAOYSA-N 0 0 268.273 2.566 20 5 CFBDRN C[C@H](C(=O)NCc1ccco1)c1ccc([N+](=O)[O-])cc1F ZINC000182151806 381004229 /nfs/dbraw/zinc/00/42/29/381004229.db2.gz WBWPQTKQCMLVDO-VIFPVBQESA-N 0 0 292.266 2.747 20 5 CFBDRN CCCc1nc(C)c(CNc2ncc([N+](=O)[O-])c(C)n2)o1 ZINC000295739275 381048074 /nfs/dbraw/zinc/04/80/74/381048074.db2.gz XUYCAKWMDMMEGG-UHFFFAOYSA-N 0 0 291.311 2.554 20 5 CFBDRN C[C@H]1C[C@H]1N(Cc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000411927728 381059177 /nfs/dbraw/zinc/05/91/77/381059177.db2.gz SUOICDJJPRMGOH-WCQYABFASA-N 0 0 286.335 2.743 20 5 CFBDRN C[C@@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000347304096 381059372 /nfs/dbraw/zinc/05/93/72/381059372.db2.gz PBWLQUBBWYQVDJ-SSDOTTSWSA-N 0 0 267.260 2.654 20 5 CFBDRN CCOc1cccc(N(C)CC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000341526291 381065801 /nfs/dbraw/zinc/06/58/01/381065801.db2.gz DKKZZSNPTDJNRI-LBPRGKRZSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@@H](C(=O)N[C@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000182350408 381072671 /nfs/dbraw/zinc/07/26/71/381072671.db2.gz HCZPRDLALUZDBE-RKDXNWHRSA-N 0 0 280.299 2.752 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000295724688 381037615 /nfs/dbraw/zinc/03/76/15/381037615.db2.gz KXKDLAJYXYPTOL-SBJJXXPASA-N 0 0 292.310 2.959 20 5 CFBDRN CC[C@@H](C)N[C@@H](c1nccn1C)c1ccc([N+](=O)[O-])cc1 ZINC000295795031 381080394 /nfs/dbraw/zinc/08/03/94/381080394.db2.gz BVSJSSXFQHADCX-BXUZGUMPSA-N 0 0 288.351 2.806 20 5 CFBDRN CC[C@H](CSC)N(C)c1c([N+](=O)[O-])nc(C)n1CC ZINC000289687413 381103344 /nfs/dbraw/zinc/10/33/44/381103344.db2.gz QCTKJLJBSIPXKK-SNVBAGLBSA-N 0 0 286.401 2.697 20 5 CFBDRN CCSCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000347422214 381141803 /nfs/dbraw/zinc/14/18/03/381141803.db2.gz SRZLODZJKWADCJ-UHFFFAOYSA-N 0 0 264.310 2.564 20 5 CFBDRN CC1(Cn2cc(Cl)cc([N+](=O)[O-])c2=O)CCC1 ZINC000295936130 381167445 /nfs/dbraw/zinc/16/74/45/381167445.db2.gz HQDABGBCCPCWBJ-UHFFFAOYSA-N 0 0 256.689 2.600 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NC3CCSCC3)c21 ZINC000347436685 381149769 /nfs/dbraw/zinc/14/97/69/381149769.db2.gz UJENPYRYWJTMSK-UHFFFAOYSA-N 0 0 290.348 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCOCC(F)(F)F)CC2 ZINC000182764264 381152331 /nfs/dbraw/zinc/15/23/31/381152331.db2.gz BVRJFFYRGGBYKY-UHFFFAOYSA-N 0 0 290.241 2.536 20 5 CFBDRN COCc1nc(CSCc2cccc([N+](=O)[O-])c2)no1 ZINC000182799893 381160943 /nfs/dbraw/zinc/16/09/43/381160943.db2.gz WKSBFIQWFXODQT-UHFFFAOYSA-N 0 0 295.320 2.558 20 5 CFBDRN Cc1ccc(CC[C@@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)o1 ZINC000358931731 381163009 /nfs/dbraw/zinc/16/30/09/381163009.db2.gz DJOBEPXJLQTOJJ-SECBINFHSA-N 0 0 292.291 2.840 20 5 CFBDRN C[C@]1(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCOC1 ZINC000289731843 381126577 /nfs/dbraw/zinc/12/65/77/381126577.db2.gz PYTABURABPQEIZ-LBPRGKRZSA-N 0 0 299.714 2.549 20 5 CFBDRN CCOC(=O)C[C@H](C)[N@H+](C)Cc1cccc([N+](=O)[O-])c1C ZINC000182642811 381126891 /nfs/dbraw/zinc/12/68/91/381126891.db2.gz GGLJQNNCCNWLBM-NSHDSACASA-N 0 0 294.351 2.677 20 5 CFBDRN CNc1c(C(=O)N2CCCC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000358874056 381133361 /nfs/dbraw/zinc/13/33/61/381133361.db2.gz IDTJEYFWMOGQEU-LLVKDONJSA-N 0 0 291.351 2.899 20 5 CFBDRN C[C@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000288686991 197145240 /nfs/dbraw/zinc/14/52/40/197145240.db2.gz UHEALFNGLRQWJO-QMMMGPOBSA-N 0 0 290.241 2.594 20 5 CFBDRN C[C@H](CNC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000358948924 381170779 /nfs/dbraw/zinc/17/07/79/381170779.db2.gz JRXGCCNVYDISOT-SSDOTTSWSA-N 0 0 276.214 2.523 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)OC1CCOCC1 ZINC000289832625 381180510 /nfs/dbraw/zinc/18/05/10/381180510.db2.gz ORGBQWUOSOJQIK-UHFFFAOYSA-N 0 0 281.264 2.598 20 5 CFBDRN CC[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000412179576 381198399 /nfs/dbraw/zinc/19/83/99/381198399.db2.gz YIVZNYOKSNVUOT-TUKIKUTGSA-N 0 0 288.347 2.957 20 5 CFBDRN CC[C@@H](C)CN(CC)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000358995498 381201392 /nfs/dbraw/zinc/20/13/92/381201392.db2.gz ZJTDQQAUACXHQN-LLVKDONJSA-N 0 0 293.367 2.827 20 5 CFBDRN Cc1nc(NC(=O)C(C)(C)C2CC2)ccc1[N+](=O)[O-] ZINC000289915899 381216172 /nfs/dbraw/zinc/21/61/72/381216172.db2.gz ZOZQRBBZPCHQAN-UHFFFAOYSA-N 0 0 263.297 2.673 20 5 CFBDRN COCCC1(CNc2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000359079480 381252326 /nfs/dbraw/zinc/25/23/26/381252326.db2.gz BFNVPIOQGKXECU-UHFFFAOYSA-N 0 0 279.340 2.835 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCCOCC2CC2)n1 ZINC000359080705 381252719 /nfs/dbraw/zinc/25/27/19/381252719.db2.gz HFQKEFLKGCJTGW-UHFFFAOYSA-N 0 0 279.340 2.835 20 5 CFBDRN Cc1ccc(CNc2nc(C)cc(C)c2[N+](=O)[O-])cn1 ZINC000359081194 381254408 /nfs/dbraw/zinc/25/44/08/381254408.db2.gz JBERAGNOQFQVQU-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@]2(C)CCCOC2)n1 ZINC000359083774 381255077 /nfs/dbraw/zinc/25/50/77/381255077.db2.gz PQGIPBIUPDUCHP-CYBMUJFWSA-N 0 0 265.313 2.588 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)[C@@H](C)c2cnn(C)c2)n1 ZINC000359085388 381255871 /nfs/dbraw/zinc/25/58/71/381255871.db2.gz SVICUXONPRCDJU-NSHDSACASA-N 0 0 289.339 2.538 20 5 CFBDRN Cc1cccc(CN(C)c2nc(C)cc(C)c2[N+](=O)[O-])n1 ZINC000359089809 381258487 /nfs/dbraw/zinc/25/84/87/381258487.db2.gz DNDDMKBMANJQNY-UHFFFAOYSA-N 0 0 286.335 2.946 20 5 CFBDRN Cc1cc(CNc2nc(C)cc(C)c2[N+](=O)[O-])on1 ZINC000359088821 381258513 /nfs/dbraw/zinc/25/85/13/381258513.db2.gz CGXHJDUIXDUZMW-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN Cc1cnc(CNc2nc(C)cc(C)c2[N+](=O)[O-])o1 ZINC000359090515 381261168 /nfs/dbraw/zinc/26/11/68/381261168.db2.gz GBLWRUCMFHDAQN-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2(CCO)CCCC2)n1 ZINC000359093741 381262757 /nfs/dbraw/zinc/26/27/57/381262757.db2.gz JOCXWDRWCYFTDB-UHFFFAOYSA-N 0 0 293.367 2.961 20 5 CFBDRN CCC(C)(C)NC(=O)CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000342306040 381264460 /nfs/dbraw/zinc/26/44/60/381264460.db2.gz RJRBUROPSFFNOU-LLVKDONJSA-N 0 0 293.367 2.550 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412351042 381265527 /nfs/dbraw/zinc/26/55/27/381265527.db2.gz YTWHDPIVNFQRGB-PCDDKUFXSA-N 0 0 274.320 2.613 20 5 CFBDRN C[C@H](NC(=O)NCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000296126577 381267449 /nfs/dbraw/zinc/26/74/49/381267449.db2.gz CHPWLRMOCLEDFQ-JTQLQIEISA-N 0 0 277.324 2.755 20 5 CFBDRN Cc1cc(-c2nc(-c3ccn(C)c3)no2)ccc1[N+](=O)[O-] ZINC000347558020 381220749 /nfs/dbraw/zinc/22/07/49/381220749.db2.gz ZCNYGIDYRCIJSI-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN CC(C)(CCC(=O)Nc1cc(C2CC2)ccn1)[N+](=O)[O-] ZINC000296044673 381228051 /nfs/dbraw/zinc/22/80/51/381228051.db2.gz NJZOTINGNZFKQA-UHFFFAOYSA-N 0 0 277.324 2.733 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000183180411 381228661 /nfs/dbraw/zinc/22/86/61/381228661.db2.gz FYTCFALJCUJPAI-WDEREUQCSA-N 0 0 264.325 2.893 20 5 CFBDRN CCCC(=O)COc1ccc([N+](=O)[O-])c(F)c1F ZINC000296047613 381229707 /nfs/dbraw/zinc/22/97/07/381229707.db2.gz TUFWNXPPXQSKPW-UHFFFAOYSA-N 0 0 259.208 2.621 20 5 CFBDRN Cn1ccnc1[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000369348853 381241078 /nfs/dbraw/zinc/24/10/78/381241078.db2.gz KKSFDLISROWKHF-LBPRGKRZSA-N 0 0 286.335 2.712 20 5 CFBDRN CCOCC(C)(C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000296088539 381249552 /nfs/dbraw/zinc/24/95/52/381249552.db2.gz DYGSDERSWQTWNC-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN COc1cc(C[NH2+][C@@H]2CCC2(C)C)c([N+](=O)[O-])cc1O ZINC000290079746 381290150 /nfs/dbraw/zinc/29/01/50/381290150.db2.gz ITSJGDSJSPARGZ-CYBMUJFWSA-N 0 0 280.324 2.587 20 5 CFBDRN COCCCCCN1CCc2c1cccc2[N+](=O)[O-] ZINC000183621317 381295694 /nfs/dbraw/zinc/29/56/94/381295694.db2.gz IIVFYJXTXWPJLQ-UHFFFAOYSA-N 0 0 264.325 2.774 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000354493947 381303159 /nfs/dbraw/zinc/30/31/59/381303159.db2.gz JTPDXPPVIPDFEB-JQWIXIFHSA-N 0 0 276.336 2.787 20 5 CFBDRN Cc1ccc(NC(=O)N2CCC[C@@H]2CF)cc1[N+](=O)[O-] ZINC000296170415 381285345 /nfs/dbraw/zinc/28/53/45/381285345.db2.gz LEBFKCILJCSNMJ-LLVKDONJSA-N 0 0 281.287 2.869 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000347770746 381341903 /nfs/dbraw/zinc/34/19/03/381341903.db2.gz HJPFPKWWXOCQCQ-FZMZJTMJSA-N 0 0 291.351 2.577 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1cccc(C(F)F)c1 ZINC000347753638 381334093 /nfs/dbraw/zinc/33/40/93/381334093.db2.gz LNXOQJBGNHVEQP-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN CC(C)(C)[C@H](O)COc1ccc(F)cc1[N+](=O)[O-] ZINC000290191168 381336061 /nfs/dbraw/zinc/33/60/61/381336061.db2.gz RQBJQAOKGGPYEW-LLVKDONJSA-N 0 0 257.261 2.520 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCOC2(C)C)c([N+](=O)[O-])c1 ZINC000296296786 381337417 /nfs/dbraw/zinc/33/74/17/381337417.db2.gz MJANOOFJKUHOCC-ZDUSSCGKSA-N 0 0 278.308 2.777 20 5 CFBDRN COc1cccc(N[C@H](C)CCCCO)c1[N+](=O)[O-] ZINC000296223434 381308521 /nfs/dbraw/zinc/30/85/21/381308521.db2.gz GZMSKZOFJOGRHN-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCc2c1cccc2F ZINC000183770279 381319138 /nfs/dbraw/zinc/31/91/38/381319138.db2.gz YZULBLIDURDOKB-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN Cc1ccc(CC[C@H](C)Nc2ncc([N+](=O)[O-])cn2)o1 ZINC000354572320 381345699 /nfs/dbraw/zinc/34/56/99/381345699.db2.gz GDDHZFBUAYGSJB-VIFPVBQESA-N 0 0 276.296 2.719 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000342476353 381351003 /nfs/dbraw/zinc/35/10/03/381351003.db2.gz HHNAZYZMACFFQK-SECBINFHSA-N 0 0 298.289 2.674 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)CCc2cccc([N+](=O)[O-])c2)CS1 ZINC000412575540 381351661 /nfs/dbraw/zinc/35/16/61/381351661.db2.gz ASVMCACHYXNGPL-ZYHUDNBSSA-N 0 0 294.376 2.538 20 5 CFBDRN COc1cc(N[C@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000296344484 381355024 /nfs/dbraw/zinc/35/50/24/381355024.db2.gz QOHLQOWQQWVSGU-LBPRGKRZSA-N 0 0 266.297 2.583 20 5 CFBDRN COCCC(C)(C)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000184053094 381361893 /nfs/dbraw/zinc/36/18/93/381361893.db2.gz IFTKNQQRADNQOX-UHFFFAOYSA-N 0 0 297.311 2.978 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCCC[C@H]2F)cc([N+](=O)[O-])c1 ZINC000412688977 381403916 /nfs/dbraw/zinc/40/39/16/381403916.db2.gz IEGATYCLGQERAP-CHWSQXEVSA-N 0 0 280.299 2.914 20 5 CFBDRN C[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000184365458 381406892 /nfs/dbraw/zinc/40/68/92/381406892.db2.gz JSTFGCQKLBPVSR-QMMMGPOBSA-N 0 0 297.742 2.846 20 5 CFBDRN CS[C@H]1CCN(Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000296408660 381381766 /nfs/dbraw/zinc/38/17/66/381381766.db2.gz UFFNFBYSLWAXQO-VIFPVBQESA-N 0 0 288.319 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCO2)c2cccnc21 ZINC000347871443 381391656 /nfs/dbraw/zinc/39/16/56/381391656.db2.gz IDCVRUQWYPVBKF-SNVBAGLBSA-N 0 0 274.276 2.701 20 5 CFBDRN CCC1(CC)CCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000347885684 381398824 /nfs/dbraw/zinc/39/88/24/381398824.db2.gz HFMCEQYSIWKSID-UHFFFAOYSA-N 0 0 289.339 2.654 20 5 CFBDRN COCC1CCC(NC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000412682405 381400305 /nfs/dbraw/zinc/40/03/05/381400305.db2.gz AASIKRHYEQOGDF-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN COCC1CCC(NC(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000412683278 381400991 /nfs/dbraw/zinc/40/09/91/381400991.db2.gz DATWBKVZFSMSPB-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC[C@H]2F)c1 ZINC000412687881 381402852 /nfs/dbraw/zinc/40/28/52/381402852.db2.gz GETUZUYPTLMZRY-VXGBXAGGSA-N 0 0 296.298 2.614 20 5 CFBDRN C[C@@H]([NH2+]CCC(C)(C)CO)c1cccc([N+](=O)[O-])c1 ZINC000296512339 381428443 /nfs/dbraw/zinc/42/84/43/381428443.db2.gz PMPMWLBVJZLKRG-LLVKDONJSA-N 0 0 266.341 2.654 20 5 CFBDRN CCCN(CC(C)C)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000342619044 381437671 /nfs/dbraw/zinc/43/76/71/381437671.db2.gz FJXJIAWMNMNIQD-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347975176 381439673 /nfs/dbraw/zinc/43/96/73/381439673.db2.gz HKBUTXBGIMVDML-NWDGAFQWSA-N 0 0 266.272 2.965 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000296551750 381445289 /nfs/dbraw/zinc/44/52/89/381445289.db2.gz MXEJNMHXHQDENZ-UHFFFAOYSA-N 0 0 296.279 2.644 20 5 CFBDRN CSC[C@@H](C)NC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296555336 381446209 /nfs/dbraw/zinc/44/62/09/381446209.db2.gz WKHMSCJMEHDASU-ZJUUUORDSA-N 0 0 297.380 2.707 20 5 CFBDRN Cc1nnccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000347927127 381418072 /nfs/dbraw/zinc/41/80/72/381418072.db2.gz KNVXRKCEJBTOIH-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@@H](CCC(C)(C)C)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290545784 381473616 /nfs/dbraw/zinc/47/36/16/381473616.db2.gz FMAFQFPQGNPTBQ-VIFPVBQESA-N 0 0 294.355 2.715 20 5 CFBDRN CC(C)ONC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000296624058 381478381 /nfs/dbraw/zinc/47/83/81/381478381.db2.gz BUTWFHUUBAUYDN-UHFFFAOYSA-N 0 0 273.676 2.710 20 5 CFBDRN C[C@@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000296569832 381454242 /nfs/dbraw/zinc/45/42/42/381454242.db2.gz VHPBHTQIGBOXRK-MRVPVSSYSA-N 0 0 297.742 2.846 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cn[nH]c1 ZINC000184686679 381460934 /nfs/dbraw/zinc/46/09/34/381460934.db2.gz QGGCWTGXUQFYOI-LLVKDONJSA-N 0 0 288.307 2.525 20 5 CFBDRN Cc1ccc(CN(C)c2ncc([N+](=O)[O-])c(C)n2)cc1 ZINC000296587374 381460935 /nfs/dbraw/zinc/46/09/35/381460935.db2.gz RPMSTZXJHMNZFI-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H]2CCCCS2)c1[N+](=O)[O-] ZINC000342661200 381463569 /nfs/dbraw/zinc/46/35/69/381463569.db2.gz NTSYAESNZQJGGV-LLVKDONJSA-N 0 0 294.376 2.919 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc[nH]n1 ZINC000184711938 381466868 /nfs/dbraw/zinc/46/68/68/381466868.db2.gz FEJFQIDQMQNUAN-LLVKDONJSA-N 0 0 288.307 2.525 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2cccc([N+](=O)[O-])c2)nc1C ZINC000184727445 381469329 /nfs/dbraw/zinc/46/93/29/381469329.db2.gz ZPPOPRMGBPHDHK-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CCc1ccc(C(=O)N2CCC[C@@H]2CF)cc1[N+](=O)[O-] ZINC000359585784 381489060 /nfs/dbraw/zinc/48/90/60/381489060.db2.gz BZEZNQZETGEPFV-GFCCVEGCSA-N 0 0 280.299 2.731 20 5 CFBDRN CCc1nnc(CNc2ccccc2[N+](=O)[O-])s1 ZINC000290587023 381490117 /nfs/dbraw/zinc/49/01/17/381490117.db2.gz CNPLEKDWHDNABA-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN COc1cc(CSc2ncccc2[N+](=O)[O-])ccn1 ZINC000342708419 381490932 /nfs/dbraw/zinc/49/09/32/381490932.db2.gz IXRVKXBJEKZAEL-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN Cc1nnc(CNc2cc(F)cc([N+](=O)[O-])c2)s1 ZINC000359594093 381494593 /nfs/dbraw/zinc/49/45/93/381494593.db2.gz FCIWMXNXOMFDAV-UHFFFAOYSA-N 0 0 268.273 2.506 20 5 CFBDRN CCN(CCC1CC1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000354873083 381500662 /nfs/dbraw/zinc/50/06/62/381500662.db2.gz AKLZSMBITVUIAI-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N(CC1CC1)CC1CC1 ZINC000359659416 381539875 /nfs/dbraw/zinc/53/98/75/381539875.db2.gz UORLUWBUOROSFH-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN CCC1CN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000413370620 381540393 /nfs/dbraw/zinc/54/03/93/381540393.db2.gz VIBHNLBCVIDLKC-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CS[C@H](C)CNC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000185129900 381541813 /nfs/dbraw/zinc/54/18/13/381541813.db2.gz ZBOUCUGEHZMELA-RJCSOLBVSA-N 0 0 294.376 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC(F)(F)C(F)(F)C2)c1 ZINC000413400975 381542264 /nfs/dbraw/zinc/54/22/64/381542264.db2.gz NESDUFUJVJJIKB-UHFFFAOYSA-N 0 0 294.204 2.694 20 5 CFBDRN COC1CCC(Nc2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000413408181 381543168 /nfs/dbraw/zinc/54/31/68/381543168.db2.gz ALAIIXKJCGRLBJ-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN CC[C@H](C)n1nc(Nc2ccc([N+](=O)[O-])c(C)n2)cc1C ZINC000342792593 381543365 /nfs/dbraw/zinc/54/33/65/381543365.db2.gz UFGMPNGKXWBPTC-VIFPVBQESA-N 0 0 289.339 2.940 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC[C@H]3c3cc[nH]n3)nc2c1 ZINC000296891377 381547101 /nfs/dbraw/zinc/54/71/01/381547101.db2.gz XYLPMBHAWBOBDW-ZDUSSCGKSA-N 0 0 298.306 2.536 20 5 CFBDRN Cc1nn(Cc2cccc(F)c2Cl)cc1[N+](=O)[O-] ZINC000354920230 381522461 /nfs/dbraw/zinc/52/24/61/381522461.db2.gz NYRMVHGRTMUJEK-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN CC1(C)C(NC(=O)c2ccccc2[N+](=O)[O-])C1(C)C ZINC000359634941 381523314 /nfs/dbraw/zinc/52/33/14/381523314.db2.gz BAXWLVPRKGQDJL-UHFFFAOYSA-N 0 0 262.309 2.759 20 5 CFBDRN CC1(C)CCC[C@H](n2cc([N+](=O)[O-])cc(Cl)c2=O)C1=O ZINC000412990526 381523910 /nfs/dbraw/zinc/52/39/10/381523910.db2.gz FXKKJOYRQRAWCR-JTQLQIEISA-N 0 0 298.726 2.730 20 5 CFBDRN Cc1nn(Cc2cccc(OC(C)(C)C)n2)cc1[N+](=O)[O-] ZINC000354929004 381525737 /nfs/dbraw/zinc/52/57/37/381525737.db2.gz HERHIQOFLKFDOS-UHFFFAOYSA-N 0 0 290.323 2.720 20 5 CFBDRN CC1(C)CC[C@H](Sc2ncccc2[N+](=O)[O-])C1=O ZINC000412993447 381526529 /nfs/dbraw/zinc/52/65/29/381526529.db2.gz OPVBMHRCWOYGFY-VIFPVBQESA-N 0 0 266.322 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccnc(N3CCCC3)c2)cn1 ZINC000354939353 381529695 /nfs/dbraw/zinc/52/96/95/381529695.db2.gz VSKZCFVWDHOKDC-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN CC1(C)C(NC(=O)c2ccc([N+](=O)[O-])s2)C1(C)C ZINC000359643463 381530400 /nfs/dbraw/zinc/53/04/00/381530400.db2.gz XWLSXWAACLYZIO-UHFFFAOYSA-N 0 0 268.338 2.821 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(CCC3(O)CCC3)c2c(Cl)c1 ZINC000413003622 381534192 /nfs/dbraw/zinc/53/41/92/381534192.db2.gz VFJJXMPGSXTCPK-UHFFFAOYSA-N 0 0 295.726 2.903 20 5 CFBDRN NC(=O)c1cccc(NCC2(C3CC3)CCC2)c1[N+](=O)[O-] ZINC000342779822 381534457 /nfs/dbraw/zinc/53/44/57/381534457.db2.gz KXPBKUBTBFUCCI-UHFFFAOYSA-N 0 0 289.335 2.686 20 5 CFBDRN CN(Cc1ccc(Cl)cc1[N+](=O)[O-])c1ccn(C)n1 ZINC000348367687 381536479 /nfs/dbraw/zinc/53/64/79/381536479.db2.gz FQYSNSISPROSLQ-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN C[C@H](CCc1ccccc1[N+](=O)[O-])NCC(F)F ZINC000298016069 381577589 /nfs/dbraw/zinc/57/75/89/381577589.db2.gz CWTAPYYINDOYLN-SECBINFHSA-N 0 0 258.268 2.771 20 5 CFBDRN CC(C)[C@@H](O)C1(CNc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000342841945 381578967 /nfs/dbraw/zinc/57/89/67/381578967.db2.gz CARMDTYLBVRJGL-CYBMUJFWSA-N 0 0 282.315 2.943 20 5 CFBDRN Cc1cc(NC[C@@H](C)Cn2ccnc2)c(F)cc1[N+](=O)[O-] ZINC000290872981 381582737 /nfs/dbraw/zinc/58/27/37/381582737.db2.gz BDMVOPWQCBUZFK-SNVBAGLBSA-N 0 0 292.314 2.987 20 5 CFBDRN CN(Cc1ccnn1C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000348411956 381557776 /nfs/dbraw/zinc/55/77/76/381557776.db2.gz USRDOIJTVPMMGW-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@H](CC2CC2)C1 ZINC000359691414 381560502 /nfs/dbraw/zinc/56/05/02/381560502.db2.gz AHYUGOBBCDBRTL-GFCCVEGCSA-N 0 0 274.320 2.857 20 5 CFBDRN Cc1sc(C(=O)N2CCCC3(CC3)C2)cc1[N+](=O)[O-] ZINC000185349418 381607627 /nfs/dbraw/zinc/60/76/27/381607627.db2.gz YNKDHVUYABZMHT-UHFFFAOYSA-N 0 0 280.349 2.981 20 5 CFBDRN CC(C)N(Cc1ccco1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000298378465 381600636 /nfs/dbraw/zinc/60/06/36/381600636.db2.gz LHKGYOMPNXYHBU-UHFFFAOYSA-N 0 0 278.264 2.832 20 5 CFBDRN CC[C@H]1CN(c2cc(C)c([N+](=O)[O-])cc2F)CCO1 ZINC000290937944 381604506 /nfs/dbraw/zinc/60/45/06/381604506.db2.gz KEBJDDSALOEYHU-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN CC[C@@H](C)[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000348487833 381592033 /nfs/dbraw/zinc/59/20/33/381592033.db2.gz CORJLMQMZWESIT-ZWNOBZJWSA-N 0 0 279.340 2.964 20 5 CFBDRN CCCCn1nccc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000298366278 381594190 /nfs/dbraw/zinc/59/41/90/381594190.db2.gz CPRFAGOIMIOHJH-UHFFFAOYSA-N 0 0 288.307 2.844 20 5 CFBDRN Cc1ccc(CN2CCCc3n[nH]cc32)cc1[N+](=O)[O-] ZINC000290995994 381624970 /nfs/dbraw/zinc/62/49/70/381624970.db2.gz AKUYUNNGCCALJM-UHFFFAOYSA-N 0 0 272.308 2.579 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCCSC1)c1ccccc1[N+](=O)[O-] ZINC000355133772 381634886 /nfs/dbraw/zinc/63/48/86/381634886.db2.gz BHOXGKXFJJSRQH-QWRGUYRKSA-N 0 0 294.376 2.915 20 5 CFBDRN Cc1cc(NC[C@H](C)O)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000359843969 381635866 /nfs/dbraw/zinc/63/58/66/381635866.db2.gz RMFBGGNXYOJXIZ-ZETCQYMHSA-N 0 0 278.230 2.715 20 5 CFBDRN CC(C)C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000185449775 381636656 /nfs/dbraw/zinc/63/66/56/381636656.db2.gz XEVQZGGCHVWXBM-UHFFFAOYSA-N 0 0 259.265 2.738 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000185459218 381638428 /nfs/dbraw/zinc/63/84/28/381638428.db2.gz UJWIFOFHNMOGMG-SMDDNHRTSA-N 0 0 276.336 2.784 20 5 CFBDRN O=C(Nc1scnc1C1CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000343011389 381649284 /nfs/dbraw/zinc/64/92/84/381649284.db2.gz JVRJZRFLIRZQBE-UHFFFAOYSA-N 0 0 278.293 2.509 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC23CCC(CO)CC3)cs1 ZINC000371477858 381638775 /nfs/dbraw/zinc/63/87/75/381638775.db2.gz QEPKYGUJFRSTIB-UHFFFAOYSA-N 0 0 296.392 2.783 20 5 CFBDRN CCCC(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000185459057 381638979 /nfs/dbraw/zinc/63/89/79/381638979.db2.gz GQZPJUTXDCNNFQ-UHFFFAOYSA-N 0 0 259.265 2.882 20 5 CFBDRN CCC1(C)CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000291032421 381639818 /nfs/dbraw/zinc/63/98/18/381639818.db2.gz HCHYBYBFSLCHOM-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000342990513 381640724 /nfs/dbraw/zinc/64/07/24/381640724.db2.gz DMAIVQUDYHHKKJ-WCBMZHEXSA-N 0 0 293.323 2.685 20 5 CFBDRN CCc1ncsc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000291041338 381643752 /nfs/dbraw/zinc/64/37/52/381643752.db2.gz GNXICOMZOUNJLC-UHFFFAOYSA-N 0 0 296.352 2.743 20 5 CFBDRN C[C@H](NC(=O)C[C@H]1C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000355179144 381659037 /nfs/dbraw/zinc/65/90/37/381659037.db2.gz MXRLUZVSAVVCFY-GARJFASQSA-N 0 0 262.309 2.818 20 5 CFBDRN COc1cc(Oc2cc(C)nc(OC)n2)ccc1[N+](=O)[O-] ZINC000359888624 381660394 /nfs/dbraw/zinc/66/03/94/381660394.db2.gz UQLYPNJPPFXNBF-UHFFFAOYSA-N 0 0 291.263 2.503 20 5 CFBDRN CC(=O)c1ccc(NCc2ncccc2O)c([N+](=O)[O-])c1 ZINC000359894076 381664030 /nfs/dbraw/zinc/66/40/30/381664030.db2.gz BSNFPNJMTAVPCO-UHFFFAOYSA-N 0 0 287.275 2.510 20 5 CFBDRN Cc1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c(O)c1 ZINC000291093194 381665181 /nfs/dbraw/zinc/66/51/81/381665181.db2.gz PULWBHXMNRHARY-UHFFFAOYSA-N 0 0 291.307 2.739 20 5 CFBDRN CO[C@@](C)(C(=O)Nc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000291055628 381650456 /nfs/dbraw/zinc/65/04/56/381650456.db2.gz CNKVHOCYIBBOPV-CQSZACIVSA-N 0 0 278.308 2.657 20 5 CFBDRN CCC[C@H](CCO)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000185500330 381650766 /nfs/dbraw/zinc/65/07/66/381650766.db2.gz LEAXFJZBIHCVQQ-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN C[C@@]1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCCS1 ZINC000343440072 381710158 /nfs/dbraw/zinc/71/01/58/381710158.db2.gz BVYISOCHOMEODM-ZDUSSCGKSA-N 0 0 298.339 2.749 20 5 CFBDRN CCc1c(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)ccn1C ZINC000291219469 381710829 /nfs/dbraw/zinc/71/08/29/381710829.db2.gz SACMXLMWVPITDU-UHFFFAOYSA-N 0 0 299.330 2.699 20 5 CFBDRN CC[N@@H+](Cc1ccc(C(C)C)c([N+](=O)[O-])c1)C[C@@H](C)O ZINC000348705732 381675798 /nfs/dbraw/zinc/67/57/98/381675798.db2.gz GHYIVJSERFIWBU-GFCCVEGCSA-N 0 0 280.368 2.921 20 5 CFBDRN C[C@@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)c1cccnc1 ZINC000291129065 381676743 /nfs/dbraw/zinc/67/67/43/381676743.db2.gz WBZQHQHQILRIKX-SNVBAGLBSA-N 0 0 290.323 2.604 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H](CO)C2)c1 ZINC000298570684 381677628 /nfs/dbraw/zinc/67/76/28/381677628.db2.gz KMHMQWIJAQGTAV-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC1(Cc2ccccc2)CC1 ZINC000291140621 381680201 /nfs/dbraw/zinc/68/02/01/381680201.db2.gz NXLJELASWOCKPU-UHFFFAOYSA-N 0 0 272.308 2.516 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCCC1 ZINC000298612396 381690080 /nfs/dbraw/zinc/69/00/80/381690080.db2.gz RXJKXFZFXXQXDI-UHFFFAOYSA-N 0 0 274.276 2.967 20 5 CFBDRN O=C(COC1CCCC1)OCc1ccc([N+](=O)[O-])cc1 ZINC000298626558 381692401 /nfs/dbraw/zinc/69/24/01/381692401.db2.gz CCQJZRLBHWAPPO-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CCOCC3CC3)c2)c1 ZINC000359950204 381694893 /nfs/dbraw/zinc/69/48/93/381694893.db2.gz PZOFGZTYBYIHLW-UHFFFAOYSA-N 0 0 287.319 2.885 20 5 CFBDRN CCc1ccc([C@@H]2CCCCN2C(=O)[C@H]2C[C@H]2[N+](=O)[O-])o1 ZINC000371765037 381695620 /nfs/dbraw/zinc/69/56/20/381695620.db2.gz JPANXBGNPMVFLT-RWMBFGLXSA-N 0 0 292.335 2.561 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1noc(C2CC2)n1 ZINC000343420488 381698239 /nfs/dbraw/zinc/69/82/39/381698239.db2.gz AYBGVOPSNWEAGM-UHFFFAOYSA-N 0 0 295.686 2.516 20 5 CFBDRN Cc1ncc(CN(C)C(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000298654895 381700019 /nfs/dbraw/zinc/70/00/19/381700019.db2.gz DIJDNJOUQDJSBW-UHFFFAOYSA-N 0 0 297.361 2.693 20 5 CFBDRN Cc1cc(COc2cc(C)c([N+](=O)[O-])cc2F)no1 ZINC000185670971 381700012 /nfs/dbraw/zinc/70/00/12/381700012.db2.gz TXKNCYDVTMQNCQ-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CCc1nc(COc2cc(C)c([N+](=O)[O-])cc2F)no1 ZINC000185670830 381700048 /nfs/dbraw/zinc/70/00/48/381700048.db2.gz SPHNOPDYDYRXFD-UHFFFAOYSA-N 0 0 281.243 2.567 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000355247633 381703216 /nfs/dbraw/zinc/70/32/16/381703216.db2.gz XHFNHRMZUMYEHO-QMMMGPOBSA-N 0 0 293.348 2.557 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N(C)CC1CCC1 ZINC000343430420 381705484 /nfs/dbraw/zinc/70/54/84/381705484.db2.gz PJQZRIPPXCTDTO-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1nn(C)c(N2C[C@H]3CCCCCC[C@H]32)c1[N+](=O)[O-] ZINC000372004969 381734076 /nfs/dbraw/zinc/73/40/76/381734076.db2.gz XFFTUYFCAVYWFQ-VXGBXAGGSA-N 0 0 278.356 2.796 20 5 CFBDRN Cc1coc2ccc(NC(=O)c3n[nH]cc3[N+](=O)[O-])cc12 ZINC000185799741 381738832 /nfs/dbraw/zinc/73/88/32/381738832.db2.gz KKJLEHHLOFWEIJ-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN Cn1c(C(=O)NCC2(C)CCCCC2)ccc1[N+](=O)[O-] ZINC000355310102 381743089 /nfs/dbraw/zinc/74/30/89/381743089.db2.gz OGRYXDHHYIZFAH-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1csc([N+](=O)[O-])c1 ZINC000298783366 381748896 /nfs/dbraw/zinc/74/88/96/381748896.db2.gz YNSLKKOQBXTKJT-UHFFFAOYSA-N 0 0 282.243 2.681 20 5 CFBDRN O=C(COCC1CC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000298796535 381750062 /nfs/dbraw/zinc/75/00/62/381750062.db2.gz KIKYNGYSLGRWBO-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCC[C@@H](CO)C1 ZINC000298709360 381720014 /nfs/dbraw/zinc/72/00/14/381720014.db2.gz VXUXAYWFWYISML-SCZZXKLOSA-N 0 0 285.731 2.606 20 5 CFBDRN O=C(C[C@@H]1CCCO1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000298827405 381759736 /nfs/dbraw/zinc/75/97/36/381759736.db2.gz XDRUZVNKKDSEQR-VIFPVBQESA-N 0 0 284.699 2.756 20 5 CFBDRN COCC(C)(C)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000291895252 381784348 /nfs/dbraw/zinc/78/43/48/381784348.db2.gz PLPGFPANUCJJTA-UHFFFAOYSA-N 0 0 286.303 2.827 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC2(C1)CCCC2 ZINC000372363626 381798756 /nfs/dbraw/zinc/79/87/56/381798756.db2.gz CRVGILFHOJDJQE-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN C[C@@H](c1cccs1)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298868729 381770277 /nfs/dbraw/zinc/77/02/77/381770277.db2.gz WSXIJAYZTFSDTG-QMMMGPOBSA-N 0 0 279.321 2.818 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N(Cc1ccccc1)C1CC1 ZINC000298885106 381775728 /nfs/dbraw/zinc/77/57/28/381775728.db2.gz VQGJIUWWPWTBJV-UHFFFAOYSA-N 0 0 285.303 2.728 20 5 CFBDRN CCCCCNC(=O)CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000298906781 381778864 /nfs/dbraw/zinc/77/88/64/381778864.db2.gz MVOTXTPMQFRRDZ-GFCCVEGCSA-N 0 0 293.367 2.552 20 5 CFBDRN CO[C@H](CNc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000292761553 381823743 /nfs/dbraw/zinc/82/37/43/381823743.db2.gz KKQVQHUTKUPPNA-LLVKDONJSA-N 0 0 254.261 2.571 20 5 CFBDRN CC[C@@H](C)N(CC(=O)OC)Cc1ccc([N+](=O)[O-])cc1C ZINC000343595489 381825531 /nfs/dbraw/zinc/82/55/31/381825531.db2.gz BLSJLUPAXIEOML-GFCCVEGCSA-N 0 0 294.351 2.677 20 5 CFBDRN CC[C@@]1(C)CCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000343603502 381831662 /nfs/dbraw/zinc/83/16/62/381831662.db2.gz NLSVGGSSGFCZSN-LBPRGKRZSA-N 0 0 280.353 2.930 20 5 CFBDRN COc1c(C(=O)N2C[C@H](C)CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000356225601 381832579 /nfs/dbraw/zinc/83/25/79/381832579.db2.gz PSVGMKCUTUPBQR-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CCc1ccc(C(=O)NCc2occc2C)cc1[N+](=O)[O-] ZINC000343611874 381839904 /nfs/dbraw/zinc/83/99/04/381839904.db2.gz PDONMIFOVBBFCO-UHFFFAOYSA-N 0 0 288.303 2.989 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N(CCCCO)C1CC1 ZINC000349189451 381841794 /nfs/dbraw/zinc/84/17/94/381841794.db2.gz GGOMTBZCTCSEBQ-UHFFFAOYSA-N 0 0 284.743 2.990 20 5 CFBDRN Cc1nc(NC[C@]2(O)CCCC2(C)C)ccc1[N+](=O)[O-] ZINC000292803003 381842007 /nfs/dbraw/zinc/84/20/07/381842007.db2.gz NLYKUVGIYFLRSX-CQSZACIVSA-N 0 0 279.340 2.651 20 5 CFBDRN COC(=O)[C@H]1CCCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000292616713 381811009 /nfs/dbraw/zinc/81/10/09/381811009.db2.gz XFEIEYYNWWZLPM-VIFPVBQESA-N 0 0 298.726 2.638 20 5 CFBDRN C[C@@H](C(=O)NCc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000292879192 381880732 /nfs/dbraw/zinc/88/07/32/381880732.db2.gz TYCGJMXOXYKCPL-QMMMGPOBSA-N 0 0 272.251 2.502 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000299280027 381882471 /nfs/dbraw/zinc/88/24/71/381882471.db2.gz BSSJROUMABKKEI-WDEREUQCSA-N 0 0 279.340 2.558 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000372870255 381883129 /nfs/dbraw/zinc/88/31/29/381883129.db2.gz MLBWECSCEPWSKP-SNVBAGLBSA-N 0 0 289.335 2.582 20 5 CFBDRN CC[C@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186346913 381887656 /nfs/dbraw/zinc/88/76/56/381887656.db2.gz HLKRIRCGBWTEFE-QMMMGPOBSA-N 0 0 270.716 2.911 20 5 CFBDRN C[C@@H](C(=O)Oc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000292811141 381846831 /nfs/dbraw/zinc/84/68/31/381846831.db2.gz PBKLXTUFFBTJKL-ZETCQYMHSA-N 0 0 259.208 2.792 20 5 CFBDRN CCCCCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)C(C)C ZINC000299161137 381848075 /nfs/dbraw/zinc/84/80/75/381848075.db2.gz OTNFXRWCAZZSRB-UHFFFAOYSA-N 0 0 267.329 2.964 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000299176410 381853191 /nfs/dbraw/zinc/85/31/91/381853191.db2.gz XICKDSDBEHBQAN-GFCCVEGCSA-N 0 0 273.292 2.604 20 5 CFBDRN COCC1(CCNc2ncc([N+](=O)[O-])s2)CCC1 ZINC000292889018 381886113 /nfs/dbraw/zinc/88/61/13/381886113.db2.gz VQVAVXMDTKWZBA-UHFFFAOYSA-N 0 0 271.342 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3cc[nH]n3)C2)cc1 ZINC000361699822 381857810 /nfs/dbraw/zinc/85/78/10/381857810.db2.gz HRTVUXSQFSCFFE-NSHDSACASA-N 0 0 272.308 2.702 20 5 CFBDRN C[C@]12CCN(C(=O)c3ccccc3[N+](=O)[O-])C[C@H]1C2(F)F ZINC000292845646 381861257 /nfs/dbraw/zinc/86/12/57/381861257.db2.gz NMSPKVIDASXKPL-YPMHNXCESA-N 0 0 296.273 2.712 20 5 CFBDRN CCCN(C(=O)[C@@H](OC)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000415619737 381862307 /nfs/dbraw/zinc/86/23/07/381862307.db2.gz LVMXOGIZMNPVCJ-AWEZNQCLSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@@H]1COCCN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000372756511 381862934 /nfs/dbraw/zinc/86/29/34/381862934.db2.gz LICNRMFYSQHNIZ-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])s2)CCCC1 ZINC000299232513 381866125 /nfs/dbraw/zinc/86/61/25/381866125.db2.gz GKUJKNUEBDRFFL-UHFFFAOYSA-N 0 0 254.311 2.719 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1ccoc1 ZINC000186343516 381886979 /nfs/dbraw/zinc/88/69/79/381886979.db2.gz VSFSWTKTZIUUIL-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CCCC[C@@H](CCC)Nc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000362071280 381895218 /nfs/dbraw/zinc/89/52/18/381895218.db2.gz YHCBKIXZXYEPHT-SNVBAGLBSA-N 0 0 294.355 2.860 20 5 CFBDRN CC[C@@H](c1ccncc1)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000349340870 381897630 /nfs/dbraw/zinc/89/76/30/381897630.db2.gz ABLHPCOLBNTICG-ZDUSSCGKSA-N 0 0 288.307 2.541 20 5 CFBDRN COC1(CCNc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCC1 ZINC000292911550 381899215 /nfs/dbraw/zinc/89/92/15/381899215.db2.gz XOXBUXGBJVQHEI-UHFFFAOYSA-N 0 0 290.323 2.842 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000186455515 381915671 /nfs/dbraw/zinc/91/56/71/381915671.db2.gz UNBFVLLVROACBK-SECBINFHSA-N 0 0 295.314 2.578 20 5 CFBDRN Cc1ccc(O[C@@H]2CCN(c3ccccc3[N+](=O)[O-])C2)cn1 ZINC000373059470 381918492 /nfs/dbraw/zinc/91/84/92/381918492.db2.gz QJVAKYVIQFQIRJ-CQSZACIVSA-N 0 0 299.330 2.956 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCSC(C)C ZINC000343757713 381927304 /nfs/dbraw/zinc/92/73/04/381927304.db2.gz AUEATSNYCDVNJK-UHFFFAOYSA-N 0 0 297.380 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@H]3C[C@@H]3C(F)F)nc2c1 ZINC000343816923 381960644 /nfs/dbraw/zinc/96/06/44/381960644.db2.gz XTRSXDHRHAHVPT-POYBYMJQSA-N 0 0 268.223 2.537 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ccccc1OC(F)F ZINC000357024451 381970692 /nfs/dbraw/zinc/97/06/92/381970692.db2.gz BMSDJDNBLLHDPI-UHFFFAOYSA-N 0 0 295.245 2.625 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000186642354 381971901 /nfs/dbraw/zinc/97/19/01/381971901.db2.gz YRHWZGXACXJFKG-UWVGGRQHSA-N 0 0 266.272 2.965 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])nc2)c(F)c1 ZINC000343900223 382010079 /nfs/dbraw/zinc/01/00/79/382010079.db2.gz LNEHWGWJXLRMKH-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CCc1ccc(NC(=O)c2c[nH]c(=O)c([N+](=O)[O-])c2)cc1 ZINC000186777239 382010725 /nfs/dbraw/zinc/01/07/25/382010725.db2.gz UUYLFXZJCSSXDJ-UHFFFAOYSA-N 0 0 287.275 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC([C@H]3CCOC3)C2)c1 ZINC000373468834 382011808 /nfs/dbraw/zinc/01/18/08/382011808.db2.gz WQSGQWZSJQKLAT-JTQLQIEISA-N 0 0 296.754 2.717 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CS[C@@H](C)[C@@H](C)O ZINC000362338114 382012679 /nfs/dbraw/zinc/01/26/79/382012679.db2.gz BCKPGYISWGABTJ-BDAKNGLRSA-N 0 0 271.338 2.606 20 5 CFBDRN Cc1noc(C)c1C(=O)CSc1ccc([N+](=O)[O-])cn1 ZINC000186647416 381974264 /nfs/dbraw/zinc/97/42/64/381974264.db2.gz GUTGIVVEEOVPTI-UHFFFAOYSA-N 0 0 293.304 2.570 20 5 CFBDRN CC(C)[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000293063962 381975686 /nfs/dbraw/zinc/97/56/86/381975686.db2.gz IPYQJDKVFQYPFI-HNNXBMFYSA-N 0 0 296.342 2.981 20 5 CFBDRN Cc1ccccc1CN(C)c1ccncc1[N+](=O)[O-] ZINC000357048170 381988064 /nfs/dbraw/zinc/98/80/64/381988064.db2.gz MOSBMWLPHCSKTF-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN COc1cc(NC[C@@H](OC)C(C)C)c([N+](=O)[O-])cc1F ZINC000343862945 381988576 /nfs/dbraw/zinc/98/85/76/381988576.db2.gz YPDPCRLDFAIJOQ-CYBMUJFWSA-N 0 0 286.303 2.825 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000299579941 381990129 /nfs/dbraw/zinc/99/01/29/381990129.db2.gz DHGNBXFFSULURC-VIFPVBQESA-N 0 0 263.297 2.777 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC(C)(C)CCCO ZINC000357196520 382050879 /nfs/dbraw/zinc/05/08/79/382050879.db2.gz BYTSPJLLCSWIDT-UHFFFAOYSA-N 0 0 296.367 2.952 20 5 CFBDRN COC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])c2cccnc12 ZINC000301056274 382066560 /nfs/dbraw/zinc/06/65/60/382066560.db2.gz ABDOFGVGMBFSRE-MRVPVSSYSA-N 0 0 292.316 2.797 20 5 CFBDRN CC(C)c1nnc(CNc2ncccc2[N+](=O)[O-])s1 ZINC000343915238 382017682 /nfs/dbraw/zinc/01/76/82/382017682.db2.gz JHASJPFMXUKRQE-UHFFFAOYSA-N 0 0 279.325 2.577 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@]12CCOC2 ZINC000362345525 382017884 /nfs/dbraw/zinc/01/78/84/382017884.db2.gz NRCCVZQBYVDJRS-SWLSCSKDSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])c3cccnc23)[nH]1 ZINC000343938445 382028578 /nfs/dbraw/zinc/02/85/78/382028578.db2.gz IBMJEHUYTYODBJ-MRVPVSSYSA-N 0 0 298.306 2.743 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000186866516 382032784 /nfs/dbraw/zinc/03/27/84/382032784.db2.gz FNITUVMYKSBYIO-JTQLQIEISA-N 0 0 295.339 2.642 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H]2C2CC2)c(Br)c1 ZINC000362376745 382034306 /nfs/dbraw/zinc/03/43/06/382034306.db2.gz TYUREXGPAIWHCI-PSASIEDQSA-N 0 0 298.140 2.963 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1Cl ZINC000301193615 382103329 /nfs/dbraw/zinc/10/33/29/382103329.db2.gz SVRFCBVZDIMARI-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN CCCN(C[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cn1 ZINC000301194991 382103856 /nfs/dbraw/zinc/10/38/56/382103856.db2.gz NQGQIVWZWRRBIK-CYBMUJFWSA-N 0 0 279.340 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)Cc2ccccc2)c(F)c1 ZINC000301213117 382106854 /nfs/dbraw/zinc/10/68/54/382106854.db2.gz WEPIVTVAFOYTNX-ZDUSSCGKSA-N 0 0 290.294 2.749 20 5 CFBDRN CCO[C@H](C)c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000357324216 382109003 /nfs/dbraw/zinc/10/90/03/382109003.db2.gz ITWPEWZGBQEPEX-SNVBAGLBSA-N 0 0 291.307 2.975 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCc3ccsc3)c21 ZINC000357323976 382109086 /nfs/dbraw/zinc/10/90/86/382109086.db2.gz NMLATQCZOWOFRR-UHFFFAOYSA-N 0 0 286.316 2.634 20 5 CFBDRN CCC1(c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)CCC1 ZINC000357334397 382113674 /nfs/dbraw/zinc/11/36/74/382113674.db2.gz WMLNEOCMUXRBTC-UHFFFAOYSA-N 0 0 288.307 2.795 20 5 CFBDRN Cc1occc1-c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357333034 382114123 /nfs/dbraw/zinc/11/41/23/382114123.db2.gz DKWWSWGEOPRANQ-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN COc1cccc([C@@H](O)CNc2ccccc2[N+](=O)[O-])c1 ZINC000301236247 382116758 /nfs/dbraw/zinc/11/67/58/382116758.db2.gz HTWFFFNKAWHQOA-HNNXBMFYSA-N 0 0 288.303 2.749 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@@H](C)C1 ZINC000301091975 382078944 /nfs/dbraw/zinc/07/89/44/382078944.db2.gz IHRFTOAIEZQGAF-MNOVXSKESA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1cc(N(C)CCc2ccccn2)ccc1[N+](=O)[O-] ZINC000301097489 382081696 /nfs/dbraw/zinc/08/16/96/382081696.db2.gz NYNJRDLISAEZNT-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN Cc1nc(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)c(C)o1 ZINC000293331066 382083273 /nfs/dbraw/zinc/08/32/73/382083273.db2.gz AURHEDNETLLSEA-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(-n3cncn3)cc2)cc1 ZINC000301123587 382086616 /nfs/dbraw/zinc/08/66/16/382086616.db2.gz YNFHJABESHBBMB-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=C(CCNc1ccc([N+](=O)[O-])cc1)NCc1ccccc1 ZINC000301130923 382087591 /nfs/dbraw/zinc/08/75/91/382087591.db2.gz YHFKCGRXJKLLCO-UHFFFAOYSA-N 0 0 299.330 2.713 20 5 CFBDRN COC1(CC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000362491592 382097251 /nfs/dbraw/zinc/09/72/51/382097251.db2.gz ZDLXVWWNWHUUKZ-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCOc1ccc(F)cc1F ZINC000301175086 382098239 /nfs/dbraw/zinc/09/82/39/382098239.db2.gz FTYGPRBCSPLEIE-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1ccc([N+](=O)[O-])c(OC)c1 ZINC000351970639 382099284 /nfs/dbraw/zinc/09/92/84/382099284.db2.gz GOOYAMXHKCKSCR-DGCLKSJQSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1ccc(Sc2nccc(C(N)=O)c2[N+](=O)[O-])cc1 ZINC000357310653 382100228 /nfs/dbraw/zinc/10/02/28/382100228.db2.gz ZGQRTOUZACABEA-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN CCOc1cc(N2CCCCC2)ccc1[N+](=O)[O-] ZINC000301507906 382174103 /nfs/dbraw/zinc/17/41/03/382174103.db2.gz CAKZDIQQCAAESE-UHFFFAOYSA-N 0 0 250.298 2.984 20 5 CFBDRN CCOc1cc(N2CCC[C@@H](OC)C2)ccc1[N+](=O)[O-] ZINC000301546659 382175276 /nfs/dbraw/zinc/17/52/76/382175276.db2.gz UEBZIQCHMXYEDH-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000187433531 382181571 /nfs/dbraw/zinc/18/15/71/382181571.db2.gz QJPALAKOPXXSGT-WCQYABFASA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@@H]1CCN(c2nc(N3CC[C@H](C)C3)c([N+](=O)[O-])s2)C1 ZINC000301267270 382130310 /nfs/dbraw/zinc/13/03/10/382130310.db2.gz FUHHGPCVXWSBFX-VHSXEESVSA-N 0 0 296.396 2.744 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@@H](C)O1 ZINC000301277620 382133692 /nfs/dbraw/zinc/13/36/92/382133692.db2.gz SIEXQJXPSVDRRA-MFKMUULPSA-N 0 0 250.298 2.599 20 5 CFBDRN CSC[C@@H](CCO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000301334498 382151183 /nfs/dbraw/zinc/15/11/83/382151183.db2.gz FQTASOXESDEDTD-MRVPVSSYSA-N 0 0 290.772 2.774 20 5 CFBDRN Cn1ccc(CNCc2ccc([N+](=O)[O-])cc2Cl)c1 ZINC000344135430 382151527 /nfs/dbraw/zinc/15/15/27/382151527.db2.gz GHPCTQHCQDIGBQ-UHFFFAOYSA-N 0 0 279.727 2.877 20 5 CFBDRN Cc1nc(N2CCC(OCC(C)C)CC2)ccc1[N+](=O)[O-] ZINC000301646085 382184453 /nfs/dbraw/zinc/18/44/53/382184453.db2.gz NWOWXTOXLLIQJS-UHFFFAOYSA-N 0 0 293.367 2.940 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cc2c(cn1)OCCC2 ZINC000376867807 382185325 /nfs/dbraw/zinc/18/53/25/382185325.db2.gz WRVZTRTVWNJXTE-UHFFFAOYSA-N 0 0 299.286 2.567 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)cc(F)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000301425326 382171105 /nfs/dbraw/zinc/17/11/05/382171105.db2.gz BVTCODHSDKDRPW-VHSXEESVSA-N 0 0 298.314 2.746 20 5 CFBDRN Cc1nc(C2CCC2)nn1Cc1ccc([N+](=O)[O-])cc1 ZINC000418926040 382194926 /nfs/dbraw/zinc/19/49/26/382194926.db2.gz RTAKZACGWCMGAU-UHFFFAOYSA-N 0 0 272.308 2.811 20 5 CFBDRN COc1cc(N2CCC(C)CC2)c(F)cc1[N+](=O)[O-] ZINC000301849344 382197417 /nfs/dbraw/zinc/19/74/17/382197417.db2.gz CZQZFNORYSLKON-UHFFFAOYSA-N 0 0 268.288 2.979 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CCO1 ZINC000301855391 382197468 /nfs/dbraw/zinc/19/74/68/382197468.db2.gz CSDBNWBDPHNHPZ-NSHDSACASA-N 0 0 294.351 2.997 20 5 CFBDRN Cc1cnc(N(CCO)CC2CCCCC2)c([N+](=O)[O-])c1 ZINC000362691204 382201656 /nfs/dbraw/zinc/20/16/56/382201656.db2.gz HRYMBYHAVAJONO-UHFFFAOYSA-N 0 0 293.367 2.677 20 5 CFBDRN CC[C@@H](O)CCCNc1ccc([N+](=O)[O-])cc1C ZINC000357517541 382202439 /nfs/dbraw/zinc/20/24/39/382202439.db2.gz UGITZSQURFATSZ-GFCCVEGCSA-N 0 0 252.314 2.866 20 5 CFBDRN COc1cc(Cn2cc(C)c([N+](=O)[O-])n2)ccc1Cl ZINC000418969909 382203048 /nfs/dbraw/zinc/20/30/48/382203048.db2.gz GYKHTHJHRBPELN-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN Cc1cc(N2CCC(OC3CCC3)CC2)ncc1[N+](=O)[O-] ZINC000418977784 382204714 /nfs/dbraw/zinc/20/47/14/382204714.db2.gz XJLSMBYSYNAQKO-UHFFFAOYSA-N 0 0 291.351 2.836 20 5 CFBDRN COc1cc(NC[C@@H]2CC23CC3)c([N+](=O)[O-])cc1F ZINC000418982995 382205881 /nfs/dbraw/zinc/20/58/81/382205881.db2.gz BSWZMMVWSZPZBH-QMMMGPOBSA-N 0 0 266.272 2.955 20 5 CFBDRN CCC[C@H](CNc1nc2cc([N+](=O)[O-])ccc2[nH]1)OC ZINC000293650143 382215459 /nfs/dbraw/zinc/21/54/59/382215459.db2.gz KLLRNDSHPOHESO-SNVBAGLBSA-N 0 0 278.312 2.698 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)C1 ZINC000419010982 382216612 /nfs/dbraw/zinc/21/66/12/382216612.db2.gz ZNWJMYYWZVDIEO-LYWQIFSTSA-N 0 0 288.250 2.522 20 5 CFBDRN CCC[C@H](CNc1nc2sccn2c1[N+](=O)[O-])OC ZINC000293658233 382218399 /nfs/dbraw/zinc/21/83/99/382218399.db2.gz LAGIRWKRIBSGPR-MRVPVSSYSA-N 0 0 284.341 2.531 20 5 CFBDRN Cc1cnc(NCc2ccc([N+](=O)[O-])cc2Cl)nc1 ZINC000302224506 382220063 /nfs/dbraw/zinc/22/00/63/382220063.db2.gz URYMONPEHQCBRA-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1ccc([C@@H]2CCCCCN2C(=O)[C@H]2C[C@H]2[N+](=O)[O-])o1 ZINC000363415726 382233661 /nfs/dbraw/zinc/23/36/61/382233661.db2.gz JLXZCWMBGDCQPF-RWMBFGLXSA-N 0 0 292.335 2.697 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1cnc2cnccc2c1 ZINC000344259826 382236135 /nfs/dbraw/zinc/23/61/35/382236135.db2.gz KRBFGNGANNGBAH-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN Cn1c(C(=O)Nc2cccc3cnccc32)ccc1[N+](=O)[O-] ZINC000357681135 382276834 /nfs/dbraw/zinc/27/68/34/382276834.db2.gz KCVFQEDFQHTMIU-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1c(CN2CCC[C@H]2c2ncon2)cccc1[N+](=O)[O-] ZINC000377495455 382279052 /nfs/dbraw/zinc/27/90/52/382279052.db2.gz OTAGWBYVGUITOI-ZDUSSCGKSA-N 0 0 288.307 2.623 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000302630161 382280767 /nfs/dbraw/zinc/28/07/67/382280767.db2.gz GIZRAPOHKBMPLE-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN CN(Cc1ccon1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352351005 382283749 /nfs/dbraw/zinc/28/37/49/382283749.db2.gz RZTOQVLTJFEFKT-UHFFFAOYSA-N 0 0 284.275 2.767 20 5 CFBDRN Cc1ccnc(N2CCC([C@H]3CCOC3)CC2)c1[N+](=O)[O-] ZINC000363653775 382240028 /nfs/dbraw/zinc/24/00/28/382240028.db2.gz XKXPAGGEKCZUAB-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cc(N(C)CC2(O)CCCCCC2)ncc1[N+](=O)[O-] ZINC000302394474 382245383 /nfs/dbraw/zinc/24/53/83/382245383.db2.gz IWMJDEASCROIJF-UHFFFAOYSA-N 0 0 293.367 2.820 20 5 CFBDRN C[C@@H](C1CC1)n1cc(Nc2ncccc2[N+](=O)[O-])cn1 ZINC000302431640 382249388 /nfs/dbraw/zinc/24/93/88/382249388.db2.gz OSMBVRZZWYRTSY-VIFPVBQESA-N 0 0 273.296 2.901 20 5 CFBDRN O=[N+]([O-])c1cnc(SCc2c(F)cccc2F)nc1 ZINC000357613039 382251068 /nfs/dbraw/zinc/25/10/68/382251068.db2.gz WWEWXGYSZKUJIN-UHFFFAOYSA-N 0 0 283.259 2.955 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@H]2c2ncc[nH]2)c(F)c1 ZINC000364040725 382252142 /nfs/dbraw/zinc/25/21/42/382252142.db2.gz ZAYATFXZHFTSHD-NSHDSACASA-N 0 0 294.261 2.938 20 5 CFBDRN CCOc1cc(N[C@@H]2CCC[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000302454484 382252448 /nfs/dbraw/zinc/25/24/48/382252448.db2.gz PZCUHRCUJZLZMA-DGCLKSJQSA-N 0 0 294.351 2.957 20 5 CFBDRN CCOc1cc(N[C@@H]2CCC[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000302454487 382252616 /nfs/dbraw/zinc/25/26/16/382252616.db2.gz PZCUHRCUJZLZMA-WCQYABFASA-N 0 0 294.351 2.957 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1CCc2nc[nH]c2C1 ZINC000377329324 382253825 /nfs/dbraw/zinc/25/38/25/382253825.db2.gz GPKSCANRTCUBLG-VIFPVBQESA-N 0 0 292.726 2.941 20 5 CFBDRN COc1ccc(Cl)c(Cn2nccc2[N+](=O)[O-])c1 ZINC000187899190 382291390 /nfs/dbraw/zinc/29/13/90/382291390.db2.gz PXKYQQDZPZCNDQ-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN COCCC1(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCC1 ZINC000357743888 382303201 /nfs/dbraw/zinc/30/32/01/382303201.db2.gz XYDVYBDDKDBXPQ-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2ncncc2Cl)cc1 ZINC000302729286 382304036 /nfs/dbraw/zinc/30/40/36/382304036.db2.gz UQDQYKDKPAHPGA-UHFFFAOYSA-N 0 0 293.714 2.562 20 5 CFBDRN CCCCOCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293853090 382305931 /nfs/dbraw/zinc/30/59/31/382305931.db2.gz CSTXSUAJZNZXKW-UHFFFAOYSA-N 0 0 294.351 2.763 20 5 CFBDRN Nc1nc(SCc2ccccc2Cl)ncc1[N+](=O)[O-] ZINC000302733700 382305935 /nfs/dbraw/zinc/30/59/35/382305935.db2.gz VHTSRLOKQBVUOS-UHFFFAOYSA-N 0 0 296.739 2.913 20 5 CFBDRN CCOc1cc(Oc2nccc(OC)n2)ccc1[N+](=O)[O-] ZINC000302736533 382306868 /nfs/dbraw/zinc/30/68/68/382306868.db2.gz WYLBRMNGKVHRSR-UHFFFAOYSA-N 0 0 291.263 2.584 20 5 CFBDRN Cc1cc(N[C@@H]2C=C[C@H](CO)C2)c(Cl)cc1[N+](=O)[O-] ZINC000302753547 382312179 /nfs/dbraw/zinc/31/21/79/382312179.db2.gz VFXRAZLPGJUEKB-VHSXEESVSA-N 0 0 282.727 2.906 20 5 CFBDRN CCC(C)(C)CNc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000302773800 382315009 /nfs/dbraw/zinc/31/50/09/382315009.db2.gz DJZBPCRKZWTEDJ-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN COc1ncccc1CCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000357777023 382316281 /nfs/dbraw/zinc/31/62/81/382316281.db2.gz XWJAIZVYZQXNQZ-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COC(=O)c1cnc(NC2CCC(C)CC2)c([N+](=O)[O-])c1 ZINC000302779754 382316799 /nfs/dbraw/zinc/31/67/99/382316799.db2.gz QYEIMPXYCFIONK-UHFFFAOYSA-N 0 0 293.323 2.767 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN[C@@H]2CCO[C@H]2C2CC2)c(F)c1 ZINC000352414612 382318698 /nfs/dbraw/zinc/31/86/98/382318698.db2.gz UMSIPRIPIVJIHE-KGLIPLIRSA-N 0 0 298.289 2.530 20 5 CFBDRN COC(=O)c1cnc(N[C@H]2CCC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000302788974 382318812 /nfs/dbraw/zinc/31/88/12/382318812.db2.gz XTNABKVBQQMKGA-ONGXEEELSA-N 0 0 293.323 2.767 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@H](C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000302886470 382345113 /nfs/dbraw/zinc/34/51/13/382345113.db2.gz NDVRGBYTIWMFJJ-QWRGUYRKSA-N 0 0 280.324 2.903 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CCCO1 ZINC000293937972 382345208 /nfs/dbraw/zinc/34/52/08/382345208.db2.gz FTGFGLCPJHEWEM-CQSZACIVSA-N 0 0 282.315 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@@H]2OCCC[C@@H]2C1 ZINC000302821061 382326657 /nfs/dbraw/zinc/32/66/57/382326657.db2.gz QBXWNNVZHZUCIH-YGRLFVJLSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000357800103 382328441 /nfs/dbraw/zinc/32/84/41/382328441.db2.gz FLQRBARVXCWTAC-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1ccccn1)CCN2 ZINC000302844462 382332319 /nfs/dbraw/zinc/33/23/19/382332319.db2.gz NHMOMGFNCVLRTQ-UHFFFAOYSA-N 0 0 270.292 2.570 20 5 CFBDRN Cc1ccc(CN2C[C@H](CO)c3ccccc32)cc1[N+](=O)[O-] ZINC000293929446 382340854 /nfs/dbraw/zinc/34/08/54/382340854.db2.gz NIJXNLAUVNNDQI-CQSZACIVSA-N 0 0 298.342 2.999 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC1(CO)CCCC1 ZINC000352369676 382293666 /nfs/dbraw/zinc/29/36/66/382293666.db2.gz ZYTGAFCYXXFNNW-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN CCn1nccc1CN(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000352369956 382295480 /nfs/dbraw/zinc/29/54/80/382295480.db2.gz UTIGWHCJMJJFLP-UHFFFAOYSA-N 0 0 292.314 2.582 20 5 CFBDRN CCOc1cc(NCC2(C)OCCCO2)ccc1[N+](=O)[O-] ZINC000302704106 382296333 /nfs/dbraw/zinc/29/63/33/382296333.db2.gz GMMFKODUUNVISZ-UHFFFAOYSA-N 0 0 296.323 2.559 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cn2ccnc2s1 ZINC000188170495 382360544 /nfs/dbraw/zinc/36/05/44/382360544.db2.gz OIMIEAKNFYRLFJ-UHFFFAOYSA-N 0 0 288.288 2.556 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@@H]1CF ZINC000293973636 382361320 /nfs/dbraw/zinc/36/13/20/382361320.db2.gz FZQCALSYMILTIV-SNVBAGLBSA-N 0 0 291.282 2.650 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCOC(C)(C)[C@H]1C ZINC000357919770 382374403 /nfs/dbraw/zinc/37/44/03/382374403.db2.gz CTXZDLYEHOCWFK-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN CCN(CC(C)C)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000357953688 382390660 /nfs/dbraw/zinc/39/06/60/382390660.db2.gz KZTWYDSZSBXEDT-UHFFFAOYSA-N 0 0 294.351 2.787 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])OC ZINC000294128414 382426523 /nfs/dbraw/zinc/42/65/23/382426523.db2.gz FIGMECKPCWTOCT-RNCFNFMXSA-N 0 0 298.314 2.525 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])CCO1 ZINC000420616585 382427323 /nfs/dbraw/zinc/42/73/23/382427323.db2.gz HQNUUNGRFMHFPW-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C)n2)C[C@H](CC)O1 ZINC000420623246 382431107 /nfs/dbraw/zinc/43/11/07/382431107.db2.gz JPJNPWPWFBJBLK-RYUDHWBXSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@H](O)CNc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000420623786 382431691 /nfs/dbraw/zinc/43/16/91/382431691.db2.gz ILDMPXNIBXYQCF-NSHDSACASA-N 0 0 282.340 2.955 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCCC1(O)CCC1)CCN2 ZINC000420627275 382433699 /nfs/dbraw/zinc/43/36/99/382433699.db2.gz DCIOGMBGFDXUIE-UHFFFAOYSA-N 0 0 291.351 2.670 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420635062 382436143 /nfs/dbraw/zinc/43/61/43/382436143.db2.gz YVUHXCCSNXCRMZ-VHSXEESVSA-N 0 0 277.324 2.542 20 5 CFBDRN COC1([C@@H](C)Nc2cc(C)ccc2[N+](=O)[O-])CCOCC1 ZINC000420641445 382441328 /nfs/dbraw/zinc/44/13/28/382441328.db2.gz NNJDCOFJUGUNLM-GFCCVEGCSA-N 0 0 294.351 2.899 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H](O)CC1CCCC1 ZINC000188648477 382448568 /nfs/dbraw/zinc/44/85/68/382448568.db2.gz YFSKNNOUZHVVIB-CYBMUJFWSA-N 0 0 295.335 2.923 20 5 CFBDRN C[C@@H]1CCC[C@@]1(O)CNc1ccc([N+](=O)[O-])cc1 ZINC000294172533 382444448 /nfs/dbraw/zinc/44/44/48/382444448.db2.gz SAPQJOBZXCSIIP-ZWNOBZJWSA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1ncc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)c(C)n1 ZINC000346544361 382404503 /nfs/dbraw/zinc/40/45/03/382404503.db2.gz JWFSUZXLOMHMHN-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN COc1c(C(=O)NC[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000358019640 382412812 /nfs/dbraw/zinc/41/28/12/382412812.db2.gz RJGACCMQRYEXTF-WDEREUQCSA-N 0 0 292.335 2.769 20 5 CFBDRN CC(C)(C)C[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000346561873 382414781 /nfs/dbraw/zinc/41/47/81/382414781.db2.gz BWFJFQLRKQPXTP-SNVBAGLBSA-N 0 0 279.340 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccc(OCCO)cc2)cc1 ZINC000304671100 382415047 /nfs/dbraw/zinc/41/50/47/382415047.db2.gz XONRAHLKFHHIAE-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN Cc1c(NC(=O)c2cnc(C3CC3)nc2)cccc1[N+](=O)[O-] ZINC000294186233 382450186 /nfs/dbraw/zinc/45/01/86/382450186.db2.gz AUEGJRSYLZIAHU-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1ccc(C)c(CN(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000346639517 382450535 /nfs/dbraw/zinc/45/05/35/382450535.db2.gz JCNXZJBPGXADLH-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)CC(F)(F)F ZINC000188660276 382451903 /nfs/dbraw/zinc/45/19/03/382451903.db2.gz QCLWMNIBDXINII-UHFFFAOYSA-N 0 0 291.229 2.929 20 5 CFBDRN CCCc1cnc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)s1 ZINC000346649786 382454492 /nfs/dbraw/zinc/45/44/92/382454492.db2.gz ULRYTXDGQOQWET-UHFFFAOYSA-N 0 0 280.309 2.584 20 5 CFBDRN C[C@H]1C[C@@H](NCc2ccccc2[N+](=O)[O-])c2nccn21 ZINC000294202529 382458385 /nfs/dbraw/zinc/45/83/85/382458385.db2.gz SZUUVBXFLHJNTD-CMPLNLGQSA-N 0 0 272.308 2.587 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1C1CC1 ZINC000365967767 382464745 /nfs/dbraw/zinc/46/47/45/382464745.db2.gz FWBKRKBQZGVMJX-OAHLLOKOSA-N 0 0 288.347 2.928 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000378628421 382494525 /nfs/dbraw/zinc/49/45/25/382494525.db2.gz XHUMIKMOYIJTGP-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000294248317 382477228 /nfs/dbraw/zinc/47/72/28/382477228.db2.gz WIEAVJNGABFPNU-CQSZACIVSA-N 0 0 282.315 2.985 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CCCOCC1 ZINC000188824085 382485712 /nfs/dbraw/zinc/48/57/12/382485712.db2.gz OFOKJRQOWSLGFE-NSHDSACASA-N 0 0 293.323 2.594 20 5 CFBDRN CCOC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000188838466 382488427 /nfs/dbraw/zinc/48/84/27/382488427.db2.gz IMMKEAGRTFOPEO-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CCOC[C@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000311120294 382500617 /nfs/dbraw/zinc/50/06/17/382500617.db2.gz HAORPGWFUNMQBC-VIFPVBQESA-N 0 0 256.277 2.879 20 5 CFBDRN CC(F)(F)CCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000294321970 382509271 /nfs/dbraw/zinc/50/92/71/382509271.db2.gz WVXIYYLMGXFXDT-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN C[C@H](CCNC(=O)c1c([N+](=O)[O-])cnn1C)CC(C)(C)C ZINC000188949290 382511591 /nfs/dbraw/zinc/51/15/91/382511591.db2.gz PWSNCYWPHBKKLG-SNVBAGLBSA-N 0 0 296.371 2.521 20 5 CFBDRN O=C(Nc1cc(C2CC2)ccn1)c1ccc([N+](=O)[O-])o1 ZINC000358222945 382511871 /nfs/dbraw/zinc/51/18/71/382511871.db2.gz KXDKQRVLXYWWAU-UHFFFAOYSA-N 0 0 273.248 2.713 20 5 CFBDRN COc1cc(C(=O)NCC2(C)CCC2)cc([N+](=O)[O-])c1C ZINC000188992813 382519602 /nfs/dbraw/zinc/51/96/02/382519602.db2.gz SIACSLGTOWHMOR-UHFFFAOYSA-N 0 0 292.335 2.832 20 5 CFBDRN CCn1ccnc1CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000294888445 382539945 /nfs/dbraw/zinc/53/99/45/382539945.db2.gz CTJFQIZITFQAOX-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000358272856 382540432 /nfs/dbraw/zinc/54/04/32/382540432.db2.gz JHTQHBRYAOBRLT-JTQLQIEISA-N 0 0 277.324 2.501 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000421447387 382540771 /nfs/dbraw/zinc/54/07/71/382540771.db2.gz NANVMLZKRRHYGW-QPUJVOFHSA-N 0 0 284.262 2.817 20 5 CFBDRN C[C@@H](NC(=O)[C@]1(C)CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000421447385 382541034 /nfs/dbraw/zinc/54/10/34/382541034.db2.gz NANVMLZKRRHYGW-PELKAZGASA-N 0 0 284.262 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc(SCC[C@H]2CCCO2)nc1 ZINC000189106507 382544456 /nfs/dbraw/zinc/54/44/56/382544456.db2.gz LFLPLZWYMSMDEX-SNVBAGLBSA-N 0 0 254.311 2.651 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1CCC(=O)[C@@H]1C ZINC000279721384 194541480 /nfs/dbraw/zinc/54/14/80/194541480.db2.gz UVWKNDJGGDTWBR-GHMZBOCLSA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1nnsc1CN[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000384295853 382551955 /nfs/dbraw/zinc/55/19/55/382551955.db2.gz XGADTZHCDNDCRS-VIFPVBQESA-N 0 0 292.364 2.914 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cn1)c1ccccn1 ZINC000294960762 382552006 /nfs/dbraw/zinc/55/20/06/382552006.db2.gz MZVBYJHBFDXEEU-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN CC[C@H](C)CC(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000189151113 382555297 /nfs/dbraw/zinc/55/52/97/382555297.db2.gz AJHWFHKBXWESAG-JTQLQIEISA-N 0 0 280.324 2.656 20 5 CFBDRN C[C@@H]([C@H](C)NC(=O)c1cc([N+](=O)[O-])n[nH]1)C1CCCCC1 ZINC000353570076 382561807 /nfs/dbraw/zinc/56/18/07/382561807.db2.gz WRZJMCGZWFYTDX-UWVGGRQHSA-N 0 0 294.355 2.653 20 5 CFBDRN CC(C)Sc1ccc(Cn2ncc([N+](=O)[O-])c2N)cc1 ZINC000358315404 382564272 /nfs/dbraw/zinc/56/42/72/382564272.db2.gz GFZSWADMTLFLDW-UHFFFAOYSA-N 0 0 292.364 2.922 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000346905054 382567318 /nfs/dbraw/zinc/56/73/18/382567318.db2.gz BMUDJHORCFHTEK-DGCLKSJQSA-N 0 0 276.336 2.784 20 5 CFBDRN CC(C)[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000346913933 382569936 /nfs/dbraw/zinc/56/99/36/382569936.db2.gz HWWXSEAPAWSUHK-JTQLQIEISA-N 0 0 290.241 2.912 20 5 CFBDRN CC(C)c1nnc(CNc2cccc(F)c2[N+](=O)[O-])[nH]1 ZINC000295057469 382570151 /nfs/dbraw/zinc/57/01/51/382570151.db2.gz YIJSMRFXGHJQGO-UHFFFAOYSA-N 0 0 279.275 2.588 20 5 CFBDRN Cc1ccc(NCC[C@@H](C)CCO)c([N+](=O)[O-])c1 ZINC000295058796 382571779 /nfs/dbraw/zinc/57/17/79/382571779.db2.gz YVSATTOAUYEUIK-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@@]1(C)CC1(F)F ZINC000421541106 382573028 /nfs/dbraw/zinc/57/30/28/382573028.db2.gz LNMNGIPWZIYDJY-CYBMUJFWSA-N 0 0 298.289 2.641 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000353306117 382535772 /nfs/dbraw/zinc/53/57/72/382535772.db2.gz PUEXIZLSRKLGSH-ZANVPECISA-N 0 0 280.324 2.903 20 5 CFBDRN COc1cccc(N2CCC(CF)CC2)c1[N+](=O)[O-] ZINC000294863897 382535942 /nfs/dbraw/zinc/53/59/42/382535942.db2.gz AJPUQCZGVABNHA-UHFFFAOYSA-N 0 0 268.288 2.789 20 5 CFBDRN CC[C@H]1[C@H](CO)CCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000386241524 382584821 /nfs/dbraw/zinc/58/48/21/382584821.db2.gz HYSNTQUCWYUZDQ-RYUDHWBXSA-N 0 0 264.325 2.500 20 5 CFBDRN C[C@H](NC(=O)[C@@]12C[C@@H]1CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000421577767 382587795 /nfs/dbraw/zinc/58/77/95/382587795.db2.gz RBSPOZMBYUVFNS-WBIUFABUSA-N 0 0 274.320 2.962 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000421579646 382590122 /nfs/dbraw/zinc/59/01/22/382590122.db2.gz LFUFJYCCONUHOM-SECBINFHSA-N 0 0 250.298 2.679 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](C)O ZINC000386829700 382592801 /nfs/dbraw/zinc/59/28/01/382592801.db2.gz VRVROLRFHYLSLM-OIBJUYFYSA-N 0 0 258.705 2.820 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CC12CCOCC2 ZINC000386926603 382593596 /nfs/dbraw/zinc/59/35/96/382593596.db2.gz QLYSZEBJYSMOJI-LBPRGKRZSA-N 0 0 266.272 2.715 20 5 CFBDRN Cc1ncccc1CC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295237479 382596363 /nfs/dbraw/zinc/59/63/63/382596363.db2.gz CLRCVXMXMIIEQZ-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)CC(F)(F)F)c(Cl)c1 ZINC000189348881 382596939 /nfs/dbraw/zinc/59/69/39/382596939.db2.gz WNNHEOFBDFHOLO-ZETCQYMHSA-N 0 0 299.632 2.940 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358387503 382597408 /nfs/dbraw/zinc/59/74/08/382597408.db2.gz BWWQBQXFPPTOBT-JTQLQIEISA-N 0 0 282.315 2.923 20 5 CFBDRN C[C@H](NC(=O)CSC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000295256916 382600690 /nfs/dbraw/zinc/60/06/90/382600690.db2.gz FSQHYHXBFRUMID-ZETCQYMHSA-N 0 0 290.291 2.728 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000388221836 382603649 /nfs/dbraw/zinc/60/36/49/382603649.db2.gz IPRDXKQRSQQZDK-AMIZOPFISA-N 0 0 268.288 2.959 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000388221838 382603690 /nfs/dbraw/zinc/60/36/90/382603690.db2.gz IPRDXKQRSQQZDK-OQPBUACISA-N 0 0 268.288 2.959 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H](C)CCC2CC2)cc1[N+](=O)[O-] ZINC000398143114 382635067 /nfs/dbraw/zinc/63/50/67/382635067.db2.gz PHTRAWKJQNXYRM-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1cc(N[C@H](C)[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000389277810 382613327 /nfs/dbraw/zinc/61/33/27/382613327.db2.gz JVVPNFQMTMFHLL-NXEZZACHSA-N 0 0 268.288 2.879 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)OC ZINC000295318820 382615377 /nfs/dbraw/zinc/61/53/77/382615377.db2.gz AVNGNVXRWOBLIO-IINYFYTJSA-N 0 0 294.351 2.694 20 5 CFBDRN CC(C)OCCC[N@H+](C)Cc1cccc([N+](=O)[O-])c1 ZINC000353735275 382620678 /nfs/dbraw/zinc/62/06/78/382620678.db2.gz DALFQAYOXUXDGE-UHFFFAOYSA-N 0 0 266.341 2.842 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(C)(C)C(C)(C)O)n1 ZINC000393487880 382623664 /nfs/dbraw/zinc/62/36/64/382623664.db2.gz QYPZMZCWGUGDPE-UHFFFAOYSA-N 0 0 267.329 2.507 20 5 CFBDRN O=C(NCc1ccc(Cl)cc1F)c1ccc([N+](=O)[O-])o1 ZINC000189270386 382578573 /nfs/dbraw/zinc/57/85/73/382578573.db2.gz ANYNHWXSEQKWRC-UHFFFAOYSA-N 0 0 298.657 2.910 20 5 CFBDRN Cc1ccncc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000358344326 382579794 /nfs/dbraw/zinc/57/97/94/382579794.db2.gz PCSOZDUYUBLDSZ-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN COC[C@@H](C)n1ccc(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000189884031 382643856 /nfs/dbraw/zinc/64/38/56/382643856.db2.gz ITDLSDQCPPXABH-LLVKDONJSA-N 0 0 290.323 2.611 20 5 CFBDRN C[C@@H](CNc1ccc(Cl)cc1[N+](=O)[O-])c1nncn1C ZINC000189963346 382647333 /nfs/dbraw/zinc/64/73/33/382647333.db2.gz RCRDVWYKOIXZFV-QMMMGPOBSA-N 0 0 295.730 2.592 20 5 CFBDRN COc1ccc(Cl)c(Cn2cc([N+](=O)[O-])c(C)n2)c1 ZINC000190125923 382654172 /nfs/dbraw/zinc/65/41/72/382654172.db2.gz MCCIRTAQCUDHIS-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN COCCCCCOc1ccc(OC)c([N+](=O)[O-])c1 ZINC000190182323 382656061 /nfs/dbraw/zinc/65/60/61/382656061.db2.gz VYMCTXFSPBQMFY-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN COc1ccc(OCc2nccs2)cc1[N+](=O)[O-] ZINC000190189459 382656393 /nfs/dbraw/zinc/65/63/93/382656393.db2.gz KETHMVRMWXQTGJ-UHFFFAOYSA-N 0 0 266.278 2.639 20 5 CFBDRN CCOCCOCC[N@H+](C)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000190255318 382659182 /nfs/dbraw/zinc/65/91/82/382659182.db2.gz HECKLRUIZPNKSB-CYBMUJFWSA-N 0 0 296.367 2.641 20 5 CFBDRN CCOc1cc(O)ccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000190987534 382687501 /nfs/dbraw/zinc/68/75/01/382687501.db2.gz FGMBZDJAWFJUBP-UHFFFAOYSA-N 0 0 292.247 2.544 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@@H](C)C2)cc([N+](=O)[O-])c1C ZINC000190275642 382660339 /nfs/dbraw/zinc/66/03/39/382660339.db2.gz LUBZNIADDVYOOR-SNVBAGLBSA-N 0 0 292.335 2.784 20 5 CFBDRN COc1ccc(OC(=O)C2[C@@H]3CCCC[C@H]23)cc1[N+](=O)[O-] ZINC000191014292 382688249 /nfs/dbraw/zinc/68/82/49/382688249.db2.gz DELMGSKWEIZGJI-BVUQATHDSA-N 0 0 291.303 2.945 20 5 CFBDRN Cc1ccc(OC[C@H]2CC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000190678029 382677325 /nfs/dbraw/zinc/67/73/25/382677325.db2.gz CZNPGCFNSVUTBN-CMPLNLGQSA-N 0 0 251.282 2.850 20 5 CFBDRN CC[C@H](C)CC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000191156937 382693697 /nfs/dbraw/zinc/69/36/97/382693697.db2.gz RWPAAPAYGHVSJO-NSHDSACASA-N 0 0 279.340 2.559 20 5 CFBDRN C[C@@H]1CC(O)C[C@H](C)N1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000191176786 382694474 /nfs/dbraw/zinc/69/44/74/382694474.db2.gz OIZYFOAYNDHTDF-HWYHXSKPSA-N 0 0 298.770 2.982 20 5 CFBDRN Cc1cnc(COC(=O)[C@@H](C)C2CC2)c(C)c1[N+](=O)[O-] ZINC000191407143 382699880 /nfs/dbraw/zinc/69/98/80/382699880.db2.gz HWCYIPNOBCHVRS-VIFPVBQESA-N 0 0 278.308 2.696 20 5 CFBDRN O=[N+]([O-])c1cccc([C@H](O)CSC[C@H]2CCCO2)c1 ZINC000191615575 382701625 /nfs/dbraw/zinc/70/16/25/382701625.db2.gz PFXYRNNIMIYRQY-CHWSQXEVSA-N 0 0 283.349 2.540 20 5 CFBDRN CO[C@@H]1CC[C@@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000191640451 382701768 /nfs/dbraw/zinc/70/17/68/382701768.db2.gz RZKFVBSAGORKFS-RKDXNWHRSA-N 0 0 298.726 2.546 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NCC2(CC)CCCC2)n1 ZINC000191670886 382701901 /nfs/dbraw/zinc/70/19/01/382701901.db2.gz OZCAGKMZUKNYRF-UHFFFAOYSA-N 0 0 294.355 2.511 20 5 CFBDRN CC(C)=CCNC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000191684727 382701966 /nfs/dbraw/zinc/70/19/66/382701966.db2.gz XQYZPTNZOCTDET-UHFFFAOYSA-N 0 0 293.279 2.507 20 5 CFBDRN CC(=O)CC(C)(C)CC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000191748780 382702229 /nfs/dbraw/zinc/70/22/29/382702229.db2.gz CAUYVFGYUCNHFQ-UHFFFAOYSA-N 0 0 292.335 2.606 20 5 CFBDRN CCC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000192193117 382705456 /nfs/dbraw/zinc/70/54/56/382705456.db2.gz LTNQYCKUQADFJJ-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CCC(C)(C)CNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000192339692 382707456 /nfs/dbraw/zinc/70/74/56/382707456.db2.gz SGJSKXKQVGRGOM-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN Cc1nc(CNc2ncc([N+](=O)[O-])s2)sc1C ZINC000192472635 382709367 /nfs/dbraw/zinc/70/93/67/382709367.db2.gz AWXMAVNHEXCJKX-UHFFFAOYSA-N 0 0 270.339 2.737 20 5 CFBDRN CC(C)Oc1cc(CNc2ncc([N+](=O)[O-])s2)ccn1 ZINC000192506481 382709554 /nfs/dbraw/zinc/70/95/54/382709554.db2.gz NUOCHKVJQPSARQ-UHFFFAOYSA-N 0 0 294.336 2.846 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1ncc([N+](=O)[O-])s1 ZINC000192506241 382709591 /nfs/dbraw/zinc/70/95/91/382709591.db2.gz FFJJMVSBYPVYNF-ZCFIWIBFSA-N 0 0 282.325 2.872 20 5 CFBDRN COc1cc(Nc2ncc([N+](=O)[O-])s2)ccc1F ZINC000192542562 382710039 /nfs/dbraw/zinc/71/00/39/382710039.db2.gz ADOGFPRUQZKWKQ-UHFFFAOYSA-N 0 0 269.257 2.943 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCO[C@@H](c3ccccc3)C2)c1 ZINC000193381750 382719385 /nfs/dbraw/zinc/71/93/85/382719385.db2.gz UONRUGHDUBXIPQ-MRXNPFEDSA-N 0 0 299.330 2.755 20 5 CFBDRN CCC[C@@H](NC(=O)c1cc([N+](=O)[O-])cn1C)C(C)(C)C ZINC000575006414 382723668 /nfs/dbraw/zinc/72/36/68/382723668.db2.gz DNGDTADHYKAELY-GFCCVEGCSA-N 0 0 281.356 2.878 20 5 CFBDRN CN(Cc1ccccn1)Cc1ccc([N+](=O)[O-])cc1F ZINC000336830146 382725840 /nfs/dbraw/zinc/72/58/40/382725840.db2.gz BNHICMOFYQMTSQ-UHFFFAOYSA-N 0 0 275.283 2.761 20 5 CFBDRN CC1(C)CCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000193941683 382730255 /nfs/dbraw/zinc/73/02/55/382730255.db2.gz KEQDYTPRTCQZSD-UHFFFAOYSA-N 0 0 279.296 2.735 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000336846273 382734235 /nfs/dbraw/zinc/73/42/35/382734235.db2.gz MDDFBRHMWZQDCA-PWSUYJOCSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1c(OC[C@H]2CC[C@@H](C)O2)cccc1[N+](=O)[O-] ZINC000191096620 382690927 /nfs/dbraw/zinc/69/09/27/382690927.db2.gz XCTHOJRFGVEDDK-MWLCHTKSSA-N 0 0 251.282 2.850 20 5 CFBDRN CCc1nn(C)cc1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000092959772 184638426 /nfs/dbraw/zinc/63/84/26/184638426.db2.gz ZDNKLOBGYDULRX-UHFFFAOYSA-N 0 0 295.730 2.551 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000195212086 382764058 /nfs/dbraw/zinc/76/40/58/382764058.db2.gz MTCXZCARWYMTJS-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000195264803 382765364 /nfs/dbraw/zinc/76/53/64/382765364.db2.gz AATXGFYUKHEXMN-JTQLQIEISA-N 0 0 293.367 2.772 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])s1)N(C)c1ccccc1 ZINC000195508885 382768193 /nfs/dbraw/zinc/76/81/93/382768193.db2.gz ZPFXCRWAYLKCEO-SNVBAGLBSA-N 0 0 292.364 2.988 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCC1CCCC1 ZINC000195684585 382770647 /nfs/dbraw/zinc/77/06/47/382770647.db2.gz UGOLVDQWPCXQOB-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN COc1cc(N2CCC(CCCO)CC2)ccc1[N+](=O)[O-] ZINC000200029952 382772510 /nfs/dbraw/zinc/77/25/10/382772510.db2.gz FDOHHGRBFQQRJS-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN Cn1ccc(CNc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000212274979 382776279 /nfs/dbraw/zinc/77/62/79/382776279.db2.gz NZANUSSWABGCRU-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN Cc1nc(CCNc2cc(C)c([N+](=O)[O-])cn2)cs1 ZINC000220159798 382795351 /nfs/dbraw/zinc/79/53/51/382795351.db2.gz WXPHQPQKGWLRHB-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN Cc1cc(C(=O)N(C(C)C)C2CC2)cc([N+](=O)[O-])c1 ZINC000194391687 382742707 /nfs/dbraw/zinc/74/27/07/382742707.db2.gz VGCSHTJFKPOTBU-UHFFFAOYSA-N 0 0 262.309 2.916 20 5 CFBDRN C[C@H]1C[C@@H]1c1cc(NC(=O)c2csc([N+](=O)[O-])c2)n[nH]1 ZINC000194545900 382747763 /nfs/dbraw/zinc/74/77/63/382747763.db2.gz HUZVIVVXCSIUTA-XPUUQOCRSA-N 0 0 292.320 2.755 20 5 CFBDRN C[C@H]1C[C@H]1c1cc(NC(=O)c2csc([N+](=O)[O-])c2)n[nH]1 ZINC000194545922 382747818 /nfs/dbraw/zinc/74/78/18/382747818.db2.gz HUZVIVVXCSIUTA-POYBYMJQSA-N 0 0 292.320 2.755 20 5 CFBDRN C[C@H]1C[C@H]1c1cc(NC(=O)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000194590533 382749418 /nfs/dbraw/zinc/74/94/18/382749418.db2.gz SKEJYTHTAHKFBE-GZMMTYOYSA-N 0 0 286.291 2.694 20 5 CFBDRN COc1ncccc1NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000337278116 382792709 /nfs/dbraw/zinc/79/27/09/382792709.db2.gz SHNMTOXYSGTRJM-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCO[C@H]1CCCCO1 ZINC000194714856 382752895 /nfs/dbraw/zinc/75/28/95/382752895.db2.gz LNSOVLJCHSSHSO-ZDUSSCGKSA-N 0 0 285.271 2.656 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CO)c2ccc(Cl)cc2)s1 ZINC000337018247 382753030 /nfs/dbraw/zinc/75/30/30/382753030.db2.gz OBQVWYCNNSRHJA-VIFPVBQESA-N 0 0 299.739 2.850 20 5 CFBDRN O=C(Nc1ncccc1[O-])c1ccc(Cl)cc1[N+](=O)[O-] ZINC000194833531 382755384 /nfs/dbraw/zinc/75/53/84/382755384.db2.gz PPMCLTUMTPAHFK-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN CCOc1ccc(C(=O)N2C[C@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000337056861 382756741 /nfs/dbraw/zinc/75/67/41/382756741.db2.gz VJCBEXBUWDKTSE-PHIMTYICSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@@H](C(=O)NCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000575334076 382759113 /nfs/dbraw/zinc/75/91/13/382759113.db2.gz RFXNUDVWEOFDRS-SNVBAGLBSA-N 0 0 262.309 2.647 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCCO2)c1cc([N+](=O)[O-])c[nH]1 ZINC000337617824 382848553 /nfs/dbraw/zinc/84/85/53/382848553.db2.gz DKJFYIKQUCJYMY-UHFFFAOYSA-N 0 0 287.275 2.500 20 5 CFBDRN COc1cccc(NCC(C)(C)CCCO)c1[N+](=O)[O-] ZINC000228860649 382849379 /nfs/dbraw/zinc/84/93/79/382849379.db2.gz ZCSDCHGMIVHOEX-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CCCSCC1 ZINC000221823479 382800003 /nfs/dbraw/zinc/80/00/03/382800003.db2.gz JQSHHZLQXVWTLX-SREVYHEPSA-N 0 0 292.360 2.574 20 5 CFBDRN CN(Cc1ccc(F)cc1Cl)c1c([N+](=O)[O-])ncn1C ZINC000337328174 382802503 /nfs/dbraw/zinc/80/25/03/382802503.db2.gz QWIGVCDPOZMJTC-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN Cc1cc(NC[C@@H](O)CC(C)C)ccc1[N+](=O)[O-] ZINC000224286754 382809859 /nfs/dbraw/zinc/80/98/59/382809859.db2.gz CWTVUIQRQFWHMD-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN O=C(NCCc1cccc2[nH]ccc21)c1ccc([N+](=O)[O-])o1 ZINC000337366665 382810315 /nfs/dbraw/zinc/81/03/15/382810315.db2.gz NYQBIGWJRDLQNS-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN CO[C@H](C)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000224342580 382810588 /nfs/dbraw/zinc/81/05/88/382810588.db2.gz PQYNVEFOXKDYAF-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN CO[C@H](C)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000224349154 382810749 /nfs/dbraw/zinc/81/07/49/382810749.db2.gz DSGSSOWGMXCYMS-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ncc([N+](=O)[O-])cc1C ZINC000225745064 382820938 /nfs/dbraw/zinc/82/09/38/382820938.db2.gz ANLXRDMBCBJYLE-LBPRGKRZSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cc(N2CCC([C@H](C)O)CC2)ccc1[N+](=O)[O-] ZINC000225742759 382821078 /nfs/dbraw/zinc/82/10/78/382821078.db2.gz RDKIETYLDCASKP-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1noc(CCNc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000226025376 382824380 /nfs/dbraw/zinc/82/43/80/382824380.db2.gz BNCXEQBRNHYIBS-UHFFFAOYSA-N 0 0 282.687 2.594 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000227008314 382831928 /nfs/dbraw/zinc/83/19/28/382831928.db2.gz DPFXRCUFOSRMCK-GMSGAONNSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCCCCO)c1 ZINC000227109333 382833438 /nfs/dbraw/zinc/83/34/38/382833438.db2.gz BEPVQMUHDKDTQI-UHFFFAOYSA-N 0 0 252.314 2.502 20 5 CFBDRN C[C@@H](O)[C@H](C)Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000227286244 382835336 /nfs/dbraw/zinc/83/53/36/382835336.db2.gz DOPWDFAZFVKWJZ-RITPCOANSA-N 0 0 262.718 2.505 20 5 CFBDRN O=[N+]([O-])c1cccc(C[NH2+]CC[C@H]2CCCCO2)c1 ZINC000227337929 382835918 /nfs/dbraw/zinc/83/59/18/382835918.db2.gz AQRVCBHLEHKNPR-CQSZACIVSA-N 0 0 264.325 2.644 20 5 CFBDRN CC(C)c1cccnc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000337575640 382844026 /nfs/dbraw/zinc/84/40/26/382844026.db2.gz VWPPUCBHGTWILL-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CC(C)[C@H](CCO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000229941633 382854292 /nfs/dbraw/zinc/85/42/92/382854292.db2.gz OKUKRIWKZOKWSQ-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2c[nH]cn2)nc1-c1ccccc1 ZINC000577336088 382855103 /nfs/dbraw/zinc/85/51/03/382855103.db2.gz NXYJVRWLPSCDGO-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000337688946 382855539 /nfs/dbraw/zinc/85/55/39/382855539.db2.gz KXUKERAVFLMVLL-UHFFFAOYSA-N 0 0 295.245 2.604 20 5 CFBDRN Cc1cnc(N[C@@H](C)C2CCOCC2)c([N+](=O)[O-])c1 ZINC000230217700 382857543 /nfs/dbraw/zinc/85/75/43/382857543.db2.gz RLJIVKNOFMZULU-JTQLQIEISA-N 0 0 265.313 2.525 20 5 CFBDRN CC[C@H]1C[C@@H]1Nc1ncc(Br)cc1[N+](=O)[O-] ZINC000230215175 382857547 /nfs/dbraw/zinc/85/75/47/382857547.db2.gz XBYOSXNWVDYUPK-XPUUQOCRSA-N 0 0 286.129 2.963 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@](C)(O)CC1 ZINC000230356319 382859518 /nfs/dbraw/zinc/85/95/18/382859518.db2.gz MVHNNYFVJZZUNJ-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]1C(F)F ZINC000337737574 382860052 /nfs/dbraw/zinc/86/00/52/382860052.db2.gz BCRJDFGBUPJBNB-RKDXNWHRSA-N 0 0 270.235 2.743 20 5 CFBDRN COc1ccc(COc2ccc(Cl)cc2[N+](=O)[O-])nn1 ZINC000337746736 382861139 /nfs/dbraw/zinc/86/11/39/382861139.db2.gz GSIGSGSJCWGEQM-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000230917352 382863742 /nfs/dbraw/zinc/86/37/42/382863742.db2.gz PYDONTCZLYOEES-SCZZXKLOSA-N 0 0 253.327 2.686 20 5 CFBDRN COc1cc(N[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000230919594 382863910 /nfs/dbraw/zinc/86/39/10/382863910.db2.gz ZGKRABOVPUDBMZ-VIFPVBQESA-N 0 0 254.311 2.521 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOCC(F)F)c1 ZINC000231679728 382866142 /nfs/dbraw/zinc/86/61/42/382866142.db2.gz QRZXSPYAGDDGAO-UHFFFAOYSA-N 0 0 260.240 2.597 20 5 CFBDRN CC(C)=CCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000232642023 382869155 /nfs/dbraw/zinc/86/91/55/382869155.db2.gz CVEOMGHKZMJNRZ-UHFFFAOYSA-N 0 0 251.242 2.881 20 5 CFBDRN COc1cc(NCCCOC(F)F)c(F)cc1[N+](=O)[O-] ZINC000337823495 382869211 /nfs/dbraw/zinc/86/92/11/382869211.db2.gz RWTBPUDEDGJTKI-UHFFFAOYSA-N 0 0 294.229 2.784 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](CO)Cc1ccco1 ZINC000577809390 382871029 /nfs/dbraw/zinc/87/10/29/382871029.db2.gz JLEIQCDCLYTWMJ-LBPRGKRZSA-N 0 0 290.319 2.759 20 5 CFBDRN CC[C@@H](O)CCNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000233910693 382872251 /nfs/dbraw/zinc/87/22/51/382872251.db2.gz RZFXPLLXJLCJFI-SSDOTTSWSA-N 0 0 293.245 2.582 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Sc2nc(C)co2)c1 ZINC000234581760 382874383 /nfs/dbraw/zinc/87/43/83/382874383.db2.gz HPPPVSDLKZAWBY-UHFFFAOYSA-N 0 0 294.288 2.829 20 5 CFBDRN COCCNc1cc(C)c([N+](=O)[O-])cc1Br ZINC000234660210 382874393 /nfs/dbraw/zinc/87/43/93/382874393.db2.gz KMPVATZTIBQWGE-UHFFFAOYSA-N 0 0 289.129 2.724 20 5 CFBDRN Cc1cn(Cc2cc(-c3ccccc3)no2)nc1[N+](=O)[O-] ZINC000337938926 382874846 /nfs/dbraw/zinc/87/48/46/382874846.db2.gz VWHMNXRVAQNKLN-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN C[C@@H](NCc1cccc([N+](=O)[O-])c1)c1ccncc1F ZINC000337952638 382878553 /nfs/dbraw/zinc/87/85/53/382878553.db2.gz ZMFPNGIWKWMKMB-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CCO/C=C/C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000493705779 382879365 /nfs/dbraw/zinc/87/93/65/382879365.db2.gz KNAFGENYVBJSOF-WSKFYRRCSA-N 0 0 278.308 2.631 20 5 CFBDRN CCc1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)cc1F ZINC000533110539 382882437 /nfs/dbraw/zinc/88/24/37/382882437.db2.gz NENNFCJKMUHXIQ-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000421598110 382882662 /nfs/dbraw/zinc/88/26/62/382882662.db2.gz YQPMWJVRPIWPHP-SNVBAGLBSA-N 0 0 287.319 2.919 20 5 CFBDRN Cc1ccc(CC(=O)Nc2cccc([N+](=O)[O-])c2C)o1 ZINC000533121165 382883085 /nfs/dbraw/zinc/88/30/85/382883085.db2.gz UECNZBGXVPKJFU-UHFFFAOYSA-N 0 0 274.276 2.986 20 5 CFBDRN CC1=CCN(c2ccc([N+](=O)[O-])c(OC(C)C)n2)CC1 ZINC000578026465 382885207 /nfs/dbraw/zinc/88/52/07/382885207.db2.gz USJIZXKQDMTIIJ-UHFFFAOYSA-N 0 0 277.324 2.933 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)CCO1 ZINC000421660298 382887147 /nfs/dbraw/zinc/88/71/47/382887147.db2.gz BFEOBAKNGYWNGF-ZJUUUORDSA-N 0 0 298.364 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@@H](O)C2)c2ncccc12 ZINC000236548578 382895098 /nfs/dbraw/zinc/89/50/98/382895098.db2.gz UBYFIUKSYRTRPZ-GHMZBOCLSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H](F)CCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000455324327 382896479 /nfs/dbraw/zinc/89/64/79/382896479.db2.gz DSWBGOVGROJWAZ-ZETCQYMHSA-N 0 0 273.239 2.604 20 5 CFBDRN C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1ccncc1F ZINC000338169242 382940855 /nfs/dbraw/zinc/94/08/55/382940855.db2.gz DWMBNXBKMLDZPE-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC(CF)CF ZINC000455458620 382917417 /nfs/dbraw/zinc/91/74/17/382917417.db2.gz FEMGFLWCJINAMG-UHFFFAOYSA-N 0 0 287.266 2.586 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1Cc2ccccc2C1 ZINC000494684501 382919507 /nfs/dbraw/zinc/91/95/07/382919507.db2.gz JDSAVXXOKPSMNG-UHFFFAOYSA-N 0 0 298.298 2.759 20 5 CFBDRN CC1(CCNC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000280273118 194776863 /nfs/dbraw/zinc/77/68/63/194776863.db2.gz ZRCCUVPDUBJAQZ-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1CCCC1CCCC1 ZINC000578506709 382927269 /nfs/dbraw/zinc/92/72/69/382927269.db2.gz WHFQQIKSJYJNLK-UHFFFAOYSA-N 0 0 268.288 2.866 20 5 CFBDRN Cc1nc(NC(=O)c2ccccc2[N+](=O)[O-])cs1 ZINC000338136557 382930293 /nfs/dbraw/zinc/93/02/93/382930293.db2.gz VCFDWVCHYHXOLY-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CC(C)Oc1nc(N2CCC23CCC3)ccc1[N+](=O)[O-] ZINC000578549659 382931509 /nfs/dbraw/zinc/93/15/09/382931509.db2.gz VMWLOKVLDJCSHO-UHFFFAOYSA-N 0 0 277.324 2.910 20 5 CFBDRN COCC[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000338151202 382935621 /nfs/dbraw/zinc/93/56/21/382935621.db2.gz YXTRRFSPJZEBFL-CYBMUJFWSA-N 0 0 294.351 2.776 20 5 CFBDRN Cc1cnc(NCC(C)(C)[C@@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000338289221 382978214 /nfs/dbraw/zinc/97/82/14/382978214.db2.gz OARCXLDVMRBINW-LBPRGKRZSA-N 0 0 281.356 2.753 20 5 CFBDRN O=C(NC1CCC1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] ZINC000457193129 382986346 /nfs/dbraw/zinc/98/63/46/382986346.db2.gz BZAPGYRHAJZWPH-UHFFFAOYSA-N 0 0 297.314 2.939 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NC[C@H]1CCCOC1)CCCC2 ZINC000579231048 382995169 /nfs/dbraw/zinc/99/51/69/382995169.db2.gz MWISKCKIRPFJBY-LLVKDONJSA-N 0 0 291.351 2.707 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1CSC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000549032443 382996905 /nfs/dbraw/zinc/99/69/05/382996905.db2.gz GUMWQROPIRKUFS-BXKDBHETSA-N 0 0 294.376 2.709 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H](C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000457233978 382998688 /nfs/dbraw/zinc/99/86/88/382998688.db2.gz SSAXJAFBOWAONU-VIFPVBQESA-N 0 0 296.371 2.825 20 5 CFBDRN CN(Cc1cccnc1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000447670522 382999469 /nfs/dbraw/zinc/99/94/69/382999469.db2.gz KBIHVIZWEDCWGX-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN Cc1cccc(NCC2(O)Cc3ccccc3C2)c1[N+](=O)[O-] ZINC000549526590 383009527 /nfs/dbraw/zinc/00/95/27/383009527.db2.gz CKWMVKSETKCLAX-UHFFFAOYSA-N 0 0 298.342 2.845 20 5 CFBDRN CC(=O)CN(CC(C)C)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000421903396 382967022 /nfs/dbraw/zinc/96/70/22/382967022.db2.gz GLURLROLKMNONZ-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN Cc1ccccc1C1(CNc2c([N+](=O)[O-])ncn2C)CC1 ZINC000338724022 383029390 /nfs/dbraw/zinc/02/93/90/383029390.db2.gz NCMDXCCPPHAPRW-UHFFFAOYSA-N 0 0 286.335 2.780 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1C[C@@H]1c1ccccc1 ZINC000338769692 383045260 /nfs/dbraw/zinc/04/52/60/383045260.db2.gz LDVMTWWUEWIITB-CHWSQXEVSA-N 0 0 269.304 2.627 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](CC3CC3)C2)s1 ZINC000338808067 383058384 /nfs/dbraw/zinc/05/83/84/383058384.db2.gz FVKJDGAEIKBAPI-SECBINFHSA-N 0 0 253.327 2.678 20 5 CFBDRN CC1(C)CCC[C@@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000338815726 383060273 /nfs/dbraw/zinc/06/02/73/383060273.db2.gz VMLYKKDFWAWBQM-SNVBAGLBSA-N 0 0 291.351 2.932 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCC[C@H]1F ZINC000338839336 383065892 /nfs/dbraw/zinc/06/58/92/383065892.db2.gz BIXPLLYCJUGISH-HTQZYQBOSA-N 0 0 259.668 2.946 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)OC1CCC1 ZINC000496121785 383066325 /nfs/dbraw/zinc/06/63/25/383066325.db2.gz QHQYFCHVINEFEF-UHFFFAOYSA-N 0 0 278.308 2.758 20 5 CFBDRN CCC(C)(C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000338857699 383069807 /nfs/dbraw/zinc/06/98/07/383069807.db2.gz UFVYZHSNDCBIGO-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@H](c2ccncc2)C1 ZINC000552132561 383072830 /nfs/dbraw/zinc/07/28/30/383072830.db2.gz ARAMPQUQLCOJFT-ZDUSSCGKSA-N 0 0 297.314 2.620 20 5 CFBDRN CCC1CN(c2ccc([N+](=O)[O-])c(OC(C)C)n2)C1 ZINC000579979433 383073752 /nfs/dbraw/zinc/07/37/52/383073752.db2.gz XPISVPKGZIMISU-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000246410800 383075977 /nfs/dbraw/zinc/07/59/77/383075977.db2.gz GWOAZHZTBBYFIQ-SCDSUCTJSA-N 0 0 292.335 2.505 20 5 CFBDRN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000245664377 383019316 /nfs/dbraw/zinc/01/93/16/383019316.db2.gz AUIVSKMQOKFYRT-MXWKQRLJSA-N 0 0 294.355 2.509 20 5 CFBDRN CCc1coc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)n1 ZINC000550244051 383021836 /nfs/dbraw/zinc/02/18/36/383021836.db2.gz AGPWGJZSNODYLJ-UHFFFAOYSA-N 0 0 281.293 2.767 20 5 CFBDRN Cc1cc(N2CCC[C@](O)(C3CC3)C2)c([N+](=O)[O-])s1 ZINC000596464457 383127267 /nfs/dbraw/zinc/12/72/67/383127267.db2.gz SNPBNTWVKWKXPX-CYBMUJFWSA-N 0 0 282.365 2.706 20 5 CFBDRN CN(C)C(=O)[C@H]1CCC[C@@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000609631356 383134313 /nfs/dbraw/zinc/13/43/13/383134313.db2.gz IADXAWUHBKCMIO-VHSXEESVSA-N 0 0 297.380 2.715 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC000457726593 383083484 /nfs/dbraw/zinc/08/34/84/383083484.db2.gz FIYCJGIJKZGVEH-VIFPVBQESA-N 0 0 278.308 2.978 20 5 CFBDRN CCN(C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000448650532 383094263 /nfs/dbraw/zinc/09/42/63/383094263.db2.gz SNNYDFQIHGEXGE-UHFFFAOYSA-N 0 0 290.319 2.569 20 5 CFBDRN CC(=O)N1CCC[C@@H](CNc2ccc([N+](=O)[O-])cc2C)C1 ZINC000280419204 194835898 /nfs/dbraw/zinc/83/58/98/194835898.db2.gz JPUSJDAWXKIMCF-ZDUSSCGKSA-N 0 0 291.351 2.574 20 5 CFBDRN COc1cnc(NC(=O)c2cccc([N+](=O)[O-])c2C)s1 ZINC000339166324 383172986 /nfs/dbraw/zinc/17/29/86/383172986.db2.gz NAMQWEDVXDQZRZ-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000247511106 383180749 /nfs/dbraw/zinc/18/07/49/383180749.db2.gz JRHNDQJHWIPHQV-YPMHNXCESA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000247545745 383183066 /nfs/dbraw/zinc/18/30/66/383183066.db2.gz RPQYCZVYTGOEFD-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@@H](C)[C@H](C(=O)[O-])C(C)C ZINC000424623318 383213589 /nfs/dbraw/zinc/21/35/89/383213589.db2.gz PPMIQLQFNWCBOE-SMDDNHRTSA-N 0 0 294.351 2.738 20 5 CFBDRN Cc1cc(C(=O)N(C)CC(C)C)ccc1[N+](=O)[O-] ZINC000248005001 383206188 /nfs/dbraw/zinc/20/61/88/383206188.db2.gz VGMJNULCLGSOCR-UHFFFAOYSA-N 0 0 250.298 2.631 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000518695637 383207127 /nfs/dbraw/zinc/20/71/27/383207127.db2.gz WKSOGLOLIYMSMK-GHMZBOCLSA-N 0 0 277.324 2.546 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2C[C@@H]2c2cccnc2)n1 ZINC000339098602 383148301 /nfs/dbraw/zinc/14/83/01/383148301.db2.gz RYNQZYNTLLEIHV-OLZOCXBDSA-N 0 0 284.319 2.970 20 5 CFBDRN CC[C@H]1CN(Cc2csc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000247237903 383155192 /nfs/dbraw/zinc/15/51/92/383155192.db2.gz AJBRENDIWOJNMB-KOLCDFICSA-N 0 0 270.354 2.656 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000458307918 383158988 /nfs/dbraw/zinc/15/89/88/383158988.db2.gz UVCCAHQFPDQDGR-USWWRNFRSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1c(Oc2ncnc3[nH]ccc32)cccc1[N+](=O)[O-] ZINC000339136713 383162305 /nfs/dbraw/zinc/16/23/05/383162305.db2.gz YPJKXXQLPYKYOJ-UHFFFAOYSA-N 0 0 270.248 2.967 20 5 CFBDRN CC1(C)CCN(C(=O)CNc2ccccc2[N+](=O)[O-])CC1 ZINC000339142250 383165025 /nfs/dbraw/zinc/16/50/25/383165025.db2.gz RFELQCGUAZQDOK-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CCC[C@@H](NC(=O)c1nn(CC)cc1[N+](=O)[O-])C1CCC1 ZINC000458339030 383168204 /nfs/dbraw/zinc/16/82/04/383168204.db2.gz RZOMOLFFWJUQLO-LLVKDONJSA-N 0 0 294.355 2.510 20 5 CFBDRN CC[C@H]1CN(Cc2ccccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000247408014 383170672 /nfs/dbraw/zinc/17/06/72/383170672.db2.gz CANRWYCPSZRTLW-YPMHNXCESA-N 0 0 264.325 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(CNC(CF)CF)c(Cl)c1 ZINC000449381933 383251926 /nfs/dbraw/zinc/25/19/26/383251926.db2.gz UDIOMAUOKBVRMY-UHFFFAOYSA-N 0 0 264.659 2.645 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CNC(CF)CF ZINC000449382823 383252813 /nfs/dbraw/zinc/25/28/13/383252813.db2.gz YXHYXOKEFJIVNX-BJMVGYQFSA-N 0 0 270.279 2.895 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(O[C@H]2C[C@@H](C)CCC2=O)c1C ZINC000567368054 383268000 /nfs/dbraw/zinc/26/80/00/383268000.db2.gz KHNIFDPVVLVOQT-SDBXPKJASA-N 0 0 278.308 2.743 20 5 CFBDRN C[C@H](NC(=O)NC(C)(C)CF)c1ccc([N+](=O)[O-])cc1 ZINC000458887520 383270828 /nfs/dbraw/zinc/27/08/28/383270828.db2.gz JIAKTRXZDIHYIB-VIFPVBQESA-N 0 0 283.303 2.703 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000248244455 383220181 /nfs/dbraw/zinc/22/01/81/383220181.db2.gz PVEPOGBNZRSKBU-LLVKDONJSA-N 0 0 279.340 2.654 20 5 CFBDRN CO[C@H](COc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000566909309 383224276 /nfs/dbraw/zinc/22/42/76/383224276.db2.gz XREFCRIEVVPANB-GFCCVEGCSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000339509471 383224610 /nfs/dbraw/zinc/22/46/10/383224610.db2.gz UCDRFCQCXNUHDI-NXEZZACHSA-N 0 0 280.299 2.900 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000248345878 383227491 /nfs/dbraw/zinc/22/74/91/383227491.db2.gz NHHQARWWBSQQIJ-IMRBUKKESA-N 0 0 274.320 2.599 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000339519632 383229587 /nfs/dbraw/zinc/22/95/87/383229587.db2.gz RJCJFYKQVLCBTG-NWDGAFQWSA-N 0 0 292.335 2.526 20 5 CFBDRN COc1cc(N2CC(C)(C)OC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000519798397 383235330 /nfs/dbraw/zinc/23/53/30/383235330.db2.gz YXNIVTYFXLGBQC-VIFPVBQESA-N 0 0 298.314 2.746 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H](C)S[C@H](C)C1 ZINC000567914949 383330675 /nfs/dbraw/zinc/33/06/75/383330675.db2.gz BKURGFWOKTVTJL-NXEZZACHSA-N 0 0 282.365 2.934 20 5 CFBDRN CCO[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@H]1C ZINC000449494444 383331602 /nfs/dbraw/zinc/33/16/02/383331602.db2.gz NBCBGCYHGRLHFT-PWSUYJOCSA-N 0 0 284.381 2.903 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000425151272 383333521 /nfs/dbraw/zinc/33/35/21/383333521.db2.gz GHACPHHZSPMROF-PEGIJTEDSA-N 0 0 299.330 2.533 20 5 CFBDRN Cc1nn(C)c(NCc2ccc([C@H]3C[C@H]3C)o2)c1[N+](=O)[O-] ZINC000522284604 383334168 /nfs/dbraw/zinc/33/41/68/383334168.db2.gz AHTOIKFPDNTPRC-KCJUWKMLSA-N 0 0 290.323 2.965 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000466156883 383334199 /nfs/dbraw/zinc/33/41/99/383334199.db2.gz HTLWZZUATCOXTL-VXGBXAGGSA-N 0 0 291.351 2.577 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CC1CC(F)(F)C1 ZINC000449495977 383334945 /nfs/dbraw/zinc/33/49/45/383334945.db2.gz FRSBJYZRHFYDTH-UHFFFAOYSA-N 0 0 298.289 2.989 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2C[C@H]2C2CCC2)c1[N+](=O)[O-] ZINC000424981809 383303215 /nfs/dbraw/zinc/30/32/15/383303215.db2.gz MZJNDBMTLMAZST-QWHCGFSZSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C[C@H]2C2CCC2)ccc1[N+](=O)[O-] ZINC000424982795 383304529 /nfs/dbraw/zinc/30/45/29/383304529.db2.gz OSAGRJLNBJVZST-NWDGAFQWSA-N 0 0 290.319 2.522 20 5 CFBDRN CC(C)[C@@H]1C[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CCCO1 ZINC000339810468 383326063 /nfs/dbraw/zinc/32/60/63/383326063.db2.gz CLTHOGBQUJRMJN-HNNXBMFYSA-N 0 0 294.351 2.547 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000340253670 383392653 /nfs/dbraw/zinc/39/26/53/383392653.db2.gz DDKIWUWTUFCMFQ-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000340262081 383395560 /nfs/dbraw/zinc/39/55/60/383395560.db2.gz WCLDMHREGAZDFQ-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN C[C@@H]1COCCN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000450411101 383397231 /nfs/dbraw/zinc/39/72/31/383397231.db2.gz YOHQDNIKXZXNJH-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@@H](CO)N(C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450411490 383397451 /nfs/dbraw/zinc/39/74/51/383397451.db2.gz ZLZKYDSLBPLERC-VIFPVBQESA-N 0 0 293.348 2.535 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)(C)C ZINC000450415908 383399061 /nfs/dbraw/zinc/39/90/61/383399061.db2.gz FHKMSZQYQXATMD-LBPRGKRZSA-N 0 0 295.339 2.768 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC(C3CCC3)C2)n1 ZINC000343291570 291824489 /nfs/dbraw/zinc/82/44/89/291824489.db2.gz RSNCNOMNZFIOAY-UHFFFAOYSA-N 0 0 261.325 2.843 20 5 CFBDRN CCC(=O)C1(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000450413680 383399615 /nfs/dbraw/zinc/39/96/15/383399615.db2.gz VNLHBPBZIBQXHG-JTQLQIEISA-N 0 0 290.319 2.531 20 5 CFBDRN COC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000525222944 383399995 /nfs/dbraw/zinc/39/99/95/383399995.db2.gz WRJLFXWXYNIOGT-GFCCVEGCSA-N 0 0 295.339 2.696 20 5 CFBDRN CC1(C)CCC(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000340359474 383415875 /nfs/dbraw/zinc/41/58/75/383415875.db2.gz VTBGUCZLJITAMX-UHFFFAOYSA-N 0 0 279.340 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CCO[C@H](C3CC3)C2)c1 ZINC000450454228 383416358 /nfs/dbraw/zinc/41/63/58/383416358.db2.gz KRTIVXLSFIMWCZ-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN CCCN(CC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])nc1 ZINC000450434360 383410345 /nfs/dbraw/zinc/41/03/45/383410345.db2.gz AYRONEFUFJIARV-UHFFFAOYSA-N 0 0 295.339 2.548 20 5 CFBDRN C[C@@]1(C(=O)NCCc2cccc([N+](=O)[O-])c2)CC=CCC1 ZINC000450438350 383411429 /nfs/dbraw/zinc/41/14/29/383411429.db2.gz MHVDREAZMGQFFH-MRXNPFEDSA-N 0 0 288.347 3.000 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCCCCC(C)(C)C ZINC000524655258 383377631 /nfs/dbraw/zinc/37/76/31/383377631.db2.gz FTSPAVXAAHPZKL-UHFFFAOYSA-N 0 0 281.356 2.880 20 5 CFBDRN C[C@H](c1csnn1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000450481580 383430451 /nfs/dbraw/zinc/43/04/51/383430451.db2.gz DZKWYLZJRZKZBO-SECBINFHSA-N 0 0 290.348 2.566 20 5 CFBDRN CC[C@@H]1COCCN1C/C=C\c1ccccc1[N+](=O)[O-] ZINC000255404879 383481321 /nfs/dbraw/zinc/48/13/21/383481321.db2.gz AXKZHAMCCAEZPK-BRLPQQTGSA-N 0 0 276.336 2.719 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)(C)C ZINC000450505181 383441789 /nfs/dbraw/zinc/44/17/89/383441789.db2.gz XYOMYVNMXQCUEG-QMMMGPOBSA-N 0 0 284.337 2.812 20 5 CFBDRN Cc1nc2cc(NC(=O)c3ccc([N+](=O)[O-])[nH]3)ccc2o1 ZINC000340594632 383481761 /nfs/dbraw/zinc/48/17/61/383481761.db2.gz NZDZBCSSHNNPTN-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CCC2(C)C)c([N+](=O)[O-])c1 ZINC000450536033 383451302 /nfs/dbraw/zinc/45/13/02/383451302.db2.gz RUMSYKNNUQEVAT-ZDUSSCGKSA-N 0 0 292.335 2.911 20 5 CFBDRN Cc1nc(NC[C@@H](c2ccccc2)C2CC2)ncc1[N+](=O)[O-] ZINC000450539844 383452196 /nfs/dbraw/zinc/45/21/96/383452196.db2.gz LLHSWKQKUFTAAI-AWEZNQCLSA-N 0 0 298.346 2.721 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000450698413 383482482 /nfs/dbraw/zinc/48/24/82/383482482.db2.gz BBCOMTJKIFPPPU-YWPYICTPSA-N 0 0 294.326 2.864 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(C(=O)OC(C)(C)C)C2)c1 ZINC000450692257 383482501 /nfs/dbraw/zinc/48/25/01/383482501.db2.gz BLQXJOJKPIIPSN-UHFFFAOYSA-N 0 0 292.335 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2[C@H]2CCC[C@@H]2O)nc1 ZINC000450685610 383482611 /nfs/dbraw/zinc/48/26/11/383482611.db2.gz STLFSORCVUCORD-MCIONIFRSA-N 0 0 291.351 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCC(O)CC3)CC2)cn1 ZINC000450574673 383466750 /nfs/dbraw/zinc/46/67/50/383466750.db2.gz ARVBASUICQAUIB-UHFFFAOYSA-N 0 0 291.351 2.511 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000486485172 383472770 /nfs/dbraw/zinc/47/27/70/383472770.db2.gz GYVLBCYURWCDRU-DDFAGTSDSA-N 0 0 276.292 2.592 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000486784214 383474762 /nfs/dbraw/zinc/47/47/62/383474762.db2.gz XTYHLLJCVWXYDM-DDFAGTSDSA-N 0 0 275.308 2.823 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000486758348 383475080 /nfs/dbraw/zinc/47/50/80/383475080.db2.gz CQTNVZXLJWQKRN-PJXYFTJBSA-N 0 0 275.308 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nc(-c3cnccn3)no2)cc1 ZINC000255137852 383476059 /nfs/dbraw/zinc/47/60/59/383476059.db2.gz ATVFPXXMCUZEJF-UTCJRWHESA-N 0 0 295.258 2.605 20 5 CFBDRN Cc1nc(NCC[C@@H]2CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000450602338 383476589 /nfs/dbraw/zinc/47/65/89/383476589.db2.gz WNJJUYBOIWCVGB-ZDUSSCGKSA-N 0 0 298.346 2.647 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@@H](F)C1 ZINC000450603654 383477530 /nfs/dbraw/zinc/47/75/30/383477530.db2.gz UREUYFSTPHSPBX-SECBINFHSA-N 0 0 261.256 2.691 20 5 CFBDRN C[C@@H](C1CC1)n1cc(Nc2ccc([N+](=O)[O-])nc2)cn1 ZINC000450455702 383417365 /nfs/dbraw/zinc/41/73/65/383417365.db2.gz VMLOLZLAVVLGOB-VIFPVBQESA-N 0 0 273.296 2.901 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1cnc(C2CC2)o1 ZINC000450916218 383549022 /nfs/dbraw/zinc/54/90/22/383549022.db2.gz QZIMFRKPUHXZED-UHFFFAOYSA-N 0 0 291.311 2.597 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1c(F)cccc1F ZINC000340610324 383487292 /nfs/dbraw/zinc/48/72/92/383487292.db2.gz RFVOUWQVPZPETP-SSDOTTSWSA-N 0 0 295.245 2.692 20 5 CFBDRN Cc1nc(N[C@H]([C@@H](CO)C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000450781209 383488881 /nfs/dbraw/zinc/48/88/81/383488881.db2.gz RMNQCCMQAJBOSX-WFASDCNBSA-N 0 0 291.351 2.507 20 5 CFBDRN CCN1C[C@H](Nc2c(C)cc([N+](=O)[O-])cc2Cl)CC1=O ZINC000450786261 383490761 /nfs/dbraw/zinc/49/07/61/383490761.db2.gz HCDRDDNZDAJLCN-SECBINFHSA-N 0 0 297.742 2.589 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1C[C@H]1C(C)C ZINC000450806248 383497068 /nfs/dbraw/zinc/49/70/68/383497068.db2.gz DUCAKFSKEPXNFI-QWRGUYRKSA-N 0 0 266.345 2.824 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CC[C@@H](F)C2)c1 ZINC000340909647 383500860 /nfs/dbraw/zinc/50/08/60/383500860.db2.gz IXBCQECZYGEDBW-ZJUUUORDSA-N 0 0 282.271 2.684 20 5 CFBDRN COCC1(CNc2cc(C)c([N+](=O)[O-])cn2)CCCC1 ZINC000450920617 383550574 /nfs/dbraw/zinc/55/05/74/383550574.db2.gz ZAFISAKIOUNYBY-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Nc1c(F)c(NC[C@H]2CCC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000340958296 383511006 /nfs/dbraw/zinc/51/10/06/383511006.db2.gz CHUQLNYZIYTJJK-VHSXEESVSA-N 0 0 297.330 2.527 20 5 CFBDRN C[C@H](Cc1cnn(C)c1)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000450837593 383515324 /nfs/dbraw/zinc/51/53/24/383515324.db2.gz VADXUIVDAMXLPI-SECBINFHSA-N 0 0 278.287 2.511 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])c3cnccc23)COC1 ZINC000450841325 383516475 /nfs/dbraw/zinc/51/64/75/383516475.db2.gz HGGVCTHINKMXDB-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1cccc(N[C@H](C)Cc2cnn(C)c2)c1[N+](=O)[O-] ZINC000450842967 383518195 /nfs/dbraw/zinc/51/81/95/383518195.db2.gz NLHNYKGPHCRWGR-LLVKDONJSA-N 0 0 274.324 2.680 20 5 CFBDRN CCOC1CC(N(C)c2ccccc2[N+](=O)[O-])C1 ZINC000340985276 383518665 /nfs/dbraw/zinc/51/86/65/383518665.db2.gz YMFUNMAXLCZHBY-UHFFFAOYSA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450855282 383522107 /nfs/dbraw/zinc/52/21/07/383522107.db2.gz FMBPDQCEAIRECN-ZIAGYGMSSA-N 0 0 289.335 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cnccn2)c2ccncc21 ZINC000340998240 383522456 /nfs/dbraw/zinc/52/24/56/383522456.db2.gz SPWRTDBHRIDOAQ-UHFFFAOYSA-N 0 0 295.302 2.588 20 5 CFBDRN O=C(Cc1ccco1)Nc1ccc([N+](=O)[O-])cc1F ZINC000429372912 383524525 /nfs/dbraw/zinc/52/45/25/383524525.db2.gz PABRGSKVUHRRNA-UHFFFAOYSA-N 0 0 264.212 2.508 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1ccc([N+](=O)[O-])c(N)c1F)C1CC1 ZINC000450863264 383526717 /nfs/dbraw/zinc/52/67/17/383526717.db2.gz SSQXOBCRKXCWFL-UHLUBPPHSA-N 0 0 283.303 2.542 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000569683997 383526930 /nfs/dbraw/zinc/52/69/30/383526930.db2.gz PLMWHWLXSSLXER-TZMCWYRMSA-N 0 0 291.351 2.829 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CC1=CCCCC1 ZINC000450888401 383537199 /nfs/dbraw/zinc/53/71/99/383537199.db2.gz MARDADBEIMVSQP-UHFFFAOYSA-N 0 0 268.700 2.910 20 5 CFBDRN CC(=O)c1ccc(O[C@H]2C[C@H](C)CCC2=O)c([N+](=O)[O-])c1 ZINC000450894223 383539585 /nfs/dbraw/zinc/53/95/85/383539585.db2.gz URYOJKZCMXLDJZ-PSLIRLAXSA-N 0 0 291.303 2.934 20 5 CFBDRN CC(=O)c1ccc(O[C@H]2C[C@@H](C)CCC2=O)c([N+](=O)[O-])c1 ZINC000450894225 383539710 /nfs/dbraw/zinc/53/97/10/383539710.db2.gz URYOJKZCMXLDJZ-VFZGTOFNSA-N 0 0 291.303 2.934 20 5 CFBDRN CC(C)c1nnc(CCNc2ccc([N+](=O)[O-])nc2)s1 ZINC000488768211 383576398 /nfs/dbraw/zinc/57/63/98/383576398.db2.gz QNYQEBTVBHBAOG-UHFFFAOYSA-N 0 0 293.352 2.619 20 5 CFBDRN CC(C)(C(=O)Nc1cccnc1)c1ccccc1[N+](=O)[O-] ZINC000429913768 383576960 /nfs/dbraw/zinc/57/69/60/383576960.db2.gz JULZBELGSMFJAX-UHFFFAOYSA-N 0 0 285.303 2.906 20 5 CFBDRN CC(C)(C(=O)NC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000429931014 383577791 /nfs/dbraw/zinc/57/77/91/383577791.db2.gz VUMPIZNYVQMLAA-UHFFFAOYSA-N 0 0 276.336 2.931 20 5 CFBDRN Cc1cc(OCC(=O)N2[C@H](C)CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000430790802 383601700 /nfs/dbraw/zinc/60/17/00/383601700.db2.gz AZVSGMKWIAAVLB-VXGBXAGGSA-N 0 0 292.335 2.681 20 5 CFBDRN C[C@H](NC(=O)N(C)CC(F)F)c1ccccc1[N+](=O)[O-] ZINC000341070953 383553931 /nfs/dbraw/zinc/55/39/31/383553931.db2.gz BOOBWVKKHLVCKH-QMMMGPOBSA-N 0 0 287.266 2.562 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000569978366 383557113 /nfs/dbraw/zinc/55/71/13/383557113.db2.gz FNXIAPZEPGGUIX-GWCFXTLKSA-N 0 0 252.314 2.804 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]1C1CC1 ZINC000429813104 383571482 /nfs/dbraw/zinc/57/14/82/383571482.db2.gz VPUFNPKVQXEKLV-NWDGAFQWSA-N 0 0 261.281 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2cnn(CC(F)F)c2)nc1 ZINC000431173470 383637628 /nfs/dbraw/zinc/63/76/28/383637628.db2.gz RSSFNUVERMSLPF-UPHRSURJSA-N 0 0 280.234 2.622 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000280871588 195009858 /nfs/dbraw/zinc/00/98/58/195009858.db2.gz AHBDAECCDZOWFL-BXKDBHETSA-N 0 0 263.297 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CCO)CC2)cc1OC(F)F ZINC000431478925 383675245 /nfs/dbraw/zinc/67/52/45/383675245.db2.gz VCHKGHPKRBUFLY-UHFFFAOYSA-N 0 0 288.250 2.523 20 5 CFBDRN Cc1nc(SCCC(C)(C)O)ccc1[N+](=O)[O-] ZINC000572178816 383761113 /nfs/dbraw/zinc/76/11/13/383761113.db2.gz CZWHMJGVFPNGJJ-UHFFFAOYSA-N 0 0 256.327 2.551 20 5 CFBDRN CC1=CCCN([C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000280937662 195037504 /nfs/dbraw/zinc/03/75/04/195037504.db2.gz VRMVOSNHCNWDTH-GFCCVEGCSA-N 0 0 289.335 2.574 20 5 CFBDRN CC(C)OC(=O)[C@@H](NCc1ccccc1[N+](=O)[O-])C(C)C ZINC000451611745 383667741 /nfs/dbraw/zinc/66/77/41/383667741.db2.gz VQFGWEDBSOKRJT-AWEZNQCLSA-N 0 0 294.351 2.661 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H](c2ccccc2)C2CC2)nc1 ZINC000431474403 383674725 /nfs/dbraw/zinc/67/47/25/383674725.db2.gz CPBQXSLGJMFOKF-CQSZACIVSA-N 0 0 284.319 2.991 20 5 CFBDRN CC(C)OCCCN(C)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000436381797 383810515 /nfs/dbraw/zinc/81/05/15/383810515.db2.gz ITCFOQIHVCSJCW-UHFFFAOYSA-N 0 0 293.367 2.814 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000531023669 383811052 /nfs/dbraw/zinc/81/10/52/383811052.db2.gz SAAHLENRPVEDSI-ZDUSSCGKSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1nn(CC(=O)c2cc(C)ccc2C)cc1[N+](=O)[O-] ZINC000436476299 383820832 /nfs/dbraw/zinc/82/08/32/383820832.db2.gz YESZPLICERYDJW-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN COC(=O)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000436481302 383821050 /nfs/dbraw/zinc/82/10/50/383821050.db2.gz XAGQNNNSBIFXIW-UHFFFAOYSA-N 0 0 264.262 2.505 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccc2c(c1)CCCC2 ZINC000453138473 383780877 /nfs/dbraw/zinc/78/08/77/383780877.db2.gz JOVJMUQLKKWSIB-UHFFFAOYSA-N 0 0 286.335 2.819 20 5 CFBDRN CCc1ccc(C(=O)N2C3CCC2CC3)cc1[N+](=O)[O-] ZINC000530833697 383795495 /nfs/dbraw/zinc/79/54/95/383795495.db2.gz ZUQHUHOGFYKPRH-UHFFFAOYSA-N 0 0 274.320 2.924 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C1CCOCC1 ZINC000530838173 383796206 /nfs/dbraw/zinc/79/62/06/383796206.db2.gz MTFHSYUEJZNLAB-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN COC1(COc2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000418939739 533008557 /nfs/dbraw/zinc/00/85/57/533008557.db2.gz GDSKSQRBQWDUQS-UHFFFAOYSA-N 0 0 255.245 2.682 20 5 CFBDRN Cc1nn(C)c(Oc2cc(C)ccc2[N+](=O)[O-])c1[N+](=O)[O-] ZINC000265123713 383837377 /nfs/dbraw/zinc/83/73/77/383837377.db2.gz KPSUBLHKNODSHS-UHFFFAOYSA-N 0 0 292.251 2.646 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@@H](C)[C@@H]2C)ncc1[N+](=O)[O-] ZINC000572949245 383844769 /nfs/dbraw/zinc/84/47/69/383844769.db2.gz WYLMBUQGEXIVGD-WCABBAIRSA-N 0 0 264.329 2.930 20 5 CFBDRN CCN(Cc1c([N+](=O)[O-])ncn1C)Cc1ccc(C)cc1 ZINC000531344267 383853074 /nfs/dbraw/zinc/85/30/74/383853074.db2.gz XJSKTAPQQPCGFU-UHFFFAOYSA-N 0 0 288.351 2.659 20 5 CFBDRN CO[C@@](C)([C@H](C)NC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000412622930 533017344 /nfs/dbraw/zinc/01/73/44/533017344.db2.gz WZQSNIPVRHELFZ-SDBXPKJASA-N 0 0 298.364 2.590 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC[C@H](C)F ZINC000454138316 383910831 /nfs/dbraw/zinc/91/08/31/383910831.db2.gz CPNBYTANRXYWCN-VIFPVBQESA-N 0 0 269.276 2.773 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@@H](C)[C@@H](C)O1 ZINC000437919196 383921510 /nfs/dbraw/zinc/92/15/10/383921510.db2.gz UKCKBMYFGPHAEQ-OUAUKWLOSA-N 0 0 293.323 2.624 20 5 CFBDRN C[C@@H]1SCCN(c2nc3sccn3c2[N+](=O)[O-])[C@H]1C ZINC000265482624 383928805 /nfs/dbraw/zinc/92/88/05/383928805.db2.gz MAUBVDGGKHRJHQ-YUMQZZPRSA-N 0 0 298.393 2.634 20 5 CFBDRN COC(C)(C)CN(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000531563300 383888465 /nfs/dbraw/zinc/88/84/65/383888465.db2.gz BEWXQPULYYTJOX-UHFFFAOYSA-N 0 0 252.314 2.764 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCC[C@H]1F ZINC000454128037 383905649 /nfs/dbraw/zinc/90/56/49/383905649.db2.gz AGVNTUPLAIWTFT-ZJUUUORDSA-N 0 0 297.286 2.616 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCC[C@@H]1F ZINC000454128036 383906181 /nfs/dbraw/zinc/90/61/81/383906181.db2.gz AGVNTUPLAIWTFT-VHSXEESVSA-N 0 0 297.286 2.616 20 5 CFBDRN O=C(NCc1cc2ccccc2o1)c1ccc([N+](=O)[O-])cn1 ZINC000532133771 383979552 /nfs/dbraw/zinc/97/95/52/383979552.db2.gz AQBHWNFZDHSEFD-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN CC[C@](C)(CNC(=O)Nc1ccc([N+](=O)[O-])cc1F)OC ZINC000454298534 383982291 /nfs/dbraw/zinc/98/22/91/383982291.db2.gz FQSJFPBANCZIOP-CYBMUJFWSA-N 0 0 299.302 2.671 20 5 CFBDRN O=C(Nc1ccccc1Cl)c1ccc([N+](=O)[O-])cn1 ZINC000532136704 383982477 /nfs/dbraw/zinc/98/24/77/383982477.db2.gz CRNLLAZKOJRLBL-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CC1(C)CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532143825 383983913 /nfs/dbraw/zinc/98/39/13/383983913.db2.gz ABYYKKJFBDSLKM-GFCCVEGCSA-N 0 0 277.324 2.688 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)[C@H](C)[C@@H](C)O1 ZINC000438364399 383995505 /nfs/dbraw/zinc/99/55/05/383995505.db2.gz NVJMUTZHAQVUNY-GMTAPVOTSA-N 0 0 282.315 2.732 20 5 CFBDRN Cc1nc(NC(=O)C[C@@H](C)n2cccc2)ccc1[N+](=O)[O-] ZINC000531890284 383939201 /nfs/dbraw/zinc/93/92/01/383939201.db2.gz XJVKTMLCAHRQSW-SNVBAGLBSA-N 0 0 288.307 2.690 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000532068611 383967844 /nfs/dbraw/zinc/96/78/44/383967844.db2.gz ZYUCYOCWRXWUOY-NSHDSACASA-N 0 0 279.340 2.586 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000532068610 383968291 /nfs/dbraw/zinc/96/82/91/383968291.db2.gz ZYUCYOCWRXWUOY-LLVKDONJSA-N 0 0 279.340 2.586 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@H]2F)c1 ZINC000573936428 384018084 /nfs/dbraw/zinc/01/80/84/384018084.db2.gz CMKMKQIJYLNYMM-ZJUUUORDSA-N 0 0 282.271 2.684 20 5 CFBDRN COc1ccc(CC(=O)N2[C@@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000532324569 384010762 /nfs/dbraw/zinc/01/07/62/384010762.db2.gz GMGNLBCJUJIZIG-QWRGUYRKSA-N 0 0 292.335 2.545 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(N2CCCC2)cc1Cl ZINC000266003409 384049814 /nfs/dbraw/zinc/04/98/14/384049814.db2.gz LSLLUKGBBAKPMQ-UHFFFAOYSA-N 0 0 284.699 2.635 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]([C@@H]3CCOC3)C2)n1 ZINC000439088527 384032343 /nfs/dbraw/zinc/03/23/43/384032343.db2.gz TTYRCVNHOBJPRY-QWHCGFSZSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1ccncc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000574093934 384042183 /nfs/dbraw/zinc/04/21/83/384042183.db2.gz SZMISANZLSSISC-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1noc([C@@H](C)N(C)Cc2ccc([N+](=O)[O-])cc2C)n1 ZINC000574171359 384057567 /nfs/dbraw/zinc/05/75/67/384057567.db2.gz WHCYVHHPDAMOCY-SNVBAGLBSA-N 0 0 290.323 2.788 20 5 CFBDRN Cc1cc(N2CC[C@H](OC(F)F)C2)c(F)cc1[N+](=O)[O-] ZINC000574213557 384063274 /nfs/dbraw/zinc/06/32/74/384063274.db2.gz FWPIIXBPPSPXHW-QMMMGPOBSA-N 0 0 290.241 2.860 20 5 CFBDRN Cc1nn(CCCc2nc3ccccc3[nH]2)cc1[N+](=O)[O-] ZINC000574281622 384073395 /nfs/dbraw/zinc/07/33/95/384073395.db2.gz HFKPLXYMQCFORZ-UHFFFAOYSA-N 0 0 285.307 2.609 20 5 CFBDRN CO[C@@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000440329490 384078997 /nfs/dbraw/zinc/07/89/97/384078997.db2.gz TZDOYLNDHLQHMR-VXGBXAGGSA-N 0 0 293.323 2.530 20 5 CFBDRN CO[C@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000440329485 384079133 /nfs/dbraw/zinc/07/91/33/384079133.db2.gz TZDOYLNDHLQHMR-NEPJUHHUSA-N 0 0 293.323 2.530 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cc(C2CC2)no1 ZINC000440410827 384082701 /nfs/dbraw/zinc/08/27/01/384082701.db2.gz PLYKOPYIYFXPGA-UHFFFAOYSA-N 0 0 273.248 2.713 20 5 CFBDRN CCC[C@H](O)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000266190685 384109742 /nfs/dbraw/zinc/10/97/42/384109742.db2.gz BTQYFWBPBDVGIM-VIFPVBQESA-N 0 0 290.266 2.769 20 5 CFBDRN COc1cc(NCCc2ccc(C)cn2)ccc1[N+](=O)[O-] ZINC000413354416 533033535 /nfs/dbraw/zinc/03/35/35/533033535.db2.gz QTDBWKYJJZDWRQ-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1c(CN2CCO[C@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000281107935 195106616 /nfs/dbraw/zinc/10/66/16/195106616.db2.gz NBHXDHSUXZOXCY-CABCVRRESA-N 0 0 276.336 2.657 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1NC1CC=CC1 ZINC000125663686 285083771 /nfs/dbraw/zinc/08/37/71/285083771.db2.gz MSFGMPRDQXUJEC-UHFFFAOYSA-N 0 0 284.113 2.883 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CCC[C@H]2F)cc1[N+](=O)[O-] ZINC000455108454 384143221 /nfs/dbraw/zinc/14/32/21/384143221.db2.gz UJZPPIDNEGAYDG-ZJUUUORDSA-N 0 0 297.286 2.616 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H](F)C1 ZINC000574766752 384143318 /nfs/dbraw/zinc/14/33/18/384143318.db2.gz QKVAPKZXLPVMPN-JTQLQIEISA-N 0 0 281.287 2.869 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCSC3(CCC3)CC2)s1 ZINC000574903804 384162772 /nfs/dbraw/zinc/16/27/72/384162772.db2.gz ARKVIHCFSNHIHQ-UHFFFAOYSA-N 0 0 285.394 2.917 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCc2ncsc2C1 ZINC000445665314 285092495 /nfs/dbraw/zinc/09/24/95/285092495.db2.gz PSRXAJBXCMENNM-UHFFFAOYSA-N 0 0 296.739 2.662 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2CCSC2)s1 ZINC000288397921 285100876 /nfs/dbraw/zinc/10/08/76/285100876.db2.gz MQPWVWVIVRLAJE-LURJTMIESA-N 0 0 282.350 2.517 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000277465401 384310138 /nfs/dbraw/zinc/31/01/38/384310138.db2.gz GVJUHEDEIYMEGX-UHFFFAOYSA-N 0 0 295.245 2.604 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CCOC[C@@H]1C ZINC000277437137 384301500 /nfs/dbraw/zinc/30/15/00/384301500.db2.gz JCJMOPWRPJJBGL-GWCFXTLKSA-N 0 0 280.324 2.578 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)C1CCCC1 ZINC000277615469 384354735 /nfs/dbraw/zinc/35/47/35/384354735.db2.gz QFVZOCNHSNTUIV-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1nc(NC[C@H]2CCCC[N@@H+]2C2CC2)ccc1[N+](=O)[O-] ZINC000277542831 384331437 /nfs/dbraw/zinc/33/14/37/384331437.db2.gz WZNWNCQTXWJBNL-CYBMUJFWSA-N 0 0 290.367 2.727 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@H]([C@H](C)O)C1 ZINC000277925367 384441143 /nfs/dbraw/zinc/44/11/43/384441143.db2.gz ZYMAEMWEMRKBAU-UWVGGRQHSA-N 0 0 284.743 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCO3)n2)s1 ZINC000278082496 384472328 /nfs/dbraw/zinc/47/23/28/384472328.db2.gz GKECAHHNXODTNE-ZCFIWIBFSA-N 0 0 267.266 2.558 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)O1 ZINC000278193366 384496460 /nfs/dbraw/zinc/49/64/60/384496460.db2.gz FBWGNWQUPVJPGE-NSHDSACASA-N 0 0 282.271 2.630 20 5 CFBDRN COCCCCc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278202093 384498141 /nfs/dbraw/zinc/49/81/41/384498141.db2.gz PQZISGYOAQGXLB-UHFFFAOYSA-N 0 0 283.309 2.675 20 5 CFBDRN COCC(C)(C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000413113693 533070047 /nfs/dbraw/zinc/07/00/47/533070047.db2.gz VXZUVNKGGXYHQC-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc([C@@H]2CCCO2)no1 ZINC000278223706 384502240 /nfs/dbraw/zinc/50/22/40/384502240.db2.gz DWKNXOCJAVQMIF-JTQLQIEISA-N 0 0 291.263 2.505 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000352289517 220301359 /nfs/dbraw/zinc/30/13/59/220301359.db2.gz JNLKYDWAXWXRKO-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCSCC1 ZINC000266922895 285117021 /nfs/dbraw/zinc/11/70/21/285117021.db2.gz PRGXFVQDHJXZPM-UHFFFAOYSA-N 0 0 272.757 2.797 20 5 CFBDRN CO[C@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000268060068 384520419 /nfs/dbraw/zinc/52/04/19/384520419.db2.gz YAJWSQBDNBMANX-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3CCC2CC3)c2nonc21 ZINC000367359418 285120329 /nfs/dbraw/zinc/12/03/29/285120329.db2.gz XJBXDKQHLLAGSL-UHFFFAOYSA-N 0 0 274.280 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCCOC3)c2ccncc21 ZINC000377162651 285120409 /nfs/dbraw/zinc/12/04/09/285120409.db2.gz ULZCYXIHJAGCBK-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCOCC2)cc1C(F)(F)F ZINC000362846877 285122829 /nfs/dbraw/zinc/12/28/29/285122829.db2.gz MAGDSOHDZWZKJZ-UHFFFAOYSA-N 0 0 290.241 2.840 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])CCC1=O ZINC000278379332 384550061 /nfs/dbraw/zinc/55/00/61/384550061.db2.gz LLGDJELHIJVVSU-SNVBAGLBSA-N 0 0 285.303 2.558 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCn1cc(-n2cccc2)cn1 ZINC000359946312 220316966 /nfs/dbraw/zinc/31/69/66/220316966.db2.gz JKKBNYMYIQWGLG-UHFFFAOYSA-N 0 0 298.302 2.661 20 5 CFBDRN COc1ccc(NC(=O)Cc2ccsc2)cc1[N+](=O)[O-] ZINC000359947352 220316993 /nfs/dbraw/zinc/31/69/93/220316993.db2.gz AWLFAIIRJGDHGZ-UHFFFAOYSA-N 0 0 292.316 2.846 20 5 CFBDRN CC(C)=CCCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000278361940 384541397 /nfs/dbraw/zinc/54/13/97/384541397.db2.gz QBWBGGXQFVEZGZ-UHFFFAOYSA-N 0 0 262.309 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2ccncc2)nc1 ZINC000270929383 285124406 /nfs/dbraw/zinc/12/44/06/285124406.db2.gz KKJBIQBEASFQDE-CYBMUJFWSA-N 0 0 270.292 2.726 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C[C@H](C2CC2)O1 ZINC000279385997 384622253 /nfs/dbraw/zinc/62/22/53/384622253.db2.gz TYBPNRXPJBCYBO-XHDPSFHLSA-N 0 0 276.336 2.594 20 5 CFBDRN CCCN(CC(F)F)c1ccc([N+](=O)[O-])c(C)n1 ZINC000268513746 384629890 /nfs/dbraw/zinc/62/98/90/384629890.db2.gz SSOGJWRJILYJNA-UHFFFAOYSA-N 0 0 259.256 2.780 20 5 CFBDRN COC(=O)c1cc(N2CCC=C(F)C2)cc(C)c1[N+](=O)[O-] ZINC000278791284 384597223 /nfs/dbraw/zinc/59/72/23/384597223.db2.gz QEZDOLXLKCDEKT-UHFFFAOYSA-N 0 0 294.282 2.753 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](c3ccccc3)C2)nc1 ZINC000299308757 285130771 /nfs/dbraw/zinc/13/07/71/285130771.db2.gz GFPRIXWRKLXDKZ-ZDUSSCGKSA-N 0 0 269.304 2.984 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000279437959 384637718 /nfs/dbraw/zinc/63/77/18/384637718.db2.gz LUKZYALSSCGMOZ-CQSZACIVSA-N 0 0 293.323 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@]3(CCCO3)[C@H]2C2CC2)c(F)c1 ZINC000294207374 285134327 /nfs/dbraw/zinc/13/43/27/285134327.db2.gz UNHQZHHKOWDAOP-HUUCEWRRSA-N 0 0 292.310 2.882 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCOC2(CCCC2)C1 ZINC000268955001 384699788 /nfs/dbraw/zinc/69/97/88/384699788.db2.gz JKTWZEYNEGCOPU-NSHDSACASA-N 0 0 277.324 2.894 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000050565160 296379910 /nfs/dbraw/zinc/37/99/10/296379910.db2.gz BWNASQTWNCXVJT-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000279633214 384702498 /nfs/dbraw/zinc/70/24/98/384702498.db2.gz ZPXBCOPQIHPKDU-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(C)(C)[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000279663410 384714246 /nfs/dbraw/zinc/71/42/46/384714246.db2.gz CVBYKROPIDXESN-CQSZACIVSA-N 0 0 278.352 2.842 20 5 CFBDRN Cc1cc(C)cc(Cn2c(C)ccc([N+](=O)[O-])c2=O)c1 ZINC000269265972 384741283 /nfs/dbraw/zinc/74/12/83/384741283.db2.gz BPXDJSCXCDJHNW-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCc3c[nH]nc3C2)c(Cl)c1 ZINC000371928174 285136885 /nfs/dbraw/zinc/13/68/85/285136885.db2.gz KHEGMAKCVJPOGE-VIFPVBQESA-N 0 0 292.726 2.941 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000269321509 384747784 /nfs/dbraw/zinc/74/77/84/384747784.db2.gz BMHRSXUCXJTSPL-CMPLNLGQSA-N 0 0 283.255 2.597 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000269345461 384749733 /nfs/dbraw/zinc/74/97/33/384749733.db2.gz DEKDCMCAMMXSQT-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN CO[C@H](CNc1ncc(Cl)cc1[N+](=O)[O-])C(C)C ZINC000269412291 384755142 /nfs/dbraw/zinc/75/51/42/384755142.db2.gz XHUMOLQWCJKZOR-SNVBAGLBSA-N 0 0 273.720 2.726 20 5 CFBDRN Cc1nc(C)c(Cn2cc(Cl)cc([N+](=O)[O-])c2=O)s1 ZINC000269407821 384756136 /nfs/dbraw/zinc/75/61/36/384756136.db2.gz YNGCWNLZXYLGGW-UHFFFAOYSA-N 0 0 299.739 2.532 20 5 CFBDRN Cc1cnc(N[C@H](CO)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000269400537 384756320 /nfs/dbraw/zinc/75/63/20/384756320.db2.gz GDBJCFZSHMFLPY-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCCO3)n2)cc1 ZINC000269422940 384758276 /nfs/dbraw/zinc/75/82/76/384758276.db2.gz ZUOZMLVGZAPGTP-LLVKDONJSA-N 0 0 275.264 2.886 20 5 CFBDRN C[C@H]1CCC[N@H+](C[C@H](O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000269674925 384829787 /nfs/dbraw/zinc/82/97/87/384829787.db2.gz VZGFXAWJLHNWRD-WFASDCNBSA-N 0 0 278.352 2.750 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000269538837 384782005 /nfs/dbraw/zinc/78/20/05/384782005.db2.gz JLNHCJLZMWEBFP-SNVBAGLBSA-N 0 0 272.301 2.814 20 5 CFBDRN COCCCCCOc1ccc([N+](=O)[O-])c(OC)c1 ZINC000279877907 384797031 /nfs/dbraw/zinc/79/70/31/384797031.db2.gz GYZSXKWGUJRBSJ-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN CCc1ccc(OCC(=O)C2(C)CC2)c([N+](=O)[O-])c1 ZINC000279922527 384813066 /nfs/dbraw/zinc/81/30/66/384813066.db2.gz KVPZKOLCNNFCQT-UHFFFAOYSA-N 0 0 263.293 2.905 20 5 CFBDRN Cc1cc(N[C@H]2CCN(c3ccccn3)C2)ccc1[N+](=O)[O-] ZINC000269841765 384880410 /nfs/dbraw/zinc/88/04/10/384880410.db2.gz CFYNQACLRSYXOP-AWEZNQCLSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1ccc(N2CCC[C@H]2C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000269849562 384881385 /nfs/dbraw/zinc/88/13/85/384881385.db2.gz IWORNRUTPFIDIS-RYUDHWBXSA-N 0 0 264.325 2.643 20 5 CFBDRN CCOCCO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000269725634 384851628 /nfs/dbraw/zinc/85/16/28/384851628.db2.gz HMOFIVBCYAYMIU-AWEZNQCLSA-N 0 0 294.351 2.535 20 5 CFBDRN COc1cc(OC[C@@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000280023997 384852758 /nfs/dbraw/zinc/85/27/58/384852758.db2.gz VEEDZGPJHFLLCN-KOLCDFICSA-N 0 0 267.281 2.550 20 5 CFBDRN CC(C)n1ccc(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000280138592 384899505 /nfs/dbraw/zinc/89/95/05/384899505.db2.gz YUMVPXBSWAXJFD-UHFFFAOYSA-N 0 0 260.297 2.984 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)O1 ZINC000269922050 384905557 /nfs/dbraw/zinc/90/55/57/384905557.db2.gz GCFYJDWOWMHIAX-STQMWFEESA-N 0 0 278.308 2.515 20 5 CFBDRN CC1(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)CCC1 ZINC000269925550 384906779 /nfs/dbraw/zinc/90/67/79/384906779.db2.gz CJDIZUIAJHPSLC-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN CC1(C)C[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)C(=O)O1 ZINC000269956622 384918902 /nfs/dbraw/zinc/91/89/02/384918902.db2.gz KPHVZFDHEFNWAR-JTQLQIEISA-N 0 0 284.699 2.754 20 5 CFBDRN COc1cc(OC[C@H](O)CC2CCCC2)ccc1[N+](=O)[O-] ZINC000280222456 384933497 /nfs/dbraw/zinc/93/34/97/384933497.db2.gz GNPGNYBXHFVNDR-GFCCVEGCSA-N 0 0 295.335 2.923 20 5 CFBDRN CC1=CCN(C(=O)CCOc2ccccc2[N+](=O)[O-])CC1 ZINC000270193648 385009740 /nfs/dbraw/zinc/00/97/40/385009740.db2.gz YDBIVXMYGZUXOR-UHFFFAOYSA-N 0 0 290.319 2.542 20 5 CFBDRN COC(=O)C[C@@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000280596960 385075014 /nfs/dbraw/zinc/07/50/14/385075014.db2.gz UUZHSFVRSAHHFU-SSDOTTSWSA-N 0 0 272.688 2.612 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCF)c(Br)c1 ZINC000280497474 385035581 /nfs/dbraw/zinc/03/55/81/385035581.db2.gz BSMWINRVFOLKFV-UHFFFAOYSA-N 0 0 263.066 2.739 20 5 CFBDRN CC1=CCCN([C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000280719093 385126163 /nfs/dbraw/zinc/12/61/63/385126163.db2.gz FMQAYVVPASLLBE-GFCCVEGCSA-N 0 0 289.335 2.574 20 5 CFBDRN CCC(=O)c1ccc(N(C)[C@@H](C)COC)c([N+](=O)[O-])c1 ZINC000280748748 385139366 /nfs/dbraw/zinc/13/93/66/385139366.db2.gz PIFXJDJMIJKNQZ-JTQLQIEISA-N 0 0 280.324 2.659 20 5 CFBDRN CO[C@@H](C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C)C1CC1 ZINC000280628943 385091602 /nfs/dbraw/zinc/09/16/02/385091602.db2.gz YRGRDOYJOGXORR-GFCCVEGCSA-N 0 0 299.710 2.887 20 5 CFBDRN CCC1(NC(=O)NCCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000270561050 385147169 /nfs/dbraw/zinc/14/71/69/385147169.db2.gz SYBQGCFYXMPNNH-UHFFFAOYSA-N 0 0 291.351 2.769 20 5 CFBDRN COc1cc(N2CCCCC2)c(F)cc1[N+](=O)[O-] ZINC000270576866 385152326 /nfs/dbraw/zinc/15/23/26/385152326.db2.gz QSLSHYLQXWPBDM-UHFFFAOYSA-N 0 0 254.261 2.733 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413313264 533106832 /nfs/dbraw/zinc/10/68/32/533106832.db2.gz RNCFQSCOFZZAIQ-NXEZZACHSA-N 0 0 275.308 2.978 20 5 CFBDRN CO[C@H](C)CN(C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000289137111 197243835 /nfs/dbraw/zinc/24/38/35/197243835.db2.gz TZFSWVMTZIBROL-SNVBAGLBSA-N 0 0 295.339 2.710 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1C[C@@H](F)[C@@H](F)C1 ZINC000295003403 285155227 /nfs/dbraw/zinc/15/52/27/285155227.db2.gz OJSZOXVFMIUGEO-OCAPTIKFSA-N 0 0 262.643 2.744 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000270738350 385208882 /nfs/dbraw/zinc/20/88/82/385208882.db2.gz FLYSBMLWZIAJKP-JGVFFNPUSA-N 0 0 268.700 2.634 20 5 CFBDRN CCC(CC)N(CC(C)C)C(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000270796723 385229448 /nfs/dbraw/zinc/22/94/48/385229448.db2.gz MYSMDAXPQKBYOI-UHFFFAOYSA-N 0 0 296.371 2.615 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCOC2(CCC2)C1 ZINC000270808890 385233560 /nfs/dbraw/zinc/23/35/60/385233560.db2.gz PLWMWCGATZZKLK-UHFFFAOYSA-N 0 0 292.335 2.501 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CCCCO1 ZINC000282197776 385244257 /nfs/dbraw/zinc/24/42/57/385244257.db2.gz FMWXCYBSUZRQDK-NSHDSACASA-N 0 0 282.271 2.550 20 5 CFBDRN CCCC[C@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000289082956 197230313 /nfs/dbraw/zinc/23/03/13/197230313.db2.gz SVYUGMGWKCDRBQ-JTQLQIEISA-N 0 0 265.313 2.779 20 5 CFBDRN Cc1cc(OCc2ncnn2C(C)(C)C)ccc1[N+](=O)[O-] ZINC000282246440 385259550 /nfs/dbraw/zinc/25/95/50/385259550.db2.gz QTTLCWNPZQYLKB-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000289072366 197227179 /nfs/dbraw/zinc/22/71/79/197227179.db2.gz NORURKGZLYPVJD-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@@H](CC(F)(F)F)C2)c1 ZINC000282304890 385279547 /nfs/dbraw/zinc/27/95/47/385279547.db2.gz RVMLRWPFESIKCM-QMMMGPOBSA-N 0 0 289.257 2.956 20 5 CFBDRN COc1cc(Cl)ccc1Cn1cc(C)c([N+](=O)[O-])n1 ZINC000282308646 385279610 /nfs/dbraw/zinc/27/96/10/385279610.db2.gz SDKZZVVNMSLZHU-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN COc1cc(NC[C@@H](OC)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000271077733 385330889 /nfs/dbraw/zinc/33/08/89/385330889.db2.gz HSGLBXPNSOPIFI-CYBMUJFWSA-N 0 0 286.303 2.825 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cn1cnc2c1CCCC2 ZINC000130466739 285162484 /nfs/dbraw/zinc/16/24/84/285162484.db2.gz ZYHPJKDYUAYNRD-UHFFFAOYSA-N 0 0 257.293 2.718 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000282551111 385339969 /nfs/dbraw/zinc/33/99/69/385339969.db2.gz DYGDFBXYSZBBMY-NWDGAFQWSA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)Cc2cccc([N+](=O)[O-])c2C)C1 ZINC000282577422 385345222 /nfs/dbraw/zinc/34/52/22/385345222.db2.gz GSGYLRJWFMLJRG-STQMWFEESA-N 0 0 293.319 2.556 20 5 CFBDRN COc1ccc(CN[C@@H](C)c2cscn2)cc1[N+](=O)[O-] ZINC000271136681 385347426 /nfs/dbraw/zinc/34/74/26/385347426.db2.gz NGTDAQNLOWRPHE-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@H](C)C[C@H]1C ZINC000282594814 385349154 /nfs/dbraw/zinc/34/91/54/385349154.db2.gz PZLFFDYEVQKGRD-JTNHKYCSSA-N 0 0 292.335 2.964 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000282630307 385358089 /nfs/dbraw/zinc/35/80/89/385358089.db2.gz KLIIITIRDDCIMZ-ZJUUUORDSA-N 0 0 299.710 2.973 20 5 CFBDRN CC[C@H](C)NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000282682175 385369426 /nfs/dbraw/zinc/36/94/26/385369426.db2.gz SUWRGWRYZAAXJC-NSHDSACASA-N 0 0 279.340 2.577 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000282703398 385373519 /nfs/dbraw/zinc/37/35/19/385373519.db2.gz QHDOXFDFOPSRIZ-NEPJUHHUSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1cc([C@H](C)NCc2ccccc2[N+](=O)[O-])no1 ZINC000282840223 385398138 /nfs/dbraw/zinc/39/81/38/385398138.db2.gz SSFZBDBLVYZWFO-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000282865043 385402472 /nfs/dbraw/zinc/40/24/72/385402472.db2.gz BSEZWKVNVKGRAI-ZETCQYMHSA-N 0 0 272.688 2.612 20 5 CFBDRN CC(C)C[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)CCO1 ZINC000271977683 385416907 /nfs/dbraw/zinc/41/69/07/385416907.db2.gz FJMPCKGZRGDVMN-MNOVXSKESA-N 0 0 296.323 2.511 20 5 CFBDRN CC[C@H](C)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272066021 385439058 /nfs/dbraw/zinc/43/90/58/385439058.db2.gz AZZIYZHKZLWHLT-QMMMGPOBSA-N 0 0 272.251 2.601 20 5 CFBDRN O=C(COCC1CC1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000283138102 385440076 /nfs/dbraw/zinc/44/00/76/385440076.db2.gz UIHKFHPESLSXJT-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN O=C(NC1CCCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272085561 385444305 /nfs/dbraw/zinc/44/43/05/385444305.db2.gz HAFCWMYFGINZQW-UHFFFAOYSA-N 0 0 284.262 2.745 20 5 CFBDRN CC(C)C[C@@H]1COCCN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000283229246 385448763 /nfs/dbraw/zinc/44/87/63/385448763.db2.gz UBLHODPDHRICIO-CYBMUJFWSA-N 0 0 296.342 2.981 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1COc2cc(F)cc(F)c21 ZINC000374591779 285167019 /nfs/dbraw/zinc/16/70/19/285167019.db2.gz FHPMEXPGWLCGRL-VIFPVBQESA-N 0 0 293.229 2.814 20 5 CFBDRN CC(=O)CCCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000272115879 385451313 /nfs/dbraw/zinc/45/13/13/385451313.db2.gz QIZZENOLZYPNHV-UHFFFAOYSA-N 0 0 273.292 2.828 20 5 CFBDRN CC1(Cn2cc([N+](=O)[O-])cc(Cl)c2=O)CCC1 ZINC000283095562 385435063 /nfs/dbraw/zinc/43/50/63/385435063.db2.gz QUIGTWPQGFZLEY-UHFFFAOYSA-N 0 0 256.689 2.600 20 5 CFBDRN CN(Cc1ncc(Cl)n1C)c1ccccc1[N+](=O)[O-] ZINC000272189145 385470061 /nfs/dbraw/zinc/47/00/61/385470061.db2.gz FALDOHDJQYAUFR-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN Cc1ccc(OC(=O)OC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000283518498 385471837 /nfs/dbraw/zinc/47/18/37/385471837.db2.gz LFBQVPSBXHWXFE-JTQLQIEISA-N 0 0 281.264 2.598 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1CCCC1 ZINC000283536488 385474034 /nfs/dbraw/zinc/47/40/34/385474034.db2.gz IAEFPEMJWLALQX-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCc1nc(C2CC2)no1 ZINC000283541381 385474245 /nfs/dbraw/zinc/47/42/45/385474245.db2.gz OKFFVLBYHNUAQY-UHFFFAOYSA-N 0 0 292.270 2.915 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CC3)c3ccccc32)nc1 ZINC000273637882 285171817 /nfs/dbraw/zinc/17/18/17/285171817.db2.gz ROJDBCWBEXWLSS-UHFFFAOYSA-N 0 0 268.276 2.568 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@@H]2c2ccco2)nc1 ZINC000450862115 285171896 /nfs/dbraw/zinc/17/18/96/285171896.db2.gz QKNOQCNWHLPCCT-LBPRGKRZSA-N 0 0 286.291 2.709 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1c2ccccc2C[C@@H]1O ZINC000301931531 285170226 /nfs/dbraw/zinc/17/02/26/285170226.db2.gz LWMBSXLDZNTZLC-RYUDHWBXSA-N 0 0 276.317 2.727 20 5 CFBDRN Cc1cccc(NCc2ncnn2C(C)(C)C)c1[N+](=O)[O-] ZINC000283403136 385462000 /nfs/dbraw/zinc/46/20/00/385462000.db2.gz FPKZWARVUDGMTG-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[N@@H+]1CCC[C@H](CO)C1 ZINC000272262119 385491723 /nfs/dbraw/zinc/49/17/23/385491723.db2.gz BUAIHJLMYVFXBI-OLZOCXBDSA-N 0 0 278.352 2.669 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1cccc(F)c1[N+](=O)[O-] ZINC000272264628 385492591 /nfs/dbraw/zinc/49/25/91/385492591.db2.gz VOAWGEHOVRFWHU-GWCFXTLKSA-N 0 0 282.315 2.721 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2ccc(F)cc2)nc1 ZINC000273637871 285173926 /nfs/dbraw/zinc/17/39/26/285173926.db2.gz RNROUUJWIXJYGI-ZDUSSCGKSA-N 0 0 288.282 2.865 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272275741 385495921 /nfs/dbraw/zinc/49/59/21/385495921.db2.gz XLVLJTMKTLHZDO-LLVKDONJSA-N 0 0 296.273 2.912 20 5 CFBDRN CCc1nc(COc2ccc(N)c([N+](=O)[O-])c2)cs1 ZINC000283768026 385498129 /nfs/dbraw/zinc/49/81/29/385498129.db2.gz OHFJSDXGOSOXJB-UHFFFAOYSA-N 0 0 279.321 2.775 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])cc2)ccc1[C@@H](C)O ZINC000272291902 385500918 /nfs/dbraw/zinc/50/09/18/385500918.db2.gz ZXUMPZYYKLBDSH-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN Cc1noc(C(C)(C)NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000283812695 385502780 /nfs/dbraw/zinc/50/27/80/385502780.db2.gz BSFASDYGHDBGKP-UHFFFAOYSA-N 0 0 290.323 2.620 20 5 CFBDRN Cc1ccc(OCCOCC(F)F)c([N+](=O)[O-])c1 ZINC000283831262 385505528 /nfs/dbraw/zinc/50/55/28/385505528.db2.gz GRJFNVMMNNBEFB-UHFFFAOYSA-N 0 0 261.224 2.564 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ccc(Cl)cc3C2)nc1 ZINC000302218998 285178432 /nfs/dbraw/zinc/17/84/32/285178432.db2.gz LIXZDCDGPUMKSQ-UHFFFAOYSA-N 0 0 290.710 2.601 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ccc(F)cc32)s1 ZINC000195511743 285178440 /nfs/dbraw/zinc/17/84/40/285178440.db2.gz QNVWOOICRLARIT-UHFFFAOYSA-N 0 0 265.269 2.885 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H](C3CC3)[C@H]2C2CC2)c(F)c1 ZINC000413315409 285179544 /nfs/dbraw/zinc/17/95/44/285179544.db2.gz KNJKVWTZDVXPHA-DGCLKSJQSA-N 0 0 277.299 2.754 20 5 CFBDRN CN(C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000272466186 385544469 /nfs/dbraw/zinc/54/44/69/385544469.db2.gz HSHCGBAAVOTFPR-UHFFFAOYSA-N 0 0 297.742 2.595 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@@H]1Nc1ncc([N+](=O)[O-])s1 ZINC000288866912 197181542 /nfs/dbraw/zinc/18/15/42/197181542.db2.gz KXWVXSLGXCLYSN-RDDDGLTNSA-N 0 0 277.305 2.985 20 5 CFBDRN O=[N+]([O-])c1cnc(N2Cc3ccccc3CO2)s1 ZINC000367936761 285180305 /nfs/dbraw/zinc/18/03/05/285180305.db2.gz ZFJXKSWCZDUWRL-UHFFFAOYSA-N 0 0 263.278 2.503 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3cc(F)ccc32)s1 ZINC000337803532 285177971 /nfs/dbraw/zinc/17/79/71/285177971.db2.gz JNIUELPGTUQKRD-UHFFFAOYSA-N 0 0 265.269 2.885 20 5 CFBDRN C[C@H]1CCN(Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000286181737 385567549 /nfs/dbraw/zinc/56/75/49/385567549.db2.gz ZHJCRYJEJYLHND-QMMMGPOBSA-N 0 0 256.252 2.715 20 5 CFBDRN CCc1noc(C)c1COc1ccc(N)c([N+](=O)[O-])c1 ZINC000288766467 197161511 /nfs/dbraw/zinc/16/15/11/197161511.db2.gz ZMDNUGILHZGHEQ-UHFFFAOYSA-N 0 0 277.280 2.615 20 5 CFBDRN CON(CC(C)(C)C)c1nccc(C)c1[N+](=O)[O-] ZINC000286258159 385578724 /nfs/dbraw/zinc/57/87/24/385578724.db2.gz FIXXAZYIAITUPU-UHFFFAOYSA-N 0 0 253.302 2.712 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000288752872 197158451 /nfs/dbraw/zinc/15/84/51/197158451.db2.gz HFLSONIJKVPQTG-ZETCQYMHSA-N 0 0 291.229 2.976 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)CSC)cc1[N+](=O)[O-] ZINC000272757584 385605565 /nfs/dbraw/zinc/60/55/65/385605565.db2.gz BSUURWFMNSWXGX-QMMMGPOBSA-N 0 0 284.337 2.541 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCSC(C)C ZINC000286299168 385584992 /nfs/dbraw/zinc/58/49/92/385584992.db2.gz GBCXHEQZIRNYBD-UHFFFAOYSA-N 0 0 272.374 2.673 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000272785905 385614449 /nfs/dbraw/zinc/61/44/49/385614449.db2.gz IKQABXBKDYJBQA-NSHDSACASA-N 0 0 294.307 2.501 20 5 CFBDRN C/C(=C\C(C)(C)C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288731674 197154721 /nfs/dbraw/zinc/15/47/21/197154721.db2.gz GQRWDBINFOSFJP-CSKARUKUSA-N 0 0 277.324 2.945 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000272834333 385628353 /nfs/dbraw/zinc/62/83/53/385628353.db2.gz RPSORYKWCFSOGF-SNVBAGLBSA-N 0 0 276.292 2.898 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])cc2C)n(C)n1 ZINC000286402935 385609282 /nfs/dbraw/zinc/60/92/82/385609282.db2.gz JWHZJDGLRNTAFQ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000286498149 385636019 /nfs/dbraw/zinc/63/60/19/385636019.db2.gz WHMWMVOIQIAURC-VHSXEESVSA-N 0 0 290.323 2.556 20 5 CFBDRN CN(Cc1c(F)cc([N+](=O)[O-])cc1F)C1CCC1 ZINC000286537448 385643374 /nfs/dbraw/zinc/64/33/74/385643374.db2.gz UCCPTSSBGBLGIW-UHFFFAOYSA-N 0 0 256.252 2.857 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CCCCS2)cc1[N+](=O)[O-] ZINC000272916862 385652877 /nfs/dbraw/zinc/65/28/77/385652877.db2.gz FIMISWCWHQUJML-LBPRGKRZSA-N 0 0 296.348 2.828 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000286588174 385658248 /nfs/dbraw/zinc/65/82/48/385658248.db2.gz TXFGSYLXICGUEA-UHFFFAOYSA-N 0 0 272.326 2.706 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCCOC1CCC1 ZINC000288685273 197143962 /nfs/dbraw/zinc/14/39/62/197143962.db2.gz JJCMCTGUUSGIBY-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN CO[C@H](C)CN(C)c1cc(C)[nH+]c2ccc([N+](=O)[O-])cc21 ZINC000286594864 385660341 /nfs/dbraw/zinc/66/03/41/385660341.db2.gz SAJINMKYKKMACK-LLVKDONJSA-N 0 0 289.335 2.923 20 5 CFBDRN CC1([C@@H]2CCCN(c3ccc([N+](=O)[O-])cc3)C2)OCCO1 ZINC000273187957 385673131 /nfs/dbraw/zinc/67/31/31/385673131.db2.gz QXLOAYADWPEAJT-GFCCVEGCSA-N 0 0 292.335 2.574 20 5 CFBDRN Cc1cnc(C)c(N(C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000286639624 385673924 /nfs/dbraw/zinc/67/39/24/385673924.db2.gz SYGNWHQRWHJXTF-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCCCCCO ZINC000286662751 385679739 /nfs/dbraw/zinc/67/97/39/385679739.db2.gz YLOOXGSHCCTXCT-UHFFFAOYSA-N 0 0 280.324 2.762 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC1([NH+](C)C)CCC1 ZINC000286707726 385692116 /nfs/dbraw/zinc/69/21/16/385692116.db2.gz OTOHQMVBLWPJOU-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN C[C@H]1CCCC[C@H]1c1noc(-c2nn(C)cc2[N+](=O)[O-])n1 ZINC000273741143 385693904 /nfs/dbraw/zinc/69/39/04/385693904.db2.gz BRQMIKQXXSIPOF-DTWKUNHWSA-N 0 0 291.311 2.672 20 5 CFBDRN CC(C)CC[C@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000273999597 385696953 /nfs/dbraw/zinc/69/69/53/385696953.db2.gz SVQFAKXIOTUCNA-LLVKDONJSA-N 0 0 264.329 2.790 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC1=CC[N@H+](C)CC1 ZINC000274011104 385698566 /nfs/dbraw/zinc/69/85/66/385698566.db2.gz UZSIGLUYMFSTDR-UHFFFAOYSA-N 0 0 261.325 2.577 20 5 CFBDRN O=C(NC[C@H]1CCCSC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000286741823 385699982 /nfs/dbraw/zinc/69/99/82/385699982.db2.gz IKKDRJKBGODTKC-SECBINFHSA-N 0 0 298.339 2.607 20 5 CFBDRN C[C@@H](NC(=O)OC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000286745171 385701191 /nfs/dbraw/zinc/70/11/91/385701191.db2.gz ULNHLDYFEJULMN-MFKMUULPSA-N 0 0 294.307 2.561 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C[C@@H]2CCOC2)C2CC2)c1 ZINC000274211166 385739724 /nfs/dbraw/zinc/73/97/24/385739724.db2.gz KXXCHLJMUANYDS-LBPRGKRZSA-N 0 0 276.336 2.909 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000287074139 385775132 /nfs/dbraw/zinc/77/51/32/385775132.db2.gz JCQNMFDUABIZGB-GFCCVEGCSA-N 0 0 293.323 2.914 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000414722105 533144751 /nfs/dbraw/zinc/14/47/51/533144751.db2.gz KIBVBBCFUGPKIS-GFCCVEGCSA-N 0 0 266.297 2.594 20 5 CFBDRN CC[C@@H](OC)c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000274108421 385720406 /nfs/dbraw/zinc/72/04/06/385720406.db2.gz ZAEOADYMYMRDBM-GFCCVEGCSA-N 0 0 291.307 2.975 20 5 CFBDRN CC(C)C[C@H](CO)N(C)c1cccc(F)c1[N+](=O)[O-] ZINC000274660283 385843371 /nfs/dbraw/zinc/84/33/71/385843371.db2.gz FIWARZUDXISIRF-SNVBAGLBSA-N 0 0 270.304 2.577 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000274675605 385848027 /nfs/dbraw/zinc/84/80/27/385848027.db2.gz IPVHYPGAGDTSEW-BBRMVZONSA-N 0 0 290.363 2.936 20 5 CFBDRN COC1(CNc2ncccc2[N+](=O)[O-])CCCCC1 ZINC000274692423 385850351 /nfs/dbraw/zinc/85/03/51/385850351.db2.gz KGWKSIVQVQGPEZ-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN COc1cccc(NC[C@H](O)c2ccc(C)o2)c1[N+](=O)[O-] ZINC000274701901 385852102 /nfs/dbraw/zinc/85/21/02/385852102.db2.gz QDLZBLMAHYJWEG-NSHDSACASA-N 0 0 292.291 2.650 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H]2CCO)c1 ZINC000274983036 385907096 /nfs/dbraw/zinc/90/70/96/385907096.db2.gz CANDFAIHYKTXCH-CMPLNLGQSA-N 0 0 280.324 2.566 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)CCC1=O ZINC000275433695 385976683 /nfs/dbraw/zinc/97/66/83/385976683.db2.gz VQVMECWHLGTAHV-QMMMGPOBSA-N 0 0 268.700 2.664 20 5 CFBDRN CO[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CC[C@@H]1C ZINC000276057146 385981409 /nfs/dbraw/zinc/98/14/09/385981409.db2.gz RFRRYZPILNBVMW-ZANVPECISA-N 0 0 268.288 2.595 20 5 CFBDRN CC[C@]1(C)CN(c2cc(OC)ccc2[N+](=O)[O-])CCO1 ZINC000276069411 385983837 /nfs/dbraw/zinc/98/38/37/385983837.db2.gz SBIWTASKIGMZOR-CQSZACIVSA-N 0 0 280.324 2.609 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000276486121 385989728 /nfs/dbraw/zinc/98/97/28/385989728.db2.gz OYWGBSWMZMQZEK-QMMMGPOBSA-N 0 0 273.745 2.949 20 5 CFBDRN CC(C)=CCCNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000276625674 385995241 /nfs/dbraw/zinc/99/52/41/385995241.db2.gz MTLJLFNBTBVWAG-UHFFFAOYSA-N 0 0 262.309 2.989 20 5 CFBDRN COC1CCN(c2nc3ccccc3cc2[N+](=O)[O-])CC1 ZINC000276697685 386017780 /nfs/dbraw/zinc/01/77/80/386017780.db2.gz WZHQGONVKLMZDI-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(N[C@@H](C1CC1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000288482727 197091270 /nfs/dbraw/zinc/09/12/70/197091270.db2.gz DYGIWLZDEBJCMQ-JTQLQIEISA-N 0 0 288.225 2.666 20 5 CFBDRN C[C@@H](NC(=O)CC(C)(F)F)c1ccccc1[N+](=O)[O-] ZINC000277007107 386105949 /nfs/dbraw/zinc/10/59/49/386105949.db2.gz KVBWCZWUEMKDGK-MRVPVSSYSA-N 0 0 272.251 2.817 20 5 CFBDRN CCCCC(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1C ZINC000277075800 386121934 /nfs/dbraw/zinc/12/19/34/386121934.db2.gz NYGZPQGPHLFOOZ-UHFFFAOYSA-N 0 0 294.307 2.819 20 5 CFBDRN COc1ccc(NC(=O)NCC=C(C)C)cc1[N+](=O)[O-] ZINC000276868129 386063615 /nfs/dbraw/zinc/06/36/15/386063615.db2.gz VSEYJYQNTMTQBM-UHFFFAOYSA-N 0 0 279.296 2.691 20 5 CFBDRN CC(C)=CCCNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000276909853 386076801 /nfs/dbraw/zinc/07/68/01/386076801.db2.gz OUMBOPJPLHRQNG-UHFFFAOYSA-N 0 0 291.351 2.793 20 5 CFBDRN CC(F)(F)CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000276938307 386085281 /nfs/dbraw/zinc/08/52/81/386085281.db2.gz AIIICQAGGFOQMW-UHFFFAOYSA-N 0 0 270.235 2.529 20 5 CFBDRN CCOc1cc(CSC[C@H](C)CO)ccc1[N+](=O)[O-] ZINC000276936873 386085394 /nfs/dbraw/zinc/08/53/94/386085394.db2.gz RTESILHEGLLFCG-SNVBAGLBSA-N 0 0 285.365 2.855 20 5 CFBDRN Cc1c(Cl)cnn1CCc1ccc([N+](=O)[O-])cc1 ZINC000288388210 197064907 /nfs/dbraw/zinc/06/49/07/197064907.db2.gz APJPKDRJWOBLOA-UHFFFAOYSA-N 0 0 265.700 2.996 20 5 CFBDRN CCC[C@@H](C)N(C)c1c([N+](=O)[O-])nc(C)n1CC ZINC000288167721 197018102 /nfs/dbraw/zinc/01/81/02/197018102.db2.gz PWQNWRPDEVFJCJ-SECBINFHSA-N 0 0 254.334 2.744 20 5 CFBDRN Cc1ccnc(NCc2nccc(C(F)F)n2)c1[N+](=O)[O-] ZINC000288167283 197018147 /nfs/dbraw/zinc/01/81/47/197018147.db2.gz IDYQBRMZKFCGNM-UHFFFAOYSA-N 0 0 295.249 2.638 20 5 CFBDRN Cc1cc(N2CC(COc3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000288225820 197031083 /nfs/dbraw/zinc/03/10/83/197031083.db2.gz NCGZIJUYLSBZGM-UHFFFAOYSA-N 0 0 299.330 2.813 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1S[C@H](C)[C@H](C)O ZINC000288196458 197024881 /nfs/dbraw/zinc/02/48/81/197024881.db2.gz CGRDXAGYFKLNEX-DTWKUNHWSA-N 0 0 299.348 2.551 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C1(C)C ZINC000288177619 197020648 /nfs/dbraw/zinc/02/06/48/197020648.db2.gz BHHYTUZWQGIQCX-TZMCWYRMSA-N 0 0 279.340 2.793 20 5 CFBDRN CC1(CNc2cc(Cl)ccc2[N+](=O)[O-])OCCCO1 ZINC000287803587 196946136 /nfs/dbraw/zinc/94/61/36/196946136.db2.gz PLNRDAUOZFQYIJ-UHFFFAOYSA-N 0 0 286.715 2.813 20 5 CFBDRN CC(C)(C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000287705600 196921437 /nfs/dbraw/zinc/92/14/37/196921437.db2.gz MTOBYBUKLUYQDV-UHFFFAOYSA-N 0 0 288.307 2.846 20 5 CFBDRN Cc1cn(CCCOc2ccccc2C)nc1[N+](=O)[O-] ZINC000281263228 195172281 /nfs/dbraw/zinc/17/22/81/195172281.db2.gz VSIJHTMFQVORDL-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN O=[N+]([O-])c1cccc(NS(=O)(=O)C2=CCCCC2)c1 ZINC000281274510 195176578 /nfs/dbraw/zinc/17/65/78/195176578.db2.gz WJGNGXZVJPCKAE-UHFFFAOYSA-N 0 0 282.321 2.794 20 5 CFBDRN Cc1cc(N2CC(C)(C)[C@@H]2[C@@H]2CCCO2)ncc1[N+](=O)[O-] ZINC000291232768 291930423 /nfs/dbraw/zinc/93/04/23/291930423.db2.gz WWTWYQDTHIFWMH-JSGCOSHPSA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N(C)CC2CC2)c1 ZINC000281355121 195209191 /nfs/dbraw/zinc/20/91/91/195209191.db2.gz VSQQMBZJPCLXJN-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1cc(C2CC2)no1 ZINC000281409160 195229984 /nfs/dbraw/zinc/22/99/84/195229984.db2.gz GPXSQEZRTABHOK-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN CCc1cnc(CNc2c3c(ccc2[N+](=O)[O-])NCC3)o1 ZINC000281385340 195219540 /nfs/dbraw/zinc/21/95/40/195219540.db2.gz FZYLDDMIGDUKFZ-UHFFFAOYSA-N 0 0 288.307 2.725 20 5 CFBDRN C[C@@H]1C[C@@H](CNC(=O)c2ccc([N+](=O)[O-])s2)C[C@H](C)O1 ZINC000281438116 195240715 /nfs/dbraw/zinc/24/07/15/195240715.db2.gz YXKZHFVUINXABV-ILWJIGKKSA-N 0 0 298.364 2.590 20 5 CFBDRN Cc1cc(N2CCCC[C@@H]2CCO)c(F)cc1[N+](=O)[O-] ZINC000290920981 291932490 /nfs/dbraw/zinc/93/24/90/291932490.db2.gz HQCJOZDRUBTGDZ-LLVKDONJSA-N 0 0 282.315 2.784 20 5 CFBDRN CC[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCCO1 ZINC000281453552 195246212 /nfs/dbraw/zinc/24/62/12/195246212.db2.gz UTLFXINXCRDLQR-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN CCOC1CC(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000286814746 196711169 /nfs/dbraw/zinc/71/11/69/196711169.db2.gz ALYATVFGWOXEHR-UHFFFAOYSA-N 0 0 293.319 2.762 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000286679049 196675623 /nfs/dbraw/zinc/67/56/23/196675623.db2.gz YRIYDYGCACZFIH-GFCCVEGCSA-N 0 0 277.324 2.583 20 5 CFBDRN CCC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000138624388 256700626 /nfs/dbraw/zinc/70/06/26/256700626.db2.gz MWERYMJHFYLSOV-UHFFFAOYSA-N 0 0 262.187 2.962 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000286654780 196669443 /nfs/dbraw/zinc/66/94/43/196669443.db2.gz WZZNFQCRSZXJDF-GFCCVEGCSA-N 0 0 277.324 2.583 20 5 CFBDRN CC[C@H](CCO)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000094957180 184903699 /nfs/dbraw/zinc/90/36/99/184903699.db2.gz WPOGCUYFKBHQGF-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CCOCC1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1 ZINC000281673965 195331723 /nfs/dbraw/zinc/33/17/23/195331723.db2.gz DPXBVWBOCWJDRR-UHFFFAOYSA-N 0 0 289.291 2.713 20 5 CFBDRN O=C(c1cc(C2CC2)no1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000281689187 195338348 /nfs/dbraw/zinc/33/83/48/195338348.db2.gz MXTAYRNBZGXNHW-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN CC[C@@H]1CN(c2c(C)cccc2[N+](=O)[O-])CCCO1 ZINC000281850449 195408137 /nfs/dbraw/zinc/40/81/37/195408137.db2.gz LZAVJNVMLBXVJH-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CC[C@H]1CCCO1 ZINC000281850778 195408205 /nfs/dbraw/zinc/40/82/05/195408205.db2.gz BCOXGJVIQPTBFN-SNVBAGLBSA-N 0 0 296.298 2.940 20 5 CFBDRN NC(=O)c1ccc(NC[C@@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000281901318 195426868 /nfs/dbraw/zinc/42/68/68/195426868.db2.gz FLWGWESHNGOUGR-MRVPVSSYSA-N 0 0 299.277 2.541 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCO[C@H]2CCCCO2)c1 ZINC000282548037 195642802 /nfs/dbraw/zinc/64/28/02/195642802.db2.gz YKPIMKFPFAUFOW-ZDUSSCGKSA-N 0 0 285.271 2.656 20 5 CFBDRN CO[C@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000191634275 533259896 /nfs/dbraw/zinc/25/98/96/533259896.db2.gz KSYPJTGFHLZDQZ-SCZZXKLOSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C1CC1 ZINC000172408166 533278013 /nfs/dbraw/zinc/27/80/13/533278013.db2.gz NUASINWZIQXRHW-SSDOTTSWSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1N)C1CCCCC1 ZINC000157348702 533299078 /nfs/dbraw/zinc/29/90/78/533299078.db2.gz VDPFVCDDWZNWLG-SNVBAGLBSA-N 0 0 291.351 2.876 20 5 CFBDRN CO[C@](C)([C@@H](C)NC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000412622923 533344741 /nfs/dbraw/zinc/34/47/41/533344741.db2.gz WZQSNIPVRHELFZ-AMIZOPFISA-N 0 0 298.364 2.590 20 5 CFBDRN COc1ccc(C(=O)Nc2cncc(C)c2)cc1[N+](=O)[O-] ZINC000176588873 533350712 /nfs/dbraw/zinc/35/07/12/533350712.db2.gz SMUFPLLPJXXSGE-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000411530438 533489922 /nfs/dbraw/zinc/48/99/22/533489922.db2.gz JQNSUBDHLLFWIQ-QMMMGPOBSA-N 0 0 272.251 2.502 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000425534057 533670572 /nfs/dbraw/zinc/67/05/72/533670572.db2.gz AUSLGVGASNXMCL-JOYOIKCWSA-N 0 0 275.308 2.508 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCS1 ZINC000341633243 129949869 /nfs/dbraw/zinc/94/98/69/129949869.db2.gz HVKFEJBSUNGTBC-GFCCVEGCSA-N 0 0 296.348 2.828 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C1(SC)CC1 ZINC000341650474 129962438 /nfs/dbraw/zinc/96/24/38/129962438.db2.gz YOKCLDXGVQDLDF-UHFFFAOYSA-N 0 0 296.348 2.828 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000341765519 130029170 /nfs/dbraw/zinc/02/91/70/130029170.db2.gz FBZUOEYLFVQPDU-LBPRGKRZSA-N 0 0 293.367 2.900 20 5 CFBDRN CC1CC(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])C1 ZINC000341788560 130049474 /nfs/dbraw/zinc/04/94/74/130049474.db2.gz CAQZKWJHSSDKNI-UHFFFAOYSA-N 0 0 276.336 2.787 20 5 CFBDRN CN(Cc1ccc(F)c(F)c1)c1ccncc1[N+](=O)[O-] ZINC000341870937 130098415 /nfs/dbraw/zinc/09/84/15/130098415.db2.gz BJERCXYZQOAARN-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ncccc1Cl ZINC000341875890 130102206 /nfs/dbraw/zinc/10/22/06/130102206.db2.gz LAYDUPVPEQBZGE-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCN(c2cccnc2)C1 ZINC000366752469 130115245 /nfs/dbraw/zinc/11/52/45/130115245.db2.gz UEGFGTVCCDSLRB-LBPRGKRZSA-N 0 0 284.319 2.681 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1ccon1 ZINC000341927929 130157780 /nfs/dbraw/zinc/15/77/80/130157780.db2.gz LTDIFZYEWSYYQQ-ZCFIWIBFSA-N 0 0 268.660 2.804 20 5 CFBDRN Cc1cnc(NCCCC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000342045192 130218364 /nfs/dbraw/zinc/21/83/64/130218364.db2.gz IJTJUSWTAIAHGK-UHFFFAOYSA-N 0 0 295.339 2.832 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000355343651 130283874 /nfs/dbraw/zinc/28/38/74/130283874.db2.gz GLLBVFBMSXLXSA-AAEUAGOBSA-N 0 0 276.336 2.642 20 5 CFBDRN Nc1ccc(-c2noc(CCC3CC3)n2)cc1[N+](=O)[O-] ZINC000355428657 130302326 /nfs/dbraw/zinc/30/23/26/130302326.db2.gz NYVMQGPLKDSPLN-UHFFFAOYSA-N 0 0 274.280 2.570 20 5 CFBDRN CSCCCN(C)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000342173886 130308178 /nfs/dbraw/zinc/30/81/78/130308178.db2.gz BYSONXHLKJRWMK-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CS[C@@H](C)Cc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355677761 130332700 /nfs/dbraw/zinc/33/27/00/130332700.db2.gz RNIXJXFNJNGKQN-VIFPVBQESA-N 0 0 293.348 2.863 20 5 CFBDRN Cc1cccc([C@H](C)CNC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000355682121 130335027 /nfs/dbraw/zinc/33/50/27/130335027.db2.gz VZDZSKNSUCSNIS-LLVKDONJSA-N 0 0 287.319 2.765 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCOC1 ZINC000355957363 130358731 /nfs/dbraw/zinc/35/87/31/130358731.db2.gz QSFPTKQHCFWDJV-QWRGUYRKSA-N 0 0 293.323 2.531 20 5 CFBDRN CC(C)C(=O)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000355960196 130360964 /nfs/dbraw/zinc/36/09/64/130360964.db2.gz DYCDQWZHPTYSCU-UHFFFAOYSA-N 0 0 273.292 2.683 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)[C@@H]1Cc2ccccc21 ZINC000356015864 130369040 /nfs/dbraw/zinc/36/90/40/130369040.db2.gz SLBDCFIRTVPYLJ-OAHLLOKOSA-N 0 0 283.283 2.883 20 5 CFBDRN COc1cc(NCCc2ccncc2)c([N+](=O)[O-])cc1F ZINC000356058167 130372064 /nfs/dbraw/zinc/37/20/64/130372064.db2.gz JWEJRLSPZVDYQU-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN Cc1ccccc1-c1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000356071376 130374441 /nfs/dbraw/zinc/37/44/41/130374441.db2.gz ACXSIGARVVHMFF-UHFFFAOYSA-N 0 0 270.248 2.948 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000356214342 130386706 /nfs/dbraw/zinc/38/67/06/130386706.db2.gz IQMKMVGBAODLJZ-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000356558287 130389518 /nfs/dbraw/zinc/38/95/18/130389518.db2.gz DGHGCZPMZXGYJV-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@H](F)CCNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000356665540 130393665 /nfs/dbraw/zinc/39/36/65/130393665.db2.gz LBWRESQYUAFEFH-QMMMGPOBSA-N 0 0 280.255 2.819 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCCCC1 ZINC000357233414 130424241 /nfs/dbraw/zinc/42/42/41/130424241.db2.gz LXWCCNZMWWCCIF-SECBINFHSA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1ccnc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000342298672 130427450 /nfs/dbraw/zinc/42/74/50/130427450.db2.gz VLQQBBARVZQDAY-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)cc1 ZINC000357330391 130442832 /nfs/dbraw/zinc/44/28/32/130442832.db2.gz OTLUTXIZBDEGMY-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN CC1CCC(C)(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000357390123 130458591 /nfs/dbraw/zinc/45/85/91/130458591.db2.gz YWLUFDBNVXDBQO-UHFFFAOYSA-N 0 0 279.340 2.869 20 5 CFBDRN Cc1nnc(CCNc2ccc(C)cc2[N+](=O)[O-])s1 ZINC000357557562 130492328 /nfs/dbraw/zinc/49/23/28/130492328.db2.gz ZXERJKWSASKWRH-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCC[C@H]1C(F)F ZINC000353193427 130596081 /nfs/dbraw/zinc/59/60/81/130596081.db2.gz NKGIHHPVUPKRNK-NSHDSACASA-N 0 0 286.278 2.833 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC2(C(C)C)CC2)c1F ZINC000297845550 291992849 /nfs/dbraw/zinc/99/28/49/291992849.db2.gz RRAOUNRUYQCKQW-UHFFFAOYSA-N 0 0 280.299 2.961 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1NC[C@H]1CCCO1 ZINC000236143990 130956797 /nfs/dbraw/zinc/95/67/97/130956797.db2.gz NVIOYGAEQLNJEA-SSDOTTSWSA-N 0 0 291.229 2.600 20 5 CFBDRN COC[C@]1(C)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000294973136 285482274 /nfs/dbraw/zinc/48/22/74/285482274.db2.gz OJIRSBDDFROJOX-GFCCVEGCSA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@]2(CCCCO2)C1 ZINC000369363092 291998103 /nfs/dbraw/zinc/99/81/03/291998103.db2.gz OQKBTERILINMRW-OAHLLOKOSA-N 0 0 291.351 2.838 20 5 CFBDRN C[C@@H](Cn1cc([N+](=O)[O-])cn1)C(=O)c1ccc(F)c(F)c1 ZINC000180320613 393480291 /nfs/dbraw/zinc/48/02/91/393480291.db2.gz RZMNVUCVLRSQAS-QMMMGPOBSA-N 0 0 295.245 2.589 20 5 CFBDRN C[C@H]1CCCC[C@H]1Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301873367 393480308 /nfs/dbraw/zinc/48/03/08/393480308.db2.gz DQKOHWDRQIGNRT-VHSXEESVSA-N 0 0 275.312 2.628 20 5 CFBDRN Cc1cnc(N2CCc3c(cccc3[N+](=O)[O-])C2)nc1C ZINC000301869284 393481094 /nfs/dbraw/zinc/48/10/94/393481094.db2.gz FETQODKGRAJCIJ-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CC[C@H](C)NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000015338761 393481369 /nfs/dbraw/zinc/48/13/69/393481369.db2.gz JECKQCLKNIYKKZ-VIFPVBQESA-N 0 0 268.338 2.602 20 5 CFBDRN C[C@H](CNc1ccccc1[N+](=O)[O-])NC(=O)OC(C)(C)C ZINC000179049970 393451018 /nfs/dbraw/zinc/45/10/18/393451018.db2.gz WKWCTZTUIOTQOE-SNVBAGLBSA-N 0 0 295.339 2.920 20 5 CFBDRN Cc1cnc(OCc2cn3ccsc3n2)c([N+](=O)[O-])c1 ZINC000179271958 393459872 /nfs/dbraw/zinc/45/98/72/393459872.db2.gz TXYDYRGFRUZBNK-UHFFFAOYSA-N 0 0 290.304 2.586 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1ccc([N+](=O)[O-])cc1 ZINC000302014427 393485185 /nfs/dbraw/zinc/48/51/85/393485185.db2.gz BOLXNRPRCOBYOA-FZMZJTMJSA-N 0 0 264.325 2.582 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Nc1ccn(C)n1 ZINC000181979134 393505042 /nfs/dbraw/zinc/50/50/42/393505042.db2.gz CDDIFNTUTYFGLB-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN O=C(Nc1ccc(-n2ccnc2)cc1)c1ccc([N+](=O)[O-])o1 ZINC000029951599 393505065 /nfs/dbraw/zinc/50/50/65/393505065.db2.gz KOFSFMONBBUQLL-UHFFFAOYSA-N 0 0 298.258 2.626 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000330504965 393553046 /nfs/dbraw/zinc/55/30/46/393553046.db2.gz FQQIHBPERTZWRI-AWEZNQCLSA-N 0 0 264.325 2.596 20 5 CFBDRN CCCCOCCCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000041780831 393548485 /nfs/dbraw/zinc/54/84/85/393548485.db2.gz CLDKCXGRRAILJJ-UHFFFAOYSA-N 0 0 294.351 2.840 20 5 CFBDRN CC[C@@H]1CCN1Cc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000331990503 393560171 /nfs/dbraw/zinc/56/01/71/393560171.db2.gz PIPBDBLVMKCOCW-SNVBAGLBSA-N 0 0 268.288 2.727 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)N2CCc3cc([N+](=O)[O-])ccc32)C1 ZINC000331645531 393556649 /nfs/dbraw/zinc/55/66/49/393556649.db2.gz ULXOSYYUROZFCZ-JQWIXIFHSA-N 0 0 274.320 2.920 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000332735544 393562999 /nfs/dbraw/zinc/56/29/99/393562999.db2.gz XZJIROJKZZDABP-NXEZZACHSA-N 0 0 294.355 2.702 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2Cc3ccccc32)s1 ZINC000189682594 393574255 /nfs/dbraw/zinc/57/42/55/393574255.db2.gz QUHLGKBVQUVWGT-VIFPVBQESA-N 0 0 261.306 2.803 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1cccc(F)c1 ZINC000046146815 393577023 /nfs/dbraw/zinc/57/70/23/393577023.db2.gz RBKONQOZMRKNJM-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN CCCCN(CC)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000048892864 393606444 /nfs/dbraw/zinc/60/64/44/393606444.db2.gz JAQLECWLYOKHDX-UHFFFAOYSA-N 0 0 279.340 2.581 20 5 CFBDRN Cc1cccc(CCNc2ccncc2[N+](=O)[O-])c1 ZINC000051670570 393617174 /nfs/dbraw/zinc/61/71/74/393617174.db2.gz COFQEDSBEXLYLL-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1C[C@@](C)(OC)C1(C)C ZINC000192640528 393628827 /nfs/dbraw/zinc/62/88/27/393628827.db2.gz PZEWGAFXLBLNGF-IINYFYTJSA-N 0 0 296.371 2.735 20 5 CFBDRN Cc1cc(NC(=O)c2cncnc2C2CC2)ccc1[N+](=O)[O-] ZINC000410674611 393634780 /nfs/dbraw/zinc/63/47/80/393634780.db2.gz QDPCEROVZWIVEB-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN O=C(OCC1CC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000192885364 393634983 /nfs/dbraw/zinc/63/49/83/393634983.db2.gz PGGWNMQFKDNTDY-UHFFFAOYSA-N 0 0 262.265 2.504 20 5 CFBDRN CCC[C@H](CC(C)C)C(=O)Nc1c([N+](=O)[O-])cnn1C ZINC000410718352 393637233 /nfs/dbraw/zinc/63/72/33/393637233.db2.gz DVNAUBUTHHHASW-SNVBAGLBSA-N 0 0 282.344 2.729 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)c1cccnc1 ZINC000192924144 393637941 /nfs/dbraw/zinc/63/79/41/393637941.db2.gz NZTDLTSZXGQLPP-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSc1ncccn1 ZINC000193554445 393655312 /nfs/dbraw/zinc/65/53/12/393655312.db2.gz YGPPSYQEKNATEQ-UHFFFAOYSA-N 0 0 277.305 2.556 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)Cc1nccs1 ZINC000074524498 393658940 /nfs/dbraw/zinc/65/89/40/393658940.db2.gz WZBZBDYIVWDTQQ-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CNc1nnc(Sc2ccc([N+](=O)[O-])c(C)c2)s1 ZINC000193743591 393663027 /nfs/dbraw/zinc/66/30/27/393663027.db2.gz QPWVIBIDYHZDLI-UHFFFAOYSA-N 0 0 282.350 2.948 20 5 CFBDRN COc1cccc(C(=O)N[C@]2(C)CC=CCC2)c1[N+](=O)[O-] ZINC000411112177 393666203 /nfs/dbraw/zinc/66/62/03/393666203.db2.gz LCZZOTFUWARORI-OAHLLOKOSA-N 0 0 290.319 2.832 20 5 CFBDRN CCc1ccc(C(=O)NCc2cscn2)cc1[N+](=O)[O-] ZINC000081935714 393737977 /nfs/dbraw/zinc/73/79/77/393737977.db2.gz BPWNKGJAYYDDRR-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN Cc1cc(C(=O)N(C)CCc2ccncc2)cc([N+](=O)[O-])c1 ZINC000195367175 393739509 /nfs/dbraw/zinc/73/95/09/393739509.db2.gz PDTIQBOVTVEMFN-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN Cc1cccc(C(=O)N2CC3(C2)CCCC3)c1[N+](=O)[O-] ZINC000336623220 393744453 /nfs/dbraw/zinc/74/44/53/393744453.db2.gz XTLDKDQCOHROMM-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1cccc(C(N)=O)c1NCc1cccc([N+](=O)[O-])c1C ZINC000085280042 393750803 /nfs/dbraw/zinc/75/08/03/393750803.db2.gz NTCLYKQGGXZKLC-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000086757527 393756138 /nfs/dbraw/zinc/75/61/38/393756138.db2.gz UOGKWBOKMSKDAE-NWDGAFQWSA-N 0 0 292.335 2.843 20 5 CFBDRN CC[C@H](CCO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000077232084 393694938 /nfs/dbraw/zinc/69/49/38/393694938.db2.gz KUFTZKIAHHQSOT-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1nn(C)c(NCC(C)(C)C(C)C)c1[N+](=O)[O-] ZINC000218919735 393759142 /nfs/dbraw/zinc/75/91/42/393759142.db2.gz ZIYQJSURFFEZDK-UHFFFAOYSA-N 0 0 254.334 2.731 20 5 CFBDRN O=C(c1ccccc1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148800 393704977 /nfs/dbraw/zinc/70/49/77/393704977.db2.gz LLGGKLKCGKPREH-UHFFFAOYSA-N 0 0 268.272 2.798 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H](O)c1ccsc1 ZINC000218903978 393759340 /nfs/dbraw/zinc/75/93/40/393759340.db2.gz PUZNYKSEHWONCS-NSHDSACASA-N 0 0 279.321 2.505 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@H]1Cc2ccccc21 ZINC000194670197 393712998 /nfs/dbraw/zinc/71/29/98/393712998.db2.gz AFGDZKVOWWGQBN-CQSZACIVSA-N 0 0 296.326 2.593 20 5 CFBDRN CCCC1(CNC(=O)c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000194691588 393713673 /nfs/dbraw/zinc/71/36/73/393713673.db2.gz QHFKGUZUOLKVCC-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)CC1CC1 ZINC000194701039 393713865 /nfs/dbraw/zinc/71/38/65/393713865.db2.gz XEUUOQGRHBARJY-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN Nc1c(C(=O)Nc2ccc3cc[nH]c3c2)cccc1[N+](=O)[O-] ZINC000194864126 393720619 /nfs/dbraw/zinc/72/06/19/393720619.db2.gz PJHBLLRJTHGMNJ-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN CC[C@@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000194954700 393725314 /nfs/dbraw/zinc/72/53/14/393725314.db2.gz BFBBKFDHERLBKD-YPMHNXCESA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000411584404 393727523 /nfs/dbraw/zinc/72/75/23/393727523.db2.gz QEUWSEAJTAEREX-VIFPVBQESA-N 0 0 286.278 2.545 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2nc(C)ccc2[N+](=O)[O-])C1(C)C ZINC000223011691 393764759 /nfs/dbraw/zinc/76/47/59/393764759.db2.gz UPQPBJGGASXQHT-GHMZBOCLSA-N 0 0 265.313 2.524 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@@H]2CCCC[C@H]2O)c(F)c1 ZINC000227890763 393773200 /nfs/dbraw/zinc/77/32/00/393773200.db2.gz CNRPQSKEKVAOAM-QPUJVOFHSA-N 0 0 286.278 2.836 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2nccc3occc32)c1 ZINC000340078674 393835559 /nfs/dbraw/zinc/83/55/59/393835559.db2.gz AVSHTHYMYKJFDK-UHFFFAOYSA-N 0 0 283.287 2.813 20 5 CFBDRN CCOc1cccc(NCC2(OC)CCC2)c1[N+](=O)[O-] ZINC000231038423 393780253 /nfs/dbraw/zinc/78/02/53/393780253.db2.gz KXNYQFVSZUTRFX-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cccc(C)n2)c1 ZINC000090794536 393780814 /nfs/dbraw/zinc/78/08/14/393780814.db2.gz BPLJCTTZQLIQTN-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCc1nn(C)c(NCC(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000091562565 393784351 /nfs/dbraw/zinc/78/43/51/393784351.db2.gz YMDLXAJBRASWQY-UHFFFAOYSA-N 0 0 278.356 2.739 20 5 CFBDRN C[C@H]1C[C@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235592794 393784445 /nfs/dbraw/zinc/78/44/45/393784445.db2.gz ULGLWYOVMHNKMX-NTSWFWBYSA-N 0 0 261.203 2.829 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1cncs1)C2 ZINC000093443669 393798844 /nfs/dbraw/zinc/79/88/44/393798844.db2.gz KOLORRRKWXTEFH-UHFFFAOYSA-N 0 0 275.333 2.610 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)nc1C ZINC000457987345 393817942 /nfs/dbraw/zinc/81/79/42/393817942.db2.gz PYYUZISORVMEMM-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000458004642 393823042 /nfs/dbraw/zinc/82/30/42/393823042.db2.gz IZCSRTBWSIXBRS-RKDXNWHRSA-N 0 0 268.338 2.821 20 5 CFBDRN COc1c(C(=O)N[C@@H]2C[C@H]2CC(C)C)cccc1[N+](=O)[O-] ZINC000458006772 393824194 /nfs/dbraw/zinc/82/41/94/393824194.db2.gz PZHXRXAQNYQKOR-ZYHUDNBSSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1CC(C)C ZINC000458015551 393826694 /nfs/dbraw/zinc/82/66/94/393826694.db2.gz OEUACEDPBMKCER-ZWNOBZJWSA-N 0 0 292.335 2.768 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC000339689245 393830491 /nfs/dbraw/zinc/83/04/91/393830491.db2.gz UJDYFRZQKLTJLM-VIFPVBQESA-N 0 0 290.241 2.839 20 5 CFBDRN Cc1cc(Cc2noc([C@@]3(C)C[C@@H]3F)n2)ccc1[N+](=O)[O-] ZINC000424352609 393839189 /nfs/dbraw/zinc/83/91/89/393839189.db2.gz ZGDKXYZIRTZDSB-FZMZJTMJSA-N 0 0 291.282 2.877 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])s1 ZINC000458255051 393871318 /nfs/dbraw/zinc/87/13/18/393871318.db2.gz AKMNHSDHBLWNNP-VGMNWLOBSA-N 0 0 266.322 2.575 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)[C@@H](C)[C@H]1C ZINC000416108294 393872997 /nfs/dbraw/zinc/87/29/97/393872997.db2.gz HFIUITMXEXOKGD-HBNTYKKESA-N 0 0 292.335 2.720 20 5 CFBDRN CC[C@@](C)(O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000111892231 393888126 /nfs/dbraw/zinc/88/81/26/393888126.db2.gz WXCDBGJWMUDCLP-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@@H]1CCC[C@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000113581206 393897815 /nfs/dbraw/zinc/89/78/15/393897815.db2.gz IZOLBIJPAXPKTP-ZJUUUORDSA-N 0 0 252.318 2.709 20 5 CFBDRN CCOC[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000458081438 393842756 /nfs/dbraw/zinc/84/27/56/393842756.db2.gz RQSSGSWUKWVXGP-SNVBAGLBSA-N 0 0 298.364 2.688 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+]1CCC[C@@H](C)[C@@H]1C(=O)[O-] ZINC000424412842 393843961 /nfs/dbraw/zinc/84/39/61/393843961.db2.gz DSTYQEAZQIGTBK-QMTHXVAHSA-N 0 0 292.335 2.588 20 5 CFBDRN COC1CCC(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000458091084 393844168 /nfs/dbraw/zinc/84/41/68/393844168.db2.gz PANHIBBOGMQNIB-UHFFFAOYSA-N 0 0 292.335 2.591 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C[C@H]2C(C)C)c([N+](=O)[O-])cc1F ZINC000458099131 393846798 /nfs/dbraw/zinc/84/67/98/393846798.db2.gz FRDHBNPSRCIWKG-GZMMTYOYSA-N 0 0 296.298 2.517 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)CC(C)C ZINC000416044283 393853978 /nfs/dbraw/zinc/85/39/78/393853978.db2.gz OXWLSAFYESNPQO-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@H]1C(C)C ZINC000458129914 393854538 /nfs/dbraw/zinc/85/45/38/393854538.db2.gz JOKMGKBAXDNBQW-QWHCGFSZSA-N 0 0 262.309 2.678 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000429238565 393947451 /nfs/dbraw/zinc/94/74/51/393947451.db2.gz RXDIELCGRXRLMU-BXUZGUMPSA-N 0 0 276.336 2.784 20 5 CFBDRN COCC(C)(C)N(C)Cc1csc([N+](=O)[O-])c1 ZINC000429237879 393947503 /nfs/dbraw/zinc/94/75/03/393947503.db2.gz XYORWYAADPGLNP-UHFFFAOYSA-N 0 0 258.343 2.513 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000429258581 393949085 /nfs/dbraw/zinc/94/90/85/393949085.db2.gz HUXXUCUOYHDKGX-WCQYABFASA-N 0 0 292.335 2.621 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@@H]1CC[C@@H](C)C1 ZINC000429258644 393949247 /nfs/dbraw/zinc/94/92/47/393949247.db2.gz VPGQKWSXVBKVRM-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])C1(CO)CCC1 ZINC000429256783 393949353 /nfs/dbraw/zinc/94/93/53/393949353.db2.gz QPMSLAGRIIVDOZ-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN CC[C@H](C)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352677611 394017428 /nfs/dbraw/zinc/01/74/28/394017428.db2.gz LFBHPIOURWHCBL-JTQLQIEISA-N 0 0 250.298 2.588 20 5 CFBDRN Cc1cc(N2CCO[C@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000271313695 394018769 /nfs/dbraw/zinc/01/87/69/394018769.db2.gz JDOKIYOYZYMCDO-KBPBESRZSA-N 0 0 262.309 2.661 20 5 CFBDRN Cc1cc(N2CCO[C@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000271313700 394018832 /nfs/dbraw/zinc/01/88/32/394018832.db2.gz JDOKIYOYZYMCDO-KGLIPLIRSA-N 0 0 262.309 2.661 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N(C)Cc1ccnn1C ZINC000348398982 393953199 /nfs/dbraw/zinc/95/31/99/393953199.db2.gz INAAXOPPGOWFHU-GFCCVEGCSA-N 0 0 288.351 2.830 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])c(N)n1)C1=CCCCC1 ZINC000475739648 393956898 /nfs/dbraw/zinc/95/68/98/393956898.db2.gz WCTRGBALFKIBHF-UHFFFAOYSA-N 0 0 291.355 2.736 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(Cc3cccnc3)c2)c1 ZINC000349159319 393963660 /nfs/dbraw/zinc/96/36/60/393963660.db2.gz LIEXLYGHDSZISN-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN Cc1cnc(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)o1 ZINC000349155715 393964099 /nfs/dbraw/zinc/96/40/99/393964099.db2.gz BOECIESDHRGLBJ-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN CC[C@@]1(C)CN(Cc2ccc([N+](=O)[O-])cc2OC)CCO1 ZINC000430195627 393966231 /nfs/dbraw/zinc/96/62/31/393966231.db2.gz ORRCEMQRQHFZMM-HNNXBMFYSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CC23CCCC3)cccc1[N+](=O)[O-] ZINC000430219805 393969540 /nfs/dbraw/zinc/96/95/40/393969540.db2.gz NTWSRZNINSUMLU-CQSZACIVSA-N 0 0 288.347 2.895 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)C[C@H]1CCCCO1 ZINC000430227808 393970970 /nfs/dbraw/zinc/97/09/70/393970970.db2.gz SPIMPDRVCBFJDN-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN CCOc1cc(NCC[C@@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000486926072 393971873 /nfs/dbraw/zinc/97/18/73/393971873.db2.gz NFDZCOLEQXOKTN-CYBMUJFWSA-N 0 0 282.340 2.812 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)Cc1nccs1 ZINC000430244699 393972539 /nfs/dbraw/zinc/97/25/39/393972539.db2.gz YMHSRQJWIHQCID-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN C[C@@]1(CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCCO1 ZINC000123389643 393979733 /nfs/dbraw/zinc/97/97/33/393979733.db2.gz TVLAOVLYXJIGSP-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN CCCC(C)(C)NC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430394345 393984339 /nfs/dbraw/zinc/98/43/39/393984339.db2.gz BPEAITDJLHUZJL-UHFFFAOYSA-N 0 0 294.351 2.977 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430396312 393984344 /nfs/dbraw/zinc/98/43/44/393984344.db2.gz TUKZYGPPZIKPDL-LBPRGKRZSA-N 0 0 294.351 2.929 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000266925531 393984697 /nfs/dbraw/zinc/98/46/97/393984697.db2.gz ZGWADJDDIJHIPS-TUAOUCFPSA-N 0 0 293.367 2.549 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C[C@H]1n1ccnc1 ZINC000268261966 393990934 /nfs/dbraw/zinc/99/09/34/393990934.db2.gz MRIJOPGNLFOMJL-IUODEOHRSA-N 0 0 286.335 2.879 20 5 CFBDRN CC(C)N(CC(F)F)C[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000269670549 394006163 /nfs/dbraw/zinc/00/61/63/394006163.db2.gz UMXHSBCEZAADNP-GFCCVEGCSA-N 0 0 288.294 2.604 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)Nc2ccccc2)c1[N+](=O)[O-] ZINC000433860360 394015133 /nfs/dbraw/zinc/01/51/33/394015133.db2.gz XIFYSKPJZZVLCL-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CC(C)=CCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487880498 394027039 /nfs/dbraw/zinc/02/70/39/394027039.db2.gz XSDXZSJCLYZYAY-UHFFFAOYSA-N 0 0 258.277 2.876 20 5 CFBDRN Cc1ccsc1[C@@H](CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000487621989 394015868 /nfs/dbraw/zinc/01/58/68/394015868.db2.gz TZIFHMWAFLNHTN-SNVBAGLBSA-N 0 0 293.348 2.814 20 5 CFBDRN CC(C)(F)c1nc(CCNc2ccccc2[N+](=O)[O-])no1 ZINC000274087588 394038030 /nfs/dbraw/zinc/03/80/30/394038030.db2.gz YDUFOFCUZOXCRD-UHFFFAOYSA-N 0 0 294.286 2.837 20 5 CFBDRN CN(C)c1ccccc1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000354119986 394042201 /nfs/dbraw/zinc/04/22/01/394042201.db2.gz QYERSNGAERBYGB-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC(F)(F)F)c1 ZINC000437072065 394044805 /nfs/dbraw/zinc/04/48/05/394044805.db2.gz AFVIKBBMQCEHFM-UHFFFAOYSA-N 0 0 276.214 2.585 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ccc(CNCCF)o2)cc1 ZINC000437250896 394050883 /nfs/dbraw/zinc/05/08/83/394050883.db2.gz YYKFWWJRBTYLGL-UHFFFAOYSA-N 0 0 264.256 2.914 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)CCS1 ZINC000276926499 394052802 /nfs/dbraw/zinc/05/28/02/394052802.db2.gz PZJZFQHIVNRYAU-QMMMGPOBSA-N 0 0 287.772 2.975 20 5 CFBDRN CSCCCCNc1nc(C)ccc1[N+](=O)[O-] ZINC000130085448 394101876 /nfs/dbraw/zinc/10/18/76/394101876.db2.gz DSLOYQPYTMQPFC-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN CCSCCCNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492849647 394080867 /nfs/dbraw/zinc/08/08/67/394080867.db2.gz COKOOOWAUMUJPS-FPLPWBNLSA-N 0 0 294.376 2.867 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000129173563 394083861 /nfs/dbraw/zinc/08/38/61/394083861.db2.gz LAPJMAHTGFXJOE-NXEZZACHSA-N 0 0 270.716 2.820 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000492878828 394087398 /nfs/dbraw/zinc/08/73/98/394087398.db2.gz ANZNMUZSBBKZOI-VGDRSHSASA-N 0 0 260.293 2.523 20 5 CFBDRN C[C@@H](CCO)C1(CNc2ncc([N+](=O)[O-])s2)CCC1 ZINC000281881216 394087902 /nfs/dbraw/zinc/08/79/02/394087902.db2.gz LLSXEMIIVZQARU-VIFPVBQESA-N 0 0 285.369 2.652 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492885453 394089515 /nfs/dbraw/zinc/08/95/15/394089515.db2.gz ZNTJPXKYUGGHQM-RRJFDULASA-N 0 0 260.293 2.523 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)NCCF)cc1[N+](=O)[O-] ZINC000281923968 394095721 /nfs/dbraw/zinc/09/57/21/394095721.db2.gz WFTDHFFSCUTUNM-UHFFFAOYSA-N 0 0 268.288 2.592 20 5 CFBDRN COCC1(CNc2nccc(C)c2[N+](=O)[O-])CCC1 ZINC000281924387 394095949 /nfs/dbraw/zinc/09/59/49/394095949.db2.gz OFOJACXJLCNXKC-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1cc(N2CCC[C@H]([C@H]3CCOC3)C2)ncc1[N+](=O)[O-] ZINC000439083475 394097625 /nfs/dbraw/zinc/09/76/25/394097625.db2.gz GSGAZSFUZDDYMG-STQMWFEESA-N 0 0 291.351 2.551 20 5 CFBDRN CO[C@H](C)C[N@H+](Cc1ccccc1[N+](=O)[O-])C(C)C ZINC000353771982 394036615 /nfs/dbraw/zinc/03/66/15/394036615.db2.gz YERUQAJYENBSEK-GFCCVEGCSA-N 0 0 266.341 2.840 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1nn(C)cc1Cl ZINC000421178097 394178580 /nfs/dbraw/zinc/17/85/80/394178580.db2.gz BDRCNOSAGAPNSD-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000282023600 394112049 /nfs/dbraw/zinc/11/20/49/394112049.db2.gz QSENZLRXJQCLMI-MRVPVSSYSA-N 0 0 288.298 2.738 20 5 CFBDRN CO[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000282041725 394114694 /nfs/dbraw/zinc/11/46/94/394114694.db2.gz ZWDYBOPPOQAKBY-GXFFZTMASA-N 0 0 293.323 2.626 20 5 CFBDRN Cc1ccc(C(=O)NCCc2ccccc2[N+](=O)[O-])s1 ZINC000358900474 394115187 /nfs/dbraw/zinc/11/51/87/394115187.db2.gz KVXJVDNMAAZXLX-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2C)CCO1 ZINC000420614686 394120642 /nfs/dbraw/zinc/12/06/42/394120642.db2.gz BAFOPVGHMURRKX-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@H]1CCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000130947650 394122554 /nfs/dbraw/zinc/12/25/54/394122554.db2.gz IOUMJYRWCGXENK-GUOLPTJISA-N 0 0 274.320 2.867 20 5 CFBDRN COc1c(O)cccc1/C=C\c1ccc([N+](=O)[O-])cn1 ZINC000439512764 394122969 /nfs/dbraw/zinc/12/29/69/394122969.db2.gz MAQOBWAOASMXOT-WAYWQWQTSA-N 0 0 272.260 2.874 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)c1ncccc1F ZINC000131007767 394123350 /nfs/dbraw/zinc/12/33/50/394123350.db2.gz CFRMJHYWQOPCTF-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000439711734 394127578 /nfs/dbraw/zinc/12/75/78/394127578.db2.gz QTGFMOZAZRUYSO-GXSJLCMTSA-N 0 0 270.304 2.943 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2cccc(C)c2[N+](=O)[O-])nc1 ZINC000131408943 394132241 /nfs/dbraw/zinc/13/22/41/394132241.db2.gz ATRXNILGFIIPGK-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN COCCSCc1c(F)cc([N+](=O)[O-])cc1F ZINC000285324210 394141924 /nfs/dbraw/zinc/14/19/24/394141924.db2.gz YBZKQHLCIVQNRY-UHFFFAOYSA-N 0 0 263.265 2.753 20 5 CFBDRN O=C(/C=C/[C@H]1CCOC1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000493086296 394143565 /nfs/dbraw/zinc/14/35/65/394143565.db2.gz QRXVWLYPTDWMOZ-MOVJSRMASA-N 0 0 296.710 2.779 20 5 CFBDRN Cc1cc(N2CC[C@](C)(F)C2)c(F)cc1[N+](=O)[O-] ZINC000360064852 394144474 /nfs/dbraw/zinc/14/44/74/394144474.db2.gz FEZIIRPIFKKQBO-LBPRGKRZSA-N 0 0 256.252 2.981 20 5 CFBDRN Cc1cc(C(=O)Nc2ccncc2F)ccc1[N+](=O)[O-] ZINC000132029915 394146647 /nfs/dbraw/zinc/14/66/47/394146647.db2.gz HODGNPKILSNUDQ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000493182757 394171633 /nfs/dbraw/zinc/17/16/33/394171633.db2.gz DHYUKCBKERJUQU-SLGIHZDVSA-N 0 0 288.225 2.675 20 5 CFBDRN CO[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])CC[C@H]1C ZINC000286708000 394199713 /nfs/dbraw/zinc/19/97/13/394199713.db2.gz OUIPOOYRVKQRQP-BXKDBHETSA-N 0 0 268.288 2.595 20 5 CFBDRN C[C@H](CF)NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000287272042 394203362 /nfs/dbraw/zinc/20/33/62/394203362.db2.gz BNVNUAFFTABWEJ-LLVKDONJSA-N 0 0 297.330 2.575 20 5 CFBDRN CNC(=O)c1ccc(NCCCC2CC2)c([N+](=O)[O-])c1 ZINC000134830906 394205625 /nfs/dbraw/zinc/20/56/25/394205625.db2.gz WALNQFLPLFIGBG-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN Cc1nn(C)cc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000291689310 394256565 /nfs/dbraw/zinc/25/65/65/394256565.db2.gz IVCQVDVRDRYFPO-UHFFFAOYSA-N 0 0 288.351 2.662 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1C[C@H](CO)c2ccccc21 ZINC000364435081 394212227 /nfs/dbraw/zinc/21/22/27/394212227.db2.gz JVCYLKCXTKABBV-MFKMUULPSA-N 0 0 285.303 2.623 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493333847 394214349 /nfs/dbraw/zinc/21/43/49/394214349.db2.gz KUIYVAZAKFPOOX-GGCNOCFKSA-N 0 0 260.293 2.523 20 5 CFBDRN CC(C)OCCCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000135344680 394218453 /nfs/dbraw/zinc/21/84/53/394218453.db2.gz SYISKZYEVQTYPN-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN Cc1nc(NC(=O)/C=C/C2CCCC2)ccc1[N+](=O)[O-] ZINC000493352256 394219593 /nfs/dbraw/zinc/21/95/93/394219593.db2.gz MOJQHDNJAOECID-RMKNXTFCSA-N 0 0 275.308 2.983 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])ccc1SCC ZINC000135893229 394227987 /nfs/dbraw/zinc/22/79/87/394227987.db2.gz YDBDZQJEVSCSLI-UHFFFAOYSA-N 0 0 255.295 2.884 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1CF ZINC000493389028 394228801 /nfs/dbraw/zinc/22/88/01/394228801.db2.gz PIODPTVRIPVCBO-KTRBRXNASA-N 0 0 278.283 2.569 20 5 CFBDRN COC(=O)CCSc1cc(C)c([N+](=O)[O-])cc1F ZINC000291041912 394236262 /nfs/dbraw/zinc/23/62/62/394236262.db2.gz YKFVITYTHAPRDR-UHFFFAOYSA-N 0 0 273.285 2.698 20 5 CFBDRN CC1=C(C(=O)N(C)c2cccc([N+](=O)[O-])c2)SCCO1 ZINC000291524956 394240976 /nfs/dbraw/zinc/24/09/76/394240976.db2.gz SHFPMBBXVMHIHR-UHFFFAOYSA-N 0 0 294.332 2.553 20 5 CFBDRN CC(C)CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000133950337 394185299 /nfs/dbraw/zinc/18/52/99/394185299.db2.gz RIWVNTAERYQPEB-UHFFFAOYSA-N 0 0 291.351 2.925 20 5 CFBDRN CC(C)CNC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000133960292 394185589 /nfs/dbraw/zinc/18/55/89/394185589.db2.gz HONSYCVIIKELQQ-UHFFFAOYSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1nnc(NCCc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000286101680 394192121 /nfs/dbraw/zinc/19/21/21/394192121.db2.gz CYINBGQXQSGCOS-UHFFFAOYSA-N 0 0 282.687 2.594 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1c([N+](=O)[O-])c(C)nn1CC)OC ZINC000362977090 394192956 /nfs/dbraw/zinc/19/29/56/394192956.db2.gz ONUSZWJVOQUVKI-KOLCDFICSA-N 0 0 284.360 2.593 20 5 CFBDRN CCC(CC)CNC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000134363515 394195206 /nfs/dbraw/zinc/19/52/06/394195206.db2.gz KYHSRAWTDKOIMC-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CC[C@@H](CCO)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000134440642 394196582 /nfs/dbraw/zinc/19/65/82/394196582.db2.gz GFHQDZXQUQGUHA-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000151071805 394260341 /nfs/dbraw/zinc/26/03/41/394260341.db2.gz MAWGJKUYBSPBKX-GOEBONIOSA-N 0 0 288.347 2.916 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCc1cccs1 ZINC000152116936 394271321 /nfs/dbraw/zinc/27/13/21/394271321.db2.gz IQOXGAQNBHICMT-UHFFFAOYSA-N 0 0 276.317 2.515 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CCC[C@@H]1F ZINC000493611342 394290444 /nfs/dbraw/zinc/29/04/44/394290444.db2.gz BWTWHALBIXSONN-GAEWNOSXSA-N 0 0 296.273 2.754 20 5 CFBDRN CCOC1(C)CN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000450581198 394296225 /nfs/dbraw/zinc/29/62/25/394296225.db2.gz NZVFRCAUJPJVRB-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@@H]1C[C@H]1C1CC1 ZINC000493650248 394299801 /nfs/dbraw/zinc/29/98/01/394299801.db2.gz CIGIAPDNIJFISQ-YMVSPQSISA-N 0 0 272.304 2.523 20 5 CFBDRN Cn1ccc(/C=C/C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c1 ZINC000493652052 394300486 /nfs/dbraw/zinc/30/04/86/394300486.db2.gz WYUABPCSOLSWQF-GORDUTHDSA-N 0 0 289.266 2.724 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)COc1cccc([N+](=O)[O-])c1 ZINC000450625401 394301477 /nfs/dbraw/zinc/30/14/77/394301477.db2.gz UFRHNLQIHOWQHO-AWEZNQCLSA-N 0 0 293.319 2.892 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCOC2(CCOCC2)C1 ZINC000493655530 394301493 /nfs/dbraw/zinc/30/14/93/394301493.db2.gz JVVVWYHYHNJZFF-GFCCVEGCSA-N 0 0 292.335 2.735 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N[C@H]1CC[C@@H](CO)C1 ZINC000450642853 394307015 /nfs/dbraw/zinc/30/70/15/394307015.db2.gz JBIJILAQEKXQLE-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])c(C(F)(F)F)c1)C1COC1 ZINC000450655570 394311155 /nfs/dbraw/zinc/31/11/55/394311155.db2.gz UDRCPHCLZNVBKD-UHFFFAOYSA-N 0 0 290.241 2.839 20 5 CFBDRN CN(CC(C)(C)CO)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000450662597 394313183 /nfs/dbraw/zinc/31/31/83/394313183.db2.gz WTOZSBKKEDPSPW-UHFFFAOYSA-N 0 0 272.732 2.703 20 5 CFBDRN CO[C@H]1CCCN(c2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000297156267 394314167 /nfs/dbraw/zinc/31/41/67/394314167.db2.gz OQNZWTQVEKFVTL-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN CCCc1nc(C)c(COc2cccnc2[N+](=O)[O-])o1 ZINC000450679611 394315958 /nfs/dbraw/zinc/31/59/58/394315958.db2.gz XADZUYJYYQWOJO-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN C[C@@]12CN(c3ccncc3[N+](=O)[O-])C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000450685867 394317322 /nfs/dbraw/zinc/31/73/22/394317322.db2.gz BSUORSCSYQXZCQ-YXMPFFBPSA-N 0 0 271.320 2.638 20 5 CFBDRN CC[C@@](C)(CNc1c2c(ccc1[N+](=O)[O-])NCC2)OC ZINC000450713451 394323359 /nfs/dbraw/zinc/32/33/59/394323359.db2.gz KBGHYBDIOWPONA-AWEZNQCLSA-N 0 0 279.340 2.790 20 5 CFBDRN CS[C@H]1CCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000493827544 394325164 /nfs/dbraw/zinc/32/51/64/394325164.db2.gz TULRLTOMWLTQIP-GFUIURDCSA-N 0 0 292.360 2.572 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@@H]1CCC[C@@H]1F ZINC000493845315 394328495 /nfs/dbraw/zinc/32/84/95/394328495.db2.gz CURVZCLPVNCDBY-RZRXIGATSA-N 0 0 278.283 2.615 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@@H](F)C1 ZINC000493852222 394329943 /nfs/dbraw/zinc/32/99/43/394329943.db2.gz VHZIDTKWYRVRGZ-TXNVCOODSA-N 0 0 296.273 2.708 20 5 CFBDRN CO[C@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C[C@H]1C ZINC000450774674 394339473 /nfs/dbraw/zinc/33/94/73/394339473.db2.gz OSZVYGVEFOTLFR-YPMHNXCESA-N 0 0 264.325 2.764 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C(C)C)[C@@H](CO)C(C)C)n1 ZINC000450775310 394339557 /nfs/dbraw/zinc/33/95/57/394339557.db2.gz UPHXDHARBQXUBH-JSGCOSHPSA-N 0 0 295.383 2.999 20 5 CFBDRN CN(C(=O)CC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000158099815 394354629 /nfs/dbraw/zinc/35/46/29/394354629.db2.gz CANAEGDUFACSSH-ZDUSSCGKSA-N 0 0 278.308 2.517 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CC[C@@H](F)C1 ZINC000451185325 394365846 /nfs/dbraw/zinc/36/58/46/394365846.db2.gz LKIUOTODOBLBFM-GFCCVEGCSA-N 0 0 282.315 2.926 20 5 CFBDRN C[C@H]([NH2+][C@H]1C[C@H](C)N(C2CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000166308511 394369682 /nfs/dbraw/zinc/36/96/82/394369682.db2.gz UJIRTFZIOFBGBM-OBJOEFQTSA-N 0 0 289.379 2.871 20 5 CFBDRN C[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)[C@H]1C ZINC000518721820 394371379 /nfs/dbraw/zinc/37/13/79/394371379.db2.gz DAZLRFBWPHDYOJ-BDAKNGLRSA-N 0 0 253.277 2.754 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1c1ncc([N+](=O)[O-])cc1F ZINC000518721825 394371531 /nfs/dbraw/zinc/37/15/31/394371531.db2.gz DAZLRFBWPHDYOJ-DTWKUNHWSA-N 0 0 253.277 2.754 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000519740087 394377142 /nfs/dbraw/zinc/37/71/42/394377142.db2.gz CDODYKVXMLIVEN-BXUZGUMPSA-N 0 0 276.336 2.955 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3sc(Cl)cc3C2)nc1 ZINC000519760556 394377554 /nfs/dbraw/zinc/37/75/54/394377554.db2.gz IABISAQYMOKUEI-UHFFFAOYSA-N 0 0 296.739 2.662 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000177858877 394384824 /nfs/dbraw/zinc/38/48/24/394384824.db2.gz RMCNZNNSZKBZIF-UMNHJUIQSA-N 0 0 262.309 2.736 20 5 CFBDRN COc1ccc(CNC(=O)[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000457450113 394459671 /nfs/dbraw/zinc/45/96/71/394459671.db2.gz HMZGCCMFFVWSRR-SNVBAGLBSA-N 0 0 292.335 2.656 20 5 CFBDRN C[C@H](NC(=O)CCc1cccnc1)c1ccccc1[N+](=O)[O-] ZINC000537133659 394576588 /nfs/dbraw/zinc/57/65/88/394576588.db2.gz KLRXNMLHRLOHSZ-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN CCOc1cc(N2[C@@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000530484219 394521351 /nfs/dbraw/zinc/52/13/51/394521351.db2.gz SQKHQFWLAKYNBJ-UWVGGRQHSA-N 0 0 250.298 2.981 20 5 CFBDRN C[C@@H]1CCCC[C@H]1N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531887535 394530688 /nfs/dbraw/zinc/53/06/88/394530688.db2.gz DYPPKWLSOJUREH-ZWNOBZJWSA-N 0 0 277.324 2.641 20 5 CFBDRN Cc1cnc(N[C@@H]2CN(C)Cc3ccccc32)c([N+](=O)[O-])c1 ZINC000536021579 394551995 /nfs/dbraw/zinc/55/19/95/394551995.db2.gz CQSWEPUKUBITEE-CQSZACIVSA-N 0 0 298.346 2.897 20 5 CFBDRN CCCN(C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1)C1CC1 ZINC000539412206 394625520 /nfs/dbraw/zinc/62/55/20/394625520.db2.gz BEHWVLQSTFUICS-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN CC(C)Cn1ncnc1COc1ccc(F)cc1[N+](=O)[O-] ZINC000539807657 394633801 /nfs/dbraw/zinc/63/38/01/394633801.db2.gz UKZLCCHBQBUSQC-UHFFFAOYSA-N 0 0 294.286 2.560 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)NCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000540643174 394650705 /nfs/dbraw/zinc/65/07/05/394650705.db2.gz ZLJDEHFEFKJAAX-VXGBXAGGSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1ccc(CCNc2ncnc3cccc([N+](=O)[O-])c32)o1 ZINC000543449312 394730326 /nfs/dbraw/zinc/73/03/26/394730326.db2.gz FIIMCMGIZQXWFU-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C[C@H]1C ZINC000542587812 394707734 /nfs/dbraw/zinc/70/77/34/394707734.db2.gz XSVXDVUCAHMDLJ-MXWKQRLJSA-N 0 0 277.324 2.544 20 5 CFBDRN Cc1ccccc1-c1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000544931743 394774146 /nfs/dbraw/zinc/77/41/46/394774146.db2.gz RBRUBEDJLKFIOG-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN COc1ccc(-c2nc([C@@H]3C[C@H]3C)no2)cc1[N+](=O)[O-] ZINC000545768126 394801651 /nfs/dbraw/zinc/80/16/51/394801651.db2.gz FRCWFTIXTLLORZ-VXNVDRBHSA-N 0 0 275.264 2.777 20 5 CFBDRN CCN(CCC1CC1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000546930673 394849649 /nfs/dbraw/zinc/84/96/49/394849649.db2.gz YVXZGGXAJAKDLX-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(C3Cc4ccccc4C3)no2)[nH]1 ZINC000547088462 394851573 /nfs/dbraw/zinc/85/15/73/394851573.db2.gz QDPOINRTPCMCEW-UHFFFAOYSA-N 0 0 296.286 2.855 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000547181268 394853523 /nfs/dbraw/zinc/85/35/23/394853523.db2.gz OFXIMTJUEWLEIH-KCJUWKMLSA-N 0 0 275.264 2.680 20 5 CFBDRN CCS[C@H](C)c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000547196759 394854505 /nfs/dbraw/zinc/85/45/05/394854505.db2.gz SIGKNSBHOPVZKK-SSDOTTSWSA-N 0 0 280.309 2.854 20 5 CFBDRN Cc1sc(C(=O)N(C)c2cnccc2C)cc1[N+](=O)[O-] ZINC000547337232 394859587 /nfs/dbraw/zinc/85/95/87/394859587.db2.gz TZFBFNJEIJWBRA-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN CC1(C)CC(CNC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000547752650 394887030 /nfs/dbraw/zinc/88/70/30/394887030.db2.gz JFYWUOAFJXZNHU-UHFFFAOYSA-N 0 0 268.338 2.822 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC2(C1)CCOCC2 ZINC000269398297 296499703 /nfs/dbraw/zinc/49/97/03/296499703.db2.gz UFQWGRAGMWCKNS-UHFFFAOYSA-N 0 0 297.742 2.650 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+][C@H]1C[C@H](O)C1(C)C ZINC000547979657 394900560 /nfs/dbraw/zinc/90/05/60/394900560.db2.gz TZSXLKKSIAAXRF-BPNCWPANSA-N 0 0 278.352 2.713 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1C2CCC1CC2 ZINC000548079890 394908522 /nfs/dbraw/zinc/90/85/22/394908522.db2.gz KWVFLYKMIDQPBM-UHFFFAOYSA-N 0 0 291.307 2.762 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccccc2[N+](=O)[O-])oc1C ZINC000548089782 394908697 /nfs/dbraw/zinc/90/86/97/394908697.db2.gz ZFJWCVIUOUZLIV-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN C[C@H](N(C)C(=O)c1ccc([N+](=O)[O-])cc1[O-])C(C)(C)C ZINC000548119437 394912209 /nfs/dbraw/zinc/91/22/09/394912209.db2.gz RIGUIGMFTAGDCT-VIFPVBQESA-N 0 0 280.324 2.807 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H]([C@H]2CCOC2)C1 ZINC000548285743 394920782 /nfs/dbraw/zinc/92/07/82/394920782.db2.gz XRTGBRWCVUQVJA-STQMWFEESA-N 0 0 276.336 2.766 20 5 CFBDRN C[C@H](C(=O)NC[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000548721596 394948191 /nfs/dbraw/zinc/94/81/91/394948191.db2.gz HXYCSPRDHIGTAE-WDEREUQCSA-N 0 0 294.376 2.568 20 5 CFBDRN CC(C)CC1(CNC(=O)c2c[nH]nc2[N+](=O)[O-])CCCC1 ZINC000548747424 394949304 /nfs/dbraw/zinc/94/93/04/394949304.db2.gz LDTGVZFDLRSAOM-UHFFFAOYSA-N 0 0 294.355 2.654 20 5 CFBDRN CCC[C@@H]1CCCC[C@H]1CNC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000556830128 395019969 /nfs/dbraw/zinc/01/99/69/395019969.db2.gz RTOKJOOPZYOISA-MNOVXSKESA-N 0 0 294.355 2.654 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000555222062 394968266 /nfs/dbraw/zinc/96/82/66/394968266.db2.gz QSPODOPZUSTXRQ-PWSUYJOCSA-N 0 0 254.261 2.632 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000555638125 394979493 /nfs/dbraw/zinc/97/94/93/394979493.db2.gz ZFMXYTMHJHOEHT-WBMYTEFPSA-N 0 0 274.320 2.613 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@H]1CCSC1 ZINC000557583847 395040145 /nfs/dbraw/zinc/04/01/45/395040145.db2.gz PSOZOLSJMZMMKF-VIFPVBQESA-N 0 0 296.348 2.607 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@@]12CCOC2 ZINC000420642016 296502539 /nfs/dbraw/zinc/50/25/39/296502539.db2.gz ODRPMICQNSAPJC-HNNXBMFYSA-N 0 0 285.303 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](C3CCOCC3)C2)cc1 ZINC000378280827 296507037 /nfs/dbraw/zinc/50/70/37/296507037.db2.gz GGOZPWNEGCNXJG-CYBMUJFWSA-N 0 0 276.336 2.848 20 5 CFBDRN COc1cc(-c2nc3ccc(C)nc3[nH]2)ccc1[N+](=O)[O-] ZINC000355901414 155132492 /nfs/dbraw/zinc/13/24/92/155132492.db2.gz BXGPXYMWXXYSCY-UHFFFAOYSA-N 0 0 284.275 2.850 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC[C@@H](O)CC1 ZINC000293945403 296510634 /nfs/dbraw/zinc/51/06/34/296510634.db2.gz GFFJHENLLNKDCY-SECBINFHSA-N 0 0 270.716 2.599 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC[C@](F)(CO)C1 ZINC000292957251 296510901 /nfs/dbraw/zinc/51/09/01/296510901.db2.gz GHZWJRFYBRPOKU-GFCCVEGCSA-N 0 0 288.706 2.549 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCO[C@@H](C2CC2)C1 ZINC000368394262 296510904 /nfs/dbraw/zinc/51/09/04/296510904.db2.gz UEZRMRBVLNRWBT-GFCCVEGCSA-N 0 0 282.727 2.863 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@@](O)(C2CC2)C1 ZINC000366617900 296510926 /nfs/dbraw/zinc/51/09/26/296510926.db2.gz IOHATKVUEDLDHX-ZDUSSCGKSA-N 0 0 282.727 2.599 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCSCC1 ZINC000292640703 296510954 /nfs/dbraw/zinc/51/09/54/296510954.db2.gz YVBLAUQABNVRRQ-UHFFFAOYSA-N 0 0 258.730 2.801 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC(C2CCC2)C1 ZINC000343246303 296511372 /nfs/dbraw/zinc/51/13/72/296511372.db2.gz DVSOUGYQSOBDQI-UHFFFAOYSA-N 0 0 250.273 2.970 20 5 CFBDRN CO[C@@H]1CCCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000287820034 285656149 /nfs/dbraw/zinc/65/61/49/285656149.db2.gz KFBLIFUUPFBBTD-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@@H]3CCc4ccccc4[C@@H]32)nc1 ZINC000273958855 296520688 /nfs/dbraw/zinc/52/06/88/296520688.db2.gz NSLQRTLJEVRVMV-HZSPNIEDSA-N 0 0 282.303 2.525 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCC[C@H]2F)c(F)c1 ZINC000413528774 296520713 /nfs/dbraw/zinc/52/07/13/296520713.db2.gz FHBWFUKEFUVTJD-PSASIEDQSA-N 0 0 257.240 2.822 20 5 CFBDRN O=c1c2ccccc2ccn1Cc1ccccc1[N+](=O)[O-] ZINC000171133922 296529275 /nfs/dbraw/zinc/52/92/75/296529275.db2.gz AOCOXKQFRKXYNP-UHFFFAOYSA-N 0 0 280.283 2.958 20 5 CFBDRN CO[C@@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)[C@H](C)C1 ZINC000281592884 285720668 /nfs/dbraw/zinc/72/06/68/285720668.db2.gz HDCDPVXGWRFHDA-PSASIEDQSA-N 0 0 286.278 2.877 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C)CC[C@H]1C ZINC000302551840 285775156 /nfs/dbraw/zinc/77/51/56/285775156.db2.gz KEIFGEKYTTZTCA-QMTHXVAHSA-N 0 0 264.325 2.764 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000428084112 397574028 /nfs/dbraw/zinc/57/40/28/397574028.db2.gz GPEHXBKOCZXUGC-QRJZZWFUSA-N 0 0 285.303 2.604 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])c2nccn21 ZINC000345352507 397655378 /nfs/dbraw/zinc/65/53/78/397655378.db2.gz JPHGRCBRRMYMOD-GXSJLCMTSA-N 0 0 258.281 2.909 20 5 CFBDRN Cc1ccc(-c2noc([C@@H](C)n3cccn3)n2)cc1[N+](=O)[O-] ZINC000355986000 155136664 /nfs/dbraw/zinc/13/66/64/155136664.db2.gz NQYSWZGTWDUJAE-SNVBAGLBSA-N 0 0 299.290 2.759 20 5 CFBDRN CC(C)Cc1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000356031185 155142403 /nfs/dbraw/zinc/14/24/03/155142403.db2.gz DLRFIWFJYUVSFW-UHFFFAOYSA-N 0 0 265.244 2.982 20 5 CFBDRN COc1cc(Cl)ccc1Cn1cnc([N+](=O)[O-])c1 ZINC000356038760 155146522 /nfs/dbraw/zinc/14/65/22/155146522.db2.gz ALGHYMORLSRXGI-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1cccc(C(F)F)c1 ZINC000356066144 155154896 /nfs/dbraw/zinc/15/48/96/155154896.db2.gz XYEFXLJNNRPRMD-UHFFFAOYSA-N 0 0 280.230 2.742 20 5 CFBDRN CO[C@H]1CCCN(c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000364192658 286042600 /nfs/dbraw/zinc/04/26/00/286042600.db2.gz VXALBLXAVJGMRD-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN CO[C@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000450774668 286062049 /nfs/dbraw/zinc/06/20/49/286062049.db2.gz OSZVYGVEFOTLFR-AAEUAGOBSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CC[C@H]1C ZINC000276079912 286074143 /nfs/dbraw/zinc/07/41/43/286074143.db2.gz UJIXUYFXGOKISX-PELKAZGASA-N 0 0 286.278 2.734 20 5 CFBDRN CO[C@H]1CN(c2cccc(C)c2[N+](=O)[O-])CC[C@H]1C ZINC000275818655 286075186 /nfs/dbraw/zinc/07/51/86/286075186.db2.gz FFOMBVZNRNUOMH-MFKMUULPSA-N 0 0 264.325 2.764 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])n1C)C1CCCCC1 ZINC000356307928 155218770 /nfs/dbraw/zinc/21/87/70/155218770.db2.gz SSPSCQHRUCPCBX-SNVBAGLBSA-N 0 0 279.340 2.632 20 5 CFBDRN CCC[C@@H](CNc1ccc2cc([N+](=O)[O-])ccc2n1)OC ZINC000356425517 155261413 /nfs/dbraw/zinc/26/14/13/155261413.db2.gz YSWRBVIOVZZHCJ-ZDUSSCGKSA-N 0 0 289.335 2.792 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000280409417 286161864 /nfs/dbraw/zinc/16/18/64/286161864.db2.gz JAUREKKXFQXGQI-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN COc1c(C(=O)N2C[C@H](C)C[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000331022838 286176583 /nfs/dbraw/zinc/17/65/83/286176583.db2.gz LUAFYFLSIYVAQU-PHIMTYICSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1cc(C(=O)N2CCCC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000186878121 286193640 /nfs/dbraw/zinc/19/36/40/286193640.db2.gz MIAMGANTLXMGNF-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000294163737 286194465 /nfs/dbraw/zinc/19/44/65/286194465.db2.gz BUYIONFAQXZYAF-VIFPVBQESA-N 0 0 296.298 2.615 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000078006237 286197679 /nfs/dbraw/zinc/19/76/79/286197679.db2.gz ZBZVCGHWQCMGOI-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc(C)nc(N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000341003320 286215641 /nfs/dbraw/zinc/21/56/41/286215641.db2.gz REJJXBAQTYHPRU-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000301657844 286230485 /nfs/dbraw/zinc/23/04/85/286230485.db2.gz VZTBLUITWIASFL-IUCAKERBSA-N 0 0 268.288 2.977 20 5 CFBDRN CCC(=O)c1ccc(OCc2c([N+](=O)[O-])ncn2C)cc1 ZINC000356562501 155302344 /nfs/dbraw/zinc/30/23/44/155302344.db2.gz DSUKBLPIRSHBPP-UHFFFAOYSA-N 0 0 289.291 2.500 20 5 CFBDRN C[C@H](F)CCNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000356654850 155326355 /nfs/dbraw/zinc/32/63/55/155326355.db2.gz QJDHGPHFTNRTSO-ZETCQYMHSA-N 0 0 274.679 2.726 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H]2C)c1 ZINC000356719451 155338828 /nfs/dbraw/zinc/33/88/28/155338828.db2.gz BGWIXKTZAYZTNF-KCJUWKMLSA-N 0 0 280.349 2.845 20 5 CFBDRN CC[C@H](O)CCCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000356743350 155349209 /nfs/dbraw/zinc/34/92/09/155349209.db2.gz NBWBEGGSOPZFKR-LBPRGKRZSA-N 0 0 252.314 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nc(Cc3ccon3)no2)cc1 ZINC000356753316 155353421 /nfs/dbraw/zinc/35/34/21/155353421.db2.gz GSAYPVODCXKPOT-ZZXKWVIFSA-N 0 0 298.258 2.727 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC[C@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000356752164 155354425 /nfs/dbraw/zinc/35/44/25/155354425.db2.gz WJMGMBUOKLTAKH-VYUIOLGVSA-N 0 0 280.299 2.752 20 5 CFBDRN Cc1cc(-c2nc(Cc3ccon3)no2)cc([N+](=O)[O-])c1 ZINC000356762883 155357399 /nfs/dbraw/zinc/35/73/99/155357399.db2.gz KQCYALAPWWPWNI-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000356769221 155361353 /nfs/dbraw/zinc/36/13/53/155361353.db2.gz XXPRYORRZAPBEX-SCZZXKLOSA-N 0 0 280.349 2.845 20 5 CFBDRN COC(=O)CCN(CC(C)C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000356817037 155378794 /nfs/dbraw/zinc/37/87/94/155378794.db2.gz OKBFEQDOZYLTBG-UHFFFAOYSA-N 0 0 294.351 2.929 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCSC[C@H](C)C2)c1 ZINC000277662289 292282283 /nfs/dbraw/zinc/28/22/83/292282283.db2.gz ZGPJWJSUFSCNRG-LLVKDONJSA-N 0 0 294.376 2.728 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H](C)[C@@H]2C)c1 ZINC000268784096 292287303 /nfs/dbraw/zinc/28/73/03/292287303.db2.gz DGMGBAQKZSOQLQ-QWRGUYRKSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)n1 ZINC000178265438 292289942 /nfs/dbraw/zinc/28/99/42/292289942.db2.gz OXPRCALEAHKZQB-WZRBSPASSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](C3CCOCC3)C2)n1 ZINC000377164996 292288122 /nfs/dbraw/zinc/28/81/22/292288122.db2.gz LEQNSBQXAMAHFV-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCCCCC2)c1 ZINC000049031424 286381086 /nfs/dbraw/zinc/38/10/86/286381086.db2.gz JZCNGIFWYZMJMW-UHFFFAOYSA-N 0 0 278.308 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C)=C[C@H](C)C1 ZINC000335698267 292291969 /nfs/dbraw/zinc/29/19/69/292291969.db2.gz ONNICXQESNYMID-JTQLQIEISA-N 0 0 274.320 2.941 20 5 CFBDRN CN(Cc1ncc[nH]1)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000356861551 155398563 /nfs/dbraw/zinc/39/85/63/155398563.db2.gz OMDSOXHCKHJEGC-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCOC[C@H]1C ZINC000193868434 292293701 /nfs/dbraw/zinc/29/37/01/292293701.db2.gz KUUXURBGKHWDJE-ZYHUDNBSSA-N 0 0 278.308 2.514 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC=C(Cl)C2)c1 ZINC000825339488 782008464 /nfs/dbraw/zinc/00/84/64/782008464.db2.gz NFKBUBGHXLPFKS-UHFFFAOYSA-N 0 0 280.711 2.872 20 5 CFBDRN CCN(CCO)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000343264957 154160932 /nfs/dbraw/zinc/16/09/32/154160932.db2.gz ZBWRIAMGVCOMPS-UHFFFAOYSA-N 0 0 282.340 2.591 20 5 CFBDRN CCn1nccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000343378753 154190565 /nfs/dbraw/zinc/19/05/65/154190565.db2.gz JKFFWKFKMWEVOB-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN CCc1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000345608300 154219086 /nfs/dbraw/zinc/21/90/86/154219086.db2.gz UTCCZZPADHLHDA-UHFFFAOYSA-N 0 0 253.645 2.861 20 5 CFBDRN Cc1cnccc1-c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000345652863 154232722 /nfs/dbraw/zinc/23/27/22/154232722.db2.gz QNSGYWROPKFQMF-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(COc3ccccc3)no2)c1 ZINC000345668283 154234695 /nfs/dbraw/zinc/23/46/95/154234695.db2.gz FFEYOJGSFULBBY-UHFFFAOYSA-N 0 0 286.247 2.552 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000345801435 154270208 /nfs/dbraw/zinc/27/02/08/154270208.db2.gz LOWHVIBKUCNJNA-GHMZBOCLSA-N 0 0 276.336 2.992 20 5 CFBDRN CC(C)c1ccc(CNCc2ccn(C)n2)cc1[N+](=O)[O-] ZINC000345820956 154275127 /nfs/dbraw/zinc/27/51/27/154275127.db2.gz CUZUWLOFHZSUQJ-UHFFFAOYSA-N 0 0 288.351 2.742 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c(C)n1 ZINC000348008220 154286441 /nfs/dbraw/zinc/28/64/41/154286441.db2.gz MCZGPEUCFMPGON-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC[C@H](C)[C@@H](NCc1cccc([N+](=O)[O-])c1C)C(=O)OC ZINC000348014061 154287127 /nfs/dbraw/zinc/28/71/27/154287127.db2.gz WHIQDQZNPFYWQC-IINYFYTJSA-N 0 0 294.351 2.581 20 5 CFBDRN CC(C)(C(=O)Nc1cccc([N+](=O)[O-])c1)C(F)F ZINC000348024020 154290873 /nfs/dbraw/zinc/29/08/73/154290873.db2.gz ZEFYZGRSEKTUCC-UHFFFAOYSA-N 0 0 258.224 2.825 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2C[C@@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000348058667 154300999 /nfs/dbraw/zinc/30/09/99/154300999.db2.gz AAKXWSINSCZELD-CBAPKCEASA-N 0 0 285.250 2.678 20 5 CFBDRN Cc1cc(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)ccc1Cl ZINC000348082641 154311193 /nfs/dbraw/zinc/31/11/93/154311193.db2.gz VXAUBPXNEKTRIG-UHFFFAOYSA-N 0 0 293.710 2.815 20 5 CFBDRN COC1CC(N(C)C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000348160109 154344501 /nfs/dbraw/zinc/34/45/01/154344501.db2.gz XXIWTRYKLJRPPB-UHFFFAOYSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CSC[C@H]2C)cc1[N+](=O)[O-] ZINC000348174598 154348707 /nfs/dbraw/zinc/34/87/07/154348707.db2.gz WJBLDCBUCBWXHH-KOLCDFICSA-N 0 0 295.364 2.776 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CSC[C@@H]2C)cc1[N+](=O)[O-] ZINC000348174601 154348744 /nfs/dbraw/zinc/34/87/44/154348744.db2.gz WJBLDCBUCBWXHH-ONGXEEELSA-N 0 0 295.364 2.776 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@@H]1C ZINC000348173066 154349056 /nfs/dbraw/zinc/34/90/56/154349056.db2.gz UGBCCWUNLXNGHG-WPRPVWTQSA-N 0 0 279.296 2.523 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ncc(C)o1 ZINC000348268939 154388946 /nfs/dbraw/zinc/38/89/46/154388946.db2.gz PUHYVGIIGYVYGT-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000352159414 154487030 /nfs/dbraw/zinc/48/70/30/154487030.db2.gz WTNMDDGVUJVFAN-UHFFFAOYSA-N 0 0 290.241 2.548 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H](C)C(C)C ZINC000352374218 154548340 /nfs/dbraw/zinc/54/83/40/154548340.db2.gz YQSNJERVEFHVDK-JTQLQIEISA-N 0 0 279.340 2.658 20 5 CFBDRN CCO[C@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000049776445 286448459 /nfs/dbraw/zinc/44/84/59/286448459.db2.gz YKOFOBBTHWSYEQ-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000354818144 154823570 /nfs/dbraw/zinc/82/35/70/154823570.db2.gz YVGZLHHHMUZFBO-ONGXEEELSA-N 0 0 285.303 2.550 20 5 CFBDRN CCN(CCC1CC1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000354838985 154831548 /nfs/dbraw/zinc/83/15/48/154831548.db2.gz CEJNTGJWRHYMDY-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CC(C)N(Cc1cccs1)Cc1c([N+](=O)[O-])ncn1C ZINC000355557997 155040579 /nfs/dbraw/zinc/04/05/79/155040579.db2.gz TYMWDXJHOCENCK-UHFFFAOYSA-N 0 0 294.380 2.800 20 5 CFBDRN Cc1cccnc1-c1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000355823397 155098168 /nfs/dbraw/zinc/09/81/68/155098168.db2.gz LPOUXVMSJAUFBT-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ncccc1[N+](=O)[O-])CCO2 ZINC000286432137 292328258 /nfs/dbraw/zinc/32/82/58/292328258.db2.gz RVFWWZYSENUUGY-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCC[C@@H](O)C(F)(F)F ZINC000358493630 155781224 /nfs/dbraw/zinc/78/12/24/155781224.db2.gz GYAAXUKGISJEOS-SECBINFHSA-N 0 0 298.648 2.973 20 5 CFBDRN CCc1noc(-c2ccc(OC(F)F)c([N+](=O)[O-])c2)n1 ZINC000358882579 155905298 /nfs/dbraw/zinc/90/52/98/155905298.db2.gz QBOXQLDBAPTFNB-UHFFFAOYSA-N 0 0 285.206 2.809 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1ccccc1F ZINC000358909719 155912034 /nfs/dbraw/zinc/91/20/34/155912034.db2.gz VOWITYFSCBWWTP-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2[C@@H](C)C2(F)F)cc1[N+](=O)[O-] ZINC000359087885 155981718 /nfs/dbraw/zinc/98/17/18/155981718.db2.gz OFJOQJDRYJQOPM-GMSGAONNSA-N 0 0 270.235 2.743 20 5 CFBDRN Cc1c(CNC(=O)NC(C)(C)C)cccc1[N+](=O)[O-] ZINC000359153371 156005929 /nfs/dbraw/zinc/00/59/29/156005929.db2.gz LEDZYHNSLADHEQ-UHFFFAOYSA-N 0 0 265.313 2.501 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2C[C@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359154781 156007665 /nfs/dbraw/zinc/00/76/65/156007665.db2.gz AUKIETCVASZNDP-KGLIPLIRSA-N 0 0 284.315 2.869 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@@H]1C[C@H]1c1ccccc1 ZINC000359168036 156010242 /nfs/dbraw/zinc/01/02/42/156010242.db2.gz BBMDNWQOUYANSL-JSGCOSHPSA-N 0 0 270.288 2.560 20 5 CFBDRN CC1(C)C(NC(=O)Cc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000360192458 156047139 /nfs/dbraw/zinc/04/71/39/156047139.db2.gz DALVTEJPOMIVDZ-UHFFFAOYSA-N 0 0 276.336 2.688 20 5 CFBDRN CCOc1cc(N(CC)C[C@H](C)OC)ccc1[N+](=O)[O-] ZINC000360218199 156055713 /nfs/dbraw/zinc/05/57/13/156055713.db2.gz MQQHPEVRRFZWOI-NSHDSACASA-N 0 0 282.340 2.855 20 5 CFBDRN CCC[C@@H]1C[C@H]1c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000361254409 156071410 /nfs/dbraw/zinc/07/14/10/156071410.db2.gz YBYSPHKPWGKIJT-RKDXNWHRSA-N 0 0 291.311 2.590 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)Cc1ccncc1 ZINC000362739803 156077501 /nfs/dbraw/zinc/07/75/01/156077501.db2.gz FHOQVHYVCUSNPY-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN CCCCC[C@@H]1CCCN1c1c([N+](=O)[O-])ncn1C ZINC000362998458 156140727 /nfs/dbraw/zinc/14/07/27/156140727.db2.gz XAAQYGZSTGYSPO-LLVKDONJSA-N 0 0 266.345 2.877 20 5 CFBDRN Cc1cc(C)cc([C@@H]2CCCN2C(=O)[C@@H]2C[C@@H]2[N+](=O)[O-])c1 ZINC000363064403 156145672 /nfs/dbraw/zinc/14/56/72/156145672.db2.gz ZOMFNHDQONUBDJ-ILXRZTDVSA-N 0 0 288.347 2.632 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccco1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000363237069 156164223 /nfs/dbraw/zinc/16/42/23/156164223.db2.gz DKAQHQFGLWHRFA-VXGBXAGGSA-N 0 0 298.298 2.881 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1COc2ccccc21 ZINC000366947341 156258562 /nfs/dbraw/zinc/25/85/62/156258562.db2.gz OZTBHIJCXMIRTG-GFCCVEGCSA-N 0 0 299.282 2.985 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@@H](Nc2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000367154222 156289490 /nfs/dbraw/zinc/28/94/90/156289490.db2.gz RZRRFMHDFMTGKC-SZEHBUNVSA-N 0 0 283.353 2.667 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(Cc3ccccc3)C2)s1 ZINC000367154859 156289816 /nfs/dbraw/zinc/28/98/16/156289816.db2.gz YAJNGIROVKKTFX-UHFFFAOYSA-N 0 0 275.333 2.730 20 5 CFBDRN C[C@H]1CCOCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000367722394 156381578 /nfs/dbraw/zinc/38/15/78/156381578.db2.gz LKWIVIPVVVLOAE-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC12CCC(CC1)C2 ZINC000368176080 156446051 /nfs/dbraw/zinc/44/60/51/156446051.db2.gz XNMBZGCULSFECD-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC2(CC2)CC1 ZINC000368472461 156490951 /nfs/dbraw/zinc/49/09/51/156490951.db2.gz XOKSWPKXDPOZKZ-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1nc(N[C@@H]2[C@H]3CCCO[C@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000370531932 156697260 /nfs/dbraw/zinc/69/72/60/156697260.db2.gz VMVSWUQFGIIHDF-LERXQTSPSA-N 0 0 291.351 2.914 20 5 CFBDRN CCOc1cccc(N2CC[C@H](O)C(C)(C)C2)c1[N+](=O)[O-] ZINC000275531241 286573548 /nfs/dbraw/zinc/57/35/48/286573548.db2.gz YDZAGRXORLBKAN-ZDUSSCGKSA-N 0 0 294.351 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CCCCC[C@H]32)s1 ZINC000374413409 157110687 /nfs/dbraw/zinc/11/06/87/157110687.db2.gz CFZLSKWRPXTCBC-DTWKUNHWSA-N 0 0 253.327 2.820 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC2(CCO2)CC1 ZINC000374487710 157121334 /nfs/dbraw/zinc/12/13/34/157121334.db2.gz IUOWWMBZROZUGT-UHFFFAOYSA-N 0 0 292.335 2.501 20 5 CFBDRN CNC(=O)c1ccc(NC23CCC(CC2)C3)c([N+](=O)[O-])c1 ZINC000374594465 157134420 /nfs/dbraw/zinc/13/44/20/157134420.db2.gz MNOZTNPRHBBSOB-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC12CCC(CC1)C2 ZINC000374598722 157135750 /nfs/dbraw/zinc/13/57/50/157135750.db2.gz XZUILPYZFGSZDX-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](Cc2ccncc2)C1 ZINC000374675075 157146287 /nfs/dbraw/zinc/14/62/87/157146287.db2.gz CTUIJZIILRIFJA-CQSZACIVSA-N 0 0 298.346 2.762 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(F)(c3ccccc3)C2)s1 ZINC000376236084 157337427 /nfs/dbraw/zinc/33/74/27/157337427.db2.gz BCSIPKBEEVGMNO-UHFFFAOYSA-N 0 0 279.296 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(F)(c3ccccc3)C2)nc1 ZINC000376237841 157337768 /nfs/dbraw/zinc/33/77/68/157337768.db2.gz ZGIHRFGIIZMJNJ-UHFFFAOYSA-N 0 0 273.267 2.675 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)n1 ZINC000408171225 157383730 /nfs/dbraw/zinc/38/37/30/157383730.db2.gz YSZBXDHSPJOWIG-CYZMBNFOSA-N 0 0 291.351 2.832 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CS[C@H](C)C2)c1[N+](=O)[O-] ZINC000334803060 292428059 /nfs/dbraw/zinc/42/80/59/292428059.db2.gz LEMPQTKONAQDCY-NXEZZACHSA-N 0 0 280.349 2.527 20 5 CFBDRN CC1CCC(NC(=O)c2cc(F)cc([N+](=O)[O-])c2N)CC1 ZINC000048244712 161500867 /nfs/dbraw/zinc/50/08/67/161500867.db2.gz KCJQOLGUPJDSNO-UHFFFAOYSA-N 0 0 295.314 2.625 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Nc1cnn(CC(F)F)c1 ZINC000342411144 161624174 /nfs/dbraw/zinc/62/41/74/161624174.db2.gz UUFHVOSBPRIIBW-UHFFFAOYSA-N 0 0 286.213 2.939 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCc1cccc(F)c1F ZINC000342549369 161652240 /nfs/dbraw/zinc/65/22/40/161652240.db2.gz SHBVXPIPXJOIME-UHFFFAOYSA-N 0 0 267.235 2.621 20 5 CFBDRN O=C(NC[C@@H]1COc2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000342656597 161680194 /nfs/dbraw/zinc/68/01/94/161680194.db2.gz PATOKVSWCKXXGO-GFCCVEGCSA-N 0 0 298.298 2.501 20 5 CFBDRN CC(C)(C)Oc1cc(N2CC[C@@](C)(O)C2)ccc1[N+](=O)[O-] ZINC000343429509 161765042 /nfs/dbraw/zinc/76/50/42/161765042.db2.gz SDSHJGNQXOSAOA-OAHLLOKOSA-N 0 0 294.351 2.733 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000343817986 161870487 /nfs/dbraw/zinc/87/04/87/161870487.db2.gz APWAOMGSDAYSFZ-GFCCVEGCSA-N 0 0 278.308 2.522 20 5 CFBDRN CCOc1cccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000343825996 161872782 /nfs/dbraw/zinc/87/27/82/161872782.db2.gz IZIGNLUIHVAYQM-UHFFFAOYSA-N 0 0 275.264 2.574 20 5 CFBDRN COc1cc(NCCc2cccnc2)c([N+](=O)[O-])cc1F ZINC000343836566 161874698 /nfs/dbraw/zinc/87/46/98/161874698.db2.gz BZJAMGSKHDUEJH-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN COc1cc(N[C@H]2CC2(C)C)c([N+](=O)[O-])cc1F ZINC000343904538 161891352 /nfs/dbraw/zinc/89/13/52/161891352.db2.gz AOZIACQRIDWWOD-NSHDSACASA-N 0 0 254.261 2.953 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000343962980 161900418 /nfs/dbraw/zinc/90/04/18/161900418.db2.gz PMJKEVHIABIFPW-RNCFNFMXSA-N 0 0 294.326 2.926 20 5 CFBDRN CCCN(CC(F)F)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344217222 161974691 /nfs/dbraw/zinc/97/46/91/161974691.db2.gz QLHOHXBSKRRALI-UHFFFAOYSA-N 0 0 290.241 2.851 20 5 CFBDRN Cc1ccccc1CN(C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000344316577 162007158 /nfs/dbraw/zinc/00/71/58/162007158.db2.gz WQMDRRONOPZWTP-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN C[N@H+]1CC=C(CNCc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000344368078 162024903 /nfs/dbraw/zinc/02/49/03/162024903.db2.gz VHGQDBKCHUXDSO-UHFFFAOYSA-N 0 0 295.770 2.600 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1C[C@@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000344373208 162027048 /nfs/dbraw/zinc/02/70/48/162027048.db2.gz KDTPSMXQZYXBGN-SWPVVBRQSA-N 0 0 299.277 2.609 20 5 CFBDRN CCCN(C[C@H]1CCCCO1)c1ccc([N+](=O)[O-])nc1 ZINC000344419367 162039236 /nfs/dbraw/zinc/03/92/36/162039236.db2.gz MWNXCQXNKGCTPE-CYBMUJFWSA-N 0 0 279.340 2.775 20 5 CFBDRN COc1cc(C)nc(N[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000344440132 162043427 /nfs/dbraw/zinc/04/34/27/162043427.db2.gz UQHKSEQSYRSQKX-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN CC(C)c1noc(CCCNc2ccc([N+](=O)[O-])nc2)n1 ZINC000344441177 162044247 /nfs/dbraw/zinc/04/42/47/162044247.db2.gz VPHJKWIAYXDWMB-UHFFFAOYSA-N 0 0 291.311 2.541 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ccc(F)cc2F)cn1 ZINC000344437918 162044835 /nfs/dbraw/zinc/04/48/35/162044835.db2.gz RJDBQZSLUMSLFW-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN CSc1ccc(C)c(NCc2c([N+](=O)[O-])ncn2C)c1 ZINC000344488892 162054500 /nfs/dbraw/zinc/05/45/00/162054500.db2.gz WSPNPLYSFCJNJS-UHFFFAOYSA-N 0 0 292.364 2.971 20 5 CFBDRN CO[C@H](CC[NH2+]Cc1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000344809526 162126682 /nfs/dbraw/zinc/12/66/82/162126682.db2.gz JMZLTORMRFTLAX-MRVPVSSYSA-N 0 0 298.286 2.713 20 5 CFBDRN CO[C@@H](CC[NH2+]Cc1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000344809528 162126716 /nfs/dbraw/zinc/12/67/16/162126716.db2.gz JMZLTORMRFTLAX-QMMMGPOBSA-N 0 0 298.286 2.713 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1N)C(C)(C)C ZINC000344935655 162151728 /nfs/dbraw/zinc/15/17/28/162151728.db2.gz MHAKXKQRCDQEQR-NSHDSACASA-N 0 0 279.340 2.732 20 5 CFBDRN CO[C@H](CCNc1nccc(C)c1[N+](=O)[O-])C(F)(F)F ZINC000344999968 162160686 /nfs/dbraw/zinc/16/06/86/162160686.db2.gz WZSSOBKTBOKWAT-MRVPVSSYSA-N 0 0 293.245 2.678 20 5 CFBDRN CCOc1cc(N2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000345083692 162176506 /nfs/dbraw/zinc/17/65/06/162176506.db2.gz VJHOJLVNWXOFFG-UHFFFAOYSA-N 0 0 272.251 2.839 20 5 CFBDRN COC[C@H](C)N(C)c1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000345125441 162183030 /nfs/dbraw/zinc/18/30/30/162183030.db2.gz XKMCVRQTKFETAE-NSHDSACASA-N 0 0 289.335 2.923 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000345252817 162211992 /nfs/dbraw/zinc/21/19/92/162211992.db2.gz GETIVMFUSAUQNK-DGCLKSJQSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1oc(-c2ccccc2)nc1Cn1nccc1[N+](=O)[O-] ZINC000345292082 162220252 /nfs/dbraw/zinc/22/02/52/162220252.db2.gz XRLDNXCBBQLIDT-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)NCCNc2ccc([N+](=O)[O-])cc2)C1 ZINC000345316728 162225711 /nfs/dbraw/zinc/22/57/11/162225711.db2.gz MGUZNDMSOBFVOU-RYUDHWBXSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccccn2)c1 ZINC000345412615 162244048 /nfs/dbraw/zinc/24/40/48/162244048.db2.gz NVFIXRIHTFMAPP-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000345464890 162251802 /nfs/dbraw/zinc/25/18/02/162251802.db2.gz DYBPSDPXPYWCFF-VHSXEESVSA-N 0 0 285.303 2.529 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCCCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000345482642 162256338 /nfs/dbraw/zinc/25/63/38/162256338.db2.gz UMXUFZBWSFYYPU-NWDGAFQWSA-N 0 0 277.324 2.622 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H](F)C2)c1 ZINC000345576529 162264164 /nfs/dbraw/zinc/26/41/64/162264164.db2.gz AQAUVCSJTTWYLH-IUCAKERBSA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1ncc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])s1 ZINC000345935795 162281267 /nfs/dbraw/zinc/28/12/67/162281267.db2.gz NPFHASHVULQIQB-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CN(Cc1c([N+](=O)[O-])ncn1C)c1ccc(F)c(Cl)c1 ZINC000345950234 162282529 /nfs/dbraw/zinc/28/25/29/162282529.db2.gz CCPSBOIPWDWWIR-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN Cc1ccc(-c2noc([C@@H]3CO[C@H](C)C3)n2)cc1[N+](=O)[O-] ZINC000345970531 162289043 /nfs/dbraw/zinc/28/90/43/162289043.db2.gz CIOYWPHQIFPLCB-KOLCDFICSA-N 0 0 289.291 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccncn2)cc1OC(F)F ZINC000346108665 162320540 /nfs/dbraw/zinc/32/05/40/162320540.db2.gz XMYPIYBFNWXVGH-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN CC(C)N(Cc1ccco1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000346344816 162389081 /nfs/dbraw/zinc/38/90/81/162389081.db2.gz DSSOGHYTUNFNCX-UHFFFAOYSA-N 0 0 277.280 2.567 20 5 CFBDRN CC(C)(C)c1ncn(CCOc2cccc([N+](=O)[O-])c2)n1 ZINC000346367436 162395031 /nfs/dbraw/zinc/39/50/31/162395031.db2.gz ILJQMRRUHPJGFA-UHFFFAOYSA-N 0 0 290.323 2.563 20 5 CFBDRN CC(C)CCCSCc1c([N+](=O)[O-])ncn1C ZINC000346727210 162500413 /nfs/dbraw/zinc/50/04/13/162500413.db2.gz UEZRMPDVYHHLPT-UHFFFAOYSA-N 0 0 257.359 2.998 20 5 CFBDRN CC(C)(c1nc(Cc2ccc([N+](=O)[O-])cn2)no1)C(F)F ZINC000346870265 162541337 /nfs/dbraw/zinc/54/13/37/162541337.db2.gz LVFOKXYCYUKQOO-UHFFFAOYSA-N 0 0 298.249 2.506 20 5 CFBDRN CCCCSc1ccc([N+](=O)[O-])cc1C(N)=O ZINC000347237532 162634313 /nfs/dbraw/zinc/63/43/13/162634313.db2.gz ULINHMAKMFTRGS-UHFFFAOYSA-N 0 0 254.311 2.586 20 5 CFBDRN O=C(COC1CCCC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347943085 162805229 /nfs/dbraw/zinc/80/52/29/162805229.db2.gz AVBJNYIZJQGELV-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN CCn1cc(CCOc2cc(C)c([N+](=O)[O-])cc2F)cn1 ZINC000347936938 162805769 /nfs/dbraw/zinc/80/57/69/162805769.db2.gz UPIJJBRRCVFONR-UHFFFAOYSA-N 0 0 293.298 2.880 20 5 CFBDRN COc1ccc(OCc2ccc(OC)nc2)c([N+](=O)[O-])c1 ZINC000348801017 162902547 /nfs/dbraw/zinc/90/25/47/162902547.db2.gz JFBVXLBBHLRPFQ-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN C[C@@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccon1 ZINC000349234731 162953877 /nfs/dbraw/zinc/95/38/77/162953877.db2.gz BDNPUWHOUNMZDK-MRVPVSSYSA-N 0 0 288.263 2.575 20 5 CFBDRN CC[C@@]1(O)CCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000349252398 162958311 /nfs/dbraw/zinc/95/83/11/162958311.db2.gz MBAIFKPUYFPBQD-GFCCVEGCSA-N 0 0 270.716 2.599 20 5 CFBDRN COc1ccc2c(c1)CCN2C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000349642791 162998989 /nfs/dbraw/zinc/99/89/89/162998989.db2.gz GRJFRJFQQQDHOY-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CNc2cccc(CO)c2)c(F)c1 ZINC000349766178 163012752 /nfs/dbraw/zinc/01/27/52/163012752.db2.gz CCIJVOKDVIVIHF-UHFFFAOYSA-N 0 0 294.257 2.977 20 5 CFBDRN CCOC(=O)CC[C@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000349831226 163021137 /nfs/dbraw/zinc/02/11/37/163021137.db2.gz KMJPMQWHWMHMJZ-VIFPVBQESA-N 0 0 284.337 2.814 20 5 CFBDRN Cc1cc(OCc2cncn2C)c(Cl)cc1[N+](=O)[O-] ZINC000349829064 163022022 /nfs/dbraw/zinc/02/20/22/163022022.db2.gz AJMZEEXXFDXODV-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(NC/C=C\c2ccccc2)nc1 ZINC000349865833 163029984 /nfs/dbraw/zinc/02/99/84/163029984.db2.gz BVCUSLLXCQDBIB-DAXSKMNVSA-N 0 0 255.277 2.537 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccnc3ccnn32)c1 ZINC000349868938 163030486 /nfs/dbraw/zinc/03/04/86/163030486.db2.gz PEPASKMESOCMID-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN COc1cc(COc2c(Cl)cncc2[N+](=O)[O-])ccn1 ZINC000349914116 163036095 /nfs/dbraw/zinc/03/60/95/163036095.db2.gz MBYHMIXCXMNMIX-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN O=C(COc1c(Cl)cncc1[N+](=O)[O-])C1CCCC1 ZINC000349916073 163037753 /nfs/dbraw/zinc/03/77/53/163037753.db2.gz QOMQMERYOSWJIO-UHFFFAOYSA-N 0 0 284.699 2.781 20 5 CFBDRN COc1ccc(COc2c(Cl)cncc2[N+](=O)[O-])cn1 ZINC000349915372 163037861 /nfs/dbraw/zinc/03/78/61/163037861.db2.gz NYHHZNSXRIKMRT-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CC[C@](C)(F)C1 ZINC000349958280 163042585 /nfs/dbraw/zinc/04/25/85/163042585.db2.gz AWWOCPXNILTMSF-ZDUSSCGKSA-N 0 0 252.289 2.837 20 5 CFBDRN CCc1ocnc1-c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000350391783 163075806 /nfs/dbraw/zinc/07/58/06/163075806.db2.gz SUYAZTBWXXKUMZ-UHFFFAOYSA-N 0 0 286.247 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3cncs3)no2)s1 ZINC000350470902 163085492 /nfs/dbraw/zinc/08/54/92/163085492.db2.gz DNCKWSRLWHTVJO-UHFFFAOYSA-N 0 0 294.317 2.754 20 5 CFBDRN CCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000350509994 163088956 /nfs/dbraw/zinc/08/89/56/163088956.db2.gz AYLSVJVIACHCMX-UHFFFAOYSA-N 0 0 289.291 2.964 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000350787693 163106152 /nfs/dbraw/zinc/10/61/52/163106152.db2.gz QMSBPOBAPSBSQK-LDYMZIIASA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2C[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000350785941 163106649 /nfs/dbraw/zinc/10/66/49/163106649.db2.gz KWFPERJSVIIXIW-BDAKNGLRSA-N 0 0 285.250 2.678 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](CO)c2ccsc2)n1 ZINC000351543720 163143006 /nfs/dbraw/zinc/14/30/06/163143006.db2.gz KPXSMKBOPCXBHY-NSHDSACASA-N 0 0 293.348 2.814 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000351548742 163145367 /nfs/dbraw/zinc/14/53/67/163145367.db2.gz TWECIFBPOYAJDH-DLRQAJBASA-N 0 0 250.298 2.642 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC(C)(C)C2)c1 ZINC000351566879 163148673 /nfs/dbraw/zinc/14/86/73/163148673.db2.gz GTNHMOAWTJSIDO-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000351570648 163148854 /nfs/dbraw/zinc/14/88/54/163148854.db2.gz ZJDHUCMSASDYES-LBPRGKRZSA-N 0 0 276.336 2.832 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000351570503 163148922 /nfs/dbraw/zinc/14/89/22/163148922.db2.gz WPENCPCEGFKUKZ-VIFPVBQESA-N 0 0 268.338 2.965 20 5 CFBDRN O=C(NCc1nccs1)Nc1ccc([N+](=O)[O-])cc1F ZINC000351650976 163165712 /nfs/dbraw/zinc/16/57/12/163165712.db2.gz ONNJAHXBRQXQRE-UHFFFAOYSA-N 0 0 296.283 2.512 20 5 CFBDRN COc1cc(COc2nc(C(C)C)no2)ccc1[N+](=O)[O-] ZINC000351822414 163199093 /nfs/dbraw/zinc/19/90/93/163199093.db2.gz NJNMMHQPQFTSPQ-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN CC(C)CN(C)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000351844198 163201304 /nfs/dbraw/zinc/20/13/04/163201304.db2.gz KDLCAKNKZWMGQB-UHFFFAOYSA-N 0 0 258.268 2.961 20 5 CFBDRN CC(C)(C)c1csc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000351839934 163201449 /nfs/dbraw/zinc/20/14/49/163201449.db2.gz WQYGMKPUBKXCMJ-UHFFFAOYSA-N 0 0 294.336 2.929 20 5 CFBDRN NC(=O)c1cccc(SCc2ccc([N+](=O)[O-])cc2)c1 ZINC000351898413 163213578 /nfs/dbraw/zinc/21/35/78/163213578.db2.gz PNXGOEBPYMVNHY-UHFFFAOYSA-N 0 0 288.328 2.986 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1ccc([N+](=O)[O-])c(OC)c1 ZINC000352778636 163233364 /nfs/dbraw/zinc/23/33/64/163233364.db2.gz LMHFLWKDACHMFF-OAHLLOKOSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1ccn(CCCCOC(C)(C)C)c(=O)c1[N+](=O)[O-] ZINC000352930705 163272050 /nfs/dbraw/zinc/27/20/50/163272050.db2.gz NCZVJCXMQDJOCN-UHFFFAOYSA-N 0 0 282.340 2.660 20 5 CFBDRN CCC(CC)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000353348974 163350126 /nfs/dbraw/zinc/35/01/26/163350126.db2.gz JLLPDORUPCXPBY-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCCC(C)(C)C1 ZINC000353381251 163353620 /nfs/dbraw/zinc/35/36/20/163353620.db2.gz MHSZJGMGBYTSOH-UHFFFAOYSA-N 0 0 291.351 2.951 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000353377442 163353804 /nfs/dbraw/zinc/35/38/04/163353804.db2.gz DIFJDWSEYNWXBF-CMPLNLGQSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C(C)C)C1 ZINC000353827677 163460674 /nfs/dbraw/zinc/46/06/74/163460674.db2.gz OSDAZODOJHBUAM-GFCCVEGCSA-N 0 0 291.351 2.806 20 5 CFBDRN Cc1cc(=O)c(OCc2ccc([N+](=O)[O-])cc2F)co1 ZINC000354134152 163529436 /nfs/dbraw/zinc/52/94/36/163529436.db2.gz OZYLEASNXPXULW-UHFFFAOYSA-N 0 0 279.223 2.575 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)c1ccccc1[N+](=O)[O-] ZINC000335950229 287119162 /nfs/dbraw/zinc/11/91/62/287119162.db2.gz BFWXOBQQHDGAFF-WDEREUQCSA-N 0 0 262.309 2.998 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000271423067 287120021 /nfs/dbraw/zinc/12/00/21/287120021.db2.gz LVVHVKDYQQKBCC-CMPLNLGQSA-N 0 0 291.351 2.722 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])CCCO1 ZINC000281016580 287175231 /nfs/dbraw/zinc/17/52/31/287175231.db2.gz ABKCHACMSNCIDZ-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])C[C@H](C)[S@@]1=O ZINC000286269244 287212440 /nfs/dbraw/zinc/21/24/40/287212440.db2.gz HEYAHVIOYIXLSR-XXRNEEKGSA-N 0 0 296.392 2.639 20 5 CFBDRN CC[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000264735700 287213124 /nfs/dbraw/zinc/21/31/24/287213124.db2.gz JSKUUBHQCTXMOH-VHSXEESVSA-N 0 0 268.288 2.738 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000284212407 196005259 /nfs/dbraw/zinc/00/52/59/196005259.db2.gz IGCQQGBHFJKUKZ-DGCLKSJQSA-N 0 0 274.320 2.813 20 5 CFBDRN Nc1ccc(C(=O)OCC2CCCCC2)cc1[N+](=O)[O-] ZINC000005228805 170077772 /nfs/dbraw/zinc/07/77/72/170077772.db2.gz PSXSYCLJBPWLKB-UHFFFAOYSA-N 0 0 278.308 2.914 20 5 CFBDRN Nc1ccc(C(=O)OCc2ccccc2)cc1[N+](=O)[O-] ZINC000005228618 170077862 /nfs/dbraw/zinc/07/78/62/170077862.db2.gz PNWBNZDQTRKWKT-UHFFFAOYSA-N 0 0 272.260 2.534 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1csc([N+](=O)[O-])c1 ZINC000006093065 170175599 /nfs/dbraw/zinc/17/55/99/170175599.db2.gz OCEVPKXCEMGRDZ-UHFFFAOYSA-N 0 0 293.260 2.817 20 5 CFBDRN Cc1cnc(NC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000006969128 170259533 /nfs/dbraw/zinc/25/95/33/170259533.db2.gz GCUSFAHCEAXPKF-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000007358644 170279368 /nfs/dbraw/zinc/27/93/68/170279368.db2.gz UUIBJEFLXMGSIN-BXUZGUMPSA-N 0 0 291.351 2.702 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)NC1CCCCC1 ZINC000007609000 170293209 /nfs/dbraw/zinc/29/32/09/170293209.db2.gz SPRFVXDBGIPNIB-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1 ZINC000007918310 170307609 /nfs/dbraw/zinc/30/76/09/170307609.db2.gz WIJDETDIOGYPNB-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CC(=O)c1ccc(N(C)Cc2cccnc2)c([N+](=O)[O-])c1 ZINC000008052243 170316523 /nfs/dbraw/zinc/31/65/23/170316523.db2.gz LDJWGQDJWUWDNO-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCCC2)c([N+](=O)[O-])c1 ZINC000008148959 170321408 /nfs/dbraw/zinc/32/14/08/170321408.db2.gz CEBWMLGXIUTRGL-UHFFFAOYSA-N 0 0 251.242 2.858 20 5 CFBDRN CCCCNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000008289584 170329149 /nfs/dbraw/zinc/32/91/49/170329149.db2.gz GFFBAUAICDSWGE-UHFFFAOYSA-N 0 0 277.324 2.699 20 5 CFBDRN O=[N+]([O-])c1c(NCCc2ccccc2)nc2sccn21 ZINC000008308461 170329884 /nfs/dbraw/zinc/32/98/84/170329884.db2.gz LKPVIVZJBHSAIS-UHFFFAOYSA-N 0 0 288.332 2.959 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2)o1 ZINC000008508843 170338473 /nfs/dbraw/zinc/33/84/73/170338473.db2.gz OACHGBPIFXJNOI-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000284394900 196046521 /nfs/dbraw/zinc/04/65/21/196046521.db2.gz ZHBZDFAPZMEVFJ-LLVKDONJSA-N 0 0 298.320 2.915 20 5 CFBDRN C[C@@H]1CCC(=O)[C@H](Oc2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000450955358 287244829 /nfs/dbraw/zinc/24/48/29/287244829.db2.gz CNYNEMJJTNWOKV-RDDDGLTNSA-N 0 0 284.699 2.780 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C)N(c2ncc([N+](=O)[O-])s2)C1 ZINC000336954608 288493432 /nfs/dbraw/zinc/49/34/32/288493432.db2.gz UEVYWIIJEMOQRA-IWSPIJDZSA-N 0 0 255.343 2.922 20 5 CFBDRN C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)N1CCCC1 ZINC000016445174 170697698 /nfs/dbraw/zinc/69/76/98/170697698.db2.gz XJCUPNWMUMRHEU-SECBINFHSA-N 0 0 297.742 2.671 20 5 CFBDRN CC[C@@](C)(CNc1ncc(C)cc1[N+](=O)[O-])OC ZINC000322657955 270297113 /nfs/dbraw/zinc/29/71/13/270297113.db2.gz ZJTMEDVSOZMFQU-LBPRGKRZSA-N 0 0 253.302 2.525 20 5 CFBDRN O=C(NCCc1ccccc1F)c1ccccc1[N+](=O)[O-] ZINC000018123289 170743538 /nfs/dbraw/zinc/74/35/38/170743538.db2.gz PHFPASUWNQQGOZ-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1ccc(F)cc1[N+](=O)[O-] ZINC000268062057 287249053 /nfs/dbraw/zinc/24/90/53/287249053.db2.gz YYVVEWSLFCEDIK-GXSJLCMTSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1cccc(C(=O)Nc2cccc(O)c2)c1[N+](=O)[O-] ZINC000020211893 171074544 /nfs/dbraw/zinc/07/45/44/171074544.db2.gz HGNJUSHNHROSIE-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN COc1ccc(COc2ccc([N+](=O)[O-])cc2C=O)cc1 ZINC000020362200 171160603 /nfs/dbraw/zinc/16/06/03/171160603.db2.gz WLKHBYSXCCEFEI-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccccc2F)nc1 ZINC000020548305 171245387 /nfs/dbraw/zinc/24/53/87/171245387.db2.gz GKUZIUGWVBWAHR-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN Cc1ccsc1CN(C)c1ccc([N+](=O)[O-])cn1 ZINC000022810495 171399795 /nfs/dbraw/zinc/39/97/95/171399795.db2.gz XJLRHEHDILOTKW-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000184385170 287255092 /nfs/dbraw/zinc/25/50/92/287255092.db2.gz VJIHUNVDKPOQFM-LLVKDONJSA-N 0 0 262.309 2.857 20 5 CFBDRN CSc1ccc(C(=O)NCC2CCC2)cc1[N+](=O)[O-] ZINC000026137971 171472742 /nfs/dbraw/zinc/47/27/42/171472742.db2.gz ALGRWWQGCGAGTC-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H]2[C@H]2CCCO2)cs1 ZINC000284847930 196143068 /nfs/dbraw/zinc/14/30/68/196143068.db2.gz RIYUBQDNOYANAS-NWDGAFQWSA-N 0 0 282.365 2.800 20 5 CFBDRN Cc1noc2ncc(C(=O)Nc3cccc([N+](=O)[O-])c3)cc12 ZINC000030809470 171623696 /nfs/dbraw/zinc/62/36/96/171623696.db2.gz SPONVILDRDDFFZ-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000033181696 171772840 /nfs/dbraw/zinc/77/28/40/171772840.db2.gz SUPUKKJJWFXWFY-DGCLKSJQSA-N 0 0 276.336 2.832 20 5 CFBDRN CCOC1CC(COc2cc(C=O)ccc2[N+](=O)[O-])C1 ZINC000285011107 196176887 /nfs/dbraw/zinc/17/68/87/196176887.db2.gz DFJKLIZPDZFEPB-UHFFFAOYSA-N 0 0 279.292 2.601 20 5 CFBDRN CCOC1CC(CNc2cc([N+](=O)[O-])ccc2OC)C1 ZINC000285029003 196181036 /nfs/dbraw/zinc/18/10/36/196181036.db2.gz DMFNIPPJHPFXON-UHFFFAOYSA-N 0 0 280.324 2.830 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nnsc2Cl)c1 ZINC000035163656 172024628 /nfs/dbraw/zinc/02/46/28/172024628.db2.gz AWLPLIMPTUKRMN-UHFFFAOYSA-N 0 0 271.685 2.679 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OCCC2OCCO2)cc1F ZINC000285060218 196188365 /nfs/dbraw/zinc/18/83/65/196188365.db2.gz GLTAXCKYRYNZSQ-UHFFFAOYSA-N 0 0 291.662 2.529 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H]1CCOC1 ZINC000035255719 172085026 /nfs/dbraw/zinc/08/50/26/172085026.db2.gz QOHXKQRXYWSQOA-MRVPVSSYSA-N 0 0 256.689 2.697 20 5 CFBDRN CCOC1CC(COc2cc([N+](=O)[O-])ccc2OC)C1 ZINC000285164257 196211364 /nfs/dbraw/zinc/21/13/64/196211364.db2.gz MTOLURSPLDIYAT-UHFFFAOYSA-N 0 0 281.308 2.797 20 5 CFBDRN O=C1CCC(COc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000285187001 196215980 /nfs/dbraw/zinc/21/59/80/196215980.db2.gz HYGQPWLMIXKMKN-UHFFFAOYSA-N 0 0 267.256 2.872 20 5 CFBDRN COCC1(NC(=O)Nc2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000285216597 196224058 /nfs/dbraw/zinc/22/40/58/196224058.db2.gz PBQHBHAVYVKZRY-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN CCCCNC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000285259900 196234309 /nfs/dbraw/zinc/23/43/09/196234309.db2.gz ZSMOFFKIMLROIY-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN CC[C@@H](O)COc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000285309151 196247842 /nfs/dbraw/zinc/24/78/42/196247842.db2.gz YXQUTNYZLQWYDJ-ZCFIWIBFSA-N 0 0 263.652 2.537 20 5 CFBDRN Cc1nn(C)c(NCc2ccc(Cl)cc2)c1[N+](=O)[O-] ZINC000035732516 172383831 /nfs/dbraw/zinc/38/38/31/172383831.db2.gz KTZKMAFOPSVESW-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cc1cc(N[C@@H](c2ncc[nH]2)C(C)C)ncc1[N+](=O)[O-] ZINC000285495768 196304091 /nfs/dbraw/zinc/30/40/91/196304091.db2.gz DZGKKGUHEDIBKW-GFCCVEGCSA-N 0 0 275.312 2.831 20 5 CFBDRN Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2F)n1 ZINC000037286114 173092342 /nfs/dbraw/zinc/09/23/42/173092342.db2.gz CVGSTAYAULJGRC-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COC(=O)c1ccccc1NCc1ccccc1[N+](=O)[O-] ZINC000037301517 173106961 /nfs/dbraw/zinc/10/69/61/173106961.db2.gz SBVICLRLKKRGDD-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN COC(=O)c1ccc(NCc2ccccc2[N+](=O)[O-])cc1 ZINC000037301656 173107104 /nfs/dbraw/zinc/10/71/04/173107104.db2.gz UXEVJGQRLOSBAH-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN COc1ncccc1CNc1ccccc1[N+](=O)[O-] ZINC000037396847 173176613 /nfs/dbraw/zinc/17/66/13/173176613.db2.gz UXFDDTYMCMGVNF-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN C[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)C[C@H](C)O1 ZINC000037403705 173180315 /nfs/dbraw/zinc/18/03/15/173180315.db2.gz WWYKYMHXJUODGV-AOOOYVTPSA-N 0 0 284.743 2.857 20 5 CFBDRN COc1ncccc1CNc1ccc([N+](=O)[O-])cc1F ZINC000037404411 173180762 /nfs/dbraw/zinc/18/07/62/173180762.db2.gz QWLVWVWKBVHEJC-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccncc2)c([N+](=O)[O-])c1 ZINC000037485732 173229454 /nfs/dbraw/zinc/22/94/54/173229454.db2.gz KFLFYYRFNVWOMX-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN COc1ncccc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000037650344 173601952 /nfs/dbraw/zinc/60/19/52/173601952.db2.gz WTSFKFIZKAIXKP-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN COc1ccc(CNCc2nc(C)cs2)cc1[N+](=O)[O-] ZINC000038091673 174105821 /nfs/dbraw/zinc/10/58/21/174105821.db2.gz FIUIKHZBVHMHDK-UHFFFAOYSA-N 0 0 293.348 2.658 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc[nH]n2)cc1C(F)(F)F ZINC000041016133 174450946 /nfs/dbraw/zinc/45/09/46/174450946.db2.gz PPRWQMPUJFQTQD-UHFFFAOYSA-N 0 0 286.213 2.949 20 5 CFBDRN C[C@@H](Cn1cccn1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000042106007 174637592 /nfs/dbraw/zinc/63/75/92/174637592.db2.gz VNDLAMNKHMWFHU-VIFPVBQESA-N 0 0 280.715 2.945 20 5 CFBDRN COC(=O)c1ccc(CNc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000043570110 175067842 /nfs/dbraw/zinc/06/78/42/175067842.db2.gz KDWHHGCFLPIWBD-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN O=C([O-])CC[C@@H]1CCC[N@H+](Cc2ccccc2[N+](=O)[O-])C1 ZINC000043585509 175071861 /nfs/dbraw/zinc/07/18/61/175071861.db2.gz NXQXJPKJEBVJIU-LBPRGKRZSA-N 0 0 292.335 2.672 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H]1c1ccncc1 ZINC000044230576 175155216 /nfs/dbraw/zinc/15/52/16/175155216.db2.gz FOYSFKBWXWXGHY-LLVKDONJSA-N 0 0 287.275 2.560 20 5 CFBDRN COCCCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000044349176 175165777 /nfs/dbraw/zinc/16/57/77/175165777.db2.gz IVTCLTYKXFMYCA-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1C[C@H]1c1ccccc1 ZINC000044677824 175227426 /nfs/dbraw/zinc/22/74/26/175227426.db2.gz OOPVAGDXZSVUEW-AAEUAGOBSA-N 0 0 255.277 2.958 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(O)cc1 ZINC000044747822 175243417 /nfs/dbraw/zinc/24/34/17/175243417.db2.gz ZWTXFWSGPPPWBD-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CC(C)n1nccc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000045083192 175303684 /nfs/dbraw/zinc/30/36/84/175303684.db2.gz RURZNYAGGKHKRD-UHFFFAOYSA-N 0 0 280.309 2.686 20 5 CFBDRN CCc1nnc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)s1 ZINC000045143668 175316351 /nfs/dbraw/zinc/31/63/51/175316351.db2.gz KUTAPRBSKYKVLI-UHFFFAOYSA-N 0 0 298.349 2.631 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])C(=O)N1CCCCC1 ZINC000047253787 175406152 /nfs/dbraw/zinc/40/61/52/175406152.db2.gz OJDBJFFBVLILKM-SNVBAGLBSA-N 0 0 295.314 2.547 20 5 CFBDRN CN(Cc1ccc(O)cc1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000048576857 175438072 /nfs/dbraw/zinc/43/80/72/175438072.db2.gz HOGNRUFAEHJEAU-UHFFFAOYSA-N 0 0 292.316 2.634 20 5 CFBDRN COc1ccc(CNc2ncccc2[N+](=O)[O-])c(OC)c1 ZINC000052473875 175535408 /nfs/dbraw/zinc/53/54/08/175535408.db2.gz OVDMVTSRIRZQMC-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1ccc([N+](=O)[O-])cc1 ZINC000052812391 175538640 /nfs/dbraw/zinc/53/86/40/175538640.db2.gz LQMKAUGLDBHZRL-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN Cc1nn(C)c(N[C@H](C)c2ccc(Cl)cn2)c1[N+](=O)[O-] ZINC000286355280 196578771 /nfs/dbraw/zinc/57/87/71/196578771.db2.gz CZVDBQJLTJMKRP-SSDOTTSWSA-N 0 0 295.730 2.858 20 5 CFBDRN C[C@@H]1CCCC[C@H]1N(C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157285964 287310560 /nfs/dbraw/zinc/31/05/60/287310560.db2.gz NDYDEVOJFCGCLL-ZYHUDNBSSA-N 0 0 291.351 2.828 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCOC1CCC1 ZINC000286407097 196593125 /nfs/dbraw/zinc/59/31/25/196593125.db2.gz CJIMMJVDNGREME-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN CC(C)(C)OC(=O)N1CC(Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000071335641 176127219 /nfs/dbraw/zinc/12/72/19/176127219.db2.gz JNXFUBZBTKDFSH-UHFFFAOYSA-N 0 0 293.323 2.626 20 5 CFBDRN Cc1cc(OCC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC000071815699 176268717 /nfs/dbraw/zinc/26/87/17/176268717.db2.gz JFYGUFVMKZRBCB-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN CCOC(=O)CCCOc1ccc([N+](=O)[O-])c(C)c1 ZINC000071820510 176270581 /nfs/dbraw/zinc/27/05/81/176270581.db2.gz WLJIRXKBNMKGBY-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1Cn1ccnc1 ZINC000071856511 176278602 /nfs/dbraw/zinc/27/86/02/176278602.db2.gz ULXWHXYGLHATCA-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1ccccc1[N+](=O)[O-] ZINC000071874620 176284629 /nfs/dbraw/zinc/28/46/29/176284629.db2.gz BMOONJSHCPIRFY-UHFFFAOYSA-N 0 0 276.214 2.619 20 5 CFBDRN CCOC(=O)CSCCCOc1ccc([N+](=O)[O-])cc1 ZINC000071971025 176306089 /nfs/dbraw/zinc/30/60/89/176306089.db2.gz LXQTUOLONHVKEM-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN CCC[C@@H](C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000073004266 176448451 /nfs/dbraw/zinc/44/84/51/176448451.db2.gz VBKWYNBCHRYTPJ-SNVBAGLBSA-N 0 0 262.309 2.920 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)Oc2cccc([N+](=O)[O-])c2)O1 ZINC000075188910 176672428 /nfs/dbraw/zinc/67/24/28/176672428.db2.gz MSXNMZPELOAESS-JQWIXIFHSA-N 0 0 279.292 2.848 20 5 CFBDRN Cc1ncc(CNc2nc(C)nc(Cl)c2[N+](=O)[O-])s1 ZINC001154792858 782022838 /nfs/dbraw/zinc/02/28/38/782022838.db2.gz VEYWRFJVXSNVPC-UHFFFAOYSA-N 0 0 299.743 2.724 20 5 CFBDRN CON(CC(C)(C)C)c1ccc([N+](=O)[O-])c(C)n1 ZINC000286528393 196632176 /nfs/dbraw/zinc/63/21/76/196632176.db2.gz YGYWCWBMJBCNPI-UHFFFAOYSA-N 0 0 253.302 2.712 20 5 CFBDRN Cc1c(OC(=O)CC[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000076609845 177042911 /nfs/dbraw/zinc/04/29/11/177042911.db2.gz PVYGNVYJOBIRDW-LLVKDONJSA-N 0 0 279.292 2.768 20 5 CFBDRN COc1cc(OCCOC2CCC2)ccc1[N+](=O)[O-] ZINC000286558948 196640509 /nfs/dbraw/zinc/64/05/09/196640509.db2.gz PTOUMBURLQUJBW-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN C[C@@H]1CCN(C(=O)CNc2ccccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000077026927 177154601 /nfs/dbraw/zinc/15/46/01/177154601.db2.gz GTZYFLOPBFSDRW-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCC[C@@H]2CO)c(Cl)c1 ZINC000077209979 177235462 /nfs/dbraw/zinc/23/54/62/177235462.db2.gz OAMTWKMACVEFDY-KCJUWKMLSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCCC[C@H]1CO ZINC000077209953 177235577 /nfs/dbraw/zinc/23/55/77/177235577.db2.gz NLBSGHCTDMZBDK-ONGXEEELSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000077228405 177236338 /nfs/dbraw/zinc/23/63/38/177236338.db2.gz DNCDOLJPPGZRAO-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000077228141 177236430 /nfs/dbraw/zinc/23/64/30/177236430.db2.gz VFXNSYYZCCULBY-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN CC[C@H](CCO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000077232410 177236855 /nfs/dbraw/zinc/23/68/55/177236855.db2.gz NNZGGPYXNYCKLU-SECBINFHSA-N 0 0 258.705 2.821 20 5 CFBDRN CSC[C@@H](C)NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000077348979 177255216 /nfs/dbraw/zinc/25/52/16/177255216.db2.gz NSRDCFWSGPHPLD-ZJUUUORDSA-N 0 0 297.380 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1CC=CC1 ZINC000077734034 177297274 /nfs/dbraw/zinc/29/72/74/177297274.db2.gz VJCZCNCECVPGBP-UHFFFAOYSA-N 0 0 262.265 2.508 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000078006238 177316915 /nfs/dbraw/zinc/31/69/15/177316915.db2.gz ZBZVCGHWQCMGOI-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)C[C@@H]2C)c1 ZINC000078008553 177317733 /nfs/dbraw/zinc/31/77/33/177317733.db2.gz RNRNNDDMULLBFK-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(C[C@H]1C=CCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078149369 177323965 /nfs/dbraw/zinc/32/39/65/177323965.db2.gz ZENVONMICQOYBS-NSHDSACASA-N 0 0 272.304 2.840 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1ccccc1[N+](=O)[O-] ZINC000078598114 177358470 /nfs/dbraw/zinc/35/84/70/177358470.db2.gz ZEBIGNDAYCDGAZ-VIFPVBQESA-N 0 0 260.297 2.810 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000078662464 177367674 /nfs/dbraw/zinc/36/76/74/177367674.db2.gz WQOAGOGUVRPFEW-LBPRGKRZSA-N 0 0 267.329 2.507 20 5 CFBDRN COC(=O)[C@@H](C)S[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000078695144 177372629 /nfs/dbraw/zinc/37/26/29/177372629.db2.gz UQYWNHNUKQRTPB-DTWKUNHWSA-N 0 0 269.322 2.951 20 5 CFBDRN CC[C@H]1CCCN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000078715818 177375338 /nfs/dbraw/zinc/37/53/38/177375338.db2.gz ZPKYVNHXYWLVKO-LBPRGKRZSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1cc(C)c(OC(=O)COCC2CC2)c([N+](=O)[O-])c1 ZINC000078796383 177389360 /nfs/dbraw/zinc/38/93/60/177389360.db2.gz IEHWGLONEILJDK-UHFFFAOYSA-N 0 0 279.292 2.544 20 5 CFBDRN COC(=O)[C@H](C)CSc1ccc([N+](=O)[O-])cc1F ZINC000078837657 177396388 /nfs/dbraw/zinc/39/63/88/177396388.db2.gz SMKPRWRRSRQPPI-SSDOTTSWSA-N 0 0 273.285 2.635 20 5 CFBDRN Cc1cnc(C(C)(C)NC(=O)c2ccc([N+](=O)[O-])o2)s1 ZINC000079751895 177552187 /nfs/dbraw/zinc/55/21/87/177552187.db2.gz LUDWWOMZKBAJFG-UHFFFAOYSA-N 0 0 295.320 2.618 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000286626366 196660314 /nfs/dbraw/zinc/66/03/14/196660314.db2.gz GUXCHBRFBNUAMY-QMMMGPOBSA-N 0 0 254.311 2.575 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000336011821 287383317 /nfs/dbraw/zinc/38/33/17/287383317.db2.gz CSFKDUPEHQLVSD-GHMZBOCLSA-N 0 0 279.340 2.584 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000275019331 287436470 /nfs/dbraw/zinc/43/64/70/287436470.db2.gz LRNRVWYPQDKPJV-OAHLLOKOSA-N 0 0 294.351 2.592 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@H]1C1CC1 ZINC000706624454 782027183 /nfs/dbraw/zinc/02/71/83/782027183.db2.gz ZZLVYDJRYGMZFT-ZDUSSCGKSA-N 0 0 278.283 2.749 20 5 CFBDRN COc1cc(C(=O)NCCC2CCCC2)ccc1[N+](=O)[O-] ZINC000195260566 270251884 /nfs/dbraw/zinc/25/18/84/270251884.db2.gz UEPCPKTWUIZMND-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(NC2CC(F)C2)nc1Cl ZINC001154832971 782031981 /nfs/dbraw/zinc/03/19/81/782031981.db2.gz LURNUIMRMQVBIP-UHFFFAOYSA-N 0 0 259.668 2.864 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)[C@H](C)C1 ZINC000222929448 270255098 /nfs/dbraw/zinc/25/50/98/270255098.db2.gz IHULOCZNDAQTMN-RKDXNWHRSA-N 0 0 295.314 2.577 20 5 CFBDRN CC[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])[C@@H](O)CC ZINC000313169772 260255867 /nfs/dbraw/zinc/25/58/67/260255867.db2.gz VMZRZFLLNZLKLY-SCZZXKLOSA-N 0 0 273.720 2.605 20 5 CFBDRN CCN(CC)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000083794824 260356249 /nfs/dbraw/zinc/35/62/49/260356249.db2.gz OIKPHJQIUQOUQB-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCCC1(O)CCC1 ZINC000518398055 260398497 /nfs/dbraw/zinc/39/84/97/260398497.db2.gz ZCHUOQLPVMCWAE-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN Cc1cc(NC(=O)Nc2cccc([N+](=O)[O-])c2C)n[nH]1 ZINC000192200667 260949704 /nfs/dbraw/zinc/94/97/04/260949704.db2.gz AUIKXZDMAKKVIJ-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN COC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 ZINC000444365790 260955160 /nfs/dbraw/zinc/95/51/60/260955160.db2.gz CUNCKGZDHZRFPT-UHFFFAOYSA-N 0 0 289.237 2.746 20 5 CFBDRN Cc1c(CNC(=O)NC2(CF)CCC2)cccc1[N+](=O)[O-] ZINC000518762671 261360536 /nfs/dbraw/zinc/36/05/36/261360536.db2.gz KXFMKTHFBOKLRK-UHFFFAOYSA-N 0 0 295.314 2.595 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000194901205 262243371 /nfs/dbraw/zinc/24/33/71/262243371.db2.gz BTTJMTHQHKXLNS-LLVKDONJSA-N 0 0 250.298 2.903 20 5 CFBDRN Cc1cnc(CCNc2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000340080381 263067257 /nfs/dbraw/zinc/06/72/57/263067257.db2.gz XUMIQVNGDVSAJC-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC2(CCC2)[C@@H]1C1CC1 ZINC000291098863 263120104 /nfs/dbraw/zinc/12/01/04/263120104.db2.gz LLVBGSYJFOARGZ-AWEZNQCLSA-N 0 0 286.331 3.000 20 5 CFBDRN CC(C)n1ncnc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296673761 263195374 /nfs/dbraw/zinc/19/53/74/263195374.db2.gz HGRDEVTZNBLLSN-NSHDSACASA-N 0 0 289.339 2.618 20 5 CFBDRN Cc1ccc(NC(=O)CCc2cscn2)cc1[N+](=O)[O-] ZINC000195062167 270249735 /nfs/dbraw/zinc/24/97/35/270249735.db2.gz PLTWHIKMNVOTNA-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](C)C(C)(C)C1 ZINC000518824437 264326643 /nfs/dbraw/zinc/32/66/43/264326643.db2.gz YPUBKGUDXBYKEK-SNVBAGLBSA-N 0 0 280.372 2.992 20 5 CFBDRN Cc1sc(C(=O)N2C3CCC2CC3)cc1[N+](=O)[O-] ZINC000514531435 265175086 /nfs/dbraw/zinc/17/50/86/265175086.db2.gz VCRVZZWHLQUKDQ-UHFFFAOYSA-N 0 0 266.322 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ncc(Cl)cn2)cc1 ZINC000093537370 265185150 /nfs/dbraw/zinc/18/51/50/265185150.db2.gz JCLLKDRXDNTPCT-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1Cc2cccnc2C1 ZINC000520221890 265703266 /nfs/dbraw/zinc/70/32/66/265703266.db2.gz LYWOMJTUBYASSG-UHFFFAOYSA-N 0 0 285.303 2.514 20 5 CFBDRN CC[C@H](O)CCCNc1ccc([N+](=O)[O-])cc1COC ZINC000357506867 266311713 /nfs/dbraw/zinc/31/17/13/266311713.db2.gz VYFSYXRSVWJMRG-ZDUSSCGKSA-N 0 0 282.340 2.704 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000033182712 270224890 /nfs/dbraw/zinc/22/48/90/270224890.db2.gz ALWWHFIRGKISDW-ZYHUDNBSSA-N 0 0 291.351 2.945 20 5 CFBDRN CCOc1ccc(C(=O)N(CC)C(C)C)cc1[N+](=O)[O-] ZINC000193547698 270238900 /nfs/dbraw/zinc/23/89/00/270238900.db2.gz JHQSHDHSKUPEOI-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000193767397 270239557 /nfs/dbraw/zinc/23/95/57/270239557.db2.gz FRBHVBSAZFKWRE-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000193977049 270241691 /nfs/dbraw/zinc/24/16/91/270241691.db2.gz JBQGUVDWNPNGJH-HTQZYQBOSA-N 0 0 256.327 2.821 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000194759916 270246906 /nfs/dbraw/zinc/24/69/06/270246906.db2.gz MEJAMYQBWNMNHT-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)Cc1cscn1 ZINC000194833403 270247855 /nfs/dbraw/zinc/24/78/55/270247855.db2.gz JMRAUDPKBDARHN-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1conc1NC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000934997507 649863505 /nfs/dbraw/zinc/86/35/05/649863505.db2.gz RCQYNWVJFHPNQX-RYUDHWBXSA-N 0 0 287.275 2.633 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cnc1C)c1ccccc1 ZINC000195518487 270254115 /nfs/dbraw/zinc/25/41/15/270254115.db2.gz WFXFNVVCCUHRLA-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2F)C1(C)C ZINC000227821781 270257776 /nfs/dbraw/zinc/25/77/76/270257776.db2.gz GAXHWXVHXUBWEL-NWDGAFQWSA-N 0 0 268.288 2.959 20 5 CFBDRN C[C@]1(CCCO)CCCN1c1ccc([N+](=O)[O-])cc1F ZINC000271179151 270278783 /nfs/dbraw/zinc/27/87/83/270278783.db2.gz XXKQPSXJKHXSST-CQSZACIVSA-N 0 0 282.315 2.865 20 5 CFBDRN CCSCCCNC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000312476506 270289178 /nfs/dbraw/zinc/28/91/78/270289178.db2.gz VUBYFLWFPKAJFX-UHFFFAOYSA-N 0 0 297.380 2.510 20 5 CFBDRN CC[C@](C)(CNc1ccc([N+](=O)[O-])c(OC)c1)OC ZINC000322662219 270296596 /nfs/dbraw/zinc/29/65/96/270296596.db2.gz ANPHRAOJZUKPPD-CYBMUJFWSA-N 0 0 268.313 2.830 20 5 CFBDRN CC(C)OC(=O)c1ccc(Br)cc1[N+](=O)[O-] ZINC000390420090 270306091 /nfs/dbraw/zinc/30/60/91/270306091.db2.gz PSIIAOAXPPYBMA-UHFFFAOYSA-N 0 0 288.097 2.923 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2C[C@@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000397239119 270316322 /nfs/dbraw/zinc/31/63/22/270316322.db2.gz WXJJHBNDDYBEGW-DOMZBBRYSA-N 0 0 291.351 2.801 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000397241257 270316472 /nfs/dbraw/zinc/31/64/72/270316472.db2.gz RSCCZWYXUFUMIF-GXTWGEPZSA-N 0 0 277.324 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2=CCOCC2)c2cccnc21 ZINC000397878689 270320192 /nfs/dbraw/zinc/32/01/92/270320192.db2.gz MEBHPNIIHCVVTE-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN Cc1cnc(N[C@H]2CS[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000397913954 270320804 /nfs/dbraw/zinc/32/08/04/270320804.db2.gz BYAOWUHYBKMCBU-RKDXNWHRSA-N 0 0 253.327 2.604 20 5 CFBDRN CCC[C@H](CNC(=O)c1ccc(CC)c([N+](=O)[O-])c1)OC ZINC000452298916 270335839 /nfs/dbraw/zinc/33/58/39/270335839.db2.gz NIDHYGWBDCFWQV-CYBMUJFWSA-N 0 0 294.351 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cc(C)ncn2)c1 ZINC000488222956 270342843 /nfs/dbraw/zinc/34/28/43/270342843.db2.gz BAGPJAGFAOSXJY-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000515304600 270367365 /nfs/dbraw/zinc/36/73/65/270367365.db2.gz OFLVFXQPMMEUNF-WDEREUQCSA-N 0 0 295.314 2.799 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCCCCO1)CCCC2 ZINC000515348647 270369324 /nfs/dbraw/zinc/36/93/24/270369324.db2.gz GXXOCRHAJIMIJL-UHFFFAOYSA-N 0 0 277.324 2.791 20 5 CFBDRN CC1(C2(NC(=O)Cc3ccccc3[N+](=O)[O-])CC2)CC1 ZINC000515428628 270371132 /nfs/dbraw/zinc/37/11/32/270371132.db2.gz ZMCRDRYOMADOEM-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN C[C@@H](C(=O)N(C)CC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000516901533 270390367 /nfs/dbraw/zinc/39/03/67/270390367.db2.gz HOIANEZACHDODF-SNVBAGLBSA-N 0 0 262.309 2.567 20 5 CFBDRN CC[C@@H](NC(=O)COc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000517169282 270398855 /nfs/dbraw/zinc/39/88/55/270398855.db2.gz WLHWZXXZXXIXJJ-CYBMUJFWSA-N 0 0 294.351 2.915 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000524877539 270470466 /nfs/dbraw/zinc/47/04/66/270470466.db2.gz YCWWFGSFESRFLD-MNOVXSKESA-N 0 0 277.324 2.535 20 5 CFBDRN CCc1cnc(CNc2cc([N+](=O)[O-])ccc2OC)o1 ZINC000531858444 270845045 /nfs/dbraw/zinc/84/50/45/270845045.db2.gz NZPBPEGSFFWPHV-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN C[C@@H](c1ccccc1)N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531887675 270847151 /nfs/dbraw/zinc/84/71/51/270847151.db2.gz DMYLQSGWZGROQR-NSHDSACASA-N 0 0 285.303 2.823 20 5 CFBDRN CC(C)CC1(CNC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000532144117 270854236 /nfs/dbraw/zinc/85/42/36/270854236.db2.gz YZAOVCVXGRTUHA-UHFFFAOYSA-N 0 0 277.324 2.546 20 5 CFBDRN NC(=O)Nc1cccc(CNc2ccc([N+](=O)[O-])cc2)c1 ZINC000340743332 274935766 /nfs/dbraw/zinc/93/57/66/274935766.db2.gz MCLMECFQUFKEJS-UHFFFAOYSA-N 0 0 286.291 2.698 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCc3nc[nH]c3C2)c(F)c1 ZINC000370266742 275033987 /nfs/dbraw/zinc/03/39/87/275033987.db2.gz PDJZUHUKJFJUIH-ZETCQYMHSA-N 0 0 294.261 2.566 20 5 CFBDRN CC(C)c1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)nn1C ZINC000081821441 275729525 /nfs/dbraw/zinc/72/95/25/275729525.db2.gz ZCAOOJJCKWHKNJ-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2)[C@@H]1[C@H]1CCCO1 ZINC000291077598 275850464 /nfs/dbraw/zinc/85/04/64/275850464.db2.gz HRYVSJISSIFVKP-ZIAGYGMSSA-N 0 0 276.336 2.989 20 5 CFBDRN CC1(C)C[C@H](NCC(F)(F)CN)c2cc([N+](=O)[O-])ccc21 ZINC000423344493 275867068 /nfs/dbraw/zinc/86/70/68/275867068.db2.gz MYPGTZCCEJSCSA-LBPRGKRZSA-N 0 0 299.321 2.501 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000129076166 275892006 /nfs/dbraw/zinc/89/20/06/275892006.db2.gz ACYFQBNHUPVJHH-QWHCGFSZSA-N 0 0 287.319 2.714 20 5 CFBDRN CC1(Nc2ccc([N+](=O)[O-])nc2)Cc2ccccc2C1 ZINC000450423855 275899347 /nfs/dbraw/zinc/89/93/47/275899347.db2.gz YFCZCADQFUFLBG-UHFFFAOYSA-N 0 0 269.304 2.959 20 5 CFBDRN CCC[C@@H]1CCCCN1c1ncc([N+](=O)[O-])c(C)n1 ZINC000294898083 276028606 /nfs/dbraw/zinc/02/86/06/276028606.db2.gz GVISFJPXHNELRG-LLVKDONJSA-N 0 0 264.329 2.852 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H]2C[C@@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000458141878 276041616 /nfs/dbraw/zinc/04/16/16/276041616.db2.gz SMCINXBNRDCCDB-OTYXRUKQSA-N 0 0 294.355 2.606 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000269380897 276041758 /nfs/dbraw/zinc/04/17/58/276041758.db2.gz OZJATAGEZJGRHF-UWVGGRQHSA-N 0 0 294.355 2.750 20 5 CFBDRN CC1(C)CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000294340447 276108548 /nfs/dbraw/zinc/10/85/48/276108548.db2.gz ZOUVOFLJVZTYEU-SECBINFHSA-N 0 0 266.297 2.744 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccccc2[N+](=O)[O-])CCO1 ZINC000070352663 276143772 /nfs/dbraw/zinc/14/37/72/276143772.db2.gz SQUGZLMTKLYXQH-JTQLQIEISA-N 0 0 250.298 2.964 20 5 CFBDRN CC1(CNc2ccc3ncccc3c2[N+](=O)[O-])COC1 ZINC000112696021 276168626 /nfs/dbraw/zinc/16/86/26/276168626.db2.gz KENGBPAHENONMJ-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN CC1(c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)CCC1 ZINC000273670949 276173471 /nfs/dbraw/zinc/17/34/71/276173471.db2.gz JJRFTOKYLBHREG-UHFFFAOYSA-N 0 0 274.280 2.669 20 5 CFBDRN CCOC1(C)CN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000450577718 276308626 /nfs/dbraw/zinc/30/86/26/276308626.db2.gz FJGLYASGEWCJJL-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])c(N)c2F)C[C@H]1C ZINC000450743415 276359570 /nfs/dbraw/zinc/35/95/70/276359570.db2.gz HFUGIWSNQYYQBW-SKDRFNHKSA-N 0 0 297.330 2.567 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1c([N+](=O)[O-])c(C)nn1CC)OC ZINC000362977089 276619742 /nfs/dbraw/zinc/61/97/42/276619742.db2.gz ONUSZWJVOQUVKI-GXSJLCMTSA-N 0 0 284.360 2.593 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1Cc2ccccc2CO1 ZINC000288650570 276709543 /nfs/dbraw/zinc/70/95/43/276709543.db2.gz YAQBNFPFDRJWKU-UHFFFAOYSA-N 0 0 288.307 2.571 20 5 CFBDRN CN(CC1CC1)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000049236149 276925505 /nfs/dbraw/zinc/92/55/05/276925505.db2.gz KFTKNWOCOAHRLX-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN COC(=O)C1CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000292643012 277052945 /nfs/dbraw/zinc/05/29/45/277052945.db2.gz ZBMSNHHCHZVDSZ-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1C[C@H](C)OC(C)(C)C1 ZINC000061570004 277106648 /nfs/dbraw/zinc/10/66/48/277106648.db2.gz FTFMMUXYHLQSQJ-JTQLQIEISA-N 0 0 292.335 2.801 20 5 CFBDRN COC1(C)CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000271724922 277182224 /nfs/dbraw/zinc/18/22/24/277182224.db2.gz SCVUGYAVHFLKGL-UHFFFAOYSA-N 0 0 250.298 2.600 20 5 CFBDRN COCC1=CCN(c2cc(OC)c(F)cc2[N+](=O)[O-])CC1 ZINC000274674413 277200627 /nfs/dbraw/zinc/20/06/27/277200627.db2.gz YQAMEASTHYTLRP-UHFFFAOYSA-N 0 0 296.298 2.525 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)N2CCCOC2)cc1[N+](=O)[O-] ZINC000288350585 277266293 /nfs/dbraw/zinc/26/62/93/277266293.db2.gz GFGWVLASBYVXAN-UHFFFAOYSA-N 0 0 292.335 2.712 20 5 CFBDRN CO[C@H](C)CN(C(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000354533126 277323063 /nfs/dbraw/zinc/32/30/63/277323063.db2.gz XPQPJRXBBNZLLJ-LLVKDONJSA-N 0 0 295.339 2.872 20 5 CFBDRN CO[C@H]1CCCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 ZINC000374661584 277337375 /nfs/dbraw/zinc/33/73/75/277337375.db2.gz LWBVTORFBKJEQF-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN COC(=O)[C@@H](C)Sc1c2ccccc2ncc1[N+](=O)[O-] ZINC000487910597 277338962 /nfs/dbraw/zinc/33/89/62/277338962.db2.gz NVLRDCCWBLSBRE-MRVPVSSYSA-N 0 0 292.316 2.797 20 5 CFBDRN CC(C)C1CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000181128271 277341960 /nfs/dbraw/zinc/34/19/60/277341960.db2.gz MBLJFJRJVLXBCC-UHFFFAOYSA-N 0 0 291.351 2.685 20 5 CFBDRN CO[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CC[C@@H]1C ZINC000275789953 277344717 /nfs/dbraw/zinc/34/47/17/277344717.db2.gz CETHZIVPLRZHSQ-KWQFWETISA-N 0 0 285.731 2.504 20 5 CFBDRN COc1c2cc(Br)ccc2ncc1[N+](=O)[O-] ZINC000355164619 277365500 /nfs/dbraw/zinc/36/55/00/277365500.db2.gz PRTZIYHJGYKGTB-UHFFFAOYSA-N 0 0 283.081 2.914 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000301913371 277382124 /nfs/dbraw/zinc/38/21/24/277382124.db2.gz FAVKSHHRGQLWAU-BDAKNGLRSA-N 0 0 268.288 2.977 20 5 CFBDRN COC(=O)c1cc(N2CC[C@@H](C)[C@H]2C)cc(C)c1[N+](=O)[O-] ZINC000301676869 277423438 /nfs/dbraw/zinc/42/34/38/277423438.db2.gz HTTBESNQAVGLSX-MWLCHTKSSA-N 0 0 292.335 2.925 20 5 CFBDRN COC1CN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 ZINC000342132471 277479271 /nfs/dbraw/zinc/47/92/71/277479271.db2.gz OEXYXQXPXSVYCF-UHFFFAOYSA-N 0 0 277.107 2.737 20 5 CFBDRN CS[C@@H]1CCCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000154279553 277517509 /nfs/dbraw/zinc/51/75/09/277517509.db2.gz LOHREEZOVYGJGF-LLVKDONJSA-N 0 0 294.376 2.953 20 5 CFBDRN C[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H]1C ZINC000153898051 277759794 /nfs/dbraw/zinc/75/97/94/277759794.db2.gz BEGSFPBCQGAHIE-HTQZYQBOSA-N 0 0 255.705 2.878 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)[C@H](C)C1 ZINC000301959549 277781834 /nfs/dbraw/zinc/78/18/34/277781834.db2.gz HDFBLNBUBYRLED-GMTAPVOTSA-N 0 0 289.339 2.874 20 5 CFBDRN CC(C)[C@@H]1CC[C@@H](C)C[C@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000505295751 277796282 /nfs/dbraw/zinc/79/62/82/277796282.db2.gz MHOZQPZKRCIVAJ-OUAUKWLOSA-N 0 0 294.355 2.509 20 5 CFBDRN COc1ccc(C(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000416073402 277875014 /nfs/dbraw/zinc/87/50/14/277875014.db2.gz AIFOSARQKDIAIS-AXFHLTTASA-N 0 0 292.335 2.720 20 5 CFBDRN CC(C)[C@H]1CCCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000443989228 277936709 /nfs/dbraw/zinc/93/67/09/277936709.db2.gz OBONCXBQZJGQEQ-LBPRGKRZSA-N 0 0 291.351 2.566 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@H](C)C[C@H](C)C2)c1[N+](=O)[O-] ZINC000269353313 278001351 /nfs/dbraw/zinc/00/13/51/278001351.db2.gz SMLYQPHKPKFVQA-AOOOYVTPSA-N 0 0 294.355 2.559 20 5 CFBDRN COc1cccc2c1CCN(c1ncc([N+](=O)[O-])s1)C2 ZINC000192527356 278031427 /nfs/dbraw/zinc/03/14/27/278031427.db2.gz JUBDSLKSKSLXAM-UHFFFAOYSA-N 0 0 291.332 2.623 20 5 CFBDRN CC(=O)c1ccc(N2CCSC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000277427619 278247656 /nfs/dbraw/zinc/24/76/56/278247656.db2.gz NEKKDCZJJVWQDF-JTQLQIEISA-N 0 0 294.376 2.987 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@H]1C[C@@H](C)O ZINC000361076350 278250706 /nfs/dbraw/zinc/25/07/06/278250706.db2.gz XVHGPMAGAIYJKW-PWSUYJOCSA-N 0 0 292.335 2.537 20 5 CFBDRN CC1(C)C[C@@H]([NH2+]Cc2cc([O-])ccc2[N+](=O)[O-])C(C)(C)O1 ZINC000330738503 278369461 /nfs/dbraw/zinc/36/94/61/278369461.db2.gz NQPRYLKTQOFWMC-CYBMUJFWSA-N 0 0 294.351 2.736 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1ccnn1C ZINC000278789558 278379309 /nfs/dbraw/zinc/37/93/09/278379309.db2.gz QHGLYHNVUMKPHY-GHMZBOCLSA-N 0 0 274.324 2.740 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000361013061 278441581 /nfs/dbraw/zinc/44/15/81/278441581.db2.gz LXCQOBVYVMISIJ-MNOVXSKESA-N 0 0 293.367 2.878 20 5 CFBDRN C[C@H]1CN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CCO1 ZINC000253601608 278442053 /nfs/dbraw/zinc/44/20/53/278442053.db2.gz UUTCHDAGURASBE-QMMMGPOBSA-N 0 0 290.241 2.839 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])C[C@H]1C ZINC000149521536 288044795 /nfs/dbraw/zinc/04/47/95/288044795.db2.gz AGERIHLBTKOYNE-RKDXNWHRSA-N 0 0 282.727 2.976 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000361961187 278501250 /nfs/dbraw/zinc/50/12/50/278501250.db2.gz GDZGBZRHTUMIDZ-QWRGUYRKSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CCC[C@H](C)C1 ZINC000408465982 278609775 /nfs/dbraw/zinc/60/97/75/278609775.db2.gz ICPYFPZSLVUSLW-JQWIXIFHSA-N 0 0 264.325 2.891 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000343782425 278614994 /nfs/dbraw/zinc/61/49/94/278614994.db2.gz ODGUXHSNSJGBBS-MWLCHTKSSA-N 0 0 257.293 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000366710775 278746204 /nfs/dbraw/zinc/74/62/04/278746204.db2.gz OZHMICFAJGQJAB-OLZOCXBDSA-N 0 0 276.336 2.766 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCCSCC1 ZINC000266962258 278746424 /nfs/dbraw/zinc/74/64/24/278746424.db2.gz IDCYUTIARBDDRP-UHFFFAOYSA-N 0 0 252.339 2.847 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(C(F)F)CC1 ZINC000360761665 278746883 /nfs/dbraw/zinc/74/68/83/278746883.db2.gz CFIBSBYEDAGYFD-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCSC(C)(C)C1 ZINC000301570960 278747412 /nfs/dbraw/zinc/74/74/12/278747412.db2.gz MDSPSVGXQAGRKF-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000277627611 278852633 /nfs/dbraw/zinc/85/26/33/278852633.db2.gz MRRRWHYWPUTNNA-SCZZXKLOSA-N 0 0 254.261 2.571 20 5 CFBDRN C[C@@H]1C[C@@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235302154 278938761 /nfs/dbraw/zinc/93/87/61/278938761.db2.gz ULGLWYOVMHNKMX-RITPCOANSA-N 0 0 261.203 2.829 20 5 CFBDRN CCC[C@H]1CN(c2cc(OC)c(F)cc2[N+](=O)[O-])CCO1 ZINC000270256858 278957352 /nfs/dbraw/zinc/95/73/52/278957352.db2.gz NNYZQVGEPXJNFZ-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nc3ccccc3cc2[N+](=O)[O-])CS1 ZINC000413522979 278975537 /nfs/dbraw/zinc/97/55/37/278975537.db2.gz SUBWNECIFCMKLT-KOLCDFICSA-N 0 0 289.360 2.871 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1CCSC1 ZINC000336537941 279012919 /nfs/dbraw/zinc/01/29/19/279012919.db2.gz RPIVTJTVHPZOLI-ZJUUUORDSA-N 0 0 292.360 2.626 20 5 CFBDRN C[C@@H]1Cc2ccccc2N(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000334445353 279018094 /nfs/dbraw/zinc/01/80/94/279018094.db2.gz FXDSNFZNOJWBQN-LLVKDONJSA-N 0 0 299.330 2.772 20 5 CFBDRN Cc1cnc(N[C@@H]2CCCc3c2cnn3C)c([N+](=O)[O-])c1 ZINC000266184535 279020318 /nfs/dbraw/zinc/02/03/18/279020318.db2.gz DFDNSRSHKCBOIU-LLVKDONJSA-N 0 0 287.323 2.521 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000347985703 279020681 /nfs/dbraw/zinc/02/06/81/279020681.db2.gz MLLVBERPAPAYOT-YMTOWFKASA-N 0 0 285.303 2.586 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000348008300 279023246 /nfs/dbraw/zinc/02/32/46/279023246.db2.gz VLJMHOAVFXHSIM-OTYXRUKQSA-N 0 0 285.303 2.586 20 5 CFBDRN Cc1nc(N2CC(C3CCCC3)C2)ccc1[N+](=O)[O-] ZINC000373686586 279088840 /nfs/dbraw/zinc/08/88/40/279088840.db2.gz YDYUFEPWWRTJGC-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1nc(N2CC3(CCC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000357581701 279089162 /nfs/dbraw/zinc/08/91/62/279089162.db2.gz NLPIWCOZDMNQTG-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN Cc1ncc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)cn1 ZINC000507172762 279152059 /nfs/dbraw/zinc/15/20/59/279152059.db2.gz HXOZBHGWSGXSHO-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN C[C@@]1(F)CCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000346696434 279166291 /nfs/dbraw/zinc/16/62/91/279166291.db2.gz PROUTKPCRPWKHH-CYBMUJFWSA-N 0 0 292.270 2.583 20 5 CFBDRN C[C@@]1(O)CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)C1 ZINC000301858530 279173865 /nfs/dbraw/zinc/17/38/65/279173865.db2.gz JPBGGCAVQMDGON-MRXNPFEDSA-N 0 0 299.330 2.618 20 5 CFBDRN C[C@@]1(c2ccccc2)CN(c2ccc([N+](=O)[O-])cn2)CCO1 ZINC000301668118 279177879 /nfs/dbraw/zinc/17/78/79/279177879.db2.gz XROVZQALALOGPT-INIZCTEOSA-N 0 0 299.330 2.742 20 5 CFBDRN CC(C)C[C@H]1CCCCCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000124678579 279204013 /nfs/dbraw/zinc/20/40/13/279204013.db2.gz PSOUSIOTISHXLM-LLVKDONJSA-N 0 0 294.355 2.749 20 5 CFBDRN C[C@H](CCc1ccccc1[N+](=O)[O-])NC(CF)CF ZINC000449379470 279275047 /nfs/dbraw/zinc/27/50/47/279275047.db2.gz IJJTTWRNFWBMDC-SNVBAGLBSA-N 0 0 272.295 2.813 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000336540723 279282729 /nfs/dbraw/zinc/28/27/29/279282729.db2.gz BYNCXURNRAVVRH-ZJUUUORDSA-N 0 0 280.349 2.979 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000279360737 279580020 /nfs/dbraw/zinc/58/00/20/279580020.db2.gz GCKBPOYJGKSFIU-FZMZJTMJSA-N 0 0 264.325 2.725 20 5 CFBDRN CCOC1(C)CCN(c2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000291984283 279657336 /nfs/dbraw/zinc/65/73/36/279657336.db2.gz XIAMVTHSGRDABK-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN Nc1c(C(=O)N2CCC[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000157351547 279692869 /nfs/dbraw/zinc/69/28/69/279692869.db2.gz VRWLRDPUTFPTRE-JQWIXIFHSA-N 0 0 289.335 2.582 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCCc3occc3C2)c1 ZINC000289180795 279699482 /nfs/dbraw/zinc/69/94/82/279699482.db2.gz VUABKRCGWFGHFK-UHFFFAOYSA-N 0 0 273.292 2.723 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC12CCCCC2 ZINC000188998708 279699996 /nfs/dbraw/zinc/69/99/96/279699996.db2.gz DTODIDPNRWZAEW-ZDUSSCGKSA-N 0 0 289.335 2.630 20 5 CFBDRN CCOC[C@H]1CCCN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000301303611 279749925 /nfs/dbraw/zinc/74/99/25/279749925.db2.gz SISPOTNUSOBBOM-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2c([N+](=O)[O-])ncn2C)C1(CC)CC ZINC000487646148 279882670 /nfs/dbraw/zinc/88/26/70/279882670.db2.gz MSPQHKKZOZZZOM-WDEREUQCSA-N 0 0 296.371 2.724 20 5 CFBDRN CCO[C@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000301934314 279902465 /nfs/dbraw/zinc/90/24/65/279902465.db2.gz OFGRTEGLEUAKJN-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN CCOc1cccc(N2CCSCC2)c1[N+](=O)[O-] ZINC000273244130 279972760 /nfs/dbraw/zinc/97/27/60/279972760.db2.gz ZESSCKKIUJMYMS-UHFFFAOYSA-N 0 0 268.338 2.547 20 5 CFBDRN CCOc1cccc(N[C@@H]2CCCOCC2)c1[N+](=O)[O-] ZINC000184145067 279973531 /nfs/dbraw/zinc/97/35/31/279973531.db2.gz KXCJUHHQKZXMLU-LLVKDONJSA-N 0 0 280.324 2.975 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)Cc1ccco1 ZINC000336415968 280022943 /nfs/dbraw/zinc/02/29/43/280022943.db2.gz XWPCWICNKTWAGD-JTQLQIEISA-N 0 0 286.287 2.708 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1CCSC1 ZINC000336537939 280023453 /nfs/dbraw/zinc/02/34/53/280023453.db2.gz RPIVTJTVHPZOLI-UWVGGRQHSA-N 0 0 292.360 2.626 20 5 CFBDRN CCS[C@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000331171255 280057847 /nfs/dbraw/zinc/05/78/47/280057847.db2.gz VLRJKIRMCCQIDC-PWSUYJOCSA-N 0 0 297.380 2.717 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000343653447 280061898 /nfs/dbraw/zinc/06/18/98/280061898.db2.gz CZRXMOWCWHSFAE-AMIZOPFISA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1c2ccccc2CCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000334476178 280125096 /nfs/dbraw/zinc/12/50/96/280125096.db2.gz NCTGRYCZOKSJBP-JTQLQIEISA-N 0 0 285.303 2.682 20 5 CFBDRN C[C@]1(CO)CCC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000301332936 280161558 /nfs/dbraw/zinc/16/15/58/280161558.db2.gz BVRBUEGOPDCEQR-CHWSQXEVSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@]1(F)CCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000346696435 280162478 /nfs/dbraw/zinc/16/24/78/280162478.db2.gz PROUTKPCRPWKHH-ZDUSSCGKSA-N 0 0 292.270 2.583 20 5 CFBDRN Cc1c(Br)c(N2CC3(CC3)C2)ncc1[N+](=O)[O-] ZINC000450585876 280198171 /nfs/dbraw/zinc/19/81/71/280198171.db2.gz XCZADCLDCJLIPG-UHFFFAOYSA-N 0 0 298.140 2.661 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]2CCC[C@H]21 ZINC000174337166 280209508 /nfs/dbraw/zinc/20/95/08/280209508.db2.gz WURAFLVBLQVRPY-SMDDNHRTSA-N 0 0 274.320 3.000 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178282152 280236955 /nfs/dbraw/zinc/23/69/55/280236955.db2.gz GXBWEQHKQFBDAN-AOOOYVTPSA-N 0 0 280.349 2.919 20 5 CFBDRN Cc1c(NC(=O)N2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000299654230 280244066 /nfs/dbraw/zinc/24/40/66/280244066.db2.gz PENMCJLNMJNTLD-VIFPVBQESA-N 0 0 263.297 2.777 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000282583467 280245806 /nfs/dbraw/zinc/24/58/06/280245806.db2.gz PIDDJROAXVWOQF-MWODSPESSA-N 0 0 278.308 2.655 20 5 CFBDRN Cc1cc(-c2nc(-c3ccon3)no2)ccc1[N+](=O)[O-] ZINC000357397126 280287235 /nfs/dbraw/zinc/28/72/35/280287235.db2.gz PLORQTJMHOPPIY-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1cc(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)ccn1 ZINC000448194342 280328156 /nfs/dbraw/zinc/32/81/56/280328156.db2.gz SZYXPPCMDYGZSD-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CC(F)C1 ZINC000343511311 280356674 /nfs/dbraw/zinc/35/66/74/280356674.db2.gz JCWGQCKERGGREO-UHFFFAOYSA-N 0 0 264.178 2.772 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CC[C@H](F)C1 ZINC000340982012 280359268 /nfs/dbraw/zinc/35/92/68/280359268.db2.gz VMAPYHFXDZMIIB-JGVFFNPUSA-N 0 0 259.668 2.946 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCC[C@H]2OCC[C@H]21 ZINC000366503196 280361652 /nfs/dbraw/zinc/36/16/52/280361652.db2.gz GYAIALKTVIHLHE-UKRRQHHQSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC(c2cn[nH]c2)CC1 ZINC000369391448 280365313 /nfs/dbraw/zinc/36/53/13/280365313.db2.gz NBVYFPOXTBQMHL-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC[C@H](c2ncc[nH]2)C1 ZINC000370500473 280365331 /nfs/dbraw/zinc/36/53/31/280365331.db2.gz QWXUYVHOEUYIGZ-JTQLQIEISA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCCOC3)c(Cl)c1 ZINC000377137092 280365610 /nfs/dbraw/zinc/36/56/10/280365610.db2.gz XGHWXZNWNHCNPY-UHFFFAOYSA-N 0 0 282.727 2.865 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cnn1 ZINC000344741149 280366854 /nfs/dbraw/zinc/36/68/54/280366854.db2.gz HQBXKFJHSHLUSJ-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC(CC(F)F)C1 ZINC000425275533 280372641 /nfs/dbraw/zinc/37/26/41/280372641.db2.gz APKTWXBUFYNBJY-UHFFFAOYSA-N 0 0 260.215 2.825 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CCC(F)(F)C3)ccnc21 ZINC000432139683 280372980 /nfs/dbraw/zinc/37/29/80/280372980.db2.gz RGVFPRZLRRLYPG-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CC[C@@H](C4CC4)C3)c21 ZINC000347429536 280373791 /nfs/dbraw/zinc/37/37/91/280373791.db2.gz ZSRPZVURNVWRRU-LLVKDONJSA-N 0 0 284.319 2.774 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@]3(CCCCO3)C2)s1 ZINC000373854806 280379235 /nfs/dbraw/zinc/37/92/35/280379235.db2.gz KTNSFTFINUGHQX-LBPRGKRZSA-N 0 0 283.353 2.591 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1Cc2ccccc2O1 ZINC000068262192 280475455 /nfs/dbraw/zinc/47/54/55/280475455.db2.gz GTNWKILZRJMYAE-AWEZNQCLSA-N 0 0 285.255 2.504 20 5 CFBDRN CC[C@H](C)N(C)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000075506335 280512392 /nfs/dbraw/zinc/51/23/92/280512392.db2.gz CKMNGGUUJVRSJF-JTQLQIEISA-N 0 0 282.365 2.944 20 5 CFBDRN CC(C)[C@]1(C)CC(=O)N(Cc2ccccc2[N+](=O)[O-])C1=O ZINC000116875217 280529893 /nfs/dbraw/zinc/52/98/93/280529893.db2.gz JJMWXYVNVYGIHI-HNNXBMFYSA-N 0 0 290.319 2.516 20 5 CFBDRN CSC[C@@H](C)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079624534 280535727 /nfs/dbraw/zinc/53/57/27/280535727.db2.gz IOKDTVUSGZPPLX-SNVBAGLBSA-N 0 0 296.392 2.945 20 5 CFBDRN O=C(NCCC(F)(F)F)Nc1ccc([N+](=O)[O-])cc1 ZINC000079819806 280536878 /nfs/dbraw/zinc/53/68/78/280536878.db2.gz BDQAVHSNQUUZDM-UHFFFAOYSA-N 0 0 277.202 2.669 20 5 CFBDRN Cc1cc(N2CC[C@H](c3ccco3)C2)ncc1[N+](=O)[O-] ZINC000364885347 280577664 /nfs/dbraw/zinc/57/76/64/280577664.db2.gz CJFTTZRHQWZPLJ-NSHDSACASA-N 0 0 273.292 2.885 20 5 CFBDRN O=[N+]([O-])c1c(NCCOC2CCCC2)nc2sccn21 ZINC000107832000 280587786 /nfs/dbraw/zinc/58/77/86/280587786.db2.gz QVXHZCNVIDLCMS-UHFFFAOYSA-N 0 0 296.352 2.675 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CC(F)C2)cc1[N+](=O)[O-] ZINC000335210002 280591565 /nfs/dbraw/zinc/59/15/65/280591565.db2.gz WPFPMPAMKBGDPJ-UHFFFAOYSA-N 0 0 266.272 2.512 20 5 CFBDRN CCOc1cc(NCc2cc(C)on2)ccc1[N+](=O)[O-] ZINC000111048997 280592767 /nfs/dbraw/zinc/59/27/67/280592767.db2.gz HQOLPLKMVSGOOL-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN COC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)nc1C ZINC000112016257 280594783 /nfs/dbraw/zinc/59/47/83/280594783.db2.gz IQEBFFMOAAXYBP-UHFFFAOYSA-N 0 0 278.289 2.813 20 5 CFBDRN Cc1ccc(NC(=O)/C=C/C2CCOCC2)cc1[N+](=O)[O-] ZINC000112164203 280595414 /nfs/dbraw/zinc/59/54/14/280595414.db2.gz ZXTXTULLUFFDAC-HWKANZROSA-N 0 0 290.319 2.825 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C=CCCC1 ZINC000122886133 280629273 /nfs/dbraw/zinc/62/92/73/280629273.db2.gz FZXXRFPRVSFLEO-SNVBAGLBSA-N 0 0 291.307 2.834 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](O)C2)c([N+](=O)[O-])cc1C(F)(F)F ZINC000450925231 280633342 /nfs/dbraw/zinc/63/33/42/280633342.db2.gz XDRZVIQSSWDXAT-OCAPTIKFSA-N 0 0 290.241 2.857 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000125929727 280647181 /nfs/dbraw/zinc/64/71/81/280647181.db2.gz QXTQMLOHRZFKCL-HIFRSBDPSA-N 0 0 276.336 2.690 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1cccc(O)c1F ZINC000128323260 280676267 /nfs/dbraw/zinc/67/62/67/280676267.db2.gz BDRIDFXYTBJVOW-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN Cc1ccc(C)c(Cn2ccc(C)c([N+](=O)[O-])c2=O)c1 ZINC000129574969 280693213 /nfs/dbraw/zinc/69/32/13/280693213.db2.gz BMBPZUZQPMAHDQ-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN CCCc1nc(Cn2ccc(C)c([N+](=O)[O-])c2=O)cs1 ZINC000129700879 280694556 /nfs/dbraw/zinc/69/45/56/280694556.db2.gz DQRLBFKOBAMCAB-UHFFFAOYSA-N 0 0 293.348 2.522 20 5 CFBDRN CCc1noc(C)c1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000132705752 280735414 /nfs/dbraw/zinc/73/54/14/280735414.db2.gz BXDMMHDMOOJUKW-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Cc1cccc(CC(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000133556380 280745546 /nfs/dbraw/zinc/74/55/46/280745546.db2.gz IEMSQNDZDFKOIA-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN Cc1cncc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000133905075 280750699 /nfs/dbraw/zinc/75/06/99/280750699.db2.gz SBXRZHRQLNEPJN-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN COCCOCCCCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000133953991 280751251 /nfs/dbraw/zinc/75/12/51/280751251.db2.gz QWMMNGRWBDJPQT-UHFFFAOYSA-N 0 0 282.340 2.758 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3ncccc32)C[C@H](C)O1 ZINC000346651709 288263019 /nfs/dbraw/zinc/26/30/19/288263019.db2.gz IBHCISORPBSLJQ-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN CC[C@H](C)[C@@H](C)N(C(=O)c1ccc([N+](=O)[O-])n1C)C1CC1 ZINC000355196921 280756060 /nfs/dbraw/zinc/75/60/60/280756060.db2.gz ZWUWIZAMUZIWIP-WDEREUQCSA-N 0 0 293.367 2.973 20 5 CFBDRN O=C(Nc1ccccc1O)c1ccc(F)cc1[N+](=O)[O-] ZINC000135776231 280778335 /nfs/dbraw/zinc/77/83/35/280778335.db2.gz NADLNRKYUYAALO-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN Cc1ccc(C(=O)Cn2cc([N+](=O)[O-])c(C3CC3)n2)s1 ZINC000185173453 280834097 /nfs/dbraw/zinc/83/40/97/280834097.db2.gz MCTSGANFQCTGEH-UHFFFAOYSA-N 0 0 291.332 2.922 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000188526455 280861912 /nfs/dbraw/zinc/86/19/12/280861912.db2.gz DJWXQYPSDHUEOR-GHMZBOCLSA-N 0 0 291.351 2.685 20 5 CFBDRN CO[C@H]1CC[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000189785063 280879922 /nfs/dbraw/zinc/87/99/22/280879922.db2.gz FRIPGYDMAZKOLV-JQWIXIFHSA-N 0 0 293.323 2.592 20 5 CFBDRN COc1ccc(Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000190299816 280886585 /nfs/dbraw/zinc/88/65/85/280886585.db2.gz MNPOHEFIHTWQMQ-UHFFFAOYSA-N 0 0 251.267 2.804 20 5 CFBDRN CNc1ccc(C(=O)NCC2(C(C)C)CC2)cc1[N+](=O)[O-] ZINC000227818797 280936099 /nfs/dbraw/zinc/93/60/99/280936099.db2.gz CAFJQDAJFOZOMB-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN COc1cccc(N[C@H]2C[C@@H](OC)C2(C)C)c1[N+](=O)[O-] ZINC000228855641 280936703 /nfs/dbraw/zinc/93/67/03/280936703.db2.gz PEWKCWLRQYATTF-NWDGAFQWSA-N 0 0 280.324 2.829 20 5 CFBDRN Cc1ccc2c(c1)CCCN2C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298837431 281052317 /nfs/dbraw/zinc/05/23/17/281052317.db2.gz DUPSOBYEKJTPCC-UHFFFAOYSA-N 0 0 285.303 2.824 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])[C@H]1C ZINC000252177542 281075434 /nfs/dbraw/zinc/07/54/34/281075434.db2.gz PHMNTYVOWUNERG-SCVCMEIPSA-N 0 0 291.351 2.930 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000334864539 281080618 /nfs/dbraw/zinc/08/06/18/281080618.db2.gz MYVCLAMLQZPCDO-VIFPVBQESA-N 0 0 254.311 2.671 20 5 CFBDRN Cc1cccc(N(C)C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)n1 ZINC000255088305 281086721 /nfs/dbraw/zinc/08/67/21/281086721.db2.gz MVLUWPXOGCOSAU-FLIBITNWSA-N 0 0 297.314 2.974 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC/C=C\c1ccccc1 ZINC000255165118 281089620 /nfs/dbraw/zinc/08/96/20/281089620.db2.gz JUDVDPBNUGCBRS-DAXSKMNVSA-N 0 0 296.326 2.967 20 5 CFBDRN Cc1ncccc1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255430877 281098216 /nfs/dbraw/zinc/09/82/16/281098216.db2.gz VPDUGYZZLPCJPR-HJWRWDBZSA-N 0 0 283.287 2.950 20 5 CFBDRN C[C@@H]1CSCCN1C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255641535 281104258 /nfs/dbraw/zinc/10/42/58/281104258.db2.gz FKTLNLMCLZSQKA-JMEBYUIHSA-N 0 0 292.360 2.572 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000271471297 281106437 /nfs/dbraw/zinc/10/64/37/281106437.db2.gz RGKBJZQCWATSBZ-PWSUYJOCSA-N 0 0 262.309 2.998 20 5 CFBDRN C[C@H]1CSCCN1C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255779032 281107494 /nfs/dbraw/zinc/10/74/94/281107494.db2.gz YMKJLKNINKMRDF-GZTOBOFZSA-N 0 0 292.360 2.572 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])Nc1ccccc1O ZINC000255777057 281107779 /nfs/dbraw/zinc/10/77/79/281107779.db2.gz WBAUXYVNFLEYSH-KTKRTIGZSA-N 0 0 284.271 2.952 20 5 CFBDRN CCOc1cc(N[C@@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000312860457 281155321 /nfs/dbraw/zinc/15/53/21/281155321.db2.gz XMZAVHMUQKDBCE-CMPLNLGQSA-N 0 0 280.324 2.830 20 5 CFBDRN CC[C@H]1CN(c2ccccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000264743422 288301683 /nfs/dbraw/zinc/30/16/83/288301683.db2.gz KUONPLKWTXWVRP-MNOVXSKESA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@H]1CN(Cc2csc([N+](=O)[O-])c2)CCCO1 ZINC000281237060 281171570 /nfs/dbraw/zinc/17/15/70/281171570.db2.gz LKGPKROBQWEUDU-NSHDSACASA-N 0 0 270.354 2.657 20 5 CFBDRN CC[C@H]1CN(c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000263796023 281175579 /nfs/dbraw/zinc/17/55/79/281175579.db2.gz ZEGBKLWBWBRSGM-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CC[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000264760515 281177421 /nfs/dbraw/zinc/17/74/21/281177421.db2.gz NLZAXTLSBOEQKY-ONGXEEELSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@H]1CN(c2ccccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420639868 281179375 /nfs/dbraw/zinc/17/93/75/281179375.db2.gz YJEGJUMYRYMHAM-RYUDHWBXSA-N 0 0 264.325 2.989 20 5 CFBDRN Cc1cccc(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)n1 ZINC000162160337 281190640 /nfs/dbraw/zinc/19/06/40/281190640.db2.gz SQJLOYQYBSBDSX-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN CC(C)[C@@H](CO)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000394026464 281193997 /nfs/dbraw/zinc/19/39/97/281193997.db2.gz CJDPDEJXRXMABU-LLVKDONJSA-N 0 0 289.335 2.819 20 5 CFBDRN CCOc1cc(NC[C@@H](C)C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000397734409 281201588 /nfs/dbraw/zinc/20/15/88/281201588.db2.gz GZYZGOSDIPBHBR-WDEREUQCSA-N 0 0 282.340 2.812 20 5 CFBDRN CCOc1cc(NC[C@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000397963880 281203607 /nfs/dbraw/zinc/20/36/07/281203607.db2.gz WOVDLEKFECFONB-ZYHUDNBSSA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2ncnc3sc([N+](=O)[O-])cc32)O1 ZINC000397960064 281203619 /nfs/dbraw/zinc/20/36/19/281203619.db2.gz UNQNURHVOZXWBO-YUMQZZPRSA-N 0 0 294.336 2.579 20 5 CFBDRN C[C@@H](N[C@@H](C)c1csnn1)c1ccc([N+](=O)[O-])cc1 ZINC000398435819 281206157 /nfs/dbraw/zinc/20/61/57/281206157.db2.gz XEFRRGOMYBPHFO-BDAKNGLRSA-N 0 0 278.337 2.858 20 5 CFBDRN C[C@H](CCCCO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000398589277 281207519 /nfs/dbraw/zinc/20/75/19/281207519.db2.gz OTBVOYQYHGVLHI-MRVPVSSYSA-N 0 0 274.267 2.836 20 5 CFBDRN C[C@@H]1CC[C@@H](O)CN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000399278921 281210050 /nfs/dbraw/zinc/21/00/50/281210050.db2.gz ZCTSJYQGSFEYRE-NXEZZACHSA-N 0 0 284.743 2.593 20 5 CFBDRN COCc1nc(COc2cc(F)cc([N+](=O)[O-])c2)cs1 ZINC000433417591 281233603 /nfs/dbraw/zinc/23/36/03/281233603.db2.gz MPTQCDVJMZCGGN-UHFFFAOYSA-N 0 0 298.295 2.916 20 5 CFBDRN C[C@H]1C[C@@H](COc2cccc([N+](=O)[O-])c2)CCO1 ZINC000447300834 281240436 /nfs/dbraw/zinc/24/04/36/281240436.db2.gz ZJDTVBXJYCPWJO-QWRGUYRKSA-N 0 0 251.282 2.789 20 5 CFBDRN CCc1ncc(CNc2ccc([N+](=O)[O-])c(OC)c2)o1 ZINC000450845931 281244918 /nfs/dbraw/zinc/24/49/18/281244918.db2.gz KYNWHVXHZPFODV-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN Cc1cccc(N[C@@H]2CC(=O)N(C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000302471811 281247634 /nfs/dbraw/zinc/24/76/34/281247634.db2.gz DBVOWTFDOREUNK-LLVKDONJSA-N 0 0 291.351 2.715 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000187421949 281263773 /nfs/dbraw/zinc/26/37/73/281263773.db2.gz RHQRJQJTJSPDOU-GWCFXTLKSA-N 0 0 262.309 2.855 20 5 CFBDRN CC1(C)CN(c2ccc(N)cc2[N+](=O)[O-])CC(C)(C)O1 ZINC000286596177 281267723 /nfs/dbraw/zinc/26/77/23/281267723.db2.gz ICQHIUODGZFPSU-UHFFFAOYSA-N 0 0 279.340 2.571 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1CCCc1ccsc1 ZINC000516063455 281299028 /nfs/dbraw/zinc/29/90/28/281299028.db2.gz RIODWHNLJMVDCJ-UHFFFAOYSA-N 0 0 282.296 2.590 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CS[C@@H](C)C1 ZINC000516785757 281306136 /nfs/dbraw/zinc/30/61/36/281306136.db2.gz VJBDFDIHYPUQBU-DTWKUNHWSA-N 0 0 268.338 2.909 20 5 CFBDRN CCCc1noc(COc2c(C)c(C)ncc2[N+](=O)[O-])n1 ZINC000520253953 281309475 /nfs/dbraw/zinc/30/94/75/281309475.db2.gz FLBDMHWTEJEQIZ-UHFFFAOYSA-N 0 0 292.295 2.521 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000525360266 281316394 /nfs/dbraw/zinc/31/63/94/281316394.db2.gz FAIWVQQIPJWUNK-QWRGUYRKSA-N 0 0 279.340 2.632 20 5 CFBDRN CCc1ccc(C(=O)N2CCSC[C@@H]2C)cc1[N+](=O)[O-] ZINC000173453205 281397107 /nfs/dbraw/zinc/39/71/07/281397107.db2.gz KBYZJYHEVLPKCO-JTQLQIEISA-N 0 0 294.376 2.735 20 5 CFBDRN Cc1[nH]nc(NC(=O)CCc2cccc([N+](=O)[O-])c2)c1C ZINC000531504149 281431235 /nfs/dbraw/zinc/43/12/35/281431235.db2.gz HBUHLXOYGDGQBK-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN COc1ccc(CC(=O)N[C@@H](C)CC(C)C)cc1[N+](=O)[O-] ZINC000533562285 281470403 /nfs/dbraw/zinc/47/04/03/281470403.db2.gz WGJGNRXKTRWMTN-NSHDSACASA-N 0 0 294.351 2.697 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2C[C@@H](C)O[C@H](C)C2)c1 ZINC000535054803 281496468 /nfs/dbraw/zinc/49/64/68/281496468.db2.gz QTQURMZTOBXYFM-GHMZBOCLSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@H](NCc1nnsc1Cl)c1cccc([N+](=O)[O-])c1 ZINC000537077739 281532559 /nfs/dbraw/zinc/53/25/59/281532559.db2.gz FHCKUGOZUUCWBW-ZETCQYMHSA-N 0 0 298.755 2.951 20 5 CFBDRN C[C@H](NCc1nnc(C2CC2)o1)c1ccccc1[N+](=O)[O-] ZINC000537125765 281532718 /nfs/dbraw/zinc/53/27/18/281532718.db2.gz DTMGOZYLQRUTRL-VIFPVBQESA-N 0 0 288.307 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@@H]2CCCC[C@@H]2O)c1 ZINC000537570198 281539774 /nfs/dbraw/zinc/53/97/74/281539774.db2.gz VXUCGHRPQDOOSK-WFASDCNBSA-N 0 0 278.352 2.891 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H](CC(C)C)C1 ZINC000360993275 281596921 /nfs/dbraw/zinc/59/69/21/281596921.db2.gz AKEQPWTWBLFXKI-GFCCVEGCSA-N 0 0 280.372 2.992 20 5 CFBDRN COC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000541786615 281611639 /nfs/dbraw/zinc/61/16/39/281611639.db2.gz YNYFIOPHGFGVER-LBPRGKRZSA-N 0 0 299.302 2.526 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3ccc(C)cc3[N+](=O)[O-])C2)c1 ZINC000290686671 281698148 /nfs/dbraw/zinc/69/81/48/281698148.db2.gz JITVSTZSGFHUIM-CYBMUJFWSA-N 0 0 286.335 2.860 20 5 CFBDRN CSCCc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000546402227 281708258 /nfs/dbraw/zinc/70/82/58/281708258.db2.gz NWCGZORHFYKBJX-UHFFFAOYSA-N 0 0 279.321 2.859 20 5 CFBDRN CCS[C@@H](C)c1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000546490469 281710962 /nfs/dbraw/zinc/71/09/62/281710962.db2.gz HSLHQFLTBQZREG-ZETCQYMHSA-N 0 0 282.325 2.797 20 5 CFBDRN CC1(C)CC(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000547730007 281751018 /nfs/dbraw/zinc/75/10/18/281751018.db2.gz QHFJMKYYFQTBKN-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN CC(C)c1ccccc1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548410503 281782810 /nfs/dbraw/zinc/78/28/10/281782810.db2.gz JSQLJSPECGWYIK-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CC1(C)CC(CNc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000548692397 281795255 /nfs/dbraw/zinc/79/52/55/281795255.db2.gz CZDNEVTVMOSQES-UHFFFAOYSA-N 0 0 286.335 2.808 20 5 CFBDRN COc1ccc(Oc2nc(C)cc(C)n2)c([N+](=O)[O-])c1 ZINC000548813760 281802686 /nfs/dbraw/zinc/80/26/86/281802686.db2.gz XKGWEDWMSRZODW-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN C[C@H](N(C)c1ccc2ncc([N+](=O)[O-])n2n1)C(C)(C)C ZINC000551980998 281848310 /nfs/dbraw/zinc/84/83/10/281848310.db2.gz WLDINMXWPVLAEW-VIFPVBQESA-N 0 0 277.328 2.508 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1F)[C@@H]1CC1(C)C ZINC000335789238 281880381 /nfs/dbraw/zinc/88/03/81/281880381.db2.gz SNBIDJRWISMNGK-LLVKDONJSA-N 0 0 266.272 2.604 20 5 CFBDRN CCOc1cc(NC2(COC)CC2)ccc1[N+](=O)[O-] ZINC000555157682 281909192 /nfs/dbraw/zinc/90/91/92/281909192.db2.gz NOLBJPGWPWPICJ-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@H]3CCOC3)C2)c(F)cc1[N+](=O)[O-] ZINC000555222095 281911075 /nfs/dbraw/zinc/91/10/75/281911075.db2.gz BKXMOVCKOHIUMV-NEPJUHHUSA-N 0 0 294.326 2.905 20 5 CFBDRN Cc1cccc(N2CC[C@H](OC(F)F)C2)c1[N+](=O)[O-] ZINC000558784922 281995623 /nfs/dbraw/zinc/99/56/23/281995623.db2.gz OZWXEPKQNRBCOX-VIFPVBQESA-N 0 0 272.251 2.721 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]nc1[N+](=O)[O-])[C@H](C)C1CCCCC1 ZINC000558757871 281995983 /nfs/dbraw/zinc/99/59/83/281995983.db2.gz QIZIDSACYBMNRE-VHSXEESVSA-N 0 0 294.355 2.653 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1C[C@@H](C)S[C@H](C)C1 ZINC000559295976 282004650 /nfs/dbraw/zinc/00/46/50/282004650.db2.gz VJRSZEAKKZGLJN-GHMZBOCLSA-N 0 0 296.392 2.929 20 5 CFBDRN CCN(CC)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000559337272 282005205 /nfs/dbraw/zinc/00/52/05/282005205.db2.gz NZXPRIVKKHLJEC-JTQLQIEISA-N 0 0 250.298 2.567 20 5 CFBDRN CCC[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C1CCC1 ZINC000560507704 282031920 /nfs/dbraw/zinc/03/19/20/282031920.db2.gz MESVRTOTKXQLMA-LBPRGKRZSA-N 0 0 277.324 2.688 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CSC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000560749452 282040374 /nfs/dbraw/zinc/04/03/74/282040374.db2.gz FFHYAOWNHRYGIH-GBIKHYSHSA-N 0 0 294.376 2.566 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116272490 288383672 /nfs/dbraw/zinc/38/36/72/288383672.db2.gz RPQYCZVYTGOEFD-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccnc(NCCc2c[nH]c3ccc([N+](=O)[O-])cc23)n1 ZINC000561697178 282059497 /nfs/dbraw/zinc/05/94/97/282059497.db2.gz FALANEKJOABWMJ-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN C[C@H]1C[C@@H]1Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000562104469 282066870 /nfs/dbraw/zinc/06/68/70/282066870.db2.gz VLUGOXYDFYSIMD-WCBMZHEXSA-N 0 0 259.265 2.843 20 5 CFBDRN CC[C@]1(C)CN(c2ccccc2[N+](=O)[O-])CCO1 ZINC000276054557 288450548 /nfs/dbraw/zinc/45/05/48/288450548.db2.gz PNEZRNYJJJZCBS-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@@H]1C[C@H](c2cccnc2)N(c2ccc([N+](=O)[O-])nc2)C1 ZINC000347883726 288785561 /nfs/dbraw/zinc/78/55/61/288785561.db2.gz VOTXLNJETHGSTE-BXUZGUMPSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@@]12CCN(c3ccc(N)cc3[N+](=O)[O-])C[C@@H]1C2(F)F ZINC000291991026 289226907 /nfs/dbraw/zinc/22/69/07/289226907.db2.gz QNGNIGZALGKQGZ-NWDGAFQWSA-N 0 0 283.278 2.659 20 5 CFBDRN C[C@H](C(=O)N1CCCc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000062508842 289280794 /nfs/dbraw/zinc/28/07/94/289280794.db2.gz KJYUCFBTJWARDX-JTQLQIEISA-N 0 0 274.320 2.920 20 5 CFBDRN C[C@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)C1CCC1 ZINC000334514218 289305068 /nfs/dbraw/zinc/30/50/68/289305068.db2.gz VNELXFHCIHJSQY-JTQLQIEISA-N 0 0 274.320 2.920 20 5 CFBDRN CCCC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000151987560 289835454 /nfs/dbraw/zinc/83/54/54/289835454.db2.gz YNHMOVRHHYCJMQ-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN Cc1conc1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000154624683 289900022 /nfs/dbraw/zinc/90/00/22/289900022.db2.gz NELCCIVXCUNHES-AATRIKPKSA-N 0 0 273.248 2.543 20 5 CFBDRN O=C(CC1CCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156522698 289940025 /nfs/dbraw/zinc/94/00/25/289940025.db2.gz CKJKBWRWWAPIDX-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1C=CCCC1 ZINC000157588678 289958960 /nfs/dbraw/zinc/95/89/60/289958960.db2.gz ZVHWEGOZGCBTPN-NSHDSACASA-N 0 0 292.360 2.912 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])N[C@@H]1C=CCCC1 ZINC000157976461 289967455 /nfs/dbraw/zinc/96/74/55/289967455.db2.gz JWNRWBRLORAIFF-GFCCVEGCSA-N 0 0 290.319 2.589 20 5 CFBDRN Cc1c(CC(=O)Nc2ccccc2O)cccc1[N+](=O)[O-] ZINC000158097295 289969943 /nfs/dbraw/zinc/96/99/43/289969943.db2.gz SVWMAGYCSNWADL-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN CN(C(=O)c1cc(Cl)ccn1)c1cccc([N+](=O)[O-])c1 ZINC000158398723 289975013 /nfs/dbraw/zinc/97/50/13/289975013.db2.gz GMNHGHOZDWCGKY-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CC[C@@H](SC)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158632070 289979347 /nfs/dbraw/zinc/97/93/47/289979347.db2.gz WXZQBTNYGBIPLV-LLVKDONJSA-N 0 0 268.338 2.699 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)S[C@H]1CCCNC1=O ZINC000352034449 290021145 /nfs/dbraw/zinc/02/11/45/290021145.db2.gz BWZOMDPYXQHEBZ-GWCFXTLKSA-N 0 0 294.376 2.976 20 5 CFBDRN C[C@@H]1C[C@@H](COc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000447299674 290032044 /nfs/dbraw/zinc/03/20/44/290032044.db2.gz SQCNZWNVKLKNIS-ZJUUUORDSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000563038400 290096809 /nfs/dbraw/zinc/09/68/09/290096809.db2.gz MEVKVJQARKSMDA-QWRGUYRKSA-N 0 0 293.367 2.688 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)C1(CF)CCC1 ZINC000563168763 290111886 /nfs/dbraw/zinc/11/18/86/290111886.db2.gz AHGWVBSTNQGCMB-UHFFFAOYSA-N 0 0 270.235 2.812 20 5 CFBDRN CC[C@@H](C)C(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000563748306 290172897 /nfs/dbraw/zinc/17/28/97/290172897.db2.gz JOTGUHAETLSLDN-SNVBAGLBSA-N 0 0 289.335 2.781 20 5 CFBDRN C[C@H]1CCC[C@@H](Cn2cc([N+](=O)[O-])cc(F)c2=O)C1 ZINC000563810800 290176007 /nfs/dbraw/zinc/17/60/07/290176007.db2.gz SOESAHOPJLZFDD-VHSXEESVSA-N 0 0 268.288 2.722 20 5 CFBDRN CC1(Cn2nc(-c3ccccc3[N+](=O)[O-])oc2=O)CCC1 ZINC000564209379 290197033 /nfs/dbraw/zinc/19/70/33/290197033.db2.gz PIXIXGHLUVZMBS-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN COc1cccc(N2C[C@@H](C)OC3(CCC3)C2)c1[N+](=O)[O-] ZINC000564325042 290203282 /nfs/dbraw/zinc/20/32/82/290203282.db2.gz OLBMYXONVAMVAB-LLVKDONJSA-N 0 0 292.335 2.751 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2csc(-c3ncon3)n2)c1 ZINC000564754621 290229702 /nfs/dbraw/zinc/22/97/02/290229702.db2.gz HELALEGIJJEYQL-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC(C)(C)C(C)C ZINC000565074402 290265030 /nfs/dbraw/zinc/26/50/30/290265030.db2.gz WBTLVWDHBRCTSH-UHFFFAOYSA-N 0 0 254.334 2.966 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H](C)C[C@H]1CCCO1 ZINC000565222891 290285121 /nfs/dbraw/zinc/28/51/21/290285121.db2.gz RHZGXOGBBXPJBZ-GHMZBOCLSA-N 0 0 280.324 2.973 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOC[C@@H]1C1CCC1 ZINC000565536298 290308083 /nfs/dbraw/zinc/30/80/83/290308083.db2.gz CFIGTBPJLVNYJR-CQSZACIVSA-N 0 0 280.299 2.739 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@@H](OC)C1(C)C ZINC000565666285 290316933 /nfs/dbraw/zinc/31/69/33/290316933.db2.gz CDDODRNPUFKJCM-VXGBXAGGSA-N 0 0 280.324 2.829 20 5 CFBDRN CC(C)c1ccc(CNCc2ncccn2)cc1[N+](=O)[O-] ZINC000565727321 290320336 /nfs/dbraw/zinc/32/03/36/290320336.db2.gz HKOMYMQUXQHFMB-UHFFFAOYSA-N 0 0 286.335 2.798 20 5 CFBDRN CCCN(C(=O)NCCc1ccccc1[N+](=O)[O-])C(C)C ZINC000566433016 290384764 /nfs/dbraw/zinc/38/47/64/290384764.db2.gz MKIVYZGWJRXFJO-UHFFFAOYSA-N 0 0 293.367 2.967 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@H]2C2CCC2)cs1 ZINC000566676705 290408915 /nfs/dbraw/zinc/40/89/15/290408915.db2.gz BCMOEMFHBNXCPG-LBPRGKRZSA-N 0 0 282.365 2.657 20 5 CFBDRN COCC[C@@H]1CCCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000568731377 290567817 /nfs/dbraw/zinc/56/78/17/290567817.db2.gz IZRIQIQKUBAYAY-NSHDSACASA-N 0 0 297.330 2.772 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc3c(c2)CCO3)cn1 ZINC000569032559 290583681 /nfs/dbraw/zinc/58/36/81/290583681.db2.gz LICHETXLDDCTEB-UHFFFAOYSA-N 0 0 271.276 2.537 20 5 CFBDRN Cc1cnc(N2CC[C@H](C(C)(C)C)[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC000273196186 292913584 /nfs/dbraw/zinc/91/35/84/292913584.db2.gz DKDOQJKBZUCAPF-AAEUAGOBSA-N 0 0 293.367 2.532 20 5 CFBDRN COC[C@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000450934144 293204554 /nfs/dbraw/zinc/20/45/54/293204554.db2.gz FSSZVFGUDCQROW-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1nc(N2CCOC[C@@H]2CC(C)C)ccc1[N+](=O)[O-] ZINC000268448334 293299572 /nfs/dbraw/zinc/29/95/72/293299572.db2.gz FHYZVFNACSGQDF-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN CO[C@@H]1CCN(c2ncc(C)cc2[N+](=O)[O-])CC1(C)C ZINC000295714951 293761904 /nfs/dbraw/zinc/76/19/04/293761904.db2.gz KNLSBOGPYYOWEQ-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN CO[C@@]1(C)C[C@@H](N(C)c2ncccc2[N+](=O)[O-])C1(C)C ZINC000301430131 294142616 /nfs/dbraw/zinc/14/26/16/294142616.db2.gz JIUFIGOXFFYFKE-RISCZKNCSA-N 0 0 279.340 2.630 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CCCC1(C)C ZINC000338812323 294460999 /nfs/dbraw/zinc/46/09/99/294460999.db2.gz QAGODMZYMMQFHA-VIFPVBQESA-N 0 0 252.318 2.567 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2c1cccc(F)c1[N+](=O)[O-] ZINC000286236164 294698566 /nfs/dbraw/zinc/69/85/66/294698566.db2.gz FABYYVWIANUCMI-COJLNKHHSA-N 0 0 292.310 2.594 20 5 CFBDRN COc1cc(N2CC[C@H](OC)[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000450766086 294995223 /nfs/dbraw/zinc/99/52/23/294995223.db2.gz SGDMYVKXNBZBPL-ZANVPECISA-N 0 0 298.314 2.604 20 5 CFBDRN COc1cc(N2C[C@H](C)OC(C)(C)C2)c([N+](=O)[O-])cc1F ZINC000136439861 294995456 /nfs/dbraw/zinc/99/54/56/294995456.db2.gz LKYABCCEAUEZRU-VIFPVBQESA-N 0 0 298.314 2.746 20 5 CFBDRN NC(=O)c1cccc(N[C@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000342648422 295189110 /nfs/dbraw/zinc/18/91/10/295189110.db2.gz AVAARVHIZARQCD-QMMMGPOBSA-N 0 0 299.277 2.684 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC(c3ccncc3)CC2)c1 ZINC000193529416 295355738 /nfs/dbraw/zinc/35/57/38/295355738.db2.gz XEZPGBMOHVEEAC-UHFFFAOYSA-N 0 0 298.346 2.956 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000150743958 297688755 /nfs/dbraw/zinc/68/87/55/297688755.db2.gz YEEXDWPDBIINJO-DTWKUNHWSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@H](C1CCCCC1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000337781445 298267131 /nfs/dbraw/zinc/26/71/31/298267131.db2.gz BIVUOTZPZDHJIO-SNVBAGLBSA-N 0 0 266.345 2.733 20 5 CFBDRN C[C@H]1CCN(Cc2c([N+](=O)[O-])ncn2C)c2ccccc21 ZINC000332027135 299979347 /nfs/dbraw/zinc/97/93/47/299979347.db2.gz UHZGGPLQKUDPGE-NSHDSACASA-N 0 0 286.335 2.842 20 5 CFBDRN C[C@H]1CN(c2ccncc2[N+](=O)[O-])C[C@H](c2ccccc2)O1 ZINC000340152126 300301277 /nfs/dbraw/zinc/30/12/77/300301277.db2.gz RATYZXZOKBCAMN-BLLLJJGKSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1c[nH]nc1CN(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000356057602 301032627 /nfs/dbraw/zinc/03/26/27/301032627.db2.gz DSJRXBSSWGCAPJ-UHFFFAOYSA-N 0 0 286.335 2.791 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCO[C@H]3CCCC[C@@H]32)n1 ZINC000408171865 301204324 /nfs/dbraw/zinc/20/43/24/301204324.db2.gz OQSBLLBQDKGRMF-STQMWFEESA-N 0 0 291.351 2.754 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCCO[C@@H](C)C1 ZINC000175082578 301431217 /nfs/dbraw/zinc/43/12/17/301431217.db2.gz PHSQSYQDDRRKCA-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](O)c2ccccc2C1 ZINC000375888028 301433056 /nfs/dbraw/zinc/43/30/56/301433056.db2.gz FMIRLJRVVICMGL-HNNXBMFYSA-N 0 0 299.330 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCSC[C@@H](C)C2)c1 ZINC000277662302 301849046 /nfs/dbraw/zinc/84/90/46/301849046.db2.gz ZGPJWJSUFSCNRG-NSHDSACASA-N 0 0 294.376 2.728 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2[C@@H](C)CC[C@@H]2C)c1 ZINC000335331393 301849876 /nfs/dbraw/zinc/84/98/76/301849876.db2.gz BZPSEQZLHDXYCV-QWRGUYRKSA-N 0 0 262.309 2.916 20 5 CFBDRN Cc1ccnc(N2CCC[C@@H](OCC3CC3)C2)c1[N+](=O)[O-] ZINC000368799623 302270366 /nfs/dbraw/zinc/27/03/66/302270366.db2.gz XQVUMECZVFUUPB-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cnc(N2CC(C)(C)OC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000276010661 302347827 /nfs/dbraw/zinc/34/78/27/302347827.db2.gz ITWFZLDSKIVIRA-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@@]3(CC=CCC3)C2)c1[N+](=O)[O-] ZINC000114451294 302786053 /nfs/dbraw/zinc/78/60/53/302786053.db2.gz QXLQLCRDUCLBPJ-HNNXBMFYSA-N 0 0 290.367 2.963 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@H](C(F)(F)F)C2)c1 ZINC000193367760 303497875 /nfs/dbraw/zinc/49/78/75/303497875.db2.gz LQGYPMZCQCKKOQ-QMMMGPOBSA-N 0 0 289.257 2.956 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H]2OCCC[C@H]2C1 ZINC000301744779 304800190 /nfs/dbraw/zinc/80/01/90/304800190.db2.gz NIDGLGXMQTWYOE-JOYOIKCWSA-N 0 0 297.742 2.649 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CCOCC4(CC4)C3)ccnc21 ZINC000432179542 304807029 /nfs/dbraw/zinc/80/70/29/304807029.db2.gz XWFAICFJOXOXJS-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000450711500 304807738 /nfs/dbraw/zinc/80/77/38/304807738.db2.gz UYRPZGYRENEEKD-JMSVASOKSA-N 0 0 276.336 2.725 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCCO[C@H]2CCC[C@H]21 ZINC000375815336 304807752 /nfs/dbraw/zinc/80/77/52/304807752.db2.gz PDKAKCIMQBXOPB-KGLIPLIRSA-N 0 0 262.309 2.743 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H]2C[C@@H](O)CC[C@@H]2C1 ZINC000450809848 304808053 /nfs/dbraw/zinc/80/80/53/304808053.db2.gz XKCOKQOWMTYHSN-UPJWGTAASA-N 0 0 276.336 2.582 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@H]1c1ccccc1 ZINC000354046527 304811212 /nfs/dbraw/zinc/81/12/12/304811212.db2.gz DBSGPTQVATWEEJ-GFCCVEGCSA-N 0 0 255.277 2.941 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2nc3ccccc3cc2[N+](=O)[O-])O1 ZINC000413372468 533696701 /nfs/dbraw/zinc/69/67/01/533696701.db2.gz GCJVPEKQTKRZCY-JQWIXIFHSA-N 0 0 287.319 2.544 20 5 CFBDRN CCCCOCCSc1ccc([N+](=O)[O-])cn1 ZINC000159718970 322327256 /nfs/dbraw/zinc/32/72/56/322327256.db2.gz LDZXIAHJMOFMLO-UHFFFAOYSA-N 0 0 256.327 2.899 20 5 CFBDRN O=[N+]([O-])c1ccccc1-n1ccc(-c2nc(C3CC3)no2)n1 ZINC000160819976 322343376 /nfs/dbraw/zinc/34/33/76/322343376.db2.gz XKQVQYZZCNGHIR-UHFFFAOYSA-N 0 0 297.274 2.708 20 5 CFBDRN CC[C@H](C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161293433 322349914 /nfs/dbraw/zinc/34/99/14/322349914.db2.gz BBILYLNQBGSDFO-JTQLQIEISA-N 0 0 262.309 2.526 20 5 CFBDRN CC1(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)CCC1 ZINC000161415528 322351370 /nfs/dbraw/zinc/35/13/70/322351370.db2.gz OCXJAYZWGNDXNB-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN COc1ccc(C(=O)N2[C@@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000161991901 322359454 /nfs/dbraw/zinc/35/94/54/322359454.db2.gz VLZYBSBFDUKXJP-UWVGGRQHSA-N 0 0 278.308 2.616 20 5 CFBDRN Cc1c(C(=O)N2[C@@H](C)CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000162436889 322365078 /nfs/dbraw/zinc/36/50/78/322365078.db2.gz MZXPPVGIBUGMCB-UWVGGRQHSA-N 0 0 262.309 2.916 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1)c1cscn1 ZINC000163251591 322374770 /nfs/dbraw/zinc/37/47/70/322374770.db2.gz PDXAXMZDZVKDQU-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN COc1ccc(CCNc2ncccc2[N+](=O)[O-])cc1F ZINC000170869283 322395469 /nfs/dbraw/zinc/39/54/69/322395469.db2.gz CGLNOCHZPJHYOL-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN COC(=O)c1coc(COc2ccccc2[N+](=O)[O-])c1 ZINC000171409756 322405616 /nfs/dbraw/zinc/40/56/16/322405616.db2.gz CIRNDIVSPYFHIF-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000172444391 322425067 /nfs/dbraw/zinc/42/50/67/322425067.db2.gz QHNZHCMOCJRMCS-LLVKDONJSA-N 0 0 264.325 2.546 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCOC2CCCC2)c1 ZINC000569339997 322465739 /nfs/dbraw/zinc/46/57/39/322465739.db2.gz YLLSJJXERIGXAH-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000569490226 322477017 /nfs/dbraw/zinc/47/70/17/322477017.db2.gz VGQRPQZPWGEBGY-UONOGXRCSA-N 0 0 288.347 2.989 20 5 CFBDRN Cc1nn(C(C)C)cc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000569588040 322483375 /nfs/dbraw/zinc/48/33/75/322483375.db2.gz FBAIUDUDPHDQQP-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCCC[C@H]1O ZINC000570462577 322534281 /nfs/dbraw/zinc/53/42/81/322534281.db2.gz HVLZGPRNLFZDOE-CMPLNLGQSA-N 0 0 280.324 2.566 20 5 CFBDRN C[C@H]1CCCC[C@H]1Cn1cc([N+](=O)[O-])cc(F)c1=O ZINC000570529684 322537752 /nfs/dbraw/zinc/53/77/52/322537752.db2.gz XYSJRKSCAKTHAL-UWVGGRQHSA-N 0 0 268.288 2.722 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCc2cscn2)c1 ZINC000570841593 322555487 /nfs/dbraw/zinc/55/54/87/322555487.db2.gz HZWGXGNCIGATCD-UHFFFAOYSA-N 0 0 280.305 2.681 20 5 CFBDRN CSC[C@@H](C)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000572425522 322618732 /nfs/dbraw/zinc/61/87/32/322618732.db2.gz JFGBTEGUJRHDBY-ZJUUUORDSA-N 0 0 282.365 2.566 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000572893021 322636002 /nfs/dbraw/zinc/63/60/02/322636002.db2.gz GMUNGBPRLFZPTN-MRVPVSSYSA-N 0 0 282.344 2.606 20 5 CFBDRN C[C@@H](C(=O)NC1CC(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000573185616 322646898 /nfs/dbraw/zinc/64/68/98/322646898.db2.gz CHMXCEYUIOXVCB-SQLBVSGCSA-N 0 0 262.309 2.613 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])c(C)c1)[C@H]1CCOC1 ZINC000573297092 322650792 /nfs/dbraw/zinc/65/07/92/322650792.db2.gz GKFRNYIQTNTNDM-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN C[C@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])c1ccon1 ZINC000573458450 322657388 /nfs/dbraw/zinc/65/73/88/322657388.db2.gz SSNDUTHOPNQHOH-ZANVPECISA-N 0 0 273.292 2.921 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000573689815 322665475 /nfs/dbraw/zinc/66/54/75/322665475.db2.gz UXZJUKOTYKAXMT-MFKMUULPSA-N 0 0 295.339 2.513 20 5 CFBDRN C[C@@H](NC(=O)NC1(CF)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000573797623 322669182 /nfs/dbraw/zinc/66/91/82/322669182.db2.gz KRVPRDBSYNNZTQ-SNVBAGLBSA-N 0 0 295.314 2.847 20 5 CFBDRN CC(F)(F)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000574175164 322684234 /nfs/dbraw/zinc/68/42/34/322684234.db2.gz OKOMJRKCBAXQLY-UHFFFAOYSA-N 0 0 257.240 2.630 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)C[C@@H]1CCCC[C@H]1O ZINC000574530714 322696555 /nfs/dbraw/zinc/69/65/55/322696555.db2.gz DPBJSBYQHKGEOB-WCQYABFASA-N 0 0 294.351 2.591 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@H](F)C1 ZINC000574818498 322707234 /nfs/dbraw/zinc/70/72/34/322707234.db2.gz XQDLEDGPYCPBPT-JTQLQIEISA-N 0 0 291.282 2.650 20 5 CFBDRN C[C@H]1C[C@H](C)N1c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000575150659 322720204 /nfs/dbraw/zinc/72/02/04/322720204.db2.gz FPWXYKQBEGOVFT-BQBZGAKWSA-N 0 0 275.230 2.996 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000176527188 323679101 /nfs/dbraw/zinc/67/91/01/323679101.db2.gz GTHKAPPTIINEIO-GHMZBOCLSA-N 0 0 277.324 2.677 20 5 CFBDRN CCC1(CNC(=O)NCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000177281111 323693366 /nfs/dbraw/zinc/69/33/66/323693366.db2.gz SECKJCYWGJWCPB-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN O=C([C@@H]1C[C@H]1C1CC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000177416354 323695492 /nfs/dbraw/zinc/69/54/92/323695492.db2.gz JMTOMZPEJWCBDD-NWDGAFQWSA-N 0 0 272.304 2.530 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000177558919 323697749 /nfs/dbraw/zinc/69/77/49/323697749.db2.gz SLEZDGCACOFMLE-KGLIPLIRSA-N 0 0 288.347 2.992 20 5 CFBDRN CS[C@@H](C)CC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000179247877 323738791 /nfs/dbraw/zinc/73/87/91/323738791.db2.gz MCXUQLXPXAWSTD-UWVGGRQHSA-N 0 0 282.365 2.914 20 5 CFBDRN CC[C@@H](C)Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000182329706 323857184 /nfs/dbraw/zinc/85/71/84/323857184.db2.gz MUZVUSFEORKQRD-SNVBAGLBSA-N 0 0 260.297 2.899 20 5 CFBDRN CC[C@H](C)Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000182329723 323857196 /nfs/dbraw/zinc/85/71/96/323857196.db2.gz MUZVUSFEORKQRD-JTQLQIEISA-N 0 0 260.297 2.899 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)Nc2ccncc2[N+](=O)[O-])C[C@@H]1C ZINC000183442897 323917717 /nfs/dbraw/zinc/91/77/17/323917717.db2.gz GPYPOMTWJWKITP-OUAUKWLOSA-N 0 0 292.339 2.936 20 5 CFBDRN Cc1nscc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000183477577 323918989 /nfs/dbraw/zinc/91/89/89/323918989.db2.gz LEBDBQZBMRABSL-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN COC1(C(=O)NCc2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000184204857 323938049 /nfs/dbraw/zinc/93/80/49/323938049.db2.gz YXRWRHSEUDRAHN-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)c1nccs1 ZINC000184279999 323940990 /nfs/dbraw/zinc/94/09/90/323940990.db2.gz VNGDXSNLOBSLOW-SECBINFHSA-N 0 0 291.332 2.585 20 5 CFBDRN C[C@H]1CCCN1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186014673 323975743 /nfs/dbraw/zinc/97/57/43/323975743.db2.gz AEMSARLJRLBLIE-VIFPVBQESA-N 0 0 297.742 2.942 20 5 CFBDRN Cc1cc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])co1 ZINC000187146020 323991259 /nfs/dbraw/zinc/99/12/59/323991259.db2.gz OGMOLZJSNWXVIO-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000187675228 323999355 /nfs/dbraw/zinc/99/93/55/323999355.db2.gz QLUBIPAFJFFXOW-SMDDNHRTSA-N 0 0 291.351 2.925 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1ccc(F)c(Cl)c1 ZINC000188992809 324023887 /nfs/dbraw/zinc/02/38/87/324023887.db2.gz OEFQFWMSVQLXPY-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN Cc1oncc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000189327265 324027297 /nfs/dbraw/zinc/02/72/97/324027297.db2.gz AJWGEGPJQVWQLJ-SECBINFHSA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1cc(=O)n(Cc2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] ZINC000189856766 324034776 /nfs/dbraw/zinc/03/47/76/324034776.db2.gz CYYBHJNKLLCNID-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccoc1Cl ZINC000192396971 324059754 /nfs/dbraw/zinc/05/97/54/324059754.db2.gz TZPACZKVKLRVEH-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCOc1ccc(CO)cc1 ZINC000192777027 324065285 /nfs/dbraw/zinc/06/52/85/324065285.db2.gz FDXJNGUOKFUMOI-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC=CCC1 ZINC000194734894 324094797 /nfs/dbraw/zinc/09/47/97/324094797.db2.gz SIZVBLBVKMPBDK-NSHDSACASA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1nn(Cc2nc(-c3ccc(C)cc3)no2)cc1[N+](=O)[O-] ZINC000349854506 324189967 /nfs/dbraw/zinc/18/99/67/324189967.db2.gz AAKCALJRSNIZMT-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(-c2ccccc2)no1 ZINC000349866980 324190847 /nfs/dbraw/zinc/19/08/47/324190847.db2.gz XINRNDVTDGRCDE-UHFFFAOYSA-N 0 0 270.248 2.650 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc(=O)[nH]c3)no2)ccc1[N+](=O)[O-] ZINC000350807187 324259871 /nfs/dbraw/zinc/25/98/71/324259871.db2.gz VGTXHRYAZBTIDD-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(Cc3ccoc3)n2)s1 ZINC000350834223 324265141 /nfs/dbraw/zinc/26/51/41/324265141.db2.gz IIGKMDPYERAWDE-UHFFFAOYSA-N 0 0 277.261 2.890 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]nc(-c3nc(-c4ccoc4)no3)c2c1 ZINC000350841799 324265886 /nfs/dbraw/zinc/26/58/86/324265886.db2.gz OHNIFJMMQMRLHQ-UHFFFAOYSA-N 0 0 297.230 2.781 20 5 CFBDRN Cc1cc(-c2nc([C@H]3CCCOC3)no2)cc([N+](=O)[O-])c1 ZINC000350858602 324270638 /nfs/dbraw/zinc/27/06/38/324270638.db2.gz ZZYVJKFVPFINSJ-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN O=C1CCC(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CC1 ZINC000350874336 324273206 /nfs/dbraw/zinc/27/32/06/324273206.db2.gz NOLZTVDDFNARNN-UHFFFAOYSA-N 0 0 293.304 2.933 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]cc(-c3nc(-c4cocn4)no3)c2c1 ZINC000350876165 324273795 /nfs/dbraw/zinc/27/37/95/324273795.db2.gz DSBOQFHGMDVCOC-UHFFFAOYSA-N 0 0 297.230 2.781 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(/C=C/c2ccccc2)n1 ZINC000350889964 324278631 /nfs/dbraw/zinc/27/86/31/324278631.db2.gz LCBSYYHCDLNZIB-BQYQJAHWSA-N 0 0 297.274 2.549 20 5 CFBDRN C/C(=C/c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1)C1CC1 ZINC000350924425 324283467 /nfs/dbraw/zinc/28/34/67/324283467.db2.gz LJXIOKXLAMCDHJ-SREVYHEPSA-N 0 0 289.295 2.500 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(-c3cccc(C)c3)n2)n1C ZINC000350924352 324283705 /nfs/dbraw/zinc/28/37/05/324283705.db2.gz KPWBOEWXSLHSAT-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN Cc1ocnc1-c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000351029228 324297130 /nfs/dbraw/zinc/29/71/30/324297130.db2.gz YMHXMBXWXLCHOX-UHFFFAOYSA-N 0 0 278.249 2.670 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000351097442 324310914 /nfs/dbraw/zinc/31/09/14/324310914.db2.gz AGVKQVRMLVHUQX-BDAKNGLRSA-N 0 0 291.311 2.672 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(CCCC(C)C)n2)n1C ZINC000351097474 324311045 /nfs/dbraw/zinc/31/10/45/324311045.db2.gz AOEBXPSWZYPHKQ-UHFFFAOYSA-N 0 0 293.327 2.665 20 5 CFBDRN CC[C@H]1CCC[C@@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000351097444 324311204 /nfs/dbraw/zinc/31/12/04/324311204.db2.gz AGVKQVRMLVHUQX-IUCAKERBSA-N 0 0 291.311 2.672 20 5 CFBDRN Cc1cc(-c2noc([C@@H]3CO[C@@H](C)C3)n2)cc([N+](=O)[O-])c1 ZINC000351140608 324324356 /nfs/dbraw/zinc/32/43/56/324324356.db2.gz BNFYZIKQNILXEV-ONGXEEELSA-N 0 0 289.291 2.846 20 5 CFBDRN Cc1cc(-c2noc([C@]3(C)CCOC3)n2)cc([N+](=O)[O-])c1 ZINC000351140684 324324965 /nfs/dbraw/zinc/32/49/65/324324965.db2.gz BWRGWIIQTNVMSO-CQSZACIVSA-N 0 0 289.291 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CC34CCOCC4)n2)o1 ZINC000351145701 324326672 /nfs/dbraw/zinc/32/66/72/324326672.db2.gz KDCLOFYXSFNETJ-QMMMGPOBSA-N 0 0 291.263 2.522 20 5 CFBDRN CCN(CC)Cc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351145726 324326679 /nfs/dbraw/zinc/32/66/79/324326679.db2.gz KHTRUJVWDXRATD-UHFFFAOYSA-N 0 0 290.323 2.795 20 5 CFBDRN Cc1c(-c2noc(COC(C)C)n2)cccc1[N+](=O)[O-] ZINC000351143851 324326758 /nfs/dbraw/zinc/32/67/58/324326758.db2.gz HCKDHCSAYAFMMO-UHFFFAOYSA-N 0 0 277.280 2.878 20 5 CFBDRN CO[C@@](C)(c1nc(-c2ccc([N+](=O)[O-])o2)no1)C1CC1 ZINC000351144757 324327090 /nfs/dbraw/zinc/32/70/90/324327090.db2.gz ILWDDHKNJGKDLM-GFCCVEGCSA-N 0 0 279.252 2.509 20 5 CFBDRN CC[C@H]1CC[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351147295 324327472 /nfs/dbraw/zinc/32/74/72/324327472.db2.gz NZYQOTQASLRHIF-CBAPKCEASA-N 0 0 279.252 2.868 20 5 CFBDRN CCCCOCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351151193 324330092 /nfs/dbraw/zinc/33/00/92/324330092.db2.gz URFLBTXCTYGYPW-UHFFFAOYSA-N 0 0 267.241 2.555 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351153386 324330496 /nfs/dbraw/zinc/33/04/96/324330496.db2.gz YGJQCJSMQLIJQC-NYNCVSEMSA-N 0 0 279.252 2.724 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351152866 324330906 /nfs/dbraw/zinc/33/09/06/324330906.db2.gz XGUVTSXWCNMIDR-SFYZADRCSA-N 0 0 263.253 2.997 20 5 CFBDRN CSC[C@@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351154144 324331287 /nfs/dbraw/zinc/33/12/87/324331287.db2.gz ZIBJXNXDJLGKCS-ZCFIWIBFSA-N 0 0 269.282 2.704 20 5 CFBDRN C[C@]1(c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)CC1(F)F ZINC000351286198 324370848 /nfs/dbraw/zinc/37/08/48/324370848.db2.gz JXJVVHSKAMUEPZ-LLVKDONJSA-N 0 0 296.233 2.524 20 5 CFBDRN CNc1ccc(C(=O)NCCSC(C)C)cc1[N+](=O)[O-] ZINC000380437191 324410870 /nfs/dbraw/zinc/41/08/70/324410870.db2.gz CMLFVBIOHKBEAJ-UHFFFAOYSA-N 0 0 297.380 2.508 20 5 CFBDRN Cc1nnsc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000384159489 324443761 /nfs/dbraw/zinc/44/37/61/324443761.db2.gz JIGXWJSNBRQPRA-QMMMGPOBSA-N 0 0 278.337 2.606 20 5 CFBDRN C[C@@H](CC(C)(C)C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000384516056 324448710 /nfs/dbraw/zinc/44/87/10/324448710.db2.gz IPYAICLGPYMKDI-VIFPVBQESA-N 0 0 279.340 2.930 20 5 CFBDRN Cc1ccc(N[C@H]2CCOC2(C)C)c([N+](=O)[O-])c1 ZINC000385632768 324463445 /nfs/dbraw/zinc/46/34/45/324463445.db2.gz SREBRTKIDVLQIS-LBPRGKRZSA-N 0 0 250.298 2.883 20 5 CFBDRN CO[C@H]([C@H](C)Nc1cc(C)c([N+](=O)[O-])cn1)C1CC1 ZINC000388348897 324505425 /nfs/dbraw/zinc/50/54/25/324505425.db2.gz NOAKACRIESMUSF-TVQRCGJNSA-N 0 0 265.313 2.524 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000388696629 324510232 /nfs/dbraw/zinc/51/02/32/324510232.db2.gz MJLMJBFQYDQFKW-PWSUYJOCSA-N 0 0 291.351 2.945 20 5 CFBDRN COc1cc(N[C@H]2CSC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000397857843 324548681 /nfs/dbraw/zinc/54/86/81/324548681.db2.gz XBNHGSIYQWXBLQ-XVKPBYJWSA-N 0 0 286.328 2.906 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000576044921 324570578 /nfs/dbraw/zinc/57/05/78/324570578.db2.gz IIBLXAGQGZUHKZ-WCBMZHEXSA-N 0 0 285.319 2.525 20 5 CFBDRN CC(C)Oc1nc(N[C@H]2CS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000577413247 324735428 /nfs/dbraw/zinc/73/54/28/324735428.db2.gz VGNTVAWIXMQTPM-NXEZZACHSA-N 0 0 297.380 2.505 20 5 CFBDRN O=c1oc(-c2ccccc2[N+](=O)[O-])nn1CC1CCCC1 ZINC000577511894 324746922 /nfs/dbraw/zinc/74/69/22/324746922.db2.gz BVUUOJXOSGHDCC-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN C[C@H]1CN(C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000577874590 324791199 /nfs/dbraw/zinc/79/11/99/324791199.db2.gz NVVVFVIRUQJJBY-TXEJJXNPSA-N 0 0 290.363 2.987 20 5 CFBDRN CCOc1cc(OCc2snnc2C)ccc1[N+](=O)[O-] ZINC000578338522 324842388 /nfs/dbraw/zinc/84/23/88/324842388.db2.gz LPXWZTHTXXSWLP-UHFFFAOYSA-N 0 0 295.320 2.732 20 5 CFBDRN COC(=O)[C@H](CNc1ccc([N+](=O)[O-])c(C)c1)CC1CC1 ZINC000579131341 324924842 /nfs/dbraw/zinc/92/48/42/324924842.db2.gz JIEYFZQURRGVMM-LBPRGKRZSA-N 0 0 292.335 2.904 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000579210441 324930893 /nfs/dbraw/zinc/93/08/93/324930893.db2.gz DPHBDGKQXFESDH-RKDXNWHRSA-N 0 0 291.229 2.598 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1c1ccc([N+](=O)[O-])c(N)c1F ZINC000579447580 324950884 /nfs/dbraw/zinc/95/08/84/324950884.db2.gz VSTOXOZHANWPNR-MRVPVSSYSA-N 0 0 267.304 2.941 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@H](C)[C@@H](C)[C@@H]1C ZINC000579572823 324960898 /nfs/dbraw/zinc/96/08/98/324960898.db2.gz ORVKZNGXNFLQRO-NGZCFLSTSA-N 0 0 266.345 2.600 20 5 CFBDRN CC(C)(O)CCSCCOc1ccccc1[N+](=O)[O-] ZINC000579732440 324976501 /nfs/dbraw/zinc/97/65/01/324976501.db2.gz WQCRCBJKWHKENX-UHFFFAOYSA-N 0 0 285.365 2.868 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1cscn1 ZINC000580095744 325007744 /nfs/dbraw/zinc/00/77/44/325007744.db2.gz FZDVICOHVBSKQU-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@@H](F)C1 ZINC000580546928 325044795 /nfs/dbraw/zinc/04/47/95/325044795.db2.gz MHZHLMQKMFHKHL-ZJUUUORDSA-N 0 0 281.287 2.997 20 5 CFBDRN CCn1ccnc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000580628246 325051605 /nfs/dbraw/zinc/05/16/05/325051605.db2.gz MKQWEKXAMMUODQ-SNVBAGLBSA-N 0 0 288.307 2.553 20 5 CFBDRN O=C([O-])CCCC[N@H+](Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000581493863 325127111 /nfs/dbraw/zinc/12/71/11/325127111.db2.gz MDDRTPPBPBZAQS-UHFFFAOYSA-N 0 0 292.335 2.814 20 5 CFBDRN C[C@H](C1CC1)N(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000581692344 325143421 /nfs/dbraw/zinc/14/34/21/325143421.db2.gz UXXGEVIJFVFQIC-SSDOTTSWSA-N 0 0 255.705 2.878 20 5 CFBDRN CCc1cc(CN[C@@H]2CCc3c2cccc3[N+](=O)[O-])on1 ZINC000581736240 325147763 /nfs/dbraw/zinc/14/77/63/325147763.db2.gz PGNPSGUPHNNJLN-CQSZACIVSA-N 0 0 287.319 2.922 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC2(CCC2)[C@@H]2COC[C@@H]21 ZINC000581989903 325166577 /nfs/dbraw/zinc/16/65/77/325166577.db2.gz DSPFGZUXGPODFW-RISCZKNCSA-N 0 0 274.320 2.600 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1C[C@@H](C)[C@](C)(C(=O)[O-])C1 ZINC000582009250 325168476 /nfs/dbraw/zinc/16/84/76/325168476.db2.gz XRINEDXLXUYQON-JRPNMDOOSA-N 0 0 292.335 2.698 20 5 CFBDRN Cc1cc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c(C)cn1 ZINC000582159003 325181220 /nfs/dbraw/zinc/18/12/20/325181220.db2.gz XZKMHHZTMJHCFS-LBPRGKRZSA-N 0 0 299.330 2.771 20 5 CFBDRN CC(C)C[C@@H](C(=O)[O-])[N@H+](C)CCc1ccccc1[N+](=O)[O-] ZINC000582253144 325190461 /nfs/dbraw/zinc/19/04/61/325190461.db2.gz KNZZZSQHILPNIB-AWEZNQCLSA-N 0 0 294.351 2.568 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000582463576 325206702 /nfs/dbraw/zinc/20/67/02/325206702.db2.gz IPMCQRXWYMVJOC-WDEREUQCSA-N 0 0 276.336 2.955 20 5 CFBDRN C[N@H+](CCCCCC(=O)[O-])CCc1cccc([N+](=O)[O-])c1 ZINC000582491513 325209107 /nfs/dbraw/zinc/20/91/07/325209107.db2.gz BVQATLDJYWDKLX-UHFFFAOYSA-N 0 0 294.351 2.714 20 5 CFBDRN C[C@@H](NC(=O)NCC(C)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000582888082 325242051 /nfs/dbraw/zinc/24/20/51/325242051.db2.gz RZBYSBWAJYRPBP-MRVPVSSYSA-N 0 0 287.266 2.610 20 5 CFBDRN CCCN(C[C@H]1CCCCO1)c1ncc([N+](=O)[O-])cc1F ZINC000582891792 325242917 /nfs/dbraw/zinc/24/29/17/325242917.db2.gz UNRPXUZQMFCKKE-GFCCVEGCSA-N 0 0 297.330 2.914 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCSC12CCCCC2 ZINC000583449984 325289015 /nfs/dbraw/zinc/28/90/15/325289015.db2.gz CCGFUBOPDSHKDF-UHFFFAOYSA-N 0 0 295.364 2.772 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCCCCO1 ZINC000583816209 325312575 /nfs/dbraw/zinc/31/25/75/325312575.db2.gz PQXQIHXKILAIEU-UHFFFAOYSA-N 0 0 295.295 2.553 20 5 CFBDRN COCc1cc(N[C@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000583831960 325313667 /nfs/dbraw/zinc/31/36/67/325313667.db2.gz LZXCNGDTVSVERK-SNVBAGLBSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1nsc(C)c1Cn1nc(C)c([N+](=O)[O-])c1C ZINC000583910176 325318167 /nfs/dbraw/zinc/31/81/67/325318167.db2.gz ZCZSTKFOJBUAKY-UHFFFAOYSA-N 0 0 266.326 2.530 20 5 CFBDRN O=c1c2cc3ccccc3cc2ncn1CC1(O)CCCC1 ZINC000560414594 327305904 /nfs/dbraw/zinc/30/59/04/327305904.db2.gz ZWQKNRBVYDGLMD-UHFFFAOYSA-N 0 0 294.354 2.855 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CC1(COC)CC1 ZINC000584352502 328732614 /nfs/dbraw/zinc/73/26/14/328732614.db2.gz RBLSZFWKGNUVCP-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN O=c1[nH]ccc(NC2C[C@H]3CC[C@@H](C2)S3)c1[N+](=O)[O-] ZINC000829142155 782120551 /nfs/dbraw/zinc/12/05/51/782120551.db2.gz CVSLRWRSTWPNFU-CBLAIPOGSA-N 0 0 281.337 2.534 20 5 CFBDRN C[C@H]1COC[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000829437217 782130454 /nfs/dbraw/zinc/13/04/54/782130454.db2.gz BXLKKGCRIJTIIQ-ZANVPECISA-N 0 0 273.292 2.590 20 5 CFBDRN C[C@@H]1COC[C@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000829437214 782130547 /nfs/dbraw/zinc/13/05/47/782130547.db2.gz BXLKKGCRIJTIIQ-NOZJJQNGSA-N 0 0 273.292 2.590 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000311769611 518694633 /nfs/dbraw/zinc/69/46/33/518694633.db2.gz BRKUPAAFEVGTMF-VIFPVBQESA-N 0 0 270.304 2.943 20 5 CFBDRN CCN(CC(C)(C)O)c1ccc([N+](=O)[O-])cc1Cl ZINC000078730205 520406202 /nfs/dbraw/zinc/40/62/02/520406202.db2.gz ZCUDAFVDXXZMJQ-UHFFFAOYSA-N 0 0 272.732 2.845 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CC12CCSCC2 ZINC000420649801 500659733 /nfs/dbraw/zinc/65/97/33/500659733.db2.gz GQZIZVRMBWRAQX-NSHDSACASA-N 0 0 293.392 2.665 20 5 CFBDRN CCOc1cc(N2CCCSCC2)ccc1[N+](=O)[O-] ZINC000301562513 520749309 /nfs/dbraw/zinc/74/93/09/520749309.db2.gz YFHSAOCTZPKHLY-UHFFFAOYSA-N 0 0 282.365 2.937 20 5 CFBDRN Cc1cc(NC(=O)c2cccnc2)ccc1[N+](=O)[O-] ZINC000075283573 500963168 /nfs/dbraw/zinc/96/31/68/500963168.db2.gz MRBRGLUVNYVMBJ-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1ccc(C(=O)C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 ZINC000354769443 500967517 /nfs/dbraw/zinc/96/75/17/500967517.db2.gz LPFLUQANDACEJI-UHFFFAOYSA-N 0 0 284.271 2.725 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H](COC(F)F)C1 ZINC000343517760 500985670 /nfs/dbraw/zinc/98/56/70/500985670.db2.gz FMXAKLMIRRWOKX-JTQLQIEISA-N 0 0 286.278 2.969 20 5 CFBDRN O=C(CCCc1ccccn1)NCc1ccc([N+](=O)[O-])cc1 ZINC000609152215 501042148 /nfs/dbraw/zinc/04/21/48/501042148.db2.gz NREFEEYNOFMFPC-UHFFFAOYSA-N 0 0 299.330 2.629 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@H]1CCCO1 ZINC000050351880 501083813 /nfs/dbraw/zinc/08/38/13/501083813.db2.gz WQBVRPHEYXRUCC-QMMMGPOBSA-N 0 0 256.689 2.839 20 5 CFBDRN CCCN(c1ccc([N+](=O)[O-])c(N)c1F)C1CC1 ZINC000362651624 521697007 /nfs/dbraw/zinc/69/70/07/521697007.db2.gz WSGWEYRWLBJCAY-UHFFFAOYSA-N 0 0 253.277 2.695 20 5 CFBDRN CSC[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413300729 533738862 /nfs/dbraw/zinc/73/88/62/533738862.db2.gz JSNCLGINOMZXHA-SECBINFHSA-N 0 0 285.344 2.708 20 5 CFBDRN C[C@H](CC(F)F)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000413098977 533748220 /nfs/dbraw/zinc/74/82/20/533748220.db2.gz HJJVQUCJLQSAJJ-MRVPVSSYSA-N 0 0 284.262 2.775 20 5 CFBDRN C[C@@H](O)[C@@H](C)Sc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413179743 533774855 /nfs/dbraw/zinc/77/48/55/533774855.db2.gz YOZYRGFCLKMFQZ-PHDIDXHHSA-N 0 0 296.270 2.870 20 5 CFBDRN Cc1c(NC(=O)c2ccc(O)cc2O)cccc1[N+](=O)[O-] ZINC000192713179 533918577 /nfs/dbraw/zinc/91/85/77/533918577.db2.gz CEODRXPDZUKFGP-UHFFFAOYSA-N 0 0 288.259 2.567 20 5 CFBDRN C[C@H](NCc1nc(C(F)F)no1)c1cccc([N+](=O)[O-])c1 ZINC000425458465 533944527 /nfs/dbraw/zinc/94/45/27/533944527.db2.gz MGQGURBDXWRSQB-ZETCQYMHSA-N 0 0 298.249 2.766 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1 ZINC000159071856 534048623 /nfs/dbraw/zinc/04/86/23/534048623.db2.gz OCZVZLZWSNGQBZ-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@@H](CO)CSc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413172538 534113835 /nfs/dbraw/zinc/11/38/35/534113835.db2.gz RAOUFSVXWWNEPW-LURJTMIESA-N 0 0 296.270 2.729 20 5 CFBDRN C[C@@H](CO)SCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000160701207 534134540 /nfs/dbraw/zinc/13/45/40/534134540.db2.gz RCBFDZICWJHPOG-ZETCQYMHSA-N 0 0 261.730 2.862 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](CC(C)C)OC)c([N+](=O)[O-])c1 ZINC000414726591 534147408 /nfs/dbraw/zinc/14/74/08/534147408.db2.gz ZEKVAXPSGAEYTI-CYBMUJFWSA-N 0 0 296.323 2.603 20 5 CFBDRN C[C@H]1CCCN1C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000173153846 534178177 /nfs/dbraw/zinc/17/81/77/534178177.db2.gz VMTVCAWWSTWTCL-AEZGRPFRSA-N 0 0 260.293 2.619 20 5 CFBDRN C[C@@H](Cc1cccc(F)c1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000413452237 534243022 /nfs/dbraw/zinc/24/30/22/534243022.db2.gz LHQZVOSBARXSMK-JTQLQIEISA-N 0 0 292.314 2.535 20 5 CFBDRN COC(=O)c1cnc(N[C@@H]2C[C@]2(C)C(C)C)c([N+](=O)[O-])c1 ZINC000413436444 534307230 /nfs/dbraw/zinc/30/72/30/534307230.db2.gz NJYRSVXGBRVVPV-BXUZGUMPSA-N 0 0 293.323 2.623 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCCC1CCOCC1 ZINC000315177143 518292997 /nfs/dbraw/zinc/29/29/97/518292997.db2.gz JIXSMDZMLUIZIL-UHFFFAOYSA-N 0 0 293.319 2.993 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)Cc1cscn1 ZINC000360961416 518411391 /nfs/dbraw/zinc/41/13/91/518411391.db2.gz QNQCAYLGCCSRBV-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN C/C=C/c1ccc(NC(=O)c2c([N+](=O)[O-])cnn2C)cc1 ZINC000360320679 518552146 /nfs/dbraw/zinc/55/21/46/518552146.db2.gz VYOYMYWQGIGAQC-ONEGZZNKSA-N 0 0 286.291 2.614 20 5 CFBDRN CC=Cc1ccc(Nc2ncc([N+](=O)[O-])c(N)n2)cc1 ZINC000340925174 518570307 /nfs/dbraw/zinc/57/03/07/518570307.db2.gz YKEOBIHJROVXLG-IHWYPQMZSA-N 0 0 271.280 2.574 20 5 CFBDRN CC(C)(C)CC[C@H](CO)Nc1ccc([N+](=O)[O-])cn1 ZINC000360257045 518658809 /nfs/dbraw/zinc/65/88/09/518658809.db2.gz JHDIXUPUNKMWNL-SNVBAGLBSA-N 0 0 267.329 2.589 20 5 CFBDRN CC(C)C[C@H](C)N(C)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000339332288 519311294 /nfs/dbraw/zinc/31/12/94/519311294.db2.gz MSOOWQUSINURMN-LBPRGKRZSA-N 0 0 294.351 2.867 20 5 CFBDRN CC(=O)CCCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000194879942 519407578 /nfs/dbraw/zinc/40/75/78/519407578.db2.gz KKCAETHWEGDWHV-LLVKDONJSA-N 0 0 278.308 2.531 20 5 CFBDRN CC(C)C[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000354547641 519411285 /nfs/dbraw/zinc/41/12/85/519411285.db2.gz GYFTYBNKEJAMKF-SNVBAGLBSA-N 0 0 265.313 2.574 20 5 CFBDRN CC(C)=CCNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000190662686 519435009 /nfs/dbraw/zinc/43/50/09/519435009.db2.gz LEVWCLAJVXGBPU-UHFFFAOYSA-N 0 0 263.297 2.991 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H]1C[C@@H]1C ZINC000056287751 519655408 /nfs/dbraw/zinc/65/54/08/519655408.db2.gz QGVKDVVLMHUXKR-SMDDNHRTSA-N 0 0 276.336 2.988 20 5 CFBDRN CC(=O)Nc1ccc(Oc2ccncc2[N+](=O)[O-])c(F)c1 ZINC000360990866 519729365 /nfs/dbraw/zinc/72/93/65/519729365.db2.gz OZTKBVWTNHAEMR-UHFFFAOYSA-N 0 0 291.238 2.880 20 5 CFBDRN CC(C)CNC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000172464291 519737555 /nfs/dbraw/zinc/73/75/55/519737555.db2.gz MKPQAGMUBVZJLP-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN CC(C)OC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000073928110 519744890 /nfs/dbraw/zinc/74/48/90/519744890.db2.gz ACLNVTDLEPENFO-UHFFFAOYSA-N 0 0 266.297 2.739 20 5 CFBDRN CC(C)OCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000168651564 519768491 /nfs/dbraw/zinc/76/84/91/519768491.db2.gz JVPSBEYUBYQBCY-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334175617 519802526 /nfs/dbraw/zinc/80/25/26/519802526.db2.gz BTQACEDKKLYQSZ-ZDUSSCGKSA-N 0 0 280.299 2.995 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CC12CC2 ZINC000421522362 534450127 /nfs/dbraw/zinc/45/01/27/534450127.db2.gz ZGQURIGSFOMLRH-NSHDSACASA-N 0 0 294.738 2.707 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000336145862 519825609 /nfs/dbraw/zinc/82/56/09/519825609.db2.gz AOTREQQWJCIOEP-GFCCVEGCSA-N 0 0 263.297 2.857 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CC3(CC3)C2)cc1[N+](=O)[O-] ZINC000334870751 519834555 /nfs/dbraw/zinc/83/45/55/519834555.db2.gz CYYDASUFXXODEB-UHFFFAOYSA-N 0 0 274.320 2.954 20 5 CFBDRN Cc1nc(NC(=O)Cc2ccccc2F)ccc1[N+](=O)[O-] ZINC000175679766 534464224 /nfs/dbraw/zinc/46/42/24/534464224.db2.gz NOIWKLBOKFEIDS-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN CCOCCSCCOc1ccc([N+](=O)[O-])cc1 ZINC000173743006 520060915 /nfs/dbraw/zinc/06/09/15/520060915.db2.gz ZMNNNNLBSPMPHD-UHFFFAOYSA-N 0 0 271.338 2.743 20 5 CFBDRN CCN(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C1CC1 ZINC000340630117 520074803 /nfs/dbraw/zinc/07/48/03/520074803.db2.gz AUFNMKBTTZLVED-UHFFFAOYSA-N 0 0 274.276 2.966 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC000340717817 520090054 /nfs/dbraw/zinc/09/00/54/520090054.db2.gz SENVWWRIIGZCQT-UHFFFAOYSA-N 0 0 259.265 2.590 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000074018861 520090938 /nfs/dbraw/zinc/09/09/38/520090938.db2.gz ZHHBBBPYJLSUOS-UHFFFAOYSA-N 0 0 271.276 2.657 20 5 CFBDRN CCCCCOC1CN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000360875616 520233612 /nfs/dbraw/zinc/23/36/12/520233612.db2.gz XJZBRIRNZAXSPW-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN CCO[C@@H](C)c1nccn1Cc1csc([N+](=O)[O-])c1 ZINC000155481264 520275445 /nfs/dbraw/zinc/27/54/45/520275445.db2.gz IKKJCZDLEJRRRR-VIFPVBQESA-N 0 0 281.337 2.999 20 5 CFBDRN CCNc1ccc(C(=O)NCCCCF)cc1[N+](=O)[O-] ZINC000312583634 520286321 /nfs/dbraw/zinc/28/63/21/520286321.db2.gz PZIVZLSZNJLJSH-UHFFFAOYSA-N 0 0 283.303 2.506 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000162617084 520394138 /nfs/dbraw/zinc/39/41/38/520394138.db2.gz CEGLNARXPJFOPW-SNVBAGLBSA-N 0 0 262.309 2.903 20 5 CFBDRN CCN(CC(F)F)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000362729936 520425242 /nfs/dbraw/zinc/42/52/42/520425242.db2.gz SILDJRVHCMRATF-UHFFFAOYSA-N 0 0 272.251 2.630 20 5 CFBDRN CCCCN(CC(F)(F)F)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000340245769 520445162 /nfs/dbraw/zinc/44/51/62/520445162.db2.gz SYBIYLCHWOQTRF-UHFFFAOYSA-N 0 0 293.245 2.728 20 5 CFBDRN CCO[C@H](C)c1nccn1Cc1ccc([N+](=O)[O-])cc1 ZINC000089521340 520487323 /nfs/dbraw/zinc/48/73/23/520487323.db2.gz AOSKUOFJRHIAMI-LLVKDONJSA-N 0 0 275.308 2.937 20 5 CFBDRN CCN(CC)C(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000337905052 520492518 /nfs/dbraw/zinc/49/25/18/520492518.db2.gz AUGLABMPGMXNDL-UHFFFAOYSA-N 0 0 268.338 2.555 20 5 CFBDRN CC1(C)CN(c2ncccc2[N+](=O)[O-])[C@@H]1c1cccnc1 ZINC000366108774 520496261 /nfs/dbraw/zinc/49/62/61/520496261.db2.gz BJVRLSYJUZTLER-CYBMUJFWSA-N 0 0 284.319 2.972 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000192493074 520542450 /nfs/dbraw/zinc/54/24/50/520542450.db2.gz STJZXWKKGZHILC-ZJUUUORDSA-N 0 0 297.380 2.835 20 5 CFBDRN CCOc1cc(N2CCC[C@@](C)(O)CC2)ccc1[N+](=O)[O-] ZINC000230373681 520749731 /nfs/dbraw/zinc/74/97/31/520749731.db2.gz UMEDIIDIISCJFV-OAHLLOKOSA-N 0 0 294.351 2.735 20 5 CFBDRN CCOc1cc(NCC[C@H](C)OC)ccc1[N+](=O)[O-] ZINC000336813443 520762714 /nfs/dbraw/zinc/76/27/14/520762714.db2.gz CMSPAMDXDXWBOR-JTQLQIEISA-N 0 0 268.313 2.830 20 5 CFBDRN CCOc1cc(N[C@H](C)c2cn[nH]c2)ccc1[N+](=O)[O-] ZINC000221034799 520765484 /nfs/dbraw/zinc/76/54/84/520765484.db2.gz VIPQHLUGKDKJCB-SECBINFHSA-N 0 0 276.296 2.890 20 5 CFBDRN CCOC(=O)CN(Cc1cccc([N+](=O)[O-])c1)CC(C)C ZINC000065541495 520768781 /nfs/dbraw/zinc/76/87/81/520768781.db2.gz DTZHTPSTHGSKQQ-UHFFFAOYSA-N 0 0 294.351 2.616 20 5 CFBDRN CC1(O)CN(C(=O)c2cc3ccccc3c3cccnc23)C1 ZINC000338607000 520775786 /nfs/dbraw/zinc/77/57/86/520775786.db2.gz IGCJFFRCXHFCMD-UHFFFAOYSA-N 0 0 292.338 2.595 20 5 CFBDRN CCC[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)OCC ZINC000160288853 520810547 /nfs/dbraw/zinc/81/05/47/520810547.db2.gz KEHOTBZHDFYDIC-SNVBAGLBSA-N 0 0 284.337 2.814 20 5 CFBDRN CCCCO[C@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000194555887 520832142 /nfs/dbraw/zinc/83/21/42/520832142.db2.gz BILWODWPVNSFGP-GFCCVEGCSA-N 0 0 294.351 2.758 20 5 CFBDRN CC1CCC(CNC(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000055488596 520922094 /nfs/dbraw/zinc/92/20/94/520922094.db2.gz KXVUTOLUFBJRRT-UHFFFAOYSA-N 0 0 291.351 2.733 20 5 CFBDRN CCC[C@@](C)(O)CNc1cccc(OCC)c1[N+](=O)[O-] ZINC000231037187 520938544 /nfs/dbraw/zinc/93/85/44/520938544.db2.gz JRIVUXGVAWIMTD-CQSZACIVSA-N 0 0 282.340 2.957 20 5 CFBDRN CCN(CC1CC1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000070018000 520948146 /nfs/dbraw/zinc/94/81/46/520948146.db2.gz YXLVTMCOCDKZHD-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CCOC(=O)[C@@H](C)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000049370479 521025506 /nfs/dbraw/zinc/02/55/06/521025506.db2.gz FWGLNMSONKWRTR-WDEREUQCSA-N 0 0 280.324 2.505 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)CC2CCC2)c1 ZINC000338523263 521276993 /nfs/dbraw/zinc/27/69/93/521276993.db2.gz JNJPTZJHKVIJCI-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1nc([C@@H]2CCCN2Cc2csc([N+](=O)[O-])c2)no1 ZINC000331421742 534572980 /nfs/dbraw/zinc/57/29/80/534572980.db2.gz RNEMOROMDURLHJ-JTQLQIEISA-N 0 0 294.336 2.685 20 5 CFBDRN CCOC(C)(C)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000159524417 521627689 /nfs/dbraw/zinc/62/76/89/521627689.db2.gz BPBMABNPISMETQ-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN CCC(O)(CC)COc1c(C)cccc1[N+](=O)[O-] ZINC000165230975 521658473 /nfs/dbraw/zinc/65/84/73/521658473.db2.gz UCEGYICFKIWKTF-UHFFFAOYSA-N 0 0 253.298 2.833 20 5 CFBDRN CCSCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000075381558 521711600 /nfs/dbraw/zinc/71/16/00/521711600.db2.gz HPTSETZQCRXFNW-SECBINFHSA-N 0 0 286.353 2.792 20 5 CFBDRN CCS[C@H]1CCC[C@H](Nc2ccncc2[N+](=O)[O-])C1 ZINC000360990956 521731820 /nfs/dbraw/zinc/73/18/20/521731820.db2.gz PDVFGGUTYTZVBK-QWRGUYRKSA-N 0 0 281.381 2.888 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000334068811 521783357 /nfs/dbraw/zinc/78/33/57/521783357.db2.gz YOAOLOVCXUXKBO-PWSUYJOCSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000360064574 521879990 /nfs/dbraw/zinc/87/99/90/521879990.db2.gz CVYSWKXFACCYTK-SDBXPKJASA-N 0 0 270.304 2.861 20 5 CFBDRN CC[C@@H](C)CC(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000191913321 521948001 /nfs/dbraw/zinc/94/80/01/521948001.db2.gz QQEVKFYIBCZJQF-SECBINFHSA-N 0 0 294.307 2.756 20 5 CFBDRN CCc1cnc(CN(C)c2ccncc2[N+](=O)[O-])s1 ZINC000360995446 522020331 /nfs/dbraw/zinc/02/03/31/522020331.db2.gz NVMKADIANIIXRL-UHFFFAOYSA-N 0 0 278.337 2.645 20 5 CFBDRN CCc1cnc(CNC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000081205830 522035208 /nfs/dbraw/zinc/03/52/08/522035208.db2.gz QZHZBHOVZMHQPV-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN CC[C@H](CCO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000158642927 522166982 /nfs/dbraw/zinc/16/69/82/522166982.db2.gz ADBBYNJVBGURSL-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000360391365 522275637 /nfs/dbraw/zinc/27/56/37/522275637.db2.gz GOJJFKPPHVQZFW-GHMZBOCLSA-N 0 0 280.324 2.760 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C1CC1 ZINC000185276123 522304771 /nfs/dbraw/zinc/30/47/71/522304771.db2.gz XNHNFHBUFOHJQZ-GFCCVEGCSA-N 0 0 276.336 2.784 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)NCCC1=CCCC1 ZINC000160132011 534631439 /nfs/dbraw/zinc/63/14/39/534631439.db2.gz WCOILZOPMTWYRZ-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN COC[C@@H]1CCCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000360909853 522459498 /nfs/dbraw/zinc/45/94/98/522459498.db2.gz MHWOHFNNFKVQBD-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN COc1cc(CNc2ncccc2[N+](=O)[O-])ccc1C ZINC000048894229 522495402 /nfs/dbraw/zinc/49/54/02/522495402.db2.gz JPJPSUKYZZCJOY-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000173815395 522512154 /nfs/dbraw/zinc/51/21/54/522512154.db2.gz UTNATISQPQAHPO-NSHDSACASA-N 0 0 294.351 2.837 20 5 CFBDRN CNc1c(C(=O)N(C)CC(C)(C)C)cccc1[N+](=O)[O-] ZINC000161467660 522533826 /nfs/dbraw/zinc/53/38/26/522533826.db2.gz YNNZVFPXUMJDAZ-UHFFFAOYSA-N 0 0 279.340 2.755 20 5 CFBDRN CN(CC1CCC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153750941 522640049 /nfs/dbraw/zinc/64/00/49/522640049.db2.gz LESRTBRZDZEYIP-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN CCc1nn(C)c(NCC(CC)(CC)OC)c1[N+](=O)[O-] ZINC000312890705 522668708 /nfs/dbraw/zinc/66/87/08/522668708.db2.gz ATAKOJVUGJRMOY-UHFFFAOYSA-N 0 0 284.360 2.508 20 5 CFBDRN COc1cc(NCC[C@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000218840453 522743895 /nfs/dbraw/zinc/74/38/95/522743895.db2.gz RTYSHZSRTKUAJC-SECBINFHSA-N 0 0 284.287 2.724 20 5 CFBDRN COc1cc(NC[C@@H](O)CC(C)C)c(F)cc1[N+](=O)[O-] ZINC000218850785 522744550 /nfs/dbraw/zinc/74/45/50/522744550.db2.gz NUJZWBGSTIXESV-VIFPVBQESA-N 0 0 286.303 2.561 20 5 CFBDRN CCc1nnc([C@H](C)Oc2ccc([N+](=O)[O-])cc2)o1 ZINC000360734320 522794568 /nfs/dbraw/zinc/79/45/68/522794568.db2.gz XZOGGBJHGQCBEI-QMMMGPOBSA-N 0 0 263.253 2.680 20 5 CFBDRN CC[C@@H](NCc1ncccn1)c1cccc([N+](=O)[O-])c1 ZINC000192161703 522894735 /nfs/dbraw/zinc/89/47/35/522894735.db2.gz FMCMNRDIIWYESM-CYBMUJFWSA-N 0 0 272.308 2.626 20 5 CFBDRN CN(CCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000074366166 522951819 /nfs/dbraw/zinc/95/18/19/522951819.db2.gz XNOWAOHNWYIHCK-UHFFFAOYSA-N 0 0 284.315 2.910 20 5 CFBDRN COC(=O)CCCSc1cccc([N+](=O)[O-])c1 ZINC000313460599 523038665 /nfs/dbraw/zinc/03/86/65/523038665.db2.gz MXVUPJPZBQIKQU-UHFFFAOYSA-N 0 0 255.295 2.640 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000050711252 523105048 /nfs/dbraw/zinc/10/50/48/523105048.db2.gz HGUDCMQBIPHKOI-NSHDSACASA-N 0 0 277.324 2.651 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)C[C@@H]1CC=CCC1 ZINC000361059859 523142124 /nfs/dbraw/zinc/14/21/24/523142124.db2.gz SXLQANATTXLAFM-GFCCVEGCSA-N 0 0 278.356 2.912 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H](CCO)CC(C)C ZINC000360993460 523143235 /nfs/dbraw/zinc/14/32/35/523143235.db2.gz BOBOPHUSRYWCFL-LBPRGKRZSA-N 0 0 298.387 2.576 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC1CC(C(F)(F)F)C1 ZINC000340942704 523143959 /nfs/dbraw/zinc/14/39/59/523143959.db2.gz SNPQDDPNBDXIEM-UHFFFAOYSA-N 0 0 292.261 2.872 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CC=CCC1 ZINC000360965959 523147253 /nfs/dbraw/zinc/14/72/53/523147253.db2.gz LNUQABGPKDYBGU-NSHDSACASA-N 0 0 264.329 2.888 20 5 CFBDRN COCc1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000231721114 523153264 /nfs/dbraw/zinc/15/32/64/523153264.db2.gz JTWVCKVGIWIDEI-UHFFFAOYSA-N 0 0 250.279 2.865 20 5 CFBDRN CO[C@@H](C)c1nsc(Oc2ccccc2[N+](=O)[O-])n1 ZINC000337920190 523497141 /nfs/dbraw/zinc/49/71/41/523497141.db2.gz YIISJLRGSMOFKT-ZETCQYMHSA-N 0 0 281.293 2.946 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@@](C)(OC)CC1 ZINC000360121496 523667233 /nfs/dbraw/zinc/66/72/33/523667233.db2.gz IKXPBKXFBOYKMM-CQSZACIVSA-N 0 0 296.371 2.515 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000192692617 523668743 /nfs/dbraw/zinc/66/87/43/523668743.db2.gz LDMWDQZHXJFTAL-RDBSUJKOSA-N 0 0 298.346 2.870 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(OC)CCCCC1 ZINC000192780641 523668995 /nfs/dbraw/zinc/66/89/95/523668995.db2.gz QCZCVWWBSNHSKX-UHFFFAOYSA-N 0 0 296.371 2.881 20 5 CFBDRN COc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000362024880 523752818 /nfs/dbraw/zinc/75/28/18/523752818.db2.gz NMPGUURNXGKECI-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1ccc(C)cc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000340649853 523842004 /nfs/dbraw/zinc/84/20/04/523842004.db2.gz GTBGOXAPDIZMEO-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN CN(C(=O)c1cn2ccccc2n1)c1ccc([N+](=O)[O-])cc1 ZINC000340936683 523957602 /nfs/dbraw/zinc/95/76/02/523957602.db2.gz HWKXROMDFKFLCU-UHFFFAOYSA-N 0 0 296.286 2.519 20 5 CFBDRN COCCOCCCCNc1c(F)cccc1[N+](=O)[O-] ZINC000312576032 523992706 /nfs/dbraw/zinc/99/27/06/523992706.db2.gz MCRGRDNOHIJTHH-UHFFFAOYSA-N 0 0 286.303 2.589 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000231683663 524001320 /nfs/dbraw/zinc/00/13/20/524001320.db2.gz MDLHQHPXISCRHA-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000231682832 524001344 /nfs/dbraw/zinc/00/13/44/524001344.db2.gz QIUDVBFPAZUARY-PWSUYJOCSA-N 0 0 250.298 2.883 20 5 CFBDRN CCc1ccc(OCCC[C@H](C)O)c([N+](=O)[O-])c1 ZINC000336792826 524005273 /nfs/dbraw/zinc/00/52/73/524005273.db2.gz UIEKFJULJMMRST-JTQLQIEISA-N 0 0 253.298 2.697 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCC1CCOCC1 ZINC000311656315 524057860 /nfs/dbraw/zinc/05/78/60/524057860.db2.gz FPMQETXJPQOIBN-UHFFFAOYSA-N 0 0 264.325 2.996 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)CNc1cc([N+](=O)[O-])ccc1F ZINC000069002124 524090876 /nfs/dbraw/zinc/09/08/76/524090876.db2.gz YLRBPVSEDMOLQQ-SNVBAGLBSA-N 0 0 295.314 2.547 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2cccnc2)cc([N+](=O)[O-])c1 ZINC000149857569 524121592 /nfs/dbraw/zinc/12/15/92/524121592.db2.gz IKJPSTXCTZKERS-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@H](F)C2)cc([N+](=O)[O-])c1 ZINC000334288400 524133629 /nfs/dbraw/zinc/13/36/29/524133629.db2.gz ZBGYOJHBTGKKPD-WDEREUQCSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000050707502 524143644 /nfs/dbraw/zinc/14/36/44/524143644.db2.gz PBQSQGKWBBHSKH-WDEREUQCSA-N 0 0 291.351 2.828 20 5 CFBDRN C[C@@H](C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000176497160 524157139 /nfs/dbraw/zinc/15/71/39/524157139.db2.gz CRGIFVHVKZBMMP-SNVBAGLBSA-N 0 0 276.336 2.992 20 5 CFBDRN Cc1cccc(C(=O)N(C)Cc2ccc[nH]2)c1[N+](=O)[O-] ZINC000354484764 524161677 /nfs/dbraw/zinc/16/16/77/524161677.db2.gz XFXXZZTVBQRLLV-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)C[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000333976775 524202590 /nfs/dbraw/zinc/20/25/90/524202590.db2.gz UFBXGYNAVXKTBN-RYUDHWBXSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000340031471 524216237 /nfs/dbraw/zinc/21/62/37/524216237.db2.gz CEINUPDIXIBXKW-AAEUAGOBSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1cc(C)c(C)c(C(=O)Cn2cc([N+](=O)[O-])cn2)c1C ZINC000073529128 524247134 /nfs/dbraw/zinc/24/71/34/524247134.db2.gz OGFLWJNYIVSJPX-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334912362 524257085 /nfs/dbraw/zinc/25/70/85/524257085.db2.gz FDHVRGXGJQPOAY-QMMMGPOBSA-N 0 0 267.260 2.608 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000340084748 524325743 /nfs/dbraw/zinc/32/57/43/524325743.db2.gz PGTVMFXCVKOMIR-VXGBXAGGSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H](F)C1 ZINC000335015536 524337427 /nfs/dbraw/zinc/33/74/27/524337427.db2.gz ZAHHTLMLCWKVQA-WDEREUQCSA-N 0 0 280.299 2.832 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2c([N+](=O)[O-])ncn2C)[C@H]1C ZINC000360275941 524385443 /nfs/dbraw/zinc/38/54/43/524385443.db2.gz UPJWDDXMSAKSKZ-OUAUKWLOSA-N 0 0 266.345 2.813 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000334070798 524408781 /nfs/dbraw/zinc/40/87/81/524408781.db2.gz RYEWUJXWNRJSJM-QWRGUYRKSA-N 0 0 262.309 2.761 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000340084745 524418151 /nfs/dbraw/zinc/41/81/51/524418151.db2.gz PGTVMFXCVKOMIR-NEPJUHHUSA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000171850383 524424972 /nfs/dbraw/zinc/42/49/72/524424972.db2.gz ZPKNIIWKLWXSOE-JTQLQIEISA-N 0 0 277.324 2.583 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C(C)(C)C1 ZINC000335458507 524486654 /nfs/dbraw/zinc/48/66/54/524486654.db2.gz LLUYRKDQOUKUPG-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C[C@@H]1C ZINC000068582751 524619625 /nfs/dbraw/zinc/61/96/25/524619625.db2.gz AXDDCJUMRMODGD-JFGNBEQYSA-N 0 0 291.351 2.930 20 5 CFBDRN COc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1O ZINC000195228158 524654420 /nfs/dbraw/zinc/65/44/20/524654420.db2.gz POEBCLAXBUTWOG-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c3cccnc32)CCCO1 ZINC000171047228 524670026 /nfs/dbraw/zinc/67/00/26/524670026.db2.gz PYGQQMFXDRWBAN-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cccc(NCc2ccc(N(C)C)nc2)c1[N+](=O)[O-] ZINC000338645690 524683486 /nfs/dbraw/zinc/68/34/86/524683486.db2.gz RHBZZMCAVIKBDF-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)[C@H](C)C1 ZINC000157341341 524699178 /nfs/dbraw/zinc/69/91/78/524699178.db2.gz MOCJWYBIRHWNHW-FOGDFJRCSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1cccc(OCC2(O)CCCC2)c1[N+](=O)[O-] ZINC000087464017 524723405 /nfs/dbraw/zinc/72/34/05/524723405.db2.gz VPGHXHZLLKAADH-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN C[C@H](Cn1cccn1)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000314803490 524781590 /nfs/dbraw/zinc/78/15/90/524781590.db2.gz NMMYVNWYXPELNT-LLVKDONJSA-N 0 0 297.318 2.840 20 5 CFBDRN C[C@H](Cn1cccn1)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000316942736 524782214 /nfs/dbraw/zinc/78/22/14/524782214.db2.gz BORNKFURHATQDG-LLVKDONJSA-N 0 0 297.318 2.840 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)CS1 ZINC000334817977 524799935 /nfs/dbraw/zinc/79/99/35/524799935.db2.gz BDZZAHAIJHYADB-WCBMZHEXSA-N 0 0 281.337 2.610 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C[C@H]1C ZINC000336055331 524827945 /nfs/dbraw/zinc/82/79/45/524827945.db2.gz KHDSTFIYJNJYEL-RKDXNWHRSA-N 0 0 281.287 2.854 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000336031341 524842997 /nfs/dbraw/zinc/84/29/97/524842997.db2.gz RWSMPTKJYVPRRN-GXSJLCMTSA-N 0 0 260.293 2.530 20 5 CFBDRN COc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2C)s1 ZINC000339217425 524906377 /nfs/dbraw/zinc/90/63/77/524906377.db2.gz CTNPXCCOTDKPAV-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1cc(Cn2cc([N+](=O)[O-])cn2)ccc1Br ZINC000337141241 524922868 /nfs/dbraw/zinc/92/28/68/524922868.db2.gz VKYXICDSESRLMA-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000079657470 524936515 /nfs/dbraw/zinc/93/65/15/524936515.db2.gz WAPRTWIWGQEKNR-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@@H](Cn1cccn1)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000316942737 524952670 /nfs/dbraw/zinc/95/26/70/524952670.db2.gz BORNKFURHATQDG-NSHDSACASA-N 0 0 297.318 2.840 20 5 CFBDRN COc1csc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000080855839 524955067 /nfs/dbraw/zinc/95/50/67/524955067.db2.gz PUXBPQQVNHRMJV-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN C[C@@H](F)CCNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000356713979 524975198 /nfs/dbraw/zinc/97/51/98/524975198.db2.gz RYZASIGBKWHCMD-RKDXNWHRSA-N 0 0 286.278 2.702 20 5 CFBDRN C[C@H]1OCC[C@]1(C)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000334039216 525054154 /nfs/dbraw/zinc/05/41/54/525054154.db2.gz JUWXPLKECCCYNF-OQPBUACISA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cc(N2CCC[C@@H](OCC3CC3)C2)ncc1[N+](=O)[O-] ZINC000368786558 525068122 /nfs/dbraw/zinc/06/81/22/525068122.db2.gz MKTCDYHFYDZIBN-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc(N2CCC[C@H](CCO)C2)ccc1[N+](=O)[O-] ZINC000051895084 525070769 /nfs/dbraw/zinc/07/07/69/525070769.db2.gz JQSIWOWYZOGDFX-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCCOCC1)c1ccccc1[N+](=O)[O-] ZINC000362722335 525174763 /nfs/dbraw/zinc/17/47/63/525174763.db2.gz FXWKNAIKYBERRB-RYUDHWBXSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336065114 525218638 /nfs/dbraw/zinc/21/86/38/525218638.db2.gz PQILRHZQUFTHJG-RKDXNWHRSA-N 0 0 273.292 2.699 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000362022576 525232358 /nfs/dbraw/zinc/23/23/58/525232358.db2.gz HOJPCNFSRIWMHF-JTQLQIEISA-N 0 0 280.349 2.985 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)n(C)n1 ZINC000070194449 525248993 /nfs/dbraw/zinc/24/89/93/525248993.db2.gz XFOOKPNZGOKQCN-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc(NC(=O)c2cscn2)ccc1[N+](=O)[O-] ZINC000062663506 525260708 /nfs/dbraw/zinc/26/07/08/525260708.db2.gz CMOIGYXYOHLUDA-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1cc(NCCOCC(F)F)ccc1[N+](=O)[O-] ZINC000231678018 525268819 /nfs/dbraw/zinc/26/88/19/525268819.db2.gz XSGAQLHCTPTQLO-UHFFFAOYSA-N 0 0 260.240 2.597 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])nc2)CCCS1 ZINC000126416205 525269864 /nfs/dbraw/zinc/26/98/64/525269864.db2.gz JRSJISOIRGPHOM-LLVKDONJSA-N 0 0 253.327 2.687 20 5 CFBDRN Cc1cc(NCC[C@@H](C)[S@@](C)=O)c(F)cc1[N+](=O)[O-] ZINC000312046223 525270159 /nfs/dbraw/zinc/27/01/59/525270159.db2.gz ZESTZYQQJREECI-AYLIAGHASA-N 0 0 288.344 2.611 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CCO[C@@H]2C2CC2)ncc1[N+](=O)[O-] ZINC000368778815 525274162 /nfs/dbraw/zinc/27/41/62/525274162.db2.gz GAWDNXBVGBVJPN-HUUCEWRRSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1ccn(C)c1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000188882160 534854419 /nfs/dbraw/zinc/85/44/19/534854419.db2.gz SRYMOSCPYWIFTR-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN Cc1cc(OCC[C@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000310217933 525370969 /nfs/dbraw/zinc/37/09/69/525370969.db2.gz MCXWHPZQTQPLKR-QMMMGPOBSA-N 0 0 259.689 2.706 20 5 CFBDRN CSCCCC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360542579 525389536 /nfs/dbraw/zinc/38/95/36/525389536.db2.gz ZUJUXQXZJVNWKY-UHFFFAOYSA-N 0 0 282.365 2.663 20 5 CFBDRN CSCCCCCNc1ccncc1[N+](=O)[O-] ZINC000229569717 525429540 /nfs/dbraw/zinc/42/95/40/525429540.db2.gz OAKYZMXWXREYLE-UHFFFAOYSA-N 0 0 255.343 2.935 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2(CO)CCCC2)c1 ZINC000160199235 525451610 /nfs/dbraw/zinc/45/16/10/525451610.db2.gz OELNADYQIUFMIC-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H](C)C[C@@H]2CCCO2)c1 ZINC000338661442 525452683 /nfs/dbraw/zinc/45/26/83/525452683.db2.gz GWTYPRBLCDGTES-PWSUYJOCSA-N 0 0 280.324 2.973 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCSC2)c1 ZINC000230919830 525453202 /nfs/dbraw/zinc/45/32/02/525453202.db2.gz RFPOCVRPXRGWPB-MRVPVSSYSA-N 0 0 254.311 2.521 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]3OCCC[C@H]23)c1 ZINC000338715588 525453375 /nfs/dbraw/zinc/45/33/75/525453375.db2.gz RLBOOBIVAAAMGY-JTNHKYCSSA-N 0 0 278.308 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCC[C@@H]1C ZINC000337457464 525467586 /nfs/dbraw/zinc/46/75/86/525467586.db2.gz PQKFOLKAKYJKNT-GXSJLCMTSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1ccc(CO)cc1 ZINC000305845103 525470321 /nfs/dbraw/zinc/47/03/21/525470321.db2.gz SKHLYBDNYKOHGW-UHFFFAOYSA-N 0 0 288.303 2.708 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCSC1 ZINC000361335837 525470982 /nfs/dbraw/zinc/47/09/82/525470982.db2.gz MVXPYBAPRMOIPH-VIFPVBQESA-N 0 0 296.348 2.685 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)CSC ZINC000066755651 525471299 /nfs/dbraw/zinc/47/12/99/525471299.db2.gz GZIPWQIKWLAFNB-QMMMGPOBSA-N 0 0 285.321 2.508 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000361769946 525481546 /nfs/dbraw/zinc/48/15/46/525481546.db2.gz KUVSBOKIZOXARQ-PWSUYJOCSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCCCS1 ZINC000158347022 525576190 /nfs/dbraw/zinc/57/61/90/525576190.db2.gz NDOZTXNQJQQQQU-LLVKDONJSA-N 0 0 267.354 2.996 20 5 CFBDRN Cc1c(C(=O)N2CC[C@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000335980727 525592365 /nfs/dbraw/zinc/59/23/65/525592365.db2.gz USXONOQBRHRKMV-LBPRGKRZSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000156925779 525639615 /nfs/dbraw/zinc/63/96/15/525639615.db2.gz CTSJIVBGOCBZGZ-GXSJLCMTSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccnc(COc2cccc([N+](=O)[O-])c2C)n1 ZINC000339302968 525653486 /nfs/dbraw/zinc/65/34/86/525653486.db2.gz QGSYNJHPGVKDEG-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1c(CC(=O)N2CCC3(CC3)CC2)cccc1[N+](=O)[O-] ZINC000366665045 525689584 /nfs/dbraw/zinc/68/95/84/525689584.db2.gz XEHXYFZGNUUTBL-UHFFFAOYSA-N 0 0 288.347 2.848 20 5 CFBDRN Cc1ccnc(NCCn2cnc3ccccc32)c1[N+](=O)[O-] ZINC000360279040 525692838 /nfs/dbraw/zinc/69/28/38/525692838.db2.gz NAUVCUYUNQCSHB-UHFFFAOYSA-N 0 0 297.318 2.760 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000294870435 525714332 /nfs/dbraw/zinc/71/43/32/525714332.db2.gz GVJPCNKXFFLOLV-PSASIEDQSA-N 0 0 284.262 2.736 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000360540474 525720980 /nfs/dbraw/zinc/72/09/80/525720980.db2.gz PHYSFBLPMIKGDL-PWSUYJOCSA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1c(CNC(=O)c2cccc(F)c2)cccc1[N+](=O)[O-] ZINC000360514228 525722666 /nfs/dbraw/zinc/72/26/66/525722666.db2.gz HHZHQTDNPKYGPQ-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)c1cccnc1 ZINC000226769926 525730693 /nfs/dbraw/zinc/73/06/93/525730693.db2.gz ZWOMXZCHLRLNRG-SSDOTTSWSA-N 0 0 250.283 2.619 20 5 CFBDRN Cc1c(CNc2cccc3c2C(=O)NC3)cccc1[N+](=O)[O-] ZINC000172870596 525731770 /nfs/dbraw/zinc/73/17/70/525731770.db2.gz VHRGQLUDGAGFJT-UHFFFAOYSA-N 0 0 297.314 2.759 20 5 CFBDRN Cc1c(CSC[C@@H](C)CO)cccc1[N+](=O)[O-] ZINC000089081199 525735741 /nfs/dbraw/zinc/73/57/41/525735741.db2.gz CTWQTXDUIQXTEM-VIFPVBQESA-N 0 0 255.339 2.765 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000228131060 525815839 /nfs/dbraw/zinc/81/58/39/525815839.db2.gz GLJFEFHWTJZESC-UWVGGRQHSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1c(NC(=O)N(C[C@@H](C)O)C(C)C)cccc1[N+](=O)[O-] ZINC000304756841 525839756 /nfs/dbraw/zinc/83/97/56/525839756.db2.gz ZYJSAIVSGBCQJO-SNVBAGLBSA-N 0 0 295.339 2.526 20 5 CFBDRN C[C@@]1(CNc2ncccc2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000364917302 525852635 /nfs/dbraw/zinc/85/26/35/525852635.db2.gz SRGTVSWRCDQJFM-OCCSQVGLSA-N 0 0 277.324 2.607 20 5 CFBDRN C[C@@H](O)[C@H](C)SCc1c(F)cccc1[N+](=O)[O-] ZINC000079070259 525857021 /nfs/dbraw/zinc/85/70/21/525857021.db2.gz XCYUNAWSOQZMRE-SFYZADRCSA-N 0 0 259.302 2.736 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000334179171 525861352 /nfs/dbraw/zinc/86/13/52/525861352.db2.gz CGILFLLTGLPTMR-CQSZACIVSA-N 0 0 292.266 2.915 20 5 CFBDRN C[C@@]1(NC(=O)c2ccc([N+](=O)[O-])s2)CC=CCC1 ZINC000335145465 525871843 /nfs/dbraw/zinc/87/18/43/525871843.db2.gz YLGKAMQGBUNPSH-GFCCVEGCSA-N 0 0 266.322 2.885 20 5 CFBDRN Cc1c(OCc2noc(C3CC3)n2)cccc1[N+](=O)[O-] ZINC000048989466 525883120 /nfs/dbraw/zinc/88/31/20/525883120.db2.gz WDRVHQHFBPONNK-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCC1CC1 ZINC000049142003 525928494 /nfs/dbraw/zinc/92/84/94/525928494.db2.gz OCAZPLGGHRKUEN-MRVPVSSYSA-N 0 0 298.726 2.542 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccccn1 ZINC000339235159 525940422 /nfs/dbraw/zinc/94/04/22/525940422.db2.gz NAAJWBVQGSPPAY-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN Cc1cn2nc(NCc3ccc([N+](=O)[O-])cc3)sc2n1 ZINC000048571436 525955651 /nfs/dbraw/zinc/95/56/51/525955651.db2.gz VDBHKGBWMQBUBG-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN C[C@@H](Sc1nc[nH]n1)c1ccccc1[N+](=O)[O-] ZINC000361251061 526004136 /nfs/dbraw/zinc/00/41/36/526004136.db2.gz LHRKRCQFSQQJDI-SSDOTTSWSA-N 0 0 250.283 2.566 20 5 CFBDRN Cc1ccc([C@H]2CN(c3ncc([N+](=O)[O-])s3)CCO2)o1 ZINC000189988694 526027803 /nfs/dbraw/zinc/02/78/03/526027803.db2.gz WSVMUMXJPONCTO-SNVBAGLBSA-N 0 0 295.320 2.531 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H]2C(C)C)c1 ZINC000334407044 526053883 /nfs/dbraw/zinc/05/38/83/526053883.db2.gz KNWBGTHWDIBSQS-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1csc(C(C)C)n1 ZINC000361968388 526054142 /nfs/dbraw/zinc/05/41/42/526054142.db2.gz PPJOONROCMZWDQ-UHFFFAOYSA-N 0 0 293.348 2.693 20 5 CFBDRN Cc1cnc(CNc2ncnc3sc([N+](=O)[O-])cc32)o1 ZINC000312225258 526055063 /nfs/dbraw/zinc/05/50/63/526055063.db2.gz CBDYTPFDTAMVON-UHFFFAOYSA-N 0 0 291.292 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC2(CO)CCCC2)c1 ZINC000084726512 526059799 /nfs/dbraw/zinc/05/97/99/526059799.db2.gz KETORYRDXKJMSP-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOc2ccccc2F)n1 ZINC000360664463 526060540 /nfs/dbraw/zinc/06/05/40/526060540.db2.gz POUYGJPQDWTWOW-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](CO)CC(F)(F)F)c1 ZINC000339698030 526062455 /nfs/dbraw/zinc/06/24/55/526062455.db2.gz POWMTPDZVQJPFV-MRVPVSSYSA-N 0 0 278.230 2.628 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)Cc2ccncc2)n1 ZINC000360872652 526063060 /nfs/dbraw/zinc/06/30/60/526063060.db2.gz PJXREXKEAFHJKX-NSHDSACASA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCCC[C@@H]2CO)c1 ZINC000088724867 526064597 /nfs/dbraw/zinc/06/45/97/526064597.db2.gz WEBFGYSORAXLDP-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2ccc3c(c2)ncn3C)n1 ZINC000360884082 526065107 /nfs/dbraw/zinc/06/51/07/526065107.db2.gz NEYWVDSCORTMHZ-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CC=CCC1 ZINC000049412772 526073644 /nfs/dbraw/zinc/07/36/44/526073644.db2.gz DGUVLHKMMNYHQR-GFCCVEGCSA-N 0 0 274.320 2.989 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccsc1 ZINC000049376613 526074700 /nfs/dbraw/zinc/07/47/00/526074700.db2.gz KZMLGUOSDXDSLU-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Oc1nccn(C(F)F)c1=O ZINC000360965459 526084806 /nfs/dbraw/zinc/08/48/06/526084806.db2.gz FYXGWVDRGCMXDM-UHFFFAOYSA-N 0 0 297.217 2.647 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCCOCC1 ZINC000365811438 526085870 /nfs/dbraw/zinc/08/58/70/526085870.db2.gz BQFXSPRHWQFCIV-LLVKDONJSA-N 0 0 279.292 2.625 20 5 CFBDRN Cc1cnc(NCc2ccc(C)nc2)c([N+](=O)[O-])c1 ZINC000090692329 526092437 /nfs/dbraw/zinc/09/24/37/526092437.db2.gz VZHKMQBXRSMOCS-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CSc1cccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)c1C ZINC000354549423 526134865 /nfs/dbraw/zinc/13/48/65/526134865.db2.gz YDGQXWXRDKUYGA-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN Cc1cc(=O)n(Cc2ccc(Cl)s2)cc1[N+](=O)[O-] ZINC000336484285 526140994 /nfs/dbraw/zinc/14/09/94/526140994.db2.gz AXVXYLDPTXERAG-UHFFFAOYSA-N 0 0 284.724 2.828 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)C[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000333976150 526194726 /nfs/dbraw/zinc/19/47/26/526194726.db2.gz KZPWUPLKHVGGAB-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000340338764 526203010 /nfs/dbraw/zinc/20/30/10/526203010.db2.gz GWZARVNDUICURV-MRVPVSSYSA-N 0 0 266.272 2.604 20 5 CFBDRN Cc1cncc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])c1 ZINC000312415715 526209469 /nfs/dbraw/zinc/20/94/69/526209469.db2.gz SJOYDSKVQMANRZ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COc1cccc(N[C@H]2CC[C@@H](OC)C2)c1[N+](=O)[O-] ZINC000231683042 526211047 /nfs/dbraw/zinc/21/10/47/526211047.db2.gz HFYBGOYQNKQLSN-VHSXEESVSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000335194439 526222560 /nfs/dbraw/zinc/22/25/60/526222560.db2.gz FIUUACQYHDDSQU-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1cc(C(=O)N2CC(C)=C[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000336152035 526234965 /nfs/dbraw/zinc/23/49/65/526234965.db2.gz XVOIWGJXHHHFLY-SNVBAGLBSA-N 0 0 274.320 2.941 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@H]1C ZINC000050565153 526266577 /nfs/dbraw/zinc/26/65/77/526266577.db2.gz QSJQXSYWQZKCHG-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413237239 526294092 /nfs/dbraw/zinc/29/40/92/526294092.db2.gz UBTHRPQQHDSVAP-KXMPLOMGSA-N 0 0 287.319 2.882 20 5 CFBDRN Cc1nnc(COc2ccc([N+](=O)[O-])cc2Cl)s1 ZINC000358567763 526347928 /nfs/dbraw/zinc/34/79/28/526347928.db2.gz YDRSFMYMCUNODI-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN O=C(NCCc1ccsc1)c1cc(F)ccc1[N+](=O)[O-] ZINC000049183804 526450050 /nfs/dbraw/zinc/45/00/50/526450050.db2.gz DRVXLHZZXGBSJW-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN O=C(NCc1ccc[nH]1)c1ccc([N+](=O)[O-])cc1Cl ZINC000174329590 526706691 /nfs/dbraw/zinc/70/66/91/526706691.db2.gz XXRCLFGRXSNUEX-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@H](C2CCCC2)C1 ZINC000335015133 526713556 /nfs/dbraw/zinc/71/35/56/526713556.db2.gz ULFKPMDKIFQDSF-NSHDSACASA-N 0 0 277.324 2.575 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCc2c1c(F)ccc2F ZINC000334462235 526715863 /nfs/dbraw/zinc/71/58/63/526715863.db2.gz QHFSRJHBHDTXPI-UHFFFAOYSA-N 0 0 294.213 2.669 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@H]1c1ccccc1F ZINC000363351535 526717119 /nfs/dbraw/zinc/71/71/19/526717119.db2.gz HWODDUGLUXHHMN-NSHDSACASA-N 0 0 290.250 2.914 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccsc1 ZINC000049401884 526726431 /nfs/dbraw/zinc/72/64/31/526726431.db2.gz GCBHCVINQZIQJI-UHFFFAOYSA-N 0 0 262.290 2.586 20 5 CFBDRN CC(C)(C)c1nnc(CNc2ccc(F)cc2[N+](=O)[O-])[nH]1 ZINC000413409667 526846563 /nfs/dbraw/zinc/84/65/63/526846563.db2.gz BUZTTWJLRGJEQK-UHFFFAOYSA-N 0 0 293.302 2.762 20 5 CFBDRN O=C(N[C@@H](c1cccnc1)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000340394765 526872461 /nfs/dbraw/zinc/87/24/61/526872461.db2.gz NVVKBOLQFUKZNX-OAHLLOKOSA-N 0 0 297.314 2.871 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000334831975 526872627 /nfs/dbraw/zinc/87/26/27/526872627.db2.gz DQITUZCXHLFOIW-SECBINFHSA-N 0 0 268.338 2.835 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000172445549 526874120 /nfs/dbraw/zinc/87/41/20/526874120.db2.gz QZIYVQNTVMXETP-MRVPVSSYSA-N 0 0 270.354 2.987 20 5 CFBDRN COC[C@@H](C)CC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000445639291 536493347 /nfs/dbraw/zinc/49/33/47/536493347.db2.gz DZGHYNGJAWDCKU-VIFPVBQESA-N 0 0 280.324 2.823 20 5 CFBDRN Cc1nc(CNC(=O)c2cccc([N+](=O)[O-])c2)sc1C ZINC000075334431 526885415 /nfs/dbraw/zinc/88/54/15/526885415.db2.gz BESJSFNJLQFVQR-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=C(CNc1ccc(Cl)c([N+](=O)[O-])c1)N1CCCCC1 ZINC000067948151 526894517 /nfs/dbraw/zinc/89/45/17/526894517.db2.gz FJFLLKTXIFPJQO-UHFFFAOYSA-N 0 0 297.742 2.673 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC2(CC2)CC1 ZINC000370881000 526907888 /nfs/dbraw/zinc/90/78/88/526907888.db2.gz KHZXJZCUIXQRKN-UHFFFAOYSA-N 0 0 266.322 2.673 20 5 CFBDRN O=C(COc1c(F)cccc1[N+](=O)[O-])c1ccccc1 ZINC000124291824 526943845 /nfs/dbraw/zinc/94/38/45/526943845.db2.gz BSNRJFLQJOXCEI-UHFFFAOYSA-N 0 0 275.235 2.996 20 5 CFBDRN Cc1nc(SCC(=O)c2cccc([N+](=O)[O-])c2)[nH]c1C ZINC000338584397 527019447 /nfs/dbraw/zinc/01/94/47/527019447.db2.gz NPMOWMRNBPFWMM-UHFFFAOYSA-N 0 0 291.332 2.910 20 5 CFBDRN NC(=O)c1cc(F)ccc1NCc1csc([N+](=O)[O-])c1 ZINC000360361401 527027971 /nfs/dbraw/zinc/02/79/71/527027971.db2.gz QKVGZLRPVSUDJL-UHFFFAOYSA-N 0 0 295.295 2.506 20 5 CFBDRN O=C(N[C@H]1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000335430862 527035969 /nfs/dbraw/zinc/03/59/69/527035969.db2.gz OMOWQWYCDJWQMQ-QWRGUYRKSA-N 0 0 266.272 2.605 20 5 CFBDRN NC(=O)c1ccc(CSc2cccc([N+](=O)[O-])c2)cc1 ZINC000337907198 527052302 /nfs/dbraw/zinc/05/23/02/527052302.db2.gz DKZCJAAWEAUQCN-UHFFFAOYSA-N 0 0 288.328 2.986 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000335138839 527124609 /nfs/dbraw/zinc/12/46/09/527124609.db2.gz MGERSCPFMGFZSR-DIYOJNKTSA-N 0 0 279.271 2.654 20 5 CFBDRN O=Cc1ccc(OC[C@H]2Cc3ccccc3O2)c([N+](=O)[O-])c1 ZINC000317212253 527150219 /nfs/dbraw/zinc/15/02/19/527150219.db2.gz WGIDEVRJIWIVFJ-CYBMUJFWSA-N 0 0 299.282 2.790 20 5 CFBDRN Cc1ncc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)s1 ZINC000195223616 527230541 /nfs/dbraw/zinc/23/05/41/527230541.db2.gz JTZDIBIXXJGRRP-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000335623015 527261505 /nfs/dbraw/zinc/26/15/05/527261505.db2.gz RMMLPLHTXONCDR-AYMMMOKOSA-N 0 0 279.271 2.654 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCc1ccsc1 ZINC000172797889 527271531 /nfs/dbraw/zinc/27/15/31/527271531.db2.gz ZVTOVWJBYBSGJS-UHFFFAOYSA-N 0 0 276.317 2.515 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc2c(c1)CCO2 ZINC000172745483 527272578 /nfs/dbraw/zinc/27/25/78/527272578.db2.gz QPDKGOFOHNHQNM-UHFFFAOYSA-N 0 0 298.298 2.711 20 5 CFBDRN O=C(Cc1cccs1)NCc1ccc([N+](=O)[O-])cc1 ZINC000048807257 527303193 /nfs/dbraw/zinc/30/31/93/527303193.db2.gz DJQXCCNVOXJGKR-UHFFFAOYSA-N 0 0 276.317 2.515 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC(C)(C)c1ccccc1 ZINC000339287631 527313173 /nfs/dbraw/zinc/31/31/73/527313173.db2.gz RGFBSOGKLHNVEF-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cc(Cl)ccc1F ZINC000336047503 527316239 /nfs/dbraw/zinc/31/62/39/527316239.db2.gz YRXFDUHXTDUQNM-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000413381962 527316355 /nfs/dbraw/zinc/31/63/55/527316355.db2.gz XQEULTFYSOTAQB-BXKDBHETSA-N 0 0 278.308 2.777 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000413381968 527316950 /nfs/dbraw/zinc/31/69/50/527316950.db2.gz XQEULTFYSOTAQB-SKDRFNHKSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(C(F)(F)F)cn1 ZINC000340672783 527316893 /nfs/dbraw/zinc/31/68/93/527316893.db2.gz SVIQITUEMWWQBB-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCCc1ccccc1F ZINC000340894227 527322713 /nfs/dbraw/zinc/32/27/13/527322713.db2.gz FFCTWRBXOOXSED-UHFFFAOYSA-N 0 0 275.283 2.596 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1cc2ccccc2o1 ZINC000360994943 527323366 /nfs/dbraw/zinc/32/33/66/527323366.db2.gz LMWSBWQDQPCTBB-UHFFFAOYSA-N 0 0 283.287 2.813 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2ncccn2)cs1 ZINC000048619768 527330478 /nfs/dbraw/zinc/33/04/78/527330478.db2.gz RKEJOBANQKPLPA-UHFFFAOYSA-N 0 0 253.308 2.739 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@@H](CCO)C1 ZINC000051895430 527334403 /nfs/dbraw/zinc/33/44/03/527334403.db2.gz UYIFAEUSCFKWAX-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCC[C@H](O)C3)n2)cc1 ZINC000336850873 527344328 /nfs/dbraw/zinc/34/43/28/527344328.db2.gz ZWAQSCAWHRMSJX-JQWIXIFHSA-N 0 0 289.291 2.663 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ccoc2)c(F)c1 ZINC000310925054 527350647 /nfs/dbraw/zinc/35/06/47/527350647.db2.gz HVCKUKAWOSDCJM-UHFFFAOYSA-N 0 0 250.229 2.617 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@@H]1CCO[C@@H]1C1CC1 ZINC000228723286 527358343 /nfs/dbraw/zinc/35/83/43/527358343.db2.gz BVGWGMKCPZNKJY-ZWNOBZJWSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(Cc3ccccc3)C2)nc1 ZINC000361069873 527361094 /nfs/dbraw/zinc/36/10/94/527361094.db2.gz UTPLDBRLIMTTHB-UHFFFAOYSA-N 0 0 269.304 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CCO)CC2)c2ccncc21 ZINC000157250480 527363679 /nfs/dbraw/zinc/36/36/79/527363679.db2.gz UTKIFOFQHBLAPN-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@H](CO)C2)cc1 ZINC000311899309 527366587 /nfs/dbraw/zinc/36/65/87/527366587.db2.gz ADWCDJPCSNPOEC-RYUDHWBXSA-N 0 0 264.325 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1cccnc1)CC2 ZINC000088606662 527378756 /nfs/dbraw/zinc/37/87/56/527378756.db2.gz JSSXQMCPNUGYTJ-UHFFFAOYSA-N 0 0 269.304 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CCCC[C@H]3O)ccc2c1 ZINC000052006943 527381078 /nfs/dbraw/zinc/38/10/78/527381078.db2.gz JGKUACRPSXUXDY-ZIAGYGMSSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@H]3CCC[C@H](O)C3)n2)c1 ZINC000314268709 527383226 /nfs/dbraw/zinc/38/32/26/527383226.db2.gz XNGIGGNNZUOHIB-JQWIXIFHSA-N 0 0 289.291 2.663 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nnc(-c3ccco3)o2)c1 ZINC000059453229 527392556 /nfs/dbraw/zinc/39/25/56/527392556.db2.gz COJXHAOTQSAIID-UHFFFAOYSA-N 0 0 287.231 2.817 20 5 CFBDRN O=[N+]([O-])c1cccc(SCCOCC(F)F)c1 ZINC000340704364 527394171 /nfs/dbraw/zinc/39/41/71/527394171.db2.gz OZIYDQMVPMYMHW-UHFFFAOYSA-N 0 0 263.265 2.969 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1ncc(Br)cn1 ZINC000067119746 527403781 /nfs/dbraw/zinc/40/37/81/527403781.db2.gz MKXIAEZXULAVKH-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ncoc2-c2ccccc2)nc1 ZINC000364719725 527410853 /nfs/dbraw/zinc/41/08/53/527410853.db2.gz CISAOHQCQKBDOG-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN Cn1ccnc1CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000051921957 527437961 /nfs/dbraw/zinc/43/79/61/527437961.db2.gz NESBMWAHSUBJKW-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1Cc1cccc(F)c1 ZINC000336237801 527452474 /nfs/dbraw/zinc/45/24/74/527452474.db2.gz XYBOXLNXIQKSBE-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)C1CC=CC1 ZINC000077742074 527460223 /nfs/dbraw/zinc/46/02/23/527460223.db2.gz SQWPMUYIBGILLR-UHFFFAOYSA-N 0 0 298.302 2.685 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCc1ccc(Cl)s1 ZINC000049321159 527490618 /nfs/dbraw/zinc/49/06/18/527490618.db2.gz IFFSBTLJYOJYFL-UHFFFAOYSA-N 0 0 286.744 2.698 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1(C)CCCCC1 ZINC000229595885 527490662 /nfs/dbraw/zinc/49/06/62/527490662.db2.gz ATCSYKIJZNHXDP-UHFFFAOYSA-N 0 0 252.318 2.711 20 5 CFBDRN CC(C)(C(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000428093824 527536818 /nfs/dbraw/zinc/53/68/18/527536818.db2.gz TZLGVVWJSOJISV-WDNDVIMCSA-N 0 0 288.347 2.787 20 5 CFBDRN CC(C)(C)CC[C@@H](CO)Nc1ncc([N+](=O)[O-])cc1F ZINC000413267498 527674879 /nfs/dbraw/zinc/67/48/79/527674879.db2.gz MRDCHVFZDRQTDH-VIFPVBQESA-N 0 0 285.319 2.728 20 5 CFBDRN CC1(C)C[C@H](CNc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000413337339 527729787 /nfs/dbraw/zinc/72/97/87/527729787.db2.gz NLXGZDRKLMOFPB-SECBINFHSA-N 0 0 283.303 2.746 20 5 CFBDRN CCC1(CNC(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000176388159 527731004 /nfs/dbraw/zinc/73/10/04/527731004.db2.gz WNNHCKNULFOASZ-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413253421 527888574 /nfs/dbraw/zinc/88/85/74/527888574.db2.gz UVRIWTKPCPGLJX-QWHCGFSZSA-N 0 0 287.319 2.714 20 5 CFBDRN CC1(CC(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000428911970 527918308 /nfs/dbraw/zinc/91/83/08/527918308.db2.gz LOIIXYXMKMPGRX-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN CC(C)c1ccc(C[NH2+]CC(C)(C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000426714297 528101002 /nfs/dbraw/zinc/10/10/02/528101002.db2.gz LZYXVCWMJVZIEB-UHFFFAOYSA-N 0 0 294.351 2.919 20 5 CFBDRN CCOC(=O)c1cc(NCc2ccoc2)ccc1[N+](=O)[O-] ZINC000176936020 528188723 /nfs/dbraw/zinc/18/87/23/528188723.db2.gz BQGHENBPXGSXJO-UHFFFAOYSA-N 0 0 290.275 2.977 20 5 CFBDRN CCOc1ccc(C(=O)NCC2(CC)CC2)cc1[N+](=O)[O-] ZINC000178174921 528400545 /nfs/dbraw/zinc/40/05/45/528400545.db2.gz NDWZUFCGHYBWNB-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CCNc1ccc(C(=O)NC[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416065699 528428829 /nfs/dbraw/zinc/42/88/29/528428829.db2.gz SKBCRWSKHSPZLD-LLVKDONJSA-N 0 0 289.335 2.557 20 5 CFBDRN CCCCNC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000173248917 528548021 /nfs/dbraw/zinc/54/80/21/528548021.db2.gz LXMLNYCNJAASAS-UHFFFAOYSA-N 0 0 250.298 2.687 20 5 CFBDRN CCC(C)(C)OCCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000308510143 528592888 /nfs/dbraw/zinc/59/28/88/528592888.db2.gz CGRFZQDOENKWMA-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN CCC(C)(C)OCCNc1ccc([N+](=O)[O-])cn1 ZINC000308516256 528594174 /nfs/dbraw/zinc/59/41/74/528594174.db2.gz YUSORPUZOXZWOZ-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN CCC(C)(CC)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173028994 528611150 /nfs/dbraw/zinc/61/11/50/528611150.db2.gz OAHYXHDWPZFCED-UHFFFAOYSA-N 0 0 264.325 2.832 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)C[C@H]1F ZINC000425564164 528614575 /nfs/dbraw/zinc/61/45/75/528614575.db2.gz KTBGAOJSWXYYFR-DGCLKSJQSA-N 0 0 282.271 2.680 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](CNc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000413317529 528762012 /nfs/dbraw/zinc/76/20/12/528762012.db2.gz RAAZVLPEHMGRPN-GWCFXTLKSA-N 0 0 297.330 2.992 20 5 CFBDRN CCN(Cc1occc1C)c1ccc([N+](=O)[O-])cn1 ZINC000413561053 528918939 /nfs/dbraw/zinc/91/89/39/528918939.db2.gz YASNBOSIYODUIM-UHFFFAOYSA-N 0 0 261.281 2.918 20 5 CFBDRN CCN(C(=O)Nc1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000105777969 529024076 /nfs/dbraw/zinc/02/40/76/529024076.db2.gz MTKPKEITUSOMRL-UHFFFAOYSA-N 0 0 279.296 2.620 20 5 CFBDRN CCn1ccc(CN[C@H](C)c2cccc([N+](=O)[O-])c2C)n1 ZINC000414213596 529175204 /nfs/dbraw/zinc/17/52/04/529175204.db2.gz UQWWGJDYUJXLAL-GFCCVEGCSA-N 0 0 288.351 2.970 20 5 CFBDRN CCn1ccc(CNc2ccc([N+](=O)[O-])cc2F)n1 ZINC000413487825 529175622 /nfs/dbraw/zinc/17/56/22/529175622.db2.gz DHHBJYSXQWFIAY-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN CC[C@H](C)[C@@H](C)N(C(=O)c1[nH]nc(C)c1[N+](=O)[O-])C1CC1 ZINC000331440631 529202531 /nfs/dbraw/zinc/20/25/31/529202531.db2.gz FFSSHPWQZOFPKD-WCBMZHEXSA-N 0 0 294.355 2.666 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@H](O)C(C)C ZINC000413561619 529208713 /nfs/dbraw/zinc/20/87/13/529208713.db2.gz ZVUDFXYUSOMNGZ-ZWNOBZJWSA-N 0 0 267.329 2.506 20 5 CFBDRN CC[C@H]1COCCN1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000174185578 529307783 /nfs/dbraw/zinc/30/77/83/529307783.db2.gz YIKSEOHTMCIXNC-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000155993448 529310075 /nfs/dbraw/zinc/31/00/75/529310075.db2.gz QNTMBASEXWLHTF-RISCZKNCSA-N 0 0 292.335 2.669 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000420617031 529320882 /nfs/dbraw/zinc/32/08/82/529320882.db2.gz JCZMLEDNTMBCRM-RYUDHWBXSA-N 0 0 280.324 2.973 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCCC1CC1 ZINC000439870018 535429528 /nfs/dbraw/zinc/42/95/28/535429528.db2.gz KMOCDSZAGVACAC-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1C[C@H]1C ZINC000439922955 535429984 /nfs/dbraw/zinc/42/99/84/535429984.db2.gz BZCHYJVDXIWVLN-NXEZZACHSA-N 0 0 293.323 2.771 20 5 CFBDRN CCOCCC1(CNc2ccc([N+](=O)[O-])nc2)CC1 ZINC000443896968 535488632 /nfs/dbraw/zinc/48/86/32/535488632.db2.gz NCVQXHBRYKSIEG-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CC[C@@H](C)NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491290575 535567114 /nfs/dbraw/zinc/56/71/14/535567114.db2.gz FXZVDBNZKQULCC-WILPJHFFSA-N 0 0 266.272 2.662 20 5 CFBDRN CCC[C@H]1C[C@H]1C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000360040057 535749120 /nfs/dbraw/zinc/74/91/20/535749120.db2.gz WPPSWBMMPYVTSF-NWDGAFQWSA-N 0 0 292.335 2.656 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)C1CCCC1 ZINC000456676420 535880491 /nfs/dbraw/zinc/88/04/91/535880491.db2.gz BMPHWNCPJBGVEI-JTQLQIEISA-N 0 0 266.297 2.886 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000452773102 535915909 /nfs/dbraw/zinc/91/59/09/535915909.db2.gz LOJYHLJIGNSFBW-MNOVXSKESA-N 0 0 262.309 2.679 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H](CC)C2CCCC2)c1[N+](=O)[O-] ZINC000456665353 536005728 /nfs/dbraw/zinc/00/57/28/536005728.db2.gz RZVDZBWBPBPXDR-JTQLQIEISA-N 0 0 294.355 2.579 20 5 CFBDRN CCc1ccnc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)c1 ZINC000437750414 536010224 /nfs/dbraw/zinc/01/02/24/536010224.db2.gz OTSXBZFAUNLNDQ-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1C[C@H]1C ZINC000462909236 536134986 /nfs/dbraw/zinc/13/49/86/536134986.db2.gz DOHPLAPYMYNYMA-MRVWCRGKSA-N 0 0 290.363 2.936 20 5 CFBDRN COC(=O)Cc1ccc(NCC=C(C)C)c([N+](=O)[O-])c1 ZINC000450477083 536367043 /nfs/dbraw/zinc/36/70/43/536367043.db2.gz UQFBZTUGFBAHEX-UHFFFAOYSA-N 0 0 278.308 2.688 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000445936055 536474453 /nfs/dbraw/zinc/47/44/53/536474453.db2.gz WFBREEFPMJUSRE-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN COC1(C)CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000342130550 536562928 /nfs/dbraw/zinc/56/29/28/536562928.db2.gz FKSDKVCPEJTBEH-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@](C)(F)C1 ZINC000444937382 536887234 /nfs/dbraw/zinc/88/72/34/536887234.db2.gz PYIZXCLKTYNJTN-ZDUSSCGKSA-N 0 0 297.286 2.569 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NC1(C)CCC1 ZINC000935078788 649872377 /nfs/dbraw/zinc/87/23/77/649872377.db2.gz JMJQTYCNDZWYBB-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CC1(Cl)Cl ZINC000727436626 574050060 /nfs/dbraw/zinc/05/00/60/574050060.db2.gz KZCOMMXKMFCMOP-MRVPVSSYSA-N 0 0 290.102 2.980 20 5 CFBDRN Cc1cccnc1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000750181007 574050204 /nfs/dbraw/zinc/05/02/04/574050204.db2.gz XCERYURICLMZCC-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CSCc1nnc(-c2ccccc2[N+](=O)[O-])o1 ZINC000753849810 574051208 /nfs/dbraw/zinc/05/12/08/574051208.db2.gz AVCOGLMPEINLQR-UHFFFAOYSA-N 0 0 251.267 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2nccs2)c1 ZINC000744058910 574051866 /nfs/dbraw/zinc/05/18/66/574051866.db2.gz ZZFDOEVOUGRDNV-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC[C@H]1CCCN1C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000727733512 574062941 /nfs/dbraw/zinc/06/29/41/574062941.db2.gz KNUIZGXXJLTLDB-JTQLQIEISA-N 0 0 296.298 2.514 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000727734169 574063488 /nfs/dbraw/zinc/06/34/88/574063488.db2.gz JGZKQEBFHPEMFI-SNVBAGLBSA-N 0 0 293.279 2.518 20 5 CFBDRN CSc1ccc(C(=O)O[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000727772777 574064374 /nfs/dbraw/zinc/06/43/74/574064374.db2.gz NSTCAGXGKBBAOZ-VIFPVBQESA-N 0 0 299.373 2.979 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(Oc3cccnc3F)c21 ZINC000754049732 574065761 /nfs/dbraw/zinc/06/57/61/574065761.db2.gz FJAOBCSYVVQESE-UHFFFAOYSA-N 0 0 286.222 2.864 20 5 CFBDRN CCN1CCN(c2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1C ZINC000754078789 574069986 /nfs/dbraw/zinc/06/99/86/574069986.db2.gz ACHLVQVWCGTIGJ-JTQLQIEISA-N 0 0 283.759 2.779 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCCn1ccnc1)CC2 ZINC000754109143 574073876 /nfs/dbraw/zinc/07/38/76/574073876.db2.gz JBOZNJIOZWCTHZ-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN CCc1cnc(COC(=O)c2cccc(C)c2[N+](=O)[O-])o1 ZINC000744454523 574075753 /nfs/dbraw/zinc/07/57/53/574075753.db2.gz AOWVMIRSPXNGBP-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C1CC(F)(F)C1 ZINC000754126303 574075902 /nfs/dbraw/zinc/07/59/02/574075902.db2.gz CGZBKWDXAJQESG-UHFFFAOYSA-N 0 0 289.209 2.822 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCCOC1CCC1 ZINC000750776909 574076760 /nfs/dbraw/zinc/07/67/60/574076760.db2.gz UHWPPJAESWOPJC-TWGQIWQCSA-N 0 0 291.303 2.720 20 5 CFBDRN Cc1ocnc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000728166734 574083075 /nfs/dbraw/zinc/08/30/75/574083075.db2.gz ISAWOZLKZUVVQY-QMMMGPOBSA-N 0 0 276.248 2.809 20 5 CFBDRN CSCCCOC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000744731733 574084962 /nfs/dbraw/zinc/08/49/62/574084962.db2.gz PHQQLECSDKQEFE-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN C[C@@]1(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)CCOC1 ZINC000754274228 574085801 /nfs/dbraw/zinc/08/58/01/574085801.db2.gz NWOYTAHJPZEIGW-CYBMUJFWSA-N 0 0 299.710 2.718 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccn(C)c1 ZINC000754327000 574088568 /nfs/dbraw/zinc/08/85/68/574088568.db2.gz UATLWXLCNGXWET-XFXZXTDPSA-N 0 0 299.330 2.653 20 5 CFBDRN Cc1cc(COC(=O)c2c(Cl)cccc2[N+](=O)[O-])no1 ZINC000730645760 574090423 /nfs/dbraw/zinc/09/04/23/574090423.db2.gz QBQOKKBLDKJVKZ-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1sc(C(=O)OCc2cscn2)cc1[N+](=O)[O-] ZINC000728301885 574090696 /nfs/dbraw/zinc/09/06/96/574090696.db2.gz LUBPYPWFWJQETO-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN O=C(/C=C\C1CC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000754406539 574094391 /nfs/dbraw/zinc/09/43/91/574094391.db2.gz ROZBYIUPYRPRMN-HJWRWDBZSA-N 0 0 272.304 2.840 20 5 CFBDRN O=C(OCCOCC1CC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000745065227 574098993 /nfs/dbraw/zinc/09/89/93/574098993.db2.gz ITASQGDCBYYTSH-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN O=C(NCc1cocn1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000730893154 574103161 /nfs/dbraw/zinc/10/31/61/574103161.db2.gz BXEFRCMWJIGCOX-UHFFFAOYSA-N 0 0 296.670 2.558 20 5 CFBDRN CN(C)c1cc(CN2CCc3ccc([N+](=O)[O-])cc32)ccn1 ZINC000754597859 574107572 /nfs/dbraw/zinc/10/75/72/574107572.db2.gz JSBBIYAFTLKGNW-UHFFFAOYSA-N 0 0 298.346 2.619 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H](C)CCOC)cc1[N+](=O)[O-] ZINC000754612686 574109073 /nfs/dbraw/zinc/10/90/73/574109073.db2.gz XZPZBDCMWGVIDE-JTQLQIEISA-N 0 0 281.308 2.739 20 5 CFBDRN Cc1cnc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])o1 ZINC000754615031 574109170 /nfs/dbraw/zinc/10/91/70/574109170.db2.gz DOTHJOAEUMPBMG-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN O=C(/C=C\c1ccco1)Nc1ccc([N+](=O)[O-])cc1F ZINC000745340822 574110450 /nfs/dbraw/zinc/11/04/50/574110450.db2.gz STDJJRKWRZEDSJ-XQRVVYSFSA-N 0 0 276.223 2.979 20 5 CFBDRN C[C@H]1CN(c2nc3ccc([N+](=O)[O-])cc3s2)[C@@H](C)CO1 ZINC000731208346 574112726 /nfs/dbraw/zinc/11/27/26/574112726.db2.gz BUOHRRQVBUCEMH-IUCAKERBSA-N 0 0 293.348 2.818 20 5 CFBDRN Cc1noc([C@H](C)NCc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000754686084 574113745 /nfs/dbraw/zinc/11/37/45/574113745.db2.gz NPOLKHNWLPJPKN-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN CC1(NC(=S)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000754691212 574114240 /nfs/dbraw/zinc/11/42/40/574114240.db2.gz CKASKXDGQCTKSL-UHFFFAOYSA-N 0 0 265.338 2.824 20 5 CFBDRN O=C(/C=C/C1CC1)OCc1csc([N+](=O)[O-])c1 ZINC000745555387 574117182 /nfs/dbraw/zinc/11/71/82/574117182.db2.gz FEDKOBLBZYNJAN-ONEGZZNKSA-N 0 0 253.279 2.666 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H](C)CSC ZINC000731386942 574118732 /nfs/dbraw/zinc/11/87/32/574118732.db2.gz AQMWOGULHCTYMK-VIFPVBQESA-N 0 0 299.348 2.646 20 5 CFBDRN CSC[C@@H](C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000731387260 574118938 /nfs/dbraw/zinc/11/89/38/574118938.db2.gz CZJIAIFNADXVTC-SNVBAGLBSA-N 0 0 299.348 2.516 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc([N+](=O)[O-])n2C)c(C)c1 ZINC000754761766 574119212 /nfs/dbraw/zinc/11/92/12/574119212.db2.gz GDGABHOTJAKEKF-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)CC(C)C)c1 ZINC000751664838 574119269 /nfs/dbraw/zinc/11/92/69/574119269.db2.gz CJMIKIHLJCHXDE-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1cc(F)ccc1[N+](=O)[O-] ZINC000754798954 574121492 /nfs/dbraw/zinc/12/14/92/574121492.db2.gz GZXUCLXERFMJOJ-LLVKDONJSA-N 0 0 297.282 2.850 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1cc(F)ccc1O ZINC000745732163 574124473 /nfs/dbraw/zinc/12/44/73/574124473.db2.gz MSAHNJZWQNZNIN-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN O=C(OCc1cccc2c[nH]nc21)c1ccccc1[N+](=O)[O-] ZINC000745954104 574128819 /nfs/dbraw/zinc/12/88/19/574128819.db2.gz OPVSXCOJUQWGQH-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000745957328 574129217 /nfs/dbraw/zinc/12/92/17/574129217.db2.gz DPQSMJCCYQDEON-LLVKDONJSA-N 0 0 281.333 2.956 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@H]1CCCS1 ZINC000745956740 574129333 /nfs/dbraw/zinc/12/93/33/574129333.db2.gz DSWONAIDWFPIJB-LLVKDONJSA-N 0 0 281.333 2.576 20 5 CFBDRN O=C(OC[C@@H]1CCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000745960964 574129508 /nfs/dbraw/zinc/12/95/08/574129508.db2.gz OWGDHZFHLGKLGX-NSHDSACASA-N 0 0 267.306 2.647 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c2nonc21)C(C)(C)C ZINC000745973983 574130345 /nfs/dbraw/zinc/13/03/45/574130345.db2.gz NHABPTMZLHGBGL-JTQLQIEISA-N 0 0 294.311 2.604 20 5 CFBDRN CC(C)(C)/C=C/C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000731875330 574131870 /nfs/dbraw/zinc/13/18/70/574131870.db2.gz YGLZZZFZMVSHAK-CMDGGOBGSA-N 0 0 291.351 2.725 20 5 CFBDRN COC(C)(C)C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746077657 574132810 /nfs/dbraw/zinc/13/28/10/574132810.db2.gz WKGWFFUJXGRGSA-UHFFFAOYSA-N 0 0 287.699 2.716 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2c(C)noc2C)c1 ZINC000731904939 574133212 /nfs/dbraw/zinc/13/32/12/574133212.db2.gz RYEUCIUYXLCYMO-UHFFFAOYSA-N 0 0 291.307 2.845 20 5 CFBDRN O=C(Cc1cccnc1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000731986867 574139156 /nfs/dbraw/zinc/13/91/56/574139156.db2.gz QQNMOBPJCPYEAK-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN CC(C)CNC(=S)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000751919070 574143959 /nfs/dbraw/zinc/14/39/59/574143959.db2.gz VJLLEYLUHNYEOA-UHFFFAOYSA-N 0 0 295.408 2.950 20 5 CFBDRN COC[C@H](C)CC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000755198842 574146191 /nfs/dbraw/zinc/14/61/91/574146191.db2.gz AJZLNNXCEINQQV-GHMZBOCLSA-N 0 0 281.308 2.872 20 5 CFBDRN C[C@@H](OC(=O)[C@@]1(C)CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000755201186 574146609 /nfs/dbraw/zinc/14/66/09/574146609.db2.gz YQBZTLZVRSAYBK-QMTHXVAHSA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@@H](OC(=O)[C@]1(C)CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000755201188 574146647 /nfs/dbraw/zinc/14/66/47/574146647.db2.gz YQBZTLZVRSAYBK-YGRLFVJLSA-N 0 0 279.292 2.768 20 5 CFBDRN CCO[C@@H](CC)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000755252027 574149806 /nfs/dbraw/zinc/14/98/06/574149806.db2.gz SBZMNUNEBNFQIZ-LBPRGKRZSA-N 0 0 267.281 2.624 20 5 CFBDRN CCC1(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)COC1 ZINC000755325235 574154240 /nfs/dbraw/zinc/15/42/40/574154240.db2.gz QMSSXFUZPHDUOF-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)OCc1ccccc1[N+](=O)[O-] ZINC000732382979 574154668 /nfs/dbraw/zinc/15/46/68/574154668.db2.gz ZORNKPQOKFJTLA-CYBMUJFWSA-N 0 0 293.319 2.987 20 5 CFBDRN CCc1ccc(N(CC)CCn2cc([N+](=O)[O-])cn2)cc1 ZINC000746663592 574161079 /nfs/dbraw/zinc/16/10/79/574161079.db2.gz SBABAXAJQGHIEM-UHFFFAOYSA-N 0 0 288.351 2.880 20 5 CFBDRN Cc1cc(F)c2c(c1)CCCN2Cn1cc([N+](=O)[O-])cn1 ZINC000746708760 574163945 /nfs/dbraw/zinc/16/39/45/574163945.db2.gz KYDFXQQSJPKDJJ-UHFFFAOYSA-N 0 0 290.298 2.649 20 5 CFBDRN CCOc1cc(COC(=O)[C@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000755510467 574166542 /nfs/dbraw/zinc/16/65/42/574166542.db2.gz LGORIQHTFIKGMW-ONGXEEELSA-N 0 0 279.292 2.693 20 5 CFBDRN CNc1ccc(C(=O)Nc2ccc(O)cc2)cc1[N+](=O)[O-] ZINC000746889505 574169653 /nfs/dbraw/zinc/16/96/53/574169653.db2.gz PSIZFLDFWNFCJC-UHFFFAOYSA-N 0 0 287.275 2.594 20 5 CFBDRN O=C(CSC(F)F)OCc1ccc([N+](=O)[O-])cc1F ZINC000746938975 574171923 /nfs/dbraw/zinc/17/19/23/574171923.db2.gz NZZUYLKONYNOBL-UHFFFAOYSA-N 0 0 295.238 2.733 20 5 CFBDRN CC(C)OCCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746953122 574172266 /nfs/dbraw/zinc/17/22/66/574172266.db2.gz BQIVAYXUJYUORU-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN CC1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCOCC1 ZINC000746971878 574173520 /nfs/dbraw/zinc/17/35/20/574173520.db2.gz JWFYEEVZKZRDFC-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C1CCSCC1 ZINC000746982734 574174001 /nfs/dbraw/zinc/17/40/01/574174001.db2.gz UTHBYTVLRDNDDC-UHFFFAOYSA-N 0 0 299.323 2.920 20 5 CFBDRN CCCC(=O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000738613122 574176119 /nfs/dbraw/zinc/17/61/19/574176119.db2.gz SZXWVPUJZYRZKM-UHFFFAOYSA-N 0 0 291.259 2.867 20 5 CFBDRN CCCC(=O)COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000738572376 574176558 /nfs/dbraw/zinc/17/65/58/574176558.db2.gz CYWPOWUGNXHCQV-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN CCC(=O)OCc1cc([N+](=O)[O-])ccc1OC(C)C ZINC000729113013 574176796 /nfs/dbraw/zinc/17/67/96/574176796.db2.gz IGZDWDOSJUZNBN-UHFFFAOYSA-N 0 0 267.281 2.835 20 5 CFBDRN CCC[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755679806 574177579 /nfs/dbraw/zinc/17/75/79/574177579.db2.gz YNAUPKBDPYCIIM-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCC(F)(F)F ZINC000755678746 574177597 /nfs/dbraw/zinc/17/75/97/574177597.db2.gz UAERMNPTFYBAMU-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN Cc1cc(NC[C@@H](O)C(F)(F)F)c(Cl)cc1[N+](=O)[O-] ZINC000747212264 574187557 /nfs/dbraw/zinc/18/75/57/574187557.db2.gz JHBVUBFDTZDZDQ-SECBINFHSA-N 0 0 298.648 2.892 20 5 CFBDRN CO[C@@H](CNc1ccc(C)cc1[N+](=O)[O-])C(F)(F)F ZINC000747221116 574188226 /nfs/dbraw/zinc/18/82/26/574188226.db2.gz ZZIIXOQTTBQKII-JTQLQIEISA-N 0 0 278.230 2.892 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H]1CCSC1 ZINC000755820941 574189977 /nfs/dbraw/zinc/18/99/77/574189977.db2.gz XXTQLKKOKBYSIM-VIFPVBQESA-N 0 0 297.332 2.513 20 5 CFBDRN C[C@H](Nc1nc2ccccn2c1[N+](=O)[O-])C1CCC1 ZINC000755924602 574198181 /nfs/dbraw/zinc/19/81/81/574198181.db2.gz QAAJPCMJBMVKHV-VIFPVBQESA-N 0 0 260.297 2.843 20 5 CFBDRN COc1ccc(CC(=O)O[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000747486904 574198239 /nfs/dbraw/zinc/19/82/39/574198239.db2.gz VWFDJBIIOLOFIE-SNVBAGLBSA-N 0 0 293.319 2.878 20 5 CFBDRN CC[C@@H](Nc1nc2ccccn2c1[N+](=O)[O-])[C@H]1CCCO1 ZINC000755922036 574198467 /nfs/dbraw/zinc/19/84/67/574198467.db2.gz DUNUNWWLCLZLEJ-GHMZBOCLSA-N 0 0 290.323 2.612 20 5 CFBDRN Cn1c(C(=O)Oc2ccc3ccncc3c2)ccc1[N+](=O)[O-] ZINC000756140688 574212627 /nfs/dbraw/zinc/21/26/27/574212627.db2.gz HZDTWYMBWSTGMD-UHFFFAOYSA-N 0 0 297.270 2.701 20 5 CFBDRN Cc1ncoc1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000747824478 574214332 /nfs/dbraw/zinc/21/43/32/574214332.db2.gz XKLUUFHFVIDQMJ-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])cc2F)cn1 ZINC000747842038 574215251 /nfs/dbraw/zinc/21/52/51/574215251.db2.gz VHEZQVPIOGTPEX-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN O=C([O-])C1([NH2+]Cc2csc([N+](=O)[O-])c2)CCCCC1 ZINC000739519780 574216853 /nfs/dbraw/zinc/21/68/53/574216853.db2.gz OOODVMSVNHHNQG-UHFFFAOYSA-N 0 0 284.337 2.533 20 5 CFBDRN Cc1cc(C)cc(OC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000756210953 574217168 /nfs/dbraw/zinc/21/71/68/574217168.db2.gz CWHZNVPRSZGMPJ-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN O=[N+]([O-])c1c(NCC2CCSCC2)nc2ccccn21 ZINC000733302529 574220048 /nfs/dbraw/zinc/22/00/48/574220048.db2.gz ZBQJTPREFUPUMF-UHFFFAOYSA-N 0 0 292.364 2.798 20 5 CFBDRN CCC(C)(CC)NS(=O)(=O)c1ccc([N+](=O)[O-])s1 ZINC000733315009 574221084 /nfs/dbraw/zinc/22/10/84/574221084.db2.gz JWKSBDFMWBDBPU-UHFFFAOYSA-N 0 0 292.382 2.513 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1ccc(Cl)cc1F ZINC000756311167 574224716 /nfs/dbraw/zinc/22/47/16/574224716.db2.gz MJEFJBHIVQVRPF-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN COc1ccc(C(=O)O[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000733393301 574226292 /nfs/dbraw/zinc/22/62/92/574226292.db2.gz HXFCLZVLCDJAMQ-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000748110435 574228238 /nfs/dbraw/zinc/22/82/38/574228238.db2.gz HDTQJRCLVYVCGD-QMMMGPOBSA-N 0 0 252.270 2.644 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H]1C=CCCC1 ZINC000733400698 574228648 /nfs/dbraw/zinc/22/86/48/574228648.db2.gz OIZYLADAGNWFSE-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000756357031 574228739 /nfs/dbraw/zinc/22/87/39/574228739.db2.gz YRQGHWLQTPBFEV-SECBINFHSA-N 0 0 295.291 2.569 20 5 CFBDRN C[C@@H]1CCC[C@H](CC(=O)OCCn2cc([N+](=O)[O-])cn2)C1 ZINC000748120365 574230282 /nfs/dbraw/zinc/23/02/82/574230282.db2.gz KBEUWVILFRKQEA-NEPJUHHUSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@H](C)COC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000756380936 574231455 /nfs/dbraw/zinc/23/14/55/574231455.db2.gz HGLHHWOZJUOSJI-NSHDSACASA-N 0 0 281.308 2.953 20 5 CFBDRN C[C@@H](C(=O)OCCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000748141225 574232247 /nfs/dbraw/zinc/23/22/47/574232247.db2.gz HNSRRYQPWWDAGV-SNVBAGLBSA-N 0 0 279.292 2.563 20 5 CFBDRN C[C@H](OC(=O)C1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000729812574 574233282 /nfs/dbraw/zinc/23/32/82/574233282.db2.gz IFQZUGLWEJCLHH-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)[C@H](C)CC)cc1[N+](=O)[O-] ZINC000756417792 574235563 /nfs/dbraw/zinc/23/55/63/574235563.db2.gz BFYJPYWVAWDSCL-SNVBAGLBSA-N 0 0 293.319 2.929 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000729895190 574236031 /nfs/dbraw/zinc/23/60/31/574236031.db2.gz HLDZPXBXUIXGOK-IUCAKERBSA-N 0 0 274.276 2.889 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC[C@H]2CCCC2=O)c1 ZINC000748197208 574238782 /nfs/dbraw/zinc/23/87/82/574238782.db2.gz ZJOZCBOLVGNJST-LLVKDONJSA-N 0 0 291.303 2.819 20 5 CFBDRN CC(C)OCCCOC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000733459380 574239944 /nfs/dbraw/zinc/23/99/44/574239944.db2.gz NFJNVIYTJJDEAM-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN Cc1c(COC(=O)C[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000756497769 574241528 /nfs/dbraw/zinc/24/15/28/574241528.db2.gz ADHYUWYNZWQZMF-CYBMUJFWSA-N 0 0 293.319 2.906 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000756523606 574243795 /nfs/dbraw/zinc/24/37/95/574243795.db2.gz ZKZGCOSSQSHBPZ-JTQLQIEISA-N 0 0 297.282 2.736 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000756538243 574244138 /nfs/dbraw/zinc/24/41/38/574244138.db2.gz WNWDLVCGUGACRD-NSHDSACASA-N 0 0 293.319 2.686 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H](C)C(C)C ZINC000733578514 574247123 /nfs/dbraw/zinc/24/71/23/574247123.db2.gz LZJNBDBJVISBGJ-SNVBAGLBSA-N 0 0 281.308 2.939 20 5 CFBDRN CC(C)n1ccc(C(=O)OCc2csc([N+](=O)[O-])c2)n1 ZINC000733585570 574248382 /nfs/dbraw/zinc/24/83/82/574248382.db2.gz VKFRBYPMTWRISL-UHFFFAOYSA-N 0 0 295.320 2.791 20 5 CFBDRN CCCCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000748469461 574253853 /nfs/dbraw/zinc/25/38/53/574253853.db2.gz PVUOKJXOKVUYBQ-UHFFFAOYSA-N 0 0 251.282 2.789 20 5 CFBDRN CCSCCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000748478310 574254447 /nfs/dbraw/zinc/25/44/47/574254447.db2.gz QMXCGRGWBGQLKI-UHFFFAOYSA-N 0 0 283.349 2.742 20 5 CFBDRN CC(C)n1ccc(CC(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000740695776 574262503 /nfs/dbraw/zinc/26/25/03/574262503.db2.gz BUOQYRGUQBVZOW-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1ncccn1 ZINC000748803370 574267268 /nfs/dbraw/zinc/26/72/68/574267268.db2.gz VSKPYKMMGPNVGH-UHFFFAOYSA-N 0 0 293.666 2.566 20 5 CFBDRN CCCN(C)c1c(F)cc([N+](=O)[O-])cc1C(=O)OCC ZINC000740867934 574271214 /nfs/dbraw/zinc/27/12/14/574271214.db2.gz JHSAEQFJDPAONL-UHFFFAOYSA-N 0 0 284.287 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCc2cc[nH]c2)c1 ZINC000756899893 574271370 /nfs/dbraw/zinc/27/13/70/574271370.db2.gz HGXOYAQXERFBGM-UHFFFAOYSA-N 0 0 265.700 2.866 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000740871273 574271759 /nfs/dbraw/zinc/27/17/59/574271759.db2.gz QBHFXDPRFKNULN-DTWKUNHWSA-N 0 0 265.269 2.738 20 5 CFBDRN CC(C)OCC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000749054015 574277987 /nfs/dbraw/zinc/27/79/87/574277987.db2.gz HPEKYVXQLUQPMB-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])n(C)n1 ZINC000749056632 574278155 /nfs/dbraw/zinc/27/81/55/574278155.db2.gz QPCITDGVTRJYMT-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@@H](OC(=O)C1=COCC1)c1cccc([N+](=O)[O-])c1 ZINC000734194104 574278782 /nfs/dbraw/zinc/27/87/82/574278782.db2.gz PTIXTMGPORREKL-SECBINFHSA-N 0 0 263.249 2.503 20 5 CFBDRN CCc1ccc(Oc2c([N+](=O)[O-])ncn2C)c([N+](=O)[O-])c1 ZINC000734482153 574288288 /nfs/dbraw/zinc/28/82/88/574288288.db2.gz CMXSFMYHCDABKW-UHFFFAOYSA-N 0 0 292.251 2.591 20 5 CFBDRN CC[C@H]1COCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000734482976 574288406 /nfs/dbraw/zinc/28/84/06/574288406.db2.gz MBUUZOPNXOGUEC-VIFPVBQESA-N 0 0 293.348 2.820 20 5 CFBDRN Cc1cccc(C(=O)Oc2ccc3c[nH]nc3c2)c1[N+](=O)[O-] ZINC000749329403 574289423 /nfs/dbraw/zinc/28/94/23/574289423.db2.gz LWINVFKKBMZETB-UHFFFAOYSA-N 0 0 297.270 2.999 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)n[nH]1 ZINC000741440562 574290068 /nfs/dbraw/zinc/29/00/68/574290068.db2.gz OSEDJRBWXISBGR-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN O=C(OCCCF)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000741445874 574290346 /nfs/dbraw/zinc/29/03/46/574290346.db2.gz VBCQPSTVQXIWGI-UHFFFAOYSA-N 0 0 266.228 2.593 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCCc2nc(C)ncc21 ZINC000734832431 574305203 /nfs/dbraw/zinc/30/52/03/574305203.db2.gz GGBWZBKAHNASFU-AWEZNQCLSA-N 0 0 299.334 2.886 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OCCC1CCCC1 ZINC000741819416 574305323 /nfs/dbraw/zinc/30/53/23/574305323.db2.gz GIDICDOSVMAELQ-UHFFFAOYSA-N 0 0 278.308 2.914 20 5 CFBDRN Cc1cc(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)no1 ZINC000749718822 574306027 /nfs/dbraw/zinc/30/60/27/574306027.db2.gz IRXOPLYOGVUQIC-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000734882620 574306837 /nfs/dbraw/zinc/30/68/37/574306837.db2.gz HNYVYPWEWHOEID-UHFFFAOYSA-N 0 0 255.657 2.701 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])C1=COCCC1 ZINC000734896894 574307300 /nfs/dbraw/zinc/30/73/00/574307300.db2.gz TWGUHAZYMJLMRW-UHFFFAOYSA-N 0 0 297.694 2.986 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1cnccc1C ZINC000741886946 574309079 /nfs/dbraw/zinc/30/90/79/574309079.db2.gz ONFHYJKUNJOARR-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN Cc1ccncc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000741910760 574309437 /nfs/dbraw/zinc/30/94/37/574309437.db2.gz BZTMJLFHKIYSOU-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C[C@H]1CCN(CC(=O)Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000725803371 574311463 /nfs/dbraw/zinc/31/14/63/574311463.db2.gz JRTJIBDLMMJWEL-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN CCC/C=C/C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000735210809 574316987 /nfs/dbraw/zinc/31/69/87/574316987.db2.gz PNSDIUWDDKXAAO-AATRIKPKSA-N 0 0 292.335 2.931 20 5 CFBDRN O=C(CC1CCOCC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000735251488 574318462 /nfs/dbraw/zinc/31/84/62/574318462.db2.gz VVCCSQRHIRMWSR-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC000735397451 574323434 /nfs/dbraw/zinc/32/34/34/574323434.db2.gz DONODCMYLZLCIK-MRVPVSSYSA-N 0 0 290.275 2.804 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1ncccc1C ZINC000750162375 574328889 /nfs/dbraw/zinc/32/88/89/574328889.db2.gz DZJGVEFWCKAZCN-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN Cc1nonc1CNc1ccc([N+](=O)[O-])cc1Cl ZINC000742420967 574329660 /nfs/dbraw/zinc/32/96/60/574329660.db2.gz MWOOWPYMYBRFIQ-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN C[C@@H]1SCCN(c2nc3ccccn3c2[N+](=O)[O-])[C@H]1C ZINC000735669556 574332511 /nfs/dbraw/zinc/33/25/11/574332511.db2.gz SGGXINGPDUVTTM-UWVGGRQHSA-N 0 0 292.364 2.573 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000742742937 574342335 /nfs/dbraw/zinc/34/23/35/574342335.db2.gz XJOPKXDUPIJIAT-WDEREUQCSA-N 0 0 294.376 2.917 20 5 CFBDRN CCC1(C(=O)OCc2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000750482354 574342350 /nfs/dbraw/zinc/34/23/50/574342350.db2.gz UUUYCDVPXKEUGX-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000742742924 574342444 /nfs/dbraw/zinc/34/24/44/574342444.db2.gz XEVZTXYGUHIWRP-VHSXEESVSA-N 0 0 280.349 2.609 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1cc[nH]c1 ZINC000750494648 574343304 /nfs/dbraw/zinc/34/33/04/574343304.db2.gz CREVOJNPPFZYDC-UHFFFAOYSA-N 0 0 280.667 2.933 20 5 CFBDRN CC1(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000750533003 574346370 /nfs/dbraw/zinc/34/63/70/574346370.db2.gz OGAYGGYGBRBVIO-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2ccncc2[N+](=O)[O-])no1 ZINC000750605401 574349066 /nfs/dbraw/zinc/34/90/66/574349066.db2.gz JGVMBVVPVOIQLM-LLVKDONJSA-N 0 0 274.280 2.628 20 5 CFBDRN Cc1c(C(=O)OCCOC2CCC2)cccc1[N+](=O)[O-] ZINC000750766179 574354203 /nfs/dbraw/zinc/35/42/03/574354203.db2.gz DGORRXGXCGBCTJ-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cc2occc2[nH]1 ZINC000750809143 574356129 /nfs/dbraw/zinc/35/61/29/574356129.db2.gz SHTUNADZGIOWQP-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN Cc1ccoc1/C=C1\N=C(c2cccc([N+](=O)[O-])c2)OC1=O ZINC000743281030 574357168 /nfs/dbraw/zinc/35/71/68/574357168.db2.gz KUNKUQIXGRLIBA-WQLSENKSSA-N 0 0 298.254 2.841 20 5 CFBDRN CCc1cc(CNc2ncc([N+](=O)[O-])cc2Cl)[nH]n1 ZINC000736288830 574359644 /nfs/dbraw/zinc/35/96/44/574359644.db2.gz VSOZGMIJYLGHJI-UHFFFAOYSA-N 0 0 281.703 2.541 20 5 CFBDRN C/C=C(/C)C(=O)OCc1cc([N+](=O)[O-])ccc1OC ZINC000750902326 574360865 /nfs/dbraw/zinc/36/08/65/574360865.db2.gz VXEAXDURAOIDPG-WTKPLQERSA-N 0 0 265.265 2.613 20 5 CFBDRN C[C@@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)c1cnccn1 ZINC000751166504 574370817 /nfs/dbraw/zinc/37/08/17/574370817.db2.gz FURLNLSZMUJVHJ-TZOMUSMUSA-N 0 0 299.286 2.702 20 5 CFBDRN CC(C)=C(C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000751203573 574371868 /nfs/dbraw/zinc/37/18/68/574371868.db2.gz YBHYWTRZXQODGS-UHFFFAOYSA-N 0 0 260.293 2.840 20 5 CFBDRN Cc1ccc(OC(=O)CCOCC2CC2)c([N+](=O)[O-])c1 ZINC000751220036 574372433 /nfs/dbraw/zinc/37/24/33/574372433.db2.gz YAMODRJOFKSYDS-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C(C)=C(C)C ZINC000751364897 574375394 /nfs/dbraw/zinc/37/53/94/574375394.db2.gz QPETVBJNSPKNDR-UHFFFAOYSA-N 0 0 278.308 2.576 20 5 CFBDRN CS/C=C/C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000751458709 574377296 /nfs/dbraw/zinc/37/72/96/574377296.db2.gz YLOWGPJFRIVYQY-AATRIKPKSA-N 0 0 252.295 2.718 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)CC(C)C ZINC000751655413 574380649 /nfs/dbraw/zinc/38/06/49/574380649.db2.gz JGTLHQBQXNRSDD-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CC1(C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)CCC1 ZINC000751676942 574380966 /nfs/dbraw/zinc/38/09/66/574380966.db2.gz YKMYRCYKJJUHQQ-UHFFFAOYSA-N 0 0 290.275 2.969 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CC1 ZINC000751745665 574382095 /nfs/dbraw/zinc/38/20/95/574382095.db2.gz MOLHJDIJJKYCAX-UONOGXRCSA-N 0 0 291.303 2.563 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@H]1C[C@H]1C1CC1 ZINC000751746865 574382102 /nfs/dbraw/zinc/38/21/02/574382102.db2.gz OLXBIAZNFRDOOJ-UWVGGRQHSA-N 0 0 279.267 2.823 20 5 CFBDRN COc1ccc(COC(=O)[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000751802096 574383172 /nfs/dbraw/zinc/38/31/72/574383172.db2.gz NYUXLNQTPSMSGD-JTQLQIEISA-N 0 0 279.292 2.693 20 5 CFBDRN COc1cccc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)n1 ZINC000752232550 574389196 /nfs/dbraw/zinc/38/91/96/574389196.db2.gz AQYUUNZPJHIFBV-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN C[C@@H]1CCCN1CC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000752302232 574390317 /nfs/dbraw/zinc/39/03/17/574390317.db2.gz ZXWXVHYKGCYXDN-SECBINFHSA-N 0 0 297.742 2.671 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000752349572 574390562 /nfs/dbraw/zinc/39/05/62/574390562.db2.gz UEVWDKXVWSZBRZ-PBQZMEPESA-N 0 0 291.303 2.909 20 5 CFBDRN C/C(=C/C(=O)NN1CCCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000752681345 574393581 /nfs/dbraw/zinc/39/35/81/574393581.db2.gz SRBKZEKLYLJYQY-QXMHVHEDSA-N 0 0 289.335 2.515 20 5 CFBDRN O=C(COc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccc[nH]1 ZINC000752911410 574396391 /nfs/dbraw/zinc/39/63/91/574396391.db2.gz ZRBSXXIKGQNMQX-UHFFFAOYSA-N 0 0 298.657 2.977 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)n(C)n1 ZINC000752974872 574397084 /nfs/dbraw/zinc/39/70/84/574397084.db2.gz DHWDGZCDFYRVPJ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1nnccc1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000753536850 574404191 /nfs/dbraw/zinc/40/41/91/574404191.db2.gz BVCLLCAQANCBIM-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CCON(C)C(=O)N[C@@H](CC)c1cccc([N+](=O)[O-])c1 ZINC000753554314 574404426 /nfs/dbraw/zinc/40/44/26/574404426.db2.gz JZVMXBYLWNCIMS-LBPRGKRZSA-N 0 0 281.312 2.639 20 5 CFBDRN C[C@H]1CC/C(=C/C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000753596315 574405845 /nfs/dbraw/zinc/40/58/45/574405845.db2.gz MKGNZPHKHKCCEG-AWPPVZKDSA-N 0 0 274.320 2.957 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)C(F)(F)F ZINC000753629167 574407027 /nfs/dbraw/zinc/40/70/27/574407027.db2.gz HFPFUJSEBQBLHC-UHFFFAOYSA-N 0 0 285.181 2.644 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)C1CC(F)(F)C1 ZINC000753647411 574407518 /nfs/dbraw/zinc/40/75/18/574407518.db2.gz GHTQTRHLXAQHNG-UHFFFAOYSA-N 0 0 271.219 2.683 20 5 CFBDRN CC(C)C1CN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000753739860 574409314 /nfs/dbraw/zinc/40/93/14/574409314.db2.gz KNGMACYIEZIDRW-YVMONPNESA-N 0 0 274.320 2.722 20 5 CFBDRN C[C@H]([NH2+]CCCCC(=O)[O-])c1ccccc1[N+](=O)[O-] ZINC000820142194 600744031 /nfs/dbraw/zinc/74/40/31/600744031.db2.gz PJOSUKQUDFMZGD-JTQLQIEISA-N 0 0 266.297 2.500 20 5 CFBDRN O=C([O-])[C@H]1CCC[N@H+](Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000093633473 601114253 /nfs/dbraw/zinc/11/42/53/601114253.db2.gz GFGLIYNNEZVLNE-VIFPVBQESA-N 0 0 298.726 2.545 20 5 CFBDRN C/C(=C\C[N@H+](Cc1ccc([N+](=O)[O-])cc1)C(C)C)C(=O)[O-] ZINC000825730048 601158667 /nfs/dbraw/zinc/15/86/67/601158667.db2.gz QOJIYPZJMHCEIG-XYOKQWHBSA-N 0 0 292.335 2.836 20 5 CFBDRN CC[C@@](C)(CC(=O)[O-])[NH2+]C/C=C/c1ccccc1[N+](=O)[O-] ZINC000828657102 601431216 /nfs/dbraw/zinc/43/12/16/601431216.db2.gz DEZQTSYXTMZWLY-VFADXPBXSA-N 0 0 292.335 2.841 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935785677 649944676 /nfs/dbraw/zinc/94/46/76/649944676.db2.gz RUAGRWGLGZRISB-BYNSBNAKSA-N 0 0 286.331 2.613 20 5 CFBDRN CCN(CC[NH2+][C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000827150210 604955813 /nfs/dbraw/zinc/95/58/13/604955813.db2.gz BKRUEJCCIZWNGE-NSHDSACASA-N 0 0 295.339 2.554 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+]CC[C@@H](C)NC(=O)[O-] ZINC000833908013 605849498 /nfs/dbraw/zinc/84/94/98/605849498.db2.gz ZCUZITIRNLPCBL-MNOVXSKESA-N 0 0 295.339 2.600 20 5 CFBDRN CCC(=O)c1ccc(N(C)[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000804779415 617878020 /nfs/dbraw/zinc/87/80/20/617878020.db2.gz BWYCMJFZSNVFHA-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN CCc1ccc([C@H](O)CNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000804778552 617878405 /nfs/dbraw/zinc/87/84/05/617878405.db2.gz ZZGOONOWHVJIRN-CQSZACIVSA-N 0 0 287.319 2.698 20 5 CFBDRN CSCC(C)(C)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000890873341 617928911 /nfs/dbraw/zinc/92/89/11/617928911.db2.gz FVFMURIBIKSDHP-JTQLQIEISA-N 0 0 296.392 2.956 20 5 CFBDRN Cc1ccc(OCCCC(=O)OC[C@H](C)[N+](=O)[O-])c(C)c1 ZINC000813109826 617933752 /nfs/dbraw/zinc/93/37/52/617933752.db2.gz WSVWERFBTOFPPA-ZDUSSCGKSA-N 0 0 295.335 2.671 20 5 CFBDRN O=C(N[C@@H](c1ccncc1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000890923522 617948767 /nfs/dbraw/zinc/94/87/67/617948767.db2.gz JKWOIPQVRUVQKO-OAHLLOKOSA-N 0 0 297.314 2.871 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)s1 ZINC000042375275 620731639 /nfs/dbraw/zinc/73/16/39/620731639.db2.gz PISVDJSJJKYEGA-MRVPVSSYSA-N 0 0 266.326 2.871 20 5 CFBDRN COc1c(O)ccc(CN(C)Cc2ccco2)c1[N+](=O)[O-] ZINC000891488703 617634430 /nfs/dbraw/zinc/63/44/30/617634430.db2.gz YGLKCRPKMOLECW-UHFFFAOYSA-N 0 0 292.291 2.534 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])cnn1C)c1ccc(F)cc1F ZINC000891501101 617639679 /nfs/dbraw/zinc/63/96/79/617639679.db2.gz ZJGFDLJSCVERJA-SSDOTTSWSA-N 0 0 282.250 2.780 20 5 CFBDRN CCCOC(=O)Oc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000859075228 617662173 /nfs/dbraw/zinc/66/21/73/617662173.db2.gz OLEWLUQBFJCJGK-UHFFFAOYSA-N 0 0 267.237 2.723 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCO[C@H](C)CC1 ZINC000932347662 617678155 /nfs/dbraw/zinc/67/81/55/617678155.db2.gz YXBGLTKXCJMBEG-GFCCVEGCSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1ccc(OS(=O)(=O)c2cccc([N+](=O)[O-])c2)cc1 ZINC000001716365 617695772 /nfs/dbraw/zinc/69/57/72/617695772.db2.gz DRYHZJMDXFTOHB-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN O=C(Cc1ccoc1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000120514534 617717252 /nfs/dbraw/zinc/71/72/52/617717252.db2.gz SYBZEJVAPYEVJA-UHFFFAOYSA-N 0 0 282.202 2.647 20 5 CFBDRN CSCCC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000060739539 617734945 /nfs/dbraw/zinc/73/49/45/617734945.db2.gz ZVKLDJZEJBMPQJ-UHFFFAOYSA-N 0 0 255.295 2.562 20 5 CFBDRN CCOCCC(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000074719070 617741142 /nfs/dbraw/zinc/74/11/42/617741142.db2.gz SRGSTSFLJZBLDL-JTQLQIEISA-N 0 0 267.281 2.626 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1SCCSCCO ZINC000804667447 617750054 /nfs/dbraw/zinc/75/00/54/617750054.db2.gz GMBHLZRFSCNECI-UHFFFAOYSA-N 0 0 277.342 2.552 20 5 CFBDRN C[C@@H]1CCCN1C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933815992 617784787 /nfs/dbraw/zinc/78/47/87/617784787.db2.gz ULEOMADRELGGIG-LERXQTSPSA-N 0 0 274.320 2.709 20 5 CFBDRN Cc1noc(COC(=O)C[C@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000860325129 617793366 /nfs/dbraw/zinc/79/33/66/617793366.db2.gz BWWBFHXJMLLCRX-YFKPBYRVSA-N 0 0 296.201 2.523 20 5 CFBDRN C[C@@H](O)[C@H]1CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000300531792 617804344 /nfs/dbraw/zinc/80/43/44/617804344.db2.gz ZGMZQCSOBHKTPF-SFYZADRCSA-N 0 0 288.706 2.594 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H](C(F)F)C2)c(F)c1 ZINC000804688949 617811993 /nfs/dbraw/zinc/81/19/93/617811993.db2.gz RNSLDEYVSUNWFV-ZCFIWIBFSA-N 0 0 278.205 2.964 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@@H]2C[C@@H]21 ZINC000804688703 617812062 /nfs/dbraw/zinc/81/20/62/617812062.db2.gz QQLSRDXZYAKSRB-HQJQHLMTSA-N 0 0 296.710 2.633 20 5 CFBDRN Cc1ccc(N2CCC3(CCOC3)CC2)c([N+](=O)[O-])c1 ZINC000804689698 617815350 /nfs/dbraw/zinc/81/53/50/617815350.db2.gz RZMWBDVXLNZYQF-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000778362399 617845228 /nfs/dbraw/zinc/84/52/28/617845228.db2.gz WJMFXMNUNGGOAX-QWRGUYRKSA-N 0 0 279.292 2.567 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc2cccc(Cl)c2o1 ZINC000860921695 617872874 /nfs/dbraw/zinc/87/28/74/617872874.db2.gz USYFYDNBMISEJP-UHFFFAOYSA-N 0 0 283.667 2.910 20 5 CFBDRN COC(C)(C)CCNc1ccc([N+](=O)[O-])cc1F ZINC000234571407 617880423 /nfs/dbraw/zinc/88/04/23/617880423.db2.gz KBKMXAFMKDRORG-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CCc2cc(F)ccc21 ZINC000891977643 617898242 /nfs/dbraw/zinc/89/82/42/617898242.db2.gz ZGEJHJQFPZRRBT-LLVKDONJSA-N 0 0 276.271 2.567 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCOC[C@@H]2C)c1 ZINC000804819153 617900307 /nfs/dbraw/zinc/90/03/07/617900307.db2.gz VSSUJFJXRYGBNO-VHSXEESVSA-N 0 0 298.314 2.827 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000932634453 617907341 /nfs/dbraw/zinc/90/73/41/617907341.db2.gz VUQYHTJCSZDDEB-NSHDSACASA-N 0 0 294.326 2.829 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2Cl)CCO1 ZINC000235396760 617916425 /nfs/dbraw/zinc/91/64/25/617916425.db2.gz NKXJEKSVLXWVDW-BDAKNGLRSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H](COC(=O)c1cc(Cl)cc(Cl)c1)[N+](=O)[O-] ZINC000813107217 617927825 /nfs/dbraw/zinc/92/78/25/617927825.db2.gz MTDJFWWLRHISEE-ZCFIWIBFSA-N 0 0 278.091 2.815 20 5 CFBDRN Cc1oc2ccccc2c1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813103883 617925228 /nfs/dbraw/zinc/92/52/28/617925228.db2.gz HSKZOWQRJPVCHU-MRVPVSSYSA-N 0 0 263.249 2.563 20 5 CFBDRN CO[C@H](C)CN(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804840433 617936422 /nfs/dbraw/zinc/93/64/22/617936422.db2.gz KUDDZYMJCAFRKB-MRVPVSSYSA-N 0 0 258.705 2.719 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CC[C@H]2C)c1 ZINC000804840545 617937206 /nfs/dbraw/zinc/93/72/06/617937206.db2.gz MRRAMAXZKZRVIM-GMSGAONNSA-N 0 0 254.261 2.953 20 5 CFBDRN Cc1c(CO)cc([N+](=O)[O-])c(N[C@@H]2CC[C@H]2C)c1[N+](=O)[O-] ZINC000804840755 617937224 /nfs/dbraw/zinc/93/72/24/617937224.db2.gz PESCNYFSAVSFNO-GMSGAONNSA-N 0 0 295.295 2.514 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCc1ccccc1Cl ZINC000892074880 617938684 /nfs/dbraw/zinc/93/86/84/617938684.db2.gz BWFMENOWBQNZST-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN CC(C)[C@H](C(=O)OC[C@@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813112371 617941573 /nfs/dbraw/zinc/94/15/73/617941573.db2.gz GCXKYLDAAMSMJM-YPMHNXCESA-N 0 0 265.309 2.635 20 5 CFBDRN Cc1c2cc(F)ccc2oc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813112218 617942470 /nfs/dbraw/zinc/94/24/70/617942470.db2.gz DNIKMHDXGKXERJ-SSDOTTSWSA-N 0 0 281.239 2.702 20 5 CFBDRN CO[C@@](C)(COC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000813184753 617975299 /nfs/dbraw/zinc/97/52/99/617975299.db2.gz NTYSUULJHYFLFM-AWEZNQCLSA-N 0 0 279.292 2.567 20 5 CFBDRN CC1(CNC(=O)CCc2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000934254374 617977266 /nfs/dbraw/zinc/97/72/66/617977266.db2.gz JVKXIXCJIVFSEO-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN CS[C@H](C)CC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000158086475 617978447 /nfs/dbraw/zinc/97/84/47/617978447.db2.gz CNDCUPXZEWNNCV-SECBINFHSA-N 0 0 269.322 2.950 20 5 CFBDRN Cc1[nH]nc2c1CCCN2Cc1cccc([N+](=O)[O-])c1 ZINC000934305818 617994869 /nfs/dbraw/zinc/99/48/69/617994869.db2.gz HVAZWPNXVKMFLT-UHFFFAOYSA-N 0 0 272.308 2.579 20 5 CFBDRN O=C(NC/C=C\Cl)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000891196850 618034188 /nfs/dbraw/zinc/03/41/88/618034188.db2.gz FGODNIIJWAXYJD-MBXJOHMKSA-N 0 0 294.738 2.885 20 5 CFBDRN CCc1ccc(C(=O)NC/C=C\Cl)cc1[N+](=O)[O-] ZINC000891207882 618044020 /nfs/dbraw/zinc/04/40/20/618044020.db2.gz XOVDSLIGSRDHQX-UTCJRWHESA-N 0 0 268.700 2.640 20 5 CFBDRN O=C([O-])CC[N@H+](Cc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000049964246 618054263 /nfs/dbraw/zinc/05/42/63/618054263.db2.gz LJTFDHMFLQXENA-UHFFFAOYSA-N 0 0 298.726 2.687 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC(C)CC2)c1[N+](=O)[O-] ZINC000176679352 618056960 /nfs/dbraw/zinc/05/69/60/618056960.db2.gz HLVPVIASJMUJTR-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN CC(C)CN(C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037456 618062178 /nfs/dbraw/zinc/06/21/78/618062178.db2.gz ILUKSINLKDAIPL-UHFFFAOYSA-N 0 0 272.251 2.601 20 5 CFBDRN O=C(Nc1nccs1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037162 618062781 /nfs/dbraw/zinc/06/27/81/618062781.db2.gz VHYWEFIYNNYUHA-UHFFFAOYSA-N 0 0 285.231 2.582 20 5 CFBDRN CC[C@@H](C)N(C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000160439938 618064515 /nfs/dbraw/zinc/06/45/15/618064515.db2.gz WETKNVPKYNFEOG-SECBINFHSA-N 0 0 277.324 2.714 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N)c1ccccc1 ZINC000050201117 618092733 /nfs/dbraw/zinc/09/27/33/618092733.db2.gz PQHXIMGMFVKMHD-SNVBAGLBSA-N 0 0 285.303 2.668 20 5 CFBDRN Cn1c(C(=O)NC2(C3CCCCC3)CC2)ccc1[N+](=O)[O-] ZINC000891279828 618098537 /nfs/dbraw/zinc/09/85/37/618098537.db2.gz AXNJIONZSNANIU-UHFFFAOYSA-N 0 0 291.351 2.776 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2CCC1OCCCO1 ZINC000091466364 618109246 /nfs/dbraw/zinc/10/92/46/618109246.db2.gz WDYADTZRFDEJNT-UHFFFAOYSA-N 0 0 276.292 2.703 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000891309238 618116512 /nfs/dbraw/zinc/11/65/12/618116512.db2.gz MXVLCUGFUXRIBS-NEPJUHHUSA-N 0 0 276.336 2.988 20 5 CFBDRN Cc1cc(Cl)ncc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000135886567 618117295 /nfs/dbraw/zinc/11/72/95/618117295.db2.gz YULHXXIVAYZZHC-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CCCC[C@H](CC)CC(=O)Nc1c([N+](=O)[O-])ncn1C ZINC000891348954 618142590 /nfs/dbraw/zinc/14/25/90/618142590.db2.gz RVIYYIBWFRDNOO-JTQLQIEISA-N 0 0 282.344 2.873 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)CC2CC2)c1[N+](=O)[O-] ZINC000176779328 618153728 /nfs/dbraw/zinc/15/37/28/618153728.db2.gz OSBYHJPDAOZSNG-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN CN(CC(C)(C)O)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000234277838 618154494 /nfs/dbraw/zinc/15/44/94/618154494.db2.gz GXNASDKUTZVNNC-UHFFFAOYSA-N 0 0 276.695 2.594 20 5 CFBDRN CCCN(C)C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000176791805 618169123 /nfs/dbraw/zinc/16/91/23/618169123.db2.gz MHVOLENEYDNCMO-UHFFFAOYSA-N 0 0 254.261 2.524 20 5 CFBDRN C[C@@H](CNC(=O)NC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000891399007 618185366 /nfs/dbraw/zinc/18/53/66/618185366.db2.gz HYYKPCXQHYSYJG-NSHDSACASA-N 0 0 291.351 2.940 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000782032397 618191494 /nfs/dbraw/zinc/19/14/94/618191494.db2.gz ZPYNYLTVDKHIBB-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN O=C(C=Cc1ccco1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000255326049 618198094 /nfs/dbraw/zinc/19/80/94/618198094.db2.gz SLOYEDOBWSTOIV-XQRVVYSFSA-N 0 0 298.258 2.716 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCc1ccoc1 ZINC000255344064 618206331 /nfs/dbraw/zinc/20/63/31/618206331.db2.gz HIGHEZBAPYBPSO-UTCJRWHESA-N 0 0 273.244 2.944 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000901329913 620786597 /nfs/dbraw/zinc/78/65/97/620786597.db2.gz NXOMYKHXBTXBCL-SNVBAGLBSA-N 0 0 292.335 2.777 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])c1ccnn1C ZINC000235247272 618219539 /nfs/dbraw/zinc/21/95/39/618219539.db2.gz LWCQMQWVYJSQRM-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Nc1c([N+](=O)[O-])cnn1C)CC2 ZINC000892403177 618228207 /nfs/dbraw/zinc/22/82/07/618228207.db2.gz XVVRDNGNWZEQKX-GFCCVEGCSA-N 0 0 272.308 2.736 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCCc2ccccc2C1 ZINC000892406328 618229668 /nfs/dbraw/zinc/22/96/68/618229668.db2.gz ZQDNAVDEDYIEAD-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN COCc1cccc(C(=O)Oc2cccc([N+](=O)[O-])c2)c1 ZINC000072705364 618253851 /nfs/dbraw/zinc/25/38/51/618253851.db2.gz YFVOQFGSXGCDDW-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CCc1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])n[nH]1 ZINC000749058060 618257055 /nfs/dbraw/zinc/25/70/55/618257055.db2.gz WEHYVNIXSNRIJT-VIFPVBQESA-N 0 0 289.291 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(C[NH2+]C2(CO)CCCC2)c1 ZINC000053044974 618257077 /nfs/dbraw/zinc/25/70/77/618257077.db2.gz NYQQEMQKCUMWBK-UHFFFAOYSA-N 0 0 284.743 2.643 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CCCc2c(F)cccc21 ZINC000894006731 618266730 /nfs/dbraw/zinc/26/67/30/618266730.db2.gz XDPBSCQKZJJMSD-GFCCVEGCSA-N 0 0 290.298 2.957 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@@H]1CCCCC1(F)F ZINC000894015694 618275030 /nfs/dbraw/zinc/27/50/30/618275030.db2.gz AYZOKWCGRXTAPO-QMMMGPOBSA-N 0 0 274.271 2.566 20 5 CFBDRN Cc1cn(C)nc1CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000901352281 620792518 /nfs/dbraw/zinc/79/25/18/620792518.db2.gz FCYLPNMPRDCXGA-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN C[C@@]1(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCCOC1 ZINC000235429694 618298596 /nfs/dbraw/zinc/29/85/96/618298596.db2.gz YERMHNVABPPNMF-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCCOC(F)F ZINC000901353657 620793190 /nfs/dbraw/zinc/79/31/90/620793190.db2.gz MQIPUEWLHVZOTR-UHFFFAOYSA-N 0 0 260.240 2.863 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])Cn1cccnc1=S ZINC000046477612 618311393 /nfs/dbraw/zinc/31/13/93/618311393.db2.gz ZPDOEELDWNKQFM-UHFFFAOYSA-N 0 0 290.348 2.610 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@H]1CCSC1 ZINC000103825438 618329873 /nfs/dbraw/zinc/32/98/73/618329873.db2.gz SXYPNIKXSDNHBD-JTQLQIEISA-N 0 0 299.373 2.736 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC[C@@H]1CCCC1(F)F ZINC000895131097 618353182 /nfs/dbraw/zinc/35/31/82/618353182.db2.gz GENYSDQGEYKOMX-QMMMGPOBSA-N 0 0 274.271 2.566 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000177160161 618358487 /nfs/dbraw/zinc/35/84/87/618358487.db2.gz UCDBXNIOTKTWLN-LLVKDONJSA-N 0 0 278.283 2.881 20 5 CFBDRN Cn1c(Cl)cnc1CSc1ccc([N+](=O)[O-])cn1 ZINC000178751980 618361877 /nfs/dbraw/zinc/36/18/77/618361877.db2.gz WLURLUQXFYMEDN-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN CN(Cc1cnccn1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000177231689 618377709 /nfs/dbraw/zinc/37/77/09/618377709.db2.gz QQRAEXXEWUIEBD-UHFFFAOYSA-N 0 0 292.726 2.670 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NCCCCO ZINC000236505203 618378725 /nfs/dbraw/zinc/37/87/25/618378725.db2.gz XKHRWDHQEYSVDO-UHFFFAOYSA-N 0 0 262.668 2.572 20 5 CFBDRN CC1=CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)CC1 ZINC000167545754 618397286 /nfs/dbraw/zinc/39/72/86/618397286.db2.gz FOYCTCSFHQBPEZ-UHFFFAOYSA-N 0 0 264.256 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC(=O)[C@@H]1C[C@@H]1C ZINC000909481172 618406994 /nfs/dbraw/zinc/40/69/94/618406994.db2.gz JUFYAEZQRQUNFN-GXSJLCMTSA-N 0 0 291.303 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCCOC(F)F ZINC000901391340 620803175 /nfs/dbraw/zinc/80/31/75/620803175.db2.gz DGDRAHCOQDVVJZ-UHFFFAOYSA-N 0 0 260.240 2.863 20 5 CFBDRN CCCN(CC)c1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814045105 618444359 /nfs/dbraw/zinc/44/43/59/618444359.db2.gz MRDWUOHLEHVAFO-GFCCVEGCSA-N 0 0 294.351 2.745 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C/c1ccccc1Cl ZINC000814045071 618444596 /nfs/dbraw/zinc/44/45/96/618444596.db2.gz MJUUIGCKPHFAHO-UCUJLANTSA-N 0 0 269.684 2.562 20 5 CFBDRN C[C@H](NC(=S)NC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000814194481 618459783 /nfs/dbraw/zinc/45/97/83/618459783.db2.gz VKSAAHCKKBVPBH-VIFPVBQESA-N 0 0 279.365 2.672 20 5 CFBDRN CC[C@@H](COC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)OC ZINC000842090435 618460542 /nfs/dbraw/zinc/46/05/42/618460542.db2.gz WRWOYCMPDSKJSU-ONGXEEELSA-N 0 0 299.298 2.806 20 5 CFBDRN CC1=NO[C@@H](CNCc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000895309855 618526156 /nfs/dbraw/zinc/52/61/56/618526156.db2.gz WAYQGWNJMOKUCT-LLVKDONJSA-N 0 0 283.715 2.503 20 5 CFBDRN COc1cccc(C(=O)NC2(C3CC3)CCC2)c1[N+](=O)[O-] ZINC000895357627 618547657 /nfs/dbraw/zinc/54/76/57/618547657.db2.gz KPCCLRVCZDQGBA-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN COc1ccc(C(=O)NC2(C3CC3)CCC2)cc1[N+](=O)[O-] ZINC000895365926 618551915 /nfs/dbraw/zinc/55/19/15/618551915.db2.gz KUBUUYMWXDHKBD-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN NC(=O)c1ccc(NCC[C@@H]2C[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000895428246 618577433 /nfs/dbraw/zinc/57/74/33/618577433.db2.gz TWFJNYVPAPKVDE-PWSUYJOCSA-N 0 0 289.335 2.542 20 5 CFBDRN CN(C)c1ccnc(CNc2ccc([N+](=O)[O-])s2)c1 ZINC000895466963 618600900 /nfs/dbraw/zinc/60/09/00/618600900.db2.gz YTUMWFYHOHPHNW-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Oc1ccc(F)cc1 ZINC000066324246 618605897 /nfs/dbraw/zinc/60/58/97/618605897.db2.gz VGMIEYDJAAHHKD-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN Cc1cc(OC[C@@H](O)C(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000310691100 618606668 /nfs/dbraw/zinc/60/66/68/618606668.db2.gz NZDBXHGQYUDJES-LLVKDONJSA-N 0 0 273.716 2.952 20 5 CFBDRN C/C=C\COC(=O)c1cc(C(=O)OCC)cc([N+](=O)[O-])c1 ZINC000255883336 618607191 /nfs/dbraw/zinc/60/71/91/618607191.db2.gz RAMYRXNEFHPTDY-HYXAFXHYSA-N 0 0 293.275 2.504 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cccnc1F ZINC000236180946 618609190 /nfs/dbraw/zinc/60/91/90/618609190.db2.gz PTSHAKNIPOUUMJ-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CO[C@H](C)CC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177868386 618610081 /nfs/dbraw/zinc/61/00/81/618610081.db2.gz JTIVXXMPQJJDNQ-GHMZBOCLSA-N 0 0 280.324 2.505 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@@]2(CC=CCC2)C1 ZINC000892534983 618617305 /nfs/dbraw/zinc/61/73/05/618617305.db2.gz CDQRRKOHJLICNB-AWEZNQCLSA-N 0 0 276.340 2.655 20 5 CFBDRN C[C@H](OC(=O)c1cncs1)c1ccccc1[N+](=O)[O-] ZINC000782620454 618647007 /nfs/dbraw/zinc/64/70/07/618647007.db2.gz RNEYXOZATZSZEW-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN Cc1cccnc1COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000180254838 618647764 /nfs/dbraw/zinc/64/77/64/618647764.db2.gz OZBBFODUPYYNQQ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@H](O)CCNc1cccc(Br)c1[N+](=O)[O-] ZINC000309388224 618650390 /nfs/dbraw/zinc/65/03/90/618650390.db2.gz HFUQHRSIVWUZNQ-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000310911488 618656116 /nfs/dbraw/zinc/65/61/16/618656116.db2.gz HKUHJMQSDWWRDI-GMSGAONNSA-N 0 0 268.700 2.777 20 5 CFBDRN O=C(NCC[C@H]1CCCO1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149127150 618675767 /nfs/dbraw/zinc/67/57/67/618675767.db2.gz IKGXXPBCXAQKKM-SECBINFHSA-N 0 0 298.726 2.547 20 5 CFBDRN CC[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000851124429 618686903 /nfs/dbraw/zinc/68/69/03/618686903.db2.gz WIJNLECMUIUANX-LBPRGKRZSA-N 0 0 265.309 2.779 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCCC2(CC2)C1)[N+](=O)[O-] ZINC000851129551 618689008 /nfs/dbraw/zinc/68/90/08/618689008.db2.gz JUEXCEWWKNQUSE-SNVBAGLBSA-N 0 0 255.314 2.555 20 5 CFBDRN COCCCN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000058002750 618696571 /nfs/dbraw/zinc/69/65/71/618696571.db2.gz MGIDTCPSAKLJGN-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN CC[C@H](C)[C@@H](C)[NH2+]Cc1ccc(O)c(OC)c1[N+](=O)[O-] ZINC000892677227 618699002 /nfs/dbraw/zinc/69/90/02/618699002.db2.gz WSNQHRUNIPGLBK-VHSXEESVSA-N 0 0 282.340 2.833 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)OCC(F)(F)F ZINC000072509037 618700278 /nfs/dbraw/zinc/70/02/78/618700278.db2.gz SOQZDIGMABWQBP-UHFFFAOYSA-N 0 0 295.238 2.792 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CC[C@@H](SC)C1 ZINC000892696357 618715751 /nfs/dbraw/zinc/71/57/51/618715751.db2.gz IAAAFNIJJAJMAZ-PSASIEDQSA-N 0 0 283.353 2.694 20 5 CFBDRN COCC[C@H](COC)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000311802091 618723650 /nfs/dbraw/zinc/72/36/50/618723650.db2.gz KONXTYPMWSROPP-SNVBAGLBSA-N 0 0 288.731 2.712 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N(C)CCc1cccs1 ZINC000892719333 618728445 /nfs/dbraw/zinc/72/84/45/618728445.db2.gz RGCDGWHDWONKTR-UHFFFAOYSA-N 0 0 293.348 2.739 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000784017048 618732250 /nfs/dbraw/zinc/73/22/50/618732250.db2.gz IIZBDEXCOBITNJ-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])c(S[C@@H](C)CO)cc1C ZINC000892740130 618737783 /nfs/dbraw/zinc/73/77/83/618737783.db2.gz MZRUFKCGZHAMIY-VIFPVBQESA-N 0 0 299.348 2.553 20 5 CFBDRN CCOc1cc(NC(=O)/C=C(\C)COC)ccc1[N+](=O)[O-] ZINC000892739708 618737811 /nfs/dbraw/zinc/73/78/11/618737811.db2.gz DIILFDSINXVYJS-JXMROGBWSA-N 0 0 294.307 2.525 20 5 CFBDRN CCOc1cc(NC(=O)C[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000892745658 618738448 /nfs/dbraw/zinc/73/84/48/618738448.db2.gz BAQRYOBVJZRMJE-NSHDSACASA-N 0 0 294.307 2.501 20 5 CFBDRN CCOc1cc(NC(=O)CO[C@H](C)CC)ccc1[N+](=O)[O-] ZINC000892747483 618738945 /nfs/dbraw/zinc/73/89/45/618738945.db2.gz VJGVPWVHWMLXOC-SNVBAGLBSA-N 0 0 296.323 2.747 20 5 CFBDRN CCOc1cc(NC(=O)/C=C/C2CC2)ccc1[N+](=O)[O-] ZINC000892747737 618739252 /nfs/dbraw/zinc/73/92/52/618739252.db2.gz YQQDGRHLVFYPTL-VMPITWQZSA-N 0 0 276.292 2.898 20 5 CFBDRN CCOc1cc(NC(=O)[C@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000892749888 618739594 /nfs/dbraw/zinc/73/95/94/618739594.db2.gz OCXWZMLWYBUVLF-SCZZXKLOSA-N 0 0 264.281 2.588 20 5 CFBDRN O=C(CCc1ccco1)OCCc1ccccc1[N+](=O)[O-] ZINC000178306693 618747686 /nfs/dbraw/zinc/74/76/86/618747686.db2.gz FAHNJPUTUKLQGP-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN CCS[C@@H]1CCC[C@@H](Nc2c([N+](=O)[O-])cnn2C)C1 ZINC000892813467 618749815 /nfs/dbraw/zinc/74/98/15/618749815.db2.gz RCDLSBPFUIBPBE-NXEZZACHSA-N 0 0 284.385 2.805 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC2(O)CCCC2)c1C ZINC000892866455 618759944 /nfs/dbraw/zinc/75/99/44/618759944.db2.gz NSVUREFPQSVNJY-UHFFFAOYSA-N 0 0 293.319 2.674 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C)N(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000167703500 618789248 /nfs/dbraw/zinc/78/92/48/618789248.db2.gz KJUJYOVOZRGDMY-VWYCJHECSA-N 0 0 291.351 2.684 20 5 CFBDRN O=C(OC1CCCC1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000111678267 618881608 /nfs/dbraw/zinc/88/16/08/618881608.db2.gz IRQOTIFUCQJHEM-UHFFFAOYSA-N 0 0 253.229 2.833 20 5 CFBDRN COc1ccc(CC(=O)Oc2cccc([N+](=O)[O-])c2)cc1 ZINC000014825493 618886415 /nfs/dbraw/zinc/88/64/15/618886415.db2.gz PDVBJLFRRXLKTC-UHFFFAOYSA-N 0 0 287.271 2.752 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1N1CCCC2(CC2)C1 ZINC000893238526 618898397 /nfs/dbraw/zinc/89/83/97/618898397.db2.gz NLKLXEZYFJTADM-UHFFFAOYSA-N 0 0 274.280 2.511 20 5 CFBDRN O=C(Nc1ncsc1C1CC1)c1ccc([N+](=O)[O-])o1 ZINC000893279859 618928581 /nfs/dbraw/zinc/92/85/81/618928581.db2.gz LWHXLHITUXACPC-UHFFFAOYSA-N 0 0 279.277 2.774 20 5 CFBDRN O=C(Nc1cncc(F)c1)c1cc([N+](=O)[O-])ccc1F ZINC000312836427 618954145 /nfs/dbraw/zinc/95/41/45/618954145.db2.gz URHXNAFOVCPJCD-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCCCCF)c1F ZINC000312883055 618962350 /nfs/dbraw/zinc/96/23/50/618962350.db2.gz AAPLDRNYRXWCIC-UHFFFAOYSA-N 0 0 290.241 2.661 20 5 CFBDRN C=C/C=C\CCNC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000893396363 618962855 /nfs/dbraw/zinc/96/28/55/618962855.db2.gz UVTPDANNQOGZMA-WAYWQWQTSA-N 0 0 290.319 2.856 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](CF)C1 ZINC000893415196 618982768 /nfs/dbraw/zinc/98/27/68/618982768.db2.gz OHCDQCYMVXEROE-UJZCVKTISA-N 0 0 292.310 2.816 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2F)C[C@H](C)S1 ZINC000070385028 625308832 /nfs/dbraw/zinc/30/88/32/625308832.db2.gz VYTLXEPXKLVEDX-DTORHVGOSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@H](OC(=O)c1cccn1C)c1ccc([N+](=O)[O-])cc1 ZINC000066877827 619003627 /nfs/dbraw/zinc/00/36/27/619003627.db2.gz MIVUOSPMMUPFEH-JTQLQIEISA-N 0 0 274.276 2.851 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC[C@H](CF)C1 ZINC000893492715 619018344 /nfs/dbraw/zinc/01/83/44/619018344.db2.gz JLWYVMRQYVKGJQ-GFCCVEGCSA-N 0 0 294.326 2.952 20 5 CFBDRN C[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCCCO1 ZINC000893504425 619023839 /nfs/dbraw/zinc/02/38/39/619023839.db2.gz ZQPCHSFVKSNBAY-FZMZJTMJSA-N 0 0 293.319 2.957 20 5 CFBDRN Cn1cncc1CCCOc1cc([N+](=O)[O-])ccc1Cl ZINC000314158983 619058890 /nfs/dbraw/zinc/05/88/90/619058890.db2.gz XEUNQHULCZYCKW-UHFFFAOYSA-N 0 0 295.726 2.993 20 5 CFBDRN C/C=C/COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000105389386 619091964 /nfs/dbraw/zinc/09/19/64/619091964.db2.gz ZRCIXPOMLVTDCP-NSCUHMNNSA-N 0 0 255.657 2.981 20 5 CFBDRN COc1cccc(CNCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000105460525 619093218 /nfs/dbraw/zinc/09/32/18/619093218.db2.gz RZWFSYDMTZYHJB-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN Cn1cccc1CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000075354407 619102501 /nfs/dbraw/zinc/10/25/01/619102501.db2.gz ZFZYGPDYCCFQFY-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCC2CC2)c1F ZINC000235889572 619193620 /nfs/dbraw/zinc/19/36/20/619193620.db2.gz FGFPPBCEORZOMH-UHFFFAOYSA-N 0 0 253.229 2.609 20 5 CFBDRN CSc1cccc(F)c1CNc1c([N+](=O)[O-])cnn1C ZINC000893811270 619222203 /nfs/dbraw/zinc/22/22/03/619222203.db2.gz SROQIRDTDMPVOW-UHFFFAOYSA-N 0 0 296.327 2.801 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1nccs1 ZINC000893815103 619222909 /nfs/dbraw/zinc/22/29/09/619222909.db2.gz CILORIGTBUHNMK-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCc2cc(Cl)ccc21 ZINC000893822139 619226529 /nfs/dbraw/zinc/22/65/29/619226529.db2.gz IQGDSAQAZXLKMG-UHFFFAOYSA-N 0 0 278.699 2.676 20 5 CFBDRN O=[N+]([O-])c1cc(NCCCCF)cc([N+](=O)[O-])c1 ZINC000893927196 619245104 /nfs/dbraw/zinc/24/51/04/619245104.db2.gz ZONUXBVVNPRLNA-UHFFFAOYSA-N 0 0 257.221 2.665 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)c1occc1C ZINC000016416466 619288969 /nfs/dbraw/zinc/28/89/69/619288969.db2.gz PXBRFTOLOXHYAA-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@H](CNc1ccc2nonc2c1[N+](=O)[O-])CC(F)F ZINC000894345073 619342537 /nfs/dbraw/zinc/34/25/37/619342537.db2.gz AEPBTFCXBNKEJM-LURJTMIESA-N 0 0 286.238 2.834 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000894365443 619352542 /nfs/dbraw/zinc/35/25/42/619352542.db2.gz YHBDLOYUWHRRQA-LPEHRKFASA-N 0 0 263.297 2.599 20 5 CFBDRN CC(C)=CCNC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000894395185 619366773 /nfs/dbraw/zinc/36/67/73/619366773.db2.gz ZPNBIUCYFFRUQH-GFCCVEGCSA-N 0 0 291.351 2.964 20 5 CFBDRN CC(C)(CCc1nc([C@@H]2CCCS2)no1)[N+](=O)[O-] ZINC000834615343 625735853 /nfs/dbraw/zinc/73/58/53/625735853.db2.gz CTRBWJVUWFUAFV-QMMMGPOBSA-N 0 0 271.342 2.626 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN(C)Cc1ncc[nH]1 ZINC000894586987 619424652 /nfs/dbraw/zinc/42/46/52/619424652.db2.gz XTEWXJCTQNZGMX-XYOKQWHBSA-N 0 0 286.335 2.853 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H](OC)C1CCCC1 ZINC000894606278 619426726 /nfs/dbraw/zinc/42/67/26/619426726.db2.gz RKFKELZOVUUSAX-ZDUSSCGKSA-N 0 0 295.339 2.616 20 5 CFBDRN Cc1cc(N2CC(c3ccccn3)C2)c([N+](=O)[O-])s1 ZINC000894845709 619481243 /nfs/dbraw/zinc/48/12/43/619481243.db2.gz LZURJFBISOLUSV-UHFFFAOYSA-N 0 0 275.333 2.964 20 5 CFBDRN COc1c(O)ccc(C[NH2+][C@H]2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000894865075 619489813 /nfs/dbraw/zinc/48/98/13/619489813.db2.gz FBGHOHDZRUQFGY-USWWRNFRSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1cc(C)nc(C2CN(c3ccc([N+](=O)[O-])cc3)C2)n1 ZINC000894893617 619501033 /nfs/dbraw/zinc/50/10/33/619501033.db2.gz VLVDWVHUSMBZTD-UHFFFAOYSA-N 0 0 284.319 2.605 20 5 CFBDRN CCCCN(CCO)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000049011949 619504596 /nfs/dbraw/zinc/50/45/96/619504596.db2.gz IVUPDXAUUBYUCJ-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(OC(C)C)C2)c1 ZINC000894968461 619518802 /nfs/dbraw/zinc/51/88/02/619518802.db2.gz ZCPGLPKQMHGRQZ-UHFFFAOYSA-N 0 0 250.298 2.517 20 5 CFBDRN COC(=O)CC(C)(C)CNc1cccc(F)c1[N+](=O)[O-] ZINC000895507144 619542969 /nfs/dbraw/zinc/54/29/69/619542969.db2.gz ZDPLKRNJFDOBID-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN CC(C)C(C)(C)CSc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000895538788 619550217 /nfs/dbraw/zinc/55/02/17/619550217.db2.gz PIOWDQGFFPCSJD-UHFFFAOYSA-N 0 0 297.380 2.863 20 5 CFBDRN Cn1ccc([C@@H]2CCCN(c3ccc([N+](=O)[O-])cc3)C2)n1 ZINC000895632625 619571969 /nfs/dbraw/zinc/57/19/69/619571969.db2.gz QQSFRKOFHLXLJW-GFCCVEGCSA-N 0 0 286.335 2.712 20 5 CFBDRN COc1c(O)ccc(C[NH2+][C@@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000895692914 619584500 /nfs/dbraw/zinc/58/45/00/619584500.db2.gz XIDULIQTIFIFKM-GFCCVEGCSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c3cnccc32)CCO1 ZINC000895758870 619601078 /nfs/dbraw/zinc/60/10/78/619601078.db2.gz NYGNQOAVTYKTPJ-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H]1CCN(c2nccc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000895762281 619601775 /nfs/dbraw/zinc/60/17/75/619601775.db2.gz LSMWQDPYIQAPQE-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2ccccn2)c1C ZINC000895790947 619607804 /nfs/dbraw/zinc/60/78/04/619607804.db2.gz MMJLZXUQEUIBKB-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](c2ccccn2)C2CC2)cn1 ZINC000895794677 619610180 /nfs/dbraw/zinc/61/01/80/619610180.db2.gz YFBYZQVLOZOGGN-AWEZNQCLSA-N 0 0 270.292 2.948 20 5 CFBDRN C[N@H+](CCCF)Cc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000895898450 619629723 /nfs/dbraw/zinc/62/97/23/619629723.db2.gz SKTLUFDOQNAYQS-UHFFFAOYSA-N 0 0 292.257 2.988 20 5 CFBDRN CO[C@@H]1CN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)CCO1 ZINC000895971141 619646633 /nfs/dbraw/zinc/64/66/33/619646633.db2.gz XIUZFPGSXRHOFJ-HNNXBMFYSA-N 0 0 294.351 2.523 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2nc3c(s2)CCC3)cn1 ZINC000896070651 619666164 /nfs/dbraw/zinc/66/61/64/619666164.db2.gz QLCNRGUUYQPJSJ-UHFFFAOYSA-N 0 0 290.348 2.590 20 5 CFBDRN CCc1nn(C)c(NCCC2CC=CC2)c1[N+](=O)[O-] ZINC000896095809 619672948 /nfs/dbraw/zinc/67/29/48/619672948.db2.gz PDWQHFHTDLMXBY-UHFFFAOYSA-N 0 0 264.329 2.659 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCC3(CCC3)O2)s1 ZINC000896171476 619686182 /nfs/dbraw/zinc/68/61/82/619686182.db2.gz ZTQMJVXLICHKGR-SECBINFHSA-N 0 0 283.353 2.955 20 5 CFBDRN CCC[C@H](O)CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000896191391 619691237 /nfs/dbraw/zinc/69/12/37/619691237.db2.gz MYPSWHJCESQMBV-VIFPVBQESA-N 0 0 274.267 2.836 20 5 CFBDRN CSCC1CCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000896209399 619694317 /nfs/dbraw/zinc/69/43/17/619694317.db2.gz PXWKGRJYQOYOHS-UHFFFAOYSA-N 0 0 285.344 2.708 20 5 CFBDRN CSCC1CCN(c2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000896211369 619694666 /nfs/dbraw/zinc/69/46/66/619694666.db2.gz REWDFRZSGYOYJR-UHFFFAOYSA-N 0 0 281.381 2.878 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)CCS1 ZINC000896244930 619699777 /nfs/dbraw/zinc/69/97/77/619699777.db2.gz KKVLSCJYBQPECS-BDAKNGLRSA-N 0 0 253.327 2.686 20 5 CFBDRN Cc1cc(N[C@@H]2CCS[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000896245800 619700295 /nfs/dbraw/zinc/70/02/95/619700295.db2.gz ODOGASRDVLBBQE-VHSXEESVSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000896247602 619701269 /nfs/dbraw/zinc/70/12/69/619701269.db2.gz IBCIIJTYUYREJC-WPRPVWTQSA-N 0 0 267.354 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(O)(C3CCC3)CC2)c(F)c1 ZINC000896318468 619717301 /nfs/dbraw/zinc/71/73/01/619717301.db2.gz IHCWFOKKUIBSJC-UHFFFAOYSA-N 0 0 294.326 2.865 20 5 CFBDRN C[C@@H]1C(=O)CCCN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000896335597 619718452 /nfs/dbraw/zinc/71/84/52/619718452.db2.gz HOMXFMUIKUXKCD-SNVBAGLBSA-N 0 0 285.303 2.701 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CC2(C)C)c1C ZINC000896328856 619720231 /nfs/dbraw/zinc/72/02/31/619720231.db2.gz UJOHFQHTVQZUOT-NSHDSACASA-N 0 0 276.336 2.988 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H]2OC(C)(C)O[C@H]2C1 ZINC000896355796 619723413 /nfs/dbraw/zinc/72/34/13/619723413.db2.gz CXAURZHCIZURSV-UOJUARBOSA-N 0 0 292.335 2.998 20 5 CFBDRN Cc1cc(-c2noc(COC3CC3)n2)cc([N+](=O)[O-])c1 ZINC000896389298 619727867 /nfs/dbraw/zinc/72/78/67/619727867.db2.gz YFZUZIRJPOGUCV-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN CCO[C@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000896405904 619730760 /nfs/dbraw/zinc/73/07/60/619730760.db2.gz QOTNXRHOFMCULT-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](c2cnn(C)c2)C(C)C)n1 ZINC000896426157 619735424 /nfs/dbraw/zinc/73/54/24/619735424.db2.gz IONGJIRMVKNUMO-CYBMUJFWSA-N 0 0 289.339 2.841 20 5 CFBDRN Cc1cnc(N[C@@H](C2CC2)[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000896436544 619738562 /nfs/dbraw/zinc/73/85/62/619738562.db2.gz JAZYGBPIRNSUBI-OCCSQVGLSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cc(NCCOC2CCOCC2)ccc1[N+](=O)[O-] ZINC000896467604 619740871 /nfs/dbraw/zinc/74/08/71/619740871.db2.gz SCZBPGHZAZHVNL-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN CCOCCOCCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000896484832 619743333 /nfs/dbraw/zinc/74/33/33/619743333.db2.gz OIHBFNHXXHHPJU-UHFFFAOYSA-N 0 0 288.731 2.713 20 5 CFBDRN CCOCCOCCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000896486204 619743688 /nfs/dbraw/zinc/74/36/88/619743688.db2.gz HRHUFGIPUIOPJW-UHFFFAOYSA-N 0 0 288.731 2.713 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cc(C2CC2)nn1C ZINC000896512080 619747597 /nfs/dbraw/zinc/74/75/97/619747597.db2.gz LPFYNICXQFGGEA-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NCC3CCC=CCC3)nn21 ZINC000896551755 619760835 /nfs/dbraw/zinc/76/08/35/619760835.db2.gz RUCUHZSTZDCBQT-UHFFFAOYSA-N 0 0 287.323 2.796 20 5 CFBDRN O=C(OC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1Cl ZINC000070668754 625371243 /nfs/dbraw/zinc/37/12/43/625371243.db2.gz PLRPEHCGUHPUBU-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN CCc1onc(C)c1CNc1ncc([N+](=O)[O-])cc1F ZINC000896664306 619786515 /nfs/dbraw/zinc/78/65/15/619786515.db2.gz UKRBCXSSVIVCII-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H](CO)C2)c(C(F)F)c1 ZINC000896694936 619792202 /nfs/dbraw/zinc/79/22/02/619792202.db2.gz JXJZKURMIUXCLG-ZKCHVHJHSA-N 0 0 272.251 2.715 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc(C3CSC3)no2)c1 ZINC000896720946 619794479 /nfs/dbraw/zinc/79/44/79/619794479.db2.gz JEFLIRJWWQBZRC-UHFFFAOYSA-N 0 0 281.268 2.614 20 5 CFBDRN Cc1cnnc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC000896795016 619820351 /nfs/dbraw/zinc/82/03/51/619820351.db2.gz YYEIGXCVFMTOMT-LLVKDONJSA-N 0 0 272.308 2.909 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC2(C3(O)CCC3)CC2)c(F)c1 ZINC000896808548 619822900 /nfs/dbraw/zinc/82/29/00/619822900.db2.gz WTNIHBXBUXADLA-UHFFFAOYSA-N 0 0 298.289 2.980 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2CC(C)C2)c1C ZINC000896825342 619831022 /nfs/dbraw/zinc/83/10/22/619831022.db2.gz IGGSVACHZLWGLW-UHFFFAOYSA-N 0 0 262.309 2.740 20 5 CFBDRN C[C@@H]1C(=O)CC[C@@H]1CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000796232021 619863095 /nfs/dbraw/zinc/86/30/95/619863095.db2.gz KQYKCRXXPWOVCP-WCBMZHEXSA-N 0 0 297.332 2.705 20 5 CFBDRN C[C@]12CN(c3ccnc(F)c3[N+](=O)[O-])C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000896899217 619871064 /nfs/dbraw/zinc/87/10/64/619871064.db2.gz UKSVURAVZMZXEU-BQVMBELUSA-N 0 0 289.310 2.777 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCc3ccccc3C2)nc1 ZINC000896976720 619907144 /nfs/dbraw/zinc/90/71/44/619907144.db2.gz DZPIAWHIVIRIKQ-CYBMUJFWSA-N 0 0 284.319 2.744 20 5 CFBDRN COC(=O)[C@@H]1C[C@@H]2C[C@H](Nc3ccccc3[N+](=O)[O-])[C@@H]2C1 ZINC000897011070 619914104 /nfs/dbraw/zinc/91/41/04/619914104.db2.gz QRAYUSRFTNXFCE-UZWSLXQKSA-N 0 0 290.319 2.594 20 5 CFBDRN COCC1(c2noc(-c3ccc([N+](=O)[O-])cc3C)n2)CC1 ZINC000897039371 619916640 /nfs/dbraw/zinc/91/66/40/619916640.db2.gz UBUSOPOYTXZTFR-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN CCCCN(O)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000897048946 619919271 /nfs/dbraw/zinc/91/92/71/619919271.db2.gz AFRCQVMJIYLGJY-LLVKDONJSA-N 0 0 295.339 2.899 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC1CC2(C1)CO[C@H](C)C2 ZINC000897043491 619920480 /nfs/dbraw/zinc/92/04/80/619920480.db2.gz BALUCFGGRBAOLT-RWWNRMGGSA-N 0 0 292.335 2.973 20 5 CFBDRN CC(C)N(C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000897067910 619924615 /nfs/dbraw/zinc/92/46/15/619924615.db2.gz QSTHOKHCOCOLIH-LLVKDONJSA-N 0 0 279.340 2.748 20 5 CFBDRN C[C@@H](CNC(=O)N(C)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000897068407 619925057 /nfs/dbraw/zinc/92/50/57/619925057.db2.gz MRABFLJCWIDRIN-NSHDSACASA-N 0 0 291.351 2.892 20 5 CFBDRN CCN(CC)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000897072031 619926137 /nfs/dbraw/zinc/92/61/37/619926137.db2.gz AFJVHNJOKGIQIY-LLVKDONJSA-N 0 0 279.340 2.750 20 5 CFBDRN C[C@@H](CNC(=O)N1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000897078391 619928936 /nfs/dbraw/zinc/92/89/36/619928936.db2.gz STPMZEQMLWOQKS-NSHDSACASA-N 0 0 277.324 2.504 20 5 CFBDRN Cn1cc(CNc2ccc(C(F)F)cc2)c([N+](=O)[O-])n1 ZINC000897099574 619932582 /nfs/dbraw/zinc/93/25/82/619932582.db2.gz NTJHPCHYPYPCIT-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2ccoc2)C2CC2)c(F)c1 ZINC000897107566 619934606 /nfs/dbraw/zinc/93/46/06/619934606.db2.gz DHVRNOSKTKFRPK-UHFFFAOYSA-N 0 0 277.255 2.891 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Nc1cnn(C2CCC2)c1 ZINC000897107853 619934754 /nfs/dbraw/zinc/93/47/54/619934754.db2.gz VMQYHVVWTOVVDA-UHFFFAOYSA-N 0 0 290.327 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc(N(Cc2ccoc2)C2CC2)nc1 ZINC000897110339 619935069 /nfs/dbraw/zinc/93/50/69/619935069.db2.gz SNAXYTJWYVZXPR-UHFFFAOYSA-N 0 0 259.265 2.752 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000897142897 619938886 /nfs/dbraw/zinc/93/88/86/619938886.db2.gz VEZRWJUWFBLMJZ-HUUCEWRRSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000897148772 619939805 /nfs/dbraw/zinc/93/98/05/619939805.db2.gz IRMWLHMMPHTPNZ-ONGXEEELSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000897149135 619940105 /nfs/dbraw/zinc/94/01/05/619940105.db2.gz PCHOINTVPHLBQR-HUUCEWRRSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000897143641 619940477 /nfs/dbraw/zinc/94/04/77/619940477.db2.gz DBQZUIODTDXFBH-ZYHUDNBSSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000897144320 619940541 /nfs/dbraw/zinc/94/05/41/619940541.db2.gz JPEABIUGTJZSFG-GXFFZTMASA-N 0 0 268.288 2.656 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000897146907 619941172 /nfs/dbraw/zinc/94/11/72/619941172.db2.gz CVNSNKHFZJPTQV-YPMHNXCESA-N 0 0 250.298 2.517 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000897146904 619941202 /nfs/dbraw/zinc/94/12/02/619941202.db2.gz CVNSNKHFZJPTQV-AAEUAGOBSA-N 0 0 250.298 2.517 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2C[C@@H]3CCC[C@H]23)cc1F ZINC000897176935 619944946 /nfs/dbraw/zinc/94/49/46/619944946.db2.gz HBYXJXLPHPNOJK-GMOODISLSA-N 0 0 280.299 2.827 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897177006 619944961 /nfs/dbraw/zinc/94/49/61/619944961.db2.gz IMHKZVXGZHFXJL-KHQFGBGNSA-N 0 0 251.261 2.729 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2C[C@H]3CCC[C@H]32)c([N+](=O)[O-])c1 ZINC000897181832 619946095 /nfs/dbraw/zinc/94/60/95/619946095.db2.gz MNMHDGHHFKLGIR-IRUJWGPZSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1cc(N[C@@H](C)Cc2cccnc2)ncc1[N+](=O)[O-] ZINC000897193414 619946196 /nfs/dbraw/zinc/94/61/96/619946196.db2.gz AXNLGTITGFFBDF-NSHDSACASA-N 0 0 272.308 2.736 20 5 CFBDRN COc1cc(-c2nc(CCCCF)no2)ccc1[N+](=O)[O-] ZINC000897214543 619951529 /nfs/dbraw/zinc/95/15/29/619951529.db2.gz ZFKPPXMVFZJSFS-UHFFFAOYSA-N 0 0 295.270 2.946 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(CCCCF)no2)c1 ZINC000897217052 619952445 /nfs/dbraw/zinc/95/24/45/619952445.db2.gz HRLPCZUAPNKDEZ-UHFFFAOYSA-N 0 0 265.244 2.937 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])nc2)CCN1c1ccccc1 ZINC000897274012 619965425 /nfs/dbraw/zinc/96/54/25/619965425.db2.gz ZSTPCPYVJSCAGH-ZDUSSCGKSA-N 0 0 298.346 2.705 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909592298 619966966 /nfs/dbraw/zinc/96/69/66/619966966.db2.gz UYNOHGZCPQFORN-VIFPVBQESA-N 0 0 299.348 2.899 20 5 CFBDRN CS[C@@H](C)[C@H](C)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000797201025 619977183 /nfs/dbraw/zinc/97/71/83/619977183.db2.gz RTCAYBKZWPHEHU-IUCAKERBSA-N 0 0 269.322 2.892 20 5 CFBDRN Cc1cn(Cc2cc(C)nc(Cl)c2)nc1[N+](=O)[O-] ZINC000320662596 619989280 /nfs/dbraw/zinc/98/92/80/619989280.db2.gz CAHBWFUCTBJWLC-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCOC23CCOCC3)c1 ZINC000897326680 619995029 /nfs/dbraw/zinc/99/50/29/619995029.db2.gz NXDWWCKXUQCZIU-CQSZACIVSA-N 0 0 292.335 2.653 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCc1ccccn1 ZINC000023038423 620024921 /nfs/dbraw/zinc/02/49/21/620024921.db2.gz ZRWHBVHROSDHPY-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CC1(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000223637355 620028191 /nfs/dbraw/zinc/02/81/91/620028191.db2.gz RGHYUODDDPGKCZ-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CC[C@@H](N[C@@H](C)c1cnn(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000925230771 620053258 /nfs/dbraw/zinc/05/32/58/620053258.db2.gz PEJNUIYVWIZGOH-GXFFZTMASA-N 0 0 289.339 2.525 20 5 CFBDRN CC[C@@H](N[C@H](C)c1cnn(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000925230774 620053308 /nfs/dbraw/zinc/05/33/08/620053308.db2.gz PEJNUIYVWIZGOH-ZWNOBZJWSA-N 0 0 289.339 2.525 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000925231740 620055342 /nfs/dbraw/zinc/05/53/42/620055342.db2.gz FTAKYVBNXMUEJA-QKCSRTOESA-N 0 0 289.335 2.850 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000925242667 620064566 /nfs/dbraw/zinc/06/45/66/620064566.db2.gz AUSLGVGASNXMCL-BXKDBHETSA-N 0 0 275.308 2.508 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000928925953 620069886 /nfs/dbraw/zinc/06/98/86/620069886.db2.gz BKOPKPJOOQMKLU-AATRIKPKSA-N 0 0 286.690 2.995 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000928937835 620087338 /nfs/dbraw/zinc/08/73/38/620087338.db2.gz QHTFWJXTROCLIX-BQYQJAHWSA-N 0 0 282.727 2.785 20 5 CFBDRN CCC(CC)(C[NH2+]Cc1csc([N+](=O)[O-])c1)C(=O)[O-] ZINC000263005333 620096857 /nfs/dbraw/zinc/09/68/57/620096857.db2.gz AGRRWOZNTBQQHR-UHFFFAOYSA-N 0 0 286.353 2.637 20 5 CFBDRN CCCCNC(=S)Nc1cc([N+](=O)[O-])ccc1F ZINC000006333177 620140265 /nfs/dbraw/zinc/14/02/65/620140265.db2.gz JGNZJNIADQTRCA-UHFFFAOYSA-N 0 0 271.317 2.820 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC[C@@H]1CCCOC1 ZINC000799971295 620140687 /nfs/dbraw/zinc/14/06/87/620140687.db2.gz AJYMYFOVMFHNEI-JTQLQIEISA-N 0 0 268.288 2.881 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+]C[C@H](CC(C)C)C(=O)[O-] ZINC000263493559 620150481 /nfs/dbraw/zinc/15/04/81/620150481.db2.gz PVIRPYBQHDYESG-ZDUSSCGKSA-N 0 0 294.351 2.740 20 5 CFBDRN COc1cc(NC/C=C/Cl)c([N+](=O)[O-])cc1OC ZINC000897506175 620196164 /nfs/dbraw/zinc/19/61/64/620196164.db2.gz VVOSPEPEMGSDND-ONEGZZNKSA-N 0 0 272.688 2.776 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000067739586 620220001 /nfs/dbraw/zinc/22/00/01/620220001.db2.gz BARPIHQNHPFNNZ-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1SC1CCC(F)(F)CC1 ZINC000897539050 620225075 /nfs/dbraw/zinc/22/50/75/620225075.db2.gz LEIDRMKADKIPTB-UHFFFAOYSA-N 0 0 277.296 2.998 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCCC[C@H]([C@H]2CCOC2)C1 ZINC000897561661 620247209 /nfs/dbraw/zinc/24/72/09/620247209.db2.gz AMMLHNWCOKNPTQ-STQMWFEESA-N 0 0 291.351 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2CC(F)(F)C2)c1C ZINC000897570082 620249733 /nfs/dbraw/zinc/24/97/33/620249733.db2.gz NSEIKNSGHCPBNJ-UHFFFAOYSA-N 0 0 284.262 2.739 20 5 CFBDRN O=Cc1ccc(OCc2ccc(F)cn2)c([N+](=O)[O-])c1 ZINC000786711107 620259680 /nfs/dbraw/zinc/25/96/80/620259680.db2.gz WLQIKAPHSGUIDE-UHFFFAOYSA-N 0 0 276.223 2.520 20 5 CFBDRN CC(C)c1ccc(CNCc2cn(C)cn2)cc1[N+](=O)[O-] ZINC000898045272 620320084 /nfs/dbraw/zinc/32/00/84/620320084.db2.gz LAVVULOWJLTBFB-UHFFFAOYSA-N 0 0 288.351 2.742 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1C[NH2+][C@H]1COC[C@H]1C1CC1 ZINC000898373988 620375659 /nfs/dbraw/zinc/37/56/59/620375659.db2.gz ICZPSLSEYAAXSO-STQMWFEESA-N 0 0 296.754 2.763 20 5 CFBDRN CC[C@H](C)[C@@H](C(=O)OC)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000929165307 620383597 /nfs/dbraw/zinc/38/35/97/620383597.db2.gz QWJYYQMXJCYMTG-FZMZJTMJSA-N 0 0 294.351 2.614 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1ccc(F)cc1[N+](=O)[O-] ZINC000889596096 620409253 /nfs/dbraw/zinc/40/92/53/620409253.db2.gz FXVLFFQSRVPWMD-HLUHBDAQSA-N 0 0 278.283 2.652 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000787717494 620415317 /nfs/dbraw/zinc/41/53/17/620415317.db2.gz ARJXKZSRHMTNNE-GDGBQDQQSA-N 0 0 280.711 2.777 20 5 CFBDRN CCCSCC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000801323726 620424030 /nfs/dbraw/zinc/42/40/30/620424030.db2.gz CMLUGRIDPYZSKV-UHFFFAOYSA-N 0 0 275.351 2.843 20 5 CFBDRN CC(C)c1cc(=O)oc2cc(OCC[N+](=O)[O-])ccc21 ZINC000899232072 620534126 /nfs/dbraw/zinc/53/41/26/620534126.db2.gz WVVUPPWGRBNKCD-UHFFFAOYSA-N 0 0 277.276 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-n1[nH]c(CC2CC2)cc1=O ZINC000899350155 620550196 /nfs/dbraw/zinc/55/01/96/620550196.db2.gz VSSTVSQXOSWFDX-UHFFFAOYSA-N 0 0 273.292 2.796 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000899418967 620562414 /nfs/dbraw/zinc/56/24/14/620562414.db2.gz YPAKGBRQTGEGKO-UEEZHKSASA-N 0 0 288.347 2.546 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)[C@H](C)C1 ZINC000070982405 625435618 /nfs/dbraw/zinc/43/56/18/625435618.db2.gz ISNDOLBHGIFVPE-RULNRJAQSA-N 0 0 291.351 2.732 20 5 CFBDRN CC[C@H](c1nnc(SCC[N+](=O)[O-])o1)c1ccccc1 ZINC000899875700 620607776 /nfs/dbraw/zinc/60/77/76/620607776.db2.gz HUPLESXKFJZOIJ-NSHDSACASA-N 0 0 293.348 2.980 20 5 CFBDRN Cc1cc(C)cc(-c2nnc(SCC[N+](=O)[O-])o2)c1 ZINC000899865562 620607939 /nfs/dbraw/zinc/60/79/39/620607939.db2.gz IDEFAIDPJCRVFH-UHFFFAOYSA-N 0 0 279.321 2.722 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1CC1CC(C)C1 ZINC000901476569 620825656 /nfs/dbraw/zinc/82/56/56/620825656.db2.gz IUPNDECLIOFRLH-UHFFFAOYSA-N 0 0 288.145 2.908 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H]1CCC[C@@H](C(F)(F)F)O1 ZINC000901476457 620825784 /nfs/dbraw/zinc/82/57/84/620825784.db2.gz IHLZALHFEVPQHZ-BDAKNGLRSA-N 0 0 293.245 2.600 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H]1CCCC2(CCC2)O1 ZINC000901478300 620826791 /nfs/dbraw/zinc/82/67/91/620826791.db2.gz VQFPQASQGPIARY-NSHDSACASA-N 0 0 265.313 2.592 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1(C)CC=CC1 ZINC000901517539 620854053 /nfs/dbraw/zinc/85/40/53/620854053.db2.gz RJGLWASYVRMZLD-UHFFFAOYSA-N 0 0 276.292 2.898 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@]12CCO[C@@H]1CCCC2 ZINC000901519010 620854651 /nfs/dbraw/zinc/85/46/51/620854651.db2.gz VXBHBWTVICQEEN-HIFRSBDPSA-N 0 0 290.319 2.883 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CCCC2(CCC2)O1 ZINC000901549204 620861378 /nfs/dbraw/zinc/86/13/78/620861378.db2.gz PUXLSGIUBQZXMX-GFCCVEGCSA-N 0 0 292.335 2.557 20 5 CFBDRN COC/C(C)=C/COc1cc(OC)ccc1[N+](=O)[O-] ZINC000901573016 620867184 /nfs/dbraw/zinc/86/71/84/620867184.db2.gz PRIHXIFJWLVJPL-UXBLZVDNSA-N 0 0 267.281 2.575 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H](C)[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000901580238 620868651 /nfs/dbraw/zinc/86/86/51/620868651.db2.gz SSNSYUWXMVEFBM-DGCLKSJQSA-N 0 0 293.319 2.932 20 5 CFBDRN CC[C@@H](C)C[C@@H]([NH2+]Cc1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000901673980 620881956 /nfs/dbraw/zinc/88/19/56/620881956.db2.gz NVWXZCMXDRIZRG-ZWNOBZJWSA-N 0 0 294.351 2.882 20 5 CFBDRN Cc1ccc(CSCCn2c(C)ncc2[N+](=O)[O-])o1 ZINC000901675164 620882214 /nfs/dbraw/zinc/88/22/14/620882214.db2.gz XXNIRRHGWUUNLM-UHFFFAOYSA-N 0 0 281.337 2.935 20 5 CFBDRN O=C([O-])C1=CC[C@@H]([NH2+]Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000901735236 620906542 /nfs/dbraw/zinc/90/65/42/620906542.db2.gz ZWHVAHLPTGHUEV-SNVBAGLBSA-N 0 0 296.710 2.511 20 5 CFBDRN CC(C)(C)C[C@@H]([NH2+]Cc1csc([N+](=O)[O-])c1)C(=O)[O-] ZINC000901806331 620920562 /nfs/dbraw/zinc/92/05/62/620920562.db2.gz PJXQUTSFYYOXSQ-SECBINFHSA-N 0 0 286.353 2.635 20 5 CFBDRN Cc1c(CSCCO[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000901838688 620925828 /nfs/dbraw/zinc/92/58/28/620925828.db2.gz WAHXGDLHHZJFLE-CYBMUJFWSA-N 0 0 297.376 2.942 20 5 CFBDRN CCn1cc(CSCc2ccccc2[N+](=O)[O-])nn1 ZINC000901863584 620928981 /nfs/dbraw/zinc/92/89/81/620928981.db2.gz OELSPCIJSKPYPZ-UHFFFAOYSA-N 0 0 278.337 2.640 20 5 CFBDRN Cc1nonc1CNc1c(C)ccc([N+](=O)[O-])c1C ZINC000902008479 620956804 /nfs/dbraw/zinc/95/68/04/620956804.db2.gz VUTNWEVAGINVEA-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN C[C@H]1[C@H]([NH2+]Cc2ccc([N+](=O)[O-])cc2)CC[C@H]1CC(=O)[O-] ZINC000902101842 620973853 /nfs/dbraw/zinc/97/38/53/620973853.db2.gz WBOYSBXFNZNQLS-SCDSUCTJSA-N 0 0 292.335 2.574 20 5 CFBDRN C[C@@H](CC(C)(C)CC(=O)[O-])[NH2+]Cc1ccccc1[N+](=O)[O-] ZINC000902096644 620978174 /nfs/dbraw/zinc/97/81/74/620978174.db2.gz VIXRXJLMTKWSLH-NSHDSACASA-N 0 0 294.351 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2C[C@@]23CCOC3)c(F)c1 ZINC000902211027 620993588 /nfs/dbraw/zinc/99/35/88/620993588.db2.gz PDRGPYOUNGTODM-NOZJJQNGSA-N 0 0 267.256 2.539 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNc1cccn(C)c1=O ZINC000902210534 620999232 /nfs/dbraw/zinc/99/92/32/620999232.db2.gz WJKPXFOEHFDHLF-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN CCCc1nc(CSCc2cccnc2[N+](=O)[O-])no1 ZINC000902307610 621028245 /nfs/dbraw/zinc/02/82/45/621028245.db2.gz JGGIQOHNEZJOQJ-UHFFFAOYSA-N 0 0 294.336 2.759 20 5 CFBDRN O=[N+]([O-])c1ncccc1COc1ccc2c(c1)CCCO2 ZINC000902409992 621057435 /nfs/dbraw/zinc/05/74/35/621057435.db2.gz NCXXJAIJMUTIHV-UHFFFAOYSA-N 0 0 286.287 2.894 20 5 CFBDRN Cc1ccc([C@H](C)[NH2+][C@H](C)[C@@H]2C[C@@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000902394245 621064028 /nfs/dbraw/zinc/06/40/28/621064028.db2.gz FZQFCPAGCGXFQO-LFSVMHDDSA-N 0 0 292.335 2.663 20 5 CFBDRN Cc1ccc([C@H](C)[NH2+][C@H](C)[C@@H]2C[C@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000902394244 621064236 /nfs/dbraw/zinc/06/42/36/621064236.db2.gz FZQFCPAGCGXFQO-JULQROHOSA-N 0 0 292.335 2.663 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2C[C@]23CCOC3)c(F)c1F ZINC000902424341 621074761 /nfs/dbraw/zinc/07/47/61/621074761.db2.gz MTRSOCRMRSPCMI-OQPBUACISA-N 0 0 285.246 2.678 20 5 CFBDRN CC1(C)CCC[C@@H](CSCCn2cc([N+](=O)[O-])cn2)O1 ZINC000902478414 621088221 /nfs/dbraw/zinc/08/82/21/621088221.db2.gz XLMCZCAWPWZPJF-LBPRGKRZSA-N 0 0 299.396 2.872 20 5 CFBDRN Cc1c([C@@H](C)NCc2csc(N)n2)cccc1[N+](=O)[O-] ZINC000902491647 621103114 /nfs/dbraw/zinc/10/31/14/621103114.db2.gz RFCSRPZIBCIVQM-SECBINFHSA-N 0 0 292.364 2.793 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCc2nncs2)cc1 ZINC000902559170 621121861 /nfs/dbraw/zinc/12/18/61/621121861.db2.gz GXEHODOVVLSQFB-UHFFFAOYSA-N 0 0 297.361 2.759 20 5 CFBDRN CC[C@@H]1C[C@H]1CSCCn1cc([N+](=O)[O-])cn1 ZINC000902578540 621129456 /nfs/dbraw/zinc/12/94/56/621129456.db2.gz AADSCTXOIAJGAZ-ZJUUUORDSA-N 0 0 255.343 2.571 20 5 CFBDRN CCc1c(C)on(Cc2cccc([N+](=O)[O-])c2C)c1=O ZINC000902582205 621130783 /nfs/dbraw/zinc/13/07/83/621130783.db2.gz RTGAJGPJFXBHKA-UHFFFAOYSA-N 0 0 276.292 2.577 20 5 CFBDRN C[C@@H](NC(=O)N1CCCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000902915975 621202551 /nfs/dbraw/zinc/20/25/51/621202551.db2.gz CBBGTNCFJGGILN-LLVKDONJSA-N 0 0 277.324 2.851 20 5 CFBDRN C[C@@H](NC(=O)OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000902916140 621202644 /nfs/dbraw/zinc/20/26/44/621202644.db2.gz IIIJFFBDDLTZDW-SSDOTTSWSA-N 0 0 292.213 2.944 20 5 CFBDRN C[C@H]1CCC(C)(C)N1S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000903145640 621267929 /nfs/dbraw/zinc/26/79/29/621267929.db2.gz HCMSGYFJMKZZEE-JTQLQIEISA-N 0 0 298.364 2.546 20 5 CFBDRN C[C@H]1CCC[C@@H]1Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000071208305 625478639 /nfs/dbraw/zinc/47/86/39/625478639.db2.gz HUGXIBLCSIKUBV-YUMQZZPRSA-N 0 0 262.269 2.732 20 5 CFBDRN CC(C)(CCc1noc(C2(C3CC3)CCC2)n1)[N+](=O)[O-] ZINC000903706483 621423012 /nfs/dbraw/zinc/42/30/12/621423012.db2.gz XRRRIISMTWKIAI-UHFFFAOYSA-N 0 0 279.340 2.889 20 5 CFBDRN Cc1cc(-c2noc([C@@H]3[C@@H]4CCC(=O)[C@H]34)n2)cc([N+](=O)[O-])c1 ZINC000903714973 621431256 /nfs/dbraw/zinc/43/12/56/621431256.db2.gz NDLBQPYOSXVHLK-RAIGVLPGSA-N 0 0 299.286 2.646 20 5 CFBDRN CC1(CCc2nc(Cc3ccc([N+](=O)[O-])cn3)no2)CC1 ZINC000904023395 621504179 /nfs/dbraw/zinc/50/41/79/621504179.db2.gz QCEQDXICMFUIKG-UHFFFAOYSA-N 0 0 288.307 2.696 20 5 CFBDRN CC(C)CCC[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000904038354 621509838 /nfs/dbraw/zinc/50/98/38/621509838.db2.gz JVAYBCVLWDUGNU-CQSZACIVSA-N 0 0 294.351 2.964 20 5 CFBDRN O=C([O-])C[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000904041522 621510382 /nfs/dbraw/zinc/51/03/82/621510382.db2.gz RLYVEPYKSCTMKS-AWEZNQCLSA-N 0 0 292.335 2.718 20 5 CFBDRN CCO[C@H](c1noc(CCC(C)(C)[N+](=O)[O-])n1)C(C)C ZINC000904125804 621529258 /nfs/dbraw/zinc/52/92/58/621529258.db2.gz RMZQKKVQRITZKA-NSHDSACASA-N 0 0 285.344 2.791 20 5 CFBDRN CCCCN(O)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000904180696 621536983 /nfs/dbraw/zinc/53/69/83/621536983.db2.gz NMWOENILLCTWQV-SNVBAGLBSA-N 0 0 281.312 2.857 20 5 CFBDRN O=[N+]([O-])CC[NH2+]Cc1ccc(OC2CCCCC2)cc1 ZINC000905080835 621690835 /nfs/dbraw/zinc/69/08/35/621690835.db2.gz NYQMWFUFXCJFQO-UHFFFAOYSA-N 0 0 278.352 2.764 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)C1 ZINC000905186851 621713672 /nfs/dbraw/zinc/71/36/72/621713672.db2.gz DXJWPNWFRRNWLC-CYBMUJFWSA-N 0 0 295.270 2.536 20 5 CFBDRN CCc1ccc(OC[C@@H]2C[C@]23CCOC3)c([N+](=O)[O-])c1 ZINC000905236781 621731386 /nfs/dbraw/zinc/73/13/86/621731386.db2.gz SKXSNDNKBUVRAJ-WFASDCNBSA-N 0 0 277.320 2.963 20 5 CFBDRN O=C(Nc1cccc(NCC[N+](=O)[O-])c1)C1CCCCC1 ZINC000905331522 621749707 /nfs/dbraw/zinc/74/97/07/621749707.db2.gz XHNAOQOXDYNFCS-UHFFFAOYSA-N 0 0 291.351 2.894 20 5 CFBDRN COc1ccc(-c2ccc(C)c(NCC[N+](=O)[O-])c2)cn1 ZINC000905338217 621751210 /nfs/dbraw/zinc/75/12/10/621751210.db2.gz ZSGPENUHJMFVFX-UHFFFAOYSA-N 0 0 287.319 2.754 20 5 CFBDRN C[C@@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000905566240 621792487 /nfs/dbraw/zinc/79/24/87/621792487.db2.gz QGMWNCCALGEKSQ-ZCFIWIBFSA-N 0 0 275.280 2.535 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1(C(C)C)COC1 ZINC000905708903 621825390 /nfs/dbraw/zinc/82/53/90/621825390.db2.gz BNJLONGARLDWPK-UHFFFAOYSA-N 0 0 292.335 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1NCc1ccon1 ZINC000149006558 621833465 /nfs/dbraw/zinc/83/34/65/621833465.db2.gz HFUWZAQBXZYWAQ-UHFFFAOYSA-N 0 0 298.096 2.957 20 5 CFBDRN CC[C@](O)(COc1cc(F)ccc1[N+](=O)[O-])C(F)(F)F ZINC000905944398 621909297 /nfs/dbraw/zinc/90/92/97/621909297.db2.gz UJWWMHFNUCCTLZ-JTQLQIEISA-N 0 0 297.204 2.816 20 5 CFBDRN CC[C@@](O)(COc1cccc(C)c1[N+](=O)[O-])C(F)(F)F ZINC000905945455 621909356 /nfs/dbraw/zinc/90/93/56/621909356.db2.gz ZYEBGMXMIUAARS-LLVKDONJSA-N 0 0 293.241 2.985 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2CC(C)(C)CO2)cc1[N+](=O)[O-] ZINC000832833301 625530327 /nfs/dbraw/zinc/53/03/27/625530327.db2.gz GQKSTCOZRJEUPG-VIFPVBQESA-N 0 0 298.364 2.510 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)S(=O)(=O)C/C=C\Cl ZINC000906056492 621923595 /nfs/dbraw/zinc/92/35/95/621923595.db2.gz YXFRHYNYYGYWJN-RWIWAZIYSA-N 0 0 289.740 2.823 20 5 CFBDRN CN(Cc1ccccc1)c1ccc(N)cc1[N+](=O)[O-] ZINC000071582140 625530887 /nfs/dbraw/zinc/53/08/87/625530887.db2.gz QKUKKKVWFMWTNG-UHFFFAOYSA-N 0 0 257.293 2.813 20 5 CFBDRN O=C(Nc1cccnc1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149085928 621938018 /nfs/dbraw/zinc/93/80/18/621938018.db2.gz PSLWSCSOVXKQLJ-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N=[S@@](C)(=O)C(C)C ZINC000906188503 621948740 /nfs/dbraw/zinc/94/87/40/621948740.db2.gz QZSJONZSXIRUSP-FQEVSTJZSA-N 0 0 298.364 2.858 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC12CCC2 ZINC000906202474 621951980 /nfs/dbraw/zinc/95/19/80/621951980.db2.gz WPVIJVABZOXFNM-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN CN(C(=O)c1csc([N+](=O)[O-])c1)C1(C(F)(F)F)CC1 ZINC000906224811 621956911 /nfs/dbraw/zinc/95/69/11/621956911.db2.gz ZYTQJRRCKZLOGR-UHFFFAOYSA-N 0 0 294.254 2.823 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000906244837 621958638 /nfs/dbraw/zinc/95/86/38/621958638.db2.gz HSYCNUVPYLXWCK-SECBINFHSA-N 0 0 298.314 2.586 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000906246504 621959117 /nfs/dbraw/zinc/95/91/17/621959117.db2.gz OSRQOSMQAZLPGQ-VIFPVBQESA-N 0 0 298.314 2.586 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000906316134 621973254 /nfs/dbraw/zinc/97/32/54/621973254.db2.gz MPVUMTIDYZHJEY-FQEVSTJZSA-N 0 0 298.364 2.940 20 5 CFBDRN O=C(NC[C@@]12C[C@@H]1CCCC2)c1ccc([N+](=O)[O-])s1 ZINC000927454899 622001078 /nfs/dbraw/zinc/00/10/78/622001078.db2.gz FCACVXQOVRXVKI-TVQRCGJNSA-N 0 0 280.349 2.966 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000832950349 625540885 /nfs/dbraw/zinc/54/08/85/625540885.db2.gz XXFSMMVBDNQDAH-RISCZKNCSA-N 0 0 294.351 2.665 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C(F)(F)CC ZINC000908279190 622211618 /nfs/dbraw/zinc/21/16/18/622211618.db2.gz KDXXRVZMOYRPPL-UHFFFAOYSA-N 0 0 288.250 2.977 20 5 CFBDRN O=C(c1cc(Cl)c(F)c([N+](=O)[O-])c1)N1CC(C2CC2)C1 ZINC000908317022 622213978 /nfs/dbraw/zinc/21/39/78/622213978.db2.gz KMXGDWHEJHXKDO-UHFFFAOYSA-N 0 0 298.701 2.869 20 5 CFBDRN CCN(CC(C)=O)C(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000908385234 622224978 /nfs/dbraw/zinc/22/49/78/622224978.db2.gz AYUQVSPJWGDHPK-UHFFFAOYSA-N 0 0 298.726 2.608 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000908702780 622265982 /nfs/dbraw/zinc/26/59/82/622265982.db2.gz MJVHKYPIZRBJDY-UITAMQMPSA-N 0 0 299.710 2.998 20 5 CFBDRN CC[C@@H](OC(=O)CCc1cc(F)ccc1[N+](=O)[O-])C(C)=O ZINC000923960812 622382683 /nfs/dbraw/zinc/38/26/83/622382683.db2.gz FLYVQTGIMHFYCY-CYBMUJFWSA-N 0 0 297.282 2.577 20 5 CFBDRN O=C(OCC1CC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027003 622388170 /nfs/dbraw/zinc/38/81/70/622388170.db2.gz HSJYYXFBRZZGCG-OLZOCXBDSA-N 0 0 261.277 2.652 20 5 CFBDRN CCOCCCOC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026936 622388237 /nfs/dbraw/zinc/38/82/37/622388237.db2.gz GJSCKZIITXQSPA-ZIAGYGMSSA-N 0 0 293.319 2.668 20 5 CFBDRN O=C(OCCCCF)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028710 622388655 /nfs/dbraw/zinc/38/86/55/622388655.db2.gz VBGNGQAFJBTRLI-STQMWFEESA-N 0 0 281.283 2.991 20 5 CFBDRN Cc1noc(COC(=O)CCC2(C)CC2)c1[N+](=O)[O-] ZINC000910038036 622394607 /nfs/dbraw/zinc/39/46/07/622394607.db2.gz NRNPPZPKNRCTBT-UHFFFAOYSA-N 0 0 268.269 2.515 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)OCC1CCCCCC1 ZINC000910204451 622410063 /nfs/dbraw/zinc/41/00/63/622410063.db2.gz BPTMDZJCLDOVNU-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc2c(c1)CCC2=O)[N+](=O)[O-] ZINC000833406709 625566041 /nfs/dbraw/zinc/56/60/41/625566041.db2.gz PPRRHZQGKLNYAB-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN CC1(C)C[C@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911473316 622522316 /nfs/dbraw/zinc/52/23/16/622522316.db2.gz ALSOJNQLUXBKBT-QMMMGPOBSA-N 0 0 284.262 2.535 20 5 CFBDRN C[C@@H](C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F)C1CCC1 ZINC000911475282 622522364 /nfs/dbraw/zinc/52/23/64/622522364.db2.gz UOSAECIUFBCZSN-MRVPVSSYSA-N 0 0 298.289 2.925 20 5 CFBDRN CNc1c(C(=O)N(C)C[Si](C)(C)C)cccc1[N+](=O)[O-] ZINC000911725898 622541466 /nfs/dbraw/zinc/54/14/66/622541466.db2.gz BYMASSNVXXDSRS-UHFFFAOYSA-N 0 0 295.415 2.586 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000911729383 622543830 /nfs/dbraw/zinc/54/38/30/622543830.db2.gz FVHQOHVPNDTMSE-UHFFFAOYSA-N 0 0 284.363 2.683 20 5 CFBDRN Cc1c(C(=O)N(C)C[Si](C)(C)C)cccc1[N+](=O)[O-] ZINC000911738778 622545816 /nfs/dbraw/zinc/54/58/16/622545816.db2.gz HPXCIEFYKXSYFU-UHFFFAOYSA-N 0 0 280.400 2.853 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NC1C[C@H]2C[C@H]2C1 ZINC000819797905 622558942 /nfs/dbraw/zinc/55/89/42/622558942.db2.gz ITIWGVFJWCGYFS-QEFZXIKKSA-N 0 0 286.331 2.913 20 5 CFBDRN C/C(=C/C(=O)NC1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000819798665 622558948 /nfs/dbraw/zinc/55/89/48/622558948.db2.gz OSJIPOKMJRYXFL-KZHOAYSYSA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1cncc(/C=C\C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000819892581 622567448 /nfs/dbraw/zinc/56/74/48/622567448.db2.gz KEFWTDIOZNTRNE-DJWKRKHSSA-N 0 0 298.302 2.532 20 5 CFBDRN CSc1ccc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)o1 ZINC000819905590 622569276 /nfs/dbraw/zinc/56/92/76/622569276.db2.gz RJWPOMATCVQMCD-UHFFFAOYSA-N 0 0 293.304 2.744 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)cc1F ZINC000819920713 622571999 /nfs/dbraw/zinc/57/19/99/622571999.db2.gz QHZKHVTWQQVZSA-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1ccc(OC(=O)/C=C\[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000819947288 622579403 /nfs/dbraw/zinc/57/94/03/622579403.db2.gz YBLIRAPKXRBZLH-JPVGGKMYSA-N 0 0 277.276 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)/C=C\[C@H]1CCCO1 ZINC000819948067 622580718 /nfs/dbraw/zinc/58/07/18/622580718.db2.gz YAUXMXBECFQIKR-ZHRWSRJISA-N 0 0 277.276 2.544 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000819964723 622587233 /nfs/dbraw/zinc/58/72/33/622587233.db2.gz WHIJVQUTTAFLHU-FTWBLAIESA-N 0 0 291.303 2.934 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000820429824 622672265 /nfs/dbraw/zinc/67/22/65/622672265.db2.gz NQNDITBTWXXYCX-LBPRGKRZSA-N 0 0 297.282 2.624 20 5 CFBDRN CCC[C@@H]1CCCN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000912463776 622715469 /nfs/dbraw/zinc/71/54/69/622715469.db2.gz NYPPKYAANMWPIK-GFCCVEGCSA-N 0 0 291.351 2.723 20 5 CFBDRN COc1cc(N2CCCSCC2)c([N+](=O)[O-])cc1C ZINC000820640090 622723139 /nfs/dbraw/zinc/72/31/39/622723139.db2.gz PORPWLOGQLLTJM-UHFFFAOYSA-N 0 0 282.365 2.855 20 5 CFBDRN CCN(CC)C(=S)Sc1ccc([N+](=O)[O-])nc1 ZINC000912734577 622770615 /nfs/dbraw/zinc/77/06/15/622770615.db2.gz ISWOZBCWMQAJJY-UHFFFAOYSA-N 0 0 271.367 2.709 20 5 CFBDRN CCc1nocc1COc1cc(F)ccc1[N+](=O)[O-] ZINC000821057742 622835358 /nfs/dbraw/zinc/83/53/58/622835358.db2.gz IPJVREVXVIRUHS-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC=CC12CCCC2 ZINC000913194379 622848662 /nfs/dbraw/zinc/84/86/62/622848662.db2.gz GCUAPQRSDGTOAB-UHFFFAOYSA-N 0 0 286.331 2.849 20 5 CFBDRN CC(C)(CCC(=O)N1CC=CC12CCCC2)[N+](=O)[O-] ZINC000913206576 622856680 /nfs/dbraw/zinc/85/66/80/622856680.db2.gz HMWAVPNCYTXBKI-UHFFFAOYSA-N 0 0 266.341 2.533 20 5 CFBDRN O=C(/C=C/[C@@H]1CCCO1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000821404629 622915657 /nfs/dbraw/zinc/91/56/57/622915657.db2.gz PDLOTIMYCZQSIY-MALLOTDXSA-N 0 0 295.266 2.512 20 5 CFBDRN O=C(/C=C/[C@H]1CCCO1)OCc1ccc([N+](=O)[O-])cc1F ZINC000821405049 622916482 /nfs/dbraw/zinc/91/64/82/622916482.db2.gz URABDIPNFZSVRZ-BTDICHCPSA-N 0 0 295.266 2.512 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CCO[C@@H](C)C1 ZINC000821496600 622933649 /nfs/dbraw/zinc/93/36/49/622933649.db2.gz FFNHNSOZBJWWDV-CMPLNLGQSA-N 0 0 292.335 2.509 20 5 CFBDRN CC(C)(CCC(=O)O[C@H]1CCC12CCC2)[N+](=O)[O-] ZINC000821789814 622976674 /nfs/dbraw/zinc/97/66/74/622976674.db2.gz XUCPXASAKJPQHQ-JTQLQIEISA-N 0 0 255.314 2.698 20 5 CFBDRN CC1(C)CC[C@H]1OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000821845315 622991732 /nfs/dbraw/zinc/99/17/32/622991732.db2.gz AUXAOFVLKGKCTD-LLVKDONJSA-N 0 0 264.281 2.522 20 5 CFBDRN C[C@@H](C1CC1)N(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000056935359 622992747 /nfs/dbraw/zinc/99/27/47/622992747.db2.gz LUYYISRPLTXIIM-VIFPVBQESA-N 0 0 289.335 2.580 20 5 CFBDRN CC(C)COC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000057981881 623025853 /nfs/dbraw/zinc/02/58/53/623025853.db2.gz ADBUQFRJTSDBCB-UHFFFAOYSA-N 0 0 269.322 2.886 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)o1 ZINC000058100018 623033380 /nfs/dbraw/zinc/03/33/80/623033380.db2.gz NYSWRSARIMKFAF-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@]1(C)C[C@@H]1F ZINC000822092696 623033629 /nfs/dbraw/zinc/03/36/29/623033629.db2.gz XTOZTOGVXBPSCW-AAEUAGOBSA-N 0 0 283.255 2.508 20 5 CFBDRN CC(C)(CCC(=O)N1CCCCCCC1)[N+](=O)[O-] ZINC000822307976 623076517 /nfs/dbraw/zinc/07/65/17/623076517.db2.gz HQPZWBVPVSSGAU-UHFFFAOYSA-N 0 0 256.346 2.615 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822415771 623099224 /nfs/dbraw/zinc/09/92/24/623099224.db2.gz FTOHFJYWUXROQO-MNOVXSKESA-N 0 0 256.346 2.517 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000822443034 623104716 /nfs/dbraw/zinc/10/47/16/623104716.db2.gz NMDCQQFWNGPFOW-BYNSBNAKSA-N 0 0 288.347 2.859 20 5 CFBDRN CC(C)(CCC(=O)NCc1cc2ccccc2o1)[N+](=O)[O-] ZINC000822534187 623122522 /nfs/dbraw/zinc/12/25/22/623122522.db2.gz VNJQJEUPMILGLG-UHFFFAOYSA-N 0 0 290.319 2.885 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000822640713 623140917 /nfs/dbraw/zinc/14/09/17/623140917.db2.gz HPOJVRPARJIGGW-GHMZBOCLSA-N 0 0 262.309 2.740 20 5 CFBDRN CC/C(C)=C/C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000822663129 623145745 /nfs/dbraw/zinc/14/57/45/623145745.db2.gz LLHRCTMNUUXVNE-JXMROGBWSA-N 0 0 278.308 2.576 20 5 CFBDRN CC(C)[C@H](C)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1CC1 ZINC000822747467 623166227 /nfs/dbraw/zinc/16/62/27/623166227.db2.gz IZDKVDAWGUWNSB-NSHDSACASA-N 0 0 270.373 2.857 20 5 CFBDRN CCCN(CC(F)(F)F)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822849736 623188479 /nfs/dbraw/zinc/18/84/79/623188479.db2.gz JLANJORPFGDMPE-UHFFFAOYSA-N 0 0 284.278 2.623 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CSC[C@@H]1C ZINC000822877195 623196030 /nfs/dbraw/zinc/19/60/30/623196030.db2.gz LVPVNOFKBSKRBC-GXSJLCMTSA-N 0 0 294.376 2.693 20 5 CFBDRN CCN(CCC(F)(F)F)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822896217 623198556 /nfs/dbraw/zinc/19/85/56/623198556.db2.gz IMJFMGNBQVIQKU-UHFFFAOYSA-N 0 0 284.278 2.623 20 5 CFBDRN CC(C)C[C@H]1CCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822908830 623201402 /nfs/dbraw/zinc/20/14/02/623201402.db2.gz QGYMQXLRUDYPQC-GFCCVEGCSA-N 0 0 270.373 2.859 20 5 CFBDRN COC(=O)c1coc(COc2cc(F)ccc2[N+](=O)[O-])c1 ZINC000171410037 623221844 /nfs/dbraw/zinc/22/18/44/623221844.db2.gz RSDWONPMNZDKOG-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CCn1c(C)nnc1SCc1cc(F)ccc1[N+](=O)[O-] ZINC000171416557 623222823 /nfs/dbraw/zinc/22/28/23/623222823.db2.gz JKUTVXNKDPTSKJ-UHFFFAOYSA-N 0 0 296.327 2.946 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCC[NH2+]3)n2)s1 ZINC000823069872 623227810 /nfs/dbraw/zinc/22/78/10/623227810.db2.gz VXDYZIHOJBELOL-ZETCQYMHSA-N 0 0 280.309 2.521 20 5 CFBDRN C[Si](C)(C)COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000171791903 623243053 /nfs/dbraw/zinc/24/30/53/623243053.db2.gz KBUXUXKULODPEM-UHFFFAOYSA-N 0 0 253.330 2.664 20 5 CFBDRN CC[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000171965773 623251269 /nfs/dbraw/zinc/25/12/69/623251269.db2.gz SQBBMBPFOCHFKF-GXFFZTMASA-N 0 0 282.315 2.733 20 5 CFBDRN CC(C)(CCC(=O)NCC1(C)CCCC1)[N+](=O)[O-] ZINC000823208215 623262603 /nfs/dbraw/zinc/26/26/03/623262603.db2.gz FGQRWUCXTULHFV-UHFFFAOYSA-N 0 0 256.346 2.518 20 5 CFBDRN CC(C)C[C@H]1COCCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000172160830 623265952 /nfs/dbraw/zinc/26/59/52/623265952.db2.gz XXTYZHVXJNFPPU-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN C[C@@H](OC(=O)c1csc([N+](=O)[O-])c1)c1cccnc1 ZINC000172180833 623269852 /nfs/dbraw/zinc/26/98/52/623269852.db2.gz UDTUVDDBKOFLCQ-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN CCc1nc([C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])cs1 ZINC000823257026 623277283 /nfs/dbraw/zinc/27/72/83/623277283.db2.gz XVQOTVIPKGEKNK-VIFPVBQESA-N 0 0 299.396 2.718 20 5 CFBDRN CCO[C@H]1C[C@@H]1C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000172361526 623277746 /nfs/dbraw/zinc/27/77/46/623277746.db2.gz FAZZBPUGYJTGJU-UFBFGSQYSA-N 0 0 299.710 2.887 20 5 CFBDRN CN(Cc1ccon1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000172384673 623279462 /nfs/dbraw/zinc/27/94/62/623279462.db2.gz YLJMDCXHSQREOY-UHFFFAOYSA-N 0 0 281.699 2.868 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000823351868 623296341 /nfs/dbraw/zinc/29/63/41/623296341.db2.gz PLJBETGRSGYWLJ-NEPJUHHUSA-N 0 0 276.336 2.988 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])nc2)C1 ZINC000230918253 623310070 /nfs/dbraw/zinc/31/00/70/623310070.db2.gz WCHFXLRDVPESMD-WPRPVWTQSA-N 0 0 253.327 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1cnc(Cl)cn1 ZINC000231289078 623337991 /nfs/dbraw/zinc/33/79/91/623337991.db2.gz LYLDZHOFYGPEFS-UHFFFAOYSA-N 0 0 283.646 2.756 20 5 CFBDRN CCc1ccc(C(=O)OCc2cccnc2)cc1[N+](=O)[O-] ZINC000173250754 623338756 /nfs/dbraw/zinc/33/87/56/623338756.db2.gz AYJBDMMAAWWBSZ-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCCc1cccs1 ZINC000173502223 623354242 /nfs/dbraw/zinc/35/42/42/623354242.db2.gz SOJAKYHNVMLWIY-UHFFFAOYSA-N 0 0 291.328 2.985 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCC(F)(F)C(F)F ZINC000173504538 623354320 /nfs/dbraw/zinc/35/43/20/623354320.db2.gz PGHHYTMHWBQUTM-UHFFFAOYSA-N 0 0 295.188 2.581 20 5 CFBDRN CCCONC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000823607875 623359445 /nfs/dbraw/zinc/35/94/45/623359445.db2.gz GBQMERRPVFLAEB-UHFFFAOYSA-N 0 0 286.715 2.639 20 5 CFBDRN Cc1nocc1NC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000823761342 623392999 /nfs/dbraw/zinc/39/29/99/623392999.db2.gz OFZAPZDVCBJFJT-VXGBXAGGSA-N 0 0 287.275 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC(CC(F)F)C1 ZINC000823800654 623398160 /nfs/dbraw/zinc/39/81/60/623398160.db2.gz JFVYGWGJNDRTSD-UHFFFAOYSA-N 0 0 298.289 2.939 20 5 CFBDRN O=C(Nc1ccc2nc(Cl)sc2c1)[C@@H]1CC1[N+](=O)[O-] ZINC000823845715 623403666 /nfs/dbraw/zinc/40/36/66/623403666.db2.gz LZCVMVFHPOQCOC-HTRCEHHLSA-N 0 0 297.723 2.553 20 5 CFBDRN Nc1ccc(NC(=O)N2CCC23CCCC3)cc1[N+](=O)[O-] ZINC000823926720 623419875 /nfs/dbraw/zinc/41/98/75/623419875.db2.gz DXRMLBQJQUGKIT-UHFFFAOYSA-N 0 0 290.323 2.727 20 5 CFBDRN CC(C)C(C)(C)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823927221 623420541 /nfs/dbraw/zinc/42/05/41/623420541.db2.gz PYJQTIHCBODKOJ-UHFFFAOYSA-N 0 0 280.328 2.733 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823927372 623420848 /nfs/dbraw/zinc/42/08/48/623420848.db2.gz VJYMCNNWOHJHGM-KXUCPTDWSA-N 0 0 292.339 2.685 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823956015 623425533 /nfs/dbraw/zinc/42/55/33/623425533.db2.gz NBLUQVBCDKXAOZ-JTQLQIEISA-N 0 0 292.339 2.973 20 5 CFBDRN C[C@H]1CCCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823961282 623426325 /nfs/dbraw/zinc/42/63/25/623426325.db2.gz BJHNYVFLYDJMKR-JTQLQIEISA-N 0 0 292.339 2.831 20 5 CFBDRN CC(C)COCCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000232590556 623427615 /nfs/dbraw/zinc/42/76/15/623427615.db2.gz ACLVWNBWDYEODP-UHFFFAOYSA-N 0 0 285.271 2.563 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](F)C1 ZINC000824146094 623460139 /nfs/dbraw/zinc/46/01/39/623460139.db2.gz QSPRPEZKRGPMSM-SECBINFHSA-N 0 0 298.339 2.891 20 5 CFBDRN COC(=O)/C(C)=C/COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000088629862 623488513 /nfs/dbraw/zinc/48/85/13/623488513.db2.gz UWHWHCLLUQDICD-VMPITWQZSA-N 0 0 285.683 2.746 20 5 CFBDRN CCCN(CC(C)C)C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000824750058 623557534 /nfs/dbraw/zinc/55/75/34/623557534.db2.gz BWMOPOCNIXHGAZ-CQSZACIVSA-N 0 0 294.351 2.523 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC[C@@H]1CC1(F)F ZINC000824797897 623567781 /nfs/dbraw/zinc/56/77/81/623567781.db2.gz RXDNHJGCJBKUSL-SNVBAGLBSA-N 0 0 298.289 2.987 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000913807074 623595318 /nfs/dbraw/zinc/59/53/18/623595318.db2.gz ROBLMHPAQLSCTB-WDMOLILDSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC(C)(C)F ZINC000825118513 623634234 /nfs/dbraw/zinc/63/42/34/623634234.db2.gz MCJXQAMHTHIVNO-UHFFFAOYSA-N 0 0 268.288 2.690 20 5 CFBDRN CCOC(=O)/C=C/COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000094822845 623659043 /nfs/dbraw/zinc/65/90/43/623659043.db2.gz KOHSZNLSZAIVPY-ONEGZZNKSA-N 0 0 285.683 2.746 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000825640441 623728249 /nfs/dbraw/zinc/72/82/49/623728249.db2.gz FCKBQSXJTPNQQX-LBPRGKRZSA-N 0 0 294.376 2.663 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000825641079 623729060 /nfs/dbraw/zinc/72/90/60/623729060.db2.gz QDAWQZXTAFSPHT-GFCCVEGCSA-N 0 0 284.262 2.565 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000825641545 623729364 /nfs/dbraw/zinc/72/93/64/623729364.db2.gz YXYXZLFJMXQTIV-MCIONIFRSA-N 0 0 288.347 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)c2ccco2)cc1[N+](=O)[O-] ZINC000825647489 623729869 /nfs/dbraw/zinc/72/98/69/623729869.db2.gz GHZOUAVFVPQBKV-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN CC[C@H](SC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825647726 623729994 /nfs/dbraw/zinc/72/99/94/623729994.db2.gz IELLCZPHDTVTKE-LBPRGKRZSA-N 0 0 282.365 2.661 20 5 CFBDRN CCC[C@@H](C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825647521 623730139 /nfs/dbraw/zinc/73/01/39/623730139.db2.gz HJLIJGMUKAHEOE-LLVKDONJSA-N 0 0 264.325 2.956 20 5 CFBDRN O=C(NCC1=CCCC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000825661325 623733502 /nfs/dbraw/zinc/73/35/02/623733502.db2.gz MJGZMYORHCJPHL-GJZGRUSLSA-N 0 0 286.331 2.925 20 5 CFBDRN O=C(Oc1cc([N+](=O)[O-])ccc1Cl)O[C@@H]1CCOC1 ZINC000914213575 623735025 /nfs/dbraw/zinc/73/50/25/623735025.db2.gz JWJDZTDJEDHWFK-MRVPVSSYSA-N 0 0 287.655 2.553 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)NCCCCC1CC1 ZINC000825669906 623735131 /nfs/dbraw/zinc/73/51/31/623735131.db2.gz JUJRFNWEDJMVTP-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCCCC1CC1 ZINC000825689393 623740875 /nfs/dbraw/zinc/74/08/75/623740875.db2.gz MMAKSHSLQMHEDW-UHFFFAOYSA-N 0 0 277.324 2.608 20 5 CFBDRN O=C(Oc1c(Cl)cccc1[N+](=O)[O-])O[C@@H]1CCOC1 ZINC000914251598 623746616 /nfs/dbraw/zinc/74/66/16/623746616.db2.gz COQZJLXGJFNOQO-SSDOTTSWSA-N 0 0 287.655 2.553 20 5 CFBDRN CC[C@H]1CCCN(C(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000825898497 623767725 /nfs/dbraw/zinc/76/77/25/623767725.db2.gz BCEVVIVGEDOQGY-LBPRGKRZSA-N 0 0 270.373 2.861 20 5 CFBDRN CS[C@@H]1CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000825905040 623768267 /nfs/dbraw/zinc/76/82/67/623768267.db2.gz IWEVSFIUVQHICC-GHMZBOCLSA-N 0 0 288.413 2.612 20 5 CFBDRN CC(C)(CCC(=O)N1C2CCCC1CCC2)[N+](=O)[O-] ZINC000826112490 623794715 /nfs/dbraw/zinc/79/47/15/623794715.db2.gz CFKJGMKXOMARGQ-UHFFFAOYSA-N 0 0 268.357 2.756 20 5 CFBDRN CC1(C)CC(NC(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000826623823 623824718 /nfs/dbraw/zinc/82/47/18/623824718.db2.gz VJWHMXONEXFAER-UHFFFAOYSA-N 0 0 294.326 2.971 20 5 CFBDRN CC[C@H](CNc1cc(Cl)ccc1[N+](=O)[O-])C(=O)OC ZINC000826638623 623828747 /nfs/dbraw/zinc/82/87/47/623828747.db2.gz KRMJAMPYLKPVGS-MRVPVSSYSA-N 0 0 286.715 2.859 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])O[C@@H]1COc2ccccc21 ZINC000153086114 623838340 /nfs/dbraw/zinc/83/83/40/623838340.db2.gz MDHSOEMIMLPCCF-OAHLLOKOSA-N 0 0 299.282 2.814 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000826704347 623848497 /nfs/dbraw/zinc/84/84/97/623848497.db2.gz HDASHPIPLHDAAM-PHIMTYICSA-N 0 0 279.340 2.935 20 5 CFBDRN Cc1ccncc1COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000762120315 623873537 /nfs/dbraw/zinc/87/35/37/623873537.db2.gz LZERHKLSWZDXHO-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CC[C@H]3C[C@H]3C2)nc2ccccn21 ZINC000826804131 623880751 /nfs/dbraw/zinc/88/07/51/623880751.db2.gz ZVZDTIKGCNIIHX-GARJFASQSA-N 0 0 272.308 2.843 20 5 CFBDRN CC1CC(CNC(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000826864755 623897672 /nfs/dbraw/zinc/89/76/72/623897672.db2.gz WJCGVLIAAGDMND-RLNQVHQUSA-N 0 0 288.347 2.861 20 5 CFBDRN COCCC1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000826899324 623905525 /nfs/dbraw/zinc/90/55/25/623905525.db2.gz KFQDDJSXOZDLHE-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCCC(F)(F)C1)[N+](=O)[O-] ZINC000827059609 623933709 /nfs/dbraw/zinc/93/37/09/623933709.db2.gz MTFJZFBKSGZXDW-SECBINFHSA-N 0 0 278.299 2.516 20 5 CFBDRN C[C@H]1CCC[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000835099766 623946436 /nfs/dbraw/zinc/94/64/36/623946436.db2.gz MTPYKVKMOOHOBZ-WDEREUQCSA-N 0 0 257.330 2.944 20 5 CFBDRN CCCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000763538295 623956513 /nfs/dbraw/zinc/95/65/13/623956513.db2.gz YNVVCHXTYDXNNI-SECBINFHSA-N 0 0 254.286 2.669 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)C(F)F)c([N+](=O)[O-])cc1F ZINC000835335335 623969674 /nfs/dbraw/zinc/96/96/74/623969674.db2.gz BUFXAHPMNWNDFV-YFKPBYRVSA-N 0 0 293.197 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3CSC3)n2)s1 ZINC000924004928 623977228 /nfs/dbraw/zinc/97/72/28/623977228.db2.gz IZKSTERXEOLEPM-UHFFFAOYSA-N 0 0 269.307 2.537 20 5 CFBDRN CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1c(C)noc1C ZINC000827395434 623997521 /nfs/dbraw/zinc/99/75/21/623997521.db2.gz JMQWHFAZWBHPKX-NSHDSACASA-N 0 0 297.355 2.694 20 5 CFBDRN C/C=C\CNC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000827493810 624017183 /nfs/dbraw/zinc/01/71/83/624017183.db2.gz WIEWVBCMJVGPNG-ARJAWSKDSA-N 0 0 266.322 2.623 20 5 CFBDRN Cc1nn(CCc2cccc(F)c2)c(C)c1[N+](=O)[O-] ZINC000915322206 624022484 /nfs/dbraw/zinc/02/24/84/624022484.db2.gz NTUFRNQCICJWIK-UHFFFAOYSA-N 0 0 263.272 2.790 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCC(C)(C)C1)[N+](=O)[O-] ZINC000827681516 624063529 /nfs/dbraw/zinc/06/35/29/624063529.db2.gz ZQDBFUQYRVWKQM-JTQLQIEISA-N 0 0 256.346 2.517 20 5 CFBDRN CSc1ccc(C(=O)OC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000193991918 624137830 /nfs/dbraw/zinc/13/78/30/624137830.db2.gz UPZMATAJNULGGI-VIFPVBQESA-N 0 0 297.332 2.510 20 5 CFBDRN CCOCC(C)(C)NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840752809 624140504 /nfs/dbraw/zinc/14/05/04/624140504.db2.gz JLXKUMRCXPZRHX-UHFFFAOYSA-N 0 0 297.380 2.696 20 5 CFBDRN CCCC[C@@H](CC)COC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000194185758 624141422 /nfs/dbraw/zinc/14/14/22/624141422.db2.gz DRNONSXFPRFHNH-LLVKDONJSA-N 0 0 283.328 2.551 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@H]2C[C@H]21 ZINC000828081355 624167138 /nfs/dbraw/zinc/16/71/38/624167138.db2.gz WCSJUJSSJUULRF-TVQRCGJNSA-N 0 0 255.277 2.742 20 5 CFBDRN Cc1nc(C)c(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])o1 ZINC000828206079 624200910 /nfs/dbraw/zinc/20/09/10/624200910.db2.gz OWTYLBHHXXWFBE-UHFFFAOYSA-N 0 0 292.251 2.720 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSC(=S)N1CCCC1 ZINC000915998727 624206129 /nfs/dbraw/zinc/20/61/29/624206129.db2.gz SYSDBSHNRFPKOO-UHFFFAOYSA-N 0 0 283.378 2.604 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000828327513 624229231 /nfs/dbraw/zinc/22/92/31/624229231.db2.gz CRERTMYBWBDGCL-LLVKDONJSA-N 0 0 270.373 2.717 20 5 CFBDRN CN(C)c1ccc(N(C)C(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000828352257 624232180 /nfs/dbraw/zinc/23/21/80/624232180.db2.gz FZNLGMDHIKHTKA-UHFFFAOYSA-N 0 0 293.367 2.551 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC[C@H](C)CCO)c1 ZINC000828349018 624232421 /nfs/dbraw/zinc/23/24/21/624232421.db2.gz NSPCSEGNLGWSJL-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN CC[C@H](C)[C@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])OC ZINC000828492995 624256559 /nfs/dbraw/zinc/25/65/59/624256559.db2.gz XXNKWIBBVKAWOE-CABZTGNLSA-N 0 0 297.311 2.976 20 5 CFBDRN CCCCC[C@H](O)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000828511503 624259449 /nfs/dbraw/zinc/25/94/49/624259449.db2.gz OICYKBVTSFIKBU-RISCZKNCSA-N 0 0 294.351 2.713 20 5 CFBDRN Cc1cc(C(=O)NN2[C@H](C)CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000916585967 624260586 /nfs/dbraw/zinc/26/05/86/624260586.db2.gz LBYBEAOYJIDDPM-VXGBXAGGSA-N 0 0 291.351 2.811 20 5 CFBDRN Cc1ccc(C(=O)OCCC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000828519443 624261033 /nfs/dbraw/zinc/26/10/33/624261033.db2.gz DOSJCJCOZJUOQJ-GFCCVEGCSA-N 0 0 293.319 2.877 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H](C)CCCO)c1 ZINC000828524971 624261835 /nfs/dbraw/zinc/26/18/35/624261835.db2.gz UQAWBWGJJLOOLK-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1noc2c1COCC2 ZINC000916705203 624279916 /nfs/dbraw/zinc/27/99/16/624279916.db2.gz WGQGORFQQJLWDO-UHFFFAOYSA-N 0 0 290.275 2.543 20 5 CFBDRN O=[N+]([O-])c1cccc([C@H](O)COc2cccc(F)c2F)c1 ZINC000154167395 624283076 /nfs/dbraw/zinc/28/30/76/624283076.db2.gz DARDEMDSTMSYRP-GFCCVEGCSA-N 0 0 295.241 2.985 20 5 CFBDRN CCNC(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000888289707 624284693 /nfs/dbraw/zinc/28/46/93/624284693.db2.gz JZWZEBDBEAVPSV-UHFFFAOYSA-N 0 0 257.677 2.698 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000828711339 624289915 /nfs/dbraw/zinc/28/99/15/624289915.db2.gz ASROZGYHGSDFOR-JTQLQIEISA-N 0 0 256.346 2.517 20 5 CFBDRN Nc1ccc(NC(=O)/C=C\c2cccs2)cc1[N+](=O)[O-] ZINC000916826755 624286160 /nfs/dbraw/zinc/28/61/60/624286160.db2.gz NFBLBXHOEAFKDY-XQRVVYSFSA-N 0 0 289.316 2.890 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CC[C@@H](C3CC3)O2)c1 ZINC000916819274 624286818 /nfs/dbraw/zinc/28/68/18/624286818.db2.gz IRAJPLQMKXCVIQ-JSGCOSHPSA-N 0 0 291.303 2.744 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000916842834 624287523 /nfs/dbraw/zinc/28/75/23/624287523.db2.gz QLMCZMCLALCZFY-PWSUYJOCSA-N 0 0 272.308 2.899 20 5 CFBDRN C[C@@]12CN(c3ccc(N)cc3[N+](=O)[O-])C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000828712101 624290167 /nfs/dbraw/zinc/29/01/67/624290167.db2.gz ZCFTWGLLBBRUGP-WNCDQNTKSA-N 0 0 285.347 2.825 20 5 CFBDRN CC/C=C/CNc1c([N+](=O)[O-])cc(CO)c(C)c1[N+](=O)[O-] ZINC000828729867 624292677 /nfs/dbraw/zinc/29/26/77/624292677.db2.gz LZKMUYZBYHRJLN-SNAWJCMRSA-N 0 0 295.295 2.682 20 5 CFBDRN CC(C)(C)OC(=O)C1CN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000828744002 624294916 /nfs/dbraw/zinc/29/49/16/624294916.db2.gz MLQOCBGGCVQZQJ-UHFFFAOYSA-N 0 0 296.298 2.512 20 5 CFBDRN Cc1nn(C)c(N2Cc3ccccc3[C@@H](C)C2)c1[N+](=O)[O-] ZINC000916994024 624298185 /nfs/dbraw/zinc/29/81/85/624298185.db2.gz QRYWYPMNBJBIJS-JTQLQIEISA-N 0 0 286.335 2.760 20 5 CFBDRN Cc1nn(C)c(NC[C@]2(C)CC2(Cl)Cl)c1[N+](=O)[O-] ZINC000828790634 624301748 /nfs/dbraw/zinc/30/17/48/624301748.db2.gz HQONRGSQPAFNFD-VIFPVBQESA-N 0 0 293.154 2.633 20 5 CFBDRN Cc1cnc(OC[C@H]2C[C@@H]3[C@H](C2)C3(F)F)c([N+](=O)[O-])c1 ZINC000917150393 624320006 /nfs/dbraw/zinc/32/00/06/624320006.db2.gz WSONJLNIFLPKQM-ILWJIGKKSA-N 0 0 284.262 2.968 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C[C@@H]1C ZINC000828903770 624326762 /nfs/dbraw/zinc/32/67/62/624326762.db2.gz JRNHNZSXWCAPSH-BONVTDFDSA-N 0 0 292.335 2.659 20 5 CFBDRN C/C(=C\C(=O)OCCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000917337769 624332107 /nfs/dbraw/zinc/33/21/07/624332107.db2.gz QDRRZJPALVGBBJ-ZHACJKMWSA-N 0 0 291.303 2.873 20 5 CFBDRN CC(C)CC(=O)OCSc1ccc([N+](=O)[O-])cn1 ZINC000917347553 624333617 /nfs/dbraw/zinc/33/36/17/624333617.db2.gz ULZZZQMYPHUTGX-UHFFFAOYSA-N 0 0 270.310 2.629 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]([C@@H](CO)C1CC1)C1CC1 ZINC000828946666 624337954 /nfs/dbraw/zinc/33/79/54/624337954.db2.gz HMVJVKZKPRNXAB-SMDDNHRTSA-N 0 0 294.326 2.943 20 5 CFBDRN CC(C)[C@@H]1CCN1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000829046294 624360360 /nfs/dbraw/zinc/36/03/60/624360360.db2.gz BNFIUTDHAMPJDG-LBPRGKRZSA-N 0 0 289.335 2.714 20 5 CFBDRN COc1cc(OCOC(=O)CC(C)C)ccc1[N+](=O)[O-] ZINC000917598263 624367714 /nfs/dbraw/zinc/36/77/14/624367714.db2.gz JJFQBVDJQZAQHJ-UHFFFAOYSA-N 0 0 283.280 2.529 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[S@](=O)C(C)(C)CC1 ZINC000829139829 624383726 /nfs/dbraw/zinc/38/37/26/624383726.db2.gz KKCRTSLMJFNDLC-FQEVSTJZSA-N 0 0 296.392 2.641 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NC[C@H]1C=CCC1 ZINC000829157981 624387909 /nfs/dbraw/zinc/38/79/09/624387909.db2.gz WEYDHXOUNRKJCZ-NSHDSACASA-N 0 0 292.310 2.749 20 5 CFBDRN CSCCCSCc1cccnc1[N+](=O)[O-] ZINC000917836614 624387949 /nfs/dbraw/zinc/38/79/49/624387949.db2.gz HRMTUZLSGTYWCF-UHFFFAOYSA-N 0 0 258.368 2.976 20 5 CFBDRN CCc1nc(CNc2cc(OC)c(F)cc2[N+](=O)[O-])co1 ZINC000829183658 624393726 /nfs/dbraw/zinc/39/37/26/624393726.db2.gz JUBBWKSYDBLTFJ-UHFFFAOYSA-N 0 0 295.270 2.905 20 5 CFBDRN CCc1ncc(COc2cc(OC)ccc2[N+](=O)[O-])o1 ZINC000917914221 624395059 /nfs/dbraw/zinc/39/50/59/624395059.db2.gz JHIXQSHOVFMXHB-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)Cc2ccccc21 ZINC000917933388 624396639 /nfs/dbraw/zinc/39/66/39/624396639.db2.gz QUHATRDJLWXHMI-SNVBAGLBSA-N 0 0 285.303 2.682 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCC(F)CC1 ZINC000829198869 624397144 /nfs/dbraw/zinc/39/71/44/624397144.db2.gz DGLSKBKCYAOSSI-ZIAGYGMSSA-N 0 0 292.310 2.659 20 5 CFBDRN CCc1ncc(CNc2cccc(F)c2[N+](=O)[O-])o1 ZINC000829213234 624401693 /nfs/dbraw/zinc/40/16/93/624401693.db2.gz RNJCLPLQEPWZKP-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000232895236 624412679 /nfs/dbraw/zinc/41/26/79/624412679.db2.gz UTMHUYRUXSJNRY-MRVPVSSYSA-N 0 0 266.272 2.510 20 5 CFBDRN Cc1cc(=O)n(C[C@@]2(C)CC2(Cl)Cl)cc1[N+](=O)[O-] ZINC000829305538 624413945 /nfs/dbraw/zinc/41/39/45/624413945.db2.gz KFCMIHSDUIYQBQ-SNVBAGLBSA-N 0 0 291.134 2.649 20 5 CFBDRN Cc1ccc(C)c(OCCCn2ccnc2[N+](=O)[O-])c1 ZINC000918344217 624433154 /nfs/dbraw/zinc/43/31/54/624433154.db2.gz ZPDPBQNBLMWUMT-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN O=[N+]([O-])c1nccn1CCC(Cl)(Cl)Cl ZINC000918377422 624433816 /nfs/dbraw/zinc/43/38/16/624433816.db2.gz HONZWQPPUCVUBV-UHFFFAOYSA-N 0 0 258.492 2.552 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC[C@@H]1C[C@H]1C1CC1 ZINC000829477295 624441166 /nfs/dbraw/zinc/44/11/66/624441166.db2.gz KZNRUTQKBURMNR-UWVGGRQHSA-N 0 0 277.280 2.961 20 5 CFBDRN Cc1cc(N2C[C@H](C)C[C@H](O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000829546495 624454092 /nfs/dbraw/zinc/45/40/92/624454092.db2.gz BWYXXKZVIIEFGR-SCZZXKLOSA-N 0 0 284.743 2.764 20 5 CFBDRN Cc1ccc(N2CC[C@@H](CCCO)C2)c([N+](=O)[O-])c1 ZINC000829576203 624457106 /nfs/dbraw/zinc/45/71/06/624457106.db2.gz AUOOAGOZAXFHTI-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1nn(C[C@]2(C)CC2(Cl)Cl)cc1[N+](=O)[O-] ZINC000829602552 624466179 /nfs/dbraw/zinc/46/61/79/624466179.db2.gz YINIPCUWRKVNQN-QMMMGPOBSA-N 0 0 264.112 2.684 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000918863462 624505767 /nfs/dbraw/zinc/50/57/67/624505767.db2.gz SIWYMMRGKZKRFB-ZETCQYMHSA-N 0 0 285.683 2.773 20 5 CFBDRN CCCCCOC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000918892587 624508880 /nfs/dbraw/zinc/50/88/80/624508880.db2.gz AXLJATWLPHAPKK-UHFFFAOYSA-N 0 0 281.264 2.671 20 5 CFBDRN O=C(CSC(F)F)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000918987082 624514195 /nfs/dbraw/zinc/51/41/95/624514195.db2.gz WLIPELWHTAFUCV-UHFFFAOYSA-N 0 0 295.238 2.733 20 5 CFBDRN Cc1cc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)c(C)[nH]1 ZINC000919091271 624522906 /nfs/dbraw/zinc/52/29/06/624522906.db2.gz VHNDUICALLIORF-UHFFFAOYSA-N 0 0 288.303 2.939 20 5 CFBDRN CCC[C@H](C)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000919229543 624537402 /nfs/dbraw/zinc/53/74/02/624537402.db2.gz RYEDOMZSCJQVAY-NSHDSACASA-N 0 0 281.308 2.953 20 5 CFBDRN Cc1ccccc1[C@H](C)n1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829838393 624562404 /nfs/dbraw/zinc/56/24/04/624562404.db2.gz WVXBBEJRDLQKOG-VIFPVBQESA-N 0 0 276.252 2.617 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000919425752 624572781 /nfs/dbraw/zinc/57/27/81/624572781.db2.gz GGAJEBCGXVNHKX-JIMOISOXSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])OC/C=C\Cl ZINC000919492595 624581014 /nfs/dbraw/zinc/58/10/14/624581014.db2.gz CNKCRKOYDYWIPY-CLTKARDFSA-N 0 0 285.683 2.659 20 5 CFBDRN CCC(F)(F)COC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000851489422 634392686 /nfs/dbraw/zinc/39/26/86/634392686.db2.gz GRBCXQMTCWCVNK-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN Cc1c(OC(=O)OC2CCC2)cccc1[N+](=O)[O-] ZINC000830087405 624618861 /nfs/dbraw/zinc/61/88/61/624618861.db2.gz IEAUBCKGKXVAEA-UHFFFAOYSA-N 0 0 251.238 2.971 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H](CO)Cc1ccco1 ZINC000919963259 624651198 /nfs/dbraw/zinc/65/11/98/624651198.db2.gz SFKGXHYURRYCQX-SNVBAGLBSA-N 0 0 294.282 2.590 20 5 CFBDRN C[C@H](CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000920028721 624659385 /nfs/dbraw/zinc/65/93/85/624659385.db2.gz COVGDHQWOGAIOF-VCFDLTMXSA-N 0 0 274.320 2.770 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000920125827 624683392 /nfs/dbraw/zinc/68/33/92/624683392.db2.gz GGOZOECCLPEJPV-VIFPVBQESA-N 0 0 266.297 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCOC[C@@H]1C1CCC1 ZINC000830240261 624683432 /nfs/dbraw/zinc/68/34/32/624683432.db2.gz OIOGDLSOOWISEM-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CCC/C(C)=C\C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000920221533 624700799 /nfs/dbraw/zinc/70/07/99/624700799.db2.gz IQVRECXCKTXVFC-LUAWRHEFSA-N 0 0 262.309 2.957 20 5 CFBDRN COc1ccccc1[C@@H](O)CNc1ccsc1[N+](=O)[O-] ZINC000920339803 624714158 /nfs/dbraw/zinc/71/41/58/624714158.db2.gz LWKXHZWHNIKHRJ-NSHDSACASA-N 0 0 294.332 2.810 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(Cl)n(CC3CC3)n2)c1 ZINC000920412188 624719440 /nfs/dbraw/zinc/71/94/40/624719440.db2.gz NSBLPZNVGDYOLO-UHFFFAOYSA-N 0 0 278.699 2.917 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCC(C)C ZINC000830360839 624722160 /nfs/dbraw/zinc/72/21/60/624722160.db2.gz VARPQWVDXMFBRW-UHFFFAOYSA-N 0 0 255.245 2.855 20 5 CFBDRN CSCCOC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830368976 624723918 /nfs/dbraw/zinc/72/39/18/624723918.db2.gz DREIWAYPDIPNHX-UHFFFAOYSA-N 0 0 273.285 2.562 20 5 CFBDRN COCC[C@H](C)OC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830369936 624724633 /nfs/dbraw/zinc/72/46/33/624724633.db2.gz RKFRMZINMSCJGM-QMMMGPOBSA-N 0 0 285.271 2.624 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C[C@@H](C)C1CC1 ZINC000920438291 624729027 /nfs/dbraw/zinc/72/90/27/624729027.db2.gz YDODSPKLQOSOIW-SNVBAGLBSA-N 0 0 292.335 2.656 20 5 CFBDRN Cc1cc(COC(=O)c2cc([N+](=O)[O-])cn2C)c(C)s1 ZINC000920516219 624738614 /nfs/dbraw/zinc/73/86/14/624738614.db2.gz AHGSFUZJBLPUEJ-UHFFFAOYSA-N 0 0 294.332 2.969 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000920580451 624743973 /nfs/dbraw/zinc/74/39/73/624743973.db2.gz WEONUWPPWOFPOV-UHFFFAOYSA-N 0 0 274.276 2.631 20 5 CFBDRN O=C(NCCOc1ccc([N+](=O)[O-])cc1)OC1CCCC1 ZINC000830445006 624747327 /nfs/dbraw/zinc/74/73/27/624747327.db2.gz FFUATUSJNXQYCR-UHFFFAOYSA-N 0 0 294.307 2.642 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC(OC(C)(C)C)C1 ZINC000830541927 624775616 /nfs/dbraw/zinc/77/56/16/624775616.db2.gz HWDRMGPJVNULFB-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(C(=O)O[C@@H]2CCCCC2=O)cc([N+](=O)[O-])c1 ZINC000920903334 624781796 /nfs/dbraw/zinc/78/17/96/624781796.db2.gz QTICQURLVLHHMJ-CYBMUJFWSA-N 0 0 277.276 2.572 20 5 CFBDRN Cc1nc(C)c(C(=O)OCc2cccc([N+](=O)[O-])c2)o1 ZINC000174902989 624794679 /nfs/dbraw/zinc/79/46/79/624794679.db2.gz XDSOYJGRYHMJDD-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000921060156 624803932 /nfs/dbraw/zinc/80/39/32/624803932.db2.gz FDERBMGOVSGZOJ-UHFFFAOYSA-N 0 0 298.642 2.682 20 5 CFBDRN O=C(C=C1CCCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000921287856 624835867 /nfs/dbraw/zinc/83/58/67/624835867.db2.gz GCQHZCIUEZKIEZ-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN CCCN(C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000921365347 624848934 /nfs/dbraw/zinc/84/89/34/624848934.db2.gz KHLGHWXQNORXHP-SNVBAGLBSA-N 0 0 265.313 2.707 20 5 CFBDRN CCOCCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000233737486 624955241 /nfs/dbraw/zinc/95/52/41/624955241.db2.gz UXDVSZARTGNTFR-UHFFFAOYSA-N 0 0 262.668 2.836 20 5 CFBDRN Cc1nc(C)c(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000175611503 624958302 /nfs/dbraw/zinc/95/83/02/624958302.db2.gz XMYJQEOWGCAVLI-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN CC(C)(CCC(=O)NCc1cccc2[nH]ccc21)[N+](=O)[O-] ZINC000831169057 624967877 /nfs/dbraw/zinc/96/78/77/624967877.db2.gz JGMVYLNWXAXPPB-UHFFFAOYSA-N 0 0 289.335 2.620 20 5 CFBDRN CCCCOC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000068375469 624992879 /nfs/dbraw/zinc/99/28/79/624992879.db2.gz QKQQGKXJWZNCTD-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2ccccc2)c(N)c([N+](=O)[O-])c1 ZINC000068509735 625004831 /nfs/dbraw/zinc/00/48/31/625004831.db2.gz AIDMHWUVWDDNOX-LLVKDONJSA-N 0 0 299.330 2.976 20 5 CFBDRN O=C(NCCC1CC=CC1)c1csc([N+](=O)[O-])c1 ZINC000831449616 625024584 /nfs/dbraw/zinc/02/45/84/625024584.db2.gz JOWOEHTWISELHY-UHFFFAOYSA-N 0 0 266.322 2.742 20 5 CFBDRN CCC(F)(F)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000831702429 625099892 /nfs/dbraw/zinc/09/98/92/625099892.db2.gz ORMMGJBROMHRPP-QMMMGPOBSA-N 0 0 272.251 2.817 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1nccn1C ZINC000851579258 634443490 /nfs/dbraw/zinc/44/34/90/634443490.db2.gz DOZQVXLDNBBPKX-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CON(C(=O)c1cc([N+](=O)[O-])ccc1F)C1CCCC1 ZINC000832169170 625237395 /nfs/dbraw/zinc/23/73/95/625237395.db2.gz WSECBROBMMGDTJ-UHFFFAOYSA-N 0 0 282.271 2.680 20 5 CFBDRN CC(C)OCCOC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000070166496 625276621 /nfs/dbraw/zinc/27/66/21/625276621.db2.gz NSDOPMQHQWXZOX-UHFFFAOYSA-N 0 0 287.699 2.830 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2c(F)cccc2[N+](=O)[O-])CCS1 ZINC000834626416 625742391 /nfs/dbraw/zinc/74/23/91/625742391.db2.gz JDCIPDWCERPHLS-BDAKNGLRSA-N 0 0 298.339 2.748 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCc1ccnn1C ZINC000834646042 625752966 /nfs/dbraw/zinc/75/29/66/625752966.db2.gz VGXSXJGLUMLCDY-UHFFFAOYSA-N 0 0 289.339 2.761 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCCn1cccn1 ZINC000834646124 625754014 /nfs/dbraw/zinc/75/40/14/625754014.db2.gz YMCSIKOQTKTWPN-UHFFFAOYSA-N 0 0 289.339 2.724 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000834704822 625793948 /nfs/dbraw/zinc/79/39/48/625793948.db2.gz HITFAAIXHPVEPF-ZYHUDNBSSA-N 0 0 294.376 2.538 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000834707511 625796204 /nfs/dbraw/zinc/79/62/04/625796204.db2.gz VXAJFTGMRKUYHI-ONGXEEELSA-N 0 0 280.349 2.609 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1ncoc1C ZINC000808008931 625987733 /nfs/dbraw/zinc/98/77/33/625987733.db2.gz NBFAANMYVZBVHT-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCCCC(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000202465911 626041633 /nfs/dbraw/zinc/04/16/33/626041633.db2.gz DWCGOIKCIXTYBM-UHFFFAOYSA-N 0 0 265.265 2.511 20 5 CFBDRN CC[C@@H](C)COC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000756372110 626172765 /nfs/dbraw/zinc/17/27/65/626172765.db2.gz GSESVIVUUGIVCF-LLVKDONJSA-N 0 0 281.308 2.953 20 5 CFBDRN COc1ccc(C=O)c(OCc2ccc([N+](=O)[O-])cc2)c1 ZINC000011952731 626223131 /nfs/dbraw/zinc/22/31/31/626223131.db2.gz JYBIGEDFQKXVKL-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN O=C(OCCOC1CC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000922425916 626288358 /nfs/dbraw/zinc/28/83/58/626288358.db2.gz FCGAFHKQPZYBES-UHFFFAOYSA-N 0 0 285.683 2.584 20 5 CFBDRN Cc1ccc(CN[C@@H](c2ncccn2)C2CC2)cc1[N+](=O)[O-] ZINC000922581334 626316700 /nfs/dbraw/zinc/31/67/00/626316700.db2.gz HYPLMCDRFNPUDR-OAHLLOKOSA-N 0 0 298.346 2.934 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H](c2ncccn2)C2CC2)cc1 ZINC000922583535 626317868 /nfs/dbraw/zinc/31/78/68/626317868.db2.gz UVBAJHWLRUKVRE-AWEZNQCLSA-N 0 0 284.319 2.626 20 5 CFBDRN COc1ccc(COC(=O)CCC(C)(C)[N+](=O)[O-])cc1C ZINC000922770208 626361760 /nfs/dbraw/zinc/36/17/60/626361760.db2.gz HCBIPKWPZYXUHF-UHFFFAOYSA-N 0 0 295.335 2.882 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000923056903 626447850 /nfs/dbraw/zinc/44/78/50/626447850.db2.gz DSENKZMGAIXSPD-NOZJJQNGSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1CCCO1 ZINC000923064797 626448486 /nfs/dbraw/zinc/44/84/86/626448486.db2.gz XZPPOKVHGRCSMU-NOZJJQNGSA-N 0 0 297.282 2.592 20 5 CFBDRN CC[C@](C)(CNC(=S)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000840791576 626485105 /nfs/dbraw/zinc/48/51/05/626485105.db2.gz HVMCCFKHKHSKKY-CYBMUJFWSA-N 0 0 297.380 2.696 20 5 CFBDRN C[C@@H](C(=O)OCCOc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000838389313 626495044 /nfs/dbraw/zinc/49/50/44/626495044.db2.gz NLRIYGVMXPSZPY-LLVKDONJSA-N 0 0 293.319 2.953 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccc([N+](=O)[O-])cc1 ZINC000838421602 626496839 /nfs/dbraw/zinc/49/68/39/626496839.db2.gz PSQZWCUTAJYICF-VKKKGTNTSA-N 0 0 291.303 2.767 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2cc(C)ccc2[N+](=O)[O-])C1(C)C ZINC000839100908 626524811 /nfs/dbraw/zinc/52/48/11/626524811.db2.gz CQVAJYKTKZRPLG-OLZOCXBDSA-N 0 0 293.319 2.874 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(C2)CCCOC3)cc1Cl ZINC000839138701 626528312 /nfs/dbraw/zinc/52/83/12/626528312.db2.gz RXHHGHGYTHANAM-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1COc1cccnc1[N+](=O)[O-] ZINC000103349519 626529999 /nfs/dbraw/zinc/52/99/99/626529999.db2.gz DJOBWSDUJASAPT-UHFFFAOYSA-N 0 0 293.210 2.616 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)C1 ZINC000839224686 626531626 /nfs/dbraw/zinc/53/16/26/626531626.db2.gz PMDIZHMAPVPCES-MWLCHTKSSA-N 0 0 296.298 2.878 20 5 CFBDRN CC[C@](C)(NC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000839290974 626532934 /nfs/dbraw/zinc/53/29/34/626532934.db2.gz DDYUBSWRNSOCJP-JTQLQIEISA-N 0 0 284.278 2.669 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CSC1CC1 ZINC000839312039 626533511 /nfs/dbraw/zinc/53/35/11/626533511.db2.gz RIDOOTRIDJIRJH-UHFFFAOYSA-N 0 0 267.306 2.704 20 5 CFBDRN Cc1ccc(COC(=O)[C@H](F)C(F)(F)F)cc1[N+](=O)[O-] ZINC000839396934 626535377 /nfs/dbraw/zinc/53/53/77/626535377.db2.gz ZILXDBUZAMZJMX-VIFPVBQESA-N 0 0 295.188 2.847 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc2ccccc21 ZINC000839454222 626537347 /nfs/dbraw/zinc/53/73/47/626537347.db2.gz FHILLGKKEBABSE-COLVAYQJSA-N 0 0 284.315 2.682 20 5 CFBDRN O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1C[C@@H]2C[C@H]1CS2 ZINC000839453732 626537430 /nfs/dbraw/zinc/53/74/30/626537430.db2.gz ZLLWQECQMHWILR-IUCAKERBSA-N 0 0 298.751 2.578 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000839481670 626537733 /nfs/dbraw/zinc/53/77/33/626537733.db2.gz XFZROLRMSMMHFP-GRYCIOLGSA-N 0 0 282.727 2.573 20 5 CFBDRN CN(CC(F)F)c1c(F)cc([N+](=O)[O-])cc1F ZINC000749320359 626540666 /nfs/dbraw/zinc/54/06/66/626540666.db2.gz PNNKRZFQLJNRBS-UHFFFAOYSA-N 0 0 252.167 2.574 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)[C@H](O)c1ccccc1 ZINC000808130247 626540897 /nfs/dbraw/zinc/54/08/97/626540897.db2.gz JXQCFCDDTDHOJW-KWQFWETISA-N 0 0 279.321 2.585 20 5 CFBDRN CC(C)(C)OCCCOC(=O)c1ccc([N+](=O)[O-])cc1N ZINC000757018710 626543560 /nfs/dbraw/zinc/54/35/60/626543560.db2.gz ISLAYCNUXOGUQV-UHFFFAOYSA-N 0 0 296.323 2.539 20 5 CFBDRN CCCN(C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])[C@@H]1CCc2ccccc21 ZINC000839599677 626547607 /nfs/dbraw/zinc/54/76/07/626547607.db2.gz PUYIOAUXXXULIF-RBSFLKMASA-N 0 0 288.347 2.578 20 5 CFBDRN CC[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(Cl)s1 ZINC000839684021 626552155 /nfs/dbraw/zinc/55/21/55/626552155.db2.gz MIOWGUKNAGUCIF-BWZBUEFSSA-N 0 0 288.756 2.634 20 5 CFBDRN COc1ccc(C(=O)N(OC)C2CCCC2)cc1[N+](=O)[O-] ZINC000757657848 626557426 /nfs/dbraw/zinc/55/74/26/626557426.db2.gz RHVAYRUEKUKIEP-UHFFFAOYSA-N 0 0 294.307 2.550 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(OC)C2CCCC2)c1 ZINC000757659609 626557427 /nfs/dbraw/zinc/55/74/27/626557427.db2.gz XWXMZPMLECNHRK-UHFFFAOYSA-N 0 0 294.307 2.550 20 5 CFBDRN COCC[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000757975988 626571197 /nfs/dbraw/zinc/57/11/97/626571197.db2.gz NNFWMFVNDQGWHU-ZDUSSCGKSA-N 0 0 299.298 2.952 20 5 CFBDRN O=C(OC[C@H]1CC12CCOCC2)c1ccc([N+](=O)[O-])cc1 ZINC000758029058 626573886 /nfs/dbraw/zinc/57/38/86/626573886.db2.gz QTHMAHKBYUUTIU-GFCCVEGCSA-N 0 0 291.303 2.568 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CC(C)(C)OC ZINC000758486732 626595650 /nfs/dbraw/zinc/59/56/50/626595650.db2.gz QEGSCIVCWTZWAH-UHFFFAOYSA-N 0 0 282.340 2.602 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OC/C=C/Cl ZINC000758736638 626605925 /nfs/dbraw/zinc/60/59/25/626605925.db2.gz NXOUQSUXUNODMD-DAFODLJHSA-N 0 0 294.694 2.914 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1cncc(F)c1 ZINC000759430758 626657671 /nfs/dbraw/zinc/65/76/71/626657671.db2.gz NWYPKZWCJFYQDQ-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000759479901 626664049 /nfs/dbraw/zinc/66/40/49/626664049.db2.gz YFQFKZYTRYHHFF-UHFFFAOYSA-N 0 0 265.265 2.511 20 5 CFBDRN CC(C)COCCOC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000759712716 626686653 /nfs/dbraw/zinc/68/66/53/626686653.db2.gz IMKMJEIIBOMLDH-UHFFFAOYSA-N 0 0 285.271 2.563 20 5 CFBDRN C[C@H](CC(=O)NCCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000759870049 626698561 /nfs/dbraw/zinc/69/85/61/626698561.db2.gz LQVVEFNCZNKCDV-LLVKDONJSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)CC(=O)O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000759929778 626702678 /nfs/dbraw/zinc/70/26/78/626702678.db2.gz IMKOSCJDHHCTKU-VXGBXAGGSA-N 0 0 295.339 2.864 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])[C@@H]1CC12CCC2 ZINC000759977611 626706265 /nfs/dbraw/zinc/70/62/65/626706265.db2.gz PYKRMRHWUXJMDP-NSHDSACASA-N 0 0 291.303 2.707 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@H]1CC12CCC2 ZINC000759976980 626706325 /nfs/dbraw/zinc/70/63/25/626706325.db2.gz JZUNQUDFKMSDQB-CYBMUJFWSA-N 0 0 275.304 2.871 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H](C)C1CCOCC1 ZINC000760017478 626709494 /nfs/dbraw/zinc/70/94/94/626709494.db2.gz OTRPVYONGWFTQL-LLVKDONJSA-N 0 0 293.319 2.871 20 5 CFBDRN CCc1noc(C)c1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760019305 626709595 /nfs/dbraw/zinc/70/95/95/626709595.db2.gz RSYRXFODZWJQJI-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Oc2c(C)cccc2[N+](=O)[O-])O1 ZINC000760020243 626709720 /nfs/dbraw/zinc/70/97/20/626709720.db2.gz WHVIPDJQIYKAPY-JQWIXIFHSA-N 0 0 279.292 2.766 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H](C)OCC1CC1 ZINC000760018485 626709798 /nfs/dbraw/zinc/70/97/98/626709798.db2.gz QYFXHWSTBJBRNT-JTQLQIEISA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc(CC(=O)Oc2c(C)cccc2[N+](=O)[O-])o1 ZINC000760021819 626710197 /nfs/dbraw/zinc/71/01/97/626710197.db2.gz FAPFFNKIVRKNFZ-UHFFFAOYSA-N 0 0 275.260 2.953 20 5 CFBDRN C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000760310157 626732304 /nfs/dbraw/zinc/73/23/04/626732304.db2.gz GXSMAXUCNNXCEC-VIFPVBQESA-N 0 0 274.280 2.806 20 5 CFBDRN O=C(OCc1ncc(C2CC2)o1)c1cccc([N+](=O)[O-])c1 ZINC000760458336 626747802 /nfs/dbraw/zinc/74/78/02/626747802.db2.gz NIHCBPYAPCVZCT-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCSCc2ccccc2)nc1 ZINC000760459858 626747834 /nfs/dbraw/zinc/74/78/34/626747834.db2.gz UBXOVYPZHFRGOJ-UHFFFAOYSA-N 0 0 290.348 2.730 20 5 CFBDRN O=C(OCc1ncc(C2CC2)o1)c1csc([N+](=O)[O-])c1 ZINC000760493622 626750724 /nfs/dbraw/zinc/75/07/24/626750724.db2.gz CLMQVUMSRZWREJ-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN O=C(OC[C@@H]1CCCOC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000760719663 626766691 /nfs/dbraw/zinc/76/66/91/626766691.db2.gz QUSLVJHCXHSDBY-SECBINFHSA-N 0 0 299.710 2.832 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000761060642 626796069 /nfs/dbraw/zinc/79/60/69/626796069.db2.gz FWMWRCJTUXPYSX-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN COCC1(N(C)Cc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000761102932 626804905 /nfs/dbraw/zinc/80/49/05/626804905.db2.gz SVSZZTACRJHHNR-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CC1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000761430286 626833666 /nfs/dbraw/zinc/83/36/66/626833666.db2.gz CCLPEALDSHOESD-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN COC[C@@H]1CC[N@H+](Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000761512903 626841652 /nfs/dbraw/zinc/84/16/52/626841652.db2.gz ZXSJDOWHFYXSLR-LLVKDONJSA-N 0 0 284.743 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ccon2)cc1Cl ZINC000761528059 626843036 /nfs/dbraw/zinc/84/30/36/626843036.db2.gz YDOFADAUCBKLNZ-UHFFFAOYSA-N 0 0 267.672 2.526 20 5 CFBDRN COCCc1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000761790842 626858737 /nfs/dbraw/zinc/85/87/37/626858737.db2.gz DEMLTQSMUMXVBQ-UHFFFAOYSA-N 0 0 264.306 2.907 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cn1)c1ccc(C)cc1 ZINC000761915165 626871948 /nfs/dbraw/zinc/87/19/48/626871948.db2.gz LDJZWOCQVIKASQ-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc([N+](=O)[O-])cn2)cc1C ZINC000762063957 626885572 /nfs/dbraw/zinc/88/55/72/626885572.db2.gz DHPUWQRHYLVHFR-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])cn2)[C@H](C)C1 ZINC000762089357 626889360 /nfs/dbraw/zinc/88/93/60/626889360.db2.gz YPRCLGLQDGGRAN-BREBYQMCSA-N 0 0 278.308 2.971 20 5 CFBDRN Cc1cc(C(=O)OCc2cnccc2C)cc([N+](=O)[O-])c1 ZINC000762118557 626893303 /nfs/dbraw/zinc/89/33/03/626893303.db2.gz CRDQVNUJJDRHJP-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(OC[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000762151138 626898754 /nfs/dbraw/zinc/89/87/54/626898754.db2.gz PGIWLJYYFIKISA-SECBINFHSA-N 0 0 267.306 2.505 20 5 CFBDRN O=C1O[C@H](COc2ccc([N+](=O)[O-])cc2Cl)CC12CC2 ZINC000762186793 626902218 /nfs/dbraw/zinc/90/22/18/626902218.db2.gz ZJZKQSIQPMTYKQ-VIFPVBQESA-N 0 0 297.694 2.723 20 5 CFBDRN Cc1nc(NC(=O)c2scnc2Cl)ccc1[N+](=O)[O-] ZINC000762341385 626911141 /nfs/dbraw/zinc/91/11/41/626911141.db2.gz KTYBHYMHSNVBPS-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN Cc1ccncc1COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000762351675 626911515 /nfs/dbraw/zinc/91/15/15/626911515.db2.gz HEOLQVBKFURRBN-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(COC1CCCC1)OCCc1ccccc1[N+](=O)[O-] ZINC000762705433 626931585 /nfs/dbraw/zinc/93/15/85/626931585.db2.gz ICODUNZEGUJIJV-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN COc1cc(C(=O)OCCCCC(C)=O)ccc1[N+](=O)[O-] ZINC000762878544 626946350 /nfs/dbraw/zinc/94/63/50/626946350.db2.gz GFCCLAXUSLJLOG-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000762904818 626948587 /nfs/dbraw/zinc/94/85/87/626948587.db2.gz WLQNDISYCNIISN-MRVPVSSYSA-N 0 0 290.275 2.601 20 5 CFBDRN O=C(OCc1ccccn1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000762900432 626948722 /nfs/dbraw/zinc/94/87/22/626948722.db2.gz TYLFKMWLQUHMQK-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C/C(Cl)=C/Cl ZINC000763185351 626969341 /nfs/dbraw/zinc/96/93/41/626969341.db2.gz ADGGBNLSKLQVTP-DJWKRKHSSA-N 0 0 283.498 2.729 20 5 CFBDRN Cc1cnc(COC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000763221275 626971637 /nfs/dbraw/zinc/97/16/37/626971637.db2.gz TVJVRSBRLCDSFT-SNVBAGLBSA-N 0 0 290.275 2.738 20 5 CFBDRN CCn1cc(OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000763346175 626982147 /nfs/dbraw/zinc/98/21/47/626982147.db2.gz CMUFZAOCECOJNF-JTQLQIEISA-N 0 0 289.291 2.520 20 5 CFBDRN C[C@@H](C(=O)OCC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000763394725 626985165 /nfs/dbraw/zinc/98/51/65/626985165.db2.gz SGZLYDQNYAPUHX-RISCZKNCSA-N 0 0 293.319 2.811 20 5 CFBDRN CSCCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763402543 626985849 /nfs/dbraw/zinc/98/58/49/626985849.db2.gz JXJBOAHBTLEXRN-VIFPVBQESA-N 0 0 269.322 2.605 20 5 CFBDRN C[C@H](OC(=O)[C@H]1C[C@@]12CCOC2)c1ccc([N+](=O)[O-])cc1 ZINC000763427322 626988386 /nfs/dbraw/zinc/98/83/86/626988386.db2.gz YICHZROLGWTBDG-PSOPSSQASA-N 0 0 291.303 2.626 20 5 CFBDRN COC(=O)c1ccc(OC(=O)CC(C)(C)C)cc1[N+](=O)[O-] ZINC000763710417 627007575 /nfs/dbraw/zinc/00/75/75/627007575.db2.gz UQSZVKQZNGSQIV-UHFFFAOYSA-N 0 0 295.291 2.723 20 5 CFBDRN CC1(COC(=O)COc2ccccc2[N+](=O)[O-])CCC1 ZINC000764123713 627040611 /nfs/dbraw/zinc/04/06/11/627040611.db2.gz DHVMWXGINKZYET-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)ncn1 ZINC000764272973 627049135 /nfs/dbraw/zinc/04/91/35/627049135.db2.gz LCMKNSZMEASWSC-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)C2CC2)c([N+](=O)[O-])cc1OC ZINC000764384103 627054959 /nfs/dbraw/zinc/05/49/59/627054959.db2.gz QURFIXHDZDBCQP-MRVPVSSYSA-N 0 0 295.291 2.567 20 5 CFBDRN Cc1cnc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)nc1 ZINC000764391664 627056469 /nfs/dbraw/zinc/05/64/69/627056469.db2.gz JYZPMNGCMOVUOS-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@]12CCOC2)c1ccccc1[N+](=O)[O-] ZINC000764761727 627090928 /nfs/dbraw/zinc/09/09/28/627090928.db2.gz RFCXLNSRDKBEGQ-WBIUFABUSA-N 0 0 291.303 2.626 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2COc3ccccc3C2)n1 ZINC000765455891 627139710 /nfs/dbraw/zinc/13/97/10/627139710.db2.gz KNQVNLRUDHDIRQ-LBPRGKRZSA-N 0 0 299.330 2.961 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(Oc3ccccc3)C2)n1 ZINC000765491127 627141915 /nfs/dbraw/zinc/14/19/15/627141915.db2.gz RRDHXPUGSXRRAP-UHFFFAOYSA-N 0 0 285.303 2.566 20 5 CFBDRN CC(C)(O)CCOC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000765497663 627142863 /nfs/dbraw/zinc/14/28/63/627142863.db2.gz RWLPZPJHLFGYCB-UHFFFAOYSA-N 0 0 287.699 2.566 20 5 CFBDRN CCc1cc(C[NH2+][C@H](C)c2ccc([N+](=O)[O-])cc2)[nH]n1 ZINC000766004933 627170622 /nfs/dbraw/zinc/17/06/22/627170622.db2.gz UHVRWSQKRALCBN-SNVBAGLBSA-N 0 0 274.324 2.731 20 5 CFBDRN O=C(OC[C@@H]1CCCOC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000766291797 627191590 /nfs/dbraw/zinc/19/15/90/627191590.db2.gz SRZRDFYGNQQNKG-SECBINFHSA-N 0 0 299.710 2.832 20 5 CFBDRN O=C(COc1ccc(Cl)nc1)c1ccc([N+](=O)[O-])cc1 ZINC000766383881 627198601 /nfs/dbraw/zinc/19/86/01/627198601.db2.gz CQQKYNGPJHYPSR-UHFFFAOYSA-N 0 0 292.678 2.905 20 5 CFBDRN COc1ccc(OC(=O)c2cc(C)sn2)cc1[N+](=O)[O-] ZINC000766960278 627228872 /nfs/dbraw/zinc/22/88/72/627228872.db2.gz ZGCVWCOAOAIIAC-UHFFFAOYSA-N 0 0 294.288 2.588 20 5 CFBDRN CCCC[C@@H](CCC)OC(=O)c1c([N+](=O)[O-])cnn1C ZINC000766971051 627229094 /nfs/dbraw/zinc/22/90/94/627229094.db2.gz GSIQIUPECRXHJO-SNVBAGLBSA-N 0 0 283.328 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1cccc(F)n1)CCN2 ZINC000767312827 627243112 /nfs/dbraw/zinc/24/31/12/627243112.db2.gz CYCURNJFQVSBIC-UHFFFAOYSA-N 0 0 288.282 2.709 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@@H](O)c1cccc(F)c1 ZINC000767368716 627246060 /nfs/dbraw/zinc/24/60/60/627246060.db2.gz XTXZVWAMMIHHMH-CYBMUJFWSA-N 0 0 295.241 2.985 20 5 CFBDRN CS/C=C/C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000767653458 627269022 /nfs/dbraw/zinc/26/90/22/627269022.db2.gz TZXOQTAYRXKVQE-AATRIKPKSA-N 0 0 271.269 2.654 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)OCc1cccc([N+](=O)[O-])c1 ZINC000110254888 634563376 /nfs/dbraw/zinc/56/33/76/634563376.db2.gz QWWOFUMYDOSTFL-AWEZNQCLSA-N 0 0 293.319 2.987 20 5 CFBDRN C[C@@H]1C[N@H+](C)[C@@H](C)CN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000768773760 627370885 /nfs/dbraw/zinc/37/08/85/627370885.db2.gz PTIONFZKFNKIOV-WDEREUQCSA-N 0 0 297.786 2.773 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000768896566 627384840 /nfs/dbraw/zinc/38/48/40/627384840.db2.gz KOVDCARQAZMSNV-JTQLQIEISA-N 0 0 298.364 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(C(F)F)C2)c(Cl)c1 ZINC000769351767 627427887 /nfs/dbraw/zinc/42/78/87/627427887.db2.gz VGPCOEAABDIMBW-UHFFFAOYSA-N 0 0 262.643 2.950 20 5 CFBDRN COc1cc(C)nc(CN2CCc3cc([N+](=O)[O-])ccc32)c1 ZINC000769562988 627456534 /nfs/dbraw/zinc/45/65/34/627456534.db2.gz PFDFERRLMGTFSB-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN O=C(Cc1ccco1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000769657227 627463693 /nfs/dbraw/zinc/46/36/93/627463693.db2.gz GHMPHJIMFOIMRK-UHFFFAOYSA-N 0 0 282.202 2.647 20 5 CFBDRN C[C@H]1COCCN1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000770010193 627496287 /nfs/dbraw/zinc/49/62/87/627496287.db2.gz ZZKOPUMQFKEAFQ-QMMMGPOBSA-N 0 0 299.714 2.501 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc3nccn32)cc1[N+](=O)[O-] ZINC000770056601 627498886 /nfs/dbraw/zinc/49/88/86/627498886.db2.gz DRGVLKYHQPRRDM-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000770224365 627508802 /nfs/dbraw/zinc/50/88/02/627508802.db2.gz ZJQRDSWLKDMKTK-GKQMSVHHSA-N 0 0 293.348 2.944 20 5 CFBDRN Cc1cnc([C@@H](C)Oc2ccc([N+](=O)[O-])cc2C=O)o1 ZINC000770682414 627541080 /nfs/dbraw/zinc/54/10/80/627541080.db2.gz ZDQRDBVZJZXYTL-SECBINFHSA-N 0 0 276.248 2.844 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H](C)C[C@@H]2CCOC2)c1 ZINC000771234569 627586975 /nfs/dbraw/zinc/58/69/75/627586975.db2.gz FYMRMYYBARUEEV-ZJUUUORDSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cccnc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771479630 627607707 /nfs/dbraw/zinc/60/77/07/627607707.db2.gz BRSBFTOPXSUVHW-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN O=C(CCc1ccco1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771487061 627608431 /nfs/dbraw/zinc/60/84/31/627608431.db2.gz RQBJNOWHTVXGFX-UHFFFAOYSA-N 0 0 279.223 2.865 20 5 CFBDRN C[C@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)c1cccnc1 ZINC000771488152 627608433 /nfs/dbraw/zinc/60/84/33/627608433.db2.gz IGOUWFFMXJJRNB-VIFPVBQESA-N 0 0 290.250 2.838 20 5 CFBDRN CO[C@@H](CNc1ncc(Cl)cc1[N+](=O)[O-])C(F)(F)F ZINC000771916733 627646941 /nfs/dbraw/zinc/64/69/41/627646941.db2.gz FCBHOZGAUBKANN-ZETCQYMHSA-N 0 0 299.636 2.632 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H](O)c1cccnc1 ZINC000771922106 627648481 /nfs/dbraw/zinc/64/84/81/627648481.db2.gz LPHJDZFGSQEYJL-ZDUSSCGKSA-N 0 0 293.710 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2cccnc2)c(Cl)c1 ZINC000771923733 627649069 /nfs/dbraw/zinc/64/90/69/627649069.db2.gz WMLTYOIZNQPTMZ-ZDUSSCGKSA-N 0 0 293.710 2.789 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000772247405 627690843 /nfs/dbraw/zinc/69/08/43/627690843.db2.gz XBXWVYXXCRDCOO-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1cnc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)nc1 ZINC000772304556 627699455 /nfs/dbraw/zinc/69/94/55/627699455.db2.gz RSLNJDIIUIHKPW-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000772320069 627700987 /nfs/dbraw/zinc/70/09/87/627700987.db2.gz ZVTSWXDZMNRVQL-GHMZBOCLSA-N 0 0 294.376 2.917 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000772320073 627701131 /nfs/dbraw/zinc/70/11/31/627701131.db2.gz ZVTSWXDZMNRVQL-WDEREUQCSA-N 0 0 294.376 2.917 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000772504450 627715702 /nfs/dbraw/zinc/71/57/02/627715702.db2.gz YJGPNHZWUWJJHO-RYUDHWBXSA-N 0 0 263.293 2.930 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000772554533 627721385 /nfs/dbraw/zinc/72/13/85/627721385.db2.gz GSFZHVPHZDJATI-GXFFZTMASA-N 0 0 279.292 2.768 20 5 CFBDRN CC/C=C/COC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000772643904 627730110 /nfs/dbraw/zinc/73/01/10/627730110.db2.gz KWVPXQVDKBIJPE-QPJJXVBHSA-N 0 0 278.308 2.540 20 5 CFBDRN CN(CC(=O)OCC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000772657886 627732124 /nfs/dbraw/zinc/73/21/24/627732124.db2.gz GATCMIOPXLKHGL-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)C1(F)CCCC1 ZINC000772787300 627745164 /nfs/dbraw/zinc/74/51/64/627745164.db2.gz BWDHMWVZKIKZIB-UHFFFAOYSA-N 0 0 267.256 2.920 20 5 CFBDRN C[C@@H]1COCCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000772908778 627758303 /nfs/dbraw/zinc/75/83/03/627758303.db2.gz FFTLPUJVYMUAJQ-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN CC1(OCC(=O)OCCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000773210902 627795683 /nfs/dbraw/zinc/79/56/83/627795683.db2.gz FFUHEAPYOCQRJE-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN COCC1(C)CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000773253797 627799452 /nfs/dbraw/zinc/79/94/52/627799452.db2.gz ZOYVGFBHMFHQNK-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN C/C=C(/C)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773989437 627876612 /nfs/dbraw/zinc/87/66/12/627876612.db2.gz NKMYJANUAMRVSZ-WTKPLQERSA-N 0 0 260.293 2.759 20 5 CFBDRN COCCCCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773991279 627876953 /nfs/dbraw/zinc/87/69/53/627876953.db2.gz VRWJIYPTEXQNBT-UHFFFAOYSA-N 0 0 292.335 2.609 20 5 CFBDRN C[C@H](OC(=O)c1nccs1)c1ccc([N+](=O)[O-])cc1 ZINC000774068896 627884525 /nfs/dbraw/zinc/88/45/25/627884525.db2.gz UVFMYPKODMKJPH-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN CCC(C)(C)NC(=S)NCc1ccccc1[N+](=O)[O-] ZINC000774154947 627894838 /nfs/dbraw/zinc/89/48/38/627894838.db2.gz DMHQZMWYNSQKIS-UHFFFAOYSA-N 0 0 281.381 2.748 20 5 CFBDRN Cc1ccc(OC(=O)c2nccs2)cc1[N+](=O)[O-] ZINC000774158154 627895469 /nfs/dbraw/zinc/89/54/69/627895469.db2.gz QUTRFVBUKBTVDO-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1(C)CCC1)CC2 ZINC000774222836 627905251 /nfs/dbraw/zinc/90/52/51/627905251.db2.gz MSRNDLSOVUIDEP-UHFFFAOYSA-N 0 0 274.320 2.983 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccc(O)cc3C2)cc1 ZINC000774536213 627935947 /nfs/dbraw/zinc/93/59/47/627935947.db2.gz KWPFGQMQQFQZBA-UHFFFAOYSA-N 0 0 270.288 2.863 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000774851327 627971107 /nfs/dbraw/zinc/97/11/07/627971107.db2.gz QBPRGTCTMLNSDR-OCCSQVGLSA-N 0 0 277.320 2.973 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCCCCCCO)n1 ZINC000775218670 628000065 /nfs/dbraw/zinc/00/00/65/628000065.db2.gz RZNZOVLNQQQHGX-UHFFFAOYSA-N 0 0 282.340 2.928 20 5 CFBDRN Nc1ccc(C(=O)Nc2cccc3cc[nH]c32)cc1[N+](=O)[O-] ZINC000775289598 628007406 /nfs/dbraw/zinc/00/74/06/628007406.db2.gz XRALTFHSXLPQPU-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCC(=O)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000775492496 628031528 /nfs/dbraw/zinc/03/15/28/628031528.db2.gz PHCAJPOOCGYFSS-GHMZBOCLSA-N 0 0 291.303 2.814 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H]1COC2(CCCC2)O1 ZINC000775683038 628054703 /nfs/dbraw/zinc/05/47/03/628054703.db2.gz PRPZKTBXEUENRA-VIFPVBQESA-N 0 0 284.337 2.754 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ccn(C(F)F)n1 ZINC000775936635 628085576 /nfs/dbraw/zinc/08/55/76/628085576.db2.gz DONAJRFHYUDJTA-UHFFFAOYSA-N 0 0 297.217 2.543 20 5 CFBDRN Cc1nnc(SC[C@@H](O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000776272899 628125161 /nfs/dbraw/zinc/12/51/61/628125161.db2.gz YUJXLOOGLIOVTR-SNVBAGLBSA-N 0 0 297.361 2.580 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)COc2ccccc2F)cc1 ZINC000776278893 628126055 /nfs/dbraw/zinc/12/60/55/628126055.db2.gz VCLIJSRPSDZPFW-ZDUSSCGKSA-N 0 0 277.251 2.846 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000776311002 628130281 /nfs/dbraw/zinc/13/02/81/628130281.db2.gz WMXJVGMZTWXOPS-VHSXEESVSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1ccc(OC(=O)c2coc(C3CC3)n2)cc1[N+](=O)[O-] ZINC000776879955 628184168 /nfs/dbraw/zinc/18/41/68/628184168.db2.gz WAIZICKFIPNRQX-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN CSc1cccc(C(=O)Nc2cc(C)on2)c1[N+](=O)[O-] ZINC000777231438 628210319 /nfs/dbraw/zinc/21/03/19/628210319.db2.gz XMBTZIZOGXLLLG-UHFFFAOYSA-N 0 0 293.304 2.865 20 5 CFBDRN Cc1ccc(COC(=O)Cc2cccc([N+](=O)[O-])c2)cn1 ZINC000777332659 628215572 /nfs/dbraw/zinc/21/55/72/628215572.db2.gz DWCMLXAEFCWHHS-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000777345346 628216777 /nfs/dbraw/zinc/21/67/77/628216777.db2.gz RSKFXEUMDJXYOS-VIFPVBQESA-N 0 0 299.348 2.899 20 5 CFBDRN O=C(OCCc1ccoc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000777379216 628218731 /nfs/dbraw/zinc/21/87/31/628218731.db2.gz FXYVYPVARDXING-UHFFFAOYSA-N 0 0 279.223 2.726 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC000777838542 628255466 /nfs/dbraw/zinc/25/54/66/628255466.db2.gz OFDUSGQLDRAYHL-GFCCVEGCSA-N 0 0 262.265 2.534 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@H](C)[C@H](F)C2)c([N+](=O)[O-])c1 ZINC000778000992 628272028 /nfs/dbraw/zinc/27/20/28/628272028.db2.gz RSLZMARLRPTVGR-JOYOIKCWSA-N 0 0 280.299 2.982 20 5 CFBDRN Cc1cnc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)o1 ZINC000778018439 628272998 /nfs/dbraw/zinc/27/29/98/628272998.db2.gz BDYYZIDLRKPERE-UHFFFAOYSA-N 0 0 297.217 2.622 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)COC(C)(C)C)CC2 ZINC000778071577 628275374 /nfs/dbraw/zinc/27/53/74/628275374.db2.gz FTCFXLWERWAXOG-UHFFFAOYSA-N 0 0 292.335 2.607 20 5 CFBDRN O=C(OCc1ccc(Cl)cn1)c1ccc([N+](=O)[O-])o1 ZINC000778076887 628276335 /nfs/dbraw/zinc/27/63/35/628276335.db2.gz HIWSVMQMWUYYBD-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN CCC1(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)COC1 ZINC000778095438 628276982 /nfs/dbraw/zinc/27/69/82/628276982.db2.gz MUBREGDHPXFSAW-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN CC(C)(C)CCOC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000778145053 628280229 /nfs/dbraw/zinc/28/02/29/628280229.db2.gz MVEWPZBHPGOALC-UHFFFAOYSA-N 0 0 266.297 2.770 20 5 CFBDRN CC(C)COC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778165756 628282325 /nfs/dbraw/zinc/28/23/25/628282325.db2.gz JHPDKWYOPTZHGE-UHFFFAOYSA-N 0 0 273.235 2.886 20 5 CFBDRN COCCC[C@@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000778195441 628285938 /nfs/dbraw/zinc/28/59/38/628285938.db2.gz KCUOZAMHBRHOML-SNVBAGLBSA-N 0 0 299.298 2.840 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000778222650 628289103 /nfs/dbraw/zinc/28/91/03/628289103.db2.gz ABMRUEGUGCLWBI-SSDOTTSWSA-N 0 0 294.336 2.518 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778273561 628293651 /nfs/dbraw/zinc/29/36/51/628293651.db2.gz PVHQERCYMLACHL-SNVBAGLBSA-N 0 0 298.289 2.849 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000778359621 628299147 /nfs/dbraw/zinc/29/91/47/628299147.db2.gz OHRVLYKBURKPPY-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H]1CCO[C@H](C)C1 ZINC000778363833 628299700 /nfs/dbraw/zinc/29/97/00/628299700.db2.gz FLPHHUSLXQNTDW-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN CCCCC(=O)OCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000778742876 628328772 /nfs/dbraw/zinc/32/87/72/628328772.db2.gz PNYPYWBZSADGBN-UHFFFAOYSA-N 0 0 267.281 2.837 20 5 CFBDRN CCO[C@@H](C)C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778771738 628330954 /nfs/dbraw/zinc/33/09/54/628330954.db2.gz BUXWYYNHBOJWGZ-MNOVXSKESA-N 0 0 281.308 2.932 20 5 CFBDRN CC(C)=CC(=O)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778788909 628331668 /nfs/dbraw/zinc/33/16/68/628331668.db2.gz WGVZHXQXYKMASB-CQSZACIVSA-N 0 0 290.319 2.683 20 5 CFBDRN CCC1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCOCC1 ZINC000778884243 628338942 /nfs/dbraw/zinc/33/89/42/628338942.db2.gz MHFRQLATFNXOBB-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000778898999 628339286 /nfs/dbraw/zinc/33/92/86/628339286.db2.gz LAPOTOUSUNDDMJ-SNVBAGLBSA-N 0 0 291.259 2.589 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000779266988 628367172 /nfs/dbraw/zinc/36/71/72/628367172.db2.gz XGRALROTLOIYJA-GXFFZTMASA-N 0 0 263.293 2.869 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)C1 ZINC000779271629 628367961 /nfs/dbraw/zinc/36/79/61/628367961.db2.gz VHQVQVDPPORKEU-IUCAKERBSA-N 0 0 293.275 2.669 20 5 CFBDRN Cc1nn(C[N@H+]2CC[C@H](C)[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000779860357 628414678 /nfs/dbraw/zinc/41/46/78/628414678.db2.gz XOWXUYUKIJOINO-UBHSHLNASA-N 0 0 292.383 2.958 20 5 CFBDRN CCC1(C)CCN(Cn2cc([N+](=O)[O-])c(C)n2)CC1 ZINC000779858692 628414956 /nfs/dbraw/zinc/41/49/56/628414956.db2.gz CTUGVVCOJAMAJO-UHFFFAOYSA-N 0 0 266.345 2.569 20 5 CFBDRN Cc1cccc(Cl)c1OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000779953905 628421123 /nfs/dbraw/zinc/42/11/23/628421123.db2.gz YPKAYLFCNMGNHE-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780075308 628430556 /nfs/dbraw/zinc/43/05/56/628430556.db2.gz JVVLBDCTSQBJAL-MRVPVSSYSA-N 0 0 253.254 2.646 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC[C@H]2CCC=CO2)cc1 ZINC000780219725 628440192 /nfs/dbraw/zinc/44/01/92/628440192.db2.gz QSVCWJWAQWSHFP-GFCCVEGCSA-N 0 0 293.348 2.574 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCCC(C)(C)C2)n1 ZINC000780450511 628465658 /nfs/dbraw/zinc/46/56/58/628465658.db2.gz OCRDIKKZLZQWHC-SNVBAGLBSA-N 0 0 295.339 2.702 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)O[C@@H]1C=CCC1 ZINC000780556073 628474869 /nfs/dbraw/zinc/47/48/69/628474869.db2.gz BZAOSIMELYBNTH-CYBMUJFWSA-N 0 0 261.277 2.789 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)C=C1CCC1 ZINC000780633527 628483884 /nfs/dbraw/zinc/48/38/84/628483884.db2.gz BUTLXXGDNJLGAH-UHFFFAOYSA-N 0 0 291.303 2.936 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC000780728859 628489873 /nfs/dbraw/zinc/48/98/73/628489873.db2.gz HKKOGINXZXBOSD-GFCCVEGCSA-N 0 0 291.307 2.717 20 5 CFBDRN Cc1cc(COC(=O)/C=C\C2CC2)ccc1[N+](=O)[O-] ZINC000781170579 628528764 /nfs/dbraw/zinc/52/87/64/628528764.db2.gz TUKKXKAGHVCHAE-ALCCZGGFSA-N 0 0 261.277 2.913 20 5 CFBDRN CC(C)(C)CCCOC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000781202494 628532503 /nfs/dbraw/zinc/53/25/03/628532503.db2.gz PTROBBYSRKHRSQ-UHFFFAOYSA-N 0 0 281.312 2.555 20 5 CFBDRN Cc1c(COC(=O)[C@]2(C)CCCOC2)cccc1[N+](=O)[O-] ZINC000781290159 628538729 /nfs/dbraw/zinc/53/87/29/628538729.db2.gz ZTEOAQPKSLRVJD-OAHLLOKOSA-N 0 0 293.319 2.763 20 5 CFBDRN COc1ccc(COC(=O)c2coc(C)c2)cc1[N+](=O)[O-] ZINC000781382028 628544554 /nfs/dbraw/zinc/54/45/54/628544554.db2.gz YNUGARDZEFBPFM-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1nn(C)c(C(=O)OCC2CCCCCC2)c1[N+](=O)[O-] ZINC000781481643 628552938 /nfs/dbraw/zinc/55/29/38/628552938.db2.gz LZCFYIUPGRLBNL-UHFFFAOYSA-N 0 0 295.339 2.764 20 5 CFBDRN Nc1ncc([N+](=O)[O-])cc1C(=O)OCCC1CCCCC1 ZINC000781667850 628571542 /nfs/dbraw/zinc/57/15/42/628571542.db2.gz FQMYVBKNAKEVOG-UHFFFAOYSA-N 0 0 293.323 2.699 20 5 CFBDRN CC(C)COC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000781734754 628576458 /nfs/dbraw/zinc/57/64/58/628576458.db2.gz GRQXBWHVTTWLHY-UHFFFAOYSA-N 0 0 296.279 2.635 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCCOCC2)c([N+](=O)[O-])c1 ZINC000781987287 628595332 /nfs/dbraw/zinc/59/53/32/628595332.db2.gz ONKXLOQWBVKMHC-NSHDSACASA-N 0 0 279.292 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(Oc3cccnc3F)c2c1 ZINC000782148648 628607749 /nfs/dbraw/zinc/60/77/49/628607749.db2.gz HSFUTQCULYABCI-UHFFFAOYSA-N 0 0 286.222 2.864 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000782324212 628628594 /nfs/dbraw/zinc/62/85/94/628628594.db2.gz FTEFDVMUADDTKQ-YVMONPNESA-N 0 0 286.287 2.722 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000782329441 628629038 /nfs/dbraw/zinc/62/90/38/628629038.db2.gz GXZDOQXRRCEGFL-XQRVVYSFSA-N 0 0 290.250 2.819 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H](O)C1CCCCC1 ZINC000782368713 628632808 /nfs/dbraw/zinc/63/28/08/628632808.db2.gz FXLBMLSHHSREMJ-CQSZACIVSA-N 0 0 293.319 2.579 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)n1C ZINC000782571485 628644937 /nfs/dbraw/zinc/64/49/37/628644937.db2.gz LFJCKZUXVRFHFL-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OC1CC1 ZINC000783188392 628683787 /nfs/dbraw/zinc/68/37/87/628683787.db2.gz LUPQSUREUBMEBS-QMMMGPOBSA-N 0 0 267.306 2.781 20 5 CFBDRN C/C(=C\C(=O)NCc1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000783694653 628735866 /nfs/dbraw/zinc/73/58/66/628735866.db2.gz OBQIHHSDEXRTFF-ZRDIBKRKSA-N 0 0 297.314 2.710 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C(F)(F)F ZINC000783926292 628755931 /nfs/dbraw/zinc/75/59/31/628755931.db2.gz ZAGSPRHIZNRDCZ-LURJTMIESA-N 0 0 295.188 2.976 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)CC2CC2)cc1[N+](=O)[O-] ZINC000783959110 628758116 /nfs/dbraw/zinc/75/81/16/628758116.db2.gz GDWUDODANIUMCT-UHFFFAOYSA-N 0 0 291.303 2.683 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](F)C(C)C ZINC000784024405 628764919 /nfs/dbraw/zinc/76/49/19/628764919.db2.gz NNVKDVXJVZJJHV-LLVKDONJSA-N 0 0 254.261 2.836 20 5 CFBDRN C/C(=C\C(=O)Nc1ncc(C)o1)c1ccc([N+](=O)[O-])cc1 ZINC000784056861 628769889 /nfs/dbraw/zinc/76/98/89/628769889.db2.gz VWHCHDXEENTICD-VQHVLOKHSA-N 0 0 287.275 2.933 20 5 CFBDRN CC(C)[C@@H](F)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000784072828 628772169 /nfs/dbraw/zinc/77/21/69/628772169.db2.gz GVWLGDWZOIQCOX-SNVBAGLBSA-N 0 0 258.224 2.667 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CO1 ZINC000784084852 628773809 /nfs/dbraw/zinc/77/38/09/628773809.db2.gz YGJLCDZFXVBIFL-YUMQZZPRSA-N 0 0 284.699 2.612 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1CO[C@@H](C)C1 ZINC000784278248 628798271 /nfs/dbraw/zinc/79/82/71/628798271.db2.gz LUSUVZWPAQMHCG-SDDRHHMPSA-N 0 0 293.319 2.932 20 5 CFBDRN CC1(OCC(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCC1 ZINC000784297831 628801710 /nfs/dbraw/zinc/80/17/10/628801710.db2.gz IGIGCJBAHYDUNK-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000784310367 628804343 /nfs/dbraw/zinc/80/43/43/628804343.db2.gz LTCLMZTZKGCFAG-GFCCVEGCSA-N 0 0 285.271 2.511 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000784434876 628816861 /nfs/dbraw/zinc/81/68/61/628816861.db2.gz HBWOYTMWJPRPKN-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000784525403 628824482 /nfs/dbraw/zinc/82/44/82/628824482.db2.gz DXFUXCKVVOLUHI-GFCCVEGCSA-N 0 0 269.272 2.675 20 5 CFBDRN CO[C@H](C)CCNC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784638159 628833045 /nfs/dbraw/zinc/83/30/45/628833045.db2.gz JTSVCFXEDUOGIV-HCRIHEDKSA-N 0 0 292.335 2.539 20 5 CFBDRN C/C(=C\C(=O)N1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000784693115 628837948 /nfs/dbraw/zinc/83/79/48/628837948.db2.gz ZXNGXQFNMSKPJE-CSKARUKUSA-N 0 0 296.273 2.866 20 5 CFBDRN C/C(=C\C(=O)O[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000784887031 628848980 /nfs/dbraw/zinc/84/89/80/628848980.db2.gz LHYKQEVBQDEQRA-CFRMROPGSA-N 0 0 291.303 2.720 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])cnn1 ZINC000784890594 628849155 /nfs/dbraw/zinc/84/91/55/628849155.db2.gz VASDRVTWXCSNRA-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN O=C(COC(=O)c1cccc([N+](=O)[O-])c1)Cc1ccccc1 ZINC000784996749 628858042 /nfs/dbraw/zinc/85/80/42/628858042.db2.gz JCWOWFCUAMHEQY-UHFFFAOYSA-N 0 0 299.282 2.563 20 5 CFBDRN CC(C)/C=C\C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000785069813 628864069 /nfs/dbraw/zinc/86/40/69/628864069.db2.gz KWIIGEUWVSBPIX-BAQGIRSFSA-N 0 0 263.293 2.893 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CCC(C)(C)O2)cccc1[N+](=O)[O-] ZINC000785233487 628872336 /nfs/dbraw/zinc/87/23/36/628872336.db2.gz PZTYAWCZFMWSRZ-CYBMUJFWSA-N 0 0 293.319 2.904 20 5 CFBDRN CC1(C)CC[C@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])O1 ZINC000785268858 628874730 /nfs/dbraw/zinc/87/47/30/628874730.db2.gz XPOWUVDIAHHWHR-GFCCVEGCSA-N 0 0 297.282 2.735 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H](C)N(C)C)n1 ZINC000785585504 628895442 /nfs/dbraw/zinc/89/54/42/628895442.db2.gz YEJFJKXMZHIOGO-VIFPVBQESA-N 0 0 276.296 2.576 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(C)(C)F)c1 ZINC000785918632 628922860 /nfs/dbraw/zinc/92/28/60/628922860.db2.gz FGUNGISNGLZOQF-UHFFFAOYSA-N 0 0 255.245 2.808 20 5 CFBDRN CC(C)(F)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000785923968 628923816 /nfs/dbraw/zinc/92/38/16/628923816.db2.gz MNDFNJKPTMKZFB-YVMONPNESA-N 0 0 267.256 2.899 20 5 CFBDRN CSc1cnc(NCCc2cccc([N+](=O)[O-])c2)nc1 ZINC000786801173 628979943 /nfs/dbraw/zinc/97/99/43/628979943.db2.gz PWHRLPNRZNMOOS-UHFFFAOYSA-N 0 0 290.348 2.761 20 5 CFBDRN Nc1ccc(N2Cc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000786806645 628980481 /nfs/dbraw/zinc/98/04/81/628980481.db2.gz WRRYUEVEEARFRV-UHFFFAOYSA-N 0 0 255.277 2.697 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1CCCOCC1 ZINC000787100442 628993403 /nfs/dbraw/zinc/99/34/03/628993403.db2.gz SMHRUUUYPKKLOD-SNVBAGLBSA-N 0 0 285.321 2.516 20 5 CFBDRN COC(C)(C)CC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000787129112 628995398 /nfs/dbraw/zinc/99/53/98/628995398.db2.gz IJYRGXKOGDMQJR-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN CC(C)[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000787307527 629006286 /nfs/dbraw/zinc/00/62/86/629006286.db2.gz MBBUYXHIYSIQDV-NSHDSACASA-N 0 0 291.351 2.685 20 5 CFBDRN CNc1ccc(C(=O)OCCC(C)(C)OC)cc1[N+](=O)[O-] ZINC000787566524 629019051 /nfs/dbraw/zinc/01/90/51/629019051.db2.gz FGGZNADKPODZSW-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN CC(=O)OCCCSCc1c(F)cccc1[N+](=O)[O-] ZINC000788514371 629077438 /nfs/dbraw/zinc/07/74/38/629077438.db2.gz UNNGITUNBLHRQS-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN COc1ccc(CSCCCOC(C)=O)cc1[N+](=O)[O-] ZINC000788513790 629077618 /nfs/dbraw/zinc/07/76/18/629077618.db2.gz MKGGZXHCQPVJJL-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN Cn1nccc1CCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000788855277 629104908 /nfs/dbraw/zinc/10/49/08/629104908.db2.gz UDVULZNWMBUTFU-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CC[C@H](C)O1 ZINC000789015700 629117230 /nfs/dbraw/zinc/11/72/30/629117230.db2.gz NHYRCYZQHJNSRP-QWRGUYRKSA-N 0 0 279.292 2.628 20 5 CFBDRN C/C=C(/CC)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000789238060 629139850 /nfs/dbraw/zinc/13/98/50/629139850.db2.gz PNFJIJPDCDGREN-JYOAFUTRSA-N 0 0 279.292 2.873 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC=C(c2ccccc2)C1 ZINC000789547047 629165096 /nfs/dbraw/zinc/16/50/96/629165096.db2.gz XSSHCVAVUSHIME-UHFFFAOYSA-N 0 0 284.319 2.538 20 5 CFBDRN C[C@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000789601588 629171745 /nfs/dbraw/zinc/17/17/45/629171745.db2.gz QHSKSFVZMMDNDF-SNVBAGLBSA-N 0 0 263.293 2.727 20 5 CFBDRN Cc1cc(N)nc(S/C=C\c2ccc([N+](=O)[O-])o2)n1 ZINC000789890915 629194995 /nfs/dbraw/zinc/19/49/95/629194995.db2.gz YMMDIYRMUUNIQU-PLNGDYQASA-N 0 0 278.293 2.631 20 5 CFBDRN CCn1nccc1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000790140103 629213093 /nfs/dbraw/zinc/21/30/93/629213093.db2.gz QOWBFTVZVSPSCD-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN CCOC1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000790370893 629235533 /nfs/dbraw/zinc/23/55/33/629235533.db2.gz QCKJHYGTOJYQAF-UHFFFAOYSA-N 0 0 293.319 2.987 20 5 CFBDRN CCc1cncc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c1 ZINC000790653955 629255573 /nfs/dbraw/zinc/25/55/73/629255573.db2.gz VXBNRHKIRRWYNI-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)OCC1=CCCC1 ZINC000790822594 629266833 /nfs/dbraw/zinc/26/68/33/629266833.db2.gz GDZQESLSPCXRRS-UHFFFAOYSA-N 0 0 290.319 2.928 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@@H]1c1cccc(Br)c1 ZINC000791051648 629283828 /nfs/dbraw/zinc/28/38/28/629283828.db2.gz HPSRPUFXCLOBBL-GFCCVEGCSA-N 0 0 299.168 2.863 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000791111881 629287691 /nfs/dbraw/zinc/28/76/91/629287691.db2.gz KZKYYZGSDGDUCK-SECBINFHSA-N 0 0 298.339 2.525 20 5 CFBDRN CC[C@@H](C)CC(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000791498824 629322069 /nfs/dbraw/zinc/32/20/69/629322069.db2.gz SFHLRVKKPJPACX-LLVKDONJSA-N 0 0 281.308 2.953 20 5 CFBDRN COC1(CC(=O)OCCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000791516795 629325411 /nfs/dbraw/zinc/32/54/11/629325411.db2.gz HIUDXBQLHXAALP-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCCCCF ZINC000791537615 629329010 /nfs/dbraw/zinc/32/90/10/629329010.db2.gz HBISLQLTALJZGZ-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN Cc1cccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000835047833 629345318 /nfs/dbraw/zinc/34/53/18/629345318.db2.gz LBKZJDQTPWEKCZ-UHFFFAOYSA-N 0 0 251.282 2.736 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)C1CC1 ZINC000791681942 629345845 /nfs/dbraw/zinc/34/58/45/629345845.db2.gz WFIFJJNDOFFSQC-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000835070411 629368540 /nfs/dbraw/zinc/36/85/40/629368540.db2.gz UREJHXJVKMZLLI-PSASIEDQSA-N 0 0 265.265 2.555 20 5 CFBDRN CC(C)(CCC(=O)OCc1cccc(F)c1)[N+](=O)[O-] ZINC000835081406 629379283 /nfs/dbraw/zinc/37/92/83/629379283.db2.gz DNFZYEVWFPMCQN-UHFFFAOYSA-N 0 0 269.272 2.704 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1=CCCC1 ZINC000792020356 629388189 /nfs/dbraw/zinc/38/81/89/629388189.db2.gz LOFHOTCMXFFLKM-UHFFFAOYSA-N 0 0 292.247 2.657 20 5 CFBDRN COc1ccc(OC(=O)c2ncccc2C)cc1[N+](=O)[O-] ZINC000792116929 629399330 /nfs/dbraw/zinc/39/93/30/629399330.db2.gz UFUSRRSJNANURI-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000835104346 629410396 /nfs/dbraw/zinc/41/03/96/629410396.db2.gz SZRSECCOKLEPPO-VXNVDRBHSA-N 0 0 271.219 2.854 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000835106339 629412861 /nfs/dbraw/zinc/41/28/61/629412861.db2.gz JOEFWICQVZVJSM-ZMEKEXAASA-N 0 0 291.303 2.792 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1cnc(C2CC2)nc1 ZINC000835114061 629418713 /nfs/dbraw/zinc/41/87/13/629418713.db2.gz LHERECBTTKSUST-UHFFFAOYSA-N 0 0 299.286 2.619 20 5 CFBDRN O=C(CCC(=O)c1cccc([N+](=O)[O-])c1)OCCC1CC1 ZINC000835187405 629504269 /nfs/dbraw/zinc/50/42/69/629504269.db2.gz FCIORRLSWZOFLU-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN CN(CCOC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000835198777 629514188 /nfs/dbraw/zinc/51/41/88/629514188.db2.gz CFHAEWCABCDAMD-UHFFFAOYSA-N 0 0 294.351 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC([C@H]3CCOC3)C2)cc1Cl ZINC000793258929 629515812 /nfs/dbraw/zinc/51/58/12/629515812.db2.gz QCQBQHYIVRCFFR-NSHDSACASA-N 0 0 296.754 2.717 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C12CCC2 ZINC000835229840 629542718 /nfs/dbraw/zinc/54/27/18/629542718.db2.gz PYQNQGGWVDARBI-NWDGAFQWSA-N 0 0 299.367 2.713 20 5 CFBDRN O=C(CCCC(=O)C1CC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000835236729 629549569 /nfs/dbraw/zinc/54/95/69/629549569.db2.gz NWJASYQWOMIOSN-UHFFFAOYSA-N 0 0 295.266 2.789 20 5 CFBDRN CO[C@]1(C)C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1(C)C ZINC000835239808 629552499 /nfs/dbraw/zinc/55/24/99/629552499.db2.gz LUTBRPHKZSVRNF-IINYFYTJSA-N 0 0 287.356 2.569 20 5 CFBDRN Cc1ccc(OC(=O)CSC(F)F)cc1[N+](=O)[O-] ZINC000835250251 629563850 /nfs/dbraw/zinc/56/38/50/629563850.db2.gz XBXYRDDHYIORLV-UHFFFAOYSA-N 0 0 277.248 2.764 20 5 CFBDRN O=C(CCC(=O)c1cccc([N+](=O)[O-])c1)O[C@@H]1C=CCC1 ZINC000835283236 629594995 /nfs/dbraw/zinc/59/49/95/629594995.db2.gz DFIQTRMRAGUJHR-CYBMUJFWSA-N 0 0 289.287 2.820 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NOC/C=C\Cl ZINC000794291316 629631854 /nfs/dbraw/zinc/63/18/54/629631854.db2.gz RYBUAFNIVVBAAQ-DYYJZLOQSA-N 0 0 296.710 2.798 20 5 CFBDRN O=C(OC[C@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000806051874 629639168 /nfs/dbraw/zinc/63/91/68/629639168.db2.gz AZWKUZKSFFSTKI-SNVBAGLBSA-N 0 0 281.333 2.895 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N(CC1CC1)C1CCC1 ZINC000794392251 629643447 /nfs/dbraw/zinc/64/34/47/629643447.db2.gz UBYCARNXXCMLEI-UHFFFAOYSA-N 0 0 289.335 2.582 20 5 CFBDRN COc1cc(OCc2ncc(Cl)n2C)ccc1[N+](=O)[O-] ZINC000794451545 629647820 /nfs/dbraw/zinc/64/78/20/629647820.db2.gz YTOAXFCECIGKQL-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN CSC1(COC(=O)CCC(C)(C)[N+](=O)[O-])CCC1 ZINC000835397125 629685814 /nfs/dbraw/zinc/68/58/14/629685814.db2.gz LTPWJSMKLDCHAN-UHFFFAOYSA-N 0 0 275.370 2.651 20 5 CFBDRN CCc1ccc(C(=O)NOC[C@H](C)CC)cc1[N+](=O)[O-] ZINC000794854236 629695226 /nfs/dbraw/zinc/69/52/26/629695226.db2.gz QFOQQEJYJVSWOW-SNVBAGLBSA-N 0 0 280.324 2.865 20 5 CFBDRN Cc1cnc(N2CCC(F)(F)CC2)c([N+](=O)[O-])c1 ZINC000795275138 629755885 /nfs/dbraw/zinc/75/58/85/629755885.db2.gz XZPTUOBEDVUUFU-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN CC1(C(=O)COC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000795341233 629763427 /nfs/dbraw/zinc/76/34/27/629763427.db2.gz BLBDLUBUWYTVCZ-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN CC1(C(=O)COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1 ZINC000795345881 629764862 /nfs/dbraw/zinc/76/48/62/629764862.db2.gz DXCAWOQOEAVPKT-QPJJXVBHSA-N 0 0 289.287 2.520 20 5 CFBDRN Cc1nsc(C)c1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835478393 629765708 /nfs/dbraw/zinc/76/57/08/629765708.db2.gz OTOBBXQMFQVOQX-UHFFFAOYSA-N 0 0 286.353 2.639 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2O[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000835481033 629769353 /nfs/dbraw/zinc/76/93/53/629769353.db2.gz SNJQUEJUMXLOPN-JTNHKYCSSA-N 0 0 293.319 2.760 20 5 CFBDRN CCOCCCC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835484406 629773528 /nfs/dbraw/zinc/77/35/28/629773528.db2.gz ULJKTEKPIDDHQJ-UHFFFAOYSA-N 0 0 281.308 2.763 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000835483495 629774527 /nfs/dbraw/zinc/77/45/27/629774527.db2.gz JOVRJZDQQCMWFZ-NSHDSACASA-N 0 0 281.333 2.700 20 5 CFBDRN CS[C@@H](C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835483270 629774607 /nfs/dbraw/zinc/77/46/07/629774607.db2.gz FWWJRNNJUKTHNW-VIFPVBQESA-N 0 0 269.322 2.698 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000835483542 629775420 /nfs/dbraw/zinc/77/54/20/629775420.db2.gz KEPCLLHITMGLNF-OCCSQVGLSA-N 0 0 293.319 2.904 20 5 CFBDRN COCCC1(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000835486471 629776642 /nfs/dbraw/zinc/77/66/42/629776642.db2.gz VCJVZTBKUBYFQE-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1cncc(F)c1 ZINC000795468589 629784573 /nfs/dbraw/zinc/78/45/73/629784573.db2.gz WYTKGIUBUHKSMD-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN C[C@H](O)CCCOC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000795514754 629791603 /nfs/dbraw/zinc/79/16/03/629791603.db2.gz NMJVKSZEKRVAOK-QMMMGPOBSA-N 0 0 287.699 2.566 20 5 CFBDRN CC(C)CC[C@H](O)COc1cc(F)ccc1[N+](=O)[O-] ZINC000795534195 629795500 /nfs/dbraw/zinc/79/55/00/629795500.db2.gz CGFIKPLBXZSUKH-NSHDSACASA-N 0 0 271.288 2.910 20 5 CFBDRN C[C@@H](O)CCCOC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000795592306 629808407 /nfs/dbraw/zinc/80/84/07/629808407.db2.gz VQKRAJJSWOMBAO-ZJUUUORDSA-N 0 0 299.298 2.542 20 5 CFBDRN CSc1cccc(C(=O)OCCC[C@@H](C)O)c1[N+](=O)[O-] ZINC000795594619 629808908 /nfs/dbraw/zinc/80/89/08/629808908.db2.gz MANRYDXDICRDPS-SECBINFHSA-N 0 0 299.348 2.635 20 5 CFBDRN CCc1[nH]nc(C(=O)OC[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000795616815 629811606 /nfs/dbraw/zinc/81/16/06/629811606.db2.gz WLYMNYVELCNTQH-VHSXEESVSA-N 0 0 295.339 2.863 20 5 CFBDRN CCC[C@@H](COC(=O)c1ccc([N+](=O)[O-])c(OC)c1)OC ZINC000835538696 629820708 /nfs/dbraw/zinc/82/07/08/629820708.db2.gz SYLHZEIBKHURNT-NSHDSACASA-N 0 0 297.307 2.575 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)CCOC ZINC000795709229 629825533 /nfs/dbraw/zinc/82/55/33/629825533.db2.gz MWABXFUOWAVJFD-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)OCc2ccccc2)c1[N+](=O)[O-] ZINC000796258903 629896905 /nfs/dbraw/zinc/89/69/05/629896905.db2.gz FMTWVRWPDUILAW-UHFFFAOYSA-N 0 0 289.291 2.798 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796310171 629902438 /nfs/dbraw/zinc/90/24/38/629902438.db2.gz DDXLYBPTVHJICT-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN COc1ccc(C(=O)OCC[C@H](C)SC)cc1[N+](=O)[O-] ZINC000835660574 629923620 /nfs/dbraw/zinc/92/36/20/629923620.db2.gz FUHAXFCEOHAFHI-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN CNc1ccc(C(=O)OCC[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000835661989 629924269 /nfs/dbraw/zinc/92/42/69/629924269.db2.gz UKARNZOXOMNQGU-SECBINFHSA-N 0 0 298.364 2.935 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000796532792 629931225 /nfs/dbraw/zinc/93/12/25/629931225.db2.gz GIFJKIXTLVEACV-QPUJVOFHSA-N 0 0 284.287 2.734 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000796593562 629939581 /nfs/dbraw/zinc/93/95/81/629939581.db2.gz ZSQSDIRKHUJBGZ-ZANVPECISA-N 0 0 281.308 2.870 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000796767002 629962847 /nfs/dbraw/zinc/96/28/47/629962847.db2.gz BICSEQPPEYDTOR-SMDDNHRTSA-N 0 0 295.335 2.742 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCC1=CCCCC1 ZINC000835722737 629984942 /nfs/dbraw/zinc/98/49/42/629984942.db2.gz NINMOYFPRVLHTF-UHFFFAOYSA-N 0 0 278.308 2.981 20 5 CFBDRN C[C@H](O)[C@H]1CCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000852559279 634779919 /nfs/dbraw/zinc/77/99/19/634779919.db2.gz HZVQXUBRAIBVFR-TVQRCGJNSA-N 0 0 284.743 2.593 20 5 CFBDRN C[C@H](C(=O)O[C@@H]1CCOC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000835770134 630018222 /nfs/dbraw/zinc/01/82/22/630018222.db2.gz FSVRVSOUEBNQOJ-COPLHBTASA-N 0 0 293.319 2.667 20 5 CFBDRN CC(C)OCCOC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000797135788 630024731 /nfs/dbraw/zinc/02/47/31/630024731.db2.gz ULTSUBFFPFAKER-UHFFFAOYSA-N 0 0 299.348 2.655 20 5 CFBDRN CS[C@H](C)[C@@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000797192850 630036030 /nfs/dbraw/zinc/03/60/30/630036030.db2.gz IFWCVIVJNDUEJW-NXEZZACHSA-N 0 0 299.348 2.657 20 5 CFBDRN COc1cc(C(=O)OCCC(C)(F)F)ccc1[N+](=O)[O-] ZINC000797230437 630044842 /nfs/dbraw/zinc/04/48/42/630044842.db2.gz GMOMLWVBWPSGIF-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN CCc1occc1C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000797412416 630063174 /nfs/dbraw/zinc/06/31/74/630063174.db2.gz LWKKNSORIPNYEQ-UHFFFAOYSA-N 0 0 291.259 2.978 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)CC(C)C ZINC000797471975 630071028 /nfs/dbraw/zinc/07/10/28/630071028.db2.gz USDSJFJTBJPJQT-UHFFFAOYSA-N 0 0 267.281 2.693 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)c1ccoc1C ZINC000797473214 630071146 /nfs/dbraw/zinc/07/11/46/630071146.db2.gz YCQYNJYQTQNHJQ-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C/C=C(\C)C(=O)OCc1ccc([N+](=O)[O-])cc1OC ZINC000797474800 630071189 /nfs/dbraw/zinc/07/11/89/630071189.db2.gz QOKAXZFRTDRQDV-RUDMXATFSA-N 0 0 265.265 2.613 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C[C@@H](C)SC ZINC000797475640 630071730 /nfs/dbraw/zinc/07/17/30/630071730.db2.gz DLPYJHSQLQFQHI-SECBINFHSA-N 0 0 299.348 2.788 20 5 CFBDRN CSCC[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000835816116 630081396 /nfs/dbraw/zinc/08/13/96/630081396.db2.gz IOROZPDPADZIQW-SECBINFHSA-N 0 0 269.322 2.893 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2C[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000797578869 630083387 /nfs/dbraw/zinc/08/33/87/630083387.db2.gz MDMURJKRPWYMNN-CMPLNLGQSA-N 0 0 278.283 2.571 20 5 CFBDRN CC1=C[C@H](C)CN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000797605173 630088849 /nfs/dbraw/zinc/08/88/49/630088849.db2.gz NRCHDMASOIBZHH-JTQLQIEISA-N 0 0 291.307 2.901 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)CCSC ZINC000835821985 630090659 /nfs/dbraw/zinc/09/06/59/630090659.db2.gz RNYKKQHLJVCZNQ-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000798095543 630149606 /nfs/dbraw/zinc/14/96/06/630149606.db2.gz PBOWBQFWRDUDKW-BBGYYPMSSA-N 0 0 274.320 2.913 20 5 CFBDRN O=C(O[C@H]1CCCCC1=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000798123704 630152590 /nfs/dbraw/zinc/15/25/90/630152590.db2.gz NYFHWSCBLHJCBD-LBPRGKRZSA-N 0 0 297.694 2.917 20 5 CFBDRN CC[C@](C)(COC(=O)CCc1cccc([N+](=O)[O-])c1)OC ZINC000835961711 630184665 /nfs/dbraw/zinc/18/46/65/630184665.db2.gz DFYOGTPNVNBZMD-OAHLLOKOSA-N 0 0 295.335 2.886 20 5 CFBDRN COc1cc(N2CC[C@H](c3ccccn3)C2)ccc1[N+](=O)[O-] ZINC000798416923 630189104 /nfs/dbraw/zinc/18/91/04/630189104.db2.gz RXAYYVKPZWGADM-LBPRGKRZSA-N 0 0 299.330 2.992 20 5 CFBDRN CC[C@@](C)(COC(=O)c1ccc([N+](=O)[O-])cc1OC)OC ZINC000835964435 630191770 /nfs/dbraw/zinc/19/17/70/630191770.db2.gz YGGCZOALTYOYLQ-AWEZNQCLSA-N 0 0 297.307 2.575 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C1=COCCC1 ZINC000798542240 630203451 /nfs/dbraw/zinc/20/34/51/630203451.db2.gz LSNNLYRMFMHZBK-UHFFFAOYSA-N 0 0 292.291 2.626 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C1=CCCCO1 ZINC000798542578 630203576 /nfs/dbraw/zinc/20/35/76/630203576.db2.gz ZKXFGAFWIWOUOU-UHFFFAOYSA-N 0 0 292.291 2.626 20 5 CFBDRN CC(C)C[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000798635062 630219317 /nfs/dbraw/zinc/21/93/17/630219317.db2.gz CBBCTODINSZBEH-SNVBAGLBSA-N 0 0 266.297 2.829 20 5 CFBDRN CCCN(C(=S)NC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000799507545 630316967 /nfs/dbraw/zinc/31/69/67/630316967.db2.gz HESYAIBUQVJABF-UHFFFAOYSA-N 0 0 279.365 2.848 20 5 CFBDRN CSC1(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000799683393 630334495 /nfs/dbraw/zinc/33/44/95/630334495.db2.gz SRYRSDJHVYMUNB-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN O=C(COc1ccsc1)OCc1csc([N+](=O)[O-])c1 ZINC000800310078 630370119 /nfs/dbraw/zinc/37/01/19/630370119.db2.gz RIPRKWPRUZAHMC-UHFFFAOYSA-N 0 0 299.329 2.840 20 5 CFBDRN CCOc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c(F)c1 ZINC000839921600 630404254 /nfs/dbraw/zinc/40/42/54/630404254.db2.gz GECKLHNYJLCVQE-UHFFFAOYSA-N 0 0 298.314 2.998 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCOc2ccccc2F)c1 ZINC000800845410 630410561 /nfs/dbraw/zinc/41/05/61/630410561.db2.gz QZRPNAFOWPIYDN-UHFFFAOYSA-N 0 0 291.282 2.807 20 5 CFBDRN C[C@H]1CN(c2cc(N)ccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000800856666 630411529 /nfs/dbraw/zinc/41/15/29/630411529.db2.gz RCDVYYPTRRQOFE-IUCAKERBSA-N 0 0 267.354 2.507 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@@]3(CCCOC3)C2)c1 ZINC000800855029 630411637 /nfs/dbraw/zinc/41/16/37/630411637.db2.gz FAINCTGKZLXSGS-OAHLLOKOSA-N 0 0 291.351 2.574 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2CCC(F)(F)C2)c1 ZINC000800857802 630411763 /nfs/dbraw/zinc/41/17/63/630411763.db2.gz DUBKGYDTHITDJP-MRVPVSSYSA-N 0 0 257.240 2.777 20 5 CFBDRN CO[C@H](CCNc1cc(N)ccc1[N+](=O)[O-])C(F)(F)F ZINC000800869399 630413377 /nfs/dbraw/zinc/41/33/77/630413377.db2.gz NVYMXOPAGPMWAY-SNVBAGLBSA-N 0 0 293.245 2.556 20 5 CFBDRN Cc1nc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)co1 ZINC000801391284 630443966 /nfs/dbraw/zinc/44/39/66/630443966.db2.gz WOXOUFYMIPWCQZ-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CSC2(C)C)c1[N+](=O)[O-] ZINC000801778227 630469548 /nfs/dbraw/zinc/46/95/48/630469548.db2.gz FUUQOXABQCMBRA-JTQLQIEISA-N 0 0 298.339 2.666 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801914459 630486204 /nfs/dbraw/zinc/48/62/04/630486204.db2.gz HSLQQWDNJQEYSP-DTWKUNHWSA-N 0 0 297.330 2.871 20 5 CFBDRN COc1ccc(OC(=O)/C=C/c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000802227730 630514541 /nfs/dbraw/zinc/51/45/41/630514541.db2.gz KKSLDDVHSAOESZ-QPJJXVBHSA-N 0 0 288.259 2.550 20 5 CFBDRN C/C(=C\C(=O)O[C@@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000802900749 630562065 /nfs/dbraw/zinc/56/20/65/630562065.db2.gz WEWSIXRBSCCHSL-GDXASINISA-N 0 0 291.303 2.720 20 5 CFBDRN CCOC1CC(COC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000802945234 630569370 /nfs/dbraw/zinc/56/93/70/630569370.db2.gz YCSZSTCACZZARY-UHFFFAOYSA-N 0 0 293.319 2.875 20 5 CFBDRN CCNc1ccc(C(=O)OCCCCF)cc1[N+](=O)[O-] ZINC000803226724 630591890 /nfs/dbraw/zinc/59/18/90/630591890.db2.gz MOQZRKVNUAZNHY-UHFFFAOYSA-N 0 0 284.287 2.933 20 5 CFBDRN Cc1cc(C(=O)OCCCCF)ccc1[N+](=O)[O-] ZINC000803228160 630592479 /nfs/dbraw/zinc/59/24/79/630592479.db2.gz WWGJWHLMKILROJ-UHFFFAOYSA-N 0 0 255.245 2.810 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)c1ccc[nH]1 ZINC000803268144 630596838 /nfs/dbraw/zinc/59/68/38/630596838.db2.gz ZVCSXNDEKSHTQX-UHFFFAOYSA-N 0 0 282.202 2.558 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)OCCCCF ZINC000803266605 630596877 /nfs/dbraw/zinc/59/68/77/630596877.db2.gz WRZRORRLKOBTDG-UHFFFAOYSA-N 0 0 287.312 2.980 20 5 CFBDRN CC(C)(C(=O)OCCCCCO)c1ccc([N+](=O)[O-])cc1 ZINC000803361279 630606551 /nfs/dbraw/zinc/60/65/51/630606551.db2.gz SCJWULJWGZIHNX-UHFFFAOYSA-N 0 0 295.335 2.578 20 5 CFBDRN CC(C)(F)C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803416998 630615492 /nfs/dbraw/zinc/61/54/92/630615492.db2.gz ZNYYHAFECDOUHW-UHFFFAOYSA-N 0 0 277.198 2.664 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OCc1cn[nH]c1 ZINC000803434944 630617430 /nfs/dbraw/zinc/61/74/30/630617430.db2.gz DECSSURBRYYIGJ-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN C[C@H](C(=O)OCCCCCO)c1ccc([N+](=O)[O-])cc1F ZINC000803431574 630617433 /nfs/dbraw/zinc/61/74/33/630617433.db2.gz DHDNBHNCFMCZDQ-JTQLQIEISA-N 0 0 299.298 2.543 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@@H]2CCCOC2)c1F ZINC000803459055 630619966 /nfs/dbraw/zinc/61/99/66/630619966.db2.gz SMVMCHGMOLCHJW-SNVBAGLBSA-N 0 0 297.282 2.626 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803457764 630620069 /nfs/dbraw/zinc/62/00/69/630620069.db2.gz VAXPMYQREUQDJJ-VIFPVBQESA-N 0 0 285.271 2.624 20 5 CFBDRN CSCCCOC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803461202 630620305 /nfs/dbraw/zinc/62/03/05/630620305.db2.gz QIVGIVQXQHOBLR-UHFFFAOYSA-N 0 0 287.312 2.952 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C)C(=O)C2CC2)c1F ZINC000803461285 630620380 /nfs/dbraw/zinc/62/03/80/630620380.db2.gz RXQCYDHOJQDUKT-MRVPVSSYSA-N 0 0 295.266 2.567 20 5 CFBDRN COc1cccc(C(=O)OCCCCF)c1[N+](=O)[O-] ZINC000803479864 630621899 /nfs/dbraw/zinc/62/18/99/630621899.db2.gz NEFZHUAPLQIYRR-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN CN(C)c1ccc2cc(NC(=O)[C@@H]3CC3[N+](=O)[O-])ccc2c1 ZINC000854812247 635398324 /nfs/dbraw/zinc/39/83/24/635398324.db2.gz MPEHFJXOEUICHQ-HUUCEWRRSA-N 0 0 299.330 2.510 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCc1ccccn1 ZINC000804318481 630671648 /nfs/dbraw/zinc/67/16/48/630671648.db2.gz KWNNWRBMWGWUON-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN Cc1ccc(OCCNc2ccc(N)cc2[N+](=O)[O-])cc1 ZINC000804324618 630672212 /nfs/dbraw/zinc/67/22/12/630672212.db2.gz LXJATZWDSSUNMN-UHFFFAOYSA-N 0 0 287.319 2.976 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCCOCC1CC1 ZINC000804331464 630672671 /nfs/dbraw/zinc/67/26/71/630672671.db2.gz AKUDAJDZOBCGAW-UHFFFAOYSA-N 0 0 295.295 2.732 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804349221 630674536 /nfs/dbraw/zinc/67/45/36/630674536.db2.gz KWCLCAXHNROKTP-SFYZADRCSA-N 0 0 251.242 2.571 20 5 CFBDRN CC(=O)c1cc(NC[C@H](O)CC(C)C)ccc1[N+](=O)[O-] ZINC000804392254 630679015 /nfs/dbraw/zinc/67/90/15/630679015.db2.gz LGRAVCJWWNTDAB-GFCCVEGCSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC1(O)CCCCC1 ZINC000804401704 630679804 /nfs/dbraw/zinc/67/98/04/630679804.db2.gz ZQKZUESZPUMFSC-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN CCc1cc(CNc2c(F)cccc2[N+](=O)[O-])[nH]n1 ZINC000804451553 630682702 /nfs/dbraw/zinc/68/27/02/630682702.db2.gz OBANIPVPEQEIGG-UHFFFAOYSA-N 0 0 264.260 2.632 20 5 CFBDRN COC[C@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000804483991 630686360 /nfs/dbraw/zinc/68/63/60/630686360.db2.gz KCOLAOYIEOSZJU-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN CN(Cc1ccon1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804488504 630687009 /nfs/dbraw/zinc/68/70/09/630687009.db2.gz CRJCIEIURGUFOM-UHFFFAOYSA-N 0 0 267.672 2.873 20 5 CFBDRN CSC[C@H](CCO)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804497536 630688221 /nfs/dbraw/zinc/68/82/21/630688221.db2.gz DMFZTTDFNHKLTN-VIFPVBQESA-N 0 0 290.772 2.774 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000804514322 630689337 /nfs/dbraw/zinc/68/93/37/630689337.db2.gz ZBJKINTVAMLXBV-ZJUUUORDSA-N 0 0 267.354 2.873 20 5 CFBDRN CO[C@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C(C)(C)C ZINC000804526705 630689941 /nfs/dbraw/zinc/68/99/41/630689941.db2.gz PHZPXXSYMMSYSX-LLVKDONJSA-N 0 0 297.311 2.976 20 5 CFBDRN CC1(O)CCC(Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000804534302 630690309 /nfs/dbraw/zinc/69/03/09/630690309.db2.gz GXJJTMOYWMSHFN-UHFFFAOYSA-N 0 0 295.295 2.609 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCC1CSC1 ZINC000854980954 635434990 /nfs/dbraw/zinc/43/49/90/635434990.db2.gz UIZJOWXURZNJHS-UHFFFAOYSA-N 0 0 298.339 2.525 20 5 CFBDRN CC1(C)C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000804573899 630757001 /nfs/dbraw/zinc/75/70/01/630757001.db2.gz QTGDCJATMKQZOT-SNVBAGLBSA-N 0 0 265.313 2.547 20 5 CFBDRN C[C@@H](Nc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1)c1ccc[nH]1 ZINC000804579261 630758046 /nfs/dbraw/zinc/75/80/46/630758046.db2.gz RJBMYMGTIKDYPC-MRVPVSSYSA-N 0 0 299.290 2.745 20 5 CFBDRN Cc1cc(CNc2cc(Cl)ccc2[N+](=O)[O-])ncn1 ZINC000804579129 630758217 /nfs/dbraw/zinc/75/82/17/630758217.db2.gz NIFBKBBMGCNNLT-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000804584233 630759982 /nfs/dbraw/zinc/75/99/82/630759982.db2.gz PLLBEPWXFGHPQM-UHFFFAOYSA-N 0 0 280.324 2.953 20 5 CFBDRN CON(CC(C)(C)C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000804586092 630760919 /nfs/dbraw/zinc/76/09/19/630760919.db2.gz PLQYVHNWQVWTKF-UHFFFAOYSA-N 0 0 280.324 2.573 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC[C@@H]1CCOC1 ZINC000804612540 630764954 /nfs/dbraw/zinc/76/49/54/630764954.db2.gz DIPJKTMWHGULRI-LLVKDONJSA-N 0 0 278.308 2.636 20 5 CFBDRN COc1ccc(F)cc1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000804623972 630766996 /nfs/dbraw/zinc/76/69/96/630766996.db2.gz GLDQCYYZGRYAIW-UHFFFAOYSA-N 0 0 291.282 2.937 20 5 CFBDRN CO[C@@H](CCNc1ccc(N)cc1[N+](=O)[O-])C(F)(F)F ZINC000804645890 630767708 /nfs/dbraw/zinc/76/77/08/630767708.db2.gz DHYCZGOZRMWAFV-JTQLQIEISA-N 0 0 293.245 2.556 20 5 CFBDRN CCCNC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000836200869 630770074 /nfs/dbraw/zinc/77/00/74/630770074.db2.gz LEAHYBQDQYDURP-UHFFFAOYSA-N 0 0 256.689 2.696 20 5 CFBDRN Cc1ccc([C@H](O)Cn2cc([N+](=O)[O-])c(C3CC3)n2)cc1 ZINC000806631667 630825458 /nfs/dbraw/zinc/82/54/58/630825458.db2.gz SRPDWRKFVPCZOE-CQSZACIVSA-N 0 0 287.319 2.711 20 5 CFBDRN O=C(NOC1CCC1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000836599515 630857097 /nfs/dbraw/zinc/85/70/97/630857097.db2.gz MBPFJKUEYRVQNG-UHFFFAOYSA-N 0 0 285.687 2.854 20 5 CFBDRN CC(=O)C[C@H](C)NC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000836779685 630880985 /nfs/dbraw/zinc/88/09/85/630880985.db2.gz UFJAFVWFBOYLOF-QMMMGPOBSA-N 0 0 298.726 2.654 20 5 CFBDRN C/C(=C\C(=O)NOC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000836800004 630886395 /nfs/dbraw/zinc/88/63/95/630886395.db2.gz PPTPWTKJRRYPPT-MDZDMXLPSA-N 0 0 276.292 2.598 20 5 CFBDRN COC(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000836837691 630896873 /nfs/dbraw/zinc/89/68/73/630896873.db2.gz NKQCLTQLNRBYIR-NSHDSACASA-N 0 0 264.281 2.541 20 5 CFBDRN Cc1ccoc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000016415947 630904414 /nfs/dbraw/zinc/90/44/14/630904414.db2.gz WTAUDFUBMVAXKH-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCCCCCC1 ZINC000836926320 630920053 /nfs/dbraw/zinc/92/00/53/630920053.db2.gz NBJQVIAZAAVBIK-UHFFFAOYSA-N 0 0 266.297 2.984 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1ccc([N+](=O)[O-])cc1 ZINC000807916674 630921700 /nfs/dbraw/zinc/92/17/00/630921700.db2.gz WNVXSXWLOARDDY-UHFFFAOYSA-N 0 0 292.251 2.820 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Sc1nccn1C1CC1 ZINC000807922335 630922761 /nfs/dbraw/zinc/92/27/61/630922761.db2.gz AYVYNHUQPUTPHD-UHFFFAOYSA-N 0 0 293.352 2.802 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1ccc(C=O)cc1F ZINC000808007240 630940945 /nfs/dbraw/zinc/94/09/45/630940945.db2.gz WLQXFTMBWNARCB-UHFFFAOYSA-N 0 0 293.254 2.864 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H]1CCC=CO1 ZINC000808042025 630944364 /nfs/dbraw/zinc/94/43/64/630944364.db2.gz UIMXWBXWNHVSKZ-LBPRGKRZSA-N 0 0 276.292 2.902 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)NCC1CCC1 ZINC000808057197 630945355 /nfs/dbraw/zinc/94/53/55/630945355.db2.gz UDXDAPDYVLATCE-UHFFFAOYSA-N 0 0 298.726 2.543 20 5 CFBDRN CCOC(=O)CCCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000808056260 630945516 /nfs/dbraw/zinc/94/55/16/630945516.db2.gz KYLKPOHDQXJVGH-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COc3ccccc3[C@H]2O)s1 ZINC000808168635 630962812 /nfs/dbraw/zinc/96/28/12/630962812.db2.gz NZQDUAIAIYSLQQ-NOZJJQNGSA-N 0 0 292.316 2.563 20 5 CFBDRN O=[N+]([O-])c1c(N2CCCc3occc3C2)nc2ccccn21 ZINC000808168112 630962999 /nfs/dbraw/zinc/96/29/99/630962999.db2.gz KTJGRCRSCAXVRK-UHFFFAOYSA-N 0 0 298.302 2.788 20 5 CFBDRN COCCC1(C)CN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000808170800 630963219 /nfs/dbraw/zinc/96/32/19/630963219.db2.gz DELFNPJLUGVUOE-UHFFFAOYSA-N 0 0 298.314 2.605 20 5 CFBDRN NC(=NOCCc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000808244376 630970464 /nfs/dbraw/zinc/97/04/64/630970464.db2.gz XIKCQAUARZLFDP-UHFFFAOYSA-N 0 0 285.303 2.684 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1ocnc1C1CC1 ZINC000808318333 630979040 /nfs/dbraw/zinc/97/90/40/630979040.db2.gz FRTKUTKQRMJXKK-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN Cc1cc(C(=O)N2CC(C(C)(C)C)C2)c(N)c([N+](=O)[O-])c1 ZINC000837271472 630990580 /nfs/dbraw/zinc/99/05/80/630990580.db2.gz XGSIINRCCZRCOP-UHFFFAOYSA-N 0 0 291.351 2.604 20 5 CFBDRN CCC(C)(C)OCC(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000837318727 630996930 /nfs/dbraw/zinc/99/69/30/630996930.db2.gz TYEYPQOZQYTIQV-UHFFFAOYSA-N 0 0 267.281 2.705 20 5 CFBDRN COC(=O)/C(=C/c1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000102827875 631045335 /nfs/dbraw/zinc/04/53/35/631045335.db2.gz TWSFPJNDZZCLKJ-GXDHUFHOSA-N 0 0 284.271 2.703 20 5 CFBDRN CN(C(=O)C[C@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])nc1 ZINC000808795281 631049084 /nfs/dbraw/zinc/04/90/84/631049084.db2.gz SCTUUQZCUIBVEM-SECBINFHSA-N 0 0 299.277 2.778 20 5 CFBDRN COC1(CCOC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000809053156 631072683 /nfs/dbraw/zinc/07/26/83/631072683.db2.gz ANORPSXRNOSJAH-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2cccc(SC)c2[N+](=O)[O-])C1 ZINC000809107199 631079085 /nfs/dbraw/zinc/07/90/85/631079085.db2.gz FUMVHXLLTHZDAA-DTORHVGOSA-N 0 0 297.332 2.651 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000809118070 631085486 /nfs/dbraw/zinc/08/54/86/631085486.db2.gz CNJSIAMSKXSZLL-SJOWAIJRSA-N 0 0 291.303 2.719 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000809118254 631086679 /nfs/dbraw/zinc/08/66/79/631086679.db2.gz SHDOAXMDXJISCJ-TXEJJXNPSA-N 0 0 279.292 2.628 20 5 CFBDRN CCc1[nH]nc(C(=O)OCCC2=CCCCC2)c1[N+](=O)[O-] ZINC000837655902 631098913 /nfs/dbraw/zinc/09/89/13/631098913.db2.gz CAERLMYLUAKXOO-UHFFFAOYSA-N 0 0 293.323 2.928 20 5 CFBDRN CC(C)[C@@H](F)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000809235761 631102974 /nfs/dbraw/zinc/10/29/74/631102974.db2.gz OKOWQWIDHYUERR-SNVBAGLBSA-N 0 0 280.259 2.894 20 5 CFBDRN Cc1nn(CN2Cc3ccc(Cl)cc3C2)cc1[N+](=O)[O-] ZINC000840168358 631106452 /nfs/dbraw/zinc/10/64/52/631106452.db2.gz NUFFKJOVERUFQQ-UHFFFAOYSA-N 0 0 292.726 2.726 20 5 CFBDRN O=C(CSC1CC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000837873979 631146866 /nfs/dbraw/zinc/14/68/66/631146866.db2.gz LWQXXSNKHMXXDB-UHFFFAOYSA-N 0 0 285.296 2.673 20 5 CFBDRN CC(C)(CCC(=O)OCCCOc1ccccc1)[N+](=O)[O-] ZINC000837922818 631151515 /nfs/dbraw/zinc/15/15/15/631151515.db2.gz HGVYOYUJXZRVHS-UHFFFAOYSA-N 0 0 295.335 2.834 20 5 CFBDRN COc1ccc(C)cc1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837922636 631151570 /nfs/dbraw/zinc/15/15/70/631151570.db2.gz HCZDCNUXXQEJPL-UHFFFAOYSA-N 0 0 295.335 2.882 20 5 CFBDRN CSCCOC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840655153 631173270 /nfs/dbraw/zinc/17/32/70/631173270.db2.gz FJUAGXQGUYMQME-NWDGAFQWSA-N 0 0 281.333 2.605 20 5 CFBDRN CCCC(=O)COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840656955 631173554 /nfs/dbraw/zinc/17/35/54/631173554.db2.gz XUCRRSAGFVVYIU-UONOGXRCSA-N 0 0 291.303 2.611 20 5 CFBDRN O=C(OCc1nc2ccccc2[nH]1)c1ccccc1[N+](=O)[O-] ZINC000042369374 649998504 /nfs/dbraw/zinc/99/85/04/649998504.db2.gz JRAWRLQMVUMPDS-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN O=c1c2cnccc2ccn1CCc1ccc([N+](=O)[O-])cc1 ZINC000810008356 631314190 /nfs/dbraw/zinc/31/41/90/631314190.db2.gz BJZJPLWCXQPSTI-UHFFFAOYSA-N 0 0 295.298 2.547 20 5 CFBDRN C[C@H]1Sc2ccccc2N(C(=O)[C@@H]2CC2[N+](=O)[O-])[C@@H]1C ZINC000841141609 631346847 /nfs/dbraw/zinc/34/68/47/631346847.db2.gz NTEPNHYIHGKXHK-DNRKLUKYSA-N 0 0 292.360 2.568 20 5 CFBDRN CC1(C)CC[C@@H](Oc2ccc([N+](=O)[O-])c(F)c2)C1=O ZINC000840194059 631349947 /nfs/dbraw/zinc/34/99/47/631349947.db2.gz UEPDVINXFOGUFL-LLVKDONJSA-N 0 0 267.256 2.870 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(C)nc1Cl ZINC000840194379 631351014 /nfs/dbraw/zinc/35/10/14/631351014.db2.gz ZNCGUWGVKGJMLP-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CCO[C@H](CC)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000841160719 631351392 /nfs/dbraw/zinc/35/13/92/631351392.db2.gz FNJMFCROXJVLFV-LLVKDONJSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C([C@@H]1CC1[N+](=O)[O-])N1CCCCc2c(Cl)cccc21 ZINC000841177536 631354136 /nfs/dbraw/zinc/35/41/36/631354136.db2.gz XJKDCNFTIBUZQV-ZWNOBZJWSA-N 0 0 294.738 2.675 20 5 CFBDRN Cc1sc(C(=O)O[C@H]2CCC(C)(C)C2=O)cc1[N+](=O)[O-] ZINC000840200199 631363214 /nfs/dbraw/zinc/36/32/14/631363214.db2.gz NUIFFJZUADOKKA-VIFPVBQESA-N 0 0 297.332 2.879 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])C1(F)CCCCC1 ZINC000810446668 631373296 /nfs/dbraw/zinc/37/32/96/631373296.db2.gz GDAIVZJTOMQEGU-UHFFFAOYSA-N 0 0 294.326 2.926 20 5 CFBDRN CC(C)c1ccc(N(C)C(=O)c2c[nH]nc2[N+](=O)[O-])cc1 ZINC000841405767 631401167 /nfs/dbraw/zinc/40/11/67/631401167.db2.gz UYFVJGFVBWOGKO-UHFFFAOYSA-N 0 0 288.307 2.718 20 5 CFBDRN Cc1cccc(OC(=O)OCCCF)c1[N+](=O)[O-] ZINC000841509145 631419044 /nfs/dbraw/zinc/41/90/44/631419044.db2.gz JSNFVLOWBBIYCW-UHFFFAOYSA-N 0 0 257.217 2.778 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCSC(C)(C)C ZINC000840209001 631441836 /nfs/dbraw/zinc/44/18/36/631441836.db2.gz QLSBTSAOTJDXNA-UHFFFAOYSA-N 0 0 270.354 2.597 20 5 CFBDRN CCCNC(=O)CCCNc1c(C)c([N+](=O)[O-])ccc1F ZINC000841697185 631454694 /nfs/dbraw/zinc/45/46/94/631454694.db2.gz HTQBUTVETPUHCV-UHFFFAOYSA-N 0 0 297.330 2.761 20 5 CFBDRN CC[C@@H](CNC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1)OC ZINC000810970599 631488488 /nfs/dbraw/zinc/48/84/88/631488488.db2.gz LSKJPGCNLOELFJ-FPAJZGIOSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(Oc1ccc2c(c1)CCCO2)c1ccc([N+](=O)[O-])o1 ZINC000810994648 631493118 /nfs/dbraw/zinc/49/31/18/631493118.db2.gz INEAHHADEIAZCM-UHFFFAOYSA-N 0 0 289.243 2.732 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])CC(C)C ZINC000842042792 631510991 /nfs/dbraw/zinc/51/09/91/631510991.db2.gz CTDLKJPIBNKONY-NSHDSACASA-N 0 0 297.311 2.976 20 5 CFBDRN C[C@H]1[C@@H](C)N(c2nc3ccccn3c2[N+](=O)[O-])C[C@H]1C ZINC000842049464 631513270 /nfs/dbraw/zinc/51/32/70/631513270.db2.gz UNRQVMARCDZKBA-GMTAPVOTSA-N 0 0 274.324 2.723 20 5 CFBDRN C[C@H]1[C@@H](C)N(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H]1C ZINC000842048856 631513756 /nfs/dbraw/zinc/51/37/56/631513756.db2.gz LNMUWXQFEZZSEU-OPRDCNLKSA-N 0 0 279.296 2.984 20 5 CFBDRN CO[C@H](COC(=O)c1cccc([N+](=O)[O-])c1)CC(C)C ZINC000842056375 631516687 /nfs/dbraw/zinc/51/66/87/631516687.db2.gz ZKBSFPFYANCDGY-ZDUSSCGKSA-N 0 0 281.308 2.813 20 5 CFBDRN Cc1cc(C(=O)O[C@H]2CCC(C)(C)C2=O)cc([N+](=O)[O-])c1 ZINC000840224901 631517914 /nfs/dbraw/zinc/51/79/14/631517914.db2.gz JLCIMRQLXPSSBQ-LBPRGKRZSA-N 0 0 291.303 2.818 20 5 CFBDRN CCOc1ccc(C(=O)OC[C@H](CC)OC)cc1[N+](=O)[O-] ZINC000842059915 631518458 /nfs/dbraw/zinc/51/84/58/631518458.db2.gz KWYYDCKBIFNFQQ-NSHDSACASA-N 0 0 297.307 2.575 20 5 CFBDRN O=C(OCCOCC1CCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000842083076 631530489 /nfs/dbraw/zinc/53/04/89/631530489.db2.gz JPVWYNOJPIFZRX-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN CC[C@H](COC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1)OC ZINC000842089188 631534847 /nfs/dbraw/zinc/53/48/47/631534847.db2.gz BNMYVURYGRRZGB-RBJHHQRMSA-N 0 0 293.319 2.966 20 5 CFBDRN O=C(OCCC1(O)CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000840232693 631579998 /nfs/dbraw/zinc/57/99/98/631579998.db2.gz WABRVZHIVWSBPQ-UHFFFAOYSA-N 0 0 299.710 2.710 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000842320117 631590886 /nfs/dbraw/zinc/59/08/86/631590886.db2.gz FSWACXJEJGKYOJ-CQSZACIVSA-N 0 0 295.335 2.742 20 5 CFBDRN CS[C@H](C)COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842369815 631609259 /nfs/dbraw/zinc/60/92/59/631609259.db2.gz MTNBPHMZXGIWNJ-JIMOISOXSA-N 0 0 295.360 2.993 20 5 CFBDRN Cc1cc(CN2c3ccc([N+](=O)[O-])cc3C[C@H]2C)on1 ZINC000811728685 631613782 /nfs/dbraw/zinc/61/37/82/631613782.db2.gz GVWOFWUPFRJULI-SNVBAGLBSA-N 0 0 273.292 2.842 20 5 CFBDRN Cc1cc(NCCCC(=O)N(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000811751750 631616290 /nfs/dbraw/zinc/61/62/90/631616290.db2.gz IDGMSBXJYKGUPX-UHFFFAOYSA-N 0 0 299.758 2.837 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000811807428 631625141 /nfs/dbraw/zinc/62/51/41/631625141.db2.gz VRGLAZGMGLGQBB-SNVBAGLBSA-N 0 0 290.266 2.625 20 5 CFBDRN CC1(C)CN(c2nc3ccccn3c2[N+](=O)[O-])[C@H]1C1CC1 ZINC000811854016 631633274 /nfs/dbraw/zinc/63/32/74/631633274.db2.gz GFHIYBVRCRFFDH-LBPRGKRZSA-N 0 0 286.335 2.867 20 5 CFBDRN C[C@@H](NC(=O)NOC/C=C\Cl)c1cccc([N+](=O)[O-])c1 ZINC000811865034 631634213 /nfs/dbraw/zinc/63/42/13/631634213.db2.gz SEQQFGJDCUPHDN-JVBZPZKUSA-N 0 0 299.714 2.639 20 5 CFBDRN Cc1c(CC(=O)OCCc2ccco2)cccc1[N+](=O)[O-] ZINC000842451800 631634453 /nfs/dbraw/zinc/63/44/53/631634453.db2.gz GITHRFICVVNNME-UHFFFAOYSA-N 0 0 289.287 2.825 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(-c2ccccc2C(F)(F)F)no1 ZINC000842486644 631642921 /nfs/dbraw/zinc/64/29/21/631642921.db2.gz ISKBWORELAGWTG-VXNVDRBHSA-N 0 0 299.208 2.888 20 5 CFBDRN CSCc1cccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)c1 ZINC000842490931 631644339 /nfs/dbraw/zinc/64/43/39/631644339.db2.gz DESLSJLQRGWVGR-GHMZBOCLSA-N 0 0 291.332 2.732 20 5 CFBDRN CCc1ccc(C(=O)OCCC2(O)CCC2)cc1[N+](=O)[O-] ZINC000840248488 631684290 /nfs/dbraw/zinc/68/42/90/631684290.db2.gz ZODZDUFWIMPFCW-UHFFFAOYSA-N 0 0 293.319 2.619 20 5 CFBDRN CC(C)(CCc1nc2cc(Cl)ccc2c(=O)[nH]1)[N+](=O)[O-] ZINC000812449855 631720149 /nfs/dbraw/zinc/72/01/49/631720149.db2.gz XBLXBTUMABTOIW-UHFFFAOYSA-N 0 0 295.726 2.977 20 5 CFBDRN Cc1cc(NC[C@H](O)c2cccnc2)c(F)cc1[N+](=O)[O-] ZINC000812462729 631722394 /nfs/dbraw/zinc/72/23/94/631722394.db2.gz TZLUJGVYRPPWCA-AWEZNQCLSA-N 0 0 291.282 2.583 20 5 CFBDRN CC(=O)c1ccc(N2CC(C3CC3)C2)c([N+](=O)[O-])c1 ZINC000812480802 631724430 /nfs/dbraw/zinc/72/44/30/631724430.db2.gz FTWUWIHRPSBIJZ-UHFFFAOYSA-N 0 0 260.293 2.644 20 5 CFBDRN CC(C)OCCONc1ccc([N+](=O)[O-])cc1F ZINC000812489416 631726172 /nfs/dbraw/zinc/72/61/72/631726172.db2.gz ZJVWXNHTEUCILZ-UHFFFAOYSA-N 0 0 258.249 2.502 20 5 CFBDRN COCC1(COC(=O)c2ccc([N+](=O)[O-])s2)CCC1 ZINC000812574053 631735169 /nfs/dbraw/zinc/73/51/69/631735169.db2.gz BSMFDXQWSZPJCM-UHFFFAOYSA-N 0 0 285.321 2.630 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000812627209 631747642 /nfs/dbraw/zinc/74/76/42/631747642.db2.gz RPIVVARFSCKTIM-WDEREUQCSA-N 0 0 281.308 2.557 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000812628401 631748129 /nfs/dbraw/zinc/74/81/29/631748129.db2.gz DEWBKWBHKXEKAB-RKDXNWHRSA-N 0 0 287.337 2.618 20 5 CFBDRN C/C=C(\C)COC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000842849746 631765694 /nfs/dbraw/zinc/76/56/94/631765694.db2.gz VTBWDZDHZJROSG-RUDMXATFSA-N 0 0 265.265 2.726 20 5 CFBDRN O=C(OC1(c2c(F)cccc2Cl)CC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842937866 631787244 /nfs/dbraw/zinc/78/72/44/631787244.db2.gz JJBNCQPLHPFCET-GMSGAONNSA-N 0 0 299.685 2.677 20 5 CFBDRN CC(C)(CCc1noc(C23CCC(CC2)C3)n1)[N+](=O)[O-] ZINC000812811609 631788465 /nfs/dbraw/zinc/78/84/65/631788465.db2.gz DDVIYOXSHKLLRR-UHFFFAOYSA-N 0 0 279.340 2.889 20 5 CFBDRN CC(C)(CCc1noc(C(C)(C)C(F)F)n1)[N+](=O)[O-] ZINC000812812930 631788548 /nfs/dbraw/zinc/78/85/48/631788548.db2.gz YQTQTBPQYAORSH-UHFFFAOYSA-N 0 0 277.271 2.600 20 5 CFBDRN CC[C@H](SC)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812162 631788573 /nfs/dbraw/zinc/78/85/73/631788573.db2.gz ZFOYUJBNBBFUMT-QMMMGPOBSA-N 0 0 273.358 2.872 20 5 CFBDRN CCc1occc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812814845 631789447 /nfs/dbraw/zinc/78/94/47/631789447.db2.gz QSMPSTNAIOJWCU-UHFFFAOYSA-N 0 0 279.296 2.880 20 5 CFBDRN C[C@@H](COC(=O)c1cc([N+](=O)[O-])cn1C)CC(F)(F)F ZINC000842948688 631791472 /nfs/dbraw/zinc/79/14/72/631791472.db2.gz XJCHQHREXUGYTN-SSDOTTSWSA-N 0 0 294.229 2.679 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000812833881 631793878 /nfs/dbraw/zinc/79/38/78/631793878.db2.gz BKWQEPZREYOGLF-BDAKNGLRSA-N 0 0 284.287 2.591 20 5 CFBDRN Cc1cccc2cc(C(=O)OC[C@H](C)[N+](=O)[O-])oc21 ZINC000813101706 631838572 /nfs/dbraw/zinc/83/85/72/631838572.db2.gz HIAQLVZQYAGHKC-VIFPVBQESA-N 0 0 263.249 2.563 20 5 CFBDRN C[C@H](COC(=O)CC/C=C\c1ccccc1)[N+](=O)[O-] ZINC000813104003 631839974 /nfs/dbraw/zinc/83/99/74/631839974.db2.gz VZADISWTLDNYQZ-DJTUGOFGSA-N 0 0 263.293 2.688 20 5 CFBDRN C[C@H](COC(=O)c1ccc(C(F)(F)F)s1)[N+](=O)[O-] ZINC000813105156 631840487 /nfs/dbraw/zinc/84/04/87/631840487.db2.gz WHVZNKGDUDXJHW-RXMQYKEDSA-N 0 0 283.227 2.589 20 5 CFBDRN C[C@H](COC(=O)c1cc2c(cccc2F)s1)[N+](=O)[O-] ZINC000813106561 631841643 /nfs/dbraw/zinc/84/16/43/631841643.db2.gz DQNWXPBQJZADDO-SSDOTTSWSA-N 0 0 283.280 2.862 20 5 CFBDRN C[C@H](COC(=O)c1cccc(C2CCC2)c1)[N+](=O)[O-] ZINC000813108520 631843492 /nfs/dbraw/zinc/84/34/92/631843492.db2.gz ZIEAYUNARUNHNX-SNVBAGLBSA-N 0 0 263.293 2.776 20 5 CFBDRN CC(C)Cc1ccccc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813109973 631843783 /nfs/dbraw/zinc/84/37/83/631843783.db2.gz XZPFJRSJIZLRRO-LLVKDONJSA-N 0 0 265.309 2.707 20 5 CFBDRN Cc1ccc(CCCCC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813112731 631845070 /nfs/dbraw/zinc/84/50/70/631845070.db2.gz KDCSALZCNYDEFS-CYBMUJFWSA-N 0 0 279.336 2.916 20 5 CFBDRN C[C@H](COC(=O)C1(c2ccccc2F)CCCC1)[N+](=O)[O-] ZINC000813114737 631846134 /nfs/dbraw/zinc/84/61/34/631846134.db2.gz GHGVCDKSANZQFS-LLVKDONJSA-N 0 0 295.310 2.846 20 5 CFBDRN C[C@H](COC(=O)c1cccc(-c2ccoc2)c1)[N+](=O)[O-] ZINC000813114847 631846459 /nfs/dbraw/zinc/84/64/59/631846459.db2.gz HRXHSOJCXNDRFV-SNVBAGLBSA-N 0 0 275.260 2.769 20 5 CFBDRN CC1(C)C[C@@H]1COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000813127847 631853747 /nfs/dbraw/zinc/85/37/47/631853747.db2.gz XVQWWSZZLMCEEZ-SNVBAGLBSA-N 0 0 279.292 2.563 20 5 CFBDRN CC1CC(OC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000813232723 631889094 /nfs/dbraw/zinc/88/90/94/631889094.db2.gz ZDOGWAXARGJRON-UHFFFAOYSA-N 0 0 263.293 2.869 20 5 CFBDRN C[C@H]1OCC[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813246485 631890663 /nfs/dbraw/zinc/89/06/63/631890663.db2.gz DIHRQGAYCOXFOG-HTQZYQBOSA-N 0 0 284.699 2.612 20 5 CFBDRN O=C(Cc1cccnc1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813241713 631891163 /nfs/dbraw/zinc/89/11/63/631891163.db2.gz BAJUATISLBFADJ-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN CC(C)(CCc1noc(CNc2ccccc2)n1)[N+](=O)[O-] ZINC000843234472 631907414 /nfs/dbraw/zinc/90/74/14/631907414.db2.gz UVCXQVAEQVRFDN-UHFFFAOYSA-N 0 0 290.323 2.670 20 5 CFBDRN CCCc1nc(CNc2cc([N+](=O)[O-])ccc2NC)no1 ZINC000843297594 631920737 /nfs/dbraw/zinc/92/07/37/631920737.db2.gz IBKJQYKPSGCGNC-UHFFFAOYSA-N 0 0 291.311 2.584 20 5 CFBDRN O=c1c2ccccc2n(C/C=C/Cl)cc1[N+](=O)[O-] ZINC000813579317 631934291 /nfs/dbraw/zinc/93/42/91/631934291.db2.gz ZLAALSJSMNHPNZ-ZZXKWVIFSA-N 0 0 264.668 2.662 20 5 CFBDRN CCCn1cc(Nc2c3ccccc3ncc2[N+](=O)[O-])cn1 ZINC000813594805 631938096 /nfs/dbraw/zinc/93/80/96/631938096.db2.gz NGZCXVSZNSHIQD-UHFFFAOYSA-N 0 0 297.318 2.915 20 5 CFBDRN CC[C@H](C)CONc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000813622378 631942874 /nfs/dbraw/zinc/94/28/74/631942874.db2.gz PZUUSLLHZZIYKE-VIFPVBQESA-N 0 0 293.323 2.869 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H](O)c1ccc(Cl)cc1 ZINC000813639196 631944829 /nfs/dbraw/zinc/94/48/29/631944829.db2.gz ASZUUPMLMOCOAQ-GFCCVEGCSA-N 0 0 293.710 2.789 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3C[C@@H]32)c(C(F)(F)F)c1 ZINC000840298607 631960937 /nfs/dbraw/zinc/96/09/37/631960937.db2.gz WRRHZUSLRODXGW-MUWHJKNJSA-N 0 0 273.214 2.607 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)C1(F)CC1 ZINC000843529493 631977184 /nfs/dbraw/zinc/97/71/84/631977184.db2.gz RTKCARIUZCVEGQ-UHFFFAOYSA-N 0 0 290.197 2.637 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cnc(-c2ccccc2)s1 ZINC000814037545 631998043 /nfs/dbraw/zinc/99/80/43/631998043.db2.gz BUSBOLQUUAPCJE-SECBINFHSA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1scnc1C1CCCC1 ZINC000814036451 631998052 /nfs/dbraw/zinc/99/80/52/631998052.db2.gz YEUYRXNLUMLCST-MRVPVSSYSA-N 0 0 284.337 2.623 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@H]1CCCCC1(C)C ZINC000814036713 631998074 /nfs/dbraw/zinc/99/80/74/631998074.db2.gz JRELPDLOCKFSMP-GHMZBOCLSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@@H]1CCCCC1(C)C ZINC000814036714 631998160 /nfs/dbraw/zinc/99/81/60/631998160.db2.gz JRELPDLOCKFSMP-MNOVXSKESA-N 0 0 257.330 2.801 20 5 CFBDRN CCC[C@H](C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814040752 631998743 /nfs/dbraw/zinc/99/87/43/631998743.db2.gz KTFFJYZLXOETRL-AAEUAGOBSA-N 0 0 265.309 2.779 20 5 CFBDRN Cc1cc2cc(C(=O)O[C@@H](C)C[N+](=O)[O-])oc2cc1C ZINC000814042982 631999276 /nfs/dbraw/zinc/99/92/76/631999276.db2.gz RMPBAHGEPVPFIE-JTQLQIEISA-N 0 0 277.276 2.872 20 5 CFBDRN Cc1ccc2[nH]cc(CCC(=O)O[C@H](C)C[N+](=O)[O-])c2c1 ZINC000814041613 631999285 /nfs/dbraw/zinc/99/92/85/631999285.db2.gz QXXAOWKDDJHUGQ-LLVKDONJSA-N 0 0 290.319 2.617 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(C(C)(F)F)cc1 ZINC000814041564 631999470 /nfs/dbraw/zinc/99/94/70/631999470.db2.gz BSTMRGAGXFECQU-QMMMGPOBSA-N 0 0 273.235 2.620 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@@H](C)c1ccccc1F ZINC000814042962 631999577 /nfs/dbraw/zinc/99/95/77/631999577.db2.gz REIBEWHDNOWNMV-NXEZZACHSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(C(F)(F)F)cc1 ZINC000814042686 631999668 /nfs/dbraw/zinc/99/96/68/631999668.db2.gz ZETQWXLRQDOVPA-ZETCQYMHSA-N 0 0 277.198 2.527 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(c2cccc(Cl)c2)CCC1 ZINC000814042158 631999734 /nfs/dbraw/zinc/99/97/34/631999734.db2.gz HJXPYZJOAKVNTI-SNVBAGLBSA-N 0 0 297.738 2.970 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1csc2ccccc12 ZINC000814044766 632000139 /nfs/dbraw/zinc/00/01/39/632000139.db2.gz UEMYYKHVVWSEFQ-SECBINFHSA-N 0 0 279.317 2.652 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@H]1CCCc2ccccc21 ZINC000814045056 632000338 /nfs/dbraw/zinc/00/03/38/632000338.db2.gz MCTTZAOIJRNGFR-DGCLKSJQSA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C/c1ccc(Cl)cc1 ZINC000814043001 632000431 /nfs/dbraw/zinc/00/04/31/632000431.db2.gz ACYNKVBCYFNDKZ-IYNCYZAOSA-N 0 0 269.684 2.562 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1ccc(F)cc1 ZINC000814044911 632000549 /nfs/dbraw/zinc/00/05/49/632000549.db2.gz LEWGFXYMAXQWPU-VHSXEESVSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C/c1ccc(Cl)s1 ZINC000814046503 632000865 /nfs/dbraw/zinc/00/08/65/632000865.db2.gz LUIHUMXBSLQMCH-OHCKJTPYSA-N 0 0 275.713 2.623 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C\c1ccc(Cl)s1 ZINC000814046504 632000984 /nfs/dbraw/zinc/00/09/84/632000984.db2.gz LUIHUMXBSLQMCH-YSCPKTQFSA-N 0 0 275.713 2.623 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCSc1ccc(F)cc1 ZINC000814046874 632001285 /nfs/dbraw/zinc/00/12/85/632001285.db2.gz POHYOAYFJWDDID-VIFPVBQESA-N 0 0 287.312 2.516 20 5 CFBDRN Cc1ncoc1COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000814099543 632013684 /nfs/dbraw/zinc/01/36/84/632013684.db2.gz ZNYPUDUEWSRJJC-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCC(C)C ZINC000843750587 632049308 /nfs/dbraw/zinc/04/93/08/632049308.db2.gz UDZNVJCLCICTTQ-UHFFFAOYSA-N 0 0 268.288 2.818 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC(C1CC1)C1CC1 ZINC000843761566 632051316 /nfs/dbraw/zinc/05/13/16/632051316.db2.gz NIEOONDAWLRCCC-UHFFFAOYSA-N 0 0 292.310 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CCCSC1 ZINC000843811734 632060222 /nfs/dbraw/zinc/06/02/22/632060222.db2.gz DWBGJYINHCAGQR-VIFPVBQESA-N 0 0 298.339 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCC1CCOCC1 ZINC000814448754 632066300 /nfs/dbraw/zinc/06/63/00/632066300.db2.gz CGWVSNAUIMEDPJ-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN O=C(OCCC1CCOCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000814453040 632067736 /nfs/dbraw/zinc/06/77/36/632067736.db2.gz DKTFLRTWJLQNQK-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC(C2CC2)C1 ZINC000843891679 632072938 /nfs/dbraw/zinc/07/29/38/632072938.db2.gz WLQQVFIHHMJQCH-UHFFFAOYSA-N 0 0 278.283 2.524 20 5 CFBDRN COCCCCN(C)C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843910044 632075762 /nfs/dbraw/zinc/07/57/62/632075762.db2.gz PYBSCYOEQWPKPK-UHFFFAOYSA-N 0 0 298.314 2.541 20 5 CFBDRN Cc1nc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)c(C)o1 ZINC000814501898 632081149 /nfs/dbraw/zinc/08/11/49/632081149.db2.gz UVPPLZSCGNRNRZ-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)/C=C(/C)C1CC1 ZINC000843968938 632086580 /nfs/dbraw/zinc/08/65/80/632086580.db2.gz WPTCBXJSXVXMNX-CLFYSBASSA-N 0 0 275.308 2.931 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)C1 ZINC000814560873 632091884 /nfs/dbraw/zinc/09/18/84/632091884.db2.gz GLXYFUHXBLHUDH-JSGCOSHPSA-N 0 0 293.319 2.843 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)C1 ZINC000814567545 632094224 /nfs/dbraw/zinc/09/42/24/632094224.db2.gz ZIAIDTZQISVODV-MNOVXSKESA-N 0 0 299.348 2.905 20 5 CFBDRN COC(=O)/C(C)=C\COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814775722 632121970 /nfs/dbraw/zinc/12/19/70/632121970.db2.gz UGTWNLCXIGIHDS-VURMDHGXSA-N 0 0 285.683 2.746 20 5 CFBDRN C[C@H]1CC=CC[C@H]1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000844264020 632148151 /nfs/dbraw/zinc/14/81/51/632148151.db2.gz BDTJXCZYKGVMMY-QWRGUYRKSA-N 0 0 278.308 2.692 20 5 CFBDRN C[C@@H]1CCC[C@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000844265364 632149085 /nfs/dbraw/zinc/14/90/85/632149085.db2.gz UKJUXTRQEYDBOZ-KOLCDFICSA-N 0 0 266.297 2.669 20 5 CFBDRN C[C@H]1CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000844264848 632149104 /nfs/dbraw/zinc/14/91/04/632149104.db2.gz PQTFVRKGJSGPPR-VHSXEESVSA-N 0 0 266.297 2.669 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CCC1 ZINC000844269613 632149791 /nfs/dbraw/zinc/14/97/91/632149791.db2.gz HQKYBHCHGKREMS-QWHCGFSZSA-N 0 0 261.277 2.936 20 5 CFBDRN C[C@H](OC(=O)C[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000844327698 632170069 /nfs/dbraw/zinc/17/00/69/632170069.db2.gz SOHWWYAWYPELTM-GXFFZTMASA-N 0 0 279.292 2.768 20 5 CFBDRN COC/C(C)=C\C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000844338302 632173149 /nfs/dbraw/zinc/17/31/49/632173149.db2.gz ZYGXUEFWLUMZJW-IEHMKBBKSA-N 0 0 279.292 2.792 20 5 CFBDRN COCc1ccc(COC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000815171278 632223245 /nfs/dbraw/zinc/22/32/45/632223245.db2.gz RZTBEOSKPSIZCD-UHFFFAOYSA-N 0 0 291.259 2.691 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@@]1(C)C[C@@]1(F)Cl)[N+](=O)[O-] ZINC000844799546 632310510 /nfs/dbraw/zinc/31/05/10/632310510.db2.gz CRWSGPXWQUNTBA-RYUDHWBXSA-N 0 0 294.754 2.643 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000815988906 632348401 /nfs/dbraw/zinc/34/84/01/632348401.db2.gz ZVORBPPRFPZSKI-CABZTGNLSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C(F)=C/C1CCCCC1 ZINC000816097051 632371793 /nfs/dbraw/zinc/37/17/93/632371793.db2.gz SPNUAUBNFHSPDI-MKVDPYIPSA-N 0 0 259.277 2.629 20 5 CFBDRN Cc1ccoc1COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000816102080 632373248 /nfs/dbraw/zinc/37/32/48/632373248.db2.gz UFAXRTYHOLGOBB-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN COc1cccc(C(=O)OCc2occc2C)c1[N+](=O)[O-] ZINC000816101791 632373286 /nfs/dbraw/zinc/37/32/86/632373286.db2.gz ICZDPEZVPJYTFR-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCC1(COC(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000816185026 632389737 /nfs/dbraw/zinc/38/97/37/632389737.db2.gz XBUWUNWIZRJWEO-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN CCC1(COC(=O)c2cccc([N+](=O)[O-])c2OC)CC1 ZINC000816186155 632390791 /nfs/dbraw/zinc/39/07/91/632390791.db2.gz QNZNEJIAMIJXKN-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CCC1(COC(=O)c2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000816187510 632391315 /nfs/dbraw/zinc/39/13/15/632391315.db2.gz SYAIZDDRMGNDQZ-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN Cc1cc(C(=O)OCCCc2cnoc2)ccc1[N+](=O)[O-] ZINC000816228223 632400448 /nfs/dbraw/zinc/40/04/48/632400448.db2.gz ACGDGNKAELOWOY-UHFFFAOYSA-N 0 0 290.275 2.681 20 5 CFBDRN Cc1c(COC(=O)C[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000845353367 632421011 /nfs/dbraw/zinc/42/10/11/632421011.db2.gz GIUZVOPINCAAOV-GFCCVEGCSA-N 0 0 279.292 2.516 20 5 CFBDRN CO[C@@H](COC(=O)Cc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000816350984 632423565 /nfs/dbraw/zinc/42/35/65/632423565.db2.gz DVCAALCODSZIRX-AWEZNQCLSA-N 0 0 295.335 2.660 20 5 CFBDRN CO[C@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000816357254 632427685 /nfs/dbraw/zinc/42/76/85/632427685.db2.gz YGFTUFOYYPTEPK-GFCCVEGCSA-N 0 0 285.271 2.562 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000816386028 632439619 /nfs/dbraw/zinc/43/96/19/632439619.db2.gz ZSBCKYYMADVQPI-LBPRGKRZSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OC[C@@H]1CC1(F)F ZINC000816414870 632447719 /nfs/dbraw/zinc/44/77/19/632447719.db2.gz GJBFBTLTKOFSPD-NWPZZWLHSA-N 0 0 283.230 2.806 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(c2ccccc2Cl)CCC1 ZINC000845577388 632468588 /nfs/dbraw/zinc/46/85/88/632468588.db2.gz LNCCZYGJXLNMHP-JTQLQIEISA-N 0 0 297.738 2.970 20 5 CFBDRN C[C@H](COC(=O)C1(c2ccccc2Cl)CCC1)[N+](=O)[O-] ZINC000845577368 632468736 /nfs/dbraw/zinc/46/87/36/632468736.db2.gz UNUHTUNTKXZIJU-SNVBAGLBSA-N 0 0 297.738 2.970 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000845641340 632500561 /nfs/dbraw/zinc/50/05/61/632500561.db2.gz IQMLHCQPEKINAQ-JOYOIKCWSA-N 0 0 270.304 2.943 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@](C)(O)c1ccccc1 ZINC000845657038 632508173 /nfs/dbraw/zinc/50/81/73/632508173.db2.gz QKVOWMBMAIBXEU-RNCFNFMXSA-N 0 0 293.348 2.759 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@@](C)(O)c1ccccc1 ZINC000845657037 632508531 /nfs/dbraw/zinc/50/85/31/632508531.db2.gz QKVOWMBMAIBXEU-NOZJJQNGSA-N 0 0 293.348 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H](O)CC2)cc1Cl ZINC000816667555 632509747 /nfs/dbraw/zinc/50/97/47/632509747.db2.gz PSYFXPBNEJCDMA-NSHDSACASA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@]3(CC3(F)F)C2)s1 ZINC000845742061 632548256 /nfs/dbraw/zinc/54/82/56/632548256.db2.gz JDESFSXVYCGHCU-VIFPVBQESA-N 0 0 275.280 2.677 20 5 CFBDRN CS/C=C/C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000817038984 632548538 /nfs/dbraw/zinc/54/85/38/632548538.db2.gz TVRQHEGUHBMKRS-CMDGGOBGSA-N 0 0 280.349 2.823 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@@H](O)CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000817124593 632561720 /nfs/dbraw/zinc/56/17/20/632561720.db2.gz KPEFFPZKSODUFK-JQWIXIFHSA-N 0 0 292.335 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCC2(O)CCC2)c([N+](=O)[O-])c1 ZINC000817125595 632561933 /nfs/dbraw/zinc/56/19/33/632561933.db2.gz MYXWKPYAGZILLQ-UHFFFAOYSA-N 0 0 295.295 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](O)CC23CCC3)c(F)c1 ZINC000817132000 632563676 /nfs/dbraw/zinc/56/36/76/632563676.db2.gz XSGBUIWUXNISNF-NSHDSACASA-N 0 0 280.299 2.618 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2cc([N+](=O)[O-])ccc2N)CC1(C)C ZINC000817217810 632574128 /nfs/dbraw/zinc/57/41/28/632574128.db2.gz HZTFILJBJODCRE-CYBMUJFWSA-N 0 0 291.351 2.684 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@H]1CC12CC2 ZINC000817231554 632577086 /nfs/dbraw/zinc/57/70/86/632577086.db2.gz VDLUACFQCDAADJ-SNVBAGLBSA-N 0 0 265.240 2.577 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@@H]1CC[C@@H](C)O1 ZINC000817239136 632580808 /nfs/dbraw/zinc/58/08/08/632580808.db2.gz QBNFHBYBOPQEKF-NEPJUHHUSA-N 0 0 293.319 2.936 20 5 CFBDRN CCOCCCOC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817238397 632580840 /nfs/dbraw/zinc/58/08/40/632580840.db2.gz AVLIMPFMLVOZGK-UHFFFAOYSA-N 0 0 281.308 2.795 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(=O)C1CCC1 ZINC000817239113 632580859 /nfs/dbraw/zinc/58/08/59/632580859.db2.gz PPVIGCPIGSDDST-UHFFFAOYSA-N 0 0 291.303 2.738 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCCOC1 ZINC000817239915 632581550 /nfs/dbraw/zinc/58/15/50/632581550.db2.gz XPHLYTFGAOVENS-GFCCVEGCSA-N 0 0 293.319 2.795 20 5 CFBDRN Cc1cc(COC(=O)c2cc([N+](=O)[O-])c(C)cc2C)no1 ZINC000817240153 632581677 /nfs/dbraw/zinc/58/16/77/632581677.db2.gz YDBPNGGIQBPIQN-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN C[C@@H]([C@H](OC(=O)[C@H]1C[C@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845873165 632589095 /nfs/dbraw/zinc/58/90/95/632589095.db2.gz RZXPPNLQMPPCTH-KOVQTIFSSA-N 0 0 281.283 2.731 20 5 CFBDRN C[C@@H]([C@@H](OC(=O)C1(F)CC1)c1ccccc1F)[N+](=O)[O-] ZINC000845881640 632589679 /nfs/dbraw/zinc/58/96/79/632589679.db2.gz MYQABEQPHXUHSG-GZMMTYOYSA-N 0 0 285.246 2.577 20 5 CFBDRN C[C@@H]([C@H](OC(=O)C1(F)CC1)c1ccccc1F)[N+](=O)[O-] ZINC000845881648 632590395 /nfs/dbraw/zinc/59/03/95/632590395.db2.gz MYQABEQPHXUHSG-KWQFWETISA-N 0 0 285.246 2.577 20 5 CFBDRN COc1ccccc1COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000005674216 632608782 /nfs/dbraw/zinc/60/87/82/632608782.db2.gz NXIQJIPALOHZIS-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])C12CCC2 ZINC000840339698 632611286 /nfs/dbraw/zinc/61/12/86/632611286.db2.gz CQVFPCMRLZLEMB-STQMWFEESA-N 0 0 277.324 2.547 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000817421650 632628384 /nfs/dbraw/zinc/62/83/84/632628384.db2.gz IGAYWTCFBCKVEE-AXFHLTTASA-N 0 0 261.277 2.936 20 5 CFBDRN C[C@@H](C(=O)NOCCC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000817425766 632630758 /nfs/dbraw/zinc/63/07/58/632630758.db2.gz MSFXBSWASBYJMC-SECBINFHSA-N 0 0 296.298 2.685 20 5 CFBDRN Cc1c(OC(=O)[C@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000817428595 632631948 /nfs/dbraw/zinc/63/19/48/632631948.db2.gz PXLKAWWASCPVAK-NSHDSACASA-N 0 0 271.219 2.854 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@@]1(C)CC1(F)F)CC2 ZINC000817443434 632635999 /nfs/dbraw/zinc/63/59/99/632635999.db2.gz OVDHPUGSGGXJBE-CYBMUJFWSA-N 0 0 296.273 2.838 20 5 CFBDRN Cc1ncoc1COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817453195 632639573 /nfs/dbraw/zinc/63/95/73/632639573.db2.gz JQTQYQHSPPMEHW-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ccc(COC(=O)[C@@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000817455362 632640278 /nfs/dbraw/zinc/64/02/78/632640278.db2.gz WTSFTZOMAXFDLE-GFCCVEGCSA-N 0 0 285.246 2.992 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000817454964 632640539 /nfs/dbraw/zinc/64/05/39/632640539.db2.gz UEUOLUGGNILGCV-LLVKDONJSA-N 0 0 261.277 2.747 20 5 CFBDRN CCCN(C(=O)[C@@H]1CC1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000840342848 632657587 /nfs/dbraw/zinc/65/75/87/632657587.db2.gz OLDZDYZKDADSIE-VXGBXAGGSA-N 0 0 282.727 2.748 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817607392 632675517 /nfs/dbraw/zinc/67/55/17/632675517.db2.gz SPVFFCYZWQAXPC-SECBINFHSA-N 0 0 280.324 2.919 20 5 CFBDRN COC[C@H](OC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C1CC1 ZINC000817722707 632693784 /nfs/dbraw/zinc/69/37/84/632693784.db2.gz VNIVFCFYWHTYLX-AWEZNQCLSA-N 0 0 293.319 2.793 20 5 CFBDRN CC[C@H](C)C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000817813183 632712331 /nfs/dbraw/zinc/71/23/31/632712331.db2.gz DEGKQZMXDSDNEK-VIFPVBQESA-N 0 0 295.291 2.551 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@@]2(CC2(F)F)C1 ZINC000846569337 632745266 /nfs/dbraw/zinc/74/52/66/632745266.db2.gz XWZYHNPHUYLITQ-CYBMUJFWSA-N 0 0 296.273 2.856 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCC[C@@H](C)F ZINC000818290429 632822976 /nfs/dbraw/zinc/82/29/76/632822976.db2.gz DNFJGOWLFCGHNF-PBQZMEPESA-N 0 0 280.299 2.862 20 5 CFBDRN CCn1ccc(CNc2ccc([N+](=O)[O-])cc2C(C)=O)n1 ZINC000840358338 632832680 /nfs/dbraw/zinc/83/26/80/632832680.db2.gz OXUXREBDMUJDDN-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H](O)C[C@@H]2c2ccccc2)cc1 ZINC000840361900 632853403 /nfs/dbraw/zinc/85/34/03/632853403.db2.gz LFOHYGVPZBNWOU-HZPDHXFCSA-N 0 0 284.315 2.907 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCCCCO)c1C ZINC000885423937 632868166 /nfs/dbraw/zinc/86/81/66/632868166.db2.gz FWJFIQVGIFHUJL-UHFFFAOYSA-N 0 0 281.308 2.531 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000818996858 632925877 /nfs/dbraw/zinc/92/58/77/632925877.db2.gz XRYQHSSPGRKLIY-DGMVEKRQSA-N 0 0 276.292 2.577 20 5 CFBDRN O=C(Oc1cccc(C2CCCC2)c1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000847119526 632928472 /nfs/dbraw/zinc/92/84/72/632928472.db2.gz ANGZUVIAVVYDID-ZIAGYGMSSA-N 0 0 275.304 2.915 20 5 CFBDRN CC(C)n1cc(OC(=O)Cc2ccccc2[N+](=O)[O-])cn1 ZINC000847134600 632935812 /nfs/dbraw/zinc/93/58/12/632935812.db2.gz XOPQAJSEXZVJHZ-UHFFFAOYSA-N 0 0 289.291 2.520 20 5 CFBDRN C[C@H](NC(=O)/C=C\[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000819129484 632977264 /nfs/dbraw/zinc/97/72/64/632977264.db2.gz JZPOOMKHLOQUBX-WMEIFKOLSA-N 0 0 290.319 2.507 20 5 CFBDRN COc1cc(NC[C@@H](O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000107039798 633026221 /nfs/dbraw/zinc/02/62/21/633026221.db2.gz CHSMAFXTNHZFMK-CQSZACIVSA-N 0 0 288.303 2.749 20 5 CFBDRN Cn1cc(COC(=O)/C=C/C2CCCCC2)c([N+](=O)[O-])n1 ZINC000847782956 633076208 /nfs/dbraw/zinc/07/62/08/633076208.db2.gz NKELKGTXFIQZOF-BQYQJAHWSA-N 0 0 293.323 2.508 20 5 CFBDRN CC1=C(C)C[C@H](C(=O)OCc2cn(C)nc2[N+](=O)[O-])CC1 ZINC000847786478 633076263 /nfs/dbraw/zinc/07/62/63/633076263.db2.gz SPMUXMCWWVAFAC-LLVKDONJSA-N 0 0 293.323 2.508 20 5 CFBDRN CC[C@H](C)CCCCC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847783570 633076465 /nfs/dbraw/zinc/07/64/65/633076465.db2.gz BDRGJLJYDCUBSP-NSHDSACASA-N 0 0 297.355 2.978 20 5 CFBDRN CCC[C@@H]1CCC[C@H]1C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847786498 633077628 /nfs/dbraw/zinc/07/76/28/633077628.db2.gz TVKZTPHQAQZFFK-ZYHUDNBSSA-N 0 0 295.339 2.588 20 5 CFBDRN COC[C@H](C)CCOC(=O)c1cccc([N+](=O)[O-])c1C ZINC000847868461 633118807 /nfs/dbraw/zinc/11/88/07/633118807.db2.gz GRIBLMUAWUBIDU-SNVBAGLBSA-N 0 0 281.308 2.733 20 5 CFBDRN COC[C@H](C)CCOC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000847868615 633118908 /nfs/dbraw/zinc/11/89/08/633118908.db2.gz JRCBVZQECRGPMS-SNVBAGLBSA-N 0 0 281.308 2.733 20 5 CFBDRN COC[C@@H](C)CCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000847868227 633118922 /nfs/dbraw/zinc/11/89/22/633118922.db2.gz AYXBGZUWNYJDHH-JECSTDCCSA-N 0 0 293.319 2.824 20 5 CFBDRN CCc1ccc(OCc2cnsn2)c([N+](=O)[O-])c1 ZINC000848432273 633258711 /nfs/dbraw/zinc/25/87/11/633258711.db2.gz XKXYOFLQAXDFFQ-UHFFFAOYSA-N 0 0 265.294 2.588 20 5 CFBDRN C[C@H](ON=C(N)[C@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000848521951 633274571 /nfs/dbraw/zinc/27/45/71/633274571.db2.gz UTISFZNVHASRIC-PTRXPTGYSA-N 0 0 263.297 2.810 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H](O)CC(C)(C)C ZINC000848665536 633313113 /nfs/dbraw/zinc/31/31/13/633313113.db2.gz CUOPFTWEESHWOV-SNVBAGLBSA-N 0 0 282.340 2.812 20 5 CFBDRN CCc1nocc1COC(=O)c1csc([N+](=O)[O-])c1 ZINC000848703352 633320811 /nfs/dbraw/zinc/32/08/11/633320811.db2.gz NFMAOCGMZKMRRX-UHFFFAOYSA-N 0 0 282.277 2.564 20 5 CFBDRN CNc1nccc(C(=O)OCCC(C)(C)C)c1[N+](=O)[O-] ZINC000848789854 633343248 /nfs/dbraw/zinc/34/32/48/633343248.db2.gz GRDXKVJXIGWPFO-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CC23CC3)cc1Cl ZINC000819627604 633402254 /nfs/dbraw/zinc/40/22/54/633402254.db2.gz WIMJGGRFBRRHBT-NSHDSACASA-N 0 0 280.711 2.839 20 5 CFBDRN Cc1noc(CN(C)Cc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000848928408 633410864 /nfs/dbraw/zinc/41/08/64/633410864.db2.gz ZZLGGOQRKFNHBP-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN Cc1ccnc(NC[C@@H](O)c2cccc(F)c2)c1[N+](=O)[O-] ZINC000115516310 636018381 /nfs/dbraw/zinc/01/83/81/636018381.db2.gz LPMPHVIMPWKAFA-GFCCVEGCSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1ccc(NC(=S)NCC2CC2)cc1[N+](=O)[O-] ZINC000849251710 633591801 /nfs/dbraw/zinc/59/18/01/633591801.db2.gz OHDMEGSKRREFTO-UHFFFAOYSA-N 0 0 265.338 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CC[C@@H]1CCCCO1 ZINC000849312757 633612597 /nfs/dbraw/zinc/61/25/97/633612597.db2.gz ITECMHIDHUVRKL-AWEZNQCLSA-N 0 0 276.336 2.917 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])s1)C1CCC1 ZINC000849624959 633643805 /nfs/dbraw/zinc/64/38/05/633643805.db2.gz PRXDUDHFWAATJL-VIFPVBQESA-N 0 0 285.321 2.628 20 5 CFBDRN CC(C)=CCCOC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000849628294 633645541 /nfs/dbraw/zinc/64/55/41/633645541.db2.gz FHXBTSNPRMMHGT-UHFFFAOYSA-N 0 0 264.281 2.690 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000849627790 633645547 /nfs/dbraw/zinc/64/55/47/633645547.db2.gz NIWGBLXLFGFIAJ-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CO1 ZINC000849632467 633648958 /nfs/dbraw/zinc/64/89/58/633648958.db2.gz IVXODLGALOTOLR-DMTLFAOVSA-N 0 0 291.303 2.719 20 5 CFBDRN CO[C@H](COC(=O)c1cccc([N+](=O)[O-])c1C)C1CCC1 ZINC000849636198 633651382 /nfs/dbraw/zinc/65/13/82/633651382.db2.gz MOGGCBTWARDMOH-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1cccc([N+](=O)[O-])c1C ZINC000849635974 633651534 /nfs/dbraw/zinc/65/15/34/633651534.db2.gz GQPRSPROOQIVSD-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN CCO[C@H](CC)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849712778 633684586 /nfs/dbraw/zinc/68/45/86/633684586.db2.gz IWHYZCOSVAQTEP-GFCCVEGCSA-N 0 0 285.271 2.592 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000849724016 633689931 /nfs/dbraw/zinc/68/99/31/633689931.db2.gz IBHOOGHCUPNRQX-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN CC[C@@H](C)OCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849746444 633699637 /nfs/dbraw/zinc/69/96/37/633699637.db2.gz ONHRUOMVIVRTPV-SECBINFHSA-N 0 0 285.271 2.592 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000925486477 633714678 /nfs/dbraw/zinc/71/46/78/633714678.db2.gz SSWDSUZZLJPIKB-JTQLQIEISA-N 0 0 295.339 2.838 20 5 CFBDRN O=[N+]([O-])c1cc(OCC[C@@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000849956800 633775177 /nfs/dbraw/zinc/77/51/77/633775177.db2.gz SFNCFXXHEABNDR-LBPRGKRZSA-N 0 0 296.279 2.841 20 5 CFBDRN CCSCn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000849977307 633779646 /nfs/dbraw/zinc/77/96/46/633779646.db2.gz CHVLFKBNWOJPNG-UHFFFAOYSA-N 0 0 264.310 2.564 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C(C)(C)SC ZINC000849988052 633784596 /nfs/dbraw/zinc/78/45/96/633784596.db2.gz HCIVPIYTZYENMY-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN O=[N+]([O-])c1cn(CC2=CCCC2)c(Br)n1 ZINC000849992530 633786270 /nfs/dbraw/zinc/78/62/70/633786270.db2.gz CUWYGHOBFCLLQS-UHFFFAOYSA-N 0 0 272.102 2.664 20 5 CFBDRN O=C(CCCF)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000850194655 633884219 /nfs/dbraw/zinc/88/42/19/633884219.db2.gz PAAQKEYLMZRTHM-UHFFFAOYSA-N 0 0 277.198 2.666 20 5 CFBDRN CCCn1nccc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000850239355 633907180 /nfs/dbraw/zinc/90/71/80/633907180.db2.gz IEZZNIFBWLRAQH-UHFFFAOYSA-N 0 0 295.320 2.620 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850262795 633916064 /nfs/dbraw/zinc/91/60/64/633916064.db2.gz DAUMZMXDUYOZLQ-MYJAWHEDSA-N 0 0 267.306 2.746 20 5 CFBDRN CCOC1(C(=O)OCc2csc([N+](=O)[O-])c2)CCC1 ZINC000850288074 633926266 /nfs/dbraw/zinc/92/62/66/633926266.db2.gz IXDXMLPNVFPJQR-UHFFFAOYSA-N 0 0 285.321 2.659 20 5 CFBDRN C[C@H](NCc1cc(Cl)ccc1[N+](=O)[O-])c1nccn1C ZINC000850410214 633982148 /nfs/dbraw/zinc/98/21/48/633982148.db2.gz PSDOXWSLVODOHA-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@@H](CC(=O)Oc1cccc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000850742607 634070865 /nfs/dbraw/zinc/07/08/65/634070865.db2.gz IQWXPBDXEMGIQF-GXFFZTMASA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@@H](CC(=O)Oc1cccc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000850742605 634070989 /nfs/dbraw/zinc/07/09/89/634070989.db2.gz IQWXPBDXEMGIQF-GWCFXTLKSA-N 0 0 279.292 2.705 20 5 CFBDRN O=C(CCCF)O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000850890665 634125072 /nfs/dbraw/zinc/12/50/72/634125072.db2.gz LWYXHWFWBNGIJC-QWRGUYRKSA-N 0 0 299.302 2.568 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000850908935 634134849 /nfs/dbraw/zinc/13/48/49/634134849.db2.gz FTTDKZYSZXHMTH-QWRGUYRKSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2C(=O)OC[C@H](C)[N+](=O)[O-])cc1C ZINC000851036322 634189924 /nfs/dbraw/zinc/18/99/24/634189924.db2.gz HCYZERMPIHMFRK-FPMFFAJLSA-N 0 0 277.320 2.615 20 5 CFBDRN C[C@H](COC(=O)[C@H]1CCC[C@@H]1Cc1ccccc1)[N+](=O)[O-] ZINC000851037351 634189999 /nfs/dbraw/zinc/18/99/99/634189999.db2.gz UGAHPLKRAOXGSY-YUELXQCFSA-N 0 0 291.347 2.854 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@]1(c2ccccc2)CC1(C)C ZINC000851046501 634195247 /nfs/dbraw/zinc/19/52/47/634195247.db2.gz QXVOOKIUPDNPPN-ABAIWWIYSA-N 0 0 277.320 2.563 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125483 634235490 /nfs/dbraw/zinc/23/54/90/634235490.db2.gz GISFFOQXWGOILU-GHMZBOCLSA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)(COC(=O)[C@@]1(C)CCc2ccccc2C1)[N+](=O)[O-] ZINC000851125430 634235760 /nfs/dbraw/zinc/23/57/60/634235760.db2.gz DFNHTMJTWRHFRM-INIZCTEOSA-N 0 0 291.347 2.780 20 5 CFBDRN Cc1ccccc1OCCCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125021 634235772 /nfs/dbraw/zinc/23/57/72/634235772.db2.gz UIGZKYQTNDMZKA-UHFFFAOYSA-N 0 0 295.335 2.753 20 5 CFBDRN Cc1cc(Cl)ccc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126893 634236720 /nfs/dbraw/zinc/23/67/20/634236720.db2.gz PZMCXFLFRGZIMA-UHFFFAOYSA-N 0 0 271.700 2.861 20 5 CFBDRN C/C(=C\c1ccc(F)cc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126044 634236789 /nfs/dbraw/zinc/23/67/89/634236789.db2.gz KZXJPDMOQNBGCD-CSKARUKUSA-N 0 0 281.283 2.828 20 5 CFBDRN CC(C)(COC(=O)[C@H]1C[C@H]1c1c(F)cccc1F)[N+](=O)[O-] ZINC000851126320 634236950 /nfs/dbraw/zinc/23/69/50/634236950.db2.gz NAPMALJNXFMEAS-BDAKNGLRSA-N 0 0 299.273 2.667 20 5 CFBDRN CCc1nc(C(C)C)ccc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129738 634238264 /nfs/dbraw/zinc/23/82/64/634238264.db2.gz RDNZDTRFTFXOHO-UHFFFAOYSA-N 0 0 294.351 2.980 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129340 634238389 /nfs/dbraw/zinc/23/83/89/634238389.db2.gz JYRBCIYPIKOVTF-MNOVXSKESA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])C[C@@H]1C ZINC000851129161 634238467 /nfs/dbraw/zinc/23/84/67/634238467.db2.gz JRUYJTZEHVGGRE-VWYCJHECSA-N 0 0 257.330 2.657 20 5 CFBDRN CC(C)c1ccoc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129709 634238504 /nfs/dbraw/zinc/23/85/04/634238504.db2.gz PABMDITWXRJDMR-UHFFFAOYSA-N 0 0 255.270 2.615 20 5 CFBDRN Cc1c(Cl)cccc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129348 634238854 /nfs/dbraw/zinc/23/88/54/634238854.db2.gz KIDBQXFRSFKPNU-UHFFFAOYSA-N 0 0 271.700 2.861 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCCC[C@H]1C(F)(F)F)[N+](=O)[O-] ZINC000851129465 634238930 /nfs/dbraw/zinc/23/89/30/634238930.db2.gz HZVSMEUCVMSJRJ-RKDXNWHRSA-N 0 0 297.273 2.954 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000851227374 634280478 /nfs/dbraw/zinc/28/04/78/634280478.db2.gz JLMINKBLUIGGSU-ILWJIGKKSA-N 0 0 279.267 2.937 20 5 CFBDRN C[C@]1(COC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000851268976 634300943 /nfs/dbraw/zinc/30/09/43/634300943.db2.gz ZEKKJLDDQLBTJE-GFCCVEGCSA-N 0 0 285.246 2.726 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCC(C)(C)C(C)(F)F ZINC000851337976 634329653 /nfs/dbraw/zinc/32/96/53/634329653.db2.gz JXQYJODJHZCBNR-UHFFFAOYSA-N 0 0 290.266 2.772 20 5 CFBDRN CC1CC(COC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000851461380 634374315 /nfs/dbraw/zinc/37/43/15/634374315.db2.gz NHLWAVGZFBKEPO-UHFFFAOYSA-N 0 0 263.293 2.727 20 5 CFBDRN CC1CC(COC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000851461171 634374467 /nfs/dbraw/zinc/37/44/67/634374467.db2.gz JIGGSLKPTQATKU-UHFFFAOYSA-N 0 0 279.292 2.563 20 5 CFBDRN Cc1ccc(N2CCCc3n[nH]cc3C2)c([N+](=O)[O-])c1 ZINC000852968246 634868521 /nfs/dbraw/zinc/86/85/21/634868521.db2.gz OXXLIBAINFSJJZ-UHFFFAOYSA-N 0 0 272.308 2.579 20 5 CFBDRN O=Cc1ccc(OCCC2SCCS2)c([N+](=O)[O-])c1 ZINC000853012625 634875027 /nfs/dbraw/zinc/87/50/27/634875027.db2.gz CNTBTYVQRLMUDK-UHFFFAOYSA-N 0 0 299.373 2.982 20 5 CFBDRN Cc1noc(COc2ccc([N+](=O)[O-])cc2C)c1[N+](=O)[O-] ZINC000853019336 634877410 /nfs/dbraw/zinc/87/74/10/634877410.db2.gz OMLZBQDKBDUYLM-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN COc1cc(N2CCC[C@@H]2C)c([N+](=O)[O-])cc1C ZINC000853394600 634992070 /nfs/dbraw/zinc/99/20/70/634992070.db2.gz UTVUWEZQLZWYNI-JTQLQIEISA-N 0 0 250.298 2.901 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCC[C@H]2O)c([N+](=O)[O-])cc1C ZINC000853457369 635004747 /nfs/dbraw/zinc/00/47/47/635004747.db2.gz IOJCKTVKFDJISG-BXUZGUMPSA-N 0 0 294.351 2.509 20 5 CFBDRN CCON(C)c1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000853510364 635022644 /nfs/dbraw/zinc/02/26/44/635022644.db2.gz MQSVJKCAZOVDAF-UHFFFAOYSA-N 0 0 260.677 2.645 20 5 CFBDRN Cc1nn(CN2CCC(C3CCC3)CC2)cc1[N+](=O)[O-] ZINC000853536145 635030456 /nfs/dbraw/zinc/03/04/56/635030456.db2.gz MUDJKTKQRRKKLT-UHFFFAOYSA-N 0 0 278.356 2.569 20 5 CFBDRN COc1cc(N2CCC3(CC3)C2)c([N+](=O)[O-])cc1C ZINC000853569451 635037923 /nfs/dbraw/zinc/03/79/23/635037923.db2.gz VCJDVHXXKLQXFI-UHFFFAOYSA-N 0 0 262.309 2.902 20 5 CFBDRN Cc1cc(N2CCC[C@H](CO)CC2)c([N+](=O)[O-])s1 ZINC000853648592 635060406 /nfs/dbraw/zinc/06/04/06/635060406.db2.gz LGKKXNOLGXAKTJ-JTQLQIEISA-N 0 0 270.354 2.564 20 5 CFBDRN CC1=NO[C@H](CNc2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000853661250 635065441 /nfs/dbraw/zinc/06/54/41/635065441.db2.gz LFXWSINMNYCCNI-VIFPVBQESA-N 0 0 267.260 2.619 20 5 CFBDRN COC(OC)[C@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000853681975 635073626 /nfs/dbraw/zinc/07/36/26/635073626.db2.gz XIDAYEPAUGFDOJ-VIFPVBQESA-N 0 0 291.307 2.562 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccnc(Cl)c1 ZINC000853692207 635077241 /nfs/dbraw/zinc/07/72/41/635077241.db2.gz RTTPVBDXVXYMRY-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCO[C@H]2CC2(F)F)c(F)c1F ZINC000853709612 635081872 /nfs/dbraw/zinc/08/18/72/635081872.db2.gz JXHSZJBPIQPZMV-QMMMGPOBSA-N 0 0 295.188 2.676 20 5 CFBDRN C[C@@]1(CCNc2ccc(N)c([N+](=O)[O-])n2)C[C@]1(F)Cl ZINC000853712129 635082783 /nfs/dbraw/zinc/08/27/83/635082783.db2.gz KKLHLOGXLZDPSE-GHMZBOCLSA-N 0 0 288.710 2.689 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2nnc3c2CCCC3)ccc1Cl ZINC000853747878 635092987 /nfs/dbraw/zinc/09/29/87/635092987.db2.gz AUVAWKBLXREOJX-UHFFFAOYSA-N 0 0 292.726 2.767 20 5 CFBDRN COCC1(Nc2nc3ccccn3c2[N+](=O)[O-])CCCC1 ZINC000853757117 635096706 /nfs/dbraw/zinc/09/67/06/635096706.db2.gz FKOLWUNUFFWAPK-UHFFFAOYSA-N 0 0 290.323 2.614 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000853765447 635100061 /nfs/dbraw/zinc/10/00/61/635100061.db2.gz FOAAPHKBIYBYOP-AWEZNQCLSA-N 0 0 262.309 2.600 20 5 CFBDRN Cc1cc(NC/C=C\CO)c(Cl)cc1[N+](=O)[O-] ZINC000853794871 635108234 /nfs/dbraw/zinc/10/82/34/635108234.db2.gz RLXDDIQFGYEKRH-IHWYPQMZSA-N 0 0 256.689 2.517 20 5 CFBDRN Cc1ccc(N2C[C@@H](C)C(=O)[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000853820300 635117964 /nfs/dbraw/zinc/11/79/64/635117964.db2.gz MGNRCKRERZNKAV-GHMZBOCLSA-N 0 0 262.309 2.565 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2ccc([N+](=O)[O-])c3nonc32)O1 ZINC000853849259 635131385 /nfs/dbraw/zinc/13/13/85/635131385.db2.gz IXLWONUILLNCRF-BDAKNGLRSA-N 0 0 292.295 2.501 20 5 CFBDRN C[C@@]1(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1(F)F ZINC000853849237 635131482 /nfs/dbraw/zinc/13/14/82/635131482.db2.gz IMAQVMUYGBHTPC-JTQLQIEISA-N 0 0 287.222 2.960 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])O1 ZINC000853848659 635131543 /nfs/dbraw/zinc/13/15/43/635131543.db2.gz AOQLBVULLMGFKV-VHSXEESVSA-N 0 0 295.295 2.873 20 5 CFBDRN COc1ccc2c(c1)CCN(c1nc(C)ccc1[N+](=O)[O-])C2 ZINC000853858414 635135517 /nfs/dbraw/zinc/13/55/17/635135517.db2.gz JJAGRMGUYNBNOR-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN O=C(OCCOC(F)F)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000853882519 635145035 /nfs/dbraw/zinc/14/50/35/635145035.db2.gz KBCAZNJSLYGYIH-UHFFFAOYSA-N 0 0 295.625 2.644 20 5 CFBDRN Cc1ccc(CC(=O)OCc2onc(C)c2[N+](=O)[O-])s1 ZINC000853893531 635148250 /nfs/dbraw/zinc/14/82/50/635148250.db2.gz YJFCUWCXBIMCJQ-UHFFFAOYSA-N 0 0 296.304 2.547 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000853901233 635151888 /nfs/dbraw/zinc/15/18/88/635151888.db2.gz VUWZOBBIIWYKIU-PSASIEDQSA-N 0 0 282.296 2.761 20 5 CFBDRN CCCC1(C(=O)OCc2onc(C)c2[N+](=O)[O-])CC1 ZINC000853903473 635154010 /nfs/dbraw/zinc/15/40/10/635154010.db2.gz AWJWBTPSUWSXGM-UHFFFAOYSA-N 0 0 268.269 2.515 20 5 CFBDRN Cc1noc(COC(=O)c2cccn2C(C)C)c1[N+](=O)[O-] ZINC000853907311 635158152 /nfs/dbraw/zinc/15/81/52/635158152.db2.gz OQPNSVNYXIKNID-UHFFFAOYSA-N 0 0 293.279 2.631 20 5 CFBDRN C/C(=C\C(C)(C)C)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853909808 635158809 /nfs/dbraw/zinc/15/88/09/635158809.db2.gz RIQOGEXLRUQQFP-SOFGYWHQSA-N 0 0 282.296 2.927 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000853927125 635168107 /nfs/dbraw/zinc/16/81/07/635168107.db2.gz ZHAOBDLVRFYEPR-CZMCAQCFSA-N 0 0 282.296 2.761 20 5 CFBDRN CO[C@H](CNc1cc(N)ccc1[N+](=O)[O-])C1CCCC1 ZINC000853935397 635169336 /nfs/dbraw/zinc/16/93/36/635169336.db2.gz GWKWHZZGOKQMTH-CQSZACIVSA-N 0 0 279.340 2.794 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1CCC2(COC2)C1 ZINC000853950481 635175260 /nfs/dbraw/zinc/17/52/60/635175260.db2.gz RUQUAHXPSGAXCA-UHFFFAOYSA-N 0 0 284.262 2.759 20 5 CFBDRN CN(CC1=CCSC1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000853982106 635185017 /nfs/dbraw/zinc/18/50/17/635185017.db2.gz VETLDDWLQQRKNL-UHFFFAOYSA-N 0 0 286.303 2.982 20 5 CFBDRN Cc1cc(NCCOCCF)c(Cl)cc1[N+](=O)[O-] ZINC000853996454 635187105 /nfs/dbraw/zinc/18/71/05/635187105.db2.gz UXENWALHDBERBR-UHFFFAOYSA-N 0 0 276.695 2.955 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000854186537 635246489 /nfs/dbraw/zinc/24/64/89/635246489.db2.gz MXTFGKSUBNIYEN-SNVBAGLBSA-N 0 0 261.281 2.743 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000854186536 635246781 /nfs/dbraw/zinc/24/67/81/635246781.db2.gz MXTFGKSUBNIYEN-JTQLQIEISA-N 0 0 261.281 2.743 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CCC[C@H]3C[C@H]32)c1F ZINC000854422333 635302368 /nfs/dbraw/zinc/30/23/68/635302368.db2.gz WWZXMHYOMFIIIW-DTWKUNHWSA-N 0 0 296.273 2.806 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2CC3CCC2CC3)cc1[N+](=O)[O-] ZINC000854495524 635317669 /nfs/dbraw/zinc/31/76/69/635317669.db2.gz PBSRHVRLNDIEBC-RTYFJBAXSA-N 0 0 289.335 2.942 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000854496736 635317685 /nfs/dbraw/zinc/31/76/85/635317685.db2.gz QBQUOLCVCKFCHJ-MGCOHNPYSA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCC1 ZINC000854496892 635317725 /nfs/dbraw/zinc/31/77/25/635317725.db2.gz STQKRMQJOSICLB-QMMMGPOBSA-N 0 0 263.297 2.552 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)(C)C ZINC000854497754 635318399 /nfs/dbraw/zinc/31/83/99/635318399.db2.gz XLVCLEZMDLHUKH-QMMMGPOBSA-N 0 0 265.313 2.798 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497817 635318608 /nfs/dbraw/zinc/31/86/08/635318608.db2.gz ZOFVGZGQNMCCGJ-SECBINFHSA-N 0 0 265.313 2.798 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(Cl)nn2)cc([N+](=O)[O-])c1 ZINC000112810392 635426593 /nfs/dbraw/zinc/42/65/93/635426593.db2.gz JBQXRXVNWBEQCO-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC(C)(C(=O)N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000855431174 635543344 /nfs/dbraw/zinc/54/33/44/635543344.db2.gz SSBMBIRMVRURRA-JTQLQIEISA-N 0 0 292.310 2.846 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000855564443 635584288 /nfs/dbraw/zinc/58/42/88/635584288.db2.gz KFFRHDCZORMDOG-ZDUSSCGKSA-N 0 0 294.326 2.935 20 5 CFBDRN Cc1ccc(C(=O)OCCCOC(C)C)cc1[N+](=O)[O-] ZINC000113464892 635587296 /nfs/dbraw/zinc/58/72/96/635587296.db2.gz ZLDLIPUJIPEQHS-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCCOC(C)C ZINC000113467719 635587868 /nfs/dbraw/zinc/58/78/68/635587868.db2.gz YXVVHYRHSXMTTK-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCCC(C)(C)F)c1[N+](=O)[O-] ZINC000855744464 635633751 /nfs/dbraw/zinc/63/37/51/635633751.db2.gz FYWPVNCFCGADBA-UHFFFAOYSA-N 0 0 286.278 2.910 20 5 CFBDRN CC(C)(F)CCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000855746551 635634671 /nfs/dbraw/zinc/63/46/71/635634671.db2.gz HVHIUECTARRRJQ-YVMONPNESA-N 0 0 280.299 2.862 20 5 CFBDRN CC12CC(C1)CN2C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000856510260 635828703 /nfs/dbraw/zinc/82/87/03/635828703.db2.gz MVPFAPLYZPWEKA-QPJJXVBHSA-N 0 0 272.304 2.619 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)SC ZINC000857572158 635985505 /nfs/dbraw/zinc/98/55/05/635985505.db2.gz UCSGXIAICSHIBJ-UHFFFAOYSA-N 0 0 284.337 2.684 20 5 CFBDRN O=C(CCCF)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000857583180 635987751 /nfs/dbraw/zinc/98/77/51/635987751.db2.gz POIATLGMLLLMLG-UHFFFAOYSA-N 0 0 260.652 2.936 20 5 CFBDRN O=C(Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C(F)=C1CCC1 ZINC000857633961 635998990 /nfs/dbraw/zinc/99/89/90/635998990.db2.gz AWEAGXITIMBCCY-UHFFFAOYSA-N 0 0 296.210 2.816 20 5 CFBDRN O=C(CCC1CC1)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857635954 635999616 /nfs/dbraw/zinc/99/96/16/635999616.db2.gz BWEKIYMBYFRENP-UHFFFAOYSA-N 0 0 280.236 2.599 20 5 CFBDRN CC(C)(C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CC1 ZINC000857637154 635999696 /nfs/dbraw/zinc/99/96/96/635999696.db2.gz WLRFVEDHAMBJBX-UHFFFAOYSA-N 0 0 294.263 2.845 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCC[C@@H]1CC1(F)F ZINC000857685563 636008176 /nfs/dbraw/zinc/00/81/76/636008176.db2.gz UWEJOINWPABGFO-ZTYXWKCZSA-N 0 0 296.273 2.770 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCc3nn(C)cc32)n1 ZINC000857897873 636054508 /nfs/dbraw/zinc/05/45/08/636054508.db2.gz CCBZEVXRKZYPHX-LLVKDONJSA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1ccc(O[C@H]2CCN(c3ccc([N+](=O)[O-])cc3)C2)nc1 ZINC000858009777 636113294 /nfs/dbraw/zinc/11/32/94/636113294.db2.gz ZMRLIYZMQGPUDH-HNNXBMFYSA-N 0 0 299.330 2.956 20 5 CFBDRN C[C@@]1(Br)C[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000858097343 636124018 /nfs/dbraw/zinc/12/40/18/636124018.db2.gz JKVDWCMFFQUHDK-GXSJLCMTSA-N 0 0 299.124 2.707 20 5 CFBDRN COCCCNC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000858104093 636126559 /nfs/dbraw/zinc/12/65/59/636126559.db2.gz XZUIGOBAYJUUIT-UHFFFAOYSA-N 0 0 288.303 2.514 20 5 CFBDRN C/C=C/CNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000858496285 636271252 /nfs/dbraw/zinc/27/12/52/636271252.db2.gz MELNBSOPIHIKQH-FSIBCCDJSA-N 0 0 263.297 2.531 20 5 CFBDRN Cc1ccc(CC(=O)OCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000047840201 650246583 /nfs/dbraw/zinc/24/65/83/650246583.db2.gz UIWSCHSQBUSTMW-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN O=[N+]([O-])c1c(NCC(F)(F)C(F)F)nc2ccccn21 ZINC000858645619 636315964 /nfs/dbraw/zinc/31/59/64/636315964.db2.gz XZRDUKJGUPZHAU-UHFFFAOYSA-N 0 0 292.192 2.555 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000858690054 636325719 /nfs/dbraw/zinc/32/57/19/636325719.db2.gz NQDXTEVXLMXENV-QMMMGPOBSA-N 0 0 298.245 2.547 20 5 CFBDRN CN(CC1(C)COC1)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000858705820 636329231 /nfs/dbraw/zinc/32/92/31/636329231.db2.gz MCSSIJRGENQURT-UHFFFAOYSA-N 0 0 293.348 2.677 20 5 CFBDRN CC(C)CC[C@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000858708209 636329329 /nfs/dbraw/zinc/32/93/29/636329329.db2.gz MIPIGQFULUVLEZ-SNVBAGLBSA-N 0 0 297.311 2.712 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(Nc2cnn(CCF)c2)c1 ZINC000858718635 636331836 /nfs/dbraw/zinc/33/18/36/636331836.db2.gz TWEADUZQVXJOAA-UHFFFAOYSA-N 0 0 298.249 2.652 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000858731805 636334557 /nfs/dbraw/zinc/33/45/57/636334557.db2.gz DESVACBPLUJYGL-VIFPVBQESA-N 0 0 282.321 2.720 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000858777131 636344309 /nfs/dbraw/zinc/34/43/09/636344309.db2.gz FXERXXKDTRBUGP-JXVAYASWSA-N 0 0 295.295 2.727 20 5 CFBDRN CN(OCC(F)(F)F)c1ncc([N+](=O)[O-])cc1Cl ZINC000858869750 636364867 /nfs/dbraw/zinc/36/48/67/636364867.db2.gz NDMDJILXGKXFAR-UHFFFAOYSA-N 0 0 285.609 2.573 20 5 CFBDRN CCCOCCOC(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000858873862 636365048 /nfs/dbraw/zinc/36/50/48/636365048.db2.gz KWLDNIRYKDKDDS-UHFFFAOYSA-N 0 0 269.253 2.537 20 5 CFBDRN CN(OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000858869555 636365277 /nfs/dbraw/zinc/36/52/77/636365277.db2.gz LBEYBCJKVSWYSE-UHFFFAOYSA-N 0 0 250.176 2.525 20 5 CFBDRN CCCOCCOC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000858905401 636378972 /nfs/dbraw/zinc/37/89/72/636378972.db2.gz WRBQWYYYSZJJHZ-UHFFFAOYSA-N 0 0 283.280 2.845 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)OCCF)cc1[N+](=O)[O-] ZINC000859055484 636429085 /nfs/dbraw/zinc/42/90/85/636429085.db2.gz KOIHXDBMLDXRAJ-VIFPVBQESA-N 0 0 270.260 2.660 20 5 CFBDRN CCCOC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000859171884 636485416 /nfs/dbraw/zinc/48/54/16/636485416.db2.gz OOAADISYPGGFLI-VIFPVBQESA-N 0 0 252.270 2.792 20 5 CFBDRN Cc1ccccc1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000048265867 650261232 /nfs/dbraw/zinc/26/12/32/650261232.db2.gz HFGQTYNISKEODN-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN O=C(COC(=O)C1CCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000859447946 636567357 /nfs/dbraw/zinc/56/73/57/636567357.db2.gz APZYLBXZJXFAJR-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN CC/C=C(/C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859467680 636573975 /nfs/dbraw/zinc/57/39/75/636573975.db2.gz VHIHKYOXJHDRPS-WTKPLQERSA-N 0 0 295.266 2.816 20 5 CFBDRN CCO[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000859506410 636583728 /nfs/dbraw/zinc/58/37/28/636583728.db2.gz MZXSMYACHPGNNW-ZDUSSCGKSA-N 0 0 297.282 2.706 20 5 CFBDRN CCO[C@H](COC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000859509147 636584359 /nfs/dbraw/zinc/58/43/59/636584359.db2.gz GUSLECDEJBDYTH-SNVBAGLBSA-N 0 0 285.321 2.628 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000859527208 636589681 /nfs/dbraw/zinc/58/96/81/636589681.db2.gz PIBRKFVTUPFULR-JGVFFNPUSA-N 0 0 253.229 2.547 20 5 CFBDRN CCO[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000859535644 636591655 /nfs/dbraw/zinc/59/16/55/636591655.db2.gz PGVBCTMXGXYBFY-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000859535749 636593068 /nfs/dbraw/zinc/59/30/68/636593068.db2.gz ZAWNKCQFPRGGEL-RQJHMYQMSA-N 0 0 271.219 2.686 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@H]2C[C@@H]2C)c1[N+](=O)[O-] ZINC000859583498 636610260 /nfs/dbraw/zinc/61/02/60/636610260.db2.gz GAQRJYFEMXKZRK-IONNQARKSA-N 0 0 267.256 2.855 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@H]1c1ccccc1 ZINC000048620372 650273158 /nfs/dbraw/zinc/27/31/58/650273158.db2.gz UBWGYWPFMRXFPG-ZDUSSCGKSA-N 0 0 272.308 2.586 20 5 CFBDRN CC/C(C)=C\C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859711642 636662727 /nfs/dbraw/zinc/66/27/27/636662727.db2.gz VMFSVDHSZJYMTG-TWGQIWQCSA-N 0 0 295.266 2.816 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@H]1C[C@H]1C ZINC000859731890 636671583 /nfs/dbraw/zinc/67/15/83/636671583.db2.gz IUXRULDGTAIJNZ-VXNVDRBHSA-N 0 0 267.256 2.855 20 5 CFBDRN CCC[C@H](OCC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000859841149 636720996 /nfs/dbraw/zinc/72/09/96/636720996.db2.gz XEXPARMKBHZVDC-ZDUSSCGKSA-N 0 0 299.298 2.982 20 5 CFBDRN C/C(=C/C(=O)NCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000048807320 650279873 /nfs/dbraw/zinc/27/98/73/650279873.db2.gz PHOPFFOLMMTTPA-NTMALXAHSA-N 0 0 260.293 2.567 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCC[C@@H]2CO)ccc1Cl ZINC000048857601 650280433 /nfs/dbraw/zinc/28/04/33/650280433.db2.gz BPSZHRXWAGKEJC-LLVKDONJSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCC[C@H]2CO)ccc1Cl ZINC000048857604 650280817 /nfs/dbraw/zinc/28/08/17/650280817.db2.gz BPSZHRXWAGKEJC-NSHDSACASA-N 0 0 284.743 2.595 20 5 CFBDRN COCCCOC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000194254469 636766904 /nfs/dbraw/zinc/76/69/04/636766904.db2.gz JRUWSNJVZSQDNJ-UHFFFAOYSA-N 0 0 285.321 2.510 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000860140758 636800723 /nfs/dbraw/zinc/80/07/23/636800723.db2.gz HPUPOUUAOCTSFU-FBKDDSFISA-N 0 0 293.319 2.698 20 5 CFBDRN C[C@H](OC(=O)C12CC(C1)C2)c1cccc([N+](=O)[O-])c1 ZINC000860271455 636844510 /nfs/dbraw/zinc/84/45/10/636844510.db2.gz AOTFYZJUXHODNF-IPWFMCSPSA-N 0 0 261.277 2.999 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000860465913 636891049 /nfs/dbraw/zinc/89/10/49/636891049.db2.gz QIGIWTHFLCXMOO-GFCCVEGCSA-N 0 0 297.307 2.714 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1[C@@H](C)[C@H](C)O[C@H]1C ZINC000860479219 636893205 /nfs/dbraw/zinc/89/32/05/636893205.db2.gz LEIOJNYCCWMSAE-RMIALFOJSA-N 0 0 293.319 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cnn(C2CC2)c1 ZINC000860479652 636893604 /nfs/dbraw/zinc/89/36/04/636893604.db2.gz UWRHNUCQTIWEOP-UHFFFAOYSA-N 0 0 287.275 2.654 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C ZINC000860479216 636893701 /nfs/dbraw/zinc/89/37/01/636893701.db2.gz LEIOJNYCCWMSAE-MIJXAVMKSA-N 0 0 293.319 2.868 20 5 CFBDRN CCO[C@@H](C(=O)Oc1ccc(CC)cc1[N+](=O)[O-])C1CC1 ZINC000860551940 636908761 /nfs/dbraw/zinc/90/87/61/636908761.db2.gz IUHQBTAKSDBWCQ-CQSZACIVSA-N 0 0 293.319 2.878 20 5 CFBDRN CCO[C@@H](C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1)C(C)C ZINC000860702958 636950527 /nfs/dbraw/zinc/95/05/27/636950527.db2.gz IKNIZQYNPWNXGX-CYBMUJFWSA-N 0 0 297.307 2.570 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCc1ccc(Cl)c(F)c1 ZINC000860786718 636971988 /nfs/dbraw/zinc/97/19/88/636971988.db2.gz FTDRUXXNFIQWFR-MRVPVSSYSA-N 0 0 289.690 2.620 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1csc(C(F)(F)F)c1 ZINC000860786429 636972004 /nfs/dbraw/zinc/97/20/04/636972004.db2.gz CGSDGENRGRPYAQ-RXMQYKEDSA-N 0 0 283.227 2.589 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000860788060 636972126 /nfs/dbraw/zinc/97/21/26/636972126.db2.gz QEIFKYGGUMZBPY-MRVWCRGKSA-N 0 0 277.320 2.779 20 5 CFBDRN Cc1cc(C(F)F)oc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000860866706 636995137 /nfs/dbraw/zinc/99/51/37/636995137.db2.gz VTJUUEMVMBNLTH-UHFFFAOYSA-N 0 0 277.223 2.738 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CC[C@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000860867299 636995203 /nfs/dbraw/zinc/99/52/03/636995203.db2.gz DSKBWWGWGGCPDQ-QWHCGFSZSA-N 0 0 295.310 2.918 20 5 CFBDRN C[C@H]1CCc2sc(C(=O)OCCC[N+](=O)[O-])cc2C1 ZINC000860912427 637015532 /nfs/dbraw/zinc/01/55/32/637015532.db2.gz NQINFZYORHSBGP-VIFPVBQESA-N 0 0 283.349 2.697 20 5 CFBDRN CCSCc1ccc(C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860915754 637016146 /nfs/dbraw/zinc/01/61/46/637016146.db2.gz JJPGHFFQBUCPMH-UHFFFAOYSA-N 0 0 283.349 2.763 20 5 CFBDRN Cc1cc(C(=O)OCCC[N+](=O)[O-])c(Cl)cc1F ZINC000860917270 637016277 /nfs/dbraw/zinc/01/62/77/637016277.db2.gz IEVWUJWBQRZZIL-UHFFFAOYSA-N 0 0 275.663 2.611 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000860917412 637016295 /nfs/dbraw/zinc/01/62/95/637016295.db2.gz LGLUYOSJDWIUGI-FRRDWIJNSA-N 0 0 269.341 2.803 20 5 CFBDRN C[C@H](CCc1cccc(F)c1)C(=O)OCCC[N+](=O)[O-] ZINC000860917457 637016317 /nfs/dbraw/zinc/01/63/17/637016317.db2.gz LOLQQKCZYMNUJO-LLVKDONJSA-N 0 0 283.299 2.604 20 5 CFBDRN CC[C@H](O[C@H]1CCC[C@H](C)C1)C(=O)OCCC[N+](=O)[O-] ZINC000860918136 637017032 /nfs/dbraw/zinc/01/70/32/637017032.db2.gz YNOSSPLJDGILNB-AVGNSLFASA-N 0 0 287.356 2.570 20 5 CFBDRN CSc1ccc(CCCC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860919782 637017046 /nfs/dbraw/zinc/01/70/46/637017046.db2.gz VJUFYROFUWPJNO-UHFFFAOYSA-N 0 0 297.376 2.941 20 5 CFBDRN CC[C@H](Cc1ccccc1C)C(=O)OCCC[N+](=O)[O-] ZINC000860918645 637017117 /nfs/dbraw/zinc/01/71/17/637017117.db2.gz BQXCTTYAJMHDKO-CYBMUJFWSA-N 0 0 279.336 2.774 20 5 CFBDRN CCc1ccc(C(C)(C)C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860918043 637017143 /nfs/dbraw/zinc/01/71/43/637017143.db2.gz VXZBLACIDDVVFK-UHFFFAOYSA-N 0 0 279.336 2.737 20 5 CFBDRN Cc1cc(CCCC(=O)OCCC[N+](=O)[O-])c(C)s1 ZINC000860917938 637017375 /nfs/dbraw/zinc/01/73/75/637017375.db2.gz TZDBHJCXUCINFN-UHFFFAOYSA-N 0 0 285.365 2.898 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cccc(C(F)F)c1F ZINC000860922957 637018009 /nfs/dbraw/zinc/01/80/09/637018009.db2.gz KBCAUQRFBAYJQW-UHFFFAOYSA-N 0 0 277.198 2.587 20 5 CFBDRN Cc1c(C(=O)OCCC[N+](=O)[O-])sc2nccc(C)c12 ZINC000860921056 637018152 /nfs/dbraw/zinc/01/81/52/637018152.db2.gz NHDIYHRDBIZJQY-UHFFFAOYSA-N 0 0 294.332 2.737 20 5 CFBDRN Cc1ccc(C(=O)OCC(C)(F)F)cc1[N+](=O)[O-] ZINC000861229062 637125415 /nfs/dbraw/zinc/12/54/15/637125415.db2.gz DFDRUYIVCOAUAZ-UHFFFAOYSA-N 0 0 259.208 2.715 20 5 CFBDRN Cn1c(C(=O)O[C@H]2CCC=CCCC2)ccc1[N+](=O)[O-] ZINC000861229657 637126580 /nfs/dbraw/zinc/12/65/80/637126580.db2.gz COXHKQJQBQURIG-NSHDSACASA-N 0 0 278.308 2.979 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000861304868 637157577 /nfs/dbraw/zinc/15/75/77/637157577.db2.gz LWMPSDIFZDWGRF-LSJOCFKGSA-N 0 0 297.282 2.558 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000861596879 637213880 /nfs/dbraw/zinc/21/38/80/637213880.db2.gz BFUBHOGVHDGVPY-YMTOWFKASA-N 0 0 270.288 2.886 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000861817320 637240236 /nfs/dbraw/zinc/24/02/36/637240236.db2.gz YAHOKISFZPBRIM-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2Cl)C1 ZINC000861948381 637259717 /nfs/dbraw/zinc/25/97/17/637259717.db2.gz RHXJMDGINAFYSP-DTORHVGOSA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(NCCCCCCc1ccccc1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000862625665 637453605 /nfs/dbraw/zinc/45/36/05/637453605.db2.gz XLBNDQWCLHPOMS-HUUCEWRRSA-N 0 0 290.363 2.571 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N(C1CC1)C1CC1 ZINC000862853319 637509337 /nfs/dbraw/zinc/50/93/37/637509337.db2.gz GTIKPBNWKSMCHQ-XCVCLJGOSA-N 0 0 272.304 2.761 20 5 CFBDRN CCC(F)(F)CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000862901138 637520470 /nfs/dbraw/zinc/52/04/70/637520470.db2.gz HMOZWIPAJXHAMP-VMPITWQZSA-N 0 0 284.262 2.770 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1cc(Cl)n(C)n1 ZINC000863352466 637637304 /nfs/dbraw/zinc/63/73/04/637637304.db2.gz LSCXYHXAZMZCDU-UHFFFAOYSA-N 0 0 295.730 2.636 20 5 CFBDRN COc1ccc(CONC(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000863461443 637666793 /nfs/dbraw/zinc/66/67/93/637666793.db2.gz JBYANKMQOACGOP-UHFFFAOYSA-N 0 0 298.295 2.560 20 5 CFBDRN NC(CCC(F)(F)F)=NOCc1cccc([N+](=O)[O-])c1 ZINC000863489113 637676419 /nfs/dbraw/zinc/67/64/19/637676419.db2.gz RPAFPOGTZPXUML-UHFFFAOYSA-N 0 0 291.229 2.936 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000864409932 637966925 /nfs/dbraw/zinc/96/69/25/637966925.db2.gz MJKNECVPNAFSRN-PHIMTYICSA-N 0 0 294.326 2.781 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2cc(Br)no2)c1 ZINC000189449842 650382607 /nfs/dbraw/zinc/38/26/07/650382607.db2.gz MZELSZXIPWIPCV-UHFFFAOYSA-N 0 0 299.080 2.924 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864690809 638069208 /nfs/dbraw/zinc/06/92/08/638069208.db2.gz RMTCIOCULOSXMD-SECBINFHSA-N 0 0 280.299 2.533 20 5 CFBDRN CCC[C@@H](C)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864693750 638070264 /nfs/dbraw/zinc/07/02/64/638070264.db2.gz WXANFPJCOHMMMR-SECBINFHSA-N 0 0 268.288 2.581 20 5 CFBDRN CC(C)CCN(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864813701 638100196 /nfs/dbraw/zinc/10/01/96/638100196.db2.gz YBPKNHIGWBPVRK-UHFFFAOYSA-N 0 0 282.315 2.781 20 5 CFBDRN COC/C=C\C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000864991499 638150508 /nfs/dbraw/zinc/15/05/08/638150508.db2.gz CAKIMRVIDJTJJT-PLNGDYQASA-N 0 0 292.335 2.534 20 5 CFBDRN CC(C)(F)CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000865242038 638236322 /nfs/dbraw/zinc/23/63/22/638236322.db2.gz GZOIMFOVEWSZGJ-UHFFFAOYSA-N 0 0 271.317 2.629 20 5 CFBDRN CC1(C)CC[C@H](CSCCn2cc([N+](=O)[O-])cn2)OC1 ZINC000865287842 638258203 /nfs/dbraw/zinc/25/82/03/638258203.db2.gz MVMGWEUUZSMJGC-GFCCVEGCSA-N 0 0 299.396 2.730 20 5 CFBDRN CCCCC(=O)COc1cc(F)ccc1[N+](=O)[O-] ZINC000865343616 638274114 /nfs/dbraw/zinc/27/41/14/638274114.db2.gz NFXAKJIOKQYZQO-UHFFFAOYSA-N 0 0 255.245 2.872 20 5 CFBDRN CC1(C)CC[C@H](COc2cccnc2[N+](=O)[O-])OC1 ZINC000865346312 638274842 /nfs/dbraw/zinc/27/48/42/638274842.db2.gz OVAMOQCBBSYBIL-SNVBAGLBSA-N 0 0 266.297 2.574 20 5 CFBDRN CC1(C)CC[C@@H](COc2ccc([N+](=O)[O-])cc2C=O)OC1 ZINC000865346555 638275019 /nfs/dbraw/zinc/27/50/19/638275019.db2.gz NATJCBDRSYSKPF-ZDUSSCGKSA-N 0 0 293.319 2.991 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)OCc2ccc([N+](=O)[O-])cc2)O1 ZINC000076857277 650407953 /nfs/dbraw/zinc/40/79/53/650407953.db2.gz CPLSZGYOELCVGP-BXUZGUMPSA-N 0 0 293.319 2.986 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(C)c(Cl)n1 ZINC000865352315 638277709 /nfs/dbraw/zinc/27/77/09/638277709.db2.gz GYYYOCOGWSDHHO-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCOC2CCOCC2)cc1 ZINC000865360831 638282808 /nfs/dbraw/zinc/28/28/08/638282808.db2.gz FBORTAXSCSHEOM-UHFFFAOYSA-N 0 0 283.349 2.981 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])sc2ncn1CCCC1CC1 ZINC000865368135 638287729 /nfs/dbraw/zinc/28/77/29/638287729.db2.gz ODERUFFVHKGOPQ-UHFFFAOYSA-N 0 0 279.321 2.556 20 5 CFBDRN CC(C)(C)SCCCn1cc([N+](=O)[O-])cc(F)c1=O ZINC000865369850 638288918 /nfs/dbraw/zinc/28/89/18/638288918.db2.gz IYCGBRPAZMPXIX-UHFFFAOYSA-N 0 0 288.344 2.817 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)C(=O)C(C)(C)C)c1 ZINC000865370714 638290062 /nfs/dbraw/zinc/29/00/62/638290062.db2.gz VRZILFZCHATTEV-VIFPVBQESA-N 0 0 281.308 2.986 20 5 CFBDRN CCOC(=O)CCS/C=C\c1ccc([N+](=O)[O-])o1 ZINC000865377858 638296225 /nfs/dbraw/zinc/29/62/25/638296225.db2.gz AUSRYUYCBZAQNG-ALCCZGGFSA-N 0 0 271.294 2.845 20 5 CFBDRN CC(C)C[C@H](C)Cn1nc([N+](=O)[O-])nc1Br ZINC000865388883 638302791 /nfs/dbraw/zinc/30/27/91/638302791.db2.gz FISPDUJKAHCMDO-ZETCQYMHSA-N 0 0 291.149 2.631 20 5 CFBDRN CCc1nc(C)cn1CCOc1cccc([N+](=O)[O-])c1 ZINC000865392613 638304390 /nfs/dbraw/zinc/30/43/90/638304390.db2.gz KYKXWURAFVZZFF-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN C[C@H](O)CNc1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000865402034 638310746 /nfs/dbraw/zinc/31/07/46/638310746.db2.gz QCWKNXJYQWDUEO-YFKPBYRVSA-N 0 0 265.096 2.694 20 5 CFBDRN COC(=O)CCCCCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000865442628 638337741 /nfs/dbraw/zinc/33/77/41/638337741.db2.gz KAVLOLGPWBJZRP-UHFFFAOYSA-N 0 0 299.302 2.664 20 5 CFBDRN CCC1CCN(c2cc(F)c([N+](=O)[O-])cc2CO)CC1 ZINC000865456869 638347286 /nfs/dbraw/zinc/34/72/86/638347286.db2.gz OUNYLQDYAMFDPR-UHFFFAOYSA-N 0 0 282.315 2.853 20 5 CFBDRN C[C@@H](CC(N)=O)Nc1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000865551471 638417151 /nfs/dbraw/zinc/41/71/51/638417151.db2.gz ARNPZEVCEPWUIS-YFKPBYRVSA-N 0 0 292.122 2.577 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC[C@@H]2CCCS2)cc1F ZINC000865606012 638454333 /nfs/dbraw/zinc/45/43/33/638454333.db2.gz MNURKZIVYVNTMJ-VIFPVBQESA-N 0 0 286.328 2.534 20 5 CFBDRN C[C@H](O)c1ccc(N2CCc3c(cccc3[N+](=O)[O-])C2)nc1 ZINC000865644892 638484243 /nfs/dbraw/zinc/48/42/43/638484243.db2.gz YAKAUPGQKOVJRX-NSHDSACASA-N 0 0 299.330 2.606 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C(F)F)CCC1=O ZINC000865686256 638510907 /nfs/dbraw/zinc/51/09/07/638510907.db2.gz JKUWBMQPHJNDHR-MRVPVSSYSA-N 0 0 284.262 2.948 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NC[C@@H]3CCC=CO3)c2c1 ZINC000865709544 638527735 /nfs/dbraw/zinc/52/77/35/638527735.db2.gz XIFGEBOYKXFYIO-LBPRGKRZSA-N 0 0 285.303 2.670 20 5 CFBDRN Cc1ccc(C[NH2+]Cc2cnn(C(C)C)c2)cc1[N+](=O)[O-] ZINC000865787140 638574062 /nfs/dbraw/zinc/57/40/62/638574062.db2.gz IVHLFQQKXZWVBT-UHFFFAOYSA-N 0 0 288.351 2.970 20 5 CFBDRN CON(CC(C)(C)C)c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865799868 638579967 /nfs/dbraw/zinc/57/99/67/638579967.db2.gz SRRYPNVMAQOUIC-UHFFFAOYSA-N 0 0 286.303 2.640 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCCC2(F)CCC2)cc1F ZINC000865808921 638586181 /nfs/dbraw/zinc/58/61/81/638586181.db2.gz UZWITQVQOFRGCC-UHFFFAOYSA-N 0 0 286.278 2.920 20 5 CFBDRN CC[C@H](C)CONc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000865826919 638595480 /nfs/dbraw/zinc/59/54/80/638595480.db2.gz OPAZLQMONJCPNW-LURJTMIESA-N 0 0 296.249 2.737 20 5 CFBDRN C[C@H]1C[C@@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)CS1 ZINC000865876365 638636327 /nfs/dbraw/zinc/63/63/27/638636327.db2.gz KYWYSCNZNZXNEL-IONNQARKSA-N 0 0 286.328 2.532 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CC[C@H]3C[C@H]3C2)cc1F ZINC000865901990 638650661 /nfs/dbraw/zinc/65/06/61/638650661.db2.gz LJONNUIFLACZIA-ATZCPNFKSA-N 0 0 280.299 2.827 20 5 CFBDRN CNC(=O)CCSc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865908035 638652694 /nfs/dbraw/zinc/65/26/94/638652694.db2.gz JBWYVBXGCXBCTE-UHFFFAOYSA-N 0 0 290.291 2.761 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CCCN(CCF)C1 ZINC000865927485 638661117 /nfs/dbraw/zinc/66/11/17/638661117.db2.gz CIBLJVCLZDNNJG-VIFPVBQESA-N 0 0 273.333 2.502 20 5 CFBDRN CCC(C)(C)N(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865955693 638675791 /nfs/dbraw/zinc/67/57/91/638675791.db2.gz ODHAZBMLWCTFET-UHFFFAOYSA-N 0 0 282.315 2.923 20 5 CFBDRN CCCCOC(=O)CSc1nccc(C)c1[N+](=O)[O-] ZINC000866030776 638704321 /nfs/dbraw/zinc/70/43/21/638704321.db2.gz IUGJZHCPDLSECE-UHFFFAOYSA-N 0 0 284.337 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2[C@H](O)c2ccccc2)nc1 ZINC000866051276 638714009 /nfs/dbraw/zinc/71/40/09/638714009.db2.gz JXIRZDWLBWTAOU-GOEBONIOSA-N 0 0 299.330 2.692 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000866068930 638721598 /nfs/dbraw/zinc/72/15/98/638721598.db2.gz FDLOTZMJBGEINS-MFKMUULPSA-N 0 0 292.335 2.801 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])cc2)C1 ZINC000866070114 638722514 /nfs/dbraw/zinc/72/25/14/638722514.db2.gz TWVSNMPORSQJQN-MFKMUULPSA-N 0 0 250.298 2.599 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])s2)C1 ZINC000866072571 638724709 /nfs/dbraw/zinc/72/47/09/638724709.db2.gz UFQTVJGLELHQLV-DTWKUNHWSA-N 0 0 256.327 2.660 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](OC3CCC3)CC2)cn1 ZINC000866145636 638757307 /nfs/dbraw/zinc/75/73/07/638757307.db2.gz OSORDZCQIJRXOQ-AWEZNQCLSA-N 0 0 291.351 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C1CCC1)[C@H]1CCOC1 ZINC000866144129 638757399 /nfs/dbraw/zinc/75/73/99/638757399.db2.gz WYANAHKKHRBCLZ-JSGCOSHPSA-N 0 0 291.351 2.915 20 5 CFBDRN C[C@]1(C(F)(F)F)CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000866158526 638760236 /nfs/dbraw/zinc/76/02/36/638760236.db2.gz NOLMGDNPKVWIGG-SECBINFHSA-N 0 0 296.270 2.814 20 5 CFBDRN C[C@]1(C(F)(F)F)CN(c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000866160305 638760742 /nfs/dbraw/zinc/76/07/42/638760742.db2.gz VEYUVAWTWFVOBJ-LLVKDONJSA-N 0 0 290.241 2.752 20 5 CFBDRN Nc1ccc(N2C[C@@H]3CC=CC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000866162395 638761526 /nfs/dbraw/zinc/76/15/26/638761526.db2.gz ZAXHIKVSIAMURI-PHIMTYICSA-N 0 0 259.309 2.579 20 5 CFBDRN Cc1cc(CO)cc(NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000866181333 638764818 /nfs/dbraw/zinc/76/48/18/638764818.db2.gz BXHBQFOLNKIRGW-UHFFFAOYSA-N 0 0 287.319 2.711 20 5 CFBDRN Cc1cnc(NC[C@H]2c3ccccc3CN2C)c([N+](=O)[O-])c1 ZINC000866194367 638767282 /nfs/dbraw/zinc/76/72/82/638767282.db2.gz IKLVFAQUADVOAJ-HNNXBMFYSA-N 0 0 298.346 2.897 20 5 CFBDRN Cc1ccnc(NC[C@H]2c3ccccc3CN2C)c1[N+](=O)[O-] ZINC000866195103 638767997 /nfs/dbraw/zinc/76/79/97/638767997.db2.gz NDDVGZLXWZWYEE-AWEZNQCLSA-N 0 0 298.346 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3CSC[C@@H]3C2)cc1 ZINC000866205186 638770091 /nfs/dbraw/zinc/77/00/91/638770091.db2.gz BQLNDTSYXKVQRK-QWRGUYRKSA-N 0 0 264.350 2.784 20 5 CFBDRN CCOc1cc(N2C[C@@H](O)CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000866227334 638774276 /nfs/dbraw/zinc/77/42/76/638774276.db2.gz SZNCVPSEHLVHDU-LBPRGKRZSA-N 0 0 294.351 2.591 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC(C(C)(C)O)CC1 ZINC000866230960 638775548 /nfs/dbraw/zinc/77/55/48/638775548.db2.gz JRJJLMKPZQHZNJ-UHFFFAOYSA-N 0 0 294.351 2.591 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)c2ccnn2C)c([N+](=O)[O-])c1 ZINC000866250612 638780331 /nfs/dbraw/zinc/78/03/31/638780331.db2.gz BFPUQQGJTJWITI-VIFPVBQESA-N 0 0 288.307 2.704 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866268095 638784906 /nfs/dbraw/zinc/78/49/06/638784906.db2.gz MMHJDSNTIDBWSX-CMPLNLGQSA-N 0 0 294.326 2.923 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1C[C@H]1C1CCOCC1 ZINC000866271792 638785871 /nfs/dbraw/zinc/78/58/71/638785871.db2.gz DSADPZCEADDESK-VHSXEESVSA-N 0 0 268.338 2.883 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H]2C2CCOCC2)ccc1[N+](=O)[O-] ZINC000866272762 638786343 /nfs/dbraw/zinc/78/63/43/638786343.db2.gz TVTJSRJBGKGSOM-NWDGAFQWSA-N 0 0 277.324 2.525 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H]2C2CCOCC2)ncc1[N+](=O)[O-] ZINC000866273420 638786778 /nfs/dbraw/zinc/78/67/78/638786778.db2.gz LWKJVXKLUPQPCM-NWDGAFQWSA-N 0 0 277.324 2.525 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1CCCn2nccc21 ZINC000866298399 638791148 /nfs/dbraw/zinc/79/11/48/638791148.db2.gz YUVHHALBTHWULS-JTQLQIEISA-N 0 0 276.271 2.877 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1cc(C)sc1[N+](=O)[O-] ZINC000866301603 638792037 /nfs/dbraw/zinc/79/20/37/638792037.db2.gz PJILKXRVIOVSGP-IONNQARKSA-N 0 0 258.343 2.926 20 5 CFBDRN CC[C@@H](NCc1ccc(C)c([N+](=O)[O-])c1)c1nccn1C ZINC000866540216 638838973 /nfs/dbraw/zinc/83/89/73/638838973.db2.gz LEYCJYLTBQZLRR-CYBMUJFWSA-N 0 0 288.351 2.878 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868031008 638917070 /nfs/dbraw/zinc/91/70/70/638917070.db2.gz YYTBXLXFGWBFAB-CMPLNLGQSA-N 0 0 294.326 2.829 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000868525459 638953048 /nfs/dbraw/zinc/95/30/48/638953048.db2.gz UULZWMDQIGXBDV-GWCFXTLKSA-N 0 0 291.351 2.891 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC[C@H]1C(F)F ZINC000868605931 638961036 /nfs/dbraw/zinc/96/10/36/638961036.db2.gz LREAOHYVKTZHPW-QMMMGPOBSA-N 0 0 290.653 2.728 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000869052837 639015035 /nfs/dbraw/zinc/01/50/35/639015035.db2.gz FLJPGWYXMRJYCL-CYBMUJFWSA-N 0 0 291.351 2.987 20 5 CFBDRN Cc1ccc(CNC(=O)N2C[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000869052751 639015082 /nfs/dbraw/zinc/01/50/82/639015082.db2.gz DIQJIMDKJPKLIH-JQWIXIFHSA-N 0 0 291.351 2.843 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCCCC1CCC1 ZINC000869270507 639121523 /nfs/dbraw/zinc/12/15/23/639121523.db2.gz SGSXHJWJDNOAKJ-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN CC[C@](C)(NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000869272607 639127315 /nfs/dbraw/zinc/12/73/15/639127315.db2.gz NPXDRJPQLIPZKN-HNNXBMFYSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1cc(C)c(COC(=O)c2ccc([N+](=O)[O-])o2)c(C)n1 ZINC000869314353 639166016 /nfs/dbraw/zinc/16/60/16/639166016.db2.gz KKOQFTOLPDULNA-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN C[C@H]1OCC[C@@H]1COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000869317513 639172559 /nfs/dbraw/zinc/17/25/59/639172559.db2.gz DAKJWCRUTRXXJM-RKDXNWHRSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(OCc1coc(C2CC2)n1)c1ccc([N+](=O)[O-])cc1 ZINC000869338599 639185593 /nfs/dbraw/zinc/18/55/93/639185593.db2.gz MTHHFWYUZRBQCA-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000869352571 639191608 /nfs/dbraw/zinc/19/16/08/639191608.db2.gz IXSYGMAMEASYDH-SNVBAGLBSA-N 0 0 297.282 2.706 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000869390825 639208327 /nfs/dbraw/zinc/20/83/27/639208327.db2.gz BWKVUAZXAVFQNO-GFCCVEGCSA-N 0 0 297.282 2.706 20 5 CFBDRN O=C(NCC[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1F ZINC000869611317 639322499 /nfs/dbraw/zinc/32/24/99/639322499.db2.gz VQEIRCLACCKUGK-VIFPVBQESA-N 0 0 298.339 2.607 20 5 CFBDRN CC(C)(F)CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000869628545 639330219 /nfs/dbraw/zinc/33/02/19/639330219.db2.gz VJDILGBGVVRLMI-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN O=C(Nc1nc(C2CCCCC2)cs1)[C@@H]1CC1[N+](=O)[O-] ZINC000869699906 639355964 /nfs/dbraw/zinc/35/59/64/639355964.db2.gz BFMBIFIQSPTWKV-MWLCHTKSSA-N 0 0 295.364 2.795 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@]12CCC[C@H]1OCC2 ZINC000869718373 639368230 /nfs/dbraw/zinc/36/82/30/639368230.db2.gz ZIORAULILSXLED-MFKMUULPSA-N 0 0 297.332 2.659 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)CCc1ccc(F)cc1 ZINC000870387111 639619476 /nfs/dbraw/zinc/61/94/76/639619476.db2.gz KTZPUBUOGZCJJL-GHMZBOCLSA-N 0 0 283.299 2.603 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@@H]1F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000870488254 639686116 /nfs/dbraw/zinc/68/61/16/639686116.db2.gz KFZLHWZANGDOJY-NWDGAFQWSA-N 0 0 284.262 2.744 20 5 CFBDRN CCC[C@H](C)NC(=O)[C@@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000078598206 650517825 /nfs/dbraw/zinc/51/78/25/650517825.db2.gz HDMCTSGQAKVNGH-VHSXEESVSA-N 0 0 298.314 2.806 20 5 CFBDRN COc1ccc(C(=O)O[C@H]2C[C@@H](SC)C2)cc1[N+](=O)[O-] ZINC000870577100 639731084 /nfs/dbraw/zinc/73/10/84/639731084.db2.gz ZFDFCPPHTVEYPD-AOOOYVTPSA-N 0 0 297.332 2.654 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@H]1C[C@@H](SC)C1 ZINC000870579740 639732923 /nfs/dbraw/zinc/73/29/23/639732923.db2.gz KXBGJXDEFIFNHS-AOOOYVTPSA-N 0 0 297.332 2.654 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@H]1CCC(=O)C1 ZINC000870700605 639779168 /nfs/dbraw/zinc/77/91/68/639779168.db2.gz JXKPSGDRKJYAAE-LLVKDONJSA-N 0 0 291.303 2.624 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000870702307 639779907 /nfs/dbraw/zinc/77/99/07/639779907.db2.gz AETVKPUKKWEDLW-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000870708925 639783550 /nfs/dbraw/zinc/78/35/50/639783550.db2.gz JCLCYFLUDKXEKT-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000870716684 639787133 /nfs/dbraw/zinc/78/71/33/639787133.db2.gz WPUKJAPQXXJQGO-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN CCOc1cc(C(=O)OC2CCC2)c([N+](=O)[O-])cc1OC ZINC000079169386 650566667 /nfs/dbraw/zinc/56/66/67/650566667.db2.gz BKNBTZKCSCCJCT-UHFFFAOYSA-N 0 0 295.291 2.711 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1cccc2cc[nH]c21 ZINC000870816699 641086252 /nfs/dbraw/zinc/08/62/52/641086252.db2.gz REGKOVSAHMZVQY-UHFFFAOYSA-N 0 0 289.335 2.966 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cccnc1 ZINC000193304290 650642705 /nfs/dbraw/zinc/64/27/05/650642705.db2.gz YRZVOCJHZDBWKG-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN COC(C)(C)CCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000871258856 641268891 /nfs/dbraw/zinc/26/88/91/641268891.db2.gz XHMRMNZNIBJPGZ-LLVKDONJSA-N 0 0 294.351 2.630 20 5 CFBDRN CC(C)(C)SCN1C(=O)c2cccc([N+](=O)[O-])c2C1=O ZINC000871625990 641372737 /nfs/dbraw/zinc/37/27/37/641372737.db2.gz SRKLELRJJBOAGG-UHFFFAOYSA-N 0 0 294.332 2.680 20 5 CFBDRN CO[C@H]1C[C@@H](COc2cccc([N+](=O)[O-])c2C)C1 ZINC000871650375 641382689 /nfs/dbraw/zinc/38/26/89/641382689.db2.gz WMIWAJAZIFBGQT-PHIMTYICSA-N 0 0 251.282 2.707 20 5 CFBDRN COc1cc(OC[C@H]2CC3(CCC3)CO2)ccc1[N+](=O)[O-] ZINC000871668575 641392132 /nfs/dbraw/zinc/39/21/32/641392132.db2.gz PWLNVNOSFOGKLB-GFCCVEGCSA-N 0 0 293.319 2.941 20 5 CFBDRN C[C@@H](O)CCOc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871694985 641409563 /nfs/dbraw/zinc/40/95/63/641409563.db2.gz VHJBDOZPVMGIJC-ZCFIWIBFSA-N 0 0 263.652 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC(CF)(CF)C2)cc1CO ZINC000871745743 641435590 /nfs/dbraw/zinc/43/55/90/641435590.db2.gz RPMBWFBIAZAHHB-UHFFFAOYSA-N 0 0 287.262 2.554 20 5 CFBDRN Cc1cn(Cc2cc(C(F)(F)F)co2)nc1[N+](=O)[O-] ZINC000871749732 641439314 /nfs/dbraw/zinc/43/93/14/641439314.db2.gz NZONNIDYZUOKMO-UHFFFAOYSA-N 0 0 275.186 2.760 20 5 CFBDRN Cc1ccc(CON=C(N)[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000871759360 641442248 /nfs/dbraw/zinc/44/22/48/641442248.db2.gz JHLGHVNWBNQMJT-KOLCDFICSA-N 0 0 263.297 2.558 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1CC12CCC2 ZINC000871763013 641445470 /nfs/dbraw/zinc/44/54/70/641445470.db2.gz WLPCOQVUJBAECH-NSHDSACASA-N 0 0 279.271 2.798 20 5 CFBDRN Cc1c(CON=C(N)C2CCC2)cccc1[N+](=O)[O-] ZINC000871764996 641448125 /nfs/dbraw/zinc/44/81/25/641448125.db2.gz RSBKEMZLZKNCOA-UHFFFAOYSA-N 0 0 263.297 2.702 20 5 CFBDRN CCOc1cc(CON=C(N)C2CCC2)ccc1[N+](=O)[O-] ZINC000871765569 641448199 /nfs/dbraw/zinc/44/81/99/641448199.db2.gz APDVPMHEEXNJRX-UHFFFAOYSA-N 0 0 293.323 2.792 20 5 CFBDRN Cc1c(CNc2ccn(CCF)n2)cccc1[N+](=O)[O-] ZINC000871861072 641486422 /nfs/dbraw/zinc/48/64/22/641486422.db2.gz GCVWGNBNELOIJV-UHFFFAOYSA-N 0 0 278.287 2.681 20 5 CFBDRN CCc1noc(NCc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000871863816 641487278 /nfs/dbraw/zinc/48/72/78/641487278.db2.gz DGUWILPSNMMWIO-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN Cc1c(CNc2cncc(N(C)C)c2)cccc1[N+](=O)[O-] ZINC000871864774 641488243 /nfs/dbraw/zinc/48/82/43/641488243.db2.gz NBABRXNMXZLBFE-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN Cc1cc(CN[C@H](C)c2c(F)cccc2[N+](=O)[O-])ncn1 ZINC000872053360 641586880 /nfs/dbraw/zinc/58/68/80/641586880.db2.gz XWCFGZNNBPNKPB-SNVBAGLBSA-N 0 0 290.298 2.683 20 5 CFBDRN C[C@@H](NCCOC1CCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000872057441 641590701 /nfs/dbraw/zinc/59/07/01/641590701.db2.gz YWVBJRVLDWCUTP-SNVBAGLBSA-N 0 0 282.315 2.954 20 5 CFBDRN C[C@H](NCCOC1CCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000872057439 641590850 /nfs/dbraw/zinc/59/08/50/641590850.db2.gz YWVBJRVLDWCUTP-JTQLQIEISA-N 0 0 282.315 2.954 20 5 CFBDRN C[C@H](NCCOCC(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000872065374 641597808 /nfs/dbraw/zinc/59/78/08/641597808.db2.gz ZOVPEBRVMXUSSA-QMMMGPOBSA-N 0 0 292.257 2.666 20 5 CFBDRN CCOCCOC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000124028967 641743362 /nfs/dbraw/zinc/74/33/62/641743362.db2.gz KLESNNUGUIHOIZ-UHFFFAOYSA-N 0 0 285.321 2.510 20 5 CFBDRN CC(=O)C1CCN(c2ccnc3cc([N+](=O)[O-])ccc32)CC1 ZINC000872667743 641890167 /nfs/dbraw/zinc/89/01/67/641890167.db2.gz OPIXXZGRQGZRKD-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN CC(=O)C1CCN(c2nccc3cc([N+](=O)[O-])ccc32)CC1 ZINC000872667687 641890362 /nfs/dbraw/zinc/89/03/62/641890362.db2.gz LQGMTDUPIIDHFX-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1 ZINC000872696410 641900264 /nfs/dbraw/zinc/90/02/64/641900264.db2.gz RWDXJRPRDHRCKU-GMXABZIVSA-N 0 0 292.335 2.973 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000872697235 641900824 /nfs/dbraw/zinc/90/08/24/641900824.db2.gz HZFKTGHLAWBDHD-GMXVVIOVSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1ccnc(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1[N+](=O)[O-] ZINC000872697459 641901010 /nfs/dbraw/zinc/90/10/10/641901010.db2.gz MKPXHEMKACMLFC-SDDRHHMPSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)ncc1[N+](=O)[O-] ZINC000872697343 641901079 /nfs/dbraw/zinc/90/10/79/641901079.db2.gz KHMZBGWWRHWJDA-GVXVVHGQSA-N 0 0 277.324 2.668 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1 ZINC000872697566 641901976 /nfs/dbraw/zinc/90/19/76/641901976.db2.gz OEPMYZQHBZLCFP-PUYPPJJSSA-N 0 0 277.324 2.547 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2C[C@H]3CCC[C@H]3O2)ccc1[N+](=O)[O-] ZINC000872698620 641902784 /nfs/dbraw/zinc/90/27/84/641902784.db2.gz IYBOFPIWILFVKG-VDDIYKPWSA-N 0 0 295.314 2.686 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000872699675 641903546 /nfs/dbraw/zinc/90/35/46/641903546.db2.gz OMAAFVQOBDIJEN-SUNKGSAMSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCC[C@@H]1CCOC1=O ZINC000872702358 641904617 /nfs/dbraw/zinc/90/46/17/641904617.db2.gz FARBIWNHTYWNBB-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN CC(C)OC1(CNc2cc(N)ccc2[N+](=O)[O-])CCC1 ZINC000872706700 641906043 /nfs/dbraw/zinc/90/60/43/641906043.db2.gz DHOSBMDWNFICQL-UHFFFAOYSA-N 0 0 279.340 2.937 20 5 CFBDRN CCc1nn(C)c(NCC2(OC(C)C)CCC2)c1[N+](=O)[O-] ZINC000872707319 641907008 /nfs/dbraw/zinc/90/70/08/641907008.db2.gz HRLINSBHHPRRDY-UHFFFAOYSA-N 0 0 296.371 2.650 20 5 CFBDRN CC(C)OC1(CNc2ccc(N)cc2[N+](=O)[O-])CCC1 ZINC000872707370 641907052 /nfs/dbraw/zinc/90/70/52/641907052.db2.gz ZIKYHIVUUUXCJP-UHFFFAOYSA-N 0 0 279.340 2.937 20 5 CFBDRN CC(C)OC1(CNc2ncc([N+](=O)[O-])cc2F)CCC1 ZINC000872706942 641907056 /nfs/dbraw/zinc/90/70/56/641907056.db2.gz OZPUDYRKYUWSFK-UHFFFAOYSA-N 0 0 283.303 2.889 20 5 CFBDRN C[C@]1(C(F)(F)F)CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000872728626 641914382 /nfs/dbraw/zinc/91/43/82/641914382.db2.gz SARRYWPIPDVALX-JTQLQIEISA-N 0 0 275.230 2.769 20 5 CFBDRN COc1cc(N[C@@H](C)c2nccnc2C)ccc1[N+](=O)[O-] ZINC000872769559 641927138 /nfs/dbraw/zinc/92/71/38/641927138.db2.gz XLIWOCIPRYKVIA-JTQLQIEISA-N 0 0 288.307 2.875 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000872775926 641929472 /nfs/dbraw/zinc/92/94/72/641929472.db2.gz JTUQPVJPZYGZCI-AWAWDMARSA-N 0 0 277.324 2.547 20 5 CFBDRN Cc1nonc1CNc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000872777435 641931633 /nfs/dbraw/zinc/93/16/33/641931633.db2.gz AUHOOZJDANHXFF-UHFFFAOYSA-N 0 0 282.687 2.860 20 5 CFBDRN CCCn1cc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000080728628 650706555 /nfs/dbraw/zinc/70/65/55/650706555.db2.gz CBRCBLYFBGYTEI-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN Cc1nocc1CNCc1c(C)ccc([N+](=O)[O-])c1C ZINC000873146608 642030990 /nfs/dbraw/zinc/03/09/90/642030990.db2.gz NGZVWZXRPJBSMB-UHFFFAOYSA-N 0 0 275.308 2.798 20 5 CFBDRN O=C(OC[C@@H]1CC2(CCC2)CO1)c1ccccc1[N+](=O)[O-] ZINC000873317499 642133465 /nfs/dbraw/zinc/13/34/65/642133465.db2.gz ORUYIRBCXMAHHI-NSHDSACASA-N 0 0 291.303 2.711 20 5 CFBDRN O=C(OC[C@@H]1CC2(CCC2)CO1)c1csc([N+](=O)[O-])c1 ZINC000873347611 642145191 /nfs/dbraw/zinc/14/51/91/642145191.db2.gz AZNPFDCHICFNEA-JTQLQIEISA-N 0 0 297.332 2.772 20 5 CFBDRN CC[C@H](OC(=O)c1cc([N+](=O)[O-])cc(C)c1F)C(C)=O ZINC000873382743 642170400 /nfs/dbraw/zinc/17/04/00/642170400.db2.gz IPSJPKHOENEJMX-NSHDSACASA-N 0 0 283.255 2.567 20 5 CFBDRN CCc1ccc(C(=O)OC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000081811514 650728578 /nfs/dbraw/zinc/72/85/78/650728578.db2.gz KQKXCZSJDBRWIA-ZDUSSCGKSA-N 0 0 293.319 2.883 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1csc(C2CCCC2)n1 ZINC000873533601 642238100 /nfs/dbraw/zinc/23/81/00/642238100.db2.gz DWXANKLKWBVGIM-SECBINFHSA-N 0 0 298.364 2.552 20 5 CFBDRN C/C(=C\C(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000194950402 650734496 /nfs/dbraw/zinc/73/44/96/650734496.db2.gz YRXGPHICXTWEDX-JXMROGBWSA-N 0 0 260.293 2.567 20 5 CFBDRN Cc1ccc(C(=O)OC2CCSCC2)cc1[N+](=O)[O-] ZINC000873560230 642251477 /nfs/dbraw/zinc/25/14/77/642251477.db2.gz RLYRTBQXCVANLS-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN CC(C)(CCC(=O)O[C@H]1CCOC2(CCCC2)C1)[N+](=O)[O-] ZINC000873576337 642257690 /nfs/dbraw/zinc/25/76/90/642257690.db2.gz NBQJTXLUHWICTM-LBPRGKRZSA-N 0 0 299.367 2.857 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCOC[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000873578717 642259340 /nfs/dbraw/zinc/25/93/40/642259340.db2.gz MGPXSUOMFYRFQD-IJLUTSLNSA-N 0 0 293.319 2.872 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCc1ccccn1 ZINC000873744611 642292508 /nfs/dbraw/zinc/29/25/08/642292508.db2.gz JWMUFGQDPPHKLZ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124794088 642322812 /nfs/dbraw/zinc/32/28/12/642322812.db2.gz RJQDOQHXYUSZSV-HTRCEHHLSA-N 0 0 255.657 2.810 20 5 CFBDRN CCn1nccc1NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000874277884 642363895 /nfs/dbraw/zinc/36/38/95/642363895.db2.gz WJENBLRBESGJSI-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@@H]1CC12CCC2 ZINC000874786607 642487854 /nfs/dbraw/zinc/48/78/54/642487854.db2.gz OVYWPYHQNNVEFP-CQSZACIVSA-N 0 0 299.330 2.608 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000874790442 642490707 /nfs/dbraw/zinc/49/07/07/642490707.db2.gz ISFKNTDTIQGAHV-GFCCVEGCSA-N 0 0 260.293 2.576 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000874790099 642490860 /nfs/dbraw/zinc/49/08/60/642490860.db2.gz BVAGAXXJMBMDIA-CYBMUJFWSA-N 0 0 290.319 2.666 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])cc2F)CC(F)(F)C1 ZINC000874856230 642529217 /nfs/dbraw/zinc/52/92/17/642529217.db2.gz AQXQCSXYPFLHKU-UHFFFAOYSA-N 0 0 288.225 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1(C)CC(F)(F)C1 ZINC000874857042 642529515 /nfs/dbraw/zinc/52/95/15/642529515.db2.gz SFVFLLWABYAKTM-UHFFFAOYSA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1nn(CCC(=O)O[C@H](C)C2CCC2)c(C)c1[N+](=O)[O-] ZINC000083148609 650760864 /nfs/dbraw/zinc/76/08/64/650760864.db2.gz ZNCBQMAZYKTMNX-LLVKDONJSA-N 0 0 295.339 2.530 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000875054565 642581312 /nfs/dbraw/zinc/58/13/12/642581312.db2.gz WHIAUKLDWVNJLI-YDEXJTGXSA-N 0 0 296.298 2.732 20 5 CFBDRN CCO[C@@H](C(=O)Oc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000875192057 642595517 /nfs/dbraw/zinc/59/55/17/642595517.db2.gz ISFKWEQTYWYRAN-CYBMUJFWSA-N 0 0 281.308 2.870 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000875250442 642599087 /nfs/dbraw/zinc/59/90/87/642599087.db2.gz FPTXDDZCFFAMQZ-PXIYARARSA-N 0 0 278.283 2.965 20 5 CFBDRN O=C(NC1CC=CC1)c1cccc([N+](=O)[O-])c1Cl ZINC000125353498 642600696 /nfs/dbraw/zinc/60/06/96/642600696.db2.gz BQTYIIIHQJKXBJ-UHFFFAOYSA-N 0 0 266.684 2.697 20 5 CFBDRN CC[C@@H]1CCCCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000125457068 642636731 /nfs/dbraw/zinc/63/67/31/642636731.db2.gz FHOGVKLTBUUHBZ-GFCCVEGCSA-N 0 0 291.351 2.972 20 5 CFBDRN CC1(OC(=O)c2ccc(N)c([N+](=O)[O-])c2)CCCC1 ZINC000125551479 642668489 /nfs/dbraw/zinc/66/84/89/642668489.db2.gz SLGCZSMDFYNMGX-UHFFFAOYSA-N 0 0 264.281 2.667 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CCOC1(C)C ZINC000875769052 642684871 /nfs/dbraw/zinc/68/48/71/642684871.db2.gz WTSZYJLDAAFQMU-GFCCVEGCSA-N 0 0 292.335 2.509 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000875882880 642706241 /nfs/dbraw/zinc/70/62/41/642706241.db2.gz JYKJIQXNRIXVQH-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN O=C1COc2cc([N+](=O)[O-])c(NCCCC3CC3)cc2N1 ZINC000125653175 642713590 /nfs/dbraw/zinc/71/35/90/642713590.db2.gz LHPVZIAQVOMDNY-UHFFFAOYSA-N 0 0 291.307 2.528 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2COCC2(C)C)cc1Cl ZINC000876026210 642749628 /nfs/dbraw/zinc/74/96/28/642749628.db2.gz WWZCLIOQPZNYKQ-UHFFFAOYSA-N 0 0 298.726 2.765 20 5 CFBDRN CCCc1ccccc1OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000876035929 642754249 /nfs/dbraw/zinc/75/42/49/642754249.db2.gz ZVFCKHATSUUVLD-UHFFFAOYSA-N 0 0 289.291 2.500 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C[C@@H]1C ZINC000085067216 650786545 /nfs/dbraw/zinc/78/65/45/650786545.db2.gz ORRAPGMAHSCOJQ-JFGNBEQYSA-N 0 0 291.351 2.732 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000085067477 650786649 /nfs/dbraw/zinc/78/66/49/650786649.db2.gz MBRPJNNYAYIYCE-CMPLNLGQSA-N 0 0 291.351 2.876 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCCC2(CC2)C1 ZINC000888698034 642771398 /nfs/dbraw/zinc/77/13/98/642771398.db2.gz HICJSJHHAGHOLD-SNVBAGLBSA-N 0 0 289.335 2.630 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC2(CC2)C1 ZINC000888698458 642772473 /nfs/dbraw/zinc/77/24/73/642772473.db2.gz MYQPQFQFZCBGOD-SNVBAGLBSA-N 0 0 289.335 2.630 20 5 CFBDRN CC(F)(F)c1cc(F)ccc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000876400045 642852719 /nfs/dbraw/zinc/85/27/19/642852719.db2.gz NYUOODUOSVHHLZ-GMSGAONNSA-N 0 0 288.225 2.541 20 5 CFBDRN C[C@@H](OC(=O)[C@@H](C)n1cccn1)c1ccccc1[N+](=O)[O-] ZINC000876468340 642882949 /nfs/dbraw/zinc/88/29/49/642882949.db2.gz KSCRZXDIWJHLTR-GHMZBOCLSA-N 0 0 289.291 2.657 20 5 CFBDRN Cc1ccc2c(n1)N(C(=O)c1ccccc1[N+](=O)[O-])CCC2 ZINC000876491205 642892430 /nfs/dbraw/zinc/89/24/30/642892430.db2.gz FAWODHMGZOTOLX-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN(C)Cc1ccon1 ZINC000876756549 642996440 /nfs/dbraw/zinc/99/64/40/642996440.db2.gz UQCDDXBCCKEFCG-UHFFFAOYSA-N 0 0 275.308 2.832 20 5 CFBDRN COc1c(C)ccc(NCc2cn(C)nc2[N+](=O)[O-])c1C ZINC000876818063 643023063 /nfs/dbraw/zinc/02/30/63/643023063.db2.gz LLUVXLKPANPOFB-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN O=C(NCC(C1CC1)C1CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000088456081 650813171 /nfs/dbraw/zinc/81/31/71/650813171.db2.gz NXWZZXRPAFDORI-UHFFFAOYSA-N 0 0 292.310 2.900 20 5 CFBDRN CC1(C)C[C@H](O)CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000877754271 643534662 /nfs/dbraw/zinc/53/46/62/643534662.db2.gz ZPXGBPHJGRQYAV-NSHDSACASA-N 0 0 298.770 2.841 20 5 CFBDRN COCCCCN1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000089658364 650850004 /nfs/dbraw/zinc/85/00/04/650850004.db2.gz PQFAWCDRSOUGSQ-LLVKDONJSA-N 0 0 264.325 2.772 20 5 CFBDRN CC1CC(Cn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)C1 ZINC000877876819 643616341 /nfs/dbraw/zinc/61/63/41/643616341.db2.gz FBONIDYXXFWUKB-UHFFFAOYSA-N 0 0 299.330 2.865 20 5 CFBDRN COC[C@@H](COc1ncc(C)cc1[N+](=O)[O-])C(C)C ZINC000877890228 643626165 /nfs/dbraw/zinc/62/61/65/643626165.db2.gz VZQGWCRFDLSMKR-NSHDSACASA-N 0 0 268.313 2.596 20 5 CFBDRN Cc1cc(COc2c(C)c(C)ncc2[N+](=O)[O-])c(C)nn1 ZINC000877890623 643626211 /nfs/dbraw/zinc/62/62/11/643626211.db2.gz LWUVTRSQIQMPQC-UHFFFAOYSA-N 0 0 288.307 2.592 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1C=CCC1 ZINC000877903115 643632999 /nfs/dbraw/zinc/63/29/99/643632999.db2.gz JZEHEBCBJXLBNR-LLVKDONJSA-N 0 0 275.308 2.991 20 5 CFBDRN Cc1conc1CN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000878343552 643852229 /nfs/dbraw/zinc/85/22/29/643852229.db2.gz DXEGZXFAWAOAGP-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)NCc1cccc([N+](=O)[O-])c1 ZINC000878486209 643913452 /nfs/dbraw/zinc/91/34/52/643913452.db2.gz BCTWNLQWEUQOHF-JSGCOSHPSA-N 0 0 274.320 2.647 20 5 CFBDRN CC(=O)CN[C@H](c1cccc([N+](=O)[O-])c1F)C(F)(F)F ZINC000878712373 644001975 /nfs/dbraw/zinc/00/19/75/644001975.db2.gz YVXOCHLJUQRJKW-SNVBAGLBSA-N 0 0 294.204 2.516 20 5 CFBDRN CC(C)(O)C1CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000879626629 644355131 /nfs/dbraw/zinc/35/51/31/644355131.db2.gz ZJXBSYNZLCVKPN-UHFFFAOYSA-N 0 0 296.342 2.717 20 5 CFBDRN Cc1nccnc1[C@H](C)NCc1cc(F)ccc1[N+](=O)[O-] ZINC000880104837 644533994 /nfs/dbraw/zinc/53/39/94/644533994.db2.gz GFRBQVYUYWPSIX-JTQLQIEISA-N 0 0 290.298 2.683 20 5 CFBDRN Cc1nccnc1[C@@H](C)NCc1ccc(F)cc1[N+](=O)[O-] ZINC000880109296 644535373 /nfs/dbraw/zinc/53/53/73/644535373.db2.gz VTGZTVRCNWTIQI-SNVBAGLBSA-N 0 0 290.298 2.683 20 5 CFBDRN CC[C@@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000880470897 644725394 /nfs/dbraw/zinc/72/53/94/644725394.db2.gz QYGKBIKFIJMDSS-SMDDNHRTSA-N 0 0 294.351 2.595 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCc2ncncc2C1 ZINC000880595997 644782603 /nfs/dbraw/zinc/78/26/03/644782603.db2.gz WUPCQRDTIJWNQR-LLVKDONJSA-N 0 0 284.319 2.504 20 5 CFBDRN CCOc1cc(NC(=O)N2C[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000888830756 644811667 /nfs/dbraw/zinc/81/16/67/644811667.db2.gz BBKLEJNHULNUNQ-ZJUUUORDSA-N 0 0 293.323 2.866 20 5 CFBDRN CCOc1cc(NC(=O)NC[C@@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000888836254 644835586 /nfs/dbraw/zinc/83/55/86/644835586.db2.gz QWZNMRJBFIJLNT-UWVGGRQHSA-N 0 0 293.323 2.771 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H]1C ZINC000881481047 644984695 /nfs/dbraw/zinc/98/46/95/644984695.db2.gz GLRVRBNQAUTRHP-PBQZMEPESA-N 0 0 260.293 2.619 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1C=CCC1 ZINC000881493775 644993219 /nfs/dbraw/zinc/99/32/19/644993219.db2.gz XPODCNTZXZXCNN-LLVKDONJSA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NC[C@@H]1C=CCC1 ZINC000881495108 644994852 /nfs/dbraw/zinc/99/48/52/644994852.db2.gz GKJXXVZSKNNQPA-LLVKDONJSA-N 0 0 299.330 2.701 20 5 CFBDRN O=C(NCC1(CC2CC2)CC1)c1csc([N+](=O)[O-])c1 ZINC000881517767 645012321 /nfs/dbraw/zinc/01/23/21/645012321.db2.gz HAXXGRRDDQRUBX-UHFFFAOYSA-N 0 0 280.349 2.966 20 5 CFBDRN C=C/C=C/CCNC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000881567915 645046835 /nfs/dbraw/zinc/04/68/35/645046835.db2.gz WSLUGXUWNOBLFZ-SNAWJCMRSA-N 0 0 290.319 2.531 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](CF)C2)c1 ZINC000881596861 645068666 /nfs/dbraw/zinc/06/86/66/645068666.db2.gz JOZFFUYBPFAKSP-LLVKDONJSA-N 0 0 280.299 2.725 20 5 CFBDRN CCc1ccc(C(=O)N2CCC[C@@H](CF)C2)cc1[N+](=O)[O-] ZINC000881596988 645068669 /nfs/dbraw/zinc/06/86/69/645068669.db2.gz MBOPANHNMXTNKS-NSHDSACASA-N 0 0 294.326 2.979 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCCCCCC1 ZINC000881746178 645119221 /nfs/dbraw/zinc/11/92/21/645119221.db2.gz PTLWKHVVGZWZAZ-UHFFFAOYSA-N 0 0 253.277 2.899 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000129223676 645125222 /nfs/dbraw/zinc/12/52/22/645125222.db2.gz BTGNPEQBAJISPQ-MWLCHTKSSA-N 0 0 277.324 2.555 20 5 CFBDRN CC(=O)c1c(N(C)C[C@@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000881958738 645164080 /nfs/dbraw/zinc/16/40/80/645164080.db2.gz UNOSNUGPLVCOQR-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882009311 645175639 /nfs/dbraw/zinc/17/56/39/645175639.db2.gz YUTYYXDBNCVIBH-CYBMUJFWSA-N 0 0 285.319 2.728 20 5 CFBDRN CO[C@H](CNc1cccc([N+](=O)[O-])c1C(C)=O)C(C)C ZINC000882040793 645185736 /nfs/dbraw/zinc/18/57/36/645185736.db2.gz XMBGYXQGKMBNEV-CYBMUJFWSA-N 0 0 280.324 2.880 20 5 CFBDRN CCOC[C@H]1CCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882049929 645187986 /nfs/dbraw/zinc/18/79/86/645187986.db2.gz IYYVORNFSRKWHY-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN CC(=O)c1c(NC2CCC(C)(O)CC2)cccc1[N+](=O)[O-] ZINC000882057943 645188466 /nfs/dbraw/zinc/18/84/66/645188466.db2.gz JCVPVLJXGVMCMR-UHFFFAOYSA-N 0 0 292.335 2.903 20 5 CFBDRN Cc1c(OCC[C@@H](O)C(C)C)cccc1[N+](=O)[O-] ZINC000882107795 645205150 /nfs/dbraw/zinc/20/51/50/645205150.db2.gz OSUUQBBXRFITFS-GFCCVEGCSA-N 0 0 253.298 2.689 20 5 CFBDRN CC(C)[C@H](O)C(C)(C)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882132402 645214768 /nfs/dbraw/zinc/21/47/68/645214768.db2.gz YJIAHDQWNSDZPR-NSHDSACASA-N 0 0 285.319 2.584 20 5 CFBDRN Cc1cnc(OC[C@H]2CCC3(CCC3)O2)c([N+](=O)[O-])c1 ZINC000882134532 645215402 /nfs/dbraw/zinc/21/54/02/645215402.db2.gz OJXRCLSBEXPSSP-LLVKDONJSA-N 0 0 278.308 2.779 20 5 CFBDRN Cc1cc(=O)n(CC[C@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000882140224 645217469 /nfs/dbraw/zinc/21/74/69/645217469.db2.gz HYTVBBBZKLIMIU-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1nn(CC[C@H](O)C(C)C)c2ccc([N+](=O)[O-])cc12 ZINC000882146173 645218375 /nfs/dbraw/zinc/21/83/75/645218375.db2.gz ZJUFFJFUKOPXLZ-AWEZNQCLSA-N 0 0 277.324 2.660 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882177274 645232356 /nfs/dbraw/zinc/23/23/56/645232356.db2.gz NQCSHNUHUQVEKX-ZJUUUORDSA-N 0 0 283.303 2.746 20 5 CFBDRN CCc1cnc(CNc2cccc([N+](=O)[O-])c2C(C)=O)o1 ZINC000882199467 645242653 /nfs/dbraw/zinc/24/26/53/645242653.db2.gz PZHBYUGKRCETCF-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN CSCCCN(C)c1cccc([N+](=O)[O-])c1C(C)=O ZINC000882309903 645274460 /nfs/dbraw/zinc/27/44/60/645274460.db2.gz SBCACEVLFKVGPB-UHFFFAOYSA-N 0 0 282.365 2.987 20 5 CFBDRN CCOCOc1cccc(Br)c1[N+](=O)[O-] ZINC000882365019 645283489 /nfs/dbraw/zinc/28/34/89/645283489.db2.gz SGYAHKPUKRCBMB-UHFFFAOYSA-N 0 0 276.086 2.730 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@H]2C[C@H]21 ZINC000882350110 645289722 /nfs/dbraw/zinc/28/97/22/645289722.db2.gz HKHOODLAUXEFSW-GXFFZTMASA-N 0 0 260.293 2.786 20 5 CFBDRN O=C(NCCC(F)(F)F)c1cccc([N+](=O)[O-])c1Cl ZINC000129622118 645295765 /nfs/dbraw/zinc/29/57/65/645295765.db2.gz BGVNFNOIZLXIGT-UHFFFAOYSA-N 0 0 296.632 2.930 20 5 CFBDRN CC(=O)c1c(NC[C@H]2CC[C@@H](C)O2)cccc1[N+](=O)[O-] ZINC000882438486 645314044 /nfs/dbraw/zinc/31/40/44/645314044.db2.gz GEORTRGVEORWGR-MWLCHTKSSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@@H]1[C@H](C)CN(c2ccnc(F)c2[N+](=O)[O-])[C@H]1C ZINC000882467113 645329696 /nfs/dbraw/zinc/32/96/96/645329696.db2.gz AYLFAIYVRSFZLX-HLTSFMKQSA-N 0 0 253.277 2.610 20 5 CFBDRN C[C@H]1CN(c2ccnc(F)c2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000882519180 645351484 /nfs/dbraw/zinc/35/14/84/645351484.db2.gz PYGKNFPHCRKFJZ-JTQLQIEISA-N 0 0 295.314 2.667 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H](C1CC1)C1CCOCC1 ZINC000882525792 645353882 /nfs/dbraw/zinc/35/38/82/645353882.db2.gz OIIRFXXBIDXVNC-LBPRGKRZSA-N 0 0 295.314 2.746 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@H](C1CC1)[C@@H]1CCCCO1 ZINC000882544290 645362179 /nfs/dbraw/zinc/36/21/79/645362179.db2.gz OHWZZFMSJMMSJD-NWDGAFQWSA-N 0 0 295.314 2.889 20 5 CFBDRN C[C@@H](CNc1ccnc(F)c1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000882545125 645363194 /nfs/dbraw/zinc/36/31/94/645363194.db2.gz BWQXBCGCAVHTFI-QMMMGPOBSA-N 0 0 299.302 2.519 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)c1ccnc(F)c1[N+](=O)[O-] ZINC000882639681 645398823 /nfs/dbraw/zinc/39/88/23/645398823.db2.gz JQEZWLIMXHQHRB-QMMMGPOBSA-N 0 0 253.277 2.611 20 5 CFBDRN CCn1nccc1CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882642757 645400021 /nfs/dbraw/zinc/40/00/21/645400021.db2.gz BSWBGFBSGSYORO-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@H](C1CCC1)[C@@H]1CCCO1 ZINC000882688093 645410875 /nfs/dbraw/zinc/41/08/75/645410875.db2.gz BMCCDFQEYUDOAV-NWDGAFQWSA-N 0 0 295.314 2.889 20 5 CFBDRN CCc1ccc(C(=O)OCc2cncs2)cc1[N+](=O)[O-] ZINC000129889121 645425158 /nfs/dbraw/zinc/42/51/58/645425158.db2.gz SHGKYQFVEYXOJH-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN Cc1cc(C(=O)OCc2cccc(C)n2)cc([N+](=O)[O-])c1 ZINC000129938250 645434215 /nfs/dbraw/zinc/43/42/15/645434215.db2.gz IBJKQXPZRKXASF-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC1(C)C[C@@]1(C)CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000882783532 645455574 /nfs/dbraw/zinc/45/55/74/645455574.db2.gz BUIWDFCXNGOFSP-AWEZNQCLSA-N 0 0 277.324 2.542 20 5 CFBDRN CCOc1cccc(N2CCC(F)CC2)c1[N+](=O)[O-] ZINC000882805999 645465395 /nfs/dbraw/zinc/46/53/95/645465395.db2.gz GAEBOICFJZBQSP-UHFFFAOYSA-N 0 0 268.288 2.932 20 5 CFBDRN C[C@]1(c2ccccc2)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000882902075 645502931 /nfs/dbraw/zinc/50/29/31/645502931.db2.gz XTICEUYFSFRZLW-OAHLLOKOSA-N 0 0 284.319 2.900 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(OC(C)C)n2)C1 ZINC000882906698 645505599 /nfs/dbraw/zinc/50/55/99/645505599.db2.gz SEVWGOPYXGFNHA-PHIMTYICSA-N 0 0 295.339 2.614 20 5 CFBDRN CC(=O)c1c(C)cc(Oc2c([N+](=O)[O-])ncn2C)cc1C ZINC000882911177 645507949 /nfs/dbraw/zinc/50/79/49/645507949.db2.gz MONZIDQDMDCNMF-UHFFFAOYSA-N 0 0 289.291 2.940 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])cn2)OCCc2ccccc21 ZINC000882926964 645514426 /nfs/dbraw/zinc/51/44/26/645514426.db2.gz FDIBCOGQOZRSQT-MRXNPFEDSA-N 0 0 299.330 2.890 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2c3ccccc3CC[C@H]2F)nc1 ZINC000882991952 645548465 /nfs/dbraw/zinc/54/84/65/645548465.db2.gz LTSLGJVALRCYDS-CHWSQXEVSA-N 0 0 288.282 2.822 20 5 CFBDRN COc1cc(NC2CCC3(COC3)CC2)ccc1[N+](=O)[O-] ZINC000882995079 645551144 /nfs/dbraw/zinc/55/11/44/645551144.db2.gz HWUSNYZJSGDFLR-UHFFFAOYSA-N 0 0 292.335 2.975 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC2CCC3(COC3)CC2)c1 ZINC000882995021 645551227 /nfs/dbraw/zinc/55/12/27/645551227.db2.gz FOJUIYGMQUYUDH-UHFFFAOYSA-N 0 0 292.335 2.975 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000130784401 645570221 /nfs/dbraw/zinc/57/02/21/645570221.db2.gz DRFUCYQHNGJNCF-QMMMGPOBSA-N 0 0 266.272 2.652 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000131337855 645704794 /nfs/dbraw/zinc/70/47/94/645704794.db2.gz FHOQMOVMKBNZRG-SNVBAGLBSA-N 0 0 291.351 2.685 20 5 CFBDRN COC[C@@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C1CC1 ZINC000131811502 645827812 /nfs/dbraw/zinc/82/78/12/645827812.db2.gz CUHOUZPMTQWYQR-GFCCVEGCSA-N 0 0 299.710 2.830 20 5 CFBDRN CS[C@@H](C)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131818698 645829495 /nfs/dbraw/zinc/82/94/95/645829495.db2.gz DCJLPLQOGQLQLV-QMMMGPOBSA-N 0 0 255.295 2.560 20 5 CFBDRN Cc1ccc(OC(=O)CCOCC(C)C)cc1[N+](=O)[O-] ZINC000131848204 645837526 /nfs/dbraw/zinc/83/75/26/645837526.db2.gz WHJHCGSPRXJKOI-UHFFFAOYSA-N 0 0 281.308 2.871 20 5 CFBDRN Cc1ccc(OC(=O)/C=C/C2CCOCC2)cc1[N+](=O)[O-] ZINC000131855258 645838664 /nfs/dbraw/zinc/83/86/64/645838664.db2.gz CJALWLIZZYRUIJ-HWKANZROSA-N 0 0 291.303 2.791 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)C1 ZINC000132240833 645900424 /nfs/dbraw/zinc/90/04/24/645900424.db2.gz HFPOPPIBWMZBMV-HTQZYQBOSA-N 0 0 284.262 2.791 20 5 CFBDRN CCc1ncc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)s1 ZINC000132578179 645937559 /nfs/dbraw/zinc/93/75/59/645937559.db2.gz KWCIQGWHYLIYMD-UHFFFAOYSA-N 0 0 296.279 2.972 20 5 CFBDRN O=C(COc1ccccc1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132608273 645941167 /nfs/dbraw/zinc/94/11/67/645941167.db2.gz IXCCMUOGLRYVHF-UHFFFAOYSA-N 0 0 291.234 2.718 20 5 CFBDRN O=C(CSC(F)(F)F)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132700565 645953435 /nfs/dbraw/zinc/95/34/35/645953435.db2.gz FLFCODRZBYZIBF-UHFFFAOYSA-N 0 0 299.201 2.892 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC(F)CC2)cc1[N+](=O)[O-] ZINC000883757597 645961632 /nfs/dbraw/zinc/96/16/32/645961632.db2.gz REYLQXLFECMRCN-UHFFFAOYSA-N 0 0 295.314 2.547 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000800043771 646119742 /nfs/dbraw/zinc/11/97/42/646119742.db2.gz YZLZXUAHJFKIJV-VXGBXAGGSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H](F)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000800095035 646138643 /nfs/dbraw/zinc/13/86/43/646138643.db2.gz DSUZPSKYUVJOTK-SECBINFHSA-N 0 0 295.188 2.960 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H](C)OCC1CC1 ZINC000800145566 646156260 /nfs/dbraw/zinc/15/62/60/646156260.db2.gz KQVLEGBICHFKLT-VIFPVBQESA-N 0 0 296.298 2.796 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(F)nc1 ZINC000800146827 646156286 /nfs/dbraw/zinc/15/62/86/646156286.db2.gz CJVQPZUJPJBVDF-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccncc1 ZINC000800151450 646157189 /nfs/dbraw/zinc/15/71/89/646157189.db2.gz MUNQPBRFBSZYRO-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cccnc1 ZINC000800148541 646157379 /nfs/dbraw/zinc/15/73/79/646157379.db2.gz OLULMFQATWXWKL-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cscn1 ZINC000800149460 646157539 /nfs/dbraw/zinc/15/75/39/646157539.db2.gz RHBOUFWZSMUEPN-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)Cc1cccnc1 ZINC000800150119 646157717 /nfs/dbraw/zinc/15/77/17/646157717.db2.gz BVXWHGWNBVFJGY-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H](F)C(F)(F)F ZINC000800152888 646159152 /nfs/dbraw/zinc/15/91/52/646159152.db2.gz JIGNWCZHZAIUHH-VIFPVBQESA-N 0 0 295.188 2.960 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@H](F)C(F)(F)F ZINC000800172824 646169475 /nfs/dbraw/zinc/16/94/75/646169475.db2.gz MYXDPRTZFFGTAQ-VIFPVBQESA-N 0 0 295.188 2.581 20 5 CFBDRN Cc1cc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])nn1C ZINC000133927723 646256047 /nfs/dbraw/zinc/25/60/47/646256047.db2.gz UFLAQVSJXGAAMC-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=C(NCc1ccoc1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000134509338 646354133 /nfs/dbraw/zinc/35/41/33/646354133.db2.gz DXOPEZLUKPTAFX-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CC(C)(CCC(=O)OCC[C@@H]1C[C@H]1C1CC1)[N+](=O)[O-] ZINC000884534511 646427890 /nfs/dbraw/zinc/42/78/90/646427890.db2.gz INLGUBLKFFMDJJ-NEPJUHHUSA-N 0 0 269.341 2.801 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]3CC[C@@H]2O3)c2ccccc21 ZINC000884544801 646436478 /nfs/dbraw/zinc/43/64/78/646436478.db2.gz PRUPSVYCNUTORE-IUPBHXKESA-N 0 0 285.303 2.875 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H](O)C23CCC3)c2ccccc21 ZINC000884557964 646447454 /nfs/dbraw/zinc/44/74/54/646447454.db2.gz FZJCRDFHIWICQY-ZIAGYGMSSA-N 0 0 299.330 2.858 20 5 CFBDRN CC[C@H](O)CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000135070891 646454586 /nfs/dbraw/zinc/45/45/86/646454586.db2.gz CGOPNIHXPSZQBU-VIFPVBQESA-N 0 0 258.705 2.821 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC000884566016 646455864 /nfs/dbraw/zinc/45/58/64/646455864.db2.gz YWQKCTDJPRHXDH-NTZNESFSSA-N 0 0 288.303 2.635 20 5 CFBDRN O=[N+]([O-])c1cnc(SCCCO)c2ccccc21 ZINC000884569157 646458626 /nfs/dbraw/zinc/45/86/26/646458626.db2.gz DVNGJJISVOMRAV-UHFFFAOYSA-N 0 0 264.306 2.618 20 5 CFBDRN Cc1ccnc(NCc2cc(C3CC3)no2)c1[N+](=O)[O-] ZINC000884637966 646498080 /nfs/dbraw/zinc/49/80/80/646498080.db2.gz HJHMTEOQRSHUSE-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN C[C@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@H]1c1ccccc1 ZINC000884641378 646502491 /nfs/dbraw/zinc/50/24/91/646502491.db2.gz IFXJHYIHFSAANF-WCQYABFASA-N 0 0 286.335 2.916 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000884649109 646507246 /nfs/dbraw/zinc/50/72/46/646507246.db2.gz SYGUJDLKVIVMJC-LLHIFLOGSA-N 0 0 294.326 2.930 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CC3(CCC3)CO2)c1[N+](=O)[O-] ZINC000884662745 646515850 /nfs/dbraw/zinc/51/58/50/646515850.db2.gz XSKCHPCOSVBHNM-NSHDSACASA-N 0 0 277.324 2.669 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CC2(CCC2)CO1 ZINC000884663803 646517097 /nfs/dbraw/zinc/51/70/97/646517097.db2.gz VWYDFWHAIOBAFM-LBPRGKRZSA-N 0 0 277.324 2.669 20 5 CFBDRN C[C@@H]1C[C@@]2(CC[C@@H](CNc3ccc([N+](=O)[O-])s3)O2)CO1 ZINC000884699158 646532477 /nfs/dbraw/zinc/53/24/77/646532477.db2.gz YIXMKQLWKZHDTO-NRUUGDAUSA-N 0 0 298.364 2.795 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H]([C@H]3CCCO3)C2)c(F)c1 ZINC000884730116 646543165 /nfs/dbraw/zinc/54/31/65/646543165.db2.gz CLTXBVFABHQOBF-NOZJJQNGSA-N 0 0 298.289 2.878 20 5 CFBDRN COc1cccc(N2CC[C@H]([C@H]3CCCO3)C2)c1[N+](=O)[O-] ZINC000884732016 646545383 /nfs/dbraw/zinc/54/53/83/646545383.db2.gz JBJSMQOSAVNDDK-WCQYABFASA-N 0 0 292.335 2.609 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCOC1 ZINC000884790822 646551049 /nfs/dbraw/zinc/55/10/49/646551049.db2.gz NXWWAUMRPIZWLY-HZMBPMFUSA-N 0 0 298.314 2.587 20 5 CFBDRN C[C@H](O)CCN(C)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000135626678 646562658 /nfs/dbraw/zinc/56/26/58/646562658.db2.gz FSPSMEZPICNRST-QMMMGPOBSA-N 0 0 274.267 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCOC(C)C)c1C ZINC000885424687 646684295 /nfs/dbraw/zinc/68/42/95/646684295.db2.gz UYAVTVZFLRGSFF-UHFFFAOYSA-N 0 0 281.308 2.793 20 5 CFBDRN Cc1cc(COC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])on1 ZINC000885423993 646684645 /nfs/dbraw/zinc/68/46/45/646684645.db2.gz ITOPFFRPWWPYPN-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCCc2ccccc21 ZINC000885946958 646778676 /nfs/dbraw/zinc/77/86/76/646778676.db2.gz WIQSNDXBBRCMTP-UHFFFAOYSA-N 0 0 269.304 2.943 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1cc(C)co1 ZINC000885969322 646788858 /nfs/dbraw/zinc/78/88/58/646788858.db2.gz OLQGTYCENZYGAN-UHFFFAOYSA-N 0 0 276.292 2.795 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC1CCC=CCC1 ZINC000886024716 646826127 /nfs/dbraw/zinc/82/61/27/646826127.db2.gz JDSSNDWCDMWZGG-UHFFFAOYSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1cccnc1[N+](=O)[O-] ZINC000886181781 646911124 /nfs/dbraw/zinc/91/11/24/646911124.db2.gz TZVWLXFMDPQDHW-WPRPVWTQSA-N 0 0 289.257 2.763 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNc1n[nH]c(C)c1C ZINC000886196025 646915559 /nfs/dbraw/zinc/91/55/59/646915559.db2.gz FLMDKGBPCPILIN-UHFFFAOYSA-N 0 0 290.323 2.946 20 5 CFBDRN C[C@@H]1Cc2c(ncn2Cc2cccnc2[N+](=O)[O-])C[C@@H]1C ZINC000886225999 646929276 /nfs/dbraw/zinc/92/92/76/646929276.db2.gz FVNSYHQQUWZLNY-WDEREUQCSA-N 0 0 286.335 2.605 20 5 CFBDRN C[C@@H]1CN(Cc2cccnc2[N+](=O)[O-])C[C@@H](C)C1(F)F ZINC000886296871 646957192 /nfs/dbraw/zinc/95/71/92/646957192.db2.gz CKKPWKNHIMTHCP-NXEZZACHSA-N 0 0 285.294 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1CN1C[C@@H]2C[C@@H]2C1 ZINC000886348743 646982077 /nfs/dbraw/zinc/98/20/77/646982077.db2.gz RSVJGMVUBSTCHG-AOOOYVTPSA-N 0 0 297.152 2.809 20 5 CFBDRN Cc1ccc(C)c(COC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000138158671 647022536 /nfs/dbraw/zinc/02/25/36/647022536.db2.gz MLOOMSZQGSDJTD-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN COc1ccc(CN[C@@H]2CCc3cccnc32)cc1[N+](=O)[O-] ZINC000886516702 647025895 /nfs/dbraw/zinc/02/58/95/647025895.db2.gz VEFYBAGFLRMXRN-CYBMUJFWSA-N 0 0 299.330 2.776 20 5 CFBDRN CN(CCCF)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000887142688 647229522 /nfs/dbraw/zinc/22/95/22/647229522.db2.gz WMRATBQVCQWQNW-UHFFFAOYSA-N 0 0 262.231 2.664 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1C[C@@H]2C[C@@H]2C1 ZINC000887180957 647240379 /nfs/dbraw/zinc/24/03/79/647240379.db2.gz DVHUSDFTAWFDTG-OCAPTIKFSA-N 0 0 281.699 2.732 20 5 CFBDRN CC(=O)[C@H]1CC[C@H](NC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000887262018 647253862 /nfs/dbraw/zinc/25/38/62/647253862.db2.gz ANFCFRAPCRSSRT-HOMQSWHASA-N 0 0 296.348 2.534 20 5 CFBDRN C[C@@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000887399556 647302183 /nfs/dbraw/zinc/30/21/83/647302183.db2.gz XJWQBOJJTNTNOH-WUHRBBMRSA-N 0 0 288.347 2.861 20 5 CFBDRN C[C@@H](CNC(=O)CC1CSC1)c1ccccc1[N+](=O)[O-] ZINC000887399023 647302251 /nfs/dbraw/zinc/30/22/51/647302251.db2.gz LNYKOTPGWKMHFD-JTQLQIEISA-N 0 0 294.376 2.568 20 5 CFBDRN C[C@H](CNC(=O)CCC(F)F)c1ccccc1[N+](=O)[O-] ZINC000887400602 647302999 /nfs/dbraw/zinc/30/29/99/647302999.db2.gz FMPPAMGFPBMXBO-SECBINFHSA-N 0 0 286.278 2.860 20 5 CFBDRN C[C@@H](CNC(=O)[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000887401499 647303218 /nfs/dbraw/zinc/30/32/18/647303218.db2.gz XICDUAFYOWYZIG-CMPLNLGQSA-N 0 0 274.320 2.615 20 5 CFBDRN C[C@@H](CNC(=O)CCC(F)F)c1ccccc1[N+](=O)[O-] ZINC000887400603 647303265 /nfs/dbraw/zinc/30/32/65/647303265.db2.gz FMPPAMGFPBMXBO-VIFPVBQESA-N 0 0 286.278 2.860 20 5 CFBDRN CC[C@H](SC)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403055 647303732 /nfs/dbraw/zinc/30/37/32/647303732.db2.gz NTKFPZPJRDFHFL-MFKMUULPSA-N 0 0 296.392 2.956 20 5 CFBDRN C[C@H](CNC(=O)C1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000887402924 647303838 /nfs/dbraw/zinc/30/38/38/647303838.db2.gz LJXRUWHKECEKEU-SNVBAGLBSA-N 0 0 262.309 2.615 20 5 CFBDRN CCO[C@@H](CC)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404925 647304682 /nfs/dbraw/zinc/30/46/82/647304682.db2.gz PATPVTBIWUSPJJ-FZMZJTMJSA-N 0 0 294.351 2.630 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)Oc1cccc([N+](=O)[O-])c1 ZINC000887598914 647367656 /nfs/dbraw/zinc/36/76/56/647367656.db2.gz MOGKQCZITKQLJC-GWCFXTLKSA-N 0 0 261.277 2.936 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1CCOC2(CCC2)C1 ZINC000887730215 647401615 /nfs/dbraw/zinc/40/16/15/647401615.db2.gz JMVUUTRTXYYYII-NSHDSACASA-N 0 0 290.319 2.883 20 5 CFBDRN Cc1nonc1COc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000302443288 647407891 /nfs/dbraw/zinc/40/78/91/647407891.db2.gz BLISNQPQPPMZHV-UHFFFAOYSA-N 0 0 287.634 2.658 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)CCCF ZINC000887984046 647456880 /nfs/dbraw/zinc/45/68/80/647456880.db2.gz DGYKGPLOLWQLFC-UHFFFAOYSA-N 0 0 269.276 2.727 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC(F)(F)F)c1C ZINC000889292096 647538055 /nfs/dbraw/zinc/53/80/55/647538055.db2.gz ZHQNCGOUHDOCMD-UHFFFAOYSA-N 0 0 290.241 2.894 20 5 CFBDRN CCc1cnc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000889387745 647556929 /nfs/dbraw/zinc/55/69/29/647556929.db2.gz KKKYEOBCBFANOL-VIFPVBQESA-N 0 0 289.291 2.887 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]1C1CCCC1 ZINC000889405659 647563426 /nfs/dbraw/zinc/56/34/26/647563426.db2.gz VFCJBZGUSAJVIR-AWEZNQCLSA-N 0 0 289.335 2.582 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000889584204 647604332 /nfs/dbraw/zinc/60/43/32/647604332.db2.gz KJLSVXSMSZVADE-XDMRBOTDSA-N 0 0 299.330 2.995 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)c1[N+](=O)[O-] ZINC000889585629 647605402 /nfs/dbraw/zinc/60/54/02/647605402.db2.gz VQUABNSQIGLOTA-NQBHXWOUSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)cc([N+](=O)[O-])c1 ZINC000889591258 647607773 /nfs/dbraw/zinc/60/77/73/647607773.db2.gz QCPMSDYHFRTLSI-ZLKJLUDKSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)cc([N+](=O)[O-])c1 ZINC000889591255 647607820 /nfs/dbraw/zinc/60/78/20/647607820.db2.gz QCPMSDYHFRTLSI-DDTOSNHZSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)cc([N+](=O)[O-])c1 ZINC000889591256 647607969 /nfs/dbraw/zinc/60/79/69/647607969.db2.gz QCPMSDYHFRTLSI-LERXQTSPSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)Cc2cccnc2)cc([N+](=O)[O-])c1 ZINC000889658868 647634024 /nfs/dbraw/zinc/63/40/24/647634024.db2.gz CNJPSIAHQAGVRD-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN C[C@@H]1CSCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000889909477 647697256 /nfs/dbraw/zinc/69/72/56/647697256.db2.gz WILLWNDYMKNFDF-SNVBAGLBSA-N 0 0 280.349 2.562 20 5 CFBDRN C[C@@H]1CO[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000890437959 647802284 /nfs/dbraw/zinc/80/22/84/647802284.db2.gz VERDZABHVCLMQU-XVKPBYJWSA-N 0 0 284.699 2.612 20 5 CFBDRN CC(=O)C1CCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000113653000 647825670 /nfs/dbraw/zinc/82/56/70/647825670.db2.gz OKVGAZAXOUXRCX-UHFFFAOYSA-N 0 0 262.309 2.709 20 5 CFBDRN NC(=O)COC(=O)c1c2ccccc2cc2ccccc21 ZINC000003393265 647831426 /nfs/dbraw/zinc/83/14/26/647831426.db2.gz UIUBNKFDYSPLRD-UHFFFAOYSA-N 0 0 279.295 2.635 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])Oc1ccc(F)cc1 ZINC000007657108 647867644 /nfs/dbraw/zinc/86/76/44/647867644.db2.gz VMTAASSYGUIWGA-UHFFFAOYSA-N 0 0 291.234 2.718 20 5 CFBDRN COc1c(C=O)cccc1OCc1cccc([N+](=O)[O-])c1 ZINC000011053670 647880694 /nfs/dbraw/zinc/88/06/94/647880694.db2.gz ZYJVCLLLDZNPOG-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN CC(C)N1CCC[C@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000923891084 647905933 /nfs/dbraw/zinc/90/59/33/647905933.db2.gz CKTHUBSWSZTBDB-AWEZNQCLSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC000924035940 647914919 /nfs/dbraw/zinc/91/49/19/647914919.db2.gz QAETYECXYKGFSE-CYDGBPFRSA-N 0 0 288.347 2.925 20 5 CFBDRN CC(C)N1CCC[C@@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000924077380 647918510 /nfs/dbraw/zinc/91/85/10/647918510.db2.gz GHQCGZBGZUSSTH-LLVKDONJSA-N 0 0 296.371 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CCc1nc(CCCF)no1 ZINC000924084529 647920645 /nfs/dbraw/zinc/92/06/45/647920645.db2.gz SVUUINSNHOBXNC-UHFFFAOYSA-N 0 0 297.261 2.804 20 5 CFBDRN C[C@@]1(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)C=CCC1 ZINC000924402665 647973550 /nfs/dbraw/zinc/97/35/50/647973550.db2.gz WUMHFJYVBMZVRJ-OAHLLOKOSA-N 0 0 289.287 2.677 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000925232448 648138069 /nfs/dbraw/zinc/13/80/69/648138069.db2.gz GRIKYFFWOXXCRL-GHMZBOCLSA-N 0 0 277.324 2.850 20 5 CFBDRN C[C@@H](NC(=O)N1[C@H](C)C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000925242294 648139390 /nfs/dbraw/zinc/13/93/90/648139390.db2.gz ZOJPCFWDWFLENF-GMTAPVOTSA-N 0 0 277.324 2.848 20 5 CFBDRN CC[C@@H](NCc1cc(N)cc[nH+]1)c1cccc([N+](=O)[O-])c1 ZINC000925772995 648315636 /nfs/dbraw/zinc/31/56/36/648315636.db2.gz YSMNAVUPXOJGQG-OAHLLOKOSA-N 0 0 286.335 2.813 20 5 CFBDRN CC(C)[S@](C)(=O)=NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000926057951 648394673 /nfs/dbraw/zinc/39/46/73/648394673.db2.gz KCCOFFVVCCLGHF-FVINQWEUSA-N 0 0 298.364 2.731 20 5 CFBDRN Cc1nonc1[C@H](C)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000926493621 648508937 /nfs/dbraw/zinc/50/89/37/648508937.db2.gz WLTNAJDXUVWPON-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN O=C(NC[C@@]12C[C@@H]1CCCC2)c1csc([N+](=O)[O-])c1 ZINC000927450863 648781472 /nfs/dbraw/zinc/78/14/72/648781472.db2.gz KCUYIHBJFVMGFB-GXFFZTMASA-N 0 0 280.349 2.966 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@H]2C[C@H]21)c1csc([N+](=O)[O-])c1 ZINC000927489840 648790143 /nfs/dbraw/zinc/79/01/43/648790143.db2.gz DFESVZPJDJGCLF-QXEWZRGKSA-N 0 0 280.349 2.822 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000927500264 648793038 /nfs/dbraw/zinc/79/30/38/648793038.db2.gz RACMWMUKFPKYSY-ZKYQVNSYSA-N 0 0 274.320 2.761 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928244940 648886480 /nfs/dbraw/zinc/88/64/80/648886480.db2.gz HLOMJPYXSHAQIB-MISXGVKJSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928246502 648887309 /nfs/dbraw/zinc/88/73/09/648887309.db2.gz AKURDLZJFJWDQV-NXEZZACHSA-N 0 0 262.309 2.818 20 5 CFBDRN CC[C@H](SC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247985 648888276 /nfs/dbraw/zinc/88/82/76/648888276.db2.gz GBRIXVMNMADTAQ-SKDRFNHKSA-N 0 0 282.365 2.914 20 5 CFBDRN CO[C@@H](C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000928247098 648888315 /nfs/dbraw/zinc/88/83/15/648888315.db2.gz PMZTWPDIDXNHFZ-QMTHXVAHSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H](NC(=O)C1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000928249978 648890411 /nfs/dbraw/zinc/89/04/11/648890411.db2.gz YCNDGDXEIKRCPM-MRVPVSSYSA-N 0 0 284.262 2.817 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000928936498 648967777 /nfs/dbraw/zinc/96/77/77/648967777.db2.gz FDLJVSZHQMHZQS-FPLPWBNLSA-N 0 0 282.727 2.785 20 5 CFBDRN CC(C)CCNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929159938 648991893 /nfs/dbraw/zinc/99/18/93/648991893.db2.gz SUJAEPJRNFIHTL-UONOGXRCSA-N 0 0 276.336 2.861 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@H]1c1ccc(Br)cc1 ZINC000929314749 649029822 /nfs/dbraw/zinc/02/98/22/649029822.db2.gz SEAHIMYYLFIQRL-LBPRGKRZSA-N 0 0 299.168 2.863 20 5 CFBDRN CCN(C(=O)CCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000929863057 649141992 /nfs/dbraw/zinc/14/19/92/649141992.db2.gz GGHOYYUEKUGVTA-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN C[C@H](N[C@@H](C)c1ncccn1)c1cccc([N+](=O)[O-])c1 ZINC000930207595 649206629 /nfs/dbraw/zinc/20/66/29/649206629.db2.gz BFRMQTSUFKYEOD-QWRGUYRKSA-N 0 0 272.308 2.797 20 5 CFBDRN C[C@@H](c1ncccn1)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000930442883 649248502 /nfs/dbraw/zinc/24/85/02/649248502.db2.gz ZJNWRFDCHVLEHM-LBPRGKRZSA-N 0 0 286.335 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ccc3c(c2)OCO3)cc1 ZINC000035677675 649283555 /nfs/dbraw/zinc/28/35/55/649283555.db2.gz BYSIPFKYZBQQDT-UHFFFAOYSA-N 0 0 286.287 2.978 20 5 CFBDRN C[C@H]1CN(CC[N+](=O)[O-])CCc2ccc(Cl)cc21 ZINC000930847774 649334824 /nfs/dbraw/zinc/33/48/24/649334824.db2.gz COJPGEDXONFFQJ-JTQLQIEISA-N 0 0 268.744 2.578 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000930882962 649342776 /nfs/dbraw/zinc/34/27/76/649342776.db2.gz NFPBGXZXFSKNFC-CHWSQXEVSA-N 0 0 293.323 2.544 20 5 CFBDRN O=C(C[C@H]1CC=CCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000930920444 649350265 /nfs/dbraw/zinc/35/02/65/649350265.db2.gz CGMPHPAFEYSYPK-LBPRGKRZSA-N 0 0 274.320 2.957 20 5 CFBDRN O=[N+]([O-])CCN1CCc2ccc(Cl)c(Cl)c2C1 ZINC000930961758 649356753 /nfs/dbraw/zinc/35/67/53/649356753.db2.gz DUVPZXCARUDTBN-UHFFFAOYSA-N 0 0 275.135 2.628 20 5 CFBDRN O=[N+]([O-])CCN[C@@H](c1cccc(Cl)c1)C(F)F ZINC000931705620 649475587 /nfs/dbraw/zinc/47/55/87/649475587.db2.gz LSDDEXMLFBWODC-VIFPVBQESA-N 0 0 264.659 2.513 20 5 CFBDRN CC(C)c1nnc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000932180936 649560210 /nfs/dbraw/zinc/56/02/10/649560210.db2.gz XIVXALWOSRKSDE-SNVBAGLBSA-N 0 0 290.323 2.952 20 5 CFBDRN CSCCCNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933160931 649676243 /nfs/dbraw/zinc/67/62/43/649676243.db2.gz YZKFUMHCOVDSBV-STQMWFEESA-N 0 0 294.376 2.568 20 5 CFBDRN CSC[C@H](C)NC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933175660 649677701 /nfs/dbraw/zinc/67/77/01/649677701.db2.gz AYSQUBDJISSGBR-ZWKOPEQDSA-N 0 0 294.376 2.566 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCOC[C@@H]1CC1CC1 ZINC000933211293 649680052 /nfs/dbraw/zinc/68/00/52/649680052.db2.gz ATMPQWSIRJGGOU-LBPRGKRZSA-N 0 0 294.326 2.735 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])[C@@H]1C ZINC000934092303 649748103 /nfs/dbraw/zinc/74/81/03/649748103.db2.gz BHWTVMUUTPKPEL-GHMZBOCLSA-N 0 0 294.326 2.923 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NC1(C2CC2)CC1 ZINC000934740276 649823156 /nfs/dbraw/zinc/82/31/56/649823156.db2.gz ORSMVIUJFSSYKU-UHFFFAOYSA-N 0 0 292.360 2.989 20 5 CFBDRN CCn1cc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cn1 ZINC000114263244 651224725 /nfs/dbraw/zinc/22/47/25/651224725.db2.gz JKJHWRHCPRCPKY-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(OS(=O)(=O)c2ccccc2F)cc1 ZINC000016089678 651238575 /nfs/dbraw/zinc/23/85/75/651238575.db2.gz BRLZXJKOYNOWMW-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN Cc1ccc(COC(=O)c2cc([N+](=O)[O-])ccc2N)cc1 ZINC000016814219 651265645 /nfs/dbraw/zinc/26/56/45/651265645.db2.gz XQLRLSXRYMQAMW-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN CCO[C@H](CC)C(=O)Oc1ccc(CC)cc1[N+](=O)[O-] ZINC000115926858 651277522 /nfs/dbraw/zinc/27/75/22/651277522.db2.gz HYYURXYIBIYFOD-GFCCVEGCSA-N 0 0 281.308 2.878 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062420 651278522 /nfs/dbraw/zinc/27/85/22/651278522.db2.gz GFEBYTRSGLBKNG-GMSGAONNSA-N 0 0 269.684 2.947 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000119623446 651299715 /nfs/dbraw/zinc/29/97/15/651299715.db2.gz OBCVSFSMUNJTAD-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CCCN(CCC)c1ccc([N+](=O)[O-])c(OC)n1 ZINC001155179937 782245332 /nfs/dbraw/zinc/24/53/32/782245332.db2.gz YWXBGTOJXOQXPO-UHFFFAOYSA-N 0 0 253.302 2.625 20 5 CFBDRN Cc1ccnc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1C1CC1 ZINC001155408672 782284864 /nfs/dbraw/zinc/28/48/64/782284864.db2.gz IRNNOTBNDPZKBJ-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC[C@H](O)C2CC2)cc1F ZINC000402420232 770517634 /nfs/dbraw/zinc/51/76/34/770517634.db2.gz BREJFWDVVGISEO-JTQLQIEISA-N 0 0 275.663 2.537 20 5 CFBDRN CCCC[N@H+](C)Cc1ccc(O)c(OC)c1[N+](=O)[O-] ZINC000891448906 770621260 /nfs/dbraw/zinc/62/12/60/770621260.db2.gz GHNHZJVBHMWAHL-UHFFFAOYSA-N 0 0 268.313 2.541 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000059239833 778849553 /nfs/dbraw/zinc/84/95/53/778849553.db2.gz SBIXFRCFVWSLSR-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Nc1c(F)c(N2CCC[C@H](CF)C2)ccc1[N+](=O)[O-] ZINC000711504227 781449315 /nfs/dbraw/zinc/44/93/15/781449315.db2.gz YEGQNWWFKPLSRS-MRVPVSSYSA-N 0 0 271.267 2.502 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(F)s1 ZINC001125315590 770057478 /nfs/dbraw/zinc/05/74/78/770057478.db2.gz AKYMKIPWLMOPAA-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cnn3c2OCC2(CC2)C3)c1 ZINC001204450108 770110605 /nfs/dbraw/zinc/11/06/05/770110605.db2.gz KDQUVISDXHNTBN-UHFFFAOYSA-N 0 0 286.291 2.708 20 5 CFBDRN O=[N+]([O-])c1ccc2ccc(N3CC[C@H]4OCC[C@H]4C3)nc2c1 ZINC001167216371 770150734 /nfs/dbraw/zinc/15/07/34/770150734.db2.gz TWGCEUUWDPGFIN-SWLSCSKDSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1cc(Cl)nc(N2CC[C@H]3OCC[C@H]3C2)c1[N+](=O)[O-] ZINC001167216824 770151044 /nfs/dbraw/zinc/15/10/44/770151044.db2.gz VZIPPQRYJXPKTH-VHSXEESVSA-N 0 0 297.742 2.567 20 5 CFBDRN Cc1cc(Cl)nc(N2C[C@H]3[C@H](CF)[C@H]3C2)c1[N+](=O)[O-] ZINC001167221960 770154949 /nfs/dbraw/zinc/15/49/49/770154949.db2.gz MIEAFDCQXONFMI-PSVAKVPMSA-N 0 0 285.706 2.603 20 5 CFBDRN CC(=O)N(CCN(C)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC001125335772 770157057 /nfs/dbraw/zinc/15/70/57/770157057.db2.gz GAPCKDZFAFZWBV-UHFFFAOYSA-N 0 0 293.367 2.596 20 5 CFBDRN COC(=O)c1sccc1Nc1ccc(N)c([N+](=O)[O-])c1 ZINC001209932968 770165925 /nfs/dbraw/zinc/16/59/25/770165925.db2.gz VWRDCPSXMCYZGF-UHFFFAOYSA-N 0 0 293.304 2.769 20 5 CFBDRN Nc1cccc2ccn(-c3ccc(N)c([N+](=O)[O-])c3)c21 ZINC001209938751 770167524 /nfs/dbraw/zinc/16/75/24/770167524.db2.gz YGNQDJLPFUULII-UHFFFAOYSA-N 0 0 268.276 2.703 20 5 CFBDRN COCCCOc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000690698238 770194832 /nfs/dbraw/zinc/19/48/32/770194832.db2.gz WKBILNDEMDLMSM-UHFFFAOYSA-N 0 0 263.652 2.803 20 5 CFBDRN COc1ncc(Nc2nccnc2C(C)C)cc1[N+](=O)[O-] ZINC001210136988 770214843 /nfs/dbraw/zinc/21/48/43/770214843.db2.gz SDCBOFCXNCQRAF-UHFFFAOYSA-N 0 0 289.295 2.655 20 5 CFBDRN Cc1cc(NCC2(c3ccccc3)COC2)ncc1[N+](=O)[O-] ZINC001167292196 770226919 /nfs/dbraw/zinc/22/69/19/770226919.db2.gz NCHSHJYAUWKIFB-UHFFFAOYSA-N 0 0 299.330 2.678 20 5 CFBDRN CSc1nccc(Nc2cccc([N+](=O)[O-])c2F)n1 ZINC001210379263 770275013 /nfs/dbraw/zinc/27/50/13/770275013.db2.gz WCFMFEUSKCHDFU-UHFFFAOYSA-N 0 0 280.284 2.989 20 5 CFBDRN O=C1CC(Nc2ncnc3cc4ccccc4cc32)C1 ZINC001167339063 770313441 /nfs/dbraw/zinc/31/34/41/770313441.db2.gz RTSKOJWDOTWXIZ-UHFFFAOYSA-N 0 0 263.300 2.926 20 5 CFBDRN O=C1CC(Nc2cc(C(F)(F)F)ccc2[N+](=O)[O-])C1 ZINC001167340927 770315539 /nfs/dbraw/zinc/31/55/39/770315539.db2.gz LYRMJPHEHOXVDH-UHFFFAOYSA-N 0 0 274.198 2.757 20 5 CFBDRN Cc1nc(CO[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC001210601584 770335322 /nfs/dbraw/zinc/33/53/22/770335322.db2.gz HXADPXKXRQXAPC-MRVPVSSYSA-N 0 0 263.253 2.564 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(C)c2F)n1 ZINC001266593097 770393598 /nfs/dbraw/zinc/39/35/98/770393598.db2.gz XGDFWPFNKWLICD-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Nc1ncc(Cl)nc1Nc1ccc(F)c([N+](=O)[O-])c1 ZINC001211191426 770449742 /nfs/dbraw/zinc/44/97/42/770449742.db2.gz VJIWDFXXZZTRQF-UHFFFAOYSA-N 0 0 283.650 2.503 20 5 CFBDRN CCN(C)c1ncc(Nc2ccc(F)c([N+](=O)[O-])c2)cn1 ZINC001211191223 770449881 /nfs/dbraw/zinc/44/98/81/770449881.db2.gz QUUNFUPKAOMRMO-UHFFFAOYSA-N 0 0 291.286 2.724 20 5 CFBDRN CN1C[C@H](CCNc2c(Cl)cccc2[N+](=O)[O-])CC1=O ZINC000723398213 770563008 /nfs/dbraw/zinc/56/30/08/770563008.db2.gz UOTBFHHIDPNVBG-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC1(C)C ZINC000933298021 770577176 /nfs/dbraw/zinc/57/71/76/770577176.db2.gz ATSCBOPHIBDQJM-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)C1CC1 ZINC000588435980 770676717 /nfs/dbraw/zinc/67/67/17/770676717.db2.gz FLOBJHBIVKQTAB-JUDLJHIGSA-N 0 0 274.320 2.913 20 5 CFBDRN CC[C@H](Nc1nccc(C)c1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723870924 770697153 /nfs/dbraw/zinc/69/71/53/770697153.db2.gz NEEVCAPVBYLROF-RYUDHWBXSA-N 0 0 279.340 2.915 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCCOC(C)C ZINC000588445083 770705348 /nfs/dbraw/zinc/70/53/48/770705348.db2.gz BMDZSSHODZBCJT-BENRWUELSA-N 0 0 293.319 2.966 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OC[C@@H]1CCCO1 ZINC000588445164 770705675 /nfs/dbraw/zinc/70/56/75/770705675.db2.gz NKRJWKDQTFHAEH-FPAJZGIOSA-N 0 0 291.303 2.720 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OC1CCOCC1 ZINC000588447798 770722979 /nfs/dbraw/zinc/72/29/79/770722979.db2.gz SAVBRIWAEMRPGV-KHPPLWFESA-N 0 0 291.303 2.720 20 5 CFBDRN Cc1cnc(CNc2ncc(C)cc2[N+](=O)[O-])c(C)c1 ZINC000724108730 770787824 /nfs/dbraw/zinc/78/78/24/770787824.db2.gz BZVPQRAEJDSYHU-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccnnc2C)c1C ZINC001267064291 770844378 /nfs/dbraw/zinc/84/43/78/770844378.db2.gz AKYNGYCDRLBWNF-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN COC(=O)c1ncccc1Nc1cc(F)cc([N+](=O)[O-])c1 ZINC001216481381 770944662 /nfs/dbraw/zinc/94/46/62/770944662.db2.gz XIXVVLNWDBYACH-UHFFFAOYSA-N 0 0 291.238 2.659 20 5 CFBDRN CC(=O)CNc1ncnc2cc3ccccc3cc21 ZINC001167628621 773199110 /nfs/dbraw/zinc/19/91/10/773199110.db2.gz BLQGHXFDBLWENB-UHFFFAOYSA-N 0 0 251.289 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc(COC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC001224095928 775101231 /nfs/dbraw/zinc/10/12/31/775101231.db2.gz HOSNWVAYBGCDLX-UHFFFAOYSA-N 0 0 288.206 2.817 20 5 CFBDRN C[C@@H](OCc1ccc([N+](=O)[O-])nc1)c1cnc2ccccn21 ZINC001224109279 775102145 /nfs/dbraw/zinc/10/21/45/775102145.db2.gz QHLUXSNAWABYJC-LLVKDONJSA-N 0 0 298.302 2.915 20 5 CFBDRN C[C@@H](COC(C)(C)C)OCc1ccc([N+](=O)[O-])nc1 ZINC001224109091 775102315 /nfs/dbraw/zinc/10/23/15/775102315.db2.gz NGDPMEKOVHFBFP-JTQLQIEISA-N 0 0 268.313 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2CCC(F)(F)C2)cn1 ZINC001224113422 775103568 /nfs/dbraw/zinc/10/35/68/775103568.db2.gz IIMWFAABQJNCDY-SECBINFHSA-N 0 0 258.224 2.694 20 5 CFBDRN Cc1cn2c(n1)[C@H](OCc1ccc([N+](=O)[O-])nc1)CCC2 ZINC001224114685 775103637 /nfs/dbraw/zinc/10/36/37/775103637.db2.gz PLFXRKMHHYMBTJ-GFCCVEGCSA-N 0 0 288.307 2.546 20 5 CFBDRN COC1=CC[C@@H](OCc2ccc([N+](=O)[O-])nc2)CC1 ZINC001224113460 775103685 /nfs/dbraw/zinc/10/36/85/775103685.db2.gz JJQLSDRUQSVZDC-GFCCVEGCSA-N 0 0 264.281 2.589 20 5 CFBDRN Cc1cnc(CO[C@H](C)c2cnccn2)c(C)c1[N+](=O)[O-] ZINC001224186040 775122376 /nfs/dbraw/zinc/12/23/76/775122376.db2.gz XBYWEEKHVWWAFZ-LLVKDONJSA-N 0 0 288.307 2.674 20 5 CFBDRN O=[N+]([O-])c1ccc(COC[C@H]2COCO2)c2ccccc21 ZINC001224201432 775127143 /nfs/dbraw/zinc/12/71/43/775127143.db2.gz BFRCDLCJNXTHFW-LBPRGKRZSA-N 0 0 289.287 2.638 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000721535435 775129079 /nfs/dbraw/zinc/12/90/79/775129079.db2.gz ZVMBADJOEGHRDF-PSASIEDQSA-N 0 0 264.281 2.522 20 5 CFBDRN COC(CCO[C@H](C)c1ccccc1[N+](=O)[O-])OC ZINC001224343364 775163360 /nfs/dbraw/zinc/16/33/60/775163360.db2.gz YCGPOSYRJRWUKC-SNVBAGLBSA-N 0 0 269.297 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC23CC(O)C3)c(Cl)c1 ZINC000725000364 775221865 /nfs/dbraw/zinc/22/18/65/775221865.db2.gz JUDBROGZXZWQDP-HBWJCNCUSA-N 0 0 268.700 2.574 20 5 CFBDRN CC1(C)C[C@@H](CO[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)O1 ZINC001224635265 775234211 /nfs/dbraw/zinc/23/42/11/775234211.db2.gz KVDSBEAWNUZXEN-KGLIPLIRSA-N 0 0 277.320 2.646 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](OC[C@H]1CCCOC1)C2 ZINC001224643072 775236148 /nfs/dbraw/zinc/23/61/48/775236148.db2.gz ACVVMVNGNPTKOL-XHDPSFHLSA-N 0 0 277.320 2.505 20 5 CFBDRN Cc1ccccc1N(C)C(=O)c1[nH]nc([N+](=O)[O-])c1Cl ZINC001167675330 775253001 /nfs/dbraw/zinc/25/30/01/775253001.db2.gz QETWMRLDBGQEDZ-UHFFFAOYSA-N 0 0 294.698 2.556 20 5 CFBDRN O=[N+]([O-])C[C@H](OCCCCOc1ccccc1)C1CC1 ZINC001224712458 775255085 /nfs/dbraw/zinc/25/50/85/775255085.db2.gz JMCNNFCVOUIANB-HNNXBMFYSA-N 0 0 279.336 2.918 20 5 CFBDRN CC1(C)C[C@H](OCCOc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001224777555 775270891 /nfs/dbraw/zinc/27/08/91/775270891.db2.gz AFSLYQVOXYUWJR-CQSZACIVSA-N 0 0 295.335 2.948 20 5 CFBDRN CCOc1ccccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225212161 775367251 /nfs/dbraw/zinc/36/72/51/775367251.db2.gz GLPFISYFDQRATC-HNNXBMFYSA-N 0 0 288.303 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](OCCCO)C(F)(F)F)cc1 ZINC001217916167 775374845 /nfs/dbraw/zinc/37/48/45/775374845.db2.gz DBNUQAPNUJWRKQ-JTQLQIEISA-N 0 0 279.214 2.597 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccc(F)cc1 ZINC001225247891 775378476 /nfs/dbraw/zinc/37/84/76/775378476.db2.gz ARDOVGGQZAIBRC-VIFPVBQESA-N 0 0 279.271 2.706 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccc(F)cc1F ZINC001225312532 775407122 /nfs/dbraw/zinc/40/71/22/775407122.db2.gz UIYQKNWDEBZQSO-MRVPVSSYSA-N 0 0 297.261 2.845 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccccc1-c1ccno1)C1CC1 ZINC001225357458 775425237 /nfs/dbraw/zinc/42/52/37/775425237.db2.gz GKWAYYCHPVMRKF-AWEZNQCLSA-N 0 0 274.276 2.776 20 5 CFBDRN C[C@H](Oc1ccc(F)c([N+](=O)[O-])c1)c1cncnc1 ZINC001225382834 775436943 /nfs/dbraw/zinc/43/69/43/775436943.db2.gz SVLQHRZGAJNDDJ-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382206 775437241 /nfs/dbraw/zinc/43/72/41/775437241.db2.gz MRRSGUNMQPDGMU-MFKMUULPSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1cncc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001225479120 775473155 /nfs/dbraw/zinc/47/31/55/775473155.db2.gz KSLMSCVUDFXYDH-CQSZACIVSA-N 0 0 270.288 2.844 20 5 CFBDRN Cc1cc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)cc(=O)n1C ZINC001225534319 775495264 /nfs/dbraw/zinc/49/52/64/775495264.db2.gz QOZXOLGTIDSZHF-NSHDSACASA-N 0 0 288.303 2.742 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC001225579118 775509145 /nfs/dbraw/zinc/50/91/45/775509145.db2.gz RMEJADKQEOKFRI-HOMQSWHASA-N 0 0 297.282 2.845 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225577217 775509362 /nfs/dbraw/zinc/50/93/62/775509362.db2.gz GVPAXRDWXHAQGA-SNVBAGLBSA-N 0 0 285.271 2.845 20 5 CFBDRN CC1(C)CC(=O)CC[C@H]1Oc1ncccc1[N+](=O)[O-] ZINC001225593536 775516588 /nfs/dbraw/zinc/51/65/88/775516588.db2.gz TZIJTLDPSRMRRO-LLVKDONJSA-N 0 0 264.281 2.516 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC001225602675 775518985 /nfs/dbraw/zinc/51/89/85/775518985.db2.gz ZRDNPCGMQHMTSR-ILWJIGKKSA-N 0 0 278.264 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC001225602106 775518990 /nfs/dbraw/zinc/51/89/90/775518990.db2.gz UPPMKNDUSGFHKV-JTQLQIEISA-N 0 0 294.263 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC001225602109 775519135 /nfs/dbraw/zinc/51/91/35/775519135.db2.gz UPPMKNDUSGFHKV-SNVBAGLBSA-N 0 0 294.263 2.883 20 5 CFBDRN CC[C@@H](COc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-])OC ZINC001225600853 775519276 /nfs/dbraw/zinc/51/92/76/775519276.db2.gz JUWTYYSBJRKFEN-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC001225601172 775519460 /nfs/dbraw/zinc/51/94/60/775519460.db2.gz MNQVEPPHPAWZOH-VIFPVBQESA-N 0 0 264.237 2.909 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H](C)C1CCOCC1 ZINC001225612936 775523547 /nfs/dbraw/zinc/52/35/47/775523547.db2.gz KLCQOINTSICKNQ-SNVBAGLBSA-N 0 0 281.308 2.797 20 5 CFBDRN CC(C)[NH+]1CCC(Oc2cccc([O-])c2[N+](=O)[O-])CC1 ZINC001225619643 775526460 /nfs/dbraw/zinc/52/64/60/775526460.db2.gz GKTGWUVVIUVQDZ-UHFFFAOYSA-N 0 0 280.324 2.552 20 5 CFBDRN O=[N+]([O-])c1ncccc1O[C@@H]1CCCc2cccnc21 ZINC001225628121 775530611 /nfs/dbraw/zinc/53/06/11/775530611.db2.gz CYHMNBIVMZMPHL-LLVKDONJSA-N 0 0 271.276 2.841 20 5 CFBDRN CC(C)[C@@H](Oc1ccc([N+](=O)[O-])cn1)C(F)(F)F ZINC001225627888 775530834 /nfs/dbraw/zinc/53/08/34/775530834.db2.gz JDSXHCZOVAGJFR-SECBINFHSA-N 0 0 264.203 2.956 20 5 CFBDRN O=[N+]([O-])c1ncccc1O[C@H]1CCOc2ccccc21 ZINC001225629277 775532046 /nfs/dbraw/zinc/53/20/46/775532046.db2.gz IRUWEVDFASZHPP-LBPRGKRZSA-N 0 0 272.260 2.892 20 5 CFBDRN O=[N+]([O-])c1ncccc1O[C@H]1COCc2ccccc21 ZINC001225628953 775532276 /nfs/dbraw/zinc/53/22/76/775532276.db2.gz AQXHYPIRZQOOLL-ZDUSSCGKSA-N 0 0 272.260 2.640 20 5 CFBDRN Cc1ccc([C@H](C)Oc2ccc([N+](=O)[O-])cn2)cn1 ZINC001225634257 775533174 /nfs/dbraw/zinc/53/31/74/775533174.db2.gz UBCJGICLSIDGDM-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC(=O)[C@@H](Oc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC001225631628 775533884 /nfs/dbraw/zinc/53/38/84/775533884.db2.gz GTXQIKMPJRBUTP-CQSZACIVSA-N 0 0 272.260 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H](c2cccnc2)C2CC2)nc1 ZINC001225634487 775533895 /nfs/dbraw/zinc/53/38/95/775533895.db2.gz YUMNWSSISXUJGY-CQSZACIVSA-N 0 0 271.276 2.915 20 5 CFBDRN Cc1cnc(F)c([C@@H](C)Oc2ccc([N+](=O)[O-])cn2)c1 ZINC001225634665 775534642 /nfs/dbraw/zinc/53/46/42/775534642.db2.gz LHJFGTUGYPFPCB-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN COC(C[C@@H](C)Oc1cccc(C)c1[N+](=O)[O-])OC ZINC001225635701 775535127 /nfs/dbraw/zinc/53/51/27/775535127.db2.gz LZKFVGIPHOPZFK-SNVBAGLBSA-N 0 0 269.297 2.680 20 5 CFBDRN CC(C)[C@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])C(F)(F)F ZINC001225659945 775545092 /nfs/dbraw/zinc/54/50/92/775545092.db2.gz ANVZPUXTELWFFT-QMMMGPOBSA-N 0 0 280.202 2.661 20 5 CFBDRN Cc1cc(OC2Cc3ccccc3C2)ncc1[N+](=O)[O-] ZINC001225656955 775545248 /nfs/dbraw/zinc/54/52/48/775545248.db2.gz BNJQIIVFPOPPKG-UHFFFAOYSA-N 0 0 270.288 2.844 20 5 CFBDRN CC(C)[C@@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])C(F)(F)F ZINC001225659944 775545564 /nfs/dbraw/zinc/54/55/64/775545564.db2.gz ANVZPUXTELWFFT-MRVPVSSYSA-N 0 0 280.202 2.661 20 5 CFBDRN C[C@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000694706580 775552396 /nfs/dbraw/zinc/55/23/96/775552396.db2.gz GQJUHUXMIORDCQ-ZANVPECISA-N 0 0 284.743 2.703 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C[C@@]1(C)CO ZINC000694707231 775552697 /nfs/dbraw/zinc/55/26/97/775552697.db2.gz NYIATBZRQCMCAP-YGRLFVJLSA-N 0 0 298.770 2.699 20 5 CFBDRN CCOCC(COCC)Oc1cc(C)ccc1[N+](=O)[O-] ZINC001225682608 775556504 /nfs/dbraw/zinc/55/65/04/775556504.db2.gz KWLIEWQWUTXQBI-UHFFFAOYSA-N 0 0 283.324 2.724 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(C)ccc1[N+](=O)[O-] ZINC001225681956 775556832 /nfs/dbraw/zinc/55/68/32/775556832.db2.gz HSHJTKXJSUJFTP-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc(C)ccc2[N+](=O)[O-])=C1C ZINC001225681589 775557366 /nfs/dbraw/zinc/55/73/66/775557366.db2.gz CEKDIRIQNFVHIA-NSHDSACASA-N 0 0 277.276 2.891 20 5 CFBDRN Cc1c(O[C@@H](C)c2ncccn2)cccc1[N+](=O)[O-] ZINC001225690692 775560328 /nfs/dbraw/zinc/56/03/28/775560328.db2.gz BFROZKVFPMLWHO-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1c(O[C@H]2CCCC3(C2)OCCO3)cccc1[N+](=O)[O-] ZINC001225693171 775561405 /nfs/dbraw/zinc/56/14/05/775561405.db2.gz QAVNSIXOBFUOBK-LBPRGKRZSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1cc(=O)[nH]c(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001225707153 775568500 /nfs/dbraw/zinc/56/85/00/775568500.db2.gz TYDWSNJCVPCKLK-ZDUSSCGKSA-N 0 0 286.287 2.550 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(OC3CCC(O)CC3)sc2c1 ZINC001218365104 775581869 /nfs/dbraw/zinc/58/18/69/775581869.db2.gz RTKQQHRUYSCLSQ-UHFFFAOYSA-N 0 0 294.332 2.887 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2CCOC2)c1Br ZINC001225758389 775583582 /nfs/dbraw/zinc/58/35/82/775583582.db2.gz HGJZDBSDYWVUQG-SSDOTTSWSA-N 0 0 288.097 2.525 20 5 CFBDRN COc1ccc(O[C@@H]2CCC[N@@H+](C(C)C)C2)c([N+](=O)[O-])c1 ZINC001225769946 775587712 /nfs/dbraw/zinc/58/77/12/775587712.db2.gz QICOSTFIEUOXTE-CYBMUJFWSA-N 0 0 294.351 2.855 20 5 CFBDRN COc1ccc(O[C@@H](C)c2cnccn2)c([N+](=O)[O-])c1 ZINC001225772368 775587764 /nfs/dbraw/zinc/58/77/64/775587764.db2.gz LSLLEVMIXTVLNJ-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC001225770521 775587863 /nfs/dbraw/zinc/58/78/63/775587863.db2.gz XKLUJNKVQPDQFB-JTQLQIEISA-N 0 0 297.307 2.714 20 5 CFBDRN COc1ccc(O[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001225772969 775587912 /nfs/dbraw/zinc/58/79/12/775587912.db2.gz SSDNEXCLKWXRRZ-KOLCDFICSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1ccc(O[C@@H]2COc3cnccc32)c([N+](=O)[O-])c1 ZINC001225773575 775588638 /nfs/dbraw/zinc/58/86/38/775588638.db2.gz YZAKBXPTIRIDAM-CQSZACIVSA-N 0 0 288.259 2.511 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225777064 775589352 /nfs/dbraw/zinc/58/93/52/775589352.db2.gz OTKRBVWCSZHJOA-SFYZADRCSA-N 0 0 273.235 2.677 20 5 CFBDRN CC[C@@H](Oc1cccc([N+](=O)[O-])c1Cl)C(=O)OC ZINC001225787098 775593768 /nfs/dbraw/zinc/59/37/68/775593768.db2.gz BMHAABCGTPSBRG-MRVPVSSYSA-N 0 0 273.672 2.579 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2cccc([N+](=O)[O-])c2F)C1(C)C ZINC001225796339 775596328 /nfs/dbraw/zinc/59/63/28/775596328.db2.gz KDBFCLAADAWRBL-KWQFWETISA-N 0 0 297.282 2.700 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2cccc([N+](=O)[O-])c2F)CC1 ZINC001225796938 775596486 /nfs/dbraw/zinc/59/64/86/775596486.db2.gz OEYAUIPCCJYRMV-AOOOYVTPSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cccc([N+](=O)[O-])c1F ZINC001225797221 775597048 /nfs/dbraw/zinc/59/70/48/775597048.db2.gz SBIIXZYYOJTTBL-WCBMZHEXSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cccc([N+](=O)[O-])c2F)CCO1 ZINC001225797196 775597614 /nfs/dbraw/zinc/59/76/14/775597614.db2.gz RFWYVJZKAPGQJV-RKDXNWHRSA-N 0 0 255.245 2.680 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ncccc1C(F)(F)F)C1CC1 ZINC001225836978 775610019 /nfs/dbraw/zinc/61/00/19/775610019.db2.gz ALAGPXVNKNHIKU-SECBINFHSA-N 0 0 276.214 2.535 20 5 CFBDRN CCOC[C@H](C)Oc1ncc(C(F)(F)F)cc1[N+](=O)[O-] ZINC001225848087 775612096 /nfs/dbraw/zinc/61/20/96/775612096.db2.gz VZBXATDCGUHYRH-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN CCC(=O)c1cc(F)c(O[C@@H](C[N+](=O)[O-])C2CC2)c(F)c1 ZINC001225891640 775624697 /nfs/dbraw/zinc/62/46/97/775624697.db2.gz JZLYXIFINUCBHA-ZDUSSCGKSA-N 0 0 299.273 2.992 20 5 CFBDRN C[C@H](Oc1ccc(F)cc1[N+](=O)[O-])c1ncccn1 ZINC001225905664 775630985 /nfs/dbraw/zinc/63/09/85/775630985.db2.gz RFYIBYQZNQLKNH-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225907911 775631171 /nfs/dbraw/zinc/63/11/71/775631171.db2.gz UYTXBPCVNZRRTC-KCJUWKMLSA-N 0 0 255.245 2.538 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225912912 775632837 /nfs/dbraw/zinc/63/28/37/775632837.db2.gz PEMBQPJGCTYCJK-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2COc3cnccc32)cc1F ZINC001225916245 775633675 /nfs/dbraw/zinc/63/36/75/775633675.db2.gz PSSXVYDURMJFAB-ZDUSSCGKSA-N 0 0 276.223 2.641 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC001225914708 775634335 /nfs/dbraw/zinc/63/43/35/775634335.db2.gz BBIIGTRYTZDNCE-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN C[C@H]1CC[C@@H](COc2c(F)c(F)ccc2[N+](=O)[O-])O1 ZINC001225960620 775648631 /nfs/dbraw/zinc/64/86/31/775648631.db2.gz PSUBOEJTXGEGJV-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN CC[C@H](COc1c(F)c(F)ccc1[N+](=O)[O-])OC ZINC001225963882 775650603 /nfs/dbraw/zinc/65/06/03/775650603.db2.gz UFMQXFNLYSVJSE-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1OC[C@H]1C[C@H](O)C1 ZINC001218425573 775657760 /nfs/dbraw/zinc/65/77/60/775657760.db2.gz FIOMFYOFOUKDDD-LJGSYFOKSA-N 0 0 275.663 2.537 20 5 CFBDRN CCOCC(COCC)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226007984 775665709 /nfs/dbraw/zinc/66/57/09/775665709.db2.gz WDYHEURSNSBXKV-UHFFFAOYSA-N 0 0 287.287 2.554 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1O[C@@H]1CCCC2(C1)OCCO2 ZINC001226010633 775667334 /nfs/dbraw/zinc/66/73/34/775667334.db2.gz VARUYOJYICRILW-SNVBAGLBSA-N 0 0 297.282 2.798 20 5 CFBDRN CC(C)OC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001226074048 775688831 /nfs/dbraw/zinc/68/88/31/775688831.db2.gz VNTCDAGJUJCRSI-CQSZACIVSA-N 0 0 293.319 2.686 20 5 CFBDRN Cc1ccnc(O[C@H](C)COC(C)(C)C)c1[N+](=O)[O-] ZINC001226115593 775703769 /nfs/dbraw/zinc/70/37/69/775703769.db2.gz DXOWCVVHPCNUDH-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1cn2c(n1)[C@@H](Oc1nccc(C)c1[N+](=O)[O-])CCC2 ZINC001226120734 775707903 /nfs/dbraw/zinc/70/79/03/775707903.db2.gz VTADTRIIEYNQRK-NSHDSACASA-N 0 0 288.307 2.717 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC001226131694 775711409 /nfs/dbraw/zinc/71/14/09/775711409.db2.gz PHEIMXZWAONBSM-CBAPKCEASA-N 0 0 272.688 2.590 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)[C@@H]1C ZINC001226172507 775725149 /nfs/dbraw/zinc/72/51/49/775725149.db2.gz LEBSLJYHSCRROU-BREBYQMCSA-N 0 0 292.335 2.897 20 5 CFBDRN Cc1cc(C(=O)NOCc2ccccc2)cc([N+](=O)[O-])c1 ZINC000122405265 775734075 /nfs/dbraw/zinc/73/40/75/775734075.db2.gz JZNBXBBHRZXEOY-UHFFFAOYSA-N 0 0 286.287 2.765 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCCOC2)c(Cl)cc1F ZINC001226196840 775734237 /nfs/dbraw/zinc/73/42/37/775734237.db2.gz UNTWIWJYOAFTOL-ZETCQYMHSA-N 0 0 275.663 2.945 20 5 CFBDRN CC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])c(F)cc1Cl ZINC001226196592 775734465 /nfs/dbraw/zinc/73/44/65/775734465.db2.gz RJRUUXUISMMBOF-ZCFIWIBFSA-N 0 0 261.636 2.744 20 5 CFBDRN CN1CCC[C@H](Oc2cc([N+](=O)[O-])c(F)cc2Cl)C1 ZINC001226194933 775734698 /nfs/dbraw/zinc/73/46/98/775734698.db2.gz GMQSXIJZOYOTEJ-QMMMGPOBSA-N 0 0 288.706 2.860 20 5 CFBDRN COC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])c(Cl)cc1Cl ZINC001226205749 775737452 /nfs/dbraw/zinc/73/74/52/775737452.db2.gz UEXHVECVLNYSRK-RXMQYKEDSA-N 0 0 294.090 2.842 20 5 CFBDRN Cc1ccc(N2CCC[C@@H](CO)CC2)c([N+](=O)[O-])c1 ZINC000294254942 775741144 /nfs/dbraw/zinc/74/11/44/775741144.db2.gz WPYBWKKVFDHEEA-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1c([C@H](C)Oc2ncc([N+](=O)[O-])cc2Cl)cnn1C ZINC001226251907 775756271 /nfs/dbraw/zinc/75/62/71/775756271.db2.gz GGGUVKTUAXOQFL-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2COc3cnccc32)c(Cl)c1 ZINC001226256923 775758109 /nfs/dbraw/zinc/75/81/09/775758109.db2.gz ATTQRBYFXDSWNM-NSHDSACASA-N 0 0 293.666 2.551 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@H]1CCCC[C@@H]1F ZINC001226332537 775783022 /nfs/dbraw/zinc/78/30/22/775783022.db2.gz BRAXDTWVRGPZIE-KWQFWETISA-N 0 0 255.245 2.960 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CC=CC2)c(Br)c1 ZINC001226338549 775784319 /nfs/dbraw/zinc/78/43/19/775784319.db2.gz AOECIMOEXLWMIA-UHFFFAOYSA-N 0 0 285.097 2.850 20 5 CFBDRN C[C@H](Oc1ncnc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC001226434396 775821405 /nfs/dbraw/zinc/82/14/05/775821405.db2.gz MADJPMDWZNLXGM-QMMMGPOBSA-N 0 0 259.265 2.715 20 5 CFBDRN CC[C@@H](C)Oc1cc([N+](=O)[O-])c(C(=O)OC)cc1OC ZINC001226431904 775821476 /nfs/dbraw/zinc/82/14/76/775821476.db2.gz UNKIHNNAZHKIQW-MRVPVSSYSA-N 0 0 283.280 2.567 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCCC[C@@H]2OC)c1 ZINC001226446021 775825291 /nfs/dbraw/zinc/82/52/91/775825291.db2.gz KTSNGISSZJENMU-STQMWFEESA-N 0 0 281.308 2.940 20 5 CFBDRN C[C@H](CNc1cc[nH]c(=O)c1[N+](=O)[O-])C(C)(C)C ZINC000695470005 775849594 /nfs/dbraw/zinc/84/95/94/775849594.db2.gz WLNDOQSUUXIACH-MRVPVSSYSA-N 0 0 253.302 2.790 20 5 CFBDRN O=C1OCCC[C@H]1Oc1ccc([N+](=O)[O-])c2ccccc12 ZINC001226509203 775852498 /nfs/dbraw/zinc/85/24/98/775852498.db2.gz MLULSRDLNLUYPP-CQSZACIVSA-N 0 0 287.271 2.832 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H]1CCC=CO1 ZINC001226529095 775860405 /nfs/dbraw/zinc/86/04/05/775860405.db2.gz DEJBNZCIPSXSPR-GFCCVEGCSA-N 0 0 277.276 2.869 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCOC[C@H]1C ZINC001226530967 775862649 /nfs/dbraw/zinc/86/26/49/775862649.db2.gz MZKTTXIPOIIIPQ-RNCFNFMXSA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1noc2cc(O[C@H](C[N+](=O)[O-])C3CC3)ccc12 ZINC001226534980 775865079 /nfs/dbraw/zinc/86/50/79/775865079.db2.gz KQZHAUPJVSMPOV-CYBMUJFWSA-N 0 0 262.265 2.570 20 5 CFBDRN COc1cc(O[C@H](C)CC(C)C)ncc1[N+](=O)[O-] ZINC001226580089 775881229 /nfs/dbraw/zinc/88/12/29/775881229.db2.gz XLXLDHJNHNEFLU-SECBINFHSA-N 0 0 254.286 2.812 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226581493 775881504 /nfs/dbraw/zinc/88/15/04/775881504.db2.gz RSASQZMLAKASLD-LBPRGKRZSA-N 0 0 296.323 2.915 20 5 CFBDRN COc1cc(O[C@H]2CCC[C@H](C)C2)ncc1[N+](=O)[O-] ZINC001226582695 775881688 /nfs/dbraw/zinc/88/16/88/775881688.db2.gz ZAFDQVAEVDJIJT-UWVGGRQHSA-N 0 0 266.297 2.956 20 5 CFBDRN CCCCOC[C@@H](C)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226582707 775882188 /nfs/dbraw/zinc/88/21/88/775882188.db2.gz ZVICUPKTTSZZME-SNVBAGLBSA-N 0 0 284.312 2.582 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])nc1)c1nccs1 ZINC001226711465 775933122 /nfs/dbraw/zinc/93/31/22/775933122.db2.gz DBNNOSBNZOHYCX-ZETCQYMHSA-N 0 0 251.267 2.586 20 5 CFBDRN Cc1c(F)cc([N+](=O)[O-])cc1NC(C)(C)CCO ZINC001167739948 775940834 /nfs/dbraw/zinc/94/08/34/775940834.db2.gz CMVWCWFDKMKEMY-UHFFFAOYSA-N 0 0 256.277 2.615 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CCCc3ccccc32)nc1 ZINC001226732659 775941172 /nfs/dbraw/zinc/94/11/72/775941172.db2.gz XUTXEACMSRUYRN-ZDUSSCGKSA-N 0 0 271.276 2.841 20 5 CFBDRN CCC(CC)[C@H](CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733350 775941418 /nfs/dbraw/zinc/94/14/18/775941418.db2.gz NFKWKYJLGLDLHJ-NSHDSACASA-N 0 0 253.302 2.978 20 5 CFBDRN CC[C@H](C)CC[C@@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733364 775941795 /nfs/dbraw/zinc/94/17/95/775941795.db2.gz NPBPWNFYKGWVRU-VHSXEESVSA-N 0 0 253.302 2.978 20 5 CFBDRN CC[C@@H](C)C[C@H](CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733559 775941979 /nfs/dbraw/zinc/94/19/79/775941979.db2.gz QDHDISFMFDIWPB-KOLCDFICSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1cccc(OC[C@@H](C)Oc2ncc([N+](=O)[O-])cn2)c1 ZINC001226734595 775943232 /nfs/dbraw/zinc/94/32/32/775943232.db2.gz ZMNJYYFQYUCNOY-LLVKDONJSA-N 0 0 289.291 2.540 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226749128 775945537 /nfs/dbraw/zinc/94/55/37/775945537.db2.gz QLRHGPZZFIGXCL-WRWORJQWSA-N 0 0 273.235 2.677 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226751722 775948153 /nfs/dbraw/zinc/94/81/53/775948153.db2.gz PYAANFYERBCPSI-QMMMGPOBSA-N 0 0 272.276 2.711 20 5 CFBDRN C[C@@H](COc1ccccc1)Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226756036 775949903 /nfs/dbraw/zinc/94/99/03/775949903.db2.gz OKCMMYPHWNDRRO-JTQLQIEISA-N 0 0 292.266 2.975 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCOCC2)c(Cl)c1 ZINC001226758379 775951085 /nfs/dbraw/zinc/95/10/85/775951085.db2.gz CDAKUKHUWNJCEK-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN CC1(C)C[C@H](Oc2cccc([N+](=O)[O-])c2)CCO1 ZINC001226763165 775953015 /nfs/dbraw/zinc/95/30/15/775953015.db2.gz KSQDKUBSGZGGNS-GFCCVEGCSA-N 0 0 251.282 2.931 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H](C)c1ccncc1F ZINC001226765693 775954201 /nfs/dbraw/zinc/95/42/01/775954201.db2.gz URKMLDIANXYRDL-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2c1CCC2)c1cccnc1 ZINC001226796397 775968220 /nfs/dbraw/zinc/96/82/20/775968220.db2.gz XHJRKRFHAJQJBY-MRXNPFEDSA-N 0 0 284.315 2.967 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1F)ncnc2OC1CCC1 ZINC001226804850 775971472 /nfs/dbraw/zinc/97/14/72/775971472.db2.gz TUAHIQGHIQKOOH-UHFFFAOYSA-N 0 0 263.228 2.608 20 5 CFBDRN CC[C@H](C)Oc1ncnc2cc(F)c([N+](=O)[O-])cc21 ZINC001226804060 775972191 /nfs/dbraw/zinc/97/21/91/775972191.db2.gz JHHFNBHEKVXYQM-ZETCQYMHSA-N 0 0 265.244 2.854 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@H]2CN3CCC2CC3)c1 ZINC001226811051 775973600 /nfs/dbraw/zinc/97/36/00/775973600.db2.gz VCQLRQSKQWYMIU-ZDUSSCGKSA-N 0 0 282.727 2.721 20 5 CFBDRN Cc1cc(O[C@@H](C)c2cnccn2)ccc1[N+](=O)[O-] ZINC001226844241 775987190 /nfs/dbraw/zinc/98/71/90/775987190.db2.gz VNSDLNPJBHYAEL-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc(OC2CCN(CCF)CC2)ccc1[N+](=O)[O-] ZINC001226842314 775987630 /nfs/dbraw/zinc/98/76/30/775987630.db2.gz UHXQCWNWUFAQFZ-UHFFFAOYSA-N 0 0 282.315 2.716 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)cc1Cl ZINC001226917483 776016495 /nfs/dbraw/zinc/01/64/95/776016495.db2.gz KLWZNNOFLHTDPB-VAOFZXAKSA-N 0 0 266.684 2.987 20 5 CFBDRN C[C@H](Oc1cc(Cl)c([N+](=O)[O-])cn1)C1CCOCC1 ZINC001226916454 776016615 /nfs/dbraw/zinc/01/66/15/776016615.db2.gz CZSBOHSWFLXMKR-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN CC1(C)CC[C@@H](COc2cc(Cl)c([N+](=O)[O-])cn2)O1 ZINC001226916798 776016797 /nfs/dbraw/zinc/01/67/97/776016797.db2.gz FZHBDCBBMRMMSL-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN Cc1cnc(COc2cc([N+](=O)[O-])ccc2Cl)nc1 ZINC000692704261 776024419 /nfs/dbraw/zinc/02/44/19/776024419.db2.gz MLLGIFYJLYZACT-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CCOC(=O)[C@H](Oc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC001226953118 776032961 /nfs/dbraw/zinc/03/29/61/776032961.db2.gz SBLKXPDOGLTPGG-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)cc(=O)o1 ZINC001226958334 776035229 /nfs/dbraw/zinc/03/52/29/776035229.db2.gz JRVUZJQLAYLMSD-JTQLQIEISA-N 0 0 275.260 2.996 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1c(C)cccc1[N+](=O)[O-] ZINC001226967952 776038722 /nfs/dbraw/zinc/03/87/22/776038722.db2.gz BJQQMLPXNZQKKG-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2c(C)cccc2[N+](=O)[O-])C1 ZINC001226971458 776040190 /nfs/dbraw/zinc/04/01/90/776040190.db2.gz MZODUZPYKKWWBG-WJONMLJTSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(=O)[C@@H](C)Oc1c(C)ccc([N+](=O)[O-])c1Cl ZINC001226989903 776051606 /nfs/dbraw/zinc/05/16/06/776051606.db2.gz PPDJAAUYGSRKQW-MRVPVSSYSA-N 0 0 257.673 2.913 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC1CN(C(C)(C)C)C1 ZINC001226994018 776052956 /nfs/dbraw/zinc/05/29/56/776052956.db2.gz BKFPVIRHWLBFNJ-UHFFFAOYSA-N 0 0 264.325 2.765 20 5 CFBDRN CCOC(=O)C1CC(Oc2cc([N+](=O)[O-])ccc2C)C1 ZINC001226994726 776053107 /nfs/dbraw/zinc/05/31/07/776053107.db2.gz HDRVMHHWAZMHOQ-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)c2ccccn2)n1 ZINC001227061953 776079755 /nfs/dbraw/zinc/07/97/55/776079755.db2.gz CSLJDHFFWOWUEV-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cn2c(n1)[C@@H](Oc1nc(C)ccc1[N+](=O)[O-])CCC2 ZINC001227062839 776080647 /nfs/dbraw/zinc/08/06/47/776080647.db2.gz VJPJEEPAETUXKK-LBPRGKRZSA-N 0 0 288.307 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)C(F)(F)F)n1 ZINC001227066997 776082470 /nfs/dbraw/zinc/08/24/70/776082470.db2.gz RDUXCRSVOWLHER-ZCFIWIBFSA-N 0 0 250.176 2.628 20 5 CFBDRN CC(=O)c1cc(C)ccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001227086391 776089762 /nfs/dbraw/zinc/08/97/62/776089762.db2.gz GYIYOXQHYXUQDL-CQSZACIVSA-N 0 0 263.293 2.632 20 5 CFBDRN Cc1cc2c(ccnc2O[C@H](C[N+](=O)[O-])C2CC2)o1 ZINC001227091587 776091168 /nfs/dbraw/zinc/09/11/68/776091168.db2.gz OYGKZYREEHHTFX-GFCCVEGCSA-N 0 0 262.265 2.570 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)c2ccccn2)n1 ZINC000692725562 776093212 /nfs/dbraw/zinc/09/32/12/776093212.db2.gz GDTJYLMSRCUTAD-JTQLQIEISA-N 0 0 272.308 2.909 20 5 CFBDRN CCCC[N@H+](Cn1nccc1[N+](=O)[O-])[C@@H](C)CC ZINC000123704487 776098733 /nfs/dbraw/zinc/09/87/33/776098733.db2.gz GNZREFYGOAREEQ-NSHDSACASA-N 0 0 254.334 2.649 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CCCC[C@H]2F)c([N+](=O)[O-])c1 ZINC001227110562 776102159 /nfs/dbraw/zinc/10/21/59/776102159.db2.gz AQVIDXIBMYESDH-SCZZXKLOSA-N 0 0 285.231 2.558 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1OC1CCCC1 ZINC001227122580 776107137 /nfs/dbraw/zinc/10/71/37/776107137.db2.gz RKCJHXAHBWHHLJ-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN C[C@@H](CON)Oc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC001227126919 776107930 /nfs/dbraw/zinc/10/79/30/776107930.db2.gz UROSYCFOAONKIT-YFKPBYRVSA-N 0 0 281.095 2.559 20 5 CFBDRN COc1cccc(C)c1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001227164838 776121572 /nfs/dbraw/zinc/12/15/72/776121572.db2.gz MJKKOLJASPXUDG-AWEZNQCLSA-N 0 0 288.303 2.795 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H]1CCOC(C)(C)C1 ZINC001227186847 776128968 /nfs/dbraw/zinc/12/89/68/776128968.db2.gz IGSFPAFFOCNPAX-LLVKDONJSA-N 0 0 281.308 2.940 20 5 CFBDRN C[C@@H]1C[C@H](Oc2nc3ccc([N+](=O)[O-])cc3o2)CCO1 ZINC001227232488 776148014 /nfs/dbraw/zinc/14/80/14/776148014.db2.gz SRPZFNKYMJDXPM-PSASIEDQSA-N 0 0 278.264 2.682 20 5 CFBDRN Cc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c2c1CCC2 ZINC001227232517 776148673 /nfs/dbraw/zinc/14/86/73/776148673.db2.gz UAZIVAJJCZWMIG-HNNXBMFYSA-N 0 0 261.321 2.918 20 5 CFBDRN C[C@@H](Oc1nc2c(cccc2[N+](=O)[O-])o1)c1cnccn1 ZINC001227235743 776149152 /nfs/dbraw/zinc/14/91/52/776149152.db2.gz IGLBVWJOHNTAJV-MRVPVSSYSA-N 0 0 286.247 2.666 20 5 CFBDRN CC[C@H](COc1nc2c(cccc2[N+](=O)[O-])o1)OC ZINC001227235415 776149306 /nfs/dbraw/zinc/14/93/06/776149306.db2.gz DENOXXAMVBKXSV-MRVPVSSYSA-N 0 0 266.253 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(OC[C@@H]3CCC=CO3)oc2c1 ZINC001227234394 776149662 /nfs/dbraw/zinc/14/96/62/776149662.db2.gz OJFOMQQBTCBIFU-JTQLQIEISA-N 0 0 276.248 2.808 20 5 CFBDRN Cc1cnc(O[C@H]2COCc3ccccc32)c([N+](=O)[O-])c1 ZINC001227236024 776149748 /nfs/dbraw/zinc/14/97/48/776149748.db2.gz BMJNCJQTTAJASW-AWEZNQCLSA-N 0 0 286.287 2.949 20 5 CFBDRN CCCC(=O)N(C)CCN(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC001105327632 776156519 /nfs/dbraw/zinc/15/65/19/776156519.db2.gz TWABKJDZEYUJHC-UHFFFAOYSA-N 0 0 293.367 2.598 20 5 CFBDRN CCOC(=O)c1ccc(O[C@H](C)CC)c([N+](=O)[O-])c1 ZINC001227297280 776173939 /nfs/dbraw/zinc/17/39/39/776173939.db2.gz OSWCBBPDEPMVFI-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN CCOC(=O)c1ccc(OCC[C@@H](C)OC)c([N+](=O)[O-])c1 ZINC001227296883 776174057 /nfs/dbraw/zinc/17/40/57/776174057.db2.gz HOVILUALIXGIQI-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC001227298389 776174089 /nfs/dbraw/zinc/17/40/89/776174089.db2.gz PZVXOJHXGNZUHZ-LBPRGKRZSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cccc(O)c1C ZINC001227430316 776201510 /nfs/dbraw/zinc/20/15/10/776201510.db2.gz GXYORFBWEMVMGC-VIFPVBQESA-N 0 0 291.307 2.581 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H]1COc2cscc2O1 ZINC001227483672 776211989 /nfs/dbraw/zinc/21/19/89/776211989.db2.gz BHHVYIGSXASTOG-VIFPVBQESA-N 0 0 293.300 2.875 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1ccccc1[N+](=O)[O-] ZINC001227480947 776212646 /nfs/dbraw/zinc/21/26/46/776212646.db2.gz QRJLHWGIAVXQPE-SNVBAGLBSA-N 0 0 267.281 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccccc1O)C2 ZINC001227485779 776213224 /nfs/dbraw/zinc/21/32/24/776213224.db2.gz DESQRPZHIQZVME-ZDUSSCGKSA-N 0 0 271.272 2.847 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])C1CCC1 ZINC000124826207 776215288 /nfs/dbraw/zinc/21/52/88/776215288.db2.gz JSNMPAIUQLAPFC-UHFFFAOYSA-N 0 0 255.657 2.954 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC001227520035 776217363 /nfs/dbraw/zinc/21/73/63/776217363.db2.gz FPRYVYUERNZXMN-QWHCGFSZSA-N 0 0 279.292 2.705 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])cc1 ZINC001227523048 776218534 /nfs/dbraw/zinc/21/85/34/776218534.db2.gz CRVCGZYGYXZWQR-LBPRGKRZSA-N 0 0 267.281 2.705 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])cc2)C1 ZINC001227523987 776220465 /nfs/dbraw/zinc/22/04/65/776220465.db2.gz VJFUWPADWRNFQM-GXFFZTMASA-N 0 0 279.292 2.705 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1O[C@@H](C)C(C)C ZINC001227540732 776224827 /nfs/dbraw/zinc/22/48/27/776224827.db2.gz BFGPMLDCFVRWKN-ZETCQYMHSA-N 0 0 273.310 2.866 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2ccc(O)cc21)C1CC1 ZINC001227572207 776232555 /nfs/dbraw/zinc/23/25/55/776232555.db2.gz UGKNACZNMGDDGB-HNNXBMFYSA-N 0 0 273.288 2.980 20 5 CFBDRN CC(=O)[C@@H](C)Oc1ccc(OC(F)(F)F)cc1[N+](=O)[O-] ZINC001227604829 776240837 /nfs/dbraw/zinc/24/08/37/776240837.db2.gz ZABIFEVKKRPUHS-SSDOTTSWSA-N 0 0 293.197 2.850 20 5 CFBDRN CC(C)OC(=O)c1ccccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001227615586 776245541 /nfs/dbraw/zinc/24/55/41/776245541.db2.gz XONKYVRRUHWFRZ-AWEZNQCLSA-N 0 0 293.319 2.686 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2ncc([N+](=O)[O-])c3nc[nH]c32)cn1 ZINC001227691437 776259863 /nfs/dbraw/zinc/25/98/63/776259863.db2.gz OIMSOCSOIADSOJ-SECBINFHSA-N 0 0 299.290 2.710 20 5 CFBDRN CCOCC(COCC)OCc1ccccc1[N+](=O)[O-] ZINC001222759405 776293269 /nfs/dbraw/zinc/29/32/69/776293269.db2.gz FNCYQVRFSMHJNE-UHFFFAOYSA-N 0 0 283.324 2.553 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC001227895748 776320861 /nfs/dbraw/zinc/32/08/61/776320861.db2.gz CPGWXIJUTYSACG-LLVKDONJSA-N 0 0 295.291 2.518 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@H]2CCOc3ccccc32)c1 ZINC001227987532 776351219 /nfs/dbraw/zinc/35/12/19/776351219.db2.gz HPCRQAJKXWFDPE-AWEZNQCLSA-N 0 0 272.260 2.892 20 5 CFBDRN C[C@@H](COc1cncc([N+](=O)[O-])c1)Oc1ccccc1 ZINC001227990056 776352585 /nfs/dbraw/zinc/35/25/85/776352585.db2.gz FFNMQPBAFLUPGI-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN CC1=C[C@H](Oc2c(Cl)cccc2[N+](=O)[O-])CC(=O)O1 ZINC001228001938 776355653 /nfs/dbraw/zinc/35/56/53/776355653.db2.gz FSKBQVPIQICJFG-QMMMGPOBSA-N 0 0 283.667 2.846 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228002868 776355979 /nfs/dbraw/zinc/35/59/79/776355979.db2.gz RQNHTDMQUSVJOH-JTQLQIEISA-N 0 0 298.726 2.638 20 5 CFBDRN CCOC(=O)[C@H](Oc1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC001228000757 776356245 /nfs/dbraw/zinc/35/62/45/776356245.db2.gz OBFCOEXMDHWURL-LLVKDONJSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@H]1CCc2nccn2C1 ZINC001228001306 776356747 /nfs/dbraw/zinc/35/67/47/776356747.db2.gz WTHICZCKVPTVNQ-VIFPVBQESA-N 0 0 293.710 2.839 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228003397 776358227 /nfs/dbraw/zinc/35/82/27/776358227.db2.gz XIVKNVZVGNOYNW-SSDOTTSWSA-N 0 0 273.672 2.579 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cc([N+](=O)[O-])cc(F)c2F)CCO1 ZINC001228016924 776363865 /nfs/dbraw/zinc/36/38/65/776363865.db2.gz RPULUFSSGWYQJS-CBAPKCEASA-N 0 0 273.235 2.819 20 5 CFBDRN O=C1CCCC[C@H]1Oc1nccc(Cl)c1[N+](=O)[O-] ZINC001228017981 776365474 /nfs/dbraw/zinc/36/54/74/776365474.db2.gz TXCJDKIUZBRNMD-SECBINFHSA-N 0 0 270.672 2.534 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)c(OC[C@@H]2CCC=CO2)c1 ZINC001228017594 776365966 /nfs/dbraw/zinc/36/59/66/776365966.db2.gz XAWHWGVAUJCRMG-VIFPVBQESA-N 0 0 271.219 2.945 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccnc(Cl)c1[N+](=O)[O-] ZINC001228057522 776380053 /nfs/dbraw/zinc/38/00/53/776380053.db2.gz OYZSQAHSHRWUSE-MRVPVSSYSA-N 0 0 272.688 2.780 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nccc1OCCOC1CCCC1 ZINC001228058593 776381419 /nfs/dbraw/zinc/38/14/19/776381419.db2.gz WVOXCULKLROGCA-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN C[C@H]1C[C@H](Oc2nc[nH]c(=O)c2[N+](=O)[O-])CC(C)(C)C1 ZINC001228141802 776407096 /nfs/dbraw/zinc/40/70/96/776407096.db2.gz VFVNEJBNXLTREX-IUCAKERBSA-N 0 0 281.312 2.684 20 5 CFBDRN Cc1ccccc1[C@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228143129 776408534 /nfs/dbraw/zinc/40/85/34/776408534.db2.gz JQYOVOFMXLBSPF-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN O=c1nc2cc([N+](=O)[O-])ccc2c(O[C@@H]2C[C@H]3C=C[C@@H]2C3)[nH]1 ZINC001228241769 776431677 /nfs/dbraw/zinc/43/16/77/776431677.db2.gz FYSAFNZQGXJDOM-IGJMFERPSA-N 0 0 299.286 2.587 20 5 CFBDRN C[C@@H](Oc1[nH]c(=O)nc2cc([N+](=O)[O-])ccc21)C1CCC1 ZINC001228243501 776431939 /nfs/dbraw/zinc/43/19/39/776431939.db2.gz ZZRGDLXTNCDUOI-MRVPVSSYSA-N 0 0 289.291 2.811 20 5 CFBDRN Cc1cc(C)c(O[C@H]2CCc3nccn3C2)c([N+](=O)[O-])c1 ZINC001228238880 776432059 /nfs/dbraw/zinc/43/20/59/776432059.db2.gz XWFYZORIWSBDEX-LBPRGKRZSA-N 0 0 287.319 2.802 20 5 CFBDRN COC(C[C@@H](C)Oc1c(C)cc(C)cc1[N+](=O)[O-])OC ZINC001228238844 776432109 /nfs/dbraw/zinc/43/21/09/776432109.db2.gz XSOMGNIOUHIZMD-LLVKDONJSA-N 0 0 283.324 2.988 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CO[C@H]1CCOC[C@H]1C ZINC001223108687 776474774 /nfs/dbraw/zinc/47/47/74/776474774.db2.gz WISUGGNDFUDJFI-MFKMUULPSA-N 0 0 281.308 2.545 20 5 CFBDRN Cc1c(O[C@H](C)c2cccc([N+](=O)[O-])c2)c(=O)ccn1C ZINC001228435538 776490191 /nfs/dbraw/zinc/49/01/91/776490191.db2.gz NYOIMRMLVKEOSV-LLVKDONJSA-N 0 0 288.303 2.742 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@@H]1CCOC[C@@H]1C ZINC001228451372 776495066 /nfs/dbraw/zinc/49/50/66/776495066.db2.gz VOWPUIROWSHDCF-IINYFYTJSA-N 0 0 293.319 2.910 20 5 CFBDRN CC(C)O[C@@]1(CNc2ccc([N+](=O)[O-])cc2)CCOC1 ZINC000722345950 776499844 /nfs/dbraw/zinc/49/98/44/776499844.db2.gz IJSJBHSPAFMTRX-CQSZACIVSA-N 0 0 280.324 2.591 20 5 CFBDRN CC(C)O[C@@]1(CNc2ccccc2[N+](=O)[O-])CCOC1 ZINC000722348358 776503800 /nfs/dbraw/zinc/50/38/00/776503800.db2.gz UPTZUMHYZWNZKY-CQSZACIVSA-N 0 0 280.324 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@H]1C=C(C)OC(=O)C1 ZINC001228570847 776524703 /nfs/dbraw/zinc/52/47/03/776524703.db2.gz ICOPDBCYINOLHG-LBPRGKRZSA-N 0 0 277.276 2.810 20 5 CFBDRN CC(C)Oc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001228585884 776529948 /nfs/dbraw/zinc/52/99/48/776529948.db2.gz IOZIDJDQPAEOCP-AWEZNQCLSA-N 0 0 265.309 2.908 20 5 CFBDRN CC(C)C[C@H](C)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604226 776537221 /nfs/dbraw/zinc/53/72/21/776537221.db2.gz PUNHSMYNCAWSRL-QMMMGPOBSA-N 0 0 281.312 2.781 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc(F)cc(F)c2[N+](=O)[O-])=C1C ZINC001228614687 776540692 /nfs/dbraw/zinc/54/06/92/776540692.db2.gz XQHTVVFJNJVVAX-VIFPVBQESA-N 0 0 299.229 2.861 20 5 CFBDRN CC[C@@H](C)C[C@@H](CC)Oc1ncncc1[N+](=O)[O-] ZINC001228653722 776554017 /nfs/dbraw/zinc/55/40/17/776554017.db2.gz AYMRNMGHAZNDFX-NXEZZACHSA-N 0 0 253.302 2.978 20 5 CFBDRN CCCOC[C@@H](C)Oc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228672733 776562568 /nfs/dbraw/zinc/56/25/68/776562568.db2.gz AEBIOSWQCGFIQP-SNVBAGLBSA-N 0 0 293.323 2.973 20 5 CFBDRN CCOC[C@H](C)Oc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228675395 776563090 /nfs/dbraw/zinc/56/30/90/776563090.db2.gz PUJZWFRBSJSVJC-VIFPVBQESA-N 0 0 279.296 2.583 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)c2cccnc2)c1[N+](=O)[O-] ZINC001228686146 776567063 /nfs/dbraw/zinc/56/70/63/776567063.db2.gz LUNDEUWIJDZUCC-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1[nH]c(=O)cc(OC2CCC(F)(F)CC2)c1[N+](=O)[O-] ZINC001228685407 776567924 /nfs/dbraw/zinc/56/79/24/776567924.db2.gz HHXVHRZAMZJGOH-UHFFFAOYSA-N 0 0 288.250 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2C[C@@H]3CC[C@H]2C3)c1[N+](=O)[O-] ZINC001228688366 776569631 /nfs/dbraw/zinc/56/96/31/776569631.db2.gz IIRSCFCVTDBHRQ-KXUCPTDWSA-N 0 0 264.281 2.571 20 5 CFBDRN Cc1cc2ccccc2c(O[C@@H](C[N+](=O)[O-])C2CC2)n1 ZINC001228734967 776590086 /nfs/dbraw/zinc/59/00/86/776590086.db2.gz RYGATBPLAVAWBN-AWEZNQCLSA-N 0 0 272.304 2.977 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(F)c2cccnc12)C1CC1 ZINC001228747660 776595716 /nfs/dbraw/zinc/59/57/16/776595716.db2.gz PUGMZTPKKSFXIJ-ZDUSSCGKSA-N 0 0 276.267 2.808 20 5 CFBDRN CCOC(=O)[C@H](Oc1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC001228758146 776600604 /nfs/dbraw/zinc/60/06/04/776600604.db2.gz BRTBLRIEIQOSRZ-GFCCVEGCSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)c1cc(O[C@H](C)C2CCC2)cc([N+](=O)[O-])c1 ZINC001228769476 776602714 /nfs/dbraw/zinc/60/27/14/776602714.db2.gz SLWJUQKAHJZLOQ-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN CC[C@@H](C)Oc1c2ccccc2oc(=O)c1[N+](=O)[O-] ZINC001228803124 776618473 /nfs/dbraw/zinc/61/84/73/776618473.db2.gz TYSGQCQYGXGZSJ-MRVPVSSYSA-N 0 0 263.249 2.879 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1cc(C)cc([N+](=O)[O-])c1 ZINC001228839838 776628853 /nfs/dbraw/zinc/62/88/53/776628853.db2.gz ZLJUJCRURSVYDO-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cc(O[C@H]2CCCC3(C2)OCCO3)cc([N+](=O)[O-])c1 ZINC001228839036 776628936 /nfs/dbraw/zinc/62/89/36/776628936.db2.gz SFCYJAPVENNSDC-ZDUSSCGKSA-N 0 0 293.319 2.968 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1cc(C)cc([N+](=O)[O-])c1 ZINC001228839839 776628957 /nfs/dbraw/zinc/62/89/57/776628957.db2.gz ZLJUJCRURSVYDO-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228839405 776629336 /nfs/dbraw/zinc/62/93/36/776629336.db2.gz VDVQHKPQIDOJML-LBPRGKRZSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1ccncc1O[C@H]1CCOc2ccccc21 ZINC001228879081 776644093 /nfs/dbraw/zinc/64/40/93/776644093.db2.gz BWAAKQJGRKUSPI-ZDUSSCGKSA-N 0 0 272.260 2.892 20 5 CFBDRN O=[N+]([O-])c1ccncc1O[C@@H](c1cccnc1)C1CC1 ZINC001228880937 776645178 /nfs/dbraw/zinc/64/51/78/776645178.db2.gz ARKLDAHIHUORCJ-CQSZACIVSA-N 0 0 271.276 2.915 20 5 CFBDRN CC1(C)CC(=O)CC[C@H]1Oc1cnccc1[N+](=O)[O-] ZINC001228883658 776645274 /nfs/dbraw/zinc/64/52/74/776645274.db2.gz XORAPNIBCFNCQA-GFCCVEGCSA-N 0 0 264.281 2.516 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1cnccc1[N+](=O)[O-] ZINC001228879959 776645356 /nfs/dbraw/zinc/64/53/56/776645356.db2.gz MXYOPUXZWQPKPP-GFCCVEGCSA-N 0 0 266.297 2.907 20 5 CFBDRN CCOC(=O)C1CC(Oc2ccc([N+](=O)[O-])cc2C)C1 ZINC001228915693 776657235 /nfs/dbraw/zinc/65/72/35/776657235.db2.gz JLJFOVYPOYWBFM-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN O=[N+]([O-])c1cccc(C(F)(F)F)c1O[C@@H]1COC[C@H]1F ZINC001228930266 776660301 /nfs/dbraw/zinc/66/03/01/776660301.db2.gz KOQQPKGCOBTHIH-VXNVDRBHSA-N 0 0 295.188 2.729 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCCO2)nc1Cl ZINC001228934271 776661340 /nfs/dbraw/zinc/66/13/40/776661340.db2.gz NRSVYKYVZXBTAE-MRVPVSSYSA-N 0 0 272.688 2.591 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(Cl)n1)c1ncccn1 ZINC001228936779 776663561 /nfs/dbraw/zinc/66/35/61/776663561.db2.gz YYUFPIYPOQGDLG-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccnc(Cl)n1)C2 ZINC001228949848 776667818 /nfs/dbraw/zinc/66/78/18/776667818.db2.gz YLXSMXRKKBVRLP-NSHDSACASA-N 0 0 291.694 2.584 20 5 CFBDRN C[C@@H](Oc1cc(O)cc([O-])c1[N+](=O)[O-])c1ccncc1 ZINC001228968921 776670744 /nfs/dbraw/zinc/67/07/44/776670744.db2.gz UGYVXJMFSAXDMV-MRVPVSSYSA-N 0 0 276.248 2.541 20 5 CFBDRN CCCOC[C@H](C)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228969949 776671977 /nfs/dbraw/zinc/67/19/77/776671977.db2.gz DFRPOXLQXMRMFD-JTQLQIEISA-N 0 0 281.308 2.991 20 5 CFBDRN CC[NH+]1CCC(Oc2c(C(C)=O)cccc2[N+](=O)[O-])CC1 ZINC001228970527 776672981 /nfs/dbraw/zinc/67/29/81/776672981.db2.gz JOKFYUPRCDWURT-UHFFFAOYSA-N 0 0 292.335 2.661 20 5 CFBDRN C[C@@H](Oc1coccc1=O)c1ccccc1[N+](=O)[O-] ZINC001228996720 776684274 /nfs/dbraw/zinc/68/42/74/776684274.db2.gz CYXLZEDNVPDJSP-SECBINFHSA-N 0 0 261.233 2.688 20 5 CFBDRN COC(=O)c1c(O[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC001229043984 776698655 /nfs/dbraw/zinc/69/86/55/776698655.db2.gz CLEFSXAALJJWTG-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN COC(=O)c1c(OC2CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC001229044784 776698716 /nfs/dbraw/zinc/69/87/16/776698716.db2.gz KVRNXGUIQVOHQS-UHFFFAOYSA-N 0 0 287.218 2.558 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H](C)C1CCC1 ZINC001229055372 776702909 /nfs/dbraw/zinc/70/29/09/776702909.db2.gz BMBVUUJWJDIXGX-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H](Oc1cccoc1=O)c1cccc([N+](=O)[O-])c1 ZINC001229088559 776714431 /nfs/dbraw/zinc/71/44/31/776714431.db2.gz PCDPOZVXAUXIQA-SECBINFHSA-N 0 0 261.233 2.688 20 5 CFBDRN Cn1ccc2cc(O[C@@H](C[N+](=O)[O-])C3CC3)ccc21 ZINC001229113769 776723107 /nfs/dbraw/zinc/72/31/07/776723107.db2.gz BYXBZFFBZQDMMO-AWEZNQCLSA-N 0 0 260.293 2.612 20 5 CFBDRN CC(=O)c1cccc(OC2CN(C(C)(C)C)C2)c1[N+](=O)[O-] ZINC001229131636 776726770 /nfs/dbraw/zinc/72/67/70/776726770.db2.gz MAOPFFXDZGQYDJ-UHFFFAOYSA-N 0 0 292.335 2.659 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229138739 776730552 /nfs/dbraw/zinc/73/05/52/776730552.db2.gz OWQGGPXONVESPO-JTQLQIEISA-N 0 0 295.291 2.518 20 5 CFBDRN CCOC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(=O)CC ZINC001229179028 776745122 /nfs/dbraw/zinc/74/51/22/776745122.db2.gz GTVNAKOMQVRHPQ-SNVBAGLBSA-N 0 0 281.308 2.991 20 5 CFBDRN CCOC(=O)[C@H](CC)OCc1cccc(C)c1[N+](=O)[O-] ZINC001223660450 776745110 /nfs/dbraw/zinc/74/51/10/776745110.db2.gz DBLNCNSEVFFCTC-LBPRGKRZSA-N 0 0 281.308 2.762 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COCc1cccc(C)c1[N+](=O)[O-] ZINC001223665868 776745275 /nfs/dbraw/zinc/74/52/75/776745275.db2.gz ZSXSZJQXLJAIOP-CHWSQXEVSA-N 0 0 297.351 2.860 20 5 CFBDRN CCCOC(=O)[C@@H](C)OCc1cccc(C)c1[N+](=O)[O-] ZINC001223661998 776745768 /nfs/dbraw/zinc/74/57/68/776745768.db2.gz ZLZVNGZBCGWCSK-LLVKDONJSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC[C@@H]1CC[C@H](C)O1 ZINC001229198758 776750036 /nfs/dbraw/zinc/75/00/36/776750036.db2.gz PXXKAYGXMNHBCX-ONGXEEELSA-N 0 0 269.272 2.989 20 5 CFBDRN CC1=C(Oc2c(C)cc(F)cc2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001229197619 776750101 /nfs/dbraw/zinc/75/01/01/776750101.db2.gz IPFXLRZGNZZBQB-QMMMGPOBSA-N 0 0 281.239 2.640 20 5 CFBDRN CCO[C@H](C)COc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229200357 776750641 /nfs/dbraw/zinc/75/06/41/776750641.db2.gz DOSKCFRKNUCUIA-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1CCCCC1=O ZINC001229201192 776751414 /nfs/dbraw/zinc/75/14/14/776751414.db2.gz LEIZEXLGOHQNGO-LBPRGKRZSA-N 0 0 267.256 2.933 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2n[nH]c(=O)c3ccc([N+](=O)[O-])cc32)C1 ZINC001229363398 776790715 /nfs/dbraw/zinc/79/07/15/776790715.db2.gz CXDWNAJWEJMQRA-WCBMZHEXSA-N 0 0 289.291 2.811 20 5 CFBDRN O=c1[nH]nc(OC2CCCC2)c2cc([N+](=O)[O-])ccc12 ZINC001229365883 776791124 /nfs/dbraw/zinc/79/11/24/776791124.db2.gz XGJBLRWKMIBXLB-UHFFFAOYSA-N 0 0 275.264 2.565 20 5 CFBDRN O=c1[nH]nc(OC2CCCC2)c2c1cccc2[N+](=O)[O-] ZINC001229367866 776792177 /nfs/dbraw/zinc/79/21/77/776792177.db2.gz SYTFJKCTEHCVRP-UHFFFAOYSA-N 0 0 275.264 2.565 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC001229376274 776794985 /nfs/dbraw/zinc/79/49/85/776794985.db2.gz GZXYSTMYVRHOEE-LLVKDONJSA-N 0 0 298.726 2.638 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC001229379310 776795670 /nfs/dbraw/zinc/79/56/70/776795670.db2.gz CZJMOQCIPPQHHA-KOLCDFICSA-N 0 0 299.710 2.969 20 5 CFBDRN Cc1cn2c(n1)[C@H](Oc1cc([N+](=O)[O-])ccc1O)CCC2 ZINC001229395396 776798271 /nfs/dbraw/zinc/79/82/71/776798271.db2.gz HYUZBUBXZQDYSJ-GFCCVEGCSA-N 0 0 289.291 2.719 20 5 CFBDRN COc1ccc([C@H](C)Oc2cc([N+](=O)[O-])ccc2O)cn1 ZINC001229396204 776799614 /nfs/dbraw/zinc/79/96/14/776799614.db2.gz RGCLJTNSFUNKJX-VIFPVBQESA-N 0 0 290.275 2.844 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1O)C(F)(F)F ZINC001229396949 776800205 /nfs/dbraw/zinc/80/02/05/776800205.db2.gz CBWZBGALXNWQER-YFKPBYRVSA-N 0 0 251.160 2.630 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@@H]2CCC(F)(F)C2)c1 ZINC001229399915 776800721 /nfs/dbraw/zinc/80/07/21/776800721.db2.gz PGCHABHNYSWOOJ-MRVPVSSYSA-N 0 0 259.208 2.867 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1cc([N+](=O)[O-])ccc1O ZINC001229399642 776801624 /nfs/dbraw/zinc/80/16/24/776801624.db2.gz MRKSKZFCHMXHNE-VIFPVBQESA-N 0 0 269.297 2.883 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(-c2ccccc2)[nH]n1)C1CC1 ZINC001229437614 776809435 /nfs/dbraw/zinc/80/94/35/776809435.db2.gz KXRADHQROXTYPM-CYBMUJFWSA-N 0 0 273.292 2.511 20 5 CFBDRN O=[N+]([O-])c1c[n+]([O-])ccc1O[C@@H]1CCCc2ccccc21 ZINC001229452027 776816885 /nfs/dbraw/zinc/81/68/85/776816885.db2.gz MLYJCCUJLRZRJM-CQSZACIVSA-N 0 0 286.287 2.685 20 5 CFBDRN C[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1ccc(F)c(F)c1 ZINC001229452631 776816919 /nfs/dbraw/zinc/81/69/19/776816919.db2.gz VDHJJCXLZMQKDJ-QMMMGPOBSA-N 0 0 296.229 2.646 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2cc(C)c([N+](=O)[O-])c(C)c2)C1 ZINC001229465255 776820054 /nfs/dbraw/zinc/82/00/54/776820054.db2.gz AZELZBYLALINBT-ZDUSSCGKSA-N 0 0 292.335 2.601 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1 ZINC001229466652 776821754 /nfs/dbraw/zinc/82/17/54/776821754.db2.gz TVWZIIYBVYKGOE-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN C[C@H](Oc1nc2cc([N+](=O)[O-])ccc2o1)c1cncnc1 ZINC001229487663 776826577 /nfs/dbraw/zinc/82/65/77/776826577.db2.gz QHMGHWIONWMBHB-QMMMGPOBSA-N 0 0 286.247 2.666 20 5 CFBDRN O=[N+]([O-])c1ncc(Br)cc1OC1CC=CC1 ZINC001229561867 776847926 /nfs/dbraw/zinc/84/79/26/776847926.db2.gz BFXYZVCFWGEEFE-UHFFFAOYSA-N 0 0 285.097 2.850 20 5 CFBDRN O=[N+]([O-])c1ncc(Br)cc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001229561251 776848364 /nfs/dbraw/zinc/84/83/64/776848364.db2.gz VJNJQZUHJFGTTL-SRSLHRDFSA-N 0 0 299.124 2.930 20 5 CFBDRN Cc1cc(O)c(O[C@@H](C)C2CCOCC2)cc1[N+](=O)[O-] ZINC001229750253 776887668 /nfs/dbraw/zinc/88/76/68/776887668.db2.gz LQUJZPWFHGCNKP-JTQLQIEISA-N 0 0 281.308 2.803 20 5 CFBDRN Cc1cc(O)c(O[C@H](C)C(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC001229754098 776888592 /nfs/dbraw/zinc/88/85/92/776888592.db2.gz MECIOVBWJIULII-SECBINFHSA-N 0 0 297.307 2.718 20 5 CFBDRN O=C1CC(Oc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)C1 ZINC001229831608 776899879 /nfs/dbraw/zinc/89/98/79/776899879.db2.gz NKXHUUHCKHGENW-UHFFFAOYSA-N 0 0 275.182 2.724 20 5 CFBDRN Cc1cc2ccc(O[C@H](C[N+](=O)[O-])C3CC3)cc2[nH]1 ZINC001229827640 776899889 /nfs/dbraw/zinc/89/98/89/776899889.db2.gz GHMXQAXHZWLZEH-CQSZACIVSA-N 0 0 260.293 2.910 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2ccc([N+](=O)[O-])c(C)c2C)C1 ZINC001229881458 776909920 /nfs/dbraw/zinc/90/99/20/776909920.db2.gz XCAUWDBQOSNGHC-CYBMUJFWSA-N 0 0 292.335 2.601 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])nc1Cl)C(F)(F)F ZINC001229915451 776917508 /nfs/dbraw/zinc/91/75/08/776917508.db2.gz PFQATAGISQOJGI-SCSAIBSYSA-N 0 0 270.594 2.973 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ccc([N+](=O)[O-])nc2Cl)CCO1 ZINC001229916836 776918741 /nfs/dbraw/zinc/91/87/41/776918741.db2.gz BUXHIIOQIOEQLR-HTQZYQBOSA-N 0 0 272.688 2.590 20 5 CFBDRN O=[N+]([O-])c1cc(OC2CC3(COC3)C2)ccc1Cl ZINC001229962210 776926422 /nfs/dbraw/zinc/92/64/22/776926422.db2.gz SNWCRBRIPVCGBW-UHFFFAOYSA-N 0 0 269.684 2.806 20 5 CFBDRN CC1=C[C@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)CC(=O)O1 ZINC001229962345 776926468 /nfs/dbraw/zinc/92/64/68/776926468.db2.gz WQCNALICWWQSIU-VIFPVBQESA-N 0 0 283.667 2.846 20 5 CFBDRN O=C1C[C@H](Oc2ccc([N+](=O)[O-])c(Cl)c2Cl)CO1 ZINC001230040216 776948592 /nfs/dbraw/zinc/94/85/92/776948592.db2.gz KMLDKMSNFQISPT-YFKPBYRVSA-N 0 0 292.074 2.596 20 5 CFBDRN Cc1ccc(O[C@H]2COc3cnccc32)c([N+](=O)[O-])c1 ZINC001230144876 776954969 /nfs/dbraw/zinc/95/49/69/776954969.db2.gz ACRARAWCNDFGHG-AWEZNQCLSA-N 0 0 272.260 2.811 20 5 CFBDRN CC1(C)[C@@H]2C[C@@H](Oc3nc4c[nH]cc([N+](=O)[O-])c-4n3)C[C@@H]21 ZINC001230228667 776961810 /nfs/dbraw/zinc/96/18/10/776961810.db2.gz AIQSLRCYEJTODS-PSVAKVPMSA-N 0 0 288.307 2.680 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1O[C@@H](C)C(C)C ZINC001230246553 776965524 /nfs/dbraw/zinc/96/55/24/776965524.db2.gz MZOGHNQNPSLATG-VIFPVBQESA-N 0 0 266.297 2.977 20 5 CFBDRN CC1(C)C[C@@H](Oc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC001230315116 776977579 /nfs/dbraw/zinc/97/75/79/776977579.db2.gz JUJKNOABIVIBSG-VIFPVBQESA-N 0 0 296.279 2.839 20 5 CFBDRN COc1cc(O[C@H](C)c2ncccn2)ccc1[N+](=O)[O-] ZINC001225435425 776986508 /nfs/dbraw/zinc/98/65/08/776986508.db2.gz GZYCYZXCBVAXBC-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OCCOC(C)C)cc1[N+](=O)[O-] ZINC001230490836 776998105 /nfs/dbraw/zinc/99/81/05/776998105.db2.gz GKIXBJFSRKZLNV-UHFFFAOYSA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@@H]2CC[C@H](C)O2)cc1[N+](=O)[O-] ZINC001230491650 776999236 /nfs/dbraw/zinc/99/92/36/776999236.db2.gz YMSZBTHMNXGWME-UWVGGRQHSA-N 0 0 296.279 2.758 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230493748 776999789 /nfs/dbraw/zinc/99/97/89/776999789.db2.gz KMYKBRFKXVSYED-LBPRGKRZSA-N 0 0 296.279 2.948 20 5 CFBDRN Cc1ccc(O[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492599 776999971 /nfs/dbraw/zinc/99/99/71/776999971.db2.gz RQGAMTAXLLXMKH-ZJUUUORDSA-N 0 0 296.279 2.758 20 5 CFBDRN COC1CCC(Oc2cc3n[nH]cc3cc2[N+](=O)[O-])CC1 ZINC001230594513 777022280 /nfs/dbraw/zinc/02/22/80/777022280.db2.gz GEVZKVBRCOEONT-UHFFFAOYSA-N 0 0 291.307 2.808 20 5 CFBDRN O=C1OC[C@@H](COc2ccc3ccccc3c2[N+](=O)[O-])O1 ZINC001230623906 777028564 /nfs/dbraw/zinc/02/85/64/777028564.db2.gz ZRBPUVDYRGPTOI-SNVBAGLBSA-N 0 0 289.243 2.662 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(C)c([N+](=O)[O-])cc1C)OC ZINC001230723320 777050995 /nfs/dbraw/zinc/05/09/95/777050995.db2.gz IEHHTPKDFOOEPZ-LLVKDONJSA-N 0 0 283.324 2.988 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(C)c([N+](=O)[O-])cc1C ZINC001230724197 777052253 /nfs/dbraw/zinc/05/22/53/777052253.db2.gz UEVOCAAZGZCNCV-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1ncccc1[N+](=O)[O-] ZINC001225593683 777067136 /nfs/dbraw/zinc/06/71/36/777067136.db2.gz VOXOHBPYQXFKCW-GFCCVEGCSA-N 0 0 266.297 2.907 20 5 CFBDRN CCOC(=O)c1ccc(O[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC001230917463 777093049 /nfs/dbraw/zinc/09/30/49/777093049.db2.gz IQXLVULPBXHDRJ-JTQLQIEISA-N 0 0 277.276 2.869 20 5 CFBDRN COc1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc1C ZINC001230925573 777095078 /nfs/dbraw/zinc/09/50/78/777095078.db2.gz WYCGUYQXSKPCTE-HNNXBMFYSA-N 0 0 288.303 2.795 20 5 CFBDRN CCOCC(COCC)Oc1cccc(C)c1[N+](=O)[O-] ZINC001225634073 777104022 /nfs/dbraw/zinc/10/40/22/777104022.db2.gz ZKRIDMRLILFXGH-UHFFFAOYSA-N 0 0 283.324 2.724 20 5 CFBDRN CC[C@@H](Oc1c(C)ccc(C)c1[N+](=O)[O-])C(=O)OC ZINC001231060433 777128375 /nfs/dbraw/zinc/12/83/75/777128375.db2.gz OSIDOCPJDPGIEQ-SNVBAGLBSA-N 0 0 267.281 2.542 20 5 CFBDRN COc1cc(O[C@H](C[N+](=O)[O-])C2CC2)c(C)cc1C(C)=O ZINC001231131472 777151503 /nfs/dbraw/zinc/15/15/03/777151503.db2.gz ZXILOCPHAMFWJB-OAHLLOKOSA-N 0 0 293.319 2.640 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2ncc(F)cc2c1)C1CC1 ZINC001231169321 777163099 /nfs/dbraw/zinc/16/30/99/777163099.db2.gz MPIPPPYSOUIXQF-CQSZACIVSA-N 0 0 276.267 2.808 20 5 CFBDRN CC(=O)[C@H](C)Oc1ccc2nc3ccc(=O)cc-3oc2c1 ZINC001231331652 777193255 /nfs/dbraw/zinc/19/32/55/777193255.db2.gz IZRFCYOLNTVHFZ-JTQLQIEISA-N 0 0 283.283 2.649 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H]2C=CCC2)c1 ZINC000711756595 777233526 /nfs/dbraw/zinc/23/35/26/777233526.db2.gz AAKFXWYPTYUOCJ-SNVBAGLBSA-N 0 0 276.292 2.760 20 5 CFBDRN CCC[C@H](Oc1cc([N+](=O)[O-])ccc1F)C(=O)OCC ZINC001226266296 777491556 /nfs/dbraw/zinc/49/15/56/777491556.db2.gz RPLAHJHNYIZSHB-NSHDSACASA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@H]1C[C@H](Oc2nc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC001226363939 777517021 /nfs/dbraw/zinc/51/70/21/777517021.db2.gz AOJAEGDYTRLILU-JGVFFNPUSA-N 0 0 272.688 2.590 20 5 CFBDRN COc1cccc(O[C@H](C[N+](=O)[O-])C2CC2)c1Cl ZINC001226631440 777587377 /nfs/dbraw/zinc/58/73/77/777587377.db2.gz RWMVUDLHCPPDQM-LLVKDONJSA-N 0 0 271.700 2.783 20 5 CFBDRN COC[C@@H]1CCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000696585127 777588858 /nfs/dbraw/zinc/58/88/58/777588858.db2.gz QMSOZTAAYZWRHE-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ncc([N+](=O)[O-])cn2)CC(C)(C)C1 ZINC001226732822 777627728 /nfs/dbraw/zinc/62/77/28/777627728.db2.gz ZSKWAGZOCDFZCS-MWLCHTKSSA-N 0 0 265.313 2.978 20 5 CFBDRN C[C@H](Oc1ncc(F)cc1[N+](=O)[O-])c1ccccn1 ZINC001226751718 777633589 /nfs/dbraw/zinc/63/35/89/777633589.db2.gz PVQPDQMFXDWIGI-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C=C/C=C\CCNc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000713474017 777634397 /nfs/dbraw/zinc/63/43/97/777634397.db2.gz CBEXIIQYSFQNOR-PLNGDYQASA-N 0 0 296.348 2.543 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cccc([N+](=O)[O-])c2)=C1C ZINC001226763194 777636618 /nfs/dbraw/zinc/63/66/18/777636618.db2.gz LFEIXBXBXOFIKP-NSHDSACASA-N 0 0 263.249 2.583 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)c1nccs1 ZINC001226764518 777637476 /nfs/dbraw/zinc/63/74/76/777637476.db2.gz JGWQKXJGSHTXSQ-QMMMGPOBSA-N 0 0 265.294 2.895 20 5 CFBDRN C[C@H](Oc1ccc(N)nc1)c1ccccc1[N+](=O)[O-] ZINC001226778877 777644444 /nfs/dbraw/zinc/64/44/44/777644444.db2.gz ABGUJJKDOOGQBB-VIFPVBQESA-N 0 0 259.265 2.712 20 5 CFBDRN CC/C=C/CCOC(=O)COc1ccccc1[N+](=O)[O-] ZINC000126942401 777651144 /nfs/dbraw/zinc/65/11/44/777651144.db2.gz HHIJLFWSHRDSBY-ONEGZZNKSA-N 0 0 279.292 2.873 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC001226840512 777669719 /nfs/dbraw/zinc/66/97/19/777669719.db2.gz GKFUFHIFPIURAR-PWSUYJOCSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@H](C)c1cnccn1 ZINC001226991521 777721942 /nfs/dbraw/zinc/72/19/42/777721942.db2.gz AAVUXQJYAUYXIS-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@H]1F)c1cc(F)ccc1[N+](=O)[O-] ZINC000840519870 777772616 /nfs/dbraw/zinc/77/26/16/777772616.db2.gz BOJPQAJXXYBOKP-GHMZBOCLSA-N 0 0 284.262 2.744 20 5 CFBDRN Cc1cnc(O[C@@H](C)CC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC001227235018 777793738 /nfs/dbraw/zinc/79/37/38/777793738.db2.gz NCJZRQDCKAYJLR-JTQLQIEISA-N 0 0 296.323 2.797 20 5 CFBDRN COC(=O)c1ccc(O[C@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001227294588 777801501 /nfs/dbraw/zinc/80/15/01/777801501.db2.gz FPFUEGPLJCWYRH-KOLCDFICSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC001227337958 777816377 /nfs/dbraw/zinc/81/63/77/777816377.db2.gz IGZPXWMNBHBCNV-QMMMGPOBSA-N 0 0 294.698 2.882 20 5 CFBDRN Cc1ccc(CSc2nnc(N)s2)cc1[N+](=O)[O-] ZINC000714061299 777898387 /nfs/dbraw/zinc/89/83/87/777898387.db2.gz VFUANIQZTQZGFR-UHFFFAOYSA-N 0 0 282.350 2.629 20 5 CFBDRN CC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1Cl ZINC001227907722 777957190 /nfs/dbraw/zinc/95/71/90/777957190.db2.gz RWOJGRLFKUSVPQ-CYBMUJFWSA-N 0 0 283.711 2.977 20 5 CFBDRN CC(=O)c1cc(OC[C@H]2CCC=CO2)ccc1[N+](=O)[O-] ZINC001233496683 777969079 /nfs/dbraw/zinc/96/90/79/777969079.db2.gz ZFKJKGPNBQYUMB-GFCCVEGCSA-N 0 0 277.276 2.869 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H]1CC(F)(F)C1(F)F ZINC001233554571 777989307 /nfs/dbraw/zinc/98/93/07/777989307.db2.gz YAWKUMYAHMRHFY-ZCFIWIBFSA-N 0 0 280.177 2.720 20 5 CFBDRN Cc1cn2c(n1)[C@@H](Oc1c(C)ccnc1[N+](=O)[O-])CCC2 ZINC001233557474 777990673 /nfs/dbraw/zinc/99/06/73/777990673.db2.gz UZKGWJAUYYJLOA-NSHDSACASA-N 0 0 288.307 2.717 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H](C)Cc1ccco1 ZINC001233556281 777990958 /nfs/dbraw/zinc/99/09/58/777990958.db2.gz LVVDGMKKCQROPE-SNVBAGLBSA-N 0 0 262.265 2.901 20 5 CFBDRN C[C@H]1C[C@@H](Oc2nccc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228018192 777997479 /nfs/dbraw/zinc/99/74/79/777997479.db2.gz WVODAEDDUDGQCM-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233598335 778005682 /nfs/dbraw/zinc/00/56/82/778005682.db2.gz XZHLIUVOFGJQET-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@@H]2CCCCO2)cc1F ZINC001233599868 778006305 /nfs/dbraw/zinc/00/63/05/778006305.db2.gz NAISSHPVLDYSBF-QMMMGPOBSA-N 0 0 273.235 2.821 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1 ZINC001233703367 778048376 /nfs/dbraw/zinc/04/83/76/778048376.db2.gz VXQPMBSVBJTCAP-LBPRGKRZSA-N 0 0 282.252 2.640 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC001233702287 778048562 /nfs/dbraw/zinc/04/85/62/778048562.db2.gz NHEMAVHRAXBGNY-JTQLQIEISA-N 0 0 280.236 2.575 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(-c2ncco2)cc1)C1CC1 ZINC001233736787 778060010 /nfs/dbraw/zinc/06/00/10/778060010.db2.gz OBAWSVNIVBYIBY-CYBMUJFWSA-N 0 0 274.276 2.776 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233764506 778069388 /nfs/dbraw/zinc/06/93/88/778069388.db2.gz HGGHDXBBJVFYMY-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN COC(C[C@H](C)Oc1ccc([N+](=O)[O-])c(F)c1F)OC ZINC001233764338 778069997 /nfs/dbraw/zinc/06/99/97/778069997.db2.gz BZPNFUYMDIFUTN-ZETCQYMHSA-N 0 0 291.250 2.649 20 5 CFBDRN CCOC[C@@H](C)Oc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233779957 778075273 /nfs/dbraw/zinc/07/52/73/778075273.db2.gz UZIPBYGENXXBNO-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CCOC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1C ZINC001233804922 778083268 /nfs/dbraw/zinc/08/32/68/778083268.db2.gz DSUVWTDQQSDRSH-AWEZNQCLSA-N 0 0 293.319 2.606 20 5 CFBDRN CO[C@@H](C)CCOc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233835882 778091194 /nfs/dbraw/zinc/09/11/94/778091194.db2.gz FRVYLBQPWDXTSB-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(OC[C@H]3CCCO3)nc21 ZINC001233836687 778092860 /nfs/dbraw/zinc/09/28/60/778092860.db2.gz RACBTJMJIPCCCZ-LLVKDONJSA-N 0 0 274.276 2.701 20 5 CFBDRN C[C@@H](COC(C)(C)C)Oc1ccnc([N+](=O)[O-])c1 ZINC001233855361 778097610 /nfs/dbraw/zinc/09/76/10/778097610.db2.gz QNRKAIODYIOGNM-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN COC(=O)c1cnc(O[C@@H](C)CC(C)C)c([N+](=O)[O-])c1 ZINC001233861993 778098536 /nfs/dbraw/zinc/09/85/36/778098536.db2.gz MRWKLSIIPTZEEH-VIFPVBQESA-N 0 0 282.296 2.590 20 5 CFBDRN CCC[C@@H](Oc1ncc(C(=O)OC)cc1[N+](=O)[O-])C(C)C ZINC001233862732 778099207 /nfs/dbraw/zinc/09/92/07/778099207.db2.gz SHFFEIVHPLZPPY-GFCCVEGCSA-N 0 0 296.323 2.980 20 5 CFBDRN CC[C@@H](C)[C@H](C)Oc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC001233860600 778099249 /nfs/dbraw/zinc/09/92/49/778099249.db2.gz BZKSLTFTJULSBA-BDAKNGLRSA-N 0 0 282.296 2.590 20 5 CFBDRN COC(=O)c1cnc(O[C@@H]2CCCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001233863483 778099613 /nfs/dbraw/zinc/09/96/13/778099613.db2.gz WYQJLRRFIFSRRF-JOYOIKCWSA-N 0 0 294.307 2.734 20 5 CFBDRN COC(=O)c1cnc(O[C@H]2CCCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001233863481 778099685 /nfs/dbraw/zinc/09/96/85/778099685.db2.gz WYQJLRRFIFSRRF-CABZTGNLSA-N 0 0 294.307 2.734 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1OC[C@@H]1CCC=CO1 ZINC001233982527 778129673 /nfs/dbraw/zinc/12/96/73/778129673.db2.gz LBONTWRTHBXEEO-ZDUSSCGKSA-N 0 0 293.319 2.586 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC001234050026 778153209 /nfs/dbraw/zinc/15/32/09/778153209.db2.gz GDQRVTLCIAZISE-ZDUSSCGKSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1cc(F)c(OC2CN(C(C)C)C2)cc1[N+](=O)[O-] ZINC001234056160 778154390 /nfs/dbraw/zinc/15/43/90/778154390.db2.gz HNDRUMUHHLUIDL-UHFFFAOYSA-N 0 0 268.288 2.514 20 5 CFBDRN CCn1c(C)nnc1O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001234094748 778164584 /nfs/dbraw/zinc/16/45/84/778164584.db2.gz YNWFFBMFDOJSTG-VIFPVBQESA-N 0 0 276.296 2.655 20 5 CFBDRN C[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@H](C)[C@H]1O ZINC000697231047 778167553 /nfs/dbraw/zinc/16/75/53/778167553.db2.gz AFLBXDHXNOEZJL-MSRIBSCDSA-N 0 0 298.770 2.697 20 5 CFBDRN C[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)[C@@H]1CCO ZINC000697241031 778168344 /nfs/dbraw/zinc/16/83/44/778168344.db2.gz VZFUERDSJDPECP-ZWNOBZJWSA-N 0 0 282.315 2.721 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@@H](Oc2ncncc2[N+](=O)[O-])C1 ZINC001228654758 778178950 /nfs/dbraw/zinc/17/89/50/778178950.db2.gz NKXHJEZXIDQLTO-YWVKMMECSA-N 0 0 251.286 2.588 20 5 CFBDRN CC(C)(C)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cn1 ZINC001234176348 778180619 /nfs/dbraw/zinc/18/06/19/778180619.db2.gz GXARXTSNAZWTPO-GFCCVEGCSA-N 0 0 264.325 2.813 20 5 CFBDRN CCOc1ccc(F)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001234232050 778190240 /nfs/dbraw/zinc/19/02/40/778190240.db2.gz OVMOCUCGDYZURI-CYBMUJFWSA-N 0 0 269.272 2.659 20 5 CFBDRN COc1cc(O[C@H](C[N+](=O)[O-])c2cccnc2)ccc1C ZINC001234279039 778199520 /nfs/dbraw/zinc/19/95/20/778199520.db2.gz CFTPZHONAYTIJY-OAHLLOKOSA-N 0 0 288.303 2.795 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cc(C)cc([N+](=O)[O-])c2)C1 ZINC001228839055 778200784 /nfs/dbraw/zinc/20/07/84/778200784.db2.gz SYVOOCGRLNQGPX-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@H](C)c1cncnc1 ZINC001228922166 778208328 /nfs/dbraw/zinc/20/83/28/778208328.db2.gz VNCGPOCEFFWFTC-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCC[C@H](C)Oc1cc(N2CCOCC2)ccc1[N+](=O)[O-] ZINC001234359927 778214458 /nfs/dbraw/zinc/21/44/58/778214458.db2.gz MCDZMGLPYHFVMK-LBPRGKRZSA-N 0 0 294.351 2.999 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000385403201 778219569 /nfs/dbraw/zinc/21/95/69/778219569.db2.gz NPBIIYGTKLVVGW-SFYZADRCSA-N 0 0 287.772 2.761 20 5 CFBDRN Cc1nc(NC(=O)c2sccc2F)ccc1[N+](=O)[O-] ZINC001126707258 778238898 /nfs/dbraw/zinc/23/88/98/778238898.db2.gz GNBRESBVTYLMCD-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CO[C@@H]1COCC[C@H]1Nc1c(C)cc(C)c([N+](=O)[O-])c1C ZINC001168194620 778241712 /nfs/dbraw/zinc/24/17/12/778241712.db2.gz JIMGIMACKIPCOA-CHWSQXEVSA-N 0 0 294.351 2.736 20 5 CFBDRN COC(=O)c1c(C)c([N+](=O)[O-])ccc1NC[C@H]1C[C@H](F)C1 ZINC001168240232 778266676 /nfs/dbraw/zinc/26/66/76/778266676.db2.gz NLJGOJFFCFTGHD-MGCOHNPYSA-N 0 0 296.298 2.850 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC[C@H]1COC(C)(C)O1 ZINC001229198663 778281659 /nfs/dbraw/zinc/28/16/59/778281659.db2.gz NXNSARUWZSNNNX-JTQLQIEISA-N 0 0 285.271 2.573 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])C2CC2)c(C)c(C)c1[N+](=O)[O-] ZINC001234872629 778317973 /nfs/dbraw/zinc/31/79/73/778317973.db2.gz YTQLIADQCXBHSX-ZDUSSCGKSA-N 0 0 294.307 2.954 20 5 CFBDRN CCN1CC(Oc2cc(C)c([N+](=O)[O-])c(C)c2C)C1 ZINC001234871767 778318492 /nfs/dbraw/zinc/31/84/92/778318492.db2.gz MTLSNQJLYJJEII-UHFFFAOYSA-N 0 0 264.325 2.603 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCC[C@@H](C)C2)n1 ZINC001234879770 778320483 /nfs/dbraw/zinc/32/04/83/778320483.db2.gz SUJHGSUTTWXLHH-ZJUUUORDSA-N 0 0 266.297 2.956 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)c1ccncc1 ZINC001234901241 778325188 /nfs/dbraw/zinc/32/51/88/778325188.db2.gz NUOHHSQOZGGCML-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H]1CCOC(C)(C)C1 ZINC001234901833 778325574 /nfs/dbraw/zinc/32/55/74/778325574.db2.gz SHNXGRZSRWQIIQ-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN C[C@H](O[C@@H](C[N+](=O)[O-])C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC001224027759 778398701 /nfs/dbraw/zinc/39/87/01/778398701.db2.gz XKNQOORMAUVVQH-ZANVPECISA-N 0 0 280.280 2.728 20 5 CFBDRN Cc1c(NCc2ccc(=O)[nH]c2)cc(F)cc1[N+](=O)[O-] ZINC001168299604 778415590 /nfs/dbraw/zinc/41/55/90/778415590.db2.gz IOWRFTONHTUNRT-UHFFFAOYSA-N 0 0 277.255 2.755 20 5 CFBDRN COc1cc(C)c([N+](=O)[O-])cc1NCc1ccc(=O)[nH]c1 ZINC001168303089 778417301 /nfs/dbraw/zinc/41/73/01/778417301.db2.gz HCKFTXVCUXDOPH-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H]3[C@@H](C2)C3(F)F)c(F)c1 ZINC001168309927 778421542 /nfs/dbraw/zinc/42/15/42/778421542.db2.gz QEMFKXVCNGABRR-WDEREUQCSA-N 0 0 286.253 2.821 20 5 CFBDRN Cc1c(NC2CN(C(C)C)C2)cc(F)cc1[N+](=O)[O-] ZINC001168315145 778426598 /nfs/dbraw/zinc/42/65/98/778426598.db2.gz GWGUEOHDZJBODR-UHFFFAOYSA-N 0 0 267.304 2.547 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Nc2c(C)cccc2[N+](=O)[O-])C1(C)C ZINC001168337253 778439192 /nfs/dbraw/zinc/43/91/92/778439192.db2.gz RKZFSNLNZGPLCM-JQWIXIFHSA-N 0 0 292.335 2.903 20 5 CFBDRN C[C@@H](OCCc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC001224328847 778471456 /nfs/dbraw/zinc/47/14/56/778471456.db2.gz UCRKIJVIUJSLPL-GFCCVEGCSA-N 0 0 279.336 2.969 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2nonc21)C1CCC1 ZINC000159991810 778590353 /nfs/dbraw/zinc/59/03/53/778590353.db2.gz UJGALXCMICDRQT-ZETCQYMHSA-N 0 0 262.269 2.732 20 5 CFBDRN O=C(NC1CC=CC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000160951778 778620690 /nfs/dbraw/zinc/62/06/90/778620690.db2.gz STGUTZUFTOJZCL-UHFFFAOYSA-N 0 0 266.684 2.697 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)c1cccc(Cl)c1[N+](=O)[O-] ZINC000721240726 778739407 /nfs/dbraw/zinc/73/94/07/778739407.db2.gz YJAWWOMHVZGTBU-SNVBAGLBSA-N 0 0 280.711 2.921 20 5 CFBDRN Cc1ncc(CNc2cc3cccnc3c([N+](=O)[O-])c2)cn1 ZINC001168392360 778776329 /nfs/dbraw/zinc/77/63/29/778776329.db2.gz QIKKAVMLLSDHIA-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN CC[C@@H](NC(=O)[C@@H](F)CC)c1cccc([N+](=O)[O-])c1 ZINC001127197820 778918931 /nfs/dbraw/zinc/91/89/31/778918931.db2.gz NPUDJIRXRHVBKK-NWDGAFQWSA-N 0 0 268.288 2.910 20 5 CFBDRN Nc1cc(NCC2C[C@H]3CC[C@@H](C2)S3)ncc1[N+](=O)[O-] ZINC001120875325 778999528 /nfs/dbraw/zinc/99/95/28/778999528.db2.gz UMLWNSLCRVWMQX-PBINXNQUSA-N 0 0 294.380 2.658 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2C[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000176482507 779199929 /nfs/dbraw/zinc/19/99/29/779199929.db2.gz IQGVNWAAJZKHPP-MNOVXSKESA-N 0 0 277.276 2.555 20 5 CFBDRN Cc1cccc(C2(Nc3cc([N+](=O)[O-])c(C)cn3)COC2)c1 ZINC001168474936 779254105 /nfs/dbraw/zinc/25/41/05/779254105.db2.gz SBZKACLERPOBPI-UHFFFAOYSA-N 0 0 299.330 2.944 20 5 CFBDRN CCN(CCNc1ccc(C)cc1[N+](=O)[O-])C(=O)C(C)C ZINC001106681997 779337920 /nfs/dbraw/zinc/33/79/20/779337920.db2.gz PHLMTDMHTUJXBC-UHFFFAOYSA-N 0 0 293.367 2.820 20 5 CFBDRN C/C=C(\C)C(=O)N(CC)CCNc1ccccc1[N+](=O)[O-] ZINC001106732466 779356969 /nfs/dbraw/zinc/35/69/69/779356969.db2.gz JCENGAWSWRLPDL-UUILKARUSA-N 0 0 291.351 2.821 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)[C@@H](SC)c1ccccc1 ZINC001123256915 779367962 /nfs/dbraw/zinc/36/79/62/779367962.db2.gz RNUZULZXXMWKSA-RYUDHWBXSA-N 0 0 283.349 2.689 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)[C@@H]1Cc2ccc(Cl)cc21 ZINC001123354534 779391877 /nfs/dbraw/zinc/39/18/77/779391877.db2.gz AYOXTTPPSNKMPF-CMPLNLGQSA-N 0 0 283.711 2.578 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc(Cl)nn2)cc1 ZINC000037668678 779548731 /nfs/dbraw/zinc/54/87/31/779548731.db2.gz SGGLXCJRKAKSTE-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN C/C(=C/c1cccnc1)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC001124671133 779703073 /nfs/dbraw/zinc/70/30/73/779703073.db2.gz OXEDEVRSQAYWIT-FLIBITNWSA-N 0 0 284.271 2.999 20 5 CFBDRN CC(C)(C)[C@H](CO)Nc1cc2cccnc2c([N+](=O)[O-])c1 ZINC001168556909 779966747 /nfs/dbraw/zinc/96/67/47/779966747.db2.gz BABUWHRKTJSACV-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN C=Cc1ccc(CNc2c(F)c([N+](=O)[O-])cc[n+]2[O-])cc1 ZINC001168573817 779980855 /nfs/dbraw/zinc/98/08/55/779980855.db2.gz CBNCWVVJZXSTAO-UHFFFAOYSA-N 0 0 289.266 2.622 20 5 CFBDRN Cc1ccc([C@H]2CCC[C@H]2OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC001128322266 780396374 /nfs/dbraw/zinc/39/63/74/780396374.db2.gz VLPPERPDQIYWRH-KBUPBQIOSA-N 0 0 289.331 2.840 20 5 CFBDRN CN1C[C@@H](CNc2ccc([N+](=O)[O-])c3ccccc23)CC1=O ZINC001168724974 780532448 /nfs/dbraw/zinc/53/24/48/780532448.db2.gz AFKIIELYTYAHAP-LLVKDONJSA-N 0 0 299.330 2.638 20 5 CFBDRN CNc1cc([N+](=O)[O-])cnc1NC(C1CC1)C1CC1 ZINC001168742102 780596669 /nfs/dbraw/zinc/59/66/69/780596669.db2.gz AHKCYBPNFVEIPT-UHFFFAOYSA-N 0 0 262.313 2.632 20 5 CFBDRN COc1ccc([N+](=O)[O-])nc1NC(C1CC1)C1CC1 ZINC001168743395 780599569 /nfs/dbraw/zinc/59/95/69/780599569.db2.gz GFTVKMQWJJRVFI-UHFFFAOYSA-N 0 0 263.297 2.599 20 5 CFBDRN CC(F)(F)CNc1ncnc2cc(F)c([N+](=O)[O-])cc21 ZINC001162227843 780632945 /nfs/dbraw/zinc/63/29/45/780632945.db2.gz CWUGMEGJPJPPKU-UHFFFAOYSA-N 0 0 286.213 2.744 20 5 CFBDRN CCc1cnc(COc2ccc([N+](=O)[O-])cc2C=O)o1 ZINC000700316989 780676513 /nfs/dbraw/zinc/67/65/13/780676513.db2.gz HHDKKBSHEGPSHC-UHFFFAOYSA-N 0 0 276.248 2.537 20 5 CFBDRN O=C(Cc1cscn1)Nc1cccc([N+](=O)[O-])c1Cl ZINC001128846940 780901747 /nfs/dbraw/zinc/90/17/47/780901747.db2.gz GQUJXMFGJMUTCF-UHFFFAOYSA-N 0 0 297.723 2.886 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CSC2)c2ccncc21 ZINC000702390309 780919041 /nfs/dbraw/zinc/91/90/41/780919041.db2.gz XUVDVOSBXCDSBQ-UHFFFAOYSA-N 0 0 261.306 2.670 20 5 CFBDRN O=C(NCC1=CCCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000702416834 780923243 /nfs/dbraw/zinc/92/32/43/780923243.db2.gz IUOFEEDSBAREQU-UHFFFAOYSA-N 0 0 282.246 2.713 20 5 CFBDRN Cc1cc(NCC[C@H]2CCSC2)ncc1[N+](=O)[O-] ZINC000704836676 781113704 /nfs/dbraw/zinc/11/37/04/781113704.db2.gz FTSYUZPNOBNQFB-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN CCC[C@H](CNc1nc(C)ccc1[N+](=O)[O-])OCC ZINC000705381904 781139877 /nfs/dbraw/zinc/13/98/77/781139877.db2.gz JKXFDCAYLBVDLL-LLVKDONJSA-N 0 0 267.329 2.915 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(N[C@H]2CCc3sccc32)n1 ZINC001162765930 781141206 /nfs/dbraw/zinc/14/12/06/781141206.db2.gz GQGAQLVYFCCKQD-VIFPVBQESA-N 0 0 276.321 2.854 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])[C@@H]1C ZINC000706925869 781216278 /nfs/dbraw/zinc/21/62/78/781216278.db2.gz GUMBGXVMRDMCPB-HTQZYQBOSA-N 0 0 268.700 2.729 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2C[C@@H](C)[C@@H]2C)c1F ZINC000706947235 781218585 /nfs/dbraw/zinc/21/85/85/781218585.db2.gz ZCQSPRFKBWGROF-SFYZADRCSA-N 0 0 284.262 2.662 20 5 CFBDRN CC1(C)CO[C@H](COc2ccc(C=O)cc2[N+](=O)[O-])C1 ZINC000708376562 781271425 /nfs/dbraw/zinc/27/14/25/781271425.db2.gz OECFHITWGOAGGQ-NSHDSACASA-N 0 0 279.292 2.601 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)[C@@]12C[C@@H]1CCCC2 ZINC000708869683 781283815 /nfs/dbraw/zinc/28/38/15/781283815.db2.gz RSBPQEQAIBKAHK-OUJBWJOFSA-N 0 0 264.329 2.709 20 5 CFBDRN CC1(C)C[C@@H](CNc2ncccc2[N+](=O)[O-])C(C)(C)O1 ZINC000709765869 781307981 /nfs/dbraw/zinc/30/79/81/781307981.db2.gz LNTXNKWWOQXYCI-JTQLQIEISA-N 0 0 279.340 2.995 20 5 CFBDRN CC1(C)C[C@H](CNc2ncccc2[N+](=O)[O-])C(C)(C)O1 ZINC000709765870 781308076 /nfs/dbraw/zinc/30/80/76/781308076.db2.gz LNTXNKWWOQXYCI-SNVBAGLBSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000709872494 781320372 /nfs/dbraw/zinc/32/03/72/781320372.db2.gz KCASNZUPSSYIOK-AWEZNQCLSA-N 0 0 280.299 2.739 20 5 CFBDRN O=C(NOCC1CCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000711252215 781401624 /nfs/dbraw/zinc/40/16/24/781401624.db2.gz WXVSRMJPDOMWSZ-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC3(CCC3)O2)cn1 ZINC000711495820 781446461 /nfs/dbraw/zinc/44/64/61/781446461.db2.gz MXMIJFLPIJOOJA-LLVKDONJSA-N 0 0 263.297 2.503 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC[C@H](CF)C1 ZINC000711509947 781447533 /nfs/dbraw/zinc/44/75/33/781447533.db2.gz SFUQDFXPEASQNC-MRVPVSSYSA-N 0 0 286.690 2.680 20 5 CFBDRN Cc1nc(NC[C@H]2CCC3(CCC3)O2)ccc1[N+](=O)[O-] ZINC000711518637 781451954 /nfs/dbraw/zinc/45/19/54/781451954.db2.gz GAEKOOKHGNGJPQ-LLVKDONJSA-N 0 0 277.324 2.812 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](C1CCC1)[C@H]1CCOC1 ZINC000711851256 781481953 /nfs/dbraw/zinc/48/19/53/781481953.db2.gz RWBGORVGXYTFSA-WCQYABFASA-N 0 0 277.324 2.607 20 5 CFBDRN CCOC(=O)c1ccc(NCCCSC)c([N+](=O)[O-])c1 ZINC001163875839 781600224 /nfs/dbraw/zinc/60/02/24/781600224.db2.gz ZCWNBEPTJMIKAE-UHFFFAOYSA-N 0 0 298.364 2.937 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1N[C@@H]1CC[C@H]2[C@@H](C1)C2(F)F ZINC001118597672 781686797 /nfs/dbraw/zinc/68/67/97/781686797.db2.gz OTEDMKAYRGAGAQ-KXUCPTDWSA-N 0 0 283.278 2.566 20 5 CFBDRN Cc1ccnc(N2CC[C@](C)(F)[C@H](F)C2)c1[N+](=O)[O-] ZINC001164325187 781706097 /nfs/dbraw/zinc/70/60/97/781706097.db2.gz BDAKRCYHIQZXOF-SKDRFNHKSA-N 0 0 271.267 2.575 20 5 CFBDRN Cc1nc(N2CC[C@](C)(F)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC001164328471 781706550 /nfs/dbraw/zinc/70/65/50/781706550.db2.gz URZRTJJNDZYAPA-PWSUYJOCSA-N 0 0 271.267 2.575 20 5 CFBDRN COc1nc(N2CCC[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC001166608793 781765710 /nfs/dbraw/zinc/76/57/10/781765710.db2.gz AMZRHGAQEVKRBD-UWVGGRQHSA-N 0 0 265.313 2.623 20 5 CFBDRN CCOC(=O)C1CC(Oc2cc(C)c(C)c([N+](=O)[O-])c2)C1 ZINC001230471283 781858876 /nfs/dbraw/zinc/85/88/76/781858876.db2.gz ABPCAASMUZRELF-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN CC1=C(Oc2c(C)ccc(C)c2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001231061921 781900832 /nfs/dbraw/zinc/90/08/32/781900832.db2.gz VQCCOPOGKOPFRH-SNVBAGLBSA-N 0 0 277.276 2.810 20 5 CFBDRN Cc1noc(C)c1CN[C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000594502036 349433310 /nfs/dbraw/zinc/43/33/10/349433310.db2.gz BNWCZHONEVKEGF-CQSZACIVSA-N 0 0 287.319 2.977 20 5 CFBDRN Cc1cc(N2CC3(CC3(F)F)C2)c(F)cc1[N+](=O)[O-] ZINC000594589715 349453196 /nfs/dbraw/zinc/45/31/96/349453196.db2.gz PTGXPGMAMIAKBR-UHFFFAOYSA-N 0 0 272.226 2.888 20 5 CFBDRN Cn1c(-c2nc([C@@H]3CCC[C@@H]4C[C@@H]43)no2)ccc1[N+](=O)[O-] ZINC000594535222 349442898 /nfs/dbraw/zinc/44/28/98/349442898.db2.gz GYTWFTPAUSIHAV-BBBLOLIVSA-N 0 0 288.307 2.887 20 5 CFBDRN CC(C)[C@@H](C[NH2+]C/C=C/c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000594540451 349443631 /nfs/dbraw/zinc/44/36/31/349443631.db2.gz ZPXZQRPHCATRJG-VUDGCMKMSA-N 0 0 292.335 2.554 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](C)[C@H](F)C1 ZINC000594577606 349450989 /nfs/dbraw/zinc/45/09/89/349450989.db2.gz UCMWDJXQAZXJAO-NXEZZACHSA-N 0 0 268.288 2.788 20 5 CFBDRN C[C@H](CNc1sccc1[N+](=O)[O-])N1C[C@@H](C)O[C@@H](C)C1 ZINC000594591225 349453664 /nfs/dbraw/zinc/45/36/64/349453664.db2.gz IGKUVLNTHRHIQT-MXWKQRLJSA-N 0 0 299.396 2.566 20 5 CFBDRN C[C@H]1CCOCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000301985149 290605629 /nfs/dbraw/zinc/60/56/29/290605629.db2.gz JLJGPPMXPZTPEW-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN CC(C)Oc1nc(N[C@H]2CCS[C@H]2C)ccc1[N+](=O)[O-] ZINC000594585494 349452266 /nfs/dbraw/zinc/45/22/66/349452266.db2.gz BYKRYQDIYMDZSW-UWVGGRQHSA-N 0 0 297.380 2.505 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCS[C@H]1C ZINC000594586675 349452370 /nfs/dbraw/zinc/45/23/70/349452370.db2.gz ZAQUWOUXTNSINX-IUCAKERBSA-N 0 0 268.338 2.909 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CC2)[C@@H]2CCCCO2)s1 ZINC000594590578 349453056 /nfs/dbraw/zinc/45/30/56/349453056.db2.gz LDMPHEFQJVYVBZ-GXSJLCMTSA-N 0 0 283.353 2.811 20 5 CFBDRN CCOC(=O)c1ccnc(NC2(C)CC=CC2)c1[N+](=O)[O-] ZINC000594591375 349454089 /nfs/dbraw/zinc/45/40/89/349454089.db2.gz RROBPQWLXWFILI-UHFFFAOYSA-N 0 0 291.307 2.687 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@H](OC2CCC2)C1 ZINC000594595405 349455187 /nfs/dbraw/zinc/45/51/87/349455187.db2.gz XTRRQSOAAQONQF-AWEZNQCLSA-N 0 0 291.351 2.836 20 5 CFBDRN CC[C@@H](Nc1ncccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000594598708 349456872 /nfs/dbraw/zinc/45/68/72/349456872.db2.gz HMSLVKSIWXAWTO-ZYHUDNBSSA-N 0 0 265.313 2.749 20 5 CFBDRN CC[C@@H](Nc1ncccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000594598706 349457207 /nfs/dbraw/zinc/45/72/07/349457207.db2.gz HMSLVKSIWXAWTO-PWSUYJOCSA-N 0 0 265.313 2.749 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CCC2(CCCC2)O1 ZINC000594600374 349457780 /nfs/dbraw/zinc/45/77/80/349457780.db2.gz QADOHXYLZBRMGR-NSHDSACASA-N 0 0 277.324 2.894 20 5 CFBDRN CCN(C(=O)CCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000124411502 187136660 /nfs/dbraw/zinc/13/66/60/187136660.db2.gz YJQRDDBVOMYIFH-UHFFFAOYSA-N 0 0 264.325 2.784 20 5 CFBDRN CC(C)=CCCn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000594881960 349492265 /nfs/dbraw/zinc/49/22/65/349492265.db2.gz MCNFAJPVMUVYGM-UHFFFAOYSA-N 0 0 273.292 2.661 20 5 CFBDRN Cc1cc(OCc2cn(C(C)(C)C)nn2)ccc1[N+](=O)[O-] ZINC000594880953 349492509 /nfs/dbraw/zinc/49/25/09/349492509.db2.gz SBVSQXDDOWBMHK-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000067681137 346813311 /nfs/dbraw/zinc/81/33/11/346813311.db2.gz FMJXNXQJRATBCC-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@@H](CC(F)F)Cn1cc([N+](=O)[O-])c(C)cc1=O ZINC000594890298 349495146 /nfs/dbraw/zinc/49/51/46/349495146.db2.gz BFRZZWNLMJNQJV-VIFPVBQESA-N 0 0 274.267 2.746 20 5 CFBDRN CO[C@H](Cn1cc([N+](=O)[O-])c(C)cc1=O)C1CCCCC1 ZINC000594891781 349495426 /nfs/dbraw/zinc/49/54/26/349495426.db2.gz OESMQPMVVGNAHE-CQSZACIVSA-N 0 0 294.351 2.660 20 5 CFBDRN Cc1ccc(OCc2cn(C(C)(C)C)nn2)cc1[N+](=O)[O-] ZINC000594893797 349496759 /nfs/dbraw/zinc/49/67/59/349496759.db2.gz JVYGLRBUNGLUQS-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN CC(C)O[C@]1(COc2c(F)cccc2[N+](=O)[O-])CCOC1 ZINC000594894904 349496969 /nfs/dbraw/zinc/49/69/69/349496969.db2.gz HQCLCFUQDRFYEY-CQSZACIVSA-N 0 0 299.298 2.697 20 5 CFBDRN Cc1cnc(OCc2cc(C3CC3)no2)c([N+](=O)[O-])c1 ZINC000594896559 349497594 /nfs/dbraw/zinc/49/75/94/349497594.db2.gz WARRECKMMFOUGW-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CC(C)=CCCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000594895820 349497732 /nfs/dbraw/zinc/49/77/32/349497732.db2.gz VQUBSEXGDNXNMX-UHFFFAOYSA-N 0 0 251.282 2.822 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])sc2ncn1CCCC1CCC1 ZINC000594897148 349498637 /nfs/dbraw/zinc/49/86/37/349498637.db2.gz IASULJSVOSLFBR-UHFFFAOYSA-N 0 0 293.348 2.947 20 5 CFBDRN CO[C@@H](Cn1nc(C)c([N+](=O)[O-])c1C)C1CCCC1 ZINC000594903075 349499680 /nfs/dbraw/zinc/49/96/80/349499680.db2.gz YRHAHCWGANDICI-LBPRGKRZSA-N 0 0 267.329 2.613 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCC[C@@H]2CCOC2)c1C ZINC000594904805 349500417 /nfs/dbraw/zinc/50/04/17/349500417.db2.gz BCRJWKUZLMXINC-GFCCVEGCSA-N 0 0 280.324 2.802 20 5 CFBDRN CCCCOCCn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000594906124 349500773 /nfs/dbraw/zinc/50/07/73/349500773.db2.gz DGVDZTMJKVUTSS-UHFFFAOYSA-N 0 0 290.323 2.670 20 5 CFBDRN CC[C@H](C)Cn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000594915510 349504903 /nfs/dbraw/zinc/50/49/03/349504903.db2.gz REJQCPMQFSHFCA-JTQLQIEISA-N 0 0 260.297 2.899 20 5 CFBDRN CC(C)OCCCn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000594915113 349504973 /nfs/dbraw/zinc/50/49/73/349504973.db2.gz PLUFCTORCNCGNH-UHFFFAOYSA-N 0 0 290.323 2.668 20 5 CFBDRN COC(C)(C)CCn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000594915571 349505300 /nfs/dbraw/zinc/50/53/00/349505300.db2.gz UITKKTHHPOOSSO-UHFFFAOYSA-N 0 0 290.323 2.668 20 5 CFBDRN CCOCCC1(CNc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000127746614 187330503 /nfs/dbraw/zinc/33/05/03/187330503.db2.gz FPWHQLHYNBEQFX-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CCOCCC1(CNc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000127751142 187331189 /nfs/dbraw/zinc/33/11/89/187331189.db2.gz WZWWCNQRZZCOGF-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000290002730 197558481 /nfs/dbraw/zinc/55/84/81/197558481.db2.gz FWSWDFRWIFAVGC-BYPYZUCNSA-N 0 0 298.167 2.554 20 5 CFBDRN C[C@H](N[C@H]1CCCn2nccc21)c1ccccc1[N+](=O)[O-] ZINC000595025996 349554572 /nfs/dbraw/zinc/55/45/72/349554572.db2.gz MCUNCZKMBQIQAW-AAEUAGOBSA-N 0 0 286.335 2.977 20 5 CFBDRN CCOC1CC(N(C)C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000290240034 197643203 /nfs/dbraw/zinc/64/32/03/197643203.db2.gz RWAPEOICVMCVEF-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN CCC[C@H](C)CC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000068184219 346840161 /nfs/dbraw/zinc/84/01/61/346840161.db2.gz UJWIOTBZGXFWAQ-LBPRGKRZSA-N 0 0 294.351 2.916 20 5 CFBDRN CCCc1[nH]nc(C(=O)Nc2ccccc2)c1[N+](=O)[O-] ZINC000068231988 346841683 /nfs/dbraw/zinc/84/16/83/346841683.db2.gz HSQCEDJUWZJBKA-UHFFFAOYSA-N 0 0 274.280 2.523 20 5 CFBDRN CC[C@@](C)(NCc1onc(C)c1[N+](=O)[O-])c1nccs1 ZINC000595377623 349611974 /nfs/dbraw/zinc/61/19/74/349611974.db2.gz PNRIGNGTMKXLTH-GFCCVEGCSA-N 0 0 296.352 2.763 20 5 CFBDRN COc1cccc([C@@H](C)NCc2onc(C)c2[N+](=O)[O-])c1 ZINC000595412453 349619142 /nfs/dbraw/zinc/61/91/42/349619142.db2.gz ZVLRRZFEASJVRE-SECBINFHSA-N 0 0 291.307 2.751 20 5 CFBDRN COC(=O)CCCCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269608844 190520154 /nfs/dbraw/zinc/52/01/54/190520154.db2.gz DISGYWZGAXTOQI-UHFFFAOYSA-N 0 0 288.250 2.628 20 5 CFBDRN Cc1noc(CNC2(c3cccc(F)c3)CC2)c1[N+](=O)[O-] ZINC000595417621 349619683 /nfs/dbraw/zinc/61/96/83/349619683.db2.gz JLAFQIVEVFWYMY-UHFFFAOYSA-N 0 0 291.282 2.809 20 5 CFBDRN Cc1noc(CN[C@H](C)c2cnn(C(C)C)c2)c1[N+](=O)[O-] ZINC000595428719 349623552 /nfs/dbraw/zinc/62/35/52/349623552.db2.gz JMFLZMXHRMZCHY-SECBINFHSA-N 0 0 293.327 2.519 20 5 CFBDRN Cc1cnc([C@@H](C)NCc2onc(C)c2[N+](=O)[O-])s1 ZINC000595440070 349626936 /nfs/dbraw/zinc/62/69/36/349626936.db2.gz ZWHCJOQVBSPUPY-MRVPVSSYSA-N 0 0 282.325 2.507 20 5 CFBDRN CC[C@@]1(CO)CCCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595449284 349629921 /nfs/dbraw/zinc/62/99/21/349629921.db2.gz OOTOOPSIHCXHBO-OAHLLOKOSA-N 0 0 296.342 2.718 20 5 CFBDRN Cc1cccc2nc(CNc3ccc([N+](=O)[O-])cn3)cn21 ZINC000068772183 346868562 /nfs/dbraw/zinc/86/85/62/346868562.db2.gz XEBYBQSNSJYQIA-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1ccc(-c2noc([C@@H]3CCCOC3)n2)cc1[N+](=O)[O-] ZINC000273880627 192346218 /nfs/dbraw/zinc/34/62/18/192346218.db2.gz UVCQFBPHOARMOO-LLVKDONJSA-N 0 0 289.291 2.847 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC[C@@H]1C(F)(F)F ZINC000595487432 349640189 /nfs/dbraw/zinc/64/01/89/349640189.db2.gz IQCPQTUXJHHVGE-LLVKDONJSA-N 0 0 290.241 2.740 20 5 CFBDRN Cc1noc(CN2CCC3(CCC3)CC2)c1[N+](=O)[O-] ZINC000595484859 349640201 /nfs/dbraw/zinc/64/02/01/349640201.db2.gz SLGPNVWHWIRULG-UHFFFAOYSA-N 0 0 265.313 2.657 20 5 CFBDRN COCCN(Cc1onc(C)c1[N+](=O)[O-])c1ccccc1 ZINC000595518453 349649372 /nfs/dbraw/zinc/64/93/72/349649372.db2.gz AHHLWTPGSKOXHW-UHFFFAOYSA-N 0 0 291.307 2.544 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000595558648 349659030 /nfs/dbraw/zinc/65/90/30/349659030.db2.gz OHSGNFLUMOWZJS-GWCFXTLKSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1noc(CN2CCSC[C@H]2C2CCC2)c1[N+](=O)[O-] ZINC000595548835 349657336 /nfs/dbraw/zinc/65/73/36/349657336.db2.gz ABOSXCHCWZPCHP-NSHDSACASA-N 0 0 297.380 2.609 20 5 CFBDRN Cc1noc(CN2CC[C@@H](C)C[C@H]2C)c1[N+](=O)[O-] ZINC000595550728 349657416 /nfs/dbraw/zinc/65/74/16/349657416.db2.gz SRIPGZIVLDSZGT-RKDXNWHRSA-N 0 0 253.302 2.512 20 5 CFBDRN CC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])o1)CC(F)(F)F ZINC000413997293 529488013 /nfs/dbraw/zinc/48/80/13/529488013.db2.gz CASFZPBWCGBYQL-SSDOTTSWSA-N 0 0 294.229 2.896 20 5 CFBDRN C[C@@H](NCCOc1cccc([N+](=O)[O-])c1)c1cscn1 ZINC000595669248 349694395 /nfs/dbraw/zinc/69/43/95/349694395.db2.gz ULNWLSBARLASJI-SNVBAGLBSA-N 0 0 293.348 2.781 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000413384982 529520341 /nfs/dbraw/zinc/52/03/41/529520341.db2.gz RBFDEWYOSHPTES-AAEUAGOBSA-N 0 0 264.329 2.930 20 5 CFBDRN CCC1(CNC(=O)c2[nH]nc(C)c2[N+](=O)[O-])CCCCC1 ZINC000273884842 192348653 /nfs/dbraw/zinc/34/86/53/192348653.db2.gz RUOGOPCTGTUOMO-UHFFFAOYSA-N 0 0 294.355 2.717 20 5 CFBDRN Cc1ccc(N[C@@H]2c3ccccc3C[C@H]2O)c([N+](=O)[O-])c1 ZINC000269884713 190563841 /nfs/dbraw/zinc/56/38/41/190563841.db2.gz AVWYQVDUDYUOAE-HZPDHXFCSA-N 0 0 284.315 2.973 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)O1 ZINC000269922045 190569175 /nfs/dbraw/zinc/56/91/75/190569175.db2.gz GCFYJDWOWMHIAX-OLZOCXBDSA-N 0 0 278.308 2.515 20 5 CFBDRN COCC1([NH2+]Cc2cc([O-])ccc2[N+](=O)[O-])CCCCC1 ZINC000595908085 349766681 /nfs/dbraw/zinc/76/66/81/349766681.db2.gz FQEKXLNDWLFLKQ-UHFFFAOYSA-N 0 0 294.351 2.739 20 5 CFBDRN CCc1ccccc1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157283083 529600637 /nfs/dbraw/zinc/60/06/37/529600637.db2.gz LGZHDSSMGOFNSU-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN CCCn1nccc1-c1nc2cc([N+](=O)[O-])ccc2n1C ZINC000596010942 349775686 /nfs/dbraw/zinc/77/56/86/349775686.db2.gz ULNMSZDEOJGEOT-UHFFFAOYSA-N 0 0 285.307 2.755 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)C(=O)O1 ZINC000269956623 190575582 /nfs/dbraw/zinc/57/55/82/190575582.db2.gz KPHVZFDHEFNWAR-SNVBAGLBSA-N 0 0 284.699 2.754 20 5 CFBDRN COC(=O)c1cccc(OC[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000596019339 349776368 /nfs/dbraw/zinc/77/63/68/349776368.db2.gz DTRKTYWNWUUSSW-JGPRNRPPSA-N 0 0 291.303 2.806 20 5 CFBDRN Cc1noc(CSc2ccncc2C)c1[N+](=O)[O-] ZINC000596023125 349777098 /nfs/dbraw/zinc/77/70/98/349777098.db2.gz XMELGNZWVRZYBD-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CCCF)n2)c1 ZINC000596048380 349780989 /nfs/dbraw/zinc/78/09/89/349780989.db2.gz VLLOUMZSZODMKE-UHFFFAOYSA-N 0 0 251.217 2.547 20 5 CFBDRN Cc1noc(C)c1[C@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000070951297 346918049 /nfs/dbraw/zinc/91/80/49/346918049.db2.gz BQESNWWBXKSBMW-LURJTMIESA-N 0 0 295.320 2.752 20 5 CFBDRN C[N@@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])C[C@@H]1CC1(C)C ZINC000596051105 349781963 /nfs/dbraw/zinc/78/19/63/349781963.db2.gz LKVFYCQZJKIMFW-LBPRGKRZSA-N 0 0 292.335 2.771 20 5 CFBDRN NC(=O)c1cc(SC2CCCC2)ccc1[N+](=O)[O-] ZINC000596054954 349782679 /nfs/dbraw/zinc/78/26/79/349782679.db2.gz JLHPCKPOGCOCOB-UHFFFAOYSA-N 0 0 266.322 2.728 20 5 CFBDRN Cc1cc(NCC2(O)CCCC2)c([N+](=O)[O-])s1 ZINC000596086492 349790414 /nfs/dbraw/zinc/79/04/14/349790414.db2.gz BOKNABULVFGSCZ-UHFFFAOYSA-N 0 0 256.327 2.682 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596087121 349791469 /nfs/dbraw/zinc/79/14/69/349791469.db2.gz FVULOYFIHQVXAA-RKDXNWHRSA-N 0 0 265.313 2.540 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCCc2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000126235172 187225964 /nfs/dbraw/zinc/22/59/64/187225964.db2.gz XCHUJLOYZVWRNN-VXGBXAGGSA-N 0 0 291.351 2.577 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000071782097 346923155 /nfs/dbraw/zinc/92/31/55/346923155.db2.gz VFODCLPHUPFCLK-SECBINFHSA-N 0 0 250.298 2.679 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCO2)c([N+](=O)[O-])s1 ZINC000596103942 349794570 /nfs/dbraw/zinc/79/45/70/349794570.db2.gz IGSJAUCZZAIUIB-SECBINFHSA-N 0 0 256.327 2.580 20 5 CFBDRN CCC1(C)CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1 ZINC000596105748 349795547 /nfs/dbraw/zinc/79/55/47/349795547.db2.gz MXATTYHEHDVRMB-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CCC1 ZINC000273158234 192060158 /nfs/dbraw/zinc/06/01/58/192060158.db2.gz YIZPQHMGKIIDKA-UHFFFAOYSA-N 0 0 286.740 2.573 20 5 CFBDRN CC(=O)NC1CCC(Nc2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596109069 349796636 /nfs/dbraw/zinc/79/66/36/349796636.db2.gz DXYJNEJGZNUOEX-UHFFFAOYSA-N 0 0 297.380 2.824 20 5 CFBDRN CC[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H](C)O1 ZINC000596118989 349798761 /nfs/dbraw/zinc/79/87/61/349798761.db2.gz HPPXWFPIRGMJOS-IUCAKERBSA-N 0 0 285.731 2.647 20 5 CFBDRN COc1cc(N[C@H]2CCCOC2)c(Cl)cc1[N+](=O)[O-] ZINC000596115561 349797783 /nfs/dbraw/zinc/79/77/83/349797783.db2.gz BPSSNVATOCWOFJ-QMMMGPOBSA-N 0 0 286.715 2.848 20 5 CFBDRN Nc1ccc(N2CCC[C@]3(CC=CCC3)C2)nc1[N+](=O)[O-] ZINC000596128986 349801448 /nfs/dbraw/zinc/80/14/48/349801448.db2.gz SDPWUWCEWRFFJA-OAHLLOKOSA-N 0 0 288.351 2.899 20 5 CFBDRN O=[N+]([O-])c1cnc(SC2CCOCC2)c(Cl)c1 ZINC000270165640 190606543 /nfs/dbraw/zinc/60/65/43/190606543.db2.gz KIYXBUHTJAWTIC-UHFFFAOYSA-N 0 0 274.729 2.914 20 5 CFBDRN CC(C)(C)OCCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000126582579 187249422 /nfs/dbraw/zinc/24/94/22/187249422.db2.gz MCOILWSJNSNYBZ-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN COc1cc(N[C@H](C)[C@H](C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000596135528 349803748 /nfs/dbraw/zinc/80/37/48/349803748.db2.gz JDXACVKXZRUWIT-HTQZYQBOSA-N 0 0 288.731 2.686 20 5 CFBDRN CS[C@H]1CCC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596132294 349803076 /nfs/dbraw/zinc/80/30/76/349803076.db2.gz WUSKXXQLEGRMDP-IUCAKERBSA-N 0 0 283.353 2.782 20 5 CFBDRN Cc1cc(NC[C@H](C)C[C@@H](C)O)c([N+](=O)[O-])s1 ZINC000596135803 349803940 /nfs/dbraw/zinc/80/39/40/349803940.db2.gz MTKQIJCFTNXURW-HTQZYQBOSA-N 0 0 258.343 2.784 20 5 CFBDRN Nc1ccc(N2CC[C@@H](Cc3ccccc3)C2)nc1[N+](=O)[O-] ZINC000596136430 349805060 /nfs/dbraw/zinc/80/50/60/349805060.db2.gz HTOSLHZFQZUIAK-ZDUSSCGKSA-N 0 0 298.346 2.641 20 5 CFBDRN CCN(Cc1cccs1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000072628871 346933732 /nfs/dbraw/zinc/93/37/32/346933732.db2.gz FVOVBNTWPYAWKW-UHFFFAOYSA-N 0 0 280.305 2.912 20 5 CFBDRN CC(C)[C@H](C)Sc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596141793 349806322 /nfs/dbraw/zinc/80/63/22/349806322.db2.gz KJMSCZMVEWHQGX-QMMMGPOBSA-N 0 0 268.338 2.830 20 5 CFBDRN COC1(c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)CCC1 ZINC000273910698 192358996 /nfs/dbraw/zinc/35/89/96/192358996.db2.gz XWCYEEAORVDCSS-UHFFFAOYSA-N 0 0 289.291 2.979 20 5 CFBDRN C[C@@]1(c2ccccc2)C[C@@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596143065 349806622 /nfs/dbraw/zinc/80/66/22/349806622.db2.gz XFVBGOOVCHKZNP-WFASDCNBSA-N 0 0 285.303 2.838 20 5 CFBDRN CO[C@H](CNc1c(Cl)cncc1[N+](=O)[O-])C(C)(C)C ZINC000596146000 349808178 /nfs/dbraw/zinc/80/81/78/349808178.db2.gz UIVRALWCOYWUAS-SNVBAGLBSA-N 0 0 287.747 2.538 20 5 CFBDRN C[C@H]1[C@@H](c2ccccc2)CCN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596154164 349811178 /nfs/dbraw/zinc/81/11/78/349811178.db2.gz DWUJTEZGEQSXMD-AAEUAGOBSA-N 0 0 298.346 2.954 20 5 CFBDRN CN(CCOCC1CC1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596156205 349811884 /nfs/dbraw/zinc/81/18/84/349811884.db2.gz GXIDFRUYMVJNNY-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN CC(C)CC1(CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1 ZINC000596157330 349812171 /nfs/dbraw/zinc/81/21/71/349812171.db2.gz SVVLPJGNBSYDPD-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN Cc1cc(N[C@H]2CC[C@H](CO)CC2)c([N+](=O)[O-])s1 ZINC000596158154 349812517 /nfs/dbraw/zinc/81/25/17/349812517.db2.gz RPALDABOMZINGU-MGCOHNPYSA-N 0 0 270.354 2.928 20 5 CFBDRN CC[C@@H](O)CCNc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596162296 349813618 /nfs/dbraw/zinc/81/36/18/349813618.db2.gz JUUPCWLJRXHMLV-MRVPVSSYSA-N 0 0 288.731 2.830 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@H]2CCOC2)ccc1C(F)(F)F ZINC000596173410 349816866 /nfs/dbraw/zinc/81/68/66/349816866.db2.gz UWQVKLHQAHWZFI-QMMMGPOBSA-N 0 0 276.214 2.814 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC2(CC(F)C2)C1 ZINC000596173650 349816927 /nfs/dbraw/zinc/81/69/27/349816927.db2.gz ZVWWCGOGXGXXCX-UHFFFAOYSA-N 0 0 271.679 2.582 20 5 CFBDRN Cc1cc(N2CCC[C@H]([C@@H](C)O)C2)c([N+](=O)[O-])s1 ZINC000596169115 349815591 /nfs/dbraw/zinc/81/55/91/349815591.db2.gz FMRVTMIAAWZPBG-ZJUUUORDSA-N 0 0 270.354 2.562 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)C[C@@H]1F ZINC000425621902 529730434 /nfs/dbraw/zinc/73/04/34/529730434.db2.gz JPPVMCODICULLY-AAEUAGOBSA-N 0 0 266.272 2.844 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000596171366 349816394 /nfs/dbraw/zinc/81/63/94/349816394.db2.gz LLZWLHWBOQEQJP-ZJUUUORDSA-N 0 0 297.742 2.506 20 5 CFBDRN COC1(CNc2cc(C)sc2[N+](=O)[O-])CCOCC1 ZINC000596169628 349816461 /nfs/dbraw/zinc/81/64/61/349816461.db2.gz JPSSYYNOPXRNPG-UHFFFAOYSA-N 0 0 286.353 2.572 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](SC)C2)c1 ZINC000295031981 199309785 /nfs/dbraw/zinc/30/97/85/199309785.db2.gz RYIPGWQVRACHFJ-JTQLQIEISA-N 0 0 268.338 2.545 20 5 CFBDRN Cc1cccc(NC[C@H](C)CCCO)c1[N+](=O)[O-] ZINC000295032354 199311140 /nfs/dbraw/zinc/31/11/40/199311140.db2.gz VUZANAKDHGXBLX-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN COc1cc(N[C@@H](C)c2nc[nH]n2)c(Cl)cc1[N+](=O)[O-] ZINC000596177165 349817582 /nfs/dbraw/zinc/81/75/82/349817582.db2.gz ARTWUJKIQPEVSH-LURJTMIESA-N 0 0 297.702 2.548 20 5 CFBDRN Cc1cc(Cl)ncc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295037338 199313515 /nfs/dbraw/zinc/31/35/15/199313515.db2.gz SYEWJYIBHBIXEA-UHFFFAOYSA-N 0 0 285.731 2.817 20 5 CFBDRN CC(C)CSCCCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596174795 349817910 /nfs/dbraw/zinc/81/79/10/349817910.db2.gz IHPKDUHYWQYPGS-UHFFFAOYSA-N 0 0 285.369 2.887 20 5 CFBDRN NC(=O)c1cc(NCC2(C3CC3)CCC2)ccc1[N+](=O)[O-] ZINC000596174134 349817935 /nfs/dbraw/zinc/81/79/35/349817935.db2.gz BHUFKWOTBNFXFY-UHFFFAOYSA-N 0 0 289.335 2.686 20 5 CFBDRN CCc1ncsc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000157619661 529764364 /nfs/dbraw/zinc/76/43/64/529764364.db2.gz HTZNCANONIMUBT-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CCc1ncsc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000157398671 529764417 /nfs/dbraw/zinc/76/44/17/529764417.db2.gz BKXITHXQGYGEJS-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000413338817 529767711 /nfs/dbraw/zinc/76/77/11/529767711.db2.gz PDIJDBWOHNNPHN-SNVBAGLBSA-N 0 0 296.371 2.508 20 5 CFBDRN Cc1cc(NCC[C@@H]2CCOC2)c([N+](=O)[O-])s1 ZINC000596196841 349824916 /nfs/dbraw/zinc/82/49/16/349824916.db2.gz WPJYZPPDAAIOKX-SECBINFHSA-N 0 0 256.327 2.803 20 5 CFBDRN C[C@@H]1C[C@H](c2cccnc2)N(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596199302 349825715 /nfs/dbraw/zinc/82/57/15/349825715.db2.gz RVRYCTAMYJKNKI-ZWNOBZJWSA-N 0 0 299.334 2.555 20 5 CFBDRN Cc1cnc([C@H](C)CNc2cc[nH]c(=O)c2[N+](=O)[O-])s1 ZINC000596199607 349825798 /nfs/dbraw/zinc/82/57/98/349825798.db2.gz TYOGBKOXQZKYOS-SSDOTTSWSA-N 0 0 294.336 2.676 20 5 CFBDRN CO[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CC[C@H]1C ZINC000596202049 349825817 /nfs/dbraw/zinc/82/58/17/349825817.db2.gz ABGXARNDQQAXPH-KCJUWKMLSA-N 0 0 285.731 2.504 20 5 CFBDRN COc1cc(N2CCC(=O)[C@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596200380 349825839 /nfs/dbraw/zinc/82/58/39/349825839.db2.gz NMFFEMIGJRAWRI-MRVPVSSYSA-N 0 0 298.726 2.672 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000301277619 136428074 /nfs/dbraw/zinc/42/80/74/136428074.db2.gz SIEXQJXPSVDRRA-GXFFZTMASA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1cc(CNc2cc(C)sc2[N+](=O)[O-])n(C)n1 ZINC000596224447 349833080 /nfs/dbraw/zinc/83/30/80/349833080.db2.gz AHRPZXSBUYDLGO-UHFFFAOYSA-N 0 0 266.326 2.619 20 5 CFBDRN CC(=O)c1ccnc(N(C)CCc2cccc([N+](=O)[O-])c2)c1 ZINC000596228116 349834147 /nfs/dbraw/zinc/83/41/47/349834147.db2.gz WKOTVUDYVFDHOJ-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN CC(=O)c1ccnc(N(C)CCc2ccccc2[N+](=O)[O-])c1 ZINC000596234050 349834699 /nfs/dbraw/zinc/83/46/99/349834699.db2.gz LUKFVLUKADCJQB-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN C[C@@H]1CSCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596207377 349827136 /nfs/dbraw/zinc/82/71/36/349827136.db2.gz SGJWCBLSTFFMKY-QMMMGPOBSA-N 0 0 287.772 2.833 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@H]2CCO)c([N+](=O)[O-])cc1C ZINC000596208957 349827801 /nfs/dbraw/zinc/82/78/01/349827801.db2.gz LAJHJURNLVKPSZ-NWDGAFQWSA-N 0 0 294.351 2.875 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(C(N)=O)c1)[C@@H]1CCC(C)(C)C1 ZINC000596217421 349829263 /nfs/dbraw/zinc/82/92/63/349829263.db2.gz MICMNPLWCPMRRF-LLVKDONJSA-N 0 0 291.351 2.709 20 5 CFBDRN COc1cc(N2CC(C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596218603 349830438 /nfs/dbraw/zinc/83/04/38/349830438.db2.gz JGIBOGYHYTWJSC-UHFFFAOYSA-N 0 0 256.689 2.713 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596222816 349832208 /nfs/dbraw/zinc/83/22/08/349832208.db2.gz NBDOBJAUGYZCOV-SNVBAGLBSA-N 0 0 265.313 2.568 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596237990 349836647 /nfs/dbraw/zinc/83/66/47/349836647.db2.gz CQSPIHLTYRDIDI-CYBMUJFWSA-N 0 0 284.381 2.954 20 5 CFBDRN CO[C@H](CNc1cc(C)sc1[N+](=O)[O-])C1CC1 ZINC000596242869 349837794 /nfs/dbraw/zinc/83/77/94/349837794.db2.gz BWZGOKPDNGBEPR-SNVBAGLBSA-N 0 0 256.327 2.802 20 5 CFBDRN C[C@@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)[C@@H]1C ZINC000596245804 349838892 /nfs/dbraw/zinc/83/88/92/349838892.db2.gz ALVVXLVZKHOOTI-NXEZZACHSA-N 0 0 257.293 2.988 20 5 CFBDRN CCCc1ncc(CNc2c(Cl)cncc2[N+](=O)[O-])o1 ZINC000596256784 349843853 /nfs/dbraw/zinc/84/38/53/349843853.db2.gz FDQXVFHTECVKQL-UHFFFAOYSA-N 0 0 296.714 2.618 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1C[C@@H](C)[C@H]1C ZINC000596249470 349840586 /nfs/dbraw/zinc/84/05/86/349840586.db2.gz QBLAUVCZROKFRJ-NXEZZACHSA-N 0 0 278.308 2.534 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1C[C@H](C)[C@H]1C ZINC000596249472 349840671 /nfs/dbraw/zinc/84/06/71/349840671.db2.gz QBLAUVCZROKFRJ-VHSXEESVSA-N 0 0 278.308 2.534 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Br)[C@@H]1C ZINC000596251546 349841025 /nfs/dbraw/zinc/84/10/25/349841025.db2.gz XMJUYVOWOYVCQY-RNFRBKRXSA-N 0 0 286.129 2.597 20 5 CFBDRN O=c1[nH]ccc(N2CCSC3(CCC3)CC2)c1[N+](=O)[O-] ZINC000596270706 349848602 /nfs/dbraw/zinc/84/86/02/349848602.db2.gz QPXQWOOWYXGSAP-UHFFFAOYSA-N 0 0 295.364 2.561 20 5 CFBDRN COC(=O)[C@]1(C)CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596275741 349849927 /nfs/dbraw/zinc/84/99/27/349849927.db2.gz AVSBXYWKTGWXBL-CYBMUJFWSA-N 0 0 298.364 2.744 20 5 CFBDRN COc1cc(N[C@H]2C[C@@](C)(O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596272966 349849935 /nfs/dbraw/zinc/84/99/35/349849935.db2.gz ZOFOERFSDUPEHR-OGXFKERWSA-N 0 0 286.715 2.582 20 5 CFBDRN Cc1cn(-c2cccc([N+](=O)[O-])c2)c(=O)n1CC1CC=CC1 ZINC000596276496 349850927 /nfs/dbraw/zinc/85/09/27/349850927.db2.gz BMELSDRFNUKFNH-UHFFFAOYSA-N 0 0 299.330 2.822 20 5 CFBDRN CO[C@H](Cn1cc(C)c([N+](=O)[O-])n1)C1CCCCC1 ZINC000596277195 349850928 /nfs/dbraw/zinc/85/09/28/349850928.db2.gz BPAWAGQVKXVCKY-GFCCVEGCSA-N 0 0 267.329 2.695 20 5 CFBDRN CC(C)(C)n1cc(Cn2ccc3c2cccc3[N+](=O)[O-])nn1 ZINC000596258123 349843984 /nfs/dbraw/zinc/84/39/84/349843984.db2.gz RQCUUUKYBOVBAI-UHFFFAOYSA-N 0 0 299.334 2.944 20 5 CFBDRN NC(=O)c1cc(N[C@H](C2CC2)C2CCC2)ccc1[N+](=O)[O-] ZINC000596260103 349844780 /nfs/dbraw/zinc/84/47/80/349844780.db2.gz AAYSLKVFLBFDEX-AWEZNQCLSA-N 0 0 289.335 2.684 20 5 CFBDRN Cc1cccc(OCCO[C@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000596263963 349847019 /nfs/dbraw/zinc/84/70/19/349847019.db2.gz HCVWHCKCJTUEKY-JTQLQIEISA-N 0 0 273.235 2.706 20 5 CFBDRN Cc1nn(C)c(OCc2ccc(F)cc2[N+](=O)[O-])c1C ZINC000596279991 349851645 /nfs/dbraw/zinc/85/16/45/349851645.db2.gz WXUZCEJPNPHGAT-UHFFFAOYSA-N 0 0 279.271 2.663 20 5 CFBDRN Cc1cc(N[C@H]2COC(C)(C)C2)c([N+](=O)[O-])s1 ZINC000596310281 349860316 /nfs/dbraw/zinc/86/03/16/349860316.db2.gz BETHDHWLTIBUKG-MRVPVSSYSA-N 0 0 256.327 2.944 20 5 CFBDRN COC1CC(CCNc2ccc([N+](=O)[O-])s2)C1 ZINC000596312238 349860577 /nfs/dbraw/zinc/86/05/77/349860577.db2.gz VRGVMMVLTRPRIO-UHFFFAOYSA-N 0 0 256.327 2.883 20 5 CFBDRN CCc1nn(C)c(NC[C@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC000596312921 349860800 /nfs/dbraw/zinc/86/08/00/349860800.db2.gz LOEKOJZCCHKNGU-JTQLQIEISA-N 0 0 289.339 2.501 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCCC(F)(F)C1 ZINC000596291454 349854033 /nfs/dbraw/zinc/85/40/33/349854033.db2.gz XIWVWSDVLMRLNB-UHFFFAOYSA-N 0 0 277.658 2.879 20 5 CFBDRN Cc1cn(Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)nn1 ZINC000596297236 349855389 /nfs/dbraw/zinc/85/53/89/349855389.db2.gz JDZRHIIUAFSREQ-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@@H](CO)CCF)ccc1C(F)(F)F ZINC000596295456 349855478 /nfs/dbraw/zinc/85/54/78/349855478.db2.gz NAKBQCSNXSYZLD-MRVPVSSYSA-N 0 0 296.220 2.746 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](c3ccccn3)C2)s1 ZINC000596306925 349857742 /nfs/dbraw/zinc/85/77/42/349857742.db2.gz UFYUYQBNOURTJP-SNVBAGLBSA-N 0 0 290.348 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H](CO)C1CCC1 ZINC000596326682 349864476 /nfs/dbraw/zinc/86/44/76/349864476.db2.gz ZBJVYJXZWAWMTP-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN COC[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])C1CCOCC1 ZINC000596328317 349865232 /nfs/dbraw/zinc/86/52/32/349865232.db2.gz AKRJHVIEWYOLLG-CQSZACIVSA-N 0 0 294.351 2.757 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)C1CCOCC1 ZINC000596328898 349865390 /nfs/dbraw/zinc/86/53/90/349865390.db2.gz ISZCDWRHADXHJX-CQSZACIVSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1noc(CSCc2nc(C(C)C)no2)c1[N+](=O)[O-] ZINC000596335704 349868308 /nfs/dbraw/zinc/86/83/08/349868308.db2.gz IVIZIAIHXOQMPT-UHFFFAOYSA-N 0 0 298.324 2.831 20 5 CFBDRN Cc1nn(C)c(N2CCC(c3ccco3)CC2)c1[N+](=O)[O-] ZINC000596335392 349868400 /nfs/dbraw/zinc/86/84/00/349868400.db2.gz XPKOGFNBDDHRNO-UHFFFAOYSA-N 0 0 290.323 2.614 20 5 CFBDRN CC(C)CNc1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000073868038 346981152 /nfs/dbraw/zinc/98/11/52/346981152.db2.gz QEQMIJBRVBXOJY-UHFFFAOYSA-N 0 0 279.340 2.801 20 5 CFBDRN Cc1noc([C@H](C)SCc2onc(C)c2[N+](=O)[O-])n1 ZINC000596336812 349869182 /nfs/dbraw/zinc/86/91/82/349869182.db2.gz RYROWGCORZQFJN-LURJTMIESA-N 0 0 284.297 2.577 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])c2ncnn21 ZINC000596342926 349871363 /nfs/dbraw/zinc/87/13/63/349871363.db2.gz IQZKYGQWYGDTPQ-XVKPBYJWSA-N 0 0 293.714 2.958 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H](C)n3ncnc32)c1 ZINC000596346146 349871628 /nfs/dbraw/zinc/87/16/28/349871628.db2.gz PCTKRGRAMGDPSJ-GXSJLCMTSA-N 0 0 273.296 2.613 20 5 CFBDRN COc1cccc(N2CCO[C@@](C)(C3CC3)C2)c1[N+](=O)[O-] ZINC000596334691 349867425 /nfs/dbraw/zinc/86/74/25/349867425.db2.gz LVKRIYOUTNJQLV-OAHLLOKOSA-N 0 0 292.335 2.609 20 5 CFBDRN Cc1cc(N2CCO[C@@](C)(C3CC3)C2)ccc1[N+](=O)[O-] ZINC000596333420 349867566 /nfs/dbraw/zinc/86/75/66/349867566.db2.gz IINLWQRKVDNFPN-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN CO[C@@H]1CCC[C@H]([C@@H](CO)Nc2ccccc2[N+](=O)[O-])C1 ZINC000596367708 349880115 /nfs/dbraw/zinc/88/01/15/349880115.db2.gz SVUCQNQJDDCQTG-OUCADQQQSA-N 0 0 294.351 2.573 20 5 CFBDRN CC1(C)C(C(=O)NCCc2ccccc2[N+](=O)[O-])C1(C)C ZINC000074067124 346995468 /nfs/dbraw/zinc/99/54/68/346995468.db2.gz FFJOBOMQSCCLQL-UHFFFAOYSA-N 0 0 290.363 2.936 20 5 CFBDRN CCC(O)(CC)C(C)(C)CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596360532 349876997 /nfs/dbraw/zinc/87/69/97/349876997.db2.gz AAGOGVWXKHNHDF-UHFFFAOYSA-N 0 0 297.355 2.685 20 5 CFBDRN Cc1cnccc1CC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295507369 199540163 /nfs/dbraw/zinc/54/01/63/199540163.db2.gz HCMAGYBZASSLSC-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC3(CCCC3)CC2)nc1 ZINC000596376217 349883540 /nfs/dbraw/zinc/88/35/40/349883540.db2.gz NZEDMSCUNUPRDA-UHFFFAOYSA-N 0 0 276.340 2.936 20 5 CFBDRN CC(C)CN(C(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000074234610 347003558 /nfs/dbraw/zinc/00/35/58/347003558.db2.gz GUXSDWSBQALWEU-UHFFFAOYSA-N 0 0 262.309 2.855 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@H]1CCCS1 ZINC000074234987 347003573 /nfs/dbraw/zinc/00/35/73/347003573.db2.gz GKXFJVIJNVCOEI-SNVBAGLBSA-N 0 0 270.285 2.568 20 5 CFBDRN COc1cc(CSCC[C@H](C)O)ccc1[N+](=O)[O-] ZINC000596408772 349892384 /nfs/dbraw/zinc/89/23/84/349892384.db2.gz ROIQTSLXVMKNPI-VIFPVBQESA-N 0 0 271.338 2.608 20 5 CFBDRN C[C@@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])C(C)(F)F ZINC000295083509 199336747 /nfs/dbraw/zinc/33/67/47/199336747.db2.gz UCGLIJXCWUSQMW-QMMMGPOBSA-N 0 0 284.262 2.775 20 5 CFBDRN CC[C@H](C)CCNc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000596415839 349896017 /nfs/dbraw/zinc/89/60/17/349896017.db2.gz NQVBLCILAFUJCQ-JTQLQIEISA-N 0 0 279.340 2.803 20 5 CFBDRN CO[C@H](CSCc1onc(C)c1[N+](=O)[O-])C1CCC1 ZINC000596416443 349896709 /nfs/dbraw/zinc/89/67/09/349896709.db2.gz BQLKMCRQLNWHJJ-SNVBAGLBSA-N 0 0 286.353 2.940 20 5 CFBDRN CC[C@@H](c1ccncc1)N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000128206006 187357397 /nfs/dbraw/zinc/35/73/97/187357397.db2.gz AUBSIHWBKLJQCX-NSHDSACASA-N 0 0 289.291 2.806 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)c1cscn1 ZINC000596430908 349901346 /nfs/dbraw/zinc/90/13/46/349901346.db2.gz KPFWJPHBCHLUOC-YFKPBYRVSA-N 0 0 256.312 2.681 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000074661209 347025376 /nfs/dbraw/zinc/02/53/76/347025376.db2.gz KSOHEGKQPGCINE-LBPRGKRZSA-N 0 0 276.336 2.928 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000596436661 349902567 /nfs/dbraw/zinc/90/25/67/349902567.db2.gz NFGMOZAGFFNMOF-UMSPYCQHSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cc(NC[C@H](O)c2ccc(F)cc2)ncc1[N+](=O)[O-] ZINC000074740091 347029836 /nfs/dbraw/zinc/02/98/36/347029836.db2.gz FCFSGRSCPQBQCR-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN COCC1(C2CCC2)CN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000596439509 349903307 /nfs/dbraw/zinc/90/33/07/349903307.db2.gz FCVXKMXLZBKOOW-UHFFFAOYSA-N 0 0 294.326 2.987 20 5 CFBDRN Cc1cc(NCCN2Cc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000074744304 347030336 /nfs/dbraw/zinc/03/03/36/347030336.db2.gz SJMMGSVSKPBIDG-UHFFFAOYSA-N 0 0 298.346 2.726 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@]3(C)COC[C@]3(C)C2)c1 ZINC000596440735 349903757 /nfs/dbraw/zinc/90/37/57/349903757.db2.gz FWOVIHSQUUEYFV-GASCZTMLSA-N 0 0 276.336 2.766 20 5 CFBDRN CC1CCN(C(=O)NCCc2ccccc2[N+](=O)[O-])CC1 ZINC000074763904 347031206 /nfs/dbraw/zinc/03/12/06/347031206.db2.gz OJHNBVKCYXCGRQ-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1cc(N2CCS[C@@H](C)[C@@H]2C)ncc1[N+](=O)[O-] ZINC000074764406 347031299 /nfs/dbraw/zinc/03/12/99/347031299.db2.gz CMNKCVDQWITEFO-UWVGGRQHSA-N 0 0 267.354 2.628 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](C)[C@H]2CCO)c1 ZINC000596444324 349904799 /nfs/dbraw/zinc/90/47/99/349904799.db2.gz WAEWYAJIEGARKA-QWHCGFSZSA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cc(N2C[C@]3(C)COC[C@]3(C)C2)c(F)cc1[N+](=O)[O-] ZINC000596443564 349905218 /nfs/dbraw/zinc/90/52/18/349905218.db2.gz OPWZOEZOOVSQPO-GASCZTMLSA-N 0 0 294.326 2.905 20 5 CFBDRN COCC1(NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000596445027 349905845 /nfs/dbraw/zinc/90/58/45/349905845.db2.gz XEOMWNNQIUYCKD-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN CSCC[C@H](C)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000074769987 347032248 /nfs/dbraw/zinc/03/22/48/347032248.db2.gz JQAPFGAVDIBLOJ-VIFPVBQESA-N 0 0 255.343 2.568 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@]2(C)COC[C@]2(C)C1 ZINC000596441546 349904466 /nfs/dbraw/zinc/90/44/66/349904466.db2.gz IDPNEJPVWPZRKX-GASCZTMLSA-N 0 0 276.336 2.766 20 5 CFBDRN COC1CC(CCNC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000596442527 349904585 /nfs/dbraw/zinc/90/45/85/349904585.db2.gz WFPGNWUWTHADJT-UHFFFAOYSA-N 0 0 293.323 2.531 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC[C@](O)(C2CC2)C1 ZINC000596462654 349908046 /nfs/dbraw/zinc/90/80/46/349908046.db2.gz NHODPHLNMOGJIY-CQSZACIVSA-N 0 0 296.754 2.990 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@@H](C2CCCCC2)C1 ZINC000596454701 349906987 /nfs/dbraw/zinc/90/69/87/349906987.db2.gz OEUCULMCWRAZNS-GFCCVEGCSA-N 0 0 278.356 2.735 20 5 CFBDRN CCc1nnc(Sc2ncc([N+](=O)[O-])cc2C)s1 ZINC000596467855 349909327 /nfs/dbraw/zinc/90/93/27/349909327.db2.gz ADRMXXVFRQXFNC-UHFFFAOYSA-N 0 0 282.350 2.863 20 5 CFBDRN C[C@@]1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000596470471 349909965 /nfs/dbraw/zinc/90/99/65/349909965.db2.gz MWVPGWJFGMHJPX-NSHDSACASA-N 0 0 285.250 2.762 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000596473269 349911011 /nfs/dbraw/zinc/91/10/11/349911011.db2.gz QOJUPSVGUCCOKN-GFCCVEGCSA-N 0 0 263.297 2.905 20 5 CFBDRN Nc1cc(NC[C@@H]2CCC[C@@H]3C[C@@H]32)cc(CO)c1[N+](=O)[O-] ZINC000596473864 349911318 /nfs/dbraw/zinc/91/13/18/349911318.db2.gz JMFOXQHGEJFBJP-NRUUGDAUSA-N 0 0 291.351 2.517 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000075065913 347053463 /nfs/dbraw/zinc/05/34/63/347053463.db2.gz QPWDLYUOCHSMOX-PWSUYJOCSA-N 0 0 291.351 2.579 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2ccncc2[N+](=O)[O-])C1(C)C ZINC000075064815 347053600 /nfs/dbraw/zinc/05/36/00/347053600.db2.gz TXANWJZJVNANFI-DGCLKSJQSA-N 0 0 265.313 2.605 20 5 CFBDRN Cc1cnc(NC[C@@]2(C)CC2(F)F)c([N+](=O)[O-])c1 ZINC000596475842 349912256 /nfs/dbraw/zinc/91/22/56/349912256.db2.gz FHMILQTXZBDLHI-SNVBAGLBSA-N 0 0 257.240 2.755 20 5 CFBDRN CCC1(CC)CCCN(c2c([N+](=O)[O-])ncn2C)C1 ZINC000596478717 349913722 /nfs/dbraw/zinc/91/37/22/349913722.db2.gz JSCPWNVTNMMHAN-UHFFFAOYSA-N 0 0 266.345 2.735 20 5 CFBDRN Cc1cc(N2CCC[C@H](CCF)C2)ncc1[N+](=O)[O-] ZINC000596477476 349913107 /nfs/dbraw/zinc/91/31/07/349913107.db2.gz DAEBKAHJRYDLJO-LLVKDONJSA-N 0 0 267.304 2.874 20 5 CFBDRN C[C@@]1(CCNc2ncccc2[N+](=O)[O-])CC1(F)F ZINC000596477455 349913375 /nfs/dbraw/zinc/91/33/75/349913375.db2.gz ZXMBODGPPXXUJE-SNVBAGLBSA-N 0 0 257.240 2.837 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@H](CCF)C2)nc2sccn21 ZINC000596480036 349914570 /nfs/dbraw/zinc/91/45/70/349914570.db2.gz YMDSRXNGJPMXHG-SECBINFHSA-N 0 0 298.343 2.880 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1nc3ccccc3cc1[N+](=O)[O-])C2 ZINC000596480621 349915161 /nfs/dbraw/zinc/91/51/61/349915161.db2.gz NWUDOJXCOCVQML-BLLLJJGKSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2C2CCCC2)nc1 ZINC000273203861 192073804 /nfs/dbraw/zinc/07/38/04/192073804.db2.gz RWHYWXYZPINBFV-GFCCVEGCSA-N 0 0 262.313 2.544 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1nccc3ccc([N+](=O)[O-])cc31)C2 ZINC000596481501 349915104 /nfs/dbraw/zinc/91/51/04/349915104.db2.gz YGDQXZXGWLMCPP-BLLLJJGKSA-N 0 0 299.330 2.616 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000127251213 187292314 /nfs/dbraw/zinc/29/23/14/187292314.db2.gz OBYUKGHZACURGS-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@@H]2C[C@@H]2C1 ZINC000596481368 349915238 /nfs/dbraw/zinc/91/52/38/349915238.db2.gz ASBSUOVYACHEHF-RKDXNWHRSA-N 0 0 279.271 2.608 20 5 CFBDRN CN(Cc1nc(C(F)F)no1)Cc1ccccc1[N+](=O)[O-] ZINC000425388945 530021929 /nfs/dbraw/zinc/02/19/29/530021929.db2.gz XVAHUNHEEGVBEJ-UHFFFAOYSA-N 0 0 298.249 2.547 20 5 CFBDRN Cc1ccc(CC2CN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)cc1 ZINC000596485464 349916598 /nfs/dbraw/zinc/91/65/98/349916598.db2.gz JHIMTMLXMXQKJR-UHFFFAOYSA-N 0 0 299.330 2.683 20 5 CFBDRN CCOCc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000075357803 347070660 /nfs/dbraw/zinc/07/06/60/347070660.db2.gz ZTOBZGWTVWTAOI-UHFFFAOYSA-N 0 0 290.275 2.977 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000075438138 347077149 /nfs/dbraw/zinc/07/71/49/347077149.db2.gz MFCRNMYLUTXDDD-NXEZZACHSA-N 0 0 265.313 2.574 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H](CO)CC2CC2)c([N+](=O)[O-])c1 ZINC000596491522 349918594 /nfs/dbraw/zinc/91/85/94/349918594.db2.gz GTNQWNHIGGWKIW-LBPRGKRZSA-N 0 0 292.335 2.618 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H](CO)CC1CC1 ZINC000596492537 349918762 /nfs/dbraw/zinc/91/87/62/349918762.db2.gz PBOQUQIJMBYNOX-JTQLQIEISA-N 0 0 268.288 2.554 20 5 CFBDRN C[C@@H]1CN(c2ccc3cc([N+](=O)[O-])ccc3n2)C[C@@H](C)O1 ZINC000075469793 347078293 /nfs/dbraw/zinc/07/82/93/347078293.db2.gz JGAWMGRGMYOGEV-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN CC1(C)CC(NC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000596494152 349919583 /nfs/dbraw/zinc/91/95/83/349919583.db2.gz VFGKAZMUFPDLNZ-UHFFFAOYSA-N 0 0 263.297 2.905 20 5 CFBDRN Cc1noc(-c2cc(Br)cc([N+](=O)[O-])c2)n1 ZINC000121518588 282373968 /nfs/dbraw/zinc/37/39/68/282373968.db2.gz NJRDPRDDIZYMNN-UHFFFAOYSA-N 0 0 284.069 2.716 20 5 CFBDRN Cc1ccc(N[C@H]2C[C@H](O)C23CCC3)c([N+](=O)[O-])c1 ZINC000596500555 349922022 /nfs/dbraw/zinc/92/20/22/349922022.db2.gz CIGMSMXHMCKBGI-STQMWFEESA-N 0 0 262.309 2.619 20 5 CFBDRN CC1(C)CCCN1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270503174 190703964 /nfs/dbraw/zinc/70/39/64/190703964.db2.gz KJPAPSWFNUSAAC-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1C[C@H](O)C12CCC2 ZINC000596500498 349921644 /nfs/dbraw/zinc/92/16/44/349921644.db2.gz BNMLUAOQYJRWOS-KGLIPLIRSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H](O)C23CCC3)c(Cl)c1 ZINC000596500237 349921841 /nfs/dbraw/zinc/92/18/41/349921841.db2.gz AUUWPXCKDAGNAL-NWDGAFQWSA-N 0 0 282.727 2.964 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000596502824 349922421 /nfs/dbraw/zinc/92/24/21/349922421.db2.gz JEWVZUCXOUXFFS-JSGCOSHPSA-N 0 0 291.351 2.775 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000596502823 349922730 /nfs/dbraw/zinc/92/27/30/349922730.db2.gz JEWVZUCXOUXFFS-GXTWGEPZSA-N 0 0 291.351 2.775 20 5 CFBDRN COc1cc(N[C@H]2C[C@H](O)C23CCC3)c([N+](=O)[O-])cc1C ZINC000596510149 349924888 /nfs/dbraw/zinc/92/48/88/349924888.db2.gz OIQFNMOBSHOULE-KBPBESRZSA-N 0 0 292.335 2.627 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC[C@@H]3C[C@@H]3C1)CCCC2 ZINC000596511082 349924920 /nfs/dbraw/zinc/92/49/20/349924920.db2.gz OLXUZJUNOCCOQP-ZYHUDNBSSA-N 0 0 273.336 2.715 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000596512096 349925438 /nfs/dbraw/zinc/92/54/38/349925438.db2.gz UFDBYRLKEAVPJL-QWRGUYRKSA-N 0 0 277.324 2.623 20 5 CFBDRN CC1(C)[C@H](CN=c2[nH]c3ccc([N+](=O)[O-])cc3[nH]2)C1(F)F ZINC000596512422 349925988 /nfs/dbraw/zinc/92/59/88/349925988.db2.gz DLUZURBBPDBLDT-JTQLQIEISA-N 0 0 296.277 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCC1CCC(O)CC1)CCN2 ZINC000596513754 349926738 /nfs/dbraw/zinc/92/67/38/349926738.db2.gz DFAFLMNWZHLMRH-UHFFFAOYSA-N 0 0 291.351 2.526 20 5 CFBDRN C[C@H]1COC2(CCCC2)CN1c1ccc([N+](=O)[O-])nc1 ZINC000596514858 349927343 /nfs/dbraw/zinc/92/73/43/349927343.db2.gz KTLIWKGFDXVNBW-NSHDSACASA-N 0 0 277.324 2.528 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2cc(C)sc2[N+](=O)[O-])C[C@@H]1C ZINC000596515721 349927454 /nfs/dbraw/zinc/92/74/54/349927454.db2.gz ACRXVZIPNVJDPA-WCBMZHEXSA-N 0 0 298.364 2.600 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@@]2(C)CC2(C)C)c1[N+](=O)[O-] ZINC000596523750 349929857 /nfs/dbraw/zinc/92/98/57/349929857.db2.gz NGZHTWKOBGTQAG-AWEZNQCLSA-N 0 0 293.323 2.685 20 5 CFBDRN CC(C)[C@H](Nc1ncc([N+](=O)[O-])cc1F)C1CC1 ZINC000596520559 349929148 /nfs/dbraw/zinc/92/91/48/349929148.db2.gz FOCCEBFYDRBPSC-NSHDSACASA-N 0 0 253.277 2.975 20 5 CFBDRN CO[C@H](CNc1cc(C)c([N+](=O)[O-])cn1)C1CCCC1 ZINC000596528389 349931260 /nfs/dbraw/zinc/93/12/60/349931260.db2.gz ZPPCUYIVPXTCJY-CYBMUJFWSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3COC[C@H]3C2)c2ncccc12 ZINC000596526699 349930419 /nfs/dbraw/zinc/93/04/19/349930419.db2.gz FXTKCRWNUBCMDY-VXGBXAGGSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1c(NCCCc2ccco2)nc2sccn21 ZINC000596534000 349934261 /nfs/dbraw/zinc/93/42/61/349934261.db2.gz UTJYVEGCINORQW-UHFFFAOYSA-N 0 0 292.320 2.942 20 5 CFBDRN COc1cc(N[C@@H]2C[C@]2(C)OC)c([N+](=O)[O-])cc1C ZINC000596538332 349934743 /nfs/dbraw/zinc/93/47/43/349934743.db2.gz DTUDWIKRQJYCQG-OLZOCXBDSA-N 0 0 266.297 2.501 20 5 CFBDRN Cc1cnc(CNc2ncc(Cl)cc2[N+](=O)[O-])o1 ZINC000153874297 232222443 /nfs/dbraw/zinc/22/24/43/232222443.db2.gz YGIPZDNQKDGQTL-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1CCCS1 ZINC000163134505 232256584 /nfs/dbraw/zinc/25/65/84/232256584.db2.gz HOIGHOFUPVXRRN-LLVKDONJSA-N 0 0 295.364 2.920 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](O)CNc1ccc([N+](=O)[O-])nc1 ZINC000596547965 349938962 /nfs/dbraw/zinc/93/89/62/349938962.db2.gz OFMMAOCCWPWQPN-BXUZGUMPSA-N 0 0 287.319 2.566 20 5 CFBDRN Cc1ccccc1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000159841747 232246140 /nfs/dbraw/zinc/24/61/40/232246140.db2.gz WMSJQHJIYAVDRT-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000290727781 197799199 /nfs/dbraw/zinc/79/91/99/197799199.db2.gz QCPMCOREERLOOV-SCZZXKLOSA-N 0 0 266.297 2.633 20 5 CFBDRN COc1cc(N(C)CC2=CCSC2)c([N+](=O)[O-])cc1F ZINC000596553662 349939909 /nfs/dbraw/zinc/93/99/09/349939909.db2.gz LAXHJXQKWQJMNB-UHFFFAOYSA-N 0 0 298.339 2.852 20 5 CFBDRN CN(CC1=CCSC1)c1ccsc1[N+](=O)[O-] ZINC000596553259 349939954 /nfs/dbraw/zinc/93/99/54/349939954.db2.gz KQNOIPAGOOAGMS-UHFFFAOYSA-N 0 0 256.352 2.766 20 5 CFBDRN CC1(C)SC[C@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492770967 535013984 /nfs/dbraw/zinc/01/39/84/535013984.db2.gz RNCPGSKMBREEHC-VVEJJEBESA-N 0 0 292.360 2.618 20 5 CFBDRN C[C@@H](CCO)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000097443345 185605422 /nfs/dbraw/zinc/60/54/22/185605422.db2.gz ABVBJIVQDUBMTI-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)CC[C@@H]1C ZINC000175173061 232307138 /nfs/dbraw/zinc/30/71/38/232307138.db2.gz WEKOYFPNGZRJNS-ZJUUUORDSA-N 0 0 277.324 2.559 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)NCc2ccccc2[N+](=O)[O-])O1 ZINC000175502116 232312584 /nfs/dbraw/zinc/31/25/84/232312584.db2.gz VQNYZFOCMZJDRD-AAEUAGOBSA-N 0 0 292.335 2.559 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@H](C)C1(C)CC1 ZINC000175600830 232316011 /nfs/dbraw/zinc/31/60/11/232316011.db2.gz RLTUZPFZLGAXKC-SNVBAGLBSA-N 0 0 277.324 2.559 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ccccc1[N+](=O)[O-] ZINC000005717138 346212370 /nfs/dbraw/zinc/21/23/70/346212370.db2.gz PRZCBPFGESWKDC-UHFFFAOYSA-N 0 0 288.215 2.722 20 5 CFBDRN CC1CCC(N(C)c2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000007187538 346217536 /nfs/dbraw/zinc/21/75/36/346217536.db2.gz UQLOUALJAFGZMB-UHFFFAOYSA-N 0 0 291.351 2.709 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000007489548 346218218 /nfs/dbraw/zinc/21/82/18/346218218.db2.gz WLFVHHGJOOIMSD-GFCCVEGCSA-N 0 0 294.351 2.915 20 5 CFBDRN C[C@H]1CCc2ccccc2N1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000008366974 346223872 /nfs/dbraw/zinc/22/38/72/346223872.db2.gz FUUHKRCDLFRIIC-JTQLQIEISA-N 0 0 285.303 2.904 20 5 CFBDRN CC(C)(C)CC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000009916582 346225335 /nfs/dbraw/zinc/22/53/35/346225335.db2.gz DJLCPJYXHYOAEN-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN O=c1c2ccccc2n(CC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000295132960 199363343 /nfs/dbraw/zinc/36/33/43/199363343.db2.gz CPYWWSUMXDFEKO-UHFFFAOYSA-N 0 0 294.257 2.955 20 5 CFBDRN CCCCN(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000018174986 346241171 /nfs/dbraw/zinc/24/11/71/346241171.db2.gz JWUTVYWZBOBDHY-UHFFFAOYSA-N 0 0 251.286 2.859 20 5 CFBDRN O=C(Nc1ccc2n[nH]cc2c1)c1ccccc1[N+](=O)[O-] ZINC000020478998 346243797 /nfs/dbraw/zinc/24/37/97/346243797.db2.gz DESSZTRKDORBSR-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)nc1 ZINC000021877190 346247399 /nfs/dbraw/zinc/24/73/99/346247399.db2.gz XXCCQXTWOIKJNC-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Sc1nnc2ccccn21 ZINC000022800619 346250195 /nfs/dbraw/zinc/25/01/95/346250195.db2.gz QLYLNIAFLHJVBO-UHFFFAOYSA-N 0 0 290.279 2.928 20 5 CFBDRN Cn1ccnc1Sc1ccc(F)cc1[N+](=O)[O-] ZINC000023296084 346252255 /nfs/dbraw/zinc/25/22/55/346252255.db2.gz BKKRDCIJLLEKME-UHFFFAOYSA-N 0 0 253.258 2.619 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000027186029 346268620 /nfs/dbraw/zinc/26/86/20/346268620.db2.gz QLJMAVKMEWPMJI-VIFPVBQESA-N 0 0 295.295 2.620 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000027186647 346268643 /nfs/dbraw/zinc/26/86/43/346268643.db2.gz UZFYUFSQWMCURK-VIFPVBQESA-N 0 0 290.323 2.588 20 5 CFBDRN Cc1cc(C(=O)Cn2cnc([N+](=O)[O-])c2)c(C)c(C)c1C ZINC000029749578 346283653 /nfs/dbraw/zinc/28/36/53/346283653.db2.gz CKXSPGSPKAULIT-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN CC(C)CCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000031620822 346295503 /nfs/dbraw/zinc/29/55/03/346295503.db2.gz VWFOTADFNAGYOJ-UHFFFAOYSA-N 0 0 250.254 2.549 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)c2ccccn2)cc1[N+](=O)[O-] ZINC000031895123 346298955 /nfs/dbraw/zinc/29/89/55/346298955.db2.gz XRXIXLFTZOSWAV-QMMMGPOBSA-N 0 0 291.332 2.851 20 5 CFBDRN COc1ccc(C(=O)NCC2CCCC2)cc1[N+](=O)[O-] ZINC000031986001 346299671 /nfs/dbraw/zinc/29/96/71/346299671.db2.gz MFJKFVRNDPUCOJ-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000042568338 346367515 /nfs/dbraw/zinc/36/75/15/346367515.db2.gz LTQKRGQXOMHWGT-MRVPVSSYSA-N 0 0 254.311 2.671 20 5 CFBDRN O=C(NC1CC1)c1ccc(NCC2CCC2)c([N+](=O)[O-])c1 ZINC000042099936 346360885 /nfs/dbraw/zinc/36/08/85/346360885.db2.gz OVMJOXCNDGQQSA-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3C[C@H]4C[C@H]4C3)n2)o1 ZINC000596600639 349953654 /nfs/dbraw/zinc/95/36/54/349953654.db2.gz ASEHXBYXUQZSRF-JIGDXULJSA-N 0 0 261.237 2.751 20 5 CFBDRN Cc1cc(OCc2cccc([N+](=O)[O-])c2C)nn1C ZINC000128390418 187367433 /nfs/dbraw/zinc/36/74/33/187367433.db2.gz PCHSZHAAZQUOPY-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN CCC[C@@H](C)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000043001706 346376982 /nfs/dbraw/zinc/37/69/82/346376982.db2.gz FJWHVTIYCYQAAH-SNVBAGLBSA-N 0 0 265.313 2.583 20 5 CFBDRN C[C@H]1OCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000230105874 539538983 /nfs/dbraw/zinc/53/89/83/539538983.db2.gz AJSAEGCXOJPGGL-OQPBUACISA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1sc(C(=O)NCc2ccc(O)cc2)cc1[N+](=O)[O-] ZINC000043733156 346386961 /nfs/dbraw/zinc/38/69/61/346386961.db2.gz OWGNXHUFJDRAKB-UHFFFAOYSA-N 0 0 292.316 2.600 20 5 CFBDRN CCCCN(C(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000045368147 346407190 /nfs/dbraw/zinc/40/71/90/346407190.db2.gz JCVIGMFYAWBVQS-UHFFFAOYSA-N 0 0 262.309 3.000 20 5 CFBDRN COCc1ccccc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000044549737 346394880 /nfs/dbraw/zinc/39/48/80/346394880.db2.gz ZMEJUAVMYHRLOF-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN CC(C)(CNC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1 ZINC000046063744 346419098 /nfs/dbraw/zinc/41/90/98/346419098.db2.gz YPIUZNFRDMBCBW-UHFFFAOYSA-N 0 0 287.319 2.631 20 5 CFBDRN Cc1c(NC(=O)CC[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000046162453 346421428 /nfs/dbraw/zinc/42/14/28/346421428.db2.gz VIIOCUNAPSVFLW-LLVKDONJSA-N 0 0 278.308 2.801 20 5 CFBDRN CN(CCCc1ccccc1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000046897037 346437428 /nfs/dbraw/zinc/43/74/28/346437428.db2.gz BJQFHGNNZPYOGF-UHFFFAOYSA-N 0 0 287.319 2.628 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@H]1c1ccsc1 ZINC000046913156 346437899 /nfs/dbraw/zinc/43/78/99/346437899.db2.gz NJKSLXPZLXJDCE-LBPRGKRZSA-N 0 0 291.332 2.962 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCC1=CCCC1 ZINC000596625863 349960993 /nfs/dbraw/zinc/96/09/93/349960993.db2.gz XRWIXYVUDKPTCH-UHFFFAOYSA-N 0 0 291.307 2.835 20 5 CFBDRN Cc1c(Cn2ncc3ccccc3c2=O)cccc1[N+](=O)[O-] ZINC000047369965 346450146 /nfs/dbraw/zinc/45/01/46/346450146.db2.gz RZMIGKVLZAMLAT-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)OCC(C)C ZINC000047382666 346450218 /nfs/dbraw/zinc/45/02/18/346450218.db2.gz BHLRNZNUSQIFPV-LLVKDONJSA-N 0 0 280.324 2.903 20 5 CFBDRN CCCn1nc(C)c(-c2cc(CO)cc([N+](=O)[O-])c2)c1C ZINC000596640123 349965399 /nfs/dbraw/zinc/96/53/99/349965399.db2.gz FKZHYCIVQJNILE-UHFFFAOYSA-N 0 0 289.335 2.977 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000596633281 349963024 /nfs/dbraw/zinc/96/30/24/349963024.db2.gz BNGZGTSRXZTUFF-RYUDHWBXSA-N 0 0 292.335 2.965 20 5 CFBDRN CC(C)Oc1ncccc1CNc1ccc([N+](=O)[O-])nc1 ZINC000048336968 346472004 /nfs/dbraw/zinc/47/20/04/346472004.db2.gz FQULHYZQPWWABJ-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN CCC[C@H](C)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000048893087 346477557 /nfs/dbraw/zinc/47/75/57/346477557.db2.gz VFFCDPSIHGEWQP-VIFPVBQESA-N 0 0 265.313 2.555 20 5 CFBDRN COc1ncccc1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000049055859 346479176 /nfs/dbraw/zinc/47/91/76/346479176.db2.gz GSFBNLPXHDJAAU-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H](CNc1ncccc1[N+](=O)[O-])N(C)c1ccccc1 ZINC000052182954 346516905 /nfs/dbraw/zinc/51/69/05/346516905.db2.gz OWIAQAOEQGNLGL-GFCCVEGCSA-N 0 0 286.335 2.927 20 5 CFBDRN CCc1cccc(-c2cc([N+](=O)[O-])ccc2CCO)n1 ZINC000596647133 349967051 /nfs/dbraw/zinc/96/70/51/349967051.db2.gz BWXVQFBSOYLFIS-UHFFFAOYSA-N 0 0 272.304 2.754 20 5 CFBDRN Cc1ccccc1[C@@H]1CCCN1c1c([N+](=O)[O-])ncn1C ZINC000052486459 346522967 /nfs/dbraw/zinc/52/29/67/346522967.db2.gz CUIQLCFPFADWQG-ZDUSSCGKSA-N 0 0 286.335 2.978 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000050823049 346497783 /nfs/dbraw/zinc/49/77/83/346497783.db2.gz ZKONOIMAFYCUSA-JTQLQIEISA-N 0 0 267.329 2.869 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1 ZINC000050823224 346498058 /nfs/dbraw/zinc/49/80/58/346498058.db2.gz VJDLMYZGGWLWFF-UHFFFAOYSA-N 0 0 259.265 2.590 20 5 CFBDRN O=C(Nc1ccccc1Cl)c1cc([N+](=O)[O-])c[nH]1 ZINC000050822531 346498073 /nfs/dbraw/zinc/49/80/73/346498073.db2.gz WFOAYCUYGMJWFZ-UHFFFAOYSA-N 0 0 265.656 2.829 20 5 CFBDRN Cc1c(CN2C[C@@H](C)O[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000051114782 346499773 /nfs/dbraw/zinc/49/97/73/346499773.db2.gz ZRBZJVOKKCIRAW-GHMZBOCLSA-N 0 0 264.325 2.512 20 5 CFBDRN CCc1cccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000051203205 346500637 /nfs/dbraw/zinc/50/06/37/346500637.db2.gz NPBDLHMQNADLOP-UHFFFAOYSA-N 0 0 259.265 2.738 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])c(C)n1 ZINC000053868769 346553323 /nfs/dbraw/zinc/55/33/23/346553323.db2.gz LZYIXDWAHJMYFD-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CC[C@@H](COC)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000085636981 179109811 /nfs/dbraw/zinc/10/98/11/179109811.db2.gz UCNKQQTYODDERI-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)CNc2cccc([N+](=O)[O-])c2)C1 ZINC000054529790 346571193 /nfs/dbraw/zinc/57/11/93/346571193.db2.gz AWMOYCKFDVBJCL-RYUDHWBXSA-N 0 0 291.351 2.511 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2Nc1c([N+](=O)[O-])ncn1C ZINC000057141834 346619763 /nfs/dbraw/zinc/61/97/63/346619763.db2.gz CFHVXBKSXDRUOY-LBPRGKRZSA-N 0 0 272.308 2.736 20 5 CFBDRN CN(Cc1ccccn1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000057139918 346619909 /nfs/dbraw/zinc/61/99/09/346619909.db2.gz BYLYYDYPJVDJEZ-UHFFFAOYSA-N 0 0 288.263 2.534 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@@H]1C1CCCC1 ZINC000056355559 346607622 /nfs/dbraw/zinc/60/76/22/346607622.db2.gz HOIAIOPQTHOMFV-CYBMUJFWSA-N 0 0 277.324 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](Nc3ccccc3)C2)nc1 ZINC000060369831 346663792 /nfs/dbraw/zinc/66/37/92/346663792.db2.gz NMYVIHVVZDKZEF-CYBMUJFWSA-N 0 0 284.319 2.681 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1nccn1C ZINC000057620376 346632966 /nfs/dbraw/zinc/63/29/66/346632966.db2.gz XPAALSZGFAJBSB-LLVKDONJSA-N 0 0 274.324 2.521 20 5 CFBDRN CN(C(=O)[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000057682075 346633739 /nfs/dbraw/zinc/63/37/39/346633739.db2.gz AEQZICMKGXUTPM-LLVKDONJSA-N 0 0 260.293 2.914 20 5 CFBDRN CC(C)c1noc(CCCNc2ncccc2[N+](=O)[O-])n1 ZINC000063507469 346702379 /nfs/dbraw/zinc/70/23/79/346702379.db2.gz DKNWAEORRQRBHO-UHFFFAOYSA-N 0 0 291.311 2.541 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000063615676 346705361 /nfs/dbraw/zinc/70/53/61/346705361.db2.gz JFYIQRBVBRAIIX-AWEZNQCLSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)c1ccc(C)c(C)c1 ZINC000064332257 346717876 /nfs/dbraw/zinc/71/78/76/346717876.db2.gz NGIYAYIGDVZMIJ-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN CSc1ccc(NC(=O)c2n[nH]c(C)c2[N+](=O)[O-])cc1 ZINC000064335213 346718703 /nfs/dbraw/zinc/71/87/03/346718703.db2.gz LTLYXGFAUXCOOT-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN Cc1ccc(CNC(=O)NCc2cccc([N+](=O)[O-])c2)cc1 ZINC000062648247 346690728 /nfs/dbraw/zinc/69/07/28/346690728.db2.gz RUNDODIOVOSKOI-UHFFFAOYSA-N 0 0 299.330 2.903 20 5 CFBDRN Cc1noc(C)c1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000063447648 346700730 /nfs/dbraw/zinc/70/07/30/346700730.db2.gz RFQXYQAWFRSHMI-VMPITWQZSA-N 0 0 287.275 2.852 20 5 CFBDRN Cc1ccc(C[C@@H](C)Nc2c([N+](=O)[O-])ncn2C)c(C)c1 ZINC000066468144 346763232 /nfs/dbraw/zinc/76/32/32/346763232.db2.gz UJPDKTZYZLVPGT-GFCCVEGCSA-N 0 0 288.351 2.988 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](C)[C@@H](OC)C1 ZINC000276061626 136633125 /nfs/dbraw/zinc/63/31/25/136633125.db2.gz RNPSOKTYSLETKU-NHYWBVRUSA-N 0 0 294.351 2.602 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000065725516 346738959 /nfs/dbraw/zinc/73/89/59/346738959.db2.gz ZGUXYHLAADUULJ-LLVKDONJSA-N 0 0 289.335 2.806 20 5 CFBDRN CN(CCOc1ccccc1F)c1ccncc1[N+](=O)[O-] ZINC000066797108 346779918 /nfs/dbraw/zinc/77/99/18/346779918.db2.gz KECCSCAIZUDSKB-UHFFFAOYSA-N 0 0 291.282 2.644 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@@H](C)[S@]1=O ZINC000286234124 136667220 /nfs/dbraw/zinc/66/72/20/136667220.db2.gz DWVICLDMJWAXTN-JNHSQIPHSA-N 0 0 296.392 2.639 20 5 CFBDRN CC1(C(=O)NCc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000067072108 346790847 /nfs/dbraw/zinc/79/08/47/346790847.db2.gz YCDSXNHLBHRTFU-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN O=C(CCc1ccccc1)NCc1cccc([N+](=O)[O-])c1 ZINC000067071051 346791007 /nfs/dbraw/zinc/79/10/07/346791007.db2.gz JJBGIUQBKNKHNJ-UHFFFAOYSA-N 0 0 284.315 2.844 20 5 CFBDRN CCN(C(=O)COc1ccc([N+](=O)[O-])cc1)[C@@H](C)C(C)C ZINC000067113958 346792190 /nfs/dbraw/zinc/79/21/90/346792190.db2.gz ITMLCCZVMDMUKH-LBPRGKRZSA-N 0 0 294.351 2.867 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000077136115 347168941 /nfs/dbraw/zinc/16/89/41/347168941.db2.gz PUSRQFTYPZDPFE-VHSXEESVSA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1nn(C)c(NCc2cc3ccccc3o2)c1[N+](=O)[O-] ZINC000076799568 347155231 /nfs/dbraw/zinc/15/52/31/347155231.db2.gz GIDCKZKYZWASBP-UHFFFAOYSA-N 0 0 286.291 2.995 20 5 CFBDRN Cc1ccc(CN(C)c2c([N+](=O)[O-])c(C)nn2C)s1 ZINC000076290763 347133113 /nfs/dbraw/zinc/13/31/13/347133113.db2.gz ZAGTVVBUFHUJIL-UHFFFAOYSA-N 0 0 280.353 2.643 20 5 CFBDRN CCC1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000077462069 347193841 /nfs/dbraw/zinc/19/38/41/347193841.db2.gz UWCYMSOBUCWXKO-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000077544597 347199058 /nfs/dbraw/zinc/19/90/58/347199058.db2.gz NLZPLWGHULXIDB-NEPJUHHUSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@H](CNc1ccccc1[N+](=O)[O-])CC1(C)OCCO1 ZINC000077210132 347173663 /nfs/dbraw/zinc/17/36/63/347173663.db2.gz QURMYTCNRSBHRM-NSHDSACASA-N 0 0 280.324 2.796 20 5 CFBDRN CSC[C@@H](CCO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000077236859 347180250 /nfs/dbraw/zinc/18/02/50/347180250.db2.gz ZOYKPYCWTJASFB-SECBINFHSA-N 0 0 290.772 2.774 20 5 CFBDRN Cc1nn(C)c(NC2CC(c3ccccc3)C2)c1[N+](=O)[O-] ZINC000077357704 347189514 /nfs/dbraw/zinc/18/95/14/347189514.db2.gz SLYVGXPOOXVWKD-UHFFFAOYSA-N 0 0 286.335 2.995 20 5 CFBDRN CCC[C@@H](C)N(C)c1nccc(C(=O)OCC)c1[N+](=O)[O-] ZINC000077928325 347220777 /nfs/dbraw/zinc/22/07/77/347220777.db2.gz VWKLGSHCDJYQBC-SNVBAGLBSA-N 0 0 295.339 2.791 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c(C)n1 ZINC000078149610 347230562 /nfs/dbraw/zinc/23/05/62/347230562.db2.gz IRWDNSWUJGDAGL-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC(=O)NCc1ccccc1 ZINC000078245426 347234150 /nfs/dbraw/zinc/23/41/50/347234150.db2.gz KCQFLGXHWHMQFY-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN COc1cc(N[C@@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000078307029 347239949 /nfs/dbraw/zinc/23/99/49/347239949.db2.gz FJGZTNNDAAKDNY-VIFPVBQESA-N 0 0 276.296 2.510 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000078339258 347243580 /nfs/dbraw/zinc/24/35/80/347243580.db2.gz SUBQOEVRXPIEQV-MRVPVSSYSA-N 0 0 298.368 2.513 20 5 CFBDRN Cc1nc([C@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000273296989 192104472 /nfs/dbraw/zinc/10/44/72/192104472.db2.gz JQILIQRVQNHSNV-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN COc1cc(NC[C@@](C)(O)c2ccco2)ccc1[N+](=O)[O-] ZINC000078376498 347247437 /nfs/dbraw/zinc/24/74/37/347247437.db2.gz RVNKBYPGOTZNPT-CQSZACIVSA-N 0 0 292.291 2.516 20 5 CFBDRN C[C@]1(CO)CCC[C@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000078381247 347248404 /nfs/dbraw/zinc/24/84/04/347248404.db2.gz NEQGTKYZTYKPPB-ZYHUDNBSSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1cc(C)cc(C[C@H](C)Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000078457709 347254755 /nfs/dbraw/zinc/25/47/55/347254755.db2.gz HINQMIIUQRANKS-LBPRGKRZSA-N 0 0 288.351 2.988 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H](C)S1 ZINC000078480579 347256220 /nfs/dbraw/zinc/25/62/20/347256220.db2.gz QUZMHOWMZJUUQB-YUMQZZPRSA-N 0 0 287.772 2.973 20 5 CFBDRN CCc1cnc(CCNc2nccc(C)c2[N+](=O)[O-])s1 ZINC000078513236 347258805 /nfs/dbraw/zinc/25/88/05/347258805.db2.gz MIKBJCPTITVAQR-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN CN(CCc1cccs1)c1ccc([N+](=O)[O-])cn1 ZINC000078527486 347259860 /nfs/dbraw/zinc/25/98/60/347259860.db2.gz OSHSGUYJEMJWOI-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN CC(C)[C@@H]1CN(c2ncccc2[N+](=O)[O-])CCS1 ZINC000078578222 347262722 /nfs/dbraw/zinc/26/27/22/347262722.db2.gz YLPBACKUXWQIOA-NSHDSACASA-N 0 0 267.354 2.568 20 5 CFBDRN Cc1cnc(N(Cc2ccccn2)C2CC2)c([N+](=O)[O-])c1 ZINC000078626652 347265209 /nfs/dbraw/zinc/26/52/09/347265209.db2.gz PVWLWLSZVYKUFW-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CCc1nc(CCNc2ncc(C)cc2[N+](=O)[O-])cs1 ZINC000078657835 347268202 /nfs/dbraw/zinc/26/82/02/347268202.db2.gz QBYDWTLWCOUAIK-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN Cc1cnc(N2CCS[C@@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000078671083 347269672 /nfs/dbraw/zinc/26/96/72/347269672.db2.gz XONQNMSZKSHSKE-GFCCVEGCSA-N 0 0 281.381 2.876 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC3CCCC3)c2c1 ZINC000080109193 347344475 /nfs/dbraw/zinc/34/44/75/347344475.db2.gz NJOGRXDLOWHSKJ-UHFFFAOYSA-N 0 0 258.281 2.893 20 5 CFBDRN CCCCCOC1CN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000080377972 347356071 /nfs/dbraw/zinc/35/60/71/347356071.db2.gz GGKFOZRFQHTFSN-UHFFFAOYSA-N 0 0 292.335 2.626 20 5 CFBDRN NC(=O)c1cnc(Oc2cccc([N+](=O)[O-])c2)c(Cl)c1 ZINC000079543619 347311596 /nfs/dbraw/zinc/31/15/96/347311596.db2.gz SPYLUJCLWKOTML-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN CC(C)(NC(=O)CC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000079624797 347317351 /nfs/dbraw/zinc/31/73/51/347317351.db2.gz PTWFFYURFQEXQR-UHFFFAOYSA-N 0 0 262.309 2.746 20 5 CFBDRN CCC[C@@H]1[C@@H](C)CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000081180324 347406535 /nfs/dbraw/zinc/40/65/35/347406535.db2.gz VZHCECLVGCELFD-GXFFZTMASA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1cc(N2CCC[C@H](CO)C2)c(Cl)cc1[N+](=O)[O-] ZINC000080531044 347364212 /nfs/dbraw/zinc/36/42/12/347364212.db2.gz DBDUQMRYGUSJRD-JTQLQIEISA-N 0 0 284.743 2.765 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCc1ccco1 ZINC000080534283 347364539 /nfs/dbraw/zinc/36/45/39/347364539.db2.gz BORCEZOIIQSFDG-UHFFFAOYSA-N 0 0 276.292 2.989 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000080611628 347369653 /nfs/dbraw/zinc/36/96/53/347369653.db2.gz SUPNDHZGYWYPFA-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc(N2CCC3(C2)CCOCC3)c([N+](=O)[O-])c1 ZINC000080653360 347372551 /nfs/dbraw/zinc/37/25/51/347372551.db2.gz KYIXEFPVTQMJRQ-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC(C)(C)C1 ZINC000080652652 347372857 /nfs/dbraw/zinc/37/28/57/347372857.db2.gz HBUUZDUHUYSXDH-UHFFFAOYSA-N 0 0 264.325 2.978 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000080687773 347375601 /nfs/dbraw/zinc/37/56/01/347375601.db2.gz TTWBRYFJRUWZBA-RKDXNWHRSA-N 0 0 254.286 2.742 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2nccc(C)c2[N+](=O)[O-])C1(C)C ZINC000080699106 347376976 /nfs/dbraw/zinc/37/69/76/347376976.db2.gz IGCHIGISXAMOEG-QMTHXVAHSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC12CCC2 ZINC000080795536 347381706 /nfs/dbraw/zinc/38/17/06/347381706.db2.gz ODFVAOKOESRJGX-UHFFFAOYSA-N 0 0 260.293 2.672 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000080969798 347390661 /nfs/dbraw/zinc/39/06/61/347390661.db2.gz IENZBJPEVNVCLM-BQYQJAHWSA-N 0 0 285.303 2.595 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1ccc(C)o1 ZINC000081009901 347395961 /nfs/dbraw/zinc/39/59/61/347395961.db2.gz PYLAMIYUJUHJPI-LLVKDONJSA-N 0 0 277.280 2.691 20 5 CFBDRN CCOc1ccc(COc2ccccc2[N+](=O)[O-])nc1 ZINC000083202567 347449563 /nfs/dbraw/zinc/44/95/63/347449563.db2.gz AUZGBOHPOHJPGH-UHFFFAOYSA-N 0 0 274.276 2.968 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC[C@@H]3CCCCO3)c2c1 ZINC000083927665 347454982 /nfs/dbraw/zinc/45/49/82/347454982.db2.gz DYPJXVJOESIHFH-NSHDSACASA-N 0 0 288.307 2.519 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])N1CCC12CCC2 ZINC000081416315 347417606 /nfs/dbraw/zinc/41/76/06/347417606.db2.gz IYWZFSHMLVJGLV-UHFFFAOYSA-N 0 0 290.319 2.519 20 5 CFBDRN Cc1nc(C)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2)s1 ZINC000081562474 347426085 /nfs/dbraw/zinc/42/60/85/347426085.db2.gz HMGVOAOCHUKGLZ-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN C[C@@H](O)[C@H](C)SCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000083093467 347447906 /nfs/dbraw/zinc/44/79/06/347447906.db2.gz KJKYPZFJEKXHFU-SFYZADRCSA-N 0 0 282.321 2.739 20 5 CFBDRN Cc1ccc(NC[C@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000086016125 347484006 /nfs/dbraw/zinc/48/40/06/347484006.db2.gz JCIPKQHZMLOIKJ-BXUZGUMPSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCCC(F)(F)C1 ZINC000409636750 232694460 /nfs/dbraw/zinc/69/44/60/232694460.db2.gz UJLJSMOLFRIWQS-SNVBAGLBSA-N 0 0 285.294 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(CCC(F)(F)F)CC2 ZINC000088605776 347506195 /nfs/dbraw/zinc/50/61/95/347506195.db2.gz YEYVJPDCYOXLKP-UHFFFAOYSA-N 0 0 274.242 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nc(C3CC3)no2)c1 ZINC000088715874 347507717 /nfs/dbraw/zinc/50/77/17/347507717.db2.gz RSVQDAKOQFHXRM-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOC2CCOCC2)c1 ZINC000088725731 347508432 /nfs/dbraw/zinc/50/84/32/347508432.db2.gz RCSSMXAJHZACET-UHFFFAOYSA-N 0 0 294.351 2.901 20 5 CFBDRN CN(Cc1ccc(O)cc1)c1ncc([N+](=O)[O-])cc1Cl ZINC000089635134 347524322 /nfs/dbraw/zinc/52/43/22/347524322.db2.gz OOBKHGNLJFABRQ-UHFFFAOYSA-N 0 0 293.710 2.985 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1ccccc1[N+](=O)[O-] ZINC000089650339 347525039 /nfs/dbraw/zinc/52/50/39/347525039.db2.gz UGYUVSPGNVDNNR-JTQLQIEISA-N 0 0 266.297 2.596 20 5 CFBDRN CCOC(=O)CC[C@@H](C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000089656885 347525172 /nfs/dbraw/zinc/52/51/72/347525172.db2.gz DOHCBLLGWFFDSG-SECBINFHSA-N 0 0 284.287 2.878 20 5 CFBDRN Cc1cc(=O)n(CC2CCCCC2)cc1[N+](=O)[O-] ZINC000128555200 187377421 /nfs/dbraw/zinc/37/74/21/187377421.db2.gz AXOCTERKXSQOLJ-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CCO1 ZINC000090069467 347538977 /nfs/dbraw/zinc/53/89/77/347538977.db2.gz KESMEJGUNNOTGS-LBPRGKRZSA-N 0 0 299.330 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC2)nc1-c1ccccc1 ZINC000090069893 347539013 /nfs/dbraw/zinc/53/90/13/347539013.db2.gz GVGBACGGIQKBOA-UHFFFAOYSA-N 0 0 255.277 2.867 20 5 CFBDRN C[C@@H](CO)CNc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090072918 347539939 /nfs/dbraw/zinc/53/99/39/347539939.db2.gz ZMZZHPAJEVSGBM-LLVKDONJSA-N 0 0 287.319 2.697 20 5 CFBDRN CCOC(=O)CCCCOc1ccc([N+](=O)[O-])cc1 ZINC000128581060 187378640 /nfs/dbraw/zinc/37/86/40/187378640.db2.gz XUHUZMHLVDEDKS-UHFFFAOYSA-N 0 0 267.281 2.707 20 5 CFBDRN CCc1ccc(Oc2nccn(CC)c2=O)c([N+](=O)[O-])c1 ZINC000091011784 347565976 /nfs/dbraw/zinc/56/59/76/347565976.db2.gz AQAASXAATUCFQD-UHFFFAOYSA-N 0 0 289.291 2.526 20 5 CFBDRN CCc1nn(C)cc1Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000091026425 347567773 /nfs/dbraw/zinc/56/77/73/347567773.db2.gz MBROELHFBADDQT-UHFFFAOYSA-N 0 0 288.307 2.837 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000091480187 347589240 /nfs/dbraw/zinc/58/92/40/347589240.db2.gz COOVSPUNEWZCHT-SNVBAGLBSA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2cc(F)ccc2C1 ZINC000091673213 347594752 /nfs/dbraw/zinc/59/47/52/347594752.db2.gz IOZXEZCPOZIDGU-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN CC[C@H](C)C[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000091795994 347599961 /nfs/dbraw/zinc/59/99/61/347599961.db2.gz ZZNGNJAPRJHNBY-JTQLQIEISA-N 0 0 252.314 2.778 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC000090451984 347549474 /nfs/dbraw/zinc/54/94/74/347549474.db2.gz YVNKFDSXKCRKMH-WDEREUQCSA-N 0 0 294.351 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1ccc2c(c1)OCCO2 ZINC000092581265 347632376 /nfs/dbraw/zinc/63/23/76/347632376.db2.gz NEXWZCJDGGJIIJ-UHFFFAOYSA-N 0 0 287.275 2.813 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCOCC(F)(F)F ZINC000092606076 347634484 /nfs/dbraw/zinc/63/44/84/347634484.db2.gz BRFQXNFOPWDZPS-UHFFFAOYSA-N 0 0 293.245 2.679 20 5 CFBDRN CCOc1cc(N(C)Cc2cc(C)on2)ccc1[N+](=O)[O-] ZINC000092606242 347634597 /nfs/dbraw/zinc/63/45/97/347634597.db2.gz HQGBRICZHZIHSN-UHFFFAOYSA-N 0 0 291.307 2.926 20 5 CFBDRN CC[C@@H]1COCCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000092655054 347637205 /nfs/dbraw/zinc/63/72/05/347637205.db2.gz YTSVNEVHTHIDKB-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC(=O)OC1CCCCC1 ZINC000092665176 347638379 /nfs/dbraw/zinc/63/83/79/347638379.db2.gz IQQKYOCUGGUQPO-UHFFFAOYSA-N 0 0 293.323 2.586 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cccc(-n2ccnn2)c1 ZINC000092685797 347640273 /nfs/dbraw/zinc/64/02/73/347640273.db2.gz QRQIIMRXDQQESU-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN CCc1nn(C)c(N(C)Cc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000092826903 347648460 /nfs/dbraw/zinc/64/84/60/347648460.db2.gz PHCSSRFJNYOTMB-UHFFFAOYSA-N 0 0 292.314 2.666 20 5 CFBDRN Cc1cccn2cc(CNc3ccc([N+](=O)[O-])cn3)nc12 ZINC000092826080 347648630 /nfs/dbraw/zinc/64/86/30/347648630.db2.gz ARKVFXYHVMJSQT-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1ccn(Cc2cccc(F)c2Cl)c(=O)c1[N+](=O)[O-] ZINC000092856673 347649387 /nfs/dbraw/zinc/64/93/87/347649387.db2.gz TYSQMYLOPUKLGD-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1C[C@@H]2CCC[C@@H]2C1 ZINC000093442905 347674560 /nfs/dbraw/zinc/67/45/60/347674560.db2.gz IYDRDEGXCBITJA-PHIMTYICSA-N 0 0 262.309 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CCC2(CCC2)C1 ZINC000093455768 347675223 /nfs/dbraw/zinc/67/52/23/347675223.db2.gz PWONABAWZXLZHB-UHFFFAOYSA-N 0 0 262.309 2.676 20 5 CFBDRN C[C@H]1CC[C@H](C)[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000093498129 347677337 /nfs/dbraw/zinc/67/73/37/347677337.db2.gz GVEBQYCVMFOHTI-QWRGUYRKSA-N 0 0 264.325 2.921 20 5 CFBDRN CCc1nn(C)c(N2CCCCCCC2)c1[N+](=O)[O-] ZINC000094131368 347692282 /nfs/dbraw/zinc/69/22/82/347692282.db2.gz TVVYLFUMICSQEG-UHFFFAOYSA-N 0 0 266.345 2.661 20 5 CFBDRN Cc1cccnc1CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000093215277 347666225 /nfs/dbraw/zinc/66/62/25/347666225.db2.gz NIJZDWHYUAUYLA-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)C[NH2+][C@H](C)C(C)(C)C ZINC000114474288 347772025 /nfs/dbraw/zinc/77/20/25/347772025.db2.gz GAQWWANJUJDVFA-LLVKDONJSA-N 0 0 293.367 2.866 20 5 CFBDRN CCc1noc(CSCc2ccc([N+](=O)[O-])cc2)n1 ZINC000105630469 347729652 /nfs/dbraw/zinc/72/96/52/347729652.db2.gz OZYLIEQTWRDULR-UHFFFAOYSA-N 0 0 279.321 2.974 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C)C[C@@H]1CCCO1 ZINC000108349661 347741355 /nfs/dbraw/zinc/74/13/55/347741355.db2.gz RQCMSDWKKKWXPZ-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccccn1 ZINC000108722860 347742827 /nfs/dbraw/zinc/74/28/27/347742827.db2.gz XUJCAOMRNBBXTN-TWGQIWQCSA-N 0 0 269.260 2.642 20 5 CFBDRN CCC(CC)CNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000119022017 347799469 /nfs/dbraw/zinc/79/94/69/347799469.db2.gz SLMCGOBRAQPBHO-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN C[C@H](NC(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000123270589 347818907 /nfs/dbraw/zinc/81/89/07/347818907.db2.gz GAXYARFLNJORRD-NSHDSACASA-N 0 0 291.351 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ncnc3c2CCCC3)cc1 ZINC000124997282 347827295 /nfs/dbraw/zinc/82/72/95/347827295.db2.gz OANQXLVDJHHVAO-UHFFFAOYSA-N 0 0 298.346 2.918 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC[C@H]1CCCO1 ZINC000129769560 347864211 /nfs/dbraw/zinc/86/42/11/347864211.db2.gz PDDVINAVLITCLA-SECBINFHSA-N 0 0 271.704 2.624 20 5 CFBDRN C[C@@H](NC(=O)CCNc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000130171441 347868289 /nfs/dbraw/zinc/86/82/89/347868289.db2.gz ISNPKGJHDGCVHV-LLVKDONJSA-N 0 0 291.351 2.702 20 5 CFBDRN O=C([C@@H]1CCC[C@H]2C[C@H]21)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000596712762 349976855 /nfs/dbraw/zinc/97/68/55/349976855.db2.gz INNPBUUDVHODDQ-IACUBPJLSA-N 0 0 286.331 2.920 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C1CCC1 ZINC000130486712 347871252 /nfs/dbraw/zinc/87/12/52/347871252.db2.gz VTBQSDQQHRCMDK-SECBINFHSA-N 0 0 287.319 2.995 20 5 CFBDRN CC(C)(C)C[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000143388682 347937076 /nfs/dbraw/zinc/93/70/76/347937076.db2.gz IVEIXWBXSGFBEL-SNVBAGLBSA-N 0 0 279.340 2.964 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCCC1CC1 ZINC000136274989 347910033 /nfs/dbraw/zinc/91/00/33/347910033.db2.gz JXLRRBLPYMTQHX-UHFFFAOYSA-N 0 0 287.319 2.535 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1ccc(OC(F)F)cc1 ZINC000137656955 347914455 /nfs/dbraw/zinc/91/44/55/347914455.db2.gz GKARJOYXLLGBPF-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cccc(Cl)c1 ZINC000138082027 347914884 /nfs/dbraw/zinc/91/48/84/347914884.db2.gz XTRBBFNPISJWBR-UHFFFAOYSA-N 0 0 279.683 2.839 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)c1ncc[nH]1 ZINC000139926262 347922909 /nfs/dbraw/zinc/92/29/09/347922909.db2.gz JXALUBYCIIBFHM-SSDOTTSWSA-N 0 0 250.233 2.630 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCCC(C)(C)C1 ZINC000150189675 347955813 /nfs/dbraw/zinc/95/58/13/347955813.db2.gz MLVHCMFLIVSXTD-VIFPVBQESA-N 0 0 252.318 2.709 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000153243270 347972801 /nfs/dbraw/zinc/97/28/01/347972801.db2.gz YHSULLXOFLGFEH-RISCZKNCSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1ccccc1Cn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000153592271 347973571 /nfs/dbraw/zinc/97/35/71/347973571.db2.gz RHFUBPSVSDXLDQ-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1ccc(S(=O)(=O)Cc2ccccc2[N+](=O)[O-])cc1 ZINC000145834795 347944262 /nfs/dbraw/zinc/94/42/62/347944262.db2.gz BWYDZVLZWDHJOS-UHFFFAOYSA-N 0 0 291.328 2.877 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000158275214 348005870 /nfs/dbraw/zinc/00/58/70/348005870.db2.gz MRRKQEFHNXHADR-QWHCGFSZSA-N 0 0 260.293 2.604 20 5 CFBDRN CCC(C)(CC)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000158664096 348007951 /nfs/dbraw/zinc/00/79/51/348007951.db2.gz IBMACMSNLMBBLX-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN Cc1nnc(CNc2cc(C)ccc2[N+](=O)[O-])n1C1CC1 ZINC000273317791 192111291 /nfs/dbraw/zinc/11/12/91/192111291.db2.gz ORLTYTCPFCMUNG-UHFFFAOYSA-N 0 0 287.323 2.750 20 5 CFBDRN CCOC(=O)c1ccnc(NCC(C)(C)C)c1[N+](=O)[O-] ZINC000172365804 348091770 /nfs/dbraw/zinc/09/17/70/348091770.db2.gz ZDPCOCVIRHCEFS-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN O=[N+]([O-])c1cccc(Oc2ncc(Cl)cn2)c1 ZINC000196191335 348147789 /nfs/dbraw/zinc/14/77/89/348147789.db2.gz YJNPCGDPZMPRHM-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN COc1ccccc1CCNc1cccnc1[N+](=O)[O-] ZINC000225672117 348179332 /nfs/dbraw/zinc/17/93/32/348179332.db2.gz FGMVCVPNZDVWRW-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CC(C)n1cc(Nc2ncc([N+](=O)[O-])s2)cn1 ZINC000226772465 348184749 /nfs/dbraw/zinc/18/47/49/348184749.db2.gz CIQQDSHVAGEXJA-UHFFFAOYSA-N 0 0 253.287 2.572 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])C1(C)C ZINC000227286494 348186127 /nfs/dbraw/zinc/18/61/27/348186127.db2.gz IRDWIDXOKMVAKN-VHSXEESVSA-N 0 0 285.731 2.869 20 5 CFBDRN COc1cc(NCC2CCC(O)CC2)c(F)cc1[N+](=O)[O-] ZINC000227707659 348190373 /nfs/dbraw/zinc/19/03/73/348190373.db2.gz MWXPKNFQRFFSMT-UHFFFAOYSA-N 0 0 298.314 2.706 20 5 CFBDRN COC[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)C(C)C ZINC000227890833 348191902 /nfs/dbraw/zinc/19/19/02/348191902.db2.gz PLHRBNDSAKSOEM-NSHDSACASA-N 0 0 274.267 2.956 20 5 CFBDRN CCOc1cc(N[C@@H]2CCO[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230307376 348205280 /nfs/dbraw/zinc/20/52/80/348205280.db2.gz JXHYJAJMNJWUMP-DOMZBBRYSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1nn(C)c2ncc(NCc3ccccc3[N+](=O)[O-])cc12 ZINC000237857839 348242740 /nfs/dbraw/zinc/24/27/40/348242740.db2.gz CNSFNEHRIZSJSP-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=C(C[C@H]1CCCCO1)OCc1ccc([N+](=O)[O-])cc1 ZINC000087037403 179385146 /nfs/dbraw/zinc/38/51/46/179385146.db2.gz NBOZHYDAOQHJNA-CYBMUJFWSA-N 0 0 279.292 2.597 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)Nc2cc[nH]n2)cc1[N+](=O)[O-] ZINC000264027017 348317344 /nfs/dbraw/zinc/31/73/44/348317344.db2.gz OEFWYWBOILEAKU-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087130672 179396905 /nfs/dbraw/zinc/39/69/05/179396905.db2.gz ZZDQCBVDRIAGRE-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1cnc(N2CC[C@@H](OCCC(C)C)C2)c([N+](=O)[O-])c1 ZINC000267654751 348326995 /nfs/dbraw/zinc/32/69/95/348326995.db2.gz MNPDDUHGLZJYIG-CYBMUJFWSA-N 0 0 293.367 2.940 20 5 CFBDRN CO[C@H]1CCC[C@H]1Sc1ncccc1[N+](=O)[O-] ZINC000273089320 348333413 /nfs/dbraw/zinc/33/34/13/348333413.db2.gz IGDQKUQDBWFAJM-VHSXEESVSA-N 0 0 254.311 2.649 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Sc1ncccc1[N+](=O)[O-] ZINC000273089315 348333461 /nfs/dbraw/zinc/33/34/61/348333461.db2.gz IGDQKUQDBWFAJM-NXEZZACHSA-N 0 0 254.311 2.649 20 5 CFBDRN C[C@@H](O)CNc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000128854264 187394118 /nfs/dbraw/zinc/39/41/18/187394118.db2.gz UGCBRQCDWQLCPV-RXMQYKEDSA-N 0 0 265.096 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCc1cncn1C ZINC000290534245 348352427 /nfs/dbraw/zinc/35/24/27/348352427.db2.gz ADAOOSQYZKTQDZ-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN C[C@H](O)CNc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000128844338 187394426 /nfs/dbraw/zinc/39/44/26/187394426.db2.gz UGCBRQCDWQLCPV-YFKPBYRVSA-N 0 0 265.096 2.694 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@@H]1CCC[C@@H](O)C1 ZINC000291819926 348355253 /nfs/dbraw/zinc/35/52/53/348355253.db2.gz ZFRQLFUBPVDIGI-NXEZZACHSA-N 0 0 268.338 2.633 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@@H]2CF)ccc1[N+](=O)[O-] ZINC000292868050 348359903 /nfs/dbraw/zinc/35/99/03/348359903.db2.gz SVIGEKVXWRYKEG-LLVKDONJSA-N 0 0 266.272 2.736 20 5 CFBDRN CCC(CC)(CNc1cccnc1[N+](=O)[O-])OC ZINC000310777252 348410469 /nfs/dbraw/zinc/41/04/69/348410469.db2.gz DYCNMIULOLTJGM-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN CCOCCNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000310881891 348411035 /nfs/dbraw/zinc/41/10/35/348411035.db2.gz VEQFGFWPQRQEBA-UHFFFAOYSA-N 0 0 260.240 2.981 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)C(C)(C)C ZINC000311054297 348411276 /nfs/dbraw/zinc/41/12/76/348411276.db2.gz IRZIZVDYGXAUSI-MRVPVSSYSA-N 0 0 254.334 2.966 20 5 CFBDRN Nc1ccc(NC2CCCCCC2)nc1[N+](=O)[O-] ZINC000311196541 348411323 /nfs/dbraw/zinc/41/13/23/348411323.db2.gz FWFBCMJCGFBSOF-UHFFFAOYSA-N 0 0 250.302 2.707 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCC1CC1 ZINC000311244342 348412524 /nfs/dbraw/zinc/41/25/24/348412524.db2.gz ZKXMXMPSFZUNCT-UHFFFAOYSA-N 0 0 252.318 2.722 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC1(O)CCCCC1 ZINC000311542529 348413231 /nfs/dbraw/zinc/41/32/31/348413231.db2.gz JATROLXZKBMGEU-UHFFFAOYSA-N 0 0 285.731 2.750 20 5 CFBDRN Cc1ncc(CNc2nc(C)ccc2[N+](=O)[O-])s1 ZINC000128910323 187397759 /nfs/dbraw/zinc/39/77/59/187397759.db2.gz IWQHFOLCXUGCGT-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000311848239 348415382 /nfs/dbraw/zinc/41/53/82/348415382.db2.gz OLXLJRIKBNUNRQ-YUMQZZPRSA-N 0 0 274.267 2.961 20 5 CFBDRN COc1ncccc1CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000312224026 348417050 /nfs/dbraw/zinc/41/70/50/348417050.db2.gz FRVQNOFGVRITCZ-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN C[C@H](COCC(F)(F)F)Nc1ncc([N+](=O)[O-])cc1F ZINC000413084576 233014896 /nfs/dbraw/zinc/01/48/96/233014896.db2.gz LYAFGHHOKQCPIR-ZCFIWIBFSA-N 0 0 297.208 2.508 20 5 CFBDRN CC[C@@](C)(CNc1c(Cl)cncc1[N+](=O)[O-])OC ZINC000322956765 348438451 /nfs/dbraw/zinc/43/84/51/348438451.db2.gz SWLNPKKCAMFRDI-NSHDSACASA-N 0 0 273.720 2.870 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCC[C@@H](CO)CC1 ZINC000339750920 539633737 /nfs/dbraw/zinc/63/37/37/539633737.db2.gz QBLCRWQFGDCPJA-LLVKDONJSA-N 0 0 298.770 2.843 20 5 CFBDRN CN1C[C@H](Nc2ccc(C(F)F)cc2[N+](=O)[O-])CCC1=O ZINC000314509997 348426509 /nfs/dbraw/zinc/42/65/09/348426509.db2.gz JFGRGLZVDLMXIP-SECBINFHSA-N 0 0 299.277 2.565 20 5 CFBDRN COCC1(Cc2nc(-c3ccc([N+](=O)[O-])s3)no2)CC1 ZINC000351360444 348493865 /nfs/dbraw/zinc/49/38/65/348493865.db2.gz DMQQNTPCGADIIB-UHFFFAOYSA-N 0 0 295.320 2.675 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000596822958 349993760 /nfs/dbraw/zinc/99/37/60/349993760.db2.gz IVZDXDHZYAQFLD-QWRGUYRKSA-N 0 0 275.308 2.777 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000596823074 349993819 /nfs/dbraw/zinc/99/38/19/349993819.db2.gz ANIXYMAFBIGIIW-LLVKDONJSA-N 0 0 262.309 2.647 20 5 CFBDRN Nc1ccc(C(=O)N2CCC[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000087784009 179485494 /nfs/dbraw/zinc/48/54/94/179485494.db2.gz PLFWWASCFSJCMO-GWCFXTLKSA-N 0 0 289.335 2.582 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(Cc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000351427355 348495958 /nfs/dbraw/zinc/49/59/58/348495958.db2.gz QSIILALWNSXKRL-GMSGAONNSA-N 0 0 277.255 2.831 20 5 CFBDRN CCc1noc(CC)c1CNc1ncc([N+](=O)[O-])cc1F ZINC000413188473 233031894 /nfs/dbraw/zinc/03/18/94/233031894.db2.gz URDPJIBZSXHBJG-UHFFFAOYSA-N 0 0 294.286 2.854 20 5 CFBDRN C[C@H](CO)Sc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413161668 233027196 /nfs/dbraw/zinc/02/71/96/233027196.db2.gz BWNJLPHUNPAHTC-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000401800780 348578893 /nfs/dbraw/zinc/57/88/93/348578893.db2.gz SZXYLZHJWMMREY-SFYZADRCSA-N 0 0 256.689 2.838 20 5 CFBDRN CCOc1cccc(N[C@@H]2CO[C@H](C)C2)c1[N+](=O)[O-] ZINC000402201765 348581108 /nfs/dbraw/zinc/58/11/08/348581108.db2.gz GZZFCOLILWKECY-ZJUUUORDSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1nnc(Sc2ncc([N+](=O)[O-])cc2F)n1C(C)C ZINC000413252106 233041366 /nfs/dbraw/zinc/04/13/66/233041366.db2.gz RMGAEDQLBRRVQW-UHFFFAOYSA-N 0 0 297.315 2.761 20 5 CFBDRN Cc1noc([C@@H]2CCN(c3cccc(C)c3[N+](=O)[O-])C2)n1 ZINC000584761304 348712639 /nfs/dbraw/zinc/71/26/39/348712639.db2.gz ITUSSBGSDRJJSL-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN C[C@H]1CC[C@H](CNc2nccc3cc([N+](=O)[O-])ccc32)O1 ZINC000413376090 233062652 /nfs/dbraw/zinc/06/26/52/233062652.db2.gz MCMCHOHRYAUKFT-GXFFZTMASA-N 0 0 287.319 2.544 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCC1CC(F)(F)C1 ZINC000413341163 233056445 /nfs/dbraw/zinc/05/64/45/233056445.db2.gz SQFRDMLMFXPWFC-UHFFFAOYSA-N 0 0 288.298 2.967 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2ccc(C)cn2)c1 ZINC000413350654 233057302 /nfs/dbraw/zinc/05/73/02/233057302.db2.gz XINVTHIDQYOJNM-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CC(=O)c1cc(N2C[C@H](C)O[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000588027461 348767459 /nfs/dbraw/zinc/76/74/59/348767459.db2.gz CSMIVDLIFVXMLI-JBLDHEPKSA-N 0 0 292.335 2.800 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](C(F)F)C2)c(Cl)c1 ZINC000588040549 348768037 /nfs/dbraw/zinc/76/80/37/348768037.db2.gz CPMBSVILBHCBGK-ZCFIWIBFSA-N 0 0 277.658 2.735 20 5 CFBDRN C[C@H]1C[C@@H]([NH+]2CCCC2)CN1c1sccc1[N+](=O)[O-] ZINC000588071903 348770423 /nfs/dbraw/zinc/77/04/23/348770423.db2.gz RMXUEKCQIDXWEK-WDEREUQCSA-N 0 0 281.381 2.719 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1ccsc1[N+](=O)[O-] ZINC000588074550 348770501 /nfs/dbraw/zinc/77/05/01/348770501.db2.gz ARHIRBZWGJNIAA-DTWKUNHWSA-N 0 0 256.327 2.741 20 5 CFBDRN C[C@H]1CO[C@H](C(F)(F)F)CN1c1ccccc1[N+](=O)[O-] ZINC000588068589 348770662 /nfs/dbraw/zinc/77/06/62/348770662.db2.gz WFLHWEATTCCQKY-KWQFWETISA-N 0 0 290.241 2.751 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000588179908 348778315 /nfs/dbraw/zinc/77/83/15/348778315.db2.gz CIXPOBIXJZYRIQ-SECBINFHSA-N 0 0 290.291 2.882 20 5 CFBDRN O=C(N[C@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])s1 ZINC000588180192 348778441 /nfs/dbraw/zinc/77/84/41/348778441.db2.gz MEPHLDURVJYALL-ZETCQYMHSA-N 0 0 276.264 2.574 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000588226790 348780909 /nfs/dbraw/zinc/78/09/09/348780909.db2.gz NQNZZDLIXPCUMB-MFKMUULPSA-N 0 0 275.308 2.919 20 5 CFBDRN COc1cc(NCCCOC(C)C)c(F)cc1[N+](=O)[O-] ZINC000271057997 190928508 /nfs/dbraw/zinc/92/85/08/190928508.db2.gz BGWGSINQHNEMAF-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](C)[C@H](C)C1 ZINC000588823395 348796955 /nfs/dbraw/zinc/79/69/55/348796955.db2.gz SQAUAXXYPCOYAB-NXEZZACHSA-N 0 0 266.345 2.602 20 5 CFBDRN CCO[C@H]1CCCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000588814642 348797092 /nfs/dbraw/zinc/79/70/92/348797092.db2.gz UFPYOWOFMRQGEF-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)C(C)(C)c1ccccc1 ZINC000586834543 348757610 /nfs/dbraw/zinc/75/76/10/348757610.db2.gz WSIGAAUAULQGHM-UHFFFAOYSA-N 0 0 287.319 2.930 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@H]2C[C@H]21 ZINC000586890716 348759947 /nfs/dbraw/zinc/75/99/47/348759947.db2.gz MQUWRCKUIDNLSS-QPUJVOFHSA-N 0 0 280.711 2.873 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@H]2C[C@H]21)c1cccc([N+](=O)[O-])c1 ZINC000586890628 348759972 /nfs/dbraw/zinc/75/99/72/348759972.db2.gz LYTLYRLUOREDLP-VHRBIJSZSA-N 0 0 274.320 2.709 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000587881902 348763320 /nfs/dbraw/zinc/76/33/20/348763320.db2.gz IMCDQCHTDMKKMK-LDYMZIIASA-N 0 0 293.323 2.685 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000588003605 348765382 /nfs/dbraw/zinc/76/53/82/348765382.db2.gz YXCFARAICYEYDR-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN Nc1cc(NCC23CCC(CC2)C3)cc(CO)c1[N+](=O)[O-] ZINC000588007553 348766082 /nfs/dbraw/zinc/76/60/82/348766082.db2.gz DMTRFVKTJCIJAA-UHFFFAOYSA-N 0 0 291.351 2.662 20 5 CFBDRN C[C@H]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)C[C@H]1F ZINC000588012979 348766117 /nfs/dbraw/zinc/76/61/17/348766117.db2.gz HQZLQJARZSKLKC-IONNQARKSA-N 0 0 296.327 2.784 20 5 CFBDRN COCC[C@H](C)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000413490615 233082575 /nfs/dbraw/zinc/08/25/75/233082575.db2.gz JAQNRUHMZHDHIP-JTQLQIEISA-N 0 0 252.314 2.988 20 5 CFBDRN CN(Cc1ccco1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000589104166 348810812 /nfs/dbraw/zinc/81/08/12/348810812.db2.gz VOACAZRPIFLGIW-UHFFFAOYSA-N 0 0 267.672 2.873 20 5 CFBDRN COCC[C@@H](C)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000413490125 233082734 /nfs/dbraw/zinc/08/27/34/233082734.db2.gz ICJGFZFADMHDPV-SNVBAGLBSA-N 0 0 279.340 2.647 20 5 CFBDRN CN(Cc1ccccn1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000589109552 348810998 /nfs/dbraw/zinc/81/09/98/348810998.db2.gz FZYLBUDWFKAYPH-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN COCC[C@@H](C)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000413489005 233082975 /nfs/dbraw/zinc/08/29/75/233082975.db2.gz GLFKCPQBEMUWMM-SNVBAGLBSA-N 0 0 280.324 2.882 20 5 CFBDRN CCCN(CCO)c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000589114360 348811634 /nfs/dbraw/zinc/81/16/34/348811634.db2.gz YBLZKVWAHUIAOH-UHFFFAOYSA-N 0 0 292.257 2.822 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3nccs3)n2)s1 ZINC000589147261 348813022 /nfs/dbraw/zinc/81/30/22/348813022.db2.gz DNBGELQLLFZZRQ-UHFFFAOYSA-N 0 0 280.290 2.830 20 5 CFBDRN CO[C@@](C)([C@H](C)Nc1ncc(C)cc1[N+](=O)[O-])C1CC1 ZINC000413510540 233086702 /nfs/dbraw/zinc/08/67/02/233086702.db2.gz FMPXVBAOSBMAKD-HZMBPMFUSA-N 0 0 279.340 2.914 20 5 CFBDRN O=c1ccn(-c2ccccc2)n1Cc1ccc([N+](=O)[O-])cc1 ZINC000589267942 348823593 /nfs/dbraw/zinc/82/35/93/348823593.db2.gz RQVMPVVHCVITDO-UHFFFAOYSA-N 0 0 295.298 2.596 20 5 CFBDRN COCC1CCC(Nc2ncc([N+](=O)[O-])cc2F)CC1 ZINC000413527565 233089643 /nfs/dbraw/zinc/08/96/43/233089643.db2.gz BIANHKUIEAPTMJ-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN O=C(N1CCc2c(cccc2[N+](=O)[O-])C1)C1(CCF)CC1 ZINC000589455761 348833931 /nfs/dbraw/zinc/83/39/31/348833931.db2.gz PGEABASZTZZWJM-UHFFFAOYSA-N 0 0 292.310 2.619 20 5 CFBDRN COc1cccc(N2CC[C@@H](C(F)F)C2)c1[N+](=O)[O-] ZINC000589600164 348842077 /nfs/dbraw/zinc/84/20/77/348842077.db2.gz RVASSUCTYCLFJH-MRVPVSSYSA-N 0 0 272.251 2.695 20 5 CFBDRN Cc1cc(NCc2ccc(CC(N)=O)cc2)ccc1[N+](=O)[O-] ZINC000589601741 348842181 /nfs/dbraw/zinc/84/21/81/348842181.db2.gz VRLRGZVSMKOVAR-UHFFFAOYSA-N 0 0 299.330 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](n3cc(Cl)cn3)C2)s1 ZINC000589608916 348842658 /nfs/dbraw/zinc/84/26/58/348842658.db2.gz MOMHKYHQNBWVHN-VIFPVBQESA-N 0 0 298.755 2.958 20 5 CFBDRN COCC1(CNc2cccnc2[N+](=O)[O-])CCCCC1 ZINC000589608654 348842682 /nfs/dbraw/zinc/84/26/82/348842682.db2.gz YXMBPODXAUWKFA-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ncc(C)cc2[N+](=O)[O-])C12CCC2 ZINC000413458203 233076683 /nfs/dbraw/zinc/07/66/83/233076683.db2.gz ZMMFKYDXQGTDPM-RYUDHWBXSA-N 0 0 277.324 2.668 20 5 CFBDRN Cn1cc(CSCCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000588922554 348803177 /nfs/dbraw/zinc/80/31/77/348803177.db2.gz GVQCRWVLTQTALZ-UHFFFAOYSA-N 0 0 277.349 2.804 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2cc(C)c([N+](=O)[O-])cc2F)o1 ZINC000589689706 348850998 /nfs/dbraw/zinc/85/09/98/348850998.db2.gz OIPHRYCIZMHBTJ-SSDOTTSWSA-N 0 0 280.259 2.907 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC(C2CC2)C1 ZINC000589724268 348853905 /nfs/dbraw/zinc/85/39/05/348853905.db2.gz ABVANSSHGLVUFH-UHFFFAOYSA-N 0 0 286.287 2.823 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC(C3CC3)CC2)nc2sccn21 ZINC000589872955 348862608 /nfs/dbraw/zinc/86/26/08/348862608.db2.gz IAWSKFZGLNOBOP-UHFFFAOYSA-N 0 0 292.364 2.930 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1ccsc1[N+](=O)[O-] ZINC000590062798 348872165 /nfs/dbraw/zinc/87/21/65/348872165.db2.gz GGIIZGGZYKTPNH-VIFPVBQESA-N 0 0 285.369 2.621 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000590149634 348879450 /nfs/dbraw/zinc/87/94/50/348879450.db2.gz BPAWJTKDXOORNV-LSDHHAIUSA-N 0 0 292.310 2.882 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nnc(C2CC2)s1 ZINC000413581549 233098573 /nfs/dbraw/zinc/09/85/73/233098573.db2.gz NIEOQXKYXNBAMK-UHFFFAOYSA-N 0 0 276.321 2.936 20 5 CFBDRN CO[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@H]1C ZINC000590717592 348934813 /nfs/dbraw/zinc/93/48/13/348934813.db2.gz JSYHCYSDNDZQAK-PSASIEDQSA-N 0 0 256.327 2.518 20 5 CFBDRN C[C@@H]1[C@H](O)CCN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000590726400 348937875 /nfs/dbraw/zinc/93/78/75/348937875.db2.gz OSLZIWBAMOKYMP-ZWNOBZJWSA-N 0 0 294.351 2.732 20 5 CFBDRN CO[C@@H]1C[C@@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C12CCC2 ZINC000590726239 348937986 /nfs/dbraw/zinc/93/79/86/348937986.db2.gz NAKZAZDRLSLDQP-CHWSQXEVSA-N 0 0 291.351 2.692 20 5 CFBDRN CC1(C)C[C@H](O)CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000590726375 348938026 /nfs/dbraw/zinc/93/80/26/348938026.db2.gz SMEAAZXHMXUBCA-SECBINFHSA-N 0 0 270.354 2.644 20 5 CFBDRN C[C@]12CN(c3ncccc3[N+](=O)[O-])C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000590737392 348939362 /nfs/dbraw/zinc/93/93/62/348939362.db2.gz NSPRJOIJXRTPRW-OXJKWZBOSA-N 0 0 273.336 2.862 20 5 CFBDRN COC[C@H]1CCCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000590738318 348939508 /nfs/dbraw/zinc/93/95/08/348939508.db2.gz WOKAIBCMTSHPAZ-JTQLQIEISA-N 0 0 270.354 2.909 20 5 CFBDRN Cc1cccc(CN(C)c2ccsc2[N+](=O)[O-])n1 ZINC000590737917 348939565 /nfs/dbraw/zinc/93/95/65/348939565.db2.gz QWJDRNUVZRMWTE-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC(C2CC2)CC1 ZINC000590428098 348900635 /nfs/dbraw/zinc/90/06/35/348900635.db2.gz MVGKDROFYJSKSI-UHFFFAOYSA-N 0 0 280.349 2.919 20 5 CFBDRN CCc1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)n[nH]1 ZINC000590549202 348908598 /nfs/dbraw/zinc/90/85/98/348908598.db2.gz CJWLVQUVQUCSBL-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN CN(CC(C)(C)O)c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000590584958 348911702 /nfs/dbraw/zinc/91/17/02/348911702.db2.gz KNMDAGOKFNZCJC-UHFFFAOYSA-N 0 0 292.257 2.821 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC[C@@H]2C(F)(F)F)c1 ZINC000590600145 348912733 /nfs/dbraw/zinc/91/27/33/348912733.db2.gz LEMLUCRQBVBONV-SNVBAGLBSA-N 0 0 260.215 2.731 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC[C@@H]1C(F)(F)F ZINC000590599543 348912777 /nfs/dbraw/zinc/91/27/77/348912777.db2.gz IKXZBQADRGJBPK-SNVBAGLBSA-N 0 0 260.215 2.731 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN1CC[C@H]1C(F)(F)F ZINC000590600352 348913533 /nfs/dbraw/zinc/91/35/33/348913533.db2.gz OFARPXNTRLMIGL-NSHDSACASA-N 0 0 290.241 2.610 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1ccc([N+](=O)[O-])c(C)c1)CC2 ZINC000590610651 348915722 /nfs/dbraw/zinc/91/57/22/348915722.db2.gz RNXAHJDYHPZRLI-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN CCC[C@H](C)NC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000590619747 348915812 /nfs/dbraw/zinc/91/58/12/348915812.db2.gz MMQBOMUDBWUTOF-NSHDSACASA-N 0 0 292.335 2.863 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@]2(CCOC2)C1 ZINC000590693424 348929420 /nfs/dbraw/zinc/92/94/20/348929420.db2.gz ZZUAPJBCDXXNFZ-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1cccc([C@H]2CCCN2c2ncc([N+](=O)[O-])c(C)n2)n1 ZINC000590694122 348929449 /nfs/dbraw/zinc/92/94/49/348929449.db2.gz XHYWVLOPVMPEQD-CYBMUJFWSA-N 0 0 299.334 2.738 20 5 CFBDRN Cc1cccc([C@@H]2CCCN2c2ncc([N+](=O)[O-])c(C)n2)n1 ZINC000590694123 348929785 /nfs/dbraw/zinc/92/97/85/348929785.db2.gz XHYWVLOPVMPEQD-ZDUSSCGKSA-N 0 0 299.334 2.738 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(CC(F)(F)F)C2)cc1 ZINC000590969240 348974809 /nfs/dbraw/zinc/97/48/09/348974809.db2.gz ZLJQQNVHWBGDDU-UHFFFAOYSA-N 0 0 274.242 2.979 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC(C)(C)COC(C)C ZINC000414000754 233150470 /nfs/dbraw/zinc/15/04/70/233150470.db2.gz OFTODPNPHAIWGT-UHFFFAOYSA-N 0 0 294.351 2.837 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC[C@H](C2CCC2)C1 ZINC000591238170 349002005 /nfs/dbraw/zinc/00/20/05/349002005.db2.gz XEOWDFYWIUUIPG-AWEZNQCLSA-N 0 0 288.347 2.786 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C2CCC2)C1 ZINC000591126688 348990360 /nfs/dbraw/zinc/99/03/60/348990360.db2.gz KIANNOLLWNIXJT-GFCCVEGCSA-N 0 0 289.335 2.560 20 5 CFBDRN CCCO[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000591146233 348992701 /nfs/dbraw/zinc/99/27/01/348992701.db2.gz NYLGNVFUXDKDOH-LBPRGKRZSA-N 0 0 297.330 2.914 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])s1)c1ccn(C)n1 ZINC000591182279 348997872 /nfs/dbraw/zinc/99/78/72/348997872.db2.gz DRZSUKMMDDJAIV-ZETCQYMHSA-N 0 0 252.299 2.563 20 5 CFBDRN C[C@H]1CCC[C@@H](c2noc(Cn3ccc([N+](=O)[O-])n3)n2)C1 ZINC000273956526 192378966 /nfs/dbraw/zinc/37/89/66/192378966.db2.gz OPSFMWFRUJOTAC-VHSXEESVSA-N 0 0 291.311 2.516 20 5 CFBDRN CC1(C2(NC(=O)Cc3cccc([N+](=O)[O-])c3)CC2)CC1 ZINC000590884450 348962015 /nfs/dbraw/zinc/96/20/15/348962015.db2.gz XQZHBGFCNXFIHY-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN C[C@]12CN(C(=O)c3ccc([N+](=O)[O-])o3)C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000590888226 348962609 /nfs/dbraw/zinc/96/26/09/348962609.db2.gz DSKOIETVZHZEQB-DZHLUBAWSA-N 0 0 290.319 2.696 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])o1)CC(F)(F)F ZINC000413979824 233145893 /nfs/dbraw/zinc/14/58/93/233145893.db2.gz JBCQHKAFRLWNEJ-LURJTMIESA-N 0 0 280.202 2.506 20 5 CFBDRN C[C@@H]1C[C@@H]1N(C(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000591310386 349006745 /nfs/dbraw/zinc/00/67/45/349006745.db2.gz JGUXTQQNQBPUKA-RISCZKNCSA-N 0 0 299.330 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H](O)CC23CCC3)c(F)c1 ZINC000591480126 349026948 /nfs/dbraw/zinc/02/69/48/349026948.db2.gz QWBWQPBSVRBWFK-CYBMUJFWSA-N 0 0 294.326 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C\[C@H]3CCCO3)n2)s1 ZINC000591664619 349037704 /nfs/dbraw/zinc/03/77/04/349037704.db2.gz LSFBDQWWQBJFDO-QIUOEGRZSA-N 0 0 293.304 2.899 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1nccc(C)c1[N+](=O)[O-] ZINC000591979924 349068569 /nfs/dbraw/zinc/06/85/69/349068569.db2.gz AOCXNYBYDNZCPX-GXSJLCMTSA-N 0 0 267.329 2.507 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000591980707 349069133 /nfs/dbraw/zinc/06/91/33/349069133.db2.gz GRTPHRUKBQIPLO-SKDRFNHKSA-N 0 0 281.356 2.816 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000591981066 349069222 /nfs/dbraw/zinc/06/92/22/349069222.db2.gz KVDHSVINASTRKK-RKDXNWHRSA-N 0 0 298.368 2.513 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000591982520 349069715 /nfs/dbraw/zinc/06/97/15/349069715.db2.gz PTJDMNWRZSDUQV-ONGXEEELSA-N 0 0 267.329 2.507 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1cc(OC)ccc1[N+](=O)[O-] ZINC000591983676 349069753 /nfs/dbraw/zinc/06/97/53/349069753.db2.gz WRLKWNFFSNJQAY-GHMZBOCLSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000591982540 349069794 /nfs/dbraw/zinc/06/97/94/349069794.db2.gz QPUWEXGJANTCPI-KOLCDFICSA-N 0 0 267.329 2.507 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2OC(F)F)CO1 ZINC000591994470 349071857 /nfs/dbraw/zinc/07/18/57/349071857.db2.gz OUTOBNVXJKPUPG-HTQZYQBOSA-N 0 0 288.250 2.786 20 5 CFBDRN C[C@H]1CC2(CN1c1ncc([N+](=O)[O-])cc1F)CCOCC2 ZINC000591992652 349071904 /nfs/dbraw/zinc/07/19/04/349071904.db2.gz RAJOIDODTBRQKC-JTQLQIEISA-N 0 0 295.314 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1C[C@@H](C)OC2(CCCC2)C1 ZINC000591998050 349072552 /nfs/dbraw/zinc/07/25/52/349072552.db2.gz GOXKJGOWIGEXDR-GFCCVEGCSA-N 0 0 291.351 2.836 20 5 CFBDRN C[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000591995056 349072570 /nfs/dbraw/zinc/07/25/70/349072570.db2.gz NEDJHBJLBJOPMQ-VIFPVBQESA-N 0 0 297.742 2.791 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1[C@@H]1C=CCCC1 ZINC000592349351 349106845 /nfs/dbraw/zinc/10/68/45/349106845.db2.gz VTEUUMRFRIRXDP-SECBINFHSA-N 0 0 260.249 2.784 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCS[C@H]2C)n1 ZINC000592003306 349074190 /nfs/dbraw/zinc/07/41/90/349074190.db2.gz MMCHFYIEWHUCOD-UWVGGRQHSA-N 0 0 267.354 2.913 20 5 CFBDRN C[C@@H]1SCC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000592120752 349085808 /nfs/dbraw/zinc/08/58/08/349085808.db2.gz AFFGLUCDAGPPND-KWQFWETISA-N 0 0 281.337 2.610 20 5 CFBDRN C[C@H]1SCC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000592123010 349087173 /nfs/dbraw/zinc/08/71/73/349087173.db2.gz OWYCZHJYIULVMA-LDYMZIIASA-N 0 0 281.337 2.610 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CCS[C@@H]1C ZINC000592248895 349103023 /nfs/dbraw/zinc/10/30/23/349103023.db2.gz DUQAXUABSATRLF-BXKDBHETSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1cc(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)[nH]n1 ZINC000591913446 349058752 /nfs/dbraw/zinc/05/87/52/349058752.db2.gz NMYBBBBNKWTRBT-UHFFFAOYSA-N 0 0 287.323 2.512 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCC[C@H]3C[C@H]31)CCCC2 ZINC000591941242 349063136 /nfs/dbraw/zinc/06/31/36/349063136.db2.gz BGZNLQWCOSNYTH-WCQYABFASA-N 0 0 273.336 2.857 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](c2cccnc2)C1 ZINC000591963587 349066125 /nfs/dbraw/zinc/06/61/25/349066125.db2.gz TYVLMQNFCIKOKZ-ZDUSSCGKSA-N 0 0 269.304 2.984 20 5 CFBDRN CC[C@@H](CNc1cc(C)ccc1[N+](=O)[O-])C(=O)OC ZINC000591973606 349067808 /nfs/dbraw/zinc/06/78/08/349067808.db2.gz ITHLHPBMENRDQB-JTQLQIEISA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000592666803 349147852 /nfs/dbraw/zinc/14/78/52/349147852.db2.gz VAXKXTALYITYKV-NEPJUHHUSA-N 0 0 280.299 2.914 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])s1)CC(F)F ZINC000592670748 349148568 /nfs/dbraw/zinc/14/85/68/349148568.db2.gz ACGCEBLDVRNSCH-LURJTMIESA-N 0 0 278.280 2.677 20 5 CFBDRN C[C@@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)CC(F)F ZINC000592671208 349148700 /nfs/dbraw/zinc/14/87/00/349148700.db2.gz FKBGNBKTUOEMMC-SSDOTTSWSA-N 0 0 290.241 2.755 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)CC(F)F ZINC000592671519 349148717 /nfs/dbraw/zinc/14/87/17/349148717.db2.gz HHCLLWCJJMQMOL-QMMMGPOBSA-N 0 0 286.278 2.924 20 5 CFBDRN C[C@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])CC(F)F ZINC000592673761 349150096 /nfs/dbraw/zinc/15/00/96/349150096.db2.gz XUDBUPCPOYFLEO-ZETCQYMHSA-N 0 0 290.241 2.755 20 5 CFBDRN Cc1c(NCc2nc(C3CC3)nn2C)cccc1[N+](=O)[O-] ZINC000592446489 349120853 /nfs/dbraw/zinc/12/08/53/349120853.db2.gz AFGSPMSPWBTEKG-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000592902372 349176879 /nfs/dbraw/zinc/17/68/79/349176879.db2.gz FDRNLALKMNLGKF-GARJFASQSA-N 0 0 299.330 2.995 20 5 CFBDRN COCCc1ccc(-c2cc(CO)cc([N+](=O)[O-])c2)cc1 ZINC000592985518 349181287 /nfs/dbraw/zinc/18/12/87/349181287.db2.gz ZKCWIVPLIFYXPJ-UHFFFAOYSA-N 0 0 287.315 2.943 20 5 CFBDRN C[C@H]1[C@H](c2ccccc2)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000274001170 192397768 /nfs/dbraw/zinc/39/77/68/192397768.db2.gz SXMPBOYMJTVMAI-SMDDNHRTSA-N 0 0 284.319 2.767 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593027186 349189977 /nfs/dbraw/zinc/18/99/77/349189977.db2.gz PWBCPKFRMBVBGX-UHFFFAOYSA-N 0 0 294.326 2.923 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000592696411 349153152 /nfs/dbraw/zinc/15/31/52/349153152.db2.gz ALGRYWXCFBDDEQ-BJHJDKERSA-N 0 0 292.335 2.671 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000592736716 349159738 /nfs/dbraw/zinc/15/97/38/349159738.db2.gz SRCSTOHMQCMKRP-MELADBBJSA-N 0 0 288.347 2.751 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCS[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000592736967 349160495 /nfs/dbraw/zinc/16/04/95/349160495.db2.gz SMMQKZKFRHWXDV-SKDRFNHKSA-N 0 0 280.349 2.527 20 5 CFBDRN CCN(CCSC)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000592740344 349162280 /nfs/dbraw/zinc/16/22/80/349162280.db2.gz AAUJZKQJVNIVJC-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593106146 349210605 /nfs/dbraw/zinc/21/06/05/349210605.db2.gz YFICCBORHWTFPY-JTQLQIEISA-N 0 0 282.315 2.827 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593147437 349220270 /nfs/dbraw/zinc/22/02/70/349220270.db2.gz VOFRHBMTBYGKSK-GHMZBOCLSA-N 0 0 294.326 2.829 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593172521 349223168 /nfs/dbraw/zinc/22/31/68/349223168.db2.gz YLCVFAHSIHSZOP-ZFWWWQNUSA-N 0 0 294.326 2.827 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CCCC[C@H]1F ZINC000593173698 349223381 /nfs/dbraw/zinc/22/33/81/349223381.db2.gz WMHLDVLKEVXESZ-VXGBXAGGSA-N 0 0 298.289 2.673 20 5 CFBDRN CC(C)O[C@@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000593242077 349234807 /nfs/dbraw/zinc/23/48/07/349234807.db2.gz UZETXVPMAZDSQS-LLVKDONJSA-N 0 0 298.364 2.686 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000593250785 349235827 /nfs/dbraw/zinc/23/58/27/349235827.db2.gz DCYPBWROHZPRNG-QWHCGFSZSA-N 0 0 299.330 2.528 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593047684 349193115 /nfs/dbraw/zinc/19/31/15/349193115.db2.gz CCBWQMGNSVMHLE-JOYOIKCWSA-N 0 0 280.299 2.581 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)C2(CCC2)CO1 ZINC000593090609 349205628 /nfs/dbraw/zinc/20/56/28/349205628.db2.gz BBDAUGOAXBNNTH-SNVBAGLBSA-N 0 0 282.365 2.800 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCC1(CO)CCC1 ZINC000593512467 349287983 /nfs/dbraw/zinc/28/79/83/349287983.db2.gz OTBXIWNNILZIEA-UHFFFAOYSA-N 0 0 256.327 2.621 20 5 CFBDRN CCc1nn(C)c(NC2CC3(CCC3)C2)c1[N+](=O)[O-] ZINC000593515241 349288746 /nfs/dbraw/zinc/28/87/46/349288746.db2.gz DDMOXRKVAOPUBT-UHFFFAOYSA-N 0 0 264.329 2.635 20 5 CFBDRN COC(=O)c1cnc(NC2CC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000593515165 349288801 /nfs/dbraw/zinc/28/88/01/349288801.db2.gz BUXFJKSOSNWGJS-UHFFFAOYSA-N 0 0 291.307 2.521 20 5 CFBDRN COc1cc(N2CC[C@@]3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000593521733 349290270 /nfs/dbraw/zinc/29/02/70/349290270.db2.gz PLOYSZVMVJUCTE-GFCCVEGCSA-N 0 0 284.262 2.839 20 5 CFBDRN CCCC(C)(C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000593557390 349292986 /nfs/dbraw/zinc/29/29/86/349292986.db2.gz DEBBIIMPCYVSQF-UHFFFAOYSA-N 0 0 290.323 2.658 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)[C@@H](C)CO1 ZINC000593283809 349241500 /nfs/dbraw/zinc/24/15/00/349241500.db2.gz QJKYIXSHZANHFC-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)[C@@H](C)CO1 ZINC000593285011 349241688 /nfs/dbraw/zinc/24/16/88/349241688.db2.gz XSYRWJSNMSOHHQ-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c(C)s1)[C@@H]1CCCOC1 ZINC000593296798 349243157 /nfs/dbraw/zinc/24/31/57/349243157.db2.gz UEWOQEIKJLABJI-SNVBAGLBSA-N 0 0 298.364 2.606 20 5 CFBDRN CC[C@H](OCCn1nccc1[N+](=O)[O-])c1ccccc1 ZINC000593423637 349266427 /nfs/dbraw/zinc/26/64/27/349266427.db2.gz GNQJUODDSHMWOK-ZDUSSCGKSA-N 0 0 275.308 2.959 20 5 CFBDRN CCOCCCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593459477 349275477 /nfs/dbraw/zinc/27/54/77/349275477.db2.gz PWNACIBLMCRPJR-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN C[C@H]1CCN(c2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000593462014 349275564 /nfs/dbraw/zinc/27/55/64/349275564.db2.gz QICISKRLWUZOEX-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593462373 349275998 /nfs/dbraw/zinc/27/59/98/349275998.db2.gz UTERLBFSXWVBLK-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593467366 349276806 /nfs/dbraw/zinc/27/68/06/349276806.db2.gz LMQYMEOIFWORDD-ZJUUUORDSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@H](F)C1 ZINC000593479180 349278647 /nfs/dbraw/zinc/27/86/47/349278647.db2.gz DQLJIDUVTGNXDP-VIFPVBQESA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@]1(CO)CCc2ccccc21 ZINC000593483586 349280561 /nfs/dbraw/zinc/28/05/61/349280561.db2.gz FGMUJJAZZUVLTE-QGZVFWFLSA-N 0 0 298.342 2.883 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCCC(F)(F)C1 ZINC000593486840 349281620 /nfs/dbraw/zinc/28/16/20/349281620.db2.gz GRJOACZSNJFSCO-UHFFFAOYSA-N 0 0 260.215 2.969 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1c1ccc([N+](=O)[O-])c(C)n1 ZINC000593492484 349284054 /nfs/dbraw/zinc/28/40/54/349284054.db2.gz CKCTVIXKBTYPRD-LLVKDONJSA-N 0 0 279.340 2.692 20 5 CFBDRN COC(=O)[C@@H](CNc1ccsc1[N+](=O)[O-])CC1CC1 ZINC000593497098 349284922 /nfs/dbraw/zinc/28/49/22/349284922.db2.gz NSFFSPZOGUSXJD-SECBINFHSA-N 0 0 284.337 2.658 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC2(CC2(F)F)CC1 ZINC000593498823 349285343 /nfs/dbraw/zinc/28/53/43/349285343.db2.gz RWQDDUVYRCTLLX-UHFFFAOYSA-N 0 0 269.251 2.615 20 5 CFBDRN C[C@H](CO)C1CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000593505638 349286320 /nfs/dbraw/zinc/28/63/20/349286320.db2.gz MHPUHEWMRCGBOU-SECBINFHSA-N 0 0 270.354 2.501 20 5 CFBDRN C[C@H](COCC1CC1)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000593507405 349286614 /nfs/dbraw/zinc/28/66/14/349286614.db2.gz DZBAKYOYQIFABA-MRVPVSSYSA-N 0 0 285.731 2.870 20 5 CFBDRN C[C@@H](COCC1CC1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000593507582 349286661 /nfs/dbraw/zinc/28/66/61/349286661.db2.gz IDFUERQTMYRHIA-QMMMGPOBSA-N 0 0 296.352 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CCF)cc1C(F)(F)F ZINC000593508402 349287095 /nfs/dbraw/zinc/28/70/95/349287095.db2.gz DRMVJYYYLPUPTL-MRVPVSSYSA-N 0 0 296.220 2.746 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)COCC2CC2)n1 ZINC000593507943 349287214 /nfs/dbraw/zinc/28/72/14/349287214.db2.gz KYHFORHOSWICBA-LLVKDONJSA-N 0 0 279.340 2.834 20 5 CFBDRN O=C(NC1CC2(CCC2)C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000593885547 349332766 /nfs/dbraw/zinc/33/27/66/349332766.db2.gz RSKACIXEBWIXQV-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1c(C(=O)NC2CC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000593884791 349332802 /nfs/dbraw/zinc/33/28/02/349332802.db2.gz KHNCFJFXYJAMKX-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CCCC[N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593732288 349316342 /nfs/dbraw/zinc/31/63/42/349316342.db2.gz KJHBTXVNDNELOW-UHFFFAOYSA-N 0 0 266.297 2.525 20 5 CFBDRN C[C@@H]1C[C@H](C)C[N@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593738086 349317461 /nfs/dbraw/zinc/31/74/61/349317461.db2.gz MNJLAIZHKZQVAF-VHSXEESVSA-N 0 0 278.308 2.523 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593739282 349317823 /nfs/dbraw/zinc/31/78/23/349317823.db2.gz XUOAVFICKPMGFO-GHMZBOCLSA-N 0 0 292.335 2.913 20 5 CFBDRN Cc1c(C[N@H+](CCC(=O)[O-])CC2CC2)cccc1[N+](=O)[O-] ZINC000593744441 349318898 /nfs/dbraw/zinc/31/88/98/349318898.db2.gz PZCGANDUXFBPKK-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN O=C([O-])C[N@H+](Cc1ccc([N+](=O)[O-])cc1)C1CCCCC1 ZINC000593746100 349319731 /nfs/dbraw/zinc/31/97/31/349319731.db2.gz JKFPSCXPVYTEMZ-UHFFFAOYSA-N 0 0 292.335 2.814 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)N1CCC12CCCC2 ZINC000593758590 349321647 /nfs/dbraw/zinc/32/16/47/349321647.db2.gz XBYOXKOTXGBDPC-UHFFFAOYSA-N 0 0 290.319 2.519 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC1(C)CC=CC1 ZINC000594056997 349366265 /nfs/dbraw/zinc/36/62/65/349366265.db2.gz XJDZTVDWGDBDFP-UHFFFAOYSA-N 0 0 291.307 2.834 20 5 CFBDRN CC1(NC(=O)Nc2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000594095260 349376312 /nfs/dbraw/zinc/37/63/12/349376312.db2.gz USVVDFZUQDXARS-UHFFFAOYSA-N 0 0 261.281 2.825 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)Cc2ccccn2)cccc1[N+](=O)[O-] ZINC000594145565 349386416 /nfs/dbraw/zinc/38/64/16/349386416.db2.gz XCIBSOIYLOXPDI-NSHDSACASA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1nn(CCc2noc3ccccc32)c(C)c1[N+](=O)[O-] ZINC000594442823 349423717 /nfs/dbraw/zinc/42/37/17/349423717.db2.gz WRDYSVZDSWQBHZ-UHFFFAOYSA-N 0 0 286.291 2.792 20 5 CFBDRN Cc1c(CN[C@H]2CCCn3ccnc32)cccc1[N+](=O)[O-] ZINC000594501351 349431792 /nfs/dbraw/zinc/43/17/92/349431792.db2.gz UNSDIHHXWAUBDB-ZDUSSCGKSA-N 0 0 286.335 2.724 20 5 CFBDRN Cc1c(CN[C@@H]2CCCn3ccnc32)cccc1[N+](=O)[O-] ZINC000594501346 349432253 /nfs/dbraw/zinc/43/22/53/349432253.db2.gz UNSDIHHXWAUBDB-CYBMUJFWSA-N 0 0 286.335 2.724 20 5 CFBDRN Nc1c(NC(=O)NCCC2CC2)cc(Cl)cc1[N+](=O)[O-] ZINC000597099892 350029989 /nfs/dbraw/zinc/02/99/89/350029989.db2.gz GSMFXIGUESSIDF-UHFFFAOYSA-N 0 0 298.730 2.752 20 5 CFBDRN CC1CC(NC(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2N)C1 ZINC000597103961 350030101 /nfs/dbraw/zinc/03/01/01/350030101.db2.gz NUMLWYZRFYTVGG-UHFFFAOYSA-N 0 0 298.730 2.750 20 5 CFBDRN O=C([C@H]1C[C@H]2C[C@H]2C1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000597272497 350077750 /nfs/dbraw/zinc/07/77/50/350077750.db2.gz FDEMHFISDABFNL-URLYPYJESA-N 0 0 272.304 2.530 20 5 CFBDRN CCOC1(C(=O)Nc2ccc(OC)c([N+](=O)[O-])c2)CCC1 ZINC000597492143 350104193 /nfs/dbraw/zinc/10/41/93/350104193.db2.gz NZHJZDUDSJUNKA-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN CC(C)(NC(=O)CCCF)c1ccc([N+](=O)[O-])cc1 ZINC000597361471 350089433 /nfs/dbraw/zinc/08/94/33/350089433.db2.gz REYJMKWRKDPXRK-UHFFFAOYSA-N 0 0 268.288 2.696 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000597445822 350098690 /nfs/dbraw/zinc/09/86/90/350098690.db2.gz YPVZSZUXFZCZJP-VIFPVBQESA-N 0 0 264.281 2.675 20 5 CFBDRN CC(=O)[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000597708291 350126733 /nfs/dbraw/zinc/12/67/33/350126733.db2.gz XNSAPISEPKPWBM-SNVBAGLBSA-N 0 0 296.348 2.548 20 5 CFBDRN O=C(N[C@H]1CCCC12CCCCC2)c1cc([N+](=O)[O-])n[nH]1 ZINC000597873245 350147447 /nfs/dbraw/zinc/14/74/47/350147447.db2.gz GEDTUCVOIVEFSP-NSHDSACASA-N 0 0 292.339 2.551 20 5 CFBDRN Cc1c(C(=O)N[C@@]23C[C@@H]2CCC3)cccc1[N+](=O)[O-] ZINC000597880962 350147869 /nfs/dbraw/zinc/14/78/69/350147869.db2.gz GAOGSORVMYHXKG-HZMBPMFUSA-N 0 0 260.293 2.576 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000597887645 350148476 /nfs/dbraw/zinc/14/84/76/350148476.db2.gz YNKBISQBBGHPJT-AMIZOPFISA-N 0 0 280.711 2.921 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000597891406 350149935 /nfs/dbraw/zinc/14/99/35/350149935.db2.gz VUJXIWJAVBMDBV-UZMCECQYSA-N 0 0 286.331 2.757 20 5 CFBDRN C[C@H]1C[C@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000271249388 191027386 /nfs/dbraw/zinc/02/73/86/191027386.db2.gz HGOBEXBBECKZKP-WDEREUQCSA-N 0 0 293.323 2.531 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]21 ZINC000597830014 350141779 /nfs/dbraw/zinc/14/17/79/350141779.db2.gz KMTFSGDNIVXHRM-STQMWFEESA-N 0 0 274.320 2.538 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000597855526 350143173 /nfs/dbraw/zinc/14/31/73/350143173.db2.gz SFKMUKUFXJJPGL-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)c2ccccn2)ccc1[N+](=O)[O-] ZINC000597863648 350145517 /nfs/dbraw/zinc/14/55/17/350145517.db2.gz IZBUJDVHBJTTMD-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN CC[C@@H](CSC)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000091150895 180107133 /nfs/dbraw/zinc/10/71/33/180107133.db2.gz NASSVZCEKIRTTI-LBPRGKRZSA-N 0 0 296.392 2.737 20 5 CFBDRN CC[C@H](COCC1CC1)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000597918961 350158366 /nfs/dbraw/zinc/15/83/66/350158366.db2.gz ILUJQYRCJFYPFB-LLVKDONJSA-N 0 0 298.364 2.591 20 5 CFBDRN CC[C@@H](C)CCNC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000597980041 350171911 /nfs/dbraw/zinc/17/19/11/350171911.db2.gz XUCWFWBZCMDRJQ-GFCCVEGCSA-N 0 0 293.367 2.583 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000271293529 191054727 /nfs/dbraw/zinc/05/47/27/191054727.db2.gz APKTWGAULPDEBR-JQWIXIFHSA-N 0 0 265.313 2.605 20 5 CFBDRN COc1cc(NC[C@H]2CCO[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000271312907 191067976 /nfs/dbraw/zinc/06/79/76/191067976.db2.gz IZERSNQZNINJJG-UWVGGRQHSA-N 0 0 298.314 2.970 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCO[C@H](C)C1 ZINC000271321301 191072951 /nfs/dbraw/zinc/07/29/51/191072951.db2.gz KFPZVIHWTKNPRU-VXGBXAGGSA-N 0 0 294.351 2.968 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CC=CCC2)c(N)c([N+](=O)[O-])c1 ZINC000104869347 186029932 /nfs/dbraw/zinc/02/99/32/186029932.db2.gz UEOPMMCSVVMSRF-LLVKDONJSA-N 0 0 289.335 2.572 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1CCC[C@H](C)O1 ZINC000598211940 350215372 /nfs/dbraw/zinc/21/53/72/350215372.db2.gz IHTCYXNQJUZCFC-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H]2CCC[C@H](C)O2)c1[N+](=O)[O-] ZINC000598211495 350215445 /nfs/dbraw/zinc/21/54/45/350215445.db2.gz FRUKTLOTZAAFND-NWDGAFQWSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)NCCCC1CCC1 ZINC000598215928 350217023 /nfs/dbraw/zinc/21/70/23/350217023.db2.gz NYCMFZVNQWZHJB-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@H](CCF)C1 ZINC000598219858 350218231 /nfs/dbraw/zinc/21/82/31/350218231.db2.gz LAOAIIHZEDJJEX-GFCCVEGCSA-N 0 0 294.326 2.736 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@]1(C)CC1(F)F ZINC000598219905 350218426 /nfs/dbraw/zinc/21/84/26/350218426.db2.gz UIJJPASALJYXHN-GFCCVEGCSA-N 0 0 284.262 2.678 20 5 CFBDRN CC[C@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])C1CC1 ZINC000598232538 350221654 /nfs/dbraw/zinc/22/16/54/350221654.db2.gz HCCGHWPLFNSRIQ-NSHDSACASA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@H](NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-])C1CC1 ZINC000598233957 350222512 /nfs/dbraw/zinc/22/25/12/350222512.db2.gz SOZNLIWQEHZHQH-NSHDSACASA-N 0 0 296.298 2.661 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(OC)ccc1[N+](=O)[O-])C1CC1 ZINC000598235079 350222515 /nfs/dbraw/zinc/22/25/15/350222515.db2.gz WZNSCHWORGPFQV-GFCCVEGCSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@@H](CSC)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000091617806 180208640 /nfs/dbraw/zinc/20/86/40/180208640.db2.gz QYIOCLBJUYMVFT-NSHDSACASA-N 0 0 297.380 2.536 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])o2)cc1C ZINC000598142842 350209757 /nfs/dbraw/zinc/20/97/57/350209757.db2.gz DORYJUPRVCTTNN-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN Cc1c(C(=O)NCCC(C)(C)F)cccc1[N+](=O)[O-] ZINC000598196655 350211827 /nfs/dbraw/zinc/21/18/27/350211827.db2.gz CIGZEAWENNHQIE-UHFFFAOYSA-N 0 0 268.288 2.771 20 5 CFBDRN CC(C)(C)OCCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000598191348 350211906 /nfs/dbraw/zinc/21/19/06/350211906.db2.gz PDDQPIFNNJLUSK-UHFFFAOYSA-N 0 0 286.353 2.591 20 5 CFBDRN CCn1ncc(C)c1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000598200030 350212518 /nfs/dbraw/zinc/21/25/18/350212518.db2.gz RRLHVRMRPQNXSS-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CC(C)(F)CCNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000598198314 350212541 /nfs/dbraw/zinc/21/25/41/350212541.db2.gz JYPIPMKFUVZVFK-QWHCGFSZSA-N 0 0 294.326 2.953 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000598208636 350213690 /nfs/dbraw/zinc/21/36/90/350213690.db2.gz MIQSWFUMOINVIA-DYEKYZERSA-N 0 0 274.320 2.761 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCc1ccccc1 ZINC000091554521 180189675 /nfs/dbraw/zinc/18/96/75/180189675.db2.gz CSCOKPPLHILNJK-UHFFFAOYSA-N 0 0 274.324 2.774 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2CC[C@@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000598304838 350230742 /nfs/dbraw/zinc/23/07/42/350230742.db2.gz OSUZAHSFFYNBMP-CHWSQXEVSA-N 0 0 299.330 2.609 20 5 CFBDRN O=C(NC1CCC2(CC2(F)F)CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000598317585 350234045 /nfs/dbraw/zinc/23/40/45/350234045.db2.gz KFHFJYIGPYYLJK-UHFFFAOYSA-N 0 0 299.277 2.621 20 5 CFBDRN CCSC1(CNC(=O)c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000598338900 350240307 /nfs/dbraw/zinc/24/03/07/350240307.db2.gz WJCRORYWJWPZLB-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN CC1(C)[C@@H](CNC(=O)Cc2ccccc2[N+](=O)[O-])C1(F)F ZINC000598341008 350241057 /nfs/dbraw/zinc/24/10/57/350241057.db2.gz NPMKIUVWILKQKH-LLVKDONJSA-N 0 0 298.289 2.545 20 5 CFBDRN CC[C@](C)(NC(=O)c1ccc([N+](=O)[O-])c(OC)c1)C1CC1 ZINC000598248686 350224602 /nfs/dbraw/zinc/22/46/02/350224602.db2.gz CTOHTFIIYJUEID-HNNXBMFYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC(C)[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271341402 191084315 /nfs/dbraw/zinc/08/43/15/191084315.db2.gz HNGFVWVYEHYWGK-TZMCWYRMSA-N 0 0 292.335 2.528 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@@H]1C ZINC000105383901 186055770 /nfs/dbraw/zinc/05/57/70/186055770.db2.gz CZOPHGYTOAXFEV-CABZTGNLSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C1CCC(F)CC1 ZINC000598364807 350250954 /nfs/dbraw/zinc/25/09/54/350250954.db2.gz AWZQAJKEKFIGJB-WHXUTIOJSA-N 0 0 295.314 2.636 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NCC1=CCCC1 ZINC000598379436 350252108 /nfs/dbraw/zinc/25/21/08/350252108.db2.gz UBRPFDZCYHHIMU-UHFFFAOYSA-N 0 0 292.360 2.913 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000598384046 350254418 /nfs/dbraw/zinc/25/44/18/350254418.db2.gz BNJSEIFIHNHMGE-ZDUSSCGKSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1cccc(C(=O)N[C@H](C(C)C)C2CC2)c1[N+](=O)[O-] ZINC000598382656 350254607 /nfs/dbraw/zinc/25/46/07/350254607.db2.gz ZCDGRCKQBXSDCV-CYBMUJFWSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1c(C(=O)N[C@@]2(C)CC2(C)C)cccc1[N+](=O)[O-] ZINC000598387988 350255027 /nfs/dbraw/zinc/25/50/27/350255027.db2.gz WDZGJNOHUWROGW-AWEZNQCLSA-N 0 0 278.308 2.522 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000598393952 350255768 /nfs/dbraw/zinc/25/57/68/350255768.db2.gz KYYBIJUQKZJGGS-CQSZACIVSA-N 0 0 292.335 2.530 20 5 CFBDRN CCC(CC)CCCN(C)C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000598395903 350256014 /nfs/dbraw/zinc/25/60/14/350256014.db2.gz GBOUGHOIYXXUSE-UHFFFAOYSA-N 0 0 282.344 2.606 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)C[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000598550649 350281525 /nfs/dbraw/zinc/28/15/25/350281525.db2.gz MJZDDDCDELHPPM-SECBINFHSA-N 0 0 294.355 2.559 20 5 CFBDRN COc1c(C(=O)N(C)C[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000598550906 350282258 /nfs/dbraw/zinc/28/22/58/350282258.db2.gz NXNJIKJWZVFYPY-SNVBAGLBSA-N 0 0 292.335 2.722 20 5 CFBDRN COCC1(NC(=O)c2ccc([N+](=O)[O-])s2)CCCCC1 ZINC000598553779 350282296 /nfs/dbraw/zinc/28/22/96/350282296.db2.gz CIEJJTOCIADQJR-UHFFFAOYSA-N 0 0 298.364 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(-c3ccoc3)no2)cc1 ZINC000105571723 186064387 /nfs/dbraw/zinc/06/43/87/186064387.db2.gz PMZZISOCIWLDGH-UHFFFAOYSA-N 0 0 287.231 2.817 20 5 CFBDRN O=C(NCCCc1ccco1)c1ccc([N+](=O)[O-])s1 ZINC000598435592 350268480 /nfs/dbraw/zinc/26/84/80/350268480.db2.gz BHKRSBURIIRICQ-UHFFFAOYSA-N 0 0 280.305 2.612 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCCc1ccco1 ZINC000598438661 350268971 /nfs/dbraw/zinc/26/89/71/350268971.db2.gz AEYIIKDJLNEUSN-UHFFFAOYSA-N 0 0 288.303 2.859 20 5 CFBDRN C[C@@H]1CC(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@@H](C)O1 ZINC000091845844 180270166 /nfs/dbraw/zinc/27/01/66/180270166.db2.gz LAVYAUFYBSPEJI-NXEZZACHSA-N 0 0 278.308 2.737 20 5 CFBDRN COC(=O)c1ccnc(NC[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000598626469 350296423 /nfs/dbraw/zinc/29/64/23/350296423.db2.gz WRTATEOGRSPIMV-VHSXEESVSA-N 0 0 293.323 2.625 20 5 CFBDRN COC(=O)c1ccnc(NC[C@@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000598626468 350296474 /nfs/dbraw/zinc/29/64/74/350296474.db2.gz WRTATEOGRSPIMV-UWVGGRQHSA-N 0 0 293.323 2.625 20 5 CFBDRN COC(=O)Cc1ccc(NCC2CC(C)C2)c([N+](=O)[O-])c1 ZINC000598642105 350299578 /nfs/dbraw/zinc/29/95/78/350299578.db2.gz BAYGURGMXCASAM-UHFFFAOYSA-N 0 0 292.335 2.768 20 5 CFBDRN CC1(c2ccccc2)CN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000598640300 350299809 /nfs/dbraw/zinc/29/98/09/350299809.db2.gz YEOZHMKCJIXRRG-UHFFFAOYSA-N 0 0 269.304 2.768 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC(CC2CC2)C1 ZINC000598644862 350300280 /nfs/dbraw/zinc/30/02/80/350300280.db2.gz SPBCBBRRUMMVDK-UHFFFAOYSA-N 0 0 267.716 2.880 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(Cc3ccsc3)C2)s1 ZINC000598643545 350300806 /nfs/dbraw/zinc/30/08/06/350300806.db2.gz NGNYFGBVNWHDAL-UHFFFAOYSA-N 0 0 281.362 2.792 20 5 CFBDRN Cc1cc(C(=O)N2CC(CC3CC3)C2)ccc1[N+](=O)[O-] ZINC000598778410 350327844 /nfs/dbraw/zinc/32/78/44/350327844.db2.gz GVUWDNWBQXSAKZ-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN COC(=O)c1ccnc(NCC2(C(C)C)CC2)c1[N+](=O)[O-] ZINC000598604019 350291809 /nfs/dbraw/zinc/29/18/09/350291809.db2.gz NOPKTINBQGRDCL-UHFFFAOYSA-N 0 0 293.323 2.625 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)C1CC2(C1)CCCCC2 ZINC000598830347 350336226 /nfs/dbraw/zinc/33/62/26/350336226.db2.gz CXBWYQFLBMSOJW-UHFFFAOYSA-N 0 0 292.339 2.627 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@]2(C)CC2(C)C)c1 ZINC000598879102 350343782 /nfs/dbraw/zinc/34/37/82/350343782.db2.gz MSEAQCMTBOQLAH-AWEZNQCLSA-N 0 0 262.309 2.822 20 5 CFBDRN CC(C)C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598880080 350343989 /nfs/dbraw/zinc/34/39/89/350343989.db2.gz AVEQLUWBVYUMBH-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN O=C(c1cccnc1)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598885709 350345109 /nfs/dbraw/zinc/34/51/09/350345109.db2.gz WDSDCILOSPVZNE-UHFFFAOYSA-N 0 0 297.314 2.973 20 5 CFBDRN CC1(c2ccccc2)CN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000598909381 350345977 /nfs/dbraw/zinc/34/59/77/350345977.db2.gz JKGPTBRHUVWYBS-UHFFFAOYSA-N 0 0 286.287 2.602 20 5 CFBDRN Cc1c(NC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000598958467 350348760 /nfs/dbraw/zinc/34/87/60/350348760.db2.gz PUBMVEBWFKGPNR-ZMZKGCHWSA-N 0 0 292.335 2.901 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000598958465 350348814 /nfs/dbraw/zinc/34/88/14/350348814.db2.gz PUBMVEBWFKGPNR-ORMVGFHCSA-N 0 0 292.335 2.901 20 5 CFBDRN CCO[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000598954221 350348998 /nfs/dbraw/zinc/34/89/98/350348998.db2.gz PVXVEBUKLOCMPE-CYBMUJFWSA-N 0 0 278.308 2.657 20 5 CFBDRN C/C=C/CNC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000599001336 350357508 /nfs/dbraw/zinc/35/75/08/350357508.db2.gz RPCAVQPOASEWEG-ZWNMCFTASA-N 0 0 277.324 2.840 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CCSCC1 ZINC000599026311 350360912 /nfs/dbraw/zinc/36/09/12/350360912.db2.gz UEPNYAXHVZOKJY-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN C[C@@]1(CNc2ccc3cnccc3c2[N+](=O)[O-])CCOC1 ZINC000599043798 350364396 /nfs/dbraw/zinc/36/43/96/350364396.db2.gz LXIQWAMNZSUCAO-HNNXBMFYSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H](CO)CSc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599049215 350365988 /nfs/dbraw/zinc/36/59/88/350365988.db2.gz NQEDODZADXLIQL-VIFPVBQESA-N 0 0 278.333 2.864 20 5 CFBDRN C[C@@H]1CN(C/C=C/c2ccccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000092017315 180308075 /nfs/dbraw/zinc/30/80/75/180308075.db2.gz JEFRSIBOBZFSSA-MVTQWGDUSA-N 0 0 276.336 2.717 20 5 CFBDRN CCCCOCCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000092026873 180308327 /nfs/dbraw/zinc/30/83/27/180308327.db2.gz YVXZYFFCOAINCU-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000598802681 350330552 /nfs/dbraw/zinc/33/05/52/350330552.db2.gz WSXCGOHROVKHBX-MVWJERBFSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1ccn([C@@H](C)CC(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000598802904 350330658 /nfs/dbraw/zinc/33/06/58/350330658.db2.gz JJNLGJZKHSMAJV-NSHDSACASA-N 0 0 288.307 2.690 20 5 CFBDRN C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCO1 ZINC000598807105 350331933 /nfs/dbraw/zinc/33/19/33/350331933.db2.gz DPYBWSMWNVDRLT-RNCFNFMXSA-N 0 0 296.298 2.878 20 5 CFBDRN O=[N+]([O-])c1c(NCCC2CC(O)C2)ccc2cnccc21 ZINC000599073759 350371585 /nfs/dbraw/zinc/37/15/85/350371585.db2.gz NEUHMDBFUCUISP-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1c(NCC2=CCOCC2)ccc2cnccc21 ZINC000599083480 350372737 /nfs/dbraw/zinc/37/27/37/350372737.db2.gz NHRCZJZNMMBBOX-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN CCO[C@@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000599102627 350376343 /nfs/dbraw/zinc/37/63/43/350376343.db2.gz NNEYGPQBFLISCS-IINYFYTJSA-N 0 0 292.335 2.587 20 5 CFBDRN CCO[C@H](C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000599102630 350376438 /nfs/dbraw/zinc/37/64/38/350376438.db2.gz NNEYGPQBFLISCS-YGRLFVJLSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H]1c1cn[nH]c1 ZINC000599108815 350376572 /nfs/dbraw/zinc/37/65/72/350376572.db2.gz WPHPGYXBZKUJKV-NSHDSACASA-N 0 0 258.281 2.659 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@@H]2C[C@@H]2C1 ZINC000599110029 350377270 /nfs/dbraw/zinc/37/72/70/350377270.db2.gz CNYIZXBSUSOUPS-ZYHUDNBSSA-N 0 0 269.304 2.989 20 5 CFBDRN CC(C)Cc1ccccc1CNc1ncc([N+](=O)[O-])cn1 ZINC000599114751 350377274 /nfs/dbraw/zinc/37/72/74/350377274.db2.gz BEYDMYJVROHGDK-UHFFFAOYSA-N 0 0 286.335 2.617 20 5 CFBDRN Nc1cc(NC[C@@H]2CC[C@@H]3C[C@@H]3C2)cc(CO)c1[N+](=O)[O-] ZINC000599115420 350377813 /nfs/dbraw/zinc/37/78/13/350377813.db2.gz LOHXPUXLPADQBL-MXWKQRLJSA-N 0 0 291.351 2.517 20 5 CFBDRN C[C@@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000599118496 350378322 /nfs/dbraw/zinc/37/83/22/350378322.db2.gz HVNHTYHAXJJEHE-NSHDSACASA-N 0 0 288.303 2.998 20 5 CFBDRN CC(C)c1nocc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000599118557 350378456 /nfs/dbraw/zinc/37/84/56/350378456.db2.gz JORSPUQGNTUDRB-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@@H]2CCCOC2)nc2sccn21 ZINC000599117464 350378626 /nfs/dbraw/zinc/37/86/26/350378626.db2.gz UNXGTPDTRSRELT-VIFPVBQESA-N 0 0 296.352 2.533 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1Cc2ccccc2CO1 ZINC000599124987 350380193 /nfs/dbraw/zinc/38/01/93/350380193.db2.gz XJVVZQQZLFEQIR-HNNXBMFYSA-N 0 0 299.330 2.852 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC(F)(F)CC2)c(F)c1 ZINC000599134906 350381640 /nfs/dbraw/zinc/38/16/40/350381640.db2.gz CNVPGJYWBBDQPC-UHFFFAOYSA-N 0 0 275.230 2.755 20 5 CFBDRN Nc1c(F)c(N2CC[C@H]3CC[C@@H](C2)S3)ccc1[N+](=O)[O-] ZINC000599134292 350381657 /nfs/dbraw/zinc/38/16/57/350381657.db2.gz KDTVVJIUQJMKGP-BDAKNGLRSA-N 0 0 297.355 2.790 20 5 CFBDRN CC(C)CC[C@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000599137323 350382431 /nfs/dbraw/zinc/38/24/31/350382431.db2.gz JNCTWDJGFRZFPM-SNVBAGLBSA-N 0 0 287.747 2.852 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H]1CCCC12CC2 ZINC000599140227 350383028 /nfs/dbraw/zinc/38/30/28/350383028.db2.gz SYBPHOFGQDXUSX-CQSZACIVSA-N 0 0 288.347 2.834 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC2(C1)CCCO2 ZINC000599144956 350384090 /nfs/dbraw/zinc/38/40/90/350384090.db2.gz WRJIJKJPXDBJAS-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC4(C3)CCCO4)ccnc21 ZINC000599144367 350384238 /nfs/dbraw/zinc/38/42/38/350384238.db2.gz DJDMYOJVRIAQDN-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1cccc(OC)c1[N+](=O)[O-] ZINC000599147931 350384859 /nfs/dbraw/zinc/38/48/59/350384859.db2.gz UQKGAHVGXJTIBX-YPMHNXCESA-N 0 0 266.297 2.583 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1cc(OC)ccc1[N+](=O)[O-] ZINC000599148177 350385822 /nfs/dbraw/zinc/38/58/22/350385822.db2.gz XZKOJNJEEOPGMF-OLZOCXBDSA-N 0 0 266.297 2.583 20 5 CFBDRN O=c1[nH]ccc(NCC[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000599156991 350386946 /nfs/dbraw/zinc/38/69/46/350386946.db2.gz PQETVQISEFRJSK-SNVBAGLBSA-N 0 0 263.297 2.854 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1c(F)cccc1[N+](=O)[O-] ZINC000599162883 350386964 /nfs/dbraw/zinc/38/69/64/350386964.db2.gz AXIXMGZDBSXLMI-JXVAYASWSA-N 0 0 268.288 2.958 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000599164845 350387476 /nfs/dbraw/zinc/38/74/76/350387476.db2.gz PHLYMHZVSPFRCQ-NMSKTSORSA-N 0 0 268.288 2.958 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000599165519 350388066 /nfs/dbraw/zinc/38/80/66/350388066.db2.gz SQJMPKSIAPTVCQ-SDCKUUTBSA-N 0 0 293.279 2.765 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000599166527 350388083 /nfs/dbraw/zinc/38/80/83/350388083.db2.gz YZSUVKYJVJTHEU-QISWUMQESA-N 0 0 250.298 2.819 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000599166098 350388265 /nfs/dbraw/zinc/38/82/65/350388265.db2.gz VHQJXQKNUOVYLE-FBSDJGSXSA-N 0 0 285.731 2.867 20 5 CFBDRN COC1CC(c2nc(-c3cc(C)cc([N+](=O)[O-])c3)no2)C1 ZINC000599165789 350388284 /nfs/dbraw/zinc/38/82/84/350388284.db2.gz SOXMVGNISLVROF-UHFFFAOYSA-N 0 0 289.291 2.846 20 5 CFBDRN CC(C)(C)Oc1cc(NCC2COC2)ccc1[N+](=O)[O-] ZINC000599177949 350389919 /nfs/dbraw/zinc/38/99/19/350389919.db2.gz BGNYXUDMWKJGNS-UHFFFAOYSA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@@H]1CC[C@@H](c2noc(-c3ccc([N+](=O)[O-])cc3)n2)O1 ZINC000599179481 350390336 /nfs/dbraw/zinc/39/03/36/350390336.db2.gz HUJPVJNCGLAYHC-KCJUWKMLSA-N 0 0 275.264 2.885 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@@H](C3CC3)C2)c([N+](=O)[O-])cc1F ZINC000599180022 350390383 /nfs/dbraw/zinc/39/03/83/350390383.db2.gz IJSBBGQEAZFEBM-TVQRCGJNSA-N 0 0 296.298 2.722 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000599179762 350390462 /nfs/dbraw/zinc/39/04/62/350390462.db2.gz HMQRLSRXRPDNDD-YGRLFVJLSA-N 0 0 278.308 2.583 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000599181093 350391135 /nfs/dbraw/zinc/39/11/35/350391135.db2.gz PRRFMVJMGOFNSM-SMDDNHRTSA-N 0 0 262.309 2.883 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000599180053 350391143 /nfs/dbraw/zinc/39/11/43/350391143.db2.gz IPIWOAPIYNKQGV-GXFFZTMASA-N 0 0 278.308 2.583 20 5 CFBDRN COc1cc(N[C@H]2CO[C@@H](C3CC3)C2)c([N+](=O)[O-])cc1C ZINC000599181889 350391304 /nfs/dbraw/zinc/39/13/04/350391304.db2.gz UUUOUQQGHGLWKN-IAQYHMDHSA-N 0 0 292.335 2.891 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000599181998 350391386 /nfs/dbraw/zinc/39/13/86/350391386.db2.gz WACFYTCXVFBFHQ-BXUZGUMPSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000599181935 350391622 /nfs/dbraw/zinc/39/16/22/350391622.db2.gz VJRDLCCFUCQTDG-GXSJLCMTSA-N 0 0 283.715 2.623 20 5 CFBDRN COCc1ccc(Nc2ncc([N+](=O)[O-])s2)cc1OC ZINC000599182978 350391979 /nfs/dbraw/zinc/39/19/79/350391979.db2.gz TURYDFKGBJNYEO-UHFFFAOYSA-N 0 0 295.320 2.950 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1CCCC12CC2 ZINC000599188054 350392080 /nfs/dbraw/zinc/39/20/80/350392080.db2.gz ATINOTUHIVTYNP-ZDUSSCGKSA-N 0 0 274.320 2.791 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000599190446 350392777 /nfs/dbraw/zinc/39/27/77/350392777.db2.gz YXZLPVSUMJEWPX-MGCOHNPYSA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC3(CC3)CC2)c(F)c1 ZINC000599193006 350393297 /nfs/dbraw/zinc/39/32/97/350393297.db2.gz UUAHGLUIWDXJGD-UHFFFAOYSA-N 0 0 265.288 2.899 20 5 CFBDRN CO[C@H]1C[C@H](Nc2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000599192774 350393413 /nfs/dbraw/zinc/39/34/13/350393413.db2.gz HKWZZVHKDYETEB-UMSPYCQHSA-N 0 0 273.292 2.732 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000599206085 350396466 /nfs/dbraw/zinc/39/64/66/350396466.db2.gz NGSPHSGTDHEXNT-GSJFWJNXSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2COC3(CCCC3)O2)c(F)c1 ZINC000271373423 191102935 /nfs/dbraw/zinc/10/29/35/191102935.db2.gz LSBZNGXADZMXEX-NSHDSACASA-N 0 0 296.298 2.832 20 5 CFBDRN COc1cc(C(=O)N2Cc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000105975819 186094608 /nfs/dbraw/zinc/09/46/08/186094608.db2.gz AGMHSHJGWYCIPH-UHFFFAOYSA-N 0 0 298.298 2.759 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OC(C)C)c1 ZINC000106001335 186096631 /nfs/dbraw/zinc/09/66/31/186096631.db2.gz LYTSUCPVGUQAGO-UHFFFAOYSA-N 0 0 255.295 2.882 20 5 CFBDRN CCCN(CCn1cc([N+](=O)[O-])cn1)c1ccccc1F ZINC000599543726 350453187 /nfs/dbraw/zinc/45/31/87/350453187.db2.gz WQYHABAOGWMVDM-UHFFFAOYSA-N 0 0 292.314 2.847 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1nccs1 ZINC000106403923 186114856 /nfs/dbraw/zinc/11/48/56/186114856.db2.gz YEXIGXCVRIWVLA-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN CCO[C@@H](C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1)C(C)C ZINC000599744736 350490028 /nfs/dbraw/zinc/49/00/28/350490028.db2.gz NXNDTBPWMAHZQA-CYBMUJFWSA-N 0 0 296.323 2.603 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CC[NH+](CCCF)CC1 ZINC000599661576 350475214 /nfs/dbraw/zinc/47/52/14/350475214.db2.gz ZBMULFJLEXADMM-CYBMUJFWSA-N 0 0 295.358 2.633 20 5 CFBDRN Cc1nn(C)c(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1C ZINC000106716500 186133018 /nfs/dbraw/zinc/13/30/18/186133018.db2.gz WRGLVQLUKBYWSJ-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N(C1CC1)C1CC1 ZINC000599697429 350485129 /nfs/dbraw/zinc/48/51/29/350485129.db2.gz YUZRYUDHAVPOCK-UHFFFAOYSA-N 0 0 261.281 2.754 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000599693464 350485358 /nfs/dbraw/zinc/48/53/58/350485358.db2.gz AQQMFWGZUZVRBW-OCCSQVGLSA-N 0 0 293.323 2.592 20 5 CFBDRN CCC(F)(F)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000599695646 350485390 /nfs/dbraw/zinc/48/53/90/350485390.db2.gz NTSJBWNJXOPBGB-UHFFFAOYSA-N 0 0 273.239 2.762 20 5 CFBDRN O=[N+]([O-])c1cn(CCCOc2ccc(Cl)cc2)cn1 ZINC000599828213 350495046 /nfs/dbraw/zinc/49/50/46/350495046.db2.gz DABZZRIMACVOAX-UHFFFAOYSA-N 0 0 281.699 2.914 20 5 CFBDRN COc1cc(NCc2cc(C)no2)ccc1[N+](=O)[O-] ZINC000107039940 186156978 /nfs/dbraw/zinc/15/69/78/186156978.db2.gz FAIUEGQQDMTQHX-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CC(C)(C)n1nccc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000107126755 186159897 /nfs/dbraw/zinc/15/98/97/186159897.db2.gz QUYLJXBAUJZTQN-UHFFFAOYSA-N 0 0 288.307 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@@H]3C[C@@H]3C2)c(Cl)c1 ZINC000600188488 350534977 /nfs/dbraw/zinc/53/49/77/350534977.db2.gz CDFBPNBFAYTAAC-AOOOYVTPSA-N 0 0 252.701 2.700 20 5 CFBDRN CN(C[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000107674189 186184645 /nfs/dbraw/zinc/18/46/45/186184645.db2.gz SGCUBWJXFZUNNQ-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN CCOCCN(CC)Cc1csc([N+](=O)[O-])c1 ZINC000107680839 186184649 /nfs/dbraw/zinc/18/46/49/186184649.db2.gz HFYLSHHQUUIFSW-UHFFFAOYSA-N 0 0 258.343 2.515 20 5 CFBDRN O=C(NC[C@@H]1CC[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000600162531 350524967 /nfs/dbraw/zinc/52/49/67/350524967.db2.gz SSDJBHIYFKFAHJ-NTZNESFSSA-N 0 0 274.320 2.761 20 5 CFBDRN Cc1cc(CN2CC(Oc3ccc([N+](=O)[O-])cc3)C2)ccn1 ZINC000600164331 350525995 /nfs/dbraw/zinc/52/59/95/350525995.db2.gz GXASBUSBOXDRKC-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCCOC1CCOCC1 ZINC000600545612 350568498 /nfs/dbraw/zinc/56/84/98/350568498.db2.gz UBBYUBCRESBXAY-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN C[C@@H]1C[C@H]1Cn1cc([N+](=O)[O-])c(-c2ccc(F)cn2)n1 ZINC000600546472 350568759 /nfs/dbraw/zinc/56/87/59/350568759.db2.gz YMBZKPMAGOVPJE-BDAKNGLRSA-N 0 0 276.271 2.648 20 5 CFBDRN C[C@@H]1C[C@@H]1Cn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000600563088 350571190 /nfs/dbraw/zinc/57/11/90/350571190.db2.gz JYIDDFXLIRQZSX-NXEZZACHSA-N 0 0 258.281 2.509 20 5 CFBDRN Cc1noc(C)c1CNc1ncc([N+](=O)[O-])cc1C ZINC000108349567 186212872 /nfs/dbraw/zinc/21/28/72/186212872.db2.gz QUGQDOFLNLUSPH-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN O=C(NCC1CC=CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000600228422 350543874 /nfs/dbraw/zinc/54/38/74/350543874.db2.gz AAUWQNTXWVYRDX-UHFFFAOYSA-N 0 0 285.303 2.772 20 5 CFBDRN CCc1ccc(C(=O)N(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000600357990 350549482 /nfs/dbraw/zinc/54/94/82/350549482.db2.gz CJNZYPDZJHQHNL-UHFFFAOYSA-N 0 0 274.320 2.924 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N(C1CC1)C1CC1 ZINC000600361500 350550399 /nfs/dbraw/zinc/55/03/99/350550399.db2.gz WSJYLNGDSHXTQE-UHFFFAOYSA-N 0 0 285.303 2.843 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC(Cc2ccco2)C1 ZINC000600368591 350551478 /nfs/dbraw/zinc/55/14/78/350551478.db2.gz WCXPZIDUAWBXPQ-UHFFFAOYSA-N 0 0 286.287 2.503 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000600379368 350551843 /nfs/dbraw/zinc/55/18/43/350551843.db2.gz IEBLQGKCAMJJRD-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000600447688 350556611 /nfs/dbraw/zinc/55/66/11/350556611.db2.gz NTODMKOOCKTZLJ-SNVBAGLBSA-N 0 0 298.289 2.784 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000600450455 350557373 /nfs/dbraw/zinc/55/73/73/350557373.db2.gz WCFQTTNAXQWJNZ-SECBINFHSA-N 0 0 284.262 2.855 20 5 CFBDRN C[C@H](CN(C)C(=O)c1cc([N+](=O)[O-])n[nH]1)C1CCCCC1 ZINC000600475315 350558070 /nfs/dbraw/zinc/55/80/70/350558070.db2.gz GFKUYEGSUAOGCD-SNVBAGLBSA-N 0 0 294.355 2.606 20 5 CFBDRN C/C=C\CNC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000600489444 350558697 /nfs/dbraw/zinc/55/86/97/350558697.db2.gz GNPAIBMEDALOED-PLNGDYQASA-N 0 0 278.308 2.688 20 5 CFBDRN CC1(C)C(C(=O)COc2cccnc2[N+](=O)[O-])C1(C)C ZINC000600508797 350560163 /nfs/dbraw/zinc/56/01/63/350560163.db2.gz CVJRIMQWPZQIQA-UHFFFAOYSA-N 0 0 278.308 2.620 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C1CC(Oc2ccccc2)C1 ZINC000600509395 350560643 /nfs/dbraw/zinc/56/06/43/350560643.db2.gz IPHZTMYHWCXAHX-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CCO[C@@H](COc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000600517195 350562432 /nfs/dbraw/zinc/56/24/32/350562432.db2.gz BOVYASYCTUPZAP-ZDUSSCGKSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@H]1C[C@H]1Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000600523979 350562928 /nfs/dbraw/zinc/56/29/28/350562928.db2.gz ZONMGBBYXIAZLM-ONGXEEELSA-N 0 0 258.281 2.509 20 5 CFBDRN CCC[C@@H](C)CCCn1ccc(=O)c([N+](=O)[O-])c1 ZINC000600524086 350563207 /nfs/dbraw/zinc/56/32/07/350563207.db2.gz BTKARAIBCYULRU-LLVKDONJSA-N 0 0 252.314 2.973 20 5 CFBDRN C[C@@H]1C[C@H]1Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000600523977 350563235 /nfs/dbraw/zinc/56/32/35/350563235.db2.gz ZONMGBBYXIAZLM-KOLCDFICSA-N 0 0 258.281 2.509 20 5 CFBDRN CCO[C@H](COc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000600534668 350566548 /nfs/dbraw/zinc/56/65/48/350566548.db2.gz FCEQCBFZEWHAEY-CYBMUJFWSA-N 0 0 269.272 2.928 20 5 CFBDRN COc1cc(COc2cc(C)c([N+](=O)[O-])cc2F)on1 ZINC000600535787 350567142 /nfs/dbraw/zinc/56/71/42/350567142.db2.gz SDRXCPVAOAVFPL-UHFFFAOYSA-N 0 0 282.227 2.618 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCn2ccnc2C2CC2)c1 ZINC000600611969 350580270 /nfs/dbraw/zinc/58/02/70/350580270.db2.gz XOQJUMAJYDLQTJ-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN Cc1nc(CSCCOc2ccc([N+](=O)[O-])cc2)no1 ZINC000108412645 186216366 /nfs/dbraw/zinc/21/63/66/186216366.db2.gz LDXQZRPRJLZOQI-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN CCO[C@H](COc1ccc(OC)c([N+](=O)[O-])c1)C1CC1 ZINC000600673169 350594047 /nfs/dbraw/zinc/59/40/47/350594047.db2.gz DCNNZKVRXMVIBH-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N(C1CC1)C1CC1 ZINC000600686925 350596739 /nfs/dbraw/zinc/59/67/39/350596739.db2.gz JHTOAUXTFBNGSN-UHFFFAOYSA-N 0 0 279.271 2.893 20 5 CFBDRN C[C@H](CO)CNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000108877602 186234872 /nfs/dbraw/zinc/23/48/72/186234872.db2.gz GDJRWQJNACCBGU-ZETCQYMHSA-N 0 0 278.230 2.654 20 5 CFBDRN C/C=C/C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000600698456 350597245 /nfs/dbraw/zinc/59/72/45/350597245.db2.gz FKZZIRVXDYPQKN-PCAWENJQSA-N 0 0 291.351 2.752 20 5 CFBDRN C/C=C/C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000600812935 350616344 /nfs/dbraw/zinc/61/63/44/350616344.db2.gz VWQQPFAHEDKGQC-JDGPPOGSSA-N 0 0 289.335 2.808 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000271389722 191112432 /nfs/dbraw/zinc/11/24/32/191112432.db2.gz QXZRXXMQRZPMGE-FZMZJTMJSA-N 0 0 292.335 2.528 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H](C)O[C@H]1C ZINC000600884529 350622966 /nfs/dbraw/zinc/62/29/66/350622966.db2.gz UBRHOJOENNSFRI-JBLDHEPKSA-N 0 0 278.308 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCC1(O)CCC1 ZINC000600883655 350623015 /nfs/dbraw/zinc/62/30/15/350623015.db2.gz NRDAXVBTTRQLGU-UHFFFAOYSA-N 0 0 278.308 2.537 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(CC(C)C)no1 ZINC000109563226 186264227 /nfs/dbraw/zinc/26/42/27/186264227.db2.gz LDQOPGDPVLPSQL-UHFFFAOYSA-N 0 0 262.269 2.547 20 5 CFBDRN CC[C@H](C)NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600886427 350623615 /nfs/dbraw/zinc/62/36/15/350623615.db2.gz NJSSOXAXQOUDRI-NSHDSACASA-N 0 0 276.336 2.931 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000600888751 350623814 /nfs/dbraw/zinc/62/38/14/350623814.db2.gz FZGPROSJKBRKAI-AOOOYVTPSA-N 0 0 280.299 2.852 20 5 CFBDRN CCCCOCCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600892112 350624342 /nfs/dbraw/zinc/62/43/42/350624342.db2.gz DPONYKBZSDMBFA-UHFFFAOYSA-N 0 0 298.314 2.671 20 5 CFBDRN Cc1cc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])n(C)n1 ZINC000109741869 186270415 /nfs/dbraw/zinc/27/04/15/186270415.db2.gz SZVDDGLHKZCNFA-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=C(COc1cc(Cl)ccc1[N+](=O)[O-])NC1CCCC1 ZINC000109744245 186271142 /nfs/dbraw/zinc/27/11/42/186271142.db2.gz RZADEZFNHYFWMF-UHFFFAOYSA-N 0 0 298.726 2.686 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc[nH]c1 ZINC000109246585 186245681 /nfs/dbraw/zinc/24/56/81/186245681.db2.gz PKNJYBHYZJNDOR-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Nc1c(C(=O)N2CCCC3(CC3)CC2)cccc1[N+](=O)[O-] ZINC000600769777 350607698 /nfs/dbraw/zinc/60/76/98/350607698.db2.gz ZTVMLDKDYDAKAV-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN O=C(NC[C@H]1CCCC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000600803160 350615194 /nfs/dbraw/zinc/61/51/94/350615194.db2.gz RLHSLSKTLZVMOP-GFCCVEGCSA-N 0 0 274.320 2.905 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600982644 350640886 /nfs/dbraw/zinc/64/08/86/350640886.db2.gz BTMSNXLHLMOGCL-QMMMGPOBSA-N 0 0 266.272 2.604 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N(C)[C@@H](C)C1CC1 ZINC000600983261 350641250 /nfs/dbraw/zinc/64/12/50/350641250.db2.gz JCLCUVNMODAOAE-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CN(C(=O)c1c(F)cccc1[N+](=O)[O-])C1CCCC1 ZINC000600984041 350641857 /nfs/dbraw/zinc/64/18/57/350641857.db2.gz RGAWHVUAEQHMJH-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN CCCCN(CC)C(=O)c1[nH]nc(CCC)c1[N+](=O)[O-] ZINC000110040508 186283301 /nfs/dbraw/zinc/28/33/01/186283301.db2.gz XXGOZNVXLYQUOC-UHFFFAOYSA-N 0 0 282.344 2.533 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H](C)O[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000601003201 350643995 /nfs/dbraw/zinc/64/39/95/350643995.db2.gz JDNZQGKPGATKBK-BIAAXOCRSA-N 0 0 292.335 2.586 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)Nc1cccc([N+](=O)[O-])c1 ZINC000110177703 186288094 /nfs/dbraw/zinc/28/80/94/186288094.db2.gz PJVOYIAVVBGUFM-CYBMUJFWSA-N 0 0 278.308 2.883 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000601004009 350644327 /nfs/dbraw/zinc/64/43/27/350644327.db2.gz UAVJKOOWOZMJIH-VXGBXAGGSA-N 0 0 299.330 2.971 20 5 CFBDRN O=C(Nc1cnoc1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601028116 350647850 /nfs/dbraw/zinc/64/78/50/350647850.db2.gz CUUJAYVHLSDNOT-UHFFFAOYSA-N 0 0 287.275 2.643 20 5 CFBDRN Cn1nc2c(c1/C=C\c1ccc([N+](=O)[O-])cn1)CCCC2 ZINC000601045365 350650051 /nfs/dbraw/zinc/65/00/51/350650051.db2.gz WNRKZYSKUJFWDW-CLFYSBASSA-N 0 0 284.319 2.773 20 5 CFBDRN C[C@H](CCc1cccn1C)Nc1ncc(F)cc1[N+](=O)[O-] ZINC000601120938 350673590 /nfs/dbraw/zinc/67/35/90/350673590.db2.gz KJIKSBNOMNYXLN-SNVBAGLBSA-N 0 0 292.314 2.901 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CCO[C@@H]2C)c1 ZINC000601072138 350658111 /nfs/dbraw/zinc/65/81/11/350658111.db2.gz GIQGGDDQEXGQLC-MNOVXSKESA-N 0 0 251.282 2.707 20 5 CFBDRN CCCCC(=O)COc1ccc(OC)cc1[N+](=O)[O-] ZINC000601072798 350658566 /nfs/dbraw/zinc/65/85/66/350658566.db2.gz SWEZATGRXAQWIN-UHFFFAOYSA-N 0 0 267.281 2.742 20 5 CFBDRN CCCCC(=O)COc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000601075027 350659166 /nfs/dbraw/zinc/65/91/66/350659166.db2.gz JFDHQVROVOXTHI-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H]1CCO[C@@H]1C ZINC000601076199 350659657 /nfs/dbraw/zinc/65/96/57/350659657.db2.gz ZYFRGNHMGJHPTN-MNOVXSKESA-N 0 0 251.282 2.707 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1COC1CCCCC1 ZINC000601077560 350660301 /nfs/dbraw/zinc/66/03/01/350660301.db2.gz VDWVDJDEGGPRPT-UHFFFAOYSA-N 0 0 286.715 2.717 20 5 CFBDRN Cc1c(OCc2nc(CC3CC3)no2)cccc1[N+](=O)[O-] ZINC000601076850 350660409 /nfs/dbraw/zinc/66/04/09/350660409.db2.gz RCQQSBXEAINPER-UHFFFAOYSA-N 0 0 289.291 2.818 20 5 CFBDRN CCOc1cc(OC[C@@H]2CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000601080577 350661600 /nfs/dbraw/zinc/66/16/00/350661600.db2.gz FEDRMDWSLQHKMF-QWRGUYRKSA-N 0 0 281.308 2.797 20 5 CFBDRN C[C@@H]1OCC[C@H]1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000601080732 350661707 /nfs/dbraw/zinc/66/17/07/350661707.db2.gz POCOPGTVMTXOAQ-IUCAKERBSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000601080994 350662321 /nfs/dbraw/zinc/66/23/21/350662321.db2.gz RPLSROMCMHZLAQ-MNOVXSKESA-N 0 0 251.282 2.707 20 5 CFBDRN C[C@H]1CCCN(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000601098937 350667754 /nfs/dbraw/zinc/66/77/54/350667754.db2.gz KXGWZSJTCUGATA-ZETCQYMHSA-N 0 0 292.261 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NC[C@H]3CCCCO3)c2c1 ZINC000601108456 350669714 /nfs/dbraw/zinc/66/97/14/350669714.db2.gz KNCQMKYMVJQPRS-GFCCVEGCSA-N 0 0 287.319 2.546 20 5 CFBDRN C[C@@H]1CN(c2ccnc3ccc([N+](=O)[O-])cc32)CCCO1 ZINC000601110959 350671514 /nfs/dbraw/zinc/67/15/14/350671514.db2.gz MIAWBVYJEFAACP-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CCc1nc(CCNc2ncc(F)cc2[N+](=O)[O-])cs1 ZINC000601110770 350671584 /nfs/dbraw/zinc/67/15/84/350671584.db2.gz IRCPAMIZHJDFAU-UHFFFAOYSA-N 0 0 296.327 2.802 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nccc3cc([N+](=O)[O-])ccc32)[C@@H](C)O1 ZINC000601224049 350702377 /nfs/dbraw/zinc/70/23/77/350702377.db2.gz XLRWACJYSLBGFX-GPCCPHFNSA-N 0 0 287.319 2.543 20 5 CFBDRN COCC1(C2CC2)CN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000601224816 350702831 /nfs/dbraw/zinc/70/28/31/350702831.db2.gz BGZMRMKFBZPFHX-UHFFFAOYSA-N 0 0 297.742 2.506 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCO[C@H](C(F)F)CC1 ZINC000601231676 350704363 /nfs/dbraw/zinc/70/43/63/350704363.db2.gz NNFWZMBFZULQLA-JTQLQIEISA-N 0 0 290.241 2.594 20 5 CFBDRN CC[C@]1(O)CCCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000601234899 350706180 /nfs/dbraw/zinc/70/61/80/350706180.db2.gz ZCXCVUFFYXTLLS-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601239198 350707262 /nfs/dbraw/zinc/70/72/62/350707262.db2.gz GZHMBHJINUPYPP-VIFPVBQESA-N 0 0 298.314 2.667 20 5 CFBDRN CC1(F)CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000601241749 350708004 /nfs/dbraw/zinc/70/80/04/350708004.db2.gz GHKSVUKPFUHXIN-UHFFFAOYSA-N 0 0 281.287 2.951 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]1C(F)(F)F ZINC000601246260 350708459 /nfs/dbraw/zinc/70/84/59/350708459.db2.gz LWLPOLYSVSSCBA-RKDXNWHRSA-N 0 0 289.213 2.667 20 5 CFBDRN C[C@H](CC1CCC1)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000601245547 350708628 /nfs/dbraw/zinc/70/86/28/350708628.db2.gz BGMKVQGPRYOLHH-SECBINFHSA-N 0 0 277.324 2.684 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CCC2)[C@H]2CCCO2)c(F)c1 ZINC000601250097 350708942 /nfs/dbraw/zinc/70/89/42/350708942.db2.gz JZXZOQNEURBOHP-CHWSQXEVSA-N 0 0 295.314 2.889 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H](C1CCC1)[C@H]1CCCO1 ZINC000601250969 350709647 /nfs/dbraw/zinc/70/96/47/350709647.db2.gz QHVKUELOXSWRNJ-OLZOCXBDSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCn3nccc32)s1 ZINC000601270093 350713753 /nfs/dbraw/zinc/71/37/53/350713753.db2.gz RANNXDIETDOWCO-QMMMGPOBSA-N 0 0 264.310 2.800 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C[C@@H](C)O1 ZINC000111006624 186342237 /nfs/dbraw/zinc/34/22/37/186342237.db2.gz GHSZSAVHUAJHIE-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN CCO[C@@H](CCNc1ncc(F)cc1[N+](=O)[O-])C(C)C ZINC000601125252 350675425 /nfs/dbraw/zinc/67/54/25/350675425.db2.gz ZGQSPQYROOKBQH-LBPRGKRZSA-N 0 0 285.319 2.992 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000601136313 350679161 /nfs/dbraw/zinc/67/91/61/350679161.db2.gz CPJWGXSVOVJAMY-GHMZBOCLSA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@H]1CCCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601145026 350681364 /nfs/dbraw/zinc/68/13/64/350681364.db2.gz HFPOXBSELZAXMC-VIFPVBQESA-N 0 0 253.277 2.755 20 5 CFBDRN C[C@@H]1CSC[C@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601165759 350685937 /nfs/dbraw/zinc/68/59/37/350685937.db2.gz URCJGNZRGJLQNU-YMTOWFKASA-N 0 0 289.360 2.728 20 5 CFBDRN COCCC1(C)CN(c2ccsc2[N+](=O)[O-])C1 ZINC000601166171 350686649 /nfs/dbraw/zinc/68/66/49/350686649.db2.gz KQPUFYGYPHCDCP-UHFFFAOYSA-N 0 0 256.327 2.519 20 5 CFBDRN CCC1(CC)CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601170101 350687441 /nfs/dbraw/zinc/68/74/41/350687441.db2.gz VRSMZCFLJLMXQZ-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1C[C@@H]2CCCC[C@@H]2C1 ZINC000601172201 350688808 /nfs/dbraw/zinc/68/88/08/350688808.db2.gz WXQIHGVCDRZWLK-AOOOYVTPSA-N 0 0 265.288 2.755 20 5 CFBDRN CC(C)(C)C1CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601172879 350688923 /nfs/dbraw/zinc/68/89/23/350688923.db2.gz JTPWTLGZPZUYSA-UHFFFAOYSA-N 0 0 253.277 2.611 20 5 CFBDRN Cc1cc(N(C)Cc2c[nH]nc2C)c([N+](=O)[O-])s1 ZINC000601181064 350690042 /nfs/dbraw/zinc/69/00/42/350690042.db2.gz DVRCYGIWYRJPMR-UHFFFAOYSA-N 0 0 266.326 2.633 20 5 CFBDRN Cc1cc(NCc2nc(C(F)F)no2)c([N+](=O)[O-])s1 ZINC000601178121 350690093 /nfs/dbraw/zinc/69/00/93/350690093.db2.gz LVQQVFBJUUMUEC-UHFFFAOYSA-N 0 0 290.251 2.897 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC2(CC2(F)F)CC1 ZINC000601184284 350690689 /nfs/dbraw/zinc/69/06/89/350690689.db2.gz LEEAJOHXUXJDPD-UHFFFAOYSA-N 0 0 287.241 2.755 20 5 CFBDRN CCc1csc([C@@H](C)Nc2ncc([N+](=O)[O-])cn2)n1 ZINC000601181319 350690766 /nfs/dbraw/zinc/69/07/66/350690766.db2.gz FYZJJLQFQDMCFN-SSDOTTSWSA-N 0 0 279.325 2.577 20 5 CFBDRN CCOc1cc(N[C@@H](C)c2cnccn2)ccc1[N+](=O)[O-] ZINC000601192295 350693015 /nfs/dbraw/zinc/69/30/15/350693015.db2.gz UNBOQWXGBLXMEB-JTQLQIEISA-N 0 0 288.307 2.957 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC(C)(C)n2ccnc2)n1 ZINC000601198618 350694885 /nfs/dbraw/zinc/69/48/85/350694885.db2.gz ZDIWICNTNOSYGD-UHFFFAOYSA-N 0 0 289.339 2.650 20 5 CFBDRN C[C@H](Nc1ccsc1[N+](=O)[O-])c1nccn1C ZINC000601198689 350695105 /nfs/dbraw/zinc/69/51/05/350695105.db2.gz FOIAIFQEKSRAPV-ZETCQYMHSA-N 0 0 252.299 2.563 20 5 CFBDRN COc1cc(CNc2ccc(C)cc2[N+](=O)[O-])on1 ZINC000601198223 350695111 /nfs/dbraw/zinc/69/51/11/350695111.db2.gz RJLYIRGQZFUCHM-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN O=C(NCCCC1CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601200877 350695300 /nfs/dbraw/zinc/69/53/00/350695300.db2.gz IEBOBSZMZAFCOE-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC(Cc2ccco2)C1 ZINC000601201659 350695413 /nfs/dbraw/zinc/69/54/13/350695413.db2.gz PRQFZXLMHKZMSI-UHFFFAOYSA-N 0 0 264.306 2.928 20 5 CFBDRN CC(C)(F)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000601206397 350696665 /nfs/dbraw/zinc/69/66/65/350696665.db2.gz YGTFNTOIEFCZSF-UHFFFAOYSA-N 0 0 270.289 2.760 20 5 CFBDRN CSC1CCN(c2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000601207047 350697487 /nfs/dbraw/zinc/69/74/87/350697487.db2.gz SOTAOCNMTRXOIL-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN COC(=O)C(C)(C)CSc1ccc([N+](=O)[O-])c(C)n1 ZINC000601213150 350699553 /nfs/dbraw/zinc/69/95/53/350699553.db2.gz YXCZQPNHDKLXOX-UHFFFAOYSA-N 0 0 284.337 2.590 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1SCCCO ZINC000601216270 350700346 /nfs/dbraw/zinc/70/03/46/350700346.db2.gz ANCLLTAQGFMKMK-UHFFFAOYSA-N 0 0 292.154 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCC[C@H](O)C(F)F ZINC000601221915 350701456 /nfs/dbraw/zinc/70/14/56/350701456.db2.gz DNRWPOSGDQUSPZ-LBPRGKRZSA-N 0 0 297.261 2.571 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1csc([N+](=O)[O-])c1 ZINC000601704300 350764764 /nfs/dbraw/zinc/76/47/64/350764764.db2.gz JPCYBPJHGUOFGG-SECBINFHSA-N 0 0 266.322 2.719 20 5 CFBDRN CC(C)(F)CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000601688029 350759949 /nfs/dbraw/zinc/75/99/49/350759949.db2.gz LNQNUVIZXSLORM-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN CC(C)(F)CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000601688930 350760713 /nfs/dbraw/zinc/76/07/13/350760713.db2.gz OPWFAHBNMUBHRE-UHFFFAOYSA-N 0 0 297.330 2.651 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3(F)CCOCC3)n2)s1 ZINC000601373067 350727673 /nfs/dbraw/zinc/72/76/73/350727673.db2.gz OJFNPHSZJRDKBG-UHFFFAOYSA-N 0 0 299.283 2.682 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000601397876 350729498 /nfs/dbraw/zinc/72/94/98/350729498.db2.gz VVQFATBDNTVOLS-ZJUUUORDSA-N 0 0 280.299 2.852 20 5 CFBDRN O=C(NCC1(C(F)F)CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601543183 350738143 /nfs/dbraw/zinc/73/81/43/350738143.db2.gz VTBGSWXQSRMZQW-UHFFFAOYSA-N 0 0 288.225 2.509 20 5 CFBDRN C[C@@H](NC(=O)NCC(C)(C)F)c1ccccc1[N+](=O)[O-] ZINC000601593427 350744662 /nfs/dbraw/zinc/74/46/62/350744662.db2.gz UDYOVGOPIKYNFE-SECBINFHSA-N 0 0 283.303 2.703 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1ccc([N+](=O)[O-])s1 ZINC000601706261 350765781 /nfs/dbraw/zinc/76/57/81/350765781.db2.gz VYMNKWKBQFORNJ-SECBINFHSA-N 0 0 266.322 2.719 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@H]1CCCC12CC2 ZINC000601705594 350765837 /nfs/dbraw/zinc/76/58/37/350765837.db2.gz PWRWXNBVRAOHIP-ZDUSSCGKSA-N 0 0 292.310 2.725 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000271409788 191120874 /nfs/dbraw/zinc/12/08/74/191120874.db2.gz SRPXCUTYGWJYRW-BDAKNGLRSA-N 0 0 285.731 2.870 20 5 CFBDRN C[C@H]1C[C@H](CNc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000271409790 191121100 /nfs/dbraw/zinc/12/11/00/191121100.db2.gz SRPXCUTYGWJYRW-DTWKUNHWSA-N 0 0 285.731 2.870 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(C)(F)CC1 ZINC000601869203 350794359 /nfs/dbraw/zinc/79/43/59/350794359.db2.gz PJIFXOXLXWDSSM-UHFFFAOYSA-N 0 0 280.299 2.867 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1cc(C)ccc1F ZINC000601913527 350800626 /nfs/dbraw/zinc/80/06/26/350800626.db2.gz RNEGZXUEJWDQNY-UHFFFAOYSA-N 0 0 279.271 2.626 20 5 CFBDRN CSCCCSCCn1c(C)ncc1[N+](=O)[O-] ZINC000601918779 350801766 /nfs/dbraw/zinc/80/17/66/350801766.db2.gz YBRFJPMSCJAYAE-UHFFFAOYSA-N 0 0 275.399 2.586 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCC[C@@H]1CCOC1 ZINC000601918308 350801781 /nfs/dbraw/zinc/80/17/81/350801781.db2.gz UKQAWUHWHQYPIX-GFCCVEGCSA-N 0 0 299.396 2.650 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1cc([N+](=O)[O-])c[nH]1)C(C)(C)C ZINC000601922458 350802756 /nfs/dbraw/zinc/80/27/56/350802756.db2.gz IBBSNAQDLBNTOR-VIFPVBQESA-N 0 0 267.329 2.677 20 5 CFBDRN O=C1C[C@@H]2CCCCC2=NN1c1cccc([N+](=O)[O-])c1 ZINC000601931068 350805096 /nfs/dbraw/zinc/80/50/96/350805096.db2.gz FEIPSSAPYJVXHV-JTQLQIEISA-N 0 0 273.292 2.878 20 5 CFBDRN CC1=NN(c2cc([N+](=O)[O-])ccc2C)C(=O)[C@H]1C1CC1 ZINC000601936102 350808720 /nfs/dbraw/zinc/80/87/20/350808720.db2.gz PJXIXSSHQFSTCV-CYBMUJFWSA-N 0 0 273.292 2.652 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-n1nc(C)c2c1CCC2 ZINC000601935961 350808758 /nfs/dbraw/zinc/80/87/58/350808758.db2.gz MZQBPACVBWCEDB-UHFFFAOYSA-N 0 0 273.292 2.586 20 5 CFBDRN CC[C@@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2Cl)N=C1C ZINC000601938835 350810530 /nfs/dbraw/zinc/81/05/30/350810530.db2.gz KLGNHZRHUHCRTJ-VIFPVBQESA-N 0 0 281.699 2.997 20 5 CFBDRN COC[C@@H](C)n1nc(C)cc1-c1ccc([N+](=O)[O-])cc1 ZINC000601943155 350811706 /nfs/dbraw/zinc/81/17/06/350811706.db2.gz BHCYDXSWAFCJET-LLVKDONJSA-N 0 0 275.308 2.974 20 5 CFBDRN CC(C)=C(C)CC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000602084883 350838468 /nfs/dbraw/zinc/83/84/68/350838468.db2.gz MQEPBFUGWPJNAV-UHFFFAOYSA-N 0 0 262.309 2.957 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)[C@H]2C[C@@H](O)C2)cc1[N+](=O)[O-] ZINC000602152438 350847699 /nfs/dbraw/zinc/84/76/99/350847699.db2.gz VFWCJLCXUCGETF-JGZJWPJOSA-N 0 0 292.335 2.602 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCCCN1C ZINC000602153790 350847772 /nfs/dbraw/zinc/84/77/72/350847772.db2.gz ZXMOFSLLFHMPIP-ZDUSSCGKSA-N 0 0 291.351 2.634 20 5 CFBDRN C[C@@]1(C2CCCCC2)CCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000602214833 350852655 /nfs/dbraw/zinc/85/26/55/350852655.db2.gz LTUDXSCNPKPANH-AWEZNQCLSA-N 0 0 292.339 2.503 20 5 CFBDRN C[C@@]1(C2CCCCC2)CCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000602214855 350852904 /nfs/dbraw/zinc/85/29/04/350852904.db2.gz MDDJHBRDACXXSI-AWEZNQCLSA-N 0 0 292.339 2.503 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000602227850 350854522 /nfs/dbraw/zinc/85/45/22/350854522.db2.gz GANKYLQQAJSVPU-COMAGPEQSA-N 0 0 278.308 2.593 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000602232334 350854778 /nfs/dbraw/zinc/85/47/78/350854778.db2.gz FRBZZCZIAVJSDT-KZWBYHQPSA-N 0 0 292.335 2.617 20 5 CFBDRN CCO[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000602232371 350854834 /nfs/dbraw/zinc/85/48/34/350854834.db2.gz SBSAIAIRPDXZQS-CYBMUJFWSA-N 0 0 280.324 2.903 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000602232332 350854973 /nfs/dbraw/zinc/85/49/73/350854973.db2.gz FRBZZCZIAVJSDT-DYNIEEOBSA-N 0 0 292.335 2.617 20 5 CFBDRN Cc1cccc(C(=O)N(C)[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC000602241606 350855607 /nfs/dbraw/zinc/85/56/07/350855607.db2.gz KKZOFQROTGASKK-JTQLQIEISA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000602249818 350855670 /nfs/dbraw/zinc/85/56/70/350855670.db2.gz NCZCZTIDDJIOME-VXFNFUGZSA-N 0 0 296.298 2.732 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@@H]1C ZINC000602269357 350857945 /nfs/dbraw/zinc/85/79/45/350857945.db2.gz TUIIRZMCXPGEMJ-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H](CC(=O)N(C)c1ccc([N+](=O)[O-])nc1)c1ccco1 ZINC000602322483 350863039 /nfs/dbraw/zinc/86/30/39/350863039.db2.gz OVUXUFZFYGVNTM-SNVBAGLBSA-N 0 0 289.291 2.739 20 5 CFBDRN CCC[C@@](C)(NCc1c(F)cccc1[N+](=O)[O-])C(=O)OC ZINC000111247359 186375882 /nfs/dbraw/zinc/37/58/82/186375882.db2.gz CFXNMVOTBJTQNK-CQSZACIVSA-N 0 0 298.314 2.555 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000111273848 186385612 /nfs/dbraw/zinc/38/56/12/186385612.db2.gz FFMVUJCESNRCKQ-FZMZJTMJSA-N 0 0 264.325 2.594 20 5 CFBDRN CC[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)C[C@H](C)O1 ZINC000111276022 186387138 /nfs/dbraw/zinc/38/71/38/186387138.db2.gz DRNOYAMJLZZISV-FZMZJTMJSA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@H]1CN(Cc2cn(C)nc2[N+](=O)[O-])[C@H]1c1ccccc1 ZINC000602488007 350904242 /nfs/dbraw/zinc/90/42/42/350904242.db2.gz YBTKTRDFONPXRM-SMDDNHRTSA-N 0 0 286.335 2.521 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ccc(CCO)cc2)cc1 ZINC000602490915 350904627 /nfs/dbraw/zinc/90/46/27/350904627.db2.gz XWLLOSWAVDRHGD-UHFFFAOYSA-N 0 0 286.331 2.784 20 5 CFBDRN CC(C)[C@@H]1CCN1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602504597 350908047 /nfs/dbraw/zinc/90/80/47/350908047.db2.gz HSEMJHXIQGJIFF-LBPRGKRZSA-N 0 0 275.308 2.966 20 5 CFBDRN Cn1cc(CN2CCc3c2cccc3Cl)c([N+](=O)[O-])n1 ZINC000602599485 350940747 /nfs/dbraw/zinc/94/07/47/350940747.db2.gz QAKSNGOEWUJQKR-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN CCc1cc(NCCc2ccc([N+](=O)[O-])cc2)n(C)n1 ZINC000602586895 350936125 /nfs/dbraw/zinc/93/61/25/350936125.db2.gz DVWUBZDIWFFICW-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602379734 350871540 /nfs/dbraw/zinc/87/15/40/350871540.db2.gz MAWVAHQFIFMBDE-WPRPVWTQSA-N 0 0 271.276 2.738 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@]12C[C@@H]1CCCC2 ZINC000602412371 350876132 /nfs/dbraw/zinc/87/61/32/350876132.db2.gz HUHSZDUYBONSBU-BONVTDFDSA-N 0 0 290.319 2.666 20 5 CFBDRN CSc1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])o1 ZINC000112236616 186476406 /nfs/dbraw/zinc/47/64/06/186476406.db2.gz COXKUUPVEOZIGH-UHFFFAOYSA-N 0 0 292.316 2.840 20 5 CFBDRN Cn1cc(NCCc2ccc([N+](=O)[O-])cc2)c(C2CC2)n1 ZINC000602677736 350973620 /nfs/dbraw/zinc/97/36/20/350973620.db2.gz SXUFSYUHQYPXPV-UHFFFAOYSA-N 0 0 286.335 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC(C4CC4)C3)nc2c1 ZINC000602678484 350974538 /nfs/dbraw/zinc/97/45/38/350974538.db2.gz XHXBWXBXUDIFMS-UHFFFAOYSA-N 0 0 273.292 2.578 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN1Cc2cnn(C)c2C1 ZINC000602719366 350998824 /nfs/dbraw/zinc/99/88/24/350998824.db2.gz PGMNPEUIPIKPGV-SDQBBNPISA-N 0 0 298.346 2.747 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN1C[C@H](C)c2ccccc21 ZINC000602764829 351017291 /nfs/dbraw/zinc/01/72/91/351017291.db2.gz ZHUWDVAQGFSLAJ-NSHDSACASA-N 0 0 286.335 2.723 20 5 CFBDRN C[C@@H](c1ccccc1)N(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602768947 351019375 /nfs/dbraw/zinc/01/93/75/351019375.db2.gz XYYUUYXGHQJUFK-NSHDSACASA-N 0 0 274.324 2.521 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1c[nH]cc1C1CC1 ZINC000602905061 351062370 /nfs/dbraw/zinc/06/23/70/351062370.db2.gz FOXYHJJELFCELI-UHFFFAOYSA-N 0 0 299.330 2.773 20 5 CFBDRN Cc1nocc1NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000603091591 351103420 /nfs/dbraw/zinc/10/34/20/351103420.db2.gz YLSFRUJKJVBAQU-UHFFFAOYSA-N 0 0 289.291 2.808 20 5 CFBDRN C[C@H]1C[C@@H](CNc2ccccc2[N+](=O)[O-])CCO1 ZINC000271426286 191130454 /nfs/dbraw/zinc/13/04/54/191130454.db2.gz VXIATLQJCXMSHZ-QWRGUYRKSA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@H](NC(=O)N[C@@H](C)C(F)F)c1ccccc1[N+](=O)[O-] ZINC000603213915 351118800 /nfs/dbraw/zinc/11/88/00/351118800.db2.gz BXEUTVYKWVJLHC-YUMQZZPRSA-N 0 0 287.266 2.609 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602953493 351071842 /nfs/dbraw/zinc/07/18/42/351071842.db2.gz CEZOGTZVFYSJEE-LLVKDONJSA-N 0 0 294.355 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000602959518 351072415 /nfs/dbraw/zinc/07/24/15/351072415.db2.gz PLXLTYFNGIWLAW-OUJBWJOFSA-N 0 0 293.323 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@H]1C(F)F ZINC000602959969 351072683 /nfs/dbraw/zinc/07/26/83/351072683.db2.gz VMTYKRVAFGBJIH-JTQLQIEISA-N 0 0 285.250 2.775 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1c[nH]cc1C1CC1 ZINC000602983536 351084529 /nfs/dbraw/zinc/08/45/29/351084529.db2.gz MMJJAHKBCHAEIS-UHFFFAOYSA-N 0 0 299.330 2.773 20 5 CFBDRN CCOCc1cn([C@H](C)c2cc([N+](=O)[O-])ccc2C)nn1 ZINC000603249045 351125197 /nfs/dbraw/zinc/12/51/97/351125197.db2.gz DURUTNLTLTUYRS-LLVKDONJSA-N 0 0 290.323 2.641 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(CCCC2CCCC2)c1=O ZINC000603356768 351145954 /nfs/dbraw/zinc/14/59/54/351145954.db2.gz CVCRDTIRCRGGBP-UHFFFAOYSA-N 0 0 280.324 2.736 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(C2CCOCC2)no1 ZINC000113754504 186594875 /nfs/dbraw/zinc/59/48/75/186594875.db2.gz PIFCIYKRMPJXNA-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1cc(NCc2cn(C3CCC3)nn2)ccc1[N+](=O)[O-] ZINC000603402746 351157629 /nfs/dbraw/zinc/15/76/29/351157629.db2.gz FESZNOVWQYYQMD-UHFFFAOYSA-N 0 0 287.323 2.832 20 5 CFBDRN CCc1nnc(Sc2sccc2[N+](=O)[O-])o1 ZINC000603417298 351160295 /nfs/dbraw/zinc/16/02/95/351160295.db2.gz BYZYDMWMDUBEKX-UHFFFAOYSA-N 0 0 257.296 2.753 20 5 CFBDRN CSc1ccc(OCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000603420535 351161089 /nfs/dbraw/zinc/16/10/89/351161089.db2.gz LNOUXUNXDWWCIF-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)C(F)F)c([N+](=O)[O-])c1 ZINC000603424728 351161727 /nfs/dbraw/zinc/16/17/27/351161727.db2.gz SCAFKGHEEOKLMS-LURJTMIESA-N 0 0 258.224 2.863 20 5 CFBDRN CCCCCN(C(=O)c1cc([N+](=O)[O-])cn1C)C(C)C ZINC000603569260 351174087 /nfs/dbraw/zinc/17/40/87/351174087.db2.gz OWBKYTKLHSYXEZ-UHFFFAOYSA-N 0 0 281.356 2.974 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCc1cc2ccccc2o1 ZINC000603691344 351178204 /nfs/dbraw/zinc/17/82/04/351178204.db2.gz CTXJIOJVUPLMAN-UHFFFAOYSA-N 0 0 299.286 2.610 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000603951190 351194094 /nfs/dbraw/zinc/19/40/94/351194094.db2.gz FRQMOPNEQZXMKP-NSHDSACASA-N 0 0 280.324 2.619 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCOc1ccccc1F ZINC000603838270 351184800 /nfs/dbraw/zinc/18/48/00/351184800.db2.gz WACRQFUCZZIPIW-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN Cc1ccccc1CN(C)c1cccnc1[N+](=O)[O-] ZINC000603838268 351184911 /nfs/dbraw/zinc/18/49/11/351184911.db2.gz VHUMVCLXXYQMHG-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CN(CCOc1ccc(F)cc1)c1cccnc1[N+](=O)[O-] ZINC000603844315 351185704 /nfs/dbraw/zinc/18/57/04/351185704.db2.gz OODKGDCMAYNKPD-UHFFFAOYSA-N 0 0 291.282 2.644 20 5 CFBDRN O=[N+]([O-])c1ncccc1Sc1n[nH]c(-c2ccccc2)n1 ZINC000603845140 351185718 /nfs/dbraw/zinc/18/57/18/351185718.db2.gz HJURNVZPCCKVNZ-UHFFFAOYSA-N 0 0 299.315 2.926 20 5 CFBDRN CO[C@H](CNc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000603846309 351185785 /nfs/dbraw/zinc/18/57/85/351185785.db2.gz NJYDYMMXYIFUJP-CYBMUJFWSA-N 0 0 273.292 2.789 20 5 CFBDRN CN(CCCOc1ccccc1)c1cccnc1[N+](=O)[O-] ZINC000603852029 351186139 /nfs/dbraw/zinc/18/61/39/351186139.db2.gz GXOSRXGSSORUTO-UHFFFAOYSA-N 0 0 287.319 2.895 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CCN(c2ccccc2)C1 ZINC000603850948 351186399 /nfs/dbraw/zinc/18/63/99/351186399.db2.gz KVICQFCNXLPPJW-ZDUSSCGKSA-N 0 0 298.346 2.928 20 5 CFBDRN C[C@@H]1C[C@H]1c1ccc(CNc2c([N+](=O)[O-])ncn2C)o1 ZINC000603869505 351187916 /nfs/dbraw/zinc/18/79/16/351187916.db2.gz OLKIASIPLSMLED-PSASIEDQSA-N 0 0 276.296 2.657 20 5 CFBDRN Cc1cc(C)c(CCNc2ccc([N+](=O)[O-])cn2)c(C)c1 ZINC000603867938 351188047 /nfs/dbraw/zinc/18/80/47/351188047.db2.gz DNKGRAGEYYRNNK-UHFFFAOYSA-N 0 0 285.347 2.992 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000603265046 351127382 /nfs/dbraw/zinc/12/73/82/351127382.db2.gz FBCSWXHPFHEPQB-JTQLQIEISA-N 0 0 262.309 2.953 20 5 CFBDRN CCCOc1ccc(OCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000603304612 351135029 /nfs/dbraw/zinc/13/50/29/351135029.db2.gz LHTAXCGSFFWZPU-UHFFFAOYSA-N 0 0 291.307 2.696 20 5 CFBDRN Cc1nn(CSC(C)C)c2ncc([N+](=O)[O-])cc12 ZINC000603319430 351138260 /nfs/dbraw/zinc/13/82/60/351138260.db2.gz INYFDGYLFSLWEN-UHFFFAOYSA-N 0 0 266.326 2.747 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2ccns2)n1 ZINC000603324988 351139386 /nfs/dbraw/zinc/13/93/86/351139386.db2.gz ULPPROSUNYTBCM-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN CCOc1cc(OCn2cc(Cl)cn2)ccc1[N+](=O)[O-] ZINC000603325987 351139572 /nfs/dbraw/zinc/13/95/72/351139572.db2.gz LDKCHDHJARDIGM-UHFFFAOYSA-N 0 0 297.698 2.880 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc2[nH]c(=O)oc2c1 ZINC000604326001 351207817 /nfs/dbraw/zinc/20/78/17/351207817.db2.gz KIKDFRQJKAJKKG-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN C[C@H](CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CC1 ZINC000604398330 351210959 /nfs/dbraw/zinc/21/09/59/351210959.db2.gz OORNHGTZWNPPEF-SECBINFHSA-N 0 0 287.319 2.852 20 5 CFBDRN COCCN(Cc1ccccn1)c1ccc([N+](=O)[O-])s1 ZINC000604409251 351211496 /nfs/dbraw/zinc/21/14/96/351211496.db2.gz JGEKYVVCICAUMS-UHFFFAOYSA-N 0 0 293.348 2.704 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H](C)C1CC1 ZINC000604402119 351211574 /nfs/dbraw/zinc/21/15/74/351211574.db2.gz UOJDXZNUDUIUPE-SECBINFHSA-N 0 0 293.323 2.771 20 5 CFBDRN O=C(NCCNc1ccc([N+](=O)[O-])s1)c1cccs1 ZINC000604409257 351211728 /nfs/dbraw/zinc/21/17/28/351211728.db2.gz KVIRMCDHOAYFFR-UHFFFAOYSA-N 0 0 297.361 2.560 20 5 CFBDRN CC(F)(F)CCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000604470971 351213675 /nfs/dbraw/zinc/21/36/75/351213675.db2.gz IBZPJTGAIDOXSP-UHFFFAOYSA-N 0 0 272.251 2.646 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N(C1CC1)C1CCCCC1 ZINC000604466035 351213705 /nfs/dbraw/zinc/21/37/05/351213705.db2.gz NJWJRVNXOKEHGJ-UHFFFAOYSA-N 0 0 277.324 2.860 20 5 CFBDRN O=C(CNc1ccc(Cl)cc1[N+](=O)[O-])NC1CCCC1 ZINC000604502103 351215232 /nfs/dbraw/zinc/21/52/32/351215232.db2.gz VOCIFTVSVWJMOP-UHFFFAOYSA-N 0 0 297.742 2.719 20 5 CFBDRN Cc1cc(N2CC[C@H]2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000604508411 351216168 /nfs/dbraw/zinc/21/61/68/351216168.db2.gz ZNCSQFKFQKMTJA-LLVKDONJSA-N 0 0 284.319 2.959 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNCc1cc(C)on1 ZINC000604539173 351217897 /nfs/dbraw/zinc/21/78/97/351217897.db2.gz QRHYRMSNLYFCDQ-UHFFFAOYSA-N 0 0 291.307 2.580 20 5 CFBDRN Cc1noc(C)c1[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000604547322 351218260 /nfs/dbraw/zinc/21/82/60/351218260.db2.gz FFJTYKULHGKPIK-QMMMGPOBSA-N 0 0 289.291 2.942 20 5 CFBDRN CCn1nc(C)cc1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000604565777 351219872 /nfs/dbraw/zinc/21/98/72/351219872.db2.gz PIZRSUHGFOMUEH-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN C[C@H]1SCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000118460024 186775375 /nfs/dbraw/zinc/77/53/75/186775375.db2.gz JWGIHSRRINOGED-VHSXEESVSA-N 0 0 295.364 2.953 20 5 CFBDRN O=C(Nc1cccc2[nH]cnc21)c1ccccc1[N+](=O)[O-] ZINC000118726284 186781757 /nfs/dbraw/zinc/78/17/57/186781757.db2.gz GPGAGFIGIRAOJO-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CC[C@@H](NC(=O)COc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000609230004 351492459 /nfs/dbraw/zinc/49/24/59/351492459.db2.gz JFUXIOACKDPYRU-CYBMUJFWSA-N 0 0 294.351 2.915 20 5 CFBDRN Cc1ccc2c(c1)[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)CC2 ZINC000609303746 351495609 /nfs/dbraw/zinc/49/56/09/351495609.db2.gz FGDPVUZKYZOCAJ-GFCCVEGCSA-N 0 0 286.287 2.914 20 5 CFBDRN Cc1occc1CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000609442794 351502263 /nfs/dbraw/zinc/50/22/63/351502263.db2.gz MKWNDMNUFHANSP-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN Cc1noc([C@@H]2CCCN(c3ccc([N+](=O)[O-])s3)C2)n1 ZINC000609560387 351507148 /nfs/dbraw/zinc/50/71/48/351507148.db2.gz JTOJAXLFMSYSLG-SECBINFHSA-N 0 0 294.336 2.732 20 5 CFBDRN Cn1ncc2c1CCC[C@H]2Nc1ccc([N+](=O)[O-])s1 ZINC000609560016 351507215 /nfs/dbraw/zinc/50/72/15/351507215.db2.gz ODKHRNVLVWUHKV-SECBINFHSA-N 0 0 278.337 2.879 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@H](C)C1 ZINC000291419234 198016184 /nfs/dbraw/zinc/01/61/84/198016184.db2.gz KDTMDXVLMGYNTP-ZYHUDNBSSA-N 0 0 292.335 2.911 20 5 CFBDRN CCC[C@H](Nc1c([N+](=O)[O-])ncn1C)c1ccccn1 ZINC000609585908 351509909 /nfs/dbraw/zinc/50/99/09/351509909.db2.gz XGEACKPSSROCNQ-NSHDSACASA-N 0 0 275.312 2.677 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000609613635 351511785 /nfs/dbraw/zinc/51/17/85/351511785.db2.gz ULCLUPBKULASJB-SNVBAGLBSA-N 0 0 270.354 2.909 20 5 CFBDRN CC[C@@H]1c2ccccc2CCN1c1c([N+](=O)[O-])ncn1C ZINC000609625329 351512137 /nfs/dbraw/zinc/51/21/37/351512137.db2.gz GKOADTTUWDZPRH-CYBMUJFWSA-N 0 0 286.335 2.842 20 5 CFBDRN C[C@@H]1c2ccccc2OCCN1c1ccncc1[N+](=O)[O-] ZINC000608973262 351482918 /nfs/dbraw/zinc/48/29/18/351482918.db2.gz DBTNVNLQJVKGFZ-LLVKDONJSA-N 0 0 285.303 2.950 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)CCCO1 ZINC000291397017 198010648 /nfs/dbraw/zinc/01/06/48/198010648.db2.gz HEBLRUSPUVYJAE-AWEZNQCLSA-N 0 0 278.308 2.665 20 5 CFBDRN COc1ccc(CCNc2cccnc2[N+](=O)[O-])cc1F ZINC000609119647 351484886 /nfs/dbraw/zinc/48/48/86/351484886.db2.gz REGATYYKDBCXGP-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000609130100 351486019 /nfs/dbraw/zinc/48/60/19/351486019.db2.gz NWCBAWXGRHQIBE-CYBMUJFWSA-N 0 0 289.335 2.651 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1C1CC1 ZINC000609139178 351486783 /nfs/dbraw/zinc/48/67/83/351486783.db2.gz QAKPKRYOXGSZRH-CYBMUJFWSA-N 0 0 289.335 2.679 20 5 CFBDRN Cc1cccc2c1CCN2c1ccc([N+](=O)[O-])nc1 ZINC000609797053 351519932 /nfs/dbraw/zinc/51/99/32/351519932.db2.gz UHYHVRGWHRIAMP-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](c3ccco3)C2)cn1 ZINC000609802176 351520471 /nfs/dbraw/zinc/52/04/71/351520471.db2.gz CQWUBFXVDLMVGW-SNVBAGLBSA-N 0 0 259.265 2.577 20 5 CFBDRN Cc1ccc([C@@H](C)CNc2ccncc2[N+](=O)[O-])cc1 ZINC000609964069 351528089 /nfs/dbraw/zinc/52/80/89/351528089.db2.gz DLSDEQUPTRWROY-LBPRGKRZSA-N 0 0 271.320 2.936 20 5 CFBDRN Cc1cc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c(C)[nH]1 ZINC000609974202 351529561 /nfs/dbraw/zinc/52/95/61/351529561.db2.gz RDAMHQWZHZXTOU-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN CC[C@@H](C)[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000609974637 351529749 /nfs/dbraw/zinc/52/97/49/351529749.db2.gz UXPRCKFGTJISTA-YPMHNXCESA-N 0 0 293.367 2.974 20 5 CFBDRN CO[C@@H](C)[C@@H](C)NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000291451153 198025634 /nfs/dbraw/zinc/02/56/34/198025634.db2.gz NYEDXMFYWKYXEO-NEPJUHHUSA-N 0 0 294.351 2.673 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@@H](C)C[C@H]1C ZINC000291427639 198019063 /nfs/dbraw/zinc/01/90/63/198019063.db2.gz LIWZOKGZNWJZLD-ISTVAULSSA-N 0 0 292.335 2.909 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000610210076 351540320 /nfs/dbraw/zinc/54/03/20/351540320.db2.gz USKNQAVXURLQJC-IUPBHXKESA-N 0 0 292.360 2.825 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@H]1Cc2ccccc21 ZINC000610225964 351541678 /nfs/dbraw/zinc/54/16/78/351541678.db2.gz LAZNMLIURIXXGV-HNNXBMFYSA-N 0 0 282.299 2.551 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000610281107 351549087 /nfs/dbraw/zinc/54/90/87/351549087.db2.gz PDNKAVUCQOOBJI-IJLUTSLNSA-N 0 0 261.325 2.792 20 5 CFBDRN COc1ccccc1[C@@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000609704322 351516321 /nfs/dbraw/zinc/51/63/21/351516321.db2.gz KETXTVWBFVTHNF-CYBMUJFWSA-N 0 0 288.303 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCc3ccccc3C2)nc1 ZINC000609765691 351518297 /nfs/dbraw/zinc/51/82/97/351518297.db2.gz LBEKPRFWIGNCTG-LBPRGKRZSA-N 0 0 283.331 2.629 20 5 CFBDRN CCOc1cc(N[C@H]2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000610515014 351575288 /nfs/dbraw/zinc/57/52/88/351575288.db2.gz UZYSMCMVJODHMQ-CMPLNLGQSA-N 0 0 280.324 2.709 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC000610526246 351578024 /nfs/dbraw/zinc/57/80/24/351578024.db2.gz JAYDZYGLGQBBMH-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@@H](CNC(=O)c1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000610526771 351578904 /nfs/dbraw/zinc/57/89/04/351578904.db2.gz VRZNLQMVRSWWFU-NSHDSACASA-N 0 0 285.303 2.523 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H](C)c1ccncc1 ZINC000610526798 351579030 /nfs/dbraw/zinc/57/90/30/351579030.db2.gz XRANMRITMNUPDR-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN COCc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2C)o1 ZINC000119840132 186855609 /nfs/dbraw/zinc/85/56/09/186855609.db2.gz ZJMCROCHPCZEHF-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN CSCCNC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000610592385 351584957 /nfs/dbraw/zinc/58/49/57/351584957.db2.gz MTEWYTLKGZWCPN-SNVBAGLBSA-N 0 0 297.380 2.626 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](C)[C@H]2C)c1 ZINC000610588417 351584965 /nfs/dbraw/zinc/58/49/65/351584965.db2.gz ZHBSPMWIYWJUTN-NXEZZACHSA-N 0 0 250.298 2.838 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC1(CCO)CCCCC1 ZINC000610651932 351588380 /nfs/dbraw/zinc/58/83/80/351588380.db2.gz PSZRSXPIVOOYBE-UHFFFAOYSA-N 0 0 279.340 2.735 20 5 CFBDRN COC(=O)[C@@H](Nc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000610661634 351589200 /nfs/dbraw/zinc/58/92/00/351589200.db2.gz SEFSMSYXCFKEFV-LLVKDONJSA-N 0 0 266.297 2.594 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC12CCC2 ZINC000610668696 351590301 /nfs/dbraw/zinc/59/03/01/351590301.db2.gz CKPHTHBNGXNMAW-UHFFFAOYSA-N 0 0 274.320 2.682 20 5 CFBDRN Cc1nc([C@H]2CCCN2c2cc(C)ccc2[N+](=O)[O-])no1 ZINC000610677342 351591475 /nfs/dbraw/zinc/59/14/75/351591475.db2.gz UQESGGQCKBRBHO-GFCCVEGCSA-N 0 0 288.307 2.936 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000610734522 351599424 /nfs/dbraw/zinc/59/94/24/351599424.db2.gz MDILEJXHWSITAN-WDEREUQCSA-N 0 0 293.323 2.531 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)C[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000610741010 351602059 /nfs/dbraw/zinc/60/20/59/351602059.db2.gz IRZUFFWMQTXCEI-WPRPVWTQSA-N 0 0 298.364 2.510 20 5 CFBDRN O=C([C@H]1CC12CCC2)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000610748630 351603437 /nfs/dbraw/zinc/60/34/37/351603437.db2.gz UBJBHEWDWQGNRM-GFCCVEGCSA-N 0 0 272.304 2.674 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCOc1ccc(Cl)cn1 ZINC000610755977 351604056 /nfs/dbraw/zinc/60/40/56/351604056.db2.gz UURDNBJZHPOPAM-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCO[C@H](c2cccs2)C1 ZINC000610756189 351604209 /nfs/dbraw/zinc/60/42/09/351604209.db2.gz RPNCXIFUOVPKIU-NSHDSACASA-N 0 0 291.332 2.629 20 5 CFBDRN Cc1cncc(C)c1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000610754329 351604385 /nfs/dbraw/zinc/60/43/85/351604385.db2.gz DAGISURFWGPMKS-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1nc(NCC(C)(C)[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000610755442 351604743 /nfs/dbraw/zinc/60/47/43/351604743.db2.gz LUULLGDTRGZNEP-ZDUSSCGKSA-N 0 0 293.367 2.727 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)CC2 ZINC000610771481 351606653 /nfs/dbraw/zinc/60/66/53/351606653.db2.gz SGRMNWFXZDCYCV-AWEZNQCLSA-N 0 0 297.314 2.716 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@H]1CCC(F)(F)C1 ZINC000610787556 351608091 /nfs/dbraw/zinc/60/80/91/351608091.db2.gz UZCKLBKCJISFLB-JTQLQIEISA-N 0 0 299.277 2.582 20 5 CFBDRN O=C(N[C@H]1CCC(F)(F)C1)c1csc([N+](=O)[O-])c1 ZINC000610790320 351608853 /nfs/dbraw/zinc/60/88/53/351608853.db2.gz CNFHWGWNDNWABO-ZETCQYMHSA-N 0 0 276.264 2.574 20 5 CFBDRN CCC1(CC)[C@@H](Nc2cccnc2[N+](=O)[O-])C[C@@H]1OC ZINC000610808383 351610813 /nfs/dbraw/zinc/61/08/13/351610813.db2.gz GAGQFMJXZXTPPI-RYUDHWBXSA-N 0 0 279.340 2.995 20 5 CFBDRN CC(C)(C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C(F)(F)F ZINC000610397510 351562281 /nfs/dbraw/zinc/56/22/81/351562281.db2.gz ITPGVSHTXOJAOS-SECBINFHSA-N 0 0 293.245 2.630 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@H]([C@H]2CCOC2)C1 ZINC000611002028 351632398 /nfs/dbraw/zinc/63/23/98/351632398.db2.gz YUEVZCVAYBOCLE-UWVGGRQHSA-N 0 0 268.338 2.519 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@H](c2ccco2)C1 ZINC000611271353 351661411 /nfs/dbraw/zinc/66/14/11/351661411.db2.gz VCKRMGVODRDHBS-NSHDSACASA-N 0 0 288.303 2.883 20 5 CFBDRN Cc1sc(C(=O)N2CC(C(C)C)C2)cc1[N+](=O)[O-] ZINC000611078300 351638352 /nfs/dbraw/zinc/63/83/52/351638352.db2.gz WKBQEWMUTQRPJW-UHFFFAOYSA-N 0 0 268.338 2.693 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@@H](C2CCOCC2)C1 ZINC000611104104 351639901 /nfs/dbraw/zinc/63/99/01/351639901.db2.gz AMIVBVCBRNJHHD-LLVKDONJSA-N 0 0 282.365 2.909 20 5 CFBDRN Cc1cccc(Oc2cc(-n3ccnc3)ncn2)c1[N+](=O)[O-] ZINC000611112907 351641168 /nfs/dbraw/zinc/64/11/68/351641168.db2.gz DYZNMMZQGGLNJR-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN CCc1c(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)cnn1C ZINC000611113544 351641213 /nfs/dbraw/zinc/64/12/13/351641213.db2.gz ASWXQONVOOAVDB-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN C[C@@H](NC(=O)NCCc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000611121339 351641850 /nfs/dbraw/zinc/64/18/50/351641850.db2.gz IIWKFJNZIZOKRB-LLVKDONJSA-N 0 0 291.351 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cnc(C3CC3)nc2)s1 ZINC000611116270 351642121 /nfs/dbraw/zinc/64/21/21/351642121.db2.gz PKWGOEPOCGYJGD-UHFFFAOYSA-N 0 0 290.348 2.978 20 5 CFBDRN Cc1cc(C(=O)NCCc2cccc([N+](=O)[O-])c2)c(C)[nH]1 ZINC000611162702 351646061 /nfs/dbraw/zinc/64/60/61/351646061.db2.gz GBHYPMAIVIVPHH-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ccc2nccnc2c1 ZINC000611166369 351646194 /nfs/dbraw/zinc/64/61/94/351646194.db2.gz SRYRDWIMXLKODM-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC3(C2)CC(F)(F)C3)c1 ZINC000611179539 351648288 /nfs/dbraw/zinc/64/82/88/351648288.db2.gz DVUFGLQMHYTNML-UHFFFAOYSA-N 0 0 268.263 2.826 20 5 CFBDRN CC(C)(C)[C@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611204467 351651455 /nfs/dbraw/zinc/65/14/55/351651455.db2.gz NOKKWYKQYZLJJS-ZYHUDNBSSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000611195306 351651753 /nfs/dbraw/zinc/65/17/53/351651753.db2.gz KTVLQBMAVRMEFC-SECBINFHSA-N 0 0 287.319 2.947 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC12CCC2 ZINC000611223611 351653342 /nfs/dbraw/zinc/65/33/42/351653342.db2.gz BIRJFBHULAFCRP-UHFFFAOYSA-N 0 0 297.314 2.912 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(Cc2ccno2)C2CC2)c1 ZINC000610865249 351617463 /nfs/dbraw/zinc/61/74/63/351617463.db2.gz LNNIBAKANLZHSN-UHFFFAOYSA-N 0 0 273.292 2.747 20 5 CFBDRN Cc1ccc(COc2ncc(C)cc2[N+](=O)[O-])nc1 ZINC000610928428 351622452 /nfs/dbraw/zinc/62/24/52/351622452.db2.gz BIIBWZCFNZNTOO-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000611373686 351677273 /nfs/dbraw/zinc/67/72/73/351677273.db2.gz QPRBZEOEWHIFCH-NOZJJQNGSA-N 0 0 268.288 2.961 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000611373698 351677289 /nfs/dbraw/zinc/67/72/89/351677289.db2.gz TWDPLWCMKFFBJY-LDYMZIIASA-N 0 0 285.731 2.870 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000611373688 351677452 /nfs/dbraw/zinc/67/74/52/351677452.db2.gz QPRBZEOEWHIFCH-TVQRCGJNSA-N 0 0 268.288 2.961 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1ccccc1[N+](=O)[O-] ZINC000611373635 351677474 /nfs/dbraw/zinc/67/74/74/351677474.db2.gz LDJUZIGDNYLQFT-ZWNOBZJWSA-N 0 0 250.298 2.822 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])s1 ZINC000611373623 351677480 /nfs/dbraw/zinc/67/74/80/351677480.db2.gz KJWIVRQNMXJPNQ-RKDXNWHRSA-N 0 0 256.327 2.883 20 5 CFBDRN CC[C@H](C)CONC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000291612406 198050009 /nfs/dbraw/zinc/05/00/09/198050009.db2.gz JQDQWGJEYASYLX-QWRGUYRKSA-N 0 0 295.339 2.933 20 5 CFBDRN CN(C)c1ccc(CNc2sccc2[N+](=O)[O-])cn1 ZINC000611411457 351685008 /nfs/dbraw/zinc/68/50/08/351685008.db2.gz BOVACZOYCYGHNH-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCNc1ccccn1 ZINC000611411127 351685293 /nfs/dbraw/zinc/68/52/93/351685293.db2.gz RTCBRDDTMPWQSI-UHFFFAOYSA-N 0 0 264.310 2.575 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H]1CCC[C@H](O)C1 ZINC000611412862 351686223 /nfs/dbraw/zinc/68/62/23/351686223.db2.gz JULUJOUCVVACED-BDAKNGLRSA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC1(O)Cc2ccccc2C1 ZINC000611413122 351686238 /nfs/dbraw/zinc/68/62/38/351686238.db2.gz TVZGCJCYGMJWAE-UHFFFAOYSA-N 0 0 290.344 2.598 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2sccc2[N+](=O)[O-])C1(C)C ZINC000611413300 351686239 /nfs/dbraw/zinc/68/62/39/351686239.db2.gz DSYDKYDIRPGYIE-RKDXNWHRSA-N 0 0 256.327 2.882 20 5 CFBDRN CCc1nn(C)c(OC)c1CNc1sccc1[N+](=O)[O-] ZINC000611414004 351686755 /nfs/dbraw/zinc/68/67/55/351686755.db2.gz ALRGKGAIPPJJTP-UHFFFAOYSA-N 0 0 296.352 2.573 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1sccc1[N+](=O)[O-] ZINC000611413726 351686974 /nfs/dbraw/zinc/68/69/74/351686974.db2.gz PESWWGMTYGENGY-IUCAKERBSA-N 0 0 256.327 2.883 20 5 CFBDRN Cc1nccn1CCCN(C)c1sccc1[N+](=O)[O-] ZINC000611413531 351687022 /nfs/dbraw/zinc/68/70/22/351687022.db2.gz AWRZYBKYWPRJJV-UHFFFAOYSA-N 0 0 280.353 2.688 20 5 CFBDRN CC(C)[C@@H](O)C1(CNc2sccc2[N+](=O)[O-])CC1 ZINC000611415189 351687188 /nfs/dbraw/zinc/68/71/88/351687188.db2.gz VKHQLEGQTDJEFO-SNVBAGLBSA-N 0 0 270.354 2.865 20 5 CFBDRN CCC[C@@H]1CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000611414745 351687233 /nfs/dbraw/zinc/68/72/33/351687233.db2.gz UGMDINYGBGOJOV-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN CN(Cc1cccc(O)c1)c1sccc1[N+](=O)[O-] ZINC000611414765 351687495 /nfs/dbraw/zinc/68/74/95/351687495.db2.gz XGSJFXWTMPQZLX-UHFFFAOYSA-N 0 0 264.306 2.998 20 5 CFBDRN CC(C)C[C@@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611467987 351693019 /nfs/dbraw/zinc/69/30/19/351693019.db2.gz WDNVGPCXWXCZED-VXGBXAGGSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1cc(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)nn1C ZINC000611492351 351696884 /nfs/dbraw/zinc/69/68/84/351696884.db2.gz IXDOEUBSLRYZJB-UHFFFAOYSA-N 0 0 297.318 2.549 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@H](F)C2)c([N+](=O)[O-])cc1F ZINC000611494617 351697128 /nfs/dbraw/zinc/69/71/28/351697128.db2.gz VUOOKXHIJBMTNZ-WCBMZHEXSA-N 0 0 286.278 2.927 20 5 CFBDRN CC(C)[C@@H]1CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000611494802 351697175 /nfs/dbraw/zinc/69/71/75/351697175.db2.gz UXTWCSAJIDATAR-JTQLQIEISA-N 0 0 256.327 2.518 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1ccsc1[N+](=O)[O-] ZINC000611494901 351697433 /nfs/dbraw/zinc/69/74/33/351697433.db2.gz RYFIRPDZOBULLM-GXSJLCMTSA-N 0 0 270.354 2.644 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1sccc1[N+](=O)[O-] ZINC000611494850 351697508 /nfs/dbraw/zinc/69/75/08/351697508.db2.gz FPCDHLULGHUULC-ONGXEEELSA-N 0 0 270.354 2.644 20 5 CFBDRN CC(C)[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000611494766 351697532 /nfs/dbraw/zinc/69/75/32/351697532.db2.gz MCVGRIOEXBUQND-NSHDSACASA-N 0 0 285.731 2.504 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1ccc([N+](=O)[O-])s1 ZINC000611494893 351697677 /nfs/dbraw/zinc/69/76/77/351697677.db2.gz NIIILEVRPJYJFX-ZJUUUORDSA-N 0 0 270.354 2.644 20 5 CFBDRN C[C@H]1CCN(CCOc2ccccc2[N+](=O)[O-])C[C@H]1F ZINC000611502467 351700399 /nfs/dbraw/zinc/70/03/99/351700399.db2.gz SRPXEELHISGOKD-NWDGAFQWSA-N 0 0 282.315 2.654 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)[C@H](F)C2)c1 ZINC000611506082 351701226 /nfs/dbraw/zinc/70/12/26/351701226.db2.gz GMWRLUROSSSYMO-CMPLNLGQSA-N 0 0 280.299 2.723 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC(Cc2ccccc2)C1 ZINC000611510224 351701622 /nfs/dbraw/zinc/70/16/22/351701622.db2.gz MTTSKJVEYYBOEG-UHFFFAOYSA-N 0 0 269.304 2.669 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCCCn1ccnc1 ZINC000611509792 351702080 /nfs/dbraw/zinc/70/20/80/351702080.db2.gz CGQJDTMRSQYLIT-UHFFFAOYSA-N 0 0 266.326 2.745 20 5 CFBDRN CN(CCc1ccncc1)c1sccc1[N+](=O)[O-] ZINC000611289994 351664526 /nfs/dbraw/zinc/66/45/26/351664526.db2.gz PDRNYQJLGGOMMP-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN CC(C)C(=O)N1CCC(Nc2sccc2[N+](=O)[O-])CC1 ZINC000611290004 351664539 /nfs/dbraw/zinc/66/45/39/351664539.db2.gz QEEMUAOKRIWEMK-UHFFFAOYSA-N 0 0 297.380 2.715 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1sccc1[N+](=O)[O-] ZINC000611290008 351664627 /nfs/dbraw/zinc/66/46/27/351664627.db2.gz QMKSMFOUVRYCOH-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN Cc1nn(C)c(N[C@@H](C)c2cnccc2C)c1[N+](=O)[O-] ZINC000611299037 351666315 /nfs/dbraw/zinc/66/63/15/351666315.db2.gz JKIDEMBHEUUKJR-VIFPVBQESA-N 0 0 275.312 2.513 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000611339113 351670810 /nfs/dbraw/zinc/67/08/10/351670810.db2.gz ITTMGSGKXAXYMH-OLZOCXBDSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(O)c2)s1 ZINC000611370402 351675444 /nfs/dbraw/zinc/67/54/44/351675444.db2.gz MWUMOMCAACRELT-UHFFFAOYSA-N 0 0 250.279 2.974 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611705057 351726070 /nfs/dbraw/zinc/72/60/70/351726070.db2.gz DQUBKHUXXRPMDB-VHSXEESVSA-N 0 0 272.308 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3C[C@@H]4CCC[C@H]4C3)c2c1 ZINC000611708445 351727230 /nfs/dbraw/zinc/72/72/30/351727230.db2.gz OHHJSCURGXHFJT-QWRGUYRKSA-N 0 0 284.319 2.774 20 5 CFBDRN C[C@H]1CC[C@H](C)N1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611709256 351727741 /nfs/dbraw/zinc/72/77/41/351727741.db2.gz SCQZNRLMZDMYCF-UWVGGRQHSA-N 0 0 272.308 2.915 20 5 CFBDRN COC[C@@](C)(NCc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000611717305 351729600 /nfs/dbraw/zinc/72/96/00/351729600.db2.gz IVIJEZDZFYYODP-LLVKDONJSA-N 0 0 292.257 2.652 20 5 CFBDRN Cc1cnc(SCCOc2ccc([N+](=O)[O-])cc2)nc1 ZINC000120941579 186938391 /nfs/dbraw/zinc/93/83/91/186938391.db2.gz ALQHZIAGWWRLCO-UHFFFAOYSA-N 0 0 291.332 2.864 20 5 CFBDRN COC(=O)[C@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(C)(C)C ZINC000611564240 351709610 /nfs/dbraw/zinc/70/96/10/351709610.db2.gz GJNBKJWYIYODEM-ZDUSSCGKSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1cc(N2CCC[C@H](OC(F)F)C2)ncc1[N+](=O)[O-] ZINC000611583603 351711970 /nfs/dbraw/zinc/71/19/70/351711970.db2.gz LQLBWYYKQXZVSJ-VIFPVBQESA-N 0 0 287.266 2.506 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H](OC(F)F)C1 ZINC000611584185 351712726 /nfs/dbraw/zinc/71/27/26/351712726.db2.gz XDQXBXRJUMVHAQ-SECBINFHSA-N 0 0 290.241 2.942 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616392 351714324 /nfs/dbraw/zinc/71/43/24/351714324.db2.gz KDWBLNMRYFYALT-HZMBPMFUSA-N 0 0 281.356 2.816 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616374 351714395 /nfs/dbraw/zinc/71/43/95/351714395.db2.gz YXZLKEMDMKTJMB-SNVBAGLBSA-N 0 0 289.339 2.822 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)[C@@H]2CCCO2)n1 ZINC000611615748 351714536 /nfs/dbraw/zinc/71/45/36/351714536.db2.gz VWUBCOXIHINLFM-MNOVXSKESA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)C[C@@H]2CCCO2)n1 ZINC000611616057 351714581 /nfs/dbraw/zinc/71/45/81/351714581.db2.gz NDNAQVVMPHZQLU-NEPJUHHUSA-N 0 0 279.340 2.976 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616373 351714653 /nfs/dbraw/zinc/71/46/53/351714653.db2.gz YXZLKEMDMKTJMB-JTQLQIEISA-N 0 0 289.339 2.822 20 5 CFBDRN CCC[C@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])CCO1 ZINC000611617433 351715270 /nfs/dbraw/zinc/71/52/70/351715270.db2.gz SQVVUYQYTIQXCX-LBPRGKRZSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCCC[C@@H]2CO)n1 ZINC000611616786 351715348 /nfs/dbraw/zinc/71/53/48/351715348.db2.gz GXXKUKZHYRMSRI-NEPJUHHUSA-N 0 0 279.340 2.570 20 5 CFBDRN CCc1nnc(COc2cc([N+](=O)[O-])ccc2OC)s1 ZINC000612011793 351761645 /nfs/dbraw/zinc/76/16/45/351761645.db2.gz SAXBYRZYBLHHMU-UHFFFAOYSA-N 0 0 295.320 2.596 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC([C@@H]2CCOC2)CC1 ZINC000612099380 351774238 /nfs/dbraw/zinc/77/42/38/351774238.db2.gz VQVOSJDNMDRTPL-LLVKDONJSA-N 0 0 282.365 2.909 20 5 CFBDRN COCC[C@@H](Cc1ccco1)Nc1cccnc1[N+](=O)[O-] ZINC000612098947 351774321 /nfs/dbraw/zinc/77/43/21/351774321.db2.gz HFBSSDJDVPMNLQ-NSHDSACASA-N 0 0 291.307 2.643 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC([C@H]2CCOC2)CC1 ZINC000612099381 351774635 /nfs/dbraw/zinc/77/46/35/351774635.db2.gz VQVOSJDNMDRTPL-NSHDSACASA-N 0 0 282.365 2.909 20 5 CFBDRN CCCN(CC(=O)OC(C)(C)C)c1cccnc1[N+](=O)[O-] ZINC000612458826 351823792 /nfs/dbraw/zinc/82/37/92/351823792.db2.gz LJAZEPVWPHPBHJ-UHFFFAOYSA-N 0 0 295.339 2.548 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCc2ccc(F)cc2C1 ZINC000121841807 187000139 /nfs/dbraw/zinc/00/01/39/187000139.db2.gz AONQSPCFTYQOMC-UHFFFAOYSA-N 0 0 290.250 2.525 20 5 CFBDRN Cc1ccc(SCCn2cc([N+](=O)[O-])ccc2=O)cc1 ZINC000121972166 187007742 /nfs/dbraw/zinc/00/77/42/187007742.db2.gz SNGJQZDGHRTQOO-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN CC(C)C1CN(C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000612556692 351834879 /nfs/dbraw/zinc/83/48/79/351834879.db2.gz HKGZNIMWMRYQIC-SNVBAGLBSA-N 0 0 294.326 2.952 20 5 CFBDRN CC(C)C1CN(C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000612556689 351834953 /nfs/dbraw/zinc/83/49/53/351834953.db2.gz HKGZNIMWMRYQIC-JTQLQIEISA-N 0 0 294.326 2.952 20 5 CFBDRN CN(C[C@@H]1CCCOC1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000121727402 186991940 /nfs/dbraw/zinc/99/19/40/186991940.db2.gz ORMQHEQQYXVUEY-VIFPVBQESA-N 0 0 286.278 2.736 20 5 CFBDRN Cc1cc(C(=O)NCc2ccc(O)cc2)cc([N+](=O)[O-])c1 ZINC000123123552 187072571 /nfs/dbraw/zinc/07/25/71/187072571.db2.gz QAEKITWSMZZXAZ-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN C[C@H](C(=O)N(C)c1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000123165719 187074795 /nfs/dbraw/zinc/07/47/95/187074795.db2.gz YBFZCSDHCPJQTE-NSHDSACASA-N 0 0 292.335 2.620 20 5 CFBDRN CC(C)(NC(=O)N[C@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000291773256 198087927 /nfs/dbraw/zinc/08/79/27/198087927.db2.gz BYGCOERADFCGPM-LBPRGKRZSA-N 0 0 291.351 2.928 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Nc1ncc([N+](=O)[O-])cn1)CC2 ZINC000612783633 351861591 /nfs/dbraw/zinc/86/15/91/351861591.db2.gz DDJUMAOWSHYZCY-CYBMUJFWSA-N 0 0 270.292 2.793 20 5 CFBDRN CC(C)(CCNc1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000612779139 351861640 /nfs/dbraw/zinc/86/16/40/351861640.db2.gz HZHMAZBYQMHNMZ-UHFFFAOYSA-N 0 0 286.335 2.587 20 5 CFBDRN CC[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2OC)C1 ZINC000122890884 187058163 /nfs/dbraw/zinc/05/81/63/187058163.db2.gz FSOJSXBRAVWGEA-JTQLQIEISA-N 0 0 293.323 2.867 20 5 CFBDRN C[C@@H](CNc1c2ccccc2ncc1[N+](=O)[O-])C[C@H](C)O ZINC000291760071 198083142 /nfs/dbraw/zinc/08/31/42/198083142.db2.gz AXZXQIRBAOMKAZ-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc([C@@H]2CCCS2)no1 ZINC000612949040 351881194 /nfs/dbraw/zinc/88/11/94/351881194.db2.gz KILSGDWKJJKTGJ-VIFPVBQESA-N 0 0 280.309 2.551 20 5 CFBDRN COc1cc(Cc2noc([C@@H]3C[C@@H]3C)n2)ccc1[N+](=O)[O-] ZINC000612963652 351881803 /nfs/dbraw/zinc/88/18/03/351881803.db2.gz UTOGXFYLQZFQLE-WCBMZHEXSA-N 0 0 289.291 2.701 20 5 CFBDRN CCc1noc([C@@H](C)Oc2cc([N+](=O)[O-])ccc2OC)n1 ZINC000123276774 187082287 /nfs/dbraw/zinc/08/22/87/187082287.db2.gz ISTVXVNEHIYBPI-MRVPVSSYSA-N 0 0 293.279 2.689 20 5 CFBDRN Nc1ccc(-c2noc([C@@H]3CC34CCC4)n2)cc1[N+](=O)[O-] ZINC000612855555 351870001 /nfs/dbraw/zinc/87/00/01/351870001.db2.gz AABSOUJJPOOBBT-VIFPVBQESA-N 0 0 286.291 2.885 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cccc(N(C)C)c2)n1 ZINC000123600949 187100301 /nfs/dbraw/zinc/10/03/01/187100301.db2.gz XNINILAMURTILV-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000291917733 198105810 /nfs/dbraw/zinc/10/58/10/198105810.db2.gz RFKUWBLMGZOAHA-SSDOTTSWSA-N 0 0 271.317 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]nc(-c3nc(C4CCC4)no3)c2c1 ZINC000612896999 351876072 /nfs/dbraw/zinc/87/60/72/351876072.db2.gz DUIWGHRIBKERDF-UHFFFAOYSA-N 0 0 285.263 2.789 20 5 CFBDRN CC1(CNc2c3ccccc3ncc2[N+](=O)[O-])COC1 ZINC000291925688 198107012 /nfs/dbraw/zinc/10/70/12/198107012.db2.gz LWKDSLWUOFNDPL-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN O=C(NCc1ccc(Cl)o1)c1ccc([N+](=O)[O-])cc1 ZINC000613291731 351933525 /nfs/dbraw/zinc/93/35/25/351933525.db2.gz QRTZHPXBZHAPNE-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CC(C)(C(=O)Nc1cnoc1)c1ccc([N+](=O)[O-])cc1F ZINC000612995204 351885964 /nfs/dbraw/zinc/88/59/64/351885964.db2.gz FPGHVHOXLJIKRD-UHFFFAOYSA-N 0 0 293.254 2.638 20 5 CFBDRN CC(C)OC(=O)CCCNc1sccc1[N+](=O)[O-] ZINC000613185242 351911143 /nfs/dbraw/zinc/91/11/43/351911143.db2.gz AYUMACCLFZBZJP-UHFFFAOYSA-N 0 0 272.326 2.800 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC(C)(C)CC(C)(C)C1 ZINC000613432246 351958931 /nfs/dbraw/zinc/95/89/31/351958931.db2.gz WYIXXRQKVPOGCW-UHFFFAOYSA-N 0 0 266.345 2.591 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000131519116 187571009 /nfs/dbraw/zinc/57/10/09/187571009.db2.gz MMRVPNAJCUMFST-JTQLQIEISA-N 0 0 280.349 2.529 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCSC1 ZINC000273493668 192173853 /nfs/dbraw/zinc/17/38/53/192173853.db2.gz TWHXQYIEJZPSTL-SECBINFHSA-N 0 0 299.327 2.703 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)[C@@H]1C ZINC000613917278 352026922 /nfs/dbraw/zinc/02/69/22/352026922.db2.gz BUAKEJGPLNVRTJ-RULNRJAQSA-N 0 0 293.367 2.906 20 5 CFBDRN CC[C@H](C)CONC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000291983417 198125036 /nfs/dbraw/zinc/12/50/36/198125036.db2.gz ZDYPOQCDOBQBEG-QMMMGPOBSA-N 0 0 285.275 2.833 20 5 CFBDRN CC(C)(CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000614897685 352130557 /nfs/dbraw/zinc/13/05/57/352130557.db2.gz SBHNVMKXTVTLBK-UHFFFAOYSA-N 0 0 280.202 2.649 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000291993542 198128942 /nfs/dbraw/zinc/12/89/42/198128942.db2.gz QRUYLWSYGRJVGC-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN CC[C@@]1(C)CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000615498628 352199340 /nfs/dbraw/zinc/19/93/40/352199340.db2.gz YKBAJFKTPGYSDC-NSHDSACASA-N 0 0 256.327 2.662 20 5 CFBDRN COc1cc(C(=O)NC2CCCCC2)ccc1[N+](=O)[O-] ZINC000132663807 187640972 /nfs/dbraw/zinc/64/09/72/187640972.db2.gz GHKWTKPJCNYEMX-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000271480225 191164591 /nfs/dbraw/zinc/16/45/91/191164591.db2.gz SIPBSKBLVWCBPM-AAEUAGOBSA-N 0 0 276.336 2.927 20 5 CFBDRN C[C@H]1C[C@@H](O)CN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000615946075 352266243 /nfs/dbraw/zinc/26/62/43/352266243.db2.gz STOYVHZDQCUTHC-CMPLNLGQSA-N 0 0 294.351 2.732 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cccc(C)c2[N+](=O)[O-])nc1 ZINC000616171824 352295638 /nfs/dbraw/zinc/29/56/38/352295638.db2.gz DSMKXMLXTLVQLM-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccccc2[N+](=O)[O-])nc1 ZINC000616171992 352295779 /nfs/dbraw/zinc/29/57/79/352295779.db2.gz PDTCVMADXMDHDJ-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN Cc1c([C@H](C)[NH2+][C@H](C)CC[S@@](C)=O)cccc1[N+](=O)[O-] ZINC000271518422 191187532 /nfs/dbraw/zinc/18/75/32/191187532.db2.gz PXLIMXNEORYXQK-HTBQSJRVSA-N 0 0 298.408 2.711 20 5 CFBDRN CCc1cc(O)ccc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000616549304 352369307 /nfs/dbraw/zinc/36/93/07/352369307.db2.gz OOCIVIQAKUWSTK-UHFFFAOYSA-N 0 0 287.275 2.510 20 5 CFBDRN CCc1nnc([C@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000271540090 191200205 /nfs/dbraw/zinc/20/02/05/191200205.db2.gz QQYXURKTYPNSEM-UWVGGRQHSA-N 0 0 289.339 2.687 20 5 CFBDRN O=C(Nc1cccc2cnncc21)c1ccc([N+](=O)[O-])cc1 ZINC000617146803 352502727 /nfs/dbraw/zinc/50/27/27/352502727.db2.gz PBVYEORXXFUNJP-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1ccsc1[N+](=O)[O-] ZINC000617460377 352566231 /nfs/dbraw/zinc/56/62/31/352566231.db2.gz YLEMCRUAEPKZIG-QMMMGPOBSA-N 0 0 272.326 2.658 20 5 CFBDRN CC[C@@H](CCO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000134965470 187785465 /nfs/dbraw/zinc/78/54/65/187785465.db2.gz CIERNNZRTGYQKW-NSHDSACASA-N 0 0 275.308 2.716 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000134961747 187785519 /nfs/dbraw/zinc/78/55/19/187785519.db2.gz XXAPJEJPTCVTMB-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@H](CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000617709609 352630444 /nfs/dbraw/zinc/63/04/44/352630444.db2.gz NOJROLFEVPQKJQ-MNOVXSKESA-N 0 0 276.336 2.861 20 5 CFBDRN C[C@@H](CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000617709610 352630506 /nfs/dbraw/zinc/63/05/06/352630506.db2.gz NOJROLFEVPQKJQ-QWRGUYRKSA-N 0 0 276.336 2.861 20 5 CFBDRN Cc1cc(N2CCOC[C@@H]2C)c2cccc([N+](=O)[O-])c2n1 ZINC000301981421 539916221 /nfs/dbraw/zinc/91/62/21/539916221.db2.gz BAZKOWIWIPTZOZ-NSHDSACASA-N 0 0 287.319 2.677 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000135250903 187811815 /nfs/dbraw/zinc/81/18/15/187811815.db2.gz RUEANFTWOFTZIS-RKDXNWHRSA-N 0 0 254.261 2.571 20 5 CFBDRN CC[C@@H](C)NC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271622631 191245935 /nfs/dbraw/zinc/24/59/35/191245935.db2.gz NATKNJCACHDLOP-NXEZZACHSA-N 0 0 265.313 2.754 20 5 CFBDRN COCCC(C)(C)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000230840745 539931996 /nfs/dbraw/zinc/93/19/96/539931996.db2.gz GTZFEAQOJRTLDO-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN CC(C)CCN(C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000618583519 352820868 /nfs/dbraw/zinc/82/08/68/352820868.db2.gz LZKOXNOSAYOROJ-UHFFFAOYSA-N 0 0 262.313 2.953 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000292191352 198197127 /nfs/dbraw/zinc/19/71/27/198197127.db2.gz PCNFNDATZMHWNI-UHFFFAOYSA-N 0 0 253.302 2.574 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc2ccccc2n1 ZINC000618763294 352878523 /nfs/dbraw/zinc/87/85/23/352878523.db2.gz JRDHDTRABJURTJ-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)c1nccs1 ZINC000618635737 352847613 /nfs/dbraw/zinc/84/76/13/352847613.db2.gz YMVDFEURQNUVJV-QMMMGPOBSA-N 0 0 289.316 2.643 20 5 CFBDRN CCN(C[C@H](C)OC)c1ncc([N+](=O)[O-])cc1Cl ZINC000292202302 198200628 /nfs/dbraw/zinc/20/06/28/198200628.db2.gz CLZYSHNRZUITBB-QMMMGPOBSA-N 0 0 273.720 2.504 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NOC/C=C/Cl ZINC000292210433 198203153 /nfs/dbraw/zinc/20/31/53/198203153.db2.gz PRDWHCCDBUQSIM-SNAWJCMRSA-N 0 0 298.726 2.934 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000149964996 187923499 /nfs/dbraw/zinc/92/34/99/187923499.db2.gz WRXYFBFWXUCJTH-CABZTGNLSA-N 0 0 273.292 2.732 20 5 CFBDRN C[C@H](C(=O)N1CC(C)(C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000619147404 352965744 /nfs/dbraw/zinc/96/57/44/352965744.db2.gz UOPVGUPNNNAYOY-VIFPVBQESA-N 0 0 280.299 2.706 20 5 CFBDRN Cc1c(C(=O)N2CCC(F)(F)CC2)cccc1[N+](=O)[O-] ZINC000619457597 353026261 /nfs/dbraw/zinc/02/62/61/353026261.db2.gz ZPJPKINPGZTHCK-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N(CC1CC1)C1CCC1 ZINC000619458782 353026558 /nfs/dbraw/zinc/02/65/58/353026558.db2.gz BVWYWGJYZBNYSF-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](C)C(C)(C)O)c([N+](=O)[O-])c1 ZINC000619479047 353028382 /nfs/dbraw/zinc/02/83/82/353028382.db2.gz FDFCSGBHCMGHEM-SECBINFHSA-N 0 0 280.324 2.759 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@H](C)CC(C)(C)C1 ZINC000619489885 353028931 /nfs/dbraw/zinc/02/89/31/353028931.db2.gz XSPRDTWUTIFUPT-SNVBAGLBSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](C)C(C)(C)C1 ZINC000619489981 353028988 /nfs/dbraw/zinc/02/89/88/353028988.db2.gz FMZWDRNCMXVUPN-JTQLQIEISA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC1CCC(O)(CC)CC1 ZINC000619488156 353029164 /nfs/dbraw/zinc/02/91/64/353029164.db2.gz YSTJKPUBFCISFE-UHFFFAOYSA-N 0 0 296.371 2.615 20 5 CFBDRN C[C@H]1CN(c2ccsc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000619499924 353029517 /nfs/dbraw/zinc/02/95/17/353029517.db2.gz IHVWLJWAVBZPRM-GZMMTYOYSA-N 0 0 268.338 2.660 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](C)C(F)(F)C1 ZINC000619498178 353029695 /nfs/dbraw/zinc/02/96/95/353029695.db2.gz GLBGFPJUHCLHEX-QMMMGPOBSA-N 0 0 288.298 2.601 20 5 CFBDRN Cc1cccc(N2C[C@@H](C)O[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000619499907 353029720 /nfs/dbraw/zinc/02/97/20/353029720.db2.gz GSJXQOHGBZDJOB-RISCZKNCSA-N 0 0 276.336 2.907 20 5 CFBDRN CCCC[C@H](Sc1cccnc1[N+](=O)[O-])C(=O)OC ZINC000619500988 353029726 /nfs/dbraw/zinc/02/97/26/353029726.db2.gz HXNFPJCOXUTWMX-JTQLQIEISA-N 0 0 284.337 2.814 20 5 CFBDRN CCCCN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273502645 192177676 /nfs/dbraw/zinc/17/76/76/192177676.db2.gz VLWHYAVDJRAAGJ-UHFFFAOYSA-N 0 0 269.276 2.998 20 5 CFBDRN O=C(CCC(F)F)Nc1ccc([N+](=O)[O-])cc1F ZINC000619517251 353031467 /nfs/dbraw/zinc/03/14/67/353031467.db2.gz RUJYDKKOLQVKCZ-UHFFFAOYSA-N 0 0 262.187 2.718 20 5 CFBDRN Cc1nc(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)co1 ZINC000619788660 353066728 /nfs/dbraw/zinc/06/67/28/353066728.db2.gz JXALUJVFPHYCPI-SECBINFHSA-N 0 0 289.291 2.691 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1c1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000619871079 353069964 /nfs/dbraw/zinc/06/99/64/353069964.db2.gz NTJGPEIKUDCRGZ-ZFWWWQNUSA-N 0 0 297.314 2.523 20 5 CFBDRN COc1cnccc1CNc1ccc(C)cc1[N+](=O)[O-] ZINC000619570171 353037559 /nfs/dbraw/zinc/03/75/59/353037559.db2.gz SUCWNNYXPQOVPF-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccoc1C1CC1 ZINC000619589338 353038641 /nfs/dbraw/zinc/03/86/41/353038641.db2.gz CJSNQBFWKOHAHP-UHFFFAOYSA-N 0 0 286.287 2.995 20 5 CFBDRN C[C@H](c1ccccc1)[C@@H](CO)Nc1ncc([N+](=O)[O-])s1 ZINC000619695560 353051866 /nfs/dbraw/zinc/05/18/66/353051866.db2.gz LBIUYTNYCKEILB-MWLCHTKSSA-N 0 0 293.348 2.628 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])s2)CC1(F)F ZINC000619697807 353052389 /nfs/dbraw/zinc/05/23/89/353052389.db2.gz FUZVEFXZATXCEU-LURJTMIESA-N 0 0 263.269 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@@H]3C[C@H]3C(F)(F)F)c2c1 ZINC000619698189 353053231 /nfs/dbraw/zinc/05/32/31/353053231.db2.gz FLMIUQGXZUDKFN-PSASIEDQSA-N 0 0 298.224 2.901 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H](n2cccn2)C1 ZINC000292298593 198234947 /nfs/dbraw/zinc/23/49/47/198234947.db2.gz LDLGATPOPVCLTK-ZDUSSCGKSA-N 0 0 272.308 2.551 20 5 CFBDRN CC[C@@H]1CCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000152799154 188044401 /nfs/dbraw/zinc/04/44/01/188044401.db2.gz ZGOAMLRSTNNWTC-SNVBAGLBSA-N 0 0 250.298 2.840 20 5 CFBDRN CCc1nc(C)c(CNc2ccc(N)cc2[N+](=O)[O-])o1 ZINC000292329117 198246249 /nfs/dbraw/zinc/24/62/49/198246249.db2.gz PHAAIXFTPRXIQM-UHFFFAOYSA-N 0 0 276.296 2.648 20 5 CFBDRN CCCCCN(CCO)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000153783633 188103490 /nfs/dbraw/zinc/10/34/90/188103490.db2.gz BPESMEGLUOZODQ-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN CC(C)CO[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000153877956 188108797 /nfs/dbraw/zinc/10/87/97/188108797.db2.gz GLQZFUMDMRPOIE-JTQLQIEISA-N 0 0 298.364 2.543 20 5 CFBDRN CC[C@H]1CCN(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000153948645 188113539 /nfs/dbraw/zinc/11/35/39/188113539.db2.gz JFPBGSDHMWUPOI-LBPRGKRZSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1cc(N2CCC[C@H]2C[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292350432 198255283 /nfs/dbraw/zinc/25/52/83/198255283.db2.gz BXIBBVHXKOMYHL-QWRGUYRKSA-N 0 0 282.315 2.782 20 5 CFBDRN C[C@]1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)CCCS1 ZINC000154663514 188155828 /nfs/dbraw/zinc/15/58/28/188155828.db2.gz TVWWAZSTGDZYRM-CQSZACIVSA-N 0 0 294.376 2.539 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc(F)c([N+](=O)[O-])cc2F)C1 ZINC000154793611 188162374 /nfs/dbraw/zinc/16/23/74/188162374.db2.gz YTBYWFPLLGLOAR-QMMMGPOBSA-N 0 0 284.262 2.745 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1snnc1C ZINC000292449219 198292347 /nfs/dbraw/zinc/29/23/47/198292347.db2.gz ZBMKKSSIHKDISZ-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN CCc1noc(C)c1COc1ncc(C)cc1[N+](=O)[O-] ZINC000155678919 188221290 /nfs/dbraw/zinc/22/12/90/188221290.db2.gz JCTOQXXOEJLWGR-UHFFFAOYSA-N 0 0 277.280 2.736 20 5 CFBDRN Cc1cc(C(=O)N(C)CCC2CC2)ccc1[N+](=O)[O-] ZINC000156161125 188246105 /nfs/dbraw/zinc/24/61/05/188246105.db2.gz WOGMQLJQPPSVJK-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1nc(CCOc2ccc([N+](=O)[O-])cc2)cs1 ZINC000156668197 188275458 /nfs/dbraw/zinc/27/54/58/188275458.db2.gz QAXAIHBOGAARQY-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN CC(C)CCN(C(=O)c1cccc([N+](=O)[O-])c1N)C1CC1 ZINC000157286280 188307928 /nfs/dbraw/zinc/30/79/28/188307928.db2.gz GUSDYDACOAURHD-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1ccc(NCCCNC(=O)CC2CC2)c([N+](=O)[O-])c1 ZINC000156897682 188287186 /nfs/dbraw/zinc/28/71/86/188287186.db2.gz VBAJEJPVQSZDLJ-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292526036 198320365 /nfs/dbraw/zinc/32/03/65/198320365.db2.gz HIQLGQDJVWEYBU-IUCAKERBSA-N 0 0 256.277 2.878 20 5 CFBDRN Cc1ccc(NC(=O)C2=C(C)OCCC2)cc1[N+](=O)[O-] ZINC000157376454 188313533 /nfs/dbraw/zinc/31/35/33/188313533.db2.gz GSPFCMUACFFKFZ-UHFFFAOYSA-N 0 0 276.292 2.926 20 5 CFBDRN CCC(CC)(CO)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000157603667 188326770 /nfs/dbraw/zinc/32/67/70/188326770.db2.gz UGPPUYUHWAJFLY-UHFFFAOYSA-N 0 0 287.747 2.854 20 5 CFBDRN CC1(CNc2c(Cl)cccc2[N+](=O)[O-])COC1 ZINC000292611052 198350723 /nfs/dbraw/zinc/35/07/23/198350723.db2.gz WUAHXJQGEMSBBV-UHFFFAOYSA-N 0 0 256.689 2.697 20 5 CFBDRN COC[C@H](Nc1cc(C)c([N+](=O)[O-])cc1F)[C@@H]1CCCO1 ZINC000292632249 198357576 /nfs/dbraw/zinc/35/75/76/198357576.db2.gz OEGFPLGAZAXVOE-JSGCOSHPSA-N 0 0 298.314 2.648 20 5 CFBDRN Nc1ccc(NC[C@H](CO)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000292652397 198362259 /nfs/dbraw/zinc/36/22/59/198362259.db2.gz BUQSYIAZRUJKKH-GFCCVEGCSA-N 0 0 293.367 2.778 20 5 CFBDRN CCCc1nccn1CCOc1ccc([N+](=O)[O-])cc1 ZINC000158023135 188352459 /nfs/dbraw/zinc/35/24/59/188352459.db2.gz NHETUSXDYQEZBD-UHFFFAOYSA-N 0 0 275.308 2.823 20 5 CFBDRN CC(C)(C)NC(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000292624702 198354326 /nfs/dbraw/zinc/35/43/26/198354326.db2.gz KAPVCNUBFRXTFB-UHFFFAOYSA-N 0 0 286.715 2.542 20 5 CFBDRN Cc1cc(C)c(OC[C@@H](O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000158420822 188375045 /nfs/dbraw/zinc/37/50/45/188375045.db2.gz OCJBXBFWQUGJNJ-SECBINFHSA-N 0 0 279.214 2.514 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000292667319 198369084 /nfs/dbraw/zinc/36/90/84/198369084.db2.gz CVNJRLOENWQYRH-GFCCVEGCSA-N 0 0 254.261 2.571 20 5 CFBDRN Cc1nc(Sc2ncc(C)cc2[N+](=O)[O-])[nH]c1C ZINC000292667298 198369218 /nfs/dbraw/zinc/36/92/18/198369218.db2.gz CVHFDOXYLQSJET-UHFFFAOYSA-N 0 0 264.310 2.789 20 5 CFBDRN CCc1noc(C)c1CNc1c([N+](=O)[O-])c(C)nn1CC ZINC000292683345 198374082 /nfs/dbraw/zinc/37/40/82/198374082.db2.gz DTZCIQJMWPRIQU-UHFFFAOYSA-N 0 0 293.327 2.591 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCc1nccnc1C ZINC000292685867 198375187 /nfs/dbraw/zinc/37/51/87/198375187.db2.gz DYRATCKSCJFUGR-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CCc1noc(C)c1CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000292727440 198390715 /nfs/dbraw/zinc/39/07/15/198390715.db2.gz HVLLFUJAPCSVMO-UHFFFAOYSA-N 0 0 294.286 2.787 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c2cnccc12)C1CC1 ZINC000292732756 198393339 /nfs/dbraw/zinc/39/33/39/198393339.db2.gz IGTKUDYMZNYEJJ-HNNXBMFYSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1cc(N(C)CCCCCO)c(F)cc1[N+](=O)[O-] ZINC000292804005 198416534 /nfs/dbraw/zinc/41/65/34/198416534.db2.gz YRXAALLQRNSINK-UHFFFAOYSA-N 0 0 270.304 2.641 20 5 CFBDRN CC/C=C/CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000452613680 535239380 /nfs/dbraw/zinc/23/93/80/535239380.db2.gz QAQFFRQVPNCZSL-YEZKRMTDSA-N 0 0 280.299 2.920 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)NC1CCCC1 ZINC000160212004 188460072 /nfs/dbraw/zinc/46/00/72/188460072.db2.gz UITNBUWHLZADOM-SECBINFHSA-N 0 0 295.364 2.529 20 5 CFBDRN Cc1ccnc(N[C@H]2CCO[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000160320940 188466543 /nfs/dbraw/zinc/46/65/43/188466543.db2.gz ALOXQNXWLSGETP-RYUDHWBXSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@@H](NC(=O)NC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000271724800 191291296 /nfs/dbraw/zinc/29/12/96/191291296.db2.gz SBVVVGYTIHYMRM-SECBINFHSA-N 0 0 263.297 2.508 20 5 CFBDRN C[C@@H]1OCC[C@@H]1SCCOc1ccc([N+](=O)[O-])cc1 ZINC000163609055 188612069 /nfs/dbraw/zinc/61/20/69/188612069.db2.gz KCKHETKQBJQMKV-GWCFXTLKSA-N 0 0 283.349 2.884 20 5 CFBDRN O=C(NCC1CCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000168468819 188649632 /nfs/dbraw/zinc/64/96/32/188649632.db2.gz DZWWRQMCFFHXOG-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CCc1n[nH]c(C(=O)NC(C)(C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000170683696 188682907 /nfs/dbraw/zinc/68/29/07/188682907.db2.gz MMLAUDACKICRDZ-UHFFFAOYSA-N 0 0 296.371 2.825 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@H](C)c1cccnc1 ZINC000295400809 199491393 /nfs/dbraw/zinc/49/13/93/199491393.db2.gz ZKQZTNGUCNTYBG-GFCCVEGCSA-N 0 0 293.367 2.827 20 5 CFBDRN C[C@@H](NC(=O)CC[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000271757754 191308045 /nfs/dbraw/zinc/30/80/45/191308045.db2.gz BZKQMYCYWXEJPF-NEPJUHHUSA-N 0 0 292.335 2.731 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@H]2c2cc[nH]n2)s1 ZINC000295692760 199622675 /nfs/dbraw/zinc/62/26/75/199622675.db2.gz OSJOXTMHVUHXIA-VIFPVBQESA-N 0 0 279.325 2.506 20 5 CFBDRN CC(C)c1nnc(CN[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000271766899 191314023 /nfs/dbraw/zinc/31/40/23/191314023.db2.gz PYDLOBCORXOWBJ-SNVBAGLBSA-N 0 0 290.323 2.952 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000172663194 188820001 /nfs/dbraw/zinc/82/00/01/188820001.db2.gz YHSXFTGHHUMORW-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCC2CCC2)c1 ZINC000172918031 188834129 /nfs/dbraw/zinc/83/41/29/188834129.db2.gz LGXAMIOSRMNBJQ-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN C[C@H]1CCCN(C(=O)CNc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000173000057 188838092 /nfs/dbraw/zinc/83/80/92/188838092.db2.gz RUKURUDSDBDIBZ-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000173074309 188840550 /nfs/dbraw/zinc/84/05/50/188840550.db2.gz PZIANMXDDCFAIW-LBPRGKRZSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@H](NCc1noc(C2CC2)n1)c1ccccc1[N+](=O)[O-] ZINC000271797834 191333520 /nfs/dbraw/zinc/33/35/20/191333520.db2.gz VWPUMXHUAAOKKR-VIFPVBQESA-N 0 0 288.307 2.706 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000271827590 191351645 /nfs/dbraw/zinc/35/16/45/191351645.db2.gz DPQFZNGOYDIUTD-VXGBXAGGSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000271883935 191388157 /nfs/dbraw/zinc/38/81/57/191388157.db2.gz MXECZCXJXPSJSU-WDEREUQCSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@@H]3[C@H](C2)C3(C)C)c1[N+](=O)[O-] ZINC000174038416 188880014 /nfs/dbraw/zinc/88/00/14/188880014.db2.gz OXUVNUCRFCANOZ-TXEJJXNPSA-N 0 0 274.320 2.631 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000173878694 188872005 /nfs/dbraw/zinc/87/20/05/188872005.db2.gz OORRMUKOMLUOGR-JTQLQIEISA-N 0 0 280.324 2.528 20 5 CFBDRN O=C(NOC1CCCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000272161932 191549551 /nfs/dbraw/zinc/54/95/51/191549551.db2.gz ZHEDTWMUCFJSMB-UHFFFAOYSA-N 0 0 289.291 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H]1CCCCO1 ZINC000272228905 191594644 /nfs/dbraw/zinc/59/46/44/191594644.db2.gz XAOODNQGCXABLM-SMDDNHRTSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCS[C@@H]2CCCOC2)cc1 ZINC000272482733 191744690 /nfs/dbraw/zinc/74/46/90/191744690.db2.gz RDGBHEGAOCOIBX-CYBMUJFWSA-N 0 0 283.349 2.886 20 5 CFBDRN Cc1ccnc(S[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000272564377 191791827 /nfs/dbraw/zinc/79/18/27/191791827.db2.gz VVQBFJSPKUEERG-VIFPVBQESA-N 0 0 254.311 2.569 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC(CCCO)CC2)cs1 ZINC000272794253 191921961 /nfs/dbraw/zinc/92/19/61/191921961.db2.gz GUEVATZBGWZKTJ-UHFFFAOYSA-N 0 0 284.381 2.641 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)CCS1 ZINC000174790095 188918277 /nfs/dbraw/zinc/91/82/77/188918277.db2.gz GXRKACSYLXCSKG-GFCCVEGCSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccccc2[N+](=O)[O-])s1 ZINC000273543761 192195356 /nfs/dbraw/zinc/19/53/56/192195356.db2.gz XIZZEFCGHBNARV-SSDOTTSWSA-N 0 0 264.310 2.928 20 5 CFBDRN C[C@H]1C[C@H](CCNC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000273556560 192201982 /nfs/dbraw/zinc/20/19/82/192201982.db2.gz MSQAPVQOFMPKIE-VHSXEESVSA-N 0 0 298.364 2.591 20 5 CFBDRN COc1ccnc(CN2CCc3c2cccc3[N+](=O)[O-])c1 ZINC000273578423 192212221 /nfs/dbraw/zinc/21/22/21/192212221.db2.gz HANGADLUCUYWRX-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN CCC1(NC(=O)CSc2ccc([N+](=O)[O-])cc2)CC1 ZINC000295857586 199693797 /nfs/dbraw/zinc/69/37/97/199693797.db2.gz KJJXIVIKTVMMGB-UHFFFAOYSA-N 0 0 280.349 2.746 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2ccc(C(F)F)cc2)c1 ZINC000185799951 540232972 /nfs/dbraw/zinc/23/29/72/540232972.db2.gz VICLDLORZQVJBX-UHFFFAOYSA-N 0 0 253.208 2.777 20 5 CFBDRN C[C@H]1C[C@@H](CCNC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000273593785 192222250 /nfs/dbraw/zinc/22/22/50/192222250.db2.gz QOBVNEYPIARLIA-RYUDHWBXSA-N 0 0 292.335 2.530 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000175260314 188942345 /nfs/dbraw/zinc/94/23/45/188942345.db2.gz CAKZNNYQRPJXPW-VXGBXAGGSA-N 0 0 291.351 2.925 20 5 CFBDRN CC(C)(C)c1nc(CCNc2ccccc2[N+](=O)[O-])no1 ZINC000273767345 192298748 /nfs/dbraw/zinc/29/87/48/192298748.db2.gz DVIZROBKGMXGEA-UHFFFAOYSA-N 0 0 290.323 2.930 20 5 CFBDRN O=C1CC[C@H](CSCc2ccc([N+](=O)[O-])cc2)CCN1 ZINC000295975989 199741240 /nfs/dbraw/zinc/74/12/40/199741240.db2.gz KSGRXUCLYLDDHK-LBPRGKRZSA-N 0 0 294.376 2.744 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ccc([N+](=O)[O-])c(F)c2F)O1 ZINC000296020218 199755282 /nfs/dbraw/zinc/75/52/82/199755282.db2.gz RDNIVOHBSHRSJF-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@@H]1CCCC[C@H]1c1noc(Cn2ccc([N+](=O)[O-])n2)n1 ZINC000273808329 192315696 /nfs/dbraw/zinc/31/56/96/192315696.db2.gz IISXAPSEKFJSQI-NXEZZACHSA-N 0 0 291.311 2.516 20 5 CFBDRN CC[C@H](C)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273805786 192315741 /nfs/dbraw/zinc/31/57/41/192315741.db2.gz YAYRBDXDUGIBBA-ZETCQYMHSA-N 0 0 262.269 2.741 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000293896454 198816684 /nfs/dbraw/zinc/81/66/84/198816684.db2.gz GUZYHBLSFPDOOB-LLVKDONJSA-N 0 0 276.336 2.992 20 5 CFBDRN CC(C)[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000293980896 198856220 /nfs/dbraw/zinc/85/62/20/198856220.db2.gz IKXWYVTZARESOP-GFCCVEGCSA-N 0 0 299.758 2.895 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@@H](C)[C@@H]1C ZINC000294073196 198896624 /nfs/dbraw/zinc/89/66/24/198896624.db2.gz LOVVARTXKJKIRA-VWYCJHECSA-N 0 0 294.376 2.942 20 5 CFBDRN Cc1cc[nH]c1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294057687 198889898 /nfs/dbraw/zinc/88/98/98/198889898.db2.gz JVCZADDKFFWSIG-UHFFFAOYSA-N 0 0 287.319 2.896 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@H]1CF ZINC000294133480 198921645 /nfs/dbraw/zinc/92/16/45/198921645.db2.gz KUOUOXOADRBBGW-JTQLQIEISA-N 0 0 291.282 2.650 20 5 CFBDRN CCCOc1cccc(Cn2cc([N+](=O)[O-])cn2)c1 ZINC000294269429 198977524 /nfs/dbraw/zinc/97/75/24/198977524.db2.gz RQGCXXZDYIUTSY-UHFFFAOYSA-N 0 0 261.281 2.628 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000294243578 198966950 /nfs/dbraw/zinc/96/69/50/198966950.db2.gz ZYZCMUGIZJLFRT-NSHDSACASA-N 0 0 278.308 2.536 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCc2c1cccc2F)[N+](=O)[O-] ZINC000294331855 199000865 /nfs/dbraw/zinc/00/08/65/199000865.db2.gz ACUWEPCSNWFKNA-ZDUSSCGKSA-N 0 0 294.326 2.765 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294440950 199040563 /nfs/dbraw/zinc/04/05/63/199040563.db2.gz SDNSIRVVNMFRRO-UHFFFAOYSA-N 0 0 268.288 2.908 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2CCCCC2(F)F)cc1[N+](=O)[O-] ZINC000296142094 199796059 /nfs/dbraw/zinc/79/60/59/199796059.db2.gz XGZYTMCFVOVGFP-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])cc1C)CC(C)C ZINC000418982892 236420344 /nfs/dbraw/zinc/42/03/44/236420344.db2.gz BJHLSUUUTGUOHV-GFCCVEGCSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NC[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000294742478 199161713 /nfs/dbraw/zinc/16/17/13/199161713.db2.gz CKVJTRBPLQXIGL-VXNVDRBHSA-N 0 0 282.727 2.942 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CO)CC2CCCCC2)s1 ZINC000419021614 236427254 /nfs/dbraw/zinc/42/72/54/236427254.db2.gz YLQHHDZCRUYOLW-JTQLQIEISA-N 0 0 285.369 2.795 20 5 CFBDRN CC(C)(CCC(=O)NCc1coc2ccccc12)[N+](=O)[O-] ZINC000294766277 199173238 /nfs/dbraw/zinc/17/32/38/199173238.db2.gz BMUFUZGHLPZICC-UHFFFAOYSA-N 0 0 290.319 2.885 20 5 CFBDRN O=C1CC[C@@H](CSCc2ccccc2[N+](=O)[O-])CCN1 ZINC000419548133 236519317 /nfs/dbraw/zinc/51/93/17/236519317.db2.gz SKAMDEACHQCBDF-LLVKDONJSA-N 0 0 294.376 2.744 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]2CCC[C@@H]21 ZINC000294857928 199216957 /nfs/dbraw/zinc/21/69/57/199216957.db2.gz SLTPYXCBGPUNST-GXSJLCMTSA-N 0 0 277.324 2.574 20 5 CFBDRN CC(C)OCCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294862241 199219053 /nfs/dbraw/zinc/21/90/53/199219053.db2.gz DBFNRCOIFIGDKX-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCCCC2(F)F)c1[N+](=O)[O-] ZINC000294853794 199214739 /nfs/dbraw/zinc/21/47/39/199214739.db2.gz CJPGMROBYZMKRA-SECBINFHSA-N 0 0 288.298 2.874 20 5 CFBDRN CC(C)(CO)CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000294935009 199258194 /nfs/dbraw/zinc/25/81/94/199258194.db2.gz KPUXCEJPEJVCFT-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H](NC(=O)N(C)CC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000296842556 200012640 /nfs/dbraw/zinc/01/26/40/200012640.db2.gz OASISSJLOHTKAF-JTQLQIEISA-N 0 0 277.324 2.707 20 5 CFBDRN CC[C@@H](C)CONC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000296848085 200015120 /nfs/dbraw/zinc/01/51/20/200015120.db2.gz OIIMFGNOIFSFLL-SECBINFHSA-N 0 0 297.311 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(O)c1 ZINC000169913558 540538833 /nfs/dbraw/zinc/53/88/33/540538833.db2.gz MMOMSFYMAYZTTH-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CC(C)(CCC(=O)Oc1ccc2c(c1)CCCO2)[N+](=O)[O-] ZINC000296919132 200034159 /nfs/dbraw/zinc/03/41/59/200034159.db2.gz RMVGPYKLAJZDMX-UHFFFAOYSA-N 0 0 293.319 2.753 20 5 CFBDRN Cc1cc(F)c(NCc2nc(C3CC3)no2)cc1[N+](=O)[O-] ZINC000296887308 200026610 /nfs/dbraw/zinc/02/66/10/200026610.db2.gz OKPHGMQHIIAVMY-UHFFFAOYSA-N 0 0 292.270 2.915 20 5 CFBDRN CCC1(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000296950504 200044670 /nfs/dbraw/zinc/04/46/70/200044670.db2.gz AMUYDGBIMXOOHU-SNVBAGLBSA-N 0 0 277.324 2.898 20 5 CFBDRN CCc1nc([C@@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)cs1 ZINC000296985237 200054657 /nfs/dbraw/zinc/05/46/57/200054657.db2.gz DZVZLTNBELIEQJ-SSDOTTSWSA-N 0 0 293.352 2.885 20 5 CFBDRN C[C@@H](CCO)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297093970 200084147 /nfs/dbraw/zinc/08/41/47/200084147.db2.gz KRXKCSCCAZKHIW-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN C[C@H](NC(=O)NOC/C=C/Cl)c1ccc([N+](=O)[O-])cc1 ZINC000297144064 200097244 /nfs/dbraw/zinc/09/72/44/200097244.db2.gz QOSRTPQWKGHLIR-NOKZVFQSSA-N 0 0 299.714 2.639 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc2c(n1)CCC2 ZINC000297230840 200121388 /nfs/dbraw/zinc/12/13/88/200121388.db2.gz RNKFQMOUZYNYBW-UHFFFAOYSA-N 0 0 297.314 2.660 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCCOCC2CC2)c1 ZINC000297257652 200127397 /nfs/dbraw/zinc/12/73/97/200127397.db2.gz VTSWXKIPQJLAPK-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000592000580 540619487 /nfs/dbraw/zinc/61/94/87/540619487.db2.gz ASZZXUAFIPYOAE-GXSJLCMTSA-N 0 0 289.360 2.871 20 5 CFBDRN Cc1nc(NCc2ncc(C(C)(C)C)o2)ncc1[N+](=O)[O-] ZINC000297399899 200177324 /nfs/dbraw/zinc/17/73/24/200177324.db2.gz FQKZCXFAMHSBOR-UHFFFAOYSA-N 0 0 291.311 2.591 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000435819051 240357683 /nfs/dbraw/zinc/35/76/83/240357683.db2.gz RTHXQSXEQBMNEK-PWSUYJOCSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1CCO[C@@H]1C ZINC000297694381 200263681 /nfs/dbraw/zinc/26/36/81/200263681.db2.gz HCZZGSLZWOABAE-GHMZBOCLSA-N 0 0 293.323 2.511 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1CC1(F)F ZINC000297782996 200280249 /nfs/dbraw/zinc/28/02/49/200280249.db2.gz NPQAZYSGARHDSF-YFKPBYRVSA-N 0 0 276.626 2.842 20 5 CFBDRN CC(C)CC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000298076795 200328726 /nfs/dbraw/zinc/32/87/26/200328726.db2.gz JCDDAACGIXBROM-UHFFFAOYSA-N 0 0 258.224 2.858 20 5 CFBDRN Cn1cncc1CCSCc1ccc([N+](=O)[O-])cc1 ZINC000298226619 200365038 /nfs/dbraw/zinc/36/50/38/200365038.db2.gz HXSIDBHEWQVRRX-UHFFFAOYSA-N 0 0 277.349 2.804 20 5 CFBDRN O=[N+]([O-])c1cnn(C2CC(OCc3ccccc3)C2)c1 ZINC000298242822 200369073 /nfs/dbraw/zinc/36/90/73/200369073.db2.gz JENSEZAAXBIKRB-UHFFFAOYSA-N 0 0 273.292 2.712 20 5 CFBDRN CCN(C(=O)CSc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000298206235 200359999 /nfs/dbraw/zinc/35/99/99/200359999.db2.gz MUJPFKKSTGJXRV-UHFFFAOYSA-N 0 0 280.349 2.698 20 5 CFBDRN CCCN(CC)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000298298084 200386852 /nfs/dbraw/zinc/38/68/52/200386852.db2.gz IQSFKFAZXZJLCP-UHFFFAOYSA-N 0 0 295.295 2.684 20 5 CFBDRN O=C(NCC1CC(F)(F)C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000298321557 200393802 /nfs/dbraw/zinc/39/38/02/200393802.db2.gz QVWJJHQIQFXAGQ-UHFFFAOYSA-N 0 0 288.225 2.509 20 5 CFBDRN CCCCc1nc(Cn2nccc2[N+](=O)[O-])cs1 ZINC000176624661 189001844 /nfs/dbraw/zinc/00/18/44/189001844.db2.gz GLDFNYHGSIKXAB-UHFFFAOYSA-N 0 0 266.326 2.639 20 5 CFBDRN CCS[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000176709480 189005417 /nfs/dbraw/zinc/00/54/17/189005417.db2.gz YVQRMGOHOQVABL-ZJUUUORDSA-N 0 0 298.364 2.982 20 5 CFBDRN CO[C@@H](C)c1nc(Cn2nc(C)c([N+](=O)[O-])c2C)cs1 ZINC000177144430 189031930 /nfs/dbraw/zinc/03/19/30/189031930.db2.gz DTDNSTXLMNTEFO-VIFPVBQESA-N 0 0 296.352 2.620 20 5 CFBDRN CC(C)OCCCNc1ccc([N+](=O)[O-])cc1F ZINC000298496799 200449761 /nfs/dbraw/zinc/44/97/61/200449761.db2.gz ZGVFYTFCXCSWCX-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCC[C@H](CO)C2)c(F)c1 ZINC000298505815 200452818 /nfs/dbraw/zinc/45/28/18/200452818.db2.gz GXAGIHCVDDRBTO-IUCAKERBSA-N 0 0 286.278 2.836 20 5 CFBDRN O=C(NC[C@H]1C[C@H]2CC[C@@H]1C2)c1ccc(F)cc1[N+](=O)[O-] ZINC000177300298 189042494 /nfs/dbraw/zinc/04/24/94/189042494.db2.gz ZKUPAXCUBCABJR-HBNTYKKESA-N 0 0 292.310 2.900 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000177990451 189083800 /nfs/dbraw/zinc/08/38/00/189083800.db2.gz MBSLBYXBGKEBEA-VIFPVBQESA-N 0 0 254.286 2.696 20 5 CFBDRN CC1(C(=O)NCc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000298852783 200548768 /nfs/dbraw/zinc/54/87/68/200548768.db2.gz IUGXODHTOHVLCV-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN COc1ccc(CNC(=O)[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000298854330 200548835 /nfs/dbraw/zinc/54/88/35/200548835.db2.gz NEODTRXWLCQVSA-GFCCVEGCSA-N 0 0 290.319 2.576 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000298944817 200568469 /nfs/dbraw/zinc/56/84/69/200568469.db2.gz GWDQIKIFLLXIBW-NSHDSACASA-N 0 0 280.349 2.602 20 5 CFBDRN C[C@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000178511852 189122960 /nfs/dbraw/zinc/12/29/60/189122960.db2.gz LOBHVINGLPXQDE-QWRGUYRKSA-N 0 0 277.324 2.535 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1nnc(C(C)C)o1 ZINC000178374910 189111771 /nfs/dbraw/zinc/11/17/71/189111771.db2.gz WZRBCPYENKQGMJ-UHFFFAOYSA-N 0 0 292.295 2.722 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000178617854 189131756 /nfs/dbraw/zinc/13/17/56/189131756.db2.gz NNFMNANTZBQCJQ-CMPLNLGQSA-N 0 0 278.352 2.997 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000299266455 200655866 /nfs/dbraw/zinc/65/58/66/200655866.db2.gz IBKNWUHLRZWAPP-CYBMUJFWSA-N 0 0 262.309 2.855 20 5 CFBDRN CC(C)[C@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000179022574 189162640 /nfs/dbraw/zinc/16/26/40/189162640.db2.gz BBYFPGKYXZYIDG-JTQLQIEISA-N 0 0 250.298 2.850 20 5 CFBDRN CC(=O)c1ccc(OCCCCCCO)c([N+](=O)[O-])c1 ZINC000179259395 189181256 /nfs/dbraw/zinc/18/12/56/189181256.db2.gz VQBNIWPVGKHASK-UHFFFAOYSA-N 0 0 281.308 2.729 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])C[C@@H]1C ZINC000179299474 189184084 /nfs/dbraw/zinc/18/40/84/189184084.db2.gz XTBGCPZFHPEJPP-NEPJUHHUSA-N 0 0 291.351 2.782 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCCCCC3)nc2c1 ZINC000007048973 540932143 /nfs/dbraw/zinc/93/21/43/540932143.db2.gz YIGOPJQZEPXVCL-UHFFFAOYSA-N 0 0 274.324 2.847 20 5 CFBDRN CCN(CC)C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000179863181 189224481 /nfs/dbraw/zinc/22/44/81/189224481.db2.gz KHVPNOKUVRKMAQ-UHFFFAOYSA-N 0 0 288.250 2.678 20 5 CFBDRN Cc1c(OCc2ncnn2CC(C)C)cccc1[N+](=O)[O-] ZINC000180039316 189234532 /nfs/dbraw/zinc/23/45/32/189234532.db2.gz OIHVDAGIODVMAS-UHFFFAOYSA-N 0 0 290.323 2.730 20 5 CFBDRN CC(C)c1noc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000180412572 189254084 /nfs/dbraw/zinc/25/40/84/189254084.db2.gz HCHPTYWHUZXRES-JTQLQIEISA-N 0 0 290.323 2.952 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CCCOCC2)cccc1[N+](=O)[O-] ZINC000180376112 189252422 /nfs/dbraw/zinc/25/24/22/189252422.db2.gz QMJPRAORAHFRAL-NSHDSACASA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1ccnc(Nc2ccc3c(c2)OCCO3)c1[N+](=O)[O-] ZINC000301276740 200959474 /nfs/dbraw/zinc/95/94/74/200959474.db2.gz JBLKDPUREXWKQS-UHFFFAOYSA-N 0 0 287.275 2.813 20 5 CFBDRN Cn1ccnc1Sc1ncc([N+](=O)[O-])cc1Cl ZINC000301294575 200967573 /nfs/dbraw/zinc/96/75/73/200967573.db2.gz WRPDMGJKXVILOZ-UHFFFAOYSA-N 0 0 270.701 2.528 20 5 CFBDRN Cc1ccnc(Nc2ccc3n[nH]cc3c2)c1[N+](=O)[O-] ZINC000301310135 200972286 /nfs/dbraw/zinc/97/22/86/200972286.db2.gz YWIPCDHIJAPWCH-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN CO[C@H](C)CCC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000181113475 189289395 /nfs/dbraw/zinc/28/93/95/189289395.db2.gz APXZSKFNHUYLNB-SECBINFHSA-N 0 0 267.281 2.624 20 5 CFBDRN CC[C@@H](CSC)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000181157466 189289596 /nfs/dbraw/zinc/28/95/96/189289596.db2.gz NWAXUGWEPZMIDW-LBPRGKRZSA-N 0 0 296.392 2.785 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000181182084 189290111 /nfs/dbraw/zinc/29/01/11/189290111.db2.gz TUZJSLYOHJWABX-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000181194040 189290737 /nfs/dbraw/zinc/29/07/37/189290737.db2.gz LKNRDRSTAUQZDX-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181204956 189290965 /nfs/dbraw/zinc/29/09/65/189290965.db2.gz JWBLUROHAVPYIC-LLVKDONJSA-N 0 0 282.365 2.775 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000301427553 201005538 /nfs/dbraw/zinc/00/55/38/201005538.db2.gz MOPQNVWNXPLFIL-SMDDNHRTSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1cnc(Oc2cccc(C=O)c2)c([N+](=O)[O-])c1 ZINC000301442970 201010295 /nfs/dbraw/zinc/01/02/95/201010295.db2.gz MXRWVUMNFJTVGH-UHFFFAOYSA-N 0 0 258.233 2.903 20 5 CFBDRN Cc1cc(N(C)[C@H]2CCOC2)c(Cl)cc1[N+](=O)[O-] ZINC000301449543 201012922 /nfs/dbraw/zinc/01/29/22/201012922.db2.gz SDYNDOZQFXDQLV-VIFPVBQESA-N 0 0 270.716 2.782 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCC[C@@H](O)C1 ZINC000301464822 201017419 /nfs/dbraw/zinc/01/74/19/201017419.db2.gz GWBHHOWORSSSGD-SMDDNHRTSA-N 0 0 294.351 2.704 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2ccco2)c1 ZINC000301461741 201018423 /nfs/dbraw/zinc/01/84/23/201018423.db2.gz ZTWANGXVZBPDLI-UHFFFAOYSA-N 0 0 266.228 2.948 20 5 CFBDRN Cc1cc(NC[C@@H](C)CCO)c(Cl)cc1[N+](=O)[O-] ZINC000301463696 201018446 /nfs/dbraw/zinc/01/84/46/201018446.db2.gz GAYIKGNMCTTWDK-QMMMGPOBSA-N 0 0 272.732 2.987 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])cn2)sc1C ZINC000301467341 201020160 /nfs/dbraw/zinc/02/01/60/201020160.db2.gz XZLNZQFREKPLCL-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1cc(NCc2cn3c(cccc3C)n2)ncc1[N+](=O)[O-] ZINC000301441129 201009360 /nfs/dbraw/zinc/00/93/60/201009360.db2.gz OOYROTHGXLCWSS-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN C[C@@H]1CCC[C@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)[C@@H]1C ZINC000182007732 189312097 /nfs/dbraw/zinc/31/20/97/189312097.db2.gz WURXCGSTGBGRRQ-WZRBSPASSA-N 0 0 295.339 2.549 20 5 CFBDRN C[C@@H](O)[C@@H]1CCCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182155789 189315858 /nfs/dbraw/zinc/31/58/58/189315858.db2.gz HOZPQYNEONUUHQ-YGRLFVJLSA-N 0 0 298.770 2.984 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000182239529 189318033 /nfs/dbraw/zinc/31/80/33/189318033.db2.gz KJJIAZMOHBDBPZ-SNVBAGLBSA-N 0 0 280.324 2.904 20 5 CFBDRN Nc1c(F)c(N2CCc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000348744851 283652864 /nfs/dbraw/zinc/65/28/64/283652864.db2.gz UYJAJPWCNVMSSF-UHFFFAOYSA-N 0 0 287.294 2.879 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000301565645 201071243 /nfs/dbraw/zinc/07/12/43/201071243.db2.gz PUWWRZLJXXOWJB-MRVPVSSYSA-N 0 0 286.715 2.859 20 5 CFBDRN CC(C)OCCCCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000182384347 189322190 /nfs/dbraw/zinc/32/21/90/189322190.db2.gz LZRWFVSMWFAQHO-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN CC(C)OCCCC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000182771306 189334242 /nfs/dbraw/zinc/33/42/42/189334242.db2.gz CRLHUOWLGUMCQZ-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN COCCC[C@@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000182527221 189326724 /nfs/dbraw/zinc/32/67/24/189326724.db2.gz KFXGCNIKOOJUQC-VXGBXAGGSA-N 0 0 294.351 2.835 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCO1 ZINC000182572668 189327876 /nfs/dbraw/zinc/32/78/76/189327876.db2.gz DAAXZTYEIRQTHO-MWLCHTKSSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1cc(N[C@@H](C)C[C@@H](O)c2ccco2)ncc1[N+](=O)[O-] ZINC000301627764 201104569 /nfs/dbraw/zinc/10/45/69/201104569.db2.gz HKMGLAUQPGVGNV-CMPLNLGQSA-N 0 0 291.307 2.815 20 5 CFBDRN CCOC(=O)c1cc(NCC2CC2)ccc1[N+](=O)[O-] ZINC000301634762 201107325 /nfs/dbraw/zinc/10/73/25/201107325.db2.gz VVZHAGHZJXYFSD-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN CCOC(=O)C[C@H](C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000301640584 201109777 /nfs/dbraw/zinc/10/97/77/201109777.db2.gz GIVVFYULSAGQOM-JTQLQIEISA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1csc(CN2CCc3ccc([N+](=O)[O-])cc32)n1 ZINC000182791829 189335507 /nfs/dbraw/zinc/33/55/07/189335507.db2.gz WXEKUFQNXOYNMV-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1nc(N2CC[C@@H](Nc3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000301624391 201101940 /nfs/dbraw/zinc/10/19/40/201101940.db2.gz GMNNZKUZUJEVKU-CQSZACIVSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1nc(NC[C@@H](O)c2ccccc2F)ccc1[N+](=O)[O-] ZINC000301658434 201120647 /nfs/dbraw/zinc/12/06/47/201120647.db2.gz STJQAAJXHAERFO-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN C[C@@](CO)(Nc1ccc([N+](=O)[O-])c2cnccc12)C1CC1 ZINC000301667621 201125504 /nfs/dbraw/zinc/12/55/04/201125504.db2.gz MSJGMHFNPUDXHY-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000183314506 189354238 /nfs/dbraw/zinc/35/42/38/189354238.db2.gz ZPAXWSFURQNGFA-NEPJUHHUSA-N 0 0 278.352 2.936 20 5 CFBDRN COC(=O)c1cc(NCCC2CC2)cc(C)c1[N+](=O)[O-] ZINC000301688875 201140808 /nfs/dbraw/zinc/14/08/08/201140808.db2.gz MTXOZCGPWMKJCO-UHFFFAOYSA-N 0 0 278.308 2.902 20 5 CFBDRN C[C@H](CNC(=O)c1csc([N+](=O)[O-])c1)c1nccs1 ZINC000183535255 189361430 /nfs/dbraw/zinc/36/14/30/189361430.db2.gz YPKMZTKZIVXUBA-SSDOTTSWSA-N 0 0 297.361 2.646 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CC1CCCCCC1 ZINC000183803902 189368476 /nfs/dbraw/zinc/36/84/76/189368476.db2.gz SXOHVVPPCCAOQE-UHFFFAOYSA-N 0 0 250.298 2.727 20 5 CFBDRN CCC1(CC)[C@H](OC)C[C@@H]1Nc1c([N+](=O)[O-])c(C)nn1C ZINC000301721880 201160716 /nfs/dbraw/zinc/16/07/16/201160716.db2.gz QWYSDBOJCFISMM-WDEREUQCSA-N 0 0 296.371 2.642 20 5 CFBDRN O=C(NCC1(C2CC2)CCC1)Nc1ccncc1[N+](=O)[O-] ZINC000183558577 189361848 /nfs/dbraw/zinc/36/18/48/189361848.db2.gz JDOGDEHAVWCESL-UHFFFAOYSA-N 0 0 290.323 2.692 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183564006 189362498 /nfs/dbraw/zinc/36/24/98/189362498.db2.gz CVIRENDILPSJMH-RKDXNWHRSA-N 0 0 266.301 2.546 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCCOC(C)C ZINC000183650165 189365340 /nfs/dbraw/zinc/36/53/40/189365340.db2.gz QPNUJWDUFYQBRO-UHFFFAOYSA-N 0 0 294.351 2.675 20 5 CFBDRN C[C@H]1[C@@H](CO)CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000413586843 291277206 /nfs/dbraw/zinc/27/72/06/291277206.db2.gz DVZOHDNCWZVPSW-VHSXEESVSA-N 0 0 284.743 2.845 20 5 CFBDRN CC(C)[C@](C)(O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000301787035 201197799 /nfs/dbraw/zinc/19/77/99/201197799.db2.gz MIICPJCCYSOHTK-GFCCVEGCSA-N 0 0 274.267 2.692 20 5 CFBDRN CNC(=O)c1ccc(Sc2ccncc2)c([N+](=O)[O-])c1 ZINC000301804578 201207605 /nfs/dbraw/zinc/20/76/05/201207605.db2.gz XJLCMKPNGGTTEK-UHFFFAOYSA-N 0 0 289.316 2.501 20 5 CFBDRN C[C@H]1CCCCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000184443166 189386138 /nfs/dbraw/zinc/38/61/38/189386138.db2.gz QCWUDVKNRRUXGA-LBPRGKRZSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1ccc(NC[C@@H](C)C(=O)OC(C)C)c([N+](=O)[O-])c1 ZINC000301824869 201217944 /nfs/dbraw/zinc/21/79/44/201217944.db2.gz OCASLIVLJSKIFN-LLVKDONJSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1ccc(SCC(=O)OC(C)C)c([N+](=O)[O-])c1 ZINC000301822086 201217998 /nfs/dbraw/zinc/21/79/98/201217998.db2.gz MOENOJVPOFVPGA-UHFFFAOYSA-N 0 0 269.322 2.947 20 5 CFBDRN CCOc1cc(N(C)C[C@H]2CCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000301881242 201246526 /nfs/dbraw/zinc/24/65/26/201246526.db2.gz ZWGPPYYYDRKEPM-BXUZGUMPSA-N 0 0 294.351 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)C[C@@H](O)c1ccco1 ZINC000301860552 201235457 /nfs/dbraw/zinc/23/54/57/201235457.db2.gz CCUVHZWDGXRHPI-CMPLNLGQSA-N 0 0 291.307 2.815 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000301859596 201236523 /nfs/dbraw/zinc/23/65/23/201236523.db2.gz SSDKZOPXXIJSTD-GXFFZTMASA-N 0 0 295.295 2.873 20 5 CFBDRN CC(C)(C)Oc1cc(N[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000301863985 201238312 /nfs/dbraw/zinc/23/83/12/201238312.db2.gz CXSZDPYRNIWDAK-LLVKDONJSA-N 0 0 280.324 2.973 20 5 CFBDRN CS(=O)(=O)c1cccc(N[C@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000301869138 201239943 /nfs/dbraw/zinc/23/99/43/201239943.db2.gz GVOVGQPDAYYYNM-JTQLQIEISA-N 0 0 296.348 2.519 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000301913006 201258555 /nfs/dbraw/zinc/25/85/55/201258555.db2.gz CHWAPHSNYJOHPF-ILWJIGKKSA-N 0 0 295.295 2.871 20 5 CFBDRN COc1cc(N(C)CCSC)c(F)cc1[N+](=O)[O-] ZINC000301915289 201261517 /nfs/dbraw/zinc/26/15/17/201261517.db2.gz GGIUKXZKVDCWSC-UHFFFAOYSA-N 0 0 274.317 2.542 20 5 CFBDRN C[C@H](CO)N(C)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301920791 201262623 /nfs/dbraw/zinc/26/26/23/201262623.db2.gz XEHUPKIXQJRNRR-SNVBAGLBSA-N 0 0 282.340 2.589 20 5 CFBDRN CN(C)c1nc(CNc2sccc2[N+](=O)[O-])cs1 ZINC000301992607 201291201 /nfs/dbraw/zinc/29/12/01/201291201.db2.gz GKQRPNIVUOTGTI-UHFFFAOYSA-N 0 0 284.366 2.791 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000425472161 239071817 /nfs/dbraw/zinc/07/18/17/239071817.db2.gz GNWUKMNPYAMLIC-ZWNOBZJWSA-N 0 0 289.335 2.816 20 5 CFBDRN Cc1nn(C)c(Nc2ccn(CCC(C)C)n2)c1[N+](=O)[O-] ZINC000302009225 201296036 /nfs/dbraw/zinc/29/60/36/201296036.db2.gz BRGRZQUZYBZNPP-UHFFFAOYSA-N 0 0 292.343 2.623 20 5 CFBDRN C[C@@H](CNc1sccc1[N+](=O)[O-])CC1(C)OCCO1 ZINC000302027453 201307441 /nfs/dbraw/zinc/30/74/41/201307441.db2.gz QVQWMFSLZIXQMO-SECBINFHSA-N 0 0 286.353 2.857 20 5 CFBDRN CCCCSc1nc2cc([N+](=O)[O-])ccc2c(=O)n1C ZINC000185737954 189420331 /nfs/dbraw/zinc/42/03/31/189420331.db2.gz STSHYVSNLIIWNS-UHFFFAOYSA-N 0 0 293.348 2.734 20 5 CFBDRN CCOC(=O)N1CCC(Nc2sccc2[N+](=O)[O-])CC1 ZINC000301998002 201292427 /nfs/dbraw/zinc/29/24/27/201292427.db2.gz QLFJEFOAKKIEKT-UHFFFAOYSA-N 0 0 299.352 2.689 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@]3(CC[C@H](C)C3)C2)c1[N+](=O)[O-] ZINC000302039194 201313722 /nfs/dbraw/zinc/31/37/22/201313722.db2.gz HBWCJLABTZRPLC-XHDPSFHLSA-N 0 0 292.383 2.907 20 5 CFBDRN Cc1cc(N[C@H]2CCOC2)c2cccc([N+](=O)[O-])c2n1 ZINC000302039070 201315339 /nfs/dbraw/zinc/31/53/39/201315339.db2.gz VSSLVXSRBSSWGI-JTQLQIEISA-N 0 0 273.292 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CCC2)c2ncccc12 ZINC000302087895 201336837 /nfs/dbraw/zinc/33/68/37/201336837.db2.gz AFIVQUUMOHYLHG-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1ccc(F)cc1[N+](=O)[O-] ZINC000302088049 201337495 /nfs/dbraw/zinc/33/74/95/201337495.db2.gz ZXCHKTBNBCDLIX-QMTHXVAHSA-N 0 0 282.315 2.721 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2cccc(Cl)c2)nc1 ZINC000302090289 201339153 /nfs/dbraw/zinc/33/91/53/201339153.db2.gz GOFRHVRGWLORHS-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCc3ccccc32)nc1 ZINC000302097515 201340750 /nfs/dbraw/zinc/34/07/50/201340750.db2.gz JSAUQFLGFUGASA-GFCCVEGCSA-N 0 0 284.319 2.917 20 5 CFBDRN C[C@@H](c1ccc(F)c(F)c1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302106855 201343478 /nfs/dbraw/zinc/34/34/78/201343478.db2.gz AAKQAZLSSFHLHA-QMMMGPOBSA-N 0 0 294.261 2.860 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccc(OC(F)F)c2)nc1 ZINC000302156662 201370229 /nfs/dbraw/zinc/37/02/29/201370229.db2.gz NDGSCRIJSCYJRL-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN C[C@H](c1ccccc1F)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302159552 201372591 /nfs/dbraw/zinc/37/25/91/201372591.db2.gz OODKGKIRRLJRDE-SECBINFHSA-N 0 0 276.271 2.721 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+]CC1(CC(=O)[O-])CCC1 ZINC000426658830 239247365 /nfs/dbraw/zinc/24/73/65/239247365.db2.gz YRHRVXCDXCSTML-UHFFFAOYSA-N 0 0 292.335 2.638 20 5 CFBDRN CC(C)(C)c1nc(NCc2cccc([N+](=O)[O-])c2)no1 ZINC000302140080 201361209 /nfs/dbraw/zinc/36/12/09/201361209.db2.gz OMRMETQMLSXTAD-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN Cc1nc(NCC2(c3ccccn3)CC2)ccc1[N+](=O)[O-] ZINC000302196976 201391185 /nfs/dbraw/zinc/39/11/85/201391185.db2.gz XRGHQIGJBDHDHE-UHFFFAOYSA-N 0 0 284.319 2.837 20 5 CFBDRN CC(C)Oc1ccc(CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302174344 201381858 /nfs/dbraw/zinc/38/18/58/201381858.db2.gz WIQWAAIWTRUJHJ-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN Cc1ccc(SCCNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302176820 201384129 /nfs/dbraw/zinc/38/41/29/201384129.db2.gz XIZDBRJQWUNBIN-UHFFFAOYSA-N 0 0 290.348 2.897 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000302176428 201384324 /nfs/dbraw/zinc/38/43/24/201384324.db2.gz XGOMRPZKVPBWJC-SFYZADRCSA-N 0 0 290.266 2.625 20 5 CFBDRN CCOc1cc(C)ccc1CNc1ncc([N+](=O)[O-])cn1 ZINC000302205259 201396621 /nfs/dbraw/zinc/39/66/21/201396621.db2.gz CXPJKUHPWBVMMU-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000188568150 189506282 /nfs/dbraw/zinc/50/62/82/189506282.db2.gz GTNJMCKUOGPQOB-UHFFFAOYSA-N 0 0 280.328 2.794 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1C[C@H]1C1CC1 ZINC000429800510 239679439 /nfs/dbraw/zinc/67/94/39/239679439.db2.gz CYVWSYVHHPTCAC-JOYOIKCWSA-N 0 0 279.271 2.654 20 5 CFBDRN COc1ccc(OC[C@@H](O)C2CCCCC2)cc1[N+](=O)[O-] ZINC000190668857 189571343 /nfs/dbraw/zinc/57/13/43/189571343.db2.gz ZBHAONMIAHMGDP-CQSZACIVSA-N 0 0 295.335 2.923 20 5 CFBDRN CCOC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000190951554 189578521 /nfs/dbraw/zinc/57/85/21/189578521.db2.gz ZVYYXRZYUKIYBY-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN COC(=O)[C@H](C)N(Cc1csc([N+](=O)[O-])c1)C1CCC1 ZINC000191652316 189601758 /nfs/dbraw/zinc/60/17/58/189601758.db2.gz WLXONFIZCLXTAX-VIFPVBQESA-N 0 0 298.364 2.572 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1Cc2ccccc2C1 ZINC000431478602 239898177 /nfs/dbraw/zinc/89/81/77/239898177.db2.gz RBZASYOTAUOIMA-UHFFFAOYSA-N 0 0 296.326 2.626 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000431498650 239900504 /nfs/dbraw/zinc/90/05/04/239900504.db2.gz XOGWAMFPKSHLMA-JTQLQIEISA-N 0 0 297.330 2.698 20 5 CFBDRN CCC[C@H](CNc1ncc(C)cc1[N+](=O)[O-])C(=O)OCC ZINC000432916003 240067043 /nfs/dbraw/zinc/06/70/43/240067043.db2.gz GOXRJINLVUULMF-LLVKDONJSA-N 0 0 295.339 2.690 20 5 CFBDRN CCCN(CC(C)C)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000433700301 240167654 /nfs/dbraw/zinc/16/76/54/240167654.db2.gz BFBGCDGXXGMXBE-UHFFFAOYSA-N 0 0 296.371 2.950 20 5 CFBDRN Cc1cc(C(=O)NCC(C)(C)C)cc([N+](=O)[O-])c1 ZINC000194402685 189660614 /nfs/dbraw/zinc/66/06/14/189660614.db2.gz GOQGQIZCWWHHNQ-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc2c[nH]nc2c1 ZINC000194003638 189652958 /nfs/dbraw/zinc/65/29/58/189652958.db2.gz XZXHPRDUCJCYJK-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN CCCN(C(=O)[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000194119898 189654847 /nfs/dbraw/zinc/65/48/47/189654847.db2.gz AVMSWXSSMHUQKL-ZDUSSCGKSA-N 0 0 278.308 2.517 20 5 CFBDRN CCCOCC(=O)N(CCC)c1cccc([N+](=O)[O-])c1 ZINC000194146437 189655299 /nfs/dbraw/zinc/65/52/99/189655299.db2.gz WNOJZWKSNRLJNO-UHFFFAOYSA-N 0 0 280.324 2.764 20 5 CFBDRN CCC1(CNC(=O)c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000194808978 189667911 /nfs/dbraw/zinc/66/79/11/189667911.db2.gz CQQOREKKXLAQIB-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000195064812 189671720 /nfs/dbraw/zinc/67/17/20/189671720.db2.gz WKEBBMGAZJQCQV-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN CNc1c(C(=O)N2CC(C)=C[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000435993493 240375310 /nfs/dbraw/zinc/37/53/10/240375310.db2.gz JKGPQZYTRJUYCA-SNVBAGLBSA-N 0 0 289.335 2.675 20 5 CFBDRN COc1cccc(C(=O)N(C)[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000435987037 240376154 /nfs/dbraw/zinc/37/61/54/240376154.db2.gz XNDASVZMIGUTSS-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@@H](C)[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C(F)(F)F ZINC000436121042 240391994 /nfs/dbraw/zinc/39/19/94/240391994.db2.gz XRRKHRBWQCJNTG-MUWHJKNJSA-N 0 0 293.245 2.630 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000436402414 240441390 /nfs/dbraw/zinc/44/13/90/240441390.db2.gz WQBGKDDZTBSONR-RDDDGLTNSA-N 0 0 267.260 2.654 20 5 CFBDRN Cc1cccc(NC[C@@H](C)C[C@H](C)O)c1[N+](=O)[O-] ZINC000275429022 193033507 /nfs/dbraw/zinc/03/35/07/193033507.db2.gz INGMMVIDTLIIAH-ONGXEEELSA-N 0 0 252.314 2.722 20 5 CFBDRN CCN(C(=O)Cc1ccccc1[N+](=O)[O-])c1cccnc1C ZINC000439232859 240750020 /nfs/dbraw/zinc/75/00/20/240750020.db2.gz GDEXTTYVIUPDPU-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1ccccc1[N+](=O)[O-] ZINC000439713682 240790539 /nfs/dbraw/zinc/79/05/39/240790539.db2.gz JBYPDCWKWLESAX-GHMZBOCLSA-N 0 0 252.314 2.804 20 5 CFBDRN Cc1cncc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c1 ZINC000443864253 241091567 /nfs/dbraw/zinc/09/15/67/241091567.db2.gz ZKYWNQHCLDTDEQ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COc1cc(C)cc(CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000443890055 241093280 /nfs/dbraw/zinc/09/32/80/241093280.db2.gz UFKLLKNZZQGPTD-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1cc(NC(=O)c2n[nH]cc2[N+](=O)[O-])cc(C)c1Cl ZINC000443173649 241044757 /nfs/dbraw/zinc/04/47/57/241044757.db2.gz QZZHEKRZYICBKQ-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN C[C@@H](Sc1ncco1)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000446059959 241265990 /nfs/dbraw/zinc/26/59/90/241265990.db2.gz GNOABGXBXAEWRY-MRVPVSSYSA-N 0 0 293.304 2.702 20 5 CFBDRN C[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])[C@@H](C)[C@H](C)O1 ZINC000446071539 241270177 /nfs/dbraw/zinc/27/01/77/241270177.db2.gz JSCBBOAZRJHHHE-WOPDTQHZSA-N 0 0 264.325 2.593 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CC[C@H](C)C1 ZINC000302521279 201469993 /nfs/dbraw/zinc/46/99/93/201469993.db2.gz ITOXXPDHPXYHPB-VHSXEESVSA-N 0 0 277.324 2.555 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H](C)C(F)(F)F ZINC000446341175 241296551 /nfs/dbraw/zinc/29/65/51/241296551.db2.gz LLRMWOMPTRGBDJ-MRVPVSSYSA-N 0 0 290.241 2.831 20 5 CFBDRN C[C@H]1C[C@@H](CSc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000447117041 241355229 /nfs/dbraw/zinc/35/52/29/241355229.db2.gz AAOUQAPAOWOZPX-UWVGGRQHSA-N 0 0 268.338 2.897 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1cc(C)ccc1[N+](=O)[O-] ZINC000446907239 241337478 /nfs/dbraw/zinc/33/74/78/241337478.db2.gz VGBIJIZBVISOFL-UHFFFAOYSA-N 0 0 288.351 2.908 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@@H]3OCCC[C@H]23)ccc1[N+](=O)[O-] ZINC000302559494 201479793 /nfs/dbraw/zinc/47/97/93/201479793.db2.gz MLLDNIZSLPUACY-BZPMIXESSA-N 0 0 292.335 2.973 20 5 CFBDRN CCCCCN(CCO)c1cc(C)ccc1[N+](=O)[O-] ZINC000447052064 241346946 /nfs/dbraw/zinc/34/69/46/241346946.db2.gz NHURNOPYJDWKGQ-UHFFFAOYSA-N 0 0 266.341 2.892 20 5 CFBDRN CC[C@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000447687129 241399957 /nfs/dbraw/zinc/39/99/57/241399957.db2.gz BPLMWNBGNGEVLK-VIFPVBQESA-N 0 0 260.297 2.707 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1cnc(C2CC2)o1 ZINC000449698542 242111520 /nfs/dbraw/zinc/11/15/20/242111520.db2.gz NZJVISPEUWKSCU-UHFFFAOYSA-N 0 0 273.292 2.750 20 5 CFBDRN O=C(CC1CC(F)(F)C1)NCCc1ccccc1[N+](=O)[O-] ZINC000449964213 242226121 /nfs/dbraw/zinc/22/61/21/242226121.db2.gz GNPQJCZECGTJAC-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1csc([C@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)n1 ZINC000450305708 242348482 /nfs/dbraw/zinc/34/84/82/242348482.db2.gz BCAVNFNFLIERSU-QMMMGPOBSA-N 0 0 279.325 2.631 20 5 CFBDRN CCN(CC1CC1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000450327200 242359473 /nfs/dbraw/zinc/35/94/73/242359473.db2.gz AWPBUHMBLMXECG-UHFFFAOYSA-N 0 0 260.297 2.707 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450334879 242362375 /nfs/dbraw/zinc/36/23/75/242362375.db2.gz JPDDUGJANQKTQT-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN CCS[C@@H]1CC[C@@H](N(C)c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000450361449 242377303 /nfs/dbraw/zinc/37/73/03/242377303.db2.gz NZTZVZMYRZONJI-GHMZBOCLSA-N 0 0 296.396 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)cn1 ZINC000450388791 242389971 /nfs/dbraw/zinc/38/99/71/242389971.db2.gz JPZTYMISSOALLE-VHDGCEQUSA-N 0 0 267.288 2.740 20 5 CFBDRN C[C@@H]([C@@H]1Cc2ccccc2O1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000450397172 242395377 /nfs/dbraw/zinc/39/53/77/242395377.db2.gz YBUIWQVCWSYDLV-NHYWBVRUSA-N 0 0 299.330 2.818 20 5 CFBDRN CC[C@@H](C)n1nc(Nc2ccc([N+](=O)[O-])nc2)cc1C ZINC000450419963 242409366 /nfs/dbraw/zinc/40/93/66/242409366.db2.gz BGGULGOCMCLKNC-SECBINFHSA-N 0 0 275.312 2.631 20 5 CFBDRN Cc1ccccc1CCN(C)c1ccc([N+](=O)[O-])nc1 ZINC000450597779 242495575 /nfs/dbraw/zinc/49/55/75/242495575.db2.gz ZVPGLZMOZGKFCM-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)COc1cccc([N+](=O)[O-])c1 ZINC000450625402 242510867 /nfs/dbraw/zinc/51/08/67/242510867.db2.gz UFRHNLQIHOWQHO-CQSZACIVSA-N 0 0 293.319 2.892 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1CCc2n[nH]cc2C1 ZINC000302761470 201542192 /nfs/dbraw/zinc/54/21/92/201542192.db2.gz KJSUHMQFEIVJKV-JTQLQIEISA-N 0 0 292.726 2.941 20 5 CFBDRN COCC[C@@H](c1ccccc1)n1cc([N+](=O)[O-])c(C)n1 ZINC000450959501 242689994 /nfs/dbraw/zinc/68/99/94/242689994.db2.gz MYRZPABNBJWQAD-ZDUSSCGKSA-N 0 0 275.308 2.726 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)C[C@H](O)C1CC1 ZINC000302839661 201573160 /nfs/dbraw/zinc/57/31/60/201573160.db2.gz ZKRKUYVATVQRAE-LBPRGKRZSA-N 0 0 284.743 2.764 20 5 CFBDRN C[C@H](NC(=O)COC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000452186161 243077399 /nfs/dbraw/zinc/07/73/99/243077399.db2.gz VQVTXHLIWKGVMX-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)C(F)F ZINC000452238337 243093994 /nfs/dbraw/zinc/09/39/94/243093994.db2.gz AUCTYJNCMAMNQT-SNVBAGLBSA-N 0 0 272.251 2.677 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](F)C1 ZINC000452381005 243148301 /nfs/dbraw/zinc/14/83/01/243148301.db2.gz SHDNVOACIIWCOC-CHWSQXEVSA-N 0 0 294.326 2.734 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C(C)(F)F ZINC000452458790 243181170 /nfs/dbraw/zinc/18/11/70/243181170.db2.gz MZTSNZQXJKYJNW-LURJTMIESA-N 0 0 276.214 2.507 20 5 CFBDRN CCC[C@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])C(C)(C)C ZINC000452663651 243256636 /nfs/dbraw/zinc/25/66/36/243256636.db2.gz RAWSLPIMXBXRPY-VIFPVBQESA-N 0 0 282.344 2.571 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000452779586 243295629 /nfs/dbraw/zinc/29/56/29/243295629.db2.gz UGFZFVWDYCVIMQ-BDAKNGLRSA-N 0 0 266.272 2.510 20 5 CFBDRN CCCCc1cnc(NCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000453054134 243378147 /nfs/dbraw/zinc/37/81/47/243378147.db2.gz RQEMGEUMKZPPGK-UHFFFAOYSA-N 0 0 286.335 2.761 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H]2C2CC2)c1 ZINC000453032177 243370754 /nfs/dbraw/zinc/37/07/54/243370754.db2.gz JHOWLFIQAQOIGW-GFCCVEGCSA-N 0 0 292.360 2.941 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000344973818 284069736 /nfs/dbraw/zinc/06/97/36/284069736.db2.gz CCFLNHHIZZDCOY-WCBMZHEXSA-N 0 0 298.726 2.636 20 5 CFBDRN CCN(CCCO)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000276003384 193185412 /nfs/dbraw/zinc/18/54/12/193185412.db2.gz MTWMSWOBNMVYQZ-UHFFFAOYSA-N 0 0 294.351 2.563 20 5 CFBDRN CC(C)[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330577889 202994851 /nfs/dbraw/zinc/99/48/51/202994851.db2.gz NRHAYCBCABPXDF-GHMZBOCLSA-N 0 0 279.340 2.868 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1CCC[C@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000454366833 243697087 /nfs/dbraw/zinc/69/70/87/243697087.db2.gz QJHQWEASCKHBKW-WQAKAFBOSA-N 0 0 295.314 2.846 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCC1=O ZINC000330696348 203128233 /nfs/dbraw/zinc/12/82/33/203128233.db2.gz DKRVMQGXJNDUOZ-GHMZBOCLSA-N 0 0 290.319 2.563 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000456111295 244077763 /nfs/dbraw/zinc/07/77/63/244077763.db2.gz APSYEHKEHHXQTO-NEPJUHHUSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1ccc(CN2CCO[C@@H](C(C)C)C2)cc1[N+](=O)[O-] ZINC000330691809 203122942 /nfs/dbraw/zinc/12/29/42/203122942.db2.gz BKVGBEILBQQULB-OAHLLOKOSA-N 0 0 278.352 2.760 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000456266487 244120902 /nfs/dbraw/zinc/12/09/02/244120902.db2.gz CTIOTHBPDBGHSD-GXFFZTMASA-N 0 0 292.360 2.917 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000456323509 244139600 /nfs/dbraw/zinc/13/96/00/244139600.db2.gz FSMIOGCMHRASQT-STQMWFEESA-N 0 0 276.336 2.546 20 5 CFBDRN CCC1CCC(N(C)C(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000330741975 203177773 /nfs/dbraw/zinc/17/77/73/203177773.db2.gz SFFLHMRBKQIKLK-UHFFFAOYSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1cc(C)c(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000456863498 244309306 /nfs/dbraw/zinc/30/93/06/244309306.db2.gz RBLQESHSXPYOIR-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)cc1C ZINC000456963197 244338457 /nfs/dbraw/zinc/33/84/57/244338457.db2.gz NYFFBXXADONDFF-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN COC[C@H]1CCCCN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000456924573 244328462 /nfs/dbraw/zinc/32/84/62/244328462.db2.gz CUFNKMIGXRGFEH-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN COC[C@@H]1CCCCN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000456924575 244328619 /nfs/dbraw/zinc/32/86/19/244328619.db2.gz CUFNKMIGXRGFEH-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN COC[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000456940576 244332455 /nfs/dbraw/zinc/33/24/55/244332455.db2.gz PNUVIWGHGXHKNA-JTQLQIEISA-N 0 0 298.364 2.606 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@H]1CCC[C@H](C)C1 ZINC000457016681 244361325 /nfs/dbraw/zinc/36/13/25/244361325.db2.gz VUSWIZYOGUJOMP-GARJFASQSA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@]1(C)CCCC[C@@H]1C ZINC000457253235 244456572 /nfs/dbraw/zinc/45/65/72/244456572.db2.gz KIDCEEWWAYNNSV-BONVTDFDSA-N 0 0 291.351 2.997 20 5 CFBDRN CCC1CN(C(=O)c2ccc(SC)c([N+](=O)[O-])c2)C1 ZINC000457303995 244473140 /nfs/dbraw/zinc/47/31/40/244473140.db2.gz NRJNBDKUIWZGDO-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)O1 ZINC000457349212 244492648 /nfs/dbraw/zinc/49/26/48/244492648.db2.gz ATCFNJYXDWLBAT-QPUJVOFHSA-N 0 0 298.726 2.546 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000230185641 189807356 /nfs/dbraw/zinc/80/73/56/189807356.db2.gz CHYVIFBSVADWFA-ZJUUUORDSA-N 0 0 267.354 2.994 20 5 CFBDRN CCC(O)(CC)CCNc1nc(C)ccc1[N+](=O)[O-] ZINC000276184524 193236672 /nfs/dbraw/zinc/23/66/72/193236672.db2.gz FZKFLUADWVTCMD-UHFFFAOYSA-N 0 0 267.329 2.651 20 5 CFBDRN CCOc1cccc(N[C@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000231038322 189812363 /nfs/dbraw/zinc/81/23/63/189812363.db2.gz TUEPSYCHNDTRNQ-MNOVXSKESA-N 0 0 280.324 2.973 20 5 CFBDRN CCOc1cccc(N[C@@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000231038320 189812427 /nfs/dbraw/zinc/81/24/27/189812427.db2.gz TUEPSYCHNDTRNQ-GHMZBOCLSA-N 0 0 280.324 2.973 20 5 CFBDRN CC(=O)CCCc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000276262809 193263676 /nfs/dbraw/zinc/26/36/76/193263676.db2.gz BKQOMMZYVBPCJG-UHFFFAOYSA-N 0 0 289.291 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1ccc2c(c1)CCC2 ZINC000276285183 193271989 /nfs/dbraw/zinc/27/19/89/193271989.db2.gz XKAHTEDBOYGYTF-UHFFFAOYSA-N 0 0 284.315 2.602 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H](C)Cn1cncn1 ZINC000276531646 193370299 /nfs/dbraw/zinc/37/02/99/193370299.db2.gz VTWRKZZKPKHOLB-SECBINFHSA-N 0 0 295.730 2.649 20 5 CFBDRN CCOC[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000458049577 244747958 /nfs/dbraw/zinc/74/79/58/244747958.db2.gz BXNJYCOXRMLWDL-AWEZNQCLSA-N 0 0 292.335 2.626 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000458248594 244811426 /nfs/dbraw/zinc/81/14/26/244811426.db2.gz BOFALMBDFSSZMI-VHDGCEQUSA-N 0 0 288.347 2.832 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000331117987 203553678 /nfs/dbraw/zinc/55/36/78/203553678.db2.gz NAGDTNRBVFOBJS-HZMBPMFUSA-N 0 0 292.335 2.545 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])s1 ZINC000458255050 244815751 /nfs/dbraw/zinc/81/57/51/244815751.db2.gz AKMNHSDHBLWNNP-HRDYMLBCSA-N 0 0 266.322 2.575 20 5 CFBDRN CNC(=O)c1ccc(NCCC=C(C)C)c([N+](=O)[O-])c1 ZINC000276825810 193472136 /nfs/dbraw/zinc/47/21/36/193472136.db2.gz UNKGKOWONKWJEH-UHFFFAOYSA-N 0 0 277.324 2.723 20 5 CFBDRN CCS[C@@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000331171250 203595744 /nfs/dbraw/zinc/59/57/44/203595744.db2.gz VLRJKIRMCCQIDC-CMPLNLGQSA-N 0 0 297.380 2.717 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000331174556 203598483 /nfs/dbraw/zinc/59/84/83/203598483.db2.gz GIUPHHAHRZYESQ-MNOVXSKESA-N 0 0 294.355 2.510 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000458628848 244927201 /nfs/dbraw/zinc/92/72/01/244927201.db2.gz XUJSUJXMNCSMLS-GXFFZTMASA-N 0 0 289.335 2.850 20 5 CFBDRN Cc1nsc(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000231869099 189815647 /nfs/dbraw/zinc/81/56/47/189815647.db2.gz UPXQMFXMTVXXJI-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000458892922 244995475 /nfs/dbraw/zinc/99/54/75/244995475.db2.gz VWCCUZSJFARUSE-IINYFYTJSA-N 0 0 289.335 2.850 20 5 CFBDRN C[C@H](NC(=O)OC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000286745169 196693978 /nfs/dbraw/zinc/69/39/78/196693978.db2.gz ULNHLDYFEJULMN-GXFFZTMASA-N 0 0 294.307 2.561 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H]1C(C)C ZINC000459270660 245113654 /nfs/dbraw/zinc/11/36/54/245113654.db2.gz DAXMVOCDORQMGC-WDEREUQCSA-N 0 0 293.323 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(F)(F)C(F)(F)C2)cc1 ZINC000459648644 245257125 /nfs/dbraw/zinc/25/71/25/245257125.db2.gz CBCPHEGATKPLHP-UHFFFAOYSA-N 0 0 278.205 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(OC(F)F)C2)c(Cl)c1 ZINC000459621560 245244650 /nfs/dbraw/zinc/24/46/50/245244650.db2.gz BLWVTXYJLMEBDD-UHFFFAOYSA-N 0 0 292.669 2.672 20 5 CFBDRN Cc1sc(C(=O)N2CCCCCO2)cc1[N+](=O)[O-] ZINC000331605457 204007309 /nfs/dbraw/zinc/00/73/09/204007309.db2.gz IADONGPISMMJQN-UHFFFAOYSA-N 0 0 270.310 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CCCCO1 ZINC000459895080 245342111 /nfs/dbraw/zinc/34/21/11/245342111.db2.gz WGCCKCWUTVJXHJ-CQSZACIVSA-N 0 0 294.307 2.501 20 5 CFBDRN CCC(C)(C)OCC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000459895460 245342997 /nfs/dbraw/zinc/34/29/97/245342997.db2.gz GUTHEDBRUKCZFK-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccn(C)n1 ZINC000459801082 245335246 /nfs/dbraw/zinc/33/52/46/245335246.db2.gz XDNMAJVMLBNLKI-GHMZBOCLSA-N 0 0 274.324 2.740 20 5 CFBDRN C[C@H](O)[C@H](C)SCc1ccc([N+](=O)[O-])c(F)c1 ZINC000235588311 189829160 /nfs/dbraw/zinc/82/91/60/189829160.db2.gz UJBQLDDLCZMOIB-YUMQZZPRSA-N 0 0 259.302 2.736 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@@H](CC(F)(F)F)C1 ZINC000277520642 193679955 /nfs/dbraw/zinc/67/99/55/193679955.db2.gz VBNWOUQZKJWDJA-ZETCQYMHSA-N 0 0 292.213 2.602 20 5 CFBDRN CC(C)C[C@H](C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000462635264 245388622 /nfs/dbraw/zinc/38/86/22/245388622.db2.gz CFBUFNNCSZGJES-LBPRGKRZSA-N 0 0 278.352 2.936 20 5 CFBDRN COC(=O)[C@@H](CNc1ccc([N+](=O)[O-])cc1F)CC(C)C ZINC000462879954 245393362 /nfs/dbraw/zinc/39/33/62/245393362.db2.gz TUBBWJKCWXBOPC-SNVBAGLBSA-N 0 0 298.314 2.981 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CN1CCCC[C@@H]1C ZINC000003538844 371807554 /nfs/dbraw/zinc/80/75/54/371807554.db2.gz GNRWLIYGJLSOHE-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCN(c2ccccc2O)CC1 ZINC000004905693 371875536 /nfs/dbraw/zinc/87/55/36/371875536.db2.gz QBWSWZSNAMLXPL-UHFFFAOYSA-N 0 0 299.330 2.627 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)NC1CCCCC1 ZINC000013493708 372029921 /nfs/dbraw/zinc/02/99/21/372029921.db2.gz UVNQTTUTDVVUIQ-UHFFFAOYSA-N 0 0 277.324 2.727 20 5 CFBDRN O=C(N[C@@H]1CCc2ccccc21)c1ccc([N+](=O)[O-])o1 ZINC000013584132 372034743 /nfs/dbraw/zinc/03/47/43/372034743.db2.gz HVBFPNZOPKBYEE-LLVKDONJSA-N 0 0 272.260 2.605 20 5 CFBDRN CC(C)(C)C1=N/C(=C\c2ccc([N+](=O)[O-])o2)C(=O)O1 ZINC000014177348 372062515 /nfs/dbraw/zinc/06/25/15/372062515.db2.gz XKAHGEKBRGUZFO-VURMDHGXSA-N 0 0 264.237 2.530 20 5 CFBDRN CC(C)COCCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000017742920 372135750 /nfs/dbraw/zinc/13/57/50/372135750.db2.gz FOAWTFCYPNYOOR-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN O=C(Nc1ccc(O)cc1)Nc1cccc([N+](=O)[O-])c1 ZINC000019997155 372219905 /nfs/dbraw/zinc/21/99/05/372219905.db2.gz VPRQAGDCZMWOBV-UHFFFAOYSA-N 0 0 273.248 2.944 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1ccccc1CO ZINC000020032865 372221531 /nfs/dbraw/zinc/22/15/31/372221531.db2.gz PZCLDVXIEDNYFB-UHFFFAOYSA-N 0 0 259.261 2.666 20 5 CFBDRN Cc1ccc(CS(=O)(=O)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000019821896 372192057 /nfs/dbraw/zinc/19/20/57/372192057.db2.gz JONYWAZNWAEOCX-UHFFFAOYSA-N 0 0 291.328 2.877 20 5 CFBDRN CCCc1cc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)n[nH]1 ZINC000025594552 372304913 /nfs/dbraw/zinc/30/49/13/372304913.db2.gz ZNOCLOICZXBGML-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN O=C(c1ccccc1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029250649 372376069 /nfs/dbraw/zinc/37/60/69/372376069.db2.gz RBIGBTDVLOTOPJ-UHFFFAOYSA-N 0 0 268.272 2.798 20 5 CFBDRN CC[C@@H]1CCCN(CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000030141980 372390209 /nfs/dbraw/zinc/39/02/09/372390209.db2.gz KDCQSHWDFAEZPL-GFCCVEGCSA-N 0 0 291.351 2.655 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccccc1 ZINC000031929673 372422315 /nfs/dbraw/zinc/42/23/15/372422315.db2.gz HTUZXKIASSCNAE-UHFFFAOYSA-N 0 0 270.288 2.867 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@@H]2CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000332683672 204892762 /nfs/dbraw/zinc/89/27/62/204892762.db2.gz ISCHYJYXBWLBEK-LLVKDONJSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1nc(C(C)C)oc1Cn1cc([N+](=O)[O-])c(C)n1 ZINC000332709696 204917472 /nfs/dbraw/zinc/91/74/72/204917472.db2.gz OQJJOIJIELUHIH-UHFFFAOYSA-N 0 0 264.285 2.568 20 5 CFBDRN CC[C@H]1CCCCN1CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000036834387 372601038 /nfs/dbraw/zinc/60/10/38/372601038.db2.gz IOHXPFYTNQUHDC-LBPRGKRZSA-N 0 0 291.351 2.798 20 5 CFBDRN CC[C@H](N[C@@H](C)c1nncn1C)c1cccc([N+](=O)[O-])c1 ZINC000037017019 372633122 /nfs/dbraw/zinc/63/31/22/372633122.db2.gz JYWLFRGRELZGIS-GWCFXTLKSA-N 0 0 289.339 2.525 20 5 CFBDRN CSc1cccc(C)c1NCc1c([N+](=O)[O-])ncn1C ZINC000471953568 245538047 /nfs/dbraw/zinc/53/80/47/245538047.db2.gz LVDJKBAJBALBEJ-UHFFFAOYSA-N 0 0 292.364 2.971 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000473510259 245555325 /nfs/dbraw/zinc/55/53/25/245555325.db2.gz SNCNWHDGDZLQIU-GXSJLCMTSA-N 0 0 257.293 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ccc(F)cn2)cc1 ZINC000041075080 372811179 /nfs/dbraw/zinc/81/11/79/372811179.db2.gz UVAAOJYHXGPBQR-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN COCCN(CC(C)C)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000042369503 372915574 /nfs/dbraw/zinc/91/55/74/372915574.db2.gz SCUGXEITBLGXES-UHFFFAOYSA-N 0 0 294.351 2.906 20 5 CFBDRN CNc1ccc(Nc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000042739427 372951872 /nfs/dbraw/zinc/95/18/72/372951872.db2.gz FRRKJEYJWPKWGK-UHFFFAOYSA-N 0 0 262.244 2.914 20 5 CFBDRN CCN(CCOC)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000042492948 372928755 /nfs/dbraw/zinc/92/87/55/372928755.db2.gz AXLAMIQOYCGLCQ-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCN1c1c(Cl)cccc1[N+](=O)[O-] ZINC000293105801 296196210 /nfs/dbraw/zinc/19/62/10/296196210.db2.gz PFXBFNFUTLNZGU-NXEZZACHSA-N 0 0 284.743 2.988 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)NCc1ccccc1[N+](=O)[O-] ZINC000046148654 373045119 /nfs/dbraw/zinc/04/51/19/373045119.db2.gz ZDJJJULTOKNMHA-LLVKDONJSA-N 0 0 260.293 2.567 20 5 CFBDRN O=C(Cc1ccccc1F)NCc1ccccc1[N+](=O)[O-] ZINC000046148372 373045934 /nfs/dbraw/zinc/04/59/34/373045934.db2.gz FCIJYBIJZGSJIM-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN CCOc1cc(NC[C@@H](O)[C@H](C)CC)ccc1[N+](=O)[O-] ZINC000486921369 245703354 /nfs/dbraw/zinc/70/33/54/245703354.db2.gz BLKBOFDUXHXWAO-ZWNOBZJWSA-N 0 0 282.340 2.812 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])c([O-])c1)[C@@H](C)C(C)C ZINC000571364729 383687989 /nfs/dbraw/zinc/68/79/89/383687989.db2.gz FHCTXZQSBHGBHE-JTQLQIEISA-N 0 0 280.324 2.807 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccccc1 ZINC000047687213 373114295 /nfs/dbraw/zinc/11/42/95/373114295.db2.gz YLLRWXFGFOUTHB-UHFFFAOYSA-N 0 0 271.276 2.917 20 5 CFBDRN COC(=O)CCCCCNc1ccc([N+](=O)[O-])cc1F ZINC000047873272 373125140 /nfs/dbraw/zinc/12/51/40/373125140.db2.gz RFNTXOZLXBKNGC-UHFFFAOYSA-N 0 0 284.287 2.879 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1nc2ccccc2o1 ZINC000048544727 373196085 /nfs/dbraw/zinc/19/60/85/373196085.db2.gz LHUBQSBWGREMSO-UHFFFAOYSA-N 0 0 257.205 2.923 20 5 CFBDRN CCc1nc(CC(=O)Nc2cccc([N+](=O)[O-])c2)cs1 ZINC000048967273 373240964 /nfs/dbraw/zinc/24/09/64/373240964.db2.gz VDQZDAYCYNGVER-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nnc(C3CC3)o2)c(F)c1 ZINC000048989311 373246105 /nfs/dbraw/zinc/24/61/05/373246105.db2.gz GEGYIPOVZUIFCI-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN Cc1cccc(C(=O)N(C)Cc2cscn2)c1[N+](=O)[O-] ZINC000049045379 373256255 /nfs/dbraw/zinc/25/62/55/373256255.db2.gz VQSHLZPLSBSHIJ-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN O=C(NCc1cscn1)c1ccc([N+](=O)[O-])cc1Cl ZINC000050407874 373377091 /nfs/dbraw/zinc/37/70/91/373377091.db2.gz RMMLTTIFKZBGIK-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN CCC(CC)NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037194 373342800 /nfs/dbraw/zinc/34/28/00/373342800.db2.gz JNFVLXRCYCUVDQ-UHFFFAOYSA-N 0 0 272.251 2.791 20 5 CFBDRN CCCN(CC(=O)OCC)c1ccc([N+](=O)[O-])c(C)c1 ZINC000050071809 373349149 /nfs/dbraw/zinc/34/91/49/373349149.db2.gz RVSRJRZILKWZQN-UHFFFAOYSA-N 0 0 280.324 2.683 20 5 CFBDRN CCN(CCC(=O)OC)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000050085299 373351658 /nfs/dbraw/zinc/35/16/58/373351658.db2.gz SFCLKBMBXHZVHK-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2C)CCO1 ZINC000051736944 373407809 /nfs/dbraw/zinc/40/78/09/373407809.db2.gz ICWSHEONKGMECR-CYBMUJFWSA-N 0 0 264.325 2.514 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000054646016 373526940 /nfs/dbraw/zinc/52/69/40/373526940.db2.gz IFGNMMCGEZGORM-GFCCVEGCSA-N 0 0 291.351 2.721 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000057152138 373579649 /nfs/dbraw/zinc/57/96/49/373579649.db2.gz RTMGNKFWWRNVDO-VHSXEESVSA-N 0 0 279.340 2.801 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC(C1CC1)C1CC1 ZINC000055252448 373547121 /nfs/dbraw/zinc/54/71/21/373547121.db2.gz FXXOHTILPNZHFC-XCVCLJGOSA-N 0 0 286.331 2.913 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000055465089 373553461 /nfs/dbraw/zinc/55/34/61/373553461.db2.gz YJPOLLUHHPVAIY-LLVKDONJSA-N 0 0 288.303 2.998 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)n1C ZINC000055466306 373553706 /nfs/dbraw/zinc/55/37/06/373553706.db2.gz MDJVWCDFEJAAAC-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN CCOCCCCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000055497357 373556063 /nfs/dbraw/zinc/55/60/63/373556063.db2.gz PMMINYODBADAIS-JXMROGBWSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@H]1C[C@H](CN2CCc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000488318503 245839187 /nfs/dbraw/zinc/83/91/87/245839187.db2.gz KEROPQVWKHGBDH-VXGBXAGGSA-N 0 0 276.336 2.772 20 5 CFBDRN O=C([C@H]1CC=CCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057836270 373613437 /nfs/dbraw/zinc/61/34/37/373613437.db2.gz VSVUEXMPRHXCQV-NSHDSACASA-N 0 0 272.304 2.840 20 5 CFBDRN CCC1(NS(=O)(=O)c2ccccc2[N+](=O)[O-])CCCC1 ZINC000451737985 383694124 /nfs/dbraw/zinc/69/41/24/383694124.db2.gz HZVGQECBXCQJJO-UHFFFAOYSA-N 0 0 298.364 2.596 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC1 ZINC000058983553 373676760 /nfs/dbraw/zinc/67/67/60/373676760.db2.gz YEEKVSJSTAIHHM-SNVBAGLBSA-N 0 0 280.349 2.698 20 5 CFBDRN CCCN(CCC)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000060657962 373700686 /nfs/dbraw/zinc/70/06/86/373700686.db2.gz ILVXHTMJZWUERG-UHFFFAOYSA-N 0 0 293.367 2.923 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000060849510 373707011 /nfs/dbraw/zinc/70/70/11/373707011.db2.gz DJOFKDKYQHMXPN-TXEJJXNPSA-N 0 0 276.336 2.927 20 5 CFBDRN CO[C@H](C)[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000289549593 197399000 /nfs/dbraw/zinc/39/90/00/197399000.db2.gz CBBBTASHISUEPU-GHMZBOCLSA-N 0 0 295.339 2.757 20 5 CFBDRN Cc1c(NC(=O)CCc2ccccn2)cccc1[N+](=O)[O-] ZINC000062865450 373769090 /nfs/dbraw/zinc/76/90/90/373769090.db2.gz SACQLFPSJAYEQE-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)C1CC1 ZINC000289544173 197397076 /nfs/dbraw/zinc/39/70/76/197397076.db2.gz FQYOGAZYELIVMX-UHFFFAOYSA-N 0 0 278.308 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)Cc2ccccc2)c(F)c1 ZINC000063218707 373798707 /nfs/dbraw/zinc/79/87/07/373798707.db2.gz ZINCKYZFIYAWKB-LBPRGKRZSA-N 0 0 290.294 2.749 20 5 CFBDRN CSC[C@@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000066757161 373949220 /nfs/dbraw/zinc/94/92/20/373949220.db2.gz YVUHPGNVKCTTNH-SECBINFHSA-N 0 0 268.338 2.557 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000066756224 373949295 /nfs/dbraw/zinc/94/92/95/373949295.db2.gz KZTKRACIYWUEBY-SSDOTTSWSA-N 0 0 272.301 2.672 20 5 CFBDRN CCCC[N@H+](CCCO)Cc1ccc([N+](=O)[O-])cc1C ZINC000571448457 383695361 /nfs/dbraw/zinc/69/53/61/383695361.db2.gz IFUSQOTYWGORQL-UHFFFAOYSA-N 0 0 280.368 2.888 20 5 CFBDRN CCC(CC)NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000067809797 374003844 /nfs/dbraw/zinc/00/38/44/374003844.db2.gz FHNVOYKFZJNVQC-UHFFFAOYSA-N 0 0 289.335 2.854 20 5 CFBDRN C[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)NC1CCCC1 ZINC000067648616 373986803 /nfs/dbraw/zinc/98/68/03/373986803.db2.gz HMVMZTIEBMGSNJ-VIFPVBQESA-N 0 0 295.364 2.529 20 5 CFBDRN CC(C)(NC(=O)NCCCCF)c1ccccc1[N+](=O)[O-] ZINC000490731595 246020770 /nfs/dbraw/zinc/02/07/70/246020770.db2.gz PFFQIGKYNVIKQU-UHFFFAOYSA-N 0 0 297.330 2.879 20 5 CFBDRN CN(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000070194533 374145536 /nfs/dbraw/zinc/14/55/36/374145536.db2.gz JSUNIVSOWYZLIS-UHFFFAOYSA-N 0 0 296.632 2.883 20 5 CFBDRN CCCc1nccn1CC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000070424792 374173072 /nfs/dbraw/zinc/17/30/72/374173072.db2.gz STOXYEOEBXPVBA-UHFFFAOYSA-N 0 0 273.292 2.627 20 5 CFBDRN Cc1ccc(N[C@H](C)c2nccn2C)c([N+](=O)[O-])c1 ZINC000070444401 374176166 /nfs/dbraw/zinc/17/61/66/374176166.db2.gz DKPBFEKGSIBMHT-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN COc1ccc(CNc2nccc(C)c2[N+](=O)[O-])cc1 ZINC000070495789 374187031 /nfs/dbraw/zinc/18/70/31/374187031.db2.gz FVTVQONLSKAIRL-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1ccnc(Nc2cnn(C(C)C)c2)c1[N+](=O)[O-] ZINC000070496338 374187348 /nfs/dbraw/zinc/18/73/48/374187348.db2.gz YFBASJAPZMHFIW-UHFFFAOYSA-N 0 0 261.285 2.819 20 5 CFBDRN C[C@@H](N[C@H](C)c1cnccn1)c1cccc([N+](=O)[O-])c1 ZINC000070479247 374188114 /nfs/dbraw/zinc/18/81/14/374188114.db2.gz OYCCOTVUMVZFKH-GHMZBOCLSA-N 0 0 272.308 2.797 20 5 CFBDRN CCN(C(=O)/C=C\c1ccccc1[N+](=O)[O-])C1CC1 ZINC000491477349 246072395 /nfs/dbraw/zinc/07/23/95/246072395.db2.gz FSNPFSCVFPRNQP-YFHOEESVSA-N 0 0 260.293 2.619 20 5 CFBDRN C[C@@H](NC(=O)CCn1cccc1)c1cccc([N+](=O)[O-])c1 ZINC000072986883 374312648 /nfs/dbraw/zinc/31/26/48/374312648.db2.gz QWNAAHIIYRLZCS-GFCCVEGCSA-N 0 0 287.319 2.664 20 5 CFBDRN C/C=C/C=C\C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000491504802 246077170 /nfs/dbraw/zinc/07/71/70/246077170.db2.gz JLFDANBHNHZZIQ-IAROGAJJSA-N 0 0 298.302 2.851 20 5 CFBDRN CCc1cnccc1NC(=O)C=Cc1cccc([N+](=O)[O-])c1 ZINC000491844965 246096563 /nfs/dbraw/zinc/09/65/63/246096563.db2.gz KTAYHRVHVDXIDJ-SREVYHEPSA-N 0 0 297.314 2.626 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000491913247 246121452 /nfs/dbraw/zinc/12/14/52/246121452.db2.gz KVTYSRHRHSHMRZ-OBBGCOQJSA-N 0 0 274.320 2.865 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]21 ZINC000491889251 246113530 /nfs/dbraw/zinc/11/35/30/246113530.db2.gz YCUDIFOLXWISES-YQCLLZEUSA-N 0 0 290.294 2.758 20 5 CFBDRN CCc1noc([C@H](C)Oc2ccc([N+](=O)[O-])cc2)n1 ZINC000073752759 374378046 /nfs/dbraw/zinc/37/80/46/374378046.db2.gz CVXWDDUZYJIZIV-QMMMGPOBSA-N 0 0 263.253 2.680 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000073785646 374383019 /nfs/dbraw/zinc/38/30/19/374383019.db2.gz PUYKADIEHFNYID-OALRPVAKSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000073787693 374384281 /nfs/dbraw/zinc/38/42/81/374384281.db2.gz FGLJRIGNMLXZCZ-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1cc(NC[C@@H](O)C2CCCCC2)ncc1[N+](=O)[O-] ZINC000074747120 374435986 /nfs/dbraw/zinc/43/59/86/374435986.db2.gz ODIYDRZCDILPAF-CYBMUJFWSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1cc(NC2CCSCC2)ncc1[N+](=O)[O-] ZINC000074745490 374436164 /nfs/dbraw/zinc/43/61/64/374436164.db2.gz OPFBUKRRTDBUGX-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000492089185 246182949 /nfs/dbraw/zinc/18/29/49/246182949.db2.gz WYKOKTIGUQWCTL-PKRZQGHMSA-N 0 0 274.320 2.722 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492093011 246184360 /nfs/dbraw/zinc/18/43/60/246184360.db2.gz ZHSMYSUTTQLOKB-HJWRWDBZSA-N 0 0 285.303 2.595 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000075524220 374488682 /nfs/dbraw/zinc/48/86/82/374488682.db2.gz RXMCJULFXZYMJX-GMSGAONNSA-N 0 0 276.317 2.948 20 5 CFBDRN C[C@H]1C[C@@H]1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000075524217 374488758 /nfs/dbraw/zinc/48/87/58/374488758.db2.gz RXMCJULFXZYMJX-XVKPBYJWSA-N 0 0 276.317 2.948 20 5 CFBDRN CC[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000075542079 374492191 /nfs/dbraw/zinc/49/21/91/374492191.db2.gz UONOZHCZSGKTEY-LBPRGKRZSA-N 0 0 295.364 2.954 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1C=CCCC1 ZINC000492133400 246197560 /nfs/dbraw/zinc/19/75/60/246197560.db2.gz BNJOAXQMQOHSFO-BUUCAEBMSA-N 0 0 290.294 2.972 20 5 CFBDRN COc1ccc(C(=O)N2C[C@@H](C)CC[C@H]2C)cc1[N+](=O)[O-] ZINC000075277562 374472109 /nfs/dbraw/zinc/47/21/09/374472109.db2.gz DVCLWBLRXZRARF-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000075287656 374473180 /nfs/dbraw/zinc/47/31/80/374473180.db2.gz GRDXFQFUIZRTDJ-NWDGAFQWSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000075287747 374473462 /nfs/dbraw/zinc/47/34/62/374473462.db2.gz GPMCKDBTOPMFSL-GHMZBOCLSA-N 0 0 262.309 2.855 20 5 CFBDRN CN(C(=O)CC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000075893348 374511283 /nfs/dbraw/zinc/51/12/83/374511283.db2.gz YIHYOCDRUMZPNN-CYBMUJFWSA-N 0 0 278.308 2.517 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000492366943 246269869 /nfs/dbraw/zinc/26/98/69/246269869.db2.gz OOIDVJHXZLAJAQ-NNNHXZLVSA-N 0 0 297.314 2.880 20 5 CFBDRN C[C@H](NC(=O)/C=C\C1CC1)c1ccccc1[N+](=O)[O-] ZINC000492321826 246256784 /nfs/dbraw/zinc/25/67/84/246256784.db2.gz KQXBQYXFLPCNSJ-QROTZFDESA-N 0 0 260.293 2.738 20 5 CFBDRN Cc1cc(NC(=O)/C=C\C2CCOCC2)ccc1[N+](=O)[O-] ZINC000492328566 246259541 /nfs/dbraw/zinc/25/95/41/246259541.db2.gz IJQCOUAIIAZQOC-DJWKRKHSSA-N 0 0 290.319 2.825 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492345228 246263801 /nfs/dbraw/zinc/26/38/01/246263801.db2.gz QYHRLHCHTQJZLC-BMWLXYDHSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccc(/C=C/C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)nc1 ZINC000492416352 246285736 /nfs/dbraw/zinc/28/57/36/246285736.db2.gz MZDHZJDPNBHCRD-VMPITWQZSA-N 0 0 298.302 2.654 20 5 CFBDRN Cc1ccncc1/C=C\C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000492416106 246286732 /nfs/dbraw/zinc/28/67/32/246286732.db2.gz MDHOEPWSPRIHDC-DAXSKMNVSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C\c1ccncn1 ZINC000492476838 246305079 /nfs/dbraw/zinc/30/50/79/246305079.db2.gz TXNICBOQGLKLPL-XQRVVYSFSA-N 0 0 298.302 2.654 20 5 CFBDRN Cc1ccncc1N(C)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492591422 246336329 /nfs/dbraw/zinc/33/63/29/246336329.db2.gz MISOORMCZJGEAG-YVMONPNESA-N 0 0 297.314 2.974 20 5 CFBDRN CS(=O)(=O)c1ccc(N[C@@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000080120176 374642426 /nfs/dbraw/zinc/64/24/26/374642426.db2.gz YWUOLGLHFQMTGY-SNVBAGLBSA-N 0 0 296.348 2.519 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)CCO1 ZINC000571518833 383701732 /nfs/dbraw/zinc/70/17/32/383701732.db2.gz LGTIZHSCELLYPA-PWSUYJOCSA-N 0 0 291.351 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCC2)c(C(F)(F)F)c1 ZINC000080601356 374663712 /nfs/dbraw/zinc/66/37/12/374663712.db2.gz AIHUSKDCAFGSDU-UHFFFAOYSA-N 0 0 290.241 2.941 20 5 CFBDRN C[C@@H](O)CCN(C)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000080651015 374666872 /nfs/dbraw/zinc/66/68/72/374666872.db2.gz REKWDMMRPPYUKA-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN COc1cccc(CNc2ncc([N+](=O)[O-])s2)c1 ZINC000083481365 374748081 /nfs/dbraw/zinc/74/80/81/374748081.db2.gz YOURJQZLWZWZQK-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN C[C@H](F)CCNC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000493019343 246459262 /nfs/dbraw/zinc/45/92/62/246459262.db2.gz FSSSLMSAPVZFIW-SGRBOOSSSA-N 0 0 284.262 2.611 20 5 CFBDRN CCc1nsc(NCc2ccccc2[N+](=O)[O-])n1 ZINC000087641591 374826449 /nfs/dbraw/zinc/82/64/49/374826449.db2.gz YZLPZGTUJOXYTC-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN O=C(/C=C/C1CCCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000493220717 246525062 /nfs/dbraw/zinc/52/50/62/246525062.db2.gz OCHAXYVUYJFPMQ-JXMROGBWSA-N 0 0 288.347 3.000 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCCC[C@H]2O)c1 ZINC000084726509 374787705 /nfs/dbraw/zinc/78/77/05/374787705.db2.gz LTYSOKQBWHLAGD-GXFFZTMASA-N 0 0 250.298 2.619 20 5 CFBDRN Nc1ccc(C(=O)N2CCC[C@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000087784011 374831314 /nfs/dbraw/zinc/83/13/14/374831314.db2.gz PLFWWASCFSJCMO-ZWNOBZJWSA-N 0 0 289.335 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H]3CCC[C@@H]3O)ccc2c1 ZINC000089167027 374882672 /nfs/dbraw/zinc/88/26/72/374882672.db2.gz OFEDSLNRFCKINH-FZMZJTMJSA-N 0 0 287.319 2.716 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])NCCCCF ZINC000493306979 246550709 /nfs/dbraw/zinc/55/07/09/246550709.db2.gz VXSOGVGQUMHLEL-XQRVVYSFSA-N 0 0 284.262 2.613 20 5 CFBDRN C[C@@H](CCCO)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000088503720 374850065 /nfs/dbraw/zinc/85/00/65/374850065.db2.gz XQWWAZNNLJBHOS-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@@H](NCc1ccccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000089512577 374916389 /nfs/dbraw/zinc/91/63/89/374916389.db2.gz MQPKHHIZGRYGMB-CYBMUJFWSA-N 0 0 274.324 2.569 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000252802256 189983725 /nfs/dbraw/zinc/98/37/25/189983725.db2.gz LAVYAUFYBSPEJI-JGPRNRPPSA-N 0 0 278.308 2.737 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000493459358 246594843 /nfs/dbraw/zinc/59/48/43/246594843.db2.gz VVYLUENJMKKIKP-FCZSHJHJSA-N 0 0 284.262 2.768 20 5 CFBDRN CCCCCNc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000089619517 374929666 /nfs/dbraw/zinc/92/96/66/374929666.db2.gz XKUFDMVXNXCJJL-UHFFFAOYSA-N 0 0 286.353 2.600 20 5 CFBDRN CC(C)(C)SCC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089767912 374947456 /nfs/dbraw/zinc/94/74/56/374947456.db2.gz YWPZYUSLXBMYAT-UHFFFAOYSA-N 0 0 296.392 2.785 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCCSCC1 ZINC000089983709 374981277 /nfs/dbraw/zinc/98/12/77/374981277.db2.gz HMMKREMVMXGKHA-UHFFFAOYSA-N 0 0 282.365 2.542 20 5 CFBDRN Cc1c(CN2CCOC[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000090008037 374983348 /nfs/dbraw/zinc/98/33/48/374983348.db2.gz FIQOXVUDZLDTHA-HNNXBMFYSA-N 0 0 276.336 2.514 20 5 CFBDRN C[C@H](CCCC(F)(F)F)Nc1c([N+](=O)[O-])ncn1C ZINC000493657321 246658337 /nfs/dbraw/zinc/65/83/37/246658337.db2.gz MPPRBJVHTVGHEI-SSDOTTSWSA-N 0 0 280.250 2.861 20 5 CFBDRN CCO/C=C/C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000493705708 246675759 /nfs/dbraw/zinc/67/57/59/246675759.db2.gz KNAFGENYVBJSOF-AEZGRPFRSA-N 0 0 278.308 2.631 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493731330 246685089 /nfs/dbraw/zinc/68/50/89/246685089.db2.gz XCGSQXHGJJWZQC-JECSTDCCSA-N 0 0 260.293 2.523 20 5 CFBDRN CCNc1ccc(C(=O)N2C[C@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000091439196 375051405 /nfs/dbraw/zinc/05/14/05/375051405.db2.gz CHKFXMAUUQHWOR-PHIMTYICSA-N 0 0 291.351 2.755 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)COC2 ZINC000091679417 375058383 /nfs/dbraw/zinc/05/83/83/375058383.db2.gz ZOWDOLBTYLDJSJ-UHFFFAOYSA-N 0 0 299.286 2.581 20 5 CFBDRN CCOc1cc(S[C@H](C)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000092658800 375135938 /nfs/dbraw/zinc/13/59/38/375135938.db2.gz PZRNINVCVXGRHV-RKDXNWHRSA-N 0 0 271.338 2.855 20 5 CFBDRN CCOC(=O)CSc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000092581924 375126968 /nfs/dbraw/zinc/12/69/68/375126968.db2.gz KOGOMBYJOBQTIM-UHFFFAOYSA-N 0 0 285.321 2.649 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])[C@@H](CO)CC(C)(C)C ZINC000451785153 383707305 /nfs/dbraw/zinc/70/73/05/383707305.db2.gz VBWVKUQBGPIWPP-LLVKDONJSA-N 0 0 298.358 2.963 20 5 CFBDRN CC[C@@H](CCO)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000094957481 375397716 /nfs/dbraw/zinc/39/77/16/375397716.db2.gz NARIWMDSMJIEFX-NSHDSACASA-N 0 0 252.314 2.724 20 5 CFBDRN O=C(CSc1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000094614589 375369770 /nfs/dbraw/zinc/36/97/70/375369770.db2.gz AJUQUYYWGCAXEA-UHFFFAOYSA-N 0 0 274.301 2.965 20 5 CFBDRN Cc1cc(C)n(CCCNc2ccc([N+](=O)[O-])cc2)n1 ZINC000098065867 375596189 /nfs/dbraw/zinc/59/61/89/375596189.db2.gz PKBPTDQGZRMBMQ-UHFFFAOYSA-N 0 0 274.324 2.910 20 5 CFBDRN C[C@@H](NC(=O)OC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000496995734 247036720 /nfs/dbraw/zinc/03/67/20/247036720.db2.gz FPWBBAARBJQIEM-SECBINFHSA-N 0 0 264.281 2.935 20 5 CFBDRN C[C@H]1CC[N@H+](CCOc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000501796367 247107161 /nfs/dbraw/zinc/10/71/61/247107161.db2.gz SOLBHWGVAXHNIJ-QWHCGFSZSA-N 0 0 278.352 2.952 20 5 CFBDRN C[C@H](F)CCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000505570613 247143512 /nfs/dbraw/zinc/14/35/12/247143512.db2.gz MWGRCMQLFWFODY-VIFPVBQESA-N 0 0 264.260 2.601 20 5 CFBDRN CCCC[C@H](CC)Cn1cccc([N+](=O)[O-])c1=O ZINC000102204139 375666531 /nfs/dbraw/zinc/66/65/31/375666531.db2.gz HZCYKBPIHBYQSX-NSHDSACASA-N 0 0 252.314 2.973 20 5 CFBDRN Cc1ncc(Cn2ccc3c2cccc3[N+](=O)[O-])cn1 ZINC000505700759 247148961 /nfs/dbraw/zinc/14/89/61/247148961.db2.gz RDEOHDRQQMQVJC-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN CCCCC(=O)Nc1n[nH]c(-c2cccc([N+](=O)[O-])c2)n1 ZINC000103202390 375720889 /nfs/dbraw/zinc/72/08/89/375720889.db2.gz GMSVWTNKZQCIJG-UHFFFAOYSA-N 0 0 289.295 2.509 20 5 CFBDRN COc1cc(N[C@H](CO)CC2CCCC2)ccc1[N+](=O)[O-] ZINC000509532470 247189266 /nfs/dbraw/zinc/18/92/66/247189266.db2.gz KMGSATYRSASDIF-ZDUSSCGKSA-N 0 0 294.351 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC2CCCC2)cc1 ZINC000509526600 247189516 /nfs/dbraw/zinc/18/95/16/247189516.db2.gz QZWNJZWZHVQDPF-CYBMUJFWSA-N 0 0 264.325 2.948 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])o1)C1CCCC1 ZINC000103371870 375735050 /nfs/dbraw/zinc/73/50/50/375735050.db2.gz KKJDZYFUGGFFIV-UHFFFAOYSA-N 0 0 266.297 2.981 20 5 CFBDRN CN(CC(F)F)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000103436668 375745126 /nfs/dbraw/zinc/74/51/26/375745126.db2.gz IJVLLVFGGTUQSC-UHFFFAOYSA-N 0 0 278.642 2.585 20 5 CFBDRN CCCO[C@@H]1CCCN(c2ncccc2[N+](=O)[O-])CC1 ZINC000108351158 375815609 /nfs/dbraw/zinc/81/56/09/375815609.db2.gz VVHOXXFTCLAKSH-GFCCVEGCSA-N 0 0 279.340 2.775 20 5 CFBDRN CC[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000111422093 375847095 /nfs/dbraw/zinc/84/70/95/375847095.db2.gz VARTYNBQZLGJLF-GWCFXTLKSA-N 0 0 293.323 2.626 20 5 CFBDRN COCC1CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000112353818 375910861 /nfs/dbraw/zinc/91/08/61/375910861.db2.gz CYCUFJGLCTZBPN-UHFFFAOYSA-N 0 0 282.315 2.592 20 5 CFBDRN CCC[C@H](O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000112333800 375905119 /nfs/dbraw/zinc/90/51/19/375905119.db2.gz LYGSNWZXVFDBGK-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1nnc([C@@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000112532174 375928080 /nfs/dbraw/zinc/92/80/80/375928080.db2.gz UJGIIFBLFCVSCT-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(C3CCOCC3)no2)c1 ZINC000113748855 376032739 /nfs/dbraw/zinc/03/27/39/376032739.db2.gz COJNAMXVSMPZQV-UHFFFAOYSA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1ccnc(Sc2n[nH]c(C(C)(C)C)n2)c1[N+](=O)[O-] ZINC000115515739 376134325 /nfs/dbraw/zinc/13/43/25/376134325.db2.gz PUBVNFVANTYQDT-UHFFFAOYSA-N 0 0 293.352 2.865 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc([N+](=O)[O-])cnc2C)cc1 ZINC000116210136 376171716 /nfs/dbraw/zinc/17/17/16/376171716.db2.gz KUSCEQVVXGFQLC-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CN(Cc1cnn(C)c1)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116270587 376175845 /nfs/dbraw/zinc/17/58/45/376175845.db2.gz FVMJMSHBYRNYBA-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CS[C@H]1CCC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000117218011 376230527 /nfs/dbraw/zinc/23/05/27/376230527.db2.gz VNZOFDCQXLVASK-AAEUAGOBSA-N 0 0 294.376 2.999 20 5 CFBDRN Cc1cccc(N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000118667475 376308497 /nfs/dbraw/zinc/30/84/97/376308497.db2.gz IVWQJGHRRLSTKO-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000118286741 376283300 /nfs/dbraw/zinc/28/33/00/376283300.db2.gz SZSFWRJOAYHJAP-BDAKNGLRSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@@H]1SCCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000118292797 376286178 /nfs/dbraw/zinc/28/61/78/376286178.db2.gz FJAZHITXAMTJRV-UWVGGRQHSA-N 0 0 280.349 2.561 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCS[C@H](C)[C@H]1C ZINC000118415434 376295936 /nfs/dbraw/zinc/29/59/36/376295936.db2.gz XHVNYGDVJSNQFP-GHMZBOCLSA-N 0 0 296.392 2.929 20 5 CFBDRN Cc1sc(-c2nc([C@@H]3CCOC3)no2)cc1[N+](=O)[O-] ZINC000119119885 376357662 /nfs/dbraw/zinc/35/76/62/376357662.db2.gz IRUCBNQOIFWNPE-SSDOTTSWSA-N 0 0 281.293 2.519 20 5 CFBDRN CCCn1c(C)nnc1COc1cccc([N+](=O)[O-])c1C ZINC000119293689 376387654 /nfs/dbraw/zinc/38/76/54/376387654.db2.gz WBFZNTSLAQKBBN-UHFFFAOYSA-N 0 0 290.323 2.792 20 5 CFBDRN O=C(C1=CCCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000119310453 376391475 /nfs/dbraw/zinc/39/14/75/376391475.db2.gz LMHQXURIUOZRJH-UHFFFAOYSA-N 0 0 258.277 2.594 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000263654455 190072290 /nfs/dbraw/zinc/07/22/90/190072290.db2.gz BRLPGKLSYSYVIA-SECBINFHSA-N 0 0 280.349 2.799 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])[C@H](CO)c1ccccc1 ZINC000264032399 190089657 /nfs/dbraw/zinc/08/96/57/190089657.db2.gz PUZTYOKBEMPIPI-MRXNPFEDSA-N 0 0 286.331 2.760 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] ZINC000264038127 190090415 /nfs/dbraw/zinc/09/04/15/190090415.db2.gz WYZJVJYFEBSODW-MRVPVSSYSA-N 0 0 298.364 2.854 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC1CCOCC1 ZINC000120066527 376541476 /nfs/dbraw/zinc/54/14/76/376541476.db2.gz YXEKEDWXJNTGEL-UHFFFAOYSA-N 0 0 293.323 2.512 20 5 CFBDRN Cc1nccn1CCCN(C)c1ccc([N+](=O)[O-])cc1F ZINC000120210606 376568562 /nfs/dbraw/zinc/56/85/62/376568562.db2.gz CBNMWDAHJHMZMV-UHFFFAOYSA-N 0 0 292.314 2.765 20 5 CFBDRN CCN(CC)C(=O)CSCCc1ccc([N+](=O)[O-])cc1 ZINC000120416510 376610425 /nfs/dbraw/zinc/61/04/25/376610425.db2.gz CMXPSDXWRASASJ-UHFFFAOYSA-N 0 0 296.392 2.739 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])c2cccnc12)C1CCC1 ZINC000120634186 376657307 /nfs/dbraw/zinc/65/73/07/376657307.db2.gz PVEODLIXACLILG-UHFFFAOYSA-N 0 0 285.303 2.768 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000264531777 190116782 /nfs/dbraw/zinc/11/67/82/190116782.db2.gz KESOGRYLYQOVQC-AULYBMBSSA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@H](Nc1ccccc1[N+](=O)[O-])[C@H](O)Cc1ccccc1 ZINC000120735710 376680489 /nfs/dbraw/zinc/68/04/89/376680489.db2.gz GPLYZQHCSLMNKO-BLLLJJGKSA-N 0 0 286.331 2.999 20 5 CFBDRN CC1(CNC(=O)COc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000264602336 190121701 /nfs/dbraw/zinc/12/17/01/190121701.db2.gz DAYZXHSXQQUASJ-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CCc1noc(-c2ccc(SC)c([N+](=O)[O-])c2)n1 ZINC000264631013 190124014 /nfs/dbraw/zinc/12/40/14/190124014.db2.gz OOCFPZSPJKAVCY-UHFFFAOYSA-N 0 0 265.294 2.929 20 5 CFBDRN Cc1c(CC(=O)N2Cc3ccccc3C2)cccc1[N+](=O)[O-] ZINC000121218937 376784497 /nfs/dbraw/zinc/78/44/97/376784497.db2.gz KGAXAEVNWRMVOP-UHFFFAOYSA-N 0 0 296.326 2.988 20 5 CFBDRN Cc1c(-c2nc(C[C@@H]3CCCO3)no2)cccc1[N+](=O)[O-] ZINC000264655964 190126309 /nfs/dbraw/zinc/12/63/09/190126309.db2.gz YITHCRMOIPOTDL-JTQLQIEISA-N 0 0 289.291 2.675 20 5 CFBDRN O=C(CCc1cncs1)Nc1cccc([N+](=O)[O-])c1 ZINC000432040756 383715660 /nfs/dbraw/zinc/71/56/60/383715660.db2.gz IHZPJAIKRBVQEH-UHFFFAOYSA-N 0 0 277.305 2.623 20 5 CFBDRN CC(C)c1cc(C(=O)NCc2ccc([N+](=O)[O-])cc2)no1 ZINC000121495852 376843721 /nfs/dbraw/zinc/84/37/21/376843721.db2.gz QPTKPJDZDRCVPN-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000121824546 376914727 /nfs/dbraw/zinc/91/47/27/376914727.db2.gz XKCSLSSWXTUUFV-SMDDNHRTSA-N 0 0 262.309 2.647 20 5 CFBDRN CN(C)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 ZINC000124202564 377025632 /nfs/dbraw/zinc/02/56/32/377025632.db2.gz JIJNLWLFRFFEHG-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000264694110 190129062 /nfs/dbraw/zinc/12/90/62/190129062.db2.gz IBQCXVFZPBAZHM-CHWSQXEVSA-N 0 0 299.330 2.989 20 5 CFBDRN CCN(Cc1ccccc1C)c1c([N+](=O)[O-])ncn1C ZINC000432054103 383717366 /nfs/dbraw/zinc/71/73/66/383717366.db2.gz JVIIVXOHFPUPOP-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000125187592 377126369 /nfs/dbraw/zinc/12/63/69/377126369.db2.gz VXNJMOJFYHMDGK-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@@H](c1ccco1)N(C)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124617594 377070767 /nfs/dbraw/zinc/07/07/67/377070767.db2.gz PJCREOQBIQYSKX-VIFPVBQESA-N 0 0 290.279 2.808 20 5 CFBDRN COc1cc(N2CCS[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000125258448 377133757 /nfs/dbraw/zinc/13/37/57/377133757.db2.gz RNGVWLNWODIYJG-QMMMGPOBSA-N 0 0 286.328 2.684 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000264770738 190134300 /nfs/dbraw/zinc/13/43/00/190134300.db2.gz GAXQLYLAMDSYJY-MEBBXXQBSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000125538677 377168707 /nfs/dbraw/zinc/16/87/07/377168707.db2.gz YZMKXQDLAQWYAJ-ZDUSSCGKSA-N 0 0 264.325 2.514 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCCC1 ZINC000264796254 190135363 /nfs/dbraw/zinc/13/53/63/190135363.db2.gz TYRMRTFSCVVGOM-UHFFFAOYSA-N 0 0 265.313 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2(O)CCCC2)c2cccnc21 ZINC000125760534 377188639 /nfs/dbraw/zinc/18/86/39/377188639.db2.gz JNDPQQOMBKAJRG-UHFFFAOYSA-N 0 0 288.303 2.827 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(O)CCCC[C@@H]3C2)c(F)c1 ZINC000128321358 377331880 /nfs/dbraw/zinc/33/18/80/377331880.db2.gz KXHJGDVLZYOYAI-ABAIWWIYSA-N 0 0 294.326 2.865 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000129038095 377374877 /nfs/dbraw/zinc/37/48/77/377374877.db2.gz JHNYVNHNAHPECV-GFCCVEGCSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1cc(CN(C)c2cccc(F)c2[N+](=O)[O-])no1 ZINC000264945042 190146655 /nfs/dbraw/zinc/14/66/55/190146655.db2.gz SXWXWLFFJLQAMW-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCOC3)n2)cc1 ZINC000264954095 190147981 /nfs/dbraw/zinc/14/79/81/190147981.db2.gz AQEUNEZRUKLKOZ-SNVBAGLBSA-N 0 0 275.264 2.539 20 5 CFBDRN CC(C)CN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000128652223 377350648 /nfs/dbraw/zinc/35/06/48/377350648.db2.gz CTSHYCKWXYJMIY-UHFFFAOYSA-N 0 0 294.326 2.923 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000129455249 377429486 /nfs/dbraw/zinc/42/94/86/377429486.db2.gz PLHASXQKUQODDP-NEPJUHHUSA-N 0 0 291.351 2.997 20 5 CFBDRN CSCCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000129387851 377419411 /nfs/dbraw/zinc/41/94/11/377419411.db2.gz PPDNXIGUGDSPRM-UHFFFAOYSA-N 0 0 268.338 2.903 20 5 CFBDRN Cc1nn(CCc2nc3ccccc3n2C)c(C)c1[N+](=O)[O-] ZINC000265049959 190154514 /nfs/dbraw/zinc/15/45/14/190154514.db2.gz YIJZAUMPYICIPC-UHFFFAOYSA-N 0 0 299.334 2.538 20 5 CFBDRN Cc1ccc(C[N@H+](C[C@H]2CCOC2)C2CC2)cc1[N+](=O)[O-] ZINC000129948305 377492818 /nfs/dbraw/zinc/49/28/18/377492818.db2.gz ZLDGCRSANAWDJJ-CQSZACIVSA-N 0 0 290.363 2.904 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@@H]2CCC[C@H]21 ZINC000174337115 296262917 /nfs/dbraw/zinc/26/29/17/296262917.db2.gz HXZKTUCJYLMYMG-WCQYABFASA-N 0 0 274.320 3.000 20 5 CFBDRN C[C@H](CCNc1ccc([N+](=O)[O-])cc1Cl)[S@](C)=O ZINC000130320231 377537115 /nfs/dbraw/zinc/53/71/15/377537115.db2.gz LZSJFYSLTBACPT-NTCNTBNZSA-N 0 0 290.772 2.817 20 5 CFBDRN CCOCc1cccc(Nc2c([N+](=O)[O-])c(C)nn2C)c1 ZINC000265168439 190162840 /nfs/dbraw/zinc/16/28/40/190162840.db2.gz YBUFLPXGUKLMGX-UHFFFAOYSA-N 0 0 290.323 2.917 20 5 CFBDRN C[C@H](O)C[N@H+](C)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000130725946 377600894 /nfs/dbraw/zinc/60/08/94/377600894.db2.gz CVIZLNOYQNGCMK-NSHDSACASA-N 0 0 290.319 2.667 20 5 CFBDRN CC(C)(C(=O)N1CCCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000265738925 190203340 /nfs/dbraw/zinc/20/33/40/190203340.db2.gz VDDYPVWVTBZRNH-UHFFFAOYSA-N 0 0 276.336 2.885 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2CO1 ZINC000377140056 284668229 /nfs/dbraw/zinc/66/82/29/284668229.db2.gz RDBSNKWZBYECPX-UHFFFAOYSA-N 0 0 284.271 2.682 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@](C)(O)c1cccs1 ZINC000265759628 190205239 /nfs/dbraw/zinc/20/52/39/190205239.db2.gz AQKLDEGPNBUVHV-CYBMUJFWSA-N 0 0 293.348 2.679 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCc2cc(F)c(F)cc21 ZINC000336507065 284670768 /nfs/dbraw/zinc/67/07/68/284670768.db2.gz VHWQGRMHFNTIFQ-UHFFFAOYSA-N 0 0 294.213 2.669 20 5 CFBDRN CCCN(CC(F)F)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000130946707 377635895 /nfs/dbraw/zinc/63/58/95/377635895.db2.gz XANXKWHWYNJAJD-UHFFFAOYSA-N 0 0 290.241 2.851 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCC1=CCCC1 ZINC000131318161 377694340 /nfs/dbraw/zinc/69/43/40/377694340.db2.gz VVTAGKARWNENQI-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000131330442 377696251 /nfs/dbraw/zinc/69/62/51/377696251.db2.gz JEHKTSRNHAJSDY-NWDGAFQWSA-N 0 0 276.336 2.642 20 5 CFBDRN COc1ccc(C(=O)N2CC[C@@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000131343684 377697607 /nfs/dbraw/zinc/69/76/07/377697607.db2.gz STJNNRFNJWQUTG-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN CN(CCC1CC1)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000131232813 377680296 /nfs/dbraw/zinc/68/02/96/377680296.db2.gz AJGZPHLDLPPOCK-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000131570580 377731479 /nfs/dbraw/zinc/73/14/79/377731479.db2.gz VYMFNFFKTOBALD-SNVBAGLBSA-N 0 0 291.351 2.685 20 5 CFBDRN CCCc1noc(COc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000131665286 377745087 /nfs/dbraw/zinc/74/50/87/377745087.db2.gz WSYXJNZMDPIBGU-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000131836335 377765340 /nfs/dbraw/zinc/76/53/40/377765340.db2.gz KHOJPPPUVZVTKP-QMMMGPOBSA-N 0 0 254.311 2.528 20 5 CFBDRN CCCCCn1c(=O)onc1-c1ccc([N+](=O)[O-])cc1 ZINC000132291395 377822977 /nfs/dbraw/zinc/82/29/77/377822977.db2.gz RSZAMULRUFGVDS-UHFFFAOYSA-N 0 0 277.280 2.602 20 5 CFBDRN O=C(CC[C@@H]1CCCO1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132452999 377842801 /nfs/dbraw/zinc/84/28/01/377842801.db2.gz QWFYJXFRJDSWOM-NSHDSACASA-N 0 0 283.255 2.599 20 5 CFBDRN Cc1c(NCCCCCCO)cccc1[N+](=O)[O-] ZINC000132492379 377846443 /nfs/dbraw/zinc/84/64/43/377846443.db2.gz WAHLQRSPQGJCSC-UHFFFAOYSA-N 0 0 252.314 2.868 20 5 CFBDRN Cc1cccc([C@@H](O)CNc2nccc(C)c2[N+](=O)[O-])c1 ZINC000132513580 377848228 /nfs/dbraw/zinc/84/82/28/377848228.db2.gz BCHVBKXTUHTEDE-ZDUSSCGKSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1nc(NCc2cn3ccccc3n2)ccc1[N+](=O)[O-] ZINC000132569991 377853763 /nfs/dbraw/zinc/85/37/63/377853763.db2.gz AVOPARICKBQDHS-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2ccc([N+](=O)[O-])cc2F)n[nH]1 ZINC000133511994 377935606 /nfs/dbraw/zinc/93/56/06/377935606.db2.gz UFNLPCMDFTZGKL-MRVPVSSYSA-N 0 0 278.287 2.809 20 5 CFBDRN CC[C@@H](C)C(=O)COc1cccc(C)c1[N+](=O)[O-] ZINC000133105517 377905313 /nfs/dbraw/zinc/90/53/13/377905313.db2.gz USVKPZHRMFKNBE-SECBINFHSA-N 0 0 251.282 2.897 20 5 CFBDRN COc1cc(C(=O)N2CCCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000133124319 377906824 /nfs/dbraw/zinc/90/68/24/377906824.db2.gz GRCXUQYTCAXWOH-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN CSCC[C@H](C)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000134391221 378009296 /nfs/dbraw/zinc/00/92/96/378009296.db2.gz GCILMFMXJVHDCO-JTQLQIEISA-N 0 0 282.365 2.808 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000133895033 377965939 /nfs/dbraw/zinc/96/59/39/377965939.db2.gz TYMOYYKOQPEEAY-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CC(C)CC1(CNC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000133900167 377966326 /nfs/dbraw/zinc/96/63/26/377966326.db2.gz LBYBWJFKUZFCKX-UHFFFAOYSA-N 0 0 266.297 2.744 20 5 CFBDRN COCCOc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000133966751 377972618 /nfs/dbraw/zinc/97/26/18/377972618.db2.gz WLCOLQPBAYGRCP-UHFFFAOYSA-N 0 0 265.187 2.639 20 5 CFBDRN O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCCCCC1 ZINC000134017339 377976377 /nfs/dbraw/zinc/97/63/77/377976377.db2.gz MZDBRODKBHRNNS-UHFFFAOYSA-N 0 0 284.262 2.889 20 5 CFBDRN Cc1cc(C(=O)N(C)C[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000134042637 377978385 /nfs/dbraw/zinc/97/83/85/377978385.db2.gz PQAJYIUJIHWLDM-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(c1ccncc1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000040631051 296272526 /nfs/dbraw/zinc/27/25/26/296272526.db2.gz HBJPKBDLRPCZKW-UHFFFAOYSA-N 0 0 283.287 2.583 20 5 CFBDRN CC[C@@H](C)Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000134221565 377994180 /nfs/dbraw/zinc/99/41/80/377994180.db2.gz LCOIFGCSHQUVDS-MRVPVSSYSA-N 0 0 263.297 2.690 20 5 CFBDRN CCC[C@H](O)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000134546339 378030888 /nfs/dbraw/zinc/03/08/88/378030888.db2.gz HCZGPYXABKWFTF-VIFPVBQESA-N 0 0 258.705 2.821 20 5 CFBDRN CNC(=O)c1ccc(NCCCCSC)c([N+](=O)[O-])c1 ZINC000134829029 378051276 /nfs/dbraw/zinc/05/12/76/378051276.db2.gz PPVGWOZNBALXRB-UHFFFAOYSA-N 0 0 297.380 2.510 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])C(=O)NC(C)(C)C ZINC000134824032 378051422 /nfs/dbraw/zinc/05/14/22/378051422.db2.gz GTZOIMVGTYOPLJ-QWRGUYRKSA-N 0 0 293.367 2.549 20 5 CFBDRN COc1cccc(NC[C@@H]2CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000134923187 378064071 /nfs/dbraw/zinc/06/40/71/378064071.db2.gz CPFCCMGVWYIZSK-CMPLNLGQSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cccc(NCc2cc(C)no2)c1[N+](=O)[O-] ZINC000134914951 378064176 /nfs/dbraw/zinc/06/41/76/378064176.db2.gz PFDMDBWGBDVKJQ-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN COc1cccc(NCc2ncccc2C)c1[N+](=O)[O-] ZINC000134918888 378064376 /nfs/dbraw/zinc/06/43/76/378064376.db2.gz TVXRDISCBFQFOM-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCOc1cccc(NCC2(CO)CCCC2)c1[N+](=O)[O-] ZINC000134928418 378065510 /nfs/dbraw/zinc/06/55/10/378065510.db2.gz YCJUXFNKOIRINR-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN CCC[C@@H]1SCCN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000135045740 378077953 /nfs/dbraw/zinc/07/79/53/378077953.db2.gz ZHCQZGPUEOJSQS-LBPRGKRZSA-N 0 0 295.364 2.613 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@@H](Cc2ccccc2)C1 ZINC000266033945 190224985 /nfs/dbraw/zinc/22/49/85/190224985.db2.gz AKRWEPAGJFUULH-ZDUSSCGKSA-N 0 0 299.330 2.628 20 5 CFBDRN CC[C@@]1(C)CN(Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000135590358 378146341 /nfs/dbraw/zinc/14/63/41/378146341.db2.gz HUPLDILHORWKKV-AWEZNQCLSA-N 0 0 264.325 2.596 20 5 CFBDRN Cc1cc(N[C@@H]2CCCSC2)ncc1[N+](=O)[O-] ZINC000135658760 378155008 /nfs/dbraw/zinc/15/50/08/378155008.db2.gz MRRQYVRDYHEVST-SECBINFHSA-N 0 0 253.327 2.606 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000571768398 383725439 /nfs/dbraw/zinc/72/54/39/383725439.db2.gz WIQCTMABXHFFCU-PSASIEDQSA-N 0 0 287.747 2.852 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])C12CCC2 ZINC000266037226 190225625 /nfs/dbraw/zinc/22/56/25/190225625.db2.gz UBQDQMUCFQBWGZ-VXGBXAGGSA-N 0 0 277.324 2.749 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCc2ccco2)c1 ZINC000136290099 378192946 /nfs/dbraw/zinc/19/29/46/378192946.db2.gz KABAFHBCHGSOHE-UHFFFAOYSA-N 0 0 276.248 2.587 20 5 CFBDRN CCC(CC)Nc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000136292179 378193171 /nfs/dbraw/zinc/19/31/71/378193171.db2.gz BUQGCTHWJYNZQG-UHFFFAOYSA-N 0 0 266.297 2.982 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CC2(C)C)c1 ZINC000136299192 378194760 /nfs/dbraw/zinc/19/47/60/378194760.db2.gz DMMJXDDTPNWJAB-NSHDSACASA-N 0 0 264.281 2.592 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000136516013 378201460 /nfs/dbraw/zinc/20/14/60/378201460.db2.gz HNHYZLVGHXZRJR-ZJUUUORDSA-N 0 0 277.324 2.580 20 5 CFBDRN CSCCCNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000136753256 378209133 /nfs/dbraw/zinc/20/91/33/378209133.db2.gz KIVJRSZKVCBBDL-UHFFFAOYSA-N 0 0 288.756 2.731 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CCO[C@H]1C ZINC000137797333 378229427 /nfs/dbraw/zinc/22/94/27/378229427.db2.gz MBCOIAWVTGKNSO-WDEREUQCSA-N 0 0 293.323 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCC(F)F)c(Cl)c1 ZINC000147619363 378253271 /nfs/dbraw/zinc/25/32/71/378253271.db2.gz HKNRCSFPKIEBJN-UHFFFAOYSA-N 0 0 250.632 2.603 20 5 CFBDRN C/C(=C\C(=O)NCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000266060783 190227232 /nfs/dbraw/zinc/22/72/32/190227232.db2.gz QNIHFUUCEHFDLA-ZHACJKMWSA-N 0 0 274.320 2.610 20 5 CFBDRN CCN(CC)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000151411279 378324173 /nfs/dbraw/zinc/32/41/73/378324173.db2.gz PYFXUTMFMHUXNB-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000151554684 378336259 /nfs/dbraw/zinc/33/62/59/378336259.db2.gz UMJFOIRFJRXERY-RDDDGLTNSA-N 0 0 284.262 2.791 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151931239 378374141 /nfs/dbraw/zinc/37/41/41/378374141.db2.gz XZRIEDBJOKEPDV-BXUZGUMPSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2cccs2)c1 ZINC000152593300 378431593 /nfs/dbraw/zinc/43/15/93/378431593.db2.gz BXLXQIADSGWADD-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN Cc1ccccc1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000152656905 378436159 /nfs/dbraw/zinc/43/61/59/378436159.db2.gz JKSUSYSDDRVEIV-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1ccncc1)C2 ZINC000153388654 378489047 /nfs/dbraw/zinc/48/90/47/378489047.db2.gz SYDMJUCMHMXZEW-UHFFFAOYSA-N 0 0 269.304 2.548 20 5 CFBDRN CS[C@H](C)CNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000153970833 378528042 /nfs/dbraw/zinc/52/80/42/378528042.db2.gz OELDMDMURZLMEE-ZJUUUORDSA-N 0 0 297.380 2.707 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H]1CCC[C@H](O)C1 ZINC000153827510 378515452 /nfs/dbraw/zinc/51/54/52/378515452.db2.gz LRSRSTRNSGTQKJ-SCZZXKLOSA-N 0 0 285.731 2.606 20 5 CFBDRN C[C@H](C1CCCCC1)N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000330404146 378546107 /nfs/dbraw/zinc/54/61/07/378546107.db2.gz WQAPMMRDDFVWEF-LLVKDONJSA-N 0 0 293.367 2.974 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCC[C@@H]1C(F)F ZINC000330414605 378549528 /nfs/dbraw/zinc/54/95/28/378549528.db2.gz UESHRIPAKZOPDI-GFCCVEGCSA-N 0 0 298.289 2.784 20 5 CFBDRN C[C@@H]1CCC[C@H](CCn2cc([N+](=O)[O-])ccc2=O)C1 ZINC000330440198 378555972 /nfs/dbraw/zinc/55/59/72/378555972.db2.gz PNPFEFHDAUQEOD-VXGBXAGGSA-N 0 0 264.325 2.973 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1cc([N+](=O)[O-])ccc1OC ZINC000330518794 378575752 /nfs/dbraw/zinc/57/57/52/378575752.db2.gz NBRCPWDRXYCPNS-OAHLLOKOSA-N 0 0 294.351 2.604 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1CCC(F)(F)C1 ZINC000331089778 378631083 /nfs/dbraw/zinc/63/10/83/378631083.db2.gz UMIPROWHSRRMQC-SECBINFHSA-N 0 0 284.262 2.646 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C)=C(C)C1 ZINC000331129628 378637892 /nfs/dbraw/zinc/63/78/92/378637892.db2.gz RYNHUIOUUHWQKW-UHFFFAOYSA-N 0 0 290.319 2.786 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000331273218 378661915 /nfs/dbraw/zinc/66/19/15/378661915.db2.gz VWVZEJUSHFCILN-GMXVVIOVSA-N 0 0 292.335 2.505 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@H]1CCC(F)(F)C1 ZINC000331329115 378670642 /nfs/dbraw/zinc/67/06/42/378670642.db2.gz NYGUFXVXINNWPP-QMMMGPOBSA-N 0 0 258.224 2.804 20 5 CFBDRN CC(C)[C@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000159180584 378691033 /nfs/dbraw/zinc/69/10/33/378691033.db2.gz UMPMENUAYDCIOB-QMMMGPOBSA-N 0 0 254.261 2.508 20 5 CFBDRN CC(C)(C)OC(=O)CCSc1ccc([N+](=O)[O-])cn1 ZINC000160101082 378748362 /nfs/dbraw/zinc/74/83/62/378748362.db2.gz UPEVLJYEGQHYCR-UHFFFAOYSA-N 0 0 284.337 2.814 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)NCCC1=CCCC1 ZINC000160134031 378750714 /nfs/dbraw/zinc/75/07/14/378750714.db2.gz XIRLVBLWLRSMOW-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN CC(C)[C@H](C)N(C(=O)c1ccc([N+](=O)[O-])n1C)C1CC1 ZINC000331515807 378700018 /nfs/dbraw/zinc/70/00/18/378700018.db2.gz QGLHMIQSEDJLAH-JTQLQIEISA-N 0 0 279.340 2.582 20 5 CFBDRN CCOC(C)(C)CNc1ccc([N+](=O)[O-])cc1F ZINC000159521797 378713866 /nfs/dbraw/zinc/71/38/66/378713866.db2.gz CYRYMBRUSMTJFR-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1ccccc1[N+](=O)[O-] ZINC000159846165 378734112 /nfs/dbraw/zinc/73/41/12/378734112.db2.gz XNKSMWBLWVGSQG-UHFFFAOYSA-N 0 0 260.293 2.515 20 5 CFBDRN C[C@H](C(=O)NC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000332445563 378763595 /nfs/dbraw/zinc/76/35/95/378763595.db2.gz DLIMBEQYEUFWPN-QMMMGPOBSA-N 0 0 266.272 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCn2ccnc2)cc1 ZINC000160329834 378763642 /nfs/dbraw/zinc/76/36/42/378763642.db2.gz RMOLPJRQMQDBJX-UHFFFAOYSA-N 0 0 263.322 2.725 20 5 CFBDRN Cc1c(Cc2nc(C3CC3)no2)cccc1[N+](=O)[O-] ZINC000160909253 378807550 /nfs/dbraw/zinc/80/75/50/378807550.db2.gz AFDVTPGSTYFPLX-UHFFFAOYSA-N 0 0 259.265 2.754 20 5 CFBDRN O=C(c1ccco1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000040630984 284718982 /nfs/dbraw/zinc/71/89/82/284718982.db2.gz YKFGQLFRRXOLNW-UHFFFAOYSA-N 0 0 272.260 2.781 20 5 CFBDRN Cc1c(Cn2cnc(C(C)C)cc2=O)cccc1[N+](=O)[O-] ZINC000160915162 378809484 /nfs/dbraw/zinc/80/94/84/378809484.db2.gz PGDKTLFBAWHITL-UHFFFAOYSA-N 0 0 287.319 2.632 20 5 CFBDRN CCCN(C)c1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000278479264 194003719 /nfs/dbraw/zinc/00/37/19/194003719.db2.gz VHSLCDFAKOLGOQ-UHFFFAOYSA-N 0 0 263.219 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@H]1CCCC[C@H]1O)CCN2 ZINC000278481213 194003848 /nfs/dbraw/zinc/00/38/48/194003848.db2.gz VMWQEDQXCDPBMV-QMTHXVAHSA-N 0 0 291.351 2.526 20 5 CFBDRN CC(C)(C)C[C@@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000161427028 378846401 /nfs/dbraw/zinc/84/64/01/378846401.db2.gz OPEYWUIPHVYPRF-JTQLQIEISA-N 0 0 252.314 2.804 20 5 CFBDRN Cc1nc(Nc2cnn(C(C)C)c2)ccc1[N+](=O)[O-] ZINC000161545031 378854722 /nfs/dbraw/zinc/85/47/22/378854722.db2.gz NFRKNJISPDAVNN-UHFFFAOYSA-N 0 0 261.285 2.819 20 5 CFBDRN CC(C)n1ncnc1COc1c(Cl)cccc1[N+](=O)[O-] ZINC000278567646 194047790 /nfs/dbraw/zinc/04/77/90/194047790.db2.gz CDIFGENRQWBHEW-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C3CC3)C2)cc([N+](=O)[O-])c1 ZINC000334246655 378976928 /nfs/dbraw/zinc/97/69/28/378976928.db2.gz UUNCSYSLPLPIFD-LBPRGKRZSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1occc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335266621 378991513 /nfs/dbraw/zinc/99/15/13/378991513.db2.gz BANWPJKSVFXREJ-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN CCc1ccc(OCc2ncnn2C(C)C)c([N+](=O)[O-])c1 ZINC000278613740 194069759 /nfs/dbraw/zinc/06/97/59/194069759.db2.gz GUQJSJHJHBAEPS-UHFFFAOYSA-N 0 0 290.323 2.909 20 5 CFBDRN CCO[C@@H]1C[C@@H]1NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000571863765 383733318 /nfs/dbraw/zinc/73/33/18/383733318.db2.gz ZMUVQKRKJALEJV-CMPLNLGQSA-N 0 0 293.323 2.511 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC=CCC1 ZINC000166021629 379046417 /nfs/dbraw/zinc/04/64/17/379046417.db2.gz CYSHDSLXYGSZIR-UHFFFAOYSA-N 0 0 266.684 2.650 20 5 CFBDRN CC(C)CN(C)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000168468107 379087265 /nfs/dbraw/zinc/08/72/65/379087265.db2.gz GRXZRSSYGQBRBY-UHFFFAOYSA-N 0 0 270.716 2.976 20 5 CFBDRN Cc1cccc(C(=O)Nc2nc(C3CC3)c[nH]2)c1[N+](=O)[O-] ZINC000289467863 197361902 /nfs/dbraw/zinc/36/19/02/197361902.db2.gz WXHNERWDOLUNCH-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN C[C@@H](CO)Sc1ccc([N+](=O)[O-])c2cnccc12 ZINC000168747681 379094236 /nfs/dbraw/zinc/09/42/36/379094236.db2.gz JPQHBDJAIARMMG-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN COC1(c2ccccc2)CN(Cc2ccccc2[N+](=O)[O-])C1 ZINC000362313835 284754339 /nfs/dbraw/zinc/75/43/39/284754339.db2.gz XMWXIZCLUCVJCB-UHFFFAOYSA-N 0 0 298.342 2.952 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC(F)(F)C1 ZINC000335327592 379096650 /nfs/dbraw/zinc/09/66/50/379096650.db2.gz TWZUYORKUMDLGX-UHFFFAOYSA-N 0 0 289.213 2.607 20 5 CFBDRN Cc1cc(Cn2cc([N+](=O)[O-])cc(F)c2=O)c(C)s1 ZINC000335341191 379124203 /nfs/dbraw/zinc/12/42/03/379124203.db2.gz GMDACVPCBYAMLZ-UHFFFAOYSA-N 0 0 282.296 2.622 20 5 CFBDRN C[C@H]1CCCC[C@@H]1N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000334346345 379131037 /nfs/dbraw/zinc/13/10/37/379131037.db2.gz QATREUIBLDINFQ-QWRGUYRKSA-N 0 0 279.340 2.584 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@H]1c1ccccc1 ZINC000334371135 379175896 /nfs/dbraw/zinc/17/58/96/379175896.db2.gz PLAUEYIKHXSDPR-NSHDSACASA-N 0 0 272.260 2.775 20 5 CFBDRN CCN(C(=O)Nc1cc([N+](=O)[O-])ccc1OC)C(C)C ZINC000170902275 379189685 /nfs/dbraw/zinc/18/96/85/379189685.db2.gz KFQADVGBALRTCS-UHFFFAOYSA-N 0 0 281.312 2.866 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cccc(Cl)c2)c1[N+](=O)[O-] ZINC000171366864 379290188 /nfs/dbraw/zinc/29/01/88/379290188.db2.gz ALQVCEIXUKFAPS-UHFFFAOYSA-N 0 0 294.698 2.786 20 5 CFBDRN Cc1c(C(=O)NCCCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000266538511 190265529 /nfs/dbraw/zinc/26/55/29/190265529.db2.gz LWOSJWRKXHKLMH-UHFFFAOYSA-N 0 0 290.241 2.976 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H](F)C1)c1csc([N+](=O)[O-])c1 ZINC000335419238 379261191 /nfs/dbraw/zinc/26/11/91/379261191.db2.gz PZJHJAPVVDGEQE-BDAKNGLRSA-N 0 0 272.301 2.667 20 5 CFBDRN C[C@]1(F)CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000334426895 379279118 /nfs/dbraw/zinc/27/91/18/379279118.db2.gz ZIVZKNGBHQLRTE-LBPRGKRZSA-N 0 0 267.260 2.561 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000335450286 379316797 /nfs/dbraw/zinc/31/67/97/379316797.db2.gz AZBBSMDRHKXANR-CQSZACIVSA-N 0 0 298.289 2.627 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCCC(F)(F)F ZINC000266596890 190269711 /nfs/dbraw/zinc/26/97/11/190269711.db2.gz YHZIRLMHFFSXEG-UHFFFAOYSA-N 0 0 290.241 2.596 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCSC1 ZINC000334495043 379390940 /nfs/dbraw/zinc/39/09/40/379390940.db2.gz DGVOUELGSCPDSD-SNVBAGLBSA-N 0 0 280.349 2.903 20 5 CFBDRN CCc1n[nH]c(C(=O)N([C@@H](C)C(C)C)C2CC2)c1[N+](=O)[O-] ZINC000171665576 379366566 /nfs/dbraw/zinc/36/65/66/379366566.db2.gz KLQFXTFMHDWHNQ-VIFPVBQESA-N 0 0 294.355 2.529 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)C(C)C ZINC000171911761 379434201 /nfs/dbraw/zinc/43/42/01/379434201.db2.gz AMAPEWCMERJRNN-SECBINFHSA-N 0 0 267.281 2.801 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000171832033 379416842 /nfs/dbraw/zinc/41/68/42/379416842.db2.gz RMPFJYSXEPOYLU-LLVKDONJSA-N 0 0 279.340 2.782 20 5 CFBDRN CN(CC1CCC1)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000171832031 379417158 /nfs/dbraw/zinc/41/71/58/379417158.db2.gz YHHJQHGVGFFKLS-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN CCc1cncc(C(=O)NCCc2ccccc2[N+](=O)[O-])c1 ZINC000278987688 194242557 /nfs/dbraw/zinc/24/25/57/194242557.db2.gz IJIXBJLGBUVPOO-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCCC12CCC2 ZINC000336057823 296297783 /nfs/dbraw/zinc/29/77/83/296297783.db2.gz ZFGAUMMMPCUQPF-UHFFFAOYSA-N 0 0 266.322 2.815 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)C1CCCC1 ZINC000172103504 379494752 /nfs/dbraw/zinc/49/47/52/379494752.db2.gz DNTKBOPWSBTGGE-QMMMGPOBSA-N 0 0 268.338 2.965 20 5 CFBDRN CN(CC(=O)NC(C)(C)C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432320513 383737135 /nfs/dbraw/zinc/73/71/35/383737135.db2.gz PLMOILPNNKAGJL-UHFFFAOYSA-N 0 0 299.758 2.599 20 5 CFBDRN CN(Cc1cc[nH]n1)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432334569 383738165 /nfs/dbraw/zinc/73/81/65/383738165.db2.gz KJTLPPHCXMFOSC-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN Cc1cc(NC[C@](C)(O)c2cccs2)ncc1[N+](=O)[O-] ZINC000266855668 190284452 /nfs/dbraw/zinc/28/44/52/190284452.db2.gz SYPIOLOZIAQWRO-ZDUSSCGKSA-N 0 0 293.348 2.679 20 5 CFBDRN CC(C)Oc1ccccc1Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000266859098 190285542 /nfs/dbraw/zinc/28/55/42/190285542.db2.gz UEONFODFGQKPBV-UHFFFAOYSA-N 0 0 288.303 2.592 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)C(C)(C)C1 ZINC000266902066 190288751 /nfs/dbraw/zinc/28/87/51/190288751.db2.gz IPRCLYXMOGOKQU-QMMMGPOBSA-N 0 0 268.338 2.917 20 5 CFBDRN C[C@H](NCc1ncc(Cl)n1C)c1ccccc1[N+](=O)[O-] ZINC000266925673 190290986 /nfs/dbraw/zinc/29/09/86/190290986.db2.gz ZLKPRSKXUNYAJD-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1c([C@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)cnn1C ZINC000172408524 379560701 /nfs/dbraw/zinc/56/07/01/379560701.db2.gz UXKQGQXGWSWCBQ-ZETCQYMHSA-N 0 0 295.730 2.858 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000266947401 190293270 /nfs/dbraw/zinc/29/32/70/190293270.db2.gz YNICBVPEYOXJAD-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C)C(C)C ZINC000172456406 379572989 /nfs/dbraw/zinc/57/29/89/379572989.db2.gz OCGXTKSTQUSCHL-JTQLQIEISA-N 0 0 280.324 2.625 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])cc1F ZINC000267084247 190302013 /nfs/dbraw/zinc/30/20/13/190302013.db2.gz YTPDSVPAUFNZJX-CMPLNLGQSA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1ccc([N+](=O)[O-])cc1F ZINC000267084256 190302215 /nfs/dbraw/zinc/30/22/15/190302215.db2.gz YTPDSVPAUFNZJX-PWSUYJOCSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1cnc(Sc2n[nH]c(C(C)(C)C)n2)c([N+](=O)[O-])c1 ZINC000172807475 379651738 /nfs/dbraw/zinc/65/17/38/379651738.db2.gz TXIRLTCVANPIRL-UHFFFAOYSA-N 0 0 293.352 2.865 20 5 CFBDRN COC(=O)[C@H](C)CSCc1ccc([N+](=O)[O-])cc1F ZINC000267099524 190303465 /nfs/dbraw/zinc/30/34/65/190303465.db2.gz ZCGXOLVVOBMRJQ-MRVPVSSYSA-N 0 0 287.312 2.776 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCCSC ZINC000267095521 190303598 /nfs/dbraw/zinc/30/35/98/190303598.db2.gz VNDFADRKGOVJCL-UHFFFAOYSA-N 0 0 270.354 2.906 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])[C@@H](C)C1 ZINC000342138316 220270977 /nfs/dbraw/zinc/27/09/77/220270977.db2.gz OCHZODPZABQWNT-SCVCMEIPSA-N 0 0 291.351 2.930 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000172635203 379611228 /nfs/dbraw/zinc/61/12/28/379611228.db2.gz XQWWLTHWDZOTDH-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN COCC1CCN(Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000267002193 190296274 /nfs/dbraw/zinc/29/62/74/190296274.db2.gz DHXXYPCOAWEFDI-UHFFFAOYSA-N 0 0 282.315 2.592 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](C)[C@@H]2C)c1 ZINC000172661607 379617907 /nfs/dbraw/zinc/61/79/07/379617907.db2.gz CABRXVWEINALLB-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C=C[C@H](CO)C2)c(Cl)c1 ZINC000267048070 190299122 /nfs/dbraw/zinc/29/91/22/190299122.db2.gz DSZRWEKFFIWJCB-DTWKUNHWSA-N 0 0 268.700 2.597 20 5 CFBDRN CCCCS(=O)(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000173068445 379691218 /nfs/dbraw/zinc/69/12/18/379691218.db2.gz ICNIDQUFCWVFBC-UHFFFAOYSA-N 0 0 293.728 2.757 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCCC[C@H]1F ZINC000335648850 379659184 /nfs/dbraw/zinc/65/91/84/379659184.db2.gz LLWQJGLXYVSICP-GHMZBOCLSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1cc(NC[C@H]2CCO[C@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000267213696 190312766 /nfs/dbraw/zinc/31/27/66/190312766.db2.gz MJLOVHHJEVNEDH-RISCZKNCSA-N 0 0 279.340 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](C)C2)c1 ZINC000172917711 379670253 /nfs/dbraw/zinc/67/02/53/379670253.db2.gz WIUWNOKUEMPNPA-LLVKDONJSA-N 0 0 262.309 2.775 20 5 CFBDRN CCS[C@H]1CCC[C@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000267238355 190314336 /nfs/dbraw/zinc/31/43/36/190314336.db2.gz XGHBGPKKJXTPQE-UWVGGRQHSA-N 0 0 284.385 2.805 20 5 CFBDRN CC(C)(C)[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000335682068 379713413 /nfs/dbraw/zinc/71/34/13/379713413.db2.gz BBRPTWZABKMGJA-JTQLQIEISA-N 0 0 279.340 2.821 20 5 CFBDRN CCC(CC)N(CC(C)C)C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000267299383 190319403 /nfs/dbraw/zinc/31/94/03/190319403.db2.gz KUMIJJBDHBLOOP-UHFFFAOYSA-N 0 0 296.371 2.913 20 5 CFBDRN CCSCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000173301525 379722126 /nfs/dbraw/zinc/72/21/26/379722126.db2.gz FEUCFDCZEKFQGS-MRVPVSSYSA-N 0 0 288.394 2.918 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335674771 379702000 /nfs/dbraw/zinc/70/20/00/379702000.db2.gz PSLWVDIFHZCDFV-ZYHUDNBSSA-N 0 0 274.320 2.526 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000173157676 379704240 /nfs/dbraw/zinc/70/42/40/379704240.db2.gz NITFVYNRHMSXFN-SSDOTTSWSA-N 0 0 254.311 2.589 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCC(F)(F)C1 ZINC000335736468 379806533 /nfs/dbraw/zinc/80/65/33/379806533.db2.gz DBGAZMOTDNOVOD-QMMMGPOBSA-N 0 0 270.235 2.969 20 5 CFBDRN Cc1cc(C(=O)N2CCC(C)(C)C2)cc([N+](=O)[O-])c1 ZINC000173688736 379765304 /nfs/dbraw/zinc/76/53/04/379765304.db2.gz NNEVFFQSYWKWEQ-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000335714540 379769419 /nfs/dbraw/zinc/76/94/19/379769419.db2.gz CFMNJHXTFIMKET-IUCAKERBSA-N 0 0 273.292 2.699 20 5 CFBDRN CC(=O)OCCCSc1ncc([N+](=O)[O-])cc1Cl ZINC000279018612 194251209 /nfs/dbraw/zinc/25/12/09/194251209.db2.gz HMMNKOVUOKSFLK-UHFFFAOYSA-N 0 0 290.728 2.689 20 5 CFBDRN CC1=CCCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000334732563 379842375 /nfs/dbraw/zinc/84/23/75/379842375.db2.gz JBEJRRNZOTWBMR-LSDHHAIUSA-N 0 0 286.331 2.877 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000334735037 379847180 /nfs/dbraw/zinc/84/71/80/379847180.db2.gz SBKNJZICJKHSFI-JGVFFNPUSA-N 0 0 268.700 2.729 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3ccc(Cl)cc3[N+](=O)[O-])C[C@@H]21 ZINC000174266240 379854314 /nfs/dbraw/zinc/85/43/14/379854314.db2.gz LWXWSDCRUXNHTE-PHIMTYICSA-N 0 0 294.738 2.976 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H]2CCC[C@H]21 ZINC000174315966 379863338 /nfs/dbraw/zinc/86/33/38/379863338.db2.gz AKZOSIXZZWARQI-NXEZZACHSA-N 0 0 264.281 2.593 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)[C@H]1C ZINC000335785198 379882995 /nfs/dbraw/zinc/88/29/95/379882995.db2.gz QDXZWZXCUMJKFO-IUCAKERBSA-N 0 0 281.287 2.996 20 5 CFBDRN CN(C(=O)c1csc([N+](=O)[O-])c1)[C@@H]1CC1(C)C ZINC000335788636 379890228 /nfs/dbraw/zinc/89/02/28/379890228.db2.gz LAOIEPJKMDDEHB-MRVPVSSYSA-N 0 0 254.311 2.527 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000175001640 379976892 /nfs/dbraw/zinc/97/68/92/379976892.db2.gz MTSWGSSKAZWFMZ-ZDUSSCGKSA-N 0 0 276.336 2.832 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000267677383 190346300 /nfs/dbraw/zinc/34/63/00/190346300.db2.gz GDQOTEMOVBEKEE-SRVKXCTJSA-N 0 0 291.351 2.953 20 5 CFBDRN C[C@@H]1[C@@H](c2ccccc2)CCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000267683555 190346704 /nfs/dbraw/zinc/34/67/04/190346704.db2.gz MQXALAQEXCOPNH-YPMHNXCESA-N 0 0 299.330 2.941 20 5 CFBDRN C[C@@H]1CCN(CCn2cc([N+](=O)[O-])cn2)c2ccccc21 ZINC000174820625 379945976 /nfs/dbraw/zinc/94/59/76/379945976.db2.gz WZRBTNQSGACWMO-GFCCVEGCSA-N 0 0 286.335 2.805 20 5 CFBDRN CO[C@@]1(C)C[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)C1(C)C ZINC000174814727 379946191 /nfs/dbraw/zinc/94/61/91/379946191.db2.gz BRNQMFQDPHFZHH-RNCFNFMXSA-N 0 0 298.364 2.590 20 5 CFBDRN C[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])CCCO1 ZINC000174843598 379949878 /nfs/dbraw/zinc/94/98/78/379949878.db2.gz LMEHCENCUKMTLX-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN O=C(Nc1cc(F)ccc1O)c1cccc([N+](=O)[O-])c1 ZINC000174885366 379955807 /nfs/dbraw/zinc/95/58/07/379955807.db2.gz YEJSULJNKHVZTG-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN CC1(CNC(=O)NCCc2ccccc2[N+](=O)[O-])CCC1 ZINC000267604863 190340787 /nfs/dbraw/zinc/34/07/87/190340787.db2.gz JLOINONAXWUZTM-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN CCOc1cc(N2CCS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000174914366 379961373 /nfs/dbraw/zinc/96/13/73/379961373.db2.gz LHWJFWVNQDXJSU-JTQLQIEISA-N 0 0 282.365 2.935 20 5 CFBDRN CC1(C)CCN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000335205303 380005070 /nfs/dbraw/zinc/00/50/70/380005070.db2.gz IGKYBEURFKMORA-UHFFFAOYSA-N 0 0 298.289 2.674 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCCO[C@H](C)C1 ZINC000175166213 380011597 /nfs/dbraw/zinc/01/15/97/380011597.db2.gz BWAALWVAJWJGCG-GFCCVEGCSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000335212446 380015554 /nfs/dbraw/zinc/01/55/54/380015554.db2.gz DYOMJGFTXDUOOK-SVRRBLITSA-N 0 0 286.378 2.589 20 5 CFBDRN CC1CC(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000267736845 190351422 /nfs/dbraw/zinc/35/14/22/190351422.db2.gz GUWWWEVUVGZXJB-QPJJXVBHSA-N 0 0 260.293 2.523 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000335213032 380017460 /nfs/dbraw/zinc/01/74/60/380017460.db2.gz GPFLPGDKMNFHFH-QMMMGPOBSA-N 0 0 268.338 2.917 20 5 CFBDRN CC(C)[C@H]1OCCC[C@H]1CNc1ccc([N+](=O)[O-])cn1 ZINC000267741118 190351771 /nfs/dbraw/zinc/35/17/71/190351771.db2.gz WTRBTIXCEMSZSF-SMDDNHRTSA-N 0 0 279.340 2.853 20 5 CFBDRN CC(=O)[C@H](C)S[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000175199204 380019357 /nfs/dbraw/zinc/01/93/57/380019357.db2.gz BINLACYDRFZZSB-VHSXEESVSA-N 0 0 296.348 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2(C)CCC2)c1 ZINC000267759941 190353307 /nfs/dbraw/zinc/35/33/07/190353307.db2.gz YLBWNKIGXYFEBO-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1ccc(C(=O)N2CC3(CCC3(F)F)C2)cc1[N+](=O)[O-] ZINC000335869667 380025339 /nfs/dbraw/zinc/02/53/39/380025339.db2.gz FJQFTWTZBIHRSC-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC(CF)C1 ZINC000335221705 380031244 /nfs/dbraw/zinc/03/12/44/380031244.db2.gz MYDSMJQHIVCPQB-UHFFFAOYSA-N 0 0 294.307 2.851 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000267884192 190360611 /nfs/dbraw/zinc/36/06/11/190360611.db2.gz ODGKWQQKYZONFJ-VHSXEESVSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335226477 380039219 /nfs/dbraw/zinc/03/92/19/380039219.db2.gz ZZOIOTJSJHPKHU-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000268096604 190376361 /nfs/dbraw/zinc/37/63/61/190376361.db2.gz AGSQSEDOHUUQBS-OLZOCXBDSA-N 0 0 278.308 2.515 20 5 CFBDRN CCOC(=O)c1cc(NCC[C@H](C)OC)ccc1[N+](=O)[O-] ZINC000268119183 190378202 /nfs/dbraw/zinc/37/82/02/190378202.db2.gz BGGFIBYHDQFRSQ-JTQLQIEISA-N 0 0 296.323 2.608 20 5 CFBDRN CN(CCC1CCOCC1)c1cccc(F)c1[N+](=O)[O-] ZINC000268138982 190380057 /nfs/dbraw/zinc/38/00/57/190380057.db2.gz JXLCOXQYVAZICL-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN COc1cc(N(C)Cc2cscn2)c([N+](=O)[O-])cc1F ZINC000268145638 190380843 /nfs/dbraw/zinc/38/08/43/190380843.db2.gz JGUVYGKZIMJRQC-UHFFFAOYSA-N 0 0 297.311 2.835 20 5 CFBDRN C[C@H](C[C@@H](O)c1ccccc1)Nc1ncccc1[N+](=O)[O-] ZINC000268149672 190381340 /nfs/dbraw/zinc/38/13/40/190381340.db2.gz FASDYOCAQAAOJS-BXUZGUMPSA-N 0 0 287.319 2.914 20 5 CFBDRN C[C@@H](C[C@H](O)c1ccccc1)Nc1ncccc1[N+](=O)[O-] ZINC000268149673 190381401 /nfs/dbraw/zinc/38/14/01/190381401.db2.gz FASDYOCAQAAOJS-FZMZJTMJSA-N 0 0 287.319 2.914 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000335991080 380205626 /nfs/dbraw/zinc/20/56/26/380205626.db2.gz KYPHWHKMOPOEAB-QMMMGPOBSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000268238810 190388937 /nfs/dbraw/zinc/38/89/37/190388937.db2.gz GYCHEOMFQPHWRZ-NSHDSACASA-N 0 0 294.355 2.525 20 5 CFBDRN CC(C)C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000268253447 190390135 /nfs/dbraw/zinc/39/01/35/190390135.db2.gz KNRNBZBUAHIJCX-LLVKDONJSA-N 0 0 279.340 2.821 20 5 CFBDRN CC(C)[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000335979948 380190823 /nfs/dbraw/zinc/19/08/23/380190823.db2.gz IYTKSQJLVUWDDN-LLVKDONJSA-N 0 0 279.340 2.821 20 5 CFBDRN Cc1cccc([C@H]2CCN(C(=O)c3cc([N+](=O)[O-])c[nH]3)C2)c1 ZINC000335984239 380196004 /nfs/dbraw/zinc/19/60/04/380196004.db2.gz APLKBVUQQNPPOY-ZDUSSCGKSA-N 0 0 299.330 2.861 20 5 CFBDRN COCCN(Cc1ccc(C)o1)c1ncccc1[N+](=O)[O-] ZINC000268283574 190392594 /nfs/dbraw/zinc/39/25/94/190392594.db2.gz BSRPPIKBKNQCCW-UHFFFAOYSA-N 0 0 291.307 2.544 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2C[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000176547528 380252321 /nfs/dbraw/zinc/25/23/21/380252321.db2.gz JQPKQBGYVCYIEZ-QWRGUYRKSA-N 0 0 276.292 2.588 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)O1 ZINC000268409605 190399983 /nfs/dbraw/zinc/39/99/83/190399983.db2.gz ULYFRPWSNQPEJS-CHWSQXEVSA-N 0 0 279.292 2.596 20 5 CFBDRN Cc1sc(C(=O)N2C[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000336014958 380239705 /nfs/dbraw/zinc/23/97/05/380239705.db2.gz FKZAQOPIDQGXKF-YUMQZZPRSA-N 0 0 268.338 2.835 20 5 CFBDRN CC(C)(C)CCCNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000176834840 380277871 /nfs/dbraw/zinc/27/78/71/380277871.db2.gz WVDNLLCOALBNQT-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN O=C(Nc1cnc2ccccc2n1)c1cccc([N+](=O)[O-])c1 ZINC000177016501 380294298 /nfs/dbraw/zinc/29/42/98/380294298.db2.gz PLPPQUPJAVWPKX-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1ccc(F)cc1F ZINC000177556376 380353278 /nfs/dbraw/zinc/35/32/78/380353278.db2.gz HMQSPZASLFMYFQ-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN Cc1ccc(CCNc2nc(C)ccc2[N+](=O)[O-])cn1 ZINC000178222041 380424133 /nfs/dbraw/zinc/42/41/33/380424133.db2.gz PINHBJNQWDQBBT-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@H]2CCCC[C@@H]2C1 ZINC000178372847 380443170 /nfs/dbraw/zinc/44/31/70/380443170.db2.gz ZIDXIYDOFMNBKW-CHWSQXEVSA-N 0 0 274.320 2.857 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSc2ccc(F)c(F)c2)n1 ZINC000178480923 380455693 /nfs/dbraw/zinc/45/56/93/380455693.db2.gz KWFNNKSYUWEIPR-UHFFFAOYSA-N 0 0 285.275 2.862 20 5 CFBDRN O=C(NCCCF)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000178076229 380406753 /nfs/dbraw/zinc/40/67/53/380406753.db2.gz QGMRJZROYLDAEN-UHFFFAOYSA-N 0 0 282.296 2.899 20 5 CFBDRN Cc1nscc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000179494698 380548516 /nfs/dbraw/zinc/54/85/16/380548516.db2.gz PZUDCMVZXZCFTK-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(Nc1ccc2c(c1)COC2)c1csc([N+](=O)[O-])c1 ZINC000179497541 380551072 /nfs/dbraw/zinc/55/10/72/380551072.db2.gz GLLMTGBMHKAGGZ-UHFFFAOYSA-N 0 0 290.300 2.939 20 5 CFBDRN Cc1cccc(O)c1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000179545882 380554048 /nfs/dbraw/zinc/55/40/48/380554048.db2.gz MXNGYAZFHQYKLY-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCCn1cccn1 ZINC000179777734 380580283 /nfs/dbraw/zinc/58/02/83/380580283.db2.gz WXVAXYOOELWQJA-UHFFFAOYSA-N 0 0 260.297 2.520 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000336787227 380631988 /nfs/dbraw/zinc/63/19/88/380631988.db2.gz DNMHJILFSHGRTO-HTRCEHHLSA-N 0 0 264.310 2.810 20 5 CFBDRN CCOC(C)(C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000336790244 380637810 /nfs/dbraw/zinc/63/78/10/380637810.db2.gz WGDOCPMEPFZWCD-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN Cc1cc(C)cc(NC(=O)[C@H](C)n2cc([N+](=O)[O-])cn2)c1 ZINC000180506856 380669536 /nfs/dbraw/zinc/66/95/36/380669536.db2.gz UJNOYRIIGGEHSZ-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN CCSCCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336810899 380670545 /nfs/dbraw/zinc/67/05/45/380670545.db2.gz JYDXAVSFHSLYBK-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1CCC2(CCCC2)C1 ZINC000181138511 380746586 /nfs/dbraw/zinc/74/65/86/380746586.db2.gz NRRLFQMREXATET-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN Cc1c(CS(=O)(=O)CCC(C)C)cccc1[N+](=O)[O-] ZINC000341165279 380810948 /nfs/dbraw/zinc/81/09/48/380810948.db2.gz XNGMLEXZRLMBFZ-UHFFFAOYSA-N 0 0 285.365 2.864 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CCCC[C@@H]1O ZINC000287374643 380817363 /nfs/dbraw/zinc/81/73/63/380817363.db2.gz QTSGKKYNANKHOU-ABAIWWIYSA-N 0 0 292.335 2.760 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000287558222 380865163 /nfs/dbraw/zinc/86/51/63/380865163.db2.gz WOICEFDXJTVDLQ-OTYXRUKQSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1ccsc1CCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000358472534 380867689 /nfs/dbraw/zinc/86/76/89/380867689.db2.gz LBNUWQGXMLDBAT-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000346979949 380841311 /nfs/dbraw/zinc/84/13/11/380841311.db2.gz OJYAWCAIZUGZJW-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3nc[nH]c3C2)ccc1[N+](=O)[O-] ZINC000367905943 380852818 /nfs/dbraw/zinc/85/28/18/380852818.db2.gz CVCGWVOWBTYASK-LLVKDONJSA-N 0 0 272.308 2.596 20 5 CFBDRN C[C@H](O)C[C@H]1CCCN1c1cc(Cl)ccc1[N+](=O)[O-] ZINC000281162234 299523321 /nfs/dbraw/zinc/52/33/21/299523321.db2.gz LOUAYDARZIQPBV-GXSJLCMTSA-N 0 0 284.743 2.988 20 5 CFBDRN CC1(C)CCN(c2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000287531189 380858833 /nfs/dbraw/zinc/85/88/33/380858833.db2.gz BCCVEVABRBFZIK-UHFFFAOYSA-N 0 0 281.381 2.899 20 5 CFBDRN CCCCN(CC)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000279230067 194342509 /nfs/dbraw/zinc/34/25/09/194342509.db2.gz OUJZEXYZSWQOGJ-UHFFFAOYSA-N 0 0 283.303 2.578 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC[C@H](O)C(F)(F)F ZINC000358514579 380898338 /nfs/dbraw/zinc/89/83/38/380898338.db2.gz ZWXIVEKTYYSQKH-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN Cc1nn([C@H](C)c2nnc(-c3ccccc3)o2)cc1[N+](=O)[O-] ZINC000181819168 380906286 /nfs/dbraw/zinc/90/62/86/380906286.db2.gz BBMRDCMWGXMAQQ-SNVBAGLBSA-N 0 0 299.290 2.759 20 5 CFBDRN Cc1nn(Cc2nc(-c3cccc(C)c3)no2)cc1[N+](=O)[O-] ZINC000181825411 380907658 /nfs/dbraw/zinc/90/76/58/380907658.db2.gz BRCYOKZXGKTOOS-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN COCC[C@@H](C)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295520229 380911209 /nfs/dbraw/zinc/91/12/09/380911209.db2.gz MFLDZWJVVXLYCY-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2[C@H]3CCO[C@H]3C2(C)C)n1 ZINC000408171226 380916119 /nfs/dbraw/zinc/91/61/19/380916119.db2.gz YSZBXDHSPJOWIG-RAIGVLPGSA-N 0 0 291.351 2.832 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000295532141 380920551 /nfs/dbraw/zinc/92/05/51/380920551.db2.gz WROIELDXDHPOPI-VIFPVBQESA-N 0 0 284.262 2.601 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000295532137 380920868 /nfs/dbraw/zinc/92/08/68/380920868.db2.gz WROIELDXDHPOPI-SECBINFHSA-N 0 0 284.262 2.601 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@@H]3CCC[C@H]32)nc2sccn21 ZINC000408361003 380922463 /nfs/dbraw/zinc/92/24/63/380922463.db2.gz BHODBZWAKPLVGR-DTWKUNHWSA-N 0 0 278.337 2.683 20 5 CFBDRN Cc1ccc(CN(C(C)C)C2COC2)cc1[N+](=O)[O-] ZINC000295574186 380950863 /nfs/dbraw/zinc/95/08/63/380950863.db2.gz AYNPDXMFNVKIIZ-UHFFFAOYSA-N 0 0 264.325 2.512 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCSC1 ZINC000353918765 380954816 /nfs/dbraw/zinc/95/48/16/380954816.db2.gz ZPILJRSKBVTIKJ-SNVBAGLBSA-N 0 0 295.364 2.784 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2cncc(F)c2)n1 ZINC000341350831 380956405 /nfs/dbraw/zinc/95/64/05/380956405.db2.gz IYYGQJWAQDAFLB-UHFFFAOYSA-N 0 0 277.255 2.720 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CC(F)F ZINC000353921159 380956912 /nfs/dbraw/zinc/95/69/12/380956912.db2.gz IZFCPBHYMPRLOF-UHFFFAOYSA-N 0 0 287.266 2.886 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341365525 380968483 /nfs/dbraw/zinc/96/84/83/380968483.db2.gz QMAOHEQSVRRFPK-OCCSQVGLSA-N 0 0 288.347 2.856 20 5 CFBDRN CC1(C)CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000353946868 380978960 /nfs/dbraw/zinc/97/89/60/380978960.db2.gz WMSPHOIBEYTOIZ-SECBINFHSA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1c(Br)cccc1Cn1cc([N+](=O)[O-])cn1 ZINC000160083020 322332612 /nfs/dbraw/zinc/33/26/12/322332612.db2.gz VIOYMFJFOGTPOE-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN C[C@@]1(F)CCCN(CCOc2ccccc2[N+](=O)[O-])C1 ZINC000295556018 380938565 /nfs/dbraw/zinc/93/85/65/380938565.db2.gz XLWGBQWGRAAMRW-CQSZACIVSA-N 0 0 282.315 2.798 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@H]1CF ZINC000295557568 380940965 /nfs/dbraw/zinc/94/09/65/380940965.db2.gz JMYFUDQIHQFJON-VIFPVBQESA-N 0 0 286.690 2.822 20 5 CFBDRN C[C@@H](CC(C)(C)C)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347105279 380941769 /nfs/dbraw/zinc/94/17/69/380941769.db2.gz BLRQQWNYFLVFDN-QMMMGPOBSA-N 0 0 293.327 2.918 20 5 CFBDRN COc1cncc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)c1 ZINC000341335782 380944562 /nfs/dbraw/zinc/94/45/62/380944562.db2.gz FJOIAZCMJZBGPI-UHFFFAOYSA-N 0 0 298.302 2.705 20 5 CFBDRN CSCCCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347220846 381012157 /nfs/dbraw/zinc/01/21/57/381012157.db2.gz BQCQQSXNRBHSMC-UHFFFAOYSA-N 0 0 287.316 2.609 20 5 CFBDRN CCN(C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C(C)C ZINC000341443292 381017832 /nfs/dbraw/zinc/01/78/32/381017832.db2.gz VYIWGVHJKSLFMJ-UHFFFAOYSA-N 0 0 286.278 2.672 20 5 CFBDRN CCc1cnccc1[C@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000358711779 381027656 /nfs/dbraw/zinc/02/76/56/381027656.db2.gz CPQATSRMTWRMNY-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(CCn2cc(-n3cccc3)cn2)cc1 ZINC000341391353 380987802 /nfs/dbraw/zinc/98/78/02/380987802.db2.gz LPJWKGZYMXBKBS-UHFFFAOYSA-N 0 0 282.303 2.825 20 5 CFBDRN C[C@@H]1CN(c2ncnc3sc([N+](=O)[O-])cc32)C[C@H]1C ZINC000288186924 381006670 /nfs/dbraw/zinc/00/66/70/381006670.db2.gz BVFGDAILMJXIPT-HTQZYQBOSA-N 0 0 278.337 2.692 20 5 CFBDRN Cc1nc(NC2(Cc3ccccc3)CC2)ncc1[N+](=O)[O-] ZINC000295742221 381047177 /nfs/dbraw/zinc/04/71/77/381047177.db2.gz YGCXSIBKGMRGFW-UHFFFAOYSA-N 0 0 284.319 2.880 20 5 CFBDRN COc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)ccc1F ZINC000358751470 381055945 /nfs/dbraw/zinc/05/59/45/381055945.db2.gz IXRHFVHMZISBPH-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)c1c[nH]c2ncccc12 ZINC000341472123 381032664 /nfs/dbraw/zinc/03/26/64/381032664.db2.gz QRVJPXTZONGWEF-UHFFFAOYSA-N 0 0 297.270 2.733 20 5 CFBDRN CCCC[C@@H](C)N(C)c1ncc([N+](=O)[O-])c(C)n1 ZINC000295719751 381034935 /nfs/dbraw/zinc/03/49/35/381034935.db2.gz UBWWBVJTFLOPMF-SECBINFHSA-N 0 0 252.318 2.708 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000182239386 381036158 /nfs/dbraw/zinc/03/61/58/381036158.db2.gz KJJIAZMOHBDBPZ-JTQLQIEISA-N 0 0 280.324 2.904 20 5 CFBDRN CCC1(NC(=O)COc2ccccc2[N+](=O)[O-])CCCC1 ZINC000295803582 381085002 /nfs/dbraw/zinc/08/50/02/381085002.db2.gz BGCCCVSSPOQXAX-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN O=C(N[C@H]1CC(=O)c2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000354109497 381086274 /nfs/dbraw/zinc/08/62/74/381086274.db2.gz SLUUVZMMUKXVPL-AWEZNQCLSA-N 0 0 296.282 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nc([C@@H]3CCOC3)no2)cc1 ZINC000341560084 381088952 /nfs/dbraw/zinc/08/89/52/381088952.db2.gz ZXOHFBVCOQWOQE-ZTYXWKCZSA-N 0 0 287.275 2.652 20 5 CFBDRN COC(=O)C1(CSc2ncccc2[N+](=O)[O-])CCCC1 ZINC000295833031 381103022 /nfs/dbraw/zinc/10/30/22/381103022.db2.gz WGMSXWPIVRFKIU-UHFFFAOYSA-N 0 0 296.348 2.815 20 5 CFBDRN CC(C)CCCS(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000295845090 381112488 /nfs/dbraw/zinc/11/24/88/381112488.db2.gz IHSFENVIAPNTTR-UHFFFAOYSA-N 0 0 285.365 2.946 20 5 CFBDRN Cc1nn(C)c2nccc(OCc3cccc([N+](=O)[O-])c3)c12 ZINC000347418599 381139288 /nfs/dbraw/zinc/13/92/88/381139288.db2.gz LNALOWYCWXDREI-UHFFFAOYSA-N 0 0 298.302 2.764 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H]2CC[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC000358914957 381151844 /nfs/dbraw/zinc/15/18/44/381151844.db2.gz MCGNZMWABUIRQU-CMPLNLGQSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(=O)c1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000295915743 381155825 /nfs/dbraw/zinc/15/58/25/381155825.db2.gz DRSBTXAVJVXHNZ-UHFFFAOYSA-N 0 0 279.292 2.630 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])c(C)s2)CC1 ZINC000295864158 381125052 /nfs/dbraw/zinc/12/50/52/381125052.db2.gz KYMQRJVXYKRJGA-UHFFFAOYSA-N 0 0 254.311 2.637 20 5 CFBDRN Cc1cc([C@H](C)NCc2c([N+](=O)[O-])ncn2C)c(C)s1 ZINC000347550926 381216808 /nfs/dbraw/zinc/21/68/08/381216808.db2.gz FTKSOPOICZIKIK-VIFPVBQESA-N 0 0 294.380 2.857 20 5 CFBDRN CC(C)C[C@]1(C)CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000295949221 381174117 /nfs/dbraw/zinc/17/41/17/381174117.db2.gz IPJWLVGBBXQRDH-AWEZNQCLSA-N 0 0 279.340 2.964 20 5 CFBDRN CC(C)c1cnc(NC(=O)c2ccc([N+](=O)[O-])n2C)s1 ZINC000358961534 381177967 /nfs/dbraw/zinc/17/79/67/381177967.db2.gz JBSDOIHALYISRC-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN COc1ccc(C(=O)N[C@@H](C)CCC2CC2)cc1[N+](=O)[O-] ZINC000347496842 381187421 /nfs/dbraw/zinc/18/74/21/381187421.db2.gz OLQLQXHLDCHTTQ-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000347496516 381187882 /nfs/dbraw/zinc/18/78/82/381187882.db2.gz NPTVNILDLUZTNZ-NSHDSACASA-N 0 0 276.336 2.832 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000347519340 381196396 /nfs/dbraw/zinc/19/63/96/381196396.db2.gz NQWBQPXYCSEBJK-SNVBAGLBSA-N 0 0 294.326 2.971 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])s2)[C@H]2CCCO[C@@H]21 ZINC000369121268 381198183 /nfs/dbraw/zinc/19/81/83/381198183.db2.gz DLUYRYSXMNJAHD-QNSHHTMESA-N 0 0 283.353 2.667 20 5 CFBDRN CN(CCc1ccncc1)c1c(F)cccc1[N+](=O)[O-] ZINC000341939090 381204017 /nfs/dbraw/zinc/20/40/17/381204017.db2.gz YVSXFUGDYSWJKD-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CCC1(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000296009355 381209207 /nfs/dbraw/zinc/20/92/07/381209207.db2.gz WFHLCTZVTQRICO-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN Cc1c(-c2nc(-c3ccn(C)c3)no2)cccc1[N+](=O)[O-] ZINC000347543663 381212916 /nfs/dbraw/zinc/21/29/16/381212916.db2.gz IHEANWBTJHLOIH-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN COc1cc([C@H](C)NCc2csc([N+](=O)[O-])c2)ccn1 ZINC000347545905 381214498 /nfs/dbraw/zinc/21/44/98/381214498.db2.gz WDFHRRXSQKSZLP-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)C(=O)OC(C)(C)C)n1 ZINC000359079516 381252704 /nfs/dbraw/zinc/25/27/04/381252704.db2.gz BNRGLXVXSWGXET-JTQLQIEISA-N 0 0 295.339 2.749 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)Cc2ccncc2)n1 ZINC000359085386 381255186 /nfs/dbraw/zinc/25/51/86/381255186.db2.gz SVHVBGRSMDSDEF-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN COc1ncccc1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359086437 381257672 /nfs/dbraw/zinc/25/76/72/381257672.db2.gz UYCZSERXSVKAAX-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2[C@@H](C)C2(F)F)cc1[N+](=O)[O-] ZINC000359087888 381258632 /nfs/dbraw/zinc/25/86/32/381258632.db2.gz OFJOQJDRYJQOPM-XCBNKYQSSA-N 0 0 270.235 2.743 20 5 CFBDRN CC[C@H](C)n1ncc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1C ZINC000359092904 381260715 /nfs/dbraw/zinc/26/07/15/381260715.db2.gz SLCSEAUFOZTGHM-QMMMGPOBSA-N 0 0 291.311 2.651 20 5 CFBDRN CC[C@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000359090401 381260731 /nfs/dbraw/zinc/26/07/31/381260731.db2.gz FKAJIABXANQBPE-RYUDHWBXSA-N 0 0 279.340 2.976 20 5 CFBDRN Cc1cc([C@@H](C)NCc2c([N+](=O)[O-])ncn2C)ccc1F ZINC000342300086 381260827 /nfs/dbraw/zinc/26/08/27/381260827.db2.gz AJMGLTWQLSMADD-SNVBAGLBSA-N 0 0 292.314 2.627 20 5 CFBDRN CCOC(=O)CC[C@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359095844 381262200 /nfs/dbraw/zinc/26/22/00/381262200.db2.gz KFFDESOPLABQCW-JTQLQIEISA-N 0 0 295.339 2.750 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)c2cn[nH]c2)n1 ZINC000359095792 381262778 /nfs/dbraw/zinc/26/27/78/381262778.db2.gz NSMFVFTUZUZKCO-SECBINFHSA-N 0 0 261.285 2.503 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCO[C@@H](C)C2)n1 ZINC000359098377 381263524 /nfs/dbraw/zinc/26/35/24/381263524.db2.gz SKDRVXLTJWTUIH-WDEREUQCSA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCNc2ccccn2)n1 ZINC000359109243 381270986 /nfs/dbraw/zinc/27/09/86/381270986.db2.gz NVKGBPXVVOSIDR-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCC(F)(F)Br)c1 ZINC000290028380 381267889 /nfs/dbraw/zinc/26/78/89/381267889.db2.gz DSUXOXJUGOYTSN-UHFFFAOYSA-N 0 0 295.083 2.672 20 5 CFBDRN CC1(C)CN(Cc2cccc([N+](=O)[O-])c2)[C@@H]1[C@@H]1CCCO1 ZINC000289933039 381223329 /nfs/dbraw/zinc/22/33/29/381223329.db2.gz VHBICFSDSBXKQN-LSDHHAIUSA-N 0 0 290.363 2.984 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCC1CCOCC1 ZINC000296045563 381228315 /nfs/dbraw/zinc/22/83/15/381228315.db2.gz QXBCHRFWXWCXHG-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CCCN(CC(C)C)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000354373603 381231230 /nfs/dbraw/zinc/23/12/30/381231230.db2.gz UKIZXDKAXCUELC-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN Cc1nn(Cc2nc(C3CC3)cs2)c(C)c1[N+](=O)[O-] ZINC000342259922 381234956 /nfs/dbraw/zinc/23/49/56/381234956.db2.gz FXXHXWRAQKXZRK-UHFFFAOYSA-N 0 0 278.337 2.790 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1C[C@@H]1C ZINC000289965413 381238837 /nfs/dbraw/zinc/23/88/37/381238837.db2.gz YQSGAZNEMFQJFK-GXSJLCMTSA-N 0 0 277.324 2.935 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)[C@@H]1CC1(C)C ZINC000412291184 381247068 /nfs/dbraw/zinc/24/70/68/381247068.db2.gz RCYQSDLXHBOLLI-BFHYXJOUSA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1cccnc1CCNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000296081982 381247629 /nfs/dbraw/zinc/24/76/29/381247629.db2.gz OMARTLYCAACPMM-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1c(CNC(=O)Nc2nccs2)cccc1[N+](=O)[O-] ZINC000359076149 381250107 /nfs/dbraw/zinc/25/01/07/381250107.db2.gz AMDKJHBVTFPFEW-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000183590120 381291013 /nfs/dbraw/zinc/29/10/13/381291013.db2.gz MRUBHMJAYZCYGI-MNOVXSKESA-N 0 0 292.339 2.938 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2CC[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000296190786 381292920 /nfs/dbraw/zinc/29/29/20/381292920.db2.gz DPSXNQYAAPGGGW-PWSUYJOCSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)Cc1cccnc1 ZINC000183626539 381297413 /nfs/dbraw/zinc/29/74/13/381297413.db2.gz OEQOEIXYTMXJFJ-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CSCCCN1CCc2c1cccc2[N+](=O)[O-] ZINC000183625005 381297968 /nfs/dbraw/zinc/29/79/68/381297968.db2.gz VLBZSPJXRKCQAV-UHFFFAOYSA-N 0 0 252.339 2.710 20 5 CFBDRN Cc1cscc1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000347651491 381276150 /nfs/dbraw/zinc/27/61/50/381276150.db2.gz IUVWIPZQHJNUFI-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000342322464 381276797 /nfs/dbraw/zinc/27/67/97/381276797.db2.gz LTDHJSWHVDJHOQ-ZCFIWIBFSA-N 0 0 266.194 2.774 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)nn1C ZINC000342334675 381282021 /nfs/dbraw/zinc/28/20/21/381282021.db2.gz LJHGLLZBOSMBCT-LBPRGKRZSA-N 0 0 288.351 2.796 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CC[C@H]1CCCO1 ZINC000342457770 381342304 /nfs/dbraw/zinc/34/23/04/381342304.db2.gz YIQJLXGGWKWEPK-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000342437885 381331830 /nfs/dbraw/zinc/33/18/30/381331830.db2.gz FEOSDYYCGIGQOZ-VIFPVBQESA-N 0 0 291.332 2.885 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000359294203 381333211 /nfs/dbraw/zinc/33/32/11/381333211.db2.gz BGFPRJMTZOKWKK-GXFFZTMASA-N 0 0 291.351 2.891 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(CF)C1 ZINC000412526771 381337817 /nfs/dbraw/zinc/33/78/17/381337817.db2.gz HPFRCKCMTHYBRQ-VIFPVBQESA-N 0 0 298.339 2.503 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCCCSC ZINC000342410484 381315956 /nfs/dbraw/zinc/31/59/56/381315956.db2.gz STABKWFSGKFFCJ-UHFFFAOYSA-N 0 0 298.364 2.855 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCc2c1cccc2F ZINC000183764568 381317751 /nfs/dbraw/zinc/31/77/51/381317751.db2.gz UBQILQSJCGVSPY-UHFFFAOYSA-N 0 0 292.291 2.998 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1C[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000354574216 381345608 /nfs/dbraw/zinc/34/56/08/381345608.db2.gz UYTFTLQAASNYGO-WCBMZHEXSA-N 0 0 298.289 2.642 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1[C@H]1NC(=O)c2ccccc2N1C ZINC000183947445 381346106 /nfs/dbraw/zinc/34/61/06/381346106.db2.gz SSJCWJAAAJVIHP-HNNXBMFYSA-N 0 0 297.314 2.782 20 5 CFBDRN COc1cncc(CN(C)Cc2ccccc2[N+](=O)[O-])c1 ZINC000290230330 381351630 /nfs/dbraw/zinc/35/16/30/381351630.db2.gz IPZKARRAJWDZJI-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN CCc1ccc(OCC(=O)[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000184010898 381355686 /nfs/dbraw/zinc/35/56/86/381355686.db2.gz DWRXEQOAORIAOM-LBPRGKRZSA-N 0 0 293.319 2.532 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Nc1cnn(CC(C)C)c1 ZINC000288863046 197181224 /nfs/dbraw/zinc/18/12/24/197181224.db2.gz LCHWAPWYQCYZNA-UHFFFAOYSA-N 0 0 292.343 2.716 20 5 CFBDRN CC(C)(CNC(=O)c1cccc([N+](=O)[O-])c1)OCC1CC1 ZINC000412627295 381372984 /nfs/dbraw/zinc/37/29/84/381372984.db2.gz WKLCPJWEAVDOMK-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN CCOc1cccc(NC[C@H](O)[C@H](C)CC)c1[N+](=O)[O-] ZINC000184115914 381373487 /nfs/dbraw/zinc/37/34/87/381373487.db2.gz CUEJTHFPINTOTJ-PWSUYJOCSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1noc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1C ZINC000359369023 381376455 /nfs/dbraw/zinc/37/64/55/381376455.db2.gz HVXXFIHEJHEWBF-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCCC[C@H]2F)ccc1[N+](=O)[O-] ZINC000412688741 381404001 /nfs/dbraw/zinc/40/40/01/381404001.db2.gz HVUWADPWKHQDET-GHMZBOCLSA-N 0 0 296.298 2.614 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCCC[C@H]2F)cc1[N+](=O)[O-] ZINC000412694106 381406601 /nfs/dbraw/zinc/40/66/01/381406601.db2.gz PVGWCTQQECWQMI-GHMZBOCLSA-N 0 0 296.298 2.614 20 5 CFBDRN CN(CC[C@H]1CCCO1)Cc1c(F)cccc1[N+](=O)[O-] ZINC000342573571 381406961 /nfs/dbraw/zinc/40/69/61/381406961.db2.gz VKRJQVQPYUJYBJ-LLVKDONJSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)nc(C)n1 ZINC000347909383 381407090 /nfs/dbraw/zinc/40/70/90/381407090.db2.gz IGHSWLMLNFOPNN-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCO2)c2cccnc21 ZINC000347871431 381390846 /nfs/dbraw/zinc/39/08/46/381390846.db2.gz IDCVRUQWYPVBKF-JTQLQIEISA-N 0 0 274.276 2.701 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2C[C@@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000412687666 381402352 /nfs/dbraw/zinc/40/23/52/381402352.db2.gz OBNPHPFCZAUVPD-WEDXCCLWSA-N 0 0 298.364 2.508 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000296505290 381424824 /nfs/dbraw/zinc/42/48/24/381424824.db2.gz YFKACVBHARWWKS-GXFFZTMASA-N 0 0 295.339 2.777 20 5 CFBDRN C[C@@H]1CC(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000347947853 381429430 /nfs/dbraw/zinc/42/94/30/381429430.db2.gz FQSBIAGJJDAABP-RKDXNWHRSA-N 0 0 296.298 2.876 20 5 CFBDRN CCC(=O)c1ccc(NCC2(O)CCC2)c([N+](=O)[O-])c1 ZINC000359489827 381432620 /nfs/dbraw/zinc/43/26/20/381432620.db2.gz HRUJPJFTMABXCD-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCC1=O ZINC000347959304 381434012 /nfs/dbraw/zinc/43/40/12/381434012.db2.gz OOIIBBXDLCAAFS-IUCAKERBSA-N 0 0 294.282 2.678 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCC1=O ZINC000347959310 381434854 /nfs/dbraw/zinc/43/48/54/381434854.db2.gz OOIIBBXDLCAAFS-RKDXNWHRSA-N 0 0 294.282 2.678 20 5 CFBDRN Cc1sc(C(=O)NCc2ccccc2)cc1[N+](=O)[O-] ZINC000359506039 381440221 /nfs/dbraw/zinc/44/02/21/381440221.db2.gz IODHSSMCRZZMHL-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CCOC(=O)CN(Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000342630616 381444399 /nfs/dbraw/zinc/44/43/99/381444399.db2.gz FTRROFJFXHWMQN-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN C[C@H](CCC(C)(C)C)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290545780 381473483 /nfs/dbraw/zinc/47/34/83/381473483.db2.gz FMAFQFPQGNPTBQ-SECBINFHSA-N 0 0 294.355 2.715 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1(C2CC2)CCOCC1 ZINC000370614211 381478695 /nfs/dbraw/zinc/47/86/95/381478695.db2.gz MAGHKMJAKMMKCS-UHFFFAOYSA-N 0 0 290.319 2.740 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@H]1CCCCS1 ZINC000342646702 381455002 /nfs/dbraw/zinc/45/50/02/381455002.db2.gz DBELZYKLHOEXOJ-GFCCVEGCSA-N 0 0 294.376 2.539 20 5 CFBDRN Cc1c(CNC(=O)N(C)CC(C)C)cccc1[N+](=O)[O-] ZINC000359533623 381455498 /nfs/dbraw/zinc/45/54/98/381455498.db2.gz PYSCZMTWEXQKAO-UHFFFAOYSA-N 0 0 279.340 2.701 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1CCCCS1 ZINC000342654128 381460255 /nfs/dbraw/zinc/46/02/55/381460255.db2.gz JMIDCECKIROOGH-ZDUSSCGKSA-N 0 0 294.376 2.539 20 5 CFBDRN C[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1cncc(F)c1 ZINC000359555440 381467480 /nfs/dbraw/zinc/46/74/80/381467480.db2.gz QAJNJNFHXGXWTI-ZETCQYMHSA-N 0 0 295.295 2.681 20 5 CFBDRN CC/C=C\CCSCCn1cc([N+](=O)[O-])cn1 ZINC000342727307 381503678 /nfs/dbraw/zinc/50/36/78/381503678.db2.gz CKPBVELCGCDRAZ-ARJAWSKDSA-N 0 0 255.343 2.881 20 5 CFBDRN COCc1nc(CNc2nccc(C)c2[N+](=O)[O-])cs1 ZINC000290633243 381504358 /nfs/dbraw/zinc/50/43/58/381504358.db2.gz HFFIQNUQLNALNF-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN C[C@H]([NH2+]C[C@H](O)CC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000296704968 381512135 /nfs/dbraw/zinc/51/21/35/381512135.db2.gz LIERNMOQIJIGIA-GZMMTYOYSA-N 0 0 292.257 2.559 20 5 CFBDRN COc1cccc(COc2c(F)cccc2[N+](=O)[O-])n1 ZINC000185022879 381515277 /nfs/dbraw/zinc/51/52/77/381515277.db2.gz GAZNKQTVMWZBFM-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN C[C@H]1C[C@H](CNc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000359623671 381515316 /nfs/dbraw/zinc/51/53/16/381515316.db2.gz VWINDDQJUCSHTL-VHSXEESVSA-N 0 0 268.288 2.961 20 5 CFBDRN CCC[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1C)OC ZINC000296720214 381519067 /nfs/dbraw/zinc/51/90/67/381519067.db2.gz BVXPOPTYVVRPOB-NSHDSACASA-N 0 0 295.339 2.840 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1c1ccco1)c1ccc([N+](=O)[O-])s1 ZINC000412922831 381499193 /nfs/dbraw/zinc/49/91/93/381499193.db2.gz JZADHXGHKQLNDV-HTQZYQBOSA-N 0 0 278.289 2.535 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2C[C@H]2c2ccco2)cccc1[N+](=O)[O-] ZINC000412928441 381500989 /nfs/dbraw/zinc/50/09/89/381500989.db2.gz XEJGGIPYOGSGNJ-VXGBXAGGSA-N 0 0 286.287 2.782 20 5 CFBDRN CCN(CCC1CC1)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000354877123 381501964 /nfs/dbraw/zinc/50/19/64/381501964.db2.gz FRCBUFQCSKKWCQ-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCCN1c1ncc([N+](=O)[O-])cc1F ZINC000413283098 381539604 /nfs/dbraw/zinc/53/96/04/381539604.db2.gz RMIARVWMYUUGCY-WDEREUQCSA-N 0 0 297.330 2.649 20 5 CFBDRN CC1=C[C@@H](C)CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413269342 381539634 /nfs/dbraw/zinc/53/96/34/381539634.db2.gz NFIOZNGLOUMCAV-MRVPVSSYSA-N 0 0 251.261 2.531 20 5 CFBDRN O=[N+]([O-])c1cn(CCOc2ccccc2F)nc1C1CC1 ZINC000185170280 381552496 /nfs/dbraw/zinc/55/24/96/381552496.db2.gz OOOPEFPYOIIKEF-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN CCc1nnc(CNc2ccc(C)cc2[N+](=O)[O-])s1 ZINC000290769263 381544865 /nfs/dbraw/zinc/54/48/65/381544865.db2.gz VFZGNGRPWGVEGG-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN CN(Cc1ccnn1C)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000348400141 381550034 /nfs/dbraw/zinc/55/00/34/381550034.db2.gz JGOLMASCYQATGL-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000342801009 381551583 /nfs/dbraw/zinc/55/15/83/381551583.db2.gz ZWBKEKVVQFHFBI-ZEGGKSINSA-N 0 0 279.340 2.770 20 5 CFBDRN Cc1nn(CCO[C@H]2CCCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000354924496 381524252 /nfs/dbraw/zinc/52/42/52/381524252.db2.gz VYGRNSVZJDHSQK-GWCFXTLKSA-N 0 0 267.329 2.695 20 5 CFBDRN Cc1nn(CCO[C@@H]2CCCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000354924497 381524283 /nfs/dbraw/zinc/52/42/83/381524283.db2.gz VYGRNSVZJDHSQK-GXFFZTMASA-N 0 0 267.329 2.695 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000290727778 381533051 /nfs/dbraw/zinc/53/30/51/381533051.db2.gz QCPMCOREERLOOV-PSASIEDQSA-N 0 0 266.297 2.633 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000359651953 381534705 /nfs/dbraw/zinc/53/47/05/381534705.db2.gz RNLOJIAVYRDAAJ-FHMRSRPSSA-N 0 0 292.335 2.927 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000185102617 381535476 /nfs/dbraw/zinc/53/54/76/381535476.db2.gz AWQNXROMOJRTAZ-ZIAGYGMSSA-N 0 0 276.336 2.546 20 5 CFBDRN Cc1noc(C)c1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000348412294 381557629 /nfs/dbraw/zinc/55/76/29/381557629.db2.gz JKZVFQYYGUYZJM-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN CCOc1cc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC000185206870 381565173 /nfs/dbraw/zinc/56/51/73/381565173.db2.gz AILXBEDMXSDRKS-JTQLQIEISA-N 0 0 288.307 2.957 20 5 CFBDRN CCc1csc(NC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000355075887 381598812 /nfs/dbraw/zinc/59/88/12/381598812.db2.gz BSBFAVKOKKUATP-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CCCN(CC)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000298386468 381604080 /nfs/dbraw/zinc/60/40/80/381604080.db2.gz SIAVVKPEMRNJFH-JXMROGBWSA-N 0 0 262.309 2.867 20 5 CFBDRN CSc1cccc(NCc2ccc([N+](=O)[O-])cc2F)n1 ZINC000342910061 381609826 /nfs/dbraw/zinc/60/98/26/381609826.db2.gz GHVOPIYJXQVMEJ-UHFFFAOYSA-N 0 0 293.323 2.885 20 5 CFBDRN COc1cccc(N(C)CCCSC)c1[N+](=O)[O-] ZINC000290982281 381619119 /nfs/dbraw/zinc/61/91/19/381619119.db2.gz RSJKJWSMSFROEW-UHFFFAOYSA-N 0 0 270.354 2.793 20 5 CFBDRN COc1cc(N(C)CCCSC)c(F)cc1[N+](=O)[O-] ZINC000290993787 381622874 /nfs/dbraw/zinc/62/28/74/381622874.db2.gz UGWJGNCFHWDLTD-UHFFFAOYSA-N 0 0 288.344 2.932 20 5 CFBDRN CCO[C@@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000348544408 381623132 /nfs/dbraw/zinc/62/31/32/381623132.db2.gz PERCPMXWEYHXLH-AWEZNQCLSA-N 0 0 294.351 2.662 20 5 CFBDRN CC(C)[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000342938586 381626711 /nfs/dbraw/zinc/62/67/11/381626711.db2.gz MMQLUMNGIQDLKR-GFCCVEGCSA-N 0 0 268.288 2.595 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCc2ncsc2C1 ZINC000342963557 381637233 /nfs/dbraw/zinc/63/72/33/381637233.db2.gz GGOGMYVWFMBHNE-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN COCCNc1cc(C)c([N+](=O)[O-])cc1C(F)(F)F ZINC000359834849 381633137 /nfs/dbraw/zinc/63/31/37/381633137.db2.gz CTCKJMUHERZOKM-UHFFFAOYSA-N 0 0 278.230 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCc2cn[nH]c2)cc1 ZINC000298465328 381638924 /nfs/dbraw/zinc/63/89/24/381638924.db2.gz TWENXJFCTIXTLB-UHFFFAOYSA-N 0 0 263.322 2.794 20 5 CFBDRN Cc1ccoc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000291072788 381655269 /nfs/dbraw/zinc/65/52/69/381655269.db2.gz MCANARPMRXGZKY-UHFFFAOYSA-N 0 0 265.269 2.626 20 5 CFBDRN Cc1ccnc(N2CCC(F)(F)[C@H](C)C2)c1[N+](=O)[O-] ZINC000291076399 381658065 /nfs/dbraw/zinc/65/80/65/381658065.db2.gz HQEGIQWEBDDLQZ-SECBINFHSA-N 0 0 271.267 2.780 20 5 CFBDRN C[C@@H]1CCC[C@H](Cn2cccc([N+](=O)[O-])c2=O)C1 ZINC000185527377 381658261 /nfs/dbraw/zinc/65/82/61/381658261.db2.gz PTGJJPZABJSMDV-MNOVXSKESA-N 0 0 250.298 2.583 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)c1ccccn1 ZINC000185530961 381658948 /nfs/dbraw/zinc/65/89/48/381658948.db2.gz MSFFVSBEXTWGOY-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC[C@@H](F)C1 ZINC000343044561 381659611 /nfs/dbraw/zinc/65/96/11/381659611.db2.gz HVZINSCMIZHXCA-SSDOTTSWSA-N 0 0 293.220 2.947 20 5 CFBDRN CCC1(CC)CCC(NC(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000355201265 381673935 /nfs/dbraw/zinc/67/39/35/381673935.db2.gz SBNNRDDMBFWNMQ-UHFFFAOYSA-N 0 0 294.355 2.797 20 5 CFBDRN COc1cccc(N2CC[C@H](OCC(C)C)C2)c1[N+](=O)[O-] ZINC000185558435 381664906 /nfs/dbraw/zinc/66/49/06/381664906.db2.gz YTOHOMNURZGWII-LBPRGKRZSA-N 0 0 294.351 2.855 20 5 CFBDRN CC(C)OC(=O)CC[C@@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000359897182 381665742 /nfs/dbraw/zinc/66/57/42/381665742.db2.gz IRHMJSZBALYTEF-SNVBAGLBSA-N 0 0 281.312 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1Cc2ccccc2C1 ZINC000185698725 381708318 /nfs/dbraw/zinc/70/83/18/381708318.db2.gz OOLFWPQDDDIJIY-UHFFFAOYSA-N 0 0 296.326 2.800 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CC1CC1 ZINC000298690586 381714520 /nfs/dbraw/zinc/71/45/20/381714520.db2.gz SLSYJLNAMGUXEJ-JTQLQIEISA-N 0 0 294.376 2.944 20 5 CFBDRN Cc1cccnc1N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000348798207 381715170 /nfs/dbraw/zinc/71/51/70/381715170.db2.gz AOYRPGMPUFTUDS-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000291126081 381674935 /nfs/dbraw/zinc/67/49/35/381674935.db2.gz CXMFYFQFIHWITC-JTQLQIEISA-N 0 0 279.340 2.828 20 5 CFBDRN Cc1ccc(Cc2nc(CCC(C)(C)[N+](=O)[O-])no2)cc1 ZINC000291142832 381682198 /nfs/dbraw/zinc/68/21/98/381682198.db2.gz YFKCJNXNYANHDH-UHFFFAOYSA-N 0 0 289.335 2.957 20 5 CFBDRN CCCc1csc(NC(=O)c2ccc([N+](=O)[O-])n2C)n1 ZINC000348717433 381684367 /nfs/dbraw/zinc/68/43/67/381684367.db2.gz GQWWQDHUVOYNQH-UHFFFAOYSA-N 0 0 294.336 2.595 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@@H](CO)C2)c(Cl)c1 ZINC000298600878 381685884 /nfs/dbraw/zinc/68/58/84/381685884.db2.gz NCAIUYSWILIPPX-RKDXNWHRSA-N 0 0 285.731 2.606 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])s2)[C@H]1C1CC1 ZINC000291158505 381688557 /nfs/dbraw/zinc/68/85/57/381688557.db2.gz QMKAKJVSFGGBBS-NSHDSACASA-N 0 0 280.349 2.917 20 5 CFBDRN CCCC1(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000355242647 381698461 /nfs/dbraw/zinc/69/84/61/381698461.db2.gz QUIOIAWUJWYPRM-UHFFFAOYSA-N 0 0 263.297 2.817 20 5 CFBDRN CCc1nnc(COc2cc(C)c([N+](=O)[O-])cc2F)o1 ZINC000185680939 381703815 /nfs/dbraw/zinc/70/38/15/381703815.db2.gz ZSFZNIPSXLFAFO-UHFFFAOYSA-N 0 0 281.243 2.567 20 5 CFBDRN CC(C)(C)Oc1cc(N2CC[C@](C)(O)C2)ccc1[N+](=O)[O-] ZINC000343429503 381704418 /nfs/dbraw/zinc/70/44/18/381704418.db2.gz SDSHJGNQXOSAOA-HNNXBMFYSA-N 0 0 294.351 2.733 20 5 CFBDRN Cc1ccc(NCc2noc(C3CC3)n2)c([N+](=O)[O-])c1 ZINC000343428486 381705302 /nfs/dbraw/zinc/70/53/02/381705302.db2.gz QAZVKIGTFKNUNY-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCOC[C@@H]2C)n1 ZINC000343498888 381755115 /nfs/dbraw/zinc/75/51/15/381755115.db2.gz BYXTWRFCZFAACI-JQWIXIFHSA-N 0 0 279.340 2.691 20 5 CFBDRN COc1cc(CNc2ncc(C)s2)c([N+](=O)[O-])cc1O ZINC000185855364 381757336 /nfs/dbraw/zinc/75/73/36/381757336.db2.gz MFFHWWZYBVMOBP-UHFFFAOYSA-N 0 0 295.320 2.686 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC1(C)CCCCC1 ZINC000355330431 381757616 /nfs/dbraw/zinc/75/76/16/381757616.db2.gz XGMKESVSWIONJO-UHFFFAOYSA-N 0 0 291.351 2.998 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1ncccc1F ZINC000343454054 381721528 /nfs/dbraw/zinc/72/15/28/381721528.db2.gz QUONAYXOFRXWHU-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC(C)=CCCNS(=O)(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000360006217 381722776 /nfs/dbraw/zinc/72/27/76/381722776.db2.gz IQRIJMUVLHJCPF-UHFFFAOYSA-N 0 0 298.364 2.538 20 5 CFBDRN COc1ccc(CNC(=O)C2(C)CCCC2)cc1[N+](=O)[O-] ZINC000298849122 381765214 /nfs/dbraw/zinc/76/52/14/381765214.db2.gz KXPGQRBZDKTASP-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC(CCCO)CC2)n1 ZINC000360182197 381768585 /nfs/dbraw/zinc/76/85/85/381768585.db2.gz QHLYPBCQNIVVLQ-UHFFFAOYSA-N 0 0 293.367 2.596 20 5 CFBDRN C[C@H]1CCCC[C@H]1N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298859244 381768865 /nfs/dbraw/zinc/76/88/65/381768865.db2.gz DVFDWTVIMPEOEJ-GXSJLCMTSA-N 0 0 265.313 2.574 20 5 CFBDRN CCOC1(C)CCN(c2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000291683074 381769997 /nfs/dbraw/zinc/76/99/97/381769997.db2.gz FJLHTARXZWUUHF-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN Cc1c(CN2CCO[C@@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000299102746 381825352 /nfs/dbraw/zinc/82/53/52/381825352.db2.gz NBHXDHSUXZOXCY-HUUCEWRRSA-N 0 0 276.336 2.657 20 5 CFBDRN O=C(NCC1Cc2ccccc2C1)c1cccc([N+](=O)[O-])c1 ZINC000343597626 381828356 /nfs/dbraw/zinc/82/83/56/381828356.db2.gz IEZWZNCHTAZHQO-UHFFFAOYSA-N 0 0 296.326 2.740 20 5 CFBDRN C[C@H](CNc1nc2sccn2c1[N+](=O)[O-])C(F)(F)F ZINC000355781587 381804378 /nfs/dbraw/zinc/80/43/78/381804378.db2.gz JWLGXEKFAMHGRC-RXMQYKEDSA-N 0 0 294.258 2.914 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)c(C)o1 ZINC000299056813 381810008 /nfs/dbraw/zinc/81/00/08/381810008.db2.gz MISJSKGSPXDRNI-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN Cc1ccoc1CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000343586666 381817277 /nfs/dbraw/zinc/81/72/77/381817277.db2.gz DLTGZVZQAAKMID-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN Cc1cc(NCCc2nccnc2C)ccc1[N+](=O)[O-] ZINC000292879538 381880500 /nfs/dbraw/zinc/88/05/00/381880500.db2.gz UQELHZCQXWFBGM-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1nn(C)c(-c2nc([C@H](C)C(C)(C)C)no2)c1[N+](=O)[O-] ZINC000356884674 381885211 /nfs/dbraw/zinc/88/52/11/381885211.db2.gz VBMWNJAVJRLBBO-ZETCQYMHSA-N 0 0 293.327 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2C[C@H](CO)c3ccccc32)cc1 ZINC000292810312 381846718 /nfs/dbraw/zinc/84/67/18/381846718.db2.gz XDCXPNFJBDYGJR-CQSZACIVSA-N 0 0 298.342 2.733 20 5 CFBDRN C[C@H]1CSC[C@@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000343624995 381850390 /nfs/dbraw/zinc/85/03/90/381850390.db2.gz JWTAMSXXEUOYQF-RCOVLWMOSA-N 0 0 273.745 2.807 20 5 CFBDRN Cc1ccoc1CNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000343627033 381852572 /nfs/dbraw/zinc/85/25/72/381852572.db2.gz OUIBLPDQGXYYIC-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN COc1ccc(COc2cccc([N+](=O)[O-])c2)cn1 ZINC000349220732 381853009 /nfs/dbraw/zinc/85/30/09/381853009.db2.gz YCRJLZAZMYIOMV-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CC23CCOCC3)cccc1[N+](=O)[O-] ZINC000372716944 381855042 /nfs/dbraw/zinc/85/50/42/381855042.db2.gz NPMJOANWHZZGNB-NSHDSACASA-N 0 0 290.319 2.658 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000343634664 381858505 /nfs/dbraw/zinc/85/85/05/381858505.db2.gz RXVJWMNJDFXNGZ-PRHODGIISA-N 0 0 280.349 2.961 20 5 CFBDRN CCCCN(CC)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000299294699 381886438 /nfs/dbraw/zinc/88/64/38/381886438.db2.gz MIVJPLVGIBJFQL-UHFFFAOYSA-N 0 0 298.314 2.761 20 5 CFBDRN CCc1noc(C)c1CNc1ncc([N+](=O)[O-])s1 ZINC000292858995 381868752 /nfs/dbraw/zinc/86/87/52/381868752.db2.gz SAMOLORCRHZIKW-UHFFFAOYSA-N 0 0 268.298 2.522 20 5 CFBDRN C[C@@H](C(=O)NCc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000186345688 381886984 /nfs/dbraw/zinc/88/69/84/381886984.db2.gz XUQXAWDJWZEXLM-MRVPVSSYSA-N 0 0 282.727 2.911 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000349288579 381875015 /nfs/dbraw/zinc/87/50/15/381875015.db2.gz PUSMKNZVVHJWPT-VIFPVBQESA-N 0 0 284.287 2.735 20 5 CFBDRN CC(C)CS(=O)(=O)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC000292923934 381904670 /nfs/dbraw/zinc/90/46/70/381904670.db2.gz YGRXPNVDRFUEJR-UHFFFAOYSA-N 0 0 293.728 2.613 20 5 CFBDRN Cc1ccc(O[C@H]2CCN(c3ccc([N+](=O)[O-])cc3)C2)cn1 ZINC000373055339 381919106 /nfs/dbraw/zinc/91/91/06/381919106.db2.gz FCLKXDLXAHDSGU-INIZCTEOSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1ccc(N[C@H]2CCN(c3cccnc3)C2)c([N+](=O)[O-])c1 ZINC000373056473 381919229 /nfs/dbraw/zinc/91/92/29/381919229.db2.gz HVXMGZXGIDEHOI-ZDUSSCGKSA-N 0 0 298.346 2.989 20 5 CFBDRN CCCCO[C@@H]1C[C@@H](Nc2c([N+](=O)[O-])ncn2C)C1(C)C ZINC000362127183 381921748 /nfs/dbraw/zinc/92/17/48/381921748.db2.gz YCIAEEBIMGXYSH-GHMZBOCLSA-N 0 0 296.371 2.724 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2C[C@H]2C(F)F)c(F)c1 ZINC000343808178 381955494 /nfs/dbraw/zinc/95/54/94/381955494.db2.gz MOXUCBDMKAGBGM-SVGQVSJJSA-N 0 0 264.178 2.939 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2C[C@@H]2C(F)F)c(F)c1 ZINC000343808180 381955822 /nfs/dbraw/zinc/95/58/22/381955822.db2.gz MOXUCBDMKAGBGM-XNCJUZBTSA-N 0 0 264.178 2.939 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000186646995 381973527 /nfs/dbraw/zinc/97/35/27/381973527.db2.gz HGWIFMGPTMOZID-CHWSQXEVSA-N 0 0 274.320 2.776 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])C[C@H]1C ZINC000349585825 381966539 /nfs/dbraw/zinc/96/65/39/381966539.db2.gz MIHQZKPWHOQFHG-GMTAPVOTSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H](NCc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1ccccn1 ZINC000356977296 381940061 /nfs/dbraw/zinc/94/00/61/381940061.db2.gz MGJSQAFINHONED-SNVBAGLBSA-N 0 0 297.318 2.717 20 5 CFBDRN COc1cc(CN[C@@H](C)c2ccon2)c([N+](=O)[O-])cc1F ZINC000362175598 381945354 /nfs/dbraw/zinc/94/53/54/381945354.db2.gz FJXNDHGFGJWMRD-QMMMGPOBSA-N 0 0 295.270 2.581 20 5 CFBDRN CN(C[C@H]1CC=CCC1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000343801818 381949572 /nfs/dbraw/zinc/94/95/72/381949572.db2.gz UQPHXOCLTNIDJZ-AWEZNQCLSA-N 0 0 288.347 2.952 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1C[C@@H]1C(F)F ZINC000343873434 381996711 /nfs/dbraw/zinc/99/67/11/381996711.db2.gz UESCOADSWHVAPB-ONGXEEELSA-N 0 0 272.251 2.807 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC1(C)C ZINC000417074628 381997862 /nfs/dbraw/zinc/99/78/62/381997862.db2.gz KWKSVKLUMKLFME-LBPRGKRZSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1cc(N(C)Cc2ccoc2)c([N+](=O)[O-])cc1F ZINC000343885109 382003258 /nfs/dbraw/zinc/00/32/58/382003258.db2.gz QNKFSCQKWQWHOY-UHFFFAOYSA-N 0 0 280.255 2.972 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C\c2nc([C@H]3CCCO3)no2)c1 ZINC000357092977 382004792 /nfs/dbraw/zinc/00/47/92/382004792.db2.gz VJHPJGKIXQEAKX-ZHRWSRJISA-N 0 0 287.275 3.000 20 5 CFBDRN CCCc1noc(COc2ccc([N+](=O)[O-])cc2OC)n1 ZINC000299631076 382009182 /nfs/dbraw/zinc/00/91/82/382009182.db2.gz LBODFASMSVOGJU-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CS[C@@H](C)[C@H](C)O ZINC000362338116 382012874 /nfs/dbraw/zinc/01/28/74/382012874.db2.gz BCKPGYISWGABTJ-IUCAKERBSA-N 0 0 271.338 2.606 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000343850323 381981205 /nfs/dbraw/zinc/98/12/05/381981205.db2.gz ZIOMHVTYOXXXDO-NWDGAFQWSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1CC12CC2 ZINC000417005292 381983441 /nfs/dbraw/zinc/98/34/41/381983441.db2.gz XTNWFEKFRZUAJO-JTQLQIEISA-N 0 0 275.308 2.825 20 5 CFBDRN Cc1cc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)ncn1 ZINC000293096314 381989308 /nfs/dbraw/zinc/98/93/08/381989308.db2.gz IVWTWTGUBBNDOG-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN Cc1noc(C)c1C(=O)COc1c(F)cccc1[N+](=O)[O-] ZINC000186701322 381989478 /nfs/dbraw/zinc/98/94/78/381989478.db2.gz QMULKFSRFPITHM-UHFFFAOYSA-N 0 0 294.238 2.600 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCCOCC(C)C ZINC000299584737 381993052 /nfs/dbraw/zinc/99/30/52/381993052.db2.gz DYSMWNLFPVLKIA-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CC(C)c1nnc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])[nH]1 ZINC000293261182 382052662 /nfs/dbraw/zinc/05/26/62/382052662.db2.gz RYGGUZSKWCFCTH-JTQLQIEISA-N 0 0 289.339 2.687 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1C[C@H]2CC[C@@H](C1)O2 ZINC000374913430 382061312 /nfs/dbraw/zinc/06/13/12/382061312.db2.gz LJJKNTFVSQWESA-FPMFFAJLSA-N 0 0 276.336 2.827 20 5 CFBDRN CCOc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1F ZINC000343916188 382019643 /nfs/dbraw/zinc/01/96/43/382019643.db2.gz KXVNRKQCRJXJSO-UHFFFAOYSA-N 0 0 293.254 2.713 20 5 CFBDRN C[C@@H](CCNC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC000299665178 382021513 /nfs/dbraw/zinc/02/15/13/382021513.db2.gz YQGUEOFQZDJBCQ-NSHDSACASA-N 0 0 287.319 2.847 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000186821434 382024491 /nfs/dbraw/zinc/02/44/91/382024491.db2.gz AYAQIPBZLNNIIL-NEPJUHHUSA-N 0 0 274.320 2.776 20 5 CFBDRN CCOCC(C)(C)[NH2+]Cc1ccc([N+](=O)[O-])cc1F ZINC000293203013 382028768 /nfs/dbraw/zinc/02/87/68/382028768.db2.gz IUCSBFHPHPMENI-UHFFFAOYSA-N 0 0 270.304 2.639 20 5 CFBDRN Cc1cc(NCCc2cccc(C(N)=O)c2)ccc1[N+](=O)[O-] ZINC000301189781 382101981 /nfs/dbraw/zinc/10/19/81/382101981.db2.gz QZPMYDWHHCQBPU-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC(=O)NC1CCCCC1 ZINC000301196434 382103662 /nfs/dbraw/zinc/10/36/62/382103662.db2.gz UKCNKOVKRPHQLK-UHFFFAOYSA-N 0 0 291.351 2.764 20 5 CFBDRN CC(C)c1noc(NCCNc2ccccc2[N+](=O)[O-])n1 ZINC000301210429 382106730 /nfs/dbraw/zinc/10/67/30/382106730.db2.gz UPKZMPFUFYMCRE-UHFFFAOYSA-N 0 0 291.311 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(/C=C/C3CCC3)n2)nc1 ZINC000357323910 382108511 /nfs/dbraw/zinc/10/85/11/382108511.db2.gz HQXLKSNIQDQQDU-QPJJXVBHSA-N 0 0 286.291 2.777 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCC(F)(F)F ZINC000362505107 382108977 /nfs/dbraw/zinc/10/89/77/382108977.db2.gz DFPDEYHWDRJCBA-UHFFFAOYSA-N 0 0 276.214 2.585 20 5 CFBDRN CSc1cncc(NCCc2ccccc2[N+](=O)[O-])n1 ZINC000344071092 382109386 /nfs/dbraw/zinc/10/93/86/382109386.db2.gz FSFFVNIWKHKYSA-UHFFFAOYSA-N 0 0 290.348 2.761 20 5 CFBDRN Cc1cnccc1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000187166349 382119287 /nfs/dbraw/zinc/11/92/87/382119287.db2.gz FJVAVIFKAMSTKD-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC[N@H+]1C[C@@H](C)[C@H]1C ZINC000293328577 382080403 /nfs/dbraw/zinc/08/04/03/382080403.db2.gz GHRJNXUYZIQKFD-VXGBXAGGSA-N 0 0 291.351 2.572 20 5 CFBDRN Cc1nc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c(C)o1 ZINC000293337651 382084839 /nfs/dbraw/zinc/08/48/39/382084839.db2.gz KWFJZMPXVZDTDP-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1cnc(C)nc1 ZINC000357280337 382088047 /nfs/dbraw/zinc/08/80/47/382088047.db2.gz DWLQBDXDNQGVOI-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN CN(C)c1cccc(CNc2ccc([N+](=O)[O-])cn2)c1 ZINC000301131257 382088355 /nfs/dbraw/zinc/08/83/55/382088355.db2.gz YVBTZPLGIAPDJQ-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCC[C@H](O)CC2)c1 ZINC000293357126 382092102 /nfs/dbraw/zinc/09/21/02/382092102.db2.gz ZQRQQFRVNRIXOC-LBPRGKRZSA-N 0 0 284.743 2.595 20 5 CFBDRN CC(=O)c1ccc(NCC[N@@H+](C)C(C)C)c([N+](=O)[O-])c1 ZINC000301167739 382096539 /nfs/dbraw/zinc/09/65/39/382096539.db2.gz WCLZNPGVXSPVTD-UHFFFAOYSA-N 0 0 279.340 2.550 20 5 CFBDRN COc1ccccc1CCN(C)c1ccc([N+](=O)[O-])cn1 ZINC000301180646 382099833 /nfs/dbraw/zinc/09/98/33/382099833.db2.gz HPCPKFRVZAZIAC-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN CCOc1cc(N2CCC(OC)CC2)ccc1[N+](=O)[O-] ZINC000301516542 382173842 /nfs/dbraw/zinc/17/38/42/382173842.db2.gz JXKUJRPCSQIZRJ-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN O=C(NCCc1cc2ccccc2o1)c1ccc([N+](=O)[O-])[nH]1 ZINC000352127743 382185503 /nfs/dbraw/zinc/18/55/03/382185503.db2.gz RYIVHNUPVCYZPE-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN CCC[C@@H](CNc1cc(OC)c([N+](=O)[O-])cc1F)OC ZINC000293567820 382179027 /nfs/dbraw/zinc/17/90/27/382179027.db2.gz DKLUJMRLYCQSAB-VIFPVBQESA-N 0 0 286.303 2.970 20 5 CFBDRN C[C@@H]1C[C@H](c2noc(-c3ccccc3[N+](=O)[O-])n2)CCO1 ZINC000357477924 382185807 /nfs/dbraw/zinc/18/58/07/382185807.db2.gz VTWYXTMLSVRXDU-NXEZZACHSA-N 0 0 289.291 2.927 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](Nc2ccccc2)C1 ZINC000301277143 382133540 /nfs/dbraw/zinc/13/35/40/382133540.db2.gz ZEOSCCQOQPTHEO-AWEZNQCLSA-N 0 0 298.346 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCO[C@H](C2CC2)C1 ZINC000376424124 382135496 /nfs/dbraw/zinc/13/54/96/382135496.db2.gz YMIDXXHIOMDAIN-ZDUSSCGKSA-N 0 0 282.727 2.863 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1nccc(C)c1[N+](=O)[O-] ZINC000301287465 382137417 /nfs/dbraw/zinc/13/74/17/382137417.db2.gz MFZNUGNPZXFPTF-ZWNOBZJWSA-N 0 0 267.329 2.507 20 5 CFBDRN CN(Cc1ccncc1)c1ncc([N+](=O)[O-])cc1Cl ZINC000301288318 382138432 /nfs/dbraw/zinc/13/84/32/382138432.db2.gz RUMYIXPUHAHWKZ-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2cccnc2)c(Cl)c1 ZINC000301297939 382142055 /nfs/dbraw/zinc/14/20/55/382142055.db2.gz YXWKOZBBMZAJOU-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN Cc1ccnc(NC[C@H](O)c2ccccc2F)c1[N+](=O)[O-] ZINC000301310284 382145553 /nfs/dbraw/zinc/14/55/53/382145553.db2.gz ZEQUBXYIMFLWJE-LBPRGKRZSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1c(CNc2ncc([N+](=O)[O-])c(N)n2)oc2ccccc12 ZINC000301309810 382145978 /nfs/dbraw/zinc/14/59/78/382145978.db2.gz YEKKXUVIXGGSFR-UHFFFAOYSA-N 0 0 299.290 2.634 20 5 CFBDRN Cc1ccnc(NC[C@@H](O)c2ccccc2F)c1[N+](=O)[O-] ZINC000301310283 382146022 /nfs/dbraw/zinc/14/60/22/382146022.db2.gz ZEQUBXYIMFLWJE-GFCCVEGCSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cc(Cc2noc(-c3cccnc3)n2)ccc1[N+](=O)[O-] ZINC000357403984 382154476 /nfs/dbraw/zinc/15/44/76/382154476.db2.gz WMQKKRHGBKBAEX-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN CCOC1CCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000301343135 382154867 /nfs/dbraw/zinc/15/48/67/382154867.db2.gz GGSXFGPJNPAQDO-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN CCC[C@H](CNc1ncc([N+](=O)[O-])cc1C)OC ZINC000293555054 382171511 /nfs/dbraw/zinc/17/15/11/382171511.db2.gz CDHDEUFWHGRZAD-LLVKDONJSA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1c(C(=O)Nc2cccc3nccn32)cccc1[N+](=O)[O-] ZINC000357439935 382172134 /nfs/dbraw/zinc/17/21/34/382172134.db2.gz KEFUENONUCMWPN-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CN(C(=O)[C@@H]1CC12CCCC2)c1ccc([N+](=O)[O-])nc1 ZINC000344201188 382195145 /nfs/dbraw/zinc/19/51/45/382195145.db2.gz RKLAOLUDQUGTQV-NSHDSACASA-N 0 0 275.308 2.533 20 5 CFBDRN CCC[C@@H]1CCCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301979558 382203156 /nfs/dbraw/zinc/20/31/56/382203156.db2.gz XJWYMEKSFOZBCE-LLVKDONJSA-N 0 0 289.339 2.654 20 5 CFBDRN Cc1occc1CN(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344215976 382203670 /nfs/dbraw/zinc/20/36/70/382203670.db2.gz LCBXXQFLTUXWMI-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN Cc1nc(C(F)F)n(Cc2c(F)cccc2[N+](=O)[O-])n1 ZINC000418976843 382204218 /nfs/dbraw/zinc/20/42/18/382204218.db2.gz VTEHELJHBBXRNH-UHFFFAOYSA-N 0 0 286.213 2.620 20 5 CFBDRN CCCN(C(=O)C1=CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000344218042 382206209 /nfs/dbraw/zinc/20/62/09/382206209.db2.gz XCWQYYKLIBVCDB-UHFFFAOYSA-N 0 0 290.319 2.685 20 5 CFBDRN Cc1sc(C(=O)Nc2cccnc2C)cc1[N+](=O)[O-] ZINC000362706825 382208321 /nfs/dbraw/zinc/20/83/21/382208321.db2.gz BGVPQSCNAWNWMH-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1NC[C@@H]1CC12CC2 ZINC000418995572 382210662 /nfs/dbraw/zinc/21/06/62/382210662.db2.gz RLSZDYGQMHLWSC-ZETCQYMHSA-N 0 0 298.140 2.964 20 5 CFBDRN COc1cccc2c1CCN(c1nccc(C)c1[N+](=O)[O-])C2 ZINC000302207367 382214776 /nfs/dbraw/zinc/21/47/76/382214776.db2.gz XMDTURPRAXOWDX-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN COCCCCN(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000419011983 382216545 /nfs/dbraw/zinc/21/65/45/382216545.db2.gz CMKSWZPIEMLXQP-UHFFFAOYSA-N 0 0 252.314 2.766 20 5 CFBDRN C[C@@H]1CCC[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])nc2)C1 ZINC000344232565 382218108 /nfs/dbraw/zinc/21/81/08/382218108.db2.gz ZHEBNIJBVOMGBQ-MNOVXSKESA-N 0 0 277.324 2.779 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H](CCO)c1ccco1 ZINC000302217420 382218083 /nfs/dbraw/zinc/21/80/83/382218083.db2.gz JSBXOSPQGILSPF-JTQLQIEISA-N 0 0 280.255 2.863 20 5 CFBDRN C[C@H](C(=O)N1C[C@H](C)[C@@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000357546781 382218968 /nfs/dbraw/zinc/21/89/68/382218968.db2.gz PXUOQBJXAHJTHN-GUBZILKMSA-N 0 0 280.299 2.704 20 5 CFBDRN COC1(c2noc(-c3cccc([N+](=O)[O-])c3C)n2)CCC1 ZINC000357551213 382220885 /nfs/dbraw/zinc/22/08/85/382220885.db2.gz SRVDZCHWPKZJMT-UHFFFAOYSA-N 0 0 289.291 2.979 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC2(C1)CCCOC2 ZINC000377139579 382225506 /nfs/dbraw/zinc/22/55/06/382225506.db2.gz IPYSXNVFXTYGIV-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H](CO)c1ccsc1 ZINC000302242668 382228736 /nfs/dbraw/zinc/22/87/36/382228736.db2.gz JEFNBFUAQPHGQL-NSHDSACASA-N 0 0 282.296 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nc(CO)cs2)c(Cl)c1 ZINC000302266266 382233262 /nfs/dbraw/zinc/23/32/62/382233262.db2.gz FOVTZRVJYPXINK-UHFFFAOYSA-N 0 0 299.739 2.809 20 5 CFBDRN O=C(NCc1ccsc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344190314 382188092 /nfs/dbraw/zinc/18/80/92/382188092.db2.gz QJHPPRZTRJISMS-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344189818 382188871 /nfs/dbraw/zinc/18/88/71/382188871.db2.gz OFOITZPDLCGIPG-SNVBAGLBSA-N 0 0 278.283 2.820 20 5 CFBDRN CC1(C)[C@@H]2CN(c3c(F)cc([N+](=O)[O-])cc3F)C[C@@H]21 ZINC000301760559 382190950 /nfs/dbraw/zinc/19/09/50/382190950.db2.gz BCDLJWDTOLURFQ-DTORHVGOSA-N 0 0 268.263 2.965 20 5 CFBDRN CN(C(=O)c1ccc(Cl)o1)c1ccc([N+](=O)[O-])nc1 ZINC000344195786 382191647 /nfs/dbraw/zinc/19/16/47/382191647.db2.gz CYQYSBHCLVWMBD-UHFFFAOYSA-N 0 0 281.655 2.513 20 5 CFBDRN CN(CC[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000302616494 382277959 /nfs/dbraw/zinc/27/79/59/382277959.db2.gz DATNDQSTNLXLSR-NSHDSACASA-N 0 0 250.298 2.600 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC(C)(C)CF ZINC000352335572 382278164 /nfs/dbraw/zinc/27/81/64/382278164.db2.gz AKTTUKGZBONYOK-UHFFFAOYSA-N 0 0 269.276 2.773 20 5 CFBDRN CC[C@H](O)CCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352360064 382288623 /nfs/dbraw/zinc/28/86/23/382288623.db2.gz LJMZBWOMQLAKMO-LBPRGKRZSA-N 0 0 289.335 2.528 20 5 CFBDRN CC1(C)CC[C@H](CNc2ccccc2[N+](=O)[O-])O1 ZINC000302359170 382242329 /nfs/dbraw/zinc/24/23/29/382242329.db2.gz CTBXWOUICZGJPT-SNVBAGLBSA-N 0 0 250.298 2.964 20 5 CFBDRN CCOc1cccc(-c2nc3cc([N+](=O)[O-])ccc3n2C)n1 ZINC000357610026 382248812 /nfs/dbraw/zinc/24/88/12/382248812.db2.gz UAMHKAJDKMGNKO-UHFFFAOYSA-N 0 0 298.302 2.942 20 5 CFBDRN CSC[C@@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000187909053 382292732 /nfs/dbraw/zinc/29/27/32/382292732.db2.gz QWTNSAFHEKQLFT-LLVKDONJSA-N 0 0 273.379 2.552 20 5 CFBDRN Cc1nnc(Sc2ccc([N+](=O)[O-])cn2)n1C(C)C ZINC000302452653 382252600 /nfs/dbraw/zinc/25/26/00/382252600.db2.gz SNCQEYFEFFDTIS-UHFFFAOYSA-N 0 0 279.325 2.622 20 5 CFBDRN Cc1cc(N(C)[C@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000302487491 382257004 /nfs/dbraw/zinc/25/70/04/382257004.db2.gz ZFDLPJYLLUVHTF-ZDUSSCGKSA-N 0 0 266.341 2.746 20 5 CFBDRN CC(=O)CCCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000187727185 382258179 /nfs/dbraw/zinc/25/81/79/382258179.db2.gz GMNBOHBZNMXXFI-LBPRGKRZSA-N 0 0 292.335 2.840 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@H]1CCCS1 ZINC000302577702 382272009 /nfs/dbraw/zinc/27/20/09/382272009.db2.gz QSDUWCPKNYUSGM-SSDOTTSWSA-N 0 0 273.745 2.951 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1cccc(F)c1F ZINC000352325127 382274776 /nfs/dbraw/zinc/27/47/76/382274776.db2.gz NHRSCTCSXCKLTQ-UHFFFAOYSA-N 0 0 293.273 2.653 20 5 CFBDRN CC(C)=CCNc1c([N+](=O)[O-])cc(CO)c(C)c1[N+](=O)[O-] ZINC000302727617 382304662 /nfs/dbraw/zinc/30/46/62/382304662.db2.gz PYKCMJNVCCLXCY-UHFFFAOYSA-N 0 0 295.295 2.682 20 5 CFBDRN Cc1cncc(CNc2c3c(ccc2[N+](=O)[O-])NCC3)c1 ZINC000302884740 382344437 /nfs/dbraw/zinc/34/44/37/382344437.db2.gz LXZUMEJXAJNBKM-UHFFFAOYSA-N 0 0 284.319 2.878 20 5 CFBDRN COc1cccc(OC)c1Sc1ncc([N+](=O)[O-])cn1 ZINC000302764459 382313162 /nfs/dbraw/zinc/31/31/62/382313162.db2.gz LBTVHAKIXXLFPK-UHFFFAOYSA-N 0 0 293.304 2.553 20 5 CFBDRN CC(C)[C@@H](CNc1ncccc1[N+](=O)[O-])c1ccnn1C ZINC000302780750 382316437 /nfs/dbraw/zinc/31/64/37/382316437.db2.gz RLASWJFLDAEMHE-LLVKDONJSA-N 0 0 289.339 2.575 20 5 CFBDRN Cc1ccc(CN2CCC[C@@H]2CF)cc1[N+](=O)[O-] ZINC000293873017 382317867 /nfs/dbraw/zinc/31/78/67/382317867.db2.gz CRWUGWGLCBONEK-GFCCVEGCSA-N 0 0 252.289 2.837 20 5 CFBDRN COC(=O)c1cnc(NC2CCCCCC2)c([N+](=O)[O-])c1 ZINC000302782341 382317975 /nfs/dbraw/zinc/31/79/75/382317975.db2.gz SPPYGUBXPNTTLQ-UHFFFAOYSA-N 0 0 293.323 2.911 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)NCc1c(F)cc([N+](=O)[O-])cc1F ZINC000352421290 382322463 /nfs/dbraw/zinc/32/24/63/382322463.db2.gz KGMQVWAOWLXOMT-AMIZOPFISA-N 0 0 286.278 2.530 20 5 CFBDRN Cc1ccc(CN2CCO[C@@H](C3CC3)C2)cc1[N+](=O)[O-] ZINC000364532730 382324714 /nfs/dbraw/zinc/32/47/14/382324714.db2.gz WODDLHUWVSRNKG-OAHLLOKOSA-N 0 0 276.336 2.514 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCCO[C@@H](C(C)C)C1 ZINC000293902031 382329526 /nfs/dbraw/zinc/32/95/26/382329526.db2.gz CPVSXVVUMIETEH-CYBMUJFWSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1cc(N[C@@H]2CC2(C)C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000352431128 382329630 /nfs/dbraw/zinc/32/96/30/382329630.db2.gz KWQLDRXPEDNYLN-CYBMUJFWSA-N 0 0 298.346 2.993 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2cnccc2C)c1 ZINC000357810614 382332691 /nfs/dbraw/zinc/33/26/91/382332691.db2.gz VKUNZIAXQKBVHC-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])cc2)c(OC)n1 ZINC000357820615 382337482 /nfs/dbraw/zinc/33/74/82/382337482.db2.gz UEWSRRXGBXPRLU-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H]2CF)c1 ZINC000293923187 382337620 /nfs/dbraw/zinc/33/76/20/382337620.db2.gz BCOGMHODJRTZMQ-JTQLQIEISA-N 0 0 296.298 2.568 20 5 CFBDRN CN(CC1CCC1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302873981 382340671 /nfs/dbraw/zinc/34/06/71/382340671.db2.gz DQQSYKACTBPTMU-UHFFFAOYSA-N 0 0 261.325 2.799 20 5 CFBDRN CC1(C)CC[C@@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)O1 ZINC000302879883 382342389 /nfs/dbraw/zinc/34/23/89/382342389.db2.gz HWTMJVUFWQYVAR-JTQLQIEISA-N 0 0 291.351 2.932 20 5 CFBDRN Cc1cccc(N2CCO[C@@H](COC(C)C)C2)c1[N+](=O)[O-] ZINC000364628157 382343025 /nfs/dbraw/zinc/34/30/25/382343025.db2.gz FFWGXHLLTCMXME-CYBMUJFWSA-N 0 0 294.351 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@@H]1CCC[C@H](O)C1)CCN2 ZINC000302884117 382343588 /nfs/dbraw/zinc/34/35/88/382343588.db2.gz LLTHBUPKIHDNHR-MNOVXSKESA-N 0 0 291.351 2.526 20 5 CFBDRN Cc1nc(N[C@H]2C[C@@H](CO)c3ccccc32)ccc1[N+](=O)[O-] ZINC000364282202 382297287 /nfs/dbraw/zinc/29/72/87/382297287.db2.gz MSPWFZZOKNXZGM-FZMZJTMJSA-N 0 0 299.330 2.931 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@H](n2ccnc2)C1 ZINC000364300869 382300620 /nfs/dbraw/zinc/30/06/20/382300620.db2.gz MKXXCGPFEXNUSN-ZDUSSCGKSA-N 0 0 286.335 2.941 20 5 CFBDRN O=C(Nc1cnn(-c2ccccc2)c1)c1ccc([N+](=O)[O-])o1 ZINC000303075870 382350961 /nfs/dbraw/zinc/35/09/61/382350961.db2.gz YXFULEISGXITAU-UHFFFAOYSA-N 0 0 298.258 2.626 20 5 CFBDRN Cc1ccnc(N2CCC[C@@](CO)(C(C)C)C2)c1[N+](=O)[O-] ZINC000293957299 382352995 /nfs/dbraw/zinc/35/29/95/382352995.db2.gz GYFIXGFHJLWIEG-OAHLLOKOSA-N 0 0 293.367 2.533 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CCC[C@H]1CF ZINC000294005471 382375691 /nfs/dbraw/zinc/37/56/91/382375691.db2.gz IFWZXKGRWDCWAG-GUOLPTJISA-N 0 0 278.283 2.569 20 5 CFBDRN CC(C)[C@@]1(CO)CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000377974546 382377702 /nfs/dbraw/zinc/37/77/02/382377702.db2.gz DNYPMUMTSQHZPD-AWEZNQCLSA-N 0 0 282.315 2.579 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2OC[C@@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000377985422 382380417 /nfs/dbraw/zinc/38/04/17/382380417.db2.gz ACSCYNBABXMFDT-ZKYQVNSYSA-N 0 0 290.319 2.657 20 5 CFBDRN CCc1cccnc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000346522235 382394352 /nfs/dbraw/zinc/39/43/52/382394352.db2.gz YQTXEHOEHFHAGA-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000357848271 382348568 /nfs/dbraw/zinc/34/85/68/382348568.db2.gz KHDDFKZMMQURBE-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1nccc(C)c1[N+](=O)[O-])OC ZINC000294119359 382423926 /nfs/dbraw/zinc/42/39/26/382423926.db2.gz DNRWUTJUMVIOKI-MWLCHTKSSA-N 0 0 267.329 2.771 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1N[C@H]1CCCC(F)(F)C1 ZINC000420618964 382428423 /nfs/dbraw/zinc/42/84/23/382428423.db2.gz XWBFHDPNPBCARA-QMMMGPOBSA-N 0 0 299.277 2.684 20 5 CFBDRN CC[C@@H]1CN(c2c([N+](=O)[O-])c(C)nn2CC)C[C@H](CC)O1 ZINC000420626183 382433907 /nfs/dbraw/zinc/43/39/07/382433907.db2.gz MAFPRWLKXWUHTB-TXEJJXNPSA-N 0 0 296.371 2.513 20 5 CFBDRN CCOC(=O)c1ccnc(NC[C@H](C)CC)c1[N+](=O)[O-] ZINC000420657195 382448200 /nfs/dbraw/zinc/44/82/00/382448200.db2.gz WJJFGEYSNDMNIY-SECBINFHSA-N 0 0 281.312 2.625 20 5 CFBDRN COc1cc(C(=O)N(C)[C@H](C)C2CC2)cc([N+](=O)[O-])c1C ZINC000188580918 382438245 /nfs/dbraw/zinc/43/82/45/382438245.db2.gz LGCXGNZNGGVHFE-SNVBAGLBSA-N 0 0 292.335 2.782 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](CCO)CC2)c(Cl)c1 ZINC000420645664 382442437 /nfs/dbraw/zinc/44/24/37/382442437.db2.gz UXLUYDIRSKVHNE-SNVBAGLBSA-N 0 0 299.758 2.632 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420645826 382443017 /nfs/dbraw/zinc/44/30/17/382443017.db2.gz ZLYHZBQYSDAQGG-BXUZGUMPSA-N 0 0 277.324 2.540 20 5 CFBDRN Cc1cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294172658 382444808 /nfs/dbraw/zinc/44/48/08/382444808.db2.gz DABWFKJDAIQQQI-UHFFFAOYSA-N 0 0 250.298 2.769 20 5 CFBDRN COC[C@@H]1CCN1C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000294062109 382399482 /nfs/dbraw/zinc/39/94/82/382399482.db2.gz ZWNZJVIJHUPHDW-LBPRGKRZSA-N 0 0 292.335 2.579 20 5 CFBDRN Cc1cc(C)cc(-c2noc(-c3cc([N+](=O)[O-])nn3C)n2)c1 ZINC000346545697 382406051 /nfs/dbraw/zinc/40/60/51/382406051.db2.gz RCFAJWPSCLNFHX-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN CC(=O)CCCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294081085 382408337 /nfs/dbraw/zinc/40/83/37/382408337.db2.gz LMPQPBCLAWCNNB-UHFFFAOYSA-N 0 0 292.335 2.705 20 5 CFBDRN CS[C@@H](C)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294086196 382409676 /nfs/dbraw/zinc/40/96/76/382409676.db2.gz LSNRUMKUJIZCPG-VIFPVBQESA-N 0 0 282.365 2.698 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@@H]1CF ZINC000294089915 382411320 /nfs/dbraw/zinc/41/13/20/382411320.db2.gz NTTCWQAHWJYAPM-SECBINFHSA-N 0 0 286.690 2.822 20 5 CFBDRN CC[C@H]1CCN1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000294100643 382415838 /nfs/dbraw/zinc/41/58/38/382415838.db2.gz RSIDTKSCLCBAOP-JTQLQIEISA-N 0 0 284.262 2.698 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000358029352 382418446 /nfs/dbraw/zinc/41/84/46/382418446.db2.gz FEQYODNGYJWTIQ-VXGBXAGGSA-N 0 0 292.335 2.526 20 5 CFBDRN COCCC(C)(C)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000352758324 382470788 /nfs/dbraw/zinc/47/07/88/382470788.db2.gz JEUZQJRBNOTFIV-UHFFFAOYSA-N 0 0 286.353 2.510 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CC2CCC1CC2 ZINC000378390157 382452432 /nfs/dbraw/zinc/45/24/32/382452432.db2.gz OUEUSRVBCFELSH-UHFFFAOYSA-N 0 0 278.283 2.749 20 5 CFBDRN CC(C)OCCCN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352705732 382452785 /nfs/dbraw/zinc/45/27/85/382452785.db2.gz KVLSIEHSDYIWNJ-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc([N+](=O)[O-])c2C)ns1 ZINC000346651282 382456271 /nfs/dbraw/zinc/45/62/71/382456271.db2.gz HDPRRXAYCUFYHT-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1ccc(C(C)(C)NC(=O)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000352754399 382469055 /nfs/dbraw/zinc/46/90/55/382469055.db2.gz SYHRTJMRSSDMBT-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@H]1CF ZINC000294229741 382469120 /nfs/dbraw/zinc/46/91/20/382469120.db2.gz WTKNXWBWKDWZOB-QMMMGPOBSA-N 0 0 286.690 2.822 20 5 CFBDRN CC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2F)[C@@H]1C1CC1 ZINC000366281453 382495962 /nfs/dbraw/zinc/49/59/62/382495962.db2.gz DDTYOPJFPVIWRP-CYBMUJFWSA-N 0 0 292.310 2.995 20 5 CFBDRN Nc1ccc(CNc2c3ccccc3ncc2[N+](=O)[O-])cn1 ZINC000308373312 382475038 /nfs/dbraw/zinc/47/50/38/382475038.db2.gz HFGVDBMPQOCADM-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1ccc([N+](=O)[O-])c(C)n1)OC ZINC000294245410 382475909 /nfs/dbraw/zinc/47/59/09/382475909.db2.gz POAHASXBFFZZCH-SKDRFNHKSA-N 0 0 267.329 2.771 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000358173456 382486542 /nfs/dbraw/zinc/48/65/42/382486542.db2.gz UHNZIGRFTOSFSL-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CCNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000358248575 382525735 /nfs/dbraw/zinc/52/57/35/382525735.db2.gz XQOJIPGGDNTZQZ-GHMZBOCLSA-N 0 0 279.340 2.869 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c(C)cc1C)C1CC1 ZINC000421415461 382527641 /nfs/dbraw/zinc/52/76/41/382527641.db2.gz CKVJWMKYJBLRJN-UHFFFAOYSA-N 0 0 262.309 2.836 20 5 CFBDRN Cn1ccc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1 ZINC000294303522 382501352 /nfs/dbraw/zinc/50/13/52/382501352.db2.gz ZZTRCOQWKGLZSQ-UHFFFAOYSA-N 0 0 287.319 2.598 20 5 CFBDRN Cc1nc(N[C@H]2CCc3c2cccc3F)ncc1[N+](=O)[O-] ZINC000294795186 382528150 /nfs/dbraw/zinc/52/81/50/382528150.db2.gz BUAYCHNPTGHQAJ-LBPRGKRZSA-N 0 0 288.282 2.932 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](O)C2(C)C)c(F)cc1[N+](=O)[O-] ZINC000312044553 382510093 /nfs/dbraw/zinc/51/00/93/382510093.db2.gz YGBZJZQMPPCYNX-NWDGAFQWSA-N 0 0 268.288 2.614 20 5 CFBDRN Cc1c(CN2CCc3ncccc3C2)cccc1[N+](=O)[O-] ZINC000366447619 382510571 /nfs/dbraw/zinc/51/05/71/382510571.db2.gz UBJJZCRVQJVMDB-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCCO[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000188992019 382519729 /nfs/dbraw/zinc/51/97/29/382519729.db2.gz XBFNKGMTVMYRMQ-MISXGVKJSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCCO1 ZINC000421448337 382540808 /nfs/dbraw/zinc/54/08/08/382540808.db2.gz UKIGHOAHYVVDLJ-LBPRGKRZSA-N 0 0 292.335 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@H]2c2nc[nH]n2)c(F)c1 ZINC000294895388 382543637 /nfs/dbraw/zinc/54/36/37/382543637.db2.gz GOYUJIMGZCQUED-LBPRGKRZSA-N 0 0 291.286 2.584 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC[C@@H]1CCCO1 ZINC000189110657 382545385 /nfs/dbraw/zinc/54/53/85/382545385.db2.gz WKDPMUIGARQZKC-LBPRGKRZSA-N 0 0 251.282 2.851 20 5 CFBDRN CC/C=C\CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000384834869 382560020 /nfs/dbraw/zinc/56/00/20/382560020.db2.gz HPKJASYFSLJODJ-ARJAWSKDSA-N 0 0 275.308 2.858 20 5 CFBDRN CC(C)=CCNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421501720 382561204 /nfs/dbraw/zinc/56/12/04/382561204.db2.gz GESZSLATKZKPGM-UHFFFAOYSA-N 0 0 262.309 2.908 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])n[nH]1)[C@@H](C)C1CCCCC1 ZINC000353570082 382561381 /nfs/dbraw/zinc/56/13/81/382561381.db2.gz WRZJMCGZWFYTDX-ZJUUUORDSA-N 0 0 294.355 2.653 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H](C)CC(C)(C)O ZINC000295046256 382565161 /nfs/dbraw/zinc/56/51/61/382565161.db2.gz TZBOAKKDCJDDFO-JTQLQIEISA-N 0 0 252.314 2.865 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421552692 382577474 /nfs/dbraw/zinc/57/74/74/382577474.db2.gz HALGWAJMDYKAHB-OCCSQVGLSA-N 0 0 292.335 2.509 20 5 CFBDRN Cc1nn(C)c(N(C)CCc2ccccc2C)c1[N+](=O)[O-] ZINC000295056225 382569202 /nfs/dbraw/zinc/56/92/02/382569202.db2.gz YEQPJIQUXXYDPW-UHFFFAOYSA-N 0 0 288.351 2.624 20 5 CFBDRN COCC1(NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)CCC1 ZINC000421536495 382571928 /nfs/dbraw/zinc/57/19/28/382571928.db2.gz CXKALUVTFVIIAD-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CCNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000358266636 382536721 /nfs/dbraw/zinc/53/67/21/382536721.db2.gz SAEIVHBUABQQPH-MNOVXSKESA-N 0 0 279.340 2.869 20 5 CFBDRN Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])c(C)cc2C)cn1 ZINC000421439306 382537950 /nfs/dbraw/zinc/53/79/50/382537950.db2.gz XBSONFXGUTWJAQ-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN COc1ccc(F)c(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000295207845 382584758 /nfs/dbraw/zinc/58/47/58/382584758.db2.gz WXFXRSGWZUAQSK-UHFFFAOYSA-N 0 0 284.287 2.608 20 5 CFBDRN Cc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC000358359260 382586714 /nfs/dbraw/zinc/58/67/14/382586714.db2.gz TVYWMHINBWKCKI-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN CCOc1cc(N[C@@H](CC)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000386737214 382590891 /nfs/dbraw/zinc/59/08/91/382590891.db2.gz CMRYXZGPUUDXDO-KOLCDFICSA-N 0 0 268.313 2.565 20 5 CFBDRN CO[C@H]1CN(Cc2csc([N+](=O)[O-])c2)CC[C@@H]1C ZINC000189335914 382595067 /nfs/dbraw/zinc/59/50/67/382595067.db2.gz MYRBSMMCCBUYBK-ONGXEEELSA-N 0 0 270.354 2.513 20 5 CFBDRN COc1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c(C)cc1C ZINC000358394965 382599622 /nfs/dbraw/zinc/59/96/22/382599622.db2.gz RUZJKTKTWSBRQY-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC[C@@H](O)CC(F)(F)F ZINC000189412962 382605507 /nfs/dbraw/zinc/60/55/07/382605507.db2.gz HULHBRXZSGDLGH-LURJTMIESA-N 0 0 299.632 2.940 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])OC ZINC000295315223 382614808 /nfs/dbraw/zinc/61/48/08/382614808.db2.gz AOLOMQIOYNQWFF-ZWNOBZJWSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1nn(CC(=O)C(C)(C)c2ccccc2)cc1[N+](=O)[O-] ZINC000295321301 382615983 /nfs/dbraw/zinc/61/59/83/382615983.db2.gz LSHRWSQBTRDKCI-UHFFFAOYSA-N 0 0 287.319 2.647 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H](C)O[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000353737096 382621503 /nfs/dbraw/zinc/62/15/03/382621503.db2.gz YKRNBOMNAPQMJM-SRVKXCTJSA-N 0 0 292.335 2.541 20 5 CFBDRN COc1cc(N[C@H](C)c2cn(C)nc2C)ccc1[N+](=O)[O-] ZINC000189598165 382628750 /nfs/dbraw/zinc/62/87/50/382628750.db2.gz ILLIUJDTRZXEIE-SECBINFHSA-N 0 0 290.323 2.818 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])OC1CCCC1 ZINC000295197335 382579882 /nfs/dbraw/zinc/57/98/82/382579882.db2.gz KHWAFSWFUZKMBA-UHFFFAOYSA-N 0 0 278.308 2.806 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])O[C@H]1CCc2cccnc21 ZINC000189280242 382581380 /nfs/dbraw/zinc/58/13/80/382581380.db2.gz AIARQKNYAZCZHT-AWEZNQCLSA-N 0 0 298.298 2.763 20 5 CFBDRN O=c1ccn(Cc2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] ZINC000189851275 382642788 /nfs/dbraw/zinc/64/27/88/382642788.db2.gz FJMATDYMPCQPKS-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN CC[C@@H](C)CC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000189942569 382646388 /nfs/dbraw/zinc/64/63/88/382646388.db2.gz XTJCWOVJUXQLTL-LLVKDONJSA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCCCO1 ZINC000190072662 382652602 /nfs/dbraw/zinc/65/26/02/382652602.db2.gz CBTUUXNUWVEOSE-UHFFFAOYSA-N 0 0 278.308 2.688 20 5 CFBDRN COc1ccc(OCCCCCCO)cc1[N+](=O)[O-] ZINC000190181522 382656084 /nfs/dbraw/zinc/65/60/84/382656084.db2.gz SWPWVAXITJVHQJ-UHFFFAOYSA-N 0 0 269.297 2.535 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCCO[C@@H]1C ZINC000190433674 382667883 /nfs/dbraw/zinc/66/78/83/382667883.db2.gz ADYLEFMJHHJTEN-NEPJUHHUSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCO[C@@H]1C ZINC000190433658 382668024 /nfs/dbraw/zinc/66/80/24/382668024.db2.gz ADYLEFMJHHJTEN-VXGBXAGGSA-N 0 0 292.335 2.965 20 5 CFBDRN CC(C)=CCNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000191013733 382688344 /nfs/dbraw/zinc/68/83/44/382688344.db2.gz FNRZMNIJVFLUDS-UHFFFAOYSA-N 0 0 268.700 2.944 20 5 CFBDRN CCOC(=O)[C@H](C)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000190832145 382681873 /nfs/dbraw/zinc/68/18/73/382681873.db2.gz AEPRYYNIQYNMJH-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN CCCSCC(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000191368020 382699181 /nfs/dbraw/zinc/69/91/81/382699181.db2.gz RXWZHCBUPHDVII-UHFFFAOYSA-N 0 0 298.364 2.793 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000191446752 382700443 /nfs/dbraw/zinc/70/04/43/382700443.db2.gz HBCALCWMXCTADW-LLVKDONJSA-N 0 0 296.371 2.757 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCCO[C@H]1C ZINC000191866708 382702927 /nfs/dbraw/zinc/70/29/27/382702927.db2.gz LVGABIBBGYDTCD-NWDGAFQWSA-N 0 0 292.335 2.965 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccoc1Cl ZINC000192396898 382708427 /nfs/dbraw/zinc/70/84/27/382708427.db2.gz QACHWEXXOSBQHK-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN COc1ccc(CCNc2ncc([N+](=O)[O-])s2)cc1F ZINC000192450265 382708986 /nfs/dbraw/zinc/70/89/86/382708986.db2.gz CFMFJRZJQMNCKX-UHFFFAOYSA-N 0 0 297.311 2.854 20 5 CFBDRN COc1cccc(C(=O)N(C)CC2CCCC2)c1[N+](=O)[O-] ZINC000192464530 382709209 /nfs/dbraw/zinc/70/92/09/382709209.db2.gz IMKCUHWWQRZVQD-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCCCC[C@H]2CC)c1[N+](=O)[O-] ZINC000192605191 382710581 /nfs/dbraw/zinc/71/05/81/382710581.db2.gz QRCOQPGZNPEZQF-SNVBAGLBSA-N 0 0 294.355 2.675 20 5 CFBDRN CCc1n[nH]c(C(=O)NCC(C(C)C)C(C)C)c1[N+](=O)[O-] ZINC000192631371 382710790 /nfs/dbraw/zinc/71/07/90/382710790.db2.gz KVETUSHCWGQPOQ-UHFFFAOYSA-N 0 0 296.371 2.538 20 5 CFBDRN COc1cccc(C(=O)N2CC[C@@H](C)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000192837721 382712820 /nfs/dbraw/zinc/71/28/20/382712820.db2.gz XHPVSBHWUDHMRG-MNOVXSKESA-N 0 0 292.335 2.722 20 5 CFBDRN CCCCOC[C@@H](O)COc1ccc(CC)cc1[N+](=O)[O-] ZINC000193392339 382719556 /nfs/dbraw/zinc/71/95/56/382719556.db2.gz XQJGRGPHIOTQNP-CYBMUJFWSA-N 0 0 297.351 2.714 20 5 CFBDRN CO[C@@H](CNc1cc(N)ccc1[N+](=O)[O-])C(C)(C)C ZINC000193461682 382719969 /nfs/dbraw/zinc/71/99/69/382719969.db2.gz JBVWRIYLDBQZQS-LBPRGKRZSA-N 0 0 267.329 2.650 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000575150661 382729339 /nfs/dbraw/zinc/72/93/39/382729339.db2.gz FPWXYKQBEGOVFT-RNFRBKRXSA-N 0 0 275.230 2.996 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@@H](c2cccnc2)C1 ZINC000575183171 382730134 /nfs/dbraw/zinc/73/01/34/382730134.db2.gz XBRWNSVEHJGKQA-CQSZACIVSA-N 0 0 297.314 2.620 20 5 CFBDRN COCCC1(CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000219362855 382793749 /nfs/dbraw/zinc/79/37/49/382793749.db2.gz FKQVJZVBSKHWJK-UHFFFAOYSA-N 0 0 290.323 2.700 20 5 CFBDRN CCOC[C@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)C(C)C ZINC000219368196 382793760 /nfs/dbraw/zinc/79/37/60/382793760.db2.gz MFVAJSFFSRSQGH-ZDUSSCGKSA-N 0 0 292.339 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCC[C@@H]3CCCCO3)nc2c1 ZINC000219365402 382793767 /nfs/dbraw/zinc/79/37/67/382793767.db2.gz LSSADVSMVSNXPV-NSHDSACASA-N 0 0 290.323 2.842 20 5 CFBDRN CC[C@H](CCO)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214767882 382777000 /nfs/dbraw/zinc/77/70/00/382777000.db2.gz ACHNEWUZRDSEDI-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1ccc(CNC(=O)c2c(C)cccc2[N+](=O)[O-])cn1 ZINC000337209958 382777445 /nfs/dbraw/zinc/77/74/45/382777445.db2.gz BNUYHVYSTGDWOS-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN C[C@@H](CCNC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1 ZINC000337258950 382787717 /nfs/dbraw/zinc/78/77/17/382787717.db2.gz VIYRXXOGEOFCEW-NSHDSACASA-N 0 0 287.319 2.847 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCO1 ZINC000220155373 382795347 /nfs/dbraw/zinc/79/53/47/382795347.db2.gz ANWDKDKNMSXUBW-SCZZXKLOSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000220153034 382795382 /nfs/dbraw/zinc/79/53/82/382795382.db2.gz KOGDBFAVCKCWHS-RKDXNWHRSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cc(N[C@H](C)C2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000218871263 382790955 /nfs/dbraw/zinc/79/09/55/382790955.db2.gz LRUQPJZHFCXJAZ-SECBINFHSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cccc(NC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000218842808 382791097 /nfs/dbraw/zinc/79/10/97/382791097.db2.gz GVLFKGGYBCFTIV-NSHDSACASA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1ncc(NC(=O)Cc2cccc([N+](=O)[O-])c2)s1 ZINC000337276177 382791778 /nfs/dbraw/zinc/79/17/78/382791778.db2.gz FFDAGIYTYMKVNL-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN Cc1nn(C)c(NCCc2cccc3[nH]ccc32)c1[N+](=O)[O-] ZINC000336900194 382739307 /nfs/dbraw/zinc/73/93/07/382739307.db2.gz QEFKWMFNRJDJSU-UHFFFAOYSA-N 0 0 299.334 2.773 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCC[C@@H]1OC(F)F ZINC000336916683 382742086 /nfs/dbraw/zinc/74/20/86/382742086.db2.gz NNDNTILGFZFCHG-CBAPKCEASA-N 0 0 273.239 2.562 20 5 CFBDRN Cc1ccc(OCCO[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000194719805 382753060 /nfs/dbraw/zinc/75/30/60/382753060.db2.gz YNZWNNDJLNTKEO-AWEZNQCLSA-N 0 0 281.308 2.825 20 5 CFBDRN C[C@@H](CO)CSc1cc(Cl)ccc1[N+](=O)[O-] ZINC000228428828 382846394 /nfs/dbraw/zinc/84/63/94/382846394.db2.gz DQRNUDOGGJKTRV-ZETCQYMHSA-N 0 0 261.730 2.969 20 5 CFBDRN CCOCC(C)(C)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000575894196 382803168 /nfs/dbraw/zinc/80/31/68/382803168.db2.gz HZAQHTBOECRVQP-LLVKDONJSA-N 0 0 294.351 2.630 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC2(CCC2)[C@H]2COC[C@H]21 ZINC000575969259 382805150 /nfs/dbraw/zinc/80/51/50/382805150.db2.gz VYGMEFJISLXAIX-GXTWGEPZSA-N 0 0 288.347 2.909 20 5 CFBDRN CO[C@H](C)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000224352919 382810751 /nfs/dbraw/zinc/81/07/51/382810751.db2.gz AHAATGGXAZUBIV-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN CCC(CC)(CO)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000229178099 382850513 /nfs/dbraw/zinc/85/05/13/382850513.db2.gz SJQLXAKKSJSBHH-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@](C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000576213910 382814754 /nfs/dbraw/zinc/81/47/54/382814754.db2.gz OKAOOXPOYKKAKN-YGRLFVJLSA-N 0 0 280.299 2.659 20 5 CFBDRN Cc1cc(N2CC3(CCC3)[C@H]3COC[C@H]32)ccc1[N+](=O)[O-] ZINC000576366032 382820446 /nfs/dbraw/zinc/82/04/46/382820446.db2.gz GWGCWZCEIPESNS-DZGCQCFKSA-N 0 0 288.347 2.909 20 5 CFBDRN CCCC(=O)Cn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000576412836 382822060 /nfs/dbraw/zinc/82/20/60/382822060.db2.gz RXEJBHSWCNBWAV-UHFFFAOYSA-N 0 0 273.292 2.828 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCC[C@H]1C ZINC000337457465 382824299 /nfs/dbraw/zinc/82/42/99/382824299.db2.gz PQKFOLKAKYJKNT-KOLCDFICSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Nc1ccc([N+](=O)[O-])nc1)CO2 ZINC000576558163 382827039 /nfs/dbraw/zinc/82/70/39/382827039.db2.gz AJLABQLJQINIRR-GFCCVEGCSA-N 0 0 271.276 2.844 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@H]1CC[C@H](O)C1 ZINC000227669440 382839529 /nfs/dbraw/zinc/83/95/29/382839529.db2.gz SNIWSCPUXGEIQL-IUCAKERBSA-N 0 0 270.716 2.821 20 5 CFBDRN CC1(CNc2ccccc2[N+](=O)[O-])CCOCC1 ZINC000227825165 382841320 /nfs/dbraw/zinc/84/13/20/382841320.db2.gz RWQVRTMKFMIZDR-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN C[C@@H](O)[C@@H](C)Sc1c(F)cc([N+](=O)[O-])cc1F ZINC000227891111 382841994 /nfs/dbraw/zinc/84/19/94/382841994.db2.gz GIWXJXDGABNTPG-PHDIDXHHSA-N 0 0 263.265 2.734 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@@H]2CCC[C@@H](O)C2)c(F)c1 ZINC000227890782 382842145 /nfs/dbraw/zinc/84/21/45/382842145.db2.gz AQPVTHUNIDFKFM-PSASIEDQSA-N 0 0 286.278 2.836 20 5 CFBDRN CC(C)[C@H](CCO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890967 382842219 /nfs/dbraw/zinc/84/22/19/382842219.db2.gz LISUWBITMBYOLW-NSHDSACASA-N 0 0 274.267 2.692 20 5 CFBDRN C[C@H](O)CCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000227996165 382843430 /nfs/dbraw/zinc/84/34/30/382843430.db2.gz VSWKNJSYXCHBKL-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1noc2ncc(NC(=O)c3ccc([N+](=O)[O-])cc3)cc12 ZINC000337584192 382845098 /nfs/dbraw/zinc/84/50/98/382845098.db2.gz QIEJCXZBFLIQSN-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000533583030 382907307 /nfs/dbraw/zinc/90/73/07/382907307.db2.gz OJSOIMZENUTQJB-MRVPVSSYSA-N 0 0 262.269 2.768 20 5 CFBDRN CCCC1(CNc2ccc(C(=O)NC)cc2[N+](=O)[O-])CC1 ZINC000230150489 382856382 /nfs/dbraw/zinc/85/63/82/382856382.db2.gz JSKZZMBUCWTECD-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN Cc1nc(NC[C@H](O)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000230184996 382857121 /nfs/dbraw/zinc/85/71/21/382857121.db2.gz XGEJAHJHHLMHNL-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cc(NC(=O)CSc2cccc([N+](=O)[O-])c2)on1 ZINC000337745049 382860745 /nfs/dbraw/zinc/86/07/45/382860745.db2.gz FVRPIZXTAGSNTN-UHFFFAOYSA-N 0 0 293.304 2.622 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2C)[C@H](C)C1 ZINC000337756068 382862020 /nfs/dbraw/zinc/86/20/20/382862020.db2.gz XHPXVVCOTWNJAA-ZYHUDNBSSA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)N1CC[C@@H](Sc2cccc([N+](=O)[O-])c2)C1=O ZINC000337766312 382863187 /nfs/dbraw/zinc/86/31/87/382863187.db2.gz SVPTZYTYSQAJRM-GFCCVEGCSA-N 0 0 280.349 2.696 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000231683424 382866328 /nfs/dbraw/zinc/86/63/28/382866328.db2.gz PWFOAIIMOIGGIG-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cnc(C)c(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000231869105 382867509 /nfs/dbraw/zinc/86/75/09/382867509.db2.gz MDXFVSAOCFXALH-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1ncnc2[nH]ccc21 ZINC000337818350 382868367 /nfs/dbraw/zinc/86/83/67/382868367.db2.gz PMTXXTSNYMCUCX-UHFFFAOYSA-N 0 0 297.318 2.545 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)CC(C)C)c1F ZINC000232585629 382868913 /nfs/dbraw/zinc/86/89/13/382868913.db2.gz DOQXRSHADIJKNB-UHFFFAOYSA-N 0 0 268.288 2.770 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCCC(C)C)c1F ZINC000232553588 382869037 /nfs/dbraw/zinc/86/90/37/382869037.db2.gz AUDJSCONGMZLEG-UHFFFAOYSA-N 0 0 268.288 2.818 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000233808778 382871982 /nfs/dbraw/zinc/87/19/82/382871982.db2.gz GIEVNDVWTRVCIF-GHMZBOCLSA-N 0 0 280.324 2.566 20 5 CFBDRN CCc1cnc(CNc2cc(OC)ccc2[N+](=O)[O-])o1 ZINC000234261590 382873723 /nfs/dbraw/zinc/87/37/23/382873723.db2.gz DYEMQIPHFQGRDU-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN Cc1cn(Cc2ccc(F)cc2Cl)nc1[N+](=O)[O-] ZINC000337935243 382874306 /nfs/dbraw/zinc/87/43/06/382874306.db2.gz BVIPGVCJYXUYCG-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](C2CC2)C1 ZINC000577887015 382874503 /nfs/dbraw/zinc/87/45/03/382874503.db2.gz ASRQVUWRTFKFKY-NSHDSACASA-N 0 0 262.309 2.840 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(SC[C@@H]2CCCO2)c1 ZINC000234581210 382874663 /nfs/dbraw/zinc/87/46/63/382874663.db2.gz BQWIJIUGNNIKJA-JTQLQIEISA-N 0 0 297.332 2.653 20 5 CFBDRN COCCCCCNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000234744006 382874969 /nfs/dbraw/zinc/87/49/69/382874969.db2.gz RSBLHCGEJCOWIU-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN Cc1cn(Cc2cc(Cl)ccc2F)nc1[N+](=O)[O-] ZINC000337945053 382875944 /nfs/dbraw/zinc/87/59/44/382875944.db2.gz UMWDBJQUIZRBGA-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN CC[C@@](C)(CNC(=O)Nc1ccc([N+](=O)[O-])cc1C)OC ZINC000455202244 382878212 /nfs/dbraw/zinc/87/82/12/382878212.db2.gz XCOCMUPDECOYNB-AWEZNQCLSA-N 0 0 295.339 2.840 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1C[C@H]1C(F)F ZINC000337977339 382885745 /nfs/dbraw/zinc/88/57/45/382885745.db2.gz LTFRWYQZWFCVLJ-AVPPRXQKSA-N 0 0 296.273 2.774 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCC(F)F ZINC000236121939 382891441 /nfs/dbraw/zinc/89/14/41/382891441.db2.gz NUCCSPXZEGOPJN-UHFFFAOYSA-N 0 0 271.145 2.686 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CC[C@@H](O)C2)ccc2ncccc21 ZINC000236549016 382894573 /nfs/dbraw/zinc/89/45/73/382894573.db2.gz IAZQDLCJZBBLCL-GHMZBOCLSA-N 0 0 287.319 2.716 20 5 CFBDRN CCCn1ncnc1COc1cc(C)c([N+](=O)[O-])cc1F ZINC000578145418 382895512 /nfs/dbraw/zinc/89/55/12/382895512.db2.gz NGRLLNDCJASMPB-UHFFFAOYSA-N 0 0 294.286 2.623 20 5 CFBDRN CC/C=C/CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000455335649 382898139 /nfs/dbraw/zinc/89/81/39/382898139.db2.gz OWVFQALXYXSSCO-ONEGZZNKSA-N 0 0 267.260 2.822 20 5 CFBDRN CCOCC(C)(C)NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000455334753 382898160 /nfs/dbraw/zinc/89/81/60/382898160.db2.gz OFDBHVPJOZATMW-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cn1)c1ccc(O)cc1 ZINC000533447123 382898342 /nfs/dbraw/zinc/89/83/42/382898342.db2.gz GTGPGTCXJQWRIH-UHFFFAOYSA-N 0 0 290.300 2.670 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)c1cccc(O)c1 ZINC000533516101 382902948 /nfs/dbraw/zinc/90/29/48/382902948.db2.gz FVQWPBJBQGBXQX-UHFFFAOYSA-N 0 0 264.306 2.998 20 5 CFBDRN CC1(CSCc2ccc([N+](=O)[O-])cc2)COC1 ZINC000338220725 382955615 /nfs/dbraw/zinc/95/56/15/382955615.db2.gz FESVKFYDPLLOTP-UHFFFAOYSA-N 0 0 253.323 2.865 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000421896609 382964829 /nfs/dbraw/zinc/96/48/29/382964829.db2.gz QFESQBIHSJPYAA-YABSGUDNSA-N 0 0 274.320 2.740 20 5 CFBDRN Cc1ccncc1CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421884468 382960443 /nfs/dbraw/zinc/96/04/43/382960443.db2.gz FJDKOZUEXUXTKJ-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)Cc1ccccn1 ZINC000421746685 382913242 /nfs/dbraw/zinc/91/32/42/382913242.db2.gz AINSOOODSJCQBX-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCc1ccc(F)cc1 ZINC000338060268 382913945 /nfs/dbraw/zinc/91/39/45/382913945.db2.gz SQXWCNUFBKKWIQ-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CC1(C)C ZINC000421751523 382914259 /nfs/dbraw/zinc/91/42/59/382914259.db2.gz ZMQFCBLYANJGSJ-GFCCVEGCSA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c(C)cn1 ZINC000534299784 382923140 /nfs/dbraw/zinc/92/31/40/382923140.db2.gz KTYIPMGKTHLNDZ-UHFFFAOYSA-N 0 0 285.303 2.589 20 5 CFBDRN C[C@@H](C(=O)NC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000578480042 382924536 /nfs/dbraw/zinc/92/45/36/382924536.db2.gz KXOFAOIRSIASEC-SNVBAGLBSA-N 0 0 262.309 2.757 20 5 CFBDRN Cc1occc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000338141706 382932081 /nfs/dbraw/zinc/93/20/81/382932081.db2.gz XEUSUFCVPFDIAK-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1=CCCC1 ZINC000338143581 382933512 /nfs/dbraw/zinc/93/35/12/382933512.db2.gz OBLZFTQIHSCKDG-UHFFFAOYSA-N 0 0 274.320 2.706 20 5 CFBDRN COc1cc(Cc2noc(C(C)C)n2)ccc1[N+](=O)[O-] ZINC000578585679 382933720 /nfs/dbraw/zinc/93/37/20/382933720.db2.gz VILGEPRLAIERAH-UHFFFAOYSA-N 0 0 277.280 2.701 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000457229747 382998082 /nfs/dbraw/zinc/99/80/82/382998082.db2.gz PMQOINXDSURBAK-NSHDSACASA-N 0 0 294.351 2.915 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H](C1CC1)C1CCC1 ZINC000457228713 382998175 /nfs/dbraw/zinc/99/81/75/382998175.db2.gz XYBSLKWWTXANGQ-INIZCTEOSA-N 0 0 288.347 2.832 20 5 CFBDRN COc1ccc(CN2CCc3ccc([N+](=O)[O-])cc3C2)nc1 ZINC000338269016 382969019 /nfs/dbraw/zinc/96/90/19/382969019.db2.gz BVSGTOMYFNGGAY-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN CC[C@@]1(O)CCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000495192513 382970507 /nfs/dbraw/zinc/97/05/07/382970507.db2.gz LGSKGJPTEUAGGE-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2C[C@H]2C(F)F)c1 ZINC000338725906 383030846 /nfs/dbraw/zinc/03/08/46/383030846.db2.gz LXOKQVZBUPNQSX-SFYZADRCSA-N 0 0 258.224 2.669 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1cccc(C)c1[N+](=O)[O-] ZINC000338730882 383031570 /nfs/dbraw/zinc/03/15/70/383031570.db2.gz WQLFRUNVUACFAA-LBPRGKRZSA-N 0 0 293.367 2.868 20 5 CFBDRN Cc1nc(C)c(CSCCn2cc([N+](=O)[O-])cn2)s1 ZINC000448060147 383043625 /nfs/dbraw/zinc/04/36/25/383043625.db2.gz IUEBNHMINYKRSY-UHFFFAOYSA-N 0 0 298.393 2.798 20 5 CFBDRN COCc1nc(CSc2ccc([N+](=O)[O-])cn2)cs1 ZINC000448106397 383045106 /nfs/dbraw/zinc/04/51/06/383045106.db2.gz CNYLXLXXPXIICQ-UHFFFAOYSA-N 0 0 297.361 2.885 20 5 CFBDRN Cc1cc(N[C@H](CC(N)=O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000338780085 383048729 /nfs/dbraw/zinc/04/87/29/383048729.db2.gz APDINHMEFPYSAS-CQSZACIVSA-N 0 0 299.330 2.932 20 5 CFBDRN CC(C)(NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CC1 ZINC000551842170 383051681 /nfs/dbraw/zinc/05/16/81/383051681.db2.gz HCXPGOXSNKCRJO-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000457620933 383052911 /nfs/dbraw/zinc/05/29/11/383052911.db2.gz KVBLIOKLHXSEHL-UKRRQHHQSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](CC3CC3)C2)c1[N+](=O)[O-] ZINC000338806513 383058397 /nfs/dbraw/zinc/05/83/97/383058397.db2.gz BZCVGCOMYHUXSW-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cc(N2CC[C@H](CC3CC3)C2)ncc1[N+](=O)[O-] ZINC000338812133 383059632 /nfs/dbraw/zinc/05/96/32/383059632.db2.gz SDWZWTJZINSFMA-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CCC[C@@H]3F)ccc2c1 ZINC000338826367 383062413 /nfs/dbraw/zinc/06/24/13/383062413.db2.gz DCZKUGSWRWPDFG-AAEUAGOBSA-N 0 0 275.283 2.867 20 5 CFBDRN Cc1nc(N2CCOC[C@H]2CC2CCC2)ccc1[N+](=O)[O-] ZINC000338833310 383063120 /nfs/dbraw/zinc/06/31/20/383063120.db2.gz YOECYORHQLCJNP-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1c(CNC(=O)NC2(C3CC3)CC2)cccc1[N+](=O)[O-] ZINC000579904143 383063872 /nfs/dbraw/zinc/06/38/72/383063872.db2.gz YHBPCGKKCNBBQY-UHFFFAOYSA-N 0 0 289.335 2.645 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCC[C@@H]2C2(O)CC2)cs1 ZINC000579924738 383065871 /nfs/dbraw/zinc/06/58/71/383065871.db2.gz QZWZBDIMAQBCKL-LLVKDONJSA-N 0 0 282.365 2.536 20 5 CFBDRN O=C(CCCC(=O)C1CC1)Nc1cccc([N+](=O)[O-])c1 ZINC000448555635 383069375 /nfs/dbraw/zinc/06/93/75/383069375.db2.gz BPDORDVGTXXRGD-UHFFFAOYSA-N 0 0 276.292 2.683 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000579496232 383023877 /nfs/dbraw/zinc/02/38/77/383023877.db2.gz AKEOKGIKZYWIRM-GHMZBOCLSA-N 0 0 250.298 2.583 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@@H](C)CC[C@H]1C ZINC000579516090 383024539 /nfs/dbraw/zinc/02/45/39/383024539.db2.gz VAAYVRAVFAVBKF-VHSXEESVSA-N 0 0 266.345 2.744 20 5 CFBDRN CC[C@@H](O)CCCNc1cc(OC)ccc1[N+](=O)[O-] ZINC000338674539 383027413 /nfs/dbraw/zinc/02/74/13/383027413.db2.gz KLPKUFIOYROREI-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN CC(C)(C)C1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000457340649 383028002 /nfs/dbraw/zinc/02/80/02/383028002.db2.gz JHEVMCIGRFGPBJ-UHFFFAOYSA-N 0 0 294.326 2.781 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000457860048 383120887 /nfs/dbraw/zinc/12/08/87/383120887.db2.gz OXPOXISIHDSVIS-WAAGHKOSSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1ccc(Cc2nc(CC(C)C)no2)cc1[N+](=O)[O-] ZINC000554114221 383125289 /nfs/dbraw/zinc/12/52/89/383125289.db2.gz CHXKJMKWPILKNE-UHFFFAOYSA-N 0 0 291.307 2.776 20 5 CFBDRN Cc1cc(N2CCCC[C@@H]2[C@H](C)CO)ccc1[N+](=O)[O-] ZINC000596435240 383126316 /nfs/dbraw/zinc/12/63/16/383126316.db2.gz LUONAANHPSGANN-TZMCWYRMSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1c1ccc(F)cc1[N+](=O)[O-] ZINC000596436121 383126394 /nfs/dbraw/zinc/12/63/94/383126394.db2.gz LDNFEQXRYUDQKC-JTQLQIEISA-N 0 0 280.299 2.882 20 5 CFBDRN C[C@H](CO)[C@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000596436124 383126571 /nfs/dbraw/zinc/12/65/71/383126571.db2.gz QYLVZXJKHHGEGS-ZYHUDNBSSA-N 0 0 282.315 2.721 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cccc(N(C)C)n2)c1 ZINC000339048866 383132636 /nfs/dbraw/zinc/13/26/36/383132636.db2.gz MREYWJYNPJUOJQ-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])s1)C(=O)N1CCCC[C@H]1C ZINC000609632292 383134635 /nfs/dbraw/zinc/13/46/35/383134635.db2.gz UEDOTSBGSVDPHQ-NXEZZACHSA-N 0 0 297.380 2.858 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)OC1CCC1 ZINC000496324463 383088482 /nfs/dbraw/zinc/08/84/82/383088482.db2.gz LDXWZTFWGUTXRN-UHFFFAOYSA-N 0 0 278.308 2.758 20 5 CFBDRN C[C@@H](NC(=O)OC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000496333584 383089375 /nfs/dbraw/zinc/08/93/75/383089375.db2.gz VXMSDOROQGJKSW-SECBINFHSA-N 0 0 264.281 2.935 20 5 CFBDRN C[C@H](C(=O)N(C)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000338938609 383091576 /nfs/dbraw/zinc/09/15/76/383091576.db2.gz YVGGKYSGRJUQOT-SSDOTTSWSA-N 0 0 276.214 2.756 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])[C@@H]1C ZINC000552885335 383093274 /nfs/dbraw/zinc/09/32/74/383093274.db2.gz LUIYTOFYTJHWPV-CKYFFXLPSA-N 0 0 291.351 2.930 20 5 CFBDRN Nc1nc(N[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)ncc1[N+](=O)[O-] ZINC000338968052 383103748 /nfs/dbraw/zinc/10/37/48/383103748.db2.gz WIKKVSGQEGOVHZ-HBNTYKKESA-N 0 0 291.355 2.568 20 5 CFBDRN CNc1ccc(C(=O)N[C@]2(C)CC=CCC2)cc1[N+](=O)[O-] ZINC000584514439 383109556 /nfs/dbraw/zinc/10/95/56/383109556.db2.gz BOJYESVGYWGCKA-OAHLLOKOSA-N 0 0 289.335 2.865 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1C ZINC000517423797 383181643 /nfs/dbraw/zinc/18/16/43/383181643.db2.gz KDJAPWBSPUDEGK-UHFFFAOYSA-N 0 0 273.292 2.898 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@@H](C)[C@@H](C(=O)[O-])C(C)C ZINC000424623314 383213558 /nfs/dbraw/zinc/21/35/58/383213558.db2.gz PPMIQLQFNWCBOE-FZMZJTMJSA-N 0 0 294.351 2.738 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@@H]2c2cccnc2)n1 ZINC000339098600 383148112 /nfs/dbraw/zinc/14/81/12/383148112.db2.gz RYNQZYNTLLEIHV-CHWSQXEVSA-N 0 0 284.319 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@@H]2CCC[C@H]21 ZINC000339099674 383148923 /nfs/dbraw/zinc/14/89/23/383148923.db2.gz NZXZNXDTKLWMIE-GXFFZTMASA-N 0 0 262.309 2.675 20 5 CFBDRN Cc1cc(C(=O)N2C3CCC2CC3)ccc1[N+](=O)[O-] ZINC000514348271 383154161 /nfs/dbraw/zinc/15/41/61/383154161.db2.gz VTYYJRJZZYNPSD-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000458311803 383159801 /nfs/dbraw/zinc/15/98/01/383159801.db2.gz YLAFWVGQEMCDKJ-JIMOISOXSA-N 0 0 290.319 2.522 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000458314378 383161039 /nfs/dbraw/zinc/16/10/39/383161039.db2.gz VERNGLOZQGHNEB-PWSUYJOCSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCSC1 ZINC000567249480 383256576 /nfs/dbraw/zinc/25/65/76/383256576.db2.gz HDELHWZZOSLSJK-MRVPVSSYSA-N 0 0 254.311 2.521 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000280594135 194894809 /nfs/dbraw/zinc/89/48/09/194894809.db2.gz ISOHPAQYJLJNRJ-RDDDGLTNSA-N 0 0 267.260 2.654 20 5 CFBDRN CO[C@@H](C)c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000567373239 383269999 /nfs/dbraw/zinc/26/99/99/383269999.db2.gz DERPKMAAWHXAGW-QMMMGPOBSA-N 0 0 263.253 2.661 20 5 CFBDRN CCCCN(C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000248224999 383220525 /nfs/dbraw/zinc/22/05/25/383220525.db2.gz IXDCEIBSZQLODX-UHFFFAOYSA-N 0 0 279.340 2.655 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCc1ccc(C2CC2)cc1 ZINC000519577624 383225475 /nfs/dbraw/zinc/22/54/75/383225475.db2.gz BDOVKAAKXODPAY-UHFFFAOYSA-N 0 0 299.330 2.741 20 5 CFBDRN COc1ccc(C(=O)NC[C@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000339519536 383229973 /nfs/dbraw/zinc/22/99/73/383229973.db2.gz SMNDDXMKVFEMOX-CMPLNLGQSA-N 0 0 292.335 2.769 20 5 CFBDRN CC1(C)CCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000519629309 383231623 /nfs/dbraw/zinc/23/16/23/383231623.db2.gz CDHNCPYKKPYNES-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ccc2nccnc2n1 ZINC000522149964 383329503 /nfs/dbraw/zinc/32/95/03/383329503.db2.gz FTNWALRMWZIYQZ-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN CO[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@H]1C ZINC000449492975 383330089 /nfs/dbraw/zinc/33/00/89/383330089.db2.gz CZAIWTSIOMVFMQ-MWLCHTKSSA-N 0 0 270.354 2.513 20 5 CFBDRN CN(Cc1ccoc1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000522240198 383333413 /nfs/dbraw/zinc/33/34/13/383333413.db2.gz URNCSZANOIKWBV-UHFFFAOYSA-N 0 0 289.291 2.529 20 5 CFBDRN O=[N+]([O-])c1cc(CS(=O)(=O)c2ccccc2)cs1 ZINC000339975054 383345572 /nfs/dbraw/zinc/34/55/72/383345572.db2.gz ZTFUZGLYNQWIHX-UHFFFAOYSA-N 0 0 283.330 2.630 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H](C)[C@H]1C ZINC000567783659 383315890 /nfs/dbraw/zinc/31/58/90/383315890.db2.gz JEPQMHDNKBVSJF-NXEZZACHSA-N 0 0 266.345 2.744 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CCC(C)(C)C2=O)c1 ZINC000567848946 383321053 /nfs/dbraw/zinc/32/10/53/383321053.db2.gz GGICQWAUNPDNOW-LLVKDONJSA-N 0 0 279.292 2.740 20 5 CFBDRN CC(C)[C@H]1C[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CCCO1 ZINC000339810469 383325697 /nfs/dbraw/zinc/32/56/97/383325697.db2.gz CLTHOGBQUJRMJN-OAHLLOKOSA-N 0 0 294.351 2.547 20 5 CFBDRN Cc1nnc([C@H](C)NCc2c(Cl)cccc2[N+](=O)[O-])o1 ZINC000568582093 383415644 /nfs/dbraw/zinc/41/56/44/383415644.db2.gz GDGJQTOMCQQXGX-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN CC[C@H](C)n1nc(Nc2ccc([N+](=O)[O-])nc2)cc1C ZINC000450419964 383401579 /nfs/dbraw/zinc/40/15/79/383401579.db2.gz BGGULGOCMCLKNC-VIFPVBQESA-N 0 0 275.312 2.631 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000525673664 383403083 /nfs/dbraw/zinc/40/30/83/383403083.db2.gz UZNAIUKOOYYIFK-SDDRHHMPSA-N 0 0 291.351 2.632 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1ccc(F)cn1)C2 ZINC000568461157 383404219 /nfs/dbraw/zinc/40/42/19/383404219.db2.gz QOHPQAAIRWAABE-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN Cc1ccccc1C1=CCN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000450429450 383406324 /nfs/dbraw/zinc/40/63/24/383406324.db2.gz ZTCALTQLBKVABR-UHFFFAOYSA-N 0 0 296.330 2.905 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCSC[C@H]2C2CC2)cs1 ZINC000449527554 383354579 /nfs/dbraw/zinc/35/45/79/383354579.db2.gz JHSHQYPRGRRGLR-NSHDSACASA-N 0 0 284.406 2.984 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@H]2C2CCC2)nc2sccn21 ZINC000425269896 383355325 /nfs/dbraw/zinc/35/53/25/383355325.db2.gz DGZVPMJZXIXIEM-DTWKUNHWSA-N 0 0 278.337 2.905 20 5 CFBDRN CCOC(=O)c1cc(N(C)CC(C)C)ccc1[N+](=O)[O-] ZINC000467787031 383355478 /nfs/dbraw/zinc/35/54/78/383355478.db2.gz MKTKUSJTBIVASF-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000425272921 383356195 /nfs/dbraw/zinc/35/61/95/383356195.db2.gz MWBNIRJGZHYOBX-CYBMUJFWSA-N 0 0 289.335 2.697 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@H]2CF)n1 ZINC000338808587 291825045 /nfs/dbraw/zinc/82/50/45/291825045.db2.gz QFMPCAKNZWVQDT-SNVBAGLBSA-N 0 0 253.277 2.545 20 5 CFBDRN C[C@H](CCC1CCCCC1)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000523753073 383362298 /nfs/dbraw/zinc/36/22/98/383362298.db2.gz ICRKBSFDKUYWQC-SNVBAGLBSA-N 0 0 293.371 2.958 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1CCCc2ccccc21 ZINC000340157780 383364294 /nfs/dbraw/zinc/36/42/94/383364294.db2.gz KNZFZRXQAQWRMJ-CYBMUJFWSA-N 0 0 283.331 2.944 20 5 CFBDRN Cc1nc(CCNc2ncc([N+](=O)[O-])cc2Cl)c(C)o1 ZINC000340200542 383379538 /nfs/dbraw/zinc/37/95/38/383379538.db2.gz UDUIOCXXFUDEDJ-UHFFFAOYSA-N 0 0 296.714 2.903 20 5 CFBDRN CC[C@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])CCO1 ZINC000450237940 383387083 /nfs/dbraw/zinc/38/70/83/383387083.db2.gz RVTCHNOMBZKKES-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CS[C@@H]1CCN(c2ccc([N+](=O)[O-])c(OC(C)C)n2)C1 ZINC000568647434 383423858 /nfs/dbraw/zinc/42/38/58/383423858.db2.gz RQWNWALSOADBBY-SNVBAGLBSA-N 0 0 297.380 2.719 20 5 CFBDRN COC(=O)[C@H](C)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000568664846 383425862 /nfs/dbraw/zinc/42/58/62/383425862.db2.gz UMPMRMSQSDURKW-ZETCQYMHSA-N 0 0 273.285 2.529 20 5 CFBDRN Cc1ccc2c(c1)CCN(c1ncc([N+](=O)[O-])c(C)n1)C2 ZINC000450478044 383427300 /nfs/dbraw/zinc/42/73/00/383427300.db2.gz ZCQJVMMHKJJESO-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN Cc1cc(CN(C)c2ncc([N+](=O)[O-])c(C)n2)ccc1F ZINC000450484011 383430999 /nfs/dbraw/zinc/43/09/99/383430999.db2.gz JWORVIRBGXPFDT-UHFFFAOYSA-N 0 0 290.298 2.777 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H](F)C1 ZINC000568868093 383443352 /nfs/dbraw/zinc/44/33/52/383443352.db2.gz XGLDOGZLWGHWLY-NXEZZACHSA-N 0 0 296.298 2.614 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450534583 383449874 /nfs/dbraw/zinc/44/98/74/383449874.db2.gz GDZSESPPPSBMBM-ZANVPECISA-N 0 0 257.293 2.775 20 5 CFBDRN COc1cc([C@@H](C)NCc2ccccc2[N+](=O)[O-])ccn1 ZINC000340520227 383454972 /nfs/dbraw/zinc/45/49/72/383454972.db2.gz PFUAXOCYYCTEHM-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN COc1c(C(=O)N[C@H](C)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000568989718 383456565 /nfs/dbraw/zinc/45/65/65/383456565.db2.gz ZHNDEUFEJQKEMT-SECBINFHSA-N 0 0 280.324 2.768 20 5 CFBDRN CCC(CC)(CCn1nc(C)c([N+](=O)[O-])c1C)C(=O)OC ZINC000450568879 383464940 /nfs/dbraw/zinc/46/49/40/383464940.db2.gz UHIQJKVLWVZVSC-UHFFFAOYSA-N 0 0 297.355 2.778 20 5 CFBDRN Nc1ccc(-c2noc([C@@H]3C[C@@H]3C3CC3)n2)cc1[N+](=O)[O-] ZINC000340548865 383468317 /nfs/dbraw/zinc/46/83/17/383468317.db2.gz IITZSFQMRCIZIT-NXEZZACHSA-N 0 0 286.291 2.741 20 5 CFBDRN Cc1ccccc1CCN(C)c1ccncc1[N+](=O)[O-] ZINC000450584824 383470992 /nfs/dbraw/zinc/47/09/92/383470992.db2.gz FIQSYIOTIKINHC-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000340562866 383472240 /nfs/dbraw/zinc/47/22/40/383472240.db2.gz UOSWFKGUQGEBDH-GFCCVEGCSA-N 0 0 262.309 2.538 20 5 CFBDRN O=C1CCCN1c1ccc(Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000340596841 383483330 /nfs/dbraw/zinc/48/33/30/383483330.db2.gz QTPWNNLMLNLGHI-UHFFFAOYSA-N 0 0 298.302 2.860 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CS[C@@H](C)C2)n1 ZINC000413522008 291827362 /nfs/dbraw/zinc/82/73/62/291827362.db2.gz RMFUVVJDYKILSW-VHSXEESVSA-N 0 0 267.354 2.913 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450455063 383417319 /nfs/dbraw/zinc/41/73/19/383417319.db2.gz TUGVGKSLMNJQTG-STQMWFEESA-N 0 0 287.319 2.714 20 5 CFBDRN CNc1ccc(C(=O)N(C)[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000569304754 383485294 /nfs/dbraw/zinc/48/52/94/383485294.db2.gz TZACBVVJBMMFLA-LBPRGKRZSA-N 0 0 277.324 2.507 20 5 CFBDRN Cc1c(Nc2ccccc2[N+](=O)[O-])cnn1[C@H]1CCOC1 ZINC000450795436 383494001 /nfs/dbraw/zinc/49/40/01/383494001.db2.gz OVDGTUDZRWHMPX-NSHDSACASA-N 0 0 288.307 2.805 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1C[C@H]1CCCC1(F)F ZINC000450795266 383494248 /nfs/dbraw/zinc/49/42/48/383494248.db2.gz KZPHHXALEBCJHE-MRVPVSSYSA-N 0 0 298.245 2.938 20 5 CFBDRN CC(F)(F)CCn1cc(Br)c([N+](=O)[O-])n1 ZINC000450809527 383497694 /nfs/dbraw/zinc/49/76/94/383497694.db2.gz KNQNPXSYIHMGNN-UHFFFAOYSA-N 0 0 284.060 2.599 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H]2C[C@@H](O)CC[C@@H]2C1 ZINC000450814256 383500533 /nfs/dbraw/zinc/50/05/33/383500533.db2.gz QBWKVOIGQDIRCH-MCIONIFRSA-N 0 0 290.363 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cn(C(C)C)nn2)c1 ZINC000488236671 383507315 /nfs/dbraw/zinc/50/73/15/383507315.db2.gz WGXCXKBVGGBBQO-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H](C)n3ccnc32)n1 ZINC000450833385 383512205 /nfs/dbraw/zinc/51/22/05/383512205.db2.gz SRSDJEIDVAONPR-ZJUUUORDSA-N 0 0 273.296 2.613 20 5 CFBDRN CCCCN(CCCO)c1ccc([N+](=O)[O-])c(C)c1 ZINC000340961889 383512381 /nfs/dbraw/zinc/51/23/81/383512381.db2.gz FMJQEPSBQDKRMO-UHFFFAOYSA-N 0 0 266.341 2.892 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H]1C[C@@H](C)CCC1=O ZINC000450835601 383513613 /nfs/dbraw/zinc/51/36/13/383513613.db2.gz XHITZGJBDFBMOK-TVQRCGJNSA-N 0 0 279.292 2.740 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1ncnc2c1CCC2 ZINC000569626918 383520296 /nfs/dbraw/zinc/52/02/96/383520296.db2.gz IRIPOFPPGJMNSW-UHFFFAOYSA-N 0 0 298.346 2.552 20 5 CFBDRN CC(=O)CCCCCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000450850369 383520768 /nfs/dbraw/zinc/52/07/68/383520768.db2.gz ZAQVVIUZKGWMNX-UHFFFAOYSA-N 0 0 286.715 2.559 20 5 CFBDRN Cc1cccc(OCC[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000450895958 383540145 /nfs/dbraw/zinc/54/01/45/383540145.db2.gz ZCBQXIWQLUGZMK-NSHDSACASA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC[C@@H]1CCOC1 ZINC000450895167 383540963 /nfs/dbraw/zinc/54/09/63/383540963.db2.gz WZQYWPJLNMXPJZ-NSHDSACASA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC(F)(F)C1 ZINC000488985009 383588191 /nfs/dbraw/zinc/58/81/91/383588191.db2.gz UAWKEVOQLCKHHU-SECBINFHSA-N 0 0 299.277 2.606 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000048964155 285031132 /nfs/dbraw/zinc/03/11/32/285031132.db2.gz IADNKTOKBOCNTD-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000450936647 383557727 /nfs/dbraw/zinc/55/77/27/383557727.db2.gz MTXFCYSDPUQVGY-VXGBXAGGSA-N 0 0 265.313 2.749 20 5 CFBDRN COC[C@H]1CCCN(c2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000450938829 383558942 /nfs/dbraw/zinc/55/89/42/383558942.db2.gz BHWQQQJVAAVNAR-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN CC(C)[N@@H+]1CC[C@@H](N(C)c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000450954619 383565704 /nfs/dbraw/zinc/56/57/04/383565704.db2.gz NSBQZGLWTDDBBN-SNVBAGLBSA-N 0 0 298.774 2.562 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1cnc(C)o1 ZINC000570866138 383643378 /nfs/dbraw/zinc/64/33/78/383643378.db2.gz JZMGZBIQNITECP-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C12CCC2 ZINC000570898157 383645313 /nfs/dbraw/zinc/64/53/13/383645313.db2.gz NXUFABDWAUNRRL-OLZOCXBDSA-N 0 0 291.351 2.692 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000263329271 383658820 /nfs/dbraw/zinc/65/88/20/383658820.db2.gz LDXVVXPKHPNDCU-NQBHXWOUSA-N 0 0 292.335 2.698 20 5 CFBDRN CC1(C)CCC[C@@](O)(CNc2ncc([N+](=O)[O-])cc2F)C1 ZINC000572222906 383764277 /nfs/dbraw/zinc/76/42/77/383764277.db2.gz CEIMQHWPXPWNHL-AWEZNQCLSA-N 0 0 297.330 2.872 20 5 CFBDRN CC1(CCNC(=O)NCCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000280912004 195026181 /nfs/dbraw/zinc/02/61/81/195026181.db2.gz UNDQGXULJLIMGE-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN CSCCN(C)c1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000436403895 383812985 /nfs/dbraw/zinc/81/29/85/383812985.db2.gz KYADGOYDAUFOQY-UHFFFAOYSA-N 0 0 295.286 2.808 20 5 CFBDRN C[C@@H]1CN(C(=O)NCc2cccc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000531079159 383815785 /nfs/dbraw/zinc/81/57/85/383815785.db2.gz IKVIJIUOTKIWJJ-NSHDSACASA-N 0 0 291.351 2.925 20 5 CFBDRN CC[C@@H](N[C@@H]1CCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000453141833 383781686 /nfs/dbraw/zinc/78/16/86/383781686.db2.gz UBLIOECQMYPXHT-ZIAGYGMSSA-N 0 0 286.335 2.977 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2nccn2C(F)F)c1 ZINC000572841234 383826108 /nfs/dbraw/zinc/82/61/08/383826108.db2.gz JGXIRLYREVWHDJ-UHFFFAOYSA-N 0 0 299.233 2.774 20 5 CFBDRN C[C@@H]1CC[N@H+](CCn2cc([N+](=O)[O-])cn2)[C@H]2CCCC[C@@H]12 ZINC000530865469 383798329 /nfs/dbraw/zinc/79/83/29/383798329.db2.gz PWJWOPZCGGDZBJ-SNPRPXQTSA-N 0 0 292.383 2.692 20 5 CFBDRN CCn1ccnc1[C@H](C)NCc1cccc([N+](=O)[O-])c1C ZINC000453201306 383800692 /nfs/dbraw/zinc/80/06/92/383800692.db2.gz BRDFDVAFSNTQTF-LBPRGKRZSA-N 0 0 288.351 2.970 20 5 CFBDRN C[C@@H](Cc1ccccc1[N+](=O)[O-])NC1(C(F)F)CC1 ZINC000453208894 383801394 /nfs/dbraw/zinc/80/13/94/383801394.db2.gz HTMIREFSKSEVKU-VIFPVBQESA-N 0 0 270.279 2.913 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NCC1=CCCCC1 ZINC000572953999 383843924 /nfs/dbraw/zinc/84/39/24/383843924.db2.gz ORLCVONGRSQNBK-UHFFFAOYSA-N 0 0 289.335 2.894 20 5 CFBDRN Cc1ccc(NC[C@@H](CO)Cc2ccco2)c([N+](=O)[O-])c1 ZINC000531333144 383851505 /nfs/dbraw/zinc/85/15/05/383851505.db2.gz KEGHNUKDYXDESI-LBPRGKRZSA-N 0 0 290.319 2.759 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[N@@H+](C)C[C@@H](C)C(=O)[O-] ZINC000573098271 383871763 /nfs/dbraw/zinc/87/17/63/383871763.db2.gz VRFIEGBGBFDAQE-GHMZBOCLSA-N 0 0 280.324 2.617 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@](C)(CC)OC ZINC000280997763 195062827 /nfs/dbraw/zinc/06/28/27/195062827.db2.gz AYBXIWWMNWXGGL-AWEZNQCLSA-N 0 0 296.323 2.747 20 5 CFBDRN CC[C@@](C)(CNC(=O)Nc1cc([N+](=O)[O-])ccc1C)OC ZINC000454137569 383911212 /nfs/dbraw/zinc/91/12/12/383911212.db2.gz BQDURPFCTQGMHV-AWEZNQCLSA-N 0 0 295.339 2.840 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000531808870 383924593 /nfs/dbraw/zinc/92/45/93/383924593.db2.gz BBVWLLLLKCIYIB-DZGCQCFKSA-N 0 0 292.335 2.526 20 5 CFBDRN COC(C)(C)CN(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000531816839 383926699 /nfs/dbraw/zinc/92/66/99/383926699.db2.gz CZINGCXBGPZCOU-UHFFFAOYSA-N 0 0 295.339 2.792 20 5 CFBDRN CCCC1(C(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000531852593 383931663 /nfs/dbraw/zinc/93/16/63/383931663.db2.gz OLPJPKFCGSGIDA-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)NC1(C2CC2)CC1 ZINC000531604414 383895483 /nfs/dbraw/zinc/89/54/83/383895483.db2.gz UUQVENASABQLDO-UHFFFAOYSA-N 0 0 261.281 2.659 20 5 CFBDRN O=C(NCCC1=CCCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000531632681 383899375 /nfs/dbraw/zinc/89/93/75/383899375.db2.gz STZCIZCNLACAFR-UHFFFAOYSA-N 0 0 275.308 2.610 20 5 CFBDRN Cn1ccc2c1cccc2NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531629056 383899729 /nfs/dbraw/zinc/89/97/29/383899729.db2.gz JSZKZCIBNVCDOL-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])cn2)c1C ZINC000531627314 383899761 /nfs/dbraw/zinc/89/97/61/383899761.db2.gz JFUKFFRAUDPFFS-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cn1)c1cccc(C)c1 ZINC000532134363 383979618 /nfs/dbraw/zinc/97/96/18/383979618.db2.gz PEJRMKPYWVIWFF-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CC[C@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532143724 383983541 /nfs/dbraw/zinc/98/35/41/383983541.db2.gz WHESAANVZLPPRJ-CQSZACIVSA-N 0 0 277.324 2.642 20 5 CFBDRN CO[C@@H]([C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000454330417 383995698 /nfs/dbraw/zinc/99/56/98/383995698.db2.gz IVYNIXALXJASTA-RNCFNFMXSA-N 0 0 293.323 2.530 20 5 CFBDRN Cc1ccccc1CN(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531882532 383937156 /nfs/dbraw/zinc/93/71/56/383937156.db2.gz VNNBNCTVUFRMKG-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN Cc1c(NC(=O)NCC[C@@H](C)F)cccc1[N+](=O)[O-] ZINC000454207966 383945653 /nfs/dbraw/zinc/94/56/53/383945653.db2.gz WNDATGGNDXHADJ-MRVPVSSYSA-N 0 0 269.276 2.773 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000439078964 384032858 /nfs/dbraw/zinc/03/28/58/384032858.db2.gz RTVZETPNDXBTQE-NWDGAFQWSA-N 0 0 294.326 2.987 20 5 CFBDRN COC(C)(C)CN(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000532933645 384083531 /nfs/dbraw/zinc/08/35/31/384083531.db2.gz HYZFRRRETJLLJD-UHFFFAOYSA-N 0 0 273.720 2.504 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(C2(CF)CCC2)n1 ZINC000574398971 384089220 /nfs/dbraw/zinc/08/92/20/384089220.db2.gz QSRJKRRKTQGGRK-UHFFFAOYSA-N 0 0 291.282 2.960 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc([N+](=O)[O-])cc2F)o1 ZINC000532807869 384072717 /nfs/dbraw/zinc/07/27/17/384072717.db2.gz ARWCFBQWXVFDPU-UHFFFAOYSA-N 0 0 278.239 2.817 20 5 CFBDRN CC[C@H](F)COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000440586968 384109578 /nfs/dbraw/zinc/10/95/78/384109578.db2.gz DIMHJQJZNACHPV-JTQLQIEISA-N 0 0 255.245 2.924 20 5 CFBDRN CC[C@@H](F)COc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000440591325 384109733 /nfs/dbraw/zinc/10/97/33/384109733.db2.gz YZZKCWZHSXNYSE-SECBINFHSA-N 0 0 271.244 2.508 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCOCC1 ZINC000289794429 285076472 /nfs/dbraw/zinc/07/64/72/285076472.db2.gz LZJDZLUVFSKQCP-UHFFFAOYSA-N 0 0 291.134 2.734 20 5 CFBDRN Cc1cc(NC(=O)NCC[C@@H](C)F)ccc1[N+](=O)[O-] ZINC000455080380 384133594 /nfs/dbraw/zinc/13/35/94/384133594.db2.gz FISBRAAHQBIXEJ-SECBINFHSA-N 0 0 269.276 2.773 20 5 CFBDRN CC[C@](C)(CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1)OC ZINC000455080418 384133688 /nfs/dbraw/zinc/13/36/88/384133688.db2.gz FVBCAJLZVYFHFO-CQSZACIVSA-N 0 0 295.339 2.840 20 5 CFBDRN CCC[C@H](NC(=O)Nc1ccncc1[N+](=O)[O-])C(C)(C)C ZINC000455048619 384123954 /nfs/dbraw/zinc/12/39/54/384123954.db2.gz VLSWGEPTKJJYNN-LBPRGKRZSA-N 0 0 294.355 2.748 20 5 CFBDRN Cc1cc(NC(=O)NC[C@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000455088839 384137869 /nfs/dbraw/zinc/13/78/69/384137869.db2.gz LMLUMBJDIWQVFU-ZYHUDNBSSA-N 0 0 293.323 2.592 20 5 CFBDRN CCC[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1C)OC ZINC000455165642 384157897 /nfs/dbraw/zinc/15/78/97/384157897.db2.gz KTFRMQKRDLXFTK-GFCCVEGCSA-N 0 0 295.339 2.840 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])sc2ncn1[C@@H]1C=CCCC1 ZINC000574809265 384149121 /nfs/dbraw/zinc/14/91/21/384149121.db2.gz KDARUNCEYPERLZ-MRVPVSSYSA-N 0 0 277.305 2.647 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@H](C)O[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000413526340 533035852 /nfs/dbraw/zinc/03/58/52/533035852.db2.gz BMYGJUASOJYPMZ-GUBZILKMSA-N 0 0 298.314 2.968 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000413528205 533036051 /nfs/dbraw/zinc/03/60/51/533036051.db2.gz GMJAQKKOESUPEP-VWYCJHECSA-N 0 0 280.324 2.829 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1N1CCC(O)CC1 ZINC000408379935 285088017 /nfs/dbraw/zinc/08/80/17/285088017.db2.gz RDHUCDCMBWQTJO-UHFFFAOYSA-N 0 0 291.134 2.863 20 5 CFBDRN COC1CCC(N(C)c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000413131324 533043720 /nfs/dbraw/zinc/04/37/20/533043720.db2.gz APLWUQFFFRAGKK-UHFFFAOYSA-N 0 0 283.303 2.523 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC[C@H]2CCCO2)c1 ZINC000570043721 322510844 /nfs/dbraw/zinc/51/08/44/322510844.db2.gz WQQNEUXLUOOHEX-SNVBAGLBSA-N 0 0 267.281 2.551 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Sc2ncc[nH]2)c(F)c1 ZINC000301794075 285094491 /nfs/dbraw/zinc/09/44/91/285094491.db2.gz PMJNGWVQZWTDRW-UHFFFAOYSA-N 0 0 257.221 2.747 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC1CCC2(CC2)CC1 ZINC000277358197 384277489 /nfs/dbraw/zinc/27/74/89/384277489.db2.gz GWIQCKHSNNKUMV-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC1CCCC1 ZINC000277295213 384259432 /nfs/dbraw/zinc/25/94/32/384259432.db2.gz SYGSNLLWDVCOHZ-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN CCOc1cccc(N[C@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC000277315823 384264686 /nfs/dbraw/zinc/26/46/86/384264686.db2.gz BPTTXWALMIQREA-MNOVXSKESA-N 0 0 280.324 2.830 20 5 CFBDRN CC(C)(C(=O)NC1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000277603476 384350397 /nfs/dbraw/zinc/35/03/97/384350397.db2.gz QEWYBKZVWXJAIZ-UHFFFAOYSA-N 0 0 298.289 2.786 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000277627607 384357761 /nfs/dbraw/zinc/35/77/61/384357761.db2.gz MRRRWHYWPUTNNA-PSASIEDQSA-N 0 0 254.261 2.571 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cc1Br)C1CCC1 ZINC000267235542 384332446 /nfs/dbraw/zinc/33/24/46/384332446.db2.gz LWPVLKRFMYSZJK-UHFFFAOYSA-N 0 0 286.129 2.741 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCn1ccnc1 ZINC000277747592 384394064 /nfs/dbraw/zinc/39/40/64/384394064.db2.gz IUWWYRWUYPOFBU-UHFFFAOYSA-N 0 0 280.715 2.865 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)CCCCO ZINC000277821819 384416453 /nfs/dbraw/zinc/41/64/53/384416453.db2.gz QMKJMNBOPZENBI-UHFFFAOYSA-N 0 0 272.732 2.765 20 5 CFBDRN CC[C@@H](C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000277710236 384382945 /nfs/dbraw/zinc/38/29/45/384382945.db2.gz NLVAEXYGUZSGBE-HZGVNTEJSA-N 0 0 294.229 2.895 20 5 CFBDRN CCC[C@@]1(C)NC(=O)N(c2ccc(C)c([N+](=O)[O-])c2)C1=O ZINC000277937045 384444090 /nfs/dbraw/zinc/44/40/90/384444090.db2.gz DRNZRWJUASHLDU-CQSZACIVSA-N 0 0 291.307 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc3c(n2)CCCC3)nc1 ZINC000278022553 384462879 /nfs/dbraw/zinc/46/28/79/384462879.db2.gz PDHALIWMRNENFM-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN CCCC[C@@H]1NC(=O)N(c2ccc(C)c([N+](=O)[O-])c2)C1=O ZINC000278082967 384471843 /nfs/dbraw/zinc/47/18/43/384471843.db2.gz OACQUFFTBBYJHO-NSHDSACASA-N 0 0 291.307 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(C2CC2)c[nH]1 ZINC000289417945 197337065 /nfs/dbraw/zinc/33/70/65/197337065.db2.gz RWDOCNCPAXRKCC-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN Cc1ccncc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347971688 220288214 /nfs/dbraw/zinc/28/82/14/220288214.db2.gz SPEHCSORONWPTG-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc([C@H]2CCCO2)no1 ZINC000278223718 384502756 /nfs/dbraw/zinc/50/27/56/384502756.db2.gz DWKNXOCJAVQMIF-SNVBAGLBSA-N 0 0 291.263 2.505 20 5 CFBDRN COC(C)(C)CC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000278245380 384507276 /nfs/dbraw/zinc/50/72/76/384507276.db2.gz LPGUMRZFMBMUAX-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN COCCSCCCOc1cc(F)ccc1[N+](=O)[O-] ZINC000278241377 384507416 /nfs/dbraw/zinc/50/74/16/384507416.db2.gz LCKMVMPCGHRRLR-UHFFFAOYSA-N 0 0 289.328 2.882 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000352290541 220301388 /nfs/dbraw/zinc/30/13/88/220301388.db2.gz RMEKVOWHGLIBDP-MRVPVSSYSA-N 0 0 266.272 2.510 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000352290239 220301459 /nfs/dbraw/zinc/30/14/59/220301459.db2.gz PJDXLRHSMAYSPD-LLVKDONJSA-N 0 0 291.351 2.559 20 5 CFBDRN COCCC1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CC1 ZINC000278186313 384494290 /nfs/dbraw/zinc/49/42/90/384494290.db2.gz OQKJQBFXCOAYHY-UHFFFAOYSA-N 0 0 295.320 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@H]2[C@H]2CCCO2)nc1 ZINC000290687088 285120278 /nfs/dbraw/zinc/12/02/78/285120278.db2.gz LZGBWCWEYNUGTC-TZMCWYRMSA-N 0 0 289.335 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3CCC2CC3)c([N+](=O)[O-])c1 ZINC000367358341 285120429 /nfs/dbraw/zinc/12/04/29/285120429.db2.gz JNQNOFXFYKCBHM-UHFFFAOYSA-N 0 0 277.280 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCO2)c2ncccc12 ZINC000276039773 285122742 /nfs/dbraw/zinc/12/27/42/285122742.db2.gz NHGDDYNVHWLMFP-UHFFFAOYSA-N 0 0 259.265 2.675 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCC(C)(C)O1 ZINC000278383347 384551848 /nfs/dbraw/zinc/55/18/48/384551848.db2.gz HWMDUFKSUISSOL-GFCCVEGCSA-N 0 0 278.308 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(C2)CCOCC3)c(F)c1 ZINC000266422522 285121712 /nfs/dbraw/zinc/12/17/12/285121712.db2.gz BAJSNMUIQZGYBH-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3cn[nH]c3)CC2)cc1 ZINC000374153525 285121725 /nfs/dbraw/zinc/12/17/25/285121725.db2.gz JZFUOWWMILPYHN-UHFFFAOYSA-N 0 0 272.308 2.702 20 5 CFBDRN C[C@H]1C[C@@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278429838 384570243 /nfs/dbraw/zinc/57/02/43/384570243.db2.gz PLQCUGMGYVSSJJ-QWRGUYRKSA-N 0 0 291.351 2.790 20 5 CFBDRN Cc1c(CN2C[C@@H](C)O[C@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000279372222 384616139 /nfs/dbraw/zinc/61/61/39/384616139.db2.gz RZNGWJKZVFBCJI-BZNIZROVSA-N 0 0 290.363 2.903 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H]3OCC[C@@H]32)cc1 ZINC000268419720 384619414 /nfs/dbraw/zinc/61/94/14/384619414.db2.gz WXWRCSAMZHQAAV-MGPQQGTHSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1nc(N2CCS[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000268427717 384619457 /nfs/dbraw/zinc/61/94/57/384619457.db2.gz AQDBYCMBGLOFAN-GFCCVEGCSA-N 0 0 281.381 2.876 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3OCCC[C@H]3C2)c(F)c1 ZINC000301707827 285130711 /nfs/dbraw/zinc/13/07/11/285130711.db2.gz GZVIFTOYNVBWSP-IINYFYTJSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1Sc1nnnn1C1CC1 ZINC000279546157 384672959 /nfs/dbraw/zinc/67/29/59/384672959.db2.gz WBHSDFUHRUTMLQ-UHFFFAOYSA-N 0 0 297.727 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(C2)OCc2ccccc23)nc1 ZINC000302232636 285131410 /nfs/dbraw/zinc/13/14/10/285131410.db2.gz CTHSJUQCFLWNRE-MRXNPFEDSA-N 0 0 297.314 2.626 20 5 CFBDRN CC(C)(C)[C@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000279476562 384648894 /nfs/dbraw/zinc/64/88/94/384648894.db2.gz WZCRMXQUJCZPFB-SNVBAGLBSA-N 0 0 298.364 2.543 20 5 CFBDRN Cc1c(NC(=O)C[C@@H]2CCC(=O)[C@H]2C)cccc1[N+](=O)[O-] ZINC000279612841 384695545 /nfs/dbraw/zinc/69/55/45/384695545.db2.gz JJBZEPWXOAKTFR-ONGXEEELSA-N 0 0 290.319 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@H]2O)c(Cl)c1 ZINC000052006039 285135977 /nfs/dbraw/zinc/13/59/77/285135977.db2.gz NETWYIVEAWSVLC-NWDGAFQWSA-N 0 0 270.716 2.964 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@@H](C)C2(C)CC2)c1[N+](=O)[O-] ZINC000269338465 384749826 /nfs/dbraw/zinc/74/98/26/384749826.db2.gz BWUDRSSSGYIWSV-VIFPVBQESA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)(C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000269416949 384755026 /nfs/dbraw/zinc/75/50/26/384755026.db2.gz KNFODVABWAMACH-LLVKDONJSA-N 0 0 252.314 2.804 20 5 CFBDRN CC(C)(C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000269416950 384755346 /nfs/dbraw/zinc/75/53/46/384755346.db2.gz KNFODVABWAMACH-NSHDSACASA-N 0 0 252.314 2.804 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCCc2ccccn2)c1 ZINC000269466273 384762396 /nfs/dbraw/zinc/76/23/96/384762396.db2.gz KLGHAKSCPWVHES-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NCC2(C)CCCC2)c1[N+](=O)[O-] ZINC000269500683 384769034 /nfs/dbraw/zinc/76/90/34/384769034.db2.gz ZBFRILXEXXOIKB-UHFFFAOYSA-N 0 0 294.355 2.751 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269608033 384802207 /nfs/dbraw/zinc/80/22/07/384802207.db2.gz DBVKTQLHOJKTLS-HQJQHLMTSA-N 0 0 274.267 2.692 20 5 CFBDRN CN(CCC1CC1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000269617582 384805869 /nfs/dbraw/zinc/80/58/69/384805869.db2.gz RLJGKYMKXUKMNS-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN Cc1c(CC(=O)N(C)[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000269640962 384815350 /nfs/dbraw/zinc/81/53/50/384815350.db2.gz RIQBGYGQQHFAEF-LLVKDONJSA-N 0 0 276.336 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCN(c3ccccn3)C2)c1 ZINC000269868538 384887689 /nfs/dbraw/zinc/88/76/89/384887689.db2.gz LTCKDHKAEHFDLK-ZDUSSCGKSA-N 0 0 298.346 2.989 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@H+]1CCC[C@@H]1C[C@H](C)O ZINC000269771786 384864448 /nfs/dbraw/zinc/86/44/48/384864448.db2.gz BJANXLHJBMLMHI-OUCADQQQSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@H](O)C[C@H]1CCC[N@@H+]1[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000269771788 384865173 /nfs/dbraw/zinc/86/51/73/384865173.db2.gz BJANXLHJBMLMHI-SGMGOOAPSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@H]1CN(c2ccccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000280136835 384899868 /nfs/dbraw/zinc/89/98/68/384899868.db2.gz BNZKYYOAFGFBFY-IINYFYTJSA-N 0 0 262.309 2.599 20 5 CFBDRN Cc1cnccc1CCNc1ncc([N+](=O)[O-])cc1C ZINC000269978897 384929410 /nfs/dbraw/zinc/92/94/10/384929410.db2.gz MRLLQGZCXGHVSE-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Sc1ncc([N+](=O)[O-])cc1Cl ZINC000269978858 384929574 /nfs/dbraw/zinc/92/95/74/384929574.db2.gz ZUPFMWJEQLRYLE-RCOVLWMOSA-N 0 0 274.729 2.913 20 5 CFBDRN CC(C)CC[C@@H](O)C[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000280236871 384940376 /nfs/dbraw/zinc/94/03/76/384940376.db2.gz HUHCUMXKYFRWEU-CQSZACIVSA-N 0 0 280.368 2.824 20 5 CFBDRN Cc1noc(CCCOc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000270076638 384968333 /nfs/dbraw/zinc/96/83/33/384968333.db2.gz KNUDWEGXLCEUIO-UHFFFAOYSA-N 0 0 277.280 2.606 20 5 CFBDRN CC(C)CC[C@@H](O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000280358081 384988988 /nfs/dbraw/zinc/98/89/88/384988988.db2.gz SVMOGDNAJLJSMI-SNVBAGLBSA-N 0 0 271.288 2.910 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CCCCO3)c2c1 ZINC000413265922 285147776 /nfs/dbraw/zinc/14/77/76/285147776.db2.gz YDKFDAJGSROVCN-UHFFFAOYSA-N 0 0 259.265 2.675 20 5 CFBDRN COC(=O)[C@H]1CCN(c2ccccc2[N+](=O)[O-])[C@H]1C(C)C ZINC000488743892 291874829 /nfs/dbraw/zinc/87/48/29/291874829.db2.gz AVXKVPHKIWLNKD-FZMZJTMJSA-N 0 0 292.335 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCC4(CCO4)CC3)ccc2c1 ZINC000370589187 285148449 /nfs/dbraw/zinc/14/84/49/285148449.db2.gz OPKOFINPPSOPOR-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN CO[C@]1(C)CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000280461711 385023231 /nfs/dbraw/zinc/02/32/31/385023231.db2.gz MDMQZBQTKOOCRX-GFCCVEGCSA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@](C)(OC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000280547120 385055568 /nfs/dbraw/zinc/05/55/68/385055568.db2.gz QEVXHHVBDXZUTK-AWEZNQCLSA-N 0 0 280.324 2.965 20 5 CFBDRN CSCCONC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000280713928 385125077 /nfs/dbraw/zinc/12/50/77/385125077.db2.gz CLHIQRPWIKJKRQ-UHFFFAOYSA-N 0 0 299.352 2.628 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000280725013 385128517 /nfs/dbraw/zinc/12/85/17/385128517.db2.gz YKNHXYCFWPDACE-BLLLJJGKSA-N 0 0 290.363 2.903 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000280734761 385133518 /nfs/dbraw/zinc/13/35/18/385133518.db2.gz NPKDOWUBVCTJML-JTQLQIEISA-N 0 0 278.308 2.636 20 5 CFBDRN Cc1nn(C)c(N[C@H]2C[C@H](c3ccccc3)C2)c1[N+](=O)[O-] ZINC000280627338 385089439 /nfs/dbraw/zinc/08/94/39/385089439.db2.gz SLYVGXPOOXVWKD-JOCQHMNTSA-N 0 0 286.335 2.995 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@H]1CCC(F)(F)C1 ZINC000280787359 385154800 /nfs/dbraw/zinc/15/48/00/385154800.db2.gz STIUFGAKHQLMSH-LLVKDONJSA-N 0 0 298.289 2.689 20 5 CFBDRN COc1ccc(NC(=O)N2CCC=C(C)C2)cc1[N+](=O)[O-] ZINC000280795379 385156486 /nfs/dbraw/zinc/15/64/86/385156486.db2.gz XSBHUOFZGAWUOX-UHFFFAOYSA-N 0 0 291.307 2.787 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cccc(C)c2[N+](=O)[O-])[C@@H](C)C1 ZINC000281998578 385206576 /nfs/dbraw/zinc/20/65/76/385206576.db2.gz XSBCEBFYPZIFAN-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1)[C@@H](O)C(F)(F)F ZINC000270768491 385218454 /nfs/dbraw/zinc/21/84/54/385218454.db2.gz GJNCVCACQAWELI-VHSXEESVSA-N 0 0 278.230 2.709 20 5 CFBDRN O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(F)F ZINC000282145397 385226456 /nfs/dbraw/zinc/22/64/56/385226456.db2.gz MCXZVFVAFPNZAX-UHFFFAOYSA-N 0 0 299.151 2.827 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000289102403 197235009 /nfs/dbraw/zinc/23/50/09/197235009.db2.gz PPOYWAFVJDLRNQ-ZDUSSCGKSA-N 0 0 299.758 2.632 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)COC1CCCC1 ZINC000282401554 385302541 /nfs/dbraw/zinc/30/25/41/385302541.db2.gz SBOVAQBFPFVIJF-UHFFFAOYSA-N 0 0 296.298 2.940 20 5 CFBDRN C[C@@H]1C[C@H](CCNc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000282279060 385268504 /nfs/dbraw/zinc/26/85/04/385268504.db2.gz UQMYORYIEDFURW-GHMZBOCLSA-N 0 0 279.340 2.794 20 5 CFBDRN CC(C)SCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000282316425 385280437 /nfs/dbraw/zinc/28/04/37/385280437.db2.gz ZBDHUHOTCZQXSN-UHFFFAOYSA-N 0 0 282.321 2.644 20 5 CFBDRN CN(C(=O)c1nn(C)cc1[N+](=O)[O-])C1CCCCCCC1 ZINC000270997742 385296445 /nfs/dbraw/zinc/29/64/45/385296445.db2.gz LEVRUDITKBRPFP-UHFFFAOYSA-N 0 0 294.355 2.513 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000282540526 385337205 /nfs/dbraw/zinc/33/72/05/385337205.db2.gz DEPMHEDZGWSNCQ-WDEREUQCSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1cc(F)c(NC(=O)C2CC=CC2)cc1[N+](=O)[O-] ZINC000282557709 385340916 /nfs/dbraw/zinc/34/09/16/385340916.db2.gz XUDRJVTWSQGUKM-UHFFFAOYSA-N 0 0 264.256 2.947 20 5 CFBDRN CCCN(CC(C)C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000282567866 385343309 /nfs/dbraw/zinc/34/33/09/385343309.db2.gz ACURJLJEATVTGJ-UHFFFAOYSA-N 0 0 297.330 2.824 20 5 CFBDRN C[C@]1(CCCO)CCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000271141963 385348156 /nfs/dbraw/zinc/34/81/56/385348156.db2.gz DSMZSOPTPZBELN-CQSZACIVSA-N 0 0 282.315 2.865 20 5 CFBDRN Cc1nccn1CCCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000271200730 385373612 /nfs/dbraw/zinc/37/36/12/385373612.db2.gz IYOCHTDCDOQIEF-UHFFFAOYSA-N 0 0 279.271 2.708 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000282739312 385379968 /nfs/dbraw/zinc/37/99/68/385379968.db2.gz TZDOGGMDVUPOEX-WGOFWRHNSA-N 0 0 291.303 2.719 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000282764608 385384690 /nfs/dbraw/zinc/38/46/90/385384690.db2.gz VQCWDOWVFZDWKD-ZJUUUORDSA-N 0 0 299.710 2.973 20 5 CFBDRN CCSCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000282816494 385393232 /nfs/dbraw/zinc/39/32/32/385393232.db2.gz LJHDPYNGOPLOEN-UHFFFAOYSA-N 0 0 283.353 2.860 20 5 CFBDRN Cc1cc(OC[C@H](O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000282901037 385408040 /nfs/dbraw/zinc/40/80/40/385408040.db2.gz DZPARDXABOQOQR-LBPRGKRZSA-N 0 0 253.298 2.689 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000289004828 197211664 /nfs/dbraw/zinc/21/16/64/197211664.db2.gz TXNOWACLWOKHBG-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCCO1 ZINC000271967192 385413654 /nfs/dbraw/zinc/41/36/54/385413654.db2.gz HINDSAJSWILGPO-GWCFXTLKSA-N 0 0 293.323 2.674 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000283130729 385439181 /nfs/dbraw/zinc/43/91/81/385439181.db2.gz NLWKDJKLJVDZIA-VIFPVBQESA-N 0 0 295.314 2.578 20 5 CFBDRN CC(C)CNC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272089528 385445458 /nfs/dbraw/zinc/44/54/58/385445458.db2.gz IGCBFZRFHZVITB-UHFFFAOYSA-N 0 0 299.758 2.746 20 5 CFBDRN C[C@@H](CF)NC(=O)/C=C/c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288969378 197203497 /nfs/dbraw/zinc/20/34/97/197203497.db2.gz LUWMYQBCRRLTES-WGAJWPLOSA-N 0 0 286.690 2.736 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000272185739 385468815 /nfs/dbraw/zinc/46/88/15/385468815.db2.gz GNIGGWJSQFJBDB-GHMZBOCLSA-N 0 0 278.308 2.657 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC(C)(C)SC ZINC000288912364 197190918 /nfs/dbraw/zinc/19/09/18/197190918.db2.gz OTCAWOFUGZHWAS-UHFFFAOYSA-N 0 0 272.374 2.673 20 5 CFBDRN CC(C)CC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283603601 385479560 /nfs/dbraw/zinc/47/95/60/385479560.db2.gz MSRYNQMSDHYVOX-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN CCCc1nc(CNc2c(C)c([N+](=O)[O-])ccc2F)no1 ZINC000283407775 385463664 /nfs/dbraw/zinc/46/36/64/385463664.db2.gz FVXRMFQEYDKRGH-UHFFFAOYSA-N 0 0 294.286 2.990 20 5 CFBDRN Cc1cc(NC(=O)CC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000272261215 385491579 /nfs/dbraw/zinc/49/15/79/385491579.db2.gz LTGGBEYVCOFUAW-LBPRGKRZSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1ccoc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283721652 385494037 /nfs/dbraw/zinc/49/40/37/385494037.db2.gz YCHHXIOAZIVLJK-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN C[C@H](CNc1cc(Cl)ccc1[N+](=O)[O-])c1nncn1C ZINC000283950705 385517565 /nfs/dbraw/zinc/51/75/65/385517565.db2.gz YHTFXGBIHOSENS-MRVPVSSYSA-N 0 0 295.730 2.592 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@@H]2C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000283739966 385495127 /nfs/dbraw/zinc/49/51/27/385495127.db2.gz IZSSSQKSEFMBOW-ZYHUDNBSSA-N 0 0 292.335 2.537 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@H]1C[C@@H](C)O ZINC000283778835 385498726 /nfs/dbraw/zinc/49/87/26/385498726.db2.gz PZTVXFBDZOGSAR-PWSUYJOCSA-N 0 0 292.335 2.537 20 5 CFBDRN CC(=O)c1cc(N2C[C@@H]3[C@H](C2)C3(C)C)ccc1[N+](=O)[O-] ZINC000283780496 385499014 /nfs/dbraw/zinc/49/90/14/385499014.db2.gz QEUPEMZXEDAZSQ-BETUJISGSA-N 0 0 274.320 2.890 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3c(F)ccc(F)c3C2)s1 ZINC000281761886 285178416 /nfs/dbraw/zinc/17/84/16/285178416.db2.gz COTGSSNPMPJJOS-UHFFFAOYSA-N 0 0 297.286 2.892 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3sccc3C2)s1 ZINC000190312075 285178700 /nfs/dbraw/zinc/17/87/00/285178700.db2.gz YIPURNQOLAJZEU-UHFFFAOYSA-N 0 0 267.335 2.676 20 5 CFBDRN Cc1cc(S[C@H]2CCCOC2)ncc1[N+](=O)[O-] ZINC000272451657 385540547 /nfs/dbraw/zinc/54/05/47/385540547.db2.gz JVZWTCDQFCDPAH-VIFPVBQESA-N 0 0 254.311 2.569 20 5 CFBDRN CCOCC(C)(C)CNc1ncc([N+](=O)[O-])cc1C ZINC000288867085 197182076 /nfs/dbraw/zinc/18/20/76/197182076.db2.gz LAGSQNUFUWJBIC-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272382964 385524809 /nfs/dbraw/zinc/52/48/09/385524809.db2.gz BTTYDCINBHLJBT-QWRGUYRKSA-N 0 0 294.351 2.902 20 5 CFBDRN COc1ccc(CN2CCC=C(F)C2)cc1[N+](=O)[O-] ZINC000272390244 385525620 /nfs/dbraw/zinc/52/56/20/385525620.db2.gz CQJTWSOVXOXSDH-UHFFFAOYSA-N 0 0 266.272 2.663 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ccc(F)c(F)c3C2)s1 ZINC000337013028 285178007 /nfs/dbraw/zinc/17/80/07/285178007.db2.gz IPZJEAJOBHNVHY-UHFFFAOYSA-N 0 0 297.286 2.892 20 5 CFBDRN O=C(N1CCCCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272484020 385550352 /nfs/dbraw/zinc/55/03/52/385550352.db2.gz HBCKACBFNCWGBF-UHFFFAOYSA-N 0 0 284.262 2.699 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2cc3n(n2)CCCC3)c(Cl)c1 ZINC000286242800 385576553 /nfs/dbraw/zinc/57/65/53/385576553.db2.gz DAQTYQVBJXPACL-UHFFFAOYSA-N 0 0 293.714 2.920 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCOc3ccccc32)s1 ZINC000189685664 285183049 /nfs/dbraw/zinc/18/30/49/285183049.db2.gz JMGWUWKTLHUUDR-VIFPVBQESA-N 0 0 277.305 2.987 20 5 CFBDRN Cc1ccnc(N2CC[C@H](C(C)(C)C)[C@@H](O)C2)c1[N+](=O)[O-] ZINC000272589707 385570815 /nfs/dbraw/zinc/57/08/15/385570815.db2.gz WHAXCEXEHQPRKM-RYUDHWBXSA-N 0 0 293.367 2.532 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288754490 197159245 /nfs/dbraw/zinc/15/92/45/197159245.db2.gz IJYQTDOHFFIBAL-RISCZKNCSA-N 0 0 277.324 2.635 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)O1 ZINC000272625276 385578276 /nfs/dbraw/zinc/57/82/76/385578276.db2.gz APLCXVFKEHGDOZ-TZMCWYRMSA-N 0 0 293.319 2.904 20 5 CFBDRN Cc1cc(COC(=O)[C@@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000272643932 385582031 /nfs/dbraw/zinc/58/20/31/385582031.db2.gz CYSBCDBFGDUJIY-CQSZACIVSA-N 0 0 279.292 2.516 20 5 CFBDRN Cc1cc(COC(=O)[C@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000272643928 385582134 /nfs/dbraw/zinc/58/21/34/385582134.db2.gz CYSBCDBFGDUJIY-AWEZNQCLSA-N 0 0 279.292 2.516 20 5 CFBDRN COc1ccc(NC(=O)N2C[C@H](C)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000272760093 385605679 /nfs/dbraw/zinc/60/56/79/385605679.db2.gz AFCYVMSYPSDOBV-UWVGGRQHSA-N 0 0 293.323 2.723 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1C[C@@H](F)[C@@H](F)C1 ZINC000295038895 285186719 /nfs/dbraw/zinc/18/67/19/285186719.db2.gz SORNWFJXXPULIV-AOOOYVTPSA-N 0 0 279.246 2.639 20 5 CFBDRN COc1ccc(NC(=O)CC(C)(C)C)cc1[N+](=O)[O-] ZINC000272785958 385614345 /nfs/dbraw/zinc/61/43/45/385614345.db2.gz ILSSNQJCMZHDGE-UHFFFAOYSA-N 0 0 266.297 2.978 20 5 CFBDRN CC(=O)c1cc(NCC2(O)CCCCC2)ccc1[N+](=O)[O-] ZINC000286445827 385621997 /nfs/dbraw/zinc/62/19/97/385621997.db2.gz WYZKELXZKMFYRH-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCCOC2CCC2)c1 ZINC000288735306 197154499 /nfs/dbraw/zinc/15/44/99/197154499.db2.gz OFQMNQAHWFSCKG-UHFFFAOYSA-N 0 0 254.261 2.715 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1CCC[C@@H](C2CC2)C1 ZINC000288734262 197154213 /nfs/dbraw/zinc/15/42/13/197154213.db2.gz FVUMEYNWTYELRV-LLVKDONJSA-N 0 0 290.323 2.644 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCC1(C)C ZINC000286475319 385630058 /nfs/dbraw/zinc/63/00/58/385630058.db2.gz QKLNGOGQIDBLNA-SECBINFHSA-N 0 0 252.318 2.720 20 5 CFBDRN COc1ccc(NC(=O)COC2CCCC2)cc1[N+](=O)[O-] ZINC000272838540 385630128 /nfs/dbraw/zinc/63/01/28/385630128.db2.gz SKIHIYMKIUPQGO-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN COC[C@@H]1CC[N@H+](Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000272852489 385632808 /nfs/dbraw/zinc/63/28/08/385632808.db2.gz VSSAFIHERGPBQL-SNVBAGLBSA-N 0 0 284.743 2.717 20 5 CFBDRN CCCSCC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272863574 385635828 /nfs/dbraw/zinc/63/58/28/385635828.db2.gz YBFDJOPSZDYGSF-UHFFFAOYSA-N 0 0 284.337 2.685 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC(=O)CC(C)(C)C ZINC000286390007 385606970 /nfs/dbraw/zinc/60/69/70/385606970.db2.gz BPIOWVSGXQQAFH-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN O=C(N[C@@H](C1CC1)C(F)(F)F)c1csc([N+](=O)[O-])c1 ZINC000288724759 197152348 /nfs/dbraw/zinc/15/23/48/197152348.db2.gz YNGFEZHUOKNEMT-QMMMGPOBSA-N 0 0 294.254 2.727 20 5 CFBDRN CC(C)CN(C(=O)c1n[nH]cc1[N+](=O)[O-])c1ccccc1 ZINC000286511500 385638559 /nfs/dbraw/zinc/63/85/59/385638559.db2.gz JDSLMITYWIGGGY-UHFFFAOYSA-N 0 0 288.307 2.621 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000286596758 385660256 /nfs/dbraw/zinc/66/02/56/385660256.db2.gz ATQPPJOXRBVIOD-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN CCc1ccc([C@H](O)CNc2ncc([N+](=O)[O-])s2)cc1 ZINC000286639627 385674228 /nfs/dbraw/zinc/67/42/28/385674228.db2.gz SYINDWGHCGOORR-LLVKDONJSA-N 0 0 293.348 2.759 20 5 CFBDRN CC(C)(CCCO)CNc1c(F)cccc1[N+](=O)[O-] ZINC000286647067 385675501 /nfs/dbraw/zinc/67/55/01/385675501.db2.gz XNNGKPHJEXAXBX-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](C(C)(C)C)[C@@H](O)C2)n1 ZINC000273325373 385677424 /nfs/dbraw/zinc/67/74/24/385677424.db2.gz QEQXQSLLBOPVCB-YPMHNXCESA-N 0 0 293.367 2.532 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000286692781 385687922 /nfs/dbraw/zinc/68/79/22/385687922.db2.gz GAHTTYAKUQRLHH-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN CC(C)(C)CCc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000274063846 385711542 /nfs/dbraw/zinc/71/15/42/385711542.db2.gz WDARPUKKFUXMMD-UHFFFAOYSA-N 0 0 290.323 2.942 20 5 CFBDRN CCC(=O)c1ccc(NCCC2(O)CCC2)c([N+](=O)[O-])c1 ZINC000286802274 385713361 /nfs/dbraw/zinc/71/33/61/385713361.db2.gz VDFAEHCXJUMXJZ-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN C[C@@H](NC(=O)N1CCC=C(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000274080527 385715980 /nfs/dbraw/zinc/71/59/80/385715980.db2.gz GZRSZJROLSASFS-SNVBAGLBSA-N 0 0 293.298 2.925 20 5 CFBDRN C[C@H](CN(C)c1ccc(N)cc1[N+](=O)[O-])c1nccs1 ZINC000287041752 385767883 /nfs/dbraw/zinc/76/78/83/385767883.db2.gz WNAHFBFKHXRKTH-SECBINFHSA-N 0 0 292.364 2.873 20 5 CFBDRN CCC[C@@H](C)Cc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000274112821 385721464 /nfs/dbraw/zinc/72/14/64/385721464.db2.gz ZITTZUIIXQXVPY-SNVBAGLBSA-N 0 0 290.323 2.942 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H](CCO)c1ccco1 ZINC000274439341 385798479 /nfs/dbraw/zinc/79/84/79/385798479.db2.gz UFEPAFVZYIMRGR-SECBINFHSA-N 0 0 297.698 2.772 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc(C)cc1[N+](=O)[O-] ZINC000274535640 385819825 /nfs/dbraw/zinc/81/98/25/385819825.db2.gz ZQJLTAJFHUIBNR-UFFNRZRYSA-N 0 0 250.298 2.642 20 5 CFBDRN C[C@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000288578075 197118021 /nfs/dbraw/zinc/11/80/21/197118021.db2.gz KMTJULLFGAFAGI-UWVGGRQHSA-N 0 0 294.355 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC3(CC3)C2)c([N+](=O)[O-])c1 ZINC000274421774 385793266 /nfs/dbraw/zinc/79/32/66/385793266.db2.gz CFXFTHGNCOVGRQ-UHFFFAOYSA-N 0 0 277.280 2.883 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCC[C@H]2CCO)c([N+](=O)[O-])c1 ZINC000274972387 385904759 /nfs/dbraw/zinc/90/47/59/385904759.db2.gz ABAQANKJQRDGJU-AAEUAGOBSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000274979459 385905891 /nfs/dbraw/zinc/90/58/91/385905891.db2.gz BNEDEUHEDDQFRW-AAEUAGOBSA-N 0 0 264.325 2.866 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCC[C@H]1CCO ZINC000275007188 385911688 /nfs/dbraw/zinc/91/16/88/385911688.db2.gz IIIMQFCKVZDIIO-WPRPVWTQSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCC[C@H]2CCO)c(F)c1 ZINC000275040176 385918876 /nfs/dbraw/zinc/91/88/76/385918876.db2.gz QFXCANZOAUECNN-UFBFGSQYSA-N 0 0 286.278 2.836 20 5 CFBDRN COc1cccc(NC[C@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000274753602 385864269 /nfs/dbraw/zinc/86/42/69/385864269.db2.gz QAPJDXMDABTYPJ-QWRGUYRKSA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)CCC1=O ZINC000275405143 385977024 /nfs/dbraw/zinc/97/70/24/385977024.db2.gz RTUBQYNEIRQTBY-SNVBAGLBSA-N 0 0 285.303 2.558 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2[nH]nc(C)c2[N+](=O)[O-])CC1 ZINC000275259159 385966497 /nfs/dbraw/zinc/96/64/97/385966497.db2.gz JNIKBIWJMBGGDD-UHFFFAOYSA-N 0 0 294.355 2.669 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000288519618 197100960 /nfs/dbraw/zinc/10/09/60/197100960.db2.gz RFAYOKCDSNFORL-LBPRGKRZSA-N 0 0 278.308 2.778 20 5 CFBDRN CO[C@@H]1CCCN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000276673832 386011372 /nfs/dbraw/zinc/01/13/72/386011372.db2.gz TZTKBEQILFQMBZ-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCOC[C@@H]1C1CC1 ZINC000276716601 386023483 /nfs/dbraw/zinc/02/34/83/386023483.db2.gz ZAODDEHTKWDQMJ-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN CC(C)[C@H](Nc1nc2sccn2c1[N+](=O)[O-])C(C)(C)O ZINC000276770985 386040052 /nfs/dbraw/zinc/04/00/52/386040052.db2.gz NFYJTERSJMEAOC-QMMMGPOBSA-N 0 0 298.368 2.511 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCc2cc(Cl)ccc2C1 ZINC000276808065 386049463 /nfs/dbraw/zinc/04/94/63/386049463.db2.gz RTTNKHOWCURCDK-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN CC[C@@H](C)N(CCO)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000288481337 197089875 /nfs/dbraw/zinc/08/98/75/197089875.db2.gz LGGUNBLRTKVKRR-LLVKDONJSA-N 0 0 289.335 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1CC(F)(F)C1 ZINC000277052659 386116598 /nfs/dbraw/zinc/11/65/98/386116598.db2.gz CMURAUVTQHLEEI-UHFFFAOYSA-N 0 0 285.250 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1SC[C@H]1CCCO1 ZINC000276870394 386065542 /nfs/dbraw/zinc/06/55/42/386065542.db2.gz HLFMERSQJOCVOF-SNVBAGLBSA-N 0 0 254.311 2.569 20 5 CFBDRN O=[N+]([O-])c1cnc(SC[C@H]2CCCO2)c(Cl)c1 ZINC000276919157 386080938 /nfs/dbraw/zinc/08/09/38/386080938.db2.gz OUQWESGOWWFRTI-MRVPVSSYSA-N 0 0 274.729 2.914 20 5 CFBDRN CCOc1cc(CS[C@H](C)C(=O)OC)ccc1[N+](=O)[O-] ZINC000276942726 386086328 /nfs/dbraw/zinc/08/63/28/386086328.db2.gz SJWYCHUAHFICJE-SECBINFHSA-N 0 0 299.348 2.788 20 5 CFBDRN CCOC1CC(N(C)c2cccc(F)c2[N+](=O)[O-])C1 ZINC000288437530 197077380 /nfs/dbraw/zinc/07/73/80/197077380.db2.gz IHIUBHQOGLRANS-UHFFFAOYSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1cc(NC[C@H]2CCCSC2)ncc1[N+](=O)[O-] ZINC000288427812 197075469 /nfs/dbraw/zinc/07/54/69/197075469.db2.gz HSCQFRYMIXALDN-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCSC2)s1 ZINC000288415548 197071979 /nfs/dbraw/zinc/07/19/79/197071979.db2.gz GVKLAYOQMXTGFH-ZETCQYMHSA-N 0 0 259.356 2.606 20 5 CFBDRN Cc1nc(NCc2noc3c2CCCC3)ccc1[N+](=O)[O-] ZINC000288345345 197055581 /nfs/dbraw/zinc/05/55/81/197055581.db2.gz WMRRIYXIOGUZHD-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000288336905 197053915 /nfs/dbraw/zinc/05/39/15/197053915.db2.gz JRZBIOVUQUOAMZ-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](C3CC3)C2)s1 ZINC000288297730 197046416 /nfs/dbraw/zinc/04/64/16/197046416.db2.gz SGIYAADOIXVREQ-VIFPVBQESA-N 0 0 253.327 2.678 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])C1(C)C ZINC000288177610 197020866 /nfs/dbraw/zinc/02/08/66/197020866.db2.gz BHHYTUZWQGIQCX-GXTWGEPZSA-N 0 0 279.340 2.793 20 5 CFBDRN CC(C)c1nncn1CCNc1c(F)cccc1[N+](=O)[O-] ZINC000288179915 197020223 /nfs/dbraw/zinc/02/02/23/197020223.db2.gz BKUYINBNTBCXHI-UHFFFAOYSA-N 0 0 293.302 2.561 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000288141409 197013426 /nfs/dbraw/zinc/01/34/26/197013426.db2.gz ALLOBZVFCQLTJI-AWEZNQCLSA-N 0 0 282.315 2.723 20 5 CFBDRN CCC[C@@]1(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCOC1 ZINC000288025426 196989845 /nfs/dbraw/zinc/98/98/45/196989845.db2.gz WRFILALJJDJTHJ-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN CCN(C)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000287681199 196917043 /nfs/dbraw/zinc/91/70/43/196917043.db2.gz DSPWEDGJBBGBNU-UHFFFAOYSA-N 0 0 277.324 2.631 20 5 CFBDRN CN(C(=O)CC1CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000281295522 195185705 /nfs/dbraw/zinc/18/57/05/195185705.db2.gz YJACYAPHYMOXLN-UHFFFAOYSA-N 0 0 263.297 2.533 20 5 CFBDRN Cc1cc(N2CC3(CCC3(F)F)C2)ncc1[N+](=O)[O-] ZINC000357577696 291930624 /nfs/dbraw/zinc/93/06/24/291930624.db2.gz GTVRKVFMBMQQQR-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN CCc1cnc(CNc2ccc([N+](=O)[O-])cc2COC)o1 ZINC000281359595 195210478 /nfs/dbraw/zinc/21/04/78/195210478.db2.gz CXUBPWRRFLHMRY-UHFFFAOYSA-N 0 0 291.307 2.904 20 5 CFBDRN CC[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCCO1 ZINC000281453558 195247237 /nfs/dbraw/zinc/24/72/37/195247237.db2.gz UTLFXINXCRDLQR-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN CC[C@@H](C)N(CCO)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000286881195 196725727 /nfs/dbraw/zinc/72/57/27/196725727.db2.gz IGNUEFAIGOKORR-LLVKDONJSA-N 0 0 295.339 2.528 20 5 CFBDRN Nc1ccc(NCC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000286700143 196682012 /nfs/dbraw/zinc/68/20/12/196682012.db2.gz DDNZRFMQWBRHPL-LLVKDONJSA-N 0 0 265.313 2.548 20 5 CFBDRN C[C@H](CO)SCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000080617188 177680244 /nfs/dbraw/zinc/68/02/44/177680244.db2.gz ZPKUJEYQEFABJT-SSDOTTSWSA-N 0 0 261.730 2.862 20 5 CFBDRN Cc1cc(NCC2COC2)nc2ccc([N+](=O)[O-])cc12 ZINC001154634386 781993652 /nfs/dbraw/zinc/99/36/52/781993652.db2.gz QCGOWCMDNJWHOS-UHFFFAOYSA-N 0 0 273.292 2.510 20 5 CFBDRN COC(=O)[C@@H](C)CSc1cc(C)ccc1[N+](=O)[O-] ZINC000094659263 184836503 /nfs/dbraw/zinc/83/65/03/184836503.db2.gz UTNRGRBKEKODPK-VIFPVBQESA-N 0 0 269.322 2.804 20 5 CFBDRN C[S@@](=O)C1(CNc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000281750272 195363588 /nfs/dbraw/zinc/36/35/88/195363588.db2.gz QZAWQPUDRIOCJD-GOSISDBHSA-N 0 0 288.756 2.571 20 5 CFBDRN CSCC(C)(C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000281761950 195370959 /nfs/dbraw/zinc/37/09/59/195370959.db2.gz CQOBODYTMJNCOP-UHFFFAOYSA-N 0 0 294.376 2.873 20 5 CFBDRN CCCN(C(=O)c1ccn(C)c1)c1cccc([N+](=O)[O-])c1 ZINC000281646165 195321013 /nfs/dbraw/zinc/32/10/13/195321013.db2.gz CBZYUAOHTHKTEI-UHFFFAOYSA-N 0 0 287.319 2.990 20 5 CFBDRN CCCNC(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000281927074 195437276 /nfs/dbraw/zinc/43/72/76/195437276.db2.gz KGDGKHIIUATYGB-UHFFFAOYSA-N 0 0 267.285 2.525 20 5 CFBDRN Cc1cn(Cc2ccc(C(F)(F)F)cn2)nc1[N+](=O)[O-] ZINC000282184730 195531048 /nfs/dbraw/zinc/53/10/48/195531048.db2.gz GPPNDCGPGMURCO-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN CC(C)[C@@H]1C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000282319959 195573484 /nfs/dbraw/zinc/57/34/84/195573484.db2.gz ZMHWSTXBABERCT-RISCZKNCSA-N 0 0 279.340 2.793 20 5 CFBDRN O=C(CCNc1ncc([N+](=O)[O-])s1)OC1CCCCC1 ZINC000282343543 195581332 /nfs/dbraw/zinc/58/13/32/195581332.db2.gz WDMHKXDKJCZPMW-UHFFFAOYSA-N 0 0 299.352 2.729 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H]2CC[C@@H](OC)C2)cc1[N+](=O)[O-] ZINC000282732156 195693056 /nfs/dbraw/zinc/69/30/56/195693056.db2.gz SMXJNLYTFMNDMI-CHWSQXEVSA-N 0 0 293.319 2.882 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283902075 195940237 /nfs/dbraw/zinc/94/02/37/195940237.db2.gz JDJYVTCKVIXJAJ-DTWKUNHWSA-N 0 0 295.314 2.577 20 5 CFBDRN COc1ncccc1COc1ccc([N+](=O)[O-])cc1F ZINC000176256932 533262970 /nfs/dbraw/zinc/26/29/70/533262970.db2.gz HXARCADPUKFXIH-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN COc1cccc(CCNc2nc(C)ccc2[N+](=O)[O-])c1 ZINC000178173740 533468358 /nfs/dbraw/zinc/46/83/58/533468358.db2.gz ORFPCQPDEXMUTH-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN C[C@@H](CCCO)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413326257 533561585 /nfs/dbraw/zinc/56/15/85/533561585.db2.gz LBXZOZGGDWPBKQ-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1ccsc1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341771068 130008221 /nfs/dbraw/zinc/00/82/21/130008221.db2.gz GICIXPOKEWCFGC-UHFFFAOYSA-N 0 0 291.332 2.676 20 5 CFBDRN Cc1cnc(NC[C@@]2(C)CCO[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000342013395 130197985 /nfs/dbraw/zinc/19/79/85/130197985.db2.gz DLOMCDJQPWIQMY-UKRRQHHQSA-N 0 0 291.351 2.915 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000355343657 130284536 /nfs/dbraw/zinc/28/45/36/130284536.db2.gz GLLBVFBMSXLXSA-YPMHNXCESA-N 0 0 276.336 2.642 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CC(F)F)c2)c1 ZINC000355463794 130307548 /nfs/dbraw/zinc/30/75/48/130307548.db2.gz GPEXYZGXFSERIG-UHFFFAOYSA-N 0 0 253.208 2.723 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000355955628 130359356 /nfs/dbraw/zinc/35/93/56/130359356.db2.gz NLHNGLKCVMLCBD-MNOVXSKESA-N 0 0 293.323 2.531 20 5 CFBDRN COCC1(c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)CC1 ZINC000355993872 130365783 /nfs/dbraw/zinc/36/57/83/130365783.db2.gz YCJZLIVIICLFKO-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN COc1cc(Cl)ccc1Cn1cc([N+](=O)[O-])cn1 ZINC000356057333 130371972 /nfs/dbraw/zinc/37/19/72/130371972.db2.gz JKHQHZFNRJFUCB-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000357171898 130408210 /nfs/dbraw/zinc/40/82/10/130408210.db2.gz QXSJQXGSOKEYFI-PELKAZGASA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1ccc2ccccc2c1Cn1ncc([N+](=O)[O-])c1N ZINC000359440013 130514877 /nfs/dbraw/zinc/51/48/77/130514877.db2.gz QOTVTYUJXSWDMV-UHFFFAOYSA-N 0 0 282.303 2.883 20 5 CFBDRN Cn1cc(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)nn1 ZINC000359466079 130516018 /nfs/dbraw/zinc/51/60/18/130516018.db2.gz IXBPGLBFJZXTRJ-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN CNc1cccnc1CNc1ccc([N+](=O)[O-])cc1F ZINC000359845100 130551949 /nfs/dbraw/zinc/55/19/49/130551949.db2.gz LNLYUJZCJSGTEO-UHFFFAOYSA-N 0 0 276.271 2.783 20 5 CFBDRN C[C@H]1CCC[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000359990125 130571945 /nfs/dbraw/zinc/57/19/45/130571945.db2.gz CFCKOPXCODFMAO-RYUDHWBXSA-N 0 0 293.367 2.880 20 5 CFBDRN C[C@H](O)[C@H](C)Sc1c(F)cc([N+](=O)[O-])cc1F ZINC000227891124 130856134 /nfs/dbraw/zinc/85/61/34/130856134.db2.gz GIWXJXDGABNTPG-WDSKDSINSA-N 0 0 263.265 2.734 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H](C)C1 ZINC000299686144 291995187 /nfs/dbraw/zinc/99/51/87/291995187.db2.gz XXEUMWOYLDXGKH-JTQLQIEISA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)[C@@H](C)C1 ZINC000267654675 291996028 /nfs/dbraw/zinc/99/60/28/291996028.db2.gz MMOPQVVZDOBPKK-QWRGUYRKSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCS[C@@H](C)CC1 ZINC000276874816 291998320 /nfs/dbraw/zinc/99/83/20/291998320.db2.gz ICWHWCQNOZDSPN-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN C[C@@H]1OCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])[C@H]1C ZINC000269056328 132105513 /nfs/dbraw/zinc/10/55/13/132105513.db2.gz SDZWFJQFPKEHES-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1nc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)c(C)s1 ZINC000179238344 393458525 /nfs/dbraw/zinc/45/85/25/393458525.db2.gz ZSYRNOPAWYLDKA-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN Cc1cccc(C(=O)N2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000006524440 393463919 /nfs/dbraw/zinc/46/39/19/393463919.db2.gz PVDNJOSWFWIDMH-NSHDSACASA-N 0 0 262.309 2.918 20 5 CFBDRN CNc1ccc(C(=O)NCc2ccccc2C)cc1[N+](=O)[O-] ZINC000007106768 393465585 /nfs/dbraw/zinc/46/55/85/393465585.db2.gz NPKWPAQXNVRZKB-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Cc1ccc(NC(=O)/C=C/c2cncc(O)c2)cc1[N+](=O)[O-] ZINC000179381524 393466375 /nfs/dbraw/zinc/46/63/75/393466375.db2.gz WYYBKAAGDHMRPQ-HWKANZROSA-N 0 0 299.286 2.656 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCCc2ccccc21 ZINC000008317321 393467358 /nfs/dbraw/zinc/46/73/58/393467358.db2.gz KTRMBAUIURNEEK-GFCCVEGCSA-N 0 0 272.308 2.818 20 5 CFBDRN CC1(C)[C@@H]2CN(c3ccc([N+](=O)[O-])cc3F)C[C@@H]21 ZINC000301471512 393472596 /nfs/dbraw/zinc/47/25/96/393472596.db2.gz TXPXDQIMKJTWPK-AOOOYVTPSA-N 0 0 250.273 2.826 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H]2OCCC[C@H]2C1 ZINC000301699516 393476025 /nfs/dbraw/zinc/47/60/25/393476025.db2.gz CSPLFNTXGUGDIE-WFASDCNBSA-N 0 0 276.336 2.909 20 5 CFBDRN CCOC1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 ZINC000040689533 393541911 /nfs/dbraw/zinc/54/19/11/393541911.db2.gz VPUJQXFGJXTCQU-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC[C@@H]1CN(c2sccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000302013513 393484844 /nfs/dbraw/zinc/48/48/44/393484844.db2.gz KWUGHZGYSBDWHP-RKDXNWHRSA-N 0 0 256.327 2.660 20 5 CFBDRN CC(C)[N@@H+]1CC[C@@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000301990455 393484991 /nfs/dbraw/zinc/48/49/91/393484991.db2.gz MQQOPLDZRWLVCW-SECBINFHSA-N 0 0 255.343 2.551 20 5 CFBDRN Cc1ccc([C@@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)cc1 ZINC000302229176 393490818 /nfs/dbraw/zinc/49/08/18/393490818.db2.gz RFPSQULQPJTEBN-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C[C@H](C)O1 ZINC000302871478 393495623 /nfs/dbraw/zinc/49/56/23/393495623.db2.gz BZCAKFZEPJPTRB-JGPRNRPPSA-N 0 0 291.351 2.931 20 5 CFBDRN O=C(NCc1ccc(Cl)cn1)c1ccc([N+](=O)[O-])s1 ZINC000181622538 393498208 /nfs/dbraw/zinc/49/82/08/393498208.db2.gz VWRFPOIYSNWSAN-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1c(Br)cncc1[N+](=O)[O-] ZINC000309210495 393516193 /nfs/dbraw/zinc/51/61/93/393516193.db2.gz NQZSPIZKXAAYRH-POYBYMJQSA-N 0 0 286.129 2.963 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@]2(C1)CCCOC2 ZINC000376479206 393519574 /nfs/dbraw/zinc/51/95/74/393519574.db2.gz XBTCPYGYYZYEMZ-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1cc(N(C)[C@@H]2CCCC[C@@H]2O)c(F)cc1[N+](=O)[O-] ZINC000313129913 393522205 /nfs/dbraw/zinc/52/22/05/393522205.db2.gz QDKROBBYVOESSI-RISCZKNCSA-N 0 0 282.315 2.782 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000185459371 393534257 /nfs/dbraw/zinc/53/42/57/393534257.db2.gz PLGYBRXBACTIRW-SCZZXKLOSA-N 0 0 271.276 2.738 20 5 CFBDRN CCCC[C@@H](NCc1ccc([N+](=O)[O-])cc1C)C(=O)OC ZINC000187388847 393554006 /nfs/dbraw/zinc/55/40/06/393554006.db2.gz HAYRQENAFFDSES-CQSZACIVSA-N 0 0 294.351 2.725 20 5 CFBDRN Cc1cccc(N2C[C@H]3CCC[C@@H](C2)C3O)c1[N+](=O)[O-] ZINC000188379681 393564790 /nfs/dbraw/zinc/56/47/90/393564790.db2.gz VFUOFKQVXDLATP-ODOQXGPZSA-N 0 0 276.336 2.500 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000374423151 296496023 /nfs/dbraw/zinc/49/60/23/296496023.db2.gz UZHMGDORMROETC-MNOVXSKESA-N 0 0 280.299 2.597 20 5 CFBDRN C[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)CCS1 ZINC000192177091 393615007 /nfs/dbraw/zinc/61/50/07/393615007.db2.gz PHJNTXOITLBNAR-SECBINFHSA-N 0 0 272.395 2.984 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000051732301 393617475 /nfs/dbraw/zinc/61/74/75/393617475.db2.gz VPWHTVZGFNQVQM-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN CCCCC[C@H]1NC(=O)N(c2cccc([N+](=O)[O-])c2)C1=O ZINC000192403031 393623437 /nfs/dbraw/zinc/62/34/37/393623437.db2.gz ICKULKXBMPFVJR-GFCCVEGCSA-N 0 0 291.307 2.600 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Nc1cnn(C(C)(C)C)c1 ZINC000192541516 393625851 /nfs/dbraw/zinc/62/58/51/393625851.db2.gz AKRZEEHHAMQWGP-UHFFFAOYSA-N 0 0 292.343 2.815 20 5 CFBDRN CCO[C@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000192705140 393630049 /nfs/dbraw/zinc/63/00/49/393630049.db2.gz UMDBOYLIKXTGFJ-MFKMUULPSA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CCCC[C@H]1C ZINC000192823316 393634009 /nfs/dbraw/zinc/63/40/09/393634009.db2.gz ZWSQGZFOQHLSMV-QMTHXVAHSA-N 0 0 291.351 2.949 20 5 CFBDRN C[C@H]1CCC[C@@H]1Nc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000071208269 393640098 /nfs/dbraw/zinc/64/00/98/393640098.db2.gz FMPMJLSQBORIFQ-IUCAKERBSA-N 0 0 291.307 2.526 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CCC[C@H](C)C1 ZINC000193287398 393648168 /nfs/dbraw/zinc/64/81/68/393648168.db2.gz FEBULYBLWUWQPS-CMPLNLGQSA-N 0 0 291.351 2.949 20 5 CFBDRN Cn1nccc1CSc1ccc([N+](=O)[O-])cc1F ZINC000193502835 393653467 /nfs/dbraw/zinc/65/34/67/393653467.db2.gz MEIATXZKOWUIOT-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN COCc1noc(CSc2ccc([N+](=O)[O-])cc2F)n1 ZINC000193506564 393654139 /nfs/dbraw/zinc/65/41/39/393654139.db2.gz VGWVQOBARJQYTF-UHFFFAOYSA-N 0 0 299.283 2.556 20 5 CFBDRN O=C(NC[C@H]1Cc2ccccc21)c1ccccc1[N+](=O)[O-] ZINC000194055771 393674344 /nfs/dbraw/zinc/67/43/44/393674344.db2.gz VXTAGCSBKGRYKU-GFCCVEGCSA-N 0 0 282.299 2.665 20 5 CFBDRN CC(=O)[C@H](C)SCCc1ccc([N+](=O)[O-])cc1 ZINC000083123403 393741842 /nfs/dbraw/zinc/74/18/42/393741842.db2.gz XNTNKYNAIWGKRU-JTQLQIEISA-N 0 0 253.323 2.848 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccc(F)c2)s1 ZINC000083394955 393742996 /nfs/dbraw/zinc/74/29/96/393742996.db2.gz BGYDWWFTDKMLPC-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(F)cc2)s1 ZINC000083394951 393743240 /nfs/dbraw/zinc/74/32/40/393743240.db2.gz QBHTWYXWGKVQRK-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN CCCCN(C)c1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000198351360 393746867 /nfs/dbraw/zinc/74/68/67/393746867.db2.gz CARKTBJGYMFRPA-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCCC[C@@H]2O)c1 ZINC000084726646 393750134 /nfs/dbraw/zinc/75/01/34/393750134.db2.gz ALHMUXUYOPWPGX-RISCZKNCSA-N 0 0 264.325 2.866 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccccn1 ZINC000087036732 393757405 /nfs/dbraw/zinc/75/74/05/393757405.db2.gz OLYXWBKTTRKWME-UHFFFAOYSA-N 0 0 271.276 2.561 20 5 CFBDRN CCCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000194340025 393689662 /nfs/dbraw/zinc/68/96/62/393689662.db2.gz ZCHMCTYTMAJLFD-UHFFFAOYSA-N 0 0 251.286 2.743 20 5 CFBDRN CC(C)Oc1cc(C(=O)N(C)C(C)C)ccc1[N+](=O)[O-] ZINC000411244279 393689977 /nfs/dbraw/zinc/68/99/77/393689977.db2.gz VHRUGQZXENADRE-UHFFFAOYSA-N 0 0 280.324 2.862 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000335775530 393700262 /nfs/dbraw/zinc/70/02/62/393700262.db2.gz SBHYXPUFLBFCME-SNVBAGLBSA-N 0 0 260.293 2.633 20 5 CFBDRN COc1cccc(C(=O)Nc2cccnc2C)c1[N+](=O)[O-] ZINC000194540949 393704097 /nfs/dbraw/zinc/70/40/97/393704097.db2.gz KTAWBCRQCRLSRP-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COC(C)(C)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000078471466 393708063 /nfs/dbraw/zinc/70/80/63/393708063.db2.gz LRSJEKDANKLDRL-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN O=C(NCc1cc(F)cc(F)c1)c1ccc([N+](=O)[O-])s1 ZINC000078377257 393708494 /nfs/dbraw/zinc/70/84/94/393708494.db2.gz NZFRRUIVENFATE-UHFFFAOYSA-N 0 0 298.270 2.865 20 5 CFBDRN COc1cccc(C(=O)N(C)[C@H](C)C2(C)CC2)c1[N+](=O)[O-] ZINC000194625935 393709386 /nfs/dbraw/zinc/70/93/86/393709386.db2.gz KLLCSNYTBHVYSG-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)Oc1cc(C(=O)NC[C@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000411423897 393710585 /nfs/dbraw/zinc/71/05/85/393710585.db2.gz OYFUNHSAWSYPKM-CMPLNLGQSA-N 0 0 292.335 2.768 20 5 CFBDRN CCCC(C)(C)NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000079836988 393722329 /nfs/dbraw/zinc/72/23/29/393722329.db2.gz LQQMOYHWPYAGGJ-UHFFFAOYSA-N 0 0 293.367 2.726 20 5 CFBDRN O=[N+]([O-])c1cn(CCc2ccc3c(c2)CCO3)nc1C1CC1 ZINC000195039186 393728671 /nfs/dbraw/zinc/72/86/71/393728671.db2.gz DHFLIXRCYXKIIO-UHFFFAOYSA-N 0 0 299.330 2.846 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000220074047 393761251 /nfs/dbraw/zinc/76/12/51/393761251.db2.gz YTNSYPBNUMGTTH-HTQZYQBOSA-N 0 0 272.251 2.852 20 5 CFBDRN CCCCN(CCCO)c1ccccc1[N+](=O)[O-] ZINC000089266016 393765621 /nfs/dbraw/zinc/76/56/21/393765621.db2.gz PYKJSHLHWGLQQY-UHFFFAOYSA-N 0 0 252.314 2.584 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2ncc(C)cn2)c1 ZINC000340079217 393835541 /nfs/dbraw/zinc/83/55/41/393835541.db2.gz GMSYQXYZQPEPAH-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CO[C@H]1CCCN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000413119345 393779657 /nfs/dbraw/zinc/77/96/57/393779657.db2.gz NTZCCHRJTYYTNQ-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@@](C)([C@@H](C)Nc1ncc([N+](=O)[O-])cc1F)C1CC1 ZINC000413520501 393785081 /nfs/dbraw/zinc/78/50/81/393785081.db2.gz PVLXOQBCFRAOLA-OQPBUACISA-N 0 0 283.303 2.744 20 5 CFBDRN Cc1c(Cc2noc([C@@]3(C)C[C@@H]3F)n2)cccc1[N+](=O)[O-] ZINC000424351342 393838478 /nfs/dbraw/zinc/83/84/78/393838478.db2.gz WJOYTUUVPQGEFH-FZMZJTMJSA-N 0 0 291.282 2.877 20 5 CFBDRN Cn1ccnc1[C@H](N[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000424320034 393826757 /nfs/dbraw/zinc/82/67/57/393826757.db2.gz IPASNMYXAWDFMY-ZIAGYGMSSA-N 0 0 298.346 2.560 20 5 CFBDRN CCc1nocc1CN(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000339609737 393829209 /nfs/dbraw/zinc/82/92/09/393829209.db2.gz QLQVCTCQYIUAQK-UHFFFAOYSA-N 0 0 293.298 2.916 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1nccc(C(F)F)n1 ZINC000342238316 393863414 /nfs/dbraw/zinc/86/34/14/393863414.db2.gz DUELMFMFULNNTR-UHFFFAOYSA-N 0 0 294.261 2.612 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000416075869 393865257 /nfs/dbraw/zinc/86/52/57/393865257.db2.gz GBWZZPNISVGKQG-GRYCIOLGSA-N 0 0 276.336 2.640 20 5 CFBDRN O=C(NC1(C2CCC2)CCC1)c1ccc([N+](=O)[O-])o1 ZINC000458195687 393868964 /nfs/dbraw/zinc/86/89/64/393868964.db2.gz COCUEGPXLQIIJR-UHFFFAOYSA-N 0 0 264.281 2.640 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])cnc1C)[C@H]1CC1(C)C ZINC000416087438 393870333 /nfs/dbraw/zinc/87/03/33/393870333.db2.gz YFTJZZSUJOFYDU-OLZOCXBDSA-N 0 0 291.351 2.853 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1C[C@H]1C1CC1 ZINC000246587419 393876194 /nfs/dbraw/zinc/87/61/94/393876194.db2.gz UVBJQJLULBUQIX-IIMNLJJBSA-N 0 0 286.331 2.919 20 5 CFBDRN C[C@@H](O)[C@H]1CCCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119003077 393912922 /nfs/dbraw/zinc/91/29/22/393912922.db2.gz MDFXCFKZXDBKRZ-ZWNOBZJWSA-N 0 0 298.770 2.984 20 5 CFBDRN Cc1nc(N[C@H]2Cc3cccc(O)c3C2)ccc1[N+](=O)[O-] ZINC000428650045 393901520 /nfs/dbraw/zinc/90/15/20/393901520.db2.gz HSYJRINVRAMDLB-NSHDSACASA-N 0 0 285.303 2.583 20 5 CFBDRN Cc1ccc(C)c(CN(C)c2c([N+](=O)[O-])ncn2C)c1 ZINC000428654274 393902715 /nfs/dbraw/zinc/90/27/15/393902715.db2.gz BQIRBYMGQFLZAX-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2CC(F)(F)C2)c1 ZINC000428684722 393906081 /nfs/dbraw/zinc/90/60/81/393906081.db2.gz WJDPKEQBRARTCB-UHFFFAOYSA-N 0 0 286.234 2.591 20 5 CFBDRN COCCN(Cc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000341160847 393850483 /nfs/dbraw/zinc/85/04/83/393850483.db2.gz GWLFNKABZHQRAP-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)C1 ZINC000341269064 393852830 /nfs/dbraw/zinc/85/28/30/393852830.db2.gz MQSAQUIHDDZUBW-ZDUSSCGKSA-N 0 0 299.330 2.882 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000458137736 393856451 /nfs/dbraw/zinc/85/64/51/393856451.db2.gz ZFWPCJAUMCUYIH-SMDDNHRTSA-N 0 0 287.319 2.850 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000458151494 393859789 /nfs/dbraw/zinc/85/97/89/393859789.db2.gz QILVWJDQPUJOQT-DZGCQCFKSA-N 0 0 292.335 2.524 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000105199232 393862809 /nfs/dbraw/zinc/86/28/09/393862809.db2.gz OEKBZFAKYBDKNP-ZWNOBZJWSA-N 0 0 277.324 2.583 20 5 CFBDRN C[C@@H]1OCCN(Cc2c(Cl)cccc2[N+](=O)[O-])[C@H]1C ZINC000119357996 393917945 /nfs/dbraw/zinc/91/79/45/393917945.db2.gz YTARTKIDPIWRBU-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1cnccc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000471809766 393918307 /nfs/dbraw/zinc/91/83/07/393918307.db2.gz LPRXRZDCKBTBFQ-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2csc(N3CCC3)n2)c1 ZINC000416406080 393916659 /nfs/dbraw/zinc/91/66/59/393916659.db2.gz WMNQQZFEORCCAN-UHFFFAOYSA-N 0 0 261.306 2.928 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])C[C@@H]1CCOC1 ZINC000119292483 393916921 /nfs/dbraw/zinc/91/69/21/393916921.db2.gz YDPBGJABDXYBJW-JTQLQIEISA-N 0 0 284.743 2.717 20 5 CFBDRN O=C(Cc1ccoc1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000121550391 134413374 /nfs/dbraw/zinc/41/33/74/134413374.db2.gz DBLHUMMZRWLLLH-UHFFFAOYSA-N 0 0 286.287 2.710 20 5 CFBDRN CCC(C)(C)CNC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000429061218 393936953 /nfs/dbraw/zinc/93/69/53/393936953.db2.gz RAHJEJHWPDHVIQ-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN COc1cc(CNc2nc(C)ccc2[N+](=O)[O-])ccc1O ZINC000121123001 393949112 /nfs/dbraw/zinc/94/91/12/393949112.db2.gz WXVIJTJWHQFHAX-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN CC[C@@H](C)C(=O)Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487875446 394027370 /nfs/dbraw/zinc/02/73/70/394027370.db2.gz LGXGTLKQBQXBRU-SNVBAGLBSA-N 0 0 288.303 2.525 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1ccc([N+](=O)[O-])cc1 ZINC000271572675 394020934 /nfs/dbraw/zinc/02/09/34/394020934.db2.gz CBOIVSKMQMTDAP-WDEREUQCSA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC(=O)NCCC(C)(C)C ZINC000475962019 393957530 /nfs/dbraw/zinc/95/75/30/393957530.db2.gz YWHQPEKRVYJRCD-UHFFFAOYSA-N 0 0 293.367 2.868 20 5 CFBDRN Cc1nc([C@@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000348773866 393958093 /nfs/dbraw/zinc/95/80/93/393958093.db2.gz JGIGZPNOYFLZJC-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)Cc1cscn1 ZINC000430193537 393966031 /nfs/dbraw/zinc/96/60/31/393966031.db2.gz FNTZRUIHGOVIRB-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC23CCCC3)cc([N+](=O)[O-])c1 ZINC000430231257 393971063 /nfs/dbraw/zinc/97/10/63/393971063.db2.gz XVNDUSKRNWCYFG-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN O=[N+]([O-])c1ccccc1C[NH2+]C/C=C/c1ccncc1 ZINC000486943749 393973359 /nfs/dbraw/zinc/97/33/59/393973359.db2.gz ONBCDJOALHBKNI-ONEGZZNKSA-N 0 0 269.304 2.793 20 5 CFBDRN C[C@H]1OCC[C@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000349781145 393973475 /nfs/dbraw/zinc/97/34/75/393973475.db2.gz IEVNYXJREDZZTN-LDYMZIIASA-N 0 0 275.264 2.537 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@@H](C)[C@@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000417608017 393982919 /nfs/dbraw/zinc/98/29/19/393982919.db2.gz IAIVXPSJFUSVCY-MNOVXSKESA-N 0 0 294.351 2.917 20 5 CFBDRN CC(C)(C)OC1CCN(c2ccc([N+](=O)[O-])cn2)CC1 ZINC000268228700 393990811 /nfs/dbraw/zinc/99/08/11/393990811.db2.gz AEQLWYCPKQLFPA-UHFFFAOYSA-N 0 0 279.340 2.774 20 5 CFBDRN COCCN(Cc1cccnc1)c1ccccc1[N+](=O)[O-] ZINC000268556010 393993512 /nfs/dbraw/zinc/99/35/12/393993512.db2.gz CEXNLMBQFHHAAG-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN CC(C)OC(=O)[C@@H]1CCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000125463338 394007599 /nfs/dbraw/zinc/00/75/99/394007599.db2.gz ZAMITWPDVOJRGE-AWEZNQCLSA-N 0 0 292.335 2.511 20 5 CFBDRN C[C@H]1CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000269835552 394008171 /nfs/dbraw/zinc/00/81/71/394008171.db2.gz GPAKFBDXYWTTEA-QWRGUYRKSA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCCN(CC(F)F)CC1 ZINC000270938056 394014896 /nfs/dbraw/zinc/01/48/96/394014896.db2.gz JGNCDOVQKDRIFO-UHFFFAOYSA-N 0 0 299.321 2.680 20 5 CFBDRN CC[C@H](OC)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000437089126 394046148 /nfs/dbraw/zinc/04/61/48/394046148.db2.gz AIJAECCISGVBPH-AWEZNQCLSA-N 0 0 292.335 2.511 20 5 CFBDRN COc1ccc(-c2ccc(CNCCF)o2)c([N+](=O)[O-])c1 ZINC000437248989 394051222 /nfs/dbraw/zinc/05/12/22/394051222.db2.gz TWNSBKDRWGAXKX-UHFFFAOYSA-N 0 0 294.282 2.923 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@](C)(CC)C2)c1[N+](=O)[O-] ZINC000354882316 394051804 /nfs/dbraw/zinc/05/18/04/394051804.db2.gz IILDKCIXRSMHLR-CYBMUJFWSA-N 0 0 266.345 2.517 20 5 CFBDRN NC(=O)c1cccc(NCCCc2ccccc2)c1[N+](=O)[O-] ZINC000439132214 394101444 /nfs/dbraw/zinc/10/14/44/394101444.db2.gz JMMFAKGIWLJLRV-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN COc1cc(CSCc2nnc(C)o2)ccc1[N+](=O)[O-] ZINC000419585679 394056479 /nfs/dbraw/zinc/05/64/79/394056479.db2.gz LXOIGXDWTGGAEW-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1coc(-c2cccs2)n1 ZINC000128003527 394060965 /nfs/dbraw/zinc/06/09/65/394060965.db2.gz ALTXFSCWNNBNPR-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000279204036 394065887 /nfs/dbraw/zinc/06/58/87/394065887.db2.gz LLUBFDJPKURIFY-BDAKNGLRSA-N 0 0 295.314 2.625 20 5 CFBDRN CSCCN(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000128294566 394066643 /nfs/dbraw/zinc/06/66/43/394066643.db2.gz ZAKIRIGGAVSMCD-UHFFFAOYSA-N 0 0 283.353 2.730 20 5 CFBDRN Cc1cc(=O)n(C[C@H](C)c2ccccc2)cc1[N+](=O)[O-] ZINC000128449956 394069682 /nfs/dbraw/zinc/06/96/82/394069682.db2.gz CGXBINXDOIHPJA-LBPRGKRZSA-N 0 0 272.304 2.869 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000128673474 394073801 /nfs/dbraw/zinc/07/38/01/394073801.db2.gz MJYZPUGFYMZTCS-CHWSQXEVSA-N 0 0 293.323 2.674 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cccc(O)c1F ZINC000128871111 394077746 /nfs/dbraw/zinc/07/77/46/394077746.db2.gz FGWCCQUOQXCVCZ-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN Cc1cn(Cc2ncc(Cl)cc2Cl)nc1[N+](=O)[O-] ZINC000439190946 394103755 /nfs/dbraw/zinc/10/37/55/394103755.db2.gz WYNRGJGZIQAZSU-UHFFFAOYSA-N 0 0 287.106 2.850 20 5 CFBDRN CC[C@@H]1CCN1C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492854252 394082645 /nfs/dbraw/zinc/08/26/45/394082645.db2.gz QEGFAUBTRPLZBE-TXNVCOODSA-N 0 0 278.283 2.758 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000281890027 394089691 /nfs/dbraw/zinc/08/96/91/394089691.db2.gz WDXDZHVJVAFNIW-GIPNMCIBSA-N 0 0 294.376 2.990 20 5 CFBDRN COCC1(CNc2ncc([N+](=O)[O-])cc2C)CCC1 ZINC000281913209 394093058 /nfs/dbraw/zinc/09/30/58/394093058.db2.gz NNIWWZFNARDQMO-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@H]2N1c1ncc([N+](=O)[O-])cn1 ZINC000273649307 394035576 /nfs/dbraw/zinc/03/55/76/394035576.db2.gz UGDOCKZFSDTYQO-JFGNBEQYSA-N 0 0 262.313 2.542 20 5 CFBDRN Cc1c(C(=O)N2C[C@H](C)O[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000353736581 394035872 /nfs/dbraw/zinc/03/58/72/394035872.db2.gz XUCCKTFUJBBYQY-MVWJERBFSA-N 0 0 292.335 2.541 20 5 CFBDRN CN(C(=O)c1ccc(F)c(F)c1)c1ccc([N+](=O)[O-])nc1 ZINC000439290050 394109851 /nfs/dbraw/zinc/10/98/51/394109851.db2.gz SKFWJJIVFCAKRD-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])NCC1CCC1 ZINC000130401567 394110029 /nfs/dbraw/zinc/11/00/29/394110029.db2.gz CQDWGELOCQPQGS-UHFFFAOYSA-N 0 0 298.726 2.543 20 5 CFBDRN CCO/C=C/C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000492977558 394114279 /nfs/dbraw/zinc/11/42/79/394114279.db2.gz AIUKDKOENKDUPQ-VQHVLOKHSA-N 0 0 287.275 2.632 20 5 CFBDRN Cc1cc(NC(=O)/C=C\c2ccn(C)c2)ccc1[N+](=O)[O-] ZINC000492991242 394117988 /nfs/dbraw/zinc/11/79/88/394117988.db2.gz WVNQAKFWSCBTFL-UTCJRWHESA-N 0 0 285.303 2.894 20 5 CFBDRN COCC1(CNc2cccc(OC)c2[N+](=O)[O-])CCC1 ZINC000282068750 394118894 /nfs/dbraw/zinc/11/88/94/394118894.db2.gz YWKWBIGVAMCAAT-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@@H](C)C[C@@H](C)O)cc1[N+](=O)[O-] ZINC000439744658 394129440 /nfs/dbraw/zinc/12/94/40/394129440.db2.gz LSJKKMBKTIQWTQ-WDEREUQCSA-N 0 0 294.351 2.607 20 5 CFBDRN CC(C)c1ccc(C(=O)NCc2ccno2)cc1[N+](=O)[O-] ZINC000439749737 394129565 /nfs/dbraw/zinc/12/95/65/394129565.db2.gz ZLLIKDZCPFTMSR-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000493040258 394130977 /nfs/dbraw/zinc/13/09/77/394130977.db2.gz QPELLYCJABHADR-NGQRFWKKSA-N 0 0 290.294 2.662 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000493214808 394180785 /nfs/dbraw/zinc/18/07/85/394180785.db2.gz GPXHZAQXVKPTGE-RDOCRHDPSA-N 0 0 284.262 2.768 20 5 CFBDRN O=C(/C=C/[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000493056541 394136020 /nfs/dbraw/zinc/13/60/20/394136020.db2.gz ULYHLLVZKUNXGK-CITMHJQUSA-N 0 0 296.710 2.779 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2CSC2(C)C)c1F ZINC000284870179 394139672 /nfs/dbraw/zinc/13/96/72/394139672.db2.gz KLYXFJQTZNGYOR-JTQLQIEISA-N 0 0 298.339 2.666 20 5 CFBDRN O=C(/C=C\[C@H]1CCOC1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000493086297 394143807 /nfs/dbraw/zinc/14/38/07/394143807.db2.gz QRXVWLYPTDWMOZ-WBSSQXGSSA-N 0 0 296.710 2.779 20 5 CFBDRN Cc1cc(N2CCSC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000360084630 394145656 /nfs/dbraw/zinc/14/56/56/394145656.db2.gz UIXJLXIDEDKFHZ-SECBINFHSA-N 0 0 270.329 2.984 20 5 CFBDRN CO[C@H](C)C[N@H+](C)[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000285821780 394151015 /nfs/dbraw/zinc/15/10/15/394151015.db2.gz VOJSTYFZSSFFPU-GHMZBOCLSA-N 0 0 252.314 2.623 20 5 CFBDRN COc1cc(C(=O)N2CCc3ccccc32)ccc1[N+](=O)[O-] ZINC000132915338 394165457 /nfs/dbraw/zinc/16/54/57/394165457.db2.gz HXLQLFLIZVXZAM-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN C[C@H](Nc1ncnc2sc([N+](=O)[O-])cc21)C1CC1 ZINC000285967650 394172636 /nfs/dbraw/zinc/17/26/36/394172636.db2.gz AWZLBZBFNWYFAE-LURJTMIESA-N 0 0 264.310 2.810 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2[C@@H]2CCCO2)c(Cl)c1 ZINC000286472330 394196987 /nfs/dbraw/zinc/19/69/87/394196987.db2.gz ONSZIOSZVFIOTG-NEPJUHHUSA-N 0 0 297.742 2.791 20 5 CFBDRN CN(C(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccccn1 ZINC000134570420 394201343 /nfs/dbraw/zinc/20/13/43/394201343.db2.gz CNQRDMPNQXYABC-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CC(CC(F)F)C1 ZINC000493401623 394232221 /nfs/dbraw/zinc/23/22/21/394232221.db2.gz NTIKVWCNPVPHDN-AATRIKPKSA-N 0 0 296.273 2.722 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000290777564 394234447 /nfs/dbraw/zinc/23/44/47/394234447.db2.gz WBBOYUWXAOLKNO-ZDUSSCGKSA-N 0 0 261.325 2.923 20 5 CFBDRN CCCOCC(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000291524269 394240556 /nfs/dbraw/zinc/24/05/56/394240556.db2.gz YQUKFBKVBYINEP-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN CC[C@@H](CO)[NH2+]Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000291543953 394242977 /nfs/dbraw/zinc/24/29/77/394242977.db2.gz LNUWVFOOZFKRFL-VIFPVBQESA-N 0 0 293.150 2.762 20 5 CFBDRN CCC1(C)CN(C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000291622547 394248540 /nfs/dbraw/zinc/24/85/40/394248540.db2.gz FBEWDMKHLGBFQU-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CCCCC(F)(F)C1 ZINC000291636684 394250983 /nfs/dbraw/zinc/25/09/83/394250983.db2.gz ATXCDLJCOUDFFT-VIFPVBQESA-N 0 0 288.298 2.956 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000151071850 394259665 /nfs/dbraw/zinc/25/96/65/394259665.db2.gz MAWGJKUYBSPBKX-HOCLYGCPSA-N 0 0 288.347 2.916 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCOC2(CCCC2)C1 ZINC000286061201 394186536 /nfs/dbraw/zinc/18/65/36/394186536.db2.gz BMUKJNQZNDZABG-UHFFFAOYSA-N 0 0 280.299 2.883 20 5 CFBDRN C[C@](O)(CNc1cc(Cl)ccc1[N+](=O)[O-])C(F)(F)F ZINC000286088243 394190201 /nfs/dbraw/zinc/19/02/01/394190201.db2.gz CCISEULFFZWJMH-VIFPVBQESA-N 0 0 298.648 2.973 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])[C@H](CO)C(C)(C)C ZINC000134430538 394196729 /nfs/dbraw/zinc/19/67/29/394196729.db2.gz KSGWFJSAPCHUGI-CYBMUJFWSA-N 0 0 284.331 2.573 20 5 CFBDRN O=C(/C=C\c1cncs1)Nc1cc([N+](=O)[O-])ccc1F ZINC000493512346 394263432 /nfs/dbraw/zinc/26/34/32/394263432.db2.gz GBZJFPNJQCZGNY-RQOWECAXSA-N 0 0 293.279 2.842 20 5 CFBDRN CCC[C@H](CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000493535592 394272587 /nfs/dbraw/zinc/27/25/87/394272587.db2.gz ZQJXWEADQZXTBL-JKEYDSJLSA-N 0 0 292.335 2.539 20 5 CFBDRN CSCCN(C)Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000152395901 394277159 /nfs/dbraw/zinc/27/71/59/394277159.db2.gz HXOAMXGSRBUREV-UHFFFAOYSA-N 0 0 281.337 2.531 20 5 CFBDRN Cc1cc(NC(=O)N2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000153656728 394288304 /nfs/dbraw/zinc/28/83/04/394288304.db2.gz XKYUIYRFXLUXDS-SNVBAGLBSA-N 0 0 263.297 2.919 20 5 CFBDRN Cc1nc(N2CC[C@@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000450265017 394289252 /nfs/dbraw/zinc/28/92/52/394289252.db2.gz WEZLOPLCPOYMOH-GFCCVEGCSA-N 0 0 270.292 2.645 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCc1ncc(-c2ccccc2)o1 ZINC000370788550 394289980 /nfs/dbraw/zinc/28/99/80/394289980.db2.gz REQYTDYVTUSUHI-UHFFFAOYSA-N 0 0 284.275 2.689 20 5 CFBDRN C[C@H]1COCCN(c2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000450402962 394291660 /nfs/dbraw/zinc/29/16/60/394291660.db2.gz JYNQJWXQJDYMSJ-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CCO[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@H]1C ZINC000450747107 394333444 /nfs/dbraw/zinc/33/34/44/394333444.db2.gz SKYISQBNPKQVKO-MFKMUULPSA-N 0 0 282.315 2.985 20 5 CFBDRN Cc1cc(C(=O)N2CCC3(CC3)CC2)cc([N+](=O)[O-])c1 ZINC000370879916 394294561 /nfs/dbraw/zinc/29/45/61/394294561.db2.gz AMDWDCZISYUENT-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1cc(N2CC3(CC3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000450581847 394296258 /nfs/dbraw/zinc/29/62/58/394296258.db2.gz PRPWRQIKUIPNOT-UHFFFAOYSA-N 0 0 296.330 2.960 20 5 CFBDRN C[C@@H]1[C@@H](O)CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000294985766 394296305 /nfs/dbraw/zinc/29/63/05/394296305.db2.gz MLWCCHGUFKRYBC-PELKAZGASA-N 0 0 270.716 2.598 20 5 CFBDRN Cn1ncnc1SCc1c(Cl)cccc1[N+](=O)[O-] ZINC000154888939 394304404 /nfs/dbraw/zinc/30/44/04/394304404.db2.gz UQZUZABLBSCRIA-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])NC[C@H]1CC12CC2 ZINC000493672710 394305621 /nfs/dbraw/zinc/30/56/21/394305621.db2.gz IIJAUAXVRJZELB-JRBALWBOSA-N 0 0 290.294 2.663 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@H]1F ZINC000493672940 394305638 /nfs/dbraw/zinc/30/56/38/394305638.db2.gz JODOORCYOXZGCU-NAISLTENSA-N 0 0 278.283 2.615 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CCCCC2(F)F)cc1[N+](=O)[O-] ZINC000296142087 394305954 /nfs/dbraw/zinc/30/59/54/394305954.db2.gz XGZYTMCFVOVGFP-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]2C2CC2)c(Cl)c1 ZINC000450644261 394307614 /nfs/dbraw/zinc/30/76/14/394307614.db2.gz MOBKACSJJDYIQJ-SNVBAGLBSA-N 0 0 253.689 2.632 20 5 CFBDRN CC(C)=CCNC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000493698460 394312461 /nfs/dbraw/zinc/31/24/61/394312461.db2.gz ULIZQXACRGMUEF-GQCTYLIASA-N 0 0 278.283 2.830 20 5 CFBDRN Cc1ccoc1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000493929489 394336515 /nfs/dbraw/zinc/33/65/15/394336515.db2.gz YTOPZOHJWGJPQF-UHFFFAOYSA-N 0 0 275.264 2.818 20 5 CFBDRN COCC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000493894840 394335385 /nfs/dbraw/zinc/33/53/85/394335385.db2.gz RNNFZZTUHICHEZ-UHFFFAOYSA-N 0 0 299.302 2.528 20 5 CFBDRN CC1=CCCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000493800702 394318858 /nfs/dbraw/zinc/31/88/58/394318858.db2.gz BYFOQDFSFYDIPA-FPLPWBNLSA-N 0 0 272.304 2.787 20 5 CFBDRN Cc1noc([C@@H]2CCN(c3c(C)cccc3[N+](=O)[O-])C2)n1 ZINC000450757673 394335530 /nfs/dbraw/zinc/33/55/30/394335530.db2.gz NKBQKJFVZYKPMM-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN Cc1cccnc1CCCNc1ccc([N+](=O)[O-])nc1 ZINC000450727872 394327908 /nfs/dbraw/zinc/32/79/08/394327908.db2.gz ZKFRDZKVLPTMFI-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2ncccc2C)n1 ZINC000450737219 394330357 /nfs/dbraw/zinc/33/03/57/394330357.db2.gz INCZCYPJWJWPDV-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN CC(C)[C@@H]1CCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000450815515 394340646 /nfs/dbraw/zinc/34/06/46/394340646.db2.gz STYQQZDYAVGKSG-VIFPVBQESA-N 0 0 255.705 2.878 20 5 CFBDRN CC(C)[C@H]1CCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000450806570 394340707 /nfs/dbraw/zinc/34/07/07/394340707.db2.gz FVMVJAILPXJHNK-MRVPVSSYSA-N 0 0 266.326 2.539 20 5 CFBDRN Cc1nc(N2CCCc3ccccc3CC2)ncc1[N+](=O)[O-] ZINC000450810231 394340832 /nfs/dbraw/zinc/34/08/32/394340832.db2.gz MAIUWYCFMRGTLI-UHFFFAOYSA-N 0 0 298.346 2.689 20 5 CFBDRN CC[C@@H](Nc1nc2ccccc2cc1[N+](=O)[O-])[C@@H](O)CC ZINC000450854520 394341640 /nfs/dbraw/zinc/34/16/40/394341640.db2.gz CVPSIJURRHOVTH-RISCZKNCSA-N 0 0 289.335 2.526 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000157359510 394344232 /nfs/dbraw/zinc/34/42/32/394344232.db2.gz GZXCAAVKUAYJEL-RKDXNWHRSA-N 0 0 266.272 2.652 20 5 CFBDRN CCC(=O)CCCN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000451048132 394350973 /nfs/dbraw/zinc/35/09/73/394350973.db2.gz JUBTUZZVXUEVHX-UHFFFAOYSA-N 0 0 292.335 2.549 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158389701 394357234 /nfs/dbraw/zinc/35/72/34/394357234.db2.gz XWGMKRANEFXUBW-TZMCWYRMSA-N 0 0 262.309 2.994 20 5 CFBDRN Cc1c(Cl)cnn1Cc1c(F)cccc1[N+](=O)[O-] ZINC000158624349 394359288 /nfs/dbraw/zinc/35/92/88/394359288.db2.gz UFJKVLNHNHWPQN-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN C[C@@]1(F)CCCN(CCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000451116616 394359412 /nfs/dbraw/zinc/35/94/12/394359412.db2.gz RZLKECZADWETBO-CQSZACIVSA-N 0 0 282.315 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H](O)Cc2ccccc2)nc1 ZINC000159182006 394364323 /nfs/dbraw/zinc/36/43/23/394364323.db2.gz UFFISQPYFUSFHH-CYBMUJFWSA-N 0 0 290.344 2.686 20 5 CFBDRN CC(C)OC(=O)CCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000160253157 394366128 /nfs/dbraw/zinc/36/61/28/394366128.db2.gz OBBIEMIUKMWLPH-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN COc1cc(C(=O)N(C)[C@H]2CC2(C)C)c([N+](=O)[O-])cc1F ZINC000451253864 394370758 /nfs/dbraw/zinc/37/07/58/394370758.db2.gz KFEPVIHBYPFYNK-LBPRGKRZSA-N 0 0 296.298 2.613 20 5 CFBDRN COCC(C)(C)c1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000453297607 394383049 /nfs/dbraw/zinc/38/30/49/394383049.db2.gz UJFQALVSQSTXAP-UHFFFAOYSA-N 0 0 291.307 2.877 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000177858884 394384960 /nfs/dbraw/zinc/38/49/60/394384960.db2.gz RMCNZNNSZKBZIF-NHCYSSNCSA-N 0 0 262.309 2.736 20 5 CFBDRN CC1(c2noc(-c3cc(F)cc([N+](=O)[O-])c3)n2)CC1 ZINC000453325199 394386093 /nfs/dbraw/zinc/38/60/93/394386093.db2.gz UEZJWUDRHDMJHZ-UHFFFAOYSA-N 0 0 263.228 2.835 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000178161740 394386307 /nfs/dbraw/zinc/38/63/07/394386307.db2.gz OPBCZMVLDJKWOY-IUCAKERBSA-N 0 0 266.272 2.604 20 5 CFBDRN CCC(C)(C)N(C)C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000527443503 394386294 /nfs/dbraw/zinc/38/62/94/394386294.db2.gz LFKTYUDXDHXNQS-UHFFFAOYSA-N 0 0 294.351 2.793 20 5 CFBDRN CCc1ncc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000453350369 394388968 /nfs/dbraw/zinc/38/89/68/394388968.db2.gz GIBGRBGXAXSHAS-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)c([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000271101056 135091328 /nfs/dbraw/zinc/09/13/28/135091328.db2.gz PHPQAZCIICJJIL-VHSXEESVSA-N 0 0 298.314 2.746 20 5 CFBDRN COc1cc(N2CCC(C)(OC)CC2)c(F)cc1[N+](=O)[O-] ZINC000271746825 135099765 /nfs/dbraw/zinc/09/97/65/135099765.db2.gz XOJDEOJXSOGCAM-UHFFFAOYSA-N 0 0 298.314 2.748 20 5 CFBDRN CC[C@@]1(C)CN(c2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000276007686 135117476 /nfs/dbraw/zinc/11/74/76/135117476.db2.gz IFNFCQJPXTVJQJ-LBPRGKRZSA-N 0 0 285.731 2.649 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@H]2C2CC2)ccc1Cl ZINC000090007983 296498549 /nfs/dbraw/zinc/49/85/49/296498549.db2.gz SOTLNKFHDOFFHY-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1C2CCC1CC2 ZINC000536555109 394560373 /nfs/dbraw/zinc/56/03/73/394560373.db2.gz WBEFOZVHVZSKJC-UHFFFAOYSA-N 0 0 297.314 2.910 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(N[C@H]2[C@@H]3COC[C@@H]32)c(Cl)c1 ZINC000530483116 394521247 /nfs/dbraw/zinc/52/12/47/394521247.db2.gz PHMACLFBOSBAJQ-MTBHXBHISA-N 0 0 289.118 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC(F)(F)F)c(Cl)c1 ZINC000535229279 394533347 /nfs/dbraw/zinc/53/33/47/394533347.db2.gz LKJBSOAYSBKSTO-LURJTMIESA-N 0 0 298.648 2.973 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)OCC(C)C)cc1[N+](=O)[O-] ZINC000543856942 394745823 /nfs/dbraw/zinc/74/58/23/394745823.db2.gz QQBVGKRLKOCZPQ-SNVBAGLBSA-N 0 0 296.323 2.603 20 5 CFBDRN Cc1nn(Cc2nnc(C3CC3)o2)c2ccc([N+](=O)[O-])cc12 ZINC000542788699 394713718 /nfs/dbraw/zinc/71/37/18/394713718.db2.gz AIVWMUGKXPUIGO-UHFFFAOYSA-N 0 0 299.290 2.562 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000542801360 394714447 /nfs/dbraw/zinc/71/44/47/394714447.db2.gz ALCCLVOCRVYWSY-RYUDHWBXSA-N 0 0 294.351 2.772 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2CCOC[C@H]1CCCO1 ZINC000542980015 394719754 /nfs/dbraw/zinc/71/97/54/394719754.db2.gz MGKAQEJMESYDFM-GFCCVEGCSA-N 0 0 290.319 2.745 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@@H](C)OC ZINC000545251121 394786200 /nfs/dbraw/zinc/78/62/00/394786200.db2.gz BWADEUAJFVSBSV-SNVBAGLBSA-N 0 0 296.323 2.747 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)n(C)n1 ZINC000545693526 394799704 /nfs/dbraw/zinc/79/97/04/394799704.db2.gz ZVDVFJPAJLDLTK-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000546476269 394827343 /nfs/dbraw/zinc/82/73/43/394827343.db2.gz YKXOADTZIOOLEC-NTSWFWBYSA-N 0 0 251.267 2.830 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(-c2n[nH]c3ccc([N+](=O)[O-])cc32)n1 ZINC000546471843 394827377 /nfs/dbraw/zinc/82/73/77/394827377.db2.gz ABHMPKYEOFWDMV-SVRRBLITSA-N 0 0 285.263 2.645 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCOC2)c2cccnc21 ZINC000546726740 394842678 /nfs/dbraw/zinc/84/26/78/394842678.db2.gz JCEFKHPTAPLITQ-LLVKDONJSA-N 0 0 288.303 2.948 20 5 CFBDRN C[C@H]1C[C@H]1c1ccc(CNc2ncc([N+](=O)[O-])cn2)o1 ZINC000546899211 394848067 /nfs/dbraw/zinc/84/80/67/394848067.db2.gz YXGJQZSAIABOMW-GZMMTYOYSA-N 0 0 274.280 2.713 20 5 CFBDRN C[C@H]1C[C@H](C)N1Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000548097833 394910881 /nfs/dbraw/zinc/91/08/81/394910881.db2.gz CQTAKANUFGZKGW-UWVGGRQHSA-N 0 0 288.307 2.628 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCC1CC(C)(C)C1 ZINC000548386375 394927026 /nfs/dbraw/zinc/92/70/26/394927026.db2.gz MBOQDWLHCODFEV-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CCn1cc(CN(C)c2c(C)cccc2[N+](=O)[O-])cn1 ZINC000548615020 394940644 /nfs/dbraw/zinc/94/06/44/394940644.db2.gz QNYVFSZLYBFTDB-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000556192354 394994264 /nfs/dbraw/zinc/99/42/64/394994264.db2.gz QNYLOYYVTCHICB-ZWNOBZJWSA-N 0 0 274.320 2.526 20 5 CFBDRN C[C@@H](C1CC1)[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000556799543 395015558 /nfs/dbraw/zinc/01/55/58/395015558.db2.gz QMFTYHOPTJHQBM-VIFPVBQESA-N 0 0 250.298 2.531 20 5 CFBDRN C[C@H](C(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000555638116 394979346 /nfs/dbraw/zinc/97/93/46/394979346.db2.gz ZFMXYTMHJHOEHT-OLRMPSLUSA-N 0 0 274.320 2.613 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000450812490 296501576 /nfs/dbraw/zinc/50/15/76/296501576.db2.gz NIPNPVPHICXYNM-NQBHXWOUSA-N 0 0 294.326 2.721 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H](C2CC2)C1 ZINC000343423979 296501671 /nfs/dbraw/zinc/50/16/71/296501671.db2.gz ICTCEYXZGDGWKF-SNVBAGLBSA-N 0 0 250.273 2.970 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H](C2CCOCC2)C1 ZINC000377186603 296501674 /nfs/dbraw/zinc/50/16/74/296501674.db2.gz XUXRCXDKJNHLBK-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(c2cccnn2)C2CC2)c1 ZINC000557624884 395040911 /nfs/dbraw/zinc/04/09/11/395040911.db2.gz HBAFCAWNYCSCPP-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN Cc1ccnc(-c2noc(-c3cc([N+](=O)[O-])cnc3C)n2)c1 ZINC000355899813 155132534 /nfs/dbraw/zinc/13/25/34/155132534.db2.gz MBNIFNLWDPXWJF-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC(OC2CCC2)CC1 ZINC000418974365 296516938 /nfs/dbraw/zinc/51/69/38/296516938.db2.gz FOSWXMKMSJHUNO-UHFFFAOYSA-N 0 0 277.324 2.528 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCOC2(CCC2)C1 ZINC000268987354 296517971 /nfs/dbraw/zinc/51/79/71/296517971.db2.gz TWSVGIOUWRMGDV-JTQLQIEISA-N 0 0 263.297 2.503 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]2[C@H]2CCC[C@@H]2O)s1 ZINC000450686344 296519696 /nfs/dbraw/zinc/51/96/96/296519696.db2.gz UMYSNOPUEVKWOE-MXWKQRLJSA-N 0 0 297.380 2.571 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC1CCC(O)CC1 ZINC000097678382 296521225 /nfs/dbraw/zinc/52/12/25/296521225.db2.gz ORRPZWZGGXBUSQ-UHFFFAOYSA-N 0 0 287.319 2.858 20 5 CFBDRN Cc1ccc(C(=O)N2CCSCC[C@H]2C)cc1[N+](=O)[O-] ZINC000189955886 292060608 /nfs/dbraw/zinc/06/06/08/292060608.db2.gz GQNKRFUTZAAVGY-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000266021225 292075683 /nfs/dbraw/zinc/07/56/83/292075683.db2.gz CGQWPDGWMHANRS-NSHDSACASA-N 0 0 294.351 2.837 20 5 CFBDRN CCOC(=O)C[C@H]1CCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000165883014 285800011 /nfs/dbraw/zinc/80/00/11/285800011.db2.gz ZSNAQGCGOLAZLG-LLVKDONJSA-N 0 0 296.298 2.656 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)C[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000418120703 397567942 /nfs/dbraw/zinc/56/79/42/397567942.db2.gz CNXKTTBHEFBQNG-OUAUKWLOSA-N 0 0 264.325 2.777 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C[C@@H](C)C1 ZINC000163008408 397605492 /nfs/dbraw/zinc/60/54/92/397605492.db2.gz IUAPOVJTNMXFCP-QWRGUYRKSA-N 0 0 291.351 2.685 20 5 CFBDRN CCC(C)(C)C(=O)OCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000171288304 397606641 /nfs/dbraw/zinc/60/66/41/397606641.db2.gz CAIPGTYULMTIFN-UHFFFAOYSA-N 0 0 294.307 2.513 20 5 CFBDRN Cc1ccc(N2CCc3ncccc3C2)c([N+](=O)[O-])c1 ZINC000371006989 292139621 /nfs/dbraw/zinc/13/96/21/292139621.db2.gz SWRVHHYDIOULHX-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1ccc(-c2noc([C@]3(C)CCOC3)n2)cc1[N+](=O)[O-] ZINC000355988241 155137283 /nfs/dbraw/zinc/13/72/83/155137283.db2.gz SDOJLQGOAZXJSS-CQSZACIVSA-N 0 0 289.291 2.631 20 5 CFBDRN COc1c(C)ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1C ZINC000356044441 155148010 /nfs/dbraw/zinc/14/80/10/155148010.db2.gz QIBFMFUFPQFLCL-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN CO[C@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)[C@@H](C)C1 ZINC000281592890 286061997 /nfs/dbraw/zinc/06/19/97/286061997.db2.gz HDCDPVXGWRFHDA-WPRPVWTQSA-N 0 0 286.278 2.877 20 5 CFBDRN CO[C@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])[C@H](C)C1 ZINC000281706735 286062464 /nfs/dbraw/zinc/06/24/64/286062464.db2.gz UFCVEYUDGBODAK-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1ccc([C@@H]2CCCCCN2C(=O)[C@H]2C[C@@H]2[N+](=O)[O-])o1 ZINC000363415724 292207215 /nfs/dbraw/zinc/20/72/15/292207215.db2.gz JLXZCWMBGDCQPF-AVGNSLFASA-N 0 0 292.335 2.697 20 5 CFBDRN C[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000356367029 155242014 /nfs/dbraw/zinc/24/20/14/155242014.db2.gz LYYGNSFKTGKMCT-ZETCQYMHSA-N 0 0 276.214 2.523 20 5 CFBDRN CO[C@](C)([C@H](C)Nc1ccc([N+](=O)[O-])nc1)C1CC1 ZINC000413516993 286151405 /nfs/dbraw/zinc/15/14/05/286151405.db2.gz LUVJMFTYULJYIB-TVQRCGJNSA-N 0 0 265.313 2.605 20 5 CFBDRN COc1c(C(=O)N2CCC[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000331073177 286175870 /nfs/dbraw/zinc/17/58/70/286175870.db2.gz UBWXYMOOJSTKIU-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1c(C(=O)N2CC[C@H](C)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000331041464 286176481 /nfs/dbraw/zinc/17/64/81/286176481.db2.gz DOGQQINRXWXFSB-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1c(C(=O)N2C[C@@H](C)C[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000331022839 286176702 /nfs/dbraw/zinc/17/67/02/286176702.db2.gz LUAFYFLSIYVAQU-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN CN(C)C(=O)c1cccc(CNc2ccccc2[N+](=O)[O-])c1 ZINC000356486450 155280943 /nfs/dbraw/zinc/28/09/43/155280943.db2.gz YBJYYYWEWLXFEK-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN CCO[C@@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000302495512 286206709 /nfs/dbraw/zinc/20/67/09/286206709.db2.gz MBPRGKLXZCCZLK-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN COc1cc(CN2CC(C)(C)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000488202510 286218374 /nfs/dbraw/zinc/21/83/74/286218374.db2.gz QSFXSZBIOHZFJD-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1c(Cl)cccc1NC(=O)c1cc([N+](=O)[O-])nn1C ZINC000356510483 155287870 /nfs/dbraw/zinc/28/78/70/155287870.db2.gz SZOBSNIPFCXAHW-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN COc1cc(CN2C[C@H](C)O[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000446058787 286220872 /nfs/dbraw/zinc/22/08/72/286220872.db2.gz GOHDQNQNUHWEJV-QJPTWQEYSA-N 0 0 294.351 2.601 20 5 CFBDRN COc1cc(N(C)CC(C)C)c([N+](=O)[O-])cc1F ZINC000301628412 286227559 /nfs/dbraw/zinc/22/75/59/286227559.db2.gz RLVAVRXSJZONOS-UHFFFAOYSA-N 0 0 256.277 2.835 20 5 CFBDRN COc1c(C(=O)Nc2cnc(C)s2)cccc1[N+](=O)[O-] ZINC000356537946 155297179 /nfs/dbraw/zinc/29/71/79/155297179.db2.gz UPPHXDVDKHKUMO-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN COc1ccccc1CSCc1c([N+](=O)[O-])ncn1C ZINC000356598950 155313624 /nfs/dbraw/zinc/31/36/24/155313624.db2.gz UXBRVIVOSWAMQZ-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN C[C@H](F)CCNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000356693386 155332116 /nfs/dbraw/zinc/33/21/16/155332116.db2.gz VOHCDBDLWGEXJL-ZETCQYMHSA-N 0 0 274.679 2.726 20 5 CFBDRN CSc1ccc(C(=O)NCC[C@@H](C)F)cc1[N+](=O)[O-] ZINC000356696184 155332393 /nfs/dbraw/zinc/33/23/93/155332393.db2.gz XOCGQYQSJFJUOU-MRVPVSSYSA-N 0 0 286.328 2.795 20 5 CFBDRN CSc1ccc(C(=O)NCC[C@H](C)F)cc1[N+](=O)[O-] ZINC000356696186 155332534 /nfs/dbraw/zinc/33/25/34/155332534.db2.gz XOCGQYQSJFJUOU-QMMMGPOBSA-N 0 0 286.328 2.795 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC[C@H]1C ZINC000356718177 155339450 /nfs/dbraw/zinc/33/94/50/155339450.db2.gz AAKUZVKTTHZRDO-NRUUGDAUSA-N 0 0 294.376 2.990 20 5 CFBDRN CCOc1cc(NCCC[C@H](O)CC)ccc1[N+](=O)[O-] ZINC000356748580 155350841 /nfs/dbraw/zinc/35/08/41/155350841.db2.gz VGAVTYJLCIYHPE-GFCCVEGCSA-N 0 0 282.340 2.957 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(OC3CCC3)CC2)n1 ZINC000418976543 292284907 /nfs/dbraw/zinc/28/49/07/292284907.db2.gz VPODTTHNHDNJFG-UHFFFAOYSA-N 0 0 291.351 2.836 20 5 CFBDRN Cc1ccccc1OCCCn1ccnc1[N+](=O)[O-] ZINC000825236165 782006525 /nfs/dbraw/zinc/00/65/25/782006525.db2.gz DRIXGCXRYBCQIP-UHFFFAOYSA-N 0 0 261.281 2.569 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CC[C@H](C2CC2)C1 ZINC000343119831 154120318 /nfs/dbraw/zinc/12/03/18/154120318.db2.gz GEWWSBYONJZEET-ZDUSSCGKSA-N 0 0 289.335 2.536 20 5 CFBDRN Cc1cc(CNc2ncc(Cl)cc2[N+](=O)[O-])nc(C)n1 ZINC000343142866 154124703 /nfs/dbraw/zinc/12/47/03/154124703.db2.gz UCVARWJHPKUSCQ-UHFFFAOYSA-N 0 0 293.714 2.662 20 5 CFBDRN CNC(=O)CSc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000343153996 154127301 /nfs/dbraw/zinc/12/73/01/154127301.db2.gz OJHQYFJNFWQKDF-UHFFFAOYSA-N 0 0 295.147 2.740 20 5 CFBDRN CSc1cccc(F)c1CNc1ncc([N+](=O)[O-])cn1 ZINC000343222656 154146033 /nfs/dbraw/zinc/14/60/33/154146033.db2.gz GWXBIPSOMQKGMH-UHFFFAOYSA-N 0 0 294.311 2.858 20 5 CFBDRN CO[C@H](c1nc(-c2ccc([N+](=O)[O-])cc2)no1)C(C)C ZINC000345545327 154201737 /nfs/dbraw/zinc/20/17/37/154201737.db2.gz PRRWREXQBJBXAZ-NSHDSACASA-N 0 0 277.280 2.988 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCC1(F)CCC1 ZINC000345585950 154212212 /nfs/dbraw/zinc/21/22/12/154212212.db2.gz IYSCJTQZMWXLHB-UHFFFAOYSA-N 0 0 280.299 2.536 20 5 CFBDRN COc1c(-c2nc(-c3cccnc3)no2)cccc1[N+](=O)[O-] ZINC000345664725 154235380 /nfs/dbraw/zinc/23/53/80/154235380.db2.gz JSNUIHIBERLQAD-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CCc1ccc(-c2noc(-c3cc([N+](=O)[O-])nn3C)n2)cc1 ZINC000345692767 154241672 /nfs/dbraw/zinc/24/16/72/154241672.db2.gz HVWRARHTPGZNMB-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@H]([NH2+]Cc2csc([N+](=O)[O-])c2)C1(C)C ZINC000345696636 154242822 /nfs/dbraw/zinc/24/28/22/154242822.db2.gz WDAMYDKADZDITC-JFUSQASVSA-N 0 0 284.381 2.805 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCC1(F)CCC1 ZINC000345702456 154244846 /nfs/dbraw/zinc/24/48/46/154244846.db2.gz AYFJNCCAETWCFG-UHFFFAOYSA-N 0 0 280.299 2.536 20 5 CFBDRN O=C(NCCC1(F)CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000345731276 154252842 /nfs/dbraw/zinc/25/28/42/154252842.db2.gz SNHRFPNLJYQMOX-UHFFFAOYSA-N 0 0 284.262 2.746 20 5 CFBDRN C[C@@H]1[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1(F)F ZINC000348022342 154291146 /nfs/dbraw/zinc/29/11/46/154291146.db2.gz VTEFKVWFQQAPIT-ANLVUFKYSA-N 0 0 274.198 2.574 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000348038051 154293824 /nfs/dbraw/zinc/29/38/24/154293824.db2.gz JOJBAYJHRGAJFC-PRHODGIISA-N 0 0 280.299 2.752 20 5 CFBDRN Cc1c(NC(=O)N(C)[C@@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000348061818 154302301 /nfs/dbraw/zinc/30/23/01/154302301.db2.gz XBSKKBWMNXXXPK-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc(NC(=O)N(C)[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000348136684 154334472 /nfs/dbraw/zinc/33/44/72/154334472.db2.gz BAGFHTHAWYSRRS-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H]1C(F)F ZINC000348153310 154342090 /nfs/dbraw/zinc/34/20/90/154342090.db2.gz XZCZTIWNIXMUAP-PSASIEDQSA-N 0 0 285.250 2.678 20 5 CFBDRN O=C(NCCC1(F)CCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000348154992 154343046 /nfs/dbraw/zinc/34/30/46/154343046.db2.gz LJDNOOLHQWZFEY-UHFFFAOYSA-N 0 0 281.287 2.999 20 5 CFBDRN CCOC(=O)c1ccnc(NC2(C)CCCC2)c1[N+](=O)[O-] ZINC000348174926 154348789 /nfs/dbraw/zinc/34/87/89/154348789.db2.gz NDTYZEVGFKBHEJ-UHFFFAOYSA-N 0 0 293.323 2.911 20 5 CFBDRN CCCC(O)(CCC)CNc1ccc([N+](=O)[O-])nc1 ZINC000348222121 154367570 /nfs/dbraw/zinc/36/75/70/154367570.db2.gz HYAPMTXDVVIVGT-UHFFFAOYSA-N 0 0 267.329 2.733 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)c2cncs2)cccc1[N+](=O)[O-] ZINC000348247123 154379221 /nfs/dbraw/zinc/37/92/21/154379221.db2.gz NCMPQHQZDQBROM-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(-c2ncncc2C)no1 ZINC000356925677 155428043 /nfs/dbraw/zinc/42/80/43/155428043.db2.gz PRIBFVDJUDSDNO-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000352281621 154522326 /nfs/dbraw/zinc/52/23/26/154522326.db2.gz VGJAZBJSOFSCCJ-SECBINFHSA-N 0 0 287.319 2.852 20 5 CFBDRN CCC[C@@](C)(O)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352359668 154542941 /nfs/dbraw/zinc/54/29/41/154542941.db2.gz JFMIHHPJYSMONJ-OAHLLOKOSA-N 0 0 289.335 2.528 20 5 CFBDRN Cc1cncc(CNc2nc3ccccc3cc2[N+](=O)[O-])c1 ZINC000352361327 154543689 /nfs/dbraw/zinc/54/36/89/154543689.db2.gz RDVCUGSOMZPLDZ-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN COc1nn(C)cc1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000352611277 154627277 /nfs/dbraw/zinc/62/72/77/154627277.db2.gz AIHLPFKTNBLLLN-UHFFFAOYSA-N 0 0 282.687 2.734 20 5 CFBDRN COc1cccc(N2CC[C@H]3OCCC[C@H]3C2)c1[N+](=O)[O-] ZINC000249273665 286458268 /nfs/dbraw/zinc/45/82/68/286458268.db2.gz BYUUZBOLKZHHNB-WCQYABFASA-N 0 0 292.335 2.609 20 5 CFBDRN CCc1ccc(NC(=O)c2n[nH]c(C)c2[N+](=O)[O-])cc1C ZINC000354995797 154880200 /nfs/dbraw/zinc/88/02/00/154880200.db2.gz KSXREIAMKLQHOK-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2)cn2ccnc12 ZINC000355440889 155008431 /nfs/dbraw/zinc/00/84/31/155008431.db2.gz RNHHTDSVPKUEHT-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN COc1ccc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)cn1 ZINC000355448620 155010905 /nfs/dbraw/zinc/01/09/05/155010905.db2.gz SFCKVYDSFLMSOD-UHFFFAOYSA-N 0 0 298.302 2.705 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000355487090 155021553 /nfs/dbraw/zinc/02/15/53/155021553.db2.gz RWYVCKPPFJJZTH-GXSJLCMTSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1cccc(-c2noc(-c3ccc([N+](=O)[O-])n3C)n2)c1 ZINC000355546028 155036762 /nfs/dbraw/zinc/03/67/62/155036762.db2.gz MEHSIAUNVWFGIG-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000355599359 155051773 /nfs/dbraw/zinc/05/17/73/155051773.db2.gz CNXSKIKRPZAKQY-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN CC[C@H](OC)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355685721 155062637 /nfs/dbraw/zinc/06/26/37/155062637.db2.gz CTRASOGFIMSWQS-NSHDSACASA-N 0 0 277.280 2.666 20 5 CFBDRN Cc1cc(-c2nc(Cc3ccncc3)no2)ccc1[N+](=O)[O-] ZINC000355804068 155093015 /nfs/dbraw/zinc/09/30/15/155093015.db2.gz KGSVEJMMRODPBE-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1cnc(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000355824205 155098156 /nfs/dbraw/zinc/09/81/56/155098156.db2.gz FUSDFKCXUMBLHA-UHFFFAOYSA-N 0 0 290.279 2.555 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2cccs2)c1 ZINC000358137552 155642593 /nfs/dbraw/zinc/64/25/93/155642593.db2.gz ZAFWBLKPIFBDBC-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN O=C1CCCCN1CCCNc1ccc([N+](=O)[O-])cc1F ZINC000358891334 155906576 /nfs/dbraw/zinc/90/65/76/155906576.db2.gz BOAOYCANGBBZJY-UHFFFAOYSA-N 0 0 295.314 2.548 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H](C)Cn2cccn2)n1 ZINC000359082860 155978228 /nfs/dbraw/zinc/97/82/28/155978228.db2.gz NHWQOKCENZVKRU-JTQLQIEISA-N 0 0 289.339 2.551 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1=CCCC1 ZINC000359145447 156003967 /nfs/dbraw/zinc/00/39/67/156003967.db2.gz WCJFIFWAZLREAZ-UHFFFAOYSA-N 0 0 274.320 2.706 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@@H]1C[C@@H]1c1ccccc1 ZINC000359168032 156010719 /nfs/dbraw/zinc/01/07/19/156010719.db2.gz BBMDNWQOUYANSL-GXTWGEPZSA-N 0 0 270.288 2.560 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H]1C[C@H]1c1ccccc1 ZINC000359170506 156012825 /nfs/dbraw/zinc/01/28/25/156012825.db2.gz WGJMJBUTOBWDHJ-STQMWFEESA-N 0 0 257.293 2.903 20 5 CFBDRN CC(C)=CCCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000360209397 156052192 /nfs/dbraw/zinc/05/21/92/156052192.db2.gz ZSMHCBXQWJRXKK-UHFFFAOYSA-N 0 0 262.309 2.610 20 5 CFBDRN CCN(C[C@H](C)OC)c1cc(C)c([N+](=O)[O-])cc1F ZINC000360216723 156054691 /nfs/dbraw/zinc/05/46/91/156054691.db2.gz BNFUHUFHRZMSNI-JTQLQIEISA-N 0 0 270.304 2.904 20 5 CFBDRN CCOc1cc(N(CC)C[C@@H](C)OC)ccc1[N+](=O)[O-] ZINC000360218192 156054848 /nfs/dbraw/zinc/05/48/48/156054848.db2.gz MQQHPEVRRFZWOI-LLVKDONJSA-N 0 0 282.340 2.855 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1coc(-c2ccccc2)n1 ZINC000361081741 156067025 /nfs/dbraw/zinc/06/70/25/156067025.db2.gz SBQCKGGKVCCMNR-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN CCC[C@H]1C[C@H]1c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000361254407 156071329 /nfs/dbraw/zinc/07/13/29/156071329.db2.gz YBYSPHKPWGKIJT-DTWKUNHWSA-N 0 0 291.311 2.590 20 5 CFBDRN Cc1cscc1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000362929241 156118014 /nfs/dbraw/zinc/11/80/14/156118014.db2.gz BKVDMHQFEVYGSA-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1nc2sccn2c1[N+](=O)[O-])OC ZINC000362977772 156134418 /nfs/dbraw/zinc/13/44/18/156134418.db2.gz UKXULVHLTXZRQI-DTWKUNHWSA-N 0 0 298.368 2.777 20 5 CFBDRN CSc1cc(O)ccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000362997304 156140920 /nfs/dbraw/zinc/14/09/20/156140920.db2.gz OYFTUSBNOLNDMF-UHFFFAOYSA-N 0 0 293.304 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@H]1CCc2c[nH]nc2C1 ZINC000367355917 156319004 /nfs/dbraw/zinc/31/90/04/156319004.db2.gz HXEADQALYSVIAB-JTQLQIEISA-N 0 0 292.726 2.941 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000367799859 156391038 /nfs/dbraw/zinc/39/10/38/156391038.db2.gz CEJCEEYOGHQUGS-LNSITVRQSA-N 0 0 290.319 2.777 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCO[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000367825069 156394602 /nfs/dbraw/zinc/39/46/02/156394602.db2.gz IMXRFPRXQIJLRF-DZGCQCFKSA-N 0 0 290.319 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1OC[C@H]2CCC[C@@H]12 ZINC000367987662 156415347 /nfs/dbraw/zinc/41/53/47/156415347.db2.gz OOTVINFWDXIGSH-MPKXVKKWSA-N 0 0 291.303 2.624 20 5 CFBDRN Cc1nn(C)c(N2CC[C@H](C)C3(CCC3)C2)c1[N+](=O)[O-] ZINC000370229497 156664719 /nfs/dbraw/zinc/66/47/19/156664719.db2.gz BQEVDJLNBGFSMB-JTQLQIEISA-N 0 0 278.356 2.653 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CCCOCC1 ZINC000374448786 157115642 /nfs/dbraw/zinc/11/56/42/157115642.db2.gz JMXYJMFQLDGRDW-SNVBAGLBSA-N 0 0 296.298 2.798 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CCO[C@@]2(CCOC2)C1 ZINC000374500442 157122340 /nfs/dbraw/zinc/12/23/40/157122340.db2.gz BOIAACIOUVPDPO-WFASDCNBSA-N 0 0 292.335 2.653 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@@H](Nc2ncccc2[N+](=O)[O-])C12CCC2 ZINC000374874520 157168672 /nfs/dbraw/zinc/16/86/72/157168672.db2.gz KKMAGDJGGNOLKF-MVWJERBFSA-N 0 0 277.324 2.605 20 5 CFBDRN O=[N+]([O-])c1cc(CNc2cnc3c(c2)COCC3)cs1 ZINC000375047396 157188403 /nfs/dbraw/zinc/18/84/03/157188403.db2.gz CYGMTVRGCVEKSU-UHFFFAOYSA-N 0 0 291.332 2.736 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CC[C@H](C3CC3)C2)c1F ZINC000375156100 157203858 /nfs/dbraw/zinc/20/38/58/157203858.db2.gz CVVQWUSWPGMNQZ-NSHDSACASA-N 0 0 292.310 2.914 20 5 CFBDRN COc1cc(N2C[C@@H](C)O[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000376012262 157315703 /nfs/dbraw/zinc/31/57/03/157315703.db2.gz CRJJWKFRMXHIMO-MEBBXXQBSA-N 0 0 292.335 2.607 20 5 CFBDRN Nc1ccc(N2CC[C@H](Nc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000376223511 157336767 /nfs/dbraw/zinc/33/67/67/157336767.db2.gz XHFOUFFJIVYAKH-AWEZNQCLSA-N 0 0 298.346 2.868 20 5 CFBDRN Cc1cc(N2CC(F)(c3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000376237170 157337141 /nfs/dbraw/zinc/33/71/41/157337141.db2.gz POBVBOVHKIRRNZ-UHFFFAOYSA-N 0 0 287.294 2.983 20 5 CFBDRN COc1cc(N2CC[C@@]3(C2)CCCOC3)ccc1[N+](=O)[O-] ZINC000376486094 157361210 /nfs/dbraw/zinc/36/12/10/157361210.db2.gz GPGYPTRLGHUFAZ-OAHLLOKOSA-N 0 0 292.335 2.610 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1C[C@H]2CC[C@@H](C1)C2=O ZINC000376526672 157368338 /nfs/dbraw/zinc/36/83/38/157368338.db2.gz KCLIMSCQCMKAPF-ZACCUICWSA-N 0 0 288.303 2.539 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@@]3(CCOC3)C2)c1 ZINC000408091418 157379142 /nfs/dbraw/zinc/37/91/42/157379142.db2.gz WZDUTZZIPQCTIR-DOMZBBRYSA-N 0 0 292.335 2.653 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1c([N+](=O)[O-])c(C)nn1C ZINC000088298820 286763037 /nfs/dbraw/zinc/76/30/37/286763037.db2.gz ONRMRZGIJRMKKH-RKDXNWHRSA-N 0 0 254.334 2.873 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C1CCC1 ZINC000334752681 286760341 /nfs/dbraw/zinc/76/03/41/286760341.db2.gz XKPBDDZYDVFBMD-SNVBAGLBSA-N 0 0 274.320 2.920 20 5 CFBDRN Nc1c(C(=O)Nc2ccccc2F)cc(F)cc1[N+](=O)[O-] ZINC000048244350 161500787 /nfs/dbraw/zinc/50/07/87/161500787.db2.gz PQANQKHNVVYXKV-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000048246192 161501273 /nfs/dbraw/zinc/50/12/73/161501273.db2.gz AHPGLSXFZMEJDX-VIFPVBQESA-N 0 0 297.330 2.871 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CCN(C)CC(F)F ZINC000337935912 161570304 /nfs/dbraw/zinc/57/03/04/161570304.db2.gz XBHPXEMMVHJPNE-UHFFFAOYSA-N 0 0 287.310 2.536 20 5 CFBDRN Cc1ccoc1CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000343566469 161802661 /nfs/dbraw/zinc/80/26/61/161802661.db2.gz SNFIAAHQNDUUKR-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN COc1ccc(CN(C)c2ccncc2[N+](=O)[O-])cc1C ZINC000343577325 161808555 /nfs/dbraw/zinc/80/85/55/161808555.db2.gz ZHYHOIVREFYLPS-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN Cc1ccoc1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000343611355 161817923 /nfs/dbraw/zinc/81/79/23/161817923.db2.gz ONEAHMJRKFQRCH-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1c(F)cncc1F ZINC000343760540 161855897 /nfs/dbraw/zinc/85/58/97/161855897.db2.gz ISFDUDWGWKMHOT-SSDOTTSWSA-N 0 0 280.234 2.836 20 5 CFBDRN CCc1cccc(CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000344202960 161972209 /nfs/dbraw/zinc/97/22/09/161972209.db2.gz VJUAGZBYODZQAN-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CC[C@H]2CCCO2)c1 ZINC000344302728 162002609 /nfs/dbraw/zinc/00/26/09/162002609.db2.gz GIBGEAZPPDQIJR-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN CSc1ccccc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000344359197 162021541 /nfs/dbraw/zinc/02/15/41/162021541.db2.gz FUPLWDPOAPFBDG-UHFFFAOYSA-N 0 0 291.332 2.908 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000344369502 162026639 /nfs/dbraw/zinc/02/66/39/162026639.db2.gz GMVCWUJUNWDDBI-OUJBWJOFSA-N 0 0 277.324 2.754 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])nc1)Oc1ccccc1 ZINC000344410554 162038198 /nfs/dbraw/zinc/03/81/98/162038198.db2.gz BDDBHWJDDKLTHQ-LLVKDONJSA-N 0 0 273.292 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCNc2ccccn2)cn1 ZINC000344417196 162039278 /nfs/dbraw/zinc/03/92/78/162039278.db2.gz KHFXUNWIKACEFK-UHFFFAOYSA-N 0 0 287.323 2.689 20 5 CFBDRN CCCOc1ccc(CNc2ccc([N+](=O)[O-])nc2)cn1 ZINC000344424046 162040335 /nfs/dbraw/zinc/04/03/35/162040335.db2.gz STDAYUYUDXUIPJ-UHFFFAOYSA-N 0 0 288.307 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3nccs3)C2)cn1 ZINC000344421414 162040458 /nfs/dbraw/zinc/04/04/58/162040458.db2.gz PDEYTTUVVGCXHC-JTQLQIEISA-N 0 0 290.348 2.830 20 5 CFBDRN O=C1CCc2cc(Oc3ccc([N+](=O)[O-])nc3)ccc2N1 ZINC000344425189 162040676 /nfs/dbraw/zinc/04/06/76/162040676.db2.gz VHJXMRGXYMHFEQ-UHFFFAOYSA-N 0 0 285.259 2.667 20 5 CFBDRN Cc1nn(Cc2ccc(F)cn2)c2ccc([N+](=O)[O-])cc12 ZINC000344594798 162076442 /nfs/dbraw/zinc/07/64/42/162076442.db2.gz BZJMSCSYOVBFPP-UHFFFAOYSA-N 0 0 286.266 2.835 20 5 CFBDRN CC(C)c1nnc(CNCc2ccccc2[N+](=O)[O-])s1 ZINC000344665168 162092467 /nfs/dbraw/zinc/09/24/67/162092467.db2.gz BYSKOPFZGWNVAG-UHFFFAOYSA-N 0 0 292.364 2.860 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000344850569 162135318 /nfs/dbraw/zinc/13/53/18/162135318.db2.gz GDWWQXGABGZIGW-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN Cc1sccc1CC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000344874439 162140796 /nfs/dbraw/zinc/14/07/96/162140796.db2.gz NMLKTUPHASPRML-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@]2(C)CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000344911739 162147218 /nfs/dbraw/zinc/14/72/18/162147218.db2.gz GJTCTWVTKOHWKB-YGRLFVJLSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000344950573 162153121 /nfs/dbraw/zinc/15/31/21/162153121.db2.gz NAPVIXUMPRHNCJ-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CC(C)CCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000345000380 162160440 /nfs/dbraw/zinc/16/04/40/162160440.db2.gz PFRCQULRCCGAFY-NSHDSACASA-N 0 0 281.356 2.878 20 5 CFBDRN O=[N+]([O-])c1cc(CNCc2ccc3c(c2)COC3)cs1 ZINC000345025550 162165592 /nfs/dbraw/zinc/16/55/92/162165592.db2.gz AOQDOVAFLHLYSH-UHFFFAOYSA-N 0 0 290.344 2.976 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000345137279 162184127 /nfs/dbraw/zinc/18/41/27/162184127.db2.gz FXQIJTKJQRUWRD-CMPLNLGQSA-N 0 0 262.309 2.647 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000345156614 162190138 /nfs/dbraw/zinc/19/01/38/162190138.db2.gz BNXOMOAEOXKLAX-DGCLKSJQSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)(NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1F ZINC000345912882 162274790 /nfs/dbraw/zinc/27/47/90/162274790.db2.gz XPYLYYYZOQLMMU-UHFFFAOYSA-N 0 0 291.282 2.727 20 5 CFBDRN C[C@@H](NC(=O)CC1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000345942410 162282334 /nfs/dbraw/zinc/28/23/34/162282334.db2.gz XZOXYWKLRDNZBA-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000345994318 162292689 /nfs/dbraw/zinc/29/26/89/162292689.db2.gz KDLQGQDFTWDXPX-NSHDSACASA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1ncc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cn1 ZINC000346037341 162302604 /nfs/dbraw/zinc/30/26/04/162302604.db2.gz RLHBGQILNZTABY-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(Cc2ccccn2)no1 ZINC000346061731 162308838 /nfs/dbraw/zinc/30/88/38/162308838.db2.gz BFKBUAJMLWPSAB-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2ncccn2)no1 ZINC000346089146 162315449 /nfs/dbraw/zinc/31/54/49/162315449.db2.gz YFYCDDWAOIQOSE-SREVYHEPSA-N 0 0 295.258 2.605 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000346109921 162320138 /nfs/dbraw/zinc/32/01/38/162320138.db2.gz VNHMTDLWEIGVEB-RYUDHWBXSA-N 0 0 295.314 2.593 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccccc2[N+](=O)[O-])nc1 ZINC000346133981 162324552 /nfs/dbraw/zinc/32/45/52/162324552.db2.gz CVPRIQZKBMHBNV-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCC(F)(F)C1 ZINC000346234433 162360944 /nfs/dbraw/zinc/36/09/44/162360944.db2.gz LNVWRHAWBVKGLK-HYXAFXHYSA-N 0 0 268.263 2.949 20 5 CFBDRN C[C@H](CCC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000346255637 162365287 /nfs/dbraw/zinc/36/52/87/162365287.db2.gz WGXACLRHJVHCFQ-SNVBAGLBSA-N 0 0 281.356 2.878 20 5 CFBDRN Cc1nc(CN(C)C(=O)c2csc([N+](=O)[O-])c2)cs1 ZINC000346266381 162367333 /nfs/dbraw/zinc/36/73/33/162367333.db2.gz CJEAYDAYXNMIHH-UHFFFAOYSA-N 0 0 297.361 2.693 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCNc2ccccn2)c(Cl)c1 ZINC000346374642 162398244 /nfs/dbraw/zinc/39/82/44/162398244.db2.gz TYMRKHFBGBDSFP-UHFFFAOYSA-N 0 0 293.714 2.562 20 5 CFBDRN C[C@@H](CCC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000346477072 162424883 /nfs/dbraw/zinc/42/48/83/162424883.db2.gz OVPVCQBVZJMWME-VIFPVBQESA-N 0 0 267.329 2.868 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCc1ccccc1Cl ZINC000346604613 162460311 /nfs/dbraw/zinc/46/03/11/162460311.db2.gz GCVQMPLMQZZAGN-UHFFFAOYSA-N 0 0 265.700 2.996 20 5 CFBDRN Cc1cc(NC(=O)c2cn3ccccc3n2)ccc1[N+](=O)[O-] ZINC000347190335 162622871 /nfs/dbraw/zinc/62/28/71/162622871.db2.gz SRTNIGPXVKGJJD-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CC[C@H]1CCCN(c2ccc3ncc([N+](=O)[O-])n3n2)CC1 ZINC000347854882 162788690 /nfs/dbraw/zinc/78/86/90/162788690.db2.gz BHNMBKHIUYERMH-NSHDSACASA-N 0 0 289.339 2.654 20 5 CFBDRN Cc1nc(NCc2ccc3ccccc3n2)ccc1[N+](=O)[O-] ZINC000348344608 162830733 /nfs/dbraw/zinc/83/07/33/162830733.db2.gz CGYJYPGEBRDXJL-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)cc1Cl ZINC000348481937 162858524 /nfs/dbraw/zinc/85/85/24/162858524.db2.gz UYQGQCQNMPXTFR-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN COC(=O)c1cnoc1CSc1cccc([N+](=O)[O-])c1 ZINC000349816575 163019700 /nfs/dbraw/zinc/01/97/00/163019700.db2.gz NNSXESRYXZUCGS-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN Cc1cc(OCCC2(O)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000349840119 163022798 /nfs/dbraw/zinc/02/27/98/163022798.db2.gz OHGJSBGIRRXFMW-UHFFFAOYSA-N 0 0 271.700 2.850 20 5 CFBDRN Cc1noc(COc2cc(C)c([N+](=O)[O-])cc2Cl)n1 ZINC000349842115 163023055 /nfs/dbraw/zinc/02/30/55/163023055.db2.gz YVAXFLOVWVZTCE-UHFFFAOYSA-N 0 0 283.671 2.827 20 5 CFBDRN CCOc1cc(OCc2cnc(C)nc2)ccc1[N+](=O)[O-] ZINC000349840409 163024228 /nfs/dbraw/zinc/02/42/28/163024228.db2.gz QIEQTLQNADSZJN-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN COC(C)(C)CCOc1c(Cl)cncc1[N+](=O)[O-] ZINC000349856016 163027681 /nfs/dbraw/zinc/02/76/81/163027681.db2.gz VDIFGKGCZXMKAM-UHFFFAOYSA-N 0 0 274.704 2.837 20 5 CFBDRN Cc1cc(C)n(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)n1 ZINC000349862428 163028432 /nfs/dbraw/zinc/02/84/32/163028432.db2.gz PABRIOYXVYTBGO-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CCCn1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)cn1 ZINC000349881648 163031572 /nfs/dbraw/zinc/03/15/72/163031572.db2.gz HJUBNGCHYXNQJF-UHFFFAOYSA-N 0 0 299.290 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCOCC3)n2)s1 ZINC000350253582 163067650 /nfs/dbraw/zinc/06/76/50/163067650.db2.gz JVMUMGJPPSOYOQ-QMMMGPOBSA-N 0 0 295.320 2.990 20 5 CFBDRN CC[C@@](C)(OC)c1noc(-c2cc([N+](=O)[O-])cnc2C)n1 ZINC000350426842 163079124 /nfs/dbraw/zinc/07/91/24/163079124.db2.gz BLURNDWGNPMGGR-CYBMUJFWSA-N 0 0 292.295 2.620 20 5 CFBDRN CCC(CC)(OC)c1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000350430866 163080301 /nfs/dbraw/zinc/08/03/01/163080301.db2.gz LLRHEAIBZABNRU-UHFFFAOYSA-N 0 0 294.311 2.645 20 5 CFBDRN O=[N+]([O-])c1cccc(CCc2nc(-c3cccnc3)no2)c1 ZINC000350463810 163084020 /nfs/dbraw/zinc/08/40/20/163084020.db2.gz BTKDFFIBOKNLGO-UHFFFAOYSA-N 0 0 296.286 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nc(-c3ncc[nH]3)no2)cc1 ZINC000351003195 163114501 /nfs/dbraw/zinc/11/45/01/163114501.db2.gz SBROAMBVHIJBIN-UTCJRWHESA-N 0 0 283.247 2.538 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC(C)(C)C1 ZINC000351502755 163136181 /nfs/dbraw/zinc/13/61/81/163136181.db2.gz NTFGWNDYFQIKIJ-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN O=C(N[C@@H](c1ccccc1)C(F)F)c1ccc([N+](=O)[O-])o1 ZINC000351513387 163137636 /nfs/dbraw/zinc/13/76/36/163137636.db2.gz SJHUTWFBXUUNGN-NSHDSACASA-N 0 0 296.229 2.924 20 5 CFBDRN CCCOc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000351510479 163138098 /nfs/dbraw/zinc/13/80/98/163138098.db2.gz RGJRYUVRYYBJKM-UHFFFAOYSA-N 0 0 276.296 2.861 20 5 CFBDRN O=C(N[C@@H](c1ccccc1)C(F)F)c1ccc([N+](=O)[O-])[nH]1 ZINC000351510238 163138322 /nfs/dbraw/zinc/13/83/22/163138322.db2.gz DSSSEZWAZUPUBO-NSHDSACASA-N 0 0 295.245 2.659 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000351585106 163151448 /nfs/dbraw/zinc/15/14/48/163151448.db2.gz XGIXJQCXRWTGIL-DTORHVGOSA-N 0 0 296.273 2.569 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000351675772 163171286 /nfs/dbraw/zinc/17/12/86/163171286.db2.gz WQCATHNPXUNDKS-GFCCVEGCSA-N 0 0 291.351 2.973 20 5 CFBDRN O=C(NCc1cscn1)Nc1ccc([N+](=O)[O-])cc1F ZINC000351747469 163186413 /nfs/dbraw/zinc/18/64/13/163186413.db2.gz CMDKWODSLSAZRL-UHFFFAOYSA-N 0 0 296.283 2.512 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@H]1C ZINC000351808743 163197339 /nfs/dbraw/zinc/19/73/39/163197339.db2.gz NESMKABHCPGIPG-KOLCDFICSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1cc(COc2ccc(C)cn2)ccc1[N+](=O)[O-] ZINC000351822741 163197721 /nfs/dbraw/zinc/19/77/21/163197721.db2.gz OPAOJUJUNMUDMX-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN COc1cc(CS[C@H](C)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000351828745 163200499 /nfs/dbraw/zinc/20/04/99/163200499.db2.gz ICPSXZDPJOEITD-DTWKUNHWSA-N 0 0 271.338 2.606 20 5 CFBDRN COc1cc(CSc2ncc[nH]2)ccc1[N+](=O)[O-] ZINC000351829739 163200613 /nfs/dbraw/zinc/20/06/13/163200613.db2.gz OGKXPZMWSCUBRJ-UHFFFAOYSA-N 0 0 265.294 2.619 20 5 CFBDRN Cc1cc(NC(=O)N[C@@]2(C)CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000352884060 163262120 /nfs/dbraw/zinc/26/21/20/163262120.db2.gz QSZQFGZIAXQJSY-HZMBPMFUSA-N 0 0 293.323 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc2c(c1)CN(Cc1cccc(F)c1)CC2 ZINC000353002567 163284930 /nfs/dbraw/zinc/28/49/30/163284930.db2.gz WFGHKLDSWHDJBL-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN C[C@H](NC(=O)C[C@@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000353063758 163299454 /nfs/dbraw/zinc/29/94/54/163299454.db2.gz VRKWVEFIVPNFDI-NHCYSSNCSA-N 0 0 262.309 2.818 20 5 CFBDRN C[C@H](NC(=O)C[C@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000353063757 163300626 /nfs/dbraw/zinc/30/06/26/163300626.db2.gz VRKWVEFIVPNFDI-JFGNBEQYSA-N 0 0 262.309 2.818 20 5 CFBDRN CCO[C@H]1COCC[C@@H]1Nc1cc(C)ccc1[N+](=O)[O-] ZINC000353267086 163333420 /nfs/dbraw/zinc/33/34/20/163333420.db2.gz OQJPKGHXWCQVSU-FZMZJTMJSA-N 0 0 280.324 2.509 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000353416657 163360402 /nfs/dbraw/zinc/36/04/02/163360402.db2.gz RCPAMLYFINCREL-ZWNOBZJWSA-N 0 0 276.336 2.751 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000353416656 163361127 /nfs/dbraw/zinc/36/11/27/163361127.db2.gz RCPAMLYFINCREL-MFKMUULPSA-N 0 0 276.336 2.751 20 5 CFBDRN COc1ccc(CN2CCc3c2cccc3[N+](=O)[O-])cn1 ZINC000353546265 163391179 /nfs/dbraw/zinc/39/11/79/163391179.db2.gz FWYBZCHGGNXJQD-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN COCCN(c1ncccc1[N+](=O)[O-])[C@@H]1CC[C@H](C)C1 ZINC000302734876 292516092 /nfs/dbraw/zinc/51/60/92/292516092.db2.gz VYOMACQDFZMMFT-NWDGAFQWSA-N 0 0 279.340 2.631 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000133164915 287103093 /nfs/dbraw/zinc/10/30/93/287103093.db2.gz KLDCZRSECAWLJJ-BDAKNGLRSA-N 0 0 286.278 2.613 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000111003657 287175286 /nfs/dbraw/zinc/17/52/86/287175286.db2.gz ONYLNASFKXHUOZ-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420639869 287213358 /nfs/dbraw/zinc/21/33/58/287213358.db2.gz YJEGJUMYRYMHAM-TXEJJXNPSA-N 0 0 264.325 2.989 20 5 CFBDRN C[C@@H]1CC(NC(=O)c2ccc([N+](=O)[O-])o2)C[C@@H](C)C1 ZINC000172469576 287226716 /nfs/dbraw/zinc/22/67/16/287226716.db2.gz QCNMJNYFJVHYCA-IUCAKERBSA-N 0 0 266.297 2.742 20 5 CFBDRN CCc1cccc(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000005199956 170075623 /nfs/dbraw/zinc/07/56/23/170075623.db2.gz FITPDZFZXCUGKO-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN CCCCNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000005465803 170101891 /nfs/dbraw/zinc/10/18/91/170101891.db2.gz XFRDSDSUPMCYIZ-UHFFFAOYSA-N 0 0 256.689 2.778 20 5 CFBDRN Cc1csc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000005669764 170125949 /nfs/dbraw/zinc/12/59/49/170125949.db2.gz PVEOKWHNEABARS-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN CN(C)c1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)cc1 ZINC000005797831 170141206 /nfs/dbraw/zinc/14/12/06/170141206.db2.gz BFUGWHKMZZITAP-UHFFFAOYSA-N 0 0 299.330 2.842 20 5 CFBDRN CCC(CC)NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000006309480 170190193 /nfs/dbraw/zinc/19/01/93/170190193.db2.gz QFPZKOVKXBCBFP-MDZDMXLPSA-N 0 0 262.309 2.913 20 5 CFBDRN CCCCNC(=S)Nc1cc([N+](=O)[O-])ccc1OC ZINC000006629038 170220471 /nfs/dbraw/zinc/22/04/71/170220471.db2.gz XEVRQWXERAPQPK-UHFFFAOYSA-N 0 0 283.353 2.690 20 5 CFBDRN COc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(F)c1 ZINC000007126977 170268238 /nfs/dbraw/zinc/26/82/38/170268238.db2.gz OKCJVTRYKBYMMM-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000007358636 170279165 /nfs/dbraw/zinc/27/91/65/170279165.db2.gz UUIBJEFLXMGSIN-RISCZKNCSA-N 0 0 291.351 2.702 20 5 CFBDRN O=C(CN1CCc2ccccc21)Nc1ccccc1[N+](=O)[O-] ZINC000007600891 170292163 /nfs/dbraw/zinc/29/21/63/170292163.db2.gz AVIIYCNMCAODGB-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN Cc1ccc(F)cc1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000007952192 170309401 /nfs/dbraw/zinc/30/94/01/170309401.db2.gz ZATDWUYPMIEBJK-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN O=C(CNc1cccc(F)c1)Nc1cccc([N+](=O)[O-])c1 ZINC000007971924 170310575 /nfs/dbraw/zinc/31/05/75/170310575.db2.gz GPHZNLJRFMPFLP-UHFFFAOYSA-N 0 0 289.266 2.785 20 5 CFBDRN O=C(NCCNc1ccc([N+](=O)[O-])cc1)C1CCCCC1 ZINC000008174941 170322923 /nfs/dbraw/zinc/32/29/23/170322923.db2.gz SXBCUSXWTUJGLG-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN C[C@H](Oc1ccccc1C(N)=O)c1cccc([N+](=O)[O-])c1 ZINC000008221259 170325057 /nfs/dbraw/zinc/32/50/57/170325057.db2.gz KNFYXYKARNWYCL-JTQLQIEISA-N 0 0 286.287 2.834 20 5 CFBDRN C[C@H](CNc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000008319112 170330754 /nfs/dbraw/zinc/33/07/54/170330754.db2.gz QXOIWDRHPAZUNF-SNVBAGLBSA-N 0 0 260.297 2.544 20 5 CFBDRN C[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000008374661 170333754 /nfs/dbraw/zinc/33/37/54/170333754.db2.gz DFJWLSPDJCXBQZ-ZCFIWIBFSA-N 0 0 252.299 2.514 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000284369217 196040979 /nfs/dbraw/zinc/04/09/79/196040979.db2.gz RFCYFUNTDXWTFG-BXUZGUMPSA-N 0 0 274.320 2.813 20 5 CFBDRN COCC1(NC(=O)Nc2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000284395558 196046767 /nfs/dbraw/zinc/04/67/67/196046767.db2.gz ALLPYGUHZJVDHL-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN CCCCNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000015433694 170666116 /nfs/dbraw/zinc/66/61/16/170666116.db2.gz ZSHVJIJUZYVRIW-UHFFFAOYSA-N 0 0 268.338 2.603 20 5 CFBDRN CC[C@](C)(CNc1ncc(Cl)cc1[N+](=O)[O-])OC ZINC000322920473 270297205 /nfs/dbraw/zinc/29/72/05/270297205.db2.gz KWIFIPXOUOIJQT-LLVKDONJSA-N 0 0 273.720 2.870 20 5 CFBDRN CNC(=O)c1cccc(NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000020124742 171007291 /nfs/dbraw/zinc/00/72/91/171007291.db2.gz FOWBWNZJIKWZNK-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CC[C@H](C)NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000020886801 171278103 /nfs/dbraw/zinc/27/81/03/171278103.db2.gz XLVBUSQBWSUWIF-NSHDSACASA-N 0 0 279.340 2.702 20 5 CFBDRN Cc1ccc(OCCNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000022801962 171399458 /nfs/dbraw/zinc/39/94/58/171399458.db2.gz MPPMLIOXZCMZQI-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN COC(=O)[C@@H](N[C@H](C)c1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000284882051 196150353 /nfs/dbraw/zinc/15/03/53/196150353.db2.gz FLAKWZRUWKUFFP-YGRLFVJLSA-N 0 0 292.335 2.505 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])cc(C)c2F)C1 ZINC000284941362 196161369 /nfs/dbraw/zinc/16/13/69/196161369.db2.gz OEFRFIZNJODWSK-QWRGUYRKSA-N 0 0 297.282 2.767 20 5 CFBDRN Cn1nccc1CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000035255643 172085548 /nfs/dbraw/zinc/08/55/48/172085548.db2.gz WLLFNIRYZMRCFS-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)NC(C)(C)C ZINC000285080398 196192543 /nfs/dbraw/zinc/19/25/43/196192543.db2.gz NECRDHKCDXKTLT-UHFFFAOYSA-N 0 0 279.340 2.577 20 5 CFBDRN CN(Cc1cc(Cl)ccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000285202830 196220016 /nfs/dbraw/zinc/22/00/16/196220016.db2.gz WQZKSNJUTCSVMP-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN CCOC1CC(COc2ccc(C=O)cc2[N+](=O)[O-])C1 ZINC000285231810 196227828 /nfs/dbraw/zinc/22/78/28/196227828.db2.gz QTKFKMNKPBOIHV-UHFFFAOYSA-N 0 0 279.292 2.601 20 5 CFBDRN CCOC1CC(CNc2cc([N+](=O)[O-])ccc2F)C1 ZINC000285326444 196252043 /nfs/dbraw/zinc/25/20/43/196252043.db2.gz YESJHVSYDCRFFT-UHFFFAOYSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@@H](O)[C@@H](C)SCc1c(F)cc([N+](=O)[O-])cc1F ZINC000285267461 196236579 /nfs/dbraw/zinc/23/65/79/196236579.db2.gz SSHSTUZDEJGXLI-RNFRBKRXSA-N 0 0 277.292 2.876 20 5 CFBDRN CC(C)N(C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000285390201 196269370 /nfs/dbraw/zinc/26/93/70/196269370.db2.gz SONZRFHVSPXPIT-UHFFFAOYSA-N 0 0 279.340 2.529 20 5 CFBDRN O=C(c1cccs1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000036110315 172443059 /nfs/dbraw/zinc/44/30/59/172443059.db2.gz OOURNVARWWOJGC-UHFFFAOYSA-N 0 0 274.301 2.859 20 5 CFBDRN CCOCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000036230021 172526509 /nfs/dbraw/zinc/52/65/09/172526509.db2.gz JMLHQZCDTJKTCH-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN CC(=O)c1ccccc1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000036726814 172661088 /nfs/dbraw/zinc/66/10/88/172661088.db2.gz UZIOTJKDZUDRMK-UHFFFAOYSA-N 0 0 298.298 2.979 20 5 CFBDRN CC(C)[C@H](Nc1ncccc1[N+](=O)[O-])c1ncc[nH]1 ZINC000285605197 196342265 /nfs/dbraw/zinc/34/22/65/196342265.db2.gz LYBIAOAWZCZRCW-JTQLQIEISA-N 0 0 261.285 2.522 20 5 CFBDRN Cc1ccc(CNc2ccc(N(C)C)nc2)cc1[N+](=O)[O-] ZINC000037283837 173091087 /nfs/dbraw/zinc/09/10/87/173091087.db2.gz VJJSYIJLGYEWDL-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CCC(CC)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037285944 173092746 /nfs/dbraw/zinc/09/27/46/173092746.db2.gz LODDOWRHYOMTMZ-UHFFFAOYSA-N 0 0 254.261 2.652 20 5 CFBDRN Cc1ncsc1CCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000285751471 196387622 /nfs/dbraw/zinc/38/76/22/196387622.db2.gz VQJWQZLNUUQLOF-UHFFFAOYSA-N 0 0 289.360 2.965 20 5 CFBDRN CCCCN(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669525 173611120 /nfs/dbraw/zinc/61/11/20/173611120.db2.gz PIISAZZEXUXDBM-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN CC[C@@H](C)NC(=O)CCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000037999134 173961302 /nfs/dbraw/zinc/96/13/02/173961302.db2.gz GLAGXUXLRUVAAR-LLVKDONJSA-N 0 0 279.340 2.620 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NC[C@@H]2CCCOC2)c1 ZINC000038046344 174054445 /nfs/dbraw/zinc/05/44/45/174054445.db2.gz JIPCJFBLJLOVGT-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCOC2)c2ncccc12 ZINC000038046354 174054583 /nfs/dbraw/zinc/05/45/83/174054583.db2.gz QDAWPZTYMYHHQR-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1ccc(NC[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000038046454 174054952 /nfs/dbraw/zinc/05/49/52/174054952.db2.gz OFXLDZCCTVVWTJ-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN COc1cc(NC(=O)c2cccc([N+](=O)[O-])c2)ccc1O ZINC000039771625 174172506 /nfs/dbraw/zinc/17/25/06/174172506.db2.gz VFDOPGPRNPIOMJ-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CCCCOC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000043447808 175048642 /nfs/dbraw/zinc/04/86/42/175048642.db2.gz SFDKPYXBTSUWGJ-UHFFFAOYSA-N 0 0 276.292 2.893 20 5 CFBDRN CCOCCNc1ccc([N+](=O)[O-])cc1Br ZINC000043596546 175076843 /nfs/dbraw/zinc/07/68/43/175076843.db2.gz CNOMESMBBYCTFH-UHFFFAOYSA-N 0 0 289.129 2.806 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000044365661 175167678 /nfs/dbraw/zinc/16/76/78/175167678.db2.gz DZNVUYDEWJLVFN-SNVBAGLBSA-N 0 0 268.700 2.777 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1C[C@H]1c1ccccc1 ZINC000044416569 175172984 /nfs/dbraw/zinc/17/29/84/175172984.db2.gz CRHHHCSWJQGGEC-RYUDHWBXSA-N 0 0 255.277 2.958 20 5 CFBDRN COC[C@@H](C)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000044477542 175178823 /nfs/dbraw/zinc/17/88/23/175178823.db2.gz XSYFKYVHCVBQPF-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN Cc1cc(CNc2cc(F)cc([N+](=O)[O-])c2)no1 ZINC000044726188 175238158 /nfs/dbraw/zinc/23/81/58/175238158.db2.gz JABMIJOJVJQHEY-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000045624401 175381883 /nfs/dbraw/zinc/38/18/83/175381883.db2.gz STHDVVJBYXPRTP-GFCCVEGCSA-N 0 0 291.351 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cccc(F)c2)cn1 ZINC000048484623 175435128 /nfs/dbraw/zinc/43/51/28/175435128.db2.gz JDBIVFARFZIUMK-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN C[C@H](NC(=O)c1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000048797638 175448467 /nfs/dbraw/zinc/44/84/67/175448467.db2.gz LHUAACUHLLZUNL-VIFPVBQESA-N 0 0 260.249 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cncc(Cl)n2)cc1 ZINC000049228667 175468808 /nfs/dbraw/zinc/46/88/08/175468808.db2.gz UENXOOLIBCEXLH-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])ncn1C)c1cccs1 ZINC000049531226 175486488 /nfs/dbraw/zinc/48/64/88/175486488.db2.gz PIXAWSNECIZOPH-QMMMGPOBSA-N 0 0 266.326 2.953 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1ccccc1 ZINC000052812215 175538507 /nfs/dbraw/zinc/53/85/07/175538507.db2.gz LKKIWLLZTPGUGT-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000054982426 175565948 /nfs/dbraw/zinc/56/59/48/175565948.db2.gz NJZKFSWGOUQXAC-KOLCDFICSA-N 0 0 266.345 2.875 20 5 CFBDRN Cc1ccc(O)c(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000055797108 175582065 /nfs/dbraw/zinc/58/20/65/175582065.db2.gz BCJLDBNPWDAYQL-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Cc1csc([C@@H](C)Nc2ncccc2[N+](=O)[O-])n1 ZINC000068907192 175817070 /nfs/dbraw/zinc/81/70/70/175817070.db2.gz MWGGMIAGANVHTN-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1cccc(C(N)=O)c1 ZINC000071204978 176079456 /nfs/dbraw/zinc/07/94/56/176079456.db2.gz QGYLGCNTOCAMAY-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN CCc1nnc(CNc2ccc(Cl)c([N+](=O)[O-])c2)o1 ZINC000071833347 176273509 /nfs/dbraw/zinc/27/35/09/176273509.db2.gz KZAXVPSHDWFLFA-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN COC(=O)c1ccc([C@@H](C)Oc2cccnc2[N+](=O)[O-])o1 ZINC000071878033 176286730 /nfs/dbraw/zinc/28/67/30/176286730.db2.gz ZGLYVKZUCVNVCP-MRVPVSSYSA-N 0 0 292.247 2.509 20 5 CFBDRN C[C@@H]1CCCC[C@]1(C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000455031453 287319678 /nfs/dbraw/zinc/31/96/78/287319678.db2.gz HEYOFDAPVJBGOH-YGRLFVJLSA-N 0 0 292.339 2.502 20 5 CFBDRN COc1ccc(C(=O)OC2CCCC2)cc1[N+](=O)[O-] ZINC000073070936 176451619 /nfs/dbraw/zinc/45/16/19/176451619.db2.gz PNDUGFFYGFIDLX-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN COc1cc(C(=O)N(CC(C)(C)C)OC)ccc1[N+](=O)[O-] ZINC000286526935 196631562 /nfs/dbraw/zinc/63/15/62/196631562.db2.gz OQBKGRABTHEKNE-UHFFFAOYSA-N 0 0 296.323 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)OC[C@@H]1CCCO1 ZINC000286528961 196632153 /nfs/dbraw/zinc/63/21/53/196632153.db2.gz GHAQHWFZGXWAFM-NSHDSACASA-N 0 0 281.264 2.598 20 5 CFBDRN Cc1cc(C)cc(CCNC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000076367727 177005213 /nfs/dbraw/zinc/00/52/13/177005213.db2.gz ORDHSSDXEDDFIF-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCC[C@H]2O)cc1 ZINC000077208312 177234962 /nfs/dbraw/zinc/23/49/62/177234962.db2.gz WPLSJPSIDGNHMS-GXFFZTMASA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCC[C@@H]2O)c(F)c1 ZINC000077208379 177235079 /nfs/dbraw/zinc/23/50/79/177235079.db2.gz NYEGZMGHYMZQMV-RNCFNFMXSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@H](CCO)Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000077987744 177314943 /nfs/dbraw/zinc/31/49/43/177314943.db2.gz QJMWSTTXRRDNHP-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN C[C@@H]1CCN(C(=O)COc2cccc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000078008789 177317863 /nfs/dbraw/zinc/31/78/63/177317863.db2.gz OXNUSZHLJJDFTA-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cc(OCCOC2CCC2)c(F)cc1[N+](=O)[O-] ZINC000286588071 196649953 /nfs/dbraw/zinc/64/99/53/196649953.db2.gz RXNAYNMKSFYHRW-UHFFFAOYSA-N 0 0 269.272 2.990 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](CO)CC(C)C)c([N+](=O)[O-])c1 ZINC000078571813 177355430 /nfs/dbraw/zinc/35/54/30/177355430.db2.gz GPFBRIREBGYCLO-GFCCVEGCSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000078598070 177358326 /nfs/dbraw/zinc/35/83/26/177358326.db2.gz NXCJIGVBKBVWGX-QMMMGPOBSA-N 0 0 278.287 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCC2)c(Cl)c1 ZINC000078601616 177358929 /nfs/dbraw/zinc/35/89/29/177358929.db2.gz NXAVMYIBNMITAL-UHFFFAOYSA-N 0 0 256.689 2.575 20 5 CFBDRN CCCCN(CCOC)c1ncc(C)cc1[N+](=O)[O-] ZINC000078661907 177367816 /nfs/dbraw/zinc/36/78/16/177367816.db2.gz JAANKCBSHSJNDC-UHFFFAOYSA-N 0 0 267.329 2.551 20 5 CFBDRN CNC(=O)c1cccc(COc2cccc([N+](=O)[O-])c2)c1 ZINC000078702025 177373628 /nfs/dbraw/zinc/37/36/28/177373628.db2.gz NZGGQQPAHXFGFN-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1ccc([N+](=O)[O-])cc1 ZINC000078820365 177393629 /nfs/dbraw/zinc/39/36/29/177393629.db2.gz FJMZVFKQHTZBFF-VIFPVBQESA-N 0 0 269.322 2.637 20 5 CFBDRN CC1(C)CC[C@H]1Nc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000286592416 196650406 /nfs/dbraw/zinc/65/04/06/196650406.db2.gz ZBNKUOCCOFNNMV-LLVKDONJSA-N 0 0 288.307 2.844 20 5 CFBDRN C[C@H](C(=O)N(CC(F)F)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000521339853 260081552 /nfs/dbraw/zinc/08/15/52/260081552.db2.gz VDLOJIPVQBPUSE-VIFPVBQESA-N 0 0 298.289 2.954 20 5 CFBDRN COc1cc(C)nc(Oc2cccc([N+](=O)[O-])c2C)n1 ZINC000344431354 225073284 /nfs/dbraw/zinc/07/32/84/225073284.db2.gz FVJXMVPRKZTIRC-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)Cc1ccncc1 ZINC000301343998 292584809 /nfs/dbraw/zinc/58/48/09/292584809.db2.gz HBOPCAHVSORYAX-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])[C@H]1C ZINC000248730277 287485310 /nfs/dbraw/zinc/48/53/10/287485310.db2.gz JFXCYUHGYPGMPG-SCVCMEIPSA-N 0 0 291.351 2.732 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc3c(c2)COC3)s1 ZINC000192518711 227906000 /nfs/dbraw/zinc/90/60/00/227906000.db2.gz GVEZVYRUEFYVOO-UHFFFAOYSA-N 0 0 263.278 2.825 20 5 CFBDRN CC(C)CCOCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000195258947 270251899 /nfs/dbraw/zinc/25/18/99/270251899.db2.gz GJDAQGATJICSQB-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN COc1cccc(C(=O)Nc2cccc(O)c2)c1[N+](=O)[O-] ZINC000195309835 270252434 /nfs/dbraw/zinc/25/24/34/270252434.db2.gz QIHAXVJVUVNAMG-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CSc1nc(Cl)nc(NCC(C)(F)F)c1[N+](=O)[O-] ZINC001154855460 782036530 /nfs/dbraw/zinc/03/65/30/782036530.db2.gz TVRNJWBEOLYKPM-UHFFFAOYSA-N 0 0 298.702 2.827 20 5 CFBDRN C[C@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1csnn1 ZINC000398501879 270322434 /nfs/dbraw/zinc/32/24/34/270322434.db2.gz ZVLGLFBAAYVAHI-ZETCQYMHSA-N 0 0 298.755 2.951 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000517745564 260017907 /nfs/dbraw/zinc/01/79/07/260017907.db2.gz FPGNDMIZKDETBY-WDEREUQCSA-N 0 0 296.298 2.614 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000313098524 260247981 /nfs/dbraw/zinc/24/79/81/260247981.db2.gz FEFJQQORZDSPST-RKDXNWHRSA-N 0 0 296.352 2.561 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000313097877 260248239 /nfs/dbraw/zinc/24/82/39/260248239.db2.gz DANCPTCAVIQSED-WDEREUQCSA-N 0 0 274.267 2.834 20 5 CFBDRN CC[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])[C@@H](O)CC ZINC000313111901 260249603 /nfs/dbraw/zinc/24/96/03/260249603.db2.gz YREQTQWQJKQNIF-MFKMUULPSA-N 0 0 252.314 2.865 20 5 CFBDRN Cn1cnc(CCNc2ccc([N+](=O)[O-])cc2Cl)c1 ZINC000313485969 260298333 /nfs/dbraw/zinc/29/83/33/260298333.db2.gz WNTSPKFZBMXWKW-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN CCCCS(=O)(=O)Oc1cc([N+](=O)[O-])ccc1Cl ZINC000080768716 260320521 /nfs/dbraw/zinc/32/05/21/260320521.db2.gz UACQBWIDDUMYDM-UHFFFAOYSA-N 0 0 293.728 2.757 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000083794774 260356141 /nfs/dbraw/zinc/35/61/41/260356141.db2.gz JOZRQQPMYZYHJL-SSDOTTSWSA-N 0 0 256.689 2.777 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSc2cccc(F)c2)c1 ZINC000084842401 260368754 /nfs/dbraw/zinc/36/87/54/260368754.db2.gz NMKJASDKAUZPFP-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C2CCC1CC2 ZINC000520021650 260375242 /nfs/dbraw/zinc/37/52/42/260375242.db2.gz FPRAKXYWPURIHC-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(F)cn1)c1cccc([N+](=O)[O-])c1 ZINC000182221534 262046818 /nfs/dbraw/zinc/04/68/18/262046818.db2.gz GXZKKCBYMFUXNG-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NCc1ccc(O)cc1 ZINC000318786893 262059679 /nfs/dbraw/zinc/05/96/79/262059679.db2.gz PBNUUCVSSHTCIE-RMKNXTFCSA-N 0 0 298.298 2.630 20 5 CFBDRN CCOCCC1(CNc2ccc([N+](=O)[O-])cn2)CCC1 ZINC000268797014 262958556 /nfs/dbraw/zinc/95/85/56/262958556.db2.gz CBRDJZPVDMKISR-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN CCn1c2ccccc2n(Cc2ccc([N+](=O)[O-])cc2)c1=O ZINC000010236609 263086266 /nfs/dbraw/zinc/08/62/66/263086266.db2.gz VPKMXFOGBDDYQQ-UHFFFAOYSA-N 0 0 297.314 2.779 20 5 CFBDRN O=C(Nc1ccc(-c2c[nH]cn2)cc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000519060094 265307541 /nfs/dbraw/zinc/30/75/41/265307541.db2.gz BSEDZTOGVUIPFA-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000519740091 265565267 /nfs/dbraw/zinc/56/52/67/265565267.db2.gz CDODYKVXMLIVEN-FZMZJTMJSA-N 0 0 276.336 2.955 20 5 CFBDRN Cc1cc(N2CC[C@H](c3cccnc3)C2)ncc1[N+](=O)[O-] ZINC000519829147 265610474 /nfs/dbraw/zinc/61/04/74/265610474.db2.gz YPTRFAAQJVTNSZ-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN CCCCC(=O)NCCN(C)c1ccc(C)cc1[N+](=O)[O-] ZINC001099977969 782038382 /nfs/dbraw/zinc/03/83/82/782038382.db2.gz RWBCDGDWCGWGCM-UHFFFAOYSA-N 0 0 293.367 2.646 20 5 CFBDRN C[C@@H](C(=O)NN1CCCC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000827647351 782039781 /nfs/dbraw/zinc/03/97/81/782039781.db2.gz DBYHKONHWLCIJE-NWDGAFQWSA-N 0 0 291.351 2.604 20 5 CFBDRN Cc1cc(NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)n[nH]1 ZINC000192277916 270230413 /nfs/dbraw/zinc/23/04/13/270230413.db2.gz WEZBNPBCSSQDSZ-UHFFFAOYSA-N 0 0 289.295 2.887 20 5 CFBDRN Cc1cc(NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)n[nH]1 ZINC000192292027 270230493 /nfs/dbraw/zinc/23/04/93/270230493.db2.gz WLWXQNUIMWBLTK-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC1Cc2ccccc2C1 ZINC000192485254 270231923 /nfs/dbraw/zinc/23/19/23/270231923.db2.gz DAXYYLCSWQLXRT-UHFFFAOYSA-N 0 0 296.326 2.800 20 5 CFBDRN CC(C)(C)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000193512273 270238824 /nfs/dbraw/zinc/23/88/24/270238824.db2.gz MPXHKGIOXZXIIP-UHFFFAOYSA-N 0 0 251.286 2.762 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCC(C)(C)C ZINC000193678679 270239332 /nfs/dbraw/zinc/23/93/32/270239332.db2.gz KYXAGVBJXHXGDV-UHFFFAOYSA-N 0 0 279.340 2.782 20 5 CFBDRN CCCN(C)C(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000194836355 270247758 /nfs/dbraw/zinc/24/77/58/270247758.db2.gz NCODNUCGGUASEK-UHFFFAOYSA-N 0 0 268.338 2.799 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])o2)CCCCC1 ZINC000194842325 270247886 /nfs/dbraw/zinc/24/78/86/270247886.db2.gz AWWCVHQMWIHTAX-UHFFFAOYSA-N 0 0 252.270 2.640 20 5 CFBDRN CC(C)CCOCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000195086681 270250328 /nfs/dbraw/zinc/25/03/28/270250328.db2.gz MJABBYFXFIPCOV-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(O)CCCC[C@H]3C2)c(F)c1 ZINC000227373291 270257484 /nfs/dbraw/zinc/25/74/84/270257484.db2.gz KXHJGDVLZYOYAI-NHYWBVRUSA-N 0 0 294.326 2.865 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2F)C1(C)C ZINC000227821776 270258196 /nfs/dbraw/zinc/25/81/96/270258196.db2.gz GAXHWXVHXUBWEL-NEPJUHHUSA-N 0 0 268.288 2.959 20 5 CFBDRN CCOCCCCNc1ncc(C)cc1[N+](=O)[O-] ZINC000266201264 270276204 /nfs/dbraw/zinc/27/62/04/270276204.db2.gz JIVSEEAQIVWUHY-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN CC1(C)COC[C@@H]1NCc1c(Cl)cccc1[N+](=O)[O-] ZINC000313048797 270289657 /nfs/dbraw/zinc/28/96/57/270289657.db2.gz YSMAQFYNPHTVNR-LBPRGKRZSA-N 0 0 284.743 2.763 20 5 CFBDRN CC[C@@](C)(CNc1c(F)cccc1[N+](=O)[O-])OC ZINC000322802288 270296601 /nfs/dbraw/zinc/29/66/01/270296601.db2.gz QPXCFLPDLVJRFX-LBPRGKRZSA-N 0 0 256.277 2.961 20 5 CFBDRN CC[C@](C)(CNc1ccc([N+](=O)[O-])c(C)n1)OC ZINC000323030614 270297972 /nfs/dbraw/zinc/29/79/72/270297972.db2.gz MDDQIFOYNFYILU-GFCCVEGCSA-N 0 0 253.302 2.525 20 5 CFBDRN CCC[C@H](Nc1ncc([N+](=O)[O-])cn1)C1CCC1 ZINC000324795924 270298162 /nfs/dbraw/zinc/29/81/62/270298162.db2.gz NJCBNSZLJWDHRW-NSHDSACASA-N 0 0 250.302 2.766 20 5 CFBDRN Cc1cccc(N[C@@H]2CC23CCOCC3)c1[N+](=O)[O-] ZINC000395658830 270312739 /nfs/dbraw/zinc/31/27/39/270312739.db2.gz XCKZAJRCGDXJSO-GFCCVEGCSA-N 0 0 262.309 2.884 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@]2(C)C(C)C)n1 ZINC000397178584 270316230 /nfs/dbraw/zinc/31/62/30/270316230.db2.gz HPULITAHFIIBAY-RISCZKNCSA-N 0 0 293.323 2.623 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2ncc([N+](=O)[O-])cc2Cl)O1 ZINC000397858218 270319777 /nfs/dbraw/zinc/31/97/77/270319777.db2.gz CGWMWDOIXXLWLA-CBAPKCEASA-N 0 0 271.704 2.623 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000397885835 270320433 /nfs/dbraw/zinc/32/04/33/270320433.db2.gz PRYCRRLTVADFPS-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1ncc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000398005652 270321580 /nfs/dbraw/zinc/32/15/80/270321580.db2.gz XSFUDVQDBHXDNU-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000399163503 270326766 /nfs/dbraw/zinc/32/67/66/270326766.db2.gz MNBCBWDROIKECP-PWSUYJOCSA-N 0 0 291.351 2.897 20 5 CFBDRN CC(C)(C(=O)NCc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000514910722 270357535 /nfs/dbraw/zinc/35/75/35/270357535.db2.gz OQZWXPORBKZBFI-UHFFFAOYSA-N 0 0 286.278 2.892 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000515304599 270367488 /nfs/dbraw/zinc/36/74/88/270367488.db2.gz OFLVFXQPMMEUNF-QWRGUYRKSA-N 0 0 295.314 2.799 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2cc([N+](=O)[O-])cn2C)[C@H]1C ZINC000516613278 270385199 /nfs/dbraw/zinc/38/51/99/270385199.db2.gz JOYOWCZMDADDCW-WOPDTQHZSA-N 0 0 293.367 2.735 20 5 CFBDRN CC[C@@H]1CCCCN1c1ncc([N+](=O)[O-])cc1F ZINC000517222537 270399820 /nfs/dbraw/zinc/39/98/20/270399820.db2.gz NMOHAKHALQZNCY-SECBINFHSA-N 0 0 253.277 2.898 20 5 CFBDRN Cn1c(C(=O)Nc2cc(F)ccc2Cl)ccc1[N+](=O)[O-] ZINC000524388677 270462578 /nfs/dbraw/zinc/46/25/78/270462578.db2.gz SCQDDUIDFOWINE-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN Cn1ccc2ccc(NC(=O)c3ccc([N+](=O)[O-])cn3)cc21 ZINC000526941744 270487214 /nfs/dbraw/zinc/48/72/14/270487214.db2.gz ZAVNYOXPQUUVMJ-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN CCc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000531882761 270846131 /nfs/dbraw/zinc/84/61/31/270846131.db2.gz GKRULKMFUHASAV-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN C[C@H](CCC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531882642 270846151 /nfs/dbraw/zinc/84/61/51/270846151.db2.gz RRXAYXAMECTFIK-SNVBAGLBSA-N 0 0 279.340 2.934 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000531880852 270846328 /nfs/dbraw/zinc/84/63/28/270846328.db2.gz GFLFVYOAIMRLLM-UHFFFAOYSA-N 0 0 285.303 2.655 20 5 CFBDRN O=C(Nc1cnc2ccccc2c1)c1ccc([N+](=O)[O-])cn1 ZINC000531887423 270846848 /nfs/dbraw/zinc/84/68/48/270846848.db2.gz GYSHDMWQZJEFAB-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN CSc1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000532143343 270853989 /nfs/dbraw/zinc/85/39/89/270853989.db2.gz YMFPRKFJPZAEBL-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN Cc1nnc([C@H](C)Nc2cc(C)ccc2[N+](=O)[O-])o1 ZINC000533205201 270876485 /nfs/dbraw/zinc/87/64/85/270876485.db2.gz IBZOATIYXPTGRR-QMMMGPOBSA-N 0 0 262.269 2.768 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)c2ccccc2O1 ZINC000347123840 274576082 /nfs/dbraw/zinc/57/60/82/274576082.db2.gz CTWKQVOUMLTCQG-VIFPVBQESA-N 0 0 288.259 2.616 20 5 CFBDRN O=C(Nc1ccnc2ccncc21)c1ccc([N+](=O)[O-])cc1 ZINC000427602711 275000322 /nfs/dbraw/zinc/00/03/22/275000322.db2.gz HJVRIKJIUCXOPB-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN O=C1CCN(Cc2cccc([N+](=O)[O-])c2)c2ccccc2N1 ZINC000118846455 275017634 /nfs/dbraw/zinc/01/76/34/275017634.db2.gz QKJRMJMGHGUVBL-UHFFFAOYSA-N 0 0 297.314 2.944 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@@H]1CCn2ccnc2C1 ZINC000432146247 275039372 /nfs/dbraw/zinc/03/93/72/275039372.db2.gz AAJPODNNSSFXQG-SECBINFHSA-N 0 0 292.726 2.872 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc(N(C)C)no1 ZINC000350394640 275400225 /nfs/dbraw/zinc/40/02/25/275400225.db2.gz OCUNZELQHYKMEJ-CMDGGOBGSA-N 0 0 274.280 2.604 20 5 CFBDRN CC(C)(C)N1C[C@H](Nc2ccc([N+](=O)[O-])cc2F)CC1=O ZINC000301459996 275515071 /nfs/dbraw/zinc/51/50/71/275515071.db2.gz DGTUTNCZIRDWBD-SECBINFHSA-N 0 0 295.314 2.545 20 5 CFBDRN CC(C)(O)[C@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000302125108 275556050 /nfs/dbraw/zinc/55/60/50/275556050.db2.gz HYBSOGPXMHNIOZ-GFCCVEGCSA-N 0 0 284.743 2.988 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@H](C)[C@@H]1C ZINC000293957261 275730159 /nfs/dbraw/zinc/73/01/59/275730159.db2.gz FZGDYUHSAGQEQX-IMNITIESSA-N 0 0 274.320 2.865 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2CCC(C)(C)C2=O)c([N+](=O)[O-])c1 ZINC000413005501 275806235 /nfs/dbraw/zinc/80/62/35/275806235.db2.gz ZGQGHHVVLOWQLY-CYBMUJFWSA-N 0 0 291.303 2.934 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC=C(C)CC1 ZINC000361063514 275806240 /nfs/dbraw/zinc/80/62/40/275806240.db2.gz RRTSXFSUTNKJEB-UHFFFAOYSA-N 0 0 260.293 2.954 20 5 CFBDRN CC(C)(C)OC1CCN(c2ccc([N+](=O)[O-])nc2)CC1 ZINC000345640978 275831981 /nfs/dbraw/zinc/83/19/81/275831981.db2.gz UOZJWNBLERSHFI-UHFFFAOYSA-N 0 0 279.340 2.774 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000338418314 275884286 /nfs/dbraw/zinc/88/42/86/275884286.db2.gz ANDOZXBGRYBJRQ-JTQLQIEISA-N 0 0 290.348 2.844 20 5 CFBDRN CC1=C(Br)CN(c2ccc([N+](=O)[O-])cn2)CC1 ZINC000282101059 275901997 /nfs/dbraw/zinc/90/19/97/275901997.db2.gz YAYQKLPLHYFFOU-UHFFFAOYSA-N 0 0 298.140 2.869 20 5 CFBDRN CC(C)C[C@@H]1OCCC[C@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000480524915 275910161 /nfs/dbraw/zinc/91/01/61/275910161.db2.gz JVJUEQPGLNXVDN-OLZOCXBDSA-N 0 0 279.340 2.995 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000335698746 275919962 /nfs/dbraw/zinc/91/99/62/275919962.db2.gz FCIZGCKVDICPRA-QMMMGPOBSA-N 0 0 266.322 2.695 20 5 CFBDRN CC(C)[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000191692561 275988646 /nfs/dbraw/zinc/98/86/46/275988646.db2.gz QAIIIHUMDATWFS-AWEZNQCLSA-N 0 0 291.351 2.828 20 5 CFBDRN CC1(C)OCC[C@@H]1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000297833265 276155651 /nfs/dbraw/zinc/15/56/51/276155651.db2.gz VVXMHYIUTMIJMX-NSHDSACASA-N 0 0 298.726 2.546 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000276538616 276158278 /nfs/dbraw/zinc/15/82/78/276158278.db2.gz WRLLVONTLMLOID-LURJTMIESA-N 0 0 284.366 2.610 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3cc(F)c([N+](=O)[O-])cc3F)C[C@@H]21 ZINC000270143664 276160788 /nfs/dbraw/zinc/16/07/88/276160788.db2.gz STXAJPYRFPEDNP-DTORHVGOSA-N 0 0 296.273 2.601 20 5 CFBDRN CC1=C[C@H](C)CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413269344 276185094 /nfs/dbraw/zinc/18/50/94/276185094.db2.gz NFIOZNGLOUMCAV-QMMMGPOBSA-N 0 0 251.261 2.531 20 5 CFBDRN CCC[C@H]1[C@H](C)CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000266294071 276291741 /nfs/dbraw/zinc/29/17/41/276291741.db2.gz BFTGIYCSEAHMNH-PWSUYJOCSA-N 0 0 279.340 2.964 20 5 CFBDRN CCOC1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000302831117 276451238 /nfs/dbraw/zinc/45/12/38/276451238.db2.gz KXUFJHWNNDVOMI-UHFFFAOYSA-N 0 0 291.351 2.568 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000264790768 276498131 /nfs/dbraw/zinc/49/81/31/276498131.db2.gz SJMXCDDJZXMBHJ-MWLCHTKSSA-N 0 0 295.295 2.507 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000336834191 276705727 /nfs/dbraw/zinc/70/57/27/276705727.db2.gz QQCOYVYIYBNGGN-RTYFJBAXSA-N 0 0 278.356 2.881 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CC=CCC1 ZINC000192535054 276718183 /nfs/dbraw/zinc/71/81/83/276718183.db2.gz BRPWLRZBLYJIPF-LLVKDONJSA-N 0 0 264.329 2.888 20 5 CFBDRN CC(=O)c1ccc(N2CC=C(C)CC2)c([N+](=O)[O-])c1 ZINC000159974817 277110476 /nfs/dbraw/zinc/11/04/76/277110476.db2.gz CPTVZUWWMMNMOX-UHFFFAOYSA-N 0 0 260.293 2.954 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)CC(C)C ZINC000360909557 277113789 /nfs/dbraw/zinc/11/37/89/277113789.db2.gz IROGMJJVBBILTK-UHFFFAOYSA-N 0 0 250.298 2.890 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000270805907 277245098 /nfs/dbraw/zinc/24/50/98/277245098.db2.gz VPXVCOSXLYIADY-PWSUYJOCSA-N 0 0 279.340 2.995 20 5 CFBDRN CO[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H]1C ZINC000450755946 277294333 /nfs/dbraw/zinc/29/43/33/277294333.db2.gz JTAJEOGTVRDLHS-GZMMTYOYSA-N 0 0 285.731 2.504 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@H](c2ccccc2)C1)[N+](=O)[O-] ZINC000294597366 277295558 /nfs/dbraw/zinc/29/55/58/277295558.db2.gz CPRKGCHZJSNXMG-AWEZNQCLSA-N 0 0 290.363 2.838 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000294459205 277308888 /nfs/dbraw/zinc/30/88/88/277308888.db2.gz TYUXBQPPPKNDES-UHFFFAOYSA-N 0 0 276.214 2.666 20 5 CFBDRN CO[C@@](C)([C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000412613334 277317589 /nfs/dbraw/zinc/31/75/89/277317589.db2.gz PNMMGJOWAIEIMM-BMIGLBTASA-N 0 0 292.335 2.528 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000297422094 277336411 /nfs/dbraw/zinc/33/64/11/277336411.db2.gz BLAHKPOKKAKBGW-OLZOCXBDSA-N 0 0 278.308 2.739 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000281675525 277341447 /nfs/dbraw/zinc/34/14/47/277341447.db2.gz QDMPKIWUORZUOK-GWCFXTLKSA-N 0 0 250.298 2.599 20 5 CFBDRN CC(C)CC(CC(C)C)NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000352584225 277346295 /nfs/dbraw/zinc/34/62/95/277346295.db2.gz SSCDZNUYWYKBFN-UHFFFAOYSA-N 0 0 282.344 2.509 20 5 CFBDRN CO[C@]1(C)CCCN(c2ncc([N+](=O)[O-])cc2C)CC1 ZINC000280322623 277359298 /nfs/dbraw/zinc/35/92/98/277359298.db2.gz TYPVAEAAXYEXLO-CQSZACIVSA-N 0 0 279.340 2.694 20 5 CFBDRN COc1cc(N2CCSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000172762448 277382063 /nfs/dbraw/zinc/38/20/63/277382063.db2.gz DZSLSMMADPNPMU-VIFPVBQESA-N 0 0 268.338 2.545 20 5 CFBDRN COc1cc(N[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000277453851 277385736 /nfs/dbraw/zinc/38/57/36/277385736.db2.gz JZQAGJREJOZMDA-WCBMZHEXSA-N 0 0 284.287 2.579 20 5 CFBDRN COC(=O)c1cc(N2CC[C@H](C)[C@@H]2C)cc(C)c1[N+](=O)[O-] ZINC000301676870 277423407 /nfs/dbraw/zinc/42/34/07/277423407.db2.gz HTTBESNQAVGLSX-ONGXEEELSA-N 0 0 292.335 2.925 20 5 CFBDRN C[C@@H]1CCCN1c1ccc([N+](=O)[O-])c(N2CCC[C@@H]2C)n1 ZINC000277321435 277701278 /nfs/dbraw/zinc/70/12/78/277701278.db2.gz DGEXWGZEEIVQSQ-NEPJUHHUSA-N 0 0 290.367 2.967 20 5 CFBDRN C[C@@H]1CCN(c2ccccc2[N+](=O)[O-])CCS1 ZINC000276917542 277758586 /nfs/dbraw/zinc/75/85/86/277758586.db2.gz OLVDZNPYZYGTGW-SNVBAGLBSA-N 0 0 252.339 2.927 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC[C@@H](C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000269520373 278000683 /nfs/dbraw/zinc/00/06/83/278000683.db2.gz QFJGTEJVCMLCEA-ZJUUUORDSA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC[C@@H](C)C[C@H]2C)c1[N+](=O)[O-] ZINC000269520370 278000735 /nfs/dbraw/zinc/00/07/35/278000735.db2.gz QFJGTEJVCMLCEA-NXEZZACHSA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1ccc(CN2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000179864593 278036507 /nfs/dbraw/zinc/03/65/07/278036507.db2.gz DRLYOGNRRWKWRD-GFCCVEGCSA-N 0 0 278.352 2.939 20 5 CFBDRN C[C@@H]1OCC[C@H]1Sc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000297380223 278062656 /nfs/dbraw/zinc/06/26/56/278062656.db2.gz DINWVGVSOIEREP-WRWORJQWSA-N 0 0 279.321 2.741 20 5 CFBDRN COc1ccncc1CN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000347030341 278068229 /nfs/dbraw/zinc/06/82/29/278068229.db2.gz JBWPNQYABJUPRU-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN C[C@@]1(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCCO1 ZINC000292197230 278120275 /nfs/dbraw/zinc/12/02/75/278120275.db2.gz HGMHIORBCXTEAO-LBPRGKRZSA-N 0 0 284.699 2.756 20 5 CFBDRN C[C@@]1(CNc2ncccc2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000364917301 278123993 /nfs/dbraw/zinc/12/39/93/278123993.db2.gz SRGTVSWRCDQJFM-JSGCOSHPSA-N 0 0 277.324 2.607 20 5 CFBDRN C[C@@]1(F)CCCN(Cc2ccccc2[N+](=O)[O-])C1 ZINC000296096050 278125090 /nfs/dbraw/zinc/12/50/90/278125090.db2.gz RNTZCHMPMFJHCB-CYBMUJFWSA-N 0 0 252.289 2.919 20 5 CFBDRN CC1(C)CCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000170867518 278219330 /nfs/dbraw/zinc/21/93/30/278219330.db2.gz MMTFUUSSTQHKOJ-UHFFFAOYSA-N 0 0 254.311 2.671 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)[C@H]1C ZINC000267884190 278361781 /nfs/dbraw/zinc/36/17/81/278361781.db2.gz ODGKWQQKYZONFJ-UWVGGRQHSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@H]1CC[C@]2(CCN(C(=O)c3cc([N+](=O)[O-])cn3C)C2)C1 ZINC000336592961 278385180 /nfs/dbraw/zinc/38/51/80/278385180.db2.gz MRWGRKHNXOLZIZ-NHYWBVRUSA-N 0 0 291.351 2.586 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2ccccc2[N+](=O)[O-])C(C)(C)O1 ZINC000180565978 278387252 /nfs/dbraw/zinc/38/72/52/278387252.db2.gz IBITVNFINFQYQD-LBPRGKRZSA-N 0 0 292.335 2.671 20 5 CFBDRN CC1(C)C[C@H]([NH2+]Cc2cc([O-])ccc2[N+](=O)[O-])C(C)(C)O1 ZINC000330738504 278388925 /nfs/dbraw/zinc/38/89/25/278388925.db2.gz NQPRYLKTQOFWMC-ZDUSSCGKSA-N 0 0 294.351 2.736 20 5 CFBDRN C[C@H]1N(c2ccc([N+](=O)[O-])cc2)CCC12CCOCC2 ZINC000374929474 278508352 /nfs/dbraw/zinc/50/83/52/278508352.db2.gz FKYQLZQGKFGSER-GFCCVEGCSA-N 0 0 276.336 2.990 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@@H](c2ccco2)C1 ZINC000302118562 278559692 /nfs/dbraw/zinc/55/96/92/278559692.db2.gz SOXCYRXLQZSLGW-ZYHUDNBSSA-N 0 0 288.307 2.955 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000408106462 278580226 /nfs/dbraw/zinc/58/02/26/278580226.db2.gz YKFLFMPPLGEQPP-RKDXNWHRSA-N 0 0 288.307 2.621 20 5 CFBDRN CCC1CC[NH+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000330424216 278653153 /nfs/dbraw/zinc/65/31/53/278653153.db2.gz INDOBFQDAKZPPZ-UHFFFAOYSA-N 0 0 264.325 2.922 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)[C@H]1C ZINC000293951693 278683243 /nfs/dbraw/zinc/68/32/43/278683243.db2.gz FSBSAJUORAWINM-BDAKNGLRSA-N 0 0 274.276 2.821 20 5 CFBDRN Cc1cc(N2C[C@@H]3CCCC[C@H]3C2)ncc1[N+](=O)[O-] ZINC000301595919 278718161 /nfs/dbraw/zinc/71/81/61/278718161.db2.gz ZCXWUYBJPCGIGS-RYUDHWBXSA-N 0 0 261.325 2.925 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])C[C@@H](C)N1C ZINC000338785912 278798797 /nfs/dbraw/zinc/79/87/97/278798797.db2.gz BWSOZBXCHVQIJQ-NXEZZACHSA-N 0 0 283.759 2.777 20 5 CFBDRN C[C@@H]1CN(c2nccc3cc([N+](=O)[O-])ccc32)C[C@@H](C)O1 ZINC000295470281 278815893 /nfs/dbraw/zinc/81/58/93/278815893.db2.gz OXMMJGLTXHPLOV-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])CCC1=O ZINC000450460242 278815941 /nfs/dbraw/zinc/81/59/41/278815941.db2.gz ZVNIZCRBXZAYLF-SNVBAGLBSA-N 0 0 285.303 2.558 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCC1=O ZINC000347959292 278879610 /nfs/dbraw/zinc/87/96/10/278879610.db2.gz OOIIBBXDLCAAFS-BDAKNGLRSA-N 0 0 294.282 2.678 20 5 CFBDRN Cc1csc(N(C)C(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000341186002 279041280 /nfs/dbraw/zinc/04/12/80/279041280.db2.gz YXMJSOASPNOKDG-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1nccc2cc([N+](=O)[O-])ccc21 ZINC000297494677 279107220 /nfs/dbraw/zinc/10/72/20/279107220.db2.gz MRGVFKHEUAOXNG-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1C[Si](C)(C)C ZINC000171793158 279109237 /nfs/dbraw/zinc/10/92/37/279109237.db2.gz RCVWEZKNOAIFBK-UHFFFAOYSA-N 0 0 292.209 2.740 20 5 CFBDRN CCN(CC)c1ncc([N+](=O)[O-])c(C)c1Br ZINC000309233141 279170091 /nfs/dbraw/zinc/17/00/91/279170091.db2.gz ULYNNRXSRUBTAU-UHFFFAOYSA-N 0 0 288.145 2.907 20 5 CFBDRN CC(C)C[C@H]1CCCN(c2c([N+](=O)[O-])ncn2C)C1 ZINC000345605915 279209925 /nfs/dbraw/zinc/20/99/25/279209925.db2.gz AROJRPQWFBPPGY-LLVKDONJSA-N 0 0 266.345 2.591 20 5 CFBDRN CC(C)N(C)C(=O)[C@@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271635053 279338785 /nfs/dbraw/zinc/33/87/85/279338785.db2.gz PZMGRLUSFWJUIF-NWDGAFQWSA-N 0 0 293.367 2.501 20 5 CFBDRN C[C@H]1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000263704107 279729179 /nfs/dbraw/zinc/72/91/79/279729179.db2.gz MAHRSMIJEUNAKP-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN CCO[C@H]1CCN(c2cccc(OC)c2[N+](=O)[O-])C[C@@H]1C ZINC000450746338 279905802 /nfs/dbraw/zinc/90/58/02/279905802.db2.gz RBCDBWDWAIDMCT-AAEUAGOBSA-N 0 0 294.351 2.855 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](OC)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000450761747 279972765 /nfs/dbraw/zinc/97/27/65/279972765.db2.gz OYJHVEOIWARWOT-WCQYABFASA-N 0 0 294.351 2.855 20 5 CFBDRN C[C@H]1OCC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000149988852 280061057 /nfs/dbraw/zinc/06/10/57/280061057.db2.gz SRQPDKRKHIQURO-SKDRFNHKSA-N 0 0 273.292 2.732 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000312498732 280063004 /nfs/dbraw/zinc/06/30/04/280063004.db2.gz XLMKYFWSMQPAIB-JMCQJSRRSA-N 0 0 294.336 2.579 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cc([N+](=O)[O-])cc2F)[C@@H]1C ZINC000293384577 288208507 /nfs/dbraw/zinc/20/85/07/288208507.db2.gz KCOSIGXRYDOQQI-HTQZYQBOSA-N 0 0 256.252 2.713 20 5 CFBDRN C[C@H]1c2cccn2CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000298731971 280133091 /nfs/dbraw/zinc/13/30/91/280133091.db2.gz ONBLVPDYZFYWHZ-VIFPVBQESA-N 0 0 291.332 2.675 20 5 CFBDRN C[C@]1(O)CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)C1 ZINC000301858529 280170721 /nfs/dbraw/zinc/17/07/21/280170721.db2.gz JPBGGCAVQMDGON-INIZCTEOSA-N 0 0 299.330 2.618 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)[C@@H](C)CO1 ZINC000248424158 288214696 /nfs/dbraw/zinc/21/46/96/288214696.db2.gz NGVFDIFSYGOQQJ-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269329677 280309804 /nfs/dbraw/zinc/30/98/04/280309804.db2.gz ACDNZNOMWHIUNQ-NXEZZACHSA-N 0 0 294.355 2.750 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000271859377 280323940 /nfs/dbraw/zinc/32/39/40/280323940.db2.gz XKKIXYMHEWJTFO-NXEZZACHSA-N 0 0 294.355 2.844 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC3(CCC3(F)F)C2)cs1 ZINC000335322958 280357057 /nfs/dbraw/zinc/35/70/57/280357057.db2.gz NSDZUDXBVKWGCL-UHFFFAOYSA-N 0 0 274.292 2.887 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC[C@]12CCOC2 ZINC000421030105 280357909 /nfs/dbraw/zinc/35/79/09/280357909.db2.gz YHFIVULLGPOYAW-CYBMUJFWSA-N 0 0 282.727 2.613 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC(OC(F)F)C1 ZINC000349268004 280358142 /nfs/dbraw/zinc/35/81/42/280358142.db2.gz PMBWJQGFYDCQLX-UHFFFAOYSA-N 0 0 278.642 2.676 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC4(CCC4)C3)nc2c1 ZINC000278444077 280370080 /nfs/dbraw/zinc/37/00/80/280370080.db2.gz KSZICLXERDBDEM-UHFFFAOYSA-N 0 0 272.308 2.852 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC(F)(F)C(F)(F)C1 ZINC000413409092 280374817 /nfs/dbraw/zinc/37/48/17/280374817.db2.gz UDGJWQWVGVMEFV-UHFFFAOYSA-N 0 0 264.178 2.685 20 5 CFBDRN O=[N+]([O-])c1ccn(-c2ncnc3sc4ccccc4c32)n1 ZINC000440657290 280376923 /nfs/dbraw/zinc/37/69/23/280376923.db2.gz FIZXOIIWUIFTEW-UHFFFAOYSA-N 0 0 297.299 2.938 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCCC1 ZINC000278526507 280377812 /nfs/dbraw/zinc/37/78/12/280377812.db2.gz ZMRXZJOPSHQDAC-UHFFFAOYSA-N 0 0 261.203 2.609 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCSCC2)c(C(F)(F)F)c1 ZINC000413069247 280380368 /nfs/dbraw/zinc/38/03/68/280380368.db2.gz YUDAMTFCBXWNKP-UHFFFAOYSA-N 0 0 293.270 2.562 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@]3(C2)CCCOC3)c(Cl)c1 ZINC000376423088 280380955 /nfs/dbraw/zinc/38/09/55/280380955.db2.gz DLALIMRHUCEQJY-CYBMUJFWSA-N 0 0 297.742 2.650 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000173666921 280382418 /nfs/dbraw/zinc/38/24/18/280382418.db2.gz OQCXWLYCMGIEFD-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2ccc(F)c(F)c2C1 ZINC000361076370 280383922 /nfs/dbraw/zinc/38/39/22/280383922.db2.gz YELNRMAOBFFJBV-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2C[C@H](C)n3ccnc32)n1 ZINC000450831756 280399876 /nfs/dbraw/zinc/39/98/76/280399876.db2.gz QAVJJDZCORRGKH-QWRGUYRKSA-N 0 0 287.323 2.921 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)C1CCC(C)CC1 ZINC000053638732 280446750 /nfs/dbraw/zinc/44/67/50/280446750.db2.gz LSFJDYNOEUAQQW-UHFFFAOYSA-N 0 0 291.351 2.949 20 5 CFBDRN CCOc1ccc(C(=O)NCc2ccoc2)cc1[N+](=O)[O-] ZINC000058247339 280454959 /nfs/dbraw/zinc/45/49/59/280454959.db2.gz LJGUJIWKWZOHRL-UHFFFAOYSA-N 0 0 290.275 2.517 20 5 CFBDRN CCN(CC)C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000063888063 280464027 /nfs/dbraw/zinc/46/40/27/280464027.db2.gz RPUSHARNNZOVNP-SOFGYWHQSA-N 0 0 266.272 2.616 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067726014 280472649 /nfs/dbraw/zinc/47/26/49/280472649.db2.gz PCDWXMQMNFDRCJ-BXUZGUMPSA-N 0 0 291.351 2.973 20 5 CFBDRN C/C=C/C=C/C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000068927433 280478046 /nfs/dbraw/zinc/47/80/46/280478046.db2.gz OMSUWXAGPMXYCQ-MQQKCMAXSA-N 0 0 250.229 2.805 20 5 CFBDRN CC[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000070352863 280484260 /nfs/dbraw/zinc/48/42/60/280484260.db2.gz AMLNEIJECLWGQU-YPMHNXCESA-N 0 0 250.298 2.964 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(F)cn1 ZINC000071676380 280486700 /nfs/dbraw/zinc/48/67/00/280486700.db2.gz PCZPRKKCQGUNHD-FPYGCLRLSA-N 0 0 287.250 2.781 20 5 CFBDRN C/C=C/C=C/C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000073907052 280498188 /nfs/dbraw/zinc/49/81/88/280498188.db2.gz HKSUXRCDFVIHID-MQQKCMAXSA-N 0 0 268.219 2.944 20 5 CFBDRN CCn1cc(NC(=O)Nc2cc([N+](=O)[O-])ccc2C)cn1 ZINC000079719529 280536273 /nfs/dbraw/zinc/53/62/73/280536273.db2.gz HEMRFAVUAUPIQV-UHFFFAOYSA-N 0 0 289.295 2.764 20 5 CFBDRN CC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000079796237 280536466 /nfs/dbraw/zinc/53/64/66/280536466.db2.gz KPTRCDCXYZVENZ-UHFFFAOYSA-N 0 0 251.286 2.762 20 5 CFBDRN Cc1cc(N2CCCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000171047151 280550211 /nfs/dbraw/zinc/55/02/11/280550211.db2.gz VTCUWEHSAQYMMH-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cc(N2C[C@@H](C)OC[C@H]2C)ccc1[N+](=O)[O-] ZINC000298741166 280582925 /nfs/dbraw/zinc/58/29/25/280582925.db2.gz YEXRTQQWFUGTAC-GHMZBOCLSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)c2nccs2)cc1[N+](=O)[O-] ZINC000105995573 280584857 /nfs/dbraw/zinc/58/48/57/280584857.db2.gz BCFIOWILTZGUOB-LURJTMIESA-N 0 0 297.361 2.912 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1C[C@@H]2COC[C@@H]2C1 ZINC000368107346 280657088 /nfs/dbraw/zinc/65/70/88/280657088.db2.gz DSRJQRJBEWYZBN-AOOOYVTPSA-N 0 0 282.727 2.639 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCCc2ncccc21 ZINC000299645570 280657875 /nfs/dbraw/zinc/65/78/75/280657875.db2.gz WAKPNEMIYQXAHQ-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1ccc(CNCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000126989641 280659137 /nfs/dbraw/zinc/65/91/37/280659137.db2.gz LKWTYWBYESTKNV-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](C2CCOCC2)C1 ZINC000374352749 280665329 /nfs/dbraw/zinc/66/53/29/280665329.db2.gz QEHKTIFLOHHDCZ-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1C[C@H]2CCCC[C@@H]2C1 ZINC000301565631 280665557 /nfs/dbraw/zinc/66/55/57/280665557.db2.gz PUDJNYYLKPSDGS-VXGBXAGGSA-N 0 0 261.325 2.925 20 5 CFBDRN CCN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C1CC1 ZINC000128966011 280684940 /nfs/dbraw/zinc/68/49/40/280684940.db2.gz BEHFVXHQUISZNU-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1nnc(COc2ccc(C)cc2[N+](=O)[O-])n1C1CC1 ZINC000130572312 280705427 /nfs/dbraw/zinc/70/54/27/280705427.db2.gz SQQARCSICJRKKP-UHFFFAOYSA-N 0 0 288.307 2.717 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000131219135 280714090 /nfs/dbraw/zinc/71/40/90/280714090.db2.gz WHFQMXJSCJICHU-NSHDSACASA-N 0 0 262.309 2.775 20 5 CFBDRN COc1ccnc(CN2CCc3ccc([N+](=O)[O-])cc3C2)c1 ZINC000131491916 280718982 /nfs/dbraw/zinc/71/89/82/280718982.db2.gz SUAJHCGOECJKCO-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN Cc1[nH]nc(NC(=O)C=Cc2ccc([N+](=O)[O-])cc2)c1C ZINC000134560579 280760782 /nfs/dbraw/zinc/76/07/82/280760782.db2.gz KUIYFFZYFYSMRD-VMPITWQZSA-N 0 0 286.291 2.587 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2cccc(C)c2C)n1 ZINC000138477735 280790059 /nfs/dbraw/zinc/79/00/59/280790059.db2.gz DAEQCRSEGQCCSS-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCCCn1ccnc1 ZINC000148508731 280793391 /nfs/dbraw/zinc/79/33/91/280793391.db2.gz CFTNTCGXFMQWMK-UHFFFAOYSA-N 0 0 295.730 2.732 20 5 CFBDRN CC[C@H](C)[C@H]1CCCCN1c1ncc([N+](=O)[O-])cn1 ZINC000274219642 280801199 /nfs/dbraw/zinc/80/11/99/280801199.db2.gz YNZITLZSCUJJAV-CMPLNLGQSA-N 0 0 264.329 2.790 20 5 CFBDRN CSCCOc1ccc([N+](=O)[O-])c2ncccc12 ZINC000184979076 280832067 /nfs/dbraw/zinc/83/20/67/280832067.db2.gz CFRVYOSFBSGLRW-UHFFFAOYSA-N 0 0 264.306 2.885 20 5 CFBDRN Cc1ccn(C)c1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000188882196 280864870 /nfs/dbraw/zinc/86/48/70/280864870.db2.gz JQOYAFLEAWIIMF-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2cc(Cl)ccc2F)c1=O ZINC000189099036 280869158 /nfs/dbraw/zinc/86/91/58/280869158.db2.gz ICZRSBSIQJAAPL-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1ncncc1Cl ZINC000189557516 280876537 /nfs/dbraw/zinc/87/65/37/280876537.db2.gz WNTBLLFPOGRUQQ-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCOC(F)F)c(Cl)c1 ZINC000193619459 280915061 /nfs/dbraw/zinc/91/50/61/280915061.db2.gz JRMIITGUBZDBKH-UHFFFAOYSA-N 0 0 281.646 2.684 20 5 CFBDRN CCCCCOCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000194810527 280927625 /nfs/dbraw/zinc/92/76/25/280927625.db2.gz SSHNUAANVYESAE-GFCCVEGCSA-N 0 0 294.351 2.979 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000194873336 280928443 /nfs/dbraw/zinc/92/84/43/280928443.db2.gz XBJNSVPKPWBRAF-SECBINFHSA-N 0 0 256.327 2.965 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)[C@H](C)[C@@H](C)O1 ZINC000408443823 288279478 /nfs/dbraw/zinc/27/94/78/288279478.db2.gz PTMOUADFXWPNDV-IWSPIJDZSA-N 0 0 285.731 2.645 20 5 CFBDRN COc1cc(NCc2cc(C)on2)c(F)cc1[N+](=O)[O-] ZINC000218847322 280933240 /nfs/dbraw/zinc/93/32/40/280933240.db2.gz ISUDKZAIDIJUCC-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN CCC1(NC(=O)c2ccc(NC)c([N+](=O)[O-])c2)CCC1 ZINC000223650360 280934474 /nfs/dbraw/zinc/93/44/74/280934474.db2.gz BLQQAYGMVUVKMR-UHFFFAOYSA-N 0 0 277.324 2.699 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCCCn2ccnc2)c(F)c1 ZINC000226546077 280934792 /nfs/dbraw/zinc/93/47/92/280934792.db2.gz CIVAKUMWAOJONV-UHFFFAOYSA-N 0 0 296.277 2.962 20 5 CFBDRN CC1(C)CCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000134344623 280975141 /nfs/dbraw/zinc/97/51/41/280975141.db2.gz QHJBAEFJTXQVFZ-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN COC(C)(C)C[NH2+]C/C=C\c1ccccc1[N+](=O)[O-] ZINC000255320709 281093743 /nfs/dbraw/zinc/09/37/43/281093743.db2.gz VKNGAZUQAKTKAV-VURMDHGXSA-N 0 0 264.325 2.623 20 5 CFBDRN CCN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000255443594 281098711 /nfs/dbraw/zinc/09/87/11/281098711.db2.gz VYAVZVGRXKJDIV-YFHOEESVSA-N 0 0 262.309 2.865 20 5 CFBDRN Cc1cc(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)ccn1 ZINC000255513731 281100611 /nfs/dbraw/zinc/10/06/11/281100611.db2.gz PLWHZQIEVPRPER-WAYWQWQTSA-N 0 0 283.287 2.950 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCC1(C2CC2)CC1 ZINC000255572077 281101940 /nfs/dbraw/zinc/10/19/40/281101940.db2.gz NSYTTXYUJFMRLV-BAQGIRSFSA-N 0 0 286.331 2.914 20 5 CFBDRN CN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@H]1CCSC1 ZINC000255684142 281105024 /nfs/dbraw/zinc/10/50/24/281105024.db2.gz FBXXNGZSADUEFC-IRBQFCFKSA-N 0 0 292.360 2.572 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC(C)(C)C[C@H](C)O ZINC000266242431 281114312 /nfs/dbraw/zinc/11/43/12/281114312.db2.gz LOUIOPVPSWDMSJ-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN CCCCCOCC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272806078 281122095 /nfs/dbraw/zinc/12/20/95/281122095.db2.gz MDMAGWWGPUBMMW-UHFFFAOYSA-N 0 0 296.323 2.749 20 5 CFBDRN Cn1ccc(CCNc2ccc3ncccc3c2[N+](=O)[O-])n1 ZINC000336861884 281164379 /nfs/dbraw/zinc/16/43/79/281164379.db2.gz GETJZRPZUQDKRA-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC2(O)CCC2)c(Cl)c1 ZINC000396797528 281198081 /nfs/dbraw/zinc/19/80/81/281198081.db2.gz ZBNCQMKMMQYTCP-UHFFFAOYSA-N 0 0 271.700 2.932 20 5 CFBDRN Cc1cc(NC[C@@H](C)CC(F)(F)F)ncc1[N+](=O)[O-] ZINC000396906089 281199320 /nfs/dbraw/zinc/19/93/20/281199320.db2.gz FEQULSQGSKMKGG-ZETCQYMHSA-N 0 0 277.246 2.721 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])c2ncccc12)C[C@H](C)O ZINC000397739383 281201962 /nfs/dbraw/zinc/20/19/62/281201962.db2.gz JLUVWWUUTCSGCL-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN COc1cc(NCc2cnc(C)o2)ccc1[N+](=O)[O-] ZINC000397976078 281203418 /nfs/dbraw/zinc/20/34/18/281203418.db2.gz DFGBMOWPVLSKME-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN Cc1cc(N[C@H]2CS[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000397985405 281203458 /nfs/dbraw/zinc/20/34/58/281203458.db2.gz UIJKYZXRFNKDKO-RKDXNWHRSA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2nc(C(F)F)no2)c1 ZINC000424103697 281226339 /nfs/dbraw/zinc/22/63/39/281226339.db2.gz SSYILTHYWGNJDK-UHFFFAOYSA-N 0 0 285.206 2.803 20 5 CFBDRN CCC1(C(=O)NCc2ccc(OC)c([N+](=O)[O-])c2)CCC1 ZINC000433411041 281233720 /nfs/dbraw/zinc/23/37/20/281233720.db2.gz DPXCIAYOGDZQPZ-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCc2ccc(O)cc2C1 ZINC000433412917 281233774 /nfs/dbraw/zinc/23/37/74/281233774.db2.gz RYUFMLVAIITDPQ-UHFFFAOYSA-N 0 0 270.288 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Oc2ncc(Cl)cn2)c1 ZINC000433438164 281234427 /nfs/dbraw/zinc/23/44/27/281234427.db2.gz XWLOJCAMAYVZEW-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H]1C[C@@H]1C1CC1 ZINC000433436433 281234466 /nfs/dbraw/zinc/23/44/66/281234466.db2.gz KVRHRZUAIVVMPS-ZJUUUORDSA-N 0 0 264.256 2.719 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)ns1 ZINC000435673436 281236011 /nfs/dbraw/zinc/23/60/11/281236011.db2.gz USHQDZIJSNLQHM-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cn1cncc1COc1ccc([N+](=O)[O-])cc1Cl ZINC000439549150 281238422 /nfs/dbraw/zinc/23/84/22/281238422.db2.gz KBYLVTAVDCLTIU-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN CCCc1ncc(CNc2ccc([N+](=O)[O-])c(N)c2F)o1 ZINC000450846276 281245046 /nfs/dbraw/zinc/24/50/46/281245046.db2.gz LVLCQSIIEMOTFY-UHFFFAOYSA-N 0 0 294.286 2.869 20 5 CFBDRN CCOc1cccc(NCC2(CC)COC2)c1[N+](=O)[O-] ZINC000450848031 281245683 /nfs/dbraw/zinc/24/56/83/281245683.db2.gz SMWIUHAMBUEDSY-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1cccc(NCc2ncccc2N(C)C)c1[N+](=O)[O-] ZINC000291058885 281247619 /nfs/dbraw/zinc/24/76/19/281247619.db2.gz FNIXQMCRUPEURN-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN Cc1cccc(N[C@H]2c3ccccc3C[C@@H]2O)c1[N+](=O)[O-] ZINC000275495816 281248966 /nfs/dbraw/zinc/24/89/66/281248966.db2.gz RTIQGGZSZYDABQ-GJZGRUSLSA-N 0 0 284.315 2.973 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277159704 281263975 /nfs/dbraw/zinc/26/39/75/281263975.db2.gz QQWVPIGPNUACPG-GWCFXTLKSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cccc2c1CCN2c1ccc([N+](=O)[O-])cn1 ZINC000049491124 281269188 /nfs/dbraw/zinc/26/91/88/281269188.db2.gz QDYBMZIAOSMJCE-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN CC(C)CC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000489349064 281274037 /nfs/dbraw/zinc/27/40/37/281274037.db2.gz AXQZYBFGJOCZRO-GFCCVEGCSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1nc(Oc2cccc3c2OCCC3)ncc1[N+](=O)[O-] ZINC000517562131 281307231 /nfs/dbraw/zinc/30/72/31/281307231.db2.gz MPFZJLRQRFSGSJ-UHFFFAOYSA-N 0 0 287.275 2.811 20 5 CFBDRN CCc1cnc(CN(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000525269847 281313680 /nfs/dbraw/zinc/31/36/80/281313680.db2.gz KFYKQJIRQOGZJG-UHFFFAOYSA-N 0 0 275.308 2.777 20 5 CFBDRN C[C@H](NC(=O)N1[C@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000527456719 281331618 /nfs/dbraw/zinc/33/16/18/281331618.db2.gz PLRVAMBUGVYBEW-AXFHLTTASA-N 0 0 277.324 2.848 20 5 CFBDRN Cc1ccccc1C1=CCN(c2c([N+](=O)[O-])ncn2C)C1 ZINC000342785366 281337118 /nfs/dbraw/zinc/33/71/18/281337118.db2.gz LDTYXOPZIMVTBE-UHFFFAOYSA-N 0 0 284.319 2.540 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)o1 ZINC000531585001 281433437 /nfs/dbraw/zinc/43/34/37/281433437.db2.gz GLFJSNNJWSMEFO-YFKPBYRVSA-N 0 0 283.675 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CCCOC2)c1 ZINC000531621708 281435932 /nfs/dbraw/zinc/43/59/32/281435932.db2.gz IAUORJXATXGZFP-LLVKDONJSA-N 0 0 251.282 2.709 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000531627099 281435970 /nfs/dbraw/zinc/43/59/70/281435970.db2.gz YUFGIOHTUKPDEK-GHMZBOCLSA-N 0 0 277.324 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn(-c3ccccn3)c2)n1 ZINC000531961990 281442789 /nfs/dbraw/zinc/44/27/89/281442789.db2.gz GFHPAAIQGDWRTM-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN C[C@@H]1OCCN(c2ccc3ncccc3c2[N+](=O)[O-])[C@H]1C ZINC000534270752 281479664 /nfs/dbraw/zinc/47/96/64/281479664.db2.gz PJALIVWXACDDTA-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])[C@H](C)CO1 ZINC000535008635 281493403 /nfs/dbraw/zinc/49/34/03/281493403.db2.gz LEPWQDFZVFYYHN-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN CCOc1ccc(C(=O)NC(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000536566537 281522420 /nfs/dbraw/zinc/52/24/20/281522420.db2.gz IOLVGJDROFJQBS-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cnc(N(C)Cc2cccc(O)c2)c([N+](=O)[O-])c1 ZINC000536645930 281525389 /nfs/dbraw/zinc/52/53/89/281525389.db2.gz DOSZERJLGBQQPS-UHFFFAOYSA-N 0 0 273.292 2.640 20 5 CFBDRN CCO[C@@H](CC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000539650407 281571360 /nfs/dbraw/zinc/57/13/60/281571360.db2.gz LGLPDDNZFFGMKR-LBPRGKRZSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@@H](NCCOc1ccc([N+](=O)[O-])cc1)c1cscn1 ZINC000540180233 281582796 /nfs/dbraw/zinc/58/27/96/281582796.db2.gz CNLVKNSGFLXWNH-SNVBAGLBSA-N 0 0 293.348 2.781 20 5 CFBDRN CCCc1cnc(NC(=O)c2cc([N+](=O)[O-])cn2C)s1 ZINC000540633140 281588290 /nfs/dbraw/zinc/58/82/90/281588290.db2.gz WRBXRRYKPDXQCC-UHFFFAOYSA-N 0 0 294.336 2.595 20 5 CFBDRN Cc1c[nH]c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)n1 ZINC000433041772 281624573 /nfs/dbraw/zinc/62/45/73/281624573.db2.gz VSELQMAWJVVNHC-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C[C@H]1C ZINC000542587811 281629366 /nfs/dbraw/zinc/62/93/66/281629366.db2.gz XSVXDVUCAHMDLJ-GMTAPVOTSA-N 0 0 277.324 2.544 20 5 CFBDRN COc1ccc(NC(=O)N2C3CCC2CC3)cc1[N+](=O)[O-] ZINC000542959633 281638575 /nfs/dbraw/zinc/63/85/75/281638575.db2.gz VRIDUXCKLQZAEU-UHFFFAOYSA-N 0 0 291.307 2.762 20 5 CFBDRN Cc1ccncc1N(C)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000545215763 281681935 /nfs/dbraw/zinc/68/19/35/281681935.db2.gz JIRDZHNPOXIWMR-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN CCc1ccc(Nc2ncc([N+](=O)[O-])cn2)cc1C ZINC000545474395 281689661 /nfs/dbraw/zinc/68/96/61/281689661.db2.gz WORJODDOAFLLII-UHFFFAOYSA-N 0 0 258.281 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3Cc4ccccc43)no2)[nH]1 ZINC000545584267 281690289 /nfs/dbraw/zinc/69/02/89/281690289.db2.gz ZIGYJAMXKUQDQN-JTQLQIEISA-N 0 0 282.259 2.661 20 5 CFBDRN CCO[C@@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000545688454 281691870 /nfs/dbraw/zinc/69/18/70/281691870.db2.gz GAECZWFBQNMYFK-NWDGAFQWSA-N 0 0 289.291 2.846 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3ccccc3[N+](=O)[O-])C2)c1 ZINC000290889999 281698372 /nfs/dbraw/zinc/69/83/72/281698372.db2.gz TXOSGJPCIPNFFI-GFCCVEGCSA-N 0 0 272.308 2.551 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3ccc(F)cc3[N+](=O)[O-])C2)c1 ZINC000290667697 281698461 /nfs/dbraw/zinc/69/84/61/281698461.db2.gz HUYKLLBHXITMMK-GFCCVEGCSA-N 0 0 290.298 2.690 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3cc(C)ccc3[N+](=O)[O-])C2)c1 ZINC000290804809 281700932 /nfs/dbraw/zinc/70/09/32/281700932.db2.gz PPWFGSJXTAQKCL-ZDUSSCGKSA-N 0 0 286.335 2.860 20 5 CFBDRN CCCCc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000546243423 281706485 /nfs/dbraw/zinc/70/64/85/281706485.db2.gz CWYBDOSFVQANAV-UHFFFAOYSA-N 0 0 261.281 2.911 20 5 CFBDRN Cc1cccc(CC(=O)NCCc2ccccc2[N+](=O)[O-])c1 ZINC000547762243 281752255 /nfs/dbraw/zinc/75/22/55/281752255.db2.gz XEFKLJWLINJELY-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN COc1cc(CN2[C@@H](C)C[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000548096648 281767431 /nfs/dbraw/zinc/76/74/31/281767431.db2.gz DRQFEHZPPSIJDJ-IUCAKERBSA-N 0 0 268.288 2.725 20 5 CFBDRN O=C(Nc1cccc2ccccc21)c1c[nH]nc1[N+](=O)[O-] ZINC000548079773 281767925 /nfs/dbraw/zinc/76/79/25/281767925.db2.gz XUIDNLHPFCRGGK-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@H]2CCO)n1 ZINC000548293070 281776663 /nfs/dbraw/zinc/77/66/63/281776663.db2.gz SJXNXZVHGICZMA-NWDGAFQWSA-N 0 0 279.340 2.570 20 5 CFBDRN O=C(c1c[nH]nc1[N+](=O)[O-])N1CCC[C@@H]1C1CCCCC1 ZINC000548412044 281783637 /nfs/dbraw/zinc/78/36/37/281783637.db2.gz XDDNXFUZRMOKSQ-GFCCVEGCSA-N 0 0 292.339 2.503 20 5 CFBDRN CC(C)c1cccc(N(C)C(=O)c2c[nH]nc2[N+](=O)[O-])c1 ZINC000548414178 281783699 /nfs/dbraw/zinc/78/36/99/281783699.db2.gz UJJNQYFKTIXEAT-UHFFFAOYSA-N 0 0 288.307 2.718 20 5 CFBDRN CCc1ccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])cc1CC ZINC000549201243 281813221 /nfs/dbraw/zinc/81/32/21/281813221.db2.gz FGEUVSMHWGXKHC-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN CC(C)CC[C@@H](NC(=O)c1c[nH]nc1[N+](=O)[O-])C(C)(C)C ZINC000554849837 281904084 /nfs/dbraw/zinc/90/40/84/281904084.db2.gz CFJRHBVLAKYHNP-LLVKDONJSA-N 0 0 296.371 2.899 20 5 CFBDRN Cc1nc(N2CCO[C@H](C(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000447811401 281933416 /nfs/dbraw/zinc/93/34/16/281933416.db2.gz NNDCZJFUAVGTHZ-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1nc(N2CCc3sccc3C2)ccc1[N+](=O)[O-] ZINC000268457148 281942321 /nfs/dbraw/zinc/94/23/21/281942321.db2.gz HBBCFFNPQBPLNR-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1nc(N2Cc3ccccc3CO2)ccc1[N+](=O)[O-] ZINC000367935840 281948142 /nfs/dbraw/zinc/94/81/42/281948142.db2.gz SSWFMZUJHSJONH-UHFFFAOYSA-N 0 0 271.276 2.750 20 5 CFBDRN CC(=O)c1cc(NCc2ncccc2F)ccc1[N+](=O)[O-] ZINC000557642395 281967985 /nfs/dbraw/zinc/96/79/85/281967985.db2.gz LOJONBLZAGJDJL-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN O=C(Nc1ccc(F)c(C2CC2)c1)c1c[nH]nc1[N+](=O)[O-] ZINC000559054777 282000278 /nfs/dbraw/zinc/00/02/78/282000278.db2.gz WMAUGXMQYIERHD-UHFFFAOYSA-N 0 0 290.254 2.587 20 5 CFBDRN CC1(C)CC(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000560456369 282027445 /nfs/dbraw/zinc/02/74/45/282027445.db2.gz UIGJBCIANSAWAJ-UHFFFAOYSA-N 0 0 262.309 2.647 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@H](c2ccncc2)C1 ZINC000560615309 282037432 /nfs/dbraw/zinc/03/74/32/282037432.db2.gz LYPJRBLIELAORX-AWEZNQCLSA-N 0 0 297.314 2.620 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CSC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000560749455 282040266 /nfs/dbraw/zinc/04/02/66/282040266.db2.gz FFHYAOWNHRYGIH-KWBADKCTSA-N 0 0 294.376 2.566 20 5 CFBDRN C[C@@H](c1nc(-c2ccon2)no1)c1cccc([N+](=O)[O-])c1 ZINC000561899933 282062291 /nfs/dbraw/zinc/06/22/91/282062291.db2.gz PLIWVOZAJUKETA-MRVPVSSYSA-N 0 0 286.247 2.785 20 5 CFBDRN CN(C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C(C)(C)C ZINC000335521322 288917576 /nfs/dbraw/zinc/91/75/76/288917576.db2.gz ORWMZFIDGQKOMV-UHFFFAOYSA-N 0 0 275.308 2.947 20 5 CFBDRN C[C@@H]1SCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H]1C ZINC000265381066 288947506 /nfs/dbraw/zinc/94/75/06/288947506.db2.gz CPBZECMNUWNIRC-IUCAKERBSA-N 0 0 297.336 2.833 20 5 CFBDRN CNc1ccc(C(=O)NCCC(C)(C)C)cc1[N+](=O)[O-] ZINC000031760851 289822434 /nfs/dbraw/zinc/82/24/34/289822434.db2.gz XHETVTDYEFEAHI-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN Cc1conc1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000154674851 289900955 /nfs/dbraw/zinc/90/09/55/289900955.db2.gz FVRFNZIFHPZQOM-VOTSOKGWSA-N 0 0 273.248 2.543 20 5 CFBDRN CCOc1cc(N2CCC(CCO)CC2)ccc1[N+](=O)[O-] ZINC000400973769 290025215 /nfs/dbraw/zinc/02/52/15/290025215.db2.gz QTFUWAXPWWZQAO-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1C[C@H]2CC[C@@H](C1)O2 ZINC000488094585 290032458 /nfs/dbraw/zinc/03/24/58/290032458.db2.gz VPMAAZMHRTUKKQ-PHIMTYICSA-N 0 0 285.303 2.511 20 5 CFBDRN C[C@@H](CCNC(=O)c1c[nH]nc1[N+](=O)[O-])CC(C)(C)C ZINC000549752050 290037188 /nfs/dbraw/zinc/03/71/88/290037188.db2.gz HZNYBIGULDYNJN-VIFPVBQESA-N 0 0 282.344 2.510 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000562400341 290040414 /nfs/dbraw/zinc/04/04/14/290040414.db2.gz KJYRZHRQBVTMLE-JQWIXIFHSA-N 0 0 276.336 2.861 20 5 CFBDRN C[C@H](C(=O)N1CCC=C(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000562406045 290040941 /nfs/dbraw/zinc/04/09/41/290040941.db2.gz KOUPXBRWDULMCF-JTQLQIEISA-N 0 0 278.283 2.784 20 5 CFBDRN C[C@H](c1nc([C@@H]2CCOC2)no1)c1cccc([N+](=O)[O-])c1 ZINC000562413635 290041750 /nfs/dbraw/zinc/04/17/50/290041750.db2.gz WFUBCGPENWSIQY-GXSJLCMTSA-N 0 0 289.291 2.634 20 5 CFBDRN O=C(NC[C@@H]1CCCCC1(F)F)c1ccc([N+](=O)[O-])cn1 ZINC000562555479 290055174 /nfs/dbraw/zinc/05/51/74/290055174.db2.gz LVUULSCCBBCWEQ-VIFPVBQESA-N 0 0 299.277 2.545 20 5 CFBDRN C[C@@H]1CCCC[C@@]1(C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000562588075 290057936 /nfs/dbraw/zinc/05/79/36/290057936.db2.gz SQRCCHZTOBTBQB-QMTHXVAHSA-N 0 0 279.340 2.632 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCOC[C@H]1C1CCC1 ZINC000563083372 290101466 /nfs/dbraw/zinc/10/14/66/290101466.db2.gz JELAXKOQNGFGLV-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN CCc1ccc(OCCn2cc(C)cn2)c([N+](=O)[O-])c1 ZINC000563113381 290104781 /nfs/dbraw/zinc/10/47/81/290104781.db2.gz KSEVXHCWUCDGJG-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN CCCCCn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000563712150 290169790 /nfs/dbraw/zinc/16/97/90/290169790.db2.gz DKJLLNAYHLVNNH-UHFFFAOYSA-N 0 0 264.325 2.826 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@H]2CCCCO2)c1C ZINC000563975886 290187941 /nfs/dbraw/zinc/18/79/41/290187941.db2.gz NCEYGRQLAQGCPA-LLVKDONJSA-N 0 0 266.297 2.555 20 5 CFBDRN C[C@@H](C1CC1)N(C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000564705494 290224992 /nfs/dbraw/zinc/22/49/92/290224992.db2.gz ZPKCPVBBPSXTDL-QMMMGPOBSA-N 0 0 260.297 2.706 20 5 CFBDRN CC(C)c1scnc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000565202699 290280788 /nfs/dbraw/zinc/28/07/88/290280788.db2.gz APEFXGJNEPTVNZ-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CCCSC ZINC000565229158 290286085 /nfs/dbraw/zinc/28/60/85/290286085.db2.gz KWCCRYRICUJJCI-UHFFFAOYSA-N 0 0 270.354 2.793 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCCSC ZINC000565373964 290298097 /nfs/dbraw/zinc/29/80/97/290298097.db2.gz RTIRFFACIJASPQ-UHFFFAOYSA-N 0 0 256.327 2.768 20 5 CFBDRN CCC1(CC)CN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000565760240 290322937 /nfs/dbraw/zinc/32/29/37/290322937.db2.gz FWIPAUFXZIJYBU-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN C[C@H]1CC(NC(=O)c2c(N)cccc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000181113921 290350394 /nfs/dbraw/zinc/35/03/94/290350394.db2.gz RRIJPFKBENZEEF-ZACCUICWSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000372894553 290359902 /nfs/dbraw/zinc/35/99/02/290359902.db2.gz ZZYNBIZNZDQZMP-JTQLQIEISA-N 0 0 289.335 2.582 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000335509602 290361105 /nfs/dbraw/zinc/36/11/05/290361105.db2.gz NKQJRDSXNKHMMP-NSHDSACASA-N 0 0 274.320 3.000 20 5 CFBDRN C[C@H]1CCC[C@H](C)C1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000078266000 290492425 /nfs/dbraw/zinc/49/24/25/290492425.db2.gz XJJXNVILHRJUAR-IUCAKERBSA-N 0 0 266.297 2.742 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H](C)[C@H]1C ZINC000567783661 290494863 /nfs/dbraw/zinc/49/48/63/290494863.db2.gz JEPQMHDNKBVSJF-VHSXEESVSA-N 0 0 266.345 2.744 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000177058131 290565019 /nfs/dbraw/zinc/56/50/19/290565019.db2.gz KPTSJWJSUKZPQX-IUCAKERBSA-N 0 0 266.272 2.604 20 5 CFBDRN COC[C@@H]1CCCCN1c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000450942575 292878087 /nfs/dbraw/zinc/87/80/87/292878087.db2.gz VCYIDPHUYYJHKG-LBPRGKRZSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1cnc(N2CCC=C(Br)C2)c([N+](=O)[O-])c1 ZINC000281750829 292905048 /nfs/dbraw/zinc/90/50/48/292905048.db2.gz XWXHKEKGESGFGT-UHFFFAOYSA-N 0 0 298.140 2.787 20 5 CFBDRN COC[C@H]1CCCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000364150863 293196073 /nfs/dbraw/zinc/19/60/73/293196073.db2.gz LODXRFRRCSNYCG-LBPRGKRZSA-N 0 0 264.325 2.848 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)CC(C)(C)C1 ZINC000344514229 293608976 /nfs/dbraw/zinc/60/89/76/293608976.db2.gz DJCOSEJOBLLTFB-JTQLQIEISA-N 0 0 291.351 2.806 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)C[C@H](C)[C@H]1C ZINC000355957512 293608998 /nfs/dbraw/zinc/60/89/98/293608998.db2.gz ZHPGHEUEULYMMU-JFGNBEQYSA-N 0 0 291.351 2.805 20 5 CFBDRN Cc1nnc([C@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000271605800 293875032 /nfs/dbraw/zinc/87/50/32/293875032.db2.gz JFFIUWUVAJLDGY-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN Cc1sc(C(=O)N2CCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000081352663 294070146 /nfs/dbraw/zinc/07/01/46/294070146.db2.gz BILFCNXBFZMBSY-UHFFFAOYSA-N 0 0 268.338 2.837 20 5 CFBDRN Cc1sc(C(=O)N2CCS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000171571865 294070954 /nfs/dbraw/zinc/07/09/54/294070954.db2.gz CEGDQWZIPCWFCK-SSDOTTSWSA-N 0 0 286.378 2.542 20 5 CFBDRN Cc1sc(C(=O)N2C[C@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000267639351 294071695 /nfs/dbraw/zinc/07/16/95/294071695.db2.gz KUCBVLANCPBDSR-OCAPTIKFSA-N 0 0 268.338 2.693 20 5 CFBDRN C[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000280524342 297078986 /nfs/dbraw/zinc/07/89/86/297078986.db2.gz QZUNXEGYVYYCJC-YMTOWFKASA-N 0 0 280.299 2.738 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])[C@H](C)[C@@H](C)O1 ZINC000408443691 297082112 /nfs/dbraw/zinc/08/21/12/297082112.db2.gz OQCGJUSTSBXCIZ-GMTAPVOTSA-N 0 0 250.298 2.597 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])[C@@H](C)[C@H](C)O1 ZINC000408443694 297082156 /nfs/dbraw/zinc/08/21/56/297082156.db2.gz OQCGJUSTSBXCIZ-VWYCJHECSA-N 0 0 250.298 2.597 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000280538528 294833667 /nfs/dbraw/zinc/83/36/67/294833667.db2.gz SEAGSOQIAKNALV-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157331935 299892906 /nfs/dbraw/zinc/89/29/06/299892906.db2.gz AOIUKUDCTCMEKL-UWVGGRQHSA-N 0 0 277.324 2.580 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H](C)O[C@@H](C3CC3)C2)c1 ZINC000280565048 295254376 /nfs/dbraw/zinc/25/43/76/295254376.db2.gz VAGNKTNUWYLKRU-MEBBXXQBSA-N 0 0 292.335 2.607 20 5 CFBDRN COc1cccc(N2CCCC3(CC3)C2)c1[N+](=O)[O-] ZINC000185738201 295304807 /nfs/dbraw/zinc/30/48/07/295304807.db2.gz HGRKRJMWAWOLHN-UHFFFAOYSA-N 0 0 262.309 2.984 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc21)[C@@]12C[C@@H]1CCC2 ZINC000421417265 295583265 /nfs/dbraw/zinc/58/32/65/295583265.db2.gz BRCMFXUCGKYHMI-NHYWBVRUSA-N 0 0 272.304 2.674 20 5 CFBDRN C[C@@H]1CN(c2sccc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000302045341 297088404 /nfs/dbraw/zinc/08/84/04/297088404.db2.gz XURPSILOJQDEIC-HTQZYQBOSA-N 0 0 258.368 2.987 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000131569702 297225951 /nfs/dbraw/zinc/22/59/51/297225951.db2.gz DNZZIDJMPNYAKI-NXEZZACHSA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C[C@@H]1C ZINC000335849765 300192123 /nfs/dbraw/zinc/19/21/23/300192123.db2.gz DWINFQYTPPOVIY-IUCAKERBSA-N 0 0 282.727 2.976 20 5 CFBDRN Cc1c([C@H]2CCCN2c2ccccc2[N+](=O)[O-])cnn1C ZINC000301877588 301007320 /nfs/dbraw/zinc/00/73/20/301007320.db2.gz JBXLLKLUKATQAJ-CYBMUJFWSA-N 0 0 286.335 2.978 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCO[C@H](C(C)(C)C)C2)n1 ZINC000447798785 301204291 /nfs/dbraw/zinc/20/42/91/301204291.db2.gz AVCUJCRMYFJTFH-LBPRGKRZSA-N 0 0 293.367 2.858 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H]3CCC[C@H]32)n1 ZINC000408363188 301204939 /nfs/dbraw/zinc/20/49/39/301204939.db2.gz VAXINHIGJRIBCC-NWDGAFQWSA-N 0 0 261.325 2.985 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@H](C)OC(C)(C)C2)n1 ZINC000408172596 301205736 /nfs/dbraw/zinc/20/57/36/301205736.db2.gz YMDZZKPNQLNZTA-NSHDSACASA-N 0 0 279.340 2.610 20 5 CFBDRN Cc1cc2cc(NC(=O)c3ccc([N+](=O)[O-])[nH]3)ccc2[nH]1 ZINC000340691217 301459614 /nfs/dbraw/zinc/45/96/14/301459614.db2.gz QWLLPUSCASJAAT-UHFFFAOYSA-N 0 0 284.275 2.965 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(C)(C)OC[C@@H]2C)c1 ZINC000489624054 301848106 /nfs/dbraw/zinc/84/81/06/301848106.db2.gz IAAKRQWISPNAPK-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cccc(C(=O)N2CCC23CCC3)c1[N+](=O)[O-] ZINC000334568995 301943833 /nfs/dbraw/zinc/94/38/33/301943833.db2.gz NXVBDOHZYBRFAF-UHFFFAOYSA-N 0 0 260.293 2.672 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@@H](C)OC(C)(C)C2)c1[N+](=O)[O-] ZINC000068539096 301953105 /nfs/dbraw/zinc/95/31/05/301953105.db2.gz RDILOPCSYDXLRM-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cccc(N2CC[C@H]3OCCC[C@H]3C2)c1[N+](=O)[O-] ZINC000302488908 301998789 /nfs/dbraw/zinc/99/87/89/301998789.db2.gz JJUMJXSXODWGJY-GXTWGEPZSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cccc(N2C[C@@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000275490161 301999265 /nfs/dbraw/zinc/99/92/65/301999265.db2.gz QZUNHIBQNKHRCJ-PHIMTYICSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1cccc2c1CN(c1ccncc1[N+](=O)[O-])CC2 ZINC000340880406 302056718 /nfs/dbraw/zinc/05/67/18/302056718.db2.gz UFKXOUZQCHIJLV-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1ccnc(N2CCO[C@@H](c3ccccc3)C2)c1[N+](=O)[O-] ZINC000265160997 302272805 /nfs/dbraw/zinc/27/28/05/302272805.db2.gz DWHBCAVZNNJFCD-CQSZACIVSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1ccnc(N2CCO[C@H](c3ccccc3)C2)c1[N+](=O)[O-] ZINC000265160995 302273263 /nfs/dbraw/zinc/27/32/63/302273263.db2.gz DWHBCAVZNNJFCD-AWEZNQCLSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1cnc(N2CCCCC[C@H]2C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000286302935 302348203 /nfs/dbraw/zinc/34/82/03/302348203.db2.gz JPVJENBCUVZISD-OLZOCXBDSA-N 0 0 293.367 2.818 20 5 CFBDRN Cc1cnc(N2CCOC[C@@H]2c2ccccc2)c([N+](=O)[O-])c1 ZINC000487680796 302351242 /nfs/dbraw/zinc/35/12/42/302351242.db2.gz VHQIODJWYZVXLU-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1cnc(N2CC[C@H](C(C)(C)C)[C@H](O)C2)c([N+](=O)[O-])c1 ZINC000273196194 302352562 /nfs/dbraw/zinc/35/25/62/302352562.db2.gz DKDOQJKBZUCAPF-WCQYABFASA-N 0 0 293.367 2.532 20 5 CFBDRN Cc1csc(NC(=O)c2c(C)cccc2[N+](=O)[O-])n1 ZINC000184107358 302428693 /nfs/dbraw/zinc/42/86/93/302428693.db2.gz WRTSDDLGRZNNHG-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cn1ccnc1[C@@H]1N(c2ccccc2[N+](=O)[O-])CC1(C)C ZINC000295894948 303001216 /nfs/dbraw/zinc/00/12/16/303001216.db2.gz BZXKEXUOAQRTGY-ZDUSSCGKSA-N 0 0 286.335 2.916 20 5 CFBDRN Cn1ccnc1[C@H](NCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000122788824 303004622 /nfs/dbraw/zinc/00/46/22/303004622.db2.gz BGHLPFYNNFKKPZ-CQSZACIVSA-N 0 0 286.335 2.569 20 5 CFBDRN Nc1ccc(N2CCC[C@@H](C(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000286717816 303496232 /nfs/dbraw/zinc/49/62/32/303496232.db2.gz CVRGBBZMIPTJSQ-MRVPVSSYSA-N 0 0 289.257 2.956 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCS[C@@H]3CCCC[C@@H]32)s1 ZINC000192482224 304812212 /nfs/dbraw/zinc/81/22/12/304812212.db2.gz KXEJJDZJPJNFNX-DTWKUNHWSA-N 0 0 285.394 2.916 20 5 CFBDRN Cc1nccc(SCc2ccc([N+](=O)[O-])cc2)n1 ZINC000159433268 322323156 /nfs/dbraw/zinc/32/31/56/322323156.db2.gz CWMKDXTVTIYWQH-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN COCCCCCSc1ccc([N+](=O)[O-])cn1 ZINC000159747686 322327234 /nfs/dbraw/zinc/32/72/34/322327234.db2.gz BQOKMWFZPGCADO-UHFFFAOYSA-N 0 0 256.327 2.899 20 5 CFBDRN COc1ccnc(CSc2ccc([N+](=O)[O-])cn2)c1 ZINC000160226062 322334482 /nfs/dbraw/zinc/33/44/82/322334482.db2.gz GYFCCNGVTCQINX-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN Cc1nnc([C@@H](C)Sc2ccc([N+](=O)[O-])cn2)o1 ZINC000160229740 322334827 /nfs/dbraw/zinc/33/48/27/322334827.db2.gz JOIJFKLHVCIKTN-ZCFIWIBFSA-N 0 0 266.282 2.535 20 5 CFBDRN CC(=O)CCCCSc1ccc([N+](=O)[O-])cn1 ZINC000160334531 322336137 /nfs/dbraw/zinc/33/61/37/322336137.db2.gz PIHDATCUJTYSCU-UHFFFAOYSA-N 0 0 254.311 2.841 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)NC(C)C ZINC000160409617 322337622 /nfs/dbraw/zinc/33/76/22/322337622.db2.gz AEQKJZWBBGVUMU-UHFFFAOYSA-N 0 0 268.338 2.845 20 5 CFBDRN C[C@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000161413876 322351294 /nfs/dbraw/zinc/35/12/94/322351294.db2.gz KKWFLRAPMYBCQJ-WDEREUQCSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@]1(Nc2ccc3ncccc3c2[N+](=O)[O-])CCOC1 ZINC000161417127 322351570 /nfs/dbraw/zinc/35/15/70/322351570.db2.gz ZVDZAPLNOLOJAT-AWEZNQCLSA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000161522704 322353048 /nfs/dbraw/zinc/35/30/48/322353048.db2.gz UREJSJWCWTZNCT-RYUDHWBXSA-N 0 0 276.336 2.927 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000161691683 322355747 /nfs/dbraw/zinc/35/57/47/322355747.db2.gz SXQUGUPNSVUXOH-PHIMTYICSA-N 0 0 262.309 2.537 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1[C@@H](C)CC[C@@H]1C ZINC000162088769 322360582 /nfs/dbraw/zinc/36/05/82/322360582.db2.gz XSKILSSHGSTNPX-QWRGUYRKSA-N 0 0 262.309 2.916 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])C[C@@H]1CCCO1 ZINC000163334974 322375370 /nfs/dbraw/zinc/37/53/70/322375370.db2.gz SMZZPXWWILQGHM-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN COCCCN(C)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000163486649 322376290 /nfs/dbraw/zinc/37/62/90/322376290.db2.gz HKOZGJQYSGVBHM-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN Cc1ccc(NC(=O)c2cncc(F)c2)cc1[N+](=O)[O-] ZINC000164109348 322380642 /nfs/dbraw/zinc/38/06/42/322380642.db2.gz QHMCGGCDYMLIGL-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc(Oc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)cn1 ZINC000170639933 322390985 /nfs/dbraw/zinc/39/09/85/322390985.db2.gz VNLJMZHLSGGGTN-UHFFFAOYSA-N 0 0 299.286 2.975 20 5 CFBDRN O=c1c2ccoc2ccn1Cc1cccc([N+](=O)[O-])c1 ZINC000170869964 322395250 /nfs/dbraw/zinc/39/52/50/322395250.db2.gz SNZNNYKODCRTHZ-UHFFFAOYSA-N 0 0 270.244 2.551 20 5 CFBDRN CC(C)O[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000569358289 322468029 /nfs/dbraw/zinc/46/80/29/322468029.db2.gz SOSRUFLURMXYID-CYBMUJFWSA-N 0 0 293.323 2.626 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@@H](C)C(C)(C)C ZINC000569403397 322470133 /nfs/dbraw/zinc/47/01/33/322470133.db2.gz COWGDNUISYOBAE-VIFPVBQESA-N 0 0 268.361 2.990 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H](F)C1 ZINC000569599093 322484617 /nfs/dbraw/zinc/48/46/17/322484617.db2.gz BTHUZECXKCCBIV-VXGBXAGGSA-N 0 0 295.314 2.675 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000569727196 322492833 /nfs/dbraw/zinc/49/28/33/322492833.db2.gz KTZFMAIRAVRALJ-IUCAKERBSA-N 0 0 298.368 2.513 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C(=O)OC(C)(C)C ZINC000569907902 322503859 /nfs/dbraw/zinc/50/38/59/322503859.db2.gz ZAGLWIDMRDHPMM-SNVBAGLBSA-N 0 0 299.302 2.661 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(F)cn1)c1cccc([N+](=O)[O-])c1 ZINC000570265718 322523031 /nfs/dbraw/zinc/52/30/31/322523031.db2.gz CQEPFDPQIXWYNR-VIFPVBQESA-N 0 0 289.266 2.871 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H](F)C2)n1 ZINC000570326906 322526251 /nfs/dbraw/zinc/52/62/51/322526251.db2.gz LFVBQVSKQDZXHC-NXEZZACHSA-N 0 0 253.277 2.991 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000571052694 322566920 /nfs/dbraw/zinc/56/69/20/322566920.db2.gz CBUFPQWDAKNWGD-ZDUSSCGKSA-N 0 0 287.319 2.814 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000571170444 322573304 /nfs/dbraw/zinc/57/33/04/322573304.db2.gz BWPYAFPUIXMHQD-SNVBAGLBSA-N 0 0 264.325 2.688 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@H](c2ccccc2)C1 ZINC000571842253 322597670 /nfs/dbraw/zinc/59/76/70/322597670.db2.gz WPHAGNKXOWZQGR-JOCQHMNTSA-N 0 0 299.330 2.609 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CCC2(CCCC2)O1 ZINC000571980225 322602750 /nfs/dbraw/zinc/60/27/50/322602750.db2.gz LHLKRLQTCWTVTN-LBPRGKRZSA-N 0 0 292.335 2.557 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000572378450 322617124 /nfs/dbraw/zinc/61/71/24/322617124.db2.gz FGFLSMQRHVKJPP-OAHLLOKOSA-N 0 0 294.351 2.592 20 5 CFBDRN CCOCCCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000572597170 322624791 /nfs/dbraw/zinc/62/47/91/322624791.db2.gz AQMJQBWDCFLNFF-UHFFFAOYSA-N 0 0 279.340 2.707 20 5 CFBDRN Cc1c(NC(=O)C[C@@H](C)n2ccnc2)cccc1[N+](=O)[O-] ZINC000572726195 322629705 /nfs/dbraw/zinc/62/97/05/322629705.db2.gz NPMVSMHRESMWIV-SNVBAGLBSA-N 0 0 288.307 2.690 20 5 CFBDRN CN(C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)C(C)(C)C ZINC000573493135 322658221 /nfs/dbraw/zinc/65/82/21/322658221.db2.gz NPINYZYPAMLWGN-UHFFFAOYSA-N 0 0 289.335 2.806 20 5 CFBDRN O=[N+]([O-])c1cnc(N(CC2CC2)CC2CC2)c(F)c1 ZINC000574050425 322679009 /nfs/dbraw/zinc/67/90/09/322679009.db2.gz HLHKZJJZJPVQOV-UHFFFAOYSA-N 0 0 265.288 2.755 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCCOCC1 ZINC000574100033 322680758 /nfs/dbraw/zinc/68/07/58/322680758.db2.gz SBLGWINQEZXAEL-JTQLQIEISA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1SCCC(C)(C)O ZINC000574703089 322702979 /nfs/dbraw/zinc/70/29/79/322702979.db2.gz FKFSGYSMIIZPLN-UHFFFAOYSA-N 0 0 256.327 2.551 20 5 CFBDRN Cc1cc(COc2cccc([N+](=O)[O-])c2C)n(C)n1 ZINC000574770167 322705384 /nfs/dbraw/zinc/70/53/84/322705384.db2.gz HINJBDUWEQNLHK-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCOC[C@H]2C2CCC2)n1 ZINC000575395377 322725368 /nfs/dbraw/zinc/72/53/68/322725368.db2.gz BAIFCBLNKPHOQV-ZDUSSCGKSA-N 0 0 291.351 2.612 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cccc3cc[nH]c32)c1[N+](=O)[O-] ZINC000575743536 322727609 /nfs/dbraw/zinc/72/76/09/322727609.db2.gz XGRLFORZNWCDDT-UHFFFAOYSA-N 0 0 299.290 2.614 20 5 CFBDRN CCOc1cc(N2C[C@@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000174422303 323649393 /nfs/dbraw/zinc/64/93/93/323649393.db2.gz GQJUJUWKHCFWAZ-LLVKDONJSA-N 0 0 294.351 2.997 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000175974913 323671267 /nfs/dbraw/zinc/67/12/67/323671267.db2.gz VFZYXXRZPPJANQ-NEPJUHHUSA-N 0 0 291.351 2.925 20 5 CFBDRN COc1ccc(-c2nc3ccc(C)nc3[nH]2)cc1[N+](=O)[O-] ZINC000178980794 323728905 /nfs/dbraw/zinc/72/89/05/323728905.db2.gz QDLCCBGFKVXJNN-UHFFFAOYSA-N 0 0 284.275 2.850 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)cc1O ZINC000180985532 323796044 /nfs/dbraw/zinc/79/60/44/323796044.db2.gz SCSPBVBTWICKJM-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSC[C@H](C)OC ZINC000181132134 323801419 /nfs/dbraw/zinc/80/14/19/323801419.db2.gz PKYVKZMWMOUKDP-VIFPVBQESA-N 0 0 271.338 2.872 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCSc2ccccc2)c1=O ZINC000182901337 323887563 /nfs/dbraw/zinc/88/75/63/323887563.db2.gz KSJFZLPIXQYFSY-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN Cc1c(C(=O)N2CCS[C@@H](C)CC2)cccc1[N+](=O)[O-] ZINC000191343682 324048432 /nfs/dbraw/zinc/04/84/32/324048432.db2.gz AYNMWLRGKQZSJI-JTQLQIEISA-N 0 0 294.376 2.871 20 5 CFBDRN CCCCCC(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000193581977 324074015 /nfs/dbraw/zinc/07/40/15/324074015.db2.gz OAYJSFIJPUHLII-UHFFFAOYSA-N 0 0 280.324 2.800 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(-c2ccc(=O)[nH]c2)no1 ZINC000350795015 324258629 /nfs/dbraw/zinc/25/86/29/324258629.db2.gz AYILEVSFFNWOJK-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3cscn3)n2)s1 ZINC000350821955 324264150 /nfs/dbraw/zinc/26/41/50/324264150.db2.gz BPLBIVLPKOKYDQ-UHFFFAOYSA-N 0 0 280.290 2.830 20 5 CFBDRN C[C@H]1CC/C(=C/c2nc(-c3c([N+](=O)[O-])ncn3C)no2)C1 ZINC000350903170 324279174 /nfs/dbraw/zinc/27/91/74/324279174.db2.gz QPUNCBRYCMQKRI-HWPCKVLBSA-N 0 0 289.295 2.582 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(C2CCCCCC2)n1 ZINC000350909157 324280819 /nfs/dbraw/zinc/28/08/19/324280819.db2.gz JWENJHBMTYOQQB-UHFFFAOYSA-N 0 0 291.311 2.816 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(CCC(C)(C)C)n2)n1C ZINC000350925358 324284078 /nfs/dbraw/zinc/28/40/78/324284078.db2.gz LOGKMXWXOZPXCW-UHFFFAOYSA-N 0 0 293.327 2.665 20 5 CFBDRN Cc1cc(-c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)c(C)o1 ZINC000351044226 324298479 /nfs/dbraw/zinc/29/84/79/324298479.db2.gz RQFCEIYWHUPACW-UHFFFAOYSA-N 0 0 274.236 2.850 20 5 CFBDRN CC/C(C)=C\c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000351102079 324311474 /nfs/dbraw/zinc/31/14/74/324311474.db2.gz HTMAAFGFQXRVCP-SREVYHEPSA-N 0 0 277.284 2.500 20 5 CFBDRN CSCC(C)(C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351150047 324329352 /nfs/dbraw/zinc/32/93/52/324329352.db2.gz SCVBTPFYFBANBG-UHFFFAOYSA-N 0 0 283.309 2.878 20 5 CFBDRN CC1(C)CC[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351151177 324330231 /nfs/dbraw/zinc/33/02/31/324330231.db2.gz UMHRUOZSQXNTQG-QMMMGPOBSA-N 0 0 279.252 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC3CCSCC3)n2)o1 ZINC000351152276 324330499 /nfs/dbraw/zinc/33/04/99/324330499.db2.gz WFVWBHSLUCZYSR-UHFFFAOYSA-N 0 0 295.320 2.924 20 5 CFBDRN CC(C)(c1nc(-c2ccc([N+](=O)[O-])o2)no1)C1CC1 ZINC000351153367 324330739 /nfs/dbraw/zinc/33/07/39/324330739.db2.gz YEAHQMHHCBYGNI-UHFFFAOYSA-N 0 0 263.253 2.925 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351153388 324330755 /nfs/dbraw/zinc/33/07/55/324330755.db2.gz YGJQCJSMQLIJQC-XSSZXYGBSA-N 0 0 279.252 2.724 20 5 CFBDRN COCCC[C@@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351154012 324331320 /nfs/dbraw/zinc/33/13/20/324331320.db2.gz YUDWWGWWMVWEKL-MRVPVSSYSA-N 0 0 281.268 2.768 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000384114378 324443772 /nfs/dbraw/zinc/44/37/72/324443772.db2.gz BYUVJICASADDSI-ZWNOBZJWSA-N 0 0 291.351 2.897 20 5 CFBDRN CC[C@@H](Nc1ccnc2c1cccc2[N+](=O)[O-])[C@H](C)O ZINC000386796158 324482410 /nfs/dbraw/zinc/48/24/10/324482410.db2.gz AWQDFAHFEUVGGY-GXSJLCMTSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CC23CCOCC3)c1 ZINC000386915647 324484102 /nfs/dbraw/zinc/48/41/02/324484102.db2.gz OIKLWYQMYAYHLQ-ZDUSSCGKSA-N 0 0 262.309 2.884 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1ccccc1[N+](=O)[O-])C1CC1 ZINC000388341953 324504914 /nfs/dbraw/zinc/50/49/14/324504914.db2.gz JZAQKMTWFUYENI-ZANVPECISA-N 0 0 250.298 2.820 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2C[C@@]2(C)C(C)C)cc1[N+](=O)[O-] ZINC000397340812 324546295 /nfs/dbraw/zinc/54/62/95/324546295.db2.gz COQUOSOVFBTABS-ZFWWWQNUSA-N 0 0 291.351 2.801 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2ccc(NC)c([N+](=O)[O-])c2)C1 ZINC000399700750 324555335 /nfs/dbraw/zinc/55/53/35/324555335.db2.gz AWMGXNXZUJABPZ-HNNXBMFYSA-N 0 0 291.351 2.899 20 5 CFBDRN CCc1cc(CNc2c(F)cccc2[N+](=O)[O-])on1 ZINC000576852051 324667808 /nfs/dbraw/zinc/66/78/08/324667808.db2.gz TZMZRNYVOSGRHD-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000577191409 324708822 /nfs/dbraw/zinc/70/88/22/324708822.db2.gz KCIWVGWSGFPGRI-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN COc1ccc([C@@H]2CCN(c3ccc([N+](=O)[O-])nc3)C2)cc1 ZINC000577321027 324722687 /nfs/dbraw/zinc/72/26/87/324722687.db2.gz LCSZUJNXYUAACK-CYBMUJFWSA-N 0 0 299.330 2.992 20 5 CFBDRN C[C@@H](O)[C@H](C)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000578523591 324861545 /nfs/dbraw/zinc/86/15/45/324861545.db2.gz IBLAUQFXOAYAPR-SFYZADRCSA-N 0 0 259.302 2.736 20 5 CFBDRN CCCN(C(=O)NCCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000578903862 324901397 /nfs/dbraw/zinc/90/13/97/324901397.db2.gz CQNFFWAIHLGCGO-UHFFFAOYSA-N 0 0 293.367 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[S@](=O)C(C)(C)CC2)c1 ZINC000578954689 324904521 /nfs/dbraw/zinc/90/45/21/324904521.db2.gz WRFPULPAZUNVAS-FQEVSTJZSA-N 0 0 296.392 2.641 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000579549814 324958546 /nfs/dbraw/zinc/95/85/46/324958546.db2.gz MTUSYFHCDZNGEL-GIPNMCIBSA-N 0 0 262.309 2.613 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Nc1ncc([N+](=O)[O-])c(C)n1)CO2 ZINC000579915933 324990005 /nfs/dbraw/zinc/99/00/05/324990005.db2.gz NCOVAKMQOFEOMT-LLVKDONJSA-N 0 0 286.291 2.547 20 5 CFBDRN CCn1ccnc1CN[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000579918370 324990774 /nfs/dbraw/zinc/99/07/74/324990774.db2.gz LMGAMJUTZHDBCH-GFCCVEGCSA-N 0 0 288.351 2.970 20 5 CFBDRN CCOCCN(CC)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000580142703 325009452 /nfs/dbraw/zinc/00/94/52/325009452.db2.gz JLNKDVBUMBMWFE-LBPRGKRZSA-N 0 0 294.351 2.583 20 5 CFBDRN Cc1cnc(C)nc1N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000580212546 325017492 /nfs/dbraw/zinc/01/74/92/325017492.db2.gz PRPZYBQYDJMSRY-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN CCc1nc(CN(C)c2ccc([N+](=O)[O-])nc2)cs1 ZINC000580341953 325028513 /nfs/dbraw/zinc/02/85/13/325028513.db2.gz MQQBKDMZJUSCLS-UHFFFAOYSA-N 0 0 278.337 2.645 20 5 CFBDRN C[C@@H](C(=O)NCCc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000580389618 325030757 /nfs/dbraw/zinc/03/07/57/325030757.db2.gz WSKAOUMASWHSSZ-LLVKDONJSA-N 0 0 288.303 2.650 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCOC2CCC2)c1 ZINC000580430488 325034398 /nfs/dbraw/zinc/03/43/98/325034398.db2.gz HNPMJVRGTODJFF-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN CN1CCC[C@@H](CSc2cccc([N+](=O)[O-])c2)C1=O ZINC000580658619 325054288 /nfs/dbraw/zinc/05/42/88/325054288.db2.gz CSVJEJSDPJUEIR-JTQLQIEISA-N 0 0 280.349 2.555 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000580759101 325061438 /nfs/dbraw/zinc/06/14/38/325061438.db2.gz QBODLAQLHLMOQG-XBFCOCLRSA-N 0 0 289.335 2.583 20 5 CFBDRN CN(C(=O)c1c[nH]nc1[N+](=O)[O-])[C@H]1CCC[C@@H]1C(C)(C)C ZINC000581000312 325081469 /nfs/dbraw/zinc/08/14/69/325081469.db2.gz VNGUQOONBMNSQF-QWRGUYRKSA-N 0 0 294.355 2.605 20 5 CFBDRN Cc1cc(N[C@@H]2CC[C@@H]2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000581029448 325084922 /nfs/dbraw/zinc/08/49/22/325084922.db2.gz YOYBCSLESXHAKQ-IINYFYTJSA-N 0 0 298.346 2.993 20 5 CFBDRN Cc1ccncc1CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000581115473 325091089 /nfs/dbraw/zinc/09/10/89/325091089.db2.gz ICBAZKHGLUGEDL-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN COC(=O)c1cc(N[C@H]2CC[C@@H](F)C2)cc(C)c1[N+](=O)[O-] ZINC000581153637 325095553 /nfs/dbraw/zinc/09/55/53/325095553.db2.gz XGKBITRPETXWJO-ZJUUUORDSA-N 0 0 296.298 2.992 20 5 CFBDRN CCCCN(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000581228336 325101874 /nfs/dbraw/zinc/10/18/74/325101874.db2.gz LQJYILQAGWKGTP-NSHDSACASA-N 0 0 264.325 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCc3ccccc3N2)cn1 ZINC000581275880 325107035 /nfs/dbraw/zinc/10/70/35/325107035.db2.gz VOIXKHFNNPMPFE-ZDUSSCGKSA-N 0 0 284.319 2.829 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC=CCC1 ZINC000581550507 325131692 /nfs/dbraw/zinc/13/16/92/325131692.db2.gz BWMXSPGLCHNVKV-SNVBAGLBSA-N 0 0 275.308 2.931 20 5 CFBDRN CC(C)Oc1nc(N(C)C2CCC2)ccc1[N+](=O)[O-] ZINC000581559366 325133171 /nfs/dbraw/zinc/13/31/71/325133171.db2.gz XERWBZYAVIHJCS-UHFFFAOYSA-N 0 0 265.313 2.766 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000581826545 325151631 /nfs/dbraw/zinc/15/16/31/325151631.db2.gz HGHBNPJTQHDUMY-IWIIMEHWSA-N 0 0 275.308 2.621 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC2(CCC2)[C@H]2COC[C@H]21 ZINC000581989904 325166766 /nfs/dbraw/zinc/16/67/66/325166766.db2.gz DSPFGZUXGPODFW-SMDDNHRTSA-N 0 0 274.320 2.600 20 5 CFBDRN CC(=O)c1cc(COc2ccc([N+](=O)[O-])cc2F)on1 ZINC000582040968 325171345 /nfs/dbraw/zinc/17/13/45/325171345.db2.gz JNSYIDJTWWBCLK-UHFFFAOYSA-N 0 0 280.211 2.504 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1CC(C)(C)C1 ZINC000582322761 325195852 /nfs/dbraw/zinc/19/58/52/325195852.db2.gz YIDCFBBIQHEOKT-UHFFFAOYSA-N 0 0 266.345 2.968 20 5 CFBDRN C[C@]12CN(c3ccc([N+](=O)[O-])nc3)C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000582341773 325196584 /nfs/dbraw/zinc/19/65/84/325196584.db2.gz JSHSWWPYLUWECE-NDPMZMCLSA-N 0 0 273.336 2.862 20 5 CFBDRN C[C@@H]1C[C@H]1c1ccc(CNc2ncc([N+](=O)[O-])s2)o1 ZINC000582399267 325201047 /nfs/dbraw/zinc/20/10/47/325201047.db2.gz GNRCPPOWNIUELS-VXNVDRBHSA-N 0 0 279.321 2.802 20 5 CFBDRN Cc1ccc(C(=O)NC2(C3(C)CC3)CC2)cc1[N+](=O)[O-] ZINC000582650240 325222642 /nfs/dbraw/zinc/22/26/42/325222642.db2.gz XAIGKEGFSXRTBK-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN COC(C)(C)CN(C)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000582735720 325230575 /nfs/dbraw/zinc/23/05/75/325230575.db2.gz LNRUZHLJYUJULB-UHFFFAOYSA-N 0 0 297.355 2.638 20 5 CFBDRN Cc1c(CNC(=O)CSC(C)C)cccc1[N+](=O)[O-] ZINC000582999626 325251053 /nfs/dbraw/zinc/25/10/53/325251053.db2.gz HNPVREPCXVKPMO-UHFFFAOYSA-N 0 0 282.365 2.661 20 5 CFBDRN Cc1nc(N(C)C2CCC(C)CC2)ncc1[N+](=O)[O-] ZINC000583546023 325294853 /nfs/dbraw/zinc/29/48/53/325294853.db2.gz FBCCWEZEXJGOQK-UHFFFAOYSA-N 0 0 264.329 2.708 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1Cc2cccnc2C1 ZINC000584287850 325343395 /nfs/dbraw/zinc/34/33/95/325343395.db2.gz RGDAEFDYUWVAJR-UHFFFAOYSA-N 0 0 297.314 2.763 20 5 CFBDRN COc1ccccc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000012403175 326832045 /nfs/dbraw/zinc/83/20/45/326832045.db2.gz XBYOTNJZNLGDCY-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CNc1ccc(C(=O)Nc2cncc(C)c2)cc1[N+](=O)[O-] ZINC000227103516 326931440 /nfs/dbraw/zinc/93/14/40/326931440.db2.gz LBBHEIWLDPSSCJ-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccon1 ZINC000584387883 329317548 /nfs/dbraw/zinc/31/75/48/329317548.db2.gz ZQGXWTIIKGZLMX-UWVGGRQHSA-N 0 0 289.291 2.564 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)C12CCC2 ZINC000308338417 333027773 /nfs/dbraw/zinc/02/77/73/333027773.db2.gz FRZIJNLZGQHLGI-CHWSQXEVSA-N 0 0 262.309 2.964 20 5 CFBDRN CCN(CC)C(=O)CSCc1cccc([N+](=O)[O-])c1 ZINC000192544353 335276163 /nfs/dbraw/zinc/27/61/63/335276163.db2.gz PWSOBMSYHUGIPL-UHFFFAOYSA-N 0 0 282.365 2.696 20 5 CFBDRN C[C@@H]1COC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000829437415 782130265 /nfs/dbraw/zinc/13/02/65/782130265.db2.gz GLGLIDISXTXZLD-NOZJJQNGSA-N 0 0 273.292 2.590 20 5 CFBDRN C[C@H]1COC[C@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000829437216 782130752 /nfs/dbraw/zinc/13/07/52/782130752.db2.gz BXLKKGCRIJTIIQ-TVQRCGJNSA-N 0 0 273.292 2.590 20 5 CFBDRN CC(C)CCC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078149085 519633135 /nfs/dbraw/zinc/63/31/35/519633135.db2.gz PLKIJSRYSFJXFR-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN CC(C)NC(=O)[C@@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000229192936 519713901 /nfs/dbraw/zinc/71/39/01/519713901.db2.gz JADGXNZSEGUUOZ-MRVPVSSYSA-N 0 0 285.731 2.573 20 5 CFBDRN CCOc1cc(NC[C@@H](CC)OC)ccc1[N+](=O)[O-] ZINC000311587807 520760708 /nfs/dbraw/zinc/76/07/08/520760708.db2.gz ZENAUOQHMWSDJY-LLVKDONJSA-N 0 0 268.313 2.830 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1cc(N)ccc1[N+](=O)[O-] ZINC000193451423 501013386 /nfs/dbraw/zinc/01/33/86/501013386.db2.gz GNPSQFSJAJZEKQ-QMMMGPOBSA-N 0 0 290.323 2.825 20 5 CFBDRN C[C@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C1CC1 ZINC000172408162 533744339 /nfs/dbraw/zinc/74/43/39/533744339.db2.gz NUASINWZIQXRHW-ZETCQYMHSA-N 0 0 268.700 2.777 20 5 CFBDRN CCc1nc(CNC(=O)c2ccc([N+](=O)[O-])s2)cs1 ZINC000341050632 522337928 /nfs/dbraw/zinc/33/79/28/522337928.db2.gz YYKFLOBUQABHCP-UHFFFAOYSA-N 0 0 297.361 2.605 20 5 CFBDRN Cc1ccc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)nn1 ZINC000414357675 534052554 /nfs/dbraw/zinc/05/25/54/534052554.db2.gz APYLQWOXNLGTGY-UHFFFAOYSA-N 0 0 286.335 2.718 20 5 CFBDRN Cc1cc(C(=O)NCc2ncccc2C)cc([N+](=O)[O-])c1 ZINC000104566428 534063547 /nfs/dbraw/zinc/06/35/47/534063547.db2.gz ZGPKCLDSGFSXJM-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN COC(=O)[C@@H](CC1CC1)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000413490472 534251898 /nfs/dbraw/zinc/25/18/98/534251898.db2.gz FUBKRQNJOPDPMF-GFCCVEGCSA-N 0 0 278.308 2.657 20 5 CFBDRN COC(=O)c1cccc(OCCCCCF)c1[N+](=O)[O-] ZINC000413013428 534279185 /nfs/dbraw/zinc/27/91/85/534279185.db2.gz HHFLCHYKPYIDCW-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN COC(=O)c1cccc(OCCC(C)(C)OC)c1[N+](=O)[O-] ZINC000413020729 534279238 /nfs/dbraw/zinc/27/92/38/534279238.db2.gz YEYYUJYRYLBFRX-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN COC(=O)c1cccc(OCCC(F)(F)F)c1[N+](=O)[O-] ZINC000413017193 534279612 /nfs/dbraw/zinc/27/96/12/534279612.db2.gz NUXZHFCKLLGHCH-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN COC(=O)c1cccc(OCCSC(C)C)c1[N+](=O)[O-] ZINC000413019106 534280455 /nfs/dbraw/zinc/28/04/55/534280455.db2.gz SVGURUCCNSLKGU-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cn2)c1 ZINC000165148379 534319558 /nfs/dbraw/zinc/31/95/58/534319558.db2.gz DEIVZMPDMSVEBE-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCC(F)(F)F ZINC000182516260 518442746 /nfs/dbraw/zinc/44/27/46/518442746.db2.gz PSHVABALFKMAIN-SOFGYWHQSA-N 0 0 288.225 2.677 20 5 CFBDRN C/C=C/c1ccc(NC(=O)c2nn(C)cc2[N+](=O)[O-])cc1 ZINC000360316948 518552446 /nfs/dbraw/zinc/55/24/46/518552446.db2.gz IEOFAHHSQQLGSX-ONEGZZNKSA-N 0 0 286.291 2.614 20 5 CFBDRN CC(C)(C)CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000194040521 518670499 /nfs/dbraw/zinc/67/04/99/518670499.db2.gz SJDRYFOCKKAWCS-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN CC(C)(C)NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000229552097 518745815 /nfs/dbraw/zinc/74/58/15/518745815.db2.gz AKMGNOYDZBRASN-UHFFFAOYSA-N 0 0 255.249 2.654 20 5 CFBDRN CC(C)(C)/C=C\C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000492019245 534404672 /nfs/dbraw/zinc/40/46/72/534404672.db2.gz NXZGHTFHRLOXAU-NTMALXAHSA-N 0 0 276.336 2.856 20 5 CFBDRN CC(C)(NC(=O)C1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000079631274 519300935 /nfs/dbraw/zinc/30/09/35/519300935.db2.gz APIXLKMSAZRMTG-UHFFFAOYSA-N 0 0 274.320 2.912 20 5 CFBDRN CC(C)(O)CCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000313280000 519348475 /nfs/dbraw/zinc/34/84/75/519348475.db2.gz CPPZFWAQQLPBSM-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN CC(C)N(C(=O)CSc1ncccc1[N+](=O)[O-])C(C)C ZINC000067648554 519558829 /nfs/dbraw/zinc/55/88/29/519558829.db2.gz DSQHVQZAICXDDM-UHFFFAOYSA-N 0 0 297.380 2.727 20 5 CFBDRN CC(C)N(C(=O)COc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000078185103 519559391 /nfs/dbraw/zinc/55/93/91/519559391.db2.gz HXLFPHZAMLSBTH-UHFFFAOYSA-N 0 0 292.335 2.763 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])s1)C1CCOCC1 ZINC000078182348 519579593 /nfs/dbraw/zinc/57/95/93/519579593.db2.gz AQYKNKMUXUAVOM-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN CC(C)CCC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231736 519633145 /nfs/dbraw/zinc/63/31/45/519633145.db2.gz XYJQJKQWVLBQDU-UHFFFAOYSA-N 0 0 276.336 2.916 20 5 CFBDRN CC(C)[N@H+](CC(=O)[O-])Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000263487443 519637836 /nfs/dbraw/zinc/63/78/36/519637836.db2.gz HRCGCSBSCCQUMB-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H]1C[C@H]1C ZINC000056287750 519655132 /nfs/dbraw/zinc/65/51/32/519655132.db2.gz QGVKDVVLMHUXKR-BXUZGUMPSA-N 0 0 276.336 2.988 20 5 CFBDRN CC(C)CCO[C@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000068793090 519672727 /nfs/dbraw/zinc/67/27/27/519672727.db2.gz YSAYJQSITCPYJU-GFCCVEGCSA-N 0 0 294.351 2.662 20 5 CFBDRN CC(C)NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000050535255 519718932 /nfs/dbraw/zinc/71/89/32/519718932.db2.gz ZHKVPQAWAJNQBH-UHFFFAOYSA-N 0 0 291.332 2.857 20 5 CFBDRN CC(C)CNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049241202 519737757 /nfs/dbraw/zinc/73/77/57/519737757.db2.gz BYZYOGZNRAMJEJ-JTQLQIEISA-N 0 0 265.313 2.611 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335335466 519827972 /nfs/dbraw/zinc/82/79/72/519827972.db2.gz GFWQEPXPFUXBTQ-HUUCEWRRSA-N 0 0 288.347 2.772 20 5 CFBDRN CCC1(CO)CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000305751777 519844561 /nfs/dbraw/zinc/84/45/61/519844561.db2.gz SBBMONPQGQVYMP-UHFFFAOYSA-N 0 0 296.342 2.718 20 5 CFBDRN CC(C)c1nc(COc2ccc([N+](=O)[O-])cc2F)no1 ZINC000067098237 519864590 /nfs/dbraw/zinc/86/45/90/519864590.db2.gz WMPCRZVJOOFDCR-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN CCOCCCOc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000160776529 519899268 /nfs/dbraw/zinc/89/92/68/519899268.db2.gz MGQSEZLMBGYKNH-UHFFFAOYSA-N 0 0 267.281 2.603 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)[C@H](C)C(C)C ZINC000067113747 520092017 /nfs/dbraw/zinc/09/20/17/520092017.db2.gz SJZLYXZADZBEOL-SECBINFHSA-N 0 0 254.286 2.694 20 5 CFBDRN O=C(Nc1ncccc1Cl)c1cccc([N+](=O)[O-])c1 ZINC000179659542 534473440 /nfs/dbraw/zinc/47/34/40/534473440.db2.gz AMAKERISFFGBJN-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CCOC[C@H]1CCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000072977038 520171063 /nfs/dbraw/zinc/17/10/63/520171063.db2.gz HHLVRXILCCONQH-LBPRGKRZSA-N 0 0 296.342 2.982 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000315122922 520294486 /nfs/dbraw/zinc/29/44/86/520294486.db2.gz QGZMQVSVOLZEAI-ZDUSSCGKSA-N 0 0 291.351 2.945 20 5 CFBDRN CC1(C)CCCN1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000335368886 520332514 /nfs/dbraw/zinc/33/25/14/520332514.db2.gz LIYJHGPLANJPGS-UHFFFAOYSA-N 0 0 298.289 2.817 20 5 CFBDRN CC1(C)CCC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000161512406 520357402 /nfs/dbraw/zinc/35/74/02/520357402.db2.gz XZKZKYMDIOJFFV-JTQLQIEISA-N 0 0 291.351 2.876 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000162549562 520393731 /nfs/dbraw/zinc/39/37/31/520393731.db2.gz JCRWAECNSQJXKR-LLVKDONJSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1nc(N[C@H]2C[C@@]2(C)C(C)C)ncc1[N+](=O)[O-] ZINC000413437266 534498908 /nfs/dbraw/zinc/49/89/08/534498908.db2.gz OJEHHJRVJFJTKC-JQWIXIFHSA-N 0 0 250.302 2.540 20 5 CFBDRN CC1(CNc2ncc(Br)cc2[N+](=O)[O-])CC1 ZINC000230215737 520738037 /nfs/dbraw/zinc/73/80/37/520738037.db2.gz BKGJYBCDNBMQTF-UHFFFAOYSA-N 0 0 286.129 2.964 20 5 CFBDRN CCOc1cc(N[C@@H]2CC[C@@H](OC)C2)ccc1[N+](=O)[O-] ZINC000231683364 520764660 /nfs/dbraw/zinc/76/46/60/520764660.db2.gz DGZSWIKHQZEQTG-ZYHUDNBSSA-N 0 0 280.324 2.973 20 5 CFBDRN CCOc1cc(N[C@]2(C)CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000230098353 520765347 /nfs/dbraw/zinc/76/53/47/520765347.db2.gz FIZFCIQSQPVPQQ-IINYFYTJSA-N 0 0 280.324 2.973 20 5 CFBDRN CCCCOCCOc1ccc(OC)cc1[N+](=O)[O-] ZINC000339975515 520793895 /nfs/dbraw/zinc/79/38/95/520793895.db2.gz JLVYIYKZDQDFSZ-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN CCOC(=O)CS[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000066863057 520809981 /nfs/dbraw/zinc/80/99/81/520809981.db2.gz QBVNGSCSGKTZIY-SECBINFHSA-N 0 0 269.322 2.952 20 5 CFBDRN CCC[C@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000193226743 520992933 /nfs/dbraw/zinc/99/29/33/520992933.db2.gz KPUOARYBFGWDTI-NSHDSACASA-N 0 0 264.325 2.989 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000050069936 521120436 /nfs/dbraw/zinc/12/04/36/521120436.db2.gz YEMZLCLPPMLQJQ-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN CCC(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000335163399 521126464 /nfs/dbraw/zinc/12/64/64/521126464.db2.gz PQGLNRGKOLBLPT-LBPRGKRZSA-N 0 0 266.322 2.579 20 5 CFBDRN CCSCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000173303003 521716338 /nfs/dbraw/zinc/71/63/38/521716338.db2.gz IMAJJRWPVMPWBN-VIFPVBQESA-N 0 0 297.380 2.560 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CF ZINC000360590628 521752020 /nfs/dbraw/zinc/75/20/20/521752020.db2.gz GIDLRELJBNONJD-MRVPVSSYSA-N 0 0 286.328 2.795 20 5 CFBDRN CNC(=O)c1cc(C)ccc1NCc1ccccc1[N+](=O)[O-] ZINC000083142789 521780666 /nfs/dbraw/zinc/78/06/66/521780666.db2.gz BFGWULCVHNRVLL-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCC1CCC1 ZINC000153053069 521793700 /nfs/dbraw/zinc/79/37/00/521793700.db2.gz CJBXEKDQZBYTEK-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN COCC[C@@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214026072 521801122 /nfs/dbraw/zinc/80/11/22/521801122.db2.gz YTWWFFJBLKMHIC-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]1C1CC1)c1ccc([N+](=O)[O-])o1 ZINC000413887520 534589516 /nfs/dbraw/zinc/58/95/16/534589516.db2.gz WPJGIJYKKSBUHY-WDEREUQCSA-N 0 0 278.308 2.744 20 5 CFBDRN CC[C@@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000193233923 521900978 /nfs/dbraw/zinc/90/09/78/521900978.db2.gz HOYDTULOEAFEJE-SNVBAGLBSA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000080692012 522018260 /nfs/dbraw/zinc/01/82/60/522018260.db2.gz GNTSSDJQBBOKFF-RKDXNWHRSA-N 0 0 297.330 2.871 20 5 CFBDRN CC[C@H](C)n1ncc(Nc2c([N+](=O)[O-])ncn2C)c1C ZINC000357650903 522083815 /nfs/dbraw/zinc/08/38/15/522083815.db2.gz VATNKGHAPBMKJN-QMMMGPOBSA-N 0 0 278.316 2.548 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)C[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000158878493 522096179 /nfs/dbraw/zinc/09/61/79/522096179.db2.gz UEMCBTYSGRJUJP-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1cc(C(=O)Nc2ccncc2)cc([N+](=O)[O-])c1C ZINC000191290448 522161310 /nfs/dbraw/zinc/16/13/10/522161310.db2.gz RJDJWCIOPJXETN-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCCSC2)c1 ZINC000167338167 522175496 /nfs/dbraw/zinc/17/54/96/522175496.db2.gz YHVYOCBEMDDSLC-SNVBAGLBSA-N 0 0 296.348 2.689 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000361328953 522281010 /nfs/dbraw/zinc/28/10/10/522281010.db2.gz TYOHLUPGUDBGNG-MNOVXSKESA-N 0 0 280.324 2.760 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361642461 522400246 /nfs/dbraw/zinc/40/02/46/522400246.db2.gz JCJSTQDTJZAUKC-PELKAZGASA-N 0 0 284.287 2.734 20 5 CFBDRN COC(=O)c1occc1COc1ccc([N+](=O)[O-])cc1F ZINC000076423888 522415400 /nfs/dbraw/zinc/41/54/00/522415400.db2.gz HYAPPFXHDGLCNR-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])Nc1cccc(F)c1 ZINC000000513654 534639907 /nfs/dbraw/zinc/63/99/07/534639907.db2.gz MSEOYLJEIXDKIT-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN CN(CC(=O)Nc1ccsc1)c1ccccc1[N+](=O)[O-] ZINC000080350306 522521038 /nfs/dbraw/zinc/52/10/38/522521038.db2.gz JHMVTQKVJHOFKI-UHFFFAOYSA-N 0 0 291.332 2.731 20 5 CFBDRN CNc1c(C(=O)N2CC[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000360955835 522538374 /nfs/dbraw/zinc/53/83/74/522538374.db2.gz SVNCIENSGIQJOK-ZJUUUORDSA-N 0 0 277.324 2.507 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000129336068 522539701 /nfs/dbraw/zinc/53/97/01/522539701.db2.gz DVOSBLAYZROLGC-NXEZZACHSA-N 0 0 277.324 2.555 20 5 CFBDRN CNc1c(C(=O)Nc2ccccc2O)cccc1[N+](=O)[O-] ZINC000361172399 522542105 /nfs/dbraw/zinc/54/21/05/522542105.db2.gz SBPAZFMRKPUIEI-UHFFFAOYSA-N 0 0 287.275 2.594 20 5 CFBDRN COc1cc(N(C)CCCOC(C)C)ccc1[N+](=O)[O-] ZINC000361045785 522619340 /nfs/dbraw/zinc/61/93/40/522619340.db2.gz SRMGDQVWBBWSKT-UHFFFAOYSA-N 0 0 282.340 2.855 20 5 CFBDRN COc1cc(NCc2nccs2)c(F)cc1[N+](=O)[O-] ZINC000223219889 522751691 /nfs/dbraw/zinc/75/16/91/522751691.db2.gz XSPYGJHIDKUTGO-UHFFFAOYSA-N 0 0 283.284 2.811 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)[C@H]1CCCO1 ZINC000151864551 522790372 /nfs/dbraw/zinc/79/03/72/522790372.db2.gz DYRBVVGKLKDLMI-ZIAGYGMSSA-N 0 0 292.335 2.591 20 5 CFBDRN CCc1nnc([C@H](C)Oc2cc([N+](=O)[O-])ccc2OC)o1 ZINC000360728936 522793604 /nfs/dbraw/zinc/79/36/04/522793604.db2.gz HGMZPIFNEACRQB-QMMMGPOBSA-N 0 0 293.279 2.689 20 5 CFBDRN COCC(C)(C)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000313565026 522814304 /nfs/dbraw/zinc/81/43/04/522814304.db2.gz UWYVZAHXBYNXJD-UHFFFAOYSA-N 0 0 296.352 2.684 20 5 CFBDRN COc1cc([C@H](C)Nc2ncc(C)cc2[N+](=O)[O-])ccn1 ZINC000340929742 522950069 /nfs/dbraw/zinc/95/00/69/522950069.db2.gz AYEDDSCDPUNDNH-JTQLQIEISA-N 0 0 288.307 2.875 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H](O)c1ccccc1 ZINC000050504999 522983757 /nfs/dbraw/zinc/98/37/57/522983757.db2.gz IDUIBEKMOVTAKB-ZDUSSCGKSA-N 0 0 289.287 2.716 20 5 CFBDRN CC[C@@H](SC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360528516 523069908 /nfs/dbraw/zinc/06/99/08/523069908.db2.gz MGHBEJNGQAPSAE-GFCCVEGCSA-N 0 0 282.365 2.661 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000125321359 523075680 /nfs/dbraw/zinc/07/56/80/523075680.db2.gz IMIMLBDIWRGQII-LLVKDONJSA-N 0 0 284.337 2.684 20 5 CFBDRN COCCCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000192504696 523085568 /nfs/dbraw/zinc/08/55/68/523085568.db2.gz VMBVXUXBZZRHDR-UHFFFAOYSA-N 0 0 266.297 2.577 20 5 CFBDRN COC(=O)CCSc1ccc([N+](=O)[O-])c2cccnc12 ZINC000354986421 523109953 /nfs/dbraw/zinc/10/99/53/523109953.db2.gz YPXFBNDRJAMHMO-UHFFFAOYSA-N 0 0 292.316 2.798 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1csc(C)n1 ZINC000360954538 523140745 /nfs/dbraw/zinc/14/07/45/523140745.db2.gz RDCCCBFAZFOBJO-UHFFFAOYSA-N 0 0 295.368 2.521 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC2(CCC2)C[C@@H]1C ZINC000340899843 523140885 /nfs/dbraw/zinc/14/08/85/523140885.db2.gz IGGYBSKIUKDNBU-JTQLQIEISA-N 0 0 278.356 2.889 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C2CCCC2)C1 ZINC000340904966 523141003 /nfs/dbraw/zinc/14/10/03/523141003.db2.gz ORWYCEGHJHVTKI-UHFFFAOYSA-N 0 0 278.356 2.746 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(C(F)F)CC1 ZINC000340883531 523144249 /nfs/dbraw/zinc/14/42/49/523144249.db2.gz VVUPAPNYULXUPY-UHFFFAOYSA-N 0 0 274.271 2.577 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157289411 523196958 /nfs/dbraw/zinc/19/69/58/523196958.db2.gz UQMKLRBNWBSYFU-PWSUYJOCSA-N 0 0 291.351 2.876 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000340557484 523256511 /nfs/dbraw/zinc/25/65/11/523256511.db2.gz MYCIKFZYHVFBHP-GFCCVEGCSA-N 0 0 291.351 2.798 20 5 CFBDRN COC(=O)C[C@H](C)SCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000078816885 523329979 /nfs/dbraw/zinc/32/99/79/523329979.db2.gz MEUSVLHAIWEJSL-VIFPVBQESA-N 0 0 299.348 2.788 20 5 CFBDRN CO[C@@H](C)[C@H](C)NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191402531 523437031 /nfs/dbraw/zinc/43/70/31/523437031.db2.gz CIEULCIUEHUXKC-QWRGUYRKSA-N 0 0 295.339 2.757 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1Sc1ccc([N+](=O)[O-])cn1 ZINC000338558540 523636504 /nfs/dbraw/zinc/63/65/04/523636504.db2.gz WKFHZFBMFBQXBG-ZJUUUORDSA-N 0 0 254.311 2.649 20 5 CFBDRN CC[C@H](C)C(=O)COc1c(F)cccc1[N+](=O)[O-] ZINC000124291551 523821909 /nfs/dbraw/zinc/82/19/09/523821909.db2.gz YJSDBHNPKSKUGG-QMMMGPOBSA-N 0 0 255.245 2.728 20 5 CFBDRN CO[C@H](C)CCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000313276870 523875628 /nfs/dbraw/zinc/87/56/28/523875628.db2.gz CBDGKKCHJDLBJU-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CC1(C)C ZINC000362420693 523886090 /nfs/dbraw/zinc/88/60/90/523886090.db2.gz RPQUADYIZLKXFS-LLVKDONJSA-N 0 0 263.297 2.857 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000336116281 523887459 /nfs/dbraw/zinc/88/74/59/523887459.db2.gz XKITZNXGMRRHAZ-CQSZACIVSA-N 0 0 280.299 2.731 20 5 CFBDRN CCc1ccc(C(=O)NCCCCF)cc1[N+](=O)[O-] ZINC000360519537 523889536 /nfs/dbraw/zinc/88/95/36/523889536.db2.gz BXSZOZKRADIOHP-UHFFFAOYSA-N 0 0 268.288 2.637 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000356715400 523895182 /nfs/dbraw/zinc/89/51/82/523895182.db2.gz AJTJTUQARKNRMM-SKDRFNHKSA-N 0 0 262.309 2.686 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000340784741 523895278 /nfs/dbraw/zinc/89/52/78/523895278.db2.gz OTEZRIXAVJLGMK-RYUDHWBXSA-N 0 0 280.299 2.778 20 5 CFBDRN CN(C(=O)[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000334999292 523914621 /nfs/dbraw/zinc/91/46/21/523914621.db2.gz GPTCCQMUTNIESK-QMTHXVAHSA-N 0 0 260.293 2.748 20 5 CFBDRN CN(C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1CCCC1 ZINC000050701244 523918827 /nfs/dbraw/zinc/91/88/27/523918827.db2.gz VZMDOIAKIMWECZ-UHFFFAOYSA-N 0 0 297.742 2.845 20 5 CFBDRN CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@H]1CC1(C)C ZINC000336093204 523921463 /nfs/dbraw/zinc/92/14/63/523921463.db2.gz XDGABKGXEMGLOK-NSHDSACASA-N 0 0 266.272 2.604 20 5 CFBDRN CN(C(=O)c1ccc(F)cc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000335785862 523933139 /nfs/dbraw/zinc/93/31/39/523933139.db2.gz QZNXAZLDGRPGID-NSHDSACASA-N 0 0 266.272 2.604 20 5 CFBDRN CCc1ccc(OCc2cn3cccnc3n2)c([N+](=O)[O-])c1 ZINC000340674837 524006772 /nfs/dbraw/zinc/00/67/72/524006772.db2.gz NRDRAQPRSUYNAC-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN COCCOC[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000357492012 524025893 /nfs/dbraw/zinc/02/58/93/524025893.db2.gz UKHAENJYIZMIGZ-VIFPVBQESA-N 0 0 288.731 2.712 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000069048018 524095234 /nfs/dbraw/zinc/09/52/34/524095234.db2.gz DZDAZNRMVHQTGG-LLVKDONJSA-N 0 0 291.351 2.675 20 5 CFBDRN Cc1cc(C(=O)NCc2ccccc2)cc([N+](=O)[O-])c1 ZINC000049167720 524108698 /nfs/dbraw/zinc/10/86/98/524108698.db2.gz URGCORFGJSEWPQ-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN Cc1cc(C(=O)NCc2ccsc2)cc([N+](=O)[O-])c1 ZINC000049182278 524110412 /nfs/dbraw/zinc/11/04/12/524110412.db2.gz IHJKEEQADRJWTM-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1cc(C(=O)NCc2cccs2)cc([N+](=O)[O-])c1 ZINC000049157304 524111538 /nfs/dbraw/zinc/11/15/38/524111538.db2.gz DKAXAGJKTCJKFB-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN COc1ccc(COc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000361758792 524119394 /nfs/dbraw/zinc/11/93/94/524119394.db2.gz DWWBSWDQWJDDNV-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN COc1ccc(CS[C@H](C)[C@H](C)O)cc1[N+](=O)[O-] ZINC000079066710 524128473 /nfs/dbraw/zinc/12/84/73/524128473.db2.gz KFJUACOQIWBWSN-DTWKUNHWSA-N 0 0 271.338 2.606 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000360672345 524139401 /nfs/dbraw/zinc/13/94/01/524139401.db2.gz HQPSDCMPIGSFTE-SSDOTTSWSA-N 0 0 276.214 2.584 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc(C)n2)cc([N+](=O)[O-])c1 ZINC000049168221 524175793 /nfs/dbraw/zinc/17/57/93/524175793.db2.gz OAHHRQABUBETNK-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050696614 524188185 /nfs/dbraw/zinc/18/81/85/524188185.db2.gz CTPPRQIDTPPCEN-UWVGGRQHSA-N 0 0 277.324 2.580 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CC23CCCC3)c1[N+](=O)[O-] ZINC000336401791 524212141 /nfs/dbraw/zinc/21/21/41/524212141.db2.gz PSMPXSBRLFNXCF-LBPRGKRZSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000334803063 524212780 /nfs/dbraw/zinc/21/27/80/524212780.db2.gz LEMPQTKONAQDCY-VHSXEESVSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccncc1F ZINC000226215151 524339770 /nfs/dbraw/zinc/33/97/70/524339770.db2.gz LHVWPMCKAVXZNX-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN C[C@H](CNc1ccncc1[N+](=O)[O-])c1ccc(F)cc1F ZINC000360991677 524366866 /nfs/dbraw/zinc/36/68/66/524366866.db2.gz VPAIWFQZOUXLLR-SECBINFHSA-N 0 0 293.273 2.906 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2c([N+](=O)[O-])ncn2C)C1 ZINC000217923543 524386691 /nfs/dbraw/zinc/38/66/91/524386691.db2.gz AMRAKJTXCHHUFI-ZJUUUORDSA-N 0 0 252.318 2.567 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000336123098 524413068 /nfs/dbraw/zinc/41/30/68/524413068.db2.gz IPWWOVFPLDEXMW-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1ncc([N+](=O)[O-])cc1Br ZINC000336649139 524427191 /nfs/dbraw/zinc/42/71/91/524427191.db2.gz GSJAIDXAFZNYQH-IMTBSYHQSA-N 0 0 286.129 2.963 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@@H]1C ZINC000336231341 524482090 /nfs/dbraw/zinc/48/20/90/524482090.db2.gz ZPIHJSXRKLXVLA-ZJUUUORDSA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@@H](CF)NC(=O)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000360589927 524557983 /nfs/dbraw/zinc/55/79/83/524557983.db2.gz AEGCPHNWCZYMQE-JTQLQIEISA-N 0 0 298.317 2.769 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000334108636 524577773 /nfs/dbraw/zinc/57/77/73/524577773.db2.gz GSNOLSLLVDWZRY-ZJUUUORDSA-N 0 0 293.323 2.914 20 5 CFBDRN C[C@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000172020520 524618510 /nfs/dbraw/zinc/61/85/10/524618510.db2.gz YVCXIHPKQBYZDR-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ccc(CNc2nc(C)ccc2[N+](=O)[O-])cn1 ZINC000162621995 524619439 /nfs/dbraw/zinc/61/94/39/524619439.db2.gz YELLKCJEHYQITK-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN COc1ccc(NC(=O)c2ccc(C)o2)cc1[N+](=O)[O-] ZINC000170563219 524631623 /nfs/dbraw/zinc/63/16/23/524631623.db2.gz UNTGQYQONCLWCB-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000228129108 524639225 /nfs/dbraw/zinc/63/92/25/524639225.db2.gz BPOWSJIXRLXKMB-SFYZADRCSA-N 0 0 258.705 2.820 20 5 CFBDRN C[C@H](C[C@H]1CCCO1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000338715136 524649500 /nfs/dbraw/zinc/64/95/00/524649500.db2.gz FGSXYGNSAGVBFE-ZWNOBZJWSA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1cccc(NC[C@H](O)CC(C)C)c1[N+](=O)[O-] ZINC000218846160 524678343 /nfs/dbraw/zinc/67/83/43/524678343.db2.gz CDJGGDZLWDBIKT-LLVKDONJSA-N 0 0 252.314 2.722 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000230434163 524680362 /nfs/dbraw/zinc/68/03/62/524680362.db2.gz GCFRGRWUOKZGJJ-ZJUUUORDSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000334764548 524684845 /nfs/dbraw/zinc/68/48/45/524684845.db2.gz XAGOTGZHDNKGFT-SCZZXKLOSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1cccc(NCc2noc(C3CC3)n2)c1[N+](=O)[O-] ZINC000338691339 524691046 /nfs/dbraw/zinc/69/10/46/524691046.db2.gz GSJOQMLLMKIZLS-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN Cc1cccc(N[C@@H]2CCn3ccnc3C2)c1[N+](=O)[O-] ZINC000338702067 524698514 /nfs/dbraw/zinc/69/85/14/524698514.db2.gz BNGMBCBOHGMSRO-LLVKDONJSA-N 0 0 272.308 2.527 20 5 CFBDRN C[C@H]1COCCN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000363713471 524709891 /nfs/dbraw/zinc/70/98/91/524709891.db2.gz OCJYYKWHNZRDNW-SNVBAGLBSA-N 0 0 284.743 2.717 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000336141699 524713888 /nfs/dbraw/zinc/71/38/88/524713888.db2.gz JTCUBJUNYJKEBK-GMSGAONNSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1cc(Cc2nc3cc4ccccc4cc3c(=O)[nH]2)no1 ZINC000113993014 524843395 /nfs/dbraw/zinc/84/33/95/524843395.db2.gz NGQAFXFAKCKLTA-UHFFFAOYSA-N 0 0 291.310 2.964 20 5 CFBDRN COc1ccnc(N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000340992690 524848807 /nfs/dbraw/zinc/84/88/07/524848807.db2.gz NQSSBUPUKURRGC-VIFPVBQESA-N 0 0 274.280 2.567 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000050708188 524884583 /nfs/dbraw/zinc/88/45/83/524884583.db2.gz AEYWGYGVJRZLHP-UHFFFAOYSA-N 0 0 285.303 2.762 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000336395009 524888899 /nfs/dbraw/zinc/88/88/99/524888899.db2.gz USQSWQWQYZVQIX-IUCAKERBSA-N 0 0 281.287 2.996 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000335752885 524890745 /nfs/dbraw/zinc/89/07/45/524890745.db2.gz WYVROURBSPPPRR-QWRGUYRKSA-N 0 0 276.336 2.882 20 5 CFBDRN C[C@H](NC(=O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000049249046 524895532 /nfs/dbraw/zinc/89/55/32/524895532.db2.gz IXPVDWSGBUJCGV-VIFPVBQESA-N 0 0 250.298 2.818 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H]1C(C)C ZINC000336177268 524903962 /nfs/dbraw/zinc/90/39/62/524903962.db2.gz WQRDUFJLZCWEBF-NSHDSACASA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@H](NC(=O)C1CC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000341327391 524905532 /nfs/dbraw/zinc/90/55/32/524905532.db2.gz GOAQIBRQTJESKH-QMMMGPOBSA-N 0 0 284.262 2.817 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H](c2nccn2C)C1 ZINC000339354654 524906195 /nfs/dbraw/zinc/90/61/95/524906195.db2.gz AZIWWIJRRPCXMB-GFCCVEGCSA-N 0 0 286.335 2.631 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC1(CO)CCCC1 ZINC000158686029 524907193 /nfs/dbraw/zinc/90/71/93/524907193.db2.gz JNKKZGTZNHSNOL-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN COc1cncc(COc2ccc([N+](=O)[O-])cc2)c1 ZINC000341183198 524921707 /nfs/dbraw/zinc/92/17/07/524921707.db2.gz VVOIMUVVCSZVDG-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN COc1cncc(COc2cccc([N+](=O)[O-])c2)c1 ZINC000341163431 524922965 /nfs/dbraw/zinc/92/29/65/524922965.db2.gz JFQGOEKZXABLDW-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN Cc1cc(N(C)[C@@H]2CCCC[C@H]2O)c(F)cc1[N+](=O)[O-] ZINC000313129909 525028938 /nfs/dbraw/zinc/02/89/38/525028938.db2.gz QDKROBBYVOESSI-BXUZGUMPSA-N 0 0 282.315 2.782 20 5 CFBDRN Cc1cc(N(CCCCO)C2CC2)ccc1[N+](=O)[O-] ZINC000338293014 525030636 /nfs/dbraw/zinc/03/06/36/525030636.db2.gz FKZHKPPGGRXFRU-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN Cc1cc(N(C)[C@H]2CCCC[C@@H]2O)c(F)cc1[N+](=O)[O-] ZINC000313129911 525031532 /nfs/dbraw/zinc/03/15/32/525031532.db2.gz QDKROBBYVOESSI-FZMZJTMJSA-N 0 0 282.315 2.782 20 5 CFBDRN C[C@@H](NC(=O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000049249048 525053370 /nfs/dbraw/zinc/05/33/70/525053370.db2.gz IXPVDWSGBUJCGV-SECBINFHSA-N 0 0 250.298 2.818 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CSC2(C)C)cc1[N+](=O)[O-] ZINC000338404683 525176158 /nfs/dbraw/zinc/17/61/58/525176158.db2.gz DCJSDLLDDBTXSG-LLVKDONJSA-N 0 0 295.364 2.919 20 5 CFBDRN C[C@@H]1CSC[C@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000334398043 525178262 /nfs/dbraw/zinc/17/82/62/525178262.db2.gz LSFZDVLBDQMYHR-RDDDGLTNSA-N 0 0 299.327 2.607 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])c2ncccc12)C1CC1 ZINC000315169349 525181367 /nfs/dbraw/zinc/18/13/67/525181367.db2.gz ZLYVXADSBPIJKR-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@](O)(CNc1ccnc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000336846708 525182209 /nfs/dbraw/zinc/18/22/09/525182209.db2.gz BIVWHUNFQWXCBC-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@](O)(CNc1ccc2cc([N+](=O)[O-])ccc2n1)C1CC1 ZINC000315110333 525182424 /nfs/dbraw/zinc/18/24/24/525182424.db2.gz QYJXSSXAIZEEIA-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000334408503 525214135 /nfs/dbraw/zinc/21/41/35/525214135.db2.gz JDCNSTHUYGUHTN-GHMZBOCLSA-N 0 0 277.324 2.535 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc(F)c(F)c1 ZINC000340427348 525220144 /nfs/dbraw/zinc/22/01/44/525220144.db2.gz TXULWGOMFJBAAJ-ZETCQYMHSA-N 0 0 295.245 2.692 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)c(O)c1 ZINC000052949011 525233095 /nfs/dbraw/zinc/23/30/95/525233095.db2.gz OKLJHSCVCWKRPH-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])n2C)c(C)c1 ZINC000336510354 525241030 /nfs/dbraw/zinc/24/10/30/525241030.db2.gz XYRLTEMWPRISLA-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN Cc1cc(N[C@H](CO)CC2CCC2)ccc1[N+](=O)[O-] ZINC000360094786 525301929 /nfs/dbraw/zinc/30/19/29/525301929.db2.gz YKSDKLNHVMMIJK-ZDUSSCGKSA-N 0 0 264.325 2.866 20 5 CFBDRN CSC1(C(=O)Nc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000188355957 525305419 /nfs/dbraw/zinc/30/54/19/525305419.db2.gz FKVYIPTYHOPHMK-UHFFFAOYSA-N 0 0 266.322 2.737 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000049228446 525313513 /nfs/dbraw/zinc/31/35/13/525313513.db2.gz VEMDRFZWXSHUSB-HTQZYQBOSA-N 0 0 251.242 2.571 20 5 CFBDRN Cc1cc(OCC(=O)[C@@H]2CCOC2)c(Cl)cc1[N+](=O)[O-] ZINC000312657320 525367825 /nfs/dbraw/zinc/36/78/25/525367825.db2.gz VDGILFCJQSXAKC-SECBINFHSA-N 0 0 299.710 2.541 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2cccc(C)n2)c1 ZINC000338684913 525450803 /nfs/dbraw/zinc/45/08/03/525450803.db2.gz XTVOLBRAWKJUFC-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2ccc(O)cc2)c1 ZINC000338692170 525451100 /nfs/dbraw/zinc/45/11/00/525451100.db2.gz YMHSWRJIATXNOZ-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000336448497 525481510 /nfs/dbraw/zinc/48/15/10/525481510.db2.gz WHHANHVCFRQTAL-KOLCDFICSA-N 0 0 260.293 2.530 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC[C@H](F)C1 ZINC000334693224 525554290 /nfs/dbraw/zinc/55/42/90/525554290.db2.gz NGFMBBWJIATDCG-JTQLQIEISA-N 0 0 281.287 2.787 20 5 CFBDRN Cc1ccn(Cc2sccc2Cl)c(=O)c1[N+](=O)[O-] ZINC000335017268 525604898 /nfs/dbraw/zinc/60/48/98/525604898.db2.gz SVKVDJQSZNJRKC-UHFFFAOYSA-N 0 0 284.724 2.828 20 5 CFBDRN Cc1ccn2cc(CNc3nccc(C)c3[N+](=O)[O-])nc2c1 ZINC000360274707 525616038 /nfs/dbraw/zinc/61/60/38/525616038.db2.gz ZLRNMHFMBKURCT-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN CSC[C@@H](CCO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360070396 525644138 /nfs/dbraw/zinc/64/41/38/525644138.db2.gz HQICMGQSXQHBII-SECBINFHSA-N 0 0 288.344 2.568 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCC[C@H](CO)C2)c1[N+](=O)[O-] ZINC000312532434 525694486 /nfs/dbraw/zinc/69/44/86/525694486.db2.gz VPTHOWAJNDXWEA-RYUDHWBXSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1c(C[N@H+]2CCC[C@@H](C)[C@H]2C(=O)[O-])cccc1[N+](=O)[O-] ZINC000300293325 525706317 /nfs/dbraw/zinc/70/63/17/525706317.db2.gz GOHLAPKOACCMDB-YGRLFVJLSA-N 0 0 292.335 2.588 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2ncccc12)[C@H]1CCOC1 ZINC000166382295 525711705 /nfs/dbraw/zinc/71/17/05/525711705.db2.gz UYEPETXBZASUHK-MNOVXSKESA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1c(CNC(=O)N2CC=C(C)CC2)cccc1[N+](=O)[O-] ZINC000336416877 525713221 /nfs/dbraw/zinc/71/32/21/525713221.db2.gz FPMXHOVDPMWQQO-UHFFFAOYSA-N 0 0 289.335 2.765 20 5 CFBDRN C[C@H](O)CCCOc1ccc([N+](=O)[O-])cc1Cl ZINC000310214271 525717061 /nfs/dbraw/zinc/71/70/61/525717061.db2.gz FNURJRKKYJYIPI-QMMMGPOBSA-N 0 0 259.689 2.788 20 5 CFBDRN Cc1ccc([C@@H](C)NCC(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000151756226 525722845 /nfs/dbraw/zinc/72/28/45/525722845.db2.gz DLEVTRYQZVQRCR-LLVKDONJSA-N 0 0 294.351 2.896 20 5 CFBDRN Cc1ccncc1CNCc1csc([N+](=O)[O-])c1 ZINC000162651924 525749058 /nfs/dbraw/zinc/74/90/58/525749058.db2.gz LYTYVEDHRODGCN-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN Cc1ccoc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360528514 525787711 /nfs/dbraw/zinc/78/77/11/525787711.db2.gz MFXRDHNUHPPRTQ-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN Cc1c(Cn2cc(Cl)cn2)cccc1[N+](=O)[O-] ZINC000049065916 525791887 /nfs/dbraw/zinc/79/18/87/525791887.db2.gz QUBGPUPEEDTWEC-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000130338959 525819233 /nfs/dbraw/zinc/81/92/33/525819233.db2.gz PTIZJKYLGOVUIU-HTQZYQBOSA-N 0 0 258.705 2.820 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000340785722 525819376 /nfs/dbraw/zinc/81/93/76/525819376.db2.gz VKJJALHPHQFOPF-HTQZYQBOSA-N 0 0 290.266 2.768 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000228129520 525820027 /nfs/dbraw/zinc/82/00/27/525820027.db2.gz BYLMNEYNFYLLJD-NXEZZACHSA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000228131053 525836413 /nfs/dbraw/zinc/83/64/13/525836413.db2.gz GLJFEFHWTJZESC-VHSXEESVSA-N 0 0 275.308 2.714 20 5 CFBDRN CS[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000334554814 525839819 /nfs/dbraw/zinc/83/98/19/525839819.db2.gz UNFDMSFJYONOLE-MRVPVSSYSA-N 0 0 286.378 2.542 20 5 CFBDRN Cc1c(NC(=O)N2CCCSCC2)cccc1[N+](=O)[O-] ZINC000079635684 525841864 /nfs/dbraw/zinc/84/18/64/525841864.db2.gz HMXQJBXORNLBHI-UHFFFAOYSA-N 0 0 295.364 2.874 20 5 CFBDRN Cc1ccsc1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000044488193 525857392 /nfs/dbraw/zinc/85/73/92/525857392.db2.gz RVSIHLMRBWOXEV-UHFFFAOYSA-N 0 0 282.346 2.956 20 5 CFBDRN CS[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182444012 525869806 /nfs/dbraw/zinc/86/98/06/525869806.db2.gz VNKBYPPNAWPQSC-SECBINFHSA-N 0 0 268.338 2.983 20 5 CFBDRN Cc1cn(CCc2ccc(F)c(F)c2)nc1[N+](=O)[O-] ZINC000337938233 525909759 /nfs/dbraw/zinc/90/97/59/525909759.db2.gz WPGUZAZYKXELDY-UHFFFAOYSA-N 0 0 267.235 2.621 20 5 CFBDRN CS[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000334554823 525912465 /nfs/dbraw/zinc/91/24/65/525912465.db2.gz UNFDMSFJYONOLE-QMMMGPOBSA-N 0 0 286.378 2.542 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCCC1 ZINC000066059761 525926000 /nfs/dbraw/zinc/92/60/00/525926000.db2.gz AHNKKMPSZJNECW-SECBINFHSA-N 0 0 298.726 2.638 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CCCC(F)(F)C1 ZINC000334578518 525929426 /nfs/dbraw/zinc/92/94/26/525929426.db2.gz KFZNSFXUALPSFP-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1ccc([C@H](C)NCc2ncccn2)cc1[N+](=O)[O-] ZINC000192162398 525929650 /nfs/dbraw/zinc/92/96/50/525929650.db2.gz FVWQVQOYJBQRGZ-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN COc1cccc(CN2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000361561826 525955940 /nfs/dbraw/zinc/95/59/40/525955940.db2.gz GTRQMZNEVBPTHE-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN Cc1cnc(CCNc2cc(C)c([N+](=O)[O-])cc2F)nc1 ZINC000360090877 526004035 /nfs/dbraw/zinc/00/40/35/526004035.db2.gz RSNFZKHWPMZTKC-UHFFFAOYSA-N 0 0 290.298 2.795 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C3CC3)C2)c1 ZINC000336144187 526054098 /nfs/dbraw/zinc/05/40/98/526054098.db2.gz WXBNYHFEZQAXIX-LBPRGKRZSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H](F)C2)c1 ZINC000335407533 526056465 /nfs/dbraw/zinc/05/64/65/526056465.db2.gz HARDYIVAAWGKJJ-MNOVXSKESA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOc2cccc(F)c2)n1 ZINC000178170820 526059327 /nfs/dbraw/zinc/05/93/27/526059327.db2.gz MFMYCGOZEYXXFQ-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](Nc3ccccc3)C2)n1 ZINC000178207725 526060241 /nfs/dbraw/zinc/06/02/41/526060241.db2.gz JUMNHGHOEFRNQQ-CQSZACIVSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cccc(C(N)=O)c2)c1 ZINC000088700439 526062831 /nfs/dbraw/zinc/06/28/31/526062831.db2.gz UPDBVHQZNCZKJU-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc(O)c(F)c2)n1 ZINC000360881946 526062910 /nfs/dbraw/zinc/06/29/10/526062910.db2.gz DLVNNQGFIFUQIK-UHFFFAOYSA-N 0 0 277.255 2.755 20 5 CFBDRN Cc1cnc(N(C)CCc2ccccc2)c([N+](=O)[O-])c1 ZINC000361273188 526064396 /nfs/dbraw/zinc/06/43/96/526064396.db2.gz RHQROFZXFLVATC-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cccnc1 ZINC000067131890 526073709 /nfs/dbraw/zinc/07/37/09/526073709.db2.gz BAPYVEDHLPAHQD-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H]1F ZINC000335125111 526074643 /nfs/dbraw/zinc/07/46/43/526074643.db2.gz RDPBLKPNFYKVIX-NWDGAFQWSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncccc1C ZINC000049376789 526076447 /nfs/dbraw/zinc/07/64/47/526076447.db2.gz NQROVKPCIQLQGF-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H]2F)c1 ZINC000340846051 526079743 /nfs/dbraw/zinc/07/97/43/526079743.db2.gz KGBBTIRGZZCKPN-WDEREUQCSA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccccn1 ZINC000053250259 526080778 /nfs/dbraw/zinc/08/07/78/526080778.db2.gz BFGBQCCFQZGHPZ-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1cn[nH]c1 ZINC000339911569 526080968 /nfs/dbraw/zinc/08/09/68/526080968.db2.gz XBCRITCTWTXURT-VIFPVBQESA-N 0 0 289.295 2.509 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)C[C@@H](O)C(F)(F)F ZINC000305781358 526084872 /nfs/dbraw/zinc/08/48/72/526084872.db2.gz UMUZKAISTUTBOS-LDYMZIIASA-N 0 0 292.257 2.511 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000335168961 526136940 /nfs/dbraw/zinc/13/69/40/526136940.db2.gz KQHPTSAMOPIKCF-GXSJLCMTSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cc(=O)n(CC2CCC(F)(F)CC2)cc1[N+](=O)[O-] ZINC000334830276 526141556 /nfs/dbraw/zinc/14/15/56/526141556.db2.gz VTRPUTLDLDTMQI-UHFFFAOYSA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1cc(=O)n(Cc2ccc3ccccc3n2)cc1[N+](=O)[O-] ZINC000128685750 526144329 /nfs/dbraw/zinc/14/43/29/526144329.db2.gz JPVSLUNDWRYXDI-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1ccc2c(c1)CN(C(=O)Cc1ccccc1[N+](=O)[O-])C2 ZINC000336087514 526159948 /nfs/dbraw/zinc/15/99/48/526159948.db2.gz AJZSEMVLONWPKR-UHFFFAOYSA-N 0 0 296.326 2.988 20 5 CFBDRN Cc1cncc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000079635917 526183390 /nfs/dbraw/zinc/18/33/90/526183390.db2.gz ZHUMTXMHRJVPCF-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000341439070 526236001 /nfs/dbraw/zinc/23/60/01/526236001.db2.gz XBUCCIMQDDSAPR-WFASDCNBSA-N 0 0 288.347 2.856 20 5 CFBDRN Cc1cnccc1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000228705069 526236070 /nfs/dbraw/zinc/23/60/70/526236070.db2.gz UAVFDCMJNFMPMW-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000362009700 526258143 /nfs/dbraw/zinc/25/81/43/526258143.db2.gz ICQPCVQCZWPJIU-MRVPVSSYSA-N 0 0 290.241 2.594 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000362000749 526259016 /nfs/dbraw/zinc/25/90/16/526259016.db2.gz CVIHIEGVZVPKGL-SSDOTTSWSA-N 0 0 276.214 2.666 20 5 CFBDRN O=C(NCCCc1cccc(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000068177887 526274974 /nfs/dbraw/zinc/27/49/74/526274974.db2.gz JCOXNIJLNNOWKQ-UHFFFAOYSA-N 0 0 292.266 2.690 20 5 CFBDRN Cc1nnc(CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)o1 ZINC000064350304 526332249 /nfs/dbraw/zinc/33/22/49/526332249.db2.gz SMOYGGVFLISQEC-UHFFFAOYSA-N 0 0 290.323 2.697 20 5 CFBDRN Cc1csc(COc2ccccc2[N+](=O)[O-])n1 ZINC000173319676 526364977 /nfs/dbraw/zinc/36/49/77/526364977.db2.gz GJTJPHBLTQHFEN-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@@H](C2CC2)C1 ZINC000336370128 526581622 /nfs/dbraw/zinc/58/16/22/526581622.db2.gz BXMRHWXGBAOODM-LLVKDONJSA-N 0 0 299.330 2.948 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@@H](C2CC2)C1 ZINC000334390831 526620633 /nfs/dbraw/zinc/62/06/33/526620633.db2.gz UJFUHHDETRQUHJ-SNVBAGLBSA-N 0 0 278.283 2.606 20 5 CFBDRN O=C(NCc1ccc(C2CC2)cc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000360803196 526654755 /nfs/dbraw/zinc/65/47/55/526654755.db2.gz RIRIKJIEVCPMJG-UHFFFAOYSA-N 0 0 285.303 2.730 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N(Cc1cccs1)C1CC1 ZINC000340643224 526713859 /nfs/dbraw/zinc/71/38/59/526713859.db2.gz ZZFIBYWXEINGOR-UHFFFAOYSA-N 0 0 291.332 2.789 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCSC2(CCC2)CC1 ZINC000334825340 526715942 /nfs/dbraw/zinc/71/59/42/526715942.db2.gz MCJKUGGZFACQHV-UHFFFAOYSA-N 0 0 296.348 2.690 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1CC=CCC1 ZINC000049379715 526725832 /nfs/dbraw/zinc/72/58/32/526725832.db2.gz WYYHEOXGHPGJHZ-GFCCVEGCSA-N 0 0 260.293 2.567 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccccc1F ZINC000049412907 526725944 /nfs/dbraw/zinc/72/59/44/526725944.db2.gz QEBYMNCSFRYXPV-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cccc(F)c1 ZINC000049401887 526726012 /nfs/dbraw/zinc/72/60/12/526726012.db2.gz ZVCISVGYYFKFBM-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN O=C(NCc1ccoc1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000195221728 526799607 /nfs/dbraw/zinc/79/96/07/526799607.db2.gz NLURQNRGQCITHG-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN CC(C)(C)c1nnc(CNc2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000413419677 526846881 /nfs/dbraw/zinc/84/68/81/526846881.db2.gz NOZVJYUIPJYUIG-UHFFFAOYSA-N 0 0 275.312 2.623 20 5 CFBDRN Cc1nc(CN2CCc3ccc([N+](=O)[O-])cc3C2)oc1C ZINC000088605453 526871476 /nfs/dbraw/zinc/87/14/76/526871476.db2.gz VCQPLCAOEPOCBR-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000335418415 526873910 /nfs/dbraw/zinc/87/39/10/526873910.db2.gz NFRVMDAVCWPSAZ-IUCAKERBSA-N 0 0 286.328 2.975 20 5 CFBDRN O=C(N[C@@H]1C=CCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334618712 526875657 /nfs/dbraw/zinc/87/56/57/526875657.db2.gz APAYFFVNNQCPBD-LLVKDONJSA-N 0 0 264.256 2.572 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NCCCCF ZINC000360536414 527037709 /nfs/dbraw/zinc/03/77/09/527037709.db2.gz VTJYWTDPRJENLR-UHFFFAOYSA-N 0 0 286.328 2.553 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000049401922 527104751 /nfs/dbraw/zinc/10/47/51/527104751.db2.gz COWLFLNUDUBRDZ-LLVKDONJSA-N 0 0 260.293 2.567 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000336411345 527124089 /nfs/dbraw/zinc/12/40/89/527124089.db2.gz VFXODBFNIOKXSC-GDNZZTSVSA-N 0 0 264.256 2.719 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cc1F)OCC1CC1 ZINC000413521459 527185497 /nfs/dbraw/zinc/18/54/97/527185497.db2.gz ZEGMDYFQBQGENR-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN Cn1cc(CCNc2c3ccccc3ncc2[N+](=O)[O-])cn1 ZINC000317093365 527193488 /nfs/dbraw/zinc/19/34/88/527193488.db2.gz ZPZNSUVQIINOJP-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCCC12CCC2 ZINC000336182752 527244442 /nfs/dbraw/zinc/24/44/42/527244442.db2.gz ULKKEBYSRIDWEN-UHFFFAOYSA-N 0 0 274.320 2.682 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC[C@@H]2CCCC[C@@H]21 ZINC000072057104 527244995 /nfs/dbraw/zinc/24/49/95/527244995.db2.gz PSLSUUDNZJPPIB-ZFWWWQNUSA-N 0 0 288.347 2.928 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@@H]1C=CCCC1 ZINC000127884864 527248394 /nfs/dbraw/zinc/24/83/94/527248394.db2.gz ANLHXBXUZJQAOD-LLVKDONJSA-N 0 0 278.283 2.501 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC1CCCCC1 ZINC000151311000 527271311 /nfs/dbraw/zinc/27/13/11/527271311.db2.gz WMPQKMHEPOJCBO-UHFFFAOYSA-N 0 0 262.309 2.586 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc2c[nH]nc2c1 ZINC000173035303 527272341 /nfs/dbraw/zinc/27/23/41/527272341.db2.gz PWMXKHIXKAKARA-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC2(CCCC2)C1 ZINC000173727841 527292972 /nfs/dbraw/zinc/29/29/72/527292972.db2.gz GCBLBEJFOBBMGC-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H](C)C1CCCCC1 ZINC000125714028 527314403 /nfs/dbraw/zinc/31/44/03/527314403.db2.gz MYKDKOGAJLRNSR-SNVBAGLBSA-N 0 0 291.351 2.997 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc2c(c1)CCC2 ZINC000336221352 527316344 /nfs/dbraw/zinc/31/63/44/527316344.db2.gz NZQGZPNXYCSPIO-UHFFFAOYSA-N 0 0 257.293 2.637 20 5 CFBDRN Cc1ncc2c(n1)CC[C@@H](Nc1ccc([N+](=O)[O-])cc1)C2 ZINC000364114323 527318690 /nfs/dbraw/zinc/31/86/90/527318690.db2.gz ZZQDDTBNZVVGDG-CYBMUJFWSA-N 0 0 284.319 2.663 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@@H](CC3CC3)C2)nc2sccn21 ZINC000338804743 527321270 /nfs/dbraw/zinc/32/12/70/527321270.db2.gz AVCAFTWJGJSECA-JTQLQIEISA-N 0 0 292.364 2.930 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1CCO[C@H]1C1CC1 ZINC000230309003 527322013 /nfs/dbraw/zinc/32/20/13/527322013.db2.gz XZDGYRWLBLEQFO-AAEUAGOBSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCC(F)(F)c1ccccc1 ZINC000340896655 527323429 /nfs/dbraw/zinc/32/34/29/527323429.db2.gz HOPNBQDHVBMFCE-UHFFFAOYSA-N 0 0 279.246 2.616 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc([C@H]2CCOC2)cc1 ZINC000338797056 527324564 /nfs/dbraw/zinc/32/45/64/527324564.db2.gz SZIIOWDDVCZZOE-LBPRGKRZSA-N 0 0 285.303 2.659 20 5 CFBDRN CC(=O)c1ccc(O[C@H]2CCC(C)(C)C2=O)c([N+](=O)[O-])c1 ZINC000413005505 527329955 /nfs/dbraw/zinc/32/99/55/527329955.db2.gz ZGQGHHVVLOWQLY-ZDUSSCGKSA-N 0 0 291.303 2.934 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000078353756 527333902 /nfs/dbraw/zinc/33/39/02/527333902.db2.gz RMPSVDKKIAEPJO-SECBINFHSA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC[C@H]1CCOC1 ZINC000230104839 527336565 /nfs/dbraw/zinc/33/65/65/527336565.db2.gz IVIYONAWKAWZGX-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ncccc2F)cc1 ZINC000054700728 527347149 /nfs/dbraw/zinc/34/71/49/527347149.db2.gz YIKZUFQYPIPRLF-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cnc3c(n2)CCCC3)cc1 ZINC000313826449 527352346 /nfs/dbraw/zinc/35/23/46/527352346.db2.gz MJSAQVTYFVDPLK-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(Cc3ccccn3)CC2)nc1 ZINC000171631897 527360490 /nfs/dbraw/zinc/36/04/90/527360490.db2.gz AEIIVYVBBYECGA-UHFFFAOYSA-N 0 0 298.346 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC=CO2)c(F)c1 ZINC000050269642 527365634 /nfs/dbraw/zinc/36/56/34/527365634.db2.gz FLDYFLALJNAHPG-SNVBAGLBSA-N 0 0 252.245 2.839 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCOC2)c2ncccc12 ZINC000230104866 527365545 /nfs/dbraw/zinc/36/55/45/527365545.db2.gz JVXGTQBWDFKDCU-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H]2OC(F)F)nc1 ZINC000336880613 527368394 /nfs/dbraw/zinc/36/83/94/527368394.db2.gz AAHMTSWWZKIGIS-IUCAKERBSA-N 0 0 273.239 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2Cc3ccccc3[C@H]2O)c(F)c1 ZINC000170982180 527369495 /nfs/dbraw/zinc/36/94/95/527369495.db2.gz YEFDHVRSICOUET-LSDHHAIUSA-N 0 0 288.278 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCn2cc(Cl)cn2)cc1 ZINC000077957810 527372702 /nfs/dbraw/zinc/37/27/02/527372702.db2.gz FOFDIMBTTQCDDP-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(C3CC3)no2)c(F)c1 ZINC000076423872 527374185 /nfs/dbraw/zinc/37/41/85/527374185.db2.gz PKQLDUNSXPQMIJ-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000276838541 527377078 /nfs/dbraw/zinc/37/70/78/527377078.db2.gz BXVZJDSTZGUGIO-SECBINFHSA-N 0 0 284.293 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@H](CO)c3ccccc3)nc2c1 ZINC000316945043 527378364 /nfs/dbraw/zinc/37/83/64/527378364.db2.gz GELHVZSVUMLGHZ-CQSZACIVSA-N 0 0 298.302 2.617 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCCc3ccc(O)cc3)nc2c1 ZINC000316596191 527378405 /nfs/dbraw/zinc/37/84/05/527378405.db2.gz UBIYEFFXTROIHK-UHFFFAOYSA-N 0 0 298.302 2.831 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cncc(Cl)n2)c1 ZINC000049389889 527387825 /nfs/dbraw/zinc/38/78/25/527387825.db2.gz ZIGPWIBNWSVRHX-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=[N+]([O-])c1cccc(COc2cccc(-n3cncn3)c2)c1 ZINC000156873970 527388161 /nfs/dbraw/zinc/38/81/61/527388161.db2.gz NXUAFBKMOQNVDW-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCCOCC1CC1 ZINC000311795872 527389749 /nfs/dbraw/zinc/38/97/49/527389749.db2.gz GIGDUYZIRXPAFD-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC1CCC(CO)CC1 ZINC000311658943 527390048 /nfs/dbraw/zinc/39/00/48/527390048.db2.gz JMQHMINRZRQTRX-UHFFFAOYSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2ccc(CO)cc2)c1 ZINC000226548283 527391001 /nfs/dbraw/zinc/39/10/01/527391001.db2.gz XZOSPJZIKKHAKW-UHFFFAOYSA-N 0 0 258.277 2.699 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCOc2ccc(CO)cc2)c1 ZINC000192777012 527391833 /nfs/dbraw/zinc/39/18/33/527391833.db2.gz PWACJSDQSJWOLC-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1ccccn1 ZINC000183624662 527396657 /nfs/dbraw/zinc/39/66/57/527396657.db2.gz CVNXSKKYPGDXJR-UHFFFAOYSA-N 0 0 255.277 2.553 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cncc(Cl)n1 ZINC000049228694 527401509 /nfs/dbraw/zinc/40/15/09/527401509.db2.gz WHXZNGKZAISHEG-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1CCC[C@H](CO)C1 ZINC000311580489 527402371 /nfs/dbraw/zinc/40/23/71/527402371.db2.gz WUXXHSHRVKCBNA-RYUDHWBXSA-N 0 0 264.325 2.805 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1COc2ccccc2[C@@H]1O ZINC000377193218 527403673 /nfs/dbraw/zinc/40/36/73/527403673.db2.gz QDNIWHWHDMXBLI-WFASDCNBSA-N 0 0 286.287 2.501 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCO[C@H](C3CCCCC3)C2)s1 ZINC000369189981 527410002 /nfs/dbraw/zinc/41/00/02/527410002.db2.gz UXBXLKWMBITKCX-NSHDSACASA-N 0 0 297.380 2.837 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2nccc3ccccc32)nc1 ZINC000354503190 527411338 /nfs/dbraw/zinc/41/13/38/527411338.db2.gz IPLJRBIXTNJVPG-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@@H]1CCCC(F)(F)C1 ZINC000335048575 527454491 /nfs/dbraw/zinc/45/44/91/527454491.db2.gz JCORKDJCRBGFBO-SECBINFHSA-N 0 0 272.251 2.582 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1cccc2ccccc21 ZINC000338632124 527454949 /nfs/dbraw/zinc/45/49/49/527454949.db2.gz JLJDOVMKLLFFSO-UHFFFAOYSA-N 0 0 280.283 2.958 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1ccc(F)cc1F ZINC000356551972 527489836 /nfs/dbraw/zinc/48/98/36/527489836.db2.gz CLRNAOMRXMHYDV-UHFFFAOYSA-N 0 0 285.275 2.899 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)OCCc1cccs1 ZINC000049585094 527504491 /nfs/dbraw/zinc/50/44/91/527504491.db2.gz LEJZAKITYRNWOP-UHFFFAOYSA-N 0 0 292.316 2.638 20 5 CFBDRN Cn1ncc2c1nccc2NCCc1cccc([N+](=O)[O-])c1 ZINC000340025908 527582819 /nfs/dbraw/zinc/58/28/19/527582819.db2.gz WRLFJMRZEBSFCD-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN CC(C)C1(CNC(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000177380770 527603141 /nfs/dbraw/zinc/60/31/41/527603141.db2.gz WCRVZTVXZWVCPH-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN O=C(NCCCCF)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000360801516 527648423 /nfs/dbraw/zinc/64/84/23/527648423.db2.gz JRTLYZZKWLVMDL-UHFFFAOYSA-N 0 0 279.271 2.556 20 5 CFBDRN O=C(NCCCCF)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000360835820 527648560 /nfs/dbraw/zinc/64/85/60/527648560.db2.gz WWPLQWCHYJSKAN-UHFFFAOYSA-N 0 0 279.271 2.556 20 5 CFBDRN O=C(NCCCCF)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000360534612 527648890 /nfs/dbraw/zinc/64/88/90/527648890.db2.gz HSUSNUXYMHUGSZ-UHFFFAOYSA-N 0 0 274.679 2.728 20 5 CFBDRN CCCN1CC(=O)N[C@@H]1c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000414201391 528178224 /nfs/dbraw/zinc/17/82/24/528178224.db2.gz DUMBGWXLDSLGAA-HNNXBMFYSA-N 0 0 291.351 2.559 20 5 CFBDRN CCCCCOC1CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413184511 528222898 /nfs/dbraw/zinc/22/28/98/528222898.db2.gz XQTJHDWMEBNBGB-UHFFFAOYSA-N 0 0 283.303 2.524 20 5 CFBDRN CCCCCOc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC000413016063 528237792 /nfs/dbraw/zinc/23/77/92/528237792.db2.gz LQJPRHNPXFSTGD-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN CCOc1cc(N[C@@H]2CCO[C@H]2CC)ccc1[N+](=O)[O-] ZINC000413456609 528330200 /nfs/dbraw/zinc/33/02/00/528330200.db2.gz UGHGKPLEVVLHCO-YPMHNXCESA-N 0 0 280.324 2.973 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000427401810 528420342 /nfs/dbraw/zinc/42/03/42/528420342.db2.gz YJIHQUMCDNBSBM-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H](C)CSC)cc1[N+](=O)[O-] ZINC000131910281 528430475 /nfs/dbraw/zinc/43/04/75/528430475.db2.gz ZDWGPJRWUKXWPK-SECBINFHSA-N 0 0 297.380 2.508 20 5 CFBDRN CCOC1(C)CN(c2ccc([N+](=O)[O-])c3ncccc23)C1 ZINC000413294217 528462342 /nfs/dbraw/zinc/46/23/42/528462342.db2.gz AFWKVCDEKXCNFB-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CCOC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000293844977 528471394 /nfs/dbraw/zinc/47/13/94/528471394.db2.gz CUEWALPECVVGOZ-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN CCOCCN(C)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000413233177 528715403 /nfs/dbraw/zinc/71/54/03/528715403.db2.gz UXLKUUTXEXRBQQ-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413145057 528879727 /nfs/dbraw/zinc/87/97/27/528879727.db2.gz NDHIKDWFDQKHRC-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN CCCC[C@H](CCC)NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000193673032 528926654 /nfs/dbraw/zinc/92/66/54/528926654.db2.gz NDWZADCCIMVYRS-NSHDSACASA-N 0 0 295.339 2.784 20 5 CFBDRN CCn1ccc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000414210599 529174859 /nfs/dbraw/zinc/17/48/59/529174859.db2.gz OVEAQHHCQHKJAZ-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN CC[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413545367 529213010 /nfs/dbraw/zinc/21/30/10/529213010.db2.gz RAAKTWKHGKCSKZ-RKDXNWHRSA-N 0 0 298.368 2.511 20 5 CFBDRN CC[C@@H](C)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000176496388 529302914 /nfs/dbraw/zinc/30/29/14/529302914.db2.gz ZMQJXOFKSJVPQU-SNVBAGLBSA-N 0 0 264.325 2.992 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000421583509 529326038 /nfs/dbraw/zinc/32/60/38/529326038.db2.gz YPSIHPMCRYBRTB-MRVPVSSYSA-N 0 0 254.261 2.510 20 5 CFBDRN CC(C)c1ncsc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000456462514 534933659 /nfs/dbraw/zinc/93/36/59/534933659.db2.gz VGOMALQTUDVBDF-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN CC(C)[C@@H](CC(F)(F)F)Nc1c([N+](=O)[O-])ncn1C ZINC000445659936 535171346 /nfs/dbraw/zinc/17/13/46/535171346.db2.gz JHYORMNWZXKYLK-SSDOTTSWSA-N 0 0 280.250 2.717 20 5 CFBDRN CCCCN(C)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000490732911 535193244 /nfs/dbraw/zinc/19/32/44/535193244.db2.gz JCRWPJBVMXIQGG-YFHOEESVSA-N 0 0 262.309 2.867 20 5 CFBDRN CC1=CCN(C(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000492284670 535242036 /nfs/dbraw/zinc/24/20/36/535242036.db2.gz RSRPNLIESRCALH-HWKANZROSA-N 0 0 290.294 2.926 20 5 CFBDRN CC1=CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000492302867 535242931 /nfs/dbraw/zinc/24/29/31/535242931.db2.gz XLJJZOFKUQCCCI-DAXSKMNVSA-N 0 0 272.304 2.787 20 5 CFBDRN CC/C=C\CNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000455116609 535252457 /nfs/dbraw/zinc/25/24/57/535252457.db2.gz YONKOJPNABMODD-WYGGZMRJSA-N 0 0 277.324 2.921 20 5 CFBDRN CC1(C)CCC[C@@](O)(CNc2ccc([N+](=O)[O-])nc2)C1 ZINC000445616653 535306626 /nfs/dbraw/zinc/30/66/26/535306626.db2.gz SYIATOPJYFJCCB-AWEZNQCLSA-N 0 0 279.340 2.733 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCC[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000269449457 535321111 /nfs/dbraw/zinc/32/11/11/535321111.db2.gz QXSIGMYPOCAEGT-JTQLQIEISA-N 0 0 294.355 2.702 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000462544039 535481189 /nfs/dbraw/zinc/48/11/89/535481189.db2.gz VONFMOMSKHETTL-MWLCHTKSSA-N 0 0 278.308 2.978 20 5 CFBDRN CC[C@@H](C)N[C@H](c1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000453061248 535606814 /nfs/dbraw/zinc/60/68/14/535606814.db2.gz SLEJRLBDKJXHQY-RISCZKNCSA-N 0 0 288.351 2.806 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000435522148 535630167 /nfs/dbraw/zinc/63/01/67/535630167.db2.gz ZLCIDZIWGAKGJJ-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)OC ZINC000452423809 535648161 /nfs/dbraw/zinc/64/81/61/535648161.db2.gz XYWVUSXQXVDWCL-NOZJJQNGSA-N 0 0 298.314 2.525 20 5 CFBDRN CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)c1ncccc1C ZINC000341605445 535673952 /nfs/dbraw/zinc/67/39/52/535673952.db2.gz FSAFTPNWBPPCIT-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN CC[C@@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])C(C)(C)C ZINC000433784253 535809736 /nfs/dbraw/zinc/80/97/36/535809736.db2.gz VGSKMMVAXFNJHY-GFCCVEGCSA-N 0 0 279.340 2.930 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2OC)C1 ZINC000494700677 536047366 /nfs/dbraw/zinc/04/73/66/536047366.db2.gz BIHICIRPPJFJAY-HNNXBMFYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCc1cnc(CNc2cccc(F)c2[N+](=O)[O-])o1 ZINC000234266585 536055619 /nfs/dbraw/zinc/05/56/19/536055619.db2.gz RNSXDBYQAFUJEY-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CCc1cnc(CNc2nc(C)cc(C)c2[N+](=O)[O-])o1 ZINC000444828482 536055648 /nfs/dbraw/zinc/05/56/48/536055648.db2.gz SITYFQNLYLIDLW-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN CC[C@H](CCO)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450352414 536098368 /nfs/dbraw/zinc/09/83/68/536098368.db2.gz VTEHHYUQCLPUNM-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CCn1cccc(CNc2ccc(C)cc2[N+](=O)[O-])c1=O ZINC000432819939 536099247 /nfs/dbraw/zinc/09/92/47/536099247.db2.gz BQUPGFKRCFADRR-UHFFFAOYSA-N 0 0 287.319 2.697 20 5 CFBDRN CCc1coc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)n1 ZINC000492764894 536106854 /nfs/dbraw/zinc/10/68/54/536106854.db2.gz LGBNOPALMQKZNV-VMPITWQZSA-N 0 0 287.275 2.797 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2COC)C[C@H](C)O1 ZINC000267001975 536161829 /nfs/dbraw/zinc/16/18/29/536161829.db2.gz FRUATRGFWUAEKH-FZMZJTMJSA-N 0 0 294.351 2.745 20 5 CFBDRN COC(=O)Cc1ccc(NCC(C)(C)C)c([N+](=O)[O-])c1 ZINC000450279317 536367141 /nfs/dbraw/zinc/36/71/41/536367141.db2.gz XABYWMIFCLXFAS-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN COC[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000456940136 536502444 /nfs/dbraw/zinc/50/24/44/536502444.db2.gz PBYJRVKHOHODOW-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN COC[C@@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000457032541 536753940 /nfs/dbraw/zinc/75/39/40/536753940.db2.gz JLYSJGMKNOONBO-SNVBAGLBSA-N 0 0 298.364 2.545 20 5 CFBDRN CO[C@H]1C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000440261765 536801201 /nfs/dbraw/zinc/80/12/01/536801201.db2.gz UUYKOUYJTCXXFS-NEPJUHHUSA-N 0 0 293.323 2.530 20 5 CFBDRN COCC[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1ccco1 ZINC000355570820 536811849 /nfs/dbraw/zinc/81/18/49/536811849.db2.gz IFLOBPBKKPHZIS-LLVKDONJSA-N 0 0 277.280 2.773 20 5 CFBDRN COc1cc(N2C[C@H](C)OC(C)(C)C2)c(F)cc1[N+](=O)[O-] ZINC000119373522 536831619 /nfs/dbraw/zinc/83/16/19/536831619.db2.gz UGJMMHKMVVDXIV-VIFPVBQESA-N 0 0 298.314 2.746 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@@H](C)C[C@H]1C ZINC000444899238 536886718 /nfs/dbraw/zinc/88/67/18/536886718.db2.gz POOOBHNJEMJAAB-VHSXEESVSA-N 0 0 293.323 2.866 20 5 CFBDRN CC1=CCN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000935083427 649872777 /nfs/dbraw/zinc/87/27/77/649872777.db2.gz KTRKFMGOHDICSK-HUUCEWRRSA-N 0 0 286.331 2.877 20 5 CFBDRN CC1(C(=O)NCCc2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000935114247 649876862 /nfs/dbraw/zinc/87/68/62/649876862.db2.gz IGDDRTWIBWNHIH-UHFFFAOYSA-N 0 0 274.320 2.610 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCC(F)(F)C1 ZINC000935257665 649892783 /nfs/dbraw/zinc/89/27/83/649892783.db2.gz UVNXWPAQMJSCAN-VXGBXAGGSA-N 0 0 296.273 2.566 20 5 CFBDRN CN(CCOC(=O)/C=C\C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000744074271 574052434 /nfs/dbraw/zinc/05/24/34/574052434.db2.gz LNDGUGDOOZCFJZ-WTKPLQERSA-N 0 0 290.319 2.540 20 5 CFBDRN CO[C@H](C)CCOC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000744307000 574065592 /nfs/dbraw/zinc/06/55/92/574065592.db2.gz WLLVSSHUOCCZLS-SECBINFHSA-N 0 0 292.291 2.658 20 5 CFBDRN CCC[C@@H](O)CC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000740977814 574065952 /nfs/dbraw/zinc/06/59/52/574065952.db2.gz CQDQUZVYEVMYHQ-ZWNOBZJWSA-N 0 0 281.308 2.750 20 5 CFBDRN CC[C@H]1CCCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000727826333 574067276 /nfs/dbraw/zinc/06/72/76/574067276.db2.gz PBWQZZGAYYBWPU-JTQLQIEISA-N 0 0 260.297 2.621 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)/C=C/C1CC1 ZINC000744361177 574071240 /nfs/dbraw/zinc/07/12/40/574071240.db2.gz FOYBZWXDTVTIAA-LJJSCBMDSA-N 0 0 272.304 2.839 20 5 CFBDRN CCCOC(=O)[C@H](C)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000754106174 574073345 /nfs/dbraw/zinc/07/33/45/574073345.db2.gz MQUBZVUUQNXFSF-NSHDSACASA-N 0 0 292.335 2.607 20 5 CFBDRN COc1ccnc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)c1 ZINC000754110612 574073838 /nfs/dbraw/zinc/07/38/38/574073838.db2.gz WUFVTRZNHUYROH-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN CCCCCC[C@H](C)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000728050046 574077952 /nfs/dbraw/zinc/07/79/52/574077952.db2.gz BBOVTWYPXVGHRZ-VIFPVBQESA-N 0 0 267.333 2.738 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCS1 ZINC000750905125 574080875 /nfs/dbraw/zinc/08/08/75/574080875.db2.gz QIQHGIQJEJNDOX-LBPRGKRZSA-N 0 0 281.333 2.576 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnoc1 ZINC000754201133 574082304 /nfs/dbraw/zinc/08/23/04/574082304.db2.gz CBZDWZGINYPBGP-RMKNXTFCSA-N 0 0 273.248 2.625 20 5 CFBDRN CSCCCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000744776736 574088076 /nfs/dbraw/zinc/08/80/76/574088076.db2.gz VDZNGSQKDGFLCV-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN Cc1ccc(CC(=O)OCc2ccccc2[N+](=O)[O-])o1 ZINC000754333033 574089026 /nfs/dbraw/zinc/08/90/26/574089026.db2.gz BYMUAMFRIDFWNR-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ccsc2[N+](=O)[O-])o1 ZINC000741244603 574090738 /nfs/dbraw/zinc/09/07/38/574090738.db2.gz IGSRQPNHMOEDHL-SECBINFHSA-N 0 0 268.294 2.703 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CC(=O)OCCCC1CCCC1 ZINC000744881181 574091896 /nfs/dbraw/zinc/09/18/96/574091896.db2.gz WFMZNWJURGHQBA-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN CSCCCOC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000744910540 574093200 /nfs/dbraw/zinc/09/32/00/574093200.db2.gz RLUCIGAAZCVDCG-UHFFFAOYSA-N 0 0 291.275 2.783 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC=CCC1 ZINC000754466073 574096980 /nfs/dbraw/zinc/09/69/80/574096980.db2.gz ROJANXCBJUVJTC-QXMHVHEDSA-N 0 0 272.304 2.787 20 5 CFBDRN CCC(CC)N(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000728521082 574098937 /nfs/dbraw/zinc/09/89/37/574098937.db2.gz XBXOSBZCQYDJEQ-UHFFFAOYSA-N 0 0 291.351 2.970 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000754582640 574105170 /nfs/dbraw/zinc/10/51/70/574105170.db2.gz IIRPRXRGFUEJBL-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2ncc([N+](=O)[O-])cc2C)no1 ZINC000731027904 574106771 /nfs/dbraw/zinc/10/67/71/574106771.db2.gz SPDVCHFMIDRCON-CYBMUJFWSA-N 0 0 288.307 2.936 20 5 CFBDRN O=C(OCC1CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000728764800 574106791 /nfs/dbraw/zinc/10/67/91/574106791.db2.gz CRDCCXPFBTZJCY-UHFFFAOYSA-N 0 0 260.249 2.643 20 5 CFBDRN C[C@@H]1CN(C(=S)Nc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000728795105 574110633 /nfs/dbraw/zinc/11/06/33/574110633.db2.gz CXLPVMUPNLMPHK-SECBINFHSA-N 0 0 297.405 2.729 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1Cc2ccccc21 ZINC000745376050 574111621 /nfs/dbraw/zinc/11/16/21/574111621.db2.gz JQMWPDSMVPZSOG-AWEZNQCLSA-N 0 0 283.283 2.978 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2CCCCC2=O)c1 ZINC000745386746 574112338 /nfs/dbraw/zinc/11/23/38/574112338.db2.gz XZTWGKPGAGBQAM-ZDUSSCGKSA-N 0 0 277.276 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCOC(C)(C)C)c1 ZINC000745387873 574112481 /nfs/dbraw/zinc/11/24/81/574112481.db2.gz BMKBQFKRRQEIDV-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN COC[C@@H](C)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000731209541 574112665 /nfs/dbraw/zinc/11/26/65/574112665.db2.gz QEBJYMTXMYGZKR-QMMMGPOBSA-N 0 0 281.337 2.899 20 5 CFBDRN CC(C)(C)c1cnc(COC(=O)c2ccc([N+](=O)[O-])[n-]2)o1 ZINC000754682132 574113137 /nfs/dbraw/zinc/11/31/37/574113137.db2.gz DIEVOOLXKRUPFZ-UHFFFAOYSA-N 0 0 293.279 2.565 20 5 CFBDRN Cc1cncc(C(=O)OCc2ccccc2[N+](=O)[O-])c1 ZINC000745507740 574114329 /nfs/dbraw/zinc/11/43/29/574114329.db2.gz SGYXCICPCASQLG-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=C(CCc1ccccn1)OCc1ccccc1[N+](=O)[O-] ZINC000745525588 574115205 /nfs/dbraw/zinc/11/52/05/574115205.db2.gz LXEBHCQWFXDKCB-UHFFFAOYSA-N 0 0 286.287 2.666 20 5 CFBDRN O=C(/C=C\C1CC1)OCc1csc([N+](=O)[O-])c1 ZINC000745555386 574117073 /nfs/dbraw/zinc/11/70/73/574117073.db2.gz FEDKOBLBZYNJAN-ARJAWSKDSA-N 0 0 253.279 2.666 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H](C)CSC ZINC000731386941 574118495 /nfs/dbraw/zinc/11/84/95/574118495.db2.gz AQMWOGULHCTYMK-SECBINFHSA-N 0 0 299.348 2.646 20 5 CFBDRN COc1ccc(COC(=O)[C@H](C)CSC)cc1[N+](=O)[O-] ZINC000731385773 574118769 /nfs/dbraw/zinc/11/87/69/574118769.db2.gz QNTANROSAAYGHJ-SECBINFHSA-N 0 0 299.348 2.646 20 5 CFBDRN C[C@H](C(=O)OCc1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000745617945 574120554 /nfs/dbraw/zinc/12/05/54/574120554.db2.gz DCXGYKDSHPOBPM-RXMQYKEDSA-N 0 0 283.227 2.898 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731545973 574123144 /nfs/dbraw/zinc/12/31/44/574123144.db2.gz BQSYOFAZNMJMGI-CBAPKCEASA-N 0 0 253.229 2.547 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C)on1 ZINC000754884640 574127233 /nfs/dbraw/zinc/12/72/33/574127233.db2.gz QYJGRTHOGYUJTA-VQHVLOKHSA-N 0 0 287.275 2.933 20 5 CFBDRN COC[C@H](C)CC(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000756655139 574127727 /nfs/dbraw/zinc/12/77/27/574127727.db2.gz REMSEELLOYCFAJ-SNVBAGLBSA-N 0 0 281.308 2.619 20 5 CFBDRN O=C(C=C1CCCC1)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000731714604 574128093 /nfs/dbraw/zinc/12/80/93/574128093.db2.gz ROSQRVMTJOUDEO-UHFFFAOYSA-N 0 0 289.287 2.821 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCCS2)cc([N+](=O)[O-])c1 ZINC000745959618 574129205 /nfs/dbraw/zinc/12/92/05/574129205.db2.gz PKRXVDXUEUKNQS-LBPRGKRZSA-N 0 0 281.333 2.956 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(-c2cccc(N)c2)no1 ZINC000731803235 574129416 /nfs/dbraw/zinc/12/94/16/574129416.db2.gz XKZMBPBTHLSTBC-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@H](C(F)(F)F)O1 ZINC000745975264 574130401 /nfs/dbraw/zinc/13/04/01/574130401.db2.gz HOVXMPBFULFCAO-LDYMZIIASA-N 0 0 290.241 2.751 20 5 CFBDRN CC(C)N(Cc1ccccc1F)Cn1nccc1[N+](=O)[O-] ZINC000746033485 574131548 /nfs/dbraw/zinc/13/15/48/574131548.db2.gz VIAWGSLDIBNHOC-UHFFFAOYSA-N 0 0 292.314 2.799 20 5 CFBDRN CCCN(Cc1ccccc1F)Cn1nccc1[N+](=O)[O-] ZINC000746038371 574131567 /nfs/dbraw/zinc/13/15/67/574131567.db2.gz PJDAEGYZILVOCK-UHFFFAOYSA-N 0 0 292.314 2.800 20 5 CFBDRN CCCC(=O)COC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755011528 574132116 /nfs/dbraw/zinc/13/21/16/574132116.db2.gz KCTGAFFULQXXLX-LUAWRHEFSA-N 0 0 291.303 2.911 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H](O)c1cccc(F)c1 ZINC000751964055 574148332 /nfs/dbraw/zinc/14/83/32/574148332.db2.gz AAQWCTHLNJUNDT-YMTOWFKASA-N 0 0 291.282 2.663 20 5 CFBDRN CCN(Cc1cccc([N+](=O)[O-])c1C)CC(F)F ZINC000755226160 574148717 /nfs/dbraw/zinc/14/87/17/574148717.db2.gz DIYDDOHSRGRVLX-UHFFFAOYSA-N 0 0 258.268 2.990 20 5 CFBDRN O=C(CCn1cccc1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000746436380 574149068 /nfs/dbraw/zinc/14/90/68/574149068.db2.gz PTMDRMWWWGMACJ-UHFFFAOYSA-N 0 0 277.255 2.564 20 5 CFBDRN C[C@H](OC(=O)[C@@H](O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000732717428 574167416 /nfs/dbraw/zinc/16/74/16/574167416.db2.gz DSUSYXJQZNMAOL-JOYOIKCWSA-N 0 0 281.308 2.606 20 5 CFBDRN CCOc1cc(COC(=O)/C=C/SC)ccc1[N+](=O)[O-] ZINC000755548937 574168331 /nfs/dbraw/zinc/16/83/31/574168331.db2.gz AZJFICJRMZLSHU-VOTSOKGWSA-N 0 0 297.332 2.913 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@H]2CCC[C@H](C)C2)n1 ZINC000755575830 574168802 /nfs/dbraw/zinc/16/88/02/574168802.db2.gz OOONBVJSGIJQII-QWRGUYRKSA-N 0 0 295.339 2.794 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746907253 574170405 /nfs/dbraw/zinc/17/04/05/574170405.db2.gz RJHFIWDGQBKBSP-MQQKCMAXSA-N 0 0 265.240 2.909 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000746942078 574171984 /nfs/dbraw/zinc/17/19/84/574171984.db2.gz OWFWZHAODQATHW-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000729087886 574172692 /nfs/dbraw/zinc/17/26/92/574172692.db2.gz UJZXVFWMYSTQBO-JQWIXIFHSA-N 0 0 288.303 2.749 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000738616480 574176327 /nfs/dbraw/zinc/17/63/27/574176327.db2.gz KFCWTUOGVAUFDB-SSDOTTSWSA-N 0 0 282.252 2.767 20 5 CFBDRN CCCC(=O)COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000738623249 574176759 /nfs/dbraw/zinc/17/67/59/574176759.db2.gz SAIVKCXAMCIUSQ-UHFFFAOYSA-N 0 0 290.275 2.602 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@H]1C=CCCC1 ZINC000755675262 574177509 /nfs/dbraw/zinc/17/75/09/574177509.db2.gz BXRWQIYLNUIXRJ-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN Cc1cccc2c1CCN(c1cccnc1[N+](=O)[O-])C2 ZINC000729184952 574182583 /nfs/dbraw/zinc/18/25/83/574182583.db2.gz YWDQZRIVEPXLRE-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN COC[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000747157413 574182818 /nfs/dbraw/zinc/18/28/18/574182818.db2.gz IYHZKANATGDGCA-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN COC[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000747157412 574182891 /nfs/dbraw/zinc/18/28/91/574182891.db2.gz IYHZKANATGDGCA-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN CC(=O)CCCC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000755759866 574185368 /nfs/dbraw/zinc/18/53/68/574185368.db2.gz DYBRHCXEGZMDCB-LLVKDONJSA-N 0 0 279.292 2.958 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@]2(C)CCOC2)c1 ZINC000747220491 574188138 /nfs/dbraw/zinc/18/81/38/574188138.db2.gz MZNNJQDEAJOXBU-CYBMUJFWSA-N 0 0 284.287 2.581 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@H]1CC[C@@H](C)C1 ZINC000755835086 574191312 /nfs/dbraw/zinc/19/13/12/574191312.db2.gz VQCYFMOINXQKBQ-KOLCDFICSA-N 0 0 279.292 2.949 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(F)(F)C1 ZINC000755894444 574194817 /nfs/dbraw/zinc/19/48/17/574194817.db2.gz IKPBPEPIKUXOBH-NTMALXAHSA-N 0 0 296.273 2.866 20 5 CFBDRN CCC(CC)(CNC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000739254642 574203199 /nfs/dbraw/zinc/20/31/99/574203199.db2.gz SDUUSTKMIDTXOZ-UHFFFAOYSA-N 0 0 295.339 2.511 20 5 CFBDRN O=C(OCCC[C@@H]1CCCO1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000756088632 574208663 /nfs/dbraw/zinc/20/86/63/574208663.db2.gz WKTPKGWPGSSSBX-ZDUSSCGKSA-N 0 0 297.282 2.850 20 5 CFBDRN CCc1cc(Oc2cc(C=O)ccc2[N+](=O)[O-])ncn1 ZINC000733188827 574209353 /nfs/dbraw/zinc/20/93/53/574209353.db2.gz NLANPXLKHUDAFK-UHFFFAOYSA-N 0 0 273.248 2.552 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000756109846 574210287 /nfs/dbraw/zinc/21/02/87/574210287.db2.gz WOTBZKJHZFMAAQ-BRNRAETOSA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2cccc(C(N)=O)c2)c1 ZINC000756116626 574210494 /nfs/dbraw/zinc/21/04/94/574210494.db2.gz MKQWDKKMIQBPES-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN Cc1nn(C)cc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000733218380 574211315 /nfs/dbraw/zinc/21/13/15/574211315.db2.gz YGMFCTCNLMFPLZ-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CC1(COc2cc([N+](=O)[O-])ccc2Cl)COC1 ZINC000747811725 574214008 /nfs/dbraw/zinc/21/40/08/574214008.db2.gz JJNVVOVJAFBAEM-UHFFFAOYSA-N 0 0 257.673 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]([C@@H]3CCOC3)C2)c(F)c1 ZINC000747844082 574214959 /nfs/dbraw/zinc/21/49/59/574214959.db2.gz KMQFMBFPFXLOEN-NWDGAFQWSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccc(F)n2)c(Cl)c1 ZINC000747845292 574215412 /nfs/dbraw/zinc/21/54/12/574215412.db2.gz HABCRWWRUVFEKS-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN CO[C@H](CNc1ccc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000733303375 574216652 /nfs/dbraw/zinc/21/66/52/574216652.db2.gz OBVLAZUHYCWWRN-SECBINFHSA-N 0 0 282.193 2.723 20 5 CFBDRN O=C(C[C@H]1CCOC1)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000739576367 574219824 /nfs/dbraw/zinc/21/98/24/574219824.db2.gz MSHJVHGQWORGEM-SECBINFHSA-N 0 0 299.710 2.718 20 5 CFBDRN CC(C)CC(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000729697112 574229435 /nfs/dbraw/zinc/22/94/35/574229435.db2.gz VMZXWAWBYUOMRY-UHFFFAOYSA-N 0 0 267.281 2.563 20 5 CFBDRN CC(C)(C)[C@H](CC(=O)[O-])[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000756362077 574229558 /nfs/dbraw/zinc/22/95/58/574229558.db2.gz NFAGEDQZKBAKBK-LBPRGKRZSA-N 0 0 280.324 2.574 20 5 CFBDRN Cc1cc(C(=O)OCc2ccncc2)ccc1[N+](=O)[O-] ZINC000729792735 574232081 /nfs/dbraw/zinc/23/20/81/574232081.db2.gz OYUFINJHBVHGEB-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1c(COC(=O)[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000748148861 574232446 /nfs/dbraw/zinc/23/24/46/574232446.db2.gz FSVULZVFDKIJOX-JTQLQIEISA-N 0 0 263.293 2.993 20 5 CFBDRN CC[C@H](C)COC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000756392911 574233153 /nfs/dbraw/zinc/23/31/53/574233153.db2.gz HSYAHFDBUUVIRM-JTQLQIEISA-N 0 0 281.308 2.735 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000748181334 574235771 /nfs/dbraw/zinc/23/57/71/574235771.db2.gz GSYZBAXMKZRLOQ-SECBINFHSA-N 0 0 295.266 2.650 20 5 CFBDRN COc1cc(C(=O)O[C@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000733476220 574242758 /nfs/dbraw/zinc/24/27/58/574242758.db2.gz NABYAMBPEIIQCK-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN O=C(C[C@H]1CCCCO1)OCc1ccccc1[N+](=O)[O-] ZINC000756514579 574242846 /nfs/dbraw/zinc/24/28/46/574242846.db2.gz ATRXAVAGHSBVDR-GFCCVEGCSA-N 0 0 279.292 2.597 20 5 CFBDRN Cc1c(COC(=O)[C@@]2(C)CCCO2)cccc1[N+](=O)[O-] ZINC000756540882 574245155 /nfs/dbraw/zinc/24/51/55/574245155.db2.gz ADTOWSGQOIWQLF-CQSZACIVSA-N 0 0 279.292 2.516 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2nnc(C3CC3)o2)ccc1F ZINC000748448697 574252913 /nfs/dbraw/zinc/25/29/13/574252913.db2.gz FOOBQEIGZGVKIM-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN Cc1nonc1COc1ccc([N+](=O)[O-])cc1Cl ZINC000733871160 574259284 /nfs/dbraw/zinc/25/92/84/574259284.db2.gz YCEDUIPAAYDVMX-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC(F)(F)C(F)F ZINC000733869974 574259337 /nfs/dbraw/zinc/25/93/37/574259337.db2.gz CCWBKRFDCGUZLJ-UHFFFAOYSA-N 0 0 283.177 2.883 20 5 CFBDRN CCCNC(=S)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756790246 574263524 /nfs/dbraw/zinc/26/35/24/574263524.db2.gz PXTCPUOLOFQQSI-UHFFFAOYSA-N 0 0 287.772 2.622 20 5 CFBDRN CN(c1cccnc1[N+](=O)[O-])[C@@H]1CCc2ccccc2C1 ZINC000733994178 574268296 /nfs/dbraw/zinc/26/82/96/574268296.db2.gz BQLHHJSUOVIBPF-CQSZACIVSA-N 0 0 283.331 2.984 20 5 CFBDRN Cc1nn(C)c(Nc2ccn(-c3ccccc3)n2)c1[N+](=O)[O-] ZINC000734140816 574274319 /nfs/dbraw/zinc/27/43/19/574274319.db2.gz BGTNKSYWOJMDRS-UHFFFAOYSA-N 0 0 298.306 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@@H]2CCCSC2)cc1 ZINC000749000963 574275872 /nfs/dbraw/zinc/27/58/72/574275872.db2.gz FDVRBPCMJTZCJQ-SNVBAGLBSA-N 0 0 297.405 2.777 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C1=COCC1 ZINC000734124136 574276046 /nfs/dbraw/zinc/27/60/46/574276046.db2.gz VZSBTAUHTXELAQ-UHFFFAOYSA-N 0 0 290.319 2.636 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C1CCSCC1 ZINC000740961178 574276216 /nfs/dbraw/zinc/27/62/16/574276216.db2.gz KMMDKBBLXWYNQH-UHFFFAOYSA-N 0 0 299.323 2.920 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])n[nH]1 ZINC000749054803 574278056 /nfs/dbraw/zinc/27/80/56/574278056.db2.gz KVYOFUMBCRHNQB-SECBINFHSA-N 0 0 275.264 2.544 20 5 CFBDRN C[C@H](OC(=O)c1cccn1C)c1ccccc1[N+](=O)[O-] ZINC000749058193 574278639 /nfs/dbraw/zinc/27/86/39/574278639.db2.gz WDPDZSVJBYPYGO-JTQLQIEISA-N 0 0 274.276 2.851 20 5 CFBDRN COc1ccc(COC(=O)C2CC=CC2)cc1[N+](=O)[O-] ZINC000741045306 574280070 /nfs/dbraw/zinc/28/00/70/574280070.db2.gz XAFHYNOZZFKNBE-UHFFFAOYSA-N 0 0 277.276 2.613 20 5 CFBDRN Cc1cc(NC(=O)NCc2cccc([N+](=O)[O-])c2)sn1 ZINC000749232241 574285339 /nfs/dbraw/zinc/28/53/39/574285339.db2.gz PDVLNBKQZOYEBW-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN CSc1ccc(C(=O)OCCCF)cc1[N+](=O)[O-] ZINC000741444117 574290115 /nfs/dbraw/zinc/29/01/15/574290115.db2.gz MCRWWMKVYKVCAI-UHFFFAOYSA-N 0 0 273.285 2.833 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000741445358 574290248 /nfs/dbraw/zinc/29/02/48/574290248.db2.gz KZHUIMWKYLSCCL-JOYOIKCWSA-N 0 0 299.710 2.716 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)C(C)(C)C)c(N)c([N+](=O)[O-])c1 ZINC000734549094 574292467 /nfs/dbraw/zinc/29/24/67/574292467.db2.gz JKEHZKNNYGPTTB-JTQLQIEISA-N 0 0 293.367 2.897 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cc(Cl)[nH]n1 ZINC000749403270 574293794 /nfs/dbraw/zinc/29/37/94/574293794.db2.gz NPFNUISQCHTESF-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN O=C(CCC1CC1)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000734613820 574295981 /nfs/dbraw/zinc/29/59/81/574295981.db2.gz MAQFVDVGDSRVMV-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN Cc1[nH]nc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)c1C ZINC000734622011 574296365 /nfs/dbraw/zinc/29/63/65/574296365.db2.gz NXAAKGRXNPOBLE-SNVBAGLBSA-N 0 0 289.291 2.853 20 5 CFBDRN CC(C)OCC(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734781194 574301866 /nfs/dbraw/zinc/30/18/66/574301866.db2.gz SHWXZIAHLOFRCX-UHFFFAOYSA-N 0 0 287.699 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NCCC(F)(F)F)cc1 ZINC000743032251 574302620 /nfs/dbraw/zinc/30/26/20/574302620.db2.gz QNOFIIMGVDOMDJ-UHFFFAOYSA-N 0 0 293.270 2.834 20 5 CFBDRN CCCc1ccc(NC(=O)c2n[nH]c(C)c2[N+](=O)[O-])cc1 ZINC000749719064 574305976 /nfs/dbraw/zinc/30/59/76/574305976.db2.gz KWULDQUHNSHEGK-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])on1 ZINC000734886722 574306734 /nfs/dbraw/zinc/30/67/34/574306734.db2.gz CSRROPDYTZCSJQ-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CCOCCC(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734897518 574307021 /nfs/dbraw/zinc/30/70/21/574307021.db2.gz WSXDOIXKRIWLFH-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN CN(C(=S)Nc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000741871961 574308228 /nfs/dbraw/zinc/30/82/28/574308228.db2.gz HJMWXGRQXYNPFD-UHFFFAOYSA-N 0 0 265.338 2.776 20 5 CFBDRN C[C@H](ONC(=O)C1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000749792544 574309534 /nfs/dbraw/zinc/30/95/34/574309534.db2.gz WYNVMUNTASURQK-JTQLQIEISA-N 0 0 278.308 2.894 20 5 CFBDRN CC(C)(C)[S@](=O)CCNc1ccsc1[N+](=O)[O-] ZINC000735075003 574311853 /nfs/dbraw/zinc/31/18/53/574311853.db2.gz OPQUYHVUHQXYHI-QGZVFWFLSA-N 0 0 276.383 2.615 20 5 CFBDRN Cc1cccnc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000749879922 574313636 /nfs/dbraw/zinc/31/36/36/574313636.db2.gz KZSSUSSTKICTHA-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN O=C(/C=C\c1ccco1)NCCc1ccccc1[N+](=O)[O-] ZINC000742007673 574313756 /nfs/dbraw/zinc/31/37/56/574313756.db2.gz PNIYKPNACIOPKY-FPLPWBNLSA-N 0 0 286.287 2.560 20 5 CFBDRN COCCCCCOC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000735131485 574314239 /nfs/dbraw/zinc/31/42/39/574314239.db2.gz IBVNTVYQAIQNGO-UHFFFAOYSA-N 0 0 287.337 2.938 20 5 CFBDRN Cc1cccc(N)c1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000735149449 574315169 /nfs/dbraw/zinc/31/51/69/574315169.db2.gz FEPUVLVDWXRXIB-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3CCCC[C@H]3C2)c2nonc21 ZINC000750031671 574321854 /nfs/dbraw/zinc/32/18/54/574321854.db2.gz XYKWQPQIIUOXPF-UWVGGRQHSA-N 0 0 288.307 2.757 20 5 CFBDRN Cc1noc(OCc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000735416799 574324905 /nfs/dbraw/zinc/32/49/05/574324905.db2.gz IRCYRCFBOHKWLP-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN CN(Cc1ccc(Cl)nc1)c1ccc([N+](=O)[O-])nc1 ZINC000735486399 574326638 /nfs/dbraw/zinc/32/66/38/574326638.db2.gz BKTKAYWQAYSYKH-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN Cc1csc(COC(=O)Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000744311936 574327349 /nfs/dbraw/zinc/32/73/49/574327349.db2.gz CLWSIVYMFQZJNC-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H](O)c2ccc(C)o2)c1 ZINC000742409434 574328571 /nfs/dbraw/zinc/32/85/71/574328571.db2.gz DREPHMWPYHUUIA-ZDUSSCGKSA-N 0 0 292.291 2.650 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1ccc(F)cc1O ZINC000750159143 574328697 /nfs/dbraw/zinc/32/86/97/574328697.db2.gz MEAWCOKBVKZTGV-UHFFFAOYSA-N 0 0 297.263 2.858 20 5 CFBDRN COc1ccc(OC(=O)C2(C)CCC2)c([N+](=O)[O-])c1 ZINC000750161715 574328741 /nfs/dbraw/zinc/32/87/41/574328741.db2.gz WAXDAPNDTCZZMK-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN COc1ccc(OC(=O)c2ncccc2C)c([N+](=O)[O-])c1 ZINC000750160822 574328838 /nfs/dbraw/zinc/32/88/38/574328838.db2.gz ODEGVVVFCZRZCN-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC2CC=CC2)c1 ZINC000750357673 574335017 /nfs/dbraw/zinc/33/50/17/574335017.db2.gz LZNAFBOAUKSDAO-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN CCO[C@H](C)C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735800320 574336328 /nfs/dbraw/zinc/33/63/28/574336328.db2.gz FSDFUUOPFVXHCA-MRVPVSSYSA-N 0 0 287.699 2.716 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000742746994 574342673 /nfs/dbraw/zinc/34/26/73/574342673.db2.gz WSAOXEDQJIFUDZ-HTQZYQBOSA-N 0 0 286.378 2.670 20 5 CFBDRN C[C@H](OC(=O)Cc1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000735959876 574344176 /nfs/dbraw/zinc/34/41/76/574344176.db2.gz KLMMTFAGKQCNRH-NSHDSACASA-N 0 0 286.287 2.837 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[Si](C)(C)C ZINC000735964210 574344482 /nfs/dbraw/zinc/34/44/82/574344482.db2.gz DYNKLSABBOWKTQ-UHFFFAOYSA-N 0 0 283.356 2.638 20 5 CFBDRN CCC(CC)(CNC(=O)c1cc([N+](=O)[O-])c[nH]1)SC ZINC000742844316 574346076 /nfs/dbraw/zinc/34/60/76/574346076.db2.gz BXZKHSKZLXAALC-UHFFFAOYSA-N 0 0 285.369 2.575 20 5 CFBDRN CC(=O)CCCOC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750534681 574346726 /nfs/dbraw/zinc/34/67/26/574346726.db2.gz BHYXATZSLGXZHR-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2cc(F)ccc2[N+](=O)[O-])n1 ZINC000736116348 574351634 /nfs/dbraw/zinc/35/16/34/574351634.db2.gz OOXWWLZUFJUDSC-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCc2c[nH]nc2C1 ZINC000750720036 574352323 /nfs/dbraw/zinc/35/23/23/574352323.db2.gz LBUFXCLRBVRFDU-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)Cc1ccco1 ZINC000750715957 574352347 /nfs/dbraw/zinc/35/23/47/574352347.db2.gz IYWOIUKIBQPEBF-NSHDSACASA-N 0 0 288.303 2.857 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCNc1ccccc1 ZINC000736295219 574360309 /nfs/dbraw/zinc/36/03/09/574360309.db2.gz RPCZRXCLMHZFBC-UHFFFAOYSA-N 0 0 258.281 2.514 20 5 CFBDRN CSC(C)(C)CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000743460500 574365605 /nfs/dbraw/zinc/36/56/05/574365605.db2.gz IGXCGAPQOGBYLW-UHFFFAOYSA-N 0 0 280.353 2.796 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000743461279 574365623 /nfs/dbraw/zinc/36/56/23/574365623.db2.gz XJWXQPXHHDGWOI-GHMZBOCLSA-N 0 0 274.324 2.867 20 5 CFBDRN CCCCCC[C@H](C)NC(=O)Cn1c(C)ncc1[N+](=O)[O-] ZINC000743544566 574368494 /nfs/dbraw/zinc/36/84/94/574368494.db2.gz BVQVOROKIZKGDF-NSHDSACASA-N 0 0 296.371 2.575 20 5 CFBDRN COc1ccc(CNC(=O)C(C)=C(C)C)cc1[N+](=O)[O-] ZINC000751363564 574375442 /nfs/dbraw/zinc/37/54/42/574375442.db2.gz HRCNBZXBRALODN-UHFFFAOYSA-N 0 0 278.308 2.576 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000725987728 574376921 /nfs/dbraw/zinc/37/69/21/574376921.db2.gz OAHDKAUPSGCPNG-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CS/C=C\C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000751466390 574377518 /nfs/dbraw/zinc/37/75/18/574377518.db2.gz NWLSONODVKTIEO-LUAWRHEFSA-N 0 0 294.376 2.910 20 5 CFBDRN CS/C=C/C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000751563861 574379167 /nfs/dbraw/zinc/37/91/67/574379167.db2.gz CVHXWTTXNYYXOD-XCODYQFDSA-N 0 0 266.322 2.649 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])[C@H]1C[C@@H]1C1CC1 ZINC000751747028 574382134 /nfs/dbraw/zinc/38/21/34/574382134.db2.gz SOFVBOHEOTYVMN-NEPJUHHUSA-N 0 0 291.303 2.563 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@@H]1C[C@@H]1C1CC1 ZINC000751746864 574382152 /nfs/dbraw/zinc/38/21/52/574382152.db2.gz OLXBIAZNFRDOOJ-NXEZZACHSA-N 0 0 279.267 2.823 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000751793671 574383008 /nfs/dbraw/zinc/38/30/08/574383008.db2.gz CDOARAHTSUPLNP-NSHDSACASA-N 0 0 263.293 2.993 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1ccc(F)cn1 ZINC000751825335 574383410 /nfs/dbraw/zinc/38/34/10/574383410.db2.gz CHBPHISHQTZAEK-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCc2ccccn2)c1[N+](=O)[O-] ZINC000752552341 574392700 /nfs/dbraw/zinc/39/27/00/574392700.db2.gz CLECELKMCRPWHN-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCSCCOC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752560952 574392787 /nfs/dbraw/zinc/39/27/87/574392787.db2.gz ZNDCLKZMQHBBKH-UHFFFAOYSA-N 0 0 291.275 2.783 20 5 CFBDRN Cc1nnccc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000753096298 574398474 /nfs/dbraw/zinc/39/84/74/574398474.db2.gz VFFZFYONBKGRRB-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])nc1 ZINC000753158965 574399589 /nfs/dbraw/zinc/39/95/89/574399589.db2.gz KLDUBNGVKHKLGO-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN O=C([O-])C1([NH2+]Cc2cc(Cl)ccc2[N+](=O)[O-])CCCC1 ZINC000769751918 597383884 /nfs/dbraw/zinc/38/38/84/597383884.db2.gz JQSYSLLOJWYYHC-UHFFFAOYSA-N 0 0 298.726 2.735 20 5 CFBDRN O=C([O-])C1CC[NH+](Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000049964236 597719378 /nfs/dbraw/zinc/71/93/78/597719378.db2.gz JGORXFHUSIHQKP-UHFFFAOYSA-N 0 0 298.726 2.545 20 5 CFBDRN C/C(Cl)=C/C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000900268146 620656230 /nfs/dbraw/zinc/65/62/30/620656230.db2.gz NNXSLEZAEDNMRD-JGAIRUGNSA-N 0 0 268.700 2.915 20 5 CFBDRN CC(C)(CC(=O)[O-])[NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000826538228 604442013 /nfs/dbraw/zinc/44/20/13/604442013.db2.gz LKNHZTBWWOCZIE-UHFFFAOYSA-N 0 0 286.715 2.591 20 5 CFBDRN C[C@@]1(C(=O)[O-])CC[N@H+](Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000828028843 604795659 /nfs/dbraw/zinc/79/56/59/604795659.db2.gz FCUDKTSIIYKZOB-CYBMUJFWSA-N 0 0 298.726 2.545 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@]1(C)C=CCC1 ZINC000935914883 649959942 /nfs/dbraw/zinc/95/99/42/649959942.db2.gz GDNCIDHJCGBCPB-MRXNPFEDSA-N 0 0 288.347 2.952 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000840649412 617882994 /nfs/dbraw/zinc/88/29/94/617882994.db2.gz QVVKFNWWBJLKTD-SNVBAGLBSA-N 0 0 295.291 2.516 20 5 CFBDRN CSc1ccc(Cl)c(C(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000813106847 617928272 /nfs/dbraw/zinc/92/82/72/617928272.db2.gz ISQUZDSYJCLBAO-SSDOTTSWSA-N 0 0 289.740 2.884 20 5 CFBDRN CSCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000890877608 617930410 /nfs/dbraw/zinc/93/04/10/617930410.db2.gz OANXEHGNBBJKFR-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN C/C(=C\c1ccc(Cl)cc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813107236 617932067 /nfs/dbraw/zinc/93/20/67/617932067.db2.gz NJWBZXGRTJURFH-PCYYEKQGSA-N 0 0 283.711 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1nc(C2CC2)no1 ZINC000076421996 617989108 /nfs/dbraw/zinc/98/91/08/617989108.db2.gz ZZIOAHJGQISJRY-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN O=C(NC/C=C/Cl)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000891201461 618038512 /nfs/dbraw/zinc/03/85/12/618038512.db2.gz LTCLSOGLAQOXCY-UUIAATJESA-N 0 0 280.711 2.567 20 5 CFBDRN O=C(NC/C=C\Cl)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000891201460 618038754 /nfs/dbraw/zinc/03/87/54/618038754.db2.gz LTCLSOGLAQOXCY-JTXHXIFASA-N 0 0 280.711 2.567 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CC[C@H]2C)c1[N+](=O)[O-] ZINC000804854035 618045975 /nfs/dbraw/zinc/04/59/75/618045975.db2.gz DYSPUNWIVYWIPT-HQJQHLMTSA-N 0 0 266.272 2.571 20 5 CFBDRN C[C@@H](C[S@](C)=O)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235114973 618086415 /nfs/dbraw/zinc/08/64/15/618086415.db2.gz DQHAKRHQZDYJCT-QQOXFEPMSA-N 0 0 294.735 2.566 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N(C)Cc1cccs1 ZINC000891473201 617625745 /nfs/dbraw/zinc/62/57/45/617625745.db2.gz XBWNGVJBNZXGSD-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN C[C@H](CNc1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000891511162 617644966 /nfs/dbraw/zinc/64/49/66/617644966.db2.gz QBIAERLLVSNOJF-SNVBAGLBSA-N 0 0 260.297 2.544 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccnc(Cl)c1F)[N+](=O)[O-] ZINC000891512542 617645531 /nfs/dbraw/zinc/64/55/31/617645531.db2.gz ORZGUPNRPZORFJ-UHFFFAOYSA-N 0 0 289.694 2.648 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N(C)Cc1ccsc1 ZINC000891579038 617674776 /nfs/dbraw/zinc/67/47/76/617674776.db2.gz TYJDEKXKARVOQT-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCO[C@@H](C)CC1 ZINC000932347663 617678529 /nfs/dbraw/zinc/67/85/29/617678529.db2.gz YXBGLTKXCJMBEG-LBPRGKRZSA-N 0 0 294.351 2.604 20 5 CFBDRN CC[C@@H](CNc1cc([N+](=O)[O-])ccc1C(C)=O)OC ZINC000811864367 617736193 /nfs/dbraw/zinc/73/61/93/617736193.db2.gz XOVIILDWWCQCPU-NSHDSACASA-N 0 0 266.297 2.634 20 5 CFBDRN CC[C@H](C)OC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 ZINC000121590878 617775279 /nfs/dbraw/zinc/77/52/79/617775279.db2.gz HZLWKLLFUUPOPD-JTQLQIEISA-N 0 0 289.291 2.736 20 5 CFBDRN C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000933818670 617782698 /nfs/dbraw/zinc/78/26/98/617782698.db2.gz XHWFHFWAAGJAMX-HKCMKHECSA-N 0 0 288.347 2.813 20 5 CFBDRN C[C@H]1CN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000933818668 617782883 /nfs/dbraw/zinc/78/28/83/617782883.db2.gz XHWFHFWAAGJAMX-BAESOJJISA-N 0 0 288.347 2.813 20 5 CFBDRN COCCCCN(C)C(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000891852146 617787119 /nfs/dbraw/zinc/78/71/19/617787119.db2.gz MPHAOFOGVDGUEG-UHFFFAOYSA-N 0 0 294.351 2.710 20 5 CFBDRN CC[C@@H](C)C(=O)O[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000023519882 617801465 /nfs/dbraw/zinc/80/14/65/617801465.db2.gz ZAWRKNGVIHXXCZ-NXEZZACHSA-N 0 0 294.307 2.511 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@H](F)C2)c1 ZINC000804685758 617810678 /nfs/dbraw/zinc/81/06/78/617810678.db2.gz KPPROJYPYNLXII-QMMMGPOBSA-N 0 0 272.251 2.681 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000176175744 617842169 /nfs/dbraw/zinc/84/21/69/617842169.db2.gz RPCVKYZMKSUSIZ-UWVGGRQHSA-N 0 0 279.340 2.650 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCC3(O)CCC3)sc2c1 ZINC000804719583 617843975 /nfs/dbraw/zinc/84/39/75/617843975.db2.gz FFWXPJZCYLGGGL-UHFFFAOYSA-N 0 0 293.348 2.922 20 5 CFBDRN O=C(CCCc1ccccc1Cl)OCCC[N+](=O)[O-] ZINC000860919116 617871960 /nfs/dbraw/zinc/87/19/60/617871960.db2.gz SZXBROUTEFGKES-UHFFFAOYSA-N 0 0 285.727 2.873 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000804780904 617879371 /nfs/dbraw/zinc/87/93/71/617879371.db2.gz FSVHCGUVYMYHDY-AOOOYVTPSA-N 0 0 293.348 2.818 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@H]3C[C@H]32)c1 ZINC000804785205 617880713 /nfs/dbraw/zinc/88/07/13/617880713.db2.gz ZRXIIWWXMHKPDT-GZMMTYOYSA-N 0 0 266.272 2.731 20 5 CFBDRN Cc1ccc([C@@H](O)[C@H](C)Nc2ccc([N+](=O)[O-])cn2)cc1 ZINC000804809570 617891690 /nfs/dbraw/zinc/89/16/90/617891690.db2.gz GJOYYIPIQBULAU-NHYWBVRUSA-N 0 0 287.319 2.832 20 5 CFBDRN Cc1ccc([C@H](O)[C@H](C)Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000804811305 617894551 /nfs/dbraw/zinc/89/45/51/617894551.db2.gz SCSYUWXTXCELBL-XHDPSFHLSA-N 0 0 287.319 2.832 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804816551 617896576 /nfs/dbraw/zinc/89/65/76/617896576.db2.gz CCBJZXFSAOXHMQ-NXEZZACHSA-N 0 0 295.295 2.588 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000934104243 617901386 /nfs/dbraw/zinc/90/13/86/617901386.db2.gz ZQUAHHWYSNEHMR-HKCMKHECSA-N 0 0 288.347 2.955 20 5 CFBDRN CC(=O)C(C)(C)CCc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812813469 617902405 /nfs/dbraw/zinc/90/24/05/617902405.db2.gz LJZCBHXOWJXLSH-UHFFFAOYSA-N 0 0 297.355 2.605 20 5 CFBDRN CC(C)(CCc1noc(CCCC(F)(F)F)n1)[N+](=O)[O-] ZINC000812812502 617902547 /nfs/dbraw/zinc/90/25/47/617902547.db2.gz KDJARPNSVPKECT-UHFFFAOYSA-N 0 0 295.261 2.943 20 5 CFBDRN O=C(OCc1ccccn1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000901173242 620761843 /nfs/dbraw/zinc/76/18/43/620761843.db2.gz SKOJQPUARXQZGI-CABCVRRESA-N 0 0 298.298 2.837 20 5 CFBDRN Cc1ccc(C(=O)NCCc2cccnc2C)cc1[N+](=O)[O-] ZINC000890837306 617921133 /nfs/dbraw/zinc/92/11/33/617921133.db2.gz URCSSGFWUILVAC-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@@H](COC(=O)c1cccc(Cl)c1Cl)[N+](=O)[O-] ZINC000813104432 617925029 /nfs/dbraw/zinc/92/50/29/617925029.db2.gz COMOGJFKKOBKKM-LURJTMIESA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@H](COC(=O)c1cccc(-c2cscn2)c1)[N+](=O)[O-] ZINC000813104840 617925765 /nfs/dbraw/zinc/92/57/65/617925765.db2.gz SGEBTUSEDFRXQA-SECBINFHSA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@H](COC(=O)C[C@H](C)c1ccc(F)cc1F)[N+](=O)[O-] ZINC000813104607 617925802 /nfs/dbraw/zinc/92/58/02/617925802.db2.gz PBJBXDZMJDMUOK-DTWKUNHWSA-N 0 0 287.262 2.667 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(OC(C)(C)C)cc1)[N+](=O)[O-] ZINC000813108575 617933353 /nfs/dbraw/zinc/93/33/53/617933353.db2.gz ZYIXBYBUUACQQN-JTQLQIEISA-N 0 0 281.308 2.686 20 5 CFBDRN CC[C@@H](Sc1ccccc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813109639 617933814 /nfs/dbraw/zinc/93/38/14/617933814.db2.gz UXTSBXYBDAEYBV-ZYHUDNBSSA-N 0 0 283.349 2.766 20 5 CFBDRN C[C@H](SCc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813111124 617936288 /nfs/dbraw/zinc/93/62/88/617936288.db2.gz OFJVJCHPFYDANF-QWRGUYRKSA-N 0 0 283.349 2.517 20 5 CFBDRN CO[C@H](C)CN(C)c1ccc([N+](=O)[O-])cc1OC(F)F ZINC000804840331 617936309 /nfs/dbraw/zinc/93/63/09/617936309.db2.gz IXXYRYDHIAPJHC-MRVPVSSYSA-N 0 0 290.266 2.667 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccc([N+](=O)[O-])cc1OC(F)F ZINC000804840333 617936576 /nfs/dbraw/zinc/93/65/76/617936576.db2.gz IXXYRYDHIAPJHC-QMMMGPOBSA-N 0 0 290.266 2.667 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC(O)(c2ccccc2)C1 ZINC000804837767 617937675 /nfs/dbraw/zinc/93/76/75/617937675.db2.gz TXLHCQCDCCOWIL-UHFFFAOYSA-N 0 0 284.315 2.611 20 5 CFBDRN C[C@@H](Cc1cccc(Cl)c1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813112159 617940807 /nfs/dbraw/zinc/94/08/07/617940807.db2.gz CTLZAPDXMYZASI-UWVGGRQHSA-N 0 0 285.727 2.727 20 5 CFBDRN CC(C)[C@H](C(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813112368 617941546 /nfs/dbraw/zinc/94/15/46/617941546.db2.gz GCXKYLDAAMSMJM-AAEUAGOBSA-N 0 0 265.309 2.635 20 5 CFBDRN Cc1nn(C/C(Cl)=C\Cl)c(C)c1[N+](=O)[O-] ZINC000255492276 617997869 /nfs/dbraw/zinc/99/78/69/617997869.db2.gz INKKVHLAYZWCML-XVNBXDOJSA-N 0 0 250.085 2.727 20 5 CFBDRN CC(C)[C@@H](CO)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000804851618 618014792 /nfs/dbraw/zinc/01/47/92/618014792.db2.gz JCOYADFZXWXQJW-SECBINFHSA-N 0 0 295.364 2.881 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000048805865 618045456 /nfs/dbraw/zinc/04/54/56/618045456.db2.gz JCCUQCLVSCLILO-JGVFFNPUSA-N 0 0 264.285 2.978 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000176678692 618056427 /nfs/dbraw/zinc/05/64/27/618056427.db2.gz UGUOHPYYZDXERW-QMMMGPOBSA-N 0 0 254.261 2.571 20 5 CFBDRN C[C@@H](CCO)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235075743 618071512 /nfs/dbraw/zinc/07/15/12/618071512.db2.gz BBTZVIGFUZHBLZ-ZETCQYMHSA-N 0 0 276.695 2.818 20 5 CFBDRN Cc1cnc(OCCCCCCCO)c([N+](=O)[O-])c1 ZINC000176706634 618073596 /nfs/dbraw/zinc/07/35/96/618073596.db2.gz PGQRKGACQYAONM-UHFFFAOYSA-N 0 0 268.313 2.620 20 5 CFBDRN COc1ccc(OC(=O)C[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000247899852 618082652 /nfs/dbraw/zinc/08/26/52/618082652.db2.gz QAQPLBAWSRNYEJ-SNVBAGLBSA-N 0 0 277.276 2.865 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235219969 618100937 /nfs/dbraw/zinc/10/09/37/618100937.db2.gz OULJDUSTXJALTM-RNFRBKRXSA-N 0 0 276.695 2.959 20 5 CFBDRN CCCSCC(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000077556948 618145193 /nfs/dbraw/zinc/14/51/93/618145193.db2.gz LKIXOOKLINTZBW-UHFFFAOYSA-N 0 0 285.321 2.652 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1CCCC1 ZINC000891395190 618184249 /nfs/dbraw/zinc/18/42/49/618184249.db2.gz VVAVIGZYQCNFEU-UHFFFAOYSA-N 0 0 261.256 2.882 20 5 CFBDRN Nc1ccc(CNc2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000308377857 618207975 /nfs/dbraw/zinc/20/79/75/618207975.db2.gz CQZKYAWYIRPVMA-UHFFFAOYSA-N 0 0 278.699 2.838 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)c1ccnn1C ZINC000235211131 618209224 /nfs/dbraw/zinc/20/92/24/618209224.db2.gz VNLDRRCVZSYEPF-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN CC(C)COCCCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000219720099 618211116 /nfs/dbraw/zinc/21/11/16/618211116.db2.gz LWMMVVXTXAUFKP-UHFFFAOYSA-N 0 0 294.311 2.606 20 5 CFBDRN C/C(Cl)=C\C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000901329554 620786034 /nfs/dbraw/zinc/78/60/34/620786034.db2.gz MKVWBIDOTPWWHJ-FLOXNTQESA-N 0 0 268.700 2.915 20 5 CFBDRN C/C(Cl)=C/C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000901329555 620786127 /nfs/dbraw/zinc/78/61/27/620786127.db2.gz MKVWBIDOTPWWHJ-FUOZMLNRSA-N 0 0 268.700 2.915 20 5 CFBDRN Cc1cccc(CNCc2cccc([N+](=O)[O-])c2C)n1 ZINC000051576244 618226229 /nfs/dbraw/zinc/22/62/29/618226229.db2.gz UVTBQSRWAKOZBA-UHFFFAOYSA-N 0 0 271.320 2.896 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC=C(Cl)C1 ZINC000892418705 618234896 /nfs/dbraw/zinc/23/48/96/618234896.db2.gz STDDENVBYLHSOJ-UHFFFAOYSA-N 0 0 295.726 2.633 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC2(C1)CCOCC2 ZINC000893941836 618247210 /nfs/dbraw/zinc/24/72/10/618247210.db2.gz UIANWRRZYWDDCD-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1cccc(CN(C)c2c([N+](=O)[O-])cnn2C)c1C ZINC000893945714 618249662 /nfs/dbraw/zinc/24/96/62/618249662.db2.gz LWMYXJZLWAQFII-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000930732162 618256690 /nfs/dbraw/zinc/25/66/90/618256690.db2.gz AQFXHEWUXJMWRB-QWHCGFSZSA-N 0 0 293.323 2.544 20 5 CFBDRN O=C(CCc1ccoc1)Oc1cccc([N+](=O)[O-])c1 ZINC000177041067 618262591 /nfs/dbraw/zinc/26/25/91/618262591.db2.gz IIJOQVYKERABQF-UHFFFAOYSA-N 0 0 261.233 2.726 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCCC1CCOCC1 ZINC000894007815 618266680 /nfs/dbraw/zinc/26/66/80/618266680.db2.gz QDVZMBDYYAFFJV-UHFFFAOYSA-N 0 0 295.339 2.617 20 5 CFBDRN C[C@H](Nc1cc(N(C)C)ncn1)c1ccc([N+](=O)[O-])cc1 ZINC000894007077 618266987 /nfs/dbraw/zinc/26/69/87/618266987.db2.gz ATZKGQYLOKCZGC-JTQLQIEISA-N 0 0 287.323 2.624 20 5 CFBDRN COCc1nccc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000894007629 618267056 /nfs/dbraw/zinc/26/70/56/618267056.db2.gz FPBHTMPBJGDQHU-JTQLQIEISA-N 0 0 288.307 2.704 20 5 CFBDRN COc1ccc(OC(=O)CCc2ccoc2)c([N+](=O)[O-])c1 ZINC000177055541 618271677 /nfs/dbraw/zinc/27/16/77/618271677.db2.gz MQUNZMMPSKWRDT-UHFFFAOYSA-N 0 0 291.259 2.735 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@H]1CCCCC1(F)F ZINC000894015693 618275068 /nfs/dbraw/zinc/27/50/68/618275068.db2.gz AYZOKWCGRXTAPO-MRVPVSSYSA-N 0 0 274.271 2.566 20 5 CFBDRN O=C(CCc1ccoc1)Nc1cc([N+](=O)[O-])ccc1F ZINC000177071298 618278742 /nfs/dbraw/zinc/27/87/42/618278742.db2.gz HYWRIYOLYSNFNO-UHFFFAOYSA-N 0 0 278.239 2.898 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H](CO)c1ccccc1 ZINC000749285906 618282937 /nfs/dbraw/zinc/28/29/37/618282937.db2.gz JMDVMOIRELGKIH-GFCCVEGCSA-N 0 0 290.294 2.922 20 5 CFBDRN C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000894042840 618286154 /nfs/dbraw/zinc/28/61/54/618286154.db2.gz CCPFOTDSCRSLPB-QMMMGPOBSA-N 0 0 286.278 2.642 20 5 CFBDRN Cc1nn(C[C@@H]2CCCC3(CCC3)O2)c(C)c1[N+](=O)[O-] ZINC000901351559 620792770 /nfs/dbraw/zinc/79/27/70/620792770.db2.gz JFFMLAFUXMFWEN-LBPRGKRZSA-N 0 0 279.340 2.900 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H]1CC(C)(C)OC1(C)C ZINC000882110613 618317909 /nfs/dbraw/zinc/31/79/09/618317909.db2.gz RVCUCYONTRGUIK-JTQLQIEISA-N 0 0 267.329 2.693 20 5 CFBDRN O=C(O[C@H]1CCSC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000103824914 618331104 /nfs/dbraw/zinc/33/11/04/618331104.db2.gz ZPSQTRYVRNFMFV-QMMMGPOBSA-N 0 0 287.724 2.911 20 5 CFBDRN CC(C)c1ccccc1Cn1cc([N+](=O)[O-])ccc1=O ZINC000901367706 620796259 /nfs/dbraw/zinc/79/62/59/620796259.db2.gz KYEDWCAPLLEMCH-UHFFFAOYSA-N 0 0 272.304 2.928 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CCC[C@@H](CF)C2)c1 ZINC000895130995 618352874 /nfs/dbraw/zinc/35/28/74/618352874.db2.gz FKZQECCWFCYLAC-VIFPVBQESA-N 0 0 283.259 2.689 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CC[C@@H](CC(C)(C)C)C1 ZINC000894129446 618374322 /nfs/dbraw/zinc/37/43/22/618374322.db2.gz OYXVZVOGXZCWMD-JTQLQIEISA-N 0 0 266.345 2.591 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@]2(C)CCCO2)c1[N+](=O)[O-] ZINC000177231877 618377275 /nfs/dbraw/zinc/37/72/75/618377275.db2.gz ADYFZYVHMPERPR-CQSZACIVSA-N 0 0 279.292 2.629 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NC[C@H]1C=CCC1 ZINC000893330294 618377977 /nfs/dbraw/zinc/37/79/77/618377977.db2.gz QFRJUVCCTAJARW-JTQLQIEISA-N 0 0 294.738 2.873 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@@H]2C=CCC2)c1[N+](=O)[O-] ZINC000893331096 618384626 /nfs/dbraw/zinc/38/46/26/618384626.db2.gz VKJVVPQXTBDJBE-SNVBAGLBSA-N 0 0 278.283 2.738 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H]1CCOC(C)(C)C1 ZINC000894139916 618387572 /nfs/dbraw/zinc/38/75/72/618387572.db2.gz OVKLOYVTQXXOSQ-JTQLQIEISA-N 0 0 295.339 2.616 20 5 CFBDRN COC1CN(c2c3cc(Cl)ccc3ncc2[N+](=O)[O-])C1 ZINC000892500061 618396447 /nfs/dbraw/zinc/39/64/47/618396447.db2.gz GQVBSVSFMGWCOC-UHFFFAOYSA-N 0 0 293.710 2.631 20 5 CFBDRN C/C=C(\C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000178806667 618402036 /nfs/dbraw/zinc/40/20/36/618402036.db2.gz YAICZAGNPNXOHE-LLGDCAAFSA-N 0 0 260.293 2.839 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2C[C@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000889598937 618407690 /nfs/dbraw/zinc/40/76/90/618407690.db2.gz FXSBTHJWIVBCAF-MGPQQGTHSA-N 0 0 288.347 2.751 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)[C@@H]2C[C@H]2C)c1C ZINC000909491836 618418626 /nfs/dbraw/zinc/41/86/26/618418626.db2.gz IUIYCDABSAQEGM-MWLCHTKSSA-N 0 0 291.303 2.594 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CCCOCC2)c1 ZINC000776299212 618430065 /nfs/dbraw/zinc/43/00/65/618430065.db2.gz MERZERYISDVAOZ-VIFPVBQESA-N 0 0 284.287 2.724 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@@H]1CCC[C@@H]1C1CC1 ZINC000894185613 618432848 /nfs/dbraw/zinc/43/28/48/618432848.db2.gz QKKIHMQSMOIOEU-WDEREUQCSA-N 0 0 264.329 2.567 20 5 CFBDRN Cc1ncsc1COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000776379491 618439050 /nfs/dbraw/zinc/43/90/50/618439050.db2.gz ASMJQDBGFFVUFQ-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1[nH]c2c(cccc2C(=O)O[C@@H](C)C[N+](=O)[O-])c1C ZINC000814038401 618439694 /nfs/dbraw/zinc/43/96/94/618439694.db2.gz PLSOTLPRGQZEIQ-QMMMGPOBSA-N 0 0 276.292 2.607 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1cc(Cl)ccc1Cl ZINC000814044902 618442977 /nfs/dbraw/zinc/44/29/77/618442977.db2.gz LAJPWSSIBHDOPD-ZETCQYMHSA-N 0 0 292.118 2.744 20 5 CFBDRN C[C@@H](Nc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-])C(F)F ZINC000895180510 618444239 /nfs/dbraw/zinc/44/42/39/618444239.db2.gz XNSYIAARFFLHBV-SSDOTTSWSA-N 0 0 297.261 2.512 20 5 CFBDRN Cc1ccc(CCC(=O)O[C@H](C)C[N+](=O)[O-])cc1Cl ZINC000814046656 618449280 /nfs/dbraw/zinc/44/92/80/618449280.db2.gz MWXRYVUKFCCBGG-SNVBAGLBSA-N 0 0 285.727 2.789 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccccc2)o1 ZINC000814046275 618450822 /nfs/dbraw/zinc/45/08/22/618450822.db2.gz IMLCBSQJOABOPK-SNVBAGLBSA-N 0 0 275.260 2.769 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccccn2)c1F ZINC000235887420 618457228 /nfs/dbraw/zinc/45/72/28/618457228.db2.gz VRQUGFCFYBLOLX-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](F)C2)c(Br)c1 ZINC000309256405 618459919 /nfs/dbraw/zinc/45/99/19/618459919.db2.gz SWBIMGBGDMFRTR-SSDOTTSWSA-N 0 0 289.104 2.906 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1CCC=CCC1 ZINC000895205798 618471228 /nfs/dbraw/zinc/47/12/28/618471228.db2.gz BIZAMPXAJBJZKU-UHFFFAOYSA-N 0 0 275.308 2.527 20 5 CFBDRN Cc1cc(C2=N/C(=C/c3ccoc3)C(=O)O2)ccc1[N+](=O)[O-] ZINC000727288861 618487521 /nfs/dbraw/zinc/48/75/21/618487521.db2.gz CBOJVDSRXOXQOF-KPKJPENVSA-N 0 0 298.254 2.841 20 5 CFBDRN O=[N+]([O-])c1cccc(OCC(F)(F)C(F)F)c1 ZINC000020348949 618506228 /nfs/dbraw/zinc/50/62/28/618506228.db2.gz GFEKEKVGJQVILI-UHFFFAOYSA-N 0 0 253.151 2.874 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000928592223 618508453 /nfs/dbraw/zinc/50/84/53/618508453.db2.gz MTWRULGUPLHHBN-MRVPVSSYSA-N 0 0 286.353 2.508 20 5 CFBDRN C[C@@H](C(=O)N(C)CCCF)c1ccc([N+](=O)[O-])cc1F ZINC000895293938 618516329 /nfs/dbraw/zinc/51/63/29/618516329.db2.gz LJULIJGEFBTCSF-SECBINFHSA-N 0 0 286.278 2.655 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC[C@H](CF)C1 ZINC000882803007 618516614 /nfs/dbraw/zinc/51/66/14/618516614.db2.gz ZMWKDFXZNAJQOE-MRVPVSSYSA-N 0 0 273.695 2.829 20 5 CFBDRN COC/C(C)=C/COc1ccc(C)cc1[N+](=O)[O-] ZINC000901425941 620814919 /nfs/dbraw/zinc/81/49/19/620814919.db2.gz ZTKYFAYCVVBRTI-IZZDOVSWSA-N 0 0 251.282 2.875 20 5 CFBDRN NC(=O)c1cc(NCC[C@@H]2CC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000895426333 618576217 /nfs/dbraw/zinc/57/62/17/618576217.db2.gz SXKWKFMAHJLGIB-HOSYDEDBSA-N 0 0 289.335 2.542 20 5 CFBDRN C[C@H]1C[C@@H](c2ccccc2)CN1c1c([N+](=O)[O-])cnn1C ZINC000892551208 618629252 /nfs/dbraw/zinc/62/92/52/618629252.db2.gz DCGQCUKNHCEBNG-WCQYABFASA-N 0 0 286.335 2.711 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935735451 618631412 /nfs/dbraw/zinc/63/14/12/618631412.db2.gz BMBJYXXVBIXXQQ-GIFSMMMISA-N 0 0 274.320 2.708 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C(F)F ZINC000935744431 618636856 /nfs/dbraw/zinc/63/68/56/618636856.db2.gz QRFBLWUMVPMVNE-QWRGUYRKSA-N 0 0 298.289 2.858 20 5 CFBDRN Cc1cc(C(=O)OCc2ncccc2C)cc([N+](=O)[O-])c1 ZINC000180307169 618653073 /nfs/dbraw/zinc/65/30/73/618653073.db2.gz MEMLNQLYWZAQCU-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000782969191 618666397 /nfs/dbraw/zinc/66/63/97/618666397.db2.gz MWGOWDVQJDLIAZ-SFYZADRCSA-N 0 0 281.337 2.776 20 5 CFBDRN Cc1cccc2c(CCNc3c([N+](=O)[O-])cnn3C)c[nH]c21 ZINC000892668416 618687075 /nfs/dbraw/zinc/68/70/75/618687075.db2.gz LAFVIFLWLVFWFA-UHFFFAOYSA-N 0 0 299.334 2.773 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CCC[C@H]2C[C@H]21 ZINC000935925506 618705726 /nfs/dbraw/zinc/70/57/26/618705726.db2.gz ZRRWYCFBZLMKGN-IINYFYTJSA-N 0 0 292.310 2.677 20 5 CFBDRN CN(C(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1cccnc1 ZINC000070230977 625286570 /nfs/dbraw/zinc/28/65/70/625286570.db2.gz YIMFHPUDDQJLDY-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN Cc1cccc(O[C@@H](C)CNc2c([N+](=O)[O-])cnn2C)c1 ZINC000892687334 618710449 /nfs/dbraw/zinc/71/04/49/618710449.db2.gz JZBGKNOSMZSEIR-NSHDSACASA-N 0 0 290.323 2.516 20 5 CFBDRN Cn1c2ccccc2c(NCCC2CC2)c([N+](=O)[O-])c1=O ZINC000892705595 618722262 /nfs/dbraw/zinc/72/22/62/618722262.db2.gz VBTKPVCLGUAVAK-UHFFFAOYSA-N 0 0 287.319 2.659 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H](OC)C(C)C)ccc1[N+](=O)[O-] ZINC000892745172 618738154 /nfs/dbraw/zinc/73/81/54/618738154.db2.gz RRBOARQWQABWAW-ZDUSSCGKSA-N 0 0 296.323 2.603 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@H]2C1 ZINC000178297294 618742172 /nfs/dbraw/zinc/74/21/72/618742172.db2.gz UUMUTUBMQXNOMX-QWRGUYRKSA-N 0 0 292.310 2.996 20 5 CFBDRN CC(C)[C@H](F)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000784139010 618748700 /nfs/dbraw/zinc/74/87/00/618748700.db2.gz VJEOGEKJEGMPGL-ZDUSSCGKSA-N 0 0 280.299 2.868 20 5 CFBDRN C[C@H]1CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)[C@H]1C ZINC000892800772 618748983 /nfs/dbraw/zinc/74/89/83/618748983.db2.gz KNRJMRVPJRQGJB-IUCAKERBSA-N 0 0 265.269 2.738 20 5 CFBDRN C[C@@H]1[C@@H](c2ccccc2)CCN1c1c([N+](=O)[O-])cnn1C ZINC000892861299 618758838 /nfs/dbraw/zinc/75/88/38/618758838.db2.gz UEZTYPXSSDHXHU-YPMHNXCESA-N 0 0 286.335 2.711 20 5 CFBDRN CCO[C@@H](C)C(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892861578 618759022 /nfs/dbraw/zinc/75/90/22/618759022.db2.gz UIYUMOLJAVWVMD-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@]1(C)CCCS1 ZINC000892899595 618765543 /nfs/dbraw/zinc/76/55/43/618765543.db2.gz OKJXKVLOVVVIRY-GFCCVEGCSA-N 0 0 283.353 2.696 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NOCc1ccccc1 ZINC000070275204 625291895 /nfs/dbraw/zinc/29/18/95/625291895.db2.gz ODADSSFNGLUWTM-UHFFFAOYSA-N 0 0 286.287 2.765 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)[C@@H](F)C(C)C ZINC000784541512 618781777 /nfs/dbraw/zinc/78/17/77/618781777.db2.gz JAWSZRKUBFDXRR-ZDUSSCGKSA-N 0 0 299.298 2.819 20 5 CFBDRN CCCCCCOC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000040436834 618782615 /nfs/dbraw/zinc/78/26/15/618782615.db2.gz PBIIGWUFKCFRHT-UHFFFAOYSA-N 0 0 266.297 2.914 20 5 CFBDRN CO[C@H]1CCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000893127453 618839600 /nfs/dbraw/zinc/83/96/00/618839600.db2.gz OKXJILREXNNVIX-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCCO1 ZINC000312178746 618898074 /nfs/dbraw/zinc/89/80/74/618898074.db2.gz SROYCXUQBDQABJ-GFCCVEGCSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC23CCC3)c1C ZINC000893236321 618898134 /nfs/dbraw/zinc/89/81/34/618898134.db2.gz FBYZGKVJHCGJDW-LBPRGKRZSA-N 0 0 274.320 2.884 20 5 CFBDRN C[C@H]1CN(c2ccc(C(F)F)cc2[N+](=O)[O-])CC[C@H]1O ZINC000312261982 618914808 /nfs/dbraw/zinc/91/48/08/618914808.db2.gz OPTUZTDUJCEVJY-QPUJVOFHSA-N 0 0 286.278 2.740 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776703715 618936036 /nfs/dbraw/zinc/93/60/36/618936036.db2.gz XBBYGVXXRANVRW-PJXYFTJBSA-N 0 0 261.277 2.684 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CC2(CCC2)C[C@H]1C ZINC000893496999 619020721 /nfs/dbraw/zinc/02/07/21/619020721.db2.gz GDFJXGQCVIBMNN-SNVBAGLBSA-N 0 0 277.324 2.767 20 5 CFBDRN C[C@@H](COC(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000893509963 619028433 /nfs/dbraw/zinc/02/84/33/619028433.db2.gz AOYNVINERRZMIK-RYUDHWBXSA-N 0 0 287.356 2.570 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C1CCCC1 ZINC000070747572 619122144 /nfs/dbraw/zinc/12/21/44/619122144.db2.gz RZTUYKTWSCVIKQ-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN C[C@@H](Oc1ccccc1)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000006270800 619127367 /nfs/dbraw/zinc/12/73/67/619127367.db2.gz XQNOPZQKMVLANP-LLVKDONJSA-N 0 0 287.271 2.968 20 5 CFBDRN O=C(Cn1ncc2ccccc21)Oc1cccc([N+](=O)[O-])c1 ZINC000107084325 619128938 /nfs/dbraw/zinc/12/89/38/619128938.db2.gz KJYVBBJQZRGZNJ-UHFFFAOYSA-N 0 0 297.270 2.550 20 5 CFBDRN CC[S@@](C)(=O)=NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000925833865 619164982 /nfs/dbraw/zinc/16/49/82/619164982.db2.gz LBTDAXIKSCIXAU-HXUWFJFHSA-N 0 0 298.364 2.778 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC1CC(c2ccccc2)C1 ZINC000893722743 619210910 /nfs/dbraw/zinc/21/09/10/619210910.db2.gz IUDIJHVCBOIIRL-UHFFFAOYSA-N 0 0 286.335 2.934 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC1(C(F)(F)F)CC1 ZINC000893833999 619225025 /nfs/dbraw/zinc/22/50/25/619225025.db2.gz UEZMDEWOXJSALB-UHFFFAOYSA-N 0 0 291.229 2.753 20 5 CFBDRN O=C(OCCCSCc1ccccc1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840669644 619263489 /nfs/dbraw/zinc/26/34/89/619263489.db2.gz FZPOSARKQMVQQF-CHWSQXEVSA-N 0 0 295.360 2.518 20 5 CFBDRN CCC(C)(C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000726830330 619264800 /nfs/dbraw/zinc/26/48/00/619264800.db2.gz FABOVNIEENVYOQ-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN CC(C)OCCCNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000016273648 619286754 /nfs/dbraw/zinc/28/67/54/619286754.db2.gz HOLUKVRKRLLEFO-UHFFFAOYSA-N 0 0 297.380 2.696 20 5 CFBDRN COc1ccc([C@@H](C)OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1Cl ZINC000840670840 619301934 /nfs/dbraw/zinc/30/19/34/619301934.db2.gz KNUXNRMJOOKNFM-BCMRRPTOSA-N 0 0 299.710 2.618 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)OCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928247051 619328297 /nfs/dbraw/zinc/32/82/97/619328297.db2.gz OOHIQDULSTXXQY-MNOVXSKESA-N 0 0 292.335 2.587 20 5 CFBDRN CCOCC1(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000928244620 619328338 /nfs/dbraw/zinc/32/83/38/619328338.db2.gz AFCCDVATORKURM-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN CCC[C@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000748101979 619335453 /nfs/dbraw/zinc/33/54/53/619335453.db2.gz GZYDLWPUMCYRNN-JTQLQIEISA-N 0 0 251.282 2.869 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000889602348 619342404 /nfs/dbraw/zinc/34/24/04/619342404.db2.gz CVHFPIQERRIKRB-IRUJWGPZSA-N 0 0 290.319 2.522 20 5 CFBDRN CC(C)c1cncc(NC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000888244137 619351805 /nfs/dbraw/zinc/35/18/05/619351805.db2.gz QUBJOGLBNUCVNQ-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN COc1cc(C(=O)O[C@@H]2CCSC2)cc([N+](=O)[O-])c1C ZINC000791800208 619353969 /nfs/dbraw/zinc/35/39/69/619353969.db2.gz DMXUZFLCVOWFOS-SNVBAGLBSA-N 0 0 297.332 2.574 20 5 CFBDRN CCc1nc(Sc2c([N+](=O)[O-])cnn2C)sc1C ZINC000894379184 619358689 /nfs/dbraw/zinc/35/86/89/619358689.db2.gz JDXDAMMOZVMKPH-UHFFFAOYSA-N 0 0 284.366 2.807 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CC[C@@]3(CC3(F)F)C2)c1 ZINC000894406298 619372477 /nfs/dbraw/zinc/37/24/77/619372477.db2.gz FFWREXJRMBVRRC-LLVKDONJSA-N 0 0 299.233 2.739 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCC[C@@H]1CC1(Cl)Cl ZINC000894407762 619372677 /nfs/dbraw/zinc/37/26/77/619372677.db2.gz BTBXHTUUGDFVEP-SSDOTTSWSA-N 0 0 293.154 2.714 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CC1(Cl)Cl ZINC000726915700 619377192 /nfs/dbraw/zinc/37/71/92/619377192.db2.gz KRXVOPMPHVOMSG-LURJTMIESA-N 0 0 293.081 2.866 20 5 CFBDRN CCn1nc(C)c(C(=O)Oc2cccc([N+](=O)[O-])c2)c1C ZINC000726931596 619407520 /nfs/dbraw/zinc/40/75/20/619407520.db2.gz BEPCECTUQPLUPP-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN Cc1cc(C)cc(CNc2c([N+](=O)[O-])cnn2C)c1 ZINC000894538756 619413502 /nfs/dbraw/zinc/41/35/02/619413502.db2.gz RRQSXGRKELEMHC-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN C[C@]1(CNc2ccc3nonc3c2[N+](=O)[O-])CC1(F)F ZINC000894558458 619416091 /nfs/dbraw/zinc/41/60/91/619416091.db2.gz PDFVBNLICQXXTA-SNVBAGLBSA-N 0 0 284.222 2.588 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1N1CCC[C@@H](CCF)C1 ZINC000894568246 619420848 /nfs/dbraw/zinc/42/08/48/619420848.db2.gz ZTUQURKZTDCTBP-VIFPVBQESA-N 0 0 294.286 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCC(F)CC1 ZINC000880239133 619450795 /nfs/dbraw/zinc/45/07/95/619450795.db2.gz WAKACGMJFRUQAZ-UHFFFAOYSA-N 0 0 268.288 2.537 20 5 CFBDRN COc1cc(NCc2nc(C)c[nH]2)c(Cl)cc1[N+](=O)[O-] ZINC000894789958 619464458 /nfs/dbraw/zinc/46/44/58/619464458.db2.gz KDSARVWTOSVVNL-UHFFFAOYSA-N 0 0 296.714 2.900 20 5 CFBDRN Cc1c[nH]c(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)n1 ZINC000894789542 619464664 /nfs/dbraw/zinc/46/46/64/619464664.db2.gz ISJATTYGCXMKMF-UHFFFAOYSA-N 0 0 298.249 2.840 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000894830929 619476107 /nfs/dbraw/zinc/47/61/07/619476107.db2.gz PEBBUZABPZPKSW-DLRQAJBASA-N 0 0 264.329 2.511 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000894938834 619515903 /nfs/dbraw/zinc/51/59/03/619515903.db2.gz FCDPXSRLWUEDDC-NOZJJQNGSA-N 0 0 295.295 2.507 20 5 CFBDRN C[C@H]1CNc2c(Nc3c(F)cccc3[N+](=O)[O-])cnn2C1 ZINC000894951341 619517492 /nfs/dbraw/zinc/51/74/92/619517492.db2.gz MTWGKGBAMWSHQQ-QMMMGPOBSA-N 0 0 291.286 2.736 20 5 CFBDRN COC(=O)CC(C)(C)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000895506671 619542752 /nfs/dbraw/zinc/54/27/52/619542752.db2.gz SARMGDPEFOUDFV-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN Cn1nccc1[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1F ZINC000895720167 619590605 /nfs/dbraw/zinc/59/06/05/619590605.db2.gz VXHVCDCRGQTVJI-CQSZACIVSA-N 0 0 290.298 2.809 20 5 CFBDRN C[C@@](O)(CNc1ncc([N+](=O)[O-])s1)c1cccc(F)c1 ZINC000895743941 619594950 /nfs/dbraw/zinc/59/49/50/619594950.db2.gz KVQVFPCNOJMWJV-GFCCVEGCSA-N 0 0 297.311 2.510 20 5 CFBDRN C[C@@H]1CCN(c2nc3ccc([N+](=O)[O-])cc3s2)CCO1 ZINC000895758620 619601061 /nfs/dbraw/zinc/60/10/61/619601061.db2.gz VFSOQKBOPMSLOP-SECBINFHSA-N 0 0 293.348 2.820 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2COC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000895907940 619632254 /nfs/dbraw/zinc/63/22/54/619632254.db2.gz FIMCIRAZFAGDGR-JOYOIKCWSA-N 0 0 278.308 2.634 20 5 CFBDRN Cc1cnc(N2CCCC[C@@H]2c2ncccn2)c([N+](=O)[O-])c1 ZINC000895975527 619644636 /nfs/dbraw/zinc/64/46/36/619644636.db2.gz ZVLHOKZULHOBQQ-GFCCVEGCSA-N 0 0 299.334 2.820 20 5 CFBDRN Cc1cc(CCNc2cc(Cl)ccc2[N+](=O)[O-])nn1C ZINC000896025541 619655017 /nfs/dbraw/zinc/65/50/17/619655017.db2.gz MSWLDJPAQSOZEB-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN C/C=C/CNC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000896018693 619655728 /nfs/dbraw/zinc/65/57/28/619655728.db2.gz QIACUZTTYXXFDS-UFFNRZRYSA-N 0 0 277.324 2.574 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000928603752 619676446 /nfs/dbraw/zinc/67/64/46/619676446.db2.gz VYPZKZKPLHAKQB-MNOVXSKESA-N 0 0 294.351 2.628 20 5 CFBDRN Cc1ccnc(NC[C@]2(C)CCCCO2)c1[N+](=O)[O-] ZINC000896183117 619687970 /nfs/dbraw/zinc/68/79/70/619687970.db2.gz BSIBLDRUMOUVAI-ZDUSSCGKSA-N 0 0 265.313 2.669 20 5 CFBDRN Cc1cnc(NC[C@]2(C)CCCCO2)c([N+](=O)[O-])c1 ZINC000896184678 619689659 /nfs/dbraw/zinc/68/96/59/619689659.db2.gz OPMIZVXXQZMVBZ-ZDUSSCGKSA-N 0 0 265.313 2.669 20 5 CFBDRN CCC[C@H](O)CCNc1ccc([N+](=O)[O-])cc1F ZINC000896184517 619689731 /nfs/dbraw/zinc/68/97/31/619689731.db2.gz WZLXBXMSWVSBKZ-JTQLQIEISA-N 0 0 256.277 2.697 20 5 CFBDRN CCC[C@H](O)CCNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000896186030 619690120 /nfs/dbraw/zinc/69/01/20/619690120.db2.gz LJPOIUQVXVYTKD-LBPRGKRZSA-N 0 0 280.324 2.760 20 5 CFBDRN CCC[C@@H](O)CCNc1c(OC)cccc1[N+](=O)[O-] ZINC000896185402 619690202 /nfs/dbraw/zinc/69/02/02/619690202.db2.gz BUDHYBXAGXJAEE-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN CSCC1CCN(c2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000896210962 619694873 /nfs/dbraw/zinc/69/48/73/619694873.db2.gz MXJFZAIYFMWJOO-UHFFFAOYSA-N 0 0 281.381 2.756 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCS[C@@H](C)C1 ZINC000896246606 619700897 /nfs/dbraw/zinc/70/08/97/619700897.db2.gz QAFJAVAWPTXFAU-UWVGGRQHSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@H]1C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000896247601 619701135 /nfs/dbraw/zinc/70/11/35/619701135.db2.gz IBCIIJTYUYREJC-WCBMZHEXSA-N 0 0 267.354 2.873 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC(F)(C2CC2)CC1 ZINC000896239236 619702525 /nfs/dbraw/zinc/70/25/25/619702525.db2.gz IOCHTSOZYXYLBG-UHFFFAOYSA-N 0 0 265.288 2.708 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CCS[C@@H](C)C1 ZINC000896248968 619704581 /nfs/dbraw/zinc/70/45/81/619704581.db2.gz XVXMJBPAILQXPJ-DTWKUNHWSA-N 0 0 283.353 2.694 20 5 CFBDRN C[C@@H]1CCC(=O)CN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000896338715 619718900 /nfs/dbraw/zinc/71/89/00/619718900.db2.gz IHEDVZZQIKFWRS-MRVPVSSYSA-N 0 0 268.700 2.806 20 5 CFBDRN Cc1cc(N2CCCC(=O)[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000896340057 619719979 /nfs/dbraw/zinc/71/99/79/619719979.db2.gz WZOXJJKBHMEGNZ-VIFPVBQESA-N 0 0 266.272 2.600 20 5 CFBDRN Cc1nc(N[C@@H](C2CC2)[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000896438240 619738906 /nfs/dbraw/zinc/73/89/06/619738906.db2.gz QVICSZRREPOGQI-DOMZBBRYSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cnc(NCc2cc(C3CC3)nn2C)c([N+](=O)[O-])c1 ZINC000896511638 619747668 /nfs/dbraw/zinc/74/76/68/619747668.db2.gz IMEIHNQQPIJMQB-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1cnc(NCc2cc(C3CC3)n(C)n2)c([N+](=O)[O-])c1 ZINC000896537405 619757576 /nfs/dbraw/zinc/75/75/76/619757576.db2.gz VNWBFAQJFHCZEJ-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H](C2CCOCC2)C1 ZINC000896587848 619769332 /nfs/dbraw/zinc/76/93/32/619769332.db2.gz HBQQKFJMITVOSR-CYBMUJFWSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H]2CC2CC2)cc1 ZINC000896636984 619780023 /nfs/dbraw/zinc/78/00/23/619780023.db2.gz HLFDHILKFQDECS-AWEZNQCLSA-N 0 0 262.309 2.600 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])s1)C1CCOCC1 ZINC000896784810 619814598 /nfs/dbraw/zinc/81/45/98/619814598.db2.gz HLHZFFPBSUZKKZ-UHFFFAOYSA-N 0 0 285.369 2.916 20 5 CFBDRN CSC1(c2noc(-c3ccccc3[N+](=O)[O-])n2)CC1 ZINC000896784729 619814681 /nfs/dbraw/zinc/81/46/81/619814681.db2.gz MUKBOHBNQHYNIB-UHFFFAOYSA-N 0 0 277.305 2.997 20 5 CFBDRN Cc1cnnc(NC[C@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC000896795017 619820286 /nfs/dbraw/zinc/82/02/86/619820286.db2.gz YYEIGXCVFMTOMT-NSHDSACASA-N 0 0 272.308 2.909 20 5 CFBDRN COc1cccc(NC[C@@H]2CC[C@@H](C3CC3)O2)c1[N+](=O)[O-] ZINC000896802138 619822437 /nfs/dbraw/zinc/82/24/37/619822437.db2.gz BOULONKOTAHGFN-AAEUAGOBSA-N 0 0 292.335 2.973 20 5 CFBDRN CCC[C@](C)(CC)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000796329603 619874811 /nfs/dbraw/zinc/87/48/11/619874811.db2.gz XYSZCXWOQFTKEE-ZDUSSCGKSA-N 0 0 283.328 2.551 20 5 CFBDRN CCc1nn(C)c(SCc2ccncc2)c1[N+](=O)[O-] ZINC000896954735 619904289 /nfs/dbraw/zinc/90/42/89/619904289.db2.gz ARTCYBIKTQQQFF-UHFFFAOYSA-N 0 0 278.337 2.578 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1cnn(CCF)c1 ZINC000896992233 619909394 /nfs/dbraw/zinc/90/93/94/619909394.db2.gz MLQCXSDLFQXKOC-UHFFFAOYSA-N 0 0 278.287 2.681 20 5 CFBDRN COC(=O)[C@H]1C[C@@H]2C[C@H](Nc3ccc([N+](=O)[O-])cc3)[C@@H]2C1 ZINC000897012057 619912874 /nfs/dbraw/zinc/91/28/74/619912874.db2.gz ZJIZFOISRRFPGZ-QOBDMFJFSA-N 0 0 290.319 2.594 20 5 CFBDRN Cc1ccc(CSc2c([N+](=O)[O-])ncn2C)o1 ZINC000897045900 619918660 /nfs/dbraw/zinc/91/86/60/619918660.db2.gz VRSRMKDMVFDYHF-UHFFFAOYSA-N 0 0 253.283 2.522 20 5 CFBDRN C[C@H](CNC(=O)N1C[C@@H](C)[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897059620 619921854 /nfs/dbraw/zinc/92/18/54/619921854.db2.gz CFYCJPWUXFKXPL-UTUOFQBUSA-N 0 0 291.351 2.748 20 5 CFBDRN CC(C)CN(C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000897071099 619925671 /nfs/dbraw/zinc/92/56/71/619925671.db2.gz UOEYWYHZZKKKCP-LBPRGKRZSA-N 0 0 293.367 2.996 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2CC23CC(O)C3)c([N+](=O)[O-])c1 ZINC000897089365 619927882 /nfs/dbraw/zinc/92/78/82/619927882.db2.gz GJLDSPPQZYDLTC-CUMGRINNSA-N 0 0 290.319 2.513 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(Cc1ccoc1)C1CC1 ZINC000897114716 619935943 /nfs/dbraw/zinc/93/59/43/619935943.db2.gz SXPHNZLAXBDTKS-UHFFFAOYSA-N 0 0 290.323 2.882 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000897142898 619938942 /nfs/dbraw/zinc/93/89/42/619938942.db2.gz VEZRWJUWFBLMJZ-LSDHHAIUSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000897145645 619940810 /nfs/dbraw/zinc/94/08/10/619940810.db2.gz SWAOZWSXRPPMBN-TZMCWYRMSA-N 0 0 287.319 2.757 20 5 CFBDRN CC(C)(C)c1coc(CNc2ccc([N+](=O)[O-])cn2)n1 ZINC000897172798 619944353 /nfs/dbraw/zinc/94/43/53/619944353.db2.gz WOWOCAMFYKAJII-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN CC1(C)CC2(CC(Nc3ccc(N)cc3[N+](=O)[O-])C2)CO1 ZINC000897213375 619951413 /nfs/dbraw/zinc/95/14/13/619951413.db2.gz DCBWLCVXFBNELH-UHFFFAOYSA-N 0 0 291.351 2.937 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CC(CCO)C2)c(Cl)c1 ZINC000897232385 619954552 /nfs/dbraw/zinc/95/45/52/619954552.db2.gz RJEVCGYAAWEJAJ-UHFFFAOYSA-N 0 0 270.716 2.821 20 5 CFBDRN COc1c(C(=O)OCC2=CCCC2)cccc1[N+](=O)[O-] ZINC000796915619 619954729 /nfs/dbraw/zinc/95/47/29/619954729.db2.gz FEOGILIXRKVSEY-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H]2CCC(F)(F)C2)nc1 ZINC000897239493 619957289 /nfs/dbraw/zinc/95/72/89/619957289.db2.gz GONQKLONRGZLQP-QMMMGPOBSA-N 0 0 272.255 2.622 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H]2CCC(F)(F)C2)nc1 ZINC000897239492 619957381 /nfs/dbraw/zinc/95/73/81/619957381.db2.gz GONQKLONRGZLQP-MRVPVSSYSA-N 0 0 272.255 2.622 20 5 CFBDRN Cc1nn(C)c(NCC[C@@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000897239465 619957395 /nfs/dbraw/zinc/95/73/95/619957395.db2.gz FLZMKCYVDFHHFO-VIFPVBQESA-N 0 0 288.298 2.874 20 5 CFBDRN CC1(C)CCC[C@@H](CNc2ccc([N+](=O)[O-])nc2)O1 ZINC000897254787 619960660 /nfs/dbraw/zinc/96/06/60/619960660.db2.gz VPZHGNBWGZWXSP-NSHDSACASA-N 0 0 265.313 2.749 20 5 CFBDRN Cc1ccnc(NC[C@]23C[C@H]2COC32CCC2)c1[N+](=O)[O-] ZINC000897265501 619961939 /nfs/dbraw/zinc/96/19/39/619961939.db2.gz HYPCZTFVWFXXDP-SMDDNHRTSA-N 0 0 289.335 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000897289270 619970527 /nfs/dbraw/zinc/97/05/27/619970527.db2.gz OMFCTGGJHZGMOD-ONGXEEELSA-N 0 0 277.280 2.882 20 5 CFBDRN CCc1nc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c(C)o1 ZINC000797160260 619970646 /nfs/dbraw/zinc/97/06/46/619970646.db2.gz ILUARFVYMWKDRM-UHFFFAOYSA-N 0 0 294.238 2.812 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)[C@@H](C)SC)ccc1[N+](=O)[O-] ZINC000797217450 619981491 /nfs/dbraw/zinc/98/14/91/619981491.db2.gz ZNBRGXXSODSRLZ-RKDXNWHRSA-N 0 0 299.348 2.900 20 5 CFBDRN Cc1nc(N[C@H]2CCOC23CCCC3)ccc1[N+](=O)[O-] ZINC000897322395 619991055 /nfs/dbraw/zinc/99/10/55/619991055.db2.gz FCTRTEJJURCWBZ-LBPRGKRZSA-N 0 0 277.324 2.812 20 5 CFBDRN Cc1cc([C@H](C)OC(=O)c2cccc([N+](=O)[O-])c2)no1 ZINC000798203286 620054628 /nfs/dbraw/zinc/05/46/28/620054628.db2.gz WYYUJBUFXAGJQM-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN COC/C=C/c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000866938398 620073434 /nfs/dbraw/zinc/07/34/34/620073434.db2.gz TUFXXUYHEWUXRN-ONEGZZNKSA-N 0 0 289.291 2.537 20 5 CFBDRN COc1cc(C(=O)NC(C)(C)/C=C/Cl)ccc1[N+](=O)[O-] ZINC000928934933 620076070 /nfs/dbraw/zinc/07/60/70/620076070.db2.gz PNKYRWJQVGZESZ-VOTSOKGWSA-N 0 0 298.726 2.864 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1c(F)cccc1[N+](=O)[O-] ZINC000889617039 620083454 /nfs/dbraw/zinc/08/34/54/620083454.db2.gz SBQRRYOHUFBHMB-IQJOONFLSA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000928937372 620087003 /nfs/dbraw/zinc/08/70/03/620087003.db2.gz MFPUVJUTKGVXTC-FPLPWBNLSA-N 0 0 298.726 2.621 20 5 CFBDRN Cc1cc(NCC[C@@H](O)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000897384137 620088616 /nfs/dbraw/zinc/08/86/16/620088616.db2.gz IFUTUPFSAWTURZ-CYBMUJFWSA-N 0 0 268.288 2.615 20 5 CFBDRN COC1(CNc2c([N+](=O)[O-])c(C)nn2C)CCCCCC1 ZINC000897406328 620104635 /nfs/dbraw/zinc/10/46/35/620104635.db2.gz XIRDEYNADCXZLO-UHFFFAOYSA-N 0 0 296.371 2.788 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](c1ccncc1)C1CC1 ZINC000897422698 620117394 /nfs/dbraw/zinc/11/73/94/620117394.db2.gz PMTGPTGQPHAQQF-CYBMUJFWSA-N 0 0 270.292 2.948 20 5 CFBDRN CCc1nnc(COc2ccc([N+](=O)[O-])c(Cl)c2)o1 ZINC000109793116 620153259 /nfs/dbraw/zinc/15/32/59/620153259.db2.gz RHMXSBGQIHSNPC-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN CC(C)c1ccc(C[N@H+](C)C[C@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000263531232 620155284 /nfs/dbraw/zinc/15/52/84/620155284.db2.gz WQQKKFUMLBKIFY-NSHDSACASA-N 0 0 294.351 2.871 20 5 CFBDRN Cc1ccc(OC(=O)c2cc(C3CC3)[nH]n2)cc1[N+](=O)[O-] ZINC000179122728 620162019 /nfs/dbraw/zinc/16/20/19/620162019.db2.gz BPRPKVXIPOCLPL-UHFFFAOYSA-N 0 0 287.275 2.723 20 5 CFBDRN CCN(C)C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 ZINC000075504421 620162762 /nfs/dbraw/zinc/16/27/62/620162762.db2.gz GRSJQJBRJVFGGE-UHFFFAOYSA-N 0 0 291.351 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COC[C@@H]2C2CC2)c2ccncc21 ZINC000897442320 620172648 /nfs/dbraw/zinc/17/26/48/620172648.db2.gz UFFZEKQULNLFAW-UKRRQHHQSA-N 0 0 299.330 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3COC[C@@H]3C3CC3)ccc2c1 ZINC000897445278 620173652 /nfs/dbraw/zinc/17/36/52/620173652.db2.gz FEHGORMRQHZGSA-HIFRSBDPSA-N 0 0 299.330 2.980 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1cc(F)ccc1[N+](=O)[O-] ZINC000889591245 620183601 /nfs/dbraw/zinc/18/36/01/620183601.db2.gz PYIICDVPGDOPET-PTOFAABTSA-N 0 0 278.283 2.652 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1ccc([N+](=O)[O-])s1)C2 ZINC000897496921 620190719 /nfs/dbraw/zinc/19/07/19/620190719.db2.gz OHNYCYUSSVLBQD-KCJUWKMLSA-N 0 0 270.379 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]([C@@H]3CCOC3)C2)nc1 ZINC000897564577 620246914 /nfs/dbraw/zinc/24/69/14/620246914.db2.gz QMGGJZXXXXCLNW-CHWSQXEVSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC(Cc2ccoc2)C1 ZINC000898161652 620341125 /nfs/dbraw/zinc/34/11/25/620341125.db2.gz GFPLSYJJBFEMJJ-UHFFFAOYSA-N 0 0 272.304 2.862 20 5 CFBDRN COc1c(C(=O)N2CCC=C(Cl)C2)cccc1[N+](=O)[O-] ZINC000898200190 620353976 /nfs/dbraw/zinc/35/39/76/620353976.db2.gz RUUKAKHCMQPYPQ-UHFFFAOYSA-N 0 0 296.710 2.572 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000899136028 620525111 /nfs/dbraw/zinc/52/51/11/620525111.db2.gz ICQMDQAABVISSA-DOMZBBRYSA-N 0 0 292.335 2.509 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-n1[nH]c(CC2CC2)cc1=O ZINC000899351435 620550688 /nfs/dbraw/zinc/55/06/88/620550688.db2.gz KDWJHUALGXIOEC-UHFFFAOYSA-N 0 0 273.292 2.796 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)c1[N+](=O)[O-] ZINC000899418706 620562295 /nfs/dbraw/zinc/56/22/95/620562295.db2.gz UMOVDKQRXRMQBQ-IMIFBBOLSA-N 0 0 288.347 2.925 20 5 CFBDRN CCn1c(SCC[N+](=O)[O-])nnc1-c1ccc(C)cc1 ZINC000899878320 620608284 /nfs/dbraw/zinc/60/82/84/620608284.db2.gz OXRVGKFBMHXNEN-UHFFFAOYSA-N 0 0 292.364 2.642 20 5 CFBDRN CSc1ccc(NCC[N+](=O)[O-])cc1Br ZINC000899891480 620610584 /nfs/dbraw/zinc/61/05/84/620610584.db2.gz KCUIZLZDPQINSF-UHFFFAOYSA-N 0 0 291.170 2.860 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000899904636 620611310 /nfs/dbraw/zinc/61/13/10/620611310.db2.gz PQZQFRDXUWLVRN-LURJTMIESA-N 0 0 274.679 2.916 20 5 CFBDRN CCC/C=C/[C@@H](O)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000899934937 620615381 /nfs/dbraw/zinc/61/53/81/620615381.db2.gz FMIRQCORYMXAFJ-OMDQHUAHSA-N 0 0 278.308 2.559 20 5 CFBDRN CCC/C=C/[C@@H](O)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000899936752 620615744 /nfs/dbraw/zinc/61/57/44/620615744.db2.gz GHYRDOQDIYYKHH-PSKZRQQASA-N 0 0 292.335 2.867 20 5 CFBDRN C[C@H](CCc1ccccc1[N+](=O)[O-])[NH2+][C@H](C)[C@H](C)C(=O)[O-] ZINC000901448930 620824085 /nfs/dbraw/zinc/82/40/85/620824085.db2.gz ONONFWMRJIEWSP-GRYCIOLGSA-N 0 0 294.351 2.615 20 5 CFBDRN C[C@@H](CCc1ccccc1[N+](=O)[O-])[NH2+]CC(C)(C)C(=O)[O-] ZINC000901507550 620839961 /nfs/dbraw/zinc/83/99/61/620839961.db2.gz YKGQLZSKAHSKRI-NSHDSACASA-N 0 0 294.351 2.616 20 5 CFBDRN COC/C(C)=C\COc1ccc([N+](=O)[O-])cc1C ZINC000901526778 620845347 /nfs/dbraw/zinc/84/53/47/620845347.db2.gz FIWCGHMDZZHFCM-POHAHGRESA-N 0 0 251.282 2.875 20 5 CFBDRN COC/C(C)=C/COc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000901533194 620847861 /nfs/dbraw/zinc/84/78/61/620847861.db2.gz KTHHYFPZENHTFB-WEVVVXLNSA-N 0 0 266.297 2.578 20 5 CFBDRN CC(C)N1CCC[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000901519527 620854657 /nfs/dbraw/zinc/85/46/57/620854657.db2.gz DQPQQZALDUHFAA-CYBMUJFWSA-N 0 0 295.314 2.545 20 5 CFBDRN COC/C(C)=C/Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000901558343 620857037 /nfs/dbraw/zinc/85/70/37/620857037.db2.gz ZOGUXSHZFPNZFN-YRNVUSSQSA-N 0 0 288.303 2.502 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC000901574369 620866831 /nfs/dbraw/zinc/86/68/31/620866831.db2.gz XOZQSLWLQFZVKG-CQSZACIVSA-N 0 0 292.291 2.672 20 5 CFBDRN Cc1cc(C)c(OC(=O)COC(F)(F)F)c([N+](=O)[O-])c1 ZINC000901580862 620869039 /nfs/dbraw/zinc/86/90/39/620869039.db2.gz VQQSNKHOILXUJT-UHFFFAOYSA-N 0 0 293.197 2.653 20 5 CFBDRN O=[N+]([O-])c1cccc(CSCCO[C@@H]2CCOC2)c1 ZINC000901732751 620910259 /nfs/dbraw/zinc/91/02/59/620910259.db2.gz IVMROKLNYMKPJU-CYBMUJFWSA-N 0 0 283.349 2.634 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@@H]2C[C@@]23CCOC3)ccc1F ZINC000901924255 620943059 /nfs/dbraw/zinc/94/30/59/620943059.db2.gz GHAAOPNLVQHLKN-TVQRCGJNSA-N 0 0 266.272 2.572 20 5 CFBDRN COCc1noc(-c2cc([N+](=O)[O-])ccc2SC)n1 ZINC000901930997 620944312 /nfs/dbraw/zinc/94/43/12/620944312.db2.gz LHKNCHDMWOZYLG-UHFFFAOYSA-N 0 0 281.293 2.513 20 5 CFBDRN C[C@@]1(C(=O)[O-])CCC[N@@H+]1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000902005359 620955419 /nfs/dbraw/zinc/95/54/19/620955419.db2.gz DMBMLAMYRIIUAY-SZTZYQKNSA-N 0 0 290.319 2.547 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC[C@@H]1C[C@@]12CCOC2 ZINC000902049194 620964053 /nfs/dbraw/zinc/96/40/53/620964053.db2.gz OLNQTFZPDHJUMA-IINYFYTJSA-N 0 0 280.299 2.881 20 5 CFBDRN CCC(=O)c1ccc(NCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000902102338 620974171 /nfs/dbraw/zinc/97/41/71/620974171.db2.gz BITFUXQJEBYLSE-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN C[C@H](CC(C)(C)CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000902100380 620978882 /nfs/dbraw/zinc/97/88/82/620978882.db2.gz DIXNBRNAJLYPQP-LLVKDONJSA-N 0 0 294.351 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@H]1C[C@@]12CCOC2 ZINC000902122125 620982946 /nfs/dbraw/zinc/98/29/46/620982946.db2.gz CHYIFOJMCLVOEC-NOZJJQNGSA-N 0 0 267.256 2.539 20 5 CFBDRN Cc1cccc(OCc2ccc(N(C)C)nc2)c1[N+](=O)[O-] ZINC000902308641 621028828 /nfs/dbraw/zinc/02/88/28/621028828.db2.gz FZBXZUZEGZZPRI-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@@H]1C[C@@]12CCOC2 ZINC000902333714 621039779 /nfs/dbraw/zinc/03/97/79/621039779.db2.gz YTNPTRJFQKCYSV-TVQRCGJNSA-N 0 0 267.256 2.539 20 5 CFBDRN Cc1cn(Cc2c(F)ccc(C)c2F)nc1[N+](=O)[O-] ZINC000902374035 621045765 /nfs/dbraw/zinc/04/57/65/621045765.db2.gz WUQGFQWALDJFNX-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN CO[C@@H](CSCc1cn(C)nc1[N+](=O)[O-])CC(C)C ZINC000902408395 621056079 /nfs/dbraw/zinc/05/60/79/621056079.db2.gz DSRRPAHJMSHJML-LLVKDONJSA-N 0 0 287.385 2.623 20 5 CFBDRN CCC(CC)CSCc1cn(C)nc1[N+](=O)[O-] ZINC000902418930 621072081 /nfs/dbraw/zinc/07/20/81/621072081.db2.gz QCTJCIYXZVXRMY-UHFFFAOYSA-N 0 0 257.359 2.998 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSCCO[C@H]1CC1(F)F ZINC000902420298 621072914 /nfs/dbraw/zinc/07/29/14/621072914.db2.gz ABSPJOOAASFUTK-VIFPVBQESA-N 0 0 290.291 2.647 20 5 CFBDRN Cn1cc(CSCC[C@@H]2CCCCO2)c([N+](=O)[O-])n1 ZINC000902420560 621073101 /nfs/dbraw/zinc/07/31/01/621073101.db2.gz BLJBVOHXEDPMIJ-NSHDSACASA-N 0 0 285.369 2.521 20 5 CFBDRN C[C@H](SCCN1CCOC1=O)c1cccc([N+](=O)[O-])c1 ZINC000902469719 621100999 /nfs/dbraw/zinc/10/09/99/621100999.db2.gz UQWGUFFUIGCLGN-JTQLQIEISA-N 0 0 296.348 2.841 20 5 CFBDRN C[C@@H]1C[C@H](COc2ccc(N)c([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000902703477 621155955 /nfs/dbraw/zinc/15/59/55/621155955.db2.gz MSQAKKJIEBOTCC-URLYPYJESA-N 0 0 280.324 2.759 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000902937970 621210297 /nfs/dbraw/zinc/21/02/97/621210297.db2.gz PSVJYHODCUSRNI-MRVPVSSYSA-N 0 0 299.302 2.669 20 5 CFBDRN COc1cc([C@H](C)Nc2cnn(C)c2C)cc([N+](=O)[O-])c1 ZINC000903141348 621266514 /nfs/dbraw/zinc/26/65/14/621266514.db2.gz OFBWHFOAGUSZBZ-VIFPVBQESA-N 0 0 290.323 2.818 20 5 CFBDRN C[C@@H]([NH2+]C/C=C\Cl)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903445854 621384107 /nfs/dbraw/zinc/38/41/07/621384107.db2.gz WJANDSIPRDHCMY-ZTHSNPKKSA-N 0 0 256.689 2.704 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC000903630772 621411790 /nfs/dbraw/zinc/41/17/90/621411790.db2.gz DHWGTSCCKNVAQB-GRYCIOLGSA-N 0 0 292.335 2.698 20 5 CFBDRN Nc1ccc2c(c1)CN(Cc1ccccc1[N+](=O)[O-])CCO2 ZINC000903946879 621484198 /nfs/dbraw/zinc/48/41/98/621484198.db2.gz GNYXLDLSZQVMAT-UHFFFAOYSA-N 0 0 299.330 2.572 20 5 CFBDRN Cc1cc(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)no1 ZINC000904333957 621577650 /nfs/dbraw/zinc/57/76/50/621577650.db2.gz ZRJDNQOKXSZUMY-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN COC[C@@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000832744522 625505061 /nfs/dbraw/zinc/50/50/61/625505061.db2.gz DWZQMRCWPQKWQI-GFCCVEGCSA-N 0 0 296.342 2.982 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000905032226 621683500 /nfs/dbraw/zinc/68/35/00/621683500.db2.gz BGTUMPSTCBCPDN-OASPWFOLSA-N 0 0 278.308 2.513 20 5 CFBDRN CN(C(=O)C[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])nc1 ZINC000905028121 621689046 /nfs/dbraw/zinc/68/90/46/621689046.db2.gz XCHJSJQVXVZVDV-NSHDSACASA-N 0 0 275.308 2.699 20 5 CFBDRN Cc1nc(C)c(CN2CCc3cc([N+](=O)[O-])ccc32)o1 ZINC000905102918 621699549 /nfs/dbraw/zinc/69/95/49/621699549.db2.gz DXRIAARXWHUWQY-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN Cc1nc(CNCC[N+](=O)[O-])oc1-c1ccc(Cl)cc1 ZINC000905162873 621715975 /nfs/dbraw/zinc/71/59/75/621715975.db2.gz YHWHDGBPYDHZOY-UHFFFAOYSA-N 0 0 295.726 2.670 20 5 CFBDRN O=[N+]([O-])CCNc1ccccc1CCC(F)(F)F ZINC000905329396 621749594 /nfs/dbraw/zinc/74/95/94/621749594.db2.gz OWLUORLSAQRRDC-UHFFFAOYSA-N 0 0 262.231 2.870 20 5 CFBDRN O=[N+]([O-])CCNc1cc(Cl)c(F)c(Cl)c1 ZINC000905333665 621749960 /nfs/dbraw/zinc/74/99/60/621749960.db2.gz IIGNARIESDJBGA-UHFFFAOYSA-N 0 0 253.060 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N2CCC(F)CC2)cc1 ZINC000905522738 621789750 /nfs/dbraw/zinc/78/97/50/621789750.db2.gz WZRWIJRQXAAEDN-UHFFFAOYSA-N 0 0 283.328 2.726 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)[C@@H]1CCCO1 ZINC000905709304 621825324 /nfs/dbraw/zinc/82/53/24/621825324.db2.gz JSSKNBWADRBLGH-YGRLFVJLSA-N 0 0 292.335 2.911 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)[C@H]1CCCO1 ZINC000905709302 621825656 /nfs/dbraw/zinc/82/56/56/621825656.db2.gz JSSKNBWADRBLGH-IINYFYTJSA-N 0 0 292.335 2.911 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)/C=C\Cl)c1 ZINC000906288702 621970449 /nfs/dbraw/zinc/97/04/49/621970449.db2.gz FZGNUZUXCIVIHV-SREVYHEPSA-N 0 0 298.726 2.864 20 5 CFBDRN Cc1ncc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)n1C ZINC000908267065 622209832 /nfs/dbraw/zinc/20/98/32/622209832.db2.gz CYJMTMBQCTVGJR-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CC1CSC1 ZINC000908283239 622212041 /nfs/dbraw/zinc/21/20/41/622212041.db2.gz XFBLPLQAJAVCNZ-UHFFFAOYSA-N 0 0 296.348 2.685 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCCO1 ZINC000908511302 622241052 /nfs/dbraw/zinc/24/10/52/622241052.db2.gz BHLPKCPCJTWGNF-SUNKGSAMSA-N 0 0 292.335 2.587 20 5 CFBDRN O=C(c1cc(Cl)c(F)c([N+](=O)[O-])c1)N1CC[C@@H](F)C1 ZINC000908537364 622242292 /nfs/dbraw/zinc/24/22/92/622242292.db2.gz NEUNVLDBEPECTK-SSDOTTSWSA-N 0 0 290.653 2.571 20 5 CFBDRN CCC(=O)OCc1c(Br)cccc1[N+](=O)[O-] ZINC000908703182 622266031 /nfs/dbraw/zinc/26/60/31/622266031.db2.gz YPQAXXWCOBFHFP-UHFFFAOYSA-N 0 0 288.097 2.811 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000908824660 622278198 /nfs/dbraw/zinc/27/81/98/622278198.db2.gz HBQDGTOSJLANGL-XCBNKYQSSA-N 0 0 297.694 2.630 20 5 CFBDRN CN(C(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccccn1 ZINC000149705904 622280358 /nfs/dbraw/zinc/28/03/58/622280358.db2.gz OIXMNHGOFYUCGL-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000908853253 622281728 /nfs/dbraw/zinc/28/17/28/622281728.db2.gz KAROYQNZHAQNRN-YWEYNIOJSA-N 0 0 285.321 2.714 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000908999377 622296600 /nfs/dbraw/zinc/29/66/00/622296600.db2.gz PMLUEKJJBKMHPS-SFYZADRCSA-N 0 0 297.694 2.630 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000908999376 622296709 /nfs/dbraw/zinc/29/67/09/622296709.db2.gz PMLUEKJJBKMHPS-JGVFFNPUSA-N 0 0 297.694 2.630 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000909081971 622307810 /nfs/dbraw/zinc/30/78/10/622307810.db2.gz JZBWMBUIMVLFQV-UHFFFAOYSA-N 0 0 296.298 2.613 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2CC=CC2)cc1[N+](=O)[O-] ZINC000910014471 622392305 /nfs/dbraw/zinc/39/23/05/622392305.db2.gz DRYPUNWNGYFVJX-UHFFFAOYSA-N 0 0 266.684 2.569 20 5 CFBDRN Cc1ccc(N(C)CCc2ccncc2)c([N+](=O)[O-])c1 ZINC000174884353 622410901 /nfs/dbraw/zinc/41/09/01/622410901.db2.gz XXPOFRHJVJZPHS-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000910214380 622415998 /nfs/dbraw/zinc/41/59/98/622415998.db2.gz ODAIEAAIDIAULV-WCFLWFBJSA-N 0 0 290.319 2.859 20 5 CFBDRN C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000174914774 622426873 /nfs/dbraw/zinc/42/68/73/622426873.db2.gz ONRLCHJJVQUOEN-ZCFIWIBFSA-N 0 0 263.171 2.702 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000911326686 622517654 /nfs/dbraw/zinc/51/76/54/622517654.db2.gz HVJZUOBZAKFUTL-SRSLHRDFSA-N 0 0 298.701 2.916 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911472515 622522224 /nfs/dbraw/zinc/52/22/24/622522224.db2.gz LMPKYUCXRFEQCH-VIFPVBQESA-N 0 0 298.289 2.925 20 5 CFBDRN CC(C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F)=C1CCC1 ZINC000911475116 622522293 /nfs/dbraw/zinc/52/22/93/622522293.db2.gz RFBTZCSFJSJGBY-UHFFFAOYSA-N 0 0 296.273 2.990 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)C1(C2CC2)CC1 ZINC000911473619 622522303 /nfs/dbraw/zinc/52/23/03/622522303.db2.gz UEUVSFHPPHQLSD-UHFFFAOYSA-N 0 0 296.273 2.679 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC[C@H]1C1CC1 ZINC000833690088 625574564 /nfs/dbraw/zinc/57/45/64/625574564.db2.gz IHCPEZYTVNKOQV-LBPRGKRZSA-N 0 0 280.711 2.873 20 5 CFBDRN COc1c(C(=O)N(C)C[Si](C)(C)C)cccc1[N+](=O)[O-] ZINC000911724089 622540634 /nfs/dbraw/zinc/54/06/34/622540634.db2.gz KQIFWIUBMSVYQV-UHFFFAOYSA-N 0 0 296.399 2.553 20 5 CFBDRN CNc1ccc(C(=O)N(C)C[Si](C)(C)C)cc1[N+](=O)[O-] ZINC000911737737 622546150 /nfs/dbraw/zinc/54/61/50/622546150.db2.gz IOLKFFBXHMPRHZ-UHFFFAOYSA-N 0 0 295.415 2.586 20 5 CFBDRN COc1ccc(C(=O)N(C)C[Si](C)(C)C)cc1[N+](=O)[O-] ZINC000911739377 622546593 /nfs/dbraw/zinc/54/65/93/622546593.db2.gz PTNDUXHGGFOSQG-UHFFFAOYSA-N 0 0 296.399 2.553 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)c1ccccc1 ZINC000819892282 622566847 /nfs/dbraw/zinc/56/68/47/622566847.db2.gz CDHRWTKVPWHKCB-SNVBAGLBSA-N 0 0 285.303 2.919 20 5 CFBDRN CC(C)(C)SCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819905693 622569273 /nfs/dbraw/zinc/56/92/73/622569273.db2.gz TXYQNMOFBDOILV-UHFFFAOYSA-N 0 0 283.353 2.647 20 5 CFBDRN Nc1ccc(NC(=O)c2ccoc2C2CC2)cc1[N+](=O)[O-] ZINC000819916099 622570166 /nfs/dbraw/zinc/57/01/66/622570166.db2.gz HFDZVDLSYKUJCX-UHFFFAOYSA-N 0 0 287.275 2.900 20 5 CFBDRN CC(C)CCO[C@@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819916349 622570961 /nfs/dbraw/zinc/57/09/61/622570961.db2.gz JEDDKEOUENNNDE-JTQLQIEISA-N 0 0 295.339 2.567 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819920110 622570963 /nfs/dbraw/zinc/57/09/63/622570963.db2.gz LKRWJHXVIVADHM-DTWKUNHWSA-N 0 0 265.313 2.798 20 5 CFBDRN Cc1ccc(F)cc1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819919707 622571408 /nfs/dbraw/zinc/57/14/08/622571408.db2.gz HRWGDVCPFAXOPO-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CCc1ccccc1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819920723 622572222 /nfs/dbraw/zinc/57/22/22/622572222.db2.gz QREFMTCNMWAZDW-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN CN1CC[C@H](CNc2cc(Cl)ccc2[N+](=O)[O-])CC1=O ZINC000819941878 622578981 /nfs/dbraw/zinc/57/89/81/622578981.db2.gz VFULVVQHFZWBPD-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN Cc1ccc(OC(=O)/C=C\[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000819947290 622579938 /nfs/dbraw/zinc/57/99/38/622579938.db2.gz YBLIRAPKXRBZLH-XOIDGJRKSA-N 0 0 277.276 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)/C=C/[C@H]1CCCO1 ZINC000819948065 622580386 /nfs/dbraw/zinc/58/03/86/622580386.db2.gz YAUXMXBECFQIKR-NNNHXZLVSA-N 0 0 277.276 2.544 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000819961063 622585917 /nfs/dbraw/zinc/58/59/17/622585917.db2.gz MZQISNAXWMGODP-AYMMMOKOSA-N 0 0 266.272 2.953 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000819964726 622586654 /nfs/dbraw/zinc/58/66/54/622586654.db2.gz WHIJVQUTTAFLHU-XNHDODSBSA-N 0 0 291.303 2.934 20 5 CFBDRN CC1(C(=O)NCCc2ccccc2[N+](=O)[O-])CC(F)(F)C1 ZINC000820317660 622647948 /nfs/dbraw/zinc/64/79/48/622647948.db2.gz MGZCDGSLGDABRP-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCOC1CCC1 ZINC000820368116 622657520 /nfs/dbraw/zinc/65/75/20/622657520.db2.gz YMPQHXGLLVPKSA-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN CCN(CC(C)=O)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000820431245 622673657 /nfs/dbraw/zinc/67/36/57/622673657.db2.gz SXIDMKMLLVVTBS-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CO[C@@H](COC(=O)c1cc([N+](=O)[O-])cc(C)c1F)C1CC1 ZINC000820549936 622700329 /nfs/dbraw/zinc/70/03/29/622700329.db2.gz AIGJJTDAXRUHGP-LBPRGKRZSA-N 0 0 297.282 2.624 20 5 CFBDRN CCC[C@@H]1CCCN1c1ncc([N+](=O)[O-])cc1F ZINC000912465213 622715525 /nfs/dbraw/zinc/71/55/25/622715525.db2.gz WIQNIYZYKQFICE-SECBINFHSA-N 0 0 253.277 2.898 20 5 CFBDRN COCCCN(C)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000820639144 622723164 /nfs/dbraw/zinc/72/31/64/622723164.db2.gz BSSUKKJBMMNLOP-UHFFFAOYSA-N 0 0 276.695 2.860 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@H]1C ZINC000820656646 622728988 /nfs/dbraw/zinc/72/89/88/622728988.db2.gz OHKFCCWOYDXJGZ-DTWKUNHWSA-N 0 0 280.349 2.797 20 5 CFBDRN O=C(c1cc[nH]c1)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000912652940 622755103 /nfs/dbraw/zinc/75/51/03/622755103.db2.gz NIYUTUZGCXRMSF-UHFFFAOYSA-N 0 0 285.303 2.906 20 5 CFBDRN O=C(CCF)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000912653776 622755837 /nfs/dbraw/zinc/75/58/37/622755837.db2.gz VDQJLLPKAMAHQL-UHFFFAOYSA-N 0 0 266.272 2.624 20 5 CFBDRN O=Cc1ccc(Oc2nc3ccccn3c2[N+](=O)[O-])cc1 ZINC000029161540 622769429 /nfs/dbraw/zinc/76/94/29/622769429.db2.gz MWPJKXGEOOXLRK-UHFFFAOYSA-N 0 0 283.243 2.847 20 5 CFBDRN CC(F)(F)CCNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000820904900 622789159 /nfs/dbraw/zinc/78/91/59/622789159.db2.gz DAZCXNZGWXOSGB-NEPJUHHUSA-N 0 0 298.289 2.860 20 5 CFBDRN CC(C)OCCOC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923967025 622802475 /nfs/dbraw/zinc/80/24/75/622802475.db2.gz YFGDMDVUSIENLS-UHFFFAOYSA-N 0 0 299.298 2.635 20 5 CFBDRN CCCCC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)C(=O)OC ZINC000151032044 622821432 /nfs/dbraw/zinc/82/14/32/622821432.db2.gz SAOWJUVJGZZYJS-NSHDSACASA-N 0 0 295.339 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc3nonc3c2)c(F)c1 ZINC000821073955 622840898 /nfs/dbraw/zinc/84/08/98/622840898.db2.gz HVFHHKWUNKCGAO-UHFFFAOYSA-N 0 0 289.222 2.849 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCc2ncccc21 ZINC000821087439 622845192 /nfs/dbraw/zinc/84/51/92/622845192.db2.gz QNZFZJOQFAFZGU-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN CC(C)(C)CCOC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000913215942 622852867 /nfs/dbraw/zinc/85/28/67/622852867.db2.gz DXFFSZSAQDAMFF-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN CC[C@H](Cc1ccccc1C)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000821167333 622859177 /nfs/dbraw/zinc/85/91/77/622859177.db2.gz RJKKDQHOIJISDX-QWHCGFSZSA-N 0 0 279.336 2.772 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(c3ccccn3)C2)c1 ZINC000913375848 622887392 /nfs/dbraw/zinc/88/73/92/622887392.db2.gz HWPOWHZVOWNCIN-UHFFFAOYSA-N 0 0 297.314 2.538 20 5 CFBDRN Cc1cc(C(=O)N2CC(c3ccccn3)C2)cc([N+](=O)[O-])c1 ZINC000913376974 622888369 /nfs/dbraw/zinc/88/83/69/622888369.db2.gz SFMVCUQOJPUBBR-UHFFFAOYSA-N 0 0 297.314 2.538 20 5 CFBDRN C/C=C(/C)C(=O)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913385127 622891319 /nfs/dbraw/zinc/89/13/19/622891319.db2.gz QNSNLFQNYQUBMB-CLTKARDFSA-N 0 0 286.690 2.970 20 5 CFBDRN CC/C=C\CNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000821309671 622891505 /nfs/dbraw/zinc/89/15/05/622891505.db2.gz URVRNOASQQCZOL-KBIGUFJUSA-N 0 0 274.320 2.781 20 5 CFBDRN CC(C)(F)CNC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000913423978 622903326 /nfs/dbraw/zinc/90/33/26/622903326.db2.gz QKEJEQUCDYEPIY-UHFFFAOYSA-N 0 0 292.669 2.865 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)C(F)=C1CCC1 ZINC000821379763 622908544 /nfs/dbraw/zinc/90/85/44/622908544.db2.gz FYOBVKANZDAOLT-UHFFFAOYSA-N 0 0 295.266 2.924 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000821428275 622920760 /nfs/dbraw/zinc/92/07/60/622920760.db2.gz HJFTVTCRRQQPMR-WCUVEOEZSA-N 0 0 288.347 2.861 20 5 CFBDRN C/C(=C\C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000055098353 622932901 /nfs/dbraw/zinc/93/29/01/622932901.db2.gz JKMDQZLQNJLUML-CSKARUKUSA-N 0 0 272.304 2.840 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1C[C@@H](C)OC(C)(C)C1 ZINC000156807931 622968921 /nfs/dbraw/zinc/96/89/21/622968921.db2.gz RJWBYDNAYIVQEK-LLVKDONJSA-N 0 0 294.351 2.745 20 5 CFBDRN CO[C@@H](C)CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000224337597 623001802 /nfs/dbraw/zinc/00/18/02/623001802.db2.gz JAIVQOABZZGYHW-ZETCQYMHSA-N 0 0 260.240 2.979 20 5 CFBDRN Cc1nn(CN(C)Cc2ccccc2F)c(C)c1[N+](=O)[O-] ZINC000057535062 623004948 /nfs/dbraw/zinc/00/49/48/623004948.db2.gz DYSXJZBELNIREU-UHFFFAOYSA-N 0 0 292.314 2.637 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H](C)C(C)(C)C)n1 ZINC000166829461 623034061 /nfs/dbraw/zinc/03/40/61/623034061.db2.gz LRRCCJPZVFNRFL-SECBINFHSA-N 0 0 295.339 2.871 20 5 CFBDRN C[C@@]1(COC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C[C@@H]1F ZINC000822102209 623037205 /nfs/dbraw/zinc/03/72/05/623037205.db2.gz YBARAVFYURROKW-FZMZJTMJSA-N 0 0 292.266 2.981 20 5 CFBDRN CC1(C)CCC[C@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000166951665 623037892 /nfs/dbraw/zinc/03/78/92/623037892.db2.gz AOVKGQOBPIASDX-NSHDSACASA-N 0 0 291.351 2.876 20 5 CFBDRN C[C@@]1(COC(=O)CCc2cccc([N+](=O)[O-])c2)C[C@H]1F ZINC000822120137 623042382 /nfs/dbraw/zinc/04/23/82/623042382.db2.gz LVMXRRAPPPLHIM-OCCSQVGLSA-N 0 0 281.283 2.819 20 5 CFBDRN COc1c(C(=O)OC[C@@]2(C)C[C@@H]2F)cccc1[N+](=O)[O-] ZINC000822136869 623047094 /nfs/dbraw/zinc/04/70/94/623047094.db2.gz GEYHVCZQAWBSJB-GXFFZTMASA-N 0 0 283.255 2.508 20 5 CFBDRN C[C@H](C(=O)OC[C@@]1(C)C[C@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000822136243 623047290 /nfs/dbraw/zinc/04/72/90/623047290.db2.gz BXUYWNICEPXQRH-MRCXROJRSA-N 0 0 281.283 2.990 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000167714357 623063777 /nfs/dbraw/zinc/06/37/77/623063777.db2.gz UMPZCESYOHIQCG-MXWKQRLJSA-N 0 0 291.351 2.684 20 5 CFBDRN CC(C)OCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000058825578 623066289 /nfs/dbraw/zinc/06/62/89/623066289.db2.gz VSZYZHVCBBNJHW-VMPITWQZSA-N 0 0 279.292 2.576 20 5 CFBDRN CC(C)OCCOC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000058825360 623066462 /nfs/dbraw/zinc/06/64/62/623066462.db2.gz RSDAPFFZGPHYPT-UHFFFAOYSA-N 0 0 287.699 2.830 20 5 CFBDRN CC(C)(CCC(=O)NCc1ccc(Cl)s1)[N+](=O)[O-] ZINC000822432542 623102207 /nfs/dbraw/zinc/10/22/07/623102207.db2.gz IKMHKBKQXPYOFP-UHFFFAOYSA-N 0 0 290.772 2.853 20 5 CFBDRN CC[C@H](COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])OC ZINC000842083366 623105784 /nfs/dbraw/zinc/10/57/84/623105784.db2.gz GIKKGNPJMHIMNP-SNVBAGLBSA-N 0 0 285.271 2.624 20 5 CFBDRN O=C(CSC(F)(F)F)Nc1cc([N+](=O)[O-])ccc1F ZINC000059742132 623106934 /nfs/dbraw/zinc/10/69/34/623106934.db2.gz ZKVLVERVRZWNFW-UHFFFAOYSA-N 0 0 298.217 2.925 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])C1(C(F)F)CC1 ZINC000842736030 623123609 /nfs/dbraw/zinc/12/36/09/623123609.db2.gz AEICVTKQBAPLGQ-UHFFFAOYSA-N 0 0 285.246 2.726 20 5 CFBDRN CC(C)(CCC(=O)N1CCCc2cc(F)ccc21)[N+](=O)[O-] ZINC000822586803 623130695 /nfs/dbraw/zinc/13/06/95/623130695.db2.gz XTLOXLWLIFBQFY-UHFFFAOYSA-N 0 0 294.326 2.940 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000228581065 623151484 /nfs/dbraw/zinc/15/14/84/623151484.db2.gz MYOLJXCHYUGBEQ-QMMMGPOBSA-N 0 0 283.309 2.668 20 5 CFBDRN Cc1cc(C)c(OC(=O)C2CCOCC2)c([N+](=O)[O-])c1 ZINC000060749904 623151915 /nfs/dbraw/zinc/15/19/15/623151915.db2.gz KTQORQMZUOTKJO-UHFFFAOYSA-N 0 0 279.292 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CSC1(C)C ZINC000822773839 623172230 /nfs/dbraw/zinc/17/22/30/623172230.db2.gz LQSMGTRGTCAQIO-LLVKDONJSA-N 0 0 294.376 2.835 20 5 CFBDRN CC(C)c1noc(OCc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000170965531 623196172 /nfs/dbraw/zinc/19/61/72/623196172.db2.gz SQNRFHDMOAMLNH-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN CC(C)CN(C(=O)CCC(C)(C)[N+](=O)[O-])C(C)C ZINC000822923637 623203920 /nfs/dbraw/zinc/20/39/20/623203920.db2.gz CDHBCOZUILZTRH-UHFFFAOYSA-N 0 0 258.362 2.715 20 5 CFBDRN CCC1(NC(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)CCC1 ZINC000229548862 623212638 /nfs/dbraw/zinc/21/26/38/623212638.db2.gz QBTNAWFTGNCQSZ-UHFFFAOYSA-N 0 0 297.742 2.893 20 5 CFBDRN Nc1ccc(NC(=O)C2CCC=CCC2)cc1[N+](=O)[O-] ZINC000822992999 623215899 /nfs/dbraw/zinc/21/58/99/623215899.db2.gz YUFVILMRINRIOY-UHFFFAOYSA-N 0 0 275.308 2.862 20 5 CFBDRN C[C@@H](CCNc1cc(Cl)ccc1[N+](=O)[O-])[S@@](C)=O ZINC000229824695 623225990 /nfs/dbraw/zinc/22/59/90/623225990.db2.gz LTHMJUIKGCYSBM-DCXZOGHSSA-N 0 0 290.772 2.817 20 5 CFBDRN C[Si](C)(C)COc1cc(C=O)ccc1[N+](=O)[O-] ZINC000171793291 623244132 /nfs/dbraw/zinc/24/41/32/623244132.db2.gz LYYXRBZCDDZVRN-UHFFFAOYSA-N 0 0 253.330 2.664 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC000171909914 623248313 /nfs/dbraw/zinc/24/83/13/623248313.db2.gz LORUSSSNSSGYER-VIFPVBQESA-N 0 0 267.281 2.801 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000171932494 623248649 /nfs/dbraw/zinc/24/86/49/623248649.db2.gz HDQAQNAVVDZMOB-SNVBAGLBSA-N 0 0 251.282 2.930 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)C(C)C)c(N)c([N+](=O)[O-])c1 ZINC000172409927 623280778 /nfs/dbraw/zinc/28/07/78/623280778.db2.gz BJITYZNXKRRJIZ-SNVBAGLBSA-N 0 0 279.340 2.507 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)C(C)C)c(N)c([N+](=O)[O-])c1 ZINC000172409928 623280962 /nfs/dbraw/zinc/28/09/62/623280962.db2.gz BJITYZNXKRRJIZ-JTQLQIEISA-N 0 0 279.340 2.507 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N[C@@H]1CC12CC2 ZINC000823286658 623284624 /nfs/dbraw/zinc/28/46/24/623284624.db2.gz FKVCCRQCGPPYEQ-LLVKDONJSA-N 0 0 295.726 2.600 20 5 CFBDRN CC1(C)OCC(Nc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000230612481 623286686 /nfs/dbraw/zinc/28/66/86/623286686.db2.gz XIRQSDQPFIMGQE-UHFFFAOYSA-N 0 0 286.715 2.812 20 5 CFBDRN CCOc1ccc(C(=O)OCCSCC)cc1[N+](=O)[O-] ZINC000062697818 623288464 /nfs/dbraw/zinc/28/84/64/623288464.db2.gz DNCNKDMRUAAOGM-UHFFFAOYSA-N 0 0 299.348 2.903 20 5 CFBDRN Cc1cnc(NCCSC(F)(F)F)c([N+](=O)[O-])c1 ZINC000172807585 623311622 /nfs/dbraw/zinc/31/16/22/623311622.db2.gz OMHQWIWPTFBTBL-UHFFFAOYSA-N 0 0 281.259 2.963 20 5 CFBDRN O=[N+]([O-])c1cnn(CN(Cc2ccccc2)CC(F)F)c1 ZINC000173502856 623353675 /nfs/dbraw/zinc/35/36/75/623353675.db2.gz YRCZYWBDVAOZDK-UHFFFAOYSA-N 0 0 296.277 2.516 20 5 CFBDRN Cc1cnc(COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)o1 ZINC000174264189 623403032 /nfs/dbraw/zinc/40/30/32/623403032.db2.gz ZSHQZCSDKGXBMO-QPJJXVBHSA-N 0 0 288.259 2.648 20 5 CFBDRN CSCCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000174248842 623403063 /nfs/dbraw/zinc/40/30/63/623403063.db2.gz OFWGHBRTUXEUAE-UHFFFAOYSA-N 0 0 255.295 2.505 20 5 CFBDRN CCc1ccc(OC(=O)C2=COCCC2)c([N+](=O)[O-])c1 ZINC000174337673 623410427 /nfs/dbraw/zinc/41/04/27/623410427.db2.gz FGCKEKBPKPKPAB-UHFFFAOYSA-N 0 0 277.276 2.757 20 5 CFBDRN CCOc1ccc(C(=O)OCCCSC)cc1[N+](=O)[O-] ZINC000174362465 623411039 /nfs/dbraw/zinc/41/10/39/623411039.db2.gz GLGCRLKYEVEURJ-UHFFFAOYSA-N 0 0 299.348 2.903 20 5 CFBDRN Nc1ccc(NC(=O)NC2(C3CCC3)CC2)cc1[N+](=O)[O-] ZINC000823920961 623419717 /nfs/dbraw/zinc/41/97/17/623419717.db2.gz JMSIBOYWZROSPB-UHFFFAOYSA-N 0 0 290.323 2.631 20 5 CFBDRN CCC1(CC)CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823933405 623420669 /nfs/dbraw/zinc/42/06/69/623420669.db2.gz QFPKINKZFHPXQP-UHFFFAOYSA-N 0 0 292.339 2.831 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N[C@@H]1CC12CC2 ZINC000823972006 623427393 /nfs/dbraw/zinc/42/73/93/623427393.db2.gz IHTFHEANDDSELD-SNVBAGLBSA-N 0 0 281.699 2.922 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823979756 623428386 /nfs/dbraw/zinc/42/83/86/623428386.db2.gz BIRBPOYBJJDXCV-JOYOIKCWSA-N 0 0 292.339 2.877 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823985540 623429034 /nfs/dbraw/zinc/42/90/34/623429034.db2.gz AHEHSOKKXZSHBC-GXSJLCMTSA-N 0 0 292.339 2.829 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823994579 623429939 /nfs/dbraw/zinc/42/99/39/623429939.db2.gz VITCHPJTGHQDDI-DTWKUNHWSA-N 0 0 280.328 2.733 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824003143 623430892 /nfs/dbraw/zinc/43/08/92/623430892.db2.gz QHKSFZKXUDQDAG-SECBINFHSA-N 0 0 280.328 2.685 20 5 CFBDRN O=C(CCn1cc([N+](=O)[O-])cn1)OCCC1CCCCC1 ZINC000174652298 623456372 /nfs/dbraw/zinc/45/63/72/623456372.db2.gz DMUFXLFYRPVLQG-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N[C@H]1CC12CC2 ZINC000824160438 623462296 /nfs/dbraw/zinc/46/22/96/623462296.db2.gz MIJLDXYEQSIQPZ-JTQLQIEISA-N 0 0 281.699 2.922 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000824236195 623474179 /nfs/dbraw/zinc/47/41/79/623474179.db2.gz JEEWVFIJJXINCK-SMDDNHRTSA-N 0 0 294.351 2.569 20 5 CFBDRN CC[C@H](C)OC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000088806408 623507267 /nfs/dbraw/zinc/50/72/67/623507267.db2.gz FCEPAZNCPYXEQV-JTQLQIEISA-N 0 0 251.282 2.869 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN[C@@H]1CCn2ccnc21 ZINC000824522579 623514793 /nfs/dbraw/zinc/51/47/93/623514793.db2.gz XEKLMFUEWAYVKO-HSBHDXMMSA-N 0 0 284.319 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H](F)C2)cc1Cl ZINC000824572503 623525963 /nfs/dbraw/zinc/52/59/63/623525963.db2.gz YAEYFVQTVIRSEG-VIFPVBQESA-N 0 0 258.680 2.792 20 5 CFBDRN CC(C(=O)NCc1cccc([N+](=O)[O-])c1)=C1CCC1 ZINC000825466662 623698393 /nfs/dbraw/zinc/69/83/93/623698393.db2.gz HGSCRLSBZLRQMQ-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC[C@H]1C ZINC000914157413 623716006 /nfs/dbraw/zinc/71/60/06/623716006.db2.gz UUNDDFPFMUYRRF-SNVBAGLBSA-N 0 0 262.309 2.754 20 5 CFBDRN C[C@@H]1CCN1C(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000914164268 623719971 /nfs/dbraw/zinc/71/99/71/623719971.db2.gz BHRZZXSJVHWJDI-SECBINFHSA-N 0 0 282.727 2.802 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000825648467 623730322 /nfs/dbraw/zinc/73/03/22/623730322.db2.gz SGLJVGZXPLKORA-LBPRGKRZSA-N 0 0 274.320 2.710 20 5 CFBDRN CCC(CC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825655936 623731993 /nfs/dbraw/zinc/73/19/93/623731993.db2.gz YFASLJVAOVITGM-UHFFFAOYSA-N 0 0 264.325 2.956 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152563811 623735842 /nfs/dbraw/zinc/73/58/42/623735842.db2.gz LYJPCPDIYJERAX-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN CC[C@H](NC(=O)O[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000914227199 623742181 /nfs/dbraw/zinc/74/21/81/623742181.db2.gz LFYMADNYAWYIEU-OLZOCXBDSA-N 0 0 294.307 2.561 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H](O)c1ccc(F)c(F)c1 ZINC000152682904 623754270 /nfs/dbraw/zinc/75/42/70/623754270.db2.gz VNBAYHBQODJUBT-CYBMUJFWSA-N 0 0 295.241 2.985 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@@H]1CCn3ccnc31)CCCC2 ZINC000826114111 623795286 /nfs/dbraw/zinc/79/52/86/623795286.db2.gz IZZCSOWUTWVMBA-GFCCVEGCSA-N 0 0 299.334 2.622 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000826580882 623816754 /nfs/dbraw/zinc/81/67/54/623816754.db2.gz UDWYDUPZVDLRKB-COPLHBTASA-N 0 0 294.351 2.569 20 5 CFBDRN COc1ccc(OC(=O)c2ccc([N+](=O)[O-])s2)cc1 ZINC000072508658 625679260 /nfs/dbraw/zinc/67/92/60/625679260.db2.gz FGZKOQUUTLNYLR-UHFFFAOYSA-N 0 0 279.273 2.884 20 5 CFBDRN C[C@@H]1CC2(CN1c1cc(N)ccc1[N+](=O)[O-])CCOCC2 ZINC000826768127 623869956 /nfs/dbraw/zinc/86/99/56/623869956.db2.gz ZXGVJIXETIPYLX-LLVKDONJSA-N 0 0 291.351 2.572 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000826810635 623883039 /nfs/dbraw/zinc/88/30/39/623883039.db2.gz ZKJOMXOIMCIEET-RKDXNWHRSA-N 0 0 278.337 2.548 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCCCC1(C)C)[N+](=O)[O-] ZINC000826870583 623900261 /nfs/dbraw/zinc/90/02/61/623900261.db2.gz UHKLKPNYBJVLIR-NSHDSACASA-N 0 0 270.373 2.907 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccc(C)cc1 ZINC000914760620 623934669 /nfs/dbraw/zinc/93/46/69/623934669.db2.gz BIMXAGNRCQFYOK-UHFFFAOYSA-N 0 0 273.292 2.898 20 5 CFBDRN O=C(COCc1ccccc1)Oc1cccc([N+](=O)[O-])c1 ZINC000072575721 625687863 /nfs/dbraw/zinc/68/78/63/625687863.db2.gz WZFHZHOZOJYUDH-UHFFFAOYSA-N 0 0 287.271 2.717 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)C(F)F)c([N+](=O)[O-])cc1F ZINC000835335334 623969451 /nfs/dbraw/zinc/96/94/51/623969451.db2.gz BUFXAHPMNWNDFV-RXMQYKEDSA-N 0 0 293.197 2.553 20 5 CFBDRN COC(=O)/C=C\c1ccc(NCC2CCC2)c([N+](=O)[O-])c1 ZINC000915210888 623996312 /nfs/dbraw/zinc/99/63/12/623996312.db2.gz DKGHNUPESLZQQM-VURMDHGXSA-N 0 0 290.319 2.993 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cccc(CO)c2)c(F)c1 ZINC000915212182 623996540 /nfs/dbraw/zinc/99/65/40/623996540.db2.gz ALBTWQGTEGAYDZ-UHFFFAOYSA-N 0 0 262.240 2.970 20 5 CFBDRN CC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000915212949 623997538 /nfs/dbraw/zinc/99/75/38/623997538.db2.gz TYNJEZQETWFGSH-SECBINFHSA-N 0 0 276.296 2.757 20 5 CFBDRN CCCN(C(=O)O[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000915225164 624001042 /nfs/dbraw/zinc/00/10/42/624001042.db2.gz GGZGLPQYYYCFRD-CYBMUJFWSA-N 0 0 294.307 2.737 20 5 CFBDRN CCO[C@@H](C)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000915501185 624072398 /nfs/dbraw/zinc/07/23/98/624072398.db2.gz JUWODCYAPUGDLJ-ZJUUUORDSA-N 0 0 267.281 2.624 20 5 CFBDRN CC(C)n1ccnc1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000915603587 624083029 /nfs/dbraw/zinc/08/30/29/624083029.db2.gz NRCQJPSJZTZHQC-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN COC(=O)Cc1ccc(NCCC2CCC2)c([N+](=O)[O-])c1 ZINC000827746719 624083880 /nfs/dbraw/zinc/08/38/80/624083880.db2.gz JGXVGBGKZIXDSC-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC(C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)=C1CCC1 ZINC000827790382 624093684 /nfs/dbraw/zinc/09/36/84/624093684.db2.gz WRRHKNLXFWDHEJ-UHFFFAOYSA-N 0 0 286.331 2.980 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1ccc(CF)cc1 ZINC000788046701 624121769 /nfs/dbraw/zinc/12/17/69/624121769.db2.gz ALEBLZAOLMDWCH-UHFFFAOYSA-N 0 0 276.267 2.583 20 5 CFBDRN CSc1ccc(C(=O)OC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000193991899 624138118 /nfs/dbraw/zinc/13/81/18/624138118.db2.gz UPZMATAJNULGGI-SECBINFHSA-N 0 0 297.332 2.510 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@@H]2C[C@@H]21 ZINC000828081354 624167506 /nfs/dbraw/zinc/16/75/06/624167506.db2.gz WCSJUJSSJUULRF-RNCFNFMXSA-N 0 0 255.277 2.742 20 5 CFBDRN CCCONC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000828222091 624203524 /nfs/dbraw/zinc/20/35/24/624203524.db2.gz LSPXKMDWBCTABA-UHFFFAOYSA-N 0 0 273.676 2.711 20 5 CFBDRN CN(C[C@H]1CC=CCC1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000828286951 624222761 /nfs/dbraw/zinc/22/27/61/624222761.db2.gz KQLCNRDXIFYAOQ-LBPRGKRZSA-N 0 0 268.357 2.637 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CSC(C)C ZINC000916403117 624243799 /nfs/dbraw/zinc/24/37/99/624243799.db2.gz SJWBPBWKSYGAQE-UHFFFAOYSA-N 0 0 269.322 2.950 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC3=CCOCC3)sc2c1 ZINC000828492062 624256492 /nfs/dbraw/zinc/25/64/92/624256492.db2.gz FDAJGRQJOWUNNX-UHFFFAOYSA-N 0 0 291.332 2.963 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCC(C)(C)CO ZINC000828519203 624261081 /nfs/dbraw/zinc/26/10/81/624261081.db2.gz IUBSRPVQIZEXTH-UHFFFAOYSA-N 0 0 280.324 2.618 20 5 CFBDRN CNc1ccc(C(=O)OCC=C(C)C)cc1[N+](=O)[O-] ZINC000916620241 624265601 /nfs/dbraw/zinc/26/56/01/624265601.db2.gz UWLUOWFCGBZVQP-UHFFFAOYSA-N 0 0 264.281 2.760 20 5 CFBDRN C[C@@H]1CSCCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000889915103 624277816 /nfs/dbraw/zinc/27/78/16/624277816.db2.gz NLSWEBMAUWKXIW-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN C[C@H]1CSCCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000889915104 624277940 /nfs/dbraw/zinc/27/79/40/624277940.db2.gz NLSWEBMAUWKXIW-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1ccc(OC(=O)C[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000154144854 624279732 /nfs/dbraw/zinc/27/97/32/624279732.db2.gz MBIKVLQUHHQGDT-NSHDSACASA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)C1(Cl)CC1 ZINC000916704482 624279891 /nfs/dbraw/zinc/27/98/91/624279891.db2.gz NLUYSXPCHUYGCH-UHFFFAOYSA-N 0 0 269.684 2.623 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](SC)C2)c1 ZINC000828688252 624287216 /nfs/dbraw/zinc/28/72/16/624287216.db2.gz SGFPBYIIWCNIQX-SECBINFHSA-N 0 0 286.328 2.684 20 5 CFBDRN CCn1ccnc1CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000828705409 624288892 /nfs/dbraw/zinc/28/88/92/624288892.db2.gz ZSODAVGLMLWDDT-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN C[C@@]12CN(c3cc(N)ccc3[N+](=O)[O-])C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000828711887 624289599 /nfs/dbraw/zinc/28/95/99/624289599.db2.gz RQIABPOPYSOEER-WNCDQNTKSA-N 0 0 285.347 2.825 20 5 CFBDRN C[C@@]12CN(c3cc(N)ccc3[N+](=O)[O-])C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000828711886 624289853 /nfs/dbraw/zinc/28/98/53/624289853.db2.gz RQIABPOPYSOEER-IZBNFRDHSA-N 0 0 285.347 2.825 20 5 CFBDRN CC/C=C\CNc1c([N+](=O)[O-])cc(CO)c(C)c1[N+](=O)[O-] ZINC000828729864 624292707 /nfs/dbraw/zinc/29/27/07/624292707.db2.gz LZKMUYZBYHRJLN-PLNGDYQASA-N 0 0 295.295 2.682 20 5 CFBDRN CC(C)CCNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000916971665 624296512 /nfs/dbraw/zinc/29/65/12/624296512.db2.gz QQXDWSFRNQALFQ-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN O=c1ccc2ccc(Oc3cccnc3[N+](=O)[O-])cc2o1 ZINC000916977867 624297434 /nfs/dbraw/zinc/29/74/34/624297434.db2.gz VTZZBZIICSJJEM-UHFFFAOYSA-N 0 0 284.227 2.889 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000828790457 624301659 /nfs/dbraw/zinc/30/16/59/624301659.db2.gz DDPYGCQGWDIXGY-ZDUSSCGKSA-N 0 0 279.292 2.674 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000828791071 624301871 /nfs/dbraw/zinc/30/18/71/624301871.db2.gz OIOQQGHSIWREDJ-SNVBAGLBSA-N 0 0 297.282 2.707 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000828790504 624301896 /nfs/dbraw/zinc/30/18/96/624301896.db2.gz FOGRXTNBXNEYSB-GFCCVEGCSA-N 0 0 283.255 2.504 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2cccnc2[N+](=O)[O-])no1 ZINC000917051736 624303263 /nfs/dbraw/zinc/30/32/63/624303263.db2.gz IZCKBAUELLEHAI-NSHDSACASA-N 0 0 274.280 2.628 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000828807131 624304215 /nfs/dbraw/zinc/30/42/15/624304215.db2.gz JHOWGZXDEJNHDL-CYBMUJFWSA-N 0 0 279.292 2.674 20 5 CFBDRN O=[N+]([O-])c1ncccc1SC[C@@H](O)c1ccccc1 ZINC000917083696 624308899 /nfs/dbraw/zinc/30/88/99/624308899.db2.gz CNYFJMWOZUPMKD-LLVKDONJSA-N 0 0 276.317 2.816 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000828842362 624311346 /nfs/dbraw/zinc/31/13/46/624311346.db2.gz SMOVKHALNVRWCT-LBPRGKRZSA-N 0 0 283.255 2.504 20 5 CFBDRN Cc1cc(Oc2ccc([N+](=O)[O-])nc2)ccc1[N+](=O)[O-] ZINC000917095765 624312383 /nfs/dbraw/zinc/31/23/83/624312383.db2.gz XZLWCZOLVJTGJW-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN Cc1cc(=O)n(CC[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000917221272 624324449 /nfs/dbraw/zinc/32/44/49/624324449.db2.gz NRUINCZLRFRBGE-NEPJUHHUSA-N 0 0 262.309 2.501 20 5 CFBDRN CO[C@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C[C@@H]1C ZINC000828903550 624325937 /nfs/dbraw/zinc/32/59/37/624325937.db2.gz HMXVGKIPGCKCHA-BONVTDFDSA-N 0 0 292.335 2.659 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000828904448 624326834 /nfs/dbraw/zinc/32/68/34/624326834.db2.gz OIOIFHRGGULSIG-NOZJJQNGSA-N 0 0 268.288 2.595 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])C(C)C ZINC000828910391 624328799 /nfs/dbraw/zinc/32/87/99/624328799.db2.gz MPRXXODGMUXNKE-CYBMUJFWSA-N 0 0 297.282 2.813 20 5 CFBDRN CCc1ncc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)o1 ZINC000917330915 624331177 /nfs/dbraw/zinc/33/11/77/624331177.db2.gz GAGOZJVUCLNIME-UHFFFAOYSA-N 0 0 286.291 2.852 20 5 CFBDRN CCN(CC)C(=O)OCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000917339877 624332848 /nfs/dbraw/zinc/33/28/48/624332848.db2.gz QAILBEKPVHGIIS-UHFFFAOYSA-N 0 0 286.259 2.549 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@@H]2c2ccsc2)c1 ZINC000917455162 624344191 /nfs/dbraw/zinc/34/41/91/624344191.db2.gz NRAQACPEQAQWEQ-GFCCVEGCSA-N 0 0 278.337 2.647 20 5 CFBDRN C[C@](O)(CSc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000917476361 624345097 /nfs/dbraw/zinc/34/50/97/624345097.db2.gz HYUJNEOAYFGUCD-JTQLQIEISA-N 0 0 281.255 2.842 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CC12CCOCC2 ZINC000829019819 624356603 /nfs/dbraw/zinc/35/66/03/624356603.db2.gz OBBHKRQALXFWIU-CQSZACIVSA-N 0 0 290.319 2.778 20 5 CFBDRN CC(C)CNC(=S)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000917581879 624364764 /nfs/dbraw/zinc/36/47/64/624364764.db2.gz XILQJXUIIXDMRJ-SNVBAGLBSA-N 0 0 281.381 2.776 20 5 CFBDRN CCc1ncc(COc2ccc([N+](=O)[O-])c(OC)c2)o1 ZINC000917598879 624368290 /nfs/dbraw/zinc/36/82/90/624368290.db2.gz XWURVQCBEIKKQJ-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)OCC2=CCCC2)c1[N+](=O)[O-] ZINC000829091245 624369223 /nfs/dbraw/zinc/36/92/23/624369223.db2.gz JYXGOXTWNQZVSW-UHFFFAOYSA-N 0 0 279.296 2.708 20 5 CFBDRN O=C(C=C1CCCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000917627189 624371296 /nfs/dbraw/zinc/37/12/96/624371296.db2.gz CCORCDVDHANRJX-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCC3(COC3)O2)ccc1Cl ZINC000917740611 624379251 /nfs/dbraw/zinc/37/92/51/624379251.db2.gz ILXUMMPNRPSPOP-SNVBAGLBSA-N 0 0 299.710 2.575 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000829149766 624386440 /nfs/dbraw/zinc/38/64/40/624386440.db2.gz IFCJHLPWGDJKQX-KCPJHIHWSA-N 0 0 286.331 2.781 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])n2C)cc1C ZINC000917805055 624387714 /nfs/dbraw/zinc/38/77/14/624387714.db2.gz JBIYZDYHJFZCQH-UHFFFAOYSA-N 0 0 287.319 2.827 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1CC1CCC2(CC2)CC1 ZINC000917872455 624392705 /nfs/dbraw/zinc/39/27/05/624392705.db2.gz DVYRACWJBCSQIZ-UHFFFAOYSA-N 0 0 280.299 2.866 20 5 CFBDRN O=C(C=C1CCCC1)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000917935457 624397058 /nfs/dbraw/zinc/39/70/58/624397058.db2.gz AIOSHYZQZZCLPG-UHFFFAOYSA-N 0 0 289.335 2.623 20 5 CFBDRN C[C@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1cccc(F)c1 ZINC000917968011 624400040 /nfs/dbraw/zinc/40/00/40/624400040.db2.gz VKCZTWAKZYDDGD-VIFPVBQESA-N 0 0 292.266 2.990 20 5 CFBDRN CCCc1ncc(CNc2ccc(N)cc2[N+](=O)[O-])o1 ZINC000829218166 624401812 /nfs/dbraw/zinc/40/18/12/624401812.db2.gz BBEONNWKKPWRSB-UHFFFAOYSA-N 0 0 276.296 2.730 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C(C)C ZINC000829286165 624411087 /nfs/dbraw/zinc/41/10/87/624411087.db2.gz ISGRRJVXQQVYQN-AWEZNQCLSA-N 0 0 293.319 2.982 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)ccc1N(C)C ZINC000918091947 624414795 /nfs/dbraw/zinc/41/47/95/624414795.db2.gz WTWXSILTLSUUFO-UHFFFAOYSA-N 0 0 288.307 2.550 20 5 CFBDRN CCCCCOC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000918494636 624443071 /nfs/dbraw/zinc/44/30/71/624443071.db2.gz XHTFAYRNUHUYNX-UHFFFAOYSA-N 0 0 266.297 2.984 20 5 CFBDRN CC/C=C\CCOC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000918507920 624444676 /nfs/dbraw/zinc/44/46/76/624444676.db2.gz GKZYEZJWHOXLJV-ARJAWSKDSA-N 0 0 279.292 2.873 20 5 CFBDRN CC(C)[C@@H](O)COc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000918659925 624459324 /nfs/dbraw/zinc/45/93/24/624459324.db2.gz UIILRBBVBVDYEO-JTQLQIEISA-N 0 0 277.679 2.783 20 5 CFBDRN Cc1nn(C)cc1COc1cccc(Cl)c1[N+](=O)[O-] ZINC000829617598 624476455 /nfs/dbraw/zinc/47/64/55/624476455.db2.gz GIBFHYPNQXFUHV-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=[N+]([O-])c1ccccc1OS(=O)(=O)CCC1CCCC1 ZINC000829618291 624476559 /nfs/dbraw/zinc/47/65/59/624476559.db2.gz RVIMGWNQVFPMGW-UHFFFAOYSA-N 0 0 299.348 2.884 20 5 CFBDRN CC(C)SCC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000919074002 624522058 /nfs/dbraw/zinc/52/20/58/624522058.db2.gz JLHZQDHGWYRFDH-UHFFFAOYSA-N 0 0 275.351 2.841 20 5 CFBDRN O=C(CC1CC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000919090564 624523573 /nfs/dbraw/zinc/52/35/73/624523573.db2.gz XAPSBCACQMIUTE-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN CC(C)(C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000919221950 624540259 /nfs/dbraw/zinc/54/02/59/624540259.db2.gz HYYMPHMHMFJSQI-UHFFFAOYSA-N 0 0 255.245 2.823 20 5 CFBDRN CN(CCCF)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000829797094 624541900 /nfs/dbraw/zinc/54/19/00/624541900.db2.gz BJECQWQXJXODSR-OLZOCXBDSA-N 0 0 280.299 2.516 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000919291856 624554792 /nfs/dbraw/zinc/55/47/92/624554792.db2.gz ZKYGFVIXGOFKMR-GFCCVEGCSA-N 0 0 295.335 2.742 20 5 CFBDRN CCCC[C@@H](CC)Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829848010 624565363 /nfs/dbraw/zinc/56/53/63/624565363.db2.gz PMSNCDRAOUQIBM-SECBINFHSA-N 0 0 270.289 2.916 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000919425754 624573361 /nfs/dbraw/zinc/57/33/61/624573361.db2.gz GGAJEBCGXVNHKX-OASPWFOLSA-N 0 0 279.292 2.624 20 5 CFBDRN CCC(F)(F)COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000851489268 634392388 /nfs/dbraw/zinc/39/23/88/634392388.db2.gz ACFSCRIDCIYKLD-UHFFFAOYSA-N 0 0 259.208 2.797 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)CCc1ccncc1 ZINC000174883841 624630235 /nfs/dbraw/zinc/63/02/35/624630235.db2.gz GXEDWPXVBKWMST-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CC1(C)CN(Cn2cc([N+](=O)[O-])cn2)[C@H]1c1ccccc1 ZINC000919947190 624647757 /nfs/dbraw/zinc/64/77/57/624647757.db2.gz ALYFZFQPCZMXRK-AWEZNQCLSA-N 0 0 286.335 2.832 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000830200348 624665803 /nfs/dbraw/zinc/66/58/03/624665803.db2.gz FHEZYDBKCGNNRD-GRYCIOLGSA-N 0 0 270.373 2.715 20 5 CFBDRN COc1ccccc1[C@H](O)CNc1ccsc1[N+](=O)[O-] ZINC000920339801 624713747 /nfs/dbraw/zinc/71/37/47/624713747.db2.gz LWKXHZWHNIKHRJ-LLVKDONJSA-N 0 0 294.332 2.810 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCC(=O)C1CCC1 ZINC000830368962 624724128 /nfs/dbraw/zinc/72/41/28/624724128.db2.gz DKHIBMMVGKUUJP-UHFFFAOYSA-N 0 0 295.266 2.568 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCCCCCCO ZINC000830368872 624724199 /nfs/dbraw/zinc/72/41/99/624724199.db2.gz CKDOGBQZOPHNGY-UHFFFAOYSA-N 0 0 299.298 2.752 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000920580221 624743496 /nfs/dbraw/zinc/74/34/96/624743496.db2.gz SEDOUBLONLMPDZ-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000830472678 624754346 /nfs/dbraw/zinc/75/43/46/624754346.db2.gz AFXVILVBSQZDNR-QMMMGPOBSA-N 0 0 270.260 2.536 20 5 CFBDRN Cc1cc(C(=O)NN2[C@H](C)CCC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000920841641 624775648 /nfs/dbraw/zinc/77/56/48/624775648.db2.gz RSCHLHHVBCHAMF-TXEJJXNPSA-N 0 0 291.351 2.811 20 5 CFBDRN Cc1cc(C(=O)O[C@H]2CCCCC2=O)cc([N+](=O)[O-])c1 ZINC000920903336 624781160 /nfs/dbraw/zinc/78/11/60/624781160.db2.gz QTICQURLVLHHMJ-ZDUSSCGKSA-N 0 0 277.276 2.572 20 5 CFBDRN CCC1CCC(N(C)Cn2nccc2[N+](=O)[O-])CC1 ZINC000921045396 624802137 /nfs/dbraw/zinc/80/21/37/624802137.db2.gz CLQKEXZZJVNPSW-UHFFFAOYSA-N 0 0 266.345 2.649 20 5 CFBDRN CCn1ccc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000830729301 624827287 /nfs/dbraw/zinc/82/72/87/624827287.db2.gz WTBBRJNQVHCZMH-SNVBAGLBSA-N 0 0 289.291 2.729 20 5 CFBDRN CC(C)(CCC(=O)Nc1cncc(C(F)F)c1)[N+](=O)[O-] ZINC000830742391 624834200 /nfs/dbraw/zinc/83/42/00/624834200.db2.gz LKCBGDJQOSYKMJ-UHFFFAOYSA-N 0 0 287.266 2.793 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)C1(F)CC1 ZINC000830761310 624849338 /nfs/dbraw/zinc/84/93/38/624849338.db2.gz OIUFFMLOJBDYQN-UHFFFAOYSA-N 0 0 297.282 2.927 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])c(OC(=O)OC2CCC2)c1 ZINC000830779561 624865170 /nfs/dbraw/zinc/86/51/70/624865170.db2.gz VJMKQGOXQICWPU-UHFFFAOYSA-N 0 0 280.280 2.729 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(C=O)[nH]1 ZINC000174931710 624915741 /nfs/dbraw/zinc/91/57/41/624915741.db2.gz CIPZDQFMINWWHD-UHFFFAOYSA-N 0 0 287.275 2.605 20 5 CFBDRN CC[C@H](C)C[N@H+](CC)Cn1nc(C)c([N+](=O)[O-])c1C ZINC000174948671 624915784 /nfs/dbraw/zinc/91/57/84/624915784.db2.gz UXXFBZZCKIKWBJ-JTQLQIEISA-N 0 0 268.361 2.734 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@@H]1CCC(=O)C1 ZINC000830896660 624922913 /nfs/dbraw/zinc/92/29/13/624922913.db2.gz KFKRRFPYMCDCPM-GHMZBOCLSA-N 0 0 291.303 2.877 20 5 CFBDRN O=C(OCC(F)(F)C(F)F)c1csc([N+](=O)[O-])c1 ZINC000175307107 624946958 /nfs/dbraw/zinc/94/69/58/624946958.db2.gz GLEWUHJVYQCGGZ-UHFFFAOYSA-N 0 0 287.190 2.714 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCCSC)c1 ZINC000175375878 624949870 /nfs/dbraw/zinc/94/98/70/624949870.db2.gz ZEKNRNJZEADPHQ-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CC/C=C(\C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000179963990 624988071 /nfs/dbraw/zinc/98/80/71/624988071.db2.gz XJKZZVYRQDJRKG-XBXARRHUSA-N 0 0 274.280 2.766 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccccc2)c(N)c([N+](=O)[O-])c1 ZINC000068509749 625004680 /nfs/dbraw/zinc/00/46/80/625004680.db2.gz OWBFGWJTDFHJIZ-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN CCCONC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000831422273 625016752 /nfs/dbraw/zinc/01/67/52/625016752.db2.gz VSSBBVYWABJTKW-UHFFFAOYSA-N 0 0 273.676 2.711 20 5 CFBDRN CCON(CC)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000831487215 625034893 /nfs/dbraw/zinc/03/48/93/625034893.db2.gz QNJCKIIBXSDUJG-UHFFFAOYSA-N 0 0 278.264 2.755 20 5 CFBDRN CC(C)(CCC(=O)N(CC1CC1)CC1CCC1)[N+](=O)[O-] ZINC000831617714 625073930 /nfs/dbraw/zinc/07/39/30/625073930.db2.gz JBRAQOXJHQQKRG-UHFFFAOYSA-N 0 0 282.384 2.861 20 5 CFBDRN CC1(S(=O)(=O)Oc2cccc(Cl)c2[N+](=O)[O-])CC1 ZINC000831627964 625076294 /nfs/dbraw/zinc/07/62/94/625076294.db2.gz NFBWXOSIRGPRGV-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN CC/C=C\CCOC(=O)c1ccc([N+](=O)[O-])cc1N ZINC000767402891 625215129 /nfs/dbraw/zinc/21/51/29/625215129.db2.gz RATOJGIDSMADIA-ARJAWSKDSA-N 0 0 264.281 2.690 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)c2nccn2C)cc1[N+](=O)[O-] ZINC000851582785 634445191 /nfs/dbraw/zinc/44/51/91/634445191.db2.gz ZXCYHXQZYTYTQP-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000832266220 625255126 /nfs/dbraw/zinc/25/51/26/625255126.db2.gz WDBUHWOSRZSBAE-NSHDSACASA-N 0 0 258.362 2.859 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccc(F)nc1 ZINC000070084044 625267967 /nfs/dbraw/zinc/26/79/67/625267967.db2.gz QGUISHVSXFDOOR-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)n[nH]1 ZINC000070163220 625276994 /nfs/dbraw/zinc/27/69/94/625276994.db2.gz JIOUNSJQPMVCLD-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC(C)OC(=O)c1cc([N+](=O)[O-])ccc1Br ZINC000082367995 625722431 /nfs/dbraw/zinc/72/24/31/625722431.db2.gz LPIJUIOSJGWFNB-UHFFFAOYSA-N 0 0 288.097 2.923 20 5 CFBDRN Cc1nn(C)cc1C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000073091722 625774800 /nfs/dbraw/zinc/77/48/00/625774800.db2.gz ANOMQBAPWGVXLV-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000834681210 625780576 /nfs/dbraw/zinc/78/05/76/625780576.db2.gz APIBUUBUGDYLHP-BDAKNGLRSA-N 0 0 298.339 2.748 20 5 CFBDRN CC[C@H](N[C@@H](C)c1ccc(N)c([N+](=O)[O-])c1)C(F)F ZINC000834827871 625888255 /nfs/dbraw/zinc/88/82/55/625888255.db2.gz MQNDUECNRKSOIS-XVKPBYJWSA-N 0 0 273.283 2.871 20 5 CFBDRN Cc1c([C@@H](C)N[C@H]2CCn3ccnc32)cccc1[N+](=O)[O-] ZINC000834837427 625895245 /nfs/dbraw/zinc/89/52/45/625895245.db2.gz WFQJCRQQMCAKMK-YPMHNXCESA-N 0 0 286.335 2.895 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000769140515 625914406 /nfs/dbraw/zinc/91/44/06/625914406.db2.gz QVIQERVIYUTJGF-GWCFXTLKSA-N 0 0 279.292 2.563 20 5 CFBDRN CCc1ncc(CN[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000834899847 625915450 /nfs/dbraw/zinc/91/54/50/625915450.db2.gz MHRQLIYHRSBWLH-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN O=C(OCC1CC1)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000921511730 625952738 /nfs/dbraw/zinc/95/27/38/625952738.db2.gz FYAVZYKHGQRPQS-UHFFFAOYSA-N 0 0 273.191 2.798 20 5 CFBDRN Cc1cc(N2C[C@H](O)C[C@H]2C)c(Cl)cc1[N+](=O)[O-] ZINC000788076644 625976878 /nfs/dbraw/zinc/97/68/78/625976878.db2.gz DTBLUJKAFCVIQA-RKDXNWHRSA-N 0 0 270.716 2.516 20 5 CFBDRN Cc1cc(N2C[C@@H](O)C[C@H]2C)c(Cl)cc1[N+](=O)[O-] ZINC000788076636 625977240 /nfs/dbraw/zinc/97/72/40/625977240.db2.gz DTBLUJKAFCVIQA-BDAKNGLRSA-N 0 0 270.716 2.516 20 5 CFBDRN COC(=O)c1ccc(OC/C=C/Cl)c([N+](=O)[O-])c1 ZINC000073412245 626003412 /nfs/dbraw/zinc/00/34/12/626003412.db2.gz ZEZANGSEOPBMOR-GORDUTHDSA-N 0 0 271.656 2.513 20 5 CFBDRN CCc1onc(C)c1COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000922156765 626220220 /nfs/dbraw/zinc/22/02/20/626220220.db2.gz OYJFUDRTCZMGTN-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN C[C@@H](NC(=O)NOC/C=C\Cl)c1ccc([N+](=O)[O-])cc1 ZINC000922550257 626306024 /nfs/dbraw/zinc/30/60/24/626306024.db2.gz QOSRTPQWKGHLIR-RMGQIXRRSA-N 0 0 299.714 2.639 20 5 CFBDRN CC1(C)CCC[C@H](CNC(=O)c2csc([N+](=O)[O-])c2)O1 ZINC000890135969 626365222 /nfs/dbraw/zinc/36/52/22/626365222.db2.gz SUYPWBGDBXSCPA-SNVBAGLBSA-N 0 0 298.364 2.734 20 5 CFBDRN COC[C@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000073833099 626394326 /nfs/dbraw/zinc/39/43/26/626394326.db2.gz LGUOUIMROUPPLW-UWVGGRQHSA-N 0 0 299.348 2.654 20 5 CFBDRN CSCCOC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000073928120 626400284 /nfs/dbraw/zinc/40/02/84/626400284.db2.gz AUUNNUYARKZNSH-UHFFFAOYSA-N 0 0 298.364 2.693 20 5 CFBDRN COC(=O)/C(C)=C/COc1c(Cl)cccc1[N+](=O)[O-] ZINC000230180819 626403343 /nfs/dbraw/zinc/40/33/43/626403343.db2.gz LHEGMUWUHREKTL-SOFGYWHQSA-N 0 0 285.683 2.746 20 5 CFBDRN Cc1nc(CNc2cc(Cl)ccc2[N+](=O)[O-])no1 ZINC000230198819 626403447 /nfs/dbraw/zinc/40/34/47/626403447.db2.gz ZKZWGPGVIOJCER-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN C[C@H](C(=O)OCc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000923056907 626447841 /nfs/dbraw/zinc/44/78/41/626447841.db2.gz DSENKZMGAIXSPD-ZANVPECISA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000923241153 626459344 /nfs/dbraw/zinc/45/93/44/626459344.db2.gz OKSOOLGMLJXKJA-ZYHUDNBSSA-N 0 0 275.308 2.674 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000838346886 626492945 /nfs/dbraw/zinc/49/29/45/626492945.db2.gz YATSLMLHSPKFGL-MRVPVSSYSA-N 0 0 285.683 2.691 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)c1cccc([N+](=O)[O-])c1 ZINC000838421031 626496856 /nfs/dbraw/zinc/49/68/56/626496856.db2.gz RRUFDCNTXFYGIF-VKKKGTNTSA-N 0 0 291.303 2.767 20 5 CFBDRN CCC[C@@H]1CCCN(Cn2nc(C)c([N+](=O)[O-])c2C)C1 ZINC000121346214 626506209 /nfs/dbraw/zinc/50/62/09/626506209.db2.gz GCPQQMCZLBHRLO-CYBMUJFWSA-N 0 0 280.372 2.878 20 5 CFBDRN Cc1ccc(COC(=O)[C@]2(C)CCCCO2)cc1[N+](=O)[O-] ZINC000838972499 626517760 /nfs/dbraw/zinc/51/77/60/626517760.db2.gz MLIXYDAJIXKOQK-HNNXBMFYSA-N 0 0 293.319 2.906 20 5 CFBDRN CC(C)(CCC(=O)N[C@@](C)(C1CC1)C(F)(F)F)[N+](=O)[O-] ZINC000839294585 626533036 /nfs/dbraw/zinc/53/30/36/626533036.db2.gz OPQXXOPESJZDKZ-NSHDSACASA-N 0 0 296.289 2.669 20 5 CFBDRN CC(C)(CCC(=O)Nc1cccc2ocnc21)[N+](=O)[O-] ZINC000839330966 626533810 /nfs/dbraw/zinc/53/38/10/626533810.db2.gz HYSOFPHFHIULCL-UHFFFAOYSA-N 0 0 277.280 2.602 20 5 CFBDRN CCN(CC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000839462138 626536192 /nfs/dbraw/zinc/53/61/92/626536192.db2.gz ITRHJVBGWJICRH-UHFFFAOYSA-N 0 0 297.742 2.671 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000839453926 626537345 /nfs/dbraw/zinc/53/73/45/626537345.db2.gz XFVHOARSZSLWFR-WRWORJQWSA-N 0 0 284.262 2.647 20 5 CFBDRN CCCCCN(CCO)c1c(F)cc([N+](=O)[O-])cc1F ZINC000749320879 626540642 /nfs/dbraw/zinc/54/06/42/626540642.db2.gz CBFRZVFLDSQITA-UHFFFAOYSA-N 0 0 288.294 2.862 20 5 CFBDRN CCc1cc(CNc2c(F)cc([N+](=O)[O-])cc2F)[nH]n1 ZINC000749320537 626540645 /nfs/dbraw/zinc/54/06/45/626540645.db2.gz YKUXDDMQGVSEJK-UHFFFAOYSA-N 0 0 282.250 2.771 20 5 CFBDRN CO[C@H](C)CC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000750397523 626540807 /nfs/dbraw/zinc/54/08/07/626540807.db2.gz DOLYZNLYNICLCU-ZJUUUORDSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNc2cccnn2)c1 ZINC000756973826 626542947 /nfs/dbraw/zinc/54/29/47/626542947.db2.gz MXRLGCXLPUEEGU-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)[C@H]1C[C@H]1C1CC1 ZINC000756993055 626543224 /nfs/dbraw/zinc/54/32/24/626543224.db2.gz CIVBAXILSCDGMC-RYUDHWBXSA-N 0 0 294.738 2.911 20 5 CFBDRN CC(C)(C)OCCCOC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000757021450 626543609 /nfs/dbraw/zinc/54/36/09/626543609.db2.gz KZCPPORQYUESEH-UHFFFAOYSA-N 0 0 295.335 2.886 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)O1 ZINC000757138168 626545801 /nfs/dbraw/zinc/54/58/01/626545801.db2.gz WZRAITRKVISODD-AAEUAGOBSA-N 0 0 297.282 2.735 20 5 CFBDRN Cc1cnc(F)c(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000867016766 626550543 /nfs/dbraw/zinc/55/05/43/626550543.db2.gz UNOAZBFMDCJFCD-UHFFFAOYSA-N 0 0 294.286 2.567 20 5 CFBDRN CCc1ccc([C@@H](NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C(C)C)cc1 ZINC000839686796 626550954 /nfs/dbraw/zinc/55/09/54/626550954.db2.gz PTWKXKFXEQCCMG-KFWWJZLASA-N 0 0 290.363 2.728 20 5 CFBDRN Cc1ccc([C@H]2CCCCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000839699304 626551394 /nfs/dbraw/zinc/55/13/94/626551394.db2.gz VLYIXHBUYWRSQP-RBSFLKMASA-N 0 0 288.347 2.714 20 5 CFBDRN CS[C@H](C)CC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000757430317 626551616 /nfs/dbraw/zinc/55/16/16/626551616.db2.gz KNPOGCJQXMDPTC-MRVPVSSYSA-N 0 0 287.312 2.919 20 5 CFBDRN O=C(Nc1cccc(NC2CCCC2)c1)[C@@H]1CC1[N+](=O)[O-] ZINC000839671536 626551637 /nfs/dbraw/zinc/55/16/37/626551637.db2.gz LSAYNNONVNDFDV-ZIAGYGMSSA-N 0 0 289.335 2.645 20 5 CFBDRN CC(C)CONC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000839710497 626552827 /nfs/dbraw/zinc/55/28/27/626552827.db2.gz NMPZMAUVWIPUEE-UHFFFAOYSA-N 0 0 296.323 2.699 20 5 CFBDRN CC(C)c1ccc(C[C@H](C)NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000839716537 626553079 /nfs/dbraw/zinc/55/30/79/626553079.db2.gz AEKDZIIPFLDMFM-NILFDRSVSA-N 0 0 290.363 2.522 20 5 CFBDRN O=C(Nc1csc2ccccc12)[C@@H]1CC1[N+](=O)[O-] ZINC000839721630 626553380 /nfs/dbraw/zinc/55/33/80/626553380.db2.gz XGAPHHOEBFULQC-PSASIEDQSA-N 0 0 262.290 2.505 20 5 CFBDRN O=C(Nc1ncc(C2CCCCC2)s1)[C@@H]1CC1[N+](=O)[O-] ZINC000839723089 626553387 /nfs/dbraw/zinc/55/33/87/626553387.db2.gz OYXPGMPZLSFVKE-NXEZZACHSA-N 0 0 295.364 2.795 20 5 CFBDRN COc1ccc(OC(=O)CC2(C)CC2)c([N+](=O)[O-])c1 ZINC000757813369 626563580 /nfs/dbraw/zinc/56/35/80/626563580.db2.gz ONMOYZGSUOZNMA-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000758088340 626575928 /nfs/dbraw/zinc/57/59/28/626575928.db2.gz XXCKMIYNPAUWKW-ZETCQYMHSA-N 0 0 270.260 2.519 20 5 CFBDRN Cc1noc(CN(C)c2ccc(C)cc2)c1[N+](=O)[O-] ZINC000851744683 634507515 /nfs/dbraw/zinc/50/75/15/634507515.db2.gz LSMIZSODEGIXFY-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC000758452932 626594382 /nfs/dbraw/zinc/59/43/82/626594382.db2.gz OSRZTISZUOGDFI-VIFPVBQESA-N 0 0 280.299 2.818 20 5 CFBDRN CC[C@@]1(C)CCN(c2ccc([N+](=O)[O-])cc2C(=O)NC)C1 ZINC000758488060 626595824 /nfs/dbraw/zinc/59/58/24/626595824.db2.gz ZKQNGZRRJUXBPM-HNNXBMFYSA-N 0 0 291.351 2.581 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC2CC(C)C2)c1[N+](=O)[O-] ZINC000758508210 626596289 /nfs/dbraw/zinc/59/62/89/626596289.db2.gz MFFBJWGRYHPJJI-UHFFFAOYSA-N 0 0 266.272 2.571 20 5 CFBDRN CC(F)(F)CC(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000758700422 626603572 /nfs/dbraw/zinc/60/35/72/626603572.db2.gz MBJLXVYNRWJVOB-UHFFFAOYSA-N 0 0 273.235 2.726 20 5 CFBDRN C/C=C\COC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000758737540 626605838 /nfs/dbraw/zinc/60/58/38/626605838.db2.gz NSPJEBANARZNBG-IHWYPQMZSA-N 0 0 274.276 2.738 20 5 CFBDRN CC1(CC(=O)OCc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000758840483 626612819 /nfs/dbraw/zinc/61/28/19/626612819.db2.gz ZLWSHXGLGAOBNF-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1C(F)(F)F)C1CC(O)C1 ZINC000758917900 626617654 /nfs/dbraw/zinc/61/76/54/626617654.db2.gz NEXNUUWFWDTRGU-UHFFFAOYSA-N 0 0 290.241 2.573 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cccc([N+](=O)[O-])c1N ZINC000758958004 626620695 /nfs/dbraw/zinc/62/06/95/626620695.db2.gz QRUZLLJVKBKCSZ-QMMMGPOBSA-N 0 0 252.270 2.522 20 5 CFBDRN CCCCCOC(=O)c1cccc([N+](=O)[O-])c1N ZINC000758964847 626620958 /nfs/dbraw/zinc/62/09/58/626620958.db2.gz NJEYMLDYIKQSTG-UHFFFAOYSA-N 0 0 252.270 2.524 20 5 CFBDRN C[C@H](OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000759270146 626641526 /nfs/dbraw/zinc/64/15/26/626641526.db2.gz ZXQRGJJJVOROMH-VIFPVBQESA-N 0 0 288.303 2.960 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cccc([N+](=O)[O-])c1C ZINC000759436198 626658537 /nfs/dbraw/zinc/65/85/37/626658537.db2.gz PAAVJGVBVHIFCE-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000759505551 626665523 /nfs/dbraw/zinc/66/55/23/626665523.db2.gz ABYIGNYPAOBQAV-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CC(C)=C(C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000759807122 626692513 /nfs/dbraw/zinc/69/25/13/626692513.db2.gz ZKFIMWPWMAGMGZ-UHFFFAOYSA-N 0 0 274.280 2.766 20 5 CFBDRN CCCCOC(=O)[C@H](C)N1CCc2c1cccc2[N+](=O)[O-] ZINC000759848959 626697009 /nfs/dbraw/zinc/69/70/09/626697009.db2.gz MGXKEPFVJOZREQ-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN CC(C)CC(=O)O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000759929777 626702558 /nfs/dbraw/zinc/70/25/58/626702558.db2.gz IMKOSCJDHHCTKU-RYUDHWBXSA-N 0 0 295.339 2.864 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CCOCC(C)C ZINC000760019580 626709670 /nfs/dbraw/zinc/70/96/70/626709670.db2.gz VNIDDDNDVZAIRU-UHFFFAOYSA-N 0 0 281.308 2.871 20 5 CFBDRN Cc1nc(C)c(C(=O)Oc2c(C)cccc2[N+](=O)[O-])o1 ZINC000760023697 626710342 /nfs/dbraw/zinc/71/03/42/626710342.db2.gz YQUYOKLQTBDFFT-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1ccc[nH]1 ZINC000760411135 626743321 /nfs/dbraw/zinc/74/33/21/626743321.db2.gz WHDWFZQGWWLUIH-MRVPVSSYSA-N 0 0 277.255 2.553 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1ccc(Cl)nc1 ZINC000760479932 626749378 /nfs/dbraw/zinc/74/93/78/626749378.db2.gz CZWRSWZKRSHGKB-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN O=C(OCc1ncc(C2CC2)o1)c1ccc([N+](=O)[O-])cc1 ZINC000760482791 626749601 /nfs/dbraw/zinc/74/96/01/626749601.db2.gz CFXXHTMCIYTKSU-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc[nH]2)c1 ZINC000760726221 626767186 /nfs/dbraw/zinc/76/71/86/626767186.db2.gz BZPWYSFYOQNUPI-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)c1ccc[nH]1 ZINC000760729333 626767797 /nfs/dbraw/zinc/76/77/97/626767797.db2.gz LAYVTDCNKSRCGP-MRVPVSSYSA-N 0 0 277.255 2.553 20 5 CFBDRN CCOCCOC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000760802535 626773629 /nfs/dbraw/zinc/77/36/29/626773629.db2.gz YZYSOTAXSLNKSN-UHFFFAOYSA-N 0 0 287.699 2.750 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1cncnc1 ZINC000760803831 626773741 /nfs/dbraw/zinc/77/37/41/626773741.db2.gz YALBWJJUNCNRQZ-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)c1ccc[nH]1 ZINC000760962873 626788821 /nfs/dbraw/zinc/78/88/21/626788821.db2.gz XUBZAZRCTSMPKE-SSDOTTSWSA-N 0 0 295.245 2.692 20 5 CFBDRN COC(=O)CCN(CC(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000760983442 626789810 /nfs/dbraw/zinc/78/98/10/626789810.db2.gz FUAQUSBHOUGEBX-UHFFFAOYSA-N 0 0 298.314 2.759 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000761055231 626794612 /nfs/dbraw/zinc/79/46/12/626794612.db2.gz MSCRPSZKEDLHDZ-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN Cn1c(C(=O)OC/C=C/c2ccccc2)ccc1[N+](=O)[O-] ZINC000761061648 626796030 /nfs/dbraw/zinc/79/60/30/626796030.db2.gz MVSOYPXPKMGFFR-VMPITWQZSA-N 0 0 286.287 2.804 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC[C@@H]2C[C@@H]21 ZINC000761096329 626803198 /nfs/dbraw/zinc/80/31/98/626803198.db2.gz RDOZVOOBZUEXMD-KCJUWKMLSA-N 0 0 252.701 2.842 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC[C@H]1C(F)F ZINC000761121686 626808157 /nfs/dbraw/zinc/80/81/57/626808157.db2.gz XTGJSTCKOHMBPF-NSHDSACASA-N 0 0 274.242 2.963 20 5 CFBDRN Cc1c(O)cccc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000761429968 626833885 /nfs/dbraw/zinc/83/38/85/626833885.db2.gz AUVAMHPWQXXWGP-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN Cn1c(C(=O)NC2CCCCCCC2)ccc1[N+](=O)[O-] ZINC000761487218 626839707 /nfs/dbraw/zinc/83/97/07/626839707.db2.gz CECKZQUNHUIYEB-UHFFFAOYSA-N 0 0 279.340 2.776 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(C3CCC3)no2)cc1F ZINC000761650378 626852122 /nfs/dbraw/zinc/85/21/22/626852122.db2.gz QBAQSYNASLOPKO-UHFFFAOYSA-N 0 0 293.254 2.963 20 5 CFBDRN O=C(Oc1ccccc1Cl)c1ccc([N+](=O)[O-])cn1 ZINC000761840798 626862815 /nfs/dbraw/zinc/86/28/15/626862815.db2.gz AQUGBJTWNFUSES-UHFFFAOYSA-N 0 0 278.651 2.862 20 5 CFBDRN CCC(C)(C)CCOC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000761979090 626876920 /nfs/dbraw/zinc/87/69/20/626876920.db2.gz GMCBXNDPRVGMON-UHFFFAOYSA-N 0 0 266.297 2.973 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000762069706 626886744 /nfs/dbraw/zinc/88/67/44/626886744.db2.gz NBSLKMBEHYMKKO-VHSXEESVSA-N 0 0 281.333 2.952 20 5 CFBDRN C[C@H](C(=O)N1CCc2ncccc21)c1cccc([N+](=O)[O-])c1 ZINC000762107433 626892072 /nfs/dbraw/zinc/89/20/72/626892072.db2.gz PHSQYMFTKFPBNH-NSHDSACASA-N 0 0 297.314 2.683 20 5 CFBDRN Cc1ccncc1COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000762128043 626895119 /nfs/dbraw/zinc/89/51/19/626895119.db2.gz HZIWCKDZSQCVFQ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000762187041 626902044 /nfs/dbraw/zinc/90/20/44/626902044.db2.gz HQEZKCPMIBRIGT-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C2CC2)C1 ZINC000936067329 649982945 /nfs/dbraw/zinc/98/29/45/649982945.db2.gz MSBXIKWAUNPGIH-UHFFFAOYSA-N 0 0 292.360 2.799 20 5 CFBDRN CCC/C(C)=C/C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000762336922 626911551 /nfs/dbraw/zinc/91/15/51/626911551.db2.gz HCTGELPXSWXZNZ-CMDGGOBGSA-N 0 0 263.297 2.983 20 5 CFBDRN CCCCCC[C@H](O)COc1ccc([N+](=O)[O-])c(CO)c1 ZINC000762427202 626915318 /nfs/dbraw/zinc/91/53/18/626915318.db2.gz SWJIBTCEBVUFHM-ZDUSSCGKSA-N 0 0 297.351 2.797 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCC1=O ZINC000762434030 626916171 /nfs/dbraw/zinc/91/61/71/626916171.db2.gz QWKFELXXQIWOOW-RKDXNWHRSA-N 0 0 294.282 2.678 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1-c1nc([C@@H]2CCOC2)cs1 ZINC000762441279 626916530 /nfs/dbraw/zinc/91/65/30/626916530.db2.gz WZWQRLTVBNSMSC-MRVPVSSYSA-N 0 0 291.332 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC[C@H]3SCCS[C@@H]23)nc1 ZINC000762566792 626924220 /nfs/dbraw/zinc/92/42/20/626924220.db2.gz YADBECIKHTVYDE-FOGDFJRCSA-N 0 0 297.405 2.781 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])C1CCSCC1 ZINC000762711196 626932273 /nfs/dbraw/zinc/93/22/73/626932273.db2.gz RMIWVQSCMICTHI-UHFFFAOYSA-N 0 0 295.360 2.824 20 5 CFBDRN CC(=O)CCCCOC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000762825531 626941285 /nfs/dbraw/zinc/94/12/85/626941285.db2.gz USDLHMLRVOKWRG-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CC(=O)CCCCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000762875644 626946563 /nfs/dbraw/zinc/94/65/63/626946563.db2.gz SIISQOAIQQPHGC-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN CC(C)CCOC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762966961 626953351 /nfs/dbraw/zinc/95/33/51/626953351.db2.gz AUNXMCLIMMCRJL-UHFFFAOYSA-N 0 0 295.320 2.802 20 5 CFBDRN Cc1cc(-c2nc([C@]3(C)CCCO3)no2)cc([N+](=O)[O-])c1 ZINC000763320170 626979262 /nfs/dbraw/zinc/97/92/62/626979262.db2.gz HMDPYGBTWMJJOS-AWEZNQCLSA-N 0 0 289.291 2.979 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(Oc2ncnc3c2CCCC3)c1 ZINC000763345162 626982087 /nfs/dbraw/zinc/98/20/87/626982087.db2.gz KDTYJJRNGSBNMY-UHFFFAOYSA-N 0 0 299.286 2.868 20 5 CFBDRN C[C@@H]1N(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCOC1(C)C ZINC000763374605 626984050 /nfs/dbraw/zinc/98/40/50/626984050.db2.gz BFWVIGIATYSJBP-VIFPVBQESA-N 0 0 295.295 2.507 20 5 CFBDRN C[C@H](C(=O)OC/C=C/Cl)c1cccc([N+](=O)[O-])c1 ZINC000763401285 626985774 /nfs/dbraw/zinc/98/57/74/626985774.db2.gz GJGSSOSEDWLRGX-SWTNXBIASA-N 0 0 269.684 2.994 20 5 CFBDRN CCOCCCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763405692 626985867 /nfs/dbraw/zinc/98/58/67/626985867.db2.gz WAJMKWPDZIRCPP-LLVKDONJSA-N 0 0 281.308 2.668 20 5 CFBDRN C[C@@H](C(=O)O[C@@H]1CCCCC1=O)c1cccc([N+](=O)[O-])c1 ZINC000763404936 626985870 /nfs/dbraw/zinc/98/58/70/626985870.db2.gz QHUONAAVARPFEX-QMTHXVAHSA-N 0 0 291.303 2.753 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])c(C[NH2+][C@@H]2CCC(F)(F)C2)c1 ZINC000763522127 626994101 /nfs/dbraw/zinc/99/41/01/626994101.db2.gz PPMPIMZAXUJFFM-SECBINFHSA-N 0 0 272.251 2.578 20 5 CFBDRN COC(=O)c1cc(C(=O)O[C@H](C)C(C)C)cc([N+](=O)[O-])c1 ZINC000763564970 626998864 /nfs/dbraw/zinc/99/88/64/626998864.db2.gz XRACWEKOHQNMEV-SECBINFHSA-N 0 0 295.291 2.583 20 5 CFBDRN O=C(OCC1CC1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000763846724 627017708 /nfs/dbraw/zinc/01/77/08/627017708.db2.gz IPZVFELDXAWUET-UHFFFAOYSA-N 0 0 276.292 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)OCC2CC2)c1 ZINC000763924898 627023017 /nfs/dbraw/zinc/02/30/17/627023017.db2.gz PZZQCGMGQNAILH-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN C[C@H](C(=O)OC[C@@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000763953586 627025356 /nfs/dbraw/zinc/02/53/56/627025356.db2.gz ZJAFWTIRBVHMSO-SCVCMEIPSA-N 0 0 263.293 2.898 20 5 CFBDRN COC[C@@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000764044969 627033349 /nfs/dbraw/zinc/03/33/49/627033349.db2.gz WWFUDAMYCLRSDB-IINYFYTJSA-N 0 0 293.319 2.667 20 5 CFBDRN COc1cc(C(=O)OCCC2(C)CC2)ccc1[N+](=O)[O-] ZINC000764228182 627045894 /nfs/dbraw/zinc/04/58/94/627045894.db2.gz MCUZTBMFLNSEGP-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CS/C=C/C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000764224830 627046097 /nfs/dbraw/zinc/04/60/97/627046097.db2.gz RXBFBYXBSALDAF-VOTSOKGWSA-N 0 0 253.279 2.515 20 5 CFBDRN CS/C=C\C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000764243878 627047963 /nfs/dbraw/zinc/04/79/63/627047963.db2.gz XDSAMJNLAKIYHD-WAYWQWQTSA-N 0 0 253.279 2.685 20 5 CFBDRN Cc1c(CC(=O)O[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000764384409 627055419 /nfs/dbraw/zinc/05/54/19/627055419.db2.gz QWBWPBLLIDDMBY-SNVBAGLBSA-N 0 0 263.293 2.787 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)C2CC2)c([N+](=O)[O-])cc1OC ZINC000764396032 627056765 /nfs/dbraw/zinc/05/67/65/627056765.db2.gz QURFIXHDZDBCQP-QMMMGPOBSA-N 0 0 295.291 2.567 20 5 CFBDRN C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1N(C)C)C1CC1 ZINC000764400183 627057022 /nfs/dbraw/zinc/05/70/22/627057022.db2.gz RXUKDCZEIUNMMG-VIFPVBQESA-N 0 0 278.308 2.616 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1C=Cc1nccc(=O)[nH]1 ZINC000764433140 627059967 /nfs/dbraw/zinc/05/99/67/627059967.db2.gz COPNQIKCMZKCMD-UTCJRWHESA-N 0 0 287.275 2.660 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000764583810 627073299 /nfs/dbraw/zinc/07/32/99/627073299.db2.gz YBBDACKDGBRXNU-SECBINFHSA-N 0 0 295.291 2.915 20 5 CFBDRN CCC/C=C/C(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000764862816 627102838 /nfs/dbraw/zinc/10/28/38/627102838.db2.gz VUBWXWVQVINUTM-AATRIKPKSA-N 0 0 293.275 2.643 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(NC2CCC2)cc1Cl ZINC000765500774 627143646 /nfs/dbraw/zinc/14/36/46/627143646.db2.gz MZUMVSXFZCHODA-UHFFFAOYSA-N 0 0 284.699 2.999 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCCc3nc(C)ncc32)n1 ZINC000765506737 627144245 /nfs/dbraw/zinc/14/42/45/627144245.db2.gz PYGJOMVCKVYFGW-ZDUSSCGKSA-N 0 0 299.334 2.886 20 5 CFBDRN CNc1c(C(=O)OCCCSC)cccc1[N+](=O)[O-] ZINC000765515783 627144702 /nfs/dbraw/zinc/14/47/02/627144702.db2.gz IQWXTXWVNJDZNN-UHFFFAOYSA-N 0 0 284.337 2.546 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000765520917 627144761 /nfs/dbraw/zinc/14/47/61/627144761.db2.gz DDFQQCFSYKUGHJ-MRVPVSSYSA-N 0 0 252.270 2.592 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)C[C@@H](O)c2ccco2)n1 ZINC000765526902 627145065 /nfs/dbraw/zinc/14/50/65/627145065.db2.gz PMUTVAJUIXRHSX-CMPLNLGQSA-N 0 0 291.307 2.815 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)C(C)=O ZINC000765529034 627145204 /nfs/dbraw/zinc/14/52/04/627145204.db2.gz NJWOHXZQZQEQMT-WCQYABFASA-N 0 0 293.319 2.684 20 5 CFBDRN CC(C)[C@@H]([NH2+]Cc1cc([N+](=O)[O-])ccc1[O-])C1CC1 ZINC000765617455 627151107 /nfs/dbraw/zinc/15/11/07/627151107.db2.gz BIPTWMVUHSVSTP-CQSZACIVSA-N 0 0 264.325 2.825 20 5 CFBDRN C[C@H](CC(F)(F)F)[NH2+]Cc1cc([N+](=O)[O-])ccc1[O-] ZINC000765620796 627151276 /nfs/dbraw/zinc/15/12/76/627151276.db2.gz QXIWRWOHBKTTMK-SSDOTTSWSA-N 0 0 278.230 2.731 20 5 CFBDRN CCCCC(=O)OCc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000766166331 627181749 /nfs/dbraw/zinc/18/17/49/627181749.db2.gz OQUKYLKWEPAINE-UHFFFAOYSA-N 0 0 285.271 2.976 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c3nonc32)C[C@H]1C ZINC000766443160 627203448 /nfs/dbraw/zinc/20/34/48/627203448.db2.gz KKAYYUIHWMYSFE-DTWKUNHWSA-N 0 0 276.296 2.613 20 5 CFBDRN C[C@H]1COCC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000766592659 627210743 /nfs/dbraw/zinc/21/07/43/627210743.db2.gz VWKFCSQQIBKYHR-WCBMZHEXSA-N 0 0 298.726 2.859 20 5 CFBDRN COc1cccc(C(=O)O[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC000766855318 627222988 /nfs/dbraw/zinc/22/29/88/627222988.db2.gz UQSZIMOWYRRVDT-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN CCCC[C@H](CCC)OC(=O)c1c([N+](=O)[O-])cnn1C ZINC000766971050 627229227 /nfs/dbraw/zinc/22/92/27/627229227.db2.gz GSIQIUPECRXHJO-JTQLQIEISA-N 0 0 283.328 2.844 20 5 CFBDRN O=C(OCCCF)c1c(Cl)cccc1[N+](=O)[O-] ZINC000767339516 627243913 /nfs/dbraw/zinc/24/39/13/627243913.db2.gz YYHMVGRFSGVNDQ-UHFFFAOYSA-N 0 0 261.636 2.765 20 5 CFBDRN CS/C=C/C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000767650606 627268485 /nfs/dbraw/zinc/26/84/85/627268485.db2.gz IRVZGFKQNDOKDS-VOTSOKGWSA-N 0 0 267.306 2.823 20 5 CFBDRN CS/C=C\C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000767653489 627268911 /nfs/dbraw/zinc/26/89/11/627268911.db2.gz TZXOQTAYRXKVQE-WAYWQWQTSA-N 0 0 271.269 2.654 20 5 CFBDRN CS/C=C/C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000767654241 627269371 /nfs/dbraw/zinc/26/93/71/627269371.db2.gz UGEMKYSUONDPIP-JXMROGBWSA-N 0 0 297.332 2.784 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1cc([N+](=O)[O-])ccc1OC ZINC000767673234 627273144 /nfs/dbraw/zinc/27/31/44/627273144.db2.gz LISOMQJFZDVVAA-LBPRGKRZSA-N 0 0 299.348 2.788 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2(CCO)CCC2)c1 ZINC000767817432 627287961 /nfs/dbraw/zinc/28/79/61/627287961.db2.gz UZOKHQDBKDBIOB-UHFFFAOYSA-N 0 0 298.314 2.707 20 5 CFBDRN COc1cccc(C(=O)N(OC)C2CCCC2)c1[N+](=O)[O-] ZINC000768210104 627326214 /nfs/dbraw/zinc/32/62/14/627326214.db2.gz GBRZUBLTRMBXIC-UHFFFAOYSA-N 0 0 294.307 2.550 20 5 CFBDRN Cc1cc(OC(=O)c2ccc([N+](=O)[O-])cn2)ccc1F ZINC000768227005 627327372 /nfs/dbraw/zinc/32/73/72/627327372.db2.gz KWYUSLMXNUBUKD-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CCOC[C@H]2C)cccc1[N+](=O)[O-] ZINC000769272175 627418052 /nfs/dbraw/zinc/41/80/52/627418052.db2.gz XGOZNPYUZXCNJE-ZWNOBZJWSA-N 0 0 293.319 2.619 20 5 CFBDRN Cc1cc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])ns1 ZINC000769288938 627419296 /nfs/dbraw/zinc/41/92/96/627419296.db2.gz YTKAIQSLWLLAAT-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN COc1cccc(C(=O)OC/C=C\Cl)c1[N+](=O)[O-] ZINC000769602200 627459910 /nfs/dbraw/zinc/45/99/10/627459910.db2.gz DBVZJAJLRHTTHL-UTCJRWHESA-N 0 0 271.656 2.513 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)CCC2CC2)c(N)c([N+](=O)[O-])c1 ZINC000769644275 627463338 /nfs/dbraw/zinc/46/33/38/627463338.db2.gz GUVCOIPHPAXPNJ-JTQLQIEISA-N 0 0 291.351 2.794 20 5 CFBDRN CO[C@H](C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000769850212 627481432 /nfs/dbraw/zinc/48/14/32/627481432.db2.gz PDQMDGJQUBDKJZ-MFKMUULPSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1cnccc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000769887416 627485594 /nfs/dbraw/zinc/48/55/94/627485594.db2.gz UOZZNPPMQPVAGA-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CO[C@H](C(=O)OCc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000770014153 627496863 /nfs/dbraw/zinc/49/68/63/627496863.db2.gz IEOLLCWVARSGBW-ZDUSSCGKSA-N 0 0 281.308 2.617 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ccc(Cl)nc1 ZINC000770473596 627524915 /nfs/dbraw/zinc/52/49/15/627524915.db2.gz WMMWRNVLUDBOOX-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN CO[C@H](C(=O)OCc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000770629076 627535450 /nfs/dbraw/zinc/53/54/50/627535450.db2.gz PADIPRQZDLOPHF-ZDUSSCGKSA-N 0 0 281.308 2.617 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1csc([N+](=O)[O-])c1 ZINC000805409197 627537918 /nfs/dbraw/zinc/53/79/18/627537918.db2.gz PUAAISLCXWOCDW-UHFFFAOYSA-N 0 0 285.321 2.818 20 5 CFBDRN Cc1nn(CN2CC[C@H](C)C3(CCC3)C2)c(C)c1[N+](=O)[O-] ZINC000770671341 627539695 /nfs/dbraw/zinc/53/96/95/627539695.db2.gz XHOPWYJHOMTFHU-NSHDSACASA-N 0 0 292.383 2.878 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H](OC)C(C)C)c([N+](=O)[O-])c1 ZINC000770870155 627552641 /nfs/dbraw/zinc/55/26/41/627552641.db2.gz FIZGZBDHZPYQSP-ZDUSSCGKSA-N 0 0 281.308 2.734 20 5 CFBDRN CCC[C@@H](C)c1noc(CCn2cc([N+](=O)[O-])nc2C)n1 ZINC000770876696 627553311 /nfs/dbraw/zinc/55/33/11/627553311.db2.gz USVYYEOODZAIHN-SECBINFHSA-N 0 0 293.327 2.629 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000770964562 627564663 /nfs/dbraw/zinc/56/46/63/627564663.db2.gz GPMJZFLAOPURSA-MRVPVSSYSA-N 0 0 294.238 2.948 20 5 CFBDRN CC[C@@H](O)CCCNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000771228556 627586581 /nfs/dbraw/zinc/58/65/81/627586581.db2.gz KZQOUZMFMBCTII-SECBINFHSA-N 0 0 286.303 2.706 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H](C)C[C@H]2CCOC2)c1 ZINC000771234566 627587070 /nfs/dbraw/zinc/58/70/70/627587070.db2.gz FYMRMYYBARUEEV-VHSXEESVSA-N 0 0 298.314 2.970 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCO[C@H](C)C2)c1 ZINC000771258511 627589006 /nfs/dbraw/zinc/58/90/06/627589006.db2.gz IMXLOWMNONWRJH-NXEZZACHSA-N 0 0 298.314 2.970 20 5 CFBDRN CCc1ocnc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771485623 627608198 /nfs/dbraw/zinc/60/81/98/627608198.db2.gz AWUHKKAOOXHZMF-UHFFFAOYSA-N 0 0 280.211 2.504 20 5 CFBDRN O=C([C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CC(C2CC2)C1 ZINC000936068463 649983214 /nfs/dbraw/zinc/98/32/14/649983214.db2.gz WGRGDLORRXNIQU-CABCVRRESA-N 0 0 286.331 2.567 20 5 CFBDRN Cc1cnc(COC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000771856160 627638357 /nfs/dbraw/zinc/63/83/57/627638357.db2.gz QHEUJPMDLWDYIU-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc21)C1(F)CCCC1 ZINC000772022165 627663990 /nfs/dbraw/zinc/66/39/90/627663990.db2.gz UILYSASNPKGDKZ-UHFFFAOYSA-N 0 0 278.283 2.766 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000772055708 627668926 /nfs/dbraw/zinc/66/89/26/627668926.db2.gz GGWHPJCBZDXRER-GWCFXTLKSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ccc(COC(=O)c2cc([N+](=O)[O-])ccc2F)nc1 ZINC000772261635 627693705 /nfs/dbraw/zinc/69/37/05/627693705.db2.gz GSQYWNQZDKBVRC-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2C)nc1 ZINC000772267370 627694445 /nfs/dbraw/zinc/69/44/45/627694445.db2.gz MVOKCICZQBIYGS-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccc(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)nc1 ZINC000772270511 627695269 /nfs/dbraw/zinc/69/52/69/627695269.db2.gz UKMGOLIBOTUZBV-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])nc1 ZINC000772270721 627695657 /nfs/dbraw/zinc/69/56/57/627695657.db2.gz XSBQAJMEOQMIPL-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000772505767 627715924 /nfs/dbraw/zinc/71/59/24/627715924.db2.gz QKBPNZZWOGZGFH-ZIAGYGMSSA-N 0 0 293.319 2.809 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)C1(F)CCCC1 ZINC000772793313 627746635 /nfs/dbraw/zinc/74/66/35/627746635.db2.gz FASVMBALEIZHRJ-UHFFFAOYSA-N 0 0 267.256 2.920 20 5 CFBDRN C[C@H](NCc1cccc(F)n1)c1cccc([N+](=O)[O-])c1 ZINC000773083987 627781361 /nfs/dbraw/zinc/78/13/61/627781361.db2.gz AFDRHNTUCWPOBM-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN Cc1nnc(CN2c3ccc([N+](=O)[O-])cc3C[C@H]2C)s1 ZINC000773200519 627794537 /nfs/dbraw/zinc/79/45/37/627794537.db2.gz JIKQMIQFASSYGZ-MRVPVSSYSA-N 0 0 290.348 2.706 20 5 CFBDRN CS[C@H](C)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773984306 627876247 /nfs/dbraw/zinc/87/62/47/627876247.db2.gz DCVQWQCHWSJPEX-SECBINFHSA-N 0 0 280.349 2.544 20 5 CFBDRN O=c1ccnc(C=Cc2ccc([N+](=O)[O-])c(Cl)c2)[nH]1 ZINC000774128875 627891061 /nfs/dbraw/zinc/89/10/61/627891061.db2.gz CMJLYTJPXYPLEI-RQOWECAXSA-N 0 0 277.667 2.914 20 5 CFBDRN CC[C@@H](C)NC(=S)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000774581240 627939104 /nfs/dbraw/zinc/93/91/04/627939104.db2.gz MIXFNNPVXLZXOV-ZJUUUORDSA-N 0 0 281.381 2.918 20 5 CFBDRN Cc1ccc(Oc2nc3ccccc3cc2[N+](=O)[O-])c[n+]1[O-] ZINC000774676670 627948214 /nfs/dbraw/zinc/94/82/14/627948214.db2.gz BURCCYQTVMGGNC-UHFFFAOYSA-N 0 0 297.270 2.877 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC2(C)CCOCC2)c1 ZINC000774806990 627965341 /nfs/dbraw/zinc/96/53/41/627965341.db2.gz FZSRMHXAFISPLU-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCC(=O)[C@@H](C)C1 ZINC000775481688 628029327 /nfs/dbraw/zinc/02/93/27/628029327.db2.gz OYNFZTZQGUQFKB-QWRGUYRKSA-N 0 0 291.303 2.814 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCC(=O)[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000775478277 628029615 /nfs/dbraw/zinc/02/96/15/628029615.db2.gz TWCRXAOADRQFRH-GHMZBOCLSA-N 0 0 291.303 2.814 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000775980194 628090360 /nfs/dbraw/zinc/09/03/60/628090360.db2.gz MLEIKHKWFLCJSB-CYBMUJFWSA-N 0 0 291.351 2.531 20 5 CFBDRN CC(C)COC(=O)CN1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000776269391 628124332 /nfs/dbraw/zinc/12/43/32/628124332.db2.gz QOTZSCMSAOVULL-LLVKDONJSA-N 0 0 292.335 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)COc2ccc(F)c(F)c2)cc1 ZINC000776275583 628124962 /nfs/dbraw/zinc/12/49/62/628124962.db2.gz GEZHGGCPKKUFSO-CQSZACIVSA-N 0 0 295.241 2.985 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC(F)(F)C2)c(F)c1 ZINC000776300837 628128280 /nfs/dbraw/zinc/12/82/80/628128280.db2.gz GSCUFTMFXPCFKV-UHFFFAOYSA-N 0 0 264.178 2.718 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)OCCOc2ccccc2[N+](=O)[O-])C1 ZINC000776423015 628148512 /nfs/dbraw/zinc/14/85/12/628148512.db2.gz HJMBXVDBDSZLCN-NWDGAFQWSA-N 0 0 293.319 2.953 20 5 CFBDRN CSc1cccc(C(=O)OCCCC(C)=O)c1[N+](=O)[O-] ZINC000777074616 628197846 /nfs/dbraw/zinc/19/78/46/628197846.db2.gz WRRWNMNJNYTKJH-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN CC[C@H]1C[C@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000777350427 628216662 /nfs/dbraw/zinc/21/66/62/628216662.db2.gz PJJACQQRCLGPBU-UONOGXRCSA-N 0 0 293.319 2.638 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCCc1ccoc1 ZINC000777379332 628219042 /nfs/dbraw/zinc/21/90/42/628219042.db2.gz IQNXBVKTIVAOMH-ZZXKWVIFSA-N 0 0 287.271 2.987 20 5 CFBDRN COc1ccc(C(=O)OCCc2ccoc2)cc1[N+](=O)[O-] ZINC000777379097 628219063 /nfs/dbraw/zinc/21/90/63/628219063.db2.gz HRGAHUZYMQYOIU-UHFFFAOYSA-N 0 0 291.259 2.596 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CCC=CO1)c1ccc([N+](=O)[O-])cc1 ZINC000777868705 628260246 /nfs/dbraw/zinc/26/02/46/628260246.db2.gz ZULOUAVDDKUODU-CYBMUJFWSA-N 0 0 290.319 2.639 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)[C@@H](F)C1 ZINC000777998854 628271655 /nfs/dbraw/zinc/27/16/55/628271655.db2.gz FHBGXEFPNVRMNR-MFKMUULPSA-N 0 0 282.315 2.926 20 5 CFBDRN CC1(CNC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000778022763 628273445 /nfs/dbraw/zinc/27/34/45/628273445.db2.gz DKKGAWDQVBEWTH-UHFFFAOYSA-N 0 0 284.262 2.603 20 5 CFBDRN Cc1ccsc1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000778084217 628276338 /nfs/dbraw/zinc/27/63/38/628276338.db2.gz ATIKGDDPVFNKFV-UHFFFAOYSA-N 0 0 280.305 2.660 20 5 CFBDRN O=C(OC/C=C/Cl)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778170586 628283023 /nfs/dbraw/zinc/28/30/23/628283023.db2.gz WKVCHUCZWCRGNZ-LZCJLJQNSA-N 0 0 291.637 2.982 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000778357835 628298522 /nfs/dbraw/zinc/29/85/22/628298522.db2.gz LAQDCXTUMNQUCQ-IUCAKERBSA-N 0 0 285.321 2.628 20 5 CFBDRN CN(Cc1ncc(Cl)n1C)c1ccsc1[N+](=O)[O-] ZINC000778600977 628319295 /nfs/dbraw/zinc/31/92/95/628319295.db2.gz JQDWDDRTNVFNIB-UHFFFAOYSA-N 0 0 286.744 2.680 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CC=CCC1 ZINC000778700927 628326204 /nfs/dbraw/zinc/32/62/04/628326204.db2.gz JIKZRKRDWDEYEQ-UHFFFAOYSA-N 0 0 295.726 2.720 20 5 CFBDRN COc1cc(COC(=O)C2CCCC2)ccc1[N+](=O)[O-] ZINC000778741488 628328865 /nfs/dbraw/zinc/32/88/65/628328865.db2.gz AQJHSRZKZWUIPF-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN O=C(O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1)C1CCC1 ZINC000778775417 628331120 /nfs/dbraw/zinc/33/11/20/628331120.db2.gz CNDHGSNEEWZWJD-AWEZNQCLSA-N 0 0 290.319 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1CCOC1 ZINC000778787709 628331461 /nfs/dbraw/zinc/33/14/61/628331461.db2.gz MHWYSEXKWIGTOG-WDEREUQCSA-N 0 0 279.292 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)C1=COCC1 ZINC000778805642 628332821 /nfs/dbraw/zinc/33/28/21/628332821.db2.gz HMOUSGJCMGGWLQ-SNVBAGLBSA-N 0 0 277.276 2.812 20 5 CFBDRN COc1cc(COC(=O)[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000778817832 628334090 /nfs/dbraw/zinc/33/40/90/628334090.db2.gz NIUSXVYIEMJMLY-VIFPVBQESA-N 0 0 279.292 2.693 20 5 CFBDRN COc1cc(COC(=O)/C=C\SC)ccc1[N+](=O)[O-] ZINC000778818490 628334139 /nfs/dbraw/zinc/33/41/39/628334139.db2.gz CCOJFORQZRJMHB-WAYWQWQTSA-N 0 0 283.305 2.523 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000779193196 628358776 /nfs/dbraw/zinc/35/87/76/628358776.db2.gz ARBNCWPCNWGSRL-VIFPVBQESA-N 0 0 295.291 2.915 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)C1 ZINC000779271627 628368062 /nfs/dbraw/zinc/36/80/62/628368062.db2.gz VHQVQVDPPORKEU-DTWKUNHWSA-N 0 0 293.275 2.669 20 5 CFBDRN CC[C@@H]1C[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000779291008 628369932 /nfs/dbraw/zinc/36/99/32/628369932.db2.gz AUGYHMPJNRTAHN-CHWSQXEVSA-N 0 0 279.292 2.709 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@@H]1O ZINC000779426740 628381356 /nfs/dbraw/zinc/38/13/56/628381356.db2.gz HVZXDOAQEWGPDT-FZMZJTMJSA-N 0 0 296.342 2.967 20 5 CFBDRN Cc1nn(CN2CCC(C3CCCC3)CC2)cc1[N+](=O)[O-] ZINC000779863141 628415445 /nfs/dbraw/zinc/41/54/45/628415445.db2.gz LXISGRVXIJHIGA-UHFFFAOYSA-N 0 0 292.383 2.959 20 5 CFBDRN O=[N+]([O-])c1c(S[C@@H]2CCCOC2)nc2ccccn21 ZINC000779943581 628420222 /nfs/dbraw/zinc/42/02/22/628420222.db2.gz NEQWQAVMNGDOFC-SECBINFHSA-N 0 0 279.321 2.514 20 5 CFBDRN C[C@@H](OC(=O)c1cc(O)cc([N+](=O)[O-])c1)c1cccnc1 ZINC000780075058 628430438 /nfs/dbraw/zinc/43/04/38/628430438.db2.gz MCMFUHKKPBRNGG-SECBINFHSA-N 0 0 288.259 2.613 20 5 CFBDRN Cc1cccc(OC(=O)c2cc([N+](=O)[O-])cn2C)c1F ZINC000780083561 628431600 /nfs/dbraw/zinc/43/16/00/628431600.db2.gz MCEDZEORWROAJO-UHFFFAOYSA-N 0 0 278.239 2.600 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)O[C@H]1C=CCC1 ZINC000780556077 628474816 /nfs/dbraw/zinc/47/48/16/628474816.db2.gz BZAOSIMELYBNTH-ZDUSSCGKSA-N 0 0 261.277 2.789 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)O[C@@H]1C=CCC1 ZINC000780556812 628476081 /nfs/dbraw/zinc/47/60/81/628476081.db2.gz YNDJJBCFRINBJO-PGJNLMOESA-N 0 0 259.261 2.870 20 5 CFBDRN Cc1cc(COC(=O)Cc2cccnc2)ccc1[N+](=O)[O-] ZINC000781170986 628528731 /nfs/dbraw/zinc/52/87/31/628528731.db2.gz DYCPPSPWKLJIIB-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN CC1(C(=O)OCCn2ccc([N+](=O)[O-])n2)CCCCCC1 ZINC000781299121 628539344 /nfs/dbraw/zinc/53/93/44/628539344.db2.gz GCQBONJTUGECTD-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN O=C(NC[C@H]1CCC=CO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000781494641 628555369 /nfs/dbraw/zinc/55/53/69/628555369.db2.gz FWIQZTPNVVDKOJ-SECBINFHSA-N 0 0 296.710 2.671 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cccc(F)n2)n1 ZINC000781661599 628570628 /nfs/dbraw/zinc/57/06/28/628570628.db2.gz RPGCTHZAGANFKA-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Oc2cccnc2[N+](=O)[O-])n1 ZINC000781659728 628570671 /nfs/dbraw/zinc/57/06/71/628570671.db2.gz RNGAFDBSSGJHOG-UHFFFAOYSA-N 0 0 290.235 2.702 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCCCCCO)n1 ZINC000781670303 628571915 /nfs/dbraw/zinc/57/19/15/628571915.db2.gz VDPWGBTVQXOULQ-UHFFFAOYSA-N 0 0 267.329 2.571 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])OC1CCC1 ZINC000781804921 628582938 /nfs/dbraw/zinc/58/29/38/628582938.db2.gz ASFFPRAZGPSTBW-UHFFFAOYSA-N 0 0 294.263 2.531 20 5 CFBDRN O=C(COC(=O)c1cc([N+](=O)[O-])ccc1Cl)CC1CC1 ZINC000782009712 628596634 /nfs/dbraw/zinc/59/66/34/628596634.db2.gz XXWRFKRQYVLABT-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN CN(C)c1cc(COc2cc(F)ccc2[N+](=O)[O-])ccn1 ZINC000782110014 628604812 /nfs/dbraw/zinc/60/48/12/628604812.db2.gz GDRIWMSDLQNZAU-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN COCc1cc(Oc2cccc([N+](=O)[O-])c2C)ncn1 ZINC000782142816 628607481 /nfs/dbraw/zinc/60/74/81/628607481.db2.gz PVDUSRFYFRWNGP-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(Oc3ccc(F)nc3)c2c1 ZINC000782151104 628608508 /nfs/dbraw/zinc/60/85/08/628608508.db2.gz UVZHVRGBELPSDN-UHFFFAOYSA-N 0 0 286.222 2.864 20 5 CFBDRN Cc1cccc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000782306349 628626695 /nfs/dbraw/zinc/62/66/95/628626695.db2.gz RBXBAUHVYBSWLL-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC(=O)c1ccc(N2C[C@H](C)O[C@@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000782403921 628635995 /nfs/dbraw/zinc/63/59/95/628635995.db2.gz INJHQJNUXFVUDC-UMNHJUIQSA-N 0 0 292.335 2.800 20 5 CFBDRN C[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])[C@@H](C)[C@H](C)O1 ZINC000782410062 628636480 /nfs/dbraw/zinc/63/64/80/628636480.db2.gz NLXCCFUFEVDAEW-UTLUCORTSA-N 0 0 268.288 2.736 20 5 CFBDRN CO[C@H](C)CCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000782431072 628637200 /nfs/dbraw/zinc/63/72/00/628637200.db2.gz GWFLDDOQTBMWFB-SECBINFHSA-N 0 0 285.271 2.592 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1CCC=CO1 ZINC000782837159 628659571 /nfs/dbraw/zinc/65/95/71/628659571.db2.gz ZCNAMMQFXPNSII-GFCCVEGCSA-N 0 0 291.307 2.717 20 5 CFBDRN CCON(Cc1onc(C)c1[N+](=O)[O-])Cc1ccccc1 ZINC000852154456 634674398 /nfs/dbraw/zinc/67/43/98/634674398.db2.gz QFVUBITUBIAALE-UHFFFAOYSA-N 0 0 291.307 2.845 20 5 CFBDRN CC(C)(C(=O)OC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000783185395 628682818 /nfs/dbraw/zinc/68/28/18/628682818.db2.gz FHAMPUBVQPGNNP-UHFFFAOYSA-N 0 0 267.256 2.717 20 5 CFBDRN CCN(CC)C(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000783692613 628735433 /nfs/dbraw/zinc/73/54/33/628735433.db2.gz IFCWVBKOAXUTHO-KHPPLWFESA-N 0 0 262.309 2.867 20 5 CFBDRN CC(C)[C@H](F)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000784024638 628765532 /nfs/dbraw/zinc/76/55/32/628765532.db2.gz QZLDAJXIJLXCOZ-JTQLQIEISA-N 0 0 258.224 2.667 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1C[C@H]1C1CC1 ZINC000784054812 628769761 /nfs/dbraw/zinc/76/97/61/628769761.db2.gz NDPQKSQERPSTRE-QWRGUYRKSA-N 0 0 279.267 2.823 20 5 CFBDRN COc1ccc(NC(=O)[C@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000784151358 628784010 /nfs/dbraw/zinc/78/40/10/628784010.db2.gz AOONHLUZWLHLEH-LLVKDONJSA-N 0 0 270.260 2.536 20 5 CFBDRN COC(C)(C)CC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000784408071 628815083 /nfs/dbraw/zinc/81/50/83/628815083.db2.gz UJUGLWZEKGEJOU-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])CO1 ZINC000784501663 628822510 /nfs/dbraw/zinc/82/25/10/628822510.db2.gz NBGQKWDJZHUPGW-JGVFFNPUSA-N 0 0 285.683 2.579 20 5 CFBDRN CO[C@@H](C)CCNC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784638161 628833024 /nfs/dbraw/zinc/83/30/24/628833024.db2.gz JTSVCFXEDUOGIV-IIANPFDCSA-N 0 0 292.335 2.539 20 5 CFBDRN NC(Cc1ccccc1)=NOCCc1ccc([N+](=O)[O-])cc1 ZINC000784706456 628838334 /nfs/dbraw/zinc/83/83/34/628838334.db2.gz ZLCLEUSWKLNJJB-UHFFFAOYSA-N 0 0 299.330 2.878 20 5 CFBDRN C/C(=C/C(=O)OC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000784835543 628844237 /nfs/dbraw/zinc/84/42/37/628844237.db2.gz BWJFAPYPNMAXSZ-FPAJZGIOSA-N 0 0 291.303 2.720 20 5 CFBDRN C/C(=C\C(=O)OCC1(C)COC1)c1ccc([N+](=O)[O-])cc1 ZINC000784846803 628845533 /nfs/dbraw/zinc/84/55/33/628845533.db2.gz WXSPDILGFMCPOY-YRNVUSSQSA-N 0 0 291.303 2.578 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784850182 628845760 /nfs/dbraw/zinc/84/57/60/628845760.db2.gz KRVFLDCXPQEVLU-XQRVVYSFSA-N 0 0 290.250 2.819 20 5 CFBDRN CO[C@H](C)COC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784896396 628850346 /nfs/dbraw/zinc/85/03/46/628850346.db2.gz JCUIXZFQQDJVAW-RJCSOLBVSA-N 0 0 279.292 2.576 20 5 CFBDRN C/C(=C/C(=O)OC1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000784902375 628850926 /nfs/dbraw/zinc/85/09/26/628850926.db2.gz MNWFGUQCVKEQQS-KHPPLWFESA-N 0 0 291.303 2.720 20 5 CFBDRN O=C(OCCSc1ccc([N+](=O)[O-])cc1)c1cocn1 ZINC000784932994 628852608 /nfs/dbraw/zinc/85/26/08/628852608.db2.gz CGAKXICTEVCQPL-UHFFFAOYSA-N 0 0 294.288 2.532 20 5 CFBDRN CC(C)/C=C/C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000785043742 628861945 /nfs/dbraw/zinc/86/19/45/628861945.db2.gz UQUAHSKQJPGABH-ONEGZZNKSA-N 0 0 255.295 2.912 20 5 CFBDRN Cc1cncc(COC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000785648468 628901364 /nfs/dbraw/zinc/90/13/64/628901364.db2.gz DTEHNFFXWCKMKW-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C/C(=C/C(=O)N1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000785861209 628917786 /nfs/dbraw/zinc/91/77/86/628917786.db2.gz PYOSUMOPDURLBS-KHPPLWFESA-N 0 0 276.292 2.552 20 5 CFBDRN Cc1ccncc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000785882451 628918478 /nfs/dbraw/zinc/91/84/78/628918478.db2.gz BWMNOKOAJBPUCV-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000785912531 628922152 /nfs/dbraw/zinc/92/21/52/628922152.db2.gz WDJKVJUMTNGSIM-LBPRGKRZSA-N 0 0 293.319 2.638 20 5 CFBDRN O=C(Cc1ccon1)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000786009585 628930097 /nfs/dbraw/zinc/93/00/97/628930097.db2.gz RPGAOBBDFDSASW-UHFFFAOYSA-N 0 0 296.666 2.522 20 5 CFBDRN CCCc1nc(C(=O)OCc2ccccc2[N+](=O)[O-])co1 ZINC000786520292 628964845 /nfs/dbraw/zinc/96/48/45/628964845.db2.gz RFEYYNCGAWPZQE-UHFFFAOYSA-N 0 0 290.275 2.892 20 5 CFBDRN CO[C@@H](C)[C@H](C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000786677733 628973305 /nfs/dbraw/zinc/97/33/05/628973305.db2.gz OSZRUJMOFGXZQL-XJNROGLQSA-N 0 0 292.335 2.538 20 5 CFBDRN C[C@](O)(CNc1ccc(N)cc1[N+](=O)[O-])c1ccsc1 ZINC000786804610 628979993 /nfs/dbraw/zinc/97/99/93/628979993.db2.gz SDKKDSSWYGRKOC-ZDUSSCGKSA-N 0 0 293.348 2.558 20 5 CFBDRN Nc1ccc(N2C[C@@H]3CCCC[C@H]3C2)c([N+](=O)[O-])c1 ZINC000786804018 628980071 /nfs/dbraw/zinc/98/00/71/628980071.db2.gz MGUNZUBEBXIRSX-QWRGUYRKSA-N 0 0 261.325 2.803 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCC=CO1)c1ccccc1[N+](=O)[O-] ZINC000787035678 628989502 /nfs/dbraw/zinc/98/95/02/628989502.db2.gz OABDKHILNVJWOM-MFKMUULPSA-N 0 0 277.276 2.892 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCc1ccc(F)cn1 ZINC000787594700 629021167 /nfs/dbraw/zinc/02/11/67/629021167.db2.gz LIDNBXBMVSATFV-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCc1cccc(COC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000787614727 629022305 /nfs/dbraw/zinc/02/23/05/629022305.db2.gz IJDCIWOLCXSJAM-UHFFFAOYSA-N 0 0 288.303 2.853 20 5 CFBDRN O=C(OCC[C@@H]1CCCO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000787699861 629027220 /nfs/dbraw/zinc/02/72/20/629027220.db2.gz ILBVBJGTAOKDCW-VIFPVBQESA-N 0 0 299.710 2.974 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCO1 ZINC000787810525 629037862 /nfs/dbraw/zinc/03/78/62/629037862.db2.gz GHKQAYNOSGTEON-MRVPVSSYSA-N 0 0 299.714 2.501 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1cc([N+](=O)[O-])ccc1Cl ZINC000787929773 629042899 /nfs/dbraw/zinc/04/28/99/629042899.db2.gz QOJJLGKDXYFUSX-GDGBQDQQSA-N 0 0 280.711 2.777 20 5 CFBDRN CCOC(=O)/C=C/CSc1ccc([N+](=O)[O-])cc1F ZINC000788502044 629073046 /nfs/dbraw/zinc/07/30/46/629073046.db2.gz HHCFENUTKLIMTL-ONEGZZNKSA-N 0 0 285.296 2.945 20 5 CFBDRN C[C@@H]1CC[C@@H](COC(=O)c2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000789000829 629115607 /nfs/dbraw/zinc/11/56/07/629115607.db2.gz QGVFHRLNBILQMP-SCZZXKLOSA-N 0 0 299.710 2.973 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1cccnc1F ZINC000789010131 629116825 /nfs/dbraw/zinc/11/68/25/629116825.db2.gz HGXKPCZSMBSIML-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN C[C@@H]1CC[C@H](COC(=O)c2cccc(Cl)c2[N+](=O)[O-])O1 ZINC000789064868 629122039 /nfs/dbraw/zinc/12/20/39/629122039.db2.gz MSUULYSSBWAFDM-RKDXNWHRSA-N 0 0 299.710 2.973 20 5 CFBDRN C[C@@H]1CC[C@@H](COC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)O1 ZINC000789079761 629123996 /nfs/dbraw/zinc/12/39/96/629123996.db2.gz RVLSMOTYXRKRFT-SCZZXKLOSA-N 0 0 299.710 2.973 20 5 CFBDRN CC1(NC(=S)Nc2cc([N+](=O)[O-])ccc2F)CC1 ZINC000789161911 629131248 /nfs/dbraw/zinc/13/12/48/629131248.db2.gz LNBLAUOUYPDBCB-UHFFFAOYSA-N 0 0 269.301 2.573 20 5 CFBDRN C[C@H](NC(=S)NC1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000789193562 629133205 /nfs/dbraw/zinc/13/32/05/629133205.db2.gz DAKAGLNRGLEWHJ-VIFPVBQESA-N 0 0 279.365 2.672 20 5 CFBDRN C/C=C(\CC)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000789400054 629153846 /nfs/dbraw/zinc/15/38/46/629153846.db2.gz RAZUHEVNYRIJON-FPYGCLRLSA-N 0 0 253.229 2.996 20 5 CFBDRN C[C@H](C(=O)OCC1(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000789583561 629169086 /nfs/dbraw/zinc/16/90/86/629169086.db2.gz MSOBSTOISODHPM-VIFPVBQESA-N 0 0 267.256 2.744 20 5 CFBDRN Cc1ncccc1OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000789597558 629170547 /nfs/dbraw/zinc/17/05/47/629170547.db2.gz UAXBGVPBMNBVQN-UHFFFAOYSA-N 0 0 289.247 2.785 20 5 CFBDRN CC(=O)[C@@H](C)SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000789923266 629197011 /nfs/dbraw/zinc/19/70/11/629197011.db2.gz YQYIRMCBBAAQKK-MRVPVSSYSA-N 0 0 284.293 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ncccn2)c2cccnc21 ZINC000790507717 629243781 /nfs/dbraw/zinc/24/37/81/629243781.db2.gz PKFXLFWPUSHRLK-UHFFFAOYSA-N 0 0 282.259 2.512 20 5 CFBDRN CCn1ccc(CN(C)c2nc3ccccn3c2[N+](=O)[O-])c1 ZINC000790780915 629261995 /nfs/dbraw/zinc/26/19/95/629261995.db2.gz MRYAUYFVONMWRW-UHFFFAOYSA-N 0 0 299.334 2.700 20 5 CFBDRN C[C@@H]1CCSCCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000790817418 629266021 /nfs/dbraw/zinc/26/60/21/629266021.db2.gz KDPKCRWVNMJTOI-SNVBAGLBSA-N 0 0 292.364 2.574 20 5 CFBDRN Cc1cc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])ccn1 ZINC000790964315 629275090 /nfs/dbraw/zinc/27/50/90/629275090.db2.gz SAMPNAIMZZUIJU-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2ccccc2[N+](=O)[O-])c1Cl ZINC000790978211 629276439 /nfs/dbraw/zinc/27/64/39/629276439.db2.gz PHMOBGOMVNNWQR-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1Cl ZINC000790990545 629278392 /nfs/dbraw/zinc/27/83/92/629278392.db2.gz NLBAGIMFWCQEGM-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@H]1c1ccccc1Cl ZINC000791054141 629284224 /nfs/dbraw/zinc/28/42/24/629284224.db2.gz XYOBPBIXTCPXGZ-LBPRGKRZSA-N 0 0 254.717 2.754 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@H]1Cc1ccccc1Cl ZINC000791054060 629284285 /nfs/dbraw/zinc/28/42/85/629284285.db2.gz WTHPZJGPVVVUIU-LBPRGKRZSA-N 0 0 268.744 2.624 20 5 CFBDRN Cc1ccnc(COC(=O)c2ccc([N+](=O)[O-])cc2C)c1 ZINC000791362113 629304508 /nfs/dbraw/zinc/30/45/08/629304508.db2.gz UOQFFLZEFYEXOZ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN COc1ccc(OC(=O)C(C)(C)C(F)F)cc1[N+](=O)[O-] ZINC000791740964 629350722 /nfs/dbraw/zinc/35/07/22/629350722.db2.gz JLXIGZCTMRJCOA-UHFFFAOYSA-N 0 0 289.234 2.800 20 5 CFBDRN COc1cc(C(=O)O[C@H]2C=CCC2)cc([N+](=O)[O-])c1C ZINC000791869986 629369516 /nfs/dbraw/zinc/36/95/16/629369516.db2.gz FEYHEUQQWNAFCU-NSHDSACASA-N 0 0 277.276 2.787 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)c2ccnn2C)cccc1[N+](=O)[O-] ZINC000791920413 629377374 /nfs/dbraw/zinc/37/73/74/629377374.db2.gz ATZGNTREFKNTFH-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000792120457 629399461 /nfs/dbraw/zinc/39/94/61/629399461.db2.gz GQQAWNZEEOAPDC-UWVGGRQHSA-N 0 0 279.292 2.945 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000792130822 629400570 /nfs/dbraw/zinc/40/05/70/629400570.db2.gz YFVRWMWWFPPLBE-DTORHVGOSA-N 0 0 297.742 2.941 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000835103870 629409101 /nfs/dbraw/zinc/40/91/01/629409101.db2.gz NGDVXTSYLDJYEV-FNSAGKMKSA-N 0 0 291.303 2.792 20 5 CFBDRN Cc1ccnc(CN2CCc3c(cccc3[N+](=O)[O-])C2)c1 ZINC000792265214 629415066 /nfs/dbraw/zinc/41/50/66/629415066.db2.gz JUIBMHQMMGJTCE-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN CCC[C@@H](OC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000835111408 629417817 /nfs/dbraw/zinc/41/78/17/629417817.db2.gz HSLQMOYTFMLCQB-GFCCVEGCSA-N 0 0 285.271 2.592 20 5 CFBDRN CC(=O)OC(C)(C)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000792371486 629422838 /nfs/dbraw/zinc/42/28/38/629422838.db2.gz RBPSBOIICQRNJH-SECBINFHSA-N 0 0 295.291 2.541 20 5 CFBDRN O=C(Cc1ccsc1)OCc1ccccc1[N+](=O)[O-] ZINC000792561061 629433915 /nfs/dbraw/zinc/43/39/15/629433915.db2.gz DYCVFOMYHVXTCH-UHFFFAOYSA-N 0 0 277.301 2.942 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)O[C@@H]1CCCCC1=O ZINC000792685317 629444540 /nfs/dbraw/zinc/44/45/40/629444540.db2.gz XHXQYFZYZQZPHF-GFCCVEGCSA-N 0 0 277.276 2.572 20 5 CFBDRN Cc1cccc(CCCOC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000835136764 629449756 /nfs/dbraw/zinc/44/97/56/629449756.db2.gz BALFEHAZZBZLLQ-UHFFFAOYSA-N 0 0 294.351 2.701 20 5 CFBDRN CC[C@@H](C)CN(C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000110594127 634737411 /nfs/dbraw/zinc/73/74/11/634737411.db2.gz QVRBFGMFFFAGEC-SNVBAGLBSA-N 0 0 291.351 2.962 20 5 CFBDRN O=C(CSC1CC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000835237933 629550307 /nfs/dbraw/zinc/55/03/07/629550307.db2.gz ZOWZPNHVEXZUJI-UHFFFAOYSA-N 0 0 271.269 2.535 20 5 CFBDRN Cc1cc(COC(=O)c2ccc([N+](=O)[O-])cn2)cs1 ZINC000806022584 629581998 /nfs/dbraw/zinc/58/19/98/629581998.db2.gz ZJCOHJVZVJSGFT-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC(C)(CCC(=O)OCc1nc(C2CC2)cs1)[N+](=O)[O-] ZINC000835272875 629584010 /nfs/dbraw/zinc/58/40/10/629584010.db2.gz WEUOJZXMKIGRAM-UHFFFAOYSA-N 0 0 298.364 2.899 20 5 CFBDRN COc1cc(C(=O)O[C@@H]2C=CCC2)c([N+](=O)[O-])cc1F ZINC000835283668 629594852 /nfs/dbraw/zinc/59/48/52/629594852.db2.gz KVXGVCMXVCXZKG-MRVPVSSYSA-N 0 0 281.239 2.618 20 5 CFBDRN COc1c(C(=O)N(OC)C2CCCC2)cccc1[N+](=O)[O-] ZINC000793815690 629597794 /nfs/dbraw/zinc/59/77/94/629597794.db2.gz PZTWKLBKTHVEFE-UHFFFAOYSA-N 0 0 294.307 2.550 20 5 CFBDRN Cc1cccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c1F ZINC000835285967 629598633 /nfs/dbraw/zinc/59/86/33/629598633.db2.gz HQGCZXOGRRZLQU-UHFFFAOYSA-N 0 0 269.272 2.875 20 5 CFBDRN CC(C)(CCC(=O)OCC1(C2CC2)CCOCC1)[N+](=O)[O-] ZINC000835306606 629612669 /nfs/dbraw/zinc/61/26/69/629612669.db2.gz DSGNNHSXAMUUCI-UHFFFAOYSA-N 0 0 299.367 2.572 20 5 CFBDRN CN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)[C@H]1CCC(C)(C)C1 ZINC000794277647 629629751 /nfs/dbraw/zinc/62/97/51/629629751.db2.gz XHLAJJYKKDGLPE-NSHDSACASA-N 0 0 291.351 2.828 20 5 CFBDRN C[C@H](CC(C)(C)O)OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000835420915 629706985 /nfs/dbraw/zinc/70/69/85/629706985.db2.gz SFZXIMXKMDDHPE-MNOVXSKESA-N 0 0 295.335 2.791 20 5 CFBDRN C[C@H](CC(C)(C)O)OC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000835424011 629710157 /nfs/dbraw/zinc/71/01/57/629710157.db2.gz SMCVMQTWHYJBRD-LLVKDONJSA-N 0 0 295.335 2.620 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000795001160 629721220 /nfs/dbraw/zinc/72/12/20/629721220.db2.gz XEORIGFARFDNHQ-DTORHVGOSA-N 0 0 265.338 2.774 20 5 CFBDRN CCC(=O)c1ccc(N2CCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000795076761 629732707 /nfs/dbraw/zinc/73/27/07/629732707.db2.gz GUZNAESTJSJWSZ-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CCC(=O)c1ccc(N2CC=CCC2)c([N+](=O)[O-])c1 ZINC000795124393 629737133 /nfs/dbraw/zinc/73/71/33/629737133.db2.gz OMSPMMUPTRTTSN-UHFFFAOYSA-N 0 0 260.293 2.954 20 5 CFBDRN CCC(=O)c1ccc(N2CC[C@](O)(CC)C2)c([N+](=O)[O-])c1 ZINC000795141791 629739168 /nfs/dbraw/zinc/73/91/68/629739168.db2.gz FAQIHVUTIMHKCT-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H](COC(=O)[C@@H]1C[C@H]1c1cccc(Cl)c1)[N+](=O)[O-] ZINC000835456366 629741251 /nfs/dbraw/zinc/74/12/51/629741251.db2.gz UGBNUDRBTLCZDQ-KPXOXKRLSA-N 0 0 283.711 2.652 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2CCC[C@@H](O)C2)cc1[N+](=O)[O-] ZINC000795309653 629759497 /nfs/dbraw/zinc/75/94/97/629759497.db2.gz SESJOTFAYYEPMV-VHSXEESVSA-N 0 0 299.348 2.673 20 5 CFBDRN CC1(C(=O)COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000795345878 629764751 /nfs/dbraw/zinc/76/47/51/629764751.db2.gz DXCAWOQOEAVPKT-DAXSKMNVSA-N 0 0 289.287 2.520 20 5 CFBDRN Cc1ccc(COC(=O)/C=C/[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000835479433 629767433 /nfs/dbraw/zinc/76/74/33/629767433.db2.gz DGOGPWPBIHILSY-ITKZLYELSA-N 0 0 291.303 2.539 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCCC[C@H](C)O)c1 ZINC000795368910 629767408 /nfs/dbraw/zinc/76/74/08/629767408.db2.gz LSDUACWAHYGXKO-VIFPVBQESA-N 0 0 299.348 2.635 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H]1CCC[C@H](O)C1 ZINC000795372288 629768334 /nfs/dbraw/zinc/76/83/34/629768334.db2.gz URJKWBGLZNWDNW-AAEUAGOBSA-N 0 0 293.319 2.611 20 5 CFBDRN COC1(CC(=O)OCc2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000835480432 629769504 /nfs/dbraw/zinc/76/95/04/629769504.db2.gz MUMRJIWZFQQQLB-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN CC[C@@H](C)OCC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835481739 629769889 /nfs/dbraw/zinc/76/98/89/629769889.db2.gz ZISBIVVVTRTKLD-LLVKDONJSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1ccc(COC(=O)CC(C)(F)F)cc1[N+](=O)[O-] ZINC000835481443 629770206 /nfs/dbraw/zinc/77/02/06/629770206.db2.gz WAGDGSUIZJRSQD-UHFFFAOYSA-N 0 0 273.235 2.992 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000835482349 629770836 /nfs/dbraw/zinc/77/08/36/629770836.db2.gz VJIQULDJSMHZNK-SECBINFHSA-N 0 0 271.219 2.602 20 5 CFBDRN COC(C)(C)COC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000835498236 629790438 /nfs/dbraw/zinc/79/04/38/629790438.db2.gz FRUAYVBZBRXFCI-PKNBQFBNSA-N 0 0 293.319 2.966 20 5 CFBDRN C[C@@H](O)CCCOC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000795537668 629796410 /nfs/dbraw/zinc/79/64/10/629796410.db2.gz IFRVSZTUDNXHBM-MRVPVSSYSA-N 0 0 287.699 2.566 20 5 CFBDRN COC(C)(C)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000835502698 629798679 /nfs/dbraw/zinc/79/86/79/629798679.db2.gz WBGUEQCNHDTWGB-JTQLQIEISA-N 0 0 281.308 2.667 20 5 CFBDRN COC(C)(C)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000835504072 629802506 /nfs/dbraw/zinc/80/25/06/629802506.db2.gz GWKGTMMSYSHUFI-RMKNXTFCSA-N 0 0 279.292 2.576 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCCC[C@H](C)O ZINC000795592441 629808286 /nfs/dbraw/zinc/80/82/86/629808286.db2.gz XOQPGJZCCRKLQU-WEHUKYGOSA-N 0 0 293.319 2.702 20 5 CFBDRN C[C@@H](O)CCCOC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000795592302 629808396 /nfs/dbraw/zinc/80/83/96/629808396.db2.gz VQKRAJJSWOMBAO-NXEZZACHSA-N 0 0 299.298 2.542 20 5 CFBDRN CCc1[nH]nc(C(=O)OC[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000795616816 629811886 /nfs/dbraw/zinc/81/18/86/629811886.db2.gz WLYMNYVELCNTQH-ZJUUUORDSA-N 0 0 295.339 2.863 20 5 CFBDRN CCC[C@H](COC(=O)CCc1cccc([N+](=O)[O-])c1)OC ZINC000835537325 629820250 /nfs/dbraw/zinc/82/02/50/629820250.db2.gz LHSTZZMWTSDIPH-CQSZACIVSA-N 0 0 295.335 2.886 20 5 CFBDRN CCC[C@@H](COC(=O)c1cccc(OC)c1[N+](=O)[O-])OC ZINC000835546097 629833530 /nfs/dbraw/zinc/83/35/30/629833530.db2.gz RIMJQWYCJUYKKG-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN CC[C@@H](C)[C@@H](CC)OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000835590058 629860764 /nfs/dbraw/zinc/86/07/64/629860764.db2.gz PNPYCJBLFKRNQD-ZYHUDNBSSA-N 0 0 283.328 2.549 20 5 CFBDRN CCC[C@H](C)OC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000796251525 629896017 /nfs/dbraw/zinc/89/60/17/629896017.db2.gz GNEWRKAOCORXDB-QMMMGPOBSA-N 0 0 269.301 2.787 20 5 CFBDRN CC[C@@H](C)[C@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)OC ZINC000835638804 629908852 /nfs/dbraw/zinc/90/88/52/629908852.db2.gz VZLNVOJBRXMBTR-RISCZKNCSA-N 0 0 295.335 2.742 20 5 CFBDRN C[C@@H](O)CCCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796446028 629918484 /nfs/dbraw/zinc/91/84/84/629918484.db2.gz TWCHKXTWIFJHSG-LLVKDONJSA-N 0 0 295.335 2.577 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000796532786 629931261 /nfs/dbraw/zinc/93/12/61/629931261.db2.gz GIFJKIXTLVEACV-PELKAZGASA-N 0 0 284.287 2.734 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H](C)CSC ZINC000835670152 629934046 /nfs/dbraw/zinc/93/40/46/629934046.db2.gz NUTUCKOYJOTPCR-VIFPVBQESA-N 0 0 299.348 2.759 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000796591156 629939722 /nfs/dbraw/zinc/93/97/22/629939722.db2.gz HSCLATHWIFLZKI-TVQRCGJNSA-N 0 0 281.308 2.870 20 5 CFBDRN COc1c(C(=O)O[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000796770397 629963428 /nfs/dbraw/zinc/96/34/28/629963428.db2.gz QPMZXCIICINJLZ-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN C[C@H]1C(=O)CC[C@H]1CC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000796777724 629964744 /nfs/dbraw/zinc/96/47/44/629964744.db2.gz PCURMBQYTWUCQG-BDAKNGLRSA-N 0 0 295.266 2.645 20 5 CFBDRN COc1c(C(=O)OCCC2CCC2)cccc1[N+](=O)[O-] ZINC000796831045 629974482 /nfs/dbraw/zinc/97/44/82/629974482.db2.gz XPQHJESXKNJIHU-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CO[C@@H](C)CCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796936586 629991580 /nfs/dbraw/zinc/99/15/80/629991580.db2.gz XZZLCTDCRVSNMF-NSHDSACASA-N 0 0 295.335 2.841 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000852559277 634780032 /nfs/dbraw/zinc/78/00/32/634780032.db2.gz HZVQXUBRAIBVFR-NOZJJQNGSA-N 0 0 284.743 2.593 20 5 CFBDRN CCCCCC(C)(C)CNC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000797095971 630016608 /nfs/dbraw/zinc/01/66/08/630016608.db2.gz AYANCZIIJAUAQG-UHFFFAOYSA-N 0 0 282.344 2.654 20 5 CFBDRN Cn1c(C(=O)OCC(C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000797106840 630018895 /nfs/dbraw/zinc/01/88/95/630018895.db2.gz MGONTIGHQHRJQM-UHFFFAOYSA-N 0 0 278.308 2.526 20 5 CFBDRN COC[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000797112554 630020350 /nfs/dbraw/zinc/02/03/50/630020350.db2.gz QGPWKNSDZRNPEP-CQSZACIVSA-N 0 0 295.335 2.660 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1OC(=O)c1ccccc1[N+](=O)[O-] ZINC000797141372 630026149 /nfs/dbraw/zinc/02/61/49/630026149.db2.gz IMNVFEZZJDEWQP-CHWSQXEVSA-N 0 0 279.292 2.709 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000797249629 630047688 /nfs/dbraw/zinc/04/76/88/630047688.db2.gz IQJNEADWSQFWGS-OCCSQVGLSA-N 0 0 293.319 2.762 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1ccc([N+](=O)[O-])cc1OC ZINC000797476600 630071417 /nfs/dbraw/zinc/07/14/17/630071417.db2.gz TVINDTHABVSNTM-LBPRGKRZSA-N 0 0 299.348 2.788 20 5 CFBDRN CCCCC(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000797644419 630091219 /nfs/dbraw/zinc/09/12/19/630091219.db2.gz JVUCCCPTVLSFIV-UHFFFAOYSA-N 0 0 295.291 2.695 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000797858600 630114070 /nfs/dbraw/zinc/11/40/70/630114070.db2.gz BJGGNANRUPJWFS-UHFFFAOYSA-N 0 0 280.667 2.933 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000835914949 630155038 /nfs/dbraw/zinc/15/50/38/630155038.db2.gz GZLZPTFJGCCGQL-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)nc1 ZINC000798237847 630170369 /nfs/dbraw/zinc/17/03/69/630170369.db2.gz SLORBGMLQBCQDE-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CC[C@](C)(COC(=O)c1ccc(C)c([N+](=O)[O-])c1)OC ZINC000835958765 630179671 /nfs/dbraw/zinc/17/96/71/630179671.db2.gz VRFDEJRNCKAJQV-CQSZACIVSA-N 0 0 281.308 2.875 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1cnc2cnccc2c1 ZINC000798346089 630180939 /nfs/dbraw/zinc/18/09/39/630180939.db2.gz VKKWGLFXGPSNPQ-UHFFFAOYSA-N 0 0 295.254 2.757 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cccc(OC)c1[N+](=O)[O-])OC ZINC000835963907 630187671 /nfs/dbraw/zinc/18/76/71/630187671.db2.gz UWIZYQKAXKGTOG-AWEZNQCLSA-N 0 0 297.307 2.575 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cc(C)cc([N+](=O)[O-])c1)OC ZINC000835963351 630187687 /nfs/dbraw/zinc/18/76/87/630187687.db2.gz YGHVCRSEAIEXFJ-AWEZNQCLSA-N 0 0 281.308 2.875 20 5 CFBDRN C[C@@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(=O)C1CC1 ZINC000798566891 630207389 /nfs/dbraw/zinc/20/73/89/630207389.db2.gz GBXSSJLDARCKNM-ZUYFITGHSA-N 0 0 289.287 2.519 20 5 CFBDRN C[C@@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(=O)C1CC1 ZINC000798569945 630208158 /nfs/dbraw/zinc/20/81/58/630208158.db2.gz VXGLBWLIOATXMA-SSDOTTSWSA-N 0 0 297.694 2.773 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H](C1CC1)C1CCC1)[N+](=O)[O-] ZINC000836046428 630236276 /nfs/dbraw/zinc/23/62/76/630236276.db2.gz FTAAIRDPVFXDRZ-CYBMUJFWSA-N 0 0 269.341 2.944 20 5 CFBDRN Cc1c(C(=O)OCc2csc([N+](=O)[O-])c2)ccn1C ZINC000798729367 630236522 /nfs/dbraw/zinc/23/65/22/630236522.db2.gz YALFWOIBFHHKTQ-UHFFFAOYSA-N 0 0 280.305 2.660 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000867024374 630268791 /nfs/dbraw/zinc/26/87/91/630268791.db2.gz DJXZKAKXXSTGOY-BZNPZCIMSA-N 0 0 275.264 2.997 20 5 CFBDRN CCc1[nH]nc(C(=O)O[C@@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000799510311 630318122 /nfs/dbraw/zinc/31/81/22/630318122.db2.gz JYXZGQPEMROOJJ-SECBINFHSA-N 0 0 281.312 2.616 20 5 CFBDRN Cc1cccc(OC[C@H](O)c2ccc(F)cn2)c1[N+](=O)[O-] ZINC000799616270 630324218 /nfs/dbraw/zinc/32/42/18/630324218.db2.gz FUAXWVFGRBCBHE-LBPRGKRZSA-N 0 0 292.266 2.550 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@H](O)c1ccc(F)cn1 ZINC000799617762 630325176 /nfs/dbraw/zinc/32/51/76/630325176.db2.gz RAWWJDGNEBKKRH-ZDUSSCGKSA-N 0 0 292.266 2.550 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H](O)c1ccc(F)cn1 ZINC000799617795 630325193 /nfs/dbraw/zinc/32/51/93/630325193.db2.gz WGOVLFHSOCLNSA-ZDUSSCGKSA-N 0 0 292.266 2.550 20 5 CFBDRN COc1c(C(=O)OCC2(SC)CC2)cccc1[N+](=O)[O-] ZINC000799683639 630334237 /nfs/dbraw/zinc/33/42/37/630334237.db2.gz XMQLORHCNHJSJC-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN CSC1(COC(=O)Cc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000799691408 630336607 /nfs/dbraw/zinc/33/66/07/630336607.db2.gz KYIFSXGBDIBKSD-UHFFFAOYSA-N 0 0 295.360 2.966 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)c1csnn1 ZINC000836096589 630348132 /nfs/dbraw/zinc/34/81/32/630348132.db2.gz OVQWUWBCQBGKEJ-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN O=C(COc1ccsc1)OCc1cccc([N+](=O)[O-])c1 ZINC000799922716 630354239 /nfs/dbraw/zinc/35/42/39/630354239.db2.gz OTAZEAKAHUXRBG-UHFFFAOYSA-N 0 0 293.300 2.779 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1CC(C)(C)C1 ZINC000839892698 630356375 /nfs/dbraw/zinc/35/63/75/630356375.db2.gz SOSLEDPQZLMMHI-UHFFFAOYSA-N 0 0 270.373 2.859 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)COc1ccsc1 ZINC000799976360 630357937 /nfs/dbraw/zinc/35/79/37/630357937.db2.gz KLHNCYHALRCBIQ-UHFFFAOYSA-N 0 0 293.300 2.949 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000800297110 630368370 /nfs/dbraw/zinc/36/83/70/630368370.db2.gz BQIGNNJYVLRFHO-JRKPZEMJSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)O1 ZINC000800300392 630368776 /nfs/dbraw/zinc/36/87/76/630368776.db2.gz PVSJIVULYWCPGY-IGJMFERPSA-N 0 0 297.282 2.591 20 5 CFBDRN CCCS(=O)(=O)CCSc1cccc([N+](=O)[O-])c1 ZINC000800838968 630409937 /nfs/dbraw/zinc/40/99/37/630409937.db2.gz QBELSBUAFDGLOR-UHFFFAOYSA-N 0 0 289.378 2.512 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2CC2(Cl)Cl)c1 ZINC000800846337 630410568 /nfs/dbraw/zinc/41/05/68/630410568.db2.gz LWRUNQQKOBIDOU-LURJTMIESA-N 0 0 276.123 2.783 20 5 CFBDRN Cc1nc(CNc2cc(N)ccc2[N+](=O)[O-])cs1 ZINC000800846379 630410643 /nfs/dbraw/zinc/41/06/43/630410643.db2.gz IXDPKJFFGYJNHY-UHFFFAOYSA-N 0 0 264.310 2.554 20 5 CFBDRN Cc1ncsc1CNc1cc(N)ccc1[N+](=O)[O-] ZINC000800848974 630411082 /nfs/dbraw/zinc/41/10/82/630411082.db2.gz OMEZYHWWLBWOPG-UHFFFAOYSA-N 0 0 264.310 2.554 20 5 CFBDRN Cc1ccc2[nH]c(CNc3cc(N)ccc3[N+](=O)[O-])nc2c1 ZINC000800855887 630411526 /nfs/dbraw/zinc/41/15/26/630411526.db2.gz RGQCPWKIOBRPFJ-UHFFFAOYSA-N 0 0 297.318 2.974 20 5 CFBDRN Cn1nc(CNc2cc(N)ccc2[N+](=O)[O-])c2ccccc21 ZINC000800863691 630412290 /nfs/dbraw/zinc/41/22/90/630412290.db2.gz ZTPWLWOYKNFOCJ-UHFFFAOYSA-N 0 0 297.318 2.676 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H](CO)CC2CCCC2)c1 ZINC000800871354 630413313 /nfs/dbraw/zinc/41/33/13/630413313.db2.gz ITJGDTNWEWDNPH-LBPRGKRZSA-N 0 0 293.367 2.778 20 5 CFBDRN O=C(CCNc1ccc([N+](=O)[O-])cn1)OC1CCCCC1 ZINC000800887313 630414799 /nfs/dbraw/zinc/41/47/99/630414799.db2.gz OQQDMUUBMXTGQU-UHFFFAOYSA-N 0 0 293.323 2.668 20 5 CFBDRN C[C@H](c1ccc([N+](=O)[O-])cc1)N1CCO[C@H](C(F)F)C1 ZINC000801568042 630459334 /nfs/dbraw/zinc/45/93/34/630459334.db2.gz PDQBBJZMRFWCGT-SKDRFNHKSA-N 0 0 286.278 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](OC(F)F)C2)c(F)c1 ZINC000801680768 630465022 /nfs/dbraw/zinc/46/50/22/630465022.db2.gz UUWFGICJYRGSQZ-QMMMGPOBSA-N 0 0 276.214 2.552 20 5 CFBDRN CCOC1CC(COC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000802946949 630569729 /nfs/dbraw/zinc/56/97/29/630569729.db2.gz INUNRXJOAMDZPI-UHFFFAOYSA-N 0 0 293.319 2.875 20 5 CFBDRN COCCCCOC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000803228068 630592221 /nfs/dbraw/zinc/59/22/21/630592221.db2.gz ZFKITIACPDWEBQ-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])OCCCCF ZINC000803249522 630595166 /nfs/dbraw/zinc/59/51/66/630595166.db2.gz DKKMSFDOCZZMIG-UHFFFAOYSA-N 0 0 284.287 2.690 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)c2cc([N+](=O)[O-])ccc2F)O1 ZINC000803287516 630599188 /nfs/dbraw/zinc/59/91/88/630599188.db2.gz VBTGCNVLQQAYFZ-JTQLQIEISA-N 0 0 297.282 2.848 20 5 CFBDRN Cc1ocnc1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803352836 630605290 /nfs/dbraw/zinc/60/52/90/630605290.db2.gz RBGMWFWSZYIWSG-UHFFFAOYSA-N 0 0 298.201 2.526 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1C[C@H]1C1CC1 ZINC000803386038 630610297 /nfs/dbraw/zinc/61/02/97/630610297.db2.gz IMLYGIMTCISKKK-UWVGGRQHSA-N 0 0 297.257 2.962 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000803391776 630611415 /nfs/dbraw/zinc/61/14/15/630611415.db2.gz YLRSQGBTVXFYHH-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN Cc1cnoc1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803391428 630611550 /nfs/dbraw/zinc/61/15/50/630611550.db2.gz VSBUCXMMGSFAFU-UHFFFAOYSA-N 0 0 298.201 2.526 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000803408680 630613862 /nfs/dbraw/zinc/61/38/62/630613862.db2.gz KZWMJTZEOHATOK-CYBMUJFWSA-N 0 0 282.315 2.590 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@H]2CC[C@@H](C)O2)c1F ZINC000803458828 630620008 /nfs/dbraw/zinc/62/00/08/630620008.db2.gz NSNPSEMRXRMTNW-MWLCHTKSSA-N 0 0 297.282 2.767 20 5 CFBDRN COc1cc(C(=O)OCCCCF)cc([N+](=O)[O-])c1C ZINC000803463593 630620287 /nfs/dbraw/zinc/62/02/87/630620287.db2.gz YQINWGGQJWUCRO-UHFFFAOYSA-N 0 0 285.271 2.818 20 5 CFBDRN Cc1cc(COC(=O)c2cc([N+](=O)[O-])cc(C)c2F)on1 ZINC000803462482 630620607 /nfs/dbraw/zinc/62/06/07/630620607.db2.gz SMAACERNFYMZPL-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN CC1(C)CCC[C@H](OC(=O)c2ccccc2[N+](=O)[O-])C1=O ZINC000840003556 630622564 /nfs/dbraw/zinc/62/25/64/630622564.db2.gz JLYYDJGLSXYZNX-LBPRGKRZSA-N 0 0 291.303 2.899 20 5 CFBDRN C/C=C(/C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000804178311 630663219 /nfs/dbraw/zinc/66/32/19/630663219.db2.gz IEVAABNCXGLHKC-WCIBSUBMSA-N 0 0 262.309 2.562 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H]2C[C@H]21 ZINC000804295732 630668723 /nfs/dbraw/zinc/66/87/23/630668723.db2.gz ZPASOQKJWBKOIH-GXFFZTMASA-N 0 0 294.738 2.802 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@@H]2C[C@@H]21 ZINC000804302052 630669955 /nfs/dbraw/zinc/66/99/55/630669955.db2.gz YRTTVTNXVZVEFL-PELKAZGASA-N 0 0 280.711 2.873 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804315240 630671157 /nfs/dbraw/zinc/67/11/57/630671157.db2.gz IFQWTGWWWNVJJE-AOOOYVTPSA-N 0 0 279.296 2.985 20 5 CFBDRN CCc1nc(C)cc(Oc2ccc(C=O)cc2[N+](=O)[O-])n1 ZINC000804322382 630671977 /nfs/dbraw/zinc/67/19/77/630671977.db2.gz FMAGSFQMNURONA-UHFFFAOYSA-N 0 0 287.275 2.860 20 5 CFBDRN Nc1ccc(NCCOc2cccc(F)c2)c([N+](=O)[O-])c1 ZINC000804349072 630674294 /nfs/dbraw/zinc/67/42/94/630674294.db2.gz VNEAZOLFWRQEGC-UHFFFAOYSA-N 0 0 291.282 2.807 20 5 CFBDRN Cc1ccc(CCNc2ccc(N)cc2[N+](=O)[O-])o1 ZINC000804350597 630674971 /nfs/dbraw/zinc/67/49/71/630674971.db2.gz PDYLUXPEZKUUNX-UHFFFAOYSA-N 0 0 261.281 2.733 20 5 CFBDRN Cc1nc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])cs1 ZINC000804356792 630675238 /nfs/dbraw/zinc/67/52/38/630675238.db2.gz PASODUQJWMVRNU-UHFFFAOYSA-N 0 0 294.292 2.880 20 5 CFBDRN CC(C)(C)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804371627 630676817 /nfs/dbraw/zinc/67/68/17/630676817.db2.gz HIIPTPIINMAKFR-UHFFFAOYSA-N 0 0 253.258 2.961 20 5 CFBDRN Nc1ccc(NCc2cccc([N+](=O)[O-])c2)c([N+](=O)[O-])c1 ZINC000804387116 630678256 /nfs/dbraw/zinc/67/82/56/630678256.db2.gz PDHPTGMDQVFALF-UHFFFAOYSA-N 0 0 288.263 2.697 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804451630 630682544 /nfs/dbraw/zinc/68/25/44/630682544.db2.gz QECPQDRYVLBRPZ-TVQRCGJNSA-N 0 0 297.311 2.712 20 5 CFBDRN C[C@H](CNc1cc(N)c([N+](=O)[O-])c(CO)c1)C(C)(C)C ZINC000804461328 630684130 /nfs/dbraw/zinc/68/41/30/630684130.db2.gz GSBXWKULPUESKB-SECBINFHSA-N 0 0 281.356 2.763 20 5 CFBDRN Nc1ccc(N2CCc3ccccc3CC2)c([N+](=O)[O-])c1 ZINC000804465842 630684524 /nfs/dbraw/zinc/68/45/24/630684524.db2.gz SVNHRUGKZZWVRK-UHFFFAOYSA-N 0 0 283.331 2.782 20 5 CFBDRN CC(C)CC[C@](C)(O)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000804495504 630687884 /nfs/dbraw/zinc/68/78/84/630687884.db2.gz FPGAZJNMTNDVPI-AWEZNQCLSA-N 0 0 281.356 2.776 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC[C@@H](O)C1 ZINC000804500060 630688388 /nfs/dbraw/zinc/68/83/88/630688388.db2.gz JRMHKXTWXKXADJ-DGCLKSJQSA-N 0 0 292.335 2.760 20 5 CFBDRN C[C@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000804515301 630689275 /nfs/dbraw/zinc/68/92/75/630689275.db2.gz RYHYPTMPEHABPY-IUCAKERBSA-N 0 0 297.336 2.833 20 5 CFBDRN CCC[C@H](CCO)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804525432 630689861 /nfs/dbraw/zinc/68/98/61/630689861.db2.gz BROBAORFBANVDG-SNVBAGLBSA-N 0 0 297.311 2.714 20 5 CFBDRN C[C@H](C[C@@H](O)c1ccco1)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000804549748 630692142 /nfs/dbraw/zinc/69/21/42/630692142.db2.gz KSUWIARUVKPABL-NOZJJQNGSA-N 0 0 291.307 2.694 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Sc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804573560 630757508 /nfs/dbraw/zinc/75/75/08/630757508.db2.gz GVXMHGVSAZDURL-XVKPBYJWSA-N 0 0 284.293 2.773 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@H]2CCCC(C)(C)C2)n1 ZINC000836163533 630759101 /nfs/dbraw/zinc/75/91/01/630759101.db2.gz NARVTCAVKMQWAX-JTQLQIEISA-N 0 0 295.339 2.937 20 5 CFBDRN CNc1c(C(=O)N(CC(C)(C)C)OC)cccc1[N+](=O)[O-] ZINC000804584581 630760183 /nfs/dbraw/zinc/76/01/83/630760183.db2.gz VTBCZEGWXAFLIF-UHFFFAOYSA-N 0 0 295.339 2.686 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000804584956 630760247 /nfs/dbraw/zinc/76/02/47/630760247.db2.gz SQDRMXKDZVIUEZ-UHFFFAOYSA-N 0 0 280.324 2.953 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CC[C@@H](C2CC2)C1 ZINC000804585318 630760761 /nfs/dbraw/zinc/76/07/61/630760761.db2.gz IFJWBQVSSQABCJ-SNVBAGLBSA-N 0 0 277.280 2.739 20 5 CFBDRN C[C@@H]1CC(Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000804587245 630761671 /nfs/dbraw/zinc/76/16/71/630761671.db2.gz JEQMZANJEUNGLK-RKDXNWHRSA-N 0 0 295.295 2.871 20 5 CFBDRN CSc1cc(CNc2ccc(N)cc2[N+](=O)[O-])ccn1 ZINC000804589714 630761832 /nfs/dbraw/zinc/76/18/32/630761832.db2.gz HOJCVQUZCXYBEC-UHFFFAOYSA-N 0 0 290.348 2.906 20 5 CFBDRN CNC(=O)[C@@H]1CCC[C@@H]1Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804586940 630762130 /nfs/dbraw/zinc/76/21/30/630762130.db2.gz SCXCQMPESROZEI-ZJUUUORDSA-N 0 0 297.742 2.575 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCO[C@H](C(C)C)C1 ZINC000804598536 630762451 /nfs/dbraw/zinc/76/24/51/630762451.db2.gz OBHBTRCSLSPDAQ-HNNXBMFYSA-N 0 0 292.335 2.659 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC[C@H]1CCOC1 ZINC000804612541 630764602 /nfs/dbraw/zinc/76/46/02/630764602.db2.gz DIPJKTMWHGULRI-NSHDSACASA-N 0 0 278.308 2.636 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CC2(C1)CCCC2 ZINC000804624281 630766948 /nfs/dbraw/zinc/76/69/48/630766948.db2.gz MCAYYLBIQADEEZ-UHFFFAOYSA-N 0 0 277.280 2.883 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC2(CCCCC2)CC1 ZINC000102393462 630780852 /nfs/dbraw/zinc/78/08/52/630780852.db2.gz YLYHCIKVTSCPQU-UHFFFAOYSA-N 0 0 278.356 2.795 20 5 CFBDRN CC1CN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000806252904 630783178 /nfs/dbraw/zinc/78/31/78/630783178.db2.gz MJLGTYJLEMFNDY-UHFFFAOYSA-N 0 0 269.688 2.732 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CC[C@@H](F)C1 ZINC000836367074 630803438 /nfs/dbraw/zinc/80/34/38/630803438.db2.gz UIWJWJLZFMMGTF-SSDOTTSWSA-N 0 0 287.678 2.824 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cnc(Cl)n2)c(Cl)c1 ZINC000806576576 630815750 /nfs/dbraw/zinc/81/57/50/630815750.db2.gz HRABSVWRRUSICV-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN C[C@@]1(F)CCCN(Cn2ncc3ccc([N+](=O)[O-])cc32)C1 ZINC000840101897 630894477 /nfs/dbraw/zinc/89/44/77/630894477.db2.gz XOAPRWROGXTDBY-CQSZACIVSA-N 0 0 292.314 2.726 20 5 CFBDRN CCOc1ccc(C(=O)OC/C=C/Cl)cc1[N+](=O)[O-] ZINC000807575357 630896552 /nfs/dbraw/zinc/89/65/52/630896552.db2.gz NZWJAIQVGNMVEP-ZZXKWVIFSA-N 0 0 285.683 2.903 20 5 CFBDRN CC(C)CCOC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000807594406 630897617 /nfs/dbraw/zinc/89/76/17/630897617.db2.gz QIAAMQWWFPTERX-UHFFFAOYSA-N 0 0 281.264 2.526 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1cccc(C=O)c1 ZINC000807915653 630922110 /nfs/dbraw/zinc/92/21/10/630922110.db2.gz FYIWVINWIYSNTJ-UHFFFAOYSA-N 0 0 275.264 2.724 20 5 CFBDRN CC[C@H](CCO)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807993930 630933893 /nfs/dbraw/zinc/93/38/93/630933893.db2.gz JKQUZGLCLWPZIX-LLVKDONJSA-N 0 0 280.324 2.618 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCc1ccncc1 ZINC000807996258 630934768 /nfs/dbraw/zinc/93/47/68/630934768.db2.gz XMVQHCUVOZFLJD-UHFFFAOYSA-N 0 0 285.303 2.847 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1ncccc1F ZINC000808004489 630939652 /nfs/dbraw/zinc/93/96/52/630939652.db2.gz QTBZNKBCKOHENF-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1CCCC1 ZINC000837036389 630941550 /nfs/dbraw/zinc/94/15/50/630941550.db2.gz BNSDOXLAAYAIDI-GFCCVEGCSA-N 0 0 270.373 2.907 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCCOC(F)F ZINC000808062983 630946741 /nfs/dbraw/zinc/94/67/41/630946741.db2.gz IWLULZNJBKJBSN-UHFFFAOYSA-N 0 0 288.250 2.839 20 5 CFBDRN Cc1nc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)co1 ZINC000808358745 630981540 /nfs/dbraw/zinc/98/15/40/630981540.db2.gz WCNFQOHBKCXXQT-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN COC1(COC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCC1 ZINC000837337651 631004739 /nfs/dbraw/zinc/00/47/39/631004739.db2.gz IRIKXPGCKRJJQG-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN COC1(COC(=O)c2c(C)cccc2[N+](=O)[O-])CCC1 ZINC000837361967 631013597 /nfs/dbraw/zinc/01/35/97/631013597.db2.gz DJYAQZUXRIIIEM-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN COC1(COC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])CCC1 ZINC000837567284 631069278 /nfs/dbraw/zinc/06/92/78/631069278.db2.gz MCUQVADFAYPZLK-UHFFFAOYSA-N 0 0 297.282 2.768 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000809111589 631082482 /nfs/dbraw/zinc/08/24/82/631082482.db2.gz LWMPSDIFZDWGRF-INTQDDNPSA-N 0 0 297.282 2.558 20 5 CFBDRN CC(C)c1ccc(C(=O)OCc2cc[nH]n2)cc1[N+](=O)[O-] ZINC000837657681 631099590 /nfs/dbraw/zinc/09/95/90/631099590.db2.gz VSXOTRBUKGZVGD-UHFFFAOYSA-N 0 0 289.291 2.798 20 5 CFBDRN CCC[C@@H]1CCC[C@H]1C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000837832985 631139642 /nfs/dbraw/zinc/13/96/42/631139642.db2.gz TZKFBFHAVFMBAF-VXGBXAGGSA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C(=O)c1ccccc1 ZINC000837924074 631151609 /nfs/dbraw/zinc/15/16/09/631151609.db2.gz PZITWEWYZLTHCB-NSHDSACASA-N 0 0 293.319 2.637 20 5 CFBDRN Cc1ccccc1OCCOC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837922511 631151635 /nfs/dbraw/zinc/15/16/35/631151635.db2.gz HQYHZOSSVICLKL-UHFFFAOYSA-N 0 0 295.335 2.753 20 5 CFBDRN Cc1cnn(C)c1C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000840635300 631172495 /nfs/dbraw/zinc/17/24/95/631172495.db2.gz MPPJNMLFZFHSON-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1Cl ZINC000840673301 631174182 /nfs/dbraw/zinc/17/41/82/631174182.db2.gz YBHVKQHPRXKYKC-BCMRRPTOSA-N 0 0 269.684 2.609 20 5 CFBDRN CC1(NC(=O)c2cc([N+](=O)[O-])ccc2F)CCCC1 ZINC000042187868 649996268 /nfs/dbraw/zinc/99/62/68/649996268.db2.gz QDMXUCIEQPRWNR-UHFFFAOYSA-N 0 0 266.272 2.796 20 5 CFBDRN CCNC(=S)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000840801911 631226619 /nfs/dbraw/zinc/22/66/19/631226619.db2.gz KGVXBBWODCQPDW-LBPRGKRZSA-N 0 0 293.392 2.669 20 5 CFBDRN CCCc1noc(CNc2ccc(F)c([N+](=O)[O-])c2)n1 ZINC000042627414 649999654 /nfs/dbraw/zinc/99/96/54/649999654.db2.gz KZUUFHWRSMWHBY-UHFFFAOYSA-N 0 0 280.259 2.682 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000841001689 631300620 /nfs/dbraw/zinc/30/06/20/631300620.db2.gz RWDKNQYFHFBEPP-LBPRGKRZSA-N 0 0 267.281 2.561 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c(C(C)(C)C)c1 ZINC000841007283 631303942 /nfs/dbraw/zinc/30/39/42/631303942.db2.gz OOSXROQZULDDQI-ZYHUDNBSSA-N 0 0 293.319 2.563 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000841010540 631305236 /nfs/dbraw/zinc/30/52/36/631305236.db2.gz FUFBYWJSORCTLK-ZDUSSCGKSA-N 0 0 281.308 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccsc2)c2nonc21 ZINC000042374374 649998697 /nfs/dbraw/zinc/99/86/97/649998697.db2.gz JZOIKGQTFHLMJK-UHFFFAOYSA-N 0 0 290.304 2.847 20 5 CFBDRN Cc1ccc(NC(=O)NOC/C=C\Cl)cc1[N+](=O)[O-] ZINC000810141780 631333421 /nfs/dbraw/zinc/33/34/21/631333421.db2.gz KPCQMSSMUNBWBQ-DJWKRKHSSA-N 0 0 285.687 2.709 20 5 CFBDRN CC1(C)CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1=O ZINC000840196776 631358813 /nfs/dbraw/zinc/35/88/13/631358813.db2.gz ONCOKAGVEXEZCS-GFCCVEGCSA-N 0 0 291.303 2.899 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000810531293 631383684 /nfs/dbraw/zinc/38/36/84/631383684.db2.gz GTSFJSYGWOIMJY-VIFPVBQESA-N 0 0 297.332 2.652 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000810642897 631416011 /nfs/dbraw/zinc/41/60/11/631416011.db2.gz WPCBMYHNQCVOAZ-JTQLQIEISA-N 0 0 281.333 2.952 20 5 CFBDRN COC(=O)c1cccc(OC(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC000841566519 631423661 /nfs/dbraw/zinc/42/36/61/631423661.db2.gz UOEAHKCRVMTSKY-UHFFFAOYSA-N 0 0 297.263 2.695 20 5 CFBDRN Nc1ccc(C(=O)OCCCCCCF)cc1[N+](=O)[O-] ZINC000810910805 631474049 /nfs/dbraw/zinc/47/40/49/631474049.db2.gz AXCNELICJUGFBA-UHFFFAOYSA-N 0 0 284.287 2.864 20 5 CFBDRN Cc1cccc(C(=O)OCCc2cncs2)c1[N+](=O)[O-] ZINC000811117832 631519524 /nfs/dbraw/zinc/51/95/24/631519524.db2.gz FHNUTARBVJXAGI-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CC[C@H](COC(=O)CSc1ccc([N+](=O)[O-])cc1)OC ZINC000842062657 631520244 /nfs/dbraw/zinc/52/02/44/631520244.db2.gz JSHMPUBNAKSMRE-LLVKDONJSA-N 0 0 299.348 2.655 20 5 CFBDRN CC[C@@H](COC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)OC ZINC000842069475 631523536 /nfs/dbraw/zinc/52/35/36/631523536.db2.gz RRNJGIXUKSUWMV-NSHDSACASA-N 0 0 292.291 2.658 20 5 CFBDRN COC1(COc2ccc([N+](=O)[O-])c(F)c2F)CCC1 ZINC000842076738 631526856 /nfs/dbraw/zinc/52/68/56/631526856.db2.gz RAFONAKCLOENDR-UHFFFAOYSA-N 0 0 273.235 2.821 20 5 CFBDRN CCCc1nc(COc2ccc([N+](=O)[O-])c(F)c2F)no1 ZINC000842077254 631527519 /nfs/dbraw/zinc/52/75/19/631527519.db2.gz WYDSTDMPSRGOEG-UHFFFAOYSA-N 0 0 299.233 2.788 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C1(CCF)CC1 ZINC000811338562 631551415 /nfs/dbraw/zinc/55/14/15/631551415.db2.gz YHYSSCHJSSARBO-UHFFFAOYSA-N 0 0 285.246 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCCc3n[nH]cc32)c1 ZINC000811497279 631571670 /nfs/dbraw/zinc/57/16/70/631571670.db2.gz LTHDHSIUJLRTEH-UHFFFAOYSA-N 0 0 292.726 2.924 20 5 CFBDRN CCN(CC)C(=S)Sc1ncc([N+](=O)[O-])cc1F ZINC000840233165 631580008 /nfs/dbraw/zinc/58/00/08/631580008.db2.gz CIHFLFASRYQERN-UHFFFAOYSA-N 0 0 289.357 2.848 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842296970 631581389 /nfs/dbraw/zinc/58/13/89/631581389.db2.gz ZKOKJRXJWPQLFH-DTCNJJKHSA-N 0 0 275.304 2.898 20 5 CFBDRN O=C(O[C@H]1CCSC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842299115 631582127 /nfs/dbraw/zinc/58/21/27/631582127.db2.gz IKAVKTODOYLHSZ-RWMBFGLXSA-N 0 0 293.344 2.747 20 5 CFBDRN O=C(O[C@@H]1CCc2ccc(Cl)cc21)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842301058 631583045 /nfs/dbraw/zinc/58/30/45/631583045.db2.gz UXIMTQQSEIQIGA-IJLUTSLNSA-N 0 0 281.695 2.536 20 5 CFBDRN CCc1ccc(OC(=O)C2SCCS2)c([N+](=O)[O-])c1 ZINC000842309005 631585505 /nfs/dbraw/zinc/58/55/05/631585505.db2.gz YNSJURGAXNGOQB-UHFFFAOYSA-N 0 0 299.373 2.869 20 5 CFBDRN Cc1ccc(-c2noc([C@](C)(O)C3CC3)n2)cc1[N+](=O)[O-] ZINC000842488525 631643360 /nfs/dbraw/zinc/64/33/60/631643360.db2.gz RURUBWPGBGZSBH-CQSZACIVSA-N 0 0 289.291 2.571 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@](C)(O)C(C)C)n1 ZINC000842494684 631645113 /nfs/dbraw/zinc/64/51/13/631645113.db2.gz WRRJFPTYRNKQDH-CQSZACIVSA-N 0 0 291.307 2.817 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(-c2cccc(Cl)c2F)no1 ZINC000842497020 631645873 /nfs/dbraw/zinc/64/58/73/631645873.db2.gz CSIIZALLHNSOGZ-HTRCEHHLSA-N 0 0 283.646 2.662 20 5 CFBDRN C[C@@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CCC1 ZINC000842505807 631648710 /nfs/dbraw/zinc/64/87/10/631648710.db2.gz SEWSHRYZHSRTFH-SECBINFHSA-N 0 0 267.329 2.961 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCCCCCCO)c1 ZINC000842655420 631694766 /nfs/dbraw/zinc/69/47/66/631694766.db2.gz LEKFHIUXRMRFKX-UHFFFAOYSA-N 0 0 283.324 2.925 20 5 CFBDRN CSCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842663013 631696293 /nfs/dbraw/zinc/69/62/93/631696293.db2.gz WAIBHNCDYIWDTB-UHFFFAOYSA-N 0 0 271.191 2.851 20 5 CFBDRN CC(C)c1cnn(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1 ZINC000842662570 631696687 /nfs/dbraw/zinc/69/66/87/631696687.db2.gz UKOIKDNGIYPPSY-UHFFFAOYSA-N 0 0 290.279 2.871 20 5 CFBDRN CCC(=O)COc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842664609 631696754 /nfs/dbraw/zinc/69/67/54/631696754.db2.gz XXNLJALVRSZKGG-UHFFFAOYSA-N 0 0 281.161 2.509 20 5 CFBDRN CC(C)OCCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842664030 631696887 /nfs/dbraw/zinc/69/68/87/631696887.db2.gz RJSZZCWRGBVSPF-UHFFFAOYSA-N 0 0 297.204 2.955 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2ccco2)c(F)cc1[N+](=O)[O-] ZINC000812454301 631721149 /nfs/dbraw/zinc/72/11/49/631721149.db2.gz IPKRQCLOKYDRND-GFCCVEGCSA-N 0 0 280.255 2.781 20 5 CFBDRN Cc1cc(N[C@@H](CCO)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000812459779 631721877 /nfs/dbraw/zinc/72/18/77/631721877.db2.gz FZZLUUIAOOZORO-NSHDSACASA-N 0 0 268.288 2.615 20 5 CFBDRN CCC1(C)CN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000812483573 631724425 /nfs/dbraw/zinc/72/44/25/631724425.db2.gz SNTMBWBDHFOQDV-UHFFFAOYSA-N 0 0 289.335 2.716 20 5 CFBDRN CO[C@](C)(CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C1CC1 ZINC000812479151 631724521 /nfs/dbraw/zinc/72/45/21/631724521.db2.gz OETCEWUEPIXKAI-CYBMUJFWSA-N 0 0 295.295 2.730 20 5 CFBDRN COCc1ccc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000155570604 650025563 /nfs/dbraw/zinc/02/55/63/650025563.db2.gz BUSCRUDMJIOKQO-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000812638137 631750450 /nfs/dbraw/zinc/75/04/50/631750450.db2.gz OOZNZHOEPRKLDP-DGCLKSJQSA-N 0 0 291.303 2.757 20 5 CFBDRN CO[C@](C)(C(=O)OCc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000812660093 631754165 /nfs/dbraw/zinc/75/41/65/631754165.db2.gz ZQORXKKCYPWMMH-AWEZNQCLSA-N 0 0 297.282 2.592 20 5 CFBDRN CO[C@](C)(C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000812665507 631755292 /nfs/dbraw/zinc/75/52/92/631755292.db2.gz SUADUPHBDQGHBR-AWEZNQCLSA-N 0 0 297.282 2.592 20 5 CFBDRN C/C=C(\C)COC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000842846413 631762705 /nfs/dbraw/zinc/76/27/05/631762705.db2.gz MGXMPJBTKCMZAR-NYYWCZLTSA-N 0 0 278.308 2.540 20 5 CFBDRN CC(C)(CCc1noc([C@H]2CCC(F)(F)C2)n1)[N+](=O)[O-] ZINC000812811887 631788741 /nfs/dbraw/zinc/78/87/41/631788741.db2.gz NHIXGEUXWAWKTH-QMMMGPOBSA-N 0 0 289.282 2.960 20 5 CFBDRN CC(C)(CCc1noc(C(C)(C)C2CC2)n1)[N+](=O)[O-] ZINC000812811676 631788966 /nfs/dbraw/zinc/78/89/66/631788966.db2.gz FCZAUCQMQAMEFY-UHFFFAOYSA-N 0 0 267.329 2.745 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812814034 631789682 /nfs/dbraw/zinc/78/96/82/631789682.db2.gz UFEINXBMTVMUCB-ONGXEEELSA-N 0 0 295.339 2.530 20 5 CFBDRN CCC[C@H](C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812813726 631789875 /nfs/dbraw/zinc/78/98/75/631789875.db2.gz BMQKYULIWCZNRG-VIFPVBQESA-N 0 0 255.318 2.961 20 5 CFBDRN C[C@H](COC(=O)c1ccccc1C(C)(C)C)[N+](=O)[O-] ZINC000813099757 631836874 /nfs/dbraw/zinc/83/68/74/631836874.db2.gz BZYNDKBCMXKSPQ-SNVBAGLBSA-N 0 0 265.309 2.806 20 5 CFBDRN C[C@@H](COC(=O)CC1CCC(C(F)(F)F)CC1)[N+](=O)[O-] ZINC000813102823 631837927 /nfs/dbraw/zinc/83/79/27/631837927.db2.gz VZHZIGRQKNVIRH-IDKOKCKLSA-N 0 0 297.273 2.954 20 5 CFBDRN Cc1cc(C)c(/C=C\C(=O)OC[C@@H](C)[N+](=O)[O-])cc1C ZINC000813102441 631837986 /nfs/dbraw/zinc/83/79/86/631837986.db2.gz FDVULFAFKUEMIL-CFHLNLSMSA-N 0 0 277.320 2.833 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(-c2ccccc2)n1C)[N+](=O)[O-] ZINC000813103050 631837999 /nfs/dbraw/zinc/83/79/99/631837999.db2.gz MJMBLPQQUYMPQD-NSHDSACASA-N 0 0 288.303 2.514 20 5 CFBDRN C[C@H](Cc1ccccc1Cl)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813102396 631838026 /nfs/dbraw/zinc/83/80/26/631838026.db2.gz QWQFHXRGVVKWIT-ZJUUUORDSA-N 0 0 285.727 2.727 20 5 CFBDRN C[C@@H](COC(=O)C(C)(C)c1cccc(Cl)c1)[N+](=O)[O-] ZINC000813101592 631838848 /nfs/dbraw/zinc/83/88/48/631838848.db2.gz DUEUKJGTEVKKDY-VIFPVBQESA-N 0 0 285.727 2.826 20 5 CFBDRN C[C@H](COC(=O)[C@@H](C)c1cccc(Cl)c1)[N+](=O)[O-] ZINC000813103570 631839836 /nfs/dbraw/zinc/83/98/36/631839836.db2.gz QPJBZZUKEBFQSE-BDAKNGLRSA-N 0 0 271.700 2.652 20 5 CFBDRN C[C@H](COC(=O)/C=C/c1nc2ccccc2s1)[N+](=O)[O-] ZINC000813104374 631840266 /nfs/dbraw/zinc/84/02/66/631840266.db2.gz ZICDUZZASORNQO-XCODYQFDSA-N 0 0 292.316 2.518 20 5 CFBDRN Cc1c2cccc(F)c2oc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813104045 631840273 /nfs/dbraw/zinc/84/02/73/631840273.db2.gz WQGFEVBWXPLDSB-SSDOTTSWSA-N 0 0 281.239 2.702 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(C2CCC2)cc1)[N+](=O)[O-] ZINC000813107120 631842082 /nfs/dbraw/zinc/84/20/82/631842082.db2.gz KADNFJAGPUOMGE-JTQLQIEISA-N 0 0 263.293 2.776 20 5 CFBDRN C[C@@H](COC(=O)/C=C\Sc1ccccc1)[N+](=O)[O-] ZINC000813105330 631842230 /nfs/dbraw/zinc/84/22/30/631842230.db2.gz LXQIVDNIYVBDMQ-DMEOUFDRSA-N 0 0 267.306 2.501 20 5 CFBDRN C[C@@H](COC(=O)C1(Cc2ccc(Cl)cc2)CC1)[N+](=O)[O-] ZINC000813107574 631842253 /nfs/dbraw/zinc/84/22/53/631842253.db2.gz UCJMXOPSEIGTOK-JTQLQIEISA-N 0 0 297.738 2.871 20 5 CFBDRN CSCc1cc(C(=O)OC[C@@H](C)[N+](=O)[O-])ccc1F ZINC000813109090 631843237 /nfs/dbraw/zinc/84/32/37/631843237.db2.gz OEZDTNPGHBFIDW-MRVPVSSYSA-N 0 0 287.312 2.511 20 5 CFBDRN C[C@@H](COC(=O)CCCCC(=O)c1ccccc1)[N+](=O)[O-] ZINC000813111051 631844489 /nfs/dbraw/zinc/84/44/89/631844489.db2.gz BCWOCNNQPFFJGN-LBPRGKRZSA-N 0 0 293.319 2.638 20 5 CFBDRN CC(C)c1ccsc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813112674 631844842 /nfs/dbraw/zinc/84/48/42/631844842.db2.gz SRMOSJUOUJYGKM-MRVPVSSYSA-N 0 0 257.311 2.694 20 5 CFBDRN C[C@H](COC(=O)c1csc(-c2cccs2)n1)[N+](=O)[O-] ZINC000813114228 631845672 /nfs/dbraw/zinc/84/56/72/631845672.db2.gz OBBSGGPEPVJMOY-SSDOTTSWSA-N 0 0 298.345 2.694 20 5 CFBDRN C[C@@H](COC(=O)/C=C/c1cnc(C(C)(C)C)s1)[N+](=O)[O-] ZINC000813114230 631846421 /nfs/dbraw/zinc/84/64/21/631846421.db2.gz OCSZCIIACOYNBN-CYNONHLPSA-N 0 0 298.364 2.662 20 5 CFBDRN CC(C)(C(=O)OCCCCC1CC1)n1cc([N+](=O)[O-])cn1 ZINC000813179394 631872872 /nfs/dbraw/zinc/87/28/72/631872872.db2.gz IELGRYFDEFYMSD-UHFFFAOYSA-N 0 0 295.339 2.650 20 5 CFBDRN CO[C@](C)(COC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000813188322 631878003 /nfs/dbraw/zinc/87/80/03/631878003.db2.gz MQEIKODVGHMXMI-CQSZACIVSA-N 0 0 279.292 2.567 20 5 CFBDRN Cc1ccc(-c2noc([C@H](C)NC3CC3)n2)cc1[N+](=O)[O-] ZINC000843178855 631882512 /nfs/dbraw/zinc/88/25/12/631882512.db2.gz NNUSGULQWJAMNW-VIFPVBQESA-N 0 0 288.307 2.766 20 5 CFBDRN CC1CC(OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000813231598 631887741 /nfs/dbraw/zinc/88/77/41/631887741.db2.gz OOLKFSRNOCRRLZ-QPJJXVBHSA-N 0 0 261.277 2.950 20 5 CFBDRN Cc1nccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)n1 ZINC000813246215 631891167 /nfs/dbraw/zinc/89/11/67/631891167.db2.gz COVTUAGKNDULPK-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCc1cc(CC)n(C[C@@H](O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000843296066 631920729 /nfs/dbraw/zinc/92/07/29/631920729.db2.gz YAMOGWOFJULJTN-OAHLLOKOSA-N 0 0 289.335 2.650 20 5 CFBDRN Cc1ccc(Oc2c3ccccc3ncc2[N+](=O)[O-])c[n+]1[O-] ZINC000813601220 631940381 /nfs/dbraw/zinc/94/03/81/631940381.db2.gz MUDORQJTEHHVLD-UHFFFAOYSA-N 0 0 297.270 2.877 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](c3ccccn3)C2)c(F)c1 ZINC000840296673 631958253 /nfs/dbraw/zinc/95/82/53/631958253.db2.gz BDOYKJLBLXXPPK-JTQLQIEISA-N 0 0 288.282 2.518 20 5 CFBDRN COCC1(CC(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])CC1 ZINC000843519334 631973412 /nfs/dbraw/zinc/97/34/12/631973412.db2.gz BVBNIPIENWIRFD-UHFFFAOYSA-N 0 0 293.319 2.934 20 5 CFBDRN COCC(C)(C)COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000813992103 631986472 /nfs/dbraw/zinc/98/64/72/631986472.db2.gz QPFZLMPOUSMIMV-UHFFFAOYSA-N 0 0 281.308 2.733 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC[C@H](C)c1ccccc1 ZINC000814035476 631996931 /nfs/dbraw/zinc/99/69/31/631996931.db2.gz NRRMTIAWVMXBRO-RYUDHWBXSA-N 0 0 265.309 2.779 20 5 CFBDRN CSc1ccc(C)c(C(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000814037535 631997974 /nfs/dbraw/zinc/99/79/74/631997974.db2.gz BKDUMKPRJYQQHU-SECBINFHSA-N 0 0 269.322 2.539 20 5 CFBDRN Cc1c2cccc(F)c2oc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814037395 631998040 /nfs/dbraw/zinc/99/80/40/631998040.db2.gz XENZWLGNVLHTOB-SSDOTTSWSA-N 0 0 281.239 2.702 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1c[nH]c2ccccc21 ZINC000814037216 631998106 /nfs/dbraw/zinc/99/81/06/631998106.db2.gz UKQZWEBASAZYGK-WDEREUQCSA-N 0 0 290.319 2.870 20 5 CFBDRN COc1ccc2oc(C(=O)O[C@H](C)C[N+](=O)[O-])c(C)c2c1 ZINC000814038434 631998596 /nfs/dbraw/zinc/99/85/96/631998596.db2.gz PXMLQOVRXZGLKI-MRVPVSSYSA-N 0 0 293.275 2.572 20 5 CFBDRN Cc1[nH]c2ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc2c1C ZINC000814038087 631998769 /nfs/dbraw/zinc/99/87/69/631998769.db2.gz LWPKTQZGPWGBLA-MRVPVSSYSA-N 0 0 276.292 2.607 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCCc1ccc(Cl)cc1 ZINC000814038559 631998827 /nfs/dbraw/zinc/99/88/27/631998827.db2.gz RJKMLSFVYXNOAK-JTQLQIEISA-N 0 0 285.727 2.871 20 5 CFBDRN CC[C@H](CC(=O)O[C@@H](C)C[N+](=O)[O-])c1ccc(OC)cc1 ZINC000814039021 631998847 /nfs/dbraw/zinc/99/88/47/631998847.db2.gz KZTBOPAGXQVUPZ-NWDGAFQWSA-N 0 0 295.335 2.787 20 5 CFBDRN CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814039098 631998925 /nfs/dbraw/zinc/99/89/25/631998925.db2.gz XLLZISQMDCYMHC-LOWDOPEQSA-N 0 0 287.356 2.569 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc2cc(Cl)ccc2o1 ZINC000814038208 631998952 /nfs/dbraw/zinc/99/89/52/631998952.db2.gz OKGKAOHQMCBJSR-ZETCQYMHSA-N 0 0 283.667 2.908 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cccc2ccccc21 ZINC000814041525 631999414 /nfs/dbraw/zinc/99/94/14/631999414.db2.gz BCIWFQYKDZFYMV-JTQLQIEISA-N 0 0 259.261 2.662 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@@H](C)c1ccc(F)cc1F ZINC000814042277 631999703 /nfs/dbraw/zinc/99/97/03/631999703.db2.gz VJFZDBVQMPLYRO-RKDXNWHRSA-N 0 0 287.262 2.667 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCCSC(C)(C)C ZINC000814044098 632000554 /nfs/dbraw/zinc/00/05/54/632000554.db2.gz BAGGQNGJWMHNCZ-SECBINFHSA-N 0 0 263.359 2.507 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@@H]1CCCC(C)(C)C1 ZINC000814045321 632001451 /nfs/dbraw/zinc/00/14/51/632001451.db2.gz PBGUALKZVHLFSM-QWRGUYRKSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(Cl)c2ncccc12 ZINC000814045550 632001471 /nfs/dbraw/zinc/00/14/71/632001471.db2.gz UYWZQYHKUHCDES-QMMMGPOBSA-N 0 0 294.694 2.710 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](F)CC1CCCCC1 ZINC000814049243 632001922 /nfs/dbraw/zinc/00/19/22/632001922.db2.gz QQJXQXXCAXPXJY-MWLCHTKSSA-N 0 0 261.293 2.503 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@@H](c3ccccc3)C2)c1 ZINC000814224639 632041111 /nfs/dbraw/zinc/04/11/11/632041111.db2.gz KYFRSGMKCRFDFV-CQSZACIVSA-N 0 0 286.335 2.628 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@H](C)C1CC1 ZINC000843773653 632054117 /nfs/dbraw/zinc/05/41/17/632054117.db2.gz HORRLVGSFDIRDW-QMMMGPOBSA-N 0 0 280.299 2.818 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@@H](O)c3ccco3)ccnc21 ZINC000814359470 632055001 /nfs/dbraw/zinc/05/50/01/632055001.db2.gz FMYXZLRAAGUFJM-CYBMUJFWSA-N 0 0 299.286 2.882 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CC1(C)C ZINC000843795154 632058137 /nfs/dbraw/zinc/05/81/37/632058137.db2.gz CYWBUKPUCQOHPA-VIFPVBQESA-N 0 0 280.299 2.818 20 5 CFBDRN CCN(CC(F)F)C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843853558 632067396 /nfs/dbraw/zinc/06/73/96/632067396.db2.gz BTIUCVALCAQWRQ-UHFFFAOYSA-N 0 0 290.241 2.770 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000843871426 632069674 /nfs/dbraw/zinc/06/96/74/632069674.db2.gz LLXMDGUFGDSAJC-WOFXILAISA-N 0 0 278.283 2.571 20 5 CFBDRN CC[C@H](NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C(F)F ZINC000843897610 632073531 /nfs/dbraw/zinc/07/35/31/632073531.db2.gz MQWKZRWCQYKYAJ-QMMMGPOBSA-N 0 0 290.241 2.816 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1C[C@@H](C)[C@H]1C ZINC000843893666 632074041 /nfs/dbraw/zinc/07/40/41/632074041.db2.gz AJTZHABSDQKKAO-VXNVDRBHSA-N 0 0 266.272 2.523 20 5 CFBDRN CCC[C@H](CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])OC ZINC000843899167 632074781 /nfs/dbraw/zinc/07/47/81/632074781.db2.gz YNNLEYSCZZTNCU-SNVBAGLBSA-N 0 0 298.314 2.587 20 5 CFBDRN C/C=C/COC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000814482962 632075149 /nfs/dbraw/zinc/07/51/49/632075149.db2.gz GZJZDDNEPYNCMN-SNAWJCMRSA-N 0 0 282.271 2.533 20 5 CFBDRN Cc1nc(C(=O)OCc2cccc([N+](=O)[O-])c2)c(C)o1 ZINC000814502357 632081224 /nfs/dbraw/zinc/08/12/24/632081224.db2.gz WWAPXWYJBJAZHV-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CCON(C(=O)c1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000844077067 632108670 /nfs/dbraw/zinc/10/86/70/632108670.db2.gz DOAGJAXLEPZSPB-UHFFFAOYSA-N 0 0 270.260 2.536 20 5 CFBDRN CCSCn1c(C)nc([N+](=O)[O-])c1Br ZINC000814744314 632116904 /nfs/dbraw/zinc/11/69/04/632116904.db2.gz IEUNLIVWJBTWFZ-UHFFFAOYSA-N 0 0 280.147 2.573 20 5 CFBDRN Cn1nccc1COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814773172 632120839 /nfs/dbraw/zinc/12/08/39/632120839.db2.gz DNKQFIREZQQHRC-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN Cn1ccc(COc2cccc(Cl)c2[N+](=O)[O-])n1 ZINC000814775652 632121960 /nfs/dbraw/zinc/12/19/60/632121960.db2.gz QOJCUUIFRAFCPY-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN COc1ccc(COc2cccc(Cl)c2[N+](=O)[O-])nn1 ZINC000814774421 632122019 /nfs/dbraw/zinc/12/20/19/632122019.db2.gz ZELRFWQBQXTZTN-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCCc1ccccc1 ZINC000844256160 632147306 /nfs/dbraw/zinc/14/73/06/632147306.db2.gz KGARTEIFBUSKIV-UHFFFAOYSA-N 0 0 285.299 2.923 20 5 CFBDRN C[C@@H](F)CCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000814914751 632162384 /nfs/dbraw/zinc/16/23/84/632162384.db2.gz OSJMNVMHWUUTTN-SSDOTTSWSA-N 0 0 257.221 2.663 20 5 CFBDRN COC/C(C)=C/C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000844338303 632172995 /nfs/dbraw/zinc/17/29/95/632172995.db2.gz ZYGXUEFWLUMZJW-RJCSOLBVSA-N 0 0 279.292 2.792 20 5 CFBDRN CC[C@H]1CN(C)CCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000814938713 632174226 /nfs/dbraw/zinc/17/42/26/632174226.db2.gz SFTQCFSMJLXMPU-JTQLQIEISA-N 0 0 283.759 2.779 20 5 CFBDRN Cc1cc(N2CCC[C@H](O)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000814960891 632180484 /nfs/dbraw/zinc/18/04/84/632180484.db2.gz XIPILWWXMUAROU-JTQLQIEISA-N 0 0 284.743 2.908 20 5 CFBDRN CC1(C)C(NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1(C)C ZINC000844416285 632201645 /nfs/dbraw/zinc/20/16/45/632201645.db2.gz GWNUOMHKZQQAJT-UHFFFAOYSA-N 0 0 294.326 2.827 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C(/C)COC ZINC000844538962 632241633 /nfs/dbraw/zinc/24/16/33/632241633.db2.gz GDUSTEDTJWIKPI-YFHOEESVSA-N 0 0 278.308 2.688 20 5 CFBDRN Cc1cc(NC(=O)N2C[C@H]3[C@@H](C2)C3(F)F)ccc1[N+](=O)[O-] ZINC000844604502 632261401 /nfs/dbraw/zinc/26/14/01/632261401.db2.gz NHTCTERGPRBPMV-AOOOYVTPSA-N 0 0 297.261 2.632 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000815381857 632262750 /nfs/dbraw/zinc/26/27/50/632262750.db2.gz VJKJPKKWTMTLEO-KBPBESRZSA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1cc(F)c[nH]1 ZINC000815389609 632265563 /nfs/dbraw/zinc/26/55/63/632265563.db2.gz IKPLLJWIZGNNGE-UHFFFAOYSA-N 0 0 282.202 2.558 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1cc(F)c[nH]1 ZINC000815390775 632265616 /nfs/dbraw/zinc/26/56/16/632265616.db2.gz WQVJWCXNYHDZSB-UHFFFAOYSA-N 0 0 282.202 2.558 20 5 CFBDRN CCc1cc(CN[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)on1 ZINC000815583189 632292119 /nfs/dbraw/zinc/29/21/19/632292119.db2.gz YYFWDBIOTKSXFQ-SECBINFHSA-N 0 0 290.323 2.578 20 5 CFBDRN O=C([O-])C[N@@H+](Cc1ccc([N+](=O)[O-])c(Cl)c1)CC1CC1 ZINC000844936082 632341906 /nfs/dbraw/zinc/34/19/06/632341906.db2.gz MPEFWGFUDGWGGU-UHFFFAOYSA-N 0 0 298.726 2.545 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc(Cl)c(F)cc1Cl ZINC000816097044 632371513 /nfs/dbraw/zinc/37/15/13/632371513.db2.gz SJURFQXBNKWRND-RXMQYKEDSA-N 0 0 296.081 2.955 20 5 CFBDRN CNc1ccc(C(=O)OCc2occc2C)cc1[N+](=O)[O-] ZINC000816104627 632374107 /nfs/dbraw/zinc/37/41/07/632374107.db2.gz YLNTZACXBQGZIL-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN Cc1ccc(C(=O)OCCCc2cnoc2)cc1[N+](=O)[O-] ZINC000816229406 632401294 /nfs/dbraw/zinc/40/12/94/632401294.db2.gz YLGOOLABRFYUMA-UHFFFAOYSA-N 0 0 290.275 2.681 20 5 CFBDRN Cc1cccc(C(=O)OCCCc2cnoc2)c1[N+](=O)[O-] ZINC000816228954 632401510 /nfs/dbraw/zinc/40/15/10/632401510.db2.gz DDNBPSIXLINDIC-UHFFFAOYSA-N 0 0 290.275 2.681 20 5 CFBDRN CO[C@H](COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000816359401 632429756 /nfs/dbraw/zinc/42/97/56/632429756.db2.gz WOADJKDQVBMRHC-BXUZGUMPSA-N 0 0 295.335 2.913 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000816375199 632433098 /nfs/dbraw/zinc/43/30/98/632433098.db2.gz WQSGIKOJULPTKC-LBPRGKRZSA-N 0 0 299.710 2.830 20 5 CFBDRN COC/C(C)=C\C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000845456556 632438345 /nfs/dbraw/zinc/43/83/45/632438345.db2.gz YZLKCODLTDRBTR-YVMONPNESA-N 0 0 285.683 2.746 20 5 CFBDRN CCc1ccc(OC(=O)/C=C(/C)COC)c([N+](=O)[O-])c1 ZINC000845472192 632441929 /nfs/dbraw/zinc/44/19/29/632441929.db2.gz MKHNQQWQXVAQIT-YFHOEESVSA-N 0 0 279.292 2.655 20 5 CFBDRN C[C@H](C(=O)OCC[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000816448064 632465825 /nfs/dbraw/zinc/46/58/25/632465825.db2.gz SPPVJOLBGQJJTH-RYUDHWBXSA-N 0 0 293.319 2.668 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)[C@@H](C)CO1 ZINC000845660341 632509036 /nfs/dbraw/zinc/50/90/36/632509036.db2.gz CMNRIRQISGOIOO-GXFFZTMASA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1ccc(O)cc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000816888991 632531682 /nfs/dbraw/zinc/53/16/82/632531682.db2.gz HVKZICITCJUFNF-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Cc1cc(N2C[C@H]3[C@@H](C2)C3(F)F)c(F)cc1[N+](=O)[O-] ZINC000845754970 632552695 /nfs/dbraw/zinc/55/26/95/632552695.db2.gz DNFQOLZMHUNYTF-OCAPTIKFSA-N 0 0 272.226 2.744 20 5 CFBDRN CC(C)(C)SCCNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000817116868 632559365 /nfs/dbraw/zinc/55/93/65/632559365.db2.gz DVPGSZLDEAEZAU-UHFFFAOYSA-N 0 0 297.380 2.637 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@H](CC)O1 ZINC000817126387 632561925 /nfs/dbraw/zinc/56/19/25/632561925.db2.gz ZUYZSMKGRLDYIY-OKILXGFUSA-N 0 0 264.325 2.989 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2cc([N+](=O)[O-])ccc2N)CC1(C)C ZINC000817217811 632573707 /nfs/dbraw/zinc/57/37/07/632573707.db2.gz HZTFILJBJODCRE-ZDUSSCGKSA-N 0 0 291.351 2.684 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000845829102 632576995 /nfs/dbraw/zinc/57/69/95/632576995.db2.gz VQQZDPWJENVLBC-SECBINFHSA-N 0 0 287.337 2.800 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000817234419 632578453 /nfs/dbraw/zinc/57/84/53/632578453.db2.gz JEUQPTWGKSXMFF-SKDRFNHKSA-N 0 0 261.277 2.999 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCCCCCO ZINC000817237992 632579886 /nfs/dbraw/zinc/57/98/86/632579886.db2.gz DGDNWLNAYGTSTM-UHFFFAOYSA-N 0 0 281.308 2.531 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCCC1(O)CCC1 ZINC000817238806 632580546 /nfs/dbraw/zinc/58/05/46/632580546.db2.gz MNIABLNHHVMQQM-UHFFFAOYSA-N 0 0 293.319 2.674 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCCC[C@H](C)O ZINC000817238513 632580662 /nfs/dbraw/zinc/58/06/62/632580662.db2.gz JNYQGBLUUXOIQB-NSHDSACASA-N 0 0 281.308 2.529 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(=O)CC(C)C ZINC000817239226 632580787 /nfs/dbraw/zinc/58/07/87/632580787.db2.gz JGFLJKIUJTVVMW-UHFFFAOYSA-N 0 0 293.319 2.984 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817239051 632580846 /nfs/dbraw/zinc/58/08/46/632580846.db2.gz OFJAPQZUCVTAAM-SNVBAGLBSA-N 0 0 279.292 2.736 20 5 CFBDRN C[C@H]([C@H](OC(=O)[C@H]1C[C@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845873196 632588786 /nfs/dbraw/zinc/58/87/86/632588786.db2.gz RZXPPNLQMPPCTH-PLKZCJTGSA-N 0 0 281.283 2.731 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCC(C)(C)C1CC1 ZINC000845884176 632590270 /nfs/dbraw/zinc/59/02/70/632590270.db2.gz CUOFTMSQPJALHH-UHFFFAOYSA-N 0 0 280.324 2.916 20 5 CFBDRN C[C@@H]([C@@H](OC(=O)[C@@H]1C[C@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845883327 632590311 /nfs/dbraw/zinc/59/03/11/632590311.db2.gz RZXPPNLQMPPCTH-INTNWOOBSA-N 0 0 281.283 2.731 20 5 CFBDRN C[C@@H]([C@H](OC(=O)[C@@H]1C[C@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845883329 632590338 /nfs/dbraw/zinc/59/03/38/632590338.db2.gz RZXPPNLQMPPCTH-PFWNZEGQSA-N 0 0 281.283 2.731 20 5 CFBDRN C[C@H]([C@H](OC(=O)C1(F)CC1)c1ccccc1F)[N+](=O)[O-] ZINC000845881645 632590375 /nfs/dbraw/zinc/59/03/75/632590375.db2.gz MYQABEQPHXUHSG-KCJUWKMLSA-N 0 0 285.246 2.577 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NOCCC1CC1 ZINC000817408015 632621957 /nfs/dbraw/zinc/62/19/57/632621957.db2.gz IMXFXTOHKXKUNZ-UHFFFAOYSA-N 0 0 298.726 2.639 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000817421651 632628642 /nfs/dbraw/zinc/62/86/42/632628642.db2.gz IGAYWTCFBCKVEE-GMTAPVOTSA-N 0 0 261.277 2.936 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CC12CC2 ZINC000817423195 632629031 /nfs/dbraw/zinc/62/90/31/632629031.db2.gz BOEOWLOULTXMTP-MRVPVSSYSA-N 0 0 266.684 2.987 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCC=CO1 ZINC000817444976 632636772 /nfs/dbraw/zinc/63/67/72/632636772.db2.gz CRPPKGDCYJOJNR-LBPRGKRZSA-N 0 0 290.319 2.634 20 5 CFBDRN COc1ccc(OC(=O)[C@@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000817448162 632637994 /nfs/dbraw/zinc/63/79/94/632637994.db2.gz NXMMASDMJSOSLP-LLVKDONJSA-N 0 0 287.218 2.554 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000817454965 632640298 /nfs/dbraw/zinc/64/02/98/632640298.db2.gz UEUOLUGGNILGCV-NSHDSACASA-N 0 0 261.277 2.747 20 5 CFBDRN CO[C@H]1C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])C12CCC2 ZINC000840342749 632655031 /nfs/dbraw/zinc/65/50/31/632655031.db2.gz PXLHZAYFSPSJHA-STQMWFEESA-N 0 0 277.324 2.547 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@H]1CCO[C@H]1C ZINC000817726875 632695060 /nfs/dbraw/zinc/69/50/60/632695060.db2.gz JDDXQZNMKBQISZ-GWCFXTLKSA-N 0 0 279.292 2.546 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCO[C@@H]1C ZINC000817736526 632698715 /nfs/dbraw/zinc/69/87/15/632698715.db2.gz WHTBQPMEADTKOB-NEPJUHHUSA-N 0 0 293.319 2.793 20 5 CFBDRN CSc1cccnc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000846488593 632719670 /nfs/dbraw/zinc/71/96/70/632719670.db2.gz FCVAVILPSRZHEL-UHFFFAOYSA-N 0 0 283.353 2.578 20 5 CFBDRN CO[C@H]1CCC[C@@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000818417588 632841130 /nfs/dbraw/zinc/84/11/30/632841130.db2.gz QAKDQLZPXJTDSB-UONOGXRCSA-N 0 0 293.319 2.638 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Oc1cnn(C(C)C)c1 ZINC000847129601 632932006 /nfs/dbraw/zinc/93/20/06/632932006.db2.gz FXWYGNHMHUUAMG-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000840374380 632983456 /nfs/dbraw/zinc/98/34/56/632983456.db2.gz AINUXQWZLJZAEQ-GWCFXTLKSA-N 0 0 297.311 2.710 20 5 CFBDRN Cc1cc(OCc2ccccc2[N+](=O)[O-])nnc1C ZINC000107068033 633046686 /nfs/dbraw/zinc/04/66/86/633046686.db2.gz WANRJGPILYGMLZ-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN C[C@@H](COC(=O)CCC1CCCCCC1)[N+](=O)[O-] ZINC000847713898 633051975 /nfs/dbraw/zinc/05/19/75/633051975.db2.gz FMBQFWRKRHSUPD-NSHDSACASA-N 0 0 257.330 2.945 20 5 CFBDRN C[C@H](COC(=O)C[C@@H]1OCc2cc(Cl)ccc21)[N+](=O)[O-] ZINC000847713968 633051918 /nfs/dbraw/zinc/05/19/18/633051918.db2.gz HAUZJDNASXQWBR-PELKAZGASA-N 0 0 299.710 2.510 20 5 CFBDRN CC[C@H](CCC(C)C)OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000847743817 633066084 /nfs/dbraw/zinc/06/60/84/633066084.db2.gz XQKCOOODBLLYAT-CYBMUJFWSA-N 0 0 297.355 2.939 20 5 CFBDRN Cn1cc(COC(=O)[C@H]2CCCCC2(C)C)c([N+](=O)[O-])n1 ZINC000847785611 633075890 /nfs/dbraw/zinc/07/58/90/633075890.db2.gz UDHSNAGDDKMFFQ-LLVKDONJSA-N 0 0 295.339 2.588 20 5 CFBDRN CC[C@H]1CCCC[C@H]1C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847785083 633076009 /nfs/dbraw/zinc/07/60/09/633076009.db2.gz FDFVNJKSPAZXDD-CMPLNLGQSA-N 0 0 295.339 2.588 20 5 CFBDRN CCC[C@@H](CC(C)C)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847786101 633076412 /nfs/dbraw/zinc/07/64/12/633076412.db2.gz MWIDLMYGLQBWTC-NSHDSACASA-N 0 0 297.355 2.834 20 5 CFBDRN C[C@@H](CC1CCCC1)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847783059 633076506 /nfs/dbraw/zinc/07/65/06/633076506.db2.gz REEPPCVXZHSAFP-JTQLQIEISA-N 0 0 295.339 2.588 20 5 CFBDRN CC(C)CCCCC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847786554 633077879 /nfs/dbraw/zinc/07/78/79/633077879.db2.gz WAIKVEPCAVZHKI-UHFFFAOYSA-N 0 0 283.328 2.588 20 5 CFBDRN COC[C@H](C)CCOC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000847873163 633121089 /nfs/dbraw/zinc/12/10/89/633121089.db2.gz SLBZCEMENLPOKE-SNVBAGLBSA-N 0 0 281.308 2.733 20 5 CFBDRN COC(=O)[C@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000840387027 633202733 /nfs/dbraw/zinc/20/27/33/633202733.db2.gz LOKVWMSYCZKKLC-OAHLLOKOSA-N 0 0 292.335 2.683 20 5 CFBDRN CCCOCCOC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000107415324 633213276 /nfs/dbraw/zinc/21/32/76/633213276.db2.gz MXPDNDFXZDQYMF-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN C[C@H]1C[C@H]1C(N)=NOCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000848521527 633274660 /nfs/dbraw/zinc/27/46/60/633274660.db2.gz UXSCNMQRNDOJHT-IONNQARKSA-N 0 0 283.715 2.903 20 5 CFBDRN C[C@H](ON=C(N)[C@@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000848521810 633274703 /nfs/dbraw/zinc/27/47/03/633274703.db2.gz UTISFZNVHASRIC-HOTUBEGUSA-N 0 0 263.297 2.810 20 5 CFBDRN C[C@@H](NCc1cnc(Cl)n1C)c1ccccc1[N+](=O)[O-] ZINC000848546972 633285003 /nfs/dbraw/zinc/28/50/03/633285003.db2.gz HCZSYEZHTUPDFB-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN CC1(NC(=S)Nc2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000848646415 633307953 /nfs/dbraw/zinc/30/79/53/633307953.db2.gz ICBQQMAZCHSFTD-UHFFFAOYSA-N 0 0 277.349 2.990 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)C(=O)OC(C)(C)C)n1 ZINC000848767544 633340119 /nfs/dbraw/zinc/34/01/19/633340119.db2.gz MZFUWQTUQWPCBA-SECBINFHSA-N 0 0 295.339 2.688 20 5 CFBDRN CC/C=C/CCOC(=O)c1ccnc(NC)c1[N+](=O)[O-] ZINC000848791176 633343738 /nfs/dbraw/zinc/34/37/38/633343738.db2.gz MAUPSERGEOCMTE-SNAWJCMRSA-N 0 0 279.296 2.545 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@@]1(F)c1ccccc1)[N+](=O)[O-] ZINC000819629679 633403403 /nfs/dbraw/zinc/40/34/03/633403403.db2.gz VIHPCBLOIJNVPY-IUODEOHRSA-N 0 0 294.326 2.575 20 5 CFBDRN CSC(C)(C)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000857602668 635992700 /nfs/dbraw/zinc/99/27/00/635992700.db2.gz MHUYNFZJLOZVKL-UHFFFAOYSA-N 0 0 294.376 2.934 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCC[C@@H](C)O ZINC000849316677 633614960 /nfs/dbraw/zinc/61/49/60/633614960.db2.gz KNXPQQCWZYFIES-SNVBAGLBSA-N 0 0 267.329 2.598 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000849752273 633702332 /nfs/dbraw/zinc/70/23/32/633702332.db2.gz DDZLZUXSVHSXSP-ZJUUUORDSA-N 0 0 297.282 2.592 20 5 CFBDRN CCC(C)(C)C(=O)COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849956841 633774883 /nfs/dbraw/zinc/77/48/83/633774883.db2.gz TWYKJIWWTUAZMV-UHFFFAOYSA-N 0 0 296.279 2.887 20 5 CFBDRN CC1(C)CC[C@@H](Oc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1=O ZINC000849956136 633775130 /nfs/dbraw/zinc/77/51/30/633775130.db2.gz HFKMLZPIXXKGHT-LLVKDONJSA-N 0 0 294.263 2.640 20 5 CFBDRN CSC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000849985254 633783471 /nfs/dbraw/zinc/78/34/71/633783471.db2.gz DMJYTPGXMYBLHR-UHFFFAOYSA-N 0 0 269.322 2.780 20 5 CFBDRN CSC(C)(C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000849987491 633784255 /nfs/dbraw/zinc/78/42/55/633784255.db2.gz KSAATAHRTVHRJG-UHFFFAOYSA-N 0 0 283.349 2.822 20 5 CFBDRN CO[C@@H](C(=O)OCc1csc([N+](=O)[O-])c1)C1CCCC1 ZINC000850041336 633799426 /nfs/dbraw/zinc/79/94/26/633799426.db2.gz LGYGGNYJFUODDW-GFCCVEGCSA-N 0 0 299.348 2.905 20 5 CFBDRN C[C@H](CC(=O)OCc1ccccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000850078330 633826409 /nfs/dbraw/zinc/82/64/09/633826409.db2.gz WJMSDYARAPQYGO-RISCZKNCSA-N 0 0 293.319 2.843 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C1CCC1 ZINC000850177320 633873202 /nfs/dbraw/zinc/87/32/02/633873202.db2.gz IBKSLQSWZMQQQN-CYBMUJFWSA-N 0 0 297.282 2.592 20 5 CFBDRN CCn1cnc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC000850184509 633878284 /nfs/dbraw/zinc/87/82/84/633878284.db2.gz ZTUCNALVVIPLNK-JTQLQIEISA-N 0 0 289.291 2.729 20 5 CFBDRN O=C(CCCF)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000850196374 633885728 /nfs/dbraw/zinc/88/57/28/633885728.db2.gz VOSDNNRUOVMGSW-UHFFFAOYSA-N 0 0 259.208 2.527 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])C1CC2(CC2)C1 ZINC000850213956 633898068 /nfs/dbraw/zinc/89/80/68/633898068.db2.gz FSUVUVYDFBYIFQ-UHFFFAOYSA-N 0 0 291.303 2.707 20 5 CFBDRN CCCn1nccc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000850237632 633907083 /nfs/dbraw/zinc/90/70/83/633907083.db2.gz DPUSEUBDORPDEQ-UHFFFAOYSA-N 0 0 289.291 2.558 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850262410 633915804 /nfs/dbraw/zinc/91/58/04/633915804.db2.gz WJQLJBUZYWGMQP-GDNZZTSVSA-N 0 0 261.277 2.684 20 5 CFBDRN CCOC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000850287825 633926535 /nfs/dbraw/zinc/92/65/35/633926535.db2.gz FMJUBARXHIQUHX-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN C[C@H]1CO[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000885576808 634050871 /nfs/dbraw/zinc/05/08/71/634050871.db2.gz RUGKTQBTJZLCLC-PRHODGIISA-N 0 0 299.710 2.716 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000850743334 634071607 /nfs/dbraw/zinc/07/16/07/634071607.db2.gz VHDKTRIREUDMLV-VXGBXAGGSA-N 0 0 279.292 2.704 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1CC2(C1)CCCCC2 ZINC000851044079 634193342 /nfs/dbraw/zinc/19/33/42/634193342.db2.gz AWZWGOWTNLHMOC-SNVBAGLBSA-N 0 0 255.314 2.555 20 5 CFBDRN Cc1ccccc1SCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851122343 634233835 /nfs/dbraw/zinc/23/38/35/634233835.db2.gz ALIZOWXPVCWAFA-UHFFFAOYSA-N 0 0 283.349 2.686 20 5 CFBDRN CC(C)(COC(=O)CCc1ccccc1Cl)[N+](=O)[O-] ZINC000851122660 634234385 /nfs/dbraw/zinc/23/43/85/634234385.db2.gz HLQRLBIVBWUKCO-UHFFFAOYSA-N 0 0 285.727 2.871 20 5 CFBDRN CSc1ccc(C)c(C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851124065 634234432 /nfs/dbraw/zinc/23/44/32/634234432.db2.gz MPVMCGDEJKGRGF-UHFFFAOYSA-N 0 0 283.349 2.929 20 5 CFBDRN Cc1ccc(SCC(=O)OCC(C)(C)[N+](=O)[O-])c(C)c1 ZINC000851123991 634234772 /nfs/dbraw/zinc/23/47/72/634234772.db2.gz LDIFQRAGENAKLZ-UHFFFAOYSA-N 0 0 297.376 2.994 20 5 CFBDRN CC(C)(COC(=O)/C=C\c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000851122602 634234827 /nfs/dbraw/zinc/23/48/27/634234827.db2.gz GSZMPYLVDRRJFQ-YVMONPNESA-N 0 0 283.711 2.952 20 5 CFBDRN CC(C)Oc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851122958 634234938 /nfs/dbraw/zinc/23/49/38/634234938.db2.gz LPPYFBCYHNMPDI-UHFFFAOYSA-N 0 0 281.308 2.686 20 5 CFBDRN C[C@H]1CCC[C@@H](CC(=O)OCC(C)(C)[N+](=O)[O-])C1 ZINC000851125852 634235749 /nfs/dbraw/zinc/23/57/49/634235749.db2.gz KUNIRBLHTPQTFF-WDEREUQCSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1cccc(OCCC(=O)OCC(C)(C)[N+](=O)[O-])c1C ZINC000851125087 634235782 /nfs/dbraw/zinc/23/57/82/634235782.db2.gz XCIYLBUINYWAPC-UHFFFAOYSA-N 0 0 295.335 2.671 20 5 CFBDRN CC(C)(COC(=O)C[C@H]1CCc2ccccc21)[N+](=O)[O-] ZINC000851125682 634235947 /nfs/dbraw/zinc/23/59/47/634235947.db2.gz GDLPASXKHSKKRU-GFCCVEGCSA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@@H](CCc1cccc(F)c1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126879 634236470 /nfs/dbraw/zinc/23/64/70/634236470.db2.gz PRVFROYUCMDVLD-NSHDSACASA-N 0 0 297.326 2.993 20 5 CFBDRN Cc1ccc(Cl)cc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126884 634236523 /nfs/dbraw/zinc/23/65/23/634236523.db2.gz PTQMYHLAIMHARJ-UHFFFAOYSA-N 0 0 271.700 2.861 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1C[C@H]1c1c(F)cccc1F)[N+](=O)[O-] ZINC000851126325 634236635 /nfs/dbraw/zinc/23/66/35/634236635.db2.gz NAPMALJNXFMEAS-RKDXNWHRSA-N 0 0 299.273 2.667 20 5 CFBDRN C[C@H](OC1CCCCCC1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126062 634236758 /nfs/dbraw/zinc/23/67/58/634236758.db2.gz LLXGLXDOOXJDST-NSHDSACASA-N 0 0 287.356 2.713 20 5 CFBDRN Cc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])cc(C)c1F ZINC000851126192 634236766 /nfs/dbraw/zinc/23/67/66/634236766.db2.gz APWLWHKFUZSEDH-UHFFFAOYSA-N 0 0 269.272 2.655 20 5 CFBDRN CC(C)(COC(=O)CCc1cc(Cl)cs1)[N+](=O)[O-] ZINC000851126243 634236920 /nfs/dbraw/zinc/23/69/20/634236920.db2.gz LVXBAPXEBSCQEU-UHFFFAOYSA-N 0 0 291.756 2.933 20 5 CFBDRN CCOc1ccc(CCC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851126992 634236959 /nfs/dbraw/zinc/23/69/59/634236959.db2.gz VOOFZVUBAJRJPX-UHFFFAOYSA-N 0 0 295.335 2.616 20 5 CFBDRN CC1=C(C)C[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])CC1 ZINC000851128557 634237614 /nfs/dbraw/zinc/23/76/14/634237614.db2.gz ANRUMKAZJPSIEH-NSHDSACASA-N 0 0 255.314 2.721 20 5 CFBDRN COc1cccc(/C(C)=C/C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851127553 634237740 /nfs/dbraw/zinc/23/77/40/634237740.db2.gz YXOKNMZAEORXFG-DHZHZOJOSA-N 0 0 293.319 2.697 20 5 CFBDRN COc1ccc(CCCC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851127046 634237851 /nfs/dbraw/zinc/23/78/51/634237851.db2.gz XZJASKIVDFWQRI-UHFFFAOYSA-N 0 0 295.335 2.616 20 5 CFBDRN C/C(=C/C(=O)OCC(C)(C)[N+](=O)[O-])c1cccc(F)c1 ZINC000851127450 634237909 /nfs/dbraw/zinc/23/79/09/634237909.db2.gz XXMIRLJECZREFX-YFHOEESVSA-N 0 0 281.283 2.828 20 5 CFBDRN CC(C)(COC(=O)c1cc(F)ccc1Cl)[N+](=O)[O-] ZINC000851129503 634238362 /nfs/dbraw/zinc/23/83/62/634238362.db2.gz OQPXGKQKASBGQE-UHFFFAOYSA-N 0 0 275.663 2.691 20 5 CFBDRN CC(C)(CC(F)(F)F)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851128875 634238374 /nfs/dbraw/zinc/23/83/74/634238374.db2.gz CNSSRYAYFADYKQ-UHFFFAOYSA-N 0 0 271.235 2.564 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC/C=C\C(F)(F)F ZINC000851283902 634305309 /nfs/dbraw/zinc/30/53/09/634305309.db2.gz LPOOTWAVLSMNES-UTCJRWHESA-N 0 0 289.209 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC(F)F)cc1Cl ZINC000853017508 634877027 /nfs/dbraw/zinc/87/70/27/634877027.db2.gz JSFYVNZWZZELHU-UHFFFAOYSA-N 0 0 267.615 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCCOC(F)F)c1 ZINC000853018870 634877713 /nfs/dbraw/zinc/87/77/13/634877713.db2.gz WISRPHFBXKCWQA-UHFFFAOYSA-N 0 0 267.615 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NCC2=CCCC2)cc1 ZINC000853028331 634881373 /nfs/dbraw/zinc/88/13/73/634881373.db2.gz CSIKOXKNCXJGNX-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN COc1cc(C)c(NCc2onc(C)c2[N+](=O)[O-])cc1F ZINC000853060612 634893408 /nfs/dbraw/zinc/89/34/08/634893408.db2.gz DFGUWTJDNOULLF-UHFFFAOYSA-N 0 0 295.270 2.959 20 5 CFBDRN CC(C)c1ccc(C(=O)O[C@@H]2COC[C@H]2C)cc1[N+](=O)[O-] ZINC000853197600 634933259 /nfs/dbraw/zinc/93/32/59/634933259.db2.gz UUGOIAUQKKPCNS-QMTHXVAHSA-N 0 0 293.319 2.910 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCCC3(CCCCC3)C2)c1 ZINC000111173726 634941985 /nfs/dbraw/zinc/94/19/85/634941985.db2.gz IHUPQHXNLQTCLK-UHFFFAOYSA-N 0 0 278.356 2.795 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)c2ccco2)c([N+](=O)[O-])s1 ZINC000853378400 634986635 /nfs/dbraw/zinc/98/66/35/634986635.db2.gz XWGPBBJOYHYXON-GFCCVEGCSA-N 0 0 282.321 2.877 20 5 CFBDRN Cc1cc(NC[C@H]2COC(C)(C)O2)c([N+](=O)[O-])s1 ZINC000853450767 635002920 /nfs/dbraw/zinc/00/29/20/635002920.db2.gz MDYJPWFVOUMLSZ-QMMMGPOBSA-N 0 0 272.326 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(c2cnc(F)cn2)C2CC2)cc1 ZINC000853463592 635006316 /nfs/dbraw/zinc/00/63/16/635006316.db2.gz CKQBAJFQVLEZEY-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN CCC[C@@H]1CN(c2cc(OC)c(C)cc2[N+](=O)[O-])CCO1 ZINC000853462544 635006424 /nfs/dbraw/zinc/00/64/24/635006424.db2.gz YKDNQZIXDAYUPW-GFCCVEGCSA-N 0 0 294.351 2.917 20 5 CFBDRN COc1cc(N2CCO[C@H](C(C)C)C2)c([N+](=O)[O-])cc1C ZINC000853471751 635009104 /nfs/dbraw/zinc/00/91/04/635009104.db2.gz QIMUDDLRBJZUCL-HNNXBMFYSA-N 0 0 294.351 2.773 20 5 CFBDRN COc1cc(N2CCC[C@@H](F)C2)c([N+](=O)[O-])cc1C ZINC000853568885 635037939 /nfs/dbraw/zinc/03/79/39/635037939.db2.gz BLMLIJAMTACTJT-SNVBAGLBSA-N 0 0 268.288 2.850 20 5 CFBDRN COC[C@@]1(C)CCN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000853654390 635062251 /nfs/dbraw/zinc/06/22/51/635062251.db2.gz XCCIETISDSWWMN-HNNXBMFYSA-N 0 0 294.351 2.775 20 5 CFBDRN COC(=O)C1(COc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000853657989 635063721 /nfs/dbraw/zinc/06/37/21/635063721.db2.gz BPBGSGBVRJIBCA-UHFFFAOYSA-N 0 0 285.683 2.580 20 5 CFBDRN CC1=NO[C@H](CNc2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000853661371 635065475 /nfs/dbraw/zinc/06/54/75/635065475.db2.gz UPUSJHNPQBYDOX-JTQLQIEISA-N 0 0 286.291 2.720 20 5 CFBDRN Cc1noc(CON=C(N)C2CCCCCC2)c1[N+](=O)[O-] ZINC000853679175 635072411 /nfs/dbraw/zinc/07/24/11/635072411.db2.gz WRTNWSMHWISYMU-UHFFFAOYSA-N 0 0 296.327 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCO[C@@H]2CC2(F)F)c(F)c1F ZINC000853709611 635081996 /nfs/dbraw/zinc/08/19/96/635081996.db2.gz JXHSZJBPIQPZMV-MRVPVSSYSA-N 0 0 295.188 2.676 20 5 CFBDRN C[C@@]1(CCNc2ccc(N)c([N+](=O)[O-])n2)C[C@@]1(F)Cl ZINC000853712130 635082823 /nfs/dbraw/zinc/08/28/23/635082823.db2.gz KKLHLOGXLZDPSE-MNOVXSKESA-N 0 0 288.710 2.689 20 5 CFBDRN COC1CC(CCNc2cccc(F)c2[N+](=O)[O-])C1 ZINC000853749656 635092460 /nfs/dbraw/zinc/09/24/60/635092460.db2.gz ZLHZUQHIZRJOTD-UHFFFAOYSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1ccc(N2CCO[C@@](C)(C3CC3)C2)c([N+](=O)[O-])c1 ZINC000853765210 635099007 /nfs/dbraw/zinc/09/90/07/635099007.db2.gz ANCZJMUVVRXORL-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN COc1cc(N[C@H]2C=CCC2)c([N+](=O)[O-])cc1F ZINC000853799681 635110518 /nfs/dbraw/zinc/11/05/18/635110518.db2.gz JHVSUAXYMZDACF-QMMMGPOBSA-N 0 0 252.245 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000853826304 635119315 /nfs/dbraw/zinc/11/93/15/635119315.db2.gz APQKBHZHVNZHIX-VIFPVBQESA-N 0 0 293.279 2.627 20 5 CFBDRN COc1cc(N[C@H]2COC3(CCC3)C2)c([N+](=O)[O-])cc1F ZINC000853826898 635119992 /nfs/dbraw/zinc/11/99/92/635119992.db2.gz DSSCCAZHXSGNEU-SECBINFHSA-N 0 0 296.298 2.866 20 5 CFBDRN Cc1ccc(N2CCC[C@@](O)(C3CC3)C2)c([N+](=O)[O-])c1 ZINC000853833126 635122150 /nfs/dbraw/zinc/12/21/50/635122150.db2.gz LGOCWPBIHSCVPS-HNNXBMFYSA-N 0 0 276.336 2.645 20 5 CFBDRN CC(C)(C)OCCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000853835897 635122397 /nfs/dbraw/zinc/12/23/97/635122397.db2.gz XWJLMRBOQUOXLK-UHFFFAOYSA-N 0 0 267.329 2.794 20 5 CFBDRN Cc1ccc(N(C)CC2=CCCOC2)c([N+](=O)[O-])c1 ZINC000853836832 635123025 /nfs/dbraw/zinc/12/30/25/635123025.db2.gz OGCXOAYUXXLTSM-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@H](CCF)C2)nc2ccccn21 ZINC000853855271 635134242 /nfs/dbraw/zinc/13/42/42/635134242.db2.gz RVLQHOOHAXLVQE-LLVKDONJSA-N 0 0 292.314 2.819 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1cc(Cl)ccc1[N+](=O)[O-])C2 ZINC000853859400 635135631 /nfs/dbraw/zinc/13/56/31/635135631.db2.gz UPSZQSAJEKMZQR-TVQRCGJNSA-N 0 0 282.727 2.721 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000853870025 635141615 /nfs/dbraw/zinc/14/16/15/635141615.db2.gz GEPHQXVMBZKOFX-ZJUUUORDSA-N 0 0 295.295 2.507 20 5 CFBDRN CCc1ccc(C(=O)OCc2onc(C)c2[N+](=O)[O-])cc1 ZINC000853883598 635146409 /nfs/dbraw/zinc/14/64/09/635146409.db2.gz MLZSEMDHMCQEBX-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC3(CCCC3=O)CC2)n1 ZINC000853894845 635149701 /nfs/dbraw/zinc/14/97/01/635149701.db2.gz HUNRINALHWWAHA-UHFFFAOYSA-N 0 0 289.335 2.638 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000853895771 635149906 /nfs/dbraw/zinc/14/99/06/635149906.db2.gz UQNFGBQEJCCBLA-GUDFOSOFSA-N 0 0 279.267 2.937 20 5 CFBDRN Cc1noc(COC(=O)/C=C2\CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000853903349 635154101 /nfs/dbraw/zinc/15/41/01/635154101.db2.gz GXXKSGUWOKEQNX-VSBFRKNKSA-N 0 0 280.280 2.681 20 5 CFBDRN CC[C@H]1CCC[C@H]1C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853920760 635164202 /nfs/dbraw/zinc/16/42/02/635164202.db2.gz GRDDETBXVLCBBG-VHSXEESVSA-N 0 0 282.296 2.761 20 5 CFBDRN CCCC[C@@](C)(F)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853926941 635166562 /nfs/dbraw/zinc/16/65/62/635166562.db2.gz UXHNXYVMVMBKHR-GFCCVEGCSA-N 0 0 288.275 2.853 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCC2SCCS2)c1 ZINC000853961226 635179204 /nfs/dbraw/zinc/17/92/04/635179204.db2.gz SJRVNYSWTZKKEU-UHFFFAOYSA-N 0 0 285.394 2.785 20 5 CFBDRN CCn1nccc1CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000853999169 635188489 /nfs/dbraw/zinc/18/84/89/635188489.db2.gz HPYNDYIKCFDRTO-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CC(C)(CCc1noc(C2CC3(CC3)C2)n1)[N+](=O)[O-] ZINC000854060853 635201835 /nfs/dbraw/zinc/20/18/35/635201835.db2.gz MIWAJVLWHJBERA-UHFFFAOYSA-N 0 0 265.313 2.715 20 5 CFBDRN CC(C)C[C@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497818 635318748 /nfs/dbraw/zinc/31/87/48/635318748.db2.gz ZOFVGZGQNMCCGJ-VIFPVBQESA-N 0 0 265.313 2.798 20 5 CFBDRN CC(C)(C)CCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497130 635318809 /nfs/dbraw/zinc/31/88/09/635318809.db2.gz ICHCLLKPBCXJDP-UHFFFAOYSA-N 0 0 265.313 2.942 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000854897864 635417426 /nfs/dbraw/zinc/41/74/26/635417426.db2.gz JNISPYCADGBZBT-SECBINFHSA-N 0 0 277.324 2.580 20 5 CFBDRN O=C(NOCc1ccccc1)c1ccc([N+](=O)[O-])s1 ZINC000009855254 635427362 /nfs/dbraw/zinc/42/73/62/635427362.db2.gz LGAVGRRSUSVPJC-UHFFFAOYSA-N 0 0 278.289 2.518 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C=CCC1 ZINC000855418436 635535259 /nfs/dbraw/zinc/53/52/59/635535259.db2.gz BPJSVBJYKCWHDK-GHMZBOCLSA-N 0 0 292.360 2.910 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C=CCC1 ZINC000855430129 635543016 /nfs/dbraw/zinc/54/30/16/635543016.db2.gz BEKVLTFKFYYXJO-OCHBPSSRSA-N 0 0 272.304 2.833 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000855432529 635545303 /nfs/dbraw/zinc/54/53/03/635545303.db2.gz KIXWXMLTMJAOHQ-PWSUYJOCSA-N 0 0 260.293 2.533 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000855564442 635584464 /nfs/dbraw/zinc/58/44/64/635584464.db2.gz KFFRHDCZORMDOG-CYBMUJFWSA-N 0 0 294.326 2.935 20 5 CFBDRN CC(C)OCCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000113465203 635586874 /nfs/dbraw/zinc/58/68/74/635586874.db2.gz YKILZVUSNVCODI-UHFFFAOYSA-N 0 0 267.281 2.567 20 5 CFBDRN Cc1cccc(C(=O)OCCCOC(C)C)c1[N+](=O)[O-] ZINC000113463297 635586978 /nfs/dbraw/zinc/58/69/78/635586978.db2.gz OMUQIZDYOPCOGL-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN Cc1occc1COC(=O)c1ccccc1[N+](=O)[O-] ZINC000113679167 635648343 /nfs/dbraw/zinc/64/83/43/635648343.db2.gz XYBBLGVMWJWHDK-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN CC[C@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000855855807 635671735 /nfs/dbraw/zinc/67/17/35/635671735.db2.gz GSVPDLOYRRZSMD-SEIPJRMCSA-N 0 0 274.320 2.913 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000856063607 635713607 /nfs/dbraw/zinc/71/36/07/635713607.db2.gz SYBQBGUDOXMUQB-DVFZLIDBSA-N 0 0 286.331 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CC[C@@H]3C[C@@H]3C2)c1F ZINC000856066795 635714002 /nfs/dbraw/zinc/71/40/02/635714002.db2.gz XFOQAYZBQYDYHT-RKDXNWHRSA-N 0 0 296.273 2.663 20 5 CFBDRN O=C(NCC1=CCCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000856096838 635723959 /nfs/dbraw/zinc/72/39/59/635723959.db2.gz QTBWXCADGNSSIJ-UHFFFAOYSA-N 0 0 296.273 2.913 20 5 CFBDRN CC(C)(CCC(=O)NC(C)(C1CC1)C1CC1)[N+](=O)[O-] ZINC000856119841 635729112 /nfs/dbraw/zinc/72/91/12/635729112.db2.gz PZYNRVKALNSPDE-UHFFFAOYSA-N 0 0 268.357 2.517 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000856135367 635736240 /nfs/dbraw/zinc/73/62/40/635736240.db2.gz HNRLUILIPKUHNU-ZDUSSCGKSA-N 0 0 298.289 2.991 20 5 CFBDRN CCOc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])nc1C ZINC000856288545 635777761 /nfs/dbraw/zinc/77/77/61/635777761.db2.gz WPXXQDLMLIQROX-UHFFFAOYSA-N 0 0 295.339 2.563 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000856457715 635814000 /nfs/dbraw/zinc/81/40/00/635814000.db2.gz BXTGQNKHXXTDOE-VIFPVBQESA-N 0 0 280.299 2.852 20 5 CFBDRN CCON(C)c1cccc(Br)c1[N+](=O)[O-] ZINC000856744609 635846246 /nfs/dbraw/zinc/84/62/46/635846246.db2.gz UDARDVMTCRDAAI-UHFFFAOYSA-N 0 0 275.102 2.745 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC(CC3CC3)C2)c1 ZINC000856790369 635861556 /nfs/dbraw/zinc/86/15/56/635861556.db2.gz WASMQROPXNLTFP-UHFFFAOYSA-N 0 0 280.299 2.979 20 5 CFBDRN CC1(C)CC[C@@H](CO)N(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000856802616 635864638 /nfs/dbraw/zinc/86/46/38/635864638.db2.gz SZXWNXPKTDEFPY-ZDUSSCGKSA-N 0 0 296.342 2.717 20 5 CFBDRN CC1(C)CC(C(=O)Oc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000857636801 635999726 /nfs/dbraw/zinc/99/97/26/635999726.db2.gz QUCNRXQHTGBZKX-UHFFFAOYSA-N 0 0 294.263 2.845 20 5 CFBDRN O=C(CCCC1CC1)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857635969 635999990 /nfs/dbraw/zinc/99/99/90/635999990.db2.gz CQAJUSMBKKZVEN-UHFFFAOYSA-N 0 0 294.263 2.989 20 5 CFBDRN O=C(NCC[C@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])o1 ZINC000857686722 636009178 /nfs/dbraw/zinc/00/91/78/636009178.db2.gz CREXVWQUJIKADO-LURJTMIESA-N 0 0 293.106 2.502 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCCOC2)n1 ZINC000858653313 636318464 /nfs/dbraw/zinc/31/84/64/636318464.db2.gz TZMZLTARUMOHKV-NSHDSACASA-N 0 0 265.313 2.527 20 5 CFBDRN COCCC1CCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000858661451 636319265 /nfs/dbraw/zinc/31/92/65/636319265.db2.gz ZAPGMNRDFNOOJR-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN CN(CC1(C)COC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000858705847 636329403 /nfs/dbraw/zinc/32/94/03/636329403.db2.gz ISBJGQMOMYDJCI-UHFFFAOYSA-N 0 0 270.716 2.721 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2c(F)cccc2[N+](=O)[O-])C1 ZINC000858736820 636336540 /nfs/dbraw/zinc/33/65/40/636336540.db2.gz RHUGZSCRXSYMEJ-JTQLQIEISA-N 0 0 294.282 2.798 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)c1 ZINC000858777586 636344277 /nfs/dbraw/zinc/34/42/77/636344277.db2.gz LJDWRIDGTSFELU-LWIGBJQBSA-N 0 0 298.314 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](CCF)C2)c(Cl)c1 ZINC000858797785 636346722 /nfs/dbraw/zinc/34/67/22/636346722.db2.gz TUSNOUGSOQMJDU-JTQLQIEISA-N 0 0 288.706 2.813 20 5 CFBDRN COc1cccc2c1CN(c1nc(C)ccc1[N+](=O)[O-])C2 ZINC000858806234 636348358 /nfs/dbraw/zinc/34/83/58/636348358.db2.gz KPXBOMHLSCHWEZ-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN CN(OCC(F)(F)F)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000858870009 636365637 /nfs/dbraw/zinc/36/56/37/636365637.db2.gz RJXSOHCUVLSLMG-UHFFFAOYSA-N 0 0 285.609 2.573 20 5 CFBDRN CCCOC(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000858881269 636368728 /nfs/dbraw/zinc/36/87/28/636368728.db2.gz SJGQMLAZLFXEBD-UHFFFAOYSA-N 0 0 255.226 2.529 20 5 CFBDRN CCN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CCCC1 ZINC000048208818 650258792 /nfs/dbraw/zinc/25/87/92/650258792.db2.gz YNGOAIGDPADHTL-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN CCO[C@@H](COC(=O)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000859448976 636567573 /nfs/dbraw/zinc/56/75/73/636567573.db2.gz PNRVZBYJCLCLPJ-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN CCC[C@@H](C)CCCOC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000859509349 636584374 /nfs/dbraw/zinc/58/43/74/636584374.db2.gz LNQQQTFBYIWSMV-LLVKDONJSA-N 0 0 283.328 2.551 20 5 CFBDRN CCO[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000859527373 636589400 /nfs/dbraw/zinc/58/94/00/636589400.db2.gz UIPMUJZAZXLASC-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN CCO[C@@H](COC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000859526322 636589522 /nfs/dbraw/zinc/58/95/22/636589522.db2.gz HEJIRNWVUXCISD-ZDUSSCGKSA-N 0 0 297.282 2.706 20 5 CFBDRN CCO[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000859535646 636592322 /nfs/dbraw/zinc/59/23/22/636592322.db2.gz PGVBCTMXGXYBFY-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H](C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000859578774 636607827 /nfs/dbraw/zinc/60/78/27/636607827.db2.gz BGZVEYKTNCVUSU-MRVPVSSYSA-N 0 0 295.266 2.506 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000859762928 636683134 /nfs/dbraw/zinc/68/31/34/636683134.db2.gz FDMSAAKXWGKXPQ-ZWNOBZJWSA-N 0 0 295.335 2.996 20 5 CFBDRN COCCCOC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859811784 636703659 /nfs/dbraw/zinc/70/36/59/636703659.db2.gz UPEOVXJAESMZFN-UHFFFAOYSA-N 0 0 289.287 2.941 20 5 CFBDRN COCCCCCOC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000116989805 636712724 /nfs/dbraw/zinc/71/27/24/636712724.db2.gz IYIALKMZENIIAR-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN CCO[C@@H](C(=O)OCc1csc([N+](=O)[O-])c1)C1CC1 ZINC000859866210 636731094 /nfs/dbraw/zinc/73/10/94/636731094.db2.gz IGQCQNUDWWFKPG-LLVKDONJSA-N 0 0 285.321 2.515 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CSCCS2)cccc1[N+](=O)[O-] ZINC000194203213 636762333 /nfs/dbraw/zinc/76/23/33/636762333.db2.gz UDLAPHQFWXTJGP-LLVKDONJSA-N 0 0 299.373 2.657 20 5 CFBDRN C/C(=C/C(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000048943035 650284011 /nfs/dbraw/zinc/28/40/11/650284011.db2.gz DHHDCFHMBWWDPO-KHPPLWFESA-N 0 0 274.320 2.610 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)C12CC(C1)C2 ZINC000860272966 636845653 /nfs/dbraw/zinc/84/56/53/636845653.db2.gz JLZFSHDQEMFHTN-UHFFFAOYSA-N 0 0 283.230 2.716 20 5 CFBDRN CCO[C@H](C(=O)OCc1ccccc1[N+](=O)[O-])C(C)C ZINC000860366124 636866916 /nfs/dbraw/zinc/86/69/16/636866916.db2.gz CTCRCIODHFTGKD-ZDUSSCGKSA-N 0 0 281.308 2.699 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000860476200 636893502 /nfs/dbraw/zinc/89/35/02/636893502.db2.gz FTAMTSHLQLAJFL-RMIALFOJSA-N 0 0 293.319 2.868 20 5 CFBDRN CCO[C@H](C(=O)Oc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000860479391 636893734 /nfs/dbraw/zinc/89/37/34/636893734.db2.gz NNIKNHKLMCRJTJ-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN CCc1ccc(OC(=O)CC2CCOCC2)c([N+](=O)[O-])c1 ZINC000117534886 636897446 /nfs/dbraw/zinc/89/74/46/636897446.db2.gz YGRVBVNWXUPUHC-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN COC1CC(C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)C1 ZINC000860554725 636909668 /nfs/dbraw/zinc/90/96/68/636909668.db2.gz BXCZJYQVJAQPPN-UHFFFAOYSA-N 0 0 299.710 2.887 20 5 CFBDRN CCO[C@@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000860615209 636928138 /nfs/dbraw/zinc/92/81/38/636928138.db2.gz RPHQLAVSGOSRLZ-GFCCVEGCSA-N 0 0 285.271 2.700 20 5 CFBDRN CCO[C@@H](C(=O)Oc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000860628521 636933006 /nfs/dbraw/zinc/93/30/06/636933006.db2.gz SIVNIDRCHPXMTL-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN CN(Cc1ncc(Cl)n1C)Cc1ccc([N+](=O)[O-])cc1 ZINC000049392743 650294373 /nfs/dbraw/zinc/29/43/73/650294373.db2.gz JZUTYECNDWTRHQ-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN C[C@@H](COC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1)[N+](=O)[O-] ZINC000860776683 636969219 /nfs/dbraw/zinc/96/92/19/636969219.db2.gz GSMMNWJRBICDOR-IACUBPJLSA-N 0 0 277.320 2.779 20 5 CFBDRN CCc1nocc1C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000860801041 636975709 /nfs/dbraw/zinc/97/57/09/636975709.db2.gz NGXHJZJCFZTXHB-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=C(Cc1c(Cl)cccc1Cl)OCCC[N+](=O)[O-] ZINC000860910746 637014174 /nfs/dbraw/zinc/01/41/74/637014174.db2.gz BOVSAWACRKLNTC-UHFFFAOYSA-N 0 0 292.118 2.746 20 5 CFBDRN C[C@@H](CSc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860914833 637015192 /nfs/dbraw/zinc/01/51/92/637015192.db2.gz IFCSBLACUZQBDB-NSHDSACASA-N 0 0 283.349 2.625 20 5 CFBDRN CC[C@@H](Sc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860914878 637015266 /nfs/dbraw/zinc/01/52/66/637015266.db2.gz JLUNYOKBUZCRCB-GFCCVEGCSA-N 0 0 283.349 2.767 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cccc(OC2CCCC2)c1 ZINC000860914924 637015316 /nfs/dbraw/zinc/01/53/16/637015316.db2.gz LPEPNWSZLLINSS-UHFFFAOYSA-N 0 0 293.319 2.832 20 5 CFBDRN Cc1ccc(CCCC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860913874 637015403 /nfs/dbraw/zinc/01/54/03/637015403.db2.gz KCHMGFAXSBQDIB-UHFFFAOYSA-N 0 0 265.309 2.528 20 5 CFBDRN COc1ccc(C(=O)OCCC[N+](=O)[O-])c2ccccc12 ZINC000860914077 637015619 /nfs/dbraw/zinc/01/56/19/637015619.db2.gz PZSYOKXGLPFAAQ-UHFFFAOYSA-N 0 0 289.287 2.672 20 5 CFBDRN Cc1ccccc1[C@@H](C)CC(=O)OCCC[N+](=O)[O-] ZINC000860915912 637016002 /nfs/dbraw/zinc/01/60/02/637016002.db2.gz YQYVAFRKMFBDQW-LBPRGKRZSA-N 0 0 265.309 2.699 20 5 CFBDRN C[C@@H](CC(=O)OCCC[N+](=O)[O-])C1CCCCC1 ZINC000860916118 637016087 /nfs/dbraw/zinc/01/60/87/637016087.db2.gz NMDQTTWLOBVRQN-NSHDSACASA-N 0 0 257.330 2.803 20 5 CFBDRN CC[C@H](C)Oc1ccc(C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860917361 637016111 /nfs/dbraw/zinc/01/61/11/637016111.db2.gz KIJOULFQAJVOGU-NSHDSACASA-N 0 0 281.308 2.688 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])/C(F)=C\C1CCCCC1 ZINC000860919360 637016980 /nfs/dbraw/zinc/01/69/80/637016980.db2.gz XKUMPISHBSABRZ-PKNBQFBNSA-N 0 0 259.277 2.630 20 5 CFBDRN Cc1ccc(C2(C(=O)OCCC[N+](=O)[O-])CCC2)cc1 ZINC000860919828 637016986 /nfs/dbraw/zinc/01/69/86/637016986.db2.gz WXZZSYRMCZGNHR-UHFFFAOYSA-N 0 0 277.320 2.627 20 5 CFBDRN CC(C)c1cccc(CC(=O)OCCC[N+](=O)[O-])c1 ZINC000860919244 637017221 /nfs/dbraw/zinc/01/72/21/637017221.db2.gz LWMGMIXOLOQWFG-UHFFFAOYSA-N 0 0 265.309 2.563 20 5 CFBDRN C/C(=C\C(=O)OCCC[N+](=O)[O-])c1cccc(Cl)c1 ZINC000860918178 637017332 /nfs/dbraw/zinc/01/73/32/637017332.db2.gz ZYMOTQSGTZGSAV-CSKARUKUSA-N 0 0 283.711 2.953 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCC[C@@H]1Cc1ccccc1 ZINC000860922422 637017941 /nfs/dbraw/zinc/01/79/41/637017941.db2.gz QTHQNQFSVXKYNL-HUUCEWRRSA-N 0 0 291.347 2.855 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCC[C@H]1Cc1ccccc1 ZINC000860922423 637018105 /nfs/dbraw/zinc/01/81/05/637018105.db2.gz QTHQNQFSVXKYNL-LSDHHAIUSA-N 0 0 291.347 2.855 20 5 CFBDRN Cc1ccc(C(=O)OCCC[N+](=O)[O-])cc1C(F)(F)F ZINC000860923394 637019054 /nfs/dbraw/zinc/01/90/54/637019054.db2.gz UDKLKJPTVKEVDW-UHFFFAOYSA-N 0 0 291.225 2.837 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC[C@H]1C[C@@H]1C(C)(C)C ZINC000861111084 637089154 /nfs/dbraw/zinc/08/91/54/637089154.db2.gz IELNDQKHQKHMHB-KOLCDFICSA-N 0 0 280.324 2.772 20 5 CFBDRN CC(F)(F)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000861223779 637121887 /nfs/dbraw/zinc/12/18/87/637121887.db2.gz FBRDMVAOAQJHAX-UHFFFAOYSA-N 0 0 273.235 2.726 20 5 CFBDRN CC(F)(F)COC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000861224003 637122801 /nfs/dbraw/zinc/12/28/01/637122801.db2.gz BNYSHIXMBINPGL-UHFFFAOYSA-N 0 0 298.245 2.817 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)/C(C)=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000861304709 637157509 /nfs/dbraw/zinc/15/75/09/637157509.db2.gz KLKWWHDSXSNZRW-CBPZYVAXSA-N 0 0 291.303 2.719 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc2ccccc21)N1CCCOC1 ZINC000861656979 637225453 /nfs/dbraw/zinc/22/54/53/637225453.db2.gz HTVAXKWXUBFGJJ-UHFFFAOYSA-N 0 0 286.287 2.568 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC(C2CC2)C1 ZINC000861789175 637237060 /nfs/dbraw/zinc/23/70/60/637237060.db2.gz PDVHGKYXRLJRNW-UHFFFAOYSA-N 0 0 280.711 2.730 20 5 CFBDRN O=C(OCCc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000014009024 637314529 /nfs/dbraw/zinc/31/45/29/637314529.db2.gz MHMRIOQCBORWOD-UHFFFAOYSA-N 0 0 271.272 2.994 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000075505956 650334139 /nfs/dbraw/zinc/33/41/39/650334139.db2.gz FYKVMRMZTOPEHZ-MRVPVSSYSA-N 0 0 295.295 2.682 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000862862793 637512749 /nfs/dbraw/zinc/51/27/49/637512749.db2.gz USTFWKYJDBSAJK-YPMHNXCESA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1noc(C2CCC2)n1 ZINC000863227309 637596117 /nfs/dbraw/zinc/59/61/17/637596117.db2.gz IVCPKLKRVNRPAN-UHFFFAOYSA-N 0 0 293.254 2.963 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1CSC(C)C ZINC000863294919 637619593 /nfs/dbraw/zinc/61/95/93/637619593.db2.gz HGDIDSLYQHUCMY-UHFFFAOYSA-N 0 0 294.174 2.961 20 5 CFBDRN CCO[C@H](COc1cc(C=O)ccc1[N+](=O)[O-])C1CC1 ZINC000863296412 637619815 /nfs/dbraw/zinc/61/98/15/637619815.db2.gz LGFWBHAWEVTMQQ-CQSZACIVSA-N 0 0 279.292 2.601 20 5 CFBDRN C/C=C/C[C@H]1CCCN(Cn2cc([N+](=O)[O-])c(C)n2)C1 ZINC000863341138 637631649 /nfs/dbraw/zinc/63/16/49/637631649.db2.gz PRPLIPNUKIPBTL-OOPCZODUSA-N 0 0 278.356 2.735 20 5 CFBDRN Cn1nc(CN2CCc3cc([N+](=O)[O-])ccc32)cc1Cl ZINC000863343874 637632434 /nfs/dbraw/zinc/63/24/34/637632434.db2.gz QYYQGMKPFFAPTO-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN COC(=O)CCCCOc1ccc([N+](=O)[O-])c(F)c1F ZINC000863345390 637633688 /nfs/dbraw/zinc/63/36/88/637633688.db2.gz VSINPMPPUNYMBN-UHFFFAOYSA-N 0 0 289.234 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCc2cnsn2)cc1 ZINC000863353305 637637938 /nfs/dbraw/zinc/63/79/38/637637938.db2.gz ZBEIMFOCFPQMNE-UHFFFAOYSA-N 0 0 297.361 2.759 20 5 CFBDRN CC(C)(CCC(=O)N1CC(Cc2cccs2)C1)[N+](=O)[O-] ZINC000863597586 637706176 /nfs/dbraw/zinc/70/61/76/637706176.db2.gz BEUVHQNQUZSNLT-UHFFFAOYSA-N 0 0 296.392 2.585 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000863938283 637817855 /nfs/dbraw/zinc/81/78/55/637817855.db2.gz DSZFWXNMGQYMPW-YPMHNXCESA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2csc(O[C@@H]3CCOC3)n2)cc1 ZINC000863975812 637827353 /nfs/dbraw/zinc/82/73/53/637827353.db2.gz KBBGQVIYKDTYHV-LLVKDONJSA-N 0 0 292.316 2.886 20 5 CFBDRN Cc1ccc(C(N)=O)c(NCc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000864017187 637836041 /nfs/dbraw/zinc/83/60/41/637836041.db2.gz XHENFGCNRRQUAA-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN CC(C)(Cn1cc(-c2ccc3ccccc3n2)nn1)[N+](=O)[O-] ZINC000864641836 638055804 /nfs/dbraw/zinc/05/58/04/638055804.db2.gz DBCUJRPAIHGNQC-UHFFFAOYSA-N 0 0 297.318 2.549 20 5 CFBDRN CC(C)(Cn1cc(-c2ccc(Cl)cc2F)nn1)[N+](=O)[O-] ZINC000864642093 638055972 /nfs/dbraw/zinc/05/59/72/638055972.db2.gz HZEWHCLDJQNGPL-UHFFFAOYSA-N 0 0 298.705 2.793 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000864946763 638132472 /nfs/dbraw/zinc/13/24/72/638132472.db2.gz NUKHAUOKAKTBQC-IGJVIKARSA-N 0 0 274.320 2.503 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000864946764 638132567 /nfs/dbraw/zinc/13/25/67/638132567.db2.gz NUKHAUOKAKTBQC-PCDDKUFXSA-N 0 0 274.320 2.503 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865000847 638154508 /nfs/dbraw/zinc/15/45/08/638154508.db2.gz MDRRVOZTFUTZBB-ZJUUUORDSA-N 0 0 282.315 2.827 20 5 CFBDRN CCC[C@H](CC)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865039694 638168311 /nfs/dbraw/zinc/16/83/11/638168311.db2.gz BVOPZPYGBASUGR-NSHDSACASA-N 0 0 282.315 2.971 20 5 CFBDRN CC[C@@H](C)NC(=S)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000865264005 638248202 /nfs/dbraw/zinc/24/82/02/638248202.db2.gz MZARSXBIWQTWPW-SNVBAGLBSA-N 0 0 281.381 2.666 20 5 CFBDRN CC(C)(C)SCCCn1cc([N+](=O)[O-])ccc1=O ZINC000865341251 638272954 /nfs/dbraw/zinc/27/29/54/638272954.db2.gz LJEVEXDQZMVLAS-UHFFFAOYSA-N 0 0 270.354 2.678 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2CC3(CO2)CCOCC3)c1 ZINC000865342675 638274084 /nfs/dbraw/zinc/27/40/84/638274084.db2.gz UGTYQCKBTDTLMU-AWEZNQCLSA-N 0 0 293.319 2.559 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cc(F)ccc1[N+](=O)[O-] ZINC000865343382 638274297 /nfs/dbraw/zinc/27/42/97/638274297.db2.gz IUFNGEXQRGIKQV-BDAKNGLRSA-N 0 0 255.245 2.538 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CC1CC2(CCC2)C1 ZINC000865357877 638281342 /nfs/dbraw/zinc/28/13/42/638281342.db2.gz RGUKRAPMUGFSDR-UHFFFAOYSA-N 0 0 282.727 2.990 20 5 CFBDRN Cc1cc(OCOC2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000865363035 638284797 /nfs/dbraw/zinc/28/47/97/638284797.db2.gz HDXSDXRMBMAMQJ-UHFFFAOYSA-N 0 0 285.271 2.574 20 5 CFBDRN C[C@H](C(=O)C(C)(C)C)n1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000865363206 638284988 /nfs/dbraw/zinc/28/49/88/638284988.db2.gz KCWARRRFPNOHPG-MRVPVSSYSA-N 0 0 265.313 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)COC2CCCCC2)cc1 ZINC000865366407 638286949 /nfs/dbraw/zinc/28/69/49/638286949.db2.gz DHLBBOKWDBEGDF-UHFFFAOYSA-N 0 0 299.348 2.675 20 5 CFBDRN C[C@H](O)c1ccc(N(C)Cc2ccccc2[N+](=O)[O-])nc1 ZINC000865435147 638331970 /nfs/dbraw/zinc/33/19/70/638331970.db2.gz COBXJQJZKSUMIB-NSHDSACASA-N 0 0 287.319 2.680 20 5 CFBDRN C[C@H](O)c1ccc(NCCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000865460494 638350295 /nfs/dbraw/zinc/35/02/95/638350295.db2.gz RDQBMYNSXNPXPQ-NSHDSACASA-N 0 0 287.319 2.698 20 5 CFBDRN O=C(NCCCF)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000120595885 638374412 /nfs/dbraw/zinc/37/44/12/638374412.db2.gz DUCZXBPVIMRVKL-UHFFFAOYSA-N 0 0 275.667 2.729 20 5 CFBDRN C[C@@H]1CCC[C@@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865511674 638387399 /nfs/dbraw/zinc/38/73/99/638387399.db2.gz JGYQDHWVHUENMN-KCJUWKMLSA-N 0 0 268.288 2.827 20 5 CFBDRN CC[C@H](C)CN(C)c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865533086 638402437 /nfs/dbraw/zinc/40/24/37/638402437.db2.gz WERNRKBXXWEGNJ-VIFPVBQESA-N 0 0 270.304 2.709 20 5 CFBDRN C[C@H](O)c1ccc(NCCc2ccccc2[N+](=O)[O-])nc1 ZINC000865562530 638425623 /nfs/dbraw/zinc/42/56/23/638425623.db2.gz GZRYHIMPWPMIAW-NSHDSACASA-N 0 0 287.319 2.698 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])OC1CCCC1 ZINC000077529569 650428898 /nfs/dbraw/zinc/42/88/98/650428898.db2.gz ZKDFLAILERUBDZ-UHFFFAOYSA-N 0 0 279.292 2.850 20 5 CFBDRN CC[C@@]1(O)CCN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000865691097 638514025 /nfs/dbraw/zinc/51/40/25/638514025.db2.gz TXTNDFNZLORCNQ-CYBMUJFWSA-N 0 0 286.278 2.884 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865709063 638527915 /nfs/dbraw/zinc/52/79/15/638527915.db2.gz MZINOLCZTKDIHE-PSASIEDQSA-N 0 0 268.288 2.827 20 5 CFBDRN CCc1csc(Oc2ccc([N+](=O)[O-])c(CO)c2)n1 ZINC000865726387 638539289 /nfs/dbraw/zinc/53/92/89/638539289.db2.gz FCOCFILCLYOFEL-UHFFFAOYSA-N 0 0 280.305 2.898 20 5 CFBDRN CCN(CC1CC1)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865732128 638541465 /nfs/dbraw/zinc/54/14/65/638541465.db2.gz WVVROGYRDSEWHX-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN CC1=C(C)CN(c2cc(F)c([N+](=O)[O-])cc2CO)CC1 ZINC000865749804 638550964 /nfs/dbraw/zinc/55/09/64/638550964.db2.gz JIWKRUQIMJKPSX-UHFFFAOYSA-N 0 0 280.299 2.773 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])cc2C(F)F)CCC(=O)N1 ZINC000865866017 638625751 /nfs/dbraw/zinc/62/57/51/638625751.db2.gz CKQVSAJIEKYZQY-ZDUSSCGKSA-N 0 0 299.277 2.613 20 5 CFBDRN CC[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])C(OC)OC ZINC000865923754 638659732 /nfs/dbraw/zinc/65/97/32/638659732.db2.gz VJEHDFISYLENMD-SNVBAGLBSA-N 0 0 268.313 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCC[C@@H]2CC2(F)F)cc1F ZINC000865960992 638677699 /nfs/dbraw/zinc/67/76/99/638677699.db2.gz HMQFVFSAHUMHTB-MRVPVSSYSA-N 0 0 290.241 2.683 20 5 CFBDRN CSC1CCN(c2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000865991304 638689995 /nfs/dbraw/zinc/68/99/95/638689995.db2.gz WDZWSYLPORJBJQ-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN CCC[C@@H](CCO)Nc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000866003265 638693641 /nfs/dbraw/zinc/69/36/41/638693641.db2.gz PRQRVJLHMUYFGX-VIFPVBQESA-N 0 0 286.303 2.706 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866020818 638700604 /nfs/dbraw/zinc/70/06/04/638700604.db2.gz PPBJSQZUCOOXIW-VHSXEESVSA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)c1 ZINC000866045236 638710073 /nfs/dbraw/zinc/71/00/73/638710073.db2.gz MAUDDWGAVIGPHK-GLRJYAJPSA-N 0 0 274.320 2.739 20 5 CFBDRN COCC1(C2CC2)CN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000866056450 638716747 /nfs/dbraw/zinc/71/67/47/638716747.db2.gz IGWUZWVAUDTLOG-UHFFFAOYSA-N 0 0 276.336 2.766 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000866068931 638721357 /nfs/dbraw/zinc/72/13/57/638721357.db2.gz FDLOTZMJBGEINS-ZWNOBZJWSA-N 0 0 292.335 2.801 20 5 CFBDRN CC[C@@]1(O)CCCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000866067245 638721545 /nfs/dbraw/zinc/72/15/45/638721545.db2.gz USNAVDWVOFEAGI-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1cc(N2C[C@@H](OC)CC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000866071737 638723193 /nfs/dbraw/zinc/72/31/93/638723193.db2.gz QNAYTOXSAOAJIB-ZJUUUORDSA-N 0 0 298.314 2.746 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000866072603 638724328 /nfs/dbraw/zinc/72/43/28/638724328.db2.gz UPTFASIBKWITNQ-ONGXEEELSA-N 0 0 268.288 2.738 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])cn1C)[C@]12C[C@H]1CCCC2 ZINC000866078895 638728475 /nfs/dbraw/zinc/72/84/75/638728475.db2.gz OUDKVCBBTUEEES-RWSFTLGLSA-N 0 0 291.351 2.632 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000866084980 638729944 /nfs/dbraw/zinc/72/99/44/638729944.db2.gz YOJAMGQNDIJUDQ-GWCFXTLKSA-N 0 0 293.323 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](O)[C@@H]3CCCC[C@@H]32)cc1 ZINC000866117451 638745233 /nfs/dbraw/zinc/74/52/33/638745233.db2.gz OAUISCAVGIKFOU-ILXRZTDVSA-N 0 0 276.336 2.725 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C1CCC1)[C@H]1CCOC1 ZINC000866144128 638757121 /nfs/dbraw/zinc/75/71/21/638757121.db2.gz WYANAHKKHRBCLZ-GXTWGEPZSA-N 0 0 291.351 2.915 20 5 CFBDRN NC(=O)c1cc(NCCCCC2CC2)ccc1[N+](=O)[O-] ZINC000866184829 638765434 /nfs/dbraw/zinc/76/54/34/638765434.db2.gz UZCGZVRVUFYXQI-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nn(C)cc2C(F)F)n1 ZINC000866192467 638766666 /nfs/dbraw/zinc/76/66/66/638766666.db2.gz BTRLDVVYDSBYKZ-UHFFFAOYSA-N 0 0 297.265 2.581 20 5 CFBDRN Cc1nc(NCc2nn(C)cc2C(F)F)ccc1[N+](=O)[O-] ZINC000866193063 638766813 /nfs/dbraw/zinc/76/68/13/638766813.db2.gz KGPNWIPOUVZVTL-UHFFFAOYSA-N 0 0 297.265 2.581 20 5 CFBDRN CN1Cc2ccccc2[C@H]1CNc1ccc([N+](=O)[O-])nc1 ZINC000866194808 638767103 /nfs/dbraw/zinc/76/71/03/638767103.db2.gz KHTINCPZSFDVES-CQSZACIVSA-N 0 0 284.319 2.588 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866201456 638768777 /nfs/dbraw/zinc/76/87/77/638768777.db2.gz NMMWSXBFGBPOJF-MNOVXSKESA-N 0 0 294.376 2.793 20 5 CFBDRN COc1cc(N2CCC(C(C)(C)O)CC2)ccc1[N+](=O)[O-] ZINC000866232151 638775860 /nfs/dbraw/zinc/77/58/60/638775860.db2.gz GHSDOIUVUZKMRY-UHFFFAOYSA-N 0 0 294.351 2.591 20 5 CFBDRN COc1cc(N[C@@H](C)c2ccnn2C)ccc1[N+](=O)[O-] ZINC000866251788 638780535 /nfs/dbraw/zinc/78/05/35/638780535.db2.gz RERZBMOLWTVKOX-VIFPVBQESA-N 0 0 276.296 2.510 20 5 CFBDRN C[C@@H]1CCC[C@@H](C2CN(c3c([N+](=O)[O-])ncn3C)C2)C1 ZINC000866254546 638781651 /nfs/dbraw/zinc/78/16/51/638781651.db2.gz QZWAFVYIKXXGJJ-GHMZBOCLSA-N 0 0 278.356 2.591 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000866303208 638792594 /nfs/dbraw/zinc/79/25/94/638792594.db2.gz JOJCVCUKJSJFOC-GHMZBOCLSA-N 0 0 297.355 2.738 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000866303210 638792684 /nfs/dbraw/zinc/79/26/84/638792684.db2.gz JOJCVCUKJSJFOC-QWRGUYRKSA-N 0 0 297.355 2.738 20 5 CFBDRN CCON(CC)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000866307288 638794007 /nfs/dbraw/zinc/79/40/07/638794007.db2.gz WYQOVZGBIPZGKU-UHFFFAOYSA-N 0 0 261.281 2.921 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])[C@@H]1C ZINC000866338270 638799017 /nfs/dbraw/zinc/79/90/17/638799017.db2.gz PSYFUSVUJACSNF-NXEZZACHSA-N 0 0 280.299 2.533 20 5 CFBDRN CCC1(CNC(=O)Cc2cccc(F)c2[N+](=O)[O-])CCC1 ZINC000866440538 638821543 /nfs/dbraw/zinc/82/15/43/638821543.db2.gz QWZDQICQLVJZMK-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN COC[C@@H](C)OC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000866618378 638856092 /nfs/dbraw/zinc/85/60/92/638856092.db2.gz ZOBNQLKBZHFWKO-SSDOTTSWSA-N 0 0 289.671 2.799 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867465692 638879339 /nfs/dbraw/zinc/87/93/39/638879339.db2.gz PKOIIZXWFZDDBC-JTQLQIEISA-N 0 0 294.326 2.971 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000867559375 638884931 /nfs/dbraw/zinc/88/49/31/638884931.db2.gz JVKMOLNOWUKXFK-OHBODLIOSA-N 0 0 290.319 2.834 20 5 CFBDRN CN(CCc1ccncc1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000121697127 638886291 /nfs/dbraw/zinc/88/62/91/638886291.db2.gz CIHITNBLIQZVLY-UHFFFAOYSA-N 0 0 293.273 2.947 20 5 CFBDRN CC/C=C(/F)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000867732879 638895214 /nfs/dbraw/zinc/89/52/14/638895214.db2.gz FQSLCVNAQICRAV-VZUCSPMQSA-N 0 0 266.272 2.783 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@H]1CC12CCCC2 ZINC000867800778 638898692 /nfs/dbraw/zinc/89/86/92/638898692.db2.gz DMZFMCRWIYEAEG-LBPRGKRZSA-N 0 0 292.310 2.725 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868031009 638916949 /nfs/dbraw/zinc/91/69/49/638916949.db2.gz YYTBXLXFGWBFAB-JQWIXIFHSA-N 0 0 294.326 2.829 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868848839 638986074 /nfs/dbraw/zinc/98/60/74/638986074.db2.gz ZNLHTXZXVDWTFW-IUODEOHRSA-N 0 0 294.326 2.827 20 5 CFBDRN CC[C@H](NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000869271063 639123925 /nfs/dbraw/zinc/12/39/25/639123925.db2.gz GPMPOXNMEQYGGD-LBPRGKRZSA-N 0 0 280.299 2.581 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000869315442 639168721 /nfs/dbraw/zinc/16/87/21/639168721.db2.gz ATVPLQCOJJORNG-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CCCCC(=O)COC(=O)c1csc([N+](=O)[O-])c1 ZINC000869356083 639192306 /nfs/dbraw/zinc/19/23/06/639192306.db2.gz CPRXBTZKQOHRKF-UHFFFAOYSA-N 0 0 271.294 2.572 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1F)C(=O)C(C)(C)C ZINC000869363499 639196656 /nfs/dbraw/zinc/19/66/56/639196656.db2.gz XEEQNVNFGYIINE-MRVPVSSYSA-N 0 0 297.282 2.894 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000869373845 639202088 /nfs/dbraw/zinc/20/20/88/639202088.db2.gz CUPHJVCYZLHWQB-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@@H](C)C(C)(C)C)n1 ZINC000869488110 639256713 /nfs/dbraw/zinc/25/67/13/639256713.db2.gz SVEYBCXDMHGLME-SECBINFHSA-N 0 0 283.328 2.650 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CCC(C)(C)CO1)[N+](=O)[O-] ZINC000869529585 639281019 /nfs/dbraw/zinc/28/10/19/639281019.db2.gz ABNKHDZWCNMEEC-LLVKDONJSA-N 0 0 287.356 2.570 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NCCC1CCC1 ZINC000122655378 639302219 /nfs/dbraw/zinc/30/22/19/639302219.db2.gz CHWWQGTUAAQWHG-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)[C@H](C)O1 ZINC000869654938 639343406 /nfs/dbraw/zinc/34/34/06/639343406.db2.gz MMXJVQVSEOBIOL-VDDIYKPWSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000869890154 639455044 /nfs/dbraw/zinc/45/50/44/639455044.db2.gz HDSWENWQMJDKTI-GRLWKWRFSA-N 0 0 281.333 2.992 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1c(F)cccc1[N+](=O)[O-] ZINC000869896372 639457446 /nfs/dbraw/zinc/45/74/46/639457446.db2.gz AJDKTWAGXLNXEK-SNVBAGLBSA-N 0 0 297.282 2.850 20 5 CFBDRN CCCCC(=O)COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897635 639458597 /nfs/dbraw/zinc/45/85/97/639458597.db2.gz AEYGWRKPGAVPQU-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897545 639458653 /nfs/dbraw/zinc/45/86/53/639458653.db2.gz YDRHZQIZRQWVSR-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN CC(C)CC(=O)COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869896877 639459236 /nfs/dbraw/zinc/45/92/36/639459236.db2.gz ITRXPIAEFRBQKJ-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN C[C@H](OC(=O)c1c(F)cccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000869899019 639460048 /nfs/dbraw/zinc/46/00/48/639460048.db2.gz RFDGCAOFSMSVSF-QMMMGPOBSA-N 0 0 297.282 2.894 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(F)nc1 ZINC000078439203 650504326 /nfs/dbraw/zinc/50/43/26/650504326.db2.gz GCMGXQMRMQQNHZ-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN O=C(Nc1ccc(Cl)nc1)c1ccccc1[N+](=O)[O-] ZINC000078533464 650513048 /nfs/dbraw/zinc/51/30/48/650513048.db2.gz UWIXGICIGAAWLI-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN Cc1ccc(C(=O)OCc2cccnc2)cc1[N+](=O)[O-] ZINC000018230422 639695235 /nfs/dbraw/zinc/69/52/35/639695235.db2.gz RAAHVHPPLPVKPR-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2nccn2C(F)F)ccc1F ZINC000078642237 650520689 /nfs/dbraw/zinc/52/06/89/650520689.db2.gz RDNUWWVYIMLGDS-UHFFFAOYSA-N 0 0 286.213 2.938 20 5 CFBDRN CC(=O)CCC(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700521 639777770 /nfs/dbraw/zinc/77/77/70/639777770.db2.gz HIYGPOUZOBLSHJ-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000870702306 639779698 /nfs/dbraw/zinc/77/96/98/639779698.db2.gz AETVKPUKKWEDLW-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000870724687 639793166 /nfs/dbraw/zinc/79/31/66/639793166.db2.gz JDCKKAPMOUPHCG-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(Nc1cccnc1Cl)c1csc([N+](=O)[O-])c1 ZINC000079431732 650591200 /nfs/dbraw/zinc/59/12/00/650591200.db2.gz WWVKFYYEZHYVFL-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000192897101 650606695 /nfs/dbraw/zinc/60/66/95/650606695.db2.gz AARDHVBGTGXMJO-NXEZZACHSA-N 0 0 265.265 2.947 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC1CCC2(CC2)CC1 ZINC000870798118 641075700 /nfs/dbraw/zinc/07/57/00/641075700.db2.gz YURFVSZJFLYUMY-UHFFFAOYSA-N 0 0 278.308 2.813 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870827841 641090909 /nfs/dbraw/zinc/09/09/09/641090909.db2.gz GQSMFQVQCUPOEZ-KIENENRBSA-N 0 0 295.339 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CSc1nncs1 ZINC000193224287 650634223 /nfs/dbraw/zinc/63/42/23/650634223.db2.gz MNTKFVCTOZMBHL-UHFFFAOYSA-N 0 0 271.298 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@@H]2CCCS2)c1 ZINC000871031824 641163971 /nfs/dbraw/zinc/16/39/71/641163971.db2.gz FRPPIPJPIWXOCC-NSHDSACASA-N 0 0 294.376 2.919 20 5 CFBDRN CC1(F)CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)CC1 ZINC000871075585 641190781 /nfs/dbraw/zinc/19/07/81/641190781.db2.gz GYMYGGQAQVIJEQ-UHFFFAOYSA-N 0 0 284.262 2.698 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000871092306 641198732 /nfs/dbraw/zinc/19/87/32/641198732.db2.gz PTVDFVQWCRIPRX-HOMQSWHASA-N 0 0 280.349 2.527 20 5 CFBDRN O=C(NOCCCC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000871210299 641249041 /nfs/dbraw/zinc/24/90/41/641249041.db2.gz CJYYCRSFSVWVGB-UHFFFAOYSA-N 0 0 292.213 2.599 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871514175 641336229 /nfs/dbraw/zinc/33/62/29/641336229.db2.gz FXJQWBLKEKHXNQ-BETUJISGSA-N 0 0 272.304 2.633 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1 ZINC000871651378 641382850 /nfs/dbraw/zinc/38/28/50/641382850.db2.gz DKSSHZGSKHOUTM-DYEKYZERSA-N 0 0 291.303 2.744 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC2(CCC2)CO1 ZINC000871650679 641382909 /nfs/dbraw/zinc/38/29/09/641382909.db2.gz CVTUCRWBKCITPY-LBPRGKRZSA-N 0 0 293.319 2.941 20 5 CFBDRN CO[C@H]1C[C@@H](Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)C1 ZINC000871652492 641383410 /nfs/dbraw/zinc/38/34/10/641383410.db2.gz UMKNEQWUIWOZMB-WGRBQBNCSA-N 0 0 287.319 2.883 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CSCCS2)cc1[N+](=O)[O-] ZINC000193439239 650654778 /nfs/dbraw/zinc/65/47/78/650654778.db2.gz BRIWKGAOLVZSGS-NSHDSACASA-N 0 0 298.389 2.690 20 5 CFBDRN CCc1ccc(OC[C@H]2C[C@@H](OC)C2)c([N+](=O)[O-])c1 ZINC000871654256 641384858 /nfs/dbraw/zinc/38/48/58/641384858.db2.gz SCLMCLSXVKQKKB-TXEJJXNPSA-N 0 0 265.309 2.961 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2C[C@@H]3CCC[C@H]3O2)n1 ZINC000871665341 641390134 /nfs/dbraw/zinc/39/01/34/641390134.db2.gz HMEKJKSECKWMJS-RWMBFGLXSA-N 0 0 292.335 2.943 20 5 CFBDRN Cc1conc1COc1cc(C)c([N+](=O)[O-])cc1F ZINC000871666621 641390908 /nfs/dbraw/zinc/39/09/08/641390908.db2.gz MCBSCUJPUPLJSM-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CC(C)(C)SCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000871669762 641393552 /nfs/dbraw/zinc/39/35/52/641393552.db2.gz WFBAXNHQPKACMT-UHFFFAOYSA-N 0 0 271.338 2.955 20 5 CFBDRN COCCCOc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871693514 641409089 /nfs/dbraw/zinc/40/90/89/641409089.db2.gz BSKVZVATOLJHIV-UHFFFAOYSA-N 0 0 263.652 2.803 20 5 CFBDRN Cc1nn(C)c(C)c1OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000871733522 641429181 /nfs/dbraw/zinc/42/91/81/641429181.db2.gz JKOPUGIFFGSPRV-UHFFFAOYSA-N 0 0 275.308 2.833 20 5 CFBDRN CO[C@H]1C[C@@H](COc2ccc([N+](=O)[O-])c3ncccc23)C1 ZINC000871742828 641433277 /nfs/dbraw/zinc/43/32/77/641433277.db2.gz RLJCWPOQTWBVKC-PHIMTYICSA-N 0 0 288.303 2.947 20 5 CFBDRN CCc1ccc(C(=O)OCC[C@H](C)OC)cc1[N+](=O)[O-] ZINC000080296595 650659532 /nfs/dbraw/zinc/65/95/32/650659532.db2.gz FWIZDHQSSSYCTG-JTQLQIEISA-N 0 0 281.308 2.739 20 5 CFBDRN NC(=NOCc1c(F)cccc1[N+](=O)[O-])C1CCC1 ZINC000871764650 641447003 /nfs/dbraw/zinc/44/70/03/641447003.db2.gz MDDZRZZYNROAPF-UHFFFAOYSA-N 0 0 267.260 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCc2nc(CC3CC3)no2)c1 ZINC000871817112 641468853 /nfs/dbraw/zinc/46/88/53/641468853.db2.gz BKSYKPLVEZCHQO-UHFFFAOYSA-N 0 0 292.270 2.682 20 5 CFBDRN CCC[C@H](CC)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000871843883 641477909 /nfs/dbraw/zinc/47/79/09/641477909.db2.gz NUOFSXMCZHPMIE-QMMMGPOBSA-N 0 0 279.300 2.672 20 5 CFBDRN Cc1nnc(NCc2cccc([N+](=O)[O-])c2C)n1C1CC1 ZINC000871864611 641488769 /nfs/dbraw/zinc/48/87/69/641488769.db2.gz GUEFOPZDECLFJO-UHFFFAOYSA-N 0 0 287.323 2.750 20 5 CFBDRN Cc1c(N[C@H](C)c2c(F)cccc2[N+](=O)[O-])cnn1C ZINC000872057786 641591206 /nfs/dbraw/zinc/59/12/06/641591206.db2.gz IGXRMMCTTPSYBS-MRVPVSSYSA-N 0 0 278.287 2.949 20 5 CFBDRN Cc1nnsc1CN[C@@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872074043 641605752 /nfs/dbraw/zinc/60/57/52/641605752.db2.gz VBAZWLXYUUMZJV-QMMMGPOBSA-N 0 0 296.327 2.745 20 5 CFBDRN CCn1ccnc1CN[C@@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872075113 641607142 /nfs/dbraw/zinc/60/71/42/641607142.db2.gz OMPVOBUZWUFMEY-JTQLQIEISA-N 0 0 292.314 2.801 20 5 CFBDRN COCC(C)(C)CCNc1ncc([N+](=O)[O-])cc1F ZINC000872685683 641895998 /nfs/dbraw/zinc/89/59/98/641895998.db2.gz YTABOINHOHDXBG-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN NC(=O)c1cc(NCCC[C@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000872694267 641898883 /nfs/dbraw/zinc/89/88/83/641898883.db2.gz QBECNAQAHAJCRB-NSHDSACASA-N 0 0 289.335 2.852 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCOC1CCC1 ZINC000080652316 650701771 /nfs/dbraw/zinc/70/17/71/650701771.db2.gz MWEZLVUULZJZCX-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1cc(NCCC[C@H]2CCOC2=O)ccc1[N+](=O)[O-] ZINC000872703657 641904551 /nfs/dbraw/zinc/90/45/51/641904551.db2.gz GQSSJBQNNUVEIA-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN COC(=O)CC[C@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000872748774 641920006 /nfs/dbraw/zinc/92/00/06/641920006.db2.gz WUDMDHPOBFFWDJ-SNVBAGLBSA-N 0 0 298.364 2.826 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCO[C@H](C(F)(F)F)CC1 ZINC000872752669 641921313 /nfs/dbraw/zinc/92/13/13/641921313.db2.gz ZFCBTJJFDSLQSJ-NSHDSACASA-N 0 0 290.241 2.752 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000872763385 641923426 /nfs/dbraw/zinc/92/34/26/641923426.db2.gz GGVUWOGLDGDYLW-MGCOHNPYSA-N 0 0 293.323 2.522 20 5 CFBDRN CCOC(=O)[C@@H](C)C1CN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000872773409 641928151 /nfs/dbraw/zinc/92/81/51/641928151.db2.gz ZHFWNUOIMSTFCH-NSHDSACASA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3CC34CCC4)c21 ZINC000872775049 641929687 /nfs/dbraw/zinc/92/96/87/641929687.db2.gz MRVDOQSFNVSSBT-LLVKDONJSA-N 0 0 270.292 2.893 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000872982929 641976980 /nfs/dbraw/zinc/97/69/80/641976980.db2.gz PEKWMEIVVGOYBD-ZDUSSCGKSA-N 0 0 289.335 2.645 20 5 CFBDRN CC(C)CCN(C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000194108424 650717863 /nfs/dbraw/zinc/71/78/63/650717863.db2.gz MIVBYUOGPYLIBK-UHFFFAOYSA-N 0 0 291.351 2.962 20 5 CFBDRN CC[C@@H](C)COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000124474548 642118901 /nfs/dbraw/zinc/11/89/01/642118901.db2.gz DUJKFVIYTOSBNW-SNVBAGLBSA-N 0 0 251.282 2.727 20 5 CFBDRN CC[C@H](OC(=O)CSc1ccccc1[N+](=O)[O-])C(C)=O ZINC000873314433 642131938 /nfs/dbraw/zinc/13/19/38/642131938.db2.gz TZIATPUCUJFIRX-NSHDSACASA-N 0 0 297.332 2.598 20 5 CFBDRN O=C(OC[C@@H]1CC2(CCC2)CO1)c1cccc([N+](=O)[O-])c1 ZINC000873317216 642133612 /nfs/dbraw/zinc/13/36/12/642133612.db2.gz IKEGGYSQDUVXCV-ZDUSSCGKSA-N 0 0 291.303 2.711 20 5 CFBDRN O=C(OC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1csc([N+](=O)[O-])c1 ZINC000873348558 642145135 /nfs/dbraw/zinc/14/51/35/642145135.db2.gz LVAXHNABGLMOCR-INTQDDNPSA-N 0 0 297.332 2.771 20 5 CFBDRN CC[C@H](OC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873378671 642166860 /nfs/dbraw/zinc/16/68/60/642166860.db2.gz MSNUQOUSKOVXAU-HBWSCVEGSA-N 0 0 291.303 2.909 20 5 CFBDRN CCC[C@@H](CC)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000873420844 642197195 /nfs/dbraw/zinc/19/71/95/642197195.db2.gz NKQJTNRVMBBJTL-SECBINFHSA-N 0 0 270.285 2.761 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCCC[C@H](C)O ZINC000873438273 642204869 /nfs/dbraw/zinc/20/48/69/642204869.db2.gz HIRLLKSSGZOUPG-JTQLQIEISA-N 0 0 281.308 2.529 20 5 CFBDRN CO[C@@H](COC(=O)c1c(C)ccc([N+](=O)[O-])c1C)C1CC1 ZINC000873438758 642205827 /nfs/dbraw/zinc/20/58/27/642205827.db2.gz XMGZQRVLITUSDY-ZDUSSCGKSA-N 0 0 293.319 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@@H]1CCSC1 ZINC000873502759 642225915 /nfs/dbraw/zinc/22/59/15/642225915.db2.gz BPCUDRXVNYZFRP-SNVBAGLBSA-N 0 0 281.333 2.874 20 5 CFBDRN CC(C)OC1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000873512400 642229157 /nfs/dbraw/zinc/22/91/57/642229157.db2.gz KTSPFYTVNWGRBR-UHFFFAOYSA-N 0 0 297.282 2.987 20 5 CFBDRN COc1ccc(C(=O)OC2CCSCC2)cc1[N+](=O)[O-] ZINC000873559884 642250486 /nfs/dbraw/zinc/25/04/86/642250486.db2.gz BRTQKNWJYWRSMJ-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN CCO[C@H]1CCC[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000873596307 642267639 /nfs/dbraw/zinc/26/76/39/642267639.db2.gz NZHQBEQQOAFSSI-NWDGAFQWSA-N 0 0 287.356 2.713 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(C)CC(F)(F)C1 ZINC000873983073 642315793 /nfs/dbraw/zinc/31/57/93/642315793.db2.gz OURFUSLAPXQPQZ-UHFFFAOYSA-N 0 0 298.289 2.989 20 5 CFBDRN CCn1cc(NC(=O)c2c(C)ccc([N+](=O)[O-])c2C)cn1 ZINC000873994640 642319335 /nfs/dbraw/zinc/31/93/35/642319335.db2.gz BGSDESWDVHTSLI-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1cccc(CNC(=O)c2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000874099602 642337832 /nfs/dbraw/zinc/33/78/32/642337832.db2.gz XPIGUKWLBYXDJA-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1ncc(C(=O)OCc2cccc([N+](=O)[O-])c2)s1 ZINC000195271191 650745030 /nfs/dbraw/zinc/74/50/30/650745030.db2.gz HADFVTFBSZTVPK-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CCC1(CC)CCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000874626048 642429345 /nfs/dbraw/zinc/42/93/45/642429345.db2.gz GDQQJGKPKHIHAQ-UHFFFAOYSA-N 0 0 291.351 2.972 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1F ZINC000874779849 642480274 /nfs/dbraw/zinc/48/02/74/642480274.db2.gz FGNAGPKLAUGOSM-NOZJJQNGSA-N 0 0 292.310 2.896 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000874785178 642486325 /nfs/dbraw/zinc/48/63/25/642486325.db2.gz DHRVNNRKZLPAFH-ZDUSSCGKSA-N 0 0 285.303 2.749 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC12CCC2 ZINC000874785980 642487248 /nfs/dbraw/zinc/48/72/48/642487248.db2.gz JUTMFVGOXMPHCV-GFCCVEGCSA-N 0 0 260.293 2.576 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C=C1SC(=O)NC1=O ZINC000874929445 642555152 /nfs/dbraw/zinc/55/51/52/642555152.db2.gz CZRQQEVPZJVRJO-BJMVGYQFSA-N 0 0 278.289 2.536 20 5 CFBDRN Cc1nc(NC(=O)[C@]23C[C@H]2CCCC3)ccc1[N+](=O)[O-] ZINC000875424289 642620573 /nfs/dbraw/zinc/62/05/73/642620573.db2.gz WEMYLHRRLOSEHG-QMTHXVAHSA-N 0 0 275.308 2.817 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000875502221 642631109 /nfs/dbraw/zinc/63/11/09/642631109.db2.gz ZTDJGCAHYJBDBZ-GMTAPVOTSA-N 0 0 279.292 2.622 20 5 CFBDRN CC[C@H]1CCCCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000125457248 642636555 /nfs/dbraw/zinc/63/65/55/642636555.db2.gz FHOGVKLTBUUHBZ-LBPRGKRZSA-N 0 0 291.351 2.972 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Oc1ccccc1F ZINC000876010126 642742122 /nfs/dbraw/zinc/74/21/22/642742122.db2.gz LLBKPGVNZISCPQ-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000876063904 642763368 /nfs/dbraw/zinc/76/33/68/642763368.db2.gz UUMLGLRSUBNHSX-HOMQSWHASA-N 0 0 295.364 2.919 20 5 CFBDRN CCN(CC1CC1)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000876169122 642784545 /nfs/dbraw/zinc/78/45/45/642784545.db2.gz MOSWFWXYQBAHIJ-UHFFFAOYSA-N 0 0 291.351 2.845 20 5 CFBDRN COC(C)(C)CCNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000876319668 642822507 /nfs/dbraw/zinc/82/25/07/642822507.db2.gz GZIRCCQRRQZLHW-UHFFFAOYSA-N 0 0 298.314 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@H]1CCO[C@H]1C ZINC000876316769 642822744 /nfs/dbraw/zinc/82/27/44/642822744.db2.gz JRWKXTZMODNKPD-NWDGAFQWSA-N 0 0 293.319 2.680 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCO[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000876468044 642883057 /nfs/dbraw/zinc/88/30/57/642883057.db2.gz CGDXSQZLEWVOIS-FOGDFJRCSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H](O)CCNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000086016438 650793707 /nfs/dbraw/zinc/79/37/07/650793707.db2.gz BOYXVLGCBDMXDP-ZETCQYMHSA-N 0 0 260.240 2.715 20 5 CFBDRN Cc1ccc2c(n1)N(C(=O)c1ccc([N+](=O)[O-])cc1)CCC2 ZINC000876491111 642892061 /nfs/dbraw/zinc/89/20/61/642892061.db2.gz CLKHFJBXQKJKKX-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN(C)CC(F)F ZINC000876639879 642954556 /nfs/dbraw/zinc/95/45/56/642954556.db2.gz KQGGSWYGATYYBW-UHFFFAOYSA-N 0 0 258.268 2.909 20 5 CFBDRN O=C(C=C1CCC1)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000087240452 650801329 /nfs/dbraw/zinc/80/13/29/650801329.db2.gz XESZQWWDYPHWBS-UHFFFAOYSA-N 0 0 298.302 2.829 20 5 CFBDRN Cn1cc(CNc2cccc3c2CCCC3)c([N+](=O)[O-])n1 ZINC000876953167 643077592 /nfs/dbraw/zinc/07/75/92/643077592.db2.gz ZSMZYZHWFNNDSB-UHFFFAOYSA-N 0 0 286.335 2.819 20 5 CFBDRN O=[N+]([O-])c1cnn(C2CC(Nc3ccc(Cl)nc3)C2)c1 ZINC000877167298 643185441 /nfs/dbraw/zinc/18/54/41/643185441.db2.gz SYRQFRCXLZOMKA-UHFFFAOYSA-N 0 0 293.714 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@@H+]1CC[C@@H](O)C(C)(C)C1 ZINC000877461255 643281280 /nfs/dbraw/zinc/28/12/80/643281280.db2.gz CMFOXZFZDXXFLE-OAHLLOKOSA-N 0 0 292.379 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN[C@H]3C=CCC3)nc2c1 ZINC000877624178 643410482 /nfs/dbraw/zinc/41/04/82/643410482.db2.gz MCGUFMZVHBSFLY-VIFPVBQESA-N 0 0 259.265 2.544 20 5 CFBDRN CC1(C)C[C@H](O)CN(Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000877752828 643531615 /nfs/dbraw/zinc/53/16/15/643531615.db2.gz SWGAKOWLWRXKMU-NSHDSACASA-N 0 0 298.770 2.841 20 5 CFBDRN CC(C)(C)OC1CN(Cc2ccccc2[N+](=O)[O-])C1 ZINC000877772352 643552132 /nfs/dbraw/zinc/55/21/32/643552132.db2.gz ZZZUHCLKIIUGDD-UHFFFAOYSA-N 0 0 264.325 2.594 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cc(C)nnc1C ZINC000877876720 643616068 /nfs/dbraw/zinc/61/60/68/643616068.db2.gz ZWBNOYVVRXLPGR-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN CCOCCOCCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000877877361 643617453 /nfs/dbraw/zinc/61/74/53/643617453.db2.gz YKSPOWOZBGOHMF-UHFFFAOYSA-N 0 0 289.715 2.680 20 5 CFBDRN Cc1nn(Cc2cc(C)nnc2C)c2ccc([N+](=O)[O-])cc12 ZINC000877879233 643618047 /nfs/dbraw/zinc/61/80/47/643618047.db2.gz UHUQSUNXJBFVCF-UHFFFAOYSA-N 0 0 297.318 2.708 20 5 CFBDRN Cc1cc(COc2nc(C)cc(C)c2[N+](=O)[O-])c(C)nn1 ZINC000877879762 643619153 /nfs/dbraw/zinc/61/91/53/643619153.db2.gz ORGJTZGUCXROSB-UHFFFAOYSA-N 0 0 288.307 2.592 20 5 CFBDRN CCOc1cc(OCCOC2CC2)ccc1[N+](=O)[O-] ZINC000877888949 643625188 /nfs/dbraw/zinc/62/51/88/643625188.db2.gz AUYBKGFKFIAJRT-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1C=CCC1 ZINC000877892990 643627528 /nfs/dbraw/zinc/62/75/28/643627528.db2.gz IKASBTHQTHVZSY-SNVBAGLBSA-N 0 0 291.307 2.691 20 5 CFBDRN CCc1nc(C(=O)OCCc2ccccc2[N+](=O)[O-])co1 ZINC000126945404 643772533 /nfs/dbraw/zinc/77/25/33/643772533.db2.gz PJDWQCQQTPCFAP-UHFFFAOYSA-N 0 0 290.275 2.545 20 5 CFBDRN Cc1conc1CN(C)CCc1ccccc1[N+](=O)[O-] ZINC000878599160 643952252 /nfs/dbraw/zinc/95/22/52/643952252.db2.gz VFVAWLKDFGAKIK-UHFFFAOYSA-N 0 0 275.308 2.566 20 5 CFBDRN CON(Cc1cn(C)nc1[N+](=O)[O-])C[C@@H]1CCC[C@H](C)C1 ZINC000878631854 643966339 /nfs/dbraw/zinc/96/63/39/643966339.db2.gz AKRMVYUGFRSKJN-NWDGAFQWSA-N 0 0 296.371 2.518 20 5 CFBDRN Cc1conc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000878643524 643970369 /nfs/dbraw/zinc/97/03/69/643970369.db2.gz IRKUIJZHBLOFBG-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN C[C@@H]1CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])[C@H](CO)C1 ZINC000879147210 644158844 /nfs/dbraw/zinc/15/88/44/644158844.db2.gz ZPMJIPWJGKVOSE-MFKMUULPSA-N 0 0 298.770 2.841 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000879322042 644227256 /nfs/dbraw/zinc/22/72/56/644227256.db2.gz TZFZCYNGMJXWSN-WDEREUQCSA-N 0 0 282.315 2.733 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000879325229 644232790 /nfs/dbraw/zinc/23/27/90/644232790.db2.gz JKYSRRPPRNFVPN-ZWNOBZJWSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@@H]1CCC[C@H](C)C1 ZINC000127937110 644379797 /nfs/dbraw/zinc/37/97/97/644379797.db2.gz FUNMOCZSKJYLPC-CMPLNLGQSA-N 0 0 295.339 2.612 20 5 CFBDRN CCON(CC)Cc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000879799356 644429212 /nfs/dbraw/zinc/42/92/12/644429212.db2.gz MZFVFFIRUIXQHR-UHFFFAOYSA-N 0 0 272.276 2.516 20 5 CFBDRN COC1CCC(OC(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000128199468 644525867 /nfs/dbraw/zinc/52/58/67/644525867.db2.gz CKGFCCJJLDXQHF-UHFFFAOYSA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1nccnc1[C@H](C)NCc1ccc([N+](=O)[O-])c(F)c1 ZINC000880109126 644534951 /nfs/dbraw/zinc/53/49/51/644534951.db2.gz QSQIOTIELJOWAN-JTQLQIEISA-N 0 0 290.298 2.683 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCC[C@H](CF)C3)nc2c1 ZINC000880236809 644599346 /nfs/dbraw/zinc/59/93/46/644599346.db2.gz JKQIMIHDDFHOFQ-SNVBAGLBSA-N 0 0 292.314 2.653 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CC[C@H](CF)C2)c(F)c1 ZINC000880300606 644641512 /nfs/dbraw/zinc/64/15/12/644641512.db2.gz UQUIBGLBKPWDFY-MRVPVSSYSA-N 0 0 274.242 2.664 20 5 CFBDRN O=[N+]([O-])c1cccc(CNC2(c3ncccn3)CCC2)c1 ZINC000880364400 644668243 /nfs/dbraw/zinc/66/82/43/644668243.db2.gz AXXUVEXUWHNPII-UHFFFAOYSA-N 0 0 284.319 2.554 20 5 CFBDRN CCOc1cc(NC(=O)N2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000888832085 644816120 /nfs/dbraw/zinc/81/61/20/644816120.db2.gz SWOGXJXHYPUAJQ-VIFPVBQESA-N 0 0 297.286 2.569 20 5 CFBDRN CCOc1cc(NC(=O)NC[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000888836256 644835146 /nfs/dbraw/zinc/83/51/46/644835146.db2.gz QWZNMRJBFIJLNT-ZJUUUORDSA-N 0 0 293.323 2.771 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000881277224 644942260 /nfs/dbraw/zinc/94/22/60/644942260.db2.gz SCMMCVMNUZVBIR-JGVFFNPUSA-N 0 0 287.266 2.609 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)OCc1cccc([N+](=O)[O-])c1 ZINC000129037267 644982927 /nfs/dbraw/zinc/98/29/27/644982927.db2.gz DYGFLXSVRBVJEL-ZDUSSCGKSA-N 0 0 279.292 2.597 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000881494748 644993979 /nfs/dbraw/zinc/99/39/79/644993979.db2.gz CIZFRRIJYOVLII-SNVBAGLBSA-N 0 0 285.303 2.772 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000881497920 644997607 /nfs/dbraw/zinc/99/76/07/644997607.db2.gz HSGMBAOCUAFQII-VXGBXAGGSA-N 0 0 274.320 2.781 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1C=CCC1 ZINC000881499327 644999561 /nfs/dbraw/zinc/99/95/61/644999561.db2.gz SZZKIIWMYGXIHJ-GFCCVEGCSA-N 0 0 274.320 2.908 20 5 CFBDRN CC1(C)C[C@]1(C)CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000881546956 645038266 /nfs/dbraw/zinc/03/82/66/645038266.db2.gz YNCATQXCZCLROJ-OAHLLOKOSA-N 0 0 294.326 2.829 20 5 CFBDRN C=C/C=C/CCNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000881563742 645042657 /nfs/dbraw/zinc/04/26/57/645042657.db2.gz OBOQPFGQINIXEF-ONEGZZNKSA-N 0 0 289.335 2.645 20 5 CFBDRN C=C/C=C/CCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000881567189 645047056 /nfs/dbraw/zinc/04/70/56/645047056.db2.gz LICAUBMMNIDWTD-ZYOFXKKJSA-N 0 0 274.320 2.947 20 5 CFBDRN C=C/C=C\CCNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000881568689 645047560 /nfs/dbraw/zinc/04/75/60/645047560.db2.gz AOQCHDRDOMCAAG-VUBDOFJKSA-N 0 0 286.331 2.947 20 5 CFBDRN CSc1cccc(C(=O)N2CCC(F)CC2)c1[N+](=O)[O-] ZINC000881611702 645081154 /nfs/dbraw/zinc/08/11/54/645081154.db2.gz SBNJTTIZJXFKSV-UHFFFAOYSA-N 0 0 298.339 2.891 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC[C@@H](CF)C1 ZINC000881667740 645095537 /nfs/dbraw/zinc/09/55/37/645095537.db2.gz JCGFUTWMUDJWGY-QMMMGPOBSA-N 0 0 286.690 2.680 20 5 CFBDRN CCC1CCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000881830921 645136279 /nfs/dbraw/zinc/13/62/79/645136279.db2.gz JFEBSWXYKPCQTC-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN C[C@@H](COCC(F)(F)F)Nc1ccnc(F)c1[N+](=O)[O-] ZINC000881856838 645141283 /nfs/dbraw/zinc/14/12/83/645141283.db2.gz OLDMUKVLZKQIPX-LURJTMIESA-N 0 0 297.208 2.508 20 5 CFBDRN CSCCCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000881994604 645170434 /nfs/dbraw/zinc/17/04/34/645170434.db2.gz ANILDKUVYSXNDA-UHFFFAOYSA-N 0 0 259.306 2.684 20 5 CFBDRN CC(=O)c1c(NC[C@H](C)C[C@@H](C)O)cccc1[N+](=O)[O-] ZINC000882007257 645174426 /nfs/dbraw/zinc/17/44/26/645174426.db2.gz HOEPINADZKTNCX-NXEZZACHSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1C[C@@H]2[C@H](C1)C2(C)C ZINC000882023753 645179695 /nfs/dbraw/zinc/17/96/95/645179695.db2.gz PDZAAVPNNNYMOE-PHIMTYICSA-N 0 0 274.320 2.890 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@]2(CCCOC2)C1 ZINC000882047378 645187180 /nfs/dbraw/zinc/18/71/80/645187180.db2.gz PVTKCHBSPSCOKG-AWEZNQCLSA-N 0 0 295.314 2.526 20 5 CFBDRN CCc1noc(CC)c1CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882078622 645193972 /nfs/dbraw/zinc/19/39/72/645193972.db2.gz YPJYHFBIDBGWLG-UHFFFAOYSA-N 0 0 294.286 2.854 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882126626 645212757 /nfs/dbraw/zinc/21/27/57/645212757.db2.gz FBSNMUPBWKLRNU-ZETCQYMHSA-N 0 0 294.286 2.949 20 5 CFBDRN CC(=O)c1c(NCCC[C@@H](C)CO)cccc1[N+](=O)[O-] ZINC000882135600 645216512 /nfs/dbraw/zinc/21/65/12/645216512.db2.gz DKAAVIUBLBYPJE-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(SCO[C@H]2CCCOC2)c(F)c1 ZINC000882157445 645223791 /nfs/dbraw/zinc/22/37/91/645223791.db2.gz XGKMCNWTWNNCLH-JTQLQIEISA-N 0 0 287.312 2.979 20 5 CFBDRN COc1cc(OCCCOC(F)(F)F)ccc1[N+](=O)[O-] ZINC000882162443 645225386 /nfs/dbraw/zinc/22/53/86/645225386.db2.gz QPTXJJFHEPKJFO-UHFFFAOYSA-N 0 0 295.213 2.909 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@@H](OCC2CC2)C1 ZINC000882208242 645243385 /nfs/dbraw/zinc/24/33/85/645243385.db2.gz LDQGMDKLZSXRFQ-LLVKDONJSA-N 0 0 295.314 2.524 20 5 CFBDRN CC(=O)c1c(NC[C@@H]2CCC=CO2)cccc1[N+](=O)[O-] ZINC000882230263 645248484 /nfs/dbraw/zinc/24/84/84/645248484.db2.gz ZTUCXVFLUCDYDP-NSHDSACASA-N 0 0 276.292 2.902 20 5 CFBDRN Cc1cccc(COC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000129556020 645258036 /nfs/dbraw/zinc/25/80/36/645258036.db2.gz GZQVPKBWVRNYOY-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CCC[C@@H](O)CCCSCc1cn(C)nc1[N+](=O)[O-] ZINC000882282848 645262496 /nfs/dbraw/zinc/26/24/96/645262496.db2.gz SYELXRZSXKMIIJ-LLVKDONJSA-N 0 0 287.385 2.503 20 5 CFBDRN CC1=C[C@@H](C)CN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882300776 645270604 /nfs/dbraw/zinc/27/06/04/645270604.db2.gz WWPSAMFJAPUYBG-MRVPVSSYSA-N 0 0 251.261 2.531 20 5 CFBDRN CC(C)CNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129573947 645278467 /nfs/dbraw/zinc/27/84/67/645278467.db2.gz IDLCQWUOAYBXCA-UHFFFAOYSA-N 0 0 256.689 2.634 20 5 CFBDRN CC(=O)c1c(N[C@@H](C)CCCCO)cccc1[N+](=O)[O-] ZINC000882411175 645303392 /nfs/dbraw/zinc/30/33/92/645303392.db2.gz VRGFZQRQENUXDT-JTQLQIEISA-N 0 0 280.324 2.760 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882439413 645315106 /nfs/dbraw/zinc/31/51/06/645315106.db2.gz KHKFYHATAVTCHA-PHIMTYICSA-N 0 0 278.308 2.777 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000882447111 645319979 /nfs/dbraw/zinc/31/99/79/645319979.db2.gz ZMTCGEQZWZFSNG-OCCSQVGLSA-N 0 0 292.335 2.530 20 5 CFBDRN Cc1cc(C(=O)OCc2cncs2)cc([N+](=O)[O-])c1 ZINC000129711919 645349245 /nfs/dbraw/zinc/34/92/45/645349245.db2.gz IRPTVSWPDVMCGC-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC3CSC3)sc2c1 ZINC000882625585 645393109 /nfs/dbraw/zinc/39/31/09/645393109.db2.gz LNBIVMSJIXQTJL-UHFFFAOYSA-N 0 0 267.335 2.732 20 5 CFBDRN C[C@@H](O)CSc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000882737341 645436150 /nfs/dbraw/zinc/43/61/50/645436150.db2.gz ITDIXLUCCKJCMD-ZCFIWIBFSA-N 0 0 279.264 2.669 20 5 CFBDRN CO[C@H]1C[C@H](CNc2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000882797045 645459354 /nfs/dbraw/zinc/45/93/54/645459354.db2.gz NVKDGMBGCOVDCK-UMSPYCQHSA-N 0 0 287.319 2.980 20 5 CFBDRN C/C=C/COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000130152126 645459719 /nfs/dbraw/zinc/45/97/19/645459719.db2.gz BUNDZXVSEPFNOJ-NSCUHMNNSA-N 0 0 255.657 2.981 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H](CF)C1 ZINC000882800720 645461591 /nfs/dbraw/zinc/46/15/91/645461591.db2.gz LBUHAFQLESPBJW-SECBINFHSA-N 0 0 256.252 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H](CF)C1 ZINC000882802336 645463137 /nfs/dbraw/zinc/46/31/37/645463137.db2.gz ZFBLGXULMUPBDG-QMMMGPOBSA-N 0 0 273.695 2.829 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@@H](CF)C2)c1 ZINC000882801565 645463176 /nfs/dbraw/zinc/46/31/76/645463176.db2.gz UUGIQESXGAGHPA-JTQLQIEISA-N 0 0 268.288 2.789 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CCC[C@H](CF)C1 ZINC000882802445 645464158 /nfs/dbraw/zinc/46/41/58/645464158.db2.gz DKTSKRQZKIJNGG-SECBINFHSA-N 0 0 283.259 2.689 20 5 CFBDRN CC(C)Oc1nc(N2CCC(F)CC2)ccc1[N+](=O)[O-] ZINC000882804992 645464985 /nfs/dbraw/zinc/46/49/85/645464985.db2.gz IJIHITLRGQXZMT-UHFFFAOYSA-N 0 0 283.303 2.715 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC(F)CC1 ZINC000882805848 645465401 /nfs/dbraw/zinc/46/54/01/645465401.db2.gz YKDDYPMRBPQXHF-UHFFFAOYSA-N 0 0 259.668 2.582 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@H](CF)C1 ZINC000882841161 645476124 /nfs/dbraw/zinc/47/61/24/645476124.db2.gz NYGDYTZXQLETAH-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN Cc1cc(N2CC[C@@H](CF)C2)c(F)cc1[N+](=O)[O-] ZINC000882840595 645476410 /nfs/dbraw/zinc/47/64/10/645476410.db2.gz ZFCKYPRSCVXBLJ-VIFPVBQESA-N 0 0 256.252 2.838 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](CF)C2)c2ncccc12 ZINC000882841821 645477522 /nfs/dbraw/zinc/47/75/22/645477522.db2.gz GKYQCBPCKRPDJM-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@@H](CF)C3)ccc2c1 ZINC000882842352 645477566 /nfs/dbraw/zinc/47/75/66/645477566.db2.gz UJRRSHKMYGRWCM-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN CO[C@]1(C(F)(F)F)CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000882842671 645477659 /nfs/dbraw/zinc/47/76/59/645477659.db2.gz BYWQIXZSERBJER-SECBINFHSA-N 0 0 296.270 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)Cc2ccoc2)s1 ZINC000882866346 645482374 /nfs/dbraw/zinc/48/23/74/645482374.db2.gz KQUAQPINWMPZQG-SNVBAGLBSA-N 0 0 282.321 2.512 20 5 CFBDRN Cc1nc(N2CC(CC3CCOCC3)C2)ccc1[N+](=O)[O-] ZINC000882885560 645492118 /nfs/dbraw/zinc/49/21/18/645492118.db2.gz CPERJDTVIJYVBA-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000882906027 645504299 /nfs/dbraw/zinc/50/42/99/645504299.db2.gz HCCKQJGTXKGZMO-PHIMTYICSA-N 0 0 287.319 2.980 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000882906486 645504913 /nfs/dbraw/zinc/50/49/13/645504913.db2.gz INWYPBSDJRCDQL-DTORHVGOSA-N 0 0 256.327 2.802 20 5 CFBDRN NC(=O)c1cc(N[C@@H]2C[C@@H]3CCCC[C@H]23)ccc1[N+](=O)[O-] ZINC000882907031 645505446 /nfs/dbraw/zinc/50/54/46/645505446.db2.gz IOLNBNFDHXCFRS-XHVZSJERSA-N 0 0 289.335 2.684 20 5 CFBDRN CC[C@@H](Nc1ccnc(F)c1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000882953785 645525665 /nfs/dbraw/zinc/52/56/65/645525665.db2.gz YVQNUQRVRHKYAV-NXEZZACHSA-N 0 0 283.303 2.746 20 5 CFBDRN CCc1nn(C)c(N[C@@H](CC)[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000882955320 645526321 /nfs/dbraw/zinc/52/63/21/645526321.db2.gz IHSWRCSLIRMORN-MNOVXSKESA-N 0 0 296.371 2.508 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC3(CCC3)O2)c1 ZINC000882973187 645535675 /nfs/dbraw/zinc/53/56/75/645535675.db2.gz LYXGQYQXIOHPDP-NSHDSACASA-N 0 0 277.324 2.691 20 5 CFBDRN Cc1cnc(N[C@@H]2CCC[C@]23CCCO3)c([N+](=O)[O-])c1 ZINC000882984206 645542919 /nfs/dbraw/zinc/54/29/19/645542919.db2.gz ZFNWUGAVYKEANM-OCCSQVGLSA-N 0 0 277.324 2.812 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1c2ccccc2CC[C@@H]1F ZINC000882991147 645548504 /nfs/dbraw/zinc/54/85/04/645548504.db2.gz NKPVDZZBMBUBJO-RYUDHWBXSA-N 0 0 290.298 2.766 20 5 CFBDRN O=C(OCC(F)(F)C(F)F)c1ccc(F)cc1[N+](=O)[O-] ZINC000131277128 645681196 /nfs/dbraw/zinc/68/11/96/645681196.db2.gz QMOQDZTZWKLCFD-UHFFFAOYSA-N 0 0 299.151 2.791 20 5 CFBDRN COC[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000131774031 645812480 /nfs/dbraw/zinc/81/24/80/645812480.db2.gz HNTUVIZFAZCKSK-MDDUGHTDSA-N 0 0 291.303 2.576 20 5 CFBDRN C[C@H](O)[C@H]1CCCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000305118180 651050307 /nfs/dbraw/zinc/05/03/07/651050307.db2.gz GEGLIJJYHRMNRX-GXFFZTMASA-N 0 0 298.770 2.984 20 5 CFBDRN COCC1(NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])CCC1 ZINC000890461705 645917837 /nfs/dbraw/zinc/91/78/37/645917837.db2.gz ILIUOKURJZUUOH-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN C[N@@H+](Cc1ccc(Cl)c([N+](=O)[O-])c1)C[C@@H]1CCC[C@@H]1O ZINC000305308943 651057360 /nfs/dbraw/zinc/05/73/60/651057360.db2.gz JBCYQDSVCOSWKC-FZMZJTMJSA-N 0 0 298.770 2.841 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000132763040 645964137 /nfs/dbraw/zinc/96/41/37/645964137.db2.gz PKLGTDOLJMCSAC-VHSXEESVSA-N 0 0 298.339 2.748 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1csc([N+](=O)[O-])c1 ZINC000800140800 646153948 /nfs/dbraw/zinc/15/39/48/646153948.db2.gz VVZBKDFKOUJNJE-YFKPBYRVSA-N 0 0 287.190 2.714 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CCOC[C@@H]1C ZINC000800146870 646156058 /nfs/dbraw/zinc/15/60/58/646156058.db2.gz JCHUBZFFAYQKDE-WPRPVWTQSA-N 0 0 296.298 2.653 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)O1 ZINC000800147823 646156483 /nfs/dbraw/zinc/15/64/83/646156483.db2.gz BKJKPXHHWHPAQP-BXKDBHETSA-N 0 0 296.298 2.938 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C1=CCC1 ZINC000800149985 646157592 /nfs/dbraw/zinc/15/75/92/646157592.db2.gz ZTVJDFIXJOEMDP-UHFFFAOYSA-N 0 0 250.229 2.701 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccoc1 ZINC000800150150 646158115 /nfs/dbraw/zinc/15/81/15/646158115.db2.gz DEJRDZPPSVQQOA-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000800165698 646164462 /nfs/dbraw/zinc/16/44/62/646164462.db2.gz ITTUEWVVUIALPI-MRVPVSSYSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)COC1CC1 ZINC000889041725 646167834 /nfs/dbraw/zinc/16/78/34/646167834.db2.gz PDQIJRYHQQNWBC-UHFFFAOYSA-N 0 0 284.699 2.674 20 5 CFBDRN Cc1nc(NC(=O)c2cccc(Cl)n2)ccc1[N+](=O)[O-] ZINC000133599005 646170854 /nfs/dbraw/zinc/17/08/54/646170854.db2.gz VDCMAYMSVWAURF-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cccnc2[N+](=O)[O-])c1 ZINC000134430375 646343524 /nfs/dbraw/zinc/34/35/24/646343524.db2.gz FBIDAPVQSZYBAQ-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCC2CC2)c2nonc21 ZINC000134830333 646390788 /nfs/dbraw/zinc/39/07/88/646390788.db2.gz VQRMEWMDFSEXLU-UHFFFAOYSA-N 0 0 262.269 2.733 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1C[C@@H]2C[C@@H]2C1 ZINC000884590873 646473854 /nfs/dbraw/zinc/47/38/54/646473854.db2.gz HCNZWURWHJKPCU-AOOOYVTPSA-N 0 0 255.277 2.599 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cc(C3CC3)no2)s1 ZINC000884637630 646498835 /nfs/dbraw/zinc/49/88/35/646498835.db2.gz VHYQFKYTOBCKQG-UHFFFAOYSA-N 0 0 266.282 2.529 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])c(N)n2)[C@@H]1c1ccccc1 ZINC000884641872 646502608 /nfs/dbraw/zinc/50/26/08/646502608.db2.gz VLCODMNJGSHSSK-GWCFXTLKSA-N 0 0 299.334 2.555 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])c(N)n2)[C@@H]1c1ccccc1 ZINC000884641874 646502899 /nfs/dbraw/zinc/50/28/99/646502899.db2.gz VLCODMNJGSHSSK-MFKMUULPSA-N 0 0 299.334 2.555 20 5 CFBDRN Cc1cc(NC[C@H]2CC3(CCC3)CO2)ncc1[N+](=O)[O-] ZINC000884661980 646515050 /nfs/dbraw/zinc/51/50/50/646515050.db2.gz DZRHLNNGNYKTFQ-LLVKDONJSA-N 0 0 277.324 2.669 20 5 CFBDRN CCC(CC)OC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000135339878 646520156 /nfs/dbraw/zinc/52/01/56/646520156.db2.gz XTJYGHDUJAJHNJ-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN C[C@@H]1C[C@]2(CC[C@H](CNc3ccc([N+](=O)[O-])cc3)O2)CO1 ZINC000884698200 646532480 /nfs/dbraw/zinc/53/24/80/646532480.db2.gz KOXSWPWBENZHDW-KCPJHIHWSA-N 0 0 292.335 2.733 20 5 CFBDRN CC(C)OC(=O)C1CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000884719259 646540972 /nfs/dbraw/zinc/54/09/72/646540972.db2.gz FKNAYVXQYXCGKO-UHFFFAOYSA-N 0 0 298.364 2.824 20 5 CFBDRN Cc1ccc(N2CC[C@H]([C@@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000884731164 646544260 /nfs/dbraw/zinc/54/42/60/646544260.db2.gz UILUTSMHHPPBJR-WFASDCNBSA-N 0 0 276.336 2.909 20 5 CFBDRN Nc1nc(Cl)cc(Oc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000135531631 646548632 /nfs/dbraw/zinc/54/86/32/646548632.db2.gz MQZKFSCARFNANE-UHFFFAOYSA-N 0 0 284.634 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cc(F)cc([N+](=O)[O-])c2)nc1 ZINC000135530305 646548819 /nfs/dbraw/zinc/54/88/19/646548819.db2.gz LSXHQWUQYAAOQT-UHFFFAOYSA-N 0 0 279.183 2.829 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCOC1 ZINC000884790825 646551148 /nfs/dbraw/zinc/55/11/48/646551148.db2.gz NXWWAUMRPIZWLY-YGRLFVJLSA-N 0 0 298.314 2.587 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC1(C2(O)CCC2)CCC1 ZINC000884806922 646553164 /nfs/dbraw/zinc/55/31/64/646553164.db2.gz KXYWBDVZSFJVFG-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCO)C(F)(F)F)c(Cl)c1 ZINC000884838570 646557464 /nfs/dbraw/zinc/55/74/64/646557464.db2.gz VJGKFDIXRZEAFO-VIFPVBQESA-N 0 0 298.648 2.973 20 5 CFBDRN CN(CCCF)c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000884858954 646560349 /nfs/dbraw/zinc/56/03/49/646560349.db2.gz OGVXOYPWRYIHIN-UHFFFAOYSA-N 0 0 267.304 2.664 20 5 CFBDRN Cc1ncc(CC(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000885340277 646665367 /nfs/dbraw/zinc/66/53/67/646665367.db2.gz PXXZBWFAXWAXMC-VIFPVBQESA-N 0 0 290.275 2.738 20 5 CFBDRN CCOCCOC(=O)c1cc([N+](=O)[O-])ccc1SCC ZINC000135900115 646684090 /nfs/dbraw/zinc/68/40/90/646684090.db2.gz BALFZNAIJVFXLY-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885424505 646684675 /nfs/dbraw/zinc/68/46/75/646684675.db2.gz RKQDSLFAQXMWGD-JTQLQIEISA-N 0 0 293.319 2.984 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NC[C@]12C[C@H]1CCC2 ZINC000885991327 646799229 /nfs/dbraw/zinc/79/92/29/646799229.db2.gz ARAAUTDZVXCQCT-ABAIWWIYSA-N 0 0 292.310 2.583 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1cc(F)cc([N+](=O)[O-])c1 ZINC000886002722 646806949 /nfs/dbraw/zinc/80/69/49/646806949.db2.gz LTDPXCSRXLITLQ-YGRLFVJLSA-N 0 0 278.283 2.654 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)Cc2cccnc2[N+](=O)[O-])C1(C)C ZINC000886018940 646821367 /nfs/dbraw/zinc/82/13/67/646821367.db2.gz JXRXUSVZPXPMBW-STQMWFEESA-N 0 0 293.367 2.625 20 5 CFBDRN CC[C@](C)(NCc1cccnc1[N+](=O)[O-])c1nccs1 ZINC000886069658 646852420 /nfs/dbraw/zinc/85/24/20/646852420.db2.gz JQRKLKNACKRUOD-ZDUSSCGKSA-N 0 0 292.364 2.861 20 5 CFBDRN CC1(C)CN(Cc2cccnc2[N+](=O)[O-])[C@H]1c1cccnc1 ZINC000886289310 646955739 /nfs/dbraw/zinc/95/57/39/646955739.db2.gz SLQRKSLSGVPVDX-AWEZNQCLSA-N 0 0 298.346 2.968 20 5 CFBDRN Cc1ncc([C@@H](C)NCc2cccnc2[N+](=O)[O-])s1 ZINC000886305392 646959995 /nfs/dbraw/zinc/95/99/95/646959995.db2.gz FQCDPSWLROHUDV-MRVPVSSYSA-N 0 0 278.337 2.606 20 5 CFBDRN CCN(Cc1cccnc1[N+](=O)[O-])[C@@H](C)C(F)(F)F ZINC000886308569 646962450 /nfs/dbraw/zinc/96/24/50/646962450.db2.gz GROZRNORBWDRCI-QMMMGPOBSA-N 0 0 277.246 2.763 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000137629785 646965112 /nfs/dbraw/zinc/96/51/12/646965112.db2.gz WEJLPQHXMDGLBR-PHIMTYICSA-N 0 0 274.324 2.725 20 5 CFBDRN C[C@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ccc(F)cc1 ZINC000138160742 647022020 /nfs/dbraw/zinc/02/20/20/647022020.db2.gz UHGUCIIAXZYJMR-VIFPVBQESA-N 0 0 292.266 2.990 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@H]1CCc2cccnc21 ZINC000886522559 647028112 /nfs/dbraw/zinc/02/81/12/647028112.db2.gz MFAIBRDERKKJNY-AWEZNQCLSA-N 0 0 299.330 2.776 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ncco1 ZINC000886533363 647034060 /nfs/dbraw/zinc/03/40/60/647034060.db2.gz ZKVDEYFLFMGMFA-VHSXEESVSA-N 0 0 261.281 2.995 20 5 CFBDRN C[C@H](N[C@H](CCO)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000886797682 647141952 /nfs/dbraw/zinc/14/19/52/647141952.db2.gz YVZLHATXZDOJOB-GZMMTYOYSA-N 0 0 292.257 2.559 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000139358623 647175392 /nfs/dbraw/zinc/17/53/92/647175392.db2.gz YEPFPBSTCOOCNG-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1noc(CN[C@H]2CCc3c2cccc3F)c1[N+](=O)[O-] ZINC000886924054 647176686 /nfs/dbraw/zinc/17/66/86/647176686.db2.gz GVHKUVHSNFKHDQ-LBPRGKRZSA-N 0 0 291.282 2.807 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000887043729 647196738 /nfs/dbraw/zinc/19/67/38/647196738.db2.gz HODDKKNECDIFRG-UZMCECQYSA-N 0 0 286.331 2.757 20 5 CFBDRN C[C@H](CNC(=O)[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000887401502 647302903 /nfs/dbraw/zinc/30/29/03/647302903.db2.gz XICDUAFYOWYZIG-ZYHUDNBSSA-N 0 0 274.320 2.615 20 5 CFBDRN C[C@H](CNC(=O)[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000887401501 647303029 /nfs/dbraw/zinc/30/30/29/647303029.db2.gz XICDUAFYOWYZIG-PWSUYJOCSA-N 0 0 274.320 2.615 20 5 CFBDRN C[C@@H](CNC(=O)C(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000887404242 647304702 /nfs/dbraw/zinc/30/47/02/647304702.db2.gz AIBHHOXRRUIRHF-JTQLQIEISA-N 0 0 264.325 2.861 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000887580351 647362399 /nfs/dbraw/zinc/36/23/99/647362399.db2.gz UYZPWQDJQCTWCQ-VIFPVBQESA-N 0 0 287.319 2.859 20 5 CFBDRN CC[C@H](C)NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000887580163 647362547 /nfs/dbraw/zinc/36/25/47/647362547.db2.gz NPWVOELEDYHXRR-VIFPVBQESA-N 0 0 250.298 2.740 20 5 CFBDRN C[C@H]1CO[C@@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000887732460 647402742 /nfs/dbraw/zinc/40/27/42/647402742.db2.gz VRUOBAGIFJGGQI-RDDDGLTNSA-N 0 0 284.699 2.612 20 5 CFBDRN CN(C)C(=O)CSCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000302287086 647404092 /nfs/dbraw/zinc/40/40/92/647404092.db2.gz HBIBHRKJJXTAAH-UHFFFAOYSA-N 0 0 288.756 2.570 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2C[C@@H](C)CO2)c([N+](=O)[O-])c1 ZINC000887753679 647406189 /nfs/dbraw/zinc/40/61/89/647406189.db2.gz LHYZHPOBNJIWEM-BXKDBHETSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1ccc(OC(=O)c2cnc(C3CC3)o2)c([N+](=O)[O-])c1 ZINC000887754003 647407215 /nfs/dbraw/zinc/40/72/15/647407215.db2.gz TURUNSPRTQLQJP-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H]3[C@H](C2)C3(C)C)c1C ZINC000889229871 647521852 /nfs/dbraw/zinc/52/18/52/647521852.db2.gz JTJTXFVMBPAMLE-TXEJJXNPSA-N 0 0 288.347 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H]2C)c1C ZINC000889295890 647539411 /nfs/dbraw/zinc/53/94/11/647539411.db2.gz PXOKWWGGKWJJSU-SNVBAGLBSA-N 0 0 262.309 2.836 20 5 CFBDRN Cc1nc(NC(=O)CC(F)(F)C(F)F)ccc1[N+](=O)[O-] ZINC000889423800 647568440 /nfs/dbraw/zinc/56/84/40/647568440.db2.gz CTIVJDNBFUTOEL-UHFFFAOYSA-N 0 0 295.192 2.527 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)cc1[N+](=O)[O-] ZINC000889579992 647603428 /nfs/dbraw/zinc/60/34/28/647603428.db2.gz GXWVNGHOIYRBAP-WCFLWFBJSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000889589421 647606556 /nfs/dbraw/zinc/60/65/56/647606556.db2.gz CGBRXAQCPQVZKE-MVWJERBFSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000889611754 647616539 /nfs/dbraw/zinc/61/65/39/647616539.db2.gz LQRCXQIVDKSOOZ-CKYFFXLPSA-N 0 0 292.310 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889611756 647616741 /nfs/dbraw/zinc/61/67/41/647616741.db2.gz LQRCXQIVDKSOOZ-HOSYDEDBSA-N 0 0 292.310 2.961 20 5 CFBDRN Cc1cc(C(=O)Nc2ccnn2C2CC2)cc([N+](=O)[O-])c1 ZINC000889691342 647653693 /nfs/dbraw/zinc/65/36/93/647653693.db2.gz GFHXCTQWBGTPPT-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN Cc1ccc(C(=O)N2CCCSC[C@@H]2C)cc1[N+](=O)[O-] ZINC000889909006 647697485 /nfs/dbraw/zinc/69/74/85/647697485.db2.gz OBJYIHDRGUWBBG-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN COc1ccc(OC(=O)C[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000890177346 647763106 /nfs/dbraw/zinc/76/31/06/647763106.db2.gz UGRZFPVHBBRXPF-JQWIXIFHSA-N 0 0 291.303 2.945 20 5 CFBDRN CCCN(C(=O)[C@H]1C[C@H](C)CO1)c1cccc([N+](=O)[O-])c1 ZINC000890409303 647794317 /nfs/dbraw/zinc/79/43/17/647794317.db2.gz COVDYJBSSFTKGW-SMDDNHRTSA-N 0 0 292.335 2.763 20 5 CFBDRN CCOc1ccccc1COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000003503061 647832388 /nfs/dbraw/zinc/83/23/88/647832388.db2.gz RWKHQUGYHNJPOJ-UHFFFAOYSA-N 0 0 291.259 2.944 20 5 CFBDRN Cc1ccc(OC(=O)/C=C/c2cccnc2)c([N+](=O)[O-])c1 ZINC000123211608 647834844 /nfs/dbraw/zinc/83/48/44/647834844.db2.gz OSIJEPRQIGZQFM-FNORWQNLSA-N 0 0 284.271 2.917 20 5 CFBDRN O=C(O[C@H]1CCCCC1=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000005671651 647850931 /nfs/dbraw/zinc/85/09/31/647850931.db2.gz BRVZKWDXBHVNKL-LBPRGKRZSA-N 0 0 297.694 2.917 20 5 CFBDRN Cc1occc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000007848704 647869275 /nfs/dbraw/zinc/86/92/75/647869275.db2.gz GNDQMDBWFBRDPX-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN C[C@H](OC(=O)c1ccc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000008177447 647872011 /nfs/dbraw/zinc/87/20/11/647872011.db2.gz DSQKKADPFRDWED-VIFPVBQESA-N 0 0 260.249 2.841 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000925244910 648140404 /nfs/dbraw/zinc/14/04/04/648140404.db2.gz VWCCUZSJFARUSE-QMTHXVAHSA-N 0 0 289.335 2.850 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ncco1 ZINC000926493187 648508515 /nfs/dbraw/zinc/50/85/15/648508515.db2.gz ORGWDUYYFXJEGR-VHSXEESVSA-N 0 0 261.281 2.995 20 5 CFBDRN C[C@@H](N[C@@H]1C[C@H](C)n2ncnc21)c1ccc([N+](=O)[O-])cc1 ZINC000926891277 648647279 /nfs/dbraw/zinc/64/72/79/648647279.db2.gz IKCSLIVOMGWNKV-OPQQBVKSSA-N 0 0 287.323 2.543 20 5 CFBDRN O=C(NCC[C@@H]1C[C@H]1C1CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000927403318 648767308 /nfs/dbraw/zinc/76/73/08/648767308.db2.gz DPZAQJSNMNDKTB-MNOVXSKESA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000928048541 648851145 /nfs/dbraw/zinc/85/11/45/648851145.db2.gz LWENMWXVDYJLEN-FOUMNBMASA-N 0 0 274.320 2.678 20 5 CFBDRN CCC[C@H](OC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928244629 648886874 /nfs/dbraw/zinc/88/68/74/648886874.db2.gz AKLCANQWMKEVEY-MFKMUULPSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1ccc(CC(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000928249258 648888355 /nfs/dbraw/zinc/88/83/55/648888355.db2.gz QFHDGEQYSUBYKK-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN CC(C)NC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000929091331 648977265 /nfs/dbraw/zinc/97/72/65/648977265.db2.gz MIRPNBLASCCWNA-UHFFFAOYSA-N 0 0 270.716 2.705 20 5 CFBDRN CC(C)(C)c1csc(C2CCN(CC[N+](=O)[O-])CC2)n1 ZINC000929446032 649050424 /nfs/dbraw/zinc/05/04/24/649050424.db2.gz XBZUXJGEWVMFRN-UHFFFAOYSA-N 0 0 297.424 2.897 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H](C2CC2)O1)Oc1cccc([N+](=O)[O-])c1 ZINC000929497318 649061561 /nfs/dbraw/zinc/06/15/61/649061561.db2.gz CWPUKSSKZIGBJU-KBPBESRZSA-N 0 0 291.303 2.848 20 5 CFBDRN CC[C@@H](Cc1ccc(C)cc1)[N@H+](C)CC[N+](=O)[O-] ZINC000929723986 649106959 /nfs/dbraw/zinc/10/69/59/649106959.db2.gz NGZSSWXGFWFKCB-AWEZNQCLSA-N 0 0 250.342 2.525 20 5 CFBDRN O=[N+]([O-])CCN1CCCC[C@@H]1c1ccc(F)cc1 ZINC000929788277 649122814 /nfs/dbraw/zinc/12/28/14/649122814.db2.gz KPZFHCQMAHDFKZ-CYBMUJFWSA-N 0 0 252.289 2.629 20 5 CFBDRN CC(C)CN(C)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000930027484 649169397 /nfs/dbraw/zinc/16/93/97/649169397.db2.gz LPIPEDHFQAHZOW-UHFFFAOYSA-N 0 0 282.315 2.781 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@]1(C)C=CCC1 ZINC000930074141 649178607 /nfs/dbraw/zinc/17/86/07/649178607.db2.gz LSPQLRYAZCWFSM-OAHLLOKOSA-N 0 0 274.320 2.910 20 5 CFBDRN Cc1cc(CN2CCc3c(cccc3[N+](=O)[O-])C2)c(C)nn1 ZINC000930099448 649184230 /nfs/dbraw/zinc/18/42/30/649184230.db2.gz KTLFBINSBVRCTN-UHFFFAOYSA-N 0 0 298.346 2.560 20 5 CFBDRN CC(C)([NH2+]CC[N+](=O)[O-])c1ccc(OC(F)(F)F)cc1 ZINC000930256969 649216712 /nfs/dbraw/zinc/21/67/12/649216712.db2.gz VAEBIRFXKUGIMV-UHFFFAOYSA-N 0 0 292.257 2.687 20 5 CFBDRN CCN(C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C(C)C ZINC000930311467 649228879 /nfs/dbraw/zinc/22/88/79/649228879.db2.gz MXTLUIDMNZSPNI-KGLIPLIRSA-N 0 0 276.336 2.955 20 5 CFBDRN O=[N+]([O-])CCN1CCC([C@@H](F)c2ccccc2F)CC1 ZINC000930444818 649248158 /nfs/dbraw/zinc/24/81/58/649248158.db2.gz CSWAUPXIMFZESP-CQSZACIVSA-N 0 0 284.306 2.825 20 5 CFBDRN O=[N+]([O-])CCNC1(c2ccccc2Br)CCC1 ZINC000930842131 649334056 /nfs/dbraw/zinc/33/40/56/649334056.db2.gz AJISPDVUJQGVBS-UHFFFAOYSA-N 0 0 299.168 2.695 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000930881579 649342686 /nfs/dbraw/zinc/34/26/86/649342686.db2.gz BXSJTEFXKPVTFE-JIMOISOXSA-N 0 0 275.308 2.905 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000930882965 649342700 /nfs/dbraw/zinc/34/27/00/649342700.db2.gz NFPBGXZXFSKNFC-STQMWFEESA-N 0 0 293.323 2.544 20 5 CFBDRN C[C@H](NCC[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl ZINC000931098507 649379654 /nfs/dbraw/zinc/37/96/54/649379654.db2.gz IRXGRRVQUHLCQV-LURJTMIESA-N 0 0 297.664 2.681 20 5 CFBDRN COC(=O)/C=C/c1ccc(NCCSC)c([N+](=O)[O-])c1 ZINC000931324824 649409435 /nfs/dbraw/zinc/40/94/35/649409435.db2.gz IUHDDDXJEDSLGW-GQCTYLIASA-N 0 0 296.348 2.556 20 5 CFBDRN C[C@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000931457100 649430925 /nfs/dbraw/zinc/43/09/25/649430925.db2.gz VBECJMLECNDYDT-VIFPVBQESA-N 0 0 290.298 2.576 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ncccn1)c1ccc([N+](=O)[O-])cc1 ZINC000932178570 649559759 /nfs/dbraw/zinc/55/97/59/649559759.db2.gz QSHCSXQMQSQDHM-MNOVXSKESA-N 0 0 272.308 2.797 20 5 CFBDRN C[C@@H](NCc1nc(C(F)F)no1)c1ccc([N+](=O)[O-])cc1 ZINC000932177962 649559992 /nfs/dbraw/zinc/55/99/92/649559992.db2.gz HHJIONTXIQGMMO-SSDOTTSWSA-N 0 0 298.249 2.766 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@@H](c1ncccn1)C1CC1 ZINC000932355610 649584233 /nfs/dbraw/zinc/58/42/33/649584233.db2.gz HCRMJRSZIZFECR-CQSZACIVSA-N 0 0 284.319 2.626 20 5 CFBDRN Cc1c(CN2CCn3cccc3C2)cccc1[N+](=O)[O-] ZINC000932532373 649616340 /nfs/dbraw/zinc/61/63/40/649616340.db2.gz WWPNSYKKWDETKU-UHFFFAOYSA-N 0 0 271.320 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCc2ccc(CO)cc2)c1 ZINC000305836909 651084355 /nfs/dbraw/zinc/08/43/55/651084355.db2.gz PUXGNMYABGJXRA-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062418 651277984 /nfs/dbraw/zinc/27/79/84/651277984.db2.gz GFEBYTRSGLBKNG-XVKPBYJWSA-N 0 0 269.684 2.947 20 5 CFBDRN Cc1cc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)no1 ZINC000116062385 651278568 /nfs/dbraw/zinc/27/85/68/651278568.db2.gz KZFFJLIHPZEVAA-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1cccn(Cc2ccc(Cl)cc2[N+](=O)[O-])c1=O ZINC000116267903 651279096 /nfs/dbraw/zinc/27/90/96/651279096.db2.gz ALGKDWICPYDLHW-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1CC1 ZINC000118634665 651292210 /nfs/dbraw/zinc/29/22/10/651292210.db2.gz AIUKCVSEZCXOAY-UHFFFAOYSA-N 0 0 254.673 2.905 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCOC1 ZINC000118749958 651293391 /nfs/dbraw/zinc/29/33/91/651293391.db2.gz QGYSQYVXFQFWTQ-QMMMGPOBSA-N 0 0 284.699 2.532 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)cc(Cl)n1)C1CC1 ZINC001229049037 776701139 /nfs/dbraw/zinc/70/11/39/776701139.db2.gz VKLOHJPCZZQFDN-MRVPVSSYSA-N 0 0 277.107 2.823 20 5 CFBDRN COC[C@H](C)Oc1ccc(Br)c([N+](=O)[O-])c1 ZINC001225504414 776996421 /nfs/dbraw/zinc/99/64/21/776996421.db2.gz RSBZHQHMCUTSCI-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])c3nonc32)CCC1 ZINC000226809711 778849035 /nfs/dbraw/zinc/84/90/35/778849035.db2.gz QJOWZIZJRLBJIC-UHFFFAOYSA-N 0 0 262.269 2.733 20 5 CFBDRN Cc1nn(C)cc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000226811133 778849347 /nfs/dbraw/zinc/84/93/47/778849347.db2.gz VAPRQKZULYLBFK-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC3(CCC3)O2)n1 ZINC000711524141 781453753 /nfs/dbraw/zinc/45/37/53/781453753.db2.gz YCTKWIHZSVGJGA-NSHDSACASA-N 0 0 277.324 2.812 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC3(CCC3)O2)ncc1[N+](=O)[O-] ZINC000711522563 781453894 /nfs/dbraw/zinc/45/38/94/781453894.db2.gz QWDLCWKCSMPKPA-NSHDSACASA-N 0 0 277.324 2.812 20 5 CFBDRN COC(=O)c1cc(N)c(Nc2cccc([N+](=O)[O-])c2)s1 ZINC001204416266 769849408 /nfs/dbraw/zinc/84/94/08/769849408.db2.gz TYVSJSOBDBXFQK-UHFFFAOYSA-N 0 0 293.304 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc(Cl)nc1N1CC(c2ccccc2)C1 ZINC001167060985 769880127 /nfs/dbraw/zinc/88/01/27/769880127.db2.gz FAWXZVNJNKIXTA-UHFFFAOYSA-N 0 0 290.710 2.642 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N2CC[C@@H]3OCC[C@H]3C2)n1 ZINC001167215582 770147846 /nfs/dbraw/zinc/14/78/46/770147846.db2.gz PUTOFAMDEYXKAN-ONGXEEELSA-N 0 0 297.742 2.567 20 5 CFBDRN Cc1cc(Cl)nc(N2CC[C@H]3OCC[C@@H]3C2)c1[N+](=O)[O-] ZINC001167216817 770151147 /nfs/dbraw/zinc/15/11/47/770151147.db2.gz VZIPPQRYJXPKTH-NXEZZACHSA-N 0 0 297.742 2.567 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N2C[C@H]3[C@H](CF)[C@H]3C2)n1 ZINC001167221955 770154773 /nfs/dbraw/zinc/15/47/73/770154773.db2.gz MGORQGJPNZYRBP-PSVAKVPMSA-N 0 0 285.706 2.603 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)cc(C)n1 ZINC001125337486 770160339 /nfs/dbraw/zinc/16/03/39/770160339.db2.gz MCUDGJSQMIAXOA-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Nc1ccc(Cl)nc1Nc1ccc(N)c([N+](=O)[O-])c1 ZINC001209935254 770165055 /nfs/dbraw/zinc/16/50/55/770165055.db2.gz UNNQJAHTQBAWRA-UHFFFAOYSA-N 0 0 279.687 2.551 20 5 CFBDRN Nc1ccc(Nc2ccc(O)cc2F)cc1[N+](=O)[O-] ZINC001209936051 770165081 /nfs/dbraw/zinc/16/50/81/770165081.db2.gz ITHKMDFJQBXKML-UHFFFAOYSA-N 0 0 263.228 2.765 20 5 CFBDRN O=C1NCc2cc(Nc3cccc([N+](=O)[O-])c3)ccc2N1 ZINC001204475290 770169891 /nfs/dbraw/zinc/16/98/91/770169891.db2.gz CXNUPGJURUCTHA-UHFFFAOYSA-N 0 0 284.275 2.974 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2c(F)cccc2CO)c1 ZINC001204477106 770175285 /nfs/dbraw/zinc/17/52/85/770175285.db2.gz QTWBZWPRXGIOET-UHFFFAOYSA-N 0 0 262.240 2.970 20 5 CFBDRN CN1C[C@H](Nc2cc3ccccc3c([N+](=O)[O-])c2)CCC1=O ZINC001167253435 770177457 /nfs/dbraw/zinc/17/74/57/770177457.db2.gz QDKFHJAELQUDAG-GFCCVEGCSA-N 0 0 299.330 2.781 20 5 CFBDRN COc1ncc(Nc2ccccc2N(C)C)cc1[N+](=O)[O-] ZINC001210137389 770216460 /nfs/dbraw/zinc/21/64/60/770216460.db2.gz AGXOENHSFCCKOI-UHFFFAOYSA-N 0 0 288.307 2.808 20 5 CFBDRN COc1cc(Nc2cc([N+](=O)[O-])ccc2OC)ccn1 ZINC001210443337 770285215 /nfs/dbraw/zinc/28/52/15/770285215.db2.gz CAEVTONYEMYVAR-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN Cc1cocc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC001125406768 770320760 /nfs/dbraw/zinc/32/07/60/770320760.db2.gz FKPRJAVTNSWDKD-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN O=C1CC(Nc2cc(Cl)ccc2/C=C/[N+](=O)[O-])C1 ZINC001167344257 770326274 /nfs/dbraw/zinc/32/62/74/770326274.db2.gz BKEIFHFWCYIWJC-ONEGZZNKSA-N 0 0 266.684 2.731 20 5 CFBDRN CC(C)(CCC(=O)NC1(C)C(C)(C)C1(C)C)[N+](=O)[O-] ZINC000891162150 770334294 /nfs/dbraw/zinc/33/42/94/770334294.db2.gz ASRKQBSAHLAFOS-UHFFFAOYSA-N 0 0 270.373 2.763 20 5 CFBDRN CCc1nccnc1Nc1ccc(F)cc1[N+](=O)[O-] ZINC001210952420 770403968 /nfs/dbraw/zinc/40/39/68/770403968.db2.gz GVHZEABWQARDMS-UHFFFAOYSA-N 0 0 262.244 2.830 20 5 CFBDRN CC(C)[C@@](C)(Nc1cc([N+](=O)[O-])ccc1Cl)C(N)=O ZINC001167410691 770437714 /nfs/dbraw/zinc/43/77/14/770437714.db2.gz HCSKUOAMTZBDKR-GFCCVEGCSA-N 0 0 285.731 2.560 20 5 CFBDRN C/C(=C\C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)c1cnccn1 ZINC001266631535 770460470 /nfs/dbraw/zinc/46/04/70/770460470.db2.gz ZRISHVNREFMCEV-CSKARUKUSA-N 0 0 298.302 2.735 20 5 CFBDRN Cc1ccc2c(N)n(-c3cc([N+](=O)[O-])ccc3C)nc2n1 ZINC001211286717 770476829 /nfs/dbraw/zinc/47/68/29/770476829.db2.gz YVAMDXOCJNCCCQ-UHFFFAOYSA-N 0 0 283.291 2.528 20 5 CFBDRN CCC(=O)NCC1(Nc2ccccc2[N+](=O)[O-])CCCC1 ZINC001104357972 770584142 /nfs/dbraw/zinc/58/41/42/770584142.db2.gz NYYQWMOPHFBXDM-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN COc1ccnc(N[C@@H](C)c2ccc(F)cn2)c1[N+](=O)[O-] ZINC001167471394 770589879 /nfs/dbraw/zinc/58/98/79/770589879.db2.gz AMXJMJOQALQVNM-QMMMGPOBSA-N 0 0 292.270 2.706 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H](C)c2ccc(F)cn2)n1 ZINC001167471438 770590118 /nfs/dbraw/zinc/59/01/18/770590118.db2.gz CJFBGIDJYDAVJV-QMMMGPOBSA-N 0 0 292.270 2.706 20 5 CFBDRN O=Cc1c[nH]nc1C=Cc1ccc([N+](=O)[O-])cc1Cl ZINC000588424930 770618752 /nfs/dbraw/zinc/61/87/52/770618752.db2.gz CQRFENWMRGHJQP-RQOWECAXSA-N 0 0 277.667 2.954 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cc(C(F)F)ncn1 ZINC000724624908 770660725 /nfs/dbraw/zinc/66/07/25/770660725.db2.gz MEQMFUUIGUOIFE-UHFFFAOYSA-N 0 0 280.234 2.935 20 5 CFBDRN C[C@@H]1CN(c2c3cc(F)ccc3ncc2[N+](=O)[O-])CCO1 ZINC000891650412 770674754 /nfs/dbraw/zinc/67/47/54/770674754.db2.gz DELULZIISCBJHW-SECBINFHSA-N 0 0 291.282 2.507 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@@H]1CCCOC1 ZINC000723870636 770695499 /nfs/dbraw/zinc/69/54/99/770695499.db2.gz IFXIOSXOTLHKMA-VXGBXAGGSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CC2)[C@H]2CCCOC2)s1 ZINC000723877143 770701828 /nfs/dbraw/zinc/70/18/28/770701828.db2.gz OJFRBDJQOSUVCG-GXSJLCMTSA-N 0 0 283.353 2.668 20 5 CFBDRN CO[C@@H](C)CCOC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588447811 770723060 /nfs/dbraw/zinc/72/30/60/770723060.db2.gz ANGGKDQRJVVZAY-WEHUKYGOSA-N 0 0 293.319 2.966 20 5 CFBDRN Cc1cnc(CNc2cc(C)c([N+](=O)[O-])cn2)c(C)c1 ZINC000724109418 770788459 /nfs/dbraw/zinc/78/84/59/770788459.db2.gz GIYFMTYQASEMMW-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C/C(=C/C(C)(C)C)C(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000588507615 770896564 /nfs/dbraw/zinc/89/65/64/770896564.db2.gz QJULUOAWJOHDJC-NTMALXAHSA-N 0 0 295.339 2.635 20 5 CFBDRN CCOC(=O)[C@H](C)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001204596811 773194414 /nfs/dbraw/zinc/19/44/14/773194414.db2.gz DCHYESWRLVNACM-ZJUUUORDSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1nc2ccc(Nc3ccc(C)c([N+](=O)[O-])c3)cn2n1 ZINC001213663712 773210767 /nfs/dbraw/zinc/21/07/67/773210767.db2.gz UXOBMLZERFOQMO-UHFFFAOYSA-N 0 0 283.291 2.998 20 5 CFBDRN CCOc1cnc(Nc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC001213663776 773210854 /nfs/dbraw/zinc/21/08/54/773210854.db2.gz XRYAMMGLNLWGME-UHFFFAOYSA-N 0 0 274.280 2.836 20 5 CFBDRN CCn1cc(CNc2cc(Cl)c(F)cc2[N+](=O)[O-])nn1 ZINC000404421396 773240566 /nfs/dbraw/zinc/24/05/66/773240566.db2.gz CYQXGQFTZMZTOU-UHFFFAOYSA-N 0 0 299.693 2.611 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000693771201 773247777 /nfs/dbraw/zinc/24/77/77/773247777.db2.gz XTEAAAOCHYGELM-AMIZOPFISA-N 0 0 280.711 2.921 20 5 CFBDRN O=[N+]([O-])c1ccc(COC2CC=CC2)c([N+](=O)[O-])c1 ZINC001224094669 775100681 /nfs/dbraw/zinc/10/06/81/775100681.db2.gz BCUUZJXSLQJDRY-UHFFFAOYSA-N 0 0 264.237 2.738 20 5 CFBDRN C[C@@H]1c2cnccc2C[C@@H]1OCc1ccc([N+](=O)[O-])nc1 ZINC001224114247 775103384 /nfs/dbraw/zinc/10/33/84/775103384.db2.gz MTQYEWKQCLIWID-YGRLFVJLSA-N 0 0 285.303 2.630 20 5 CFBDRN C[C@H](OCc1ccc([N+](=O)[O-])nc1)c1ccncc1F ZINC001224115967 775105111 /nfs/dbraw/zinc/10/51/11/775105111.db2.gz XNWLQBJLFHLDQJ-VIFPVBQESA-N 0 0 277.255 2.802 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000934910138 775112445 /nfs/dbraw/zinc/11/24/45/775112445.db2.gz HXOBOPNOAPRYAA-LEWSCRJBSA-N 0 0 292.335 2.887 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](OCCc1ccccn1)C2 ZINC001224447398 775189427 /nfs/dbraw/zinc/18/94/27/775189427.db2.gz WPXBKQJRZGKSIE-MRXNPFEDSA-N 0 0 284.315 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](OCCC1CCOCC1)C2 ZINC001224547719 775215601 /nfs/dbraw/zinc/21/56/01/775215601.db2.gz NHOQPWJEPSAKJG-MRXNPFEDSA-N 0 0 291.347 2.895 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1CC12CC(O)C2 ZINC000724999519 775221824 /nfs/dbraw/zinc/22/18/24/775221824.db2.gz GZENVUIWBRWIBZ-BYIYDRLASA-N 0 0 268.700 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@H]1CC12CC(O)C2 ZINC000725002240 775229438 /nfs/dbraw/zinc/22/94/38/775229438.db2.gz SMCCVMFLVAEBPT-HBWJCNCUSA-N 0 0 268.700 2.574 20 5 CFBDRN Cc1nc(C)c(CNc2ccc([N+](=O)[O-])cc2F)[nH]1 ZINC000724788245 775244993 /nfs/dbraw/zinc/24/49/93/775244993.db2.gz DUJKJBUNHOGZNP-UHFFFAOYSA-N 0 0 264.260 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(OCCF)CC2)cc1 ZINC001224675118 775245610 /nfs/dbraw/zinc/24/56/10/775245610.db2.gz UJERKLJTOXDMNU-UHFFFAOYSA-N 0 0 268.288 2.550 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](OCC2COC2)C(F)(F)F)cc1 ZINC001224749021 775264708 /nfs/dbraw/zinc/26/47/08/775264708.db2.gz WXAGFFYWFOCARW-LLVKDONJSA-N 0 0 291.225 2.861 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCC1Cc2ccccc2C1)c1cccnc1 ZINC001224801770 775277066 /nfs/dbraw/zinc/27/70/66/775277066.db2.gz JEUXCEVCXJCYPI-QGZVFWFLSA-N 0 0 298.342 2.831 20 5 CFBDRN COCCOCCCO[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001224899769 775303613 /nfs/dbraw/zinc/30/36/13/775303613.db2.gz XLYBOISMDLSITC-GFCCVEGCSA-N 0 0 283.324 2.726 20 5 CFBDRN CCOc1ccccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001225212384 775367183 /nfs/dbraw/zinc/36/71/83/775367183.db2.gz IDQVRVSSBDSWCH-CYBMUJFWSA-N 0 0 251.282 2.519 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(-n2cccc2)cc1)C1CC1 ZINC001225356901 775425643 /nfs/dbraw/zinc/42/56/43/775425643.db2.gz RLXDKPKEFZZRHN-HNNXBMFYSA-N 0 0 272.304 2.911 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCCCO2)ccc1F ZINC001225383376 775436482 /nfs/dbraw/zinc/43/64/82/775436482.db2.gz ZIHANSFBEMYGMW-SNVBAGLBSA-N 0 0 255.245 2.682 20 5 CFBDRN C[C@H](Oc1ccc(F)c([N+](=O)[O-])c1)c1ncccn1 ZINC001225382846 775436630 /nfs/dbraw/zinc/43/66/30/775436630.db2.gz UBLJFGYJXUSHRN-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H]1C[C@H](Oc2ccc(F)c([N+](=O)[O-])c2)CCO1 ZINC001225385444 775437779 /nfs/dbraw/zinc/43/77/79/775437779.db2.gz SAYLQHJDSGZDEH-WCBMZHEXSA-N 0 0 255.245 2.680 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC001225386440 775438075 /nfs/dbraw/zinc/43/80/75/775438075.db2.gz ZSQVYMRKMUPISV-VHSXEESVSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC001225386442 775438197 /nfs/dbraw/zinc/43/81/97/775438197.db2.gz ZSQVYMRKMUPISV-ZJUUUORDSA-N 0 0 297.282 2.845 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc([N+](=O)[O-])c(OC)c1 ZINC001225436666 775456154 /nfs/dbraw/zinc/45/61/54/775456154.db2.gz XWWUIBKDJYYUAZ-JTQLQIEISA-N 0 0 269.297 2.797 20 5 CFBDRN COc1cc(O[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC001225441165 775458409 /nfs/dbraw/zinc/45/84/09/775458409.db2.gz MHNOAALLKLCUIA-ONGXEEELSA-N 0 0 267.281 2.550 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H]1C[C@H]2CC[C@@H]1C2 ZINC001225450441 775461372 /nfs/dbraw/zinc/46/13/72/775461372.db2.gz HVVXQTSOMUMDNA-OPQQBVKSSA-N 0 0 291.303 2.949 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@H](C)C(F)(F)F ZINC001225449744 775461481 /nfs/dbraw/zinc/46/14/81/775461481.db2.gz ZVLFPPMXIREAOF-ZCFIWIBFSA-N 0 0 293.197 2.711 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1C(=O)OC ZINC001225449766 775461647 /nfs/dbraw/zinc/46/16/47/775461647.db2.gz AFFORRGSKYEFMZ-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1ccc2cccnc2c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001225479298 775472845 /nfs/dbraw/zinc/47/28/45/775472845.db2.gz MEYIWTNQEZJDIN-CYBMUJFWSA-N 0 0 272.304 2.977 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225576493 775507937 /nfs/dbraw/zinc/50/79/37/775507937.db2.gz AEVNVAZZZLDALF-LLVKDONJSA-N 0 0 253.229 2.624 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2cc(F)ccc2[N+](=O)[O-])C1 ZINC001225577071 775508203 /nfs/dbraw/zinc/50/82/03/775508203.db2.gz FDIQPFFYUOGHCU-ONGXEEELSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H](Cc1ccccc1)Oc1ncccc1[N+](=O)[O-] ZINC001225593758 775517047 /nfs/dbraw/zinc/51/70/47/775517047.db2.gz XUXSNHKZCKZSSV-NSHDSACASA-N 0 0 258.277 3.000 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC001225594682 775517074 /nfs/dbraw/zinc/51/70/74/775517074.db2.gz UWFAXNAAEXJZMK-SKDRFNHKSA-N 0 0 296.279 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC001225600973 775519142 /nfs/dbraw/zinc/51/91/42/775519142.db2.gz LHNDLKHDSFAREZ-UHFFFAOYSA-N 0 0 288.206 2.988 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cn1)c1cnc2ccccn21 ZINC001225627524 775530288 /nfs/dbraw/zinc/53/02/88/775530288.db2.gz CELXQUHHUCYJTI-JTQLQIEISA-N 0 0 284.275 2.778 20 5 CFBDRN C[C@@H](Oc1cccnc1[N+](=O)[O-])c1ncccc1F ZINC001225629465 775531576 /nfs/dbraw/zinc/53/15/76/775531576.db2.gz OCRNNCWCSAIISZ-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1cccnc1[N+](=O)[O-] ZINC001225633047 775532919 /nfs/dbraw/zinc/53/29/19/775532919.db2.gz PACNVIXREPWRFE-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cn1)c1ncccc1F ZINC001225632425 775533143 /nfs/dbraw/zinc/53/31/43/775533143.db2.gz LCVQDIUKBQFINY-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1cc(C)nc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)n1 ZINC001225642791 775537495 /nfs/dbraw/zinc/53/74/95/775537495.db2.gz TUDFFMJJPDIRFA-CQSZACIVSA-N 0 0 285.303 2.548 20 5 CFBDRN O=c1cc[nH]c(O[C@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC001225661801 775545582 /nfs/dbraw/zinc/54/55/82/775545582.db2.gz PKNSFODFEHIYBV-LBPRGKRZSA-N 0 0 272.260 2.762 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1cc(C)c([N+](=O)[O-])cn1 ZINC001225658379 775545840 /nfs/dbraw/zinc/54/58/40/775545840.db2.gz GXIVJPKMDJAUKS-RYUDHWBXSA-N 0 0 266.297 2.635 20 5 CFBDRN C[C@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])c1cccs1 ZINC001225663097 775547250 /nfs/dbraw/zinc/54/72/50/775547250.db2.gz YUBFKQOCZPERHF-ZETCQYMHSA-N 0 0 266.278 2.897 20 5 CFBDRN CC(C)CC[C@H](C)Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225665457 775547610 /nfs/dbraw/zinc/54/76/10/775547610.db2.gz AEHLMBGLCUJJTI-VIFPVBQESA-N 0 0 254.286 2.899 20 5 CFBDRN Cc1cn2c(n1)[C@@H](Oc1cc(C)c([N+](=O)[O-])cn1)CCC2 ZINC001225661951 775547876 /nfs/dbraw/zinc/54/78/76/775547876.db2.gz MJBUJRQJGXIERL-LBPRGKRZSA-N 0 0 288.307 2.717 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])CC1 ZINC001225667255 775548361 /nfs/dbraw/zinc/54/83/61/775548361.db2.gz QUOOKVQSVGJIRI-DTORHVGOSA-N 0 0 252.270 2.653 20 5 CFBDRN C[C@H]1CC[C@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])CC1 ZINC001225667257 775549161 /nfs/dbraw/zinc/54/91/61/775549161.db2.gz QUOOKVQSVGJIRI-KYZUINATSA-N 0 0 252.270 2.653 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1O[C@H]1COc2cnccc21 ZINC001225670220 775550730 /nfs/dbraw/zinc/55/07/30/775550730.db2.gz BKMFDGUUESPQLV-LBPRGKRZSA-N 0 0 276.223 2.641 20 5 CFBDRN C[C@@H](Cc1ccccc1)Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225668192 775550821 /nfs/dbraw/zinc/55/08/21/775550821.db2.gz ZURULROTSJOOMW-JTQLQIEISA-N 0 0 274.276 2.705 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225668194 775550918 /nfs/dbraw/zinc/55/09/18/775550918.db2.gz ZUVGPEWOCKFBAD-LLVKDONJSA-N 0 0 282.296 2.612 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225668002 775551139 /nfs/dbraw/zinc/55/11/39/775551139.db2.gz XQHXQIIBIIQGOP-WPRPVWTQSA-N 0 0 252.270 2.653 20 5 CFBDRN C[C@@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])C[C@]1(C)CO ZINC000694706574 775552597 /nfs/dbraw/zinc/55/25/97/775552597.db2.gz GQJUHUXMIORDCQ-NOZJJQNGSA-N 0 0 284.743 2.703 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc(C(F)(F)F)n1)C1CC1 ZINC001225706422 775568720 /nfs/dbraw/zinc/56/87/20/775568720.db2.gz WIYHTORZJQIREF-QMMMGPOBSA-N 0 0 276.214 2.535 20 5 CFBDRN CC1(C)COC(=O)[C@@H]1Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC001225711374 775569790 /nfs/dbraw/zinc/56/97/90/775569790.db2.gz VIKNEQHVOLLQNA-JTQLQIEISA-N 0 0 285.683 2.579 20 5 CFBDRN COc1ccc(O[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001225772971 775588061 /nfs/dbraw/zinc/58/80/61/775588061.db2.gz SSDNEXCLKWXRRZ-ONGXEEELSA-N 0 0 267.281 2.550 20 5 CFBDRN CC[C@@H](COc1cc(F)c(F)cc1[N+](=O)[O-])OC ZINC001225780360 775591913 /nfs/dbraw/zinc/59/19/13/775591913.db2.gz ZDIYBJJQOPZAPG-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2cccc([N+](=O)[O-])c2F)C1 ZINC001225797123 775596054 /nfs/dbraw/zinc/59/60/54/775596054.db2.gz PQINDZQHIDFBRV-ZJUUUORDSA-N 0 0 297.282 2.845 20 5 CFBDRN CC[C@H](COc1cc(C(C)=O)ccc1[N+](=O)[O-])OC ZINC001225806765 775599777 /nfs/dbraw/zinc/59/97/77/775599777.db2.gz SXUNCBCXSVTHDN-LLVKDONJSA-N 0 0 267.281 2.601 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2CCOC[C@H]2C)c1 ZINC001225807646 775600353 /nfs/dbraw/zinc/60/03/53/775600353.db2.gz YKAKOQAGFXSDNT-NOZJJQNGSA-N 0 0 279.292 2.601 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)cnc1OC[C@H]1CCCO1 ZINC001225848511 775611977 /nfs/dbraw/zinc/61/19/77/775611977.db2.gz ZBBLSRXHZXYSKR-MRVPVSSYSA-N 0 0 292.213 2.566 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cc(F)ccc1F ZINC001225902754 775629874 /nfs/dbraw/zinc/62/98/74/775629874.db2.gz ODFFNZGQUHQUNB-QMMMGPOBSA-N 0 0 297.261 2.845 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC001225940973 775642309 /nfs/dbraw/zinc/64/23/09/775642309.db2.gz CBCVXWNXVHNEKQ-KOLCDFICSA-N 0 0 297.282 2.845 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1ccc([N+](=O)[O-])cc1F ZINC001225941271 775642512 /nfs/dbraw/zinc/64/25/12/775642512.db2.gz FWOFGJASJPKRAY-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC001225940977 775642552 /nfs/dbraw/zinc/64/25/52/775642552.db2.gz CBCVXWNXVHNEKQ-ONGXEEELSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCCC3(C2)OCCO3)c(F)c1 ZINC001225945396 775643396 /nfs/dbraw/zinc/64/33/96/775643396.db2.gz FCRTVVCKFSGVPV-LLVKDONJSA-N 0 0 297.282 2.798 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1ccc([N+](=O)[O-])cc1F ZINC001225947433 775644994 /nfs/dbraw/zinc/64/49/94/775644994.db2.gz XNJXATBTRLZTFG-LDYMZIIASA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1F ZINC001225947431 775645023 /nfs/dbraw/zinc/64/50/23/775645023.db2.gz XNJXATBTRLZTFG-KCJUWKMLSA-N 0 0 255.245 2.538 20 5 CFBDRN CC(=O)c1cc(F)cc(F)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001225950832 775646485 /nfs/dbraw/zinc/64/64/85/775646485.db2.gz LWSKGTZLXPTRJA-GFCCVEGCSA-N 0 0 285.246 2.602 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225963469 775648627 /nfs/dbraw/zinc/64/86/27/775648627.db2.gz SGIAETNDLAMXTD-XVKPBYJWSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(F)c1O[C@@H]1CCn2ccnc21 ZINC001225958839 775649284 /nfs/dbraw/zinc/64/92/84/775649284.db2.gz GNTYVBOFKZMVAA-SECBINFHSA-N 0 0 281.218 2.593 20 5 CFBDRN C[C@H]1C[C@H](Oc2c(F)c(F)ccc2[N+](=O)[O-])CCO1 ZINC001225962246 775649352 /nfs/dbraw/zinc/64/93/52/775649352.db2.gz DLRBOBGZVXXZAP-JGVFFNPUSA-N 0 0 273.235 2.819 20 5 CFBDRN COC(C[C@H](C)Oc1c(F)c(F)ccc1[N+](=O)[O-])OC ZINC001225963991 775650687 /nfs/dbraw/zinc/65/06/87/775650687.db2.gz VZAANLCERYLSNO-ZETCQYMHSA-N 0 0 291.250 2.649 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC001226010559 775667167 /nfs/dbraw/zinc/66/71/67/775667167.db2.gz UIFQFSFIDOPWIA-BDAKNGLRSA-N 0 0 255.245 2.680 20 5 CFBDRN O=C1CCCC[C@H]1Oc1c(F)cccc1[N+](=O)[O-] ZINC001226010722 775667446 /nfs/dbraw/zinc/66/74/46/775667446.db2.gz VTSSFUVPQFHHOM-LLVKDONJSA-N 0 0 253.229 2.624 20 5 CFBDRN C[C@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC001226064648 775685462 /nfs/dbraw/zinc/68/54/62/775685462.db2.gz DBRVKUSZGPOHLX-ONGXEEELSA-N 0 0 299.348 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccnc3[nH]ccc31)C2 ZINC001226096832 775697595 /nfs/dbraw/zinc/69/75/95/775697595.db2.gz IHSGGEWZAUAUQX-CYBMUJFWSA-N 0 0 295.298 2.969 20 5 CFBDRN Cc1ccnc(OC2Cc3ccccc3C2)c1[N+](=O)[O-] ZINC001226115869 775705551 /nfs/dbraw/zinc/70/55/51/775705551.db2.gz JTSUYJHDTOHUQA-UHFFFAOYSA-N 0 0 270.288 2.844 20 5 CFBDRN COc1ccc([C@@H](C)Oc2nccc(C)c2[N+](=O)[O-])cn1 ZINC001226120189 775707910 /nfs/dbraw/zinc/70/79/10/775707910.db2.gz RYDNQJRIIDXOCF-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN C[C@H](Oc1ncc(Cl)cc1[N+](=O)[O-])c1cnccn1 ZINC001226131226 775711529 /nfs/dbraw/zinc/71/15/29/775711529.db2.gz FBVUSUBZVYPZMY-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)CC1 ZINC001226172848 775724941 /nfs/dbraw/zinc/72/49/41/775724941.db2.gz NRVOUGFKGSUNCU-JGZJWPJOSA-N 0 0 278.308 2.651 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226182115 775728028 /nfs/dbraw/zinc/72/80/28/775728028.db2.gz XCQSSEJDOGTQHJ-SNVBAGLBSA-N 0 0 289.234 2.594 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc([N+](=O)[O-])c(F)cc2F)=C1C ZINC001226190818 775732867 /nfs/dbraw/zinc/73/28/67/775732867.db2.gz MLJNREMCUJBOHM-JTQLQIEISA-N 0 0 299.229 2.861 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCCOC2)c(Cl)cc1F ZINC001226196839 775734525 /nfs/dbraw/zinc/73/45/25/775734525.db2.gz UNTWIWJYOAFTOL-SSDOTTSWSA-N 0 0 275.663 2.945 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCOC[C@H]2F)c(Cl)cc1F ZINC001226199163 775735983 /nfs/dbraw/zinc/73/59/83/775735983.db2.gz TWHOQZRCRXLNBM-SCZZXKLOSA-N 0 0 293.653 2.893 20 5 CFBDRN CN1CC(Oc2cc([N+](=O)[O-])c(Cl)cc2Cl)C1 ZINC001226205248 775737041 /nfs/dbraw/zinc/73/70/41/775737041.db2.gz RVRGUOOOKWZRCU-UHFFFAOYSA-N 0 0 277.107 2.594 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ncc([N+](=O)[O-])cc1Cl ZINC001226255927 775757532 /nfs/dbraw/zinc/75/75/32/775757532.db2.gz QDLWIHXQWBBBAT-JTQLQIEISA-N 0 0 272.688 2.780 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC001226257851 775759486 /nfs/dbraw/zinc/75/94/86/775759486.db2.gz JTKHEJNMPAAQKS-APPZFPTMSA-N 0 0 272.688 2.590 20 5 CFBDRN CC1(C)C[C@H](Oc2c(O)cccc2[N+](=O)[O-])CCO1 ZINC001226334473 775782485 /nfs/dbraw/zinc/78/24/85/775782485.db2.gz VEHQBSCOSTWROE-SECBINFHSA-N 0 0 267.281 2.637 20 5 CFBDRN C[C@H](Oc1c(O)cccc1[N+](=O)[O-])c1ccncc1F ZINC001226340726 775784993 /nfs/dbraw/zinc/78/49/93/775784993.db2.gz PIJNYTJVPCNMQN-QMMMGPOBSA-N 0 0 278.239 2.975 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2nc(Cl)ccc2[N+](=O)[O-])=C1C ZINC001226364972 775791036 /nfs/dbraw/zinc/79/10/36/775791036.db2.gz WSBSYANSHARHIK-MRVPVSSYSA-N 0 0 298.682 2.631 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nsc2ccccc21)C1CC1 ZINC001226433290 775821285 /nfs/dbraw/zinc/82/12/85/775821285.db2.gz XMPBXFQXGDGBSS-JTQLQIEISA-N 0 0 264.306 2.730 20 5 CFBDRN COC(=O)c1cc(OC)c(O[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC001226431968 775821333 /nfs/dbraw/zinc/82/13/33/775821333.db2.gz WGIIVMYGSDXXLS-QMMMGPOBSA-N 0 0 295.291 2.567 20 5 CFBDRN CC(C)(C)c1ccc(OC2COCOC2)c([N+](=O)[O-])c1 ZINC001226445660 775825515 /nfs/dbraw/zinc/82/55/15/775825515.db2.gz FPUXDWKESXYJID-UHFFFAOYSA-N 0 0 281.308 2.644 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2cnoc2c1)c1cccnc1 ZINC001226448378 775826960 /nfs/dbraw/zinc/82/69/60/775826960.db2.gz COYPIXBISAFCRF-CQSZACIVSA-N 0 0 285.259 2.620 20 5 CFBDRN COc1nnc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC001226523057 775859632 /nfs/dbraw/zinc/85/96/32/775859632.db2.gz BBCRTIXGKWUAHV-ZETCQYMHSA-N 0 0 281.293 2.595 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](C)c1cnccn1 ZINC001226531589 775862136 /nfs/dbraw/zinc/86/21/36/775862136.db2.gz LAZGIFAUTDXFSD-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN Cc1noc2cc(O[C@H](C[N+](=O)[O-])c3cccnc3)ccc12 ZINC001226536241 775865807 /nfs/dbraw/zinc/86/58/07/775865807.db2.gz UZJSQVWNXIXUAB-OAHLLOKOSA-N 0 0 299.286 2.928 20 5 CFBDRN COc1cc(O[C@H](C)CC(C)(C)OC)ncc1[N+](=O)[O-] ZINC001226578850 775879958 /nfs/dbraw/zinc/87/99/58/775879958.db2.gz LLBQRCLOIDGXHT-SECBINFHSA-N 0 0 284.312 2.581 20 5 CFBDRN COc1cc(O[C@@H]2CCCC[C@@H]2F)ncc1[N+](=O)[O-] ZINC001226579161 775879974 /nfs/dbraw/zinc/87/99/74/775879974.db2.gz ODPPOIYNCQGBCK-WCBMZHEXSA-N 0 0 270.260 2.658 20 5 CFBDRN COc1cc(O[C@@H](C)CC(C)(C)OC)ncc1[N+](=O)[O-] ZINC001226578851 775880204 /nfs/dbraw/zinc/88/02/04/775880204.db2.gz LLBQRCLOIDGXHT-VIFPVBQESA-N 0 0 284.312 2.581 20 5 CFBDRN COc1cc(O[C@@H](C)C2CCCC2)ncc1[N+](=O)[O-] ZINC001226578582 775880291 /nfs/dbraw/zinc/88/02/91/775880291.db2.gz JVCFUHXEDOGWSQ-VIFPVBQESA-N 0 0 266.297 2.956 20 5 CFBDRN COc1cc(O[C@H](C)Cc2ccco2)ncc1[N+](=O)[O-] ZINC001226581102 775880875 /nfs/dbraw/zinc/88/08/75/775880875.db2.gz KXRNBMABZKMNQQ-SECBINFHSA-N 0 0 278.264 2.601 20 5 CFBDRN CCCCOC[C@H](C)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226582706 775882734 /nfs/dbraw/zinc/88/27/34/775882734.db2.gz ZVICUPKTTSZZME-JTQLQIEISA-N 0 0 284.312 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ncc(Cl)cn1)C2 ZINC001226663534 775909819 /nfs/dbraw/zinc/90/98/19/775909819.db2.gz HVAIHHUDIIVBHD-GFCCVEGCSA-N 0 0 291.694 2.584 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CCc3c2cc(F)cc3F)nc1 ZINC001226733047 775941362 /nfs/dbraw/zinc/94/13/62/775941362.db2.gz FKOFCGDKZOVUEW-LBPRGKRZSA-N 0 0 293.229 2.729 20 5 CFBDRN CCC[C@@H](Oc1ncc([N+](=O)[O-])cn1)[C@H](C)CC ZINC001226733402 775941604 /nfs/dbraw/zinc/94/16/04/775941604.db2.gz OSTSNQXLCYPLHX-MWLCHTKSSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1ccc(OC[C@H](C)Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC001226733268 775941918 /nfs/dbraw/zinc/94/19/18/775941918.db2.gz LFMTUUOLOSDXJY-NSHDSACASA-N 0 0 289.291 2.540 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cn1)c1cccc(N(C)C)c1 ZINC001226734569 775942284 /nfs/dbraw/zinc/94/22/84/775942284.db2.gz YULQZOOLBSXDKR-SNVBAGLBSA-N 0 0 288.307 2.591 20 5 CFBDRN COc1cccc([C@@H](C)Oc2ncc([N+](=O)[O-])cn2)c1 ZINC001226734233 775942690 /nfs/dbraw/zinc/94/26/90/775942690.db2.gz WHCXDMNRRJWHKC-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CC1(C)[C@H]2CC[C@]1(C)[C@H](Oc1ncc([N+](=O)[O-])cn1)C2 ZINC001226733690 775943105 /nfs/dbraw/zinc/94/31/05/775943105.db2.gz RWCLFKQKXIHCSI-DRCTWCGVSA-N 0 0 277.324 2.978 20 5 CFBDRN CC(=O)[C@H](Oc1ncc(F)cc1[N+](=O)[O-])c1ccccc1 ZINC001226751163 775946499 /nfs/dbraw/zinc/94/64/99/775946499.db2.gz FOMMGEHHYXGTAI-ZDUSSCGKSA-N 0 0 290.250 2.838 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@H]1CCc2cccnc21 ZINC001226751083 775946539 /nfs/dbraw/zinc/94/65/39/775946539.db2.gz DALPKUOJWCKDCL-NSHDSACASA-N 0 0 275.239 2.590 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2cccc([N+](=O)[O-])c2)C1 ZINC001226763272 775952569 /nfs/dbraw/zinc/95/25/69/775952569.db2.gz ORGHDESAGRTACZ-MFKMUULPSA-N 0 0 279.292 2.705 20 5 CFBDRN CC[N@@H+]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226762898 775952938 /nfs/dbraw/zinc/95/29/38/775952938.db2.gz XLOLIQHPRIQKJX-JTQLQIEISA-N 0 0 270.716 2.721 20 5 CFBDRN CCOC(=O)N1CCC[C@@H](Oc2cccc([N+](=O)[O-])c2)C1 ZINC001226764037 775954066 /nfs/dbraw/zinc/95/40/66/775954066.db2.gz FAWMXVLBRZJZPX-CYBMUJFWSA-N 0 0 294.307 2.595 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2CCCC3(CC3)C2)c1[N+](=O)[O-] ZINC000695719541 775960803 /nfs/dbraw/zinc/96/08/03/775960803.db2.gz SLNWBDXZAQBGQW-SECBINFHSA-N 0 0 263.297 2.830 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226808478 775972828 /nfs/dbraw/zinc/97/28/28/775972828.db2.gz WPIIFSMUTLJBMX-OTSSQURYSA-N 0 0 285.683 2.579 20 5 CFBDRN CC1(C)COC(=O)[C@H]1Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226811452 775974755 /nfs/dbraw/zinc/97/47/55/775974755.db2.gz ZXIDCJOIQIHCEP-SNVBAGLBSA-N 0 0 285.683 2.579 20 5 CFBDRN Cc1cc(O[C@H]2CCn3ccnc32)ccc1[N+](=O)[O-] ZINC001226841539 775987674 /nfs/dbraw/zinc/98/76/74/775987674.db2.gz NZHURTIKRMNQKZ-LBPRGKRZSA-N 0 0 259.265 2.624 20 5 CFBDRN CC(=O)Nc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(Cl)c1 ZINC001226880633 776001665 /nfs/dbraw/zinc/00/16/65/776001665.db2.gz YKSZAVNHZYJDQI-CYBMUJFWSA-N 0 0 298.726 2.733 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc(Cl)c([N+](=O)[O-])cn1 ZINC001226916459 776016801 /nfs/dbraw/zinc/01/68/01/776016801.db2.gz DIYVRMKIAMXOJU-MRVPVSSYSA-N 0 0 274.704 2.837 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC001226949873 776031069 /nfs/dbraw/zinc/03/10/69/776031069.db2.gz AMVNBGJFJHRDME-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc(O[C@@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC001226953200 776032742 /nfs/dbraw/zinc/03/27/42/776032742.db2.gz WBSOBXIDFVKRMN-GXFFZTMASA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H]1CCn2ccnc21 ZINC001226968778 776038752 /nfs/dbraw/zinc/03/87/52/776038752.db2.gz IPZLXYSONHBWLD-LLVKDONJSA-N 0 0 259.265 2.624 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H]1CCO[C@@H](C)C1 ZINC001226971591 776040081 /nfs/dbraw/zinc/04/00/81/776040081.db2.gz PLRRKXJDGZKBAL-QWRGUYRKSA-N 0 0 251.282 2.850 20 5 CFBDRN COC(=O)c1cccc(Cl)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001226980617 776045349 /nfs/dbraw/zinc/04/53/49/776045349.db2.gz LIDIGFVYNAUIHP-LLVKDONJSA-N 0 0 299.710 2.561 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@@H]1C=C(C)OC(=O)C1 ZINC001226994992 776054655 /nfs/dbraw/zinc/05/46/55/776054655.db2.gz JNIYRRZZHMTDRU-LLVKDONJSA-N 0 0 263.249 2.501 20 5 CFBDRN C[C@H](Oc1nccs1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001227036329 776068027 /nfs/dbraw/zinc/06/80/27/776068027.db2.gz PLHKFAVOIPRTDC-ZETCQYMHSA-N 0 0 294.288 2.920 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCc3cccnc32)n1 ZINC001227062841 776079934 /nfs/dbraw/zinc/07/99/34/776079934.db2.gz VKWCUTOBLNNJFT-LBPRGKRZSA-N 0 0 271.276 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCc3cccnc32)n1 ZINC001227062840 776080343 /nfs/dbraw/zinc/08/03/43/776080343.db2.gz VKWCUTOBLNNJFT-GFCCVEGCSA-N 0 0 271.276 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)C(=O)OCCC(C)C)n1 ZINC001227064240 776082597 /nfs/dbraw/zinc/08/25/97/776082597.db2.gz MGNPNXCOTIYWMH-NSHDSACASA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1sc2ncnc(O[C@H](C[N+](=O)[O-])C3CC3)c2c1C ZINC001227083219 776087786 /nfs/dbraw/zinc/08/77/86/776087786.db2.gz UYEYNKQAVUEYBL-SNVBAGLBSA-N 0 0 293.348 2.742 20 5 CFBDRN Cc1[nH]nc2c1cccc2O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001227083492 776087882 /nfs/dbraw/zinc/08/78/82/776087882.db2.gz UVHKKQKAAXWYCE-CQSZACIVSA-N 0 0 298.302 2.663 20 5 CFBDRN O=c1nc2ccc([N+](=O)[O-])cc2c(O[C@@H]2C[C@H]3C=C[C@@H]2C3)[nH]1 ZINC001227096999 776094491 /nfs/dbraw/zinc/09/44/91/776094491.db2.gz VYRWFLQMKRHWEE-IGJMFERPSA-N 0 0 299.286 2.587 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@H]1C=CCCC1 ZINC001227122741 776106693 /nfs/dbraw/zinc/10/66/93/776106693.db2.gz TZIMHHCFDUVGKN-JTQLQIEISA-N 0 0 277.276 2.869 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1c(C(=O)OC)cccc1[N+](=O)[O-] ZINC001227124305 776108266 /nfs/dbraw/zinc/10/82/66/776108266.db2.gz MEYUTQOMTXJHBX-GFCCVEGCSA-N 0 0 295.291 2.518 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H](C)C(F)(F)F ZINC001227185335 776127125 /nfs/dbraw/zinc/12/71/25/776127125.db2.gz PSRCXYOXWYFERB-LURJTMIESA-N 0 0 265.187 2.933 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H](C)c1cncnc1 ZINC001227185349 776127536 /nfs/dbraw/zinc/12/75/36/776127536.db2.gz PVVBAOCNPPEGHW-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1noc(CO[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001222402650 776134177 /nfs/dbraw/zinc/13/41/77/776134177.db2.gz MEOKBKBCUUTXNO-QMMMGPOBSA-N 0 0 263.253 2.564 20 5 CFBDRN O=c1cc(O[C@H]2C=CCC2)c2cc([N+](=O)[O-])ccc2[nH]1 ZINC001227220458 776141679 /nfs/dbraw/zinc/14/16/79/776141679.db2.gz LEYVXHKQMFVXCH-JTQLQIEISA-N 0 0 272.260 2.946 20 5 CFBDRN Cc1ccc(O[C@H](C)C(F)(F)F)c([N+](=O)[O-])n1 ZINC001227217138 776141919 /nfs/dbraw/zinc/14/19/19/776141919.db2.gz BCHZKOLUJITMON-ZCFIWIBFSA-N 0 0 250.176 2.628 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1ccc(C)nc1[N+](=O)[O-] ZINC001227223284 776143802 /nfs/dbraw/zinc/14/38/02/776143802.db2.gz GSDAJQQDFGJTIU-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN CO[C@@H](C)CCOc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227234276 776149215 /nfs/dbraw/zinc/14/92/15/776149215.db2.gz MTALHGQGMPGWES-QMMMGPOBSA-N 0 0 266.253 2.540 20 5 CFBDRN CCO[C@@H](C)COc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227236673 776150656 /nfs/dbraw/zinc/15/06/56/776150656.db2.gz XSEXHNOTBJOZKK-QMMMGPOBSA-N 0 0 266.253 2.540 20 5 CFBDRN Cc1cnc(O[C@H](C)c2nccs2)c([N+](=O)[O-])c1 ZINC001227237056 776150838 /nfs/dbraw/zinc/15/08/38/776150838.db2.gz PSWXRSAOBQCWAD-MRVPVSSYSA-N 0 0 265.294 2.895 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001227294587 776172594 /nfs/dbraw/zinc/17/25/94/776172594.db2.gz FPFUEGPLJCWYRH-GXSJLCMTSA-N 0 0 279.292 2.949 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(-c2ccccn2)cn1)C1CC1 ZINC001227294114 776172759 /nfs/dbraw/zinc/17/27/59/776172759.db2.gz FSGJIZXQLUKKIV-CQSZACIVSA-N 0 0 285.303 2.578 20 5 CFBDRN COc1cc(Cl)ccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001227368567 776186144 /nfs/dbraw/zinc/18/61/44/776186144.db2.gz CMOORNWPSDVSQW-GFCCVEGCSA-N 0 0 271.700 2.783 20 5 CFBDRN Cc1cc(CNc2ccc3cnccc3c2[N+](=O)[O-])nn1C ZINC001167768440 776188248 /nfs/dbraw/zinc/18/82/48/776188248.db2.gz BDWCOOJESRJMBU-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1cc(CNc2ccc3ncccc3c2[N+](=O)[O-])nn1C ZINC001167768962 776194771 /nfs/dbraw/zinc/19/47/71/776194771.db2.gz OQXZKDRMKOCTEH-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=c1cccccc1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001227417651 776197716 /nfs/dbraw/zinc/19/77/16/776197716.db2.gz SYBKRFFHONBRCK-CQSZACIVSA-N 0 0 283.283 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC001227466280 776209611 /nfs/dbraw/zinc/20/96/11/776209611.db2.gz MLQIYAPIELTNMF-IGJMFERPSA-N 0 0 276.248 2.846 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2ccccc2[N+](=O)[O-])C1 ZINC001227479786 776211476 /nfs/dbraw/zinc/21/14/76/776211476.db2.gz KKBQTQLSYGIJGG-MNOVXSKESA-N 0 0 279.292 2.705 20 5 CFBDRN CCOC(=O)CC1CC(Oc2ccccc2[N+](=O)[O-])C1 ZINC001227481659 776212499 /nfs/dbraw/zinc/21/24/99/776212499.db2.gz XPHLCDIPILTKCA-UHFFFAOYSA-N 0 0 279.292 2.705 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2ccc([N+](=O)[O-])cc2)C1 ZINC001227523609 776218328 /nfs/dbraw/zinc/21/83/28/776218328.db2.gz ONDCNINGXMPTKD-GXFFZTMASA-N 0 0 279.292 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2COc3cnccc32)cc1 ZINC001227523220 776219124 /nfs/dbraw/zinc/21/91/24/776219124.db2.gz GVDWDZMUPDRXQO-ZDUSSCGKSA-N 0 0 258.233 2.502 20 5 CFBDRN Cc1noc([C@H](C)OC(=O)c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000124963691 776227448 /nfs/dbraw/zinc/22/74/48/776227448.db2.gz SNCHFJQTTOMLHH-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC1SCCS1 ZINC000692822102 776234134 /nfs/dbraw/zinc/23/41/34/776234134.db2.gz GHJBGKBUCOAPML-UHFFFAOYSA-N 0 0 274.342 2.952 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)/C=C/c1cccnc1 ZINC000125154742 776255212 /nfs/dbraw/zinc/25/52/12/776255212.db2.gz FGCOGRWISMZXLS-FNORWQNLSA-N 0 0 284.271 2.917 20 5 CFBDRN C[C@H]1CC[C@H](Oc2ncc([N+](=O)[O-])c3nc[nH]c32)CC1 ZINC001227692051 776260010 /nfs/dbraw/zinc/26/00/10/776260010.db2.gz SOYWGMOBPFVUGD-KYZUINATSA-N 0 0 276.296 2.824 20 5 CFBDRN C[C@H]1CCC[C@@H](Oc2ncc([N+](=O)[O-])c3nc[nH]c32)C1 ZINC001227691801 776260022 /nfs/dbraw/zinc/26/00/22/776260022.db2.gz QHQJUFALXIVBLF-DTWKUNHWSA-N 0 0 276.296 2.824 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccsn1)C2 ZINC001227726476 776271803 /nfs/dbraw/zinc/27/18/03/776271803.db2.gz KJHOEPSNJOUGOL-NSHDSACASA-N 0 0 262.290 2.598 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(OC3CC(F)(F)C3)nc2c1 ZINC001227746813 776277683 /nfs/dbraw/zinc/27/76/83/776277683.db2.gz VMROMHSCSAKXBD-UHFFFAOYSA-N 0 0 269.207 2.648 20 5 CFBDRN Cc1cc(=O)[nH]nc1O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001227802329 776295157 /nfs/dbraw/zinc/29/51/57/776295157.db2.gz URRGSQCRJYGMJO-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN C[C@H](COc1ccccc1)Oc1cncc([N+](=O)[O-])c1 ZINC001227987901 776350980 /nfs/dbraw/zinc/35/09/80/776350980.db2.gz LQVASJXKPQWHLQ-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN CC1(C)COC(=O)[C@@H]1Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228001948 776355732 /nfs/dbraw/zinc/35/57/32/776355732.db2.gz GGROQPQTVJUMQR-JTQLQIEISA-N 0 0 285.683 2.579 20 5 CFBDRN CC(=O)N1CCC(Oc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC001228001860 776356664 /nfs/dbraw/zinc/35/66/64/776356664.db2.gz DLQSPOJWMSYKNB-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@H]1CCn2ccnc21 ZINC001228003082 776357230 /nfs/dbraw/zinc/35/72/30/776357230.db2.gz ULVGEZJRSOANMJ-JTQLQIEISA-N 0 0 279.683 2.969 20 5 CFBDRN CCOC[C@@H](C)Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228016088 776364321 /nfs/dbraw/zinc/36/43/21/776364321.db2.gz JTJWUESLBPXCHU-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1OC[C@H]1CCC=CO1 ZINC001228014402 776364645 /nfs/dbraw/zinc/36/46/45/776364645.db2.gz KIJFSINOXDAFAR-MRVPVSSYSA-N 0 0 270.672 2.715 20 5 CFBDRN CCCOC[C@H](C)Oc1nccc(Cl)c1[N+](=O)[O-] ZINC001228017763 776365521 /nfs/dbraw/zinc/36/55/21/776365521.db2.gz RVSGCZXKHUVVGF-QMMMGPOBSA-N 0 0 274.704 2.837 20 5 CFBDRN Cc1occc1CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC001126171057 776371949 /nfs/dbraw/zinc/37/19/49/776371949.db2.gz MSKZJWVZODMBSV-UHFFFAOYSA-N 0 0 292.266 2.874 20 5 CFBDRN C[C@@H](Oc1ccnc(Cl)c1[N+](=O)[O-])C1CCOCC1 ZINC001228053336 776379328 /nfs/dbraw/zinc/37/93/28/776379328.db2.gz AWRAQBXXXNGFFJ-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN C[C@H](Oc1nccc(=S)[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001228128207 776403419 /nfs/dbraw/zinc/40/34/19/776403419.db2.gz DZRAQBWXJGEINE-QMMMGPOBSA-N 0 0 277.305 2.814 20 5 CFBDRN CC[C@@H](C)CC[C@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228141074 776407396 /nfs/dbraw/zinc/40/73/96/776407396.db2.gz OYFYGNWPFFDIFC-BDAKNGLRSA-N 0 0 269.301 2.684 20 5 CFBDRN CCC[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])[C@H](C)CC ZINC001228142626 776407973 /nfs/dbraw/zinc/40/79/73/776407973.db2.gz FYYYNEHJVWNMHZ-RKDXNWHRSA-N 0 0 269.301 2.684 20 5 CFBDRN Cc1c(C)c(O[C@H](C)c2ccccc2[N+](=O)[O-])n[nH]c1=O ZINC001228154006 776412196 /nfs/dbraw/zinc/41/21/96/776412196.db2.gz IKDLBYGVFAVNKI-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN CN1CC[C@@H](Oc2c(Cl)cc([N+](=O)[O-])cc2Cl)C1 ZINC001228199456 776421235 /nfs/dbraw/zinc/42/12/35/776421235.db2.gz ZLXOESRIYCJXDB-MRVPVSSYSA-N 0 0 291.134 2.985 20 5 CFBDRN CC(C)OC(=O)COc1nc2ccc([N+](=O)[O-])cc2s1 ZINC001228209694 776422614 /nfs/dbraw/zinc/42/26/14/776422614.db2.gz WHYBFDFSLHEPNU-UHFFFAOYSA-N 0 0 296.304 2.535 20 5 CFBDRN Cc1cc(C)c(O[C@@H]2C=C(C)OC(=O)C2)c([N+](=O)[O-])c1 ZINC001228230264 776427667 /nfs/dbraw/zinc/42/76/67/776427667.db2.gz PKBRQJBASBHTGP-LLVKDONJSA-N 0 0 277.276 2.810 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(OC2CCOCC2)c1 ZINC001228254899 776430928 /nfs/dbraw/zinc/43/09/28/776430928.db2.gz BLKIJNJGIOBTCL-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(O[C@H]2CCOC[C@@H]2F)c1 ZINC001228258481 776435923 /nfs/dbraw/zinc/43/59/23/776435923.db2.gz ZOAVHCJPFHPGMJ-QWRGUYRKSA-N 0 0 275.663 2.754 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nnc(Cl)c2ccccc21)C1CC1 ZINC001228278346 776442804 /nfs/dbraw/zinc/44/28/04/776442804.db2.gz SDYRVOXOKMDGEE-NSHDSACASA-N 0 0 293.710 2.717 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@H](C3CC3)O2)ncc1[N+](=O)[O-] ZINC000722333767 776479149 /nfs/dbraw/zinc/47/91/49/776479149.db2.gz ODVHSIPHRUAHPK-WCQYABFASA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CC[C@@H](C2CC2)O1 ZINC000722335370 776482501 /nfs/dbraw/zinc/48/25/01/776482501.db2.gz ZSONUALSBQCMBH-OLZOCXBDSA-N 0 0 277.324 2.668 20 5 CFBDRN CC[C@H](COc1c(C(C)=O)cc(C)cc1[N+](=O)[O-])OC ZINC001228450925 776494868 /nfs/dbraw/zinc/49/48/68/776494868.db2.gz OOHRPLOMCYLLAO-LLVKDONJSA-N 0 0 281.308 2.910 20 5 CFBDRN Cc1nc(F)ccc1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228551404 776517275 /nfs/dbraw/zinc/51/72/75/776517275.db2.gz CSJHSJJFXXALOR-CYBMUJFWSA-N 0 0 288.278 2.984 20 5 CFBDRN CC[C@@H](Oc1c(C)cc([N+](=O)[O-])cc1C)C(=O)OC ZINC001228571177 776524230 /nfs/dbraw/zinc/52/42/30/776524230.db2.gz KZXMNFTVGVSLGR-LLVKDONJSA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1OC[C@H]1CCCO1 ZINC001228570546 776524486 /nfs/dbraw/zinc/52/44/86/776524486.db2.gz DZISUGSIBCFQGO-GFCCVEGCSA-N 0 0 251.282 2.769 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1c(C)cc([N+](=O)[O-])cc1C ZINC001228571660 776526019 /nfs/dbraw/zinc/52/60/19/776526019.db2.gz QMQWQOCKYMPTHX-LLVKDONJSA-N 0 0 279.292 2.708 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccnc(Cl)c1F)c1cccnc1 ZINC001228577618 776527207 /nfs/dbraw/zinc/52/72/07/776527207.db2.gz PCIMTIJHUNQNQP-SNVBAGLBSA-N 0 0 297.673 2.666 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228609551 776537948 /nfs/dbraw/zinc/53/79/48/776537948.db2.gz DXEQTWYLWAICHR-SFYZADRCSA-N 0 0 273.235 2.677 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(F)cc(F)c1[N+](=O)[O-])OC ZINC001228612426 776539381 /nfs/dbraw/zinc/53/93/81/776539381.db2.gz HVDNUOKQQYKGBV-SSDOTTSWSA-N 0 0 291.250 2.649 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228612083 776539498 /nfs/dbraw/zinc/53/94/98/776539498.db2.gz YBAVNYIXZDLLAK-GMSGAONNSA-N 0 0 273.235 2.677 20 5 CFBDRN CCOC[C@@H](C)Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228614605 776540618 /nfs/dbraw/zinc/54/06/18/776540618.db2.gz WJRVTCMSZSSHSY-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CC[C@H](C)CC[C@@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228655375 776553935 /nfs/dbraw/zinc/55/39/35/776553935.db2.gz RKJNLYJAMMBVAL-VHSXEESVSA-N 0 0 253.302 2.978 20 5 CFBDRN CC/C=C(\C)[C@H](CC)Oc1ncncc1[N+](=O)[O-] ZINC001228660130 776556482 /nfs/dbraw/zinc/55/64/82/776556482.db2.gz OYLKNYSGKRDJLI-LAHYYIKRSA-N 0 0 251.286 2.899 20 5 CFBDRN Cc1cc2[nH]c(O[C@@H]3C=CCC3)nc2cc1[N+](=O)[O-] ZINC001228672872 776561980 /nfs/dbraw/zinc/56/19/80/776561980.db2.gz BUWXOVHQGQERSP-SECBINFHSA-N 0 0 259.265 2.877 20 5 CFBDRN Cc1cc2[nH]c(O[C@H]3C=CCC3)nc2cc1[N+](=O)[O-] ZINC001228672873 776562031 /nfs/dbraw/zinc/56/20/31/776562031.db2.gz BUWXOVHQGQERSP-VIFPVBQESA-N 0 0 259.265 2.877 20 5 CFBDRN Cc1cc2[nH]c(OC[C@@H]3CCCCO3)nc2cc1[N+](=O)[O-] ZINC001228674655 776562073 /nfs/dbraw/zinc/56/20/73/776562073.db2.gz KTOMYULYJXDBQE-JTQLQIEISA-N 0 0 291.307 2.727 20 5 CFBDRN Cc1cc2[nH]c(OC[C@@H]3CCO[C@@H]3C)nc2cc1[N+](=O)[O-] ZINC001228672698 776562537 /nfs/dbraw/zinc/56/25/37/776562537.db2.gz ZROUUIUARMRFTF-ZJUUUORDSA-N 0 0 291.307 2.583 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)Nc2cccnn2)cc1Cl ZINC001126300869 776567263 /nfs/dbraw/zinc/56/72/63/776567263.db2.gz WIOFKGCUQMDWIP-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)c2ccncc2F)c1[N+](=O)[O-] ZINC001228688655 776568871 /nfs/dbraw/zinc/56/88/71/776568871.db2.gz MEMFNTOVPXALJC-QMMMGPOBSA-N 0 0 293.254 2.678 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CC[C@H](C(F)(F)F)O1 ZINC000722392130 776577462 /nfs/dbraw/zinc/57/74/62/776577462.db2.gz QIQISSDHLTZGKS-VXNVDRBHSA-N 0 0 291.229 2.512 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001228759089 776600482 /nfs/dbraw/zinc/60/04/82/776600482.db2.gz SVKINBDXJXWDKC-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001228759272 776600579 /nfs/dbraw/zinc/60/05/79/776600579.db2.gz ZFSMTAVJUNMUCD-MRVPVSSYSA-N 0 0 285.683 2.745 20 5 CFBDRN CO[C@@H](C)CCOc1c2ccccc2oc(=O)c1[N+](=O)[O-] ZINC001228803652 776618255 /nfs/dbraw/zinc/61/82/55/776618255.db2.gz CTBZCGJHCBVCRT-VIFPVBQESA-N 0 0 293.275 2.505 20 5 CFBDRN Cc1cc(OC[C@@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC001228833908 776625588 /nfs/dbraw/zinc/62/55/88/776625588.db2.gz URFLVWJFTAIGJM-LBPRGKRZSA-N 0 0 251.282 2.851 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1cc(C)cc([N+](=O)[O-])c1 ZINC001228839836 776629434 /nfs/dbraw/zinc/62/94/34/776629434.db2.gz ZLJUJCRURSVYDO-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN C[C@H](COCc1ccccc1)Oc1cnccc1[N+](=O)[O-] ZINC001228881281 776645551 /nfs/dbraw/zinc/64/55/51/776645551.db2.gz CVHVWKGTSKHOPD-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@H]1C=C(C)OC(=O)C1 ZINC001228915695 776657464 /nfs/dbraw/zinc/65/74/64/776657464.db2.gz JQHUCHYWPZORHX-NSHDSACASA-N 0 0 263.249 2.501 20 5 CFBDRN COC(=O)c1ccc(CO[C@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC001223410222 776658487 /nfs/dbraw/zinc/65/84/87/776658487.db2.gz LOVDBPCVRFBOOB-SECBINFHSA-N 0 0 279.292 2.697 20 5 CFBDRN Cc1c([C@H](C)Oc2ccc([N+](=O)[O-])c(Cl)n2)cnn1C ZINC001228936204 776661222 /nfs/dbraw/zinc/66/12/22/776661222.db2.gz QHJHEIUPVCYYHS-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN C[C@H]1CC[C@H](Oc2cc(O)cc([O-])c2[N+](=O)[O-])C1 ZINC001228971942 776672790 /nfs/dbraw/zinc/67/27/90/776672790.db2.gz TUWTWIRVEFDKEM-CBAPKCEASA-N 0 0 253.254 2.573 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2cc(O)cc([O-])c2[N+](=O)[O-])C1 ZINC001228971967 776672806 /nfs/dbraw/zinc/67/28/06/776672806.db2.gz TXBXPEJNYQRDQG-SCZZXKLOSA-N 0 0 267.281 2.964 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1OC[C@@H]1CCC=CO1 ZINC001228972239 776673956 /nfs/dbraw/zinc/67/39/56/776673956.db2.gz XIKBXVQWKAGCLD-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(O[C@H]3CCn4ccnc43)c2c1 ZINC001229006277 776686208 /nfs/dbraw/zinc/68/62/08/776686208.db2.gz VXNSJRDUVRYNIS-ZDUSSCGKSA-N 0 0 296.286 2.863 20 5 CFBDRN CO[C@H](C)COc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229007354 776687665 /nfs/dbraw/zinc/68/76/65/776687665.db2.gz HBVYCASNCDMMJS-SECBINFHSA-N 0 0 262.265 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(OC3CC4(COC4)C3)c2c1 ZINC001229007444 776687878 /nfs/dbraw/zinc/68/78/78/776687878.db2.gz IUIBDPWOOMURNL-UHFFFAOYSA-N 0 0 286.287 2.701 20 5 CFBDRN COC(=O)c1c(O[C@@H]2C[C@H]3C=C[C@@H]2C3)cccc1[N+](=O)[O-] ZINC001229044231 776699163 /nfs/dbraw/zinc/69/91/63/776699163.db2.gz FMSSZCPNTRKFCE-OPQQBVKSSA-N 0 0 289.287 2.725 20 5 CFBDRN COC(=O)c1c(OC2CCCC2)cccc1[N+](=O)[O-] ZINC001229047462 776700117 /nfs/dbraw/zinc/70/01/17/776700117.db2.gz ZUOLNHCRYFXJBR-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN CCC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(=O)OC ZINC001229056530 776702848 /nfs/dbraw/zinc/70/28/48/776702848.db2.gz PDQRFVRRNYRULD-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1C[C@@H]2CC[C@H]1C2 ZINC001229059832 776704168 /nfs/dbraw/zinc/70/41/68/776704168.db2.gz WKCHKOKPPCSFSQ-ISTVAULSSA-N 0 0 291.303 2.949 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc(/C=C/[N+](=O)[O-])cc2)=C1C ZINC001229114314 776722171 /nfs/dbraw/zinc/72/21/71/776722171.db2.gz JDIPAPLCIPVOOV-MMQHEFTJSA-N 0 0 289.287 2.922 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229113847 776722567 /nfs/dbraw/zinc/72/25/67/776722567.db2.gz GBZYLSXFPVBGSA-AYSSICMYSA-N 0 0 295.335 2.753 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ccc(/C=C/[N+](=O)[O-])cc2)O1 ZINC001229118788 776725074 /nfs/dbraw/zinc/72/50/74/776725074.db2.gz HZUGXUBFURPLTQ-CDNXDHMFSA-N 0 0 263.293 2.880 20 5 CFBDRN CC(=O)c1cccc(O[C@@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC001229136434 776728484 /nfs/dbraw/zinc/72/84/84/776728484.db2.gz BQYLRZZGVMHNQM-BXKDBHETSA-N 0 0 279.292 2.601 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCOC[C@H]1C ZINC001229177254 776743810 /nfs/dbraw/zinc/74/38/10/776743810.db2.gz QUPFYJWTLJDBTE-QMTHXVAHSA-N 0 0 293.319 2.991 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(O[C@H]2CCCOC2)c1 ZINC001229344333 776784806 /nfs/dbraw/zinc/78/48/06/776784806.db2.gz XAWVPJTVVAUTSQ-LBPRGKRZSA-N 0 0 274.276 2.701 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(O[C@@H]2CCCOC2)c1 ZINC001229344332 776785023 /nfs/dbraw/zinc/78/50/23/776785023.db2.gz XAWVPJTVVAUTSQ-GFCCVEGCSA-N 0 0 274.276 2.701 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(O[C@@H]2CCOC[C@@H]2F)c1 ZINC001229345876 776786575 /nfs/dbraw/zinc/78/65/75/776786575.db2.gz JDKGRHYJABODSC-NWDGAFQWSA-N 0 0 292.266 2.649 20 5 CFBDRN CCC(CC)Oc1n[nH]c(=O)c2cccc([N+](=O)[O-])c21 ZINC001229367897 776792349 /nfs/dbraw/zinc/79/23/49/776792349.db2.gz UXMSKRNYUZUQEI-UHFFFAOYSA-N 0 0 277.280 2.811 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC001229376868 776795939 /nfs/dbraw/zinc/79/59/39/776795939.db2.gz MDXXYNUWUPEEHY-MRVPVSSYSA-N 0 0 285.683 2.745 20 5 CFBDRN COc1ccc([C@@H](C)Oc2cc([N+](=O)[O-])ccc2O)cn1 ZINC001229396203 776799159 /nfs/dbraw/zinc/79/91/59/776799159.db2.gz RGCLJTNSFUNKJX-SECBINFHSA-N 0 0 290.275 2.844 20 5 CFBDRN COC1=CC[C@H](Oc2cc([N+](=O)[O-])ccc2O)CC1 ZINC001229400261 776801883 /nfs/dbraw/zinc/80/18/83/776801883.db2.gz SWDNYUYLELVFFO-NSHDSACASA-N 0 0 265.265 2.762 20 5 CFBDRN CC(=O)Nc1cc(Cl)ccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001229415716 776804225 /nfs/dbraw/zinc/80/42/25/776804225.db2.gz LYCAFHPTEIPANK-CYBMUJFWSA-N 0 0 298.726 2.733 20 5 CFBDRN O=[N+]([O-])c1c[n+]([O-])ccc1O[C@H]1CCCc2ccccc21 ZINC001229452026 776817521 /nfs/dbraw/zinc/81/75/21/776817521.db2.gz MLYJCCUJLRZRJM-AWEZNQCLSA-N 0 0 286.287 2.685 20 5 CFBDRN CCC[C@H](C)[C@@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229455331 776819269 /nfs/dbraw/zinc/81/92/69/776819269.db2.gz DWVWQMHVEFBXSZ-CMPLNLGQSA-N 0 0 268.313 2.822 20 5 CFBDRN Cc1cc(O)c(O[C@H](C)C2CCOCC2)cc1[N+](=O)[O-] ZINC001229750256 776887177 /nfs/dbraw/zinc/88/71/77/776887177.db2.gz LQUJZPWFHGCNKP-SNVBAGLBSA-N 0 0 281.308 2.803 20 5 CFBDRN Cc1cc(O)c(OC[C@@H]2CCC(C)(C)O2)cc1[N+](=O)[O-] ZINC001229751870 776887402 /nfs/dbraw/zinc/88/74/02/776887402.db2.gz YSVRVAACIUFCGM-JTQLQIEISA-N 0 0 281.308 2.945 20 5 CFBDRN Cc1cc(O)c(O[C@H]2CCOC(C)(C)C2)cc1[N+](=O)[O-] ZINC001229755758 776888505 /nfs/dbraw/zinc/88/85/05/776888505.db2.gz ZWOZTAXDWWXJDU-JTQLQIEISA-N 0 0 281.308 2.945 20 5 CFBDRN CCC(CC)Oc1nc(Cl)nc(C)c1[N+](=O)[O-] ZINC000721516464 776907997 /nfs/dbraw/zinc/90/79/97/776907997.db2.gz QJNOVZSJYQJFHI-UHFFFAOYSA-N 0 0 259.693 2.914 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@H]1CCOC[C@@H]1F ZINC001229881480 776909566 /nfs/dbraw/zinc/90/95/66/776909566.db2.gz XKLFOOJANQRJPP-GWCFXTLKSA-N 0 0 269.272 2.717 20 5 CFBDRN CC1=C(Oc2cc(F)c(C)cc2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001229894810 776913025 /nfs/dbraw/zinc/91/30/25/776913025.db2.gz LALLORJZRXIKMO-MRVPVSSYSA-N 0 0 281.239 2.640 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCCCC2=O)cc1F ZINC001229895736 776914089 /nfs/dbraw/zinc/91/40/89/776914089.db2.gz FLIAYXXTFSWURM-LBPRGKRZSA-N 0 0 267.256 2.933 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])nc1Cl ZINC001229914717 776917202 /nfs/dbraw/zinc/91/72/02/776917202.db2.gz DYURBISEPBBELF-MRVPVSSYSA-N 0 0 274.704 2.837 20 5 CFBDRN CC(C)(C)N1CC(Oc2ccc([N+](=O)[O-])nc2Cl)C1 ZINC001229916127 776917566 /nfs/dbraw/zinc/91/75/66/776917566.db2.gz WGZDCPLDESNRNM-UHFFFAOYSA-N 0 0 285.731 2.505 20 5 CFBDRN CC(C)[N@@H+]1CC[C@@H](Oc2ccc([N+](=O)[O-])nc2Cl)C1 ZINC001229915184 776917635 /nfs/dbraw/zinc/91/76/35/776917635.db2.gz LPCWUTSXJKSCBS-SECBINFHSA-N 0 0 285.731 2.505 20 5 CFBDRN CC(=O)N1CCC(Oc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC001229962202 776925601 /nfs/dbraw/zinc/92/56/01/776925601.db2.gz SFWOUYLFVCDMLE-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229961993 776926334 /nfs/dbraw/zinc/92/63/34/776926334.db2.gz NWAVXUILROFQSX-OTSSQURYSA-N 0 0 285.683 2.579 20 5 CFBDRN CC[C@@H](Oc1cc(C)c(Cl)cc1[N+](=O)[O-])C(=O)OC ZINC001229975197 776929515 /nfs/dbraw/zinc/92/95/15/776929515.db2.gz MHDCRTARUYXKTC-SNVBAGLBSA-N 0 0 287.699 2.887 20 5 CFBDRN CCOC(=O)[C@@H](Oc1ccc(C)cc1[N+](=O)[O-])C1CC1 ZINC001230146621 776955163 /nfs/dbraw/zinc/95/51/63/776955163.db2.gz SGDIVKUWWMLVOO-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc(C)cc2[N+](=O)[O-])=C1C ZINC001230143926 776955345 /nfs/dbraw/zinc/95/53/45/776955345.db2.gz QYZORHGNSNLHJR-NSHDSACASA-N 0 0 277.276 2.891 20 5 CFBDRN C[C@H](Cc1ccccc1)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230230035 776961074 /nfs/dbraw/zinc/96/10/74/776961074.db2.gz JSXJIARDGHBFRN-SNVBAGLBSA-N 0 0 298.302 2.876 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1OC[C@@H]1CCC=CO1 ZINC001230247254 776965540 /nfs/dbraw/zinc/96/55/40/776965540.db2.gz IFUAMBFFPXQJEY-LBPRGKRZSA-N 0 0 292.291 2.625 20 5 CFBDRN CCc1ccc(O)cc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001230290790 776973566 /nfs/dbraw/zinc/97/35/66/776973566.db2.gz LNISTTOBDKPYIR-HNNXBMFYSA-N 0 0 288.303 2.746 20 5 CFBDRN COC(=O)c1c(C)cc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1C ZINC001230308701 776976234 /nfs/dbraw/zinc/97/62/34/776976234.db2.gz RCLIMSNSJFBTGX-ZDUSSCGKSA-N 0 0 293.319 2.524 20 5 CFBDRN CC(=O)[C@@H](C)Oc1cccc(Br)c1[N+](=O)[O-] ZINC001230326901 776979098 /nfs/dbraw/zinc/97/90/98/776979098.db2.gz WAYZMTBGIBWBHT-SSDOTTSWSA-N 0 0 288.097 2.714 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1OC[C@H]1CCO1 ZINC001230326162 776979604 /nfs/dbraw/zinc/97/96/04/776979604.db2.gz IOQAVLLXKSFFRS-SSDOTTSWSA-N 0 0 288.097 2.525 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1c(Cl)nccc1[N+](=O)[O-] ZINC001225432967 776986006 /nfs/dbraw/zinc/98/60/06/776986006.db2.gz LBVRFIZDWSDXPK-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN COC(C[C@H](C)Oc1cc(C)c(C)c([N+](=O)[O-])c1)OC ZINC001230477362 776997211 /nfs/dbraw/zinc/99/72/11/776997211.db2.gz KTHKKSVYWDAUND-JTQLQIEISA-N 0 0 283.324 2.988 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cc(C)c(C)c([N+](=O)[O-])c1 ZINC001230476855 776997648 /nfs/dbraw/zinc/99/76/48/776997648.db2.gz FQWKKTUXOCKXII-ZDUSSCGKSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC001230491075 776998832 /nfs/dbraw/zinc/99/88/32/776998832.db2.gz ISNDMLVBAQNOFY-UHFFFAOYSA-N 0 0 288.206 2.988 20 5 CFBDRN COC(=O)c1cc(C)c(O[C@@H](C[N+](=O)[O-])C2CC2)cc1C ZINC001230527956 777004660 /nfs/dbraw/zinc/00/46/60/777004660.db2.gz KMDTVYJMINAHNR-AWEZNQCLSA-N 0 0 293.319 2.524 20 5 CFBDRN COC1=CC[C@@H](Oc2cc3n[nH]cc3cc2[N+](=O)[O-])CC1 ZINC001230602218 777023253 /nfs/dbraw/zinc/02/32/53/777023253.db2.gz WTNXWDZITNXUJH-LLVKDONJSA-N 0 0 289.291 2.933 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2ncccc2c1F)C1CC1 ZINC001230669069 777039626 /nfs/dbraw/zinc/03/96/26/777039626.db2.gz KDKLDZLIXHIFCA-ZDUSSCGKSA-N 0 0 276.267 2.808 20 5 CFBDRN C[C@@H](O)CC(=O)Oc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC001230767883 777059046 /nfs/dbraw/zinc/05/90/46/777059046.db2.gz DRKMKWXNWWNTJK-RXMQYKEDSA-N 0 0 294.090 2.578 20 5 CFBDRN CC[NH+]1CCC(Oc2ccc(Cl)nc2[N+](=O)[O-])CC1 ZINC001230872520 777078733 /nfs/dbraw/zinc/07/87/33/777078733.db2.gz OUAJGMACXMMMPT-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN CC(C)OC(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC001230918422 777092453 /nfs/dbraw/zinc/09/24/53/777092453.db2.gz PBDOEDQYFUOQGV-UHFFFAOYSA-N 0 0 273.672 2.579 20 5 CFBDRN Cc1cccc(O[C@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC001225636499 777114260 /nfs/dbraw/zinc/11/42/60/777114260.db2.gz XQZLHNICAZZQRN-MNOVXSKESA-N 0 0 251.282 2.707 20 5 CFBDRN C[C@H](Oc1ncnc2cccc([N+](=O)[O-])c21)C1CC1 ZINC001231052309 777125910 /nfs/dbraw/zinc/12/59/10/777125910.db2.gz AOKNAGOTYPYVBK-QMMMGPOBSA-N 0 0 259.265 2.715 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(O[C@H]3C=CCC3)c21 ZINC001231057399 777126809 /nfs/dbraw/zinc/12/68/09/777126809.db2.gz YZLBODRRWWQEOL-VIFPVBQESA-N 0 0 257.249 2.635 20 5 CFBDRN CCOC(=O)[C@@H](Oc1c(C)ccc(C)c1[N+](=O)[O-])C1CC1 ZINC001231058439 777128186 /nfs/dbraw/zinc/12/81/86/777128186.db2.gz YVOJXGNQLDWRAU-AWEZNQCLSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1OC1CN(C(C)C)C1 ZINC001231058836 777128446 /nfs/dbraw/zinc/12/84/46/777128446.db2.gz DCSYUDSLMADWOZ-UHFFFAOYSA-N 0 0 264.325 2.683 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(O[C@H]3CCOC[C@@H]3F)cnc21 ZINC001231156363 777159393 /nfs/dbraw/zinc/15/93/93/777159393.db2.gz JOVASISVZSTYQG-AAEUAGOBSA-N 0 0 292.266 2.649 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231156705 777159421 /nfs/dbraw/zinc/15/94/21/777159421.db2.gz OKUAEUKZLBTKKS-YGRLFVJLSA-N 0 0 288.303 2.947 20 5 CFBDRN O=C1OCC[C@H]1Oc1ccc(-c2ccccc2[N+](=O)[O-])cc1 ZINC001231252905 777176729 /nfs/dbraw/zinc/17/67/29/777176729.db2.gz IXBFWWWDGOIWEX-OAHLLOKOSA-N 0 0 299.282 2.956 20 5 CFBDRN O=Nc1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc1 ZINC001231289264 777185675 /nfs/dbraw/zinc/18/56/75/777185675.db2.gz NMJWRZVCKYAJHP-CYBMUJFWSA-N 0 0 273.248 2.876 20 5 CFBDRN Cc1c(O[C@H](C)c2cnccn2)cccc1[N+](=O)[O-] ZINC001225689378 777187978 /nfs/dbraw/zinc/18/79/78/777187978.db2.gz GNEDARCGKYJUNA-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCCC(=O)Nc1c(OC[C@@H](C)OC)cccc1[N+](=O)[O-] ZINC001231359600 777201679 /nfs/dbraw/zinc/20/16/79/777201679.db2.gz PGZNGNYTXQPARO-SNVBAGLBSA-N 0 0 296.323 2.747 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC001225710296 777214132 /nfs/dbraw/zinc/21/41/32/777214132.db2.gz JFZMYHLKMKOSMO-NSHDSACASA-N 0 0 298.726 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000711752599 777234809 /nfs/dbraw/zinc/23/48/09/777234809.db2.gz MGRCATRLQVYZKT-SECBINFHSA-N 0 0 263.253 2.881 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225905456 777330155 /nfs/dbraw/zinc/33/01/55/777330155.db2.gz UCMFTOBURKJAIL-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC1CCN(CCF)CC1 ZINC001225904343 777330202 /nfs/dbraw/zinc/33/02/02/777330202.db2.gz BPCQPZLQBKKANL-UHFFFAOYSA-N 0 0 286.278 2.547 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ccc([N+](=O)[O-])cc1F ZINC001225945678 777344741 /nfs/dbraw/zinc/34/47/41/777344741.db2.gz DPOOGGYWOVEGSZ-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN CCO[C@H](C)COc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225963964 777348800 /nfs/dbraw/zinc/34/88/00/777348800.db2.gz VURGZLIGBUVXMP-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CCO[C@@H](C)COc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225963966 777348766 /nfs/dbraw/zinc/34/87/66/777348766.db2.gz VURGZLIGBUVXMP-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN CC(C)CNc1ccc([N+](=O)[O-])c2c1CCN(C)C2 ZINC001161651028 777427163 /nfs/dbraw/zinc/42/71/63/777427163.db2.gz OYMRKKHWABLXMI-UHFFFAOYSA-N 0 0 263.341 2.651 20 5 CFBDRN CC(C)(C)C(=O)N[C@H]1C[C@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC001059218724 777501543 /nfs/dbraw/zinc/50/15/43/777501543.db2.gz VJIYDZLICHYXJM-XYPYZODXSA-N 0 0 291.351 2.700 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCC(C)(C)C ZINC001161673040 777501616 /nfs/dbraw/zinc/50/16/16/777501616.db2.gz VESJRQXHYTUQGF-UHFFFAOYSA-N 0 0 253.302 2.847 20 5 CFBDRN C[C@H]1CC[C@@H](COc2nc(Cl)ccc2[N+](=O)[O-])O1 ZINC001226366441 777518444 /nfs/dbraw/zinc/51/84/44/777518444.db2.gz MQDOOAOCLBBFKV-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN CC[C@@H](C)Nc1ccc([N+](=O)[O-])c2c1CCN(C)C2 ZINC001168002933 777566637 /nfs/dbraw/zinc/56/66/37/777566637.db2.gz FQIFEAOMKGBRQQ-SNVBAGLBSA-N 0 0 263.341 2.793 20 5 CFBDRN COc1nnc(O[C@@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC001226524013 777567931 /nfs/dbraw/zinc/56/79/31/777567931.db2.gz KTENHQAJHQXONK-ZETCQYMHSA-N 0 0 281.293 2.595 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cn1)c1cccc(F)c1 ZINC001226731464 777626943 /nfs/dbraw/zinc/62/69/43/777626943.db2.gz NUBYYRJELQLYMM-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2c(c1)CCCC2)C1CC1 ZINC001226760115 777634446 /nfs/dbraw/zinc/63/44/46/777634446.db2.gz DLDCBMABPXZCID-OAHLLOKOSA-N 0 0 261.321 3.000 20 5 CFBDRN CCCCOC[C@H](C)Oc1ccc(C)nc1[N+](=O)[O-] ZINC001227222948 777792326 /nfs/dbraw/zinc/79/23/26/777792326.db2.gz XYGGWWVWCCNEOT-NSHDSACASA-N 0 0 268.313 2.882 20 5 CFBDRN CCCOC[C@@H](C)Oc1c(OC)cccc1[N+](=O)[O-] ZINC001227700667 777866153 /nfs/dbraw/zinc/86/61/53/777866153.db2.gz DUBYKDJZLNYPRR-SNVBAGLBSA-N 0 0 269.297 2.797 20 5 CFBDRN Cn1cccc1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000439703854 777959890 /nfs/dbraw/zinc/95/98/90/777959890.db2.gz BNHJGAONJMSYFB-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)CC(=O)OC(C)(C)C ZINC001233549345 777986382 /nfs/dbraw/zinc/98/63/82/777986382.db2.gz DUILIXBKZNHBDH-JTQLQIEISA-N 0 0 296.323 2.797 20 5 CFBDRN CCCCOC[C@H](C)Oc1c(C)ccnc1[N+](=O)[O-] ZINC001233556513 777990519 /nfs/dbraw/zinc/99/05/19/777990519.db2.gz NDGQKEDXJPUSRG-NSHDSACASA-N 0 0 268.313 2.882 20 5 CFBDRN COc1ccc([C@H](C)Oc2c(C)ccnc2[N+](=O)[O-])cn1 ZINC001233557027 777990576 /nfs/dbraw/zinc/99/05/76/777990576.db2.gz RMGARIVFRLXFBX-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228003086 777992039 /nfs/dbraw/zinc/99/20/39/777992039.db2.gz URXSBXPLJQVGLB-OCAPTIKFSA-N 0 0 285.683 2.579 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])C2CC2)nc(C(F)(F)F)c1 ZINC001233558934 777992281 /nfs/dbraw/zinc/99/22/81/777992281.db2.gz KZHPQANYDQRCAA-SECBINFHSA-N 0 0 290.241 2.843 20 5 CFBDRN C[C@H](Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-])C1CC1 ZINC001233573067 777996298 /nfs/dbraw/zinc/99/62/98/777996298.db2.gz LBTZKUFYWMWMFL-ZETCQYMHSA-N 0 0 252.226 2.680 20 5 CFBDRN CC(C)[C@@H](C)Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001233576728 777996321 /nfs/dbraw/zinc/99/63/21/777996321.db2.gz ZKMCOKZVKNPLJJ-MRVPVSSYSA-N 0 0 254.242 2.926 20 5 CFBDRN CC(C)(C)N1CC(Oc2cc(F)c([N+](=O)[O-])cc2F)C1 ZINC001233597405 778004166 /nfs/dbraw/zinc/00/41/66/778004166.db2.gz LOBMKXKZUBJJEL-UHFFFAOYSA-N 0 0 286.278 2.735 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc(F)c([N+](=O)[O-])cc2F)=C1C ZINC001233597412 778004582 /nfs/dbraw/zinc/00/45/82/778004582.db2.gz LQTGGRQMRDNMJA-SNVBAGLBSA-N 0 0 299.229 2.861 20 5 CFBDRN C[C@H]1C[C@H](Oc2cc(F)c([N+](=O)[O-])cc2F)CCO1 ZINC001233601954 778008668 /nfs/dbraw/zinc/00/86/68/778008668.db2.gz ZJFUZQRGPMSMGD-JGVFFNPUSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@@H](Oc1c(N)ncnc1Cl)c1ccccc1[N+](=O)[O-] ZINC001233632186 778018368 /nfs/dbraw/zinc/01/83/68/778018368.db2.gz VUVGOEFZGNAYPJ-SSDOTTSWSA-N 0 0 294.698 2.760 20 5 CFBDRN C[C@@H](Oc1c(F)cc(F)cc1[N+](=O)[O-])c1ncccn1 ZINC001233696750 778045522 /nfs/dbraw/zinc/04/55/22/778045522.db2.gz UABYTHJWENMVSC-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2c(F)cc(F)cc2[N+](=O)[O-])=C1C ZINC001233697766 778045569 /nfs/dbraw/zinc/04/55/69/778045569.db2.gz CHZCVZYXRFLYOP-JTQLQIEISA-N 0 0 299.229 2.861 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cc(Cl)cnc2[N+](=O)[O-])O1 ZINC001233712872 778051332 /nfs/dbraw/zinc/05/13/32/778051332.db2.gz NVHPCLSEOJRGNT-CBAPKCEASA-N 0 0 272.688 2.590 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cc(Cl)cnc2[N+](=O)[O-])CCO1 ZINC001233714644 778052169 /nfs/dbraw/zinc/05/21/69/778052169.db2.gz CDDVFYFEGHIFCM-CBAPKCEASA-N 0 0 272.688 2.590 20 5 CFBDRN CO[C@H](C)CCOc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC001233745912 778062384 /nfs/dbraw/zinc/06/23/84/778062384.db2.gz RLMHYRBDYHWHGO-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccc3nccn3c1)C2 ZINC001233749635 778062944 /nfs/dbraw/zinc/06/29/44/778062944.db2.gz VEDXTVDJHJVFLZ-OAHLLOKOSA-N 0 0 295.298 2.789 20 5 CFBDRN CC(C)OCCOc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC001233746402 778063329 /nfs/dbraw/zinc/06/33/29/778063329.db2.gz YKZIETROWNMLMF-UHFFFAOYSA-N 0 0 294.229 2.812 20 5 CFBDRN CCO[C@@H](C)COc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC001233746205 778063397 /nfs/dbraw/zinc/06/33/97/778063397.db2.gz VEIMMVYSXPLAQI-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN CCO[C@H](C)COc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233754619 778064456 /nfs/dbraw/zinc/06/44/56/778064456.db2.gz WBUAKXIGTVXRTA-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(F)c1F)c1ncccn1 ZINC001233762673 778067462 /nfs/dbraw/zinc/06/74/62/778067462.db2.gz HBGRMKCLYKKFFW-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CO[C@H](C)CCOc1ccc([N+](=O)[O-])c(F)c1F ZINC001233765441 778068975 /nfs/dbraw/zinc/06/89/75/778068975.db2.gz WDNUSSRFMLUFRP-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233768070 778071353 /nfs/dbraw/zinc/07/13/53/778071353.db2.gz CCEIGBCJZSTGJO-SECBINFHSA-N 0 0 271.219 2.764 20 5 CFBDRN CO[C@H](C)CCOc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233778367 778074511 /nfs/dbraw/zinc/07/45/11/778074511.db2.gz JLJZGVSNEPDSHM-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CC[C@H](COC)Oc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233836346 778092263 /nfs/dbraw/zinc/09/22/63/778092263.db2.gz MRZAYTICEIYRAZ-LLVKDONJSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCOc3ccccc32)ccn1 ZINC001233856434 778096917 /nfs/dbraw/zinc/09/69/17/778096917.db2.gz CTKVHFSSMJBPPE-CYBMUJFWSA-N 0 0 272.260 2.892 20 5 CFBDRN C[C@H](Cc1ccccc1)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858687 778097674 /nfs/dbraw/zinc/09/76/74/778097674.db2.gz ZDWJRQVWBOOGNY-LLVKDONJSA-N 0 0 258.277 3.000 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1OC1CC=CC1 ZINC001233987020 778130493 /nfs/dbraw/zinc/13/04/93/778130493.db2.gz PXEPUKIDYMLNMC-UHFFFAOYSA-N 0 0 263.293 2.612 20 5 CFBDRN Cc1cc(F)c(OCCOC(C)C)cc1[N+](=O)[O-] ZINC001234056001 778154181 /nfs/dbraw/zinc/15/41/81/778154181.db2.gz DPJCRGGRNVLQIR-UHFFFAOYSA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc(F)c(OC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC001234062224 778156029 /nfs/dbraw/zinc/15/60/29/778156029.db2.gz YUMLQPSCQILGEA-VIFPVBQESA-N 0 0 255.245 2.600 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@H]1CCOC[C@@H]1F ZINC001228571340 778157910 /nfs/dbraw/zinc/15/79/10/778157910.db2.gz NUJWPXMQLOBQLM-RYUDHWBXSA-N 0 0 269.272 2.717 20 5 CFBDRN CCc1cc(O)ccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001234102642 778166564 /nfs/dbraw/zinc/16/65/64/778166564.db2.gz RZXFORRFPWYPSS-HNNXBMFYSA-N 0 0 288.303 2.746 20 5 CFBDRN CO[C@H](C)CCOc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228614840 778174390 /nfs/dbraw/zinc/17/43/90/778174390.db2.gz YXJJVAFLNDBGGU-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN Cc1ccc([C@H](C)Oc2ncncc2[N+](=O)[O-])cc1 ZINC001228655379 778178960 /nfs/dbraw/zinc/17/89/60/778178960.db2.gz RLWXEARYUQKRGZ-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1c(=O)cc[nH]c1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001234182661 778182945 /nfs/dbraw/zinc/18/29/45/778182945.db2.gz SOCUHCVZHQBQEL-CYBMUJFWSA-N 0 0 286.287 2.550 20 5 CFBDRN CCOC(=O)[C@@H](Oc1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC001228922197 778207900 /nfs/dbraw/zinc/20/79/00/778207900.db2.gz WIBLEOIVOMQRSY-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000697434499 778217489 /nfs/dbraw/zinc/21/74/89/778217489.db2.gz YUAUVRYXDNUHBO-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN CC(C)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cn1 ZINC001234493553 778238035 /nfs/dbraw/zinc/23/80/35/778238035.db2.gz AQWVHBUSRWVKCN-ZDUSSCGKSA-N 0 0 250.298 2.639 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1C=CCCC1 ZINC001229056562 778240898 /nfs/dbraw/zinc/24/08/98/778240898.db2.gz PXZLXIAGOPQYHV-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN COC(=O)c1cc(NC[C@H]2C[C@H](F)C2)cc([N+](=O)[O-])c1 ZINC001168238467 778264464 /nfs/dbraw/zinc/26/44/64/778264464.db2.gz DDXJQJIDKBUGIU-CZMCAQCFSA-N 0 0 282.271 2.541 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccccc1-c1ccon1)C1CC1 ZINC001234636863 778271153 /nfs/dbraw/zinc/27/11/53/778271153.db2.gz WGHCGCGHJDGIHK-AWEZNQCLSA-N 0 0 274.276 2.776 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2OC1CC2(COC2)C1 ZINC001234674144 778285084 /nfs/dbraw/zinc/28/50/84/778285084.db2.gz IZENVTQJPMMYGC-UHFFFAOYSA-N 0 0 286.287 2.701 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2O[C@H]1CCCOC1 ZINC001234675341 778285997 /nfs/dbraw/zinc/28/59/97/778285997.db2.gz ZPTQQHPBCNZKLM-JTQLQIEISA-N 0 0 274.276 2.701 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2O[C@@H]1CCn2ccnc21 ZINC001234674990 778286177 /nfs/dbraw/zinc/28/61/77/778286177.db2.gz VJULZHXTECUVNI-CYBMUJFWSA-N 0 0 296.286 2.863 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2O[C@H]1CCOC[C@@H]1F ZINC001234677840 778287146 /nfs/dbraw/zinc/28/71/46/778287146.db2.gz ZNQJXAJBNNWDTM-AAEUAGOBSA-N 0 0 292.266 2.649 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1cc([N+](=O)[O-])ccc1O ZINC001229396096 778302414 /nfs/dbraw/zinc/30/24/14/778302414.db2.gz PSZLFQHLHBGIHG-ZDUSSCGKSA-N 0 0 297.307 2.657 20 5 CFBDRN COc1ccc([C@H](C)Oc2c(C)nccc2[N+](=O)[O-])cn1 ZINC001234901736 778325509 /nfs/dbraw/zinc/32/55/09/778325509.db2.gz RJBWWPQZZREFPM-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H]1CCc2cccnc21 ZINC001234900333 778325562 /nfs/dbraw/zinc/32/55/62/778325562.db2.gz IVBBDGACYNYVQD-LBPRGKRZSA-N 0 0 271.276 2.760 20 5 CFBDRN CC(F)(F)CNCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000697592388 778389660 /nfs/dbraw/zinc/38/96/60/778389660.db2.gz ODQBHSPBGVVRKD-UHFFFAOYSA-N 0 0 264.659 2.993 20 5 CFBDRN CCC1(Nc2cc(F)cc([N+](=O)[O-])c2C)COC1 ZINC001168323388 778432666 /nfs/dbraw/zinc/43/26/66/778432666.db2.gz FHWCLMYZGRHGTN-UHFFFAOYSA-N 0 0 254.261 2.633 20 5 CFBDRN CC(C)=CCOC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000127896383 778442481 /nfs/dbraw/zinc/44/24/81/778442481.db2.gz ZIFKKFDKUXLKLO-UHFFFAOYSA-N 0 0 264.281 2.516 20 5 CFBDRN CCOC[C@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224330888 778472342 /nfs/dbraw/zinc/47/23/42/778472342.db2.gz KYHXKQKXNXWJMG-NSHDSACASA-N 0 0 253.298 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCc3cccc(C)c32)n1 ZINC001126854704 778497066 /nfs/dbraw/zinc/49/70/66/778497066.db2.gz FVDGWTDIKITPEZ-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN CCCN(CCNC(=O)CC)c1ccc(C)cc1[N+](=O)[O-] ZINC001101074789 778515585 /nfs/dbraw/zinc/51/55/85/778515585.db2.gz PAMOFTYAZZVUOZ-UHFFFAOYSA-N 0 0 293.367 2.646 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000697942926 778551970 /nfs/dbraw/zinc/55/19/70/778551970.db2.gz MZAIAPUOMDJKJQ-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)OCCc1cccs1 ZINC001224786784 778683808 /nfs/dbraw/zinc/68/38/08/778683808.db2.gz XCGOBCOJCIQVJP-JTQLQIEISA-N 0 0 295.364 2.809 20 5 CFBDRN O=[N+]([O-])c1cccnc1-c1nc(-c2ccccc2)no1 ZINC001213199610 778702218 /nfs/dbraw/zinc/70/22/18/778702218.db2.gz FKEDBKOAKJIFIQ-UHFFFAOYSA-N 0 0 268.232 2.707 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2CCCCC2(C)C)n1 ZINC001127062454 778723871 /nfs/dbraw/zinc/72/38/71/778723871.db2.gz DCALVRSEVYGOAK-ZDUSSCGKSA-N 0 0 291.351 2.997 20 5 CFBDRN O=C(/C=C\c1ccco1)NCc1cccc([N+](=O)[O-])c1 ZINC000221630107 778742515 /nfs/dbraw/zinc/74/25/15/778742515.db2.gz OEIKZMVLWFNVLD-SREVYHEPSA-N 0 0 272.260 2.517 20 5 CFBDRN Cc1ncc(CNc2ccc3cnccc3c2[N+](=O)[O-])cn1 ZINC001168392564 778780268 /nfs/dbraw/zinc/78/02/68/778780268.db2.gz UPFNAJPLFMOTNZ-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000698257603 778784948 /nfs/dbraw/zinc/78/49/48/778784948.db2.gz WWXVSDDIWVXNJN-MRVPVSSYSA-N 0 0 266.684 2.697 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000698254031 778785492 /nfs/dbraw/zinc/78/54/92/778785492.db2.gz HCQOJTKPKPZZMQ-VIFPVBQESA-N 0 0 266.684 2.697 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cc(C)n1 ZINC001127156378 778835501 /nfs/dbraw/zinc/83/55/01/778835501.db2.gz PNKDLIVHMIOQCY-JQWIXIFHSA-N 0 0 277.324 2.607 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233498092 779045893 /nfs/dbraw/zinc/04/58/93/779045893.db2.gz JNWSSBHYSSISNX-SNVBAGLBSA-N 0 0 295.291 2.518 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OC[C@@H]1CCCCO1 ZINC001233698095 779072748 /nfs/dbraw/zinc/07/27/48/779072748.db2.gz GXSMOVRDCCNAFG-VIFPVBQESA-N 0 0 273.235 2.821 20 5 CFBDRN COC(=O)c1ccc(OC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC001234051866 779128416 /nfs/dbraw/zinc/12/84/16/779128416.db2.gz QVGXPHKTCUSRCP-UHFFFAOYSA-N 0 0 287.218 2.558 20 5 CFBDRN COc1cc([N+](=O)[O-])c(NC[C@@H]2CC(C)(C)O2)cc1F ZINC001168455634 779183293 /nfs/dbraw/zinc/18/32/93/779183293.db2.gz IFZKYQCUMAIHIO-QMMMGPOBSA-N 0 0 284.287 2.722 20 5 CFBDRN CCCO[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC001205099551 779203941 /nfs/dbraw/zinc/20/39/41/779203941.db2.gz VUNWUWNEGAWFOD-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@H]1C[C@@H]1C1CC1 ZINC000176548097 779226925 /nfs/dbraw/zinc/22/69/25/779226925.db2.gz XQMWKRWXICVXIB-ZJUUUORDSA-N 0 0 264.256 2.719 20 5 CFBDRN Nc1c(F)c(N2CCC(F)(F)CC2)ccc1[N+](=O)[O-] ZINC000699318199 779240707 /nfs/dbraw/zinc/24/07/07/779240707.db2.gz CYSCGCJUCQBDBH-UHFFFAOYSA-N 0 0 275.230 2.552 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H](C)c1cccnc1 ZINC001234900381 779280670 /nfs/dbraw/zinc/28/06/70/779280670.db2.gz JGEUSCHKQAPETA-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN O=Cc1ccc(OCC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000699658107 779324708 /nfs/dbraw/zinc/32/47/08/779324708.db2.gz OGGBOKNYMIYEAS-LBPRGKRZSA-N 0 0 279.292 2.745 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)Cc2ccno2)cc([N+](=O)[O-])c1 ZINC001123461432 779414639 /nfs/dbraw/zinc/41/46/39/779414639.db2.gz KSURAIHDYXGOFU-SNVBAGLBSA-N 0 0 290.275 2.679 20 5 CFBDRN Cc1nccnc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000699911378 779420102 /nfs/dbraw/zinc/42/01/02/779420102.db2.gz LXIYSWVXASHXSI-JTQLQIEISA-N 0 0 272.308 2.544 20 5 CFBDRN CN(C[C@H](O)C1CC1)c1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000690193657 779502234 /nfs/dbraw/zinc/50/22/34/779502234.db2.gz JJVZZIIAWIGMRO-LBPRGKRZSA-N 0 0 286.278 2.740 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1ccc([N+](=O)[O-])c(N)n1 ZINC000094261514 779592638 /nfs/dbraw/zinc/59/26/38/779592638.db2.gz IZHFIJZNAUISLD-UWVGGRQHSA-N 0 0 264.329 2.810 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccccn1 ZINC001124521399 779686123 /nfs/dbraw/zinc/68/61/23/779686123.db2.gz MHBHGOZYKKFFCA-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN Cc1csc(C(=O)NCCc2cccc([N+](=O)[O-])c2)c1 ZINC001127730133 779816906 /nfs/dbraw/zinc/81/69/06/779816906.db2.gz UXMCXZOYNFAYHS-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN O=C(NCc1cc(Cl)ccn1)c1ccccc1[N+](=O)[O-] ZINC000355754042 779978288 /nfs/dbraw/zinc/97/82/88/779978288.db2.gz QDTCYKXJNWIJCC-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN COC1CCC(Nc2cccc3ncc([N+](=O)[O-])n32)CC1 ZINC001168611248 780055707 /nfs/dbraw/zinc/05/57/07/780055707.db2.gz RFTAFYJICFQZKB-UHFFFAOYSA-N 0 0 290.323 2.612 20 5 CFBDRN COc1cc([N+](=O)[O-])c(NCCCOC(C)C)cc1F ZINC001168680762 780316391 /nfs/dbraw/zinc/31/63/91/780316391.db2.gz FORQCANENIBNOH-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ncc1N[C@@H](C)C(C)(C)C ZINC001168686394 780325679 /nfs/dbraw/zinc/32/56/79/780325679.db2.gz OMVJIKVXHDZSNM-QMMMGPOBSA-N 0 0 281.312 2.623 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1cc([N+](=O)[O-])c2nncn2c1 ZINC001168695816 780355483 /nfs/dbraw/zinc/35/54/83/780355483.db2.gz VZWIXHPTNFQXSJ-UWVGGRQHSA-N 0 0 277.328 2.874 20 5 CFBDRN C[C@@H](CCCC(C)(C)O)Nc1cnc(F)c([N+](=O)[O-])c1 ZINC001168705128 780434894 /nfs/dbraw/zinc/43/48/94/780434894.db2.gz LJTIEFHFBYTOIN-VIFPVBQESA-N 0 0 285.319 2.871 20 5 CFBDRN COc1cc(NC(C2CC2)C2CC2)cnc1[N+](=O)[O-] ZINC001168744370 780600300 /nfs/dbraw/zinc/60/03/00/780600300.db2.gz NTEGUZYPLDSSEE-UHFFFAOYSA-N 0 0 263.297 2.599 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NC1CC(F)C1 ZINC001162212459 780617383 /nfs/dbraw/zinc/61/73/83/780617383.db2.gz KROVQQMDGMKEJE-UHFFFAOYSA-N 0 0 254.261 2.906 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2C=CCC2)s1 ZINC000700600456 780707141 /nfs/dbraw/zinc/70/71/41/780707141.db2.gz WBOJUEALWOXPGF-ZETCQYMHSA-N 0 0 262.294 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)n1 ZINC000701098586 780757521 /nfs/dbraw/zinc/75/75/21/780757521.db2.gz IDEOZUYAMJDVJH-WDCWCFNPSA-N 0 0 265.313 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCC[C@H](C)F)c1F ZINC000702570673 780935229 /nfs/dbraw/zinc/93/52/29/780935229.db2.gz PGABJCJUVRLBDD-ZETCQYMHSA-N 0 0 290.241 2.659 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1SCCS1 ZINC000703062990 780985901 /nfs/dbraw/zinc/98/59/01/780985901.db2.gz GZCLOCPQJFATFK-UHFFFAOYSA-N 0 0 256.352 2.813 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)C[C@H]1CO ZINC000704005216 781082273 /nfs/dbraw/zinc/08/22/73/781082273.db2.gz GKGVMHUEDFZJSX-ZJUUUORDSA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1cnc(NCC[C@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000704837063 781113779 /nfs/dbraw/zinc/11/37/79/781113779.db2.gz GZOFNKPYTZGJFE-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc(C)cc1[N+](=O)[O-] ZINC000706386620 781171914 /nfs/dbraw/zinc/17/19/14/781171914.db2.gz IDIUXSLHBJSNMO-UHFFFAOYSA-N 0 0 266.341 2.891 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC(C(F)F)C1 ZINC000706472023 781179251 /nfs/dbraw/zinc/17/92/51/781179251.db2.gz ABNXHXUUSPWHMH-UHFFFAOYSA-N 0 0 290.653 2.585 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC[C@@H]3C[C@@H]32)c1 ZINC000706766857 781201361 /nfs/dbraw/zinc/20/13/61/781201361.db2.gz WRGIZCJYZUQIBT-PELKAZGASA-N 0 0 252.701 2.842 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])[C@H]1C ZINC000706925871 781216330 /nfs/dbraw/zinc/21/63/30/781216330.db2.gz GUMBGXVMRDMCPB-SFYZADRCSA-N 0 0 268.700 2.729 20 5 CFBDRN CCOCCOc1cc(Br)ccc1[N+](=O)[O-] ZINC000707387099 781244830 /nfs/dbraw/zinc/24/48/30/781244830.db2.gz JXDDTLZIPJEQFQ-UHFFFAOYSA-N 0 0 290.113 2.773 20 5 CFBDRN CC1(C)CO[C@@H](COc2ccc([N+](=O)[O-])cc2C=O)C1 ZINC000708374442 781271954 /nfs/dbraw/zinc/27/19/54/781271954.db2.gz CFPRMTHCDITEBL-GFCCVEGCSA-N 0 0 279.292 2.601 20 5 CFBDRN CO[C@@H](COc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000338405095 781289726 /nfs/dbraw/zinc/28/97/26/781289726.db2.gz BJIJWKXITPKDLD-ZDUSSCGKSA-N 0 0 274.276 2.756 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC3(CCC3)O2)s1 ZINC000711451158 781436142 /nfs/dbraw/zinc/43/61/42/781436142.db2.gz DPKJQJNMDQWLKA-MRVPVSSYSA-N 0 0 269.326 2.565 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@H](CF)C1 ZINC000711494373 781446485 /nfs/dbraw/zinc/44/64/85/781446485.db2.gz ZJJUTKJHLJKKEV-SECBINFHSA-N 0 0 284.262 2.556 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@@H](CF)C2)ccc1[N+](=O)[O-] ZINC000711516518 781451518 /nfs/dbraw/zinc/45/15/18/781451518.db2.gz CBASYWVZEZFBLN-NSHDSACASA-N 0 0 280.299 2.983 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000711784440 781472343 /nfs/dbraw/zinc/47/23/43/781472343.db2.gz HCSULMHKACNQJP-SECBINFHSA-N 0 0 280.711 2.944 20 5 CFBDRN COc1cc(N2[C@@H](C)CCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC001163542453 781504609 /nfs/dbraw/zinc/50/46/09/781504609.db2.gz ZTZFQLUJHPYRAV-UWVGGRQHSA-N 0 0 265.313 2.766 20 5 CFBDRN CC(=O)N[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC001063343003 781515557 /nfs/dbraw/zinc/51/55/57/781515557.db2.gz JADITWKYLBPPOT-JOCQHMNTSA-N 0 0 291.351 2.762 20 5 CFBDRN CCCN(CC)c1nc(Cl)nc(Cl)c1[N+](=O)[O-] ZINC001163601982 781522602 /nfs/dbraw/zinc/52/26/02/781522602.db2.gz LMMXADGWJTYVCE-UHFFFAOYSA-N 0 0 279.127 2.928 20 5 CFBDRN Cc1nn(C)c(NCC(C)(C)C(C)(F)F)c1[N+](=O)[O-] ZINC000699961662 781549389 /nfs/dbraw/zinc/54/93/89/781549389.db2.gz SNWCIMUCMDDXFR-UHFFFAOYSA-N 0 0 276.287 2.730 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N2CC(C)(C)C2)n1 ZINC001163724401 781562941 /nfs/dbraw/zinc/56/29/41/781562941.db2.gz KHSPJANNKGPKSW-UHFFFAOYSA-N 0 0 255.705 2.798 20 5 CFBDRN COC1(C)CCN(c2cc(Cl)ncc2[N+](=O)[O-])CC1 ZINC001163943215 781615152 /nfs/dbraw/zinc/61/51/52/781615152.db2.gz VASUJROLJJDHDO-UHFFFAOYSA-N 0 0 285.731 2.649 20 5 CFBDRN O=[N+]([O-])c1cc(NCCOc2ccccc2F)cnc1F ZINC001163959747 781618748 /nfs/dbraw/zinc/61/87/48/781618748.db2.gz XPVRYPAPGRMXGE-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN COC(=O)c1cc(NC2(C)CCC2)cc([N+](=O)[O-])c1 ZINC001164031380 781637893 /nfs/dbraw/zinc/63/78/93/781637893.db2.gz AIBXQDNJCMAQGH-UHFFFAOYSA-N 0 0 264.281 2.736 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H](F)C1)c1cc([N+](=O)[O-])ccc1F ZINC001118765236 781717197 /nfs/dbraw/zinc/71/71/97/781717197.db2.gz XBSLNNMGJPSXFQ-RKDXNWHRSA-N 0 0 284.262 2.744 20 5 CFBDRN COc1cc(NCCn2cccc2)cc([N+](=O)[O-])c1C ZINC001164455593 781723537 /nfs/dbraw/zinc/72/35/37/781723537.db2.gz WTMGDGLJZQIRTO-UHFFFAOYSA-N 0 0 275.308 2.825 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1cc(C)c([N+](=O)[O-])cc1C ZINC001230722777 781869409 /nfs/dbraw/zinc/86/94/09/781869409.db2.gz AEAYSICOSCQLFD-NSHDSACASA-N 0 0 279.292 2.708 20 5 CFBDRN Cc1cc(=O)cc(O[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC001230899209 781881188 /nfs/dbraw/zinc/88/11/88/781881188.db2.gz ZANCMNRREFKUDR-SNVBAGLBSA-N 0 0 275.260 2.996 20 5 CFBDRN COC(=O)C1CC(Oc2cccc(Cl)c2[N+](=O)[O-])C1 ZINC001230916885 781883027 /nfs/dbraw/zinc/88/30/27/781883027.db2.gz FQKXMIFZISNJRV-UHFFFAOYSA-N 0 0 285.683 2.579 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000067509157 346806973 /nfs/dbraw/zinc/80/69/73/346806973.db2.gz ZNWWEYCNVVPQMK-NSHDSACASA-N 0 0 279.340 2.559 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC2(CC2(F)F)C1 ZINC000594590179 349453176 /nfs/dbraw/zinc/45/31/76/349453176.db2.gz VVXUVIFUEYKRRG-UHFFFAOYSA-N 0 0 291.257 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2cc3n(n2)CCCC3)nc1 ZINC000594540295 349443682 /nfs/dbraw/zinc/44/36/82/349443682.db2.gz APNOKUOYRYJATD-SNAWJCMRSA-N 0 0 270.292 2.693 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@@H](C)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000594576779 349450098 /nfs/dbraw/zinc/45/00/98/349450098.db2.gz ACCIKRRJXSWCFL-MNOVXSKESA-N 0 0 297.330 2.961 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000594572598 349450207 /nfs/dbraw/zinc/45/02/07/349450207.db2.gz POYQYEJDTMLKDX-BREBYQMCSA-N 0 0 278.308 2.583 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](C(F)F)C1 ZINC000594586935 349452219 /nfs/dbraw/zinc/45/22/19/349452219.db2.gz SIUJCMHIVUZTAS-QMMMGPOBSA-N 0 0 272.251 2.695 20 5 CFBDRN CCOc1cccc(NCC2(COC)CC2)c1[N+](=O)[O-] ZINC000594591258 349454045 /nfs/dbraw/zinc/45/40/45/349454045.db2.gz ADHMNIIDYRCYFQ-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CC[C@@H]1CN(c2c(OC)cccc2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000594592940 349454476 /nfs/dbraw/zinc/45/44/76/349454476.db2.gz CZOKBPZINIAEHM-VXGBXAGGSA-N 0 0 294.351 2.997 20 5 CFBDRN COCC1(CNc2cc(C)c([N+](=O)[O-])cc2F)CC1 ZINC000594592696 349454854 /nfs/dbraw/zinc/45/48/54/349454854.db2.gz SNENMMXIDZLBEV-UHFFFAOYSA-N 0 0 268.288 2.881 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1F)[C@H]1CCCCO1 ZINC000594600585 349457943 /nfs/dbraw/zinc/45/79/43/349457943.db2.gz MJNAPQIQDRGJMZ-NWDGAFQWSA-N 0 0 283.303 2.889 20 5 CFBDRN COC(=O)c1ccc(OCCC2CCC2)c([N+](=O)[O-])c1 ZINC000594879872 349492564 /nfs/dbraw/zinc/49/25/64/349492564.db2.gz AVVBNIHTWBJOGR-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CCC(CC)CN1C(=O)c2ccc([N+](=O)[O-])cc2C1=O ZINC000594882393 349493208 /nfs/dbraw/zinc/49/32/08/349493208.db2.gz ULZYGMGELBIMNS-UHFFFAOYSA-N 0 0 276.292 2.627 20 5 CFBDRN Cc1c(OC[C@]2(OC(C)C)CCOC2)cccc1[N+](=O)[O-] ZINC000594886379 349493484 /nfs/dbraw/zinc/49/34/84/349493484.db2.gz OOOFJCFPSPUNNP-HNNXBMFYSA-N 0 0 295.335 2.866 20 5 CFBDRN O=C(NCC(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000594731049 349481866 /nfs/dbraw/zinc/48/18/66/349481866.db2.gz DSEIOWFTGWSWPL-UHFFFAOYSA-N 0 0 299.277 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(CC3CC=CC3)n2)cc1 ZINC000594891901 349495120 /nfs/dbraw/zinc/49/51/20/349495120.db2.gz SLZLZCUQVWKYKZ-UHFFFAOYSA-N 0 0 270.292 2.820 20 5 CFBDRN Cc1nn(Cc2cc(C)nc(C)n2)c2ccc([N+](=O)[O-])cc12 ZINC000594892743 349495963 /nfs/dbraw/zinc/49/59/63/349495963.db2.gz GIUFDHSYKNQXQH-UHFFFAOYSA-N 0 0 297.318 2.708 20 5 CFBDRN CO[C@@H](CSc1cccc([N+](=O)[O-])c1)[C@@H]1CCOC1 ZINC000594898423 349498308 /nfs/dbraw/zinc/49/83/08/349498308.db2.gz ASBYGGCVCFEDIY-MFKMUULPSA-N 0 0 283.349 2.738 20 5 CFBDRN Cc1cc(COc2c(Cl)cncc2[N+](=O)[O-])nc(C)n1 ZINC000594898511 349498322 /nfs/dbraw/zinc/49/83/22/349498322.db2.gz CRNPXKHFTBICCR-UHFFFAOYSA-N 0 0 294.698 2.629 20 5 CFBDRN CO[C@@H](COc1nc(C)cc(C)c1[N+](=O)[O-])C1CCC1 ZINC000594898258 349498447 /nfs/dbraw/zinc/49/84/47/349498447.db2.gz KUEHUWAKHFBTKS-LBPRGKRZSA-N 0 0 280.324 2.801 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCC1CC=CC1 ZINC000594899753 349499375 /nfs/dbraw/zinc/49/93/75/349499375.db2.gz SUDKJRPADWTEGX-UHFFFAOYSA-N 0 0 254.673 2.988 20 5 CFBDRN CC1(C)C[C@H](n2cc([N+](=O)[O-])c(=O)c3ccccc32)CO1 ZINC000594901802 349499585 /nfs/dbraw/zinc/49/95/85/349499585.db2.gz ALUJWURVBWSEQM-JTQLQIEISA-N 0 0 288.303 2.650 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1CCCC1CCC1 ZINC000594901077 349500122 /nfs/dbraw/zinc/50/01/22/349500122.db2.gz JPQVCHCELWZTQG-UHFFFAOYSA-N 0 0 287.319 2.885 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCC[C@H]2CCOC2)c1C ZINC000594904806 349500768 /nfs/dbraw/zinc/50/07/68/349500768.db2.gz BCRJWKUZLMXINC-LBPRGKRZSA-N 0 0 280.324 2.802 20 5 CFBDRN CC[C@H](C)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067722718 346816940 /nfs/dbraw/zinc/81/69/40/346816940.db2.gz NEQMTWWVUKNOTR-JTQLQIEISA-N 0 0 265.313 2.535 20 5 CFBDRN O=[N+]([O-])c1cn(CC2=CCCC2)nc1-c1ccccn1 ZINC000594915071 349504849 /nfs/dbraw/zinc/50/48/49/349504849.db2.gz NWORZRURUMDXTG-UHFFFAOYSA-N 0 0 270.292 2.964 20 5 CFBDRN CC1=CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000270093457 190597419 /nfs/dbraw/zinc/59/74/19/190597419.db2.gz LBBFXECFRDEVGO-UHFFFAOYSA-N 0 0 294.738 2.969 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1csc(C2CC2)n1 ZINC000124822001 187156388 /nfs/dbraw/zinc/15/63/88/187156388.db2.gz VBHMRVKUFWNXGI-UHFFFAOYSA-N 0 0 277.305 2.903 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@@H](C)[C@H]1C ZINC000125006336 187165440 /nfs/dbraw/zinc/16/54/40/187165440.db2.gz WXQJPPIKNVEQCW-MNOVXSKESA-N 0 0 293.323 2.544 20 5 CFBDRN CC[C@@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C1CC1 ZINC000594981344 349537867 /nfs/dbraw/zinc/53/78/67/349537867.db2.gz XVXIUFPOQITTJU-GFCCVEGCSA-N 0 0 250.298 2.579 20 5 CFBDRN C[C@@H]1CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)CCS1 ZINC000083170774 178348921 /nfs/dbraw/zinc/34/89/21/178348921.db2.gz ZFLHKELTGUJAKY-SECBINFHSA-N 0 0 293.348 2.673 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)NCc1cc(C)no1 ZINC000595010461 349547936 /nfs/dbraw/zinc/54/79/36/349547936.db2.gz HVZZDJKWYRPRRH-SNVBAGLBSA-N 0 0 291.307 2.751 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)NCc1nccs1 ZINC000595020746 349552749 /nfs/dbraw/zinc/55/27/49/349552749.db2.gz ZVZOSCRIJJGDNV-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN CCOC1CC(N(C)C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000290219274 197635221 /nfs/dbraw/zinc/63/52/21/197635221.db2.gz PNTGIMXUIRYWJM-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@H]1CN(c2ncccc2[N+](=O)[O-])C[C@H](c2ccccc2)O1 ZINC000067905013 346826973 /nfs/dbraw/zinc/82/69/73/346826973.db2.gz PWWSNJGXYIHESA-SWLSCSKDSA-N 0 0 299.330 2.956 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCOCC(F)(F)F ZINC000067911648 346827762 /nfs/dbraw/zinc/82/77/62/346827762.db2.gz MMPOZWQDCAQWNB-UHFFFAOYSA-N 0 0 270.232 2.647 20 5 CFBDRN Cc1noc(CN2CCc3sccc3C2)c1[N+](=O)[O-] ZINC000595286198 349590253 /nfs/dbraw/zinc/59/02/53/349590253.db2.gz FADAYDIHERHQJA-UHFFFAOYSA-N 0 0 279.321 2.511 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000125336414 187179825 /nfs/dbraw/zinc/17/98/25/187179825.db2.gz FUCFAFKXKCQICR-SNVBAGLBSA-N 0 0 254.311 2.675 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1C ZINC000068150167 346837818 /nfs/dbraw/zinc/83/78/18/346837818.db2.gz LFZHSDDJUKWMNU-KBVBSXBZSA-N 0 0 280.349 2.600 20 5 CFBDRN C[C@H]1CSCCN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595329099 349603329 /nfs/dbraw/zinc/60/33/29/349603329.db2.gz MZWXPJJESVCXDA-VIFPVBQESA-N 0 0 270.329 2.671 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1C)c1cccnc1 ZINC000068304394 346846449 /nfs/dbraw/zinc/84/64/49/346846449.db2.gz QYECXSRPGMCLEP-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN Cc1cc(CN(C)Cc2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000595288299 349591479 /nfs/dbraw/zinc/59/14/79/349591479.db2.gz NGZNKJONSYLFFK-UHFFFAOYSA-N 0 0 286.335 2.634 20 5 CFBDRN CN(CC(=O)OC(C)(C)C)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595306910 349598007 /nfs/dbraw/zinc/59/80/07/349598007.db2.gz SQIHCVLBDOUSME-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN CCCCO[C@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000068500325 346856301 /nfs/dbraw/zinc/85/63/01/346856301.db2.gz NXPFFACZWZUENO-SECBINFHSA-N 0 0 284.287 2.878 20 5 CFBDRN Cc1noc(CN2CCc3c2cccc3C)c1[N+](=O)[O-] ZINC000595365105 349610564 /nfs/dbraw/zinc/61/05/64/349610564.db2.gz WULDQSKBKDCJQW-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN Cc1noc(CN2CCS[C@H]3CCCC[C@H]32)c1[N+](=O)[O-] ZINC000595339967 349605553 /nfs/dbraw/zinc/60/55/53/349605553.db2.gz SEELDXDBEOWSJL-PWSUYJOCSA-N 0 0 297.380 2.751 20 5 CFBDRN Cc1noc(CN2CCc3cccc(F)c32)c1[N+](=O)[O-] ZINC000595382326 349613519 /nfs/dbraw/zinc/61/35/19/349613519.db2.gz FLXNOJZTGVALQE-UHFFFAOYSA-N 0 0 277.255 2.593 20 5 CFBDRN CCc1nocc1CN1CCc2c1cccc2[N+](=O)[O-] ZINC000595388652 349614177 /nfs/dbraw/zinc/61/41/77/349614177.db2.gz IXQYUVSKTIMJAT-UHFFFAOYSA-N 0 0 273.292 2.708 20 5 CFBDRN Cc1noc(CN2CC3(CC3)c3ccccc32)c1[N+](=O)[O-] ZINC000595376505 349612025 /nfs/dbraw/zinc/61/20/25/349612025.db2.gz JRMZYUAFGVZUFB-UHFFFAOYSA-N 0 0 285.303 2.943 20 5 CFBDRN Cc1noc(CN(C)c2ccccc2N(C)C)c1[N+](=O)[O-] ZINC000595393721 349614965 /nfs/dbraw/zinc/61/49/65/349614965.db2.gz QMVVQSLQFGZNQW-UHFFFAOYSA-N 0 0 290.323 2.594 20 5 CFBDRN CC[C@]1(C(C)C)C[C@@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000413386364 529389894 /nfs/dbraw/zinc/38/98/94/529389894.db2.gz TXVJWVKOCAFOQE-SWLSCSKDSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1ccc(N(C)CC2(O)CCCC2)c([N+](=O)[O-])c1 ZINC000125449242 187185226 /nfs/dbraw/zinc/18/52/26/187185226.db2.gz HKTMKTZYMPHOAU-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN CCc1nc([C@@H](C)NCc2onc(C)c2[N+](=O)[O-])cs1 ZINC000595429428 349623189 /nfs/dbraw/zinc/62/31/89/349623189.db2.gz NPJAQODTRUGOPK-SSDOTTSWSA-N 0 0 296.352 2.761 20 5 CFBDRN CCc1nnc([C@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])[nH]1 ZINC000595427538 349622432 /nfs/dbraw/zinc/62/24/32/349622432.db2.gz ZJUYNFWEVXBUQX-UWVGGRQHSA-N 0 0 289.339 2.687 20 5 CFBDRN Cc1nnc([C@H](C)NCc2ccc(F)cc2[N+](=O)[O-])s1 ZINC000595439461 349626933 /nfs/dbraw/zinc/62/69/33/349626933.db2.gz ZHRZYWRELODPKL-ZETCQYMHSA-N 0 0 296.327 2.745 20 5 CFBDRN CC(C)[C@H](NC(=O)NCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000595445359 349628725 /nfs/dbraw/zinc/62/87/25/349628725.db2.gz AESFOIQWAGSOCG-AWEZNQCLSA-N 0 0 291.351 2.829 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000177143271 529409218 /nfs/dbraw/zinc/40/92/18/529409218.db2.gz DVFDAGTUIYJCCB-IUODEOHRSA-N 0 0 276.336 2.989 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000177205686 529410534 /nfs/dbraw/zinc/41/05/34/529410534.db2.gz CUERAIDYRIQBTG-TZMCWYRMSA-N 0 0 262.309 2.647 20 5 CFBDRN CO[C@@]1(C)CCCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595470993 349636519 /nfs/dbraw/zinc/63/65/19/349636519.db2.gz YCWZFQCJDNYVCP-AWEZNQCLSA-N 0 0 282.315 2.735 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000177051914 529411206 /nfs/dbraw/zinc/41/12/06/529411206.db2.gz MCRRENQKCBWOSG-QMTHXVAHSA-N 0 0 278.308 2.978 20 5 CFBDRN CCN(Cc1onc(C)c1[N+](=O)[O-])CC(C)(C)C ZINC000595491089 349641422 /nfs/dbraw/zinc/64/14/22/349641422.db2.gz ADWTUDLHIZCJTH-UHFFFAOYSA-N 0 0 255.318 2.759 20 5 CFBDRN CO[C@]1(C)CCCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595470994 349636889 /nfs/dbraw/zinc/63/68/89/349636889.db2.gz YCWZFQCJDNYVCP-CQSZACIVSA-N 0 0 282.315 2.735 20 5 CFBDRN CC[C@@H](C)CCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000595473660 349637712 /nfs/dbraw/zinc/63/77/12/349637712.db2.gz AFGVATOOWFYTQL-LLVKDONJSA-N 0 0 279.340 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCN2CC[C@H]2C(F)(F)F)cc1 ZINC000595493640 349641648 /nfs/dbraw/zinc/64/16/48/349641648.db2.gz GHOHTYFEOKMMIP-NSHDSACASA-N 0 0 290.241 2.610 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])s1 ZINC000068833717 346873560 /nfs/dbraw/zinc/87/35/60/346873560.db2.gz UZNFGKJBPOVABI-SECBINFHSA-N 0 0 266.322 2.742 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC000348065750 282168169 /nfs/dbraw/zinc/16/81/69/282168169.db2.gz ACPOKFYRLBCNFN-CKYFFXLPSA-N 0 0 291.351 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)C2CCCCC2)cc1 ZINC000068909364 346876383 /nfs/dbraw/zinc/87/63/83/346876383.db2.gz FQACFXUZFBQBTM-CQSZACIVSA-N 0 0 264.325 2.948 20 5 CFBDRN COc1ccc(NC(=O)CSCC(C)C)c([N+](=O)[O-])c1 ZINC000069050461 346881705 /nfs/dbraw/zinc/88/17/05/346881705.db2.gz DJFXXDXXLKFHOZ-UHFFFAOYSA-N 0 0 298.364 2.931 20 5 CFBDRN C[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000595568420 349663146 /nfs/dbraw/zinc/66/31/46/349663146.db2.gz OBDDKPSURPBDHD-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421541928 529461447 /nfs/dbraw/zinc/46/14/47/529461447.db2.gz MOCMVGLTFBFARQ-ZYHUDNBSSA-N 0 0 262.309 2.740 20 5 CFBDRN O=C(N[C@@H]1CCc2ccccc2C1)c1cccc([N+](=O)[O-])c1 ZINC000069321043 346888744 /nfs/dbraw/zinc/88/87/44/346888744.db2.gz TVBSXQHPNGJWNI-OAHLLOKOSA-N 0 0 296.326 2.882 20 5 CFBDRN CC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])[nH]1)CC(F)(F)F ZINC000414003413 529488114 /nfs/dbraw/zinc/48/81/14/529488114.db2.gz JTRFILDQOGKEMZ-SSDOTTSWSA-N 0 0 293.245 2.631 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000413459392 529473813 /nfs/dbraw/zinc/47/38/13/529473813.db2.gz XKFSREPEQMXGBR-SCZZXKLOSA-N 0 0 271.704 2.623 20 5 CFBDRN CC[C@H](SC)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000125894613 187203882 /nfs/dbraw/zinc/20/38/82/187203882.db2.gz JJIWLYXGRSOMOB-LBPRGKRZSA-N 0 0 280.349 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2c3ccccc3C[C@@H]2CO)cc1 ZINC000595657852 349692532 /nfs/dbraw/zinc/69/25/32/349692532.db2.gz QGADIKXQTWLOFU-OAHLLOKOSA-N 0 0 284.315 2.518 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN[C@@H](C)c1cscn1 ZINC000595667253 349694879 /nfs/dbraw/zinc/69/48/79/349694879.db2.gz DZVHVMXJNRSLOT-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H](O)C(C)C ZINC000414406883 529530107 /nfs/dbraw/zinc/53/01/07/529530107.db2.gz DPMSUZCLXZKKBF-STQMWFEESA-N 0 0 295.339 2.512 20 5 CFBDRN C[N@@H+](Cc1ccc([N+](=O)[O-])cc1)C[C@H]1CCCCO1 ZINC000126013580 187210702 /nfs/dbraw/zinc/21/07/02/187210702.db2.gz RERXALCOSXUIKK-CQSZACIVSA-N 0 0 264.325 2.596 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])CC1=CCCOC1 ZINC000595752492 349724460 /nfs/dbraw/zinc/72/44/60/349724460.db2.gz KVOVCDJJWQQONA-UHFFFAOYSA-N 0 0 280.299 2.512 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cc([N+](=O)[O-])cc2F)C[C@@H](C)C1=O ZINC000595716057 349714686 /nfs/dbraw/zinc/71/46/86/349714686.db2.gz UVBMCPIQKQITKD-RKDXNWHRSA-N 0 0 298.289 2.530 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000595716091 349714974 /nfs/dbraw/zinc/71/49/74/349714974.db2.gz VFTKSYRLFFESHZ-IJLUTSLNSA-N 0 0 276.336 2.813 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@H]3CCO[C@H]3C23CCC3)c([N+](=O)[O-])c1 ZINC000269888542 190564375 /nfs/dbraw/zinc/56/43/75/190564375.db2.gz BTTRVRPZVGTDOX-RAIGVLPGSA-N 0 0 289.335 2.668 20 5 CFBDRN Cc1cc(S[C@@H]2CCO[C@H]2C)ncc1[N+](=O)[O-] ZINC000269900368 190565477 /nfs/dbraw/zinc/56/54/77/190565477.db2.gz FOVGKOUVCHFSFL-WCBMZHEXSA-N 0 0 254.311 2.568 20 5 CFBDRN C[C@H](O)[C@H]1CCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000595764549 349727280 /nfs/dbraw/zinc/72/72/80/349727280.db2.gz BGBMFRZBZRYBJD-JOYOIKCWSA-N 0 0 284.743 2.593 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC(C)(C)[C@@H](O)C(C)C ZINC000269921269 190568898 /nfs/dbraw/zinc/56/88/98/190568898.db2.gz KUCOMBGXKHVSOJ-LBPRGKRZSA-N 0 0 281.356 2.753 20 5 CFBDRN CN(CC1=CCSC1)Cc1c(F)cccc1[N+](=O)[O-] ZINC000595889258 349763145 /nfs/dbraw/zinc/76/31/45/349763145.db2.gz SRZDOALJUZHDKQ-UHFFFAOYSA-N 0 0 282.340 2.839 20 5 CFBDRN CCOc1ccc(OCc2onc(C)c2[N+](=O)[O-])cc1 ZINC000596012279 349775597 /nfs/dbraw/zinc/77/55/97/349775597.db2.gz UGUOLHOUVJTLAB-UHFFFAOYSA-N 0 0 278.264 2.869 20 5 CFBDRN Cc1noc(Cn2cnc3cc(C)c(C)cc32)c1[N+](=O)[O-] ZINC000596019499 349776500 /nfs/dbraw/zinc/77/65/00/349776500.db2.gz AAJAJOCNCVARPG-UHFFFAOYSA-N 0 0 286.291 2.906 20 5 CFBDRN CC(=O)c1ccc(C)cc1OCc1onc(C)c1[N+](=O)[O-] ZINC000596016705 349776587 /nfs/dbraw/zinc/77/65/87/349776587.db2.gz UKKNHKVIGHOFTD-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000126167203 187221709 /nfs/dbraw/zinc/22/17/09/187221709.db2.gz NOCROVFFTFSXHH-ZDUSSCGKSA-N 0 0 292.335 2.515 20 5 CFBDRN Cc1noc(CNc2ccc3c(c2)CCOC3)c1[N+](=O)[O-] ZINC000596039465 349779532 /nfs/dbraw/zinc/77/95/32/349779532.db2.gz QQMNEBFDRDZWDL-UHFFFAOYSA-N 0 0 289.291 2.576 20 5 CFBDRN CC12CC(C1)CN2Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595920790 349769833 /nfs/dbraw/zinc/76/98/33/349769833.db2.gz WFYYKLICHKPNEW-UHFFFAOYSA-N 0 0 250.273 2.718 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CCCSC ZINC000071530209 346922725 /nfs/dbraw/zinc/92/27/25/346922725.db2.gz XHACNAOCJYQBOG-UHFFFAOYSA-N 0 0 284.337 2.685 20 5 CFBDRN Cc1cc(NC[C@@H](C)Cn2cccn2)c([N+](=O)[O-])s1 ZINC000596076073 349788178 /nfs/dbraw/zinc/78/81/78/349788178.db2.gz CLAAZCMOURSWMH-SECBINFHSA-N 0 0 280.353 2.909 20 5 CFBDRN Cc1cc(NCCCn2cccn2)c([N+](=O)[O-])s1 ZINC000596076380 349788208 /nfs/dbraw/zinc/78/82/08/349788208.db2.gz OVYWEKOUDLCEBN-UHFFFAOYSA-N 0 0 266.326 2.663 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@H](O)C(C)C ZINC000414404061 529649463 /nfs/dbraw/zinc/64/94/63/529649463.db2.gz AJGFNWKKSVYMEG-CHWSQXEVSA-N 0 0 295.339 2.512 20 5 CFBDRN CC[C@@H](SC)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000126217832 187224659 /nfs/dbraw/zinc/22/46/59/187224659.db2.gz HYOWUEMAPSVNJW-GFCCVEGCSA-N 0 0 280.349 2.626 20 5 CFBDRN CCO[C@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596087705 349791466 /nfs/dbraw/zinc/79/14/66/349791466.db2.gz KEZDYLCVEKDRRJ-VIFPVBQESA-N 0 0 285.731 2.649 20 5 CFBDRN COc1ncccc1CNc1cc(C)sc1[N+](=O)[O-] ZINC000596073703 349787186 /nfs/dbraw/zinc/78/71/86/349787186.db2.gz RSSRHYGBVUFPQD-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN O=C1CCN(c2c(Br)cccc2[N+](=O)[O-])CC1 ZINC000596071559 349787401 /nfs/dbraw/zinc/78/74/01/349787401.db2.gz QWDKVKNMLDHBPY-UHFFFAOYSA-N 0 0 299.124 2.527 20 5 CFBDRN CC[C@H](C)CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000421597381 529660068 /nfs/dbraw/zinc/66/00/68/529660068.db2.gz VEKDDZCEHJPANN-NSHDSACASA-N 0 0 264.325 2.690 20 5 CFBDRN CC[C@H](C)CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000421925181 529666825 /nfs/dbraw/zinc/66/68/25/529666825.db2.gz XKPYRWUDHUTWQM-VIFPVBQESA-N 0 0 275.308 2.852 20 5 CFBDRN CC[C@H](C)COc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC000413018741 529671701 /nfs/dbraw/zinc/67/17/01/529671701.db2.gz RYNFRSPXPHYJLE-VIFPVBQESA-N 0 0 267.281 2.806 20 5 CFBDRN Cc1ccccc1[C@H]1CCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596106237 349795756 /nfs/dbraw/zinc/79/57/56/349795756.db2.gz RFLWHZQBQBQFGJ-LBPRGKRZSA-N 0 0 298.346 2.874 20 5 CFBDRN Cc1cc(N2CCCO[C@@H](C)C2)c([N+](=O)[O-])s1 ZINC000596105940 349795759 /nfs/dbraw/zinc/79/57/59/349795759.db2.gz QYGSKMWKZSTCDI-QMMMGPOBSA-N 0 0 256.327 2.580 20 5 CFBDRN CC[C@](C)(O)CNc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596106748 349795285 /nfs/dbraw/zinc/79/52/85/349795285.db2.gz UVGJAOLSYGSPPD-LBPRGKRZSA-N 0 0 288.731 2.830 20 5 CFBDRN C[C@H](CN(C)c1cc[nH]c(=O)c1[N+](=O)[O-])c1ccccc1 ZINC000596106613 349795504 /nfs/dbraw/zinc/79/55/04/349795504.db2.gz URDYXNPGBUDYQO-LLVKDONJSA-N 0 0 287.319 2.935 20 5 CFBDRN COc1cc(NCC2(C)COC2)c(Cl)cc1[N+](=O)[O-] ZINC000596120909 349798710 /nfs/dbraw/zinc/79/87/10/349798710.db2.gz CSOBNIXSEJGEBB-UHFFFAOYSA-N 0 0 286.715 2.705 20 5 CFBDRN Nc1ccc(N2CCCc3sccc3C2)nc1[N+](=O)[O-] ZINC000596120159 349798921 /nfs/dbraw/zinc/79/89/21/349798921.db2.gz HWPADOVFFFCWPR-UHFFFAOYSA-N 0 0 290.348 2.586 20 5 CFBDRN O=c1[nH]ccc(N2CC[C@H](c3ccccc3)C2)c1[N+](=O)[O-] ZINC000596118371 349798968 /nfs/dbraw/zinc/79/89/68/349798968.db2.gz XOCVLOXQMQXMEO-LBPRGKRZSA-N 0 0 285.303 2.689 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])cn2)CCCS1 ZINC000126399966 187237247 /nfs/dbraw/zinc/23/72/47/187237247.db2.gz AIMFILWQLPSEMN-NSHDSACASA-N 0 0 253.327 2.687 20 5 CFBDRN CCS[C@H]1CCCCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596123757 349799853 /nfs/dbraw/zinc/79/98/53/349799853.db2.gz DRFAFGUKIUPGOU-JTQLQIEISA-N 0 0 296.396 2.684 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596123054 349799574 /nfs/dbraw/zinc/79/95/74/349799574.db2.gz MBCVHQBUTATFNB-GMSGAONNSA-N 0 0 258.343 2.784 20 5 CFBDRN O=c1[nH]ccc(NCCOC2CCCCCC2)c1[N+](=O)[O-] ZINC000596130219 349802049 /nfs/dbraw/zinc/80/20/49/349802049.db2.gz OBGQVGCLVBUDIU-UHFFFAOYSA-N 0 0 295.339 2.847 20 5 CFBDRN Cc1cc(NC[C@H]2CCC[C@@H](O)C2)c([N+](=O)[O-])s1 ZINC000596137347 349804678 /nfs/dbraw/zinc/80/46/78/349804678.db2.gz SBGPSUUEFUSXNT-VHSXEESVSA-N 0 0 270.354 2.928 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H]1C ZINC000596144748 349807442 /nfs/dbraw/zinc/80/74/42/349807442.db2.gz MTEKXLBONOKUBU-HTQZYQBOSA-N 0 0 255.705 2.735 20 5 CFBDRN CCC1(NC(=O)Cc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000127872620 187338266 /nfs/dbraw/zinc/33/82/66/187338266.db2.gz LHLFHWSOOLSCTL-UHFFFAOYSA-N 0 0 276.336 2.895 20 5 CFBDRN CC[C@@H](O)CCCNc1cc(C)sc1[N+](=O)[O-] ZINC000596145112 349808175 /nfs/dbraw/zinc/80/81/75/349808175.db2.gz GOBMXIMAOXBXIT-SECBINFHSA-N 0 0 258.343 2.928 20 5 CFBDRN CC[C@H](C)OCC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000153142436 136394595 /nfs/dbraw/zinc/39/45/95/136394595.db2.gz RUPGAXSJVACEHA-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000596165018 349814313 /nfs/dbraw/zinc/81/43/13/349814313.db2.gz IRNNIRKPOXNVQE-NWGYLPEXSA-N 0 0 263.297 2.686 20 5 CFBDRN CCCC1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596160711 349813798 /nfs/dbraw/zinc/81/37/98/349813798.db2.gz SXAIAHXNVIHAMO-UHFFFAOYSA-N 0 0 251.286 2.688 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC(C(F)(F)F)C1 ZINC000596163873 349814078 /nfs/dbraw/zinc/81/40/78/349814078.db2.gz RYHCCKOXBBJJEQ-UHFFFAOYSA-N 0 0 281.621 2.642 20 5 CFBDRN COC[C@@]1(C)CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000295024862 199306629 /nfs/dbraw/zinc/30/66/29/199306629.db2.gz UXGMVGKPQJCUOI-ZDUSSCGKSA-N 0 0 268.288 2.597 20 5 CFBDRN CCOc1cccc(NCCC(C)(C)CO)c1[N+](=O)[O-] ZINC000295024882 199306814 /nfs/dbraw/zinc/30/68/14/199306814.db2.gz UXOOMKMSFWPPPY-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN C[C@@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1(C)C ZINC000596192727 349823193 /nfs/dbraw/zinc/82/31/93/349823193.db2.gz VHNIMXGIDOKRLF-SECBINFHSA-N 0 0 265.313 2.568 20 5 CFBDRN CC[C@@H]1Cc2ccccc2CN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596197362 349824729 /nfs/dbraw/zinc/82/47/29/349824729.db2.gz UIYVLXVWLOAHKP-CYBMUJFWSA-N 0 0 298.346 2.913 20 5 CFBDRN Cc1csc([C@H](C)CNc2cc[nH]c(=O)c2[N+](=O)[O-])n1 ZINC000596196656 349824892 /nfs/dbraw/zinc/82/48/92/349824892.db2.gz XMJRSSSRECBMRK-SSDOTTSWSA-N 0 0 294.336 2.676 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])s1 ZINC000596207401 349827069 /nfs/dbraw/zinc/82/70/69/349827069.db2.gz FDLNSIMJZQVIJY-IONNQARKSA-N 0 0 256.327 2.802 20 5 CFBDRN CCC(C)(C)CC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000072936151 346951224 /nfs/dbraw/zinc/95/12/24/346951224.db2.gz LZGAKHBYGWKAIE-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN Cc1cc(N[C@H]2CCCOCC2)c([N+](=O)[O-])s1 ZINC000596184318 349819958 /nfs/dbraw/zinc/81/99/58/349819958.db2.gz FQMIKBHXMOAUHV-VIFPVBQESA-N 0 0 256.327 2.946 20 5 CFBDRN C[C@H](CNc1ncccc1[N+](=O)[O-])Oc1ccccc1 ZINC000072945762 346951483 /nfs/dbraw/zinc/95/14/83/346951483.db2.gz ZLVPUJPDLYHHIB-LLVKDONJSA-N 0 0 273.292 2.869 20 5 CFBDRN O=C1Cc2cc(Nc3ccccc3[N+](=O)[O-])ccc2N1 ZINC000072945604 346951576 /nfs/dbraw/zinc/95/15/76/346951576.db2.gz QSULKECCFAHLOP-UHFFFAOYSA-N 0 0 269.260 2.833 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596221567 349832438 /nfs/dbraw/zinc/83/24/38/349832438.db2.gz YXIYIPUELNMEIY-VHSXEESVSA-N 0 0 277.324 2.542 20 5 CFBDRN CC1=CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596226280 349833253 /nfs/dbraw/zinc/83/32/53/349833253.db2.gz RIIKCXBZYROGHD-UHFFFAOYSA-N 0 0 253.689 2.800 20 5 CFBDRN COc1cc(NC[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])cc1C ZINC000596229884 349834078 /nfs/dbraw/zinc/83/40/78/349834078.db2.gz QMJQBSDRJFVBRT-NWDGAFQWSA-N 0 0 294.351 2.996 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C)N(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596207442 349827155 /nfs/dbraw/zinc/82/71/55/349827155.db2.gz QPOJOZJCESXVQV-VWYCJHECSA-N 0 0 291.351 2.565 20 5 CFBDRN C[C@@H](CCCC(F)(F)F)Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000596209828 349827793 /nfs/dbraw/zinc/82/77/93/349827793.db2.gz HITKUNXFOSLWJJ-ZETCQYMHSA-N 0 0 292.261 2.527 20 5 CFBDRN C[C@H](CCCC(F)(F)F)Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000596209549 349827844 /nfs/dbraw/zinc/82/78/44/349827844.db2.gz HITKUNXFOSLWJJ-SSDOTTSWSA-N 0 0 292.261 2.527 20 5 CFBDRN CC[C@]1(CO)CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596209795 349827959 /nfs/dbraw/zinc/82/79/59/349827959.db2.gz RESGSRPPCMKAEE-ZDUSSCGKSA-N 0 0 284.381 2.954 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C)C[C@H](C)O1 ZINC000301438255 136431956 /nfs/dbraw/zinc/43/19/56/136431956.db2.gz KYKVSKUOAKIJQL-WCQYABFASA-N 0 0 264.325 2.907 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596216066 349829550 /nfs/dbraw/zinc/82/95/50/349829550.db2.gz CDTCDSORZORJAD-ZJUUUORDSA-N 0 0 277.324 2.542 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000596217837 349830100 /nfs/dbraw/zinc/83/01/00/349830100.db2.gz XPQSBDIKRVSIEJ-DTWKUNHWSA-N 0 0 284.337 2.718 20 5 CFBDRN CC[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCCO1 ZINC000596220654 349830825 /nfs/dbraw/zinc/83/08/25/349830825.db2.gz QFXQGGFUPGOGQJ-SECBINFHSA-N 0 0 285.731 2.649 20 5 CFBDRN CC(C)O[C@H]1C[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1(C)C ZINC000596220114 349831280 /nfs/dbraw/zinc/83/12/80/349831280.db2.gz WGEKYYJVJKTSDD-QWRGUYRKSA-N 0 0 295.339 2.699 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596221048 349831286 /nfs/dbraw/zinc/83/12/86/349831286.db2.gz UCAOSIZAEKKVFH-RKDXNWHRSA-N 0 0 251.286 2.544 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]2C(F)F)c(C(F)(F)F)c1 ZINC000596255368 349842692 /nfs/dbraw/zinc/84/26/92/349842692.db2.gz NVPQVPAESCXALL-ZETCQYMHSA-N 0 0 297.183 2.852 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CCCCC2(F)F)c1[N+](=O)[O-] ZINC000596256244 349842880 /nfs/dbraw/zinc/84/28/80/349842880.db2.gz CEFWBFRWPYQPFG-MRVPVSSYSA-N 0 0 287.266 2.933 20 5 CFBDRN CC1(C2CC2)CCN(c2ccc(N)c([N+](=O)[O-])n2)CC1 ZINC000596237194 349835633 /nfs/dbraw/zinc/83/56/33/349835633.db2.gz AVBKZFCZVKFFTN-UHFFFAOYSA-N 0 0 276.340 2.589 20 5 CFBDRN Nc1ccc(N2CCCc3ccccc3CC2)nc1[N+](=O)[O-] ZINC000596257075 349843692 /nfs/dbraw/zinc/84/36/92/349843692.db2.gz KOYZYFGFYGDLGO-UHFFFAOYSA-N 0 0 298.346 2.567 20 5 CFBDRN CC(C)Oc1nc(N2C[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000596245870 349838796 /nfs/dbraw/zinc/83/87/96/349838796.db2.gz BJXMPRLRVGVPKD-ZJUUUORDSA-N 0 0 265.313 2.622 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000596248994 349839585 /nfs/dbraw/zinc/83/95/85/349839585.db2.gz ORISCRAOTDKVFL-VHSXEESVSA-N 0 0 257.293 2.988 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596248683 349839626 /nfs/dbraw/zinc/83/96/26/349839626.db2.gz AWGORPKOPZVASR-WDEREUQCSA-N 0 0 291.351 2.932 20 5 CFBDRN COC(=O)c1c(Cl)c(N2C[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000596246607 349839743 /nfs/dbraw/zinc/83/97/43/349839743.db2.gz FEQLHYCCDACMKM-JGVFFNPUSA-N 0 0 298.726 2.879 20 5 CFBDRN Cc1cc(NC[C@@]2(O)CCC[C@@H]2C)c([N+](=O)[O-])s1 ZINC000596248239 349839807 /nfs/dbraw/zinc/83/98/07/349839807.db2.gz UWWGBQOSNOCVMW-UFBFGSQYSA-N 0 0 270.354 2.928 20 5 CFBDRN COC(=O)c1c(Cl)c(N2C[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000596246610 349839813 /nfs/dbraw/zinc/83/98/13/349839813.db2.gz FEQLHYCCDACMKM-SFYZADRCSA-N 0 0 298.726 2.879 20 5 CFBDRN Cc1cc(NCCC(C)(C)CO)c([N+](=O)[O-])s1 ZINC000596250008 349840296 /nfs/dbraw/zinc/84/02/96/349840296.db2.gz NYVDTRAIIRJXDY-UHFFFAOYSA-N 0 0 258.343 2.785 20 5 CFBDRN CC(C)C[C@]1(C)CCCN1c1c([N+](=O)[O-])ncn1C ZINC000596252052 349841117 /nfs/dbraw/zinc/84/11/17/349841117.db2.gz FNTZNBPXOMUBKN-ZDUSSCGKSA-N 0 0 266.345 2.733 20 5 CFBDRN NC(=O)c1cc(NC[C@@H]2CCCC2(F)F)ccc1[N+](=O)[O-] ZINC000596254916 349842120 /nfs/dbraw/zinc/84/21/20/349842120.db2.gz MZAVWOOEDKTAMU-QMMMGPOBSA-N 0 0 299.277 2.541 20 5 CFBDRN Cc1noc(COc2ccc(C)c(F)c2)c1[N+](=O)[O-] ZINC000596279623 349851707 /nfs/dbraw/zinc/85/17/07/349851707.db2.gz ZSAXXATYAHVVGN-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CC(C)(C)[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C(F)(F)F ZINC000073136290 346962725 /nfs/dbraw/zinc/96/27/25/346962725.db2.gz OTACAXKBKOIURZ-SECBINFHSA-N 0 0 293.245 2.630 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C(N)=O)c1)[C@H]1CC1(C)C ZINC000596272836 349849923 /nfs/dbraw/zinc/84/99/23/349849923.db2.gz UTUSVAWDUDPHLE-VXGBXAGGSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1cc(N2Cc3cccnc3C2)c([N+](=O)[O-])s1 ZINC000596279724 349851754 /nfs/dbraw/zinc/85/17/54/349851754.db2.gz UPSQMCTWZBHDHX-UHFFFAOYSA-N 0 0 261.306 2.880 20 5 CFBDRN CO[C@@H](Cn1cc(C)c([N+](=O)[O-])n1)C1CCCCC1 ZINC000596277196 349850651 /nfs/dbraw/zinc/85/06/51/349850651.db2.gz BPAWAGQVKXVCKY-LBPRGKRZSA-N 0 0 267.329 2.695 20 5 CFBDRN COC1CC(CCNc2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000596307686 349858900 /nfs/dbraw/zinc/85/89/00/349858900.db2.gz CYDSNBZZSILKDT-UHFFFAOYSA-N 0 0 279.340 2.834 20 5 CFBDRN COc1cccc(NCCC2CC(OC)C2)c1[N+](=O)[O-] ZINC000596309222 349859038 /nfs/dbraw/zinc/85/90/38/349859038.db2.gz PYGHVMKBLDSCDS-UHFFFAOYSA-N 0 0 280.324 2.830 20 5 CFBDRN COC[C@H](COc1cc(C)c([N+](=O)[O-])cc1Cl)OC ZINC000596281481 349852171 /nfs/dbraw/zinc/85/21/71/349852171.db2.gz RXZWWHFKHHWJGQ-SECBINFHSA-N 0 0 289.715 2.597 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596289872 349853911 /nfs/dbraw/zinc/85/39/11/349853911.db2.gz FQJQRGQJPBABTO-WCBMZHEXSA-N 0 0 265.313 2.932 20 5 CFBDRN Cc1cc(N2CCC([C@H](C)CO)CC2)c([N+](=O)[O-])s1 ZINC000596294304 349854933 /nfs/dbraw/zinc/85/49/33/349854933.db2.gz XDGXLXWDDQBGGM-SECBINFHSA-N 0 0 284.381 2.810 20 5 CFBDRN CCC[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000301838204 136441691 /nfs/dbraw/zinc/44/16/91/136441691.db2.gz NGPOPZMUWNYRLM-SNVBAGLBSA-N 0 0 286.278 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3ccccn3)C2)nc1 ZINC000596306909 349858354 /nfs/dbraw/zinc/85/83/54/349858354.db2.gz UAOGYAKWEZYKRZ-LBPRGKRZSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@]23C[C@H]2CCC3)c(Cl)c1 ZINC000596315718 349861627 /nfs/dbraw/zinc/86/16/27/349861627.db2.gz FVIOPZJVAITKNP-RDDDGLTNSA-N 0 0 253.689 2.998 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000073336079 346971633 /nfs/dbraw/zinc/97/16/33/346971633.db2.gz OEXGNMWYZBFDTG-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000073336106 346972043 /nfs/dbraw/zinc/97/20/43/346972043.db2.gz IOTJUYWKDCICNZ-CMPLNLGQSA-N 0 0 262.309 2.855 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@]23C[C@@H]2CCC3)nc2sccn21 ZINC000596316836 349862329 /nfs/dbraw/zinc/86/23/29/349862329.db2.gz MVTPLZYDSNHRLP-CPCISQLKSA-N 0 0 264.310 2.659 20 5 CFBDRN COC[C@H](CCNc1c(Cl)cccc1[N+](=O)[O-])OC ZINC000596320071 349862923 /nfs/dbraw/zinc/86/29/23/349862923.db2.gz LLJUWNRSWMWPLU-VIFPVBQESA-N 0 0 288.731 2.712 20 5 CFBDRN CC[C@H](COCC1CC1)Nc1c([N+](=O)[O-])nc(C)n1CC ZINC000596327856 349865272 /nfs/dbraw/zinc/86/52/72/349865272.db2.gz VTAVRJVFRQNRJM-GFCCVEGCSA-N 0 0 296.371 2.737 20 5 CFBDRN CC1(CSCCOc2cccc([N+](=O)[O-])c2)COC1 ZINC000596332027 349866696 /nfs/dbraw/zinc/86/66/96/349866696.db2.gz HNDWQCWYKBEQBS-UHFFFAOYSA-N 0 0 283.349 2.743 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC(c2ccco2)CC1 ZINC000596333872 349867617 /nfs/dbraw/zinc/86/76/17/349867617.db2.gz MODBLINNCRHNEO-UHFFFAOYSA-N 0 0 273.292 2.967 20 5 CFBDRN Cc1noc(CSCCOC(C)(C)C)c1[N+](=O)[O-] ZINC000596335036 349868229 /nfs/dbraw/zinc/86/82/29/349868229.db2.gz NDEGCAAXYIRRIN-UHFFFAOYSA-N 0 0 274.342 2.940 20 5 CFBDRN CC(C)(C)[N@@H+]1CC[C@@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000596335735 349868260 /nfs/dbraw/zinc/86/82/60/349868260.db2.gz HUFUBHDIZBEKAI-SECBINFHSA-N 0 0 269.370 2.941 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCO[C@@](C)(C3CC3)C2)n1 ZINC000596335908 349868376 /nfs/dbraw/zinc/86/83/76/349868376.db2.gz SJPXSRGWHCNAOF-OAHLLOKOSA-N 0 0 291.351 2.612 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCO[C@@](C)(C3CC3)C2)c1 ZINC000596336204 349868380 /nfs/dbraw/zinc/86/83/80/349868380.db2.gz VIZWOLFZCCPICN-OAHLLOKOSA-N 0 0 292.335 2.609 20 5 CFBDRN COc1cc(N2CCO[C@](C)(C3CC3)C2)ccc1[N+](=O)[O-] ZINC000596336497 349869177 /nfs/dbraw/zinc/86/91/77/349869177.db2.gz YAKIKWGYSOCTEQ-HNNXBMFYSA-N 0 0 292.335 2.609 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])c2ncnn21 ZINC000596342923 349870927 /nfs/dbraw/zinc/87/09/27/349870927.db2.gz IQZKYGQWYGDTPQ-GMSGAONNSA-N 0 0 293.714 2.958 20 5 CFBDRN COCCC1CN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000596358320 349876563 /nfs/dbraw/zinc/87/65/63/349876563.db2.gz VBAXERPJVGIEIE-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN C[S@](=O)[C@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000596376655 349883716 /nfs/dbraw/zinc/88/37/16/349883716.db2.gz WPWHSJZRHWQLMQ-IDHHQXPSSA-N 0 0 288.394 2.758 20 5 CFBDRN Cc1csc([C@H](C)CNc2c([N+](=O)[O-])c(C)nn2C)n1 ZINC000273177040 192066873 /nfs/dbraw/zinc/06/68/73/192066873.db2.gz AMWMBGNGCTWERZ-SSDOTTSWSA-N 0 0 295.368 2.617 20 5 CFBDRN C[S@](=O)[C@@H]1CCC[C@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000596373786 349881932 /nfs/dbraw/zinc/88/19/32/349881932.db2.gz LBJYBZPAAOOEND-ROXHKKKXSA-N 0 0 288.394 2.758 20 5 CFBDRN Cc1nn(C)c(N2CCc3ccc(C)cc3C2)c1[N+](=O)[O-] ZINC000596408970 349892483 /nfs/dbraw/zinc/89/24/83/349892483.db2.gz KUXMFXYIBLYLSC-UHFFFAOYSA-N 0 0 286.335 2.508 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCC[C@@H]3C[C@@H]32)c([N+](=O)[O-])c1 ZINC000413464728 529942895 /nfs/dbraw/zinc/94/28/95/529942895.db2.gz TYEDJMHEALSQHJ-ADEWGFFLSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ccc([N+](=O)[O-])cn1)CC2 ZINC000596408252 349892169 /nfs/dbraw/zinc/89/21/69/349892169.db2.gz IDQLODOAGXZAKN-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1ccccc1[N+](=O)[O-] ZINC000302014396 529947153 /nfs/dbraw/zinc/94/71/53/529947153.db2.gz BMRRZQMALIQRAE-FZMZJTMJSA-N 0 0 264.325 2.582 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C(C)C)C1CC1 ZINC000074466517 347016666 /nfs/dbraw/zinc/01/66/66/347016666.db2.gz VYVFVLDGTMFXBW-UHFFFAOYSA-N 0 0 278.308 2.616 20 5 CFBDRN O=c1[nH]ccc(N2CCC(C3CCC3)CC2)c1[N+](=O)[O-] ZINC000596424278 349899128 /nfs/dbraw/zinc/89/91/28/349899128.db2.gz FUMGISWVSLXPFP-UHFFFAOYSA-N 0 0 277.324 2.712 20 5 CFBDRN Cc1cc(NC/C=C\CO)c([N+](=O)[O-])cc1C(F)(F)F ZINC000596422826 349899205 /nfs/dbraw/zinc/89/92/05/349899205.db2.gz HGAWWSINEGTMPN-IHWYPQMZSA-N 0 0 290.241 2.882 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2COC)C1 ZINC000596436262 349902463 /nfs/dbraw/zinc/90/24/63/349902463.db2.gz JENPJDYWIZAGTH-AULYBMBSSA-N 0 0 280.324 2.721 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000596437851 349902345 /nfs/dbraw/zinc/90/23/45/349902345.db2.gz YHCIHEXKRXPIRQ-KYZUINATSA-N 0 0 284.287 2.722 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000074658676 347025385 /nfs/dbraw/zinc/02/53/85/347025385.db2.gz AQYYMHOGXICGSR-LBPRGKRZSA-N 0 0 276.336 2.928 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000074764157 347031386 /nfs/dbraw/zinc/03/13/86/347031386.db2.gz ULROBSCCWCMGEC-LLVKDONJSA-N 0 0 279.340 2.577 20 5 CFBDRN CSC1(CNc2cc(C)c([N+](=O)[O-])cn2)CCOCC1 ZINC000074766917 347031424 /nfs/dbraw/zinc/03/14/24/347031424.db2.gz DEVBOEAHNHJZBG-UHFFFAOYSA-N 0 0 297.380 2.622 20 5 CFBDRN CCc1nc(CNc2cc(C)c([N+](=O)[O-])cn2)cs1 ZINC000074749469 347030632 /nfs/dbraw/zinc/03/06/32/347030632.db2.gz JBLVZLLOUYKLHK-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074751005 347030690 /nfs/dbraw/zinc/03/06/90/347030690.db2.gz ZWFPAXUTLMRUMO-GXFFZTMASA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](C)[C@@H]2CCO)c1 ZINC000596444325 349905215 /nfs/dbraw/zinc/90/52/15/349905215.db2.gz WAEWYAJIEGARKA-STQMWFEESA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cccc(NCCO[C@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000596445905 349905753 /nfs/dbraw/zinc/90/57/53/349905753.db2.gz APNQGMMHSQOUKY-JTQLQIEISA-N 0 0 272.251 2.739 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])nc1)C1CCOCC1 ZINC000074774346 347032112 /nfs/dbraw/zinc/03/21/12/347032112.db2.gz KETHZXNSQGNKPD-LBPRGKRZSA-N 0 0 265.313 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@H]2n2cccn2)nc1 ZINC000074776152 347033061 /nfs/dbraw/zinc/03/30/61/347033061.db2.gz VNRJMYZMSQQISW-CHWSQXEVSA-N 0 0 287.323 2.782 20 5 CFBDRN Cc1cc(N2C[C@@H](C)C(=O)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000596448136 349906380 /nfs/dbraw/zinc/90/63/80/349906380.db2.gz WHCLFZSXPOOBES-GHMZBOCLSA-N 0 0 262.309 2.565 20 5 CFBDRN CO[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000074933117 347044218 /nfs/dbraw/zinc/04/42/18/347044218.db2.gz RAEXGHRTVXIXFO-CYBMUJFWSA-N 0 0 295.339 2.696 20 5 CFBDRN Cc1cc(N(C)CC2=CCCOC2)ccc1[N+](=O)[O-] ZINC000596466101 349909274 /nfs/dbraw/zinc/90/92/74/349909274.db2.gz QFUVDYLTYHQJSV-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCC1CCC1 ZINC000273188725 192069750 /nfs/dbraw/zinc/06/97/50/192069750.db2.gz CFIVIUXDEWFECX-PKNBQFBNSA-N 0 0 274.320 2.914 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2ccccc2[N+](=O)[O-])O1 ZINC000596472491 349910697 /nfs/dbraw/zinc/91/06/97/349910697.db2.gz BMBCHVARLJKZMO-GHMZBOCLSA-N 0 0 250.298 2.964 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC[C@@H]3C[C@@H]32)c(F)c1 ZINC000596474453 349911691 /nfs/dbraw/zinc/91/16/91/349911691.db2.gz OTNUIWHDXPRCEY-YWVKMMECSA-N 0 0 265.288 2.977 20 5 CFBDRN Cc1cnc(NC[C@H]2CCC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000596474082 349911787 /nfs/dbraw/zinc/91/17/87/349911787.db2.gz JCKFHMWJTREMGD-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN CO[C@H](CNc1ccc(C(C)=O)cc1[N+](=O)[O-])C(C)C ZINC000075062579 347052746 /nfs/dbraw/zinc/05/27/46/347052746.db2.gz NDPDHRWKLCLJOT-CQSZACIVSA-N 0 0 280.324 2.880 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000075064547 347052859 /nfs/dbraw/zinc/05/28/59/347052859.db2.gz VSNGTDYHLIURFJ-ZWNOBZJWSA-N 0 0 265.313 2.605 20 5 CFBDRN Cc1cnc(N[C@@H](CO)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000075066274 347053626 /nfs/dbraw/zinc/05/36/26/347053626.db2.gz FNSRDPPSQOVQMJ-LBPRGKRZSA-N 0 0 279.340 2.651 20 5 CFBDRN NC(=O)c1ccc(NCCCC2CCC2)c([N+](=O)[O-])c1 ZINC000596475800 349912253 /nfs/dbraw/zinc/91/22/53/349912253.db2.gz NCVDYASIWGNKAA-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCC[C@H](C)O1 ZINC000596475114 349912316 /nfs/dbraw/zinc/91/23/16/349912316.db2.gz QYVRSHKLKQVSPY-QWRGUYRKSA-N 0 0 280.324 2.973 20 5 CFBDRN Cn1ncc(C2CC2)c1CNc1ccc([N+](=O)[O-])cc1F ZINC000596475901 349912459 /nfs/dbraw/zinc/91/24/59/349912459.db2.gz VPQXKOZRJSIICD-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])cc2F)CC1(F)F ZINC000596478882 349913621 /nfs/dbraw/zinc/91/36/21/349913621.db2.gz TYBRXDFUQLABKB-VIFPVBQESA-N 0 0 261.203 2.586 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000596477535 349913642 /nfs/dbraw/zinc/91/36/42/349913642.db2.gz ALFDNUGVTWSQHA-MNOVXSKESA-N 0 0 293.323 2.626 20 5 CFBDRN Cc1ccnc(N2CCC[C@H](CCF)C2)c1[N+](=O)[O-] ZINC000596478565 349913656 /nfs/dbraw/zinc/91/36/56/349913656.db2.gz HDUNRODMVRNMED-LLVKDONJSA-N 0 0 267.304 2.874 20 5 CFBDRN C[C@@]1(CCNc2ccc([N+](=O)[O-])nc2)CC1(F)F ZINC000596476847 349913260 /nfs/dbraw/zinc/91/32/60/349913260.db2.gz UGYHMAJLDMZRLJ-SNVBAGLBSA-N 0 0 257.240 2.837 20 5 CFBDRN C[C@@]1(CCNc2c(C(N)=O)cccc2[N+](=O)[O-])CC1(F)F ZINC000596476269 349913342 /nfs/dbraw/zinc/91/33/42/349913342.db2.gz BADSOBNEMQWDOI-GFCCVEGCSA-N 0 0 299.277 2.541 20 5 CFBDRN CN(Cc1ccco1)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000159571108 530008014 /nfs/dbraw/zinc/00/80/14/530008014.db2.gz YANVNQGIFXSALC-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1c3ccccc3ncc1[N+](=O)[O-])C2 ZINC000596479121 349914457 /nfs/dbraw/zinc/91/44/57/349914457.db2.gz JNEJAWMKMGLDKV-BDJLRTHQSA-N 0 0 299.330 2.616 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@]1(C)CC1(F)F ZINC000596479500 349914544 /nfs/dbraw/zinc/91/45/44/349914544.db2.gz WFBFQEXAZFBALT-SNVBAGLBSA-N 0 0 274.271 2.577 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1ccc(Cl)cc1[N+](=O)[O-])C2 ZINC000596477930 349913920 /nfs/dbraw/zinc/91/39/20/349913920.db2.gz FGEZJJFZORQLLQ-RNCFNFMXSA-N 0 0 282.727 2.721 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1ccnc3c1cccc3[N+](=O)[O-])C2 ZINC000596481283 349915120 /nfs/dbraw/zinc/91/51/20/349915120.db2.gz WBLDJGHRPPWEOV-MEDUHNTESA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1ccc3cc([N+](=O)[O-])ccc3n1)C2 ZINC000596481528 349915182 /nfs/dbraw/zinc/91/51/82/349915182.db2.gz BSSDUSGQQLPWCC-LRDDRELGSA-N 0 0 299.330 2.616 20 5 CFBDRN CNCc1noc([C@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 ZINC000414495990 530012921 /nfs/dbraw/zinc/01/29/21/530012921.db2.gz CLXMTBPFUSAIGH-QMMMGPOBSA-N 0 0 294.336 2.551 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1ccc3ncccc3c1[N+](=O)[O-])C2 ZINC000596484264 349915671 /nfs/dbraw/zinc/91/56/71/349915671.db2.gz UDAYYTITMRKJBH-BDJLRTHQSA-N 0 0 299.330 2.616 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000596484350 349915973 /nfs/dbraw/zinc/91/59/73/349915973.db2.gz ODMNANLOLOVTKO-ZDUSSCGKSA-N 0 0 263.297 2.905 20 5 CFBDRN COC(=O)c1occc1CNc1cc(C)sc1[N+](=O)[O-] ZINC000596485881 349916573 /nfs/dbraw/zinc/91/65/73/349916573.db2.gz AIQXYJFSNXMRRE-UHFFFAOYSA-N 0 0 296.304 2.956 20 5 CFBDRN Cc1nc(NCC[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000273214507 192077032 /nfs/dbraw/zinc/07/70/32/192077032.db2.gz ULFDJUIRMRAFNM-ZYHUDNBSSA-N 0 0 279.340 2.915 20 5 CFBDRN CC1(C)OCC[C@@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000596490023 349917999 /nfs/dbraw/zinc/91/79/99/349917999.db2.gz ZMGVRWWJCGUXAP-SNVBAGLBSA-N 0 0 293.323 2.531 20 5 CFBDRN CC(=O)c1cc(NC[C@@H](CO)CC2CC2)ccc1[N+](=O)[O-] ZINC000596493181 349918617 /nfs/dbraw/zinc/91/86/17/349918617.db2.gz WGOMUFZZGAIEHE-LBPRGKRZSA-N 0 0 292.335 2.618 20 5 CFBDRN COc1cc(N2CC[C@@H](C)O[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000596492403 349918956 /nfs/dbraw/zinc/91/89/56/349918956.db2.gz BEOVGGSZIIGYLC-ZJUUUORDSA-N 0 0 298.314 2.746 20 5 CFBDRN COC(=O)C[C@H](CNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000596490343 349917865 /nfs/dbraw/zinc/91/78/65/349917865.db2.gz KMITXHOPSPBELT-LLVKDONJSA-N 0 0 278.308 2.596 20 5 CFBDRN CN(CC(C)(C)C)c1nc2sccn2c1[N+](=O)[O-] ZINC000301144482 282365113 /nfs/dbraw/zinc/36/51/13/282365113.db2.gz IOGSDBGRAFAYHM-UHFFFAOYSA-N 0 0 268.342 2.786 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC([C@@H]2CCCCO2)CC1 ZINC000596497189 349920530 /nfs/dbraw/zinc/92/05/30/349920530.db2.gz LSAHJZUUKNLFBD-AWEZNQCLSA-N 0 0 291.351 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@H]3CCCCO3)CC2)cn1 ZINC000596497589 349920577 /nfs/dbraw/zinc/92/05/77/349920577.db2.gz YKSUJDZQANPTKI-CQSZACIVSA-N 0 0 291.351 2.775 20 5 CFBDRN Cc1noc(-c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n1 ZINC000161342290 282373926 /nfs/dbraw/zinc/37/39/26/282373926.db2.gz SRDUKNVUUIQQEK-UHFFFAOYSA-N 0 0 273.170 2.972 20 5 CFBDRN CCOC(=O)c1cc(N2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000127357460 187299404 /nfs/dbraw/zinc/29/94/04/187299404.db2.gz UWTZXFHUEWIPKY-SNVBAGLBSA-N 0 0 278.308 2.760 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](O)C23CCC3)c(F)cc1[N+](=O)[O-] ZINC000596502728 349922587 /nfs/dbraw/zinc/92/25/87/349922587.db2.gz FUROBLQZXKENGJ-STQMWFEESA-N 0 0 280.299 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H]1C[C@@H](O)C12CCC2 ZINC000596502778 349922631 /nfs/dbraw/zinc/92/26/31/349922631.db2.gz GFFRDSJFYYDHBJ-LSDHHAIUSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1nn(CCN(C)c2ccccc2)c(C)c1[N+](=O)[O-] ZINC000127371438 187300461 /nfs/dbraw/zinc/30/04/61/187300461.db2.gz OSBZZGNDQYWRAF-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3C[C@H]3C2)c(Br)c1 ZINC000596510437 349924824 /nfs/dbraw/zinc/92/48/24/349924824.db2.gz LLUOWLOCEZHLED-YUMQZZPRSA-N 0 0 298.140 2.599 20 5 CFBDRN Cc1cnc(NCC[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000273242986 192085614 /nfs/dbraw/zinc/08/56/14/192085614.db2.gz XPQNFKYIWVSGMG-VXGBXAGGSA-N 0 0 279.340 2.915 20 5 CFBDRN CCC1(CNC(=O)Cc2ccccc2[N+](=O)[O-])CCC1 ZINC000084255747 178832835 /nfs/dbraw/zinc/83/28/35/178832835.db2.gz OXUGLFKMNLTMIR-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1C[C@H](O)C12CCC2 ZINC000596511380 349925352 /nfs/dbraw/zinc/92/53/52/349925352.db2.gz PLPDTDVCWCCPJL-RYUDHWBXSA-N 0 0 282.727 2.964 20 5 CFBDRN CCSC1(CNc2ncc([N+](=O)[O-])s2)CC1 ZINC000596511990 349925478 /nfs/dbraw/zinc/92/54/78/349925478.db2.gz YPVBAWMUIOEUTH-UHFFFAOYSA-N 0 0 259.356 2.749 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@@H]2C[C@@H]2C1 ZINC000596512070 349925502 /nfs/dbraw/zinc/92/55/02/349925502.db2.gz TUORCSQHOXXZID-GHMZBOCLSA-N 0 0 269.304 2.989 20 5 CFBDRN CC1(C)CCC(O)(CNc2ncc([N+](=O)[O-])cc2F)CC1 ZINC000596513198 349926757 /nfs/dbraw/zinc/92/67/57/349926757.db2.gz PSJGHSQUHSSZEO-UHFFFAOYSA-N 0 0 297.330 2.872 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1ccc2c(c1)OCO2 ZINC000025848299 232173164 /nfs/dbraw/zinc/17/31/64/232173164.db2.gz UOZPDSIADOHFEZ-UHFFFAOYSA-N 0 0 272.260 2.936 20 5 CFBDRN CCc1nnc(NCc2ccc([N+](=O)[O-])cc2)nc1CC ZINC000097837030 232180942 /nfs/dbraw/zinc/18/09/42/232180942.db2.gz GPWQAZQTSJMJTM-UHFFFAOYSA-N 0 0 287.323 2.517 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000596524527 349930133 /nfs/dbraw/zinc/93/01/33/349930133.db2.gz CLIVHWJNRFJMQS-GFCCVEGCSA-N 0 0 292.383 2.907 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@H]2COC[C@H]2C1 ZINC000596528684 349931189 /nfs/dbraw/zinc/93/11/89/349931189.db2.gz OABWAPCIHGKPGK-NWDGAFQWSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3COC[C@@H]3C2)c2ccncc21 ZINC000596528692 349931201 /nfs/dbraw/zinc/93/12/01/349931201.db2.gz ODIQXEKMNKMNPL-RYUDHWBXSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3COC[C@H]3C2)c2ccncc21 ZINC000596528691 349931270 /nfs/dbraw/zinc/93/12/70/349931270.db2.gz ODIQXEKMNKMNPL-NWDGAFQWSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@H]4COC[C@H]4C3)ccc2c1 ZINC000596528210 349931469 /nfs/dbraw/zinc/93/14/69/349931469.db2.gz NANRGNXUIQBNSQ-QWHCGFSZSA-N 0 0 299.330 2.616 20 5 CFBDRN CO[C@H](CNc1ncccc1[N+](=O)[O-])C1CCCC1 ZINC000596526571 349930615 /nfs/dbraw/zinc/93/06/15/349930615.db2.gz QIIIBUNTTKWWPV-GFCCVEGCSA-N 0 0 265.313 2.607 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])nc1)C1CCCC1 ZINC000596531802 349932879 /nfs/dbraw/zinc/93/28/79/349932879.db2.gz XINPPQDSJHMGLX-GFCCVEGCSA-N 0 0 265.313 2.607 20 5 CFBDRN COc1cc(NC[C@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000596537509 349934746 /nfs/dbraw/zinc/93/47/46/349934746.db2.gz AMOOXTCWDWHFKO-SNVBAGLBSA-N 0 0 280.324 2.830 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1[C@H]2Cc3cc(F)ccc3[C@@H]12 ZINC000596540016 349934902 /nfs/dbraw/zinc/93/49/02/349934902.db2.gz NASKFUJXLOLCAQ-IACUBPJLSA-N 0 0 285.278 2.879 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)c2nccn2C)n1 ZINC000596544114 349937200 /nfs/dbraw/zinc/93/72/00/349937200.db2.gz YMWNJAJDIDMUBE-SNVBAGLBSA-N 0 0 275.312 2.513 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000596540253 349935682 /nfs/dbraw/zinc/93/56/82/349935682.db2.gz OWJVXDAHYLRXQL-NEPJUHHUSA-N 0 0 254.261 2.632 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1ccc([N+](=O)[O-])s1 ZINC000596544717 349937514 /nfs/dbraw/zinc/93/75/14/349937514.db2.gz PFYFHKMHRZKZSL-MRVPVSSYSA-N 0 0 256.327 2.883 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000596546726 349937934 /nfs/dbraw/zinc/93/79/34/349937934.db2.gz UNBCBGWSKDEZNZ-QMMMGPOBSA-N 0 0 283.303 2.543 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000596547167 349938011 /nfs/dbraw/zinc/93/80/11/349938011.db2.gz XHVAWHRUDQYXEA-VIFPVBQESA-N 0 0 268.288 2.961 20 5 CFBDRN CCOC(=O)[C@]1(C)CCC[C@@H]1Nc1cccnc1[N+](=O)[O-] ZINC000596548515 349938825 /nfs/dbraw/zinc/93/88/25/349938825.db2.gz DUSZFHHNMDNNPO-SMDDNHRTSA-N 0 0 293.323 2.524 20 5 CFBDRN CCOC(=O)[C@@]1(C)CCC[C@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000596549322 349938901 /nfs/dbraw/zinc/93/89/01/349938901.db2.gz IKLXRCWEAPZSPG-RISCZKNCSA-N 0 0 293.323 2.524 20 5 CFBDRN CC1(C)SC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450510216 535011453 /nfs/dbraw/zinc/01/14/53/535011453.db2.gz MSFNPCGXXHDWPT-GFCCVEGCSA-N 0 0 289.360 2.871 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2ccc(CO)cc2)c1 ZINC000168689217 232262323 /nfs/dbraw/zinc/26/23/23/232262323.db2.gz YVKSWWAGPIONHP-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000128279339 187360769 /nfs/dbraw/zinc/36/07/69/187360769.db2.gz WRCPJUNHOPQGIH-RYUDHWBXSA-N 0 0 291.351 2.720 20 5 CFBDRN CC1(C)SC[C@H]1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000493303417 535014017 /nfs/dbraw/zinc/01/40/17/535014017.db2.gz OXTTZNRFKONUQK-QDZRJHCZSA-N 0 0 292.360 2.618 20 5 CFBDRN Cc1ccc(C(=O)NCC2(C)CCC2)cc1[N+](=O)[O-] ZINC000175114224 232306664 /nfs/dbraw/zinc/30/66/64/232306664.db2.gz JCICGUJLNCCLPL-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CC[C@H](COC)Nc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000290744261 197804101 /nfs/dbraw/zinc/80/41/01/197804101.db2.gz HUFKPSIJNZRYJF-SNVBAGLBSA-N 0 0 296.323 2.527 20 5 CFBDRN Cc1ccc(NC(=O)c2ncncc2Cl)cc1[N+](=O)[O-] ZINC000175081156 232305233 /nfs/dbraw/zinc/30/52/33/232305233.db2.gz XVNLETNTNVWJFN-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000128287377 187361952 /nfs/dbraw/zinc/36/19/52/187361952.db2.gz HXLREHIAEZFIAL-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1ccccc1CC(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000175676019 232317111 /nfs/dbraw/zinc/31/71/11/232317111.db2.gz DXPHDWVEFYTQJJ-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN N#CN(CC(=O)c1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000175751835 232318969 /nfs/dbraw/zinc/31/89/69/232318969.db2.gz VZUVMSAQTMUUEC-UHFFFAOYSA-N 0 0 281.271 2.765 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@]1(C)CCCO1 ZINC000128297573 187362755 /nfs/dbraw/zinc/36/27/55/187362755.db2.gz RKJGALSAAZXRRX-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN Cn1cccc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000176501629 232334219 /nfs/dbraw/zinc/33/42/19/232334219.db2.gz VIPTXICBIOAZHC-UHFFFAOYSA-N 0 0 287.319 2.598 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCc2sccc2C1 ZINC000010924160 346228248 /nfs/dbraw/zinc/22/82/48/346228248.db2.gz PSLRGUPQDJULSW-UHFFFAOYSA-N 0 0 288.328 2.855 20 5 CFBDRN Cc1cnc(N[C@H](c2ccccc2)[C@H](C)O)c([N+](=O)[O-])c1 ZINC000596568119 349943601 /nfs/dbraw/zinc/94/36/01/349943601.db2.gz ZQVNJCNMUMCGJJ-FZMZJTMJSA-N 0 0 287.319 2.832 20 5 CFBDRN Cc1cccc(OCCN(C)c2ccc([N+](=O)[O-])cn2)c1 ZINC000015142689 346234957 /nfs/dbraw/zinc/23/49/57/346234957.db2.gz SGHIXXXTMCWTEY-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCCCCC1 ZINC000007782009 346219501 /nfs/dbraw/zinc/21/95/01/346219501.db2.gz CJUQJGWVZORCSZ-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN CCn1nccc1CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000596572001 349944620 /nfs/dbraw/zinc/94/46/20/349944620.db2.gz IODBWWKCZKNDBZ-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN CCn1nccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000009453491 346225786 /nfs/dbraw/zinc/22/57/86/346225786.db2.gz BDKLFKUANCGAOU-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN CC(=O)c1cc(N2CC3CC2(C)C3)ccc1[N+](=O)[O-] ZINC000596573910 349945486 /nfs/dbraw/zinc/94/54/86/349945486.db2.gz ARBWJJYDHVNEPI-UHFFFAOYSA-N 0 0 260.293 2.786 20 5 CFBDRN CNc1ccc(C(=O)N(C)Cc2ccco2)cc1[N+](=O)[O-] ZINC000017790044 346240271 /nfs/dbraw/zinc/24/02/71/346240271.db2.gz KUIKOWMJHMUQKN-UHFFFAOYSA-N 0 0 289.291 2.502 20 5 CFBDRN COc1cccc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)c1 ZINC000018234456 346240983 /nfs/dbraw/zinc/24/09/83/346240983.db2.gz MRWYVSXRSBZXSL-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000025568724 346260493 /nfs/dbraw/zinc/26/04/93/346260493.db2.gz IRHZSVMORZXMIA-ZYHUDNBSSA-N 0 0 291.351 2.709 20 5 CFBDRN CC12CC(C1)CN2c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000596575791 349946435 /nfs/dbraw/zinc/94/64/35/349946435.db2.gz YEMQBWZQQFBKHJ-UHFFFAOYSA-N 0 0 259.309 2.552 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000187094647 232427769 /nfs/dbraw/zinc/42/77/69/232427769.db2.gz SAZZOIJRHTZORM-GFCCVEGCSA-N 0 0 292.335 2.622 20 5 CFBDRN COc1cc(N2CC3CC2(C)C3)c(F)cc1[N+](=O)[O-] ZINC000596574831 349945247 /nfs/dbraw/zinc/94/52/47/349945247.db2.gz MHRATZSDAFSWRJ-UHFFFAOYSA-N 0 0 266.272 2.731 20 5 CFBDRN C[C@H](Sc1nnc(N)s1)c1cccc([N+](=O)[O-])c1 ZINC000029996805 346285332 /nfs/dbraw/zinc/28/53/32/346285332.db2.gz PFZFABUXZUJPGI-LURJTMIESA-N 0 0 282.350 2.882 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1cccnc1 ZINC000029296675 346280615 /nfs/dbraw/zinc/28/06/15/346280615.db2.gz QCLYRLRISYBVBP-OAHLLOKOSA-N 0 0 297.314 2.967 20 5 CFBDRN O=C(NCC1CCC1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000031441782 346294828 /nfs/dbraw/zinc/29/48/28/346294828.db2.gz XZKKDQVHPVEJAK-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN Cc1cccc(C(=O)N2CCOc3ccccc32)c1[N+](=O)[O-] ZINC000030577932 346289092 /nfs/dbraw/zinc/28/90/92/346289092.db2.gz SFXHTSDNXSVXDJ-UHFFFAOYSA-N 0 0 298.298 2.942 20 5 CFBDRN Cc1ccn(C)c1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000189002311 232457918 /nfs/dbraw/zinc/45/79/18/232457918.db2.gz BCSTUMKVZPOQBS-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN CCCC[C@](C)(F)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000596592219 349950948 /nfs/dbraw/zinc/95/09/48/349950948.db2.gz LSPHKKLCXKINKU-LBPRGKRZSA-N 0 0 297.290 2.753 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000033185904 346310968 /nfs/dbraw/zinc/31/09/68/346310968.db2.gz FCGIABWKNQBZJY-NWDGAFQWSA-N 0 0 292.335 2.669 20 5 CFBDRN O=C(COc1ccccc1F)c1cccc([N+](=O)[O-])c1 ZINC000035046794 346319784 /nfs/dbraw/zinc/31/97/84/346319784.db2.gz NWTXFKFAYVPWDN-UHFFFAOYSA-N 0 0 275.235 2.996 20 5 CFBDRN CCC(CC)N(CCOC)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000041581150 346353563 /nfs/dbraw/zinc/35/35/63/346353563.db2.gz AIYOZJUEEZENPF-UHFFFAOYSA-N 0 0 294.351 2.872 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)[C@H]1CCc2ccccc21 ZINC000042638827 346369355 /nfs/dbraw/zinc/36/93/55/346369355.db2.gz FOLPUTSNKGQYRN-LBPRGKRZSA-N 0 0 286.287 2.947 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000596618534 349957531 /nfs/dbraw/zinc/95/75/31/349957531.db2.gz CYESYGBWBOAVQO-CMPLNLGQSA-N 0 0 278.308 2.657 20 5 CFBDRN CC(C)CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC1 ZINC000045032344 346402688 /nfs/dbraw/zinc/40/26/88/346402688.db2.gz RYZNOENMGKTFBQ-UHFFFAOYSA-N 0 0 265.313 2.574 20 5 CFBDRN COCCN(Cc1ccco1)Cc1ccccc1[N+](=O)[O-] ZINC000046601122 346430378 /nfs/dbraw/zinc/43/03/78/346430378.db2.gz OXKDKAUWRRNJET-UHFFFAOYSA-N 0 0 290.319 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(-n3cccn3)cc2)nc1 ZINC000045802173 346414641 /nfs/dbraw/zinc/41/46/41/346414641.db2.gz DDACAZKJOLXFOL-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN COc1ccc(CNc2cccnc2[N+](=O)[O-])c(C)c1 ZINC000610807632 539541601 /nfs/dbraw/zinc/54/16/01/539541601.db2.gz BMVAYAGDINNXNK-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@H](C1CC1)N(C(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000046059204 346419131 /nfs/dbraw/zinc/41/91/31/346419131.db2.gz ZAQQMDSGNCGBJY-SNVBAGLBSA-N 0 0 274.320 2.998 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1cc(F)ccc1F ZINC000046146963 346421242 /nfs/dbraw/zinc/42/12/42/346421242.db2.gz MRMDXVKYFUMMNE-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN O=C(Cc1cccc(F)c1)NCc1ccccc1[N+](=O)[O-] ZINC000046136554 346421254 /nfs/dbraw/zinc/42/12/54/346421254.db2.gz FSQRDERCQMHSSF-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN O=C(CCc1ccccc1)NCc1ccccc1[N+](=O)[O-] ZINC000046136740 346421488 /nfs/dbraw/zinc/42/14/88/346421488.db2.gz SUFXYHIVIOBGIA-UHFFFAOYSA-N 0 0 284.315 2.844 20 5 CFBDRN Cc1cc2cc(NC(=O)c3cc([N+](=O)[O-])c[nH]3)ccc2[nH]1 ZINC000046374186 346426589 /nfs/dbraw/zinc/42/65/89/346426589.db2.gz MXXUHDICOLVAJG-UHFFFAOYSA-N 0 0 284.275 2.965 20 5 CFBDRN C[C@H](C1CC1)N(C(=O)c1ccc([N+](=O)[O-])o1)C1CC1 ZINC000047448197 346451534 /nfs/dbraw/zinc/45/15/34/346451534.db2.gz ZICXNWLXMHMUBA-MRVPVSSYSA-N 0 0 264.281 2.591 20 5 CFBDRN O=C(Nc1ccncc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048315326 346471180 /nfs/dbraw/zinc/47/11/80/346471180.db2.gz YFGVRSXUCOGTIU-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1nc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)sc1C ZINC000195040730 232515856 /nfs/dbraw/zinc/51/58/56/232515856.db2.gz PIFRTDBRYXQHDG-UHFFFAOYSA-N 0 0 278.337 2.790 20 5 CFBDRN O=C(CCNc1ccc([N+](=O)[O-])s1)NC1CCCCC1 ZINC000048999855 346478331 /nfs/dbraw/zinc/47/83/31/346478331.db2.gz ZTPJZAYBCIAKHI-UHFFFAOYSA-N 0 0 297.380 2.907 20 5 CFBDRN O=C(NCCc1ccc(Cl)s1)c1cc([N+](=O)[O-])c[nH]1 ZINC000049008158 346478372 /nfs/dbraw/zinc/47/83/72/346478372.db2.gz CVWXYHTZPCKLHX-UHFFFAOYSA-N 0 0 299.739 2.610 20 5 CFBDRN C[C@H]1CN(CCCNc2ccc([N+](=O)[O-])s2)C[C@H](C)O1 ZINC000048999598 346478441 /nfs/dbraw/zinc/47/84/41/346478441.db2.gz LGJHUYSWVOHJRH-QWRGUYRKSA-N 0 0 299.396 2.568 20 5 CFBDRN Cc1ccc(CNC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000049071741 346478832 /nfs/dbraw/zinc/47/88/32/346478832.db2.gz VLGIFBVSWWALBY-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCN(Cc2ccco2)CC1 ZINC000049056763 346478924 /nfs/dbraw/zinc/47/89/24/346478924.db2.gz NSNZTCZPPMNSCR-UHFFFAOYSA-N 0 0 293.348 2.572 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCc1cn2ccccc2n1 ZINC000049056840 346479138 /nfs/dbraw/zinc/47/91/38/346479138.db2.gz DDMKLSMMDGXPCC-UHFFFAOYSA-N 0 0 288.332 2.959 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)c1ccc(Cl)s1 ZINC000049418769 346483369 /nfs/dbraw/zinc/48/33/69/346483369.db2.gz NNJQJDUODYCXDW-UHFFFAOYSA-N 0 0 285.712 2.698 20 5 CFBDRN CCc1cc(Oc2ccc([N+](=O)[O-])c(C)c2)n2ncnc2n1 ZINC000051378949 346503196 /nfs/dbraw/zinc/50/31/96/346503196.db2.gz DGEBBXPYBFVING-UHFFFAOYSA-N 0 0 299.290 2.696 20 5 CFBDRN CN(Cc1ccccn1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000050076518 346488812 /nfs/dbraw/zinc/48/88/12/346488812.db2.gz WLZYQNHTWWWDMD-UHFFFAOYSA-N 0 0 286.291 2.654 20 5 CFBDRN CC(=O)c1ccc(NCc2ccnc(C)n2)c([N+](=O)[O-])c1 ZINC000052489569 346522816 /nfs/dbraw/zinc/52/28/16/346522816.db2.gz DQNVZFPSZQMIIJ-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CCC(CC)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054126716 346560779 /nfs/dbraw/zinc/56/07/79/346560779.db2.gz NRKOEWJPHRENLC-UHFFFAOYSA-N 0 0 254.261 2.652 20 5 CFBDRN CCC(CC)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052604691 346525211 /nfs/dbraw/zinc/52/52/11/346525211.db2.gz FWVZYUXRUXTUMR-UHFFFAOYSA-N 0 0 275.308 2.995 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CC1 ZINC000052610816 346525419 /nfs/dbraw/zinc/52/54/19/346525419.db2.gz FHXCETRVGSHJKA-QMMMGPOBSA-N 0 0 273.292 2.604 20 5 CFBDRN CC[C@H](C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052602229 346525463 /nfs/dbraw/zinc/52/54/63/346525463.db2.gz JMNDPQPVOIXCAS-QMMMGPOBSA-N 0 0 261.281 2.604 20 5 CFBDRN O=C(NCCc1ccco1)Nc1ccc([N+](=O)[O-])cc1 ZINC000052660196 346526380 /nfs/dbraw/zinc/52/63/80/346526380.db2.gz KKDYVYIQONDHDA-UHFFFAOYSA-N 0 0 275.264 2.552 20 5 CFBDRN Cc1ccc(C(N)=O)c(OCc2ccccc2[N+](=O)[O-])c1 ZINC000054248636 346564110 /nfs/dbraw/zinc/56/41/10/346564110.db2.gz LEJZTTBKQVTYNO-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN CC[C@@H]1CCCN(c2ccc(C(=O)NC)cc2[N+](=O)[O-])C1 ZINC000053560050 346549472 /nfs/dbraw/zinc/54/94/72/346549472.db2.gz UMCVSZSUJBOBMG-LLVKDONJSA-N 0 0 291.351 2.581 20 5 CFBDRN CC1CCC(N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000053638670 346550870 /nfs/dbraw/zinc/55/08/70/346550870.db2.gz BFIPFGUBOOGDCI-UHFFFAOYSA-N 0 0 265.313 2.574 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc2ccccc2c(=O)[nH]1 ZINC000053846175 346553160 /nfs/dbraw/zinc/55/31/60/346553160.db2.gz PZXLTLWNXNBLEZ-UHFFFAOYSA-N 0 0 281.271 2.807 20 5 CFBDRN O=C(Cc1cccc(F)c1)NCc1ccc([N+](=O)[O-])cc1 ZINC000055796927 346596818 /nfs/dbraw/zinc/59/68/18/346596818.db2.gz NEHDWGKNQFERBB-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1noc2c1CCCC2 ZINC000056058248 346603348 /nfs/dbraw/zinc/60/33/48/346603348.db2.gz ZXZVDQGUBITMFV-UHFFFAOYSA-N 0 0 287.275 2.714 20 5 CFBDRN Cc1ccccc1C[C@H](C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000056333119 346606582 /nfs/dbraw/zinc/60/65/82/346606582.db2.gz IRNFMAVNSZPSBZ-NSHDSACASA-N 0 0 287.319 2.592 20 5 CFBDRN Cc1cc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000056331583 346606712 /nfs/dbraw/zinc/60/67/12/346606712.db2.gz OSXQDFJSOBPKSE-SECBINFHSA-N 0 0 290.279 2.774 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000056442745 346608905 /nfs/dbraw/zinc/60/89/05/346608905.db2.gz QJSUJIPVYJRLGY-UHFFFAOYSA-N 0 0 275.308 2.995 20 5 CFBDRN CN(Cc1ccccn1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000057228317 346623009 /nfs/dbraw/zinc/62/30/09/346623009.db2.gz ZKAOLWUJFVFJIT-JXMROGBWSA-N 0 0 297.314 2.662 20 5 CFBDRN CN(CC1CC1)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000058527363 346645618 /nfs/dbraw/zinc/64/56/18/346645618.db2.gz RCNHBTKCBFPKPX-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN O=C(CNc1ccc([N+](=O)[O-])s1)NC1CCCCC1 ZINC000058543811 346646243 /nfs/dbraw/zinc/64/62/43/346646243.db2.gz BELXMLICNJVTFE-UHFFFAOYSA-N 0 0 283.353 2.517 20 5 CFBDRN CN(Cc1ccccn1)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000058568377 346646247 /nfs/dbraw/zinc/64/62/47/346646247.db2.gz YDFJIPRBFKMLMX-CMDGGOBGSA-N 0 0 297.314 2.662 20 5 CFBDRN CCO[C@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000060082097 346659440 /nfs/dbraw/zinc/65/94/40/346659440.db2.gz WJEXCSQOXOZGPY-NSHDSACASA-N 0 0 250.298 2.600 20 5 CFBDRN CCOC1CCN(Cc2csc([N+](=O)[O-])c2)CC1 ZINC000060484535 346667022 /nfs/dbraw/zinc/66/70/22/346667022.db2.gz IOMSLIRTXWLGTG-UHFFFAOYSA-N 0 0 270.354 2.657 20 5 CFBDRN C[C@H](NC(=O)CCc1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000057283100 346624335 /nfs/dbraw/zinc/62/43/35/346624335.db2.gz VYBQPDSEHIDGKE-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN C/C=C/C=C/C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000057566022 346631100 /nfs/dbraw/zinc/63/11/00/346631100.db2.gz AFNQSAVGABANQX-VNKDHWASSA-N 0 0 262.265 2.674 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCN(CC(F)(F)F)C2)s1 ZINC000057855238 346638506 /nfs/dbraw/zinc/63/85/06/346638506.db2.gz PRXZWSMAVLGWRT-SSDOTTSWSA-N 0 0 295.286 2.705 20 5 CFBDRN Cc1cc(C)c(CNc2ncc([N+](=O)[O-])c(N)n2)c(C)c1 ZINC000063736292 346709031 /nfs/dbraw/zinc/70/90/31/346709031.db2.gz PQKUTAPWYOVHBV-UHFFFAOYSA-N 0 0 287.323 2.504 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1csc(C(C)C)n1 ZINC000064332363 346717807 /nfs/dbraw/zinc/71/78/07/346717807.db2.gz YYKXTZMOMCPJSJ-UHFFFAOYSA-N 0 0 266.326 2.728 20 5 CFBDRN CSc1ccc(Cn2c(C)ncc2[N+](=O)[O-])cc1 ZINC000064332099 346717855 /nfs/dbraw/zinc/71/78/55/346717855.db2.gz BPEOGIHIDBJSRO-UHFFFAOYSA-N 0 0 263.322 2.870 20 5 CFBDRN Cn1cc(CCNc2c(Cl)cccc2[N+](=O)[O-])cn1 ZINC000128440590 187370883 /nfs/dbraw/zinc/37/08/83/187370883.db2.gz RGLMJUGQWFPAGD-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN CC(C)n1cc(NC(=O)Cc2ccccc2[N+](=O)[O-])cn1 ZINC000066390022 346758056 /nfs/dbraw/zinc/75/80/56/346758056.db2.gz MWKFJVDNNNICMX-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCOc1cccc(F)c1 ZINC000066810686 346780417 /nfs/dbraw/zinc/78/04/17/346780417.db2.gz KNUISRXKWGHACA-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN COCCOc1cccc(Nc2ccncc2[N+](=O)[O-])c1 ZINC000066809823 346780507 /nfs/dbraw/zinc/78/05/07/346780507.db2.gz VEOQJIHFOOGBDR-UHFFFAOYSA-N 0 0 289.291 2.759 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCSC2(CCOCC2)C1 ZINC000274472099 136629131 /nfs/dbraw/zinc/62/91/31/136629131.db2.gz MOWZXMCJQTXKCO-UHFFFAOYSA-N 0 0 294.376 2.697 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nc(-c2ccc(C)cc2)no1 ZINC000065694071 346738674 /nfs/dbraw/zinc/73/86/74/346738674.db2.gz IAALFBNFAIELDT-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=[N+]([O-])c1cnccc1Sc1ncn(-c2ccccc2)n1 ZINC000066797541 346779562 /nfs/dbraw/zinc/77/95/62/346779562.db2.gz RSVBIYFBYLPTQG-UHFFFAOYSA-N 0 0 299.315 2.722 20 5 CFBDRN Cc1ccc(F)cc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067072479 346790921 /nfs/dbraw/zinc/79/09/21/346790921.db2.gz UKTRKUAAQOTREG-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CCCCOCCCNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000067126906 346793061 /nfs/dbraw/zinc/79/30/61/346793061.db2.gz HCHIPSOAMKLELB-UHFFFAOYSA-N 0 0 294.351 2.840 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000067509156 346806786 /nfs/dbraw/zinc/80/67/86/346806786.db2.gz ZNWWEYCNVVPQMK-LLVKDONJSA-N 0 0 279.340 2.559 20 5 CFBDRN Cc1nc(N2CCC(C(C)C)CC2)ncc1[N+](=O)[O-] ZINC000296910234 136691583 /nfs/dbraw/zinc/69/15/83/136691583.db2.gz ADWYVEGMNGPVKW-UHFFFAOYSA-N 0 0 264.329 2.566 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C[C@@H](C)O1 ZINC000287295256 136672990 /nfs/dbraw/zinc/67/29/90/136672990.db2.gz LEFAXAJSGMSZDA-ZWNOBZJWSA-N 0 0 292.335 2.801 20 5 CFBDRN CCC[C@H]1CCCCN1c1ncc([N+](=O)[O-])c(C)n1 ZINC000294898086 136685448 /nfs/dbraw/zinc/68/54/48/136685448.db2.gz GVISFJPXHNELRG-NSHDSACASA-N 0 0 264.329 2.852 20 5 CFBDRN CCC1(C)CCN(c2ncc([N+](=O)[O-])c(C)n2)CC1 ZINC000295583313 136688143 /nfs/dbraw/zinc/68/81/43/136688143.db2.gz SIMMQDJMKUJVFA-UHFFFAOYSA-N 0 0 264.329 2.710 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000076291023 347132965 /nfs/dbraw/zinc/13/29/65/347132965.db2.gz SNVQQADCMAWVOB-GFCCVEGCSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ncsc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000077580884 347201717 /nfs/dbraw/zinc/20/17/17/347201717.db2.gz XHJXJGNORJAMBX-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000077237702 347179844 /nfs/dbraw/zinc/17/98/44/347179844.db2.gz AJTALGPVMCPILV-DOMZBBRYSA-N 0 0 292.335 2.760 20 5 CFBDRN O=C(NCc1cc2ccccc2o1)c1cc([N+](=O)[O-])c[nH]1 ZINC000077721979 347211869 /nfs/dbraw/zinc/21/18/69/347211869.db2.gz PIIYWCCQLLZBTR-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN COC(C)(C)C[C@@H](C)Nc1ccc([N+](=O)[O-])nc1 ZINC000078402205 347250113 /nfs/dbraw/zinc/25/01/13/347250113.db2.gz QRJZGDYQBFTRNO-SECBINFHSA-N 0 0 253.302 2.605 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000078426078 347251959 /nfs/dbraw/zinc/25/19/59/347251959.db2.gz ALYUIPFICIPLBI-IUCAKERBSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1cccc(O[C@@H](C)CNc2c([N+](=O)[O-])ncn2C)c1 ZINC000078424460 347252154 /nfs/dbraw/zinc/25/21/54/347252154.db2.gz JJSTWIAVBBLMHW-NSHDSACASA-N 0 0 290.323 2.516 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000077991034 347223569 /nfs/dbraw/zinc/22/35/69/347223569.db2.gz CGBIIWDXAFEQOD-MRVPVSSYSA-N 0 0 276.296 2.638 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nccn2C(F)F)c1 ZINC000078264573 347236037 /nfs/dbraw/zinc/23/60/37/347236037.db2.gz VCTLWKUBRFUFFP-UHFFFAOYSA-N 0 0 269.207 2.765 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CCC[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC000078304606 347239202 /nfs/dbraw/zinc/23/92/02/347239202.db2.gz BDYJMVAGIZFIIU-DGCLKSJQSA-N 0 0 292.335 2.760 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cn1)c1ccncc1 ZINC000078306087 347239998 /nfs/dbraw/zinc/23/99/98/347239998.db2.gz GWLKTXCOGGODOJ-LBPRGKRZSA-N 0 0 258.281 2.948 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])s1)c1cnn(C)c1 ZINC000078306949 347240343 /nfs/dbraw/zinc/24/03/43/347240343.db2.gz TYIAOMJZOIVKLJ-ZETCQYMHSA-N 0 0 252.299 2.563 20 5 CFBDRN COCCCn1ccc(Nc2ccccc2[N+](=O)[O-])n1 ZINC000078334455 347241480 /nfs/dbraw/zinc/24/14/80/347241480.db2.gz LHBHNFQAUZBJCJ-UHFFFAOYSA-N 0 0 276.296 2.571 20 5 CFBDRN C[C@@H]1CCCN(c2nc3sccn3c2[N+](=O)[O-])[C@@H]1C ZINC000078335209 347242380 /nfs/dbraw/zinc/24/23/80/347242380.db2.gz RDQVHEZLLHPJCN-RKDXNWHRSA-N 0 0 280.353 2.929 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1cccc(F)n1 ZINC000078454549 347253526 /nfs/dbraw/zinc/25/35/26/347253526.db2.gz ATUNEHOFPYSHMO-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN CC(C)OC(=O)CSc1ccc([N+](=O)[O-])cc1F ZINC000078361161 347245893 /nfs/dbraw/zinc/24/58/93/347245893.db2.gz XZGXHOSXRPXFHE-UHFFFAOYSA-N 0 0 273.285 2.778 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1ncccc1[N+](=O)[O-] ZINC000078454369 347253570 /nfs/dbraw/zinc/25/35/70/347253570.db2.gz HELNGDVHJHDBNQ-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN Cc1ccccc1[C@@H]1CN(c2ccc([N+](=O)[O-])nc2)CCO1 ZINC000078367172 347247062 /nfs/dbraw/zinc/24/70/62/347247062.db2.gz NSAYLJVFIHSZSY-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1nn(C)c(N[C@H]2C[C@@]2(C)c2ccccc2)c1[N+](=O)[O-] ZINC000078374097 347247452 /nfs/dbraw/zinc/24/74/52/347247452.db2.gz BZGRVRCVKWEODQ-WFASDCNBSA-N 0 0 286.335 2.779 20 5 CFBDRN Cc1nc(C)c(CNc2nccc(C)c2[N+](=O)[O-])s1 ZINC000078380921 347248237 /nfs/dbraw/zinc/24/82/37/347248237.db2.gz FDVCQTDMSCVQBZ-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN CCC[C@@H]1C[C@@H]1C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000079320568 347298613 /nfs/dbraw/zinc/29/86/13/347298613.db2.gz VGGCSUAHJOAXOG-RISCZKNCSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1cc(C)cc(C[C@@H](C)Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000078457701 347254644 /nfs/dbraw/zinc/25/46/44/347254644.db2.gz HINQMIIUQRANKS-GFCCVEGCSA-N 0 0 288.351 2.988 20 5 CFBDRN COC(C)(C)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000078471383 347255669 /nfs/dbraw/zinc/25/56/69/347255669.db2.gz CQFMZKYZRYJGRZ-UHFFFAOYSA-N 0 0 266.297 2.634 20 5 CFBDRN CC(C)Cn1cc(Nc2ccc([N+](=O)[O-])cn2)cn1 ZINC000078521893 347260057 /nfs/dbraw/zinc/26/00/57/347260057.db2.gz SBSOFSQAPXSOMA-UHFFFAOYSA-N 0 0 261.285 2.586 20 5 CFBDRN CCOc1ncccc1CNc1ncc(C)cc1[N+](=O)[O-] ZINC000078629346 347266425 /nfs/dbraw/zinc/26/64/25/347266425.db2.gz PBCROGKJKZZUFT-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1cnc(Oc2ccc3c(c2)CCC(=O)N3)c([N+](=O)[O-])c1 ZINC000078628464 347266637 /nfs/dbraw/zinc/26/66/37/347266637.db2.gz AXRXGPFTXYMFRA-UHFFFAOYSA-N 0 0 299.286 2.975 20 5 CFBDRN Cc1cnc(N(C)[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 ZINC000078655457 347267257 /nfs/dbraw/zinc/26/72/57/347267257.db2.gz MTDIVWGEABIVFP-NSHDSACASA-N 0 0 272.308 2.891 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078669718 347269009 /nfs/dbraw/zinc/26/90/09/347269009.db2.gz PKBHYNFRTXBHLU-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN CCC[C@H](CCO)CNc1ccc([N+](=O)[O-])s1 ZINC000078673219 347269766 /nfs/dbraw/zinc/26/97/66/347269766.db2.gz AEDREZHKIDMABJ-SECBINFHSA-N 0 0 258.343 2.867 20 5 CFBDRN CC(C)Oc1cccc(Cn2cnc([N+](=O)[O-])c2)c1 ZINC000078937309 347280302 /nfs/dbraw/zinc/28/03/02/347280302.db2.gz IOEYLLKBTRGOGI-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN CCc1ccc(OCCOC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000078983314 347282656 /nfs/dbraw/zinc/28/26/56/347282656.db2.gz DLPWLTKZAFGCSN-CYBMUJFWSA-N 0 0 295.335 2.732 20 5 CFBDRN Cc1nn(C)c(N(C)CC2CCCCC2)c1[N+](=O)[O-] ZINC000079853283 347329101 /nfs/dbraw/zinc/32/91/01/347329101.db2.gz KIKSUKPMEBNEIR-UHFFFAOYSA-N 0 0 266.345 2.653 20 5 CFBDRN CCOc1ccc(C(=O)NC2CC(C)C2)cc1[N+](=O)[O-] ZINC000080042695 347338953 /nfs/dbraw/zinc/33/89/53/347338953.db2.gz HOBAZSPWFWBVPX-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cc(C(=O)OC2CCCC2)ccc1[N+](=O)[O-] ZINC000102124759 185770444 /nfs/dbraw/zinc/77/04/44/185770444.db2.gz KGFBIZPHJYHDSE-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@@H]1c1ccc[nH]1 ZINC000079446900 347304882 /nfs/dbraw/zinc/30/48/82/347304882.db2.gz UMBUZMFRUOJRII-SNVBAGLBSA-N 0 0 291.332 2.962 20 5 CFBDRN CCC[C@H]1[C@@H](C)CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000081179298 347406464 /nfs/dbraw/zinc/40/64/64/347406464.db2.gz DNDQUOWAKVHDJL-ONGXEEELSA-N 0 0 294.355 2.667 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1nnc2ccccn21 ZINC000080535220 347364445 /nfs/dbraw/zinc/36/44/45/347364445.db2.gz UVSLEDZGAFMBRN-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1ccc(N(C)CCc2ccccn2)c([N+](=O)[O-])c1 ZINC000080530587 347364466 /nfs/dbraw/zinc/36/44/66/347364466.db2.gz DHXKOYWCYYSIKL-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CNC(=O)C1CCC(Nc2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000080607376 347369571 /nfs/dbraw/zinc/36/95/71/347369571.db2.gz ZWJVMYSRZBHGMR-UHFFFAOYSA-N 0 0 291.351 2.620 20 5 CFBDRN Cc1ccc(NCCCN2CCCCC2=O)c([N+](=O)[O-])c1 ZINC000080617006 347370362 /nfs/dbraw/zinc/37/03/62/347370362.db2.gz WPBOCFAIFXYYQT-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN CC(C)(C)c1cnc(CNc2ccc([N+](=O)[O-])cn2)o1 ZINC000080674223 347373494 /nfs/dbraw/zinc/37/34/94/347373494.db2.gz XFSHOVHQJQIXGS-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN CCOC(=O)[C@H](CC)Sc1cc(C)c([N+](=O)[O-])cn1 ZINC000080678395 347374151 /nfs/dbraw/zinc/37/41/51/347374151.db2.gz XHYLZNWYPPEIAF-JTQLQIEISA-N 0 0 284.337 2.732 20 5 CFBDRN Cc1ccnc(N2CCSC(C)(C)C2)c1[N+](=O)[O-] ZINC000081032605 347398798 /nfs/dbraw/zinc/39/87/98/347398798.db2.gz PQASUVZVVPVLEN-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN O=C(NCCC1CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000081037579 347399474 /nfs/dbraw/zinc/39/94/74/347399474.db2.gz SVUQZYCIQFWTPU-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000081589782 347428190 /nfs/dbraw/zinc/42/81/90/347428190.db2.gz KTDIKLHCMPZXTJ-CHWSQXEVSA-N 0 0 288.307 2.517 20 5 CFBDRN CC(C)n1ccc(CNCc2cccc([N+](=O)[O-])c2)n1 ZINC000084225502 347460342 /nfs/dbraw/zinc/46/03/42/347460342.db2.gz JNMCKNCYTWGEHS-UHFFFAOYSA-N 0 0 274.324 2.662 20 5 CFBDRN COc1cc(/C=C/c2ccc([N+](=O)[O-])cn2)ccc1O ZINC000084503319 347466643 /nfs/dbraw/zinc/46/66/43/347466643.db2.gz GLKJZHBMHNAXMN-DUXPYHPUSA-N 0 0 272.260 2.874 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776207 347474918 /nfs/dbraw/zinc/47/49/18/347474918.db2.gz MGGQDEDWUURMBL-LDYMZIIASA-N 0 0 271.276 2.738 20 5 CFBDRN CCOC1CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000088702662 347506992 /nfs/dbraw/zinc/50/69/92/347506992.db2.gz KRPNJJFPPWOUOO-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H](C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000088715914 347507810 /nfs/dbraw/zinc/50/78/10/347507810.db2.gz NTHUZICOZLYUAO-WDEREUQCSA-N 0 0 279.340 2.618 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2nnc3ccccn32)c1 ZINC000088716907 347507901 /nfs/dbraw/zinc/50/79/01/347507901.db2.gz RXAZIOQFZSGIOU-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@]2(C)CO)c1 ZINC000088725871 347508732 /nfs/dbraw/zinc/50/87/32/347508732.db2.gz PEYYWNGBXQGQPS-UONOGXRCSA-N 0 0 264.325 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](O)C2)nc1-c1ccccc1 ZINC000090065791 347538249 /nfs/dbraw/zinc/53/82/49/347538249.db2.gz BFVAOBSXEZFBSA-CYBMUJFWSA-N 0 0 299.330 2.618 20 5 CFBDRN Cc1ccc2c(c1)CCN2c1ccc([N+](=O)[O-])cn1 ZINC000091020550 347567076 /nfs/dbraw/zinc/56/70/76/347567076.db2.gz FKXFCVJLRGHQGN-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN CC[C@H](CSC)N(C)c1ncc(C)cc1[N+](=O)[O-] ZINC000091022975 347567239 /nfs/dbraw/zinc/56/72/39/347567239.db2.gz ZSNUTQHJUULPQG-SNVBAGLBSA-N 0 0 269.370 2.876 20 5 CFBDRN CC[C@@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000091919169 347603035 /nfs/dbraw/zinc/60/30/35/347603035.db2.gz CMIXNWITCDZBIU-LLVKDONJSA-N 0 0 264.325 2.922 20 5 CFBDRN COc1cc(N(C)Cc2ccccn2)c([N+](=O)[O-])cc1F ZINC000091456326 347587749 /nfs/dbraw/zinc/58/77/49/347587749.db2.gz CMLBBFPUPMLLRD-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc(-n3cncn3)c2)cc1 ZINC000091484246 347589955 /nfs/dbraw/zinc/58/99/55/347589955.db2.gz CVKYUNMEKCEEAB-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000091729835 347596406 /nfs/dbraw/zinc/59/64/06/347596406.db2.gz ILRIMJNMQMQAPZ-NSHDSACASA-N 0 0 280.372 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1nc2ccccc2n1C ZINC000092583565 347633044 /nfs/dbraw/zinc/63/30/44/347633044.db2.gz CUGLTCRFUIKWHW-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1nc(CNc2ncc([N+](=O)[O-])cc2C)sc1C ZINC000092662588 347638164 /nfs/dbraw/zinc/63/81/64/347638164.db2.gz RCCIYDTXGCQQEU-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN COC(=O)C(C)(C)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000092677447 347639942 /nfs/dbraw/zinc/63/99/42/347639942.db2.gz BEKAJZPXFQARII-UHFFFAOYSA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1ccnc([C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C2CC2)n1 ZINC000092692412 347641625 /nfs/dbraw/zinc/64/16/25/347641625.db2.gz YLAAVEBIFSOVRS-CQSZACIVSA-N 0 0 299.334 2.960 20 5 CFBDRN CCOC(=O)C1(C)CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000092697489 347642221 /nfs/dbraw/zinc/64/22/21/347642221.db2.gz RQZGDEDPZMNJQI-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]2C(C)(C)O)c1 ZINC000092693196 347642468 /nfs/dbraw/zinc/64/24/68/347642468.db2.gz CPUDUPNFLRJHDD-CYBMUJFWSA-N 0 0 264.325 2.643 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1cccc(F)c1Cl ZINC000092855658 347648944 /nfs/dbraw/zinc/64/89/44/347648944.db2.gz WDELOVKJNGVJPX-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN C[C@@H]1CC[C@H](C)C[N@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000093498132 347677080 /nfs/dbraw/zinc/67/70/80/347677080.db2.gz GVEBQYCVMFOHTI-WDEREUQCSA-N 0 0 264.325 2.921 20 5 CFBDRN CCOC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] ZINC000103221334 185817336 /nfs/dbraw/zinc/81/73/36/185817336.db2.gz ANXXSUIOHXTUGC-UHFFFAOYSA-N 0 0 271.294 2.502 20 5 CFBDRN CCc1nn(C)c(NCc2cc(C(C)C)no2)c1[N+](=O)[O-] ZINC000094159397 347693344 /nfs/dbraw/zinc/69/33/44/347693344.db2.gz LMRQUEMXOPGMNY-UHFFFAOYSA-N 0 0 293.327 2.614 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000102288066 347717266 /nfs/dbraw/zinc/71/72/66/347717266.db2.gz GQXOEPAGYOQNKO-UHFFFAOYSA-N 0 0 274.276 2.850 20 5 CFBDRN CC(C)N1CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000114987980 347776289 /nfs/dbraw/zinc/77/62/89/347776289.db2.gz RZCRPRFBKSBAGG-UHFFFAOYSA-N 0 0 283.759 2.779 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2c([N+](=O)[O-])ncn2C)[C@H](C)C1 ZINC000112193215 347759373 /nfs/dbraw/zinc/75/93/73/347759373.db2.gz RBBAKYYUJRFCLO-BBBLOLIVSA-N 0 0 252.318 2.565 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1ccc([N+](=O)[O-])o1)CC2 ZINC000121985081 347813911 /nfs/dbraw/zinc/81/39/11/347813911.db2.gz ZAMAUIUNXKXXJG-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NC1CC=CC1 ZINC000125915473 347831094 /nfs/dbraw/zinc/83/10/94/347831094.db2.gz YYWRXYLFUXOSMY-UHFFFAOYSA-N 0 0 278.333 2.522 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000122158903 347815059 /nfs/dbraw/zinc/81/50/59/347815059.db2.gz NVZVYWYTMSIQOB-AWEZNQCLSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1nc(NCC2(O)CCC(C)CC2)ccc1[N+](=O)[O-] ZINC000124008285 347822134 /nfs/dbraw/zinc/82/21/34/347822134.db2.gz LLAXNIVSFWYIHF-UHFFFAOYSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CC2(O)CCCC2)c1 ZINC000124192877 347822937 /nfs/dbraw/zinc/82/29/37/347822937.db2.gz IPKXLYOCOHCHFY-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000127153423 347839703 /nfs/dbraw/zinc/83/97/03/347839703.db2.gz UJFBXOAOMOAKGY-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Br)C1CC1 ZINC000086482038 179301081 /nfs/dbraw/zinc/30/10/81/179301081.db2.gz SFTMSHBJUNEHGO-ZCFIWIBFSA-N 0 0 286.129 2.963 20 5 CFBDRN O=C(NCc1ccncc1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000127800360 347844333 /nfs/dbraw/zinc/84/43/33/347844333.db2.gz QRHBMEWYITWVNG-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CSCCCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000128407496 347851122 /nfs/dbraw/zinc/85/11/22/347851122.db2.gz JUWDIZWUCXMQHX-UHFFFAOYSA-N 0 0 254.311 2.677 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)c1cc(C)c(F)c(C)c1 ZINC000126559149 347835643 /nfs/dbraw/zinc/83/56/43/347835643.db2.gz QBEJJUIPHCACBT-UHFFFAOYSA-N 0 0 291.282 2.739 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)C2CCC2)ccc1[N+](=O)[O-] ZINC000129683389 347863429 /nfs/dbraw/zinc/86/34/29/347863429.db2.gz OMMSTHIWMLUSMH-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN CC(C)c1noc(-c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)n1 ZINC000130618440 347873028 /nfs/dbraw/zinc/87/30/28/347873028.db2.gz MPBAPMPFRZVRQH-UHFFFAOYSA-N 0 0 299.290 2.954 20 5 CFBDRN CCC[C@@H](C)NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000133421537 347891830 /nfs/dbraw/zinc/89/18/30/347891830.db2.gz MXPFCUWREKGJGN-LLVKDONJSA-N 0 0 289.335 2.854 20 5 CFBDRN CCOCCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000086624939 179312392 /nfs/dbraw/zinc/31/23/92/179312392.db2.gz KGUQRXIQMXJACE-UHFFFAOYSA-N 0 0 266.297 2.577 20 5 CFBDRN CSCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000134398914 347897699 /nfs/dbraw/zinc/89/76/99/347897699.db2.gz LBLDMEYCIWNHJF-SECBINFHSA-N 0 0 297.380 2.512 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cccc2cccnc21 ZINC000138086119 347914903 /nfs/dbraw/zinc/91/49/03/347914903.db2.gz ZBZUMZZQTQRSCO-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1cccc(C)c1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000138086657 347915057 /nfs/dbraw/zinc/91/50/57/347915057.db2.gz IZFKUURQLQZLOR-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2ccccc2CC1 ZINC000139378469 347919865 /nfs/dbraw/zinc/91/98/65/347919865.db2.gz KTDVQMANEKVWLS-UHFFFAOYSA-N 0 0 269.304 2.595 20 5 CFBDRN C[C@H]1C[C@@H]1N(Cc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000411927725 232807531 /nfs/dbraw/zinc/80/75/31/232807531.db2.gz SUOICDJJPRMGOH-AAEUAGOBSA-N 0 0 286.335 2.743 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC[C@H]1CC12CC2 ZINC000416722220 282580310 /nfs/dbraw/zinc/58/03/10/282580310.db2.gz GZRKZAZJNCKZQD-GFCCVEGCSA-N 0 0 289.335 2.536 20 5 CFBDRN COc1ccc(CCNc2cccnc2[N+](=O)[O-])cc1 ZINC000159278976 348011062 /nfs/dbraw/zinc/01/10/62/348011062.db2.gz DNHOKGFBQIMJJX-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCCOc1ccccc1 ZINC000159314896 348012575 /nfs/dbraw/zinc/01/25/75/348012575.db2.gz WPPVMFLLALWDGJ-UHFFFAOYSA-N 0 0 273.292 2.871 20 5 CFBDRN CCn1nccc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000160183022 348016184 /nfs/dbraw/zinc/01/61/84/348016184.db2.gz WTDZLGJAAURLMK-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161899982 348027060 /nfs/dbraw/zinc/02/70/60/348027060.db2.gz QMXTWQINOWLXAP-MWLCHTKSSA-N 0 0 260.293 2.530 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1CC=CC1 ZINC000154470253 347979835 /nfs/dbraw/zinc/97/98/35/347979835.db2.gz KBXYWYIDJQALHL-JTQLQIEISA-N 0 0 292.360 2.910 20 5 CFBDRN O=C(Nc1cnc(C2CC2)nc1)c1ccc([N+](=O)[O-])s1 ZINC000171185415 348071406 /nfs/dbraw/zinc/07/14/06/348071406.db2.gz FDBGVFYENPINHN-UHFFFAOYSA-N 0 0 290.304 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@H]3CCCSC3)c2c1 ZINC000167232059 348045340 /nfs/dbraw/zinc/04/53/40/348045340.db2.gz QDRVSRYGVSYZNC-VIFPVBQESA-N 0 0 290.348 2.846 20 5 CFBDRN CC1(Nc2ncnc3ccc([N+](=O)[O-])cc32)CCC1 ZINC000167235286 348045393 /nfs/dbraw/zinc/04/53/93/348045393.db2.gz ILVIJQRMAOMDCZ-UHFFFAOYSA-N 0 0 258.281 2.893 20 5 CFBDRN Cn1ccc(COc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000168670004 348049259 /nfs/dbraw/zinc/04/92/59/348049259.db2.gz LSVZHEAHOCHNGU-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN CCC(CC)CNC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000169116403 348050152 /nfs/dbraw/zinc/05/01/52/348050152.db2.gz KOEFYGKPHQJCFF-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)NCc1cccc([N+](=O)[O-])c1 ZINC000171857684 348082601 /nfs/dbraw/zinc/08/26/01/348082601.db2.gz GLFLCQLRIZKNGZ-AWEZNQCLSA-N 0 0 292.335 2.560 20 5 CFBDRN C[C@H](NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000172185170 348087939 /nfs/dbraw/zinc/08/79/39/348087939.db2.gz PEIIACVJLHVSBO-NSHDSACASA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@H](O)CCNC(=O)c1cc2ccccc2c2cccnc12 ZINC000172342893 348091306 /nfs/dbraw/zinc/09/13/06/348091306.db2.gz IBAIARNKOLXBMZ-LBPRGKRZSA-N 0 0 294.354 2.889 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000270876125 190850966 /nfs/dbraw/zinc/85/09/66/190850966.db2.gz OHENYJGGVOVPKG-SECBINFHSA-N 0 0 291.307 2.878 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000172379938 348093005 /nfs/dbraw/zinc/09/30/05/348093005.db2.gz BYYVFIDJOJHMDB-LLVKDONJSA-N 0 0 279.340 2.686 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CC=CCC1 ZINC000172455440 348095115 /nfs/dbraw/zinc/09/51/15/348095115.db2.gz ZJUSTGIETCWEJJ-GFCCVEGCSA-N 0 0 275.308 2.503 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCCC[C@@H]1C ZINC000198626986 348150230 /nfs/dbraw/zinc/15/02/30/348150230.db2.gz QTSZXGFHAIJUPS-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN CC(C)OCCCNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000208684245 348156998 /nfs/dbraw/zinc/15/69/98/348156998.db2.gz ZRLDYAXXZAYVHH-HJWRWDBZSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1cc(N[C@@H]2CC2(C)C)c(F)cc1[N+](=O)[O-] ZINC000218845343 348167923 /nfs/dbraw/zinc/16/79/23/348167923.db2.gz LKJBGPKGQBVYMQ-LLVKDONJSA-N 0 0 254.261 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCOCC2)c(F)c1 ZINC000086874260 179364585 /nfs/dbraw/zinc/36/45/85/179364585.db2.gz MVUJXMHVUIWLFG-SECBINFHSA-N 0 0 254.261 2.715 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1ncc[nH]1 ZINC000227831030 348190975 /nfs/dbraw/zinc/19/09/75/348190975.db2.gz DMXJZZBBWZSGKM-ZCFIWIBFSA-N 0 0 267.676 2.539 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000270892005 190856939 /nfs/dbraw/zinc/85/69/39/190856939.db2.gz QLFLXRBWPPHGAS-LLVKDONJSA-N 0 0 280.324 2.716 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@H]2CCCC[C@H]2O)c(F)c1 ZINC000227890768 348191692 /nfs/dbraw/zinc/19/16/92/348191692.db2.gz CNRPQSKEKVAOAM-PRHODGIISA-N 0 0 286.278 2.836 20 5 CFBDRN CSC1(CNc2ncc(C)cc2[N+](=O)[O-])CCC1 ZINC000227987899 348192736 /nfs/dbraw/zinc/19/27/36/348192736.db2.gz ATDNENGUGMJGMV-UHFFFAOYSA-N 0 0 267.354 2.996 20 5 CFBDRN COc1ccc(COc2cnn(C(C)C)c2)cc1[N+](=O)[O-] ZINC000228147991 348194063 /nfs/dbraw/zinc/19/40/63/348194063.db2.gz YGOBSYYCXYEFRS-UHFFFAOYSA-N 0 0 291.307 2.960 20 5 CFBDRN Cc1[nH]nc(NC(=O)Nc2cccc([N+](=O)[O-])c2)c1C ZINC000228373704 348195739 /nfs/dbraw/zinc/19/57/39/348195739.db2.gz RMWVDSXVKDTMGX-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN Cc1cc(NCC[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000230104560 348204451 /nfs/dbraw/zinc/20/44/51/348204451.db2.gz NWLAWTLLBCZUET-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN O=[N+]([O-])c1c(NCC(F)(F)C(F)F)nc2sccn21 ZINC000230712021 348207052 /nfs/dbraw/zinc/20/70/52/348207052.db2.gz KTYCZXJQHTYJAG-UHFFFAOYSA-N 0 0 298.221 2.616 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CC[C@H](OC)C2)c1 ZINC000231684420 348208781 /nfs/dbraw/zinc/20/87/81/348208781.db2.gz HOYYRASFTUVXLR-ZJUUUORDSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CC[C@@H](OC)C2)c1 ZINC000231684416 348208973 /nfs/dbraw/zinc/20/89/73/348208973.db2.gz HOYYRASFTUVXLR-VHSXEESVSA-N 0 0 266.297 2.583 20 5 CFBDRN CCc1cc(NCc2cccc([N+](=O)[O-])c2C)ncn1 ZINC000231868878 348209056 /nfs/dbraw/zinc/20/90/56/348209056.db2.gz MAWDFROTRBBMFN-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000248761950 348277005 /nfs/dbraw/zinc/27/70/05/348277005.db2.gz JSJDZYXMZDPYHL-ZSBIGDGJSA-N 0 0 292.335 2.589 20 5 CFBDRN CS[C@H](C)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000128831010 187393126 /nfs/dbraw/zinc/39/31/26/187393126.db2.gz NAEJBNQAHPAMOG-SSDOTTSWSA-N 0 0 271.298 2.666 20 5 CFBDRN COc1cc([N+](=O)[O-])c(C(=O)N(C)C2CC2)cc1SC ZINC000264031110 348317373 /nfs/dbraw/zinc/31/73/73/348317373.db2.gz MXBACXIDRAKMIH-UHFFFAOYSA-N 0 0 296.348 2.560 20 5 CFBDRN Cc1cc(NCCc2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000270204815 348330877 /nfs/dbraw/zinc/33/08/77/348330877.db2.gz FCRKVZNTZLZBQX-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1cccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000279342301 348339924 /nfs/dbraw/zinc/33/99/24/348339924.db2.gz HODSQUCLBRFLRZ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cccc(CCNc2cc(C)c([N+](=O)[O-])cn2)n1 ZINC000280436433 348341033 /nfs/dbraw/zinc/34/10/33/348341033.db2.gz KCCKOPWQMWAQTO-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN C[C@H]1C[C@H](c2ccccc2)N(c2ncc([N+](=O)[O-])c(N)n2)C1 ZINC000286229032 348346516 /nfs/dbraw/zinc/34/65/16/348346516.db2.gz CITKJEGXDKBPCH-CMPLNLGQSA-N 0 0 299.334 2.555 20 5 CFBDRN C[C@@H](O)[C@@H](C)Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000304333622 348379356 /nfs/dbraw/zinc/37/93/56/348379356.db2.gz HYPRKRXLHBZFTJ-PHDIDXHHSA-N 0 0 262.718 2.505 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@@H]1CCCCO1 ZINC000310764009 348410251 /nfs/dbraw/zinc/41/02/51/348410251.db2.gz QIBBBAXCQWOREQ-QMMMGPOBSA-N 0 0 271.704 2.624 20 5 CFBDRN Cc1cnc(N[C@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000310796458 348410530 /nfs/dbraw/zinc/41/05/30/348410530.db2.gz FSIDTCZCANZTAG-QMMMGPOBSA-N 0 0 257.240 2.898 20 5 CFBDRN CC[C@@H](CO)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000310895268 348411041 /nfs/dbraw/zinc/41/10/41/348411041.db2.gz ZTRFYYPBCPMHCO-QMMMGPOBSA-N 0 0 260.240 2.715 20 5 CFBDRN COc1cccc(N[C@@H]2CCS[C@@H]2C)c1[N+](=O)[O-] ZINC000310842720 348411073 /nfs/dbraw/zinc/41/10/73/348411073.db2.gz KWVSZWMVDQRISJ-RKDXNWHRSA-N 0 0 268.338 2.909 20 5 CFBDRN CCSCC[C@H](C)Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000310971901 348411854 /nfs/dbraw/zinc/41/18/54/348411854.db2.gz PQNGDZGRSNXKOO-QMMMGPOBSA-N 0 0 270.358 2.516 20 5 CFBDRN C[C@@H]1COCCN1CCSCc1ccc([N+](=O)[O-])cc1 ZINC000413004870 233000475 /nfs/dbraw/zinc/00/04/75/233000475.db2.gz YBISHPBGQXBYRD-GFCCVEGCSA-N 0 0 296.392 2.549 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000311815831 348414830 /nfs/dbraw/zinc/41/48/30/348414830.db2.gz JDAFNHFHEIQSOR-LLVKDONJSA-N 0 0 274.267 2.961 20 5 CFBDRN Cc1ccc(OCc2c([N+](=O)[O-])ncn2C)cc1Cl ZINC000413020748 233004154 /nfs/dbraw/zinc/00/41/54/233004154.db2.gz YMCVWURTKGHWEO-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Nc1ccc(N2CCC[C@@H]2C2CCCC2)nc1[N+](=O)[O-] ZINC000312917955 348421419 /nfs/dbraw/zinc/42/14/19/348421419.db2.gz CIGRBRJGRKMVRB-GFCCVEGCSA-N 0 0 276.340 2.731 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CC=CCC2)c(F)c1 ZINC000413086881 233016662 /nfs/dbraw/zinc/01/66/62/233016662.db2.gz QTBYRMRRTCMVOI-VIFPVBQESA-N 0 0 251.261 2.897 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1NC)C1CC1 ZINC000323670054 348438191 /nfs/dbraw/zinc/43/81/91/348438191.db2.gz BUUKBVBVWAKQAN-LLVKDONJSA-N 0 0 277.324 2.555 20 5 CFBDRN CC(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273380333 192133088 /nfs/dbraw/zinc/13/30/88/192133088.db2.gz IIBBGKIETAGORR-UHFFFAOYSA-N 0 0 255.249 2.511 20 5 CFBDRN CSCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273383630 192133687 /nfs/dbraw/zinc/13/36/87/192133687.db2.gz IUVBHFJHHZCQJF-UHFFFAOYSA-N 0 0 287.316 2.609 20 5 CFBDRN COc1cc(NCCCn2cccn2)c([N+](=O)[O-])cc1C ZINC000314783984 348428138 /nfs/dbraw/zinc/42/81/38/348428138.db2.gz CWCLRFHHNNFYKC-UHFFFAOYSA-N 0 0 290.323 2.611 20 5 CFBDRN C[C@](O)(CNc1nccc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000413132402 233023082 /nfs/dbraw/zinc/02/30/82/233023082.db2.gz GKEULHCBZKJRAS-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN CC1(C)C[C@@H](c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)CCO1 ZINC000351195525 348487820 /nfs/dbraw/zinc/48/78/20/348487820.db2.gz UGFUCBMQYIVJKO-QMMMGPOBSA-N 0 0 292.295 2.646 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000596822956 349993821 /nfs/dbraw/zinc/99/38/21/349993821.db2.gz IVZDXDHZYAQFLD-GHMZBOCLSA-N 0 0 275.308 2.777 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(/C=C/[C@@H]3CCCO3)n2)c1 ZINC000351294488 348490930 /nfs/dbraw/zinc/49/09/30/348490930.db2.gz LVTXZDSTVRDBGP-SYTKJHMZSA-N 0 0 287.275 2.837 20 5 CFBDRN C[C@@H](NC(=O)C1CC2(CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000596845761 349996572 /nfs/dbraw/zinc/99/65/72/349996572.db2.gz JHALLOQNQDWZDH-SNVBAGLBSA-N 0 0 274.320 2.962 20 5 CFBDRN C[C@H](NC(=O)CCCF)c1cccc([N+](=O)[O-])c1 ZINC000596844941 349996590 /nfs/dbraw/zinc/99/65/90/349996590.db2.gz CENXDJSHDOSZLN-VIFPVBQESA-N 0 0 254.261 2.522 20 5 CFBDRN C[C@@H](NC(=O)CCCF)c1cccc([N+](=O)[O-])c1 ZINC000596844940 349996611 /nfs/dbraw/zinc/99/66/11/349996611.db2.gz CENXDJSHDOSZLN-SECBINFHSA-N 0 0 254.261 2.522 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cc1nc([C@@H]2CCCO2)no1 ZINC000351401472 348495532 /nfs/dbraw/zinc/49/55/32/348495532.db2.gz CFAAKGKLRMMDEB-NSHDSACASA-N 0 0 293.254 2.559 20 5 CFBDRN COC[C@@H](C)Cc1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000351437153 348496153 /nfs/dbraw/zinc/49/61/53/348496153.db2.gz FJROUKLARKBOLJ-ZETCQYMHSA-N 0 0 283.309 2.531 20 5 CFBDRN COC[C@@H](C)Cc1noc(-c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000351466399 348496739 /nfs/dbraw/zinc/49/67/39/348496739.db2.gz PQKSFEAZIKXVEC-VIFPVBQESA-N 0 0 291.307 2.778 20 5 CFBDRN CC(C)SCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000401127297 348577243 /nfs/dbraw/zinc/57/72/43/348577243.db2.gz MZTTWLAJRIHDBV-UHFFFAOYSA-N 0 0 259.306 2.682 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@H]1CCCSC1 ZINC000401191972 348578241 /nfs/dbraw/zinc/57/82/41/348578241.db2.gz SBYCDYZMAJAAQG-MRVPVSSYSA-N 0 0 271.317 2.684 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H](CO)CC2CCCC2)c(F)c1 ZINC000413270742 233044645 /nfs/dbraw/zinc/04/46/45/233044645.db2.gz PEYIEURTYXQJMV-LLVKDONJSA-N 0 0 297.330 2.730 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc3ncccc3c2[N+](=O)[O-])CO1 ZINC000402316126 348581605 /nfs/dbraw/zinc/58/16/05/348581605.db2.gz RBWGGSBZQZRRSP-NXEZZACHSA-N 0 0 273.292 2.732 20 5 CFBDRN C[C@H]1C[C@@H](Nc2cc(C(F)(F)F)ncc2[N+](=O)[O-])CO1 ZINC000402340101 348581622 /nfs/dbraw/zinc/58/16/22/348581622.db2.gz VZTFFOUFYBIFCN-NKWVEPMBSA-N 0 0 291.229 2.598 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3cccnc23)CO1 ZINC000402233399 348581706 /nfs/dbraw/zinc/58/17/06/348581706.db2.gz VNFNYWWUMSCYJV-NXEZZACHSA-N 0 0 273.292 2.732 20 5 CFBDRN NC(=O)c1ccc(NC[C@@H]2CC[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000403433649 348585361 /nfs/dbraw/zinc/58/53/61/348585361.db2.gz ZJTSLDKLHCJZPX-JFGNBEQYSA-N 0 0 289.335 2.542 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000413262806 233043411 /nfs/dbraw/zinc/04/34/11/233043411.db2.gz GIZLOUKBJNSQNN-BDAKNGLRSA-N 0 0 253.277 2.977 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCCC(F)(F)C1 ZINC000404808880 348595133 /nfs/dbraw/zinc/59/51/33/348595133.db2.gz WCABKKKMOIXQSR-UHFFFAOYSA-N 0 0 288.225 2.605 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@@H](O)C2(C)C)c1[N+](=O)[O-] ZINC000128929495 187399837 /nfs/dbraw/zinc/39/98/37/187399837.db2.gz ORKHIRNEQJKUGC-VXGBXAGGSA-N 0 0 280.324 2.565 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000273458397 192159310 /nfs/dbraw/zinc/15/93/10/192159310.db2.gz MKPHAXJTFJVVEY-SECBINFHSA-N 0 0 281.287 2.998 20 5 CFBDRN CSC[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273474279 192165083 /nfs/dbraw/zinc/16/50/83/192165083.db2.gz PGNQALQPAQDNEN-ZETCQYMHSA-N 0 0 287.316 2.607 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@]1(C)CCC(=O)N1 ZINC000413336582 233055477 /nfs/dbraw/zinc/05/54/77/233055477.db2.gz HJCADGPDBJLRLP-ZDUSSCGKSA-N 0 0 297.742 2.637 20 5 CFBDRN Cc1cnc(CCNc2cccc(C)c2[N+](=O)[O-])cn1 ZINC000413347267 233057646 /nfs/dbraw/zinc/05/76/46/233057646.db2.gz KRQGZWKIHBEIBJ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1ccc(CN2CCOC[C@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000584720354 348709325 /nfs/dbraw/zinc/70/93/25/348709325.db2.gz INAVIDOQXGRQBH-INIZCTEOSA-N 0 0 290.363 2.904 20 5 CFBDRN Cc1ccc(NC(=O)CCc2ccncc2)cc1[N+](=O)[O-] ZINC000104197166 185926447 /nfs/dbraw/zinc/92/64/47/185926447.db2.gz RJGYOSPLPRCVAO-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H](C(F)F)C1 ZINC000588040612 348768196 /nfs/dbraw/zinc/76/81/96/348768196.db2.gz GXPIHRKSUPJKFI-SSDOTTSWSA-N 0 0 260.215 2.825 20 5 CFBDRN COC(=O)c1cc(N2CCC[C@H]3C[C@H]32)cc(C)c1[N+](=O)[O-] ZINC000588065678 348769420 /nfs/dbraw/zinc/76/94/20/348769420.db2.gz ANFZMMFYFWZTMS-GXFFZTMASA-N 0 0 290.319 2.679 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N1CCC[C@@H]2C[C@@H]21 ZINC000588065854 348769504 /nfs/dbraw/zinc/76/95/04/348769504.db2.gz DOTGDJMHUVRHLR-APPZFPTMSA-N 0 0 298.140 2.741 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H]2C[C@@H]21 ZINC000588066625 348769853 /nfs/dbraw/zinc/76/98/53/348769853.db2.gz UGFNOVYCMPEXBY-APPZFPTMSA-N 0 0 253.689 2.632 20 5 CFBDRN C[C@@H]1C[C@H]([NH+]2CCCC2)CN1c1ccsc1[N+](=O)[O-] ZINC000588071873 348770587 /nfs/dbraw/zinc/77/05/87/348770587.db2.gz LGGGRRNJWBDHNQ-MNOVXSKESA-N 0 0 281.381 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOc3cc(O)ccc3C2)s1 ZINC000588073601 348770704 /nfs/dbraw/zinc/77/07/04/348770704.db2.gz ILAATGZOWOGYHX-UHFFFAOYSA-N 0 0 292.316 2.761 20 5 CFBDRN Cc1ccccc1CC1CN(c2ccncc2[N+](=O)[O-])C1 ZINC000588823273 348796919 /nfs/dbraw/zinc/79/69/19/348796919.db2.gz IZGMUSANXAKBDT-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](OC(C)C)C2)ncc1[N+](=O)[O-] ZINC000413387895 233065177 /nfs/dbraw/zinc/06/51/77/233065177.db2.gz YANBBJYWXRIOHM-PHIMTYICSA-N 0 0 265.313 2.666 20 5 CFBDRN Cc1c(C(=O)N2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)ccn1C ZINC000588868724 348799512 /nfs/dbraw/zinc/79/95/12/348799512.db2.gz CODBDTDDJNKVJZ-JTQLQIEISA-N 0 0 299.330 2.833 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H]3C[C@H]32)c1 ZINC000586898568 348760620 /nfs/dbraw/zinc/76/06/20/348760620.db2.gz OKKNSVNZTLLBER-TVQRCGJNSA-N 0 0 292.360 2.941 20 5 CFBDRN CSc1cccc(C(=O)N2CCC[C@H]3C[C@H]32)c1[N+](=O)[O-] ZINC000586899607 348760684 /nfs/dbraw/zinc/76/06/84/348760684.db2.gz WJPPATWJYNGOGL-GXSJLCMTSA-N 0 0 292.360 2.941 20 5 CFBDRN CC1CCC(N(C)c2cc(N)c([N+](=O)[O-])c(CO)c2)CC1 ZINC000587010159 348761066 /nfs/dbraw/zinc/76/10/66/348761066.db2.gz FYOIOMVILGRQID-UHFFFAOYSA-N 0 0 293.367 2.684 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000587383948 348762014 /nfs/dbraw/zinc/76/20/14/348762014.db2.gz HCHSLXGDTOSINN-SNVBAGLBSA-N 0 0 290.298 2.841 20 5 CFBDRN CC[N@@H+]1CCC[C@@H](Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000587415894 348762041 /nfs/dbraw/zinc/76/20/41/348762041.db2.gz HCUNSYPKXODEOP-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587483429 348762618 /nfs/dbraw/zinc/76/26/18/348762618.db2.gz CJSVKSPDGBOPAB-WDEREUQCSA-N 0 0 293.367 2.908 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCC[C@@]2(C)CO)ccc1[N+](=O)[O-] ZINC000587985512 348763833 /nfs/dbraw/zinc/76/38/33/348763833.db2.gz MVFLVVPVNYUBIP-GJZGRUSLSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(C)(C)CCCNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587982725 348763836 /nfs/dbraw/zinc/76/38/36/348763836.db2.gz RZKAKHOIUVOFBP-UHFFFAOYSA-N 0 0 281.356 2.908 20 5 CFBDRN CC[C@]1(C)CCCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587987833 348764176 /nfs/dbraw/zinc/76/41/76/348764176.db2.gz GNCGWFJPNHJSNL-OAHLLOKOSA-N 0 0 293.367 2.686 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H](OC)C23CCC3)c1 ZINC000413438222 233073028 /nfs/dbraw/zinc/07/30/28/233073028.db2.gz IRQUONLUJDRWKG-ZIAGYGMSSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(-c2nccs2)n1 ZINC000589067860 348809661 /nfs/dbraw/zinc/80/96/61/348809661.db2.gz LCSWWBWZYLZZFW-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1Sc1n[nH]c(C2CC2)n1 ZINC000589103429 348810933 /nfs/dbraw/zinc/81/09/33/348810933.db2.gz QSLSOTNGWHFOQE-UHFFFAOYSA-N 0 0 297.727 2.790 20 5 CFBDRN C[C@H](O)CCN(C)c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000589112081 348811620 /nfs/dbraw/zinc/81/16/20/348811620.db2.gz UFHAMUBTYLBDQN-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CO[C@H](C)C2)n1 ZINC000589127236 348812263 /nfs/dbraw/zinc/81/22/63/348812263.db2.gz RIKIIENGEBPHKX-ZJUUUORDSA-N 0 0 289.291 2.846 20 5 CFBDRN COCC[C@H](C)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000413499576 233084338 /nfs/dbraw/zinc/08/43/38/233084338.db2.gz YZMUFWNIOLAXMZ-VIFPVBQESA-N 0 0 286.303 2.827 20 5 CFBDRN Cc1cc(N2C[C@H](O)C[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000413501800 233085481 /nfs/dbraw/zinc/08/54/81/233085481.db2.gz MBRZYOLIQGGKIT-KGLIPLIRSA-N 0 0 299.330 2.611 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1C[C@@H](O)C[C@@H]1c1ccccc1 ZINC000413502676 233085766 /nfs/dbraw/zinc/08/57/66/233085766.db2.gz NRNFZZKBJGRKLI-XJKSGUPXSA-N 0 0 284.315 2.907 20 5 CFBDRN COCC1CCC(Nc2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000413533803 233091332 /nfs/dbraw/zinc/09/13/32/233091332.db2.gz QEQRUYUXGZNGRT-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ncc(Cl)cc2[N+](=O)[O-])[C@@H](C)O1 ZINC000413535083 233091698 /nfs/dbraw/zinc/09/16/98/233091698.db2.gz PSPOFDIAVIVTHU-IWSPIJDZSA-N 0 0 285.731 2.869 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2F)[C@@H](C)O1 ZINC000413540603 233091995 /nfs/dbraw/zinc/09/19/95/233091995.db2.gz VDSMBLJFBVQCBZ-BBBLOLIVSA-N 0 0 268.288 2.959 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000413537363 233092086 /nfs/dbraw/zinc/09/20/86/233092086.db2.gz RLZIIJYMFBIAFK-UTUOFQBUSA-N 0 0 294.351 2.967 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1C[C@H](C)O[C@@H]1C ZINC000413537361 233092233 /nfs/dbraw/zinc/09/22/33/233092233.db2.gz RLZIIJYMFBIAFK-TUAOUCFPSA-N 0 0 294.351 2.967 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@]1(CO)C[C@@H]1c1ccccc1 ZINC000589603245 348841889 /nfs/dbraw/zinc/84/18/89/348841889.db2.gz NHHLSENYXQTTTB-CZUORRHYSA-N 0 0 299.330 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)C2CCCC2)s1 ZINC000589607931 348842835 /nfs/dbraw/zinc/84/28/35/348842835.db2.gz JSBCHRLTMGPRKC-SECBINFHSA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nnc(C3CC3)s2)cc1 ZINC000413588915 233100499 /nfs/dbraw/zinc/10/04/99/233100499.db2.gz WQIAUDJZQATBTB-UHFFFAOYSA-N 0 0 276.321 2.936 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CO)[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000413595981 233101274 /nfs/dbraw/zinc/10/12/74/233101274.db2.gz QMKYXUUVMYXBJS-QWRGUYRKSA-N 0 0 282.315 2.640 20 5 CFBDRN Cc1cc(NCCOC[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000589686748 348850177 /nfs/dbraw/zinc/85/01/77/348850177.db2.gz DFOVAKVFGGLGKU-NSHDSACASA-N 0 0 298.314 2.507 20 5 CFBDRN O=C(CCCC1CC1)NCCNc1ccccc1[N+](=O)[O-] ZINC000589683042 348850497 /nfs/dbraw/zinc/85/04/97/348850497.db2.gz BETRESAJJLWOPK-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN Cc1cc(N2CC(C(F)F)C2)c(F)cc1[N+](=O)[O-] ZINC000589689740 348850875 /nfs/dbraw/zinc/85/08/75/348850875.db2.gz WHRXXWDWAKDPHZ-UHFFFAOYSA-N 0 0 260.215 2.744 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCn2c(cc3ccccc32)C1 ZINC000589696867 348852033 /nfs/dbraw/zinc/85/20/33/348852033.db2.gz PUMKVQQJUQDMOJ-UHFFFAOYSA-N 0 0 294.314 2.965 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(C3CC3)C2)c2ncccc12 ZINC000589695697 348852120 /nfs/dbraw/zinc/85/21/20/348852120.db2.gz OYCAKEULMLQFRU-UHFFFAOYSA-N 0 0 269.304 2.989 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC(C3CC3)C2)c1 ZINC000589724315 348853847 /nfs/dbraw/zinc/85/38/47/348853847.db2.gz BIDDOMFZVQDILP-UHFFFAOYSA-N 0 0 292.360 2.799 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@@]2(CCOC2)C1 ZINC000589864159 348861986 /nfs/dbraw/zinc/86/19/86/348861986.db2.gz CKKZTTTWUDYIND-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H]3C[C@H]32)nc1-c1ccccc1 ZINC000589871980 348862319 /nfs/dbraw/zinc/86/23/19/348862319.db2.gz YWWJTMBEPCFWHM-KGLIPLIRSA-N 0 0 297.314 2.634 20 5 CFBDRN COCC1(CNc2ccsc2[N+](=O)[O-])CCOCC1 ZINC000589869656 348862599 /nfs/dbraw/zinc/86/25/99/348862599.db2.gz AJPODIFPUOLYOM-UHFFFAOYSA-N 0 0 286.353 2.511 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC(F)(c2ccccc2F)C1 ZINC000589874106 348862642 /nfs/dbraw/zinc/86/26/42/348862642.db2.gz SMAYZJMPDFGIQO-UHFFFAOYSA-N 0 0 291.257 2.814 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@H]3CCC[C@@H](O)C3)ccnc21 ZINC000590079077 348873152 /nfs/dbraw/zinc/87/31/52/348873152.db2.gz SVZODYLUTNIKCI-WDEREUQCSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1C[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000590149628 348878589 /nfs/dbraw/zinc/87/85/89/348878589.db2.gz BPAWJTKDXOORNV-CABCVRRESA-N 0 0 292.310 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCO[C@@H](C(C)C)C2)n1 ZINC000590152940 348879132 /nfs/dbraw/zinc/87/91/32/348879132.db2.gz ADQQRMAPPIGUAK-CYBMUJFWSA-N 0 0 279.340 2.550 20 5 CFBDRN COC1([C@@H](C)Nc2ccccc2[N+](=O)[O-])CCC1 ZINC000413566012 233097042 /nfs/dbraw/zinc/09/70/42/233097042.db2.gz WIQFSHADUVCYEW-SNVBAGLBSA-N 0 0 250.298 2.964 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCC[C@H]1C(C)(C)C ZINC000413572393 233098109 /nfs/dbraw/zinc/09/81/09/233098109.db2.gz IFFJVLCTQPSBNE-ZJUUUORDSA-N 0 0 266.345 2.955 20 5 CFBDRN CC(C)[C@@H]1C[C@H](CNc2cccnc2[N+](=O)[O-])CCO1 ZINC000590716104 348935103 /nfs/dbraw/zinc/93/51/03/348935103.db2.gz SEQWFMOJUGOPDZ-YPMHNXCESA-N 0 0 279.340 2.853 20 5 CFBDRN CC(C)(CNc1cccnc1[N+](=O)[O-])C1(O)CCCC1 ZINC000590737345 348939479 /nfs/dbraw/zinc/93/94/79/348939479.db2.gz QQRSQKKNIPRAAT-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H]2C(F)(F)F)ccc1[N+](=O)[O-] ZINC000590378666 348897120 /nfs/dbraw/zinc/89/71/20/348897120.db2.gz ZGINPAXUDWQCTK-JTQLQIEISA-N 0 0 288.225 2.680 20 5 CFBDRN CC(C)(NC(=O)CCC(F)F)c1ccccc1[N+](=O)[O-] ZINC000590455118 348901328 /nfs/dbraw/zinc/90/13/28/348901328.db2.gz HGRSFHRZXNLBGC-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C1CCC1)C1CCC1 ZINC000590458724 348901382 /nfs/dbraw/zinc/90/13/82/348901382.db2.gz XLIRIUYUCFDUOA-UHFFFAOYSA-N 0 0 289.335 2.845 20 5 CFBDRN CC(C)[C@H](Nc1ccc(N)c([N+](=O)[O-])n1)c1ccccc1 ZINC000590572813 348910532 /nfs/dbraw/zinc/91/05/32/348910532.db2.gz QZXKOJUFPGZQBP-AWEZNQCLSA-N 0 0 286.335 2.803 20 5 CFBDRN Cc1nc(CN(C)Cc2ccccc2[N+](=O)[O-])co1 ZINC000590603361 348914451 /nfs/dbraw/zinc/91/44/51/348914451.db2.gz SCPKCBFZDNUIIP-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000590619637 348915770 /nfs/dbraw/zinc/91/57/70/348915770.db2.gz CNRGGKWIDUJXLK-QMMMGPOBSA-N 0 0 284.287 2.661 20 5 CFBDRN CC(C)(Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)C(F)F ZINC000590701217 348930892 /nfs/dbraw/zinc/93/08/92/348930892.db2.gz LNWXAXVOIKVOHD-UHFFFAOYSA-N 0 0 270.239 2.927 20 5 CFBDRN CC[C@H](Nc1ncnc2ccc([N+](=O)[O-])cc21)C(F)F ZINC000590705419 348931748 /nfs/dbraw/zinc/93/17/48/348931748.db2.gz RPWSMDAYVJZDAF-VIFPVBQESA-N 0 0 282.250 2.994 20 5 CFBDRN CC(C)[C@@H](CNc1ncc([N+](=O)[O-])cn1)c1cccnc1 ZINC000273962318 192380031 /nfs/dbraw/zinc/38/00/31/192380031.db2.gz OARXFCWAPIAYOG-CYBMUJFWSA-N 0 0 287.323 2.632 20 5 CFBDRN CC(C)Oc1cc(C(=O)NC2CC=CC2)ccc1[N+](=O)[O-] ZINC000591068349 348983597 /nfs/dbraw/zinc/98/35/97/348983597.db2.gz KFZYJNNDBIYSOY-UHFFFAOYSA-N 0 0 290.319 2.830 20 5 CFBDRN CO[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000273481351 192169098 /nfs/dbraw/zinc/16/90/98/192169098.db2.gz QPJDHABREYPMDX-GFCCVEGCSA-N 0 0 299.302 2.526 20 5 CFBDRN COC(=O)C1(CSc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000591128925 348991156 /nfs/dbraw/zinc/99/11/56/348991156.db2.gz YLNWMOREVUWUQS-UHFFFAOYSA-N 0 0 285.296 2.779 20 5 CFBDRN CCN(CCC(F)(F)F)c1ncc([N+](=O)[O-])cc1F ZINC000591143767 348992371 /nfs/dbraw/zinc/99/23/71/348992371.db2.gz XJULNFYUICTBPY-UHFFFAOYSA-N 0 0 281.209 2.908 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000591150828 348993302 /nfs/dbraw/zinc/99/33/02/348993302.db2.gz BVAQWTNCUKXQAQ-GXFFZTMASA-N 0 0 283.303 2.744 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000591150827 348993559 /nfs/dbraw/zinc/99/35/59/348993559.db2.gz BVAQWTNCUKXQAQ-GWCFXTLKSA-N 0 0 283.303 2.744 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]2c2cc[nH]n2)c(F)c1 ZINC000591160430 348994512 /nfs/dbraw/zinc/99/45/12/348994512.db2.gz YCKKRUXNLVIUQR-GFCCVEGCSA-N 0 0 291.286 2.584 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2F)CC1(F)F ZINC000591158443 348994810 /nfs/dbraw/zinc/99/48/10/348994810.db2.gz ZCIIJWDHJSOMDF-SSDOTTSWSA-N 0 0 275.230 2.610 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCC3)CC2)c(F)c1 ZINC000591160938 348995817 /nfs/dbraw/zinc/99/58/17/348995817.db2.gz LSGPTUUPUWUCNF-UHFFFAOYSA-N 0 0 265.288 2.899 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ccncn3)CC2)s1 ZINC000591186678 348998521 /nfs/dbraw/zinc/99/85/21/348998521.db2.gz BIQMFRMJBNILMU-UHFFFAOYSA-N 0 0 290.348 2.830 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccsc2[N+](=O)[O-])[C@@H](C)O1 ZINC000591193754 348999264 /nfs/dbraw/zinc/99/92/64/348999264.db2.gz VRQLSNIEGLONOU-IWSPIJDZSA-N 0 0 256.327 2.882 20 5 CFBDRN C[C@]12CN(C(=O)c3ccc([N+](=O)[O-])o3)C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000590888227 348962590 /nfs/dbraw/zinc/96/25/90/348962590.db2.gz DSKOIETVZHZEQB-JNIYBQFBSA-N 0 0 290.319 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@H]3CC34CC4)c2c1 ZINC000591827516 349048727 /nfs/dbraw/zinc/04/87/27/349048727.db2.gz OAYVCDIQOYTBPB-NSHDSACASA-N 0 0 256.265 2.502 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1c1ccc([N+](=O)[O-])c(N)c1F ZINC000591368977 349012520 /nfs/dbraw/zinc/01/25/20/349012520.db2.gz CKQOCZTYIIWJKV-HRDYMLBCSA-N 0 0 267.304 2.797 20 5 CFBDRN C[C@@H]1[C@H](C)CN(c2ncc([N+](=O)[O-])cc2F)[C@H]1C ZINC000591369019 349013230 /nfs/dbraw/zinc/01/32/30/349013230.db2.gz LDGCSCRYUBRJPB-HLTSFMKQSA-N 0 0 253.277 2.610 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](O)CC23CCC3)c(Cl)c1 ZINC000591464237 349025390 /nfs/dbraw/zinc/02/53/90/349025390.db2.gz XNGPVCHQFKJKTJ-SNVBAGLBSA-N 0 0 297.742 2.527 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(/C=C\[C@H]3CCCO3)n2)c1 ZINC000591651058 349035859 /nfs/dbraw/zinc/03/58/59/349035859.db2.gz LVTXZDSTVRDBGP-ZHRWSRJISA-N 0 0 287.275 2.837 20 5 CFBDRN CCOC(=O)Cc1ccc(NC[C@H]2C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000591897261 349056570 /nfs/dbraw/zinc/05/65/70/349056570.db2.gz YNYSVTWMGJVQFL-CMPLNLGQSA-N 0 0 292.335 2.768 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000591981610 349069134 /nfs/dbraw/zinc/06/91/34/349069134.db2.gz LHFGCHKANFQECI-ZJUUUORDSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ccsc1[N+](=O)[O-] ZINC000591982211 349069208 /nfs/dbraw/zinc/06/92/08/349069208.db2.gz NTOMAVMRRSDFCC-IUCAKERBSA-N 0 0 258.343 2.865 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC2(C[C@H]1C)CCOCC2 ZINC000591991914 349071271 /nfs/dbraw/zinc/07/12/71/349071271.db2.gz IYXUSGHKFOWPAE-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN CC(=O)CCCCCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592349327 349106908 /nfs/dbraw/zinc/10/69/08/349106908.db2.gz UCPGJUGRJBBDAH-UHFFFAOYSA-N 0 0 292.291 2.652 20 5 CFBDRN COc1cc(OCCC[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000592349313 349106913 /nfs/dbraw/zinc/10/69/13/349106913.db2.gz SGQVUKLQOIVLQJ-NSHDSACASA-N 0 0 281.308 2.799 20 5 CFBDRN CCN(CCSC)Cc1c(F)cccc1[N+](=O)[O-] ZINC000592205700 349100841 /nfs/dbraw/zinc/10/08/41/349100841.db2.gz ZQAPQPXWFFDCBG-UHFFFAOYSA-N 0 0 272.345 2.919 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000592211516 349101720 /nfs/dbraw/zinc/10/17/20/349101720.db2.gz QIYYEWCPQJTKGW-PWSUYJOCSA-N 0 0 295.339 2.513 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ncn(CC3=CCCC3)n2)c1 ZINC000592345235 349106088 /nfs/dbraw/zinc/10/60/88/349106088.db2.gz ZFIWXKQXTFLYFM-UHFFFAOYSA-N 0 0 270.292 2.964 20 5 CFBDRN C[C@@H](CCO)Sc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000591917606 349060184 /nfs/dbraw/zinc/06/01/84/349060184.db2.gz YCTPBEMOHUPBOO-VIFPVBQESA-N 0 0 282.365 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1nc(C(F)F)no1 ZINC000592345731 349106164 /nfs/dbraw/zinc/10/61/64/349106164.db2.gz ZRXQFHLMVMNBEU-UHFFFAOYSA-N 0 0 285.206 2.803 20 5 CFBDRN CCOC(=O)Cc1ccc(NCC[C@H](C)F)c([N+](=O)[O-])c1 ZINC000591948429 349064168 /nfs/dbraw/zinc/06/41/68/349064168.db2.gz IFKBYFOVKXWZCH-JTQLQIEISA-N 0 0 298.314 2.860 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCC[C@H](F)C2)c([N+](=O)[O-])c1 ZINC000591957994 349064850 /nfs/dbraw/zinc/06/48/50/349064850.db2.gz KXIIJHSNLKSXNJ-WDEREUQCSA-N 0 0 295.314 2.647 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H](c2cccnc2)C1 ZINC000591963586 349066093 /nfs/dbraw/zinc/06/60/93/349066093.db2.gz TYVLMQNFCIKOKZ-CYBMUJFWSA-N 0 0 269.304 2.984 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)CCC(C)(C)C ZINC000591971103 349067078 /nfs/dbraw/zinc/06/70/78/349067078.db2.gz UPLULWSJUCOMQK-UHFFFAOYSA-N 0 0 268.361 2.992 20 5 CFBDRN Cc1ccnc(N2CC(C)(CC(F)F)C2)c1[N+](=O)[O-] ZINC000591973150 349067569 /nfs/dbraw/zinc/06/75/69/349067569.db2.gz SGXVJHMBUHFYCS-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000591979982 349068275 /nfs/dbraw/zinc/06/82/75/349068275.db2.gz BKLNGYHIMOIKPB-GHMZBOCLSA-N 0 0 293.367 2.772 20 5 CFBDRN C[C@@H](F)CCn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000592359651 349108947 /nfs/dbraw/zinc/10/89/47/349108947.db2.gz TUYAASUQKCOYOI-SECBINFHSA-N 0 0 264.256 2.658 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc(C2CC2)no1 ZINC000592465830 349125671 /nfs/dbraw/zinc/12/56/71/349125671.db2.gz QWUXWIALUAMBRR-UHFFFAOYSA-N 0 0 261.237 2.531 20 5 CFBDRN COc1cc(-c2nc(C3CC3)no2)c([N+](=O)[O-])cc1OC ZINC000592464287 349125839 /nfs/dbraw/zinc/12/58/39/349125839.db2.gz AEPYFLOWHZPNBN-UHFFFAOYSA-N 0 0 291.263 2.539 20 5 CFBDRN CCCCN(CCCO)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000089266050 179763140 /nfs/dbraw/zinc/76/31/40/179763140.db2.gz RXYHWUGJOXKVBF-UHFFFAOYSA-N 0 0 294.351 2.786 20 5 CFBDRN CCCC(C)(C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000593015636 349188139 /nfs/dbraw/zinc/18/81/39/349188139.db2.gz VXTREUAKAYRQHQ-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000592732246 349157957 /nfs/dbraw/zinc/15/79/57/349157957.db2.gz BWGIIMBPHWXKHA-SDDRHHMPSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000592734541 349158989 /nfs/dbraw/zinc/15/89/89/349158989.db2.gz JICVPVNXTSPMCZ-SDDRHHMPSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000592734859 349159238 /nfs/dbraw/zinc/15/92/38/349159238.db2.gz KUNKEBPHLCLCBV-MELADBBJSA-N 0 0 288.347 2.832 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCS[C@H]2C)cc([N+](=O)[O-])c1 ZINC000592736966 349160265 /nfs/dbraw/zinc/16/02/65/349160265.db2.gz SMMQKZKFRHWXDV-JOYOIKCWSA-N 0 0 280.349 2.527 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCC[C@H]1C1CCC1 ZINC000592737090 349160331 /nfs/dbraw/zinc/16/03/31/349160331.db2.gz TWQFAAHFTHWMSX-NSHDSACASA-N 0 0 278.308 2.983 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000592737361 349160452 /nfs/dbraw/zinc/16/04/52/349160452.db2.gz VFHRVBPBUZEDDW-YUSALJHKSA-N 0 0 290.319 2.522 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000592738297 349161086 /nfs/dbraw/zinc/16/10/86/349161086.db2.gz ZBXXJOIDFKAXLJ-GMTAPVOTSA-N 0 0 290.319 2.522 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C1CC(F)(F)C1 ZINC000592828594 349169488 /nfs/dbraw/zinc/16/94/88/349169488.db2.gz XSTHJMITOZDICM-UHFFFAOYSA-N 0 0 285.250 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@]3(CC3(F)F)C2)c(F)c1 ZINC000593115367 349211875 /nfs/dbraw/zinc/21/18/75/349211875.db2.gz IVBDZADDADISOH-LBPRGKRZSA-N 0 0 286.253 2.965 20 5 CFBDRN CCN(CC1CCC1)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593143784 349219502 /nfs/dbraw/zinc/21/95/02/349219502.db2.gz NRSFNGLCVGKHKQ-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593172518 349223118 /nfs/dbraw/zinc/22/31/18/349223118.db2.gz YLCVFAHSIHSZOP-DZGCQCFKSA-N 0 0 294.326 2.827 20 5 CFBDRN COC[C@@H](C)SCc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000593189109 349226042 /nfs/dbraw/zinc/22/60/42/349226042.db2.gz IJTZWZCZOOJNGE-SECBINFHSA-N 0 0 299.348 2.650 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1cc2n(n1)CCCC2 ZINC000593234089 349232786 /nfs/dbraw/zinc/23/27/86/349232786.db2.gz DMTAAAIOROSVKS-UHFFFAOYSA-N 0 0 273.292 2.707 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000593249410 349235748 /nfs/dbraw/zinc/23/57/48/349235748.db2.gz RLLDDVVGRNZVCN-DZGCQCFKSA-N 0 0 297.314 2.584 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)[C@@H](CC)CO1 ZINC000593258917 349237036 /nfs/dbraw/zinc/23/70/36/349237036.db2.gz VJSMGORGOOACGJ-JSGCOSHPSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])[C@@H](CC)CO1 ZINC000593258911 349237045 /nfs/dbraw/zinc/23/70/45/349237045.db2.gz VFVOVXSJEQXYOV-NWDGAFQWSA-N 0 0 292.335 2.624 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCCC(F)(F)C1 ZINC000593048930 349194385 /nfs/dbraw/zinc/19/43/85/349194385.db2.gz NSXDDMCSMHKNLG-UHFFFAOYSA-N 0 0 286.278 2.835 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1Cc1ccc([N+](=O)[O-])cc1 ZINC000593056746 349195784 /nfs/dbraw/zinc/19/57/84/349195784.db2.gz OLXDCYGIPKPYPL-CYBMUJFWSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593058731 349197559 /nfs/dbraw/zinc/19/75/59/349197559.db2.gz NMHPITSJWSVMBD-JTQLQIEISA-N 0 0 282.315 2.781 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)[C@@H](C)CO1 ZINC000593064094 349199460 /nfs/dbraw/zinc/19/94/60/349199460.db2.gz WYDWGJNJPAYAST-AAEUAGOBSA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])[C@H]1C ZINC000593075007 349202460 /nfs/dbraw/zinc/20/24/60/349202460.db2.gz JLYYFCGGQUIHQN-MNOVXSKESA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])[C@@H]1C ZINC000593081384 349203722 /nfs/dbraw/zinc/20/37/22/349203722.db2.gz CVESSBOMFHPPEJ-NXEZZACHSA-N 0 0 280.299 2.533 20 5 CFBDRN C[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)C2(CCC2)CO1 ZINC000593092516 349206473 /nfs/dbraw/zinc/20/64/73/349206473.db2.gz OVWCAURMPGADLC-LBPRGKRZSA-N 0 0 276.336 2.738 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CCC2(CCC2)C1 ZINC000593093005 349207047 /nfs/dbraw/zinc/20/70/47/349207047.db2.gz WYGZIJOWWSSQQT-UHFFFAOYSA-N 0 0 292.310 2.679 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593093873 349207763 /nfs/dbraw/zinc/20/77/63/349207763.db2.gz VYHDCIDPDJGZRK-GFCCVEGCSA-N 0 0 294.326 2.781 20 5 CFBDRN CNC(=O)c1ccc(NC2CC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000593517889 349288703 /nfs/dbraw/zinc/28/87/03/349288703.db2.gz ZRTJQLORISPCMO-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@]3(CC3(F)F)C2)n1 ZINC000593520671 349289213 /nfs/dbraw/zinc/28/92/13/349289213.db2.gz IWPZQEITVUDOBH-GFCCVEGCSA-N 0 0 283.278 2.842 20 5 CFBDRN Cn1c(=O)ccc2c1CCC[C@@H]2Nc1ccccc1[N+](=O)[O-] ZINC000593520382 349289574 /nfs/dbraw/zinc/28/95/74/349289574.db2.gz NZXHESRDZLZKOJ-LBPRGKRZSA-N 0 0 299.330 2.783 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@]2(CC2(F)F)C1 ZINC000593522902 349290282 /nfs/dbraw/zinc/29/02/82/349290282.db2.gz VQPPNRZWMRPTJO-NSHDSACASA-N 0 0 272.226 2.969 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)[C@H](C)CO1 ZINC000593285012 349241564 /nfs/dbraw/zinc/24/15/64/349241564.db2.gz XSYRWJSNMSOHHQ-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593285160 349241604 /nfs/dbraw/zinc/24/16/04/349241604.db2.gz PEGBVOSNGODDKG-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(C(=O)NC2(C3CCC3)CC2)cc([N+](=O)[O-])c1 ZINC000593303956 349244150 /nfs/dbraw/zinc/24/41/50/349244150.db2.gz HTSXPMZWEXXQHB-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC2(C3CCC3)CC2)c1 ZINC000593304235 349244300 /nfs/dbraw/zinc/24/43/00/349244300.db2.gz MBJQCUMFDHDMKY-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC12CCCC2 ZINC000593331920 349249743 /nfs/dbraw/zinc/24/97/43/349249743.db2.gz VAGYOXNKCGOUGN-UHFFFAOYSA-N 0 0 289.335 2.823 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])[N@@H+]1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000593331720 349249999 /nfs/dbraw/zinc/24/99/99/349249999.db2.gz ABKWNJUVFKUJJL-PRHODGIISA-N 0 0 298.726 2.686 20 5 CFBDRN CCc1cccc(CNC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000593339760 349250550 /nfs/dbraw/zinc/25/05/50/349250550.db2.gz JIMJESWUVMFYCB-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@@]2(CC2(F)F)C1 ZINC000593355382 349251415 /nfs/dbraw/zinc/25/14/15/349251415.db2.gz HBEXPHIGIQNFRA-SNVBAGLBSA-N 0 0 288.275 2.528 20 5 CFBDRN COCC[C@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593460514 349275225 /nfs/dbraw/zinc/27/52/25/349275225.db2.gz DPUWNEBEZQQSJU-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@@H]1CCCO1 ZINC000593460028 349275283 /nfs/dbraw/zinc/27/52/83/349275283.db2.gz ZUSGJDQRAXPIFC-NSHDSACASA-N 0 0 273.292 2.734 20 5 CFBDRN CO[C@@H]1CCCN(c2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000593460362 349275610 /nfs/dbraw/zinc/27/56/10/349275610.db2.gz AGDDTTRAPFIVHZ-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463179 349276205 /nfs/dbraw/zinc/27/62/05/349276205.db2.gz OWEZGCWIHJUDCJ-BONVTDFDSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@@H](CO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593468184 349276773 /nfs/dbraw/zinc/27/67/73/349276773.db2.gz NRRBQCAXBAOFMP-CQSZACIVSA-N 0 0 275.308 2.572 20 5 CFBDRN CC[C@@H](CCO)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593468188 349276827 /nfs/dbraw/zinc/27/68/27/349276827.db2.gz NUEUYSAHMLLNKQ-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN CC(C)[C@H](CCO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593465758 349276833 /nfs/dbraw/zinc/27/68/33/349276833.db2.gz SJUQQBNIIIMHHK-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN CO[C@@H](CNc1ccnc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000593472901 349277202 /nfs/dbraw/zinc/27/72/02/349277202.db2.gz KSGUIZFDLGSAQO-HNNXBMFYSA-N 0 0 287.319 2.980 20 5 CFBDRN CCOCCN(C)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593470086 349277451 /nfs/dbraw/zinc/27/74/51/349277451.db2.gz OWBHTOFMRLSLIC-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000593476032 349277830 /nfs/dbraw/zinc/27/78/30/349277830.db2.gz PYNDQUVACXSCMN-TXEJJXNPSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@H](CCCO)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593475809 349278038 /nfs/dbraw/zinc/27/80/38/349278038.db2.gz MDGIFODXCGGTKW-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1cccc(N2CCC(C3(O)CC3)CC2)c1[N+](=O)[O-] ZINC000593481201 349279499 /nfs/dbraw/zinc/27/94/99/349279499.db2.gz DQYPHOODBWFPMP-UHFFFAOYSA-N 0 0 276.336 2.645 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC(C2(O)CC2)CC1 ZINC000593481608 349279906 /nfs/dbraw/zinc/27/99/06/349279906.db2.gz INECTMKULFTTDU-UHFFFAOYSA-N 0 0 296.754 2.990 20 5 CFBDRN Cc1cc(N2CCC[C@@H](OC(C)C)C2)ncc1[N+](=O)[O-] ZINC000593487644 349282260 /nfs/dbraw/zinc/28/22/60/349282260.db2.gz UQUGPGNZSYYSDO-GFCCVEGCSA-N 0 0 279.340 2.692 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CC2)C2CCOCC2)c(F)c1 ZINC000593490926 349283019 /nfs/dbraw/zinc/28/30/19/349283019.db2.gz DQKOLSKYZUOPIW-CYBMUJFWSA-N 0 0 295.314 2.746 20 5 CFBDRN Cc1cnc(N[C@H](C2CC2)C2CCOCC2)c([N+](=O)[O-])c1 ZINC000593493016 349283831 /nfs/dbraw/zinc/28/38/31/349283831.db2.gz UADUSYZGEUHBBW-CQSZACIVSA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CC2)C2CCOCC2)nc1 ZINC000593491861 349284009 /nfs/dbraw/zinc/28/40/09/349284009.db2.gz KVULRCSBPQZXQS-CQSZACIVSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1c1cc(C)c([N+](=O)[O-])cn1 ZINC000593495474 349284398 /nfs/dbraw/zinc/28/43/98/349284398.db2.gz ZGVMZYNDXVJWOS-LLVKDONJSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC([C@H](C)CO)CC2)c1 ZINC000593506982 349286367 /nfs/dbraw/zinc/28/63/67/349286367.db2.gz WTSYMJRPBAPWEI-GFCCVEGCSA-N 0 0 278.352 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@@H]2CCCCO2)nc1 ZINC000274042556 192417193 /nfs/dbraw/zinc/41/71/93/192417193.db2.gz XWVKFPGGHPTCDP-LBPRGKRZSA-N 0 0 265.313 2.751 20 5 CFBDRN C[C@@H](O)CCN[C@@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000593708744 349313298 /nfs/dbraw/zinc/31/32/98/349313298.db2.gz OXFNEEWICHZHSE-KCJUWKMLSA-N 0 0 292.257 2.559 20 5 CFBDRN C[N@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])C1CCCC1 ZINC000593730660 349315334 /nfs/dbraw/zinc/31/53/34/349315334.db2.gz VWTNRXAOVATBLZ-UHFFFAOYSA-N 0 0 278.308 2.667 20 5 CFBDRN C[C@@H]1CCCC[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593732309 349316300 /nfs/dbraw/zinc/31/63/00/349316300.db2.gz KULACFVAZMRNSV-SNVBAGLBSA-N 0 0 278.308 2.667 20 5 CFBDRN CC(C)(C)C1CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593741260 349318226 /nfs/dbraw/zinc/31/82/26/349318226.db2.gz MEHHFCAHPDZATQ-UHFFFAOYSA-N 0 0 294.326 2.781 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1(C)CC=CC1 ZINC000594075974 349369882 /nfs/dbraw/zinc/36/98/82/349369882.db2.gz ZCUSJYHUWSYEJA-UHFFFAOYSA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)Cc1ccccn1 ZINC000594139326 349384878 /nfs/dbraw/zinc/38/48/78/349384878.db2.gz FLPISRHEEHKPGW-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)Cc2ccccn2)cc1[N+](=O)[O-] ZINC000594145791 349386545 /nfs/dbraw/zinc/38/65/45/349386545.db2.gz ZMRSGHRZIRBAEC-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN CCN(CCOC)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000271206322 190998303 /nfs/dbraw/zinc/99/83/03/190998303.db2.gz CFXGOPBFCPXSJV-UHFFFAOYSA-N 0 0 294.351 2.629 20 5 CFBDRN C[C@@H](NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N)C1CC1 ZINC000597093822 350030100 /nfs/dbraw/zinc/03/01/00/350030100.db2.gz YHILJFKQJKBIIS-ZCFIWIBFSA-N 0 0 298.730 2.750 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2N)C1 ZINC000597104595 350030169 /nfs/dbraw/zinc/03/01/69/350030169.db2.gz RVJUXARSBOTKSG-ZETCQYMHSA-N 0 0 298.730 2.704 20 5 CFBDRN C[C@@H](C(=O)N1CC2(CC2(F)F)C1)c1cccc([N+](=O)[O-])c1 ZINC000594389936 349413615 /nfs/dbraw/zinc/41/36/15/349413615.db2.gz XQSRAECEBAPWJA-SECBINFHSA-N 0 0 296.273 2.566 20 5 CFBDRN Cc1c(CNC(=O)C2CC3(CC3)C2)cccc1[N+](=O)[O-] ZINC000597554502 350110341 /nfs/dbraw/zinc/11/03/41/350110341.db2.gz DARNGQILMDRFCF-UHFFFAOYSA-N 0 0 274.320 2.710 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000597555467 350110379 /nfs/dbraw/zinc/11/03/79/350110379.db2.gz NNQNCLSWUKSLIB-ITGUQSILSA-N 0 0 274.320 2.566 20 5 CFBDRN Cc1ncsc1CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000104469007 186007579 /nfs/dbraw/zinc/00/75/79/186007579.db2.gz IEQOANUQGJLBQN-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=C(N[C@H]1CCCC12CCCCC2)c1c[nH]nc1[N+](=O)[O-] ZINC000597873274 350147378 /nfs/dbraw/zinc/14/73/78/350147378.db2.gz GRCHHMFCBXOWFW-NSHDSACASA-N 0 0 292.339 2.551 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCC2)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000597887648 350148464 /nfs/dbraw/zinc/14/84/64/350148464.db2.gz YNKBISQBBGHPJT-SDBXPKJASA-N 0 0 280.711 2.921 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@]12C[C@H]1CCC2 ZINC000597887875 350148528 /nfs/dbraw/zinc/14/85/28/350148528.db2.gz GZSOANVKCRORKC-QMTHXVAHSA-N 0 0 260.293 2.576 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000597889660 350149073 /nfs/dbraw/zinc/14/90/73/350149073.db2.gz OFIAOQUONPMSFT-MEBBXXQBSA-N 0 0 285.303 2.749 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000104616671 186009973 /nfs/dbraw/zinc/00/99/73/186009973.db2.gz DRDOCYATFGXUCG-APPZFPTMSA-N 0 0 268.338 2.883 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2[C@H](C)CCC2(C)C)c1[N+](=O)[O-] ZINC000597752574 350131195 /nfs/dbraw/zinc/13/11/95/350131195.db2.gz CXNKLCYKYZAMNX-SECBINFHSA-N 0 0 294.355 2.844 20 5 CFBDRN CC(C)c1ccc(C(=O)NCC2CSC2)cc1[N+](=O)[O-] ZINC000597744794 350131253 /nfs/dbraw/zinc/13/12/53/350131253.db2.gz DUOXEHADUMJCSR-UHFFFAOYSA-N 0 0 294.376 2.811 20 5 CFBDRN CCC[C@@H](C)c1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)n[nH]1 ZINC000597798581 350137034 /nfs/dbraw/zinc/13/70/34/350137034.db2.gz GKYAUCRSCWAENJ-MRVPVSSYSA-N 0 0 291.311 2.802 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@@]12C[C@@H]1CCC2 ZINC000597890485 350150075 /nfs/dbraw/zinc/15/00/75/350150075.db2.gz SJUXFKLIGZDGCG-LRDDRELGSA-N 0 0 299.330 2.608 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC[C@@H]1C ZINC000104621393 186011121 /nfs/dbraw/zinc/01/11/21/186011121.db2.gz CBIOMLNZGDAZPG-TVQRCGJNSA-N 0 0 262.309 2.822 20 5 CFBDRN Cn1nccc1CSCCOc1ccccc1[N+](=O)[O-] ZINC000091028891 180089990 /nfs/dbraw/zinc/08/99/90/180089990.db2.gz NMCZWTYQACROGQ-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000104621287 186011484 /nfs/dbraw/zinc/01/14/84/186011484.db2.gz XSMLPMKDPGDDQS-KOLCDFICSA-N 0 0 278.308 2.522 20 5 CFBDRN Cn1nccc1CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000091038405 180091333 /nfs/dbraw/zinc/09/13/33/180091333.db2.gz HGZMLLOHZCFLFP-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H]3CCCC[C@@H]32)c1 ZINC000597828831 350141830 /nfs/dbraw/zinc/14/18/30/350141830.db2.gz LLANNPLUQFGCNB-AAEUAGOBSA-N 0 0 274.320 2.918 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000597853383 350142354 /nfs/dbraw/zinc/14/23/54/350142354.db2.gz ADFXMPHUGWMNOC-LBPRGKRZSA-N 0 0 294.351 2.929 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000597854191 350143128 /nfs/dbraw/zinc/14/31/28/350143128.db2.gz CZUJHUVGDXKKFF-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000597855167 350143215 /nfs/dbraw/zinc/14/32/15/350143215.db2.gz JIVVJSZCVIDDBA-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000597855556 350143470 /nfs/dbraw/zinc/14/34/70/350143470.db2.gz SQZDQFLSVWATHD-SNVBAGLBSA-N 0 0 250.298 2.855 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC(c2ccco2)CC1 ZINC000597921722 350158349 /nfs/dbraw/zinc/15/83/49/350158349.db2.gz IXFFQNWHWFHMAV-UHFFFAOYSA-N 0 0 290.275 2.801 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N1CCCC2(CCCC2)CC1 ZINC000597956173 350162742 /nfs/dbraw/zinc/16/27/42/350162742.db2.gz RTBXQODDSANPLS-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000597976992 350170188 /nfs/dbraw/zinc/17/01/88/350170188.db2.gz ZRPAOIBREGWENJ-SNVBAGLBSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1nc(NC[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000271284900 191050621 /nfs/dbraw/zinc/05/06/21/191050621.db2.gz CZTKWPVVCZATBF-KOLCDFICSA-N 0 0 265.313 2.525 20 5 CFBDRN CSCC1(CCNC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000597979847 350172054 /nfs/dbraw/zinc/17/20/54/350172054.db2.gz DAJNQBUWKLVZDH-UHFFFAOYSA-N 0 0 294.376 2.858 20 5 CFBDRN CC[C@H](C)CCNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000597974689 350168441 /nfs/dbraw/zinc/16/84/41/350168441.db2.gz BYAYSBKRNBSCNC-NSHDSACASA-N 0 0 280.324 2.526 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC12CCC2 ZINC000271316145 191069463 /nfs/dbraw/zinc/06/94/63/191069463.db2.gz JQELDIKZXMRKKA-SNVBAGLBSA-N 0 0 277.324 2.575 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H]2CCC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000598211587 350215366 /nfs/dbraw/zinc/21/53/66/350215366.db2.gz GKMLCDJFWLZJHA-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2CCC[C@@H](C)O2)cccc1[N+](=O)[O-] ZINC000598213292 350215848 /nfs/dbraw/zinc/21/58/48/350215848.db2.gz NQVOFTYQUMTDHT-PWSUYJOCSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@]1(C)CC1(F)F ZINC000598213137 350216020 /nfs/dbraw/zinc/21/60/20/350216020.db2.gz ACAOMPRONNVRGE-LBPRGKRZSA-N 0 0 284.262 2.678 20 5 CFBDRN C[C@@]1(CCNC(=O)c2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000598214930 350217050 /nfs/dbraw/zinc/21/70/50/350217050.db2.gz IMTMLQZUFBGJJJ-GFCCVEGCSA-N 0 0 284.262 2.760 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])CC1(F)F ZINC000598218119 350217734 /nfs/dbraw/zinc/21/77/34/350217734.db2.gz YOIWUJOIGJTHMJ-LLVKDONJSA-N 0 0 288.225 2.509 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@H](CCF)C1 ZINC000598221755 350218994 /nfs/dbraw/zinc/21/89/94/350218994.db2.gz UQOFHKJCALJHMD-LLVKDONJSA-N 0 0 280.299 2.807 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000598233306 350221481 /nfs/dbraw/zinc/22/14/81/350221481.db2.gz ODQQDYGXXAIQKR-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CC1 ZINC000598232831 350221517 /nfs/dbraw/zinc/22/15/17/350221517.db2.gz IAGNHNLTJFMBMA-LLVKDONJSA-N 0 0 287.319 2.995 20 5 CFBDRN CC[C@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000598233252 350221604 /nfs/dbraw/zinc/22/16/04/350221604.db2.gz MMINJDKYMZIYBK-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000598235554 350222327 /nfs/dbraw/zinc/22/23/27/350222327.db2.gz YLHUCRUMFCVKCE-ZDUSSCGKSA-N 0 0 287.319 2.995 20 5 CFBDRN CC(C)(C)OCCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000598190482 350211197 /nfs/dbraw/zinc/21/11/97/350211197.db2.gz JWOUAJJBOFNZIR-UHFFFAOYSA-N 0 0 286.353 2.591 20 5 CFBDRN Cc1sc(C(=O)NCCC(C)(C)F)cc1[N+](=O)[O-] ZINC000598196945 350211869 /nfs/dbraw/zinc/21/18/69/350211869.db2.gz NHPZGHFBCYAIMN-UHFFFAOYSA-N 0 0 274.317 2.833 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC[C@H]2C[C@H]2C1 ZINC000598328869 350236192 /nfs/dbraw/zinc/23/61/92/350236192.db2.gz HVXDSGJCUMTYPM-QWRGUYRKSA-N 0 0 297.314 2.625 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000598332969 350237473 /nfs/dbraw/zinc/23/74/73/350237473.db2.gz VQDPRHQBSMEGML-VXGBXAGGSA-N 0 0 274.320 2.694 20 5 CFBDRN CCSC1(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000598335415 350238629 /nfs/dbraw/zinc/23/86/29/350238629.db2.gz RRROMDABCUUTQF-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN CC1(C)[C@H](CNC(=O)c2csc([N+](=O)[O-])c2)C1(F)F ZINC000598340059 350240184 /nfs/dbraw/zinc/24/01/84/350240184.db2.gz HHSBTDBRRUUSAE-ZETCQYMHSA-N 0 0 290.291 2.677 20 5 CFBDRN CC1(C)[C@@H](CNC(=O)Cc2ccc([N+](=O)[O-])cc2)C1(F)F ZINC000598339999 350240339 /nfs/dbraw/zinc/24/03/39/350240339.db2.gz FVPNKNMXEBWPDW-LLVKDONJSA-N 0 0 298.289 2.545 20 5 CFBDRN CCC(CC)CCCN(C)C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000598396509 350256597 /nfs/dbraw/zinc/25/65/97/350256597.db2.gz LSWMZMOICRCTRY-UHFFFAOYSA-N 0 0 282.344 2.606 20 5 CFBDRN CC(C)CC1(NC(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000598402316 350257062 /nfs/dbraw/zinc/25/70/62/350257062.db2.gz GZUXYOKYLNGJRY-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)CCC1(F)F ZINC000290944012 197867140 /nfs/dbraw/zinc/86/71/40/197867140.db2.gz ORWOCHPPTPVUJY-ZETCQYMHSA-N 0 0 290.291 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC1=CCCC1 ZINC000598377670 350252314 /nfs/dbraw/zinc/25/23/14/350252314.db2.gz YSKKXLMSIZYNEQ-UHFFFAOYSA-N 0 0 260.293 2.743 20 5 CFBDRN CC(C)[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598381693 350253630 /nfs/dbraw/zinc/25/36/30/350253630.db2.gz RNERDOSEKIBACS-ZDUSSCGKSA-N 0 0 262.309 2.759 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000598382057 350253800 /nfs/dbraw/zinc/25/38/00/350253800.db2.gz VWRLCMXESSSJOJ-LLVKDONJSA-N 0 0 268.338 2.821 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C(C)C)C2CC2)ccc1[N+](=O)[O-] ZINC000598381340 350253819 /nfs/dbraw/zinc/25/38/19/350253819.db2.gz KLJFJJOQXINIIM-AWEZNQCLSA-N 0 0 292.335 2.768 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000598385853 350254302 /nfs/dbraw/zinc/25/43/02/350254302.db2.gz KWJQHTCNSGMLJP-OAHLLOKOSA-N 0 0 291.351 2.702 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000598383975 350254439 /nfs/dbraw/zinc/25/44/39/350254439.db2.gz AQQAYIKHHOHKLU-HNNXBMFYSA-N 0 0 292.335 2.669 20 5 CFBDRN COc1cc(C(=O)N[C@]2(C)CC2(C)C)ccc1[N+](=O)[O-] ZINC000598387449 350255089 /nfs/dbraw/zinc/25/50/89/350255089.db2.gz SXCCIBXURYGURW-CQSZACIVSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000271340133 191083679 /nfs/dbraw/zinc/08/36/79/191083679.db2.gz FXKKURDRULDQFF-JOYOIKCWSA-N 0 0 278.308 2.777 20 5 CFBDRN CSc1ccc(C(=O)N2CC3CC2(C)C3)cc1[N+](=O)[O-] ZINC000598568112 350283472 /nfs/dbraw/zinc/28/34/72/350283472.db2.gz NULDSLWHYPZUHU-UHFFFAOYSA-N 0 0 292.360 2.941 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC2CC1(C)C2 ZINC000598570370 350284342 /nfs/dbraw/zinc/28/43/42/350284342.db2.gz ISDXJVWSXWVCRX-UHFFFAOYSA-N 0 0 290.319 2.618 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc([N+](=O)[O-])o1)C1CCCCC1 ZINC000598437800 350269037 /nfs/dbraw/zinc/26/90/37/350269037.db2.gz PHDWPXZEWGTGSR-LBPRGKRZSA-N 0 0 296.323 2.513 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1[N+](=O)[O-] ZINC000598443797 350270206 /nfs/dbraw/zinc/27/02/06/350270206.db2.gz IERJSKYTSMKNDB-YOGCLGLASA-N 0 0 274.320 2.998 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2CCOC2(C)C)cc1[N+](=O)[O-] ZINC000598452738 350270704 /nfs/dbraw/zinc/27/07/04/350270704.db2.gz BIYBQNDSIWZNLH-VIFPVBQESA-N 0 0 298.364 2.510 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])n[nH]1)N1CCCC[C@@H]1CC1CCC1 ZINC000598461584 350272247 /nfs/dbraw/zinc/27/22/47/350272247.db2.gz UADDDLMIUBQMNJ-LLVKDONJSA-N 0 0 292.339 2.503 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N(CCC1CC1)CC1CC1 ZINC000598466818 350272955 /nfs/dbraw/zinc/27/29/55/350272955.db2.gz WIOHZRZFZAHUAX-UHFFFAOYSA-N 0 0 289.335 2.642 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC1CC(C)(C)C1 ZINC000598504599 350277534 /nfs/dbraw/zinc/27/75/34/350277534.db2.gz JUERHXBXGJRBCN-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cc(C(=O)NC2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000598504644 350277688 /nfs/dbraw/zinc/27/76/88/350277688.db2.gz KVCAJVYOEXSJOT-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN CC1(C)CC(NC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000598504173 350278064 /nfs/dbraw/zinc/27/80/64/350278064.db2.gz GDLNHRVIMLAMRV-UHFFFAOYSA-N 0 0 298.289 2.720 20 5 CFBDRN CC1(C)CC(NC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000598505663 350278456 /nfs/dbraw/zinc/27/84/56/350278456.db2.gz YCEFGZFJZLKOQJ-UHFFFAOYSA-N 0 0 266.272 2.652 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nc(-c3ccoc3)no2)c1 ZINC000105571762 186063851 /nfs/dbraw/zinc/06/38/51/186063851.db2.gz HOYTZJRUBAERAT-UHFFFAOYSA-N 0 0 287.231 2.817 20 5 CFBDRN CCOc1cncc(CNCc2ccccc2[N+](=O)[O-])c1 ZINC000598641915 350299790 /nfs/dbraw/zinc/29/97/90/350299790.db2.gz YZBAIFIHYDGTOQ-UHFFFAOYSA-N 0 0 287.319 2.678 20 5 CFBDRN CCOC(=O)c1ccnc(NCC2CC(C)C2)c1[N+](=O)[O-] ZINC000598642935 350299977 /nfs/dbraw/zinc/29/99/77/350299977.db2.gz JHIGHJRAAOBDAR-UHFFFAOYSA-N 0 0 293.323 2.625 20 5 CFBDRN Cc1ccnc(NC(=O)c2ccc([N+](=O)[O-])cn2)c1C1CC1 ZINC000598763059 350325897 /nfs/dbraw/zinc/32/58/97/350325897.db2.gz JGSAKOIHIHTIQM-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CC1(C)CN(C/C=C/c2ccccc2[N+](=O)[O-])CCO1 ZINC000091917324 180285600 /nfs/dbraw/zinc/28/56/00/180285600.db2.gz ORYMCKGLZHNNDP-FNORWQNLSA-N 0 0 276.336 2.719 20 5 CFBDRN CCC1(CNc2nccc(C(=O)OC)c2[N+](=O)[O-])CCC1 ZINC000598602361 350291690 /nfs/dbraw/zinc/29/16/90/350291690.db2.gz JIKHCKHTCQOXIT-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN COC(=O)c1ccnc(SCC=C(C)C)c1[N+](=O)[O-] ZINC000598621313 350295356 /nfs/dbraw/zinc/29/53/56/350295356.db2.gz JSXOLYFSUKRKLT-UHFFFAOYSA-N 0 0 282.321 2.835 20 5 CFBDRN CCCC[C@H](C(=O)Nc1c([N+](=O)[O-])cnn1C)C(C)C ZINC000598827521 350335611 /nfs/dbraw/zinc/33/56/11/350335611.db2.gz INROFDAGJSKAQU-JTQLQIEISA-N 0 0 282.344 2.729 20 5 CFBDRN Cc1cc(NC(=O)CCCF)c2cc([N+](=O)[O-])ccc2n1 ZINC000598827626 350335825 /nfs/dbraw/zinc/33/58/25/350335825.db2.gz KAMUEDUWBCZDTM-UHFFFAOYSA-N 0 0 291.282 2.562 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1cc([N+](=O)[O-])ccc1C)CC2 ZINC000598939383 350347347 /nfs/dbraw/zinc/34/73/47/350347347.db2.gz ISZMYRRXRZQUOB-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1[C@@H](C)[C@H](C)O[C@H]1C ZINC000598953838 350347975 /nfs/dbraw/zinc/34/79/75/350347975.db2.gz FMDQQPJUNNERFK-RMIALFOJSA-N 0 0 292.335 2.901 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000598953933 350348296 /nfs/dbraw/zinc/34/82/96/350348296.db2.gz HPOCBLHIXXAGSS-XHSYRHOGSA-N 0 0 278.308 2.593 20 5 CFBDRN COC1CC(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000598962639 350349499 /nfs/dbraw/zinc/34/94/99/350349499.db2.gz VFNUGTZYUCKDMR-UHFFFAOYSA-N 0 0 278.308 2.575 20 5 CFBDRN C/C=C/CNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000598971737 350351739 /nfs/dbraw/zinc/35/17/39/350351739.db2.gz COLFSWBNEHWTRS-FSIBCCDJSA-N 0 0 263.297 2.531 20 5 CFBDRN Cc1cnc(NC[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000271361577 191097084 /nfs/dbraw/zinc/09/70/84/191097084.db2.gz OTCPNAQQSZPOMC-QWRGUYRKSA-N 0 0 265.313 2.525 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@@]2(CCOC2)C1 ZINC000599061049 350368115 /nfs/dbraw/zinc/36/81/15/350368115.db2.gz KAAWFNLIXPDMEP-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN C/C=C\CNC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000599001333 350357610 /nfs/dbraw/zinc/35/76/10/350357610.db2.gz RPCAVQPOASEWEG-DOGVGXBMSA-N 0 0 277.324 2.840 20 5 CFBDRN CSCCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599011855 350358832 /nfs/dbraw/zinc/35/88/32/350358832.db2.gz AJNLQCNBZFGWAD-UHFFFAOYSA-N 0 0 263.322 2.918 20 5 CFBDRN COCCCCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599020369 350359533 /nfs/dbraw/zinc/35/95/33/350359533.db2.gz UEMZJZBHLFEGFH-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN Cc1c(CC(=O)NCC[C@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000598779219 350328505 /nfs/dbraw/zinc/32/85/05/350328505.db2.gz BZPDQIHEISWJLY-NSHDSACASA-N 0 0 298.289 2.607 20 5 CFBDRN C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCO1 ZINC000598802907 350330494 /nfs/dbraw/zinc/33/04/94/350330494.db2.gz JJZAYCPPVCWFPN-GXFFZTMASA-N 0 0 278.308 2.739 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCC1(C)C ZINC000598802312 350330631 /nfs/dbraw/zinc/33/06/31/350330631.db2.gz VDAACCXERZLJHJ-SNVBAGLBSA-N 0 0 278.308 2.978 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000598805352 350331043 /nfs/dbraw/zinc/33/10/43/350331043.db2.gz IMOUGAJDKOUFBZ-MEWQQHAOSA-N 0 0 286.331 2.919 20 5 CFBDRN C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCO1 ZINC000598807106 350331662 /nfs/dbraw/zinc/33/16/62/350331662.db2.gz DPYBWSMWNVDRLT-TVQRCGJNSA-N 0 0 296.298 2.878 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H]1C[C@H]2C[C@H]2C1 ZINC000598808365 350331865 /nfs/dbraw/zinc/33/18/65/350331865.db2.gz OVOJRRRFHKDGOJ-BRPSZJMVSA-N 0 0 264.256 2.719 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@H]2CCOC2)ccc2cnccc21 ZINC000599065817 350368997 /nfs/dbraw/zinc/36/89/97/350368997.db2.gz OFDZTYGHPSNKNZ-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN CO[C@@H](C)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599068760 350370302 /nfs/dbraw/zinc/37/03/02/350370302.db2.gz ODZMKXDZPQJXOP-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN CO[C@H](C)CN(C)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000599083536 350372271 /nfs/dbraw/zinc/37/22/71/350372271.db2.gz YUSOQPAGFUBTFX-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC[C@H]3c3cn[nH]c3)nc2c1 ZINC000599098489 350376022 /nfs/dbraw/zinc/37/60/22/350376022.db2.gz KOPWPYNWJJEYCW-ZDUSSCGKSA-N 0 0 298.306 2.536 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCCc3ccco3)nc2c1 ZINC000105797168 186071524 /nfs/dbraw/zinc/07/15/24/186071524.db2.gz LALSDLFQQQKHRH-UHFFFAOYSA-N 0 0 272.264 2.719 20 5 CFBDRN C[C@H](NC(=O)C12CC(C1)C2)c1cccc([N+](=O)[O-])c1 ZINC000599105229 350376368 /nfs/dbraw/zinc/37/63/68/350376368.db2.gz XCPGYIWWIDIBAA-IPWFMCSPSA-N 0 0 260.293 2.572 20 5 CFBDRN COC1CC(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000599112993 350377278 /nfs/dbraw/zinc/37/72/78/350377278.db2.gz JLSCMKJWPGLKTD-UHFFFAOYSA-N 0 0 275.264 2.537 20 5 CFBDRN Nc1c(F)c(NCC[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000599116445 350377778 /nfs/dbraw/zinc/37/77/78/350377778.db2.gz ILOBYOGVNWADGY-VIFPVBQESA-N 0 0 283.303 2.545 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cn2)C[C@H](C)C1(F)F ZINC000599116620 350378086 /nfs/dbraw/zinc/37/80/86/350378086.db2.gz BIDJKKWNFISSPF-IUCAKERBSA-N 0 0 271.267 2.717 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC[C@H]1CCCOC1 ZINC000599116743 350378100 /nfs/dbraw/zinc/37/81/00/350378100.db2.gz MQCXDDHRGANRRI-SECBINFHSA-N 0 0 285.731 2.872 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC[C@H]1CCCOC1 ZINC000599117489 350378301 /nfs/dbraw/zinc/37/83/01/350378301.db2.gz VOAJTPOCYFFOLL-SNVBAGLBSA-N 0 0 268.288 2.963 20 5 CFBDRN C[C@H]1CN(c2cccnc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000599117662 350378592 /nfs/dbraw/zinc/37/85/92/350378592.db2.gz LVRMDYUBVRSSMA-IUCAKERBSA-N 0 0 271.267 2.717 20 5 CFBDRN Cc1cc(S[C@H]2COC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000599124858 350380175 /nfs/dbraw/zinc/38/01/75/350380175.db2.gz BEVZOBBYEYDSBB-SECBINFHSA-N 0 0 268.338 2.958 20 5 CFBDRN CC1(C)C[C@H](Sc2cccnc2[N+](=O)[O-])CO1 ZINC000599124897 350380362 /nfs/dbraw/zinc/38/03/62/350380362.db2.gz DDFCCNDPAMFPNE-QMMMGPOBSA-N 0 0 254.311 2.649 20 5 CFBDRN COC1CC(c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)C1 ZINC000599132145 350380816 /nfs/dbraw/zinc/38/08/16/350380816.db2.gz FDHZGMPLMDRQNK-UHFFFAOYSA-N 0 0 289.291 2.846 20 5 CFBDRN CCc1nn(C)c(SCc2ccccn2)c1[N+](=O)[O-] ZINC000599125717 350380909 /nfs/dbraw/zinc/38/09/09/350380909.db2.gz KTOYEYDDCQPQGD-UHFFFAOYSA-N 0 0 278.337 2.578 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC(F)(F)CC2)nc1 ZINC000599134583 350381489 /nfs/dbraw/zinc/38/14/89/350381489.db2.gz XQEPAWYSIDMUIB-UHFFFAOYSA-N 0 0 257.240 2.615 20 5 CFBDRN CC(C)CC[C@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000599137796 350382919 /nfs/dbraw/zinc/38/29/19/350382919.db2.gz SLWUREPIMSNYFP-SECBINFHSA-N 0 0 287.747 2.852 20 5 CFBDRN CC(C)CC[C@@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000599137798 350383016 /nfs/dbraw/zinc/38/30/16/350383016.db2.gz SLWUREPIMSNYFP-VIFPVBQESA-N 0 0 287.747 2.852 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCC12CC2 ZINC000599140226 350383095 /nfs/dbraw/zinc/38/30/95/350383095.db2.gz SYBPHOFGQDXUSX-AWEZNQCLSA-N 0 0 288.347 2.834 20 5 CFBDRN CC1(C)OC[C@H]2C[C@]21Nc1ncc([N+](=O)[O-])cc1Cl ZINC000599141851 350383835 /nfs/dbraw/zinc/38/38/35/350383835.db2.gz FBVVRQUULALNET-KRTXAFLBSA-N 0 0 283.715 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC4(C3)CCCO4)ccc2c1 ZINC000599144099 350384218 /nfs/dbraw/zinc/38/42/18/350384218.db2.gz IMYPMPXZXDYAIU-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H]2CC=CCC2)s1 ZINC000599155683 350386043 /nfs/dbraw/zinc/38/60/43/350386043.db2.gz KYJUZDALQLFWRL-SECBINFHSA-N 0 0 253.327 2.632 20 5 CFBDRN CCC(F)(F)C(C)(C)CNc1ccncc1[N+](=O)[O-] ZINC000599155432 350386444 /nfs/dbraw/zinc/38/64/44/350386444.db2.gz AHOXFSJUSZWJLE-UHFFFAOYSA-N 0 0 273.283 2.895 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCC[C@@H]1CC=CCC1 ZINC000599155774 350386471 /nfs/dbraw/zinc/38/64/71/350386471.db2.gz AKPQOTCFLRMIPR-LLVKDONJSA-N 0 0 289.335 2.852 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C ZINC000599162857 350386893 /nfs/dbraw/zinc/38/68/93/350386893.db2.gz APKRTSNAJNXXEF-WYFGTUCQSA-N 0 0 265.313 2.522 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(F)(F)C[C@@H]1C ZINC000599162642 350387001 /nfs/dbraw/zinc/38/70/01/350387001.db2.gz OSIRQJAWXQVSLI-QMMMGPOBSA-N 0 0 288.298 2.744 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1c1ccc([N+](=O)[O-])nc1 ZINC000599163674 350387494 /nfs/dbraw/zinc/38/74/94/350387494.db2.gz XNAUJRLDTGQKEI-QMMMGPOBSA-N 0 0 257.240 2.614 20 5 CFBDRN CCOc1cccc(N2CCO[C@H](CCF)C2)c1[N+](=O)[O-] ZINC000599168414 350388103 /nfs/dbraw/zinc/38/81/03/350388103.db2.gz DLPQGOGCCAFEGE-LLVKDONJSA-N 0 0 298.314 2.558 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])s2)C[C@H]2C[C@H]2C1 ZINC000599171434 350388677 /nfs/dbraw/zinc/38/86/77/350388677.db2.gz HBPDRLVCZFCPFO-VROVMSAKSA-N 0 0 253.327 2.899 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H]3CCC[C@]3(CO)C2)c1 ZINC000599174483 350389241 /nfs/dbraw/zinc/38/92/41/350389241.db2.gz QGDMHVXQAYAALU-SWLSCSKDSA-N 0 0 276.336 2.502 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CO[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000599180839 350390748 /nfs/dbraw/zinc/39/07/48/350390748.db2.gz MPPOXYAWJMURFI-IUODEOHRSA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CO[C@@H](C3CC3)C2)s1 ZINC000599180520 350390811 /nfs/dbraw/zinc/39/08/11/350390811.db2.gz KZIAPUYKCZZCKB-RKDXNWHRSA-N 0 0 254.311 2.636 20 5 CFBDRN COc1cccc2c1CN(c1cc(C)c([N+](=O)[O-])cn1)C2 ZINC000599180273 350390861 /nfs/dbraw/zinc/39/08/61/350390861.db2.gz KCRXGSJOZPUQGZ-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN C/C=C/CS(=O)(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000105714852 186068636 /nfs/dbraw/zinc/06/86/36/186068636.db2.gz ZGIPCYSDFCPUJF-FSIBCCDJSA-N 0 0 269.322 2.647 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@H](c2ccc(O)cc2)C1 ZINC000599190259 350392637 /nfs/dbraw/zinc/39/26/37/350392637.db2.gz AYFMFENAZWDROH-LBPRGKRZSA-N 0 0 285.303 2.689 20 5 CFBDRN C[C@@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000599189765 350392764 /nfs/dbraw/zinc/39/27/64/350392764.db2.gz WFJYVKKRCDYVLD-NSHDSACASA-N 0 0 288.303 2.998 20 5 CFBDRN CCC(O)(CC)CN(C)c1c(C)cccc1[N+](=O)[O-] ZINC000599189189 350393050 /nfs/dbraw/zinc/39/30/50/350393050.db2.gz MPLFTYPFMMNZKS-UHFFFAOYSA-N 0 0 266.341 2.891 20 5 CFBDRN COc1cc(N[C@H]2C[C@H](OC)C2)c([N+](=O)[O-])cc1C ZINC000599196275 350394404 /nfs/dbraw/zinc/39/44/04/350394404.db2.gz XMIOFISESDMKCX-MGCOHNPYSA-N 0 0 266.297 2.501 20 5 CFBDRN CN(CCNc1ccc([N+](=O)[O-])cc1Cl)CC(F)F ZINC000599201701 350395950 /nfs/dbraw/zinc/39/59/50/350395950.db2.gz HGYFATYRTRCGJK-UHFFFAOYSA-N 0 0 293.701 2.857 20 5 CFBDRN Cc1cc(NCCN(C)CC(F)F)ccc1[N+](=O)[O-] ZINC000599201425 350395954 /nfs/dbraw/zinc/39/59/54/350395954.db2.gz DEDKZDKKTZTIFL-UHFFFAOYSA-N 0 0 273.283 2.512 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1C[C@@H](OC)C1 ZINC000599205079 350395965 /nfs/dbraw/zinc/39/59/65/350395965.db2.gz CSHVCVGWWVRLNS-GSJFWJNXSA-N 0 0 280.324 2.578 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2F)C1 ZINC000599206959 350396575 /nfs/dbraw/zinc/39/65/75/350396575.db2.gz SILPZDYETQRSIU-WAAGHKOSSA-N 0 0 254.261 2.571 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000599207037 350396590 /nfs/dbraw/zinc/39/65/90/350396590.db2.gz VJZYIUOGCYVPNP-OTSSQURYSA-N 0 0 272.251 2.710 20 5 CFBDRN CCO[C@H](C)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129716912 187449027 /nfs/dbraw/zinc/44/90/27/187449027.db2.gz DNJJYDPUQZQCAO-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN COCCC1CCN(Cc2csc([N+](=O)[O-])c2)CC1 ZINC000599506711 350448247 /nfs/dbraw/zinc/44/82/47/350448247.db2.gz GJRGRHBARDCJCE-UHFFFAOYSA-N 0 0 284.381 2.905 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000415328985 233487525 /nfs/dbraw/zinc/48/75/25/233487525.db2.gz CSVQALBCZSKNHY-CYBMUJFWSA-N 0 0 280.324 2.619 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C23CC(C2)C3)cc1[N+](=O)[O-] ZINC000599634723 350468633 /nfs/dbraw/zinc/46/86/33/350468633.db2.gz KTORJNNEVZIYDN-RWWNRMGGSA-N 0 0 274.320 2.881 20 5 CFBDRN COC(=O)[C@](C)(NCC=C(C)C)c1cccc([N+](=O)[O-])c1 ZINC000599642809 350470716 /nfs/dbraw/zinc/47/07/16/350470716.db2.gz KIHSMFXLWJZBDJ-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@@H](CCF)C1 ZINC000599641002 350471155 /nfs/dbraw/zinc/47/11/55/350471155.db2.gz GJOSFRQGVXPHTE-FZMZJTMJSA-N 0 0 282.315 2.716 20 5 CFBDRN CC(C)(C)OC(=O)C(C)(C)NCc1cccc([N+](=O)[O-])c1 ZINC000599648077 350472447 /nfs/dbraw/zinc/47/24/47/350472447.db2.gz FIWWDDKJJNYXFZ-UHFFFAOYSA-N 0 0 294.351 2.805 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC1CC=CC1 ZINC000599697146 350485124 /nfs/dbraw/zinc/48/51/24/350485124.db2.gz VTIHLNKOJOUMKF-UHFFFAOYSA-N 0 0 275.308 2.991 20 5 CFBDRN O=C(NCC[C@H]1CCCOC1)Nc1cccc([N+](=O)[O-])c1 ZINC000599696213 350485397 /nfs/dbraw/zinc/48/53/97/350485397.db2.gz PMHJVNGJFZOLIX-LLVKDONJSA-N 0 0 293.323 2.533 20 5 CFBDRN CC(C)(C)c1noc(OCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000107419683 186173832 /nfs/dbraw/zinc/17/38/32/186173832.db2.gz PMJCWWNDRONLIE-UHFFFAOYSA-N 0 0 291.307 2.897 20 5 CFBDRN C/C=C/CNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000599791350 350493363 /nfs/dbraw/zinc/49/33/63/350493363.db2.gz OLTYAGNYZKYGKI-SNAWJCMRSA-N 0 0 263.297 2.909 20 5 CFBDRN CCC[C@H](CNC(=O)c1csc([N+](=O)[O-])c1)OCC ZINC000600176865 350532146 /nfs/dbraw/zinc/53/21/46/350532146.db2.gz YPLVUTWTXWKRFL-SNVBAGLBSA-N 0 0 286.353 2.591 20 5 CFBDRN CSCCCn1cc([N+](=O)[O-])c(-c2ccc(F)cn2)n1 ZINC000600544014 350568601 /nfs/dbraw/zinc/56/86/01/350568601.db2.gz GZRRTLZCYWLSER-UHFFFAOYSA-N 0 0 296.327 2.746 20 5 CFBDRN CC(C)[C@@H](O)CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000600569398 350572736 /nfs/dbraw/zinc/57/27/36/350572736.db2.gz ODXKKXQUCFWHFA-ZDUSSCGKSA-N 0 0 285.365 2.724 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@H]2CC[C@@H](C1)S2 ZINC000600277861 350546784 /nfs/dbraw/zinc/54/67/84/350546784.db2.gz DWBOMGDZSMBAOZ-OLZOCXBDSA-N 0 0 292.360 2.705 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N(C1CC1)C1CC1 ZINC000600357976 350549592 /nfs/dbraw/zinc/54/95/92/350549592.db2.gz CCQXHLSWDHFIOB-UHFFFAOYSA-N 0 0 274.320 2.681 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C1CC1)C1CC1 ZINC000600360380 350549605 /nfs/dbraw/zinc/54/96/05/350549605.db2.gz OESVWIIOIQAICU-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N(C1CC1)C1CC1 ZINC000600358038 350549818 /nfs/dbraw/zinc/54/98/18/350549818.db2.gz DKEJUTONMXBIAS-UHFFFAOYSA-N 0 0 285.303 2.843 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000600380372 350552516 /nfs/dbraw/zinc/55/25/16/350552516.db2.gz TYBXFWYJFCQNOC-UHFFFAOYSA-N 0 0 286.278 2.987 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC[C@H]1CC=CCC1 ZINC000600392606 350553044 /nfs/dbraw/zinc/55/30/44/350553044.db2.gz ILHRENGMDKIKTK-ZDUSSCGKSA-N 0 0 288.347 3.000 20 5 CFBDRN C/C=C/CNC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000600489423 350558650 /nfs/dbraw/zinc/55/86/50/350558650.db2.gz GFFZDHQPRLDWSZ-NSCUHMNNSA-N 0 0 286.234 2.502 20 5 CFBDRN CO[C@H](Cn1nc(C)c([N+](=O)[O-])c1C)c1ccc(F)cc1 ZINC000600507181 350560420 /nfs/dbraw/zinc/56/04/20/350560420.db2.gz BDBIIKCEGCBUCK-CYBMUJFWSA-N 0 0 293.298 2.935 20 5 CFBDRN CCO[C@H](COc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000600510177 350561054 /nfs/dbraw/zinc/56/10/54/350561054.db2.gz ZZLOJZYRFQRWJH-CYBMUJFWSA-N 0 0 251.282 2.789 20 5 CFBDRN CC1(C)CC(Cn2c3cc([N+](=O)[O-])ccc3oc2=O)C1 ZINC000600516998 350562334 /nfs/dbraw/zinc/56/23/34/350562334.db2.gz RXPLCGNAIPMISA-UHFFFAOYSA-N 0 0 276.292 2.939 20 5 CFBDRN O=c1ccn(C2CC(Oc3ccccc3)C2)cc1[N+](=O)[O-] ZINC000600524091 350563059 /nfs/dbraw/zinc/56/30/59/350563059.db2.gz BXFWEMKMZQBKJT-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2csc(-c3ccoc3)n2)cn1 ZINC000108147164 186207486 /nfs/dbraw/zinc/20/74/86/186207486.db2.gz ZNUOWYRCRNJZFW-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)SCCC(N)=O ZINC000600604537 350578984 /nfs/dbraw/zinc/57/89/84/350578984.db2.gz CBBWBJMFXNAUJG-SECBINFHSA-N 0 0 268.338 2.573 20 5 CFBDRN Cc1noc(CSCc2ccccc2[N+](=O)[O-])n1 ZINC000108411331 186215822 /nfs/dbraw/zinc/21/58/22/186215822.db2.gz UWVHGVUBNZNHIF-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCc2ncccc2Cl)c1 ZINC000600640181 350589754 /nfs/dbraw/zinc/58/97/54/350589754.db2.gz HPVJHYIJEJZXQS-UHFFFAOYSA-N 0 0 298.755 2.773 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CC1CC(C)(C)C1 ZINC000600672761 350594283 /nfs/dbraw/zinc/59/42/83/350594283.db2.gz RRWYFLSGNIGLGE-UHFFFAOYSA-N 0 0 250.298 2.501 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)[O-])C[C@H](C)[N@H+]1Cc1ccc([N+](=O)[O-])cc1 ZINC000600676488 350595547 /nfs/dbraw/zinc/59/55/47/350595547.db2.gz XRHAHPYJEMZZQD-PTEHBNRSSA-N 0 0 292.335 2.668 20 5 CFBDRN C[C@@H]1CC(C(=O)[O-])C[C@@H](C)[NH+]1Cc1ccc([N+](=O)[O-])cc1 ZINC000600676486 350595904 /nfs/dbraw/zinc/59/59/04/350595904.db2.gz XRHAHPYJEMZZQD-GHMZBOCLSA-N 0 0 292.335 2.668 20 5 CFBDRN CCOc1cc(N[C@]2(C)CCOC2)ccc1[N+](=O)[O-] ZINC000092688766 180429467 /nfs/dbraw/zinc/42/94/67/180429467.db2.gz MNDHHBBCKXMDNM-CYBMUJFWSA-N 0 0 266.297 2.584 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000600703480 350598464 /nfs/dbraw/zinc/59/84/64/350598464.db2.gz WNIMRQVVHZMAAE-OTDNITJGSA-N 0 0 277.324 2.741 20 5 CFBDRN CC(C)[C@@H]1C[C@H](NC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000271389724 191112675 /nfs/dbraw/zinc/11/26/75/191112675.db2.gz QXZRXXMQRZPMGE-RISCZKNCSA-N 0 0 292.335 2.528 20 5 CFBDRN CCCCN(CCOC)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000129849535 187456701 /nfs/dbraw/zinc/45/67/01/187456701.db2.gz XJFSZOUQFFQRJB-UHFFFAOYSA-N 0 0 298.314 2.623 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCCOC2)ccc2ncccc21 ZINC000109548337 186261939 /nfs/dbraw/zinc/26/19/39/186261939.db2.gz LTLBWGRMYDQSSW-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN CC(C)Cc1noc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)n1 ZINC000109561001 186262510 /nfs/dbraw/zinc/26/25/10/186262510.db2.gz ZMUMRMSINCJPFS-UHFFFAOYSA-N 0 0 292.251 2.752 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H](C)O[C@H]1C ZINC000600894249 350624092 /nfs/dbraw/zinc/62/40/92/350624092.db2.gz PREXJBJIXAVXGO-SDDRHHMPSA-N 0 0 292.335 2.964 20 5 CFBDRN COCC(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000109734402 186271252 /nfs/dbraw/zinc/27/12/52/186271252.db2.gz MVHRYVZIGIRKKV-UHFFFAOYSA-N 0 0 278.308 2.517 20 5 CFBDRN C[C@]12CCCC[C@H]1CN2C(=O)c1cccc([N+](=O)[O-])c1N ZINC000600761898 350607202 /nfs/dbraw/zinc/60/72/02/350607202.db2.gz SYFLVSQHRSNZER-BONVTDFDSA-N 0 0 289.335 2.582 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1CCCC12CC2 ZINC000600803596 350615297 /nfs/dbraw/zinc/61/52/97/350615297.db2.gz KTZHCRNMNTVCBJ-ZDUSSCGKSA-N 0 0 288.347 2.834 20 5 CFBDRN CC(C)CCn1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000600966982 350638613 /nfs/dbraw/zinc/63/86/13/350638613.db2.gz OGOJRQIGQMZBOQ-UHFFFAOYSA-N 0 0 290.323 2.811 20 5 CFBDRN Cc1n[nH]c(C)c1[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000110038343 186283072 /nfs/dbraw/zinc/28/30/72/186283072.db2.gz MIAVHFGOQMRXEV-QMMMGPOBSA-N 0 0 288.307 2.677 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1ccncc1 ZINC000600985940 350641986 /nfs/dbraw/zinc/64/19/86/350641986.db2.gz WVJWIXWQSSWGPG-NSHDSACASA-N 0 0 297.314 2.683 20 5 CFBDRN CCCc1[nH]nc(C(=O)Nc2ccccc2C)c1[N+](=O)[O-] ZINC000110038192 186283367 /nfs/dbraw/zinc/28/33/67/186283367.db2.gz ZALSCPKDTWNBHM-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000600996018 350643339 /nfs/dbraw/zinc/64/33/39/350643339.db2.gz PHJNDSMSPIURCV-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000600996017 350643416 /nfs/dbraw/zinc/64/34/16/350643416.db2.gz PHJNDSMSPIURCV-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000110330462 186292780 /nfs/dbraw/zinc/29/27/80/186292780.db2.gz RACNJGWFSJTVIO-SNVBAGLBSA-N 0 0 280.324 2.722 20 5 CFBDRN O=C(NC[C@H]1C[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000110452449 186296845 /nfs/dbraw/zinc/29/68/45/186296845.db2.gz WXNADHOYCAVIGA-VXGBXAGGSA-N 0 0 286.287 2.721 20 5 CFBDRN COC(=O)C1(NCc2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000109800075 186273389 /nfs/dbraw/zinc/27/33/89/186273389.db2.gz VMWLXRLQQZKVMX-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC[C@H](c2ccco2)C1 ZINC000601116842 350672562 /nfs/dbraw/zinc/67/25/62/350672562.db2.gz YTKLXMRTHANQKY-VIFPVBQESA-N 0 0 277.255 2.716 20 5 CFBDRN C[C@@H](CCc1cccn1C)Nc1ncc(F)cc1[N+](=O)[O-] ZINC000601120930 350673688 /nfs/dbraw/zinc/67/36/88/350673688.db2.gz KJIKSBNOMNYXLN-JTQLQIEISA-N 0 0 292.314 2.901 20 5 CFBDRN CC[C@H](O)CCCNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601120043 350673742 /nfs/dbraw/zinc/67/37/42/350673742.db2.gz ZJBJEOSLXGLRAA-LBPRGKRZSA-N 0 0 289.335 2.528 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCO[C@@H]2C)c([N+](=O)[O-])c1 ZINC000601073579 350659202 /nfs/dbraw/zinc/65/92/02/350659202.db2.gz COYHDUPTYYPCIA-MNOVXSKESA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@H]2CC(C)(C)CO2)n1 ZINC000601081106 350662291 /nfs/dbraw/zinc/66/22/91/350662291.db2.gz YGTVNHPADNQGTD-LLVKDONJSA-N 0 0 280.324 2.801 20 5 CFBDRN C[C@H]1OCC[C@@H]1COc1c(F)cccc1[N+](=O)[O-] ZINC000601082153 350662337 /nfs/dbraw/zinc/66/23/37/350662337.db2.gz YFPZUZWTRIQCKI-RKDXNWHRSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1C[C@H](C)N(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000601122131 350674792 /nfs/dbraw/zinc/67/47/92/350674792.db2.gz RRAOPPCRNXUQLU-RQJHMYQMSA-N 0 0 292.261 2.582 20 5 CFBDRN CCOC(=O)CCSCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000601094625 350666612 /nfs/dbraw/zinc/66/66/12/350666612.db2.gz AWQYHGQGTKHJQA-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN C[C@@H](C1CC1)N(C)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601103243 350668988 /nfs/dbraw/zinc/66/89/88/350668988.db2.gz QZEKMBGDRRDOMF-LURJTMIESA-N 0 0 292.261 2.582 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000601223692 350702206 /nfs/dbraw/zinc/70/22/06/350702206.db2.gz LACRQEANVPPZDX-OPRDCNLKSA-N 0 0 266.297 2.581 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)[C@H](C)O1 ZINC000601223475 350702295 /nfs/dbraw/zinc/70/22/95/350702295.db2.gz CONDEFLDENQLGH-FTGAXOIBSA-N 0 0 287.319 2.543 20 5 CFBDRN COCC1(C2CC2)CN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000601226209 350702915 /nfs/dbraw/zinc/70/29/15/350702915.db2.gz MACQPGXKAMYNIW-UHFFFAOYSA-N 0 0 282.365 2.828 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C(F)F)CC2)c([N+](=O)[O-])s1 ZINC000601230396 350704075 /nfs/dbraw/zinc/70/40/75/350704075.db2.gz AVOIMFUOMXBWAP-SECBINFHSA-N 0 0 292.307 2.825 20 5 CFBDRN Cc1cccc(N2CCO[C@@H](C(F)F)CC2)c1[N+](=O)[O-] ZINC000601230450 350704335 /nfs/dbraw/zinc/70/43/35/350704335.db2.gz CHCGGUSHJHDPGR-LLVKDONJSA-N 0 0 286.278 2.764 20 5 CFBDRN CC[C@@]1(O)CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000601234303 350706527 /nfs/dbraw/zinc/70/65/27/350706527.db2.gz TZGWRXLZEYNUDI-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](O)C(F)(F)F ZINC000601237829 350707104 /nfs/dbraw/zinc/70/71/04/350707104.db2.gz MRGVXXXPWUWVPF-MLUIRONXSA-N 0 0 298.648 2.972 20 5 CFBDRN Cc1cc(N[C@H](C)[C@H](O)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000601237972 350707182 /nfs/dbraw/zinc/70/71/82/350707182.db2.gz PVAJAYDUKGYEOR-LDWIPMOCSA-N 0 0 296.220 2.766 20 5 CFBDRN Cc1ccnc(N2CCC(C)(F)CC2)c1[N+](=O)[O-] ZINC000601246957 350708537 /nfs/dbraw/zinc/70/85/37/350708537.db2.gz VUCTTWMFXWJVNQ-UHFFFAOYSA-N 0 0 253.277 2.627 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCCn2nccc21 ZINC000601270541 350713765 /nfs/dbraw/zinc/71/37/65/350713765.db2.gz YOKUNEBISILXRP-SNVBAGLBSA-N 0 0 258.281 2.738 20 5 CFBDRN O=[N+]([O-])c1cnc(N2OC3CCC2CC3)c(Cl)c1 ZINC000601273497 350714444 /nfs/dbraw/zinc/71/44/44/350714444.db2.gz VWDNBNYXOUUGKP-UHFFFAOYSA-N 0 0 269.688 2.706 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C)C1CCC1 ZINC000601134551 350678075 /nfs/dbraw/zinc/67/80/75/350678075.db2.gz DKPNWVVUQQBFOY-LURJTMIESA-N 0 0 292.261 2.948 20 5 CFBDRN CS[C@H](C)CNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601134183 350678112 /nfs/dbraw/zinc/67/81/12/350678112.db2.gz BAWHJEBNEGCOMJ-RXMQYKEDSA-N 0 0 298.290 2.511 20 5 CFBDRN C[C@@H]1CCN(c2ncc(F)cc2[N+](=O)[O-])C[C@@H]1C ZINC000601135449 350678174 /nfs/dbraw/zinc/67/81/74/350678174.db2.gz FEYSHCHOLRVGIB-BDAKNGLRSA-N 0 0 253.277 2.611 20 5 CFBDRN CS[C@@H]1CCCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601133155 350678449 /nfs/dbraw/zinc/67/84/49/350678449.db2.gz UZPIAIWQHPIEOR-SNVBAGLBSA-N 0 0 285.344 2.851 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC2(CC2)CC1 ZINC000601142365 350681308 /nfs/dbraw/zinc/68/13/08/350681308.db2.gz ZCDKKJJBZLTOBJ-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN COCC1=CCN(c2ccnc3ccc([N+](=O)[O-])cc32)CC1 ZINC000601146029 350682179 /nfs/dbraw/zinc/68/21/79/350682179.db2.gz ZOPXGLWQMRSAQG-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CN(CCCCCO)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601155620 350683889 /nfs/dbraw/zinc/68/38/89/350683889.db2.gz BZGINYMNLONNDB-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NC3CC(F)(F)C3)c2c1 ZINC000601156134 350684648 /nfs/dbraw/zinc/68/46/48/350684648.db2.gz RUTAQEOCGFEZGM-UHFFFAOYSA-N 0 0 279.246 2.775 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@H]1CCC(F)(F)C1 ZINC000601159850 350685133 /nfs/dbraw/zinc/68/51/33/350685133.db2.gz PDAYJNLXJJPFKL-ZETCQYMHSA-N 0 0 275.230 2.976 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@@H]1C[C@H]1C1CC1 ZINC000601160546 350685289 /nfs/dbraw/zinc/68/52/89/350685289.db2.gz YVFHUIDGHSMTIE-NKWVEPMBSA-N 0 0 290.245 2.558 20 5 CFBDRN C[C@@H]1CN(c2ncc(F)cc2[N+](=O)[O-])CCC1(F)F ZINC000601166197 350686569 /nfs/dbraw/zinc/68/65/69/350686569.db2.gz LBCBQLDRUPXBAC-SSDOTTSWSA-N 0 0 275.230 2.610 20 5 CFBDRN C[C@@]12CCN(c3ncc(F)cc3[N+](=O)[O-])C[C@@H]1C2(F)F ZINC000601168141 350687264 /nfs/dbraw/zinc/68/72/64/350687264.db2.gz PVPZUHGSYLHORZ-GXSJLCMTSA-N 0 0 287.241 2.610 20 5 CFBDRN CC(C)[C@H]1CCN1c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601171168 350688107 /nfs/dbraw/zinc/68/81/07/350688107.db2.gz HGHWJGHLAWBOBA-SSDOTTSWSA-N 0 0 292.261 2.582 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@H]1CCOC2(CCC2)C1 ZINC000601171992 350688655 /nfs/dbraw/zinc/68/86/55/350688655.db2.gz SOAQJOZHHGGCOX-JTQLQIEISA-N 0 0 295.314 2.890 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111027908 186345617 /nfs/dbraw/zinc/34/56/17/186345617.db2.gz NLDPLBNPVMGHLD-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN COCC1CN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC000601186143 350691518 /nfs/dbraw/zinc/69/15/18/350691518.db2.gz ISTUWUDUVROIDD-UHFFFAOYSA-N 0 0 290.241 2.696 20 5 CFBDRN CC(C)(CNc1sccc1[N+](=O)[O-])n1cccn1 ZINC000601197475 350694283 /nfs/dbraw/zinc/69/42/83/350694283.db2.gz BIOCDSGCQDDBTO-UHFFFAOYSA-N 0 0 266.326 2.700 20 5 CFBDRN CC(C)(CNc1c(F)cccc1[N+](=O)[O-])n1ccnc1 ZINC000601198417 350694723 /nfs/dbraw/zinc/69/47/23/350694723.db2.gz XAVDIFUYAYXDPW-UHFFFAOYSA-N 0 0 278.287 2.778 20 5 CFBDRN CC1(C)C[C@H](Sc2ncc(F)cc2[N+](=O)[O-])CO1 ZINC000601200640 350695332 /nfs/dbraw/zinc/69/53/32/350695332.db2.gz DVEXHIQXGFVERA-QMMMGPOBSA-N 0 0 272.301 2.789 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601201919 350696009 /nfs/dbraw/zinc/69/60/09/350696009.db2.gz VWPHTBXOYMHVKG-VIFPVBQESA-N 0 0 264.256 2.572 20 5 CFBDRN CC(C)(F)CNc1ncc([N+](=O)[O-])cc1Br ZINC000601205955 350696759 /nfs/dbraw/zinc/69/67/59/350696759.db2.gz KPGNQRWYJARNBE-UHFFFAOYSA-N 0 0 292.108 2.912 20 5 CFBDRN CC(=O)c1ccc(NCC(C)(C)F)c([N+](=O)[O-])c1 ZINC000601206001 350696972 /nfs/dbraw/zinc/69/69/72/350696972.db2.gz LMXDAKNVCHIVRN-UHFFFAOYSA-N 0 0 254.261 2.957 20 5 CFBDRN CSC1CCN(c2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000601207892 350697550 /nfs/dbraw/zinc/69/75/50/350697550.db2.gz SGONEJDVRDLTFA-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCCC23CC3)c([N+](=O)[O-])c1 ZINC000601211270 350698116 /nfs/dbraw/zinc/69/81/16/350698116.db2.gz YIIIXLKQPALSEJ-ZDUSSCGKSA-N 0 0 289.335 2.699 20 5 CFBDRN CCC[C@@H](CCO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000601212117 350698794 /nfs/dbraw/zinc/69/87/94/350698794.db2.gz UUGCYCYAZUSPFZ-JTQLQIEISA-N 0 0 256.277 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@H]1C[C@@H](CO)C1 ZINC000601212080 350698879 /nfs/dbraw/zinc/69/88/79/350698879.db2.gz SFKXEXABIRGPIF-OTSSQURYSA-N 0 0 290.241 2.796 20 5 CFBDRN CCC[C@H](CCO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000601211991 350699177 /nfs/dbraw/zinc/69/91/77/350699177.db2.gz CYSSWNYSWPYJHK-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN CCC[C@H](CCO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000601213008 350699544 /nfs/dbraw/zinc/69/95/44/350699544.db2.gz VXTGHVRCDFKXIT-MRVPVSSYSA-N 0 0 273.720 2.606 20 5 CFBDRN CCC(=O)c1ccc(SCCCO)c([N+](=O)[O-])c1 ZINC000601217680 350700098 /nfs/dbraw/zinc/70/00/98/350700098.db2.gz XAMOIXJPOMUWRB-UHFFFAOYSA-N 0 0 269.322 2.662 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(CCF)CC1 ZINC000601217706 350700115 /nfs/dbraw/zinc/70/01/15/350700115.db2.gz MHMFVIUSNVQESU-UHFFFAOYSA-N 0 0 267.304 2.874 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(CCF)CC2)nc1 ZINC000601217638 350700446 /nfs/dbraw/zinc/70/04/46/350700446.db2.gz LDYHTSRFXPLQDB-UHFFFAOYSA-N 0 0 253.277 2.566 20 5 CFBDRN Cc1nc(N2CCC(CCF)CC2)ccc1[N+](=O)[O-] ZINC000601218076 350700799 /nfs/dbraw/zinc/70/07/99/350700799.db2.gz RETYECXNSSEMJA-UHFFFAOYSA-N 0 0 267.304 2.874 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(CCF)CC2)s1 ZINC000601218479 350701093 /nfs/dbraw/zinc/70/10/93/350701093.db2.gz VRGQDFWTAMMJAU-UHFFFAOYSA-N 0 0 259.306 2.627 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601632565 350750674 /nfs/dbraw/zinc/75/06/74/350750674.db2.gz LYLMOIBQWKKWHI-MWLCHTKSSA-N 0 0 280.299 2.898 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CC[C@H](C2CCC2)C1 ZINC000601635358 350751478 /nfs/dbraw/zinc/75/14/78/350751478.db2.gz KXSHZVFEIGJTFA-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601303789 350719705 /nfs/dbraw/zinc/71/97/05/350719705.db2.gz IJVXJEQSASJZMM-VIFPVBQESA-N 0 0 266.272 2.606 20 5 CFBDRN O=C(N[C@@H]1CCC(F)(F)C1)c1c(F)cccc1[N+](=O)[O-] ZINC000601330085 350724464 /nfs/dbraw/zinc/72/44/64/350724464.db2.gz QGUAZUFBENLLNS-SSDOTTSWSA-N 0 0 288.225 2.652 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)[C@H](C)O1 ZINC000601353408 350725686 /nfs/dbraw/zinc/72/56/86/350725686.db2.gz KFYDKBNWGGRXAC-PTRXPTGYSA-N 0 0 289.291 2.926 20 5 CFBDRN CC[C@@H](C)Cc1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000601399141 350729730 /nfs/dbraw/zinc/72/97/30/350729730.db2.gz MTNFXBAWMYRDEK-MRVPVSSYSA-N 0 0 262.269 2.628 20 5 CFBDRN CC[C@H](C)Cc1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000601396472 350729767 /nfs/dbraw/zinc/72/97/67/350729767.db2.gz ZTTQPQUYRJKSPM-ZETCQYMHSA-N 0 0 250.258 2.562 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H](C)O[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000601410333 350730909 /nfs/dbraw/zinc/73/09/09/350730909.db2.gz CCHPASBYDGOGMX-BLFANLJRSA-N 0 0 292.335 2.586 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]1c1ccco1 ZINC000601698901 350763695 /nfs/dbraw/zinc/76/36/95/350763695.db2.gz KUWLHEYISFATQS-GFCCVEGCSA-N 0 0 289.291 2.739 20 5 CFBDRN C[C@@H]1CSCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601528131 350736775 /nfs/dbraw/zinc/73/67/75/350736775.db2.gz HGHILHQISAWUFX-VIFPVBQESA-N 0 0 298.339 2.559 20 5 CFBDRN CSCCCN(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601550647 350738847 /nfs/dbraw/zinc/73/88/47/350738847.db2.gz CNQFNDZOLLHIHI-UHFFFAOYSA-N 0 0 286.328 2.559 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601554935 350739377 /nfs/dbraw/zinc/73/93/77/350739377.db2.gz BLDZGEWQJUGBGQ-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN CC1=CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601552633 350739488 /nfs/dbraw/zinc/73/94/88/350739488.db2.gz JXHWAELWBYGBOB-UHFFFAOYSA-N 0 0 264.256 2.526 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601584910 350743147 /nfs/dbraw/zinc/74/31/47/350743147.db2.gz ZUKIMYRZXKEHSJ-BXUZGUMPSA-N 0 0 288.347 2.931 20 5 CFBDRN O=C(NCCC1(F)CCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601590846 350743714 /nfs/dbraw/zinc/74/37/14/350743714.db2.gz XEZRUAIVLCDQCB-UHFFFAOYSA-N 0 0 284.262 2.746 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCCC23CC3)ccc1[N+](=O)[O-] ZINC000601706275 350765442 /nfs/dbraw/zinc/76/54/42/350765442.db2.gz WIUHKBGBUSBVMI-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC(CCF)CC1 ZINC000601747346 350771987 /nfs/dbraw/zinc/77/19/87/350771987.db2.gz OQKCYTLFNZFRDD-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN Cc1cc(C(=O)N[C@]23C[C@H]2CCCC3)cc([N+](=O)[O-])c1 ZINC000601773174 350773897 /nfs/dbraw/zinc/77/38/97/350773897.db2.gz JPAOLOVUGNPVAY-IUODEOHRSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1ccc(C(=O)N[C@@]23C[C@@H]2CCCC3)cc1[N+](=O)[O-] ZINC000601776165 350775046 /nfs/dbraw/zinc/77/50/46/350775046.db2.gz PKRDOZZEAADIHD-WFASDCNBSA-N 0 0 274.320 2.966 20 5 CFBDRN COc1ccc(C(=O)N[C@@H](C)CC2CCC2)cc1[N+](=O)[O-] ZINC000601868892 350794619 /nfs/dbraw/zinc/79/46/19/350794619.db2.gz IDXWERCODSLZHX-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000601871350 350795186 /nfs/dbraw/zinc/79/51/86/350795186.db2.gz ROLPORGHEXAWCP-NSHDSACASA-N 0 0 276.336 2.832 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC1CCC1 ZINC000601870119 350795276 /nfs/dbraw/zinc/79/52/76/350795276.db2.gz MGCCVDUGZVTBQL-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN Cn1nccc1CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000601913408 350800563 /nfs/dbraw/zinc/80/05/63/350800563.db2.gz PQMIOXMZXBYWLD-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCCCF ZINC000601916711 350801299 /nfs/dbraw/zinc/80/12/99/350801299.db2.gz APXHMQMKPUYQGX-UHFFFAOYSA-N 0 0 261.322 2.583 20 5 CFBDRN Cn1nccc1CCSc1ccc([N+](=O)[O-])cc1 ZINC000601919707 350803133 /nfs/dbraw/zinc/80/31/33/350803133.db2.gz MHVJCGGTPVDWHN-UHFFFAOYSA-N 0 0 263.322 2.663 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2Cl)C(=O)[C@@H]1C1CC1 ZINC000601941523 350811425 /nfs/dbraw/zinc/81/14/25/350811425.db2.gz YPIBTVCMNMFRRM-LBPRGKRZSA-N 0 0 293.710 2.997 20 5 CFBDRN CCC[C@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2F)N=C1C ZINC000601942638 350812063 /nfs/dbraw/zinc/81/20/63/350812063.db2.gz LXQDPJLUNJMUGY-SNVBAGLBSA-N 0 0 279.271 2.873 20 5 CFBDRN Cc1nn(-c2ccc([N+](=O)[O-])cc2F)c2c1CCC2 ZINC000601942667 350812206 /nfs/dbraw/zinc/81/22/06/350812206.db2.gz NIKTVBXMQIEELL-UHFFFAOYSA-N 0 0 261.256 2.717 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000602226669 350854125 /nfs/dbraw/zinc/85/41/25/350854125.db2.gz CJPQEHLFEKWUIU-CYBMUJFWSA-N 0 0 296.323 2.747 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000602249817 350855660 /nfs/dbraw/zinc/85/56/60/350855660.db2.gz NCZCZTIDDJIOME-NMSKTSORSA-N 0 0 296.298 2.732 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000602249976 350856413 /nfs/dbraw/zinc/85/64/13/350856413.db2.gz OFPJJQAPAWELCJ-GFCCVEGCSA-N 0 0 284.287 2.878 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000602249819 350856474 /nfs/dbraw/zinc/85/64/74/350856474.db2.gz NCZCZTIDDJIOME-YDEXJTGXSA-N 0 0 296.298 2.732 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000602289522 350859812 /nfs/dbraw/zinc/85/98/12/350859812.db2.gz OWKCHMYQJFCCCM-SECBINFHSA-N 0 0 263.297 2.857 20 5 CFBDRN C[C@@H](CC(=O)N(C)c1ccc([N+](=O)[O-])nc1)C(F)(F)F ZINC000602323541 350863168 /nfs/dbraw/zinc/86/31/68/350863168.db2.gz UOPRFSHCAPFYTI-ZETCQYMHSA-N 0 0 291.229 2.541 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000602340345 350866228 /nfs/dbraw/zinc/86/62/28/350866228.db2.gz DJKHFNHQRRSFIB-GIPNMCIBSA-N 0 0 292.335 2.909 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000341765518 535155575 /nfs/dbraw/zinc/15/55/75/535155575.db2.gz FBZUOEYLFVQPDU-GFCCVEGCSA-N 0 0 293.367 2.900 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111273047 186385886 /nfs/dbraw/zinc/38/58/86/186385886.db2.gz RQQHDFKSHJBTMS-SMDDNHRTSA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@@H]1Cc2ccccc2N(Cc2cn(C)nc2[N+](=O)[O-])C1 ZINC000602599366 350940631 /nfs/dbraw/zinc/94/06/31/350940631.db2.gz NNZWUJLWUYEHEJ-LLVKDONJSA-N 0 0 286.335 2.527 20 5 CFBDRN O=C(CC1CC1)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602380382 350871534 /nfs/dbraw/zinc/87/15/34/350871534.db2.gz RQDPSGYPPXUNHZ-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN CC[C@H](OC)C(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602385247 350872159 /nfs/dbraw/zinc/87/21/59/350872159.db2.gz UOWJFGMJDFVPCG-ZDUSSCGKSA-N 0 0 289.291 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000602398773 350874074 /nfs/dbraw/zinc/87/40/74/350874074.db2.gz ZRGVADYHADILGD-OPQQBVKSSA-N 0 0 293.323 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@]23C[C@H]2CCCC3)c1 ZINC000602410912 350876168 /nfs/dbraw/zinc/87/61/68/350876168.db2.gz AUAOPYOWLUEHHP-IAQYHMDHSA-N 0 0 274.320 2.966 20 5 CFBDRN CN(Cc1ccns1)Cc1ccc([N+](=O)[O-])cc1 ZINC000602439233 350882862 /nfs/dbraw/zinc/88/28/62/350882862.db2.gz JKAAORFGKWSJFM-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN C[N@H+](CCc1cccc([N+](=O)[O-])c1)Cc1ccns1 ZINC000602659701 350964581 /nfs/dbraw/zinc/96/45/81/350964581.db2.gz VMIMDBLFROHNLQ-UHFFFAOYSA-N 0 0 277.349 2.726 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H](C)C[C@@H]1C ZINC000112835858 186534892 /nfs/dbraw/zinc/53/48/92/186534892.db2.gz ZMYAJKCZLUWXSJ-ISTVAULSSA-N 0 0 291.351 2.853 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1ccc(F)c(F)c1 ZINC000602761803 351015742 /nfs/dbraw/zinc/01/57/42/351015742.db2.gz AGLUIKXDVIURLB-UHFFFAOYSA-N 0 0 296.277 2.514 20 5 CFBDRN CCC(=O)c1cn([C@@H](C)c2cc([N+](=O)[O-])ccc2C)nn1 ZINC000603252599 351125854 /nfs/dbraw/zinc/12/58/54/351125854.db2.gz VVFHYMRBPKYVMF-JTQLQIEISA-N 0 0 288.307 2.697 20 5 CFBDRN CC(C)(C)c1cn(CCc2ccc([N+](=O)[O-])cc2)nn1 ZINC000603193729 351116405 /nfs/dbraw/zinc/11/64/05/351116405.db2.gz CEZHCCBELQEBNG-UHFFFAOYSA-N 0 0 274.324 2.727 20 5 CFBDRN Cc1c(Cn2cc(C(C)(C)C)nn2)cccc1[N+](=O)[O-] ZINC000603198880 351117616 /nfs/dbraw/zinc/11/76/16/351117616.db2.gz QUVXCJUSNLNDDZ-UHFFFAOYSA-N 0 0 274.324 2.841 20 5 CFBDRN CSCc1cn([C@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC000603220491 351120221 /nfs/dbraw/zinc/12/02/21/351120221.db2.gz VTAWDGBOOUDRCS-SECBINFHSA-N 0 0 278.337 2.659 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)NC(C)(C)C(C)(C)C ZINC000602961583 351072279 /nfs/dbraw/zinc/07/22/79/351072279.db2.gz WAYIQTHWFVQKOB-UHFFFAOYSA-N 0 0 294.355 2.666 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@@H]1C(F)F ZINC000602959970 351072745 /nfs/dbraw/zinc/07/27/45/351072745.db2.gz VMTYKRVAFGBJIH-SNVBAGLBSA-N 0 0 285.250 2.775 20 5 CFBDRN CCC(C)(CC)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000112937895 186541762 /nfs/dbraw/zinc/54/17/62/186541762.db2.gz ZOHMWRSOEKCZGW-UHFFFAOYSA-N 0 0 279.340 2.702 20 5 CFBDRN CC(C)(Nc1ccc(F)cc1[N+](=O)[O-])c1ncc[nH]1 ZINC000603407177 351158411 /nfs/dbraw/zinc/15/84/11/351158411.db2.gz PSXKSTCMUZPREW-UHFFFAOYSA-N 0 0 264.260 2.804 20 5 CFBDRN CNC(=O)c1cccc(CNc2cc([N+](=O)[O-])ccc2C)c1 ZINC000113927110 186599557 /nfs/dbraw/zinc/59/95/57/186599557.db2.gz VXNOHPFEGQKVFC-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Cc1ccc(OCc2cn(C)nc2[N+](=O)[O-])c(C)c1 ZINC000603420432 351161161 /nfs/dbraw/zinc/16/11/61/351161161.db2.gz JNWMQOWWOAYZDR-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cn1cc(COc2ccc3c(c2)CCCC3)c([N+](=O)[O-])n1 ZINC000603424522 351161661 /nfs/dbraw/zinc/16/16/61/351161661.db2.gz ZUHSQIBSMKDGAG-UHFFFAOYSA-N 0 0 287.319 2.786 20 5 CFBDRN CC(C)(NCc1ccncc1N)c1ccccc1[N+](=O)[O-] ZINC000603426328 351162640 /nfs/dbraw/zinc/16/26/40/351162640.db2.gz GHHGMSSWYOYLRG-UHFFFAOYSA-N 0 0 286.335 2.597 20 5 CFBDRN C[C@@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])C(F)F ZINC000603427123 351162646 /nfs/dbraw/zinc/16/26/46/351162646.db2.gz BFGGXQLJHKYSOV-XCBNKYQSSA-N 0 0 256.252 2.825 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cc2F)o1 ZINC000603942005 351193172 /nfs/dbraw/zinc/19/31/72/351193172.db2.gz UWNCOOXHNUYEOF-UHFFFAOYSA-N 0 0 292.266 2.608 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000603432144 351164201 /nfs/dbraw/zinc/16/42/01/351164201.db2.gz JPMYKNHGRCAIKJ-YFKPBYRVSA-N 0 0 277.202 2.509 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c(C)[nH]1 ZINC000603574483 351174111 /nfs/dbraw/zinc/17/41/11/351174111.db2.gz YGHSKKRZULNQCL-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(C)[nH]1 ZINC000603573789 351174482 /nfs/dbraw/zinc/17/44/82/351174482.db2.gz BQOBRSLOBDNFSM-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000603951188 351193859 /nfs/dbraw/zinc/19/38/59/351193859.db2.gz FRQMOPNEQZXMKP-LLVKDONJSA-N 0 0 280.324 2.619 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000603949834 351193975 /nfs/dbraw/zinc/19/39/75/351193975.db2.gz IEWZVCPICWZZCQ-JTQLQIEISA-N 0 0 266.297 2.594 20 5 CFBDRN CSCCNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000603819367 351183487 /nfs/dbraw/zinc/18/34/87/351183487.db2.gz LOVNUBNGWKOHPC-UHFFFAOYSA-N 0 0 296.373 2.902 20 5 CFBDRN CC(C)c1cc(Oc2ccc([N+](=O)[O-])cc2)n2ncnc2n1 ZINC000603837073 351184290 /nfs/dbraw/zinc/18/42/90/351184290.db2.gz YJPALAGXQHJJLY-UHFFFAOYSA-N 0 0 299.290 2.948 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1ccc2n[nH]cc2c1 ZINC000603840037 351184991 /nfs/dbraw/zinc/18/49/91/351184991.db2.gz SIIHOQIABGWFDZ-UHFFFAOYSA-N 0 0 255.237 2.610 20 5 CFBDRN Cc1cc(NC[C@@H](CO)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000603845890 351185495 /nfs/dbraw/zinc/18/54/95/351185495.db2.gz OGVWIUZBAZNXJU-LBPRGKRZSA-N 0 0 290.319 2.759 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1ccc(N2CCCC2)nc1 ZINC000603845081 351185632 /nfs/dbraw/zinc/18/56/32/351185632.db2.gz BYNXXIDAJYWFNX-UHFFFAOYSA-N 0 0 285.307 2.729 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1nc2ccccc2[nH]1 ZINC000603852171 351186311 /nfs/dbraw/zinc/18/63/11/351186311.db2.gz DJPPBNRXCYKDRB-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN C[C@@H](CNc1cccnc1[N+](=O)[O-])N(C)c1ccccc1 ZINC000603857675 351187469 /nfs/dbraw/zinc/18/74/69/351187469.db2.gz NGLAAMUEOYPDSD-LBPRGKRZSA-N 0 0 286.335 2.927 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@@H]2C)c1[N+](=O)[O-] ZINC000603264449 351127359 /nfs/dbraw/zinc/12/73/59/351127359.db2.gz CDOMLFQLVDGBKX-QMMMGPOBSA-N 0 0 266.322 2.551 20 5 CFBDRN C[C@H]1CCN1C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000603267107 351128038 /nfs/dbraw/zinc/12/80/38/351128038.db2.gz QQOACNPYXPMGRV-ZETCQYMHSA-N 0 0 288.225 2.848 20 5 CFBDRN CCC(F)(F)Cn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000603314935 351137408 /nfs/dbraw/zinc/13/74/08/351137408.db2.gz QFLXSVXFNWFLJP-UHFFFAOYSA-N 0 0 272.207 2.548 20 5 CFBDRN COC1(CCn2nc(C)c3cc([N+](=O)[O-])cnc32)CCC1 ZINC000603327714 351140232 /nfs/dbraw/zinc/14/02/32/351140232.db2.gz PZFBLYDPEVAUPD-UHFFFAOYSA-N 0 0 290.323 2.607 20 5 CFBDRN Cn1cc(CSc2ncc(C(C)(C)C)o2)c([N+](=O)[O-])n1 ZINC000603335598 351141768 /nfs/dbraw/zinc/14/17/68/351141768.db2.gz WEJDINWRSRXCAC-UHFFFAOYSA-N 0 0 296.352 2.906 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1C2CCC1CC2 ZINC000604076987 351199510 /nfs/dbraw/zinc/19/95/10/351199510.db2.gz BNMNDUFJGJFWJX-UHFFFAOYSA-N 0 0 285.303 2.843 20 5 CFBDRN Cc1ccn2cc(CNc3cccnc3[N+](=O)[O-])nc2c1 ZINC000604123364 351199961 /nfs/dbraw/zinc/19/99/61/351199961.db2.gz NQLWZEWKALHVEI-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CCOC1CCN(C(=O)c2cccc([N+](=O)[O-])c2C)CC1 ZINC000604198467 351201605 /nfs/dbraw/zinc/20/16/05/351201605.db2.gz QXCFEUZTUQOREY-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1csc([N+](=O)[O-])c1 ZINC000115195772 186661531 /nfs/dbraw/zinc/66/15/31/186661531.db2.gz ATCOUDJTWWZFET-ZETCQYMHSA-N 0 0 275.351 2.699 20 5 CFBDRN C[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000604400782 351210995 /nfs/dbraw/zinc/21/09/95/351210995.db2.gz OMRYTAYXBRILIA-VIFPVBQESA-N 0 0 263.297 2.762 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000604395931 351211054 /nfs/dbraw/zinc/21/10/54/351211054.db2.gz JSKXKHULLQRRNP-MRVPVSSYSA-N 0 0 266.272 2.510 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1ccc([N+](=O)[O-])s1 ZINC000604409267 351211582 /nfs/dbraw/zinc/21/15/82/351211582.db2.gz LOXLIJLHZZLYBN-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000604427922 351212045 /nfs/dbraw/zinc/21/20/45/351212045.db2.gz LUEBGQFQMKDFKH-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H]1CN(c2cccnc2[N+](=O)[O-])C[C@@H](c2ccccc2)O1 ZINC000604432385 351212163 /nfs/dbraw/zinc/21/21/63/351212163.db2.gz IXFREJPHYMMSNF-DOMZBBRYSA-N 0 0 299.330 2.956 20 5 CFBDRN CCN(CCC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000604524347 351217069 /nfs/dbraw/zinc/21/70/69/351217069.db2.gz CGAKLXHODGWKJU-UHFFFAOYSA-N 0 0 280.202 2.602 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnoc1 ZINC000604542527 351217931 /nfs/dbraw/zinc/21/79/31/351217931.db2.gz GRAKIJTVALKGKZ-QMMMGPOBSA-N 0 0 293.304 2.702 20 5 CFBDRN Cc1nc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)cs1 ZINC000604562629 351219981 /nfs/dbraw/zinc/21/99/81/351219981.db2.gz CHLXMROZFLZEDS-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000604562710 351220064 /nfs/dbraw/zinc/22/00/64/351220064.db2.gz BUAWRLSVGRPCMY-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN Cn1cc(NCc2ccccc2[N+](=O)[O-])c(C2CC2)n1 ZINC000291300781 197982615 /nfs/dbraw/zinc/98/26/15/197982615.db2.gz QMQGMNXSUHIHED-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])s1)c1ccncc1 ZINC000117666055 186754502 /nfs/dbraw/zinc/75/45/02/186754502.db2.gz WMEFPVSJJMOUHE-UHFFFAOYSA-N 0 0 277.305 2.718 20 5 CFBDRN CCC[C@@H]1SCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000118649521 186779189 /nfs/dbraw/zinc/77/91/89/186779189.db2.gz AUCVXRCQBLOBDK-LBPRGKRZSA-N 0 0 280.349 2.910 20 5 CFBDRN CCc1nn(C)c(Sc2ccc(CO)cc2)c1[N+](=O)[O-] ZINC000130301373 187484024 /nfs/dbraw/zinc/48/40/24/187484024.db2.gz QXQFDUYLMJEYEN-UHFFFAOYSA-N 0 0 293.348 2.534 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1c([N+](=O)[O-])ncn1C)CC2 ZINC000609288214 351495134 /nfs/dbraw/zinc/49/51/34/351495134.db2.gz HPIOOUFBGMZNER-LBPRGKRZSA-N 0 0 272.308 2.736 20 5 CFBDRN CCCO[C@@H]1CCCN(c2cccnc2[N+](=O)[O-])CC1 ZINC000609384599 351500421 /nfs/dbraw/zinc/50/04/21/351500421.db2.gz VOXYOTNCXNHYLU-GFCCVEGCSA-N 0 0 279.340 2.775 20 5 CFBDRN O=C(NCc1ccc(Cl)o1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000609441690 351502013 /nfs/dbraw/zinc/50/20/13/351502013.db2.gz ZKEINVQGJWOCBM-UHFFFAOYSA-N 0 0 298.657 2.910 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc3nccn3c2)cc1[N+](=O)[O-] ZINC000609619001 351511818 /nfs/dbraw/zinc/51/18/18/351511818.db2.gz ZBIKDOVVICQUOI-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CCCn1c(C)nnc1CSc1ncccc1[N+](=O)[O-] ZINC000119313309 186818371 /nfs/dbraw/zinc/81/83/71/186818371.db2.gz OSPYQHBEMFCVIG-UHFFFAOYSA-N 0 0 293.352 2.592 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c(C)[nH]1 ZINC000608871017 351481117 /nfs/dbraw/zinc/48/11/17/351481117.db2.gz PRGCEEXWXYGVJT-UHFFFAOYSA-N 0 0 277.255 2.931 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCCCc1ccccc1 ZINC000608972496 351482909 /nfs/dbraw/zinc/48/29/09/351482909.db2.gz PSFBQIYBPDALBV-UHFFFAOYSA-N 0 0 271.320 2.847 20 5 CFBDRN CCCCc1ccc(Nc2ncc([N+](=O)[O-])c(N)n2)cc1 ZINC000609074412 351483701 /nfs/dbraw/zinc/48/37/01/351483701.db2.gz LBMZLSMWORCBSN-UHFFFAOYSA-N 0 0 287.323 2.884 20 5 CFBDRN CCC[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccn1 ZINC000609179760 351490694 /nfs/dbraw/zinc/49/06/94/351490694.db2.gz RTJXQEXRGOHJQY-LBPRGKRZSA-N 0 0 288.307 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cccc(Cn3cccn3)c2)cn1 ZINC000609797123 351519730 /nfs/dbraw/zinc/51/97/30/351519730.db2.gz QNNFYOLYBPNEQI-UHFFFAOYSA-N 0 0 295.302 2.978 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](OC)C1CC1 ZINC000291436352 198021212 /nfs/dbraw/zinc/02/12/12/198021212.db2.gz MGVOTIIWRFRYDF-CYBMUJFWSA-N 0 0 278.308 2.521 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CCCO[C@H]1C(C)(C)C ZINC000609823060 351521776 /nfs/dbraw/zinc/52/17/76/351521776.db2.gz ACBPOSGEEMEYFH-WDEREUQCSA-N 0 0 296.371 2.582 20 5 CFBDRN CCc1ccc(CCNc2ncc([N+](=O)[O-])cc2C)cc1 ZINC000609893749 351527075 /nfs/dbraw/zinc/52/70/75/351527075.db2.gz XYOKLJXRKMYYMK-UHFFFAOYSA-N 0 0 285.347 2.937 20 5 CFBDRN CC[C@H](C)[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000609974634 351529698 /nfs/dbraw/zinc/52/96/98/351529698.db2.gz UXPRCKFGTJISTA-AAEUAGOBSA-N 0 0 293.367 2.974 20 5 CFBDRN COc1ccc(CC(=O)NC[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000610051503 351532790 /nfs/dbraw/zinc/53/27/90/351532790.db2.gz KRTHKKUXKWGILI-LLVKDONJSA-N 0 0 294.351 2.554 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCO[C@@H](C(F)(F)F)C1 ZINC000610076803 351533907 /nfs/dbraw/zinc/53/39/07/351533907.db2.gz ATIPNFALWFULMZ-SNVBAGLBSA-N 0 0 294.204 2.501 20 5 CFBDRN C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000610168965 351536571 /nfs/dbraw/zinc/53/65/71/351536571.db2.gz XOVSTAUSXFCAME-SECBINFHSA-N 0 0 260.249 2.930 20 5 CFBDRN C[C@@H](C(=O)NCCc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000610172723 351536707 /nfs/dbraw/zinc/53/67/07/351536707.db2.gz ZJHIJHPRQAKARY-LLVKDONJSA-N 0 0 288.303 2.650 20 5 CFBDRN C[C@@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])c1ccco1 ZINC000610175886 351537340 /nfs/dbraw/zinc/53/73/40/351537340.db2.gz VHNNNDUTVCSVKM-SNVBAGLBSA-N 0 0 286.287 2.881 20 5 CFBDRN C[C@]1(c2ccccc2)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000610190121 351537598 /nfs/dbraw/zinc/53/75/98/351537598.db2.gz DZJYEDOMKIAMBV-SWLSCSKDSA-N 0 0 286.287 2.648 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000610210077 351540478 /nfs/dbraw/zinc/54/04/78/351540478.db2.gz USKNQAVXURLQJC-LJWDBELGSA-N 0 0 292.360 2.825 20 5 CFBDRN CN(Cc1ccsc1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000610221232 351541416 /nfs/dbraw/zinc/54/14/16/351541416.db2.gz CMEIBEAJAPDLAR-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000610284261 351549267 /nfs/dbraw/zinc/54/92/67/351549267.db2.gz IORBQIXAMJATKC-STQMWFEESA-N 0 0 293.367 2.938 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC000610282095 351549507 /nfs/dbraw/zinc/54/95/07/351549507.db2.gz VYJNNCMTFLWGSS-PHIMTYICSA-N 0 0 262.309 2.696 20 5 CFBDRN CC(C)(C)OC(=O)CCCNc1cccnc1[N+](=O)[O-] ZINC000610411241 351564539 /nfs/dbraw/zinc/56/45/39/351564539.db2.gz ZAVABYZOVZODEW-UHFFFAOYSA-N 0 0 281.312 2.524 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@@H](C(F)(F)F)O1 ZINC000610411893 351564945 /nfs/dbraw/zinc/56/49/45/351564945.db2.gz BXZAKMULPFFZDC-BQBZGAKWSA-N 0 0 296.270 2.812 20 5 CFBDRN CC(=O)N(C)c1cccc(Nc2cccnc2[N+](=O)[O-])c1 ZINC000610411344 351565057 /nfs/dbraw/zinc/56/50/57/351565057.db2.gz ZJVVEFHZKBPOQI-UHFFFAOYSA-N 0 0 286.291 2.716 20 5 CFBDRN Cc1ccccc1CC1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000610518728 351576518 /nfs/dbraw/zinc/57/65/18/351576518.db2.gz IPQUQVWKNYQHEP-UHFFFAOYSA-N 0 0 299.330 2.546 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000610526690 351578951 /nfs/dbraw/zinc/57/89/51/351578951.db2.gz SASYJHHPRGAMPQ-LLVKDONJSA-N 0 0 285.303 2.523 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H](C)[C@H](C)C2)c1 ZINC000610587310 351583485 /nfs/dbraw/zinc/58/34/85/351583485.db2.gz DZGWWJVBWCXJKU-NXEZZACHSA-N 0 0 250.298 2.696 20 5 CFBDRN COC(=O)CCC1CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000610651819 351588338 /nfs/dbraw/zinc/58/83/38/351588338.db2.gz INILTPAJXGCACT-UHFFFAOYSA-N 0 0 298.364 2.826 20 5 CFBDRN CCN(CCn1c(C)ncc1[N+](=O)[O-])c1ccccc1C ZINC000610664204 351590088 /nfs/dbraw/zinc/59/00/88/351590088.db2.gz ADWSUCREDMDBKS-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN C[C@H](CNC(=O)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000610666506 351590306 /nfs/dbraw/zinc/59/03/06/351590306.db2.gz FQGRUYCBPNHDQJ-LLVKDONJSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@@H](CNC(=O)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000610666507 351590398 /nfs/dbraw/zinc/59/03/98/351590398.db2.gz FQGRUYCBPNHDQJ-NSHDSACASA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1cc(C)ccc1[N+](=O)[O-] ZINC000610677204 351591225 /nfs/dbraw/zinc/59/12/25/351591225.db2.gz MHYQEYNPBBQLHO-UHFFFAOYSA-N 0 0 260.297 2.997 20 5 CFBDRN COc1cc(N2C[C@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000610685228 351593615 /nfs/dbraw/zinc/59/36/15/351593615.db2.gz WWCIJBNWROAGLK-NXEZZACHSA-N 0 0 250.298 2.838 20 5 CFBDRN O=C(NC1(C(F)(F)F)CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000610705752 351594235 /nfs/dbraw/zinc/59/42/35/351594235.db2.gz SPMRAGFMXCGHKV-UHFFFAOYSA-N 0 0 292.188 2.559 20 5 CFBDRN Cc1sc(C(=O)NC2(C(F)(F)F)CC2)cc1[N+](=O)[O-] ZINC000610705771 351594538 /nfs/dbraw/zinc/59/45/38/351594538.db2.gz WIBZKCDAQAKCJQ-UHFFFAOYSA-N 0 0 294.254 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CC[C@@H](CO)CC2)c1 ZINC000610709682 351595490 /nfs/dbraw/zinc/59/54/90/351595490.db2.gz LHKDQYIWQGGEDZ-TXEJJXNPSA-N 0 0 264.325 2.866 20 5 CFBDRN COc1cc(NC[C@@]2(C)CCOC2)c([N+](=O)[O-])cc1F ZINC000610715671 351596495 /nfs/dbraw/zinc/59/64/95/351596495.db2.gz YZWPRDYGVMYCGQ-CYBMUJFWSA-N 0 0 284.287 2.581 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000610734727 351599380 /nfs/dbraw/zinc/59/93/80/351599380.db2.gz HYFIAMVTVVBIBU-NXEZZACHSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1ccccc1[N+](=O)[O-] ZINC000610734696 351599513 /nfs/dbraw/zinc/59/95/13/351599513.db2.gz GRCFQGLZYPBTGF-GHMZBOCLSA-N 0 0 250.298 2.822 20 5 CFBDRN Cc1cc(N[C@H](C)C[C@@H]2CCOC2)ncc1[N+](=O)[O-] ZINC000610734890 351600520 /nfs/dbraw/zinc/60/05/20/351600520.db2.gz SLXLHRDRSRDFDX-MNOVXSKESA-N 0 0 265.313 2.525 20 5 CFBDRN O=C([C@@H]1CC12CCC2)N1CCc2c1cccc2[N+](=O)[O-] ZINC000610739508 351601280 /nfs/dbraw/zinc/60/12/80/351601280.db2.gz YFBQWLQLAABYAD-NSHDSACASA-N 0 0 272.304 2.674 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC23CCC3)c([N+](=O)[O-])c1 ZINC000610748554 351603222 /nfs/dbraw/zinc/60/32/22/351603222.db2.gz GVHOEGWBNPCUDV-JTQLQIEISA-N 0 0 276.292 2.732 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC12CCC2 ZINC000610748536 351603296 /nfs/dbraw/zinc/60/32/96/351603296.db2.gz CSNSXIZZILXBQG-JTQLQIEISA-N 0 0 276.292 2.732 20 5 CFBDRN Cc1cncc(C)c1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000610754059 351604193 /nfs/dbraw/zinc/60/41/93/351604193.db2.gz FMHJWOAZUYKJTE-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@H]2CCC[C@H]21 ZINC000610762738 351605954 /nfs/dbraw/zinc/60/59/54/351605954.db2.gz RPUOZSSIDFGOJQ-ZWNOBZJWSA-N 0 0 262.309 2.588 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])s1)C1CCOCC1 ZINC000610770119 351606545 /nfs/dbraw/zinc/60/65/45/351606545.db2.gz HBFWBUHZPIYQLT-QMMMGPOBSA-N 0 0 256.327 2.883 20 5 CFBDRN CCOc1cc(N2CCOC(C)(C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000610786602 351608398 /nfs/dbraw/zinc/60/83/98/351608398.db2.gz PFVZYIAHGPHHRM-LLVKDONJSA-N 0 0 294.351 2.997 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000610790469 351608832 /nfs/dbraw/zinc/60/88/32/351608832.db2.gz LMVUPEMVCUHHNT-SNVBAGLBSA-N 0 0 284.262 2.821 20 5 CFBDRN O=C(N[C@H]1CCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000610791215 351608871 /nfs/dbraw/zinc/60/88/71/351608871.db2.gz WUJJLZXUCDWZDE-VIFPVBQESA-N 0 0 270.235 2.512 20 5 CFBDRN Cc1cc(CNc2c(C)ccc([N+](=O)[O-])c2C)nn1C ZINC000610855942 351614228 /nfs/dbraw/zinc/61/42/28/351614228.db2.gz AKIBYHNZJRVWIN-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN O=C(N[C@@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000610790297 351608957 /nfs/dbraw/zinc/60/89/57/351608957.db2.gz BPAITQPUUZWBRW-SECBINFHSA-N 0 0 270.235 2.512 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)C[C@@H]2CCCO2)n1 ZINC000610806848 351610657 /nfs/dbraw/zinc/61/06/57/351610657.db2.gz XAHQSKZEBCYTMK-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN CCC[C@@H](O)CC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000611005127 351633875 /nfs/dbraw/zinc/63/38/75/351633875.db2.gz PANMUGOQWYQFBB-WCQYABFASA-N 0 0 294.351 2.632 20 5 CFBDRN Cc1cc(C(=O)N2CC(C(C)C)C2)cc([N+](=O)[O-])c1 ZINC000611079401 351638471 /nfs/dbraw/zinc/63/84/71/351638471.db2.gz UUISSKJCUCXTER-UHFFFAOYSA-N 0 0 262.309 2.631 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCc1cnc(C2CC2)nc1 ZINC000611116246 351642147 /nfs/dbraw/zinc/64/21/47/351642147.db2.gz IBHFXIMIFGLXAA-UHFFFAOYSA-N 0 0 290.348 2.978 20 5 CFBDRN C[C@H](NC(=O)NCCc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000611121340 351642970 /nfs/dbraw/zinc/64/29/70/351642970.db2.gz IIWKFJNZIZOKRB-NSHDSACASA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(CCO)CC(C)(C)C)c1 ZINC000611143489 351644495 /nfs/dbraw/zinc/64/44/95/351644495.db2.gz YPKHJWNRPLTWNJ-UHFFFAOYSA-N 0 0 266.341 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCO[C@H]2C2CC2)s1 ZINC000611166635 351646007 /nfs/dbraw/zinc/64/60/07/351646007.db2.gz IEYVLWNIZSWDOC-KWQFWETISA-N 0 0 254.311 2.636 20 5 CFBDRN Cc1cncc(C)c1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000611162625 351646209 /nfs/dbraw/zinc/64/62/09/351646209.db2.gz YGQSYYJTBHAIHF-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnoc1 ZINC000611205784 351651620 /nfs/dbraw/zinc/65/16/20/351651620.db2.gz WDJJFCNOWQAAOG-UHFFFAOYSA-N 0 0 293.304 2.947 20 5 CFBDRN CN(CC1CCC1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000611196010 351651761 /nfs/dbraw/zinc/65/17/61/351651761.db2.gz IQCATMSSESYTPL-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN CCC[C@H](C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000611195226 351651770 /nfs/dbraw/zinc/65/17/70/351651770.db2.gz XFRLFADJWYCHHB-VIFPVBQESA-N 0 0 275.308 2.995 20 5 CFBDRN CC(C)(C(=O)N1CC(C(F)F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000611212794 351652500 /nfs/dbraw/zinc/65/25/00/351652500.db2.gz PQOGJIIXYCDGDZ-UHFFFAOYSA-N 0 0 298.289 2.596 20 5 CFBDRN CC[N@@H+]1C[C@H](C)N(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1C ZINC000611221662 351652647 /nfs/dbraw/zinc/65/26/47/351652647.db2.gz JHPBMGQDRIYHEM-ZJUUUORDSA-N 0 0 298.774 2.562 20 5 CFBDRN CSc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)ccn1 ZINC000611231481 351654221 /nfs/dbraw/zinc/65/42/21/351654221.db2.gz MGSQTZAZFUHLPG-UHFFFAOYSA-N 0 0 279.277 2.557 20 5 CFBDRN Cc1n[nH]cc1CN(C)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000611252947 351657539 /nfs/dbraw/zinc/65/75/39/351657539.db2.gz OUGCNVGEYYRROU-LLVKDONJSA-N 0 0 274.324 2.819 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC3(CCC3)C2)cn1 ZINC000610856606 351614849 /nfs/dbraw/zinc/61/48/49/351614849.db2.gz OZSMTJGWHVAVTM-JTQLQIEISA-N 0 0 263.297 2.503 20 5 CFBDRN Cc1cc(COc2ccc(C)cc2[N+](=O)[O-])nn1C ZINC000610861327 351616956 /nfs/dbraw/zinc/61/69/56/351616956.db2.gz DKCYTFYKHNZVSA-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1CCOC2(CCOCC2)C1 ZINC000610864702 351617509 /nfs/dbraw/zinc/61/75/09/351617509.db2.gz SOLFZMLKULCNKE-SNVBAGLBSA-N 0 0 298.364 2.796 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000610930051 351622308 /nfs/dbraw/zinc/62/23/08/351622308.db2.gz BPVKSHKQQXVSGS-BDAKNGLRSA-N 0 0 285.731 2.870 20 5 CFBDRN CN(CCOC(C)(C)C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000610930045 351622614 /nfs/dbraw/zinc/62/26/14/351622614.db2.gz AAGSVYZHCSPUNG-UHFFFAOYSA-N 0 0 287.747 2.895 20 5 CFBDRN COc1ccc(CN(C)c2ccsc2[N+](=O)[O-])cn1 ZINC000610970148 351627102 /nfs/dbraw/zinc/62/71/02/351627102.db2.gz NMUCDUVGIXCBQK-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H](CC)CCC)c1[N+](=O)[O-] ZINC000291464444 198028570 /nfs/dbraw/zinc/02/85/70/198028570.db2.gz IMKGVWAVAGATEK-SECBINFHSA-N 0 0 282.344 2.579 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1ccccc1[N+](=O)[O-] ZINC000611373634 351677112 /nfs/dbraw/zinc/67/71/12/351677112.db2.gz LDJUZIGDNYLQFT-MFKMUULPSA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@H](OCC1CC1)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000611385344 351678029 /nfs/dbraw/zinc/67/80/29/351678029.db2.gz JMSMIJDTXSYASJ-QWRGUYRKSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2sccc2[N+](=O)[O-])n[nH]1 ZINC000611413615 351686928 /nfs/dbraw/zinc/68/69/28/351686928.db2.gz IIKIKFLJLORCEL-SSDOTTSWSA-N 0 0 266.326 2.731 20 5 CFBDRN C[C@H]1CCN(c2sccc2[N+](=O)[O-])C[C@@H]1n1ccnc1 ZINC000611413608 351686936 /nfs/dbraw/zinc/68/69/36/351686936.db2.gz HYYYKBSYLTVOIE-JQWIXIFHSA-N 0 0 292.364 2.940 20 5 CFBDRN CC(C)C[C@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611467986 351693059 /nfs/dbraw/zinc/69/30/59/351693059.db2.gz WDNVGPCXWXCZED-RYUDHWBXSA-N 0 0 279.340 2.995 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H]1C1CCOCC1 ZINC000611467789 351693565 /nfs/dbraw/zinc/69/35/65/351693565.db2.gz VDMCPYAQCLQFTR-ZDUSSCGKSA-N 0 0 276.336 2.990 20 5 CFBDRN COc1cc(N2CCO[C@@H](C(C)C)C2)c(F)cc1[N+](=O)[O-] ZINC000611494821 351697104 /nfs/dbraw/zinc/69/71/04/351697104.db2.gz YFEUEGVMGUAXQT-CQSZACIVSA-N 0 0 298.314 2.604 20 5 CFBDRN CC(C)[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000611494706 351697369 /nfs/dbraw/zinc/69/73/69/351697369.db2.gz BNZBIUBJONJGBH-LLVKDONJSA-N 0 0 285.731 2.504 20 5 CFBDRN C[C@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C[C@@H]1F ZINC000611502409 351698980 /nfs/dbraw/zinc/69/89/80/351698980.db2.gz RZTWXVRLWZJELG-ONGXEEELSA-N 0 0 293.298 2.916 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H](C)[C@@H](F)C1 ZINC000611507140 351701133 /nfs/dbraw/zinc/70/11/33/351701133.db2.gz YHIUZGXXIUNUON-ZANVPECISA-N 0 0 280.299 2.723 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C[C@H]1F ZINC000611506194 351701150 /nfs/dbraw/zinc/70/11/50/351701150.db2.gz IDJWKNLPJQFRJC-PRHODGIISA-N 0 0 284.262 2.554 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)[C@H](F)C1 ZINC000611505873 351701241 /nfs/dbraw/zinc/70/12/41/351701241.db2.gz UAHPEOQUCAPLOD-ZWNOBZJWSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H](C)[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000611507225 351701603 /nfs/dbraw/zinc/70/16/03/351701603.db2.gz ZBSVZTVFKRZYHV-APPZFPTMSA-N 0 0 286.328 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=CCC2)c2ncccc12 ZINC000611509984 351701676 /nfs/dbraw/zinc/70/16/76/351701676.db2.gz TVZMRCFYDDULCM-UHFFFAOYSA-N 0 0 255.277 2.909 20 5 CFBDRN CSc1ccc(C(=O)N2CC=CCC2)cc1[N+](=O)[O-] ZINC000611513154 351702729 /nfs/dbraw/zinc/70/27/29/351702729.db2.gz LEFXEHXRHGPHGW-UHFFFAOYSA-N 0 0 278.333 2.719 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000611525222 351704334 /nfs/dbraw/zinc/70/43/34/351704334.db2.gz OIYLTELZQBVKTL-NOZJJQNGSA-N 0 0 279.340 2.660 20 5 CFBDRN Cc1n[nH]cc1CCCNc1sccc1[N+](=O)[O-] ZINC000611290021 351664362 /nfs/dbraw/zinc/66/43/62/351664362.db2.gz SOMKCSBJDFYLOW-UHFFFAOYSA-N 0 0 266.326 2.733 20 5 CFBDRN C[C@H](CNc1ccsc1[N+](=O)[O-])Cn1ccnc1 ZINC000611370245 351675228 /nfs/dbraw/zinc/67/52/28/351675228.db2.gz MQVQSIWXHTUOGZ-SECBINFHSA-N 0 0 266.326 2.601 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000611370808 351675963 /nfs/dbraw/zinc/67/59/63/351675963.db2.gz RCFXDTUMHZUYSN-QWRGUYRKSA-N 0 0 250.298 2.822 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1nc(C)ccc1[N+](=O)[O-] ZINC000611373294 351676458 /nfs/dbraw/zinc/67/64/58/351676458.db2.gz GKOITUJOJOBWDC-JQWIXIFHSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@H]1OCC[C@@H]1Sc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611711872 351727865 /nfs/dbraw/zinc/72/78/65/351727865.db2.gz BILIUSRSQSQIIV-PELKAZGASA-N 0 0 291.332 2.808 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)C[C@@H]2CCCO2)n1 ZINC000611616062 351714367 /nfs/dbraw/zinc/71/43/67/351714367.db2.gz NDNAQVVMPHZQLU-RYUDHWBXSA-N 0 0 279.340 2.976 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCCCO2)n1 ZINC000611616570 351714726 /nfs/dbraw/zinc/71/47/26/351714726.db2.gz CFZUSMPQHJFUHT-NSHDSACASA-N 0 0 265.313 2.588 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2cccnc2[N+](=O)[O-])CCO1 ZINC000612103670 351775417 /nfs/dbraw/zinc/77/54/17/351775417.db2.gz FZEJWBMURYIWHU-MNOVXSKESA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1ccoc1CNCc1csc([N+](=O)[O-])c1 ZINC000291695361 198061841 /nfs/dbraw/zinc/06/18/41/198061841.db2.gz JPAUMWIHNXTQNT-UHFFFAOYSA-N 0 0 252.295 2.848 20 5 CFBDRN CC[C@H](C)CCCCC(=O)NCCn1cc([N+](=O)[O-])cn1 ZINC000612051919 351766025 /nfs/dbraw/zinc/76/60/25/351766025.db2.gz VZZHHIJAJJSYGV-LBPRGKRZSA-N 0 0 296.371 2.514 20 5 CFBDRN O=c1[nH]c2ccc(CNc3sccc3[N+](=O)[O-])cc2[nH]1 ZINC000612093840 351773243 /nfs/dbraw/zinc/77/32/43/351773243.db2.gz ZKCJWWPUOFYCDA-UHFFFAOYSA-N 0 0 290.304 2.850 20 5 CFBDRN CCOc1nc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)co1 ZINC000612422616 351819157 /nfs/dbraw/zinc/81/91/57/351819157.db2.gz HXWPEQZYIYVTBY-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@H]1O ZINC000612449316 351822100 /nfs/dbraw/zinc/82/21/00/351822100.db2.gz SSSZYGPSYTZQSR-GXSJLCMTSA-N 0 0 284.381 2.890 20 5 CFBDRN C[C@@H]1C[C@@H](c2cccnc2)N(c2cccnc2[N+](=O)[O-])C1 ZINC000612453173 351822851 /nfs/dbraw/zinc/82/28/51/351822851.db2.gz GLKLVVMXUPASFR-RISCZKNCSA-N 0 0 284.319 2.972 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCO[C@H](C2CCCCC2)C1 ZINC000612457959 351823597 /nfs/dbraw/zinc/82/35/97/351823597.db2.gz XLCXMZQBDGDEKP-AWEZNQCLSA-N 0 0 291.351 2.775 20 5 CFBDRN C[C@@H](CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000612462464 351823902 /nfs/dbraw/zinc/82/39/02/351823902.db2.gz NLRZAOJLEJPJFZ-VHSXEESVSA-N 0 0 294.326 3.000 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000121938880 187006321 /nfs/dbraw/zinc/00/63/21/187006321.db2.gz NEQNWBIVSSFNBH-SECBINFHSA-N 0 0 290.323 2.636 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCCS2)c1[N+](=O)[O-] ZINC000122092049 187014909 /nfs/dbraw/zinc/01/49/09/187014909.db2.gz VTYPTRJIIRESRO-SECBINFHSA-N 0 0 253.327 2.606 20 5 CFBDRN CC(C)(NCc1cocn1)c1ccccc1[N+](=O)[O-] ZINC000291735720 198075241 /nfs/dbraw/zinc/07/52/41/198075241.db2.gz OKHPGZNEKHYPNV-UHFFFAOYSA-N 0 0 261.281 2.608 20 5 CFBDRN O=c1c2ccccc2n(COC2CCCC2)cc1[N+](=O)[O-] ZINC000291740269 198076251 /nfs/dbraw/zinc/07/62/51/198076251.db2.gz YPGJKVMAQPOEQC-UHFFFAOYSA-N 0 0 288.303 2.826 20 5 CFBDRN CC(C)(NC(=O)NCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000291742250 198076715 /nfs/dbraw/zinc/07/67/15/198076715.db2.gz SHWOEJCJKBMWPI-UHFFFAOYSA-N 0 0 277.324 2.539 20 5 CFBDRN Cc1ccnc(NC[C@H]2CSCCS2)c1[N+](=O)[O-] ZINC000122389782 187030394 /nfs/dbraw/zinc/03/03/94/187030394.db2.gz ZDNDVAAMVRZNEK-VIFPVBQESA-N 0 0 285.394 2.559 20 5 CFBDRN Cc1cc(C(=O)N(C)C[C@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000122523303 187036414 /nfs/dbraw/zinc/03/64/14/187036414.db2.gz BJWQUEVPEVFDSU-CQSZACIVSA-N 0 0 292.335 2.544 20 5 CFBDRN CCc1ccccc1CNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000122617369 187041074 /nfs/dbraw/zinc/04/10/74/187041074.db2.gz USIRUNCYZQMZPX-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2sccc2[N+](=O)[O-])[C@]12CCCO2 ZINC000612664353 351849443 /nfs/dbraw/zinc/84/94/43/351849443.db2.gz IIJSGWFPRNYPPQ-DMDPSCGWSA-N 0 0 298.364 2.795 20 5 CFBDRN C[C@H]1CCN(c2ccc3ncc([N+](=O)[O-])n3n2)CC1(C)C ZINC000612666820 351849928 /nfs/dbraw/zinc/84/99/28/351849928.db2.gz PPEMVQFNTXMHPT-JTQLQIEISA-N 0 0 289.339 2.510 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cn2)[C@H]1c1cccnc1 ZINC000291927829 198108083 /nfs/dbraw/zinc/10/80/83/198108083.db2.gz RXUJBARKZWLGRN-AWEZNQCLSA-N 0 0 284.319 2.972 20 5 CFBDRN COCC(C)(C)CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000291887398 198095970 /nfs/dbraw/zinc/09/59/70/198095970.db2.gz OUHNRYFYTQREQA-UHFFFAOYSA-N 0 0 280.324 2.882 20 5 CFBDRN COc1ccc(Cc2nc(C3CCC3)no2)cc1[N+](=O)[O-] ZINC000612897203 351875852 /nfs/dbraw/zinc/87/58/52/351875852.db2.gz HYFCBVDKPIFQDK-UHFFFAOYSA-N 0 0 289.291 2.845 20 5 CFBDRN Cc1nn(C)cc1CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000123963065 187116629 /nfs/dbraw/zinc/11/66/29/187116629.db2.gz PWTOLNQHZMUVEZ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H]2CCCS2)c1[N+](=O)[O-] ZINC000130727343 187516139 /nfs/dbraw/zinc/51/61/39/187516139.db2.gz SFBBSPUZAXEUON-SNVBAGLBSA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C[C@@H]2CCOC2)C2CC2)n1 ZINC000613284234 351932352 /nfs/dbraw/zinc/93/23/52/351932352.db2.gz BFWJVKVUHAMRES-LBPRGKRZSA-N 0 0 291.351 2.612 20 5 CFBDRN CCCCOCCOc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000613108996 351898491 /nfs/dbraw/zinc/89/84/91/351898491.db2.gz CGYKZPVZLBKNGN-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CC(C)(F)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000291944405 198113080 /nfs/dbraw/zinc/11/30/80/198113080.db2.gz CFRBTWUOGDTAAK-UHFFFAOYSA-N 0 0 260.652 2.935 20 5 CFBDRN COC[C@@H]1CCCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000613435987 351959822 /nfs/dbraw/zinc/95/98/22/351959822.db2.gz XFLBCGQSQDGFGQ-SNVBAGLBSA-N 0 0 270.354 2.909 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)[C@H]1C ZINC000613917271 352026926 /nfs/dbraw/zinc/02/69/26/352026926.db2.gz BUAKEJGPLNVRTJ-BFVZDQMLSA-N 0 0 293.367 2.906 20 5 CFBDRN CO[C@H]1CN(c2ccsc2[N+](=O)[O-])CC[C@H]1C ZINC000615179177 352163344 /nfs/dbraw/zinc/16/33/44/352163344.db2.gz OZJALLANHGBGHO-SCZZXKLOSA-N 0 0 256.327 2.518 20 5 CFBDRN CO[C@@H]1CN(c2ccsc2[N+](=O)[O-])CC[C@H]1C ZINC000615179176 352163494 /nfs/dbraw/zinc/16/34/94/352163494.db2.gz OZJALLANHGBGHO-PSASIEDQSA-N 0 0 256.327 2.518 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)[C@H](C)[C@@H](C)O1 ZINC000614980292 352139182 /nfs/dbraw/zinc/13/91/82/352139182.db2.gz MIQPFRDJGGJPJD-IWSPIJDZSA-N 0 0 256.327 2.658 20 5 CFBDRN CCOc1cc(N2C[C@H](C)O[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000614980400 352139237 /nfs/dbraw/zinc/13/92/37/352139237.db2.gz PNIZTEUPSASBOF-TUAOUCFPSA-N 0 0 294.351 2.996 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])Nc1cccc(O)c1 ZINC000206718344 539837314 /nfs/dbraw/zinc/83/73/14/539837314.db2.gz GATFFDTULNJPBD-HJWRWDBZSA-N 0 0 284.271 2.952 20 5 CFBDRN C=CC(C)=CCC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000616035078 352276495 /nfs/dbraw/zinc/27/64/95/352276495.db2.gz GKMDYNVXZDEFIB-RUDMXATFSA-N 0 0 286.291 2.932 20 5 CFBDRN C=C/C(C)=C\CC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000616034259 352276523 /nfs/dbraw/zinc/27/65/23/352276523.db2.gz FMKGQVXDYDNKLB-WCIBSUBMSA-N 0 0 260.293 2.733 20 5 CFBDRN COc1ccc(OCc2cc(C)ccn2)cc1[N+](=O)[O-] ZINC000616269733 352318142 /nfs/dbraw/zinc/31/81/42/352318142.db2.gz XKLWNDVFDNMFNF-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1cc2n[nH]cc2cc1Nc1cccnc1[N+](=O)[O-] ZINC000616391963 352338948 /nfs/dbraw/zinc/33/89/48/352338948.db2.gz QGPONIKTPJGISI-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN Cc1c([C@H](C)[NH2+][C@H](C)CC[S@](C)=O)cccc1[N+](=O)[O-] ZINC000271518418 191188136 /nfs/dbraw/zinc/18/81/36/191188136.db2.gz PXLIMXNEORYXQK-FQKDVGPYSA-N 0 0 298.408 2.711 20 5 CFBDRN Cc1c(CNC(=O)CCC(C)(F)F)cccc1[N+](=O)[O-] ZINC000616489688 352358251 /nfs/dbraw/zinc/35/82/51/352358251.db2.gz XTWBUGYBFVSHNQ-UHFFFAOYSA-N 0 0 286.278 2.955 20 5 CFBDRN CC(C)[C@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000271532135 191196865 /nfs/dbraw/zinc/19/68/65/191196865.db2.gz CDYXRZMZHCNOCE-TZMCWYRMSA-N 0 0 292.335 2.528 20 5 CFBDRN Cc1nnc(COc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000271538731 191199716 /nfs/dbraw/zinc/19/97/16/191199716.db2.gz QPLNIQCYKRRPGJ-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(O)CCc3ccccc32)n1 ZINC000133731989 187704054 /nfs/dbraw/zinc/70/40/54/187704054.db2.gz GWCDDPPZNSGLNV-INIZCTEOSA-N 0 0 299.330 2.544 20 5 CFBDRN CC[C@]1(NC(=O)Nc2cccc([N+](=O)[O-])c2C)CCOC1 ZINC000616747601 352426950 /nfs/dbraw/zinc/42/69/50/352426950.db2.gz HTWQCQXBVVLMBV-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN CCc1nnc([C@@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)[nH]1 ZINC000271528067 191195288 /nfs/dbraw/zinc/19/52/88/191195288.db2.gz PGGRCLGDSKSXPH-VHSXEESVSA-N 0 0 289.339 2.687 20 5 CFBDRN CCOc1cc(CS[C@H](C)CCO)ccc1[N+](=O)[O-] ZINC000617192962 352509239 /nfs/dbraw/zinc/50/92/39/352509239.db2.gz ZZGCABNFIPDBHN-SNVBAGLBSA-N 0 0 285.365 2.998 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H]2CCC[C@H]21 ZINC000617410453 352559496 /nfs/dbraw/zinc/55/94/96/352559496.db2.gz ONMIMHKIVKMPGK-GXFFZTMASA-N 0 0 290.319 2.618 20 5 CFBDRN CCC[C@@H](O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000134546081 187758448 /nfs/dbraw/zinc/75/84/48/187758448.db2.gz PUYBYQLCZPHQSM-GFCCVEGCSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000617688835 352629302 /nfs/dbraw/zinc/62/93/02/352629302.db2.gz BYWUYDHLKYBRIQ-RYUDHWBXSA-N 0 0 299.330 2.971 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H](C)[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000617761730 352637937 /nfs/dbraw/zinc/63/79/37/352637937.db2.gz ISHKXMQTNQZMDW-COPLHBTASA-N 0 0 294.326 2.905 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000618072514 352713048 /nfs/dbraw/zinc/71/30/48/352713048.db2.gz XLZVKVGCXSEGGX-SECBINFHSA-N 0 0 266.297 2.522 20 5 CFBDRN CC[C@@]1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000135314577 187819557 /nfs/dbraw/zinc/81/95/57/187819557.db2.gz SEGZPCUMWXIDSQ-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(Nc1ccc(C2CC2)cc1)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000618388792 352764751 /nfs/dbraw/zinc/76/47/51/352764751.db2.gz DKRJACTYSGNWDX-UHFFFAOYSA-N 0 0 299.286 2.825 20 5 CFBDRN Cc1cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000292161188 198186780 /nfs/dbraw/zinc/18/67/80/198186780.db2.gz OORGJARNRHAWPF-UHFFFAOYSA-N 0 0 286.335 2.718 20 5 CFBDRN CSC[C@@H]1CCCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000292170523 198189565 /nfs/dbraw/zinc/18/95/65/198189565.db2.gz DQYSVUIZFGMOKZ-MRVPVSSYSA-N 0 0 273.383 2.631 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000292170327 198189657 /nfs/dbraw/zinc/18/96/57/198189657.db2.gz UTJFZOPDQAUGSK-MRVPVSSYSA-N 0 0 286.715 2.956 20 5 CFBDRN C[C@H]1OCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000147492084 187913855 /nfs/dbraw/zinc/91/38/55/187913855.db2.gz XBOBLUUWGRXYPQ-XCBNKYQSSA-N 0 0 256.689 2.838 20 5 CFBDRN CO[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1Cl)C1CC1 ZINC000292211111 198203558 /nfs/dbraw/zinc/20/35/58/198203558.db2.gz HZCAFPRHIMCUAC-LLVKDONJSA-N 0 0 284.699 2.612 20 5 CFBDRN CC(=O)Nc1ccc(CNc2ccc([N+](=O)[O-])s2)cn1 ZINC000618977465 352925457 /nfs/dbraw/zinc/92/54/57/352925457.db2.gz DZGLGFVHZTWTAW-UHFFFAOYSA-N 0 0 292.320 2.622 20 5 CFBDRN Cc1ccoc1CN(C)c1nc(C)ccc1[N+](=O)[O-] ZINC000292222185 198207824 /nfs/dbraw/zinc/20/78/24/198207824.db2.gz IDSUNOPPDHCGTB-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN O=c1oc2ccc(F)cc2n1Cc1ccccc1[N+](=O)[O-] ZINC000619264017 352988881 /nfs/dbraw/zinc/98/88/81/352988881.db2.gz HXGJDCVHSRCHCR-UHFFFAOYSA-N 0 0 288.234 2.690 20 5 CFBDRN CC(C)(NCc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000619432119 353022587 /nfs/dbraw/zinc/02/25/87/353022587.db2.gz WYYGGOYLHSWZJI-UHFFFAOYSA-N 0 0 262.231 2.867 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](C)C(C)(C)C1 ZINC000619489982 353028837 /nfs/dbraw/zinc/02/88/37/353028837.db2.gz FMZWDRNCMXVUPN-SNVBAGLBSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@H]1CCO[C@@H](C)C1 ZINC000619489876 353028860 /nfs/dbraw/zinc/02/88/60/353028860.db2.gz XHLSNWGVZXIUNO-JQWIXIFHSA-N 0 0 296.371 2.737 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000619499927 353029512 /nfs/dbraw/zinc/02/95/12/353029512.db2.gz IHVWLJWAVBZPRM-LDYMZIIASA-N 0 0 268.338 2.660 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1c1cccnc1[N+](=O)[O-] ZINC000619500930 353029591 /nfs/dbraw/zinc/02/95/91/353029591.db2.gz UFFLUTCQEXMNJD-SFYZADRCSA-N 0 0 275.230 2.767 20 5 CFBDRN CCc1cc(Oc2ccc([N+](=O)[O-])c(OC)c2)ncn1 ZINC000619500757 353029800 /nfs/dbraw/zinc/02/98/00/353029800.db2.gz PSWSIZLSLJEFNF-UHFFFAOYSA-N 0 0 275.264 2.748 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@H](C)C2CCC2)ccc1[N+](=O)[O-] ZINC000619530297 353033344 /nfs/dbraw/zinc/03/33/44/353033344.db2.gz FYTRZRDACMRWDO-NSHDSACASA-N 0 0 292.335 2.587 20 5 CFBDRN CC1=CCCN(c2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000619550179 353035280 /nfs/dbraw/zinc/03/52/80/353035280.db2.gz KPBGERJUFTWMEK-UHFFFAOYSA-N 0 0 270.292 2.694 20 5 CFBDRN Cc1cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])on1 ZINC000292251492 198218805 /nfs/dbraw/zinc/21/88/05/198218805.db2.gz XUBVXNDRJHJYEP-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN Cc1cc(CSc2cccc([N+](=O)[O-])c2)nn1C ZINC000619744372 353062839 /nfs/dbraw/zinc/06/28/39/353062839.db2.gz WGGDJTNQJFWNBL-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1cc(C)n(C)n1 ZINC000619586462 353037868 /nfs/dbraw/zinc/03/78/68/353037868.db2.gz MUVRQWNLBTZPTP-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN COc1ccc(NC(=O)c2ccn(C)c2C)cc1[N+](=O)[O-] ZINC000619615486 353040478 /nfs/dbraw/zinc/04/04/78/353040478.db2.gz ILXKBOBZZLWCAB-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])ccn1C ZINC000619615227 353040483 /nfs/dbraw/zinc/04/04/83/353040483.db2.gz JYYYYBFVNFFBKD-SNVBAGLBSA-N 0 0 287.319 2.733 20 5 CFBDRN C[C@H](NC(=O)NOC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000271657942 191263325 /nfs/dbraw/zinc/26/33/25/191263325.db2.gz WUHDJIIXRRDDNO-JTQLQIEISA-N 0 0 293.323 2.829 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@H]2C(F)(F)F)nc2sccn21 ZINC000619698212 353052925 /nfs/dbraw/zinc/05/29/25/353052925.db2.gz KUQVAHFRHGBOFD-RFZPGFLSSA-N 0 0 292.242 2.667 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1Cc1csc(C2CCCC2)n1 ZINC000152198916 188001927 /nfs/dbraw/zinc/00/19/27/188001927.db2.gz DNJIWLJCMBUECA-UHFFFAOYSA-N 0 0 293.352 2.536 20 5 CFBDRN CC[C@@H](C)OCC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000152470348 188021059 /nfs/dbraw/zinc/02/10/59/188021059.db2.gz ZQYNUWZGWSKJCN-SECBINFHSA-N 0 0 267.281 2.624 20 5 CFBDRN CC[C@@H](SC)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000152963403 188056251 /nfs/dbraw/zinc/05/62/51/188056251.db2.gz BEGRETZICFGZCU-SNVBAGLBSA-N 0 0 273.285 2.781 20 5 CFBDRN CCCCc1cc(NC(=O)c2ccccc2[N+](=O)[O-])n[nH]1 ZINC000153393814 188080186 /nfs/dbraw/zinc/08/01/86/188080186.db2.gz YOICVJJAMMUIJU-UHFFFAOYSA-N 0 0 288.307 2.913 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1Sc1n[nH]c(C2CC2)n1 ZINC000153556766 188089202 /nfs/dbraw/zinc/08/92/02/188089202.db2.gz PYBQWYYZRDBRPJ-UHFFFAOYSA-N 0 0 297.727 2.790 20 5 CFBDRN CCC(CC)(CNc1ccc([N+](=O)[O-])cn1)OC ZINC000292340117 198251160 /nfs/dbraw/zinc/25/11/60/198251160.db2.gz PXUWIDSRDNKJTG-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153611583 188092704 /nfs/dbraw/zinc/09/27/04/188092704.db2.gz RSBLKDRPGRXEOE-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN CC(C)CONC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000153541214 188088405 /nfs/dbraw/zinc/08/84/05/188088405.db2.gz JMUBSBLNNYLDPC-UHFFFAOYSA-N 0 0 272.688 2.566 20 5 CFBDRN CCCCCN(CCO)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000153840976 188107467 /nfs/dbraw/zinc/10/74/67/188107467.db2.gz ANDCWIJWIFXEDX-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCc3ccccc3[C@@H]21)c1ccc([N+](=O)[O-])o1 ZINC000153897948 188110834 /nfs/dbraw/zinc/11/08/34/188110834.db2.gz PSQGKBMWKQMDQT-BYCMXARLSA-N 0 0 298.298 2.646 20 5 CFBDRN CCN(C(=O)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000153934241 188112877 /nfs/dbraw/zinc/11/28/77/188112877.db2.gz ZATDCIJJZSUBDO-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN CCCc1nc(C)c(CNc2ncc([N+](=O)[O-])s2)o1 ZINC000292356678 198257035 /nfs/dbraw/zinc/25/70/35/198257035.db2.gz QZNNFZHKDAJGQX-UHFFFAOYSA-N 0 0 282.325 2.912 20 5 CFBDRN Cc1cc(NC(=O)NOCC(C)C)ccc1[N+](=O)[O-] ZINC000153830458 188106266 /nfs/dbraw/zinc/10/62/66/188106266.db2.gz HNJYSNUHIDVAQE-UHFFFAOYSA-N 0 0 267.285 2.612 20 5 CFBDRN Cc1c(CN2CCC=C(F)C2)cccc1[N+](=O)[O-] ZINC000271672820 191271057 /nfs/dbraw/zinc/27/10/57/191271057.db2.gz XMIJFXIOLNFGEZ-UHFFFAOYSA-N 0 0 250.273 2.962 20 5 CFBDRN CC(C)(C)c1csc(Cn2nccc2[N+](=O)[O-])n1 ZINC000154606037 188153149 /nfs/dbraw/zinc/15/31/49/188153149.db2.gz PUTZHUAWQYKJJL-UHFFFAOYSA-N 0 0 266.326 2.594 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])CCCS1 ZINC000154788722 188161502 /nfs/dbraw/zinc/16/15/02/188161502.db2.gz KSYFEZLPCQXXFD-ZDUSSCGKSA-N 0 0 298.339 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCO[C@H]2CCCCO2)c1 ZINC000292422262 198282958 /nfs/dbraw/zinc/28/29/58/198282958.db2.gz UDVXJRKDCWUFQG-AWEZNQCLSA-N 0 0 280.324 2.858 20 5 CFBDRN C[C@H]1CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000154979363 188174789 /nfs/dbraw/zinc/17/47/89/188174789.db2.gz CCIGNAOULDHTJV-RYUDHWBXSA-N 0 0 276.336 2.784 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H]1CCCOC1 ZINC000156407422 188261233 /nfs/dbraw/zinc/26/12/33/188261233.db2.gz GXLMTNLZZKRHIZ-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN COCC(C)(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000157377723 188313336 /nfs/dbraw/zinc/31/33/36/188313336.db2.gz JXJQLCWNZMRBQO-UHFFFAOYSA-N 0 0 266.297 2.514 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292533123 198322490 /nfs/dbraw/zinc/32/24/90/198322490.db2.gz HVERVROVORLGKA-VHSXEESVSA-N 0 0 297.330 2.757 20 5 CFBDRN CC(C)C(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000292599316 198345935 /nfs/dbraw/zinc/34/59/35/198345935.db2.gz HMUMAOCNCFNLFH-UHFFFAOYSA-N 0 0 257.673 2.852 20 5 CFBDRN CCC(CC)(CO)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000157610959 188328162 /nfs/dbraw/zinc/32/81/62/188328162.db2.gz HSQWIVIRGMLXGL-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN CC[C@@](C)(CO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292615402 198351571 /nfs/dbraw/zinc/35/15/71/198351571.db2.gz NEZHOFWGEAVDKA-LBPRGKRZSA-N 0 0 256.277 2.615 20 5 CFBDRN Cc1c(CNc2cc(C)c([N+](=O)[O-])cc2F)cnn1C ZINC000292578441 198339365 /nfs/dbraw/zinc/33/93/65/198339365.db2.gz KSAOFIWQZKUXBW-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN CCCCCNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000158090359 188356383 /nfs/dbraw/zinc/35/63/83/188356383.db2.gz ASHCRBCEFHTSKD-UHFFFAOYSA-N 0 0 264.325 2.752 20 5 CFBDRN Cc1cc(C)c(OC[C@H](O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000158420668 188375080 /nfs/dbraw/zinc/37/50/80/188375080.db2.gz OCJBXBFWQUGJNJ-VIFPVBQESA-N 0 0 279.214 2.514 20 5 CFBDRN CC(C)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000292698167 198380586 /nfs/dbraw/zinc/38/05/86/198380586.db2.gz NMETYGIFUNWGCU-UHFFFAOYSA-N 0 0 276.214 2.752 20 5 CFBDRN Cc1nc(Sc2cc(C)c([N+](=O)[O-])cn2)[nH]c1C ZINC000292749312 198398811 /nfs/dbraw/zinc/39/88/11/198398811.db2.gz JKQAEFYAQVIAQN-UHFFFAOYSA-N 0 0 264.310 2.789 20 5 CFBDRN O=C(NCCC1=CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000160153680 188457869 /nfs/dbraw/zinc/45/78/69/188457869.db2.gz IXZODEWJTJTEBX-UHFFFAOYSA-N 0 0 260.293 2.825 20 5 CFBDRN CN1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)c2ccccc21 ZINC000039085446 282926714 /nfs/dbraw/zinc/92/67/14/282926714.db2.gz JSSUMFUHYYDTCL-UHFFFAOYSA-N 0 0 297.314 2.691 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@H](C3CC3)C2)n1 ZINC000160335599 188467390 /nfs/dbraw/zinc/46/73/90/188467390.db2.gz UHHLYJJAPKKCRB-AAEUAGOBSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2nc(C3CC3)nc2C2CC2)cc1 ZINC000161468636 188529087 /nfs/dbraw/zinc/52/90/87/188529087.db2.gz BPUABUWYUIGTAB-UHFFFAOYSA-N 0 0 284.319 2.989 20 5 CFBDRN C[C@@]1(Nc2ccc(Cl)cc2[N+](=O)[O-])CCOC1 ZINC000161907795 188549533 /nfs/dbraw/zinc/54/95/33/188549533.db2.gz LKFBPUFPQPMVJL-LLVKDONJSA-N 0 0 256.689 2.839 20 5 CFBDRN CSC[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000162588078 188579462 /nfs/dbraw/zinc/57/94/62/188579462.db2.gz QSPGEBKSTRTIMM-VIFPVBQESA-N 0 0 283.353 2.776 20 5 CFBDRN Cc1nn(C)cc1CNc1ccc([N+](=O)[O-])cc1Cl ZINC000169072465 188654754 /nfs/dbraw/zinc/65/47/54/188654754.db2.gz RKQBAVVPQVWNPD-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSC[C@@H]2CCCO2)c1 ZINC000170874244 188700929 /nfs/dbraw/zinc/70/09/29/188700929.db2.gz QFDZKWNXNXBVQY-ZDUSSCGKSA-N 0 0 283.349 2.886 20 5 CFBDRN Cc1c(F)cccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295425497 199503604 /nfs/dbraw/zinc/50/36/04/199503604.db2.gz WFOFYWPJBZWRHB-UHFFFAOYSA-N 0 0 268.288 2.908 20 5 CFBDRN O=C(NOC1CCCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000271759619 191310116 /nfs/dbraw/zinc/31/01/16/191310116.db2.gz CJDUAQCEYCSMKJ-UHFFFAOYSA-N 0 0 289.291 2.680 20 5 CFBDRN Cc1c([C@H](C)Nc2ccc(F)cc2[N+](=O)[O-])cnn1C ZINC000171973053 188772763 /nfs/dbraw/zinc/77/27/63/188772763.db2.gz RLHWREQNSSPNOC-QMMMGPOBSA-N 0 0 278.287 2.949 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H](CC)C2)c1[N+](=O)[O-] ZINC000172717991 188823041 /nfs/dbraw/zinc/82/30/41/188823041.db2.gz GBOFLOKUFPBIOQ-NXEZZACHSA-N 0 0 294.355 2.579 20 5 CFBDRN CCCN(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000172977027 188836492 /nfs/dbraw/zinc/83/64/92/188836492.db2.gz KAPMMNWSZGGJNI-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN CS[C@@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271798682 191333152 /nfs/dbraw/zinc/33/31/52/191333152.db2.gz RSULRXAQRFFPJE-IUCAKERBSA-N 0 0 268.338 2.524 20 5 CFBDRN C[C@@H]1CCCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000173172983 188844169 /nfs/dbraw/zinc/84/41/69/188844169.db2.gz QZAUHTKRSWYXHG-OALRPVAKSA-N 0 0 260.293 2.619 20 5 CFBDRN CS[C@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271798679 191334137 /nfs/dbraw/zinc/33/41/37/191334137.db2.gz RSULRXAQRFFPJE-DTWKUNHWSA-N 0 0 268.338 2.524 20 5 CFBDRN C[C@H](NCc1ncc(Cl)n1C)c1cccc([N+](=O)[O-])c1 ZINC000173373095 188852463 /nfs/dbraw/zinc/85/24/63/188852463.db2.gz AXKBCCUUTHXLGP-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@H](NC(=O)C(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000271810985 191341150 /nfs/dbraw/zinc/34/11/50/191341150.db2.gz OJWQVQWGOYPVOL-VIFPVBQESA-N 0 0 250.298 2.818 20 5 CFBDRN CC(C)[C@@H](O)C1(CNc2ccc([N+](=O)[O-])cc2)CC1 ZINC000271815434 191344357 /nfs/dbraw/zinc/34/43/57/191344357.db2.gz MQUBSXBOWJCIRJ-CYBMUJFWSA-N 0 0 264.325 2.804 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000271829445 191353413 /nfs/dbraw/zinc/35/34/13/191353413.db2.gz WTPNREZELVRMLG-ONGXEEELSA-N 0 0 262.309 2.818 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C1CCC1 ZINC000174190620 188886334 /nfs/dbraw/zinc/88/63/34/188886334.db2.gz KAQALIDNLMHQII-UHFFFAOYSA-N 0 0 263.297 2.919 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000272169827 191555560 /nfs/dbraw/zinc/55/55/60/191555560.db2.gz GVTCPQFRMVKGLC-RISCZKNCSA-N 0 0 294.351 2.591 20 5 CFBDRN CCC(C)(C)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272109110 191519385 /nfs/dbraw/zinc/51/93/85/191519385.db2.gz OCBRZXBOQSSWLV-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN CC(C)(C)c1noc(-c2cc3c(c([N+](=O)[O-])c2)OCO3)n1 ZINC000272215222 191584343 /nfs/dbraw/zinc/58/43/43/191584343.db2.gz OTRMJCPLVGVSHZ-UHFFFAOYSA-N 0 0 291.263 2.671 20 5 CFBDRN Cc1cc(COC(=O)[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000272662076 191852466 /nfs/dbraw/zinc/85/24/66/191852466.db2.gz HAQBHSGGSYVEJA-AAEUAGOBSA-N 0 0 293.319 2.762 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2ccccc2C1 ZINC000203817716 540215519 /nfs/dbraw/zinc/21/55/19/540215519.db2.gz FNOZIFNFRLFZND-UHFFFAOYSA-N 0 0 255.277 2.553 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)CSC)cc1[N+](=O)[O-] ZINC000272757582 191902170 /nfs/dbraw/zinc/90/21/70/191902170.db2.gz BSUURWFMNSWXGX-MRVPVSSYSA-N 0 0 284.337 2.541 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000273509676 192180906 /nfs/dbraw/zinc/18/09/06/192180906.db2.gz PXROZMVXZVJHBN-SSDOTTSWSA-N 0 0 279.325 2.631 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1ccccc1C ZINC000174830576 188920417 /nfs/dbraw/zinc/92/04/17/188920417.db2.gz GEFDLMJEGNWLOG-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1C[C@@H]2CCC[C@H]2C1 ZINC000273522497 192185849 /nfs/dbraw/zinc/18/58/49/192185849.db2.gz YWUCEYDOQUKFNK-UWVGGRQHSA-N 0 0 293.298 2.998 20 5 CFBDRN CN(C[C@H]1CCOC1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000174920607 188923436 /nfs/dbraw/zinc/92/34/36/188923436.db2.gz XZXXVFKVQSBDAV-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cn1)c1ccccc1F ZINC000273598226 192223174 /nfs/dbraw/zinc/22/31/74/192223174.db2.gz IYDSSMCSPFOEFE-UHFFFAOYSA-N 0 0 290.298 2.914 20 5 CFBDRN CCC[C@@H](CNc1nccc(C)c1[N+](=O)[O-])OC ZINC000293721167 198744791 /nfs/dbraw/zinc/74/47/91/198744791.db2.gz QMTGBCHJYKJPPC-JTQLQIEISA-N 0 0 253.302 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@@H]2CCCO2)c(F)c1F ZINC000295994664 199747289 /nfs/dbraw/zinc/74/72/89/199747289.db2.gz PFXFCMFIEOOPNZ-QMMMGPOBSA-N 0 0 273.235 2.821 20 5 CFBDRN COc1ccc(CNC(=O)[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000274563486 192652828 /nfs/dbraw/zinc/65/28/28/192652828.db2.gz BSGYCKNBXWMCEH-NWDGAFQWSA-N 0 0 292.335 2.512 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000294156629 198931212 /nfs/dbraw/zinc/93/12/12/198931212.db2.gz OXUJKAMMVAFMLQ-JGVFFNPUSA-N 0 0 268.700 2.729 20 5 CFBDRN C[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000269337471 290595010 /nfs/dbraw/zinc/59/50/10/290595010.db2.gz BEGSFPBCQGAHIE-YUMQZZPRSA-N 0 0 255.705 2.878 20 5 CFBDRN C[C@H]1CCN(c2ccccc2[N+](=O)[O-])CCS1 ZINC000276917541 290592466 /nfs/dbraw/zinc/59/24/66/290592466.db2.gz OLVDZNPYZYGTGW-JTQLQIEISA-N 0 0 252.339 2.927 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000294492642 199060666 /nfs/dbraw/zinc/06/06/66/199060666.db2.gz GMPYHYMOHJFAIO-HZGVNTEJSA-N 0 0 288.225 2.752 20 5 CFBDRN CCC(C)(C)NC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000294528251 199073777 /nfs/dbraw/zinc/07/37/77/199073777.db2.gz RRKQPVZRUGIBCD-UHFFFAOYSA-N 0 0 292.335 2.863 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000294559957 199085763 /nfs/dbraw/zinc/08/57/63/199085763.db2.gz SXUHWTQAYFJUKR-UHFFFAOYSA-N 0 0 268.288 2.624 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000294558739 199085861 /nfs/dbraw/zinc/08/58/61/199085861.db2.gz WQUPHZAKAHPDHX-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000296169096 199805405 /nfs/dbraw/zinc/80/54/05/199805405.db2.gz FRKGNZZJCARYGM-JBLDHEPKSA-N 0 0 277.324 2.611 20 5 CFBDRN CCn1ccnc1[C@H](C)NCc1ccc([N+](=O)[O-])cc1 ZINC000294706095 199144287 /nfs/dbraw/zinc/14/42/87/199144287.db2.gz BDHMVRNOMYCADQ-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC2CCCCC2)cn1 ZINC000419012393 236425609 /nfs/dbraw/zinc/42/56/09/236425609.db2.gz DXYSNTHPKRVPLZ-ZDUSSCGKSA-N 0 0 279.340 2.733 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCCOCC2CCCC2)c1 ZINC000419598778 236524134 /nfs/dbraw/zinc/52/41/34/236524134.db2.gz JKSXFBZGTUHHCI-UHFFFAOYSA-N 0 0 299.396 2.731 20 5 CFBDRN C[C@@H](CCO)CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000294899156 199238182 /nfs/dbraw/zinc/23/81/82/199238182.db2.gz HYJCOWFQVOYBJO-MRVPVSSYSA-N 0 0 274.267 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(S[C@@H]3CCCOC3)nc2c1 ZINC000294905086 199241055 /nfs/dbraw/zinc/24/10/55/199241055.db2.gz HKGZTJCIAYXJLB-SECBINFHSA-N 0 0 279.321 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nnc(C3CC3)[nH]2)c1 ZINC000294922707 199250648 /nfs/dbraw/zinc/25/06/48/199250648.db2.gz JJLWBWXBZQXDKQ-UHFFFAOYSA-N 0 0 273.296 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CCCC[C@@H]2O)c1 ZINC000294935138 199257880 /nfs/dbraw/zinc/25/78/80/199257880.db2.gz JHSUEUPBONWGJD-KBPBESRZSA-N 0 0 287.319 2.858 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294937294 199259073 /nfs/dbraw/zinc/25/90/73/199259073.db2.gz JJWMQLQYZUNVSB-UWVGGRQHSA-N 0 0 275.308 2.978 20 5 CFBDRN C[C@H](NC(=O)NC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000296501046 199912923 /nfs/dbraw/zinc/91/29/23/199912923.db2.gz BUYKWRJVVJVKIJ-VIFPVBQESA-N 0 0 263.297 2.508 20 5 CFBDRN Cc1nc(NC2CCC3(CC3)CC2)ncc1[N+](=O)[O-] ZINC000296903592 200030466 /nfs/dbraw/zinc/03/04/66/200030466.db2.gz ZIVXSLBWYAXACA-UHFFFAOYSA-N 0 0 262.313 2.828 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2C[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000274945951 192853702 /nfs/dbraw/zinc/85/37/02/192853702.db2.gz QSEYXSWLTXTEOM-MNOVXSKESA-N 0 0 278.308 2.834 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nncn2-c2ccccc2)cc1 ZINC000435781119 240352697 /nfs/dbraw/zinc/35/26/97/240352697.db2.gz IDISTCMBMMRZIU-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN CS[C@H]1CCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000296964336 200047182 /nfs/dbraw/zinc/04/71/82/200047182.db2.gz PXGJHJTXCNUAFD-SYTKJHMZSA-N 0 0 292.360 2.572 20 5 CFBDRN CCN(CC(C)(C)O)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000297103713 200085720 /nfs/dbraw/zinc/08/57/20/200085720.db2.gz LIIQUGMHKHMVKG-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN COC[C@@H]1CCN(c2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000297125878 200091837 /nfs/dbraw/zinc/09/18/37/200091837.db2.gz MSNWZVQHJZDNOE-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000297271487 200131088 /nfs/dbraw/zinc/13/10/88/200131088.db2.gz KRMHCYJUNMGOQS-DCAQKATOSA-N 0 0 277.324 2.706 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297174408 200105355 /nfs/dbraw/zinc/10/53/55/200105355.db2.gz PSGSTWNBLBHGAE-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN Cc1cnc([C@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)s1 ZINC000297350491 200158301 /nfs/dbraw/zinc/15/83/01/200158301.db2.gz BLDPPPMZOFRRQO-QMMMGPOBSA-N 0 0 279.325 2.631 20 5 CFBDRN CC(C)[C@@H](O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297291713 200137753 /nfs/dbraw/zinc/13/77/53/200137753.db2.gz XZOZDTNZRMDVHJ-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN CNC(=O)c1ccc(N(C)[C@@H](C)C2(C)CC2)c([N+](=O)[O-])c1 ZINC000267817542 283473782 /nfs/dbraw/zinc/47/37/82/283473782.db2.gz WDXDTHNYWBXODR-JTQLQIEISA-N 0 0 291.351 2.579 20 5 CFBDRN CCCC1(CNc2ncc([N+](=O)[O-])c(C)n2)CC1 ZINC000297612563 200241068 /nfs/dbraw/zinc/24/10/68/200241068.db2.gz VSQVRYFYQLGGFW-UHFFFAOYSA-N 0 0 250.302 2.685 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000297706877 200265723 /nfs/dbraw/zinc/26/57/23/200265723.db2.gz FVSQOYWAPVOOGX-ZWNOBZJWSA-N 0 0 296.298 2.878 20 5 CFBDRN O=C(CSC1CC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000297711672 200267303 /nfs/dbraw/zinc/26/73/03/200267303.db2.gz GCBULKLVEFEVNX-UHFFFAOYSA-N 0 0 270.285 2.568 20 5 CFBDRN C[C@H]1OCCN(c2ccc(Cl)cc2[N+](=O)[O-])[C@@H]1C ZINC000301721973 291207791 /nfs/dbraw/zinc/20/77/91/291207791.db2.gz RDHFZPBQGOIAQK-RKDXNWHRSA-N 0 0 270.716 2.862 20 5 CFBDRN CC1=C(C(=O)OCc2ccc([N+](=O)[O-])cc2)SCCO1 ZINC000297892900 200299314 /nfs/dbraw/zinc/29/93/14/200299314.db2.gz QXSRAQKHIQGRFH-UHFFFAOYSA-N 0 0 295.316 2.633 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000298013408 200317621 /nfs/dbraw/zinc/31/76/21/200317621.db2.gz ZYFKZBIXKVOTBB-SECBINFHSA-N 0 0 280.349 2.941 20 5 CFBDRN Cc1cc(Cn2c(C)c([N+](=O)[O-])ccc2=O)c(C)s1 ZINC000298140728 200343225 /nfs/dbraw/zinc/34/32/25/200343225.db2.gz CVUXQCMQTNYWJQ-UHFFFAOYSA-N 0 0 278.333 2.792 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C1CC1 ZINC000298306935 200390620 /nfs/dbraw/zinc/39/06/20/200390620.db2.gz NLVDMQRQJLDTMN-SECBINFHSA-N 0 0 280.349 2.696 20 5 CFBDRN Cc1sc(C(=O)N2CCC(C)CC2)cc1[N+](=O)[O-] ZINC000298312957 200392192 /nfs/dbraw/zinc/39/21/92/200392192.db2.gz PLNIXSBNJIAPCB-UHFFFAOYSA-N 0 0 268.338 2.837 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000298273864 200380131 /nfs/dbraw/zinc/38/01/31/200380131.db2.gz GNSVDUPDOILUEN-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN Cc1c(NCc2noc(C3CC3)n2)cccc1[N+](=O)[O-] ZINC000176901350 189013825 /nfs/dbraw/zinc/01/38/25/189013825.db2.gz DJGGQZPDDMLEHD-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN C[C@@H](NC(=O)CC[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000176778774 189008639 /nfs/dbraw/zinc/00/86/39/189008639.db2.gz KXUJSEDDFMLQTD-VXGBXAGGSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@H]1C ZINC000176976546 189017907 /nfs/dbraw/zinc/01/79/07/189017907.db2.gz GUJDAHMBPUUJFE-SFYZADRCSA-N 0 0 254.311 2.527 20 5 CFBDRN CO[C@H](C)c1nc(Cn2nc(C)c([N+](=O)[O-])c2C)cs1 ZINC000177144413 189031971 /nfs/dbraw/zinc/03/19/71/189031971.db2.gz DTDNSTXLMNTEFO-SECBINFHSA-N 0 0 296.352 2.620 20 5 CFBDRN CO[C@@H](C)c1nc(COc2cccnc2[N+](=O)[O-])cs1 ZINC000177189511 189035101 /nfs/dbraw/zinc/03/51/01/189035101.db2.gz DJDMRRWBBAQFIU-QMMMGPOBSA-N 0 0 295.320 2.733 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCC[C@@H](CO)C2)c(F)c1 ZINC000298505792 200452239 /nfs/dbraw/zinc/45/22/39/200452239.db2.gz GXAGIHCVDDRBTO-BDAKNGLRSA-N 0 0 286.278 2.836 20 5 CFBDRN CO[C@H](C)c1noc(COc2cc([N+](=O)[O-])ccc2C)n1 ZINC000177253147 189039626 /nfs/dbraw/zinc/03/96/26/189039626.db2.gz IAIOENGDPKGPJF-SECBINFHSA-N 0 0 293.279 2.573 20 5 CFBDRN CC(C)C1(CNC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000177386263 189046971 /nfs/dbraw/zinc/04/69/71/189046971.db2.gz UGPKDZAYIQIYMS-UHFFFAOYSA-N 0 0 268.338 2.822 20 5 CFBDRN CC(C)C1(CNC(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000177405224 189048338 /nfs/dbraw/zinc/04/83/38/189048338.db2.gz PGOCLGZIHIBPJB-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@H](CO)C2)c(F)c1 ZINC000298636270 200489322 /nfs/dbraw/zinc/48/93/22/200489322.db2.gz QZBDGCAZCHLMLP-UWVGGRQHSA-N 0 0 268.288 2.697 20 5 CFBDRN COC[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1ccc(C)o1 ZINC000177567680 189057578 /nfs/dbraw/zinc/05/75/78/189057578.db2.gz ZDMLTDLYHJJSSC-CQSZACIVSA-N 0 0 290.319 2.974 20 5 CFBDRN CCN(C(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000298759933 200527798 /nfs/dbraw/zinc/52/77/98/200527798.db2.gz ZRGFCBRJTIQYGG-UHFFFAOYSA-N 0 0 251.286 2.857 20 5 CFBDRN CCC1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000298940282 200567525 /nfs/dbraw/zinc/56/75/25/200567525.db2.gz GBOPBQBILGVMHJ-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CCC1(CNC(=O)c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000178180109 189095676 /nfs/dbraw/zinc/09/56/76/189095676.db2.gz KOCOTHYDEJRFNJ-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CCc1nc(CC)n(Cc2cccc([N+](=O)[O-])c2C)n1 ZINC000178224239 189098906 /nfs/dbraw/zinc/09/89/06/189098906.db2.gz PYCUEZZAMFTBRB-UHFFFAOYSA-N 0 0 274.324 2.668 20 5 CFBDRN CC(C)(C)CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000299143476 200622176 /nfs/dbraw/zinc/62/21/76/200622176.db2.gz PURNDDDLMUUDGZ-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN Cc1c([C@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])cnn1C ZINC000178833521 189149172 /nfs/dbraw/zinc/14/91/72/189149172.db2.gz FUQIIZSWMKWUJO-ZETCQYMHSA-N 0 0 295.730 2.858 20 5 CFBDRN C[C@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000179299494 189184107 /nfs/dbraw/zinc/18/41/07/189184107.db2.gz XTBGCPZFHPEJPP-NWDGAFQWSA-N 0 0 291.351 2.782 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)C1CC1 ZINC000179551117 189201946 /nfs/dbraw/zinc/20/19/46/189201946.db2.gz QRMOQWZRWVHSGO-SECBINFHSA-N 0 0 285.303 2.671 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@]2(CCOC2)C1 ZINC000301613027 540920660 /nfs/dbraw/zinc/92/06/60/540920660.db2.gz SUYJKYMYVSAEOR-AWEZNQCLSA-N 0 0 262.309 2.520 20 5 CFBDRN CCCN(CCC)C(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000179869565 189225424 /nfs/dbraw/zinc/22/54/24/189225424.db2.gz NKEVQZIWNZDRTJ-UHFFFAOYSA-N 0 0 294.307 2.586 20 5 CFBDRN O=C(N[C@@H]1CCCOCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000180164057 189240350 /nfs/dbraw/zinc/24/03/50/189240350.db2.gz OCUNAPXPYKHTDN-SECBINFHSA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(N[C@H]1CCCOCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000180163202 189240911 /nfs/dbraw/zinc/24/09/11/189240911.db2.gz WMOLGJLOHPAZFP-JTQLQIEISA-N 0 0 298.726 2.547 20 5 CFBDRN CC(C)CCCSCCn1cc([N+](=O)[O-])ccc1=O ZINC000180108209 189237072 /nfs/dbraw/zinc/23/70/72/189237072.db2.gz BWNHLPVIHIKRPQ-UHFFFAOYSA-N 0 0 284.381 2.926 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCCCCCO ZINC000180217094 189244765 /nfs/dbraw/zinc/24/47/65/189244765.db2.gz IPBHELAOISXMNI-UHFFFAOYSA-N 0 0 256.277 2.699 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NN1CCCCC1 ZINC000180238791 189245556 /nfs/dbraw/zinc/24/55/56/189245556.db2.gz VIYUJNJVNOQFKL-UHFFFAOYSA-N 0 0 291.351 2.651 20 5 CFBDRN CCCc1cnc(NC(=O)c2ccc([N+](=O)[O-])o2)s1 ZINC000180242528 189246235 /nfs/dbraw/zinc/24/62/35/189246235.db2.gz DPKPDFCACXLKAX-UHFFFAOYSA-N 0 0 281.293 2.849 20 5 CFBDRN CCC(CC)Nc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000301062489 200905225 /nfs/dbraw/zinc/90/52/25/200905225.db2.gz ACMFITOTOJGILX-UHFFFAOYSA-N 0 0 265.313 2.555 20 5 CFBDRN CCc1ccc(OCN2CCCCC2=O)c([N+](=O)[O-])c1 ZINC000180510348 189260024 /nfs/dbraw/zinc/26/00/24/189260024.db2.gz GZOMIAVTKNXCRW-UHFFFAOYSA-N 0 0 278.308 2.506 20 5 CFBDRN CC(C)c1cccc(Nc2ncc([N+](=O)[O-])c(N)n2)c1 ZINC000301165454 200931022 /nfs/dbraw/zinc/93/10/22/200931022.db2.gz RJAVDOQQTHSNHU-UHFFFAOYSA-N 0 0 273.296 2.834 20 5 CFBDRN CN(C[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1Cl ZINC000301193618 200935242 /nfs/dbraw/zinc/93/52/42/200935242.db2.gz SVRFCBVZDIMARI-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN CCC[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000286512963 283637490 /nfs/dbraw/zinc/63/74/90/283637490.db2.gz NQGKDBSOZYBYQV-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN CO[C@H](C)CCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000180835826 189278233 /nfs/dbraw/zinc/27/82/33/189278233.db2.gz VQHHLAIBEAJUKE-SNVBAGLBSA-N 0 0 266.297 2.657 20 5 CFBDRN CCc1ccc(Oc2nccc(OC)n2)c([N+](=O)[O-])c1 ZINC000301246624 200949785 /nfs/dbraw/zinc/94/97/85/200949785.db2.gz AWTVNFAHORDLRX-UHFFFAOYSA-N 0 0 275.264 2.748 20 5 CFBDRN Cc1nn(C)c(Oc2ccc(F)c(F)c2)c1[N+](=O)[O-] ZINC000301289884 200966266 /nfs/dbraw/zinc/96/62/66/200966266.db2.gz PVHCBWGFLTYDNX-UHFFFAOYSA-N 0 0 269.207 2.707 20 5 CFBDRN Cc1cc(NCCOC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000301306477 200971358 /nfs/dbraw/zinc/97/13/58/200971358.db2.gz UXJYUQUWOKCKGT-ZDUSSCGKSA-N 0 0 280.324 2.511 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000301418639 201002041 /nfs/dbraw/zinc/00/20/41/201002041.db2.gz DCYCQTPJGUUVOY-QWHCGFSZSA-N 0 0 293.367 2.938 20 5 CFBDRN COC[C@H]1CCCN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000301525375 201048523 /nfs/dbraw/zinc/04/85/23/201048523.db2.gz ISLWHFCVOBABIZ-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2ncc(Cl)cn2)cc1 ZINC000301498740 201032351 /nfs/dbraw/zinc/03/23/51/201032351.db2.gz VUGHYORZLWOTHT-UHFFFAOYSA-N 0 0 293.714 2.562 20 5 CFBDRN CC(C)(C)c1nccc(Oc2cccnc2[N+](=O)[O-])n1 ZINC000301522048 201044091 /nfs/dbraw/zinc/04/40/91/201044091.db2.gz HHRZNHIDYMYSIM-UHFFFAOYSA-N 0 0 274.280 2.870 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@](C)(O)c2ccco2)c1 ZINC000301550096 201063778 /nfs/dbraw/zinc/06/37/78/201063778.db2.gz GMBOFJSFHKTMLD-CQSZACIVSA-N 0 0 276.292 2.816 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](C2CC2)C1)c1cc([N+](=O)[O-])c[nH]1 ZINC000424109608 238672124 /nfs/dbraw/zinc/67/21/24/238672124.db2.gz APWDDBSVGLYKSF-WDEREUQCSA-N 0 0 277.324 2.622 20 5 CFBDRN O=C1C[C@@H](CNc2ncccc2[N+](=O)[O-])c2ccccc2N1 ZINC000301597423 201090461 /nfs/dbraw/zinc/09/04/61/201090461.db2.gz SSNAGJBMVKTHSP-JTQLQIEISA-N 0 0 298.302 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(C[C@H]1CCCCO1)CC2 ZINC000182757483 189333799 /nfs/dbraw/zinc/33/37/99/189333799.db2.gz RDIHQOHAFMHNTO-CYBMUJFWSA-N 0 0 262.309 2.526 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CCCOCC1 ZINC000183000700 189342408 /nfs/dbraw/zinc/34/24/08/189342408.db2.gz RCFLRXHWPGHLJQ-GFCCVEGCSA-N 0 0 280.324 2.722 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H](C)CC)ccc1[N+](=O)[O-] ZINC000301642480 201111692 /nfs/dbraw/zinc/11/16/92/201111692.db2.gz ZINZZPOOQWLKCI-VIFPVBQESA-N 0 0 266.297 2.982 20 5 CFBDRN Cc1nc(NCc2nc3ccccc3n2C)ccc1[N+](=O)[O-] ZINC000301622745 201100840 /nfs/dbraw/zinc/10/08/40/201100840.db2.gz FZONNDFYBPEGKE-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000183258918 189352068 /nfs/dbraw/zinc/35/20/68/189352068.db2.gz IAIGZTNUDBEDHR-MNOVXSKESA-N 0 0 294.351 2.902 20 5 CFBDRN COCCCOc1ccc([N+](=O)[O-])cc1Br ZINC000183258877 189352143 /nfs/dbraw/zinc/35/21/43/189352143.db2.gz HUUUZBFNIXWWNN-UHFFFAOYSA-N 0 0 290.113 2.773 20 5 CFBDRN COC(=O)c1cc(OC2CCCC2)ccc1[N+](=O)[O-] ZINC000183256540 189352246 /nfs/dbraw/zinc/35/22/46/189352246.db2.gz YFIDPWPMGLQTSC-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN Cc1nc(NC[C@H](O)c2ccccc2F)ccc1[N+](=O)[O-] ZINC000301658435 201121926 /nfs/dbraw/zinc/12/19/26/201121926.db2.gz STJQAAJXHAERFO-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN CCC[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000183078871 189345759 /nfs/dbraw/zinc/34/57/59/189345759.db2.gz FQYJZDQYITYWNB-JTQLQIEISA-N 0 0 266.297 2.983 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)C(C)(C)O1 ZINC000183093693 189346216 /nfs/dbraw/zinc/34/62/16/189346216.db2.gz ZLFKWDBZNZXMOG-SECBINFHSA-N 0 0 298.364 2.732 20 5 CFBDRN Cc1nc(Nc2ccc3c(c2)CC(=O)N3)ccc1[N+](=O)[O-] ZINC000301670219 201125683 /nfs/dbraw/zinc/12/56/83/201125683.db2.gz QBTJHJMHEWTAPS-UHFFFAOYSA-N 0 0 284.275 2.536 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1c1ccc([N+](=O)[O-])nc1 ZINC000359859252 291272275 /nfs/dbraw/zinc/27/22/75/291272275.db2.gz UIGYCYVHGSGVEB-CBAPKCEASA-N 0 0 275.230 2.767 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000183314527 189354680 /nfs/dbraw/zinc/35/46/80/189354680.db2.gz ZPAXWSFURQNGFA-RYUDHWBXSA-N 0 0 278.352 2.936 20 5 CFBDRN Cc1nc(N[C@@H](C)C[C@H](O)c2ccco2)ccc1[N+](=O)[O-] ZINC000301688615 201139500 /nfs/dbraw/zinc/13/95/00/201139500.db2.gz XTTOFONPTKWGKQ-CABZTGNLSA-N 0 0 291.307 2.815 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000424705145 238835094 /nfs/dbraw/zinc/83/50/94/238835094.db2.gz JAOOGXJRCIEXKH-MRCXROJRSA-N 0 0 280.299 2.829 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000183623583 189364198 /nfs/dbraw/zinc/36/41/98/189364198.db2.gz MOAIMYFOMLPOCC-SSDOTTSWSA-N 0 0 288.250 2.725 20 5 CFBDRN COCCC(C)(C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000184084848 189376240 /nfs/dbraw/zinc/37/62/40/189376240.db2.gz ZLAQJZSBZKWDTD-LLVKDONJSA-N 0 0 294.351 2.835 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000301787036 201197295 /nfs/dbraw/zinc/19/72/95/201197295.db2.gz MIICPJCCYSOHTK-LBPRGKRZSA-N 0 0 274.267 2.692 20 5 CFBDRN Cc1ccc(N[C@@H]2CCc3n[nH]cc3C2)c([N+](=O)[O-])c1 ZINC000301795979 201201607 /nfs/dbraw/zinc/20/16/07/201201607.db2.gz ADSBKLBOJMLISA-LLVKDONJSA-N 0 0 272.308 2.596 20 5 CFBDRN Cc1ccc(N[C@H]2CCc3n[nH]cc3C2)c([N+](=O)[O-])c1 ZINC000301795980 201201892 /nfs/dbraw/zinc/20/18/92/201201892.db2.gz ADSBKLBOJMLISA-NSHDSACASA-N 0 0 272.308 2.596 20 5 CFBDRN NC(=O)[C@H]1CCCCC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000301771968 201188254 /nfs/dbraw/zinc/18/82/54/201188254.db2.gz JPJLXKNLNGZSCQ-WDEREUQCSA-N 0 0 295.314 2.580 20 5 CFBDRN COc1cc(CN2CCC(C)(C)C2)c([N+](=O)[O-])cc1F ZINC000184225439 189380058 /nfs/dbraw/zinc/38/00/58/189380058.db2.gz DFRHWMVLHKQCKR-UHFFFAOYSA-N 0 0 282.315 2.974 20 5 CFBDRN Cc1cnc(NCc2ccccc2[N+](=O)[O-])nc1C ZINC000301869518 201242187 /nfs/dbraw/zinc/24/21/87/201242187.db2.gz IFPKUTDOLZKBED-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])[C@H]1COc2ccccc21 ZINC000301860161 201236703 /nfs/dbraw/zinc/23/67/03/201236703.db2.gz SVRRLBUIGLEMSM-CMPLNLGQSA-N 0 0 285.303 2.966 20 5 CFBDRN CCc1ncnc(Oc2ccc([N+](=O)[O-])cc2)c1F ZINC000301934410 201267647 /nfs/dbraw/zinc/26/76/47/201267647.db2.gz QJQYSXZSDUSPOK-UHFFFAOYSA-N 0 0 263.228 2.879 20 5 CFBDRN CC[C@H]1CCN(c2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000301941773 201269743 /nfs/dbraw/zinc/26/97/43/201269743.db2.gz XALXOHFWKSAWKG-VIFPVBQESA-N 0 0 268.288 2.979 20 5 CFBDRN Cc1noc([C@H]2CCCN(c3sccc3[N+](=O)[O-])C2)n1 ZINC000301940842 201270818 /nfs/dbraw/zinc/27/08/18/201270818.db2.gz UXKMBJJJBNHBIB-VIFPVBQESA-N 0 0 294.336 2.732 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)C[C@H]1F ZINC000425558031 239100741 /nfs/dbraw/zinc/10/07/41/239100741.db2.gz CTVNTSWPGPEBFN-RISCZKNCSA-N 0 0 289.266 2.830 20 5 CFBDRN CC(C)CC1(CNc2ccc3ncc([N+](=O)[O-])n3n2)CC1 ZINC000301971437 201283466 /nfs/dbraw/zinc/28/34/66/201283466.db2.gz SIZUADQZJCGJSB-UHFFFAOYSA-N 0 0 289.339 2.876 20 5 CFBDRN CCc1ccccc1CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301991702 201291275 /nfs/dbraw/zinc/29/12/75/201291275.db2.gz MVHDZNSBGBUWLI-UHFFFAOYSA-N 0 0 297.318 2.812 20 5 CFBDRN COc1ccc(CNc2sccc2[N+](=O)[O-])cc1O ZINC000301977234 201285916 /nfs/dbraw/zinc/28/59/16/201285916.db2.gz DHYAZUTUXUQLEM-UHFFFAOYSA-N 0 0 280.305 2.983 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1sccc1[N+](=O)[O-] ZINC000301989064 201288258 /nfs/dbraw/zinc/28/82/58/201288258.db2.gz FCNUHJZZEFPMNM-KWQFWETISA-N 0 0 258.343 2.865 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1nc(C)ns1 ZINC000301951779 201274748 /nfs/dbraw/zinc/27/47/48/201274748.db2.gz HUHACBQXJLWNAT-UHFFFAOYSA-N 0 0 267.266 2.556 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1ccc([N+](=O)[O-])cc1F ZINC000302010017 201296652 /nfs/dbraw/zinc/29/66/52/201296652.db2.gz AIKKQNCNXLYGIJ-IINYFYTJSA-N 0 0 282.315 2.721 20 5 CFBDRN CN1CCc2ccc(Nc3ncccc3[N+](=O)[O-])cc21 ZINC000302028412 201307036 /nfs/dbraw/zinc/30/70/36/201307036.db2.gz RDRLBBWLENXHOQ-UHFFFAOYSA-N 0 0 270.292 2.726 20 5 CFBDRN Cc1cc(NCC[C@H](C)O)c2cccc([N+](=O)[O-])c2n1 ZINC000302031137 201308639 /nfs/dbraw/zinc/30/86/39/201308639.db2.gz SCOCGNKHZZKOOE-JTQLQIEISA-N 0 0 275.308 2.634 20 5 CFBDRN O=[N+]([O-])c1cnn(CCC(Cl)(Cl)Cl)c1 ZINC000185806761 189422567 /nfs/dbraw/zinc/42/25/67/189422567.db2.gz KSVIGGDQXHKBDH-UHFFFAOYSA-N 0 0 258.492 2.552 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCOC(C)C)c1 ZINC000302121656 201350094 /nfs/dbraw/zinc/35/00/94/201350094.db2.gz GKZZDZFJHIJNTJ-UHFFFAOYSA-N 0 0 272.276 2.579 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1c(C)noc1C ZINC000302124831 201351238 /nfs/dbraw/zinc/35/12/38/201351238.db2.gz ABBZGGZHMFMDFS-SNVBAGLBSA-N 0 0 277.284 2.553 20 5 CFBDRN C[C@H](Sc1ncc(CO)n1C)c1ccccc1[N+](=O)[O-] ZINC000426561391 239239890 /nfs/dbraw/zinc/23/98/90/239239890.db2.gz VQQJVYZKZIBNNL-VIFPVBQESA-N 0 0 293.348 2.674 20 5 CFBDRN Cc1cc(NCCc2ccnn2C)c(Cl)cc1[N+](=O)[O-] ZINC000302142506 201363032 /nfs/dbraw/zinc/36/30/32/201363032.db2.gz HOBCRDSBKWWVST-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN CCc1noc(C(C)(C)c2ccccc2[N+](=O)[O-])n1 ZINC000426410477 239220484 /nfs/dbraw/zinc/22/04/84/239220484.db2.gz JAQJMXVZJJMYQD-UHFFFAOYSA-N 0 0 261.281 2.866 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cn2)C1(CC)CC ZINC000302156401 201371284 /nfs/dbraw/zinc/37/12/84/201371284.db2.gz MVMQUSXPZOSVSZ-RYUDHWBXSA-N 0 0 294.355 2.781 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2ccccc2)C2CC2)nc1 ZINC000302135779 201358220 /nfs/dbraw/zinc/35/82/20/201358220.db2.gz ZZPUETJUYFXKQM-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN C[C@H]1C[C@@H](c2cccnc2)N(c2ccc([N+](=O)[O-])cn2)C1 ZINC000302139649 201361368 /nfs/dbraw/zinc/36/13/68/201361368.db2.gz OJPPHKZIVHLCJQ-FZMZJTMJSA-N 0 0 284.319 2.972 20 5 CFBDRN Cc1cc(NCC(F)(F)CO)c(Cl)cc1[N+](=O)[O-] ZINC000302194540 201390046 /nfs/dbraw/zinc/39/00/46/201390046.db2.gz DDTGZVLBQSUUTA-UHFFFAOYSA-N 0 0 280.658 2.596 20 5 CFBDRN CC(C)(C)C[C@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000302162721 201374270 /nfs/dbraw/zinc/37/42/70/201374270.db2.gz QAMJIOLYDHKLMK-SNVBAGLBSA-N 0 0 264.329 2.790 20 5 CFBDRN CCN(CC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000186785218 189451101 /nfs/dbraw/zinc/45/11/01/189451101.db2.gz JGOUPDCWPCPZON-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN Cc1ccc(C[C@@H](C)N(C)c2ncc([N+](=O)[O-])cn2)cc1 ZINC000302179714 201384630 /nfs/dbraw/zinc/38/46/30/201384630.db2.gz YUFWPGMMOFSXOI-GFCCVEGCSA-N 0 0 286.335 2.761 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC(C)(C)C2)n1 ZINC000427338253 239300939 /nfs/dbraw/zinc/30/09/39/239300939.db2.gz OQNKTUZOGFBFHJ-SNVBAGLBSA-N 0 0 294.355 2.510 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000187639792 189471987 /nfs/dbraw/zinc/47/19/87/189471987.db2.gz PLHUYWZZEMASQK-UHFFFAOYSA-N 0 0 254.286 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cnoc2C2CC2)cc1CO ZINC000428077188 239371679 /nfs/dbraw/zinc/37/16/79/239371679.db2.gz ORNXICCILDCGEA-UHFFFAOYSA-N 0 0 290.275 2.532 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000428101820 239382100 /nfs/dbraw/zinc/38/21/00/239382100.db2.gz TZDMGUNOIYVSLH-GDGBQDQQSA-N 0 0 280.711 2.777 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCCO[C@@H](C)C1 ZINC000188832512 189514675 /nfs/dbraw/zinc/51/46/75/189514675.db2.gz ICULABRRRWMDJH-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCO[C@H]2c2ccccc2)s1 ZINC000190332681 189560740 /nfs/dbraw/zinc/56/07/40/189560740.db2.gz GTNBHUCEOFGLIA-PWSUYJOCSA-N 0 0 291.332 2.993 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000190330679 189560742 /nfs/dbraw/zinc/56/07/42/189560742.db2.gz HQFVJTDSJFGKQA-BDAKNGLRSA-N 0 0 283.353 2.811 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000191357313 189593132 /nfs/dbraw/zinc/59/31/32/189593132.db2.gz WZINFCNVALTFAQ-SECBINFHSA-N 0 0 298.364 2.649 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1ncnc2c1ncn2C1CC1 ZINC000431027947 239841875 /nfs/dbraw/zinc/84/18/75/239841875.db2.gz ZNBKKPMNKJZPBL-UHFFFAOYSA-N 0 0 297.274 2.862 20 5 CFBDRN Cc1cc(N[C@H](CO)c2ccsc2)ncc1[N+](=O)[O-] ZINC000302252888 201423601 /nfs/dbraw/zinc/42/36/01/201423601.db2.gz NJYJPASKSODPPK-SNVBAGLBSA-N 0 0 279.321 2.505 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432140796 239967655 /nfs/dbraw/zinc/96/76/55/239967655.db2.gz SGXLLMYLXDYGEF-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN Cc1ccnc(-c2noc(-c3ccc(C)c([N+](=O)[O-])c3)n2)n1 ZINC000432687991 240030671 /nfs/dbraw/zinc/03/06/71/240030671.db2.gz UBYFKKKOTNJVHY-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CC(C)(C)OC1CC(CCNc2ccc([N+](=O)[O-])cn2)C1 ZINC000432848571 240057528 /nfs/dbraw/zinc/05/75/28/240057528.db2.gz VXZYLRUSUKVCFL-UHFFFAOYSA-N 0 0 293.367 2.807 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2CC(O)C2)c(Cl)c1 ZINC000432862244 240060049 /nfs/dbraw/zinc/06/00/49/240060049.db2.gz ZCJLUIGBCCKWJS-UHFFFAOYSA-N 0 0 270.716 2.821 20 5 CFBDRN C/C=C/C[C@@H](NCc1csc([N+](=O)[O-])c1)C(=O)OCC ZINC000432913788 240065606 /nfs/dbraw/zinc/06/56/06/240065606.db2.gz GLWNGRNFSBJKNB-JOAKQRRISA-N 0 0 298.364 2.644 20 5 CFBDRN C/C=C\C[C@@H](NCc1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC000432911129 240065842 /nfs/dbraw/zinc/06/58/42/240065842.db2.gz HMDXZRLWMRVTRZ-PKXJPQMGSA-N 0 0 292.335 2.582 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000435233686 240297283 /nfs/dbraw/zinc/29/72/83/240297283.db2.gz WMGGKFSREBTGJW-WDEREUQCSA-N 0 0 285.303 2.604 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000435268716 240301985 /nfs/dbraw/zinc/30/19/85/240301985.db2.gz FSLKUMUQDGUPNV-GFCCVEGCSA-N 0 0 288.347 2.952 20 5 CFBDRN O=C1C[C@@H](c2cccc(Nc3ccccc3[N+](=O)[O-])c2)CN1 ZINC000434951084 240280312 /nfs/dbraw/zinc/28/03/12/240280312.db2.gz OHQCRHOKYXFAIC-GFCCVEGCSA-N 0 0 297.314 2.942 20 5 CFBDRN CNc1c(C(=O)N2CC(C)=C[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000435993490 240375577 /nfs/dbraw/zinc/37/55/77/240375577.db2.gz JKGPQZYTRJUYCA-JTQLQIEISA-N 0 0 289.335 2.675 20 5 CFBDRN Cc1cc(CN(C)C(=O)c2ccc([N+](=O)[O-])o2)ccc1F ZINC000436079571 240386996 /nfs/dbraw/zinc/38/69/96/240386996.db2.gz FQXUHMMNAABMED-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN CCC(CC)[C@H](CCNc1ccc([N+](=O)[O-])c(C)n1)OC ZINC000436428160 240446902 /nfs/dbraw/zinc/44/69/02/240446902.db2.gz JSYRQQMTOMIBDR-AWEZNQCLSA-N 0 0 295.383 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCC1(O)CCCCC1)CCN2 ZINC000275321217 193000021 /nfs/dbraw/zinc/00/00/21/193000021.db2.gz DOTNIXMLSRVPOE-UHFFFAOYSA-N 0 0 291.351 2.670 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000437766524 240591742 /nfs/dbraw/zinc/59/17/42/240591742.db2.gz UATSZUBYKUNCPJ-PRHODGIISA-N 0 0 297.742 2.846 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])C1=CCCCC1 ZINC000275482018 193055681 /nfs/dbraw/zinc/05/56/81/193055681.db2.gz NTUSJXKRZAECDA-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2cccc(C)c2[N+](=O)[O-])[C@@H]1OC ZINC000275526837 193067837 /nfs/dbraw/zinc/06/78/37/193067837.db2.gz XNMFPHARUYXWOC-BZPMIXESSA-N 0 0 280.324 2.508 20 5 CFBDRN Cc1ccc(CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)s1 ZINC000439307661 240760585 /nfs/dbraw/zinc/76/05/85/240760585.db2.gz XZBMLCBYAXYLCO-UHFFFAOYSA-N 0 0 291.332 2.565 20 5 CFBDRN CC(=O)c1ccc(N(C)[C@H]2CC[C@H](O)CC2)c([N+](=O)[O-])c1 ZINC000275830425 193141689 /nfs/dbraw/zinc/14/16/89/193141689.db2.gz FTBMZOQDFRAQIN-JOCQHMNTSA-N 0 0 292.335 2.537 20 5 CFBDRN C[C@H](Nc1ccc(Cl)cc1[N+](=O)[O-])c1ncn(C)n1 ZINC000275829599 193140176 /nfs/dbraw/zinc/14/01/76/193140176.db2.gz OBKJHRQXHMLIDY-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC2(O)CC2)c(Cl)c1 ZINC000442841689 241007792 /nfs/dbraw/zinc/00/77/92/241007792.db2.gz OLAYCORBDRVJMF-UHFFFAOYSA-N 0 0 257.673 2.542 20 5 CFBDRN Nc1nc(Nc2cccc3ccsc32)ncc1[N+](=O)[O-] ZINC000443706062 241084309 /nfs/dbraw/zinc/08/43/09/241084309.db2.gz PCGXDCJMLUQAGK-UHFFFAOYSA-N 0 0 287.304 2.756 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1c[nH]c2ncccc12 ZINC000443905212 241094060 /nfs/dbraw/zinc/09/40/60/241094060.db2.gz OKROWWVSLKIBND-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN Cc1cnc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000443936329 241095438 /nfs/dbraw/zinc/09/54/38/241095438.db2.gz QPQFLTJBIFYTIX-UHFFFAOYSA-N 0 0 289.291 2.808 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000443981312 241099572 /nfs/dbraw/zinc/09/95/72/241099572.db2.gz ASTCXPDJQNYWSP-HTQZYQBOSA-N 0 0 253.277 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H](F)C(F)(F)F)nc1 ZINC000443471797 241064803 /nfs/dbraw/zinc/06/48/03/241064803.db2.gz ZQEJARBQZRRISU-LURJTMIESA-N 0 0 270.207 2.982 20 5 CFBDRN CN(C(=O)COc1ccsc1)c1ccc([N+](=O)[O-])cc1 ZINC000443461586 241065097 /nfs/dbraw/zinc/06/50/97/241065097.db2.gz DPXHFKVFLDWRRW-UHFFFAOYSA-N 0 0 292.316 2.698 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CC23CCCC3)c1[N+](=O)[O-] ZINC000444405274 241139780 /nfs/dbraw/zinc/13/97/80/241139780.db2.gz YCXCLWLXNRLUAS-LBPRGKRZSA-N 0 0 290.319 2.666 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)CSc2ccccc2[N+](=O)[O-])C1 ZINC000444463052 241141883 /nfs/dbraw/zinc/14/18/83/241141883.db2.gz FXBMOCVNNCMCNI-WDEREUQCSA-N 0 0 294.376 2.992 20 5 CFBDRN CC[N@@H+](Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)CC(C)C ZINC000444587807 241149815 /nfs/dbraw/zinc/14/98/15/241149815.db2.gz CMACXCVAVZBIGX-UHFFFAOYSA-N 0 0 276.340 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCC4(CCC4)C3)nc2c1 ZINC000444651478 241160537 /nfs/dbraw/zinc/16/05/37/241160537.db2.gz RDUPPAZKUGZKAA-UHFFFAOYSA-N 0 0 286.335 2.847 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000444320212 241136035 /nfs/dbraw/zinc/13/60/35/241136035.db2.gz QPRWVOSHRORZOY-VXGBXAGGSA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000444316770 241136039 /nfs/dbraw/zinc/13/60/39/241136039.db2.gz KHCOFVVRZWDKFN-RYUDHWBXSA-N 0 0 291.351 2.702 20 5 CFBDRN COc1cccc(C(=O)Nc2csc(C)n2)c1[N+](=O)[O-] ZINC000444344359 241138257 /nfs/dbraw/zinc/13/82/57/241138257.db2.gz SDTLTAKCFZQJDC-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Nc1ccc(-c2noc(-c3cccc(O)c3)n2)cc1[N+](=O)[O-] ZINC000445204331 241210813 /nfs/dbraw/zinc/21/08/13/241210813.db2.gz AAEXADASEUPTIR-UHFFFAOYSA-N 0 0 298.258 2.600 20 5 CFBDRN CCCCCNC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000445581478 241224201 /nfs/dbraw/zinc/22/42/01/241224201.db2.gz CEDOOXKZQVDKBE-UHFFFAOYSA-N 0 0 291.351 2.853 20 5 CFBDRN COC[C@H](C)CC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000445949750 241254952 /nfs/dbraw/zinc/25/49/52/241254952.db2.gz PQAPHPCNCVNSAG-PWSUYJOCSA-N 0 0 294.351 2.753 20 5 CFBDRN COC[C@H](C)CC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000445949751 241254978 /nfs/dbraw/zinc/25/49/78/241254978.db2.gz PQAPHPCNCVNSAG-ZYHUDNBSSA-N 0 0 294.351 2.753 20 5 CFBDRN Cc1cccc(N(C)Cc2cccnc2)c1[N+](=O)[O-] ZINC000302461288 201453938 /nfs/dbraw/zinc/45/39/38/201453938.db2.gz ABPYDHQKZCIDMJ-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1nc(NC(=O)c2c(C)cccc2[N+](=O)[O-])cs1 ZINC000446230171 241286860 /nfs/dbraw/zinc/28/68/60/241286860.db2.gz XYXKPXMYURWOON-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN COc1ccc(C(=O)N2CC[C@@H](C(C)C)C2)cc1[N+](=O)[O-] ZINC000446332735 241295612 /nfs/dbraw/zinc/29/56/12/241295612.db2.gz PBSSQIGRYFWKSM-GFCCVEGCSA-N 0 0 292.335 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H]3OCCC[C@@H]23)c(F)c1 ZINC000302519795 201470755 /nfs/dbraw/zinc/47/07/55/201470755.db2.gz BJYSOJUYSKXJEW-ZWKOPEQDSA-N 0 0 266.272 2.713 20 5 CFBDRN Cc1ccc(CNc2nc3ccccc3[nH]2)cc1[N+](=O)[O-] ZINC000446973123 241342411 /nfs/dbraw/zinc/34/24/11/241342411.db2.gz YVLALOXBFCNFDQ-UHFFFAOYSA-N 0 0 282.303 2.814 20 5 CFBDRN CCN(Cc1ccccn1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000447684887 241399965 /nfs/dbraw/zinc/39/99/65/241399965.db2.gz FRBVSRWXPZBCLN-UHFFFAOYSA-N 0 0 297.318 2.893 20 5 CFBDRN CCc1ncc(CNCc2ccc([N+](=O)[O-])cc2C)o1 ZINC000449607668 242060755 /nfs/dbraw/zinc/06/07/55/242060755.db2.gz BYLPNURDAZUTMC-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN CCCc1ncc(CNCc2csc([N+](=O)[O-])c2)o1 ZINC000449609508 242065257 /nfs/dbraw/zinc/06/52/57/242065257.db2.gz BZHKUWSGMUWFPQ-UHFFFAOYSA-N 0 0 281.337 2.887 20 5 CFBDRN CCC[C@H](OC)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000450121234 242281134 /nfs/dbraw/zinc/28/11/34/242281134.db2.gz VGNMIAJIKKHKFH-ZDUSSCGKSA-N 0 0 294.351 2.761 20 5 CFBDRN C[C@]1(C(=O)NCCc2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000450018206 242245569 /nfs/dbraw/zinc/24/55/69/242245569.db2.gz QZDVFVICYBJZDQ-INIZCTEOSA-N 0 0 288.347 3.000 20 5 CFBDRN Cc1csc([C@@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)n1 ZINC000450305706 242348763 /nfs/dbraw/zinc/34/87/63/242348763.db2.gz BCAVNFNFLIERSU-MRVPVSSYSA-N 0 0 279.325 2.631 20 5 CFBDRN Cc1nc(Nc2ccc3c(c2)CCC3)ncc1[N+](=O)[O-] ZINC000450213179 242311536 /nfs/dbraw/zinc/31/15/36/242311536.db2.gz DYZRVCDFNGIVDW-UHFFFAOYSA-N 0 0 270.292 2.926 20 5 CFBDRN C[C@H](Nc1nccc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000450246220 242321745 /nfs/dbraw/zinc/32/17/45/242321745.db2.gz QATDAZSPWSXWPZ-VIFPVBQESA-N 0 0 257.293 2.775 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000450359052 242377567 /nfs/dbraw/zinc/37/75/67/242377567.db2.gz UWHFTDHPPOVAMM-DTWKUNHWSA-N 0 0 252.318 2.930 20 5 CFBDRN CC1(CNc2nccc3cc([N+](=O)[O-])ccc32)CC1 ZINC000450365530 242378862 /nfs/dbraw/zinc/37/88/62/242378862.db2.gz OTSZJQPQCGKFOO-UHFFFAOYSA-N 0 0 257.293 2.777 20 5 CFBDRN CO[C@@]1(C)C[C@H](N(C)c2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000450363280 242379480 /nfs/dbraw/zinc/37/94/80/242379480.db2.gz KASQQIYUVJLTED-FZMZJTMJSA-N 0 0 279.340 2.630 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2C=CCCC2)c([N+](=O)[O-])c1 ZINC000450376756 242384052 /nfs/dbraw/zinc/38/40/52/242384052.db2.gz HLRYZSWBPUUDSS-GFCCVEGCSA-N 0 0 290.319 2.831 20 5 CFBDRN C[C@H](CCCO)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450377285 242385303 /nfs/dbraw/zinc/38/53/03/242385303.db2.gz ISSHXLFFIGBTIB-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](c2cccnc2)C2CC2)cn1 ZINC000450408864 242401992 /nfs/dbraw/zinc/40/19/92/242401992.db2.gz KQSXDKKSXJHZHB-CQSZACIVSA-N 0 0 270.292 2.948 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450440652 242420720 /nfs/dbraw/zinc/42/07/20/242420720.db2.gz PTIYRQXMHSCAPG-NXEZZACHSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1nc(N[C@@H]2CC[C@@H](C)[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000450440997 242422207 /nfs/dbraw/zinc/42/22/07/242422207.db2.gz PEHKJZQVBBEGHO-WCABBAIRSA-N 0 0 264.329 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nnc(C3CC3)s2)cc1 ZINC000450618263 242506001 /nfs/dbraw/zinc/50/60/01/242506001.db2.gz HXMVFIVLGYDLAD-UHFFFAOYSA-N 0 0 277.305 2.903 20 5 CFBDRN Cc1cccc(N(C)CC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000302720588 201524096 /nfs/dbraw/zinc/52/40/96/201524096.db2.gz PCCJFNNAAHWBFE-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN CC/C=C\CNc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000450692569 242546902 /nfs/dbraw/zinc/54/69/02/242546902.db2.gz KWCPUNGNTUHJKU-PLNGDYQASA-N 0 0 278.308 2.688 20 5 CFBDRN C[C@@]12CN(c3ccc([N+](=O)[O-])nc3)C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000450691667 242547225 /nfs/dbraw/zinc/54/72/25/242547225.db2.gz LBUKTFNNADIICP-MPXAEWJHSA-N 0 0 271.320 2.638 20 5 CFBDRN C[C@@]12CN(c3ccc([N+](=O)[O-])cn3)C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000450697903 242548988 /nfs/dbraw/zinc/54/89/88/242548988.db2.gz WYGWGRSQUPLUTO-MPXAEWJHSA-N 0 0 271.320 2.638 20 5 CFBDRN CC(C)[C@@H](CO)[C@@H](Nc1ccc([N+](=O)[O-])nc1)C(C)C ZINC000450764989 242591403 /nfs/dbraw/zinc/59/14/03/242591403.db2.gz IMECAYDANWNRTH-OCCSQVGLSA-N 0 0 281.356 2.691 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000450853536 242639938 /nfs/dbraw/zinc/63/99/38/242639938.db2.gz BIKCBXNRQQDEJD-JSGCOSHPSA-N 0 0 280.324 2.759 20 5 CFBDRN CN(c1cc(F)ccc1[N+](=O)[O-])[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000302821073 201565804 /nfs/dbraw/zinc/56/58/04/201565804.db2.gz QCQLUCFVGMHHCY-ZLKJLUDKSA-N 0 0 294.326 2.984 20 5 CFBDRN CSCCCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452183965 243076787 /nfs/dbraw/zinc/07/67/87/243076787.db2.gz QGEXZNAPOJXWNI-JTQLQIEISA-N 0 0 282.365 2.915 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1[C@@H](C)C1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000452185444 243077659 /nfs/dbraw/zinc/07/76/59/243077659.db2.gz NLEJUAGAWXMVKG-FYBVGQRMSA-N 0 0 284.262 2.673 20 5 CFBDRN C[C@H](NC(=O)C1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000452185128 243077760 /nfs/dbraw/zinc/07/77/60/243077760.db2.gz YCNDGDXEIKRCPM-QMMMGPOBSA-N 0 0 284.262 2.817 20 5 CFBDRN COCCC1(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000452180702 243078205 /nfs/dbraw/zinc/07/82/05/243078205.db2.gz FGMMIUSQFNSYQF-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN COc1c(C(=O)N2CCC[C@@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000452210664 243088100 /nfs/dbraw/zinc/08/81/00/243088100.db2.gz WMBJBHXCMQVXRM-CQSZACIVSA-N 0 0 296.298 2.568 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)OC ZINC000452425894 243167474 /nfs/dbraw/zinc/16/74/74/243167474.db2.gz ZZRNPDMSKXTHKM-RISCZKNCSA-N 0 0 294.351 2.694 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000452498289 243196917 /nfs/dbraw/zinc/19/69/17/243196917.db2.gz KZVYEBGLDWBHFW-CYBMUJFWSA-N 0 0 274.320 2.782 20 5 CFBDRN CCC1(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)CC1 ZINC000452459732 243180720 /nfs/dbraw/zinc/18/07/20/243180720.db2.gz LVOATRILPPKYGO-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)(F)F ZINC000452458780 243181424 /nfs/dbraw/zinc/18/14/24/243181424.db2.gz OTSUYLGTQQWMAX-LURJTMIESA-N 0 0 276.214 2.507 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H]2C2CC2)cc([N+](=O)[O-])c1C ZINC000452485418 243190884 /nfs/dbraw/zinc/19/08/84/243190884.db2.gz GQFJCKGLOFWUGD-LBPRGKRZSA-N 0 0 290.319 2.536 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000330514369 202910075 /nfs/dbraw/zinc/91/00/75/202910075.db2.gz CRTBKFQKVGPUDU-JTQLQIEISA-N 0 0 292.335 2.867 20 5 CFBDRN O=C(NC[C@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])s1 ZINC000452848481 243320130 /nfs/dbraw/zinc/32/01/30/243320130.db2.gz PGZVGIOAQMIYHR-SSDOTTSWSA-N 0 0 290.291 2.822 20 5 CFBDRN Cc1cc(-c2noc(CC3CC3)n2)cc([N+](=O)[O-])c1 ZINC000453300670 243503226 /nfs/dbraw/zinc/50/32/26/243503226.db2.gz ZCWWUXZOBBVOJD-UHFFFAOYSA-N 0 0 259.265 2.906 20 5 CFBDRN CC[C@@](C)(CNC(=O)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000454299151 243682270 /nfs/dbraw/zinc/68/22/70/243682270.db2.gz GJSKKWWTWBMZLB-ZDUSSCGKSA-N 0 0 281.312 2.531 20 5 CFBDRN CS(=O)(=O)c1cc([N+](=O)[O-])ccc1NCC1CCCC1 ZINC000276028219 193192889 /nfs/dbraw/zinc/19/28/89/193192889.db2.gz CSGMHIAQMYTIOC-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN CCC[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1C)OC ZINC000455165644 243905998 /nfs/dbraw/zinc/90/59/98/243905998.db2.gz KTFRMQKRDLXFTK-LBPRGKRZSA-N 0 0 295.339 2.840 20 5 CFBDRN CCC[C@H](NC(=O)c1[nH]nc(CC)c1[N+](=O)[O-])C(C)(C)C ZINC000456105319 244075559 /nfs/dbraw/zinc/07/55/59/244075559.db2.gz GKDJAWJVPPWMBC-JTQLQIEISA-N 0 0 296.371 2.825 20 5 CFBDRN O=C(NCC1CCCCCC1)c1ccc([N+](=O)[O-])o1 ZINC000456127045 244083144 /nfs/dbraw/zinc/08/31/44/244083144.db2.gz XDTISQKCGFXKHF-UHFFFAOYSA-N 0 0 266.297 2.888 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@H]2C(C)C)c1 ZINC000456297477 244130838 /nfs/dbraw/zinc/13/08/38/244130838.db2.gz LGWOOCMJADODCG-JQWIXIFHSA-N 0 0 292.335 2.625 20 5 CFBDRN COc1c(C(=O)NC[C@@H]2C[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000456313518 244135629 /nfs/dbraw/zinc/13/56/29/244135629.db2.gz KQCKZKXBTODAOD-JQWIXIFHSA-N 0 0 292.335 2.625 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC12CCC(CC1)CC2 ZINC000456247095 244115227 /nfs/dbraw/zinc/11/52/27/244115227.db2.gz KSKSUYWFCDPLJS-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN Nc1c(C(=O)NC23CCC(CC2)CC3)cccc1[N+](=O)[O-] ZINC000456256585 244119508 /nfs/dbraw/zinc/11/95/08/244119508.db2.gz TZODTFQXZZFOEJ-UHFFFAOYSA-N 0 0 289.335 2.630 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000456267118 244119939 /nfs/dbraw/zinc/11/99/39/244119939.db2.gz DAHZCOBHFGHGHT-WCQYABFASA-N 0 0 292.360 2.917 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000456878927 244315511 /nfs/dbraw/zinc/31/55/11/244315511.db2.gz KSKQPLMJDSZXMJ-RYUDHWBXSA-N 0 0 299.330 2.852 20 5 CFBDRN CCC[C@@H]1CCCC[C@H]1CNC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000456843161 244301558 /nfs/dbraw/zinc/30/15/58/244301558.db2.gz GXXSSGIJWOJHNT-MNOVXSKESA-N 0 0 294.355 2.654 20 5 CFBDRN C[C@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)[C@@H]1CO ZINC000330812311 203265936 /nfs/dbraw/zinc/26/59/36/203265936.db2.gz PBHIYSJMUUJERF-IINYFYTJSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(N[C@@H](C1CC1)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000457209914 244434626 /nfs/dbraw/zinc/43/46/26/244434626.db2.gz CUJYOCUVESJIJE-CQSZACIVSA-N 0 0 274.320 2.903 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000330874849 203340417 /nfs/dbraw/zinc/34/04/17/203340417.db2.gz IQMHGPIHSRWDPS-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C[C@@H]1CCOC1 ZINC000330896006 203365126 /nfs/dbraw/zinc/36/51/26/203365126.db2.gz SKHMECZGQZGLSV-NSHDSACASA-N 0 0 278.308 2.577 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NOC[C@@H]1CCOC1 ZINC000276270815 193265279 /nfs/dbraw/zinc/26/52/79/193265279.db2.gz UUTZVYFDTLPWFD-MRVPVSSYSA-N 0 0 272.688 2.628 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000276281449 193270027 /nfs/dbraw/zinc/27/00/27/193270027.db2.gz IJDUGLUXBTVARA-WPRPVWTQSA-N 0 0 266.297 2.633 20 5 CFBDRN CC[C@@H](C)CC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000276569784 193383993 /nfs/dbraw/zinc/38/39/93/193383993.db2.gz AYXTWCFEBBDFQP-LLVKDONJSA-N 0 0 264.325 2.690 20 5 CFBDRN CC[C@@](C)(CO)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276572797 193384788 /nfs/dbraw/zinc/38/47/88/193384788.db2.gz HQCQBBKOADZEJO-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@H](C)CC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000276571933 193385300 /nfs/dbraw/zinc/38/53/00/193385300.db2.gz AXXBISMRIRVPFT-VIFPVBQESA-N 0 0 266.297 2.978 20 5 CFBDRN CCOc1cc(OCCC(C)(C)O)ccc1[N+](=O)[O-] ZINC000276618934 193403195 /nfs/dbraw/zinc/40/31/95/193403195.db2.gz SRYJHPPXWOKDSJ-UHFFFAOYSA-N 0 0 269.297 2.533 20 5 CFBDRN CCC1CCN(C(=O)c2cccc([N+](=O)[O-])c2OC)CC1 ZINC000331066631 203511650 /nfs/dbraw/zinc/51/16/50/203511650.db2.gz RAKZAMZVSAHSRN-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCOC[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000458081437 244756758 /nfs/dbraw/zinc/75/67/58/244756758.db2.gz RQSSGSWUKWVXGP-JTQLQIEISA-N 0 0 298.364 2.688 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000231685401 189814621 /nfs/dbraw/zinc/81/46/21/189814621.db2.gz NBRYPOZNPYEDTM-PSASIEDQSA-N 0 0 254.261 2.713 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000458108759 244766886 /nfs/dbraw/zinc/76/68/86/244766886.db2.gz DYSBVXQKIZUBKZ-JOYOIKCWSA-N 0 0 287.319 2.850 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000458109942 244767529 /nfs/dbraw/zinc/76/75/29/244767529.db2.gz OJDGQTNVPYIUDU-SKDRFNHKSA-N 0 0 268.338 2.821 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCC1CCOCC1 ZINC000276722659 193440651 /nfs/dbraw/zinc/44/06/51/193440651.db2.gz ZQZFQACDTCDHPJ-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@]1(NC(=O)COc2cccc([N+](=O)[O-])c2)CC=CCC1 ZINC000458300644 244836849 /nfs/dbraw/zinc/83/68/49/244836849.db2.gz AJZTXHUYDIPFBA-HNNXBMFYSA-N 0 0 290.319 2.589 20 5 CFBDRN COCCCCCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000116612309 376199785 /nfs/dbraw/zinc/19/97/85/376199785.db2.gz MPHFFKNEKBGNPM-UHFFFAOYSA-N 0 0 290.323 2.670 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1SC[C@H]1CCCO1 ZINC000276900610 193493567 /nfs/dbraw/zinc/49/35/67/193493567.db2.gz LPBXZQMJIRGULX-MRVPVSSYSA-N 0 0 274.729 2.914 20 5 CFBDRN O=C(N[C@H]1CC[C@@H](F)C1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000335206755 284222091 /nfs/dbraw/zinc/22/20/91/284222091.db2.gz DLJBORNJWWPIMC-ZJUUUORDSA-N 0 0 292.266 2.961 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000331334942 203732240 /nfs/dbraw/zinc/73/22/40/203732240.db2.gz XJAZLFHAFUZDQH-NWDGAFQWSA-N 0 0 276.336 2.784 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)CC(C)C ZINC000277368888 193633333 /nfs/dbraw/zinc/63/33/33/193633333.db2.gz CXJXYXNSNVIKSA-JTQLQIEISA-N 0 0 280.324 2.768 20 5 CFBDRN COC(=O)[C@@]1(C)CN(c2cc(C)ccc2[N+](=O)[O-])C[C@H]1C ZINC000336923382 284236485 /nfs/dbraw/zinc/23/64/85/284236485.db2.gz UOWJPMPEIWDVKD-ABAIWWIYSA-N 0 0 292.335 2.539 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000459501328 245202161 /nfs/dbraw/zinc/20/21/61/245202161.db2.gz CYXTYDOZRGXPOF-KLPPZKSPSA-N 0 0 293.323 2.592 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@@H](C2CC2)C1 ZINC000335290146 296164974 /nfs/dbraw/zinc/16/49/74/296164974.db2.gz MBBGLCPQMIJQRU-LLVKDONJSA-N 0 0 299.330 2.948 20 5 CFBDRN CCC(C)(C)OCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000459895071 245341599 /nfs/dbraw/zinc/34/15/99/245341599.db2.gz WEPDZRJNQKWUNC-UHFFFAOYSA-N 0 0 266.297 2.739 20 5 CFBDRN CN(C(=O)COCC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000459900921 245342661 /nfs/dbraw/zinc/34/26/61/245342661.db2.gz NONYPUWPBWODMJ-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Nc2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000461932492 245373575 /nfs/dbraw/zinc/37/35/75/245373575.db2.gz COXZQFJVRXRJKE-VHSXEESVSA-N 0 0 296.298 2.796 20 5 CFBDRN CN(CC1CC1)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000331793325 204169729 /nfs/dbraw/zinc/16/97/29/204169729.db2.gz CTYCFQZHCLJNPK-UHFFFAOYSA-N 0 0 267.260 2.608 20 5 CFBDRN Cc1nn(C[C@H]2CCc3ccccc3C2)cc1[N+](=O)[O-] ZINC000331963995 204342561 /nfs/dbraw/zinc/34/25/61/204342561.db2.gz KSNKUSIBKZIKIT-LBPRGKRZSA-N 0 0 271.320 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCN(c3ccccc3)CC2)s1 ZINC000004686435 371873303 /nfs/dbraw/zinc/87/33/03/371873303.db2.gz PORMSVHQJHGXSX-UHFFFAOYSA-N 0 0 289.360 2.983 20 5 CFBDRN Cc1cccc(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])n1 ZINC000013080830 372012849 /nfs/dbraw/zinc/01/28/49/372012849.db2.gz NEMVOOWTVHXHNQ-KTKRTIGZSA-N 0 0 283.287 2.950 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000014194172 372064283 /nfs/dbraw/zinc/06/42/83/372064283.db2.gz YZIVAZDXXYFFPP-TXEJJXNPSA-N 0 0 291.351 2.796 20 5 CFBDRN O=C(NCCc1ccc(F)cc1)c1ccc([N+](=O)[O-])s1 ZINC000015789553 372092739 /nfs/dbraw/zinc/09/27/39/372092739.db2.gz IDJSQUOQEUIHFX-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1 ZINC000017356078 372134769 /nfs/dbraw/zinc/13/47/69/372134769.db2.gz XIYNMPAJKVRBMY-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN Cc1c([N+](=O)[O-])cc(C(=O)NCCC(C)C)cc1[N+](=O)[O-] ZINC000017172503 372129561 /nfs/dbraw/zinc/12/95/61/372129561.db2.gz MWGWLNNFRQJCAZ-UHFFFAOYSA-N 0 0 295.295 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)NC2CCCCC2)c1 ZINC000021614324 372242077 /nfs/dbraw/zinc/24/20/77/372242077.db2.gz LLLCEDNRLMBKHK-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1[C@H](C)C1(F)F ZINC000464716604 245416938 /nfs/dbraw/zinc/41/69/38/245416938.db2.gz VOMKBXACZYVUCP-CPCISQLKSA-N 0 0 284.262 2.997 20 5 CFBDRN CNc1ccc(C(=O)NCCCC(C)C)cc1[N+](=O)[O-] ZINC000025313479 372295722 /nfs/dbraw/zinc/29/57/22/372295722.db2.gz HYWKTMZQYXJJNG-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN COC(=O)c1cccc(OCc2cccc([N+](=O)[O-])c2)c1 ZINC000028829132 372371055 /nfs/dbraw/zinc/37/10/55/372371055.db2.gz PFEFBHQEYASHBR-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CS[C@H](C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000031701994 372415800 /nfs/dbraw/zinc/41/58/00/372415800.db2.gz HJUQQLVLTKGMDM-MRVPVSSYSA-N 0 0 254.311 2.593 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332669226 204878456 /nfs/dbraw/zinc/87/84/56/204878456.db2.gz GWHJYQMNPUDMKF-LLVKDONJSA-N 0 0 291.351 2.557 20 5 CFBDRN CC[C@@H](Nc1ncccc1[N+](=O)[O-])c1ccncc1 ZINC000033358760 372491656 /nfs/dbraw/zinc/49/16/56/372491656.db2.gz KACCUBOONVCGSM-LLVKDONJSA-N 0 0 258.281 2.948 20 5 CFBDRN CC(C)OC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000034427566 372508498 /nfs/dbraw/zinc/50/84/98/372508498.db2.gz UJMOMAPYWSXGBT-UHFFFAOYSA-N 0 0 255.295 2.639 20 5 CFBDRN CC[C@H](CO)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000037754815 372698567 /nfs/dbraw/zinc/69/85/67/372698567.db2.gz FVTBXQRXORQGDM-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN COc1ncccc1CNc1ccc(C)cc1[N+](=O)[O-] ZINC000037650939 372686884 /nfs/dbraw/zinc/68/68/84/372686884.db2.gz ZFODJWQRJHHREB-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1cccc(C(=O)Nc2cccc(CO)c2)c1[N+](=O)[O-] ZINC000037974854 372742235 /nfs/dbraw/zinc/74/22/35/372742235.db2.gz VNCMMZDOZGORTE-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)c1ccc(F)cc1 ZINC000037974502 372742257 /nfs/dbraw/zinc/74/22/57/372742257.db2.gz NHCCATIBQZLXQX-UHFFFAOYSA-N 0 0 275.235 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cncc(Cl)n2)cc1 ZINC000037979809 372745718 /nfs/dbraw/zinc/74/57/18/372745718.db2.gz VXSKEGWATWWNCE-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037995993 372754035 /nfs/dbraw/zinc/75/40/35/372754035.db2.gz RELZGIGPYLFVTJ-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H]3CCOC3)ccc2c1 ZINC000037998939 372758565 /nfs/dbraw/zinc/75/85/65/372758565.db2.gz IBSSHDBSEHKZRQ-SNVBAGLBSA-N 0 0 273.292 2.591 20 5 CFBDRN CC[C@@H](NCc1cc[nH]n1)c1cccc([N+](=O)[O-])c1 ZINC000041014872 372804506 /nfs/dbraw/zinc/80/45/06/372804506.db2.gz DUDDSDQGCRTVHX-CYBMUJFWSA-N 0 0 260.297 2.559 20 5 CFBDRN O=C(Nc1cccc2[nH]cnc21)c1ccc([N+](=O)[O-])cc1 ZINC000118726056 284353370 /nfs/dbraw/zinc/35/33/70/284353370.db2.gz NJHHBCVLBHEOKU-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CCN(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] ZINC000277617186 193709267 /nfs/dbraw/zinc/70/92/67/193709267.db2.gz BPYJVBWTURKWEE-UHFFFAOYSA-N 0 0 293.142 2.511 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNc1ncc([N+](=O)[O-])cn1 ZINC000042864655 372962079 /nfs/dbraw/zinc/96/20/79/372962079.db2.gz ZVENNSSNXAHXPL-NXEZZACHSA-N 0 0 250.302 2.623 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccncc1 ZINC000043149861 372979173 /nfs/dbraw/zinc/97/91/73/372979173.db2.gz KYMQUQBARLLOEY-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1c([N+](=O)[O-])ncn1C ZINC000042804603 372955708 /nfs/dbraw/zinc/95/57/08/372955708.db2.gz AZFLPPYZQSWJHC-ZJUUUORDSA-N 0 0 252.318 2.567 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC(C)C ZINC000289864896 197509756 /nfs/dbraw/zinc/50/97/56/197509756.db2.gz RFMXQAFVDOLRNY-UHFFFAOYSA-N 0 0 251.286 2.687 20 5 CFBDRN CC1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000045623702 373023609 /nfs/dbraw/zinc/02/36/09/373023609.db2.gz FMWGLVGDVZBECZ-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN CCCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000046881423 373067215 /nfs/dbraw/zinc/06/72/15/373067215.db2.gz FOKZHMOPDDHKOY-UHFFFAOYSA-N 0 0 251.286 2.907 20 5 CFBDRN O=C(Cc1cccs1)NCc1ccccc1[N+](=O)[O-] ZINC000046136832 373044592 /nfs/dbraw/zinc/04/45/92/373044592.db2.gz BSKIMYAWTXHRDW-UHFFFAOYSA-N 0 0 276.317 2.515 20 5 CFBDRN CN(Cc1ccc(F)cc1)c1ncccc1[N+](=O)[O-] ZINC000046230310 373047286 /nfs/dbraw/zinc/04/72/86/373047286.db2.gz VLYPNTXZGGHIPF-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C1CCC1 ZINC000047220870 373085130 /nfs/dbraw/zinc/08/51/30/373085130.db2.gz MKXDBQKBSTYGER-UHFFFAOYSA-N 0 0 254.673 2.987 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000047275256 373087621 /nfs/dbraw/zinc/08/76/21/373087621.db2.gz BNCZGNNWPLKCOO-SECBINFHSA-N 0 0 251.286 2.857 20 5 CFBDRN C[C@H](NC(=O)Nc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000047565449 373104637 /nfs/dbraw/zinc/10/46/37/373104637.db2.gz QOYPRLNPEQULSN-JTQLQIEISA-N 0 0 286.291 2.873 20 5 CFBDRN Cc1nc(NC(=O)c2cc([N+](=O)[O-])cnc2C)sc1C ZINC000047835652 373120101 /nfs/dbraw/zinc/12/01/01/373120101.db2.gz NIJYGXZMEVINMW-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CCCCNc1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000048398889 373177570 /nfs/dbraw/zinc/17/75/70/373177570.db2.gz HHWDCSNLDSGUNC-UHFFFAOYSA-N 0 0 279.340 2.945 20 5 CFBDRN CNc1ccc(C(=O)N(C)C2CCCC2)cc1[N+](=O)[O-] ZINC000048421290 373180908 /nfs/dbraw/zinc/18/09/08/373180908.db2.gz WETRWFXWPRSZGC-UHFFFAOYSA-N 0 0 277.324 2.651 20 5 CFBDRN CCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)C(C)C ZINC000048481910 373190557 /nfs/dbraw/zinc/19/05/57/373190557.db2.gz FGXAPCKAGNGFNQ-UHFFFAOYSA-N 0 0 265.313 2.507 20 5 CFBDRN Cc1ccc(CNc2c([N+](=O)[O-])ncn2C)c(C)c1 ZINC000048565456 373200549 /nfs/dbraw/zinc/20/05/49/373200549.db2.gz PAAFPPJCVBUWSD-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN COc1ccc(Cl)cc1CNc1c([N+](=O)[O-])ncn1C ZINC000048561280 373200752 /nfs/dbraw/zinc/20/07/52/373200752.db2.gz LTRSZYYRZZPPLM-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN CCCCN(CC)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000048854507 373227383 /nfs/dbraw/zinc/22/73/83/373227383.db2.gz SFUOCYKYEVUAOS-UHFFFAOYSA-N 0 0 279.340 2.926 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000048931135 373231374 /nfs/dbraw/zinc/23/13/74/373231374.db2.gz BFRSIIFVGUUJQG-VIFPVBQESA-N 0 0 265.313 2.555 20 5 CFBDRN COc1ccc(OCc2scnc2C)c([N+](=O)[O-])c1 ZINC000289734187 197462795 /nfs/dbraw/zinc/46/27/95/197462795.db2.gz HSDFZPYLWZVARS-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCCOC(C)C ZINC000289728875 197461527 /nfs/dbraw/zinc/46/15/27/197461527.db2.gz IUOFPZMFSZKSSE-UHFFFAOYSA-N 0 0 295.339 2.704 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)NCC1CCCC1 ZINC000049212161 373278167 /nfs/dbraw/zinc/27/81/67/373278167.db2.gz LMJJZFZOKWOXGH-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN CCCCOCCNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000049403008 373293336 /nfs/dbraw/zinc/29/33/36/373293336.db2.gz YYSXDTVFGXEHEL-UHFFFAOYSA-N 0 0 286.353 2.511 20 5 CFBDRN CCC[C@H](C)NC(=O)[C@@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418523 373295807 /nfs/dbraw/zinc/29/58/07/373295807.db2.gz LFESLFDSWVFTCZ-VHSXEESVSA-N 0 0 297.380 2.775 20 5 CFBDRN Cc1cc(CNCc2ccc([N+](=O)[O-])cc2Cl)no1 ZINC000049963791 373338118 /nfs/dbraw/zinc/33/81/18/373338118.db2.gz RHGMDRABYZNRMN-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN CCc1cc(NCc2ccccc2[N+](=O)[O-])ncn1 ZINC000050015859 373339666 /nfs/dbraw/zinc/33/96/66/373339666.db2.gz PJQUPJAKPGARHO-UHFFFAOYSA-N 0 0 258.281 2.559 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCn1ccnc1 ZINC000050352130 373372138 /nfs/dbraw/zinc/37/21/38/373372138.db2.gz QZPHDZMMESQWHE-UHFFFAOYSA-N 0 0 266.688 2.557 20 5 CFBDRN CN(Cc1nccn1C)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000487937852 245794628 /nfs/dbraw/zinc/79/46/28/245794628.db2.gz BYYUBTATAUUTTF-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@@H]2O)c(Cl)c1 ZINC000052006034 373428071 /nfs/dbraw/zinc/42/80/71/373428071.db2.gz NETWYIVEAWSVLC-RYUDHWBXSA-N 0 0 270.716 2.964 20 5 CFBDRN O=c1c2ccccc2n(Cc2ccccc2)cc1[N+](=O)[O-] ZINC000487874833 245787526 /nfs/dbraw/zinc/78/75/26/245787526.db2.gz PVBGHMTVEKRIFJ-UHFFFAOYSA-N 0 0 280.283 2.958 20 5 CFBDRN CC(C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054060024 373495392 /nfs/dbraw/zinc/49/53/92/373495392.db2.gz GRWVMJDTBLVTFE-QMMMGPOBSA-N 0 0 254.261 2.508 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CCC(C)C ZINC000054486241 373525269 /nfs/dbraw/zinc/52/52/69/373525269.db2.gz OPXVGHXYNNAKGI-JTQLQIEISA-N 0 0 279.340 2.853 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC(C1CC1)C1CC1 ZINC000055252456 373546574 /nfs/dbraw/zinc/54/65/74/373546574.db2.gz ZPXDBDPEJNFTNX-MDZDMXLPSA-N 0 0 286.331 2.913 20 5 CFBDRN C[C@H](NC(=O)CC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000055466956 373553818 /nfs/dbraw/zinc/55/38/18/373553818.db2.gz MIVSNHNXHXFJEX-SMDDNHRTSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@H](NC(=O)CCc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000055466175 373553951 /nfs/dbraw/zinc/55/39/51/373553951.db2.gz UTMGFSLPFAJBQI-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN CCCc1ccc(C(=O)Cn2cnc([N+](=O)[O-])c2)cc1 ZINC000055483539 373555780 /nfs/dbraw/zinc/55/57/80/373555780.db2.gz AQBDMOVRWIWNMJ-UHFFFAOYSA-N 0 0 273.292 2.627 20 5 CFBDRN COCCOc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000057812372 373612262 /nfs/dbraw/zinc/61/22/62/373612262.db2.gz KVVGNYDZFRWUIU-UHFFFAOYSA-N 0 0 265.187 2.639 20 5 CFBDRN O=C([C@@H]1CC=CCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057836274 373614085 /nfs/dbraw/zinc/61/40/85/373614085.db2.gz VSVUEXMPRHXCQV-LLVKDONJSA-N 0 0 272.304 2.840 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCCc2sccc21 ZINC000061364233 373715752 /nfs/dbraw/zinc/71/57/52/373715752.db2.gz DDWLHXHVRJXQHB-VIFPVBQESA-N 0 0 278.337 2.879 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H](O)c1ccccc1F ZINC000058745456 373667241 /nfs/dbraw/zinc/66/72/41/373667241.db2.gz ORGBLQKGOFERMY-CQSZACIVSA-N 0 0 276.267 2.879 20 5 CFBDRN Cc1ccoc1CN(C)c1nccc(C)c1[N+](=O)[O-] ZINC000488719959 245892592 /nfs/dbraw/zinc/89/25/92/245892592.db2.gz ZPIXOPCRGHMZHU-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN COc1ccc2nc(-c3ccc([N+](=O)[O-])cc3)[nH]c(=O)c2c1 ZINC000063580879 373808271 /nfs/dbraw/zinc/80/82/71/373808271.db2.gz OGOAOPXVQRVVEH-UHFFFAOYSA-N 0 0 297.270 2.507 20 5 CFBDRN CC(C)c1cc(NC(=O)Cc2ccccc2[N+](=O)[O-])n[nH]1 ZINC000063111460 373786739 /nfs/dbraw/zinc/78/67/39/373786739.db2.gz SRRJDXHRQWQGFU-UHFFFAOYSA-N 0 0 288.307 2.623 20 5 CFBDRN CCCN(CCC)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000065511243 373881529 /nfs/dbraw/zinc/88/15/29/373881529.db2.gz UETWSSUJKOGGRY-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN CC(C)(C)CC(C)(C)NC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000065775062 373900229 /nfs/dbraw/zinc/90/02/29/373900229.db2.gz QWBVBBDZDLHDBD-UHFFFAOYSA-N 0 0 296.371 2.512 20 5 CFBDRN CCN(Cc1cc([N+](=O)[O-])ccc1OC)C[C@H]1CCCO1 ZINC000065353068 373857436 /nfs/dbraw/zinc/85/74/36/373857436.db2.gz CCONCDDPKRYXLQ-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000067037890 373969119 /nfs/dbraw/zinc/96/91/19/373969119.db2.gz RCRFZVDAMTVUMJ-SNVBAGLBSA-N 0 0 250.298 2.774 20 5 CFBDRN COc1cc(Cn2c(C)ncc2[N+](=O)[O-])ccc1SC ZINC000068051351 374021935 /nfs/dbraw/zinc/02/19/35/374021935.db2.gz OIGLLGPIEIVYOI-UHFFFAOYSA-N 0 0 293.348 2.879 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nc(-c2ccncc2)no1 ZINC000068320465 374025626 /nfs/dbraw/zinc/02/56/26/374025626.db2.gz MHYMRBVFJQUIEY-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)CC(C)(C)O1 ZINC000068475190 374029567 /nfs/dbraw/zinc/02/95/67/374029567.db2.gz UNNOBIZVEDSQJI-SECBINFHSA-N 0 0 270.354 2.656 20 5 CFBDRN CCOC[C@H](C)Nc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000489889759 245982532 /nfs/dbraw/zinc/98/25/32/245982532.db2.gz XXBYGKJRZLWEHM-JTQLQIEISA-N 0 0 296.323 2.527 20 5 CFBDRN COCC1CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC1 ZINC000070081213 374123651 /nfs/dbraw/zinc/12/36/51/374123651.db2.gz QHIVVNXOEPKTBC-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000070089295 374125771 /nfs/dbraw/zinc/12/57/71/374125771.db2.gz FPXPWGGQKSAKEN-UHFFFAOYSA-N 0 0 255.657 2.606 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000070352864 374168774 /nfs/dbraw/zinc/16/87/74/374168774.db2.gz AMLNEIJECLWGQU-WCQYABFASA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CCO[C@@H](C)C1 ZINC000070352891 374169016 /nfs/dbraw/zinc/16/90/16/374169016.db2.gz RVICBTIZXLVNOW-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN CCCc1nccn1CCc1ccc([N+](=O)[O-])cc1 ZINC000070424258 374173567 /nfs/dbraw/zinc/17/35/67/374173567.db2.gz KAKWCLGUXDQEAY-UHFFFAOYSA-N 0 0 259.309 2.987 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000491291634 246057000 /nfs/dbraw/zinc/05/70/00/246057000.db2.gz RYUGNJWVZPRMPH-KRXBUXKQSA-N 0 0 290.294 2.901 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NCC1CCC1 ZINC000491290954 246057567 /nfs/dbraw/zinc/05/75/67/246057567.db2.gz KDWWVYZHTOBRNO-HJWRWDBZSA-N 0 0 260.293 2.524 20 5 CFBDRN CC(C)N(C)C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491320986 246059795 /nfs/dbraw/zinc/05/97/95/246059795.db2.gz OSHOHFMODCYYGA-ALCCZGGFSA-N 0 0 266.272 2.614 20 5 CFBDRN COc1ccncc1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491350138 246062356 /nfs/dbraw/zinc/06/23/56/246062356.db2.gz JGLFPKTVVRPRMR-WAYWQWQTSA-N 0 0 299.286 2.650 20 5 CFBDRN Cn1cccc1[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000072649768 374272252 /nfs/dbraw/zinc/27/22/52/374272252.db2.gz IGUVDAMGXSVYRR-OAHLLOKOSA-N 0 0 299.330 2.911 20 5 CFBDRN O=[N+]([O-])c1ccccc1N(CCO)Cc1cccc(F)c1 ZINC000491599516 246085057 /nfs/dbraw/zinc/08/50/57/246085057.db2.gz RQRGTXIOBUZCJP-UHFFFAOYSA-N 0 0 290.294 2.733 20 5 CFBDRN CCN(C(=O)/C=C\c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000491461880 246071908 /nfs/dbraw/zinc/07/19/08/246071908.db2.gz DTQBHEUJINWURO-TWGQIWQCSA-N 0 0 260.293 2.619 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N(CC(F)F)C1CC1 ZINC000491561498 246079615 /nfs/dbraw/zinc/07/96/15/246079615.db2.gz KFNROGLEQSQGKF-BAQGIRSFSA-N 0 0 296.273 2.864 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)(C)C ZINC000073217746 374331405 /nfs/dbraw/zinc/33/14/05/374331405.db2.gz RGTQKERYBPDNBI-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1cc(NC[C@H]2Cc3ccccc3O2)ncc1[N+](=O)[O-] ZINC000073000298 374315331 /nfs/dbraw/zinc/31/53/31/374315331.db2.gz YYGJHIGQWFZYAY-GFCCVEGCSA-N 0 0 285.303 2.714 20 5 CFBDRN CC1(C)CCN1C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000491924767 246124722 /nfs/dbraw/zinc/12/47/22/246124722.db2.gz KMVOTJGXNREINS-BQYQJAHWSA-N 0 0 260.293 2.619 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(F)cc2Cl)cn1 ZINC000073679704 374368444 /nfs/dbraw/zinc/36/84/44/374368444.db2.gz WSAJCJISGFWEJO-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000491989974 246149450 /nfs/dbraw/zinc/14/94/50/246149450.db2.gz LAERURNGLGSSDQ-SREVYHEPSA-N 0 0 283.287 2.525 20 5 CFBDRN COC[C@H](CNc1c(C)cc([N+](=O)[O-])cc1Cl)OC ZINC000277793872 193761603 /nfs/dbraw/zinc/76/16/03/193761603.db2.gz NPDRAWFFUXZFIY-JTQLQIEISA-N 0 0 288.731 2.630 20 5 CFBDRN Cc1cc(NCCCOCC(C)C)ncc1[N+](=O)[O-] ZINC000074727123 374433892 /nfs/dbraw/zinc/43/38/92/374433892.db2.gz ATVUVBONQBQJEG-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN CN(C(=O)CCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000074238229 374412187 /nfs/dbraw/zinc/41/21/87/374412187.db2.gz HXECYLKDUBOICN-UHFFFAOYSA-N 0 0 276.214 2.900 20 5 CFBDRN Cc1cc(NC[C@H](O)CC(C)(C)C)ncc1[N+](=O)[O-] ZINC000074764184 374436229 /nfs/dbraw/zinc/43/62/29/374436229.db2.gz CZSZXHBOUTWRPP-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000334147884 206086712 /nfs/dbraw/zinc/08/67/12/206086712.db2.gz FLKFCGBFVPZKRQ-QWRGUYRKSA-N 0 0 277.324 2.535 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000491995882 246151495 /nfs/dbraw/zinc/15/14/95/246151495.db2.gz CPUHHUCXBPQIKM-GBUKMUNPSA-N 0 0 260.293 2.617 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000492089184 246183217 /nfs/dbraw/zinc/18/32/17/246183217.db2.gz WYKOKTIGUQWCTL-MRJODMTISA-N 0 0 274.320 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C/c1cnccn1 ZINC000492061913 246173111 /nfs/dbraw/zinc/17/31/11/246173111.db2.gz YTDDYKYGPKBDQD-GQCTYLIASA-N 0 0 298.302 2.654 20 5 CFBDRN Cc1cc(=O)[nH]cc1NC(=O)C=Cc1cccc([N+](=O)[O-])c1 ZINC000492072283 246175662 /nfs/dbraw/zinc/17/56/62/246175662.db2.gz RRBIDFFYWFZBPE-WAYWQWQTSA-N 0 0 299.286 2.656 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000075505855 374485252 /nfs/dbraw/zinc/48/52/52/374485252.db2.gz AUDSKKFKELECGR-SNVBAGLBSA-N 0 0 250.298 2.774 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2c([N+](=O)[O-])cnn2C)c(C)c1 ZINC000075914007 374513332 /nfs/dbraw/zinc/51/33/32/374513332.db2.gz MWGBFQJMTALHKY-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CCC=C(F)C1 ZINC000492339056 246260961 /nfs/dbraw/zinc/26/09/61/246260961.db2.gz NUPZQGIRQQMHLO-VOTSOKGWSA-N 0 0 276.267 2.694 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000492347620 246264685 /nfs/dbraw/zinc/26/46/85/246264685.db2.gz YWBUZGLBPXAETF-SBDDDAINSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccc(/C=C/C(=O)Nc2ccc([N+](=O)[O-])cc2)nc1 ZINC000492415756 246285482 /nfs/dbraw/zinc/28/54/82/246285482.db2.gz LNFKDYBVPKPJIU-RMKNXTFCSA-N 0 0 283.287 2.950 20 5 CFBDRN COc1cncc(/C=C/C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000492399993 246280005 /nfs/dbraw/zinc/28/00/05/246280005.db2.gz QAWZHQJIZUBITM-AATRIKPKSA-N 0 0 299.286 2.650 20 5 CFBDRN Cc1ccc(/C=C/C(=O)Nc2ccc([N+](=O)[O-])cc2)cn1 ZINC000492523447 246317673 /nfs/dbraw/zinc/31/76/73/246317673.db2.gz WUXWOJLSHCJPQM-RUDMXATFSA-N 0 0 283.287 2.950 20 5 CFBDRN C[C@@H]1CCC[C@H](C)C1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000078265997 374567128 /nfs/dbraw/zinc/56/71/28/374567128.db2.gz XJJXNVILHRJUAR-USUYBEQLSA-N 0 0 266.297 2.742 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NOC1CCCCC1 ZINC000492717228 246368747 /nfs/dbraw/zinc/36/87/47/246368747.db2.gz HCUWUOKTFFFUIE-ZHACJKMWSA-N 0 0 290.319 2.989 20 5 CFBDRN Cc1cnccc1CNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492688664 246362385 /nfs/dbraw/zinc/36/23/85/246362385.db2.gz KMJSLVAPSXNJPA-WAYWQWQTSA-N 0 0 297.314 2.628 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CC[C@@H](F)C1 ZINC000492799588 246389851 /nfs/dbraw/zinc/38/98/51/246389851.db2.gz MUZUIAMOBZROQE-PKVZMONLSA-N 0 0 296.273 2.754 20 5 CFBDRN CC[C@@H](O)CC(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000451765618 383701506 /nfs/dbraw/zinc/70/15/06/383701506.db2.gz YRMFPJLJAALOGQ-LLVKDONJSA-N 0 0 294.351 2.992 20 5 CFBDRN CCOC[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000081520348 374702952 /nfs/dbraw/zinc/70/29/52/374702952.db2.gz IDXQPNXVRRXMJY-SNVBAGLBSA-N 0 0 270.354 2.515 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCOCC1CC1 ZINC000080635810 374665394 /nfs/dbraw/zinc/66/53/94/374665394.db2.gz GRCNRSFDBMOWPX-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@H](F)C1 ZINC000492894775 246419309 /nfs/dbraw/zinc/41/93/09/246419309.db2.gz OPLRYXIOOYRZHK-BLWPOKMGSA-N 0 0 278.283 2.615 20 5 CFBDRN CCc1ccc(C(=O)NC(C)(C)C)cc1[N+](=O)[O-] ZINC000081809529 374717230 /nfs/dbraw/zinc/71/72/30/374717230.db2.gz IENALVNZCQKIMR-UHFFFAOYSA-N 0 0 250.298 2.686 20 5 CFBDRN CCC1(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)CC1 ZINC000493032111 246465342 /nfs/dbraw/zinc/46/53/42/246465342.db2.gz YWSQAYNFTMRTJC-SREVYHEPSA-N 0 0 260.293 2.667 20 5 CFBDRN O=C(/C=C/[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000493056543 246473558 /nfs/dbraw/zinc/47/35/58/246473558.db2.gz ULYHLLVZKUNXGK-PWTMJPFMSA-N 0 0 296.710 2.779 20 5 CFBDRN C[C@@H](CO)CSc1ccc([N+](=O)[O-])cc1Cl ZINC000085016416 374794559 /nfs/dbraw/zinc/79/45/59/374794559.db2.gz NCVRBSLYOKYSME-ZETCQYMHSA-N 0 0 261.730 2.969 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000084202006 374767877 /nfs/dbraw/zinc/76/78/77/374767877.db2.gz FVPGMCKNNWODHV-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN CCCc1n[nH]c(C(=O)NCC2(CC)CCC2)c1[N+](=O)[O-] ZINC000084382026 374775914 /nfs/dbraw/zinc/77/59/14/374775914.db2.gz XTUIJASQOFWRRI-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCCC[C@@H]2O)c1 ZINC000084726502 374787177 /nfs/dbraw/zinc/78/71/77/374787177.db2.gz LTYSOKQBWHLAGD-GWCFXTLKSA-N 0 0 250.298 2.619 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089204969 374888276 /nfs/dbraw/zinc/88/82/76/374888276.db2.gz UHWZXQNESQLUAY-SECBINFHSA-N 0 0 286.291 2.766 20 5 CFBDRN CCC[C@H](C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089204892 374889620 /nfs/dbraw/zinc/88/96/20/374889620.db2.gz HMXLTDKLBTYMFM-QMMMGPOBSA-N 0 0 276.296 2.846 20 5 CFBDRN CC[C@@H](CSC)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000089264046 374893304 /nfs/dbraw/zinc/89/33/04/374893304.db2.gz YEJMAOWCVFKLTJ-VIFPVBQESA-N 0 0 283.353 2.858 20 5 CFBDRN CS(=O)(=O)c1cccc(NC2CCCCC2)c1[N+](=O)[O-] ZINC000089619451 374929989 /nfs/dbraw/zinc/92/99/89/374929989.db2.gz GTRKOBOOWUVZOF-UHFFFAOYSA-N 0 0 298.364 2.743 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089835114 374959555 /nfs/dbraw/zinc/95/95/55/374959555.db2.gz UXTRDLWNQZYPFE-LLVKDONJSA-N 0 0 264.325 2.690 20 5 CFBDRN CC(C)Oc1cc(NCc2ccccc2[N+](=O)[O-])ncn1 ZINC000090793741 375027615 /nfs/dbraw/zinc/02/76/15/375027615.db2.gz LGISDMTYHHWTLD-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCCCC1 ZINC000179528741 296225895 /nfs/dbraw/zinc/22/58/95/296225895.db2.gz CSSMXEXHPVFPLE-UHFFFAOYSA-N 0 0 285.303 2.769 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccncc1Cl ZINC000091450482 375051817 /nfs/dbraw/zinc/05/18/17/375051817.db2.gz HDRWZYMBHVDERA-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN O=C(Nc1ccc2c(c1)COC2)c1ccc([N+](=O)[O-])cc1 ZINC000091674244 375058337 /nfs/dbraw/zinc/05/83/37/375058337.db2.gz BMNDVPJQXOAAKQ-UHFFFAOYSA-N 0 0 284.271 2.877 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC2(CCC2)C1 ZINC000157978114 296225824 /nfs/dbraw/zinc/22/58/24/296225824.db2.gz PHQKBIOTDDOBLO-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN CCOC(=O)C[C@H](C)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000092684210 375139780 /nfs/dbraw/zinc/13/97/80/375139780.db2.gz YYJBOVJTXFNICK-JTQLQIEISA-N 0 0 296.323 2.747 20 5 CFBDRN COc1ccc(F)cc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000092872881 375166657 /nfs/dbraw/zinc/16/66/57/375166657.db2.gz ZGPPXKOAOZXRDK-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CC(C)C(=O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000092999092 375175544 /nfs/dbraw/zinc/17/55/44/375175544.db2.gz SCJKQPUDQFBGDD-UHFFFAOYSA-N 0 0 257.673 2.852 20 5 CFBDRN CC[C@H](C)NC(=O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000093307966 375205927 /nfs/dbraw/zinc/20/59/27/375205927.db2.gz GMWLWUQEDOWAII-QMMMGPOBSA-N 0 0 286.715 2.542 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCOC[C@H]1C1CC1 ZINC000093645296 375284355 /nfs/dbraw/zinc/28/43/55/375284355.db2.gz WOSPDUCBFIFEIX-WMHVBEDNSA-N 0 0 288.347 2.719 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000494675000 246801840 /nfs/dbraw/zinc/80/18/40/246801840.db2.gz SCRSHAFXYUEVIS-OLRMPSLUSA-N 0 0 289.335 2.754 20 5 CFBDRN CCOc1cc(N[C@H](C)CCCO)ccc1[N+](=O)[O-] ZINC000094944650 375393166 /nfs/dbraw/zinc/39/31/66/375393166.db2.gz VPXIMWHEVNXLLS-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN C[C@H]1CC(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000093900340 375328926 /nfs/dbraw/zinc/32/89/26/375328926.db2.gz UVWDDGHQTPXFFW-UWVGGRQHSA-N 0 0 278.308 2.737 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2cccnc2)c1 ZINC000094195348 375344541 /nfs/dbraw/zinc/34/45/41/375344541.db2.gz AEXXAKIUNJLLRU-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN Cc1cnc(OCCOC2CCCC2)c([N+](=O)[O-])c1 ZINC000431942902 383708126 /nfs/dbraw/zinc/70/81/26/383708126.db2.gz TWRKBNNMHSYRAK-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H]1CCCC[C@H]1O ZINC000096867218 375494430 /nfs/dbraw/zinc/49/44/30/375494430.db2.gz SXPRUAZAPMOGFX-WCQYABFASA-N 0 0 264.325 2.866 20 5 CFBDRN CN(Cc1cccnc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000098065889 375597196 /nfs/dbraw/zinc/59/71/96/375597196.db2.gz ZJTCNXOAVGLUPR-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)Cc2ccc(F)cc2)cc1 ZINC000499685710 247087868 /nfs/dbraw/zinc/08/78/68/247087868.db2.gz IOSPNICDWGKMMZ-AWEZNQCLSA-N 0 0 290.294 2.749 20 5 CFBDRN Cc1cc(OCc2cnc(C)nc2)ccc1[N+](=O)[O-] ZINC000505481699 247140326 /nfs/dbraw/zinc/14/03/26/247140326.db2.gz SXEQLIDCIFMTJJ-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ncc(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)cn1 ZINC000505525323 247141830 /nfs/dbraw/zinc/14/18/30/247141830.db2.gz BYKSIGCLLDDESO-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H](n3cccn3)C2)cs1 ZINC000102409622 375672569 /nfs/dbraw/zinc/67/25/69/375672569.db2.gz IZBWRDBAYBDFTA-LBPRGKRZSA-N 0 0 292.364 2.690 20 5 CFBDRN CC(C)[C@H]1CC[C@@H](C)C[C@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000505295749 247134823 /nfs/dbraw/zinc/13/48/23/247134823.db2.gz MHOZQPZKRCIVAJ-GMTAPVOTSA-N 0 0 294.355 2.509 20 5 CFBDRN COC(=O)c1ccc(OCC[C@H](C)F)c([N+](=O)[O-])c1 ZINC000505443651 247137641 /nfs/dbraw/zinc/13/76/41/247137641.db2.gz LFGISSBPTQAPNK-QMMMGPOBSA-N 0 0 271.244 2.508 20 5 CFBDRN CCC[C@H](NC(=O)c1cc([N+](=O)[O-])n[nH]1)C1CCCCC1 ZINC000510840041 247201543 /nfs/dbraw/zinc/20/15/43/247201543.db2.gz BFHGJBOEOHPEIZ-NSHDSACASA-N 0 0 294.355 2.797 20 5 CFBDRN CCC[C@@H](NC(=O)c1cc([N+](=O)[O-])n[nH]1)C1CCCCC1 ZINC000510840040 247201693 /nfs/dbraw/zinc/20/16/93/247201693.db2.gz BFHGJBOEOHPEIZ-LLVKDONJSA-N 0 0 294.355 2.797 20 5 CFBDRN COc1cc(C)nc(SCc2ccc([N+](=O)[O-])cc2)n1 ZINC000513992869 247226650 /nfs/dbraw/zinc/22/66/50/247226650.db2.gz VUDVOCUJALYJJU-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN CCCCC(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000103931336 375762336 /nfs/dbraw/zinc/76/23/36/375762336.db2.gz NZPGKHUUKPJOBM-UHFFFAOYSA-N 0 0 288.307 2.909 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1Cc2ccccc2C1 ZINC000105976740 375794343 /nfs/dbraw/zinc/79/43/43/375794343.db2.gz VZCHNWCFHFCBRE-UHFFFAOYSA-N 0 0 286.262 2.890 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000112828166 375968194 /nfs/dbraw/zinc/96/81/94/375968194.db2.gz BITFCJHTJWBNLW-GXSJLCMTSA-N 0 0 277.324 2.555 20 5 CFBDRN CCN(c1nc2sccn2c1[N+](=O)[O-])C(C)C ZINC000112965002 375978989 /nfs/dbraw/zinc/97/89/89/375978989.db2.gz NGNNQBHPPFJNTQ-UHFFFAOYSA-N 0 0 254.315 2.539 20 5 CFBDRN COCCN(Cc1cccc([N+](=O)[O-])c1)CC(F)(F)F ZINC000112612454 375936992 /nfs/dbraw/zinc/93/69/92/375936992.db2.gz YSVGBYSHIWYXAE-UHFFFAOYSA-N 0 0 292.257 2.606 20 5 CFBDRN CC[C@H]1CCC[C@@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000113581216 376024699 /nfs/dbraw/zinc/02/46/99/376024699.db2.gz IZOLBIJPAXPKTP-VHSXEESVSA-N 0 0 252.318 2.709 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCCCCC1 ZINC000113699776 376026466 /nfs/dbraw/zinc/02/64/66/376026466.db2.gz XEWDAAUDRFREQL-UHFFFAOYSA-N 0 0 266.272 2.750 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCOC[C@@H]1CCCO1 ZINC000115519056 376135495 /nfs/dbraw/zinc/13/54/95/376135495.db2.gz IMYOXSOFLJFKGV-LBPRGKRZSA-N 0 0 280.324 2.511 20 5 CFBDRN CC[C@H]1COCCN1Cc1cccc([N+](=O)[O-])c1C ZINC000115573200 376141822 /nfs/dbraw/zinc/14/18/22/376141822.db2.gz VDBYIMIAVDEPLC-ZDUSSCGKSA-N 0 0 264.325 2.514 20 5 CFBDRN CS[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000117220016 376230012 /nfs/dbraw/zinc/23/00/12/376230012.db2.gz FRIAUDFBNLZFHV-BDAKNGLRSA-N 0 0 284.337 2.592 20 5 CFBDRN CCSCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000117380539 376240013 /nfs/dbraw/zinc/24/00/13/376240013.db2.gz HMCYJUDCGYGTEZ-UHFFFAOYSA-N 0 0 282.321 2.646 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NC1CCCC1 ZINC000117565614 376248995 /nfs/dbraw/zinc/24/89/95/376248995.db2.gz CFTJJWLTQQKHCU-UHFFFAOYSA-N 0 0 282.727 2.850 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccncc1 ZINC000117746419 376258885 /nfs/dbraw/zinc/25/88/85/376258885.db2.gz VIAYMXWRPKTGNO-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccn1 ZINC000117749561 376258952 /nfs/dbraw/zinc/25/89/52/376258952.db2.gz MKEGCUQAGBBSAT-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccsc1 ZINC000118678709 376308087 /nfs/dbraw/zinc/30/80/87/376308087.db2.gz JQKYBYALBWSLQE-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN CCC(CC)C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000118723410 376311263 /nfs/dbraw/zinc/31/12/63/376311263.db2.gz MBHWPWMFNYLGBW-UHFFFAOYSA-N 0 0 294.307 2.756 20 5 CFBDRN CCC[C@H]1CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C1=O ZINC000119079034 376349808 /nfs/dbraw/zinc/34/98/08/376349808.db2.gz SZUWGWIKIOYTJQ-LBPRGKRZSA-N 0 0 290.319 2.660 20 5 CFBDRN Cc1cc(C(=O)N2CCS[C@H](C)[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000118290335 376284863 /nfs/dbraw/zinc/28/48/63/376284863.db2.gz JRGOJAJFIZTUJS-WDEREUQCSA-N 0 0 294.376 2.869 20 5 CFBDRN CCC[C@@H]1CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C1=O ZINC000119078899 376350519 /nfs/dbraw/zinc/35/05/19/376350519.db2.gz SZUWGWIKIOYTJQ-GFCCVEGCSA-N 0 0 290.319 2.660 20 5 CFBDRN C[C@H]1SCCN(Cc2csc([N+](=O)[O-])c2)[C@H]1C ZINC000118418687 376295880 /nfs/dbraw/zinc/29/58/80/376295880.db2.gz ROQQMPKUJKCLLY-DTWKUNHWSA-N 0 0 272.395 2.982 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000118460137 376299961 /nfs/dbraw/zinc/29/99/61/376299961.db2.gz WOKYXGYVPZGYMS-ZJUUUORDSA-N 0 0 295.364 2.953 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@@H](C)[C@H]2C)c1 ZINC000302149205 284571917 /nfs/dbraw/zinc/57/19/17/284571917.db2.gz HHLWKXZGVGOGSC-NXEZZACHSA-N 0 0 278.308 2.616 20 5 CFBDRN Cc1csc(N(C)C(=O)c2cccc(C)c2[N+](=O)[O-])n1 ZINC000121119253 376763090 /nfs/dbraw/zinc/76/30/90/376763090.db2.gz NIHVVPDZJZLDJG-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCOC3)n2)s1 ZINC000278118644 193837112 /nfs/dbraw/zinc/83/71/12/193837112.db2.gz JGQRAJFAKQQKQU-SSDOTTSWSA-N 0 0 281.293 2.600 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000121143794 376768932 /nfs/dbraw/zinc/76/89/32/376768932.db2.gz YBPJZFCZFVGRIF-MRVPVSSYSA-N 0 0 256.327 2.821 20 5 CFBDRN CC1(CNC(=O)Cc2ccccc2[N+](=O)[O-])CCCC1 ZINC000264670947 190127791 /nfs/dbraw/zinc/12/77/91/190127791.db2.gz WOLLZFTXAOCXOU-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CC[C@H](C)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000121378345 376818454 /nfs/dbraw/zinc/81/84/54/376818454.db2.gz OUCWFPJMDNLKPO-VIFPVBQESA-N 0 0 275.308 2.533 20 5 CFBDRN CCN(C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)C1CC1 ZINC000121696002 376886048 /nfs/dbraw/zinc/88/60/48/376886048.db2.gz BSUSHTPIWAFSMZ-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000123719398 376970639 /nfs/dbraw/zinc/97/06/39/376970639.db2.gz ZMRZOAFJJKZEKJ-DZGCQCFKSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)Oc1ncccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000124675248 377075328 /nfs/dbraw/zinc/07/53/28/377075328.db2.gz OWXGUWJZZTZGDW-UHFFFAOYSA-N 0 0 291.263 2.622 20 5 CFBDRN CCc1ccc(CC)c(NC(=O)c2n[nH]cc2[N+](=O)[O-])c1 ZINC000125240643 377130905 /nfs/dbraw/zinc/13/09/05/377130905.db2.gz KJNAQQXMXYHFQF-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN Cc1ncc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)s1 ZINC000125403092 377152381 /nfs/dbraw/zinc/15/23/81/377152381.db2.gz NSQVAXCYWKSISC-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCSC[C@@H]1C1CC1 ZINC000334980521 296254813 /nfs/dbraw/zinc/25/48/13/296254813.db2.gz YFXCEXLJEWEBSI-CYBMUJFWSA-N 0 0 292.360 2.562 20 5 CFBDRN C[C@@H]1CCC[C@H](CCn2ncc([N+](=O)[O-])c2N)C1 ZINC000127493227 377285682 /nfs/dbraw/zinc/28/56/82/377285682.db2.gz XIAJRSAVTLYTCZ-NXEZZACHSA-N 0 0 252.318 2.590 20 5 CFBDRN CC(C)OC(=O)CSCCc1ccc([N+](=O)[O-])cc1 ZINC000127499362 377287002 /nfs/dbraw/zinc/28/70/02/377287002.db2.gz CHJZDHACSVKYFM-UHFFFAOYSA-N 0 0 283.349 2.822 20 5 CFBDRN Cn1c2ccc(NCc3ccc([N+](=O)[O-])cc3)cc2oc1=O ZINC000127941377 377309852 /nfs/dbraw/zinc/30/98/52/377309852.db2.gz HKEGLPJJRGAMJI-UHFFFAOYSA-N 0 0 299.286 2.652 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1ncccc1[N+](=O)[O-] ZINC000129416987 377425420 /nfs/dbraw/zinc/42/54/20/377425420.db2.gz FAOTUWFXVOTFDQ-QMMMGPOBSA-N 0 0 276.296 2.810 20 5 CFBDRN C[C@H]1C[C@H]1CNc1ncc([N+](=O)[O-])cc1Br ZINC000129494148 377434350 /nfs/dbraw/zinc/43/43/50/377434350.db2.gz UVDTUGUPXULYGL-BQBZGAKWSA-N 0 0 286.129 2.820 20 5 CFBDRN O=C(c1ccccn1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129689582 377461092 /nfs/dbraw/zinc/46/10/92/377461092.db2.gz NGAQGBMTSQOLDG-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN COc1cc(CNc2ncc(Cl)cc2[N+](=O)[O-])ccn1 ZINC000129783693 377471190 /nfs/dbraw/zinc/47/11/90/377471190.db2.gz MIVDNBYWKOWASU-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN COCCCCNc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000129906147 377487978 /nfs/dbraw/zinc/48/79/78/377487978.db2.gz VPTYNJAHJULUQA-UHFFFAOYSA-N 0 0 296.323 2.528 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000130339358 377540603 /nfs/dbraw/zinc/54/06/03/377540603.db2.gz PTIZJKYLGOVUIU-SFYZADRCSA-N 0 0 258.705 2.820 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1Cc2ccccc2CO1 ZINC000377142186 296263142 /nfs/dbraw/zinc/26/31/42/296263142.db2.gz ZINURQYRLSDIBT-UHFFFAOYSA-N 0 0 284.271 2.682 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCC1CCOCC1 ZINC000130385559 377550113 /nfs/dbraw/zinc/55/01/13/377550113.db2.gz LOTLDJVPUAGNLD-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN COc1ccc(Cn2cnc3c2CCCC3)cc1[N+](=O)[O-] ZINC000130459711 377560507 /nfs/dbraw/zinc/56/05/07/377560507.db2.gz SWVBLJGXNAFMHD-UHFFFAOYSA-N 0 0 287.319 2.727 20 5 CFBDRN CCOC(=O)[C@H](C)SCc1ccc([N+](=O)[O-])cc1 ZINC000131077336 377654552 /nfs/dbraw/zinc/65/45/52/377654552.db2.gz NGLLUGSWESIQPQ-VIFPVBQESA-N 0 0 269.322 2.780 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000131096985 377657373 /nfs/dbraw/zinc/65/73/73/377657373.db2.gz CDWDVBDRRPTXFI-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000131330268 377695550 /nfs/dbraw/zinc/69/55/50/377695550.db2.gz JEHKTSRNHAJSDY-VXGBXAGGSA-N 0 0 276.336 2.642 20 5 CFBDRN CCC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2C)CCO1 ZINC000131269889 377686226 /nfs/dbraw/zinc/68/62/26/377686226.db2.gz WZEISVRYYGMROG-AWEZNQCLSA-N 0 0 278.352 2.904 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)[C@@H](C)C1 ZINC000131449184 377713455 /nfs/dbraw/zinc/71/34/55/377713455.db2.gz RQLKXGIAJDAGTA-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)N1CCC[C@@H](C)C1 ZINC000131501351 377720262 /nfs/dbraw/zinc/72/02/62/377720262.db2.gz BPZKZPOPQXEHGM-LLVKDONJSA-N 0 0 292.335 2.541 20 5 CFBDRN CC[C@H](C)C[S@](=O)Cc1cc([N+](=O)[O-])ccc1OC ZINC000131641604 377740209 /nfs/dbraw/zinc/74/02/09/377740209.db2.gz KZQJJVZQXUAESF-APBUJDDRSA-N 0 0 285.365 2.898 20 5 CFBDRN Cc1nc(CC(=O)Nc2cccc([N+](=O)[O-])c2)c(C)s1 ZINC000131411795 377707791 /nfs/dbraw/zinc/70/77/91/377707791.db2.gz WUILXGARPBFFFZ-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)N(C(C)C)C(C)C ZINC000131426511 377709791 /nfs/dbraw/zinc/70/97/91/377709791.db2.gz SPOYJDJMAJDEBD-UHFFFAOYSA-N 0 0 294.351 2.927 20 5 CFBDRN CCC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000131770804 377759628 /nfs/dbraw/zinc/75/96/28/377759628.db2.gz KQUMPXVJVBUMRI-AWEZNQCLSA-N 0 0 264.325 2.596 20 5 CFBDRN CC(C)COCCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000132238141 377819458 /nfs/dbraw/zinc/81/94/58/377819458.db2.gz OMQUOYDKVWWUBB-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CCCS1 ZINC000132404240 377836293 /nfs/dbraw/zinc/83/62/93/377836293.db2.gz NPSTYAMKDFRJSA-JTQLQIEISA-N 0 0 271.269 2.535 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cccc(S(C)(=O)=O)c2[N+](=O)[O-])C1 ZINC000133395435 377926294 /nfs/dbraw/zinc/92/62/94/377926294.db2.gz BVPVLZXZSCDMJH-NXEZZACHSA-N 0 0 298.364 2.599 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1cccc(-n2ccnn2)c1 ZINC000133742415 377954175 /nfs/dbraw/zinc/95/41/75/377954175.db2.gz ZXKFDBBWZCMNBM-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN CC[C@H](C)C(=O)COc1cccc(C)c1[N+](=O)[O-] ZINC000133105692 377904735 /nfs/dbraw/zinc/90/47/35/377904735.db2.gz USVKPZHRMFKNBE-VIFPVBQESA-N 0 0 251.282 2.897 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000134368655 378006161 /nfs/dbraw/zinc/00/61/61/378006161.db2.gz QYOOEJVJAMKBRV-NOZJJQNGSA-N 0 0 276.292 2.539 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCn2cc(Cl)cn2)c1 ZINC000133792862 377958580 /nfs/dbraw/zinc/95/85/80/377958580.db2.gz GEDOSPZYRJMXOG-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN COc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cc1 ZINC000133855836 377963791 /nfs/dbraw/zinc/96/37/91/377963791.db2.gz OGJLHYNMRWXLJS-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H]1C[C@H]1CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000134222185 377993767 /nfs/dbraw/zinc/99/37/67/377993767.db2.gz GYCWQADJKFMJJL-WPRPVWTQSA-N 0 0 275.308 2.547 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCNC(=O)OC(C)(C)C ZINC000134267984 377998233 /nfs/dbraw/zinc/99/82/33/377998233.db2.gz SSSOXIBIPZPFBQ-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CSC(C)(C)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000135362248 378123220 /nfs/dbraw/zinc/12/32/20/378123220.db2.gz LQROPWVPRUESIG-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN Cc1cc(N[C@H]2CCCSC2)ncc1[N+](=O)[O-] ZINC000135658796 378154819 /nfs/dbraw/zinc/15/48/19/378154819.db2.gz MRRQYVRDYHEVST-VIFPVBQESA-N 0 0 253.327 2.606 20 5 CFBDRN Cc1cc(NCC(C)(C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000135601191 378148365 /nfs/dbraw/zinc/14/83/65/378148365.db2.gz ACANLZCTOPCDAT-UHFFFAOYSA-N 0 0 272.732 2.987 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000264311987 383725743 /nfs/dbraw/zinc/72/57/43/383725743.db2.gz MDLOYKNRJDXAMQ-JQWIXIFHSA-N 0 0 277.324 2.583 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H]2C)c1 ZINC000136302615 378194202 /nfs/dbraw/zinc/19/42/02/378194202.db2.gz HVHJLAGIXAEKIL-MWLCHTKSSA-N 0 0 278.308 2.982 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CC=CCC2)c1 ZINC000136304244 378194803 /nfs/dbraw/zinc/19/48/03/378194803.db2.gz HGTRORIURXROBB-LLVKDONJSA-N 0 0 276.292 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@H]3CCCSC3)nc2c1 ZINC000136443971 378200642 /nfs/dbraw/zinc/20/06/42/378200642.db2.gz QKKXNLQNHLKUNE-MRVPVSSYSA-N 0 0 278.337 2.779 20 5 CFBDRN CC[C@](C)(CCO)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000136874931 378212077 /nfs/dbraw/zinc/21/20/77/378212077.db2.gz RBRSIUORZZIQMQ-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCC(F)(F)F ZINC000149626302 378265957 /nfs/dbraw/zinc/26/59/57/378265957.db2.gz ILSNNPAILJKPNQ-UHFFFAOYSA-N 0 0 280.250 2.874 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1C[C@H]2CCC[C@@H]2C1 ZINC000150823412 378274229 /nfs/dbraw/zinc/27/42/29/378274229.db2.gz HMIQKDVSLJLXFL-GHMZBOCLSA-N 0 0 275.308 2.859 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ncc[nH]1 ZINC000138923502 378233953 /nfs/dbraw/zinc/23/39/53/378233953.db2.gz HIDWNDXEBPEVMZ-UWVGGRQHSA-N 0 0 260.297 2.730 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1C)c1ncc[nH]1 ZINC000140592426 378239725 /nfs/dbraw/zinc/23/97/25/378239725.db2.gz MBPGVGYXENETBW-NSHDSACASA-N 0 0 288.307 2.507 20 5 CFBDRN Cc1cc(NC(=O)Cc2ccccc2[N+](=O)[O-])ccc1O ZINC000148581446 378257613 /nfs/dbraw/zinc/25/76/13/378257613.db2.gz GUWFSVZSBOUOLA-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN CN(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CCCCC1 ZINC000151307837 378315161 /nfs/dbraw/zinc/31/51/61/378315161.db2.gz RUHJODXMQMCWLT-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1CC1CCCC1 ZINC000151703430 378349873 /nfs/dbraw/zinc/34/98/73/378349873.db2.gz MOZDADJTQJQAIU-UHFFFAOYSA-N 0 0 262.265 2.693 20 5 CFBDRN CCCC1(CNC(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000151954530 378376786 /nfs/dbraw/zinc/37/67/86/378376786.db2.gz BTRJWHBYNNAZNQ-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1ccccn1)C2 ZINC000153021052 378466546 /nfs/dbraw/zinc/46/65/46/378466546.db2.gz PTZJIACGJHUTLQ-UHFFFAOYSA-N 0 0 269.304 2.548 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000154588656 378583263 /nfs/dbraw/zinc/58/32/63/378583263.db2.gz CWXPYRCDSRWYCE-SECBINFHSA-N 0 0 280.299 2.581 20 5 CFBDRN Cc1cn(CCCCC2CCOCC2)nc1[N+](=O)[O-] ZINC000330408378 378547969 /nfs/dbraw/zinc/54/79/69/378547969.db2.gz HLHJCNYNWCQKDN-UHFFFAOYSA-N 0 0 267.329 2.697 20 5 CFBDRN CC(C)[C@@H]1CCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330448150 378558952 /nfs/dbraw/zinc/55/89/52/378558952.db2.gz YWHXRWYFQWCCOY-ZDUSSCGKSA-N 0 0 264.325 2.921 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000330566315 378584665 /nfs/dbraw/zinc/58/46/65/378584665.db2.gz KPIZDSIPTYBFAH-SSDOTTSWSA-N 0 0 256.327 2.835 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N1CCCCC1 ZINC000330473778 378565244 /nfs/dbraw/zinc/56/52/44/378565244.db2.gz BXAAWWYZYTZWPZ-UHFFFAOYSA-N 0 0 280.349 2.699 20 5 CFBDRN C[C@@H]1CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])[C@H]1CO ZINC000330550376 378581774 /nfs/dbraw/zinc/58/17/74/378581774.db2.gz DSUMQHPAZGAGOV-YGRLFVJLSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000331091325 378631445 /nfs/dbraw/zinc/63/14/45/378631445.db2.gz WOGCTSKELPJGPD-YPMHNXCESA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)C(CNC(=O)c1cc([N+](=O)[O-])c[nH]1)C(C)C ZINC000330990091 378610643 /nfs/dbraw/zinc/61/06/43/378610643.db2.gz YBQICNJRYVEQKH-UHFFFAOYSA-N 0 0 267.329 2.581 20 5 CFBDRN COc1c(C(=O)N2C[C@H](C)C[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000331022836 378616015 /nfs/dbraw/zinc/61/60/15/378616015.db2.gz LUAFYFLSIYVAQU-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@@H](Cn1cnc([N+](=O)[O-])c1)C(=O)c1ccc(F)c(F)c1 ZINC000155228776 378616735 /nfs/dbraw/zinc/61/67/35/378616735.db2.gz RDHNTOVVOVECGH-QMMMGPOBSA-N 0 0 295.245 2.589 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000331052343 378622027 /nfs/dbraw/zinc/62/20/27/378622027.db2.gz IZVDSMUDSBLWAZ-YGRLFVJLSA-N 0 0 292.335 2.545 20 5 CFBDRN C[C@H]1COC(C)(C)CN1Cc1ccc([N+](=O)[O-])cc1F ZINC000331143979 378640987 /nfs/dbraw/zinc/64/09/87/378640987.db2.gz MWULRSZOOCTIDK-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN CCOc1cc(CN2CCSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000331173769 378644227 /nfs/dbraw/zinc/64/42/27/378644227.db2.gz PACWYCWPCFLMQL-LLVKDONJSA-N 0 0 296.392 2.931 20 5 CFBDRN COCCC1(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000159241560 378696528 /nfs/dbraw/zinc/69/65/28/378696528.db2.gz GFROCDQDPYBRSQ-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN C[C@]1(Nc2ccc([N+](=O)[O-])c3cnccc23)CCOC1 ZINC000160005812 378742274 /nfs/dbraw/zinc/74/22/74/378742274.db2.gz OQOAMVCKRFBGDJ-AWEZNQCLSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCCCO1 ZINC000160077555 378746878 /nfs/dbraw/zinc/74/68/78/378746878.db2.gz YDIRWIZJSYIPDC-CYBMUJFWSA-N 0 0 278.308 2.719 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000159334455 378703927 /nfs/dbraw/zinc/70/39/27/378703927.db2.gz BKADFTYTGOSXCL-CABZTGNLSA-N 0 0 262.309 2.818 20 5 CFBDRN CCOC(C)(C)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000159524568 378715286 /nfs/dbraw/zinc/71/52/86/378715286.db2.gz SHFLDOZOTOJZCX-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1nc(N[C@H]2CCO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000160353832 378764864 /nfs/dbraw/zinc/76/48/64/378764864.db2.gz CTFBEBQRLYCPEU-AAEUAGOBSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCO[C@@H](C2CC2)C1 ZINC000160435112 378772847 /nfs/dbraw/zinc/77/28/47/378772847.db2.gz HRSZXBUYZFUIMR-BXUZGUMPSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1nccn1CCCCNc1nccc(C)c1[N+](=O)[O-] ZINC000266186818 190238533 /nfs/dbraw/zinc/23/85/33/190238533.db2.gz ATWFZCXIRZWXRP-UHFFFAOYSA-N 0 0 289.339 2.695 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCOC(C)(C)C1 ZINC000160613496 378785818 /nfs/dbraw/zinc/78/58/18/378785818.db2.gz UQYAUSUUVGGCSA-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCOC(C)(C)C1 ZINC000160613360 378786831 /nfs/dbraw/zinc/78/68/31/378786831.db2.gz UQYAUSUUVGGCSA-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cnc3c2CCCC3)cc1F ZINC000160705686 378793806 /nfs/dbraw/zinc/79/38/06/378793806.db2.gz PCTAQYQSSRXJGW-UHFFFAOYSA-N 0 0 275.283 2.858 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332734026 378795820 /nfs/dbraw/zinc/79/58/20/378795820.db2.gz XDQDSCPSYGGBKV-QWRGUYRKSA-N 0 0 291.351 2.557 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000338811465 220255002 /nfs/dbraw/zinc/25/50/02/220255002.db2.gz OINDUPPLOVDXCQ-SNVBAGLBSA-N 0 0 266.345 2.875 20 5 CFBDRN CNc1c(C(=O)N2CCC(C)(C)CC2)cccc1[N+](=O)[O-] ZINC000161478960 378849640 /nfs/dbraw/zinc/84/96/40/378849640.db2.gz WIZBVTPTEDUBGS-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CCCn1c(C)nnc1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000161304840 378837320 /nfs/dbraw/zinc/83/73/20/378837320.db2.gz GVWAWCVNVCQGJD-UHFFFAOYSA-N 0 0 294.286 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCC[C@H]1CCCCO1)CCN2 ZINC000278510426 194019103 /nfs/dbraw/zinc/01/91/03/194019103.db2.gz YAWLNWCNHHJWKU-LLVKDONJSA-N 0 0 291.351 2.934 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCC[C@@H]1CCCCO1)CCN2 ZINC000278510428 194019816 /nfs/dbraw/zinc/01/98/16/194019816.db2.gz YAWLNWCNHHJWKU-NSHDSACASA-N 0 0 291.351 2.934 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C(C1CC1)C1CC1 ZINC000162899880 378941075 /nfs/dbraw/zinc/94/10/75/378941075.db2.gz DKNITUQYHIARKM-UHFFFAOYSA-N 0 0 261.277 2.936 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000335226352 296284175 /nfs/dbraw/zinc/28/41/75/296284175.db2.gz ZNKVANUBGBBCNU-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000162605005 378920414 /nfs/dbraw/zinc/92/04/14/378920414.db2.gz HVRDZOBONONHPZ-GWCFXTLKSA-N 0 0 277.324 2.607 20 5 CFBDRN C[C@H]1OCC[C@H]1SCCOc1ccc([N+](=O)[O-])cc1 ZINC000163608707 378982696 /nfs/dbraw/zinc/98/26/96/378982696.db2.gz KCKHETKQBJQMKV-ZWNOBZJWSA-N 0 0 283.349 2.884 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000167224131 379068184 /nfs/dbraw/zinc/06/81/84/379068184.db2.gz LBJSTNAVECQBSW-SFYZADRCSA-N 0 0 258.705 2.677 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000167238232 379068823 /nfs/dbraw/zinc/06/88/23/379068823.db2.gz JSNYDHPNRQJPEB-SFYZADRCSA-N 0 0 258.705 2.677 20 5 CFBDRN COc1ccc(NC(=O)NC(C)(C)C)cc1[N+](=O)[O-] ZINC000167351927 379071295 /nfs/dbraw/zinc/07/12/95/379071295.db2.gz FQMNBRQFTTXQPP-UHFFFAOYSA-N 0 0 267.285 2.523 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334302552 379060824 /nfs/dbraw/zinc/06/08/24/379060824.db2.gz FLRKUDSGKCGDDY-SKDRFNHKSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cccc([C@H]2CCN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)c1 ZINC000335324645 379090903 /nfs/dbraw/zinc/09/09/03/379090903.db2.gz ZPGYGXADZQYDDY-ZDUSSCGKSA-N 0 0 299.330 2.861 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(O)CCCC[C@@H]3C2)cc1 ZINC000168623381 379092613 /nfs/dbraw/zinc/09/26/13/379092613.db2.gz VMRRBYKGLRCDNF-DOMZBBRYSA-N 0 0 276.336 2.726 20 5 CFBDRN Cc1nn(CC(=O)C2CCCCCC2)c(C)c1[N+](=O)[O-] ZINC000278715202 194118456 /nfs/dbraw/zinc/11/84/56/194118456.db2.gz OMJFGOQGHDJPRU-UHFFFAOYSA-N 0 0 279.340 2.948 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@@H]2CCC[C@H]21 ZINC000335333211 379108158 /nfs/dbraw/zinc/10/81/58/379108158.db2.gz SOPJVCZEYHDDGX-DTWKUNHWSA-N 0 0 266.322 2.671 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2c2cc[nH]n2)cc1 ZINC000278723064 194122328 /nfs/dbraw/zinc/12/23/28/194122328.db2.gz VHCGYJOREMCAOD-AWEZNQCLSA-N 0 0 272.308 2.655 20 5 CFBDRN Cc1nocc1CNCc1ccc([N+](=O)[O-])cc1Cl ZINC000339362016 220263921 /nfs/dbraw/zinc/26/39/21/220263921.db2.gz QCYGPOSBLAPREY-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000334338960 379119875 /nfs/dbraw/zinc/11/98/75/379119875.db2.gz ZVUWMJQUGRYUGH-SECBINFHSA-N 0 0 273.292 2.701 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000335509600 296292909 /nfs/dbraw/zinc/29/29/09/296292909.db2.gz NKQJRDSXNKHMMP-LLVKDONJSA-N 0 0 274.320 3.000 20 5 CFBDRN Cc1ccc(NCc2ccc(C)n(C)c2=O)c([N+](=O)[O-])c1 ZINC000278814181 194165701 /nfs/dbraw/zinc/16/57/01/194165701.db2.gz SNHXOCLQOIDBLY-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCCO[C@H](C)C1 ZINC000171047044 379215370 /nfs/dbraw/zinc/21/53/70/379215370.db2.gz NOWCSSVWSXAGAL-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1nc([C@@H](C)N(C)CCc2cccc([N+](=O)[O-])c2)no1 ZINC000289450637 197352973 /nfs/dbraw/zinc/35/29/73/197352973.db2.gz UUAVKRKYIPXLIA-SNVBAGLBSA-N 0 0 290.323 2.522 20 5 CFBDRN CC(C)[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000334409322 379246897 /nfs/dbraw/zinc/24/68/97/379246897.db2.gz SITDWDRGLNMRFZ-GFCCVEGCSA-N 0 0 293.367 2.832 20 5 CFBDRN CC[C@@H](C)C(=O)COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000355963633 535455122 /nfs/dbraw/zinc/45/51/22/535455122.db2.gz ZLTIXHFIWJSHPV-SECBINFHSA-N 0 0 267.281 2.597 20 5 CFBDRN O=C(N[C@H]1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000335415839 379252658 /nfs/dbraw/zinc/25/26/58/379252658.db2.gz DYNLOUJTPDLLIY-IUCAKERBSA-N 0 0 284.262 2.744 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000334415215 379259342 /nfs/dbraw/zinc/25/93/42/379259342.db2.gz MWZWFGUYCZANTN-NSHDSACASA-N 0 0 266.272 2.604 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccn(C)c1 ZINC000334425258 379275119 /nfs/dbraw/zinc/27/51/19/379275119.db2.gz XEXFDKSZOZRKAM-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CN1C(=O)CCc2cc(Nc3ccc([N+](=O)[O-])cn3)ccc21 ZINC000171564656 379339407 /nfs/dbraw/zinc/33/94/07/379339407.db2.gz ZZLHKYBYJLYKOJ-UHFFFAOYSA-N 0 0 298.302 2.642 20 5 CFBDRN CC(C)[C@@H](C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000171915138 379436892 /nfs/dbraw/zinc/43/68/92/379436892.db2.gz LNUTZZTVQIDHON-SNVBAGLBSA-N 0 0 262.309 2.776 20 5 CFBDRN C[C@H](NC(=O)N1CCC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000334519127 379440329 /nfs/dbraw/zinc/44/03/29/379440329.db2.gz PEMVJRRCPAWWOS-NSHDSACASA-N 0 0 289.335 2.994 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000171875752 379427755 /nfs/dbraw/zinc/42/77/55/379427755.db2.gz ZBSUPMNFCAQKTA-LLVKDONJSA-N 0 0 264.325 2.642 20 5 CFBDRN CC(=O)c1ccc(NCCOCC2CC2)c([N+](=O)[O-])c1 ZINC000264426550 383737604 /nfs/dbraw/zinc/73/76/04/383737604.db2.gz BLVOKHWVQSYCHZ-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C)C2CCCC2)ccc1[N+](=O)[O-] ZINC000172099429 379492407 /nfs/dbraw/zinc/49/24/07/379492407.db2.gz WGXMCERRNWKOFB-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](NC(=O)CC1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000172169828 379510269 /nfs/dbraw/zinc/51/02/69/379510269.db2.gz IAJYNVDQTZVAAX-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H](NC(=O)NCc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000172181360 379512295 /nfs/dbraw/zinc/51/22/95/379512295.db2.gz HBASIRCCOPCFJO-LLVKDONJSA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000334594274 379592887 /nfs/dbraw/zinc/59/28/87/379592887.db2.gz GSZABUOYMBKFAC-SWLSCSKDSA-N 0 0 288.347 2.847 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000334599037 379600509 /nfs/dbraw/zinc/60/05/09/379600509.db2.gz JOXXCXPELCNQLG-IUCAKERBSA-N 0 0 298.289 2.815 20 5 CFBDRN CCC[C@@H]1SCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000172394112 379554970 /nfs/dbraw/zinc/55/49/70/379554970.db2.gz MACRBZLYCPYLMS-VIFPVBQESA-N 0 0 286.378 2.972 20 5 CFBDRN CS[C@H](C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000172414920 379563206 /nfs/dbraw/zinc/56/32/06/379563206.db2.gz SJXWZOFTJHAUPS-LLVKDONJSA-N 0 0 296.392 2.785 20 5 CFBDRN O=C(Cc1cccs1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172424528 379564350 /nfs/dbraw/zinc/56/43/50/379564350.db2.gz LXTAYSCLRLKBFP-UHFFFAOYSA-N 0 0 288.328 2.788 20 5 CFBDRN C[C@@H](C(=O)N1CCC12CCC2)c1ccc([N+](=O)[O-])cc1F ZINC000334581967 379571657 /nfs/dbraw/zinc/57/16/57/379571657.db2.gz IZAJJYGACZQRHI-SNVBAGLBSA-N 0 0 292.310 2.992 20 5 CFBDRN CC(C)/N=c1\ccccn1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172721143 379633554 /nfs/dbraw/zinc/63/35/54/379633554.db2.gz YPUVDUPUKAPSPP-BMRADRMJSA-N 0 0 299.330 2.588 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000334621716 379643481 /nfs/dbraw/zinc/64/34/81/379643481.db2.gz SRCIRJWAYVTQIT-HTQZYQBOSA-N 0 0 268.700 2.871 20 5 CFBDRN C[C@@H](C1CC1)N(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000172770544 379644394 /nfs/dbraw/zinc/64/43/94/379644394.db2.gz ROINBAPJGHZQCT-NSHDSACASA-N 0 0 288.347 2.927 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000172635196 379610761 /nfs/dbraw/zinc/61/07/61/379610761.db2.gz XQWWLTHWDZOTDH-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000172649619 379615480 /nfs/dbraw/zinc/61/54/80/379615480.db2.gz ZRLPFQLNWXOSMT-QWRGUYRKSA-N 0 0 262.309 2.855 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173011755 379685719 /nfs/dbraw/zinc/68/57/19/379685719.db2.gz VBGUOSGLJNHZQD-LLVKDONJSA-N 0 0 264.325 2.642 20 5 CFBDRN CCc1cnc(CNC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000173046445 379688727 /nfs/dbraw/zinc/68/87/27/379688727.db2.gz PSWOLGOCMYFLHO-UHFFFAOYSA-N 0 0 297.361 2.605 20 5 CFBDRN O=C(N[C@H]1CC[C@H](F)C1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000335671758 379694691 /nfs/dbraw/zinc/69/46/91/379694691.db2.gz SLMQSSUOUHWKIU-YUMQZZPRSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1csc(N(C(=O)c2ccc([N+](=O)[O-])o2)C2CC2)n1 ZINC000173127268 379699409 /nfs/dbraw/zinc/69/94/09/379699409.db2.gz RPEIBKYWKGPYDX-UHFFFAOYSA-N 0 0 293.304 2.762 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2)ccc1C(N)=O ZINC000172902946 379668755 /nfs/dbraw/zinc/66/87/55/379668755.db2.gz UWXLKEAICIXWJF-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN CCCC(C)(C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172917436 379670714 /nfs/dbraw/zinc/67/07/14/379670714.db2.gz SDIJPORXLSBYTH-UHFFFAOYSA-N 0 0 264.325 2.832 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000335683602 379717806 /nfs/dbraw/zinc/71/78/06/379717806.db2.gz KOJWJEXZKPXVBT-GHMZBOCLSA-N 0 0 287.319 2.559 20 5 CFBDRN CCSCC[C@@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000173297975 379722867 /nfs/dbraw/zinc/72/28/67/379722867.db2.gz OTZNKTMBXSJSEA-MRVPVSSYSA-N 0 0 288.394 2.918 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000335693723 379732843 /nfs/dbraw/zinc/73/28/43/379732843.db2.gz UNJNYCODHFGPSG-DTORHVGOSA-N 0 0 273.292 2.699 20 5 CFBDRN Cc1cnc(CN(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000173471147 379742948 /nfs/dbraw/zinc/74/29/48/379742948.db2.gz ZBDYUJFKXGGVKM-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H]2C2CC2)cc([N+](=O)[O-])c1 ZINC000334672099 379744485 /nfs/dbraw/zinc/74/44/85/379744485.db2.gz WRDVRIYQHIQRBO-ZDUSSCGKSA-N 0 0 260.293 2.528 20 5 CFBDRN Cc1ccc(C(=O)N(C)[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000335729260 379796052 /nfs/dbraw/zinc/79/60/52/379796052.db2.gz DWGTVAQFNDCCQW-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@H]1CC[C@]2(CCN(C(=O)c3ccc([N+](=O)[O-])n3C)C2)C1 ZINC000335708997 379760426 /nfs/dbraw/zinc/76/04/26/379760426.db2.gz XJTFZPWZRAQAHE-NHYWBVRUSA-N 0 0 291.351 2.586 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CCS1 ZINC000334693865 379779686 /nfs/dbraw/zinc/77/96/86/379779686.db2.gz VEMRDUDRGSVTAA-LBPRGKRZSA-N 0 0 298.339 2.702 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1cccnc1 ZINC000173828674 379784778 /nfs/dbraw/zinc/78/47/78/379784778.db2.gz HYRQFNFGWKDNTQ-NSHDSACASA-N 0 0 297.314 2.683 20 5 CFBDRN Cc1ccc(NC(=O)N2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000174187444 379841071 /nfs/dbraw/zinc/84/10/71/379841071.db2.gz WIDWTXYZFOIADG-SNVBAGLBSA-N 0 0 263.297 2.919 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@@H](C(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000335758505 379842249 /nfs/dbraw/zinc/84/22/49/379842249.db2.gz YOQXAVZQQQPRGP-LLVKDONJSA-N 0 0 293.367 2.832 20 5 CFBDRN CCc1cc(NC(=O)c2ccc([N+](=O)[O-])s2)nc(C)n1 ZINC000174221841 379847205 /nfs/dbraw/zinc/84/72/05/379847205.db2.gz DFISRMLISFCLHZ-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H]3[C@H](C2)C3(C)C)cc([N+](=O)[O-])c1 ZINC000174018381 379813925 /nfs/dbraw/zinc/81/39/25/379813925.db2.gz IZBNOBQJAFDHJW-BETUJISGSA-N 0 0 274.320 2.631 20 5 CFBDRN Cc1cc(C(=O)N(C)[C@@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000335741868 379815335 /nfs/dbraw/zinc/81/53/35/379815335.db2.gz JPSIZJOSVCTUCX-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC12CCCC2 ZINC000334765291 379909180 /nfs/dbraw/zinc/90/91/80/379909180.db2.gz GDQLUXMVVIYFFW-ZDUSSCGKSA-N 0 0 291.351 2.964 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335783683 379882356 /nfs/dbraw/zinc/88/23/56/379882356.db2.gz NZEIHLZXYFCNOM-VIFPVBQESA-N 0 0 266.272 2.606 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000334754061 379887781 /nfs/dbraw/zinc/88/77/81/379887781.db2.gz JNWSVPRFMJNLFV-IUCAKERBSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000334753989 379888241 /nfs/dbraw/zinc/88/82/41/379888241.db2.gz HBZZANCAELHFLT-ZJUUUORDSA-N 0 0 287.319 2.947 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000174760327 379934356 /nfs/dbraw/zinc/93/43/56/379934356.db2.gz VQKNQSNUAHIHRH-GFCCVEGCSA-N 0 0 280.349 2.562 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])CCS1 ZINC000174769268 379937962 /nfs/dbraw/zinc/93/79/62/379937962.db2.gz ICFSKIDAIKQDKM-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CCS1 ZINC000174794836 379943033 /nfs/dbraw/zinc/94/30/33/379943033.db2.gz CHCSLTKIMRYSDG-CYBMUJFWSA-N 0 0 294.376 2.871 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CCS1 ZINC000174794845 379943425 /nfs/dbraw/zinc/94/34/25/379943425.db2.gz CHCSLTKIMRYSDG-ZDUSSCGKSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@]1(C)C[C@H]1F ZINC000335179256 379989127 /nfs/dbraw/zinc/98/91/27/379989127.db2.gz XPVYMIVDFIWWEJ-DGCLKSJQSA-N 0 0 266.272 2.898 20 5 CFBDRN O=C(CC1CCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000175084254 379992115 /nfs/dbraw/zinc/99/21/15/379992115.db2.gz FPHQJTLWYDBRHX-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CC1(CC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)CC1 ZINC000335853208 379996839 /nfs/dbraw/zinc/99/68/39/379996839.db2.gz OHKNDXMMEOJCEV-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN Cc1ccc(N(C)C[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000175110000 379998086 /nfs/dbraw/zinc/99/80/86/379998086.db2.gz JXOVLHDLOUUGSR-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000335857389 380003702 /nfs/dbraw/zinc/00/37/02/380003702.db2.gz HTPDQBUKCOAGAM-LLVKDONJSA-N 0 0 274.320 2.562 20 5 CFBDRN CC(=O)[C@H](C)S[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000175188747 380015898 /nfs/dbraw/zinc/01/58/98/380015898.db2.gz VWWMRUYFDGHYFB-VHSXEESVSA-N 0 0 296.348 2.633 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000335215799 380021318 /nfs/dbraw/zinc/02/13/18/380021318.db2.gz SHJFYBPXDKQCBK-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN Cn1c(C(=O)Nc2cccc(Cl)c2F)ccc1[N+](=O)[O-] ZINC000335896624 380069177 /nfs/dbraw/zinc/06/91/77/380069177.db2.gz JUPQBHQYTQIKHX-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C(C)(F)F ZINC000335899871 380074549 /nfs/dbraw/zinc/07/45/49/380074549.db2.gz PXTZOFKCRFHFGE-SECBINFHSA-N 0 0 298.289 2.771 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1cccc(F)c1C ZINC000175347486 380048909 /nfs/dbraw/zinc/04/89/09/380048909.db2.gz SKYFQIJSQJKODB-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN C[C@H](N(C)C(=O)c1csc([N+](=O)[O-])c1)C1(C)CC1 ZINC000175603720 380097911 /nfs/dbraw/zinc/09/79/11/380097911.db2.gz DGXFTNRTVRCCEI-QMMMGPOBSA-N 0 0 268.338 2.917 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)[C@@H]1C ZINC000335914529 380099454 /nfs/dbraw/zinc/09/94/54/380099454.db2.gz FMFOASMWOZYYRP-NXEZZACHSA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1cscc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000175658991 380108693 /nfs/dbraw/zinc/10/86/93/380108693.db2.gz WUBUUCSJLJMCQZ-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN O=C(CCC1CCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000175659019 380109122 /nfs/dbraw/zinc/10/91/22/380109122.db2.gz JEAJXJOQEJIPHF-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@@H]1CCc2ccccc2C1 ZINC000335982316 380192342 /nfs/dbraw/zinc/19/23/42/380192342.db2.gz NBAADNBURLEVSA-CYBMUJFWSA-N 0 0 299.330 2.552 20 5 CFBDRN CN(C(=O)c1occ2c1CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000335988772 380202502 /nfs/dbraw/zinc/20/25/02/380202502.db2.gz KFHSCQDMZJOWBR-UHFFFAOYSA-N 0 0 286.287 2.953 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000176527173 380248808 /nfs/dbraw/zinc/24/88/08/380248808.db2.gz GTHKAPPTIINEIO-QWRGUYRKSA-N 0 0 277.324 2.677 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2C[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000176547497 380251772 /nfs/dbraw/zinc/25/17/72/380251772.db2.gz JQPKQBGYVCYIEZ-MNOVXSKESA-N 0 0 276.292 2.588 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000176635064 380259451 /nfs/dbraw/zinc/25/94/51/380259451.db2.gz LDGLIFJVMRNERL-GFCCVEGCSA-N 0 0 294.351 2.868 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000176689297 380264129 /nfs/dbraw/zinc/26/41/29/380264129.db2.gz YFRUYBRIFDSPEZ-UWVGGRQHSA-N 0 0 263.297 3.000 20 5 CFBDRN CCCCCN(CCO)c1ccc(F)cc1[N+](=O)[O-] ZINC000176734736 380267029 /nfs/dbraw/zinc/26/70/29/380267029.db2.gz JGZPWIINYOPEDU-UHFFFAOYSA-N 0 0 270.304 2.723 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@H]1C ZINC000336012349 380235120 /nfs/dbraw/zinc/23/51/20/380235120.db2.gz RIDZEUQYYHQLAZ-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000342398294 220273181 /nfs/dbraw/zinc/27/31/81/220273181.db2.gz FTAMFPMNPZORLC-UKRRQHHQSA-N 0 0 291.351 2.559 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)c1csc([N+](=O)[O-])c1 ZINC000176924604 380283601 /nfs/dbraw/zinc/28/36/01/380283601.db2.gz GNVHWEHZDKHHSE-HTQZYQBOSA-N 0 0 254.311 2.669 20 5 CFBDRN CCCC1(CNC(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000177167873 380311568 /nfs/dbraw/zinc/31/15/68/380311568.db2.gz FHDSBMXZPYLUBR-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN CCCn1ncnc1COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000279083740 194277052 /nfs/dbraw/zinc/27/70/52/194277052.db2.gz MGABCWUEASCTDL-UHFFFAOYSA-N 0 0 296.714 2.829 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CC[C@H](C2CC2)C1 ZINC000336533932 380428012 /nfs/dbraw/zinc/42/80/12/380428012.db2.gz RWUCSSIIBULDPE-JTQLQIEISA-N 0 0 293.298 2.998 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@H]2C1 ZINC000178372857 380443334 /nfs/dbraw/zinc/44/33/34/380443334.db2.gz ZIDXIYDOFMNBKW-STQMWFEESA-N 0 0 274.320 2.857 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@H]2CCCC[C@@H]2C1 ZINC000178369754 380443741 /nfs/dbraw/zinc/44/37/41/380443741.db2.gz ICNBQZAHWICABN-CHWSQXEVSA-N 0 0 274.320 2.857 20 5 CFBDRN Cc1c(NC(=O)NC[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000279095980 194282058 /nfs/dbraw/zinc/28/20/58/194282058.db2.gz IWFMZXXUMZSOCM-JTQLQIEISA-N 0 0 295.364 2.778 20 5 CFBDRN Cc1c(CC(=O)N2C[C@@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000336530392 380422087 /nfs/dbraw/zinc/42/20/87/380422087.db2.gz PPZLDOKYFLJYDB-QWRGUYRKSA-N 0 0 276.336 2.703 20 5 CFBDRN Cc1cccc(OCC2CCOCC2)c1[N+](=O)[O-] ZINC000178986226 380498931 /nfs/dbraw/zinc/49/89/31/380498931.db2.gz CDZMSQUNELKHRW-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN O=C(N[C@H]1CC12CCCC2)c1ccc(F)cc1[N+](=O)[O-] ZINC000336582779 380501600 /nfs/dbraw/zinc/50/16/00/380501600.db2.gz CJQNYMSZPPLOSL-LBPRGKRZSA-N 0 0 278.283 2.796 20 5 CFBDRN CC(C)(C)CNC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000179091919 380507921 /nfs/dbraw/zinc/50/79/21/380507921.db2.gz UTJTXSHAXHFGCZ-UHFFFAOYSA-N 0 0 289.335 2.781 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC2(CCC2(F)F)C1 ZINC000336591266 380515344 /nfs/dbraw/zinc/51/53/44/380515344.db2.gz ZPFFJEZGFLTXAE-UHFFFAOYSA-N 0 0 297.261 2.858 20 5 CFBDRN Cc1sc(C(=O)N2CC3(C2)CCCC3)cc1[N+](=O)[O-] ZINC000336565793 380475719 /nfs/dbraw/zinc/47/57/19/380475719.db2.gz NXKJKBQPCORSRW-UHFFFAOYSA-N 0 0 280.349 2.981 20 5 CFBDRN Cc1nscc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000179494712 380548543 /nfs/dbraw/zinc/54/85/43/380548543.db2.gz DLNFJRKHTIXTHE-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000336619423 380560693 /nfs/dbraw/zinc/56/06/93/380560693.db2.gz DUVFJDJSWZNSAY-YUMQZZPRSA-N 0 0 272.301 2.585 20 5 CFBDRN O=C(N[C@H]1CCCOCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000179965393 380604097 /nfs/dbraw/zinc/60/40/97/380604097.db2.gz ZJGRCUCRIJNCBN-NSHDSACASA-N 0 0 298.726 2.547 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCCCCF ZINC000336814648 380676337 /nfs/dbraw/zinc/67/63/37/380676337.db2.gz GCQRKIAQBBFWPC-UHFFFAOYSA-N 0 0 263.272 2.727 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CCC[C@H](C)C2)c1=O ZINC000180839282 380704746 /nfs/dbraw/zinc/70/47/46/380704746.db2.gz KPXUXTGDKVQEBD-CMPLNLGQSA-N 0 0 264.325 2.891 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000181252128 380764710 /nfs/dbraw/zinc/76/47/10/380764710.db2.gz HEONETRJZBPZEI-MNOVXSKESA-N 0 0 291.351 2.685 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)NCc1ccccc1[N+](=O)[O-] ZINC000180970019 380718355 /nfs/dbraw/zinc/71/83/55/380718355.db2.gz CRAMOBRQRKEYMS-CYBMUJFWSA-N 0 0 294.351 2.662 20 5 CFBDRN NC(=O)c1ccc(N[C@@H]2CC23CCCCC3)c([N+](=O)[O-])c1 ZINC000181266892 380767450 /nfs/dbraw/zinc/76/74/50/380767450.db2.gz UJNOTXVGKOOGJE-CYBMUJFWSA-N 0 0 289.335 2.828 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCSC(F)(F)F ZINC000180980943 380721039 /nfs/dbraw/zinc/72/10/39/380721039.db2.gz KEFHDXIIZFZOLT-UHFFFAOYSA-N 0 0 281.259 2.963 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000279162476 194311578 /nfs/dbraw/zinc/31/15/78/194311578.db2.gz PYRTUBVXJWXSET-JTQLQIEISA-N 0 0 295.364 2.778 20 5 CFBDRN CO[C@@H](C)CSCCOc1ccccc1[N+](=O)[O-] ZINC000181128782 380745416 /nfs/dbraw/zinc/74/54/16/380745416.db2.gz QNZJUWLJOSVDFG-JTQLQIEISA-N 0 0 271.338 2.742 20 5 CFBDRN CC(C)OCCCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000181167132 380751804 /nfs/dbraw/zinc/75/18/04/380751804.db2.gz JPNQTIDDKCKWAC-UHFFFAOYSA-N 0 0 295.339 2.922 20 5 CFBDRN CCc1nnc(NCc2ccc(OC)c([N+](=O)[O-])c2)s1 ZINC000181473333 380801554 /nfs/dbraw/zinc/80/15/54/380801554.db2.gz ICJUDQUCKGWBRP-UHFFFAOYSA-N 0 0 294.336 2.629 20 5 CFBDRN COC1(CNC(=O)c2ccc([N+](=O)[O-])cc2C)CCCC1 ZINC000295351409 380804669 /nfs/dbraw/zinc/80/46/69/380804669.db2.gz NGKBAEXHYMTSLW-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CS[C@@H](C)CC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295360607 380809353 /nfs/dbraw/zinc/80/93/53/380809353.db2.gz NXOZCCQIAKLLOA-UWVGGRQHSA-N 0 0 282.365 2.914 20 5 CFBDRN Cc1ccc(CNc2nnc(C3CC3)o2)cc1[N+](=O)[O-] ZINC000181509814 380811627 /nfs/dbraw/zinc/81/16/27/380811627.db2.gz ZSICRPFWXMYZHY-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CN(C[C@@H]1CCCOC1)c1c(F)cccc1[N+](=O)[O-] ZINC000287364915 380814942 /nfs/dbraw/zinc/81/49/42/380814942.db2.gz NWKQHADXXPWXBF-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN COc1ccncc1COc1cccc([N+](=O)[O-])c1 ZINC000341174632 380816060 /nfs/dbraw/zinc/81/60/60/380816060.db2.gz LIVLQFQAHREWCJ-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CCCC[C@H]1O ZINC000287374662 380817856 /nfs/dbraw/zinc/81/78/56/380817856.db2.gz QTSGKKYNANKHOU-XHDPSFHLSA-N 0 0 292.335 2.760 20 5 CFBDRN C[C@H](c1cccc(O)c1)N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295457500 380865687 /nfs/dbraw/zinc/86/56/87/380865687.db2.gz OBGWOWAPQRIKKI-LLVKDONJSA-N 0 0 294.351 2.747 20 5 CFBDRN Cc1nc(NCCCCOC(C)C)ccc1[N+](=O)[O-] ZINC000181568605 380831674 /nfs/dbraw/zinc/83/16/74/380831674.db2.gz WIYMLTXYRDMHDQ-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN Cc1cccc(C(=O)NCC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000181580688 380834328 /nfs/dbraw/zinc/83/43/28/380834328.db2.gz LENHKNQDOYDJKD-GFCCVEGCSA-N 0 0 292.335 2.592 20 5 CFBDRN CCCCc1nnc(NCc2ccc([N+](=O)[O-])cc2)o1 ZINC000181669299 380861357 /nfs/dbraw/zinc/86/13/57/380861357.db2.gz SVBQYPSTUFFLQR-UHFFFAOYSA-N 0 0 276.296 2.933 20 5 CFBDRN CC[C@H](C)OCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295450096 380861530 /nfs/dbraw/zinc/86/15/30/380861530.db2.gz WNTYKHHZVXBMDF-QWRGUYRKSA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2F)C(C)(C)C1 ZINC000408462786 380928551 /nfs/dbraw/zinc/92/85/51/380928551.db2.gz ANQUITLYIIOCAW-MRVPVSSYSA-N 0 0 253.277 2.754 20 5 CFBDRN CCC[C@]1(NC(=O)c2ccc([N+](=O)[O-])cc2C)CCOC1 ZINC000287646628 380897584 /nfs/dbraw/zinc/89/75/84/380897584.db2.gz AUQRLJZRXHTYRI-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN CC(C)ONC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000295513478 380905784 /nfs/dbraw/zinc/90/57/84/380905784.db2.gz HTRVLBCIZFLZIN-UHFFFAOYSA-N 0 0 292.213 2.683 20 5 CFBDRN Cc1nn(CCCOc2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000181822809 380906224 /nfs/dbraw/zinc/90/62/24/380906224.db2.gz IJQSYCNIOSKMDD-UHFFFAOYSA-N 0 0 279.271 2.708 20 5 CFBDRN Cc1cc(C(=O)NCc2ccccc2[N+](=O)[O-])ccc1O ZINC000353868303 380916699 /nfs/dbraw/zinc/91/66/99/380916699.db2.gz GQWMQLJAKKXCQP-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN COc1cncc(COc2cccc(C)c2[N+](=O)[O-])c1 ZINC000341346440 380953629 /nfs/dbraw/zinc/95/36/29/380953629.db2.gz UYNDOXIVZXREIV-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1Cl)C1COC1 ZINC000295585066 380958506 /nfs/dbraw/zinc/95/85/06/380958506.db2.gz BQPTZFXUFVIYIE-UHFFFAOYSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@@H]1CCC[C@@H]1Nc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000287913604 380972310 /nfs/dbraw/zinc/97/23/10/380972310.db2.gz OOIFDWCGKQAEMG-KCJUWKMLSA-N 0 0 288.307 2.844 20 5 CFBDRN Cc1ccc([C@H](C)NCc2cocn2)cc1[N+](=O)[O-] ZINC000182061977 380977348 /nfs/dbraw/zinc/97/73/48/380977348.db2.gz DKZVHLCTKVFVSF-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1c(CNC(=O)NCC(C)(C)C)cccc1[N+](=O)[O-] ZINC000358580920 380944318 /nfs/dbraw/zinc/94/43/18/380944318.db2.gz NMVPFDWMOLBTAO-UHFFFAOYSA-N 0 0 279.340 2.749 20 5 CFBDRN C[C@H](Nc1cccc(C(N)=O)c1[N+](=O)[O-])c1cccs1 ZINC000341339455 380947191 /nfs/dbraw/zinc/94/71/91/380947191.db2.gz COAFBEACDTZBCU-QMMMGPOBSA-N 0 0 291.332 2.928 20 5 CFBDRN COc1cc(OCc2nnc(C(C)C)o2)ccc1[N+](=O)[O-] ZINC000341465400 381028764 /nfs/dbraw/zinc/02/87/64/381028764.db2.gz XYCWXZIAWLWTGX-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000295711980 381029904 /nfs/dbraw/zinc/02/99/04/381029904.db2.gz WMCFPQIJTCZATQ-SECBINFHSA-N 0 0 294.326 2.926 20 5 CFBDRN Cc1cc(C)cc(CN(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000347189543 380991180 /nfs/dbraw/zinc/99/11/80/380991180.db2.gz NKMDSPMEVHFRMM-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000295659455 381000832 /nfs/dbraw/zinc/00/08/32/381000832.db2.gz VUTDEFRTGUAEJB-AWEZNQCLSA-N 0 0 296.298 2.568 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182154425 381003883 /nfs/dbraw/zinc/00/38/83/381003883.db2.gz JBFCCHRUALIZNP-DTWKUNHWSA-N 0 0 268.288 2.752 20 5 CFBDRN COCCC[C@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000182148255 381004071 /nfs/dbraw/zinc/00/40/71/381004071.db2.gz USWGDSSGHSFLCG-JTQLQIEISA-N 0 0 267.281 2.563 20 5 CFBDRN CCc1nn(C)cc1Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000358675629 381007590 /nfs/dbraw/zinc/00/75/90/381007590.db2.gz IWGPLXIMWDPNMF-UHFFFAOYSA-N 0 0 275.312 2.646 20 5 CFBDRN CSCCN(C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347289466 381050767 /nfs/dbraw/zinc/05/07/67/381050767.db2.gz RDHOJIWYPWDVBN-UHFFFAOYSA-N 0 0 287.316 2.561 20 5 CFBDRN C[C@H]1C[C@@H]1N(CCn1cc([N+](=O)[O-])cn1)c1ccccc1 ZINC000411918907 381055736 /nfs/dbraw/zinc/05/57/36/381055736.db2.gz DJUQSELENJAFEA-WFASDCNBSA-N 0 0 286.335 2.706 20 5 CFBDRN O=C1CCN1c1ccc(NCc2cccc([N+](=O)[O-])c2)cc1 ZINC000341511929 381057539 /nfs/dbraw/zinc/05/75/39/381057539.db2.gz MRZYGIVYDMKRMX-UHFFFAOYSA-N 0 0 297.314 2.944 20 5 CFBDRN CCCCN(CCCO)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000182331751 381065471 /nfs/dbraw/zinc/06/54/71/381065471.db2.gz JNNAIYXYKINMQM-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN Cc1cc(C(=O)NCCCCOC(C)C)ccc1[N+](=O)[O-] ZINC000182339996 381067237 /nfs/dbraw/zinc/06/72/37/381067237.db2.gz HCCUVZMSUOZACO-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN CCOCCCCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347321332 381068271 /nfs/dbraw/zinc/06/82/71/381068271.db2.gz XVHZCZKQQNBZRF-UHFFFAOYSA-N 0 0 299.302 2.672 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000288645904 197135965 /nfs/dbraw/zinc/13/59/65/197135965.db2.gz QWHLBFSBUCMUMJ-YFKPBYRVSA-N 0 0 282.243 2.645 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@@H](C3CCC3)C2)cc1 ZINC000411867692 381039656 /nfs/dbraw/zinc/03/96/56/381039656.db2.gz XKNUQHBJAGZMBV-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)COC(C)(C)C ZINC000182461579 381093323 /nfs/dbraw/zinc/09/33/23/381093323.db2.gz KZKNQEPQDUHOOY-SNVBAGLBSA-N 0 0 292.335 2.688 20 5 CFBDRN Cc1cc(N[C@H]2COc3ccc(F)cc32)ncc1[N+](=O)[O-] ZINC000341580063 381100219 /nfs/dbraw/zinc/10/02/19/381100219.db2.gz FFTPIDBQACRSJP-NSHDSACASA-N 0 0 289.266 2.983 20 5 CFBDRN O=C(NCC1=CCCCC1)c1ccccc1[N+](=O)[O-] ZINC000295835442 381106026 /nfs/dbraw/zinc/10/60/26/381106026.db2.gz QXHVCDLZLSWBOB-UHFFFAOYSA-N 0 0 260.293 2.825 20 5 CFBDRN CCn1nccc1CCSc1ncccc1[N+](=O)[O-] ZINC000289698010 381108669 /nfs/dbraw/zinc/10/86/69/381108669.db2.gz QUIWASBHLJWDNP-UHFFFAOYSA-N 0 0 278.337 2.541 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC2(C)C)c([N+](=O)[O-])cc1F ZINC000295848405 381115031 /nfs/dbraw/zinc/11/50/31/381115031.db2.gz WYIUMYVCYWCNHO-GFCCVEGCSA-N 0 0 296.298 2.661 20 5 CFBDRN CCC[C@@H](OC)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000295790126 381078954 /nfs/dbraw/zinc/07/89/54/381078954.db2.gz BLYQMLJXDUEMGU-LLVKDONJSA-N 0 0 292.295 2.715 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1Cc2ccccc21 ZINC000358882268 381137859 /nfs/dbraw/zinc/13/78/59/381137859.db2.gz BYTQSIZIWXQIKP-OAHLLOKOSA-N 0 0 296.326 2.593 20 5 CFBDRN CCCCS(=O)(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000295896418 381142041 /nfs/dbraw/zinc/14/20/41/381142041.db2.gz LDBWTNGNWLGBRA-UHFFFAOYSA-N 0 0 293.291 2.588 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])OC ZINC000295907687 381147686 /nfs/dbraw/zinc/14/76/86/381147686.db2.gz ZOQRSAPECZRJJR-RISCZKNCSA-N 0 0 294.351 2.694 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000358944637 381167998 /nfs/dbraw/zinc/16/79/98/381167998.db2.gz FYDCVASBCDVWNH-ZCFIWIBFSA-N 0 0 294.204 2.662 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])N[C@H]1C=CCCC1 ZINC000341654922 381153047 /nfs/dbraw/zinc/15/30/47/381153047.db2.gz RRODGTDAGQVEJK-ZDUSSCGKSA-N 0 0 289.335 2.545 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000341613893 381124459 /nfs/dbraw/zinc/12/44/59/381124459.db2.gz NOKGMWGMLJZEST-VXGBXAGGSA-N 0 0 290.319 2.978 20 5 CFBDRN CC(C)(C)CCNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000347401141 381125692 /nfs/dbraw/zinc/12/56/92/381125692.db2.gz PVZRQTWIAVVRLO-UHFFFAOYSA-N 0 0 274.324 2.808 20 5 CFBDRN CCC1(CNc2ncnc3cccc([N+](=O)[O-])c32)CC1 ZINC000347406011 381129059 /nfs/dbraw/zinc/12/90/59/381129059.db2.gz JPGIJIZVPGANDO-UHFFFAOYSA-N 0 0 272.308 2.562 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCC3(C4CC4)CC3)c21 ZINC000347410006 381131693 /nfs/dbraw/zinc/13/16/93/381131693.db2.gz FABKTWVMKBZYTL-UHFFFAOYSA-N 0 0 284.319 2.562 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1nccc(C(F)F)n1 ZINC000358940058 381166931 /nfs/dbraw/zinc/16/69/31/381166931.db2.gz VGWYLMUOSNCODZ-UHFFFAOYSA-N 0 0 280.234 2.935 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412121472 381170427 /nfs/dbraw/zinc/17/04/27/381170427.db2.gz ITGFYJSLOCZPIH-IDTSFGKNSA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1ccc(C(=O)N2CCC(CF)CC2)cc1[N+](=O)[O-] ZINC000296025483 381217356 /nfs/dbraw/zinc/21/73/56/381217356.db2.gz VHFOIOLXMAATSK-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN COc1ccc(OCCC(C)(C)OC)cc1[N+](=O)[O-] ZINC000358966866 381182174 /nfs/dbraw/zinc/18/21/74/381182174.db2.gz RQKGQZKWSRAMEA-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1csnc1C ZINC000182969136 381190370 /nfs/dbraw/zinc/19/03/70/381190370.db2.gz RMVCQAFKXOCKMW-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN C[C@H](CNc1c(F)cccc1[N+](=O)[O-])Cn1cccn1 ZINC000341724928 381193012 /nfs/dbraw/zinc/19/30/12/381193012.db2.gz XRKHTVWEABRZRR-SNVBAGLBSA-N 0 0 278.287 2.679 20 5 CFBDRN Cc1cccc(O)c1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000183034336 381199648 /nfs/dbraw/zinc/19/96/48/381199648.db2.gz VJSMMSXNPWNFET-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358994710 381202011 /nfs/dbraw/zinc/20/20/11/381202011.db2.gz RDPUTGNSDHBGFE-IINYFYTJSA-N 0 0 294.326 2.923 20 5 CFBDRN CC(C)(CF)NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000354414381 381251441 /nfs/dbraw/zinc/25/14/41/381251441.db2.gz DAPKUVZGYRKZHU-UHFFFAOYSA-N 0 0 297.330 2.651 20 5 CFBDRN CC(C)[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)(C)O ZINC000359086959 381256726 /nfs/dbraw/zinc/25/67/26/381256726.db2.gz VVKYCTGDFMNYPH-GFCCVEGCSA-N 0 0 295.339 2.512 20 5 CFBDRN CC[C@H](C)CCc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000290010773 381259396 /nfs/dbraw/zinc/25/93/96/381259396.db2.gz GNYMGLQGBVAVEM-JTQLQIEISA-N 0 0 290.323 2.942 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCC[C@@H](O)C2)n1 ZINC000359091215 381260101 /nfs/dbraw/zinc/26/01/01/381260101.db2.gz GSDDSQJAEUOIEP-NWDGAFQWSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1cscc1CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000347624916 381261396 /nfs/dbraw/zinc/26/13/96/381261396.db2.gz PPKYCTJEJASXCS-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN CC(C)(CF)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000354434752 381262384 /nfs/dbraw/zinc/26/23/84/381262384.db2.gz JJCWESRIFVWCCW-UHFFFAOYSA-N 0 0 279.271 2.554 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@H]2CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000412350544 381265936 /nfs/dbraw/zinc/26/59/36/381265936.db2.gz YDUKDFSVIWQVOQ-JQWIXIFHSA-N 0 0 292.335 2.910 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2(C)CCOCC2)n1 ZINC000359103636 381267921 /nfs/dbraw/zinc/26/79/21/381267921.db2.gz UGLKQDDQTLBOGW-UHFFFAOYSA-N 0 0 279.340 2.835 20 5 CFBDRN CCn1cc([C@@H](C)Nc2ncc(C)cc2[N+](=O)[O-])cn1 ZINC000296031247 381221549 /nfs/dbraw/zinc/22/15/49/381221549.db2.gz PDXQFPQAOFMFDA-SNVBAGLBSA-N 0 0 275.312 2.688 20 5 CFBDRN C[C@@H](NC(=O)C(C)(Cl)Cl)c1cccc([N+](=O)[O-])c1 ZINC000183179682 381227696 /nfs/dbraw/zinc/22/76/96/381227696.db2.gz CXHNVPGPMNPIJW-SSDOTTSWSA-N 0 0 291.134 2.966 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccc[nH]2)cc([N+](=O)[O-])c1 ZINC000354367759 381228037 /nfs/dbraw/zinc/22/80/37/381228037.db2.gz SISZJDJJXJVPBC-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000289953327 381231107 /nfs/dbraw/zinc/23/11/07/381231107.db2.gz BTTFEOVBOBBSIM-ZETCQYMHSA-N 0 0 276.214 2.584 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000289953325 381231544 /nfs/dbraw/zinc/23/15/44/381231544.db2.gz BTTFEOVBOBBSIM-SSDOTTSWSA-N 0 0 276.214 2.584 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2nc3ccccc3[nH]2)cn1 ZINC000347600371 381246333 /nfs/dbraw/zinc/24/63/33/381246333.db2.gz MIPCUAICXABZCT-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN CS[C@@H]1CCN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000296084757 381248594 /nfs/dbraw/zinc/24/85/94/381248594.db2.gz DTVWUEQVLFAGPM-LLVKDONJSA-N 0 0 295.364 2.872 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288754484 197159743 /nfs/dbraw/zinc/15/97/43/197159743.db2.gz IJYQTDOHFFIBAL-BXUZGUMPSA-N 0 0 277.324 2.635 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@H]1CC=CCC1 ZINC000342381017 381306516 /nfs/dbraw/zinc/30/65/16/381306516.db2.gz UDEAXNCXWZGJJY-NSHDSACASA-N 0 0 292.360 2.912 20 5 CFBDRN O=C(NCC1=CCCCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000296195228 381296407 /nfs/dbraw/zinc/29/64/07/381296407.db2.gz VBEVEONVQYARIH-UHFFFAOYSA-N 0 0 278.283 2.964 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC000359229995 381298941 /nfs/dbraw/zinc/29/89/41/381298941.db2.gz YRWFYQPXRWVRTR-CYBMUJFWSA-N 0 0 289.335 2.811 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000296205118 381300412 /nfs/dbraw/zinc/30/04/12/381300412.db2.gz FQGZFTJNFDTZDO-CYBMUJFWSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2nccs2)n1 ZINC000359113280 381272504 /nfs/dbraw/zinc/27/25/04/381272504.db2.gz ZZLGZBYOEOIZMI-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CC(C)Oc1cc(C(=O)NCC[C@H](C)F)ccc1[N+](=O)[O-] ZINC000412370814 381275427 /nfs/dbraw/zinc/27/54/27/381275427.db2.gz YNTXVMAORTULBM-JTQLQIEISA-N 0 0 298.314 2.860 20 5 CFBDRN CCC1(Nc2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000296260101 381323746 /nfs/dbraw/zinc/32/37/46/381323746.db2.gz JLFKPYFXVVLJBH-UHFFFAOYSA-N 0 0 252.299 2.659 20 5 CFBDRN Cn1cccc1CNCc1ccc([N+](=O)[O-])cc1Cl ZINC000183827276 381326810 /nfs/dbraw/zinc/32/68/10/381326810.db2.gz HPZYQBBXBWKNMB-UHFFFAOYSA-N 0 0 279.727 2.877 20 5 CFBDRN O=C(N[C@H](C1CC1)C(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000290170783 381327978 /nfs/dbraw/zinc/32/79/78/381327978.db2.gz RKYCKASWUNTQQF-SNVBAGLBSA-N 0 0 288.225 2.666 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1C[C@@H]1C(F)F ZINC000342439619 381331565 /nfs/dbraw/zinc/33/15/65/381331565.db2.gz IDHIRKWOMPOHCT-RCOVLWMOSA-N 0 0 289.213 2.509 20 5 CFBDRN Cc1nc(N(Cc2ccccc2)C2CC2)ncc1[N+](=O)[O-] ZINC000296288368 381334160 /nfs/dbraw/zinc/33/41/60/381334160.db2.gz HQXIBTGGLQAIBX-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CC/C(C)=C/c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000296302550 381339333 /nfs/dbraw/zinc/33/93/33/381339333.db2.gz DRIGSSYZQHICCB-CMDGGOBGSA-N 0 0 253.302 2.871 20 5 CFBDRN CC1(C)OCC[C@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000296225471 381308468 /nfs/dbraw/zinc/30/84/68/381308468.db2.gz HCGMPXCFHLJGBU-SECBINFHSA-N 0 0 271.704 2.623 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccc(Cl)cc1F ZINC000347716273 381310678 /nfs/dbraw/zinc/31/06/78/381310678.db2.gz AAUYNWJBKBFVPW-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CC[C@H](C)Cc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000290125886 381310924 /nfs/dbraw/zinc/31/09/24/381310924.db2.gz PKZDTRUPHBUGDA-VIFPVBQESA-N 0 0 276.296 2.552 20 5 CFBDRN COC[C@@H](C)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354511830 381310945 /nfs/dbraw/zinc/31/09/45/381310945.db2.gz TTWLAQNAHNUYDD-QMMMGPOBSA-N 0 0 290.266 2.891 20 5 CFBDRN O=[N+]([O-])c1cnc2c(c1)CN(Cc1ccccc1F)CC2 ZINC000347791183 381352637 /nfs/dbraw/zinc/35/26/37/381352637.db2.gz GKIMWPNPISGRIL-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN COc1nc(C)cc(SCc2ccc([N+](=O)[O-])cc2)n1 ZINC000354596025 381360138 /nfs/dbraw/zinc/36/01/38/381360138.db2.gz FWWNKZOOKZQDDB-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2cccc(-n3ccnn3)c2)n1 ZINC000184045756 381360262 /nfs/dbraw/zinc/36/02/62/381360262.db2.gz VQTQAWHWCHNSMU-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CCOC2(C)C)cc1[N+](=O)[O-] ZINC000296364329 381363215 /nfs/dbraw/zinc/36/32/15/381363215.db2.gz QWHIRUNNOXDAOV-LBPRGKRZSA-N 0 0 293.323 2.592 20 5 CFBDRN CO[C@](C)([C@H](C)NC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000412616957 381368980 /nfs/dbraw/zinc/36/89/80/381368980.db2.gz SJCNORTUMWEPAV-ISVAXAHUSA-N 0 0 298.364 2.590 20 5 CFBDRN Cc1c(OC(=O)c2cnc(C3CC3)nc2)cccc1[N+](=O)[O-] ZINC000296484601 381417498 /nfs/dbraw/zinc/41/74/98/381417498.db2.gz IMDJWSYGZKMODB-UHFFFAOYSA-N 0 0 299.286 2.790 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCOCc2ccccc21 ZINC000347855784 381380309 /nfs/dbraw/zinc/38/03/09/381380309.db2.gz UFKFPTWVXDESFS-UHFFFAOYSA-N 0 0 298.298 2.772 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)CC(F)(F)F ZINC000412651633 381383812 /nfs/dbraw/zinc/38/38/12/381383812.db2.gz ICEXSVBRDQAEDZ-QMMMGPOBSA-N 0 0 290.241 2.913 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1CC[C@@H](CO)C1 ZINC000296419649 381386346 /nfs/dbraw/zinc/38/63/46/381386346.db2.gz XJEVWYRSBQDHME-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN COc1cc(C[N@H+](C)CC2CCC2)c([N+](=O)[O-])cc1F ZINC000184213545 381386554 /nfs/dbraw/zinc/38/65/54/381386554.db2.gz UXEYIBFXWOOMMP-UHFFFAOYSA-N 0 0 282.315 2.974 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)c1nccs1 ZINC000184279980 381395286 /nfs/dbraw/zinc/39/52/86/381395286.db2.gz VNGDXSNLOBSLOW-VIFPVBQESA-N 0 0 291.332 2.585 20 5 CFBDRN O=C(COc1cc(F)cc([N+](=O)[O-])c1)Cc1ccccc1 ZINC000342564150 381399537 /nfs/dbraw/zinc/39/95/37/381399537.db2.gz JLIBFTJJGVPPAQ-UHFFFAOYSA-N 0 0 289.262 2.925 20 5 CFBDRN Cc1cncc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000347939305 381424044 /nfs/dbraw/zinc/42/40/44/381424044.db2.gz ACKVXGXNUKYBTE-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)ONC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000296517648 381429839 /nfs/dbraw/zinc/42/98/39/381429839.db2.gz OXZLOKHZRYFHMZ-UHFFFAOYSA-N 0 0 295.339 2.557 20 5 CFBDRN CSCCCC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347951969 381430525 /nfs/dbraw/zinc/43/05/25/381430525.db2.gz ISJMFCHQGLAERV-UHFFFAOYSA-N 0 0 272.301 2.816 20 5 CFBDRN Cc1cc(C)cc(OCCCn2nccc2[N+](=O)[O-])c1 ZINC000347957365 381433800 /nfs/dbraw/zinc/43/38/00/381433800.db2.gz DSIPKFRXUJLENM-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN CC(C)(CCC(=O)N1CC=C(C(F)(F)F)CC1)[N+](=O)[O-] ZINC000296537865 381438835 /nfs/dbraw/zinc/43/88/35/381438835.db2.gz NQVIJWXGXAVSFN-UHFFFAOYSA-N 0 0 294.273 2.543 20 5 CFBDRN O=C(CC1CCC(=O)CC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347975830 381441151 /nfs/dbraw/zinc/44/11/51/381441151.db2.gz HZQXWWWLPOYTHE-UHFFFAOYSA-N 0 0 294.282 2.822 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000347979145 381441541 /nfs/dbraw/zinc/44/15/41/381441541.db2.gz ZYEVCCXJDCALCM-NSHDSACASA-N 0 0 282.271 2.630 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@H]1c1ncc[nH]1 ZINC000359511790 381444388 /nfs/dbraw/zinc/44/43/88/381444388.db2.gz ADVADOGANFADGW-ZDUSSCGKSA-N 0 0 290.298 2.794 20 5 CFBDRN CC[C@@H]1OCCC[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347993297 381447798 /nfs/dbraw/zinc/44/77/98/381447798.db2.gz WKJJDKULHQHZFY-OLZOCXBDSA-N 0 0 296.298 2.878 20 5 CFBDRN COc1cc(COc2ccc(F)cc2[N+](=O)[O-])ccn1 ZINC000342685855 381478175 /nfs/dbraw/zinc/47/81/75/381478175.db2.gz DWZNIQAHPXOTPN-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2CCC[C@H]2C(C)(C)C)ccc1[N+](=O)[O-] ZINC000412802695 381452534 /nfs/dbraw/zinc/45/25/34/381452534.db2.gz CZTXLBZYYBZOEI-GHMZBOCLSA-N 0 0 293.367 2.878 20 5 CFBDRN CCC[C@@H](C)CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288968020 197202876 /nfs/dbraw/zinc/20/28/76/197202876.db2.gz YOKZISKPTHYEKW-SNVBAGLBSA-N 0 0 265.313 2.779 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2CCCCS2)c1[N+](=O)[O-] ZINC000342661203 381463204 /nfs/dbraw/zinc/46/32/04/381463204.db2.gz NTSYAESNZQJGGV-NSHDSACASA-N 0 0 294.376 2.919 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1C[C@H]2CC[C@@H](C1)C2=O ZINC000370749724 381505735 /nfs/dbraw/zinc/50/57/35/381505735.db2.gz WOMARPZKCMZHRV-ZACCUICWSA-N 0 0 288.303 2.539 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000354853195 381487023 /nfs/dbraw/zinc/48/70/23/381487023.db2.gz QZIBWVFTIIBMMG-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1ccc(CNc2nc3ccccc3[nH]2)cc1[N+](=O)[O-] ZINC000342706383 381490946 /nfs/dbraw/zinc/49/09/46/381490946.db2.gz CVCRZEHPQYKDIO-UHFFFAOYSA-N 0 0 298.302 2.514 20 5 CFBDRN CC1(C)C(NC(=O)COc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000359628292 381519709 /nfs/dbraw/zinc/51/97/09/381519709.db2.gz DSZNIECWSDSAPD-UHFFFAOYSA-N 0 0 292.335 2.524 20 5 CFBDRN CC[C@H](CNc1ccc([N+](=O)[O-])c2cnccc12)OC ZINC000290590282 381492228 /nfs/dbraw/zinc/49/22/28/381492228.db2.gz CYJRHLBHFGROLL-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CCC[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1C)OC ZINC000296720208 381519778 /nfs/dbraw/zinc/51/97/78/381519778.db2.gz BVXPOPTYVVRPOB-LLVKDONJSA-N 0 0 295.339 2.840 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC(n3cccn3)C2)ccc1Cl ZINC000370701189 381493867 /nfs/dbraw/zinc/49/38/67/381493867.db2.gz JQTLCMTUJNHEOS-UHFFFAOYSA-N 0 0 292.726 2.502 20 5 CFBDRN Cc1nc(CCNc2c(F)cccc2[N+](=O)[O-])c(C)o1 ZINC000342721967 381500477 /nfs/dbraw/zinc/50/04/77/381500477.db2.gz HRWXKVZXZTWHSO-UHFFFAOYSA-N 0 0 279.271 2.993 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3ccc([N+](=O)[O-])cc3F)C2)c1 ZINC000290620540 381501132 /nfs/dbraw/zinc/50/11/32/381501132.db2.gz DJDIOEXXDPMQHI-GFCCVEGCSA-N 0 0 290.298 2.690 20 5 CFBDRN CC(C)CC1(C)CCN(C(=O)c2n[nH]cc2[N+](=O)[O-])CC1 ZINC000290625087 381502858 /nfs/dbraw/zinc/50/28/58/381502858.db2.gz LIBSOFSJRIKBBQ-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H](CO)C1CCCC1 ZINC000290788566 381552208 /nfs/dbraw/zinc/55/22/08/381552208.db2.gz NVVCBHJYLAXREM-JTQLQIEISA-N 0 0 285.731 2.606 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N(CC1CC1)CC1CC1 ZINC000359659462 381539883 /nfs/dbraw/zinc/53/98/83/381539883.db2.gz VCQNULZTDAHCMP-UHFFFAOYSA-N 0 0 274.320 2.857 20 5 CFBDRN CO[C@](C)([C@H](C)Nc1ncc(C)cc1[N+](=O)[O-])C1CC1 ZINC000413510542 381545864 /nfs/dbraw/zinc/54/58/64/381545864.db2.gz FMPXVBAOSBMAKD-IINYFYTJSA-N 0 0 279.340 2.914 20 5 CFBDRN COC(=O)[C@]1(C)CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000413587779 381548531 /nfs/dbraw/zinc/54/85/31/381548531.db2.gz HWNHSINZKRXIKL-CQSZACIVSA-N 0 0 296.298 2.513 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000342800861 381550747 /nfs/dbraw/zinc/55/07/47/381550747.db2.gz ZWBKEKVVQFHFBI-AQUOVQTQSA-N 0 0 279.340 2.770 20 5 CFBDRN Cc1ccnc(Nc2ccc3c(c2)ncn3C)c1[N+](=O)[O-] ZINC000348349414 381522505 /nfs/dbraw/zinc/52/25/05/381522505.db2.gz MNTCZONJZWBAKC-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCO[C@@H]2CCCCO2)cc1 ZINC000185064967 381525460 /nfs/dbraw/zinc/52/54/60/381525460.db2.gz GXPHCGMLLLDSED-CQSZACIVSA-N 0 0 281.308 2.907 20 5 CFBDRN Cc1cc(COC(=O)CSC2CC2)ccc1[N+](=O)[O-] ZINC000296738157 381526580 /nfs/dbraw/zinc/52/65/80/381526580.db2.gz DCKUWVPTJFADSS-UHFFFAOYSA-N 0 0 281.333 2.842 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2nc3ccccc3[nH]2)c1 ZINC000342777882 381532983 /nfs/dbraw/zinc/53/29/83/381532983.db2.gz MWLKIMORJXTBPC-UHFFFAOYSA-N 0 0 282.303 2.548 20 5 CFBDRN C[C@H]1CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C[C@H](C)C1 ZINC000342779600 381534424 /nfs/dbraw/zinc/53/44/24/381534424.db2.gz KWIWBZFPBKFSNU-WDEREUQCSA-N 0 0 291.351 2.566 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N(CC1CC1)CC1CC1 ZINC000359654969 381536289 /nfs/dbraw/zinc/53/62/89/381536289.db2.gz BMLIFKUNGOEMHT-UHFFFAOYSA-N 0 0 274.320 2.857 20 5 CFBDRN COc1cccc(N2C[C@H](C)OC(C)(C)C2)c1[N+](=O)[O-] ZINC000185248208 381576994 /nfs/dbraw/zinc/57/69/94/381576994.db2.gz CGXYIDZOHWOFJW-JTQLQIEISA-N 0 0 280.324 2.607 20 5 CFBDRN CC1(C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)[C@@H]1C1CC1 ZINC000290868828 381580449 /nfs/dbraw/zinc/58/04/49/381580449.db2.gz AVPFIIYAFWJYQJ-OAHLLOKOSA-N 0 0 288.347 2.784 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@H](CC2CC2)C1 ZINC000359725836 381581249 /nfs/dbraw/zinc/58/12/49/381581249.db2.gz XMHWVDTZQPQQQN-GFCCVEGCSA-N 0 0 274.320 2.857 20 5 CFBDRN COc1cccc(NC[C@@H](C)Cn2cccn2)c1[N+](=O)[O-] ZINC000185185948 381556877 /nfs/dbraw/zinc/55/68/77/381556877.db2.gz RTUUWBQIYZJYGG-LLVKDONJSA-N 0 0 290.323 2.548 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cncc(F)c1 ZINC000185195552 381560660 /nfs/dbraw/zinc/56/06/60/381560660.db2.gz CNDGJFABIUSNDV-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN C[C@H](CCO)C1(CNc2ccc([N+](=O)[O-])nc2)CCC1 ZINC000342817160 381564573 /nfs/dbraw/zinc/56/45/73/381564573.db2.gz MUZNYWYFNYGJRX-LLVKDONJSA-N 0 0 279.340 2.591 20 5 CFBDRN O=C(NCc1ccco1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342877922 381598393 /nfs/dbraw/zinc/59/83/93/381598393.db2.gz XXBFLDULDYUPTE-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN CCCCN(C(=O)COc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000298384534 381602313 /nfs/dbraw/zinc/60/23/13/381602313.db2.gz MKTJAQNCCWLJAP-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)c([N+](=O)[O-])cc2F)CCO1 ZINC000290937946 381605299 /nfs/dbraw/zinc/60/52/99/381605299.db2.gz KEBJDDSALOEYHU-SNVBAGLBSA-N 0 0 268.288 2.658 20 5 CFBDRN CCCN(CC(C)C)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000298357770 381590334 /nfs/dbraw/zinc/59/03/34/381590334.db2.gz UKHJLJANZSDVNA-UHFFFAOYSA-N 0 0 279.340 2.685 20 5 CFBDRN Cc1noc(CCCOc2ccc(C)cc2[N+](=O)[O-])n1 ZINC000359742575 381590804 /nfs/dbraw/zinc/59/08/04/381590804.db2.gz LARPSSJIQIUALW-UHFFFAOYSA-N 0 0 277.280 2.606 20 5 CFBDRN CC[C@@H](C)[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000348487832 381591771 /nfs/dbraw/zinc/59/17/71/381591771.db2.gz CORJLMQMZWESIT-MFKMUULPSA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1cc(N[C@@H](C)C(=O)NC(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000290920434 381597582 /nfs/dbraw/zinc/59/75/82/381597582.db2.gz HMEFZHPADOHDIN-VIFPVBQESA-N 0 0 297.330 2.757 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000185356302 381609253 /nfs/dbraw/zinc/60/92/53/381609253.db2.gz RYQIWKVKBBBPBX-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN COc1ccc(C(=O)N(C)[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000298410489 381613780 /nfs/dbraw/zinc/61/37/80/381613780.db2.gz QKOILAMEPGAPJG-JTQLQIEISA-N 0 0 280.324 2.720 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000298434330 381624341 /nfs/dbraw/zinc/62/43/41/381624341.db2.gz YVSOSSDXQGWJGZ-LLVKDONJSA-N 0 0 262.309 2.857 20 5 CFBDRN CN(CC(=O)OC(C)(C)C)c1c(F)cccc1[N+](=O)[O-] ZINC000342935181 381625529 /nfs/dbraw/zinc/62/55/29/381625529.db2.gz AYSWMAQIYFZYGE-UHFFFAOYSA-N 0 0 284.287 2.512 20 5 CFBDRN Cc1c(CN2CCC[C@@H]2c2ncc[nH]2)cccc1[N+](=O)[O-] ZINC000371429622 381625768 /nfs/dbraw/zinc/62/57/68/381625768.db2.gz FRVZYOIBCFBKOX-CQSZACIVSA-N 0 0 286.335 2.963 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000414936278 381626778 /nfs/dbraw/zinc/62/67/78/381626778.db2.gz BYEGYPZGXCXKEE-FZMZJTMJSA-N 0 0 294.351 2.833 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1nc(C)cs1 ZINC000355137074 381636550 /nfs/dbraw/zinc/63/65/50/381636550.db2.gz QMVGDDYBDZFEQB-SECBINFHSA-N 0 0 294.336 2.569 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000298449493 381631050 /nfs/dbraw/zinc/63/10/50/381631050.db2.gz RTCKZPUINMIHCT-SNVBAGLBSA-N 0 0 250.298 2.711 20 5 CFBDRN CC(C)(CCc1noc(Cc2cccc(F)c2)n1)[N+](=O)[O-] ZINC000291054018 381649033 /nfs/dbraw/zinc/64/90/33/381649033.db2.gz IXSBGWYZFBNVAN-UHFFFAOYSA-N 0 0 293.298 2.787 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1c1cccnc1 ZINC000371476316 381639208 /nfs/dbraw/zinc/63/92/08/381639208.db2.gz YGPFCLQVOQBEGO-UONOGXRCSA-N 0 0 284.271 2.699 20 5 CFBDRN COc1cccc(NCCC(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC000185470237 381642427 /nfs/dbraw/zinc/64/24/27/381642427.db2.gz QRAPJRZYBHNONX-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN COc1cccc(N2CC[C@@H](OCC(C)C)C2)c1[N+](=O)[O-] ZINC000185558415 381665647 /nfs/dbraw/zinc/66/56/47/381665647.db2.gz YTOHOMNURZGWII-GFCCVEGCSA-N 0 0 294.351 2.855 20 5 CFBDRN CC(C)(CCc1noc(-c2ccc(Cl)o2)n1)[N+](=O)[O-] ZINC000291123749 381674895 /nfs/dbraw/zinc/67/48/95/381674895.db2.gz VGOMMTOQHKVFHW-UHFFFAOYSA-N 0 0 285.687 2.971 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@H](CO)C2)c1 ZINC000298570682 381677272 /nfs/dbraw/zinc/67/72/72/381677272.db2.gz KMHMQWIJAQGTAV-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN CCC1(C)CN(C(=O)COc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000291142161 381683186 /nfs/dbraw/zinc/68/31/86/381683186.db2.gz XRNQCNDBJWMLHF-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000359930686 381685427 /nfs/dbraw/zinc/68/54/27/381685427.db2.gz FJIYRNWWUBFKKA-MNOVXSKESA-N 0 0 286.335 2.808 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])s2)[C@@H]1C1CC1 ZINC000291158502 381689802 /nfs/dbraw/zinc/68/98/02/381689802.db2.gz QMKAKJVSFGGBBS-LLVKDONJSA-N 0 0 280.349 2.917 20 5 CFBDRN Cc1cccnc1N(C)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000348799892 381716746 /nfs/dbraw/zinc/71/67/46/381716746.db2.gz FWHRKCIAVGRGNF-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000298623673 381691966 /nfs/dbraw/zinc/69/19/66/381691966.db2.gz LWLVLACVGGJWKG-ZDUSSCGKSA-N 0 0 296.323 2.747 20 5 CFBDRN COC(=O)c1cc(NCCCCF)cc(C)c1[N+](=O)[O-] ZINC000359954269 381698754 /nfs/dbraw/zinc/69/87/54/381698754.db2.gz LWLKRLJYYSJVQM-UHFFFAOYSA-N 0 0 284.287 2.851 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000355244956 381699663 /nfs/dbraw/zinc/69/96/63/381699663.db2.gz VDIBYYSTKHLPBD-UHFFFAOYSA-N 0 0 298.302 2.676 20 5 CFBDRN Cc1c(OC(=O)[C@@H](F)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000298666553 381703896 /nfs/dbraw/zinc/70/38/96/381703896.db2.gz XHDDMSAXCMHZKO-MRVPVSSYSA-N 0 0 281.161 2.709 20 5 CFBDRN CC1(C)CN(C(=O)c2csc([N+](=O)[O-])c2)[C@@H]1C1CC1 ZINC000291198698 381706128 /nfs/dbraw/zinc/70/61/28/381706128.db2.gz UOFFAUHBSYSZHE-LLVKDONJSA-N 0 0 280.349 2.917 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000415040087 381728646 /nfs/dbraw/zinc/72/86/46/381728646.db2.gz JTZVXHHEFZXBDA-LURJTMIESA-N 0 0 276.214 2.964 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1c(F)cccc1F ZINC000298735474 381730721 /nfs/dbraw/zinc/73/07/21/381730721.db2.gz OTCWPOHIZFTXTN-SSDOTTSWSA-N 0 0 282.250 2.780 20 5 CFBDRN O=C(Nc1ccc(-c2cnco2)cc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000343464003 381731304 /nfs/dbraw/zinc/73/13/04/381731304.db2.gz ICBMKVPOYDLNJL-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2cccc3c2OCCO3)cc1 ZINC000185794889 381736044 /nfs/dbraw/zinc/73/60/44/381736044.db2.gz ANLOLDPZRUPSHG-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000298776057 381747138 /nfs/dbraw/zinc/74/71/38/381747138.db2.gz HVCRYMQBSTVMPB-VIFPVBQESA-N 0 0 268.288 2.850 20 5 CFBDRN CCCN(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298832951 381759459 /nfs/dbraw/zinc/75/94/59/381759459.db2.gz AEKXIDDUAYIRNM-UHFFFAOYSA-N 0 0 287.319 2.975 20 5 CFBDRN CCN(Cc1c(F)cc([N+](=O)[O-])cc1F)C[C@@H](C)OC ZINC000291341016 381754237 /nfs/dbraw/zinc/75/42/37/381754237.db2.gz WTGASAGDTIZHRP-SECBINFHSA-N 0 0 288.294 2.730 20 5 CFBDRN CO[C@@H]1CN(c2cc(C)c([N+](=O)[O-])cc2F)CC[C@H]1C ZINC000360055579 381754374 /nfs/dbraw/zinc/75/43/74/381754374.db2.gz VWLGGZVLBLLQDJ-YMTOWFKASA-N 0 0 282.315 2.904 20 5 CFBDRN CCc1ccc(C(=O)N(CC)C[C@H](C)OC)cc1[N+](=O)[O-] ZINC000291250429 381722590 /nfs/dbraw/zinc/72/25/90/381722590.db2.gz LXHUSRXSHRTHCK-NSHDSACASA-N 0 0 294.351 2.654 20 5 CFBDRN CC(C)=CCCNS(=O)(=O)c1cc([N+](=O)[O-])ccc1C ZINC000360004692 381723019 /nfs/dbraw/zinc/72/30/19/381723019.db2.gz DLNMKVHXYXGIIH-UHFFFAOYSA-N 0 0 298.364 2.538 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](COC(F)F)C1 ZINC000343551274 381792063 /nfs/dbraw/zinc/79/20/63/381792063.db2.gz PWZKGOWLYRUWOK-VIFPVBQESA-N 0 0 272.251 2.660 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCOC[C@@H]1C ZINC000343551882 381792381 /nfs/dbraw/zinc/79/23/81/381792381.db2.gz VLZRPSUDTAGFJX-RYUDHWBXSA-N 0 0 294.351 2.826 20 5 CFBDRN COc1ccc(CNC(=O)CC(C)(C)C)cc1[N+](=O)[O-] ZINC000298836302 381761768 /nfs/dbraw/zinc/76/17/68/381761768.db2.gz CWXTUHDOTYQLFP-UHFFFAOYSA-N 0 0 280.324 2.656 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCC[N@H+](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000343518659 381766979 /nfs/dbraw/zinc/76/69/79/381766979.db2.gz MHVSYWNBRUVASX-GWCFXTLKSA-N 0 0 291.351 2.692 20 5 CFBDRN CC(C)CONC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000292753438 381821514 /nfs/dbraw/zinc/82/15/14/381821514.db2.gz WJXGVAYXDZVDGD-UHFFFAOYSA-N 0 0 295.339 2.717 20 5 CFBDRN COc1ccncc1CNCc1ccc(C)c([N+](=O)[O-])c1 ZINC000292758753 381823694 /nfs/dbraw/zinc/82/36/94/381823694.db2.gz RUFMXFLJFZKYCQ-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN C[C@@H](Cn1cccc([N+](=O)[O-])c1=O)C1CCCCC1 ZINC000292774338 381831435 /nfs/dbraw/zinc/83/14/35/381831435.db2.gz XUDYVOYYIGOPGA-NSHDSACASA-N 0 0 264.325 2.973 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000299123628 381832317 /nfs/dbraw/zinc/83/23/17/381832317.db2.gz LJUUJSXTZAJVDR-LLVKDONJSA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1cc(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)no1 ZINC000349141950 381832852 /nfs/dbraw/zinc/83/28/52/381832852.db2.gz GHPBJBNEUQXNOL-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN CC(C)OCc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000299150853 381843349 /nfs/dbraw/zinc/84/33/49/381843349.db2.gz MEIWLLUINYIBJG-UHFFFAOYSA-N 0 0 290.323 2.997 20 5 CFBDRN Cc1ccc(N2CC[C@H](n3cccn3)C2)c([N+](=O)[O-])c1 ZINC000292427973 381805000 /nfs/dbraw/zinc/80/50/00/381805000.db2.gz URDMIYBWGWDVPU-LBPRGKRZSA-N 0 0 272.308 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC1(C)C ZINC000299059369 381809935 /nfs/dbraw/zinc/80/99/35/381809935.db2.gz KOQCLQUPRVAFGV-LLVKDONJSA-N 0 0 279.296 2.523 20 5 CFBDRN C[C@@H]1CSC[C@@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000343589178 381819143 /nfs/dbraw/zinc/81/91/43/381819143.db2.gz KJRFWUHYJDHBKK-HQJQHLMTSA-N 0 0 278.337 2.635 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC2(CC1)OCCCO2 ZINC000292751663 381819336 /nfs/dbraw/zinc/81/93/36/381819336.db2.gz JPCIJGMNPCZIKS-UHFFFAOYSA-N 0 0 292.335 2.637 20 5 CFBDRN COc1cc(NCCC2(OC)CCC2)ccc1[N+](=O)[O-] ZINC000292818971 381850071 /nfs/dbraw/zinc/85/00/71/381850071.db2.gz ORSPZHMIBFVRQN-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN COC1(CCNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCC1 ZINC000292838119 381857286 /nfs/dbraw/zinc/85/72/86/381857286.db2.gz QINQJEIBSFXDHC-UHFFFAOYSA-N 0 0 295.295 2.874 20 5 CFBDRN COCC1(CCNc2nc(C)ccc2[N+](=O)[O-])CCC1 ZINC000292848839 381863185 /nfs/dbraw/zinc/86/31/85/381863185.db2.gz RFBBPGAUOFYFMN-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CC(C2CCCC2)C1 ZINC000372998409 381907823 /nfs/dbraw/zinc/90/78/23/381907823.db2.gz LDGVYAAAWUHGKG-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000186455531 381916778 /nfs/dbraw/zinc/91/67/78/381916778.db2.gz UNBFVLLVROACBK-VIFPVBQESA-N 0 0 295.314 2.578 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cc(-n3cccc3)cn2)c(F)c1 ZINC000356951329 381922281 /nfs/dbraw/zinc/92/22/81/381922281.db2.gz MCOLRIRQXACNNC-UHFFFAOYSA-N 0 0 286.266 2.769 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000372928057 381892058 /nfs/dbraw/zinc/89/20/58/381892058.db2.gz LUKOALNGMNDTLT-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000186642408 381973227 /nfs/dbraw/zinc/97/32/27/381973227.db2.gz YRHWZGXACXJFKG-NXEZZACHSA-N 0 0 266.272 2.965 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCOCC1CCC1 ZINC000416884303 381958023 /nfs/dbraw/zinc/95/80/23/381958023.db2.gz KRYGFAIPTGOBAW-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN CC[C@@H](COc1ccc(C(C)=O)cc1[N+](=O)[O-])OC ZINC000416908020 381966117 /nfs/dbraw/zinc/96/61/17/381966117.db2.gz NLQUMMPBLMWIHG-NSHDSACASA-N 0 0 267.281 2.601 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000299429442 381939175 /nfs/dbraw/zinc/93/91/75/381939175.db2.gz CCOVAGPMIHYBIK-SNVBAGLBSA-N 0 0 282.344 2.573 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000343787130 381942314 /nfs/dbraw/zinc/94/23/14/381942314.db2.gz HTRNKVCFEILJIZ-SNVBAGLBSA-N 0 0 293.323 2.685 20 5 CFBDRN C[C@H]1COCCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000362181165 381947001 /nfs/dbraw/zinc/94/70/01/381947001.db2.gz REDADDOHUAABEL-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@H](Nc1c(Cl)cccc1[N+](=O)[O-])c1ncn(C)n1 ZINC000293018501 381953396 /nfs/dbraw/zinc/95/33/96/381953396.db2.gz KKUUQHJUJPEEEB-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@H]2CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000343805766 381954002 /nfs/dbraw/zinc/95/40/02/381954002.db2.gz JOLDZTCIVJFAPV-PWSUYJOCSA-N 0 0 291.351 2.943 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](C)C1 ZINC000299595860 381997883 /nfs/dbraw/zinc/99/78/83/381997883.db2.gz FNTWYCVBFYQHOI-QWRGUYRKSA-N 0 0 294.376 2.944 20 5 CFBDRN Cc1ccc(NC(=O)c2c[nH]c(=O)c([N+](=O)[O-])c2)cc1C ZINC000186743645 381999897 /nfs/dbraw/zinc/99/98/97/381999897.db2.gz JJLNJMVVFCOOPG-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000343882162 382000857 /nfs/dbraw/zinc/00/08/57/382000857.db2.gz NKZDWIPMWNFSOY-RKDXNWHRSA-N 0 0 298.289 2.720 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1nc(C)c(C)o1 ZINC000299610171 382001578 /nfs/dbraw/zinc/00/15/78/382001578.db2.gz HIGIZPKCIDQCTI-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1nccn1C(F)F ZINC000299623267 382007906 /nfs/dbraw/zinc/00/79/06/382007906.db2.gz JWMGZAKTUGMWHU-UHFFFAOYSA-N 0 0 299.233 2.774 20 5 CFBDRN Cc1nc(N2CC[C@H](Oc3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000362259283 381982310 /nfs/dbraw/zinc/98/23/10/381982310.db2.gz WVHQNECYFYHMNO-AWEZNQCLSA-N 0 0 299.330 2.956 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2C[C@H]2C(F)F)s1 ZINC000343852150 381982587 /nfs/dbraw/zinc/98/25/87/381982587.db2.gz KEYKFSKDTPDPLI-XINAWCOVSA-N 0 0 286.263 2.665 20 5 CFBDRN C[C@](O)(CNc1c(Cl)cccc1[N+](=O)[O-])C(F)(F)F ZINC000293083409 381982610 /nfs/dbraw/zinc/98/26/10/381982610.db2.gz ODNIBEXDHVSKPN-VIFPVBQESA-N 0 0 298.648 2.973 20 5 CFBDRN Cc1ccccc1C(C)(C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000357040130 381982762 /nfs/dbraw/zinc/98/27/62/381982762.db2.gz NMDAJVWGBIBKOA-UHFFFAOYSA-N 0 0 287.319 2.896 20 5 CFBDRN Cc1cnc(-c2noc(-c3ccc(C)c([N+](=O)[O-])c3)n2)nc1 ZINC000357189383 382047834 /nfs/dbraw/zinc/04/78/34/382047834.db2.gz MJSHGXRDBXCYAL-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1ccnc(C)c1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000362396511 382048146 /nfs/dbraw/zinc/04/81/46/382048146.db2.gz NZRWNWABJOHJON-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@@H](Nc2ncccc2[N+](=O)[O-])C12CCC2 ZINC000374874521 382058775 /nfs/dbraw/zinc/05/87/75/382058775.db2.gz KKMAGDJGGNOLKF-YUSALJHKSA-N 0 0 277.324 2.605 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1CCC(=O)CC1 ZINC000362345278 382017778 /nfs/dbraw/zinc/01/77/78/382017778.db2.gz LVQUDSPKOSFCRH-UHFFFAOYSA-N 0 0 290.319 2.855 20 5 CFBDRN CCNC(=O)C(C)(C)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000293179269 382019017 /nfs/dbraw/zinc/01/90/17/382019017.db2.gz SYYUVWWMXDMVDE-UHFFFAOYSA-N 0 0 299.758 2.822 20 5 CFBDRN CC[C@@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000247898327 535467014 /nfs/dbraw/zinc/46/70/14/535467014.db2.gz DRXYYUYAHZUOHS-MRVPVSSYSA-N 0 0 253.254 2.555 20 5 CFBDRN COCCN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000299675667 382023283 /nfs/dbraw/zinc/02/32/83/382023283.db2.gz UMDSSKMPMKVLPQ-UHFFFAOYSA-N 0 0 294.351 2.648 20 5 CFBDRN Cc1ncc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cn1 ZINC000343932492 382026816 /nfs/dbraw/zinc/02/68/16/382026816.db2.gz QSPHBFPOVCCVRT-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN Cc1noc(Cl)c1CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000357154747 382030508 /nfs/dbraw/zinc/03/05/08/382030508.db2.gz FALIGRFGGZHSMX-UHFFFAOYSA-N 0 0 295.682 2.726 20 5 CFBDRN Cc1nc(Cl)cc(Oc2cccnc2[N+](=O)[O-])n1 ZINC000301062892 382070450 /nfs/dbraw/zinc/07/04/50/382070450.db2.gz ALLHWBXSSVMBSN-UHFFFAOYSA-N 0 0 266.644 2.534 20 5 CFBDRN CCCN(CC(F)F)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000299719519 382033487 /nfs/dbraw/zinc/03/34/87/382033487.db2.gz YNUJVZHUFMKLNI-UHFFFAOYSA-N 0 0 290.241 2.851 20 5 CFBDRN Nc1cc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)ccn1 ZINC000299715901 382034396 /nfs/dbraw/zinc/03/43/96/382034396.db2.gz RIDRDGJLGKFDCV-UHFFFAOYSA-N 0 0 298.346 2.737 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)CCc1ccccc1 ZINC000301206604 382105474 /nfs/dbraw/zinc/10/54/74/382105474.db2.gz SXPOQLUFMUSSIG-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)Cc2ccccc2)c(F)c1 ZINC000301213116 382106899 /nfs/dbraw/zinc/10/68/99/382106899.db2.gz WEPIVTVAFOYTNX-CYBMUJFWSA-N 0 0 290.294 2.749 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H](O)Cc1ccccc1 ZINC000301211803 382107390 /nfs/dbraw/zinc/10/73/90/382107390.db2.gz VLBHWFJVKMAXGX-LBPRGKRZSA-N 0 0 290.294 2.749 20 5 CFBDRN CC[C@H](C)CN(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301234027 382114760 /nfs/dbraw/zinc/11/47/60/382114760.db2.gz GRJRDQYGBHPWBN-VIFPVBQESA-N 0 0 267.285 2.985 20 5 CFBDRN Cc1ccnc(Nc2ccc(N(C)C)nc2)c1[N+](=O)[O-] ZINC000301248027 382120487 /nfs/dbraw/zinc/12/04/87/382120487.db2.gz BGXLBBLNFIPPHA-UHFFFAOYSA-N 0 0 273.296 2.503 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nncn2-c2ccccc2)cc1 ZINC000301104622 382082578 /nfs/dbraw/zinc/08/25/78/382082578.db2.gz VFHHJVJTAZXTEP-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccnc(-n3cccn3)c2)cc1 ZINC000301102242 382083170 /nfs/dbraw/zinc/08/31/70/382083170.db2.gz QRMLMIKXWFEBSJ-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cc1F)Cn1cccn1 ZINC000301123556 382085842 /nfs/dbraw/zinc/08/58/42/382085842.db2.gz YJZYANRKCHLVCF-JTQLQIEISA-N 0 0 278.287 2.679 20 5 CFBDRN O=C1C[C@H](Nc2ccccc2[N+](=O)[O-])CN1c1ccccc1 ZINC000301134088 382088927 /nfs/dbraw/zinc/08/89/27/382088927.db2.gz ZVAPDCOUUKOOHZ-LBPRGKRZSA-N 0 0 297.314 2.812 20 5 CFBDRN CCc1nc(CCNc2ncccc2[N+](=O)[O-])sc1C ZINC000301140924 382090993 /nfs/dbraw/zinc/09/09/93/382090993.db2.gz IAOCTBZVFIYUGG-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN CCS[C@@H]1CC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000362480299 382092283 /nfs/dbraw/zinc/09/22/83/382092283.db2.gz RXDKBFXWMQYPQT-NXEZZACHSA-N 0 0 297.380 2.669 20 5 CFBDRN Cc1c(Cc2noc(COC(C)C)n2)cccc1[N+](=O)[O-] ZINC000357307680 382097944 /nfs/dbraw/zinc/09/79/44/382097944.db2.gz VIBWQHFXOCZECA-UHFFFAOYSA-N 0 0 291.307 2.802 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000187405813 382174629 /nfs/dbraw/zinc/17/46/29/382174629.db2.gz IKGRNDJQXAJWNN-RISCZKNCSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1C[C@@H](CO)CCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000344172764 382177970 /nfs/dbraw/zinc/17/79/70/382177970.db2.gz PNZCSBNCCCVCIU-MNOVXSKESA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@@H]1C[C@@H](c2noc(-c3ccc([N+](=O)[O-])s3)n2)CCO1 ZINC000357463216 382181280 /nfs/dbraw/zinc/18/12/80/382181280.db2.gz DIRSXUGQPVUWAP-SFYZADRCSA-N 0 0 295.320 2.989 20 5 CFBDRN C[C@H](NCc1ccc(F)cn1)c1ccc([N+](=O)[O-])cc1 ZINC000357464762 382182472 /nfs/dbraw/zinc/18/24/72/382182472.db2.gz ARYNOMBKQHWMFL-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000301293666 382140936 /nfs/dbraw/zinc/14/09/36/382140936.db2.gz WFQUNBJPMDNSTR-PRHODGIISA-N 0 0 287.747 2.852 20 5 CFBDRN C[C@@H]1C[C@@H](CO)CCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000344122976 382143130 /nfs/dbraw/zinc/14/31/30/382143130.db2.gz FZBZUPMOAFXPJF-MNOVXSKESA-N 0 0 298.770 2.841 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1ccc(C)cc1 ZINC000301348686 382156322 /nfs/dbraw/zinc/15/63/22/382156322.db2.gz IYGZIFGILZOLIR-NSHDSACASA-N 0 0 287.323 2.839 20 5 CFBDRN C[C@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C(C)(F)F ZINC000293544015 382165618 /nfs/dbraw/zinc/16/56/18/382165618.db2.gz SHZRMDLBKAJHNA-ZCFIWIBFSA-N 0 0 277.198 2.931 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000352102655 382171830 /nfs/dbraw/zinc/17/18/30/382171830.db2.gz PQSGCPNOWCHNGJ-STQMWFEESA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1ccon1 ZINC000357441559 382172413 /nfs/dbraw/zinc/17/24/13/382172413.db2.gz JIPUIPFWHZXQRA-VIFPVBQESA-N 0 0 290.279 2.774 20 5 CFBDRN O=C(NC1CCC1)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000293558336 382173290 /nfs/dbraw/zinc/17/32/90/382173290.db2.gz ZOSJNPROYHQAGV-UHFFFAOYSA-N 0 0 288.225 2.896 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344200020 382195452 /nfs/dbraw/zinc/19/54/52/382195452.db2.gz NTRWRDIYWBNFKH-VHSXEESVSA-N 0 0 265.313 2.635 20 5 CFBDRN COc1cc(N2CCCSCC2)c(F)cc1[N+](=O)[O-] ZINC000301856675 382196363 /nfs/dbraw/zinc/19/63/63/382196363.db2.gz DDBZLVXPBVVVOP-UHFFFAOYSA-N 0 0 286.328 2.686 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344210745 382200358 /nfs/dbraw/zinc/20/03/58/382200358.db2.gz LGVQDXDROZHIJA-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CC(C)(C)OC1CC(Oc2ccc([N+](=O)[O-])c(CO)c2)C1 ZINC000418962190 382201325 /nfs/dbraw/zinc/20/13/25/382201325.db2.gz KGFAAYLQRIIXGH-UHFFFAOYSA-N 0 0 295.335 2.812 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H]3CC34CC4)ccc2c1 ZINC000418986928 382207909 /nfs/dbraw/zinc/20/79/09/382207909.db2.gz GRXWMIMONLKWEY-NSHDSACASA-N 0 0 269.304 2.777 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC000418996754 382210501 /nfs/dbraw/zinc/21/05/01/382210501.db2.gz KGERQFNGKQOSDS-DKTWLAJQSA-N 0 0 290.241 2.939 20 5 CFBDRN Cc1ccc(N[C@H]2CCN(CC(F)F)C2)c([N+](=O)[O-])c1 ZINC000419019584 382219411 /nfs/dbraw/zinc/21/94/11/382219411.db2.gz JPANKUPHEOVQSU-JTQLQIEISA-N 0 0 285.294 2.655 20 5 CFBDRN COc1ccc(Cn2cnc(C(C)(C)C)n2)cc1[N+](=O)[O-] ZINC000419046393 382224108 /nfs/dbraw/zinc/22/41/08/382224108.db2.gz PXCQUNRHSLKWKW-UHFFFAOYSA-N 0 0 290.323 2.541 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H](CO)c1ccsc1 ZINC000302254989 382230808 /nfs/dbraw/zinc/23/08/08/382230808.db2.gz OEAACFRXWCAFET-LLVKDONJSA-N 0 0 282.296 2.941 20 5 CFBDRN Cc1nc(N[C@@H](CO)c2ccsc2)ccc1[N+](=O)[O-] ZINC000302247929 382230818 /nfs/dbraw/zinc/23/08/18/382230818.db2.gz LKEQTKYKHSHFMT-JTQLQIEISA-N 0 0 279.321 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COc3ccccc3[C@H]2O)cc1 ZINC000377180801 382232614 /nfs/dbraw/zinc/23/26/14/382232614.db2.gz XUMIFABNFYMVRF-UKRRQHHQSA-N 0 0 286.287 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COc3ccccc3[C@@H]2O)cc1 ZINC000377180800 382232699 /nfs/dbraw/zinc/23/26/99/382232699.db2.gz XUMIFABNFYMVRF-HIFRSBDPSA-N 0 0 286.287 2.501 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CO)c2ccsc2)c(Cl)c1 ZINC000302305672 382238340 /nfs/dbraw/zinc/23/83/40/382238340.db2.gz ZMGNRDNJJBLAGJ-JTQLQIEISA-N 0 0 299.739 2.850 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@H]([C@H](C)O)C1 ZINC000301759426 382191425 /nfs/dbraw/zinc/19/14/25/382191425.db2.gz FMFGXXJOPSOXOI-RYUDHWBXSA-N 0 0 264.325 2.500 20 5 CFBDRN CC[C@@H](C)CN(CC)c1ccc2ncc([N+](=O)[O-])n2n1 ZINC000357494449 382194541 /nfs/dbraw/zinc/19/45/41/382194541.db2.gz YGAOOLMBUGFUDJ-SNVBAGLBSA-N 0 0 277.328 2.510 20 5 CFBDRN Cc1nn(CCSc2ccccc2)cc1[N+](=O)[O-] ZINC000352349402 382283792 /nfs/dbraw/zinc/28/37/92/382283792.db2.gz KOZGMDIWCWEOBL-UHFFFAOYSA-N 0 0 263.322 2.892 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[N@@H+]1CCC[C@@H]1C1CC1 ZINC000377532885 382284292 /nfs/dbraw/zinc/28/42/92/382284292.db2.gz CDHCKGVSIKGXPQ-CQSZACIVSA-N 0 0 276.336 2.978 20 5 CFBDRN CCOCC(C)(C)NC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000293801561 382285171 /nfs/dbraw/zinc/28/51/71/382285171.db2.gz DLTAQEDFLITASP-UHFFFAOYSA-N 0 0 294.351 2.702 20 5 CFBDRN CCC[C@](C)(O)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352359667 382288884 /nfs/dbraw/zinc/28/88/84/382288884.db2.gz JFMIHHPJYSMONJ-HNNXBMFYSA-N 0 0 289.335 2.528 20 5 CFBDRN CC(C)C[C@@H]1OCCC[C@@H]1Nc1ncccc1[N+](=O)[O-] ZINC000302409610 382247833 /nfs/dbraw/zinc/24/78/33/382247833.db2.gz IDUPIYXRDXFRPF-AAEUAGOBSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCC(C)(C)O1 ZINC000302443710 382250603 /nfs/dbraw/zinc/25/06/03/382250603.db2.gz QXLBLDDXMMLWAW-NSHDSACASA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cccc(N2CC[C@@H](Oc3cccnc3)C2)c1[N+](=O)[O-] ZINC000302472530 382253284 /nfs/dbraw/zinc/25/32/84/382253284.db2.gz DCLBPSABRKZESC-CQSZACIVSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1nc(NC[C@@H]2CCC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000302466855 382253845 /nfs/dbraw/zinc/25/38/45/382253845.db2.gz VNSBKEUSSBWKRZ-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NOC[C@@H](C)CC ZINC000293729528 382254818 /nfs/dbraw/zinc/25/48/18/382254818.db2.gz NGGXFZARDYKXBO-JTQLQIEISA-N 0 0 296.323 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cn[nH]c2)c(OC(F)F)c1 ZINC000357622337 382255405 /nfs/dbraw/zinc/25/54/05/382255405.db2.gz DACIGNSIPCZWDN-UHFFFAOYSA-N 0 0 298.249 2.574 20 5 CFBDRN Cc1cccc(N(C)C[C@@H]2CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000302480961 382255411 /nfs/dbraw/zinc/25/54/11/382255411.db2.gz GMEFLJOVFCQOLQ-GXTWGEPZSA-N 0 0 278.352 2.891 20 5 CFBDRN CN(Cc1ccon1)C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000352276350 382258312 /nfs/dbraw/zinc/25/83/12/382258312.db2.gz UGPUVMLBFTXHBD-UHFFFAOYSA-N 0 0 295.682 2.508 20 5 CFBDRN Cc1ccc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c([N+](=O)[O-])c1 ZINC000302568282 382271334 /nfs/dbraw/zinc/27/13/34/382271334.db2.gz PLMLXVPEWSLECR-SUHUHFCYSA-N 0 0 262.309 2.883 20 5 CFBDRN COc1cc(O)cc(NC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000352458885 382344517 /nfs/dbraw/zinc/34/45/17/382344517.db2.gz QEBYFDPBNLHADC-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CCCO1 ZINC000293937966 382344785 /nfs/dbraw/zinc/34/47/85/382344785.db2.gz FTGFGLCPJHEWEM-AWEZNQCLSA-N 0 0 282.315 2.985 20 5 CFBDRN CC(C)[C@H](CNc1ncccc1[N+](=O)[O-])c1ccnn1C ZINC000302780751 382316621 /nfs/dbraw/zinc/31/66/21/382316621.db2.gz RLASWJFLDAEMHE-NSHDSACASA-N 0 0 289.339 2.575 20 5 CFBDRN CCCc1nc(C(=O)Nc2cccc([N+](=O)[O-])c2)co1 ZINC000352424456 382325172 /nfs/dbraw/zinc/32/51/72/382325172.db2.gz TVDUYJNZQNBBFP-UHFFFAOYSA-N 0 0 275.264 2.788 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2C[C@H](C)[C@H]2C)c1 ZINC000293915605 382335009 /nfs/dbraw/zinc/33/50/09/382335009.db2.gz CSAAZDQQLOTTFG-NWDGAFQWSA-N 0 0 292.335 2.539 20 5 CFBDRN CCOC(=O)[C@H](C)[C@H](C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000302886469 382345994 /nfs/dbraw/zinc/34/59/94/382345994.db2.gz NDVRGBYTIWMFJJ-MNOVXSKESA-N 0 0 280.324 2.903 20 5 CFBDRN COc1cc(O)cc(NC(=O)c2ccc([N+](=O)[O-])s2)c1 ZINC000352462452 382346262 /nfs/dbraw/zinc/34/62/62/382346262.db2.gz CVKULLVGKJBMBU-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN COc1cc(N(C)[C@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000302710763 382299903 /nfs/dbraw/zinc/29/99/03/382299903.db2.gz ZIHZVBKDYDMPCR-SNVBAGLBSA-N 0 0 290.323 2.534 20 5 CFBDRN CCc1nnc(C)cc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000352385735 382300889 /nfs/dbraw/zinc/30/08/89/382300889.db2.gz MFPDBEAYFAWYCO-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN Cc1nc(C)c(C)c(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000357868454 382355608 /nfs/dbraw/zinc/35/56/08/382355608.db2.gz FULGARJKQJLZMU-UHFFFAOYSA-N 0 0 286.335 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@](CO)(C(C)C)C2)n1 ZINC000294053623 382396871 /nfs/dbraw/zinc/39/68/71/382396871.db2.gz MLLWCUCPXVIVED-HNNXBMFYSA-N 0 0 293.367 2.533 20 5 CFBDRN COc1cc(C(=O)NC2(C(C)C)CC2)cc([N+](=O)[O-])c1C ZINC000293988539 382368122 /nfs/dbraw/zinc/36/81/22/382368122.db2.gz SHAGUARGFFNRHF-UHFFFAOYSA-N 0 0 292.335 2.830 20 5 CFBDRN CCc1ccccc1Cn1cc([N+](=O)[O-])cc(C)c1=O ZINC000188227919 382371459 /nfs/dbraw/zinc/37/14/59/382371459.db2.gz YYZYBYUOPFBDOO-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@@H]2CC)cc1[N+](=O)[O-] ZINC000293998761 382372741 /nfs/dbraw/zinc/37/27/41/382372741.db2.gz MAZIYLHHGKFPLI-LBPRGKRZSA-N 0 0 262.309 2.782 20 5 CFBDRN CC[C@H](C)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000357914242 382373504 /nfs/dbraw/zinc/37/35/04/382373504.db2.gz RVZRXRDWMHWJQM-NWDGAFQWSA-N 0 0 293.367 2.974 20 5 CFBDRN COC[C@H]1CC[N@@H+]([C@H](C)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000352540224 382386211 /nfs/dbraw/zinc/38/62/11/382386211.db2.gz ILPHIRULAQMJMF-OLZOCXBDSA-N 0 0 278.352 2.933 20 5 CFBDRN COC[C@@H]1CC[N@@H+]([C@@H](C)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000352540225 382386969 /nfs/dbraw/zinc/38/69/69/382386969.db2.gz ILPHIRULAQMJMF-QWHCGFSZSA-N 0 0 278.352 2.933 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCCOC(C)(C)C ZINC000352548122 382391989 /nfs/dbraw/zinc/39/19/89/382391989.db2.gz NIVKQKRXBROJBR-UHFFFAOYSA-N 0 0 282.340 2.660 20 5 CFBDRN Cc1nn(CCCCOC(C)(C)C)cc1[N+](=O)[O-] ZINC000352554815 382394735 /nfs/dbraw/zinc/39/47/35/382394735.db2.gz XDKRLBDEGOQDHS-UHFFFAOYSA-N 0 0 255.318 2.695 20 5 CFBDRN CN(Cc1cn2c(n1)CCCC2)c1ccccc1[N+](=O)[O-] ZINC000365447086 382395967 /nfs/dbraw/zinc/39/59/67/382395967.db2.gz AHPHTOHLXMTRCW-UHFFFAOYSA-N 0 0 286.335 2.764 20 5 CFBDRN CC[C@H]1CCN1C(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000294178675 382447479 /nfs/dbraw/zinc/44/74/79/382447479.db2.gz WRMVPGYKKCBYRU-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])OC ZINC000294128412 382426328 /nfs/dbraw/zinc/42/63/28/382426328.db2.gz FIGMECKPCWTOCT-NOZJJQNGSA-N 0 0 298.314 2.525 20 5 CFBDRN C[C@@H]1COCCN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000378263120 382427199 /nfs/dbraw/zinc/42/71/99/382427199.db2.gz YGXBMTMPHRLEAP-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000294131243 382427935 /nfs/dbraw/zinc/42/79/35/382427935.db2.gz UBRIAMREAOAJPN-JTQLQIEISA-N 0 0 274.276 2.966 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])s1)C1CC(OC(C)(C)C)C1 ZINC000420620812 382429648 /nfs/dbraw/zinc/42/96/48/382429648.db2.gz BYSFFXIFBVWUQN-UHFFFAOYSA-N 0 0 285.369 2.834 20 5 CFBDRN Cc1c(C(=O)N2C[C@H]3CCCC[C@H]32)cccc1[N+](=O)[O-] ZINC000365761391 382438647 /nfs/dbraw/zinc/43/86/47/382438647.db2.gz IACVSHSCDJYAEG-BXUZGUMPSA-N 0 0 274.320 2.918 20 5 CFBDRN CC(C)(C)C(=O)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294160776 382439008 /nfs/dbraw/zinc/43/90/08/382439008.db2.gz QTRTYHKUSKZNAS-UHFFFAOYSA-N 0 0 292.335 2.561 20 5 CFBDRN CC[C@@H](C)CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000420648409 382444427 /nfs/dbraw/zinc/44/44/27/382444427.db2.gz DDCRWVANEXPCEL-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1nn([C@H](C)c2ccc(F)cc2F)cc1[N+](=O)[O-] ZINC000294174827 382445992 /nfs/dbraw/zinc/44/59/92/382445992.db2.gz JOOIXSXNBSVFCV-MRVPVSSYSA-N 0 0 267.235 2.987 20 5 CFBDRN Cc1nn(C)c(N2CCC23CCCCC3)c1[N+](=O)[O-] ZINC000365470588 382398861 /nfs/dbraw/zinc/39/88/61/382398861.db2.gz IQORKCZKQLETSL-UHFFFAOYSA-N 0 0 264.329 2.550 20 5 CFBDRN CCCC1(c2noc(-c3cc([N+](=O)[O-])nn3C)n2)CCC1 ZINC000420519182 382408710 /nfs/dbraw/zinc/40/87/10/382408710.db2.gz XTLSXCVJSWZRLH-UHFFFAOYSA-N 0 0 291.311 2.600 20 5 CFBDRN O=[N+]([O-])c1ccn(-c2nc(C3CCCCC3)ns2)n1 ZINC000420584890 382412018 /nfs/dbraw/zinc/41/20/18/382412018.db2.gz NCOVHHIFEZAQPD-UHFFFAOYSA-N 0 0 279.325 2.680 20 5 CFBDRN CCC[C@@](C)(CO)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000304688982 382414992 /nfs/dbraw/zinc/41/49/92/382414992.db2.gz GWCUIMOKENKBRO-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1Cc2ccccc21 ZINC000358033248 382420159 /nfs/dbraw/zinc/42/01/59/382420159.db2.gz LBORTROUHJOTIQ-CYBMUJFWSA-N 0 0 298.298 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC2CCC1CC2 ZINC000378382268 382450787 /nfs/dbraw/zinc/45/07/87/382450787.db2.gz MOXMXQOQGZFWAU-UHFFFAOYSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2C[C@@H]2c2ccco2)ccc1[N+](=O)[O-] ZINC000365922525 382457648 /nfs/dbraw/zinc/45/76/48/382457648.db2.gz GTVBEYAVYAHHGH-UWVGGRQHSA-N 0 0 287.275 2.633 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000294206391 382459336 /nfs/dbraw/zinc/45/93/36/382459336.db2.gz QTAUDURTIDXTTG-DTWKUNHWSA-N 0 0 284.262 2.553 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])[C@@H]1C ZINC000294228256 382468176 /nfs/dbraw/zinc/46/81/76/382468176.db2.gz RQABUYWENPAPCG-HTQZYQBOSA-N 0 0 268.700 2.729 20 5 CFBDRN C[C@@H]1CCOCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000378493094 382472498 /nfs/dbraw/zinc/47/24/98/382472498.db2.gz IPRNPXCASURHOH-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])OC ZINC000294249269 382477577 /nfs/dbraw/zinc/47/75/77/382477577.db2.gz PVAOCQPHNRPNMB-NOZJJQNGSA-N 0 0 298.314 2.525 20 5 CFBDRN Cc1oncc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000188788524 382477625 /nfs/dbraw/zinc/47/76/25/382477625.db2.gz RSCAZEHZCPVDQA-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN O=C(Nc1cccc2c1OCCC2)c1ccc([N+](=O)[O-])cn1 ZINC000366190336 382481903 /nfs/dbraw/zinc/48/19/03/382481903.db2.gz CLJJRVNZGGASPL-UHFFFAOYSA-N 0 0 299.286 2.567 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC1CCSCC1 ZINC000188824986 382484964 /nfs/dbraw/zinc/48/49/64/382484964.db2.gz OHHPSWKOHWNPQU-UHFFFAOYSA-N 0 0 295.364 2.920 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1C1CCCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000366204790 382485680 /nfs/dbraw/zinc/48/56/80/382485680.db2.gz VQWSTAUZYHOCGD-OCCSQVGLSA-N 0 0 289.335 2.688 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421410764 382524829 /nfs/dbraw/zinc/52/48/29/382524829.db2.gz AMACMYPEECNXRQ-JTQLQIEISA-N 0 0 250.298 2.740 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCC3=CCCOC3)ccnc21 ZINC000379758065 382508881 /nfs/dbraw/zinc/50/88/81/382508881.db2.gz BBHSPBHDRSGSEK-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN CC(C)C(=O)Nc1ccc([N+](=O)[O-])c(Br)c1 ZINC000039374334 184546572 /nfs/dbraw/zinc/54/65/72/184546572.db2.gz NUIBHHIHGFBGMZ-UHFFFAOYSA-N 0 0 287.113 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCC[C@H]3C(F)F)nc2c1 ZINC000353176660 382513386 /nfs/dbraw/zinc/51/33/86/382513386.db2.gz ILXHMYMDVMPRKO-NSHDSACASA-N 0 0 296.277 2.701 20 5 CFBDRN COc1cccc(-c2nc([C@@H]3CCCO3)no2)c1[N+](=O)[O-] ZINC000346795583 382513889 /nfs/dbraw/zinc/51/38/89/382513889.db2.gz MYTBTFNGHQLQEZ-JTQLQIEISA-N 0 0 291.263 2.505 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC[C@@H]2C(F)F)c1 ZINC000353177116 382514244 /nfs/dbraw/zinc/51/42/44/382514244.db2.gz VKTHPPIVXSDMKV-LLVKDONJSA-N 0 0 256.252 2.824 20 5 CFBDRN C[C@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1cnn(C)c1 ZINC000312849402 382517249 /nfs/dbraw/zinc/51/72/49/382517249.db2.gz WSUGEYPNJXFRFT-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN CCc1cnccc1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000358275429 382542098 /nfs/dbraw/zinc/54/20/98/382542098.db2.gz VZQUNTOOGHIYNA-UHFFFAOYSA-N 0 0 285.303 2.535 20 5 CFBDRN COc1cc(N2CC[C@@H](SC)C2)c(F)cc1[N+](=O)[O-] ZINC000294891625 382542103 /nfs/dbraw/zinc/54/21/03/382542103.db2.gz DBMXOEPBXXNVSY-MRVPVSSYSA-N 0 0 286.328 2.684 20 5 CFBDRN Nc1c(F)c(N2CCC(CF)CC2)ccc1[N+](=O)[O-] ZINC000294912015 382543254 /nfs/dbraw/zinc/54/32/54/382543254.db2.gz FUTFCMIBQMTIOM-UHFFFAOYSA-N 0 0 271.267 2.502 20 5 CFBDRN Cc1ccc(OCC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000189110766 382545570 /nfs/dbraw/zinc/54/55/70/382545570.db2.gz XGKDYRSAABRYLK-LLVKDONJSA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1c(CNC(=O)NCCC(C)C)cccc1[N+](=O)[O-] ZINC000358284427 382547140 /nfs/dbraw/zinc/54/71/40/382547140.db2.gz DPDCXNSYAVVZMI-UHFFFAOYSA-N 0 0 279.340 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)CCCO)c1 ZINC000294953629 382547863 /nfs/dbraw/zinc/54/78/63/382547863.db2.gz MHEAFYTVTALKBZ-NSHDSACASA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1nnsc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000384193990 382551140 /nfs/dbraw/zinc/55/11/40/382551140.db2.gz WZNDSHCMHIQZOE-UHFFFAOYSA-N 0 0 286.263 2.645 20 5 CFBDRN Cc1cc(-c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)co1 ZINC000346865490 382551470 /nfs/dbraw/zinc/55/14/70/382551470.db2.gz HKRRRXVJUGYXPK-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN CCc1cnccc1NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421478761 382552901 /nfs/dbraw/zinc/55/29/01/382552901.db2.gz UDEROEWJYCDPBN-UHFFFAOYSA-N 0 0 299.330 2.843 20 5 CFBDRN C[C@H](CC(C)(C)O)Nc1c(F)cccc1[N+](=O)[O-] ZINC000384774435 382558739 /nfs/dbraw/zinc/55/87/39/382558739.db2.gz SJWQVCZVSNCNKK-MRVPVSSYSA-N 0 0 256.277 2.695 20 5 CFBDRN COc1ccc(NC(=O)[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000421498672 382560254 /nfs/dbraw/zinc/56/02/54/382560254.db2.gz AMXZISLGTJJMOV-YMTOWFKASA-N 0 0 276.292 2.732 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@]1(C)CCO[C@@H]1C ZINC000421505097 382562129 /nfs/dbraw/zinc/56/21/29/382562129.db2.gz HSKANBVOFMYBDM-IAQYHMDHSA-N 0 0 292.335 2.509 20 5 CFBDRN CC(C)[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000346898233 382564903 /nfs/dbraw/zinc/56/49/03/382564903.db2.gz UDXSRMAZTGBXLU-JTQLQIEISA-N 0 0 290.241 2.912 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H](C)CC(C)(C)O ZINC000295047803 382565043 /nfs/dbraw/zinc/56/50/43/382565043.db2.gz UCCVRHROMHEPSA-VIFPVBQESA-N 0 0 280.324 2.759 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000346905052 382566676 /nfs/dbraw/zinc/56/66/76/382566676.db2.gz BMUDJHORCFHTEK-AAEUAGOBSA-N 0 0 276.336 2.784 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(N(C)C)no1 ZINC000346925606 382573960 /nfs/dbraw/zinc/57/39/60/382573960.db2.gz BQITVJOORDRILK-NSHDSACASA-N 0 0 290.323 2.780 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@]1(C)CC1(F)F ZINC000421546604 382574595 /nfs/dbraw/zinc/57/45/95/382574595.db2.gz VDRTVMDRYLJFST-ZDUSSCGKSA-N 0 0 298.289 2.641 20 5 CFBDRN COc1ccc(NC(=O)[C@]23C[C@H]2CCC3)c([N+](=O)[O-])c1 ZINC000421418673 382529598 /nfs/dbraw/zinc/52/95/98/382529598.db2.gz IEOIJKVLFRJALO-YMTOWFKASA-N 0 0 276.292 2.732 20 5 CFBDRN CSc1ccccc1Cn1cc([N+](=O)[O-])ccc1=O ZINC000358266526 382536135 /nfs/dbraw/zinc/53/61/35/382536135.db2.gz NGBWNGZOPZPUTN-UHFFFAOYSA-N 0 0 276.317 2.527 20 5 CFBDRN C[C@H](N(C)Cc1c(Cl)cccc1[N+](=O)[O-])C(C)(C)O ZINC000382773541 382536215 /nfs/dbraw/zinc/53/62/15/382536215.db2.gz BCIBNFLEPQVYOL-VIFPVBQESA-N 0 0 286.759 2.839 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000421582747 382591444 /nfs/dbraw/zinc/59/14/44/382591444.db2.gz VMDFHWPHMFGJFE-SECBINFHSA-N 0 0 250.298 2.679 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@@H](C)O ZINC000386925185 382594146 /nfs/dbraw/zinc/59/41/46/382594146.db2.gz SCSUUPHYEJGOLZ-SKDRFNHKSA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)[C@@H]1C ZINC000358388329 382597570 /nfs/dbraw/zinc/59/75/70/382597570.db2.gz CYRJBUJHVBKDDQ-GHMZBOCLSA-N 0 0 294.326 2.923 20 5 CFBDRN CCCCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295264821 382602160 /nfs/dbraw/zinc/60/21/60/382602160.db2.gz GJPGMEPHKVUCRN-JTQLQIEISA-N 0 0 250.298 2.962 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000295263158 382602677 /nfs/dbraw/zinc/60/26/77/382602677.db2.gz GGTXOZLVMMNZER-CABZTGNLSA-N 0 0 262.309 2.818 20 5 CFBDRN CO[C@H]([C@H](C)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000388354326 382606470 /nfs/dbraw/zinc/60/64/70/382606470.db2.gz PQZOGQHAZSTAQY-TVQRCGJNSA-N 0 0 250.298 2.820 20 5 CFBDRN Cc1cnc(NCC(C)(C)C(C)(C)O)c([N+](=O)[O-])c1 ZINC000393579241 382623849 /nfs/dbraw/zinc/62/38/49/382623849.db2.gz YVBWMMMIWPKERI-UHFFFAOYSA-N 0 0 267.329 2.507 20 5 CFBDRN CN(CCCNc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000189680567 382634744 /nfs/dbraw/zinc/63/47/44/382634744.db2.gz MEWSXHWIMNBCTP-UHFFFAOYSA-N 0 0 292.364 2.990 20 5 CFBDRN CCOc1cc(N[C@H](CO)C2CCCC2)ccc1[N+](=O)[O-] ZINC000395150501 382629396 /nfs/dbraw/zinc/62/93/96/382629396.db2.gz JAHOFCGPASFUGF-CYBMUJFWSA-N 0 0 294.351 2.957 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C=CCCC2)cc([N+](=O)[O-])c1C ZINC000189280680 382581911 /nfs/dbraw/zinc/58/19/11/382581911.db2.gz QDPXEIBNNXQWBS-GFCCVEGCSA-N 0 0 290.319 2.750 20 5 CFBDRN CCC[C@@H](CC)Nc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000190170609 382655665 /nfs/dbraw/zinc/65/56/65/382655665.db2.gz NKTUJFWZGYJOBQ-SNVBAGLBSA-N 0 0 281.312 2.767 20 5 CFBDRN COc1ccccc1CCNc1ncc([N+](=O)[O-])s1 ZINC000190303522 382661339 /nfs/dbraw/zinc/66/13/39/382661339.db2.gz SYUKUPGZPACDIP-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN Cc1cc([C@@](C)(O)CNc2ncc([N+](=O)[O-])s2)c(C)o1 ZINC000190330424 382662298 /nfs/dbraw/zinc/66/22/98/382662298.db2.gz ZWCCKCFWLQMAMK-LBPRGKRZSA-N 0 0 297.336 2.581 20 5 CFBDRN CC(C)Cn1ccnc1CNc1ncc([N+](=O)[O-])s1 ZINC000190330523 382662372 /nfs/dbraw/zinc/66/23/72/382662372.db2.gz NSUYMXSTOXPZDM-UHFFFAOYSA-N 0 0 281.341 2.516 20 5 CFBDRN CO[C@H](C)[C@H](C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190358924 382663934 /nfs/dbraw/zinc/66/39/34/382663934.db2.gz LRLWACHKOYGJTG-WDEREUQCSA-N 0 0 294.351 2.871 20 5 CFBDRN CC(C)c1ccc(C(=O)NC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000190373461 382664631 /nfs/dbraw/zinc/66/46/31/382664631.db2.gz VGNUBUBYUBIGIC-LBPRGKRZSA-N 0 0 292.335 2.627 20 5 CFBDRN C[C@H]1CSCC[N@H+](Cc2cccc([N+](=O)[O-])c2)C1 ZINC000190404950 382666363 /nfs/dbraw/zinc/66/63/63/382666363.db2.gz GXJWGOLSEXUZLQ-LLVKDONJSA-N 0 0 266.366 2.780 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1CC[C@H](C)O1 ZINC000190616735 382675225 /nfs/dbraw/zinc/67/52/25/382675225.db2.gz YPRGQBAEMBWXTI-ONGXEEELSA-N 0 0 267.281 2.550 20 5 CFBDRN C[C@@H]1CC[C@H](COc2ccc([N+](=O)[O-])cc2F)O1 ZINC000190639541 382676125 /nfs/dbraw/zinc/67/61/25/382676125.db2.gz XHGHIOLILDVETG-PSASIEDQSA-N 0 0 255.245 2.680 20 5 CFBDRN CCOC(=O)[C@H](C)N(Cc1csc([N+](=O)[O-])c1)C1CC1 ZINC000190841433 382682320 /nfs/dbraw/zinc/68/23/20/382682320.db2.gz OFEBQRUWZJZUIE-VIFPVBQESA-N 0 0 298.364 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CC[C@H](C)O2)c1 ZINC000191024935 382688697 /nfs/dbraw/zinc/68/86/97/382688697.db2.gz NKPOXAHEEWUIGC-QWRGUYRKSA-N 0 0 251.282 2.850 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2cc(F)cc(F)c2)s1 ZINC000189686021 382635169 /nfs/dbraw/zinc/63/51/69/382635169.db2.gz DZPCUFYKRPEZQD-UHFFFAOYSA-N 0 0 285.275 2.984 20 5 CFBDRN Cc1cccc(C(=O)N2CCSC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000191283360 382697685 /nfs/dbraw/zinc/69/76/85/382697685.db2.gz VJTWQKIVCDJNND-JTQLQIEISA-N 0 0 294.376 2.728 20 5 CFBDRN COCC[C@H](C)NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191342592 382698765 /nfs/dbraw/zinc/69/87/65/382698765.db2.gz KYNHLNIUZYGLLR-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN Cc1cc(C(=O)NCC(F)(F)C(F)F)cc([N+](=O)[O-])c1 ZINC000191346530 382698801 /nfs/dbraw/zinc/69/88/01/382698801.db2.gz VZHQRXQXKJCXHV-UHFFFAOYSA-N 0 0 294.204 2.533 20 5 CFBDRN Cc1cnc(COC(=O)[C@@H](C)C(C)C)c(C)c1[N+](=O)[O-] ZINC000191355336 382698975 /nfs/dbraw/zinc/69/89/75/382698975.db2.gz MDYLNOKWRALTNI-JTQLQIEISA-N 0 0 280.324 2.942 20 5 CFBDRN Cc1cccc(C(=O)NCC(F)(F)C(F)F)c1[N+](=O)[O-] ZINC000191378683 382699338 /nfs/dbraw/zinc/69/93/38/382699338.db2.gz BGBVVJUILTXENE-UHFFFAOYSA-N 0 0 294.204 2.533 20 5 CFBDRN COc1cc(C(=O)N(C)CC2CCC2)cc([N+](=O)[O-])c1C ZINC000191581719 382701507 /nfs/dbraw/zinc/70/15/07/382701507.db2.gz GAOSJPAZWXYNLU-UHFFFAOYSA-N 0 0 292.335 2.784 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(Cc3cccc(O)c3)n2)c1 ZINC000336852148 382735376 /nfs/dbraw/zinc/73/53/76/382735376.db2.gz KMOPVHZMBXQYJT-UHFFFAOYSA-N 0 0 297.270 2.941 20 5 CFBDRN C[S@@](=O)Cc1cccc(Nc2ncc([N+](=O)[O-])s2)c1 ZINC000192456654 382709090 /nfs/dbraw/zinc/70/90/90/382709090.db2.gz DUOPNXPXFRKZCK-LJQANCHMSA-N 0 0 297.361 2.673 20 5 CFBDRN CSCC[C@@H](C)N(C)c1ncc([N+](=O)[O-])s1 ZINC000192473804 382709335 /nfs/dbraw/zinc/70/93/35/382709335.db2.gz VICWXRJJCPJXMD-SSDOTTSWSA-N 0 0 261.372 2.629 20 5 CFBDRN COCCC1(CNc2ncc([N+](=O)[O-])s2)CCC1 ZINC000192490113 382709379 /nfs/dbraw/zinc/70/93/79/382709379.db2.gz HVRJBWUZQPBFFJ-UHFFFAOYSA-N 0 0 271.342 2.670 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCO[C@H](c3cccs3)C2)s1 ZINC000192490767 382709412 /nfs/dbraw/zinc/70/94/12/382709412.db2.gz FHLCYHFRILVWPA-QMMMGPOBSA-N 0 0 297.361 2.691 20 5 CFBDRN CC(C)(O)c1ccc(CNc2ncc([N+](=O)[O-])s2)cc1 ZINC000192536316 382709853 /nfs/dbraw/zinc/70/98/53/382709853.db2.gz GAZFJTXKQVHGDA-UHFFFAOYSA-N 0 0 293.348 2.891 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCc1ccsc1 ZINC000193251856 382717433 /nfs/dbraw/zinc/71/74/33/382717433.db2.gz FRPARYJBLRZDIC-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H](O)C2CCCCC2)c1 ZINC000193407861 382719669 /nfs/dbraw/zinc/71/96/69/382719669.db2.gz IOLHLJZEUOOKGV-AWEZNQCLSA-N 0 0 279.340 2.530 20 5 CFBDRN CCn1cc(OCc2cc(OC)c(F)cc2[N+](=O)[O-])cn1 ZINC000193556409 382720963 /nfs/dbraw/zinc/72/09/63/382720963.db2.gz WNVXAXZGGGXRGA-UHFFFAOYSA-N 0 0 295.270 2.538 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000336834189 382727963 /nfs/dbraw/zinc/72/79/63/382727963.db2.gz QQCOYVYIYBNGGN-CBINBANVSA-N 0 0 278.356 2.881 20 5 CFBDRN CCOc1cc(NC[C@@](C)(O)C2CC2)ccc1[N+](=O)[O-] ZINC000336835411 382728710 /nfs/dbraw/zinc/72/87/10/382728710.db2.gz UKEAHNVKIGCBMK-CQSZACIVSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1occc1CN(C)c1ncc([N+](=O)[O-])s1 ZINC000195510661 382768910 /nfs/dbraw/zinc/76/89/10/382768910.db2.gz LVKLSJSZNPRONN-UHFFFAOYSA-N 0 0 253.283 2.589 20 5 CFBDRN C[C@H]1C[C@H]([NH2+]Cc2cc([N+](=O)[O-])ccc2Cl)CCO1 ZINC000219903395 382794660 /nfs/dbraw/zinc/79/46/60/382794660.db2.gz SFYGNKJUARDCCE-GXSJLCMTSA-N 0 0 284.743 2.905 20 5 CFBDRN O=C(NC1CC(c2ccccc2)C1)c1cc([N+](=O)[O-])c[nH]1 ZINC000337230774 382781216 /nfs/dbraw/zinc/78/12/16/382781216.db2.gz WIJALJQJSGQFBZ-UHFFFAOYSA-N 0 0 285.303 2.599 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000220078653 382795073 /nfs/dbraw/zinc/79/50/73/382795073.db2.gz WBWPFSAITOQGGS-APPZFPTMSA-N 0 0 271.704 2.623 20 5 CFBDRN CC[C@H](C)N(CC(=O)OC)Cc1c(F)cccc1[N+](=O)[O-] ZINC000337257941 382787848 /nfs/dbraw/zinc/78/78/48/382787848.db2.gz UOYIVMWFWYTGHM-JTQLQIEISA-N 0 0 298.314 2.507 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@H]2OC(F)F)ccc1[N+](=O)[O-] ZINC000336908890 382740897 /nfs/dbraw/zinc/74/08/97/382740897.db2.gz VULYHIBCSZAJLW-PSASIEDQSA-N 0 0 287.266 2.870 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCC[C@H]1OC(F)F ZINC000336916684 382742130 /nfs/dbraw/zinc/74/21/30/382742130.db2.gz NNDNTILGFZFCHG-IONNQARKSA-N 0 0 273.239 2.562 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC3(CCCCC3)O2)s1 ZINC000336924232 382742656 /nfs/dbraw/zinc/74/26/56/382742656.db2.gz JWJHKLUYCMWIKP-JTQLQIEISA-N 0 0 297.380 2.767 20 5 CFBDRN CC[C@H](C)c1nnc([C@@H](C)Nc2ncc([N+](=O)[O-])s2)[nH]1 ZINC000336937449 382743723 /nfs/dbraw/zinc/74/37/23/382743723.db2.gz VKYYMOGEDSFQPS-NKWVEPMBSA-N 0 0 296.356 2.856 20 5 CFBDRN Cc1c(NC(=O)NCc2nccs2)cccc1[N+](=O)[O-] ZINC000336958469 382745925 /nfs/dbraw/zinc/74/59/25/382745925.db2.gz ANZZPISIBBIZKH-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc3nccnc3c2)s1 ZINC000336985316 382748827 /nfs/dbraw/zinc/74/88/27/382748827.db2.gz UZVFQCKZDDVLCB-UHFFFAOYSA-N 0 0 274.261 2.787 20 5 CFBDRN COCCN(c1ncc([N+](=O)[O-])s1)[C@@H]1CC[C@@H](C)C1 ZINC000336997056 382750118 /nfs/dbraw/zinc/75/01/18/382750118.db2.gz OWCWAOFTGYAZNE-NXEZZACHSA-N 0 0 285.369 2.693 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCO[C@@H]1CCCCO1 ZINC000194714665 382752911 /nfs/dbraw/zinc/75/29/11/382752911.db2.gz MHDLVXLLIKWOJO-CQSZACIVSA-N 0 0 297.307 2.525 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)c1cccnc1 ZINC000194767598 382754117 /nfs/dbraw/zinc/75/41/17/382754117.db2.gz ILVQRKOYUHCPNO-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN CC(=O)c1cc(N(C)C[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000228258416 382846023 /nfs/dbraw/zinc/84/60/23/382846023.db2.gz GFVLPEHLILENSI-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000577067198 382846480 /nfs/dbraw/zinc/84/64/80/382846480.db2.gz CSHHDPIUPRPVJF-ZYHUDNBSSA-N 0 0 282.340 2.812 20 5 CFBDRN C[C@H](NC(=O)C1(CF)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000577063624 382846675 /nfs/dbraw/zinc/84/66/75/382846675.db2.gz PNPJLQAGGSWAQQ-JTQLQIEISA-N 0 0 280.299 2.912 20 5 CFBDRN CCOCCNc1cc(C)[nH+]c2ccc([N+](=O)[O-])cc12 ZINC000228484371 382847183 /nfs/dbraw/zinc/84/71/83/382847183.db2.gz FZJYFOXTPVJCNF-UHFFFAOYSA-N 0 0 275.308 2.900 20 5 CFBDRN Cc1ncc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)s1 ZINC000337300531 382797460 /nfs/dbraw/zinc/79/74/60/382797460.db2.gz NOUBGZKVNFBWMW-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCC[C@H]2O)c(Cl)c1 ZINC000226149244 382824802 /nfs/dbraw/zinc/82/48/02/382824802.db2.gz SVNDIKRKKOZEBX-LDYMZIIASA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCC[C@@H]2O)c(Cl)c1 ZINC000226149221 382824905 /nfs/dbraw/zinc/82/49/05/382824905.db2.gz SVNDIKRKKOZEBX-KWQFWETISA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC[C@H]1CCCCO1 ZINC000227315665 382835338 /nfs/dbraw/zinc/83/53/38/382835338.db2.gz NGGXIYLFMPDNHI-LLVKDONJSA-N 0 0 250.298 2.966 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC[C@@H]1CCCCO1 ZINC000227315662 382835417 /nfs/dbraw/zinc/83/54/17/382835417.db2.gz NGGXIYLFMPDNHI-NSHDSACASA-N 0 0 250.298 2.966 20 5 CFBDRN C[C@@H](O)C1CCN(Cc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000227528205 382837569 /nfs/dbraw/zinc/83/75/69/382837569.db2.gz RCZGJLVYBCZIPD-SNVBAGLBSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@H](O)C1CCN(Cc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000227528210 382837719 /nfs/dbraw/zinc/83/77/19/382837719.db2.gz RCZGJLVYBCZIPD-JTQLQIEISA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@@H]1c1ccccc1 ZINC000337530522 382838030 /nfs/dbraw/zinc/83/80/30/382838030.db2.gz CTYSSDNWFJEZSN-CABCVRRESA-N 0 0 297.314 2.584 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCCO2)c(Cl)c1 ZINC000227650380 382838807 /nfs/dbraw/zinc/83/88/07/382838807.db2.gz RNURCSXCOJMAQU-VIFPVBQESA-N 0 0 271.704 2.624 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccco2)c(Cl)c1 ZINC000227647431 382838812 /nfs/dbraw/zinc/83/88/12/382838812.db2.gz IVVJNTCEYBHHOR-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@@H]1CC[C@H](O)C1 ZINC000227661385 382838842 /nfs/dbraw/zinc/83/88/42/382838842.db2.gz WGPTWCHCZJSHEU-SCZZXKLOSA-N 0 0 270.716 2.821 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@@H]2CCC[C@H](O)C2)c(F)c1 ZINC000227890790 382841872 /nfs/dbraw/zinc/84/18/72/382841872.db2.gz AQPVTHUNIDFKFM-SCZZXKLOSA-N 0 0 286.278 2.836 20 5 CFBDRN COC(C)(C)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227891014 382842153 /nfs/dbraw/zinc/84/21/53/382842153.db2.gz YEYCUMUFADICOG-UHFFFAOYSA-N 0 0 260.240 2.710 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCc2cccnc2)c(F)c1 ZINC000227887241 382842187 /nfs/dbraw/zinc/84/21/87/382842187.db2.gz AOTXVSJTFOQOCI-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN C[C@@H](O)CCCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000227993773 382843539 /nfs/dbraw/zinc/84/35/39/382843539.db2.gz LBKJCICGTOIVRO-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN CC(C)c1cccnc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000337573546 382844054 /nfs/dbraw/zinc/84/40/54/382844054.db2.gz TWCMTVKZZKCFET-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CN(C(=O)NCc1cccc([N+](=O)[O-])c1)[C@@H]1CC1(C)C ZINC000578297540 382907753 /nfs/dbraw/zinc/90/77/53/382907753.db2.gz IGJXSXXAXKRUHA-GFCCVEGCSA-N 0 0 277.324 2.535 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)Cc1ccncc1 ZINC000338035486 382908524 /nfs/dbraw/zinc/90/85/24/382908524.db2.gz OLTLCMQTKIEZSA-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CC(C)Oc1nc(N2CCSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000577295613 382854868 /nfs/dbraw/zinc/85/48/68/382854868.db2.gz SZIFWBCLGZLBAF-JTQLQIEISA-N 0 0 297.380 2.719 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000337692442 382855831 /nfs/dbraw/zinc/85/58/31/382855831.db2.gz NPWHLWOBRJBNMY-UHFFFAOYSA-N 0 0 295.245 2.604 20 5 CFBDRN C[C@@H](CO)CCCNc1cccc(F)c1[N+](=O)[O-] ZINC000230146979 382856386 /nfs/dbraw/zinc/85/63/86/382856386.db2.gz CSSWCUDAYKJUFH-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN C[C@H](CO)CCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000230147791 382856404 /nfs/dbraw/zinc/85/64/04/382856404.db2.gz RCFGWGAXFNIHHV-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN Cc1c(CNc2c(F)cc([N+](=O)[O-])cc2F)cnn1C ZINC000230145413 382856405 /nfs/dbraw/zinc/85/64/05/382856405.db2.gz RXOBRXZFDFGGSF-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN O=C(NCc1ccc(F)c(Cl)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000337697826 382856532 /nfs/dbraw/zinc/85/65/32/382856532.db2.gz URGSJDOHCGMOBL-UHFFFAOYSA-N 0 0 297.673 2.645 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000230170180 382856682 /nfs/dbraw/zinc/85/66/82/382856682.db2.gz WFASBSGUUWUZFH-KCJUWKMLSA-N 0 0 295.314 2.625 20 5 CFBDRN Cc1cc(N[C@H](C)C2CCOCC2)ncc1[N+](=O)[O-] ZINC000230216973 382857592 /nfs/dbraw/zinc/85/75/92/382857592.db2.gz MYZHTFDGBBMMCJ-SNVBAGLBSA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1(CF)CCC1 ZINC000577495871 382860966 /nfs/dbraw/zinc/86/09/66/382860966.db2.gz GMTOPVUZCMENEO-UHFFFAOYSA-N 0 0 266.272 2.525 20 5 CFBDRN CCOCCOc1cc([N+](=O)[O-])ccc1Br ZINC000230403075 382861099 /nfs/dbraw/zinc/86/10/99/382861099.db2.gz WLCFVVNLSXCMQT-UHFFFAOYSA-N 0 0 290.113 2.773 20 5 CFBDRN CCc1nc(CSc2cccc([N+](=O)[O-])c2)no1 ZINC000337751832 382861381 /nfs/dbraw/zinc/86/13/81/382861381.db2.gz JOVILPNNUVETJZ-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2N[C@H]1CCCc2c[nH]nc21 ZINC000577529388 382862721 /nfs/dbraw/zinc/86/27/21/382862721.db2.gz SROMMFGGEHMQNO-KBPBESRZSA-N 0 0 298.346 2.972 20 5 CFBDRN COc1cc(NC[C@H](O)c2ccsc2)ccc1[N+](=O)[O-] ZINC000230907761 382863349 /nfs/dbraw/zinc/86/33/49/382863349.db2.gz TYQQAQQWKSEDHL-LBPRGKRZSA-N 0 0 294.332 2.810 20 5 CFBDRN CCC1(CNc2ncc([N+](=O)[O-])cn2)CCCC1 ZINC000230874482 382863357 /nfs/dbraw/zinc/86/33/57/382863357.db2.gz AVFXEHXWJFZGPG-UHFFFAOYSA-N 0 0 250.302 2.767 20 5 CFBDRN O=C(CSc1cccc([N+](=O)[O-])c1)NCc1ccco1 ZINC000337772300 382863376 /nfs/dbraw/zinc/86/33/76/382863376.db2.gz VXFNMYKERGLFRN-UHFFFAOYSA-N 0 0 292.316 2.596 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1CCC[C@@H](O)C1 ZINC000230908773 382863388 /nfs/dbraw/zinc/86/33/88/382863388.db2.gz CWFFWYDHEZGYJZ-GHMZBOCLSA-N 0 0 250.298 2.558 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1c1ncc([N+](=O)[O-])cc1F ZINC000577587813 382864046 /nfs/dbraw/zinc/86/40/46/382864046.db2.gz NUCJDVCERHEHIS-RKDXNWHRSA-N 0 0 253.277 2.896 20 5 CFBDRN CO[C@H]1CCCC[C@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1C ZINC000231243779 382864324 /nfs/dbraw/zinc/86/43/24/382864324.db2.gz TXOLBUOJLKIYCU-HIFRSBDPSA-N 0 0 278.352 2.950 20 5 CFBDRN Cc1cc(C)nc(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000231868220 382867514 /nfs/dbraw/zinc/86/75/14/382867514.db2.gz VKSZUDKWHVZRNE-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000337842732 382871201 /nfs/dbraw/zinc/87/12/01/382871201.db2.gz GTFGKGAMTMRIHA-WDEREUQCSA-N 0 0 298.289 2.847 20 5 CFBDRN CC[C@@](C)(O)CNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000233982110 382872456 /nfs/dbraw/zinc/87/24/56/382872456.db2.gz JHDXYAKBDMSMNL-SNVBAGLBSA-N 0 0 293.245 2.582 20 5 CFBDRN CC[C@H](O)CCNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000234040228 382872721 /nfs/dbraw/zinc/87/27/21/382872721.db2.gz RZFXPLLXJLCJFI-ZETCQYMHSA-N 0 0 293.245 2.582 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)C2CCC2)c1F ZINC000234250569 382873686 /nfs/dbraw/zinc/87/36/86/382873686.db2.gz ORLNRRSCANDGBP-UHFFFAOYSA-N 0 0 266.272 2.667 20 5 CFBDRN COCC[C@@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C(C)(C)C ZINC000337945076 382875851 /nfs/dbraw/zinc/87/58/51/382875851.db2.gz HWSLKWKFKYBTGH-CQSZACIVSA-N 0 0 296.367 2.841 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)Cc1cccnc1 ZINC000421737829 382910448 /nfs/dbraw/zinc/91/04/48/382910448.db2.gz NSCRHWVUGYQJCW-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2CCC[C@H]2C)c1F ZINC000235263023 382878816 /nfs/dbraw/zinc/87/88/16/382878816.db2.gz WOHKULGXVSOVBE-PELKAZGASA-N 0 0 280.299 2.961 20 5 CFBDRN O=C(NCCC1=CCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337953966 382879387 /nfs/dbraw/zinc/87/93/87/382879387.db2.gz GLDIUAWFEUVJQF-UHFFFAOYSA-N 0 0 278.283 2.964 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)CCO1 ZINC000421660296 382887287 /nfs/dbraw/zinc/88/72/87/382887287.db2.gz BFEOBAKNGYWNGF-UWVGGRQHSA-N 0 0 298.364 2.652 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000533235086 382888597 /nfs/dbraw/zinc/88/85/97/382888597.db2.gz MNJSCSMIRPBYHX-SECBINFHSA-N 0 0 296.371 2.948 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000421667068 382890084 /nfs/dbraw/zinc/89/00/84/382890084.db2.gz WQNFDXFODCIBHB-STQMWFEESA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H]3CC[C@@H](O)C3)ccc2c1 ZINC000236548970 382894932 /nfs/dbraw/zinc/89/49/32/382894932.db2.gz IUKAHMMMINSWDG-ZWNOBZJWSA-N 0 0 287.319 2.716 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H]1F ZINC000493752464 382895081 /nfs/dbraw/zinc/89/50/81/382895081.db2.gz JODOORCYOXZGCU-AHYBDNRGSA-N 0 0 278.283 2.615 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H]3CC[C@H](O)C3)ccc2c1 ZINC000236566302 382895230 /nfs/dbraw/zinc/89/52/30/382895230.db2.gz IUKAHMMMINSWDG-MFKMUULPSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@H](O)C2)c2ccncc21 ZINC000236571627 382896372 /nfs/dbraw/zinc/89/63/72/382896372.db2.gz AXSLJRRPSABILR-QWRGUYRKSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H](C(=O)N[C@H](C)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000578187612 382897045 /nfs/dbraw/zinc/89/70/45/382897045.db2.gz LUMWWDYEMBSBHJ-HTQZYQBOSA-N 0 0 290.241 2.765 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000455341064 382899030 /nfs/dbraw/zinc/89/90/30/382899030.db2.gz WQQOOGURANLUJY-ZANVPECISA-N 0 0 293.298 2.902 20 5 CFBDRN Nc1ccc(SCCn2cc([N+](=O)[O-])cn2)c(Cl)c1 ZINC000338160324 382938186 /nfs/dbraw/zinc/93/81/86/382938186.db2.gz LRJZLRPIGXZWBN-UHFFFAOYSA-N 0 0 298.755 2.819 20 5 CFBDRN CCCN(C(=O)c1ocnc1C)c1cccc([N+](=O)[O-])c1 ZINC000441450327 382915536 /nfs/dbraw/zinc/91/55/36/382915536.db2.gz KVBQQDRHHBKADB-UHFFFAOYSA-N 0 0 289.291 2.948 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1CC1(C)C ZINC000421767803 382918827 /nfs/dbraw/zinc/91/88/27/382918827.db2.gz LZNVPTJCVPGCSL-NSHDSACASA-N 0 0 276.336 2.988 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC1C(C)(C)C1(C)C ZINC000494697796 382920076 /nfs/dbraw/zinc/92/00/76/382920076.db2.gz FXXICHKTDNIVKF-UHFFFAOYSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1cccc(CCNc2ccccc2[N+](=O)[O-])n1 ZINC000441804116 382924780 /nfs/dbraw/zinc/92/47/80/382924780.db2.gz ULJAPDXNXDBTFG-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN COCC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000338139678 382931206 /nfs/dbraw/zinc/93/12/06/382931206.db2.gz BDHGSRQVWKCHPO-CYBMUJFWSA-N 0 0 294.351 2.776 20 5 CFBDRN CC[C@@]1(O)CCN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000495249155 382974675 /nfs/dbraw/zinc/97/46/75/382974675.db2.gz QOPPYKZTNDQPHF-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc(C)ccc2[N+](=O)[O-])nc1 ZINC000457170803 382977709 /nfs/dbraw/zinc/97/77/09/382977709.db2.gz DFIMNPNTYOSVHG-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC1(C)C[C@@H](CNC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000457173009 382978597 /nfs/dbraw/zinc/97/85/97/382978597.db2.gz ZMQLYBTXTZNIMC-NSHDSACASA-N 0 0 292.335 2.530 20 5 CFBDRN CCCN(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)C(C)C ZINC000579137742 382987800 /nfs/dbraw/zinc/98/78/00/382987800.db2.gz IKWVDJUVFPLTJJ-UHFFFAOYSA-N 0 0 294.307 2.584 20 5 CFBDRN CC(C)(C)[C@H]1CCCC[C@@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548928319 382994385 /nfs/dbraw/zinc/99/43/85/382994385.db2.gz UEKYMFABZQQIAB-QWRGUYRKSA-N 0 0 294.355 2.653 20 5 CFBDRN C[C@@H]1C[C@@H](COc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000447289500 382995464 /nfs/dbraw/zinc/99/54/64/382995464.db2.gz APPRJXMEPMRMDQ-ZJUUUORDSA-N 0 0 269.272 2.928 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2[C@H](C)C[C@@H]2C)c1 ZINC000549088858 382999232 /nfs/dbraw/zinc/99/92/32/382999232.db2.gz DCERYPYOCIPUMF-AOOOYVTPSA-N 0 0 278.308 2.616 20 5 CFBDRN CCCCC1CCC(NC(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000549203381 383002797 /nfs/dbraw/zinc/00/27/97/383002797.db2.gz ZVZUEDAJLUAFNR-UHFFFAOYSA-N 0 0 294.355 2.797 20 5 CFBDRN Cc1ccccc1Cn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000579352758 383006991 /nfs/dbraw/zinc/00/69/91/383006991.db2.gz JLKLUNZHXAKLFE-UHFFFAOYSA-N 0 0 298.342 2.992 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCCS1 ZINC000579374160 383009175 /nfs/dbraw/zinc/00/91/75/383009175.db2.gz MOSGOZDNXJGLSZ-SECBINFHSA-N 0 0 268.338 2.911 20 5 CFBDRN Cc1cc(Nc2cccc(N3CCC3=O)c2)ncc1[N+](=O)[O-] ZINC000447813393 383011440 /nfs/dbraw/zinc/01/14/40/383011440.db2.gz RLIQWWSSOYSXSO-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CO)CC2CCC2)c(Cl)c1 ZINC000280328537 194800359 /nfs/dbraw/zinc/80/03/59/194800359.db2.gz UPBFEPCIFZOBRB-VIFPVBQESA-N 0 0 285.731 2.606 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000457357396 383033651 /nfs/dbraw/zinc/03/36/51/383033651.db2.gz MVQPHVPCTCGMOW-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN COc1cc(NCC[C@@H](C)F)c(F)cc1[N+](=O)[O-] ZINC000338758551 383041721 /nfs/dbraw/zinc/04/17/21/383041721.db2.gz WRSGGGRKFNVYSR-SSDOTTSWSA-N 0 0 260.240 2.903 20 5 CFBDRN CO[C@@H](CNc1cccc(C)c1[N+](=O)[O-])C(C)C ZINC000338673999 383027781 /nfs/dbraw/zinc/02/77/81/383027781.db2.gz FSFUOWBURQHHNF-LBPRGKRZSA-N 0 0 252.314 2.986 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000592004533 383122119 /nfs/dbraw/zinc/12/21/19/383122119.db2.gz XBPGCTFKAABUPI-GMTAPVOTSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1cc(N[C@H](C)C2(CO)CC2)c(F)cc1[N+](=O)[O-] ZINC000596435130 383126340 /nfs/dbraw/zinc/12/63/40/383126340.db2.gz CMJBYBSXJFLZFK-SECBINFHSA-N 0 0 268.288 2.615 20 5 CFBDRN Cc1cc(N2CCCC[C@H]2[C@@H](C)CO)ccc1[N+](=O)[O-] ZINC000596435236 383126361 /nfs/dbraw/zinc/12/63/61/383126361.db2.gz LUONAANHPSGANN-JSGCOSHPSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@@H](CO)[C@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000596436117 383126404 /nfs/dbraw/zinc/12/64/04/383126404.db2.gz QYLVZXJKHHGEGS-CMPLNLGQSA-N 0 0 282.315 2.721 20 5 CFBDRN CC(C)(C)OCCCNc1ncc([N+](=O)[O-])cc1F ZINC000596464691 383128035 /nfs/dbraw/zinc/12/80/35/383128035.db2.gz KBTSPNVJOOPBIU-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000458263939 383146125 /nfs/dbraw/zinc/14/61/25/383146125.db2.gz UJUJWJKVRXSGFN-BPYAMOTFSA-N 0 0 299.330 2.995 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCO[C@H]1c1cccnc1 ZINC000339095750 383146796 /nfs/dbraw/zinc/14/67/96/383146796.db2.gz RYWQFUUQCVDLTA-HIFRSBDPSA-N 0 0 285.303 2.932 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000458253882 383143320 /nfs/dbraw/zinc/14/33/20/383143320.db2.gz INQGGFMIZYCRSL-JFGNBEQYSA-N 0 0 299.330 2.995 20 5 CFBDRN C[C@@H]1CCCN(CC(=O)Nc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000246653266 383089614 /nfs/dbraw/zinc/08/96/14/383089614.db2.gz IQTHMAXBRRMRQN-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000458265869 383147213 /nfs/dbraw/zinc/14/72/13/383147213.db2.gz WDRWJKSCHZYQRV-SUZMYJTESA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000458259108 383143969 /nfs/dbraw/zinc/14/39/69/383143969.db2.gz OBPXQVRRPXXBEM-ADEWGFFLSA-N 0 0 299.330 2.995 20 5 CFBDRN CO[C@@H](COc1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000424084123 383176528 /nfs/dbraw/zinc/17/65/28/383176528.db2.gz DMVXLTINFNLFAD-ZDUSSCGKSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ncc2c(n1)CCN([C@@H](C)c1cccc([N+](=O)[O-])c1)C2 ZINC000518555884 383203681 /nfs/dbraw/zinc/20/36/81/383203681.db2.gz WHBZULPHLMPTLN-NSHDSACASA-N 0 0 298.346 2.813 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000458268893 383147905 /nfs/dbraw/zinc/14/79/05/383147905.db2.gz ZLVHRPXABPBFPU-SWHYSGLUSA-N 0 0 274.320 2.822 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)c1ccsc1 ZINC000619758349 383148273 /nfs/dbraw/zinc/14/82/73/383148273.db2.gz RENNYJIEAGBYAF-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCO[C@H]2c2cccnc2)cc1 ZINC000339098831 383149061 /nfs/dbraw/zinc/14/90/61/383149061.db2.gz SZMKWMCWUAULTH-GJZGRUSLSA-N 0 0 285.303 2.932 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000247263884 383157994 /nfs/dbraw/zinc/15/79/94/383157994.db2.gz VNTWNZKMFWSBRG-YABSGUDNSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1cn2cc(NC(=O)c3ccccc3[N+](=O)[O-])ccc2n1 ZINC000339122942 383158509 /nfs/dbraw/zinc/15/85/09/383158509.db2.gz BJBIOUDULUERHW-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CCC[C@H](NC(=O)c1ccc([N+](=O)[O-])n1C)C1CCC1 ZINC000458318626 383161514 /nfs/dbraw/zinc/16/15/14/383161514.db2.gz ZMSQMQCWHUKRDP-NSHDSACASA-N 0 0 279.340 2.632 20 5 CFBDRN CO[C@H](C)c1cccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000339147697 383166992 /nfs/dbraw/zinc/16/69/92/383166992.db2.gz AZQFGAGHPVVLND-SECBINFHSA-N 0 0 289.291 2.883 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN[C@@H]1CCC[C@@H]1F ZINC000339590352 383255814 /nfs/dbraw/zinc/25/58/14/383255814.db2.gz PNLVBTIFLCHDOC-QWHCGFSZSA-N 0 0 282.315 2.974 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)[C@@H](C)CO1 ZINC000248424157 383241049 /nfs/dbraw/zinc/24/10/49/383241049.db2.gz NGVFDIFSYGOQQJ-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1coc(C)c1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000339818622 383328393 /nfs/dbraw/zinc/32/83/93/383328393.db2.gz XMYMSGWGEWSDJL-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN CO[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@@H]1C ZINC000449492973 383330501 /nfs/dbraw/zinc/33/05/01/383330501.db2.gz CZAIWTSIOMVFMQ-GXSJLCMTSA-N 0 0 270.354 2.513 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000522240116 383333478 /nfs/dbraw/zinc/33/34/78/383333478.db2.gz IPQZPABEIRYIJC-CQSZACIVSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])s2)C[C@@H](C)C1 ZINC000249219153 383334928 /nfs/dbraw/zinc/33/49/28/383334928.db2.gz FUQKYPZGRNIQGE-IUCAKERBSA-N 0 0 255.343 2.924 20 5 CFBDRN Cc1nn(C)c(NCc2ccc([C@@H]3C[C@H]3C)o2)c1[N+](=O)[O-] ZINC000522284606 383335439 /nfs/dbraw/zinc/33/54/39/383335439.db2.gz AHTOIKFPDNTPRC-LDYMZIIASA-N 0 0 290.323 2.965 20 5 CFBDRN CC[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000567965526 383337741 /nfs/dbraw/zinc/33/77/41/383337741.db2.gz NWQDNOANDPVQMN-QMMMGPOBSA-N 0 0 251.286 2.621 20 5 CFBDRN CC(C)(C)OCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000521200624 383288916 /nfs/dbraw/zinc/28/89/16/383288916.db2.gz RNMGMCZVPWJSKS-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN CC[C@](C)(OC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000280620827 194905304 /nfs/dbraw/zinc/90/53/04/194905304.db2.gz WONNRFNYAMGCSB-ZDUSSCGKSA-N 0 0 266.297 2.657 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@H]1C1CCC1 ZINC000424971321 383300313 /nfs/dbraw/zinc/30/03/13/383300313.db2.gz QUMINQDVYGIEDN-QWHCGFSZSA-N 0 0 290.319 2.522 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC12CC2 ZINC000424971257 383300340 /nfs/dbraw/zinc/30/03/40/383300340.db2.gz OGSFNXPAEOYACE-JSGCOSHPSA-N 0 0 288.347 2.832 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000424970439 383300343 /nfs/dbraw/zinc/30/03/43/383300343.db2.gz MPZFIEIGMDJKLI-LBPRGKRZSA-N 0 0 274.320 2.541 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000424974743 383300965 /nfs/dbraw/zinc/30/09/65/383300965.db2.gz AXSDJRKSKSHKAT-QWHCGFSZSA-N 0 0 278.283 2.652 20 5 CFBDRN Cc1nc(N2CC[C@@H](C3CCCC3)C2)ncc1[N+](=O)[O-] ZINC000521602742 383301561 /nfs/dbraw/zinc/30/15/61/383301561.db2.gz FGZVEUPDWQHNKX-GFCCVEGCSA-N 0 0 276.340 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@H]2CC2CCC2)cc1 ZINC000339774391 383313587 /nfs/dbraw/zinc/31/35/87/383313587.db2.gz HFRJKYMDFFPFJC-MRXNPFEDSA-N 0 0 290.363 2.986 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H]2CCCC[C@@H]21 ZINC000567767738 383314590 /nfs/dbraw/zinc/31/45/90/383314590.db2.gz VWKVHVLQTVRKFH-RYUDHWBXSA-N 0 0 278.356 2.889 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@H](F)C2)ncc1[N+](=O)[O-] ZINC000567767814 383314608 /nfs/dbraw/zinc/31/46/08/383314608.db2.gz XYLWLCCCIPFMTP-UWVGGRQHSA-N 0 0 253.277 2.991 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000339790381 383318515 /nfs/dbraw/zinc/31/85/15/383318515.db2.gz ATZYKAABURZCFP-PWSUYJOCSA-N 0 0 294.326 2.829 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000522005247 383321816 /nfs/dbraw/zinc/32/18/16/383321816.db2.gz WYKUAJSOWNKWFM-ZANVPECISA-N 0 0 295.339 2.636 20 5 CFBDRN CCN(CC)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000522055278 383323827 /nfs/dbraw/zinc/32/38/27/383323827.db2.gz PBUCAIYGCGKBTL-UHFFFAOYSA-N 0 0 279.340 2.881 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000522048272 383324028 /nfs/dbraw/zinc/32/40/28/383324028.db2.gz KPHPUUKYOHEHME-GFCCVEGCSA-N 0 0 292.335 2.547 20 5 CFBDRN CC[C@H]1CCCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000450308678 383390085 /nfs/dbraw/zinc/39/00/85/383390085.db2.gz NRDZHHIPEVFNPI-VIFPVBQESA-N 0 0 260.297 2.850 20 5 CFBDRN Cc1c(CNC(=O)N2C[C@H](C)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000480064886 383415280 /nfs/dbraw/zinc/41/52/80/383415280.db2.gz IPEBZBKELFNUSS-QWRGUYRKSA-N 0 0 291.351 2.701 20 5 CFBDRN CC1(C)CN(Cc2csc([N+](=O)[O-])c2)[C@@H]2COC[C@@H]21 ZINC000568355087 383392779 /nfs/dbraw/zinc/39/27/79/383392779.db2.gz LKELBYVQZOTCFX-WDEREUQCSA-N 0 0 282.365 2.513 20 5 CFBDRN Cc1ccc(CC(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000568357659 383393863 /nfs/dbraw/zinc/39/38/63/383393863.db2.gz QFHDGEQYSUBYKK-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2c([N+](=O)[O-])ncn2C)C[C@H]1C ZINC000251858650 383398797 /nfs/dbraw/zinc/39/87/97/383398797.db2.gz PQWQWJQVMXCNKB-BBBLOLIVSA-N 0 0 252.318 2.565 20 5 CFBDRN CCOCCN(C)c1nccc2c1cccc2[N+](=O)[O-] ZINC000450417139 383400450 /nfs/dbraw/zinc/40/04/50/383400450.db2.gz BKRREFIDZXHWDE-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000525673662 383403079 /nfs/dbraw/zinc/40/30/79/383403079.db2.gz UZNAIUKOOYYIFK-IJLUTSLNSA-N 0 0 291.351 2.632 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H](C)S[C@@H](C)C2)c1 ZINC000525705146 383404582 /nfs/dbraw/zinc/40/45/82/383404582.db2.gz CRXADOCYCJZNHV-AOOOYVTPSA-N 0 0 282.365 2.934 20 5 CFBDRN C[C@H](C(=O)N1[C@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000525938655 383410561 /nfs/dbraw/zinc/41/05/61/383410561.db2.gz NIZNFUSRKNLAOP-AXFHLTTASA-N 0 0 262.309 2.708 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000340087510 383351745 /nfs/dbraw/zinc/35/17/45/383351745.db2.gz OECKCQRUNJMJLO-NEPJUHHUSA-N 0 0 292.335 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000425298820 383361823 /nfs/dbraw/zinc/36/18/23/383361823.db2.gz JUQKDJLKEGGTPU-ZSBIGDGJSA-N 0 0 269.304 2.775 20 5 CFBDRN Cc1ccc(SCCNc2ccncc2[N+](=O)[O-])cc1 ZINC000340148003 383363089 /nfs/dbraw/zinc/36/30/89/383363089.db2.gz HGVZSBCVWKWEAH-UHFFFAOYSA-N 0 0 289.360 2.924 20 5 CFBDRN C[C@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)n1cccc1 ZINC000524338159 383370968 /nfs/dbraw/zinc/37/09/68/383370968.db2.gz QOZASZDMOHCBBI-GFCCVEGCSA-N 0 0 287.319 2.664 20 5 CFBDRN O=C(Nc1ccc(Cl)cc1O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340330418 383414879 /nfs/dbraw/zinc/41/48/79/383414879.db2.gz MEPLWEYIVFKEDW-UHFFFAOYSA-N 0 0 281.655 2.534 20 5 CFBDRN CC1(C)CN(c2nccc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000450249885 383388065 /nfs/dbraw/zinc/38/80/65/383388065.db2.gz DMOBUDKGCJHIBJ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CC1CCC(C)CC1 ZINC000526073738 383426779 /nfs/dbraw/zinc/42/67/79/383426779.db2.gz NVALIWFLUZLYKC-UHFFFAOYSA-N 0 0 264.325 2.891 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000450476473 383427118 /nfs/dbraw/zinc/42/71/18/383427118.db2.gz PXKSAMXALXQPHX-DGCLKSJQSA-N 0 0 287.319 2.544 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000450476474 383427752 /nfs/dbraw/zinc/42/77/52/383427752.db2.gz PXKSAMXALXQPHX-WCQYABFASA-N 0 0 287.319 2.544 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000450476472 383427888 /nfs/dbraw/zinc/42/78/88/383427888.db2.gz PXKSAMXALXQPHX-AAEUAGOBSA-N 0 0 287.319 2.544 20 5 CFBDRN Cc1cc(C)cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000340473166 383432832 /nfs/dbraw/zinc/43/28/32/383432832.db2.gz CAPAVHLMPSQXBQ-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1F ZINC000340473561 383433390 /nfs/dbraw/zinc/43/33/90/383433390.db2.gz LNXFXZZZNVYPNI-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CC[C@H](F)C2)c([N+](=O)[O-])c1 ZINC000450535545 383450694 /nfs/dbraw/zinc/45/06/94/383450694.db2.gz OARKQAMRUSGQJN-QWRGUYRKSA-N 0 0 296.298 2.613 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC(CF)CC2)c1 ZINC000450691502 383482013 /nfs/dbraw/zinc/48/20/13/383482013.db2.gz YJDSQPXYVVIPIR-UHFFFAOYSA-N 0 0 296.298 2.567 20 5 CFBDRN CCC(C)(C)CCn1cc([N+](=O)[O-])cc(F)c1=O ZINC000569002941 383459115 /nfs/dbraw/zinc/45/91/15/383459115.db2.gz IRENEOCTPSLRTH-UHFFFAOYSA-N 0 0 256.277 2.722 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H](C3CC3)C2)n1 ZINC000359093901 291825982 /nfs/dbraw/zinc/82/59/82/291825982.db2.gz HXNNQIRFLRIZSF-GFCCVEGCSA-N 0 0 261.325 2.843 20 5 CFBDRN CC[C@@H](COCC(F)(F)F)Nc1ccc([N+](=O)[O-])nc1 ZINC000450583702 383470451 /nfs/dbraw/zinc/47/04/51/383470451.db2.gz CMSOSGDEJPCGLI-QMMMGPOBSA-N 0 0 293.245 2.759 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000486758351 383475102 /nfs/dbraw/zinc/47/51/02/383475102.db2.gz CQTNVZXLJWQKRN-PTEHBNRSSA-N 0 0 275.308 2.823 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2ccsc2C1 ZINC000340589118 383480135 /nfs/dbraw/zinc/48/01/35/383480135.db2.gz YIIPKTZCILGETM-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN CO[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000450751532 383485819 /nfs/dbraw/zinc/48/58/19/383485819.db2.gz DULWFCCWQHNUOB-CABZTGNLSA-N 0 0 268.288 2.595 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCN(C)c1ccccc1 ZINC000569339027 383489316 /nfs/dbraw/zinc/48/93/16/383489316.db2.gz JUVJKHKBFRJFEM-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN Cc1nc(N2C[C@@H](C(C)C)[C@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000450801616 383495505 /nfs/dbraw/zinc/49/55/05/383495505.db2.gz FGIPJVJEQOADIP-WCQYABFASA-N 0 0 278.356 2.810 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000450801812 383496057 /nfs/dbraw/zinc/49/60/57/383496057.db2.gz XHLGPPAVKZVXJR-QWHCGFSZSA-N 0 0 290.367 2.744 20 5 CFBDRN CC(C)N(C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000340908105 383499727 /nfs/dbraw/zinc/49/97/27/383499727.db2.gz YCOLIDREIAMVPM-UHFFFAOYSA-N 0 0 298.289 2.815 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H](O)C2CCC2)c([N+](=O)[O-])c1 ZINC000450920158 383550417 /nfs/dbraw/zinc/55/04/17/383550417.db2.gz UCOWZHIXMBREKH-HNNXBMFYSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCSC[C@@H]1C ZINC000335527549 291827766 /nfs/dbraw/zinc/82/77/66/291827766.db2.gz IYHPOTKKZRPENA-NSHDSACASA-N 0 0 294.376 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1cn(C(C)C)nn1 ZINC000488236631 383507025 /nfs/dbraw/zinc/50/70/25/383507025.db2.gz XOAVKFGQYXGBSL-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN CCc1coc(NC(=O)c2cccc(C)c2[N+](=O)[O-])n1 ZINC000569507673 383507548 /nfs/dbraw/zinc/50/75/48/383507548.db2.gz KSKGUKMZQJJYST-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cnc(C2CC2)o1 ZINC000450919528 383550736 /nfs/dbraw/zinc/55/07/36/383550736.db2.gz ZAJQNIVRHNJDNB-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CCn1ccnc1[C@@H](C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000450835307 383513440 /nfs/dbraw/zinc/51/34/40/383513440.db2.gz WVPKBMSBZXLEJT-SNVBAGLBSA-N 0 0 275.312 2.688 20 5 CFBDRN CN(c1ccccc1[N+](=O)[O-])[C@@H](CO)CC(C)(C)C ZINC000450837278 383514943 /nfs/dbraw/zinc/51/49/43/383514943.db2.gz TYPFTHXPCGSLBN-LLVKDONJSA-N 0 0 266.341 2.828 20 5 CFBDRN CCCc1ncc(CNc2ncccc2[N+](=O)[O-])o1 ZINC000450851032 383520546 /nfs/dbraw/zinc/52/05/46/383520546.db2.gz ZOTLDEFJMODYFY-UHFFFAOYSA-N 0 0 262.269 2.542 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@H](C)C[C@@H]1C ZINC000282594818 291828343 /nfs/dbraw/zinc/82/83/43/291828343.db2.gz PZLFFDYEVQKGRD-MISXGVKJSA-N 0 0 292.335 2.964 20 5 CFBDRN Cc1cc(C)n(-c2ccc(Cn3nccc3[N+](=O)[O-])cc2)n1 ZINC000341028293 383536038 /nfs/dbraw/zinc/53/60/38/383536038.db2.gz JPBXNXVWZUTJLQ-UHFFFAOYSA-N 0 0 297.318 2.642 20 5 CFBDRN CCN(c1cc(C)ccc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000569776926 383536511 /nfs/dbraw/zinc/53/65/11/383536511.db2.gz YACUQDRDSHEQEK-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN C[C@@H]1CCC(=O)[C@H](Oc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000450889605 383537271 /nfs/dbraw/zinc/53/72/71/383537271.db2.gz RLIJFBXMYRMXDK-AMIZOPFISA-N 0 0 267.256 2.870 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(O[C@H]2C[C@H](C)CCC2=O)n1 ZINC000450898148 383541104 /nfs/dbraw/zinc/54/11/04/383541104.db2.gz SQQHHCYRXQLUCF-PELKAZGASA-N 0 0 278.308 2.743 20 5 CFBDRN COC(C)(C)C(=O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000280857541 195004111 /nfs/dbraw/zinc/00/41/11/195004111.db2.gz APLKNVDVQWIIEM-UHFFFAOYSA-N 0 0 287.699 2.621 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Cn1cnc2c1CCCC2 ZINC000450979903 383576964 /nfs/dbraw/zinc/57/69/64/383576964.db2.gz RSNFEEDBQWGTRW-UHFFFAOYSA-N 0 0 275.283 2.858 20 5 CFBDRN Cc1c(NC(=O)NCCn2cccc2)cccc1[N+](=O)[O-] ZINC000489012752 383591109 /nfs/dbraw/zinc/59/11/09/383591109.db2.gz MGJYOSKPJFIUHA-UHFFFAOYSA-N 0 0 288.307 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCC2(F)F)cc1CO ZINC000450927714 383553213 /nfs/dbraw/zinc/55/32/13/383553213.db2.gz QBJXZBDHQWIFJN-SNVBAGLBSA-N 0 0 287.262 2.901 20 5 CFBDRN CCC[N@@H+](Cc1cc([O-])ccc1[N+](=O)[O-])CC1CC1 ZINC000429717386 383562094 /nfs/dbraw/zinc/56/20/94/383562094.db2.gz LSVVAPKOMBNFIT-UHFFFAOYSA-N 0 0 264.325 2.922 20 5 CFBDRN Cc1nc(N2CC[C@H](CC(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000450947980 383563239 /nfs/dbraw/zinc/56/32/39/383563239.db2.gz OLVDUUAEDJXXEU-LLVKDONJSA-N 0 0 278.356 2.956 20 5 CFBDRN COc1cccc(Cl)c1Cn1cc([N+](=O)[O-])c(C)n1 ZINC000450958231 383568105 /nfs/dbraw/zinc/56/81/05/383568105.db2.gz KSMVDDFWIPOFMM-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]1C1CC1 ZINC000429795958 383569936 /nfs/dbraw/zinc/56/99/36/383569936.db2.gz TYWDUCYTWKWWSR-NWDGAFQWSA-N 0 0 261.281 2.515 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000431211948 383640494 /nfs/dbraw/zinc/64/04/94/383640494.db2.gz VMCFMWFSGXRWBP-NWDGAFQWSA-N 0 0 275.308 2.823 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2C)[nH]1 ZINC000431250166 383641288 /nfs/dbraw/zinc/64/12/88/383641288.db2.gz WASRPHGFXCHLJN-UHFFFAOYSA-N 0 0 287.275 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(SCC2CCC(O)CC2)nc1 ZINC000430936873 383613572 /nfs/dbraw/zinc/61/35/72/383613572.db2.gz XKUZKAMKWXPPCD-UHFFFAOYSA-N 0 0 268.338 2.633 20 5 CFBDRN CCCCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000570658166 383622446 /nfs/dbraw/zinc/62/24/46/383622446.db2.gz UNRSEOOCFHHWKP-SNVBAGLBSA-N 0 0 250.298 2.615 20 5 CFBDRN C[C@H](S[C@H]1CCCNC1=O)c1ccccc1[N+](=O)[O-] ZINC000489573394 383629069 /nfs/dbraw/zinc/62/90/69/383629069.db2.gz PBQSWAOSAVFQMM-CABZTGNLSA-N 0 0 280.349 2.668 20 5 CFBDRN COc1cc(-c2nc(C3CC3)no2)ccc1[N+](=O)[O-] ZINC000264582769 383758416 /nfs/dbraw/zinc/75/84/16/383758416.db2.gz HAOURMVIDAVRJF-UHFFFAOYSA-N 0 0 261.237 2.531 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(C[C@H]2CCCO2)no1 ZINC000264595269 383760632 /nfs/dbraw/zinc/76/06/32/383760632.db2.gz KAEAVSHVCAVLKD-LLVKDONJSA-N 0 0 289.291 2.675 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)C[C@@H]2CCCCO2)c1 ZINC000264653394 383769318 /nfs/dbraw/zinc/76/93/18/383769318.db2.gz PDSDBAFNNIWPGW-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCc2nc(C3CC3)no2)cc1 ZINC000264649814 383769402 /nfs/dbraw/zinc/76/94/02/383769402.db2.gz XHQNYWWPRORBTH-UHFFFAOYSA-N 0 0 288.307 2.900 20 5 CFBDRN COCC1(NC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)CC1 ZINC000530500140 383773291 /nfs/dbraw/zinc/77/32/91/383773291.db2.gz WEPVBJZIBCWNSS-UHFFFAOYSA-N 0 0 293.323 2.512 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H]2CCO[C@H](C)C2)c1 ZINC000571164630 383669226 /nfs/dbraw/zinc/66/92/26/383669226.db2.gz RHELVQMPHQGTTF-MNOVXSKESA-N 0 0 281.308 2.797 20 5 CFBDRN CN(Cc1ccoc1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000436320738 383805385 /nfs/dbraw/zinc/80/53/85/383805385.db2.gz WLMXVMQUTSZIKI-UHFFFAOYSA-N 0 0 273.292 2.792 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc(OCC2CC2)cc1 ZINC000264958940 383807404 /nfs/dbraw/zinc/80/74/04/383807404.db2.gz YWZZWBAGZHYARC-UHFFFAOYSA-N 0 0 288.307 2.861 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1ccc(F)cn1)CCN2 ZINC000436397341 383811629 /nfs/dbraw/zinc/81/16/29/383811629.db2.gz MFJBLLBBWUANRF-UHFFFAOYSA-N 0 0 288.282 2.709 20 5 CFBDRN Cc1noc(-c2ccc(NC3CC3)c([N+](=O)[O-])c2)n1 ZINC000265008212 383815584 /nfs/dbraw/zinc/81/55/84/383815584.db2.gz PMHAJCGRGKNUSR-UHFFFAOYSA-N 0 0 260.253 2.528 20 5 CFBDRN COc1ccc(CNc2nc(C)cc(C)c2[N+](=O)[O-])nc1 ZINC000436452472 383819010 /nfs/dbraw/zinc/81/90/10/383819010.db2.gz MOLWJTNMVFCTSG-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CN(C)c1nnc(SCc2ccc([N+](=O)[O-])cc2)s1 ZINC000264780736 383782486 /nfs/dbraw/zinc/78/24/86/383782486.db2.gz UBOWTFOTTHBMKO-UHFFFAOYSA-N 0 0 296.377 2.805 20 5 CFBDRN CS[C@H]1CCN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000572455259 383782743 /nfs/dbraw/zinc/78/27/43/383782743.db2.gz WJBIOEOJJKVSFI-MFKMUULPSA-N 0 0 294.376 2.662 20 5 CFBDRN Cc1cc(NC[C@@H](O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000264788876 383783524 /nfs/dbraw/zinc/78/35/24/383783524.db2.gz KELVUIRDMDOFQF-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN CCS[C@@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000264797084 383784409 /nfs/dbraw/zinc/78/44/09/383784409.db2.gz BUEOALGIBQXJDZ-SNVBAGLBSA-N 0 0 297.380 2.671 20 5 CFBDRN CCCCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@H](C)CC ZINC000264834488 383788773 /nfs/dbraw/zinc/78/87/73/383788773.db2.gz ZYOZVYITCNQZOM-SNVBAGLBSA-N 0 0 267.329 2.964 20 5 CFBDRN CCc1ccccc1CCn1ccc(C)c([N+](=O)[O-])c1=O ZINC000436212142 383789349 /nfs/dbraw/zinc/78/93/49/383789349.db2.gz SZQHTVDXFRZOHA-UHFFFAOYSA-N 0 0 286.331 2.870 20 5 CFBDRN CN(CCNc1ccccc1[N+](=O)[O-])CC(F)(F)F ZINC000264863583 383792377 /nfs/dbraw/zinc/79/23/77/383792377.db2.gz SMXUDZYMLPLUMZ-UHFFFAOYSA-N 0 0 277.246 2.501 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000264917546 383800848 /nfs/dbraw/zinc/80/08/48/383800848.db2.gz LLEWISDVBDBOCZ-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CC(C)[C@](C)(O)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000572634710 383801688 /nfs/dbraw/zinc/80/16/88/383801688.db2.gz HFBPBZHTOAGITL-OAHLLOKOSA-N 0 0 293.367 2.688 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@@H]1C ZINC000265124885 383839056 /nfs/dbraw/zinc/83/90/56/383839056.db2.gz LAHZJKIPKJNUIN-RYUDHWBXSA-N 0 0 291.351 2.796 20 5 CFBDRN Cn1c(C(=O)Nc2cccc3ccncc32)ccc1[N+](=O)[O-] ZINC000531274210 383842163 /nfs/dbraw/zinc/84/21/63/383842163.db2.gz GLXMYJDQPPOGNY-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN C[C@@H](NC(=O)CCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000265151348 383844153 /nfs/dbraw/zinc/84/41/53/383844153.db2.gz CNNJETHMWKPQQO-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN Cc1nc(Nc2cn(C)nc2C(C)C)ccc1[N+](=O)[O-] ZINC000281017332 195071487 /nfs/dbraw/zinc/07/14/87/195071487.db2.gz PJDQFTRNOUORAH-UHFFFAOYSA-N 0 0 275.312 2.899 20 5 CFBDRN CC(C)(C)[S@](=O)CCNc1ccccc1[N+](=O)[O-] ZINC000265231092 383867461 /nfs/dbraw/zinc/86/74/61/383867461.db2.gz BYLXVPGCFGIIFE-GOSISDBHSA-N 0 0 270.354 2.554 20 5 CFBDRN CC1(CCNC(=O)NCCc2cccc([N+](=O)[O-])c2)CC1 ZINC000280994097 195061766 /nfs/dbraw/zinc/06/17/66/195061766.db2.gz TWOFJBMEQNGKQZ-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN Cc1ccnc(NCCCO[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000280994438 195062128 /nfs/dbraw/zinc/06/21/28/195062128.db2.gz OZORKRALNOCICQ-GFCCVEGCSA-N 0 0 295.339 2.643 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CC[C@@H](C)O1 ZINC000454141994 383912487 /nfs/dbraw/zinc/91/24/87/383912487.db2.gz HQDSMIDYNVBKHQ-ZYHUDNBSSA-N 0 0 293.323 2.592 20 5 CFBDRN CCC[C@@H]1SCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000265439264 383915907 /nfs/dbraw/zinc/91/59/07/383915907.db2.gz KISATTARXOZBCU-AWEZNQCLSA-N 0 0 294.376 2.839 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000265449586 383919488 /nfs/dbraw/zinc/91/94/88/383919488.db2.gz NTSJOPXPABKJBW-LLVKDONJSA-N 0 0 260.293 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCO[C@@H]2CCCCO2)cc1 ZINC000281056793 195086454 /nfs/dbraw/zinc/08/64/54/195086454.db2.gz YBYUSFHYVZNVCF-CQSZACIVSA-N 0 0 280.324 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCc3nc(C)ncc3C2)c1 ZINC000531531257 383882659 /nfs/dbraw/zinc/88/26/59/383882659.db2.gz VTWBJQYONCZBJE-ZDUSSCGKSA-N 0 0 298.346 2.971 20 5 CFBDRN Cc1ccnc(NC[C@@](C)(O)c2ccccc2)c1[N+](=O)[O-] ZINC000265301209 383889666 /nfs/dbraw/zinc/88/96/66/383889666.db2.gz ZZHHVGPGPJJVTO-OAHLLOKOSA-N 0 0 287.319 2.618 20 5 CFBDRN CC(C)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265332692 383895212 /nfs/dbraw/zinc/89/52/12/383895212.db2.gz NBEKGACNGGAQHK-LLVKDONJSA-N 0 0 265.313 2.574 20 5 CFBDRN CC(C)[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531628510 383899950 /nfs/dbraw/zinc/89/99/50/383899950.db2.gz AIBSYRUEEHLMLR-OLZOCXBDSA-N 0 0 291.351 2.934 20 5 CFBDRN C[C@@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])c1ccon1 ZINC000573458448 383934188 /nfs/dbraw/zinc/93/41/88/383934188.db2.gz SSNDUTHOPNQHOH-RNCFNFMXSA-N 0 0 273.292 2.921 20 5 CFBDRN CCc1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000532136831 383981348 /nfs/dbraw/zinc/98/13/48/383981348.db2.gz KSKXHEPLBUZMEE-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532138192 383982295 /nfs/dbraw/zinc/98/22/95/383982295.db2.gz BWBUOOGCVCHVNH-UHIISALHSA-N 0 0 289.335 2.783 20 5 CFBDRN Cc1ccc(CN2CCc3ncsc3C2)cc1[N+](=O)[O-] ZINC000438350461 383990304 /nfs/dbraw/zinc/99/03/04/383990304.db2.gz UOSAZBBKAPPDOZ-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCCC1 ZINC000454324694 383992917 /nfs/dbraw/zinc/99/29/17/383992917.db2.gz OBKWAKHQYXVBHF-NSHDSACASA-N 0 0 265.313 2.622 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCCC1 ZINC000454324692 383993591 /nfs/dbraw/zinc/99/35/91/383993591.db2.gz OBKWAKHQYXVBHF-LLVKDONJSA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)O[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000438376341 383997235 /nfs/dbraw/zinc/99/72/35/383997235.db2.gz JJXSPOMLGKNPJL-SRVKXCTJSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1c(NC(=O)NC[C@H]2CC[C@@H](C)O2)cccc1[N+](=O)[O-] ZINC000454197669 383938042 /nfs/dbraw/zinc/93/80/42/383938042.db2.gz KPAWAGFGNGMSRC-MWLCHTKSSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1c(NC(=O)NC[C@@H]2CC[C@@H](C)O2)cccc1[N+](=O)[O-] ZINC000454197668 383938670 /nfs/dbraw/zinc/93/86/70/383938670.db2.gz KPAWAGFGNGMSRC-KOLCDFICSA-N 0 0 293.323 2.592 20 5 CFBDRN COC[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000265544481 383942368 /nfs/dbraw/zinc/94/23/68/383942368.db2.gz PKBKKUCBZVFVQI-SECBINFHSA-N 0 0 285.731 2.506 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2C[C@H]2CC)cc1[N+](=O)[O-] ZINC000438096931 383947999 /nfs/dbraw/zinc/94/79/99/383947999.db2.gz PEVJVAMKWPPEOS-MWLCHTKSSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(C)OCCCN(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000265792734 384000922 /nfs/dbraw/zinc/00/09/22/384000922.db2.gz OLEDCYIWWBKCFR-UHFFFAOYSA-N 0 0 286.353 2.543 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)[C@H]1C ZINC000532391581 384023070 /nfs/dbraw/zinc/02/30/70/384023070.db2.gz CJJTZOSLIISDJV-SCVCMEIPSA-N 0 0 277.324 2.544 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532393010 384023198 /nfs/dbraw/zinc/02/31/98/384023198.db2.gz WQALOOFDDRZWPW-BONVTDFDSA-N 0 0 297.314 2.653 20 5 CFBDRN CC1CCC(CNC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000532391210 384023477 /nfs/dbraw/zinc/02/34/77/384023477.db2.gz TXKPLARMHCXIDF-UHFFFAOYSA-N 0 0 277.324 2.546 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)[C@@H](C)C1 ZINC000532393234 384024218 /nfs/dbraw/zinc/02/42/18/384024218.db2.gz QLHLFUCIGIWCOT-SCVCMEIPSA-N 0 0 277.324 2.544 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCc2c(Cl)cccc2C1 ZINC000265829956 384011486 /nfs/dbraw/zinc/01/14/86/384011486.db2.gz PTZVFQLLZDGSTL-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H]2C)c1 ZINC000265993091 384046557 /nfs/dbraw/zinc/04/65/57/384046557.db2.gz DQVBPKNRIOUGLQ-JQWIXIFHSA-N 0 0 262.309 2.822 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC1CC(C)C1 ZINC000439933447 384050881 /nfs/dbraw/zinc/05/08/81/384050881.db2.gz PSSZQKXBXOEHRH-UHFFFAOYSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CC(F)(F)F)c1 ZINC000266026493 384057300 /nfs/dbraw/zinc/05/73/00/384057300.db2.gz LNTHVRWMVSIUAV-UHFFFAOYSA-N 0 0 276.214 2.538 20 5 CFBDRN Cc1ccc(OCCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000440087113 384061454 /nfs/dbraw/zinc/06/14/54/384061454.db2.gz IIRZMIRDCFMWSE-UHFFFAOYSA-N 0 0 258.277 2.920 20 5 CFBDRN CCC(CC)NC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 ZINC000266044796 384062578 /nfs/dbraw/zinc/06/25/78/384062578.db2.gz ZSZMZNCYVYLDLE-UHFFFAOYSA-N 0 0 296.323 2.530 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266053018 384064527 /nfs/dbraw/zinc/06/45/27/384064527.db2.gz OPOCINKFKUEWAH-NSHDSACASA-N 0 0 264.325 2.784 20 5 CFBDRN CC[C@H](C)Nc1ccc([N+](=O)[O-])c(N2CCOCC2)c1F ZINC000574291445 384074667 /nfs/dbraw/zinc/07/46/67/384074667.db2.gz VVQYIVXAJKRWJF-JTQLQIEISA-N 0 0 297.330 2.781 20 5 CFBDRN C[C@H](C(=O)N[C@@H](C)C(C)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000574488886 384104106 /nfs/dbraw/zinc/10/41/06/384104106.db2.gz DHGOEIYHGKPJQF-IUCAKERBSA-N 0 0 286.278 2.858 20 5 CFBDRN Cc1nc(C)c(CNc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000266213439 384117181 /nfs/dbraw/zinc/11/71/81/384117181.db2.gz NUSWOCNHVPEOMB-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000454963187 384094471 /nfs/dbraw/zinc/09/44/71/384094471.db2.gz RALRLNAJIFGTER-MVWJERBFSA-N 0 0 295.314 2.846 20 5 CFBDRN Cc1noc(CCCOc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000266147436 384094622 /nfs/dbraw/zinc/09/46/22/384094622.db2.gz VVVCSXKGPXBKBQ-UHFFFAOYSA-N 0 0 297.698 2.951 20 5 CFBDRN CCC1(NC(=O)Nc2ccncc2[N+](=O)[O-])CCCCC1 ZINC000455034748 384119195 /nfs/dbraw/zinc/11/91/95/384119195.db2.gz OEWHRZCWJRATDT-UHFFFAOYSA-N 0 0 292.339 2.646 20 5 CFBDRN O=[N+]([O-])c1c(NC2CCC(O)CC2)ccc2ncccc21 ZINC000037362209 285078632 /nfs/dbraw/zinc/07/86/32/285078632.db2.gz RCUVAYKVEJZUJJ-UHFFFAOYSA-N 0 0 287.319 2.858 20 5 CFBDRN C[C@](O)(CNc1ncc([N+](=O)[O-])cc1Cl)c1ccco1 ZINC000266249194 384126856 /nfs/dbraw/zinc/12/68/56/384126856.db2.gz MRNPIUYQRUDRNY-LBPRGKRZSA-N 0 0 297.698 2.556 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CCC[C@H]1F ZINC000455160584 384156147 /nfs/dbraw/zinc/15/61/47/384156147.db2.gz GPXLCEZZZFQYDG-ZJUUUORDSA-N 0 0 297.286 2.616 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CCC[C@@H]1F ZINC000455160583 384156275 /nfs/dbraw/zinc/15/62/75/384156275.db2.gz GPXLCEZZZFQYDG-VHSXEESVSA-N 0 0 297.286 2.616 20 5 CFBDRN CC[C@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000266317354 384144452 /nfs/dbraw/zinc/14/44/52/384144452.db2.gz QYGSYWIMSHQWEN-CYBMUJFWSA-N 0 0 265.313 2.575 20 5 CFBDRN COC1CCC(CNC(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000427082668 533040388 /nfs/dbraw/zinc/04/03/88/533040388.db2.gz XIZHZUDMBDBTRY-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN COc1cc(N[C@H]2C[C@@H](OC(C)C)C2)ccc1[N+](=O)[O-] ZINC000413384402 533041189 /nfs/dbraw/zinc/04/11/89/533041189.db2.gz DXWNKOOFOXVSJK-TXEJJXNPSA-N 0 0 280.324 2.971 20 5 CFBDRN COCCn1ccc(N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000266463791 384180807 /nfs/dbraw/zinc/18/08/07/384180807.db2.gz MPJDKUAYGIEAMT-NSHDSACASA-N 0 0 290.323 2.611 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1C[C@H]2CC[C@@H](C1)O2 ZINC000374092460 285090403 /nfs/dbraw/zinc/09/04/03/285090403.db2.gz NPZVKBKXLPMINT-AOOOYVTPSA-N 0 0 268.700 2.616 20 5 CFBDRN O=C(NCCCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000266476506 384183052 /nfs/dbraw/zinc/18/30/52/384183052.db2.gz CWNOSTKWKIWBJK-UHFFFAOYSA-N 0 0 294.204 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2C[C@H]3OCCC[C@@H]23)c(F)c1 ZINC000302568839 285094545 /nfs/dbraw/zinc/09/45/45/285094545.db2.gz PTMFHROPZRKAJV-XXILOJSOSA-N 0 0 284.262 2.852 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2OC)C1 ZINC000277164447 384220203 /nfs/dbraw/zinc/22/02/03/384220203.db2.gz XSKLUGHWLAANCE-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCCS1 ZINC000266686967 384221379 /nfs/dbraw/zinc/22/13/79/384221379.db2.gz UNASSQQRKAOCSP-LLVKDONJSA-N 0 0 267.306 2.704 20 5 CFBDRN C[C@@H](NC(=O)CC(C)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000277191792 384228059 /nfs/dbraw/zinc/22/80/59/384228059.db2.gz HXAPESUVBWUIMM-MRVPVSSYSA-N 0 0 272.251 2.817 20 5 CFBDRN COC(C)(C)C[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000266829797 384243416 /nfs/dbraw/zinc/24/34/16/384243416.db2.gz GYCXXNWNLGAWRE-SNVBAGLBSA-N 0 0 267.329 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](CC(F)(F)F)C2)nc1 ZINC000277289544 384257408 /nfs/dbraw/zinc/25/74/08/384257408.db2.gz ATTPRPOQMFRHLT-MRVPVSSYSA-N 0 0 275.230 2.769 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC[C@H](C3CC3)C2)s1 ZINC000376451980 285099628 /nfs/dbraw/zinc/09/96/28/285099628.db2.gz XQBDUZMBQQGSHE-VIFPVBQESA-N 0 0 290.348 2.836 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000266952311 384267557 /nfs/dbraw/zinc/26/75/57/384267557.db2.gz SYDCLOLURNDRLD-JOYOIKCWSA-N 0 0 262.309 2.543 20 5 CFBDRN CN(CCn1cccn1)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000266953611 384269949 /nfs/dbraw/zinc/26/99/49/384269949.db2.gz VIRBBKXKOCCSJI-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H](O)CC(C)(C)C ZINC000266983170 384273353 /nfs/dbraw/zinc/27/33/53/384273353.db2.gz AFGIEZOYCNDAMM-CYBMUJFWSA-N 0 0 296.367 2.950 20 5 CFBDRN Cc1cc(N[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000266809465 384242211 /nfs/dbraw/zinc/24/22/11/384242211.db2.gz FQSOYPDBLJZFHP-ZWKOPEQDSA-N 0 0 277.324 2.524 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2CCCSC2)s1 ZINC000288609301 285100918 /nfs/dbraw/zinc/10/09/18/285100918.db2.gz ZHPNAFBRKKQXTG-ZETCQYMHSA-N 0 0 296.377 2.907 20 5 CFBDRN CN(C[C@H]1CCCO1)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000267165442 384313225 /nfs/dbraw/zinc/31/32/25/384313225.db2.gz BANUNOPZTOHISO-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277368857 384281665 /nfs/dbraw/zinc/28/16/65/384281665.db2.gz CWKCRSNEGBIYHL-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000267270291 384342540 /nfs/dbraw/zinc/34/25/40/384342540.db2.gz ZMSWZKGUNXEZJZ-GFCCVEGCSA-N 0 0 250.298 2.518 20 5 CFBDRN CC[C@@H]1OCCC[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000277591873 384347207 /nfs/dbraw/zinc/34/72/07/384347207.db2.gz HRYZMVVUGSBJKW-KGLIPLIRSA-N 0 0 292.335 2.763 20 5 CFBDRN CN(C[C@H]1CCOC1)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000267285870 384347527 /nfs/dbraw/zinc/34/75/27/384347527.db2.gz QBWBEGLWKBPOFP-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000277620531 384355976 /nfs/dbraw/zinc/35/59/76/384355976.db2.gz MIQPYOZAJPUBAA-CPCISQLKSA-N 0 0 272.251 2.710 20 5 CFBDRN CCCCN(CC)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277677708 384372279 /nfs/dbraw/zinc/37/22/79/384372279.db2.gz YIZJSLKSNKUZOJ-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CCS[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000267236600 384331772 /nfs/dbraw/zinc/33/17/72/384331772.db2.gz KBTPPAPRYZPIAJ-VHSXEESVSA-N 0 0 297.380 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CC3(F)F)n2)cc1 ZINC000296097890 285107518 /nfs/dbraw/zinc/10/75/18/285107518.db2.gz ZRSKAHZODNBBTC-QMMMGPOBSA-N 0 0 267.191 2.767 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCCO3)n2)cc1 ZINC000269422943 285107537 /nfs/dbraw/zinc/10/75/37/285107537.db2.gz ZUOZMLVGZAPGTP-NSHDSACASA-N 0 0 275.264 2.886 20 5 CFBDRN Cc1noc(CCCNc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000267523209 384407523 /nfs/dbraw/zinc/40/75/23/384407523.db2.gz GYSJGXLJWOSYOK-UHFFFAOYSA-N 0 0 296.714 2.984 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCC[C@@H]2C)c1 ZINC000267600799 384422395 /nfs/dbraw/zinc/42/23/95/384422395.db2.gz IIKCGGCMZRZIDE-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000277695294 384378769 /nfs/dbraw/zinc/37/87/69/384378769.db2.gz RAZXXNHYWSLEEW-CMPLNLGQSA-N 0 0 287.319 2.980 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc(C3CCC3)n2)c1 ZINC000277873577 384429388 /nfs/dbraw/zinc/42/93/88/384429388.db2.gz ISMFABTUHIFSDB-UHFFFAOYSA-N 0 0 289.291 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nc(-c3ccccc3)c[nH]2)cn1 ZINC000344433963 220280949 /nfs/dbraw/zinc/28/09/49/220280949.db2.gz LDOJPJOFXNBWLD-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN C[C@H](NC(=O)N1C[C@@H](C)[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000267677387 384441266 /nfs/dbraw/zinc/44/12/66/384441266.db2.gz GDQOTEMOVBEKEE-UTUOFQBUSA-N 0 0 291.351 2.953 20 5 CFBDRN Cc1ocnc1C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000267681307 384441278 /nfs/dbraw/zinc/44/12/78/384441278.db2.gz BRZIOEAPCMAWON-SECBINFHSA-N 0 0 289.291 2.691 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NC[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000267684171 384442650 /nfs/dbraw/zinc/44/26/50/384442650.db2.gz GZXPWSVZRCQAQI-GMXVVIOVSA-N 0 0 291.351 2.919 20 5 CFBDRN O=C(Nc1nc(C2CCC2)cs1)c1ccc([N+](=O)[O-])[nH]1 ZINC000278083105 384471976 /nfs/dbraw/zinc/47/19/76/384471976.db2.gz IQQVVLGBMWYIOI-UHFFFAOYSA-N 0 0 292.320 2.899 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN(C)Cc1cnccn1 ZINC000347360739 220287167 /nfs/dbraw/zinc/28/71/67/220287167.db2.gz OEBWAGRRPLFYPQ-MDWZMJQESA-N 0 0 298.346 2.920 20 5 CFBDRN C[C@@H]1CC/C(=C\C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000267837305 384475945 /nfs/dbraw/zinc/47/59/45/384475945.db2.gz GOXYYLITCUWMDL-VSXLWIIGSA-N 0 0 274.320 2.957 20 5 CFBDRN Cc1cccnc1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278146222 384482762 /nfs/dbraw/zinc/48/27/62/384482762.db2.gz AYUOQEDLZYIGJB-UHFFFAOYSA-N 0 0 284.319 2.878 20 5 CFBDRN CO[C@H](C)CCNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413105615 533070419 /nfs/dbraw/zinc/07/04/19/533070419.db2.gz AAOWMPCXOICKIJ-SSDOTTSWSA-N 0 0 293.245 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1C[C@@H](F)[C@@H](F)C1 ZINC000295014070 285117482 /nfs/dbraw/zinc/11/74/82/285117482.db2.gz PRPZJLGECIZFTQ-OCAPTIKFSA-N 0 0 262.643 2.744 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3(O)CCCCC3)n2)s1 ZINC000278221822 384502228 /nfs/dbraw/zinc/50/22/28/384502228.db2.gz QYFUKOIVCQDSEO-UHFFFAOYSA-N 0 0 295.320 2.858 20 5 CFBDRN COCCCN(C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000267923717 384490557 /nfs/dbraw/zinc/49/05/57/384490557.db2.gz DEYDYYCBLUAMQR-UHFFFAOYSA-N 0 0 295.339 2.712 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C[C@H]3CCCCO3)n2)cc1 ZINC000268031446 384514481 /nfs/dbraw/zinc/51/44/81/384514481.db2.gz GYGZUISMXFHWCX-GFCCVEGCSA-N 0 0 289.291 2.756 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)[C@@H](F)CC1CCCCC1 ZINC000281139412 195120378 /nfs/dbraw/zinc/12/03/78/195120378.db2.gz WVXVNGCFTWOESI-JTQLQIEISA-N 0 0 298.318 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](C)OC[C@@H]2C)c1 ZINC000268197512 384562787 /nfs/dbraw/zinc/56/27/87/384562787.db2.gz YHZYXGDHUHJCSQ-WDEREUQCSA-N 0 0 250.298 2.517 20 5 CFBDRN C[C@@H]1C[C@H](CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCO1 ZINC000278384493 384551933 /nfs/dbraw/zinc/55/19/33/384551933.db2.gz FLWHTYBASDMQEF-NXEZZACHSA-N 0 0 290.323 2.698 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2c2ncc[nH]2)ccc1[N+](=O)[O-] ZINC000364036979 220322554 /nfs/dbraw/zinc/32/25/54/220322554.db2.gz UIALNLPIFIRDHR-CYBMUJFWSA-N 0 0 272.308 2.968 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCN(c3cccs3)CC2)cc1 ZINC000268194137 285125664 /nfs/dbraw/zinc/12/56/64/285125664.db2.gz WFQNVWBMKNJVGW-UHFFFAOYSA-N 0 0 289.360 2.983 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000413024709 533077759 /nfs/dbraw/zinc/07/77/59/533077759.db2.gz NTDPWUXSBPNHQQ-UHFFFAOYSA-N 0 0 266.297 2.596 20 5 CFBDRN CC[C@H](C)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268265294 384585832 /nfs/dbraw/zinc/58/58/32/384585832.db2.gz SIQAQYCPPQJKNF-QMMMGPOBSA-N 0 0 270.716 2.911 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)NCC1CCC1 ZINC000268207682 384565783 /nfs/dbraw/zinc/56/57/83/384565783.db2.gz UXAGGSBTQKNZGO-UHFFFAOYSA-N 0 0 297.742 2.848 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)CCC1CCOCC1 ZINC000268209908 384566656 /nfs/dbraw/zinc/56/66/56/384566656.db2.gz ZLFFNBPGECELNI-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN C[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)C[C@H](C2CC2)O1 ZINC000279302078 384610514 /nfs/dbraw/zinc/61/05/14/384610514.db2.gz MHSXTMPNBIUBGP-XHDPSFHLSA-N 0 0 276.336 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](F)C2)c2ccncc21 ZINC000450593615 285128139 /nfs/dbraw/zinc/12/81/39/285128139.db2.gz BLRHTMXLBIEUCM-SECBINFHSA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCC[C@H]2OCC[C@H]21 ZINC000268385220 384617115 /nfs/dbraw/zinc/61/71/15/384617115.db2.gz KQGIHYNNKBIVLT-MISXGVKJSA-N 0 0 262.309 2.964 20 5 CFBDRN COCCN(Cc1ccco1)c1ccccc1[N+](=O)[O-] ZINC000268303355 384596647 /nfs/dbraw/zinc/59/66/47/384596647.db2.gz HTBAGTKBNYBALE-UHFFFAOYSA-N 0 0 276.292 2.841 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2C)O1 ZINC000268316443 384600347 /nfs/dbraw/zinc/60/03/47/384600347.db2.gz JHQIMNXNINIYRF-DGCLKSJQSA-N 0 0 278.308 2.799 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000279522167 384663855 /nfs/dbraw/zinc/66/38/55/384663855.db2.gz RBXOZTZAXNCNNH-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]([C@@H]3CCOC3)C2)c(F)c1 ZINC000378236032 285130304 /nfs/dbraw/zinc/13/03/04/285130304.db2.gz YCEIUSQGDDZPHA-WDEREUQCSA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3CC[C@@H](C2)O3)c(Cl)c1 ZINC000374094320 285134068 /nfs/dbraw/zinc/13/40/68/285134068.db2.gz WAKXAUGLWXXQHR-AOOOYVTPSA-N 0 0 268.700 2.616 20 5 CFBDRN CN(CCCn1ccnc1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000269673313 384829750 /nfs/dbraw/zinc/82/97/50/384829750.db2.gz YAFSZPGQQBONIC-UHFFFAOYSA-N 0 0 296.277 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(S[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000272468360 285138786 /nfs/dbraw/zinc/13/87/86/285138786.db2.gz MOTRPNWRSOSOPM-SECBINFHSA-N 0 0 284.293 2.774 20 5 CFBDRN Cc1cc(CN(C)c2c(F)cc([N+](=O)[O-])cc2F)no1 ZINC000269619357 384806177 /nfs/dbraw/zinc/80/61/77/384806177.db2.gz HOKYRXQZKPRAEQ-UHFFFAOYSA-N 0 0 283.234 2.806 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000269816974 384874198 /nfs/dbraw/zinc/87/41/98/384874198.db2.gz HQGSOJQWFTYAHN-QMMMGPOBSA-N 0 0 294.332 2.822 20 5 CFBDRN CC(C)n1ccc(NCc2ccccc2[N+](=O)[O-])n1 ZINC000280084462 384878012 /nfs/dbraw/zinc/87/80/12/384878012.db2.gz RPTNCHZKSXZZIS-UHFFFAOYSA-N 0 0 260.297 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(C[C@H]1CCCCO1)CC2 ZINC000088606097 285142566 /nfs/dbraw/zinc/14/25/66/285142566.db2.gz NRTRMMSKHHQAAI-OAHLLOKOSA-N 0 0 276.336 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)cc1 ZINC000269882889 384892296 /nfs/dbraw/zinc/89/22/96/384892296.db2.gz AMSOWRWUGDFIFS-BFHYXJOUSA-N 0 0 274.320 2.964 20 5 CFBDRN Cc1ccc(NC[C@@H](C)Cn2ccnc2)c([N+](=O)[O-])c1 ZINC000269906214 384901038 /nfs/dbraw/zinc/90/10/38/384901038.db2.gz HAJVNXGEYKWQCW-GFCCVEGCSA-N 0 0 274.324 2.848 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@@H]2CCC[C@H]2O)c1 ZINC000269987527 384931643 /nfs/dbraw/zinc/93/16/43/384931643.db2.gz OIUPRWMSSUYZMW-SMDDNHRTSA-N 0 0 264.325 2.500 20 5 CFBDRN CC(C)c1nn(C)cc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000280221607 384932726 /nfs/dbraw/zinc/93/27/26/384932726.db2.gz LHHVLGFMLLBXON-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN COc1cc(O[C@H]2CCC(C)(C)C2=O)ccc1[N+](=O)[O-] ZINC000413008626 533096274 /nfs/dbraw/zinc/09/62/74/533096274.db2.gz YMWYDRXJWUNLPY-NSHDSACASA-N 0 0 279.292 2.740 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H](O)CCC(C)C ZINC000280331574 384977166 /nfs/dbraw/zinc/97/71/66/384977166.db2.gz QKGALICXDJJKKO-LBPRGKRZSA-N 0 0 283.324 2.779 20 5 CFBDRN Cc1cn(C[C@@H](O)c2ccc(F)cc2Cl)nc1[N+](=O)[O-] ZINC000280349972 384986144 /nfs/dbraw/zinc/98/61/44/384986144.db2.gz IALWHZNPBJRLIW-LLVKDONJSA-N 0 0 299.689 2.626 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000280409433 385008969 /nfs/dbraw/zinc/00/89/69/385008969.db2.gz JAUREKKXFQXGQI-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN Cc1nn(C)c(N2CC=C(C(C)(C)C)CC2)c1[N+](=O)[O-] ZINC000270197758 385011519 /nfs/dbraw/zinc/01/15/19/385011519.db2.gz CSTSFSHHOYIMBR-UHFFFAOYSA-N 0 0 278.356 2.819 20 5 CFBDRN Cc1cc(C(=O)Nc2ccn(C(C)C)n2)cc([N+](=O)[O-])c1 ZINC000280541707 385052237 /nfs/dbraw/zinc/05/22/37/385052237.db2.gz STXBSTNNPONTRU-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN C[C@@H]([NH2+]Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CCC1 ZINC000280564546 385062661 /nfs/dbraw/zinc/06/26/61/385062661.db2.gz LEIZBTULZJCVAF-SECBINFHSA-N 0 0 274.324 2.749 20 5 CFBDRN CC1=CCCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000280589589 385072715 /nfs/dbraw/zinc/07/27/15/385072715.db2.gz BEPOMNUVYWRARK-UHFFFAOYSA-N 0 0 285.303 2.868 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC000280596465 385074082 /nfs/dbraw/zinc/07/40/82/385074082.db2.gz OQTWZJYVELJHAU-WDEREUQCSA-N 0 0 292.726 2.950 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000270235656 385025492 /nfs/dbraw/zinc/02/54/92/385025492.db2.gz BHIBXXXCUUWAJR-ZDUSSCGKSA-N 0 0 287.319 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCO[C@H]4CCC[C@@H]43)ccc2c1 ZINC000271635448 285149765 /nfs/dbraw/zinc/14/97/65/285149765.db2.gz OTEXQGJDCHVJGZ-GJZGRUSLSA-N 0 0 299.330 2.901 20 5 CFBDRN O=c1[nH]c(N[C@H]2CCC[C@@H]2F)nc2ccc([N+](=O)[O-])cc12 ZINC000338842727 285151755 /nfs/dbraw/zinc/15/17/55/285151755.db2.gz KXFSOYHBFRBTJO-ONGXEEELSA-N 0 0 292.270 2.546 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1COc2ccccc21 ZINC000289199215 197261248 /nfs/dbraw/zinc/26/12/48/197261248.db2.gz XJIDMPPYXHGVAU-NSHDSACASA-N 0 0 285.303 2.886 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCCCO2)c(F)cc1[N+](=O)[O-] ZINC000270500350 385124685 /nfs/dbraw/zinc/12/46/85/385124685.db2.gz GHMLWBJMXYPEAI-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN CCC(=O)c1ccc(N2CCC(=O)[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000281340622 385189244 /nfs/dbraw/zinc/18/92/44/385189244.db2.gz BNTBRSIDHYFCBW-JTQLQIEISA-N 0 0 290.319 2.603 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000289144812 197246173 /nfs/dbraw/zinc/24/61/73/197246173.db2.gz SLLNEUTXIVPOIA-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000280831580 385172677 /nfs/dbraw/zinc/17/26/77/385172677.db2.gz ZEGZVNIUGXBBPX-AWEZNQCLSA-N 0 0 280.324 2.616 20 5 CFBDRN CC[C@](C)(OC)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000280837502 385173928 /nfs/dbraw/zinc/17/39/28/385173928.db2.gz WRHNILSDASWZHC-ZDUSSCGKSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000270738349 385209755 /nfs/dbraw/zinc/20/97/55/385209755.db2.gz FLYSBMLWZIAJKP-HTQZYQBOSA-N 0 0 268.700 2.634 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@@H](F)C1 ZINC000450606782 285157971 /nfs/dbraw/zinc/15/79/71/285157971.db2.gz VNBLMXVPYICLPV-SECBINFHSA-N 0 0 261.256 2.691 20 5 CFBDRN Cc1cc(C)cc([C@@H](O)CNc2ncc([N+](=O)[O-])s2)c1 ZINC000282191732 385241571 /nfs/dbraw/zinc/24/15/71/385241571.db2.gz HFXLYBFCMFHTMQ-NSHDSACASA-N 0 0 293.348 2.814 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3C[C@@H]4C[C@H]3CS4)ccnc21 ZINC000413452203 285157300 /nfs/dbraw/zinc/15/73/00/285157300.db2.gz JEANNYSWPNNBMA-UWVGGRQHSA-N 0 0 287.344 2.837 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC2CCSCC2)c1 ZINC000282234063 385255279 /nfs/dbraw/zinc/25/52/79/385255279.db2.gz PIRMYTJNJRRRKX-UHFFFAOYSA-N 0 0 267.354 2.732 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(c1ccccn1)C2 ZINC000301816363 285157518 /nfs/dbraw/zinc/15/75/18/285157518.db2.gz HDOPRFHUGMAXPK-UHFFFAOYSA-N 0 0 255.277 2.553 20 5 CFBDRN Cn1nccc1[C@H]1CCCCN1c1ncc([N+](=O)[O-])s1 ZINC000281988100 385205801 /nfs/dbraw/zinc/20/58/01/385205801.db2.gz SQSAOWUUGKPUKN-SNVBAGLBSA-N 0 0 293.352 2.516 20 5 CFBDRN CC[C@]1(C)CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000282419311 385306776 /nfs/dbraw/zinc/30/67/76/385306776.db2.gz GNEVZEFDMQUZGP-CQSZACIVSA-N 0 0 282.315 2.735 20 5 CFBDRN CN(Cc1ccn(C)c1)Cc1ccccc1[N+](=O)[O-] ZINC000271060410 385324098 /nfs/dbraw/zinc/32/40/98/385324098.db2.gz GBTGGYUQSNRRBZ-UHFFFAOYSA-N 0 0 259.309 2.565 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000270978621 385289738 /nfs/dbraw/zinc/28/97/38/385289738.db2.gz RIHMPLHUGHRPNN-NWDGAFQWSA-N 0 0 276.336 2.642 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289092207 197232588 /nfs/dbraw/zinc/23/25/88/197232588.db2.gz QSFJJKYWDZJMOZ-UONOGXRCSA-N 0 0 290.363 2.888 20 5 CFBDRN Cc1cc(CN(C)CCc2cccc([N+](=O)[O-])c2)no1 ZINC000282377329 385296567 /nfs/dbraw/zinc/29/65/67/385296567.db2.gz FRCYRGJHWHEOFA-UHFFFAOYSA-N 0 0 275.308 2.566 20 5 CFBDRN CCCCc1nc(Cn2cc(C)c([N+](=O)[O-])n2)cs1 ZINC000282385666 385298528 /nfs/dbraw/zinc/29/85/28/385298528.db2.gz ZIZRHTXUOKTDSZ-UHFFFAOYSA-N 0 0 280.353 2.947 20 5 CFBDRN Cc1cc(F)c(NC(=O)C(F)(F)C(F)F)cc1[N+](=O)[O-] ZINC000282482921 385323819 /nfs/dbraw/zinc/32/38/19/385323819.db2.gz QKCQAWLXVKYKRE-UHFFFAOYSA-N 0 0 298.167 2.881 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)c1cccc(F)c1F ZINC000271008856 385300397 /nfs/dbraw/zinc/30/03/97/385300397.db2.gz XMBXKKIHCNMXCQ-ZETCQYMHSA-N 0 0 282.250 2.780 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000271081940 385330973 /nfs/dbraw/zinc/33/09/73/385330973.db2.gz JHEWODUHEXOTJF-UFBFGSQYSA-N 0 0 286.303 2.561 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCO[C@H]2CCC[C@H]21 ZINC000271120313 385340960 /nfs/dbraw/zinc/34/09/60/385340960.db2.gz JJQQAJIBJSZXMM-ZOESHWHXSA-N 0 0 288.347 2.861 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)Cc2cccc([N+](=O)[O-])c2C)C1 ZINC000282577416 385345004 /nfs/dbraw/zinc/34/50/04/385345004.db2.gz GSGYLRJWFMLJRG-OLZOCXBDSA-N 0 0 293.319 2.556 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2C)C1 ZINC000282577412 385345255 /nfs/dbraw/zinc/34/52/55/385345255.db2.gz GSGYLRJWFMLJRG-CHWSQXEVSA-N 0 0 293.319 2.556 20 5 CFBDRN CCSCCCNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000282629186 385356697 /nfs/dbraw/zinc/35/66/97/385356697.db2.gz SELMWGMWZMEIIL-UHFFFAOYSA-N 0 0 297.380 2.537 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCCn1ccnc1C ZINC000271197107 385372068 /nfs/dbraw/zinc/37/20/68/385372068.db2.gz HSKHDAKVVOWSRP-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN CCSCCCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000282709045 385374824 /nfs/dbraw/zinc/37/48/24/385374824.db2.gz QRRDGLIRAGWIGI-RMKNXTFCSA-N 0 0 294.376 2.867 20 5 CFBDRN Cc1n[nH]c(C)c1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000271065652 385326046 /nfs/dbraw/zinc/32/60/46/385326046.db2.gz IZCASRSUGKJUPA-UHFFFAOYSA-N 0 0 274.324 2.567 20 5 CFBDRN C[C@H]1C[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CCO1 ZINC000271932952 385404790 /nfs/dbraw/zinc/40/47/90/385404790.db2.gz XAHGMYWPVANYAK-ONGXEEELSA-N 0 0 289.291 2.927 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000272107126 385448997 /nfs/dbraw/zinc/44/89/97/385448997.db2.gz IDRFZFNALHZCQC-SMDDNHRTSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H](Nc1ccccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000272024920 385429308 /nfs/dbraw/zinc/42/93/08/385429308.db2.gz QJLFEECMGMOHMX-ZWNOBZJWSA-N 0 0 250.298 2.964 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000272026733 385429951 /nfs/dbraw/zinc/42/99/51/385429951.db2.gz RBDKREAPCVIOIW-ZANVPECISA-N 0 0 295.295 2.873 20 5 CFBDRN Cc1cnc(N[C@H](C)[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000272058139 385437507 /nfs/dbraw/zinc/43/75/07/385437507.db2.gz ZFMULJHRFADHRJ-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N[C@@H]1CCCOC1 ZINC000236145899 285171122 /nfs/dbraw/zinc/17/11/22/285171122.db2.gz QHJYUZQXVKZTTI-SSDOTTSWSA-N 0 0 291.229 2.600 20 5 CFBDRN CSCC(C)(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000283523548 385472796 /nfs/dbraw/zinc/47/27/96/385472796.db2.gz NGWNDXCMPBLPJZ-UHFFFAOYSA-N 0 0 296.392 2.643 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC=C(F)C1 ZINC000272219344 385477892 /nfs/dbraw/zinc/47/78/92/385477892.db2.gz KFXXOOVPGVDQDR-UHFFFAOYSA-N 0 0 296.323 2.773 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)[C@H]1CCCCO1 ZINC000272225864 385480093 /nfs/dbraw/zinc/48/00/93/385480093.db2.gz WSSYXUUIGXLYFM-BXUZGUMPSA-N 0 0 292.335 2.591 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](C)C2)c1 ZINC000283621267 385481877 /nfs/dbraw/zinc/48/18/77/385481877.db2.gz OTVOSYLJJSIMIN-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1cc(C)on1 ZINC000281189293 195141709 /nfs/dbraw/zinc/14/17/09/195141709.db2.gz MHWARFYBGXNMLL-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN COCCC1(C)CN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000288881296 197185556 /nfs/dbraw/zinc/18/55/56/197185556.db2.gz MCUOTJIUPODOCP-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC23CCCC3)s1 ZINC000374501427 285172903 /nfs/dbraw/zinc/17/29/03/285172903.db2.gz FAULIHKABGWXPM-UHFFFAOYSA-N 0 0 253.327 2.964 20 5 CFBDRN Cc1cc(F)c(NC(=O)N[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000283938288 385516134 /nfs/dbraw/zinc/51/61/34/385516134.db2.gz KUOPNZUJUFLZNM-IMTBSYHQSA-N 0 0 267.260 2.572 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1ccc(Cl)nc1 ZINC000288846035 197178549 /nfs/dbraw/zinc/17/85/49/197178549.db2.gz KAZOCPVVRIECCG-UHFFFAOYSA-N 0 0 282.687 2.960 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC1 ZINC000284123558 385537269 /nfs/dbraw/zinc/53/72/69/385537269.db2.gz VBCOBHDMGIBLSP-KYZUINATSA-N 0 0 295.314 2.625 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cc[nH]c1C1CC1 ZINC000284181879 385545032 /nfs/dbraw/zinc/54/50/32/385545032.db2.gz XZNVJXGPRXPDKG-UHFFFAOYSA-N 0 0 285.303 2.730 20 5 CFBDRN Cc1cc(N2CC[C@@H](C(C)(C)C)[C@H](O)C2)ncc1[N+](=O)[O-] ZINC000272474437 385546652 /nfs/dbraw/zinc/54/66/52/385546652.db2.gz IORSMKSRPZTSTO-DGCLKSJQSA-N 0 0 293.367 2.532 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000272369788 385521366 /nfs/dbraw/zinc/52/13/66/385521366.db2.gz DMFVYPXNHDHSCV-VXGBXAGGSA-N 0 0 278.352 2.936 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3c(F)cccc3C2)s1 ZINC000281857343 285178093 /nfs/dbraw/zinc/17/80/93/285178093.db2.gz JXENQRKOSFCHKY-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC2(CCOCC2)CC1 ZINC000286148107 385564552 /nfs/dbraw/zinc/56/45/52/385564552.db2.gz AWVODHCNKCXWRI-UHFFFAOYSA-N 0 0 276.336 2.992 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H](c3ccc(F)cc3)C2)nc1 ZINC000431505006 285183570 /nfs/dbraw/zinc/18/35/70/285183570.db2.gz AQGGCIVRVCFONC-UMSPYCQHSA-N 0 0 288.282 2.882 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCc2ccsc2C1 ZINC000288798473 197168312 /nfs/dbraw/zinc/16/83/12/197168312.db2.gz GKJWXHVNKOZJTC-UHFFFAOYSA-N 0 0 292.364 2.744 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])cc2Cl)[nH]1 ZINC000286388528 385606460 /nfs/dbraw/zinc/60/64/60/385606460.db2.gz MKYQJHBVASLDDN-ZCFIWIBFSA-N 0 0 281.703 2.848 20 5 CFBDRN CCc1ccc([C@@H](O)CNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000286370120 385599965 /nfs/dbraw/zinc/59/99/65/385599965.db2.gz FAFTWVZAVWTSHZ-AWEZNQCLSA-N 0 0 287.319 2.698 20 5 CFBDRN COC1(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CCC1 ZINC000272855947 385634508 /nfs/dbraw/zinc/63/45/08/385634508.db2.gz DUIATMOAWCNYEK-UHFFFAOYSA-N 0 0 284.699 2.756 20 5 CFBDRN CCC[C@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)CCO1 ZINC000286501209 385635829 /nfs/dbraw/zinc/63/58/29/385635829.db2.gz MXMKWNWDILVXQR-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@@H]1CC/C(=C\C(=O)N(C)c2ccc([N+](=O)[O-])nc2)C1 ZINC000288726007 197152315 /nfs/dbraw/zinc/15/23/15/197152315.db2.gz GGZAIUXPHHBQFU-MXBGMFSPSA-N 0 0 275.308 2.699 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)OC[C@H]1CCCO1 ZINC000286528957 385641706 /nfs/dbraw/zinc/64/17/06/385641706.db2.gz GHAQHWFZGXWAFM-LLVKDONJSA-N 0 0 281.264 2.598 20 5 CFBDRN CCOC1CC2(C1)CCN(c1ccc([N+](=O)[O-])c(C)n1)C2 ZINC000286532549 385643555 /nfs/dbraw/zinc/64/35/55/385643555.db2.gz YRELZEBVSLQUGY-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC[C@@H]2C2CC2)c1 ZINC000273435679 385682637 /nfs/dbraw/zinc/68/26/37/385682637.db2.gz BOAOAYXKXKSKFF-CQSZACIVSA-N 0 0 262.309 2.518 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCC[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000286743588 385701559 /nfs/dbraw/zinc/70/15/59/385701559.db2.gz RAUODRXSYWQTKY-BXUZGUMPSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(C)SCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000286747337 385702331 /nfs/dbraw/zinc/70/23/31/385702331.db2.gz OGMGARMVSLLNGK-UHFFFAOYSA-N 0 0 274.367 2.528 20 5 CFBDRN CCC(CC)[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cn2)CCO1 ZINC000274038618 385706308 /nfs/dbraw/zinc/70/63/08/385706308.db2.gz XMGGQEGARDXEKE-AAEUAGOBSA-N 0 0 294.355 2.781 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCC1(O)CCC1 ZINC000286794151 385710817 /nfs/dbraw/zinc/71/08/17/385710817.db2.gz UEUUUIQIWUUUIB-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000414719961 533142183 /nfs/dbraw/zinc/14/21/83/533142183.db2.gz APBUDXNEZCXWOY-CYBMUJFWSA-N 0 0 280.324 2.903 20 5 CFBDRN CCOc1cccc(N2C[C@@H](C)O[C@H](C)C2)c1[N+](=O)[O-] ZINC000273129563 385671236 /nfs/dbraw/zinc/67/12/36/385671236.db2.gz BGRTUQNRTIQIMM-GHMZBOCLSA-N 0 0 280.324 2.607 20 5 CFBDRN Nc1ccc(N[C@H]2CCO[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000286870416 385727874 /nfs/dbraw/zinc/72/78/74/385727874.db2.gz NMHWXZMGTBYWSJ-FZMZJTMJSA-N 0 0 277.324 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C[C@H]2CCOC2)C2CC2)c1 ZINC000274211163 385740115 /nfs/dbraw/zinc/74/01/15/385740115.db2.gz KXXCHLJMUANYDS-GFCCVEGCSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1CCCSC1 ZINC000286925450 385741035 /nfs/dbraw/zinc/74/10/35/385741035.db2.gz VDKZGQJETAAGQZ-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN COc1cc(CS[C@@H](C)C(C)=O)c([N+](=O)[O-])cc1F ZINC000274234052 385745547 /nfs/dbraw/zinc/74/55/47/385745547.db2.gz RIKJOYOQJBUAFX-QMMMGPOBSA-N 0 0 287.312 2.953 20 5 CFBDRN CCN(CC(F)F)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000286990436 385756712 /nfs/dbraw/zinc/75/67/12/385756712.db2.gz UEARYLHRJYCVLI-UHFFFAOYSA-N 0 0 288.279 2.691 20 5 CFBDRN CC(C)O[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1(C)C ZINC000274323191 385766563 /nfs/dbraw/zinc/76/65/63/385766563.db2.gz ZHSUKZQGAUZZHM-GHMZBOCLSA-N 0 0 296.323 2.510 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC2(C)C)c1 ZINC000286854125 385723634 /nfs/dbraw/zinc/72/36/34/385723634.db2.gz YDSMBRKCFLQLRL-LBPRGKRZSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1ccc(OCCOC2CCC2)cc1[N+](=O)[O-] ZINC000288608988 197126425 /nfs/dbraw/zinc/12/64/25/197126425.db2.gz NIPACJGCRSNDFP-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1ccccc1OC ZINC000288601496 197124387 /nfs/dbraw/zinc/12/43/87/197124387.db2.gz OAOSONVAPUARMK-UHFFFAOYSA-N 0 0 277.280 2.921 20 5 CFBDRN COCC1=CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000274641007 385840046 /nfs/dbraw/zinc/84/00/46/385840046.db2.gz QJXJNDMSRHBVIT-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1C[C@H]1CCc2ccccc21 ZINC000335341830 285206357 /nfs/dbraw/zinc/20/63/57/285206357.db2.gz NZZIIRMVYXNGEP-LLVKDONJSA-N 0 0 288.278 2.626 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCC[C@H]1CCO ZINC000275016883 385912500 /nfs/dbraw/zinc/91/25/00/385912500.db2.gz KXGPSZDHQYKTGL-QWRGUYRKSA-N 0 0 250.298 2.558 20 5 CFBDRN CC(C)=CCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000276656686 386004446 /nfs/dbraw/zinc/00/44/46/386004446.db2.gz VJLVYEFCAFWLFT-UHFFFAOYSA-N 0 0 254.311 2.742 20 5 CFBDRN CC(C)=CCCNC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000276682317 386014932 /nfs/dbraw/zinc/01/49/32/386014932.db2.gz HZLLUHQCRLHXIA-UHFFFAOYSA-N 0 0 280.328 2.527 20 5 CFBDRN C[N@H+](CCNc1nc2ccccc2cc1[N+](=O)[O-])C1CC1 ZINC000276694793 386017806 /nfs/dbraw/zinc/01/78/06/386017806.db2.gz WQFLRAMRWQMTPW-UHFFFAOYSA-N 0 0 286.335 2.649 20 5 CFBDRN CCc1cnc(CN(C)c2ccc(N)cc2[N+](=O)[O-])s1 ZINC000288448317 197081659 /nfs/dbraw/zinc/08/16/59/197081659.db2.gz PIHWPLKNBQASDW-UHFFFAOYSA-N 0 0 292.364 2.832 20 5 CFBDRN CCN(CC)Cc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000276939696 386085612 /nfs/dbraw/zinc/08/56/12/386085612.db2.gz PKGJDEBOPIHEHR-UHFFFAOYSA-N 0 0 290.323 2.795 20 5 CFBDRN C/C=C(/CC)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000276962541 386092785 /nfs/dbraw/zinc/09/27/85/386092785.db2.gz SLKBJNYDBQFKDW-JYOAFUTRSA-N 0 0 262.309 2.610 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)CC1(C)C ZINC000277112441 386132066 /nfs/dbraw/zinc/13/20/66/386132066.db2.gz NCAWUWLUOYYZTP-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CC[NH2+]Cc1cocn1 ZINC000277112548 386132415 /nfs/dbraw/zinc/13/24/15/386132415.db2.gz XTNDSXRPJUNRTH-UHFFFAOYSA-N 0 0 281.699 2.569 20 5 CFBDRN Cc1cnc(NCCCO[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000288294915 197045774 /nfs/dbraw/zinc/04/57/74/197045774.db2.gz BKDCSOZMWIAOGP-CYBMUJFWSA-N 0 0 295.339 2.643 20 5 CFBDRN CCc1nn(C)c(SC2CCCC2)c1[N+](=O)[O-] ZINC000288242145 197035439 /nfs/dbraw/zinc/03/54/39/197035439.db2.gz XBONXTYZXDHQKH-UHFFFAOYSA-N 0 0 255.343 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC3=CCCOC3)ccc2c1 ZINC000288163233 197016644 /nfs/dbraw/zinc/01/66/44/197016644.db2.gz CXQQGHUJFCTQGT-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN CCN(CC(C)C)c1c([N+](=O)[O-])nc(C)n1CC ZINC000288097516 197003658 /nfs/dbraw/zinc/00/36/58/197003658.db2.gz JJJYALFRXDPOEK-UHFFFAOYSA-N 0 0 254.334 2.602 20 5 CFBDRN C[C@H](CF)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000288113416 197006674 /nfs/dbraw/zinc/00/66/74/197006674.db2.gz BZGKHKUEQOOTSN-ZCFIWIBFSA-N 0 0 264.203 2.966 20 5 CFBDRN C[C@@H](NC(=O)NC[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000416705589 533174395 /nfs/dbraw/zinc/17/43/95/533174395.db2.gz LJUXETLEEKQKOL-MNOVXSKESA-N 0 0 289.335 2.755 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CCCCOC ZINC000281222547 195156281 /nfs/dbraw/zinc/15/62/81/195156281.db2.gz DLHRPLXUKALLIA-UHFFFAOYSA-N 0 0 296.323 2.749 20 5 CFBDRN COC[C@@H]1CCCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000364127677 285260970 /nfs/dbraw/zinc/26/09/70/285260970.db2.gz OHIGYMVSNAJXEO-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN COc1ccc(Cl)cc1Cn1cc(C)c([N+](=O)[O-])n1 ZINC000281229199 195157931 /nfs/dbraw/zinc/15/79/31/195157931.db2.gz RYNOFYQGVORUMS-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN CSCCCNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000287491058 196867593 /nfs/dbraw/zinc/86/75/93/196867593.db2.gz YCIJUEAWRREOCD-UHFFFAOYSA-N 0 0 268.338 2.962 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC1CCC1 ZINC000281292932 195184387 /nfs/dbraw/zinc/18/43/87/195184387.db2.gz CPICMNNKUASMPX-UHFFFAOYSA-N 0 0 279.296 2.668 20 5 CFBDRN CCC(=O)c1ccc(NCCCCCO)c([N+](=O)[O-])c1 ZINC000281338680 195203759 /nfs/dbraw/zinc/20/37/59/195203759.db2.gz BJGJYKFYPNCFDR-UHFFFAOYSA-N 0 0 280.324 2.762 20 5 CFBDRN COC[C@@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153766433 285274303 /nfs/dbraw/zinc/27/43/03/285274303.db2.gz JFZMFBVBOHRZIM-SECBINFHSA-N 0 0 285.731 2.506 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000425410582 533210630 /nfs/dbraw/zinc/21/06/30/533210630.db2.gz BJCXKOWLJUEFQN-SKDRFNHKSA-N 0 0 275.308 2.508 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000287045656 196766232 /nfs/dbraw/zinc/76/62/32/196766232.db2.gz SIZZHBGMFJWTIK-PHIMTYICSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1ccc(NC[C@@H](O)CC(C)C)c([N+](=O)[O-])c1 ZINC000080607891 177679483 /nfs/dbraw/zinc/67/94/83/177679483.db2.gz PDPCCGCMMSTZPC-NSHDSACASA-N 0 0 252.314 2.722 20 5 CFBDRN CCc1cnc(CNc2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000080605944 177678810 /nfs/dbraw/zinc/67/88/10/177678810.db2.gz LUGXUWBIWOTGEC-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cccnc1OC ZINC000176249893 533229458 /nfs/dbraw/zinc/22/94/58/533229458.db2.gz ZTZSAIGRYRXCIC-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN CCc1nn(C)c(NCc2sccc2C)c1[N+](=O)[O-] ZINC000094882689 184887526 /nfs/dbraw/zinc/88/75/26/184887526.db2.gz PKESPNZWBHWXHC-UHFFFAOYSA-N 0 0 280.353 2.873 20 5 CFBDRN COC(=O)CC1(COc2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000281539455 195281243 /nfs/dbraw/zinc/28/12/43/195281243.db2.gz XCBYHQDUGILXGX-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN Cc1nn(C)c(NCc2cc(C)cc(Cl)n2)c1[N+](=O)[O-] ZINC000281783033 195378911 /nfs/dbraw/zinc/37/89/11/195378911.db2.gz DYOYLJZIPQDVCV-UHFFFAOYSA-N 0 0 295.730 2.606 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)CCCO1 ZINC000281715836 195347935 /nfs/dbraw/zinc/34/79/35/195347935.db2.gz BUWQIBOBVDVXPF-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN CC1=CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000281733141 195356938 /nfs/dbraw/zinc/35/69/38/195356938.db2.gz GCDTXDWIWXZTFX-UHFFFAOYSA-N 0 0 264.256 2.526 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000281745654 195362044 /nfs/dbraw/zinc/36/20/44/195362044.db2.gz RUZPWNHUZQDFPX-LBPRGKRZSA-N 0 0 290.319 2.507 20 5 CFBDRN CC1=CCCN(C(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)C1 ZINC000281835103 195402357 /nfs/dbraw/zinc/40/23/57/195402357.db2.gz QEHMRNDTYHVXBW-UHFFFAOYSA-N 0 0 299.330 2.728 20 5 CFBDRN CCc1nsc(N(C)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000282174669 195528590 /nfs/dbraw/zinc/52/85/90/195528590.db2.gz FKWXBAPYXXCEHW-UHFFFAOYSA-N 0 0 292.364 2.688 20 5 CFBDRN CCSCCCNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000282714893 195689613 /nfs/dbraw/zinc/68/96/13/195689613.db2.gz RHLXLTMUZGCQHQ-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000282761316 195700676 /nfs/dbraw/zinc/70/06/76/195700676.db2.gz VIGAWACZSOLIBL-VHSXEESVSA-N 0 0 299.710 2.973 20 5 CFBDRN CCCSCC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283687542 195900516 /nfs/dbraw/zinc/90/05/16/195900516.db2.gz TZRDIBKZLYWJLP-UHFFFAOYSA-N 0 0 296.392 2.739 20 5 CFBDRN CCOC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000283779164 195918049 /nfs/dbraw/zinc/91/80/49/195918049.db2.gz CJGWHVRTMVWAEO-UHFFFAOYSA-N 0 0 293.319 2.987 20 5 CFBDRN COC[C@@H](C)SCCOc1cccc([N+](=O)[O-])c1 ZINC000173772524 533344593 /nfs/dbraw/zinc/34/45/93/533344593.db2.gz DOJKLPRGAKSXAV-SNVBAGLBSA-N 0 0 271.338 2.742 20 5 CFBDRN C[C@@H](C1CCC1)N(C)c1ncc([N+](=O)[O-])cc1F ZINC000413219687 533386763 /nfs/dbraw/zinc/38/67/63/533386763.db2.gz MVHWEMCFSPUBGC-QMMMGPOBSA-N 0 0 253.277 2.754 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000414751190 533485906 /nfs/dbraw/zinc/48/59/06/533485906.db2.gz ZYVDDAOLCVDPQY-QMMMGPOBSA-N 0 0 272.251 2.849 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000414724110 533492452 /nfs/dbraw/zinc/49/24/52/533492452.db2.gz DSFNXTZZFBUFHN-ZETCQYMHSA-N 0 0 258.224 2.825 20 5 CFBDRN CSCCN(C)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000176520160 533579734 /nfs/dbraw/zinc/57/97/34/533579734.db2.gz QRCCPICGEGKWNE-UHFFFAOYSA-N 0 0 277.349 2.942 20 5 CFBDRN C[C@@H](CC[S@@](C)=O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000130110866 533651508 /nfs/dbraw/zinc/65/15/08/533651508.db2.gz DGZTWJPRKUIELZ-ASCAZGACSA-N 0 0 290.772 2.817 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000341842437 130087824 /nfs/dbraw/zinc/08/78/24/130087824.db2.gz QGOCKQIZEVHZOO-GHMZBOCLSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000341842439 130088424 /nfs/dbraw/zinc/08/84/24/130088424.db2.gz QGOCKQIZEVHZOO-MNOVXSKESA-N 0 0 291.351 2.932 20 5 CFBDRN O=C(NCc1cccc2cc[nH]c21)c1ccc([N+](=O)[O-])o1 ZINC000342010672 130197084 /nfs/dbraw/zinc/19/70/84/130197084.db2.gz LIUZCVLVYHNSGS-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC ZINC000355370854 130293883 /nfs/dbraw/zinc/29/38/83/130293883.db2.gz RBSHFTOBPCOIMW-UHFFFAOYSA-N 0 0 268.338 2.847 20 5 CFBDRN Cc1cccc(-c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)n1 ZINC000355427569 130302091 /nfs/dbraw/zinc/30/20/91/130302091.db2.gz MTGLBJMJVZKQSR-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])c(C)s2)CCOCC1 ZINC000342087749 130303469 /nfs/dbraw/zinc/30/34/69/130303469.db2.gz QQKHVNNYTYOBGI-UHFFFAOYSA-N 0 0 298.364 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(Cc2cocn2)C2CC2)cc1 ZINC000191927527 130331839 /nfs/dbraw/zinc/33/18/39/130331839.db2.gz SNTJNFMXAPZLKA-UHFFFAOYSA-N 0 0 273.292 2.747 20 5 CFBDRN COc1c(C(=O)N2Cc3ccccc3C2)cccc1[N+](=O)[O-] ZINC000355677271 130332124 /nfs/dbraw/zinc/33/21/24/130332124.db2.gz RQDZMPFYGNUGTA-UHFFFAOYSA-N 0 0 298.298 2.759 20 5 CFBDRN C[C@@H](O)CCCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000355960815 130362013 /nfs/dbraw/zinc/36/20/13/130362013.db2.gz VKVZYAPTHBFUPJ-LLVKDONJSA-N 0 0 275.308 2.619 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCC1CCC2(CC1)OCCO2 ZINC000192125744 130379260 /nfs/dbraw/zinc/37/92/60/130379260.db2.gz DLIZPGAEXWDPNB-UHFFFAOYSA-N 0 0 293.319 2.907 20 5 CFBDRN C[C@H](F)CCNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000356711893 130399707 /nfs/dbraw/zinc/39/97/07/130399707.db2.gz BOHVYBNOELQAQL-QMMMGPOBSA-N 0 0 279.271 2.554 20 5 CFBDRN C[C@@H]1CCCN1C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000342286642 130416269 /nfs/dbraw/zinc/41/62/69/130416269.db2.gz HXUJAEXHVJJCEK-SNVBAGLBSA-N 0 0 280.349 2.698 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(-c3cccs3)n2)nc1 ZINC000357340859 130445881 /nfs/dbraw/zinc/44/58/81/130445881.db2.gz IXZXGDYKFKZDBJ-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1ncc([N+](=O)[O-])s1 ZINC000192506226 130459386 /nfs/dbraw/zinc/45/93/86/130459386.db2.gz FFJJMVSBYPVYNF-LURJTMIESA-N 0 0 282.325 2.872 20 5 CFBDRN Cc1cc(NCc2cncn2C)c2cccc([N+](=O)[O-])c2n1 ZINC000357588708 130494122 /nfs/dbraw/zinc/49/41/22/130494122.db2.gz BBBANNYSBITODB-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@@H](CC2CC2)C1 ZINC000359681532 130529546 /nfs/dbraw/zinc/52/95/46/130529546.db2.gz SQOYHSDXWVQGQM-JTQLQIEISA-N 0 0 280.349 2.919 20 5 CFBDRN COC1CCC(C)(CNc2ncccc2[N+](=O)[O-])CC1 ZINC000359835009 130550894 /nfs/dbraw/zinc/55/08/94/130550894.db2.gz VMSJIDOTHOTOJD-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN Cc1cc(NC[C@@H](C)Cn2cccn2)c(F)cc1[N+](=O)[O-] ZINC000359878743 130557692 /nfs/dbraw/zinc/55/76/92/130557692.db2.gz NVQQJPHAUVXQPF-SNVBAGLBSA-N 0 0 292.314 2.987 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C)CCC(=O)OC(C)C ZINC000359897536 130559889 /nfs/dbraw/zinc/55/98/89/130559889.db2.gz JESDHBFLBJBABE-LLVKDONJSA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1cc(N2CCS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000171608429 413051713 /nfs/dbraw/zinc/05/17/13/413051713.db2.gz DFLCXIYMDZYECX-SNVBAGLBSA-N 0 0 252.339 2.845 20 5 CFBDRN CSC1(CNc2ncccc2[N+](=O)[O-])CCC1 ZINC000227986205 130858418 /nfs/dbraw/zinc/85/84/18/130858418.db2.gz ZHCKMEZXRNHJFN-UHFFFAOYSA-N 0 0 253.327 2.687 20 5 CFBDRN C[C@@H](CO)CCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000230147786 130892541 /nfs/dbraw/zinc/89/25/41/130892541.db2.gz RCFGWGAXFNIHHV-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN CCOc1cccc(NC[C@H]2CC[C@H](O)C2)c1[N+](=O)[O-] ZINC000233809617 130931491 /nfs/dbraw/zinc/93/14/91/130931491.db2.gz GIEVNDVWTRVCIF-QWRGUYRKSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCSCC[C@H]1C ZINC000190125795 291995484 /nfs/dbraw/zinc/99/54/84/291995484.db2.gz XYGYXHASOLEYEK-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000246902889 131099198 /nfs/dbraw/zinc/09/91/98/131099198.db2.gz FSAVBXHOFXCJLV-JFGNBEQYSA-N 0 0 277.324 2.672 20 5 CFBDRN COCCN(CC(C)C)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000248006523 131110036 /nfs/dbraw/zinc/11/00/36/131110036.db2.gz VYNQPGJSIWRLGW-UHFFFAOYSA-N 0 0 294.351 2.648 20 5 CFBDRN CCCc1noc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000248195122 131111802 /nfs/dbraw/zinc/11/18/02/131111802.db2.gz OOWWRCINWFLKGL-UHFFFAOYSA-N 0 0 263.253 2.509 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000263804596 131335061 /nfs/dbraw/zinc/33/50/61/131335061.db2.gz VHEDIDAUZPYZRH-UHFFFAOYSA-N 0 0 250.298 2.713 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000263821407 131339483 /nfs/dbraw/zinc/33/94/83/131339483.db2.gz QQSMMLFBQJJOJK-SECBINFHSA-N 0 0 273.292 2.558 20 5 CFBDRN C[C@H](CN(C)C(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC000263896371 131355731 /nfs/dbraw/zinc/35/57/31/131355731.db2.gz ZSPGFRIGWJDHME-LLVKDONJSA-N 0 0 287.319 2.799 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC000263896372 131355878 /nfs/dbraw/zinc/35/58/78/131355878.db2.gz ZSPGFRIGWJDHME-NSHDSACASA-N 0 0 287.319 2.799 20 5 CFBDRN CC(C)CCc1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000264960127 131529234 /nfs/dbraw/zinc/52/92/34/131529234.db2.gz CMCMHYPDKYEQCL-UHFFFAOYSA-N 0 0 250.258 2.562 20 5 CFBDRN CC(C)OCCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000265029421 131540213 /nfs/dbraw/zinc/54/02/13/131540213.db2.gz VUIOQXWDNXVLDN-UHFFFAOYSA-N 0 0 277.280 2.612 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000265254762 131568326 /nfs/dbraw/zinc/56/83/26/131568326.db2.gz BUDHKXLUZGYEGI-SNVBAGLBSA-N 0 0 265.313 2.575 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccccc2)CN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265358906 131579250 /nfs/dbraw/zinc/57/92/50/131579250.db2.gz ZDLAOSLIARYEFB-DGCLKSJQSA-N 0 0 299.330 2.941 20 5 CFBDRN CCC[C@@H]1CCCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000268150902 131966595 /nfs/dbraw/zinc/96/65/95/131966595.db2.gz MRPQZIQIVPOICV-LLVKDONJSA-N 0 0 291.351 2.710 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])c(C)n2)CCOCC1 ZINC000268452005 132011001 /nfs/dbraw/zinc/01/10/01/132011001.db2.gz GCHREEFVRSXOJG-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Cc1nc(N[C@H](CCO)c2ccccc2)ccc1[N+](=O)[O-] ZINC000268496023 132017026 /nfs/dbraw/zinc/01/70/26/132017026.db2.gz PBYGWDLAODDHDZ-CYBMUJFWSA-N 0 0 287.319 2.834 20 5 CFBDRN CCOC(=O)[C@H](CC)Sc1ccc([N+](=O)[O-])c(C)n1 ZINC000268529333 132022074 /nfs/dbraw/zinc/02/20/74/132022074.db2.gz WQJASSJEQNXFLB-JTQLQIEISA-N 0 0 284.337 2.732 20 5 CFBDRN Cc1ccccc1[C@H](O)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000268529414 132022363 /nfs/dbraw/zinc/02/23/63/132022363.db2.gz WWGKYDJICUJLFG-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN CCc1ccc(OCCCc2nc(C)no2)c([N+](=O)[O-])c1 ZINC000268573895 132029405 /nfs/dbraw/zinc/02/94/05/132029405.db2.gz BGFLOHXKTKXYGR-UHFFFAOYSA-N 0 0 291.307 2.860 20 5 CFBDRN CCc1c[nH]c(Sc2ccc([N+](=O)[O-])c(C)n2)n1 ZINC000268613938 132035552 /nfs/dbraw/zinc/03/55/52/132035552.db2.gz ZBLDPTHORBGMIJ-UHFFFAOYSA-N 0 0 264.310 2.735 20 5 CFBDRN Cc1noc(CCCNc2cc([N+](=O)[O-])ccc2C)n1 ZINC000268651726 132042675 /nfs/dbraw/zinc/04/26/75/132042675.db2.gz VZZPPQYGUWHGCC-UHFFFAOYSA-N 0 0 276.296 2.639 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC3(CCC3)C2)ncc1[N+](=O)[O-] ZINC000268953882 132093666 /nfs/dbraw/zinc/09/36/66/132093666.db2.gz JEDRYCQKEATUSS-LLVKDONJSA-N 0 0 277.324 2.812 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269336360 132157424 /nfs/dbraw/zinc/15/74/24/132157424.db2.gz GRDBDBIKXDKNKW-SECBINFHSA-N 0 0 282.344 2.750 20 5 CFBDRN Cc1cc(NCCn2cnnc2C(C)C)ccc1[N+](=O)[O-] ZINC000269390624 132164211 /nfs/dbraw/zinc/16/42/11/132164211.db2.gz XHGKBHCBAJKPAM-UHFFFAOYSA-N 0 0 289.339 2.730 20 5 CFBDRN Cc1cnc(N[C@@H](CO)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000269400542 132165582 /nfs/dbraw/zinc/16/55/82/132165582.db2.gz GDBJCFZSHMFLPY-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)OC(C)(C)C ZINC000269419510 132168324 /nfs/dbraw/zinc/16/83/24/132168324.db2.gz LKOKTLIHQJWANT-QMMMGPOBSA-N 0 0 284.337 2.812 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269477354 132176371 /nfs/dbraw/zinc/17/63/71/132176371.db2.gz VJNXGMPQZUGFGN-VIFPVBQESA-N 0 0 282.344 2.559 20 5 CFBDRN O=C(NC12CC3CC(CC(C3)C1)C2)c1ccc([N+](=O)[O-])[nH]1 ZINC000298878590 393458789 /nfs/dbraw/zinc/45/87/89/393458789.db2.gz YXKZEYBIETUFMJ-UHFFFAOYSA-N 0 0 289.335 2.622 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCc2sccc2C1 ZINC000301158065 393469180 /nfs/dbraw/zinc/46/91/80/393469180.db2.gz NZJFKXOYIYEKIV-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000301427551 393470869 /nfs/dbraw/zinc/47/08/69/393470869.db2.gz MOPQNVWNXPLFIL-RISCZKNCSA-N 0 0 279.340 2.914 20 5 CFBDRN CC(C)C[C@@H]1CCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301990804 393485113 /nfs/dbraw/zinc/48/51/13/393485113.db2.gz MLTNAJKIJARRDV-NSHDSACASA-N 0 0 289.339 2.510 20 5 CFBDRN CCOc1cc(N2CCOC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000302113004 393488721 /nfs/dbraw/zinc/48/87/21/393488721.db2.gz BGZPNKCUBAUUFT-AWEZNQCLSA-N 0 0 292.335 2.609 20 5 CFBDRN CCC[C@H]1CN(c2cccc(OCC)c2[N+](=O)[O-])CCO1 ZINC000302150555 393490201 /nfs/dbraw/zinc/49/02/01/393490201.db2.gz LJLVLFJCGZRAQA-LBPRGKRZSA-N 0 0 294.351 2.999 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000025130740 393491079 /nfs/dbraw/zinc/49/10/79/393491079.db2.gz WCFGUIVAFZIUFR-ZJUUUORDSA-N 0 0 282.365 2.990 20 5 CFBDRN Cc1cc(N2CCC([C@@H]3CCOC3)CC2)ncc1[N+](=O)[O-] ZINC000374649832 393500254 /nfs/dbraw/zinc/50/02/54/393500254.db2.gz UWOKPYHEAXMCPS-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@@H](C)OC[C@@H]1C ZINC000183279456 393518312 /nfs/dbraw/zinc/51/83/12/393518312.db2.gz FPNGNKYXIHUHBY-NWDGAFQWSA-N 0 0 264.325 2.512 20 5 CFBDRN CCc1nc(CSc2ccc([N+](=O)[O-])cc2F)no1 ZINC000185158565 393531465 /nfs/dbraw/zinc/53/14/65/393531465.db2.gz JKUDVRXOERMYOD-UHFFFAOYSA-N 0 0 283.284 2.972 20 5 CFBDRN COc1ccc(NCc2csc([N+](=O)[O-])c2)cn1 ZINC000037562399 393532255 /nfs/dbraw/zinc/53/22/55/393532255.db2.gz HOVKAAMNQNJDQR-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000185459388 393533914 /nfs/dbraw/zinc/53/39/14/393533914.db2.gz PLGYBRXBACTIRW-WPRPVWTQSA-N 0 0 271.276 2.738 20 5 CFBDRN Cc1c([C@@H](C)NCc2csc([N+](=O)[O-])c2)cnn1C ZINC000037563140 393534372 /nfs/dbraw/zinc/53/43/72/393534372.db2.gz RFNPVLHIYBKJOL-MRVPVSSYSA-N 0 0 280.353 2.549 20 5 CFBDRN C[C@@H]1CCN(c2ncc(Br)cc2[N+](=O)[O-])C1 ZINC000042388546 393553322 /nfs/dbraw/zinc/55/33/22/393553322.db2.gz ZSOAXJGVQYYUSA-SSDOTTSWSA-N 0 0 286.129 2.599 20 5 CFBDRN CCCCCNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000042844989 393556483 /nfs/dbraw/zinc/55/64/83/393556483.db2.gz PVVIANPLRZAVJT-UHFFFAOYSA-N 0 0 265.313 2.584 20 5 CFBDRN O=C(CCc1ccsc1)NCc1ccccc1[N+](=O)[O-] ZINC000046148109 393577512 /nfs/dbraw/zinc/57/75/12/393577512.db2.gz MSOZCGHGSOHGQD-UHFFFAOYSA-N 0 0 290.344 2.905 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000334385240 393598151 /nfs/dbraw/zinc/59/81/51/393598151.db2.gz MVJYIQIJCPFSID-KWQFWETISA-N 0 0 274.276 2.869 20 5 CFBDRN C[C@H](Cc1ccccc1F)Nc1c([N+](=O)[O-])ncn1C ZINC000048893802 393607998 /nfs/dbraw/zinc/60/79/98/393607998.db2.gz TZDUCJOLBUJSDK-SECBINFHSA-N 0 0 278.287 2.511 20 5 CFBDRN CC[C@]1(C)CN(Cc2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000191146962 393588421 /nfs/dbraw/zinc/58/84/21/393588421.db2.gz CXHNOJDHYOFERZ-OAHLLOKOSA-N 0 0 278.352 2.904 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H]2c2ccccc2)cn1 ZINC000049776424 393616124 /nfs/dbraw/zinc/61/61/24/393616124.db2.gz GCEKCWZBQGYNLU-QWHCGFSZSA-N 0 0 255.277 2.958 20 5 CFBDRN CC[C@H](CC(F)F)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000410552994 393630574 /nfs/dbraw/zinc/63/05/74/393630574.db2.gz GYJNSTOETQCBOD-MRVPVSSYSA-N 0 0 287.266 2.918 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](C)[C@H](C)C1 ZINC000192734412 393631430 /nfs/dbraw/zinc/63/14/30/393631430.db2.gz HQZGBVYSWYHJCV-VHSXEESVSA-N 0 0 266.345 2.602 20 5 CFBDRN COc1cc(C(=O)N2[C@@H](C)CC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000410564144 393631532 /nfs/dbraw/zinc/63/15/32/393631532.db2.gz UWBGOMPHWQNQAD-IUCAKERBSA-N 0 0 296.298 2.756 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)C2CCCC2)c1 ZINC000194251036 393685226 /nfs/dbraw/zinc/68/52/26/393685226.db2.gz OOEWQZCNTQUPPO-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1c(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cnn1C ZINC000192814669 393634421 /nfs/dbraw/zinc/63/44/21/393634421.db2.gz ZMBDVMQVTXYBBL-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000070350379 393637967 /nfs/dbraw/zinc/63/79/67/393637967.db2.gz PBJSVDFSTCHCHB-WPRPVWTQSA-N 0 0 254.261 2.713 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H](C)C1CC1 ZINC000193243075 393647085 /nfs/dbraw/zinc/64/70/85/393647085.db2.gz MKBIJLPBWKGNEO-SECBINFHSA-N 0 0 293.323 2.866 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CCC[C@H](C)C1 ZINC000193287408 393648151 /nfs/dbraw/zinc/64/81/51/393648151.db2.gz FEBULYBLWUWQPS-JQWIXIFHSA-N 0 0 291.351 2.949 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000411087239 393663462 /nfs/dbraw/zinc/66/34/62/393663462.db2.gz OHEDRROZFHZFQN-LLVKDONJSA-N 0 0 292.335 2.763 20 5 CFBDRN COc1cccc(C(=O)Nc2cccc(C)n2)c1[N+](=O)[O-] ZINC000193870260 393666495 /nfs/dbraw/zinc/66/64/95/393666495.db2.gz ITPPQIQHAVHWJX-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@H]1Cc2ccccc21 ZINC000194059336 393674578 /nfs/dbraw/zinc/67/45/78/393674578.db2.gz JEPKRZHUYVPFFH-AWEZNQCLSA-N 0 0 296.326 2.593 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2Cc3ccccc32)ccc1[N+](=O)[O-] ZINC000194062380 393675957 /nfs/dbraw/zinc/67/59/57/393675957.db2.gz DGAULVWNZJBEDZ-CQSZACIVSA-N 0 0 296.326 2.973 20 5 CFBDRN CCC1(CNC(=O)NCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000084143960 393746677 /nfs/dbraw/zinc/74/66/77/393746677.db2.gz NUHDDLAXIJLJDK-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCO[C@H](C3CCC3)C2)c1 ZINC000411860220 393756295 /nfs/dbraw/zinc/75/62/95/393756295.db2.gz LIFIAQLBPKJRQE-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCc1cccc(O)c1 ZINC000077290407 393697439 /nfs/dbraw/zinc/69/74/39/393697439.db2.gz CSBNTCIHYPGMLO-RMKNXTFCSA-N 0 0 298.298 2.630 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H](C)C(C)C ZINC000194743969 393716015 /nfs/dbraw/zinc/71/60/15/393716015.db2.gz OTGOAZADHNMQOK-NSHDSACASA-N 0 0 264.325 2.925 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000194832026 393719084 /nfs/dbraw/zinc/71/90/84/393719084.db2.gz RCUDMSFOYZEWAJ-PWSUYJOCSA-N 0 0 278.308 2.514 20 5 CFBDRN Cc1c(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)ccn1C ZINC000194907771 393722392 /nfs/dbraw/zinc/72/23/92/393722392.db2.gz SRARCOGHHZWLKU-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000080054236 393724155 /nfs/dbraw/zinc/72/41/55/393724155.db2.gz OFTWJCMNOPFPCB-SNVBAGLBSA-N 0 0 286.291 2.873 20 5 CFBDRN CC[C@@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000194954730 393725301 /nfs/dbraw/zinc/72/53/01/393725301.db2.gz BFBBKFDHERLBKD-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN COc1cccc(C)c1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000194980053 393726164 /nfs/dbraw/zinc/72/61/64/393726164.db2.gz JMZHOBIODDDNQG-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1Cc2ccccc21 ZINC000195033315 393728555 /nfs/dbraw/zinc/72/85/55/393728555.db2.gz VPXMZYOKQDVUDM-ZDUSSCGKSA-N 0 0 296.326 2.973 20 5 CFBDRN CC(C)(C)CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411599015 393728977 /nfs/dbraw/zinc/72/89/77/393728977.db2.gz UELSRIKGTHDPTM-QWHCGFSZSA-N 0 0 276.336 2.861 20 5 CFBDRN CC1(C)CC[C@@H](Cn2cc([N+](=O)[O-])c(C3CC3)n2)O1 ZINC000195051094 393729599 /nfs/dbraw/zinc/72/95/99/393729599.db2.gz NGUZWRFPRKZROH-JTQLQIEISA-N 0 0 265.313 2.626 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)Cc1ccoc1 ZINC000338044451 393773603 /nfs/dbraw/zinc/77/36/03/393773603.db2.gz MKLAVXPRWNXNFW-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCSC2)c1 ZINC000228583615 393775173 /nfs/dbraw/zinc/77/51/73/393775173.db2.gz RVXGQEVREFHTHB-VIFPVBQESA-N 0 0 268.338 2.768 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000230100346 393777713 /nfs/dbraw/zinc/77/77/13/393777713.db2.gz GPIKMYUDOUTKSK-MADCSZMMSA-N 0 0 272.251 2.852 20 5 CFBDRN CC[C@@H](CSC)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000091606532 393784243 /nfs/dbraw/zinc/78/42/43/393784243.db2.gz NJIPSNJEPJPJIX-NSHDSACASA-N 0 0 297.380 2.536 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2C[C@H]2CC(C)C)cccc1[N+](=O)[O-] ZINC000457986079 393817572 /nfs/dbraw/zinc/81/75/72/393817572.db2.gz FNNKRINEOIZFLR-ZIAGYGMSSA-N 0 0 290.363 2.997 20 5 CFBDRN Cn1ccnc1[C@H](N[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000424323375 393828802 /nfs/dbraw/zinc/82/88/02/393828802.db2.gz MANHBXPJYPFNBX-ZIAGYGMSSA-N 0 0 298.346 2.560 20 5 CFBDRN COC1CCC(NC(=O)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000458032663 393831221 /nfs/dbraw/zinc/83/12/21/393831221.db2.gz BAAALVRVLUGKAR-UHFFFAOYSA-N 0 0 292.335 2.591 20 5 CFBDRN CCOC(=O)c1cc(Nc2ccccc2[N+](=O)[O-])cn1C ZINC000471740012 393911295 /nfs/dbraw/zinc/91/12/95/393911295.db2.gz WNCMWJCPVQCJAF-UHFFFAOYSA-N 0 0 289.291 2.854 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@@H](C)OC[C@H]1C ZINC000248582904 393911638 /nfs/dbraw/zinc/91/16/38/393911638.db2.gz FPNGNKYXIHUHBY-VXGBXAGGSA-N 0 0 264.325 2.512 20 5 CFBDRN Cc1cnccc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000471760366 393913206 /nfs/dbraw/zinc/91/32/06/393913206.db2.gz QQZRTBPEUIIRSL-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@@H]1CN(C(=O)CNc2ccccc2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000416326154 393904972 /nfs/dbraw/zinc/90/49/72/393904972.db2.gz ZORNFDQVXHSYPT-WOPDTQHZSA-N 0 0 291.351 2.510 20 5 CFBDRN Cc1cnc(N[C@@H]2Cc3cccc(O)c3C2)c([N+](=O)[O-])c1 ZINC000428677105 393905430 /nfs/dbraw/zinc/90/54/30/393905430.db2.gz FQOXJWWKFGSTOB-LLVKDONJSA-N 0 0 285.303 2.583 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000416042529 393853096 /nfs/dbraw/zinc/85/30/96/393853096.db2.gz FYAXNWAGGCHUKC-FPMFFAJLSA-N 0 0 286.331 2.615 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000458122879 393853293 /nfs/dbraw/zinc/85/32/93/393853293.db2.gz AUSCZXSNHVXVEL-CABZTGNLSA-N 0 0 268.338 2.821 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000458140431 393857265 /nfs/dbraw/zinc/85/72/65/393857265.db2.gz LBSGFHLXMCWIAZ-DZGCQCFKSA-N 0 0 276.336 2.688 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCC1=O ZINC000341914317 393859766 /nfs/dbraw/zinc/85/97/66/393859766.db2.gz IIHKVQXTVZIHJO-DTWKUNHWSA-N 0 0 294.282 2.678 20 5 CFBDRN CO[C@H](C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000472325473 393929995 /nfs/dbraw/zinc/92/99/95/393929995.db2.gz KSEWUSCCODLCHU-RISCZKNCSA-N 0 0 294.351 2.751 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000472663362 393934158 /nfs/dbraw/zinc/93/41/58/393934158.db2.gz NODAGQYMBNSZNL-PKRMOACSSA-N 0 0 270.716 2.987 20 5 CFBDRN C[C@H]1C[C@@H](O)CCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000429118810 393939934 /nfs/dbraw/zinc/93/99/34/393939934.db2.gz YNQADDQKBKHZGD-CABZTGNLSA-N 0 0 284.743 2.593 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000429229246 393946441 /nfs/dbraw/zinc/94/64/41/393946441.db2.gz TXYYBTUMNZGVBA-PWSUYJOCSA-N 0 0 294.326 2.923 20 5 CFBDRN CCCCNc1cc(CN2CCOCC2)ccc1[N+](=O)[O-] ZINC000471821374 393920129 /nfs/dbraw/zinc/92/01/29/393920129.db2.gz LABUKVYELRZSAN-UHFFFAOYSA-N 0 0 293.367 2.639 20 5 CFBDRN O=[N+]([O-])c1ncn(Cc2ccc(-c3ccccc3)cc2)n1 ZINC000119622896 393922267 /nfs/dbraw/zinc/92/22/67/393922267.db2.gz IZZCBVKPJIGTGV-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H](CO)c1ccccc1 ZINC000487624740 394016096 /nfs/dbraw/zinc/01/60/96/394016096.db2.gz FMVNTPYTGNUYAI-ZDUSSCGKSA-N 0 0 272.304 2.783 20 5 CFBDRN CC(C)[C@H]1OCCC[C@H]1CNc1ccc([N+](=O)[O-])nc1 ZINC000487622350 394016205 /nfs/dbraw/zinc/01/62/05/394016205.db2.gz VBDDAMWBJYHUSS-SMDDNHRTSA-N 0 0 279.340 2.853 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2c([N+](=O)[O-])ncn2C)C1(CC)CC ZINC000487646147 394016659 /nfs/dbraw/zinc/01/66/59/394016659.db2.gz MSPQHKKZOZZZOM-QWRGUYRKSA-N 0 0 296.371 2.724 20 5 CFBDRN C[C@@H](Sc1nccnc1N)c1cccc([N+](=O)[O-])c1 ZINC000430130092 393960956 /nfs/dbraw/zinc/96/09/56/393960956.db2.gz ILFBVWWKLMVGPL-MRVPVSSYSA-N 0 0 276.321 2.820 20 5 CFBDRN CCC[N@H+](CC(=O)[O-])[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000263582466 393963421 /nfs/dbraw/zinc/96/34/21/393963421.db2.gz YRSZYFAXHHTABT-NSHDSACASA-N 0 0 280.324 2.761 20 5 CFBDRN CCC(CC)[C@@H]1C[C@@H](Nc2ccncc2[N+](=O)[O-])CCO1 ZINC000477108961 393963614 /nfs/dbraw/zinc/96/36/14/393963614.db2.gz ACHBYDALJFEGBZ-WFASDCNBSA-N 0 0 293.367 2.807 20 5 CFBDRN CC(C)(C)[C@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000349203842 393965058 /nfs/dbraw/zinc/96/50/58/393965058.db2.gz VJVBKEFLMAFEJU-VHSXEESVSA-N 0 0 294.355 2.653 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN[C@H](C)c1cccnc1 ZINC000430217235 393969752 /nfs/dbraw/zinc/96/97/52/393969752.db2.gz JIVRZFGYWRPRMO-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN CC(C)(C)C1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000265363831 393973345 /nfs/dbraw/zinc/97/33/45/393973345.db2.gz MWFSFAUHFXWWEK-UHFFFAOYSA-N 0 0 279.340 2.821 20 5 CFBDRN CSCCN(C)C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000122892661 393973551 /nfs/dbraw/zinc/97/35/51/393973551.db2.gz OQJFJVMWWAFSRF-UHFFFAOYSA-N 0 0 288.756 2.683 20 5 CFBDRN CCOC(=O)c1ccnc(N(C)[C@H](C)C(C)C)c1[N+](=O)[O-] ZINC000265621456 393975039 /nfs/dbraw/zinc/97/50/39/393975039.db2.gz XRAWAANCGOPBDR-SNVBAGLBSA-N 0 0 295.339 2.647 20 5 CFBDRN CCC[C@H](C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266030962 393978851 /nfs/dbraw/zinc/97/88/51/393978851.db2.gz IQOAYDCBKITMOI-NSHDSACASA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1cc(N2CC[N@H+](C)[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000487047431 393980810 /nfs/dbraw/zinc/98/08/10/393980810.db2.gz OJYQIINWNUIVSW-HNNXBMFYSA-N 0 0 277.368 2.680 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCCC2(CCOCC2)C1 ZINC000266242352 393980918 /nfs/dbraw/zinc/98/09/18/393980918.db2.gz LLZKYOYGAIDFHF-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(C)[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000430475114 393989085 /nfs/dbraw/zinc/98/90/85/393989085.db2.gz YSWBAESLWHEMNI-UWVGGRQHSA-N 0 0 294.355 2.531 20 5 CFBDRN Cc1nc(N2CCS[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000268427721 393992274 /nfs/dbraw/zinc/99/22/74/393992274.db2.gz AQDBYCMBGLOFAN-LBPRGKRZSA-N 0 0 281.381 2.876 20 5 CFBDRN O=C([O-])C[C@@H]1CCCC[C@@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000417672410 393992376 /nfs/dbraw/zinc/99/23/76/393992376.db2.gz SQUKOVUDJDTPMG-JSGCOSHPSA-N 0 0 292.335 2.718 20 5 CFBDRN Cc1cc(N2CCO[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000268780579 393994161 /nfs/dbraw/zinc/99/41/61/393994161.db2.gz BZMJKNIMUAFVGW-WDEREUQCSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1cccc(Cn2cc([N+](=O)[O-])c(=O)c3ccccc32)n1 ZINC000487859095 394026503 /nfs/dbraw/zinc/02/65/03/394026503.db2.gz KWZXIUIXFPTQPE-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN CCn1cncc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000417963211 394009144 /nfs/dbraw/zinc/00/91/44/394009144.db2.gz JWACLHAADOMDDU-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN CO[C@H](C)CN(C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000353227513 394026624 /nfs/dbraw/zinc/02/66/24/394026624.db2.gz HRDNLXFEWVWNOY-SECBINFHSA-N 0 0 272.732 2.715 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432308065 394011371 /nfs/dbraw/zinc/01/13/71/394011371.db2.gz QFSDJGNMDIQTMC-HZMBPMFUSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC=C(C(F)(F)F)CC1 ZINC000270229237 394011739 /nfs/dbraw/zinc/01/17/39/394011739.db2.gz OQYXQGCHFBIDCM-UHFFFAOYSA-N 0 0 287.241 2.997 20 5 CFBDRN Cc1nc(N[C@@H](C)c2ccc(Cl)cn2)ccc1[N+](=O)[O-] ZINC000439125122 394100530 /nfs/dbraw/zinc/10/05/30/394100530.db2.gz SMVAWLPDBNOFKP-QMMMGPOBSA-N 0 0 292.726 2.942 20 5 CFBDRN CC[C@@H](OC)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000437089137 394046262 /nfs/dbraw/zinc/04/62/62/394046262.db2.gz AIJAECCISGVBPH-CQSZACIVSA-N 0 0 292.335 2.511 20 5 CFBDRN O=C1CC[C@H](CSc2ccc([N+](=O)[O-])cc2)CCN1 ZINC000419510994 394049445 /nfs/dbraw/zinc/04/94/45/394049445.db2.gz BUMJMZZXSVQCKY-JTQLQIEISA-N 0 0 280.349 2.603 20 5 CFBDRN CC1=C(C(=O)NCc2ccccc2[N+](=O)[O-])CCC1 ZINC000437280427 394051527 /nfs/dbraw/zinc/05/15/27/394051527.db2.gz HQPCSGLBIOVJPR-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN Cc1cc(N2CCSCC[C@H]2C)ncc1[N+](=O)[O-] ZINC000276896776 394052728 /nfs/dbraw/zinc/05/27/28/394052728.db2.gz VFAAKUZCJCLXQA-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN CCOC[C@@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000277305634 394055138 /nfs/dbraw/zinc/05/51/38/394055138.db2.gz WGOQFEOJARUKII-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN C[C@H]1CSCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000277455161 394056391 /nfs/dbraw/zinc/05/63/91/394056391.db2.gz PVOFGCYBOJDZSW-MRVPVSSYSA-N 0 0 285.344 2.505 20 5 CFBDRN Cc1c([C@@H]2C[C@H]2Nc2ccc([N+](=O)[O-])cc2F)cnn1C ZINC000439136139 394102007 /nfs/dbraw/zinc/10/20/07/394102007.db2.gz RLJSFGQKYDCXFK-IINYFYTJSA-N 0 0 290.298 2.744 20 5 CFBDRN O=C(/C=C/c1cccc(O)c1)NCc1cccc([N+](=O)[O-])c1 ZINC000130129664 394102474 /nfs/dbraw/zinc/10/24/74/394102474.db2.gz GSIPLQUJBWQGCB-BQYQJAHWSA-N 0 0 298.298 2.630 20 5 CFBDRN CCc1ccnc(CNC(=O)c2cc(C)ccc2[N+](=O)[O-])c1 ZINC000437715742 394071010 /nfs/dbraw/zinc/07/10/10/394071010.db2.gz GSLSJWQZFWGLPC-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CCC(=O)c1ccc(N2CCC[C@@H](OC)C2)c([N+](=O)[O-])c1 ZINC000280670392 394073695 /nfs/dbraw/zinc/07/36/95/394073695.db2.gz HMXXMBAFAOLNEN-GFCCVEGCSA-N 0 0 292.335 2.803 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000129173777 394083959 /nfs/dbraw/zinc/08/39/59/394083959.db2.gz LAPJMAHTGFXJOE-VHSXEESVSA-N 0 0 270.716 2.820 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000281868288 394086424 /nfs/dbraw/zinc/08/64/24/394086424.db2.gz CLCGXSIUHLDJPN-ZETCQYMHSA-N 0 0 272.301 2.732 20 5 CFBDRN Cc1ccc(NCc2ncccc2N(C)C)c([N+](=O)[O-])c1 ZINC000357620223 394090112 /nfs/dbraw/zinc/09/01/12/394090112.db2.gz UHXSHZODYYZWDO-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C)CCCO1 ZINC000281909499 394092102 /nfs/dbraw/zinc/09/21/02/394092102.db2.gz QDPFCBBMSRYZDU-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C(C)C ZINC000281908643 394092335 /nfs/dbraw/zinc/09/23/35/394092335.db2.gz IRLLDXNJHSHIHT-UHFFFAOYSA-N 0 0 281.312 2.866 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCOC3(CCC3)C2)s1 ZINC000281917722 394093961 /nfs/dbraw/zinc/09/39/61/394093961.db2.gz NUOUPFVNLDYZGO-MRVPVSSYSA-N 0 0 269.326 2.565 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000281937058 394097733 /nfs/dbraw/zinc/09/77/33/394097733.db2.gz UHDCYQGJIDLDAE-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CCCN(CC(C)(C)O)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000439092077 394098010 /nfs/dbraw/zinc/09/80/10/394098010.db2.gz DAVQDUYBWIZLDZ-UHFFFAOYSA-N 0 0 287.747 2.631 20 5 CFBDRN O=C(C1=CCCCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000129944942 394098329 /nfs/dbraw/zinc/09/83/29/394098329.db2.gz NLEYRKYLKGTMKP-UHFFFAOYSA-N 0 0 286.331 2.980 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000272488936 394030423 /nfs/dbraw/zinc/03/04/23/394030423.db2.gz PSNKBPADEMBFNQ-RYUDHWBXSA-N 0 0 278.352 2.936 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000493199885 394177966 /nfs/dbraw/zinc/17/79/66/394177966.db2.gz DHYUKCBKERJUQU-RPSMYOMKSA-N 0 0 288.225 2.675 20 5 CFBDRN Cc1c(NC(=O)/C=C\c2ccn(C)c2)cccc1[N+](=O)[O-] ZINC000492959785 394109547 /nfs/dbraw/zinc/10/95/47/394109547.db2.gz WISOTYXKEYHMQE-SREVYHEPSA-N 0 0 285.303 2.894 20 5 CFBDRN C[C@@H](C(=O)N(C)c1ccc([N+](=O)[O-])nc1)c1cccs1 ZINC000439295399 394110923 /nfs/dbraw/zinc/11/09/23/394110923.db2.gz NEUKIPLSMWIIMH-SECBINFHSA-N 0 0 291.332 2.818 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC1(CC(N)=O)CC1 ZINC000420611811 394120288 /nfs/dbraw/zinc/12/02/88/394120288.db2.gz SHNMTZPNQIAUCQ-UHFFFAOYSA-N 0 0 297.742 2.624 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1N[C@H]1CC12CCCCC2 ZINC000420611765 394120761 /nfs/dbraw/zinc/12/07/61/394120761.db2.gz NOLYRTSYHGIBBW-LBPRGKRZSA-N 0 0 289.335 2.828 20 5 CFBDRN Cc1cc(N[C@@H]2CCCc3nn(C)cc32)ncc1[N+](=O)[O-] ZINC000282142108 394121991 /nfs/dbraw/zinc/12/19/91/394121991.db2.gz AXUKSLQEJGANNG-LLVKDONJSA-N 0 0 287.323 2.521 20 5 CFBDRN C[C@@H](F)CCNC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000493019341 394126098 /nfs/dbraw/zinc/12/60/98/394126098.db2.gz FSSSLMSAPVZFIW-HYYFJVDXSA-N 0 0 284.262 2.611 20 5 CFBDRN CO[C@H](C)c1noc(COc2cccc(C)c2[N+](=O)[O-])n1 ZINC000131212523 394128478 /nfs/dbraw/zinc/12/84/78/394128478.db2.gz ONENXZIDMWSVPA-SECBINFHSA-N 0 0 293.279 2.573 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000493040259 394131096 /nfs/dbraw/zinc/13/10/96/394131096.db2.gz QPELLYCJABHADR-PGWPQNJOSA-N 0 0 290.294 2.662 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000493040239 394131564 /nfs/dbraw/zinc/13/15/64/394131564.db2.gz QMSWRHSYEBTJDK-MLEAKRLGSA-N 0 0 272.304 2.523 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)CC[C@@H]1C ZINC000131375121 394131759 /nfs/dbraw/zinc/13/17/59/394131759.db2.gz GUGXBZPOXFWEHC-QWRGUYRKSA-N 0 0 294.326 2.781 20 5 CFBDRN CCCN(CC1CCCCC1)C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000285106675 394139340 /nfs/dbraw/zinc/13/93/40/394139340.db2.gz MIAJCFYTJMZCPG-UHFFFAOYSA-N 0 0 294.355 2.750 20 5 CFBDRN Cc1cnc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)o1 ZINC000443925418 394143335 /nfs/dbraw/zinc/14/33/35/394143335.db2.gz DAHDPIQKSTYFHW-UHFFFAOYSA-N 0 0 287.231 2.890 20 5 CFBDRN CCO/C=C/C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000493112327 394151750 /nfs/dbraw/zinc/15/17/50/394151750.db2.gz DUJFBMIRKGFNHH-BQYQJAHWSA-N 0 0 293.323 2.904 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cc(=O)[nH]1 ZINC000132488327 394156473 /nfs/dbraw/zinc/15/64/73/394156473.db2.gz TULDDMIBKVSPLN-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN COc1cc(C(=O)Nc2cccc(F)c2)ccc1[N+](=O)[O-] ZINC000132712413 394160742 /nfs/dbraw/zinc/16/07/42/394160742.db2.gz AFQNXAJERZQHRX-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSC[C@H]1C[C@@H](O)C1 ZINC000421216228 394182694 /nfs/dbraw/zinc/18/26/94/394182694.db2.gz HECHPQRPZYNRGI-WVSHTKLVSA-N 0 0 283.349 2.608 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1ccc([N+](=O)[O-])cc1C ZINC000361165794 394167762 /nfs/dbraw/zinc/16/77/62/394167762.db2.gz KHTKIOFALHMRHU-OAHLLOKOSA-N 0 0 278.352 2.904 20 5 CFBDRN CCCN(CCOc1ccccc1[N+](=O)[O-])CC(F)F ZINC000133282721 394172419 /nfs/dbraw/zinc/17/24/19/394172419.db2.gz NUSPVWOKCWYXKI-UHFFFAOYSA-N 0 0 288.294 2.951 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000448848134 394197255 /nfs/dbraw/zinc/19/72/55/394197255.db2.gz WZJNQJXKRPRJHV-LLVKDONJSA-N 0 0 292.335 2.815 20 5 CFBDRN COCC1CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000287476368 394206469 /nfs/dbraw/zinc/20/64/69/394206469.db2.gz SQMHIQJWQKWOPA-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN CN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@H]1CC1(C)C ZINC000493373108 394225202 /nfs/dbraw/zinc/22/52/02/394225202.db2.gz TZAYQSBALYKDTG-PPGNKHEKSA-N 0 0 274.320 2.865 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])ccc1SCCOC ZINC000135902876 394227889 /nfs/dbraw/zinc/22/78/89/394227889.db2.gz GVMPSYKMUBJXHH-UHFFFAOYSA-N 0 0 285.321 2.510 20 5 CFBDRN O=C(/C=C\c1cncs1)Nc1ccc([N+](=O)[O-])cc1F ZINC000493393764 394230314 /nfs/dbraw/zinc/23/03/14/394230314.db2.gz CBISRDIXQXYRTC-RQOWECAXSA-N 0 0 293.279 2.842 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CC(CC(F)F)C1 ZINC000493401625 394232578 /nfs/dbraw/zinc/23/25/78/394232578.db2.gz NTIKVWCNPVPHDN-WAYWQWQTSA-N 0 0 296.273 2.722 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/c1cnccn1 ZINC000493403107 394233121 /nfs/dbraw/zinc/23/31/21/394233121.db2.gz SEANMKWXMXPVFC-GQCTYLIASA-N 0 0 298.302 2.599 20 5 CFBDRN COc1cc(N2C[C@@H](C)OC(C)(C)C2)c([N+](=O)[O-])cc1F ZINC000136439813 394233713 /nfs/dbraw/zinc/23/37/13/394233713.db2.gz LKYABCCEAUEZRU-SECBINFHSA-N 0 0 298.314 2.746 20 5 CFBDRN CN(C(=O)C1(CCF)CC1)c1cccc([N+](=O)[O-])c1 ZINC000291620324 394248363 /nfs/dbraw/zinc/24/83/63/394248363.db2.gz DYYNWNXZMHKURS-UHFFFAOYSA-N 0 0 266.272 2.697 20 5 CFBDRN CC1(F)CC(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000493251948 394191889 /nfs/dbraw/zinc/19/18/89/394191889.db2.gz JPIVZZQKQGEFDO-DAXSKMNVSA-N 0 0 278.283 2.615 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H]1CCOC[C@@H]1C ZINC000286262430 394195156 /nfs/dbraw/zinc/19/51/56/394195156.db2.gz FSEQNKSEBQXDGC-NWDGAFQWSA-N 0 0 264.325 2.988 20 5 CFBDRN CO[C@@H](C)CCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000291818558 394266319 /nfs/dbraw/zinc/26/63/19/394266319.db2.gz FUSUXWYPJRXYRU-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CCOC1(C)CCN(c2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000291879650 394268703 /nfs/dbraw/zinc/26/87/03/394268703.db2.gz OHRLVWKTHGTZGJ-UHFFFAOYSA-N 0 0 279.340 2.572 20 5 CFBDRN Cc1cnc(NC(=O)Cc2cccc([N+](=O)[O-])c2)s1 ZINC000152052494 394269113 /nfs/dbraw/zinc/26/91/13/394269113.db2.gz HUQPWJJDZIHKQS-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN CCOc1cccc(N2CCC3(CCO3)CC2)c1[N+](=O)[O-] ZINC000368516109 394273911 /nfs/dbraw/zinc/27/39/11/394273911.db2.gz XSVPDGCBEPRMJB-UHFFFAOYSA-N 0 0 292.335 2.753 20 5 CFBDRN Cc1cc(N2CCO[C@H](C)[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000292641007 394275928 /nfs/dbraw/zinc/27/59/28/394275928.db2.gz OSOXQDIYNZPAEN-VHSXEESVSA-N 0 0 268.288 2.656 20 5 CFBDRN Cc1cc(NC[C@H]2CCO[C@H](C(C)C)C2)ncc1[N+](=O)[O-] ZINC000450741951 394332179 /nfs/dbraw/zinc/33/21/79/394332179.db2.gz ZCGQRPQCGWHFBH-JSGCOSHPSA-N 0 0 293.367 2.583 20 5 CFBDRN CC(C)CCNC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000153617523 394287192 /nfs/dbraw/zinc/28/71/92/394287192.db2.gz RUBASEBDKDLULN-UHFFFAOYSA-N 0 0 291.351 2.709 20 5 CFBDRN O=C(NC1CC(c2ccccc2)C1)c1ccc([N+](=O)[O-])cn1 ZINC000370516222 394287773 /nfs/dbraw/zinc/28/77/73/394287773.db2.gz ZTIFRVDATYFGFL-UHFFFAOYSA-N 0 0 297.314 2.666 20 5 CFBDRN Cc1cc(N2C[C@@H]3COC[C@@H]3C2)c2cccc([N+](=O)[O-])c2n1 ZINC000370664577 394288517 /nfs/dbraw/zinc/28/85/17/394288517.db2.gz IJDYRNWZFGEPGJ-TXEJJXNPSA-N 0 0 299.330 2.534 20 5 CFBDRN Cc1c(C(=O)N2CCC[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000370766992 394288903 /nfs/dbraw/zinc/28/89/03/394288903.db2.gz LSJLYDBNOAVZDD-CQSZACIVSA-N 0 0 274.320 2.918 20 5 CFBDRN CCOC1(C)CN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000450577447 394295431 /nfs/dbraw/zinc/29/54/31/394295431.db2.gz GXVSXOLIKVZNLQ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])nc1)Cc1ccccc1 ZINC000450618381 394300223 /nfs/dbraw/zinc/30/02/23/394300223.db2.gz VKZATEBWKRKBPE-CQSZACIVSA-N 0 0 287.319 2.659 20 5 CFBDRN Cc1cccc(CN2CCc3c2cccc3[N+](=O)[O-])n1 ZINC000450620262 394301047 /nfs/dbraw/zinc/30/10/47/394301047.db2.gz YFRIOIZABZQWMQ-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1cccc(C(=O)N2CCC[C@](C)(F)C2)c1[N+](=O)[O-] ZINC000295670860 394302258 /nfs/dbraw/zinc/30/22/58/394302258.db2.gz XDAGSNAPEQKBPP-AWEZNQCLSA-N 0 0 280.299 2.867 20 5 CFBDRN C[C@@H](CCCO)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450631923 394303866 /nfs/dbraw/zinc/30/38/66/394303866.db2.gz IYBIVYFHWSFDPS-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN CC(C)CCCC(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000450633162 394304216 /nfs/dbraw/zinc/30/42/16/394304216.db2.gz XGKUFSHHUPFCLB-UHFFFAOYSA-N 0 0 291.351 2.701 20 5 CFBDRN Cc1nc(N2[C@H](C)C[C@@H]3CCCC[C@H]32)ncc1[N+](=O)[O-] ZINC000296974232 394313103 /nfs/dbraw/zinc/31/31/03/394313103.db2.gz DIQQBTIROUKULF-ADEWGFFLSA-N 0 0 276.340 2.851 20 5 CFBDRN CCN(C)C(=O)[C@@H](Nc1ccc(C)cc1[N+](=O)[O-])C(C)C ZINC000450668817 394314270 /nfs/dbraw/zinc/31/42/70/394314270.db2.gz AUUJBDJQDCOXKF-AWEZNQCLSA-N 0 0 293.367 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2[C@@H]2CCC[C@@H]2O)nc1 ZINC000450685612 394317079 /nfs/dbraw/zinc/31/70/79/394317079.db2.gz STLFSORCVUCORD-MJBXVCDLSA-N 0 0 291.351 2.510 20 5 CFBDRN CC[C@@H](C)OCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000155534517 394318129 /nfs/dbraw/zinc/31/81/29/394318129.db2.gz VZBOIBFUJPCYLY-WDEREUQCSA-N 0 0 292.335 2.688 20 5 CFBDRN Cc1nn(C)cc1COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000450695985 394319962 /nfs/dbraw/zinc/31/99/62/394319962.db2.gz KJLYBGCQLNRJLI-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1ccc(/C=C/C(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1 ZINC000493829339 394325893 /nfs/dbraw/zinc/32/58/93/394325893.db2.gz WYMGHXQJXURWGG-JXMROGBWSA-N 0 0 297.314 2.974 20 5 CFBDRN CC[C@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000493842262 394327895 /nfs/dbraw/zinc/32/78/95/394327895.db2.gz YXIYFGKRUFHGDS-OFSNVTPPSA-N 0 0 284.262 2.768 20 5 CFBDRN CO[C@@](C)(CNc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000450730200 394328793 /nfs/dbraw/zinc/32/87/93/394328793.db2.gz VSZGVGWCBNELBE-HNNXBMFYSA-N 0 0 287.319 2.964 20 5 CFBDRN COc1cccc(NCC2(CF)CCOCC2)c1[N+](=O)[O-] ZINC000450734129 394329975 /nfs/dbraw/zinc/32/99/75/394329975.db2.gz UQFHHDSPTWNTRL-UHFFFAOYSA-N 0 0 298.314 2.782 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCOC[C@@H]1CCOC1 ZINC000156766181 394338428 /nfs/dbraw/zinc/33/84/28/394338428.db2.gz SIVDQLONVGUVDS-ZDUSSCGKSA-N 0 0 294.351 2.758 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C(C)C)[C@H](CO)C(C)C)n1 ZINC000450775312 394339463 /nfs/dbraw/zinc/33/94/63/394339463.db2.gz UPHXDHARBQXUBH-TZMCWYRMSA-N 0 0 295.383 2.999 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000450854519 394341648 /nfs/dbraw/zinc/34/16/48/394341648.db2.gz CVPSIJURRHOVTH-FZMZJTMJSA-N 0 0 289.335 2.526 20 5 CFBDRN C[C@H]1CCC(=O)[C@@H](Sc2ccc([N+](=O)[O-])cn2)C1 ZINC000450892601 394342853 /nfs/dbraw/zinc/34/28/53/394342853.db2.gz PBMNQFHPRXWNGE-KWQFWETISA-N 0 0 266.322 2.840 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)C1CCOCC1 ZINC000157382618 394344765 /nfs/dbraw/zinc/34/47/65/394344765.db2.gz MZRDIKNNUFYJDO-NSHDSACASA-N 0 0 292.335 2.904 20 5 CFBDRN CC(=O)OC1CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000157539200 394347507 /nfs/dbraw/zinc/34/75/07/394347507.db2.gz UVAIWSKCLIEXPJ-UHFFFAOYSA-N 0 0 298.726 2.780 20 5 CFBDRN C[C@H](C(=O)N(C)c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000158317925 394356834 /nfs/dbraw/zinc/35/68/34/394356834.db2.gz RBLYKABIJQOCMS-NSHDSACASA-N 0 0 285.303 2.756 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCc1ccsc1 ZINC000494663844 394361914 /nfs/dbraw/zinc/36/19/14/394361914.db2.gz GDKZLLDZLCYRCP-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCOCC(F)(F)F)c1 ZINC000519762307 394377599 /nfs/dbraw/zinc/37/75/99/394377599.db2.gz AEQODCWLTPVYBA-UHFFFAOYSA-N 0 0 295.213 2.561 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCOC(C)(C)C1 ZINC000175025416 394380328 /nfs/dbraw/zinc/38/03/28/394380328.db2.gz ZCAHELWRWAHIKZ-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2F)[C@H](C)C1 ZINC000520399535 394380848 /nfs/dbraw/zinc/38/08/48/394380848.db2.gz PSEINHKTDZGNHF-RKDXNWHRSA-N 0 0 253.277 2.754 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000177858870 394385016 /nfs/dbraw/zinc/38/50/16/394385016.db2.gz RMCNZNNSZKBZIF-JBLDHEPKSA-N 0 0 262.309 2.736 20 5 CFBDRN Cc1cc(N2CCC([C@@H]3CCCO3)CC2)ncc1[N+](=O)[O-] ZINC000275801764 135113069 /nfs/dbraw/zinc/11/30/69/135113069.db2.gz DBNGHJZULNIHJT-AWEZNQCLSA-N 0 0 291.351 2.694 20 5 CFBDRN CC[C@]1(C)CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000275973808 135116663 /nfs/dbraw/zinc/11/66/63/135116663.db2.gz BOBJKNMALCSCIO-CYBMUJFWSA-N 0 0 268.288 2.739 20 5 CFBDRN C[C@@H](NC(=O)[C@@]1(C)CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000457442687 394457672 /nfs/dbraw/zinc/45/76/72/394457672.db2.gz ALUFIUULHXKUAF-IAQYHMDHSA-N 0 0 292.335 2.731 20 5 CFBDRN CC[C@H](CC(F)F)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000457484383 394466920 /nfs/dbraw/zinc/46/69/20/394466920.db2.gz QXQWQBQKIDEECO-SNVBAGLBSA-N 0 0 286.278 2.892 20 5 CFBDRN C[C@@H](C(=O)NCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000457488500 394467580 /nfs/dbraw/zinc/46/75/80/394467580.db2.gz WIDACJOTAUVGES-SNVBAGLBSA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1cccc(OC[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000535880289 394549201 /nfs/dbraw/zinc/54/92/01/394549201.db2.gz PUYCLOPOMVAHNW-NSHDSACASA-N 0 0 251.282 2.709 20 5 CFBDRN CC[C@@H](C)n1nc(Nc2ncc([N+](=O)[O-])cc2C)cc1C ZINC000538293477 394600100 /nfs/dbraw/zinc/60/01/00/394600100.db2.gz HMVRKZJJPAOZDM-SNVBAGLBSA-N 0 0 289.339 2.940 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2CCOC[C@@H]1CCCO1 ZINC000542980020 394719472 /nfs/dbraw/zinc/71/94/72/394719472.db2.gz MGKAQEJMESYDFM-LBPRGKRZSA-N 0 0 290.319 2.745 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H]2CCCO2)n1 ZINC000544780818 394770901 /nfs/dbraw/zinc/77/09/01/394770901.db2.gz XKIHAMMQYRAQIR-LLVKDONJSA-N 0 0 275.264 2.805 20 5 CFBDRN CCN(Cc1ccc(C)cc1)c1ncc([N+](=O)[O-])cn1 ZINC000544858360 394773167 /nfs/dbraw/zinc/77/31/67/394773167.db2.gz RUFBSHVYZJGCBS-UHFFFAOYSA-N 0 0 272.308 2.720 20 5 CFBDRN CCc1cccnc1-c1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000544933753 394774110 /nfs/dbraw/zinc/77/41/10/394774110.db2.gz WMTDXLAHOUBMLA-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN CC(C)c1[nH]nc(-c2nc([C@@H]3C[C@H]3C)no2)c1[N+](=O)[O-] ZINC000545058632 394777249 /nfs/dbraw/zinc/77/72/49/394777249.db2.gz NRSZRFJCKCZWPK-RNFRBKRXSA-N 0 0 277.284 2.615 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(CCc2cccc([N+](=O)[O-])c2)n1 ZINC000545773885 394802486 /nfs/dbraw/zinc/80/24/86/394802486.db2.gz DJJLUJFFALZHJS-JOYOIKCWSA-N 0 0 273.292 2.886 20 5 CFBDRN CNc1ccc(-c2nc([C@@H]3CCCO3)no2)cc1[N+](=O)[O-] ZINC000545775978 394803444 /nfs/dbraw/zinc/80/34/44/394803444.db2.gz YDNUGUUOTLGRBE-NSHDSACASA-N 0 0 290.279 2.538 20 5 CFBDRN Cc1ccncc1N(C)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000545923319 394808157 /nfs/dbraw/zinc/80/81/57/394808157.db2.gz BWMLHHSKPIBLJD-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN CC1(C)CC(CNC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000547729364 394885339 /nfs/dbraw/zinc/88/53/39/394885339.db2.gz YHAGPFZBJYYGNB-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000548285741 394921065 /nfs/dbraw/zinc/92/10/65/394921065.db2.gz XRTGBRWCVUQVJA-OLZOCXBDSA-N 0 0 276.336 2.766 20 5 CFBDRN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548412650 394928427 /nfs/dbraw/zinc/92/84/27/394928427.db2.gz XRPBAOXNBPPJKU-FOGDFJRCSA-N 0 0 294.355 2.509 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccn(C(C)C)n1 ZINC000554679431 394955926 /nfs/dbraw/zinc/95/59/26/394955926.db2.gz QJBVNAVGWDNZEB-UHFFFAOYSA-N 0 0 292.343 2.509 20 5 CFBDRN CCc1ccc(CC)c(NC(=O)c2c[nH]nc2[N+](=O)[O-])c1 ZINC000554877155 394962175 /nfs/dbraw/zinc/96/21/75/394962175.db2.gz IHMZNFSJIXNWGW-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN CC(C)[C@H]1CCC[C@H](NC(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000555290681 394970833 /nfs/dbraw/zinc/97/08/33/394970833.db2.gz SHUHAJAJSKDJJE-QWRGUYRKSA-N 0 0 294.355 2.653 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCO[C@@H]3CCC[C@H]32)c(F)c1 ZINC000269654792 296500963 /nfs/dbraw/zinc/50/09/63/296500963.db2.gz RJAZGVGFKYOKMM-VXGBXAGGSA-N 0 0 284.262 2.631 20 5 CFBDRN CC[C@H]1CCN1C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000558163857 395054249 /nfs/dbraw/zinc/05/42/49/395054249.db2.gz XBYQFKMJVUVIIZ-JQWIXIFHSA-N 0 0 262.309 2.709 20 5 CFBDRN Cc1nn(Cc2ccc(Cl)cn2)c(C)c1[N+](=O)[O-] ZINC000558319700 395057361 /nfs/dbraw/zinc/05/73/61/395057361.db2.gz WXMPRVXGRUCZRO-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CC1(CC(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)CC1 ZINC000558693268 395071644 /nfs/dbraw/zinc/07/16/44/395071644.db2.gz AFAVMIDMQFVWDZ-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CCNc1ccc(F)cn1 ZINC000557643205 395043084 /nfs/dbraw/zinc/04/30/84/395043084.db2.gz FWUUUVZVPCPWHA-UHFFFAOYSA-N 0 0 295.701 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCO[C@H]3CCC[C@@H]32)c(F)c1 ZINC000269654790 296500868 /nfs/dbraw/zinc/50/08/68/296500868.db2.gz RJAZGVGFKYOKMM-RYUDHWBXSA-N 0 0 284.262 2.631 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@@H]2c2ncon2)c(F)c1 ZINC000370878918 296500886 /nfs/dbraw/zinc/50/08/86/296500886.db2.gz IMUOIRHMGFKMSW-SNVBAGLBSA-N 0 0 296.233 2.598 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC[C@@H](n2cccn2)C1 ZINC000365564894 296505335 /nfs/dbraw/zinc/50/53/35/296505335.db2.gz PZICAMPGCIRTTM-GFCCVEGCSA-N 0 0 290.298 2.772 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCOCC12CC2 ZINC000338598180 296510476 /nfs/dbraw/zinc/51/04/76/296510476.db2.gz PHIYTSKURYWNHH-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@@H](CCO)CC1 ZINC000420634498 296511647 /nfs/dbraw/zinc/51/16/47/296511647.db2.gz DDHLEQPSKMGTRX-LLVKDONJSA-N 0 0 282.315 2.723 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H](C2CCOCC2)C1 ZINC000371372335 296515177 /nfs/dbraw/zinc/51/51/77/296515177.db2.gz WHXIBTPWUMQISW-CYBMUJFWSA-N 0 0 276.336 2.848 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1CC(F)(F)C1 ZINC000355924068 155132915 /nfs/dbraw/zinc/13/29/15/155132915.db2.gz LSHJKRULHMQLRK-UHFFFAOYSA-N 0 0 298.289 2.641 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC[C@H]2c2ccccc2)s1 ZINC000375592239 296520141 /nfs/dbraw/zinc/52/01/41/296520141.db2.gz REVWYQWQDWMVEX-NSHDSACASA-N 0 0 291.332 2.629 20 5 CFBDRN Cc1ccc(C(=O)N2CCS[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000118286273 292061323 /nfs/dbraw/zinc/06/13/23/292061323.db2.gz HTGVHRDCVAMHCI-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN COc1cc(OCC(=O)CCC(C)C)ccc1[N+](=O)[O-] ZINC000355932866 155133183 /nfs/dbraw/zinc/13/31/83/155133183.db2.gz DYMMEWHYCQODKT-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN Cc1cccc(CN(C)c2ccccc2[N+](=O)[O-])n1 ZINC000439605530 397575438 /nfs/dbraw/zinc/57/54/38/397575438.db2.gz ZONDMOLZRNKTNY-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1cccc(CNc2nc3sccn3c2[N+](=O)[O-])n1 ZINC000048346484 397588945 /nfs/dbraw/zinc/58/89/45/397588945.db2.gz TVTZFQONCURZSZ-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000125363028 397601933 /nfs/dbraw/zinc/60/19/33/397601933.db2.gz LUWDILLYNNIKHQ-JSGCOSHPSA-N 0 0 262.309 2.647 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000177558946 397609208 /nfs/dbraw/zinc/60/92/08/397609208.db2.gz SLEZDGCACOFMLE-KBPBESRZSA-N 0 0 288.347 2.992 20 5 CFBDRN CCC1(CC)[C@H](Nc2ncccc2[N+](=O)[O-])C[C@@H]1OC ZINC000301725360 397639193 /nfs/dbraw/zinc/63/91/93/397639193.db2.gz UPNYKOQAIDSFCQ-NEPJUHHUSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1cc(OCc2ccnc(C)n2)ccc1[N+](=O)[O-] ZINC000356032698 155142145 /nfs/dbraw/zinc/14/21/45/155142145.db2.gz DOUMXMAXKZICJE-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000353909977 285953602 /nfs/dbraw/zinc/95/36/02/285953602.db2.gz ZVFSCUXPPYEDCB-LLVKDONJSA-N 0 0 294.351 2.789 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1CC(C(C)(C)C)C1 ZINC000356051026 155149688 /nfs/dbraw/zinc/14/96/88/155149688.db2.gz BVMGAYAQIFPKMY-UHFFFAOYSA-N 0 0 291.351 2.853 20 5 CFBDRN O=c1ccn(Cc2cccc(C(F)F)c2)cc1[N+](=O)[O-] ZINC000356062042 155152852 /nfs/dbraw/zinc/15/28/52/155152852.db2.gz QIYIVIHQJSVWKW-UHFFFAOYSA-N 0 0 280.230 2.742 20 5 CFBDRN CO[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281682480 286064643 /nfs/dbraw/zinc/06/46/43/286064643.db2.gz QYUKGNLBRHVYTL-WPRPVWTQSA-N 0 0 285.731 2.647 20 5 CFBDRN CO[C@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281706744 286062355 /nfs/dbraw/zinc/06/23/55/286062355.db2.gz UFCVEYUDGBODAK-RYUDHWBXSA-N 0 0 264.325 2.907 20 5 CFBDRN CO[C@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281533165 286062800 /nfs/dbraw/zinc/06/28/00/286062800.db2.gz AMNTZXBDKSJMFL-GWCFXTLKSA-N 0 0 292.335 2.801 20 5 CFBDRN O=C(NCC1(C(F)(F)F)CC1)c1ccc([N+](=O)[O-])s1 ZINC000356296115 155214626 /nfs/dbraw/zinc/21/46/26/155214626.db2.gz AFJDLSBKKSPPJP-UHFFFAOYSA-N 0 0 294.254 2.729 20 5 CFBDRN O=C(NCC1(C(F)(F)F)CC1)c1ccccc1[N+](=O)[O-] ZINC000356313172 155221180 /nfs/dbraw/zinc/22/11/80/155221180.db2.gz QKSZVEDBDCSQMV-UHFFFAOYSA-N 0 0 288.225 2.667 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H](C)C(F)(F)F ZINC000356424914 155261399 /nfs/dbraw/zinc/26/13/99/155261399.db2.gz JNGLNTNWQWDPKP-MRVPVSSYSA-N 0 0 290.241 2.831 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000356552320 155301937 /nfs/dbraw/zinc/30/19/37/155301937.db2.gz SOLGUXMAYLHYMB-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN COc1cccc(SCc2c([N+](=O)[O-])ncn2C)c1 ZINC000356581849 155308864 /nfs/dbraw/zinc/30/88/64/155308864.db2.gz QLHAJSLULFAKKU-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN COc1ccc(CN2CCOC(C)(C)[C@H]2C)cc1[N+](=O)[O-] ZINC000353871919 286306059 /nfs/dbraw/zinc/30/60/59/286306059.db2.gz UWWXYAZPMAEOBR-LLVKDONJSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000356730997 155344010 /nfs/dbraw/zinc/34/40/10/155344010.db2.gz JILRLSHDUFNQFT-RNCFNFMXSA-N 0 0 296.323 2.603 20 5 CFBDRN CCN(Cc1c([N+](=O)[O-])ncn1C)c1cc(C)cc(C)c1 ZINC000356743334 155348425 /nfs/dbraw/zinc/34/84/25/155348425.db2.gz VAANCJKKSJWRPN-UHFFFAOYSA-N 0 0 288.351 2.972 20 5 CFBDRN CCc1cccnc1-c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000356774895 155362876 /nfs/dbraw/zinc/36/28/76/155362876.db2.gz ZSMJMTWGGOAXHB-UHFFFAOYSA-N 0 0 285.263 2.597 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@@H](c2ccncc2)C1 ZINC000574107090 413276560 /nfs/dbraw/zinc/27/65/60/413276560.db2.gz SBYHTEUAMDVZBC-CQSZACIVSA-N 0 0 297.314 2.620 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@H](c2ccncc2)C1 ZINC000574107087 413276568 /nfs/dbraw/zinc/27/65/68/413276568.db2.gz SBYHTEUAMDVZBC-AWEZNQCLSA-N 0 0 297.314 2.620 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000088704984 296685576 /nfs/dbraw/zinc/68/55/76/296685576.db2.gz DVRXIUHPLAJFLC-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](CCO)CC2)c1 ZINC000420637805 292285541 /nfs/dbraw/zinc/28/55/41/292285541.db2.gz IQEHBTNHGIYIOH-CYBMUJFWSA-N 0 0 278.352 2.892 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCSC(C)(C)C2)n1 ZINC000178253743 292287641 /nfs/dbraw/zinc/28/76/41/292287641.db2.gz JOXZMGAQYVQBDP-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCO[C@@H](C3CCC3)C2)c1 ZINC000413483284 286382683 /nfs/dbraw/zinc/38/26/83/286382683.db2.gz WWNNGRMQKRSUNT-OAHLLOKOSA-N 0 0 292.335 2.609 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](OC)C(C)(C)C2)c1 ZINC000295750662 286382943 /nfs/dbraw/zinc/38/29/43/286382943.db2.gz NSWVNPIHFFCBFJ-AWEZNQCLSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCOC3(CCC3)C2)n1 ZINC000268998537 292290385 /nfs/dbraw/zinc/29/03/85/292290385.db2.gz XLFPDFFOEGNVNU-NSHDSACASA-N 0 0 277.324 2.812 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC2(CCC2(F)F)C1 ZINC000335751811 292291889 /nfs/dbraw/zinc/29/18/89/292291889.db2.gz VNPNLGSZFAIFSN-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN C[C@H]1CC[C@H](CNc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000343059744 154100013 /nfs/dbraw/zinc/10/00/13/154100013.db2.gz HPJDMKPABOTGBY-UWVGGRQHSA-N 0 0 277.324 2.542 20 5 CFBDRN CC(C)Oc1ccccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000343114612 154116888 /nfs/dbraw/zinc/11/68/88/154116888.db2.gz ALYRUPYNAQPBFS-UHFFFAOYSA-N 0 0 289.291 2.962 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CC[C@H](C2CC2)C1 ZINC000343124880 154121752 /nfs/dbraw/zinc/12/17/52/154121752.db2.gz ZVIKWMSMISYTLH-ZDUSSCGKSA-N 0 0 289.335 2.536 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])cc2C)nc(C)n1 ZINC000343148904 154125351 /nfs/dbraw/zinc/12/53/51/154125351.db2.gz NULHHBXMXUQJCP-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccnc(OC(F)F)c2)cn1 ZINC000343146105 154125690 /nfs/dbraw/zinc/12/56/90/154125690.db2.gz YIANBTGAQQJYHV-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN O=C(NCCc1ccc(Cl)s1)c1ccc([N+](=O)[O-])[nH]1 ZINC000343214628 154144576 /nfs/dbraw/zinc/14/45/76/154144576.db2.gz GHJBPJSYYCHFAU-UHFFFAOYSA-N 0 0 299.739 2.610 20 5 CFBDRN Cc1cccc(N(C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000343228169 154149052 /nfs/dbraw/zinc/14/90/52/154149052.db2.gz YFGRFLCUGPIQEB-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN C[C@H](O)CCN(C)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000343238710 154151785 /nfs/dbraw/zinc/15/17/85/154151785.db2.gz ZOJUYRLRLWQCEN-NSHDSACASA-N 0 0 296.367 2.979 20 5 CFBDRN Cn1ccnc1[C@H](Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000343280037 154166543 /nfs/dbraw/zinc/16/65/43/154166543.db2.gz ZMLXIJBQJRVDLS-CYBMUJFWSA-N 0 0 272.308 2.892 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2C[C@H]2C(F)F)n1 ZINC000345527228 154194991 /nfs/dbraw/zinc/19/49/91/154194991.db2.gz MGUIEONJSDKVOS-RKDXNWHRSA-N 0 0 295.245 2.937 20 5 CFBDRN Cn1nccc1/C=C\c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000345711954 154248349 /nfs/dbraw/zinc/24/83/49/154248349.db2.gz VROHNKWAJSMXQI-SREVYHEPSA-N 0 0 297.274 2.549 20 5 CFBDRN CC(C)COCCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000345715960 154248662 /nfs/dbraw/zinc/24/86/62/154248662.db2.gz WQQCXBLBMQKBES-UHFFFAOYSA-N 0 0 291.307 2.860 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H]1C[C@H]1C(F)F ZINC000345755365 154260017 /nfs/dbraw/zinc/26/00/17/154260017.db2.gz XLOJLBJFZZCERF-ZJUUUORDSA-N 0 0 298.289 2.903 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1Cl ZINC000345784713 154266551 /nfs/dbraw/zinc/26/65/51/154266551.db2.gz ACIRZULIFSZUPI-CBAPKCEASA-N 0 0 290.653 2.632 20 5 CFBDRN Cc1nscc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348013408 154287587 /nfs/dbraw/zinc/28/75/87/154287587.db2.gz ONQRECMAHCSEDT-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CCC1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCOCC1 ZINC000348017907 154288623 /nfs/dbraw/zinc/28/86/23/154288623.db2.gz RVBZYXIENYWUKC-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ncc(F)cc1F ZINC000348028577 154292414 /nfs/dbraw/zinc/29/24/14/154292414.db2.gz ZEKUORHHGSRALM-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1c1ccccc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000348076449 154308679 /nfs/dbraw/zinc/30/86/79/154308679.db2.gz DDEVLNCWXYLUNN-KBPBESRZSA-N 0 0 299.330 2.989 20 5 CFBDRN CC(C)(C(=O)NCc1ccccc1[N+](=O)[O-])C(F)F ZINC000348131237 154331943 /nfs/dbraw/zinc/33/19/43/154331943.db2.gz BNRHZODQBYOUQW-UHFFFAOYSA-N 0 0 272.251 2.502 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c(=O)[nH]1 ZINC000348131506 154332965 /nfs/dbraw/zinc/33/29/65/154332965.db2.gz GTTBBLRLJXXXML-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@H](CO)[N@@H+](Cc1cccc([N+](=O)[O-])c1)CC1CCC1 ZINC000348286268 154395847 /nfs/dbraw/zinc/39/58/47/154395847.db2.gz YFRBBMGABAJNTB-GFCCVEGCSA-N 0 0 278.352 2.578 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H](O)CC2CCCC2)nc1C1CC1 ZINC000352436531 154572180 /nfs/dbraw/zinc/57/21/80/154572180.db2.gz HTAJLWIYRLOZRW-LBPRGKRZSA-N 0 0 279.340 2.610 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCC[C@H]2CCCCO2)n1 ZINC000354550036 154744391 /nfs/dbraw/zinc/74/43/91/154744391.db2.gz VUTDDHILEVFNQK-GFCCVEGCSA-N 0 0 280.324 2.945 20 5 CFBDRN COc1cccc(N2C[C@@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000274718406 286458410 /nfs/dbraw/zinc/45/84/10/286458410.db2.gz WZVVTKXHNHBDAS-NXEZZACHSA-N 0 0 250.298 2.696 20 5 CFBDRN O=C(NCc1nc2ccccc2o1)c1ccccc1[N+](=O)[O-] ZINC000354733285 154799964 /nfs/dbraw/zinc/79/99/64/154799964.db2.gz PCTZWAAXLOYIBE-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN Cc1ccoc1-c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355439311 155008324 /nfs/dbraw/zinc/00/83/24/155008324.db2.gz JWAIVTDIFRMLDQ-UHFFFAOYSA-N 0 0 286.247 2.795 20 5 CFBDRN CCO[C@H](C)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355689491 155063867 /nfs/dbraw/zinc/06/38/67/155063867.db2.gz MCQZTUFVHNUURX-SECBINFHSA-N 0 0 277.280 2.666 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(C2CCC2)n1 ZINC000355690247 155063900 /nfs/dbraw/zinc/06/39/00/155063900.db2.gz OWXNUXBJYDALHN-UHFFFAOYSA-N 0 0 259.265 2.836 20 5 CFBDRN Cc1ccc(Cc2noc(-c3ccc([N+](=O)[O-])n3C)n2)cc1 ZINC000355820589 155096865 /nfs/dbraw/zinc/09/68/65/155096865.db2.gz XUGIBOAJEOWQBX-UHFFFAOYSA-N 0 0 298.302 2.883 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(Cc3ccncc3)no2)c1 ZINC000355786603 155086315 /nfs/dbraw/zinc/08/63/15/155086315.db2.gz CPSPZQCPHNCFTR-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Sc2cccc(C(N)=O)c2)n1 ZINC000357798075 155540209 /nfs/dbraw/zinc/54/02/09/155540209.db2.gz GCLZVKVFWKEAOS-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN CC(C)(C(=O)NCC1(C)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000357835972 155553269 /nfs/dbraw/zinc/55/32/69/155553269.db2.gz IOOKCKHZTYWUOY-UHFFFAOYSA-N 0 0 294.326 2.928 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000357848046 155556579 /nfs/dbraw/zinc/55/65/79/155556579.db2.gz KAEIQDVKURMQMT-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2C)nc(C2CC2)n1 ZINC000357868451 155561870 /nfs/dbraw/zinc/56/18/70/155561870.db2.gz VPSRREQKVKOOEP-UHFFFAOYSA-N 0 0 298.346 2.913 20 5 CFBDRN CCCN(CC)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000358248383 155689121 /nfs/dbraw/zinc/68/91/21/155689121.db2.gz GWCLQURAMWLXKP-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN CS[C@@H](C)CCC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000358444489 155767361 /nfs/dbraw/zinc/76/73/61/155767361.db2.gz UNFUCAPFRCLUMG-NSHDSACASA-N 0 0 296.392 2.785 20 5 CFBDRN CCCCn1cc(CNc2ncccc2[N+](=O)[O-])c(C)n1 ZINC000358454341 155770451 /nfs/dbraw/zinc/77/04/51/155770451.db2.gz CBCKKCUGECMFJX-UHFFFAOYSA-N 0 0 289.339 2.907 20 5 CFBDRN Cc1nc(CCOc2nc(C)cc(C)c2[N+](=O)[O-])cs1 ZINC000358847123 155895568 /nfs/dbraw/zinc/89/55/68/155895568.db2.gz LTBCQEDGUSGOBU-UHFFFAOYSA-N 0 0 293.348 2.993 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC(C)(C)C[C@H](C)O)n1 ZINC000359093933 155984120 /nfs/dbraw/zinc/98/41/20/155984120.db2.gz KOAHOPMJVIHVOE-NSHDSACASA-N 0 0 281.356 2.816 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@H]1C[C@H]1c1ccccc1 ZINC000359168038 156010284 /nfs/dbraw/zinc/01/02/84/156010284.db2.gz BBMDNWQOUYANSL-OCCSQVGLSA-N 0 0 270.288 2.560 20 5 CFBDRN CC(C)=CCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000360226887 156058683 /nfs/dbraw/zinc/05/86/83/156058683.db2.gz FONLGQVACLZXQI-UHFFFAOYSA-N 0 0 266.272 2.820 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)CC(F)(F)F ZINC000362736112 156076038 /nfs/dbraw/zinc/07/60/38/156076038.db2.gz SEYVRRCTYFZSCD-MRVPVSSYSA-N 0 0 290.241 2.974 20 5 CFBDRN C[C@@H]1COC(C)(C)CN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000362740161 156077544 /nfs/dbraw/zinc/07/75/44/156077544.db2.gz HGIJJAAMQZCXJU-SNVBAGLBSA-N 0 0 293.323 2.626 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1c([N+](=O)[O-])nc(C)n1CC)OC ZINC000362976437 156134067 /nfs/dbraw/zinc/13/40/67/156134067.db2.gz KFOWVOMXLLYFIK-MWLCHTKSSA-N 0 0 284.360 2.593 20 5 CFBDRN C[C@@H]1CN(Cc2c([N+](=O)[O-])ncn2C)[C@@H]1c1ccccc1 ZINC000365783020 156230967 /nfs/dbraw/zinc/23/09/67/156230967.db2.gz BUXCHFBIPXADGI-RISCZKNCSA-N 0 0 286.335 2.521 20 5 CFBDRN C[C@H]1CN(Cc2c([N+](=O)[O-])ncn2C)[C@H]1c1ccccc1 ZINC000365783021 156231021 /nfs/dbraw/zinc/23/10/21/156231021.db2.gz BUXCHFBIPXADGI-SMDDNHRTSA-N 0 0 286.335 2.521 20 5 CFBDRN Cc1ncc(-c2ccccc2)cc1NC(=O)[C@H]1CC1[N+](=O)[O-] ZINC000366887747 156251364 /nfs/dbraw/zinc/25/13/64/156251364.db2.gz YNMJSZNPJKKXSM-DZGCQCFKSA-N 0 0 297.314 2.661 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOCC3(CCC3)C2)cc1F ZINC000366990195 156262611 /nfs/dbraw/zinc/26/26/11/156262611.db2.gz RTKQZVCEABBOKK-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000367434429 156331805 /nfs/dbraw/zinc/33/18/05/156331805.db2.gz HPGGQMVQODDOSI-GLQYFDAESA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC1(c2ccccn2)CCC1 ZINC000367875008 156401174 /nfs/dbraw/zinc/40/11/74/156401174.db2.gz RRMZGKJCWCVEDG-UHFFFAOYSA-N 0 0 284.319 2.919 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H](CO)c3ccccc32)s1 ZINC000368520448 156500618 /nfs/dbraw/zinc/50/06/18/156500618.db2.gz VFURUQCSYKOSLU-LDYMZIIASA-N 0 0 291.332 2.684 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1noc([C@@H]2C[C@H]3CC[C@@H]2O3)n1 ZINC000369907665 156629242 /nfs/dbraw/zinc/62/92/42/156629242.db2.gz FONOEHYSAFIAEM-UISBYWKRSA-N 0 0 287.275 2.680 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])N1CCCC12CCC2 ZINC000370051147 156643280 /nfs/dbraw/zinc/64/32/80/156643280.db2.gz BKTCHIAWQVSZSW-UHFFFAOYSA-N 0 0 290.319 2.519 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3ccc(CO)cc32)cc1 ZINC000371342600 156763571 /nfs/dbraw/zinc/76/35/71/156763571.db2.gz BBFVITVBMDKSRX-UHFFFAOYSA-N 0 0 284.315 2.650 20 5 CFBDRN CCOc1cccc(N2CCCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000184106747 286572685 /nfs/dbraw/zinc/57/26/85/286572685.db2.gz PCHGNJORTAMQGN-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN CCOc1cccc(N2CCS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000184108674 286573326 /nfs/dbraw/zinc/57/33/26/286573326.db2.gz YAWVQRKXPGXZRW-JTQLQIEISA-N 0 0 282.365 2.935 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H]2C[C@H](CO)c3ccccc32)c1 ZINC000374588149 157134460 /nfs/dbraw/zinc/13/44/60/157134460.db2.gz ZCMSZSNYAWUCJG-YGRLFVJLSA-N 0 0 299.330 2.810 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC(C2CCCC2)C1 ZINC000376017969 157314757 /nfs/dbraw/zinc/31/47/57/157314757.db2.gz HCMGAKLLTFPVDW-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000408191261 157384582 /nfs/dbraw/zinc/38/45/82/157384582.db2.gz GGIVOWFAPBPIKQ-NRUUGDAUSA-N 0 0 277.324 2.716 20 5 CFBDRN CSC1(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])CCC1 ZINC000494324604 286646112 /nfs/dbraw/zinc/64/61/12/286646112.db2.gz BHSVVILRYJRAOC-UHFFFAOYSA-N 0 0 294.376 2.839 20 5 CFBDRN C[C@@H](CF)Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000340972557 286814572 /nfs/dbraw/zinc/81/45/72/286814572.db2.gz PARUTTNEJIFFMF-JTQLQIEISA-N 0 0 275.283 2.849 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000048321731 161501002 /nfs/dbraw/zinc/50/10/02/161501002.db2.gz DOMAZQVOBPGQLB-WCBMZHEXSA-N 0 0 295.314 2.625 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H]2C)c1 ZINC000173130852 161519969 /nfs/dbraw/zinc/51/99/69/161519969.db2.gz KZZYTWGAXSHXQY-SECBINFHSA-N 0 0 280.349 2.941 20 5 CFBDRN CCC1(CC)CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342537496 161648920 /nfs/dbraw/zinc/64/89/20/161648920.db2.gz IOAGSDWTJKUNKU-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342888147 161731617 /nfs/dbraw/zinc/73/16/17/161731617.db2.gz OQJWWHXUFXWUJC-UHFFFAOYSA-N 0 0 299.330 2.995 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1c(F)cccc1[N+](=O)[O-] ZINC000342928288 161740147 /nfs/dbraw/zinc/74/01/47/161740147.db2.gz BXQORSOIUFGGHR-JOYOIKCWSA-N 0 0 268.288 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccsc3)C2)cn1 ZINC000343520453 161791702 /nfs/dbraw/zinc/79/17/02/161791702.db2.gz CMAMWLSTXODFBT-GFCCVEGCSA-N 0 0 291.332 2.629 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCOC[C@H]1C ZINC000343551879 161800211 /nfs/dbraw/zinc/80/02/11/161800211.db2.gz VLZRPSUDTAGFJX-NEPJUHHUSA-N 0 0 294.351 2.826 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@H]2C(F)F)n1 ZINC000343816557 161870427 /nfs/dbraw/zinc/87/04/27/161870427.db2.gz XIRGILIDFBKJPJ-HTQZYQBOSA-N 0 0 257.240 2.672 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H]2C(F)F)c(Cl)c1 ZINC000343843518 161877090 /nfs/dbraw/zinc/87/70/90/161877090.db2.gz ILVJTUGCDWCWNO-CAHLUQPWSA-N 0 0 263.631 2.709 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]1C(F)F ZINC000343873433 161882759 /nfs/dbraw/zinc/88/27/59/161882759.db2.gz UESCOADSWHVAPB-MWLCHTKSSA-N 0 0 272.251 2.807 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccccc2)N(c2c([N+](=O)[O-])ncn2C)C1 ZINC000343928184 161894627 /nfs/dbraw/zinc/89/46/27/161894627.db2.gz DPCWFGUBPNQARY-YPMHNXCESA-N 0 0 286.335 2.916 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344265758 161989642 /nfs/dbraw/zinc/98/96/42/161989642.db2.gz YAGFAHTVCLEWIS-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C[C@@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000344373206 162027621 /nfs/dbraw/zinc/02/76/21/162027621.db2.gz KDTPSMXQZYXBGN-BKDNQFJXSA-N 0 0 299.277 2.609 20 5 CFBDRN COc1cc(C)nc(Oc2cc([N+](=O)[O-])ccc2C)n1 ZINC000344443862 162043285 /nfs/dbraw/zinc/04/32/85/162043285.db2.gz VLIFPPLHDVISLM-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN CCNC(=O)c1cccc(Oc2ccc([N+](=O)[O-])nc2)c1 ZINC000344441115 162043742 /nfs/dbraw/zinc/04/37/42/162043742.db2.gz VHTHEWBLQUDFLB-UHFFFAOYSA-N 0 0 287.275 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCOc3ccccc32)cn1 ZINC000344439873 162044339 /nfs/dbraw/zinc/04/43/39/162044339.db2.gz OAVNVZOAUHMQLY-LLVKDONJSA-N 0 0 285.303 2.968 20 5 CFBDRN C[C@@H](Sc1cccc([N+](=O)[O-])c1)c1nncn1C ZINC000344579684 162070940 /nfs/dbraw/zinc/07/09/40/162070940.db2.gz NAYKVBGTCVIPKJ-MRVPVSSYSA-N 0 0 264.310 2.577 20 5 CFBDRN CO[C@H](CCNc1nc(C)ccc1[N+](=O)[O-])C(F)(F)F ZINC000344996831 162160377 /nfs/dbraw/zinc/16/03/77/162160377.db2.gz UCQRIKJXZLUVFF-SECBINFHSA-N 0 0 293.245 2.678 20 5 CFBDRN CCN(CCOC)c1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000345081211 162175402 /nfs/dbraw/zinc/17/54/02/162175402.db2.gz MZWUCRKCIBZDCQ-UHFFFAOYSA-N 0 0 289.335 2.924 20 5 CFBDRN Cc1cccc2[nH]c(CNc3ccc([N+](=O)[O-])nc3)nc21 ZINC000345173058 162194360 /nfs/dbraw/zinc/19/43/60/162194360.db2.gz MCMZUAAABCNINH-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN CCOc1ccc(NC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000345196471 162198837 /nfs/dbraw/zinc/19/88/37/162198837.db2.gz ACXPSGWVXOFJSD-UHFFFAOYSA-N 0 0 289.291 2.584 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000345252820 162212688 /nfs/dbraw/zinc/21/26/88/162212688.db2.gz GETIVMFUSAUQNK-WCQYABFASA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H](NC(=O)c1cncs1)c1ccccc1[N+](=O)[O-] ZINC000345412575 162242823 /nfs/dbraw/zinc/24/28/23/162242823.db2.gz MSNXDFFTLKHSNQ-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1F ZINC000345912828 162273492 /nfs/dbraw/zinc/27/34/92/162273492.db2.gz XKWANJGZYXRSMB-UHFFFAOYSA-N 0 0 291.282 2.727 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)ccc2O)c1 ZINC000345918480 162276567 /nfs/dbraw/zinc/27/65/67/162276567.db2.gz BAXIOKPMEWLXII-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1cc(NCCc2nc3ccccc3o2)ncc1[N+](=O)[O-] ZINC000346116715 162321177 /nfs/dbraw/zinc/32/11/77/162321177.db2.gz DUQVNNUNZWEMJS-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000346147766 162330806 /nfs/dbraw/zinc/33/08/06/162330806.db2.gz NUXWHBFVEIJOHL-GFCCVEGCSA-N 0 0 287.319 2.814 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000346207659 162352618 /nfs/dbraw/zinc/35/26/18/162352618.db2.gz JWOUIQKGTVORKP-GFCCVEGCSA-N 0 0 289.335 2.865 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(CN3CC(F)C3)cs2)c1 ZINC000346317744 162378747 /nfs/dbraw/zinc/37/87/47/162378747.db2.gz CFCKTLIFBHFQMO-UHFFFAOYSA-N 0 0 293.323 2.872 20 5 CFBDRN CC(C)[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000346952031 162563192 /nfs/dbraw/zinc/56/31/92/162563192.db2.gz OXIKNDNDZARFBV-QMMMGPOBSA-N 0 0 280.202 2.505 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(CC2(C)CCCC2)n1 ZINC000347120523 162605837 /nfs/dbraw/zinc/60/58/37/162605837.db2.gz QAJYQYAKQZQSMM-UHFFFAOYSA-N 0 0 291.311 2.501 20 5 CFBDRN Cc1nc(N[C@@H](CO)c2ccc(F)cc2)ccc1[N+](=O)[O-] ZINC000348372627 162839943 /nfs/dbraw/zinc/83/99/43/162839943.db2.gz YSGXLZXWWBYGOT-LBPRGKRZSA-N 0 0 291.282 2.583 20 5 CFBDRN CC(C)(C)C(C)(C)CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000348474514 162855917 /nfs/dbraw/zinc/85/59/17/162855917.db2.gz AKYDMHUTCDDNKJ-UHFFFAOYSA-N 0 0 268.313 2.990 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1cnc(C)nc1 ZINC000349766286 163012968 /nfs/dbraw/zinc/01/29/68/163012968.db2.gz CMUMYHTUXOLCGJ-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C[C@@H]1OCC[C@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000349784326 163016266 /nfs/dbraw/zinc/01/62/66/163016266.db2.gz URJBJFJYKAJUPW-GZMMTYOYSA-N 0 0 275.264 2.537 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CSc2cccnn2)c(F)c1 ZINC000349831439 163021825 /nfs/dbraw/zinc/02/18/25/163021825.db2.gz LNQIFZHVBPKZFU-UHFFFAOYSA-N 0 0 283.259 2.955 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCc1cncc(F)c1 ZINC000349920297 163037455 /nfs/dbraw/zinc/03/74/55/163037455.db2.gz HNHYVGXXMFLMJJ-UHFFFAOYSA-N 0 0 283.646 2.756 20 5 CFBDRN Cc1cc(COc2c(Cl)cncc2[N+](=O)[O-])on1 ZINC000349921087 163037791 /nfs/dbraw/zinc/03/77/91/163037791.db2.gz MPDLTXAGIXCYMA-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cc1nc(C)c(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)o1 ZINC000350390301 163075958 /nfs/dbraw/zinc/07/59/58/163075958.db2.gz IBVHXQCZHLTJCM-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN Cc1cc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)nc(C)n1 ZINC000350393880 163076464 /nfs/dbraw/zinc/07/64/64/163076464.db2.gz MOJWECBTOWUNHK-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(Cc3ccccn3)no2)c1 ZINC000350450739 163082773 /nfs/dbraw/zinc/08/27/73/163082773.db2.gz DGCXRPLWNYRHNG-UHFFFAOYSA-N 0 0 296.286 2.554 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc(-c2cccnc2)no1 ZINC000350452666 163082849 /nfs/dbraw/zinc/08/28/49/163082849.db2.gz HNBRJBMYQUYUJV-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN Cc1nnc(SCc2ccc([N+](=O)[O-])cc2)nc1C ZINC000350769358 163106079 /nfs/dbraw/zinc/10/60/79/163106079.db2.gz YGXHOHXIGSGJKJ-UHFFFAOYSA-N 0 0 276.321 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2c2ncc[nH]2)c(F)c1 ZINC000351067115 163116882 /nfs/dbraw/zinc/11/68/82/163116882.db2.gz VBFBRVMIFOXDDM-ZDUSSCGKSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1ncsc1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000351664043 163169781 /nfs/dbraw/zinc/16/97/81/163169781.db2.gz CMKLHORRGDMVQS-UHFFFAOYSA-N 0 0 283.334 2.982 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1CC2CCC1CC2 ZINC000351682479 163173064 /nfs/dbraw/zinc/17/30/64/163173064.db2.gz IJRQHXQVLJCXMB-YIZWMMSDSA-N 0 0 288.347 2.832 20 5 CFBDRN COC(=O)[C@H](C)CSCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000351828306 163200352 /nfs/dbraw/zinc/20/03/52/163200352.db2.gz XFVNDIXOPLELRL-SECBINFHSA-N 0 0 299.348 2.646 20 5 CFBDRN COc1cc(Cn2ncc(Cl)c2C)ccc1[N+](=O)[O-] ZINC000351912305 163215609 /nfs/dbraw/zinc/21/56/09/163215609.db2.gz HKIPJMQBZPYKSA-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN COc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2)c1O ZINC000352856452 163253995 /nfs/dbraw/zinc/25/39/95/163253995.db2.gz RMBRXZKTAAXSNZ-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN COc1cccc(NC(=O)c2csc([N+](=O)[O-])c2)c1O ZINC000352874074 163258107 /nfs/dbraw/zinc/25/81/07/163258107.db2.gz ZAOINUXGLWVVDY-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN Cc1cc(NC(=O)N[C@H](C)c2ccon2)ccc1[N+](=O)[O-] ZINC000352882468 163259261 /nfs/dbraw/zinc/25/92/61/163259261.db2.gz JGSAJOUCYOJEPL-SECBINFHSA-N 0 0 290.279 2.774 20 5 CFBDRN CCO[C@H]1COCC[C@H]1Nc1ccc(C)cc1[N+](=O)[O-] ZINC000353267491 163333204 /nfs/dbraw/zinc/33/32/04/163333204.db2.gz PQVHJEHMYZZRGD-OCCSQVGLSA-N 0 0 280.324 2.509 20 5 CFBDRN COc1c(C(=O)Nc2cccc(F)c2)cccc1[N+](=O)[O-] ZINC000353333603 163345886 /nfs/dbraw/zinc/34/58/86/163345886.db2.gz GSHREONRIJROHF-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000353410230 163358860 /nfs/dbraw/zinc/35/88/60/163358860.db2.gz BVQRZJLMOZQAQM-KOLCDFICSA-N 0 0 287.319 2.995 20 5 CFBDRN CCO[C@H](CCNc1ccc([N+](=O)[O-])cn1)C1CCCC1 ZINC000353492649 163377049 /nfs/dbraw/zinc/37/70/49/163377049.db2.gz XQUPHKZALGAZOS-CQSZACIVSA-N 0 0 293.367 2.809 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)O[C@H](C)[C@H]2C)cc([N+](=O)[O-])c1 ZINC000353715899 163433215 /nfs/dbraw/zinc/43/32/15/163433215.db2.gz ASOJMGHVZZNCJV-QJPTWQEYSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000459781069 287052010 /nfs/dbraw/zinc/05/20/10/287052010.db2.gz GZLLUJVNHDSPIY-WDEREUQCSA-N 0 0 274.324 2.740 20 5 CFBDRN C[C@H]1CCCCN1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000825529170 782012147 /nfs/dbraw/zinc/01/21/47/782012147.db2.gz DPAFYJBTGLKJJN-VIFPVBQESA-N 0 0 297.742 2.767 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330435201 287078136 /nfs/dbraw/zinc/07/81/36/287078136.db2.gz AQRPBKIAPWGTAJ-MFKMUULPSA-N 0 0 264.325 2.969 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000279312641 287102104 /nfs/dbraw/zinc/10/21/04/287102104.db2.gz BUGVWUPTIATLJV-ZJUUUORDSA-N 0 0 299.758 2.773 20 5 CFBDRN CC[C@@H]1CN(c2ncc(C)cc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420617867 287214217 /nfs/dbraw/zinc/21/42/17/287214217.db2.gz BZTHIXKWNLZBRI-TXEJJXNPSA-N 0 0 279.340 2.692 20 5 CFBDRN COC(=O)c1cccc(COc2ccccc2[N+](=O)[O-])c1 ZINC000005870213 170149094 /nfs/dbraw/zinc/14/90/94/170149094.db2.gz PXTLSCLXLVPCHX-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000007750909 170300630 /nfs/dbraw/zinc/30/06/30/170300630.db2.gz JDCGBFKUMRAXBR-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCCCC1 ZINC000007912562 170307217 /nfs/dbraw/zinc/30/72/17/170307217.db2.gz BLWCBJHIQHOOHV-UHFFFAOYSA-N 0 0 278.308 2.620 20 5 CFBDRN CNc1ccc(C(=O)Nc2nc(C)cs2)cc1[N+](=O)[O-] ZINC000010554363 170409481 /nfs/dbraw/zinc/40/94/81/170409481.db2.gz DYLHXFXOLYWQCA-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000015797063 170678389 /nfs/dbraw/zinc/67/83/89/170678389.db2.gz XHNRPKUWCMWIHJ-DTWKUNHWSA-N 0 0 268.338 2.965 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000335459631 287246225 /nfs/dbraw/zinc/24/62/25/287246225.db2.gz JYEDXJKQYFYOFO-MRVPVSSYSA-N 0 0 252.270 2.591 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1cccc(CO)c1 ZINC000020031862 170974368 /nfs/dbraw/zinc/97/43/68/170974368.db2.gz PIOAVUBUYCIXET-UHFFFAOYSA-N 0 0 259.261 2.666 20 5 CFBDRN Cc1cccc(N2CCC3(CC2)OCCCO3)c1[N+](=O)[O-] ZINC000292758950 292558987 /nfs/dbraw/zinc/55/89/87/292558987.db2.gz KFHWCXCAUQPESZ-UHFFFAOYSA-N 0 0 292.335 2.637 20 5 CFBDRN Cc1nc(COc2ccc([N+](=O)[O-])cc2C=O)cs1 ZINC000021953181 171340578 /nfs/dbraw/zinc/34/05/78/171340578.db2.gz LTTROWYBRRIPPQ-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000022385203 171385985 /nfs/dbraw/zinc/38/59/85/171385985.db2.gz UQVVYWLMIOXHGA-UHFFFAOYSA-N 0 0 260.293 2.513 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1ccccc1[N+](=O)[O-] ZINC000268039940 287257392 /nfs/dbraw/zinc/25/73/92/287257392.db2.gz NYYBWOKWEZUOQR-GHMZBOCLSA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@@H](C)NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000026977433 171504878 /nfs/dbraw/zinc/50/48/78/171504878.db2.gz APAYXGSUVINHHN-LLVKDONJSA-N 0 0 291.351 2.723 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000029624266 171606102 /nfs/dbraw/zinc/60/61/02/171606102.db2.gz JMFXVCPTQYVWEB-UWVGGRQHSA-N 0 0 252.318 2.709 20 5 CFBDRN Cc1csc(CNC(=O)c2cc([N+](=O)[O-])c(C)s2)n1 ZINC000029885569 171610887 /nfs/dbraw/zinc/61/08/87/171610887.db2.gz FXGQYSZIBHAUIX-UHFFFAOYSA-N 0 0 297.361 2.660 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC[C@H]2CCCO2)cc1F ZINC000284964749 196165109 /nfs/dbraw/zinc/16/51/09/196165109.db2.gz ABWKDYBYVLSSFP-SSDOTTSWSA-N 0 0 275.663 2.945 20 5 CFBDRN CCOCCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000035084475 171971971 /nfs/dbraw/zinc/97/19/71/171971971.db2.gz MGWDDVPDJLYZQQ-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN CC(C)c1cccc(N(C)C(=O)c2cc([N+](=O)[O-])n[nH]2)c1 ZINC000285061741 196188370 /nfs/dbraw/zinc/18/83/70/196188370.db2.gz KQEWCMQNZJMYQH-UHFFFAOYSA-N 0 0 288.307 2.718 20 5 CFBDRN Cc1ccc(N[C@@H](C)[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000035736181 172389230 /nfs/dbraw/zinc/38/92/30/172389230.db2.gz RTEGCGNAFZMUCV-GXFFZTMASA-N 0 0 250.298 2.883 20 5 CFBDRN O=C1CCC(COc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000285422045 196280359 /nfs/dbraw/zinc/28/03/59/196280359.db2.gz ZDGJZWPVCIZXGF-UHFFFAOYSA-N 0 0 267.256 2.872 20 5 CFBDRN CC[C@H]1COCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000036326261 172610312 /nfs/dbraw/zinc/61/03/12/172610312.db2.gz JVCBRKFXMYBCKG-NSHDSACASA-N 0 0 284.743 2.859 20 5 CFBDRN CCC1(C(=O)Nc2n[nH]c3ccc([N+](=O)[O-])cc32)CC1 ZINC000285487277 196301279 /nfs/dbraw/zinc/30/12/79/196301279.db2.gz ABUMXRQKCNHHMC-UHFFFAOYSA-N 0 0 274.280 2.600 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCc1cc[nH]n1 ZINC000036971642 172839690 /nfs/dbraw/zinc/83/96/90/172839690.db2.gz CZAKTFIQBNSKCA-UHFFFAOYSA-N 0 0 252.661 2.583 20 5 CFBDRN COc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286083 173092302 /nfs/dbraw/zinc/09/23/02/173092302.db2.gz GYFASUAWFIGTIP-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])ccc1F)C(C)C ZINC000037286191 173092603 /nfs/dbraw/zinc/09/26/03/173092603.db2.gz SJUXZWNFYYSXQW-UHFFFAOYSA-N 0 0 268.288 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCCC[C@@H]2CCO)c1 ZINC000037403759 173179966 /nfs/dbraw/zinc/17/99/66/173179966.db2.gz RVKYTKYARDTANX-GFCCVEGCSA-N 0 0 298.770 2.985 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000037974505 173928374 /nfs/dbraw/zinc/92/83/74/173928374.db2.gz OFVISAXBFMQYFG-UHFFFAOYSA-N 0 0 257.245 2.857 20 5 CFBDRN O=[N+]([O-])c1cccc(Oc2cncc(Cl)n2)c1 ZINC000037981628 173941850 /nfs/dbraw/zinc/94/18/50/173941850.db2.gz SBPUDOYUYRAGIX-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037995992 173957710 /nfs/dbraw/zinc/95/77/10/173957710.db2.gz DDCKFOHRKXZNSN-QMMMGPOBSA-N 0 0 254.261 2.604 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCC[C@H]2CCCO2)c1 ZINC000038097436 174110818 /nfs/dbraw/zinc/11/08/18/174110818.db2.gz WNPCTIOYXKOVDC-GFCCVEGCSA-N 0 0 254.261 2.715 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCC[C@H]1CCCO1 ZINC000038097434 174111317 /nfs/dbraw/zinc/11/13/17/174111317.db2.gz NCYYRUCPVBWSHA-SECBINFHSA-N 0 0 254.261 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nccs2)c(F)c1 ZINC000040444457 174264287 /nfs/dbraw/zinc/26/42/87/174264287.db2.gz UHTYMLBDJYKNAU-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN CC(C)(C)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000040494136 174293260 /nfs/dbraw/zinc/29/32/60/174293260.db2.gz VFUVQHXHTPZDMH-UHFFFAOYSA-N 0 0 253.258 2.961 20 5 CFBDRN C[C@H](NC(=O)c1ccnc(F)c1)c1cccc([N+](=O)[O-])c1 ZINC000041039135 174461964 /nfs/dbraw/zinc/46/19/64/174461964.db2.gz ZAWMUFCPOQOLRA-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN COCCCCNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000286240797 196538335 /nfs/dbraw/zinc/53/83/35/196538335.db2.gz ICCXOYIXJQTXGI-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000042568034 174800222 /nfs/dbraw/zinc/80/02/22/174800222.db2.gz CJOPYROWNDAZSW-SCZZXKLOSA-N 0 0 268.338 2.965 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCCC(C)C ZINC000043127181 174978729 /nfs/dbraw/zinc/97/87/29/174978729.db2.gz GMHNEVWURPMJOK-UHFFFAOYSA-N 0 0 281.312 2.771 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000043436376 175045272 /nfs/dbraw/zinc/04/52/72/175045272.db2.gz BNBWQWNZVACYKX-GFCCVEGCSA-N 0 0 280.324 2.903 20 5 CFBDRN O=C(NCc1ccc(O)cc1)Nc1cccc([N+](=O)[O-])c1 ZINC000043728355 175105031 /nfs/dbraw/zinc/10/50/31/175105031.db2.gz DOPFHMSFMJQLBA-UHFFFAOYSA-N 0 0 287.275 2.622 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H]1c1ccncc1 ZINC000044230580 175155115 /nfs/dbraw/zinc/15/51/15/175155115.db2.gz FOYSFKBWXWXGHY-NSHDSACASA-N 0 0 287.275 2.560 20 5 CFBDRN Cc1cccc(NC[C@@]2(C)CCCO2)c1[N+](=O)[O-] ZINC000218866947 292570927 /nfs/dbraw/zinc/57/09/27/292570927.db2.gz XPCSPFBHLSHPHV-CYBMUJFWSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000044692635 175234091 /nfs/dbraw/zinc/23/40/91/175234091.db2.gz SEUHKBPEIWMDGK-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000286322979 196567307 /nfs/dbraw/zinc/56/73/07/196567307.db2.gz FVMNXXIJRJGOFL-KGLIPLIRSA-N 0 0 262.309 2.743 20 5 CFBDRN CCC(=O)c1ccc(N(C)C[C@H](C)OC)c([N+](=O)[O-])c1 ZINC000286329421 196569298 /nfs/dbraw/zinc/56/92/98/196569298.db2.gz JAGAYTJNBPYEPS-JTQLQIEISA-N 0 0 280.324 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncnc3[nH]ccc32)cc1 ZINC000070462939 175944208 /nfs/dbraw/zinc/94/42/08/175944208.db2.gz WXZFHBYLFDGWMH-UHFFFAOYSA-N 0 0 256.221 2.658 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N(Cc1ccccn1)C1CC1 ZINC000071035939 176025309 /nfs/dbraw/zinc/02/53/09/176025309.db2.gz HEWTVABJXJHOFM-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN Cc1cc(OCC(=O)N2CCC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000072615214 176417266 /nfs/dbraw/zinc/41/72/66/176417266.db2.gz ZFENNCQHIJMVHL-NSHDSACASA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1ccc(NC[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000286492420 196620881 /nfs/dbraw/zinc/62/08/81/196620881.db2.gz VUYUWVLPLNZQHG-RYUDHWBXSA-N 0 0 264.325 2.988 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1cccc(F)c1[N+](=O)[O-] ZINC000286499983 196623226 /nfs/dbraw/zinc/62/32/26/196623226.db2.gz WIUALURCQCASOM-ZJUUUORDSA-N 0 0 268.288 2.818 20 5 CFBDRN CCn1cc(CNc2ccc([N+](=O)[O-])cc2COC)cn1 ZINC000286516501 196628196 /nfs/dbraw/zinc/62/81/96/196628196.db2.gz XOPANKDOHVPJPC-UHFFFAOYSA-N 0 0 290.323 2.570 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1CC=CCC1 ZINC000076779932 177089159 /nfs/dbraw/zinc/08/91/59/177089159.db2.gz LGLWCELBQSOQNL-JTQLQIEISA-N 0 0 261.281 2.825 20 5 CFBDRN CSC[C@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000077182034 177231091 /nfs/dbraw/zinc/23/10/91/177231091.db2.gz KBPMEJPQTWCMJS-QMMMGPOBSA-N 0 0 283.353 2.776 20 5 CFBDRN CSC[C@@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000077182035 177231141 /nfs/dbraw/zinc/23/11/41/177231141.db2.gz KBPMEJPQTWCMJS-MRVPVSSYSA-N 0 0 283.353 2.776 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000077227870 177236469 /nfs/dbraw/zinc/23/64/69/177236469.db2.gz XLRKCBXVRJSKRX-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN CCCCOCCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077309667 177251313 /nfs/dbraw/zinc/25/13/13/177251313.db2.gz MIZUCLRZJIBEHE-UHFFFAOYSA-N 0 0 298.314 2.671 20 5 CFBDRN C[C@@H]1CCN(CC(=O)Nc2ccccc2[N+](=O)[O-])[C@H](C)C1 ZINC000077320816 177253024 /nfs/dbraw/zinc/25/30/24/177253024.db2.gz CPSMEELFRGEQQH-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN CCCSCC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000077959048 177313241 /nfs/dbraw/zinc/31/32/41/177313241.db2.gz HVSNOXUWEMOXMR-UHFFFAOYSA-N 0 0 269.322 2.952 20 5 CFBDRN Cc1n[nH]c(C)c1CCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000078305836 177331614 /nfs/dbraw/zinc/33/16/14/177331614.db2.gz NXZLGJWTRCRYFT-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CC(C)[C@@H](CCO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000078447244 177342239 /nfs/dbraw/zinc/34/22/39/177342239.db2.gz FLPAELPVYDFGSI-CYBMUJFWSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cnc(NC[C@H](O)c2ccsc2)c([N+](=O)[O-])c1 ZINC000078666183 177368154 /nfs/dbraw/zinc/36/81/54/177368154.db2.gz BIAPWFQCHALQFI-NSHDSACASA-N 0 0 279.321 2.505 20 5 CFBDRN CCO[C@H](C)c1noc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000078755727 177382426 /nfs/dbraw/zinc/38/24/26/177382426.db2.gz DDQJJLSUADDWFG-SECBINFHSA-N 0 0 293.279 2.654 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000286596762 196652383 /nfs/dbraw/zinc/65/23/83/196652383.db2.gz ATQPPJOXRBVIOD-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000302558809 292586519 /nfs/dbraw/zinc/58/65/19/292586519.db2.gz MELQSYLFLZIOOI-NQBHXWOUSA-N 0 0 262.309 2.883 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])[C@@H]1C ZINC000193320335 287406903 /nfs/dbraw/zinc/40/69/03/287406903.db2.gz JFXCYUHGYPGMPG-CKYFFXLPSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])o2)[C@H]1C ZINC000362128329 287461081 /nfs/dbraw/zinc/46/10/81/287461081.db2.gz FEUQKZUNKVTXDE-OUAUKWLOSA-N 0 0 280.324 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@H]([NH2+]CCN1CCCC1)CCC2 ZINC000192608908 228172396 /nfs/dbraw/zinc/17/23/96/228172396.db2.gz SRDLGZWHUDGUEM-MRXNPFEDSA-N 0 0 289.379 2.658 20 5 CFBDRN Cc1cccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1C ZINC000007070232 420500721 /nfs/dbraw/zinc/50/07/21/420500721.db2.gz HYZXJLJAFLCEPH-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)cc1F ZINC000195524132 270254439 /nfs/dbraw/zinc/25/44/39/270254439.db2.gz ZRSPNSVVVRJAKO-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN C[C@H](N(C)C(=O)COc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000521339500 260081259 /nfs/dbraw/zinc/08/12/59/260081259.db2.gz MWEHANSWUUBTGK-NSHDSACASA-N 0 0 294.351 2.867 20 5 CFBDRN CC[C@](C)(CNc1nc2sccn2c1[N+](=O)[O-])OC ZINC000323067611 260145483 /nfs/dbraw/zinc/14/54/83/260145483.db2.gz VNVLAHIKUMKHPZ-LLVKDONJSA-N 0 0 284.341 2.531 20 5 CFBDRN CC[C@@](C)(CNc1nc2sccn2c1[N+](=O)[O-])OC ZINC000323067612 260145588 /nfs/dbraw/zinc/14/55/88/260145588.db2.gz VNVLAHIKUMKHPZ-NSHDSACASA-N 0 0 284.341 2.531 20 5 CFBDRN CC1(C)CN(c2ccc3ncccc3c2[N+](=O)[O-])CCO1 ZINC000079886812 260177359 /nfs/dbraw/zinc/17/73/59/260177359.db2.gz MWXVYEIZXGACNE-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)[C@H](CO)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000394058399 260223588 /nfs/dbraw/zinc/22/35/88/260223588.db2.gz DLKLLLJXQVPGRB-VIFPVBQESA-N 0 0 272.732 2.925 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1ccc([N+](=O)[O-])cc1F ZINC000313092134 260247306 /nfs/dbraw/zinc/24/73/06/260247306.db2.gz ADWAAPVSRRCICS-ZYHUDNBSSA-N 0 0 256.277 2.695 20 5 CFBDRN COCCCC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776529 260368239 /nfs/dbraw/zinc/36/82/39/260368239.db2.gz PRDKDCHNDHTESD-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)on1 ZINC000084775883 260368313 /nfs/dbraw/zinc/36/83/13/260368313.db2.gz IKAXGVNWSHKTIU-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000518695639 260497681 /nfs/dbraw/zinc/49/76/81/260497681.db2.gz WKSOGLOLIYMSMK-MNOVXSKESA-N 0 0 277.324 2.546 20 5 CFBDRN CN(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])cc1[O-] ZINC000184575767 262287611 /nfs/dbraw/zinc/28/76/11/262287611.db2.gz BAOPZOKVRSJDGJ-UHFFFAOYSA-N 0 0 286.287 2.573 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000519112061 265324799 /nfs/dbraw/zinc/32/47/99/265324799.db2.gz VNKVSGZCBFFSTP-LBPRGKRZSA-N 0 0 285.303 2.649 20 5 CFBDRN CNC(=O)c1ccc(N[C@H](C)C2CCCC2)c([N+](=O)[O-])c1 ZINC000096554721 265373639 /nfs/dbraw/zinc/37/36/39/265373639.db2.gz WWEYNYZSNUIFEO-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN CCC1(C)CN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000519615689 265500736 /nfs/dbraw/zinc/50/07/36/265500736.db2.gz FJUNSVLHOGRROM-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000195322247 265524128 /nfs/dbraw/zinc/52/41/28/265524128.db2.gz LAHDJHPVEJDBMP-VHSXEESVSA-N 0 0 280.324 2.768 20 5 CFBDRN COCC1(CC(=O)Nc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000520409215 265803443 /nfs/dbraw/zinc/80/34/43/265803443.db2.gz BQQPJWZYONIYRU-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1OC)C1CCC1 ZINC000356154711 266057419 /nfs/dbraw/zinc/05/74/19/266057419.db2.gz POYHLVUYRADQAX-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)Nc1cc[nH]n1 ZINC000192383603 270231348 /nfs/dbraw/zinc/23/13/48/270231348.db2.gz QRINEEYDHYWUPE-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN Cc1cccc2c1N(C(=O)c1cc([N+](=O)[O-])cnc1C)CC2 ZINC000193327758 270237278 /nfs/dbraw/zinc/23/72/78/270237278.db2.gz XXKYIRLQVZVMSC-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(CO)c(F)c2)cc1 ZINC000193524547 270238732 /nfs/dbraw/zinc/23/87/32/270238732.db2.gz DUHKLBJOFHMLJV-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN COCCO[C@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000193564631 270238845 /nfs/dbraw/zinc/23/88/45/270238845.db2.gz SJJFJNCZYGDUMX-ZDUSSCGKSA-N 0 0 294.351 2.535 20 5 CFBDRN COc1ncccc1CN1CCc2ccc([N+](=O)[O-])cc21 ZINC000193551152 270239124 /nfs/dbraw/zinc/23/91/24/270239124.db2.gz HAKHYYZIYBRDIB-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000193977080 270241669 /nfs/dbraw/zinc/24/16/69/270241669.db2.gz JBQGUVDWNPNGJH-SFYZADRCSA-N 0 0 256.327 2.821 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])cnc2C)cc1 ZINC000194835954 270247819 /nfs/dbraw/zinc/24/78/19/270247819.db2.gz HWJLJUBINKABSM-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000194898718 270248143 /nfs/dbraw/zinc/24/81/43/270248143.db2.gz PKGHIFMYNQANJY-SNVBAGLBSA-N 0 0 250.298 2.903 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1CCC[C@](C)(C(=O)[O-])C1 ZINC000227832204 270258226 /nfs/dbraw/zinc/25/82/26/270258226.db2.gz PKLGDKDXBIIRBK-NHYWBVRUSA-N 0 0 292.335 2.843 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000235617123 270262212 /nfs/dbraw/zinc/26/22/12/270262212.db2.gz WZOHCIAZNZLMFD-JTQLQIEISA-N 0 0 278.308 2.563 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])c(C)n2)CCC1 ZINC000282081425 270281797 /nfs/dbraw/zinc/28/17/97/270281797.db2.gz ZWJRNPRAJICGNF-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCOCC1CCCC1 ZINC000313483258 270291153 /nfs/dbraw/zinc/29/11/53/270291153.db2.gz OQQQUPDPZGZGPI-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H](O)C3CCC3)ccc2c1 ZINC000315661296 270293124 /nfs/dbraw/zinc/29/31/24/270293124.db2.gz JFIPCFBBWUSFPM-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1nc(NCCSCC(C)C)ccc1[N+](=O)[O-] ZINC000339358193 270301880 /nfs/dbraw/zinc/30/18/80/270301880.db2.gz MMRFBTBMNWAQAA-UHFFFAOYSA-N 0 0 269.370 2.521 20 5 CFBDRN CC[C@H](Nc1ccc(Cl)cc1[N+](=O)[O-])[C@@H](C)O ZINC000395432991 270312751 /nfs/dbraw/zinc/31/27/51/270312751.db2.gz NJVTYABUSOHLCV-APPZFPTMSA-N 0 0 258.705 2.820 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@@]1(C)C(C)C ZINC000397271209 270316925 /nfs/dbraw/zinc/31/69/25/270316925.db2.gz JHCXIRJXCUEPDT-MFKMUULPSA-N 0 0 266.345 2.966 20 5 CFBDRN C[C@@H](CNc1ccnc2c1cccc2[N+](=O)[O-])C[C@H](C)O ZINC000397885834 270320857 /nfs/dbraw/zinc/32/08/57/270320857.db2.gz PRYCRRLTVADFPS-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1c(CN[C@@H](C)c2csnn2)cccc1[N+](=O)[O-] ZINC000398316321 270321941 /nfs/dbraw/zinc/32/19/41/270321941.db2.gz DCYAUSLSASHIES-VIFPVBQESA-N 0 0 278.337 2.606 20 5 CFBDRN Cc1c(OCc2cn(C(C)C)nn2)cccc1[N+](=O)[O-] ZINC000488216079 270342524 /nfs/dbraw/zinc/34/25/24/270342524.db2.gz AKABRLAAUUZAFA-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])c(C)c2)ncn1 ZINC000488223612 270342922 /nfs/dbraw/zinc/34/29/22/270342922.db2.gz BLFXLXBOWKYWOA-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000514781289 270353118 /nfs/dbraw/zinc/35/31/18/270353118.db2.gz HLWNRJLNDJIYSH-SKDRFNHKSA-N 0 0 262.309 2.613 20 5 CFBDRN CCC1(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000514994318 270360055 /nfs/dbraw/zinc/36/00/55/270360055.db2.gz CRIOQQUWMNJWNN-JTQLQIEISA-N 0 0 262.309 2.757 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cccc(C)c2[N+](=O)[O-])o1 ZINC000515202094 270364624 /nfs/dbraw/zinc/36/46/24/270364624.db2.gz GZMYOQIFDZVOMP-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC(C)(C)C[C@@H](C)O ZINC000516087922 270377761 /nfs/dbraw/zinc/37/77/61/270377761.db2.gz DQNAHBKEPGSVEQ-SNVBAGLBSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@H](C)Cn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000516290969 270380382 /nfs/dbraw/zinc/38/03/82/270380382.db2.gz DHRSRGJAGZDYLP-JTQLQIEISA-N 0 0 260.293 2.956 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC1(C2CC2)CC1 ZINC000516534762 270383309 /nfs/dbraw/zinc/38/33/09/270383309.db2.gz BSMBTYIHEXSUQY-UHFFFAOYSA-N 0 0 291.307 2.668 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC1CCC(OC)CC1 ZINC000516584249 270384269 /nfs/dbraw/zinc/38/42/69/270384269.db2.gz SUPSIIWZPHCIIP-UHFFFAOYSA-N 0 0 280.324 2.973 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CC12CCOCC2 ZINC000516584348 270384492 /nfs/dbraw/zinc/38/44/92/270384492.db2.gz WRPBILKAKAVHPF-GFCCVEGCSA-N 0 0 278.308 2.584 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@@H]3OCC[C@@H]3C2)c1 ZINC000516966732 270392860 /nfs/dbraw/zinc/39/28/60/270392860.db2.gz BMWTYSAXKZXMTR-DOMZBBRYSA-N 0 0 299.330 2.758 20 5 CFBDRN CC(C)Oc1nc(N2CCCCC2)ccc1[N+](=O)[O-] ZINC000517040754 270396068 /nfs/dbraw/zinc/39/60/68/270396068.db2.gz XIRLNXRXYHUVGS-UHFFFAOYSA-N 0 0 265.313 2.767 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(Cc2cccs2)no1 ZINC000522237969 270446098 /nfs/dbraw/zinc/44/60/98/270446098.db2.gz UQDRXWTXBGEBIS-UHFFFAOYSA-N 0 0 290.304 2.636 20 5 CFBDRN C[C@H](C(=O)NCc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000523713297 270457545 /nfs/dbraw/zinc/45/75/45/270457545.db2.gz ZOSUFVODUICRKI-SNVBAGLBSA-N 0 0 264.325 2.893 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000525943554 270476412 /nfs/dbraw/zinc/47/64/12/270476412.db2.gz IJMUPKPYOXHMHY-VXGBXAGGSA-N 0 0 291.351 2.796 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000525980920 270478598 /nfs/dbraw/zinc/47/85/98/270478598.db2.gz PKDSCSDAWCFDNB-YPMHNXCESA-N 0 0 291.351 2.830 20 5 CFBDRN CCC1(C(=O)NCCOc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000530715704 270806442 /nfs/dbraw/zinc/80/64/42/270806442.db2.gz WFJXZQGRPYHHQZ-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531882749 270846039 /nfs/dbraw/zinc/84/60/39/270846039.db2.gz HSGZHMBDGCFNMN-UHFFFAOYSA-N 0 0 265.313 2.688 20 5 CFBDRN C[C@@H]1CCSCCN1c1ccc([N+](=O)[O-])cc1 ZINC000276821867 287662960 /nfs/dbraw/zinc/66/29/60/287662960.db2.gz NICZXZLWDHXUHN-SNVBAGLBSA-N 0 0 252.339 2.927 20 5 CFBDRN COc1ncccc1CN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000488230769 274170620 /nfs/dbraw/zinc/17/06/20/274170620.db2.gz LTEQHXVIOVHAJG-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000266774791 274260567 /nfs/dbraw/zinc/26/05/67/274260567.db2.gz KVVFDYAYQGUXFU-GHMZBOCLSA-N 0 0 274.324 2.740 20 5 CFBDRN Cc1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2C)nn1C ZINC000162640963 274717128 /nfs/dbraw/zinc/71/71/28/274717128.db2.gz RALIECRROOMAGF-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000157337316 287786040 /nfs/dbraw/zinc/78/60/40/287786040.db2.gz SHYMEDYGBCXQRC-SCZZXKLOSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCc3ccc(F)cc32)c1[N+](=O)[O-] ZINC000301177107 274867930 /nfs/dbraw/zinc/86/79/30/274867930.db2.gz GHUKEUVXYLBXKQ-LBPRGKRZSA-N 0 0 290.298 2.875 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCN(C3CC3)C2)c(F)c1 ZINC000227888932 275033757 /nfs/dbraw/zinc/03/37/57/275033757.db2.gz OUUPNBBYGSBBMQ-MRVPVSSYSA-N 0 0 283.278 2.522 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@H](n2cccn2)C1 ZINC000292980472 275039361 /nfs/dbraw/zinc/03/93/61/275039361.db2.gz HVBYYUXOSJZYJS-JTQLQIEISA-N 0 0 292.726 2.896 20 5 CFBDRN CC(C)(C)CCn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000332694304 275511230 /nfs/dbraw/zinc/51/12/30/275511230.db2.gz HVJUYWGPFJPKFL-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN CC(C)(C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000298619693 275516490 /nfs/dbraw/zinc/51/64/90/275516490.db2.gz WYZGACQRGJZPMA-UHFFFAOYSA-N 0 0 262.265 2.869 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000330514370 275847744 /nfs/dbraw/zinc/84/77/44/275847744.db2.gz CRTBKFQKVGPUDU-SNVBAGLBSA-N 0 0 292.335 2.867 20 5 CFBDRN CC1(C)C[C@@H](Nc2ncc([N+](=O)[O-])c(N)n2)c2ccccc21 ZINC000154877276 275862883 /nfs/dbraw/zinc/86/28/83/275862883.db2.gz FPIVHWBCTCFIME-LLVKDONJSA-N 0 0 299.334 2.802 20 5 CFBDRN CC1(C)OCC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450644112 275880304 /nfs/dbraw/zinc/88/03/04/275880304.db2.gz RQAKRGZUKIVKGG-ZDUSSCGKSA-N 0 0 287.319 2.544 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCOCC1 ZINC000227825151 275897851 /nfs/dbraw/zinc/89/78/51/275897851.db2.gz QNJBIKCXOJKELR-UHFFFAOYSA-N 0 0 295.295 2.732 20 5 CFBDRN CC(C)[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000513345100 276026376 /nfs/dbraw/zinc/02/63/76/276026376.db2.gz GSAVSBIMCKONLJ-LBPRGKRZSA-N 0 0 293.367 2.832 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000185294249 276033930 /nfs/dbraw/zinc/03/39/30/276033930.db2.gz GHLHNAPJCSFCIY-CHWSQXEVSA-N 0 0 274.320 2.776 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2ccc([N+](=O)[O-])o2)CC1(C)C ZINC000334192981 276034952 /nfs/dbraw/zinc/03/49/52/276034952.db2.gz IXSMSGDISNSGMI-LLVKDONJSA-N 0 0 266.297 2.694 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000269441716 276040083 /nfs/dbraw/zinc/04/00/83/276040083.db2.gz PKGRRKVTCGPDAY-UHFFFAOYSA-N 0 0 282.344 2.559 20 5 CFBDRN CCN(CC)C(=O)[C@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000037126561 276092453 /nfs/dbraw/zinc/09/24/53/276092453.db2.gz ZPISZMYENUEDDU-RYUDHWBXSA-N 0 0 293.367 2.502 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000178924346 276144275 /nfs/dbraw/zinc/14/42/75/276144275.db2.gz DWDJUQUOSOVTAC-SNVBAGLBSA-N 0 0 260.293 2.530 20 5 CFBDRN CC1(C)C[C@@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000413498358 276144950 /nfs/dbraw/zinc/14/49/50/276144950.db2.gz HJFDAFDKZSBSDE-JTQLQIEISA-N 0 0 258.281 2.748 20 5 CFBDRN CCC1(C)CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000263666280 276215649 /nfs/dbraw/zinc/21/56/49/276215649.db2.gz FLCMJUWPNNOSID-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C(C)(C)C1 ZINC000335458508 287893449 /nfs/dbraw/zinc/89/34/49/287893449.db2.gz LLUYRKDQOUKUPG-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN CC[C@@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000302892282 276554342 /nfs/dbraw/zinc/55/43/42/276554342.db2.gz QAIZRDWQBQMWNO-SNVBAGLBSA-N 0 0 261.325 2.799 20 5 CFBDRN CN(C[C@@H]1CCCCO1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000128045046 276849836 /nfs/dbraw/zinc/84/98/36/276849836.db2.gz QKBUBSTXZHFHPM-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN CN(c1ncnc2sc([N+](=O)[O-])cc21)[C@@H]1CCSC1 ZINC000286115944 277005009 /nfs/dbraw/zinc/00/50/09/277005009.db2.gz DVKNVZWDGRPBNV-SSDOTTSWSA-N 0 0 296.377 2.541 20 5 CFBDRN CC(=O)c1ccc(N2CCC3(CCO3)CC2)c([N+](=O)[O-])c1 ZINC000370588868 277110738 /nfs/dbraw/zinc/11/07/38/277110738.db2.gz NBPQEJAFQATFHQ-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN COC(=O)[C@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C[C@H]1C ZINC000276838920 277131011 /nfs/dbraw/zinc/13/10/11/277131011.db2.gz FZWCHPIYEPYJAC-NEPJUHHUSA-N 0 0 292.335 2.539 20 5 CFBDRN COC(=O)[C@]1(C)CCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000190880783 277144788 /nfs/dbraw/zinc/14/47/88/277144788.db2.gz NYHAENFVGYUUJN-CYBMUJFWSA-N 0 0 298.726 2.638 20 5 CFBDRN COCC1=CCN(c2cc(OC)cc(F)c2[N+](=O)[O-])CC1 ZINC000302435257 277200555 /nfs/dbraw/zinc/20/05/55/277200555.db2.gz QICGBTWEDPXBSX-UHFFFAOYSA-N 0 0 296.298 2.525 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000338290014 277293710 /nfs/dbraw/zinc/29/37/10/277293710.db2.gz PTNBOPBOCGDDKA-SECBINFHSA-N 0 0 290.241 2.839 20 5 CFBDRN COc1cc(N2CCSC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000271101884 277382163 /nfs/dbraw/zinc/38/21/63/277382163.db2.gz PRWDUCDGKMOUPQ-QMMMGPOBSA-N 0 0 286.328 2.684 20 5 CFBDRN CC(C)C[C@H]1COCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000267222205 277503750 /nfs/dbraw/zinc/50/37/50/277503750.db2.gz DGFCUELGKNWAHY-NSHDSACASA-N 0 0 299.758 2.895 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1C[C@H]2CCC[C@@H]2C1 ZINC000268241455 277611353 /nfs/dbraw/zinc/61/13/53/277611353.db2.gz NNWUILFGYVRCPY-VXGBXAGGSA-N 0 0 276.336 2.978 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000193931830 277679890 /nfs/dbraw/zinc/67/98/90/277679890.db2.gz YBHHIZLLMAPFCQ-NXEZZACHSA-N 0 0 266.297 2.744 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ncc([N+](=O)[O-])cn2)CC1 ZINC000227539869 277711098 /nfs/dbraw/zinc/71/10/98/277711098.db2.gz RIUGNBFIACNLRG-NXEZZACHSA-N 0 0 250.302 2.766 20 5 CFBDRN C[C@@H]1CCC[C@]1(O)CNc1ccc([N+](=O)[O-])cc1 ZINC000294172529 277733447 /nfs/dbraw/zinc/73/34/47/277733447.db2.gz SAPQJOBZXCSIIP-MFKMUULPSA-N 0 0 250.298 2.558 20 5 CFBDRN CC(C)[C@@H]1CCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000450806571 277793581 /nfs/dbraw/zinc/79/35/81/277793581.db2.gz FVMVJAILPXJHNK-QMMMGPOBSA-N 0 0 266.326 2.539 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C[C@H]1C ZINC000335338720 277851243 /nfs/dbraw/zinc/85/12/43/277851243.db2.gz NTLMILFJYZBAJJ-RKDXNWHRSA-N 0 0 282.727 2.976 20 5 CFBDRN C[C@@H]1COc2ccccc2CN1c1ccc([N+](=O)[O-])nc1 ZINC000450434130 277949372 /nfs/dbraw/zinc/94/93/72/277949372.db2.gz FYBGHRSTCNFCFE-LLVKDONJSA-N 0 0 285.303 2.777 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336184270 278005631 /nfs/dbraw/zinc/00/56/31/278005631.db2.gz PBDMFYYVUYMRSE-BDAKNGLRSA-N 0 0 266.272 2.604 20 5 CFBDRN CC(C)c1ccc(C[N@@H+]2CCC[C@@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000263380312 278035799 /nfs/dbraw/zinc/03/57/99/278035799.db2.gz BMKGMSMSGCKBJR-CYBMUJFWSA-N 0 0 292.335 2.767 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000175412387 278077374 /nfs/dbraw/zinc/07/73/74/278077374.db2.gz ZNGUBYMMGKXNHD-VHSXEESVSA-N 0 0 263.297 2.857 20 5 CFBDRN CC(C)c1nnc(CN(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000171143251 278098273 /nfs/dbraw/zinc/09/82/73/278098273.db2.gz GFPOINXIWNYPLR-UHFFFAOYSA-N 0 0 290.323 2.733 20 5 CFBDRN C[C@@H]1[C@H](O)CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000294985767 278105126 /nfs/dbraw/zinc/10/51/26/278105126.db2.gz MLWCCHGUFKRYBC-PRHODGIISA-N 0 0 270.716 2.598 20 5 CFBDRN CC1(C)CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000336113955 278257219 /nfs/dbraw/zinc/25/72/19/278257219.db2.gz GHHNDFURWLMDMR-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN C[C@H](O)CN(C)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000360103858 278288489 /nfs/dbraw/zinc/28/84/89/278288489.db2.gz JRDBNGMIHZJKFF-LURJTMIESA-N 0 0 279.123 2.719 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1c1ncc([N+](=O)[O-])cc1F ZINC000413260527 278327771 /nfs/dbraw/zinc/32/77/71/278327771.db2.gz HXNSLMCUHHKLJY-VIFPVBQESA-N 0 0 265.288 2.898 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@@H](C)[C@H]1C ZINC000416063318 288035698 /nfs/dbraw/zinc/03/56/98/288035698.db2.gz FPPBKIHFAOZLRY-UTLUCORTSA-N 0 0 280.299 2.850 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c(N3CC[C@H](C)C3)n2)C1 ZINC000408323814 278370333 /nfs/dbraw/zinc/37/03/33/278370333.db2.gz CWEUAPHPOJUNEE-RYUDHWBXSA-N 0 0 290.367 2.682 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)C(C)(C)C1 ZINC000266902064 278414331 /nfs/dbraw/zinc/41/43/31/278414331.db2.gz IPRCLYXMOGOKQU-MRVPVSSYSA-N 0 0 268.338 2.917 20 5 CFBDRN C[C@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])CCC1=O ZINC000286781385 278441104 /nfs/dbraw/zinc/44/11/04/278441104.db2.gz IXONTMREIHJTEY-QMMMGPOBSA-N 0 0 268.700 2.664 20 5 CFBDRN C[C@@H]1CCCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000362832458 278485609 /nfs/dbraw/zinc/48/56/09/278485609.db2.gz ZGAUEJCMNVDDSS-SNVBAGLBSA-N 0 0 288.307 2.881 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@@H]1C ZINC000301908689 278558100 /nfs/dbraw/zinc/55/81/00/278558100.db2.gz FDEYUVBNISKTEK-ZJUUUORDSA-N 0 0 279.296 2.985 20 5 CFBDRN Cc1c(C(=O)N2CCS[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000118293300 278587132 /nfs/dbraw/zinc/58/71/32/278587132.db2.gz QIYYGEKFCCCQIZ-MNOVXSKESA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CCC(F)(F)C1 ZINC000334587020 278609646 /nfs/dbraw/zinc/60/96/46/278609646.db2.gz XSUUQHDCKYUFPX-SECBINFHSA-N 0 0 272.251 2.500 20 5 CFBDRN Cc1cc(C(=O)N2CCC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000158425134 278632383 /nfs/dbraw/zinc/63/23/83/278632383.db2.gz OJZNNZWKMUQUOZ-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H]2OCCC[C@@H]2C1 ZINC000152404950 278746411 /nfs/dbraw/zinc/74/64/11/278746411.db2.gz CSPLFNTXGUGDIE-DOMZBBRYSA-N 0 0 276.336 2.909 20 5 CFBDRN C[C@@H]1CN(c2ccc3cc([N+](=O)[O-])ccc3n2)CCCO1 ZINC000171046981 278804532 /nfs/dbraw/zinc/80/45/32/278804532.db2.gz TTYNHRRSOYHHOY-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H]1COCCN1c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000266949499 278849868 /nfs/dbraw/zinc/84/98/68/278849868.db2.gz DTHMQHXGJSOECU-MRVPVSSYSA-N 0 0 290.241 2.839 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(C(F)F)CC2)n1 ZINC000360762353 278863702 /nfs/dbraw/zinc/86/37/02/278863702.db2.gz FIQOJDYCXVIMAT-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN CCC[C@H]1CN(c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000130293167 278957441 /nfs/dbraw/zinc/95/74/41/278957441.db2.gz VAOHUFMNDXOJRR-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C)N(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413269933 278957531 /nfs/dbraw/zinc/95/75/31/278957531.db2.gz URKRRNFKCSBPHQ-KXUCPTDWSA-N 0 0 267.304 3.000 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CO1 ZINC000345549673 279006193 /nfs/dbraw/zinc/00/61/93/279006193.db2.gz VORIQOHFWVACJK-SCZZXKLOSA-N 0 0 275.264 2.537 20 5 CFBDRN C[C@@H]1C[C@H](c2ccsc2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000373391946 279006414 /nfs/dbraw/zinc/00/64/14/279006414.db2.gz KFBANEIDSLMGQC-KOLCDFICSA-N 0 0 290.348 2.829 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)c1ccn(C)c1 ZINC000334314744 279013000 /nfs/dbraw/zinc/01/30/00/279013000.db2.gz MNPZJGCCCFPYDB-SNVBAGLBSA-N 0 0 285.303 2.525 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000367407595 279068299 /nfs/dbraw/zinc/06/82/99/279068299.db2.gz HVWJOIJBJNETBW-ZUZCIYMTSA-N 0 0 298.298 2.847 20 5 CFBDRN Cc1nc(N2CCC3(CCOCC3)[C@H]2C)ccc1[N+](=O)[O-] ZINC000363776797 279089431 /nfs/dbraw/zinc/08/94/31/279089431.db2.gz KAKYDKHNFMVOAD-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN C[C@@]1(F)CCCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000295920059 279165119 /nfs/dbraw/zinc/16/51/19/279165119.db2.gz DYMUGBJIBTXARC-CYBMUJFWSA-N 0 0 297.286 2.827 20 5 CFBDRN CC(C)C[C@H]1COCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000301586747 279221805 /nfs/dbraw/zinc/22/18/05/279221805.db2.gz PGSDQHNANKLRNF-LBPRGKRZSA-N 0 0 282.315 2.985 20 5 CFBDRN CCN(c1nc2ccccc2cc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000359967589 279246736 /nfs/dbraw/zinc/24/67/36/279246736.db2.gz JASJZPCALJJCLL-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1noc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)n1 ZINC000189078662 279254667 /nfs/dbraw/zinc/25/46/67/279254667.db2.gz QWTCQTGSLUEIFC-UHFFFAOYSA-N 0 0 290.279 2.662 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCC(C)(C)C1CC1 ZINC000294032715 279385282 /nfs/dbraw/zinc/38/52/82/279385282.db2.gz LIFAYPFSQFCRCH-UHFFFAOYSA-N 0 0 252.318 2.567 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCCc2ccc(F)cc21 ZINC000280317469 279385814 /nfs/dbraw/zinc/38/58/14/279385814.db2.gz SUIYZZZNMBCZCW-GFCCVEGCSA-N 0 0 290.298 2.957 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@@H](Cc3ccncc3)C2)c1 ZINC000377114201 279699767 /nfs/dbraw/zinc/69/97/67/279699767.db2.gz LTLWWYMRMMIWJH-ZDUSSCGKSA-N 0 0 298.346 2.641 20 5 CFBDRN O=C(CC(F)(F)F)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000121041131 279745446 /nfs/dbraw/zinc/74/54/46/279745446.db2.gz CEIYUMHVUUQIOO-UHFFFAOYSA-N 0 0 288.225 2.826 20 5 CFBDRN C[C@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])CCC1=O ZINC000168870862 279865516 /nfs/dbraw/zinc/86/55/16/279865516.db2.gz VTEZVPZRWLHARS-VIFPVBQESA-N 0 0 282.727 2.659 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCCC1 ZINC000283878244 280035481 /nfs/dbraw/zinc/03/54/81/280035481.db2.gz IPAQOIFOAFNGLB-UHFFFAOYSA-N 0 0 269.688 2.876 20 5 CFBDRN C[C@H]1N(c2ncc([N+](=O)[O-])cc2F)CCC12CCOCC2 ZINC000408468799 280038897 /nfs/dbraw/zinc/03/88/97/280038897.db2.gz ODVJMVKORIXCKN-SNVBAGLBSA-N 0 0 295.314 2.524 20 5 CFBDRN CC(C)[C@@]1(CO)CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000293896978 280131250 /nfs/dbraw/zinc/13/12/50/280131250.db2.gz CHNUJUNLGKFCOA-HNNXBMFYSA-N 0 0 296.342 2.969 20 5 CFBDRN Cc1c(NC(=O)N2CCOCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000373210194 280243405 /nfs/dbraw/zinc/24/34/05/280243405.db2.gz FXXDREVLKCTOQM-JTQLQIEISA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1c(NC(=O)N2CCOCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000373210196 280243546 /nfs/dbraw/zinc/24/35/46/280243546.db2.gz FXXDREVLKCTOQM-SNVBAGLBSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1c(NC(=O)N2CC[C@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000334340444 280244085 /nfs/dbraw/zinc/24/40/85/280244085.db2.gz MXCBQUIUZSCTSR-ZDUSSCGKSA-N 0 0 281.287 2.869 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)n[nH]1 ZINC000355973526 280285399 /nfs/dbraw/zinc/28/53/99/280285399.db2.gz QJQQUBZLRCXWIB-UHFFFAOYSA-N 0 0 285.263 2.652 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000357755716 280322697 /nfs/dbraw/zinc/32/26/97/280322697.db2.gz FRIJIZFDPFEXMN-MWLCHTKSSA-N 0 0 277.324 2.701 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CC[C@@H](F)C2)nc2sccn21 ZINC000340905760 280354769 /nfs/dbraw/zinc/35/47/69/280354769.db2.gz CTBNMUFLPLNSRH-RQJHMYQMSA-N 0 0 270.289 2.607 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@]2(CCOC2)C1 ZINC000129164515 280355186 /nfs/dbraw/zinc/35/51/86/280355186.db2.gz KQXVBTGMPZVMCF-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1CCO[C@H](c2ccccc2)C1 ZINC000361014758 280355409 /nfs/dbraw/zinc/35/54/09/280355409.db2.gz OMEHSPLXJLMYKL-BBRMVZONSA-N 0 0 299.330 2.744 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1N1CC[C@H](F)C1 ZINC000309544198 280355760 /nfs/dbraw/zinc/35/57/60/280355760.db2.gz IEGDNDOEVLFZBT-QMMMGPOBSA-N 0 0 289.104 2.906 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC3(CCC3)[C@H]3COC[C@H]32)cs1 ZINC000459725499 280357213 /nfs/dbraw/zinc/35/72/13/280357213.db2.gz QAACJRAKHAZUFF-NWDGAFQWSA-N 0 0 294.376 2.657 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCO[C@H](C2CC2)C1 ZINC000364425563 280358082 /nfs/dbraw/zinc/35/80/82/280358082.db2.gz XUUAXDJLQJCSJV-ZDUSSCGKSA-N 0 0 282.727 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC(CCCO)CC1 ZINC000272730656 280358539 /nfs/dbraw/zinc/35/85/39/280358539.db2.gz ABFYDLMCXBMIHM-UHFFFAOYSA-N 0 0 299.758 2.632 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC2(C1)CCCOC2 ZINC000377137639 280361253 /nfs/dbraw/zinc/36/12/53/280361253.db2.gz ABDHXXYFLXHXDN-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCC2(CCO2)CC1 ZINC000370589608 280361325 /nfs/dbraw/zinc/36/13/25/280361325.db2.gz QYFHYTSEXCZGRW-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@@]2(CCOC2)C1 ZINC000373707817 280361621 /nfs/dbraw/zinc/36/16/21/280361621.db2.gz MEUIHUYLDDWRSD-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@H]3CCCC[C@@H]32)c(F)c1 ZINC000267037813 280363863 /nfs/dbraw/zinc/36/38/63/280363863.db2.gz OEMHKYPXNQQZOB-GJZGRUSLSA-N 0 0 294.326 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000302824547 280365383 /nfs/dbraw/zinc/36/53/83/280365383.db2.gz XAMKIBNBPKDNRM-OPQQBVKSSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO2)nc1-c1ccc(F)cc1 ZINC000362356824 280366061 /nfs/dbraw/zinc/36/60/61/280366061.db2.gz BPVAKTPUTSDGRP-UHFFFAOYSA-N 0 0 289.266 2.938 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@H]2CCCO2)c(F)c1 ZINC000286124385 280366692 /nfs/dbraw/zinc/36/66/92/280366692.db2.gz ADXQYMAJCOSGBQ-ZIAGYGMSSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOc3ccc(F)cc3C2)cn1 ZINC000362857668 280367430 /nfs/dbraw/zinc/36/74/30/280367430.db2.gz XVTCUDHGKIGHBL-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H](C3CC3)[C@H]2C2CC2)cn1 ZINC000450791848 280368324 /nfs/dbraw/zinc/36/83/24/280368324.db2.gz JKGWBAKMUHCQKJ-TZMCWYRMSA-N 0 0 259.309 2.615 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@@H]3OCC[C@H]32)cc1 ZINC000268419722 280368655 /nfs/dbraw/zinc/36/86/55/280368655.db2.gz WXWRCSAMZHQAAV-MJBXVCDLSA-N 0 0 262.309 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH2+][C@H]1CC2CCC1CC2 ZINC000339226668 280368997 /nfs/dbraw/zinc/36/89/97/280368997.db2.gz CRUGJYPZTYXJSU-MGULZYLOSA-N 0 0 276.336 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC34CCC4)nc2c1 ZINC000450366665 280371433 /nfs/dbraw/zinc/37/14/33/280371433.db2.gz ULESIMGUHQSZBU-UHFFFAOYSA-N 0 0 258.281 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@H]4CCC[C@H]43)nc2c1 ZINC000408458615 280371732 /nfs/dbraw/zinc/37/17/32/280371732.db2.gz URPZNZWRWYJAHO-NOZJJQNGSA-N 0 0 272.308 2.850 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2C[C@@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000354704019 280372225 /nfs/dbraw/zinc/37/22/25/280372225.db2.gz KCHIDYZHKXBLJO-CVEARBPZSA-N 0 0 288.347 2.738 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@H]3CCCOC3)ccnc21 ZINC000214748744 280373310 /nfs/dbraw/zinc/37/33/10/280373310.db2.gz ZRTPBMREODALNL-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N[C@H]1CC12CC2 ZINC000425271152 280378237 /nfs/dbraw/zinc/37/82/37/280378237.db2.gz HJPSUIMZXCAJBP-VIFPVBQESA-N 0 0 273.214 2.973 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2ccccc2)nc1 ZINC000273649283 280379166 /nfs/dbraw/zinc/37/91/66/280379166.db2.gz UFMVVEUWXQRRLY-CYBMUJFWSA-N 0 0 270.292 2.726 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]3CCC[C@@H]32)s1 ZINC000190331089 280379631 /nfs/dbraw/zinc/37/96/31/280379631.db2.gz KOAGQKVLFKGVQF-BDAKNGLRSA-N 0 0 253.327 2.820 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@@H](C(F)(F)F)C2)nc1 ZINC000273569960 280381798 /nfs/dbraw/zinc/38/17/98/280381798.db2.gz DAPUNGQHMIKEEN-HTQZYQBOSA-N 0 0 290.245 2.918 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@H]2F)c(Cl)c1 ZINC000338840838 280381850 /nfs/dbraw/zinc/38/18/50/280381850.db2.gz DYXVPHVQIIFDDW-RKDXNWHRSA-N 0 0 259.668 2.946 20 5 CFBDRN O=c1[nH]c([C@@H]2CCCO2)nc2cc3ccccc3cc21 ZINC000178985099 280386255 /nfs/dbraw/zinc/38/62/55/280386255.db2.gz FURXAJWQELSFJQ-AWEZNQCLSA-N 0 0 266.300 2.928 20 5 CFBDRN O=c1c(Br)cn([C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000178102702 280389479 /nfs/dbraw/zinc/38/94/79/280389479.db2.gz KAWCEFCAMSZCDK-QMMMGPOBSA-N 0 0 299.124 2.800 20 5 CFBDRN O=C(Nc1nc2c(s1)CCC2)c1csc([N+](=O)[O-])c1 ZINC000044359562 280422727 /nfs/dbraw/zinc/42/27/27/280422727.db2.gz PNQYRWMHNBUEID-UHFFFAOYSA-N 0 0 295.345 2.854 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NC[C@H]1CC=CCC1 ZINC000067536497 280471581 /nfs/dbraw/zinc/47/15/81/280471581.db2.gz AHAQOVRGARIJTE-LBPRGKRZSA-N 0 0 289.335 2.750 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1cnccn1 ZINC000439138260 280504711 /nfs/dbraw/zinc/50/47/11/280504711.db2.gz VEKNLVWQRHUAKA-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1c1ncc([N+](=O)[O-])cc1F ZINC000413184864 280531538 /nfs/dbraw/zinc/53/15/38/280531538.db2.gz OQYRXYJXMXUMQC-RKDXNWHRSA-N 0 0 253.277 2.754 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000080688735 280540272 /nfs/dbraw/zinc/54/02/72/280540272.db2.gz BHAZASKGEGOBJM-VXGBXAGGSA-N 0 0 293.367 2.948 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000271494932 288245112 /nfs/dbraw/zinc/24/51/12/288245112.db2.gz WJNWCFHSCBLXHY-JQWIXIFHSA-N 0 0 262.309 2.998 20 5 CFBDRN Cc1ccc(NC[C@@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000086016122 280554349 /nfs/dbraw/zinc/55/43/49/280554349.db2.gz JCIPKQHZMLOIKJ-SMDDNHRTSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCCOC1 ZINC000086625232 280555886 /nfs/dbraw/zinc/55/58/86/280555886.db2.gz UIWWKDMCGOMQMI-NSHDSACASA-N 0 0 278.308 2.577 20 5 CFBDRN O=C([C@H]1CC=CCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231307 280559162 /nfs/dbraw/zinc/55/91/62/280559162.db2.gz GCBHKVINUDFBES-ZDUSSCGKSA-N 0 0 286.331 2.836 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000449962402 280560924 /nfs/dbraw/zinc/56/09/24/280560924.db2.gz STZUTMBTGRFPSU-ZWNOBZJWSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000268780578 280563201 /nfs/dbraw/zinc/56/32/01/280563201.db2.gz BZMJKNIMUAFVGW-QWRGUYRKSA-N 0 0 250.298 2.517 20 5 CFBDRN CC[C@@H](C)C(=O)CSc1ncccc1[N+](=O)[O-] ZINC000089685328 280564189 /nfs/dbraw/zinc/56/41/89/280564189.db2.gz KIGPPEPJMVYTFO-MRVPVSSYSA-N 0 0 254.311 2.697 20 5 CFBDRN Cc1ccccc1CC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089767917 280564500 /nfs/dbraw/zinc/56/45/00/280564500.db2.gz SBXYLXGKWXYTIU-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN Cc1cc(=O)n(Cc2ccccc2Cl)cc1[N+](=O)[O-] ZINC000096548066 280579514 /nfs/dbraw/zinc/57/95/14/280579514.db2.gz QDFXYXRMJWARCH-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN CCCN(CC(=O)OCC)Cc1c(F)cccc1[N+](=O)[O-] ZINC000103470353 280582334 /nfs/dbraw/zinc/58/23/34/280582334.db2.gz PYYPKMDPOJTFPU-UHFFFAOYSA-N 0 0 298.314 2.509 20 5 CFBDRN Cc1cnccc1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000107292142 280586961 /nfs/dbraw/zinc/58/69/61/280586961.db2.gz ZNNVAGSQYKZXSU-VOTSOKGWSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1cc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)sn1 ZINC000119213685 280606476 /nfs/dbraw/zinc/60/64/76/280606476.db2.gz UFQCDEHORWJOOA-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)c(C)n1 ZINC000121614173 280622704 /nfs/dbraw/zinc/62/27/04/280622704.db2.gz WNDTZEVPMFYFQF-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc(C)n2)cc([N+](=O)[O-])c1 ZINC000122507109 280628201 /nfs/dbraw/zinc/62/82/01/280628201.db2.gz GTDKMJQDAVQPFB-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CCC(CC)CNC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000125429947 280642918 /nfs/dbraw/zinc/64/29/18/280642918.db2.gz CRAGKTSZEQRSPI-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCO[C@H](C)C1 ZINC000190311106 280656684 /nfs/dbraw/zinc/65/66/84/280656684.db2.gz AVOWXEKTOMWJSL-SECBINFHSA-N 0 0 270.716 2.782 20 5 CFBDRN C[C@@H]1CN(c2c(C(N)=O)cccc2[N+](=O)[O-])CC(C)(C)C1 ZINC000420612126 288255271 /nfs/dbraw/zinc/25/52/71/288255271.db2.gz NZXPHOLCQRJNMB-JTQLQIEISA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CC[C@H](O)CC1 ZINC000252701848 280663345 /nfs/dbraw/zinc/66/33/45/280663345.db2.gz FNQQCDPPJMIEIR-UMSPYCQHSA-N 0 0 250.298 2.619 20 5 CFBDRN Cc1ccn(CC2CCCCC2)c(=O)c1[N+](=O)[O-] ZINC000129725862 280694462 /nfs/dbraw/zinc/69/44/62/280694462.db2.gz YGAINFGHDGIWBL-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN CC[C@H]1CCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000131065784 280712113 /nfs/dbraw/zinc/71/21/13/280712113.db2.gz NDDRBDWCMJUQNB-SYTKJHMZSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C1[C@@H](SCc2ccc([N+](=O)[O-])cc2)CCN1C1CC1 ZINC000131319233 280715478 /nfs/dbraw/zinc/71/54/78/280715478.db2.gz LPRPCGJWLHJXOW-ZDUSSCGKSA-N 0 0 292.360 2.591 20 5 CFBDRN COC(=O)c1coc(COc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000131647414 280721242 /nfs/dbraw/zinc/72/12/42/280721242.db2.gz POWSEEFBKLPUMU-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NCc3ccoc3)cc2N1 ZINC000134223038 280754823 /nfs/dbraw/zinc/75/48/23/280754823.db2.gz CFJQVYOBTFLWBM-UHFFFAOYSA-N 0 0 287.275 2.685 20 5 CFBDRN C[C@@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])CCCO1 ZINC000171047153 288263561 /nfs/dbraw/zinc/26/35/61/288263561.db2.gz UMJMIXUTPBWSIH-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN COc1cccc(NCc2cncc(C)c2)c1[N+](=O)[O-] ZINC000185643551 280838937 /nfs/dbraw/zinc/83/89/37/280838937.db2.gz WCKYUJLUMXYGIH-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CNc1ccc(C(=O)NCCCCSC)cc1[N+](=O)[O-] ZINC000227646981 280936012 /nfs/dbraw/zinc/93/60/12/280936012.db2.gz PAQKBXFMFPSESH-UHFFFAOYSA-N 0 0 297.380 2.510 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000245731075 280960151 /nfs/dbraw/zinc/96/01/51/280960151.db2.gz WAQUFGVRGDNRGV-PEGIJTEDSA-N 0 0 293.323 2.672 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H]3C[C@H]2CS3)c1 ZINC000413457887 281014791 /nfs/dbraw/zinc/01/47/91/281014791.db2.gz OQGKQSBTVCNFNS-UWVGGRQHSA-N 0 0 250.323 2.597 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@]1(C)CCO[C@@H]1C ZINC000344920770 281018249 /nfs/dbraw/zinc/01/82/49/281018249.db2.gz SGWAQPPDWIRIJO-QMTHXVAHSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1[C@H](C)C1(F)F ZINC000334592713 281018787 /nfs/dbraw/zinc/01/87/87/281018787.db2.gz AQHYBYPEEOGZBC-XVKPBYJWSA-N 0 0 270.235 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC(=O)N(C)c1ccccc1 ZINC000068823983 281018972 /nfs/dbraw/zinc/01/89/72/281018972.db2.gz GXOGSBCAMWCLCZ-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN CC1(C)CCC[C@H](Oc2cccnc2[N+](=O)[O-])C1=O ZINC000412985906 281051047 /nfs/dbraw/zinc/05/10/47/281051047.db2.gz UXHVQSCNYSFRGZ-VIFPVBQESA-N 0 0 264.281 2.516 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])cn1 ZINC000255138524 281088712 /nfs/dbraw/zinc/08/87/12/281088712.db2.gz OWXASAIMYDEALG-CLFYSBASSA-N 0 0 283.287 2.950 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NCc1cccc(O)c1 ZINC000255150015 281089626 /nfs/dbraw/zinc/08/96/26/281089626.db2.gz HELYWDKAAQZPQM-HJWRWDBZSA-N 0 0 298.298 2.630 20 5 CFBDRN CCN(CC1CC1)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255360909 281095589 /nfs/dbraw/zinc/09/55/89/281095589.db2.gz RIXVEWZNAIHFJM-KTKRTIGZSA-N 0 0 274.320 2.867 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000255383400 281096732 /nfs/dbraw/zinc/09/67/32/281096732.db2.gz BMYBYHSTXIGFBB-PBWNMSGQSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])CCS1 ZINC000255415904 281097180 /nfs/dbraw/zinc/09/71/80/281097180.db2.gz YSJSIGQYKFCUBR-ZADCQDASSA-N 0 0 292.360 2.572 20 5 CFBDRN Cc1cncc(CNC(=O)/C=C\c2cccc([N+](=O)[O-])c2)c1 ZINC000255569899 281102303 /nfs/dbraw/zinc/10/23/03/281102303.db2.gz AGGMHYXZTIRSNZ-WAYWQWQTSA-N 0 0 297.314 2.628 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC[C@@H]1CCCS1 ZINC000255725879 281105855 /nfs/dbraw/zinc/10/58/55/281105855.db2.gz BLOQHKACSKZQAQ-UJZCVKTISA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1nc(C)c(CNc2ncc([N+](=O)[O-])s2)s1 ZINC000281873599 281130937 /nfs/dbraw/zinc/13/09/37/281130937.db2.gz KZOYFXILGZMLPJ-UHFFFAOYSA-N 0 0 270.339 2.737 20 5 CFBDRN CCOc1cc(N[C@@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000312860462 281155356 /nfs/dbraw/zinc/15/53/56/281155356.db2.gz XMZAVHMUQKDBCE-ZYHUDNBSSA-N 0 0 280.324 2.830 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC=C(F)C1 ZINC000336391612 281162700 /nfs/dbraw/zinc/16/27/00/281162700.db2.gz LGTXTLYIINFOPY-UHFFFAOYSA-N 0 0 289.266 2.775 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(Cc3ccccn3)c2)c1 ZINC000349162065 281174811 /nfs/dbraw/zinc/17/48/11/281174811.db2.gz VMXZVGFTNILITQ-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H]2COc3ccc(F)cc32)cc1 ZINC000358024591 281181383 /nfs/dbraw/zinc/18/13/83/281181383.db2.gz RFPVXAQZMVACAD-AWEZNQCLSA-N 0 0 288.278 2.957 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCc2ccsc2)c1=O ZINC000360959104 281185204 /nfs/dbraw/zinc/18/52/04/281185204.db2.gz FHTWENQKMHVNAD-UHFFFAOYSA-N 0 0 278.333 2.759 20 5 CFBDRN Cc1cc(N[C@@H](CO)C(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000393938924 281193688 /nfs/dbraw/zinc/19/36/88/281193688.db2.gz ZXAZWOUSAKCOCL-LBPRGKRZSA-N 0 0 270.304 2.861 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3C[C@H]4C[C@H]4C3)cc2N1 ZINC000397637405 281200972 /nfs/dbraw/zinc/20/09/72/281200972.db2.gz QBHNOEBYZMQZQT-JGPRNRPPSA-N 0 0 287.319 2.690 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2ncnc3sc([N+](=O)[O-])cc32)O1 ZINC000397960058 281203092 /nfs/dbraw/zinc/20/30/92/281203092.db2.gz UNQNURHVOZXWBO-HTQZYQBOSA-N 0 0 294.336 2.579 20 5 CFBDRN Cc1ccnc(N[C@@H]2CS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000397982978 281203268 /nfs/dbraw/zinc/20/32/68/281203268.db2.gz SHUPNHWRNXXEHW-IUCAKERBSA-N 0 0 253.327 2.604 20 5 CFBDRN COc1cc(NC[C@@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000397937918 281203512 /nfs/dbraw/zinc/20/35/12/281203512.db2.gz XJAGKEPWRSHTFX-KOLCDFICSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CS[C@@H](C)C2)n1 ZINC000397956404 281203612 /nfs/dbraw/zinc/20/36/12/281203612.db2.gz FKAQSLWCMTUVMY-IUCAKERBSA-N 0 0 253.327 2.604 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359107167 281213661 /nfs/dbraw/zinc/21/36/61/281213661.db2.gz YZEANZBBBAXWHT-RYUDHWBXSA-N 0 0 279.340 2.610 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@H]2CCO[C@@H](C)C2)n1 ZINC000447300768 281240491 /nfs/dbraw/zinc/24/04/91/281240491.db2.gz FGCRTTNNTHMQMC-RYUDHWBXSA-N 0 0 280.324 2.801 20 5 CFBDRN Cc1cccc2nc(-c3ccc([N+](=O)[O-])s3)[nH]c(=O)c12 ZINC000345682216 281262154 /nfs/dbraw/zinc/26/21/54/281262154.db2.gz MJTQUOXIJPCCNJ-UHFFFAOYSA-N 0 0 287.300 2.868 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000302337785 281267691 /nfs/dbraw/zinc/26/76/91/281267691.db2.gz BFAFBHWTZOFCJW-IUCAKERBSA-N 0 0 280.353 2.929 20 5 CFBDRN CC1(C)CN(c2ccccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000302683806 281268851 /nfs/dbraw/zinc/26/88/51/281268851.db2.gz SPZJQEWTKYYTOL-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN C/C=C/C=C\C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000492123758 281277726 /nfs/dbraw/zinc/27/77/26/281277726.db2.gz BYTQXGBVKNFKLE-IAROGAJJSA-N 0 0 280.711 2.997 20 5 CFBDRN CC(C)(C)[C@@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000514845729 281286531 /nfs/dbraw/zinc/28/65/31/281286531.db2.gz OMSDGRCWLRHVML-NEPJUHHUSA-N 0 0 291.351 2.934 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H]2CCC[C@H]2C1 ZINC000515707381 281296729 /nfs/dbraw/zinc/29/67/29/281296729.db2.gz GLZNTGOQGLZFPR-QWRGUYRKSA-N 0 0 262.309 2.840 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)CO1 ZINC000515836503 281298354 /nfs/dbraw/zinc/29/83/54/281298354.db2.gz BYNLDEMNLGUHGN-IONNQARKSA-N 0 0 288.250 2.786 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CS[C@@H](C)C1 ZINC000516785758 281306121 /nfs/dbraw/zinc/30/61/21/281306121.db2.gz VJBDFDIHYPUQBU-IUCAKERBSA-N 0 0 268.338 2.909 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cn1)[C@H]1CCC(C)(C)C1 ZINC000527032684 281324475 /nfs/dbraw/zinc/32/44/75/281324475.db2.gz KWILTJXZPYHDIZ-JTQLQIEISA-N 0 0 277.324 2.641 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000527180351 281325950 /nfs/dbraw/zinc/32/59/50/281325950.db2.gz RLOUBQHPHRUVKS-UWVGGRQHSA-N 0 0 285.303 2.766 20 5 CFBDRN Cc1ccccc1C1=CCN(c2ncc([N+](=O)[O-])cn2)CC1 ZINC000302129175 281337132 /nfs/dbraw/zinc/33/71/32/281337132.db2.gz BOQHQBNURQHRAN-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H]2CCCOC2)c1 ZINC000531621710 281435905 /nfs/dbraw/zinc/43/59/05/281435905.db2.gz IAUORJXATXGZFP-NSHDSACASA-N 0 0 251.282 2.709 20 5 CFBDRN COc1cccc(-c2noc(-c3ccc([N+](=O)[O-])cn3)n2)c1 ZINC000531629535 281436660 /nfs/dbraw/zinc/43/66/60/281436660.db2.gz KYMGBYJJYYSUBC-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc3c(c2)CCO3)n1 ZINC000531694263 281438201 /nfs/dbraw/zinc/43/82/01/281438201.db2.gz YSIYVBWNHXASQE-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN COc1ccc(CNc2ncc([N+](=O)[O-])cc2C)c(C)c1 ZINC000531705937 281438833 /nfs/dbraw/zinc/43/88/33/281438833.db2.gz CETRIHIFXAZGAM-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)[C@H](C)C1 ZINC000532393231 281453370 /nfs/dbraw/zinc/45/33/70/281453370.db2.gz QLHLFUCIGIWCOT-CKYFFXLPSA-N 0 0 277.324 2.544 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cc(F)cc(Cl)c1 ZINC000532431189 281454112 /nfs/dbraw/zinc/45/41/12/281454112.db2.gz RYWUGWNKPJZTQF-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN Cc1ccc(CC(=O)N(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000533121079 281461971 /nfs/dbraw/zinc/46/19/71/281461971.db2.gz GAPMYUIMQJMQJJ-UHFFFAOYSA-N 0 0 288.303 2.697 20 5 CFBDRN CC1(C)CCN1C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000534286392 281480750 /nfs/dbraw/zinc/48/07/50/281480750.db2.gz UXBKCQNEZYYQDB-UHFFFAOYSA-N 0 0 285.303 2.768 20 5 CFBDRN CCc1cnc(CN2CCc3c(cccc3[N+](=O)[O-])C2)o1 ZINC000534512454 281486939 /nfs/dbraw/zinc/48/69/39/281486939.db2.gz XGKFKCDRHBFWAD-UHFFFAOYSA-N 0 0 287.319 2.704 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000534993314 281492354 /nfs/dbraw/zinc/49/23/54/281492354.db2.gz TWVFCYNBBQSJOY-UHFFFAOYSA-N 0 0 285.303 2.749 20 5 CFBDRN Cc1ccnc(NCCCc2cccs2)c1[N+](=O)[O-] ZINC000536711930 281528138 /nfs/dbraw/zinc/52/81/38/281528138.db2.gz ULTAMVRSWAHZIO-UHFFFAOYSA-N 0 0 277.349 2.826 20 5 CFBDRN CCO[C@@H](CC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000538251098 281550545 /nfs/dbraw/zinc/55/05/45/281550545.db2.gz DBMZQQAANIJFJB-GWCFXTLKSA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)C1 ZINC000538443947 281553553 /nfs/dbraw/zinc/55/35/53/281553553.db2.gz UHNXGXWVCLEFHC-RYUDHWBXSA-N 0 0 288.347 2.916 20 5 CFBDRN Cc1cc(NC(=O)[C@@H](C)OCC(C)C)ccc1[N+](=O)[O-] ZINC000538550969 281555128 /nfs/dbraw/zinc/55/51/28/281555128.db2.gz GKGGXPJFWJZKQZ-LLVKDONJSA-N 0 0 280.324 2.903 20 5 CFBDRN CC(=O)c1ccc(N2CCc3c(cccc3[N+](=O)[O-])C2)nc1 ZINC000538924963 281563875 /nfs/dbraw/zinc/56/38/75/281563875.db2.gz ABNINGNECRKNTA-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN Cc1nc(SCc2ccccc2[N+](=O)[O-])[nH]c(=O)c1C ZINC000539617822 281571752 /nfs/dbraw/zinc/57/17/52/281571752.db2.gz YEBWLLBADXIYAF-UHFFFAOYSA-N 0 0 291.332 3.000 20 5 CFBDRN Cc1cccc(CNc2nc(C)cc(C)c2[N+](=O)[O-])n1 ZINC000541007292 281597362 /nfs/dbraw/zinc/59/73/62/281597362.db2.gz SMTNANSPVNSLSA-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1ccccc1[C@H](CO)NCc1ccccc1[N+](=O)[O-] ZINC000541983835 281617573 /nfs/dbraw/zinc/61/75/73/281617573.db2.gz STNNTPCGAITNJB-HNNXBMFYSA-N 0 0 286.331 2.726 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000544004870 281658947 /nfs/dbraw/zinc/65/89/47/281658947.db2.gz JOAIDUPAWYGUCS-WCQYABFASA-N 0 0 291.351 2.830 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](C(C)C)C1 ZINC000359548886 281668499 /nfs/dbraw/zinc/66/84/99/281668499.db2.gz UFPFZLBABWLKNH-LLVKDONJSA-N 0 0 266.345 2.602 20 5 CFBDRN CCC(CC)c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000544577686 281668940 /nfs/dbraw/zinc/66/89/40/281668940.db2.gz USRRKYZSQLPXBH-UHFFFAOYSA-N 0 0 262.269 2.943 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(CCOC(C)C)n1 ZINC000544749443 281672479 /nfs/dbraw/zinc/67/24/79/281672479.db2.gz DLUXCDXPAJNIQU-UHFFFAOYSA-N 0 0 291.307 2.921 20 5 CFBDRN Cc1cc(CNC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000545329548 281686608 /nfs/dbraw/zinc/68/66/08/281686608.db2.gz BONBMHIZDUYAGD-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(CCc2cccc([N+](=O)[O-])c2)n1 ZINC000545773883 281694242 /nfs/dbraw/zinc/69/42/42/281694242.db2.gz DJJLUJFFALZHJS-BXKDBHETSA-N 0 0 273.292 2.886 20 5 CFBDRN CCc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)n[nH]1 ZINC000547104172 281725738 /nfs/dbraw/zinc/72/57/38/281725738.db2.gz NMPDIQCUCAHDEM-UHFFFAOYSA-N 0 0 299.290 2.906 20 5 CFBDRN Cc1cnc(NC[C@H]2CCC(C)(C)O2)c([N+](=O)[O-])c1 ZINC000547960406 281761797 /nfs/dbraw/zinc/76/17/97/281761797.db2.gz DVAMJXLDNFXNSG-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN CC(C)CC[C@H]1CCC[C@@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000549184619 281813136 /nfs/dbraw/zinc/81/31/36/281813136.db2.gz SXYRQCCTFHQQKW-PWSUYJOCSA-N 0 0 294.355 2.653 20 5 CFBDRN Cc1coc(C)c1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000550215277 281825005 /nfs/dbraw/zinc/82/50/05/281825005.db2.gz RKMPJEHAERNUMN-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN COC(=O)c1cc(C)nc(Nc2ccccc2)c1[N+](=O)[O-] ZINC000552887129 281867439 /nfs/dbraw/zinc/86/74/39/281867439.db2.gz NAHAMIMQXZCKQW-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN Cc1nc(N2CCC[C@H]3CCCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000296483478 281927351 /nfs/dbraw/zinc/92/73/51/281927351.db2.gz KRGAPVVRWZBONG-NEPJUHHUSA-N 0 0 276.340 2.852 20 5 CFBDRN CC(C)(C)c1ccc(N[C@H]2CCCNC2=O)cc1[N+](=O)[O-] ZINC000556287769 281931601 /nfs/dbraw/zinc/93/16/01/281931601.db2.gz OWPDXUGCZCZKQF-LBPRGKRZSA-N 0 0 291.351 2.583 20 5 CFBDRN CCCNC(=O)CNc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000556285698 281932020 /nfs/dbraw/zinc/93/20/20/281932020.db2.gz ZSMLWBUPBYSYFK-UHFFFAOYSA-N 0 0 293.367 2.830 20 5 CFBDRN CCOc1cc(N2CCC3(CCO3)CC2)ccc1[N+](=O)[O-] ZINC000558561674 281985535 /nfs/dbraw/zinc/98/55/35/281985535.db2.gz JMRKALVVXSSYKP-UHFFFAOYSA-N 0 0 292.335 2.753 20 5 CFBDRN Cc1nc2c(s1)[C@@H](Nc1ncc([N+](=O)[O-])cn1)CCC2 ZINC000371888285 282037456 /nfs/dbraw/zinc/03/74/56/282037456.db2.gz NVVIEMQMHGDNNF-JTQLQIEISA-N 0 0 291.336 2.639 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N(C)CC1CC1 ZINC000561147751 282048118 /nfs/dbraw/zinc/04/81/18/282048118.db2.gz HONWUXFOHGCTTC-UHFFFAOYSA-N 0 0 278.308 2.536 20 5 CFBDRN C[C@@H](C(=O)N1CCSC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000562300128 282073188 /nfs/dbraw/zinc/07/31/88/282073188.db2.gz GRBCIUUKBJFEEN-WDEREUQCSA-N 0 0 294.376 2.662 20 5 CFBDRN CN(C(=O)COc1ccc([N+](=O)[O-])c(F)c1)C1CCCC1 ZINC000299465215 288813136 /nfs/dbraw/zinc/81/31/36/288813136.db2.gz ZOXURFSQBLKODC-UHFFFAOYSA-N 0 0 296.298 2.514 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000295763587 289180640 /nfs/dbraw/zinc/18/06/40/289180640.db2.gz OLAHNFMWFPCFKW-CYBMUJFWSA-N 0 0 284.262 2.698 20 5 CFBDRN CCO[C@H](C)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152423176 289846779 /nfs/dbraw/zinc/84/67/79/289846779.db2.gz RAAWMSUXVIFERU-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN CN(C)c1ccccc1N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000153133592 289862082 /nfs/dbraw/zinc/86/20/82/289862082.db2.gz VMNPCVLWUBHDPQ-UHFFFAOYSA-N 0 0 299.330 2.937 20 5 CFBDRN CN(Cc1nccn1C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000153422176 289867891 /nfs/dbraw/zinc/86/78/91/289867891.db2.gz BHEFTDWKTTXEFH-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CCc1[nH]nc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1C ZINC000153636924 289873223 /nfs/dbraw/zinc/87/32/23/289873223.db2.gz FNRJYUQJGGQBHY-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](c3ccco3)C2)c1[N+](=O)[O-] ZINC000377742714 292777396 /nfs/dbraw/zinc/77/73/96/292777396.db2.gz LFLSLHYGFFTGOI-LLVKDONJSA-N 0 0 273.292 2.885 20 5 CFBDRN CCc1[nH]nc(NC(=O)c2ccc([N+](=O)[O-])cc2C)c1C ZINC000155768116 289925371 /nfs/dbraw/zinc/92/53/71/289925371.db2.gz LQNXMKYYZOJJKK-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000157872788 289964832 /nfs/dbraw/zinc/96/48/32/289964832.db2.gz IEPJMGIVJYVPPQ-CYBMUJFWSA-N 0 0 274.320 2.996 20 5 CFBDRN Cc1ccnc(N2CCc3ccccc32)c1[N+](=O)[O-] ZINC000301281752 292779898 /nfs/dbraw/zinc/77/98/98/292779898.db2.gz KGXOZAOMNGLHOR-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000302170660 290006706 /nfs/dbraw/zinc/00/67/06/290006706.db2.gz JJJCZYGHEXLHCV-LZGFCCKTSA-N 0 0 297.314 2.880 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCOC(C)(C)C)c1 ZINC000308567483 290012653 /nfs/dbraw/zinc/01/26/53/290012653.db2.gz INDXOXJQGAXOJM-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN C[C@@H](C(=O)NC[C@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000562400343 290040522 /nfs/dbraw/zinc/04/05/22/290040522.db2.gz KJYRZHRQBVTMLE-ZYHUDNBSSA-N 0 0 276.336 2.861 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000562534618 290052540 /nfs/dbraw/zinc/05/25/40/290052540.db2.gz VENJVXINRYKLPW-IONNQARKSA-N 0 0 291.229 2.598 20 5 CFBDRN O=C(NC[C@H]1CCCCC1(F)F)c1ccc([N+](=O)[O-])cn1 ZINC000562555471 290054816 /nfs/dbraw/zinc/05/48/16/290054816.db2.gz LVUULSCCBBCWEQ-SECBINFHSA-N 0 0 299.277 2.545 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000562560483 290055376 /nfs/dbraw/zinc/05/53/76/290055376.db2.gz FNGOCUPJVNAOFY-LOWVWBTDSA-N 0 0 276.336 2.861 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)[C@H]1C(C)C ZINC000562580965 290057502 /nfs/dbraw/zinc/05/75/02/290057502.db2.gz ROBZQAKLVIBJOU-JSGCOSHPSA-N 0 0 293.367 2.686 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CC(C(F)(F)F)C3)c21 ZINC000562689036 290067327 /nfs/dbraw/zinc/06/73/27/290067327.db2.gz HTJRBNPIDXEPBH-UHFFFAOYSA-N 0 0 298.224 2.537 20 5 CFBDRN C[C@@H](NC(=O)NC1(CF)CCC1)c1ccccc1[N+](=O)[O-] ZINC000563049713 290098200 /nfs/dbraw/zinc/09/82/00/290098200.db2.gz ZSTZJUWNVDGTHV-SNVBAGLBSA-N 0 0 295.314 2.847 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1nn(C)cc1Cl ZINC000563425351 290149275 /nfs/dbraw/zinc/14/92/75/290149275.db2.gz OLRCCTONXXCJPF-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C2CCC1CC2 ZINC000564355889 290206134 /nfs/dbraw/zinc/20/61/34/290206134.db2.gz NLEPOBNVGACNLS-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCOC[C@@H]1C1CCC1 ZINC000564565966 290215767 /nfs/dbraw/zinc/21/57/67/290215767.db2.gz STLJJWVOPCXPNW-GFCCVEGCSA-N 0 0 297.742 2.649 20 5 CFBDRN COCC1(CC(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000565001484 290257319 /nfs/dbraw/zinc/25/73/19/290257319.db2.gz AOWAZNQHDFXAQY-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1c(NC(=O)N2CCC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000565156358 290272754 /nfs/dbraw/zinc/27/27/54/290272754.db2.gz IVWBMRUWWQLVLE-JTQLQIEISA-N 0 0 281.287 2.869 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@H](C)OC2(CCC2)C1 ZINC000565225926 290285867 /nfs/dbraw/zinc/28/58/67/290285867.db2.gz HEDLEFNDGZJKAM-NSHDSACASA-N 0 0 292.335 2.751 20 5 CFBDRN C[C@H](O)[C@H](C)Sc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000565418515 290300429 /nfs/dbraw/zinc/30/04/29/290300429.db2.gz VDZWCZRODAKIDP-IUCAKERBSA-N 0 0 282.365 2.730 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ccccc3CC2)s1 ZINC000565577422 290310608 /nfs/dbraw/zinc/31/06/08/290310608.db2.gz GRNDTCDECTVMOR-UHFFFAOYSA-N 0 0 275.333 2.657 20 5 CFBDRN Cc1cc(CSc2ncc([N+](=O)[O-])c(N)n2)c(C)s1 ZINC000565609556 290313119 /nfs/dbraw/zinc/31/31/19/290313119.db2.gz KENGVYXYRWASSJ-UHFFFAOYSA-N 0 0 296.377 2.768 20 5 CFBDRN CCCc1noc(COc2cc(OC)ccc2[N+](=O)[O-])n1 ZINC000565774390 290323952 /nfs/dbraw/zinc/32/39/52/290323952.db2.gz WEYVPGLUVQWJRG-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1[C@H]1CCCOC1 ZINC000565889221 290332253 /nfs/dbraw/zinc/33/22/53/290332253.db2.gz GOCRJTCAZMPIFC-QWHCGFSZSA-N 0 0 276.336 2.990 20 5 CFBDRN CC(C)Oc1nc(N[C@@]2(C)CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000565960945 290337864 /nfs/dbraw/zinc/33/78/64/290337864.db2.gz HIHFKVAFEGZNLA-YGRLFVJLSA-N 0 0 295.339 2.756 20 5 CFBDRN CC(C)Oc1nc(NCc2ccsc2)ccc1[N+](=O)[O-] ZINC000566477532 290391871 /nfs/dbraw/zinc/39/18/71/290391871.db2.gz DWRKDYIZNCMEEP-UHFFFAOYSA-N 0 0 293.348 2.873 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc([C@@H]2C[C@@H]2C)no1 ZINC000566482548 290393039 /nfs/dbraw/zinc/39/30/39/290393039.db2.gz UYUGVOJJLGOWLP-IONNQARKSA-N 0 0 275.264 2.777 20 5 CFBDRN Cc1cc(CNC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)ccn1 ZINC000567191515 290446498 /nfs/dbraw/zinc/44/64/98/290446498.db2.gz MYUVMUKKEIJIKP-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCO[C@@H](C)C1 ZINC000568107500 290524712 /nfs/dbraw/zinc/52/47/12/290524712.db2.gz OTZIMLSKNGMTJZ-WDEREUQCSA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000175412428 290551178 /nfs/dbraw/zinc/55/11/78/290551178.db2.gz ZNGUBYMMGKXNHD-UWVGGRQHSA-N 0 0 263.297 2.857 20 5 CFBDRN COC[C@@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000450934145 292878005 /nfs/dbraw/zinc/87/80/05/292878005.db2.gz FSSZVFGUDCQROW-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1nc(N2CC(C)(C)O[C@@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000374146282 293291723 /nfs/dbraw/zinc/29/17/23/293291723.db2.gz DQBOBVCZHAUTBF-CHWSQXEVSA-N 0 0 291.351 2.835 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@H]2c2ccncc2)ncc1[N+](=O)[O-] ZINC000295704064 293292664 /nfs/dbraw/zinc/29/26/64/293292664.db2.gz QKAIHGBESWKBGS-CYBMUJFWSA-N 0 0 299.334 2.676 20 5 CFBDRN Cc1nc(N2CCOCC3(CCCC3)C2)ccc1[N+](=O)[O-] ZINC000302363839 293298819 /nfs/dbraw/zinc/29/88/19/293298819.db2.gz FUTXVSXMRFEBHG-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN Cc1nn(C)c(N2Cc3cccc(Cl)c3C2)c1[N+](=O)[O-] ZINC000288631934 293743498 /nfs/dbraw/zinc/74/34/98/293743498.db2.gz WQRFXBQSFCKMBX-UHFFFAOYSA-N 0 0 292.726 2.810 20 5 CFBDRN C[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000287856424 297077273 /nfs/dbraw/zinc/07/72/73/297077273.db2.gz SHWNGOTWFIAHIG-NOZJJQNGSA-N 0 0 280.299 2.738 20 5 CFBDRN CO[C@]1(C)C[C@@H](N(C)c2ncc([N+](=O)[O-])s2)C1(C)C ZINC000192473845 294847138 /nfs/dbraw/zinc/84/71/38/294847138.db2.gz SWHLGLJHLAAPRR-PRHODGIISA-N 0 0 285.369 2.691 20 5 CFBDRN COc1cccc(C(=O)N2CCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000444149815 295283622 /nfs/dbraw/zinc/28/36/22/295283622.db2.gz RSVAFDFQZAWEHW-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cccc(C(=O)N2CC[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000435809142 295286380 /nfs/dbraw/zinc/28/63/80/295286380.db2.gz LXXVZHFOXGPRKE-NSHDSACASA-N 0 0 292.335 2.722 20 5 CFBDRN COc1cccc(C(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000416102453 295287551 /nfs/dbraw/zinc/28/75/51/295287551.db2.gz BUYVXDIIZRAQST-AXFHLTTASA-N 0 0 292.335 2.720 20 5 CFBDRN COc1cccc(N2CC[C@@H](O)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000295847465 295305881 /nfs/dbraw/zinc/30/58/81/295305881.db2.gz AZHOBTDPZMPGJI-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC3(CCCC3)C2)c1 ZINC000193458613 295355616 /nfs/dbraw/zinc/35/56/16/295355616.db2.gz SYGPLDBDHVYMBT-UHFFFAOYSA-N 0 0 261.325 2.948 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC3(CCOCC3)CC2)c1 ZINC000286654622 295355704 /nfs/dbraw/zinc/35/57/04/295355704.db2.gz GQULTOZNDHDJPO-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN CS[C@@H]1CCCCN(c2cc(N)ccc2[N+](=O)[O-])C1 ZINC000193483327 295638360 /nfs/dbraw/zinc/63/83/60/295638360.db2.gz JHGXQHUGYRVNOW-LLVKDONJSA-N 0 0 281.381 2.899 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000072545116 300059065 /nfs/dbraw/zinc/05/90/65/300059065.db2.gz OIGZKPJFQODTPO-QWRGUYRKSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C[C@@H]1C ZINC000091527613 300185753 /nfs/dbraw/zinc/18/57/53/300185753.db2.gz KZWLYSPRKZAMDP-YUMQZZPRSA-N 0 0 284.262 2.601 20 5 CFBDRN C[C@H]1CN(c2ncccc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000292270508 300303963 /nfs/dbraw/zinc/30/39/63/300303963.db2.gz LPKUIGJWDSDGFU-NSHDSACASA-N 0 0 285.303 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C)(C)C2)c1 ZINC000173672383 301848025 /nfs/dbraw/zinc/84/80/25/301848025.db2.gz VNWIRIPEWLUJMW-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H](C(C)(C)C)C2)n1 ZINC000279061119 301854206 /nfs/dbraw/zinc/85/42/06/301854206.db2.gz AWMRCUJCWZBHDX-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1cn2c(n1)CN(c1ccc(Cl)cc1[N+](=O)[O-])CC2 ZINC000359993280 302324500 /nfs/dbraw/zinc/32/45/00/302324500.db2.gz KDFMOUXNYFVIQV-UHFFFAOYSA-N 0 0 292.726 2.773 20 5 CFBDRN Cc1cnc(N2CCCCC[C@@H]2C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000286302937 302348170 /nfs/dbraw/zinc/34/81/70/302348170.db2.gz JPVJENBCUVZISD-QWHCGFSZSA-N 0 0 293.367 2.818 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCCC[C@H]1c1nccs1 ZINC000299636618 303020304 /nfs/dbraw/zinc/02/03/04/303020304.db2.gz JPZATBPJSDXYGZ-VIFPVBQESA-N 0 0 293.352 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2[C@H]2CCC[C@H]2O)cn1 ZINC000450688327 304802910 /nfs/dbraw/zinc/80/29/10/304802910.db2.gz WJTGNSPNYCVRAF-MGPQQGTHSA-N 0 0 291.351 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H]2C2CC2)c2ccncc21 ZINC000159657275 304803242 /nfs/dbraw/zinc/80/32/42/304803242.db2.gz PLLIFDGDTHOLNR-MRXNPFEDSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@]2(CCOC2)C1 ZINC000450882922 304807743 /nfs/dbraw/zinc/80/77/43/304807743.db2.gz OZJUNMVSMBMATL-CQSZACIVSA-N 0 0 262.309 2.602 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCCC[C@H]2CCO)c(Cl)c1 ZINC000343079886 304811877 /nfs/dbraw/zinc/81/18/77/304811877.db2.gz QUTQZHWJCZRBBJ-JTQLQIEISA-N 0 0 299.758 2.775 20 5 CFBDRN O=c1sc2c(n1Cc1ccccc1[N+](=O)[O-])CCCC2 ZINC000068052459 304819731 /nfs/dbraw/zinc/81/97/31/304819731.db2.gz UOICBRIZQJFLHV-UHFFFAOYSA-N 0 0 290.344 2.745 20 5 CFBDRN Cc1c(Br)cccc1Cn1nccc1[N+](=O)[O-] ZINC000160100332 322333014 /nfs/dbraw/zinc/33/30/14/322333014.db2.gz UALXJVMASDMVHX-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN CC(C)C1CCC(CNC(=O)c2[nH]ncc2[N+](=O)[O-])CC1 ZINC000160645542 322341179 /nfs/dbraw/zinc/34/11/79/322341179.db2.gz QEZDHCBPQPIOEZ-UHFFFAOYSA-N 0 0 294.355 2.510 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000161610737 322354523 /nfs/dbraw/zinc/35/45/23/322354523.db2.gz WWNYHZUIXGSSCE-TXEJJXNPSA-N 0 0 291.351 2.796 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000161902967 322358563 /nfs/dbraw/zinc/35/85/63/322358563.db2.gz FBYLCNIQRWWKGQ-VXGBXAGGSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1[C@H](C)CC[C@H]1C ZINC000162088895 322360524 /nfs/dbraw/zinc/36/05/24/322360524.db2.gz XSKILSSHGSTNPX-GHMZBOCLSA-N 0 0 262.309 2.916 20 5 CFBDRN O=c1[nH]c(-c2ccc([N+](=O)[O-])s2)nc2ccccc12 ZINC000165054581 322384359 /nfs/dbraw/zinc/38/43/59/322384359.db2.gz YUHPTIKQXNPBOE-UHFFFAOYSA-N 0 0 273.273 2.560 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cccc(-c3nc[nH]n3)c2)cc1 ZINC000168759667 322389630 /nfs/dbraw/zinc/38/96/30/322389630.db2.gz IHXPIZOCYZNJGM-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000171878389 322415188 /nfs/dbraw/zinc/41/51/88/322415188.db2.gz OVIQPGWNKDXRGJ-SNVBAGLBSA-N 0 0 280.324 2.722 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC2(O)CCC(C)CC2)c1 ZINC000569576575 322482563 /nfs/dbraw/zinc/48/25/63/322482563.db2.gz BHWKBKGSYZDELV-UHFFFAOYSA-N 0 0 295.335 2.923 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@H](F)C1 ZINC000569599091 322484650 /nfs/dbraw/zinc/48/46/50/322484650.db2.gz BTHUZECXKCCBIV-RYUDHWBXSA-N 0 0 295.314 2.675 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC(OC(C)C)CC1 ZINC000569823827 322498935 /nfs/dbraw/zinc/49/89/35/322498935.db2.gz ATNBDOMBLPNGCQ-UHFFFAOYSA-N 0 0 294.351 2.997 20 5 CFBDRN C[C@H](O)[C@H]1CCCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000570026824 322509886 /nfs/dbraw/zinc/50/98/86/322509886.db2.gz BOWMBYGCRIZYHL-UWVGGRQHSA-N 0 0 284.743 2.845 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000570101849 322514221 /nfs/dbraw/zinc/51/42/21/322514221.db2.gz NJJDJTRGWJWHOF-LLVKDONJSA-N 0 0 294.326 2.833 20 5 CFBDRN CC(C)n1cc(OCCOc2cccc([N+](=O)[O-])c2)cn1 ZINC000570941443 322561202 /nfs/dbraw/zinc/56/12/02/322561202.db2.gz FXXMSXORFWHFAA-UHFFFAOYSA-N 0 0 291.307 2.830 20 5 CFBDRN CCCN(c1ccc(C(=O)NC)cc1[N+](=O)[O-])C(C)C ZINC000571297498 322578624 /nfs/dbraw/zinc/57/86/24/322578624.db2.gz NMGZSXBQYYHSBE-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1C[C@@H](OC(C)C)C1 ZINC000571344515 322580269 /nfs/dbraw/zinc/58/02/69/322580269.db2.gz TXDPEWSYZXDYNU-PHIMTYICSA-N 0 0 280.324 2.971 20 5 CFBDRN COc1cc(NCCOCC2CCC2)c([N+](=O)[O-])cc1F ZINC000571373205 322580688 /nfs/dbraw/zinc/58/06/88/322580688.db2.gz LEJFYZPSHRLVKG-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000571409557 322582447 /nfs/dbraw/zinc/58/24/47/322582447.db2.gz PTCCIKRODGAXJP-SECBINFHSA-N 0 0 295.339 2.931 20 5 CFBDRN CN(c1nccc2ccc([N+](=O)[O-])cc21)C1CCOCC1 ZINC000571528833 322586688 /nfs/dbraw/zinc/58/66/88/322586688.db2.gz MUKHENPPCBJGLF-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000571767521 322595478 /nfs/dbraw/zinc/59/54/78/322595478.db2.gz LMLXTQWOGQQTJH-CABZTGNLSA-N 0 0 270.304 2.943 20 5 CFBDRN CNc1ccc(C(=O)NCCC2(F)CCC2)cc1[N+](=O)[O-] ZINC000572193851 322610424 /nfs/dbraw/zinc/61/04/24/322610424.db2.gz UAMFRSUYSWNMEN-UHFFFAOYSA-N 0 0 295.314 2.649 20 5 CFBDRN CC(C)c1nnc(N2CCc3c(cccc3[N+](=O)[O-])C2)o1 ZINC000572711154 322628962 /nfs/dbraw/zinc/62/89/62/322628962.db2.gz TWUPFQLVLDKWKE-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN CC(C)Oc1nc(N[C@@H]2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000572974923 322639532 /nfs/dbraw/zinc/63/95/32/322639532.db2.gz DLTKABUYLVMOMS-VHSXEESVSA-N 0 0 283.303 2.501 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000572985548 322640263 /nfs/dbraw/zinc/64/02/63/322640263.db2.gz GBHUDODMPAWWCA-MXWKQRLJSA-N 0 0 280.324 2.829 20 5 CFBDRN Cc1cc(N[C@@H]2CCN(C(C)(C)C)C2=O)ccc1[N+](=O)[O-] ZINC000573087144 322643537 /nfs/dbraw/zinc/64/35/37/322643537.db2.gz UYGNTOYJBHNAGZ-GFCCVEGCSA-N 0 0 291.351 2.715 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000573768236 322667754 /nfs/dbraw/zinc/66/77/54/322667754.db2.gz VSPGWSQLLZXQDD-GXTWGEPZSA-N 0 0 299.330 2.668 20 5 CFBDRN CCN(C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000574452728 322692992 /nfs/dbraw/zinc/69/29/92/322692992.db2.gz MPTGOFUPHQKVPT-NSHDSACASA-N 0 0 264.325 2.955 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000182416390 323862771 /nfs/dbraw/zinc/86/27/71/323862771.db2.gz FAKBPEOQADZUBO-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN Cc1nccn1CCCOc1ccc([N+](=O)[O-])cc1 ZINC000192752586 324064137 /nfs/dbraw/zinc/06/41/37/324064137.db2.gz TVPVEBHOQLALAF-UHFFFAOYSA-N 0 0 261.281 2.569 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSC[C@@H]1CCCO1 ZINC000194170878 324083159 /nfs/dbraw/zinc/08/31/59/324083159.db2.gz TWZLVKKCYJUBJH-NSHDSACASA-N 0 0 283.349 2.886 20 5 CFBDRN CC(C)c1nnc(CSc2ncccc2[N+](=O)[O-])n1C ZINC000194696350 324093826 /nfs/dbraw/zinc/09/38/26/324093826.db2.gz PHCDXWBFRWHGCB-UHFFFAOYSA-N 0 0 293.352 2.534 20 5 CFBDRN Cc1cc(C(=O)NC2CCSCC2)cc([N+](=O)[O-])c1 ZINC000194790242 324095418 /nfs/dbraw/zinc/09/54/18/324095418.db2.gz MCNHEAXRSLAIHZ-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN C[C@@H]1COCC[C@@H]1c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000350594498 324239963 /nfs/dbraw/zinc/23/99/63/324239963.db2.gz KPSVHZMVNDEDKS-SKDRFNHKSA-N 0 0 289.291 2.785 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc([C@H]2CCC(F)(F)C2)no1 ZINC000350597829 324241501 /nfs/dbraw/zinc/24/15/01/324241501.db2.gz PAVBAXZGZLCYIK-ZETCQYMHSA-N 0 0 298.249 2.886 20 5 CFBDRN C[C@@H]1COCC[C@H]1c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000350609085 324245448 /nfs/dbraw/zinc/24/54/48/324245448.db2.gz JNEKRZCGSFMWHJ-HTQZYQBOSA-N 0 0 295.320 2.846 20 5 CFBDRN CSCCc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350841776 324265989 /nfs/dbraw/zinc/26/59/89/324265989.db2.gz WRQGBKDRCMHOMY-UHFFFAOYSA-N 0 0 271.323 2.612 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(-c3cncs3)no2)c1 ZINC000350902752 324279573 /nfs/dbraw/zinc/27/95/73/324279573.db2.gz GZMIHUCCVZJVRQ-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN CCC[C@@H](C)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350921466 324283327 /nfs/dbraw/zinc/28/33/27/324283327.db2.gz DPXMCSSSZRKSGB-SSDOTTSWSA-N 0 0 279.300 2.590 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc(-c2cncs2)no1 ZINC000350928341 324284075 /nfs/dbraw/zinc/28/40/75/324284075.db2.gz QZURZFJTYODWRE-UHFFFAOYSA-N 0 0 292.251 2.907 20 5 CFBDRN COC[C@H](C)Cc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351140612 324324429 /nfs/dbraw/zinc/32/44/29/324324429.db2.gz BNLZXTKBINJUPX-SNVBAGLBSA-N 0 0 291.307 2.778 20 5 CFBDRN CCO[C@@H]1C[C@H]1c1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351142700 324325711 /nfs/dbraw/zinc/32/57/11/324325711.db2.gz FCNQCYDDIHTOKV-ZYHUDNBSSA-N 0 0 289.291 2.846 20 5 CFBDRN COC[C@@H](C)[C@@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351143302 324325886 /nfs/dbraw/zinc/32/58/86/324325886.db2.gz GDJUKOYAEVQVIW-HTQZYQBOSA-N 0 0 281.268 2.624 20 5 CFBDRN C[C@H]1CC[C@@H](CCc2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351143370 324325935 /nfs/dbraw/zinc/32/59/35/324325935.db2.gz GOWZXLOPPQXACC-IUCAKERBSA-N 0 0 293.279 2.738 20 5 CFBDRN C[C@@H]1CC[C@H](CCc2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351143745 324326648 /nfs/dbraw/zinc/32/66/48/324326648.db2.gz GOWZXLOPPQXACC-RKDXNWHRSA-N 0 0 293.279 2.738 20 5 CFBDRN CSC1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCC1 ZINC000351150063 324329191 /nfs/dbraw/zinc/32/91/91/324329191.db2.gz SIKGZDRCNSWBBS-UHFFFAOYSA-N 0 0 281.293 2.980 20 5 CFBDRN CCOC1CC(c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1 ZINC000351152281 324330557 /nfs/dbraw/zinc/33/05/57/324330557.db2.gz WGTLXWJTOUCXGK-UHFFFAOYSA-N 0 0 279.252 2.520 20 5 CFBDRN CC1(c2noc(COc3cccc([N+](=O)[O-])c3)n2)CC1 ZINC000351181385 324341024 /nfs/dbraw/zinc/34/10/24/324341024.db2.gz ZNZIPIBPLSJZEB-UHFFFAOYSA-N 0 0 275.264 2.608 20 5 CFBDRN CCc1noc([C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)n1 ZINC000351186674 324341770 /nfs/dbraw/zinc/34/17/70/324341770.db2.gz QFNQMYJODDEVDO-WDEREUQCSA-N 0 0 259.265 2.811 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@@H](C)C3CCC3)n2)n1C ZINC000351230539 324354555 /nfs/dbraw/zinc/35/45/55/324354555.db2.gz ZOONKPGVFCOZHG-ZETCQYMHSA-N 0 0 291.311 2.590 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2c(F)cccc2[N+](=O)[O-])C1(C)C ZINC000382318360 324427567 /nfs/dbraw/zinc/42/75/67/324427567.db2.gz UIQGAROBVLBYAB-MNOVXSKESA-N 0 0 268.288 2.959 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H](CO)C2CCCC2)c(F)c1 ZINC000386121274 324473779 /nfs/dbraw/zinc/47/37/79/324473779.db2.gz MEBPBEKIPQUBCB-GFCCVEGCSA-N 0 0 286.278 2.836 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H](CO)C1CCCCC1 ZINC000386291277 324476642 /nfs/dbraw/zinc/47/66/42/324476642.db2.gz SWOIQUVWLWSJDC-LLVKDONJSA-N 0 0 299.758 2.996 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC23CCOCC3)c(Cl)c1 ZINC000386912727 324483651 /nfs/dbraw/zinc/48/36/51/324483651.db2.gz CUFUOIIMJMYYHY-SNVBAGLBSA-N 0 0 283.715 2.624 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CC23CCOCC3)c1 ZINC000387156344 324488605 /nfs/dbraw/zinc/48/86/05/324488605.db2.gz QGEABDLVVQTBTE-ZDUSSCGKSA-N 0 0 278.308 2.584 20 5 CFBDRN CO[C@H]([C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1)C1CC1 ZINC000388367346 324505309 /nfs/dbraw/zinc/50/53/09/324505309.db2.gz VZYMTTQBSJDKPT-TVQRCGJNSA-N 0 0 265.313 2.524 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1)C1CC1 ZINC000388367354 324506008 /nfs/dbraw/zinc/50/60/08/324506008.db2.gz VZYMTTQBSJDKPT-ZANVPECISA-N 0 0 265.313 2.524 20 5 CFBDRN Cc1nc(N2CCC[C@@H]2[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000576868907 324668820 /nfs/dbraw/zinc/66/88/20/324668820.db2.gz PMCWTVFIMXVJMC-GXTWGEPZSA-N 0 0 291.351 2.694 20 5 CFBDRN CC1(C)CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000577318643 324722445 /nfs/dbraw/zinc/72/24/45/324722445.db2.gz PLVMFOKKMCIBEA-JTQLQIEISA-N 0 0 277.324 2.546 20 5 CFBDRN CCn1ccc(CN[C@@H](C)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000577362172 324727249 /nfs/dbraw/zinc/72/72/49/324727249.db2.gz IPLRTMJBIIBPDA-LBPRGKRZSA-N 0 0 288.351 2.970 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2nc(C3CCCCCC3)no2)c1 ZINC000577373777 324729156 /nfs/dbraw/zinc/72/91/56/324729156.db2.gz RTYBQFWNMDDEIJ-UHFFFAOYSA-N 0 0 291.311 2.660 20 5 CFBDRN C[C@H](C(=O)NC1CC(C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000577461394 324739220 /nfs/dbraw/zinc/73/92/20/324739220.db2.gz LSPOFYLYSDXUAO-VOIZVOFFSA-N 0 0 280.299 2.705 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](C(F)(F)F)C2)c(F)c1 ZINC000579327237 324940314 /nfs/dbraw/zinc/94/03/14/324940314.db2.gz UFTRSARWDOWLAX-ZETCQYMHSA-N 0 0 293.220 2.908 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000579361955 324944182 /nfs/dbraw/zinc/94/41/82/324944182.db2.gz KQSHXQPCNHMVAO-JOYOIKCWSA-N 0 0 281.356 2.816 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC2(CCC2)[C@@H]2COC[C@H]21 ZINC000579808101 324981446 /nfs/dbraw/zinc/98/14/46/324981446.db2.gz PCQGQKUGWOJHKG-BXUZGUMPSA-N 0 0 292.310 2.739 20 5 CFBDRN CCCCC(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000580078711 325004555 /nfs/dbraw/zinc/00/45/55/325004555.db2.gz BCOONTFCLNENJW-UHFFFAOYSA-N 0 0 251.286 2.765 20 5 CFBDRN O=[N+]([O-])c1c(Nc2c[nH]cn2)ccc2ncccc21 ZINC000580374555 325030105 /nfs/dbraw/zinc/03/01/05/325030105.db2.gz SFLBKBPRVGBBBW-UHFFFAOYSA-N 0 0 255.237 2.610 20 5 CFBDRN O=C1CC2(CCCCC2)N(Cc2cccc([N+](=O)[O-])c2)N1 ZINC000580800534 325064540 /nfs/dbraw/zinc/06/45/40/325064540.db2.gz KLQONAFLXXWPHK-UHFFFAOYSA-N 0 0 289.335 2.535 20 5 CFBDRN CCCCN(C(=O)c1c[nH]nc1[N+](=O)[O-])C1CCCCC1 ZINC000580941120 325076334 /nfs/dbraw/zinc/07/63/34/325076334.db2.gz VZFACOINOZDPCE-UHFFFAOYSA-N 0 0 294.355 2.893 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@H](F)C1 ZINC000581133090 325093844 /nfs/dbraw/zinc/09/38/44/325093844.db2.gz SHOSZDOKPXTGJQ-QWHCGFSZSA-N 0 0 294.326 2.924 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000581166309 325096324 /nfs/dbraw/zinc/09/63/24/325096324.db2.gz OHXISEIXUDAIIE-SNVBAGLBSA-N 0 0 277.324 2.688 20 5 CFBDRN CC(C)N(CC1CCC1)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000581764247 325148887 /nfs/dbraw/zinc/14/88/87/325148887.db2.gz CQPSXNOYZCCVNH-UHFFFAOYSA-N 0 0 279.340 2.584 20 5 CFBDRN CC[C@H](Cn1cc(-c2ccccc2[N+](=O)[O-])cn1)OC ZINC000581834374 325152360 /nfs/dbraw/zinc/15/23/60/325152360.db2.gz MUJJHGOCGZFRFG-GFCCVEGCSA-N 0 0 275.308 2.883 20 5 CFBDRN C[C@@H](C(=O)NC1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000581921466 325159167 /nfs/dbraw/zinc/15/91/67/325159167.db2.gz QKNXUYWMXXPOEF-MRVPVSSYSA-N 0 0 284.262 2.612 20 5 CFBDRN C[C@@H](C(=O)N(C)[C@@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000582463574 325206541 /nfs/dbraw/zinc/20/65/41/325206541.db2.gz IPMCQRXWYMVJOC-MNOVXSKESA-N 0 0 276.336 2.955 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCCn2ccnc2C)c1 ZINC000582545892 325213454 /nfs/dbraw/zinc/21/34/54/325213454.db2.gz MUMBJBIHKWZART-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@H](C3CCCC3)C2)c1[N+](=O)[O-] ZINC000582659158 325223394 /nfs/dbraw/zinc/22/33/94/325223394.db2.gz BJFWNVMQFDSGCQ-GFCCVEGCSA-N 0 0 292.383 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@@H]4OCC[C@@H]4C3)c2c1 ZINC000582663612 325223664 /nfs/dbraw/zinc/22/36/64/325223664.db2.gz WIHJSNRYLNGGMV-DOMZBBRYSA-N 0 0 299.330 2.758 20 5 CFBDRN CCC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C(C)(C)C ZINC000583125521 325262249 /nfs/dbraw/zinc/26/22/49/325262249.db2.gz IDQMZQVJVXVAJU-GFCCVEGCSA-N 0 0 279.340 2.934 20 5 CFBDRN CCCN(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000583315834 325277356 /nfs/dbraw/zinc/27/73/56/325277356.db2.gz DKCBAZIFQZTXOD-UHFFFAOYSA-N 0 0 290.323 2.795 20 5 CFBDRN CC[C@@H](CCO)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000583496363 325291737 /nfs/dbraw/zinc/29/17/37/325291737.db2.gz HQAKOODGKUYNLN-NSHDSACASA-N 0 0 293.367 2.689 20 5 CFBDRN CCSCCOc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000583946410 325320924 /nfs/dbraw/zinc/32/09/24/325320924.db2.gz ZEQOXMSGJYLTPA-UHFFFAOYSA-N 0 0 256.327 2.739 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000584004493 325324543 /nfs/dbraw/zinc/32/45/43/325324543.db2.gz MJJOYDLFAVFHTA-ZDUSSCGKSA-N 0 0 283.303 2.715 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@@H]2CCCC[C@H]2C1 ZINC000584015335 325325122 /nfs/dbraw/zinc/32/51/22/325325122.db2.gz AUCDQTGFWZYKQE-RYUDHWBXSA-N 0 0 278.356 2.746 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccccc3CC2)cn1 ZINC000584077388 325329122 /nfs/dbraw/zinc/32/91/22/325329122.db2.gz NAXHZJFZADUNAN-UHFFFAOYSA-N 0 0 269.304 2.595 20 5 CFBDRN CCO[C@@H]1C[C@H]1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000584211175 325337881 /nfs/dbraw/zinc/33/78/81/325337881.db2.gz SOIKAESDCZVDDP-TZMCWYRMSA-N 0 0 292.335 2.625 20 5 CFBDRN O=C(c1cccc2c(=O)c3ccccc3[nH]c12)N1CCC1 ZINC000444827353 330826719 /nfs/dbraw/zinc/82/67/19/330826719.db2.gz JSILIJCUQSZZIL-UHFFFAOYSA-N 0 0 278.311 2.527 20 5 CFBDRN CCn1ccnc1[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000450823048 500759345 /nfs/dbraw/zinc/75/93/45/500759345.db2.gz BUGSLDXFWIWIMC-SNVBAGLBSA-N 0 0 275.312 2.688 20 5 CFBDRN CCC(CC)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000049156127 521593813 /nfs/dbraw/zinc/59/38/13/521593813.db2.gz FZGLRLVFGORYBP-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN COc1ccc(COc2ccccc2[N+](=O)[O-])cn1 ZINC000349165783 533727977 /nfs/dbraw/zinc/72/79/77/533727977.db2.gz DPTWVSKMOMZJPJ-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000049441607 522195074 /nfs/dbraw/zinc/19/50/74/522195074.db2.gz LXHTWNKJWKFXDT-RKDXNWHRSA-N 0 0 299.758 2.963 20 5 CFBDRN Cc1c(CNC(=O)N(C)[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000426406943 533852489 /nfs/dbraw/zinc/85/24/89/533852489.db2.gz SDWMDLOBLQRFHZ-ZDUSSCGKSA-N 0 0 291.351 2.843 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000353306115 522401418 /nfs/dbraw/zinc/40/14/18/522401418.db2.gz PUEXIZLSRKLGSH-RNCFNFMXSA-N 0 0 280.324 2.903 20 5 CFBDRN COc1cccc2c1C[C@@H](Nc1nc(C)ccc1[N+](=O)[O-])C2 ZINC000413431164 533881900 /nfs/dbraw/zinc/88/19/00/533881900.db2.gz VKBQBXMXXULISM-LBPRGKRZSA-N 0 0 299.330 2.886 20 5 CFBDRN CC[C@@H](CCO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000310804342 522525077 /nfs/dbraw/zinc/52/50/77/522525077.db2.gz BBCLOGYGRBQUDJ-VIFPVBQESA-N 0 0 256.277 2.615 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1ccn(C)n1 ZINC000413443437 534031766 /nfs/dbraw/zinc/03/17/66/534031766.db2.gz AUOWOTBFEYRSKH-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN C[C@@H](CO)Sc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413161669 534137326 /nfs/dbraw/zinc/13/73/26/534137326.db2.gz BWNJLPHUNPAHTC-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)CC(F)F)c([N+](=O)[O-])c1 ZINC000413698507 534156572 /nfs/dbraw/zinc/15/65/72/534156572.db2.gz HVLSWTWSIRXLQD-SSDOTTSWSA-N 0 0 288.250 2.833 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000154806022 534189957 /nfs/dbraw/zinc/18/99/57/534189957.db2.gz LAMYEXHYPPPUKI-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COC(=O)c1cccc(O[C@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000413019117 534280862 /nfs/dbraw/zinc/28/08/62/534280862.db2.gz SYWHLEWAFCSPGW-JTQLQIEISA-N 0 0 277.276 2.869 20 5 CFBDRN COC(=O)c1cnc(N[C@@H]2C[C@H]2CC(C)C)c([N+](=O)[O-])c1 ZINC000413422239 534307134 /nfs/dbraw/zinc/30/71/34/534307134.db2.gz XZRFPOMAJHEVEZ-MWLCHTKSSA-N 0 0 293.323 2.623 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCOC[C@@H]1C ZINC000193868418 534342460 /nfs/dbraw/zinc/34/24/60/534342460.db2.gz KUUXURBGKHWDJE-JQWIXIFHSA-N 0 0 278.308 2.514 20 5 CFBDRN CC(=O)c1cc(NCc2cccnc2)ccc1[N+](=O)[O-] ZINC000339971437 518291652 /nfs/dbraw/zinc/29/16/52/518291652.db2.gz VXOFHNFLZLJDFQ-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CC(=O)c1ccc(NCc2nccs2)c([N+](=O)[O-])c1 ZINC000339023043 518385007 /nfs/dbraw/zinc/38/50/07/518385007.db2.gz ZSIGSRGDEJTHQI-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCSC[C@@H](C)C1 ZINC000340901997 518411619 /nfs/dbraw/zinc/41/16/19/518411619.db2.gz LQHFKYDCZPOFDM-JTQLQIEISA-N 0 0 294.376 2.987 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)Cc1ccccn1 ZINC000360966702 518411716 /nfs/dbraw/zinc/41/17/16/518411716.db2.gz SGTHSOJZXKVDEN-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)C1CC1 ZINC000182350205 518442294 /nfs/dbraw/zinc/44/22/94/518442294.db2.gz FLOBJHBIVKQTAB-PBQZMEPESA-N 0 0 274.320 2.913 20 5 CFBDRN CC(C)(C)C1CN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000334761821 518611478 /nfs/dbraw/zinc/61/14/78/518611478.db2.gz LLCKYRDIHGAUJX-UHFFFAOYSA-N 0 0 262.309 2.713 20 5 CFBDRN CC(C)(C)C1CN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000335096868 518611732 /nfs/dbraw/zinc/61/17/32/518611732.db2.gz XFBPTZWOKMXUNA-UHFFFAOYSA-N 0 0 268.338 2.774 20 5 CFBDRN Cc1nc(COc2ccc([N+](=O)[O-])c(CO)c2)sc1C ZINC000428071236 534395981 /nfs/dbraw/zinc/39/59/81/534395981.db2.gz FIPNZUFOLDMDAI-UHFFFAOYSA-N 0 0 294.332 2.739 20 5 CFBDRN CC(C)(C)c1noc(COc2c(F)cccc2[N+](=O)[O-])n1 ZINC000185027332 519136793 /nfs/dbraw/zinc/13/67/93/519136793.db2.gz RBROKLSSGXJIHD-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN CC(C)(C)n1nnnc1CSc1ccc([N+](=O)[O-])cc1 ZINC000361916900 519182748 /nfs/dbraw/zinc/18/27/48/519182748.db2.gz PIOLOOYZHFQCFS-UHFFFAOYSA-N 0 0 293.352 2.629 20 5 CFBDRN CC(C)=CCNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000191825218 519434288 /nfs/dbraw/zinc/43/42/88/519434288.db2.gz ZGUIWNBDFZDMIH-JTQLQIEISA-N 0 0 280.299 2.920 20 5 CFBDRN CC(C)N(C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000175774063 519595968 /nfs/dbraw/zinc/59/59/68/519595968.db2.gz HPLOIHATFNRECM-SNVBAGLBSA-N 0 0 265.313 2.706 20 5 CFBDRN CC(C)OCCCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000182381445 519760639 /nfs/dbraw/zinc/76/06/39/519760639.db2.gz DWWGFXLATHEWDT-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN CCC1(CNC(=O)c2cccc([N+](=O)[O-])c2NC)CCC1 ZINC000231524352 519842237 /nfs/dbraw/zinc/84/22/37/519842237.db2.gz SNNYAPFOBFQMPR-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CCC1CCN(C(=O)c2cc([N+](=O)[O-])cnc2C)CC1 ZINC000048808501 519858646 /nfs/dbraw/zinc/85/86/46/519858646.db2.gz UPBWSPXOLZKRGC-UHFFFAOYSA-N 0 0 277.324 2.560 20 5 CFBDRN CCOCCCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213967716 519898377 /nfs/dbraw/zinc/89/83/77/519898377.db2.gz FIGVIQQSBPUAOB-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)c1nc(C)cs1 ZINC000152897850 520093521 /nfs/dbraw/zinc/09/35/21/520093521.db2.gz JBXUUQAINIJSBY-UHFFFAOYSA-N 0 0 281.293 2.619 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000425524086 534478103 /nfs/dbraw/zinc/47/81/03/534478103.db2.gz CANVJVVUUOOWGS-ZJUUUORDSA-N 0 0 281.287 2.765 20 5 CFBDRN CC1(C)CCC[C@@]1(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000338733211 520351576 /nfs/dbraw/zinc/35/15/76/520351576.db2.gz CJDNPGZGDALRID-CYBMUJFWSA-N 0 0 299.758 2.996 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)CC1 ZINC000227870989 520374325 /nfs/dbraw/zinc/37/43/25/520374325.db2.gz FWXYLMYFHJCSFX-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000192493032 520408232 /nfs/dbraw/zinc/40/82/32/520408232.db2.gz STJZXWKKGZHILC-VHSXEESVSA-N 0 0 297.380 2.835 20 5 CFBDRN CCN(CC(F)F)C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000182521253 520427091 /nfs/dbraw/zinc/42/70/91/520427091.db2.gz LJMDBPYBIHHBDN-UHFFFAOYSA-N 0 0 292.669 2.975 20 5 CFBDRN CCO[C@H](C)c1nc(Cn2cc(C)c([N+](=O)[O-])n2)cs1 ZINC000337942730 520480889 /nfs/dbraw/zinc/48/08/89/520480889.db2.gz YANITQZSGGYPIQ-SECBINFHSA-N 0 0 296.352 2.702 20 5 CFBDRN Cc1cn([C@@H](C)c2ncc(C(C)(C)C)o2)nc1[N+](=O)[O-] ZINC000281218336 534502058 /nfs/dbraw/zinc/50/20/58/534502058.db2.gz QYDDQAGJIJRBAK-VIFPVBQESA-N 0 0 278.312 2.995 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000338418055 520622471 /nfs/dbraw/zinc/62/24/71/520622471.db2.gz GOXUXUWDMHBLJE-QMMMGPOBSA-N 0 0 271.317 2.622 20 5 CFBDRN CCCCOCC(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181291422 520745742 /nfs/dbraw/zinc/74/57/42/520745742.db2.gz HYXYFSFRSJKCAM-UHFFFAOYSA-N 0 0 281.308 2.763 20 5 CFBDRN CCOc1cc(N(CCO)[C@H](C)CC)ccc1[N+](=O)[O-] ZINC000312716336 520748525 /nfs/dbraw/zinc/74/85/25/520748525.db2.gz UHIHRPWMPRIART-LLVKDONJSA-N 0 0 282.340 2.591 20 5 CFBDRN CCOc1cc(NCCSC)ccc1[N+](=O)[O-] ZINC000083407973 520762554 /nfs/dbraw/zinc/76/25/54/520762554.db2.gz SSOUBNPISWFGNB-UHFFFAOYSA-N 0 0 256.327 2.768 20 5 CFBDRN CCOc1cc(OCc2ncc(C)cn2)ccc1[N+](=O)[O-] ZINC000361002956 520773357 /nfs/dbraw/zinc/77/33/57/520773357.db2.gz QOKBLGJNKFXDPX-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN CC1=C(C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000336090300 520833129 /nfs/dbraw/zinc/83/31/29/520833129.db2.gz MFPANMVUXOBDGM-UHFFFAOYSA-N 0 0 278.283 2.916 20 5 CFBDRN CCCCO[C@H](C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000068501398 520835189 /nfs/dbraw/zinc/83/51/89/520835189.db2.gz XYOXWVKADFZCQV-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000335775529 520886489 /nfs/dbraw/zinc/88/64/89/520886489.db2.gz SBHYXPUFLBFCME-JTQLQIEISA-N 0 0 260.293 2.633 20 5 CFBDRN CCC[C@@H]1C[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000158432519 520888586 /nfs/dbraw/zinc/88/85/86/520888586.db2.gz YFQRHIXAMBNHII-ZWNOBZJWSA-N 0 0 280.299 2.581 20 5 CFBDRN CCN(CCCO)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000160249973 521037493 /nfs/dbraw/zinc/03/74/93/521037493.db2.gz LECTYXJXNFETNG-UHFFFAOYSA-N 0 0 292.257 2.822 20 5 CFBDRN CCCC[C@@H]([NH2+]Cc1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000262722586 521101490 /nfs/dbraw/zinc/10/14/90/521101490.db2.gz WODIKQIQFODDMB-GFCCVEGCSA-N 0 0 280.324 2.636 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC=CCC2)c1 ZINC000338527099 521280906 /nfs/dbraw/zinc/28/09/06/521280906.db2.gz YLMPCNHFKOGQHS-NSHDSACASA-N 0 0 290.319 2.832 20 5 CFBDRN CCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054869222 521350638 /nfs/dbraw/zinc/35/06/38/521350638.db2.gz UFQCPQSWKUWUJG-UHFFFAOYSA-N 0 0 254.261 2.652 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@H](C)C1 ZINC000157339890 521427038 /nfs/dbraw/zinc/42/70/38/521427038.db2.gz GUNCZTLDPSSYSV-QWRGUYRKSA-N 0 0 292.335 2.912 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@H](C)C1 ZINC000157339744 521427320 /nfs/dbraw/zinc/42/73/20/521427320.db2.gz GUNCZTLDPSSYSV-WDEREUQCSA-N 0 0 292.335 2.912 20 5 CFBDRN CCCN(CC)C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000341184544 521597904 /nfs/dbraw/zinc/59/79/04/521597904.db2.gz NDJGKIQSLMYWMV-UHFFFAOYSA-N 0 0 286.278 2.674 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000311809073 521610056 /nfs/dbraw/zinc/61/00/56/521610056.db2.gz IEJQLUALSRPSTA-LBPRGKRZSA-N 0 0 270.304 2.943 20 5 CFBDRN CCOC(C)(C)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000159530566 521626991 /nfs/dbraw/zinc/62/69/91/521626991.db2.gz GVPMXLQPDMVYKF-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN CCOC1(CNc2c([N+](=O)[O-])nc(C)n2CC)CCCC1 ZINC000314704214 521638163 /nfs/dbraw/zinc/63/81/63/521638163.db2.gz HDMIWUJDYDEHGM-UHFFFAOYSA-N 0 0 296.371 2.881 20 5 CFBDRN CCC(O)(CC)CNc1cccc(C)c1[N+](=O)[O-] ZINC000218845825 521658103 /nfs/dbraw/zinc/65/81/03/521658103.db2.gz FVGOZFAJNLORQF-UHFFFAOYSA-N 0 0 252.314 2.866 20 5 CFBDRN CCSCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000075381559 521714899 /nfs/dbraw/zinc/71/48/99/521714899.db2.gz HPTSETZQCRXFNW-VIFPVBQESA-N 0 0 286.353 2.792 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000334068810 521781436 /nfs/dbraw/zinc/78/14/36/521781436.db2.gz YOAOLOVCXUXKBO-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CC[C@@H](C)C1 ZINC000360099504 521793725 /nfs/dbraw/zinc/79/37/25/521793725.db2.gz AIEOUUDFRSXUEH-GHMZBOCLSA-N 0 0 291.351 2.803 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000194273210 521816674 /nfs/dbraw/zinc/81/66/74/521816674.db2.gz JUUNWRWWKQATMR-VHSXEESVSA-N 0 0 280.324 2.768 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000057301258 521925122 /nfs/dbraw/zinc/92/51/22/521925122.db2.gz QAILMHLDOBGFMN-QWRGUYRKSA-N 0 0 264.325 2.688 20 5 CFBDRN Cc1cnc(OCc2cn3cccc(C)c3n2)c([N+](=O)[O-])c1 ZINC000178283351 534598617 /nfs/dbraw/zinc/59/86/17/534598617.db2.gz ZBGDLPSQLWRJPU-UHFFFAOYSA-N 0 0 298.302 2.833 20 5 CFBDRN COCC[C@H](COC)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000311893981 521934276 /nfs/dbraw/zinc/93/42/76/521934276.db2.gz BEQGYGQQVGJXKN-SNVBAGLBSA-N 0 0 288.731 2.712 20 5 CFBDRN Cc1cnc(OCC(=O)c2ccccc2F)c([N+](=O)[O-])c1 ZINC000176703922 534599061 /nfs/dbraw/zinc/59/90/61/534599061.db2.gz XZBAPWNJFLVFGH-UHFFFAOYSA-N 0 0 290.250 2.699 20 5 CFBDRN COc1cc(C(=O)N2C[C@@H](C)C[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000158878369 522095147 /nfs/dbraw/zinc/09/51/47/522095147.db2.gz UEMCBTYSGRJUJP-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC(C)(C)C(C)C)n1 ZINC000234550955 522176309 /nfs/dbraw/zinc/17/63/09/522176309.db2.gz WQSPROXMUWKSDJ-UHFFFAOYSA-N 0 0 295.339 2.871 20 5 CFBDRN CCc1nc(C)c(CNCc2ccc([N+](=O)[O-])cc2F)o1 ZINC000314798953 522203733 /nfs/dbraw/zinc/20/37/33/522203733.db2.gz SLFFOALBVAAXSP-UHFFFAOYSA-N 0 0 293.298 2.883 20 5 CFBDRN CN(C)c1ccc(Nc2ncc(Cl)cc2[N+](=O)[O-])cn1 ZINC000153555411 522238481 /nfs/dbraw/zinc/23/84/81/522238481.db2.gz UMELUEHSBUQQIK-UHFFFAOYSA-N 0 0 293.714 2.848 20 5 CFBDRN CN(C)c1nc(CSc2cccc([N+](=O)[O-])c2)ns1 ZINC000337905797 522320906 /nfs/dbraw/zinc/32/09/06/522320906.db2.gz BVLKQMYWFZCYIV-UHFFFAOYSA-N 0 0 296.377 2.805 20 5 CFBDRN COC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000051551231 522380048 /nfs/dbraw/zinc/38/00/48/522380048.db2.gz NDYMJHOKDAJPCK-UHFFFAOYSA-N 0 0 264.262 2.505 20 5 CFBDRN COC[C@@H]1CCCCN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000364159997 522442049 /nfs/dbraw/zinc/44/20/49/522442049.db2.gz RMQRQQUYEKRICR-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN CC[C@@H](C)n1ncc(Nc2c([N+](=O)[O-])ncn2C)c1C ZINC000357650902 522461545 /nfs/dbraw/zinc/46/15/45/522461545.db2.gz VATNKGHAPBMKJN-MRVPVSSYSA-N 0 0 278.316 2.548 20 5 CFBDRN CNc1c(C(=O)N2C[C@@H]3CCC[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000161513711 522537089 /nfs/dbraw/zinc/53/70/89/522537089.db2.gz NDBZFQARDRHGCB-PHIMTYICSA-N 0 0 289.335 2.509 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])n1C)c1cccs1 ZINC000340392232 522538261 /nfs/dbraw/zinc/53/82/61/522538261.db2.gz PGPNFWSPLUWRGK-VIFPVBQESA-N 0 0 293.348 2.876 20 5 CFBDRN CNc1c(C(=O)N2CC[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000360955831 522538503 /nfs/dbraw/zinc/53/85/03/522538503.db2.gz SVNCIENSGIQJOK-UWVGGRQHSA-N 0 0 277.324 2.507 20 5 CFBDRN CCc1ncc(C(=O)Nc2cccc([N+](=O)[O-])c2)s1 ZINC000049156344 522546131 /nfs/dbraw/zinc/54/61/31/522546131.db2.gz MUILLHWCFQFGEN-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN COC1(CCOc2ccccc2[N+](=O)[O-])CCC1 ZINC000338514291 522597533 /nfs/dbraw/zinc/59/75/33/522597533.db2.gz IKRRUQIPGQAVAY-UHFFFAOYSA-N 0 0 251.282 2.933 20 5 CFBDRN COC1(CCOc2cccc([N+](=O)[O-])c2)CCC1 ZINC000338518017 522597656 /nfs/dbraw/zinc/59/76/56/522597656.db2.gz UQULLDWGGXDOQR-UHFFFAOYSA-N 0 0 251.282 2.933 20 5 CFBDRN CNc1ccc(Nc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000048608250 522631122 /nfs/dbraw/zinc/63/11/22/522631122.db2.gz AZMAEYCOIYRLRS-UHFFFAOYSA-N 0 0 262.244 2.914 20 5 CFBDRN COc1cc(N2CCC[C@H](OC)CC2)ccc1[N+](=O)[O-] ZINC000363672152 522634109 /nfs/dbraw/zinc/63/41/09/522634109.db2.gz MHIGSOYDMKTWLD-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN CCc1nn(C)c(NC2CC(C(F)(F)F)C2)c1[N+](=O)[O-] ZINC000340943190 522668649 /nfs/dbraw/zinc/66/86/49/522668649.db2.gz UJZJCEUTUOLMEM-UHFFFAOYSA-N 0 0 292.261 2.644 20 5 CFBDRN CCc1nn(C)cc1CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000157925829 522694828 /nfs/dbraw/zinc/69/48/28/522694828.db2.gz WYWJRRGIDDQCEO-UHFFFAOYSA-N 0 0 290.323 2.511 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000315089433 522744906 /nfs/dbraw/zinc/74/49/06/522744906.db2.gz KLPSWLDJMVMSSC-NWDGAFQWSA-N 0 0 294.351 2.814 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000315089430 522745970 /nfs/dbraw/zinc/74/59/70/522745970.db2.gz KLPSWLDJMVMSSC-NEPJUHHUSA-N 0 0 294.351 2.814 20 5 CFBDRN COCC(C)(C)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000311076081 522814426 /nfs/dbraw/zinc/81/44/26/522814426.db2.gz MSBCWYKOQUPKKW-UHFFFAOYSA-N 0 0 252.314 2.988 20 5 CFBDRN O=C(NCc1cccc(F)c1)c1ccc(F)cc1[N+](=O)[O-] ZINC000161029367 534671294 /nfs/dbraw/zinc/67/12/94/534671294.db2.gz BTYLTIRPWKJAHH-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCC1CCOCC1 ZINC000338548862 522982682 /nfs/dbraw/zinc/98/26/82/522982682.db2.gz AKVBPJOCERCLQW-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN COC(=O)CCCc1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000337657339 523049996 /nfs/dbraw/zinc/04/99/96/523049996.db2.gz IRNJOZKYNXYUFW-UHFFFAOYSA-N 0 0 295.320 2.542 20 5 CFBDRN CC[C@@H](Sc1cccc([N+](=O)[O-])c1)C(=O)OC ZINC000337767269 523082608 /nfs/dbraw/zinc/08/26/08/523082608.db2.gz TXYKKVOARYIBCI-SNVBAGLBSA-N 0 0 255.295 2.639 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000156375125 523125842 /nfs/dbraw/zinc/12/58/42/523125842.db2.gz JTBDBZCCHKSTOP-RYUDHWBXSA-N 0 0 292.335 2.669 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C)=C[C@@H](C)C1 ZINC000340936930 523141574 /nfs/dbraw/zinc/14/15/74/523141574.db2.gz XMBRVCVKMUGLPF-SECBINFHSA-N 0 0 264.329 2.522 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCCOC(C)C ZINC000312566134 523144432 /nfs/dbraw/zinc/14/44/32/523144432.db2.gz KYHNZXCFBQDGKW-UHFFFAOYSA-N 0 0 284.360 2.737 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(OC)CCCCC1 ZINC000361006789 523144521 /nfs/dbraw/zinc/14/45/21/523144521.db2.gz LFZXGVKLBGQJHF-UHFFFAOYSA-N 0 0 296.371 2.881 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)CC(C)C ZINC000336786846 523146057 /nfs/dbraw/zinc/14/60/57/523146057.db2.gz CSWWXXQZEMCRHN-SECBINFHSA-N 0 0 254.334 2.966 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1cncc(F)c1 ZINC000361073966 523146365 /nfs/dbraw/zinc/14/63/65/523146365.db2.gz NVCUZUOAKCQXHG-MRVPVSSYSA-N 0 0 293.302 2.827 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)CC1CC1 ZINC000311055818 523146544 /nfs/dbraw/zinc/14/65/44/523146544.db2.gz IYSOBGHXAPKKAZ-MRVPVSSYSA-N 0 0 252.318 2.720 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CC[C@H](C)C1 ZINC000311771536 523146910 /nfs/dbraw/zinc/14/69/10/523146910.db2.gz WDDMRSFSIICVDO-ONGXEEELSA-N 0 0 266.345 2.968 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000339206411 523219605 /nfs/dbraw/zinc/21/96/05/523219605.db2.gz POSPMZHEGVMMAP-BXUZGUMPSA-N 0 0 291.351 2.997 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2C)O1 ZINC000362463013 523359289 /nfs/dbraw/zinc/35/92/89/523359289.db2.gz CZCVHTXXPBPSBT-ZWNOBZJWSA-N 0 0 278.308 2.799 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000338462954 523575692 /nfs/dbraw/zinc/57/56/92/523575692.db2.gz VGZWSKYXLDTWQW-CHWSQXEVSA-N 0 0 278.308 2.739 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H]2CCC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000188913139 523671436 /nfs/dbraw/zinc/67/14/36/523671436.db2.gz KEBKTJRSEAHOQS-UWVGGRQHSA-N 0 0 294.355 2.579 20 5 CFBDRN COc1ccc(C(=O)NC[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000334071001 523710906 /nfs/dbraw/zinc/71/09/06/523710906.db2.gz VMGZNNGHVQXRNS-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN COc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2C)nc1 ZINC000360413111 523758927 /nfs/dbraw/zinc/75/89/27/523758927.db2.gz IDUIJHULQJCJGL-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC[C@H](C(=O)[O-])[N@H+](C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000050444760 523808360 /nfs/dbraw/zinc/80/83/60/523808360.db2.gz ADNYTKFHKUQGQG-SNVBAGLBSA-N 0 0 286.715 2.543 20 5 CFBDRN CCc1cc(NC(=O)c2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000174233921 523818597 /nfs/dbraw/zinc/81/85/97/523818597.db2.gz QQMVEWFRNKOQOX-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CN(C(=O)CNc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000067703860 523830534 /nfs/dbraw/zinc/83/05/34/523830534.db2.gz WAXUAPIJCNXIJE-UHFFFAOYSA-N 0 0 285.303 2.670 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161431411 523867769 /nfs/dbraw/zinc/86/77/69/523867769.db2.gz XIADMKNIGOQEJX-JTQLQIEISA-N 0 0 279.340 2.755 20 5 CFBDRN CO[C@H](C)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000224352369 523885966 /nfs/dbraw/zinc/88/59/66/523885966.db2.gz AYOOGMLLYOFPKV-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CSC2(C)C)cc1[N+](=O)[O-] ZINC000338490431 523895078 /nfs/dbraw/zinc/89/50/78/523895078.db2.gz DACWNZULOJDMCT-LBPRGKRZSA-N 0 0 294.376 2.781 20 5 CFBDRN CN(C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CCC1 ZINC000336319597 523916236 /nfs/dbraw/zinc/91/62/36/523916236.db2.gz FOVOBOYKOJOQEK-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CCCCCC1 ZINC000050703898 523923486 /nfs/dbraw/zinc/92/34/86/523923486.db2.gz BJJDXYWSAHAJKS-UHFFFAOYSA-N 0 0 291.351 2.972 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000340884832 523985409 /nfs/dbraw/zinc/98/54/09/523985409.db2.gz OLBLYXTYOKXYCF-GWCFXTLKSA-N 0 0 293.323 2.531 20 5 CFBDRN CCc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000340476492 523992038 /nfs/dbraw/zinc/99/20/38/523992038.db2.gz QVQHFKOZSJDWGO-UHFFFAOYSA-N 0 0 259.265 2.738 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000231683393 524001841 /nfs/dbraw/zinc/00/18/41/524001841.db2.gz QWHDXHVKKYKXDJ-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000231682568 524004889 /nfs/dbraw/zinc/00/48/89/524004889.db2.gz ZRABOGUDRRFBSO-CBAPKCEASA-N 0 0 271.704 2.623 20 5 CFBDRN CNC(=O)C[C@@H](Nc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000360286542 524075650 /nfs/dbraw/zinc/07/56/50/524075650.db2.gz PYAYFBBZGPJVOI-OAHLLOKOSA-N 0 0 299.330 2.884 20 5 CFBDRN COc1ccc(CNc2cccc(C)c2[N+](=O)[O-])cn1 ZINC000218830683 524101761 /nfs/dbraw/zinc/10/17/61/524101761.db2.gz RHITXOCKLMZHCO-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000070231852 524118001 /nfs/dbraw/zinc/11/80/01/524118001.db2.gz GPMVMTZNHGKTCQ-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN COc1ccc(COc2cccnc2OC)cc1[N+](=O)[O-] ZINC000361913368 524121402 /nfs/dbraw/zinc/12/14/02/524121402.db2.gz IOQFOGVALJTXOU-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN Cc1cccc(C(=O)NCCCCOC(C)C)c1[N+](=O)[O-] ZINC000182374475 524193178 /nfs/dbraw/zinc/19/31/78/524193178.db2.gz XVWUWWWTZZDIHP-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)CC1 ZINC000068820156 524207724 /nfs/dbraw/zinc/20/77/24/524207724.db2.gz QHKWETCTBGVBQB-SECBINFHSA-N 0 0 295.314 2.578 20 5 CFBDRN Cc1cccc(C)c1CCNc1ccncc1[N+](=O)[O-] ZINC000361043117 524255318 /nfs/dbraw/zinc/25/53/18/524255318.db2.gz NRIYXVNCKGDOGG-UHFFFAOYSA-N 0 0 271.320 2.683 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000074510288 524300661 /nfs/dbraw/zinc/30/06/61/524300661.db2.gz ZLNKJXBIOMBTNY-NXEZZACHSA-N 0 0 266.297 2.839 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000356588664 524334773 /nfs/dbraw/zinc/33/47/73/524334773.db2.gz JTGYSRAIONZOIP-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CCSC1 ZINC000335015145 524338488 /nfs/dbraw/zinc/33/84/88/524338488.db2.gz UQTSPPKDYRJUCE-NSHDSACASA-N 0 0 294.376 2.789 20 5 CFBDRN Cc1ccc(CC[C@H](C)Nc2c([N+](=O)[O-])c(C)nn2C)o1 ZINC000361290621 524349783 /nfs/dbraw/zinc/34/97/83/524349783.db2.gz SMOGQUZYEARNDA-VIFPVBQESA-N 0 0 292.339 2.971 20 5 CFBDRN Cc1cccc(CCNC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000338659917 524361826 /nfs/dbraw/zinc/36/18/26/524361826.db2.gz CNHLFAFUJLHTDZ-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000333986572 524395371 /nfs/dbraw/zinc/39/53/71/524395371.db2.gz RADLTCNXGFTULO-RYUDHWBXSA-N 0 0 291.351 2.720 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000335755906 524412917 /nfs/dbraw/zinc/41/29/17/524412917.db2.gz LUFNKGPDIYBGKD-IUCAKERBSA-N 0 0 268.338 2.917 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000157263661 524420069 /nfs/dbraw/zinc/42/00/69/524420069.db2.gz UEFVHFSWPKPHEZ-KWQFWETISA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000157337468 524420304 /nfs/dbraw/zinc/42/03/04/524420304.db2.gz SHYMEDYGBCXQRC-WPRPVWTQSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000336141700 524425060 /nfs/dbraw/zinc/42/50/60/524425060.db2.gz JTCUBJUNYJKEBK-OIBJUYFYSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@@H](CCCO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000094944633 524464727 /nfs/dbraw/zinc/46/47/27/524464727.db2.gz YBGBCQGEVAFQFQ-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])n2C)CC12CCC2 ZINC000334447659 524487905 /nfs/dbraw/zinc/48/79/05/524487905.db2.gz MYBLOBISVYXZCA-LLVKDONJSA-N 0 0 291.351 2.586 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])nc1)c1nccs1 ZINC000230610064 524508665 /nfs/dbraw/zinc/50/86/65/524508665.db2.gz WUJUNKBBDCTJGQ-MRVPVSSYSA-N 0 0 264.310 2.662 20 5 CFBDRN C[C@H](CNc1ccccc1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000119642344 524510605 /nfs/dbraw/zinc/51/06/05/524510605.db2.gz KRWLBMLZAJKMBO-GFCCVEGCSA-N 0 0 299.330 2.825 20 5 CFBDRN COc1ccc(NC(=O)c2occc2C)c([N+](=O)[O-])c1 ZINC000070704253 524661586 /nfs/dbraw/zinc/66/15/86/524661586.db2.gz FSTRNPCXNALEJU-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN Cc1cccc(OCc2ccc(CO)cc2)c1[N+](=O)[O-] ZINC000168693485 524765974 /nfs/dbraw/zinc/76/59/74/524765974.db2.gz SRSQKGIDHZTCSP-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN Cc1cc(CNc2ncnc3sc([N+](=O)[O-])cc32)on1 ZINC000336841975 524792495 /nfs/dbraw/zinc/79/24/95/524792495.db2.gz SXZSOYJRERODCZ-UHFFFAOYSA-N 0 0 291.292 2.508 20 5 CFBDRN C[C@H](Cn1ccnc1)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000315291696 524794151 /nfs/dbraw/zinc/79/41/51/524794151.db2.gz HPAVXJAODPPAMD-LLVKDONJSA-N 0 0 297.318 2.840 20 5 CFBDRN Cc1cc(F)ccc1CN(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000362609444 524975722 /nfs/dbraw/zinc/97/57/22/524975722.db2.gz RSQCCPBMYBWFPC-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN Cc1cc(N(C)C[C@H](C)O)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000360104964 525026233 /nfs/dbraw/zinc/02/62/33/525026233.db2.gz PAFMEHJZKKGDAX-QMMMGPOBSA-N 0 0 292.257 2.739 20 5 CFBDRN Cc1cc(N(CCCCO)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000360081176 525031351 /nfs/dbraw/zinc/03/13/51/525031351.db2.gz DAVBPCURRZTWDA-UHFFFAOYSA-N 0 0 282.315 2.784 20 5 CFBDRN Cc1ccccc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000048807265 525055512 /nfs/dbraw/zinc/05/55/12/525055512.db2.gz OUHSDSDGUYQDPQ-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN Cc1cc(N2CCC[C@@]23CCOC3)c(F)cc1[N+](=O)[O-] ZINC000360089750 525068606 /nfs/dbraw/zinc/06/86/06/525068606.db2.gz NBEWTJUVHFXBRH-AWEZNQCLSA-N 0 0 280.299 2.802 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@H]1C ZINC000336447799 525074915 /nfs/dbraw/zinc/07/49/15/525074915.db2.gz IXNRDBKQYKGPBS-DTWKUNHWSA-N 0 0 298.339 2.700 20 5 CFBDRN Cc1cc(NC(=O)NCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000338350428 525187517 /nfs/dbraw/zinc/18/75/17/525187517.db2.gz PWEMSWGDFUZWAK-UHFFFAOYSA-N 0 0 291.229 2.977 20 5 CFBDRN Cc1ccc(NC(=O)[C@H](C)OCC2CC2)cc1[N+](=O)[O-] ZINC000049141070 525214174 /nfs/dbraw/zinc/21/41/74/525214174.db2.gz GREFRSOHOOLFBG-JTQLQIEISA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1cc(NCC[C@@H](O)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000360076751 525270580 /nfs/dbraw/zinc/27/05/80/525270580.db2.gz XYTKPVRULUQPAL-SNVBAGLBSA-N 0 0 296.220 2.768 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000361545827 525308320 /nfs/dbraw/zinc/30/83/20/525308320.db2.gz OQRDJNBLLHJDRH-OUAUKWLOSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1ccc(N[C@H]2CCO[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000230308917 525319986 /nfs/dbraw/zinc/31/99/86/525319986.db2.gz BSJYRUCLCFVCIK-JSGCOSHPSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1ccc(O)c(NC(=O)Cc2ccccc2[N+](=O)[O-])c1 ZINC000050178579 525356407 /nfs/dbraw/zinc/35/64/07/525356407.db2.gz ZILKZUKQLVBGCW-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1cc(OCC(=O)[C@H]2CCOC2)c(Cl)cc1[N+](=O)[O-] ZINC000312657322 525367556 /nfs/dbraw/zinc/36/75/56/525367556.db2.gz VDGILFCJQSXAKC-VIFPVBQESA-N 0 0 299.710 2.541 20 5 CFBDRN Cc1cc(OCc2cn3cccnc3n2)ccc1[N+](=O)[O-] ZINC000340667379 525375412 /nfs/dbraw/zinc/37/54/12/525375412.db2.gz QBKJMROYFRVFNZ-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN C[C@@H](NC(=O)c1cscn1)c1cccc([N+](=O)[O-])c1 ZINC000062659196 525380508 /nfs/dbraw/zinc/38/05/08/525380508.db2.gz LYCUKBYXRHMICM-MRVPVSSYSA-N 0 0 277.305 2.542 20 5 CFBDRN Cc1cc(O[C@@H](C)[C@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000310248484 525381237 /nfs/dbraw/zinc/38/12/37/525381237.db2.gz VPGLVNNPJUJVRZ-YUMQZZPRSA-N 0 0 259.689 2.705 20 5 CFBDRN CSCCCCNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000173073517 525436892 /nfs/dbraw/zinc/43/68/92/525436892.db2.gz UMGCOPRRAMBINF-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ccn3ccnc3c2)c1 ZINC000338682172 525453351 /nfs/dbraw/zinc/45/33/51/525453351.db2.gz OBCJFAVNVKALHC-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN Cc1ccc(OCc2noc(C3CC3)n2)c([N+](=O)[O-])c1 ZINC000048988257 525453426 /nfs/dbraw/zinc/45/34/26/525453426.db2.gz LSSNXQQTBHBBLK-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C)[C@H]1C ZINC000172662804 525455849 /nfs/dbraw/zinc/45/58/49/525455849.db2.gz ZCJJTFSTWBGXJJ-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1cccc(CO)c1 ZINC000172659456 525463377 /nfs/dbraw/zinc/46/33/77/525463377.db2.gz NPGTYSRRMDNKPG-UHFFFAOYSA-N 0 0 288.303 2.708 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1ccc(CO)cc1 ZINC000168686209 525473339 /nfs/dbraw/zinc/47/33/39/525473339.db2.gz BVWNRRXCQIDEJW-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)CC(F)(F)F ZINC000362055722 525560852 /nfs/dbraw/zinc/56/08/52/525560852.db2.gz GRZJPTINLSXEIO-QMMMGPOBSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)S[C@@H](C)C1 ZINC000336475678 525561256 /nfs/dbraw/zinc/56/12/56/525561256.db2.gz DXWKTHZAWIDMSC-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@@H]1OCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000155979737 525565575 /nfs/dbraw/zinc/56/55/75/525565575.db2.gz UQEZNSGBZMOCOU-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccn(CC2CCC(F)(F)CC2)c(=O)c1[N+](=O)[O-] ZINC000334828579 525598896 /nfs/dbraw/zinc/59/88/96/525598896.db2.gz OZXNKTBXDLSTPM-UHFFFAOYSA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1ccn2cc(CNc3nc(C)ccc3[N+](=O)[O-])nc2c1 ZINC000360676784 525617704 /nfs/dbraw/zinc/61/77/04/525617704.db2.gz QXJPZWXBQZWGBS-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)C[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000333977254 525633953 /nfs/dbraw/zinc/63/39/53/525633953.db2.gz ZNSKYIRYWWUYSV-JQWIXIFHSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@H](Nc1ccnc2c1cccc2[N+](=O)[O-])[C@@H]1CCOC1 ZINC000166421506 525674405 /nfs/dbraw/zinc/67/44/05/525674405.db2.gz FZNCFEJSQOBLAR-WDEREUQCSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccnc(NCCCCOC(C)C)c1[N+](=O)[O-] ZINC000181569007 525691436 /nfs/dbraw/zinc/69/14/36/525691436.db2.gz FIMONVFJMZTSLQ-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN Cc1ccnc(NCc2cccc(O)c2)c1[N+](=O)[O-] ZINC000172277807 525695371 /nfs/dbraw/zinc/69/53/71/525695371.db2.gz IAAZJMAWGIBHMO-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN Cc1ccncc1CN(C)c1ccc([N+](=O)[O-])c(N)c1F ZINC000360093801 525739313 /nfs/dbraw/zinc/73/93/13/525739313.db2.gz PCISUUXFCAJZNA-UHFFFAOYSA-N 0 0 290.298 2.656 20 5 CFBDRN Cc1ccc(-c2cccnc2)cc1NC(=O)[C@H]1CC1[N+](=O)[O-] ZINC000366550620 525759706 /nfs/dbraw/zinc/75/97/06/525759706.db2.gz GMGZYQKGWLVHHE-DZGCQCFKSA-N 0 0 297.314 2.661 20 5 CFBDRN Cc1ccncc1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000152556781 525760547 /nfs/dbraw/zinc/76/05/47/525760547.db2.gz UEYZJDBVKSJRFT-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN Cc1c(Cl)cnn1CCOc1ccccc1[N+](=O)[O-] ZINC000181726458 525791627 /nfs/dbraw/zinc/79/16/27/525791627.db2.gz DLAVHLOERGNKGJ-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCO[C@@H]2CCCCO2)nc1 ZINC000193431788 534883289 /nfs/dbraw/zinc/88/32/89/534883289.db2.gz IZJIDTWJMKTNAL-GFCCVEGCSA-N 0 0 284.337 2.625 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCCc2n[nH]cc21 ZINC000425446291 534885927 /nfs/dbraw/zinc/88/59/27/534885927.db2.gz OBPAOJIBDAJPOY-UHFFFAOYSA-N 0 0 292.726 2.924 20 5 CFBDRN C[C@@]1(CNc2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000310768602 525851580 /nfs/dbraw/zinc/85/15/80/525851580.db2.gz SIXNHZWJCXRKHS-LBPRGKRZSA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1c(NCC[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000235841228 525869062 /nfs/dbraw/zinc/86/90/62/525869062.db2.gz CNTHGBRXQCVWGK-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cn(Cc2cccc(Br)c2)nc1[N+](=O)[O-] ZINC000337937983 525909908 /nfs/dbraw/zinc/90/99/08/525909908.db2.gz XWWFZNZTRFPKLT-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN Cc1cn(Cc2cccc(F)c2Cl)nc1[N+](=O)[O-] ZINC000337933657 525912801 /nfs/dbraw/zinc/91/28/01/525912801.db2.gz BTOSNNMFTZAJII-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])cc2C)C1 ZINC000078476765 525914362 /nfs/dbraw/zinc/91/43/62/525914362.db2.gz SOORFWCCUUNPOT-KOLCDFICSA-N 0 0 267.354 2.994 20 5 CFBDRN CSc1ccc(C(=O)N2CC3(CC3)C2)cc1[N+](=O)[O-] ZINC000334854818 525952983 /nfs/dbraw/zinc/95/29/83/525952983.db2.gz UFBUOXKVDUFXLF-UHFFFAOYSA-N 0 0 278.333 2.553 20 5 CFBDRN CSc1ccc(F)cc1CNc1ccncc1[N+](=O)[O-] ZINC000360996997 526017351 /nfs/dbraw/zinc/01/73/51/526017351.db2.gz VBWGDTNGMXKBKM-UHFFFAOYSA-N 0 0 293.323 2.885 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CC2CCC2)c1 ZINC000173016692 526053899 /nfs/dbraw/zinc/05/38/99/526053899.db2.gz QUNNBICNNWBLBA-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ncccc2C)c1 ZINC000173552789 526053953 /nfs/dbraw/zinc/05/39/53/526053953.db2.gz LCEODHQXVZSNOY-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(O)CCCC2(C)C)n1 ZINC000338733610 526062566 /nfs/dbraw/zinc/06/25/66/526062566.db2.gz ICUXBQAFIMUUQT-CQSZACIVSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](CO)CC(C)C)c1 ZINC000084726409 526062631 /nfs/dbraw/zinc/06/26/31/526062631.db2.gz JDZWPWDOFGIWBR-NSHDSACASA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC(C1CC1)C1CC1 ZINC000049378205 526072577 /nfs/dbraw/zinc/07/25/77/526072577.db2.gz XETPEVNDJICVPL-UHFFFAOYSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C[C@H]1CCCO1 ZINC000333976667 526074533 /nfs/dbraw/zinc/07/45/33/526074533.db2.gz RYNRAYLCASXFHG-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cnc(NCCNc2cccc(C)n2)c([N+](=O)[O-])c1 ZINC000361262505 526091239 /nfs/dbraw/zinc/09/12/39/526091239.db2.gz NJCSSGZLMFDBCH-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCC[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000312309349 526092242 /nfs/dbraw/zinc/09/22/42/526092242.db2.gz PLWGVEIWLOZPME-VXGBXAGGSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1cnc(NCc2ccncc2C)c([N+](=O)[O-])c1 ZINC000228703902 526093075 /nfs/dbraw/zinc/09/30/75/526093075.db2.gz YGVYOUNFKXNSTP-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@@H](c1ccccc1F)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340648342 526105883 /nfs/dbraw/zinc/10/58/83/526105883.db2.gz IZEAIVDTKWVEEK-VIFPVBQESA-N 0 0 291.282 2.895 20 5 CFBDRN C[C@H](c1ccccc1F)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340648341 526117089 /nfs/dbraw/zinc/11/70/89/526117089.db2.gz IZEAIVDTKWVEEK-SECBINFHSA-N 0 0 291.282 2.895 20 5 CFBDRN Cc1cc(=O)n(CCCc2ccsc2)cc1[N+](=O)[O-] ZINC000360945334 526141420 /nfs/dbraw/zinc/14/14/20/526141420.db2.gz DDARBFYTBBGJRL-UHFFFAOYSA-N 0 0 278.333 2.759 20 5 CFBDRN Cc1cnc([C@H](C)Nc2ccc([N+](=O)[O-])nc2)s1 ZINC000134931516 526161133 /nfs/dbraw/zinc/16/11/33/526161133.db2.gz JGDIUQFPAMXUEO-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2C)cn1 ZINC000360524171 526187149 /nfs/dbraw/zinc/18/71/49/526187149.db2.gz FZPDFAVBXDOKMG-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000334802943 526204544 /nfs/dbraw/zinc/20/45/44/526204544.db2.gz IZJYRJNZJNNGTD-KOLCDFICSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@H]1CC(NC(=O)Nc2ccncc2[N+](=O)[O-])C[C@H](C)C1 ZINC000183645649 526228452 /nfs/dbraw/zinc/22/84/52/526228452.db2.gz HTXZGACCCFOYQJ-NXEZZACHSA-N 0 0 292.339 2.936 20 5 CFBDRN C[C@H]1CC(NC(=O)c2cccc([N+](=O)[O-])c2N)C[C@H](C)C1 ZINC000157346650 526229115 /nfs/dbraw/zinc/22/91/15/526229115.db2.gz UHHLZYVOGCZTCK-NXEZZACHSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1cc(C(=O)NC2CCCCC2)cc([N+](=O)[O-])c1 ZINC000049182030 526264653 /nfs/dbraw/zinc/26/46/53/526264653.db2.gz UEFRKTIFPGGYSN-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN Cc1nn(Cc2cccc(-n3cccn3)c2)c(C)c1[N+](=O)[O-] ZINC000360338859 526292458 /nfs/dbraw/zinc/29/24/58/526292458.db2.gz VGGVKLZYFJTHME-UHFFFAOYSA-N 0 0 297.318 2.642 20 5 CFBDRN O=C([O-])[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000050509159 526313254 /nfs/dbraw/zinc/31/32/54/526313254.db2.gz QWLZLLXUYBSHOC-CQSZACIVSA-N 0 0 286.287 2.510 20 5 CFBDRN Cc1noc(C)c1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000339040790 526545801 /nfs/dbraw/zinc/54/58/01/526545801.db2.gz JSFYLSDDGONMQX-UHFFFAOYSA-N 0 0 276.252 2.844 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H]1F ZINC000340799842 526604256 /nfs/dbraw/zinc/60/42/56/526604256.db2.gz PDXDAQZRRAVEFE-QWHCGFSZSA-N 0 0 280.299 2.534 20 5 CFBDRN CC(C)(C)[C@H](CCO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000139600178 526691685 /nfs/dbraw/zinc/69/16/85/526691685.db2.gz PUSLFWJLKKMJBX-LBPRGKRZSA-N 0 0 295.339 2.513 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC2(CC2)CC1 ZINC000370887799 526718335 /nfs/dbraw/zinc/71/83/35/526718335.db2.gz YCJXCJJTNOWSML-UHFFFAOYSA-N 0 0 266.322 2.673 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@H]1CC=CCC1 ZINC000049379712 526725865 /nfs/dbraw/zinc/72/58/65/526725865.db2.gz WYYHEOXGHPGJHZ-LBPRGKRZSA-N 0 0 260.293 2.567 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(F)cc1 ZINC000049412915 526726412 /nfs/dbraw/zinc/72/64/12/526726412.db2.gz MKKZMOWFJNWDIA-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN Cc1nc(C)c(CNc2ncc(Cl)cc2[N+](=O)[O-])o1 ZINC000336815128 526726757 /nfs/dbraw/zinc/72/67/57/526726757.db2.gz HEKQOLFSFDJGJX-UHFFFAOYSA-N 0 0 282.687 2.860 20 5 CFBDRN CC(C)(C)c1nnc(CNc2ccc([N+](=O)[O-])cc2F)[nH]1 ZINC000413414285 526847200 /nfs/dbraw/zinc/84/72/00/526847200.db2.gz HAPJZQGTMTVZTM-UHFFFAOYSA-N 0 0 293.302 2.762 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000336619420 526873486 /nfs/dbraw/zinc/87/34/86/526873486.db2.gz DUVFJDJSWZNSAY-HTQZYQBOSA-N 0 0 272.301 2.585 20 5 CFBDRN COC[C@@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000445644935 536493172 /nfs/dbraw/zinc/49/31/72/536493172.db2.gz YPAMFCNMIMORTA-VIFPVBQESA-N 0 0 266.297 2.514 20 5 CFBDRN COC[C@@H](C)CC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000445642665 536495049 /nfs/dbraw/zinc/49/50/49/536495049.db2.gz FAUWZKWNVOJVIO-VIFPVBQESA-N 0 0 266.297 2.514 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1F)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000335126608 526903911 /nfs/dbraw/zinc/90/39/11/526903911.db2.gz IIISVZFBNQIRLK-WCQYABFASA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H]1CC[C@H](F)C1 ZINC000360356097 527044442 /nfs/dbraw/zinc/04/44/42/527044442.db2.gz UKWRXMWNOFJFIR-VHSXEESVSA-N 0 0 298.339 2.694 20 5 CFBDRN NC(=O)c1ccc(Cn2ccc3c2cccc3[N+](=O)[O-])cc1 ZINC000317331191 527053867 /nfs/dbraw/zinc/05/38/67/527053867.db2.gz KNNPBMZURHMGQJ-UHFFFAOYSA-N 0 0 295.298 2.697 20 5 CFBDRN O=C(N[C@H]1CC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1Cl ZINC000336442781 527065140 /nfs/dbraw/zinc/06/51/40/527065140.db2.gz PVSJIODGFAFVMQ-SFYZADRCSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1Cc1nc(-c2ccc(C)cc2)no1 ZINC000172056089 527090294 /nfs/dbraw/zinc/09/02/94/527090294.db2.gz GTTGUGUNUUOPCI-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=C(Nc1ccc(-c2cc[nH]n2)cc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000315257160 527135316 /nfs/dbraw/zinc/13/53/16/527135316.db2.gz BPOLITDXOLYESE-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2Cc3ccccc3O2)c([N+](=O)[O-])c1 ZINC000317212256 527150854 /nfs/dbraw/zinc/15/08/54/527150854.db2.gz WGIDEVRJIWIVFJ-ZDUSSCGKSA-N 0 0 299.282 2.790 20 5 CFBDRN CC(=O)c1cc(N[C@H](C)c2csnn2)ccc1[N+](=O)[O-] ZINC000413546845 527217073 /nfs/dbraw/zinc/21/70/73/527217073.db2.gz CNBFBNBPLQFGEX-SSDOTTSWSA-N 0 0 292.320 2.822 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)NC1CC1 ZINC000053013383 527261830 /nfs/dbraw/zinc/26/18/30/527261830.db2.gz YRVQPNQUHQCVIY-UHFFFAOYSA-N 0 0 255.661 2.532 20 5 CFBDRN O=C(Cc1ccccc1)Nc1ccc([N+](=O)[O-])cc1F ZINC000339193941 527283865 /nfs/dbraw/zinc/28/38/65/527283865.db2.gz IRWHWTUFXUATGU-UHFFFAOYSA-N 0 0 274.251 2.915 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C)[C@@H]1C ZINC000172662343 527311651 /nfs/dbraw/zinc/31/16/51/527311651.db2.gz ORIALHQSUNNTAS-KOLCDFICSA-N 0 0 277.324 2.559 20 5 CFBDRN CC(=O)c1ccc(NCc2ccc(F)cn2)c([N+](=O)[O-])c1 ZINC000351855307 527318492 /nfs/dbraw/zinc/31/84/92/527318492.db2.gz SSHMROFTWLLFLH-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)c2ccn(C)n2)c([N+](=O)[O-])c1 ZINC000413455155 527320612 /nfs/dbraw/zinc/32/06/12/527320612.db2.gz JPHRKKICWLWHGB-SECBINFHSA-N 0 0 288.307 2.704 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3ncsc3Cl)no2)c1 ZINC000361360490 527323581 /nfs/dbraw/zinc/32/35/81/527323581.db2.gz QYBXFMFGBODVKL-UHFFFAOYSA-N 0 0 297.683 2.750 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC(C(C)(C)C)CC1 ZINC000336374822 527327112 /nfs/dbraw/zinc/32/71/12/527327112.db2.gz WAOMMYSWMXXABN-UHFFFAOYSA-N 0 0 293.367 2.832 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC[C@H](c2ccccc2)C1 ZINC000334352024 527327399 /nfs/dbraw/zinc/32/73/99/527327399.db2.gz GSEGNEBVALEUPV-ZDUSSCGKSA-N 0 0 299.330 2.563 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCCC[C@H]1CCO ZINC000050445203 527332124 /nfs/dbraw/zinc/33/21/24/527332124.db2.gz UXQFBOIDFXQESM-ZDUSSCGKSA-N 0 0 298.770 2.985 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H]1CCC[C@@H](O)C1 ZINC000153827720 527335664 /nfs/dbraw/zinc/33/56/64/527335664.db2.gz LRSRSTRNSGTQKJ-PSASIEDQSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCc2ccccc2F)nc1 ZINC000360063870 527345334 /nfs/dbraw/zinc/34/53/34/527345334.db2.gz PXIJAAKZWMAVNE-UHFFFAOYSA-N 0 0 275.283 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)c(Cn2ccnc2)c1 ZINC000338558575 527346913 /nfs/dbraw/zinc/34/69/13/527346913.db2.gz YMOMQZVZLSLDTB-UHFFFAOYSA-N 0 0 271.198 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(N(C[C@@H]2CCOC2)C2CC2)cc1 ZINC000167538867 527358322 /nfs/dbraw/zinc/35/83/22/527358322.db2.gz ITEILVXNZJZYEP-NSHDSACASA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(c3ccccc3F)C2)cn1 ZINC000360109841 527361467 /nfs/dbraw/zinc/36/14/67/527361467.db2.gz JMGKMOYFGBEXCB-UHFFFAOYSA-N 0 0 273.267 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2Cc3ccccc3C2)nc1 ZINC000169110160 527363703 /nfs/dbraw/zinc/36/37/03/527363703.db2.gz DMVPWPBKWBSNBK-UHFFFAOYSA-N 0 0 255.277 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCOC2)c2cccnc21 ZINC000236421015 527365148 /nfs/dbraw/zinc/36/51/48/527365148.db2.gz GHDCRZCPXVYCAK-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccsc2)cc1 ZINC000218924449 527365435 /nfs/dbraw/zinc/36/54/35/527365435.db2.gz XYJSJCJPKJQAGM-LBPRGKRZSA-N 0 0 264.306 2.802 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@@H]2OC(F)F)nc1 ZINC000336880739 527366694 /nfs/dbraw/zinc/36/66/94/527366694.db2.gz AEPMTTIRVYMOEY-ZJUUUORDSA-N 0 0 287.266 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOc2ccccc2CO)cc1 ZINC000192801509 527372540 /nfs/dbraw/zinc/37/25/40/527372540.db2.gz GXFGUSMVSVABEZ-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1CC(F)(F)C1 ZINC000336168969 527373406 /nfs/dbraw/zinc/37/34/06/527373406.db2.gz BNZULWBGZZCIIA-UHFFFAOYSA-N 0 0 256.208 2.579 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@H]3CCC[C@@H](O)C3)n2)c1 ZINC000314268707 527383482 /nfs/dbraw/zinc/38/34/82/527383482.db2.gz XNGIGGNNZUOHIB-CMPLNLGQSA-N 0 0 289.291 2.663 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3CC[C@@H](F)C3)c21 ZINC000340942250 527395858 /nfs/dbraw/zinc/39/58/58/527395858.db2.gz DHEFMQGHSHTLOR-BDAKNGLRSA-N 0 0 276.271 2.841 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ncnc2c1CCCC2 ZINC000094872113 527400855 /nfs/dbraw/zinc/40/08/55/527400855.db2.gz VECOYTBQBWROMI-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1nc(C2CC2)ns1 ZINC000087641589 527400982 /nfs/dbraw/zinc/40/09/82/527400982.db2.gz WFAODPAWIIXQAQ-UHFFFAOYSA-N 0 0 276.321 2.936 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1ccccc1-c1nc[nH]n1 ZINC000158105808 527401131 /nfs/dbraw/zinc/40/11/31/527401131.db2.gz ZBNGGCVXSIOYFK-UHFFFAOYSA-N 0 0 296.286 2.959 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCOCC1CC1 ZINC000061174448 527402609 /nfs/dbraw/zinc/40/26/09/527402609.db2.gz WFPHKESSBMLNNZ-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nc(-c2ccncc2)no1 ZINC000076421716 527404287 /nfs/dbraw/zinc/40/42/87/527404287.db2.gz IHIPKBCHIQXTBX-UHFFFAOYSA-N 0 0 298.258 2.619 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2COc3ccc(F)cc32)s1 ZINC000337021875 527410779 /nfs/dbraw/zinc/41/07/79/527410779.db2.gz SEPPISLUQAFZNK-MRVPVSSYSA-N 0 0 281.268 2.736 20 5 CFBDRN O=[N+]([O-])c1cnccc1Oc1ccc2nccnc2c1 ZINC000361072828 527413708 /nfs/dbraw/zinc/41/37/08/527413708.db2.gz KEKXUSIAOPDLFW-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN Nc1c(C(=O)Nc2cccc(F)c2F)cccc1[N+](=O)[O-] ZINC000157324084 527429602 /nfs/dbraw/zinc/42/96/02/527429602.db2.gz BEVCELWFBPPWCV-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN Cn1ccnc1Sc1c(F)cccc1[N+](=O)[O-] ZINC000334420154 527448857 /nfs/dbraw/zinc/44/88/57/527448857.db2.gz SRWVSMBFKDBEKF-UHFFFAOYSA-N 0 0 253.258 2.619 20 5 CFBDRN O=C(NC1CC1)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000336307948 527487806 /nfs/dbraw/zinc/48/78/06/527487806.db2.gz GWUAQNMHYBFYAH-GFCCVEGCSA-N 0 0 289.335 2.646 20 5 CFBDRN O=C(NCC12CCC(CC1)C2)c1csc([N+](=O)[O-])c1 ZINC000339853569 527586708 /nfs/dbraw/zinc/58/67/08/527586708.db2.gz INKVYXUUURXBIB-UHFFFAOYSA-N 0 0 280.349 2.966 20 5 CFBDRN O=C([O-])CC[C@H]1CCCC[N@@H+]1Cc1csc([N+](=O)[O-])c1 ZINC000086754856 527599599 /nfs/dbraw/zinc/59/95/99/527599599.db2.gz BQTCBXMTVGJSIY-LLVKDONJSA-N 0 0 298.364 2.876 20 5 CFBDRN O=C([O-])C[N@@H+](Cc1ccc(Cl)cc1[N+](=O)[O-])CC1CC1 ZINC000050444706 527606172 /nfs/dbraw/zinc/60/61/72/527606172.db2.gz WGTJIBDELKZJHH-UHFFFAOYSA-N 0 0 298.726 2.545 20 5 CFBDRN CC(C)(C)CC[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000426757550 527678157 /nfs/dbraw/zinc/67/81/57/527678157.db2.gz DEAOWAUBNGRDAP-ZDUSSCGKSA-N 0 0 294.351 2.964 20 5 CFBDRN Cc1nn(C)c(NCCCOc2ccccc2)c1[N+](=O)[O-] ZINC000048334915 527678252 /nfs/dbraw/zinc/67/82/52/527678252.db2.gz WFEMUDGPUOBWKD-UHFFFAOYSA-N 0 0 290.323 2.518 20 5 CFBDRN CC(C)[C@H]1CCCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000409647117 527779307 /nfs/dbraw/zinc/77/93/07/527779307.db2.gz YTLWDILKDCFURY-VIFPVBQESA-N 0 0 255.343 2.924 20 5 CFBDRN CC(C)OC(=O)[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C(C)C ZINC000413324794 527846747 /nfs/dbraw/zinc/84/67/47/527846747.db2.gz UWOPTQIQKZWOLR-NSHDSACASA-N 0 0 299.302 2.517 20 5 CFBDRN CC(C)OCCCCNc1ncc([N+](=O)[O-])cc1F ZINC000413241343 527930476 /nfs/dbraw/zinc/93/04/76/527930476.db2.gz KDFNJRPGUGFTSQ-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN CC(C)CN(c1ncc([N+](=O)[O-])cc1F)C1CC1 ZINC000413047688 527955270 /nfs/dbraw/zinc/95/52/70/527955270.db2.gz RHIBRRIPDLWTQQ-UHFFFAOYSA-N 0 0 253.277 2.754 20 5 CFBDRN CC1(CNc2nccc3ccc([N+](=O)[O-])cc32)COC1 ZINC000413140278 527989895 /nfs/dbraw/zinc/98/98/95/527989895.db2.gz OIAYMXMJVLDYDU-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN CCCC1(CNC(=O)c2nn(CC)cc2[N+](=O)[O-])CCC1 ZINC000191668415 528060278 /nfs/dbraw/zinc/06/02/78/528060278.db2.gz KZARSKRHNAEVOX-UHFFFAOYSA-N 0 0 294.355 2.511 20 5 CFBDRN CCCC1(CNC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000177603388 528060329 /nfs/dbraw/zinc/06/03/29/528060329.db2.gz IFCWCQXXGKTGFG-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000413383996 528074085 /nfs/dbraw/zinc/07/40/85/528074085.db2.gz CYQDWSCFVCUJKV-BJHJDKERSA-N 0 0 287.319 2.544 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000413387203 528105961 /nfs/dbraw/zinc/10/59/61/528105961.db2.gz NFGMOZAGFFNMOF-KLPPZKSPSA-N 0 0 250.298 2.883 20 5 CFBDRN CCOC(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 ZINC000133286822 528135656 /nfs/dbraw/zinc/13/56/56/528135656.db2.gz RUIMTOLMSFJAES-UHFFFAOYSA-N 0 0 288.097 2.842 20 5 CFBDRN CCOc1cc(NCC(C)(C)OC)ccc1[N+](=O)[O-] ZINC000135772003 528325501 /nfs/dbraw/zinc/32/55/01/528325501.db2.gz OSBYSOQYVYCESK-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN CC(C)[C@@H](CO)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413288281 528472929 /nfs/dbraw/zinc/47/29/29/528472929.db2.gz DISRPHCLNREXPY-GFCCVEGCSA-N 0 0 289.335 2.819 20 5 CFBDRN CCC(C)(C)OCCNc1ncc([N+](=O)[O-])cc1F ZINC000413361827 528594348 /nfs/dbraw/zinc/59/43/48/528594348.db2.gz AHFKTSCRRNKFPU-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN CCCCOCCNC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000174140491 528608388 /nfs/dbraw/zinc/60/83/88/528608388.db2.gz WYSURUQEVAXUQH-UHFFFAOYSA-N 0 0 294.351 2.704 20 5 CFBDRN CCCCOCCOc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC000413018367 528615047 /nfs/dbraw/zinc/61/50/47/528615047.db2.gz QXXQALGHJRMCJB-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CCCc1nc(C)c(CNc2ncc([N+](=O)[O-])cc2F)o1 ZINC000413298259 528737252 /nfs/dbraw/zinc/73/72/52/528737252.db2.gz GJDXPNVYGJJJIG-UHFFFAOYSA-N 0 0 294.286 2.990 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000413434002 528803193 /nfs/dbraw/zinc/80/31/93/528803193.db2.gz JOKMOMITIWJTOW-CMPLNLGQSA-N 0 0 289.335 2.936 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000177665375 528848155 /nfs/dbraw/zinc/84/81/55/528848155.db2.gz KBOQQPVIXDRFHR-UHFFFAOYSA-N 0 0 291.332 2.714 20 5 CFBDRN CCC[C@@H](C)C[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000426823971 528881672 /nfs/dbraw/zinc/88/16/72/528881672.db2.gz KUKXGOQCYIXLLK-BXUZGUMPSA-N 0 0 294.351 2.964 20 5 CFBDRN CCOC[C@H](Nc1ncc([N+](=O)[O-])cc1F)C(C)C ZINC000413257790 528995147 /nfs/dbraw/zinc/99/51/47/528995147.db2.gz FVRNGFDIRIPPKH-NSHDSACASA-N 0 0 271.292 2.602 20 5 CFBDRN CCn1ccc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2C)n1 ZINC000414213598 529172699 /nfs/dbraw/zinc/17/26/99/529172699.db2.gz UQWWGJDYUJXLAL-LBPRGKRZSA-N 0 0 288.351 2.970 20 5 CFBDRN CC[C@@H](C)CNC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000421919357 529320976 /nfs/dbraw/zinc/32/09/76/529320976.db2.gz QJGPEGGARJCREK-LLVKDONJSA-N 0 0 292.335 2.720 20 5 CFBDRN CC[C@H](CCO)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413153780 529332952 /nfs/dbraw/zinc/33/29/52/529332952.db2.gz LZQNUKQZMIROKY-LLVKDONJSA-N 0 0 275.308 2.716 20 5 CFBDRN CO[C@@H]([C@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000454137571 536548138 /nfs/dbraw/zinc/54/81/38/536548138.db2.gz MFFQPVTYKVNNQG-ZANVPECISA-N 0 0 293.323 2.530 20 5 CFBDRN CC(C)CCn1cc([N+](=O)[O-])cc(Br)c1=O ZINC000267967433 534987528 /nfs/dbraw/zinc/98/75/28/534987528.db2.gz AWQKTEKACMNFMY-UHFFFAOYSA-N 0 0 289.129 2.565 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])s1)C1(O)CCCC1 ZINC000450915346 535156719 /nfs/dbraw/zinc/15/67/19/535156719.db2.gz PMHWKKBTKVOBKF-UHFFFAOYSA-N 0 0 285.369 2.795 20 5 CFBDRN CC(C)[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]1C(C)C ZINC000454305900 535228585 /nfs/dbraw/zinc/22/85/85/535228585.db2.gz BMIGXDCKNKIIJU-YPMHNXCESA-N 0 0 279.340 2.676 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000446323753 535249486 /nfs/dbraw/zinc/24/94/86/535249486.db2.gz HOZBPXOJXWHDFK-CYBMUJFWSA-N 0 0 276.336 2.642 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)Nc2cccc(F)c2)c1[N+](=O)[O-] ZINC000433835849 535324142 /nfs/dbraw/zinc/32/41/42/535324142.db2.gz JECXDSRYZDMZIG-UHFFFAOYSA-N 0 0 292.270 2.833 20 5 CFBDRN CC(C)CC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])n1C ZINC000434184676 535383830 /nfs/dbraw/zinc/38/38/30/535383830.db2.gz VCTMMAKOMHUMOA-UHFFFAOYSA-N 0 0 281.356 2.735 20 5 CFBDRN CCOC(=O)C1CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000048330942 535603623 /nfs/dbraw/zinc/60/36/23/535603623.db2.gz PMJSLSTZLVNMJS-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1Nc1ncccc1[N+](=O)[O-] ZINC000450942124 535744841 /nfs/dbraw/zinc/74/48/41/535744841.db2.gz URLRKMNMKGZKQC-ZYHUDNBSSA-N 0 0 265.313 2.749 20 5 CFBDRN CC[C@@H](NC(=O)c1nn(CC)cc1[N+](=O)[O-])C1CCCC1 ZINC000456669152 535802680 /nfs/dbraw/zinc/80/26/80/535802680.db2.gz WCFTWVOLXNHNLT-LLVKDONJSA-N 0 0 294.355 2.510 20 5 CFBDRN CC[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(F)F ZINC000452245676 535869629 /nfs/dbraw/zinc/86/96/29/535869629.db2.gz NDPVXIDXOPLNIO-QMMMGPOBSA-N 0 0 297.261 2.850 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)[C@H](C)O ZINC000456272985 535873292 /nfs/dbraw/zinc/87/32/92/535873292.db2.gz WBOSFORCYQKNPF-GWCFXTLKSA-N 0 0 294.351 2.607 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000452768784 535915940 /nfs/dbraw/zinc/91/59/40/535915940.db2.gz VVXBBTYAFSMTHM-PWSUYJOCSA-N 0 0 292.335 2.688 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000448795837 535941481 /nfs/dbraw/zinc/94/14/81/535941481.db2.gz WWSJAKWSIVZXDB-IUCAKERBSA-N 0 0 298.314 2.907 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCC1CCOCC1 ZINC000450565417 535969844 /nfs/dbraw/zinc/96/98/44/535969844.db2.gz ZCKYUTDVQHJHJY-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN CCc1ccnc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c1 ZINC000341917123 535995282 /nfs/dbraw/zinc/99/52/82/535995282.db2.gz METXRLIUYLORLM-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000275973816 536060191 /nfs/dbraw/zinc/06/01/91/536060191.db2.gz BOBJKNMALCSCIO-ZDUSSCGKSA-N 0 0 268.288 2.739 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000269178129 536146076 /nfs/dbraw/zinc/14/60/76/536146076.db2.gz HJVIWSIEGALIIE-QMMMGPOBSA-N 0 0 270.260 2.536 20 5 CFBDRN CN(CC(C)(C)C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000263735567 536177880 /nfs/dbraw/zinc/17/78/80/536177880.db2.gz HPOWTZAXTYKMMH-UHFFFAOYSA-N 0 0 279.340 2.782 20 5 CFBDRN COC(=O)Cc1ccc(NCC2(C)CCC2)c([N+](=O)[O-])c1 ZINC000450373824 536367135 /nfs/dbraw/zinc/36/71/35/536367135.db2.gz UXFRGPJQSYALHK-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000266024212 536601666 /nfs/dbraw/zinc/60/16/66/536601666.db2.gz BBDXGZDJMUYIJH-ZIAGYGMSSA-N 0 0 292.335 2.591 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])cn2)CCC(C)CC1 ZINC000359934268 536686752 /nfs/dbraw/zinc/68/67/52/536686752.db2.gz MEJFZYXTLATXMZ-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN COc1ccc(NC(=O)/C=C\C2CCC2)cc1[N+](=O)[O-] ZINC000492412789 536715385 /nfs/dbraw/zinc/71/53/85/536715385.db2.gz VZBLTGLDLLUGEY-YVMONPNESA-N 0 0 276.292 2.898 20 5 CFBDRN COCCN(C(=O)Nc1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000446489151 536724096 /nfs/dbraw/zinc/72/40/96/536724096.db2.gz VEMIHNPASZRXDN-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN CC1(NC(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CCC1 ZINC000935080818 649872338 /nfs/dbraw/zinc/87/23/38/649872338.db2.gz VBOIMFQOGUYYIV-QWHCGFSZSA-N 0 0 274.320 2.757 20 5 CFBDRN C[C@]1(C(=O)NCCc2cccc([N+](=O)[O-])c2)C=CCC1 ZINC000935114555 649877151 /nfs/dbraw/zinc/87/71/51/649877151.db2.gz LDKRIPHNPRGDKA-HNNXBMFYSA-N 0 0 274.320 2.610 20 5 CFBDRN CCN(CC(F)F)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935370530 649902107 /nfs/dbraw/zinc/90/21/07/649902107.db2.gz PQGMSPQEAVJMJK-NEPJUHHUSA-N 0 0 298.289 2.812 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)C(F)(F)F ZINC000744026489 574049835 /nfs/dbraw/zinc/04/98/35/574049835.db2.gz OKUYYVNZTFKZGH-ZCFIWIBFSA-N 0 0 293.197 2.707 20 5 CFBDRN C[C@H](C(=O)OCc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000744077998 574052290 /nfs/dbraw/zinc/05/22/90/574052290.db2.gz FSRGJOUFIPBOFH-SSDOTTSWSA-N 0 0 277.198 2.836 20 5 CFBDRN CN(CCOC(=O)CC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000744074257 574052312 /nfs/dbraw/zinc/05/23/12/574052312.db2.gz KYVAXMXHTXIBNS-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1nc(COC(=O)c2ccc([N+](=O)[O-])o2)sc1C ZINC000744255486 574062471 /nfs/dbraw/zinc/06/24/71/574062471.db2.gz PCGBNFMLTKNNHD-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN CCc1cc(CNc2ccc(C)cc2[N+](=O)[O-])[nH]n1 ZINC000754056507 574066903 /nfs/dbraw/zinc/06/69/03/574066903.db2.gz KOUJQJCBXIEGMT-UHFFFAOYSA-N 0 0 260.297 2.801 20 5 CFBDRN C[C@H]1OCC[C@@H]1Sc1nc2ccccn2c1[N+](=O)[O-] ZINC000754075729 574069400 /nfs/dbraw/zinc/06/94/00/574069400.db2.gz NINMMNFEYYTJRI-BDAKNGLRSA-N 0 0 279.321 2.512 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1cc([N+](=O)[O-])ccc1OC ZINC000754122619 574075589 /nfs/dbraw/zinc/07/55/89/574075589.db2.gz OKSLPQLCDXEBTD-ICWBMWKASA-N 0 0 277.276 2.779 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCOC1CCC1 ZINC000750771446 574076463 /nfs/dbraw/zinc/07/64/63/574076463.db2.gz ORKFFHVTAKVEAM-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1cc(C(=O)Oc2cccc([N+](=O)[O-])c2C)nc(C)n1 ZINC000754141366 574076985 /nfs/dbraw/zinc/07/69/85/574076985.db2.gz AUBQEYICVMECFP-UHFFFAOYSA-N 0 0 287.275 2.529 20 5 CFBDRN CCCc1ccc(Nc2ncc([N+](=O)[O-])c(N)n2)cc1 ZINC000728049085 574077974 /nfs/dbraw/zinc/07/79/74/574077974.db2.gz MPVDZDFVAKVCFE-UHFFFAOYSA-N 0 0 273.296 2.663 20 5 CFBDRN Cc1sc(C(=O)OCCOCC2CC2)cc1[N+](=O)[O-] ZINC000744681766 574083640 /nfs/dbraw/zinc/08/36/40/574083640.db2.gz MQADABZMBXMFKT-UHFFFAOYSA-N 0 0 285.321 2.548 20 5 CFBDRN C[C@@H](OC(=O)C(C)(C)C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000744765683 574085478 /nfs/dbraw/zinc/08/54/78/574085478.db2.gz CWOODRPWEFYGOJ-SECBINFHSA-N 0 0 294.307 2.511 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1ccc(C)cc1[N+](=O)[O-] ZINC000754066085 574087210 /nfs/dbraw/zinc/08/72/10/574087210.db2.gz SXYCKHCXAVDNNS-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN CCSCCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000744776866 574088025 /nfs/dbraw/zinc/08/80/25/574088025.db2.gz ZXIURWOHAWEZIZ-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN CC(=O)CCCOC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730645551 574090684 /nfs/dbraw/zinc/09/06/84/574090684.db2.gz YBROHRRTSTZNEY-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN O=C(OCc1ccon1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000745065994 574099458 /nfs/dbraw/zinc/09/94/58/574099458.db2.gz SFOVVHZSKTUMRZ-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN CC(C)(C(=O)OCc1ccon1)c1ccc([N+](=O)[O-])cc1 ZINC000745074656 574099562 /nfs/dbraw/zinc/09/95/62/574099562.db2.gz UVRJQQSXMPULME-UHFFFAOYSA-N 0 0 290.275 2.604 20 5 CFBDRN CC(C)C(=O)COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000730844831 574099739 /nfs/dbraw/zinc/09/97/39/574099739.db2.gz ZVBKJHKZZZSOTF-UHFFFAOYSA-N 0 0 285.683 2.630 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000754574351 574104224 /nfs/dbraw/zinc/10/42/24/574104224.db2.gz RBTMYZUJBGTYPA-LBPRGKRZSA-N 0 0 281.308 2.875 20 5 CFBDRN COCC[C@@H](C)OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000754585244 574105412 /nfs/dbraw/zinc/10/54/12/574105412.db2.gz QWZHDGDEOLLCLS-UIJKISKDSA-N 0 0 279.292 2.576 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000754584438 574105462 /nfs/dbraw/zinc/10/54/62/574105462.db2.gz NWPXUQIFADTSGM-SECBINFHSA-N 0 0 292.291 2.658 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1COc2ccccc2C1 ZINC000731027559 574106971 /nfs/dbraw/zinc/10/69/71/574106971.db2.gz LQJIHTHQBKNMMD-GFCCVEGCSA-N 0 0 299.330 2.961 20 5 CFBDRN C[C@@H](OC(=O)c1cscn1)c1ccccc1[N+](=O)[O-] ZINC000728770194 574107541 /nfs/dbraw/zinc/10/75/41/574107541.db2.gz QLENTURGWXFICF-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN C[C@H](OC(=O)c1cscn1)c1ccccc1[N+](=O)[O-] ZINC000728770195 574107579 /nfs/dbraw/zinc/10/75/79/574107579.db2.gz QLENTURGWXFICF-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN O=C(CC1CCC1)OCc1csc([N+](=O)[O-])c1 ZINC000745570268 574118538 /nfs/dbraw/zinc/11/85/38/574118538.db2.gz BOAAKZDVVCDNAF-UHFFFAOYSA-N 0 0 255.295 2.890 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])s1 ZINC000754749267 574118723 /nfs/dbraw/zinc/11/87/23/574118723.db2.gz RHFWLEUDKNIKHI-SECBINFHSA-N 0 0 285.321 2.772 20 5 CFBDRN O=C(CCC1CCOCC1)OCc1ccccc1[N+](=O)[O-] ZINC000745658057 574121978 /nfs/dbraw/zinc/12/19/78/574121978.db2.gz SOMUPHRGWUEZDA-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN C[C@H](OC(=O)c1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000728857397 574123140 /nfs/dbraw/zinc/12/31/40/574123140.db2.gz ODRZQLMYVGSHQH-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)OCc2ccccc2[N+](=O)[O-])O1 ZINC000745706329 574123334 /nfs/dbraw/zinc/12/33/34/574123334.db2.gz SIRIYIZXQWQKLV-YPMHNXCESA-N 0 0 293.319 2.986 20 5 CFBDRN CC(C)c1ocnc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000731876595 574131792 /nfs/dbraw/zinc/13/17/92/574131792.db2.gz FOILPSWTJUHSEH-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755010095 574132156 /nfs/dbraw/zinc/13/21/56/574132156.db2.gz IBVBLTGWLZIVBL-JUDLJHIGSA-N 0 0 291.303 2.909 20 5 CFBDRN CCCC(=O)COC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755011529 574132192 /nfs/dbraw/zinc/13/21/92/574132192.db2.gz KCTGAFFULQXXLX-PKNBQFBNSA-N 0 0 291.303 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC3(O)CCC3)sc2c1 ZINC000731902974 574133216 /nfs/dbraw/zinc/13/32/16/574133216.db2.gz SJRSGYLVNUMSCF-UHFFFAOYSA-N 0 0 279.321 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(Cc3cscn3)c2)cc1 ZINC000728910897 574136218 /nfs/dbraw/zinc/13/62/18/574136218.db2.gz VFJYEABBNWYUIQ-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN Cc1ccc(CCNc2nc3ccccn3c2[N+](=O)[O-])cn1 ZINC000732049946 574140826 /nfs/dbraw/zinc/14/08/26/574140826.db2.gz FTBUVUKTJZERIZ-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN Cc1cc(F)ccc1OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000755132456 574141254 /nfs/dbraw/zinc/14/12/54/574141254.db2.gz RYJKKLNNXXCVOB-UHFFFAOYSA-N 0 0 278.239 2.600 20 5 CFBDRN COc1cccc([C@@H](O)CNc2ccsc2[N+](=O)[O-])c1 ZINC000732050815 574141278 /nfs/dbraw/zinc/14/12/78/574141278.db2.gz UYMOSQAXFIATGQ-LBPRGKRZSA-N 0 0 294.332 2.810 20 5 CFBDRN C[C@H]1CSCCN1C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000737462755 574143601 /nfs/dbraw/zinc/14/36/01/574143601.db2.gz WMJQRAQSXYQBJL-VIFPVBQESA-N 0 0 297.405 2.729 20 5 CFBDRN Cc1ncsc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728995006 574144514 /nfs/dbraw/zinc/14/45/14/574144514.db2.gz IIQKTMXFIHMFDZ-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1cc(NC[C@@H]2CC2(Cl)Cl)ncc1[N+](=O)[O-] ZINC000746389987 574147391 /nfs/dbraw/zinc/14/73/91/574147391.db2.gz YKMLBMOXMGVUOK-ZETCQYMHSA-N 0 0 276.123 2.904 20 5 CFBDRN COC[C@@H](C)CC(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000755224698 574148007 /nfs/dbraw/zinc/14/80/07/574148007.db2.gz XZASHQGKSDCKFS-WDEREUQCSA-N 0 0 281.308 2.872 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000755248812 574149758 /nfs/dbraw/zinc/14/97/58/574149758.db2.gz JRPIDQUHWBQYIX-GXFFZTMASA-N 0 0 279.292 2.766 20 5 CFBDRN Cc1ccc(OC(=O)C2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000755252154 574150211 /nfs/dbraw/zinc/15/02/11/574150211.db2.gz YUHGJXMELCPKCG-UHFFFAOYSA-N 0 0 271.219 2.854 20 5 CFBDRN Cc1cccc(OS(=O)(=O)C2CCCCC2)c1[N+](=O)[O-] ZINC000755286004 574152256 /nfs/dbraw/zinc/15/22/56/574152256.db2.gz UKNHLLJUXMXJGF-UHFFFAOYSA-N 0 0 299.348 2.945 20 5 CFBDRN CN(C(=S)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCSC1 ZINC000755403183 574160278 /nfs/dbraw/zinc/16/02/78/574160278.db2.gz QUJCPTLNKNGIDH-NSHDSACASA-N 0 0 297.405 2.729 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1cccc(O)c1 ZINC000746903034 574170072 /nfs/dbraw/zinc/17/00/72/574170072.db2.gz GLWSHQMOSKVEQY-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746907252 574170106 /nfs/dbraw/zinc/17/01/06/574170106.db2.gz RJHFIWDGQBKBSP-IAROGAJJSA-N 0 0 265.240 2.909 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1ccco1 ZINC000746902804 574170530 /nfs/dbraw/zinc/17/05/30/574170530.db2.gz DEXUTUHTFHKIPH-UHFFFAOYSA-N 0 0 265.196 2.684 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000729082647 574171461 /nfs/dbraw/zinc/17/14/61/574171461.db2.gz UVVWVTOJUBFBPQ-VIFPVBQESA-N 0 0 254.286 2.525 20 5 CFBDRN C[C@@H](OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000729084699 574172189 /nfs/dbraw/zinc/17/21/89/574172189.db2.gz ZFJNQCCWAJEQGP-SNVBAGLBSA-N 0 0 288.303 2.891 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000729086981 574172834 /nfs/dbraw/zinc/17/28/34/574172834.db2.gz IQPJCUPJLUWHJG-SVRRBLITSA-N 0 0 255.295 2.778 20 5 CFBDRN COCCCCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746970778 574173625 /nfs/dbraw/zinc/17/36/25/574173625.db2.gz QXLURBCVWOEYQR-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCNc1nccs1 ZINC000746988972 574174678 /nfs/dbraw/zinc/17/46/78/574174678.db2.gz AYAYHXJWCLTRMJ-UHFFFAOYSA-N 0 0 264.310 2.575 20 5 CFBDRN CCNc1ccc(C(=O)O[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000738574311 574177410 /nfs/dbraw/zinc/17/74/10/574177410.db2.gz ORCKAQCXIKQFJM-VIFPVBQESA-N 0 0 266.297 2.982 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCCF ZINC000755694098 574178399 /nfs/dbraw/zinc/17/83/99/574178399.db2.gz VVOAQHWUMJPVBR-HJWRWDBZSA-N 0 0 253.229 2.511 20 5 CFBDRN COC[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000747165351 574184223 /nfs/dbraw/zinc/18/42/23/574184223.db2.gz RBUBNFTYBCHDPT-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN Cn1c(C(=O)OCCSC(C)(C)C)ccc1[N+](=O)[O-] ZINC000733063995 574184942 /nfs/dbraw/zinc/18/49/42/574184942.db2.gz KCKPJLKBMNHCPT-UHFFFAOYSA-N 0 0 286.353 2.622 20 5 CFBDRN C[C@@H]1CC(OC(=O)Cc2ccccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000756033491 574205680 /nfs/dbraw/zinc/20/56/80/574205680.db2.gz TZOKIIQSLZVJPS-GHMZBOCLSA-N 0 0 293.319 2.637 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCCC[C@H]1CCCO1 ZINC000756060409 574207140 /nfs/dbraw/zinc/20/71/40/574207140.db2.gz NGJFTXUBSQKQCC-CYBMUJFWSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCCF ZINC000739321366 574207589 /nfs/dbraw/zinc/20/75/89/574207589.db2.gz GUCFHNYXSRSANM-UHFFFAOYSA-N 0 0 291.662 2.530 20 5 CFBDRN C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCCF ZINC000739324225 574207638 /nfs/dbraw/zinc/20/76/38/574207638.db2.gz AMLNOJIOXXTLOV-ZETCQYMHSA-N 0 0 291.662 2.528 20 5 CFBDRN C[C@@H](NCc1cccc(F)n1)c1ccc([N+](=O)[O-])cc1 ZINC000747744661 574209754 /nfs/dbraw/zinc/20/97/54/574209754.db2.gz PPJOQCNYINSIIR-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1ccc([N+](=O)[O-])cc1F ZINC000756129555 574211693 /nfs/dbraw/zinc/21/16/93/574211693.db2.gz ZMYYENNVQQXJCG-VHSXEESVSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1cc(OCc2ccc([N+](=O)[O-])cc2F)nnc1C ZINC000747808253 574213218 /nfs/dbraw/zinc/21/32/18/574213218.db2.gz FMAVXIPVCGUGHF-UHFFFAOYSA-N 0 0 277.255 2.720 20 5 CFBDRN C[C@@H](OC(=O)Cn1cc([N+](=O)[O-])cn1)C1CCCCCC1 ZINC000756164923 574214716 /nfs/dbraw/zinc/21/47/16/574214716.db2.gz HRHKFPDEUIXKJE-LLVKDONJSA-N 0 0 295.339 2.693 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]([C@@H]3CCOC3)C2)c(F)c1 ZINC000747844086 574215233 /nfs/dbraw/zinc/21/52/33/574215233.db2.gz KMQFMBFPFXLOEN-VXGBXAGGSA-N 0 0 294.326 2.987 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c(C)c1)C(F)(F)F ZINC000733302846 574216215 /nfs/dbraw/zinc/21/62/15/574216215.db2.gz SYGKEQOILQFPKM-JTQLQIEISA-N 0 0 278.230 2.892 20 5 CFBDRN CCc1ocnc1C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000739512896 574216339 /nfs/dbraw/zinc/21/63/39/574216339.db2.gz GECNCZWQCVOFKK-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN CSCCC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000729473614 574218362 /nfs/dbraw/zinc/21/83/62/574218362.db2.gz NKFKXQWRHORLNQ-VIFPVBQESA-N 0 0 269.322 2.952 20 5 CFBDRN Cc1noc([C@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000729684756 574228479 /nfs/dbraw/zinc/22/84/79/574228479.db2.gz VSHSHUFZDSRDMK-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN Cc1ccc(C[NH2+][C@H](CC(C)(C)C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000756359192 574229436 /nfs/dbraw/zinc/22/94/36/574229436.db2.gz BIJNQFYAHHFOAU-GFCCVEGCSA-N 0 0 294.351 2.882 20 5 CFBDRN CC(C)(C)[C@H](CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000756361937 574229531 /nfs/dbraw/zinc/22/95/31/574229531.db2.gz MAALIDHAQMJFOA-LBPRGKRZSA-N 0 0 280.324 2.574 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000756375661 574230647 /nfs/dbraw/zinc/23/06/47/574230647.db2.gz TUVRMWFFLCRABV-MRVPVSSYSA-N 0 0 255.245 2.937 20 5 CFBDRN C[C@H](CC1CCCC1)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000748126563 574230730 /nfs/dbraw/zinc/23/07/30/574230730.db2.gz MUQCFKQNBQHVBE-LLVKDONJSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000756375181 574230884 /nfs/dbraw/zinc/23/08/84/574230884.db2.gz RTGYGARSILEHIG-QMMMGPOBSA-N 0 0 252.270 2.501 20 5 CFBDRN C[C@H](C(=O)OCCOc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000748148930 574232524 /nfs/dbraw/zinc/23/25/24/574232524.db2.gz GHFVYGCLQYVUPU-JTQLQIEISA-N 0 0 279.292 2.563 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000733579909 574247426 /nfs/dbraw/zinc/24/74/26/574247426.db2.gz SNPJSFDRHZYESC-SNVBAGLBSA-N 0 0 279.292 2.613 20 5 CFBDRN COC(=O)c1ccc2c(c1)CN(c1ccc([N+](=O)[O-])cc1)C2 ZINC000730107443 574253348 /nfs/dbraw/zinc/25/33/48/574253348.db2.gz HERWZDLUWPGMJY-UHFFFAOYSA-N 0 0 298.298 2.902 20 5 CFBDRN Cc1c(CC(=O)OCCC2CC2)cccc1[N+](=O)[O-] ZINC000748473279 574253738 /nfs/dbraw/zinc/25/37/38/574253738.db2.gz ZHDCQKCFIJPMLG-UHFFFAOYSA-N 0 0 263.293 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2cccnc2)s1 ZINC000733993567 574268041 /nfs/dbraw/zinc/26/80/41/574268041.db2.gz XRKLCUBCSRMWIL-UHFFFAOYSA-N 0 0 265.294 2.542 20 5 CFBDRN C[C@@H]1C[C@H](C)N(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000740870714 574271536 /nfs/dbraw/zinc/27/15/36/574271536.db2.gz HMFYKPXRCUGXNZ-ZJUUUORDSA-N 0 0 289.335 2.714 20 5 CFBDRN C[Si](C)(C)COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000740944756 574274542 /nfs/dbraw/zinc/27/45/42/574274542.db2.gz OTRKPCTXFPUZHV-UHFFFAOYSA-N 0 0 259.359 2.691 20 5 CFBDRN Cc1c(C(=O)OCC(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] ZINC000748945166 574274663 /nfs/dbraw/zinc/27/46/63/574274663.db2.gz UMOCBVDSWOTZJK-UHFFFAOYSA-N 0 0 296.279 2.933 20 5 CFBDRN C[C@H](OC(=O)C1=COCC1)c1cccc([N+](=O)[O-])c1 ZINC000734194105 574279017 /nfs/dbraw/zinc/27/90/17/574279017.db2.gz PTIXTMGPORREKL-VIFPVBQESA-N 0 0 263.249 2.503 20 5 CFBDRN CN(CC(F)F)C1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000749287136 574287864 /nfs/dbraw/zinc/28/78/64/574287864.db2.gz CFNMSJFSDFZVNT-UHFFFAOYSA-N 0 0 299.321 2.761 20 5 CFBDRN C[C@H](Cc1ccncc1)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000749284549 574287888 /nfs/dbraw/zinc/28/78/88/574287888.db2.gz AOALYDURKWXYDG-LLVKDONJSA-N 0 0 297.318 2.681 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCCCF ZINC000741445151 574289690 /nfs/dbraw/zinc/28/96/90/574289690.db2.gz OONPWVKYQVWJQV-DAXSKMNVSA-N 0 0 253.229 2.511 20 5 CFBDRN C[C@@H](C(=O)Oc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000741506684 574294438 /nfs/dbraw/zinc/29/44/38/574294438.db2.gz YZMZKXXAVUIPPQ-SECBINFHSA-N 0 0 261.233 2.897 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000725739859 574297828 /nfs/dbraw/zinc/29/78/28/574297828.db2.gz DIJPGMQNEWTVKM-UHFFFAOYSA-N 0 0 259.265 2.508 20 5 CFBDRN CCCOCC(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734894136 574307002 /nfs/dbraw/zinc/30/70/02/574307002.db2.gz VZFZXTZKVLMNNF-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN CC[C@@H](C)NC(=S)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000749763468 574307882 /nfs/dbraw/zinc/30/78/82/574307882.db2.gz GUTKTKALJGWLBU-NXEZZACHSA-N 0 0 281.381 2.918 20 5 CFBDRN NC(=NOCc1ccccc1[N+](=O)[O-])c1cccc(F)c1 ZINC000725793611 574309271 /nfs/dbraw/zinc/30/92/71/574309271.db2.gz IYTDXWRWOCIMCR-UHFFFAOYSA-N 0 0 289.266 2.781 20 5 CFBDRN Cc1ccncc1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000741908515 574309393 /nfs/dbraw/zinc/30/93/93/574309393.db2.gz CZZHATSTFBRDLO-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)Cc2ccco2)c1 ZINC000741904154 574309478 /nfs/dbraw/zinc/30/94/78/574309478.db2.gz NRIJMSCSFZZYBV-NSHDSACASA-N 0 0 288.303 2.857 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)C1=COCCC1 ZINC000741931539 574310533 /nfs/dbraw/zinc/31/05/33/574310533.db2.gz HZDDWXVKNLMDMW-UHFFFAOYSA-N 0 0 297.694 2.986 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000741939073 574310938 /nfs/dbraw/zinc/31/09/38/574310938.db2.gz QJUYVTXCKKMKLZ-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN COCCCCCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000735136019 574313093 /nfs/dbraw/zinc/31/30/93/574313093.db2.gz JMQZFHHHYRAHPT-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN O=[N+]([O-])c1c(NC2CCSCC2)nc2ccccn21 ZINC000749894111 574314462 /nfs/dbraw/zinc/31/44/62/574314462.db2.gz XJKPEFRYTKTQEV-UHFFFAOYSA-N 0 0 278.337 2.550 20 5 CFBDRN C[C@@H](CO)CSc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000750029225 574321958 /nfs/dbraw/zinc/32/19/58/574321958.db2.gz FBEKJXSSRJNFTA-ZETCQYMHSA-N 0 0 284.362 2.925 20 5 CFBDRN NC(CC1CC1)=NOCc1c(F)cccc1[N+](=O)[O-] ZINC000742364903 574323948 /nfs/dbraw/zinc/32/39/48/574323948.db2.gz LYOKKTPSRXRSHI-UHFFFAOYSA-N 0 0 267.260 2.532 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@@H]2Nc1ccc([N+](=O)[O-])nc1 ZINC000735487526 574326669 /nfs/dbraw/zinc/32/66/69/574326669.db2.gz MLROBAHYCQAVHY-ZDUSSCGKSA-N 0 0 285.307 2.578 20 5 CFBDRN Cc1cccnc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000750160721 574328754 /nfs/dbraw/zinc/32/87/54/574328754.db2.gz LZXRPZCQDPBLJV-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1cccnc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000750163361 574328869 /nfs/dbraw/zinc/32/88/69/574328869.db2.gz KPQHFOISKIOCMB-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc([C@@H](C)C(=O)NCc2ccccc2[N+](=O)[O-])o1 ZINC000742549086 574334298 /nfs/dbraw/zinc/33/42/98/574334298.db2.gz WEDARZWKIWZFMO-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN CCC1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000750481198 574342333 /nfs/dbraw/zinc/34/23/33/574342333.db2.gz HJNGONYVWZICGQ-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN Cc1c(COC(=O)c2cc[nH]c2)cccc1[N+](=O)[O-] ZINC000750495722 574343653 /nfs/dbraw/zinc/34/36/53/574343653.db2.gz MAAZFHKUDVJCKM-UHFFFAOYSA-N 0 0 260.249 2.588 20 5 CFBDRN Cc1cccnc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000750518730 574344739 /nfs/dbraw/zinc/34/47/39/574344739.db2.gz XLZUELLLEGQPOV-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1[nH]ccc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000750526838 574345602 /nfs/dbraw/zinc/34/56/02/574345602.db2.gz QDNYNJCENQQZOM-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccc([N+](=O)[O-])n1C)SC ZINC000742843825 574346053 /nfs/dbraw/zinc/34/60/53/574346053.db2.gz PPNDVWLRFMCPHI-UHFFFAOYSA-N 0 0 299.396 2.585 20 5 CFBDRN C/C=C/C=C\C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000742855216 574346377 /nfs/dbraw/zinc/34/63/77/574346377.db2.gz RLMFKGOXDPAMMZ-IAROGAJJSA-N 0 0 277.276 2.649 20 5 CFBDRN Cc1cc(C(=O)NCCNc2ccccc2)cc([N+](=O)[O-])c1 ZINC000736183409 574353096 /nfs/dbraw/zinc/35/30/96/574353096.db2.gz GXQDASAEONOEGB-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCOC1CCC1 ZINC000750772154 574354601 /nfs/dbraw/zinc/35/46/01/574354601.db2.gz QYCGWLOXLZSMKN-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN C/C=C(/C)C(=O)OCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000750896712 574360104 /nfs/dbraw/zinc/36/01/04/574360104.db2.gz UCCWIWJCHRFKHO-WTKPLQERSA-N 0 0 265.265 2.613 20 5 CFBDRN O=C(CCn1cccc1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000750941307 574364593 /nfs/dbraw/zinc/36/45/93/574364593.db2.gz VQOVPNGDUVBXFF-UHFFFAOYSA-N 0 0 288.303 2.572 20 5 CFBDRN C[C@H]1CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000751022720 574368469 /nfs/dbraw/zinc/36/84/69/574368469.db2.gz XWTZKEYHKLXICO-VIFPVBQESA-N 0 0 265.338 2.633 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC000751157965 574370339 /nfs/dbraw/zinc/37/03/39/574370339.db2.gz RHQQYCYXZIOFKJ-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN O=C(Cc1ccccc1O)OCc1csc([N+](=O)[O-])c1 ZINC000725939062 574372738 /nfs/dbraw/zinc/37/27/38/574372738.db2.gz NUIYBFFLOIJOMT-UHFFFAOYSA-N 0 0 293.300 2.648 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC(=O)CC(C)C ZINC000751656150 574380579 /nfs/dbraw/zinc/38/05/79/574380579.db2.gz GFDNQQIJYVVOAA-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)[C@H]1C[C@@H]1C1CC1 ZINC000751745660 574382094 /nfs/dbraw/zinc/38/20/94/574382094.db2.gz MOLHJDIJJKYCAX-KGLIPLIRSA-N 0 0 291.303 2.563 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000751796796 574382951 /nfs/dbraw/zinc/38/29/51/574382951.db2.gz NAVWNSVMJCERLZ-JTQLQIEISA-N 0 0 267.256 2.823 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000751798990 574383092 /nfs/dbraw/zinc/38/30/92/574383092.db2.gz QWOGMJOAHLUTAL-LBPRGKRZSA-N 0 0 279.292 2.563 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC1([C@H](O)c2ccccc2)CC1 ZINC000751948504 574385552 /nfs/dbraw/zinc/38/55/52/574385552.db2.gz LOFQBTIIIPDRAK-CQSZACIVSA-N 0 0 299.330 2.916 20 5 CFBDRN COC[C@@H]1CCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000751968691 574386049 /nfs/dbraw/zinc/38/60/49/574386049.db2.gz ZQVWBSXAQWHYHL-JTQLQIEISA-N 0 0 293.348 2.820 20 5 CFBDRN COC(=O)/C=C\c1ccc(NCC2(C)CC2)c([N+](=O)[O-])c1 ZINC000751969302 574386060 /nfs/dbraw/zinc/38/60/60/574386060.db2.gz UTWSAWGNVDWIRJ-XQRVVYSFSA-N 0 0 290.319 2.993 20 5 CFBDRN COc1cccc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)n1 ZINC000752234073 574389168 /nfs/dbraw/zinc/38/91/68/574389168.db2.gz ZQJOPSNCUOGJPG-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN C/C=C/COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000752556045 574392721 /nfs/dbraw/zinc/39/27/21/574392721.db2.gz WDYGRGMFXQAAOL-ONEGZZNKSA-N 0 0 253.229 2.775 20 5 CFBDRN O=C(O[C@H]1CCCCC1=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752560266 574392821 /nfs/dbraw/zinc/39/28/21/574392821.db2.gz WQKPQPLHZDZZKR-JTQLQIEISA-N 0 0 299.229 2.542 20 5 CFBDRN CO[C@@H]1CCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000752620933 574393197 /nfs/dbraw/zinc/39/31/97/574393197.db2.gz WQVCFKVAYWKCJJ-SECBINFHSA-N 0 0 299.714 2.501 20 5 CFBDRN Cc1ncc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])s1 ZINC000752868136 574395938 /nfs/dbraw/zinc/39/59/38/574395938.db2.gz QXPBVGFWHCBKLA-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN CC(C)C1CN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000753820800 574410787 /nfs/dbraw/zinc/41/07/87/574410787.db2.gz AIRNAYCCSCNDDO-UHFFFAOYSA-N 0 0 256.252 2.965 20 5 CFBDRN CC(C)CCSc1ccc(-c2nn[nH]n2)cc1[N+](=O)[O-] ZINC000820081760 599089656 /nfs/dbraw/zinc/08/96/56/599089656.db2.gz UTCVDFPEUWJVCD-UHFFFAOYSA-N 0 0 293.352 2.913 20 5 CFBDRN O=C([O-])CCCC[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000821521287 598166143 /nfs/dbraw/zinc/16/61/43/598166143.db2.gz AONLJJWBPZYYGS-UHFFFAOYSA-N 0 0 286.715 2.593 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000935541626 649915944 /nfs/dbraw/zinc/91/59/44/649915944.db2.gz UYWSSSGTYQSUAI-ZWNOBZJWSA-N 0 0 294.326 2.971 20 5 CFBDRN CC(=O)COc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000901656189 620883660 /nfs/dbraw/zinc/88/36/60/620883660.db2.gz WKEYGJHHVUXMKD-UHFFFAOYSA-N 0 0 263.171 2.581 20 5 CFBDRN Cc1c([C@@H](C)[NH2+]CCCN(C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000829859016 604263760 /nfs/dbraw/zinc/26/37/60/604263760.db2.gz HHWCTZMSRSDWFX-LLVKDONJSA-N 0 0 295.339 2.554 20 5 CFBDRN CC[C@H]([NH2+]CCCN(C)C(=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000826619777 604264510 /nfs/dbraw/zinc/26/45/10/604264510.db2.gz MSCIWMOXVGFOLH-ZDUSSCGKSA-N 0 0 295.339 2.635 20 5 CFBDRN Cc1c([C@H](C)[NH2+]CC(C)(C)NC(=O)[O-])cccc1[N+](=O)[O-] ZINC000829859633 605107256 /nfs/dbraw/zinc/10/72/56/605107256.db2.gz PBQHYIIDDQFZMJ-JTQLQIEISA-N 0 0 295.339 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cc(C3CCC3)nn2)cc1F ZINC000900525627 620683462 /nfs/dbraw/zinc/68/34/62/620683462.db2.gz GJOWRJJOFSVGIB-UHFFFAOYSA-N 0 0 276.271 2.641 20 5 CFBDRN O=C(OCC1CC2(CCC2)C1)c1ccc([N+](=O)[O-])cn1 ZINC000851479020 634384038 /nfs/dbraw/zinc/38/40/38/634384038.db2.gz FBUGYYHCMZUHSC-UHFFFAOYSA-N 0 0 276.292 2.727 20 5 CFBDRN O=C(NC1CCC1)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000900617102 620695807 /nfs/dbraw/zinc/69/58/07/620695807.db2.gz ORZRQORBDGXAKD-UHFFFAOYSA-N 0 0 272.663 2.670 20 5 CFBDRN O=C(NCC1CCSCC1)c1cc([N+](=O)[O-])ccc1F ZINC000134594236 617748584 /nfs/dbraw/zinc/74/85/84/617748584.db2.gz PCSBNQRHIOSFHK-UHFFFAOYSA-N 0 0 298.339 2.607 20 5 CFBDRN CC[C@H](CO)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000234028088 617792826 /nfs/dbraw/zinc/79/28/26/617792826.db2.gz LVSSKXVQKPIWRT-ZCFIWIBFSA-N 0 0 262.668 2.570 20 5 CFBDRN C[C@H](Cc1ccccc1F)Nc1c([N+](=O)[O-])cnn1C ZINC000891964575 617882551 /nfs/dbraw/zinc/88/25/51/617882551.db2.gz FVAVTGBCMNOXSO-SECBINFHSA-N 0 0 278.287 2.511 20 5 CFBDRN O=C(N[C@@H](c1ccncc1)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000890923675 617949025 /nfs/dbraw/zinc/94/90/25/617949025.db2.gz MSBRXIMRALLCIG-OAHLLOKOSA-N 0 0 297.314 2.871 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CC[C@H](c2ccccc2)C1 ZINC000892128801 617964887 /nfs/dbraw/zinc/96/48/87/617964887.db2.gz NMRHBYDMUZQRTR-ZDUSSCGKSA-N 0 0 299.330 2.992 20 5 CFBDRN CCCOCC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000065537122 618028626 /nfs/dbraw/zinc/02/86/26/618028626.db2.gz YBZPAXCICNUFRB-UHFFFAOYSA-N 0 0 267.281 2.544 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NC/C=C/Cl ZINC000891196025 618033562 /nfs/dbraw/zinc/03/35/62/618033562.db2.gz OUGWUVJPSLSCSD-LZCJLJQNSA-N 0 0 286.690 2.535 20 5 CFBDRN C[C@@H](C(=O)NC/C=C\Cl)c1cccc([N+](=O)[O-])c1 ZINC000891200697 618038681 /nfs/dbraw/zinc/03/86/81/618038681.db2.gz DYMHHTJPHYOWFY-JVBZPZKUSA-N 0 0 268.700 2.567 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1CCSC1 ZINC000807986789 618045971 /nfs/dbraw/zinc/04/59/71/618045971.db2.gz VFTYQPWWQQAOAZ-SECBINFHSA-N 0 0 266.322 2.715 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000845904722 618079701 /nfs/dbraw/zinc/07/97/01/618079701.db2.gz YEHGQQKYRYFWOX-JTQLQIEISA-N 0 0 287.337 2.800 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC(c2ccccc2)CC1 ZINC000891683484 617708925 /nfs/dbraw/zinc/70/89/25/617708925.db2.gz VYEOHQZIHBBJQY-UHFFFAOYSA-N 0 0 286.335 2.712 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)/C=C(/C)C1CC1 ZINC000254999964 617723420 /nfs/dbraw/zinc/72/34/20/617723420.db2.gz UMKSQVCXTGJYTO-YFHOEESVSA-N 0 0 290.319 2.576 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCCC1CC1 ZINC000255392875 617733162 /nfs/dbraw/zinc/73/31/62/617733162.db2.gz NPZKRDJDQBNAPW-YVMONPNESA-N 0 0 260.293 2.524 20 5 CFBDRN C[C@@H](O)c1cccc(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000891745553 617737007 /nfs/dbraw/zinc/73/70/07/617737007.db2.gz BUPWQMSMKMUGEA-LLVKDONJSA-N 0 0 287.319 2.680 20 5 CFBDRN C[C@H]1C[C@H]1CNc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000891824713 617771368 /nfs/dbraw/zinc/77/13/68/617771368.db2.gz DXWRERUEOPXXEM-UWVGGRQHSA-N 0 0 287.319 2.515 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000804670875 617792072 /nfs/dbraw/zinc/79/20/72/617792072.db2.gz CVNCIHJPPBVYLB-AKZRSSKFSA-N 0 0 263.253 2.713 20 5 CFBDRN CSCCCN(C)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804686613 617811203 /nfs/dbraw/zinc/81/12/03/617811203.db2.gz STGNOPXUEAEWRC-UHFFFAOYSA-N 0 0 285.325 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@H]4C[C@H]43)sc2c1 ZINC000804689410 617813811 /nfs/dbraw/zinc/81/38/11/617813811.db2.gz OJQJQURZWOHNIS-OIBJUYFYSA-N 0 0 261.306 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3C[C@@H]32)c2ccncc21 ZINC000804690150 617815410 /nfs/dbraw/zinc/81/54/10/617815410.db2.gz ZWWBPAQRDJUNHK-OTYXRUKQSA-N 0 0 255.277 2.742 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCCOC(F)F ZINC000804695418 617818048 /nfs/dbraw/zinc/81/80/48/617818048.db2.gz MLCTXJAFGSKEMK-UHFFFAOYSA-N 0 0 291.210 2.544 20 5 CFBDRN Cc1cc(F)cc(C(=O)Nc2cccnc2)c1[N+](=O)[O-] ZINC000176162371 617823518 /nfs/dbraw/zinc/82/35/18/617823518.db2.gz WYNABUJBROHDRP-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)Oc1ccccc1CNc1c([N+](=O)[O-])cnn1C ZINC000891943889 617840925 /nfs/dbraw/zinc/84/09/25/617840925.db2.gz HYSQHXQDZOAXSB-UHFFFAOYSA-N 0 0 290.323 2.728 20 5 CFBDRN Cc1cc(N[C@](C)(CO)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000812462321 617856686 /nfs/dbraw/zinc/85/66/86/617856686.db2.gz MESMCQNUNCBONF-CYBMUJFWSA-N 0 0 268.288 2.615 20 5 CFBDRN CC1CC(NC(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000934025230 617864611 /nfs/dbraw/zinc/86/46/11/617864611.db2.gz CKACEAAUJVAZMG-NZPNUOCISA-N 0 0 274.320 2.613 20 5 CFBDRN Cc1ccc(CCC(=O)OCCC[N+](=O)[O-])cc1Cl ZINC000860913308 617870604 /nfs/dbraw/zinc/87/06/04/617870604.db2.gz XRXRJCNBPDIBCI-UHFFFAOYSA-N 0 0 285.727 2.791 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC=C(c3ccncc3)C2)c1 ZINC000804831120 617904809 /nfs/dbraw/zinc/90/48/09/617904809.db2.gz DWPPDRWIWGQUOL-UHFFFAOYSA-N 0 0 296.330 2.866 20 5 CFBDRN Cc1ccc(OCCCC(=O)OC[C@H](C)[N+](=O)[O-])cc1C ZINC000813103515 617925124 /nfs/dbraw/zinc/92/51/24/617925124.db2.gz CPORVWZCIPUSEX-ZDUSSCGKSA-N 0 0 295.335 2.671 20 5 CFBDRN C[C@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(F)cc1F ZINC000813104606 617925650 /nfs/dbraw/zinc/92/56/50/617925650.db2.gz PBJBXDZMJDMUOK-BDAKNGLRSA-N 0 0 287.262 2.667 20 5 CFBDRN CSCC(C)(C)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000890877000 617929573 /nfs/dbraw/zinc/92/95/73/617929573.db2.gz UUPQCKGXYZRZLQ-UHFFFAOYSA-N 0 0 288.394 2.836 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])c(Cl)c1C ZINC000813111315 617936862 /nfs/dbraw/zinc/93/68/62/617936862.db2.gz RERSINQPSFEXPW-QMMMGPOBSA-N 0 0 271.700 2.779 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804840749 617936960 /nfs/dbraw/zinc/93/69/60/617936960.db2.gz PCUWPBNGEPMOJM-HTQZYQBOSA-N 0 0 251.242 2.713 20 5 CFBDRN CCc1c(C(=O)OC[C@@H](C)[N+](=O)[O-])cnn1C(CC)CC ZINC000813111365 617936968 /nfs/dbraw/zinc/93/69/68/617936968.db2.gz RYMRXLCVRHEHDU-SNVBAGLBSA-N 0 0 297.355 2.629 20 5 CFBDRN Cc1cc(N2CC(O)(c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000804837633 617937569 /nfs/dbraw/zinc/93/75/69/617937569.db2.gz QPUYYFIVXUPLSE-UHFFFAOYSA-N 0 0 284.315 2.611 20 5 CFBDRN C[C@H](Cc1cccc(Cl)c1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813112161 617940796 /nfs/dbraw/zinc/94/07/96/617940796.db2.gz CTLZAPDXMYZASI-ZJUUUORDSA-N 0 0 285.727 2.727 20 5 CFBDRN C[C@]1(O)CCCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000235723381 617987092 /nfs/dbraw/zinc/98/70/92/617987092.db2.gz FCSWZTBCIVBBDZ-LBPRGKRZSA-N 0 0 288.706 2.739 20 5 CFBDRN CO[C@H](C)CCNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807957849 617994643 /nfs/dbraw/zinc/99/46/43/617994643.db2.gz AGMCJUILZFTLPK-SECBINFHSA-N 0 0 266.297 2.634 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000891088404 617998319 /nfs/dbraw/zinc/99/83/19/617998319.db2.gz XZCCAWSZXYJSFR-LLVKDONJSA-N 0 0 294.351 2.709 20 5 CFBDRN C[C@H](C(=O)NC/C=C/Cl)c1ccc([N+](=O)[O-])cc1F ZINC000891205142 618042130 /nfs/dbraw/zinc/04/21/30/618042130.db2.gz PXNJNYYRZRJHSK-NCJLJLRUSA-N 0 0 286.690 2.706 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ccncc1[N+](=O)[O-] ZINC000050015880 618060521 /nfs/dbraw/zinc/06/05/21/618060521.db2.gz CNBGJVVJZYYCIY-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC2(CCCCC2)CC1 ZINC000892271446 618071405 /nfs/dbraw/zinc/07/14/05/618071405.db2.gz UYECFJQRBWJICW-UHFFFAOYSA-N 0 0 278.356 2.879 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NCCC1CCCCC1 ZINC000050212390 618094025 /nfs/dbraw/zinc/09/40/25/618094025.db2.gz LXNLBWXDSABZPV-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N)ccc1F ZINC000061123168 618103666 /nfs/dbraw/zinc/10/36/66/618103666.db2.gz FIEVZYCWNXZBOB-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1cc(F)c2c(c1)CCCN2Cn1nccc1[N+](=O)[O-] ZINC000122039692 618127108 /nfs/dbraw/zinc/12/71/08/618127108.db2.gz RJIKZLZNCPHCKA-UHFFFAOYSA-N 0 0 290.298 2.649 20 5 CFBDRN O=C(/C=C\c1cccs1)NCc1cccc([N+](=O)[O-])c1 ZINC000255569646 618163474 /nfs/dbraw/zinc/16/34/74/618163474.db2.gz NACZFIVPQQBARI-SREVYHEPSA-N 0 0 288.328 2.986 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000176786335 618164891 /nfs/dbraw/zinc/16/48/91/618164891.db2.gz ZALRDJKDVMTFCJ-WDEREUQCSA-N 0 0 261.277 2.855 20 5 CFBDRN CCN(Cc1c(F)cccc1[N+](=O)[O-])C(C)(C)C(=O)OC ZINC000933189562 618167967 /nfs/dbraw/zinc/16/79/67/618167967.db2.gz BQAVLORSXYQXOH-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN Cc1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)cc1N ZINC000843233759 618168626 /nfs/dbraw/zinc/16/86/26/618168626.db2.gz OOOWYJHTCMAJJA-UHFFFAOYSA-N 0 0 290.323 2.615 20 5 CFBDRN CC1CC(=O)N(Cc2ccc(Cl)c([N+](=O)[O-])c2)C(=O)C1 ZINC000093356621 618188612 /nfs/dbraw/zinc/18/86/12/618188612.db2.gz VWYPCVZLTFQEKQ-UHFFFAOYSA-N 0 0 296.710 2.533 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000782032396 618191688 /nfs/dbraw/zinc/19/16/88/618191688.db2.gz ZPYNYLTVDKHIBB-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN CSCCCOC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000176823959 618207145 /nfs/dbraw/zinc/20/71/45/618207145.db2.gz LYMQKBFMAYXSMP-UHFFFAOYSA-N 0 0 283.349 2.824 20 5 CFBDRN O=C(C=C1CCC1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000176830807 618208108 /nfs/dbraw/zinc/20/81/08/618208108.db2.gz UYQILKPCANYURC-UHFFFAOYSA-N 0 0 261.277 2.791 20 5 CFBDRN C[C@@]1(NCc2cccnc2[N+](=O)[O-])CCOc2ccccc21 ZINC000933367959 618210766 /nfs/dbraw/zinc/21/07/66/618210766.db2.gz CGKKDSDOURIYTQ-MRXNPFEDSA-N 0 0 299.330 2.777 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CNC1([C@@H]2CCCCO2)CC1 ZINC000933377571 618215050 /nfs/dbraw/zinc/21/50/50/618215050.db2.gz KWWIKLBVDNKLOX-AWEZNQCLSA-N 0 0 294.326 2.925 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)OC1CCC1 ZINC000176943581 618234598 /nfs/dbraw/zinc/23/45/98/618234598.db2.gz GMVPMVLSZLIALH-UHFFFAOYSA-N 0 0 278.308 2.883 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000892418923 618234852 /nfs/dbraw/zinc/23/48/52/618234852.db2.gz WYEKITOWOXXDSH-UHFFFAOYSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)C2CCCC2)c(N)c([N+](=O)[O-])c1 ZINC000179325727 618259795 /nfs/dbraw/zinc/25/97/95/618259795.db2.gz DSPLIJDHTQYDBS-SNVBAGLBSA-N 0 0 291.351 2.794 20 5 CFBDRN Cc1c(NCc2ccc(Cl)cc2[N+](=O)[O-])cnn1C ZINC000893291000 618260030 /nfs/dbraw/zinc/26/00/30/618260030.db2.gz KIAJJQOGLRWPQJ-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC(F)(F)CCNc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000894007808 618266591 /nfs/dbraw/zinc/26/65/91/618266591.db2.gz PRBABJQLKKUKTD-UHFFFAOYSA-N 0 0 275.211 2.960 20 5 CFBDRN O=C(CCc1ccoc1)OCc1ccc([N+](=O)[O-])cc1 ZINC000177054987 618271813 /nfs/dbraw/zinc/27/18/13/618271813.db2.gz DWPRRLPXVJKPPE-UHFFFAOYSA-N 0 0 275.260 2.864 20 5 CFBDRN C[C@H](O)CCCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000310225551 618272317 /nfs/dbraw/zinc/27/23/17/618272317.db2.gz IFDVUDSNWQDMHB-QMMMGPOBSA-N 0 0 259.689 2.788 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@H]2CC23CCC3)cc([N+](=O)[O-])c1 ZINC000895091070 618283695 /nfs/dbraw/zinc/28/36/95/618283695.db2.gz SNRKDZQPWIUTQP-NSHDSACASA-N 0 0 263.253 2.858 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@@H](C)C(F)F ZINC000894042557 618285952 /nfs/dbraw/zinc/28/59/52/618285952.db2.gz LEUXSXADSLCXAJ-LURJTMIESA-N 0 0 292.669 2.940 20 5 CFBDRN Cc1ccc(OC(=O)CCc2cscn2)cc1[N+](=O)[O-] ZINC000178994894 618291488 /nfs/dbraw/zinc/29/14/88/618291488.db2.gz IXBSKVFSQIZOCB-UHFFFAOYSA-N 0 0 292.316 2.898 20 5 CFBDRN COC/C(C)=C/CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000901351167 620792721 /nfs/dbraw/zinc/79/27/21/620792721.db2.gz IHLQNCKKZLEHEF-WEVVVXLNSA-N 0 0 254.261 2.739 20 5 CFBDRN C/C(=C/C(=O)N1CCc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000255777761 618300026 /nfs/dbraw/zinc/30/00/26/618300026.db2.gz XIPLIRLQGKBYPT-KTKRTIGZSA-N 0 0 272.304 2.840 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)C1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000893313396 618308323 /nfs/dbraw/zinc/30/83/23/618308323.db2.gz XMWZYWLPIPHLLZ-GHMZBOCLSA-N 0 0 295.339 2.549 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCC2CCC2)c1F ZINC000312529681 618316166 /nfs/dbraw/zinc/31/61/66/618316166.db2.gz JQPKUVBEUZBWRI-UHFFFAOYSA-N 0 0 284.262 2.711 20 5 CFBDRN Cc1cc(N[C@@H](C)c2ccnn2C)ccc1[N+](=O)[O-] ZINC000235541867 618367845 /nfs/dbraw/zinc/36/78/45/618367845.db2.gz WCWYQASKPMYUKO-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN Cc1ccc(CN(C)c2c([N+](=O)[O-])cnn2C)cc1C ZINC000894126026 618371811 /nfs/dbraw/zinc/37/18/11/618371811.db2.gz ZYWYZQVJULDCRJ-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(CC(F)F)C1CCC1 ZINC000895147916 618387757 /nfs/dbraw/zinc/38/77/57/618387757.db2.gz AEHXIRJDTYZXJU-UHFFFAOYSA-N 0 0 299.277 2.558 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N(CC(F)F)C1CCC1 ZINC000895149226 618390697 /nfs/dbraw/zinc/39/06/97/618390697.db2.gz DYQIBJGDWSOHAP-UHFFFAOYSA-N 0 0 298.289 2.784 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N(CC(F)F)C1CCC1 ZINC000895150088 618392732 /nfs/dbraw/zinc/39/27/32/618392732.db2.gz XDBTXENBQMVNGH-UHFFFAOYSA-N 0 0 284.262 2.855 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1OC)[C@@H]1CCCOC1 ZINC000895161065 618408346 /nfs/dbraw/zinc/40/83/46/618408346.db2.gz QCFZZOGEMFYRTL-ZYHUDNBSSA-N 0 0 295.339 2.616 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@@H]1CCC[C@H]1C1CC1 ZINC000894185611 618432557 /nfs/dbraw/zinc/43/25/57/618432557.db2.gz QKKIHMQSMOIOEU-QWRGUYRKSA-N 0 0 264.329 2.567 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1cccc2ccccc21 ZINC000814042492 618441581 /nfs/dbraw/zinc/44/15/81/618441581.db2.gz LOQBGNMAIOMPES-NSHDSACASA-N 0 0 273.288 2.591 20 5 CFBDRN Cc1cc(C)cc(C2(C(=O)O[C@@H](C)C[N+](=O)[O-])CC2)c1 ZINC000814045050 618444618 /nfs/dbraw/zinc/44/46/18/618444618.db2.gz MAZMOPQWBWLLCG-LBPRGKRZSA-N 0 0 277.320 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)ONC(=O)C1CCC1 ZINC000842115839 618446745 /nfs/dbraw/zinc/44/67/45/618446745.db2.gz JAHJHNAKPAZMEM-JTQLQIEISA-N 0 0 278.308 2.812 20 5 CFBDRN Cc1cnc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)cc1C ZINC000924077711 618449079 /nfs/dbraw/zinc/44/90/79/618449079.db2.gz SZUFEVNKCUZQTJ-UHFFFAOYSA-N 0 0 290.323 2.736 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1N1CC[C@@H]2C[C@@H]21 ZINC000856749127 618465319 /nfs/dbraw/zinc/46/53/19/618465319.db2.gz RNXKVUIWZKYRCN-XCBNKYQSSA-N 0 0 283.125 2.956 20 5 CFBDRN CC(C)(C)COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000726360943 618468620 /nfs/dbraw/zinc/46/86/20/618468620.db2.gz VPMDIZYJNSUQRN-UHFFFAOYSA-N 0 0 280.324 2.986 20 5 CFBDRN COC[C@@H](COc1ccccc1[N+](=O)[O-])C(C)C ZINC000877875367 618471432 /nfs/dbraw/zinc/47/14/32/618471432.db2.gz WTLQNXZHISRLNH-NSHDSACASA-N 0 0 253.298 2.892 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC=CCC1 ZINC000895206790 618472079 /nfs/dbraw/zinc/47/20/79/618472079.db2.gz GQILBVHYXVBJGJ-UHFFFAOYSA-N 0 0 290.319 2.832 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC[C@@H](CF)C1 ZINC000882803008 618516480 /nfs/dbraw/zinc/51/64/80/618516480.db2.gz ZMWKDFXZNAJQOE-QMMMGPOBSA-N 0 0 273.695 2.829 20 5 CFBDRN COc1c(C(=O)NC2(C3CC3)CCC2)cccc1[N+](=O)[O-] ZINC000895356954 618549023 /nfs/dbraw/zinc/54/90/23/618549023.db2.gz AMCXZNPBOLGGKT-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000177736844 618604722 /nfs/dbraw/zinc/60/47/22/618604722.db2.gz XQLBAFZQSAAPRZ-SECBINFHSA-N 0 0 297.307 2.957 20 5 CFBDRN Cn1cc(CCNc2cc(Cl)c(F)cc2[N+](=O)[O-])cn1 ZINC000236087372 618605486 /nfs/dbraw/zinc/60/54/86/618605486.db2.gz ZFHJYBGDPXKQRG-UHFFFAOYSA-N 0 0 298.705 2.775 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)CC1(O)CCCC1 ZINC000161720472 618611914 /nfs/dbraw/zinc/61/19/14/618611914.db2.gz UHCYSGFWKBXWJW-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(OCC[C@H]1CCCO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000177946052 618614983 /nfs/dbraw/zinc/61/49/83/618614983.db2.gz HSVNBKNMUMFNSV-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN Nc1nccnc1SCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000236354689 618618727 /nfs/dbraw/zinc/61/87/27/618618727.db2.gz FLJZCPVKAGNBNR-UHFFFAOYSA-N 0 0 296.739 2.913 20 5 CFBDRN O=[N+]([O-])CCSc1nc2ccccc2n1C(F)F ZINC000901439386 620820847 /nfs/dbraw/zinc/82/08/47/620820847.db2.gz LZDUNCMJZSCJFH-UHFFFAOYSA-N 0 0 273.264 2.800 20 5 CFBDRN O=C(/C=C\c1cccnc1)OCc1cccc([N+](=O)[O-])c1 ZINC000255888746 618625495 /nfs/dbraw/zinc/62/54/95/618625495.db2.gz DXAMWSMXBGMXJZ-SREVYHEPSA-N 0 0 284.271 2.746 20 5 CFBDRN C[C@@H]1C[C@H](c2ccccc2)CN1c1c([N+](=O)[O-])cnn1C ZINC000892551210 618629165 /nfs/dbraw/zinc/62/91/65/618629165.db2.gz DCGQCUKNHCEBNG-YPMHNXCESA-N 0 0 286.335 2.711 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2ccc(Cl)nc2)n1 ZINC000178163504 618629180 /nfs/dbraw/zinc/62/91/80/618629180.db2.gz KUJJGJQZFQQOLX-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C(F)F ZINC000935744429 618637047 /nfs/dbraw/zinc/63/70/47/618637047.db2.gz QRFBLWUMVPMVNE-GHMZBOCLSA-N 0 0 298.289 2.858 20 5 CFBDRN Cc1nc(COc2ccc(Cl)c([N+](=O)[O-])c2)no1 ZINC000310792299 618639457 /nfs/dbraw/zinc/63/94/57/618639457.db2.gz BKVDSYYBSLWLIJ-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000935790641 618653696 /nfs/dbraw/zinc/65/36/96/618653696.db2.gz FKMLADWEQGQCRY-BXKDBHETSA-N 0 0 280.299 2.581 20 5 CFBDRN C/C=C(/C)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000255905924 618654173 /nfs/dbraw/zinc/65/41/73/618654173.db2.gz NAXZOHBCDQBILN-KMKOMSMNSA-N 0 0 260.293 2.840 20 5 CFBDRN COCCCC(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892635792 618665441 /nfs/dbraw/zinc/66/54/41/618665441.db2.gz UCFAJLXNTPTMIA-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000892642083 618668298 /nfs/dbraw/zinc/66/82/98/618668298.db2.gz LHPUKDGJRRIIMM-DTWKUNHWSA-N 0 0 265.269 2.738 20 5 CFBDRN COCCCC(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892654273 618676590 /nfs/dbraw/zinc/67/65/90/618676590.db2.gz WCKYAMRPDCRBCI-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc(F)c([N+](=O)[O-])c2)CCO1 ZINC000783192718 618688503 /nfs/dbraw/zinc/68/85/03/618688503.db2.gz FIOIDQIMBSZYKP-ZJUUUORDSA-N 0 0 268.288 2.961 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000935974683 618723732 /nfs/dbraw/zinc/72/37/32/618723732.db2.gz NPCOCRVPTCWWJN-CYBMUJFWSA-N 0 0 294.326 2.971 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000311910819 618728756 /nfs/dbraw/zinc/72/87/56/618728756.db2.gz CDMFPBSQDANJOH-NSHDSACASA-N 0 0 266.272 2.652 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000892749894 618739683 /nfs/dbraw/zinc/73/96/83/618739683.db2.gz OCXWZMLWYBUVLF-WCBMZHEXSA-N 0 0 264.281 2.588 20 5 CFBDRN CCCCOCC(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000892746555 618739893 /nfs/dbraw/zinc/73/98/93/618739893.db2.gz MDWFITHJNJSKRM-UHFFFAOYSA-N 0 0 296.323 2.749 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000066767598 618744577 /nfs/dbraw/zinc/74/45/77/618744577.db2.gz XJXGDKVRRAMDAD-SECBINFHSA-N 0 0 269.322 2.637 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000892828985 618754040 /nfs/dbraw/zinc/75/40/40/618754040.db2.gz VZXCBJWIYWLZMH-HAQNSBGRSA-N 0 0 292.335 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@]2(C)CC2(F)F)c1C ZINC000892941213 618775141 /nfs/dbraw/zinc/77/51/41/618775141.db2.gz UWXMIKCMKUMLBA-CYBMUJFWSA-N 0 0 298.289 2.987 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)[C@H](F)C(C)C ZINC000784541511 618781875 /nfs/dbraw/zinc/78/18/75/618781875.db2.gz JAWSZRKUBFDXRR-CYBMUJFWSA-N 0 0 299.298 2.819 20 5 CFBDRN CCCCCCOC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000040436831 618782550 /nfs/dbraw/zinc/78/25/50/618782550.db2.gz SXQRAYNAZFTZBR-UHFFFAOYSA-N 0 0 266.297 2.914 20 5 CFBDRN C[C@H](O)c1cccc(N2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000892998016 618796561 /nfs/dbraw/zinc/79/65/61/618796561.db2.gz MIECMRSTFMESCB-NSHDSACASA-N 0 0 299.330 2.606 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC2CCSCC2)c1[N+](=O)[O-] ZINC000177065611 618818401 /nfs/dbraw/zinc/81/84/01/618818401.db2.gz AFUBZWDGVUMWFS-UHFFFAOYSA-N 0 0 298.339 2.668 20 5 CFBDRN COC(C)(C)COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000893090453 618827609 /nfs/dbraw/zinc/82/76/09/618827609.db2.gz FEXJVZHLYKCRTM-UHFFFAOYSA-N 0 0 281.308 2.793 20 5 CFBDRN Cc1cc(-c2noc(C3CSC3)n2)cc([N+](=O)[O-])c1 ZINC000924093762 618850779 /nfs/dbraw/zinc/85/07/79/618850779.db2.gz VRXLZCMLNDZSIE-UHFFFAOYSA-N 0 0 277.305 2.784 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCc1ccc(C(F)F)cc1 ZINC000893196651 618879190 /nfs/dbraw/zinc/87/91/90/618879190.db2.gz BITLQZHBAYBNOU-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CCCc2sccc21 ZINC000893209580 618885104 /nfs/dbraw/zinc/88/51/04/618885104.db2.gz LGOPEAXPHOTREV-SECBINFHSA-N 0 0 278.337 2.879 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1CCC(CO)CC1 ZINC000312752034 618930535 /nfs/dbraw/zinc/93/05/35/618930535.db2.gz KYGVMESULWTDJS-UHFFFAOYSA-N 0 0 286.278 2.741 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ccnnc1 ZINC000925690043 618933748 /nfs/dbraw/zinc/93/37/48/618933748.db2.gz CZXUHHWTPGHQEI-QWRGUYRKSA-N 0 0 272.308 2.797 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCCC1 ZINC000243340559 625304534 /nfs/dbraw/zinc/30/45/34/625304534.db2.gz LSPVVTQZBSYXME-UHFFFAOYSA-N 0 0 279.296 2.621 20 5 CFBDRN CCC[C@@H](C)CCNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000893365567 618935552 /nfs/dbraw/zinc/93/55/52/618935552.db2.gz FWPDYFJBSZNXKH-SECBINFHSA-N 0 0 254.286 2.744 20 5 CFBDRN CC[C@@]1(C)CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCO1 ZINC000893397450 618964141 /nfs/dbraw/zinc/96/41/41/618964141.db2.gz WFCCNQNZOULBDL-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN COC[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000893454096 618999079 /nfs/dbraw/zinc/99/90/79/618999079.db2.gz AXPAMFCQRWXWSP-JTQLQIEISA-N 0 0 299.298 2.809 20 5 CFBDRN COC[C@@H](COC(=O)Cc1ccccc1[N+](=O)[O-])C(C)C ZINC000893456401 619000585 /nfs/dbraw/zinc/00/05/85/619000585.db2.gz XIMGSZMNZULTLH-ZDUSSCGKSA-N 0 0 295.335 2.599 20 5 CFBDRN COC(=O)CCNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000111290262 619017113 /nfs/dbraw/zinc/01/71/13/619017113.db2.gz GEWKBDXUKYCGQA-UHFFFAOYSA-N 0 0 274.223 2.507 20 5 CFBDRN CC1(C(=O)OCc2ccccc2[N+](=O)[O-])CC=CC1 ZINC000924194585 619083592 /nfs/dbraw/zinc/08/35/92/619083592.db2.gz FSHJYECWEDPGFG-UHFFFAOYSA-N 0 0 261.277 2.994 20 5 CFBDRN CC(C)(CCC(=O)OC[C@]12C[C@H]1CCC2)[N+](=O)[O-] ZINC000893629101 619119582 /nfs/dbraw/zinc/11/95/82/619119582.db2.gz FEFHNUMULQAMNI-MFKMUULPSA-N 0 0 255.314 2.555 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2nncs2)cc1F ZINC000094775535 619137244 /nfs/dbraw/zinc/13/72/44/619137244.db2.gz QMSFHTJUBPJXHK-UHFFFAOYSA-N 0 0 271.298 2.878 20 5 CFBDRN C/C=C/C[C@@H](CO)[NH2+]Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000893661875 619148841 /nfs/dbraw/zinc/14/88/41/619148841.db2.gz TZXTZTFBIBYMLS-JDGPPOGSSA-N 0 0 284.743 2.665 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)CC2(C)C)c1 ZINC000893671864 619151990 /nfs/dbraw/zinc/15/19/90/619151990.db2.gz NBDUVHMZBCBPQI-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCCO1 ZINC000893673928 619152725 /nfs/dbraw/zinc/15/27/25/619152725.db2.gz BGQGBBNDXNSFCR-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN CC[S@](C)(=O)=NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000925826616 619155236 /nfs/dbraw/zinc/15/52/36/619155236.db2.gz ONIOFCMJJHVRGY-FQEVSTJZSA-N 0 0 298.364 2.976 20 5 CFBDRN CCOC(=O)[C@H](CC)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000932179776 619203678 /nfs/dbraw/zinc/20/36/78/619203678.db2.gz MHDCTYWZLUQCRT-MFKMUULPSA-N 0 0 280.324 2.587 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(Cl)cc2c1N1CCCCN1 ZINC000893832320 619224807 /nfs/dbraw/zinc/22/48/07/619224807.db2.gz FVUPNUDYAWMWRY-UHFFFAOYSA-N 0 0 292.726 2.901 20 5 CFBDRN COCC(C)(C)CCCNc1ncc([N+](=O)[O-])cc1OC ZINC000893858680 619229796 /nfs/dbraw/zinc/22/97/96/619229796.db2.gz IVEYFSOHSRBNHB-UHFFFAOYSA-N 0 0 297.355 2.863 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1CCC1(C)C ZINC000893854066 619232058 /nfs/dbraw/zinc/23/20/58/619232058.db2.gz BOXYUWOMCVHGAI-JTQLQIEISA-N 0 0 251.286 2.599 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC2(C1)CCOCC2 ZINC000893938401 619248413 /nfs/dbraw/zinc/24/84/13/619248413.db2.gz PNGZOPTYFLFMMA-UHFFFAOYSA-N 0 0 282.727 2.865 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000840503635 619253154 /nfs/dbraw/zinc/25/31/54/619253154.db2.gz SKWFGMAZNJNNRH-JTQLQIEISA-N 0 0 266.272 2.571 20 5 CFBDRN CCC(C)(C)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000726830769 619264874 /nfs/dbraw/zinc/26/48/74/619264874.db2.gz KHZXMFBQQHJPEY-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN CCn1cc(NC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)cn1 ZINC000726871201 619266821 /nfs/dbraw/zinc/26/68/21/619266821.db2.gz GHWQFOUIFCNWCE-UHFFFAOYSA-N 0 0 293.258 2.594 20 5 CFBDRN C[C@@H](NC(=O)C(C)(C)F)c1ccc([N+](=O)[O-])cc1 ZINC000928250194 619328557 /nfs/dbraw/zinc/32/85/57/619328557.db2.gz ZKSPGRSBGPZSOR-MRVPVSSYSA-N 0 0 254.261 2.520 20 5 CFBDRN CO[C@H](C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000791511901 619333725 /nfs/dbraw/zinc/33/37/25/619333725.db2.gz BBKRHWSFWPRUAT-ZANVPECISA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H](C(=O)OCCCOc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000748137938 619341566 /nfs/dbraw/zinc/34/15/66/619341566.db2.gz AGPIURUTWOHTOH-NSHDSACASA-N 0 0 293.319 2.953 20 5 CFBDRN C[C@@H](C(=O)OCCOc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000748138178 619341917 /nfs/dbraw/zinc/34/19/17/619341917.db2.gz DLAVTXFJAJYGIS-SNVBAGLBSA-N 0 0 279.292 2.563 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC2(CC2(F)F)CC1 ZINC000894390331 619364070 /nfs/dbraw/zinc/36/40/70/619364070.db2.gz BPTTXYBTNQKQSZ-UHFFFAOYSA-N 0 0 299.277 2.624 20 5 CFBDRN CC[C@@H](C)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000023520629 619364987 /nfs/dbraw/zinc/36/49/87/619364987.db2.gz UUDMCHANMBQGMV-NXEZZACHSA-N 0 0 294.307 2.511 20 5 CFBDRN CO[C@]1(C)C[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000159785772 619411078 /nfs/dbraw/zinc/41/10/78/619411078.db2.gz RBSWGAYPHKIINS-SWLSCSKDSA-N 0 0 293.319 2.955 20 5 CFBDRN Cc1c[nH]c(CNc2cc(C)sc2[N+](=O)[O-])n1 ZINC000894791062 619464969 /nfs/dbraw/zinc/46/49/69/619464969.db2.gz RVKVDPUCMJUNIF-UHFFFAOYSA-N 0 0 252.299 2.608 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1SCCCO ZINC000894871915 619493228 /nfs/dbraw/zinc/49/32/28/619493228.db2.gz GRBJSSXKUIWDKW-UHFFFAOYSA-N 0 0 282.296 2.757 20 5 CFBDRN C[C@H]1CN(c2ccsc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000894893996 619501314 /nfs/dbraw/zinc/50/13/14/619501314.db2.gz KYUGUQKSVKCIJH-ZETCQYMHSA-N 0 0 264.310 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2Cc3c[nH]nc3[C@H](C)C2)c1 ZINC000894897780 619503288 /nfs/dbraw/zinc/50/32/88/619503288.db2.gz XVDLZRJAVWWBHG-SNVBAGLBSA-N 0 0 272.308 2.750 20 5 CFBDRN CN(Cc1cscn1)c1cccc(F)c1[N+](=O)[O-] ZINC000049056426 619507486 /nfs/dbraw/zinc/50/74/86/619507486.db2.gz CHYZQRDZTGGUHT-UHFFFAOYSA-N 0 0 267.285 2.827 20 5 CFBDRN CC(C)OC1CN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000894966887 619518518 /nfs/dbraw/zinc/51/85/18/619518518.db2.gz HJSPVPSZUFGCSR-UHFFFAOYSA-N 0 0 287.319 2.757 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1cc([N+](=O)[O-])ccc1F ZINC000889603916 619527575 /nfs/dbraw/zinc/52/75/75/619527575.db2.gz AQERHFBGXMWJSE-GMOODISLSA-N 0 0 278.283 2.652 20 5 CFBDRN COc1c(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000889604525 619536473 /nfs/dbraw/zinc/53/64/73/619536473.db2.gz DOMYLAYDEVYBOJ-CKYFFXLPSA-N 0 0 290.319 2.522 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000895536827 619550219 /nfs/dbraw/zinc/55/02/19/619550219.db2.gz JXJOWYZJYWZBIJ-SQFXPHBZSA-N 0 0 280.299 2.683 20 5 CFBDRN COC(=O)C[C@@H]1CN(c2cc(C)sc2[N+](=O)[O-])C[C@H]1C ZINC000895618077 619568562 /nfs/dbraw/zinc/56/85/62/619568562.db2.gz HAUFEMYDBYJZAA-PSASIEDQSA-N 0 0 298.364 2.600 20 5 CFBDRN COC(=O)C[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@H]1C ZINC000895619802 619568708 /nfs/dbraw/zinc/56/87/08/619568708.db2.gz LTFFZWNLQGNXCE-VXGBXAGGSA-N 0 0 292.335 2.539 20 5 CFBDRN Cn1ccc(C2CCN(c3ccsc3[N+](=O)[O-])CC2)n1 ZINC000895639283 619574961 /nfs/dbraw/zinc/57/49/61/619574961.db2.gz AMHQIIBYFUXKEH-UHFFFAOYSA-N 0 0 292.364 2.774 20 5 CFBDRN Cc1cc(NC2CC(n3cc([N+](=O)[O-])cn3)C2)cnc1C ZINC000895645028 619575965 /nfs/dbraw/zinc/57/59/65/619575965.db2.gz KUIMPSQFIPRSNV-UHFFFAOYSA-N 0 0 287.323 2.619 20 5 CFBDRN COc1c(O)ccc(C[NH2+][C@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000895692915 619584691 /nfs/dbraw/zinc/58/46/91/619584691.db2.gz XIDULIQTIFIFKM-LBPRGKRZSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@H](CNC(=O)N[C@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000895719243 619590182 /nfs/dbraw/zinc/59/01/82/619590182.db2.gz LKOJMPXGHULESS-NEPJUHHUSA-N 0 0 289.335 2.716 20 5 CFBDRN C[C@H](CNC(=O)N[C@@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000895719246 619590379 /nfs/dbraw/zinc/59/03/79/619590379.db2.gz LKOJMPXGHULESS-VXGBXAGGSA-N 0 0 289.335 2.716 20 5 CFBDRN Cc1cc(CO)cc(C)c1CNc1ncccc1[N+](=O)[O-] ZINC000895742309 619594453 /nfs/dbraw/zinc/59/44/53/619594453.db2.gz BCXZCYCWOJPCNW-UHFFFAOYSA-N 0 0 287.319 2.711 20 5 CFBDRN Cc1cnc(N2CCCC[C@H]2c2ncccn2)c([N+](=O)[O-])c1 ZINC000895975528 619644834 /nfs/dbraw/zinc/64/48/34/619644834.db2.gz ZVLHOKZULHOBQQ-LBPRGKRZSA-N 0 0 299.334 2.820 20 5 CFBDRN C[C@@H]1CO[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000895964054 619645255 /nfs/dbraw/zinc/64/52/55/619645255.db2.gz UAIRDTLCWRSZGU-GZMMTYOYSA-N 0 0 275.264 2.742 20 5 CFBDRN C[C@H]1Cc2cc(CNc3ccc([N+](=O)[O-])nc3)ccc2O1 ZINC000896044883 619659279 /nfs/dbraw/zinc/65/92/79/619659279.db2.gz FKRGAFCMQKQLAL-JTQLQIEISA-N 0 0 285.303 2.925 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC000896078000 619665200 /nfs/dbraw/zinc/66/52/00/619665200.db2.gz SAVGCFLOADQSMI-AXFHLTTASA-N 0 0 278.283 2.737 20 5 CFBDRN Cc1ccc(NC[C@@H]2C=C[C@H]3C[C@@H]2CO3)c([N+](=O)[O-])c1 ZINC000896076833 619666849 /nfs/dbraw/zinc/66/68/49/619666849.db2.gz CTABHVQEZXTGHP-XQQFMLRXSA-N 0 0 274.320 2.906 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC000896082605 619669649 /nfs/dbraw/zinc/66/96/49/619669649.db2.gz OSLQHJSBCIHCKQ-UMNHJUIQSA-N 0 0 278.283 2.737 20 5 CFBDRN CN(C)[C@H](CCNc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000896109604 619675951 /nfs/dbraw/zinc/67/59/51/619675951.db2.gz ONRWQHCBQGIRNY-LLVKDONJSA-N 0 0 291.273 2.889 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCCC3(CCC3)O2)c1[N+](=O)[O-] ZINC000896169300 619685715 /nfs/dbraw/zinc/68/57/15/619685715.db2.gz FOYUCCJUCDFXPG-JTQLQIEISA-N 0 0 293.323 2.599 20 5 CFBDRN C[C@]1(CNc2nc3sccn3c2[N+](=O)[O-])CCCCO1 ZINC000896182991 619688294 /nfs/dbraw/zinc/68/82/94/619688294.db2.gz MAHDHSZYYZNXRD-GFCCVEGCSA-N 0 0 296.352 2.675 20 5 CFBDRN C[C@@]1(CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCCCO1 ZINC000896184002 619688706 /nfs/dbraw/zinc/68/87/06/619688706.db2.gz IPXNVMMQHUNGBH-AWEZNQCLSA-N 0 0 290.323 2.842 20 5 CFBDRN CSCC1CCN(c2ccc([N+](=O)[O-])nc2)CC1 ZINC000896210469 619694877 /nfs/dbraw/zinc/69/48/77/619694877.db2.gz KKZKUSQQCROQAG-UHFFFAOYSA-N 0 0 267.354 2.569 20 5 CFBDRN Cc1nc(N[C@H]2CCS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000896243314 619699468 /nfs/dbraw/zinc/69/94/68/619699468.db2.gz AXLDHFRLODPECG-WPRPVWTQSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)CCS1 ZINC000896244099 619699514 /nfs/dbraw/zinc/69/95/14/619699514.db2.gz FIHBUWUHFLEKGT-RKDXNWHRSA-N 0 0 253.327 2.686 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCS[C@@H](C)C1 ZINC000896248092 619701097 /nfs/dbraw/zinc/70/10/97/619701097.db2.gz JTQXLINUBTWJDY-WPRPVWTQSA-N 0 0 284.385 2.816 20 5 CFBDRN C[C@@H]1C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000896247599 619701493 /nfs/dbraw/zinc/70/14/93/619701493.db2.gz IBCIIJTYUYREJC-PSASIEDQSA-N 0 0 267.354 2.873 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1CCS[C@H](C)C1 ZINC000896248965 619704634 /nfs/dbraw/zinc/70/46/34/619704634.db2.gz XVXMJBPAILQXPJ-BDAKNGLRSA-N 0 0 283.353 2.694 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CCSC2(CCC2)C1 ZINC000896248938 619704762 /nfs/dbraw/zinc/70/47/62/619704762.db2.gz XTVZTCYPBKKMEM-SECBINFHSA-N 0 0 282.369 2.559 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000896249278 619705375 /nfs/dbraw/zinc/70/53/75/619705375.db2.gz NJXFLQBLQCWSSJ-WCBMZHEXSA-N 0 0 267.354 2.873 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCS[C@H](C)C2)n1 ZINC000896251598 619706012 /nfs/dbraw/zinc/70/60/12/619706012.db2.gz ZIZLFXNCRKTHMX-ZJUUUORDSA-N 0 0 267.354 2.994 20 5 CFBDRN O=C(N[C@@H]1CCCc2ccccc2C1)c1ccc([N+](=O)[O-])[nH]1 ZINC000888251621 619709700 /nfs/dbraw/zinc/70/97/00/619709700.db2.gz BAFLLSZDKDUSPK-CYBMUJFWSA-N 0 0 299.330 2.600 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC(O)(C2CCC2)CC1 ZINC000896325257 619715693 /nfs/dbraw/zinc/71/56/93/619715693.db2.gz QAPLCGQJHAZDDY-UHFFFAOYSA-N 0 0 294.326 2.865 20 5 CFBDRN C[C@H]1C(=O)CCCN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000896335179 619718758 /nfs/dbraw/zinc/71/87/58/619718758.db2.gz GYOYZZIZHAWGRU-JTQLQIEISA-N 0 0 285.303 2.701 20 5 CFBDRN Cc1cccc(N[C@@H]2C[C@H]3OC(C)(C)O[C@H]3C2)c1[N+](=O)[O-] ZINC000896356857 619723605 /nfs/dbraw/zinc/72/36/05/619723605.db2.gz LAZDRPGZFQGKIH-UOJUARBOSA-N 0 0 292.335 2.998 20 5 CFBDRN CCO[C@H]1CCN(c2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000896405337 619730123 /nfs/dbraw/zinc/73/01/23/619730123.db2.gz SWKLJAJNYWGYBV-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000896409830 619731215 /nfs/dbraw/zinc/73/12/15/619731215.db2.gz SLWWBYYOHZWXRU-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)[C@H](Nc1ncc([N+](=O)[O-])cc1F)c1cnn(C)c1 ZINC000896424075 619734868 /nfs/dbraw/zinc/73/48/68/619734868.db2.gz XMXFBNRPFBXUKY-LBPRGKRZSA-N 0 0 293.302 2.672 20 5 CFBDRN Cc1cnc(N[C@H](C2CC2)[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000896436538 619738659 /nfs/dbraw/zinc/73/86/59/619738659.db2.gz JAZYGBPIRNSUBI-GXTWGEPZSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cnc(N[C@@H](C2CC2)[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000896436541 619738725 /nfs/dbraw/zinc/73/87/25/619738725.db2.gz JAZYGBPIRNSUBI-JSGCOSHPSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1ccc(NCCOC2CCOCC2)c([N+](=O)[O-])c1 ZINC000896467692 619740538 /nfs/dbraw/zinc/74/05/38/619740538.db2.gz UJBDXDCBGYBRCO-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN Cn1nc(C2CC2)cc1CNc1ccc([N+](=O)[O-])cc1 ZINC000896512401 619747490 /nfs/dbraw/zinc/74/74/90/619747490.db2.gz QDOFZEMYGPXXGI-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN COC[C@@H](CNc1ccc(N)cc1[N+](=O)[O-])C(C)C ZINC000896506580 619753027 /nfs/dbraw/zinc/75/30/27/619753027.db2.gz WEMYEBQNKLIILY-SNVBAGLBSA-N 0 0 267.329 2.508 20 5 CFBDRN Cc1nn(C)c(NCC[C@H]2CCC3(CCC3)O2)c1[N+](=O)[O-] ZINC000896558489 619762534 /nfs/dbraw/zinc/76/25/34/619762534.db2.gz NYCSHNGHVKZTOV-LLVKDONJSA-N 0 0 294.355 2.540 20 5 CFBDRN C[C@@H](NC(=O)NC/C=C\Cl)c1ccc([N+](=O)[O-])cc1 ZINC000896612776 619772577 /nfs/dbraw/zinc/77/25/77/619772577.db2.gz OPJZJVLCRJXCOL-RMGQIXRRSA-N 0 0 283.715 2.708 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC[C@H]1CC1CC1 ZINC000896636859 619779892 /nfs/dbraw/zinc/77/98/92/619779892.db2.gz FTWKIPGOULWJSR-GFCCVEGCSA-N 0 0 262.309 2.600 20 5 CFBDRN COC(=O)c1ccnc(NCC(C)(C)C2CC2)c1[N+](=O)[O-] ZINC000896783638 619814142 /nfs/dbraw/zinc/81/41/42/619814142.db2.gz QAQXIYJYRGWDCH-UHFFFAOYSA-N 0 0 293.323 2.625 20 5 CFBDRN CC1(C)CC[C@@H](CCNc2ccc([N+](=O)[O-])nc2)OC1 ZINC000896790139 619818686 /nfs/dbraw/zinc/81/86/86/619818686.db2.gz OQDMDPXSMJSKIS-LBPRGKRZSA-N 0 0 279.340 2.997 20 5 CFBDRN Cc1nccc(NC[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000896795030 619820387 /nfs/dbraw/zinc/82/03/87/619820387.db2.gz ZILFAHMYNLSFFQ-JTQLQIEISA-N 0 0 272.308 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(C3(O)CCC3)CC2)c(F)c1 ZINC000896808818 619823486 /nfs/dbraw/zinc/82/34/86/619823486.db2.gz YUJGGTJMRAMVGB-UHFFFAOYSA-N 0 0 280.299 2.841 20 5 CFBDRN COc1cc(NCC2(C3(O)CCC3)CC2)ccc1[N+](=O)[O-] ZINC000896810603 619824936 /nfs/dbraw/zinc/82/49/36/619824936.db2.gz XDSADETXGYXHSY-UHFFFAOYSA-N 0 0 292.335 2.711 20 5 CFBDRN COc1cccc(NCC[C@@H]2CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000896812974 619826150 /nfs/dbraw/zinc/82/61/50/619826150.db2.gz RFKLSESTZJNLFP-AAEUAGOBSA-N 0 0 294.351 2.957 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)C(C)C)c1 ZINC000728003942 619854169 /nfs/dbraw/zinc/85/41/69/619854169.db2.gz DBNAYWMKGGPBCX-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC23CCCCC3)cn1 ZINC000896989597 619911356 /nfs/dbraw/zinc/91/13/56/619911356.db2.gz CBTNGZDGXIAJNF-LBPRGKRZSA-N 0 0 277.324 2.894 20 5 CFBDRN COC(=O)[C@H]1C[C@@H]2C[C@H](Nc3ccccc3[N+](=O)[O-])[C@@H]2C1 ZINC000897011071 619914282 /nfs/dbraw/zinc/91/42/82/619914282.db2.gz QRAYUSRFTNXFCE-XZUYRWCXSA-N 0 0 290.319 2.594 20 5 CFBDRN C[C@H]1CC2(CC(Nc3ccc(N)cc3[N+](=O)[O-])C2)CO1 ZINC000897047064 619919054 /nfs/dbraw/zinc/91/90/54/619919054.db2.gz WWFSMVIUUDWKLO-VOIZVOFFSA-N 0 0 277.324 2.547 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2cnn(C3CCC3)c2)c(F)c1 ZINC000897105673 619934296 /nfs/dbraw/zinc/93/42/96/619934296.db2.gz JEHSQWOOLUPOFC-UHFFFAOYSA-N 0 0 277.259 2.794 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000897142187 619938457 /nfs/dbraw/zinc/93/84/57/619938457.db2.gz HLKFFPHQPJUAHN-UONOGXRCSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000897146905 619941299 /nfs/dbraw/zinc/94/12/99/619941299.db2.gz CVNSNKHFZJPTQV-DGCLKSJQSA-N 0 0 250.298 2.517 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nc(CCCCF)no2)c1 ZINC000897213100 619951177 /nfs/dbraw/zinc/95/11/77/619951177.db2.gz FZRFDIHMCMNUNC-UHFFFAOYSA-N 0 0 295.270 2.849 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000168624799 619952409 /nfs/dbraw/zinc/95/24/09/619952409.db2.gz XDTMLXQEHKQTLC-JTQLQIEISA-N 0 0 268.700 2.777 20 5 CFBDRN COc1ccc(-c2nc(CCCCF)no2)cc1[N+](=O)[O-] ZINC000897217656 619952628 /nfs/dbraw/zinc/95/26/28/619952628.db2.gz STTADKGTOSULDK-UHFFFAOYSA-N 0 0 295.270 2.946 20 5 CFBDRN CC1(C)CCC[C@@H](CNc2cccnc2[N+](=O)[O-])O1 ZINC000897255115 619960710 /nfs/dbraw/zinc/96/07/10/619960710.db2.gz XQKUUBZEFQGMLF-JTQLQIEISA-N 0 0 265.313 2.749 20 5 CFBDRN C[C@@H]1CN(c2cccnc2[N+](=O)[O-])CCN1c1ccccc1 ZINC000897271954 619963030 /nfs/dbraw/zinc/96/30/30/619963030.db2.gz VTUVALKILUZVAT-CYBMUJFWSA-N 0 0 298.346 2.705 20 5 CFBDRN CO[C@H](C)COC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909593651 619967257 /nfs/dbraw/zinc/96/72/57/619967257.db2.gz LNFHKDSVPQPOJL-MRVPVSSYSA-N 0 0 285.321 2.508 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000897296038 619972002 /nfs/dbraw/zinc/97/20/02/619972002.db2.gz XRXWVFWPRGYXJY-AOOOYVTPSA-N 0 0 277.280 2.739 20 5 CFBDRN CNc1ccc(C(=O)O[C@@H](C)[C@H](C)SC)cc1[N+](=O)[O-] ZINC000797191400 619973465 /nfs/dbraw/zinc/97/34/65/619973465.db2.gz KEOUUYIPOMKCIP-IUCAKERBSA-N 0 0 298.364 2.933 20 5 CFBDRN CS[C@H](C)[C@@H](C)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000797201028 619977179 /nfs/dbraw/zinc/97/71/79/619977179.db2.gz RTCAYBKZWPHEHU-RKDXNWHRSA-N 0 0 269.322 2.892 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2cccc(F)c2)ncc1[N+](=O)[O-] ZINC000074743573 619982100 /nfs/dbraw/zinc/98/21/00/619982100.db2.gz GJQUKKJZLXFWHV-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCOC23CCCC3)c(F)c1 ZINC000897320472 619988144 /nfs/dbraw/zinc/98/81/44/619988144.db2.gz YWFBRIOTXHJHOO-LLVKDONJSA-N 0 0 281.287 2.643 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC23CCCC3)cn1 ZINC000897322353 619991145 /nfs/dbraw/zinc/99/11/45/619991145.db2.gz DBXJIXKQXCEQFO-NSHDSACASA-N 0 0 263.297 2.503 20 5 CFBDRN Cc1cc(CSc2ccc([N+](=O)[O-])c(C)n2)no1 ZINC000897334632 619999031 /nfs/dbraw/zinc/99/90/31/619999031.db2.gz YJNRLGLVUCEJMD-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000221096562 620001771 /nfs/dbraw/zinc/00/17/71/620001771.db2.gz VNDXIZWVDFDKKJ-MRVPVSSYSA-N 0 0 282.252 2.706 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1cc([N+](=O)[O-])ccc1OC ZINC000021784118 620004023 /nfs/dbraw/zinc/00/40/23/620004023.db2.gz OKSLPQLCDXEBTD-VNKDHWASSA-N 0 0 277.276 2.779 20 5 CFBDRN CC(C)(C)c1noc(-c2cc([N+](=O)[O-])ccc2N)n1 ZINC000021814409 620004535 /nfs/dbraw/zinc/00/45/35/620004535.db2.gz VTWCUGMVBGKSEY-UHFFFAOYSA-N 0 0 262.269 2.525 20 5 CFBDRN CC(C)[C@H](C)OC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000123713901 620042833 /nfs/dbraw/zinc/04/28/33/620042833.db2.gz JPVBLDCMRSWKQY-QMMMGPOBSA-N 0 0 255.245 2.935 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@@H]2CCC[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000925231741 620055218 /nfs/dbraw/zinc/05/52/18/620055218.db2.gz FTAKYVBNXMUEJA-SCDSUCTJSA-N 0 0 289.335 2.850 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3COC[C@H]3C3CC3)ccc2c1 ZINC000897445277 620173429 /nfs/dbraw/zinc/17/34/29/620173429.db2.gz FEHGORMRQHZGSA-DZGCQCFKSA-N 0 0 299.330 2.980 20 5 CFBDRN CC(C)c1ccc(OC(=O)Cn2ccc([N+](=O)[O-])n2)cc1 ZINC000054446342 620178535 /nfs/dbraw/zinc/17/85/35/620178535.db2.gz BLEZZUOAQJKHGD-UHFFFAOYSA-N 0 0 289.291 2.520 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1c(F)cccc1[N+](=O)[O-])C2 ZINC000897490119 620188565 /nfs/dbraw/zinc/18/85/65/620188565.db2.gz BOSNPCFDYKLEEG-RNCFNFMXSA-N 0 0 282.340 2.923 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NC/C=C/Cl)cc2N1 ZINC000897508357 620197804 /nfs/dbraw/zinc/19/78/04/620197804.db2.gz MYTIVJLSNXFOJI-DAFODLJHSA-N 0 0 281.699 2.644 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCc3ccsc3C2)c1 ZINC000800861640 620199529 /nfs/dbraw/zinc/19/95/29/620199529.db2.gz XYAUTZXTMOQDLM-UHFFFAOYSA-N 0 0 275.333 2.801 20 5 CFBDRN CCSCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000067624628 620212508 /nfs/dbraw/zinc/21/25/08/620212508.db2.gz ZBUZPSSGVLBPAC-UHFFFAOYSA-N 0 0 255.295 2.505 20 5 CFBDRN CN(CC[N+](=O)[O-])Cc1cccc(Cl)c1Cl ZINC000929122136 620241829 /nfs/dbraw/zinc/24/18/29/620241829.db2.gz QMEQQAHXEQBHCB-UHFFFAOYSA-N 0 0 263.124 2.702 20 5 CFBDRN COC(=O)C[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000897544243 620236515 /nfs/dbraw/zinc/23/65/15/620236515.db2.gz LEQKBZXHWQIANK-BDAKNGLRSA-N 0 0 284.337 2.800 20 5 CFBDRN CCOc1ccc(C(=O)OCC(C)(C)F)cc1[N+](=O)[O-] ZINC000785929229 620238241 /nfs/dbraw/zinc/23/82/41/620238241.db2.gz FFIXVLCCYVIQKB-UHFFFAOYSA-N 0 0 285.271 2.898 20 5 CFBDRN C[C@H]1OCC[C@@H]1COc1cc(F)ccc1[N+](=O)[O-] ZINC000865343385 620239136 /nfs/dbraw/zinc/23/91/36/620239136.db2.gz IUFNGEXQRGIKQV-RKDXNWHRSA-N 0 0 255.245 2.538 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC=CCC2)c2nonc21 ZINC000080120414 620242664 /nfs/dbraw/zinc/24/26/64/620242664.db2.gz FFZAKGIMKKQWOM-QMMMGPOBSA-N 0 0 260.253 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@@H]2C)c1C ZINC000897853113 620294673 /nfs/dbraw/zinc/29/46/73/620294673.db2.gz KJXUVLKJTXLMBJ-ONGXEEELSA-N 0 0 262.309 2.692 20 5 CFBDRN CS[C@@H]1CCN(C(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000897888379 620298587 /nfs/dbraw/zinc/29/85/87/620298587.db2.gz BKORBFCOYIXDPN-LLVKDONJSA-N 0 0 294.376 2.789 20 5 CFBDRN Nc1c(C(=O)N[C@@H]2CC23CC3)cc2ccccc2c1[N+](=O)[O-] ZINC000898009243 620316585 /nfs/dbraw/zinc/31/65/85/620316585.db2.gz KGGBCKIIZVRGLT-GFCCVEGCSA-N 0 0 297.314 2.613 20 5 CFBDRN Cc1ccc(NC(=O)c2ccnc(F)c2)cc1[N+](=O)[O-] ZINC000041038868 620426647 /nfs/dbraw/zinc/42/66/47/620426647.db2.gz RESPUDSLMRBWIY-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@]1(O)CCCN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000163543967 620437553 /nfs/dbraw/zinc/43/75/53/620437553.db2.gz CHCDRNYINJINQK-ZDUSSCGKSA-N 0 0 286.278 2.884 20 5 CFBDRN CC(C)N1CCC[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000923892064 620445438 /nfs/dbraw/zinc/44/54/38/620445438.db2.gz MDMJIJCCFDNZNR-NSHDSACASA-N 0 0 298.364 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CCc1nc(C2CC2)no1 ZINC000923800996 620449024 /nfs/dbraw/zinc/44/90/24/620449024.db2.gz IVQUKCFLKUFHKR-UHFFFAOYSA-N 0 0 277.255 2.780 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000899199838 620530675 /nfs/dbraw/zinc/53/06/75/620530675.db2.gz JCGGBHABAPQFDQ-SECBINFHSA-N 0 0 285.303 2.565 20 5 CFBDRN O=[N+]([O-])CCOc1ccc(C2SCCS2)cc1 ZINC000899230505 620533274 /nfs/dbraw/zinc/53/32/74/620533274.db2.gz JAHTZYGKJKZMIG-UHFFFAOYSA-N 0 0 271.363 2.821 20 5 CFBDRN CCOC(=O)c1c(C)oc2ccc(OCC[N+](=O)[O-])cc21 ZINC000899231670 620533812 /nfs/dbraw/zinc/53/38/12/620533812.db2.gz TXRHRLFGYNHUPI-UHFFFAOYSA-N 0 0 293.275 2.573 20 5 CFBDRN O=[N+]([O-])CCOc1cc(C(F)(F)F)ccc1F ZINC000899232437 620534143 /nfs/dbraw/zinc/53/41/43/620534143.db2.gz OAHNPBSTYNLDNR-UHFFFAOYSA-N 0 0 253.151 2.500 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000899415274 620566191 /nfs/dbraw/zinc/56/61/91/620566191.db2.gz UGACCRZYIFTUGS-MNUQUWEESA-N 0 0 292.310 2.756 20 5 CFBDRN C/C(=C/Cl)CS(=O)(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000899496965 620574955 /nfs/dbraw/zinc/57/49/55/620574955.db2.gz QAUOJYRTKRNUNM-TWGQIWQCSA-N 0 0 289.740 2.652 20 5 CFBDRN CC[C@H](O)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000899937655 620615703 /nfs/dbraw/zinc/61/57/03/620615703.db2.gz RGPMJTLWVXKSSB-MFKMUULPSA-N 0 0 280.324 2.557 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)C[NH2+][C@H](C)[C@@H](C)C(=O)[O-] ZINC000901455135 620827991 /nfs/dbraw/zinc/82/79/91/620827991.db2.gz ZAPHOASZUHSIIZ-SXSOHVCJSA-N 0 0 292.335 2.697 20 5 CFBDRN O=C(C[C@H]1CC[C@@H](C2CC2)O1)Nc1ccc([N+](=O)[O-])cc1 ZINC000901517963 620854057 /nfs/dbraw/zinc/85/40/57/620854057.db2.gz OGVUYBNUAANQNN-KGLIPLIRSA-N 0 0 290.319 2.881 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000901517654 620854099 /nfs/dbraw/zinc/85/40/99/620854099.db2.gz NPECSBXYVVNZSV-GFCCVEGCSA-N 0 0 297.698 2.742 20 5 CFBDRN COC/C(C)=C/CSc1ccc([N+](=O)[O-])cn1 ZINC000901519251 620854666 /nfs/dbraw/zinc/85/46/66/620854666.db2.gz FYKJSPIZCIBLLQ-WEVVVXLNSA-N 0 0 254.311 2.675 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CCCC3(CCC3)O2)c1=O ZINC000901518947 620854997 /nfs/dbraw/zinc/85/49/97/620854997.db2.gz VDZDIFRELXECJU-ZDUSSCGKSA-N 0 0 292.335 2.557 20 5 CFBDRN CC[C@@H](C)C[C@H]([NH2+]Cc1csc([N+](=O)[O-])c1)C(=O)[O-] ZINC000901675036 620881958 /nfs/dbraw/zinc/88/19/58/620881958.db2.gz QVZZVRHWILVLPR-SCZZXKLOSA-N 0 0 286.353 2.635 20 5 CFBDRN CC(C)(C)OCCOc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000901699061 620897913 /nfs/dbraw/zinc/89/79/13/620897913.db2.gz DRRIAUZGFWNOFB-UHFFFAOYSA-N 0 0 297.307 2.560 20 5 CFBDRN CCC(CC)(C[NH2+]Cc1cccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000901720369 620899600 /nfs/dbraw/zinc/89/96/00/620899600.db2.gz TZVPWUDFRHTMEO-UHFFFAOYSA-N 0 0 294.351 2.884 20 5 CFBDRN O=C([O-])C1=CC[C@H]([NH2+]Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000901728531 620902097 /nfs/dbraw/zinc/90/20/97/620902097.db2.gz AAENIRRUNWIIKJ-JTQLQIEISA-N 0 0 296.710 2.511 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSCCO[C@@H]1CCOC1 ZINC000901833006 620925216 /nfs/dbraw/zinc/92/52/16/620925216.db2.gz MJLKWOLHVQCOOP-GFCCVEGCSA-N 0 0 283.349 2.634 20 5 CFBDRN COCC(COC)SCc1ccc(C)c([N+](=O)[O-])c1 ZINC000901857596 620927914 /nfs/dbraw/zinc/92/79/14/620927914.db2.gz YISSPWCCTSDYBW-UHFFFAOYSA-N 0 0 285.365 2.798 20 5 CFBDRN CCc1cccc(C)c1NCc1cn(C)nc1[N+](=O)[O-] ZINC000901957155 620945343 /nfs/dbraw/zinc/94/53/43/620945343.db2.gz LNTODNKRBLHYTQ-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CCCc1ccccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000901957854 620945807 /nfs/dbraw/zinc/94/58/07/620945807.db2.gz ORQRMEFABBSMGN-UHFFFAOYSA-N 0 0 274.324 2.893 20 5 CFBDRN CCC(=O)c1cccc(NCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000902016547 620959342 /nfs/dbraw/zinc/95/93/42/620959342.db2.gz MUKUWJMDJYSFMP-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN COc1cc(CNc2c(C)c([N+](=O)[O-])ccc2F)on1 ZINC000902050344 620964842 /nfs/dbraw/zinc/96/48/42/620964842.db2.gz ZDWZMXBPJISEPY-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN Cc1cccc(OCCCc2cncn2C)c1[N+](=O)[O-] ZINC000902309490 621028771 /nfs/dbraw/zinc/02/87/71/621028771.db2.gz OKSUTPOCGSOYLA-UHFFFAOYSA-N 0 0 275.308 2.648 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(C[C@H]3C[C@@]34CCOC4)c2)c1 ZINC000902316366 621030809 /nfs/dbraw/zinc/03/08/09/621030809.db2.gz QPGXOHORYICBFF-GDBMZVCRSA-N 0 0 299.330 2.885 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(C[C@@H]3C[C@]34CCOC4)c2)c1 ZINC000902316370 621031494 /nfs/dbraw/zinc/03/14/94/621031494.db2.gz QPGXOHORYICBFF-HOCLYGCPSA-N 0 0 299.330 2.885 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCC(C)(F)F ZINC000902340838 621032533 /nfs/dbraw/zinc/03/25/33/621032533.db2.gz QRSQMDKJJMLONI-UHFFFAOYSA-N 0 0 260.240 2.500 20 5 CFBDRN CCSc1ccccc1Cn1cc([N+](=O)[O-])cn1 ZINC000902326347 621036547 /nfs/dbraw/zinc/03/65/47/621036547.db2.gz VZPYYRHSVCHNMF-UHFFFAOYSA-N 0 0 263.322 2.952 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@H]1C[C@]12CCOC2 ZINC000902333713 621039642 /nfs/dbraw/zinc/03/96/42/621039642.db2.gz YTNPTRJFQKCYSV-RNCFNFMXSA-N 0 0 267.256 2.539 20 5 CFBDRN C[C@H](SCc1cccnc1[N+](=O)[O-])c1cnccn1 ZINC000902368179 621043252 /nfs/dbraw/zinc/04/32/52/621043252.db2.gz IOHVNIPLHHHUNF-VIFPVBQESA-N 0 0 276.321 2.774 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(C[C@H]2C[C@]23CCOC3)c1 ZINC000902490904 621102041 /nfs/dbraw/zinc/10/20/41/621102041.db2.gz IHCSKWVZFOWNTJ-CJNGLKHVSA-N 0 0 299.330 2.885 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSC[C@H]1C[C@]12CCOC2 ZINC000902540530 621114004 /nfs/dbraw/zinc/11/40/04/621114004.db2.gz FZFXYEKJNREOAX-YPMHNXCESA-N 0 0 280.349 2.650 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCC[C@@H]2C[C@H]2C2CC2)c1 ZINC000902545559 621123851 /nfs/dbraw/zinc/12/38/51/621123851.db2.gz ZMKGTUFYOTVNNU-YPMHNXCESA-N 0 0 281.381 2.961 20 5 CFBDRN Cc1noc(CSCCc2ccns2)c1[N+](=O)[O-] ZINC000902572350 621127924 /nfs/dbraw/zinc/12/79/24/621127924.db2.gz MGBZNRBEQPJGQK-UHFFFAOYSA-N 0 0 285.350 2.824 20 5 CFBDRN CCc1c(C)on(Cc2ccc(Cl)c([N+](=O)[O-])c2)c1=O ZINC000902580691 621130341 /nfs/dbraw/zinc/13/03/41/621130341.db2.gz AJDUULWXGAZNIY-UHFFFAOYSA-N 0 0 296.710 2.922 20 5 CFBDRN COc1cc([C@@H](C)NCc2cc(C)no2)cc([N+](=O)[O-])c1 ZINC000903091610 621256978 /nfs/dbraw/zinc/25/69/78/621256978.db2.gz XMLJADJAJMNQGP-SNVBAGLBSA-N 0 0 291.307 2.751 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1S(=O)(=O)c1ccccc1[N+](=O)[O-] ZINC000903146111 621268086 /nfs/dbraw/zinc/26/80/86/621268086.db2.gz SLQRZPPIJBCVAJ-SNVBAGLBSA-N 0 0 298.364 2.546 20 5 CFBDRN COc1cc([C@@H](C)N[C@H](C)C(F)F)cc([N+](=O)[O-])c1 ZINC000903221882 621293306 /nfs/dbraw/zinc/29/33/06/621293306.db2.gz VHLJUQCBBZSHFB-HTQZYQBOSA-N 0 0 274.267 2.908 20 5 CFBDRN C[C@@H](c1nc(-c2ccc([N+](=O)[O-])cc2)no1)[C@H]1CCCO1 ZINC000903269632 621307374 /nfs/dbraw/zinc/30/73/74/621307374.db2.gz AGGNFYNIUQXEPE-BXKDBHETSA-N 0 0 289.291 2.927 20 5 CFBDRN CC[C@@H](C)[C@H](C(=O)[O-])[N@H+](C)CCc1ccccc1[N+](=O)[O-] ZINC000903608422 621402106 /nfs/dbraw/zinc/40/21/06/621402106.db2.gz HQJHPAZFZLGGHT-BXUZGUMPSA-N 0 0 294.351 2.568 20 5 CFBDRN Cc1c(-c2noc([C@@H]3[C@H]4CCC(=O)[C@H]43)n2)cccc1[N+](=O)[O-] ZINC000903714592 621430855 /nfs/dbraw/zinc/43/08/55/621430855.db2.gz AMAMHMUTIYDDCM-TVYUQYBPSA-N 0 0 299.286 2.646 20 5 CFBDRN Nc1ccc2c(c1)CN(Cc1cccc([N+](=O)[O-])c1)CCO2 ZINC000903947024 621484959 /nfs/dbraw/zinc/48/49/59/621484959.db2.gz JNNJYZGRMYWBMC-UHFFFAOYSA-N 0 0 299.330 2.572 20 5 CFBDRN Cc1cc[nH]c1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000904045164 621511235 /nfs/dbraw/zinc/51/12/35/621511235.db2.gz XDWIKOSKRZZVOB-UHFFFAOYSA-N 0 0 285.303 2.824 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)n2)o1 ZINC000904086589 621519871 /nfs/dbraw/zinc/51/98/71/621519871.db2.gz RZYDRYAHPMNCMN-HBEGWYHASA-N 0 0 287.275 2.997 20 5 CFBDRN Nc1c(-c2nc(-c3ccccc3)no2)cccc1[N+](=O)[O-] ZINC000904238131 621558296 /nfs/dbraw/zinc/55/82/96/621558296.db2.gz CZKGXBKOFUABTK-UHFFFAOYSA-N 0 0 282.259 2.894 20 5 CFBDRN CCC1(CC(=O)OCc2ccc([N+](=O)[O-])c(C)c2)COC1 ZINC000904286523 621567529 /nfs/dbraw/zinc/56/75/29/621567529.db2.gz OQAZCBOCYVMUCU-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000904286798 621567580 /nfs/dbraw/zinc/56/75/80/621567580.db2.gz QYFKDYWHQODEGP-CQSZACIVSA-N 0 0 292.291 2.501 20 5 CFBDRN Nc1c(Cl)cc(-c2nc(C3CC3)no2)cc1[N+](=O)[O-] ZINC000904366006 621585954 /nfs/dbraw/zinc/58/59/54/621585954.db2.gz VMLVZXCQCPPUSY-UHFFFAOYSA-N 0 0 280.671 2.758 20 5 CFBDRN CCOc1ccc(-c2cc([N+](=O)[O-])ccc2CCO)nc1 ZINC000904813749 621645709 /nfs/dbraw/zinc/64/57/09/621645709.db2.gz NENIWOBWHXDNIT-UHFFFAOYSA-N 0 0 288.303 2.590 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1-c1nc(-c2ccn(C)n2)no1 ZINC000904878606 621669335 /nfs/dbraw/zinc/66/93/35/621669335.db2.gz HNBVVOLSVYGSMX-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H](C)[C@H]1CCCO1 ZINC000905088983 621693217 /nfs/dbraw/zinc/69/32/17/621693217.db2.gz BPHOJPSCVUINGO-SMDDNHRTSA-N 0 0 292.335 2.965 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1oc(C)nc1C ZINC000905104303 621700343 /nfs/dbraw/zinc/70/03/43/621700343.db2.gz QJZZTMPXCCWAIC-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1C[C@@H]2CCC[C@@H]2C1 ZINC000148896323 621702161 /nfs/dbraw/zinc/70/21/61/621702161.db2.gz OVPIYGPIDTXVPA-AOOOYVTPSA-N 0 0 278.283 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H]1C[C@]12CCOC2 ZINC000905237532 621732197 /nfs/dbraw/zinc/73/21/97/621732197.db2.gz WGNCHRDIYLDTIE-FZMZJTMJSA-N 0 0 263.293 2.709 20 5 CFBDRN O=[N+]([O-])CCNc1ccc2nc(N3CCCC3)sc2c1 ZINC000905298356 621733898 /nfs/dbraw/zinc/73/38/98/621733898.db2.gz AOKBGBDQVIHAHD-UHFFFAOYSA-N 0 0 292.364 2.585 20 5 CFBDRN Cc1c(NCC[N+](=O)[O-])cccc1OCC(F)(F)F ZINC000905315740 621739472 /nfs/dbraw/zinc/73/94/72/621739472.db2.gz WXIOCTNCPCHZJQ-UHFFFAOYSA-N 0 0 278.230 2.625 20 5 CFBDRN Cc1cc(C(=O)OCC(F)(F)C(F)F)ccc1[N+](=O)[O-] ZINC000174262716 621739577 /nfs/dbraw/zinc/73/95/77/621739577.db2.gz GRAGIJZUGHRPAM-UHFFFAOYSA-N 0 0 295.188 2.960 20 5 CFBDRN CC(C)Oc1ccc(NCC[N+](=O)[O-])cc1Cl ZINC000905303166 621745815 /nfs/dbraw/zinc/74/58/15/621745815.db2.gz IXFOEELUFXZETL-UHFFFAOYSA-N 0 0 258.705 2.816 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2nnc(C3CCC3)o2)c1 ZINC000905426419 621769514 /nfs/dbraw/zinc/76/95/14/621769514.db2.gz KOJNZCXUKHUNPU-UHFFFAOYSA-N 0 0 274.280 2.857 20 5 CFBDRN CCON(CCn1cc([N+](=O)[O-])cn1)CC1CCCCC1 ZINC000832786624 625515685 /nfs/dbraw/zinc/51/56/85/625515685.db2.gz DSNORLMLWGDCLO-UHFFFAOYSA-N 0 0 296.371 2.625 20 5 CFBDRN CC[C@@H](C)[C@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000905852683 621888945 /nfs/dbraw/zinc/88/89/45/621888945.db2.gz MSWMPBAGDACIFM-GYSYKLTISA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1nnc([C@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000905852324 621889212 /nfs/dbraw/zinc/88/92/12/621889212.db2.gz IDBWEALNPNPWML-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN CCc1ccnc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000906118834 621933261 /nfs/dbraw/zinc/93/32/61/621933261.db2.gz LSMJYJCBMHANLC-UHFFFAOYSA-N 0 0 290.323 2.682 20 5 CFBDRN C[C@H](OC(=O)COC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000906120985 621933577 /nfs/dbraw/zinc/93/35/77/621933577.db2.gz ZBKWBLCZMWZYSL-ZETCQYMHSA-N 0 0 293.197 2.735 20 5 CFBDRN CC(C)(C)n1cc(-c2cc(CO)cc([N+](=O)[O-])c2)cn1 ZINC000906188113 621948654 /nfs/dbraw/zinc/94/86/54/621948654.db2.gz YNWCEBVYFVVOJV-UHFFFAOYSA-N 0 0 275.308 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N=[S@@](C)(=O)C(C)C)c1 ZINC000906205431 621952627 /nfs/dbraw/zinc/95/26/27/621952627.db2.gz VFIZFINLSXKUTP-IBGZPJMESA-N 0 0 284.337 2.550 20 5 CFBDRN CC(C)(C)[S@](C)(=O)=NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000906309767 621972000 /nfs/dbraw/zinc/97/20/00/621972000.db2.gz JCWUHRYVMWYVJF-FQEVSTJZSA-N 0 0 298.364 2.560 20 5 CFBDRN CC(C)(C)[S@@](C)(=O)=NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000906326396 621974793 /nfs/dbraw/zinc/97/47/93/621974793.db2.gz VQOZSWNBYXBHMO-HXUWFJFHSA-N 0 0 298.364 2.560 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@]12C[C@H]1CCCC2 ZINC000927462725 622062572 /nfs/dbraw/zinc/06/25/72/622062572.db2.gz ZBJYGIFIWARLRT-CJNGLKHVSA-N 0 0 288.347 2.834 20 5 CFBDRN CC(C)[C@H](F)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000833030238 625543663 /nfs/dbraw/zinc/54/36/63/625543663.db2.gz XCKOCXZBBXHVJZ-CABZTGNLSA-N 0 0 268.288 2.766 20 5 CFBDRN O=C(CCF)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000907800309 622163946 /nfs/dbraw/zinc/16/39/46/622163946.db2.gz ISWHPUOKBWPIGZ-UHFFFAOYSA-N 0 0 294.204 2.589 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1cc([N+](=O)[O-])ccc1F ZINC000927481662 622177205 /nfs/dbraw/zinc/17/72/05/622177205.db2.gz REKCRCPGHOMXPZ-HOSYDEDBSA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NN2[C@H](C)CCC[C@@H]2C)c1 ZINC000907987056 622182896 /nfs/dbraw/zinc/18/28/96/622182896.db2.gz AHJFPBREEAWXNH-TXEJJXNPSA-N 0 0 291.351 2.811 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H](C)[C@@H]1CCCO1 ZINC000908267818 622209804 /nfs/dbraw/zinc/20/98/04/622209804.db2.gz LNNKMVPHALHROX-YPMHNXCESA-N 0 0 292.335 2.965 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000908428040 622229032 /nfs/dbraw/zinc/22/90/32/622229032.db2.gz OSPROLOHRNNNPQ-ZCFIWIBFSA-N 0 0 292.669 2.865 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000908716475 622267959 /nfs/dbraw/zinc/26/79/59/622267959.db2.gz YIJWYFQQAZDRQS-APPZFPTMSA-N 0 0 297.694 2.630 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000908824658 622278186 /nfs/dbraw/zinc/27/81/86/622278186.db2.gz HBQDGTOSJLANGL-GMSGAONNSA-N 0 0 297.694 2.630 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000908999375 622296679 /nfs/dbraw/zinc/29/66/79/622296679.db2.gz PMLUEKJJBKMHPS-HTQZYQBOSA-N 0 0 297.694 2.630 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000909070150 622302291 /nfs/dbraw/zinc/30/22/91/622302291.db2.gz DETVGQZWDMDBPV-UHFFFAOYSA-N 0 0 292.335 2.782 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000909060074 622305688 /nfs/dbraw/zinc/30/56/88/622305688.db2.gz YQVQNQURJPATIQ-WTKPLQERSA-N 0 0 297.282 2.792 20 5 CFBDRN COC/C(C)=C\COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000909113640 622314321 /nfs/dbraw/zinc/31/43/21/622314321.db2.gz OLAVEPGPOVEACH-UITAMQMPSA-N 0 0 299.710 2.998 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000909222634 622328498 /nfs/dbraw/zinc/32/84/98/622328498.db2.gz JTSJCXQOTQCRIX-RUDMXATFSA-N 0 0 297.282 2.792 20 5 CFBDRN COC/C(C)=C/COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000909233837 622330139 /nfs/dbraw/zinc/33/01/39/622330139.db2.gz SQPRRNBSXXTKFV-VNKGSWCUSA-N 0 0 293.319 2.834 20 5 CFBDRN CC[C@H](COC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)OC ZINC000910027144 622388077 /nfs/dbraw/zinc/38/80/77/622388077.db2.gz IDQUKEWOQRBONV-RDBSUJKOSA-N 0 0 293.319 2.667 20 5 CFBDRN CC(=O)CCCOC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028861 622388764 /nfs/dbraw/zinc/38/87/64/622388764.db2.gz VYEUAGGCRJXTJT-ZIAGYGMSSA-N 0 0 291.303 2.611 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC=CC1 ZINC000910010370 622390991 /nfs/dbraw/zinc/39/09/91/622390991.db2.gz DYOWCESPQGSCGC-UHFFFAOYSA-N 0 0 260.293 2.532 20 5 CFBDRN O=C(c1cc(C(F)(F)F)ccc1[N+](=O)[O-])N1CC=CC1 ZINC000910018639 622392893 /nfs/dbraw/zinc/39/28/93/622392893.db2.gz SCAFHFUNPIJILP-UHFFFAOYSA-N 0 0 286.209 2.626 20 5 CFBDRN O=C(N[C@H]1CC12CC2)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000911289017 622517426 /nfs/dbraw/zinc/51/74/26/622517426.db2.gz VHYHUHAEZRYIHH-VIFPVBQESA-N 0 0 284.674 2.670 20 5 CFBDRN C[C@H](C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F)C1CCC1 ZINC000911475283 622522184 /nfs/dbraw/zinc/52/21/84/622522184.db2.gz UOSAECIUFBCZSN-QMMMGPOBSA-N 0 0 298.289 2.925 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911472514 622522330 /nfs/dbraw/zinc/52/23/30/622522330.db2.gz LMPKYUCXRFEQCH-SECBINFHSA-N 0 0 298.289 2.925 20 5 CFBDRN CC(C)(C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F)C1CC1 ZINC000911473731 622522338 /nfs/dbraw/zinc/52/23/38/622522338.db2.gz HDHMNWQLDWBOOY-UHFFFAOYSA-N 0 0 298.289 2.925 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911474027 622522379 /nfs/dbraw/zinc/52/23/79/622522379.db2.gz IFTMGHPEGWOPLS-VXNVDRBHSA-N 0 0 284.262 2.535 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000911477917 622522892 /nfs/dbraw/zinc/52/28/92/622522892.db2.gz XFEQMHONNMSZJH-WOFXILAISA-N 0 0 296.273 2.535 20 5 CFBDRN CCCCC(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911479231 622524689 /nfs/dbraw/zinc/52/46/89/622524689.db2.gz XUSVOBIVZDJHOD-UHFFFAOYSA-N 0 0 272.251 2.679 20 5 CFBDRN Cc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)o1 ZINC000028610418 622528064 /nfs/dbraw/zinc/52/80/64/622528064.db2.gz HARWQKBVSNBLID-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000911731475 622547924 /nfs/dbraw/zinc/54/79/24/622547924.db2.gz CKAJENJYQBHWAZ-UHFFFAOYSA-N 0 0 284.363 2.683 20 5 CFBDRN Nc1ccc(NC(=O)c2nc(Cl)cs2)cc1[N+](=O)[O-] ZINC000819900049 622568324 /nfs/dbraw/zinc/56/83/24/622568324.db2.gz ZTSISJXFJHFOAW-UHFFFAOYSA-N 0 0 298.711 2.539 20 5 CFBDRN COC(=O)/C=C/c1ccc(N[C@@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000819941506 622578238 /nfs/dbraw/zinc/57/82/38/622578238.db2.gz NVHCGUSXYQROBC-MASHWEEQSA-N 0 0 288.303 2.745 20 5 CFBDRN CN(C[C@@](C)(O)c1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000819951291 622582039 /nfs/dbraw/zinc/58/20/39/622582039.db2.gz UXBIPJMPPLWJAU-MRXNPFEDSA-N 0 0 286.331 2.939 20 5 CFBDRN O=C(/C=C\[C@@H]1CCCO1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000819964014 622585858 /nfs/dbraw/zinc/58/58/58/622585858.db2.gz JYAAZIGUVISWCR-OAQKJQOQSA-N 0 0 297.694 2.889 20 5 CFBDRN CCC1(C)CN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000819969340 622588130 /nfs/dbraw/zinc/58/81/30/622588130.db2.gz MIJIOOALPMGQJW-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN CCCc1[nH]nc(C(=O)N(C)c2ccsc2)c1[N+](=O)[O-] ZINC000912009583 622602269 /nfs/dbraw/zinc/60/22/69/622602269.db2.gz JSXZIOKMCXMRIA-UHFFFAOYSA-N 0 0 294.336 2.609 20 5 CFBDRN C=C=CCNC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000820193784 622624480 /nfs/dbraw/zinc/62/44/80/622624480.db2.gz MPFVHVCEYVQDAM-UHFFFAOYSA-N 0 0 274.320 2.963 20 5 CFBDRN Cn1c(C(=O)O[C@H]2CCC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000820212551 622628817 /nfs/dbraw/zinc/62/88/17/622628817.db2.gz DTHSHAXIUNOFQC-VIFPVBQESA-N 0 0 266.297 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000820289618 622643414 /nfs/dbraw/zinc/64/34/14/622643414.db2.gz QHQXNLRCWSGBHV-TXEJJXNPSA-N 0 0 288.347 2.940 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NN1CCCC[C@H]1C ZINC000912141166 622644904 /nfs/dbraw/zinc/64/49/04/622644904.db2.gz XKZYBVZSKLXOLB-SECBINFHSA-N 0 0 295.314 2.562 20 5 CFBDRN Cc1ncsc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000071971457 625584317 /nfs/dbraw/zinc/58/43/17/625584317.db2.gz JUSXIDDETIYXAU-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1C[C@H](C)[C@H](C)C1 ZINC000820408893 622665054 /nfs/dbraw/zinc/66/50/54/622665054.db2.gz GORFECMPZZJUTL-PHIMTYICSA-N 0 0 276.336 2.940 20 5 CFBDRN CO[C@@H](C)COc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912359624 622693493 /nfs/dbraw/zinc/69/34/93/622693493.db2.gz SVDDFVHNTBUVJK-LURJTMIESA-N 0 0 263.652 2.801 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CC[C@@H](C2CC2)C1 ZINC000867105696 625588273 /nfs/dbraw/zinc/58/82/73/625588273.db2.gz SMLKGRPLBRZZOL-GFCCVEGCSA-N 0 0 292.310 2.535 20 5 CFBDRN O=C(Nc1cn[nH]c1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000072025481 625588383 /nfs/dbraw/zinc/58/83/83/625588383.db2.gz PDUGBIORSWMOEH-UHFFFAOYSA-N 0 0 281.659 2.615 20 5 CFBDRN CN(Cc1cnccn1)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000820664426 622730941 /nfs/dbraw/zinc/73/09/41/622730941.db2.gz NVQODWUGAZPOBY-UHFFFAOYSA-N 0 0 296.689 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(OS(=O)(=O)C2CCC2)c(Cl)c1 ZINC000820744718 622748770 /nfs/dbraw/zinc/74/87/70/622748770.db2.gz YHVXQCNGSQOIPW-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN Cc1ccc(CN(C)c2ccc([N+](=O)[O-])c3nonc32)o1 ZINC000912729853 622769402 /nfs/dbraw/zinc/76/94/02/622769402.db2.gz PIXFXLUIMZYZAJ-UHFFFAOYSA-N 0 0 288.263 2.669 20 5 CFBDRN CC(F)(F)CCNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000820904902 622789542 /nfs/dbraw/zinc/78/95/42/622789542.db2.gz DAZCXNZGWXOSGB-RYUDHWBXSA-N 0 0 298.289 2.860 20 5 CFBDRN Cc1ncoc1-c1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000820918421 622791771 /nfs/dbraw/zinc/79/17/71/622791771.db2.gz RCWLNZBHVKICKO-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)N1C[C@@H]2C[C@@H]2C1 ZINC000912984916 622813377 /nfs/dbraw/zinc/81/33/77/622813377.db2.gz VQUWEQVMZIFYOY-PHIMTYICSA-N 0 0 294.738 2.659 20 5 CFBDRN COc1cnc(C=Cc2ccc(C)c([N+](=O)[O-])c2)[nH]c1=O ZINC000821009272 622819432 /nfs/dbraw/zinc/81/94/32/622819432.db2.gz YNYUMWSHGZJMAJ-WAYWQWQTSA-N 0 0 287.275 2.578 20 5 CFBDRN CCCCC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)C(=O)OC ZINC000151032089 622820714 /nfs/dbraw/zinc/82/07/14/622820714.db2.gz SAOWJUVJGZZYJS-LLVKDONJSA-N 0 0 295.339 2.832 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CC=CC12CCCC2 ZINC000913202978 622847940 /nfs/dbraw/zinc/84/79/40/622847940.db2.gz OQZDGMGICDNLGX-UHFFFAOYSA-N 0 0 287.319 2.502 20 5 CFBDRN O=C(OCCCOc1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000913224515 622860784 /nfs/dbraw/zinc/86/07/84/622860784.db2.gz ZTRZIXHQDWIOQA-UHFFFAOYSA-N 0 0 291.259 2.814 20 5 CFBDRN C[C@@H](NC(=O)CCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000821180034 622863100 /nfs/dbraw/zinc/86/31/00/622863100.db2.gz ZPMOOYILESKBKP-SECBINFHSA-N 0 0 280.299 2.581 20 5 CFBDRN CC/C=C/CNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000821309676 622891591 /nfs/dbraw/zinc/89/15/91/622891591.db2.gz URVRNOASQQCZOL-PUWCWTCHSA-N 0 0 274.320 2.781 20 5 CFBDRN CN(C)c1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)cc1 ZINC000151375702 622903233 /nfs/dbraw/zinc/90/32/33/622903233.db2.gz KPQBQLBNMISNLY-UHFFFAOYSA-N 0 0 299.330 2.842 20 5 CFBDRN CC(C)(O)CCCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000821593573 622952251 /nfs/dbraw/zinc/95/22/51/622952251.db2.gz ZQMISPLNLNBBBN-UHFFFAOYSA-N 0 0 281.308 2.693 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCC(F)(F)C1 ZINC000821738912 622968967 /nfs/dbraw/zinc/96/89/67/622968967.db2.gz QFIQQAQIJVIPFC-UHFFFAOYSA-N 0 0 284.262 2.693 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803404602 622984174 /nfs/dbraw/zinc/98/41/74/622984174.db2.gz RXCFMONSTHPAMR-VIFPVBQESA-N 0 0 285.246 2.962 20 5 CFBDRN CC1(C)CC[C@@H]1OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000821845316 622991585 /nfs/dbraw/zinc/99/15/85/622991585.db2.gz AUXAOFVLKGKCTD-NSHDSACASA-N 0 0 264.281 2.522 20 5 CFBDRN C[C@@]1(COC(=O)CCNc2ccccc2[N+](=O)[O-])C[C@H]1F ZINC000822102071 623036701 /nfs/dbraw/zinc/03/67/01/623036701.db2.gz XPLVAPRZGWTOAM-OCCSQVGLSA-N 0 0 296.298 2.688 20 5 CFBDRN COc1cc(C(=O)OC[C@]2(C)C[C@@H]2F)ccc1[N+](=O)[O-] ZINC000822112575 623039970 /nfs/dbraw/zinc/03/99/70/623039970.db2.gz SEHFSOUVJXEANA-AAEUAGOBSA-N 0 0 283.255 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@]2(C)C[C@@H]2F)c1 ZINC000822117534 623040689 /nfs/dbraw/zinc/04/06/89/623040689.db2.gz ARNISSJFRBMLDM-AAEUAGOBSA-N 0 0 267.256 2.808 20 5 CFBDRN CCCCCOC(=O)c1ccc([N+](=O)[O-])cc1N ZINC000058406161 623046479 /nfs/dbraw/zinc/04/64/79/623046479.db2.gz CYDNPOAIVGRMPE-UHFFFAOYSA-N 0 0 252.270 2.524 20 5 CFBDRN C[C@H](C(=O)OC[C@@]1(C)C[C@@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000822136241 623047221 /nfs/dbraw/zinc/04/72/21/623047221.db2.gz BXUYWNICEPXQRH-DUFXMDAXSA-N 0 0 281.283 2.990 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC=CCC1 ZINC000167538529 623054499 /nfs/dbraw/zinc/05/44/99/623054499.db2.gz RYKHPGGKUKKIOU-UHFFFAOYSA-N 0 0 266.684 2.650 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1CC=CCC1 ZINC000058963746 623076835 /nfs/dbraw/zinc/07/68/35/623076835.db2.gz PEAGFOQTLVOULE-LBPRGKRZSA-N 0 0 261.277 2.994 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000822321446 623081355 /nfs/dbraw/zinc/08/13/55/623081355.db2.gz QASFMZIBKURQRY-SECBINFHSA-N 0 0 296.289 2.623 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000822443037 623104884 /nfs/dbraw/zinc/10/48/84/623104884.db2.gz NMDCQQFWNGPFOW-ZQDZILKHSA-N 0 0 288.347 2.859 20 5 CFBDRN CN(C)c1ccccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822460604 623108804 /nfs/dbraw/zinc/10/88/04/623108804.db2.gz JBWBMDWGOVJHFK-UHFFFAOYSA-N 0 0 279.340 2.527 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000822637725 623141008 /nfs/dbraw/zinc/14/10/08/623141008.db2.gz ZNHSQMSYZYVFBV-AGIUHOORSA-N 0 0 274.320 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000228581070 623150718 /nfs/dbraw/zinc/15/07/18/623150718.db2.gz MYOLJXCHYUGBEQ-MRVPVSSYSA-N 0 0 283.309 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC1(O)CCCCC1 ZINC000087458505 623160716 /nfs/dbraw/zinc/16/07/16/623160716.db2.gz AZGXWXAWWOSEOW-UHFFFAOYSA-N 0 0 265.309 2.977 20 5 CFBDRN O=C(NCc1ccsc1)c1cc(F)ccc1[N+](=O)[O-] ZINC000060935516 623166558 /nfs/dbraw/zinc/16/65/58/623166558.db2.gz XAIIUGNHPNCJCB-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN CO[C@@H](CN1CCc2cc(C)c([N+](=O)[O-])cc21)C1CC1 ZINC000822807939 623179036 /nfs/dbraw/zinc/17/90/36/623179036.db2.gz LMJYWTFBPWREHT-HNNXBMFYSA-N 0 0 276.336 2.691 20 5 CFBDRN O=C(NC1(C(F)(F)F)CC1)c1cc([N+](=O)[O-])ccc1F ZINC000229116569 623183620 /nfs/dbraw/zinc/18/36/20/623183620.db2.gz ULMOEDOUMUUKMR-UHFFFAOYSA-N 0 0 292.188 2.559 20 5 CFBDRN Cc1ncccc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000170986391 623197268 /nfs/dbraw/zinc/19/72/68/623197268.db2.gz GSTSCFXETWRLPM-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1cscn1 ZINC000061290654 623199960 /nfs/dbraw/zinc/19/99/60/623199960.db2.gz ISNCNCCVOHUVAO-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN CC(C)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000171912415 623250070 /nfs/dbraw/zinc/25/00/70/623250070.db2.gz YTCCSPLCTYHASI-MRVPVSSYSA-N 0 0 254.261 2.965 20 5 CFBDRN Cc1cc(S(=O)(=O)Oc2ccccc2)ccc1[N+](=O)[O-] ZINC000172014638 623252255 /nfs/dbraw/zinc/25/22/55/623252255.db2.gz DOQVHSJFRVHRMQ-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN CCC[C@H](CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C)OC ZINC000823242023 623273032 /nfs/dbraw/zinc/27/30/32/623273032.db2.gz FNPZMEJPPAMIFY-GFCCVEGCSA-N 0 0 294.351 2.757 20 5 CFBDRN CO[C@@]1(C)C[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000823252741 623276272 /nfs/dbraw/zinc/27/62/72/623276272.db2.gz FWGWQTJOGVVCOB-SKDRFNHKSA-N 0 0 299.714 2.547 20 5 CFBDRN CCO[C@H]1C[C@H]1C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000172361540 623277921 /nfs/dbraw/zinc/27/79/21/623277921.db2.gz FAZZBPUGYJTGJU-PELKAZGASA-N 0 0 299.710 2.887 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2cccnc2[N+](=O)[O-])C1 ZINC000230917995 623310518 /nfs/dbraw/zinc/31/05/18/623310518.db2.gz SXSOUWLYKZMXLM-DTWKUNHWSA-N 0 0 253.327 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCCOCC(F)(F)F)c1 ZINC000172883698 623315144 /nfs/dbraw/zinc/31/51/44/623315144.db2.gz MPAGFOUWZLBCBB-UHFFFAOYSA-N 0 0 282.193 2.725 20 5 CFBDRN COc1ccc(OCc2cnc(Cl)cn2)c([N+](=O)[O-])c1 ZINC000231289087 623337120 /nfs/dbraw/zinc/33/71/20/623337120.db2.gz YUYQDQMQYANFOJ-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN CCCCCOC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173502602 623353705 /nfs/dbraw/zinc/35/37/05/623353705.db2.gz NLHDTAFXCKSECY-UHFFFAOYSA-N 0 0 251.282 2.871 20 5 CFBDRN COCCCCN(C)C(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000823695848 623379581 /nfs/dbraw/zinc/37/95/81/623379581.db2.gz PNSSWMIVLGXVSQ-UHFFFAOYSA-N 0 0 294.351 2.710 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)c1cccnc1 ZINC000174022855 623381524 /nfs/dbraw/zinc/38/15/24/623381524.db2.gz KVJFCSZIOHNDSC-VIFPVBQESA-N 0 0 289.266 2.871 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)/C=C/[C@H]1CCCO1 ZINC000823814468 623399875 /nfs/dbraw/zinc/39/98/75/623399875.db2.gz KDVFMZOOGCTYPH-LJJSCBMDSA-N 0 0 294.282 2.716 20 5 CFBDRN Cc1cc(C(=O)OCCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000063900850 623401273 /nfs/dbraw/zinc/40/12/73/623401273.db2.gz JAZCHIUXHFFOEF-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN Cc1noc([C@@H](C)OC(=O)c2cc(C)ccc2[N+](=O)[O-])n1 ZINC000174243710 623401946 /nfs/dbraw/zinc/40/19/46/623401946.db2.gz JMSLCDMGZTWULD-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(F)c2)c2nonc21 ZINC000035234447 623415498 /nfs/dbraw/zinc/41/54/98/623415498.db2.gz MWDMMYOAHISJSX-UHFFFAOYSA-N 0 0 288.238 2.882 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823926779 623419702 /nfs/dbraw/zinc/41/97/02/623419702.db2.gz GWNWBLPWQOGEAY-TZMCWYRMSA-N 0 0 292.339 2.733 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823979757 623428208 /nfs/dbraw/zinc/42/82/08/623428208.db2.gz BIRBPOYBJJDXCV-SKDRFNHKSA-N 0 0 292.339 2.877 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823985541 623429251 /nfs/dbraw/zinc/42/92/51/623429251.db2.gz AHEHSOKKXZSHBC-KOLCDFICSA-N 0 0 292.339 2.829 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000824236192 623474055 /nfs/dbraw/zinc/47/40/55/623474055.db2.gz JEEWVFIJJXINCK-FZMZJTMJSA-N 0 0 294.351 2.569 20 5 CFBDRN C[C@H](CCO)SCc1ccc([N+](=O)[O-])c(F)c1 ZINC000094775048 623474883 /nfs/dbraw/zinc/47/48/83/623474883.db2.gz VZIMYRPTEGEIRA-MRVPVSSYSA-N 0 0 259.302 2.738 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000913807071 623595474 /nfs/dbraw/zinc/59/54/74/623595474.db2.gz ROBLMHPAQLSCTB-GYSYKLTISA-N 0 0 292.335 2.587 20 5 CFBDRN O=C(NC[C@@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])cc1 ZINC000913861525 623626381 /nfs/dbraw/zinc/62/63/81/623626381.db2.gz BXOZAPFDKYSDPW-QMMMGPOBSA-N 0 0 289.118 2.518 20 5 CFBDRN CO[C@H](C)CC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152183191 623659216 /nfs/dbraw/zinc/65/92/16/623659216.db2.gz XUURITVIQAZYJW-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825640334 623728015 /nfs/dbraw/zinc/72/80/15/623728015.db2.gz DECHWJHCEDCUQC-VXGBXAGGSA-N 0 0 262.309 2.566 20 5 CFBDRN Cc1ccc(CNC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000825640333 623728209 /nfs/dbraw/zinc/72/82/09/623728209.db2.gz CZVSQIGHSMXBDM-RDBSUJKOSA-N 0 0 288.347 2.956 20 5 CFBDRN CCC(C)(C)OCC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825641290 623729203 /nfs/dbraw/zinc/72/92/03/623729203.db2.gz UUOQHEWFHJVGJZ-UHFFFAOYSA-N 0 0 294.351 2.725 20 5 CFBDRN CC/C=C(\C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825655474 623731255 /nfs/dbraw/zinc/73/12/55/623731255.db2.gz PGBUQAOGZYASHE-VZUCSPMQSA-N 0 0 262.309 2.876 20 5 CFBDRN Cc1ccc(OC(=O)c2cncc(F)c2)c([N+](=O)[O-])c1 ZINC000152541752 623731812 /nfs/dbraw/zinc/73/18/12/623731812.db2.gz DDZJVJBFCHEWPT-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN O=C(NCCCCC1CC1)c1ccc([N+](=O)[O-])s1 ZINC000825695119 623741808 /nfs/dbraw/zinc/74/18/08/623741808.db2.gz DHZSFUBJTLEYLZ-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152728357 623759746 /nfs/dbraw/zinc/75/97/46/623759746.db2.gz HUJWQHJRHFTFIY-ZYHUDNBSSA-N 0 0 298.726 2.546 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])o1)C(C)(F)F ZINC000825893618 623766660 /nfs/dbraw/zinc/76/66/60/623766660.db2.gz HPVHREIHFMEMKP-UHFFFAOYSA-N 0 0 276.239 2.599 20 5 CFBDRN O=C(OCCCc1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000031554938 623769891 /nfs/dbraw/zinc/76/98/91/623769891.db2.gz GXYPUVDFNPBJAI-UHFFFAOYSA-N 0 0 286.287 2.779 20 5 CFBDRN C[C@H]1CC(NC(=O)CCC(C)(C)[N+](=O)[O-])C[C@H](C)C1 ZINC000826001404 623779973 /nfs/dbraw/zinc/77/99/73/623779973.db2.gz MQOLWOZQVILWMO-GHMZBOCLSA-N 0 0 270.373 2.763 20 5 CFBDRN Cc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)n1C ZINC000031643822 623786351 /nfs/dbraw/zinc/78/63/51/623786351.db2.gz GSIJQVKBURCPPL-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1C[C@]1(C)c1ccccc1)[N+](=O)[O-] ZINC000826086121 623791930 /nfs/dbraw/zinc/79/19/30/623791930.db2.gz NROMOJALOJFXQC-XJKSGUPXSA-N 0 0 290.363 2.668 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000826670083 623836272 /nfs/dbraw/zinc/83/62/72/623836272.db2.gz DQVOXAMEXYBKLG-NXEZZACHSA-N 0 0 297.311 2.712 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000826670086 623837007 /nfs/dbraw/zinc/83/70/07/623837007.db2.gz DQVOXAMEXYBKLG-ZJUUUORDSA-N 0 0 297.311 2.712 20 5 CFBDRN Cc1nc(CC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cs1 ZINC000032033868 623873508 /nfs/dbraw/zinc/87/35/08/623873508.db2.gz DIQWVHQJGNDPJU-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)CC2(CCC2)O1 ZINC000826790742 623876461 /nfs/dbraw/zinc/87/64/61/623876461.db2.gz GDMKDQOVBYXQIM-SNVBAGLBSA-N 0 0 280.299 2.882 20 5 CFBDRN CN(Cc1c(F)cccc1Cl)Cn1cc([N+](=O)[O-])cn1 ZINC000914564961 623900140 /nfs/dbraw/zinc/90/01/40/623900140.db2.gz AACGFJGWFAQGIG-UHFFFAOYSA-N 0 0 298.705 2.673 20 5 CFBDRN CN(Cn1cc([N+](=O)[O-])cn1)[C@@H]1CCCc2ccccc21 ZINC000914566285 623900196 /nfs/dbraw/zinc/90/01/96/623900196.db2.gz UZWXFDDXOIOJEW-OAHLLOKOSA-N 0 0 286.335 2.758 20 5 CFBDRN CC(C)SCC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000914591443 623905533 /nfs/dbraw/zinc/90/55/33/623905533.db2.gz TWHFBCLNKTWIHC-UHFFFAOYSA-N 0 0 269.322 2.780 20 5 CFBDRN Cc1cccc(C)c1CCNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827028088 623926385 /nfs/dbraw/zinc/92/63/85/623926385.db2.gz OBJNLYNVWPTYTJ-UHFFFAOYSA-N 0 0 292.379 2.798 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NCCCF)cc1[N+](=O)[O-] ZINC000827053213 623932217 /nfs/dbraw/zinc/93/22/17/623932217.db2.gz GKBSPRGMSNGMLF-UHFFFAOYSA-N 0 0 274.679 2.646 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c(C)[nH]1 ZINC000914770289 623935972 /nfs/dbraw/zinc/93/59/72/623935972.db2.gz CQMBKWKMYPLJGA-UHFFFAOYSA-N 0 0 277.255 2.931 20 5 CFBDRN O=Cc1ccc(Oc2nccs2)c([N+](=O)[O-])c1 ZINC000914864255 623953036 /nfs/dbraw/zinc/95/30/36/623953036.db2.gz NRDZTFKSNULSRH-UHFFFAOYSA-N 0 0 250.235 2.656 20 5 CFBDRN CC(C)CCOC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000914885387 623955568 /nfs/dbraw/zinc/95/55/68/623955568.db2.gz ABMCFIPFAMPFBM-UHFFFAOYSA-N 0 0 251.282 2.727 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC1(C)CCC1 ZINC000764115788 623976961 /nfs/dbraw/zinc/97/69/61/623976961.db2.gz NNWOUHFINQAKDJ-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CS[C@H](C)COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000835681509 624014539 /nfs/dbraw/zinc/01/45/39/624014539.db2.gz RADUAHHSRVBJEV-MRVPVSSYSA-N 0 0 255.295 2.503 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(C2CC2)cn1)[N+](=O)[O-] ZINC000888278827 624060762 /nfs/dbraw/zinc/06/07/62/624060762.db2.gz IBDSHDBGWRXUPX-UHFFFAOYSA-N 0 0 277.324 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CC[C@H](C3CC3)O2)cc1 ZINC000915597876 624083155 /nfs/dbraw/zinc/08/31/55/624083155.db2.gz IXXBOEHJRNQVJB-ZIAGYGMSSA-N 0 0 263.293 2.931 20 5 CFBDRN CCc1ncc(COc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000915669943 624093694 /nfs/dbraw/zinc/09/36/94/624093694.db2.gz VMAKAKNNENOOEP-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN COCCCCOC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000194252272 624142819 /nfs/dbraw/zinc/14/28/19/624142819.db2.gz MTIBQJOEWVFDHN-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN CCOC1(C)CN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000828364389 624233475 /nfs/dbraw/zinc/23/34/75/624233475.db2.gz AXNNPGSNJIALHJ-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN CCC(=O)COC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000923598065 624242533 /nfs/dbraw/zinc/24/25/33/624242533.db2.gz SHDKCXBKLFTNOK-UHFFFAOYSA-N 0 0 299.710 2.703 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC1(O)CCCCC1 ZINC000154013236 624249327 /nfs/dbraw/zinc/24/93/27/624249327.db2.gz RUGDDDOXKREIBC-UHFFFAOYSA-N 0 0 265.309 2.977 20 5 CFBDRN COC[C@]1(C)CCN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000828461046 624250732 /nfs/dbraw/zinc/25/07/32/624250732.db2.gz IPUISLNFJZZRCQ-CQSZACIVSA-N 0 0 298.314 2.605 20 5 CFBDRN CCc1onc(C)c1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000916667390 624272435 /nfs/dbraw/zinc/27/24/35/624272435.db2.gz TTYYKGZXCYANCE-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN O=[N+]([O-])c1cccc([C@@H](O)COc2cccc(F)c2F)c1 ZINC000154167498 624282954 /nfs/dbraw/zinc/28/29/54/624282954.db2.gz DARDEMDSTMSYRP-LBPRGKRZSA-N 0 0 295.241 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)c2ccc(F)cc2)cc1F ZINC000154185848 624285394 /nfs/dbraw/zinc/28/53/94/624285394.db2.gz IKJLJXYZEDTEJJ-CQSZACIVSA-N 0 0 295.241 2.985 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000828675348 624285891 /nfs/dbraw/zinc/28/58/91/624285891.db2.gz MKKJBFDYINDLBF-LLVKDONJSA-N 0 0 279.292 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@H]2CCC[C@@H]2O)cc1 ZINC000828742583 624294341 /nfs/dbraw/zinc/29/43/41/624294341.db2.gz GJQSSBMWNYULJO-KFWWJZLASA-N 0 0 276.336 2.725 20 5 CFBDRN Cc1nn(C)c(NC[C@@]2(C)CC2(Cl)Cl)c1[N+](=O)[O-] ZINC000828790633 624301781 /nfs/dbraw/zinc/30/17/81/624301781.db2.gz HQONRGSQPAFNFD-SECBINFHSA-N 0 0 293.154 2.633 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCCSC[C@H]1C ZINC000889915537 624304797 /nfs/dbraw/zinc/30/47/97/624304797.db2.gz SXFSJFMKTLDSQA-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)Cc2ccco2)cc1[N+](=O)[O-] ZINC000033207310 624315433 /nfs/dbraw/zinc/31/54/33/624315433.db2.gz RITFDPYUAJBWSX-NSHDSACASA-N 0 0 288.303 2.857 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](OC)[C@H](C)C1 ZINC000828903322 624326027 /nfs/dbraw/zinc/32/60/27/624326027.db2.gz GSLDGLKGKNUTCV-ABAIWWIYSA-N 0 0 294.351 2.602 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCO[C@H]2CCOC2)cc1 ZINC000917351065 624334631 /nfs/dbraw/zinc/33/46/31/624334631.db2.gz RMRWKIOCJULUOO-ZDUSSCGKSA-N 0 0 283.349 2.634 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)C1(Cl)CC1 ZINC000917357251 624335418 /nfs/dbraw/zinc/33/54/18/624335418.db2.gz NGNVBXSFKKKKSC-UHFFFAOYSA-N 0 0 269.684 2.623 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2CC[C@H](C3CC3)O2)n1 ZINC000917441918 624343779 /nfs/dbraw/zinc/34/37/79/624343779.db2.gz LSKFOTKENNPTNV-QWHCGFSZSA-N 0 0 292.335 2.943 20 5 CFBDRN Cc1nnc(SCc2cccnc2[N+](=O)[O-])n1C(C)C ZINC000917517773 624350953 /nfs/dbraw/zinc/35/09/53/624350953.db2.gz PCYWNFFCQXGOCK-UHFFFAOYSA-N 0 0 293.352 2.763 20 5 CFBDRN CCCC[C@@H](SCc1cccnc1[N+](=O)[O-])C(=O)OC ZINC000917598475 624367816 /nfs/dbraw/zinc/36/78/16/624367816.db2.gz NHMTZBFDXFOTNH-LLVKDONJSA-N 0 0 298.364 2.955 20 5 CFBDRN C[C@@H](SCc1cccnc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000917624288 624371226 /nfs/dbraw/zinc/37/12/26/624371226.db2.gz AWDUTNVEOIDEEF-SECBINFHSA-N 0 0 298.364 2.953 20 5 CFBDRN Cc1nn(C)cc1COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000829106053 624372803 /nfs/dbraw/zinc/37/28/03/624372803.db2.gz GOXLCNQMMPUZIK-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1c(OC(=O)c2ccnc(F)c2)cccc1[N+](=O)[O-] ZINC000154470547 624373024 /nfs/dbraw/zinc/37/30/24/624373024.db2.gz UNCMQKIOLISKOL-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN CCc1nc(C)c(COc2cc(C=O)ccc2[N+](=O)[O-])o1 ZINC000829121751 624378670 /nfs/dbraw/zinc/37/86/70/624378670.db2.gz WBLOPNHGSROTFV-UHFFFAOYSA-N 0 0 290.275 2.845 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1C ZINC000829137654 624382373 /nfs/dbraw/zinc/38/23/73/624382373.db2.gz VGASFKZQVDYSJO-QMMMGPOBSA-N 0 0 266.322 2.551 20 5 CFBDRN CCc1nc(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)co1 ZINC000829183637 624393602 /nfs/dbraw/zinc/39/36/02/624393602.db2.gz IOJLGXOXTBEMJP-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCOC(=O)CC(C)C)c1 ZINC000917914266 624394243 /nfs/dbraw/zinc/39/42/43/624394243.db2.gz KWBXABJGOKXDDJ-UHFFFAOYSA-N 0 0 283.280 2.529 20 5 CFBDRN CCCCOCCOC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000917964012 624400552 /nfs/dbraw/zinc/40/05/52/624400552.db2.gz KNBVMHMWHPQCNG-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CCC[C@H](C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000033457544 624411121 /nfs/dbraw/zinc/41/11/21/624411121.db2.gz DYHSGZPXZFLWGN-BRNRAETOSA-N 0 0 262.309 2.913 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@]3(CCOC3)C2)cc1 ZINC000829328756 624418187 /nfs/dbraw/zinc/41/81/87/624418187.db2.gz YNUWHGURWOFMJI-AWEZNQCLSA-N 0 0 262.309 2.602 20 5 CFBDRN Cc1ccc(N2CCC[C@@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000829327534 624418530 /nfs/dbraw/zinc/41/85/30/624418530.db2.gz KYHQWSQZPLKHJG-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN O=[N+]([O-])c1nccn1C[C@H]1CCCc2ccccc21 ZINC000918370087 624433594 /nfs/dbraw/zinc/43/35/94/624433594.db2.gz VIPVTULRDDJYID-GFCCVEGCSA-N 0 0 257.293 2.911 20 5 CFBDRN COc1cc(Cn2ccnc2[N+](=O)[O-])ccc1SC ZINC000918368736 624433722 /nfs/dbraw/zinc/43/37/22/624433722.db2.gz LUWLYZGOMPNRKT-UHFFFAOYSA-N 0 0 279.321 2.570 20 5 CFBDRN C[C@@H](CCn1ccnc1[N+](=O)[O-])OCc1ccccc1 ZINC000918377096 624433799 /nfs/dbraw/zinc/43/37/99/624433799.db2.gz BZIOOOXWNOPDCX-LBPRGKRZSA-N 0 0 275.308 2.787 20 5 CFBDRN CC(C)COc1cccc(Cn2ccnc2[N+](=O)[O-])c1 ZINC000918386068 624435325 /nfs/dbraw/zinc/43/53/25/624435325.db2.gz FKBDWJVZJKGPNE-UHFFFAOYSA-N 0 0 275.308 2.874 20 5 CFBDRN O=C(OCC(F)F)c1ccc([N+](=O)[O-])cc1Cl ZINC000918506203 624441042 /nfs/dbraw/zinc/44/10/42/624441042.db2.gz YMAHUKWPGUYKBL-UHFFFAOYSA-N 0 0 265.599 2.670 20 5 CFBDRN CC/C=C\COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000918503140 624441164 /nfs/dbraw/zinc/44/11/64/624441164.db2.gz ODRWUYMZLOPXMY-UTCJRWHESA-N 0 0 278.308 2.906 20 5 CFBDRN COC[C@@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000829559244 624455347 /nfs/dbraw/zinc/45/53/47/624455347.db2.gz GFPPLYQULUMAMB-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN Cc1cc(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])no1 ZINC000918680733 624464576 /nfs/dbraw/zinc/46/45/76/624464576.db2.gz YQASZSOCLRJIDW-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN CCn1nnc(C)c1COc1cccc(Cl)c1[N+](=O)[O-] ZINC000829618262 624476413 /nfs/dbraw/zinc/47/64/13/624476413.db2.gz QHGKOONTJPPCDA-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN Cc1noc(CSc2cc(C)ccn2)c1[N+](=O)[O-] ZINC000918822743 624495926 /nfs/dbraw/zinc/49/59/26/624495926.db2.gz TXQOLMRTMQXTEP-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN CC(C)[C@@H]1CCCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000829714166 624513201 /nfs/dbraw/zinc/51/32/01/624513201.db2.gz SBYKATFOXSJARY-GFCCVEGCSA-N 0 0 270.373 2.717 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000919291857 624555023 /nfs/dbraw/zinc/55/50/23/624555023.db2.gz ZKYGFVIXGOFKMR-LBPRGKRZSA-N 0 0 295.335 2.742 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCn2nc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000829838013 624562642 /nfs/dbraw/zinc/56/26/42/624562642.db2.gz QEKUOLMLBZAEAV-ZJUUUORDSA-N 0 0 282.300 2.916 20 5 CFBDRN CSCCCNC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000919394971 624567817 /nfs/dbraw/zinc/56/78/17/624567817.db2.gz BWSHUWVRUZHBSU-SNVBAGLBSA-N 0 0 297.380 2.708 20 5 CFBDRN CCC(F)(F)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000829970360 624591901 /nfs/dbraw/zinc/59/19/01/624591901.db2.gz UNGLPTUKIIXYQU-UHFFFAOYSA-N 0 0 292.669 2.910 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)C1(C(F)F)CC1 ZINC000830012952 624599698 /nfs/dbraw/zinc/59/96/98/624599698.db2.gz DOPJPYXQJPQLHG-UHFFFAOYSA-N 0 0 285.246 2.726 20 5 CFBDRN CCC(F)(F)COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000851490737 634394865 /nfs/dbraw/zinc/39/48/65/634394865.db2.gz KTPCFZJWNCAKFQ-UHFFFAOYSA-N 0 0 277.198 2.936 20 5 CFBDRN CC(C)[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000830143074 624642112 /nfs/dbraw/zinc/64/21/12/624642112.db2.gz JIKSYDREBFDRTQ-VIFPVBQESA-N 0 0 284.278 2.525 20 5 CFBDRN CCC[C@H](C)OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000174892251 624650761 /nfs/dbraw/zinc/65/07/61/624650761.db2.gz RFWWRTDMALBLGF-JTQLQIEISA-N 0 0 251.282 2.869 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000924026022 624678306 /nfs/dbraw/zinc/67/83/06/624678306.db2.gz UPLCAGMKQJTZTH-ZNSHCXBVSA-N 0 0 292.310 2.756 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCCOC(C)C ZINC000830365974 624723245 /nfs/dbraw/zinc/72/32/45/624723245.db2.gz XGKGCWGTKWFMHE-UHFFFAOYSA-N 0 0 285.271 2.624 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000920579881 624743462 /nfs/dbraw/zinc/74/34/62/624743462.db2.gz MIHWLIVMXYRESY-UHFFFAOYSA-N 0 0 274.276 2.897 20 5 CFBDRN C[C@@H](NC(=O)NCCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000920708115 624752078 /nfs/dbraw/zinc/75/20/78/624752078.db2.gz QVGJFIMBTFQWJB-SNVBAGLBSA-N 0 0 277.324 2.755 20 5 CFBDRN C[C@@H](CC(=O)OCCOc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000920730239 624763040 /nfs/dbraw/zinc/76/30/40/624763040.db2.gz ZUASYKOKCXDGJV-NSHDSACASA-N 0 0 293.319 2.953 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000920781932 624766449 /nfs/dbraw/zinc/76/64/49/624766449.db2.gz MNEVDGLYLKPQHN-SECBINFHSA-N 0 0 297.282 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC=CCC2)c2nonc21 ZINC000921058763 624803529 /nfs/dbraw/zinc/80/35/29/624803529.db2.gz JIAVUEBQGUMZCC-VIFPVBQESA-N 0 0 274.280 2.899 20 5 CFBDRN C[C@@H](NC(=O)NCC1(C)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000921309830 624843120 /nfs/dbraw/zinc/84/31/20/624843120.db2.gz VWZCBNOYNBFUDX-SNVBAGLBSA-N 0 0 277.324 2.755 20 5 CFBDRN C[C@@H](OC(=O)C1(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000830768877 624855676 /nfs/dbraw/zinc/85/56/76/624855676.db2.gz NSHKDLZJJKZYLM-MRVPVSSYSA-N 0 0 253.229 2.701 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000830890533 624919014 /nfs/dbraw/zinc/91/90/14/624919014.db2.gz YXDSVHVBKOMZGZ-UHFFFAOYSA-N 0 0 286.690 2.741 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000830897868 624924276 /nfs/dbraw/zinc/92/42/76/624924276.db2.gz SOMRLFBVNUMULW-RKDXNWHRSA-N 0 0 296.289 2.621 20 5 CFBDRN O=C(CCC1CCOCC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000175072980 624925200 /nfs/dbraw/zinc/92/52/00/624925200.db2.gz QGYXCYGYXRBIKK-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@@H](C)OC(C)(C)C1 ZINC000175046682 624925513 /nfs/dbraw/zinc/92/55/13/624925513.db2.gz OJNVYYRJPCUIPH-LLVKDONJSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)OC1CCC1)CC2 ZINC000831028109 624954387 /nfs/dbraw/zinc/95/43/87/624954387.db2.gz FKDXNTBYNONQMJ-UHFFFAOYSA-N 0 0 276.292 2.955 20 5 CFBDRN CC[C@H](C)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000064713124 624957838 /nfs/dbraw/zinc/95/78/38/624957838.db2.gz GQWAMSWJJWJKNO-NSHDSACASA-N 0 0 280.324 2.620 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000233946152 624961476 /nfs/dbraw/zinc/96/14/76/624961476.db2.gz FGSZXRRDTJISEH-VXNVDRBHSA-N 0 0 268.700 2.777 20 5 CFBDRN O=C(Oc1c(F)cccc1[N+](=O)[O-])OC1CCC1 ZINC000831121376 624962575 /nfs/dbraw/zinc/96/25/75/624962575.db2.gz PAROEQZQBLQHLC-UHFFFAOYSA-N 0 0 255.201 2.802 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H](O)c1ccccc1 ZINC000067905592 624963903 /nfs/dbraw/zinc/96/39/03/624963903.db2.gz UZVOVSGVIFWIOQ-NSHDSACASA-N 0 0 264.306 2.802 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H](O)c1ccccc1 ZINC000067905591 624964024 /nfs/dbraw/zinc/96/40/24/624964024.db2.gz UZVOVSGVIFWIOQ-LLVKDONJSA-N 0 0 264.306 2.802 20 5 CFBDRN CC[C@H](C)[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000831187194 624970209 /nfs/dbraw/zinc/97/02/09/624970209.db2.gz BRNUPIVBTSYEEP-WCBMZHEXSA-N 0 0 298.305 2.915 20 5 CFBDRN CC[C@@H](C)[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000831187193 624970271 /nfs/dbraw/zinc/97/02/71/624970271.db2.gz BRNUPIVBTSYEEP-SCZZXKLOSA-N 0 0 298.305 2.915 20 5 CFBDRN CC(C)(CCC(=O)NC[C@H]1CCCC(F)(F)C1)[N+](=O)[O-] ZINC000831275631 624981351 /nfs/dbraw/zinc/98/13/51/624981351.db2.gz AEVFDHGJDMOVPS-JTQLQIEISA-N 0 0 292.326 2.764 20 5 CFBDRN Cc1ccc(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2N)cc1 ZINC000068510056 625004759 /nfs/dbraw/zinc/00/47/59/625004759.db2.gz RFERYSMGQPAGQX-UHFFFAOYSA-N 0 0 299.330 2.724 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2C)o1 ZINC000068519429 625005305 /nfs/dbraw/zinc/00/53/05/625005305.db2.gz ZZHHOCDOGGCATQ-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN CCOC1(COC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000851551796 634426903 /nfs/dbraw/zinc/42/69/03/634426903.db2.gz LWHOHJZKVUJLAQ-UHFFFAOYSA-N 0 0 279.292 2.711 20 5 CFBDRN CC(C)CCO[C@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000068750790 625025585 /nfs/dbraw/zinc/02/55/85/625025585.db2.gz VMQHLCIZTIPQKP-LLVKDONJSA-N 0 0 281.308 2.951 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@H](C)C(F)(F)F ZINC000831587534 625061214 /nfs/dbraw/zinc/06/12/14/625061214.db2.gz DHZCPGOKXVXPMX-MRVPVSSYSA-N 0 0 284.278 2.621 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H]1C[C@H]3C[C@H]3C1)CC2 ZINC000831681084 625094843 /nfs/dbraw/zinc/09/48/43/625094843.db2.gz HPCQNTWMPMWJRN-ITGUQSILSA-N 0 0 286.331 2.838 20 5 CFBDRN CCC(F)(F)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000831681938 625094975 /nfs/dbraw/zinc/09/49/75/625094975.db2.gz QYADWEFTVHOBPS-UHFFFAOYSA-N 0 0 284.262 2.838 20 5 CFBDRN CSC(C)(C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000831701918 625099738 /nfs/dbraw/zinc/09/97/38/625099738.db2.gz HTAXDFMLEPJYOB-VIFPVBQESA-N 0 0 282.365 2.914 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)CO1 ZINC000831786523 625125657 /nfs/dbraw/zinc/12/56/57/625125657.db2.gz KBVIUGMLWWMOMQ-JGVFFNPUSA-N 0 0 299.714 2.547 20 5 CFBDRN C/C=C/COC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000072991825 625754640 /nfs/dbraw/zinc/75/46/40/625754640.db2.gz HQJJMHASYDPNKS-NSCUHMNNSA-N 0 0 290.319 2.928 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000834702678 625791983 /nfs/dbraw/zinc/79/19/83/625791983.db2.gz ZYYFYMRKSNFYBV-ZYHUDNBSSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000834704821 625793750 /nfs/dbraw/zinc/79/37/50/625793750.db2.gz HITFAAIXHPVEPF-PWSUYJOCSA-N 0 0 294.376 2.538 20 5 CFBDRN O=C(N[C@H]1CCSC2(CCC2)C1)c1ccc([N+](=O)[O-])o1 ZINC000834765035 625840490 /nfs/dbraw/zinc/84/04/90/625840490.db2.gz MRALNLQJAZBBEW-VIFPVBQESA-N 0 0 296.348 2.736 20 5 CFBDRN C[C@H](Cc1nc(-c2ccc([N+](=O)[O-])o2)no1)C1CC1 ZINC000834841391 625898931 /nfs/dbraw/zinc/89/89/31/625898931.db2.gz UWYXXRZLSHJLPZ-SSDOTTSWSA-N 0 0 263.253 2.826 20 5 CFBDRN Cc1c(-c2noc(C3(O)CCCC3)n2)cccc1[N+](=O)[O-] ZINC000834844610 625900013 /nfs/dbraw/zinc/90/00/13/625900013.db2.gz SBEGTAWAYFOVMQ-UHFFFAOYSA-N 0 0 289.291 2.715 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000768901440 625908890 /nfs/dbraw/zinc/90/88/90/625908890.db2.gz YZGXCICMYMUORB-JTQLQIEISA-N 0 0 266.297 2.705 20 5 CFBDRN CC(C(=O)OCc1cccnc1[N+](=O)[O-])=C1CCC1 ZINC000884541062 625915387 /nfs/dbraw/zinc/91/53/87/625915387.db2.gz TVKYAXIWWQRMTL-UHFFFAOYSA-N 0 0 262.265 2.533 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)ns1 ZINC000769290105 625917869 /nfs/dbraw/zinc/91/78/69/625917869.db2.gz ANSXMXCARYZGDD-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN C[C@@H](C(=O)OCc1csc([N+](=O)[O-])c1)C(C)(F)F ZINC000815487518 625933941 /nfs/dbraw/zinc/93/39/41/625933941.db2.gz HZLSKKBUFNSDSJ-LURJTMIESA-N 0 0 279.264 2.991 20 5 CFBDRN Cc1nonc1CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000788072339 625946394 /nfs/dbraw/zinc/94/63/94/625946394.db2.gz ROHTURPBFVXIPI-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN C[C@@]1(C(=O)Oc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000817421536 625955274 /nfs/dbraw/zinc/95/52/74/625955274.db2.gz FJNXCXFMFMPUGY-JTQLQIEISA-N 0 0 257.192 2.546 20 5 CFBDRN COCC1(COC(=O)CCC(C)(C)[N+](=O)[O-])CCCC1 ZINC000817750221 625960184 /nfs/dbraw/zinc/96/01/84/625960184.db2.gz OYTQJHNBBWKMNI-UHFFFAOYSA-N 0 0 287.356 2.572 20 5 CFBDRN CC[C@@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000840774901 625962359 /nfs/dbraw/zinc/96/23/59/625962359.db2.gz ZWMYCGRYERFQGI-SECBINFHSA-N 0 0 289.307 2.925 20 5 CFBDRN C[C@@H]1OCC[C@@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000771081836 625976945 /nfs/dbraw/zinc/97/69/45/625976945.db2.gz WWGAIUOHIZGZEK-KWQFWETISA-N 0 0 299.710 2.716 20 5 CFBDRN CCOc1ccc(C(=O)OCCSC)cc1[N+](=O)[O-] ZINC000073411996 626002716 /nfs/dbraw/zinc/00/27/16/626002716.db2.gz LKULJKRMBWDFRE-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN O=C(OCc1ccno1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000736867541 626093822 /nfs/dbraw/zinc/09/38/22/626093822.db2.gz VVBRWMVPQQHBTL-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN C[C@@H](NCc1cnn(CCF)c1)c1ccccc1[N+](=O)[O-] ZINC000921968589 626187391 /nfs/dbraw/zinc/18/73/91/626187391.db2.gz YUZYXVRKHQPZPR-LLVKDONJSA-N 0 0 292.314 2.612 20 5 CFBDRN COC[C@@H](COC(=O)c1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000922393659 626283207 /nfs/dbraw/zinc/28/32/07/626283207.db2.gz RTFXSKKLABNITA-JTQLQIEISA-N 0 0 299.298 2.809 20 5 CFBDRN CC(F)(F)CCCOC(=O)c1cccc([N+](=O)[O-])c1N ZINC000922524318 626303260 /nfs/dbraw/zinc/30/32/60/626303260.db2.gz UUJISDQXVUMUOK-UHFFFAOYSA-N 0 0 288.250 2.769 20 5 CFBDRN CN(Cc1ccco1)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000154755451 626318665 /nfs/dbraw/zinc/31/86/65/626318665.db2.gz UADKAKVQMWVLRB-UHFFFAOYSA-N 0 0 296.229 2.738 20 5 CFBDRN C[C@H](NC(=O)N[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000922646061 626331060 /nfs/dbraw/zinc/33/10/60/626331060.db2.gz SYYHVOSRGCJMDU-JVLSTEMRSA-N 0 0 289.335 2.898 20 5 CFBDRN CCn1cnnc1NC/C(C)=C\c1cccc([N+](=O)[O-])c1 ZINC000922658915 626335952 /nfs/dbraw/zinc/33/59/52/626335952.db2.gz JHMUCZJUAMOLAQ-XFFZJAGNSA-N 0 0 287.323 2.722 20 5 CFBDRN CC(C)(CCC(=O)OCCCC(C)(F)F)[N+](=O)[O-] ZINC000922770545 626361887 /nfs/dbraw/zinc/36/18/87/626361887.db2.gz NMHMQATYWZJZGZ-UHFFFAOYSA-N 0 0 267.272 2.801 20 5 CFBDRN Nc1ccc(C(=O)OCCC2CCC2)cc1[N+](=O)[O-] ZINC000228662679 626397871 /nfs/dbraw/zinc/39/78/71/626397871.db2.gz GIPFTQWUVQVQIE-UHFFFAOYSA-N 0 0 264.281 2.524 20 5 CFBDRN CN(CC1(O)CCCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000162135164 626398611 /nfs/dbraw/zinc/39/86/11/626398611.db2.gz WFAIELPKHUJBGL-UHFFFAOYSA-N 0 0 284.743 2.990 20 5 CFBDRN CNc1ccc(C(=O)NCC(C)(C)SC)cc1[N+](=O)[O-] ZINC000229423596 626410339 /nfs/dbraw/zinc/41/03/39/626410339.db2.gz AZCIHLKZSZKZKY-UHFFFAOYSA-N 0 0 297.380 2.508 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCO1 ZINC000923055170 626446942 /nfs/dbraw/zinc/44/69/42/626446942.db2.gz HLVHVYXAQUUPJB-ZANVPECISA-N 0 0 297.282 2.592 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1CCO[C@H]1C1CC1 ZINC000923203042 626456610 /nfs/dbraw/zinc/45/66/10/626456610.db2.gz UFJQVFWSLYPTFA-PWSUYJOCSA-N 0 0 297.332 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc(OS(=O)(=O)c2cccc(F)c2)cc1 ZINC000016089673 626481861 /nfs/dbraw/zinc/48/18/61/626481861.db2.gz MHLPPOPWGJFEHN-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN CCCCNC(=S)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000774583875 626481953 /nfs/dbraw/zinc/48/19/53/626481953.db2.gz XWRVXDIHSSDMBM-SNVBAGLBSA-N 0 0 281.381 2.920 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000775068461 626483208 /nfs/dbraw/zinc/48/32/08/626483208.db2.gz WYSYCBZHHVNQHO-YGRLFVJLSA-N 0 0 280.324 2.772 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000775068454 626483212 /nfs/dbraw/zinc/48/32/12/626483212.db2.gz WYSYCBZHHVNQHO-IINYFYTJSA-N 0 0 280.324 2.772 20 5 CFBDRN CC(C)(C)CCOC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283098 626491777 /nfs/dbraw/zinc/49/17/77/626491777.db2.gz FUZCRALUDUBQBL-GFCCVEGCSA-N 0 0 281.308 2.608 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838284146 626491837 /nfs/dbraw/zinc/49/18/37/626491837.db2.gz IDHJBTRQVWFEFB-ZWNOBZJWSA-N 0 0 281.308 2.606 20 5 CFBDRN CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1CCC1 ZINC000838315405 626493089 /nfs/dbraw/zinc/49/30/89/626493089.db2.gz VNPQAIOGKMFZAK-GFCCVEGCSA-N 0 0 270.373 2.907 20 5 CFBDRN C/C=C(/C)COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000842845375 626501524 /nfs/dbraw/zinc/50/15/24/626501524.db2.gz GTXPDZZVIILFTP-WMZJFQQLSA-N 0 0 263.293 2.955 20 5 CFBDRN C/C=C(\C)COC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000842845661 626501913 /nfs/dbraw/zinc/50/19/13/626501913.db2.gz KAXIAUQDLPKATL-RUDMXATFSA-N 0 0 265.265 2.726 20 5 CFBDRN C/C=C(\C)COC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000842853094 626504927 /nfs/dbraw/zinc/50/49/27/626504927.db2.gz SFKLDBBTQLLQFB-WEVVVXLNSA-N 0 0 295.291 2.735 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000839106021 626525220 /nfs/dbraw/zinc/52/52/20/626525220.db2.gz ZUIZWEPIKOEOMY-NEPJUHHUSA-N 0 0 279.292 2.565 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000839131364 626527804 /nfs/dbraw/zinc/52/78/04/626527804.db2.gz JAWSOVLDISRAGU-PHIMTYICSA-N 0 0 294.738 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC2(C1)CCOCC2 ZINC000839140825 626528426 /nfs/dbraw/zinc/52/84/26/626528426.db2.gz IQIZWGVDJKZARR-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)OCC1CC1 ZINC000103332776 626528960 /nfs/dbraw/zinc/52/89/60/626528960.db2.gz AYMWHVLNHBAFLW-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN CC[C@@](C)(NC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000839290975 626532979 /nfs/dbraw/zinc/53/29/79/626532979.db2.gz DDYUBSWRNSOCJP-SNVBAGLBSA-N 0 0 284.278 2.669 20 5 CFBDRN Cc1cccc(OS(=O)(=O)C2(C(C)C)CC2)c1[N+](=O)[O-] ZINC000867011169 626535873 /nfs/dbraw/zinc/53/58/73/626535873.db2.gz IDHTUHGKRLFDBQ-UHFFFAOYSA-N 0 0 299.348 2.800 20 5 CFBDRN O=C(N[C@@H](c1ccc(Cl)cc1)C1CC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839493912 626537747 /nfs/dbraw/zinc/53/77/47/626537747.db2.gz ZSCKBABFKWIZGY-JHJVBQTASA-N 0 0 294.738 2.573 20 5 CFBDRN Cc1cccc(OC(=O)OC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000805048852 626541584 /nfs/dbraw/zinc/54/15/84/626541584.db2.gz SGJYARFZCFNOKQ-SNVBAGLBSA-N 0 0 281.264 2.598 20 5 CFBDRN CC(C)(C)OCCCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000756934991 626542356 /nfs/dbraw/zinc/54/23/56/626542356.db2.gz YBNMCYWISWQUGO-UHFFFAOYSA-N 0 0 281.308 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNc2ncccn2)c1 ZINC000756975419 626542984 /nfs/dbraw/zinc/54/29/84/626542984.db2.gz XYXATGABMKAKGX-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1cccnc1 ZINC000756995619 626543145 /nfs/dbraw/zinc/54/31/45/626543145.db2.gz BWSIPDWQTIMGOY-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CC(C)C[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccs1 ZINC000839568631 626546892 /nfs/dbraw/zinc/54/68/92/626546892.db2.gz ZTCRZMQLGZQQQF-GMTAPVOTSA-N 0 0 282.365 2.617 20 5 CFBDRN CC1(C)CN(C(=O)[C@@H]2CC2[N+](=O)[O-])c2cccc(Cl)c21 ZINC000839605700 626547732 /nfs/dbraw/zinc/54/77/32/626547732.db2.gz KFQNLVIBFGVEEW-LDYMZIIASA-N 0 0 294.738 2.629 20 5 CFBDRN CC(C)(C)c1ccc2c(c1)CCN2C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000839617837 626548407 /nfs/dbraw/zinc/54/84/07/626548407.db2.gz CTUGVTDVUVVDIQ-TZMCWYRMSA-N 0 0 288.347 2.538 20 5 CFBDRN CCSc1ccc([C@H](C)NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000839649157 626549342 /nfs/dbraw/zinc/54/93/42/626549342.db2.gz DEYJNKUROMREIX-ZWKOPEQDSA-N 0 0 294.376 2.641 20 5 CFBDRN COCCN[C@H](c1cccc([N+](=O)[O-])c1F)C(F)(F)F ZINC000839651276 626549429 /nfs/dbraw/zinc/54/94/29/626549429.db2.gz SFGCMOJWSGNZDH-SNVBAGLBSA-N 0 0 296.220 2.573 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cc2ccccc2s1 ZINC000839665579 626550379 /nfs/dbraw/zinc/55/03/79/626550379.db2.gz JLKDZSGYPCIUOJ-JMJZKYOTSA-N 0 0 290.344 2.744 20 5 CFBDRN CCC(CC)(CNC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000839696728 626551276 /nfs/dbraw/zinc/55/12/76/626551276.db2.gz OHMLQGNYGMWUEK-ZIAGYGMSSA-N 0 0 290.363 2.526 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000839706696 626552579 /nfs/dbraw/zinc/55/25/79/626552579.db2.gz OWVMTNBKJNQBBN-DDTOSNHZSA-N 0 0 292.335 2.887 20 5 CFBDRN CCC/C=C/C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757644428 626557043 /nfs/dbraw/zinc/55/70/43/626557043.db2.gz WNTYOZQXUPWPEG-XBXARRHUSA-N 0 0 274.320 2.836 20 5 CFBDRN C[C@H]1CC[C@H](C)N1c1ccc([N+](=O)[O-])c2nonc21 ZINC000757789283 626562911 /nfs/dbraw/zinc/56/29/11/626562911.db2.gz OWNXTAQFUJFLFC-YUMQZZPRSA-N 0 0 262.269 2.508 20 5 CFBDRN COC1CCC(OC(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000757945296 626569544 /nfs/dbraw/zinc/56/95/44/626569544.db2.gz ZZABMOVUPMTRBQ-UHFFFAOYSA-N 0 0 293.319 2.638 20 5 CFBDRN Cc1cc(C)nc(Oc2cc(C=O)ccc2[N+](=O)[O-])n1 ZINC000758156273 626579528 /nfs/dbraw/zinc/57/95/28/626579528.db2.gz POVSAIAVUMVMRO-UHFFFAOYSA-N 0 0 273.248 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cccc([N+](=O)[O-])c2)nc1 ZINC000758263341 626585996 /nfs/dbraw/zinc/58/59/96/626585996.db2.gz UZBVFVGNWICXAV-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN CC1(C)CN(Cn2nccc2[N+](=O)[O-])Cc2ccccc21 ZINC000758373873 626590363 /nfs/dbraw/zinc/59/03/63/626590363.db2.gz GLROTOSMJWCNQS-UHFFFAOYSA-N 0 0 286.335 2.542 20 5 CFBDRN COCCC(C)(C)CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000758950802 626620280 /nfs/dbraw/zinc/62/02/80/626620280.db2.gz JBQRXPFWQKSBTG-UHFFFAOYSA-N 0 0 292.339 2.717 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000759699986 626684891 /nfs/dbraw/zinc/68/48/91/626684891.db2.gz IPJVTYXEYVOWBM-UWVGGRQHSA-N 0 0 295.295 2.730 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@@H]1CC12CCC2 ZINC000759790701 626691382 /nfs/dbraw/zinc/69/13/82/626691382.db2.gz PJWQDMYLSPTAGC-VIFPVBQESA-N 0 0 264.256 2.863 20 5 CFBDRN O=C(CC1CC1)O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000759942343 626703846 /nfs/dbraw/zinc/70/38/46/626703846.db2.gz CEQGCHXASUEPCO-RYUDHWBXSA-N 0 0 293.323 2.618 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1CC12CCC2 ZINC000759990137 626707597 /nfs/dbraw/zinc/70/75/97/626707597.db2.gz JAZIAWNZGKYKNB-GFCCVEGCSA-N 0 0 291.303 2.837 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Oc2c(C)cccc2[N+](=O)[O-])O1 ZINC000760020242 626709843 /nfs/dbraw/zinc/70/98/43/626709843.db2.gz WHVIPDJQIYKAPY-CMPLNLGQSA-N 0 0 279.292 2.766 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CC[C@@H]1CCCO1 ZINC000760023663 626710482 /nfs/dbraw/zinc/71/04/82/626710482.db2.gz QQKORVLNOZOUBH-NSHDSACASA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000760416066 626743622 /nfs/dbraw/zinc/74/36/22/626743622.db2.gz CCWXQJMNZOJSKS-MRVPVSSYSA-N 0 0 277.255 2.553 20 5 CFBDRN CC1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000760418096 626744246 /nfs/dbraw/zinc/74/42/46/626744246.db2.gz HEUVUZPWYBDLRB-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000760426380 626745498 /nfs/dbraw/zinc/74/54/98/626745498.db2.gz XQTRPPMRPGDYLN-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN O=C(OC[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000760771122 626771208 /nfs/dbraw/zinc/77/12/08/626771208.db2.gz JVBJFXWBCYUDHQ-SECBINFHSA-N 0 0 299.710 2.832 20 5 CFBDRN Cn1c(C(=O)OCc2ccc(Cl)cc2)ccc1[N+](=O)[O-] ZINC000761048267 626793849 /nfs/dbraw/zinc/79/38/49/626793849.db2.gz ICAKXWOGZSNTBP-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1ccc(F)cc1 ZINC000761062112 626796053 /nfs/dbraw/zinc/79/60/53/626796053.db2.gz PTFGQMKGAMDHJD-VIFPVBQESA-N 0 0 292.266 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CN3CC[C@@H]4C[C@@H]43)co2)cc1 ZINC000761100237 626804373 /nfs/dbraw/zinc/80/43/73/626804373.db2.gz YLXQLAIIYAWWNR-RISCZKNCSA-N 0 0 285.303 2.844 20 5 CFBDRN CCC1(c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)COC1 ZINC000761634955 626850688 /nfs/dbraw/zinc/85/06/88/626850688.db2.gz FCFAKNNESYVKOR-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN CCC[C@@H](C)c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000761850707 626864008 /nfs/dbraw/zinc/86/40/08/626864008.db2.gz DPWDANSQMXKGHJ-SSDOTTSWSA-N 0 0 250.258 2.877 20 5 CFBDRN Cn1c(C(=O)Oc2ccc(Cl)c(F)c2)ccc1[N+](=O)[O-] ZINC000761914126 626872299 /nfs/dbraw/zinc/87/22/99/626872299.db2.gz UJHGIBJVVVRPEB-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(CC3CCOCC3)no2)c1 ZINC000761973012 626876123 /nfs/dbraw/zinc/87/61/23/626876123.db2.gz IFDVHCKFWSQJEX-UHFFFAOYSA-N 0 0 289.291 2.614 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000762067585 626885924 /nfs/dbraw/zinc/88/59/24/626885924.db2.gz HSMBFVXTOMYCQG-VIFPVBQESA-N 0 0 267.306 2.562 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762069071 626886634 /nfs/dbraw/zinc/88/66/34/626886634.db2.gz KVYKCWGYSHIRIG-SECBINFHSA-N 0 0 252.270 2.581 20 5 CFBDRN O=C(O[C@H]1CCc2ccccc21)c1ccc([N+](=O)[O-])cn1 ZINC000762068913 626886833 /nfs/dbraw/zinc/88/68/33/626886833.db2.gz IRIMZROOPSPFFW-AWEZNQCLSA-N 0 0 284.271 2.834 20 5 CFBDRN C[C@@H]1CC[C@@H](COC(=O)c2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000762182768 626901569 /nfs/dbraw/zinc/90/15/69/626901569.db2.gz REJVGFYFRXJEFI-SCZZXKLOSA-N 0 0 299.710 2.973 20 5 CFBDRN CC(C)CCCNc1cc([N+](=O)[O-])ccc1NCCO ZINC000762262792 626908369 /nfs/dbraw/zinc/90/83/69/626908369.db2.gz IODBHBXYGZXPCJ-UHFFFAOYSA-N 0 0 281.356 2.847 20 5 CFBDRN C/C(=C/C(=O)N[C@H]1C[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000805243555 626909843 /nfs/dbraw/zinc/90/98/43/626909843.db2.gz ZVBRAKYZWLAUHT-HTMRKKJOSA-N 0 0 296.273 2.768 20 5 CFBDRN C[C@@H](C(=O)NC[C@H]1CCC=CO1)c1cccc([N+](=O)[O-])c1 ZINC000762322472 626910283 /nfs/dbraw/zinc/91/02/83/626910283.db2.gz ZBFZWNMFCOUPIV-BXUZGUMPSA-N 0 0 290.319 2.507 20 5 CFBDRN O=C1O[C@@H](CSc2ccc([N+](=O)[O-])cc2)CC12CC2 ZINC000762429461 626915551 /nfs/dbraw/zinc/91/55/51/626915551.db2.gz VPYLEPRWTVXFLM-SNVBAGLBSA-N 0 0 279.317 2.783 20 5 CFBDRN CC(=O)CCCCOC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000762847988 626944508 /nfs/dbraw/zinc/94/45/08/626944508.db2.gz KSAJNYPHIKVCPK-UHFFFAOYSA-N 0 0 285.321 2.881 20 5 CFBDRN O=C(OC/C=C\Cl)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000762895111 626948515 /nfs/dbraw/zinc/94/85/15/626948515.db2.gz CNKYUSADQFVLPE-DJWKRKHSSA-N 0 0 280.667 2.985 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762967407 626953201 /nfs/dbraw/zinc/95/32/01/626953201.db2.gz JUEGIYIEPRBLOX-ZCFIWIBFSA-N 0 0 281.293 2.555 20 5 CFBDRN CCOCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000762978056 626953988 /nfs/dbraw/zinc/95/39/88/626953988.db2.gz BOYCXPLLYWPBAO-UHFFFAOYSA-N 0 0 299.298 2.591 20 5 CFBDRN CC[C@@H](CCO)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000763206554 626970256 /nfs/dbraw/zinc/97/02/56/626970256.db2.gz ZXLKYLNUOQIZKY-NSHDSACASA-N 0 0 280.324 2.618 20 5 CFBDRN O=C(Nc1nccc2ccncc21)c1ccccc1[N+](=O)[O-] ZINC000763297531 626977703 /nfs/dbraw/zinc/97/77/03/626977703.db2.gz KOXBRGWPUKQCND-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN CCCNC(=S)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000763307762 626978293 /nfs/dbraw/zinc/97/82/93/626978293.db2.gz ZJFHTAOXUGZYOL-UHFFFAOYSA-N 0 0 293.392 2.844 20 5 CFBDRN CCc1ccc(OC(=O)c2ccn(C)c2)c([N+](=O)[O-])c1 ZINC000763515297 626993746 /nfs/dbraw/zinc/99/37/46/626993746.db2.gz TZZBEGQPPBURCR-UHFFFAOYSA-N 0 0 274.276 2.715 20 5 CFBDRN CC(C)[C@H](C)OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000763553891 626997887 /nfs/dbraw/zinc/99/78/87/626997887.db2.gz OTPPEPZZGFTQDJ-JTQLQIEISA-N 0 0 251.282 2.725 20 5 CFBDRN CC(C)C(=O)COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763717568 627007868 /nfs/dbraw/zinc/00/78/68/627007868.db2.gz MQMOWMUGIUYZLV-UHFFFAOYSA-N 0 0 285.683 2.630 20 5 CFBDRN Cc1c(C(=O)OCC2CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] ZINC000763957245 627026537 /nfs/dbraw/zinc/02/65/37/627026537.db2.gz ZJRIGFIQSLDHOL-UHFFFAOYSA-N 0 0 294.263 2.687 20 5 CFBDRN CCCOCCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763973692 627028146 /nfs/dbraw/zinc/02/81/46/627028146.db2.gz QVOVRQBPCKKTCM-NSHDSACASA-N 0 0 281.308 2.668 20 5 CFBDRN CCCOCCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763973405 627028190 /nfs/dbraw/zinc/02/81/90/627028190.db2.gz QVOVRQBPCKKTCM-LLVKDONJSA-N 0 0 281.308 2.668 20 5 CFBDRN C[C@H](C(=O)OC[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000764038932 627032780 /nfs/dbraw/zinc/03/27/80/627032780.db2.gz UWKRXKIWZSZUQR-QWRGUYRKSA-N 0 0 295.360 2.995 20 5 CFBDRN CNc1ccc(C(=O)OCC2(C)CCC2)cc1[N+](=O)[O-] ZINC000764098776 627037311 /nfs/dbraw/zinc/03/73/11/627037311.db2.gz FRNPCLBCJPUTCH-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN CS/C=C\C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000764251033 627048742 /nfs/dbraw/zinc/04/87/42/627048742.db2.gz BTBMUGQQPNUKDX-PLNGDYQASA-N 0 0 256.258 2.549 20 5 CFBDRN COC(C)(C)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000764594944 627074590 /nfs/dbraw/zinc/07/45/90/627074590.db2.gz CXXTXOMIXZGIHM-VIFPVBQESA-N 0 0 267.281 2.624 20 5 CFBDRN CC(C)(O)CCOC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000765388500 627134869 /nfs/dbraw/zinc/13/48/69/627134869.db2.gz FFIICDCARSMNMO-UHFFFAOYSA-N 0 0 287.699 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2ccsc2)n1 ZINC000765457315 627139844 /nfs/dbraw/zinc/13/98/44/627139844.db2.gz YLADTCZAJOQPGR-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)C[C@@H]2CCOC2)n1 ZINC000765525504 627145152 /nfs/dbraw/zinc/14/51/52/627145152.db2.gz IJVWQIIAXFMNJW-QWRGUYRKSA-N 0 0 265.313 2.525 20 5 CFBDRN COc1cc(CON=C(N)CC2CC2)c([N+](=O)[O-])cc1F ZINC000766064047 627175360 /nfs/dbraw/zinc/17/53/60/627175360.db2.gz JYAVGCWFHXCYCC-UHFFFAOYSA-N 0 0 297.286 2.541 20 5 CFBDRN COc1cc(COC(=O)CC2CC2)c([N+](=O)[O-])cc1F ZINC000766187424 627183187 /nfs/dbraw/zinc/18/31/87/627183187.db2.gz MBMKSEQLFNCNEZ-UHFFFAOYSA-N 0 0 283.255 2.586 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)ns1 ZINC000766368228 627197498 /nfs/dbraw/zinc/19/74/98/627197498.db2.gz MQJJKAAJUISCKN-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)ns1 ZINC000766388112 627199223 /nfs/dbraw/zinc/19/92/23/627199223.db2.gz JZXJLCISLPWPTA-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)NCc1ccon1 ZINC000766421958 627201958 /nfs/dbraw/zinc/20/19/58/627201958.db2.gz XVUNVVVYJSUPDB-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN COc1cccc(C(=O)NC23CCC(CC2)C3)c1[N+](=O)[O-] ZINC000766885626 627224476 /nfs/dbraw/zinc/22/44/76/627224476.db2.gz JQUCUNURRXAKDG-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(NN1CCCc2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000767059264 627232980 /nfs/dbraw/zinc/23/29/80/627232980.db2.gz JYMKXQJRLIQCKW-UHFFFAOYSA-N 0 0 297.314 2.692 20 5 CFBDRN Cn1ccnc1[C@@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000767458353 627253353 /nfs/dbraw/zinc/25/33/53/627253353.db2.gz BFQIEBAKGORUNL-SNVBAGLBSA-N 0 0 292.364 2.774 20 5 CFBDRN CC/C=C/CCOC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000767502169 627255456 /nfs/dbraw/zinc/25/54/56/627255456.db2.gz PVHQCGUHTRPXPG-ONEGZZNKSA-N 0 0 282.271 2.829 20 5 CFBDRN O=C(OCc1ccc(F)cc1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000769045616 627398290 /nfs/dbraw/zinc/39/82/90/627398290.db2.gz HBEXNLAWQZNQRA-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN O=C(OCc1ccccc1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000769045642 627398493 /nfs/dbraw/zinc/39/84/93/627398493.db2.gz CMGLQZSHGGPRTP-UHFFFAOYSA-N 0 0 273.244 2.657 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000769314586 627423177 /nfs/dbraw/zinc/42/31/77/627423177.db2.gz NWOSIQSOZZMWMW-CABZTGNLSA-N 0 0 281.333 2.886 20 5 CFBDRN O=C(O[C@@H]1Cc2ccccc2C1=O)c1cccc([N+](=O)[O-])c1 ZINC000769950581 627490642 /nfs/dbraw/zinc/49/06/42/627490642.db2.gz PZVPBHMGLOTVTC-CQSZACIVSA-N 0 0 297.266 2.559 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)NC1CCOCC1 ZINC000770006406 627496302 /nfs/dbraw/zinc/49/63/02/627496302.db2.gz AULHRJRNLYXDGG-UHFFFAOYSA-N 0 0 299.714 2.549 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC000770009519 627496313 /nfs/dbraw/zinc/49/63/13/627496313.db2.gz RWIHDMKYTLXCLN-UHFFFAOYSA-N 0 0 269.688 2.874 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)C1CC(O)C1 ZINC000770126935 627503818 /nfs/dbraw/zinc/50/38/18/627503818.db2.gz KJRZBDJYLICFOA-UHFFFAOYSA-N 0 0 270.716 2.516 20 5 CFBDRN CC[C@H]1CN(c2c(C)cc([N+](=O)[O-])cc2Cl)C[C@H]1O ZINC000770132722 627504029 /nfs/dbraw/zinc/50/40/29/627504029.db2.gz VJRXBIVOFQFWRV-JOYOIKCWSA-N 0 0 284.743 2.764 20 5 CFBDRN CCOC(=O)N1CC[C@@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000770223577 627508578 /nfs/dbraw/zinc/50/85/78/627508578.db2.gz RXNPWNYGKGKUNA-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN COc1ccccc1OC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000770394293 627518556 /nfs/dbraw/zinc/51/85/56/627518556.db2.gz AVSWMRBODZTVIQ-UHFFFAOYSA-N 0 0 289.243 2.528 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@](C)(O)C(C)C ZINC000770557730 627529622 /nfs/dbraw/zinc/52/96/22/627529622.db2.gz OXTSWHSSAOEGQU-XHDPSFHLSA-N 0 0 295.335 2.914 20 5 CFBDRN CCC/C=C\C(=O)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000770859238 627551747 /nfs/dbraw/zinc/55/17/47/627551747.db2.gz VXHUAPWDKPMNJA-PLNGDYQASA-N 0 0 250.254 2.595 20 5 CFBDRN C[C@@H]1CC/C(=C\C(=O)Nc2cc([N+](=O)[O-])ccc2[O-])C1 ZINC000770857008 627551792 /nfs/dbraw/zinc/55/17/92/627551792.db2.gz OXHMYHILUXCVGC-YIXGCBLDSA-N 0 0 276.292 2.985 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2C3CCC2CC3)c1 ZINC000771039370 627571832 /nfs/dbraw/zinc/57/18/32/627571832.db2.gz YYMKHLHFWUNXLI-UHFFFAOYSA-N 0 0 266.272 2.874 20 5 CFBDRN C/C(=C/C(=O)O[C@@H]1CCO[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000771046850 627572884 /nfs/dbraw/zinc/57/28/84/627572884.db2.gz UJOSULWYRAOHRT-GEQRGXFDSA-N 0 0 291.303 2.719 20 5 CFBDRN C[C@H]1OCC[C@H]1OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000771057312 627574173 /nfs/dbraw/zinc/57/41/73/627574173.db2.gz MADMQMQUGQFHGO-LDYMZIIASA-N 0 0 291.259 2.675 20 5 CFBDRN Cc1ccc(-c2noc(-c3ccccc3[N+](=O)[O-])n2)c(=O)[nH]1 ZINC000771142666 627581150 /nfs/dbraw/zinc/58/11/50/627581150.db2.gz PFPDBGBEVLOYHU-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN CN(CC(F)F)C1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000771163694 627581653 /nfs/dbraw/zinc/58/16/53/627581653.db2.gz OFEFJTVRQXOENT-UHFFFAOYSA-N 0 0 299.321 2.761 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1cc(C2CC2)[nH]n1 ZINC000771642651 627619995 /nfs/dbraw/zinc/61/99/95/627619995.db2.gz XYXZQVLHFWYBMX-UHFFFAOYSA-N 0 0 291.238 2.554 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1c1ccc([N+](=O)[O-])c2nonc21 ZINC000771691371 627624046 /nfs/dbraw/zinc/62/40/46/627624046.db2.gz UMVHSRAGFDKMLT-RKDXNWHRSA-N 0 0 276.296 2.898 20 5 CFBDRN CO[C@H](CNc1ncc(Cl)cc1[N+](=O)[O-])C(F)(F)F ZINC000771916723 627647070 /nfs/dbraw/zinc/64/70/70/627647070.db2.gz FCBHOZGAUBKANN-SSDOTTSWSA-N 0 0 299.636 2.632 20 5 CFBDRN COc1cccc(NC[C@H](OC)C(F)(F)F)c1[N+](=O)[O-] ZINC000772065314 627669422 /nfs/dbraw/zinc/66/94/22/627669422.db2.gz BURYEKCVSGLCLX-VIFPVBQESA-N 0 0 294.229 2.593 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C1(F)CCCC1 ZINC000772248451 627690806 /nfs/dbraw/zinc/69/08/06/627690806.db2.gz XMUBJGYSCULEDT-UHFFFAOYSA-N 0 0 296.298 2.502 20 5 CFBDRN Cc1cnc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])nc1 ZINC000772303798 627699201 /nfs/dbraw/zinc/69/92/01/627699201.db2.gz DBHZUVOOMZILJX-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCC1CCC1 ZINC000772353307 627703879 /nfs/dbraw/zinc/70/38/79/627703879.db2.gz FIISXLGGDZFJDD-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1ccc(COC(=O)Cc2ccc([N+](=O)[O-])cc2)cn1 ZINC000772669109 627733497 /nfs/dbraw/zinc/73/34/97/627733497.db2.gz GXVXGTFCKITCKS-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN CCCc1ccc(NC(=O)c2nn(C)cc2[N+](=O)[O-])cc1 ZINC000772906197 627758094 /nfs/dbraw/zinc/75/80/94/627758094.db2.gz IHVSJMVMLVXKGD-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN Cc1c(NC(=O)COC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000772972643 627763200 /nfs/dbraw/zinc/76/32/00/627763200.db2.gz UYFHHLPCTPTKES-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN CC1(OCC(=O)OCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000772973929 627763585 /nfs/dbraw/zinc/76/35/85/627763585.db2.gz GDHZWASAUDJRCT-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN CC1(OCC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000773000588 627767008 /nfs/dbraw/zinc/76/70/08/627767008.db2.gz WRGDCJRXVXDEPJ-UHFFFAOYSA-N 0 0 283.255 2.599 20 5 CFBDRN O=C(NOc1ccccc1)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000773469865 627823917 /nfs/dbraw/zinc/82/39/17/627823917.db2.gz ROVOZUJFSMZZPT-UHFFFAOYSA-N 0 0 297.270 2.800 20 5 CFBDRN O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C1(F)CCCC1 ZINC000773518297 627832534 /nfs/dbraw/zinc/83/25/34/627832534.db2.gz VKZSIRKTZVOXFF-UHFFFAOYSA-N 0 0 292.270 2.692 20 5 CFBDRN CCOc1nc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)co1 ZINC000773985099 627875730 /nfs/dbraw/zinc/87/57/30/627875730.db2.gz UTDMKINSJOCTHP-UHFFFAOYSA-N 0 0 292.247 2.509 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccco1)CC2 ZINC000773991934 627876388 /nfs/dbraw/zinc/87/63/88/627876388.db2.gz SUSDSKWESXFHOV-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CCOC(C)C)CC2 ZINC000773988133 627876971 /nfs/dbraw/zinc/87/69/71/627876971.db2.gz NDUDJEYSRBERBR-UHFFFAOYSA-N 0 0 292.335 2.607 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CCC1CC1)CC2 ZINC000773997500 627877110 /nfs/dbraw/zinc/87/71/10/627877110.db2.gz USSPXJLWCVMURP-UHFFFAOYSA-N 0 0 274.320 2.983 20 5 CFBDRN CC[C@H](C)OCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773996725 627877127 /nfs/dbraw/zinc/87/71/27/627877127.db2.gz OJGQIQKHXAIQDN-NSHDSACASA-N 0 0 292.335 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@@H](NCc1ccon1)CCC2 ZINC000774212073 627904186 /nfs/dbraw/zinc/90/41/86/627904186.db2.gz YGMAYEBTMLWQRA-AWEZNQCLSA-N 0 0 273.292 2.750 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C[C@H]2CCOC2)c1 ZINC000774734857 627954108 /nfs/dbraw/zinc/95/41/08/627954108.db2.gz ORLBOTYJMMEMOJ-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)C[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000774743946 627956544 /nfs/dbraw/zinc/95/65/44/627956544.db2.gz BKFKFXZMYWSJPB-PWSUYJOCSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000774847429 627970507 /nfs/dbraw/zinc/97/05/07/627970507.db2.gz GZRZASOBNFVTFV-VXGBXAGGSA-N 0 0 293.319 2.809 20 5 CFBDRN CC(C)[C@H]1C[C@H]1COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000774853085 627971849 /nfs/dbraw/zinc/97/18/49/627971849.db2.gz UQVQGEWNDOOXKH-QWHCGFSZSA-N 0 0 277.320 2.973 20 5 CFBDRN C[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@@H]1F ZINC000775058648 627988711 /nfs/dbraw/zinc/98/87/11/627988711.db2.gz VWCDTKJFSJJJIG-CABZTGNLSA-N 0 0 297.286 2.683 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000775980195 628090222 /nfs/dbraw/zinc/09/02/22/628090222.db2.gz MLEIKHKWFLCJSB-ZDUSSCGKSA-N 0 0 291.351 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)COc2cccc(F)c2)cc1 ZINC000776276728 628125142 /nfs/dbraw/zinc/12/51/42/628125142.db2.gz JKVNKMVKADTORE-CQSZACIVSA-N 0 0 277.251 2.846 20 5 CFBDRN Cc1ccc2c(c1)CCN2C[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776605529 628163908 /nfs/dbraw/zinc/16/39/08/628163908.db2.gz LURSVZZRQZZIHA-KRWDZBQOSA-N 0 0 298.342 2.999 20 5 CFBDRN Cc1ncc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])c(C)n1 ZINC000777072779 628197613 /nfs/dbraw/zinc/19/76/13/628197613.db2.gz MZJCKTLTQOWXGC-UHFFFAOYSA-N 0 0 287.275 2.529 20 5 CFBDRN CSc1cccc(C(=O)OCc2ccon2)c1[N+](=O)[O-] ZINC000777074095 628197620 /nfs/dbraw/zinc/19/76/20/628197620.db2.gz UAIHVZQWLWEOGR-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN CSc1cccc(C(=O)OCC(=O)C(C)C)c1[N+](=O)[O-] ZINC000777073529 628197892 /nfs/dbraw/zinc/19/78/92/628197892.db2.gz KJBIDIVGSILUBA-UHFFFAOYSA-N 0 0 297.332 2.699 20 5 CFBDRN CCCC(=O)COC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000777079276 628198529 /nfs/dbraw/zinc/19/85/29/628198529.db2.gz MHSJZSKYSMRSKP-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN Cc1cc(NC(=O)c2cccc3nccn32)ccc1[N+](=O)[O-] ZINC000777132563 628203009 /nfs/dbraw/zinc/20/30/09/628203009.db2.gz CFSZUGARZRDNJL-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCCc1ccoc1 ZINC000777380020 628219538 /nfs/dbraw/zinc/21/95/38/628219538.db2.gz OTPYVZJXGDBKNG-UHFFFAOYSA-N 0 0 275.260 2.516 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H]2CCCCC2=O)c1[N+](=O)[O-] ZINC000777748523 628251069 /nfs/dbraw/zinc/25/10/69/628251069.db2.gz FTDRSMZYNZRFCQ-GFCCVEGCSA-N 0 0 277.276 2.572 20 5 CFBDRN Cc1cnc(OCc2ccc3c(c2)COC3)c([N+](=O)[O-])c1 ZINC000777933028 628265961 /nfs/dbraw/zinc/26/59/61/628265961.db2.gz MAZNRKVCFGWRQD-UHFFFAOYSA-N 0 0 286.287 2.907 20 5 CFBDRN O=C(NOC1CCCCC1)c1csc([N+](=O)[O-])c1 ZINC000777962860 628268165 /nfs/dbraw/zinc/26/81/65/628268165.db2.gz QQMIFEPLGAELLT-UHFFFAOYSA-N 0 0 270.310 2.650 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@H](C)[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC000778000991 628272130 /nfs/dbraw/zinc/27/21/30/628272130.db2.gz RSLZMARLRPTVGR-CABZTGNLSA-N 0 0 280.299 2.982 20 5 CFBDRN CC1(CNC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000778028706 628273493 /nfs/dbraw/zinc/27/34/93/628273493.db2.gz OAOMJUVRZZNJNE-UHFFFAOYSA-N 0 0 298.289 2.993 20 5 CFBDRN COCCC[C@H](C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000778054138 628275430 /nfs/dbraw/zinc/27/54/30/628275430.db2.gz YKSKTZRDRRIHHT-NSHDSACASA-N 0 0 281.308 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cccc(F)n2)c(F)c1 ZINC000778104772 628277440 /nfs/dbraw/zinc/27/74/40/628277440.db2.gz HWMWZFIMKLTKPP-UHFFFAOYSA-N 0 0 279.246 2.558 20 5 CFBDRN CC(C)OC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778170168 628282922 /nfs/dbraw/zinc/28/29/22/628282922.db2.gz QVRLOVUNCLQGDZ-UHFFFAOYSA-N 0 0 259.208 2.638 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000778376664 628301943 /nfs/dbraw/zinc/30/19/43/628301943.db2.gz PWHKTSAOHFNLJQ-NXEZZACHSA-N 0 0 297.282 2.706 20 5 CFBDRN CC[C@]1(O)CCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000778399192 628304513 /nfs/dbraw/zinc/30/45/13/628304513.db2.gz WJOCWAHRPIPBJL-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000778753957 628330414 /nfs/dbraw/zinc/33/04/14/628330414.db2.gz COSNGRDCCPOQLO-SECBINFHSA-N 0 0 267.281 2.693 20 5 CFBDRN CCOCCC(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778794935 628332370 /nfs/dbraw/zinc/33/23/70/628332370.db2.gz BUOJNYCWRBGLSW-NSHDSACASA-N 0 0 281.308 2.934 20 5 CFBDRN CC(C)(C(=O)OCc1csc([N+](=O)[O-])c1)N1CCCC1 ZINC000805615007 628332812 /nfs/dbraw/zinc/33/28/12/628332812.db2.gz PCIIZHBRZQEBEH-UHFFFAOYSA-N 0 0 298.364 2.574 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)C[C@@H]1CCOC1 ZINC000778806975 628332879 /nfs/dbraw/zinc/33/28/79/628332879.db2.gz HVCQUEFTBGTQFX-NEPJUHHUSA-N 0 0 293.319 2.934 20 5 CFBDRN COc1cc(COC(=O)CC2CCC2)ccc1[N+](=O)[O-] ZINC000778812092 628333299 /nfs/dbraw/zinc/33/32/99/628333299.db2.gz MFHKQGDUDUTFEL-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN CC(C)C(=O)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000778807764 628333361 /nfs/dbraw/zinc/33/33/61/628333361.db2.gz AFTAQZTXGKVKRZ-NSHDSACASA-N 0 0 296.298 2.512 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2)ccc1O ZINC000778853526 628336850 /nfs/dbraw/zinc/33/68/50/628336850.db2.gz NGGNRDAFNLDMRG-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN COCC1(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000779199242 628359619 /nfs/dbraw/zinc/35/96/19/628359619.db2.gz YEWRETSCFMFLRR-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN CC[C@@H]1C[C@@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000779303127 628372231 /nfs/dbraw/zinc/37/22/31/628372231.db2.gz VVBIHUOKDLJULR-KGLIPLIRSA-N 0 0 293.319 2.638 20 5 CFBDRN C[C@@H](CNC(=O)c1c(N)cccc1[N+](=O)[O-])C(C)(C)C ZINC000779522140 628386375 /nfs/dbraw/zinc/38/63/75/628386375.db2.gz SFWWRAWTMGJNSY-VIFPVBQESA-N 0 0 279.340 2.589 20 5 CFBDRN CCN(CCc1cccs1)Cn1cc([N+](=O)[O-])c(C)n1 ZINC000779858875 628414804 /nfs/dbraw/zinc/41/48/04/628414804.db2.gz GJXHLZRIPVQDLZ-UHFFFAOYSA-N 0 0 294.380 2.683 20 5 CFBDRN Cc1nn(C[N@H+]2CC[C@H](C)[C@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000779860358 628414974 /nfs/dbraw/zinc/41/49/74/628414974.db2.gz XOWXUYUKIJOINO-YUTCNCBUSA-N 0 0 292.383 2.958 20 5 CFBDRN Cc1nn(C[N@H+]2CC[C@H](C)[C@@H]3CCCC[C@H]32)cc1[N+](=O)[O-] ZINC000779860355 628415029 /nfs/dbraw/zinc/41/50/29/628415029.db2.gz XOWXUYUKIJOINO-FPMFFAJLSA-N 0 0 292.383 2.958 20 5 CFBDRN Cc1nn(CN2CC[C@@H]2c2cccc(F)c2)cc1[N+](=O)[O-] ZINC000779862731 628415229 /nfs/dbraw/zinc/41/52/29/628415229.db2.gz DZCDRCWNOUSNDL-CYBMUJFWSA-N 0 0 290.298 2.643 20 5 CFBDRN CC/C(C)=C(\C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000780011378 628426427 /nfs/dbraw/zinc/42/64/27/628426427.db2.gz NKWMMGPTAAUYEP-VAWYXSNFSA-N 0 0 276.336 3.000 20 5 CFBDRN COC1CCC(C(=O)Oc2cccc([N+](=O)[O-])c2)CC1 ZINC000780261414 628447219 /nfs/dbraw/zinc/44/72/19/628447219.db2.gz IUAIHUZPDCQHLW-UHFFFAOYSA-N 0 0 279.292 2.705 20 5 CFBDRN O=C(O[C@@H]1C=CCC1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000780552572 628474528 /nfs/dbraw/zinc/47/45/28/628474528.db2.gz OSTAZDKNPGBTOX-CYBMUJFWSA-N 0 0 299.286 2.656 20 5 CFBDRN O=C(O[C@@H]1C=CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000780560838 628477168 /nfs/dbraw/zinc/47/71/68/628477168.db2.gz IIYGMIQUHLHLFF-SNVBAGLBSA-N 0 0 272.260 2.952 20 5 CFBDRN COc1cc(NC[C@H]2CCC=CO2)c([N+](=O)[O-])cc1F ZINC000780620705 628482568 /nfs/dbraw/zinc/48/25/68/628482568.db2.gz BWVGQSLPPFSVEI-SECBINFHSA-N 0 0 282.271 2.847 20 5 CFBDRN C[C@H]1CC[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000780628582 628483327 /nfs/dbraw/zinc/48/33/27/628483327.db2.gz WUKOOFONIWJVLY-VHSXEESVSA-N 0 0 260.297 2.843 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC000781074291 628518792 /nfs/dbraw/zinc/51/87/92/628518792.db2.gz JPJHFCNHWBPKLT-CYBMUJFWSA-N 0 0 290.319 2.580 20 5 CFBDRN Cc1cc(C(=O)OCCOc2ccccc2[N+](=O)[O-])co1 ZINC000781371341 628543722 /nfs/dbraw/zinc/54/37/22/628543722.db2.gz IZLBMBWUYJRSGP-UHFFFAOYSA-N 0 0 291.259 2.732 20 5 CFBDRN Cc1cc(C(=O)OCc2csc([N+](=O)[O-])c2)co1 ZINC000781380934 628544710 /nfs/dbraw/zinc/54/47/10/628544710.db2.gz QSPBNFCWMJMGAD-UHFFFAOYSA-N 0 0 267.262 2.915 20 5 CFBDRN Cc1nn(CN2CC[C@@]3(CC[C@@H](C)C3)C2)cc1[N+](=O)[O-] ZINC000781584255 628562137 /nfs/dbraw/zinc/56/21/37/628562137.db2.gz PFATYVNSKWUBNY-BXUZGUMPSA-N 0 0 278.356 2.569 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000781614402 628566252 /nfs/dbraw/zinc/56/62/52/628566252.db2.gz FTPPWQAJCIPFCM-KCJUWKMLSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000781614399 628566378 /nfs/dbraw/zinc/56/63/78/628566378.db2.gz FTPPWQAJCIPFCM-GZMMTYOYSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CSCCS2)n1 ZINC000781663540 628570962 /nfs/dbraw/zinc/57/09/62/628570962.db2.gz KQRHIDPKWRVIKL-JTQLQIEISA-N 0 0 299.421 2.867 20 5 CFBDRN C/C=C\COC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000781712912 628575210 /nfs/dbraw/zinc/57/52/10/628575210.db2.gz MHBSPGRKEOZRJG-IHWYPQMZSA-N 0 0 287.218 2.929 20 5 CFBDRN Cn1c(C(=O)OC2(c3ccccc3)CC2)ccc1[N+](=O)[O-] ZINC000781747902 628577219 /nfs/dbraw/zinc/57/72/19/628577219.db2.gz JGQLADORZSWUCY-UHFFFAOYSA-N 0 0 286.287 2.779 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000781922592 628591454 /nfs/dbraw/zinc/59/14/54/628591454.db2.gz JJHWPIQXOVDLRZ-DTWKUNHWSA-N 0 0 285.321 2.628 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCC(=O)CC1CC1 ZINC000782025729 628598071 /nfs/dbraw/zinc/59/80/71/628598071.db2.gz WWTHUTLKRYQBSK-YVMONPNESA-N 0 0 289.287 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2ccnc(N(C)C)c2)c1 ZINC000782110029 628605072 /nfs/dbraw/zinc/60/50/72/628605072.db2.gz GRDSQEICCFDFEU-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN COCc1cc(Oc2ccc([N+](=O)[O-])c(C)c2)ncn1 ZINC000782133969 628606866 /nfs/dbraw/zinc/60/68/66/628606866.db2.gz ZMJAUJOSFZVYCD-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN CCc1ccccc1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000782244728 628618981 /nfs/dbraw/zinc/61/89/81/628618981.db2.gz JVUDBCULNWQTBW-UHFFFAOYSA-N 0 0 288.303 2.853 20 5 CFBDRN O=C(OCc1cc(F)cc(F)c1)c1ccc([N+](=O)[O-])cn1 ZINC000782294527 628625141 /nfs/dbraw/zinc/62/51/41/628625141.db2.gz FACQGKXQJYLWER-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CC(C)CCC(N)=NOCCOc1ccc([N+](=O)[O-])cc1 ZINC000782319956 628628225 /nfs/dbraw/zinc/62/82/25/628628225.db2.gz BAJACMPAEMEWTF-UHFFFAOYSA-N 0 0 295.339 2.908 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)OCc1ccc([N+](=O)[O-])cc1 ZINC000782324400 628628520 /nfs/dbraw/zinc/62/85/20/628628520.db2.gz BTPNCFSZQRIZPY-VMPITWQZSA-N 0 0 272.260 2.680 20 5 CFBDRN CO[C@@H](C)COC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000782352367 628631388 /nfs/dbraw/zinc/63/13/88/628631388.db2.gz OOHZSJGRZPWWOB-JTQLQIEISA-N 0 0 281.308 2.712 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1ccc2cc[nH]c2n1 ZINC000782363403 628632227 /nfs/dbraw/zinc/63/22/27/628632227.db2.gz CETYCHWXIGNFLB-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCCn2ccc([N+](=O)[O-])n2)CC1 ZINC000782398006 628635484 /nfs/dbraw/zinc/63/54/84/628635484.db2.gz QTHPZKVLTFJVMW-HAQNSBGRSA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)[C@H](C)[C@@H](C)O1 ZINC000782410044 628636514 /nfs/dbraw/zinc/63/65/14/628636514.db2.gz NKNGJBPUOKSJQT-OPRDCNLKSA-N 0 0 268.288 2.736 20 5 CFBDRN C[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])[C@@H](C)[C@@H](C)O1 ZINC000782628457 628650432 /nfs/dbraw/zinc/65/04/32/628650432.db2.gz NLEHCHXUYFHBEF-OUAUKWLOSA-N 0 0 282.315 2.732 20 5 CFBDRN CC(C)(C)C[C@@H](O)CC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000805730355 628655886 /nfs/dbraw/zinc/65/58/86/628655886.db2.gz XEEZMRAZALARPU-ZDUSSCGKSA-N 0 0 295.335 2.825 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2cc([N+](=O)[O-])ccc2F)CCO1 ZINC000782992365 628668186 /nfs/dbraw/zinc/66/81/86/628668186.db2.gz DPPLMFUVSCAILS-NXEZZACHSA-N 0 0 297.282 2.706 20 5 CFBDRN O=C(COc1cc(Cl)ccc1[N+](=O)[O-])c1nccs1 ZINC000783130498 628677176 /nfs/dbraw/zinc/67/71/76/628677176.db2.gz BUJFVZXKKQBICC-UHFFFAOYSA-N 0 0 298.707 2.966 20 5 CFBDRN CSc1ccc(C(=O)OC2CC2)cc1[N+](=O)[O-] ZINC000783185985 628682753 /nfs/dbraw/zinc/68/27/53/628682753.db2.gz JSYRGTRIGHVCPL-UHFFFAOYSA-N 0 0 253.279 2.636 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1cccc(F)n1 ZINC000783207310 628686198 /nfs/dbraw/zinc/68/61/98/628686198.db2.gz ZWKJQVBNRANISI-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1C[N@H+](C1CC1)C1(CO)CCC1 ZINC000852158795 634675325 /nfs/dbraw/zinc/67/53/25/634675325.db2.gz KAUGKNTUQOLEFJ-UHFFFAOYSA-N 0 0 294.326 2.613 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])[C@H]1CCCCS1 ZINC000783406453 628705059 /nfs/dbraw/zinc/70/50/59/628705059.db2.gz PDGHKZKKKIMXGN-CYBMUJFWSA-N 0 0 295.360 2.966 20 5 CFBDRN Cc1ncccc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783577111 628722513 /nfs/dbraw/zinc/72/25/13/628722513.db2.gz NOWHUAXESFNHTI-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSCCSCCO)cc1 ZINC000783587190 628724263 /nfs/dbraw/zinc/72/42/63/628724263.db2.gz FDRAYVKHHXUEBH-UHFFFAOYSA-N 0 0 287.406 2.596 20 5 CFBDRN CC[C@@H](C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000783685740 628734543 /nfs/dbraw/zinc/73/45/43/628734543.db2.gz RFKSVAGBVIVPJK-PBQZMEPESA-N 0 0 262.309 2.913 20 5 CFBDRN O=C(COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)CC1CC1 ZINC000783725662 628738334 /nfs/dbraw/zinc/73/83/34/628738334.db2.gz KNGGSMYOJKDSTE-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN CSC[C@H](C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784013821 628763370 /nfs/dbraw/zinc/76/33/70/628763370.db2.gz PAINWAWBLSQXCM-UQSGXBNBSA-N 0 0 294.376 2.866 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](F)C(C)C)c([N+](=O)[O-])c1 ZINC000784017313 628764018 /nfs/dbraw/zinc/76/40/18/628764018.db2.gz LNYMWLDHPNKDOU-NSHDSACASA-N 0 0 271.244 2.503 20 5 CFBDRN O=C(CCn1cccc1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784040215 628768041 /nfs/dbraw/zinc/76/80/41/628768041.db2.gz QCUMQFBIQWUBEE-UHFFFAOYSA-N 0 0 292.266 2.669 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@@H]1C[C@@H]1C1CC1 ZINC000784054810 628769630 /nfs/dbraw/zinc/76/96/30/628769630.db2.gz NDPQKSQERPSTRE-GHMZBOCLSA-N 0 0 279.267 2.823 20 5 CFBDRN CC(C)[C@H](F)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000784072827 628772475 /nfs/dbraw/zinc/77/24/75/628772475.db2.gz GVWLGDWZOIQCOX-JTQLQIEISA-N 0 0 258.224 2.667 20 5 CFBDRN CS[C@H](C)CNC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784081553 628773832 /nfs/dbraw/zinc/77/38/32/628773832.db2.gz CTOJTQIGALSKCU-HIJJYWJESA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1cnoc1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000784102211 628776712 /nfs/dbraw/zinc/77/67/12/628776712.db2.gz ROPHXRAGQIKKTH-SECBINFHSA-N 0 0 276.248 2.809 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000784103982 628776735 /nfs/dbraw/zinc/77/67/35/628776735.db2.gz LVXWPAHBCSNDRP-GWCFXTLKSA-N 0 0 297.282 2.735 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)CO1 ZINC000784107790 628777195 /nfs/dbraw/zinc/77/71/95/628777195.db2.gz VPHAPRWADSAPLX-PSASIEDQSA-N 0 0 299.710 2.716 20 5 CFBDRN CC(C)[C@@H](F)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000784135008 628781433 /nfs/dbraw/zinc/78/14/33/628781433.db2.gz ZLOSXAMWBXZGNW-SNVBAGLBSA-N 0 0 259.208 2.633 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000784139008 628781911 /nfs/dbraw/zinc/78/19/11/628781911.db2.gz VJEOGEKJEGMPGL-CYBMUJFWSA-N 0 0 280.299 2.868 20 5 CFBDRN CCCCCCC(=O)COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000784199885 628790196 /nfs/dbraw/zinc/79/01/96/628790196.db2.gz QOMPGDAEEGKULK-UHFFFAOYSA-N 0 0 296.323 2.630 20 5 CFBDRN CC(C)(C)CC(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000784493165 628821174 /nfs/dbraw/zinc/82/11/74/628821174.db2.gz AMARZRSIDRURRA-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN C/C=C(\C)C(=O)OCc1ccc(N(C)C)c([N+](=O)[O-])c1 ZINC000784495699 628821905 /nfs/dbraw/zinc/82/19/05/628821905.db2.gz YEAKMXLXRBZFNN-BJMVGYQFSA-N 0 0 278.308 2.670 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000784496764 628821909 /nfs/dbraw/zinc/82/19/09/628821909.db2.gz BRRLPUYLBSXEKE-CYBMUJFWSA-N 0 0 298.314 2.568 20 5 CFBDRN CC(C)OCCCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784668386 628835485 /nfs/dbraw/zinc/83/54/85/628835485.db2.gz JDJQZBHDAQZBCV-UHFFFAOYSA-N 0 0 299.298 2.982 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784850180 628845508 /nfs/dbraw/zinc/84/55/08/628845508.db2.gz KRVFLDCXPQEVLU-GQCTYLIASA-N 0 0 290.250 2.819 20 5 CFBDRN C/C(=C/C(=O)OC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000784841439 628845623 /nfs/dbraw/zinc/84/56/23/628845623.db2.gz JPRIMTRJSAENEJ-KGTBHZDVSA-N 0 0 291.303 2.578 20 5 CFBDRN Cc1ccn(C)c1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784875928 628847505 /nfs/dbraw/zinc/84/75/05/628847505.db2.gz NCBJVDWFBCEEJQ-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN CO[C@H](C)CCOC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784882935 628848379 /nfs/dbraw/zinc/84/83/79/628848379.db2.gz LHQODRWXCFSKGV-HCRIHEDKSA-N 0 0 293.319 2.966 20 5 CFBDRN CC(C)/C=C/C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000785058404 628862996 /nfs/dbraw/zinc/86/29/96/628862996.db2.gz HJLRUFLHDKIXSE-FPYGCLRLSA-N 0 0 277.276 2.533 20 5 CFBDRN C/C(=C\C(=O)NC[C@@H]1C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000785258360 628873528 /nfs/dbraw/zinc/87/35/28/628873528.db2.gz VQCXTGRPCWGOAW-SYBXUWECSA-N 0 0 274.320 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCCCO3)sc2c1 ZINC000785850732 628917074 /nfs/dbraw/zinc/91/70/74/628917074.db2.gz OMVKVQAAJBVGBN-UHFFFAOYSA-N 0 0 265.294 2.736 20 5 CFBDRN CCCC(=O)COC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000785933918 628925173 /nfs/dbraw/zinc/92/51/73/628925173.db2.gz CPBFNLPBZCQQTM-UHFFFAOYSA-N 0 0 299.710 2.703 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000786377130 628952376 /nfs/dbraw/zinc/95/23/76/628952376.db2.gz QVIQERVIYUTJGF-ZWNOBZJWSA-N 0 0 279.292 2.563 20 5 CFBDRN C[C@@H](OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)C(F)F ZINC000786508855 628962563 /nfs/dbraw/zinc/96/25/63/628962563.db2.gz VTLVNTYQHGTMIR-MRVPVSSYSA-N 0 0 298.245 2.746 20 5 CFBDRN COc1cccc(CCNc2ccc(N)cc2[N+](=O)[O-])c1 ZINC000786576992 628969248 /nfs/dbraw/zinc/96/92/48/628969248.db2.gz XJMYSYXPBYESNA-UHFFFAOYSA-N 0 0 287.319 2.840 20 5 CFBDRN COC/C=C\C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000786600666 628970914 /nfs/dbraw/zinc/97/09/14/628970914.db2.gz ACXXYVCQCASMEH-WYGGZMRJSA-N 0 0 279.292 2.710 20 5 CFBDRN CS(=O)(=O)c1cc([N+](=O)[O-])ccc1NCCC1CCC1 ZINC000786793802 628979553 /nfs/dbraw/zinc/97/95/53/628979553.db2.gz QYLPMIIRXYLEIS-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CCC=CO2)cccc1[N+](=O)[O-] ZINC000787028160 628989246 /nfs/dbraw/zinc/98/92/46/628989246.db2.gz UQHMEUTVVZVDHW-ZDUSSCGKSA-N 0 0 277.276 2.639 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCC=CO1)c1cccc([N+](=O)[O-])c1 ZINC000787043349 628990057 /nfs/dbraw/zinc/99/00/57/628990057.db2.gz RLZBUOVZOVFBSR-ZWNOBZJWSA-N 0 0 277.276 2.892 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CCCOCC2)cccc1[N+](=O)[O-] ZINC000787095889 628993394 /nfs/dbraw/zinc/99/33/94/628993394.db2.gz GCULTRNVKBJFSW-GFCCVEGCSA-N 0 0 293.319 2.763 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000852240045 634700232 /nfs/dbraw/zinc/70/02/32/634700232.db2.gz XQJGABFZXHOSHA-QWRGUYRKSA-N 0 0 275.308 2.674 20 5 CFBDRN O=C(OCc1ccc(F)cn1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000787688293 629026167 /nfs/dbraw/zinc/02/61/67/629026167.db2.gz BADWVECSDDYMLS-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000787719503 629029009 /nfs/dbraw/zinc/02/90/09/629029009.db2.gz HGVBNWMUUICHLC-GDGBQDQQSA-N 0 0 280.711 2.777 20 5 CFBDRN COc1c(C)cnc(CNc2ncc([N+](=O)[O-])s2)c1C ZINC000788326533 629059289 /nfs/dbraw/zinc/05/92/89/629059289.db2.gz DYZOPZAZKXYRCG-UHFFFAOYSA-N 0 0 294.336 2.684 20 5 CFBDRN C[C@@H](O)CCCNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000788559323 629081883 /nfs/dbraw/zinc/08/18/83/629081883.db2.gz ZUJNLLVQTGYSEX-MRVPVSSYSA-N 0 0 281.337 2.777 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCCCOC(C)(C)C ZINC000789016965 629117365 /nfs/dbraw/zinc/11/73/65/629117365.db2.gz LXUXRQGSJGWLFK-UHFFFAOYSA-N 0 0 298.339 2.685 20 5 CFBDRN Cc1c(NC(=S)NC2(C)CC2)cccc1[N+](=O)[O-] ZINC000789162590 629131296 /nfs/dbraw/zinc/13/12/96/629131296.db2.gz UELYATRVCCWRSE-UHFFFAOYSA-N 0 0 265.338 2.742 20 5 CFBDRN C/C=C(/CC)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000789240095 629140355 /nfs/dbraw/zinc/14/03/55/629140355.db2.gz WZUFRIGYCFWQSE-JYOAFUTRSA-N 0 0 279.292 2.873 20 5 CFBDRN O=C(OCC1(F)CC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000789596574 629170581 /nfs/dbraw/zinc/17/05/81/629170581.db2.gz PTQCGSCYVWZSJF-UHFFFAOYSA-N 0 0 273.647 2.907 20 5 CFBDRN COc1ccc(C(=O)OC[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000789603917 629172138 /nfs/dbraw/zinc/17/21/38/629172138.db2.gz IQEGICJWOPZZSZ-VIFPVBQESA-N 0 0 279.292 2.806 20 5 CFBDRN C[C@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000789605035 629172227 /nfs/dbraw/zinc/17/22/27/629172227.db2.gz BSDVSHOLGFUAHL-MRVPVSSYSA-N 0 0 267.256 2.937 20 5 CFBDRN C[C@H](COC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)C1CC1 ZINC000789611866 629174386 /nfs/dbraw/zinc/17/43/86/629174386.db2.gz RLZMABFWQNJPAQ-MRVPVSSYSA-N 0 0 293.275 2.526 20 5 CFBDRN CCOc1cc(OC[C@@H]2COC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000790290922 629226254 /nfs/dbraw/zinc/22/62/54/629226254.db2.gz PIZMYHNBRRZGSP-LLVKDONJSA-N 0 0 297.307 2.524 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])NC1CC=CC1 ZINC000790555717 629247901 /nfs/dbraw/zinc/24/79/01/629247901.db2.gz AKQAOYNQVHQJHL-UHFFFAOYSA-N 0 0 298.701 2.765 20 5 CFBDRN Cc1c(CNc2nc(C3CC3)no2)cccc1[N+](=O)[O-] ZINC000790784412 629262589 /nfs/dbraw/zinc/26/25/89/629262589.db2.gz NTWLTTDNAMCTIZ-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OCC1=CCCC1 ZINC000790820385 629266684 /nfs/dbraw/zinc/26/66/84/629266684.db2.gz AQVAIVUGKZSRGK-UHFFFAOYSA-N 0 0 277.276 2.627 20 5 CFBDRN COc1cccc(C(=O)OCC2=CCCC2)c1[N+](=O)[O-] ZINC000790827322 629268075 /nfs/dbraw/zinc/26/80/75/629268075.db2.gz YDXXMQQAQKSXOH-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC2=CCCC2)c1 ZINC000790828106 629268128 /nfs/dbraw/zinc/26/81/28/629268128.db2.gz DKUCDMKIQGKAKZ-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCc2cncs2)c1F ZINC000790923042 629271493 /nfs/dbraw/zinc/27/14/93/629271493.db2.gz YBFOODKPSXIKRN-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN O=[N+]([O-])CCN(Cc1c(F)cccc1F)C1CCCC1 ZINC000791051563 629284107 /nfs/dbraw/zinc/28/41/07/629284107.db2.gz FDHIFRQSHXNKEB-UHFFFAOYSA-N 0 0 284.306 2.986 20 5 CFBDRN CC(C)N1C[C@H](Nc2cc(Cl)ccc2[N+](=O)[O-])CC1=O ZINC000791408810 629310959 /nfs/dbraw/zinc/31/09/59/629310959.db2.gz ZTUUHPJKDIYALP-SNVBAGLBSA-N 0 0 297.742 2.669 20 5 CFBDRN Cc1ccnc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000791471347 629319444 /nfs/dbraw/zinc/31/94/44/629319444.db2.gz LTCAVYDYZFUBCS-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C/C=C\COC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000791692044 629346649 /nfs/dbraw/zinc/34/66/49/629346649.db2.gz KKCVFZQXHUDHRB-PLNGDYQASA-N 0 0 265.265 2.645 20 5 CFBDRN COC1(CC(=O)OCCc2ccccc2[N+](=O)[O-])CCC1 ZINC000791828622 629363465 /nfs/dbraw/zinc/36/34/65/629363465.db2.gz ZGQLYKJELUILOS-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN CCOC[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000792028379 629390368 /nfs/dbraw/zinc/39/03/68/629390368.db2.gz APMGCUAHVLXZHQ-SECBINFHSA-N 0 0 293.275 2.923 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)Cc2ccc([N+](=O)[O-])cc2)O1 ZINC000792028506 629390610 /nfs/dbraw/zinc/39/06/10/629390610.db2.gz PDLLAAYPQGFNFA-CYBMUJFWSA-N 0 0 293.319 2.638 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000835104344 629409769 /nfs/dbraw/zinc/40/97/69/629409769.db2.gz SZRSECCOKLEPPO-IONNQARKSA-N 0 0 271.219 2.854 20 5 CFBDRN O=C(/C=C/[C@@H]1CCOC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000835110911 629416455 /nfs/dbraw/zinc/41/64/55/629416455.db2.gz DWQRVVHKLRGSON-CITMHJQUSA-N 0 0 297.694 2.746 20 5 CFBDRN CC(=O)OC(C)(C)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000792371489 629422919 /nfs/dbraw/zinc/42/29/19/629422919.db2.gz RBPSBOIICQRNJH-VIFPVBQESA-N 0 0 295.291 2.541 20 5 CFBDRN CCc1ccc(OC(=O)/C=C\[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000835167425 629481790 /nfs/dbraw/zinc/48/17/90/629481790.db2.gz AWDIYTMZIMEEHO-RNZFLTOJSA-N 0 0 291.303 2.655 20 5 CFBDRN CCOCCCCOC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000793159780 629494390 /nfs/dbraw/zinc/49/43/90/629494390.db2.gz OTGVRRVJLXFDDD-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN CCC[C@@H](OC)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000835249381 629562514 /nfs/dbraw/zinc/56/25/14/629562514.db2.gz MVRCXLYAOYJOPT-GFCCVEGCSA-N 0 0 267.281 2.624 20 5 CFBDRN CC(C)(CCC(=O)O[C@H]1CCOc2ccccc21)[N+](=O)[O-] ZINC000835258335 629571456 /nfs/dbraw/zinc/57/14/56/629571456.db2.gz MTYCCOJRWQDPEP-ZDUSSCGKSA-N 0 0 293.319 2.889 20 5 CFBDRN Cc1cc(COC(=O)c2ccc(N)c([N+](=O)[O-])c2)cs1 ZINC000806023701 629586881 /nfs/dbraw/zinc/58/68/81/629586881.db2.gz PCUTYHQYGSPJOD-UHFFFAOYSA-N 0 0 292.316 2.904 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@H]1CCCSC1 ZINC000806045685 629623876 /nfs/dbraw/zinc/62/38/76/629623876.db2.gz XNRJIGIQPCIEPQ-GFCCVEGCSA-N 0 0 295.360 2.824 20 5 CFBDRN CC[C@@H](C)CONC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000794850960 629694259 /nfs/dbraw/zinc/69/42/59/629694259.db2.gz JZSBXIIXTCHJLH-NXEZZACHSA-N 0 0 298.314 2.931 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CCCCS1)[N+](=O)[O-] ZINC000835413536 629699400 /nfs/dbraw/zinc/69/94/00/629699400.db2.gz PFZKHSYRJOMMMD-JTQLQIEISA-N 0 0 275.370 2.651 20 5 CFBDRN CC(C)(O)CCCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000835429587 629716772 /nfs/dbraw/zinc/71/67/72/629716772.db2.gz WEIUYNCIYACTRL-UHFFFAOYSA-N 0 0 299.298 2.832 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCc1cnc(C)s1 ZINC000795128184 629736806 /nfs/dbraw/zinc/73/68/06/629736806.db2.gz XFKTWUPEVXVRPP-UHFFFAOYSA-N 0 0 295.368 2.539 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000795164719 629741054 /nfs/dbraw/zinc/74/10/54/629741054.db2.gz KGBMTUGUFKZEKO-KWQFWETISA-N 0 0 265.338 2.680 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2[C@@H](C)C[C@@H]2C)c1 ZINC000795193856 629743763 /nfs/dbraw/zinc/74/37/63/629743763.db2.gz KVHSYGNOZKIJPN-YUMQZZPRSA-N 0 0 254.261 2.730 20 5 CFBDRN CC(C)(CCC(=O)OCCCCC1CC1)[N+](=O)[O-] ZINC000835458882 629745502 /nfs/dbraw/zinc/74/55/02/629745502.db2.gz VPSVECLKDRLQHA-UHFFFAOYSA-N 0 0 257.330 2.945 20 5 CFBDRN COc1cccc(NCc2cccnc2F)c1[N+](=O)[O-] ZINC000795224856 629748041 /nfs/dbraw/zinc/74/80/41/629748041.db2.gz FXNZIEGUOUPSIT-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC(=O)c1nccn1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000795226042 629748347 /nfs/dbraw/zinc/74/83/47/629748347.db2.gz IPKBVSQJBXHMDA-UHFFFAOYSA-N 0 0 279.683 2.696 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000795252368 629752532 /nfs/dbraw/zinc/75/25/32/629752532.db2.gz PFUIJUIARCAWQR-DGCLKSJQSA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1cc(OCC(=O)C(F)F)c(Cl)cc1[N+](=O)[O-] ZINC000795261294 629753597 /nfs/dbraw/zinc/75/35/97/629753597.db2.gz KDOPCBRZWJJQCK-UHFFFAOYSA-N 0 0 279.626 2.770 20 5 CFBDRN Cc1ccc(COC(=O)CSC(F)F)cc1[N+](=O)[O-] ZINC000835481413 629769533 /nfs/dbraw/zinc/76/95/33/629769533.db2.gz OHYSBEXHCDIHSN-UHFFFAOYSA-N 0 0 291.275 2.902 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000835483540 629773887 /nfs/dbraw/zinc/77/38/87/629773887.db2.gz KEPCLLHITMGLNF-GXTWGEPZSA-N 0 0 293.319 2.904 20 5 CFBDRN Cc1ccc(COC(=O)CC2CCOCC2)cc1[N+](=O)[O-] ZINC000835483546 629775263 /nfs/dbraw/zinc/77/52/63/629775263.db2.gz KGBQXEVNSVPNSK-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCC1CC(F)(F)C1 ZINC000835490805 629782215 /nfs/dbraw/zinc/78/22/15/629782215.db2.gz QLCIADDCFRUSRD-UHFFFAOYSA-N 0 0 286.234 2.500 20 5 CFBDRN CC1(C(=O)COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CC1 ZINC000795514765 629791757 /nfs/dbraw/zinc/79/17/57/629791757.db2.gz OBSPOEKKCXBDDI-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN CCC[C@@H](COC(=O)c1ccc(C)c([N+](=O)[O-])c1)OC ZINC000835539642 629823063 /nfs/dbraw/zinc/82/30/63/629823063.db2.gz YSFSAKBUQUBYFN-LBPRGKRZSA-N 0 0 281.308 2.875 20 5 CFBDRN CCC[C@H](COC(=O)c1cccc(C)c1[N+](=O)[O-])OC ZINC000835540865 629824139 /nfs/dbraw/zinc/82/41/39/629824139.db2.gz MCCHUQBAURLYPN-LLVKDONJSA-N 0 0 281.308 2.875 20 5 CFBDRN CCC[C@H](COC(=O)c1cccc([N+](=O)[O-])c1OC)OC ZINC000835545535 629832587 /nfs/dbraw/zinc/83/25/87/629832587.db2.gz OFCARIBDRIPFHE-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1ccnc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000795795681 629834731 /nfs/dbraw/zinc/83/47/31/629834731.db2.gz PGQTUOLDVZBANJ-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN CC(C)(C(=O)OCCc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000795859607 629842269 /nfs/dbraw/zinc/84/22/69/629842269.db2.gz NBMDILDIQNDXHH-UHFFFAOYSA-N 0 0 287.262 2.972 20 5 CFBDRN CC[C@H](C)[C@H](CC)OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000835590053 629858797 /nfs/dbraw/zinc/85/87/97/629858797.db2.gz PNPYCJBLFKRNQD-JQWIXIFHSA-N 0 0 283.328 2.549 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000795991071 629859318 /nfs/dbraw/zinc/85/93/18/629859318.db2.gz OQVGSZJKGUOMMD-GXFFZTMASA-N 0 0 281.308 2.699 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000795998502 629860835 /nfs/dbraw/zinc/86/08/35/629860835.db2.gz HHTFOQHAYCGLNN-TVQRCGJNSA-N 0 0 299.298 2.838 20 5 CFBDRN CC[C@@H](C)[C@H](CC)OC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000835593316 629863669 /nfs/dbraw/zinc/86/36/69/629863669.db2.gz OMNOPACACCXKGY-KOLCDFICSA-N 0 0 283.328 2.793 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])CC(F)(F)C(F)F ZINC000796114493 629877281 /nfs/dbraw/zinc/87/72/81/629877281.db2.gz LAQRDHAAXRSXGB-UHFFFAOYSA-N 0 0 294.248 2.970 20 5 CFBDRN O=C(C[C@H]1CCCC[C@@H]1O)OCc1ccc([N+](=O)[O-])cc1 ZINC000796145941 629883397 /nfs/dbraw/zinc/88/33/97/629883397.db2.gz FRFCEIRGVGNZGJ-OCCSQVGLSA-N 0 0 293.319 2.579 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000796324877 629904208 /nfs/dbraw/zinc/90/42/08/629904208.db2.gz NIPDGALLLAOJSY-NEPJUHHUSA-N 0 0 295.339 2.551 20 5 CFBDRN CNc1ccc(C(=O)OCC[C@H](C)SC)cc1[N+](=O)[O-] ZINC000835661991 629923663 /nfs/dbraw/zinc/92/36/63/629923663.db2.gz UKARNZOXOMNQGU-VIFPVBQESA-N 0 0 298.364 2.935 20 5 CFBDRN CN(c1nc2ccccn2c1[N+](=O)[O-])C1CCCC1 ZINC000796475735 629923706 /nfs/dbraw/zinc/92/37/06/629923706.db2.gz XMMMPWHEYCFLTO-UHFFFAOYSA-N 0 0 260.297 2.621 20 5 CFBDRN COc1cc(C(=O)OCC[C@@H](C)SC)ccc1[N+](=O)[O-] ZINC000835664671 629927121 /nfs/dbraw/zinc/92/71/21/629927121.db2.gz JPVYARHEYDXOAF-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN CS[C@H](C)CCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000835663917 629927169 /nfs/dbraw/zinc/92/71/69/629927169.db2.gz QYNAQDNFHFRMFI-SECBINFHSA-N 0 0 269.322 2.893 20 5 CFBDRN CNc1c(C(=O)OCC[C@H](C)SC)cccc1[N+](=O)[O-] ZINC000835670451 629934396 /nfs/dbraw/zinc/93/43/96/629934396.db2.gz OBKASUJHPDMKDN-VIFPVBQESA-N 0 0 298.364 2.935 20 5 CFBDRN COc1cc(C(=O)OC[C@@H](C)CSC)ccc1[N+](=O)[O-] ZINC000835673944 629935957 /nfs/dbraw/zinc/93/59/57/629935957.db2.gz WAOVOTCXSKLNHB-SECBINFHSA-N 0 0 299.348 2.759 20 5 CFBDRN CS[C@@H](C)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000835677596 629942253 /nfs/dbraw/zinc/94/22/53/629942253.db2.gz FHXVSZUZQDVOFU-YVFTVSHDSA-N 0 0 281.333 2.903 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ncccc1Cl ZINC000796710830 629953713 /nfs/dbraw/zinc/95/37/13/629953713.db2.gz CQSMFRVVCVLJKS-UHFFFAOYSA-N 0 0 278.651 2.862 20 5 CFBDRN CNc1c(C(=O)OC[C@H](C)SC)cccc1[N+](=O)[O-] ZINC000835686156 629955380 /nfs/dbraw/zinc/95/53/80/629955380.db2.gz RPHCBSYLMWWTOP-QMMMGPOBSA-N 0 0 284.337 2.545 20 5 CFBDRN CS[C@H](C)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000835687993 629959007 /nfs/dbraw/zinc/95/90/07/629959007.db2.gz LQGFFCPQTOIBRL-NXEZZACHSA-N 0 0 283.349 2.993 20 5 CFBDRN O=C(CCC(F)F)OCCc1ccccc1[N+](=O)[O-] ZINC000796768608 629962889 /nfs/dbraw/zinc/96/28/89/629962889.db2.gz RRWWKUSMYGOXJR-UHFFFAOYSA-N 0 0 273.235 2.726 20 5 CFBDRN C[C@@H]1C(=O)CC[C@@H]1CC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000796777726 629964466 /nfs/dbraw/zinc/96/44/66/629964466.db2.gz PCURMBQYTWUCQG-DTWKUNHWSA-N 0 0 295.266 2.645 20 5 CFBDRN C[C@H](CC(C)(C)C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000835702515 629965938 /nfs/dbraw/zinc/96/59/38/629965938.db2.gz DBRFCSMWDXPAPK-SECBINFHSA-N 0 0 268.313 2.915 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000796910420 629988398 /nfs/dbraw/zinc/98/83/98/629988398.db2.gz CRSDCVARHGYTJE-YPMHNXCESA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1cc(C(=O)OCCc2nccs2)cc([N+](=O)[O-])c1 ZINC000796948903 629994613 /nfs/dbraw/zinc/99/46/13/629994613.db2.gz KRBWHROHUYIYPM-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN C[C@H]1OCC[C@@H]1OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000797096579 630016516 /nfs/dbraw/zinc/01/65/16/630016516.db2.gz GQEAVQWCXKONAW-MFKMUULPSA-N 0 0 293.319 2.593 20 5 CFBDRN C[C@H]1OCC[C@H]1OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000797096580 630016560 /nfs/dbraw/zinc/01/65/60/630016560.db2.gz GQEAVQWCXKONAW-ZWNOBZJWSA-N 0 0 293.319 2.593 20 5 CFBDRN CS[C@@H](C)[C@@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000797204387 630037556 /nfs/dbraw/zinc/03/75/56/630037556.db2.gz IQVGHKJUONYZCV-ZJUUUORDSA-N 0 0 299.348 2.657 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000797356863 630060186 /nfs/dbraw/zinc/06/01/86/630060186.db2.gz IIAHJCDZTPFNPG-SNVBAGLBSA-N 0 0 267.329 2.632 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSC1=NCCS1 ZINC000797407221 630060714 /nfs/dbraw/zinc/06/07/14/630060714.db2.gz POLBSKNAPNYBPD-UHFFFAOYSA-N 0 0 284.362 2.939 20 5 CFBDRN CC1=C(Br)CN(c2ccncc2[N+](=O)[O-])CC1 ZINC000797439251 630065575 /nfs/dbraw/zinc/06/55/75/630065575.db2.gz AYMARBAUZRFMPS-UHFFFAOYSA-N 0 0 298.140 2.869 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)CC1CCC1 ZINC000797474147 630071058 /nfs/dbraw/zinc/07/10/58/630071058.db2.gz CPDCNVMRLJINEN-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C1(F)CCCC1 ZINC000797476247 630071421 /nfs/dbraw/zinc/07/14/21/630071421.db2.gz PSJUZRVPWMYKRU-UHFFFAOYSA-N 0 0 297.282 2.929 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H]1C[C@@H]1C1CC1 ZINC000797475002 630071522 /nfs/dbraw/zinc/07/15/22/630071522.db2.gz UDCGCBMTTPOYMY-OLZOCXBDSA-N 0 0 291.303 2.693 20 5 CFBDRN CC[C@@H](C)OC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000797492794 630073873 /nfs/dbraw/zinc/07/38/73/630073873.db2.gz BLTZKDDBKIOIPL-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN COc1ccc(COC(=O)c2[nH]ccc2C)cc1[N+](=O)[O-] ZINC000797904851 630121651 /nfs/dbraw/zinc/12/16/51/630121651.db2.gz CUYMZEZFOOTVDB-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000835915496 630154990 /nfs/dbraw/zinc/15/49/90/630154990.db2.gz OJRHHHHGGLMQBM-RMKNXTFCSA-N 0 0 291.303 2.766 20 5 CFBDRN CC(C)n1nnnc1Sc1cc(Cl)ccc1[N+](=O)[O-] ZINC000798217280 630167134 /nfs/dbraw/zinc/16/71/34/630167134.db2.gz PKYYQEKXMGTKTH-UHFFFAOYSA-N 0 0 299.743 2.967 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1Br)C1CC1 ZINC000798387119 630185529 /nfs/dbraw/zinc/18/55/29/630185529.db2.gz AEUQIFWAIOYVMY-UHFFFAOYSA-N 0 0 271.114 2.956 20 5 CFBDRN O=C(OCCCCC1CCOCC1)c1ccc([N+](=O)[O-])o1 ZINC000798566490 630207308 /nfs/dbraw/zinc/20/73/08/630207308.db2.gz JLQLJRGPCGUWCL-UHFFFAOYSA-N 0 0 297.307 2.942 20 5 CFBDRN C[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(=O)C1CC1 ZINC000798566889 630207609 /nfs/dbraw/zinc/20/76/09/630207609.db2.gz GBXSSJLDARCKNM-LLGDCAAFSA-N 0 0 289.287 2.519 20 5 CFBDRN C[C@@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)C1CC1 ZINC000798568327 630208408 /nfs/dbraw/zinc/20/84/08/630208408.db2.gz WJWMSRPGLMGFJX-SSDOTTSWSA-N 0 0 297.694 2.773 20 5 CFBDRN CC(C)C[C@H](C)COC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000798609566 630214683 /nfs/dbraw/zinc/21/46/83/630214683.db2.gz LGNMIMABTCGCBY-NSHDSACASA-N 0 0 297.355 2.752 20 5 CFBDRN Cc1c(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)ccn1C ZINC000798988798 630266865 /nfs/dbraw/zinc/26/68/65/630266865.db2.gz IFIMFINQAORYKI-UHFFFAOYSA-N 0 0 299.330 2.753 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCC[C@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000799059169 630271904 /nfs/dbraw/zinc/27/19/04/630271904.db2.gz MWTHSSHQODTCTL-GHMZBOCLSA-N 0 0 291.351 2.651 20 5 CFBDRN Cc1c(OC[C@H](O)c2ccc(F)cn2)cccc1[N+](=O)[O-] ZINC000799616620 630325182 /nfs/dbraw/zinc/32/51/82/630325182.db2.gz KUWYDASRAXFYEI-ZDUSSCGKSA-N 0 0 292.266 2.550 20 5 CFBDRN CCN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CC(C)(C)C1 ZINC000839890099 630344263 /nfs/dbraw/zinc/34/42/63/630344263.db2.gz BFBUYOBFMWPCCC-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN COC(=O)Nc1ccc(Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000799929639 630354679 /nfs/dbraw/zinc/35/46/79/630354679.db2.gz CWMNJROGUGFTSF-UHFFFAOYSA-N 0 0 294.292 2.973 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CCO)c2cccs2)s1 ZINC000799940065 630355210 /nfs/dbraw/zinc/35/52/10/630355210.db2.gz UZUWOPBFXIRLNT-ZETCQYMHSA-N 0 0 285.350 2.648 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1Cc2ccc(Cl)cc2C1 ZINC000799967927 630357446 /nfs/dbraw/zinc/35/74/46/630357446.db2.gz QCENMHVJPIKILX-NSHDSACASA-N 0 0 292.726 2.561 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1O[C@@H](C)C[C@@H]1C ZINC000799977497 630357803 /nfs/dbraw/zinc/35/78/03/630357803.db2.gz XQZDQDNTQJMRJZ-OUJBWJOFSA-N 0 0 279.292 2.622 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000800297694 630368221 /nfs/dbraw/zinc/36/82/21/630368221.db2.gz GCALOLGPLAWANO-IMSIIYSGSA-N 0 0 293.319 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1C[C@@H]1C(F)F ZINC000800847303 630410659 /nfs/dbraw/zinc/41/06/59/630410659.db2.gz XWZNAOXXYYSSEX-IUCAKERBSA-N 0 0 271.219 2.710 20 5 CFBDRN CC(C)(C)OC(=O)CCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000800855504 630411786 /nfs/dbraw/zinc/41/17/86/630411786.db2.gz JBZXJRNWPPJJNS-UHFFFAOYSA-N 0 0 295.339 2.711 20 5 CFBDRN CCc1ccnc(CNc2cc(N)ccc2[N+](=O)[O-])c1 ZINC000800871447 630413196 /nfs/dbraw/zinc/41/31/96/630413196.db2.gz XGHQTRGTJHNKLH-UHFFFAOYSA-N 0 0 272.308 2.747 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1c1cc(N)ccc1[N+](=O)[O-] ZINC000800869630 630413462 /nfs/dbraw/zinc/41/34/62/630413462.db2.gz AGCZCCHFUOUIGW-IONNQARKSA-N 0 0 289.257 2.954 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)nc1 ZINC000801089488 630427781 /nfs/dbraw/zinc/42/77/81/630427781.db2.gz MJIXEFMEXUBDAC-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN Cc1cc(C(=O)Nc2nccn2C2CC2)cc([N+](=O)[O-])c1 ZINC000839935599 630446249 /nfs/dbraw/zinc/44/62/49/630446249.db2.gz VLYGHBGEGKHOLU-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H](CO)c2ccsc2)cc1F ZINC000801542091 630455837 /nfs/dbraw/zinc/45/58/37/630455837.db2.gz OLHBSUBBGCYHHF-GFCCVEGCSA-N 0 0 296.323 2.619 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@@H](C(F)F)C1 ZINC000801566591 630459594 /nfs/dbraw/zinc/45/95/94/630459594.db2.gz CDIFZRBNXKKQHV-BXKDBHETSA-N 0 0 286.278 2.622 20 5 CFBDRN CC(C)(C)CCCNC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801909461 630484612 /nfs/dbraw/zinc/48/46/12/630484612.db2.gz CJYUCIIJGISWFD-UHFFFAOYSA-N 0 0 297.330 2.872 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801914458 630486022 /nfs/dbraw/zinc/48/60/22/630486022.db2.gz HSLQQWDNJQEYSP-BDAKNGLRSA-N 0 0 297.330 2.871 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801914461 630486178 /nfs/dbraw/zinc/48/61/78/630486178.db2.gz HSLQQWDNJQEYSP-RKDXNWHRSA-N 0 0 297.330 2.871 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801961381 630496072 /nfs/dbraw/zinc/49/60/72/630496072.db2.gz YGLOBOXZZJFBAI-UHFFFAOYSA-N 0 0 297.330 2.728 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)/C=C\c1ccc[nH]1 ZINC000802046879 630499160 /nfs/dbraw/zinc/49/91/60/630499160.db2.gz WWNXYZYOOMRUCI-ALCCZGGFSA-N 0 0 272.260 2.850 20 5 CFBDRN C[C@@]1(OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)CCOC1 ZINC000802899681 630561593 /nfs/dbraw/zinc/56/15/93/630561593.db2.gz KUSRYSQENBRJGY-CQSZACIVSA-N 0 0 291.259 2.677 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)O[C@]1(C)CCOC1 ZINC000802900851 630562244 /nfs/dbraw/zinc/56/22/44/630562244.db2.gz WLWBAUUOBDPBNT-SLZMIMFISA-N 0 0 291.303 2.720 20 5 CFBDRN C[C@@]1(OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCOC1 ZINC000802905485 630564069 /nfs/dbraw/zinc/56/40/69/630564069.db2.gz RIQJMRGCKYBJBS-GFCCVEGCSA-N 0 0 285.683 2.584 20 5 CFBDRN CCOC1CC(COC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000802947801 630570378 /nfs/dbraw/zinc/57/03/78/630570378.db2.gz BAKRWOGSJNCKKT-UHFFFAOYSA-N 0 0 285.321 2.628 20 5 CFBDRN CC(C)SCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000803226723 630591853 /nfs/dbraw/zinc/59/18/53/630591853.db2.gz MOBCGPDPXDQMHK-UHFFFAOYSA-N 0 0 269.322 2.893 20 5 CFBDRN CC[C@H](C)C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803247021 630594714 /nfs/dbraw/zinc/59/47/14/630594714.db2.gz ALMIXVWDVPRCCM-ZETCQYMHSA-N 0 0 273.235 2.962 20 5 CFBDRN Cc1sc(C(=O)OCCCCF)cc1[N+](=O)[O-] ZINC000803271779 630597176 /nfs/dbraw/zinc/59/71/76/630597176.db2.gz FQQFMVGIWPQVEP-UHFFFAOYSA-N 0 0 261.274 2.871 20 5 CFBDRN CCOC1CC(COC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000803286370 630598712 /nfs/dbraw/zinc/59/87/12/630598712.db2.gz CJHUQWNFPAURBS-UHFFFAOYSA-N 0 0 297.282 2.706 20 5 CFBDRN O=C(OCCCCF)c1cc(F)ccc1[N+](=O)[O-] ZINC000803320324 630601582 /nfs/dbraw/zinc/60/15/82/630601582.db2.gz YLKXCRVVPMKMEX-UHFFFAOYSA-N 0 0 259.208 2.640 20 5 CFBDRN CC1(C(=O)OCc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000803392338 630611288 /nfs/dbraw/zinc/61/12/88/630611288.db2.gz JLXSLFIWOXCTRZ-UHFFFAOYSA-N 0 0 271.219 2.716 20 5 CFBDRN Cc1c(CC(=O)OCc2ccncc2)cccc1[N+](=O)[O-] ZINC000803402714 630612725 /nfs/dbraw/zinc/61/27/25/630612725.db2.gz KXYSAGOGISEBFZ-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@H]2CCCOC2)c1F ZINC000803459054 630619769 /nfs/dbraw/zinc/61/97/69/630619769.db2.gz SMVMCHGMOLCHJW-JTQLQIEISA-N 0 0 297.282 2.626 20 5 CFBDRN CSCCOC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803461756 630620391 /nfs/dbraw/zinc/62/03/91/630620391.db2.gz FOKLDEOPHWVMAA-UHFFFAOYSA-N 0 0 273.285 2.562 20 5 CFBDRN CC1(C)CCC[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1=O ZINC000840009217 630630473 /nfs/dbraw/zinc/63/04/73/630630473.db2.gz OBPXYFNBCRGTRI-GFCCVEGCSA-N 0 0 291.303 2.899 20 5 CFBDRN CC1(C)CC(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000803756035 630639899 /nfs/dbraw/zinc/63/98/99/630639899.db2.gz KWNZWYFAEZXRAW-UHFFFAOYSA-N 0 0 291.303 2.757 20 5 CFBDRN CSc1cnc(Oc2ccc([N+](=O)[O-])cc2)nc1 ZINC000804315587 630671059 /nfs/dbraw/zinc/67/10/59/630671059.db2.gz KRUBDICUCAFBOY-UHFFFAOYSA-N 0 0 263.278 2.899 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCc1ccccn1 ZINC000804315756 630671126 /nfs/dbraw/zinc/67/11/26/630671126.db2.gz QWBDNLDRWGMUHR-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCc1cccnc1 ZINC000804340848 630673985 /nfs/dbraw/zinc/67/39/85/630673985.db2.gz LZWOFNNLCILPSR-UHFFFAOYSA-N 0 0 285.303 2.847 20 5 CFBDRN COCc1cccc(CNc2ccc(N)cc2[N+](=O)[O-])c1 ZINC000804352663 630674928 /nfs/dbraw/zinc/67/49/28/630674928.db2.gz VVBQIUWFTYNGAT-UHFFFAOYSA-N 0 0 287.319 2.936 20 5 CFBDRN C[C@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C1CC1 ZINC000804374631 630676679 /nfs/dbraw/zinc/67/66/79/630676679.db2.gz CMOVRTDJSUBLGF-MRVPVSSYSA-N 0 0 265.269 2.961 20 5 CFBDRN CC[C@@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000804446227 630681887 /nfs/dbraw/zinc/68/18/87/630681887.db2.gz BGAIIJZGOQRMLA-NXEZZACHSA-N 0 0 295.295 2.507 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@H]2Nc1ccc(N)cc1[N+](=O)[O-] ZINC000804465383 630684731 /nfs/dbraw/zinc/68/47/31/630684731.db2.gz LMFFJTRTLBOGCM-CYBMUJFWSA-N 0 0 299.334 2.765 20 5 CFBDRN Nc1ccc(NCC2(CO)CCCCC2)c([N+](=O)[O-])c1 ZINC000804538211 630691531 /nfs/dbraw/zinc/69/15/31/630691531.db2.gz ITCCXHDNXVQROB-UHFFFAOYSA-N 0 0 279.340 2.532 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1(C)C ZINC000804539315 630691573 /nfs/dbraw/zinc/69/15/73/630691573.db2.gz ZLXVBKZFRVDYMQ-MNOVXSKESA-N 0 0 295.295 2.728 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCc1ccncc1 ZINC000804555015 630692585 /nfs/dbraw/zinc/69/25/85/630692585.db2.gz KVWFOSFFGOULKF-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN Nc1ccc(N[C@@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000804556329 630692630 /nfs/dbraw/zinc/69/26/30/630692630.db2.gz UKEUQFKQXXIXFF-MRVPVSSYSA-N 0 0 257.240 2.777 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCc1ccncc1 ZINC000804555491 630692773 /nfs/dbraw/zinc/69/27/73/630692773.db2.gz QDLDECVZCJMMNQ-UHFFFAOYSA-N 0 0 285.303 2.847 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000836127050 630746902 /nfs/dbraw/zinc/74/69/02/630746902.db2.gz IBEQNJARPKDJKO-BETUJISGSA-N 0 0 279.292 2.708 20 5 CFBDRN CCC[C@@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000804578392 630757102 /nfs/dbraw/zinc/75/71/02/630757102.db2.gz OERZCFUYMGXIKQ-SNVBAGLBSA-N 0 0 295.295 2.508 20 5 CFBDRN Nc1ccc(N2CCc3ccsc3C2)c([N+](=O)[O-])c1 ZINC000804578348 630757464 /nfs/dbraw/zinc/75/74/64/630757464.db2.gz IZLFVSDWLWDNAQ-UHFFFAOYSA-N 0 0 275.333 2.801 20 5 CFBDRN CON(CC(C)(C)C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000804585652 630760779 /nfs/dbraw/zinc/76/07/79/630760779.db2.gz RMKBZMDQIKNPIR-UHFFFAOYSA-N 0 0 280.324 2.573 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NOCC(C)C ZINC000804585546 630760942 /nfs/dbraw/zinc/76/09/42/630760942.db2.gz BDQMNGZPLAHXPK-UHFFFAOYSA-N 0 0 282.296 2.689 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000804587578 630761913 /nfs/dbraw/zinc/76/19/13/630761913.db2.gz TWFYJVKFWSVLBF-DOFRTFSJSA-N 0 0 265.313 2.545 20 5 CFBDRN NC(=O)c1cncc(Oc2cc(Cl)ccc2[N+](=O)[O-])c1 ZINC000804599662 630762908 /nfs/dbraw/zinc/76/29/08/630762908.db2.gz PXZPWVRJEBQXOX-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN Cc1cnccc1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804626648 630766799 /nfs/dbraw/zinc/76/67/99/630766799.db2.gz ZOXLNTHDAFHKJF-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@@H]1CCn2ccnc2C1 ZINC000804624269 630767277 /nfs/dbraw/zinc/76/72/77/630767277.db2.gz LGSIQQPMVZABJR-SNVBAGLBSA-N 0 0 292.726 2.872 20 5 CFBDRN Cc1ccc(NC(=O)NOC2CCC2)cc1[N+](=O)[O-] ZINC000836186743 630767634 /nfs/dbraw/zinc/76/76/34/630767634.db2.gz RMXLSECHMSOHCZ-UHFFFAOYSA-N 0 0 265.269 2.509 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCS1 ZINC000804649914 630769048 /nfs/dbraw/zinc/76/90/48/630769048.db2.gz SDDHYKQBGBMVAK-SECBINFHSA-N 0 0 297.336 2.835 20 5 CFBDRN Cc1c(NC(=O)NOC2CCC2)cccc1[N+](=O)[O-] ZINC000836204723 630771386 /nfs/dbraw/zinc/77/13/86/630771386.db2.gz SHSKVTGWSYDSGH-UHFFFAOYSA-N 0 0 265.269 2.509 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)NOC2CCC2)cc1[N+](=O)[O-] ZINC000836341492 630797219 /nfs/dbraw/zinc/79/72/19/630797219.db2.gz QQYMTDSGTUEYHF-SNVBAGLBSA-N 0 0 293.323 2.748 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H]2C[C@H]21)c1cccc([N+](=O)[O-])c1 ZINC000806454797 630803868 /nfs/dbraw/zinc/80/38/68/630803868.db2.gz OQVKIWOKVZSIQU-VHRBIJSZSA-N 0 0 289.335 2.850 20 5 CFBDRN CC(C)(C)COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000103700363 630834125 /nfs/dbraw/zinc/83/41/25/630834125.db2.gz LHLYLONEXOHPIB-UHFFFAOYSA-N 0 0 267.281 2.563 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CC3(CC3)C2)cc1Cl ZINC000836791386 630883780 /nfs/dbraw/zinc/88/37/80/630883780.db2.gz ROOXLCBSLJGEMZ-UHFFFAOYSA-N 0 0 280.711 2.793 20 5 CFBDRN C/C(=C\C(=O)N1CC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000836819214 630891201 /nfs/dbraw/zinc/89/12/01/630891201.db2.gz CJVXABAFFOCPOS-OANVXVOSSA-N 0 0 278.283 2.569 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000836854559 630900866 /nfs/dbraw/zinc/90/08/66/630900866.db2.gz SWHVWZLYXOVYMI-SNVBAGLBSA-N 0 0 295.339 2.779 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000836854557 630900970 /nfs/dbraw/zinc/90/09/70/630900970.db2.gz SWHVWZLYXOVYMI-JTQLQIEISA-N 0 0 295.339 2.779 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@H]1C(C)C ZINC000836888995 630909487 /nfs/dbraw/zinc/90/94/87/630909487.db2.gz RKBAJNHNHDQYSZ-JSGCOSHPSA-N 0 0 284.400 2.961 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCc1cscn1 ZINC000807979320 630928914 /nfs/dbraw/zinc/92/89/14/630928914.db2.gz QEGRJSRZOGZBHA-UHFFFAOYSA-N 0 0 291.332 2.909 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H](C)C[C@H](C)O ZINC000807981494 630929953 /nfs/dbraw/zinc/92/99/53/630929953.db2.gz OELAQJXWYGJOQA-ZJUUUORDSA-N 0 0 280.324 2.616 20 5 CFBDRN CCOC1CC(N(C)c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000808086866 630948994 /nfs/dbraw/zinc/94/89/94/630948994.db2.gz IOKADPVTOVLPSF-UHFFFAOYSA-N 0 0 264.325 2.907 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000102708772 630954699 /nfs/dbraw/zinc/95/46/99/630954699.db2.gz XTTGMMAYHLUFGC-MRVPVSSYSA-N 0 0 285.683 2.580 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1ccc([N+](=O)[O-])s1 ZINC000837327268 631000143 /nfs/dbraw/zinc/00/01/43/631000143.db2.gz YWXDICMBXKJWGB-UHFFFAOYSA-N 0 0 277.248 2.858 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1ocnc1C1CC1 ZINC000808676372 631026296 /nfs/dbraw/zinc/02/62/96/631026296.db2.gz BPSBIILIHMDOAJ-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)C(F)(F)F ZINC000808844832 631054143 /nfs/dbraw/zinc/05/41/43/631054143.db2.gz BZVJNLFNEYBBEN-ZETCQYMHSA-N 0 0 291.229 2.976 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000837535790 631059325 /nfs/dbraw/zinc/05/93/25/631059325.db2.gz KXFSGIPGZUELHS-JTQLQIEISA-N 0 0 293.319 2.783 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000837569478 631070188 /nfs/dbraw/zinc/07/01/88/631070188.db2.gz ZDFXCYFQCGXUQK-QMMMGPOBSA-N 0 0 283.255 2.567 20 5 CFBDRN COC1(CCOC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000809053463 631072314 /nfs/dbraw/zinc/07/23/14/631072314.db2.gz GNLOIUCQTPIJCX-UHFFFAOYSA-N 0 0 279.292 2.711 20 5 CFBDRN COC1(CCOC(=O)c2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000809054199 631072515 /nfs/dbraw/zinc/07/25/15/631072515.db2.gz IJWAVDNLTHZMAP-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000809114985 631084221 /nfs/dbraw/zinc/08/42/21/631084221.db2.gz IYXBXKBXTMQQFZ-OKILXGFUSA-N 0 0 293.319 2.638 20 5 CFBDRN O=C1OCc2c1cccc2NCCc1ccc([N+](=O)[O-])cc1 ZINC000809200890 631096442 /nfs/dbraw/zinc/09/64/42/631096442.db2.gz NNAOKLAJAGJKSS-UHFFFAOYSA-N 0 0 298.298 2.920 20 5 CFBDRN O=C(CSC1CC1)OCc1csc([N+](=O)[O-])c1 ZINC000837872563 631146343 /nfs/dbraw/zinc/14/63/43/631146343.db2.gz PUVHGOQOSWPLBK-UHFFFAOYSA-N 0 0 273.335 2.595 20 5 CFBDRN CC(C)SCCOC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837926086 631151555 /nfs/dbraw/zinc/15/15/55/631151555.db2.gz HGVCFMFTRCHTGZ-UHFFFAOYSA-N 0 0 263.359 2.507 20 5 CFBDRN C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1ccncc1 ZINC000837925687 631151575 /nfs/dbraw/zinc/15/15/75/631151575.db2.gz SDBNGFPXWQUKDM-SNVBAGLBSA-N 0 0 266.297 2.521 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000837979214 631156712 /nfs/dbraw/zinc/15/67/12/631156712.db2.gz COWYGJPRVPWHQA-VIFPVBQESA-N 0 0 271.219 2.602 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CC(C)(C)C1 ZINC000840539169 631163539 /nfs/dbraw/zinc/16/35/39/631163539.db2.gz VTJXMRZDVKBTRU-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN CC(C)OCCOC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840655539 631173376 /nfs/dbraw/zinc/17/33/76/631173376.db2.gz DBJWMBGDMOEWEO-UONOGXRCSA-N 0 0 293.319 2.667 20 5 CFBDRN O=C(OC/C=C\Cl)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840657215 631173680 /nfs/dbraw/zinc/17/36/80/631173680.db2.gz ONBVGIIYPIQSPE-JTXHXIFASA-N 0 0 281.695 2.994 20 5 CFBDRN Cc1c(NNC(=S)NC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000840725278 631177077 /nfs/dbraw/zinc/17/70/77/631177077.db2.gz MQIMUWGEFJQLEF-UHFFFAOYSA-N 0 0 294.380 2.637 20 5 CFBDRN CN(Cc1cnn(C)c1)c1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000809342965 631217316 /nfs/dbraw/zinc/21/73/16/631217316.db2.gz BEGUFLQDRJPXMH-UHFFFAOYSA-N 0 0 296.277 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC[C@@H]2C[C@H]2C2CC2)cc1 ZINC000840826334 631233063 /nfs/dbraw/zinc/23/30/63/631233063.db2.gz UFHHJNQADSVVEE-GWCFXTLKSA-N 0 0 291.376 2.927 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840848208 631240537 /nfs/dbraw/zinc/24/05/37/631240537.db2.gz SLGGVFZKTLWZFV-NWDGAFQWSA-N 0 0 279.365 2.926 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1cccc2c[nH]nc21 ZINC000043074367 650003282 /nfs/dbraw/zinc/00/32/82/650003282.db2.gz ZIIZPAWXJDVZBR-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1nc(C)c(CN2CCc3c(cccc3[N+](=O)[O-])C2)o1 ZINC000809966655 631306193 /nfs/dbraw/zinc/30/61/93/631306193.db2.gz JVJGKXRAUFRLKI-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H](CO)N(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000809966383 631306634 /nfs/dbraw/zinc/30/66/34/631306634.db2.gz ZQPMPJSXBBZQGB-SSDOTTSWSA-N 0 0 293.150 2.714 20 5 CFBDRN CCOc1cc(CONC(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000809995238 631310948 /nfs/dbraw/zinc/31/09/48/631310948.db2.gz MVMBJRIEIYWXFR-UHFFFAOYSA-N 0 0 296.323 2.587 20 5 CFBDRN CC[C@@H](O)c1nccn1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000810002964 631312873 /nfs/dbraw/zinc/31/28/73/631312873.db2.gz BWDSEWLAAUNVDV-GFCCVEGCSA-N 0 0 295.726 2.936 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000841059597 631325925 /nfs/dbraw/zinc/32/59/25/631325925.db2.gz HEOVSIVBFOKNIK-ZDUSSCGKSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1c(Cl)ccc2c1N(C(=O)[C@@H]1CC1[N+](=O)[O-])CCC2 ZINC000841119367 631342763 /nfs/dbraw/zinc/34/27/63/631342763.db2.gz CVGLOTVTIYOYNK-ZYHUDNBSSA-N 0 0 294.738 2.593 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C1(F)CCCCC1 ZINC000810244941 631348673 /nfs/dbraw/zinc/34/86/73/631348673.db2.gz KWEPMBWWOIHTRI-UHFFFAOYSA-N 0 0 280.299 2.883 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000841154963 631348847 /nfs/dbraw/zinc/34/88/47/631348847.db2.gz OTRUSLLDKFJTFB-GFCCVEGCSA-N 0 0 285.271 2.700 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000841162768 631350958 /nfs/dbraw/zinc/35/09/58/631350958.db2.gz YGYISMFAMXYKHZ-CYBMUJFWSA-N 0 0 281.308 2.870 20 5 CFBDRN CCc1cc(C)cc(CC)c1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000841217867 631360527 /nfs/dbraw/zinc/36/05/27/631360527.db2.gz QQNDDWZSAKTTRY-CHWSQXEVSA-N 0 0 276.336 2.724 20 5 CFBDRN Cc1cc(Cl)c2c(c1)CCCN2C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000841256400 631369585 /nfs/dbraw/zinc/36/95/85/631369585.db2.gz AZYAEUNXGCVPQV-ZYHUDNBSSA-N 0 0 294.738 2.593 20 5 CFBDRN Cc1ccc(Cl)c2c1N(C(=O)[C@@H]1CC1[N+](=O)[O-])CCC2 ZINC000841254971 631369602 /nfs/dbraw/zinc/36/96/02/631369602.db2.gz YBMNUNXLFPLOEQ-ZYHUDNBSSA-N 0 0 294.738 2.593 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1CCCSC1 ZINC000810541307 631386211 /nfs/dbraw/zinc/38/62/11/631386211.db2.gz WOCQGZGBAQZNIF-NSHDSACASA-N 0 0 281.333 2.781 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1conc1C1CC1 ZINC000810558914 631390802 /nfs/dbraw/zinc/39/08/02/631390802.db2.gz YYCIKQGUOOMHPG-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CC[C@@H](C2CCC2)C1 ZINC000841347790 631392617 /nfs/dbraw/zinc/39/26/17/631392617.db2.gz ILQRTMQJOYNWDP-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN CC(C)(C)c1cc(COC(=O)c2ccc([N+](=O)[O-])o2)no1 ZINC000810728524 631436151 /nfs/dbraw/zinc/43/61/51/631436151.db2.gz SHKLBUGUHXTQFX-UHFFFAOYSA-N 0 0 294.263 2.830 20 5 CFBDRN CC1(C)CCC[C@@H](OC(=O)c2csc([N+](=O)[O-])c2)C1=O ZINC000840211646 631450763 /nfs/dbraw/zinc/45/07/63/631450763.db2.gz IKFAYOSDTLJJDS-SECBINFHSA-N 0 0 297.332 2.961 20 5 CFBDRN C[C@@H](O)CSCc1cc([N+](=O)[O-])ccc1Cl ZINC000840211714 631451733 /nfs/dbraw/zinc/45/17/33/631451733.db2.gz QXJOAMRCKLLLHO-SSDOTTSWSA-N 0 0 261.730 2.862 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000867031911 631455903 /nfs/dbraw/zinc/45/59/03/631455903.db2.gz ACRXVEYLTDDZML-KJEVXHAQSA-N 0 0 288.347 2.546 20 5 CFBDRN Cc1ncccc1COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000810883603 631467597 /nfs/dbraw/zinc/46/75/97/631467597.db2.gz NZBGQTYCTLKNJM-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CN(CC(=O)OC(C)(C)C)c1cccc(F)c1[N+](=O)[O-] ZINC000842034107 631508423 /nfs/dbraw/zinc/50/84/23/631508423.db2.gz CPRFEYYIUHIBQA-UHFFFAOYSA-N 0 0 284.287 2.512 20 5 CFBDRN COCC1(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000811062408 631509230 /nfs/dbraw/zinc/50/92/30/631509230.db2.gz XLOWTKKWIKTWQF-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@](C)(O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000842046149 631512185 /nfs/dbraw/zinc/51/21/85/631512185.db2.gz RMOFHTDMIDULGW-LYWQIFSTSA-N 0 0 270.716 2.882 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000842048311 631512590 /nfs/dbraw/zinc/51/25/90/631512590.db2.gz CTOOMSMHACJZCP-KXUCPTDWSA-N 0 0 279.296 2.984 20 5 CFBDRN Cc1cccc(C(=O)OCCOCC2CCC2)c1[N+](=O)[O-] ZINC000842051263 631514475 /nfs/dbraw/zinc/51/44/75/631514475.db2.gz GUWPPLXSLYNQRX-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN CC[C@H](COC(=O)c1cc(SC)ccc1[N+](=O)[O-])OC ZINC000842058251 631517344 /nfs/dbraw/zinc/51/73/44/631517344.db2.gz IIPMSXYACROZKI-SECBINFHSA-N 0 0 299.348 2.899 20 5 CFBDRN Cc1ccc(C(=O)OCCc2cncs2)cc1[N+](=O)[O-] ZINC000811118354 631519828 /nfs/dbraw/zinc/51/98/28/631519828.db2.gz LSJJFHUFQWRZLI-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CC(C)(C)CC(=O)NOCc1c(F)cccc1[N+](=O)[O-] ZINC000842063202 631521205 /nfs/dbraw/zinc/52/12/05/631521205.db2.gz KCGVDDPDRBXOHM-UHFFFAOYSA-N 0 0 284.287 2.718 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc(F)cc1[N+](=O)[O-])CC(C)C ZINC000842083160 631530918 /nfs/dbraw/zinc/53/09/18/631530918.db2.gz KUKNVWZTOIYHBJ-LLVKDONJSA-N 0 0 299.298 2.952 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000842115902 631547565 /nfs/dbraw/zinc/54/75/65/631547565.db2.gz KOAFKETUJSKXFV-CYBMUJFWSA-N 0 0 299.298 2.838 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000842116800 631548566 /nfs/dbraw/zinc/54/85/66/631548566.db2.gz ZVWQTSPNTRIPEW-ZDUSSCGKSA-N 0 0 281.308 2.699 20 5 CFBDRN O=C(O[C@H]1CCc2sc(Cl)cc21)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842323297 631591823 /nfs/dbraw/zinc/59/18/23/631591823.db2.gz IYZHSDDUMQYEHN-NJUXHZRNSA-N 0 0 287.724 2.597 20 5 CFBDRN Cc1cccc2c1[C@@H](C)C[C@H]2OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842331840 631595475 /nfs/dbraw/zinc/59/54/75/631595475.db2.gz NUYLVMULOREZRC-WKSBVSIWSA-N 0 0 275.304 2.752 20 5 CFBDRN O=C(NOC/C=C\Cl)Nc1ccc([N+](=O)[O-])cc1F ZINC000811812924 631626711 /nfs/dbraw/zinc/62/67/11/631626711.db2.gz DKDIKFDMUXHYLB-RJRFIUFISA-N 0 0 289.650 2.540 20 5 CFBDRN O=C(NOC/C=C/Cl)Nc1ccc([N+](=O)[O-])cc1F ZINC000811812923 631626795 /nfs/dbraw/zinc/62/67/95/631626795.db2.gz DKDIKFDMUXHYLB-DAFODLJHSA-N 0 0 289.650 2.540 20 5 CFBDRN COCC1(Nc2nc3ccc([N+](=O)[O-])cc3s2)CC1 ZINC000811843362 631631745 /nfs/dbraw/zinc/63/17/45/631631745.db2.gz WOWHCXZLRPVZKC-UHFFFAOYSA-N 0 0 279.321 2.795 20 5 CFBDRN CCC[C@@](C)(O)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000842487516 631642825 /nfs/dbraw/zinc/64/28/25/631642825.db2.gz RZZZURYJCGNBSL-CQSZACIVSA-N 0 0 291.307 2.576 20 5 CFBDRN Cc1ccc(-c2noc([C@](C)(O)C(C)C)n2)cc1[N+](=O)[O-] ZINC000842488452 631642908 /nfs/dbraw/zinc/64/29/08/631642908.db2.gz QRTYKMFKPIPGID-CQSZACIVSA-N 0 0 291.307 2.817 20 5 CFBDRN COCC1(c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCCC1 ZINC000842506218 631649132 /nfs/dbraw/zinc/64/91/32/631649132.db2.gz ZRZOUOZCQDBORF-UHFFFAOYSA-N 0 0 297.355 2.516 20 5 CFBDRN Nc1nccnc1SCc1cc([N+](=O)[O-])ccc1Cl ZINC000812250666 631678888 /nfs/dbraw/zinc/67/88/88/631678888.db2.gz COIGWLIXWPPLDY-UHFFFAOYSA-N 0 0 296.739 2.913 20 5 CFBDRN C[C@@H](O)CCCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842660413 631695286 /nfs/dbraw/zinc/69/52/86/631695286.db2.gz HSCHIPZRIYZNJW-RXMQYKEDSA-N 0 0 297.204 2.691 20 5 CFBDRN O=[N+]([O-])c1c(F)c(F)c(OC[C@H]2CCOC2)c(F)c1F ZINC000842663760 631696505 /nfs/dbraw/zinc/69/65/05/631696505.db2.gz ITPVFFUNZUWLAE-YFKPBYRVSA-N 0 0 295.188 2.567 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])C1(F)CC1 ZINC000842701980 631711943 /nfs/dbraw/zinc/71/19/43/631711943.db2.gz DDBTWWPPCNGBMU-UHFFFAOYSA-N 0 0 259.620 2.656 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NOCCOC(C)C)c1 ZINC000812489215 631726045 /nfs/dbraw/zinc/72/60/45/631726045.db2.gz VJADVMWAIIMXAA-UHFFFAOYSA-N 0 0 288.275 2.511 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCCCCF ZINC000812556084 631733610 /nfs/dbraw/zinc/73/36/10/631733610.db2.gz FPCXGDKYMBACMD-ZHACJKMWSA-N 0 0 280.299 2.864 20 5 CFBDRN CSc1ccc(CCCC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000842801957 631744763 /nfs/dbraw/zinc/74/47/63/631744763.db2.gz NSOXFOCSKNKHHU-NSHDSACASA-N 0 0 297.376 2.940 20 5 CFBDRN CO[C@](C)(C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C1CC1 ZINC000812667704 631756683 /nfs/dbraw/zinc/75/66/83/631756683.db2.gz SZNVURJZOARKMJ-AWEZNQCLSA-N 0 0 297.282 2.592 20 5 CFBDRN C/C=C(\C)COC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000842845312 631762208 /nfs/dbraw/zinc/76/22/08/631762208.db2.gz BAPGXPLWUHPGTK-ONNFQVAWSA-N 0 0 279.292 2.655 20 5 CFBDRN CC(C)(CCc1noc(C2CC(C)(C)C2)n1)[N+](=O)[O-] ZINC000812812714 631788623 /nfs/dbraw/zinc/78/86/23/631788623.db2.gz PIKWLJVQDSGTKP-UHFFFAOYSA-N 0 0 267.329 2.961 20 5 CFBDRN CC(C)(CCc1noc(-c2ccc(O)cc2F)n1)[N+](=O)[O-] ZINC000812815080 631789399 /nfs/dbraw/zinc/78/93/99/631789399.db2.gz XPANRLRPTUGOMJ-UHFFFAOYSA-N 0 0 295.270 2.569 20 5 CFBDRN CC(C)(CCc1noc(C2=CCCC2)n1)[N+](=O)[O-] ZINC000812813752 631789703 /nfs/dbraw/zinc/78/97/03/631789703.db2.gz CNNOXNPMDCJPRU-UHFFFAOYSA-N 0 0 251.286 2.625 20 5 CFBDRN CC(=O)CCC(C)(C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812816641 631790825 /nfs/dbraw/zinc/79/08/25/631790825.db2.gz VWYTXFHJRSHRFS-UHFFFAOYSA-N 0 0 297.355 2.704 20 5 CFBDRN CS[C@@H](C)CCc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812815924 631790972 /nfs/dbraw/zinc/79/09/72/631790972.db2.gz FQAVJELJZNIRNJ-VIFPVBQESA-N 0 0 287.385 2.742 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2ncc([N+](=O)[O-])cc2F)C1 ZINC000840270662 631799950 /nfs/dbraw/zinc/79/99/50/631799950.db2.gz YSIUKBPIIIZQNH-CBAPKCEASA-N 0 0 271.317 2.825 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000812915156 631806578 /nfs/dbraw/zinc/80/65/78/631806578.db2.gz BUOLJSKXWQRBAM-GHMZBOCLSA-N 0 0 281.308 2.727 20 5 CFBDRN C[C@@H](C[C@@H](O)c1ccco1)Nc1ncc([N+](=O)[O-])cc1F ZINC000840275945 631814630 /nfs/dbraw/zinc/81/46/30/631814630.db2.gz OBJVTXGOMBXCHH-GZMMTYOYSA-N 0 0 295.270 2.646 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CC[C@@H](CO)CC2)c1 ZINC000840277744 631818619 /nfs/dbraw/zinc/81/86/19/631818619.db2.gz FKDGHSRFZRKQCI-AOOOYVTPSA-N 0 0 298.314 2.706 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000813077445 631827619 /nfs/dbraw/zinc/82/76/19/631827619.db2.gz PGMIRNUCZCUORD-GHMZBOCLSA-N 0 0 281.308 2.727 20 5 CFBDRN C[C@H](COC(=O)/C=C\c1ccc(Cl)s1)[N+](=O)[O-] ZINC000813103380 631838777 /nfs/dbraw/zinc/83/87/77/631838777.db2.gz POOQYHLUEMJUBI-YSCPKTQFSA-N 0 0 275.713 2.623 20 5 CFBDRN CC(C)COc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813105029 631839593 /nfs/dbraw/zinc/83/95/93/631839593.db2.gz UFOYPRNUPFYZCF-LLVKDONJSA-N 0 0 281.308 2.543 20 5 CFBDRN C[C@@H](COC(=O)c1coc(C2CCCCC2)n1)[N+](=O)[O-] ZINC000813105149 631839814 /nfs/dbraw/zinc/83/98/14/631839814.db2.gz WGGKOZHQSSRJFN-VIFPVBQESA-N 0 0 282.296 2.544 20 5 CFBDRN C[C@@H](COC(=O)c1cc2cccc(Cl)c2o1)[N+](=O)[O-] ZINC000813105136 631840034 /nfs/dbraw/zinc/84/00/34/631840034.db2.gz WCCGICKQBSKRIH-ZETCQYMHSA-N 0 0 283.667 2.908 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1)[N+](=O)[O-] ZINC000813104821 631840168 /nfs/dbraw/zinc/84/01/68/631840168.db2.gz RYNYUVCMJBWJHW-BWZBUEFSSA-N 0 0 289.740 2.713 20 5 CFBDRN C[C@H](COC(=O)[C@H]1CCc2c1cccc2Cl)[N+](=O)[O-] ZINC000813103533 631840430 /nfs/dbraw/zinc/84/04/30/631840430.db2.gz CZSQARORKHMWMI-KCJUWKMLSA-N 0 0 283.711 2.578 20 5 CFBDRN C[C@@H](COC(=O)/C=C/c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000813106832 631841061 /nfs/dbraw/zinc/84/10/61/631841061.db2.gz IMUPLRBSATZJGD-IYNCYZAOSA-N 0 0 269.684 2.562 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])c(F)c1Cl ZINC000813108208 631842997 /nfs/dbraw/zinc/84/29/97/631842997.db2.gz VNXJOPZLZYEMCN-ZETCQYMHSA-N 0 0 275.663 2.610 20 5 CFBDRN C[C@@H](COC(=O)C[C@@H]1CCCCC1(C)C)[N+](=O)[O-] ZINC000813108607 631843105 /nfs/dbraw/zinc/84/31/05/631843105.db2.gz IETNEIMUJYVULM-QWRGUYRKSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](COC(=O)c1ccc2ccc(Cl)cc2n1)[N+](=O)[O-] ZINC000813107853 631843661 /nfs/dbraw/zinc/84/36/61/631843661.db2.gz REEDDTXCUHCHHB-MRVPVSSYSA-N 0 0 294.694 2.710 20 5 CFBDRN CC[C@@H](C)Oc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813114192 631846428 /nfs/dbraw/zinc/84/64/28/631846428.db2.gz ZTXKYOGJHNALQZ-WDEREUQCSA-N 0 0 281.308 2.686 20 5 CFBDRN CCSCCSc1ncc([N+](=O)[O-])cc1F ZINC000840284763 631887931 /nfs/dbraw/zinc/88/79/31/631887931.db2.gz CFAMCEZWOSTOJX-UHFFFAOYSA-N 0 0 262.331 2.974 20 5 CFBDRN C[C@@H]1OCC[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813246487 631891198 /nfs/dbraw/zinc/89/11/98/631891198.db2.gz DIHRQGAYCOXFOG-JGVFFNPUSA-N 0 0 284.699 2.612 20 5 CFBDRN Cc1cc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)ccc1N ZINC000843234199 631907328 /nfs/dbraw/zinc/90/73/28/631907328.db2.gz SDLMZGGHAUQYJG-UHFFFAOYSA-N 0 0 290.323 2.615 20 5 CFBDRN CC[C@H](C)CONc1c([N+](=O)[O-])nc(C)n1CC ZINC000813622501 631943112 /nfs/dbraw/zinc/94/31/12/631943112.db2.gz QODZTDBUWUEZGQ-QMMMGPOBSA-N 0 0 256.306 2.509 20 5 CFBDRN CN(OCc1ccccc1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000156084379 650042808 /nfs/dbraw/zinc/04/28/08/650042808.db2.gz DBEQSCJZFFSEOC-UHFFFAOYSA-N 0 0 286.287 2.799 20 5 CFBDRN Cc1cc(C)c(OC(=O)C2(F)CC2)c([N+](=O)[O-])c1 ZINC000843519503 631973526 /nfs/dbraw/zinc/97/35/26/631973526.db2.gz FASKMQJLLSWMGH-UHFFFAOYSA-N 0 0 253.229 2.619 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(Cc2ccccc2Cl)CC1 ZINC000814035161 631997325 /nfs/dbraw/zinc/99/73/25/631997325.db2.gz KNZDPGCSPATHEZ-JTQLQIEISA-N 0 0 297.738 2.871 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1csc2c1CC[C@H](C)C2 ZINC000814037173 631997852 /nfs/dbraw/zinc/99/78/52/631997852.db2.gz SSQTWSUWYVHVLX-IUCAKERBSA-N 0 0 283.349 2.695 20 5 CFBDRN CC(C)=CCOc1cccc(C(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000814037858 631997948 /nfs/dbraw/zinc/99/79/48/631997948.db2.gz HXXUTHPZCIWXBJ-LBPRGKRZSA-N 0 0 293.319 2.854 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1csc2c1CC[C@@H](C)C2 ZINC000814037171 631998083 /nfs/dbraw/zinc/99/80/83/631998083.db2.gz SSQTWSUWYVHVLX-BDAKNGLRSA-N 0 0 283.349 2.695 20 5 CFBDRN CSc1ccc(C)c(C(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000814037536 631998087 /nfs/dbraw/zinc/99/80/87/631998087.db2.gz BKDUMKPRJYQQHU-VIFPVBQESA-N 0 0 269.322 2.539 20 5 CFBDRN Cc1c2cccc(F)c2oc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814037396 631998166 /nfs/dbraw/zinc/99/81/66/631998166.db2.gz XENZWLGNVLHTOB-ZETCQYMHSA-N 0 0 281.239 2.702 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(C(C)(C)C)s1 ZINC000814037829 631998175 /nfs/dbraw/zinc/99/81/75/631998175.db2.gz HIMLUPDSDWGPOM-MRVPVSSYSA-N 0 0 271.338 2.868 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC000814040524 631998859 /nfs/dbraw/zinc/99/88/59/631998859.db2.gz IHMBZCOQINMSIK-BDAKNGLRSA-N 0 0 271.700 2.652 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)c1cccc(Cl)c1 ZINC000814040527 631998917 /nfs/dbraw/zinc/99/89/17/631998917.db2.gz IHMBZCOQINMSIK-RKDXNWHRSA-N 0 0 271.700 2.652 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(SC(F)F)cc1 ZINC000814041476 631999716 /nfs/dbraw/zinc/99/97/16/631999716.db2.gz UQXBHEMOHJFUJF-ZETCQYMHSA-N 0 0 291.275 2.823 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cccc(C2CCC2)c1 ZINC000814047621 632000872 /nfs/dbraw/zinc/00/08/72/632000872.db2.gz VNISXTQNUIILKA-JTQLQIEISA-N 0 0 263.293 2.776 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cccc(-c2cscn2)c1 ZINC000814045642 632000892 /nfs/dbraw/zinc/00/08/92/632000892.db2.gz WPWKQXAKUOHDON-VIFPVBQESA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC1CC(c2ccccc2)C1 ZINC000814046296 632001000 /nfs/dbraw/zinc/00/10/00/632001000.db2.gz IOWMYFDFTOHQTR-LKSINWNRSA-N 0 0 277.320 2.779 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H](C)CCc1ccccc1F ZINC000814047182 632001057 /nfs/dbraw/zinc/00/10/57/632001057.db2.gz LWWDGMRFQLUXJK-QWRGUYRKSA-N 0 0 283.299 2.603 20 5 CFBDRN CCCCOCCNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843776391 632053695 /nfs/dbraw/zinc/05/36/95/632053695.db2.gz NODNUVRZXOLHAD-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN CC(=O)OC1CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000814365113 632056692 /nfs/dbraw/zinc/05/66/92/632056692.db2.gz ZIEUUDCIHARSEW-UHFFFAOYSA-N 0 0 298.726 2.780 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CCCS1 ZINC000843822833 632062415 /nfs/dbraw/zinc/06/24/15/632062415.db2.gz VZGZTALZBZRUKM-VIFPVBQESA-N 0 0 298.339 2.668 20 5 CFBDRN O=C(OCCC1CCOCC1)c1ccc([N+](=O)[O-])s1 ZINC000814429666 632062697 /nfs/dbraw/zinc/06/26/97/632062697.db2.gz IVYGVQVMJQPXKS-UHFFFAOYSA-N 0 0 285.321 2.630 20 5 CFBDRN O=C(OCCC1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000814430938 632063019 /nfs/dbraw/zinc/06/30/19/632063019.db2.gz DIJBNBWVTYEGFU-UHFFFAOYSA-N 0 0 279.292 2.568 20 5 CFBDRN CCOC(C)(C)CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843861586 632068870 /nfs/dbraw/zinc/06/88/70/632068870.db2.gz SYISNIBVOYBMJE-UHFFFAOYSA-N 0 0 298.314 2.587 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC=C(C)C1 ZINC000843876563 632070972 /nfs/dbraw/zinc/07/09/72/632070972.db2.gz QUEKSKBBRJWDKN-UHFFFAOYSA-N 0 0 278.283 2.835 20 5 CFBDRN CN(C)c1cc(F)c(C(=O)OC2CCCC2)cc1[N+](=O)[O-] ZINC000814484876 632074819 /nfs/dbraw/zinc/07/48/19/632074819.db2.gz NVFYQZWRCSWHJW-UHFFFAOYSA-N 0 0 296.298 2.899 20 5 CFBDRN CCC[C@@H](CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])OC ZINC000843899166 632075177 /nfs/dbraw/zinc/07/51/77/632075177.db2.gz YNNLEYSCZZTNCU-JTQLQIEISA-N 0 0 298.314 2.587 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1C(C)C ZINC000843906871 632075640 /nfs/dbraw/zinc/07/56/40/632075640.db2.gz SCAAXBZXQMDRQM-GXSJLCMTSA-N 0 0 280.299 2.817 20 5 CFBDRN CCc1nc(C)c(C(=O)OCc2ccccc2[N+](=O)[O-])o1 ZINC000814514825 632084115 /nfs/dbraw/zinc/08/41/15/632084115.db2.gz BJJUOENBGXLWPP-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C=C1CCC1 ZINC000843965572 632085217 /nfs/dbraw/zinc/08/52/17/632085217.db2.gz FOYUTNJCGCLYEE-UHFFFAOYSA-N 0 0 261.281 2.685 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CSC(F)F ZINC000843967463 632085465 /nfs/dbraw/zinc/08/54/65/632085465.db2.gz XZBWKAHGXSMHLY-UHFFFAOYSA-N 0 0 291.279 2.531 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)C1 ZINC000814567544 632094564 /nfs/dbraw/zinc/09/45/64/632094564.db2.gz ZIAIDTZQISVODV-GHMZBOCLSA-N 0 0 299.348 2.905 20 5 CFBDRN CCOc1ccc(C(=O)N(OCC)C(C)C)cc1[N+](=O)[O-] ZINC000844079343 632110259 /nfs/dbraw/zinc/11/02/59/632110259.db2.gz CSFJHYHNNZBBGF-UHFFFAOYSA-N 0 0 296.323 2.796 20 5 CFBDRN CCON(C(=O)CSc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000844081444 632111480 /nfs/dbraw/zinc/11/14/80/632111480.db2.gz IAXDZVCBMBZTQW-UHFFFAOYSA-N 0 0 298.364 2.875 20 5 CFBDRN CCCONC(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000844090334 632115802 /nfs/dbraw/zinc/11/58/02/632115802.db2.gz NHZOURZTCUIJCM-UHFFFAOYSA-N 0 0 292.213 2.685 20 5 CFBDRN Cn1ccc(C(=O)COc2cccc(Cl)c2[N+](=O)[O-])c1 ZINC000814775448 632121921 /nfs/dbraw/zinc/12/19/21/632121921.db2.gz WMCLROREGUDFKE-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN CCC(C)(C)OCCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000840326921 632152628 /nfs/dbraw/zinc/15/26/28/632152628.db2.gz MRHKVKYSQVLTRR-UHFFFAOYSA-N 0 0 292.339 2.860 20 5 CFBDRN Cc1ccc(OC(=O)C[C@@H](C)n2ccnc2)c([N+](=O)[O-])c1 ZINC000844299722 632161083 /nfs/dbraw/zinc/16/10/83/632161083.db2.gz FJJMYBKHULBMRN-LLVKDONJSA-N 0 0 289.291 2.656 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@]3(CCCO3)[C@H]2C2CC2)cc1 ZINC000814956845 632178791 /nfs/dbraw/zinc/17/87/91/632178791.db2.gz NDGUOUYNXAEQEE-CABCVRRESA-N 0 0 274.320 2.743 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844450360 632216866 /nfs/dbraw/zinc/21/68/66/632216866.db2.gz LBYKGGNWNOHNKF-TXEJJXNPSA-N 0 0 298.289 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844453629 632217679 /nfs/dbraw/zinc/21/76/79/632217679.db2.gz WGTOOFHAMDGTBW-AOOOYVTPSA-N 0 0 288.681 2.945 20 5 CFBDRN COC/C(C)=C/C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000844514487 632235121 /nfs/dbraw/zinc/23/51/21/632235121.db2.gz GJTYKCTTYHQPBH-SOFGYWHQSA-N 0 0 284.699 2.779 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cc(F)c[nH]1 ZINC000815394455 632267122 /nfs/dbraw/zinc/26/71/22/632267122.db2.gz JCRPXTSKCGQBIG-UHFFFAOYSA-N 0 0 282.202 2.558 20 5 CFBDRN C[C@H](OC(=O)c1cc(F)c[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000815393644 632267466 /nfs/dbraw/zinc/26/74/66/632267466.db2.gz FIBSSPQBSFAGLK-QMMMGPOBSA-N 0 0 278.239 2.980 20 5 CFBDRN C[C@@H](NCc1ccc(F)cn1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000815589248 632293102 /nfs/dbraw/zinc/29/31/02/632293102.db2.gz MUMUSIMCCOVGMM-SECBINFHSA-N 0 0 290.298 2.562 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1cc(F)c[nH]1 ZINC000815782252 632320455 /nfs/dbraw/zinc/32/04/55/632320455.db2.gz FYSKEBFXQXSALO-UHFFFAOYSA-N 0 0 297.673 2.645 20 5 CFBDRN CCc1nc(C(C)C)ccc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000816091191 632369937 /nfs/dbraw/zinc/36/99/37/632369937.db2.gz NSEGDCXHXITDBL-JTQLQIEISA-N 0 0 280.324 2.589 20 5 CFBDRN CO[C@H](COC(=O)Cc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000816350985 632423764 /nfs/dbraw/zinc/42/37/64/632423764.db2.gz DVCAALCODSZIRX-CQSZACIVSA-N 0 0 295.335 2.660 20 5 CFBDRN CO[C@H](COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000816351946 632424405 /nfs/dbraw/zinc/42/44/05/632424405.db2.gz ZURLCMDAUNGMSK-VIIAAUOXSA-N 0 0 293.319 2.822 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCCC1(F)F ZINC000816394527 632443038 /nfs/dbraw/zinc/44/30/38/632443038.db2.gz VSDQAPJAMWHXBW-MRVPVSSYSA-N 0 0 288.250 2.526 20 5 CFBDRN CCc1ccc(C(=O)OC[C@@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000816413112 632445683 /nfs/dbraw/zinc/44/56/83/632445683.db2.gz STPLUEWDROEYCH-JTQLQIEISA-N 0 0 285.246 2.969 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OC[C@H]1CC1(F)F ZINC000816414871 632447253 /nfs/dbraw/zinc/44/72/53/632447253.db2.gz GJBFBTLTKOFSPD-QLCVYAKKSA-N 0 0 283.230 2.806 20 5 CFBDRN Cc1cccc(C(=O)OC[C@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000816416154 632448324 /nfs/dbraw/zinc/44/83/24/632448324.db2.gz LLTJICWUEDIEJY-MRVPVSSYSA-N 0 0 271.219 2.715 20 5 CFBDRN COc1c(C(=O)OCc2ccc(C)o2)cccc1[N+](=O)[O-] ZINC000816429731 632455600 /nfs/dbraw/zinc/45/56/00/632455600.db2.gz PNPIQAIWDAFZSG-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=C(OCC[C@H]1CCOC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000816436271 632458908 /nfs/dbraw/zinc/45/89/08/632458908.db2.gz HPTHOAYWIISJGD-SECBINFHSA-N 0 0 299.710 2.832 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CCO[C@H](CF)C1 ZINC000845567552 632465818 /nfs/dbraw/zinc/46/58/18/632465818.db2.gz XXIDLXWSQBPSPQ-SSDOTTSWSA-N 0 0 292.669 2.562 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1c1ccc([N+](=O)[O-])cc1 ZINC000845648718 632504342 /nfs/dbraw/zinc/50/43/42/632504342.db2.gz GLXQNIGXXUZNBP-NSHDSACASA-N 0 0 264.325 2.989 20 5 CFBDRN Cc1cc(NCCN2CCCC2=O)c(Cl)cc1[N+](=O)[O-] ZINC000845682323 632516225 /nfs/dbraw/zinc/51/62/25/632516225.db2.gz RQIYIBQQWRRCBS-UHFFFAOYSA-N 0 0 297.742 2.591 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000816980467 632544038 /nfs/dbraw/zinc/54/40/38/632544038.db2.gz LQXBBOXAYSUSMT-SECBINFHSA-N 0 0 291.307 2.784 20 5 CFBDRN CC(C)[C@@H](F)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000817038582 632548597 /nfs/dbraw/zinc/54/85/97/632548597.db2.gz UVROCPSPJBAYHY-GFCCVEGCSA-N 0 0 282.315 2.940 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCC[C@@H]1CC1(Cl)Cl ZINC000845744799 632549878 /nfs/dbraw/zinc/54/98/78/632549878.db2.gz MXWMHWXVAOSTNI-MRVPVSSYSA-N 0 0 290.150 2.798 20 5 CFBDRN CCOc1cccc(N2C[C@H]3[C@@H](C2)C3(F)F)c1[N+](=O)[O-] ZINC000845752606 632551683 /nfs/dbraw/zinc/55/16/83/632551683.db2.gz DWZDBHKHLXTZEQ-DTORHVGOSA-N 0 0 284.262 2.695 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000845764377 632556868 /nfs/dbraw/zinc/55/68/68/632556868.db2.gz HOVYZVULQDGWCP-GRYCIOLGSA-N 0 0 290.319 2.891 20 5 CFBDRN CC[C@@H]1CN(c2ccc(N)cc2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000817124428 632561253 /nfs/dbraw/zinc/56/12/53/632561253.db2.gz NCRFAEWIMUJUGC-VXGBXAGGSA-N 0 0 279.340 2.571 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@H](CC)O1 ZINC000817126386 632561781 /nfs/dbraw/zinc/56/17/81/632561781.db2.gz ZUYZSMKGRLDYIY-KBPBESRZSA-N 0 0 264.325 2.989 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC[C@H]1CCCO1 ZINC000817238612 632580836 /nfs/dbraw/zinc/58/08/36/632580836.db2.gz KUMQRAKVDZRKMN-GFCCVEGCSA-N 0 0 293.319 2.938 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)C1CC1 ZINC000817239938 632581750 /nfs/dbraw/zinc/58/17/50/632581750.db2.gz YBRGRTSUEVNFFZ-JTQLQIEISA-N 0 0 291.303 2.736 20 5 CFBDRN C[C@]1(C(=O)OCc2ccccc2[N+](=O)[O-])CC1(F)F ZINC000817247139 632584018 /nfs/dbraw/zinc/58/40/18/632584018.db2.gz YOUGBUIUGNWINS-LLVKDONJSA-N 0 0 271.219 2.683 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CC1(F)F ZINC000817252995 632587056 /nfs/dbraw/zinc/58/70/56/632587056.db2.gz YFEKQAVCLMQVFA-NSHDSACASA-N 0 0 289.209 2.822 20 5 CFBDRN CO[C@H](C)CC(=O)O[C@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845876033 632588889 /nfs/dbraw/zinc/58/88/89/632588889.db2.gz PHCSOSDBKNHSIZ-RULNRJAQSA-N 0 0 299.298 2.500 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000845901076 632595149 /nfs/dbraw/zinc/59/51/49/632595149.db2.gz GOFOVFASILEVPE-QMMMGPOBSA-N 0 0 291.300 2.631 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])C12CCC2 ZINC000840339694 632611529 /nfs/dbraw/zinc/61/15/29/632611529.db2.gz CQVFPCMRLZLEMB-QWHCGFSZSA-N 0 0 277.324 2.547 20 5 CFBDRN O=C(NOCCC1CC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000817383381 632617941 /nfs/dbraw/zinc/61/79/41/632617941.db2.gz KVOMPHCKXXHESL-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN C[C@@]1(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)CC1(F)F ZINC000817424776 632630013 /nfs/dbraw/zinc/63/00/13/632630013.db2.gz TVGINXMILLPRLR-JTQLQIEISA-N 0 0 292.188 2.857 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1CC12CC2 ZINC000817424021 632630035 /nfs/dbraw/zinc/63/00/35/632630035.db2.gz FWGKXIKHFQKZIZ-ZCFIWIBFSA-N 0 0 268.219 2.612 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@]1(C)CC1(F)F ZINC000817426967 632631193 /nfs/dbraw/zinc/63/11/93/632631193.db2.gz MEZIFTYUEWCWAE-NSHDSACASA-N 0 0 271.219 2.854 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CC12CC2 ZINC000817712173 632689878 /nfs/dbraw/zinc/68/98/78/632689878.db2.gz OILPUXVIEGEFQI-MRVPVSSYSA-N 0 0 267.668 2.954 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@H]1CCSC1 ZINC000817715651 632690963 /nfs/dbraw/zinc/69/09/63/632690963.db2.gz YFDWGUDOIAOAKA-JTQLQIEISA-N 0 0 281.333 2.874 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@H]1CCO[C@H]1C ZINC000817736700 632698790 /nfs/dbraw/zinc/69/87/90/632698790.db2.gz WHTBQPMEADTKOB-NWDGAFQWSA-N 0 0 293.319 2.793 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@H]1CCOC[C@@H]1C ZINC000817743593 632701444 /nfs/dbraw/zinc/70/14/44/632701444.db2.gz VHGFYBFJJBRPIZ-FZMZJTMJSA-N 0 0 293.319 2.793 20 5 CFBDRN C[C@H](COC(=O)c1ccc([N+](=O)[O-])n1C)C1CCC1 ZINC000817751951 632704545 /nfs/dbraw/zinc/70/45/45/632704545.db2.gz KODKRLBMRILKLK-SECBINFHSA-N 0 0 266.297 2.526 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H](F)C1 ZINC000846628675 632761512 /nfs/dbraw/zinc/76/15/12/632761512.db2.gz AYSANADMMWYEEC-PRWOLLLXSA-N 0 0 292.310 2.959 20 5 CFBDRN Nc1ccc(NC(=O)c2ccc(F)cc2F)cc1[N+](=O)[O-] ZINC000867437594 632780064 /nfs/dbraw/zinc/78/00/64/632780064.db2.gz YTXJOJHGUNEDNU-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CC(=O)c1ccc(N2CCC(C(C)=O)CC2)c([N+](=O)[O-])c1 ZINC000818028579 632790038 /nfs/dbraw/zinc/79/00/38/632790038.db2.gz IDIIJSICBRXNPE-UHFFFAOYSA-N 0 0 290.319 2.603 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCC[C@@H]1CC1(Cl)Cl ZINC000818058826 632793037 /nfs/dbraw/zinc/79/30/37/632793037.db2.gz FBQIBSACTQVMQE-SSDOTTSWSA-N 0 0 277.107 2.953 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CC[C@@H]1CC1(Cl)Cl ZINC000818083606 632796590 /nfs/dbraw/zinc/79/65/90/632796590.db2.gz ZSUJKBHMLOYZEJ-MRVPVSSYSA-N 0 0 291.134 2.649 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])c(F)c1F)C1CC1 ZINC000818086000 632797448 /nfs/dbraw/zinc/79/74/48/632797448.db2.gz BAIBALQTCLONCN-JTQLQIEISA-N 0 0 273.235 2.677 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCO[C@H]2C)c1C ZINC000885423924 632866122 /nfs/dbraw/zinc/86/61/22/632866122.db2.gz FQGCEURKVNUHCA-RYUDHWBXSA-N 0 0 293.319 2.793 20 5 CFBDRN Cc1c[nH]nc1COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885424902 632880026 /nfs/dbraw/zinc/88/00/26/632880026.db2.gz ZDKMQQITDYHWBM-UHFFFAOYSA-N 0 0 289.291 2.600 20 5 CFBDRN Cc1cc(C(=O)Oc2cnn(C(C)C)c2)ccc1[N+](=O)[O-] ZINC000847133262 632934944 /nfs/dbraw/zinc/93/49/44/632934944.db2.gz NSBMRQILXWZNPO-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN Cc1cc(N[C@H]2CCNC(=O)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000840374187 632980916 /nfs/dbraw/zinc/98/09/16/632980916.db2.gz KTMCXEDMYJBEFE-SECBINFHSA-N 0 0 297.742 2.637 20 5 CFBDRN O=C(/C=C/c1ccco1)OCc1ccccc1[N+](=O)[O-] ZINC000006012119 632990978 /nfs/dbraw/zinc/99/09/78/632990978.db2.gz QUDOEXZZDVPULB-BQYQJAHWSA-N 0 0 273.244 2.944 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@@H]1OCc2cc(Cl)ccc21 ZINC000847717079 633052838 /nfs/dbraw/zinc/05/28/38/633052838.db2.gz UCZQVOCCONQDDU-UFBFGSQYSA-N 0 0 299.710 2.510 20 5 CFBDRN CC[C@H](CCC(C)C)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000847742105 633064529 /nfs/dbraw/zinc/06/45/29/633064529.db2.gz HHXLMBWXTQFGMQ-SNVBAGLBSA-N 0 0 283.328 2.700 20 5 CFBDRN CC[C@@H](CCC(C)C)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000847742503 633065777 /nfs/dbraw/zinc/06/57/77/633065777.db2.gz NVJXFCXCEZEEOB-LBPRGKRZSA-N 0 0 283.328 2.549 20 5 CFBDRN CCCC[C@@H](CC)CC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847783042 633075918 /nfs/dbraw/zinc/07/59/18/633075918.db2.gz QEIMYXBYYJUBDL-LLVKDONJSA-N 0 0 297.355 2.978 20 5 CFBDRN Cn1cc(COC(=O)CCCC(C)(C)C)c([N+](=O)[O-])n1 ZINC000847783212 633076527 /nfs/dbraw/zinc/07/65/27/633076527.db2.gz XEUSHUIWXGZUAM-UHFFFAOYSA-N 0 0 283.328 2.588 20 5 CFBDRN COC[C@@H](C)CCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000847877349 633124252 /nfs/dbraw/zinc/12/42/52/633124252.db2.gz SBTNTESWPPHIKP-NWDGAFQWSA-N 0 0 295.335 2.914 20 5 CFBDRN CC(C)C(C)(C)COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000847940860 633157006 /nfs/dbraw/zinc/15/70/06/633157006.db2.gz DWSRDLGMNCGYOH-UHFFFAOYSA-N 0 0 268.313 2.772 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C(F)=C1CCC1 ZINC000819435338 633161548 /nfs/dbraw/zinc/16/15/48/633161548.db2.gz JRORAPRPBHXWAW-UHFFFAOYSA-N 0 0 278.283 2.661 20 5 CFBDRN C[C@H](NC(=O)/C=C/[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000819461932 633169876 /nfs/dbraw/zinc/16/98/76/633169876.db2.gz GTINRBUEHGOLQS-VDTGWRSZSA-N 0 0 290.319 2.507 20 5 CFBDRN CCc1nocc1COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000848710093 633321267 /nfs/dbraw/zinc/32/12/67/633321267.db2.gz WCKMWMGCPRUOIN-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN C[C@H](NC(=O)/C=C/[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000819544600 633379802 /nfs/dbraw/zinc/37/98/02/633379802.db2.gz SHMPXYFWKWWSBE-CDNXDHMFSA-N 0 0 290.319 2.507 20 5 CFBDRN C[C@H]1[C@H](CO)CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000840388175 633389227 /nfs/dbraw/zinc/38/92/27/633389227.db2.gz QQOSNVTXHSXWGK-UWVGGRQHSA-N 0 0 284.743 2.845 20 5 CFBDRN CC(C)(NC(=S)NCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000849259317 633596018 /nfs/dbraw/zinc/59/60/18/633596018.db2.gz ASJOJXVUIAYYDX-UHFFFAOYSA-N 0 0 293.392 2.704 20 5 CFBDRN Cc1nocc1CN1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000849313393 633612804 /nfs/dbraw/zinc/61/28/04/633612804.db2.gz XGEXRNNWJYIOAT-SECBINFHSA-N 0 0 273.292 2.842 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1CCC1(O)CCC1 ZINC000849313386 633613032 /nfs/dbraw/zinc/61/30/32/633613032.db2.gz WWZGYBTVXQANBZ-LLVKDONJSA-N 0 0 276.336 2.651 20 5 CFBDRN CO[C@H](COC(=O)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000849630245 633647018 /nfs/dbraw/zinc/64/70/18/633647018.db2.gz ZXWSIZXYPFKAGO-CYBMUJFWSA-N 0 0 279.292 2.567 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000849633954 633649506 /nfs/dbraw/zinc/64/95/06/633649506.db2.gz QUBIDKSQXYVPMT-BWNDKRECSA-N 0 0 291.303 2.766 20 5 CFBDRN C[C@H](O)CCOC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000849663284 633662815 /nfs/dbraw/zinc/66/28/15/633662815.db2.gz RSQLKKCULAJQMR-JTQLQIEISA-N 0 0 295.335 2.820 20 5 CFBDRN O=C(CCOc1cccc([N+](=O)[O-])c1)OCC1CC=CC1 ZINC000849687471 633675227 /nfs/dbraw/zinc/67/52/27/633675227.db2.gz DEIDKSURJURSKG-UHFFFAOYSA-N 0 0 291.303 2.873 20 5 CFBDRN CO[C@H](COC(=O)c1csc([N+](=O)[O-])c1)C1CCC1 ZINC000849699292 633679772 /nfs/dbraw/zinc/67/97/72/633679772.db2.gz FZVPYOSJDDGZQW-SNVBAGLBSA-N 0 0 285.321 2.628 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849714639 633685678 /nfs/dbraw/zinc/68/56/78/633685678.db2.gz PLJDKUFMSRXAML-SECBINFHSA-N 0 0 297.282 2.592 20 5 CFBDRN CO[C@H](COC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000849717004 633686485 /nfs/dbraw/zinc/68/64/85/633686485.db2.gz JUQVQRIFIPGWBK-CYBMUJFWSA-N 0 0 297.282 2.706 20 5 CFBDRN O=C(OCC1CC=CC1)c1c(F)ccc([N+](=O)[O-])c1F ZINC000849740876 633697768 /nfs/dbraw/zinc/69/77/68/633697768.db2.gz VZSDSDMIJLWKDD-UHFFFAOYSA-N 0 0 283.230 2.996 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849779321 633715435 /nfs/dbraw/zinc/71/54/35/633715435.db2.gz DXZIOJOATCDSSP-NXEZZACHSA-N 0 0 299.298 2.696 20 5 CFBDRN CO[C@@H](COc1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000849857540 633744595 /nfs/dbraw/zinc/74/45/95/633744595.db2.gz QCUYATUTFJSZDD-ZDUSSCGKSA-N 0 0 269.272 2.928 20 5 CFBDRN CC1(C)OC[C@@H](COc2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000849880694 633751485 /nfs/dbraw/zinc/75/14/85/633751485.db2.gz POTVJTWXKAQORB-SECBINFHSA-N 0 0 287.699 2.779 20 5 CFBDRN CCSCCOc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849957025 633775154 /nfs/dbraw/zinc/77/51/54/633775154.db2.gz XVPXVRFKYVFJBL-UHFFFAOYSA-N 0 0 272.282 2.635 20 5 CFBDRN CCOc1c([N+](=O)[O-])cc(Br)cc1[N+](=O)[O-] ZINC000849955205 633775252 /nfs/dbraw/zinc/77/52/52/633775252.db2.gz PRIRKWITYYGZLF-UHFFFAOYSA-N 0 0 291.057 2.664 20 5 CFBDRN CSC(C)(C)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000849987805 633784413 /nfs/dbraw/zinc/78/44/13/633784413.db2.gz YMUOFTBNEZANAM-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN CO[C@H](C(=O)OCc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000850037556 633798738 /nfs/dbraw/zinc/79/87/38/633798738.db2.gz KGPACOYLZUIZML-AWEZNQCLSA-N 0 0 293.319 2.843 20 5 CFBDRN CO[C@H](C(=O)OCc1cccc([N+](=O)[O-])c1C)C1CCC1 ZINC000850174855 633872643 /nfs/dbraw/zinc/87/26/43/633872643.db2.gz HVORPDIOAYIIPV-AWEZNQCLSA-N 0 0 293.319 2.762 20 5 CFBDRN CCn1cnc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000850181397 633875644 /nfs/dbraw/zinc/87/56/44/633875644.db2.gz VAKRXOGDUXOSIN-JTQLQIEISA-N 0 0 289.291 2.729 20 5 CFBDRN O=C(CCCF)OCc1c(F)cccc1[N+](=O)[O-] ZINC000850193479 633882580 /nfs/dbraw/zinc/88/25/80/633882580.db2.gz UBECMINJGFWWRB-UHFFFAOYSA-N 0 0 259.208 2.527 20 5 CFBDRN CCCn1nccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000850238349 633907035 /nfs/dbraw/zinc/90/70/35/633907035.db2.gz YCUHEVAFMAFTHR-UHFFFAOYSA-N 0 0 289.291 2.558 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1C[C@H](C)CO1 ZINC000885576396 634047387 /nfs/dbraw/zinc/04/73/87/634047387.db2.gz NQIVRDRMCSPYAL-CHIMOYNISA-N 0 0 293.319 2.932 20 5 CFBDRN CO[C@H](C(=O)Oc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000850742805 634070918 /nfs/dbraw/zinc/07/09/18/634070918.db2.gz LOBLAZITHNKXDN-ZDUSSCGKSA-N 0 0 279.292 2.705 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000850756828 634081490 /nfs/dbraw/zinc/08/14/90/634081490.db2.gz WDMBPEBJJCTQEZ-JLLWLGSASA-N 0 0 291.303 2.945 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000850756830 634081784 /nfs/dbraw/zinc/08/17/84/634081784.db2.gz WDMBPEBJJCTQEZ-MVWJERBFSA-N 0 0 291.303 2.945 20 5 CFBDRN Cc1c(OC(=O)C2CC3(CC3)C2)cccc1[N+](=O)[O-] ZINC000850769776 634084895 /nfs/dbraw/zinc/08/48/95/634084895.db2.gz HMMAANFGWLJILS-UHFFFAOYSA-N 0 0 261.277 2.999 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000850795594 634097302 /nfs/dbraw/zinc/09/73/02/634097302.db2.gz DEHWJUGQXAYWEH-WCBMZHEXSA-N 0 0 299.710 2.969 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H](OC)C2CCC2)c([N+](=O)[O-])c1 ZINC000850847541 634109012 /nfs/dbraw/zinc/10/90/12/634109012.db2.gz DVSPHPIQBZFJHG-AWEZNQCLSA-N 0 0 293.319 2.878 20 5 CFBDRN CC(C)c1cccc(C(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000851044671 634194406 /nfs/dbraw/zinc/19/44/06/634194406.db2.gz GYSCZCXHHNJSKP-JTQLQIEISA-N 0 0 251.282 2.632 20 5 CFBDRN C[C@@H](CC(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000851122441 634233941 /nfs/dbraw/zinc/23/39/41/634233941.db2.gz DHKGOEVPERVDHI-NSHDSACASA-N 0 0 265.309 2.779 20 5 CFBDRN CC(C)(COC(=O)c1cc(Cl)ccc1F)[N+](=O)[O-] ZINC000851123981 634234378 /nfs/dbraw/zinc/23/43/78/634234378.db2.gz ZNPUROIDXUUNHL-UHFFFAOYSA-N 0 0 275.663 2.691 20 5 CFBDRN CSc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851124424 634234811 /nfs/dbraw/zinc/23/48/11/634234811.db2.gz WDETVLCSUWEFGC-UHFFFAOYSA-N 0 0 269.322 2.621 20 5 CFBDRN Cc1cccc([C@H](C)C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851125680 634235457 /nfs/dbraw/zinc/23/54/57/634235457.db2.gz GCNJYIQTXUZYJS-NSHDSACASA-N 0 0 265.309 2.697 20 5 CFBDRN Cc1cccc2c(CC(=O)OCC(C)(C)[N+](=O)[O-])c[nH]c21 ZINC000851125487 634235614 /nfs/dbraw/zinc/23/56/14/634235614.db2.gz DBGLDMKAZHUZDN-UHFFFAOYSA-N 0 0 290.319 2.617 20 5 CFBDRN C[C@H]1CCCC[C@H]1CC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125488 634235779 /nfs/dbraw/zinc/23/57/79/634235779.db2.gz GISFFOQXWGOILU-QWRGUYRKSA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)CC1(C(=O)OCC(C)(C)[N+](=O)[O-])CCC1 ZINC000851127370 634235886 /nfs/dbraw/zinc/23/58/86/634235886.db2.gz WGVGRTDOLONENS-UHFFFAOYSA-N 0 0 257.330 2.801 20 5 CFBDRN C/C(=C/C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000851126110 634236712 /nfs/dbraw/zinc/23/67/12/634236712.db2.gz MUUUWTSLMLIMJA-LUAWRHEFSA-N 0 0 263.293 2.688 20 5 CFBDRN Cc1ccc2c(CC(=O)OCC(C)(C)[N+](=O)[O-])c[nH]c2c1 ZINC000851126150 634236825 /nfs/dbraw/zinc/23/68/25/634236825.db2.gz OITUDHDYMVRMGY-UHFFFAOYSA-N 0 0 290.319 2.617 20 5 CFBDRN CC[C@H]1CCCC[C@H]1OCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851127978 634237403 /nfs/dbraw/zinc/23/74/03/634237403.db2.gz CGLPERAMLQAYQW-NWDGAFQWSA-N 0 0 287.356 2.570 20 5 CFBDRN CC(C)(COC(=O)c1cc(C2CCCCC2)[nH]n1)[N+](=O)[O-] ZINC000851127487 634237578 /nfs/dbraw/zinc/23/75/78/634237578.db2.gz NJPGTQLGVQWZQJ-UHFFFAOYSA-N 0 0 295.339 2.670 20 5 CFBDRN CC(C)(COC(=O)c1coc(C2CCCC2)n1)[N+](=O)[O-] ZINC000851128672 634237665 /nfs/dbraw/zinc/23/76/65/634237665.db2.gz BWXMFNIVUTZXFG-UHFFFAOYSA-N 0 0 282.296 2.544 20 5 CFBDRN Cc1oc2ccccc2c1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851128111 634237902 /nfs/dbraw/zinc/23/79/02/634237902.db2.gz IVHONNCBYYISJI-UHFFFAOYSA-N 0 0 277.276 2.953 20 5 CFBDRN CC(C)(COC(=O)c1ccc(C(F)(F)F)s1)[N+](=O)[O-] ZINC000851130409 634239371 /nfs/dbraw/zinc/23/93/71/634239371.db2.gz VGBVUQVFOBYTLH-UHFFFAOYSA-N 0 0 297.254 2.979 20 5 CFBDRN CCC[C@H]1CCC[C@@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851130309 634239727 /nfs/dbraw/zinc/23/97/27/634239727.db2.gz ZRUKAVDZLNUUOM-QWRGUYRKSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1)C1CCCC1 ZINC000851138190 634242743 /nfs/dbraw/zinc/24/27/43/634242743.db2.gz HCXSQHQNHMTXRB-JTQLQIEISA-N 0 0 295.339 2.648 20 5 CFBDRN C[C@]1(COC(=O)c2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000851265688 634299522 /nfs/dbraw/zinc/29/95/22/634299522.db2.gz AQAPLGGUDHTHLJ-LLVKDONJSA-N 0 0 271.219 2.797 20 5 CFBDRN O=C(OC[C@@H]1CCC1(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000851317167 634321430 /nfs/dbraw/zinc/32/14/30/634321430.db2.gz VOWLZXAIIBGUSM-QMMMGPOBSA-N 0 0 289.209 2.936 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCC[C@H]1CC1(F)F ZINC000851383104 634350137 /nfs/dbraw/zinc/35/01/37/634350137.db2.gz IYJYTCAYPMKTQE-JTQLQIEISA-N 0 0 285.246 2.726 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCCc3n[nH]cc3C2)c(F)c1 ZINC000852967709 634868624 /nfs/dbraw/zinc/86/86/24/634868624.db2.gz HTKCAVUTPUSKFL-UHFFFAOYSA-N 0 0 294.261 2.549 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCCC2SCCS2)c1 ZINC000853017313 634876953 /nfs/dbraw/zinc/87/69/53/634876953.db2.gz GEIGMWJMXGLZFC-UHFFFAOYSA-N 0 0 299.373 2.982 20 5 CFBDRN Cc1cnc(OCCC2SCCS2)c([N+](=O)[O-])c1 ZINC000853018754 634877698 /nfs/dbraw/zinc/87/76/98/634877698.db2.gz RBLDBVVFHWGZLM-UHFFFAOYSA-N 0 0 286.378 2.873 20 5 CFBDRN Cn1ncc(NCc2ccc(F)cc2[N+](=O)[O-])c1C1CC1 ZINC000853062392 634893882 /nfs/dbraw/zinc/89/38/82/634893882.db2.gz NKJVQXHOCSEKMQ-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN C[C@H]1CN(c2ccc(N)c([N+](=O)[O-])n2)Cc2ccccc21 ZINC000853091184 634900435 /nfs/dbraw/zinc/90/04/35/634900435.db2.gz ZYXZEUHKBCJHEW-JTQLQIEISA-N 0 0 284.319 2.696 20 5 CFBDRN COCCN(C)c1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000853220062 634939870 /nfs/dbraw/zinc/93/98/70/634939870.db2.gz VUHGEOWSMGQXHV-UHFFFAOYSA-N 0 0 260.240 2.615 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)c(C)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000853312886 634965546 /nfs/dbraw/zinc/96/55/46/634965546.db2.gz AEFBYFJJWOCIQI-NEPJUHHUSA-N 0 0 294.351 2.916 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(C(F)(F)F)c([N+](=O)[O-])c2)C1 ZINC000853405280 634995647 /nfs/dbraw/zinc/99/56/47/634995647.db2.gz HSUIMPGZDUIPAR-SECBINFHSA-N 0 0 290.241 2.839 20 5 CFBDRN Cc1cc(NC[C@H](O)c2cccnc2)c([N+](=O)[O-])s1 ZINC000853452101 635003916 /nfs/dbraw/zinc/00/39/16/635003916.db2.gz HEXCDAOCOCNUCH-NSHDSACASA-N 0 0 279.321 2.505 20 5 CFBDRN CC[C@]1(O)CCN(c2ccc(C(F)F)cc2[N+](=O)[O-])C1 ZINC000853487818 635014048 /nfs/dbraw/zinc/01/40/48/635014048.db2.gz YGQZUGKFFDRGFY-ZDUSSCGKSA-N 0 0 286.278 2.884 20 5 CFBDRN Cc1ncoc1CNc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000853641081 635057250 /nfs/dbraw/zinc/05/72/50/635057250.db2.gz XZCOJZFUTQNSAD-UHFFFAOYSA-N 0 0 292.295 2.686 20 5 CFBDRN C[C@@]1(F)CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000853650381 635060477 /nfs/dbraw/zinc/06/04/77/635060477.db2.gz FSRQCKDKQDMGNT-LLVKDONJSA-N 0 0 273.695 2.972 20 5 CFBDRN Nc1ccc(OCc2cc(C3CC3)no2)cc1[N+](=O)[O-] ZINC000853662737 635066398 /nfs/dbraw/zinc/06/63/98/635066398.db2.gz MFQNLUSKTZSAKV-UHFFFAOYSA-N 0 0 275.264 2.621 20 5 CFBDRN Cc1ccc(N2CCO[C@](C)(C3CC3)C2)c([N+](=O)[O-])c1 ZINC000853765209 635099057 /nfs/dbraw/zinc/09/90/57/635099057.db2.gz ANCZJMUVVRXORL-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@](O)(C2CC2)C1 ZINC000853834436 635121985 /nfs/dbraw/zinc/12/19/85/635121985.db2.gz USIJXMFPRBSLOW-OAHLLOKOSA-N 0 0 276.336 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H](O)c3ccccc32)n1 ZINC000853839645 635125213 /nfs/dbraw/zinc/12/52/13/635125213.db2.gz OXBFACGWXOVYTR-GXTWGEPZSA-N 0 0 285.303 2.889 20 5 CFBDRN Cc1nc(N[C@H]2C[C@H](O)c3ccccc32)ccc1[N+](=O)[O-] ZINC000853840768 635126803 /nfs/dbraw/zinc/12/68/03/635126803.db2.gz XVYHVJNNMZAQLM-JSGCOSHPSA-N 0 0 285.303 2.889 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](C)O[C@@H](C)C2)c1 ZINC000853872302 635143228 /nfs/dbraw/zinc/14/32/28/635143228.db2.gz PYMNLKMLIRYEPG-ZJUUUORDSA-N 0 0 298.314 2.746 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])s1 ZINC000853881954 635145308 /nfs/dbraw/zinc/14/53/08/635145308.db2.gz OHJYTGGDWJBKPV-AYMMMOKOSA-N 0 0 267.306 2.859 20 5 CFBDRN Cc1noc(COC(=O)C2CCCCC2)c1[N+](=O)[O-] ZINC000853882434 635145559 /nfs/dbraw/zinc/14/55/59/635145559.db2.gz HDQSDBDZJPBOQL-UHFFFAOYSA-N 0 0 268.269 2.515 20 5 CFBDRN Cc1cc(C(=O)OCc2onc(C)c2[N+](=O)[O-])sc1C ZINC000853892806 635148405 /nfs/dbraw/zinc/14/84/05/635148405.db2.gz XIYIKZGQRCYZAV-UHFFFAOYSA-N 0 0 296.304 2.927 20 5 CFBDRN Cc1noc(COC(=O)C[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000853903546 635153993 /nfs/dbraw/zinc/15/39/93/635153993.db2.gz DIADBKUPEJAMJV-WPRPVWTQSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)c2ccoc2C2CC2)c1[N+](=O)[O-] ZINC000853914074 635159997 /nfs/dbraw/zinc/15/99/97/635159997.db2.gz CAMCCGHYKYNSOS-UHFFFAOYSA-N 0 0 292.247 2.719 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000853918329 635162528 /nfs/dbraw/zinc/16/25/28/635162528.db2.gz HHNYGAVMTWDESE-VQXHTEKXSA-N 0 0 294.307 2.761 20 5 CFBDRN CC(C)c1cccc(COC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000853921070 635164904 /nfs/dbraw/zinc/16/49/04/635164904.db2.gz OCLNDZUQBPPISH-CHWSQXEVSA-N 0 0 263.293 2.518 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2C[C@@H]2C2CCCC2)c1[N+](=O)[O-] ZINC000853924160 635166764 /nfs/dbraw/zinc/16/67/64/635166764.db2.gz LHYMTIIKYIDVHM-MNOVXSKESA-N 0 0 294.307 2.761 20 5 CFBDRN CO[C@@H](CNc1ccc(N)cc1[N+](=O)[O-])C1CCCC1 ZINC000853934982 635169731 /nfs/dbraw/zinc/16/97/31/635169731.db2.gz AUQQMTPKEUJYIU-AWEZNQCLSA-N 0 0 279.340 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ccon3)CC2)cc1 ZINC000853941950 635172843 /nfs/dbraw/zinc/17/28/43/635172843.db2.gz ODXIAWGUWJBUEV-UHFFFAOYSA-N 0 0 273.292 2.967 20 5 CFBDRN CC1CCC(O)(CNc2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000853996456 635187699 /nfs/dbraw/zinc/18/76/99/635187699.db2.gz UYKFUHXPBGLIAO-UHFFFAOYSA-N 0 0 279.340 2.530 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CCC[C@@H]3C[C@@H]32)n1)[N+](=O)[O-] ZINC000854060578 635202277 /nfs/dbraw/zinc/20/22/77/635202277.db2.gz BGSRBDGDMXNLOJ-MXWKQRLJSA-N 0 0 279.340 2.961 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854495970 635317352 /nfs/dbraw/zinc/31/73/52/635317352.db2.gz ZETDIAKRJYCJEM-MWLCHTKSSA-N 0 0 277.324 2.798 20 5 CFBDRN Nc1ccc(NC(=O)[C@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000854495669 635317818 /nfs/dbraw/zinc/31/78/18/635317818.db2.gz QAHYTTACQWQNHY-ZETCQYMHSA-N 0 0 285.250 2.551 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCC1 ZINC000854496891 635317873 /nfs/dbraw/zinc/31/78/73/635317873.db2.gz STQKRMQJOSICLB-MRVPVSSYSA-N 0 0 263.297 2.552 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000854496068 635317893 /nfs/dbraw/zinc/31/78/93/635317893.db2.gz CKYHBNXQZGVPJE-OPRDCNLKSA-N 0 0 275.308 2.552 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@H]1C1CC1 ZINC000854523651 635327111 /nfs/dbraw/zinc/32/71/11/635327111.db2.gz WIJNHXUVZGROGM-ZDUSSCGKSA-N 0 0 292.310 2.995 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H]1C=CCC1 ZINC000855420001 635535978 /nfs/dbraw/zinc/53/59/78/635535978.db2.gz QCOPSFRUVKDSPA-SNVBAGLBSA-N 0 0 278.333 2.522 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1C=CCC1 ZINC000855420000 635536353 /nfs/dbraw/zinc/53/63/53/635536353.db2.gz QCOPSFRUVKDSPA-JTQLQIEISA-N 0 0 278.333 2.522 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000855424689 635539537 /nfs/dbraw/zinc/53/95/37/635539537.db2.gz SRJRVFMMNPJSLQ-SNVBAGLBSA-N 0 0 266.684 2.697 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1C=CCC1 ZINC000855430127 635542856 /nfs/dbraw/zinc/54/28/56/635542856.db2.gz BEKVLTFKFYYXJO-NHAQELONSA-N 0 0 272.304 2.833 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000855429856 635542984 /nfs/dbraw/zinc/54/29/84/635542984.db2.gz QGNLVEMTLZQCSH-VIFPVBQESA-N 0 0 298.245 2.645 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000855524347 635571202 /nfs/dbraw/zinc/57/12/02/635571202.db2.gz GGKITXNXBQNKNW-MGCOHNPYSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccc(CCNC(=O)CCC(C)(C)[N+](=O)[O-])cc1C ZINC000855615194 635600911 /nfs/dbraw/zinc/60/09/11/635600911.db2.gz BYSLMPJCCIBUDK-UHFFFAOYSA-N 0 0 292.379 2.798 20 5 CFBDRN CC(C)(CCC(=O)NCCCC1CCC1)[N+](=O)[O-] ZINC000855801728 635654521 /nfs/dbraw/zinc/65/45/21/635654521.db2.gz VUECFTARHOEXPB-UHFFFAOYSA-N 0 0 256.346 2.518 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCC1=CCCC1 ZINC000856095581 635723109 /nfs/dbraw/zinc/72/31/09/635723109.db2.gz CRKGMPZXMGMFCI-YFHOEESVSA-N 0 0 272.304 2.835 20 5 CFBDRN Cc1cc(CN2CCc3c(cccc3[N+](=O)[O-])C2)ccn1 ZINC000856730322 635843144 /nfs/dbraw/zinc/84/31/44/635843144.db2.gz ALFWNZATGODPQH-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1N1CC(F)C1 ZINC000856740522 635845003 /nfs/dbraw/zinc/84/50/03/635845003.db2.gz HSRIZIYTZCTRFX-UHFFFAOYSA-N 0 0 275.077 2.515 20 5 CFBDRN C[C@@H](NCc1cn(C)nc1Cl)c1ccccc1[N+](=O)[O-] ZINC000856776454 635856865 /nfs/dbraw/zinc/85/68/65/635856865.db2.gz RQALGXGUFGZQDZ-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@@H]2CC2(F)F)nc2ccccn21 ZINC000856779491 635857730 /nfs/dbraw/zinc/85/77/30/635857730.db2.gz ZKLZCOQPBXWBOI-MRVPVSSYSA-N 0 0 282.250 2.700 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC000857573001 635985440 /nfs/dbraw/zinc/98/54/40/635985440.db2.gz NQVVZOMHMBKQDA-NEPJUHHUSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC(CC2CC2)C1 ZINC000857737766 636019275 /nfs/dbraw/zinc/01/92/75/636019275.db2.gz BKOCCINDTILEQM-UHFFFAOYSA-N 0 0 292.310 2.914 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OCC1(C)CCCC1 ZINC000158563634 650230626 /nfs/dbraw/zinc/23/06/26/650230626.db2.gz FODYNVUZWHYWRQ-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000858187955 636151929 /nfs/dbraw/zinc/15/19/29/636151929.db2.gz NFYYTUPHSUZPCH-GFCCVEGCSA-N 0 0 267.281 2.705 20 5 CFBDRN CCc1ccc(OC(=O)c2ccoc2)c([N+](=O)[O-])c1 ZINC000115927349 636152656 /nfs/dbraw/zinc/15/26/56/636152656.db2.gz LNEQPBPODXWGME-UHFFFAOYSA-N 0 0 261.233 2.969 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H](C)SC)c([N+](=O)[O-])c1 ZINC000115926770 636152880 /nfs/dbraw/zinc/15/28/80/636152880.db2.gz IAELLXAHZKLCGF-MRVPVSSYSA-N 0 0 269.322 2.814 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC2(CC2)C1 ZINC000858557639 636291256 /nfs/dbraw/zinc/29/12/56/636291256.db2.gz UPMRKEUUFQPGPL-UHFFFAOYSA-N 0 0 255.277 2.743 20 5 CFBDRN COCOCCCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000858606267 636306581 /nfs/dbraw/zinc/30/65/81/636306581.db2.gz QBYAMVVHCPXGJJ-UHFFFAOYSA-N 0 0 291.307 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](c3ccncc3)C2)cc1 ZINC000858607881 636307652 /nfs/dbraw/zinc/30/76/52/636307652.db2.gz JENKZGSTVLBJAU-CYBMUJFWSA-N 0 0 269.304 2.984 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3ccncc3)C2)n1 ZINC000858607669 636307918 /nfs/dbraw/zinc/30/79/18/636307918.db2.gz HGZXEPBUHOLUML-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN CCn1ncc(CNc2cc(Cl)c(F)cc2[N+](=O)[O-])n1 ZINC000858652729 636316773 /nfs/dbraw/zinc/31/67/73/636316773.db2.gz YAVTXNDXXOHIMK-UHFFFAOYSA-N 0 0 299.693 2.611 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000858689561 636325629 /nfs/dbraw/zinc/32/56/29/636325629.db2.gz GYJVJXSGNVIGIV-VIFPVBQESA-N 0 0 296.710 2.922 20 5 CFBDRN C[C@H](Cc1nc(CCC(C)(C)[N+](=O)[O-])no1)C(F)(F)F ZINC000858773914 636342690 /nfs/dbraw/zinc/34/26/90/636342690.db2.gz SRQUQENQGQZKTF-SSDOTTSWSA-N 0 0 295.261 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3CC[C@@H](O)[C@@H]32)c(Cl)c1 ZINC000858845583 636356124 /nfs/dbraw/zinc/35/61/24/636356124.db2.gz NFKAVJYSYMQZCM-ZEGGKSINSA-N 0 0 282.727 2.598 20 5 CFBDRN Cc1ccc(F)c2c1N(Cn1nccc1[N+](=O)[O-])CCC2 ZINC000159149452 650249590 /nfs/dbraw/zinc/24/95/90/650249590.db2.gz PAYNFYURIMOLCM-UHFFFAOYSA-N 0 0 290.298 2.649 20 5 CFBDRN CN(CCNc1cc(Cl)ccc1[N+](=O)[O-])CC(F)F ZINC000858862523 636363801 /nfs/dbraw/zinc/36/38/01/636363801.db2.gz JJHTVJVVIRMCDG-UHFFFAOYSA-N 0 0 293.701 2.857 20 5 CFBDRN CC(C)CCOC(=O)Oc1cccnc1[N+](=O)[O-] ZINC000858877313 636367476 /nfs/dbraw/zinc/36/74/76/636367476.db2.gz LLVVSAGWCZKPBN-UHFFFAOYSA-N 0 0 254.242 2.551 20 5 CFBDRN CCCOCCOC(=O)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000858876906 636367843 /nfs/dbraw/zinc/36/78/43/636367843.db2.gz UVOVACVEICUXRQ-UHFFFAOYSA-N 0 0 287.243 2.676 20 5 CFBDRN CCCOCCOC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000858894057 636374210 /nfs/dbraw/zinc/37/42/10/636374210.db2.gz BKPWTJQQSRCLKH-UHFFFAOYSA-N 0 0 296.323 2.590 20 5 CFBDRN CC(C)CCOC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000858968884 636398516 /nfs/dbraw/zinc/39/85/16/636398516.db2.gz YVAVHUICVSXWKM-UHFFFAOYSA-N 0 0 296.323 2.746 20 5 CFBDRN CCCOC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000859096978 636453331 /nfs/dbraw/zinc/45/33/31/636453331.db2.gz VMXXSXXDLBWCIA-VIFPVBQESA-N 0 0 252.270 2.792 20 5 CFBDRN COCCC1CCN(Cc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000859398517 636557465 /nfs/dbraw/zinc/55/74/65/636557465.db2.gz JCTBOCLNUHEIOY-UHFFFAOYSA-N 0 0 296.342 2.982 20 5 CFBDRN CC(C)CC(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859450358 636568328 /nfs/dbraw/zinc/56/83/28/636568328.db2.gz OZRQDPFIQLSCMP-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN CCC/C=C\C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859506268 636583868 /nfs/dbraw/zinc/58/38/68/636583868.db2.gz ILBKKXCNZFKMKS-PLNGDYQASA-N 0 0 295.266 2.816 20 5 CFBDRN CCCCCCNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000049247274 650290920 /nfs/dbraw/zinc/29/09/20/650290920.db2.gz LZAFXZRGOIZDSA-UHFFFAOYSA-N 0 0 279.340 2.974 20 5 CFBDRN CCO[C@@H](C(=O)Oc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000860479390 636893300 /nfs/dbraw/zinc/89/33/00/636893300.db2.gz NNIKNHKLMCRJTJ-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H](O)c1cccc(F)c1 ZINC000049281651 650291986 /nfs/dbraw/zinc/29/19/86/650291986.db2.gz HBJOWZFKMKVAKF-AWEZNQCLSA-N 0 0 276.267 2.879 20 5 CFBDRN CCc1nocc1C(=O)Oc1ccc(CC)cc1[N+](=O)[O-] ZINC000860552522 636908931 /nfs/dbraw/zinc/90/89/31/636908931.db2.gz QIBRMYYGQDSNSK-UHFFFAOYSA-N 0 0 290.275 2.927 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000860627892 636932335 /nfs/dbraw/zinc/93/23/35/636932335.db2.gz KSOQNLHSJWRHIP-RMIALFOJSA-N 0 0 293.319 2.868 20 5 CFBDRN CCc1oc2ccccc2c1CC(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000860788240 636971984 /nfs/dbraw/zinc/97/19/84/636971984.db2.gz RFXSJQVLSWCFMH-JTQLQIEISA-N 0 0 291.303 2.746 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CC[C@H]1c1ccc(F)cc1 ZINC000860786614 636972318 /nfs/dbraw/zinc/97/23/18/636972318.db2.gz FSXJYLXESUOHTG-JIMOISOXSA-N 0 0 281.283 2.528 20 5 CFBDRN C[C@@H]([C@H](OC(=O)C12CC(C1)C2)c1ccccc1F)[N+](=O)[O-] ZINC000860854912 636991327 /nfs/dbraw/zinc/99/13/27/636991327.db2.gz LUWQLBZDLPETEQ-TXZZNMSBSA-N 0 0 293.294 2.875 20 5 CFBDRN CC(C)(COC(=O)Cc1ccc(F)c(Cl)c1)[N+](=O)[O-] ZINC000860866387 636995198 /nfs/dbraw/zinc/99/51/98/636995198.db2.gz HMJXMSCMMBLDIX-UHFFFAOYSA-N 0 0 289.690 2.620 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(c2cccc(Cl)c2)CCC1 ZINC000860913421 637015283 /nfs/dbraw/zinc/01/52/83/637015283.db2.gz AQGKKNSQIQIETQ-UHFFFAOYSA-N 0 0 297.738 2.972 20 5 CFBDRN C[C@@H](CSCc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860917247 637016099 /nfs/dbraw/zinc/01/60/99/637016099.db2.gz IIXUDCPPBKINDV-LBPRGKRZSA-N 0 0 297.376 2.766 20 5 CFBDRN C[C@@H](CCc1ccccc1F)C(=O)OCCC[N+](=O)[O-] ZINC000860917485 637016363 /nfs/dbraw/zinc/01/63/63/637016363.db2.gz MOWFTZNNGKSICE-NSHDSACASA-N 0 0 283.299 2.604 20 5 CFBDRN C/C(=C/C(=O)OCCC[N+](=O)[O-])c1ccccc1Cl ZINC000860915505 637016422 /nfs/dbraw/zinc/01/64/22/637016422.db2.gz GVCQNBVTCXLWFB-KTKRTIGZSA-N 0 0 283.711 2.953 20 5 CFBDRN O=C(Cc1c[nH]c2cc(Cl)ccc12)OCCC[N+](=O)[O-] ZINC000860916466 637016466 /nfs/dbraw/zinc/01/64/66/637016466.db2.gz UPDHAEBZNUCRAT-UHFFFAOYSA-N 0 0 296.710 2.574 20 5 CFBDRN COc1ccc2ccc(C(=O)OCCC[N+](=O)[O-])cc2c1 ZINC000860918837 637017182 /nfs/dbraw/zinc/01/71/82/637017182.db2.gz PQFZZWQOFGIOEK-UHFFFAOYSA-N 0 0 289.287 2.672 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1scnc1C1CCCC1 ZINC000860921437 637018075 /nfs/dbraw/zinc/01/80/75/637018075.db2.gz ROHXGCGDWMSWEO-UHFFFAOYSA-N 0 0 284.337 2.624 20 5 CFBDRN C[C@@H](CC(=O)OCCC[N+](=O)[O-])c1c[nH]c2ccccc21 ZINC000860921539 637018256 /nfs/dbraw/zinc/01/82/56/637018256.db2.gz VWRVVBGBWSLZKC-NSHDSACASA-N 0 0 290.319 2.872 20 5 CFBDRN CC(C)(CCC(=O)OCCCc1ccco1)[N+](=O)[O-] ZINC000861019191 637045385 /nfs/dbraw/zinc/04/53/85/637045385.db2.gz UFNRJWVAZZONFN-UHFFFAOYSA-N 0 0 269.297 2.591 20 5 CFBDRN CCc1ccc(C(=O)OCC(C)(F)F)cc1[N+](=O)[O-] ZINC000861224465 637122827 /nfs/dbraw/zinc/12/28/27/637122827.db2.gz SMMVPVCHNWEBLH-UHFFFAOYSA-N 0 0 273.235 2.969 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC(C)(F)F ZINC000861225561 637123607 /nfs/dbraw/zinc/12/36/07/637123607.db2.gz BRGIHPFZGKPJTK-UHFFFAOYSA-N 0 0 259.208 2.715 20 5 CFBDRN CC(F)(F)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000861229020 637125458 /nfs/dbraw/zinc/12/54/58/637125458.db2.gz CICLZADVNFQNLM-DAXSKMNVSA-N 0 0 271.219 2.806 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000861302325 637156280 /nfs/dbraw/zinc/15/62/80/637156280.db2.gz GIFWYNOYTVGMMR-JOCQHMNTSA-N 0 0 293.319 2.593 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CCC[C@@H]2C[C@@H]21 ZINC000861664462 637225196 /nfs/dbraw/zinc/22/51/96/637225196.db2.gz BSCPPTOYWIHIMR-KCJUWKMLSA-N 0 0 280.711 2.873 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1cnn(C)c1 ZINC000118604924 637251300 /nfs/dbraw/zinc/25/13/00/637251300.db2.gz FJYDCGBVCJJBJQ-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN CC(=O)CCCCCCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000862072203 637269356 /nfs/dbraw/zinc/26/93/56/637269356.db2.gz YINMQMRFCZBRLC-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN CCCn1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)cn1 ZINC000075504875 650333906 /nfs/dbraw/zinc/33/39/06/650333906.db2.gz XQVBDZWMEVJXLP-UHFFFAOYSA-N 0 0 289.295 2.845 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc2ccccc21)N1C[C@@H]2C[C@@H]2C1 ZINC000862559596 637427005 /nfs/dbraw/zinc/42/70/05/637427005.db2.gz WOZNKXIMRITQIL-TXEJJXNPSA-N 0 0 282.299 2.840 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC(c3ccccc3)CC2)c1 ZINC000075581654 650337588 /nfs/dbraw/zinc/33/75/88/650337588.db2.gz IUZCLEFDLQWPKH-UHFFFAOYSA-N 0 0 286.335 2.628 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000862863628 637513012 /nfs/dbraw/zinc/51/30/12/637513012.db2.gz NNKKYUHDEHDLDO-MFKMUULPSA-N 0 0 298.726 2.546 20 5 CFBDRN C/C=C\CNC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000863112372 637559731 /nfs/dbraw/zinc/55/97/31/637559731.db2.gz DKUVASWQBUNQQR-ARJAWSKDSA-N 0 0 268.700 2.863 20 5 CFBDRN Cc1c(OCc2cc(Cl)n(C)n2)cccc1[N+](=O)[O-] ZINC000863293591 637619806 /nfs/dbraw/zinc/61/98/06/637619806.db2.gz UQUAEANBMHQSFM-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1nc(COc2ccc([N+](=O)[O-])c(F)c2)c(C)o1 ZINC000863298439 637620184 /nfs/dbraw/zinc/62/01/84/637620184.db2.gz XOXRLOBZBRLNQY-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CCCOCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000863349033 637634550 /nfs/dbraw/zinc/63/45/50/637634550.db2.gz XUANGSCDCDRXJM-UHFFFAOYSA-N 0 0 283.177 2.914 20 5 CFBDRN CC(=O)CCSCCOc1ccc([N+](=O)[O-])cc1 ZINC000863352850 637638064 /nfs/dbraw/zinc/63/80/64/637638064.db2.gz OBBRTZHUWBEONH-UHFFFAOYSA-N 0 0 269.322 2.686 20 5 CFBDRN COC1CC(Oc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000863456302 637655439 /nfs/dbraw/zinc/65/54/39/637655439.db2.gz OEDDRYHLKYAVSP-UHFFFAOYSA-N 0 0 257.673 2.804 20 5 CFBDRN O=C(OCCOc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000014776986 637767749 /nfs/dbraw/zinc/76/77/49/637767749.db2.gz KNMVAMCPIQJCCY-UHFFFAOYSA-N 0 0 287.271 2.831 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Br)c1 ZINC000119636417 637771593 /nfs/dbraw/zinc/77/15/93/637771593.db2.gz AOPIUOCECABYPY-HTRCEHHLSA-N 0 0 299.124 2.952 20 5 CFBDRN CC(C)(CCC(=O)NC[C@@H]1CCCC12CC2)[N+](=O)[O-] ZINC000863774673 637772438 /nfs/dbraw/zinc/77/24/38/637772438.db2.gz CTAUAUHBYCRLIC-NSHDSACASA-N 0 0 268.357 2.518 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)s1 ZINC000864237715 637920409 /nfs/dbraw/zinc/92/04/09/637920409.db2.gz GHIZFOCOLIWFAE-UHFFFAOYSA-N 0 0 277.305 2.799 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865181829 638221468 /nfs/dbraw/zinc/22/14/68/638221468.db2.gz ZUXKJCZVACLWIE-CABZTGNLSA-N 0 0 280.299 2.581 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865181827 638221908 /nfs/dbraw/zinc/22/19/08/638221908.db2.gz ZUXKJCZVACLWIE-BXKDBHETSA-N 0 0 280.299 2.581 20 5 CFBDRN Cc1ccc(CSCC[C@@H](C)O)cc1[N+](=O)[O-] ZINC000865283269 638256168 /nfs/dbraw/zinc/25/61/68/638256168.db2.gz RGCYOHVKSQCAHQ-SNVBAGLBSA-N 0 0 255.339 2.907 20 5 CFBDRN Cc1cc(=O)n(CCCSC(C)(C)C)cc1[N+](=O)[O-] ZINC000865359177 638282842 /nfs/dbraw/zinc/28/28/42/638282842.db2.gz GRJVUWOMPDYUFN-UHFFFAOYSA-N 0 0 284.381 2.987 20 5 CFBDRN CC1(C)CC[C@@H](COc2ccc([N+](=O)[O-])c(CO)c2)OC1 ZINC000865365150 638285773 /nfs/dbraw/zinc/28/57/73/638285773.db2.gz WJBWSTOYBGJQPC-ZDUSSCGKSA-N 0 0 295.335 2.671 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(O[C@@H](C)C(=O)C(C)(C)C)n1 ZINC000865364792 638286230 /nfs/dbraw/zinc/28/62/30/638286230.db2.gz UWNDWZHBJCSSHH-JTQLQIEISA-N 0 0 280.324 2.989 20 5 CFBDRN COc1cc(O[C@@H](C)C(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000865367312 638287795 /nfs/dbraw/zinc/28/77/95/638287795.db2.gz UAIHALGVBHKWJT-VIFPVBQESA-N 0 0 281.308 2.986 20 5 CFBDRN C[C@@H](O)CNc1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000865402033 638310863 /nfs/dbraw/zinc/31/08/63/638310863.db2.gz QCWKNXJYQWDUEO-RXMQYKEDSA-N 0 0 265.096 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C=C[C@H](CO)C2)c(C(F)F)c1 ZINC000865581192 638437910 /nfs/dbraw/zinc/43/79/10/638437910.db2.gz QOIBNBYSFLCBIW-DTWKUNHWSA-N 0 0 284.262 2.881 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CC3(C2)CCCC3)cc1F ZINC000865688360 638512116 /nfs/dbraw/zinc/51/21/16/638512116.db2.gz AUNPIBJGITVSPL-UHFFFAOYSA-N 0 0 280.299 2.607 20 5 CFBDRN CSC1(CNc2cc(F)c([N+](=O)[O-])cc2CO)CC1 ZINC000865734575 638543894 /nfs/dbraw/zinc/54/38/94/638543894.db2.gz FMAHYYUBANWUJF-UHFFFAOYSA-N 0 0 286.328 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1N[C@H]1[C@@H]2COC[C@@H]21 ZINC000865748886 638551601 /nfs/dbraw/zinc/55/16/01/638551601.db2.gz HSEMTAGNVWOFSH-KJXVDCTPSA-N 0 0 289.118 2.958 20 5 CFBDRN Cn1cc(C(F)F)c(CNCc2ccc([N+](=O)[O-])cc2)n1 ZINC000865810475 638587636 /nfs/dbraw/zinc/58/76/36/638587636.db2.gz OGDWREGUQFILRN-UHFFFAOYSA-N 0 0 296.277 2.556 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@H]2CCC[C@@H]3C[C@@H]32)cc1F ZINC000865872750 638631832 /nfs/dbraw/zinc/63/18/32/638631832.db2.gz UFOASIXNYBMQDG-QRTLGDNMSA-N 0 0 280.299 2.827 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)CS1 ZINC000865876366 638637465 /nfs/dbraw/zinc/63/74/65/638637465.db2.gz KYWYSCNZNZXNEL-VXNVDRBHSA-N 0 0 286.328 2.532 20 5 CFBDRN COCCCCC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000120820891 638669858 /nfs/dbraw/zinc/66/98/58/638669858.db2.gz SFUUQXRWOUIPFE-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCC[C@@H](CCO)Nc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000866003771 638694907 /nfs/dbraw/zinc/69/49/07/638694907.db2.gz VYRIBRFVUXUUSJ-NSHDSACASA-N 0 0 280.324 2.760 20 5 CFBDRN O=C(NCC[C@H]1CCSC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000866027847 638703079 /nfs/dbraw/zinc/70/30/79/638703079.db2.gz SSGVSDJTCJPZLP-JTQLQIEISA-N 0 0 295.364 2.860 20 5 CFBDRN O=C(NCC[C@H]1CCSC1)Nc1cccc([N+](=O)[O-])c1 ZINC000866027778 638703563 /nfs/dbraw/zinc/70/35/63/638703563.db2.gz RAKJELSQRUTDDE-JTQLQIEISA-N 0 0 295.364 2.860 20 5 CFBDRN Cc1cc(N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)ccc1[N+](=O)[O-] ZINC000866046090 638710950 /nfs/dbraw/zinc/71/09/50/638710950.db2.gz QQBJICWMWXFSQY-HHVGHTAVSA-N 0 0 274.320 2.739 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCCC[C@@H]1F ZINC000866045989 638711158 /nfs/dbraw/zinc/71/11/58/638711158.db2.gz QODOQIRXNINKBZ-VHSXEESVSA-N 0 0 270.308 2.812 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2[C@@H](O)c2ccccc2)cn1 ZINC000866051654 638713821 /nfs/dbraw/zinc/71/38/21/638713821.db2.gz MWEGNOIYQKHUJT-HOCLYGCPSA-N 0 0 299.330 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@@H](O)c2ccccc2)nc1 ZINC000866051280 638713822 /nfs/dbraw/zinc/71/38/22/638713822.db2.gz JXIRZDWLBWTAOU-ZBFHGGJFSA-N 0 0 299.330 2.692 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000866070294 638722731 /nfs/dbraw/zinc/72/27/31/638722731.db2.gz ALAGZUVZRNIZEM-KOLCDFICSA-N 0 0 295.295 2.507 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000866072067 638723231 /nfs/dbraw/zinc/72/32/31/638723231.db2.gz SGLMJVHGSBAAFB-WPRPVWTQSA-N 0 0 285.731 2.647 20 5 CFBDRN COc1cc(N2C[C@@H](OC)CC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000866071734 638723394 /nfs/dbraw/zinc/72/33/94/638723394.db2.gz QNAYTOXSAOAJIB-UWVGGRQHSA-N 0 0 298.314 2.746 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000866083102 638728840 /nfs/dbraw/zinc/72/88/40/638728840.db2.gz KYXFYHHZORXQFA-GWCFXTLKSA-N 0 0 293.323 2.626 20 5 CFBDRN CS[C@H]1C[C@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000866102198 638738888 /nfs/dbraw/zinc/73/88/88/638738888.db2.gz HXKGMPSXRCMUKU-ZKCHVHJHSA-N 0 0 283.309 2.809 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H](C2CCC2)[C@@H]2CCCO2)c1 ZINC000866109025 638742178 /nfs/dbraw/zinc/74/21/78/638742178.db2.gz YRJZBHKSYIVHID-GJZGRUSLSA-N 0 0 291.351 2.937 20 5 CFBDRN C[C@]1(C(F)(F)F)CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000866158380 638760443 /nfs/dbraw/zinc/76/04/43/638760443.db2.gz RXPNCLYBKQWMTL-SECBINFHSA-N 0 0 296.270 2.814 20 5 CFBDRN Cc1cc(C)nc(NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000866182233 638764830 /nfs/dbraw/zinc/76/48/30/638764830.db2.gz VNMMOAISEOOZMO-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2C[C@H]2C2CCOCC2)c(F)c1 ZINC000866180595 638764920 /nfs/dbraw/zinc/76/49/20/638764920.db2.gz SLJUFLOUSKUYPJ-JQWIXIFHSA-N 0 0 295.314 2.604 20 5 CFBDRN Cn1cc(C(F)F)c(CNc2sccc2[N+](=O)[O-])n1 ZINC000866192959 638766601 /nfs/dbraw/zinc/76/66/01/638766601.db2.gz IPOOJTGYRBNBGR-UHFFFAOYSA-N 0 0 288.279 2.940 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1ccc(C(C)(C)C)o1 ZINC000866196561 638768095 /nfs/dbraw/zinc/76/80/95/638768095.db2.gz CKMNSDPFMMKELF-UHFFFAOYSA-N 0 0 278.312 2.831 20 5 CFBDRN CC(C)(C)c1ccc(CNc2ncc([N+](=O)[O-])cn2)o1 ZINC000866197367 638768110 /nfs/dbraw/zinc/76/81/10/638768110.db2.gz SOFPSPYHXHNTDG-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@H]2CSC[C@@H]2C1 ZINC000866202021 638769143 /nfs/dbraw/zinc/76/91/43/638769143.db2.gz RBGCYQXEHNXYHC-IUCAKERBSA-N 0 0 299.783 2.833 20 5 CFBDRN C[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)c1ccnn1C ZINC000866250981 638780172 /nfs/dbraw/zinc/78/01/72/638780172.db2.gz HJZQLVXACPFTQM-ZETCQYMHSA-N 0 0 282.250 2.780 20 5 CFBDRN C[C@@H]1CCC[C@H](C2CN(c3c([N+](=O)[O-])ncn3C)C2)C1 ZINC000866254547 638781780 /nfs/dbraw/zinc/78/17/80/638781780.db2.gz QZWAFVYIKXXGJJ-MNOVXSKESA-N 0 0 278.356 2.591 20 5 CFBDRN CC(C)(C)OC1CN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000866279129 638788092 /nfs/dbraw/zinc/78/80/92/638788092.db2.gz JRLIIJLEICXERZ-UHFFFAOYSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1c(OC)cccc1[N+](=O)[O-] ZINC000866301643 638792078 /nfs/dbraw/zinc/79/20/78/638792078.db2.gz QAXFURYTJDMHSX-UWVGGRQHSA-N 0 0 268.313 2.565 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1cc(C)sc1[N+](=O)[O-] ZINC000866301602 638792090 /nfs/dbraw/zinc/79/20/90/638792090.db2.gz PJILKXRVIOVSGP-CBAPKCEASA-N 0 0 258.343 2.926 20 5 CFBDRN COc1cc(NCC[C@@H](O)C2CCCC2)ccc1[N+](=O)[O-] ZINC000866304601 638793332 /nfs/dbraw/zinc/79/33/32/638793332.db2.gz ZECVEFFBCLASNO-CQSZACIVSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1ccc(CN[C@@H](C)c2nccnc2C)cc1[N+](=O)[O-] ZINC000866540220 638838921 /nfs/dbraw/zinc/83/89/21/638838921.db2.gz LFMHEODQMPUDLI-LBPRGKRZSA-N 0 0 286.335 2.852 20 5 CFBDRN COC[C@H](C)OC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000866618379 638855983 /nfs/dbraw/zinc/85/59/83/638855983.db2.gz ZOBNQLKBZHFWKO-ZETCQYMHSA-N 0 0 289.671 2.799 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](O)c2ccc(F)cc2)n1 ZINC000121175992 638865576 /nfs/dbraw/zinc/86/55/76/638865576.db2.gz MMSCHHKZRQQXLW-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867647915 638888960 /nfs/dbraw/zinc/88/89/60/638888960.db2.gz VIKIYVIZWSCCHW-MWLCHTKSSA-N 0 0 280.299 2.581 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)OCC1CCCCC1 ZINC000016814305 638902000 /nfs/dbraw/zinc/90/20/00/638902000.db2.gz UEUCBUQMRBAQLS-UHFFFAOYSA-N 0 0 278.308 2.914 20 5 CFBDRN CC/C=C(/F)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000868111820 638923730 /nfs/dbraw/zinc/92/37/30/638923730.db2.gz BOQBIFVHOBSBJB-WLRTZDKTSA-N 0 0 280.299 2.859 20 5 CFBDRN Cc1ccc(CNC(=O)NCC2(C)CCC2)cc1[N+](=O)[O-] ZINC000868345542 638939515 /nfs/dbraw/zinc/93/95/15/638939515.db2.gz KOEQIFNSZLQQAL-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN Cc1ccc(CNC(=O)NC2CC(C)C2)cc1[N+](=O)[O-] ZINC000868378201 638941171 /nfs/dbraw/zinc/94/11/71/638941171.db2.gz ZBWZZPCRWAWORZ-UHFFFAOYSA-N 0 0 277.324 2.501 20 5 CFBDRN Cc1c(CC(=O)OCc2cncs2)cccc1[N+](=O)[O-] ZINC000122239149 638962598 /nfs/dbraw/zinc/96/25/98/638962598.db2.gz ZDEKDKXFKMKFEK-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000869383207 639204763 /nfs/dbraw/zinc/20/47/63/639204763.db2.gz IZZDFBYXQZMZNK-JTQLQIEISA-N 0 0 297.282 2.706 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H](O)c2ccccc2)c(Cl)c1 ZINC000122566737 639217916 /nfs/dbraw/zinc/21/79/16/639217916.db2.gz VMEIQFIGCBSIMX-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN C[C@@H]1OCC[C@H]1COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000869456466 639239379 /nfs/dbraw/zinc/23/93/79/639239379.db2.gz GPKGUJQQPCYXTE-WPRPVWTQSA-N 0 0 299.710 2.830 20 5 CFBDRN CO[C@H]1CCC[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000078232341 650482867 /nfs/dbraw/zinc/48/28/67/650482867.db2.gz PFLVYENIESDXIP-WDEREUQCSA-N 0 0 279.292 2.709 20 5 CFBDRN O=C(NCC[C@@H]1CCSC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000869603632 639318281 /nfs/dbraw/zinc/31/82/81/639318281.db2.gz CRPYSVMCPVXHTC-SECBINFHSA-N 0 0 298.339 2.607 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@@H]2CCSC2)c1 ZINC000869605351 639319078 /nfs/dbraw/zinc/31/90/78/639319078.db2.gz KQJDEORYZTUSAQ-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN O=C(NCC[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1F ZINC000869611316 639322090 /nfs/dbraw/zinc/32/20/90/639322090.db2.gz VQEIRCLACCKUGK-SECBINFHSA-N 0 0 298.339 2.607 20 5 CFBDRN C/C(=C/C(=O)NCC(C)(C)F)c1ccc([N+](=O)[O-])cc1 ZINC000869623413 639327882 /nfs/dbraw/zinc/32/78/82/639327882.db2.gz GAHFBUOOLGELTQ-NTMALXAHSA-N 0 0 280.299 2.862 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)[C@@H](C)O1 ZINC000869651979 639340624 /nfs/dbraw/zinc/34/06/24/639340624.db2.gz RGSFZUXBPSYTAE-KKZNHRDASA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000869653465 639342027 /nfs/dbraw/zinc/34/20/27/639342027.db2.gz KSHNJDRQJSMRKG-LNLATYFQSA-N 0 0 297.282 2.591 20 5 CFBDRN O=C(OCC(F)F)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869810484 639416648 /nfs/dbraw/zinc/41/66/48/639416648.db2.gz HWRBADPHDGTCCD-UHFFFAOYSA-N 0 0 285.246 2.825 20 5 CFBDRN O=C(COC(=O)c1c(F)cccc1[N+](=O)[O-])C1CCCC1 ZINC000869897369 639459210 /nfs/dbraw/zinc/45/92/10/639459210.db2.gz RNLNGQKPDZKZHZ-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000870159960 639530556 /nfs/dbraw/zinc/53/05/56/639530556.db2.gz HIBCIQREKRVMEE-DVVUODLYSA-N 0 0 279.292 2.622 20 5 CFBDRN C[C@H](COC(=O)CCc1cccc2ccccc21)[N+](=O)[O-] ZINC000870376307 639615198 /nfs/dbraw/zinc/61/51/98/639615198.db2.gz GEKMCYWGLDKDBH-GFCCVEGCSA-N 0 0 287.315 2.981 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc(Cl)cc(Cl)c1F ZINC000870387412 639619738 /nfs/dbraw/zinc/61/97/38/639619738.db2.gz MVRAWFWLRAGTPA-RXMQYKEDSA-N 0 0 296.081 2.955 20 5 CFBDRN CCC(CC)NC(=O)[C@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000078598202 650517810 /nfs/dbraw/zinc/51/78/10/650517810.db2.gz HHFFEVTZTZJAHZ-VIFPVBQESA-N 0 0 298.314 2.806 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000870578085 639731731 /nfs/dbraw/zinc/73/17/31/639731731.db2.gz FPLDKTVRGCNRSH-PHIMTYICSA-N 0 0 285.296 2.785 20 5 CFBDRN CSCC[C@@H](C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078665323 650522164 /nfs/dbraw/zinc/52/21/64/650522164.db2.gz VXNJUBCDGGAHSW-SECBINFHSA-N 0 0 255.343 2.852 20 5 CFBDRN CCOc1cccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000870680146 639767049 /nfs/dbraw/zinc/76/70/49/639767049.db2.gz XNMAOPQFDCWLBY-UHFFFAOYSA-N 0 0 281.308 2.826 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@H]1CCCOC1 ZINC000870699934 639778104 /nfs/dbraw/zinc/77/81/04/639778104.db2.gz NSTCLSIXAYJWAX-GFCCVEGCSA-N 0 0 293.319 2.681 20 5 CFBDRN CO[C@H](C)CC(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700501 639778139 /nfs/dbraw/zinc/77/81/39/639778139.db2.gz WYAFVYMHAJEVLO-SNVBAGLBSA-N 0 0 281.308 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@]1(C)CCOC1 ZINC000870702051 639778997 /nfs/dbraw/zinc/77/89/97/639778997.db2.gz ZNKIYUWCOCWNNO-HNNXBMFYSA-N 0 0 293.319 2.681 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000870705429 639781199 /nfs/dbraw/zinc/78/11/99/639781199.db2.gz LXDYSBWDAUTSLY-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000870716686 639787227 /nfs/dbraw/zinc/78/72/27/639787227.db2.gz WPUKJAPQXXJQGO-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@H]1CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000078781275 650532222 /nfs/dbraw/zinc/53/22/22/650532222.db2.gz SDSMXAFCAQEIPF-QWHCGFSZSA-N 0 0 279.292 2.709 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2N)CC1 ZINC000079404390 650588961 /nfs/dbraw/zinc/58/89/61/650588961.db2.gz NDKUJMLQFFEGHR-LLVKDONJSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1cc(C)c(O)c(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000870813797 641085138 /nfs/dbraw/zinc/08/51/38/641085138.db2.gz UKQJMWNOFAIURK-UHFFFAOYSA-N 0 0 280.324 2.783 20 5 CFBDRN CCCCCC(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870826891 641089988 /nfs/dbraw/zinc/08/99/88/641089988.db2.gz PXBQYRMPWYWEIU-UHFFFAOYSA-N 0 0 281.312 2.618 20 5 CFBDRN CCCC1(C(=O)OC2CC(n3cc([N+](=O)[O-])cn3)C2)CC1 ZINC000870827591 641090227 /nfs/dbraw/zinc/09/02/27/641090227.db2.gz BJFRDUBCVFZSRE-UHFFFAOYSA-N 0 0 293.323 2.618 20 5 CFBDRN CCOC(=O)/C=C/COc1cc(C)c([N+](=O)[O-])cc1F ZINC000123363146 641103343 /nfs/dbraw/zinc/10/33/43/641103343.db2.gz IYPJTDWSSJTQMA-SNAWJCMRSA-N 0 0 283.255 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CSc1ncccn1 ZINC000193216014 650634362 /nfs/dbraw/zinc/63/43/62/650634362.db2.gz ZEJQDOCXHLMWLG-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC[C@H]1CCCS1 ZINC000871031939 641163811 /nfs/dbraw/zinc/16/38/11/641163811.db2.gz GPBKWNABNNFGDA-CYBMUJFWSA-N 0 0 294.376 2.539 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H]3CC=CC[C@@H]3C2)cc([N+](=O)[O-])c1 ZINC000871518053 641337982 /nfs/dbraw/zinc/33/79/82/641337982.db2.gz NABSTKSXSZEPQU-BETUJISGSA-N 0 0 286.331 2.941 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1 ZINC000871630660 641374723 /nfs/dbraw/zinc/37/47/23/641374723.db2.gz NELFDARKIQPNRW-BPNCWPANSA-N 0 0 263.293 2.931 20 5 CFBDRN COc1cc(OC[C@@H]2C[C@@H]3CCC[C@H]3O2)ccc1[N+](=O)[O-] ZINC000871667830 641391992 /nfs/dbraw/zinc/39/19/92/641391992.db2.gz DPCISUKQLFGJMH-VHRBIJSZSA-N 0 0 293.319 2.940 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1C ZINC000871675566 641397417 /nfs/dbraw/zinc/39/74/17/641397417.db2.gz HNECYJGIXFMZNL-DYEKYZERSA-N 0 0 292.335 2.943 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCCc1cn[nH]c1 ZINC000871710384 641417856 /nfs/dbraw/zinc/41/78/56/641417856.db2.gz QRYYFJRJCFVECW-UHFFFAOYSA-N 0 0 293.348 2.802 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSC[C@@H]1CCOC1 ZINC000871710625 641417969 /nfs/dbraw/zinc/41/79/69/641417969.db2.gz VTQOEOXSXJLOIJ-SNVBAGLBSA-N 0 0 283.349 2.873 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1nocc1C ZINC000871839689 641476056 /nfs/dbraw/zinc/47/60/56/641476056.db2.gz YRGOTAKHVPBDED-UHFFFAOYSA-N 0 0 262.269 2.545 20 5 CFBDRN CC[C@H](CCC(=O)[O-])[NH2+]Cc1c(C)ccc([N+](=O)[O-])c1C ZINC000871874631 641493162 /nfs/dbraw/zinc/49/31/62/641493162.db2.gz TYLLBWXVCXOXCD-GFCCVEGCSA-N 0 0 294.351 2.945 20 5 CFBDRN CC(C)(C)c1cn(C[C@@H](O)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000871989553 641543821 /nfs/dbraw/zinc/54/38/21/641543821.db2.gz ONPXCXBMDRZVNA-CYBMUJFWSA-N 0 0 289.335 2.822 20 5 CFBDRN CCn1cc(CN[C@H](C)c2c(F)cccc2[N+](=O)[O-])cn1 ZINC000872045294 641580545 /nfs/dbraw/zinc/58/05/45/641580545.db2.gz KVCHRLNDWMZREG-SNVBAGLBSA-N 0 0 292.314 2.801 20 5 CFBDRN C[C@@H](N[C@@H](C)c1c(F)cccc1[N+](=O)[O-])c1cn[nH]c1 ZINC000872050978 641584748 /nfs/dbraw/zinc/58/47/48/641584748.db2.gz GQIQTVICQGVGBU-BDAKNGLRSA-N 0 0 278.287 2.869 20 5 CFBDRN Cc1c(N[C@@H](C)c2c(F)cccc2[N+](=O)[O-])cnn1C ZINC000872057787 641590622 /nfs/dbraw/zinc/59/06/22/641590622.db2.gz IGXRMMCTTPSYBS-QMMMGPOBSA-N 0 0 278.287 2.949 20 5 CFBDRN COCC1(N[C@H](C)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000872057074 641590871 /nfs/dbraw/zinc/59/08/71/641590871.db2.gz CFABHWWMZPKNLH-SECBINFHSA-N 0 0 268.288 2.564 20 5 CFBDRN CC(C)OCCN[C@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872062796 641594138 /nfs/dbraw/zinc/59/41/38/641594138.db2.gz QDVQOFLXENUCSB-SNVBAGLBSA-N 0 0 270.304 2.810 20 5 CFBDRN O=C(Nc1ccc(F)nc1F)c1csc([N+](=O)[O-])c1 ZINC000193687242 650685910 /nfs/dbraw/zinc/68/59/10/650685910.db2.gz JPQWXSZCQSBKRP-UHFFFAOYSA-N 0 0 285.231 2.582 20 5 CFBDRN CC(=O)C1CCN(c2ccnc3ccc([N+](=O)[O-])cc32)CC1 ZINC000872666897 641889011 /nfs/dbraw/zinc/88/90/11/641889011.db2.gz HRPPAVJZWOIEPN-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN CC1(C)CO[C@H](CNc2ccc([N+](=O)[O-])s2)C1 ZINC000872686676 641897142 /nfs/dbraw/zinc/89/71/42/641897142.db2.gz QROVCTWUFNUFRK-QMMMGPOBSA-N 0 0 256.327 2.883 20 5 CFBDRN C[C@]1(C(F)(F)F)CCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000872728957 641914143 /nfs/dbraw/zinc/91/41/43/641914143.db2.gz GSHDEJWNIRYMIB-QMMMGPOBSA-N 0 0 281.259 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C(F)(F)F)CC2)cc1 ZINC000872751412 641920585 /nfs/dbraw/zinc/92/05/85/641920585.db2.gz OBIZPEOZADKPBP-LLVKDONJSA-N 0 0 290.241 2.752 20 5 CFBDRN Cc1nccnc1[C@@H](C)Nc1ccc([N+](=O)[O-])s1 ZINC000872767916 641924860 /nfs/dbraw/zinc/92/48/60/641924860.db2.gz CJEKTSOWWNMNDO-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H](O)c1ccccc1 ZINC000872776232 641930695 /nfs/dbraw/zinc/93/06/95/641930695.db2.gz WSJFEECPTQYJGF-ZFWWWQNUSA-N 0 0 287.319 2.914 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000872982928 641976640 /nfs/dbraw/zinc/97/66/40/641976640.db2.gz PEKWMEIVVGOYBD-CYBMUJFWSA-N 0 0 289.335 2.645 20 5 CFBDRN CCOCCCCNc1cccc(F)c1[N+](=O)[O-] ZINC000194038658 650714217 /nfs/dbraw/zinc/71/42/17/650714217.db2.gz SBANALAATGDTLE-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000080852299 650711780 /nfs/dbraw/zinc/71/17/80/650711780.db2.gz LTKOLUPALAEGFJ-ZJUUUORDSA-N 0 0 298.339 2.748 20 5 CFBDRN CC[C@H](OC(=O)c1ccc(SC)c([N+](=O)[O-])c1)C(C)=O ZINC000873309420 642131394 /nfs/dbraw/zinc/13/13/94/642131394.db2.gz CZIOWYLTKYHJPF-NSHDSACASA-N 0 0 297.332 2.841 20 5 CFBDRN CC[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(C)=O ZINC000873345251 642143551 /nfs/dbraw/zinc/14/35/51/642143551.db2.gz ITGOUECGBCDMMB-NSHDSACASA-N 0 0 291.259 2.865 20 5 CFBDRN Cc1ccc(CNc2ccc3c(c2)C(=O)NC3)cc1[N+](=O)[O-] ZINC000124486077 642152727 /nfs/dbraw/zinc/15/27/27/642152727.db2.gz GHFPDBYUPMENQJ-UHFFFAOYSA-N 0 0 297.314 2.759 20 5 CFBDRN CCC[C@H](CC)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000873420845 642196719 /nfs/dbraw/zinc/19/67/19/642196719.db2.gz NKQJTNRVMBBJTL-VIFPVBQESA-N 0 0 270.285 2.761 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCCOC(C)C ZINC000873438314 642204614 /nfs/dbraw/zinc/20/46/14/642204614.db2.gz IUEDSXWIAJEYSQ-UHFFFAOYSA-N 0 0 281.308 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OC[C@H]1CCCO1 ZINC000873438424 642204777 /nfs/dbraw/zinc/20/47/77/642204777.db2.gz MDUGPMBKNIYRAH-LLVKDONJSA-N 0 0 279.292 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCCC[C@@H](C)O ZINC000873438274 642204829 /nfs/dbraw/zinc/20/48/29/642204829.db2.gz HIRLLKSSGZOUPG-SNVBAGLBSA-N 0 0 281.308 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@@H](C)C(=O)C1CC1 ZINC000873437983 642205141 /nfs/dbraw/zinc/20/51/41/642205141.db2.gz CEQMHUVEYWLDGY-JTQLQIEISA-N 0 0 291.303 2.736 20 5 CFBDRN Cc1cc(C(=O)OC2CCSCC2)cc([N+](=O)[O-])c1 ZINC000873557690 642248564 /nfs/dbraw/zinc/24/85/64/642248564.db2.gz GYIPRXWIGWANRK-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC1CCSCC1 ZINC000873559722 642250564 /nfs/dbraw/zinc/25/05/64/642250564.db2.gz WSIDKUIBQCHHJK-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC1CCSCC1 ZINC000873559957 642250582 /nfs/dbraw/zinc/25/05/82/642250582.db2.gz FTNPGLZQLYZJPK-UHFFFAOYSA-N 0 0 281.333 2.576 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCOC[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000873578720 642259450 /nfs/dbraw/zinc/25/94/50/642259450.db2.gz MGPXSUOMFYRFQD-TUAOUCFPSA-N 0 0 293.319 2.872 20 5 CFBDRN CCO[C@H]1CCC[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000873596309 642267395 /nfs/dbraw/zinc/26/73/95/642267395.db2.gz NZHQBEQQOAFSSI-RYUDHWBXSA-N 0 0 287.356 2.713 20 5 CFBDRN COc1ccc(C(=O)O[C@H](C)[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000873630586 642281009 /nfs/dbraw/zinc/28/10/09/642281009.db2.gz IIUFURUEJNEGHL-MWLCHTKSSA-N 0 0 291.303 2.949 20 5 CFBDRN CC[C@H](C)NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873721794 642288973 /nfs/dbraw/zinc/28/89/73/642288973.db2.gz IESAXNLFLGHNIX-VIFPVBQESA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCCSCC1 ZINC000874069315 642333140 /nfs/dbraw/zinc/33/31/40/642333140.db2.gz VBWOPAVBZPUWEJ-UHFFFAOYSA-N 0 0 294.376 2.791 20 5 CFBDRN C[C@@H](C1CC1)N(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000874385298 642375855 /nfs/dbraw/zinc/37/58/55/642375855.db2.gz FYENMPOWAIZXQZ-QMMMGPOBSA-N 0 0 265.269 2.738 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2cc(C)cc([N+](=O)[O-])c2)o1 ZINC000195353530 650748348 /nfs/dbraw/zinc/74/83/48/650748348.db2.gz PSEJFQSTCDJTJD-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN C[C@@H](NC(=O)/C=C\[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000874625497 642428320 /nfs/dbraw/zinc/42/83/20/642428320.db2.gz GTINRBUEHGOLQS-DSWQZHNZSA-N 0 0 290.319 2.507 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCO[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000874625441 642428350 /nfs/dbraw/zinc/42/83/50/642428350.db2.gz LCWNZRNRMRRLFK-SRVKXCTJSA-N 0 0 292.335 2.587 20 5 CFBDRN CCC1(CC)CCCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000874628916 642431077 /nfs/dbraw/zinc/43/10/77/642431077.db2.gz NWLMDWKAQXSTDK-UHFFFAOYSA-N 0 0 277.324 2.785 20 5 CFBDRN CC(C)c1nsc(NC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000874700171 642458861 /nfs/dbraw/zinc/45/88/61/642458861.db2.gz XYIPCAXJRQDGKW-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])s2)CC(F)(F)C1 ZINC000874858669 642530703 /nfs/dbraw/zinc/53/07/03/642530703.db2.gz INEJEAYJYUUSKT-UHFFFAOYSA-N 0 0 276.264 2.574 20 5 CFBDRN O=C1NC(=O)C(=Cc2cc(Cl)ccc2[N+](=O)[O-])S1 ZINC000874927927 642553940 /nfs/dbraw/zinc/55/39/40/642553940.db2.gz MYBUWAVVYYJURV-XBXARRHUSA-N 0 0 284.680 2.572 20 5 CFBDRN O=C(NC1CC=CC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000125279634 642580484 /nfs/dbraw/zinc/58/04/84/642580484.db2.gz RCTKTNFEFWIMJP-UHFFFAOYSA-N 0 0 266.684 2.697 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000875054678 642581352 /nfs/dbraw/zinc/58/13/52/642581352.db2.gz YAWRPQQDHSKUTG-PXIYARARSA-N 0 0 278.283 2.965 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000085067599 650786719 /nfs/dbraw/zinc/78/67/19/650786719.db2.gz AXUYBPKKJLWWJK-QWRGUYRKSA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1ccc(CNC(=O)N2[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000876169329 642784657 /nfs/dbraw/zinc/78/46/57/642784657.db2.gz TZSNZPPYMWSAOZ-QWRGUYRKSA-N 0 0 277.324 2.596 20 5 CFBDRN Cc1ccc(CNC(=O)N2CC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000876169065 642784684 /nfs/dbraw/zinc/78/46/84/642784684.db2.gz KFRFEHTTWPYNDO-ZDUSSCGKSA-N 0 0 289.335 2.597 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@]23C[C@@H]2CCCC3)c1 ZINC000876278880 642809073 /nfs/dbraw/zinc/80/90/73/642809073.db2.gz NXWQGUBFCNTRDQ-BONVTDFDSA-N 0 0 290.319 2.666 20 5 CFBDRN CCOCC(=O)Nc1cc([N+](=O)[O-])ccc1C(C)(C)C ZINC000876342499 642836004 /nfs/dbraw/zinc/83/60/04/642836004.db2.gz QRGUNLLZDQSRAS-UHFFFAOYSA-N 0 0 280.324 2.867 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@H+](C)C[C@H]1CCCO1 ZINC000876566153 642926518 /nfs/dbraw/zinc/92/65/18/642926518.db2.gz AEZKYBGTWDTUER-CYBMUJFWSA-N 0 0 278.352 2.822 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCc1cccnc1 ZINC000087024306 650798478 /nfs/dbraw/zinc/79/84/78/650798478.db2.gz HKGZSNKWLKRIHZ-UHFFFAOYSA-N 0 0 286.287 2.666 20 5 CFBDRN CC(C)(O)CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000087029519 650799244 /nfs/dbraw/zinc/79/92/44/650799244.db2.gz XDFSJIUMIXMDIT-UHFFFAOYSA-N 0 0 260.240 2.715 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C=C1CCC1 ZINC000087142134 650799996 /nfs/dbraw/zinc/79/99/96/650799996.db2.gz SJBDKTFMZLLLGT-JTQLQIEISA-N 0 0 272.304 2.983 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@H]1CCCCO1 ZINC000126136374 642992137 /nfs/dbraw/zinc/99/21/37/642992137.db2.gz UWDWXEWBTSTEIJ-LLVKDONJSA-N 0 0 284.699 2.756 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1NCc1cc[nH]n1 ZINC000087834282 650806318 /nfs/dbraw/zinc/80/63/18/650806318.db2.gz DOKUFNWHWKRVFH-UHFFFAOYSA-N 0 0 297.112 2.693 20 5 CFBDRN O=[N+]([O-])c1cnn(C2CC(Nc3ccc(F)cc3)C2)c1 ZINC000876819323 643024739 /nfs/dbraw/zinc/02/47/39/643024739.db2.gz GHRQLQAHNPXBOM-UHFFFAOYSA-N 0 0 276.271 2.746 20 5 CFBDRN Cc1cccc(NCc2cn(C)nc2[N+](=O)[O-])c1Cl ZINC000876872971 643044338 /nfs/dbraw/zinc/04/43/38/643044338.db2.gz SLRPVFJOASZGIJ-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN COC(=O)/C(C)=C/COc1cc([N+](=O)[O-])ccc1Cl ZINC000088629884 650815372 /nfs/dbraw/zinc/81/53/72/650815372.db2.gz GLPMWAAMPDBSEG-VMPITWQZSA-N 0 0 285.683 2.746 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000088704939 650817320 /nfs/dbraw/zinc/81/73/20/650817320.db2.gz RERHGKMSZZOWGG-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1noc(C)c1CCOc1cc(F)ccc1[N+](=O)[O-] ZINC000126462034 643233076 /nfs/dbraw/zinc/23/30/76/643233076.db2.gz DNMLVCXICRLQLW-UHFFFAOYSA-N 0 0 280.255 2.960 20 5 CFBDRN COc1cc(Cl)ccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000877415972 643264275 /nfs/dbraw/zinc/26/42/75/643264275.db2.gz FKPOCFOPCCCIJV-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN CCCCN(CCCO)c1ccc([N+](=O)[O-])cc1 ZINC000089266094 650831893 /nfs/dbraw/zinc/83/18/93/650831893.db2.gz XXHGYJYBZJXOKT-UHFFFAOYSA-N 0 0 252.314 2.584 20 5 CFBDRN Cc1nocc1CNCc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000877563821 643364308 /nfs/dbraw/zinc/36/43/08/643364308.db2.gz SALOIHVQMVKGIB-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN CC1(C)C[C@@H](O)CN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000877751582 643526535 /nfs/dbraw/zinc/52/65/35/643526535.db2.gz HGLWPIFMQPXCHY-GFCCVEGCSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1cc(COc2cc([N+](=O)[O-])ccc2C)c(C)nn1 ZINC000877887165 643624088 /nfs/dbraw/zinc/62/40/88/643624088.db2.gz DCVLRUXMNRMJTO-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(CC2CCC3(CC3)CC2)c1=O ZINC000877887579 643624152 /nfs/dbraw/zinc/62/41/52/643624152.db2.gz WSKYVYNETIGTRO-UHFFFAOYSA-N 0 0 292.335 2.736 20 5 CFBDRN CCN(Cc1cn(C)nc1[N+](=O)[O-])c1ccc2[nH]ccc2c1 ZINC000878495706 643916222 /nfs/dbraw/zinc/91/62/22/643916222.db2.gz UCEPDNNVUZJYFM-UHFFFAOYSA-N 0 0 299.334 2.836 20 5 CFBDRN CC1(C)[C@@H]2OCC[C@@H]2[C@@H]1OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000127182856 643932297 /nfs/dbraw/zinc/93/22/97/643932297.db2.gz HHUJBHKUYXPDOI-XQQFMLRXSA-N 0 0 291.303 2.565 20 5 CFBDRN Cc1ccc(CN(C)Cc2ccns2)cc1[N+](=O)[O-] ZINC000878899627 644067563 /nfs/dbraw/zinc/06/75/63/644067563.db2.gz MVHVIXMQOMNLPA-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@H](CF)C2)ccc1[N+](=O)[O-] ZINC000879124072 644151249 /nfs/dbraw/zinc/15/12/49/644151249.db2.gz QVASIDMRGGEXCJ-SNVBAGLBSA-N 0 0 281.287 2.727 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000127589321 644177502 /nfs/dbraw/zinc/17/75/02/644177502.db2.gz DBWMWVUYSXRMNG-MRVPVSSYSA-N 0 0 255.245 2.935 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(F)CC1 ZINC000879229509 644182186 /nfs/dbraw/zinc/18/21/86/644182186.db2.gz XCINYQQUJDYGGX-UHFFFAOYSA-N 0 0 281.287 2.869 20 5 CFBDRN CCON(CC)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000879229335 644182294 /nfs/dbraw/zinc/18/22/94/644182294.db2.gz UZJIGZQMBMMABK-UHFFFAOYSA-N 0 0 267.285 2.709 20 5 CFBDRN CCON(CC)C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000879609508 644346742 /nfs/dbraw/zinc/34/67/42/644346742.db2.gz YLFUBHWCQISGNG-UHFFFAOYSA-N 0 0 281.312 2.963 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCC(F)CC1 ZINC000880242381 644605655 /nfs/dbraw/zinc/60/56/55/644605655.db2.gz XILRQJMUCPOOBD-UHFFFAOYSA-N 0 0 282.315 2.927 20 5 CFBDRN Cc1ccc(CNC2(c3ncccn3)CCC2)cc1[N+](=O)[O-] ZINC000880367352 644669915 /nfs/dbraw/zinc/66/99/15/644669915.db2.gz CJHOAYNTODCHPL-UHFFFAOYSA-N 0 0 298.346 2.862 20 5 CFBDRN C[C@H]1c2sccc2CCN1Cc1cn(C)nc1[N+](=O)[O-] ZINC000880376383 644678222 /nfs/dbraw/zinc/67/82/22/644678222.db2.gz RCNTXSTZCQXYTE-VIFPVBQESA-N 0 0 292.364 2.509 20 5 CFBDRN C[C@@H]1CC[C@H](CO)N1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000880435850 644704215 /nfs/dbraw/zinc/70/42/15/644704215.db2.gz VVNJCXSESIKNBY-BXKDBHETSA-N 0 0 284.743 2.593 20 5 CFBDRN CCOc1cc(NC(=O)NCCCCF)ccc1[N+](=O)[O-] ZINC000888832161 644816092 /nfs/dbraw/zinc/81/60/92/644816092.db2.gz VKWFZNUHQDUJBE-UHFFFAOYSA-N 0 0 299.302 2.865 20 5 CFBDRN O=C(OC[C@@H]1CCCO1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000211345503 644902947 /nfs/dbraw/zinc/90/29/47/644902947.db2.gz FOWVTEQDWOZSBB-QMMMGPOBSA-N 0 0 285.683 2.584 20 5 CFBDRN CCCC(=O)c1cn([C@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC000881277427 644941777 /nfs/dbraw/zinc/94/17/77/644941777.db2.gz VEVBXCJCCDTKHE-SNVBAGLBSA-N 0 0 288.307 2.778 20 5 CFBDRN COc1ncccc1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000094936387 650965921 /nfs/dbraw/zinc/96/59/21/650965921.db2.gz ZFMLMOVEDPELKC-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H]1C ZINC000881481043 644984825 /nfs/dbraw/zinc/98/48/25/644984825.db2.gz GLRVRBNQAUTRHP-DWOQACPDSA-N 0 0 260.293 2.619 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NC[C@H]1C=CCC1 ZINC000881490752 644990511 /nfs/dbraw/zinc/99/05/11/644990511.db2.gz ITQPTRDIFYQQJQ-NSHDSACASA-N 0 0 292.360 2.769 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000881495002 644994837 /nfs/dbraw/zinc/99/48/37/644994837.db2.gz QIQMEQRHUAKMDS-SECBINFHSA-N 0 0 280.711 2.944 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000881497020 644997089 /nfs/dbraw/zinc/99/70/89/644997089.db2.gz QNNSRYFJLNXNRO-NSHDSACASA-N 0 0 297.314 2.839 20 5 CFBDRN CC1(C)CC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])s2)OC1 ZINC000881510705 645006917 /nfs/dbraw/zinc/00/69/17/645006917.db2.gz ZHDNOJZTVPYHRX-VIFPVBQESA-N 0 0 298.364 2.591 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@H](CF)C2)ccc1[N+](=O)[O-] ZINC000881591083 645064186 /nfs/dbraw/zinc/06/41/86/645064186.db2.gz GKUXQZNAPUIUJX-LLVKDONJSA-N 0 0 280.299 2.725 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@H](CF)C2)cc1[N+](=O)[O-] ZINC000881593472 645065636 /nfs/dbraw/zinc/06/56/36/645065636.db2.gz NRPHDTMMENBYEV-SECBINFHSA-N 0 0 286.328 2.786 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCc2sccc2C1 ZINC000881785663 645127934 /nfs/dbraw/zinc/12/79/34/645127934.db2.gz IXOXFVPGOGIZRC-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN O=[N+]([O-])c1ccc(OS(=O)(=O)C2CCC2)cc1Cl ZINC000881816674 645133908 /nfs/dbraw/zinc/13/39/08/645133908.db2.gz WGCALEJHPACJFM-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN COc1ccc(CNc2ncnc(C)c2C)cc1[N+](=O)[O-] ZINC000881835319 645137660 /nfs/dbraw/zinc/13/76/60/645137660.db2.gz XVYXTQHWSDEYQL-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1noc(C)c1CCCNc1nc(C)ccc1[N+](=O)[O-] ZINC000129362769 645170283 /nfs/dbraw/zinc/17/02/83/645170283.db2.gz RUKQYLZXBXAOOV-UHFFFAOYSA-N 0 0 290.323 2.948 20 5 CFBDRN Cc1ncnc(NCCc2ccccc2[N+](=O)[O-])c1C ZINC000882027423 645181269 /nfs/dbraw/zinc/18/12/69/645181269.db2.gz RPBRUGBVYOUEQF-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882027898 645181648 /nfs/dbraw/zinc/18/16/48/645181648.db2.gz GPHIRKHFFIJOFU-JGVFFNPUSA-N 0 0 271.317 2.825 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2CCC3(CCOCC3)O2)c1 ZINC000882035899 645183628 /nfs/dbraw/zinc/18/36/28/645183628.db2.gz SHOBCFCINBOTKU-CQSZACIVSA-N 0 0 293.319 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC[C@@H](O)C(C)C)c1 ZINC000882066101 645191524 /nfs/dbraw/zinc/19/15/24/645191524.db2.gz AZHBIHXEDNJRRA-GFCCVEGCSA-N 0 0 253.298 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)c2ccccc2F)cc1 ZINC000303049025 650987670 /nfs/dbraw/zinc/98/76/70/650987670.db2.gz ZCARYVCMFKEWCY-CQSZACIVSA-N 0 0 277.251 2.846 20 5 CFBDRN CC(=O)c1c(NC[C@]2(C)CCCO2)cccc1[N+](=O)[O-] ZINC000882077320 645194185 /nfs/dbraw/zinc/19/41/85/645194185.db2.gz GKUQVWZEILBKFD-AWEZNQCLSA-N 0 0 278.308 2.778 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2CC[C@H](c3ccccc3)O2)cn1 ZINC000882092379 645198519 /nfs/dbraw/zinc/19/85/19/645198519.db2.gz GUPKFGAXAMNLAF-CHWSQXEVSA-N 0 0 273.292 2.712 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1CCC(F)(F)C1 ZINC000882099967 645202441 /nfs/dbraw/zinc/20/24/41/645202441.db2.gz WKNSWUIOLTXESL-ZCFIWIBFSA-N 0 0 261.203 2.729 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1CCOC2(CCC2)C1 ZINC000882109693 645205871 /nfs/dbraw/zinc/20/58/71/645205871.db2.gz NFFYZAADGCFYNF-SECBINFHSA-N 0 0 281.287 2.643 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC[C@H]1CCCC1(F)F ZINC000882111279 645205907 /nfs/dbraw/zinc/20/59/07/645205907.db2.gz ZKSNFXHNABTRGQ-SECBINFHSA-N 0 0 259.256 2.925 20 5 CFBDRN CC(C)[C@H](O)CCn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000882116173 645207451 /nfs/dbraw/zinc/20/74/51/645207451.db2.gz OEMRXUHLPLNBTI-OAHLLOKOSA-N 0 0 289.335 2.865 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC=C(C(F)(F)F)CC1 ZINC000882135927 645216318 /nfs/dbraw/zinc/21/63/18/645216318.db2.gz FYRQYHFGPTVWBN-UHFFFAOYSA-N 0 0 291.204 2.828 20 5 CFBDRN C[C@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2F)CCCS1 ZINC000129476441 645217381 /nfs/dbraw/zinc/21/73/81/645217381.db2.gz VCNCPVLXKPTMDK-CYBMUJFWSA-N 0 0 298.339 2.749 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC[C@@H]1CCCC1(F)F ZINC000882170449 645228992 /nfs/dbraw/zinc/22/89/92/645228992.db2.gz SUFCSFMHOTTYNC-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN CN(C[C@H]1CC=CCC1)c1ccnc(F)c1[N+](=O)[O-] ZINC000882176496 645231762 /nfs/dbraw/zinc/23/17/62/645231762.db2.gz QCZKMMWJXDVJMI-JTQLQIEISA-N 0 0 265.288 2.921 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@H](F)C1 ZINC000882310570 645277759 /nfs/dbraw/zinc/27/77/59/645277759.db2.gz NQPNGCGCEZGZCI-JTQLQIEISA-N 0 0 266.272 2.736 20 5 CFBDRN CC(C)CN(C)C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129586464 645281526 /nfs/dbraw/zinc/28/15/26/645281526.db2.gz YGHYXROBADTVNG-UHFFFAOYSA-N 0 0 270.716 2.976 20 5 CFBDRN CC(=O)c1c(NCCCCF)cccc1[N+](=O)[O-] ZINC000882388444 645296690 /nfs/dbraw/zinc/29/66/90/645296690.db2.gz KPGIILOFWCEOEO-UHFFFAOYSA-N 0 0 254.261 2.959 20 5 CFBDRN CCc1ncc(CNc2cccc([N+](=O)[O-])c2C(C)=O)o1 ZINC000882428535 645309187 /nfs/dbraw/zinc/30/91/87/645309187.db2.gz LAOKTRGAGBBFJF-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN COCC[C@@H](C)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882452432 645321218 /nfs/dbraw/zinc/32/12/18/645321218.db2.gz OCDJSQITHYAXSD-SNVBAGLBSA-N 0 0 280.324 2.882 20 5 CFBDRN CC[C@@H]1CN(c2ccnc(F)c2[N+](=O)[O-])C[C@H](CC)O1 ZINC000882471595 645331874 /nfs/dbraw/zinc/33/18/74/645331874.db2.gz XMDSZQCJYAYXMN-AOOOYVTPSA-N 0 0 283.303 2.523 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000025348673 645372361 /nfs/dbraw/zinc/37/23/61/645372361.db2.gz LDOPUKHEYQAWHB-SNVBAGLBSA-N 0 0 279.340 2.732 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000882697412 645416501 /nfs/dbraw/zinc/41/65/01/645416501.db2.gz DBGSYVLDOJKIIO-AOOOYVTPSA-N 0 0 263.272 2.531 20 5 CFBDRN C[C@@H](O)CSc1ccc2cnccc2c1[N+](=O)[O-] ZINC000882737645 645436649 /nfs/dbraw/zinc/43/66/49/645436649.db2.gz RQRIQPNBZJKWTA-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN COc1ccc(COc2ccc(F)nc2)cc1[N+](=O)[O-] ZINC000129980998 645438627 /nfs/dbraw/zinc/43/86/27/645438627.db2.gz STVRCOBAHHAROY-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ncc([N+](=O)[O-])cc2F)OC1 ZINC000882763272 645446654 /nfs/dbraw/zinc/44/66/54/645446654.db2.gz JFFNLNMVKABKQF-JTQLQIEISA-N 0 0 283.303 2.746 20 5 CFBDRN CC(C)(CNc1cc[nH]c(=O)c1[N+](=O)[O-])CC(F)F ZINC000882780141 645454428 /nfs/dbraw/zinc/45/44/28/645454428.db2.gz UBSXXMRBPSUEHK-UHFFFAOYSA-N 0 0 275.255 2.789 20 5 CFBDRN COc1cc(NC[C@H]2C[C@H](OC)C2)c([N+](=O)[O-])cc1F ZINC000882797889 645459267 /nfs/dbraw/zinc/45/92/67/645459267.db2.gz FHEBNATUBSHRFL-KYZUINATSA-N 0 0 284.287 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC[C@@H](CF)C3)nc2c1 ZINC000882802964 645463829 /nfs/dbraw/zinc/46/38/29/645463829.db2.gz YBOHNYONIKFWBX-VIFPVBQESA-N 0 0 278.287 2.657 20 5 CFBDRN Cn1ccc(COc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000213146046 645473324 /nfs/dbraw/zinc/47/33/24/645473324.db2.gz PRGDJGULWMNUHU-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@H](CF)C1 ZINC000882838925 645474274 /nfs/dbraw/zinc/47/42/74/645474274.db2.gz AWEYERNBTBJMCH-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@@H](CF)C2)c1 ZINC000882839007 645474321 /nfs/dbraw/zinc/47/43/21/645474321.db2.gz DNVWWNAHSJFCCQ-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN COc1cc(N2CC[C@@H](CF)C2)c([N+](=O)[O-])cc1C ZINC000882839292 645475619 /nfs/dbraw/zinc/47/56/19/645475619.db2.gz MOQHYUMHIXKPKF-JTQLQIEISA-N 0 0 268.288 2.708 20 5 CFBDRN Cc1cc(N2CC[C@H](CF)C2)c(F)cc1[N+](=O)[O-] ZINC000882840594 645476085 /nfs/dbraw/zinc/47/60/85/645476085.db2.gz ZFCKYPRSCVXBLJ-SECBINFHSA-N 0 0 256.252 2.838 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@]23CCCO3)ncc1[N+](=O)[O-] ZINC000882983481 645542289 /nfs/dbraw/zinc/54/22/89/645542289.db2.gz JGVZJEPLFBMPSW-OCCSQVGLSA-N 0 0 277.324 2.812 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1c2ccccc2CC[C@@H]1F ZINC000882991146 645548360 /nfs/dbraw/zinc/54/83/60/645548360.db2.gz NKPVDZZBMBUBJO-NWDGAFQWSA-N 0 0 290.298 2.766 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1C[C@@H]2CC[C@H]1c1ccccc12 ZINC000882994175 645550260 /nfs/dbraw/zinc/55/02/60/645550260.db2.gz VJNVILOJPXSHHU-GWCFXTLKSA-N 0 0 284.319 2.767 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC3(COC3)CC2)cc1 ZINC000882995696 645552315 /nfs/dbraw/zinc/55/23/15/645552315.db2.gz JOEOBNNAIVFYLW-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN C[C@@H](NC(=O)N1CCC(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000883153970 645629448 /nfs/dbraw/zinc/62/94/48/645629448.db2.gz UBVPGSHZMXPFCT-SNVBAGLBSA-N 0 0 295.314 2.799 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000131232274 645665889 /nfs/dbraw/zinc/66/58/89/645665889.db2.gz CBXJPYRIQBTLNL-MRVPVSSYSA-N 0 0 286.328 2.605 20 5 CFBDRN CSCC[C@H](C)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000131516276 645739475 /nfs/dbraw/zinc/73/94/75/645739475.db2.gz VCTFWHDZOJYKHD-JTQLQIEISA-N 0 0 297.380 2.536 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC2)c(C(F)F)c1 ZINC000131589558 645755275 /nfs/dbraw/zinc/75/52/75/645755275.db2.gz RJMHPPHMTQWOFZ-SSDOTTSWSA-N 0 0 258.224 2.733 20 5 CFBDRN CS[C@H](C)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131818472 645829503 /nfs/dbraw/zinc/82/95/03/645829503.db2.gz DCJLPLQOGQLQLV-MRVPVSSYSA-N 0 0 255.295 2.560 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000132237954 645897159 /nfs/dbraw/zinc/89/71/59/645897159.db2.gz JYYBKIKTAOPJDY-BDAKNGLRSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C1 ZINC000132249814 645899962 /nfs/dbraw/zinc/89/99/62/645899962.db2.gz URHUMDYDFDIHQM-HTQZYQBOSA-N 0 0 284.262 2.791 20 5 CFBDRN C/C(=C/C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000132546358 645931110 /nfs/dbraw/zinc/93/11/10/645931110.db2.gz RNVYICIPYGQGIL-YWEYNIOJSA-N 0 0 265.240 2.996 20 5 CFBDRN CC[C@H](C)C(=O)COc1cc(F)ccc1[N+](=O)[O-] ZINC000133103657 646029178 /nfs/dbraw/zinc/02/91/78/646029178.db2.gz PPRWXJRLHPORPB-QMMMGPOBSA-N 0 0 255.245 2.728 20 5 CFBDRN CC1(C)CCC[C@@H](COC(=O)c2ccc([N+](=O)[O-])o2)O1 ZINC000883938233 646055836 /nfs/dbraw/zinc/05/58/36/646055836.db2.gz ACZVQIPEXQEFKL-VIFPVBQESA-N 0 0 283.280 2.692 20 5 CFBDRN CC1(C)CCC[C@H](COC(=O)c2ccc([N+](=O)[O-])o2)O1 ZINC000883938232 646056294 /nfs/dbraw/zinc/05/62/94/646056294.db2.gz ACZVQIPEXQEFKL-SECBINFHSA-N 0 0 283.280 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(Cc2nncs2)C2CC2)cc1 ZINC000930141129 646106025 /nfs/dbraw/zinc/10/60/25/646106025.db2.gz RTZWSVYILHMAMA-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000800025563 646106290 /nfs/dbraw/zinc/10/62/90/646106290.db2.gz VTKOVQWATWSVEU-MNOVXSKESA-N 0 0 297.282 2.767 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1cc([N+](=O)[O-])ccc1F ZINC000800138664 646152323 /nfs/dbraw/zinc/15/23/23/646152323.db2.gz VVWXDLLILBOEPN-MRVPVSSYSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1ccncc1C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800150669 646158125 /nfs/dbraw/zinc/15/81/25/646158125.db2.gz AASPXMMGBOYAGD-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1ccc([N+](=O)[O-])c(F)c1 ZINC000800159842 646161436 /nfs/dbraw/zinc/16/14/36/646161436.db2.gz BIUCGKQKRSHOGT-MRVPVSSYSA-N 0 0 299.151 2.791 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])NCCC1CCC1 ZINC000133647651 646202905 /nfs/dbraw/zinc/20/29/05/646202905.db2.gz WBDSPARBTSJAMG-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN O=C(NCCC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000133787435 646227925 /nfs/dbraw/zinc/22/79/25/646227925.db2.gz VSJAMCXBDCWJQB-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CC(C)CC1(CNC(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000133963184 646260638 /nfs/dbraw/zinc/26/06/38/646260638.db2.gz ZYPJJKBLQMDXFU-UHFFFAOYSA-N 0 0 291.351 2.733 20 5 CFBDRN CO[C@H](CNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])C(C)C ZINC000889308489 646290147 /nfs/dbraw/zinc/29/01/47/646290147.db2.gz QAMBLLRLUHFHEU-CYBMUJFWSA-N 0 0 294.351 2.612 20 5 CFBDRN CC1(F)CN(c2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000884491971 646396914 /nfs/dbraw/zinc/39/69/14/646396914.db2.gz JGOLSCMFPIZRAG-UHFFFAOYSA-N 0 0 261.256 2.691 20 5 CFBDRN CC(C)c1[nH]ccc1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884503422 646403773 /nfs/dbraw/zinc/40/37/73/646403773.db2.gz KYIOSFQTOASHOM-UHFFFAOYSA-N 0 0 289.291 2.798 20 5 CFBDRN C[C@H](CCO)CCNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884518582 646414764 /nfs/dbraw/zinc/41/47/64/646414764.db2.gz UDFNPQWNBWLHJZ-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])c3ccccc32)CO1 ZINC000884543353 646435250 /nfs/dbraw/zinc/43/52/50/646435250.db2.gz BQJGPRYPIARXMB-UWVGGRQHSA-N 0 0 273.292 2.732 20 5 CFBDRN CON(C)CCNc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000884551348 646443421 /nfs/dbraw/zinc/44/34/21/646443421.db2.gz YAPIYJIAWWYVHA-UHFFFAOYSA-N 0 0 293.245 2.519 20 5 CFBDRN CCc1ccc(C(=O)Nc2ccc(F)nc2)cc1[N+](=O)[O-] ZINC000135068089 646453539 /nfs/dbraw/zinc/45/35/39/646453539.db2.gz OHZXIPWMFFTGMO-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CC(=O)C1CCN(c2ncc([N+](=O)[O-])c3ccccc32)CC1 ZINC000884579403 646464210 /nfs/dbraw/zinc/46/42/10/646464210.db2.gz YUXDUXWKEVYFEV-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1N1C[C@@H]2C[C@@H]2C1 ZINC000884588242 646472181 /nfs/dbraw/zinc/47/21/81/646472181.db2.gz BOGBCTMUIPLYGP-OCAPTIKFSA-N 0 0 283.125 2.813 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3C[C@@H]3C2)cc1OC(F)F ZINC000884589337 646473382 /nfs/dbraw/zinc/47/33/82/646473382.db2.gz YGJAFJGSZBPWDO-OCAPTIKFSA-N 0 0 270.235 2.652 20 5 CFBDRN COc1cc(N2C[C@@H]3CSC[C@@H]3C2)c([N+](=O)[O-])cc1C ZINC000884644940 646504502 /nfs/dbraw/zinc/50/45/02/646504502.db2.gz KBYUONJUMHNGPH-PHIMTYICSA-N 0 0 294.376 2.711 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNc1cc(N)ccc1[N+](=O)[O-] ZINC000884650056 646508022 /nfs/dbraw/zinc/50/80/22/646508022.db2.gz XILRNRPTBXTQLV-QCZKYFFMSA-N 0 0 261.325 2.881 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNc1cc(N)ccc1[N+](=O)[O-] ZINC000884650055 646508201 /nfs/dbraw/zinc/50/82/01/646508201.db2.gz XILRNRPTBXTQLV-PKZYVASSSA-N 0 0 261.325 2.881 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CC3(CCC3)CO2)c(F)c1 ZINC000884660823 646513966 /nfs/dbraw/zinc/51/39/66/646513966.db2.gz DUHSBWQGNKCAMQ-JTQLQIEISA-N 0 0 281.287 2.500 20 5 CFBDRN C[C@@H]1C[C@@]2(CC[C@H](CNc3sccc3[N+](=O)[O-])O2)CO1 ZINC000884696154 646531622 /nfs/dbraw/zinc/53/16/22/646531622.db2.gz JDSDBCJRVLYODW-BREBYQMCSA-N 0 0 298.364 2.795 20 5 CFBDRN C[C@@H]1C[C@]2(CC[C@@H](CNc3ccccc3[N+](=O)[O-])O2)CO1 ZINC000884698640 646532474 /nfs/dbraw/zinc/53/24/74/646532474.db2.gz QJLVUHOSSFOJOZ-TYNCELHUSA-N 0 0 292.335 2.733 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@H](c2ncccn2)C1 ZINC000884711303 646538350 /nfs/dbraw/zinc/53/83/50/646538350.db2.gz KPMIGWVGAROOQY-JTQLQIEISA-N 0 0 290.348 2.830 20 5 CFBDRN COc1cccc(N2CC[C@H]([C@@H]3CCCO3)C2)c1[N+](=O)[O-] ZINC000884732014 646544907 /nfs/dbraw/zinc/54/49/07/646544907.db2.gz JBJSMQOSAVNDDK-AAEUAGOBSA-N 0 0 292.335 2.609 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]([C@H]3CCCO3)C2)c(Cl)c1 ZINC000884731807 646545045 /nfs/dbraw/zinc/54/50/45/646545045.db2.gz FVWTVQUGDDHXIQ-JOYOIKCWSA-N 0 0 297.742 2.649 20 5 CFBDRN COC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000884796439 646551640 /nfs/dbraw/zinc/55/16/40/646551640.db2.gz SAQLJPHITSIBNA-ZWNOBZJWSA-N 0 0 298.314 2.587 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H](CCO)C(F)(F)F ZINC000884835329 646556680 /nfs/dbraw/zinc/55/66/80/646556680.db2.gz PKFVMXSRCWQFHP-SECBINFHSA-N 0 0 298.648 2.973 20 5 CFBDRN CNC(=O)c1ccc(NC2CCC=CCC2)c([N+](=O)[O-])c1 ZINC000884848151 646559252 /nfs/dbraw/zinc/55/92/52/646559252.db2.gz RBTCHZRRRHYHBG-UHFFFAOYSA-N 0 0 289.335 2.865 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NC3CCC=CCC3)nn21 ZINC000884848394 646559456 /nfs/dbraw/zinc/55/94/56/646559456.db2.gz XHDMKDNRAMYQAT-UHFFFAOYSA-N 0 0 273.296 2.548 20 5 CFBDRN CC[C@H]1CCC[C@]1(C)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000885058413 646594329 /nfs/dbraw/zinc/59/43/29/646594329.db2.gz BVSGCRSHJDOZNI-FZMZJTMJSA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(NCc1ccoc1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000135803270 646637498 /nfs/dbraw/zinc/63/74/98/646637498.db2.gz XHMDBBYBYVQNME-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885424377 646684809 /nfs/dbraw/zinc/68/48/09/646684809.db2.gz OVSUNODQQQECBE-SNVBAGLBSA-N 0 0 281.308 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CC[C@H](C)O2)c1C ZINC000885424175 646685016 /nfs/dbraw/zinc/68/50/16/646685016.db2.gz MLVUWTSWPFOOSL-CMPLNLGQSA-N 0 0 293.319 2.936 20 5 CFBDRN COC[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000885810719 646752269 /nfs/dbraw/zinc/75/22/69/646752269.db2.gz IXCJMNSGEKKPQW-LBPRGKRZSA-N 0 0 294.351 2.552 20 5 CFBDRN COC[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000885821127 646753795 /nfs/dbraw/zinc/75/37/95/646753795.db2.gz PYYZULCZDCIDLX-LBPRGKRZSA-N 0 0 294.351 2.552 20 5 CFBDRN COc1ccccc1CN(C)Cc1cccnc1[N+](=O)[O-] ZINC000885942850 646777808 /nfs/dbraw/zinc/77/78/08/646777808.db2.gz UMWMMMAZUXRJAP-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NC[C@]12C[C@H]1CCC2 ZINC000885991738 646799948 /nfs/dbraw/zinc/79/99/48/646799948.db2.gz RLWPOHVDSMRLGD-ABAIWWIYSA-N 0 0 292.310 2.583 20 5 CFBDRN Cc1cc(C(=O)NC[C@]23C[C@H]2CCC3)cc([N+](=O)[O-])c1 ZINC000886004809 646809260 /nfs/dbraw/zinc/80/92/60/646809260.db2.gz ONKICBBWMCZMJG-DOMZBBRYSA-N 0 0 274.320 2.823 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000886009607 646812882 /nfs/dbraw/zinc/81/28/82/646812882.db2.gz VWJONYFQFKUICG-RISCZKNCSA-N 0 0 260.293 2.515 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000886009233 646812964 /nfs/dbraw/zinc/81/29/64/646812964.db2.gz DCIRATNDCKDETH-RISCZKNCSA-N 0 0 260.293 2.515 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC1CCC=CCC1 ZINC000886022821 646825452 /nfs/dbraw/zinc/82/54/52/646825452.db2.gz FWNRPWSEVXUEOC-UHFFFAOYSA-N 0 0 289.335 2.774 20 5 CFBDRN CO[C@]1(C)C[C@H](N(C)Cc2cccnc2[N+](=O)[O-])C1(C)C ZINC000886027778 646827266 /nfs/dbraw/zinc/82/72/66/646827266.db2.gz ILOQECQYJJLJGR-SWLSCSKDSA-N 0 0 293.367 2.625 20 5 CFBDRN Cc1ccc2c(c1)N(Cc1cccnc1[N+](=O)[O-])CC2 ZINC000886054553 646845788 /nfs/dbraw/zinc/84/57/88/646845788.db2.gz HNLMZXNWGFMSNN-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CC[C@](C)(NCc1cccnc1[N+](=O)[O-])C(F)(F)F ZINC000886073035 646855213 /nfs/dbraw/zinc/85/52/13/646855213.db2.gz PSNPTXIFFAAHOA-JTQLQIEISA-N 0 0 277.246 2.810 20 5 CFBDRN C[C@H](NCc1cccnc1[N+](=O)[O-])c1ccsc1 ZINC000886192218 646914495 /nfs/dbraw/zinc/91/44/95/646914495.db2.gz LMTQHYYIVNUGON-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCC[N@@H+]1Cc1cccnc1[N+](=O)[O-] ZINC000886203007 646918322 /nfs/dbraw/zinc/91/83/22/646918322.db2.gz JRGGVJWZDAVYOO-TZMCWYRMSA-N 0 0 293.367 2.505 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCSC[C@@H]1C1CCC1 ZINC000886250025 646937312 /nfs/dbraw/zinc/93/73/12/646937312.db2.gz ZABRJNJXMBSEDX-CYBMUJFWSA-N 0 0 293.392 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCCF ZINC000887162913 647237539 /nfs/dbraw/zinc/23/75/39/647237539.db2.gz BYUDCGCAMQCNDK-UHFFFAOYSA-N 0 0 269.276 2.727 20 5 CFBDRN CC(C)(CNC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000887379462 647294663 /nfs/dbraw/zinc/29/46/63/647294663.db2.gz TZHJOJUPOITOBO-UHFFFAOYSA-N 0 0 268.338 2.822 20 5 CFBDRN CC(C)(CNC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000887382079 647296360 /nfs/dbraw/zinc/29/63/60/647296360.db2.gz RZTULVUEYPRDEQ-UHFFFAOYSA-N 0 0 262.309 2.761 20 5 CFBDRN CSC(C)(C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887399488 647302428 /nfs/dbraw/zinc/30/24/28/647302428.db2.gz WEBVPZGVGPVBHQ-SNVBAGLBSA-N 0 0 296.392 2.956 20 5 CFBDRN CS/C=C\C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887402491 647303641 /nfs/dbraw/zinc/30/36/41/647303641.db2.gz CWCQKUYTTBAKIZ-DMEOUFDRSA-N 0 0 280.349 2.691 20 5 CFBDRN C[C@@H](CNC(=O)[C@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000887402443 647303714 /nfs/dbraw/zinc/30/37/14/647303714.db2.gz CCFHGSAZUCVZBG-QWRGUYRKSA-N 0 0 294.376 2.568 20 5 CFBDRN C[C@H](CNC(=O)[C@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000887402442 647304031 /nfs/dbraw/zinc/30/40/31/647304031.db2.gz CCFHGSAZUCVZBG-MNOVXSKESA-N 0 0 294.376 2.568 20 5 CFBDRN CN(c1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CCCC[C@H]1O ZINC000300485938 647339301 /nfs/dbraw/zinc/33/93/01/647339301.db2.gz CWEPZXXGRJYRJH-VXGBXAGGSA-N 0 0 286.278 2.613 20 5 CFBDRN Cn1c(Cl)cnc1CNc1ccc([N+](=O)[O-])cc1 ZINC000301135709 647360439 /nfs/dbraw/zinc/36/04/39/647360439.db2.gz JGKLENPLWXJGEG-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC[C@@H]1C1CCCC1 ZINC000889392565 647558275 /nfs/dbraw/zinc/55/82/75/647558275.db2.gz PCHNFSWFUZTULV-OAHLLOKOSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2CC=CC2)c1C ZINC000889504782 647587746 /nfs/dbraw/zinc/58/77/46/647587746.db2.gz OYDOXWWLCHYWHF-UHFFFAOYSA-N 0 0 260.293 2.660 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H]2CCSC2)c1C ZINC000889718323 647660116 /nfs/dbraw/zinc/66/01/16/647660116.db2.gz BNDOZICUIRXGAM-LLVKDONJSA-N 0 0 294.376 2.789 20 5 CFBDRN C[C@H]1CSCCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000889908055 647696513 /nfs/dbraw/zinc/69/65/13/647696513.db2.gz CUEONLPFCKHJFM-QMMMGPOBSA-N 0 0 286.378 2.624 20 5 CFBDRN Cc1cc(C(=O)N2CCCSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000889909035 647697714 /nfs/dbraw/zinc/69/77/14/647697714.db2.gz OSDKVURYKOJLFW-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN CC1(C)CCC[C@H](CNC(=O)c2ccccc2[N+](=O)[O-])O1 ZINC000890137060 647756247 /nfs/dbraw/zinc/75/62/47/647756247.db2.gz RKSZHYYRSYJXJG-LLVKDONJSA-N 0 0 292.335 2.672 20 5 CFBDRN Cc1c(CNC(=O)C2(C3CC3)CC2)cccc1[N+](=O)[O-] ZINC000890174657 647761977 /nfs/dbraw/zinc/76/19/77/647761977.db2.gz NIVPHJOEXZNLFX-UHFFFAOYSA-N 0 0 274.320 2.710 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000890377118 647789086 /nfs/dbraw/zinc/78/90/86/647789086.db2.gz PXGDKTPHTPFRNR-GHMZBOCLSA-N 0 0 262.309 2.740 20 5 CFBDRN COc1ccccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000005836352 647852696 /nfs/dbraw/zinc/85/26/96/647852696.db2.gz YKEJWFACMXLQOS-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CNC(=O)COC(=O)c1c2ccccc2cc2ccccc21 ZINC000006643778 647860371 /nfs/dbraw/zinc/86/03/71/647860371.db2.gz QNBJTYXFDSISHC-UHFFFAOYSA-N 0 0 293.322 2.896 20 5 CFBDRN Cc1cc(COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])on1 ZINC000008537121 647873482 /nfs/dbraw/zinc/87/34/82/647873482.db2.gz LMFWDZCJYXNERZ-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1cc(Cc2noc(C3CSC3)n2)ccc1[N+](=O)[O-] ZINC000923945925 647908462 /nfs/dbraw/zinc/90/84/62/647908462.db2.gz LCFWGILXACLYQI-UHFFFAOYSA-N 0 0 291.332 2.707 20 5 CFBDRN CC(=O)CCCOC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923965360 647909304 /nfs/dbraw/zinc/90/93/04/647909304.db2.gz NIXGECPDHPQQOY-UHFFFAOYSA-N 0 0 297.282 2.579 20 5 CFBDRN O=C(Cc1ccc(Cl)c([N+](=O)[O-])c1)OCC1CC1 ZINC000924240332 647946304 /nfs/dbraw/zinc/94/63/04/647946304.db2.gz KQFWWPTYRVUDCF-UHFFFAOYSA-N 0 0 269.684 2.744 20 5 CFBDRN Cc1nonc1[C@H](C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000924799865 648049739 /nfs/dbraw/zinc/04/97/39/648049739.db2.gz VMNVZVNOJPTZLJ-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN C[C@@H](NC(=O)N1C2CCC1CC2)c1ccc([N+](=O)[O-])cc1 ZINC000925227457 648136103 /nfs/dbraw/zinc/13/61/03/648136103.db2.gz KHBSSOVMAYLDOX-QFWMXSHPSA-N 0 0 289.335 2.992 20 5 CFBDRN C[C@@H](NC(=O)N(C)CCCF)c1ccc([N+](=O)[O-])cc1 ZINC000925251180 648142957 /nfs/dbraw/zinc/14/29/57/648142957.db2.gz ASDSJVNUVWPLIF-SNVBAGLBSA-N 0 0 283.303 2.657 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000925252862 648144089 /nfs/dbraw/zinc/14/40/89/648144089.db2.gz SCMMCVMNUZVBIR-SFYZADRCSA-N 0 0 287.266 2.609 20 5 CFBDRN Cc1nonc1[C@@H](C)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000925515078 648193852 /nfs/dbraw/zinc/19/38/52/648193852.db2.gz SIKNZMDGTYZXNL-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN CN(CCCF)C(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000925556692 648215237 /nfs/dbraw/zinc/21/52/37/648215237.db2.gz JFYWPVQXCAJYCY-UHFFFAOYSA-N 0 0 292.669 2.819 20 5 CFBDRN CC[S@](C)(=O)=NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000925820634 648333480 /nfs/dbraw/zinc/33/34/80/648333480.db2.gz LUNBQRRZWCDUSQ-FQEVSTJZSA-N 0 0 298.364 2.517 20 5 CFBDRN CCS(=O)(CC)=NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000925902843 648362272 /nfs/dbraw/zinc/36/22/72/648362272.db2.gz WTJXCCKMFVNCLJ-YFHOEESVSA-N 0 0 296.348 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N=[S@@](C)(=O)C(C)C ZINC000926066033 648397664 /nfs/dbraw/zinc/39/76/64/648397664.db2.gz FYMLMHHYOVNVQC-IBGZPJMESA-N 0 0 284.337 2.550 20 5 CFBDRN Cc1cc(C(=O)N=[S@@](C)(=O)C(C)C)ccc1[N+](=O)[O-] ZINC000926076940 648400237 /nfs/dbraw/zinc/40/02/37/648400237.db2.gz DOGNLMIVIFNRGZ-IBGZPJMESA-N 0 0 284.337 2.550 20 5 CFBDRN O=C(NCC[C@@H]1C[C@H]1C1CC1)c1csc([N+](=O)[O-])c1 ZINC000927420682 648772971 /nfs/dbraw/zinc/77/29/71/648772971.db2.gz XRGDBRZUBSNBGN-KOLCDFICSA-N 0 0 280.349 2.822 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCCC2)c1ccccc1[N+](=O)[O-] ZINC000927460034 648783551 /nfs/dbraw/zinc/78/35/51/648783551.db2.gz HFUQQBFOHPHKOT-ABAIWWIYSA-N 0 0 274.320 2.905 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1cc(F)ccc1[N+](=O)[O-] ZINC000927491472 648791467 /nfs/dbraw/zinc/79/14/67/648791467.db2.gz HUZOQIQRVRKLMF-HOSYDEDBSA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000928047985 648850412 /nfs/dbraw/zinc/85/04/12/648850412.db2.gz SXQLHKOOWLIRNW-WBMYTEFPSA-N 0 0 274.320 2.678 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000928045446 648850418 /nfs/dbraw/zinc/85/04/18/648850418.db2.gz QDSVBJQBSCZHCZ-DRYIUFOISA-N 0 0 278.283 2.508 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCC(C)(C)O1)c1ccc([N+](=O)[O-])cc1 ZINC000928245076 648886339 /nfs/dbraw/zinc/88/63/39/648886339.db2.gz JJMWRBYDCCBSLT-MFKMUULPSA-N 0 0 292.335 2.730 20 5 CFBDRN CCC(=O)C1(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000928244849 648886507 /nfs/dbraw/zinc/88/65/07/648886507.db2.gz DUUOOSGZGKRTAE-SNVBAGLBSA-N 0 0 290.319 2.531 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000928246189 648887518 /nfs/dbraw/zinc/88/75/18/648887518.db2.gz CHUHHDNWJGICLO-XEZLXBQYSA-N 0 0 274.320 2.818 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H](C)O[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000928246313 648887585 /nfs/dbraw/zinc/88/75/85/648887585.db2.gz AMNHDBPPJRHOJG-PUHVVEEASA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@@H](NC(=O)/C=C/C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928248289 648888195 /nfs/dbraw/zinc/88/81/95/648888195.db2.gz JHJRCZABSOBPTE-WXLQGSQKSA-N 0 0 260.293 2.738 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCCCC1 ZINC000929096907 648978831 /nfs/dbraw/zinc/97/88/31/648978831.db2.gz JJOOHFHBHCTVRD-ZIAGYGMSSA-N 0 0 274.320 2.711 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(OC(C)C)C1 ZINC000929117994 648982949 /nfs/dbraw/zinc/98/29/49/648982949.db2.gz DYTAAXBOZBFLGN-UHFFFAOYSA-N 0 0 293.323 2.544 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000929117834 648983039 /nfs/dbraw/zinc/98/30/39/648983039.db2.gz FONLSJKHWBVQPZ-DGCLKSJQSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1cc(CCC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000929132935 648986769 /nfs/dbraw/zinc/98/67/69/648986769.db2.gz JATQGFZQLGSSOC-UHFFFAOYSA-N 0 0 289.291 2.771 20 5 CFBDRN C[C@H](c1ccccc1Br)N(C)CC[N+](=O)[O-] ZINC000929370982 649040638 /nfs/dbraw/zinc/04/06/38/649040638.db2.gz SXNMMMAACZLGIM-SECBINFHSA-N 0 0 287.157 2.719 20 5 CFBDRN Cc1ccc(CCN(CC[N+](=O)[O-])CC(F)(F)F)cc1 ZINC000929724336 649107324 /nfs/dbraw/zinc/10/73/24/649107324.db2.gz PRRBOBRQTNZTTL-UHFFFAOYSA-N 0 0 290.285 2.679 20 5 CFBDRN CC(C)CN(C)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000930028059 649170083 /nfs/dbraw/zinc/17/00/83/649170083.db2.gz GABSHCJEBJSNBD-KBPBESRZSA-N 0 0 276.336 2.813 20 5 CFBDRN COC/C(C)=C/C[NH2+][C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000930236106 649211252 /nfs/dbraw/zinc/21/12/52/649211252.db2.gz UYLSGVBPENCIOO-OBIHZWKSSA-N 0 0 264.325 2.838 20 5 CFBDRN C[C@H](CNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000930644886 649295781 /nfs/dbraw/zinc/29/57/81/649295781.db2.gz ZHBIYHZBNHXPAJ-VCTAVGKDSA-N 0 0 288.347 2.861 20 5 CFBDRN Cc1cc(NC(=O)N2CCO[C@H](C)CC2)ccc1[N+](=O)[O-] ZINC000930732653 649316770 /nfs/dbraw/zinc/31/67/70/649316770.db2.gz QQJSIIMPOHXIHA-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CC1(C)[C@H](c2cccc(F)c2)CC[N@@H+]1CC[N+](=O)[O-] ZINC000931257103 649398271 /nfs/dbraw/zinc/39/82/71/649398271.db2.gz PXCOMUJDLGOTDJ-ZDUSSCGKSA-N 0 0 266.316 2.670 20 5 CFBDRN CCCc1nc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000932181367 649560286 /nfs/dbraw/zinc/56/02/86/649560286.db2.gz PIXVTCPIMIHQNT-SNVBAGLBSA-N 0 0 290.323 2.781 20 5 CFBDRN CCCCOC(=O)CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000932181610 649560411 /nfs/dbraw/zinc/56/04/11/649560411.db2.gz XEZDVUJQXSYGAC-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC1 ZINC000932229619 649566540 /nfs/dbraw/zinc/56/65/40/649566540.db2.gz CHFOVPOMPJDPGZ-UHFFFAOYSA-N 0 0 266.322 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCn4cccc4C3)nc2c1 ZINC000932531653 649616263 /nfs/dbraw/zinc/61/62/63/649616263.db2.gz HNJSXMSZTBVXIK-UHFFFAOYSA-N 0 0 298.302 2.553 20 5 CFBDRN CCN(CC1CC1)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000932586217 649624055 /nfs/dbraw/zinc/62/40/55/649624055.db2.gz MMFACITXOHIPIY-LSDHHAIUSA-N 0 0 288.347 2.957 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933177636 649677636 /nfs/dbraw/zinc/67/76/36/649677636.db2.gz ACJJVXVOEJPKQT-BPLDGKMQSA-N 0 0 286.331 2.923 20 5 CFBDRN CNC(=O)Nc1cc([N+](=O)[O-])cc(C(F)(F)F)c1C ZINC000933339107 649687105 /nfs/dbraw/zinc/68/71/05/649687105.db2.gz SVHODBNNWKAPEH-UHFFFAOYSA-N 0 0 277.202 2.673 20 5 CFBDRN C[C@@H](NCc1cccnc1[N+](=O)[O-])c1ccc2c(c1)CCO2 ZINC000933487215 649696855 /nfs/dbraw/zinc/69/68/55/649696855.db2.gz PASFYROAJWYVGY-LLVKDONJSA-N 0 0 299.330 2.776 20 5 CFBDRN Cc1cnc(C(=O)NCCc2ccccc2[N+](=O)[O-])cc1C ZINC000933622999 649707377 /nfs/dbraw/zinc/70/73/77/649707377.db2.gz JXHQGVZYEXWDFL-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC1(C)CCN(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000933779653 649721400 /nfs/dbraw/zinc/72/14/00/649721400.db2.gz FIHJTXRDJLXGTE-KGLIPLIRSA-N 0 0 288.347 2.957 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000934115161 649752048 /nfs/dbraw/zinc/75/20/48/649752048.db2.gz FNFNMMDBLOIVDS-QWHCGFSZSA-N 0 0 284.743 2.857 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H]1CSCCS1 ZINC000306099954 651088306 /nfs/dbraw/zinc/08/83/06/651088306.db2.gz PCVRITVFVSNNKB-QMMMGPOBSA-N 0 0 288.369 2.994 20 5 CFBDRN COc1ccc(OC(=O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000014785511 651183657 /nfs/dbraw/zinc/18/36/57/651183657.db2.gz SFAZYYJZMJXJMM-UHFFFAOYSA-N 0 0 273.244 2.823 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)[C@H]1CCCOC1 ZINC000116062961 651278549 /nfs/dbraw/zinc/27/85/49/651278549.db2.gz LZOUVFGRLDZSRN-VIFPVBQESA-N 0 0 299.710 2.718 20 5 CFBDRN COc1c(C(=O)OCc2ccccc2)cccc1[N+](=O)[O-] ZINC000117764678 651287641 /nfs/dbraw/zinc/28/76/41/651287641.db2.gz AMOPVKZGWHFKOL-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN COCCCC(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000118634185 651291998 /nfs/dbraw/zinc/29/19/98/651291998.db2.gz ZRJCGNNASIBUCW-UHFFFAOYSA-N 0 0 286.715 2.922 20 5 CFBDRN Cc1c([N+](=O)[O-])cc[n+]([O-])c1NC[C@H]1CCCC[C@H]1C ZINC001155075949 782203986 /nfs/dbraw/zinc/20/39/86/782203986.db2.gz BCSLPMOXVZZPNH-ZYHUDNBSSA-N 0 0 279.340 2.775 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])n2C)cc1F ZINC000766281158 782262382 /nfs/dbraw/zinc/26/23/82/782262382.db2.gz LWVGELIMHKRFPA-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN O=[N+]([O-])c1cc(Nc2cnncc2Cl)ccc1F ZINC001211191192 770449852 /nfs/dbraw/zinc/44/98/52/770449852.db2.gz SSORUCVKWVHNOI-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CC[C@H](CF)C1 ZINC000711508169 781449212 /nfs/dbraw/zinc/44/92/12/781449212.db2.gz LIBYKJAXOGAFNO-MRVPVSSYSA-N 0 0 286.690 2.680 20 5 CFBDRN Cc1cc(COC(=O)c2c(F)cccc2[N+](=O)[O-])ns1 ZINC001128721905 780741809 /nfs/dbraw/zinc/74/18/09/780741809.db2.gz ZGNWSXVLKYUJKM-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCC3(CCC3)O2)c1[N+](=O)[O-] ZINC000711522578 781453129 /nfs/dbraw/zinc/45/31/29/781453129.db2.gz RBWAZPSCICILFS-NSHDSACASA-N 0 0 277.324 2.812 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCC3(CCC3)O2)c([N+](=O)[O-])c1 ZINC000711523826 781453831 /nfs/dbraw/zinc/45/38/31/781453831.db2.gz XIUKVLWEJCNMFX-NSHDSACASA-N 0 0 277.324 2.812 20 5 CFBDRN CC1(C)CC[C@@H](CO)N(c2ccccc2[N+](=O)[O-])C1 ZINC000701602316 780820825 /nfs/dbraw/zinc/82/08/25/780820825.db2.gz GDXHKOYKBZTXMT-NSHDSACASA-N 0 0 264.325 2.582 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001230880094 781876739 /nfs/dbraw/zinc/87/67/39/781876739.db2.gz WXHKMEWFAPMOGP-QXFUBDJGSA-N 0 0 266.684 2.987 20 5 CFBDRN COc1ccc(Nc2cccc([N+](=O)[O-])c2)c(OC)n1 ZINC001204416268 769850444 /nfs/dbraw/zinc/85/04/44/769850444.db2.gz TYYHUNNSGGWJAI-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN Nc1cc(N2CC[C@@H](C3CCCCC3)C2)ncc1[N+](=O)[O-] ZINC001167067816 769903245 /nfs/dbraw/zinc/90/32/45/769903245.db2.gz KNVJQLCVTLWEON-GFCCVEGCSA-N 0 0 290.367 2.979 20 5 CFBDRN COC(=O)[C@H](C)N(C)c1ccc2ccc3cccnc3c2n1 ZINC001167112846 770038260 /nfs/dbraw/zinc/03/82/60/770038260.db2.gz GEJMKMQGLIUFFT-NSHDSACASA-N 0 0 295.342 2.781 20 5 CFBDRN COc1nc(Cl)ncc1Nc1cccc([N+](=O)[O-])c1 ZINC001204439943 770056695 /nfs/dbraw/zinc/05/66/95/770056695.db2.gz OXURPUROBWCQEG-UHFFFAOYSA-N 0 0 280.671 2.790 20 5 CFBDRN O=C(Nc1ccsn1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000705831693 770111612 /nfs/dbraw/zinc/11/16/12/770111612.db2.gz XYFQNLJMZBWBAY-UHFFFAOYSA-N 0 0 285.231 2.582 20 5 CFBDRN Nc1cccc2c1cnn2-c1cccc([N+](=O)[O-])c1 ZINC001204457160 770126448 /nfs/dbraw/zinc/12/64/48/770126448.db2.gz UHUQWWJMPJQAGF-UHFFFAOYSA-N 0 0 254.249 2.516 20 5 CFBDRN COc1cnc(N2CC3(C2)CCCCC3)c([N+](=O)[O-])c1 ZINC001167181103 770127599 /nfs/dbraw/zinc/12/75/99/770127599.db2.gz MCQNOBAAMFRODU-UHFFFAOYSA-N 0 0 277.324 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(C2)CCCCC3)c([N+](=O)[O-])c1 ZINC001167181387 770129361 /nfs/dbraw/zinc/12/93/61/770129361.db2.gz PXCLLGSWZXTFEN-UHFFFAOYSA-N 0 0 292.295 2.669 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(N3CC[C@@H]4OCC[C@H]4C3)nc21 ZINC001167212441 770146069 /nfs/dbraw/zinc/14/60/69/770146069.db2.gz DUFQKRTZYQNVEG-JSGCOSHPSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N2CC[C@@H]3OCC[C@H]3C2)nc1Cl ZINC001167217442 770150778 /nfs/dbraw/zinc/15/07/78/770150778.db2.gz ZEOLVAVUNGSRPM-ONGXEEELSA-N 0 0 297.742 2.567 20 5 CFBDRN Nc1ccc(Nc2cc(-c3ccncc3)no2)cc1[N+](=O)[O-] ZINC001209935277 770165073 /nfs/dbraw/zinc/16/50/73/770165073.db2.gz UUWSHQBAGYMQBB-UHFFFAOYSA-N 0 0 297.274 2.971 20 5 CFBDRN NC(=O)c1ccc(Nc2cccc([N+](=O)[O-])c2)cc1F ZINC001204476059 770168790 /nfs/dbraw/zinc/16/87/90/770168790.db2.gz KNGWURRIVKYADX-UHFFFAOYSA-N 0 0 275.239 2.576 20 5 CFBDRN Cc1ccc2c(ccnc2NCc2cncnc2)c1[N+](=O)[O-] ZINC001167256184 770179413 /nfs/dbraw/zinc/17/94/13/770179413.db2.gz HVFIRKMHZYXSAO-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(c3ccccc3)COC2)n1 ZINC001167294568 770228287 /nfs/dbraw/zinc/22/82/87/770228287.db2.gz FELFKAQGSVDQQN-UHFFFAOYSA-N 0 0 299.330 2.678 20 5 CFBDRN Cc1nn(C)cc1Nc1cccc([N+](=O)[O-])c1F ZINC001210378460 770273158 /nfs/dbraw/zinc/27/31/58/770273158.db2.gz HQDBNCHFTULEEZ-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1ccc(F)c(CO)c1 ZINC001210446306 770285133 /nfs/dbraw/zinc/28/51/33/770285133.db2.gz IMKLOGMKUPGDCJ-UHFFFAOYSA-N 0 0 292.266 2.978 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-n1ncc2cc(N)ccc21 ZINC001210444975 770285565 /nfs/dbraw/zinc/28/55/65/770285565.db2.gz RSXBKSOHIHLGAB-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC(C)C)cc1NC1CC(=O)C1 ZINC001167347953 770349915 /nfs/dbraw/zinc/34/99/15/770349915.db2.gz KMLWEGWQRSBCCQ-UHFFFAOYSA-N 0 0 278.308 2.834 20 5 CFBDRN COc1nc(Cl)ncc1Nc1ccc(F)cc1[N+](=O)[O-] ZINC001210953218 770404394 /nfs/dbraw/zinc/40/43/94/770404394.db2.gz WNOIKQCUCRQDEI-UHFFFAOYSA-N 0 0 298.661 2.930 20 5 CFBDRN Nc1cc2cn(-c3ccc(F)cc3[N+](=O)[O-])nc2cc1F ZINC001210953605 770404463 /nfs/dbraw/zinc/40/44/63/770404463.db2.gz MPKHGBUEUORTFO-UHFFFAOYSA-N 0 0 290.229 2.794 20 5 CFBDRN CC[C@@H](Nc1cccnc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723859796 770691182 /nfs/dbraw/zinc/69/11/82/770691182.db2.gz ZQKBELJZOOXQDM-WDEREUQCSA-N 0 0 265.313 2.607 20 5 CFBDRN COC(=O)c1ccc(Nc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC001216481722 770944128 /nfs/dbraw/zinc/94/41/28/770944128.db2.gz ZWNQZQOEXHTDKR-UHFFFAOYSA-N 0 0 280.211 2.857 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NC/C=C\c1ccccc1 ZINC000588557706 773206257 /nfs/dbraw/zinc/20/62/57/773206257.db2.gz GVJIJKAAHOXITC-DAXSKMNVSA-N 0 0 297.314 2.620 20 5 CFBDRN Cc1ccc(CN(C)c2ccc([N+](=O)[O-])c(N)n2)cc1 ZINC000167786732 773211771 /nfs/dbraw/zinc/21/17/71/773211771.db2.gz QMNVURQRFFIJKP-UHFFFAOYSA-N 0 0 272.308 2.517 20 5 CFBDRN Cc1nnc2ccc(Nc3ccc(C)c([N+](=O)[O-])c3)cn12 ZINC001213665918 773216164 /nfs/dbraw/zinc/21/61/64/773216164.db2.gz RZUKLBTWSUZURB-UHFFFAOYSA-N 0 0 283.291 2.998 20 5 CFBDRN C/C=C(/CC)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000588570860 773239562 /nfs/dbraw/zinc/23/95/62/773239562.db2.gz PLFOHFLTUQCGJE-WTKPLQERSA-N 0 0 264.281 2.898 20 5 CFBDRN O=[N+]([O-])c1ccc(COC[C@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC001224095433 775100598 /nfs/dbraw/zinc/10/05/98/775100598.db2.gz ZLXTZYYGMROSHB-GFCCVEGCSA-N 0 0 294.263 2.712 20 5 CFBDRN C[C@@H]1C[C@@H](OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC001224097142 775101218 /nfs/dbraw/zinc/10/12/18/775101218.db2.gz RZEXVLXDXDOHQI-SKDRFNHKSA-N 0 0 296.279 2.587 20 5 CFBDRN Cc1cnc(COC[C@H]2CCCCO2)c(C)c1[N+](=O)[O-] ZINC001224185367 775121028 /nfs/dbraw/zinc/12/10/28/775121028.db2.gz FDLUCNSAMRVHII-GFCCVEGCSA-N 0 0 280.324 2.692 20 5 CFBDRN Cc1cnc(CO[C@H]2CCCCC2=O)c(C)c1[N+](=O)[O-] ZINC001224181506 775121408 /nfs/dbraw/zinc/12/14/08/775121408.db2.gz JJPDYTSFKYNLSM-ZDUSSCGKSA-N 0 0 278.308 2.635 20 5 CFBDRN Cc1cnc(COC2CN(C(C)(C)C)C2)c(C)c1[N+](=O)[O-] ZINC001224181823 775121536 /nfs/dbraw/zinc/12/15/36/775121536.db2.gz AYMVZNTYMNCWMF-UHFFFAOYSA-N 0 0 293.367 2.606 20 5 CFBDRN CCOC[C@H](C)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224185928 775122480 /nfs/dbraw/zinc/12/24/80/775122480.db2.gz TZIZNJZPWLTIRV-JTQLQIEISA-N 0 0 268.313 2.548 20 5 CFBDRN C[C@@H](OCCN1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC001224283065 775144414 /nfs/dbraw/zinc/14/44/14/775144414.db2.gz RTLSPKIDEWIMGQ-GFCCVEGCSA-N 0 0 280.324 2.700 20 5 CFBDRN CCC(CC)OC(=O)c1ccc([N+](=O)[O-])cc1N ZINC000721547614 775151300 /nfs/dbraw/zinc/15/13/00/775151300.db2.gz WWUUSPCDVKCHQM-UHFFFAOYSA-N 0 0 252.270 2.522 20 5 CFBDRN CCOC[C@@H](C)OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000588587278 775189574 /nfs/dbraw/zinc/18/95/74/775189574.db2.gz QGBLOSUSEXNXAR-DHHDDZJSSA-N 0 0 279.292 2.576 20 5 CFBDRN C[C@@H](OCC1(C)COC1)c1ccccc1[N+](=O)[O-] ZINC001224467610 775195206 /nfs/dbraw/zinc/19/52/06/775195206.db2.gz RFLJCCUVRRNCRI-SNVBAGLBSA-N 0 0 251.282 2.709 20 5 CFBDRN C[C@H](OCC1(C)COC1)c1ccccc1[N+](=O)[O-] ZINC001224467609 775195717 /nfs/dbraw/zinc/19/57/17/775195717.db2.gz RFLJCCUVRRNCRI-JTQLQIEISA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1nc(NCCC2SCCS2)ccc1[N+](=O)[O-] ZINC000693841340 775198743 /nfs/dbraw/zinc/19/87/43/775198743.db2.gz RGSDXMUZYVNJRC-UHFFFAOYSA-N 0 0 285.394 2.906 20 5 CFBDRN CCCCCO[C@@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001224660467 775240717 /nfs/dbraw/zinc/24/07/17/775240717.db2.gz JHULIVNBSKYWIZ-AWEZNQCLSA-N 0 0 281.308 2.940 20 5 CFBDRN CCCCCCO[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001224669349 775242487 /nfs/dbraw/zinc/24/24/87/775242487.db2.gz CYHFKBLWSNJYDI-ZDUSSCGKSA-N 0 0 252.314 2.996 20 5 CFBDRN COC1CCC(CO[C@@H](C[N+](=O)[O-])c2cccnc2)CC1 ZINC001224843297 775288267 /nfs/dbraw/zinc/28/82/67/775288267.db2.gz PFJVWZUCCHIRHZ-ZALBZXLWSA-N 0 0 294.351 2.621 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)OCC[Si](C)(C)C ZINC001224907747 775304462 /nfs/dbraw/zinc/30/44/62/775304462.db2.gz WGVULMGUEHWGIY-JTQLQIEISA-N 0 0 285.420 2.843 20 5 CFBDRN C[C@H](NC(=O)NCc1ccoc1)c1ccc([N+](=O)[O-])cc1 ZINC001225178771 775360663 /nfs/dbraw/zinc/36/06/63/775360663.db2.gz HKXNBKBSLYMZNC-JTQLQIEISA-N 0 0 289.291 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cccnc1)C2 ZINC001225285264 775392070 /nfs/dbraw/zinc/39/20/70/775392070.db2.gz YZXKHGGKFMMRNT-AWEZNQCLSA-N 0 0 256.261 2.536 20 5 CFBDRN Cc1cc(F)ccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225344242 775420061 /nfs/dbraw/zinc/42/00/61/775420061.db2.gz AHQYJUMCIRDDLE-CQSZACIVSA-N 0 0 276.267 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cc(O)cc(O)c1)C2 ZINC001225367735 775431425 /nfs/dbraw/zinc/43/14/25/775431425.db2.gz LYAROSPITJQAGJ-AWEZNQCLSA-N 0 0 287.271 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@@H]2CCNCC2(F)F)c1 ZINC001218006579 775434363 /nfs/dbraw/zinc/43/43/63/775434363.db2.gz LXMJYGINNXTUAP-SNVBAGLBSA-N 0 0 292.669 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2COc3cnccc32)ccc1F ZINC001225383968 775438274 /nfs/dbraw/zinc/43/82/74/775438274.db2.gz JMRUOZWXOKXYAF-CYBMUJFWSA-N 0 0 276.223 2.641 20 5 CFBDRN CCC(CC)Oc1cc([N+](=O)[O-])ccc1C(=O)OC ZINC001225446849 775460109 /nfs/dbraw/zinc/46/01/09/775460109.db2.gz FJGYOTZSHFEDGP-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN C[NH+](C)C1CCC(Oc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC001225492660 775480434 /nfs/dbraw/zinc/48/04/34/775480434.db2.gz ZMIKDBGCUDXOOH-UHFFFAOYSA-N 0 0 280.324 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@H]1CCC=CO1 ZINC001225574871 775508388 /nfs/dbraw/zinc/50/83/88/775508388.db2.gz HUZPGYJNBXXOOI-SNVBAGLBSA-N 0 0 253.229 2.805 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225578672 775509967 /nfs/dbraw/zinc/50/99/67/775509967.db2.gz OYDCSJHIMXPQJX-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225580325 775510498 /nfs/dbraw/zinc/51/04/98/775510498.db2.gz XODBLSSLDVCVER-LDYMZIIASA-N 0 0 255.245 2.538 20 5 CFBDRN O=[N+]([O-])c1cccnc1O[C@@H]1CCCc2cccnc21 ZINC001225590426 775515268 /nfs/dbraw/zinc/51/52/68/775515268.db2.gz ONXZDBJXLCRQLA-GFCCVEGCSA-N 0 0 271.276 2.841 20 5 CFBDRN C[C@H](COCc1ccccc1)Oc1ncccc1[N+](=O)[O-] ZINC001225592561 775515299 /nfs/dbraw/zinc/51/52/99/775515299.db2.gz IDMHQLVMWXMDTI-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN O=[N+]([O-])c1cccnc1O[C@@H]1CCc2ccccc2C1 ZINC001225592492 775515802 /nfs/dbraw/zinc/51/58/02/775515802.db2.gz HPCOSWDBEJMNMR-CYBMUJFWSA-N 0 0 270.288 2.926 20 5 CFBDRN CC(C)Oc1cc(COc2ncccc2[N+](=O)[O-])ccn1 ZINC001225598698 775517781 /nfs/dbraw/zinc/51/77/81/775517781.db2.gz YEZFAQOLBQRGPA-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN C[C@@H](Oc1cc(=O)cc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001225605850 775520103 /nfs/dbraw/zinc/52/01/03/775520103.db2.gz ABGAQJQFCQFJHW-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC[C@H]1COC(C)(C)O1 ZINC001225613974 775523197 /nfs/dbraw/zinc/52/31/97/775523197.db2.gz XTNMOKRXLNQMOR-NSHDSACASA-N 0 0 297.307 2.524 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1cc([N+](=O)[O-])ccc1OC ZINC001225614362 775523593 /nfs/dbraw/zinc/52/35/93/775523593.db2.gz CCVALUYKDKOPDE-LLVKDONJSA-N 0 0 297.307 2.714 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc([N+](=O)[O-])ccc2OC)=C1C ZINC001225616412 775525497 /nfs/dbraw/zinc/52/54/97/775525497.db2.gz PDASZCORGJACET-JTQLQIEISA-N 0 0 293.275 2.592 20 5 CFBDRN C[NH+](C)[C@H]1CC[C@H](Oc2cccc([O-])c2[N+](=O)[O-])CC1 ZINC001225619787 775527110 /nfs/dbraw/zinc/52/71/10/775527110.db2.gz ISESWRDYRKUPQW-XYPYZODXSA-N 0 0 280.324 2.552 20 5 CFBDRN Cc1ccnc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001225630764 775531859 /nfs/dbraw/zinc/53/18/59/775531859.db2.gz KQURUMNBTODRIE-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@H](Oc1cccnc1[N+](=O)[O-])c1cccnc1F ZINC001225629912 775532494 /nfs/dbraw/zinc/53/24/94/775532494.db2.gz SUKQEFLEVRBYKJ-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CC1=C(Oc2cccc(C)c2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001225636396 775534765 /nfs/dbraw/zinc/53/47/65/775534765.db2.gz WZQQWECJMZQXLW-VIFPVBQESA-N 0 0 263.249 2.501 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1OC[C@@H]1CCO1 ZINC001225658824 775545433 /nfs/dbraw/zinc/54/54/33/775545433.db2.gz LAGXEQKBZXOLTG-QMMMGPOBSA-N 0 0 288.097 2.525 20 5 CFBDRN COc1ccccc1[C@@H](C)Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225662279 775547579 /nfs/dbraw/zinc/54/75/79/775547579.db2.gz SDPHLWLTYVWWAV-SECBINFHSA-N 0 0 290.275 2.844 20 5 CFBDRN O=c1cc[nH]c(O[C@@H]2CCc3ccccc3C2)c1[N+](=O)[O-] ZINC001225668187 775550065 /nfs/dbraw/zinc/55/00/65/775550065.db2.gz ZSGZZAZRZZWBEL-GFCCVEGCSA-N 0 0 286.287 2.632 20 5 CFBDRN CSc1ccccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225669136 775551017 /nfs/dbraw/zinc/55/10/17/775551017.db2.gz ZCRWLJWBSQNBIP-NSHDSACASA-N 0 0 253.323 2.843 20 5 CFBDRN C[C@@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000694706576 775552485 /nfs/dbraw/zinc/55/24/85/775552485.db2.gz GQJUHUXMIORDCQ-RNCFNFMXSA-N 0 0 284.743 2.703 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC001225681268 775556863 /nfs/dbraw/zinc/55/68/63/775556863.db2.gz PULYVNVAMKQJTI-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCO[C@@H](C)C2)c1 ZINC001225681940 775557384 /nfs/dbraw/zinc/55/73/84/775557384.db2.gz HISUNYZIRBZYJB-WDEREUQCSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)c2cncnc2)c1 ZINC001225684470 775558402 /nfs/dbraw/zinc/55/84/02/775558402.db2.gz ZUWIRWKJFHTIFY-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COC(C[C@@H](C)Oc1cccc([N+](=O)[O-])c1C)OC ZINC001225690216 775559573 /nfs/dbraw/zinc/55/95/73/775559573.db2.gz UHGOWSMBVGNQLP-SECBINFHSA-N 0 0 269.297 2.680 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc2ccccc2cc1O)C1CC1 ZINC001225737932 775578374 /nfs/dbraw/zinc/57/83/74/775578374.db2.gz SHAXNSALMFHWBW-HNNXBMFYSA-N 0 0 273.288 2.980 20 5 CFBDRN COc1ccc(O[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001225772970 775587521 /nfs/dbraw/zinc/58/75/21/775587521.db2.gz SSDNEXCLKWXRRZ-MWLCHTKSSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1ccc(O[C@@H](C)c2cncnc2)c([N+](=O)[O-])c1 ZINC001225769828 775588022 /nfs/dbraw/zinc/58/80/22/775588022.db2.gz PCSGTHUUULZGNH-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC001225770503 775588073 /nfs/dbraw/zinc/58/80/73/775588073.db2.gz WTAKPAUPNMQBFY-NSHDSACASA-N 0 0 297.307 2.714 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2CCCO2)c1Cl ZINC001225785487 775592440 /nfs/dbraw/zinc/59/24/40/775592440.db2.gz CPJCESQYSBMUOO-MRVPVSSYSA-N 0 0 257.673 2.806 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cccc([N+](=O)[O-])c1F ZINC001225797216 775597278 /nfs/dbraw/zinc/59/72/78/775597278.db2.gz SBIIXZYYOJTTBL-PSASIEDQSA-N 0 0 255.245 2.538 20 5 CFBDRN CCO[C@@H](C)COc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225804880 775598573 /nfs/dbraw/zinc/59/85/73/775598573.db2.gz CXMOTURSRZPYLY-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN CCCOC[C@H](C)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225803227 775598619 /nfs/dbraw/zinc/59/86/19/775598619.db2.gz BHJCDLIQNUIFRJ-JTQLQIEISA-N 0 0 281.308 2.991 20 5 CFBDRN CO[C@H](C)CCOc1ncc(C(F)(F)F)cc1[N+](=O)[O-] ZINC001225848130 775611797 /nfs/dbraw/zinc/61/17/97/775611797.db2.gz WFGDFBPIEWWIDR-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)cnc1OC[C@@H]1CCCO1 ZINC001225848512 775612389 /nfs/dbraw/zinc/61/23/89/775612389.db2.gz ZBBLSRXHZXYSKR-QMMMGPOBSA-N 0 0 292.213 2.566 20 5 CFBDRN Cc1ccc(F)c(O[C@H](C[N+](=O)[O-])C2CC2)c1F ZINC001225898374 775626259 /nfs/dbraw/zinc/62/62/59/775626259.db2.gz ZBJUQDVESPCISC-SNVBAGLBSA-N 0 0 257.236 2.707 20 5 CFBDRN Cc1c([C@H](C)Oc2ccc(F)cc2[N+](=O)[O-])cnn1C ZINC001225904066 775629554 /nfs/dbraw/zinc/62/95/54/775629554.db2.gz HTCSUGVAHNLPON-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN C[N@@H+]1CC[C@H](Oc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC001225913330 775632682 /nfs/dbraw/zinc/63/26/82/775632682.db2.gz CLMLXDRVYLDUHV-VIFPVBQESA-N 0 0 290.241 2.697 20 5 CFBDRN CCOC(=O)CC1CC(Oc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC001225913908 775633530 /nfs/dbraw/zinc/63/35/30/775633530.db2.gz XZZTVVKAOJPBQK-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2COc3cnccc32)cc1F ZINC001225916244 775633905 /nfs/dbraw/zinc/63/39/05/775633905.db2.gz PSSXVYDURMJFAB-CYBMUJFWSA-N 0 0 276.223 2.641 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC001225914706 775633939 /nfs/dbraw/zinc/63/39/39/775633939.db2.gz BBIIGTRYTZDNCE-SCZZXKLOSA-N 0 0 255.245 2.680 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccnc2c(F)cccc12)C1CC1 ZINC001225928321 775637871 /nfs/dbraw/zinc/63/78/71/775637871.db2.gz OWGORQOBFWUDDU-CYBMUJFWSA-N 0 0 276.267 2.808 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC001225940975 775642389 /nfs/dbraw/zinc/64/23/89/775642389.db2.gz CBCVXWNXVHNEKQ-MWLCHTKSSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2COc3cnccc32)c(F)c1 ZINC001225945402 775643677 /nfs/dbraw/zinc/64/36/77/775643677.db2.gz FGWBRGBFWRHLTJ-ZDUSSCGKSA-N 0 0 276.223 2.641 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225963468 775648828 /nfs/dbraw/zinc/64/88/28/775648828.db2.gz SGIAETNDLAMXTD-XCBNKYQSSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1c(O[C@H]2C[C@@H](O)C2)ccc2ccccc21 ZINC001218422501 775652234 /nfs/dbraw/zinc/65/22/34/775652234.db2.gz RSXGYZWSIPUFIC-PHIMTYICSA-N 0 0 259.261 2.650 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@@H]1CCC=CO1 ZINC001226007983 775665791 /nfs/dbraw/zinc/66/57/91/775665791.db2.gz WCMQNHDMWGQLPJ-VIFPVBQESA-N 0 0 253.229 2.805 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(F)cnc1Cl)c1cccnc1 ZINC001226108972 775702016 /nfs/dbraw/zinc/70/20/16/775702016.db2.gz IYLNVHHTTJCHQC-NSHDSACASA-N 0 0 297.673 2.666 20 5 CFBDRN CCCCOC[C@@H](C)Oc1nccc(C)c1[N+](=O)[O-] ZINC001226120152 775707894 /nfs/dbraw/zinc/70/78/94/775707894.db2.gz RVOWNXXATFGCSG-LLVKDONJSA-N 0 0 268.313 2.882 20 5 CFBDRN C[C@H]1C[C@H](Oc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC001226131695 775711802 /nfs/dbraw/zinc/71/18/02/775711802.db2.gz PHEIMXZWAONBSM-IONNQARKSA-N 0 0 272.688 2.590 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168778 775723983 /nfs/dbraw/zinc/72/39/83/775723983.db2.gz USZSRKMGJHPGIR-RKDXNWHRSA-N 0 0 266.297 2.507 20 5 CFBDRN CC[C@@H](Oc1ccc([N+](=O)[O-])cc1C(N)=O)C(C)C ZINC001226172406 775724258 /nfs/dbraw/zinc/72/42/58/775724258.db2.gz KFGOYCBTTPSOLF-LLVKDONJSA-N 0 0 266.297 2.507 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2COc3cnccc32)c(F)cc1F ZINC001226179415 775726725 /nfs/dbraw/zinc/72/67/25/775726725.db2.gz ALGHMKMHRKCFHL-ZDUSSCGKSA-N 0 0 294.213 2.781 20 5 CFBDRN COC(=O)C1(Nc2cc([N+](=O)[O-])cc(F)c2C)CCCC1 ZINC001167716259 775728906 /nfs/dbraw/zinc/72/89/06/775728906.db2.gz IHQDYCXKPIUYDF-UHFFFAOYSA-N 0 0 296.298 2.940 20 5 CFBDRN CCN1CC(Oc2cc([N+](=O)[O-])c(Cl)cc2Cl)C1 ZINC001226205962 775737233 /nfs/dbraw/zinc/73/72/33/775737233.db2.gz YAIBDMOOBDGSBS-UHFFFAOYSA-N 0 0 291.134 2.985 20 5 CFBDRN CC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(Cl)c1 ZINC001226232859 775746218 /nfs/dbraw/zinc/74/62/18/775746218.db2.gz LSXKDGYSYXLTIV-ZDUSSCGKSA-N 0 0 283.711 2.977 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2C[C@H]3C[C@H]3C2)c(Cl)c1 ZINC001226255926 775757055 /nfs/dbraw/zinc/75/70/55/775757055.db2.gz QCXRFSCQGQXOFW-SRSLHRDFSA-N 0 0 254.673 2.821 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CC(F)(F)C2)c(Cl)c1 ZINC001226256675 775758152 /nfs/dbraw/zinc/75/81/52/775758152.db2.gz WLXVRXGDFOFMSB-UHFFFAOYSA-N 0 0 264.615 2.820 20 5 CFBDRN O=[N+]([O-])c1cnc(OCCOC2CCCC2)c(Cl)c1 ZINC001226259665 775758874 /nfs/dbraw/zinc/75/88/74/775758874.db2.gz VVIURCPDDIGGAG-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ncc([N+](=O)[O-])cc1Cl ZINC001226258111 775759205 /nfs/dbraw/zinc/75/92/05/775759205.db2.gz KURCZVBHFHPWFT-QWRGUYRKSA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1F)c1cnccn1 ZINC001226269579 775763401 /nfs/dbraw/zinc/76/34/01/775763401.db2.gz HROUSQKACDJWBB-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(O[C@H]2CCCC3(C2)OCCO3)c1 ZINC001226270860 775763750 /nfs/dbraw/zinc/76/37/50/775763750.db2.gz VEQOUFPWWPQBPQ-NSHDSACASA-N 0 0 297.282 2.798 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cc([N+](=O)[O-])ccc1F ZINC001226271204 775765405 /nfs/dbraw/zinc/76/54/05/775765405.db2.gz YPNPRFNKYSIRNN-LLVKDONJSA-N 0 0 253.229 2.624 20 5 CFBDRN CC(=O)c1ccc(O[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001226294815 775771494 /nfs/dbraw/zinc/77/14/94/775771494.db2.gz MQFITJVTIQOJQN-CABZTGNLSA-N 0 0 279.292 2.744 20 5 CFBDRN CC(=O)c1ccc(O[C@H](C)c2cnccn2)c([N+](=O)[O-])c1 ZINC001226295240 775771673 /nfs/dbraw/zinc/77/16/73/775771673.db2.gz RLOAHJNYXFFNKF-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CC(=O)[C@H](C)Oc1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001226302538 775773149 /nfs/dbraw/zinc/77/31/49/775773149.db2.gz DRVRSZDKVJTKGV-ZETCQYMHSA-N 0 0 277.198 2.970 20 5 CFBDRN COC(=O)c1cc(OC)c(O[C@@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC001226360605 775788830 /nfs/dbraw/zinc/78/88/30/775788830.db2.gz FIDZHKISBLDLGF-VIFPVBQESA-N 0 0 297.307 2.813 20 5 CFBDRN COC(=O)c1cc(OC)c(OC2CCCC2)c([N+](=O)[O-])c1 ZINC001226361932 775789004 /nfs/dbraw/zinc/78/90/04/775789004.db2.gz JOFCOYGIVLSZBY-UHFFFAOYSA-N 0 0 295.291 2.711 20 5 CFBDRN CC(C)(C)c1ccc(OC[C@@H]2CCC(=O)O2)c([N+](=O)[O-])c1 ZINC001226447715 775825728 /nfs/dbraw/zinc/82/57/28/775825728.db2.gz XSHQYOOVBJQBQN-NSHDSACASA-N 0 0 293.319 2.977 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2cncnc2)c1 ZINC001226445047 775825896 /nfs/dbraw/zinc/82/58/96/775825896.db2.gz DBFCEUGNNFNCIK-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2C[C@H]3C=C[C@@H]2C3)c1 ZINC001226447333 775825930 /nfs/dbraw/zinc/82/59/30/775825930.db2.gz QTTOQJPPCSCPRQ-CWSCBRNRSA-N 0 0 261.277 2.947 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cncc(C(F)(F)F)c1)C1CC1 ZINC001226480206 775839575 /nfs/dbraw/zinc/83/95/75/775839575.db2.gz DPFHSUUNBPCZMT-JTQLQIEISA-N 0 0 276.214 2.535 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCOC[C@@H]1C ZINC001226530968 775862476 /nfs/dbraw/zinc/86/24/76/775862476.db2.gz MZKTTXIPOIIIPQ-TVQRCGJNSA-N 0 0 279.292 2.601 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCO[C@@H](C)C1 ZINC001226532250 775864545 /nfs/dbraw/zinc/86/45/45/775864545.db2.gz SDNKLUDRNUDVCZ-JOYOIKCWSA-N 0 0 279.292 2.744 20 5 CFBDRN Cc1ccnnc1O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001226643440 775900277 /nfs/dbraw/zinc/90/02/77/775900277.db2.gz DBFCSAMQCPLYQL-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2ncsc2c1)C1CC1 ZINC001226643247 775900620 /nfs/dbraw/zinc/90/06/20/775900620.db2.gz ZHSXUORCJTTYRX-LLVKDONJSA-N 0 0 264.306 2.730 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc2ccccc2c1)C1CC1 ZINC001226659909 775909211 /nfs/dbraw/zinc/90/92/11/775909211.db2.gz DEKUNIDCMZNMHA-CQSZACIVSA-N 0 0 258.277 2.669 20 5 CFBDRN CC(C)COC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000227765102 775915480 /nfs/dbraw/zinc/91/54/80/775915480.db2.gz FYPHUQAXARCBSY-UHFFFAOYSA-N 0 0 259.208 2.686 20 5 CFBDRN C[C@@H](COc1ccc([N+](=O)[O-])nc1)OCc1ccccc1 ZINC001226709178 775931568 /nfs/dbraw/zinc/93/15/68/775931568.db2.gz FUUVOCRCAHVPAU-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN CCCCOC[C@H](C)Oc1ccc([N+](=O)[O-])nc1 ZINC001226710573 775934036 /nfs/dbraw/zinc/93/40/36/775934036.db2.gz SPFXXDDJFLWVSC-JTQLQIEISA-N 0 0 254.286 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2COCc3ccccc32)cn1 ZINC001226711120 775934202 /nfs/dbraw/zinc/93/42/02/775934202.db2.gz ZWJIDOCVJSZSJU-ZDUSSCGKSA-N 0 0 272.260 2.640 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cn1)c1c(F)cccc1F ZINC001226729164 775939843 /nfs/dbraw/zinc/93/98/43/775939843.db2.gz BLTMEVVEVZSUMC-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN Cc1cccc2c1CC[C@H]2Oc1ncc([N+](=O)[O-])cn1 ZINC001226732654 775941182 /nfs/dbraw/zinc/94/11/82/775941182.db2.gz XTPAEUAWYDCRJK-CYBMUJFWSA-N 0 0 271.276 2.760 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Oc1ncc([N+](=O)[O-])cn1)CC2 ZINC001226730956 775941569 /nfs/dbraw/zinc/94/15/69/775941569.db2.gz KLNCVUGDYRUKJD-CYBMUJFWSA-N 0 0 271.276 2.760 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(O[C@@H]2CCn3ccnc32)c(F)c1 ZINC001226743660 775943544 /nfs/dbraw/zinc/94/35/44/775943544.db2.gz GBKYTXKIXYOPIA-SNVBAGLBSA-N 0 0 281.218 2.593 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@@H]2CCCCO2)c(F)c1 ZINC001226745113 775945771 /nfs/dbraw/zinc/94/57/71/775945771.db2.gz YIDKTZMYKRTVGR-VIFPVBQESA-N 0 0 273.235 2.821 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1OCCOC1CCCC1 ZINC001226765614 775954261 /nfs/dbraw/zinc/95/42/61/775954261.db2.gz TXHNCUJYDZMQCD-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H]1CCOC(C)(C)C1 ZINC001226764262 775954647 /nfs/dbraw/zinc/95/46/47/775954647.db2.gz HMTGCFMHUNHXMN-LLVKDONJSA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C(C)C)C(C)C)n1 ZINC001125898663 775959819 /nfs/dbraw/zinc/95/98/19/775959819.db2.gz MVANPFXXGBNFDT-UHFFFAOYSA-N 0 0 265.313 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccnc(F)c1)C2 ZINC001226784920 775963131 /nfs/dbraw/zinc/96/31/31/775963131.db2.gz RUVUGUOBHAIOBC-CYBMUJFWSA-N 0 0 274.251 2.675 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1F)ncnc2OC1CC=CC1 ZINC001226803966 775971750 /nfs/dbraw/zinc/97/17/50/775971750.db2.gz HBNZXOTZHSZGRK-UHFFFAOYSA-N 0 0 275.239 2.775 20 5 CFBDRN CCOCC(COCC)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC001226841832 775986920 /nfs/dbraw/zinc/98/69/20/775986920.db2.gz PJXNIHUVSOZCGH-UHFFFAOYSA-N 0 0 283.324 2.724 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC001226843030 775987014 /nfs/dbraw/zinc/98/70/14/775987014.db2.gz DTOATWMNQAMILC-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cc(O[C@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC001226844610 775988170 /nfs/dbraw/zinc/98/81/70/775988170.db2.gz ZYLHZGXDILQBHN-GWCFXTLKSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1cc(O[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC001226844370 775988448 /nfs/dbraw/zinc/98/84/48/775988448.db2.gz XSSOGHKOOBZFON-PWSUYJOCSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cc(O[C@@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC001226844613 775989133 /nfs/dbraw/zinc/98/91/33/775989133.db2.gz ZYLHZGXDILQBHN-ZWNOBZJWSA-N 0 0 251.282 2.707 20 5 CFBDRN CC(=O)Nc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(Cl)c1 ZINC001226880634 776001324 /nfs/dbraw/zinc/00/13/24/776001324.db2.gz YKSZAVNHZYJDQI-ZDUSSCGKSA-N 0 0 298.726 2.733 20 5 CFBDRN COc1cc(F)cc(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001226883174 776002805 /nfs/dbraw/zinc/00/28/05/776002805.db2.gz LRJWAIBJEDQPGH-CQSZACIVSA-N 0 0 292.266 2.626 20 5 CFBDRN CC(C)(C)N1CC(Oc2cc(Cl)c([N+](=O)[O-])cn2)C1 ZINC001226917169 776016352 /nfs/dbraw/zinc/01/63/52/776016352.db2.gz HWIREPJSASBKRT-UHFFFAOYSA-N 0 0 285.731 2.505 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2COc3cnccc32)cc1Cl ZINC001226915677 776016765 /nfs/dbraw/zinc/01/67/65/776016765.db2.gz NKPYRDPJUCGJDC-LLVKDONJSA-N 0 0 293.666 2.551 20 5 CFBDRN Cc1ccc(O[C@H](C)c2cncnc2)cc1[N+](=O)[O-] ZINC001226952649 776032885 /nfs/dbraw/zinc/03/28/85/776032885.db2.gz HXLOVPUTQYHKED-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc(O[C@H](C)c2cccc([N+](=O)[O-])c2)cc(=O)o1 ZINC001226958338 776035745 /nfs/dbraw/zinc/03/57/45/776035745.db2.gz JRVUZJQLAYLMSD-SNVBAGLBSA-N 0 0 275.260 2.996 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCO2)ccc1C(F)(F)F ZINC001226963593 776036882 /nfs/dbraw/zinc/03/68/82/776036882.db2.gz DKRQOXNJFYCPLX-MRVPVSSYSA-N 0 0 277.198 2.781 20 5 CFBDRN CC(=O)[C@H](C)Oc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC001226963899 776037282 /nfs/dbraw/zinc/03/72/82/776037282.db2.gz JLBPCFNLNPNNFT-ZETCQYMHSA-N 0 0 277.198 2.970 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1cc([N+](=O)[O-])ccc1C ZINC001226993054 776052938 /nfs/dbraw/zinc/05/29/38/776052938.db2.gz QMEMHAZNUJSOLG-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1cc([N+](=O)[O-])ccc1C ZINC001226992109 776053286 /nfs/dbraw/zinc/05/32/86/776053286.db2.gz IEZKALMXXLBNOH-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN C[C@@H](Oc1nccs1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001227036328 776067962 /nfs/dbraw/zinc/06/79/62/776067962.db2.gz PLHKFAVOIPRTDC-SSDOTTSWSA-N 0 0 294.288 2.920 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2CCC[C@@H]2C)c1 ZINC001227046651 776072240 /nfs/dbraw/zinc/07/22/40/776072240.db2.gz JQEXKSGMFIUNJJ-CABZTGNLSA-N 0 0 279.292 2.949 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN(Cc1ccc(F)cc1)C1CC1 ZINC000123263650 776078495 /nfs/dbraw/zinc/07/84/95/776078495.db2.gz XVYZHPXLYBOVBN-UHFFFAOYSA-N 0 0 290.298 2.553 20 5 CFBDRN COC1=CC[C@@H](Oc2nc(C)ccc2[N+](=O)[O-])CC1 ZINC001227063861 776079692 /nfs/dbraw/zinc/07/96/92/776079692.db2.gz ICFHCTJHOHRPAS-LLVKDONJSA-N 0 0 264.281 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCOC(C)(C)C2)n1 ZINC001227061941 776080060 /nfs/dbraw/zinc/08/00/60/776080060.db2.gz CGHKIFJGMDIMSX-SNVBAGLBSA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)Cc2ccco2)n1 ZINC001227062589 776080306 /nfs/dbraw/zinc/08/03/06/776080306.db2.gz QRBLYADILPJTSW-SNVBAGLBSA-N 0 0 262.265 2.901 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)c2ncccc2F)n1 ZINC001227062198 776080759 /nfs/dbraw/zinc/08/07/59/776080759.db2.gz ISSNCVHMGDYLAN-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])c1ccccc1[C@@H](Oc1nc[nH]n1)c1ccccc1 ZINC001227106855 776099556 /nfs/dbraw/zinc/09/95/56/776099556.db2.gz RSQITHNYSILQCA-AWEZNQCLSA-N 0 0 296.286 2.881 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-])C1CCCC1 ZINC001227111056 776101638 /nfs/dbraw/zinc/10/16/38/776101638.db2.gz HLUAAJSJYDONEX-QMMMGPOBSA-N 0 0 281.268 2.856 20 5 CFBDRN CCCc1c(O)cccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001227148722 776115848 /nfs/dbraw/zinc/11/58/48/776115848.db2.gz WTURJKDGQSDTLA-CQSZACIVSA-N 0 0 265.309 2.779 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1OC ZINC001227184955 776127722 /nfs/dbraw/zinc/12/77/22/776127722.db2.gz LVTKZQRCBPYVFU-JTQLQIEISA-N 0 0 297.307 2.714 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H](C)c1cn(C)nc1C ZINC001227185633 776128765 /nfs/dbraw/zinc/12/87/65/776128765.db2.gz WCVCLPISNDHHBZ-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])cc1OC ZINC001227185636 776129176 /nfs/dbraw/zinc/12/91/76/776129176.db2.gz WISFNJAXAHNXAP-NSHDSACASA-N 0 0 297.307 2.714 20 5 CFBDRN Cc1ccc(O[C@@H](C)C(F)(F)F)c([N+](=O)[O-])n1 ZINC001227217137 776142585 /nfs/dbraw/zinc/14/25/85/776142585.db2.gz BCHZKOLUJITMON-LURJTMIESA-N 0 0 250.176 2.628 20 5 CFBDRN Cc1ccc(O[C@H]2CCOC(C)(C)C2)c([N+](=O)[O-])n1 ZINC001227222375 776143812 /nfs/dbraw/zinc/14/38/12/776143812.db2.gz MOBJNJRPLYQKDG-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN COC1CCC(Oc2ccc(C)nc2[N+](=O)[O-])CC1 ZINC001227221584 776144024 /nfs/dbraw/zinc/14/40/24/776144024.db2.gz CNQUUZQEDKRSPC-UHFFFAOYSA-N 0 0 266.297 2.635 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227232186 776147865 /nfs/dbraw/zinc/14/78/65/776147865.db2.gz NDELDIQZENJIRY-LDYMZIIASA-N 0 0 278.264 2.540 20 5 CFBDRN COC1CCC(Oc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC001227234551 776148980 /nfs/dbraw/zinc/14/89/80/776148980.db2.gz HHMFYBHENQWBMG-UHFFFAOYSA-N 0 0 266.297 2.635 20 5 CFBDRN CC[C@@H](COC)Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227237509 776151089 /nfs/dbraw/zinc/15/10/89/776151089.db2.gz HGKQXPTWACMEFF-QMMMGPOBSA-N 0 0 266.253 2.540 20 5 CFBDRN Cc1cnc(O[C@H]2CCC(=O)CC2(C)C)c([N+](=O)[O-])c1 ZINC001227236882 776151252 /nfs/dbraw/zinc/15/12/52/776151252.db2.gz MAFUQBGMHFIVIN-LBPRGKRZSA-N 0 0 278.308 2.825 20 5 CFBDRN CC(C)Oc1nc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001227243783 776154293 /nfs/dbraw/zinc/15/42/93/776154293.db2.gz MXRXEVSKNJQJND-UHFFFAOYSA-N 0 0 250.176 2.796 20 5 CFBDRN CC[C@@H](COc1nc(C(F)(F)F)ccc1[N+](=O)[O-])OC ZINC001227246572 776155579 /nfs/dbraw/zinc/15/55/79/776155579.db2.gz JBBIQHKDDSCHBH-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2C[C@@H]3CC[C@H]2C3)c([N+](=O)[O-])c1 ZINC001227297923 776173705 /nfs/dbraw/zinc/17/37/05/776173705.db2.gz IIILZHWOEVOEBX-ISTVAULSSA-N 0 0 291.303 2.949 20 5 CFBDRN Cc1nc(Cl)nc(O[C@H](C)Cc2ccco2)c1[N+](=O)[O-] ZINC001227340836 776179013 /nfs/dbraw/zinc/17/90/13/776179013.db2.gz QKXLMSRHGHPEJF-SSDOTTSWSA-N 0 0 297.698 2.950 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H](C)Cc2ccco2)c1[N+](=O)[O-] ZINC001227340839 776179151 /nfs/dbraw/zinc/17/91/51/776179151.db2.gz QKXLMSRHGHPEJF-ZETCQYMHSA-N 0 0 297.698 2.950 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nc2ccc(F)cc2s1)C1CC1 ZINC001227399577 776192063 /nfs/dbraw/zinc/19/20/63/776192063.db2.gz OCKIQXFGTQOGAR-SNVBAGLBSA-N 0 0 282.296 2.870 20 5 CFBDRN C[C@@H](Oc1ccc2c(c1)oc1cc(=O)ccc-1[n+]2[O-])C1CC1 ZINC001227448917 776206319 /nfs/dbraw/zinc/20/63/19/776206319.db2.gz JYRXRYSOMAPIBI-SNVBAGLBSA-N 0 0 297.310 2.709 20 5 CFBDRN CCOC(=O)N1CCC[C@@H](Oc2ccc([N+](=O)[O-])cc2)C1 ZINC001227520196 776219057 /nfs/dbraw/zinc/21/90/57/776219057.db2.gz HGMBRLXJCCGKSG-CYBMUJFWSA-N 0 0 294.307 2.595 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1OC[C@H]1CCC=CO1 ZINC001227541685 776224636 /nfs/dbraw/zinc/22/46/36/776224636.db2.gz LVCIRLJLNULSGP-MRVPVSSYSA-N 0 0 299.304 2.514 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1O[C@@H]1C=CCC1 ZINC001227543360 776226186 /nfs/dbraw/zinc/22/61/86/776226186.db2.gz GWYUDVJSMAZWPN-SSDOTTSWSA-N 0 0 269.278 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCC3SCCS3)nc2c1 ZINC000692822171 776234100 /nfs/dbraw/zinc/23/41/00/776234100.db2.gz HNRUMSJMRJCILW-UHFFFAOYSA-N 0 0 296.377 2.689 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227690951 776259322 /nfs/dbraw/zinc/25/93/22/776259322.db2.gz LGHBZTKOMAQKBA-WPRPVWTQSA-N 0 0 276.296 2.824 20 5 CFBDRN CC1(C)C[C@@H](Oc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCO1 ZINC001227744221 776277531 /nfs/dbraw/zinc/27/75/31/776277531.db2.gz FHVMTIRACNLNAT-JTQLQIEISA-N 0 0 291.307 2.808 20 5 CFBDRN O=S1(=O)CC(Oc2cccc3ccc4cccnc4c32)C1 ZINC001227764501 776285335 /nfs/dbraw/zinc/28/53/35/776285335.db2.gz OUKMQRXPQWMAGA-UHFFFAOYSA-N 0 0 299.351 2.564 20 5 CFBDRN C[C@@H]1COCC[C@H]1OCc1ccc([N+](=O)[O-])cc1 ZINC001222793778 776309681 /nfs/dbraw/zinc/30/96/81/776309681.db2.gz YATZHOYOGPNDQX-ZWNOBZJWSA-N 0 0 251.282 2.536 20 5 CFBDRN CC(=O)c1ncccc1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001227978324 776346062 /nfs/dbraw/zinc/34/60/62/776346062.db2.gz JMYYWOGDJDAHND-AWEZNQCLSA-N 0 0 298.298 2.739 20 5 CFBDRN CCCCOC[C@@H](C)Oc1cncc([N+](=O)[O-])c1 ZINC001227987822 776351214 /nfs/dbraw/zinc/35/12/14/776351214.db2.gz KHKONOHSZGELSN-SNVBAGLBSA-N 0 0 254.286 2.574 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228000525 776356527 /nfs/dbraw/zinc/35/65/27/776356527.db2.gz MDWJCZKOIUQWCM-QMMMGPOBSA-N 0 0 285.683 2.745 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1OC[C@@H]1CCC=CO1 ZINC001228014403 776364153 /nfs/dbraw/zinc/36/41/53/776364153.db2.gz KIJFSINOXDAFAR-QMMMGPOBSA-N 0 0 270.672 2.715 20 5 CFBDRN CCCC/C=C/[C@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228140968 776407220 /nfs/dbraw/zinc/40/72/20/776407220.db2.gz OMMXEDQYOPCTHT-UCUJLANTSA-N 0 0 267.285 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCOC[C@@H]2F)c2ncccc12 ZINC001228139776 776407388 /nfs/dbraw/zinc/40/73/88/776407388.db2.gz ZFQLVMVHWCKBSI-JQWIXIFHSA-N 0 0 292.266 2.649 20 5 CFBDRN CCCOc1ccccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001228179654 776416316 /nfs/dbraw/zinc/41/63/16/776416316.db2.gz OPXFDGBBTKTERP-AWEZNQCLSA-N 0 0 265.309 2.910 20 5 CFBDRN COC(=O)[C@@H](C)Oc1ccc2c(c1)oc1ccccc1c2=O ZINC001228179192 776416580 /nfs/dbraw/zinc/41/65/80/776416580.db2.gz BJFCVFPMRHONSP-SNVBAGLBSA-N 0 0 298.294 2.887 20 5 CFBDRN CCN1CC(Oc2c(Cl)cc([N+](=O)[O-])cc2Cl)C1 ZINC001228199454 776421381 /nfs/dbraw/zinc/42/13/81/776421381.db2.gz ZKLRUZIOXMUUFG-UHFFFAOYSA-N 0 0 291.134 2.985 20 5 CFBDRN CC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1C ZINC001228214741 776423677 /nfs/dbraw/zinc/42/36/77/776423677.db2.gz KWAIQCUAJUFKJS-AWEZNQCLSA-N 0 0 263.293 2.632 20 5 CFBDRN Cc1cc(C)c(O[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC001228229181 776428472 /nfs/dbraw/zinc/42/84/72/776428472.db2.gz FJDIVFFGVYCMQA-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC001228230526 776429442 /nfs/dbraw/zinc/42/94/42/776429442.db2.gz RJMDEWNKFQDYQP-JTQLQIEISA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1cc(C)c(O[C@H]2CCOC[C@@H]2F)c([N+](=O)[O-])c1 ZINC001228238325 776430126 /nfs/dbraw/zinc/43/01/26/776430126.db2.gz VDDAWGPTYSAZRO-JQWIXIFHSA-N 0 0 269.272 2.717 20 5 CFBDRN Cc1cc(C)c(O[C@@H]2CCOC[C@H]2F)c([N+](=O)[O-])c1 ZINC001228238327 776430851 /nfs/dbraw/zinc/43/08/51/776430851.db2.gz VDDAWGPTYSAZRO-ZYHUDNBSSA-N 0 0 269.272 2.717 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC001228237963 776430863 /nfs/dbraw/zinc/43/08/63/776430863.db2.gz QZXJSXORQUCRRH-LBPRGKRZSA-N 0 0 281.308 2.932 20 5 CFBDRN CC1(C)COC(=O)[C@H]1Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228255650 776435839 /nfs/dbraw/zinc/43/58/39/776435839.db2.gz KHUYNGAVKUZZJO-SNVBAGLBSA-N 0 0 285.683 2.579 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)cc([N+](=O)[O-])c1)C1CC1 ZINC001228258140 776435914 /nfs/dbraw/zinc/43/59/14/776435914.db2.gz RJYBNRZPQZOJBO-LLVKDONJSA-N 0 0 286.671 2.682 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(O[C@H]2CCn3ccnc32)c1 ZINC001228256237 776436107 /nfs/dbraw/zinc/43/61/07/776436107.db2.gz SNMNWJRGUGNAIN-NSHDSACASA-N 0 0 279.683 2.969 20 5 CFBDRN CC(=O)c1cccnc1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228291209 776446755 /nfs/dbraw/zinc/44/67/55/776446755.db2.gz XJADFPGNTSTHCK-CQSZACIVSA-N 0 0 298.298 2.739 20 5 CFBDRN Cc1cnc(NC[C@H]2CC[C@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000722331325 776476943 /nfs/dbraw/zinc/47/69/43/776476943.db2.gz CKBPYFCITINFAX-DGCLKSJQSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1c(F)cc([N+](=O)[O-])cc1NC1(CO)CCCC1 ZINC001167805802 776509589 /nfs/dbraw/zinc/50/95/89/776509589.db2.gz GAULWLVHDUAVFS-UHFFFAOYSA-N 0 0 268.288 2.759 20 5 CFBDRN c1ccc2nc3c(cccc3OC3COCOC3)nc2c1 ZINC001228522436 776511582 /nfs/dbraw/zinc/51/15/82/776511582.db2.gz NUVUGVPAZDWBMV-UHFFFAOYSA-N 0 0 282.299 2.535 20 5 CFBDRN c1ccc2nc3c(cccc3OC3COC3)nc2c1 ZINC001228522807 776511900 /nfs/dbraw/zinc/51/19/00/776511900.db2.gz PPJZQJMVHDCJGR-UHFFFAOYSA-N 0 0 252.273 2.561 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccnc2F)c1C ZINC001277308958 776514160 /nfs/dbraw/zinc/51/41/60/776514160.db2.gz XQLSUMPRKCGESW-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccc(F)nc1F)C2 ZINC001228536097 776515160 /nfs/dbraw/zinc/51/51/60/776515160.db2.gz JJGBTHMZDJZJKL-LLVKDONJSA-N 0 0 292.241 2.814 20 5 CFBDRN CCCOC(=O)[C@@H](C)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC001223224109 776524459 /nfs/dbraw/zinc/52/44/59/776524459.db2.gz ISDWSNFRDSIDDH-LLVKDONJSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1cccc2ccc(O[C@H](C[N+](=O)[O-])C3CC3)nc12 ZINC001228634302 776545765 /nfs/dbraw/zinc/54/57/65/776545765.db2.gz ZPHNLQKTVSLDSN-CYBMUJFWSA-N 0 0 272.304 2.977 20 5 CFBDRN C[C@@H](Oc1ncncc1[N+](=O)[O-])c1ccccc1F ZINC001228654552 776553197 /nfs/dbraw/zinc/55/31/97/776553197.db2.gz KZYFOYYIUZGWIC-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CCC1CCC(Oc2ncncc2[N+](=O)[O-])CC1 ZINC001228654656 776553494 /nfs/dbraw/zinc/55/34/94/776553494.db2.gz LVXUWEPXEVKNKP-UHFFFAOYSA-N 0 0 251.286 2.732 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Oc1ncncc1[N+](=O)[O-])CC2 ZINC001228657813 776555220 /nfs/dbraw/zinc/55/52/20/776555220.db2.gz RADIALQGOWOSPP-ZDUSSCGKSA-N 0 0 271.276 2.760 20 5 CFBDRN Cc1cc2[nH]c(O[C@H](C)c3cncnc3)nc2cc1[N+](=O)[O-] ZINC001228676035 776564139 /nfs/dbraw/zinc/56/41/39/776564139.db2.gz VHGNCFMDKANVQP-SECBINFHSA-N 0 0 299.290 2.710 20 5 CFBDRN Cc1cc2[nH]c(O[C@H](C)c3cnccn3)nc2cc1[N+](=O)[O-] ZINC001228676309 776564170 /nfs/dbraw/zinc/56/41/70/776564170.db2.gz ZITMGRQXHOUDSZ-SECBINFHSA-N 0 0 299.290 2.710 20 5 CFBDRN CC[C@H](COc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1)OC ZINC001228676184 776564257 /nfs/dbraw/zinc/56/42/57/776564257.db2.gz WWGMBPLKMQOLOO-SECBINFHSA-N 0 0 279.296 2.583 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC001228685832 776567657 /nfs/dbraw/zinc/56/76/57/776567657.db2.gz KOYKFGOJIDMYQD-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN CCCCOC[C@H](C)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228685988 776567826 /nfs/dbraw/zinc/56/78/26/776567826.db2.gz LANZQYQYYVXGKD-VIFPVBQESA-N 0 0 284.312 2.588 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)CC(C)C)c1[N+](=O)[O-] ZINC001228686614 776568004 /nfs/dbraw/zinc/56/80/04/776568004.db2.gz PHAAQXQDNHHCCJ-MRVPVSSYSA-N 0 0 254.286 2.817 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)c2nccs2)c1[N+](=O)[O-] ZINC001228689898 776569757 /nfs/dbraw/zinc/56/97/57/776569757.db2.gz WVOWXWLHBPATKI-ZETCQYMHSA-N 0 0 281.293 2.600 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nccc2cccc(F)c21)C1CC1 ZINC001228733605 776588847 /nfs/dbraw/zinc/58/88/47/776588847.db2.gz UMTJBPWBBYTGEZ-LBPRGKRZSA-N 0 0 276.267 2.808 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C)C(C)C)cc([N+](=O)[O-])c1 ZINC001228772180 776604596 /nfs/dbraw/zinc/60/45/96/776604596.db2.gz VNBFUVYFCPGYTO-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN CN(C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CCOC1 ZINC000106938420 776606639 /nfs/dbraw/zinc/60/66/39/776606639.db2.gz GUKAHJPXOFCRJX-VIFPVBQESA-N 0 0 299.714 2.501 20 5 CFBDRN COc1ccc(F)c(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001228790137 776615567 /nfs/dbraw/zinc/61/55/67/776615567.db2.gz HCHDPBGKCLEAEG-CQSZACIVSA-N 0 0 292.266 2.626 20 5 CFBDRN CO[C@H](C)CCOc1c2ccccc2oc(=O)c1[N+](=O)[O-] ZINC001228803651 776618685 /nfs/dbraw/zinc/61/86/85/776618685.db2.gz CTBZCGJHCBVCRT-SECBINFHSA-N 0 0 293.275 2.505 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1cc(C)cc([N+](=O)[O-])c1 ZINC001228839837 776629344 /nfs/dbraw/zinc/62/93/44/776629344.db2.gz ZLJUJCRURSVYDO-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN CCCCOC[C@@H](C)Oc1cnccc1[N+](=O)[O-] ZINC001228879021 776643527 /nfs/dbraw/zinc/64/35/27/776643527.db2.gz BBPXKUATACXOPL-SNVBAGLBSA-N 0 0 254.286 2.574 20 5 CFBDRN C[C@@H](COc1cnccc1[N+](=O)[O-])OCc1ccccc1 ZINC001228882828 776645344 /nfs/dbraw/zinc/64/53/44/776645344.db2.gz PXJGQXRPHKHKKU-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN Cc1ccc([C@H](C)Oc2cc(O)cc([O-])c2[N+](=O)[O-])cn1 ZINC001228971192 776672183 /nfs/dbraw/zinc/67/21/83/776672183.db2.gz LIROMAQSJDZFCN-VIFPVBQESA-N 0 0 290.275 2.849 20 5 CFBDRN CC[C@H](COc1c(C(C)=O)cccc1[N+](=O)[O-])OC ZINC001228977790 776675922 /nfs/dbraw/zinc/67/59/22/776675922.db2.gz RIPSOEBHZUBVGF-SNVBAGLBSA-N 0 0 267.281 2.601 20 5 CFBDRN COc1cc(O[C@@H](C[N+](=O)[O-])c2cccnc2)ccc1F ZINC001228982049 776677619 /nfs/dbraw/zinc/67/76/19/776677619.db2.gz JKPKPBGYDWQMEU-AWEZNQCLSA-N 0 0 292.266 2.626 20 5 CFBDRN CC(C)OCCOc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229005212 776685728 /nfs/dbraw/zinc/68/57/28/776685728.db2.gz FLTVSEGMIWGHFI-UHFFFAOYSA-N 0 0 276.292 2.947 20 5 CFBDRN CCO[C@@H](C)COc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229007422 776687676 /nfs/dbraw/zinc/68/76/76/776687676.db2.gz IHVSJKUGSJCERP-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229007127 776687922 /nfs/dbraw/zinc/68/79/22/776687922.db2.gz FZLDECKWPZTKIA-HZMBPMFUSA-N 0 0 288.303 2.947 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H](C)C(F)(F)F ZINC001229056576 776702921 /nfs/dbraw/zinc/70/29/21/776702921.db2.gz QBSYJNHYEWXSAH-LURJTMIESA-N 0 0 293.197 2.711 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCC[C@@H]1C ZINC001229056583 776703042 /nfs/dbraw/zinc/70/30/42/776703042.db2.gz QCZVWGVMIFBJGE-CABZTGNLSA-N 0 0 279.292 2.949 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229113850 776722193 /nfs/dbraw/zinc/72/21/93/776722193.db2.gz GBZYLSXFPVBGSA-VSONXHSHSA-N 0 0 295.335 2.753 20 5 CFBDRN CC(=O)c1cccc(OCCOC(C)C)c1[N+](=O)[O-] ZINC001229130710 776726619 /nfs/dbraw/zinc/72/66/19/776726619.db2.gz CIRKGADOFNKKAQ-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN CC(=O)c1cccc(OC[C@H]2CCC=CO2)c1[N+](=O)[O-] ZINC001229132946 776728138 /nfs/dbraw/zinc/72/81/38/776728138.db2.gz YMTHNSWFGHMDDD-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229138663 776730579 /nfs/dbraw/zinc/73/05/79/776730579.db2.gz OEKWYXVOMKEALA-LBPRGKRZSA-N 0 0 279.292 2.934 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nc2ccccc2n1C1CC1)C1CC1 ZINC001229167726 776739853 /nfs/dbraw/zinc/73/98/53/776739853.db2.gz TZVUJHSUSFDDTC-AWEZNQCLSA-N 0 0 287.319 2.805 20 5 CFBDRN COC(=O)[C@H](O[C@H](C)C(C)C)c1ccccc1[N+](=O)[O-] ZINC001223642432 776744054 /nfs/dbraw/zinc/74/40/54/776744054.db2.gz IHYVCTSNEKTGCN-ZWNOBZJWSA-N 0 0 281.308 2.870 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2n[nH]cc2c1Cl)C1CC1 ZINC001229177242 776744268 /nfs/dbraw/zinc/74/42/68/776744268.db2.gz QRKBFFNTPNYFEJ-LLVKDONJSA-N 0 0 281.699 2.650 20 5 CFBDRN CC1=C(Oc2c(C)cc(F)cc2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001229197618 776749142 /nfs/dbraw/zinc/74/91/42/776749142.db2.gz IPFXLRZGNZZBQB-MRVPVSSYSA-N 0 0 281.239 2.640 20 5 CFBDRN CC[C@H](COc1cc([N+](=O)[O-])cc2cccnc21)OC ZINC001229346838 776786773 /nfs/dbraw/zinc/78/67/73/776786773.db2.gz NFZYQDIKZHXQSN-GFCCVEGCSA-N 0 0 276.292 2.947 20 5 CFBDRN CC[C@@H](COc1cc([N+](=O)[O-])cc2cccnc21)OC ZINC001229346839 776786943 /nfs/dbraw/zinc/78/69/43/776786943.db2.gz NFZYQDIKZHXQSN-LBPRGKRZSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC001229381122 776796761 /nfs/dbraw/zinc/79/67/61/776796761.db2.gz QKCLSPGGZPIAMB-NSHDSACASA-N 0 0 286.671 2.682 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@H](Oc2cc([N+](=O)[O-])ccc2[O-])C1 ZINC001229396327 776799298 /nfs/dbraw/zinc/79/92/98/776799298.db2.gz RUQWIFGITNIOKL-LBPRGKRZSA-N 0 0 280.324 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(OCCOC2CCCC2)c1 ZINC001229396752 776799821 /nfs/dbraw/zinc/79/98/21/776799821.db2.gz YRJLZICOBXLDFG-UHFFFAOYSA-N 0 0 267.281 2.638 20 5 CFBDRN C[C@@H](Oc1ncnc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC001229399205 776801088 /nfs/dbraw/zinc/80/10/88/776801088.db2.gz HYHJNUUJGXMMAT-MRVPVSSYSA-N 0 0 259.265 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)ncnc2O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001229402838 776801292 /nfs/dbraw/zinc/80/12/92/776801292.db2.gz LWPWRURIFGHPEJ-DOFRTFSJSA-N 0 0 271.276 2.715 20 5 CFBDRN CCCC/C=C/[C@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452005 776817210 /nfs/dbraw/zinc/81/72/10/776817210.db2.gz MDNKLSIFKHGEBG-MLRMMBSGSA-N 0 0 266.297 2.742 20 5 CFBDRN C[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1ccc(F)c(F)c1 ZINC001229452629 776817890 /nfs/dbraw/zinc/81/78/90/776817890.db2.gz VDHJJCXLZMQKDJ-MRVPVSSYSA-N 0 0 296.229 2.646 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1 ZINC001229468295 776821032 /nfs/dbraw/zinc/82/10/32/776821032.db2.gz CTVGXNNRYOGHSA-LLVKDONJSA-N 0 0 279.292 2.708 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1 ZINC001229468301 776821278 /nfs/dbraw/zinc/82/12/78/776821278.db2.gz CTVGXNNRYOGHSA-NSHDSACASA-N 0 0 279.292 2.708 20 5 CFBDRN CCCC(=O)Nc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001229483902 776825307 /nfs/dbraw/zinc/82/53/07/776825307.db2.gz DAHNLQADQYNPJD-AWEZNQCLSA-N 0 0 292.335 2.859 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229488567 776827918 /nfs/dbraw/zinc/82/79/18/776827918.db2.gz WWHAQSBBDNAYNZ-LLVKDONJSA-N 0 0 278.264 2.873 20 5 CFBDRN CCCOC[C@@H](C)Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229488570 776828146 /nfs/dbraw/zinc/82/81/46/776828146.db2.gz WXGOVRUYCXBUDK-SECBINFHSA-N 0 0 280.280 2.930 20 5 CFBDRN O=c1c2ccc(O)cc2oc2cc(OC[C@@H]3CCO3)ccc12 ZINC001229515808 776837834 /nfs/dbraw/zinc/83/78/34/776837834.db2.gz GVPJHZAGKHDOAS-LBPRGKRZSA-N 0 0 298.294 2.820 20 5 CFBDRN Cc1cc(O)c(OC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC001229751250 776887501 /nfs/dbraw/zinc/88/75/01/776887501.db2.gz ULKMBXQYNOZZQA-UHFFFAOYSA-N 0 0 259.208 2.785 20 5 CFBDRN Cc1cc(O)c(OCCOC2CCCC2)cc1[N+](=O)[O-] ZINC001229754143 776889148 /nfs/dbraw/zinc/88/91/48/776889148.db2.gz NFLULTIJKAWISQ-UHFFFAOYSA-N 0 0 281.308 2.947 20 5 CFBDRN CCOC(=O)[C@H](Oc1ccc([N+](=O)[O-])c(C)c1C)C1CC1 ZINC001229881401 776909257 /nfs/dbraw/zinc/90/92/57/776909257.db2.gz VKVQNJSZDZPYPJ-CQSZACIVSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1OC[C@@H]1CCCO1 ZINC001229881177 776909337 /nfs/dbraw/zinc/90/93/37/776909337.db2.gz OJWXLJBAYMEOHS-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229895190 776912864 /nfs/dbraw/zinc/91/28/64/776912864.db2.gz QGZKHRRRSTUYRZ-SECBINFHSA-N 0 0 283.255 2.539 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ccc([N+](=O)[O-])nc1Cl ZINC001229919392 776918191 /nfs/dbraw/zinc/91/81/91/776918191.db2.gz LOIXSFUALBZRRG-QMMMGPOBSA-N 0 0 270.672 2.534 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C)C(C)C)c(F)cc1[N+](=O)[O-] ZINC001229941115 776921827 /nfs/dbraw/zinc/92/18/27/776921827.db2.gz IRMVFTBYJIHNQP-QMMMGPOBSA-N 0 0 285.271 2.944 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCOC[C@H]2F)ccc1Cl ZINC001229964471 776925920 /nfs/dbraw/zinc/92/59/20/776925920.db2.gz QHIPBPNLRXUMSL-MWLCHTKSSA-N 0 0 275.663 2.754 20 5 CFBDRN CC(=O)[C@@H](C)Oc1cc(C)c(Cl)cc1[N+](=O)[O-] ZINC001229976315 776928968 /nfs/dbraw/zinc/92/89/68/776928968.db2.gz XGMAAODGJYJYLD-MRVPVSSYSA-N 0 0 257.673 2.913 20 5 CFBDRN CCCC[C@@H](C)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230230656 776961141 /nfs/dbraw/zinc/96/11/41/776961141.db2.gz YLUQLXHRAXKUSU-MRVPVSSYSA-N 0 0 264.285 2.824 20 5 CFBDRN CC(C)C[C@H](C)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230229293 776961737 /nfs/dbraw/zinc/96/17/37/776961737.db2.gz DHSFVDQFNFISMD-QMMMGPOBSA-N 0 0 264.285 2.680 20 5 CFBDRN CCCC[C@H](C)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230230657 776961772 /nfs/dbraw/zinc/96/17/72/776961772.db2.gz YLUQLXHRAXKUSU-QMMMGPOBSA-N 0 0 264.285 2.824 20 5 CFBDRN CC[C@@H](Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1)C(C)C ZINC001230232011 776962364 /nfs/dbraw/zinc/96/23/64/776962364.db2.gz ZPMSKPAUIAIZMP-SNVBAGLBSA-N 0 0 264.285 2.680 20 5 CFBDRN O=[N+]([O-])c1c[nH]cc2nc(O[C@@H]3CCCC[C@@H]3F)nc1-2 ZINC001230231831 776962588 /nfs/dbraw/zinc/96/25/88/776962588.db2.gz UYEOWHYHWPHBQL-OIBJUYFYSA-N 0 0 280.259 2.526 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001230246751 776965962 /nfs/dbraw/zinc/96/59/62/776965962.db2.gz SMZUMBZRGDYKGO-PEGIJTEDSA-N 0 0 276.292 2.731 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1O[C@@H]1C=CCCC1 ZINC001230316354 776977586 /nfs/dbraw/zinc/97/75/86/776977586.db2.gz VRNNSKHSGWNGRQ-SECBINFHSA-N 0 0 264.237 2.991 20 5 CFBDRN COC1=CC[C@H](Oc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC001230319801 776979483 /nfs/dbraw/zinc/97/94/83/776979483.db2.gz YMIDKUNWKVWXFF-JTQLQIEISA-N 0 0 294.263 2.965 20 5 CFBDRN CC[C@@H](Oc1cc(C)c(C)c([N+](=O)[O-])c1)C(=O)OC ZINC001230476687 776996235 /nfs/dbraw/zinc/99/62/35/776996235.db2.gz CMTCRHISRWBDLD-GFCCVEGCSA-N 0 0 267.281 2.542 20 5 CFBDRN CCCOC[C@H](C)Oc1cc2n[nH]cc2cc1[N+](=O)[O-] ZINC001230601836 777023161 /nfs/dbraw/zinc/02/31/61/777023161.db2.gz REAIAUUVRARVLQ-VIFPVBQESA-N 0 0 279.296 2.665 20 5 CFBDRN CCN1CC(Oc2ccc3ccccc3c2[N+](=O)[O-])C1 ZINC001230623316 777028363 /nfs/dbraw/zinc/02/83/63/777028363.db2.gz UVAJAUTYFJLHMZ-UHFFFAOYSA-N 0 0 272.304 2.831 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001230723848 777051693 /nfs/dbraw/zinc/05/16/93/777051693.db2.gz PEHKJDSLYALUJM-CYBMUJFWSA-N 0 0 280.280 2.646 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2cc(C)c([N+](=O)[O-])cc2C)C1 ZINC001230724647 777051710 /nfs/dbraw/zinc/05/17/10/777051710.db2.gz YVRZHBDRBNCNLW-PHIMTYICSA-N 0 0 279.292 2.542 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc2ccccc2[nH]1)c1cccnc1 ZINC001230744333 777056525 /nfs/dbraw/zinc/05/65/25/777056525.db2.gz KHODRDPPMPCJJD-AWEZNQCLSA-N 0 0 283.287 2.960 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2[nH]ccc2c1F)C1CC1 ZINC001230771452 777060812 /nfs/dbraw/zinc/06/08/12/777060812.db2.gz DZLUARPWJJBGOG-LBPRGKRZSA-N 0 0 264.256 2.741 20 5 CFBDRN COc1ccc([C@H](C)Oc2ncccc2[N+](=O)[O-])cn1 ZINC001225593210 777065841 /nfs/dbraw/zinc/06/58/41/777065841.db2.gz LQXGQJJIGFIOKL-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1cc(C)cc(CNc2nccc(N)c2[N+](=O)[O-])c1 ZINC000710355219 777069188 /nfs/dbraw/zinc/06/91/88/777069188.db2.gz QEVKKMVHJARLOI-UHFFFAOYSA-N 0 0 272.308 2.801 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc(Cl)nc2[N+](=O)[O-])=C1C ZINC001230878813 777079690 /nfs/dbraw/zinc/07/96/90/777079690.db2.gz JCCRHOYTYPVDJR-ZETCQYMHSA-N 0 0 298.682 2.631 20 5 CFBDRN COC1CCC(Oc2ccc(Cl)nc2[N+](=O)[O-])CC1 ZINC001230878317 777079830 /nfs/dbraw/zinc/07/98/30/777079830.db2.gz DEFULRRQYXCYSK-UHFFFAOYSA-N 0 0 286.715 2.980 20 5 CFBDRN CC1=C[C@H](Oc2cccc(Cl)c2[N+](=O)[O-])CC(=O)O1 ZINC001230919370 777092623 /nfs/dbraw/zinc/09/26/23/777092623.db2.gz VVUHHRMWVCOHLO-QMMMGPOBSA-N 0 0 283.667 2.846 20 5 CFBDRN C[C@@H](COc1ccccc1)Oc1cccnc1[N+](=O)[O-] ZINC001225628400 777097848 /nfs/dbraw/zinc/09/78/48/777097848.db2.gz LVGDGFUSQRFLHB-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN Cc1cccc(OC2CCN(CCF)CC2)c1[N+](=O)[O-] ZINC001225631065 777099840 /nfs/dbraw/zinc/09/98/40/777099840.db2.gz CPZIWUCCRBEUIH-UHFFFAOYSA-N 0 0 282.315 2.716 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC001225643178 777125902 /nfs/dbraw/zinc/12/59/02/777125902.db2.gz CYUFMKYEMVLAGB-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(OC3CCCC3)c21 ZINC001231053083 777126045 /nfs/dbraw/zinc/12/60/45/777126045.db2.gz HXERECSBVWLPGH-UHFFFAOYSA-N 0 0 259.265 2.859 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2c(C)ccc(C)c2[N+](=O)[O-])C1 ZINC001231058488 777128682 /nfs/dbraw/zinc/12/86/82/777128682.db2.gz AGWKBFGQGDFPBS-NEPJUHHUSA-N 0 0 293.319 2.932 20 5 CFBDRN O=C(NCc1cc(Cl)ccn1)c1cccc([N+](=O)[O-])c1 ZINC000355749759 777142496 /nfs/dbraw/zinc/14/24/96/777142496.db2.gz RMFBSDCXASYKTK-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CCCC(=O)Nc1c(O[C@@H](C)COC)cccc1[N+](=O)[O-] ZINC001231359725 777200978 /nfs/dbraw/zinc/20/09/78/777200978.db2.gz RANULUOARCOQQV-JTQLQIEISA-N 0 0 296.323 2.747 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)cnc1O[C@H]1CCCOC1 ZINC001225843598 777309321 /nfs/dbraw/zinc/30/93/21/777309321.db2.gz JKAHZXQBXZXSTG-QMMMGPOBSA-N 0 0 292.213 2.566 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(F)ccc(F)c1F)C1CC1 ZINC001225873056 777321130 /nfs/dbraw/zinc/32/11/30/777321130.db2.gz QEMJTDVAQDFCMS-VIFPVBQESA-N 0 0 261.199 2.538 20 5 CFBDRN O=[N+]([O-])c1cnc(OC[C@H]2CCCO2)c(C(F)(F)F)c1 ZINC001225893266 777328141 /nfs/dbraw/zinc/32/81/41/777328141.db2.gz PACUTXCNNXZNRG-MRVPVSSYSA-N 0 0 292.213 2.566 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])c(F)c2)=C1C ZINC001225913000 777334700 /nfs/dbraw/zinc/33/47/00/777334700.db2.gz RTXSYYVZPSSJCS-LLVKDONJSA-N 0 0 281.239 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCC=CO2)c(F)c1 ZINC001225943062 777344197 /nfs/dbraw/zinc/34/41/97/777344197.db2.gz XCWXZTBUJUISIB-SNVBAGLBSA-N 0 0 253.229 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(OC[C@H]2CCCCO2)c1 ZINC001226265859 777490373 /nfs/dbraw/zinc/49/03/73/777490373.db2.gz MLNJIGOUVZHJKF-SNVBAGLBSA-N 0 0 255.245 2.682 20 5 CFBDRN CCC[C@@H](Oc1cc([N+](=O)[O-])ccc1F)C(=O)OCC ZINC001226266294 777491527 /nfs/dbraw/zinc/49/15/27/777491527.db2.gz RPLAHJHNYIZSHB-LLVKDONJSA-N 0 0 285.271 2.845 20 5 CFBDRN CC(=O)c1ccc(O[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001226295568 777498325 /nfs/dbraw/zinc/49/83/25/777498325.db2.gz WXEDFLGFNBPXRR-ZANVPECISA-N 0 0 279.292 2.601 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)ccc1F)C1CC1 ZINC001226699298 777607807 /nfs/dbraw/zinc/60/78/07/777607807.db2.gz XUOSWLJPSMBVFV-LLVKDONJSA-N 0 0 259.664 2.913 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC001226748229 777632435 /nfs/dbraw/zinc/63/24/35/777632435.db2.gz IXUPUTJODZTQBE-APPZFPTMSA-N 0 0 273.235 2.819 20 5 CFBDRN CCOC(=O)CC1CC(Oc2cccc([N+](=O)[O-])c2)C1 ZINC001226763283 777636689 /nfs/dbraw/zinc/63/66/89/777636689.db2.gz PBFXCEDBJXFNTE-UHFFFAOYSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@H](C)c1ncccn1 ZINC001226997545 777724033 /nfs/dbraw/zinc/72/40/33/777724033.db2.gz XWKBNBKCJFRHHA-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCC(F)(F)C2)n1 ZINC001227065075 777755752 /nfs/dbraw/zinc/75/57/52/777755752.db2.gz RBKZQGWNPWSVBT-QMMMGPOBSA-N 0 0 258.224 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1O[C@@H]1CCOC[C@H]1F ZINC001227158248 777775945 /nfs/dbraw/zinc/77/59/45/777775945.db2.gz TWEIQFBRFIWTEL-PSASIEDQSA-N 0 0 293.653 2.893 20 5 CFBDRN CCCC[C@H](C)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227690725 777864935 /nfs/dbraw/zinc/86/49/35/777864935.db2.gz KCLSHGCZCDGCLM-QMMMGPOBSA-N 0 0 264.285 2.824 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H](C)c1ncccn1 ZINC001227696634 777865618 /nfs/dbraw/zinc/86/56/18/777865618.db2.gz MAHFIZPIVXRWHH-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1nccnc1N[C@@H]1C[C@H]1c1ccc(F)c(F)c1 ZINC001168064503 777868981 /nfs/dbraw/zinc/86/89/81/777868981.db2.gz CWXDRNIGFTZEJQ-GZMMTYOYSA-N 0 0 292.245 2.631 20 5 CFBDRN CCOC[C@H](C)Oc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233496811 777968530 /nfs/dbraw/zinc/96/85/30/777968530.db2.gz APRYQXLFGOTYDT-ZETCQYMHSA-N 0 0 299.714 2.928 20 5 CFBDRN COC1=CC[C@@H](Oc2cccc([N+](=O)[O-])c2[N+](=O)[O-])CC1 ZINC001233577483 777996787 /nfs/dbraw/zinc/99/67/87/777996787.db2.gz IEUIEHJFNMZTLK-SNVBAGLBSA-N 0 0 294.263 2.965 20 5 CFBDRN C[C@H](Oc1ccnc(Cl)c1[N+](=O)[O-])C1CCOCC1 ZINC001228053337 778002532 /nfs/dbraw/zinc/00/25/32/778002532.db2.gz AWRAQBXXXNGFFJ-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cc(F)c([N+](=O)[O-])cc2F)O1 ZINC001233597665 778004869 /nfs/dbraw/zinc/00/48/69/778004869.db2.gz PHQDTCQFGWWVJA-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cc(F)c([N+](=O)[O-])cc2F)CCO1 ZINC001233601955 778008296 /nfs/dbraw/zinc/00/82/96/778008296.db2.gz ZJFUZQRGPMSMGD-SFYZADRCSA-N 0 0 273.235 2.819 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(F)c([N+](=O)[O-])cc1F)OC ZINC001233601912 778008431 /nfs/dbraw/zinc/00/84/31/778008431.db2.gz YYDNTGJNPZZRAY-SSDOTTSWSA-N 0 0 291.250 2.649 20 5 CFBDRN COC(=O)C1CC(Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC001228230549 778026855 /nfs/dbraw/zinc/02/68/55/778026855.db2.gz RTCZSUZLAFKFCG-UHFFFAOYSA-N 0 0 279.292 2.542 20 5 CFBDRN C[C@@H]1C[C@H](Oc2c(F)cc(F)cc2[N+](=O)[O-])CCO1 ZINC001233698021 778045552 /nfs/dbraw/zinc/04/55/52/778045552.db2.gz DYLIBSUCOVPHJL-VXNVDRBHSA-N 0 0 273.235 2.819 20 5 CFBDRN O=C1CCCC[C@H]1Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233698589 778045589 /nfs/dbraw/zinc/04/55/89/778045589.db2.gz LVVCYDDFAUZNEQ-LLVKDONJSA-N 0 0 271.219 2.764 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc(Cl)cnc1[N+](=O)[O-] ZINC001233716929 778051684 /nfs/dbraw/zinc/05/16/84/778051684.db2.gz RUVOFUFBRKSRJD-SECBINFHSA-N 0 0 272.688 2.780 20 5 CFBDRN C[C@H](Oc1cc(Cl)cnc1[N+](=O)[O-])C1CCOCC1 ZINC001233714073 778051728 /nfs/dbraw/zinc/05/17/28/778051728.db2.gz YKBYBOIYPFIJHQ-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233749410 778062552 /nfs/dbraw/zinc/06/25/52/778062552.db2.gz GHNYZHZWUYRTFN-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1OC[C@@H]1CCCO1 ZINC001233745357 778063203 /nfs/dbraw/zinc/06/32/03/778063203.db2.gz MEBZBVDNFYPOBU-ZETCQYMHSA-N 0 0 292.213 2.566 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233751773 778063734 /nfs/dbraw/zinc/06/37/34/778063734.db2.gz WLMKEOFDUXSBMH-SFYZADRCSA-N 0 0 273.235 2.677 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233753525 778064239 /nfs/dbraw/zinc/06/42/39/778064239.db2.gz MCQVTIGSBNQHDN-LLVKDONJSA-N 0 0 271.219 2.764 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)C1CCC1 ZINC000127359379 778077082 /nfs/dbraw/zinc/07/70/82/778077082.db2.gz QXBCFTJYAFVUNT-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN C[C@H](Oc1ccnc([N+](=O)[O-])c1)c1ccncc1F ZINC001233858779 778097160 /nfs/dbraw/zinc/09/71/60/778097160.db2.gz FBAYWPJAYIGJMD-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H](Oc1ccnc([N+](=O)[O-])c1)c1cccnc1F ZINC001233859899 778098945 /nfs/dbraw/zinc/09/89/45/778098945.db2.gz RQWSRBCXLCVFOT-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CC[C@@H](Oc1ncc(C(=O)OC)cc1[N+](=O)[O-])C(C)C ZINC001233865632 778099459 /nfs/dbraw/zinc/09/94/59/778099459.db2.gz IZMUZAUEIPRXOW-LLVKDONJSA-N 0 0 282.296 2.590 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(F)cc1NCC1(F)CCOCC1 ZINC001168126299 778110102 /nfs/dbraw/zinc/11/01/02/778110102.db2.gz QHVCXXXIMWHGFI-UHFFFAOYSA-N 0 0 290.241 2.804 20 5 CFBDRN C[C@H](Oc1nccc2[nH]nc(N)c21)c1ccccc1[N+](=O)[O-] ZINC001233958088 778123211 /nfs/dbraw/zinc/12/32/11/778123211.db2.gz CPOJCAGMOZJWIA-QMMMGPOBSA-N 0 0 299.290 2.588 20 5 CFBDRN Cc1ccc2nc(C)nc(O[C@@H](C[N+](=O)[O-])C3CC3)c2c1 ZINC001234003459 778136174 /nfs/dbraw/zinc/13/61/74/778136174.db2.gz YEBSVQIEYLTPCY-AWEZNQCLSA-N 0 0 287.319 2.681 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC001234051796 778153912 /nfs/dbraw/zinc/15/39/12/778153912.db2.gz QIHIABAOMKJNKG-VHSXEESVSA-N 0 0 279.292 2.949 20 5 CFBDRN COC(=O)c1ccc(O[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC001234051797 778153938 /nfs/dbraw/zinc/15/39/38/778153938.db2.gz QIHIABAOMKJNKG-ZJUUUORDSA-N 0 0 279.292 2.949 20 5 CFBDRN CCOC(=O)[C@H](Oc1cc([N+](=O)[O-])c(C)cc1F)C1CC1 ZINC001234060438 778154833 /nfs/dbraw/zinc/15/48/33/778154833.db2.gz PGUXDZJEHQIJTQ-CYBMUJFWSA-N 0 0 297.282 2.763 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2CCOC[C@@H]2F)cc1[N+](=O)[O-] ZINC001234058484 778154842 /nfs/dbraw/zinc/15/48/42/778154842.db2.gz FGJRETJFRHWRQI-GXSJLCMTSA-N 0 0 273.235 2.548 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](C(C)(C)O)C2)c1 ZINC000721845626 778180008 /nfs/dbraw/zinc/18/00/08/778180008.db2.gz ISEMZKACYGAHAQ-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN COc1cc(C)c(O[C@@H](C[N+](=O)[O-])C2CC2)c(C)c1 ZINC001234274723 778198401 /nfs/dbraw/zinc/19/84/01/778198401.db2.gz LBXUMBJAIPFDAI-ZDUSSCGKSA-N 0 0 265.309 2.746 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1ccc([N+](=O)[O-])cc1C ZINC001228921621 778207681 /nfs/dbraw/zinc/20/76/81/778207681.db2.gz OSCYDPCCRNRBTA-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN C[C@@H](Oc1cc(N2CCOCC2)ccc1[N+](=O)[O-])C1CC1 ZINC001234363193 778214365 /nfs/dbraw/zinc/21/43/65/778214365.db2.gz YDRYSHCRRGPVGI-LLVKDONJSA-N 0 0 292.335 2.609 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1nccc(Cl)n1)C2 ZINC001234534117 778245834 /nfs/dbraw/zinc/24/58/34/778245834.db2.gz KDEWMHWWGKAENU-NSHDSACASA-N 0 0 291.694 2.584 20 5 CFBDRN Cc1cc2cccnc2c(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234613469 778265121 /nfs/dbraw/zinc/26/51/21/778265121.db2.gz NKPOFBCATPMUSP-AWEZNQCLSA-N 0 0 272.304 2.977 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CNCC(F)F ZINC000147620016 778279664 /nfs/dbraw/zinc/27/96/64/778279664.db2.gz LAJOTZAEWTVVFD-UHFFFAOYSA-N 0 0 250.632 2.603 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1nccc2c1cccc2[N+](=O)[O-] ZINC001234674023 778285454 /nfs/dbraw/zinc/28/54/54/778285454.db2.gz GYRBEUHRLBUJEU-ZANVPECISA-N 0 0 274.276 2.557 20 5 CFBDRN C[C@H](Oc1cccc(=O)[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001229366055 778300306 /nfs/dbraw/zinc/30/03/06/778300306.db2.gz NAQQBDDGTAYJRL-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN CCC[C@@H](CC(=O)OCC)Oc1cc([N+](=O)[O-])ccc1O ZINC001229396723 778302486 /nfs/dbraw/zinc/30/24/86/778302486.db2.gz XJMKLBDILABMFT-NSHDSACASA-N 0 0 297.307 2.801 20 5 CFBDRN Cc1ccc(Nc2cccc(C(N)=O)c2)c([N+](=O)[O-])c1 ZINC001214172054 778303582 /nfs/dbraw/zinc/30/35/82/778303582.db2.gz QNCGWKBBKGCKGP-UHFFFAOYSA-N 0 0 271.276 2.746 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cc(C)c([N+](=O)[O-])c(C)c1 ZINC001229470656 778308040 /nfs/dbraw/zinc/30/80/40/778308040.db2.gz SEZSHISEXDQXHB-GFCCVEGCSA-N 0 0 281.308 2.932 20 5 CFBDRN CC(=O)N1CC[C@@H](Oc2cc(C)c([N+](=O)[O-])c(C)c2C)C1 ZINC001234872445 778318076 /nfs/dbraw/zinc/31/80/76/778318076.db2.gz WLOBMTLBDYWQGJ-CYBMUJFWSA-N 0 0 292.335 2.520 20 5 CFBDRN c1cnc2c(c1)cc(NC[C@H]1COCCO1)c1cccnc12 ZINC001168267965 778318320 /nfs/dbraw/zinc/31/83/20/778318320.db2.gz IRNJXDJNLBHEQP-ZDUSSCGKSA-N 0 0 295.342 2.610 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CCC[C@@H](C)C2)n1 ZINC001234879766 778320161 /nfs/dbraw/zinc/32/01/61/778320161.db2.gz SUJHGSUTTWXLHH-NXEZZACHSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)CC(C)C)n1 ZINC001234880190 778320476 /nfs/dbraw/zinc/32/04/76/778320476.db2.gz YGQRDDGLWXZEFJ-VIFPVBQESA-N 0 0 254.286 2.812 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H]1CC(F)(F)C1(F)F ZINC001234905582 778326844 /nfs/dbraw/zinc/32/68/44/778326844.db2.gz QZSBAMXTRXJOGJ-ZETCQYMHSA-N 0 0 280.177 2.720 20 5 CFBDRN COc1cc(NC2CC(F)(F)C2)c([N+](=O)[O-])cc1OC ZINC000697531143 778344630 /nfs/dbraw/zinc/34/46/30/778344630.db2.gz IHFSSKPTPPCVQI-UHFFFAOYSA-N 0 0 288.250 2.822 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@H]2COCCO2)cc2ccccc21 ZINC001168272550 778352179 /nfs/dbraw/zinc/35/21/79/778352179.db2.gz VHRKTAQHDIBGLU-ZDUSSCGKSA-N 0 0 288.303 2.575 20 5 CFBDRN CCC1(CNc2cc([N+](=O)[O-])c(C)cc2OC)COC1 ZINC001168332774 778435866 /nfs/dbraw/zinc/43/58/66/778435866.db2.gz UNGYYVAGPBJQHB-UHFFFAOYSA-N 0 0 280.324 2.750 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)OCC(C)(C)C ZINC001204887222 778441618 /nfs/dbraw/zinc/44/16/18/778441618.db2.gz RDQDBBYBZSUEAL-VIFPVBQESA-N 0 0 255.318 2.551 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CC3(CCC3)C2)c2nonc21 ZINC000697673935 778449336 /nfs/dbraw/zinc/44/93/36/778449336.db2.gz PRSNBWBAMJTCDV-UHFFFAOYSA-N 0 0 274.280 2.876 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cc(C)n2)C1 ZINC001126799256 778449593 /nfs/dbraw/zinc/44/95/93/778449593.db2.gz MPPUHPKHWMTWGG-LLVKDONJSA-N 0 0 277.324 2.560 20 5 CFBDRN C[C@H]1OCC[C@H]1COCCc1ccc([N+](=O)[O-])cc1 ZINC001224327409 778471710 /nfs/dbraw/zinc/47/17/10/778471710.db2.gz CYLVHNITDOPWRQ-YPMHNXCESA-N 0 0 265.309 2.579 20 5 CFBDRN CC(C)(C)c1cnc(NC2CSC2)c([N+](=O)[O-])c1 ZINC001168359798 778475608 /nfs/dbraw/zinc/47/56/08/778475608.db2.gz MXUXINPSWUVKCT-UHFFFAOYSA-N 0 0 267.354 2.815 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(Cl)c(NC3CSC3)nc2c1 ZINC001168359860 778476631 /nfs/dbraw/zinc/47/66/31/778476631.db2.gz PVCGPLIKPUTVLA-UHFFFAOYSA-N 0 0 296.739 2.719 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C1CCC1 ZINC000160223767 778596725 /nfs/dbraw/zinc/59/67/25/778596725.db2.gz YABNRRZJPKWOQN-MRVPVSSYSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000436016805 778607780 /nfs/dbraw/zinc/60/77/80/778607780.db2.gz UAJNAYYALCRDKC-NXEZZACHSA-N 0 0 278.308 2.522 20 5 CFBDRN CN(C(=O)COC1CCCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000128864107 778627539 /nfs/dbraw/zinc/62/75/39/778627539.db2.gz FFCSUEYRMBRVRU-UHFFFAOYSA-N 0 0 292.335 2.907 20 5 CFBDRN CC(=O)OC[C@@H](C)CO[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001224798223 778691361 /nfs/dbraw/zinc/69/13/61/778691361.db2.gz IHJCJWYXZGCHJH-QWRGUYRKSA-N 0 0 281.308 2.872 20 5 CFBDRN COc1cc(NCc2cnc(C)nc2)cc([N+](=O)[O-])c1C ZINC001168393034 778780651 /nfs/dbraw/zinc/78/06/51/778780651.db2.gz ZUYSTPDISZOKML-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1Cl ZINC000698256501 778784927 /nfs/dbraw/zinc/78/49/27/778784927.db2.gz QEKGUQNAYVYUQP-MRVPVSSYSA-N 0 0 266.684 2.697 20 5 CFBDRN CSCCO[C@@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001225036238 778822867 /nfs/dbraw/zinc/82/28/67/778822867.db2.gz OQVOHTFEIPSCOV-QMMMGPOBSA-N 0 0 285.321 2.764 20 5 CFBDRN CO[C@H](C)CCOc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233500122 779045773 /nfs/dbraw/zinc/04/57/73/779045773.db2.gz QIDTZSGOHCETKN-SSDOTTSWSA-N 0 0 299.714 2.928 20 5 CFBDRN C[C@H](Oc1cc(F)c([N+](=O)[O-])cc1F)c1ncccn1 ZINC001233598215 779058084 /nfs/dbraw/zinc/05/80/84/779058084.db2.gz WPDUVMVCXZPLOI-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCO[C@@H](C(F)(F)F)C1 ZINC000698870680 779073386 /nfs/dbraw/zinc/07/33/86/779073386.db2.gz CEJBPLWNKFNFAR-SECBINFHSA-N 0 0 294.204 2.501 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)c1cccc2ccccc21 ZINC001272506492 779152091 /nfs/dbraw/zinc/15/20/91/779152091.db2.gz OYKFOCAOMHNNFP-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN C[C@@H](C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000176557353 779245192 /nfs/dbraw/zinc/24/51/92/779245192.db2.gz UFHAORDSTLNBMA-LLVKDONJSA-N 0 0 292.335 2.620 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H](C)Cc1ccco1 ZINC001234901706 779280652 /nfs/dbraw/zinc/28/06/52/779280652.db2.gz QHALTSCNSFZJDO-SECBINFHSA-N 0 0 262.265 2.901 20 5 CFBDRN Cc1ccc2[nH]c(C(=O)O[C@H](C)C[N+](=O)[O-])c(Cl)c2c1 ZINC001122969057 779320665 /nfs/dbraw/zinc/32/06/65/779320665.db2.gz YBYQHDHLJICZCH-MRVPVSSYSA-N 0 0 296.710 2.952 20 5 CFBDRN CCCC(=O)N(CC)CCNc1ccc([N+](=O)[O-])cc1 ZINC001106660033 779333486 /nfs/dbraw/zinc/33/34/86/779333486.db2.gz YFFGLAWWHDGJRV-UHFFFAOYSA-N 0 0 279.340 2.655 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(F)c(C(F)F)c1 ZINC001123053294 779334698 /nfs/dbraw/zinc/33/46/98/779334698.db2.gz RTZAQNHNNBZWNT-ZCFIWIBFSA-N 0 0 277.198 2.585 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)C[C@H]2CC=CCC2)n1 ZINC001127476039 779493927 /nfs/dbraw/zinc/49/39/27/779493927.db2.gz QXSNNTKGXRHZHI-LBPRGKRZSA-N 0 0 289.335 2.727 20 5 CFBDRN CC[C@@H](OC(=O)c1cocn1)c1cccc([N+](=O)[O-])c1 ZINC001127733671 779819191 /nfs/dbraw/zinc/81/91/91/779819191.db2.gz ZWWNMFFFLHAGKA-GFCCVEGCSA-N 0 0 276.248 2.891 20 5 CFBDRN CC(C)(C)[C@H](CO)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC001168556005 779965071 /nfs/dbraw/zinc/96/50/71/779965071.db2.gz WCCZXPKUQWAYDI-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1NCCCOC(C)C ZINC001168681167 780316991 /nfs/dbraw/zinc/31/69/91/780316991.db2.gz NXLKUASIKLBHTJ-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN COc1ccnc(N[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC001168682381 780320916 /nfs/dbraw/zinc/32/09/16/780320916.db2.gz OVUHYNNPHUHHEA-QMMMGPOBSA-N 0 0 253.302 2.845 20 5 CFBDRN CC(C)[C@H]1CC[C@H](C(=O)Nc2c([N+](=O)[O-])cnn2C)CC1 ZINC001128217173 780364005 /nfs/dbraw/zinc/36/40/05/780364005.db2.gz SNBGNOKJIVLGRU-XYPYZODXSA-N 0 0 294.355 2.729 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2CC23CCC3)s1 ZINC000721295247 780453044 /nfs/dbraw/zinc/45/30/44/780453044.db2.gz AGSLEXHWKMBXGC-QMMMGPOBSA-N 0 0 276.321 2.954 20 5 CFBDRN CCCCNc1ccc(CC(=O)OC)c([N+](=O)[O-])c1 ZINC001162205354 780614228 /nfs/dbraw/zinc/61/42/28/780614228.db2.gz HFVOXMJOBKTASP-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@@H](F)C1 ZINC000701650812 780822540 /nfs/dbraw/zinc/82/25/40/780822540.db2.gz PWJODOGCTWOTDT-SNVBAGLBSA-N 0 0 266.272 2.736 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000702334250 780915116 /nfs/dbraw/zinc/91/51/16/780915116.db2.gz VAFGMCWOSYHOMY-CYBMUJFWSA-N 0 0 284.262 2.791 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC1CSC1 ZINC000702419468 780925034 /nfs/dbraw/zinc/92/50/34/780925034.db2.gz GPTRPQLOKOYPGF-UHFFFAOYSA-N 0 0 261.306 2.670 20 5 CFBDRN CC1(O)CCC(Nc2ccsc2[N+](=O)[O-])CC1 ZINC000703535921 781025732 /nfs/dbraw/zinc/02/57/32/781025732.db2.gz XVLFTDKCFWOPDE-UHFFFAOYSA-N 0 0 256.327 2.762 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC2CCC(C)(O)CC2)c1 ZINC000703624571 781040197 /nfs/dbraw/zinc/04/01/97/781040197.db2.gz WJEQNOQHWQUDSQ-UHFFFAOYSA-N 0 0 280.324 2.709 20 5 CFBDRN Cc1ccnc(NCC[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000704836587 781113993 /nfs/dbraw/zinc/11/39/93/781113993.db2.gz DRXCKEJDDYDIJN-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN C/C=C/C=C/C(=O)N1CCc2c1ccc([N+](=O)[O-])c2F ZINC001129107611 781126475 /nfs/dbraw/zinc/12/64/75/781126475.db2.gz IYFOBXONNGQANP-MQQKCMAXSA-N 0 0 276.267 2.755 20 5 CFBDRN O=C(NCC[C@H]1CCSC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000704940955 781126709 /nfs/dbraw/zinc/12/67/09/781126709.db2.gz MSQGZXOSMBIHPM-VIFPVBQESA-N 0 0 298.339 2.607 20 5 CFBDRN O=C(NCC[C@H]1CCSC1)c1cc([N+](=O)[O-])ccc1F ZINC000704939564 781126879 /nfs/dbraw/zinc/12/68/79/781126879.db2.gz HTNGFNPGYDPRJT-VIFPVBQESA-N 0 0 298.339 2.607 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@H](C(F)F)C1 ZINC000706334275 781169698 /nfs/dbraw/zinc/16/96/98/781169698.db2.gz LEKWVCLCTUQHQY-SSDOTTSWSA-N 0 0 260.215 2.825 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])[C@H]1C ZINC000706925872 781216219 /nfs/dbraw/zinc/21/62/19/781216219.db2.gz GUMBGXVMRDMCPB-YUMQZZPRSA-N 0 0 268.700 2.729 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)ccn1 ZINC001129248053 781242869 /nfs/dbraw/zinc/24/28/69/781242869.db2.gz ZEJMEMKQSHAIRU-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1conc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000708224927 781267565 /nfs/dbraw/zinc/26/75/65/781267565.db2.gz BUBYXTAGWOFTNM-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN CCOc1cccc(NCCOC2CCC2)c1[N+](=O)[O-] ZINC000709177231 781284162 /nfs/dbraw/zinc/28/41/62/781284162.db2.gz UZAKAXREJSBHMX-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN CC1(C)C[C@@H](CNc2cccnc2[N+](=O)[O-])C(C)(C)O1 ZINC000709764214 781307328 /nfs/dbraw/zinc/30/73/28/781307328.db2.gz BLPKHJFAAOIXRB-JTQLQIEISA-N 0 0 279.340 2.995 20 5 CFBDRN CC1(C)C[C@H](CNc2ccc([N+](=O)[O-])cn2)C(C)(C)O1 ZINC000709767662 781307726 /nfs/dbraw/zinc/30/77/26/781307726.db2.gz UKKMJDNJADLCDF-SNVBAGLBSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1cc(-c2noc(-c3ccc([N+](=O)[O-])o3)n2)ccn1 ZINC001212569997 781395761 /nfs/dbraw/zinc/39/57/61/781395761.db2.gz IEOIFKPRXIPTOX-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000711720775 781466915 /nfs/dbraw/zinc/46/69/15/781466915.db2.gz WMCPLRPCWWHMSZ-MRVPVSSYSA-N 0 0 251.261 2.694 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000711784444 781472338 /nfs/dbraw/zinc/47/23/38/781472338.db2.gz HCSULMHKACNQJP-VIFPVBQESA-N 0 0 280.711 2.944 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCC(C)(C)OC)c1 ZINC000711865862 781480617 /nfs/dbraw/zinc/48/06/17/781480617.db2.gz ZKVNNTIANHNTHN-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN COC(C)(C)CCNc1nc2sccn2c1[N+](=O)[O-] ZINC000711870197 781482762 /nfs/dbraw/zinc/48/27/62/781482762.db2.gz PRSIDCMVTDPWJS-UHFFFAOYSA-N 0 0 284.341 2.531 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CCC2)[C@H]2CCOC2)s1 ZINC000711896453 781486842 /nfs/dbraw/zinc/48/68/42/781486842.db2.gz WFVAZXGNIBCWIK-GXSJLCMTSA-N 0 0 283.353 2.668 20 5 CFBDRN O=C(OCCOC1CC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000712031883 781490282 /nfs/dbraw/zinc/49/02/82/781490282.db2.gz XZARHZFVZXWBCZ-UHFFFAOYSA-N 0 0 285.683 2.584 20 5 CFBDRN CCCN(CCOC)c1ncc(Cl)cc1[N+](=O)[O-] ZINC001163642111 781525389 /nfs/dbraw/zinc/52/53/89/781525389.db2.gz KKTXDPPJXRFCBB-UHFFFAOYSA-N 0 0 273.720 2.506 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N(C)CC(F)(F)F)nc1Cl ZINC001163706975 781559437 /nfs/dbraw/zinc/55/94/37/781559437.db2.gz QMIVJYBXCZLOKI-UHFFFAOYSA-N 0 0 283.637 2.950 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCc1nnc(CC2CC2)o1 ZINC001118358949 781600200 /nfs/dbraw/zinc/60/02/00/781600200.db2.gz YDONZVBSIXPUAH-UHFFFAOYSA-N 0 0 289.291 2.552 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N2CC(F)(C3CC3)C2)nc1Cl ZINC001163967978 781621366 /nfs/dbraw/zinc/62/13/66/781621366.db2.gz KVMIUNVBBZNJHT-UHFFFAOYSA-N 0 0 285.706 2.890 20 5 CFBDRN COc1nc(N2CCCC(F)(F)CC2)ccc1[N+](=O)[O-] ZINC001163977178 781624225 /nfs/dbraw/zinc/62/42/25/781624225.db2.gz OOOGRUQBQFFFLO-UHFFFAOYSA-N 0 0 287.266 2.624 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1N[C@@H]1CC[C@@H]2[C@H](C1)C2(F)F ZINC001118597671 781686318 /nfs/dbraw/zinc/68/63/18/781686318.db2.gz OTEDMKAYRGAGAQ-BBBLOLIVSA-N 0 0 283.278 2.566 20 5 CFBDRN COc1cc(N[C@@H]2C=CCC2)c(F)cc1[N+](=O)[O-] ZINC000698317643 781701700 /nfs/dbraw/zinc/70/17/00/781701700.db2.gz JOLHDOHCCMMGRU-MRVPVSSYSA-N 0 0 252.245 2.873 20 5 CFBDRN C[C@@]1(F)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H]1F ZINC001164326995 781705888 /nfs/dbraw/zinc/70/58/88/781705888.db2.gz MBZNTSNVFNICDZ-GXSJLCMTSA-N 0 0 291.685 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC(F)(F)C[C@H]1CF ZINC001164521411 781726188 /nfs/dbraw/zinc/72/61/88/781726188.db2.gz JJAHGBOUPSOTSL-ZETCQYMHSA-N 0 0 295.648 2.827 20 5 CFBDRN C[C@@H](CCO)Nc1cc(Cl)ccc1/C=C/[N+](=O)[O-] ZINC001165938103 781755563 /nfs/dbraw/zinc/75/55/63/781755563.db2.gz LOEAGQGIEIIHJG-DNQSNQRASA-N 0 0 270.716 2.770 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3C=CCC3)cc2N1 ZINC000698340918 781761884 /nfs/dbraw/zinc/76/18/84/781761884.db2.gz FQHKIHCMNJQUEU-SNVBAGLBSA-N 0 0 273.292 2.610 20 5 CFBDRN COc1nc(N2CCC[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC001166608795 781765649 /nfs/dbraw/zinc/76/56/49/781765649.db2.gz AMZRHGAQEVKRBD-ZJUUUORDSA-N 0 0 265.313 2.623 20 5 CFBDRN COC(C)(C)[C@@H]1CCCN1c1ncc([N+](=O)[O-])cc1F ZINC001166793141 781811130 /nfs/dbraw/zinc/81/11/30/781811130.db2.gz YMIBRQCRSJONKM-NSHDSACASA-N 0 0 283.303 2.523 20 5 CFBDRN CN(Cc1ccsc1)c1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001166822584 781813676 /nfs/dbraw/zinc/81/36/76/781813676.db2.gz WKNJMMCWGQOTDL-UHFFFAOYSA-N 0 0 294.292 2.596 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NCCCc1ccccc1 ZINC000082155402 177924717 /nfs/dbraw/zinc/92/47/17/177924717.db2.gz XNPLGDUHSADXTG-UHFFFAOYSA-N 0 0 299.330 2.540 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@]2(CC2(F)F)C1 ZINC000594587212 349453263 /nfs/dbraw/zinc/45/32/63/349453263.db2.gz VGKORYGSXPJBRZ-LBPRGKRZSA-N 0 0 284.262 2.839 20 5 CFBDRN Cc1occc1CNc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000594548720 349445310 /nfs/dbraw/zinc/44/53/10/349445310.db2.gz OWURJEZOLWPKEC-UHFFFAOYSA-N 0 0 291.307 2.712 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCS[C@@H]1C ZINC000594586676 349452402 /nfs/dbraw/zinc/45/24/02/349452402.db2.gz ZAQUWOUXTNSINX-RKDXNWHRSA-N 0 0 268.338 2.909 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@H](OC(C)C)C1 ZINC000594586042 349452410 /nfs/dbraw/zinc/45/24/10/349452410.db2.gz KAZDBRRDMAEGIC-LBPRGKRZSA-N 0 0 294.351 2.997 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCS[C@H]1C ZINC000594586674 349452427 /nfs/dbraw/zinc/45/24/27/349452427.db2.gz ZAQUWOUXTNSINX-DTWKUNHWSA-N 0 0 268.338 2.909 20 5 CFBDRN COCC1(CNc2nccc3c2cccc3[N+](=O)[O-])CC1 ZINC000594592418 349453703 /nfs/dbraw/zinc/45/37/03/349453703.db2.gz OAAAWECFIHLQNM-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])s1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000594592878 349454490 /nfs/dbraw/zinc/45/44/90/349454490.db2.gz ZUXUSZQEJOMIFG-GARJFASQSA-N 0 0 299.396 2.566 20 5 CFBDRN COCC1(CNc2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000594593079 349454758 /nfs/dbraw/zinc/45/47/58/349454758.db2.gz WQDUDYLQCZKJDP-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cnc(NC[C@@H](C)C(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000594595932 349455578 /nfs/dbraw/zinc/45/55/78/349455578.db2.gz LYDWJEXYAKLMME-SNVBAGLBSA-N 0 0 295.339 2.688 20 5 CFBDRN Cc1ccnc(N2CCC[C@H](OC3CCC3)C2)c1[N+](=O)[O-] ZINC000594595636 349455672 /nfs/dbraw/zinc/45/56/72/349455672.db2.gz ZRNLMQFBYRJPKB-ZDUSSCGKSA-N 0 0 291.351 2.836 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])s2)CC2(CCCCC2)O1 ZINC000594598998 349456957 /nfs/dbraw/zinc/45/69/57/349456957.db2.gz KHQHVPKPGLGUHW-JTQLQIEISA-N 0 0 297.380 2.979 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)[C@H]1CCCCO1 ZINC000594600588 349458434 /nfs/dbraw/zinc/45/84/34/349458434.db2.gz MJNAPQIQDRGJMZ-VXGBXAGGSA-N 0 0 283.303 2.889 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CC2(CC2(F)F)C1 ZINC000594609467 349462287 /nfs/dbraw/zinc/46/22/87/349462287.db2.gz YELYKWQMDFGHLV-HWKANZROSA-N 0 0 280.274 2.949 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CC2(CC2(F)F)C1 ZINC000594609471 349462584 /nfs/dbraw/zinc/46/25/84/349462584.db2.gz YELYKWQMDFGHLV-HYXAFXHYSA-N 0 0 280.274 2.949 20 5 CFBDRN CCCCN(CC)C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000594661276 349471098 /nfs/dbraw/zinc/47/10/98/349471098.db2.gz PEZGEEQGMRPZST-UHFFFAOYSA-N 0 0 294.307 2.586 20 5 CFBDRN CCc1ccc(OC[C@H](OC)[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000594887415 349493982 /nfs/dbraw/zinc/49/39/82/349493982.db2.gz LHAJAIPOOHTIBM-WFASDCNBSA-N 0 0 295.335 2.588 20 5 CFBDRN Cc1ccc(OCc2cc(C)nc(C)n2)c([N+](=O)[O-])c1 ZINC000594880829 349492540 /nfs/dbraw/zinc/49/25/40/349492540.db2.gz OXNHJSQUGPGMIE-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCC2CC=CC2)c1 ZINC000594885208 349493057 /nfs/dbraw/zinc/49/30/57/349493057.db2.gz BDHBITAAFDLZBH-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@]1(OC(C)C)CCOC1 ZINC000594886299 349493467 /nfs/dbraw/zinc/49/34/67/349493467.db2.gz NBDYKVPKMFQDAQ-HNNXBMFYSA-N 0 0 295.335 2.866 20 5 CFBDRN CC(C)=CCCn1c(=O)onc1-c1ccc([N+](=O)[O-])cc1 ZINC000594890354 349495197 /nfs/dbraw/zinc/49/51/97/349495197.db2.gz HPYYZJYOCYMZGW-UHFFFAOYSA-N 0 0 289.291 2.768 20 5 CFBDRN CO[C@H](CSCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCOC1 ZINC000594892618 349495907 /nfs/dbraw/zinc/49/59/07/349495907.db2.gz BSBLHVCSQXVVTO-TZMCWYRMSA-N 0 0 297.376 2.880 20 5 CFBDRN CO[C@H](CSc1ccc([N+](=O)[O-])cn1)C1CCC1 ZINC000594892919 349496134 /nfs/dbraw/zinc/49/61/34/349496134.db2.gz LIWIZLAGMUEMKX-LLVKDONJSA-N 0 0 268.338 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCO[C@@H]2CC2(F)F)nc1 ZINC000594892719 349496255 /nfs/dbraw/zinc/49/62/55/349496255.db2.gz FFRXMAQGMSZHNP-MRVPVSSYSA-N 0 0 276.264 2.506 20 5 CFBDRN CCC(CC)Cn1nc(-c2ccc([N+](=O)[O-])cc2)oc1=O ZINC000594893899 349496669 /nfs/dbraw/zinc/49/66/69/349496669.db2.gz NLYKYMQEYHMGDF-UHFFFAOYSA-N 0 0 291.307 2.848 20 5 CFBDRN CC(C)=CCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000594896303 349497781 /nfs/dbraw/zinc/49/77/81/349497781.db2.gz TULJTUDIHHFHHO-UHFFFAOYSA-N 0 0 269.322 2.725 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2cncs2)n1 ZINC000594898191 349498649 /nfs/dbraw/zinc/49/86/49/349498649.db2.gz IHEFBKXSZDAYQI-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN O=c1onc(-c2cccc([N+](=O)[O-])c2)n1CCC1CCC1 ZINC000594899087 349498960 /nfs/dbraw/zinc/49/89/60/349498960.db2.gz MLZGRGWCYOQCKF-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000124619627 187145698 /nfs/dbraw/zinc/14/56/98/187145698.db2.gz XNLQWJRUPLQRDW-NSHDSACASA-N 0 0 269.322 2.780 20 5 CFBDRN CC(C)CNc1ncc([N+](=O)[O-])cc1Br ZINC000082585311 178120490 /nfs/dbraw/zinc/12/04/90/178120490.db2.gz LCLQHUJVHKGSOM-UHFFFAOYSA-N 0 0 274.118 2.820 20 5 CFBDRN C[C@H]1CCSCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000302686387 290614286 /nfs/dbraw/zinc/61/42/86/290614286.db2.gz BFVTVWJIPFRRRC-QMMMGPOBSA-N 0 0 287.772 2.975 20 5 CFBDRN CCCc1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000273831466 192325182 /nfs/dbraw/zinc/32/51/82/192325182.db2.gz DQEZQMNKNKTHGI-UHFFFAOYSA-N 0 0 261.281 2.830 20 5 CFBDRN Cc1cc(C(=O)N2CCC=C(F)C2)cc([N+](=O)[O-])c1 ZINC000273063783 192034193 /nfs/dbraw/zinc/03/41/93/192034193.db2.gz JIBABGGRVVIABA-UHFFFAOYSA-N 0 0 264.256 2.603 20 5 CFBDRN CCOc1cccc(N(C)C[C@H]2CCC[C@@H]2O)c1[N+](=O)[O-] ZINC000273849315 192333897 /nfs/dbraw/zinc/33/38/97/192333897.db2.gz JMBLZJGZAMOPIX-YPMHNXCESA-N 0 0 294.351 2.591 20 5 CFBDRN Cc1cc(OC[C@H](O)C(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000290189023 197625034 /nfs/dbraw/zinc/62/50/34/197625034.db2.gz RLPLIQNXIQOVOZ-LBPRGKRZSA-N 0 0 271.288 2.828 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000125137074 187171054 /nfs/dbraw/zinc/17/10/54/187171054.db2.gz GMIQEMODXKSVBH-LLVKDONJSA-N 0 0 258.705 2.677 20 5 CFBDRN COC1(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000273084462 192038697 /nfs/dbraw/zinc/03/86/97/192038697.db2.gz HVVUCCUVDQHHPW-LLVKDONJSA-N 0 0 292.335 2.650 20 5 CFBDRN C[C@H](N[C@@H]1CCCn2nccc21)c1ccccc1[N+](=O)[O-] ZINC000595026000 349554731 /nfs/dbraw/zinc/55/47/31/349554731.db2.gz MCUNCZKMBQIQAW-WCQYABFASA-N 0 0 286.335 2.977 20 5 CFBDRN CCOc1ccc(NCc2ccc([N+](=O)[O-])cc2)nc1C ZINC000595002013 349544235 /nfs/dbraw/zinc/54/42/35/349544235.db2.gz ZVYVHLGRGXRPSA-UHFFFAOYSA-N 0 0 287.319 2.731 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)NCc1cnc(C)o1 ZINC000595053463 349566470 /nfs/dbraw/zinc/56/64/70/349566470.db2.gz VKAMLABRRPBGEP-SECBINFHSA-N 0 0 291.307 2.751 20 5 CFBDRN C[C@H](N[C@H]1C[C@@H](C)n2ncnc21)c1cccc([N+](=O)[O-])c1 ZINC000595064129 349572489 /nfs/dbraw/zinc/57/24/89/349572489.db2.gz CXKSTVRIZZFGQS-NRUUGDAUSA-N 0 0 287.323 2.543 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NCC2(C(C)C)CC2)c1[N+](=O)[O-] ZINC000269501454 190501187 /nfs/dbraw/zinc/50/11/87/190501187.db2.gz NFVAWIHHTXURGS-UHFFFAOYSA-N 0 0 294.355 2.607 20 5 CFBDRN C[C@H](c1ccccn1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000067999667 346831369 /nfs/dbraw/zinc/83/13/69/346831369.db2.gz DHTQPZHACWDABI-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN CC(C)(C)CC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000068186431 346839948 /nfs/dbraw/zinc/83/99/48/346839948.db2.gz GQLRWWICXRFCOW-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN CC(C)C[C@@H]1COCCN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595323733 349602189 /nfs/dbraw/zinc/60/21/89/349602189.db2.gz OAYXIMZVRXMROG-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN CO[C@]1(C)C[C@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1(C)C ZINC000595335223 349604248 /nfs/dbraw/zinc/60/42/48/349604248.db2.gz SOUJIFOCTQFHQC-SMDDNHRTSA-N 0 0 297.355 2.527 20 5 CFBDRN Cc1noc(CN(C)Cc2ccsc2)c1[N+](=O)[O-] ZINC000595286669 349591375 /nfs/dbraw/zinc/59/13/75/349591375.db2.gz JKHSKJADCHMJDL-UHFFFAOYSA-N 0 0 267.310 2.585 20 5 CFBDRN COc1ccccc1N(C)Cc1onc(C)c1[N+](=O)[O-] ZINC000595293195 349593358 /nfs/dbraw/zinc/59/33/58/349593358.db2.gz HCYBKEQYHZVZGK-UHFFFAOYSA-N 0 0 277.280 2.536 20 5 CFBDRN Cc1noc(CN2CCC[C@@H]2c2ccc[nH]2)c1[N+](=O)[O-] ZINC000595359322 349609687 /nfs/dbraw/zinc/60/96/87/349609687.db2.gz MIFDXPHVGDHQDK-LLVKDONJSA-N 0 0 276.296 2.556 20 5 CFBDRN Cc1noc(CN2c3ccccc3OC[C@H]2C)c1[N+](=O)[O-] ZINC000595381381 349613590 /nfs/dbraw/zinc/61/35/90/349613590.db2.gz JIJDFZQGAKUEIH-SECBINFHSA-N 0 0 289.291 2.679 20 5 CFBDRN Cc1noc(CN(C)[C@@H](C)c2cccc(O)c2)c1[N+](=O)[O-] ZINC000595375527 349612066 /nfs/dbraw/zinc/61/20/66/349612066.db2.gz RPFPQHCVHVFPRT-JTQLQIEISA-N 0 0 291.307 2.790 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1cncs1 ZINC000595387566 349614511 /nfs/dbraw/zinc/61/45/11/349614511.db2.gz DGFPEAJGZLBDBB-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN Cc1noc(CN[C@H](c2cccnc2)C2CC2)c1[N+](=O)[O-] ZINC000595424908 349621647 /nfs/dbraw/zinc/62/16/47/349621647.db2.gz ISYLRDGXOOOGQT-ZDUSSCGKSA-N 0 0 288.307 2.527 20 5 CFBDRN CC[C@]1(C(C)C)C[C@@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000413385184 529390003 /nfs/dbraw/zinc/39/00/03/529390003.db2.gz RBFDEWYOSHPTES-WCQYABFASA-N 0 0 264.329 2.930 20 5 CFBDRN Cc1noc(CN[C@H](C(C)(C)C)C(F)(F)F)c1[N+](=O)[O-] ZINC000595423255 349620465 /nfs/dbraw/zinc/62/04/65/349620465.db2.gz KRJSEIOHXAZIQN-SECBINFHSA-N 0 0 295.261 2.958 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCC(C)(F)F ZINC000294973763 199277917 /nfs/dbraw/zinc/27/79/17/199277917.db2.gz OQVKXTGDFTVWHU-UHFFFAOYSA-N 0 0 276.287 2.967 20 5 CFBDRN CCCC1(CNC(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])CC1 ZINC000269643531 190526023 /nfs/dbraw/zinc/52/60/23/190526023.db2.gz YLAYDAATGQZRMY-UHFFFAOYSA-N 0 0 294.355 2.751 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000330986680 529398201 /nfs/dbraw/zinc/39/82/01/529398201.db2.gz LLONZKDFMCEYGK-OAHLLOKOSA-N 0 0 292.335 2.622 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269643580 190526326 /nfs/dbraw/zinc/52/63/26/190526326.db2.gz OTNBPANVHPXVDQ-JGVFFNPUSA-N 0 0 274.267 2.692 20 5 CFBDRN CC(C)[C@@H](NC(=O)NCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000595445360 349628831 /nfs/dbraw/zinc/62/88/31/349628831.db2.gz AESFOIQWAGSOCG-CQSZACIVSA-N 0 0 291.351 2.829 20 5 CFBDRN C[C@H]1COC(C)(C)CN1Cc1ccccc1[N+](=O)[O-] ZINC000331118464 300333761 /nfs/dbraw/zinc/33/37/61/300333761.db2.gz ONDIVDSJLBCNGJ-NSHDSACASA-N 0 0 264.325 2.594 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@@H](C)CC2)cc1[N+](=O)[O-] ZINC000068779542 346869128 /nfs/dbraw/zinc/86/91/28/346869128.db2.gz ZRSDTMGJXPMEEA-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1ncc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cn1 ZINC000343236300 282161662 /nfs/dbraw/zinc/16/16/62/282161662.db2.gz NJISPDOUDFABNO-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN COCCN(Cc1onc(C)c1[N+](=O)[O-])[C@H]1CC[C@@H](C)C1 ZINC000595462438 349634343 /nfs/dbraw/zinc/63/43/43/349634343.db2.gz UBMKDBZHPHGKSX-PWSUYJOCSA-N 0 0 297.355 2.528 20 5 CFBDRN CC(C)(C)[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000595464314 349634531 /nfs/dbraw/zinc/63/45/31/349634531.db2.gz AAHKBBPHDZZCCK-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN C[C@H]1COC(C)(C)CN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595462796 349634751 /nfs/dbraw/zinc/63/47/51/349634751.db2.gz WGHFMUBFYWGDEA-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@H]1CCCN(C(=O)COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000068780836 346869612 /nfs/dbraw/zinc/86/96/12/346869612.db2.gz AEWJGKLXUFUBNN-LBPRGKRZSA-N 0 0 292.335 2.622 20 5 CFBDRN CC[C@H](C)CCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000595473661 349637503 /nfs/dbraw/zinc/63/75/03/349637503.db2.gz AFGVATOOWFYTQL-NSHDSACASA-N 0 0 279.340 2.830 20 5 CFBDRN Cc1noc(CN2CCCC[C@@H]2C(F)F)c1[N+](=O)[O-] ZINC000595473264 349637740 /nfs/dbraw/zinc/63/77/40/349637740.db2.gz WAJTYJQJAUAHHJ-MRVPVSSYSA-N 0 0 275.255 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC[C@@H]1c1cc[nH]n1 ZINC000595480540 349639396 /nfs/dbraw/zinc/63/93/96/349639396.db2.gz LYSSNFDNVZABMF-CYBMUJFWSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000068833818 346873491 /nfs/dbraw/zinc/87/34/91/346873491.db2.gz WRIHFLMVWZHAOK-GFCCVEGCSA-N 0 0 274.320 2.989 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000068834925 346873869 /nfs/dbraw/zinc/87/38/69/346873869.db2.gz UQYABDXSAGIBDP-GFCCVEGCSA-N 0 0 274.320 2.989 20 5 CFBDRN CCCC[C@@H](C)N(C)Cc1onc(C)c1[N+](=O)[O-] ZINC000595492912 349641816 /nfs/dbraw/zinc/64/18/16/349641816.db2.gz ZIUGDTJRWVSBEH-SECBINFHSA-N 0 0 255.318 2.902 20 5 CFBDRN CC[C@@H](C)CCNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000595522861 349650435 /nfs/dbraw/zinc/65/04/35/349650435.db2.gz OXCNACNRCVYAQO-GFCCVEGCSA-N 0 0 293.367 2.873 20 5 CFBDRN COC[C@@]1(C)CC[N@H+](Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595506755 349645884 /nfs/dbraw/zinc/64/58/84/349645884.db2.gz LLDJVHRRJJRQTI-AWEZNQCLSA-N 0 0 282.315 2.592 20 5 CFBDRN Cc1c(NC(=O)N(C)C[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000069027626 346880768 /nfs/dbraw/zinc/88/07/68/346880768.db2.gz UQZAZAIRJHTPHZ-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@H](C)CCNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000595574005 349664000 /nfs/dbraw/zinc/66/40/00/349664000.db2.gz MWBDWMGRVTVEQL-LBPRGKRZSA-N 0 0 293.367 2.873 20 5 CFBDRN Cc1nccc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)n1 ZINC000507241622 282182353 /nfs/dbraw/zinc/18/23/53/282182353.db2.gz BBLZWPFYLWUGRT-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN C[C@@]1(C2CC2)CN(Cc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000595617683 349678090 /nfs/dbraw/zinc/67/80/90/349678090.db2.gz IOECBKUBBNBAOG-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN CCCC[C@H]1CCC[C@H]1NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000069541764 346895068 /nfs/dbraw/zinc/89/50/68/346895068.db2.gz JPTYZCQEQJKHLH-WDEREUQCSA-N 0 0 294.355 2.715 20 5 CFBDRN Cc1nn(C)cc1CNc1cc(C)ccc1[N+](=O)[O-] ZINC000125812454 187201362 /nfs/dbraw/zinc/20/13/62/187201362.db2.gz GADVASDCHKLCKW-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)C(C)C)c1[N+](=O)[O-] ZINC000069675180 346898198 /nfs/dbraw/zinc/89/81/98/346898198.db2.gz OJVGHYFUGDXIQV-JTQLQIEISA-N 0 0 250.298 2.678 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1Cc1ccc([N+](=O)[O-])cc1F ZINC000595681824 349699592 /nfs/dbraw/zinc/69/95/92/349699592.db2.gz MQTUBCBAPJPRJC-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CCC[C@@H](CCF)C1 ZINC000595755777 349724438 /nfs/dbraw/zinc/72/44/38/349724438.db2.gz QDYHPTPIYTVAOM-NSHDSACASA-N 0 0 282.315 2.872 20 5 CFBDRN CC1(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)CCC1 ZINC000126055169 187212962 /nfs/dbraw/zinc/21/29/62/187212962.db2.gz NKLOYNVOPQYASY-UHFFFAOYSA-N 0 0 282.727 2.850 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])cnc1C)[C@@H]1CC1(C)C ZINC000416087440 529561889 /nfs/dbraw/zinc/56/18/89/529561889.db2.gz YFTJZZSUJOFYDU-STQMWFEESA-N 0 0 291.351 2.853 20 5 CFBDRN CCn1ccnc1[C@@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413326553 529591181 /nfs/dbraw/zinc/59/11/81/529591181.db2.gz WKXKBIHHKZOONL-MRVPVSSYSA-N 0 0 279.275 2.518 20 5 CFBDRN CCc1ccc(C(=O)N(C)Cc2ccncc2)cc1[N+](=O)[O-] ZINC000174039494 529592608 /nfs/dbraw/zinc/59/26/08/529592608.db2.gz QNEXIPVWGIDXIW-UHFFFAOYSA-N 0 0 299.330 2.824 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000595871973 349758509 /nfs/dbraw/zinc/75/85/09/349758509.db2.gz JFLNFXWICIPOQH-YGRLFVJLSA-N 0 0 298.770 2.699 20 5 CFBDRN CC(=O)c1ccc(OCc2onc(C)c2[N+](=O)[O-])c(C)c1 ZINC000596024764 349777798 /nfs/dbraw/zinc/77/77/98/349777798.db2.gz YGUDULVMXADCDL-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN Cc1noc(CNc2cc(C)cc(F)c2)c1[N+](=O)[O-] ZINC000596031511 349778493 /nfs/dbraw/zinc/77/84/93/349778493.db2.gz AOPKJZKHTJCVTP-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1cnc(NCCc2ccncc2C)c([N+](=O)[O-])c1 ZINC000269972734 190578242 /nfs/dbraw/zinc/57/82/42/190578242.db2.gz LHSVNDIWDFFZNV-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000070953921 346917839 /nfs/dbraw/zinc/91/78/39/346917839.db2.gz MLEWEBRLANGXDW-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN COC(=O)c1c(Cl)c(NC2CC2)ccc1[N+](=O)[O-] ZINC000596055727 349782915 /nfs/dbraw/zinc/78/29/15/349782915.db2.gz MZGICSBFHTXTAA-UHFFFAOYSA-N 0 0 270.672 2.609 20 5 CFBDRN C[C@@H]1CCCC[C@H]1OCCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596077945 349788824 /nfs/dbraw/zinc/78/88/24/349788824.db2.gz WXONUHOOPOYVPI-ZYHUDNBSSA-N 0 0 295.339 2.703 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H](O)C(C)C ZINC000414406880 529648602 /nfs/dbraw/zinc/64/86/02/529648602.db2.gz DPMSUZCLXZKKBF-CHWSQXEVSA-N 0 0 295.339 2.512 20 5 CFBDRN C[C@@H]1CCN1c1c(Br)cccc1[N+](=O)[O-] ZINC000596089783 349792332 /nfs/dbraw/zinc/79/23/32/349792332.db2.gz WNVXGCSAEQVECV-SSDOTTSWSA-N 0 0 271.114 2.956 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596086076 349790447 /nfs/dbraw/zinc/79/04/47/349790447.db2.gz UUDUZZQZWADARB-UWVGGRQHSA-N 0 0 265.313 2.934 20 5 CFBDRN COC(=O)[C@H](C)Sc1cc(C)sc1[N+](=O)[O-] ZINC000596070799 349786527 /nfs/dbraw/zinc/78/65/27/349786527.db2.gz SOVQBLFPUFWHLB-LURJTMIESA-N 0 0 261.324 2.618 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NC(=O)C1CC1 ZINC000071777543 346923162 /nfs/dbraw/zinc/92/31/62/346923162.db2.gz OOSBASDTSDIOOD-UHFFFAOYSA-N 0 0 263.297 2.764 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@@H](O)C(C)C ZINC000413561615 529674917 /nfs/dbraw/zinc/67/49/17/529674917.db2.gz ZVUDFXYUSOMNGZ-GWCFXTLKSA-N 0 0 267.329 2.506 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1)[C@H](O)C(C)C ZINC000413548331 529676855 /nfs/dbraw/zinc/67/68/55/529676855.db2.gz UYUDXQGYQKFDEL-QWHCGFSZSA-N 0 0 252.314 2.802 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NC(C)(C)C)c1 ZINC000270048133 190591155 /nfs/dbraw/zinc/59/11/55/190591155.db2.gz AAEIOYDAGCWQFM-UHFFFAOYSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cc(NCc2c(C)nn(C)c2C)c([N+](=O)[O-])s1 ZINC000596104004 349794932 /nfs/dbraw/zinc/79/49/32/349794932.db2.gz INIMRHFUIFCSHU-UHFFFAOYSA-N 0 0 280.353 2.927 20 5 CFBDRN Cc1ncc2c(n1)CC[C@@H](Nc1ccc([N+](=O)[O-])s1)C2 ZINC000596102406 349794965 /nfs/dbraw/zinc/79/49/65/349794965.db2.gz IJOYJLBHOUGGRB-SNVBAGLBSA-N 0 0 290.348 2.724 20 5 CFBDRN CC(C)OCCCOc1ccc([N+](=O)[O-])cc1F ZINC000126489251 187243048 /nfs/dbraw/zinc/24/30/48/187243048.db2.gz GPCWYSFQTNSEAL-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCCOC(C)C)c1 ZINC000126484521 187243363 /nfs/dbraw/zinc/24/33/63/187243363.db2.gz FTYYNDNBICJTHM-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CCOC[C@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596127041 349800456 /nfs/dbraw/zinc/80/04/56/349800456.db2.gz SYZLYKLHPZUDET-JTQLQIEISA-N 0 0 299.758 2.896 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000126535276 187246653 /nfs/dbraw/zinc/24/66/53/187246653.db2.gz COZHPAFAWAUMOT-LBPRGKRZSA-N 0 0 293.367 2.825 20 5 CFBDRN Cc1ncsc1CCNc1nccc(C)c1[N+](=O)[O-] ZINC000294987653 199286164 /nfs/dbraw/zinc/28/61/64/199286164.db2.gz MSXBFPVLBMMVFW-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN Cc1cc(N(C)Cc2ccon2)c([N+](=O)[O-])s1 ZINC000596134431 349804066 /nfs/dbraw/zinc/80/40/66/349804066.db2.gz INUIGFIGIVMLGP-UHFFFAOYSA-N 0 0 253.283 2.589 20 5 CFBDRN CC(C)C1CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1 ZINC000596138595 349805486 /nfs/dbraw/zinc/80/54/86/349805486.db2.gz YWZMYGMJZIYQJD-UHFFFAOYSA-N 0 0 291.351 2.566 20 5 CFBDRN CC1(C)CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596140297 349805493 /nfs/dbraw/zinc/80/54/93/349805493.db2.gz FXFWJLMHDAECLT-UHFFFAOYSA-N 0 0 265.313 2.712 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H]1C ZINC000596144749 349807482 /nfs/dbraw/zinc/80/74/82/349807482.db2.gz MTEKXLBONOKUBU-OCAPTIKFSA-N 0 0 255.705 2.735 20 5 CFBDRN CC[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCS1 ZINC000596145041 349807864 /nfs/dbraw/zinc/80/78/64/349807864.db2.gz PKHHCHCGHZICHX-QMMMGPOBSA-N 0 0 287.772 2.975 20 5 CFBDRN NC(=O)c1cc(NCC(C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000596141899 349806468 /nfs/dbraw/zinc/80/64/68/349806468.db2.gz MLTHEISBQWGIEG-UHFFFAOYSA-N 0 0 289.335 2.542 20 5 CFBDRN O=c1[nH]ccc(Sc2nnc(C3CC3)s2)c1[N+](=O)[O-] ZINC000596147241 349809014 /nfs/dbraw/zinc/80/90/14/349809014.db2.gz PXMBRCFXDMDPNI-UHFFFAOYSA-N 0 0 296.333 2.576 20 5 CFBDRN Cc1c(NC(=O)CC2(O)CCCC2)cccc1[N+](=O)[O-] ZINC000072795074 346940321 /nfs/dbraw/zinc/94/03/21/346940321.db2.gz BJNIYPVKYYZNGN-UHFFFAOYSA-N 0 0 278.308 2.537 20 5 CFBDRN Cc1c(NC(=O)CCn2cccc2)cccc1[N+](=O)[O-] ZINC000072795027 346940740 /nfs/dbraw/zinc/94/07/40/346940740.db2.gz ZNQRLFFVUJGJNY-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN CC[C@@H](C)CN(CC)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596150671 349809481 /nfs/dbraw/zinc/80/94/81/349809481.db2.gz YXQQMVPVKJSULB-SNVBAGLBSA-N 0 0 279.340 2.566 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596164517 349814862 /nfs/dbraw/zinc/81/48/62/349814862.db2.gz ZEFFAVQKUAVSEY-SKDRFNHKSA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1nn(C)c(NC(=O)c2cc(C)ccc2[N+](=O)[O-])c1C ZINC000265982288 282274014 /nfs/dbraw/zinc/27/40/14/282274014.db2.gz BAJIUKRUQPTOPS-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000596171363 349816134 /nfs/dbraw/zinc/81/61/34/349816134.db2.gz LLZWLHWBOQEQJP-NXEZZACHSA-N 0 0 297.742 2.506 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](SC)C2)c1 ZINC000295031983 199309636 /nfs/dbraw/zinc/30/96/36/199309636.db2.gz RYIPGWQVRACHFJ-SNVBAGLBSA-N 0 0 268.338 2.545 20 5 CFBDRN CCc1ncsc1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000156990441 529763840 /nfs/dbraw/zinc/76/38/40/529763840.db2.gz HDJUMTNNKVYMPX-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN CCc1nn(C)c(SCCCSC)c1[N+](=O)[O-] ZINC000413321877 529768311 /nfs/dbraw/zinc/76/83/11/529768311.db2.gz BTVFBAKIUVNKFM-UHFFFAOYSA-N 0 0 275.399 2.736 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596180275 349818658 /nfs/dbraw/zinc/81/86/58/349818658.db2.gz RGEXNSRUGBBSSX-ONGXEEELSA-N 0 0 295.339 2.701 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC=C(F)C1 ZINC000596186588 349820982 /nfs/dbraw/zinc/82/09/82/349820982.db2.gz LENWGUNFCHBZRN-UHFFFAOYSA-N 0 0 257.652 2.707 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1S[C@@H]1CCCOC1 ZINC000596185043 349821140 /nfs/dbraw/zinc/82/11/40/349821140.db2.gz AHEFZJMKVGHGJM-SSDOTTSWSA-N 0 0 274.729 2.914 20 5 CFBDRN CS[C@H](CNc1cc[nH]c(=O)c1[N+](=O)[O-])C(C)(C)C ZINC000596191560 349822102 /nfs/dbraw/zinc/82/21/02/349822102.db2.gz XWXDQEAHLQZWGZ-SECBINFHSA-N 0 0 285.369 2.885 20 5 CFBDRN CO[C@H]1CCCN(c2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596190742 349822307 /nfs/dbraw/zinc/82/23/07/349822307.db2.gz XVPYJYGIFOFUGL-JTQLQIEISA-N 0 0 270.354 2.970 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596193263 349822970 /nfs/dbraw/zinc/82/29/70/349822970.db2.gz MAWUFUHAHDFKIO-ZJUUUORDSA-N 0 0 299.758 2.682 20 5 CFBDRN CCC1(CC)CN(c2ccc(N)c([N+](=O)[O-])n2)CCS1 ZINC000596196572 349824739 /nfs/dbraw/zinc/82/47/39/349824739.db2.gz RFJYHAVNMQPYQX-UHFFFAOYSA-N 0 0 296.396 2.684 20 5 CFBDRN CO[C@@H]1CN(c2cc(C)sc2[N+](=O)[O-])CC[C@H]1C ZINC000596203471 349826421 /nfs/dbraw/zinc/82/64/21/349826421.db2.gz NSIRUOTZDPKLFH-LDYMZIIASA-N 0 0 270.354 2.826 20 5 CFBDRN Cc1cc(NC[C@H](C)N2CCCCC2=O)c([N+](=O)[O-])s1 ZINC000596203618 349826513 /nfs/dbraw/zinc/82/65/13/349826513.db2.gz IQWGIOAHPYHHHZ-VIFPVBQESA-N 0 0 297.380 2.778 20 5 CFBDRN CC[C@H](CO)Nc1c(Br)cccc1[N+](=O)[O-] ZINC000596183777 349819910 /nfs/dbraw/zinc/81/99/10/349819910.db2.gz BGTXXVLGTWYHKF-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN CCC1(O)CCC(Nc2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596184137 349820078 /nfs/dbraw/zinc/82/00/78/349820078.db2.gz KDMLJNBDSJZNDP-UHFFFAOYSA-N 0 0 299.758 2.561 20 5 CFBDRN Cc1cc(N2CC[C@@]3(C2)CCCOC3)c([N+](=O)[O-])s1 ZINC000596224313 349833307 /nfs/dbraw/zinc/83/33/07/349833307.db2.gz AGUSBPYHCSEFEN-CYBMUJFWSA-N 0 0 282.365 2.972 20 5 CFBDRN Cc1cc(N[C@@H](C)c2nnc(C)[nH]2)c([N+](=O)[O-])s1 ZINC000596233112 349834632 /nfs/dbraw/zinc/83/46/32/349834632.db2.gz XRKGEZLJHNLFBG-LURJTMIESA-N 0 0 267.314 2.564 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@@H]2CCO)c([N+](=O)[O-])s1 ZINC000596207128 349827213 /nfs/dbraw/zinc/82/72/13/349827213.db2.gz BOLXPGQEIRBZAV-ZJUUUORDSA-N 0 0 270.354 2.928 20 5 CFBDRN COc1ccc(CNc2cc(C)sc2[N+](=O)[O-])nc1 ZINC000596210482 349827754 /nfs/dbraw/zinc/82/77/54/349827754.db2.gz KMEFCRGGXBJMTJ-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@@H]2CCO)c([N+](=O)[O-])cc1C ZINC000596208961 349827814 /nfs/dbraw/zinc/82/78/14/349827814.db2.gz LAJHJURNLVKPSZ-VXGBXAGGSA-N 0 0 294.351 2.875 20 5 CFBDRN CC[C@](C)(NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000596211394 349827825 /nfs/dbraw/zinc/82/78/25/349827825.db2.gz RKFWHNBTLXXTMZ-HNNXBMFYSA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@@H](n2cccn2)C1 ZINC000301425507 136432128 /nfs/dbraw/zinc/43/21/28/136432128.db2.gz CCTWEVPCHWBPJH-CQSZACIVSA-N 0 0 286.335 2.941 20 5 CFBDRN CC(F)(F)CCNc1ccc([N+](=O)[O-])c(N)c1F ZINC000295068600 199329230 /nfs/dbraw/zinc/32/92/30/199329230.db2.gz ZTTVFUAJFDJIPQ-UHFFFAOYSA-N 0 0 263.219 2.773 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCCCC2(F)F)c1[N+](=O)[O-] ZINC000596256245 349842716 /nfs/dbraw/zinc/84/27/16/349842716.db2.gz CEFWBFRWPYQPFG-QMMMGPOBSA-N 0 0 287.266 2.933 20 5 CFBDRN CCO[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H]1C ZINC000596255188 349842764 /nfs/dbraw/zinc/84/27/64/349842764.db2.gz PKHOVTGBAPEHHT-JOYOIKCWSA-N 0 0 299.758 2.895 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC23COC3)nc1-c1ccccc1 ZINC000596256368 349843149 /nfs/dbraw/zinc/84/31/49/349843149.db2.gz BKIPIFPGFGPSGU-UHFFFAOYSA-N 0 0 297.314 2.636 20 5 CFBDRN Cc1cc(C)c(C)c(C(=O)Cn2nccc2[N+](=O)[O-])c1C ZINC000128028554 187346397 /nfs/dbraw/zinc/34/63/97/187346397.db2.gz UVOQVWXKOVIKSR-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN COC(=O)C1(COc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000596241566 349837070 /nfs/dbraw/zinc/83/70/70/349837070.db2.gz HJQDETCKTMRPGR-UHFFFAOYSA-N 0 0 285.683 2.580 20 5 CFBDRN Cc1nc(C(C)C)oc1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596240312 349837396 /nfs/dbraw/zinc/83/73/96/349837396.db2.gz VRNNIPWWBFQERH-UHFFFAOYSA-N 0 0 292.295 2.727 20 5 CFBDRN C[C@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)[C@H]1C ZINC000596245805 349839048 /nfs/dbraw/zinc/83/90/48/349839048.db2.gz ALVVXLVZKHOOTI-UWVGGRQHSA-N 0 0 257.293 2.988 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C(N)=O)c1)[C@@H]1CC1(C)C ZINC000596272832 349849854 /nfs/dbraw/zinc/84/98/54/349849854.db2.gz UTUSVAWDUDPHLE-NWDGAFQWSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1cc(N2CC[C@@H](O)CC(C)(C)C2)c([N+](=O)[O-])s1 ZINC000596258780 349844574 /nfs/dbraw/zinc/84/45/74/349844574.db2.gz MLKODKDUQJOWDV-SNVBAGLBSA-N 0 0 284.381 2.952 20 5 CFBDRN CCC(C)(C)CCn1c(C)ccc([N+](=O)[O-])c1=O ZINC000596268576 349847609 /nfs/dbraw/zinc/84/76/09/349847609.db2.gz MHWXKISIMFLPFI-UHFFFAOYSA-N 0 0 252.314 2.891 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@]34C[C@H]3CCC4)cc2N1 ZINC000596316145 349861607 /nfs/dbraw/zinc/86/16/07/349861607.db2.gz GLEBGNCZFPZHOG-MEBBXXQBSA-N 0 0 287.319 2.834 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@]23C[C@H]2CCC3)c1[N+](=O)[O-] ZINC000596318192 349862300 /nfs/dbraw/zinc/86/23/00/349862300.db2.gz ZGMZAUCFLOSIIJ-YMTOWFKASA-N 0 0 291.307 2.521 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H](C)CC(C)(C)O ZINC000295079204 199334682 /nfs/dbraw/zinc/33/46/82/199334682.db2.gz XKUMTUXXGRMENB-SNVBAGLBSA-N 0 0 282.340 2.703 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc(F)c1F)N1CCC[C@@H]1C1CC1 ZINC000073366611 346974254 /nfs/dbraw/zinc/97/42/54/346974254.db2.gz MZCBMJZCSPZSQW-GFCCVEGCSA-N 0 0 296.273 2.888 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000073330917 346970137 /nfs/dbraw/zinc/97/01/37/346970137.db2.gz UAKHPZBZMPBVAG-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ccco3)CC2)nc1 ZINC000596332850 349867670 /nfs/dbraw/zinc/86/76/70/349867670.db2.gz ABCVQLWAXCVTAA-UHFFFAOYSA-N 0 0 273.292 2.967 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC[C@H]1CCCO1 ZINC000073877678 346981966 /nfs/dbraw/zinc/98/19/66/346981966.db2.gz YAUNKJFBYHMDPP-SNVBAGLBSA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1ccc(N[C@@H]2C[C@H](C)n3ncnc32)c([N+](=O)[O-])c1 ZINC000596341791 349870510 /nfs/dbraw/zinc/87/05/10/349870510.db2.gz AOQBRJNASXVXQU-GXSJLCMTSA-N 0 0 273.296 2.613 20 5 CFBDRN CO[C@@H]1CCC[C@H]([C@H](CO)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000596366309 349879297 /nfs/dbraw/zinc/87/92/97/349879297.db2.gz JTPCHOPOIZYOGT-GLQYFDAESA-N 0 0 294.351 2.573 20 5 CFBDRN COc1ncccc1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000074012246 346989012 /nfs/dbraw/zinc/98/90/12/346989012.db2.gz XGLBMPJGPARWHU-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCC(CC)CSCCn1cc([N+](=O)[O-])cn1 ZINC000596380965 349884959 /nfs/dbraw/zinc/88/49/59/349884959.db2.gz SPIUIJWYWJYVQB-UHFFFAOYSA-N 0 0 257.359 2.961 20 5 CFBDRN Cc1nc(CSCc2onc(C)c2[N+](=O)[O-])co1 ZINC000596370394 349880752 /nfs/dbraw/zinc/88/07/52/349880752.db2.gz PMKMHEKIBANNPY-UHFFFAOYSA-N 0 0 269.282 2.621 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])N[C@H]1CC=CCC1 ZINC000074330164 347009777 /nfs/dbraw/zinc/00/97/77/347009777.db2.gz GSBQWKSTDCCXTP-ZDUSSCGKSA-N 0 0 289.335 2.545 20 5 CFBDRN CNC(=O)c1ccc(N(C)[C@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000428666241 529940517 /nfs/dbraw/zinc/94/05/17/529940517.db2.gz HEDLKCXWKKAYHP-JQWIXIFHSA-N 0 0 291.351 2.579 20 5 CFBDRN CO[C@H](CSCCn1cc([N+](=O)[O-])cn1)C1CCCC1 ZINC000596404712 349891239 /nfs/dbraw/zinc/89/12/39/349891239.db2.gz ZSRWJQKMNSPNNF-CYBMUJFWSA-N 0 0 299.396 2.730 20 5 CFBDRN C[C@H](O)CCSCc1ccc(F)cc1[N+](=O)[O-] ZINC000596410485 349893670 /nfs/dbraw/zinc/89/36/70/349893670.db2.gz XACLZKWVFQAASO-QMMMGPOBSA-N 0 0 259.302 2.738 20 5 CFBDRN CCc1nn(C)c(NCC[C@H](C)CC)c1[N+](=O)[O-] ZINC000596415833 349895878 /nfs/dbraw/zinc/89/58/78/349895878.db2.gz NLVLMTUSCKCUJW-SECBINFHSA-N 0 0 254.334 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(NC/C=C\CO)cc1C(F)(F)F ZINC000596423041 349899025 /nfs/dbraw/zinc/89/90/25/349899025.db2.gz WZXVIZTVJDRIIX-UPHRSURJSA-N 0 0 276.214 2.574 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270356357 190657977 /nfs/dbraw/zinc/65/79/77/190657977.db2.gz AUUYZYPAEHHLOK-GFCCVEGCSA-N 0 0 293.367 2.823 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000596420489 349898697 /nfs/dbraw/zinc/89/86/97/349898697.db2.gz YSMCYBMPJOBEDR-HNNXBMFYSA-N 0 0 291.351 2.625 20 5 CFBDRN CC(C)c1cc(CNC(=O)c2ccc([N+](=O)[O-])cc2)on1 ZINC000074669291 347026390 /nfs/dbraw/zinc/02/63/90/347026390.db2.gz FGDKVNLMVXFSSS-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)c([N+](=O)[O-])cn2)CCS1 ZINC000074768597 347031455 /nfs/dbraw/zinc/03/14/55/347031455.db2.gz NIYWUQWOVINICS-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN COc1cc(N2CC3(CCC3)OC[C@H]2C)ccc1[N+](=O)[O-] ZINC000596437337 349902504 /nfs/dbraw/zinc/90/25/04/349902504.db2.gz WMHBEDSGJUDEOL-LLVKDONJSA-N 0 0 292.335 2.751 20 5 CFBDRN Cc1cc(N(C)Cc2cccs2)ncc1[N+](=O)[O-] ZINC000074725519 347028999 /nfs/dbraw/zinc/02/89/99/347028999.db2.gz LSBWKWZMMLOXTH-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1cc(N2CCOC3(CCCCC3)C2)ncc1[N+](=O)[O-] ZINC000074767051 347031514 /nfs/dbraw/zinc/03/15/14/347031514.db2.gz AUPQPDYHYOVYBE-UHFFFAOYSA-N 0 0 291.351 2.838 20 5 CFBDRN CCn1nc(C)c(CNc2cc(C)c([N+](=O)[O-])cn2)c1C ZINC000074746923 347030482 /nfs/dbraw/zinc/03/04/82/347030482.db2.gz RALCGZILCLZZCM-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN Cc1cc(N(C)[C@H](C)c2cccnc2)ncc1[N+](=O)[O-] ZINC000074744342 347030565 /nfs/dbraw/zinc/03/05/65/347030565.db2.gz QCSZWIIMBRBPOB-LLVKDONJSA-N 0 0 272.308 2.891 20 5 CFBDRN Cc1cc(N2C[C@@H](C)S[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000074767671 347031158 /nfs/dbraw/zinc/03/11/58/347031158.db2.gz HFQOAELIMNEZQS-AOOOYVTPSA-N 0 0 267.354 2.628 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC3(CCC3)OC[C@H]2C)n1 ZINC000596443895 349905080 /nfs/dbraw/zinc/90/50/80/349905080.db2.gz UTAZXWFJZKPIKB-GFCCVEGCSA-N 0 0 291.351 2.754 20 5 CFBDRN COCCN(CCF)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000596447960 349906155 /nfs/dbraw/zinc/90/61/55/349906155.db2.gz XSDLOEJACVPFPW-UHFFFAOYSA-N 0 0 293.298 2.565 20 5 CFBDRN Cc1cc(Nc2ccc3c(c2)ncn3C)ncc1[N+](=O)[O-] ZINC000074768996 347032394 /nfs/dbraw/zinc/03/23/94/347032394.db2.gz JABRBDGPECBEPQ-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN C[C@H]1c2ccccc2C[C@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596448832 349906244 /nfs/dbraw/zinc/90/62/44/349906244.db2.gz PRFCICIDRZHHJV-TVQRCGJNSA-N 0 0 285.303 2.836 20 5 CFBDRN Cc1cc(C)cc(CNc2c([N+](=O)[O-])ncn2C)c1 ZINC000596451692 349906418 /nfs/dbraw/zinc/90/64/18/349906418.db2.gz CMWGPVYLCJKELV-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CN(C[C@H]1CCOC1)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000413171725 529972069 /nfs/dbraw/zinc/97/20/69/529972069.db2.gz NGAUPWHLNYOHJF-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC(C)(C)F)c1 ZINC000596467585 349909346 /nfs/dbraw/zinc/90/93/46/349909346.db2.gz CJFXOZSISNVXRP-UHFFFAOYSA-N 0 0 284.287 2.932 20 5 CFBDRN CCOc1ccccc1Cn1cc([N+](=O)[O-])cc(C)c1=O ZINC000127242535 187291036 /nfs/dbraw/zinc/29/10/36/187291036.db2.gz QOAPMHIBMBCMIQ-UHFFFAOYSA-N 0 0 288.303 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCc1ccoc1)CCN2 ZINC000596471311 349910646 /nfs/dbraw/zinc/91/06/46/349910646.db2.gz ZGSOHYCBLLJFKX-UHFFFAOYSA-N 0 0 273.292 2.810 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000075050879 347051162 /nfs/dbraw/zinc/05/11/62/347051162.db2.gz CROMKRXZQKYHNR-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN CO[C@@H](CNc1ccc(C(C)=O)cc1[N+](=O)[O-])C(C)C ZINC000075062580 347052737 /nfs/dbraw/zinc/05/27/37/347052737.db2.gz NDPDHRWKLCLJOT-AWEZNQCLSA-N 0 0 280.324 2.880 20 5 CFBDRN CO[C@@H](CNc1cccc(F)c1[N+](=O)[O-])C(C)C ZINC000075062642 347052792 /nfs/dbraw/zinc/05/27/92/347052792.db2.gz FEDNDGCCPDPCBE-NSHDSACASA-N 0 0 256.277 2.817 20 5 CFBDRN Cn1ncc(C2CC2)c1CNc1c(F)cccc1[N+](=O)[O-] ZINC000596473369 349911395 /nfs/dbraw/zinc/91/13/95/349911395.db2.gz RJOGIKRJUMYZBE-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN CCC(CC)(CCO)CNc1ccc([N+](=O)[O-])cn1 ZINC000075065539 347053553 /nfs/dbraw/zinc/05/35/53/347053553.db2.gz GMOMQGGEBOSKKU-UHFFFAOYSA-N 0 0 267.329 2.591 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000596474847 349911863 /nfs/dbraw/zinc/91/18/63/349911863.db2.gz PLCQZJSANPTPSW-CMPLNLGQSA-N 0 0 280.324 2.973 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC[C@H]3C[C@H]32)c(F)c1 ZINC000596474446 349912019 /nfs/dbraw/zinc/91/20/19/349912019.db2.gz OTNUIWHDXPRCEY-ATZCPNFKSA-N 0 0 265.288 2.977 20 5 CFBDRN C[C@]1(CCNc2c(C(N)=O)cccc2[N+](=O)[O-])CC1(F)F ZINC000596476270 349912950 /nfs/dbraw/zinc/91/29/50/349912950.db2.gz BADSOBNEMQWDOI-LBPRGKRZSA-N 0 0 299.277 2.541 20 5 CFBDRN Cc1cnc(N2CCC[C@@H](CCF)C2)c([N+](=O)[O-])c1 ZINC000596479824 349914507 /nfs/dbraw/zinc/91/45/07/349914507.db2.gz KZXPQOLYXCSBHC-NSHDSACASA-N 0 0 267.304 2.874 20 5 CFBDRN CC1(C)OCC[C@@H]1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000596487875 349917242 /nfs/dbraw/zinc/91/72/42/349917242.db2.gz LBXMFSNZGIDYLS-SNVBAGLBSA-N 0 0 293.323 2.531 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H](CO)CC1CC1 ZINC000596492538 349919079 /nfs/dbraw/zinc/91/90/79/349919079.db2.gz PBOQUQIJMBYNOX-SNVBAGLBSA-N 0 0 268.288 2.554 20 5 CFBDRN C[C@@H]1CN(c2ccc3cc([N+](=O)[O-])ccc3n2)C[C@H](C)O1 ZINC000075469774 347078770 /nfs/dbraw/zinc/07/87/70/347078770.db2.gz JGAWMGRGMYOGEV-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN CCOCCC1(CNc2ncc([N+](=O)[O-])cn2)CCCC1 ZINC000273932934 192369633 /nfs/dbraw/zinc/36/96/33/192369633.db2.gz KRGKYHUEBSNCLC-UHFFFAOYSA-N 0 0 294.355 2.784 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](O)C23CCC3)c(F)cc1[N+](=O)[O-] ZINC000596502727 349922529 /nfs/dbraw/zinc/92/25/29/349922529.db2.gz FUROBLQZXKENGJ-QWHCGFSZSA-N 0 0 280.299 2.758 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596510215 349924657 /nfs/dbraw/zinc/92/46/57/349924657.db2.gz OWJGHCDJSNPQRM-ZIAGYGMSSA-N 0 0 292.335 2.709 20 5 CFBDRN COc1cc(N2CC[C@H]3C[C@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000596508549 349924053 /nfs/dbraw/zinc/92/40/53/349924053.db2.gz CGRDZRUEHPVYJG-IUCAKERBSA-N 0 0 266.272 2.589 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2C[C@H](O)C23CCC3)ccc2ncccc21 ZINC000596514644 349926675 /nfs/dbraw/zinc/92/66/75/349926675.db2.gz XMKAQQBKXJHDLN-KBPBESRZSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@@H]4C[C@@H]4C3)c2c1 ZINC000596512384 349926107 /nfs/dbraw/zinc/92/61/07/349926107.db2.gz UZHOCRMEJFBZAH-VXGBXAGGSA-N 0 0 269.304 2.989 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cn1)C1CCC(F)CC1 ZINC000596513655 349926749 /nfs/dbraw/zinc/92/67/49/349926749.db2.gz BFFHZKCATPQUGF-IDKOKCKLSA-N 0 0 268.292 2.714 20 5 CFBDRN Cn1cc(Nc2ccc([N+](=O)[O-])c3cccnc23)cn1 ZINC000035781628 232173418 /nfs/dbraw/zinc/17/34/18/232173418.db2.gz MIIQHBZYIJUPJP-UHFFFAOYSA-N 0 0 269.264 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCc1ccccc1 ZINC000096553096 232180415 /nfs/dbraw/zinc/18/04/15/232180415.db2.gz YSQCIZXXWVGNSU-UHFFFAOYSA-N 0 0 272.304 2.698 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@H]2COC[C@@H]2C1 ZINC000596526217 349929770 /nfs/dbraw/zinc/92/97/70/349929770.db2.gz CKXOGCUASSEUDY-RYUDHWBXSA-N 0 0 299.330 2.616 20 5 CFBDRN CC(C)(C)N1C[C@@H](CNc2ccccc2[N+](=O)[O-])CC1=O ZINC000596519160 349928852 /nfs/dbraw/zinc/92/88/52/349928852.db2.gz GTLWTVPYAVDUBH-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3COC[C@@H]3C2)c2ccncc21 ZINC000596528690 349931204 /nfs/dbraw/zinc/93/12/04/349931204.db2.gz ODIQXEKMNKMNPL-NEPJUHHUSA-N 0 0 299.330 2.616 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H](OC)C1CCCC1 ZINC000596527821 349930430 /nfs/dbraw/zinc/93/04/30/349930430.db2.gz LHTCRZIPTAVMAE-GFCCVEGCSA-N 0 0 296.371 2.737 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cn1)C1CCCC1 ZINC000596527829 349930621 /nfs/dbraw/zinc/93/06/21/349930621.db2.gz WYKKBIXAATUSRO-LBPRGKRZSA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCCS2)cc([N+](=O)[O-])c1 ZINC000131488954 232206552 /nfs/dbraw/zinc/20/65/52/232206552.db2.gz GSNLJFFCPCYKFY-LBPRGKRZSA-N 0 0 280.349 2.529 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@H]2COC[C@H]2C1 ZINC000596529967 349931889 /nfs/dbraw/zinc/93/18/89/349931889.db2.gz SBDZWINQIJLOTI-NWDGAFQWSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CC1(O)CCCC1 ZINC000127460748 187307655 /nfs/dbraw/zinc/30/76/55/187307655.db2.gz IRUQYTRYEZQPRB-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2nnc(C3CC3)s2)cc1 ZINC000153179291 232220931 /nfs/dbraw/zinc/22/09/31/232220931.db2.gz FVPHDLBNVYOMMA-UHFFFAOYSA-N 0 0 290.348 2.978 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1sccc1[N+](=O)[O-] ZINC000596541653 349935578 /nfs/dbraw/zinc/93/55/78/349935578.db2.gz CVDQJNVKPUJLOS-MRVPVSSYSA-N 0 0 256.327 2.883 20 5 CFBDRN CO[C@H](CNc1cc[nH]c(=O)c1[N+](=O)[O-])C1CCCCC1 ZINC000596540389 349935620 /nfs/dbraw/zinc/93/56/20/349935620.db2.gz LJZOHWMFUMBUSN-GFCCVEGCSA-N 0 0 295.339 2.703 20 5 CFBDRN C[C@H]1OCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)[C@@H]1C ZINC000154716598 232227411 /nfs/dbraw/zinc/22/74/11/232227411.db2.gz YVGAZDDFAFGUSI-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1cc(N[C@H](C)c2nccn2C)c(F)cc1[N+](=O)[O-] ZINC000596543418 349936646 /nfs/dbraw/zinc/93/66/46/349936646.db2.gz TXGPAPWFBZSELJ-SECBINFHSA-N 0 0 278.287 2.949 20 5 CFBDRN C[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@]1(C)CO ZINC000596546538 349937984 /nfs/dbraw/zinc/93/79/84/349937984.db2.gz YWWVJXXWWLVINS-NOZJJQNGSA-N 0 0 284.743 2.703 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(F)c([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000228626578 290760809 /nfs/dbraw/zinc/76/08/09/290760809.db2.gz AOWSAEMLJQQKJW-YUMQZZPRSA-N 0 0 284.262 2.601 20 5 CFBDRN CCOC(=O)[C@]1(C)CCC[C@H]1Nc1cccnc1[N+](=O)[O-] ZINC000596548512 349938947 /nfs/dbraw/zinc/93/89/47/349938947.db2.gz DUSZFHHNMDNNPO-BXUZGUMPSA-N 0 0 293.323 2.524 20 5 CFBDRN Cc1cccc(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])c1 ZINC000160935219 232250101 /nfs/dbraw/zinc/25/01/01/232250101.db2.gz CBUUHCRBLWFORC-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CN(CC1=CCSC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000596553154 349939845 /nfs/dbraw/zinc/93/98/45/349939845.db2.gz ISAUQXRNRISCIA-UHFFFAOYSA-N 0 0 285.756 2.753 20 5 CFBDRN C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000173595504 232278128 /nfs/dbraw/zinc/27/81/28/232278128.db2.gz TWUSHLOJUDRWJL-SNVBAGLBSA-N 0 0 271.276 2.732 20 5 CFBDRN C[C@H](CO)CSc1ccc([N+](=O)[O-])cc1Cl ZINC000085016418 178942045 /nfs/dbraw/zinc/94/20/45/178942045.db2.gz NCVRBSLYOKYSME-SSDOTTSWSA-N 0 0 261.730 2.969 20 5 CFBDRN CN(CC1=CCSC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000596556070 349940521 /nfs/dbraw/zinc/94/05/21/349940521.db2.gz IYGCVUAPUNHLTO-UHFFFAOYSA-N 0 0 268.313 2.843 20 5 CFBDRN CN(CC1=CCSC1)c1ccc([N+](=O)[O-])s1 ZINC000596554854 349940887 /nfs/dbraw/zinc/94/08/87/349940887.db2.gz VDJWGSVWPPMPOC-UHFFFAOYSA-N 0 0 256.352 2.766 20 5 CFBDRN CCC[C@](C)(O)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000127507889 187312321 /nfs/dbraw/zinc/31/23/21/187312321.db2.gz BHLSAABIEZXNFV-NHYWBVRUSA-N 0 0 294.351 2.632 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000290743420 197804002 /nfs/dbraw/zinc/80/40/02/197804002.db2.gz RUCLGQGQWGCKRJ-ZJUUUORDSA-N 0 0 275.308 2.978 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC1(C)C ZINC000176096683 232326575 /nfs/dbraw/zinc/32/65/75/232326575.db2.gz RTIRYWNBXFABMJ-ZDUSSCGKSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1c(NC(=O)[C@H]2C[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000176548594 232335830 /nfs/dbraw/zinc/33/58/30/232335830.db2.gz DGNJBYZAYFDLQB-MNOVXSKESA-N 0 0 260.293 2.888 20 5 CFBDRN COC(C)(C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177857451 232371433 /nfs/dbraw/zinc/37/14/33/232371433.db2.gz FYYLETPORWIWAC-JTQLQIEISA-N 0 0 280.324 2.505 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1ncccc1Cl ZINC000179656935 232398781 /nfs/dbraw/zinc/39/87/81/232398781.db2.gz LLTSOXNCZUPLGJ-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCCc1ccccc1 ZINC000005682665 346212803 /nfs/dbraw/zinc/21/28/03/346212803.db2.gz HOPWMJPAINOUQP-UHFFFAOYSA-N 0 0 298.342 2.886 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(C)s1 ZINC000010624852 346227514 /nfs/dbraw/zinc/22/75/14/346227514.db2.gz SZYMWXVHBMGLAJ-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1NCCOCCF ZINC000596568604 349943932 /nfs/dbraw/zinc/94/39/32/349943932.db2.gz AMMQJXGTTLYDGF-UHFFFAOYSA-N 0 0 278.230 2.930 20 5 CFBDRN CCn1nccc1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000596570824 349944426 /nfs/dbraw/zinc/94/44/26/349944426.db2.gz CSBIMHGLWMPBLV-UHFFFAOYSA-N 0 0 278.287 2.871 20 5 CFBDRN O=C(CCc1cccnc1)Nc1cccc([N+](=O)[O-])c1 ZINC000007916123 346220360 /nfs/dbraw/zinc/22/03/60/346220360.db2.gz APRSYPYSCSQMEQ-UHFFFAOYSA-N 0 0 271.276 2.561 20 5 CFBDRN CCOc1cc(N2CC3CC2(C)C3)ccc1[N+](=O)[O-] ZINC000596573913 349945548 /nfs/dbraw/zinc/94/55/48/349945548.db2.gz AUMMAFMLCVEOOO-UHFFFAOYSA-N 0 0 262.309 2.982 20 5 CFBDRN CN(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000017334164 346240060 /nfs/dbraw/zinc/24/00/60/346240060.db2.gz QIJNGEHLZIEPNT-UHFFFAOYSA-N 0 0 276.317 2.929 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)C1CC1 ZINC000018207359 346241249 /nfs/dbraw/zinc/24/12/49/346241249.db2.gz PESQRDQZNXRXKM-SECBINFHSA-N 0 0 289.335 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCC(CF)CC3)nc2c1 ZINC000295132274 199363550 /nfs/dbraw/zinc/36/35/50/199363550.db2.gz LDAULNJDBJTPOS-UHFFFAOYSA-N 0 0 293.298 2.918 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000020448707 346243859 /nfs/dbraw/zinc/24/38/59/346243859.db2.gz NFJFTFZENAEYED-SNVBAGLBSA-N 0 0 274.276 2.987 20 5 CFBDRN CCC(C)(C)NC(=O)CNc1cc([N+](=O)[O-])ccc1C ZINC000025597031 346260526 /nfs/dbraw/zinc/26/05/26/346260526.db2.gz YKKKZGLPLNCKLU-UHFFFAOYSA-N 0 0 279.340 2.620 20 5 CFBDRN COC(=O)c1cc(N2CC3CC2(C)C3)cc(C)c1[N+](=O)[O-] ZINC000596575339 349945199 /nfs/dbraw/zinc/94/51/99/349945199.db2.gz PKEKCMUPDRMOOM-UHFFFAOYSA-N 0 0 290.319 2.679 20 5 CFBDRN CCn1nccc1CNc1ccc([N+](=O)[O-])cc1COC ZINC000596572709 349945220 /nfs/dbraw/zinc/94/52/20/349945220.db2.gz PCSXKYRPHBSZRN-UHFFFAOYSA-N 0 0 290.323 2.570 20 5 CFBDRN CC[C@@H](CNc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000029853105 346284254 /nfs/dbraw/zinc/28/42/54/346284254.db2.gz BRYCPSUTSJFDIA-NSHDSACASA-N 0 0 274.324 2.934 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCC2CCC2)c1 ZINC000026141858 346263467 /nfs/dbraw/zinc/26/34/67/346263467.db2.gz XJGXIZASSBGHBR-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2nnnn2-c2ccccc2)cc1 ZINC000028939740 346277674 /nfs/dbraw/zinc/27/76/74/346277674.db2.gz DJRVAIGERAAVGR-UHFFFAOYSA-N 0 0 299.315 2.722 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CCCc2ccccc21 ZINC000029526196 346281876 /nfs/dbraw/zinc/28/18/76/346281876.db2.gz JJCOXKJRHFIDGW-LBPRGKRZSA-N 0 0 286.335 2.860 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000033100631 346308782 /nfs/dbraw/zinc/30/87/82/346308782.db2.gz JCLBAMPLKHGLII-MNOVXSKESA-N 0 0 279.340 2.584 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000033100629 346309214 /nfs/dbraw/zinc/30/92/14/346309214.db2.gz JCLBAMPLKHGLII-GHMZBOCLSA-N 0 0 279.340 2.584 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@@H]3CCC3(C)C)n2)n1C ZINC000596591997 349951269 /nfs/dbraw/zinc/95/12/69/349951269.db2.gz AYTNJMBCJOGXAS-QMMMGPOBSA-N 0 0 291.311 2.590 20 5 CFBDRN COCCN(C)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000034548255 346318285 /nfs/dbraw/zinc/31/82/85/346318285.db2.gz JXKZKRFJRFRCNX-UHFFFAOYSA-N 0 0 278.230 2.696 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCc1cc[nH]n1 ZINC000035276801 346320213 /nfs/dbraw/zinc/32/02/13/346320213.db2.gz SWJKPWCXTDPINN-UHFFFAOYSA-N 0 0 286.213 2.949 20 5 CFBDRN Cc1nc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])cs1 ZINC000042118226 346360956 /nfs/dbraw/zinc/36/09/56/346360956.db2.gz IVGZXHQJOJWIMI-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)[C@H]1CCCO1 ZINC000043149063 346380071 /nfs/dbraw/zinc/38/00/71/346380071.db2.gz VPZLTMQMSNBHGO-ZWNOBZJWSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCCc1ccco1 ZINC000043149691 346380161 /nfs/dbraw/zinc/38/01/61/346380161.db2.gz VJINXNGDIIRZAV-UHFFFAOYSA-N 0 0 289.291 2.860 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC(c2ccccc2)CC1 ZINC000043494077 346383871 /nfs/dbraw/zinc/38/38/71/346383871.db2.gz YDVWFOOLBQDUSJ-UHFFFAOYSA-N 0 0 299.330 2.943 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000596621676 349959407 /nfs/dbraw/zinc/95/94/07/349959407.db2.gz ZPCZTEUBJYUQON-JQWIXIFHSA-N 0 0 278.308 2.657 20 5 CFBDRN C[C@@H]1CCCC[C@H]1N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000045032753 346402960 /nfs/dbraw/zinc/40/29/60/346402960.db2.gz CCFJQKDSVOIYBO-BXKDBHETSA-N 0 0 265.313 2.574 20 5 CFBDRN CN(CCc1ccccc1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000045177895 346405320 /nfs/dbraw/zinc/40/53/20/346405320.db2.gz NHYREBGTIYDOAG-UHFFFAOYSA-N 0 0 284.315 2.910 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2nc(-c3ccco3)no2)c1 ZINC000044069996 346389247 /nfs/dbraw/zinc/38/92/47/346389247.db2.gz AIEGKQJTTWKREH-UHFFFAOYSA-N 0 0 286.247 2.850 20 5 CFBDRN CCCn1nccc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000596621583 349959473 /nfs/dbraw/zinc/95/94/73/349959473.db2.gz XATBKLUQZDVKPZ-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000596620736 349958453 /nfs/dbraw/zinc/95/84/53/349958453.db2.gz PXHIKYRUSSDCIS-URLYPYJESA-N 0 0 260.293 2.888 20 5 CFBDRN CO[C@@H](C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C1CCC1 ZINC000596619987 349958521 /nfs/dbraw/zinc/95/85/21/349958521.db2.gz NKYWDYLHEIXKDD-CYBMUJFWSA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000044506448 346395033 /nfs/dbraw/zinc/39/50/33/346395033.db2.gz BVSFPZABBIBSLO-VIFPVBQESA-N 0 0 268.338 2.919 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)C1CCCC1 ZINC000045486234 346410276 /nfs/dbraw/zinc/41/02/76/346410276.db2.gz YCSBVCAEJRBYGQ-UHFFFAOYSA-N 0 0 252.270 2.593 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1occc1C ZINC000045501339 346410286 /nfs/dbraw/zinc/41/02/86/346410286.db2.gz OKEWZTBOPIZLAS-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN Cc1c(NC(=O)CC[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000046162451 346421687 /nfs/dbraw/zinc/42/16/87/346421687.db2.gz VIIOCUNAPSVFLW-NSHDSACASA-N 0 0 278.308 2.801 20 5 CFBDRN COCCOc1ccc(Nc2ncccc2[N+](=O)[O-])cc1 ZINC000046720325 346431604 /nfs/dbraw/zinc/43/16/04/346431604.db2.gz AXJCBWYPGKUVEY-UHFFFAOYSA-N 0 0 289.291 2.759 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@]1(C)CC1(C)C ZINC000596625256 349960172 /nfs/dbraw/zinc/96/01/72/349960172.db2.gz RFOVOURAOVYVRH-AWEZNQCLSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCOC[C@H]2C)cccc1[N+](=O)[O-] ZINC000193869088 232498411 /nfs/dbraw/zinc/49/84/11/232498411.db2.gz HXVRAERYPILTKE-MWLCHTKSSA-N 0 0 278.308 2.514 20 5 CFBDRN COCc1ccccc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000046933341 346437988 /nfs/dbraw/zinc/43/79/88/346437988.db2.gz IRLWZNASJMEHDF-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN Cc1ccc(-c2cnn(CC(C)(C)O)c2)cc1[N+](=O)[O-] ZINC000596639351 349965173 /nfs/dbraw/zinc/96/51/73/349965173.db2.gz OPOAOHXJYIKTLB-UHFFFAOYSA-N 0 0 275.308 2.538 20 5 CFBDRN Cc1c(NC(=O)N2CC[C@@H]3C[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000596634823 349963818 /nfs/dbraw/zinc/96/38/18/349963818.db2.gz DDLIOVVFNCYMPP-GHMZBOCLSA-N 0 0 275.308 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000596638432 349964248 /nfs/dbraw/zinc/96/42/48/349964248.db2.gz VLUNPNFIRPGGNO-GHMZBOCLSA-N 0 0 275.308 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ccc(C[C@@H](O)C3CC3)cc2)cn1 ZINC000596638192 349964352 /nfs/dbraw/zinc/96/43/52/349964352.db2.gz RRNYXFBUXKCIRV-OAHLLOKOSA-N 0 0 284.315 2.970 20 5 CFBDRN COc1cc(CNc2ccsc2[N+](=O)[O-])ccn1 ZINC000049056860 346479194 /nfs/dbraw/zinc/47/91/94/346479194.db2.gz QTKPFNWIWGIEEM-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN Cc1ccsc1CN(C)c1c([N+](=O)[O-])c(C)nn1C ZINC000051376680 346503453 /nfs/dbraw/zinc/50/34/53/346503453.db2.gz JACMWKWULZSVDV-UHFFFAOYSA-N 0 0 280.353 2.643 20 5 CFBDRN CC(C)Oc1ccc(CNc2ccc([N+](=O)[O-])cn2)cn1 ZINC000052327082 346520694 /nfs/dbraw/zinc/52/06/94/346520694.db2.gz KRPDAOANHKGTHF-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN Cc1ncc(CN(C)c2ccccc2[N+](=O)[O-])s1 ZINC000052447156 346521587 /nfs/dbraw/zinc/52/15/87/346521587.db2.gz QSVZWZHGTGJWNF-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1nn(C)c(NCCc2c(F)cccc2F)c1[N+](=O)[O-] ZINC000052445661 346521689 /nfs/dbraw/zinc/52/16/89/346521689.db2.gz PDDMTBYWHFSHPC-UHFFFAOYSA-N 0 0 296.277 2.570 20 5 CFBDRN O=C1CCc2cc(Oc3ncc([N+](=O)[O-])s3)ccc2N1 ZINC000195507444 232520456 /nfs/dbraw/zinc/52/04/56/232520456.db2.gz MEEYGNRCWSNMBT-UHFFFAOYSA-N 0 0 291.288 2.728 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCc2ccccc21 ZINC000050822888 346498333 /nfs/dbraw/zinc/49/83/33/346498333.db2.gz PIVLADMMRZYKNI-UHFFFAOYSA-N 0 0 271.276 2.516 20 5 CFBDRN CCc1ccccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051207723 346501014 /nfs/dbraw/zinc/50/10/14/346501014.db2.gz UBXGUZIKTZYWCW-UHFFFAOYSA-N 0 0 259.265 2.738 20 5 CFBDRN C[C@H]1CCc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000596677800 349973092 /nfs/dbraw/zinc/97/30/92/349973092.db2.gz FXKHEDASVSLZOI-JTQLQIEISA-N 0 0 285.303 2.904 20 5 CFBDRN CCN(CC)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052604026 346525417 /nfs/dbraw/zinc/52/54/17/346525417.db2.gz KDEJCWNLYJMGFD-UHFFFAOYSA-N 0 0 261.281 2.558 20 5 CFBDRN O=C(NCc1ccco1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052602144 346525577 /nfs/dbraw/zinc/52/55/77/346525577.db2.gz FOSMSWZVQXGIGN-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN Cc1noc(C2CCN(c3ccccc3[N+](=O)[O-])CC2)n1 ZINC000053576648 346549953 /nfs/dbraw/zinc/54/99/53/346549953.db2.gz BHXJXQMIECKKCQ-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN COc1cc(CNc2c([N+](=O)[O-])c(C)nn2C)ccc1C ZINC000053582991 346550237 /nfs/dbraw/zinc/55/02/37/346550237.db2.gz NCLIEJUNPIXWGB-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1F ZINC000055798006 346596823 /nfs/dbraw/zinc/59/68/23/346596823.db2.gz XGHLBFOSNMZNAS-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN CSc1ccc(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000056354646 346607555 /nfs/dbraw/zinc/60/75/55/346607555.db2.gz WPCAWWGSMMRILE-UHFFFAOYSA-N 0 0 291.332 2.575 20 5 CFBDRN CN(C(=O)C[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000058520363 346645617 /nfs/dbraw/zinc/64/56/17/346645617.db2.gz ZBVSTESSABXUOI-LLVKDONJSA-N 0 0 260.293 2.914 20 5 CFBDRN C[C@H]1CCCCN1C(=O)COc1ccc([N+](=O)[O-])cc1F ZINC000059012229 346655155 /nfs/dbraw/zinc/65/51/55/346655155.db2.gz BCACHRPBXGNOOM-JTQLQIEISA-N 0 0 296.298 2.514 20 5 CFBDRN CCOC1CCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000060447180 346665790 /nfs/dbraw/zinc/66/57/90/346665790.db2.gz FQWAWRRQQPBIAX-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN CC(C)N(C(=O)COc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000060807125 346671666 /nfs/dbraw/zinc/67/16/66/346671666.db2.gz LZLPBCIPGCAGJF-UHFFFAOYSA-N 0 0 298.314 2.758 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H]1CCCCO1 ZINC000057543982 346630326 /nfs/dbraw/zinc/63/03/26/346630326.db2.gz QKNJQIIHTQJCRS-LLVKDONJSA-N 0 0 267.281 2.551 20 5 CFBDRN CCCC(C)(C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000065043037 346729096 /nfs/dbraw/zinc/72/90/96/346729096.db2.gz VMPLWJXXFXWCLU-UHFFFAOYSA-N 0 0 280.324 2.669 20 5 CFBDRN C[C@@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccsc1 ZINC000061364817 346677730 /nfs/dbraw/zinc/67/77/30/346677730.db2.gz VOZCUUWEEJRUBL-MRVPVSSYSA-N 0 0 291.332 2.928 20 5 CFBDRN CCOC1CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000066410830 346758788 /nfs/dbraw/zinc/75/87/88/346758788.db2.gz URWHWJUVSATCAX-UHFFFAOYSA-N 0 0 256.327 2.662 20 5 CFBDRN Cc1ccccc1OCCNc1ccncc1[N+](=O)[O-] ZINC000066810108 346780285 /nfs/dbraw/zinc/78/02/85/346780285.db2.gz CLRATDKLBAHHFM-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN CN(C)c1cccc(CNc2ccncc2[N+](=O)[O-])c1 ZINC000066811530 346780766 /nfs/dbraw/zinc/78/07/66/346780766.db2.gz GODFWXAJHZOAMX-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])CC(C)(C)O1 ZINC000065280680 346731954 /nfs/dbraw/zinc/73/19/54/346731954.db2.gz DBYTXIUUEXWDEM-MRVPVSSYSA-N 0 0 256.327 2.660 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000065541266 346736207 /nfs/dbraw/zinc/73/62/07/346736207.db2.gz CYDVRSSPIFAHFU-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN O=[N+]([O-])c1cnccc1Sc1ncc2ccccn21 ZINC000066796629 346779605 /nfs/dbraw/zinc/77/96/05/346779605.db2.gz VWBCCLRTYNZEKL-UHFFFAOYSA-N 0 0 272.289 2.789 20 5 CFBDRN Cc1noc(CCCNc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000066816144 346781702 /nfs/dbraw/zinc/78/17/02/346781702.db2.gz UIFFRAYZWDIAFD-UHFFFAOYSA-N 0 0 296.714 2.984 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C(C)C)C1CC1 ZINC000067035497 346789429 /nfs/dbraw/zinc/78/94/29/346789429.db2.gz BFNHLAJAGGBWJM-UHFFFAOYSA-N 0 0 262.309 2.916 20 5 CFBDRN CCOc1ncccc1CNc1ncc([N+](=O)[O-])cc1C ZINC000076665498 347146903 /nfs/dbraw/zinc/14/69/03/347146903.db2.gz MJASRDNAQIRRJI-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN C[C@@H]1CCCC[C@H]1OCCNc1ccc([N+](=O)[O-])nc1 ZINC000076797586 347154405 /nfs/dbraw/zinc/15/44/05/347154405.db2.gz CHLAKWJEYDBJKN-DGCLKSJQSA-N 0 0 279.340 2.997 20 5 CFBDRN CN(CCc1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000076840585 347157079 /nfs/dbraw/zinc/15/70/79/347157079.db2.gz OJTOXRBTORTPJR-UHFFFAOYSA-N 0 0 257.293 2.669 20 5 CFBDRN Nc1nc(N[C@@H](c2ccccc2)C2CC2)ncc1[N+](=O)[O-] ZINC000076267612 347132142 /nfs/dbraw/zinc/13/21/42/347132142.db2.gz DVPJOLSMPIXLJG-LBPRGKRZSA-N 0 0 285.307 2.530 20 5 CFBDRN Cc1ccc(CN(C)c2ccncc2[N+](=O)[O-])s1 ZINC000076290756 347132713 /nfs/dbraw/zinc/13/27/13/347132713.db2.gz ODKXFFMWJUEHNV-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CC1(C)CCCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000077521434 347197324 /nfs/dbraw/zinc/19/73/24/347197324.db2.gz RRYLXMIKAYDBFA-UHFFFAOYSA-N 0 0 277.324 2.679 20 5 CFBDRN C[C@H]1C[C@H]1c1ccc(CNC(=O)c2ccc([N+](=O)[O-])o2)o1 ZINC000077689599 347210320 /nfs/dbraw/zinc/21/03/20/347210320.db2.gz INXIQSBAZOBLMQ-WCBMZHEXSA-N 0 0 290.275 2.834 20 5 CFBDRN O=C([C@@H]1C[C@@H]1[N+](=O)[O-])N1CCCCC[C@@H]1c1ccccc1 ZINC000077687327 347210401 /nfs/dbraw/zinc/21/04/01/347210401.db2.gz ZDWSRXBHTLOPIO-KFWWJZLASA-N 0 0 288.347 2.796 20 5 CFBDRN O=C([C@@H]1C[C@@H]1[N+](=O)[O-])N1CCCCC[C@H]1c1ccccc1 ZINC000077687326 347210477 /nfs/dbraw/zinc/21/04/77/347210477.db2.gz ZDWSRXBHTLOPIO-ILXRZTDVSA-N 0 0 288.347 2.796 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1cccc(F)c1[N+](=O)[O-] ZINC000077228547 347177925 /nfs/dbraw/zinc/17/79/25/347177925.db2.gz KHWWZNHOFQAKJI-DTWKUNHWSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1ccc([N+](=O)[O-])cc1F ZINC000077228772 347178361 /nfs/dbraw/zinc/17/83/61/347178361.db2.gz ZAWVVIGCMKEGQP-RKDXNWHRSA-N 0 0 256.277 2.553 20 5 CFBDRN COc1ccccc1[C@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000078021689 347225030 /nfs/dbraw/zinc/22/50/30/347225030.db2.gz RBNRHZOYEXLIFS-LBPRGKRZSA-N 0 0 299.330 2.992 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCC(C)(C)CO ZINC000078303469 347239242 /nfs/dbraw/zinc/23/92/42/347239242.db2.gz SQXKQNRMHIDSRX-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1ccncc1 ZINC000078306088 347240023 /nfs/dbraw/zinc/24/00/23/347240023.db2.gz GWLKTXCOGGODOJ-GFCCVEGCSA-N 0 0 258.281 2.948 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1ccc([N+](=O)[O-])cc1F ZINC000078339545 347243339 /nfs/dbraw/zinc/24/33/39/347243339.db2.gz NWLKRBDJXPOUMD-SECBINFHSA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)[C@H](O)CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000078341859 347244100 /nfs/dbraw/zinc/24/41/00/347244100.db2.gz MJOHGDXZLZPFKP-CQSZACIVSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc([N+](=O)[O-])nc2)CCS1 ZINC000078578649 347262608 /nfs/dbraw/zinc/26/26/08/347262608.db2.gz XDBKFDCRWVBBMC-NSHDSACASA-N 0 0 267.354 2.568 20 5 CFBDRN Cc1cnc(N(CC2CC2)CC2CC2)c([N+](=O)[O-])c1 ZINC000078664219 347268995 /nfs/dbraw/zinc/26/89/95/347268995.db2.gz KLEWTXIDRDOBIG-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cnc(NCc2ccn(C(C)C)n2)c([N+](=O)[O-])c1 ZINC000078665717 347268998 /nfs/dbraw/zinc/26/89/98/347268998.db2.gz UCUJLZWKCUXFKN-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN CCCC[C@@H](COC)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078665255 347268999 /nfs/dbraw/zinc/26/89/99/347268999.db2.gz PWRAUJFYDQFYCN-NSHDSACASA-N 0 0 267.329 2.915 20 5 CFBDRN Cc1cnc(N2C[C@@H](C)S[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000078670299 347269013 /nfs/dbraw/zinc/26/90/13/347269013.db2.gz LZMWGPSSSHJKTK-NXEZZACHSA-N 0 0 267.354 2.628 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000078727550 347272368 /nfs/dbraw/zinc/27/23/68/347272368.db2.gz DHYMOAVGEILMMT-NSHDSACASA-N 0 0 280.324 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2c(F)cccc2F)cn1 ZINC000079942664 347333079 /nfs/dbraw/zinc/33/30/79/347333079.db2.gz VDAQDVRHRRQVEB-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000080178755 347347574 /nfs/dbraw/zinc/34/75/74/347347574.db2.gz YGSLEFZDKLLNOE-BXUZGUMPSA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@H](NC(=O)[C@H](C)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000079635679 347317860 /nfs/dbraw/zinc/31/78/60/347317860.db2.gz VWSOOIVRMQJUDP-YUMQZZPRSA-N 0 0 290.241 2.970 20 5 CFBDRN Cc1sc(C(=O)Nc2cncc(C)c2)cc1[N+](=O)[O-] ZINC000079686915 347320468 /nfs/dbraw/zinc/32/04/68/347320468.db2.gz NPNMWRAZNSSZMF-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cc(N(C)Cc2cnn(C)c2)c(Cl)cc1[N+](=O)[O-] ZINC000080535855 347364688 /nfs/dbraw/zinc/36/46/88/347364688.db2.gz NFBDMDZBINBCRC-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN Cc1ccc(NCCc2nnc3ccccn32)c([N+](=O)[O-])c1 ZINC000080537182 347364742 /nfs/dbraw/zinc/36/47/42/347364742.db2.gz VYROAZNNFBGPLD-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)Cc1cscn1 ZINC000080587417 347367855 /nfs/dbraw/zinc/36/78/55/347367855.db2.gz NSEOZEUQJJMVIL-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CO[C@@H](CNc1cc(C)c([N+](=O)[O-])cn1)C(C)(C)C ZINC000080606086 347369617 /nfs/dbraw/zinc/36/96/17/347369617.db2.gz UOKVJQIPZARMSO-NSHDSACASA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](C)C[C@H](C)O ZINC000080647150 347371722 /nfs/dbraw/zinc/37/17/22/347371722.db2.gz NAHYMSXDAOWQEG-ONGXEEELSA-N 0 0 252.314 2.722 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)[C@@H](C)c1ccccc1 ZINC000080688038 347375736 /nfs/dbraw/zinc/37/57/36/347375736.db2.gz ZUUZUDHKMINSAR-NXEZZACHSA-N 0 0 287.323 2.571 20 5 CFBDRN CO[C@@]1(C)C[C@@H](N(C)c2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000080760977 347378725 /nfs/dbraw/zinc/37/87/25/347378725.db2.gz OZHFNKYTXKJHGD-RISCZKNCSA-N 0 0 279.340 2.630 20 5 CFBDRN Cc1ccnc(N2CC[C@H](OCCC(C)C)C2)c1[N+](=O)[O-] ZINC000081016738 347396983 /nfs/dbraw/zinc/39/69/83/347396983.db2.gz AXCJDSZTAMTEJT-ZDUSSCGKSA-N 0 0 293.367 2.940 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCCC(F)(F)F)c2c1 ZINC000081589954 347428423 /nfs/dbraw/zinc/42/84/23/347428423.db2.gz VUSBQDIBTSPHDE-UHFFFAOYSA-N 0 0 286.213 2.902 20 5 CFBDRN CC(C)c1nc(CNc2cccc([N+](=O)[O-])c2)no1 ZINC000086188171 179247540 /nfs/dbraw/zinc/24/75/40/179247540.db2.gz LBPZCTKJBSMGFB-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN Cc1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)nn1C ZINC000086624128 347487982 /nfs/dbraw/zinc/48/79/82/347487982.db2.gz KJERFGKWPDFELB-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H](C)OCC1CC1 ZINC000086613849 347488415 /nfs/dbraw/zinc/48/84/15/347488415.db2.gz VDAFMCDNWSMZEM-NSHDSACASA-N 0 0 292.335 2.965 20 5 CFBDRN CC(C)(C)CNC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000087062656 347491693 /nfs/dbraw/zinc/49/16/93/347491693.db2.gz OPOFDUZHIMBCDK-UHFFFAOYSA-N 0 0 291.351 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](O)c2ccco2)c1 ZINC000088700673 347507375 /nfs/dbraw/zinc/50/73/75/347507375.db2.gz MUAAUNPZUXOAJG-GFCCVEGCSA-N 0 0 262.265 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(CCCCO)C2CC2)c1 ZINC000088727068 347508749 /nfs/dbraw/zinc/50/87/49/347508749.db2.gz DFOBOXRIUCMSGW-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(=O)OC(C)(C)C)c1 ZINC000088723471 347508763 /nfs/dbraw/zinc/50/87/63/347508763.db2.gz LOLBNABETNKXTM-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN CCCCN(CCCO)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000089266039 347514878 /nfs/dbraw/zinc/51/48/78/347514878.db2.gz XECXMHDFNCNVRL-UHFFFAOYSA-N 0 0 287.747 2.632 20 5 CFBDRN CCOC(=O)CC[C@@H](C)Nc1ccccc1[N+](=O)[O-] ZINC000089657009 347525167 /nfs/dbraw/zinc/52/51/67/347525167.db2.gz PCVCVAPWHQXBSE-SNVBAGLBSA-N 0 0 266.297 2.739 20 5 CFBDRN COc1ccc(CS[C@@H](C)CCO)cc1[N+](=O)[O-] ZINC000091266205 347580931 /nfs/dbraw/zinc/58/09/31/347580931.db2.gz WAGPXYPRGWEQDS-VIFPVBQESA-N 0 0 271.338 2.608 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1c2ccccc2C[C@@H]1O ZINC000091431671 347586043 /nfs/dbraw/zinc/58/60/43/347586043.db2.gz HUYBQBRHSJWDOC-KBPBESRZSA-N 0 0 288.278 2.804 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)Cc1ccccn1 ZINC000091456252 347587563 /nfs/dbraw/zinc/58/75/63/347587563.db2.gz JPASSKVGOQXBFV-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3ncc[nH]3)C2)cc1 ZINC000091480173 347589103 /nfs/dbraw/zinc/58/91/03/347589103.db2.gz UBHUFBDDIGAYMO-NSHDSACASA-N 0 0 272.308 2.702 20 5 CFBDRN Cc1cc(N(C)Cc2cnccn2)c(Cl)cc1[N+](=O)[O-] ZINC000091484064 347589841 /nfs/dbraw/zinc/58/98/41/347589841.db2.gz XZIWROGGMPRMKN-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN CCCN(CC(=O)NC)c1cc(C)c([N+](=O)[O-])cc1Cl ZINC000091484314 347590608 /nfs/dbraw/zinc/59/06/08/347590608.db2.gz HHNBENYHJIEOPM-UHFFFAOYSA-N 0 0 299.758 2.519 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCO1 ZINC000091505214 347591075 /nfs/dbraw/zinc/59/10/75/347591075.db2.gz GJYKLUPNITVPMW-CHWSQXEVSA-N 0 0 250.298 2.964 20 5 CFBDRN COc1cc(CN(C)c2ccc([N+](=O)[O-])cc2)ccc1O ZINC000091506031 347591424 /nfs/dbraw/zinc/59/14/24/347591424.db2.gz CATIXRGYDKWQRP-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(O)CCCCCC1 ZINC000091554611 347592513 /nfs/dbraw/zinc/59/25/13/347592513.db2.gz ASLFFQVOTQQOAD-UHFFFAOYSA-N 0 0 296.371 2.617 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@H](C)C[C@H](C)C2)c1[N+](=O)[O-] ZINC000091671943 347594827 /nfs/dbraw/zinc/59/48/27/347594827.db2.gz DZDXHZVJRMBNIY-MNOVXSKESA-N 0 0 280.372 2.763 20 5 CFBDRN CCc1nn(C)c(N2C[C@H]3CCCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000091734445 347596954 /nfs/dbraw/zinc/59/69/54/347596954.db2.gz GNTLCSSWLUKNAW-GHMZBOCLSA-N 0 0 278.356 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCn2ccnc2)cc1OC(F)F ZINC000092582890 347632726 /nfs/dbraw/zinc/63/27/26/347632726.db2.gz LFJYBDFYBGMAPD-UHFFFAOYSA-N 0 0 298.249 2.505 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1ccc(N(C)C)nc1 ZINC000092584789 347633475 /nfs/dbraw/zinc/63/34/75/347633475.db2.gz ZBDWVKSZCVUZQN-UHFFFAOYSA-N 0 0 273.296 2.503 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)Cc1ccc(Cl)nc1 ZINC000092585168 347633602 /nfs/dbraw/zinc/63/36/02/347633602.db2.gz GGVNKZDHBXGYNZ-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN CCOc1cc(N2CCOC[C@H]2CC)ccc1[N+](=O)[O-] ZINC000092655038 347636974 /nfs/dbraw/zinc/63/69/74/347636974.db2.gz VOFYFLUABDPTKZ-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@H](CO)Sc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092658960 347638333 /nfs/dbraw/zinc/63/83/33/347638333.db2.gz ZTKHDMSAQOHGPY-ZCFIWIBFSA-N 0 0 279.264 2.669 20 5 CFBDRN CC(C)(O)[C@H]1CCCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000092693320 347641989 /nfs/dbraw/zinc/64/19/89/347641989.db2.gz ZXIPXGIRZQZOPO-LLVKDONJSA-N 0 0 286.278 2.613 20 5 CFBDRN CC(C)(O)[C@@H]1CCCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000092693318 347642470 /nfs/dbraw/zinc/64/24/70/347642470.db2.gz ZXIPXGIRZQZOPO-NSHDSACASA-N 0 0 286.278 2.613 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000093498131 347677138 /nfs/dbraw/zinc/67/71/38/347677138.db2.gz GVEBQYCVMFOHTI-GHMZBOCLSA-N 0 0 264.325 2.921 20 5 CFBDRN Cc1cccc(CC(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000102605280 347719585 /nfs/dbraw/zinc/71/95/85/347719585.db2.gz FSANPTQFTLVQBT-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN O=C(CCCc1ccccn1)Nc1cccc([N+](=O)[O-])c1 ZINC000103939919 347723638 /nfs/dbraw/zinc/72/36/38/347723638.db2.gz BSCHABRATQKRPX-UHFFFAOYSA-N 0 0 285.303 2.951 20 5 CFBDRN COc1cc(NCc2csc(C)n2)ccc1[N+](=O)[O-] ZINC000107039901 347734190 /nfs/dbraw/zinc/73/41/90/347734190.db2.gz WBLCCBDJPRSMCD-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)C[C@H]1CCCO1 ZINC000108349664 347741013 /nfs/dbraw/zinc/74/10/13/347741013.db2.gz RQCMSDWKKKWXPZ-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000112250679 347759234 /nfs/dbraw/zinc/75/92/34/347759234.db2.gz HCTOJAQHRHHEBN-SECBINFHSA-N 0 0 250.298 2.759 20 5 CFBDRN C[C@@]1(CO)CCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000120884449 347809073 /nfs/dbraw/zinc/80/90/73/347809073.db2.gz PFZBWSYDRFZWHH-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCCC1CC1 ZINC000123119035 347818585 /nfs/dbraw/zinc/81/85/85/347818585.db2.gz XMSJNAVOGXCFQW-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CCOc1ccc(C(=O)NCCCC2CC2)cc1[N+](=O)[O-] ZINC000127803024 347844295 /nfs/dbraw/zinc/84/42/95/347844295.db2.gz HBKHRLBNPVQMJC-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2cccc([N+](=O)[O-])c2C)nc1 ZINC000131176557 347876768 /nfs/dbraw/zinc/87/67/68/347876768.db2.gz RAYWOGAAVUAIAL-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN COc1ccc(C(=O)N[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000129424742 347860880 /nfs/dbraw/zinc/86/08/80/347860880.db2.gz LSPKOOZYCSTQRO-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000538441866 539587988 /nfs/dbraw/zinc/58/79/88/539587988.db2.gz MYDVFYLKDNHRHY-PWSUYJOCSA-N 0 0 262.309 2.647 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCSC1 ZINC000133764845 347893471 /nfs/dbraw/zinc/89/34/71/347893471.db2.gz COMLUMIKPJJVJV-NSHDSACASA-N 0 0 281.337 2.564 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000132027424 347881833 /nfs/dbraw/zinc/88/18/33/347881833.db2.gz NJYKPDWIRHLIKT-QMMMGPOBSA-N 0 0 266.272 2.652 20 5 CFBDRN CN(Cc1ccc(Cl)cc1)c1c([N+](=O)[O-])ncn1C ZINC000137650306 347914017 /nfs/dbraw/zinc/91/40/17/347914017.db2.gz YPPJMNXCEKZRPU-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000138516313 347916557 /nfs/dbraw/zinc/91/65/57/347916557.db2.gz VBQKSFULHIASGE-RYUDHWBXSA-N 0 0 291.351 2.702 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C[NH+]1CCCCC1 ZINC000149491888 347952973 /nfs/dbraw/zinc/95/29/73/347952973.db2.gz BSRWTMXRBSZHOJ-UHFFFAOYSA-N 0 0 250.298 2.589 20 5 CFBDRN CCCc1nc(Cn2c(C)ncc2[N+](=O)[O-])cs1 ZINC000150923572 347957540 /nfs/dbraw/zinc/95/75/40/347957540.db2.gz SZDFBRJVGGSHER-UHFFFAOYSA-N 0 0 266.326 2.557 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1(CO)CCCCC1 ZINC000157367953 347999893 /nfs/dbraw/zinc/99/98/93/347999893.db2.gz OIQCWJYUCTXNIM-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN CC(C)COCCC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158493952 348007023 /nfs/dbraw/zinc/00/70/23/348007023.db2.gz XHCUNWIZWIWBHP-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN CC(C)Oc1ncccc1CNc1cccnc1[N+](=O)[O-] ZINC000159335037 348012567 /nfs/dbraw/zinc/01/25/67/348012567.db2.gz OREWOIPXRXYXQE-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN O=C(NC1CC=CC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000154689502 347981716 /nfs/dbraw/zinc/98/17/16/347981716.db2.gz MMIUFTBCZMIYGH-UHFFFAOYSA-N 0 0 283.287 2.591 20 5 CFBDRN CNc1c(C(=O)N[C@@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000163206373 348033437 /nfs/dbraw/zinc/03/34/37/348033437.db2.gz NJOLCYWYRDMCIL-VIFPVBQESA-N 0 0 277.324 2.555 20 5 CFBDRN C[C@@H]1OCC[C@H]1SCCOc1ccccc1[N+](=O)[O-] ZINC000164019595 348036126 /nfs/dbraw/zinc/03/61/26/348036126.db2.gz GFJQVPYRTLQBQH-GXFFZTMASA-N 0 0 283.349 2.884 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000167222099 348045161 /nfs/dbraw/zinc/04/51/61/348045161.db2.gz AYPQRAHQOLHFLF-BDAKNGLRSA-N 0 0 258.281 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@@H]3CCCSC3)c2c1 ZINC000167231953 348045382 /nfs/dbraw/zinc/04/53/82/348045382.db2.gz QDRVSRYGVSYZNC-SECBINFHSA-N 0 0 290.348 2.846 20 5 CFBDRN C[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000171935165 348084416 /nfs/dbraw/zinc/08/44/16/348084416.db2.gz DJZGTKQPBIEDOG-SNVBAGLBSA-N 0 0 279.340 2.829 20 5 CFBDRN C[C@@H](CC[S@](C)=O)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000128741691 187386680 /nfs/dbraw/zinc/38/66/80/187386680.db2.gz PFNZMZRSRVSCLN-DCXZOGHSSA-N 0 0 290.772 2.817 20 5 CFBDRN CC(C)n1ccc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000172216670 348087733 /nfs/dbraw/zinc/08/77/33/348087733.db2.gz YHZKBAGKYWYGTB-UHFFFAOYSA-N 0 0 261.281 2.951 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](C)C(C)C)c1 ZINC000172455022 348095304 /nfs/dbraw/zinc/09/53/04/348095304.db2.gz RVBCSQLGEBRCQN-SNVBAGLBSA-N 0 0 280.324 2.625 20 5 CFBDRN CCC1(CNC(=O)c2cc(OC)c(F)cc2[N+](=O)[O-])CC1 ZINC000295149294 199372986 /nfs/dbraw/zinc/37/29/86/199372986.db2.gz PJZDLYKSPUTMRJ-UHFFFAOYSA-N 0 0 296.298 2.663 20 5 CFBDRN CN(Cc1ccccc1)c1cc(N)ccc1[N+](=O)[O-] ZINC000192760842 348141140 /nfs/dbraw/zinc/14/11/40/348141140.db2.gz YEPPUPXBVCTSMB-UHFFFAOYSA-N 0 0 257.293 2.813 20 5 CFBDRN C[C@H]1SCC[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000220847387 348170455 /nfs/dbraw/zinc/17/04/55/348170455.db2.gz KUUJPVGUPFLQNY-SKDRFNHKSA-N 0 0 252.339 2.578 20 5 CFBDRN CCc1ccc(OCc2cn(C)nc2C)c([N+](=O)[O-])c1 ZINC000450845734 539605008 /nfs/dbraw/zinc/60/50/08/539605008.db2.gz NHIDBSLDVOWOIX-UHFFFAOYSA-N 0 0 275.308 2.778 20 5 CFBDRN Cc1cnc([C@@H](C)CNc2ccc([N+](=O)[O-])cn2)s1 ZINC000273320321 192112590 /nfs/dbraw/zinc/11/25/90/192112590.db2.gz PDLSOCWQRKXPHE-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2c([N+](=O)[O-])ncn2C)[C@@H](C)C1 ZINC000226188970 348181682 /nfs/dbraw/zinc/18/16/82/348181682.db2.gz RBBAKYYUJRFCLO-UTLUCORTSA-N 0 0 252.318 2.565 20 5 CFBDRN O=[N+]([O-])c1cccc(NCC[C@@H]2CCCCO2)c1 ZINC000227317069 348185999 /nfs/dbraw/zinc/18/59/99/348185999.db2.gz SQPRAILQHOVDSL-ZDUSSCGKSA-N 0 0 250.298 2.966 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000227820415 348190986 /nfs/dbraw/zinc/19/09/86/348190986.db2.gz UDUFGGDGBXHCPO-GHMZBOCLSA-N 0 0 265.313 2.524 20 5 CFBDRN CSC1(CNc2cccnc2[N+](=O)[O-])CCC1 ZINC000227987235 348192451 /nfs/dbraw/zinc/19/24/51/348192451.db2.gz QWPSSISWGLXXTB-UHFFFAOYSA-N 0 0 253.327 2.687 20 5 CFBDRN Cc1ccc(NC(=O)C[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000086963732 179369335 /nfs/dbraw/zinc/36/93/35/179369335.db2.gz OBCWVOKYYIROHH-LBPRGKRZSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1c(CNc2ncnc3c2CCC3)cccc1[N+](=O)[O-] ZINC000231869081 348208662 /nfs/dbraw/zinc/20/86/62/348208662.db2.gz RTYLDTJJSUNEPX-UHFFFAOYSA-N 0 0 284.319 2.794 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000231685093 348209100 /nfs/dbraw/zinc/20/91/00/348209100.db2.gz AMQNEMPRFYUWGU-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H](O)CC2CCCC2)c1 ZINC000232147938 348210840 /nfs/dbraw/zinc/21/08/40/348210840.db2.gz HABPWMADUHDYCA-LBPRGKRZSA-N 0 0 295.335 2.923 20 5 CFBDRN Cc1nn(C)c2ncc(NCc3ccc([N+](=O)[O-])cc3)cc12 ZINC000237857435 348242329 /nfs/dbraw/zinc/24/23/29/348242329.db2.gz RRKMIQJOGLJHHA-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CCOC(=O)CCCCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000128792665 187390933 /nfs/dbraw/zinc/39/09/33/187390933.db2.gz MHKALXWOBSPJNJ-UHFFFAOYSA-N 0 0 285.271 2.846 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000245497930 348254834 /nfs/dbraw/zinc/25/48/34/348254834.db2.gz VAXUKQJNZRFVIU-WDNDVIMCSA-N 0 0 274.320 2.647 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCOC[C@@H]1C1CC1 ZINC000255087364 348303151 /nfs/dbraw/zinc/30/31/51/348303151.db2.gz WOSPDUCBFIFEIX-OICCZTGUSA-N 0 0 288.347 2.719 20 5 CFBDRN CCC(CC)CNC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000264197007 348317350 /nfs/dbraw/zinc/31/73/50/348317350.db2.gz QFMCVSPDFJYALM-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000412767183 232956351 /nfs/dbraw/zinc/95/63/51/232956351.db2.gz QFUFETSJFCSMRN-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1nc(C)c(C(=O)CSc2ncccc2[N+](=O)[O-])o1 ZINC000269084190 348329479 /nfs/dbraw/zinc/32/94/79/348329479.db2.gz XCJAHVXDXBXINE-UHFFFAOYSA-N 0 0 293.304 2.570 20 5 CFBDRN C[C@@H](O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1Br ZINC000270146137 348330850 /nfs/dbraw/zinc/33/08/50/348330850.db2.gz QXYWOGQNQFBZML-RQJHMYQMSA-N 0 0 290.113 2.505 20 5 CFBDRN O=C(c1cc2ccccc2c2cccnc12)N1CC[C@@H](O)C1 ZINC000304497479 348380174 /nfs/dbraw/zinc/38/01/74/348380174.db2.gz PIBAYQLJVQVQEC-CYBMUJFWSA-N 0 0 292.338 2.595 20 5 CFBDRN Cc1cc(CNc2c(F)cccc2[N+](=O)[O-])no1 ZINC000306120379 348383578 /nfs/dbraw/zinc/38/35/78/348383578.db2.gz ZYEDYFFLRAXWOA-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000273355242 192123253 /nfs/dbraw/zinc/12/32/53/192123253.db2.gz DFNUBOXSZXLMAJ-MRVPVSSYSA-N 0 0 267.260 2.608 20 5 CFBDRN COC(C)(C)CCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000412990052 232995716 /nfs/dbraw/zinc/99/57/16/232995716.db2.gz HGISSJLFYUGWDR-UHFFFAOYSA-N 0 0 290.323 2.668 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCc1cccnc1 ZINC000310614872 348410111 /nfs/dbraw/zinc/41/01/11/348410111.db2.gz AILZLKVWMQHWAG-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000310783483 348410428 /nfs/dbraw/zinc/41/04/28/348410428.db2.gz CCMIOSRSUHBVKU-MRVPVSSYSA-N 0 0 257.240 2.898 20 5 CFBDRN CC[C@H](CO)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000310895267 348410791 /nfs/dbraw/zinc/41/07/91/348410791.db2.gz ZTRFYYPBCPMHCO-MRVPVSSYSA-N 0 0 260.240 2.715 20 5 CFBDRN Cc1nnc([C@H](C)Sc2cccc([N+](=O)[O-])c2)[nH]1 ZINC000413003637 233000112 /nfs/dbraw/zinc/00/01/12/233000112.db2.gz ICWFZCRXHCOSBJ-ZETCQYMHSA-N 0 0 264.310 2.875 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1NC[C@@H](O)C1CC1 ZINC000311370145 348412477 /nfs/dbraw/zinc/41/24/77/348412477.db2.gz RDMYWHFZJYXFIZ-LLVKDONJSA-N 0 0 272.251 2.715 20 5 CFBDRN C[C@@H](Nc1ccc(N)c([N+](=O)[O-])n1)c1ccccc1 ZINC000311534495 348412893 /nfs/dbraw/zinc/41/28/93/348412893.db2.gz LVXZCMFQWVBBEP-SECBINFHSA-N 0 0 258.281 2.745 20 5 CFBDRN C[C@H](Nc1ccc(N)c([N+](=O)[O-])n1)c1ccccc1 ZINC000311534496 348413240 /nfs/dbraw/zinc/41/32/40/348413240.db2.gz LVXZCMFQWVBBEP-VIFPVBQESA-N 0 0 258.281 2.745 20 5 CFBDRN COc1cc(NCC[C@@H](C)OC)c([N+](=O)[O-])cc1C ZINC000311778369 348414733 /nfs/dbraw/zinc/41/47/33/348414733.db2.gz YBMSNJNAIILVDY-SNVBAGLBSA-N 0 0 268.313 2.749 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000311858254 348415684 /nfs/dbraw/zinc/41/56/84/348415684.db2.gz JYPPOMLODXGMHV-POYBYMJQSA-N 0 0 296.377 2.905 20 5 CFBDRN CC(C)(CO)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000128903406 187398029 /nfs/dbraw/zinc/39/80/29/187398029.db2.gz UADGSISTJUEDBR-UHFFFAOYSA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1nc2c(n1CC(=O)c1ccc([N+](=O)[O-])cc1)CCCC2 ZINC000317676846 348432884 /nfs/dbraw/zinc/43/28/84/348432884.db2.gz GVSHAYCZNAYLEZ-UHFFFAOYSA-N 0 0 299.330 2.861 20 5 CFBDRN C[C@H]1CN(c2ccncc2[N+](=O)[O-])C[C@@H](c2ccccc2)O1 ZINC000340152127 539640087 /nfs/dbraw/zinc/64/00/87/539640087.db2.gz RATYZXZOKBCAMN-LRDDRELGSA-N 0 0 299.330 2.956 20 5 CFBDRN CC[C@H](Nc1ccc(C(=O)NC)cc1[N+](=O)[O-])C1CC1 ZINC000323720699 348438385 /nfs/dbraw/zinc/43/83/85/348438385.db2.gz MKYPCSSVFSMWLS-NSHDSACASA-N 0 0 277.324 2.555 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000323890021 348439160 /nfs/dbraw/zinc/43/91/60/348439160.db2.gz OSJGHQFUTGWUNP-NSHDSACASA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000313430610 348422849 /nfs/dbraw/zinc/42/28/49/348422849.db2.gz YWYIVASQTQXMND-KCJUWKMLSA-N 0 0 290.348 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3coc(C4CC4)n3)n2)o1 ZINC000351142206 348485114 /nfs/dbraw/zinc/48/51/14/348485114.db2.gz DFPWAPIQRXPDJA-UHFFFAOYSA-N 0 0 288.219 2.770 20 5 CFBDRN CCc1nocc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000596841449 349995848 /nfs/dbraw/zinc/99/58/48/349995848.db2.gz ICHNIQNTBRNWLQ-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273386945 192135209 /nfs/dbraw/zinc/13/52/09/192135209.db2.gz JGSXXSNYMIFXEW-SNVBAGLBSA-N 0 0 267.260 2.654 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@]1(C)CC1(C)C ZINC000596845047 349996547 /nfs/dbraw/zinc/99/65/47/349996547.db2.gz SWVZRJQEGSWJKZ-AWEZNQCLSA-N 0 0 293.323 2.914 20 5 CFBDRN CO[C@@H](C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000596844955 349996801 /nfs/dbraw/zinc/99/68/01/349996801.db2.gz CMBJBOGFKVKHNC-QMTHXVAHSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H](NC(=O)C1CC2(CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000596845760 349996895 /nfs/dbraw/zinc/99/68/95/349996895.db2.gz JHALLOQNQDWZDH-JTQLQIEISA-N 0 0 274.320 2.962 20 5 CFBDRN C[C@@H](c1nc(-c2c([N+](=O)[O-])ncn2C)no1)C1CCCC1 ZINC000350898529 348480650 /nfs/dbraw/zinc/48/06/50/348480650.db2.gz IONLJCUMFPPOER-MRVPVSSYSA-N 0 0 291.311 2.672 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(-c2ccc(Cl)o2)no1 ZINC000350911223 348480866 /nfs/dbraw/zinc/48/08/66/348480866.db2.gz XAQCQCAXKIGGMH-UHFFFAOYSA-N 0 0 294.654 2.897 20 5 CFBDRN CO[C@@H](c1noc(-c2ccccc2[N+](=O)[O-])n1)C(C)C ZINC000351011057 348481288 /nfs/dbraw/zinc/48/12/88/348481288.db2.gz MYSWORAKRVWKNP-LLVKDONJSA-N 0 0 277.280 2.988 20 5 CFBDRN COC1(c2nc(-c3cccc([N+](=O)[O-])c3C)no2)CC1 ZINC000351374083 348494832 /nfs/dbraw/zinc/49/48/32/348494832.db2.gz IAINIEXNDYYQKY-UHFFFAOYSA-N 0 0 275.264 2.589 20 5 CFBDRN COC[C@H](C)Cc1noc(-c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000351466398 348496700 /nfs/dbraw/zinc/49/67/00/348496700.db2.gz PQKSFEAZIKXVEC-SECBINFHSA-N 0 0 291.307 2.778 20 5 CFBDRN COC[C@H](C)Cc1noc(-c2ccc([N+](=O)[O-])cc2C)n1 ZINC000351470093 348497176 /nfs/dbraw/zinc/49/71/76/348497176.db2.gz WCYBHALXFJPUEI-SECBINFHSA-N 0 0 291.307 2.778 20 5 CFBDRN CCC(CC)(CNc1ncc(F)cc1[N+](=O)[O-])OC ZINC000401155248 348577004 /nfs/dbraw/zinc/57/70/04/348577004.db2.gz HSCXPRDVTPZPOI-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC[C@@H]1CCCCO1 ZINC000401167052 348577888 /nfs/dbraw/zinc/57/78/88/348577888.db2.gz PKLMYWVKKXRLMH-JTQLQIEISA-N 0 0 269.276 2.500 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1ncc(F)cc1[N+](=O)[O-])OC ZINC000401212430 348578155 /nfs/dbraw/zinc/57/81/55/348578155.db2.gz QPXLLFTYWBRCON-KCJUWKMLSA-N 0 0 271.292 2.602 20 5 CFBDRN CCOc1cc(N[C@@H]2CO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000402207597 348580772 /nfs/dbraw/zinc/58/07/72/348580772.db2.gz IJENPBBJAIEJBS-KOLCDFICSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@@H]1C[C@H](Nc2cc(C(F)(F)F)ncc2[N+](=O)[O-])CO1 ZINC000402340119 348581369 /nfs/dbraw/zinc/58/13/69/348581369.db2.gz VZTFFOUFYBIFCN-RQJHMYQMSA-N 0 0 291.229 2.598 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3cccnc23)CO1 ZINC000402233404 348581588 /nfs/dbraw/zinc/58/15/88/348581588.db2.gz VNFNYWWUMSCYJV-VHSXEESVSA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CC[C@@H]3C[C@@H]3C2)nc2sccn21 ZINC000403332956 348584420 /nfs/dbraw/zinc/58/44/20/348584420.db2.gz GTFQBDAUWVETGN-IWSPIJDZSA-N 0 0 278.337 2.905 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@@H]3C[C@@H]3C2)ncc1[N+](=O)[O-] ZINC000403477695 348585320 /nfs/dbraw/zinc/58/53/20/348585320.db2.gz QSEHDUNWIGXRDS-UTUOFQBUSA-N 0 0 261.325 2.568 20 5 CFBDRN O=[N+]([O-])c1cnc(N(CCO)CC2CCCCC2)c(F)c1 ZINC000413226926 233037333 /nfs/dbraw/zinc/03/73/33/233037333.db2.gz IUPXLQNXBUEKLH-UHFFFAOYSA-N 0 0 297.330 2.508 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cc2F)CCO[C@@H]1C1CC1 ZINC000413236480 233040489 /nfs/dbraw/zinc/04/04/89/233040489.db2.gz RFRDJURUEVJLDB-TZMCWYRMSA-N 0 0 295.314 2.746 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C3CC3)C2)c2cccnc21 ZINC000413242871 233041077 /nfs/dbraw/zinc/04/10/77/233041077.db2.gz ZSJLXEOIMYPMMV-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@H]1CCC2(CCCC2)O1 ZINC000584766412 348713064 /nfs/dbraw/zinc/71/30/64/348713064.db2.gz HMJSAWQTQKCCBF-LLVKDONJSA-N 0 0 278.308 2.860 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])cc2F)[C@H]2CCCO[C@@H]21 ZINC000584766367 348713357 /nfs/dbraw/zinc/71/33/57/348713357.db2.gz ATWLQXOFCGZAAZ-JLLWLGSASA-N 0 0 295.314 2.744 20 5 CFBDRN COc1cc(N2C[C@@H](C)OC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000584467019 348677414 /nfs/dbraw/zinc/67/74/14/348677414.db2.gz UPPPPUYWHCCICG-LLVKDONJSA-N 0 0 292.335 2.751 20 5 CFBDRN Cc1nc(N[C@@H](C2CC2)C2CCC2)ncc1[N+](=O)[O-] ZINC000413352547 233058903 /nfs/dbraw/zinc/05/89/03/233058903.db2.gz CHHVZIQFLTYZBY-GFCCVEGCSA-N 0 0 262.313 2.684 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@H]2c2cc[nH]n2)n1 ZINC000588035459 348767567 /nfs/dbraw/zinc/76/75/67/348767567.db2.gz AMCBIWYMNAOVCG-GFCCVEGCSA-N 0 0 287.323 2.671 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H](C(F)F)C2)n1 ZINC000588040685 348767995 /nfs/dbraw/zinc/76/79/95/348767995.db2.gz KJDWVJAVWSEOJH-SECBINFHSA-N 0 0 271.267 2.698 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588038201 348768089 /nfs/dbraw/zinc/76/80/89/348768089.db2.gz HCFJQQBMOBPMEX-NXEZZACHSA-N 0 0 279.340 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(C2)CCCOC3)s1 ZINC000588051657 348768558 /nfs/dbraw/zinc/76/85/58/348768558.db2.gz SCGJWTXDKAMSOY-GFCCVEGCSA-N 0 0 268.338 2.663 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@@]2(C1)CCCOC2 ZINC000588051973 348768804 /nfs/dbraw/zinc/76/88/04/348768804.db2.gz OAJCTWYEOGGRJI-GFCCVEGCSA-N 0 0 268.338 2.663 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]3C[C@@H]32)c(Br)c1 ZINC000588066521 348769985 /nfs/dbraw/zinc/76/99/85/348769985.db2.gz QXCIAKFUEVHOGU-XCBNKYQSSA-N 0 0 298.140 2.741 20 5 CFBDRN C[C@@H]1C[C@H]([NH+]2CCCC2)CN1c1sccc1[N+](=O)[O-] ZINC000588071901 348770247 /nfs/dbraw/zinc/77/02/47/348770247.db2.gz RMXUEKCQIDXWEK-MNOVXSKESA-N 0 0 281.381 2.719 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H](O)Cc1ccccc1 ZINC000588084854 348770904 /nfs/dbraw/zinc/77/09/04/348770904.db2.gz AZDAJRRSYUPXTF-LLVKDONJSA-N 0 0 278.333 2.672 20 5 CFBDRN Cc1cccc(NCc2nnc(C(C)(C)C)[nH]2)c1[N+](=O)[O-] ZINC000413420195 233070112 /nfs/dbraw/zinc/07/01/12/233070112.db2.gz UNVKOWRDKMDEPR-UHFFFAOYSA-N 0 0 289.339 2.931 20 5 CFBDRN Cc1ccc(NC(=O)N2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000588225794 348779978 /nfs/dbraw/zinc/77/99/78/348779978.db2.gz QBPBEDXXVIESRF-MFKMUULPSA-N 0 0 275.308 2.919 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC1(F)F ZINC000588295712 348782835 /nfs/dbraw/zinc/78/28/35/348782835.db2.gz ORCAHDUXYAJZOY-LLVKDONJSA-N 0 0 299.277 2.582 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)C12CCC2 ZINC000413439505 233073070 /nfs/dbraw/zinc/07/30/70/233073070.db2.gz KBUGMCLWPKXCDB-NEPJUHHUSA-N 0 0 277.324 2.668 20 5 CFBDRN Cn1cc(CSCCOc2ccccc2[N+](=O)[O-])cn1 ZINC000588913381 348802162 /nfs/dbraw/zinc/80/21/62/348802162.db2.gz HRXZQQZSWWORRL-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC2(F)F)c1 ZINC000588788127 348795963 /nfs/dbraw/zinc/79/59/63/348795963.db2.gz JAQCPRFGFGOZJR-LLVKDONJSA-N 0 0 284.262 2.821 20 5 CFBDRN CCc1nn(C)c(Nc2cnn(C(C)(C)C)c2)c1[N+](=O)[O-] ZINC000588815141 348796788 /nfs/dbraw/zinc/79/67/88/348796788.db2.gz RVONUDNOVZJSDV-UHFFFAOYSA-N 0 0 292.343 2.586 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCCC3(F)CCC3)c2c1 ZINC000588841051 348798216 /nfs/dbraw/zinc/79/82/16/348798216.db2.gz JMEJGLSSFLRECE-UHFFFAOYSA-N 0 0 290.298 2.654 20 5 CFBDRN CCOC1CC(N(C)c2ccc([N+](=O)[O-])s2)C1 ZINC000588844304 348798397 /nfs/dbraw/zinc/79/83/97/348798397.db2.gz FPTIAGYQBNDQFR-UHFFFAOYSA-N 0 0 256.327 2.660 20 5 CFBDRN C[C@H](CCCc1cccnc1)Nc1ncc([N+](=O)[O-])cn1 ZINC000413392614 233065840 /nfs/dbraw/zinc/06/58/40/233065840.db2.gz JCTYWBFICGZIKW-LLVKDONJSA-N 0 0 287.323 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H]3C[C@@H]32)c1 ZINC000586897569 348760036 /nfs/dbraw/zinc/76/00/36/348760036.db2.gz CRHCDVAPKRLFIZ-MFKMUULPSA-N 0 0 260.293 2.528 20 5 CFBDRN CSc1cccc(C(=O)N2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000586899608 348760516 /nfs/dbraw/zinc/76/05/16/348760516.db2.gz WJPPATWJYNGOGL-KOLCDFICSA-N 0 0 292.360 2.941 20 5 CFBDRN Cc1cccc(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)c1 ZINC000587115875 348761293 /nfs/dbraw/zinc/76/12/93/348761293.db2.gz UGLQRDWWMPAJOM-UHFFFAOYSA-N 0 0 287.319 2.590 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cc2cc[nH]c2cn1 ZINC000587309826 348761904 /nfs/dbraw/zinc/76/19/04/348761904.db2.gz WKVRQOUOSCCJPF-UHFFFAOYSA-N 0 0 268.276 2.505 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCO[C@H](C(F)(F)F)C1 ZINC000587982719 348763899 /nfs/dbraw/zinc/76/38/99/348763899.db2.gz QGCINAYLUSFLIB-VIFPVBQESA-N 0 0 294.204 2.501 20 5 CFBDRN CC(=O)c1cc(N2CC[C@@H](C)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000588012996 348766323 /nfs/dbraw/zinc/76/63/23/348766323.db2.gz JYDIPNNWAFLWIB-NOZJJQNGSA-N 0 0 280.299 2.982 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C[C@@H]1C ZINC000588017098 348766953 /nfs/dbraw/zinc/76/69/53/348766953.db2.gz WUJOISBYDCVCED-SCVCMEIPSA-N 0 0 293.367 2.906 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1C[C@@H]2CCC[C@@H]2C1 ZINC000589113509 348811690 /nfs/dbraw/zinc/81/16/90/348811690.db2.gz PANBESPNJBJQKR-DTORHVGOSA-N 0 0 267.716 2.880 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC1(C(F)F)CCCC1 ZINC000589117921 348812183 /nfs/dbraw/zinc/81/21/83/348812183.db2.gz SFTVIMIQBXFZKI-UHFFFAOYSA-N 0 0 298.289 2.831 20 5 CFBDRN C[C@H]1C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CS1 ZINC000413507255 233086580 /nfs/dbraw/zinc/08/65/80/233086580.db2.gz CADIFAAMCDGJNS-IUCAKERBSA-N 0 0 279.365 2.869 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ncc([N+](=O)[O-])cc2Cl)[C@H](C)O1 ZINC000413541841 233093060 /nfs/dbraw/zinc/09/30/60/233093060.db2.gz WHMOILYHRKTKFF-HRDYMLBCSA-N 0 0 285.731 2.869 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)c2csnn2)n1 ZINC000413560303 233095708 /nfs/dbraw/zinc/09/57/08/233095708.db2.gz WPGQSNOQHXZPES-MRVPVSSYSA-N 0 0 279.325 2.631 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1Cc2cccc(F)c2C1 ZINC000413445870 233073986 /nfs/dbraw/zinc/07/39/86/233073986.db2.gz WQERLIBBOPUQKU-UHFFFAOYSA-N 0 0 290.298 2.779 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000589582844 348839466 /nfs/dbraw/zinc/83/94/66/348839466.db2.gz LOLOKNFKAKPXHE-JTQLQIEISA-N 0 0 267.329 2.584 20 5 CFBDRN COc1cc(N[C@H](C)C[C@@H]2CCOC2)c([N+](=O)[O-])cc1F ZINC000589584530 348839997 /nfs/dbraw/zinc/83/99/97/348839997.db2.gz NFMXQEYSVGXKLO-ZJUUUORDSA-N 0 0 298.314 2.970 20 5 CFBDRN COc1ccc(CNc2sccc2[N+](=O)[O-])c(OC)n1 ZINC000589608563 348842820 /nfs/dbraw/zinc/84/28/20/348842820.db2.gz TYBLJDPCPHGXFJ-UHFFFAOYSA-N 0 0 295.320 2.681 20 5 CFBDRN CCCC(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000588937362 348804364 /nfs/dbraw/zinc/80/43/64/348804364.db2.gz BHQLJEYLXHBYMS-UHFFFAOYSA-N 0 0 275.308 2.535 20 5 CFBDRN C[C@@H](C(=O)N1CC(C2CC2)C1)c1ccc([N+](=O)[O-])cc1F ZINC000589725099 348853570 /nfs/dbraw/zinc/85/35/70/348853570.db2.gz VPARIHPRSUZHTH-SECBINFHSA-N 0 0 292.310 2.706 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC(C2CC2)C1 ZINC000589725005 348853747 /nfs/dbraw/zinc/85/37/47/348853747.db2.gz LTNIEXBSNNBFJL-UHFFFAOYSA-N 0 0 285.303 2.558 20 5 CFBDRN CC(C)C1CN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000589843077 348860495 /nfs/dbraw/zinc/86/04/95/348860495.db2.gz XIGCLXANLAEGBQ-UHFFFAOYSA-N 0 0 298.289 2.530 20 5 CFBDRN COCC[C@@H](C)CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000413627361 233107160 /nfs/dbraw/zinc/10/71/60/233107160.db2.gz SQIIZRSFSKXXGI-SNVBAGLBSA-N 0 0 295.339 2.697 20 5 CFBDRN CC(C)(C)Oc1cc(N2CCO[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000589872921 348862529 /nfs/dbraw/zinc/86/25/29/348862529.db2.gz HCXZITCZLOXFOZ-QWHCGFSZSA-N 0 0 292.335 2.750 20 5 CFBDRN O=C(CNc1c(Cl)cccc1[N+](=O)[O-])NC1CCCC1 ZINC000590079683 348873271 /nfs/dbraw/zinc/87/32/71/348873271.db2.gz IUTZRZIOPIHTMN-UHFFFAOYSA-N 0 0 297.742 2.719 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])c3cccnc23)C[C@@H]1O ZINC000128977971 187403434 /nfs/dbraw/zinc/40/34/34/187403434.db2.gz PDYCVAZDFWMDGV-OLZOCXBDSA-N 0 0 287.319 2.714 20 5 CFBDRN CCC(CC)Nc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000450211505 535082377 /nfs/dbraw/zinc/08/23/77/535082377.db2.gz PZTOQXBZQPZIDY-UHFFFAOYSA-N 0 0 280.324 2.911 20 5 CFBDRN CC(C)(CNc1cccnc1[N+](=O)[O-])c1ccccn1 ZINC000590708777 348932370 /nfs/dbraw/zinc/93/23/70/348932370.db2.gz OSOJWZJIGADXIQ-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@@H]3C3CC3)c2c1 ZINC000590711058 348933554 /nfs/dbraw/zinc/93/35/54/348933554.db2.gz YZAQTPBUYCGDIN-CYBMUJFWSA-N 0 0 270.292 2.527 20 5 CFBDRN CCO[C@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@@H]1C ZINC000590718102 348934781 /nfs/dbraw/zinc/93/47/81/348934781.db2.gz FAZPUAZORCHJLV-ONGXEEELSA-N 0 0 270.354 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]([C@@H](CO)C2CC2)C2CC2)s1 ZINC000590719269 348935484 /nfs/dbraw/zinc/93/54/84/348935484.db2.gz PXFJUAPDIKMXHJ-GXFFZTMASA-N 0 0 282.365 2.865 20 5 CFBDRN CCCc1ncc(CNc2cccnc2[N+](=O)[O-])o1 ZINC000590725263 348937341 /nfs/dbraw/zinc/93/73/41/348937341.db2.gz BLPQEMBFNQSISW-UHFFFAOYSA-N 0 0 262.269 2.542 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C12CCC2 ZINC000590726240 348938081 /nfs/dbraw/zinc/93/80/81/348938081.db2.gz NAKZAZDRLSLDQP-OLZOCXBDSA-N 0 0 291.351 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@H]2CCOC2)s1 ZINC000590733163 348938745 /nfs/dbraw/zinc/93/87/45/348938745.db2.gz AVVHYELSDQPGOH-VIFPVBQESA-N 0 0 256.327 2.885 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]1C(F)(F)F ZINC000590553258 348908448 /nfs/dbraw/zinc/90/84/48/348908448.db2.gz MUPSCKQEOUHSPN-JTQLQIEISA-N 0 0 288.225 2.680 20 5 CFBDRN Cc1nc(C(C)C)oc1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000590562360 348909035 /nfs/dbraw/zinc/90/90/35/348909035.db2.gz MMCBHWWEZXBTAH-UHFFFAOYSA-N 0 0 293.279 2.538 20 5 CFBDRN Nc1ccc(N2CCC[C@H]2c2cccs2)nc1[N+](=O)[O-] ZINC000590569033 348910214 /nfs/dbraw/zinc/91/02/14/348910214.db2.gz HVWGPGKGSDYKDL-JTQLQIEISA-N 0 0 290.348 2.975 20 5 CFBDRN C[C@H]1OCC[C@H]1Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000590582344 348910723 /nfs/dbraw/zinc/91/07/23/348910723.db2.gz PNEXSKYQHXDANK-HZGVNTEJSA-N 0 0 274.729 2.913 20 5 CFBDRN COC(=O)c1c(Cl)c(N2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000590584750 348911708 /nfs/dbraw/zinc/91/17/08/348911708.db2.gz AYZKNEXXDPYJQR-QMMMGPOBSA-N 0 0 298.726 2.881 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1ccc([N+](=O)[O-])cc1)CC2 ZINC000590610854 348915745 /nfs/dbraw/zinc/91/57/45/348915745.db2.gz SBUSPKIMQQOXHG-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CC[C@](C)(CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000590773852 348947010 /nfs/dbraw/zinc/94/70/10/348947010.db2.gz UGFLCRMLFPMVNN-XHDPSFHLSA-N 0 0 294.351 2.630 20 5 CFBDRN COc1cc(C(=O)NCCCC2CC2)c([N+](=O)[O-])cc1F ZINC000590668234 348925764 /nfs/dbraw/zinc/92/57/64/348925764.db2.gz TYISQLAEJAQJHJ-UHFFFAOYSA-N 0 0 296.298 2.663 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCC[C@H]1CCCO1 ZINC000590685021 348927633 /nfs/dbraw/zinc/92/76/33/348927633.db2.gz AULXNIORUUZDLD-LLVKDONJSA-N 0 0 287.319 2.546 20 5 CFBDRN COC(=O)Cc1ccc(NC[C@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000590687272 348928620 /nfs/dbraw/zinc/92/86/20/348928620.db2.gz JCDNAKLCXIWCAY-JTQLQIEISA-N 0 0 292.335 2.768 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2NC1CC=CC1 ZINC000590694464 348929666 /nfs/dbraw/zinc/92/96/66/348929666.db2.gz MMDIYJJQIILTTL-UHFFFAOYSA-N 0 0 285.303 2.704 20 5 CFBDRN C[C@@H]1CSCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273481245 192168110 /nfs/dbraw/zinc/16/81/10/192168110.db2.gz QKKLWIOQJTUKHU-MRVPVSSYSA-N 0 0 299.327 2.703 20 5 CFBDRN CC(F)(F)CCCNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000590704739 348931541 /nfs/dbraw/zinc/93/15/41/348931541.db2.gz XDIVQKKXMJPBMY-UHFFFAOYSA-N 0 0 289.282 2.517 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CC(C(F)F)C1 ZINC000590968822 348974355 /nfs/dbraw/zinc/97/43/55/348974355.db2.gz UNIQDZPNXCXDQD-HYXAFXHYSA-N 0 0 268.263 2.805 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@@H](C2CCC2)C1 ZINC000591125581 348989734 /nfs/dbraw/zinc/98/97/34/348989734.db2.gz CJTMNXFVIGEBRZ-CYBMUJFWSA-N 0 0 274.320 2.857 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000591150830 348993211 /nfs/dbraw/zinc/99/32/11/348993211.db2.gz BVAQWTNCUKXQAQ-ZWNOBZJWSA-N 0 0 283.303 2.744 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC(F)(F)C2)c(F)c1 ZINC000591151115 348993434 /nfs/dbraw/zinc/99/34/34/348993434.db2.gz PWPGMBOVMFIUNH-LURJTMIESA-N 0 0 261.203 2.729 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@@H]2CCCC[C@H]2O)c(F)c1 ZINC000591150724 348993474 /nfs/dbraw/zinc/99/34/74/348993474.db2.gz XBYFVNOKGBTQKM-GXFFZTMASA-N 0 0 297.330 2.872 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC4(C3)CCCOC4)c2c1 ZINC000591162689 348995684 /nfs/dbraw/zinc/99/56/84/348995684.db2.gz SJXWMWBOBPMMPY-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN CO[C@@H]1C[C@@H](N(C)c2ncc([N+](=O)[O-])cc2F)C12CCC2 ZINC000591163079 348995752 /nfs/dbraw/zinc/99/57/52/348995752.db2.gz HCCQHKSCRXAIMD-VXGBXAGGSA-N 0 0 295.314 2.523 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccsc2[N+](=O)[O-])[C@@H](C)O1 ZINC000591193750 348999173 /nfs/dbraw/zinc/99/91/73/348999173.db2.gz VRQLSNIEGLONOU-HLTSFMKQSA-N 0 0 256.327 2.882 20 5 CFBDRN O=C(NC[C@H]1CCC[C@@H]1C1CC1)c1ccc([N+](=O)[O-])o1 ZINC000413887517 233139162 /nfs/dbraw/zinc/13/91/62/233139162.db2.gz WPJGIJYKKSBUHY-GHMZBOCLSA-N 0 0 278.308 2.744 20 5 CFBDRN Nc1c(F)c(N2CC[C@@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000591204105 349000074 /nfs/dbraw/zinc/00/00/74/349000074.db2.gz DGFDTPDPQJAKAV-SNVBAGLBSA-N 0 0 279.315 2.943 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000591214353 349000663 /nfs/dbraw/zinc/00/06/63/349000663.db2.gz CTESTVGIIVXBNW-WDEREUQCSA-N 0 0 298.289 2.858 20 5 CFBDRN Cc1nc(N2CC[C@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000591204493 349000754 /nfs/dbraw/zinc/00/07/54/349000754.db2.gz WODFJRLZLACFPQ-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000590893975 348963267 /nfs/dbraw/zinc/96/32/67/348963267.db2.gz FMKDSJLJTCBJSE-OCCSQVGLSA-N 0 0 277.324 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)c(CSCCO)c1 ZINC000591364287 349012479 /nfs/dbraw/zinc/01/24/79/349012479.db2.gz CBMMHFDGASOSEB-UHFFFAOYSA-N 0 0 281.255 2.839 20 5 CFBDRN CC[C@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)[C@H]1CC1(C)C ZINC000591368683 349012529 /nfs/dbraw/zinc/01/25/29/349012529.db2.gz VOTHZDOIVXWRMY-YPMHNXCESA-N 0 0 293.367 2.906 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](CCO)CC2)s1 ZINC000591465592 349025480 /nfs/dbraw/zinc/02/54/80/349025480.db2.gz XVFUOSREXVNNNM-JTQLQIEISA-N 0 0 270.354 2.645 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H](O)CC12CCC2 ZINC000591464173 349025536 /nfs/dbraw/zinc/02/55/36/349025536.db2.gz FCKQUKKJJZIIMR-JTQLQIEISA-N 0 0 297.742 2.527 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H](C)C1CC1 ZINC000591507627 349027386 /nfs/dbraw/zinc/02/73/86/349027386.db2.gz MNDMKBWVKREMEX-LLVKDONJSA-N 0 0 276.336 2.988 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1C[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC000591589550 349032525 /nfs/dbraw/zinc/03/25/25/349032525.db2.gz SYXRGMQFEKPCCO-QJPTWQEYSA-N 0 0 297.314 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(-c2nc([C@H]3CCCOC3)no2)c1 ZINC000591652073 349035895 /nfs/dbraw/zinc/03/58/95/349035895.db2.gz IMRJCVHOLLGKCY-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1COC ZINC000591981679 349068966 /nfs/dbraw/zinc/06/89/66/349068966.db2.gz MYQZBVOEIGVZDT-WCQYABFASA-N 0 0 296.367 2.950 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000591980757 349068983 /nfs/dbraw/zinc/06/89/83/349068983.db2.gz HTUFBTKJKAAFIL-RKDXNWHRSA-N 0 0 285.319 2.525 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000591982492 349069701 /nfs/dbraw/zinc/06/97/01/349069701.db2.gz POSOUJLWNXFJAF-ONGXEEELSA-N 0 0 267.329 2.507 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])C1 ZINC000591986919 349070439 /nfs/dbraw/zinc/07/04/39/349070439.db2.gz DQOFVJDULWOMIP-AOOOYVTPSA-N 0 0 265.313 2.748 20 5 CFBDRN Cc1nn(C)c(NCC2CCC(F)CC2)c1[N+](=O)[O-] ZINC000591994976 349071886 /nfs/dbraw/zinc/07/18/86/349071886.db2.gz SWSIBAHNCHWIHK-UHFFFAOYSA-N 0 0 270.308 2.577 20 5 CFBDRN CCCc1nc(COc2c(Cl)cncc2[N+](=O)[O-])no1 ZINC000592350406 349106821 /nfs/dbraw/zinc/10/68/21/349106821.db2.gz VOGIPVQJFLUKAH-UHFFFAOYSA-N 0 0 298.686 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCC(F)CC2)cn1 ZINC000591995529 349072572 /nfs/dbraw/zinc/07/25/72/349072572.db2.gz ZIOUFDXLQAIWIE-UHFFFAOYSA-N 0 0 253.277 2.930 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000592003375 349074184 /nfs/dbraw/zinc/07/41/84/349074184.db2.gz OIAKUHCVMUYZPC-TVQRCGJNSA-N 0 0 289.360 2.871 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000592121064 349086061 /nfs/dbraw/zinc/08/60/61/349086061.db2.gz MSPFIURRXVRSEO-CKYFFXLPSA-N 0 0 275.308 2.905 20 5 CFBDRN C[C@@H]1SCC[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000592123009 349086823 /nfs/dbraw/zinc/08/68/23/349086823.db2.gz OWYCZHJYIULVMA-KWQFWETISA-N 0 0 281.337 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1CSCC1CC[NH2+]CC1 ZINC000592175385 349095347 /nfs/dbraw/zinc/09/53/47/349095347.db2.gz VIJSVNDOQQTHOZ-UHFFFAOYSA-N 0 0 282.365 2.533 20 5 CFBDRN CCOC(=O)Cc1ccc(N[C@H]2CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000591944584 349063759 /nfs/dbraw/zinc/06/37/59/349063759.db2.gz RBESHIZIKLFUAX-PWSUYJOCSA-N 0 0 292.335 2.911 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@H](Oc2ccccc2)C1 ZINC000591960473 349065768 /nfs/dbraw/zinc/06/57/68/349065768.db2.gz JQKRPPPJASDWQN-ZDUSSCGKSA-N 0 0 285.303 2.648 20 5 CFBDRN CNC(=O)c1ccc(N(C)CCC(C)(C)C)c([N+](=O)[O-])c1 ZINC000591970645 349067040 /nfs/dbraw/zinc/06/70/40/349067040.db2.gz NXXZWLHCVLSJTR-UHFFFAOYSA-N 0 0 293.367 2.827 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000591976102 349068351 /nfs/dbraw/zinc/06/83/51/349068351.db2.gz VYCIAWSSFNLHKO-ZDUSSCGKSA-N 0 0 267.329 2.651 20 5 CFBDRN C[C@@H](CNC(=O)c1ccccc1[N+](=O)[O-])CC(F)F ZINC000592671474 349148541 /nfs/dbraw/zinc/14/85/41/349148541.db2.gz GPQGMDHPDUFXKO-MRVPVSSYSA-N 0 0 272.251 2.616 20 5 CFBDRN C[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1)CC(F)F ZINC000592672934 349149178 /nfs/dbraw/zinc/14/91/78/349149178.db2.gz QGTVSZCZSHYNGW-QMMMGPOBSA-N 0 0 272.251 2.616 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)CC(F)F)cc([N+](=O)[O-])c1 ZINC000592673191 349149547 /nfs/dbraw/zinc/14/95/47/349149547.db2.gz SGRBIKSUMYXGCO-SECBINFHSA-N 0 0 286.278 2.924 20 5 CFBDRN O=C(NC[C@H]1C[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])cn1 ZINC000592679174 349149885 /nfs/dbraw/zinc/14/98/85/349149885.db2.gz KILPOQBWAHYZHY-TZMCWYRMSA-N 0 0 297.314 2.523 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)CCC(C)(C)C ZINC000592683794 349150670 /nfs/dbraw/zinc/15/06/70/349150670.db2.gz HKFFOCJGDYMVBG-UHFFFAOYSA-N 0 0 279.340 2.806 20 5 CFBDRN CN(CCC(C)(C)C)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000592685568 349151085 /nfs/dbraw/zinc/15/10/85/349151085.db2.gz VJBPBIMEAMPZNY-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN C[C@H]1SCC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000592465254 349125815 /nfs/dbraw/zinc/12/58/15/349125815.db2.gz UMWPFHPKXAPSAR-GMSGAONNSA-N 0 0 299.327 2.749 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000592903017 349176612 /nfs/dbraw/zinc/17/66/12/349176612.db2.gz MOHCDBSVTZXIDV-GMTAPVOTSA-N 0 0 299.330 2.995 20 5 CFBDRN CCCCCNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000592997168 349182825 /nfs/dbraw/zinc/18/28/25/349182825.db2.gz JJIRQPHBFBUIOG-UHFFFAOYSA-N 0 0 268.288 2.583 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCC1CCCCC1 ZINC000592997044 349182933 /nfs/dbraw/zinc/18/29/33/349182933.db2.gz PVCQYDBWMHNVTA-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN CCCN(CC1CC1)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593017447 349187828 /nfs/dbraw/zinc/18/78/28/349187828.db2.gz DETNXKGGYAPJAL-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593023932 349189801 /nfs/dbraw/zinc/18/98/01/349189801.db2.gz HGEKXHLCTSHPSY-GXFFZTMASA-N 0 0 294.326 2.971 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC1CCC(F)CC1 ZINC000592722944 349158116 /nfs/dbraw/zinc/15/81/16/349158116.db2.gz VGRFBFKZZVBLQC-UHFFFAOYSA-N 0 0 294.326 2.782 20 5 CFBDRN CC1(CNc2ncc([N+](=O)[O-])cn2)Cc2ccccc2C1 ZINC000273988447 192390796 /nfs/dbraw/zinc/39/07/96/192390796.db2.gz RKOAAXXZZLRRGM-UHFFFAOYSA-N 0 0 284.319 2.602 20 5 CFBDRN CSCC1(CC(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000593034834 349191326 /nfs/dbraw/zinc/19/13/26/349191326.db2.gz KEMCNYUXDOIUST-UHFFFAOYSA-N 0 0 294.376 2.744 20 5 CFBDRN CN(CCC(C)(C)C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000592836456 349170015 /nfs/dbraw/zinc/17/00/15/349170015.db2.gz RJBYYEIDTWCDNH-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000592835620 349170180 /nfs/dbraw/zinc/17/01/80/349170180.db2.gz JIEHTSFEIGLZGK-UHFFFAOYSA-N 0 0 296.371 2.779 20 5 CFBDRN CCCC[C@@](C)(CO)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000592854990 349171021 /nfs/dbraw/zinc/17/10/21/349171021.db2.gz QKCHUHIMUCKVQU-HNNXBMFYSA-N 0 0 294.351 2.574 20 5 CFBDRN CCCC[C@](C)(CO)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000592855317 349171557 /nfs/dbraw/zinc/17/15/57/349171557.db2.gz SQDMGPWBEGIDKA-OAHLLOKOSA-N 0 0 294.351 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@]3(CC3(F)F)C2)c(F)c1 ZINC000593115365 349212379 /nfs/dbraw/zinc/21/23/79/349212379.db2.gz IVBDZADDADISOH-GFCCVEGCSA-N 0 0 286.253 2.965 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]1C1CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000593144334 349219677 /nfs/dbraw/zinc/21/96/77/349219677.db2.gz UYIGYMKQJLCKHJ-CHWSQXEVSA-N 0 0 289.335 2.779 20 5 CFBDRN CC(C)(NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000593168534 349222668 /nfs/dbraw/zinc/22/26/68/349222668.db2.gz KPBLIORJXNMYEL-UHFFFAOYSA-N 0 0 294.326 2.971 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC[C@]2(CC2(F)F)C1 ZINC000593182348 349224804 /nfs/dbraw/zinc/22/48/04/349224804.db2.gz JNSWYNOTXUCBBE-LBPRGKRZSA-N 0 0 297.261 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cc3n(n2)CCCC3)c(F)c1 ZINC000593235545 349232608 /nfs/dbraw/zinc/23/26/08/349232608.db2.gz GSQIYTAVNOKWNL-UHFFFAOYSA-N 0 0 291.282 2.846 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCCC(F)(F)C1 ZINC000593236679 349233297 /nfs/dbraw/zinc/23/32/97/349233297.db2.gz VOMJJJGEPJMIGU-UHFFFAOYSA-N 0 0 288.225 2.605 20 5 CFBDRN Cc1c(CC(=O)N2CCCC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000593236750 349233489 /nfs/dbraw/zinc/23/34/89/349233489.db2.gz ZPPHPKASDFBOHR-UHFFFAOYSA-N 0 0 298.289 2.703 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@@H](CC)CO1 ZINC000593257801 349237100 /nfs/dbraw/zinc/23/71/00/349237100.db2.gz HVMNGRDHUGRQNO-GXTWGEPZSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593062578 349198734 /nfs/dbraw/zinc/19/87/34/349198734.db2.gz IRPOQRVRUZGQQT-GHMZBOCLSA-N 0 0 282.315 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH+]1CCC2(CC2(F)F)CC1 ZINC000593072595 349202541 /nfs/dbraw/zinc/20/25/41/349202541.db2.gz IOBBTQYEBXQGMR-UHFFFAOYSA-N 0 0 298.289 2.922 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593085337 349205036 /nfs/dbraw/zinc/20/50/36/349205036.db2.gz UUQSRZGBZNYEPW-LLVKDONJSA-N 0 0 294.326 2.925 20 5 CFBDRN COc1cc(NCCC2(CO)CCC2)c([N+](=O)[O-])cc1F ZINC000593513114 349287993 /nfs/dbraw/zinc/28/79/93/349287993.db2.gz WWGYFJDFVYTFSY-UHFFFAOYSA-N 0 0 298.314 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@]4(CC4(F)F)C3)nc2c1 ZINC000593522303 349289935 /nfs/dbraw/zinc/28/99/35/349289935.db2.gz RWIASYUFTRLXME-LBPRGKRZSA-N 0 0 294.261 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CC[C@@]3(CC3(F)F)C1)CCN2 ZINC000593523774 349290369 /nfs/dbraw/zinc/29/03/69/349290369.db2.gz ZPOWGAXSWMORTK-CYBMUJFWSA-N 0 0 295.289 2.798 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CCCC2(CC2)C1 ZINC000593614681 349298884 /nfs/dbraw/zinc/29/88/84/349298884.db2.gz VBCCUDYNDRFYHG-UHFFFAOYSA-N 0 0 292.310 2.679 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593284475 349241740 /nfs/dbraw/zinc/24/17/40/349241740.db2.gz VBAYYWFYSWKPMH-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC2(CC2(F)F)CC1 ZINC000593300494 349243293 /nfs/dbraw/zinc/24/32/93/349243293.db2.gz NUIYTCZCUFFHTE-UHFFFAOYSA-N 0 0 296.273 2.856 20 5 CFBDRN COc1cc(C(=O)NC2(C3CCC3)CC2)ccc1[N+](=O)[O-] ZINC000593304169 349244043 /nfs/dbraw/zinc/24/40/43/349244043.db2.gz ILIOHJGTWPHSTH-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@]3(CC3(F)F)C2)cc1[N+](=O)[O-] ZINC000593354616 349251376 /nfs/dbraw/zinc/25/13/76/349251376.db2.gz ABKSMJHDUQEPQF-CYBMUJFWSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@]2(CC2(F)F)C1 ZINC000593359454 349252212 /nfs/dbraw/zinc/25/22/12/349252212.db2.gz PAWNYGWWMYRUJU-CYBMUJFWSA-N 0 0 296.273 2.775 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593382500 349256822 /nfs/dbraw/zinc/25/68/22/349256822.db2.gz FIZMAKCCWIDXJF-PHIMTYICSA-N 0 0 294.326 2.781 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(CS[C@@H](C)C(C)=O)c1 ZINC000593423456 349266336 /nfs/dbraw/zinc/26/63/36/349266336.db2.gz WHUCNAADUXQBJN-VIFPVBQESA-N 0 0 297.332 2.592 20 5 CFBDRN COC[C@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593457010 349274805 /nfs/dbraw/zinc/27/48/05/349274805.db2.gz DKSYZWXEGSDSSH-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@H]1CCCO1 ZINC000593460027 349275592 /nfs/dbraw/zinc/27/55/92/349275592.db2.gz ZUSGJDQRAXPIFC-LLVKDONJSA-N 0 0 273.292 2.734 20 5 CFBDRN COCC[C@@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593460868 349275605 /nfs/dbraw/zinc/27/56/05/349275605.db2.gz DPUWNEBEZQQSJU-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCCCCCO ZINC000593471728 349277326 /nfs/dbraw/zinc/27/73/26/349277326.db2.gz ZMOIDBFVRIYLEB-UHFFFAOYSA-N 0 0 275.308 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@@H]1CC[C@@H](O)C1 ZINC000593474111 349278005 /nfs/dbraw/zinc/27/80/05/349278005.db2.gz DAMOTELGHMQGIK-ZYHUDNBSSA-N 0 0 287.319 2.716 20 5 CFBDRN CCOC1(C)CN(c2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000593476586 349278067 /nfs/dbraw/zinc/27/80/67/349278067.db2.gz WGVVNVYVUSLERL-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@H](C)CN(C)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593476065 349278143 /nfs/dbraw/zinc/27/81/43/349278143.db2.gz QIAVTYXWTKKLIY-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@@H](F)C1 ZINC000593479178 349278889 /nfs/dbraw/zinc/27/88/89/349278889.db2.gz DQLJIDUVTGNXDP-SECBINFHSA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCCC1(CO)CC1 ZINC000593479214 349279104 /nfs/dbraw/zinc/27/91/04/349279104.db2.gz FMLHZEHJDZPSHQ-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@]2(CO)CCc3ccccc32)cc1 ZINC000593483872 349280088 /nfs/dbraw/zinc/28/00/88/349280088.db2.gz KGQOEXRCLMJAOA-QGZVFWFLSA-N 0 0 298.342 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC(C2(O)CC2)CC1 ZINC000593482318 349280374 /nfs/dbraw/zinc/28/03/74/349280374.db2.gz RGKLXGMWHGTUKE-UHFFFAOYSA-N 0 0 296.754 2.990 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC2(CC2(F)F)CC1 ZINC000593498581 349285275 /nfs/dbraw/zinc/28/52/75/349285275.db2.gz MVQXOVMZNAVDFX-UHFFFAOYSA-N 0 0 269.251 2.615 20 5 CFBDRN C[C@@H](CO)C1CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000593503527 349285716 /nfs/dbraw/zinc/28/57/16/349285716.db2.gz AQIUESYJLWTRQW-VIFPVBQESA-N 0 0 270.354 2.501 20 5 CFBDRN C[C@@H](COCC1CC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000593508344 349287235 /nfs/dbraw/zinc/28/72/35/349287235.db2.gz OISATABHOGIJKY-VIFPVBQESA-N 0 0 268.288 2.961 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2C)[C@H](C)CO1 ZINC000593665427 349306910 /nfs/dbraw/zinc/30/69/10/349306910.db2.gz CIDUEMVIZHUWOV-DOMZBBRYSA-N 0 0 278.352 2.903 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593677574 349308625 /nfs/dbraw/zinc/30/86/25/349308625.db2.gz AUALGQRYFXWLQO-JTQLQIEISA-N 0 0 292.310 2.701 20 5 CFBDRN C[C@@H]1C[C@@H](C)C[NH+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593730088 349315384 /nfs/dbraw/zinc/31/53/84/349315384.db2.gz COCWSWMHYMPPRJ-GHMZBOCLSA-N 0 0 292.335 2.771 20 5 CFBDRN C[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])[C@@H]1C ZINC000593733933 349316206 /nfs/dbraw/zinc/31/62/06/349316206.db2.gz FQQXJGSLKFAQLB-GHMZBOCLSA-N 0 0 292.335 2.913 20 5 CFBDRN C[C@H]1CCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])CC1 ZINC000593735588 349317419 /nfs/dbraw/zinc/31/74/19/349317419.db2.gz QTKDOIYFUZTKID-NSHDSACASA-N 0 0 292.335 2.915 20 5 CFBDRN C[C@@H]1CC[C@H](C)[N@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593744279 349319019 /nfs/dbraw/zinc/31/90/19/349319019.db2.gz OWWDVJLWQZNQRA-AOOOYVTPSA-N 0 0 278.308 2.666 20 5 CFBDRN CCCN(C(=O)NCCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000594232775 349397641 /nfs/dbraw/zinc/39/76/41/349397641.db2.gz HBUSSXXNRXVPIN-UHFFFAOYSA-N 0 0 293.367 2.967 20 5 CFBDRN COCC[C@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000414403213 233246975 /nfs/dbraw/zinc/24/69/75/233246975.db2.gz QXFIQJUBHIWIFY-VIFPVBQESA-N 0 0 299.302 2.528 20 5 CFBDRN COC[C@H](CC(C)(C)C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000594145472 349386352 /nfs/dbraw/zinc/38/63/52/349386352.db2.gz WDUDYNSBJWJYGA-NSHDSACASA-N 0 0 294.351 2.776 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)Cc2ccccn2)c1[N+](=O)[O-] ZINC000594145348 349386513 /nfs/dbraw/zinc/38/65/13/349386513.db2.gz UNLWTVPKCNNMMQ-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN COC1(c2noc(-c3ccc([N+](=O)[O-])s3)n2)CCC1 ZINC000274068631 192427136 /nfs/dbraw/zinc/42/71/36/192427136.db2.gz QMLVQGSTPSXGOT-UHFFFAOYSA-N 0 0 281.293 2.732 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597091623 350029089 /nfs/dbraw/zinc/02/90/89/350029089.db2.gz ABLYWBQIEGEYIV-RNFRBKRXSA-N 0 0 298.730 2.608 20 5 CFBDRN CC[C@H]1CCN1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597093908 350029895 /nfs/dbraw/zinc/02/98/95/350029895.db2.gz ZZFJJCPLPVJQRJ-QMMMGPOBSA-N 0 0 298.730 2.847 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000597492600 350104149 /nfs/dbraw/zinc/10/41/49/350104149.db2.gz SOYYDFQOTHJOFI-SNVBAGLBSA-N 0 0 278.308 2.978 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000597668820 350122129 /nfs/dbraw/zinc/12/21/29/350122129.db2.gz ONEBYUXXDXUKHN-RYUDHWBXSA-N 0 0 292.335 2.965 20 5 CFBDRN C[C@H]1C[C@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271238009 191021167 /nfs/dbraw/zinc/02/11/67/191021167.db2.gz CJVYILORBIJKRN-WDEREUQCSA-N 0 0 293.323 2.531 20 5 CFBDRN O=C(N[C@@H]1CCCC12CCCCC2)c1[nH]ncc1[N+](=O)[O-] ZINC000597875009 350147520 /nfs/dbraw/zinc/14/75/20/350147520.db2.gz UJZKBZJSBMZSNJ-LLVKDONJSA-N 0 0 292.339 2.551 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@]12C[C@@H]1CCC2 ZINC000597885608 350148505 /nfs/dbraw/zinc/14/85/05/350148505.db2.gz QSMGDUYLZGNMLN-HZMBPMFUSA-N 0 0 292.360 2.746 20 5 CFBDRN COCC1(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCCC1 ZINC000597885666 350148630 /nfs/dbraw/zinc/14/86/30/350148630.db2.gz RLFCOAWBSNYZHX-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000597891458 350149625 /nfs/dbraw/zinc/14/96/25/350149625.db2.gz WYUUYTCZWNMFFQ-NOZJJQNGSA-N 0 0 280.711 2.921 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@@H]21 ZINC000597827957 350140887 /nfs/dbraw/zinc/14/08/87/350140887.db2.gz FGPDLPIUWDEFIU-ZFWWWQNUSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1c(CC(=O)N2C[C@@H]3CCCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000597829518 350141669 /nfs/dbraw/zinc/14/16/69/350141669.db2.gz MRWBMURLPOZWEF-ZFWWWQNUSA-N 0 0 288.347 2.847 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000597853519 350142285 /nfs/dbraw/zinc/14/22/85/350142285.db2.gz BIXOPHGAQJGKON-NSHDSACASA-N 0 0 280.324 2.621 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000597853493 350142398 /nfs/dbraw/zinc/14/23/98/350142398.db2.gz BDIYRUNIEKTYLK-VIFPVBQESA-N 0 0 290.323 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C1SCCS1 ZINC000271254952 191031724 /nfs/dbraw/zinc/03/17/24/191031724.db2.gz JIZAQMKHCRLPNF-UHFFFAOYSA-N 0 0 298.389 2.956 20 5 CFBDRN CC[C@H](CSC)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000091148978 180106380 /nfs/dbraw/zinc/10/63/80/180106380.db2.gz MRJHWLCMOYLIEW-LLVKDONJSA-N 0 0 282.365 2.808 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000271275691 191043546 /nfs/dbraw/zinc/04/35/46/191043546.db2.gz BJEBJQICQIEFRI-ZJUUUORDSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000271286732 191051919 /nfs/dbraw/zinc/05/19/19/191051919.db2.gz DHQJMZMLEAZNQL-NXEZZACHSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000271287913 191052769 /nfs/dbraw/zinc/05/27/69/191052769.db2.gz DMCIKBWNQDCNRD-WDEREUQCSA-N 0 0 265.313 2.525 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC(C2CCC2)CC1 ZINC000597995857 350175495 /nfs/dbraw/zinc/17/54/95/350175495.db2.gz VBZYLLYIOJUICU-UHFFFAOYSA-N 0 0 277.324 2.575 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000104775561 186022233 /nfs/dbraw/zinc/02/22/33/186022233.db2.gz WNCFFPFCYYZDQK-JOYOIKCWSA-N 0 0 263.297 2.905 20 5 CFBDRN CC(C)[C@@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000271293530 191055957 /nfs/dbraw/zinc/05/59/57/191055957.db2.gz APKTWGAULPDEBR-PWSUYJOCSA-N 0 0 265.313 2.605 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000271309343 191064936 /nfs/dbraw/zinc/06/49/36/191064936.db2.gz LETNNAPTRDIRBS-UHFFFAOYSA-N 0 0 294.336 2.518 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000271314801 191068858 /nfs/dbraw/zinc/06/88/58/191068858.db2.gz JJIPVTJXYFJACC-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCC[C@@H]1C ZINC000091555644 180190079 /nfs/dbraw/zinc/19/00/79/180190079.db2.gz WFOWWDIENVPLHJ-WPRPVWTQSA-N 0 0 252.318 2.720 20 5 CFBDRN C[C@]1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1(F)F ZINC000598217843 350217718 /nfs/dbraw/zinc/21/77/18/350217718.db2.gz WVBAFJKGRUKSSB-LLVKDONJSA-N 0 0 288.225 2.509 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000598233256 350221719 /nfs/dbraw/zinc/22/17/19/350221719.db2.gz MOGRAKGJFJMCQV-MRVPVSSYSA-N 0 0 254.311 2.575 20 5 CFBDRN CCc1nn(C)c(NCc2cc(F)ccc2F)c1[N+](=O)[O-] ZINC000091562331 180192952 /nfs/dbraw/zinc/19/29/52/180192952.db2.gz FXIWHWBXUFCCBD-UHFFFAOYSA-N 0 0 296.277 2.781 20 5 CFBDRN COCC(C)(C)CC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000414750638 233342647 /nfs/dbraw/zinc/34/26/47/233342647.db2.gz YIVOSKSQGUMMRB-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000414719950 233337746 /nfs/dbraw/zinc/33/77/46/233337746.db2.gz ANDYFYCSZZIHHP-ZDUSSCGKSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1ccc(C(=O)NCCC(C)(C)F)cc1[N+](=O)[O-] ZINC000598197136 350211943 /nfs/dbraw/zinc/21/19/43/350211943.db2.gz VFENDLDENFVZNS-UHFFFAOYSA-N 0 0 268.288 2.771 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000598208299 350213903 /nfs/dbraw/zinc/21/39/03/350213903.db2.gz LUAGDLSQBISXJS-OSMZGAPFSA-N 0 0 274.320 2.761 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000598208667 350214387 /nfs/dbraw/zinc/21/43/87/350214387.db2.gz MUWJQHKKEUEKQB-FPMFFAJLSA-N 0 0 288.347 2.690 20 5 CFBDRN CC[C@@](C)(NC(=O)CNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598251723 350225356 /nfs/dbraw/zinc/22/53/56/350225356.db2.gz VSFQCUGEVIETCU-OAHLLOKOSA-N 0 0 291.351 2.702 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC[C@H]2C[C@H]2C1 ZINC000598326902 350234566 /nfs/dbraw/zinc/23/45/66/350234566.db2.gz DWEMFIGHTTZOOO-IUCAKERBSA-N 0 0 280.711 2.730 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000598326723 350234752 /nfs/dbraw/zinc/23/47/52/350234752.db2.gz AYQNTDZWYBREJQ-AAEUAGOBSA-N 0 0 274.320 2.639 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@@H]2C[C@@H]2C1 ZINC000598326945 350234788 /nfs/dbraw/zinc/23/47/88/350234788.db2.gz FGALANQRHQDKSM-RKDXNWHRSA-N 0 0 280.711 2.730 20 5 CFBDRN CC(C)CC1(NC(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000598402640 350257371 /nfs/dbraw/zinc/25/73/71/350257371.db2.gz KUGKLCJMEGYPKM-UHFFFAOYSA-N 0 0 276.336 2.832 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H]2C)c1 ZINC000105382332 186055743 /nfs/dbraw/zinc/05/57/43/186055743.db2.gz RIQPZAREKJOHHM-BXKDBHETSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000105384708 186055970 /nfs/dbraw/zinc/05/59/70/186055970.db2.gz BAYYEUDVZXTBPY-CABZTGNLSA-N 0 0 262.309 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCO[C@H](C)C2)c1 ZINC000271346664 191087360 /nfs/dbraw/zinc/08/73/60/191087360.db2.gz NHCBSYLCKOXZSQ-MNOVXSKESA-N 0 0 280.324 2.830 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)NCC1=CCCC1 ZINC000598375407 350251655 /nfs/dbraw/zinc/25/16/55/350251655.db2.gz FVMJCLNZLAULOB-UHFFFAOYSA-N 0 0 299.330 2.776 20 5 CFBDRN Cc1cccc(C(=O)NCC2=CCCC2)c1[N+](=O)[O-] ZINC000598377006 350252112 /nfs/dbraw/zinc/25/21/12/350252112.db2.gz QOPNQIQEHNLRBN-UHFFFAOYSA-N 0 0 260.293 2.743 20 5 CFBDRN CC(NC(=O)Cc1ccccc1[N+](=O)[O-])(C1CC1)C1CC1 ZINC000598380406 350252681 /nfs/dbraw/zinc/25/26/81/350252681.db2.gz FTZWOKAXLYNDJK-UHFFFAOYSA-N 0 0 288.347 2.832 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H](C(C)C)C2CC2)c1[N+](=O)[O-] ZINC000598381054 350252903 /nfs/dbraw/zinc/25/29/03/350252903.db2.gz JBCWWRXHQSAZLF-JTQLQIEISA-N 0 0 294.355 2.606 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H](C(C)C)C2CC2)c1[N+](=O)[O-] ZINC000598381062 350252938 /nfs/dbraw/zinc/25/29/38/350252938.db2.gz JBCWWRXHQSAZLF-SNVBAGLBSA-N 0 0 294.355 2.606 20 5 CFBDRN CC(C)[C@H](NC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000598381853 350253640 /nfs/dbraw/zinc/25/36/40/350253640.db2.gz QRMJYVZOOAEIGY-NSHDSACASA-N 0 0 268.338 2.821 20 5 CFBDRN COc1c(C(=O)N[C@@H](C(C)C)C2CC2)cccc1[N+](=O)[O-] ZINC000598381421 350253749 /nfs/dbraw/zinc/25/37/49/350253749.db2.gz NGBRGBNFJKCTBD-ZDUSSCGKSA-N 0 0 292.335 2.768 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000598549776 350281396 /nfs/dbraw/zinc/28/13/96/350281396.db2.gz DEMKPGICZDSLNL-GFCCVEGCSA-N 0 0 276.336 2.642 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)C[C@@H]1CC1(C)C ZINC000598549800 350281407 /nfs/dbraw/zinc/28/14/07/350281407.db2.gz DQBNWTPTYDFPGJ-JTQLQIEISA-N 0 0 292.335 2.722 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000598566655 350283519 /nfs/dbraw/zinc/28/35/19/350283519.db2.gz ZIRVOBAOHBJMNX-MRVPVSSYSA-N 0 0 268.338 2.774 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[C@@H]1CC1(C)C ZINC000598566573 350283574 /nfs/dbraw/zinc/28/35/74/350283574.db2.gz XOTDKQJUSYSBDL-JTQLQIEISA-N 0 0 292.335 2.722 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000598570415 350284086 /nfs/dbraw/zinc/28/40/86/350284086.db2.gz VXCDCZZLLOSLOW-FZMZJTMJSA-N 0 0 289.335 2.785 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000598446340 350270294 /nfs/dbraw/zinc/27/02/94/350270294.db2.gz YOYHVDAJKCVBOX-QXMXGUDHSA-N 0 0 288.347 2.927 20 5 CFBDRN CC[C@@H]1CCC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000598462847 350272306 /nfs/dbraw/zinc/27/23/06/350272306.db2.gz RWKMJUIPPZSROC-WDEREUQCSA-N 0 0 277.324 2.783 20 5 CFBDRN CC1(c2ccccc2)CN(c2ncccc2[N+](=O)[O-])C1 ZINC000598637900 350298875 /nfs/dbraw/zinc/29/88/75/350298875.db2.gz LZFZDPLTZNKYSH-UHFFFAOYSA-N 0 0 269.304 2.768 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC[C@@H]1CC1(F)F ZINC000598637116 350299013 /nfs/dbraw/zinc/29/90/13/350299013.db2.gz JHYQMHRYUQPAPW-ZCFIWIBFSA-N 0 0 277.658 2.522 20 5 CFBDRN Cc1cnc(N[C@@H](C)c2nccc(C)n2)c([N+](=O)[O-])c1 ZINC000598641185 350299726 /nfs/dbraw/zinc/29/97/26/350299726.db2.gz PQTXVAZSHZRKEX-JTQLQIEISA-N 0 0 273.296 2.570 20 5 CFBDRN O=C(NCC[C@H]1CC1(F)F)Nc1ccc([N+](=O)[O-])cc1 ZINC000598651996 350303084 /nfs/dbraw/zinc/30/30/84/350303084.db2.gz BWCDJLFUESYWAZ-QMMMGPOBSA-N 0 0 285.250 2.762 20 5 CFBDRN C[C@@H]1CC(C(=O)N(C)c2cccc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000091962370 180293193 /nfs/dbraw/zinc/29/31/93/180293193.db2.gz DUQXPEXSUPBHKE-GHMZBOCLSA-N 0 0 292.335 2.761 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2CC(C)C2)c1 ZINC000598778281 350327823 /nfs/dbraw/zinc/32/78/23/350327823.db2.gz MWJBDAASBKRHKK-UHFFFAOYSA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1sc(C(=O)NCC2CC(C)C2)cc1[N+](=O)[O-] ZINC000598778166 350327891 /nfs/dbraw/zinc/32/78/91/350327891.db2.gz MKNHBPVODJXIFB-UHFFFAOYSA-N 0 0 268.338 2.741 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000598587342 350286449 /nfs/dbraw/zinc/28/64/49/350286449.db2.gz QLFBBQKRGXQRGA-VHSXEESVSA-N 0 0 293.323 2.767 20 5 CFBDRN COC(=O)c1ccnc(NCC2(C)CCCC2)c1[N+](=O)[O-] ZINC000598594665 350289140 /nfs/dbraw/zinc/28/91/40/350289140.db2.gz BWODSKWHBHVYBJ-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CCC(CC)CNc1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC000598595799 350289266 /nfs/dbraw/zinc/28/92/66/350289266.db2.gz VXKGXJIDZSUEAE-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN COC(=O)c1ccnc(N[C@H]2CC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000598596670 350289822 /nfs/dbraw/zinc/28/98/22/350289822.db2.gz SCYNKAKOZDQJCY-MGCOHNPYSA-N 0 0 293.323 2.767 20 5 CFBDRN COc1cc(C(=O)N[C@]2(C)CC2(C)C)c([N+](=O)[O-])cc1F ZINC000598878826 350343794 /nfs/dbraw/zinc/34/37/94/350343794.db2.gz HOWFUPIOMJPPGW-CQSZACIVSA-N 0 0 296.298 2.661 20 5 CFBDRN CCNc1ccc(C(=O)N[C@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598879618 350343879 /nfs/dbraw/zinc/34/38/79/350343879.db2.gz URGFKLPMIDXOBC-OAHLLOKOSA-N 0 0 291.351 2.945 20 5 CFBDRN COCCCC(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598884106 350345026 /nfs/dbraw/zinc/34/50/26/350345026.db2.gz QWFKEOAAPVGHKC-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN CCO[C@H](C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000598954168 350348930 /nfs/dbraw/zinc/34/89/30/350348930.db2.gz OORGXLCWFSVMBJ-ZDUSSCGKSA-N 0 0 280.324 2.903 20 5 CFBDRN COc1cc(NCc2csc([N+](=O)[O-])c2)cnc1OC ZINC000598992086 350355663 /nfs/dbraw/zinc/35/56/63/350355663.db2.gz RGBCDMCPKBJAJC-UHFFFAOYSA-N 0 0 295.320 2.681 20 5 CFBDRN C/C=C\CNC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000599022216 350360273 /nfs/dbraw/zinc/36/02/73/350360273.db2.gz OPWZKLHELMCBRL-UMBAGQNISA-N 0 0 263.297 2.531 20 5 CFBDRN COCCSc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599054720 350366479 /nfs/dbraw/zinc/36/64/79/350366479.db2.gz MRZOCTGCZYUUET-UHFFFAOYSA-N 0 0 264.306 2.882 20 5 CFBDRN C[C@@H](CO)Sc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599053752 350366503 /nfs/dbraw/zinc/36/65/03/350366503.db2.gz IPKOIKCNRRHNIK-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN Cc1ccc(C(=O)N2CC(CC3CC3)C2)cc1[N+](=O)[O-] ZINC000598779779 350328360 /nfs/dbraw/zinc/32/83/60/350328360.db2.gz XEGFSXBEISCDMD-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN CC1CC(CNC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000598784096 350329874 /nfs/dbraw/zinc/32/98/74/350329874.db2.gz JJPYDEMPFXELSS-RLNQVHQUSA-N 0 0 288.347 2.861 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCC[C@@H]1CC1(F)F ZINC000598786060 350330121 /nfs/dbraw/zinc/33/01/21/350330121.db2.gz ZHVJAROTDFIDLV-LLVKDONJSA-N 0 0 298.289 2.689 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000598801989 350330638 /nfs/dbraw/zinc/33/06/38/350330638.db2.gz AMJKGQYIZYOTHG-ZWKOPEQDSA-N 0 0 260.293 2.970 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000598808842 350331822 /nfs/dbraw/zinc/33/18/22/350331822.db2.gz RFZLWNMZQMCEND-BXKDBHETSA-N 0 0 296.298 2.876 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000598822959 350334399 /nfs/dbraw/zinc/33/43/99/350334399.db2.gz MMZBWLXJKXTLGV-HBNTYKKESA-N 0 0 275.308 2.673 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599072304 350370558 /nfs/dbraw/zinc/37/05/58/350370558.db2.gz AVKUSUGUTLFWSH-GWCFXTLKSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC(OC(F)F)C1 ZINC000599072604 350371164 /nfs/dbraw/zinc/37/11/64/350371164.db2.gz KMPQATRAOSDIEW-UHFFFAOYSA-N 0 0 295.245 2.571 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1[NH2+][C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000599075350 350371439 /nfs/dbraw/zinc/37/14/39/350371439.db2.gz VORVFJZMPUCOEV-LGDVELKSSA-N 0 0 290.363 2.984 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1CCCC12CC2 ZINC000599083092 350372307 /nfs/dbraw/zinc/37/23/07/350372307.db2.gz HGYGAKWMIWROGC-LBPRGKRZSA-N 0 0 274.320 2.791 20 5 CFBDRN C/C=C/CN[C@@H](c1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000599081458 350372734 /nfs/dbraw/zinc/37/27/34/350372734.db2.gz LITQBEBKXDSXMS-RDFMZFSFSA-N 0 0 286.335 2.583 20 5 CFBDRN CO[C@H](CNc1ccc2cnccc2c1[N+](=O)[O-])C1CC1 ZINC000599086536 350373224 /nfs/dbraw/zinc/37/32/24/350373224.db2.gz NYQIPDYJJRAQRR-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@@H](CNc1ccc2cnccc2c1[N+](=O)[O-])C1CC1 ZINC000599086535 350373270 /nfs/dbraw/zinc/37/32/70/350373270.db2.gz NYQIPDYJJRAQRR-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccnc([C@H]2CCN(c3ccncc3[N+](=O)[O-])C2)c1 ZINC000599097347 350374893 /nfs/dbraw/zinc/37/48/93/350374893.db2.gz CJLJRIDVJLRPMJ-LBPRGKRZSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1ccnc([C@@H]2CCN(c3ccc([N+](=O)[O-])cn3)C2)c1 ZINC000599100109 350375910 /nfs/dbraw/zinc/37/59/10/350375910.db2.gz JVPICHYWIQVFMM-GFCCVEGCSA-N 0 0 284.319 2.687 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2C[C@H](O)C23CCC3)ccc2cnccc21 ZINC000599105918 350376534 /nfs/dbraw/zinc/37/65/34/350376534.db2.gz GNGHJXZBYAUIQZ-KBPBESRZSA-N 0 0 299.330 2.858 20 5 CFBDRN COc1cc(NCC[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000599117285 350378106 /nfs/dbraw/zinc/37/81/06/350378106.db2.gz QYJSXVGZOFVHMR-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCCOC2)s1 ZINC000599117449 350378746 /nfs/dbraw/zinc/37/87/46/350378746.db2.gz UCDJQPKRNAVLMS-SECBINFHSA-N 0 0 256.327 2.885 20 5 CFBDRN Cc1cccc2c1C[C@@H](Nc1ncccc1[N+](=O)[O-])CO2 ZINC000599124671 350380492 /nfs/dbraw/zinc/38/04/92/350380492.db2.gz YGALZTHXRSNNRL-LLVKDONJSA-N 0 0 285.303 2.714 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1SCc1ccccn1 ZINC000599127888 350381305 /nfs/dbraw/zinc/38/13/05/350381305.db2.gz WJDCZJJLFNORAI-UHFFFAOYSA-N 0 0 278.337 2.807 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCN2CC(F)F)cc1 ZINC000599133428 350381618 /nfs/dbraw/zinc/38/16/18/350381618.db2.gz OPRWMRWYFLJZIU-GFCCVEGCSA-N 0 0 285.294 2.736 20 5 CFBDRN CC(C)CC[C@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000599137422 350382549 /nfs/dbraw/zinc/38/25/49/350382549.db2.gz LXDPOEUWIAPQRJ-LLVKDONJSA-N 0 0 270.304 2.943 20 5 CFBDRN C[N@@H+]1CCCC[C@@H](CNc2sccc2[N+](=O)[O-])C1 ZINC000599141141 350383726 /nfs/dbraw/zinc/38/37/26/350383726.db2.gz ZOYRYUHGGBBVRB-JTQLQIEISA-N 0 0 269.370 2.800 20 5 CFBDRN CCOc1cc(N[C@@]23C[C@@H]2COC3(C)C)ccc1[N+](=O)[O-] ZINC000599143748 350384241 /nfs/dbraw/zinc/38/42/41/350384241.db2.gz XLMBBGHRONOAHM-BMIGLBTASA-N 0 0 292.335 2.973 20 5 CFBDRN CN(CC1(C)COC1)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000599144219 350384454 /nfs/dbraw/zinc/38/44/54/350384454.db2.gz DAGZEKCYPFPSRM-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCCO3)c2ncccc12 ZINC000599145496 350384720 /nfs/dbraw/zinc/38/47/20/350384720.db2.gz WBYDVUNSPHHXFH-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN CCC(F)(F)CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000599150371 350385841 /nfs/dbraw/zinc/38/58/41/350385841.db2.gz VRGHAHDPOWVVCW-UHFFFAOYSA-N 0 0 299.277 2.937 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000599163028 350387461 /nfs/dbraw/zinc/38/74/61/350387461.db2.gz DAXLHYUAIHHYMX-XAVMHZPKSA-N 0 0 296.352 2.528 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000599163985 350387524 /nfs/dbraw/zinc/38/75/24/350387524.db2.gz KJOCLLPOUSAUQK-LITAXDCLSA-N 0 0 285.731 2.867 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000599165543 350388074 /nfs/dbraw/zinc/38/80/74/350388074.db2.gz UARJPKTXAFBLTN-VXFNFUGZSA-N 0 0 268.288 2.958 20 5 CFBDRN Cc1cc(N2CCO[C@H](CCF)C2)c(F)cc1[N+](=O)[O-] ZINC000599170073 350388675 /nfs/dbraw/zinc/38/86/75/350388675.db2.gz ZAYSUVSYMSJIDQ-SNVBAGLBSA-N 0 0 286.278 2.607 20 5 CFBDRN Cc1nc(NC[C@]2(C)C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000599171500 350388820 /nfs/dbraw/zinc/38/88/20/350388820.db2.gz IXUKLSFBELELPP-GNXNZQSNSA-N 0 0 261.325 2.568 20 5 CFBDRN C[C@]1(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)C[C@H]2C[C@H]2C1 ZINC000599173107 350389325 /nfs/dbraw/zinc/38/93/25/350389325.db2.gz VVAIFHCXFDGPCN-RTUWITSCSA-N 0 0 291.351 2.517 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1ccc(F)cc1F ZINC000599176995 350389952 /nfs/dbraw/zinc/38/99/52/350389952.db2.gz HQZLZBRPTRXEAA-GXSJLCMTSA-N 0 0 294.261 2.575 20 5 CFBDRN C[C@H]1CC[C@H](c2noc(-c3ccc([N+](=O)[O-])cc3)n2)O1 ZINC000599179480 350390228 /nfs/dbraw/zinc/39/02/28/350390228.db2.gz HUJPVJNCGLAYHC-GZMMTYOYSA-N 0 0 275.264 2.885 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000599179336 350390449 /nfs/dbraw/zinc/39/04/49/350390449.db2.gz DPQSKNIMOVFHBW-WCBMZHEXSA-N 0 0 254.311 2.636 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1CO[C@H](C2CC2)C1 ZINC000599181083 350390660 /nfs/dbraw/zinc/39/06/60/350390660.db2.gz PNONVXOTZNXLMJ-SKDRFNHKSA-N 0 0 266.272 2.713 20 5 CFBDRN CCOc1cccc(N[C@H]2CO[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599181215 350390734 /nfs/dbraw/zinc/39/07/34/350390734.db2.gz QNWRTXULEHUPII-BXUZGUMPSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CO[C@H](C3CC3)C2)c(F)c1 ZINC000599181194 350391129 /nfs/dbraw/zinc/39/11/29/350391129.db2.gz QHDQETYETVZPOJ-UFBFGSQYSA-N 0 0 284.262 2.852 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000599181708 350391335 /nfs/dbraw/zinc/39/13/35/350391335.db2.gz SNOCUEBGDGOXDZ-SWLSCSKDSA-N 0 0 299.330 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CO[C@H](C2CC2)C1 ZINC000599181937 350391640 /nfs/dbraw/zinc/39/16/40/350391640.db2.gz VJRDLCCFUCQTDG-KOLCDFICSA-N 0 0 283.715 2.623 20 5 CFBDRN CCOc1cccc(N[C@H]2C[C@H](OC)C2)c1[N+](=O)[O-] ZINC000599190149 350392591 /nfs/dbraw/zinc/39/25/91/350392591.db2.gz SVAXMSIKBZQHJX-MGCOHNPYSA-N 0 0 266.297 2.583 20 5 CFBDRN CCC(O)(CC)CN(C)c1cccc(C)c1[N+](=O)[O-] ZINC000599192883 350393366 /nfs/dbraw/zinc/39/33/66/350393366.db2.gz WGCSXJKSUHHWMJ-UHFFFAOYSA-N 0 0 266.341 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](c3ccc(O)cc3)C2)cn1 ZINC000599192989 350393387 /nfs/dbraw/zinc/39/33/87/350393387.db2.gz ULZFRNSVKJVBBC-LBPRGKRZSA-N 0 0 285.303 2.689 20 5 CFBDRN CCC(O)(CC)CN(C)c1cccc(OC)c1[N+](=O)[O-] ZINC000599192827 350393587 /nfs/dbraw/zinc/39/35/87/350393587.db2.gz URGMYJFNCWAYSY-UHFFFAOYSA-N 0 0 282.340 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC3(CC3)CC2)s1 ZINC000599191235 350393691 /nfs/dbraw/zinc/39/36/91/350393691.db2.gz JPRRUKBUQICRCX-UHFFFAOYSA-N 0 0 253.327 2.822 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000599201030 350395033 /nfs/dbraw/zinc/39/50/33/350395033.db2.gz ZATVXHAMRWVISP-KXMPLOMGSA-N 0 0 276.340 2.755 20 5 CFBDRN CC1(c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)CCCC1 ZINC000599198019 350395213 /nfs/dbraw/zinc/39/52/13/350395213.db2.gz WHLQKPWXWLKKMQ-UHFFFAOYSA-N 0 0 262.269 2.805 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCN(C)CC(F)F ZINC000599203274 350395933 /nfs/dbraw/zinc/39/59/33/350395933.db2.gz UMMVKTIEAGCOMS-UHFFFAOYSA-N 0 0 273.283 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCN(C)CC(F)F ZINC000599201413 350395949 /nfs/dbraw/zinc/39/59/49/350395949.db2.gz CPXKPHHIIYPVGH-UHFFFAOYSA-N 0 0 273.283 2.512 20 5 CFBDRN C/C=C/CS(=O)(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000105714854 186069148 /nfs/dbraw/zinc/06/91/48/186069148.db2.gz ZGIPCYSDFCPUJF-HMDXOVGESA-N 0 0 269.322 2.647 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2cc(C)sc2[N+](=O)[O-])C1 ZINC000599205085 350395989 /nfs/dbraw/zinc/39/59/89/350395989.db2.gz DBOWJKYJGGLYHU-DTORHVGOSA-N 0 0 256.327 2.802 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1C[C@H](C)[C@H](C)C1 ZINC000092085706 180320667 /nfs/dbraw/zinc/32/06/67/180320667.db2.gz NVLFDBHJZLJEJZ-AOOOYVTPSA-N 0 0 262.309 2.890 20 5 CFBDRN COCCC1CC[NH+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000599505963 350446833 /nfs/dbraw/zinc/44/68/33/350446833.db2.gz FTGFXPPGNQIZNS-UHFFFAOYSA-N 0 0 294.351 2.549 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1nccs1 ZINC000106250908 186105077 /nfs/dbraw/zinc/10/50/77/186105077.db2.gz UTZLYMSJZKKZBH-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN(C3CC3)C3CC3)nc2c1 ZINC000599597990 350462138 /nfs/dbraw/zinc/46/21/38/350462138.db2.gz CCKRIWNZZUCYAL-UHFFFAOYSA-N 0 0 272.308 2.598 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(CN(C2CC2)C2CC2)c1 ZINC000599600161 350463286 /nfs/dbraw/zinc/46/32/86/350463286.db2.gz OMNJDCNWNNAOCZ-UHFFFAOYSA-N 0 0 290.319 2.508 20 5 CFBDRN COc1cc(CN(C2CC2)C2CC2)c([N+](=O)[O-])cc1F ZINC000599600110 350463536 /nfs/dbraw/zinc/46/35/36/350463536.db2.gz NYNWYFVTLWOHJJ-UHFFFAOYSA-N 0 0 280.299 2.869 20 5 CFBDRN CCO[C@H](C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-])C(C)C ZINC000599746007 350490720 /nfs/dbraw/zinc/49/07/20/350490720.db2.gz YVXOQJYSJQHUQP-RISCZKNCSA-N 0 0 294.351 2.833 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCc2c(O)cccc2C1 ZINC000599646978 350472022 /nfs/dbraw/zinc/47/20/22/350472022.db2.gz NNWHERNLKBUEBK-UHFFFAOYSA-N 0 0 284.315 2.859 20 5 CFBDRN CC(C)OC(=O)c1c(Br)cccc1[N+](=O)[O-] ZINC000599659739 350475467 /nfs/dbraw/zinc/47/54/67/350475467.db2.gz IEJDNOVTKHGJEV-UHFFFAOYSA-N 0 0 288.097 2.923 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N(CC(F)F)C1CC1 ZINC000106834312 186138800 /nfs/dbraw/zinc/13/88/00/186138800.db2.gz JKRLXPWRUYFALV-UHFFFAOYSA-N 0 0 288.225 2.604 20 5 CFBDRN Cc1cnccc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000107289778 186167018 /nfs/dbraw/zinc/16/70/18/186167018.db2.gz MRNVYHIOEGYHAD-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)o1 ZINC000291083581 197911681 /nfs/dbraw/zinc/91/16/81/197911681.db2.gz NZYLMYIASONYNT-UHFFFAOYSA-N 0 0 265.269 2.626 20 5 CFBDRN CC(C)CNC(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000599830059 350495939 /nfs/dbraw/zinc/49/59/39/350495939.db2.gz DPMWKJKCQHRANB-UHFFFAOYSA-N 0 0 288.307 2.921 20 5 CFBDRN COc1cc(NCc2nccs2)ccc1[N+](=O)[O-] ZINC000107039905 186155807 /nfs/dbraw/zinc/15/58/07/186155807.db2.gz NCKDBNCWNIEACF-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN Cc1ncc(CNC(C)(C)c2ccccc2[N+](=O)[O-])o1 ZINC000600168556 350528638 /nfs/dbraw/zinc/52/86/38/350528638.db2.gz JUICBKNLNUDRHA-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@@](=O)C1CCCC1 ZINC000107950540 186199618 /nfs/dbraw/zinc/19/96/18/186199618.db2.gz GFZOHMIBKUQFCM-IBGZPJMESA-N 0 0 283.349 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(C2)CCCO3)c(Cl)c1 ZINC000600188687 350535808 /nfs/dbraw/zinc/53/58/08/350535808.db2.gz ASTWSJDVODCFNA-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CC2(C1)CCCO2 ZINC000600191088 350536016 /nfs/dbraw/zinc/53/60/16/350536016.db2.gz UFFLXYUMVMIEJT-JYRVWZFOSA-N 0 0 288.347 2.863 20 5 CFBDRN O=C(NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])s1 ZINC000600162563 350525105 /nfs/dbraw/zinc/52/51/05/350525105.db2.gz UKIFAVNMIGQMMA-BBBLOLIVSA-N 0 0 280.349 2.822 20 5 CFBDRN O=C(NC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000600161875 350525169 /nfs/dbraw/zinc/52/51/69/350525169.db2.gz MOKRBWBEPZZLHL-KGYLQXTDSA-N 0 0 274.320 2.761 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2noc(C3CCC3)n2)c1 ZINC000600545600 350568529 /nfs/dbraw/zinc/56/85/29/350568529.db2.gz SWSGGJOJAVHCJN-UHFFFAOYSA-N 0 0 274.280 2.857 20 5 CFBDRN C[C@H]1C[C@H]1Cn1cc([N+](=O)[O-])c(-c2ccc(F)cn2)n1 ZINC000600546476 350568871 /nfs/dbraw/zinc/56/88/71/350568871.db2.gz YMBZKPMAGOVPJE-IUCAKERBSA-N 0 0 276.271 2.648 20 5 CFBDRN O=[N+]([O-])c1cccc(SCCOC2CCOCC2)c1 ZINC000600552942 350569473 /nfs/dbraw/zinc/56/94/73/350569473.db2.gz KDXILBTYHJDXPX-UHFFFAOYSA-N 0 0 283.349 2.883 20 5 CFBDRN CC1(C)CC(Cn2cnc3sc([N+](=O)[O-])cc3c2=O)C1 ZINC000600556994 350570082 /nfs/dbraw/zinc/57/00/82/350570082.db2.gz XDVDTWJYMMXBEY-UHFFFAOYSA-N 0 0 293.348 2.802 20 5 CFBDRN C[C@@H]1C[C@H]1Cn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000600563091 350570933 /nfs/dbraw/zinc/57/09/33/350570933.db2.gz JYIDDFXLIRQZSX-ZJUUUORDSA-N 0 0 258.281 2.509 20 5 CFBDRN CCOc1ccc(C(=O)NCC2CC=CC2)cc1[N+](=O)[O-] ZINC000600229542 350544362 /nfs/dbraw/zinc/54/43/62/350544362.db2.gz LNLFXRVETJVEQR-UHFFFAOYSA-N 0 0 290.319 2.690 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCCC(F)(F)CC1 ZINC000600254506 350546047 /nfs/dbraw/zinc/54/60/47/350546047.db2.gz CMDWPUSMVDUWOQ-UHFFFAOYSA-N 0 0 284.262 2.856 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000600447372 350556591 /nfs/dbraw/zinc/55/65/91/350556591.db2.gz IVKOMXRWTIGURM-ZETCQYMHSA-N 0 0 290.291 2.916 20 5 CFBDRN C/C=C\CNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000600490766 350558571 /nfs/dbraw/zinc/55/85/71/350558571.db2.gz LTAUEEYJSYKDSP-WAYWQWQTSA-N 0 0 262.309 2.826 20 5 CFBDRN C[C@]1(CNC(=O)Cc2cccc([N+](=O)[O-])c2)C[C@H]2C[C@H]2C1 ZINC000600489603 350558659 /nfs/dbraw/zinc/55/86/59/350558659.db2.gz FNIDHQPBQVPJOS-VIKVFOODSA-N 0 0 288.347 2.690 20 5 CFBDRN C/C=C\CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000600494780 350559186 /nfs/dbraw/zinc/55/91/86/350559186.db2.gz XTVOTEDALQZTHJ-ZBJFTSOASA-N 0 0 266.272 2.530 20 5 CFBDRN CCO[C@@H](COc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000600507864 350560083 /nfs/dbraw/zinc/56/00/83/350560083.db2.gz ACPKZDWCHJOPCE-ZDUSSCGKSA-N 0 0 251.282 2.789 20 5 CFBDRN CC1(C)CC(CN2C(=O)c3cccc([N+](=O)[O-])c3C2=O)C1 ZINC000600509482 350560742 /nfs/dbraw/zinc/56/07/42/350560742.db2.gz JYGPIAAYBMPRPC-UHFFFAOYSA-N 0 0 288.303 2.627 20 5 CFBDRN CC(C)SCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000600515640 350561673 /nfs/dbraw/zinc/56/16/73/350561673.db2.gz GWHUCMSGUZHLMR-UHFFFAOYSA-N 0 0 268.294 2.602 20 5 CFBDRN CC(C)SCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000600523775 350563227 /nfs/dbraw/zinc/56/32/27/350563227.db2.gz VUYAVAZHJUFZJY-UHFFFAOYSA-N 0 0 278.337 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)C[C@@H]2C[C@H]3C=C[C@@H]2C3)cc1 ZINC000600529032 350565204 /nfs/dbraw/zinc/56/52/04/350565204.db2.gz VWRPDNBXJHVUID-TUAOUCFPSA-N 0 0 293.344 2.581 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCCc2cnoc2)c1 ZINC000600534589 350566439 /nfs/dbraw/zinc/56/64/39/350566439.db2.gz DUOYEGVPWPLMDJ-UHFFFAOYSA-N 0 0 266.228 2.734 20 5 CFBDRN CCn1nc(C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000600604114 350578997 /nfs/dbraw/zinc/57/89/97/350578997.db2.gz DCCYPXWYGGYOMN-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN COc1ccc(Cn2ccnc2C2CC2)cc1[N+](=O)[O-] ZINC000600610053 350579895 /nfs/dbraw/zinc/57/98/95/350579895.db2.gz DOQSBBYUVLQHJB-UHFFFAOYSA-N 0 0 273.292 2.726 20 5 CFBDRN Cc1noc(CSCCOc2ccc([N+](=O)[O-])cc2)n1 ZINC000108411539 186215730 /nfs/dbraw/zinc/21/57/30/186215730.db2.gz JCEPSAAYFDLVMN-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN COc1cc(C(=O)NCC2(C)CCCC2)ccc1[N+](=O)[O-] ZINC000108753416 186229157 /nfs/dbraw/zinc/22/91/57/186229157.db2.gz ZSGXIGYVHMUEIW-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CCOC1CC(Oc2cc([N+](=O)[O-])ccc2OC)C1 ZINC000600670670 350594280 /nfs/dbraw/zinc/59/42/80/350594280.db2.gz XCEVXEDLZSPINT-UHFFFAOYSA-N 0 0 267.281 2.550 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)[O-])C[C@H](C)[N@H+]1Cc1cccc([N+](=O)[O-])c1 ZINC000600676701 350595946 /nfs/dbraw/zinc/59/59/46/350595946.db2.gz ZYFBFAUNKINXBV-PTEHBNRSSA-N 0 0 292.335 2.668 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(Cc2ccccc2)no1 ZINC000109494635 186256897 /nfs/dbraw/zinc/25/68/97/186256897.db2.gz NDJMCKOUMKSKSN-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN CCn1ncc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c1C ZINC000600866775 350620743 /nfs/dbraw/zinc/62/07/43/350620743.db2.gz OYRRKGHSIQKDOP-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCc1nnc(COc2cc(Cl)ccc2[N+](=O)[O-])o1 ZINC000109744130 186270387 /nfs/dbraw/zinc/27/03/87/186270387.db2.gz LSWVRDPNNGXFGN-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN O=C(NC1CCCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000109738716 186270438 /nfs/dbraw/zinc/27/04/38/186270438.db2.gz FWRXBKKUELZTMP-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN C[C@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])CCCO1 ZINC000276716606 290907221 /nfs/dbraw/zinc/90/72/21/290907221.db2.gz ZASWQIWUYXHVDD-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CCC[C@@H](CC)C2)c1[N+](=O)[O-] ZINC000109429052 186251629 /nfs/dbraw/zinc/25/16/29/186251629.db2.gz KANVJPNTZRPAMN-SNVBAGLBSA-N 0 0 294.355 2.533 20 5 CFBDRN CC[C@H](C)n1cc(-c2cc([N+](=O)[O-])ccc2CCO)cn1 ZINC000600792699 350613345 /nfs/dbraw/zinc/61/33/45/350613345.db2.gz LGDXHJDBDGYQQL-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN COc1cc(NC[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000271399004 191115660 /nfs/dbraw/zinc/11/56/60/191115660.db2.gz RHMSFJBONSKLCK-VHSXEESVSA-N 0 0 298.314 2.970 20 5 CFBDRN CCCc1cc(NC(=O)c2cccc([N+](=O)[O-])c2C)n[nH]1 ZINC000109911127 186279103 /nfs/dbraw/zinc/27/91/03/186279103.db2.gz VRDROPBRDPREJK-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CCCc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000109913656 186279299 /nfs/dbraw/zinc/27/92/99/186279299.db2.gz DEENFZYIEDKNDO-UHFFFAOYSA-N 0 0 274.280 2.523 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000600983426 350640823 /nfs/dbraw/zinc/64/08/23/350640823.db2.gz KFPMHUFOHMMBCA-UHFFFAOYSA-N 0 0 278.283 2.652 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600982643 350641261 /nfs/dbraw/zinc/64/12/61/350641261.db2.gz BTMSNXLHLMOGCL-MRVPVSSYSA-N 0 0 266.272 2.604 20 5 CFBDRN CC(C)CCN(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600993033 350642453 /nfs/dbraw/zinc/64/24/53/350642453.db2.gz QUGWATDMXQMVAQ-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)Oc1cccc([N+](=O)[O-])c1 ZINC000110157771 186286917 /nfs/dbraw/zinc/28/69/17/186286917.db2.gz OQBDGMCJDULVSZ-LBPRGKRZSA-N 0 0 279.292 2.850 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000601004008 350644240 /nfs/dbraw/zinc/64/42/40/350644240.db2.gz UAVJKOOWOZMJIH-RYUDHWBXSA-N 0 0 299.330 2.971 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601009326 350644844 /nfs/dbraw/zinc/64/48/44/350644844.db2.gz NCRUKGNTILNSLQ-CYBMUJFWSA-N 0 0 288.347 2.931 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601017479 350646155 /nfs/dbraw/zinc/64/61/55/350646155.db2.gz JESARUPJJKGTOX-SNVBAGLBSA-N 0 0 278.283 2.820 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601039012 350649401 /nfs/dbraw/zinc/64/94/01/350649401.db2.gz CFPQTPGOPHFDAA-WCBMZHEXSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1cccc(C(=O)N(C)CC2CCC2)c1[N+](=O)[O-] ZINC000110465588 186297457 /nfs/dbraw/zinc/29/74/57/186297457.db2.gz FWRCTGZCACJGPO-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cc(C(=O)N(C)CC2CCC2)ccc1[N+](=O)[O-] ZINC000110472434 186297767 /nfs/dbraw/zinc/29/77/67/186297767.db2.gz GKHDLYFCRRTESF-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CCCc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C)n[nH]1 ZINC000110848153 186329368 /nfs/dbraw/zinc/32/93/68/186329368.db2.gz BCNCMJLMAKEMBX-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CCCc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2C)n[nH]1 ZINC000110850328 186330074 /nfs/dbraw/zinc/33/00/74/186330074.db2.gz XVRCRGRMPSXOFY-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CC(C)[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000271400051 191116401 /nfs/dbraw/zinc/11/64/01/191116401.db2.gz INDBDSWLNSUATO-CMPLNLGQSA-N 0 0 265.313 2.605 20 5 CFBDRN Cc1ccc(OC[C@H]2CCO[C@@H]2C)c([N+](=O)[O-])c1 ZINC000601073578 350658813 /nfs/dbraw/zinc/65/88/13/350658813.db2.gz COYHDUPTYYPCIA-GHMZBOCLSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(CC3CC3)no2)c(F)c1 ZINC000601074978 350658961 /nfs/dbraw/zinc/65/89/61/350658961.db2.gz HWHLXWAXJXOIOY-UHFFFAOYSA-N 0 0 293.254 2.648 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H]1CCO[C@H]1C ZINC000601076200 350659929 /nfs/dbraw/zinc/65/99/29/350659929.db2.gz ZYFRGNHMGJHPTN-QWRGUYRKSA-N 0 0 251.282 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2CC(C)(C)CO2)c1 ZINC000601085458 350663839 /nfs/dbraw/zinc/66/38/39/350663839.db2.gz HYLGMNFJTPBQGV-NSHDSACASA-N 0 0 281.308 2.797 20 5 CFBDRN CC(C)C[C@H](CCO)CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601125124 350675200 /nfs/dbraw/zinc/67/52/00/350675200.db2.gz LYCUSVQKKYAMCI-JTQLQIEISA-N 0 0 285.319 2.586 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cn[nH]c2)cc1OC(F)F ZINC000291148310 197932368 /nfs/dbraw/zinc/93/23/68/197932368.db2.gz OUFIGIXYWHSDQM-UHFFFAOYSA-N 0 0 298.249 2.574 20 5 CFBDRN COCCCCCNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601107894 350670102 /nfs/dbraw/zinc/67/01/02/350670102.db2.gz COPHNICRCWKVNF-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN C[C@H]1CCN(c2ccnc3ccc([N+](=O)[O-])cc32)C1 ZINC000601110616 350670532 /nfs/dbraw/zinc/67/05/32/350670532.db2.gz HCJYSDOPWWAAIP-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H](O)C(F)F)c2ccncc21 ZINC000601223576 350702120 /nfs/dbraw/zinc/70/21/20/350702120.db2.gz GYWSOEHJHKACMR-LBPRGKRZSA-N 0 0 297.261 2.571 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)[C@@H](C)O1 ZINC000601224114 350702784 /nfs/dbraw/zinc/70/27/84/350702784.db2.gz ZYQMRBQBDHTWBH-KBVBSXBZSA-N 0 0 277.324 2.541 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@]23C[C@H]2CCCC3)c(F)c1 ZINC000601225309 350702879 /nfs/dbraw/zinc/70/28/79/350702879.db2.gz FKFXVRWOWAVQRS-PRHODGIISA-N 0 0 251.261 2.874 20 5 CFBDRN CC[C@]1(O)CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000601232287 350705113 /nfs/dbraw/zinc/70/51/13/350705113.db2.gz BVSVWEJIQLKVLM-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCO[C@@H](C(F)F)CC1 ZINC000601231677 350705138 /nfs/dbraw/zinc/70/51/38/350705138.db2.gz NNFWZMBFZULQLA-SNVBAGLBSA-N 0 0 290.241 2.594 20 5 CFBDRN CC[C@]1(O)CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000601234945 350706170 /nfs/dbraw/zinc/70/61/70/350706170.db2.gz ZYHXUAYWVKBMPT-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC(C)(F)CC2)c1 ZINC000601246287 350708478 /nfs/dbraw/zinc/70/84/78/350708478.db2.gz MZLAKRUXEORDHI-UHFFFAOYSA-N 0 0 268.288 2.932 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C[C@@H](C)O1 ZINC000111006627 186342115 /nfs/dbraw/zinc/34/21/15/186342115.db2.gz GHSZSAVHUAJHIE-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CCN(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000601127237 350676025 /nfs/dbraw/zinc/67/60/25/350676025.db2.gz CMHBPQWWDZUOTH-ZETCQYMHSA-N 0 0 292.261 2.583 20 5 CFBDRN CC[C@H](C)N(C)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601129088 350676862 /nfs/dbraw/zinc/67/68/62/350676862.db2.gz MRMCRRNLZKFRNS-LURJTMIESA-N 0 0 280.250 2.582 20 5 CFBDRN CC(C)[C@H]1C[C@@H](Nc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601137442 350679016 /nfs/dbraw/zinc/67/90/16/350679016.db2.gz YAEXNGZSYWEWIA-CMPLNLGQSA-N 0 0 283.303 2.744 20 5 CFBDRN CC1=CCN(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)CC1 ZINC000601136171 350679137 /nfs/dbraw/zinc/67/91/37/350679137.db2.gz XQGCCHZGPZCXJA-UHFFFAOYSA-N 0 0 290.245 2.504 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](Nc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601137445 350679241 /nfs/dbraw/zinc/67/92/41/350679241.db2.gz YAEXNGZSYWEWIA-JQWIXIFHSA-N 0 0 283.303 2.744 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CCC(F)(F)C3)c2c1 ZINC000601138109 350679744 /nfs/dbraw/zinc/67/97/44/350679744.db2.gz OXGOGPZUHXABAA-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN CCn1ccc(CN(C)c2ncc(F)cc2[N+](=O)[O-])c1 ZINC000601152237 350682740 /nfs/dbraw/zinc/68/27/40/350682740.db2.gz LCVZYPLLGRTREH-UHFFFAOYSA-N 0 0 278.287 2.587 20 5 CFBDRN C[C@@](CO)([NH2+]CC1CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000601150516 350682841 /nfs/dbraw/zinc/68/28/41/350682841.db2.gz YRMQUFPMEUVONB-INIZCTEOSA-N 0 0 292.379 2.972 20 5 CFBDRN C[C@](CO)([NH2+]C[C@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000601150523 350682994 /nfs/dbraw/zinc/68/29/94/350682994.db2.gz YSZIGOUDWRHEQU-XJKSGUPXSA-N 0 0 290.363 2.748 20 5 CFBDRN CCN(CC1CC1)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601154791 350683834 /nfs/dbraw/zinc/68/38/34/350683834.db2.gz XSRPGIVHHNNBRT-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C[C@@H](C)O1 ZINC000111015931 186344491 /nfs/dbraw/zinc/34/44/91/186344491.db2.gz FIDRTCVAFBZHPN-SCZZXKLOSA-N 0 0 298.364 2.604 20 5 CFBDRN CC(C)OC(=O)CC[C@H](C)Nc1ncc(F)cc1[N+](=O)[O-] ZINC000601158250 350684599 /nfs/dbraw/zinc/68/45/99/350684599.db2.gz PZEINEVQPNNJQF-VIFPVBQESA-N 0 0 299.302 2.661 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@@H](C2CC2)C1 ZINC000601166753 350686763 /nfs/dbraw/zinc/68/67/63/350686763.db2.gz QXKLDCRLVHDXED-SNVBAGLBSA-N 0 0 265.288 2.755 20 5 CFBDRN CSC[C@@H]1CCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601168221 350687060 /nfs/dbraw/zinc/68/70/60/350687060.db2.gz SFGMDUGZSDDVHZ-SECBINFHSA-N 0 0 285.344 2.708 20 5 CFBDRN CCn1ccnc1[C@H](C)Nc1ncc(F)cc1[N+](=O)[O-] ZINC000601170588 350687921 /nfs/dbraw/zinc/68/79/21/350687921.db2.gz BIBHDCWTALWJLL-QMMMGPOBSA-N 0 0 279.275 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N[C@H]3CC34CCOCC4)c2c1 ZINC000601171100 350687935 /nfs/dbraw/zinc/68/79/35/350687935.db2.gz IDDUNHUXPITWJM-HNNXBMFYSA-N 0 0 299.330 2.546 20 5 CFBDRN CSCCCSc1ncc(F)cc1[N+](=O)[O-] ZINC000601172960 350688960 /nfs/dbraw/zinc/68/89/60/350688960.db2.gz MUKNQVPREXGGMI-UHFFFAOYSA-N 0 0 262.331 2.974 20 5 CFBDRN C[C@@H](CNc1ncc(F)cc1[N+](=O)[O-])CC(F)F ZINC000601182831 350690998 /nfs/dbraw/zinc/69/09/98/350690998.db2.gz ZLEDDQHDQBRGID-ZCFIWIBFSA-N 0 0 263.219 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@H]3C(F)(F)F)c2c1 ZINC000601188020 350692132 /nfs/dbraw/zinc/69/21/32/350692132.db2.gz AULDHRRVSYLZDN-JTQLQIEISA-N 0 0 298.224 2.679 20 5 CFBDRN CCOc1cc(NCc2cc(OC)no2)ccc1[N+](=O)[O-] ZINC000601197425 350694216 /nfs/dbraw/zinc/69/42/16/350694216.db2.gz AFZRSPBAQCDCDA-UHFFFAOYSA-N 0 0 293.279 2.602 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cc1)n1ccnc1 ZINC000601198255 350694931 /nfs/dbraw/zinc/69/49/31/350694931.db2.gz SRKMDGWYHXBMFA-UHFFFAOYSA-N 0 0 260.297 2.639 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCCC(F)(F)CC1 ZINC000601201386 350695683 /nfs/dbraw/zinc/69/56/83/350695683.db2.gz NKRMDFUMERKFQM-UHFFFAOYSA-N 0 0 275.230 2.755 20 5 CFBDRN CSC1CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000601207305 350697329 /nfs/dbraw/zinc/69/73/29/350697329.db2.gz FXAILSSUEACBQU-UHFFFAOYSA-N 0 0 258.368 2.988 20 5 CFBDRN CSC1CCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000601207143 350697530 /nfs/dbraw/zinc/69/75/30/350697530.db2.gz YKFPJLGUYGHGSM-UHFFFAOYSA-N 0 0 285.344 2.648 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCC23CC3)c(F)c1 ZINC000601209442 350698338 /nfs/dbraw/zinc/69/83/38/350698338.db2.gz GVKWXBUVLASWMV-SNVBAGLBSA-N 0 0 251.261 2.874 20 5 CFBDRN COC(=O)[C@@]1(C)CN(c2cc(C)sc2[N+](=O)[O-])C[C@@H]1C ZINC000601212211 350699038 /nfs/dbraw/zinc/69/90/38/350699038.db2.gz JTZMUDVZMGNWGP-SDBXPKJASA-N 0 0 298.364 2.600 20 5 CFBDRN CCC[C@H](CCO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000601212987 350699371 /nfs/dbraw/zinc/69/93/71/350699371.db2.gz UWCPJRPWKYVMFH-LLVKDONJSA-N 0 0 267.329 2.570 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])OC ZINC000601610809 350747314 /nfs/dbraw/zinc/74/73/14/350747314.db2.gz FOHXLJNPKNCLPN-CABZTGNLSA-N 0 0 298.314 2.525 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000271406616 191119884 /nfs/dbraw/zinc/11/98/84/191119884.db2.gz JOLJOXBWWPLYJT-DGCLKSJQSA-N 0 0 276.336 2.927 20 5 CFBDRN CO[C@@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])CC(C)C ZINC000601636666 350751592 /nfs/dbraw/zinc/75/15/92/350751592.db2.gz YMFLDGSYSGDKTN-SNVBAGLBSA-N 0 0 298.314 2.525 20 5 CFBDRN O=C(N[C@H]1CC12CC2)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601649048 350752812 /nfs/dbraw/zinc/75/28/12/350752812.db2.gz UVOPAJAKYTWSSZ-ZDUSSCGKSA-N 0 0 286.331 2.685 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCCC(F)(F)C1 ZINC000601651621 350753421 /nfs/dbraw/zinc/75/34/21/350753421.db2.gz KPJWTGSEUADXAK-UHFFFAOYSA-N 0 0 288.225 2.605 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC12CCCC2 ZINC000601657825 350754051 /nfs/dbraw/zinc/75/40/51/350754051.db2.gz UMAKBENUKYIKOY-UHFFFAOYSA-N 0 0 278.283 2.893 20 5 CFBDRN CCSC1(CNC(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601669265 350755987 /nfs/dbraw/zinc/75/59/87/350755987.db2.gz BKKSCGAJGKIKDR-UHFFFAOYSA-N 0 0 298.339 2.749 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CC(CC2CC2)C1 ZINC000601679667 350758021 /nfs/dbraw/zinc/75/80/21/350758021.db2.gz WBFDNVLAXAOORW-UHFFFAOYSA-N 0 0 278.283 2.606 20 5 CFBDRN CCOC(C)(C)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000601353763 350725755 /nfs/dbraw/zinc/72/57/55/350725755.db2.gz GMPRGXBDBQNBAT-UHFFFAOYSA-N 0 0 277.280 2.916 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601365264 350726993 /nfs/dbraw/zinc/72/69/93/350726993.db2.gz RWBJSBJIEGVEJS-UHFFFAOYSA-N 0 0 278.283 2.654 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCCC23CC3)cc([N+](=O)[O-])c1 ZINC000601704897 350765179 /nfs/dbraw/zinc/76/51/79/350765179.db2.gz MTNSDBGSSMSHLC-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN CC[C@H](C)Cc1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000601399142 350729754 /nfs/dbraw/zinc/72/97/54/350729754.db2.gz MTNFXBAWMYRDEK-QMMMGPOBSA-N 0 0 262.269 2.628 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC(c2ccccc2)C1 ZINC000601699157 350763802 /nfs/dbraw/zinc/76/38/02/350763802.db2.gz CISHYWCRKLBOIX-UHFFFAOYSA-N 0 0 297.314 2.538 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000416261507 233560088 /nfs/dbraw/zinc/56/00/88/233560088.db2.gz VCXVDYXNQXDOMX-SECBINFHSA-N 0 0 285.303 2.606 20 5 CFBDRN CC[C@H](O)Cn1nc(C)cc1-c1ccc([N+](=O)[O-])cc1 ZINC000601945578 350813834 /nfs/dbraw/zinc/81/38/34/350813834.db2.gz LMMMKZYUGQMXPO-ZDUSSCGKSA-N 0 0 275.308 2.538 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(COC3CCC3)no2)s1 ZINC000601964139 350820121 /nfs/dbraw/zinc/82/01/21/350820121.db2.gz JCIVDMIFCLBUIA-UHFFFAOYSA-N 0 0 281.293 2.775 20 5 CFBDRN Cc1scc(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)c1C ZINC000601744479 350770498 /nfs/dbraw/zinc/77/04/98/350770498.db2.gz KSSUWXGYFAEXOI-UHFFFAOYSA-N 0 0 279.321 2.531 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC(CCF)CC1 ZINC000601745092 350770763 /nfs/dbraw/zinc/77/07/63/350770763.db2.gz QPKCGPJLWRHBHJ-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC(CCF)CC1 ZINC000601747606 350771834 /nfs/dbraw/zinc/77/18/34/350771834.db2.gz PSXOPHFANBPDCK-UHFFFAOYSA-N 0 0 298.289 2.946 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])[C@H](C)O1 ZINC000601762865 350772549 /nfs/dbraw/zinc/77/25/49/350772549.db2.gz AHNKMOUGRNRVRB-WEDXCCLWSA-N 0 0 298.726 2.544 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)CC1CCC1 ZINC000601872293 350796234 /nfs/dbraw/zinc/79/62/34/350796234.db2.gz XBHOSGBIZITFRC-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]2C(F)(F)F)ccc1[N+](=O)[O-] ZINC000601893334 350799347 /nfs/dbraw/zinc/79/93/47/350799347.db2.gz MJGLTHNCNCGXRU-RKDXNWHRSA-N 0 0 288.225 2.584 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCS[C@@H](C)C(C)C ZINC000601914120 350800532 /nfs/dbraw/zinc/80/05/32/350800532.db2.gz TTXPNCCVMBBHRJ-VIFPVBQESA-N 0 0 257.359 2.877 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2nc(CC3CC3)no2)c1 ZINC000601924599 350803770 /nfs/dbraw/zinc/80/37/70/350803770.db2.gz CVWIFTRKUSYULE-UHFFFAOYSA-N 0 0 274.280 2.542 20 5 CFBDRN C[C@H]1OCC[C@@H]1COc1ccc([N+](=O)[O-])c2ncccc12 ZINC000601929229 350804301 /nfs/dbraw/zinc/80/43/01/350804301.db2.gz ZJSVQJUZGGVLNB-GHMZBOCLSA-N 0 0 288.303 2.947 20 5 CFBDRN CC[C@@H]1C(=O)N(c2cccc([N+](=O)[O-])c2)N=C1C(C)C ZINC000601931122 350805157 /nfs/dbraw/zinc/80/51/57/350805157.db2.gz FXLJKKRZGSDIKQ-LBPRGKRZSA-N 0 0 275.308 2.980 20 5 CFBDRN CCC1=NN(c2ccc([N+](=O)[O-])cc2)C(=O)[C@H]1C(C)C ZINC000601933634 350806609 /nfs/dbraw/zinc/80/66/09/350806609.db2.gz DNCPNQVEYKAIJZ-ZDUSSCGKSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1nn(-c2cc([N+](=O)[O-])ccc2C)c2c1CCC2 ZINC000601934757 350808038 /nfs/dbraw/zinc/80/80/38/350808038.db2.gz FCAVVUHBPSSFNP-UHFFFAOYSA-N 0 0 257.293 2.886 20 5 CFBDRN CCc1[n-]n(-c2ccc([N+](=O)[O-])cc2Cl)c(=O)c1C ZINC000601937982 350809448 /nfs/dbraw/zinc/80/94/48/350809448.db2.gz DWDXYGJCZMKPGV-SSDOTTSWSA-N 0 0 281.699 2.997 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1N1N=C2CCC[C@@H]2CC1=O ZINC000601937127 350809461 /nfs/dbraw/zinc/80/94/61/350809461.db2.gz YZYHNPWRXSJCFO-SNVBAGLBSA-N 0 0 273.292 2.796 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1N=C2CC[C@H](C)[C@H]2C1=O ZINC000601944228 350812571 /nfs/dbraw/zinc/81/25/71/350812571.db2.gz XJZMGVKKWXMARR-ISVAXAHUSA-N 0 0 273.292 2.652 20 5 CFBDRN COCCCn1nc(C)cc1-c1ccc([N+](=O)[O-])cc1 ZINC000601944004 350812623 /nfs/dbraw/zinc/81/26/23/350812623.db2.gz BVPFFOXVQWCVCE-UHFFFAOYSA-N 0 0 275.308 2.803 20 5 CFBDRN CC(C)=C(C)CC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000602043269 350837004 /nfs/dbraw/zinc/83/70/04/350837004.db2.gz CABLPXCXNGIMFI-UHFFFAOYSA-N 0 0 291.351 2.869 20 5 CFBDRN O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)C12CC(C1)C2 ZINC000602256492 350856431 /nfs/dbraw/zinc/85/64/31/350856431.db2.gz OCLUBQJGLFHOSF-UHFFFAOYSA-N 0 0 298.302 2.519 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@@H]1C ZINC000602266641 350857026 /nfs/dbraw/zinc/85/70/26/350857026.db2.gz ZGFVZJXQEBOJSO-RKDXNWHRSA-N 0 0 298.339 2.700 20 5 CFBDRN CCOC(C)(C)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000602293852 350860471 /nfs/dbraw/zinc/86/04/71/350860471.db2.gz OFHMNLVVBMZOSF-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN CCOC(C)(C)C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000602341266 350866850 /nfs/dbraw/zinc/86/68/50/350866850.db2.gz GYVNQJYWRWWFQQ-UHFFFAOYSA-N 0 0 280.324 2.911 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ccncc12)[C@H]1CC12CC2 ZINC000602386333 350872091 /nfs/dbraw/zinc/87/20/91/350872091.db2.gz YRJCFXSLUZPPLV-LLVKDONJSA-N 0 0 283.287 2.882 20 5 CFBDRN CC[C@@H](OC)C(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602385246 350872270 /nfs/dbraw/zinc/87/22/70/350872270.db2.gz UOWJFGMJDFVPCG-CYBMUJFWSA-N 0 0 289.291 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1nccc(C2CC2)n1 ZINC000602381058 350872294 /nfs/dbraw/zinc/87/22/94/350872294.db2.gz JODORFDHOYNBGN-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN COc1cccc(C(=O)N[C@]23C[C@H]2CCCC3)c1[N+](=O)[O-] ZINC000602416837 350876826 /nfs/dbraw/zinc/87/68/26/350876826.db2.gz YBCDECMOVOEPFN-MEBBXXQBSA-N 0 0 290.319 2.666 20 5 CFBDRN Cn1cc(CN2CCC[C@H]2c2cccs2)c([N+](=O)[O-])n1 ZINC000602435746 350881313 /nfs/dbraw/zinc/88/13/13/350881313.db2.gz TVQAURCEFGYZTC-NSHDSACASA-N 0 0 292.364 2.727 20 5 CFBDRN CN(Cc1ccns1)Cc1ccccc1[N+](=O)[O-] ZINC000602441312 350883692 /nfs/dbraw/zinc/88/36/92/350883692.db2.gz XDRFEDBYTUZTLX-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN CCN(Cc1cn(C)nc1[N+](=O)[O-])Cc1ccc(C)cc1 ZINC000602440091 350883763 /nfs/dbraw/zinc/88/37/63/350883763.db2.gz NVESKIBXIIQDLC-UHFFFAOYSA-N 0 0 288.351 2.659 20 5 CFBDRN Cn1cc(C[N@@H+]2CCC[C@H]2CC2CCCC2)c([N+](=O)[O-])n1 ZINC000602713000 350994964 /nfs/dbraw/zinc/99/49/64/350994964.db2.gz XRHJCIFLIZMGBI-AWEZNQCLSA-N 0 0 292.383 2.873 20 5 CFBDRN Cc1ccccc1[C@H](C)N(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602762269 351015917 /nfs/dbraw/zinc/01/59/17/351015917.db2.gz DUUYSBUOANRYBR-LBPRGKRZSA-N 0 0 288.351 2.830 20 5 CFBDRN C[C@H](c1ccccc1)N(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602768946 351019512 /nfs/dbraw/zinc/01/95/12/351019512.db2.gz XYYUUYXGHQJUFK-LLVKDONJSA-N 0 0 274.324 2.521 20 5 CFBDRN C[C@H](NCc1cn(C)nc1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000602784267 351023837 /nfs/dbraw/zinc/02/38/37/351023837.db2.gz MPUXTIAARWNYDF-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN CCN(Cc1cn(C)nc1[N+](=O)[O-])c1ccccc1C ZINC000602790746 351027274 /nfs/dbraw/zinc/02/72/74/351027274.db2.gz JDNDMXDQTSGRBI-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN CCN(CCn1c(C)ncc1[N+](=O)[O-])c1ccccc1F ZINC000602792471 351027352 /nfs/dbraw/zinc/02/73/52/351027352.db2.gz ZCWDHHQGLYFGSV-UHFFFAOYSA-N 0 0 292.314 2.765 20 5 CFBDRN C[C@H](c1ccco1)N(Cc1cn(C)nc1[N+](=O)[O-])C1CC1 ZINC000602798939 351028924 /nfs/dbraw/zinc/02/89/24/351028924.db2.gz YXKTUWRXCXNSNC-SNVBAGLBSA-N 0 0 290.323 2.647 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1ccns1 ZINC000602799537 351030314 /nfs/dbraw/zinc/03/03/14/351030314.db2.gz IJPZCMRQTKINCB-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN CC[C@@H](NCc1cn(C)nc1[N+](=O)[O-])c1ccccc1F ZINC000602874429 351055455 /nfs/dbraw/zinc/05/54/55/351055455.db2.gz PYIQHQWMNYRNJM-CYBMUJFWSA-N 0 0 292.314 2.708 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)Cc1ccns1 ZINC000602880030 351056170 /nfs/dbraw/zinc/05/61/70/351056170.db2.gz KUYWBVHXJVBFHS-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN CN(CCOc1ccccc1[N+](=O)[O-])Cc1ccns1 ZINC000602885567 351058210 /nfs/dbraw/zinc/05/82/10/351058210.db2.gz YIXVIGWKQITPQH-UHFFFAOYSA-N 0 0 293.348 2.562 20 5 CFBDRN CCC(=O)c1cn([C@H](C)c2cc([N+](=O)[O-])ccc2C)nn1 ZINC000603252600 351125695 /nfs/dbraw/zinc/12/56/95/351125695.db2.gz VVFHYMRBPKYVMF-SNVBAGLBSA-N 0 0 288.307 2.697 20 5 CFBDRN CCCCCn1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000603164577 351113979 /nfs/dbraw/zinc/11/39/79/351113979.db2.gz ZLQOZTLGSZRKKT-UHFFFAOYSA-N 0 0 290.323 2.956 20 5 CFBDRN COC(C)(C)c1cn([C@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC000603212716 351118809 /nfs/dbraw/zinc/11/88/09/351118809.db2.gz AAFXNPJSRCYQRS-SNVBAGLBSA-N 0 0 290.323 2.677 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000603214251 351118913 /nfs/dbraw/zinc/11/89/13/351118913.db2.gz GNTSXRDYTYWLBF-SFYZADRCSA-N 0 0 287.266 2.609 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccccc2[N+](=O)[O-])CCO1 ZINC000271426281 191131340 /nfs/dbraw/zinc/13/13/40/191131340.db2.gz VXIATLQJCXMSHZ-GHMZBOCLSA-N 0 0 250.298 2.822 20 5 CFBDRN CCC(CC)(CC)NC(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602954725 351071928 /nfs/dbraw/zinc/07/19/28/351071928.db2.gz DPJWEHFHLLNYBW-UHFFFAOYSA-N 0 0 294.355 2.810 20 5 CFBDRN C[C@](CO)([NH2+]CC1(C)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000602962104 351072338 /nfs/dbraw/zinc/07/23/38/351072338.db2.gz GXQOPCWVRHYWAW-OAHLLOKOSA-N 0 0 278.352 2.582 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000602959516 351072492 /nfs/dbraw/zinc/07/24/92/351072492.db2.gz PLXLTYFNGIWLAW-GIPNMCIBSA-N 0 0 293.323 2.591 20 5 CFBDRN O=[N+]([O-])c1cnn(CCN(c2ccccc2)C2CCC2)c1 ZINC000602962117 351072546 /nfs/dbraw/zinc/07/25/46/351072546.db2.gz HHRKIPSQNIIJMW-UHFFFAOYSA-N 0 0 286.335 2.850 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000602959517 351072599 /nfs/dbraw/zinc/07/25/99/351072599.db2.gz PLXLTYFNGIWLAW-OPQQBVKSSA-N 0 0 293.323 2.591 20 5 CFBDRN CCN(Cc1onc(C)c1[N+](=O)[O-])[C@@H](C)C(F)(F)F ZINC000602989627 351085974 /nfs/dbraw/zinc/08/59/74/351085974.db2.gz WXNIMMIKMKKMLY-ZETCQYMHSA-N 0 0 281.234 2.664 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCC1CSC1 ZINC000602988611 351086121 /nfs/dbraw/zinc/08/61/21/351086121.db2.gz PYGKAAVFGJSOSM-UHFFFAOYSA-N 0 0 295.364 2.696 20 5 CFBDRN CSCc1cn([C@@H](C)c2cc([N+](=O)[O-])ccc2C)nn1 ZINC000603249927 351125177 /nfs/dbraw/zinc/12/51/77/351125177.db2.gz HVGJMTBASFGDLV-JTQLQIEISA-N 0 0 292.364 2.967 20 5 CFBDRN CSCc1cn([C@H](C)c2cc([N+](=O)[O-])ccc2C)nn1 ZINC000603249929 351125355 /nfs/dbraw/zinc/12/53/55/351125355.db2.gz HVGJMTBASFGDLV-SNVBAGLBSA-N 0 0 292.364 2.967 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(C[C@H]2CCCC[C@@H]2C)c1=O ZINC000603365495 351147764 /nfs/dbraw/zinc/14/77/64/351147764.db2.gz HUKSUBAVJQGLKG-WDEREUQCSA-N 0 0 280.324 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cn(C3CCC3)nn2)c(F)c1 ZINC000603402786 351157850 /nfs/dbraw/zinc/15/78/50/351157850.db2.gz FNSXJBZZQBHRBO-UHFFFAOYSA-N 0 0 291.286 2.663 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC(C)(C)c2ncc[nH]2)n1 ZINC000603407496 351158172 /nfs/dbraw/zinc/15/81/72/351158172.db2.gz UNRGYVYCAYXAET-UHFFFAOYSA-N 0 0 275.312 2.677 20 5 CFBDRN CCOc1cc(NCC2CSC2)ccc1[N+](=O)[O-] ZINC000603414197 351159414 /nfs/dbraw/zinc/15/94/14/351159414.db2.gz QRUSULHGUUUTMI-UHFFFAOYSA-N 0 0 268.338 2.768 20 5 CFBDRN Cc1ccccc1OCCNc1cccnc1[N+](=O)[O-] ZINC000603942632 351192933 /nfs/dbraw/zinc/19/29/33/351192933.db2.gz VZAKZWHWKJWNJY-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN CSc1ccccc1OCc1cn(C)nc1[N+](=O)[O-] ZINC000603424783 351161688 /nfs/dbraw/zinc/16/16/88/351161688.db2.gz YSPPIOVMGBOTMC-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)N[C@@H](C)C(F)F ZINC000603431408 351163200 /nfs/dbraw/zinc/16/32/00/351163200.db2.gz WTXXHXKDDDMEHX-SFYZADRCSA-N 0 0 274.267 2.908 20 5 CFBDRN Cc1nnc(CNc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000603932650 351193224 /nfs/dbraw/zinc/19/32/24/351193224.db2.gz MPGOXWQNXKVGOD-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])cn1)C12CC3CC(CC(C3)C1)C2 ZINC000603475731 351166202 /nfs/dbraw/zinc/16/62/02/351166202.db2.gz BBCKKELEGZQMDY-UHFFFAOYSA-N 0 0 289.335 2.577 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CSC(C)C ZINC000603515298 351170634 /nfs/dbraw/zinc/17/06/34/351170634.db2.gz WQXPBUVCJUSTBT-UHFFFAOYSA-N 0 0 284.337 2.684 20 5 CFBDRN CC(C)CN(CC(C)C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000603573837 351173987 /nfs/dbraw/zinc/17/39/87/351173987.db2.gz WFBNYTWIMIUSPQ-UHFFFAOYSA-N 0 0 281.356 2.688 20 5 CFBDRN C[NH+](C)[C@H](CNc1cccnc1[N+](=O)[O-])c1cccs1 ZINC000603837178 351184001 /nfs/dbraw/zinc/18/40/01/351184001.db2.gz BTVUJLOWSUFMHF-LLVKDONJSA-N 0 0 292.364 2.766 20 5 CFBDRN COc1ccc(CN(C)c2cccnc2[N+](=O)[O-])cc1 ZINC000603838166 351184618 /nfs/dbraw/zinc/18/46/18/351184618.db2.gz BKKXJWCMHIHZPB-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN COc1cccc(CNc2cccnc2[N+](=O)[O-])c1OC ZINC000603870598 351188064 /nfs/dbraw/zinc/18/80/64/351188064.db2.gz FBQBWTKPJNSNIW-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN O=c1[nH]c(Cc2ccc([N+](=O)[O-])cc2)nc2ccc(F)cc12 ZINC000603918295 351191261 /nfs/dbraw/zinc/19/12/61/351191261.db2.gz BEQNMINOOYTZGF-UHFFFAOYSA-N 0 0 299.261 2.974 20 5 CFBDRN Cc1nn(C[C@H](C)C(F)(F)F)c2ncc([N+](=O)[O-])cc12 ZINC000603323448 351138837 /nfs/dbraw/zinc/13/88/37/351138837.db2.gz CDGNPGCIBCFCFG-LURJTMIESA-N 0 0 288.229 2.846 20 5 CFBDRN Cc1nn(Cc2ncc(C3CC3)o2)c2ncc([N+](=O)[O-])cc12 ZINC000603323444 351138973 /nfs/dbraw/zinc/13/89/73/351138973.db2.gz BWZQBSATOAIPNN-UHFFFAOYSA-N 0 0 299.290 2.562 20 5 CFBDRN Cc1nn(C[C@H]2CCC(C)(C)O2)c2ncc([N+](=O)[O-])cc12 ZINC000603329963 351140144 /nfs/dbraw/zinc/14/01/44/351140144.db2.gz ZNWUTJGFQLEGSZ-LLVKDONJSA-N 0 0 290.323 2.606 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000604045744 351197501 /nfs/dbraw/zinc/19/75/01/351197501.db2.gz OCOOENXOMXJFLE-NSHDSACASA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1sc(C(=O)NC[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000604395847 351210816 /nfs/dbraw/zinc/21/08/16/351210816.db2.gz DZRHOSNVOWCDIG-ZETCQYMHSA-N 0 0 268.338 2.741 20 5 CFBDRN CN(Cc1nccn1C(F)F)c1ccc([N+](=O)[O-])s1 ZINC000604408833 351211627 /nfs/dbraw/zinc/21/16/27/351211627.db2.gz XUVSUOQHRRRZLR-UHFFFAOYSA-N 0 0 288.279 2.884 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1cc2c(nn1)CCC2 ZINC000604500030 351215445 /nfs/dbraw/zinc/21/54/45/351215445.db2.gz HVLHKNATQBMKBD-UHFFFAOYSA-N 0 0 257.249 2.666 20 5 CFBDRN CCC1(C)CN(C(=O)Nc2ccc(OC)c([N+](=O)[O-])c2)C1 ZINC000291267161 197973411 /nfs/dbraw/zinc/97/34/11/197973411.db2.gz GVHZRVUUNUKAMN-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CCCN(CC)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000604505814 351216412 /nfs/dbraw/zinc/21/64/12/351216412.db2.gz XJKUSHFJWQONKJ-UHFFFAOYSA-N 0 0 265.313 2.536 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000116272228 186703354 /nfs/dbraw/zinc/70/33/54/186703354.db2.gz XWWTXWGERZFICN-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)C1CCOCC1 ZINC000118296414 186769165 /nfs/dbraw/zinc/76/91/65/186769165.db2.gz ODZPOTNHRUSNJW-UHFFFAOYSA-N 0 0 299.710 2.889 20 5 CFBDRN COCC[C@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000416974465 233642193 /nfs/dbraw/zinc/64/21/93/233642193.db2.gz LERSFNRBQLUMKO-JTQLQIEISA-N 0 0 295.339 2.697 20 5 CFBDRN CCSCCOc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000118612321 186778028 /nfs/dbraw/zinc/77/80/28/186778028.db2.gz OJAMXEFZBNOTPH-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000119111671 186803185 /nfs/dbraw/zinc/80/31/85/186803185.db2.gz QENSLTNXULJOCI-UHFFFAOYSA-N 0 0 296.273 2.793 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCOc1ccc(F)cc1F ZINC000609288213 351495153 /nfs/dbraw/zinc/49/51/53/351495153.db2.gz CXEPSCHQZZQEND-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN CC(C)OC1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000609331222 351495597 /nfs/dbraw/zinc/49/55/97/351495597.db2.gz QBRSARWVXMVZCR-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](CC)OC ZINC000291413860 198015148 /nfs/dbraw/zinc/01/51/48/198015148.db2.gz JMDBJLQVDIRJEZ-GFCCVEGCSA-N 0 0 266.297 2.521 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])s1 ZINC000609571308 351508802 /nfs/dbraw/zinc/50/88/02/351508802.db2.gz XODLNBITTPZVCU-KCJUWKMLSA-N 0 0 258.343 2.865 20 5 CFBDRN CC(C)n1cc([C@@H](C)Nc2ncccc2[N+](=O)[O-])cn1 ZINC000609586007 351509723 /nfs/dbraw/zinc/50/97/23/351509723.db2.gz MVCZVBVVYKDLDO-SNVBAGLBSA-N 0 0 275.312 2.940 20 5 CFBDRN CCC(C)(CC)NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000609580869 351510053 /nfs/dbraw/zinc/51/00/53/351510053.db2.gz ZHMJKRALPUIZSY-UHFFFAOYSA-N 0 0 294.307 2.632 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1(C(F)(F)F)CC1 ZINC000608786254 351470520 /nfs/dbraw/zinc/47/05/20/351470520.db2.gz CTEXLWZSPSEXER-UHFFFAOYSA-N 0 0 288.225 2.554 20 5 CFBDRN CSCCNC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000608789065 351470819 /nfs/dbraw/zinc/47/08/19/351470819.db2.gz NTABZXIYWHJONK-UHFFFAOYSA-N 0 0 289.744 2.733 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)F ZINC000291392166 198009129 /nfs/dbraw/zinc/00/91/29/198009129.db2.gz GOXYMXMZYFTZFE-UHFFFAOYSA-N 0 0 254.261 2.844 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1nc(-c2ccccc2)c[nH]1 ZINC000608831025 351479159 /nfs/dbraw/zinc/47/91/59/351479159.db2.gz HIBWWYJPCQURLX-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN CC1(C)CCN(c2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000608885650 351480937 /nfs/dbraw/zinc/48/09/37/351480937.db2.gz FNQDKVWOMSPHHA-UHFFFAOYSA-N 0 0 280.353 2.930 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@H](Nc2ccccc2)C1 ZINC000608885053 351480939 /nfs/dbraw/zinc/48/09/39/351480939.db2.gz BXABOPFTKGHSIH-ZDUSSCGKSA-N 0 0 284.319 2.681 20 5 CFBDRN CCOc1ccc([C@@H](C)Nc2ccncc2[N+](=O)[O-])cc1 ZINC000608972444 351482762 /nfs/dbraw/zinc/48/27/62/351482762.db2.gz KLDBMIGUKMGEOT-LLVKDONJSA-N 0 0 287.319 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCN2CCCc3ccccc32)cn1 ZINC000609796758 351519898 /nfs/dbraw/zinc/51/98/98/351519898.db2.gz NLKKPXFMCWDASO-UHFFFAOYSA-N 0 0 298.346 2.855 20 5 CFBDRN C[C@@H](CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000609784791 351520015 /nfs/dbraw/zinc/52/00/15/351520015.db2.gz BZYASPDPRKLCCS-VIFPVBQESA-N 0 0 296.754 2.953 20 5 CFBDRN O=C(Nc1ccc[nH]c1=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000609874871 351525180 /nfs/dbraw/zinc/52/51/80/351525180.db2.gz MZNNRXVHHPVTGS-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1cccnc1[N+](=O)[O-] ZINC000609964938 351528418 /nfs/dbraw/zinc/52/84/18/351528418.db2.gz YVXQZKDPATWWNG-QMMMGPOBSA-N 0 0 276.296 2.638 20 5 CFBDRN O=[N+]([O-])c1sccc1NCC1(O)Cc2ccccc2C1 ZINC000609965121 351528651 /nfs/dbraw/zinc/52/86/51/351528651.db2.gz XVCLVVLSRBXLIM-UHFFFAOYSA-N 0 0 290.344 2.598 20 5 CFBDRN Cc1cc(NCC2(O)Cc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000609965122 351528839 /nfs/dbraw/zinc/52/88/39/351528839.db2.gz XYLVIHWUDDPIFY-UHFFFAOYSA-N 0 0 298.342 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)Cc2ccccc2)s1 ZINC000610076417 351533849 /nfs/dbraw/zinc/53/38/49/351533849.db2.gz YLSWCPYUMNYLAM-NSHDSACASA-N 0 0 278.333 2.672 20 5 CFBDRN C[C@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)c1ccco1 ZINC000610169484 351536871 /nfs/dbraw/zinc/53/68/71/351536871.db2.gz DVPPCQTVOINRDX-JTQLQIEISA-N 0 0 286.287 2.881 20 5 CFBDRN C[C@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])c1ccco1 ZINC000610175885 351537371 /nfs/dbraw/zinc/53/73/71/351537371.db2.gz VHNNNDUTVCSVKM-JTQLQIEISA-N 0 0 286.287 2.881 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@H]1CCCO1 ZINC000610211444 351540278 /nfs/dbraw/zinc/54/02/78/351540278.db2.gz XPYBDLIJVSWLDA-CYBMUJFWSA-N 0 0 280.324 2.722 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000610225645 351541376 /nfs/dbraw/zinc/54/13/76/351541376.db2.gz UUIMQGLXEJHTNK-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1ccc([N+](=O)[O-])s1 ZINC000610279518 351548255 /nfs/dbraw/zinc/54/82/55/351548255.db2.gz IIYXEPYYSPIGMO-QMMMGPOBSA-N 0 0 258.343 2.865 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@H]1CCOC1 ZINC000291435184 198020875 /nfs/dbraw/zinc/02/08/75/198020875.db2.gz MDNSCCMDUNRQHV-NSHDSACASA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@H]2c2ccco2)cs1 ZINC000609739869 351517473 /nfs/dbraw/zinc/51/74/73/351517473.db2.gz YPHBLRKSHMLLIW-NSHDSACASA-N 0 0 294.332 2.823 20 5 CFBDRN CC1(C)CN(Cc2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000609766703 351518760 /nfs/dbraw/zinc/51/87/60/351518760.db2.gz GKIAQSYEJHLUFW-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1ccc([N+](=O)[O-])s1 ZINC000609765701 351518846 /nfs/dbraw/zinc/51/88/46/351518846.db2.gz MBQXBHXPCDGRQK-MRVPVSSYSA-N 0 0 258.343 2.865 20 5 CFBDRN CCO[C@@H](CCNc1cccnc1[N+](=O)[O-])C(C)C ZINC000610431543 351565965 /nfs/dbraw/zinc/56/59/65/351565965.db2.gz GLYFEPCMQVXWCA-LBPRGKRZSA-N 0 0 267.329 2.853 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000610483364 351569523 /nfs/dbraw/zinc/56/95/23/351569523.db2.gz UHXFXOHMKZIVEC-UHFFFAOYSA-N 0 0 293.254 2.615 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1ccc(CNCc2ncc[nH]2)o1 ZINC000610484340 351569847 /nfs/dbraw/zinc/56/98/47/351569847.db2.gz UEHGFYUBKQOEEO-UHFFFAOYSA-N 0 0 298.302 2.868 20 5 CFBDRN CSCCNC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000610592384 351584746 /nfs/dbraw/zinc/58/47/46/351584746.db2.gz MTEWYTLKGZWCPN-JTQLQIEISA-N 0 0 297.380 2.626 20 5 CFBDRN C[C@H](Nc1ccncc1[N+](=O)[O-])[C@@H]1Oc2ccccc2[C@@H]1C ZINC000610647759 351587617 /nfs/dbraw/zinc/58/76/17/351587617.db2.gz LOZBJURUKIEQBF-XMZIXOGTSA-N 0 0 299.330 2.777 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])s2)C1(C)C ZINC000610651934 351588197 /nfs/dbraw/zinc/58/81/97/351588197.db2.gz RFEARQVARBDSIS-HTQZYQBOSA-N 0 0 256.327 2.882 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])s2)C1(C)C ZINC000610651937 351588457 /nfs/dbraw/zinc/58/84/57/351588457.db2.gz RFEARQVARBDSIS-YUMQZZPRSA-N 0 0 256.327 2.882 20 5 CFBDRN COC(=O)[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])C(C)(C)C ZINC000610661567 351589243 /nfs/dbraw/zinc/58/92/43/351589243.db2.gz IRJYTBGEEUIEIO-LLVKDONJSA-N 0 0 284.287 2.734 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000610664640 351590250 /nfs/dbraw/zinc/59/02/50/351590250.db2.gz BSHPOTMYRCRPAN-CMPLNLGQSA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](n3ccnc3)C2)c1 ZINC000610677426 351591297 /nfs/dbraw/zinc/59/12/97/351591297.db2.gz FOVDDNZGBIKQAL-ZDUSSCGKSA-N 0 0 286.335 2.941 20 5 CFBDRN COc1cc(C)ccc1CNc1cccnc1[N+](=O)[O-] ZINC000610709092 351595662 /nfs/dbraw/zinc/59/56/62/351595662.db2.gz QBOVCAQNRWGNRV-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCO[C@H](c2ccsc2)C1 ZINC000610717041 351596341 /nfs/dbraw/zinc/59/63/41/351596341.db2.gz ZMIMCFJKXAKNLR-LBPRGKRZSA-N 0 0 291.332 2.629 20 5 CFBDRN CC[C@@H](C[NH+]1CCCC1)Nc1ccc([N+](=O)[O-])s1 ZINC000610715996 351596511 /nfs/dbraw/zinc/59/65/11/351596511.db2.gz YFWYEYSLFILBGV-JTQLQIEISA-N 0 0 269.370 2.943 20 5 CFBDRN CC(C)(CNc1cccnc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000610716618 351596521 /nfs/dbraw/zinc/59/65/21/351596521.db2.gz GGIDIVFSPJEURM-LBPRGKRZSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000610735817 351600485 /nfs/dbraw/zinc/60/04/85/351600485.db2.gz VGOKHYRAOVIMFB-GXFFZTMASA-N 0 0 274.320 2.962 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H]2CCC[C@@H]21 ZINC000610766082 351605107 /nfs/dbraw/zinc/60/51/07/351605107.db2.gz XBQZJLAOSBHBIO-SKDRFNHKSA-N 0 0 291.307 2.620 20 5 CFBDRN O=C(Nc1c(O)cccc1F)c1ccc([N+](=O)[O-])s1 ZINC000610339867 351554777 /nfs/dbraw/zinc/55/47/77/351554777.db2.gz XVADJXPXXIAGIB-UHFFFAOYSA-N 0 0 282.252 2.753 20 5 CFBDRN CCC[C@@H](O)CC(=O)N[C@@H](CC)c1cccc([N+](=O)[O-])c1 ZINC000611005145 351633583 /nfs/dbraw/zinc/63/35/83/351633583.db2.gz QUVHFQOAPZXGKZ-KGLIPLIRSA-N 0 0 294.351 2.713 20 5 CFBDRN CCC[C@H](O)CC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000611005128 351633795 /nfs/dbraw/zinc/63/37/95/351633795.db2.gz PANMUGOQWYQFBB-YPMHNXCESA-N 0 0 294.351 2.632 20 5 CFBDRN CC(C)C1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000611078905 351638586 /nfs/dbraw/zinc/63/85/86/351638586.db2.gz ATTCKJHVZRXNCU-UHFFFAOYSA-N 0 0 290.363 2.987 20 5 CFBDRN O=C1CCCN1CCCSCc1ccc([N+](=O)[O-])cc1 ZINC000611081043 351639299 /nfs/dbraw/zinc/63/92/99/351639299.db2.gz NVJYDJDVWGYJSQ-UHFFFAOYSA-N 0 0 294.376 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC2CC2)c(Cl)c1 ZINC000611104353 351640222 /nfs/dbraw/zinc/64/02/22/351640222.db2.gz SWBBSPZMYRDGDX-SECBINFHSA-N 0 0 270.716 2.821 20 5 CFBDRN C[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000611120827 351641934 /nfs/dbraw/zinc/64/19/34/351641934.db2.gz NHFCBNKWOBWORL-JTQLQIEISA-N 0 0 277.324 2.583 20 5 CFBDRN CN1Cc2ccccc2[C@H](Nc2cccnc2[N+](=O)[O-])C1 ZINC000611133215 351643753 /nfs/dbraw/zinc/64/37/53/351643753.db2.gz PKEBQFGMRUYQEK-CQSZACIVSA-N 0 0 284.319 2.588 20 5 CFBDRN CCC[C@@H](C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000611147367 351645120 /nfs/dbraw/zinc/64/51/20/351645120.db2.gz YNBJQBFTZOFBAC-LLVKDONJSA-N 0 0 279.340 2.625 20 5 CFBDRN C[C@H](C(=O)NCCc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000611159177 351646265 /nfs/dbraw/zinc/64/62/65/351646265.db2.gz YNIFITPREFVJGS-NSHDSACASA-N 0 0 288.303 2.650 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)n1cccn1 ZINC000291485173 198033899 /nfs/dbraw/zinc/03/38/99/198033899.db2.gz SDMGANSRTIGRJM-SNVBAGLBSA-N 0 0 288.307 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2noc(C3CC3)n2)s1 ZINC000611204209 351651565 /nfs/dbraw/zinc/65/15/65/351651565.db2.gz YCIZSNFMDYFEBT-UHFFFAOYSA-N 0 0 266.282 2.529 20 5 CFBDRN Cc1occc1CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000611195505 351651794 /nfs/dbraw/zinc/65/17/94/351651794.db2.gz UNPIOVRACXHZSJ-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC2(C1)CC(F)(F)C2 ZINC000611214588 351652328 /nfs/dbraw/zinc/65/23/28/351652328.db2.gz ISPDWKBRCWYNNM-UHFFFAOYSA-N 0 0 288.275 2.528 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@](C)(F)C2)c1 ZINC000611228387 351653364 /nfs/dbraw/zinc/65/33/64/351653364.db2.gz SHEGEPZABFVXTF-GFCCVEGCSA-N 0 0 254.261 2.542 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1SCCS1 ZINC000291512590 198040431 /nfs/dbraw/zinc/04/04/31/198040431.db2.gz WVNYSLIATXGBMF-UHFFFAOYSA-N 0 0 298.389 2.902 20 5 CFBDRN Cc1nc(N[C@@H]2CCOC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000610856597 351614723 /nfs/dbraw/zinc/61/47/23/351614723.db2.gz NDZDEDKYXLIMRY-LLVKDONJSA-N 0 0 277.324 2.812 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H]1CCOC2(CCCC2)C1 ZINC000610856263 351614966 /nfs/dbraw/zinc/61/49/66/351614966.db2.gz BWHJEVBBSNJIOP-NSHDSACASA-N 0 0 277.324 2.894 20 5 CFBDRN Cc1cc(C(=O)N(C)CCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000610862495 351616529 /nfs/dbraw/zinc/61/65/29/351616529.db2.gz LIOQJNWJQKWINI-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN CC(=O)C(C)(C)CCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000610940027 351622493 /nfs/dbraw/zinc/62/24/93/351622493.db2.gz CGJFRCODDUOHKH-UHFFFAOYSA-N 0 0 292.335 2.606 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000610946453 351623129 /nfs/dbraw/zinc/62/31/29/351623129.db2.gz FWLGDLGNHPDEPR-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN O=[N+]([O-])c1ccsc1Sc1nnc2ccccn21 ZINC000611411029 351684864 /nfs/dbraw/zinc/68/48/64/351684864.db2.gz MLURHMGGURIAJA-UHFFFAOYSA-N 0 0 278.318 2.850 20 5 CFBDRN CN(CCC(=O)OC(C)(C)C)c1sccc1[N+](=O)[O-] ZINC000611413369 351685815 /nfs/dbraw/zinc/68/58/15/351685815.db2.gz MJTBYHHHFOMCSO-UHFFFAOYSA-N 0 0 286.353 2.824 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2sccc2[N+](=O)[O-])C1(C)C ZINC000611413298 351685940 /nfs/dbraw/zinc/68/59/40/351685940.db2.gz DSYDKYDIRPGYIE-DTWKUNHWSA-N 0 0 256.327 2.882 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000611413663 351687055 /nfs/dbraw/zinc/68/70/55/351687055.db2.gz KYSLRLFOVWFTTA-ZJUUUORDSA-N 0 0 268.338 2.519 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(CO)cc2)s1 ZINC000611414896 351687318 /nfs/dbraw/zinc/68/73/18/351687318.db2.gz JZGTVAVLZMRRHH-UHFFFAOYSA-N 0 0 278.333 2.803 20 5 CFBDRN COc1cccc(C2=CCN(c3cccnc3[N+](=O)[O-])C2)c1 ZINC000611414493 351687589 /nfs/dbraw/zinc/68/75/89/351687589.db2.gz YLPJRQTZCJUGLS-UHFFFAOYSA-N 0 0 297.314 2.902 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1sccc1[N+](=O)[O-] ZINC000611494848 351697153 /nfs/dbraw/zinc/69/71/53/351697153.db2.gz FPCDHLULGHUULC-KOLCDFICSA-N 0 0 270.354 2.644 20 5 CFBDRN C[C@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@@H]1F ZINC000611502202 351699449 /nfs/dbraw/zinc/69/94/49/351699449.db2.gz PHJJZLGGSCVZSV-CABZTGNLSA-N 0 0 270.279 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)[C@@H](F)C1 ZINC000611505872 351701073 /nfs/dbraw/zinc/70/10/73/351701073.db2.gz UAHPEOQUCAPLOD-MFKMUULPSA-N 0 0 280.299 2.723 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H]1F ZINC000611507042 351701185 /nfs/dbraw/zinc/70/11/85/351701185.db2.gz WMYSEJHILYQNEV-PRHODGIISA-N 0 0 284.262 2.554 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@H](C)[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000611506473 351701247 /nfs/dbraw/zinc/70/12/47/351701247.db2.gz NAUCLIFKTWABJB-GWCFXTLKSA-N 0 0 294.326 2.977 20 5 CFBDRN CCc1ccc(C(=O)N2CC=CCC2)cc1[N+](=O)[O-] ZINC000611513142 351702878 /nfs/dbraw/zinc/70/28/78/351702878.db2.gz IVOPBZMDPSIYPQ-UHFFFAOYSA-N 0 0 260.293 2.559 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CC=CCC1 ZINC000611517933 351703548 /nfs/dbraw/zinc/70/35/48/351703548.db2.gz XVYRCKJTLMJYQX-UHFFFAOYSA-N 0 0 298.245 2.598 20 5 CFBDRN CCc1nn(C)c(NC[C@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC000611281486 351663396 /nfs/dbraw/zinc/66/33/96/351663396.db2.gz RLICJDWLKMJMPZ-JTQLQIEISA-N 0 0 289.339 2.501 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCCOC[C@@H]1CCOC1 ZINC000611290167 351664294 /nfs/dbraw/zinc/66/42/94/351664294.db2.gz PCYZMGXUJPHSJC-JTQLQIEISA-N 0 0 286.353 2.511 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCCOC[C@H]1CCCO1 ZINC000611289949 351664738 /nfs/dbraw/zinc/66/47/38/351664738.db2.gz FAXMVFVWCJJJSV-SNVBAGLBSA-N 0 0 286.353 2.654 20 5 CFBDRN CCOc1cc(N2C[C@@H](C)OC[C@H]2C)ccc1[N+](=O)[O-] ZINC000611295692 351665187 /nfs/dbraw/zinc/66/51/87/351665187.db2.gz PXZPUUWZPAZZTE-GHMZBOCLSA-N 0 0 280.324 2.607 20 5 CFBDRN Cc1ccncc1[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000611300328 351666247 /nfs/dbraw/zinc/66/62/47/351666247.db2.gz LVACZEGBCKCQGV-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccncc1[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000611299487 351666348 /nfs/dbraw/zinc/66/63/48/351666348.db2.gz BXXFUCGOIPDTCC-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN CCC1(O)CCC(Nc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000611306568 351667435 /nfs/dbraw/zinc/66/74/35/351667435.db2.gz QBGXWZZUJFAAIK-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCO[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000611307052 351667982 /nfs/dbraw/zinc/66/79/82/351667982.db2.gz NSICLKBPEMTBMR-JQWIXIFHSA-N 0 0 296.371 2.506 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2ccc(C3CC3)cc2)c1[N+](=O)[O-] ZINC000611331487 351669166 /nfs/dbraw/zinc/66/91/66/351669166.db2.gz GJCZUDPJFSXAEA-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN COCC1(C)CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000611339017 351670953 /nfs/dbraw/zinc/67/09/53/351670953.db2.gz UHIRINUEPFYDGY-UHFFFAOYSA-N 0 0 264.325 2.848 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)C[C@H]2CCCC[C@@H]2O)n1 ZINC000611617528 351716266 /nfs/dbraw/zinc/71/62/66/351716266.db2.gz HGWNBZXVPBXVBS-OLZOCXBDSA-N 0 0 293.367 2.594 20 5 CFBDRN C[C@@H]1CN(c2ncnc3ccc([N+](=O)[O-])cc32)C[C@H]1C ZINC000611705891 351726918 /nfs/dbraw/zinc/72/69/18/351726918.db2.gz IDVQXBRXMVQGIL-NXEZZACHSA-N 0 0 272.308 2.630 20 5 CFBDRN CC[C@H]1CCCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611708321 351727218 /nfs/dbraw/zinc/72/72/18/351727218.db2.gz BYIBFEMGWYCDHC-JTQLQIEISA-N 0 0 272.308 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(SC3CCOCC3)c2c1 ZINC000611713139 351727794 /nfs/dbraw/zinc/72/77/94/351727794.db2.gz XWVKCJAZJRCLEY-UHFFFAOYSA-N 0 0 291.332 2.809 20 5 CFBDRN COC[C@@](C)(NCc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000611717289 351729706 /nfs/dbraw/zinc/72/97/06/351729706.db2.gz FEBHURQBKOQVMQ-LLVKDONJSA-N 0 0 292.257 2.652 20 5 CFBDRN COC(=O)[C@H](CC(C)(C)C)NCc1ccc([N+](=O)[O-])cc1 ZINC000611727733 351730911 /nfs/dbraw/zinc/73/09/11/351730911.db2.gz ZBYBWYONIRLRIV-ZDUSSCGKSA-N 0 0 294.351 2.662 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@@H](C)[C@@H](F)C2)c1 ZINC000611765001 351738696 /nfs/dbraw/zinc/73/86/96/351738696.db2.gz YYEQIXZOWVPNMW-KOLCDFICSA-N 0 0 296.298 2.566 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)c2ccco2)cc1[N+](=O)[O-] ZINC000611775619 351739198 /nfs/dbraw/zinc/73/91/98/351739198.db2.gz IGEALSRUJXSYCE-SECBINFHSA-N 0 0 290.275 2.939 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC(F)(F)C1 ZINC000611907622 351750376 /nfs/dbraw/zinc/75/03/76/351750376.db2.gz VYWGQEULCNOTAW-UHFFFAOYSA-N 0 0 298.270 2.901 20 5 CFBDRN Cc1nccc(NC(=O)c2ccc([N+](=O)[O-])cc2)c1Cl ZINC000611562072 351708617 /nfs/dbraw/zinc/70/86/17/351708617.db2.gz RBRMPFYCYUJXGV-UHFFFAOYSA-N 0 0 291.694 2.626 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@H]2C2CC2)n1 ZINC000611615872 351714375 /nfs/dbraw/zinc/71/43/75/351714375.db2.gz DXMIXNBEPCJILN-GFCCVEGCSA-N 0 0 261.325 2.985 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C1(C)C ZINC000611617167 351715599 /nfs/dbraw/zinc/71/55/99/351715599.db2.gz LXOLIIBXJAPRJG-MNOVXSKESA-N 0 0 279.340 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000612134141 351778987 /nfs/dbraw/zinc/77/89/87/351778987.db2.gz KZJPOAUJUNTUCE-YXAMBPQSSA-N 0 0 290.363 2.667 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])o2)CCC(F)(F)CC1 ZINC000612063906 351768151 /nfs/dbraw/zinc/76/81/51/351768151.db2.gz PTBUPAPZWGEOHC-UHFFFAOYSA-N 0 0 288.250 2.886 20 5 CFBDRN CCCN(CCC)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000121853788 187000442 /nfs/dbraw/zinc/00/04/42/187000442.db2.gz HTOHORSMXGUMAY-UHFFFAOYSA-N 0 0 282.315 2.925 20 5 CFBDRN CN(Cc1csnn1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000121876548 187002130 /nfs/dbraw/zinc/00/21/30/187002130.db2.gz VPEJHUSXMDXIJJ-UHFFFAOYSA-N 0 0 298.755 2.732 20 5 CFBDRN CC1(O)CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000122047421 187012235 /nfs/dbraw/zinc/01/22/35/187012235.db2.gz GGFPYDJGXPNWJE-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1csc(N(C)C(=O)c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000122068810 187013600 /nfs/dbraw/zinc/01/36/00/187013600.db2.gz NQZVIAABYWOQDS-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN Cc1occc1CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000612611197 351843870 /nfs/dbraw/zinc/84/38/70/351843870.db2.gz GUQMHEVSPCKAAJ-UHFFFAOYSA-N 0 0 293.254 2.957 20 5 CFBDRN Cc1cc(C(=O)Nc2ccncc2F)cc([N+](=O)[O-])c1 ZINC000122680488 187045546 /nfs/dbraw/zinc/04/55/46/187045546.db2.gz PEPIPIQFAMQBGH-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)CCn1nccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000612674551 351850363 /nfs/dbraw/zinc/85/03/63/351850363.db2.gz HKXNBZNRPPQWDD-UHFFFAOYSA-N 0 0 292.295 2.683 20 5 CFBDRN CC[C@@H](C)CONc1c([N+](=O)[O-])c(C)nn1CC ZINC000291762580 198084104 /nfs/dbraw/zinc/08/41/04/198084104.db2.gz GRSJWDYZWPRCGW-MRVPVSSYSA-N 0 0 256.306 2.509 20 5 CFBDRN Cc1cccc(OCC(=O)N2CCC(C)CC2)c1[N+](=O)[O-] ZINC000124020660 187118842 /nfs/dbraw/zinc/11/88/42/187118842.db2.gz XDYSLVXJQUHNIJ-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1ncc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)s1 ZINC000124051544 187120494 /nfs/dbraw/zinc/12/04/94/187120494.db2.gz CACSURJZCBKVHB-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN C[C@@]1(c2ccccc2)C[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000612785523 351861876 /nfs/dbraw/zinc/86/18/76/351861876.db2.gz BVMVSYBLQALMFB-JSGCOSHPSA-N 0 0 270.292 2.527 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC2(CCC2)[C@@H]1C1CC1 ZINC000291888673 198097104 /nfs/dbraw/zinc/09/71/04/198097104.db2.gz JJCZNEOZQGDLQZ-AWEZNQCLSA-N 0 0 286.331 3.000 20 5 CFBDRN COCC1(CNc2ccc(F)cc2[N+](=O)[O-])CCOCC1 ZINC000291889157 198097367 /nfs/dbraw/zinc/09/73/67/198097367.db2.gz OWOJBIMEAQJOSJ-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124196710 187126485 /nfs/dbraw/zinc/12/64/85/187126485.db2.gz JRXGLHINHDCRNJ-RKDXNWHRSA-N 0 0 268.288 2.898 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])[C@@H]1C1CC1 ZINC000291918137 198105389 /nfs/dbraw/zinc/10/53/89/198105389.db2.gz MZHJNYZZRLRUKH-CYBMUJFWSA-N 0 0 292.310 2.995 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000123914912 187114810 /nfs/dbraw/zinc/11/48/10/187114810.db2.gz JAZBGJDMMVHGBO-ONGXEEELSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@@H](C)C2)c1 ZINC000123960625 187116152 /nfs/dbraw/zinc/11/61/52/187116152.db2.gz QKEKNHKPBKDRLZ-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@H](C)C2)c1 ZINC000123959963 187116235 /nfs/dbraw/zinc/11/62/35/187116235.db2.gz QKEKNHKPBKDRLZ-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1CC(F)(F)C1 ZINC000291960254 198118239 /nfs/dbraw/zinc/11/82/39/198118239.db2.gz VDVLXFQYABAJER-UHFFFAOYSA-N 0 0 274.271 2.577 20 5 CFBDRN COc1cc(CN2CC=CCC2)c([N+](=O)[O-])cc1F ZINC000613384143 351948714 /nfs/dbraw/zinc/94/87/14/351948714.db2.gz JZYXAABVPIMVAO-UHFFFAOYSA-N 0 0 266.272 2.504 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000131416460 187564234 /nfs/dbraw/zinc/56/42/34/187564234.db2.gz IIRMFEKBFPBRFM-NSHDSACASA-N 0 0 280.349 2.529 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)[C@@H]1C ZINC000613917276 352026740 /nfs/dbraw/zinc/02/67/40/352026740.db2.gz BUAKEJGPLNVRTJ-GPCCPHFNSA-N 0 0 293.367 2.906 20 5 CFBDRN CC1(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@H]1C1CC1 ZINC000291984042 198124871 /nfs/dbraw/zinc/12/48/71/198124871.db2.gz VLULQALPCOJCMD-HNNXBMFYSA-N 0 0 288.347 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC4(C3)CCCC4)c2c1 ZINC000614524340 352100598 /nfs/dbraw/zinc/10/05/98/352100598.db2.gz LNRWPMYYGPEESG-UHFFFAOYSA-N 0 0 284.319 2.918 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1CCC[C@H]1CCO ZINC000614534256 352102631 /nfs/dbraw/zinc/10/26/31/352102631.db2.gz XWGGLOUIDDCCQX-IUCAKERBSA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1CCC[C@@H]1CCO ZINC000614534241 352102739 /nfs/dbraw/zinc/10/27/39/352102739.db2.gz QBKUJFKNMDNDIP-RKDXNWHRSA-N 0 0 256.327 2.619 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1ccc([N+](=O)[O-])s1 ZINC000615494753 352199191 /nfs/dbraw/zinc/19/91/91/352199191.db2.gz GQMNBWBRQLZQIW-SECBINFHSA-N 0 0 256.327 2.519 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)[C@H](C)[C@H](C)O1 ZINC000614980284 352139046 /nfs/dbraw/zinc/13/90/46/352139046.db2.gz MIQPFRDJGGJPJD-HLTSFMKQSA-N 0 0 256.327 2.658 20 5 CFBDRN COc1cc(N2C[C@H](C)O[C@@H](C)[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000614980193 352139224 /nfs/dbraw/zinc/13/92/24/352139224.db2.gz IHGWAOKOFNHFFG-GUBZILKMSA-N 0 0 298.314 2.745 20 5 CFBDRN Cc1cccc([C@H](O)CNc2nccc(C)c2[N+](=O)[O-])c1 ZINC000132513409 187632234 /nfs/dbraw/zinc/63/22/34/187632234.db2.gz BCHVBKXTUHTEDE-CYBMUJFWSA-N 0 0 287.319 2.752 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(O)c1 ZINC000206719141 539837603 /nfs/dbraw/zinc/83/76/03/539837603.db2.gz NBNOBKSVELCIPZ-TWGQIWQCSA-N 0 0 284.271 2.952 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000615949449 352266037 /nfs/dbraw/zinc/26/60/37/352266037.db2.gz TVWKBQRMKRYWBZ-NXEZZACHSA-N 0 0 288.307 2.517 20 5 CFBDRN C[C@H]1C[C@@H](O)CN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000615945760 352266199 /nfs/dbraw/zinc/26/61/99/352266199.db2.gz AABSOIIYRKTUTE-WCQYABFASA-N 0 0 299.330 2.616 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000271480240 191163509 /nfs/dbraw/zinc/16/35/09/191163509.db2.gz SIPBSKBLVWCBPM-YPMHNXCESA-N 0 0 276.336 2.927 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2ccc(C)cn2)c1 ZINC000616064718 352280796 /nfs/dbraw/zinc/28/07/96/352280796.db2.gz OSOAPXATUYLHKQ-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN COc1ccc(OCc2cc(C)ccn2)c([N+](=O)[O-])c1 ZINC000616201250 352303245 /nfs/dbraw/zinc/30/32/45/352303245.db2.gz FWUZWSASMQIFNO-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CC[C@H](C)CONC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000292015644 198135428 /nfs/dbraw/zinc/13/54/28/198135428.db2.gz AKCXSZQDGNNZML-NSHDSACASA-N 0 0 280.324 2.621 20 5 CFBDRN CCCc1nc(COc2cccnc2[N+](=O)[O-])cs1 ZINC000133577433 187693542 /nfs/dbraw/zinc/69/35/42/187693542.db2.gz COJSEYRHPBPURZ-UHFFFAOYSA-N 0 0 279.321 2.978 20 5 CFBDRN CCn1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000616482544 352357822 /nfs/dbraw/zinc/35/78/22/352357822.db2.gz CYCKYKDEGIRWFK-UHFFFAOYSA-N 0 0 287.319 2.688 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000292080777 198155928 /nfs/dbraw/zinc/15/59/28/198155928.db2.gz XWOYLUMLVJQVSZ-CMPLNLGQSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1c(C(=O)N(C)C[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000134068541 187724127 /nfs/dbraw/zinc/72/41/27/187724127.db2.gz MAFVUTBOLDMDRQ-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000617350017 352549200 /nfs/dbraw/zinc/54/92/00/352549200.db2.gz YKCUGDKFONETEX-VIFPVBQESA-N 0 0 266.297 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](C)C(F)(F)C2)n1 ZINC000617214909 352517922 /nfs/dbraw/zinc/51/79/22/352517922.db2.gz WQUIVBKCRSLMAO-MRVPVSSYSA-N 0 0 271.267 2.780 20 5 CFBDRN Cc1ccnc(N2CC[C@H](C)C(F)(F)C2)c1[N+](=O)[O-] ZINC000617214915 352517991 /nfs/dbraw/zinc/51/79/91/352517991.db2.gz XRDFCFMAPJISRR-VIFPVBQESA-N 0 0 271.267 2.780 20 5 CFBDRN CCC(CC)N(C(=O)c1ccc([N+](=O)[O-])n1C)C1CC1 ZINC000518303692 260371703 /nfs/dbraw/zinc/37/17/03/260371703.db2.gz LSIRJGKMBCSZFG-UHFFFAOYSA-N 0 0 279.340 2.727 20 5 CFBDRN COc1cccc(NCc2c(C)nn(C)c2C)c1[N+](=O)[O-] ZINC000134925871 187782663 /nfs/dbraw/zinc/78/26/63/187782663.db2.gz IQRNQBWXOAQCPJ-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN CC(C)C1CN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000617753188 352634400 /nfs/dbraw/zinc/63/44/00/352634400.db2.gz BIIRGGCCISWDFM-NSHDSACASA-N 0 0 276.336 2.813 20 5 CFBDRN C[C@@H](C(=O)N1CCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000617760464 352636954 /nfs/dbraw/zinc/63/69/54/352636954.db2.gz JVGOQKVBEUGMHB-SECBINFHSA-N 0 0 284.262 2.566 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000618072515 352713204 /nfs/dbraw/zinc/71/32/04/352713204.db2.gz XLZVKVGCXSEGGX-VIFPVBQESA-N 0 0 266.297 2.522 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC1(CO)CCCC1 ZINC000135109818 187797135 /nfs/dbraw/zinc/79/71/35/187797135.db2.gz JFHAIHSETOQPNW-UHFFFAOYSA-N 0 0 285.731 2.608 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1nccs1 ZINC000618398091 352765739 /nfs/dbraw/zinc/76/57/39/352765739.db2.gz LLSXXIZAMNBIBN-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000271630693 191250057 /nfs/dbraw/zinc/25/00/57/191250057.db2.gz PBASVLDPAZCLHK-OUAUKWLOSA-N 0 0 277.324 2.611 20 5 CFBDRN COc1cccc(N[C@H]2CCCSC2)c1[N+](=O)[O-] ZINC000136438167 187880161 /nfs/dbraw/zinc/88/01/61/187880161.db2.gz GIGDUTMAHKACLQ-VIFPVBQESA-N 0 0 268.338 2.911 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000271635130 191252255 /nfs/dbraw/zinc/25/22/55/191252255.db2.gz QBKPYYIHDLUQNV-ZJUUUORDSA-N 0 0 277.324 2.754 20 5 CFBDRN Cc1nc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)sc1C ZINC000618632207 352846373 /nfs/dbraw/zinc/84/63/73/352846373.db2.gz LAMYMVMEVYUDES-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000147492267 187913607 /nfs/dbraw/zinc/91/36/07/187913607.db2.gz XBOBLUUWGRXYPQ-XVKPBYJWSA-N 0 0 256.689 2.838 20 5 CFBDRN O=c1ccccn1CCSc1ccc([N+](=O)[O-])cc1F ZINC000618964417 352921522 /nfs/dbraw/zinc/92/15/22/352921522.db2.gz DAQHFRLQADXPDN-UHFFFAOYSA-N 0 0 294.307 2.688 20 5 CFBDRN Cc1cncc(CCC(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000292215483 198205336 /nfs/dbraw/zinc/20/53/36/198205336.db2.gz QFBULOBRXMHABE-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@]1(C)CCCO1 ZINC000149709078 187923078 /nfs/dbraw/zinc/92/30/78/187923078.db2.gz IPTSVGYAWLNYNY-CYBMUJFWSA-N 0 0 250.298 2.884 20 5 CFBDRN CSc1ccc(C(=O)N2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000619147689 352966514 /nfs/dbraw/zinc/96/65/14/352966514.db2.gz QNUUPNPYQHZXHA-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000619158453 352968030 /nfs/dbraw/zinc/96/80/30/352968030.db2.gz SQPRJYLSPIFGDB-JTQLQIEISA-N 0 0 270.354 2.908 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)(F)C1 ZINC000619419652 353019646 /nfs/dbraw/zinc/01/96/46/353019646.db2.gz DWVIXBFZFAAJBN-GFCCVEGCSA-N 0 0 294.326 2.734 20 5 CFBDRN CC(C)(NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21)C(F)F ZINC000619421841 353020437 /nfs/dbraw/zinc/02/04/37/353020437.db2.gz IQVLBVIKEPWTKC-UHFFFAOYSA-N 0 0 297.261 2.850 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000619425779 353021192 /nfs/dbraw/zinc/02/11/92/353021192.db2.gz SYHOIDNQGIGTAB-SECBINFHSA-N 0 0 266.297 2.522 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCC(F)F ZINC000619427689 353021657 /nfs/dbraw/zinc/02/16/57/353021657.db2.gz XPZKGPVCOKPVCQ-UHFFFAOYSA-N 0 0 272.251 2.599 20 5 CFBDRN C[C@@H](NC(=O)CCC(F)F)c1cccc([N+](=O)[O-])c1 ZINC000619429920 353022459 /nfs/dbraw/zinc/02/24/59/353022459.db2.gz VWVVTOUYFDQMRN-MRVPVSSYSA-N 0 0 272.251 2.817 20 5 CFBDRN COc1ccc(NC(=O)CCC(F)F)c([N+](=O)[O-])c1 ZINC000619444239 353024876 /nfs/dbraw/zinc/02/48/76/353024876.db2.gz BRGJSCTYZOBVSQ-UHFFFAOYSA-N 0 0 274.223 2.587 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N(CC1CC1)C1CCC1 ZINC000619458752 353026534 /nfs/dbraw/zinc/02/65/34/353026534.db2.gz LJLVKZFOBDMNIZ-UHFFFAOYSA-N 0 0 274.320 3.000 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC(F)(F)CC1 ZINC000619457182 353026607 /nfs/dbraw/zinc/02/66/07/353026607.db2.gz GHDRRMQTTIZLDL-UHFFFAOYSA-N 0 0 276.264 2.528 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](C)O[C@@H](C3CC3)C2)c1 ZINC000619500175 353029589 /nfs/dbraw/zinc/02/95/89/353029589.db2.gz PXJSMIVZECFMSS-IAQYHMDHSA-N 0 0 276.336 2.907 20 5 CFBDRN C[C@@H]1CN(c2sccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000619499890 353029737 /nfs/dbraw/zinc/02/97/37/353029737.db2.gz DSYWQWYZTKGXIQ-KCJUWKMLSA-N 0 0 268.338 2.660 20 5 CFBDRN CC(C)(Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)C(F)F ZINC000619501422 353029783 /nfs/dbraw/zinc/02/97/83/353029783.db2.gz BTHUQCFPBOMXGZ-UHFFFAOYSA-N 0 0 299.277 2.935 20 5 CFBDRN CC(C)CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000619522362 353032229 /nfs/dbraw/zinc/03/22/29/353032229.db2.gz XBKSHFNXOQIFPR-LLVKDONJSA-N 0 0 281.356 2.878 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000619549337 353035358 /nfs/dbraw/zinc/03/53/58/353035358.db2.gz AFDZNZDHLTZIOD-BDAKNGLRSA-N 0 0 284.337 2.800 20 5 CFBDRN C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1ncccc1F ZINC000619574137 353037467 /nfs/dbraw/zinc/03/74/67/353037467.db2.gz GQATWGLHBTVHOX-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN CCC(C)(C)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000619591652 353038716 /nfs/dbraw/zinc/03/87/16/353038716.db2.gz YHEXFMIMNJHIRJ-UHFFFAOYSA-N 0 0 279.340 2.925 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1C ZINC000619607418 353039856 /nfs/dbraw/zinc/03/98/56/353039856.db2.gz IEMDCYNLPIYICU-WCBMZHEXSA-N 0 0 264.281 2.588 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(C3CCOCC3)C2)c1 ZINC000619699395 353052978 /nfs/dbraw/zinc/05/29/78/353052978.db2.gz OVLPYOYWGBISHC-UHFFFAOYSA-N 0 0 276.336 2.766 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3C[C@H]3C(F)(F)F)c21 ZINC000619698222 353053027 /nfs/dbraw/zinc/05/30/27/353053027.db2.gz MLIKTFMAOKPTRM-HTRCEHHLSA-N 0 0 298.224 2.901 20 5 CFBDRN CC[C@H](C)OCC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000152472084 188021298 /nfs/dbraw/zinc/02/12/98/188021298.db2.gz WSMRFWQEFMCRBT-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@H]2CCC[C@@H]2C1 ZINC000152757366 188042112 /nfs/dbraw/zinc/04/21/12/188042112.db2.gz HPXILDBMOHWZQJ-RKDXNWHRSA-N 0 0 266.322 2.528 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCCC1 ZINC000153114922 188064756 /nfs/dbraw/zinc/06/47/56/188064756.db2.gz QDUDCEUTUXXKEM-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN CSCCC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000153235174 188071973 /nfs/dbraw/zinc/07/19/73/188071973.db2.gz GUQDMHCDHWNHFQ-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN CCc1nc(CNc2cc([N+](=O)[O-])c(C)cc2C)no1 ZINC000153375833 188079415 /nfs/dbraw/zinc/07/94/15/188079415.db2.gz MZSGYTLBJXBNCO-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN Cc1cc(SC[C@H](C)CO)c(F)cc1[N+](=O)[O-] ZINC000292334066 198248580 /nfs/dbraw/zinc/24/85/80/198248580.db2.gz AYTMEACQHGHEFQ-SSDOTTSWSA-N 0 0 259.302 2.763 20 5 CFBDRN Cc1cc(N[C@@]2(C)CCOC2)c(F)cc1[N+](=O)[O-] ZINC000292334433 198249045 /nfs/dbraw/zinc/24/90/45/198249045.db2.gz BBASBKPPIVUWOG-LBPRGKRZSA-N 0 0 254.261 2.633 20 5 CFBDRN Cc1cc(NC(=O)N2CCO[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000153834836 188106536 /nfs/dbraw/zinc/10/65/36/188106536.db2.gz BOIUGBXHIKGQAG-MNOVXSKESA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1cc(NC[C@](C)(O)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000292361639 198259020 /nfs/dbraw/zinc/25/90/20/198259020.db2.gz CTKOVOYNLSXYTP-JTQLQIEISA-N 0 0 296.220 2.768 20 5 CFBDRN O=C(N[C@@H]1[C@H]2CCc3ccccc3[C@@H]12)c1ccc([N+](=O)[O-])o1 ZINC000153897828 188110514 /nfs/dbraw/zinc/11/05/14/188110514.db2.gz PSQGKBMWKQMDQT-NILFDRSVSA-N 0 0 298.298 2.646 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2C[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292350427 198255610 /nfs/dbraw/zinc/25/56/10/198255610.db2.gz BXIBBVHXKOMYHL-GHMZBOCLSA-N 0 0 282.315 2.782 20 5 CFBDRN C/C=C(\C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000154186435 188128318 /nfs/dbraw/zinc/12/83/18/188128318.db2.gz IJJONHVYOHLECJ-XBXARRHUSA-N 0 0 250.254 2.508 20 5 CFBDRN CC[C@@H](C)OCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000154670455 188156672 /nfs/dbraw/zinc/15/66/72/188156672.db2.gz UXOXTWAHWZOCII-SNVBAGLBSA-N 0 0 280.324 2.965 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000154778710 188161072 /nfs/dbraw/zinc/16/10/72/188161072.db2.gz YZTDTINXNJLDPY-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN O=C1CCCN1CCCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000292461728 198297879 /nfs/dbraw/zinc/29/78/79/198297879.db2.gz JYTBOJLORJVWBV-UHFFFAOYSA-N 0 0 297.742 2.673 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)CC1CC1 ZINC000156045259 188239512 /nfs/dbraw/zinc/23/95/12/188239512.db2.gz JABLICCKTAYMES-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN Cc1c(CN2CCO[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000156155858 188245742 /nfs/dbraw/zinc/24/57/42/188245742.db2.gz HWRDDZKKQBQMAO-RYUDHWBXSA-N 0 0 264.325 2.512 20 5 CFBDRN CC(C)(C)C(=O)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000292501776 198312323 /nfs/dbraw/zinc/31/23/23/198312323.db2.gz ZLEBKMQAFOWPNA-UHFFFAOYSA-N 0 0 284.699 2.802 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000156259066 188252628 /nfs/dbraw/zinc/25/26/28/188252628.db2.gz GWJWSMQEOPWQRK-RISCZKNCSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1cc(NC[C@H]2CCC[C@@H]2O)c(F)cc1[N+](=O)[O-] ZINC000292521467 198318086 /nfs/dbraw/zinc/31/80/86/198318086.db2.gz HCHPFOYQTYTZHA-RNCFNFMXSA-N 0 0 268.288 2.615 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc(C)cc2[N+](=O)[O-])[C@@H]1OC ZINC000157072399 188295932 /nfs/dbraw/zinc/29/59/32/188295932.db2.gz BQEREMBPWWFXSX-YUTCNCBUSA-N 0 0 280.324 2.508 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2C)[C@@H]1OC ZINC000157076048 188296063 /nfs/dbraw/zinc/29/60/63/188296063.db2.gz BSQJLNYZTFARLS-MJBXVCDLSA-N 0 0 280.324 2.508 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000157534068 188323208 /nfs/dbraw/zinc/32/32/08/188323208.db2.gz PFSQZEFNXLQCKA-LBPRGKRZSA-N 0 0 280.349 2.562 20 5 CFBDRN COC(=O)CC1(COc2cccc(Cl)c2[N+](=O)[O-])CC1 ZINC000292590854 198342834 /nfs/dbraw/zinc/34/28/34/198342834.db2.gz GTJJXTRITGJWHL-UHFFFAOYSA-N 0 0 299.710 2.970 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)c1C ZINC000292582001 198340132 /nfs/dbraw/zinc/34/01/32/198340132.db2.gz YSFJDWBLHMDIKG-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN Cc1ccc(NCCO[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000292625234 198355159 /nfs/dbraw/zinc/35/51/59/198355159.db2.gz NSSHIXZBUWNPDQ-AWEZNQCLSA-N 0 0 280.324 2.858 20 5 CFBDRN CC1(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCOCC1 ZINC000158032333 188353182 /nfs/dbraw/zinc/35/31/82/188353182.db2.gz IKFPLWFHLWVMKC-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1cc(NCC2(C)OCCCO2)c(F)cc1[N+](=O)[O-] ZINC000292623033 198354124 /nfs/dbraw/zinc/35/41/24/198354124.db2.gz NPIDUOKWCRDPAF-UHFFFAOYSA-N 0 0 284.287 2.607 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1F)C(C)(C)O ZINC000292664811 198367445 /nfs/dbraw/zinc/36/74/45/198367445.db2.gz CTBRBOWUKIHSLL-NSHDSACASA-N 0 0 256.277 2.695 20 5 CFBDRN CCCCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000158251142 188366513 /nfs/dbraw/zinc/36/65/13/188366513.db2.gz ZYGVUQDXVNHWBH-UHFFFAOYSA-N 0 0 254.261 2.654 20 5 CFBDRN CCc1nccn1CCCOc1ccc([N+](=O)[O-])cc1 ZINC000158720811 188390798 /nfs/dbraw/zinc/39/07/98/188390798.db2.gz DBNFELYELFMNHE-UHFFFAOYSA-N 0 0 275.308 2.823 20 5 CFBDRN Cc1cc(NCCC[C@@H](C)CO)c(F)cc1[N+](=O)[O-] ZINC000292710901 198384618 /nfs/dbraw/zinc/38/46/18/198384618.db2.gz SRPIEFAVGFQOBK-SECBINFHSA-N 0 0 270.304 2.863 20 5 CFBDRN C[C@@H](CC[S@@](C)=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000158673232 188387966 /nfs/dbraw/zinc/38/79/66/188387966.db2.gz KUWYWGLDGBSLNO-ASCAZGACSA-N 0 0 290.772 2.817 20 5 CFBDRN C[C@H](O)C(C)(C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000158792304 188394706 /nfs/dbraw/zinc/39/47/06/188394706.db2.gz KKIMMZIBIGIJHU-ZETCQYMHSA-N 0 0 258.705 2.820 20 5 CFBDRN CC[C@@H](O)CCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292729933 198392500 /nfs/dbraw/zinc/39/25/00/198392500.db2.gz UMTRFHZDIQKBBN-SECBINFHSA-N 0 0 256.277 2.615 20 5 CFBDRN Cc1cc(NCCCCCO)c(F)cc1[N+](=O)[O-] ZINC000292745381 198397530 /nfs/dbraw/zinc/39/75/30/198397530.db2.gz VJKSAWZROBIRCD-UHFFFAOYSA-N 0 0 256.277 2.617 20 5 CFBDRN O=C(COc1cccc(Cl)c1[N+](=O)[O-])NC1CCCC1 ZINC000292716995 198386884 /nfs/dbraw/zinc/38/68/84/198386884.db2.gz RWFGNTDVOHLFRB-UHFFFAOYSA-N 0 0 298.726 2.686 20 5 CFBDRN CO[C@H](CNc1ccc2cc([N+](=O)[O-])ccc2n1)C1CC1 ZINC000292720013 198387798 /nfs/dbraw/zinc/38/77/98/198387798.db2.gz HIZWNDRQKVTUKA-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)NC1CCCC1 ZINC000160211849 188460302 /nfs/dbraw/zinc/46/03/02/188460302.db2.gz UITNBUWHLZADOM-VIFPVBQESA-N 0 0 295.364 2.529 20 5 CFBDRN COC[C@@H]1CCN1c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000293000277 198491857 /nfs/dbraw/zinc/49/18/57/198491857.db2.gz JEKAKIINXFGHHK-VIFPVBQESA-N 0 0 290.241 2.839 20 5 CFBDRN C[C@H]1CSCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000172374403 291021953 /nfs/dbraw/zinc/02/19/53/291021953.db2.gz ZBKVLNWITGWWQY-QMMMGPOBSA-N 0 0 256.302 2.676 20 5 CFBDRN C[C@H](C(=O)NCCc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000293420296 198631812 /nfs/dbraw/zinc/63/18/12/198631812.db2.gz KXPDVRJSJBSDRF-SECBINFHSA-N 0 0 286.278 2.545 20 5 CFBDRN CCC[C@H](OC)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000295389938 199486410 /nfs/dbraw/zinc/48/64/10/199486410.db2.gz SZBHQQBCDKNEES-FZMZJTMJSA-N 0 0 294.351 2.896 20 5 CFBDRN CC(C)[C@H](O)C1(CNc2ccccc2[N+](=O)[O-])CC1 ZINC000271763776 191311478 /nfs/dbraw/zinc/31/14/78/191311478.db2.gz FEAZTRNLYLOIGI-ZDUSSCGKSA-N 0 0 264.325 2.804 20 5 CFBDRN CS[C@H](C)CC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271764004 191311824 /nfs/dbraw/zinc/31/18/24/191311824.db2.gz MWBTUSDKFZLATE-ZJUUUORDSA-N 0 0 282.365 2.914 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000271787340 191327203 /nfs/dbraw/zinc/32/72/03/191327203.db2.gz KVCAYMMHHWPZQC-NWDGAFQWSA-N 0 0 291.351 2.796 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCO[C@@H](C)C1 ZINC000271874324 191382170 /nfs/dbraw/zinc/38/21/70/191382170.db2.gz LAOJHZLVOKJQKZ-RYUDHWBXSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2([C@H](C)CCO)CCC2)n1 ZINC000271894332 191395346 /nfs/dbraw/zinc/39/53/46/191395346.db2.gz XWOOPWSUIFZOGE-LLVKDONJSA-N 0 0 293.367 2.899 20 5 CFBDRN CCC[C@@H](CCO)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000174178950 188886052 /nfs/dbraw/zinc/88/60/52/188886052.db2.gz TVDISRJXNWZXNK-NSHDSACASA-N 0 0 295.339 2.515 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272644473 191842067 /nfs/dbraw/zinc/84/20/67/191842067.db2.gz CSYUZDCRRBGLQD-NSHDSACASA-N 0 0 278.312 2.642 20 5 CFBDRN COc1ccc(NC(=O)CCC(C)C)cc1[N+](=O)[O-] ZINC000272801491 191925375 /nfs/dbraw/zinc/92/53/75/191925375.db2.gz LJRKOGHEJUNINV-UHFFFAOYSA-N 0 0 266.297 2.978 20 5 CFBDRN C[C@H]1C[C@@H](Nc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000156434474 291084728 /nfs/dbraw/zinc/08/47/28/291084728.db2.gz NDRWNYQWPHWHRG-IUCAKERBSA-N 0 0 254.261 2.713 20 5 CFBDRN CCCCCS(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000295788038 199663889 /nfs/dbraw/zinc/66/38/89/199663889.db2.gz DARLETFWOLVHNR-UHFFFAOYSA-N 0 0 271.338 2.700 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2)[C@]12CCCO2 ZINC000273537831 192193101 /nfs/dbraw/zinc/19/31/01/192193101.db2.gz WBXHBACZSCDMKH-RRFJBIMHSA-N 0 0 292.335 2.733 20 5 CFBDRN O=C(N[C@H]1C[C@H]1C1CCCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000366204789 295917759 /nfs/dbraw/zinc/91/77/59/295917759.db2.gz VQWSTAUZYHOCGD-JSGCOSHPSA-N 0 0 289.335 2.688 20 5 CFBDRN COc1ccc(C)cc1[C@@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000273600366 192225105 /nfs/dbraw/zinc/22/51/05/192225105.db2.gz JHJNOOIJDZHQSW-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(c3ccccc3)CC2)nc1 ZINC000273637778 192240548 /nfs/dbraw/zinc/24/05/48/192240548.db2.gz RIKRJDWNJHVJJJ-UHFFFAOYSA-N 0 0 270.292 2.529 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H]2C2CCCCC2)nc1 ZINC000273682655 192259974 /nfs/dbraw/zinc/25/99/74/192259974.db2.gz ZKBRJZYHTCWQOG-VXGBXAGGSA-N 0 0 262.313 2.766 20 5 CFBDRN CC(=O)[C@@H](C)S[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000175198645 188939378 /nfs/dbraw/zinc/93/93/78/188939378.db2.gz OZSSOCMWMMEHHP-ZJUUUORDSA-N 0 0 296.348 2.633 20 5 CFBDRN C[C@H]1CCCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000175260372 188942600 /nfs/dbraw/zinc/94/26/00/188942600.db2.gz CAKZNNYQRPJXPW-RYUDHWBXSA-N 0 0 291.351 2.925 20 5 CFBDRN CSC[C@@H](C)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273687388 192262210 /nfs/dbraw/zinc/26/22/10/192262210.db2.gz LSSADXAQLBZPIL-SSDOTTSWSA-N 0 0 294.336 2.694 20 5 CFBDRN CCOC(=O)N1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000274424165 192586848 /nfs/dbraw/zinc/58/68/48/192586848.db2.gz CQOQENPYKHJERN-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ccn1CCC(F)(F)F ZINC000567870885 290501480 /nfs/dbraw/zinc/50/14/80/290501480.db2.gz VPSZMATWMZDDQE-UHFFFAOYSA-N 0 0 286.209 2.862 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000274477370 192612575 /nfs/dbraw/zinc/61/25/75/192612575.db2.gz NODAGQYMBNSZNL-HPOULIHZSA-N 0 0 270.716 2.987 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000274576390 192660591 /nfs/dbraw/zinc/66/05/91/192660591.db2.gz APXFKOJMNULVDR-OLZOCXBDSA-N 0 0 276.336 2.546 20 5 CFBDRN CC(C)=CCC[C@@H](C)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000450678330 535359013 /nfs/dbraw/zinc/35/90/13/535359013.db2.gz STLLNCJJQRMIKC-LLVKDONJSA-N 0 0 289.339 2.606 20 5 CFBDRN CCc1ccc(C(=O)N(C)C(C)(C)COC)cc1[N+](=O)[O-] ZINC000294098721 198908328 /nfs/dbraw/zinc/90/83/28/198908328.db2.gz MMXFJKVXZUEORK-UHFFFAOYSA-N 0 0 294.351 2.654 20 5 CFBDRN CCC1(CNC(=O)c2ccccc2[N+](=O)[O-])CCC1 ZINC000175681926 188961989 /nfs/dbraw/zinc/96/19/89/188961989.db2.gz BLGCZJFOCZUSKX-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN C[C@@H]1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000294214814 198954525 /nfs/dbraw/zinc/95/45/25/198954525.db2.gz RAWBJJDUBCPBNT-GHMZBOCLSA-N 0 0 276.336 2.739 20 5 CFBDRN C[C@H](NC(=O)NCCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000175739389 188965034 /nfs/dbraw/zinc/96/50/34/188965034.db2.gz MSPFDCBIOYTMHE-JTQLQIEISA-N 0 0 277.324 2.755 20 5 CFBDRN C[C@@H]1CCC[C@]1(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000294289218 198985942 /nfs/dbraw/zinc/98/59/42/198985942.db2.gz XZNJPSJKDQCJRA-PELKAZGASA-N 0 0 285.731 2.606 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1ncc([N+](=O)[O-])s1)OC ZINC000294360410 199011984 /nfs/dbraw/zinc/01/19/84/199011984.db2.gz ZZDRXDPOQHUINA-SFYZADRCSA-N 0 0 259.331 2.524 20 5 CFBDRN C[C@H](NC(=O)CSC(F)F)c1cccc([N+](=O)[O-])c1 ZINC000294466310 199051014 /nfs/dbraw/zinc/05/10/14/199051014.db2.gz NBEBIZAJEQAGDA-ZETCQYMHSA-N 0 0 290.291 2.728 20 5 CFBDRN C[C@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000294489468 199059977 /nfs/dbraw/zinc/05/99/77/199059977.db2.gz JTJBTPMWPSQOQF-LLVKDONJSA-N 0 0 296.342 2.881 20 5 CFBDRN CC(C)(CCC(=O)N1CCc2cc(F)ccc21)[N+](=O)[O-] ZINC000296072072 199773469 /nfs/dbraw/zinc/77/34/69/199773469.db2.gz POBXPRJLVCSFSO-UHFFFAOYSA-N 0 0 280.299 2.550 20 5 CFBDRN O=C1CC[C@@H](COc2cc([N+](=O)[O-])ccc2Cl)CCN1 ZINC000296177936 199807868 /nfs/dbraw/zinc/80/78/68/199807868.db2.gz ZVLIJYURYDDDQH-SECBINFHSA-N 0 0 298.726 2.543 20 5 CFBDRN Cc1cc(N[C@H]2CC[C@@H](CO)C2)c(F)cc1[N+](=O)[O-] ZINC000296252063 199830850 /nfs/dbraw/zinc/83/08/50/199830850.db2.gz IXHXGHCCXQAYPI-ZJUUUORDSA-N 0 0 268.288 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](C)CCCO ZINC000294857311 199216516 /nfs/dbraw/zinc/21/65/16/199216516.db2.gz BSLFHGHAVZNXIS-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN C[C@@H](CCO)CCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294853895 199214634 /nfs/dbraw/zinc/21/46/34/199214634.db2.gz CLBDMQDIUAXRPB-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CS[C@@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000294895021 199235578 /nfs/dbraw/zinc/23/55/78/199235578.db2.gz DHPQGECAZFBGAD-SNVBAGLBSA-N 0 0 252.339 2.845 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000294894995 199235709 /nfs/dbraw/zinc/23/57/09/199235709.db2.gz GLOLVBVJGPMHBE-UHFFFAOYSA-N 0 0 296.298 2.615 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCCC2(F)F)ncc1[N+](=O)[O-] ZINC000294899302 199238550 /nfs/dbraw/zinc/23/85/50/199238550.db2.gz IBBIRFUAJDLWNV-VIFPVBQESA-N 0 0 286.282 2.931 20 5 CFBDRN CCN(CC(F)F)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000294912874 199244986 /nfs/dbraw/zinc/24/49/86/199244986.db2.gz HWVKRCLNTHJTFS-UHFFFAOYSA-N 0 0 270.239 2.563 20 5 CFBDRN Cc1cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1F ZINC000294919497 199248580 /nfs/dbraw/zinc/24/85/80/199248580.db2.gz IHAIUNPMNHEZBB-UHFFFAOYSA-N 0 0 268.288 2.908 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCCC2(F)F)nc1 ZINC000294944608 199263745 /nfs/dbraw/zinc/26/37/45/199263745.db2.gz LUXKIJZERYQNDW-QMMMGPOBSA-N 0 0 272.255 2.622 20 5 CFBDRN COC1(CNc2nc(C)ccc2[N+](=O)[O-])CCCC1 ZINC000294947623 199265329 /nfs/dbraw/zinc/26/53/29/199265329.db2.gz MCGYZMSWFGKGKR-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN C[C@@H](CO)N(CC1CCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000439124460 295977540 /nfs/dbraw/zinc/97/75/40/295977540.db2.gz OUAWRNVGYOETAF-JTQLQIEISA-N 0 0 282.315 2.721 20 5 CFBDRN CC1(CNC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])CCC1 ZINC000176111532 188979694 /nfs/dbraw/zinc/97/96/94/188979694.db2.gz VFKYRRZIIVSGJA-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000296404261 199881566 /nfs/dbraw/zinc/88/15/66/199881566.db2.gz QLXBSQBXVWFXOC-YUMQZZPRSA-N 0 0 267.260 2.606 20 5 CFBDRN C[C@H]1N(c2ccccc2[N+](=O)[O-])CCOC1(C)C ZINC000408320609 291181052 /nfs/dbraw/zinc/18/10/52/291181052.db2.gz LPWJDHJWADMRRA-SNVBAGLBSA-N 0 0 250.298 2.599 20 5 CFBDRN C[C@@H](O)CCCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000296800141 200001119 /nfs/dbraw/zinc/00/11/19/200001119.db2.gz OYVUPKZLLQXZSL-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CCCC[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000296836361 200010966 /nfs/dbraw/zinc/01/09/66/200010966.db2.gz WYCDAAMCQFURTJ-SMDDNHRTSA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1ccc([C@H](C)N[C@@H]2CCn3ccnc32)cc1[N+](=O)[O-] ZINC000296840126 200012116 /nfs/dbraw/zinc/01/21/16/200012116.db2.gz MVLGBPSSGCGKDA-WCQYABFASA-N 0 0 286.335 2.895 20 5 CFBDRN CN(CCCCCO)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000296848807 200014901 /nfs/dbraw/zinc/01/49/01/200014901.db2.gz UEBHZJVJWYQLSU-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN CC[C@@H]1CCN1C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296811272 200004777 /nfs/dbraw/zinc/00/47/77/200004777.db2.gz LZWGFPFJYCXEKL-CMPLNLGQSA-N 0 0 277.324 2.850 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NCC(F)(F)F)cc1[N+](=O)[O-] ZINC000296944942 200042390 /nfs/dbraw/zinc/04/23/90/200042390.db2.gz TUCPFELYUUOVQR-UHFFFAOYSA-N 0 0 296.632 2.849 20 5 CFBDRN Cc1nc(N2CC[C@H](Cc3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000296929051 200037392 /nfs/dbraw/zinc/03/73/92/200037392.db2.gz BDFJWGKNEGEBOE-CQSZACIVSA-N 0 0 298.346 2.762 20 5 CFBDRN Cc1cc(F)ccc1OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000297043244 200070405 /nfs/dbraw/zinc/07/04/05/200070405.db2.gz HYWGZLCEPCNUHH-UHFFFAOYSA-N 0 0 269.272 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@@H]2CCC[C@H]2O)c1 ZINC000296998260 200057916 /nfs/dbraw/zinc/05/79/16/200057916.db2.gz FTLUJCYWNJNNIV-SMDDNHRTSA-N 0 0 287.319 2.716 20 5 CFBDRN CCCN(C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000297015402 200062007 /nfs/dbraw/zinc/06/20/07/200062007.db2.gz KHLGHWXQNORXHP-JTQLQIEISA-N 0 0 265.313 2.707 20 5 CFBDRN Cc1ccc(NCCCNc2nncs2)c([N+](=O)[O-])c1 ZINC000297080847 200080272 /nfs/dbraw/zinc/08/02/72/200080272.db2.gz JUYXKMYPGZGAOP-UHFFFAOYSA-N 0 0 293.352 2.669 20 5 CFBDRN C[C@@H](Nc1nccc2cc([N+](=O)[O-])ccc21)[C@H](C)CO ZINC000297174401 200104662 /nfs/dbraw/zinc/10/46/62/200104662.db2.gz PSGSTWNBLBHGAE-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297147087 200097525 /nfs/dbraw/zinc/09/75/25/200097525.db2.gz NZIQCXIXFGASCB-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])o1)C1CCC1 ZINC000297218008 200117576 /nfs/dbraw/zinc/11/75/76/200117576.db2.gz ZMQYKVPQQANCGS-UHFFFAOYSA-N 0 0 266.297 2.744 20 5 CFBDRN C[C@H](NC(=O)NCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000297359709 200162564 /nfs/dbraw/zinc/16/25/64/200162564.db2.gz DUHQCIODPQSNRC-ZETCQYMHSA-N 0 0 291.229 2.517 20 5 CFBDRN CC(C)[C@H](O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297291704 200137309 /nfs/dbraw/zinc/13/73/09/200137309.db2.gz XZOZDTNZRMDVHJ-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Br)C1CC1 ZINC000675057243 540643834 /nfs/dbraw/zinc/64/38/34/540643834.db2.gz ZBMHFKPANJUVNF-UHFFFAOYSA-N 0 0 285.141 2.952 20 5 CFBDRN Cc1cc(OC(=O)CCC(C)(C)[N+](=O)[O-])ccc1F ZINC000297388345 200172578 /nfs/dbraw/zinc/17/25/78/200172578.db2.gz MRMDHOGIARCCGD-UHFFFAOYSA-N 0 0 269.272 2.875 20 5 CFBDRN CN(C[C@H]1CCOC1)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000297425320 200185392 /nfs/dbraw/zinc/18/53/92/200185392.db2.gz HOAVWSWJZYWVIB-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CCC1(NC(=O)Nc2ccncc2[N+](=O)[O-])CCCC1 ZINC000297700747 200264758 /nfs/dbraw/zinc/26/47/58/200264758.db2.gz IGMKZZJMCYBGML-UHFFFAOYSA-N 0 0 278.312 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC(C)(C)C(F)(F)F ZINC000297770084 200277716 /nfs/dbraw/zinc/27/77/16/200277716.db2.gz JLVFXJXNABIUBO-UHFFFAOYSA-N 0 0 290.241 2.974 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000297835493 200289793 /nfs/dbraw/zinc/28/97/93/200289793.db2.gz NRUJDYCCUFCOBN-LBPRGKRZSA-N 0 0 266.297 2.657 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)C(C)(F)F ZINC000297836780 200290201 /nfs/dbraw/zinc/29/02/01/200290201.db2.gz NKMSVOWMYJTHEI-ZETCQYMHSA-N 0 0 288.250 2.833 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000297935187 200306042 /nfs/dbraw/zinc/30/60/42/200306042.db2.gz TTYSHFWYPVRKDO-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NN1CCCCC1 ZINC000297948716 200307860 /nfs/dbraw/zinc/30/78/60/200307860.db2.gz WVKQTQQZWNRUTI-UHFFFAOYSA-N 0 0 292.339 2.734 20 5 CFBDRN CCN(CCc1cccs1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000298317960 200393198 /nfs/dbraw/zinc/39/31/98/200393198.db2.gz DXZDXFZFUVXRSA-UHFFFAOYSA-N 0 0 294.332 2.954 20 5 CFBDRN CCCn1c(C)cc(C(=O)Cn2cc([N+](=O)[O-])cn2)c1C ZINC000298329813 200397234 /nfs/dbraw/zinc/39/72/34/200397234.db2.gz YEODCJVWUWHANP-UHFFFAOYSA-N 0 0 290.323 2.503 20 5 CFBDRN C[C@@H]1CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000176983417 189018855 /nfs/dbraw/zinc/01/88/55/189018855.db2.gz LJDJYGARYZHSAT-GHMZBOCLSA-N 0 0 294.376 2.944 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000177127201 189030845 /nfs/dbraw/zinc/03/08/45/189030845.db2.gz KWBSXHCNZSCTGO-VHSXEESVSA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1N[C@H]1CCN(C(C)C)C1=O ZINC000177092563 189027889 /nfs/dbraw/zinc/02/78/89/189027889.db2.gz ZGTCRFGGKDKZHV-LBPRGKRZSA-N 0 0 291.351 2.633 20 5 CFBDRN O=C([C@@H]1CCCCS1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000272991904 296013296 /nfs/dbraw/zinc/01/32/96/296013296.db2.gz SJLAZIWKMKADET-ZDUSSCGKSA-N 0 0 292.360 2.770 20 5 CFBDRN CCCC[C@H](CCC)NC(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000177293616 189041875 /nfs/dbraw/zinc/04/18/75/189041875.db2.gz WFOZUNCBLFGDKA-NSHDSACASA-N 0 0 296.371 2.725 20 5 CFBDRN CCCC[C@@H](CCC)CNC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000177299246 189042554 /nfs/dbraw/zinc/04/25/54/189042554.db2.gz JOTOSSQYOXFKTR-GFCCVEGCSA-N 0 0 296.371 2.514 20 5 CFBDRN CCCC1(CNC(=O)c2[nH]nc(CC)c2[N+](=O)[O-])CCC1 ZINC000177451982 189052459 /nfs/dbraw/zinc/05/24/59/189052459.db2.gz FCOHQYBZBZUCQC-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCSCC2)c1 ZINC000298566844 200472270 /nfs/dbraw/zinc/47/22/70/200472270.db2.gz HGRDAVHCTJZQRI-UHFFFAOYSA-N 0 0 298.389 2.506 20 5 CFBDRN Cc1nn(Cc2ccc(F)cc2F)c(C)c1[N+](=O)[O-] ZINC000177536721 189055299 /nfs/dbraw/zinc/05/52/99/189055299.db2.gz KGUODCVIIPTPOG-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCC[C@H](CO)C1 ZINC000298671227 200499666 /nfs/dbraw/zinc/49/96/66/200499666.db2.gz UBHKSHPDGBXLCL-ONGXEEELSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1nc(NCc2ccc([C@H](C)O)cc2)ccc1[N+](=O)[O-] ZINC000178025258 189086096 /nfs/dbraw/zinc/08/60/96/189086096.db2.gz HWSSEINLDWFOQM-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN Cc1nc(NCc2ccc([C@@H](C)O)cc2)ccc1[N+](=O)[O-] ZINC000178025250 189086406 /nfs/dbraw/zinc/08/64/06/189086406.db2.gz HWSSEINLDWFOQM-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN CCS[C@@H]1CCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000178099265 189090740 /nfs/dbraw/zinc/09/07/40/189090740.db2.gz DDUZTCZZQPDQRB-CHWSQXEVSA-N 0 0 294.376 2.999 20 5 CFBDRN O=C(N[C@H](c1ccccc1)C1CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000298866819 200551235 /nfs/dbraw/zinc/55/12/35/200551235.db2.gz VQCWLTFUDIFQAN-CQSZACIVSA-N 0 0 285.303 2.804 20 5 CFBDRN CCC1(CNC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000178183936 189096057 /nfs/dbraw/zinc/09/60/57/189096057.db2.gz IVNBAPPVLNQCDJ-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298874776 200553248 /nfs/dbraw/zinc/55/32/48/200553248.db2.gz ZQOQZRHYSWFGLQ-VIFPVBQESA-N 0 0 253.302 2.622 20 5 CFBDRN C[C@@H](CCc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298879027 200554243 /nfs/dbraw/zinc/55/42/43/200554243.db2.gz ZRPHVCUDERKODS-NSHDSACASA-N 0 0 287.319 2.674 20 5 CFBDRN CC(C)N(C(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000178364818 189110381 /nfs/dbraw/zinc/11/03/81/189110381.db2.gz FTIPDXDXJLDWJT-UHFFFAOYSA-N 0 0 263.297 3.000 20 5 CFBDRN Cc1ccccc1SCCn1ccc([N+](=O)[O-])n1 ZINC000178506118 189122347 /nfs/dbraw/zinc/12/23/47/189122347.db2.gz OQVPDFYVKHOKOX-UHFFFAOYSA-N 0 0 263.322 2.892 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)C1CC1 ZINC000178389182 189112833 /nfs/dbraw/zinc/11/28/33/189112833.db2.gz ZGLYWNOHNISJOK-MRVPVSSYSA-N 0 0 265.265 2.555 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)C1CC1 ZINC000178391530 189112851 /nfs/dbraw/zinc/11/28/51/189112851.db2.gz XXORNWJDIZRFEG-MRVPVSSYSA-N 0 0 264.281 2.588 20 5 CFBDRN C[C@@H](C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F)C1CC1 ZINC000178409911 189113937 /nfs/dbraw/zinc/11/39/37/189113937.db2.gz DIGJFOVURLQYJS-ZCFIWIBFSA-N 0 0 270.235 2.858 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000178592958 189129842 /nfs/dbraw/zinc/12/98/42/189129842.db2.gz WGSKAHGFNZBBEC-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN CC(C)c1nn(C)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000299136886 200621219 /nfs/dbraw/zinc/62/12/19/200621219.db2.gz GBWRAESLMRDKMH-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN O=C(NCC1(C2CC2)CCC1)c1csc([N+](=O)[O-])c1 ZINC000178668479 189136079 /nfs/dbraw/zinc/13/60/79/189136079.db2.gz MKKXIMLXNNPOOY-UHFFFAOYSA-N 0 0 280.349 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@@H]2CCOC2)cc1 ZINC000179149887 189173389 /nfs/dbraw/zinc/17/33/89/189173389.db2.gz BPJAEJZRCHZPKQ-LLVKDONJSA-N 0 0 253.323 2.865 20 5 CFBDRN CCC[C@H](NC(=O)c1[nH]ncc1[N+](=O)[O-])c1ccccc1 ZINC000179244348 189179725 /nfs/dbraw/zinc/17/97/25/189179725.db2.gz IGTZSUHODGBABX-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](C)C1 ZINC000299595854 200795511 /nfs/dbraw/zinc/79/55/11/200795511.db2.gz FNTWYCVBFYQHOI-GHMZBOCLSA-N 0 0 294.376 2.944 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000036980716 291250115 /nfs/dbraw/zinc/25/01/15/291250115.db2.gz JCUODTXRQJCMDY-DGCLKSJQSA-N 0 0 294.351 2.833 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2nn(C)cc2Cl)c1 ZINC000571283446 383680954 /nfs/dbraw/zinc/68/09/54/383680954.db2.gz KXJQURRSNOBTHM-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN C[C@@H]1CCOCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000301985147 540971598 /nfs/dbraw/zinc/97/15/98/540971598.db2.gz JLJGPPMXPZTPEW-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN CCc1ncc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cn1 ZINC000155580490 540977846 /nfs/dbraw/zinc/97/78/46/540977846.db2.gz SCWTUQUORXKYAH-VMPITWQZSA-N 0 0 298.302 2.599 20 5 CFBDRN COc1cc(N[C@@H](C)c2cnn(C)c2C)ccc1[N+](=O)[O-] ZINC000180397516 189253270 /nfs/dbraw/zinc/25/32/70/189253270.db2.gz FHVHPKCOTGFFPK-VIFPVBQESA-N 0 0 290.323 2.818 20 5 CFBDRN CC(C)c1nc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000180421480 189254185 /nfs/dbraw/zinc/25/41/85/189254185.db2.gz QAJCGBPGTWYACK-SNVBAGLBSA-N 0 0 290.323 2.952 20 5 CFBDRN O=[N+]([O-])c1cccc(NCC2CCC3(CC2)OCCO3)c1 ZINC000180440862 189255123 /nfs/dbraw/zinc/25/51/23/189255123.db2.gz IQHGHOTVWMXBLV-UHFFFAOYSA-N 0 0 292.335 2.940 20 5 CFBDRN C[C@@H]1C[C@H]1CSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000600649867 540987822 /nfs/dbraw/zinc/98/78/22/540987822.db2.gz ZZQVZEHNOIFGKV-KCJUWKMLSA-N 0 0 280.349 2.583 20 5 CFBDRN CCCc1noc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000180392365 189252502 /nfs/dbraw/zinc/25/25/02/189252502.db2.gz SLVFDRLIKXEZMH-SNVBAGLBSA-N 0 0 290.323 2.781 20 5 CFBDRN CC[C@@H](CSC)NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000180565509 189262334 /nfs/dbraw/zinc/26/23/34/189262334.db2.gz AHVZGQFVLYZWNN-BCPZQOPPSA-N 0 0 294.376 2.866 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H](O)c1ccc(F)cc1 ZINC000301098235 200915037 /nfs/dbraw/zinc/91/50/37/200915037.db2.gz RTTKDTFZJABVEX-AWEZNQCLSA-N 0 0 276.267 2.879 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H](O)Cc1ccccc1 ZINC000301178501 200933197 /nfs/dbraw/zinc/93/31/97/200933197.db2.gz GZEDVHQBLSBBFL-ZDUSSCGKSA-N 0 0 272.304 2.610 20 5 CFBDRN CC(C)[C@](C)(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301272072 200958585 /nfs/dbraw/zinc/95/85/85/200958585.db2.gz RDVBUXFTTCWFPJ-GFCCVEGCSA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](Nc2ccccc2)C1 ZINC000301277144 200960360 /nfs/dbraw/zinc/96/03/60/200960360.db2.gz ZEOSCCQOQPTHEO-CQSZACIVSA-N 0 0 298.346 2.989 20 5 CFBDRN Nc1ccc(C(=O)N[C@H]2CC23CCCCC3)cc1[N+](=O)[O-] ZINC000181298194 189293425 /nfs/dbraw/zinc/29/34/25/189293425.db2.gz BTVCWZGKONOUHN-ZDUSSCGKSA-N 0 0 289.335 2.630 20 5 CFBDRN C[C@H]1SCCN(c2ncc([N+](=O)[O-])cc2Cl)[C@@H]1C ZINC000265537548 291262515 /nfs/dbraw/zinc/26/25/15/291262515.db2.gz VYEFGQCIKTVUBW-HTQZYQBOSA-N 0 0 287.772 2.973 20 5 CFBDRN CCCn1cc(Nc2cc(C)c([N+](=O)[O-])cn2)cn1 ZINC000301419317 201002536 /nfs/dbraw/zinc/00/25/36/201002536.db2.gz DGLVCGJXOYQMRB-UHFFFAOYSA-N 0 0 261.285 2.648 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@](C)(O)C(C)C)c1 ZINC000301429154 201005140 /nfs/dbraw/zinc/00/51/40/201005140.db2.gz FMCDCVOXCZSZRG-CYBMUJFWSA-N 0 0 286.303 2.561 20 5 CFBDRN CC(C)(C)N1C[C@@H](Nc2ccc(F)cc2[N+](=O)[O-])CC1=O ZINC000301472198 201020856 /nfs/dbraw/zinc/02/08/56/201020856.db2.gz KPVMMJLSMFKNHI-JTQLQIEISA-N 0 0 295.314 2.545 20 5 CFBDRN Cc1ccc(N(C)C[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000301526084 201048405 /nfs/dbraw/zinc/04/84/05/201048405.db2.gz NJXMXRZGIWSQPD-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000301506930 201037422 /nfs/dbraw/zinc/03/74/22/201037422.db2.gz DATBACSBJJQNQX-MCIONIFRSA-N 0 0 276.336 2.845 20 5 CFBDRN Cc1nc(C2CCN(c3ccc([N+](=O)[O-])cc3)CC2)no1 ZINC000301531062 201052233 /nfs/dbraw/zinc/05/22/33/201052233.db2.gz HRQAPYPSVZNHJQ-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN Cc1c(CS(=O)(=O)C2CCCC2)cccc1[N+](=O)[O-] ZINC000424211671 238711279 /nfs/dbraw/zinc/71/12/79/238711279.db2.gz XXQBEEGLEXVCEY-UHFFFAOYSA-N 0 0 283.349 2.761 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)N(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000301636573 201108395 /nfs/dbraw/zinc/10/83/95/201108395.db2.gz ABJBEKQLAGWLNI-SECBINFHSA-N 0 0 284.287 2.510 20 5 CFBDRN O=C(NC1CC1)c1ccc(NC2CC=CC2)c([N+](=O)[O-])c1 ZINC000301613585 201097832 /nfs/dbraw/zinc/09/78/32/201097832.db2.gz AFLQRYYRMVIFAS-UHFFFAOYSA-N 0 0 287.319 2.618 20 5 CFBDRN COc1cc(NCC[C@@H](O)C(C)C)c([N+](=O)[O-])cc1F ZINC000301625334 201100933 /nfs/dbraw/zinc/10/09/33/201100933.db2.gz GHXFUYJCARXTGA-GFCCVEGCSA-N 0 0 286.303 2.561 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000183251519 189351938 /nfs/dbraw/zinc/35/19/38/189351938.db2.gz UVRSPPIUGFZLQO-AWEZNQCLSA-N 0 0 264.325 2.514 20 5 CFBDRN Cc1cc(-c2noc([C@]3(C)C[C@@H]3F)n2)cc([N+](=O)[O-])c1 ZINC000424363607 238764706 /nfs/dbraw/zinc/76/47/06/238764706.db2.gz OXOJPKSQNKTTFR-GXFFZTMASA-N 0 0 277.255 2.953 20 5 CFBDRN CN(C)c1ccc(COC(=O)C2CC=CC2)cc1[N+](=O)[O-] ZINC000183460182 189359537 /nfs/dbraw/zinc/35/95/37/189359537.db2.gz JLTHECSTGPYZNR-UHFFFAOYSA-N 0 0 290.319 2.670 20 5 CFBDRN Cc1nc(N[C@H](C)C[C@@H](O)c2ccco2)ccc1[N+](=O)[O-] ZINC000301688613 201141172 /nfs/dbraw/zinc/14/11/72/201141172.db2.gz XTTOFONPTKWGKQ-BXKDBHETSA-N 0 0 291.307 2.815 20 5 CFBDRN Cc1nc(Oc2ccc(-n3cncn3)cc2)ccc1[N+](=O)[O-] ZINC000301692778 201142667 /nfs/dbraw/zinc/14/26/67/201142667.db2.gz ZGPBVWNBSSAGQC-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN CCn1cc(CNCc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000183789224 189368069 /nfs/dbraw/zinc/36/80/69/189368069.db2.gz AJYUYYLPMAWIIM-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183609365 189364025 /nfs/dbraw/zinc/36/40/25/189364025.db2.gz PGKYQCWKWJCHOZ-SNVBAGLBSA-N 0 0 280.328 2.890 20 5 CFBDRN CC[C@H](CSC)N(C)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183649917 189364896 /nfs/dbraw/zinc/36/48/96/189364896.db2.gz MPHRVLRJNMWGMS-SECBINFHSA-N 0 0 298.368 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc(Cl)nc2)nc1 ZINC000301757540 201180590 /nfs/dbraw/zinc/18/05/90/201180590.db2.gz LIDXYLUZVWLKKQ-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN Cc1ccc(Oc2ccc(C(N)=O)cc2)c([N+](=O)[O-])c1 ZINC000301766826 201184280 /nfs/dbraw/zinc/18/42/80/201184280.db2.gz HOMHHEPJVRJFOF-UHFFFAOYSA-N 0 0 272.260 2.794 20 5 CFBDRN C[C@H](CNc1ncc(Cl)cc1[N+](=O)[O-])Cn1cccn1 ZINC000301739632 201167819 /nfs/dbraw/zinc/16/78/19/201167819.db2.gz JWKMKWVFUKRIJC-SECBINFHSA-N 0 0 295.730 2.588 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000424908052 238901944 /nfs/dbraw/zinc/90/19/44/238901944.db2.gz NZWRERBVEORMGC-FZMZJTMJSA-N 0 0 292.310 2.900 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1Oc1ncc(F)cn1 ZINC000301755860 201177810 /nfs/dbraw/zinc/17/78/10/201177810.db2.gz DEDMCUHUCOFTQO-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN Cc1nn(C)c(N2CC[C@@H](C)C[C@@H](C)C2)c1[N+](=O)[O-] ZINC000301788299 201197558 /nfs/dbraw/zinc/19/75/58/201197558.db2.gz XPDGGJZHFPNVOW-NXEZZACHSA-N 0 0 266.345 2.509 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)CCC2CC2)c1 ZINC000301811267 201211748 /nfs/dbraw/zinc/21/17/48/201211748.db2.gz ZYVCWPPTWXAWOK-UHFFFAOYSA-N 0 0 268.288 2.979 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000184413016 189385503 /nfs/dbraw/zinc/38/55/03/189385503.db2.gz FKCGSACWAMZDMX-VIFPVBQESA-N 0 0 268.338 2.919 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000184607685 189390723 /nfs/dbraw/zinc/39/07/23/189390723.db2.gz JXZFIMNQIGZCML-NSHDSACASA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000184607665 189391255 /nfs/dbraw/zinc/39/12/55/189391255.db2.gz JXZFIMNQIGZCML-LLVKDONJSA-N 0 0 293.323 2.594 20 5 CFBDRN CC(C)(C)Oc1cc(NCC(C)(C)O)ccc1[N+](=O)[O-] ZINC000301884788 201248395 /nfs/dbraw/zinc/24/83/95/201248395.db2.gz OELLFNMDILUVCD-UHFFFAOYSA-N 0 0 282.340 2.955 20 5 CFBDRN CC[C@H](Nc1ccccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000301844345 201230057 /nfs/dbraw/zinc/23/00/57/201230057.db2.gz LLNLSXVXNADVJZ-GXFFZTMASA-N 0 0 250.298 2.964 20 5 CFBDRN C[C@H](CN(C)C(=O)c1ccc([N+](=O)[O-])o1)c1nccs1 ZINC000184901894 189398719 /nfs/dbraw/zinc/39/87/19/189398719.db2.gz ACZUEZYGAUNNSP-MRVPVSSYSA-N 0 0 295.320 2.520 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)C[C@H](O)c1ccco1 ZINC000301860555 201236003 /nfs/dbraw/zinc/23/60/03/201236003.db2.gz CCUVHZWDGXRHPI-JQWIXIFHSA-N 0 0 291.307 2.815 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000301859597 201236690 /nfs/dbraw/zinc/23/66/90/201236690.db2.gz SSDKZOPXXIJSTD-MFKMUULPSA-N 0 0 295.295 2.873 20 5 CFBDRN CC(C)(C)Oc1cc(N2CC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000301914659 201260483 /nfs/dbraw/zinc/26/04/83/201260483.db2.gz UZNKEBZKMYFTQK-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC(n2ccnc2)CC1 ZINC000301939836 201269936 /nfs/dbraw/zinc/26/99/36/201269936.db2.gz UBQYHKLVFUGSCH-UHFFFAOYSA-N 0 0 278.337 2.694 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CC1CCOCC1 ZINC000301948935 201271971 /nfs/dbraw/zinc/27/19/71/201271971.db2.gz IAPGNKYFBZSXNT-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1ccc(N(C)CC2CCOCC2)c([N+](=O)[O-])c1 ZINC000301946776 201272216 /nfs/dbraw/zinc/27/22/16/201272216.db2.gz HGGFHKIZDPPALY-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1nnc2n1CCCCC2 ZINC000301980473 201284618 /nfs/dbraw/zinc/28/46/18/201284618.db2.gz GMJZCUVAGCSLDH-UHFFFAOYSA-N 0 0 293.352 2.586 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@@H](C)CO)c2cccc([N+](=O)[O-])c2n1 ZINC000301979183 201285863 /nfs/dbraw/zinc/28/58/63/201285863.db2.gz AEWOHIBAEBIVHT-ONGXEEELSA-N 0 0 289.335 2.880 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@@H](C)c2cnn(C)c2)c1 ZINC000301984346 201286585 /nfs/dbraw/zinc/28/65/85/201286585.db2.gz AZLFIODKKAUHSV-NSHDSACASA-N 0 0 274.324 2.834 20 5 CFBDRN Cc1cc(N(C)CC[C@@H](C)O)c2cccc([N+](=O)[O-])c2n1 ZINC000301984826 201287626 /nfs/dbraw/zinc/28/76/26/201287626.db2.gz CHTWFKLUSONSTH-LLVKDONJSA-N 0 0 289.335 2.659 20 5 CFBDRN CCSCC[C@@H](C)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301988881 201288472 /nfs/dbraw/zinc/28/84/72/201288472.db2.gz LSHUSDGGFKRQKH-SECBINFHSA-N 0 0 295.368 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@@H](CO)CC2)cc1 ZINC000301989413 201288621 /nfs/dbraw/zinc/28/86/21/201288621.db2.gz LZRLUQCKOGLNDH-PHIMTYICSA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@H](C)O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000302029807 201307931 /nfs/dbraw/zinc/30/79/31/201307931.db2.gz MNCHLORVJAIURB-UWVGGRQHSA-N 0 0 284.743 2.764 20 5 CFBDRN CCOc1cc(N[C@H](CO)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000302030828 201307989 /nfs/dbraw/zinc/30/79/89/201307989.db2.gz BWSBPDAREBLUDQ-CYBMUJFWSA-N 0 0 282.340 2.812 20 5 CFBDRN CCc1nn(C)c(N2CC[C@]3(CC[C@@H](C)C3)C2)c1[N+](=O)[O-] ZINC000302039191 201315043 /nfs/dbraw/zinc/31/50/43/201315043.db2.gz HBWCJLABTZRPLC-ABAIWWIYSA-N 0 0 292.383 2.907 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)[C@H](C)C2 ZINC000302045328 201316670 /nfs/dbraw/zinc/31/66/70/201316670.db2.gz UHHDOPDBQLIYLA-RNCFNFMXSA-N 0 0 299.334 2.621 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000185851488 189423953 /nfs/dbraw/zinc/42/39/53/189423953.db2.gz UKZDBJGGIKJLBK-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN O=[N+]([O-])c1cnc(NC/C=C/c2ccccc2)nc1 ZINC000302142509 201364273 /nfs/dbraw/zinc/36/42/73/201364273.db2.gz FSPYOQKPUVBODO-QPJJXVBHSA-N 0 0 256.265 2.510 20 5 CFBDRN CCCOc1cccc(Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000302146006 201364594 /nfs/dbraw/zinc/36/45/94/201364594.db2.gz HYCRZMQJCBYVPW-UHFFFAOYSA-N 0 0 274.280 2.917 20 5 CFBDRN Cc1cc(NCc2ccc3[nH]c(=O)[nH]c3c2)ccc1[N+](=O)[O-] ZINC000302145140 201364857 /nfs/dbraw/zinc/36/48/57/201364857.db2.gz KKZIUTPDONOGEG-UHFFFAOYSA-N 0 0 298.302 2.685 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(c3ccccn3)CC2)nc1 ZINC000302148017 201366997 /nfs/dbraw/zinc/36/69/97/201366997.db2.gz LEWNSZAXNSRSBK-UHFFFAOYSA-N 0 0 270.292 2.529 20 5 CFBDRN CN(CCC1CCCCC1)c1ncc([N+](=O)[O-])cn1 ZINC000302150815 201367968 /nfs/dbraw/zinc/36/79/68/201367968.db2.gz KFVINYCTOUJODG-UHFFFAOYSA-N 0 0 264.329 2.791 20 5 CFBDRN Cc1cc(N[C@@H](C)C[S@@](C)=O)c(Cl)cc1[N+](=O)[O-] ZINC000302157909 201373046 /nfs/dbraw/zinc/37/30/46/201373046.db2.gz NFPMQNRFZSRKLR-DCXZOGHSSA-N 0 0 290.772 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCOC2)c(OC(F)F)c1 ZINC000302167866 201375843 /nfs/dbraw/zinc/37/58/43/201375843.db2.gz SGRUCERJGLSKHL-MRVPVSSYSA-N 0 0 288.250 2.787 20 5 CFBDRN Cc1ccc(NCc2nnc(C(C)C)n2C)c([N+](=O)[O-])c1 ZINC000302180305 201384790 /nfs/dbraw/zinc/38/47/90/201384790.db2.gz RQWCVJXTAZQFHH-UHFFFAOYSA-N 0 0 289.339 2.767 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1nc2ccccc2[nH]1 ZINC000302180619 201384852 /nfs/dbraw/zinc/38/48/52/201384852.db2.gz ZDWMXERJKNXGEV-SNVBAGLBSA-N 0 0 298.306 2.824 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2C[C@H]2c2ccccc2)nc1 ZINC000302181861 201385822 /nfs/dbraw/zinc/38/58/22/201385822.db2.gz ZZKZBRWUSQELEM-YPMHNXCESA-N 0 0 270.292 2.600 20 5 CFBDRN Nc1nc(NCCC2=CCCCCC2)ncc1[N+](=O)[O-] ZINC000302184016 201386571 /nfs/dbraw/zinc/38/65/71/201386571.db2.gz GLESAXWKOQLQPS-UHFFFAOYSA-N 0 0 277.328 2.660 20 5 CFBDRN Cc1ccc(C[NH2+][C@H]2CCC[C@@]2(C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000426752530 239258474 /nfs/dbraw/zinc/25/84/74/239258474.db2.gz AQGXOKKOUDEEQB-DZGCQCFKSA-N 0 0 292.335 2.636 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000187461137 189467519 /nfs/dbraw/zinc/46/75/19/189467519.db2.gz QGWLYXPZNLRYQM-CMPLNLGQSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCO)c2ccco2)c(F)c1 ZINC000302218257 201403532 /nfs/dbraw/zinc/40/35/32/201403532.db2.gz KPFGANRSDHKVFU-LBPRGKRZSA-N 0 0 280.255 2.863 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCC[C@@H]1CCCO1 ZINC000188975138 189519235 /nfs/dbraw/zinc/51/92/35/189519235.db2.gz ILYFXBCNJLKNBC-VIFPVBQESA-N 0 0 254.311 2.651 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H](CCO)c1ccco1 ZINC000302223147 201408395 /nfs/dbraw/zinc/40/83/95/201408395.db2.gz PHYYYJWPSPBCSJ-LLVKDONJSA-N 0 0 280.255 2.863 20 5 CFBDRN COc1ccc(OC(=O)C2CCCC2)cc1[N+](=O)[O-] ZINC000191012805 189580570 /nfs/dbraw/zinc/58/05/70/189580570.db2.gz DRTWCXFSZIXCCJ-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000191357299 189593287 /nfs/dbraw/zinc/59/32/87/189593287.db2.gz WZINFCNVALTFAQ-VIFPVBQESA-N 0 0 298.364 2.649 20 5 CFBDRN Cc1nn(CCCCC2CCOCC2)cc1[N+](=O)[O-] ZINC000330372466 202748117 /nfs/dbraw/zinc/74/81/17/202748117.db2.gz AKOLBHYUAHGCPW-UHFFFAOYSA-N 0 0 267.329 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCc2ccccc2F)cc1CO ZINC000431860758 239940344 /nfs/dbraw/zinc/94/03/44/239940344.db2.gz JOBBBXNVLFKDRF-UHFFFAOYSA-N 0 0 291.278 2.848 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@@H]1C[C@H]1C1CC1 ZINC000432724954 240032379 /nfs/dbraw/zinc/03/23/79/240032379.db2.gz JQGLNGZLXDPBPK-NWDGAFQWSA-N 0 0 279.271 2.654 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000432446917 240009681 /nfs/dbraw/zinc/00/96/81/240009681.db2.gz NTXREKWIMGNFKR-CMPLNLGQSA-N 0 0 291.307 2.523 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000432454259 240012755 /nfs/dbraw/zinc/01/27/55/240012755.db2.gz FEPGEUFQEDRLDH-SECBINFHSA-N 0 0 279.296 2.620 20 5 CFBDRN COc1ncccc1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000433664763 240164981 /nfs/dbraw/zinc/16/49/81/240164981.db2.gz QAJBJVOEVTZESF-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](CC(F)(F)F)C2)cn1 ZINC000433792979 240177105 /nfs/dbraw/zinc/17/71/05/240177105.db2.gz QDJDWLGFXMGZSS-MRVPVSSYSA-N 0 0 275.230 2.769 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2cc(C)cc(C)c2)c1[N+](=O)[O-] ZINC000433809458 240180642 /nfs/dbraw/zinc/18/06/42/240180642.db2.gz VCLGBOZJSINHEG-UHFFFAOYSA-N 0 0 288.351 2.890 20 5 CFBDRN Cc1sc(C(=O)NCC(C)(C)C)cc1[N+](=O)[O-] ZINC000194274812 189657154 /nfs/dbraw/zinc/65/71/54/189657154.db2.gz BLPBKMCWTJZJOB-UHFFFAOYSA-N 0 0 256.327 2.741 20 5 CFBDRN CN(Cc1ccoc1)Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000434841759 240275903 /nfs/dbraw/zinc/27/59/03/240275903.db2.gz ZMXKGTARGIMGRL-UHFFFAOYSA-N 0 0 287.275 2.961 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1ccc(F)cn1 ZINC000434944106 240279431 /nfs/dbraw/zinc/27/94/31/240279431.db2.gz TWZHDKSEBMRXMQ-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN CN(Cc1ccoc1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000434952279 240279767 /nfs/dbraw/zinc/27/97/67/240279767.db2.gz WHFAFRCXSHEXNU-UHFFFAOYSA-N 0 0 267.672 2.873 20 5 CFBDRN CCC(C)(C)CNC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000435974184 240375640 /nfs/dbraw/zinc/37/56/40/240375640.db2.gz HBZOYNUZHWGKAR-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN O=[N+]([O-])c1cn(CC[C@@H]2CCCCO2)nc1C1CC1 ZINC000436188147 240405142 /nfs/dbraw/zinc/40/51/42/240405142.db2.gz ULHZUJAEWLCVDA-NSHDSACASA-N 0 0 265.313 2.628 20 5 CFBDRN Cc1cccc(NCCCO[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000275397524 193021531 /nfs/dbraw/zinc/02/15/31/193021531.db2.gz DLSMRRHZGMPYMQ-LBPRGKRZSA-N 0 0 280.324 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCc1nccs1)CCN2 ZINC000275402949 193023431 /nfs/dbraw/zinc/02/34/31/193023431.db2.gz RNMPXSRODPBWBZ-UHFFFAOYSA-N 0 0 290.348 2.674 20 5 CFBDRN O=C(NC1CCC1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000437972880 240616760 /nfs/dbraw/zinc/61/67/60/240616760.db2.gz SPHCXZNZMXSWMO-UHFFFAOYSA-N 0 0 289.335 2.821 20 5 CFBDRN Cc1cn(Cc2nc(-c3cccc(C)c3)no2)nc1[N+](=O)[O-] ZINC000439192191 240747691 /nfs/dbraw/zinc/74/76/91/240747691.db2.gz OTYGPPSJYGWJSG-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CC[C@H](O)CC1 ZINC000275787326 193129206 /nfs/dbraw/zinc/12/92/06/193129206.db2.gz ANPJFLJGDNADAR-XYPYZODXSA-N 0 0 284.743 2.988 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)c1ncn(C)n1 ZINC000275804067 193132378 /nfs/dbraw/zinc/13/23/78/193132378.db2.gz KLMNBIJPUAPSNI-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN CCCN(CC)C(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000275807604 193134513 /nfs/dbraw/zinc/13/45/13/193134513.db2.gz VDHOYERBIZKIEW-UHFFFAOYSA-N 0 0 281.312 2.867 20 5 CFBDRN COc1cccc(CCNc2ccc([N+](=O)[O-])cc2F)n1 ZINC000441820846 240951702 /nfs/dbraw/zinc/95/17/02/240951702.db2.gz ZUSNHGRVXAFULZ-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC2(O)CC2)c(C(F)(F)F)c1 ZINC000442916910 241020473 /nfs/dbraw/zinc/02/04/73/241020473.db2.gz FDHIYBQSENGDLH-UHFFFAOYSA-N 0 0 291.225 2.907 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1OCCC1(O)CC1 ZINC000442913082 241021546 /nfs/dbraw/zinc/02/15/46/241021546.db2.gz GQGBHPHLCQVFGI-UHFFFAOYSA-N 0 0 291.225 2.907 20 5 CFBDRN COC(=O)Cc1ccc(N2CC[C@@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000297383842 283886147 /nfs/dbraw/zinc/88/61/47/283886147.db2.gz DPGUWMPAMDCMET-MNOVXSKESA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1cncc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)c1 ZINC000443826333 241088692 /nfs/dbraw/zinc/08/86/92/241088692.db2.gz AMCDIZUVHLMTJZ-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN C[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000443981336 241099238 /nfs/dbraw/zinc/09/92/38/241099238.db2.gz ASTCXPDJQNYWSP-YUMQZZPRSA-N 0 0 253.277 2.917 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc3ccoc3c2)nc1 ZINC000443483646 241064402 /nfs/dbraw/zinc/06/44/02/241064402.db2.gz BXPFEIKLSKBKSC-UHFFFAOYSA-N 0 0 256.221 2.875 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cnn(C(F)F)c1 ZINC000446031243 241262546 /nfs/dbraw/zinc/26/25/46/241262546.db2.gz ZMIDGLFTCXZUQT-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])[C@H](C)[C@H](C)O1 ZINC000446061115 241265824 /nfs/dbraw/zinc/26/58/24/241265824.db2.gz KNNSEKBKBVQKKV-MXWKQRLJSA-N 0 0 282.315 2.732 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2cocn2)no1 ZINC000445555860 241224194 /nfs/dbraw/zinc/22/41/94/241224194.db2.gz DIXNINXHDXATEC-WAYWQWQTSA-N 0 0 284.231 2.803 20 5 CFBDRN Cc1nn(C)c(C)c1OCCc1ccc([N+](=O)[O-])cc1 ZINC000275880628 193153954 /nfs/dbraw/zinc/15/39/54/193153954.db2.gz LTVADAYGPQJDDC-UHFFFAOYSA-N 0 0 275.308 2.567 20 5 CFBDRN COc1cc(CSc2ncco2)ccc1[N+](=O)[O-] ZINC000446129760 241280975 /nfs/dbraw/zinc/28/09/75/241280975.db2.gz ZRHNLMRRGVENAC-UHFFFAOYSA-N 0 0 266.278 2.884 20 5 CFBDRN CC(=O)C[C@@H](C)NC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000446230172 241287409 /nfs/dbraw/zinc/28/74/09/241287409.db2.gz XZAKHCOCFWSBOK-MRVPVSSYSA-N 0 0 298.726 2.654 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000446327909 241294817 /nfs/dbraw/zinc/29/48/17/241294817.db2.gz AQJFQTNQUXONCP-GFCCVEGCSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@H]1C[C@H](CSc2ncccc2[N+](=O)[O-])CCO1 ZINC000447303110 241364119 /nfs/dbraw/zinc/36/41/19/241364119.db2.gz HBNIYBPFHNABHL-VHSXEESVSA-N 0 0 268.338 2.897 20 5 CFBDRN COc1cccc(N(C)Cc2ccc(O)cc2)c1[N+](=O)[O-] ZINC000302617829 201492142 /nfs/dbraw/zinc/49/21/42/201492142.db2.gz GHMZORNPPKRRQV-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN Cc1cc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])ccn1 ZINC000447509595 241378509 /nfs/dbraw/zinc/37/85/09/241378509.db2.gz GAUMHMVJNJPWOT-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CCC(CC)[C@H](CCNc1cc(C)c([N+](=O)[O-])cn1)OC ZINC000446877394 241334572 /nfs/dbraw/zinc/33/45/72/241334572.db2.gz LTNDUJRSTNQRLU-AWEZNQCLSA-N 0 0 295.383 2.973 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@H]3OCCC[C@H]23)c([N+](=O)[O-])c1 ZINC000302544388 201476581 /nfs/dbraw/zinc/47/65/81/201476581.db2.gz IKGHMMCKPCEHEQ-UXIGCNINSA-N 0 0 290.319 2.777 20 5 CFBDRN CO[C@@H](C)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000224352869 189741673 /nfs/dbraw/zinc/74/16/73/189741673.db2.gz AHAATGGXAZUBIV-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)NCCc1ccccc1[N+](=O)[O-] ZINC000449968422 242227402 /nfs/dbraw/zinc/22/74/02/242227402.db2.gz YCXOSFUXAKQFBM-RDBSUJKOSA-N 0 0 288.347 2.690 20 5 CFBDRN O=C1CCCc2nc(NCc3ccc([N+](=O)[O-])cc3)ccc21 ZINC000450265692 242332381 /nfs/dbraw/zinc/33/23/81/242332381.db2.gz LCXSMCQPGJTFIJ-UHFFFAOYSA-N 0 0 297.314 2.543 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCC1(O)CCCC1 ZINC000450268914 242332872 /nfs/dbraw/zinc/33/28/72/242332872.db2.gz JEURESBJFPTQDN-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN Cc1nc(NCC[C@@H](C)c2ccccc2)ncc1[N+](=O)[O-] ZINC000450297768 242346886 /nfs/dbraw/zinc/34/68/86/242346886.db2.gz JRURDBSZOIBMIV-LLVKDONJSA-N 0 0 286.335 2.721 20 5 CFBDRN C[C@@H](CC1CC1)Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000450328343 242358494 /nfs/dbraw/zinc/35/84/94/242358494.db2.gz HCARETDSTMBHDW-VIFPVBQESA-N 0 0 298.364 2.599 20 5 CFBDRN C[C@@]1(CNc2nccc3c2cccc3[N+](=O)[O-])CCOC1 ZINC000450328943 242358676 /nfs/dbraw/zinc/35/86/76/242358676.db2.gz JAKOKDRQBKUYBT-HNNXBMFYSA-N 0 0 287.319 2.982 20 5 CFBDRN CCc1ccc([C@H](CO)Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000450402528 242399815 /nfs/dbraw/zinc/39/98/15/242399815.db2.gz IZXNWXLRLFXNLC-AWEZNQCLSA-N 0 0 287.319 2.698 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCC1CCOCC1 ZINC000450552419 242476380 /nfs/dbraw/zinc/47/63/80/242476380.db2.gz HKWCPFMYVFKZLX-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN CC[C@H](CO)N(C)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000450580705 242488262 /nfs/dbraw/zinc/48/82/62/242488262.db2.gz OOGWYGAXEMRHCW-LLVKDONJSA-N 0 0 296.367 2.979 20 5 CFBDRN Cc1cc(NC[C@@H]2CCO[C@@H](C(C)C)C2)ncc1[N+](=O)[O-] ZINC000450741953 242575128 /nfs/dbraw/zinc/57/51/28/242575128.db2.gz ZCGQRPQCGWHFBH-TZMCWYRMSA-N 0 0 293.367 2.583 20 5 CFBDRN CCC1(CNc2ccnc3c2cccc3[N+](=O)[O-])COC1 ZINC000450850265 242638150 /nfs/dbraw/zinc/63/81/50/242638150.db2.gz YHPIOPQHYREJNE-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CC23CCOCC3)n1 ZINC000450789488 242607186 /nfs/dbraw/zinc/60/71/86/242607186.db2.gz GAEYSJSBPJDYFL-NSHDSACASA-N 0 0 277.324 2.588 20 5 CFBDRN CC(=O)CCCCCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000450927619 242674603 /nfs/dbraw/zinc/67/46/03/242674603.db2.gz PRDORQHTZSZOCS-UHFFFAOYSA-N 0 0 281.308 2.615 20 5 CFBDRN O=C1CC[C@H](CSc2cccc([N+](=O)[O-])c2)CCN1 ZINC000450949334 242685201 /nfs/dbraw/zinc/68/52/01/242685201.db2.gz JNGDXOHSOOOSCD-JTQLQIEISA-N 0 0 280.349 2.603 20 5 CFBDRN C[C@@H]1CCC(=O)[C@@H](Oc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000450889607 242658814 /nfs/dbraw/zinc/65/88/14/242658814.db2.gz RLIJFBXMYRMXDK-OQPBUACISA-N 0 0 267.256 2.870 20 5 CFBDRN COC(=O)c1cnc(Sc2cccs2)c([N+](=O)[O-])c1 ZINC000302786440 201554156 /nfs/dbraw/zinc/55/41/56/201554156.db2.gz WFMHRYOJJKQHSK-UHFFFAOYSA-N 0 0 296.329 2.989 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000458251188 284032462 /nfs/dbraw/zinc/03/24/62/284032462.db2.gz FBYRWYYPVNGQEH-YDEJPDAXSA-N 0 0 278.283 2.652 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](C2CC2)C1)c1ccc([N+](=O)[O-])[nH]1 ZINC000425148800 284034797 /nfs/dbraw/zinc/03/47/97/284034797.db2.gz PCLKYCCKTAGMIH-WDEREUQCSA-N 0 0 277.324 2.622 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452186379 243078150 /nfs/dbraw/zinc/07/81/50/243078150.db2.gz XTZUTNUFLHVSNP-SRVKXCTJSA-N 0 0 294.351 2.691 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000452405259 243152498 /nfs/dbraw/zinc/15/24/98/243152498.db2.gz DPKLYYPBNUOFEY-TVQRCGJNSA-N 0 0 298.314 2.525 20 5 CFBDRN C[C@]1(O)CCCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000163560413 291425865 /nfs/dbraw/zinc/42/58/65/291425865.db2.gz XTQJAJNEGYFURV-LBPRGKRZSA-N 0 0 270.716 2.599 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000452498290 243197489 /nfs/dbraw/zinc/19/74/89/243197489.db2.gz KZVYEBGLDWBHFW-ZDUSSCGKSA-N 0 0 274.320 2.782 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1C(C)C ZINC000330455780 202845396 /nfs/dbraw/zinc/84/53/96/202845396.db2.gz NFSWRYVSMRQWNC-ZDUSSCGKSA-N 0 0 277.324 2.559 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000452527599 243216532 /nfs/dbraw/zinc/21/65/32/243216532.db2.gz MGCUWSFNUJCFSX-QWRGUYRKSA-N 0 0 291.351 2.733 20 5 CFBDRN CCC1(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000452455925 243177380 /nfs/dbraw/zinc/17/73/80/243177380.db2.gz AYUPZYVTVOJNIX-SECBINFHSA-N 0 0 280.299 2.896 20 5 CFBDRN CC/C=C\CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000452611851 243246057 /nfs/dbraw/zinc/24/60/57/243246057.db2.gz JDUQDZOFZIGXNY-ARJAWSKDSA-N 0 0 289.335 2.865 20 5 CFBDRN CC1(C)CCC(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000330479411 202874488 /nfs/dbraw/zinc/87/44/88/202874488.db2.gz OANGEVXPRUUPBX-UHFFFAOYSA-N 0 0 279.340 2.869 20 5 CFBDRN Cc1ccccc1CCN(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000452753978 243287616 /nfs/dbraw/zinc/28/76/16/243287616.db2.gz KNWOATQIVAQEGB-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000452768643 243292829 /nfs/dbraw/zinc/29/28/29/243292829.db2.gz YTJYXIQIVWHJJS-PWSUYJOCSA-N 0 0 262.309 2.679 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000452780904 243295981 /nfs/dbraw/zinc/29/59/81/243295981.db2.gz VVIAMLYGEMMMTA-YPMHNXCESA-N 0 0 276.336 2.608 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC[C@@H]1C1CC1 ZINC000452992353 243358186 /nfs/dbraw/zinc/35/81/86/243358186.db2.gz NWFWDRMVKQBRLR-CYBMUJFWSA-N 0 0 297.314 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C(C)(F)F)c1 ZINC000452858830 243322060 /nfs/dbraw/zinc/32/20/60/243322060.db2.gz HZQWXHDLUMVVKF-QMMMGPOBSA-N 0 0 272.251 2.677 20 5 CFBDRN CSCC(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000452887366 243329895 /nfs/dbraw/zinc/32/98/95/243329895.db2.gz RXMOECQZEFMFDK-GFCCVEGCSA-N 0 0 294.376 2.664 20 5 CFBDRN CCO[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C[C@H]1C ZINC000452935349 243341389 /nfs/dbraw/zinc/34/13/89/243341389.db2.gz UGDXNBXEVQFQSH-MWLCHTKSSA-N 0 0 298.364 2.543 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCn1cc(Cl)cn1 ZINC000453064851 243384618 /nfs/dbraw/zinc/38/46/18/243384618.db2.gz UPMRMFPYRJDBMI-UHFFFAOYSA-N 0 0 296.714 2.565 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)Nc1cccc([N+](=O)[O-])c1 ZINC000454133065 243636651 /nfs/dbraw/zinc/63/66/51/243636651.db2.gz HBBIYSXTVOQQKQ-GWCFXTLKSA-N 0 0 275.308 2.762 20 5 CFBDRN C[C@@H]1CC=C(C(=O)NCCc2ccccc2[N+](=O)[O-])CC1 ZINC000455776110 244016241 /nfs/dbraw/zinc/01/62/41/244016241.db2.gz BVQXPKJJFIKWNY-GFCCVEGCSA-N 0 0 288.347 3.000 20 5 CFBDRN Cc1ccncc1CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000456151633 244088171 /nfs/dbraw/zinc/08/81/71/244088171.db2.gz GRUFPRWOHHIUID-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN COc1c(C(=O)NC(C)(C)C2CCC2)cccc1[N+](=O)[O-] ZINC000456160983 244092949 /nfs/dbraw/zinc/09/29/49/244092949.db2.gz HDZREVNCGKOQDT-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN COCCCC(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456197280 244100363 /nfs/dbraw/zinc/10/03/63/244100363.db2.gz XVWBCDUGXTUFCR-UHFFFAOYSA-N 0 0 295.339 2.780 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000456291574 244128402 /nfs/dbraw/zinc/12/84/02/244128402.db2.gz DWBPAEXOBKYWMM-JSGCOSHPSA-N 0 0 276.336 2.546 20 5 CFBDRN COCC1(CNC(=O)c2csc([N+](=O)[O-])c2)CCCC1 ZINC000456864138 244309253 /nfs/dbraw/zinc/30/92/53/244309253.db2.gz ZWSHCDUCSLLSQH-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000456879023 244316010 /nfs/dbraw/zinc/31/60/10/244316010.db2.gz LAUWWTDQOCTLSF-UWVGGRQHSA-N 0 0 280.349 2.741 20 5 CFBDRN Cc1nn(CC[C@H]2CCCO2)c2ccc([N+](=O)[O-])cc12 ZINC000276098907 193214135 /nfs/dbraw/zinc/21/41/35/193214135.db2.gz RYDKTJUMUOLMIA-GFCCVEGCSA-N 0 0 275.308 2.822 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000425133601 284146282 /nfs/dbraw/zinc/14/62/82/284146282.db2.gz DRRBMNIEWIHYRG-DOFRTFSJSA-N 0 0 286.287 2.869 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000457329545 244484928 /nfs/dbraw/zinc/48/49/28/244484928.db2.gz KDZXJYMOSLKLIF-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@@]2(C)CCOC2)cc1[N+](=O)[O-] ZINC000330858103 203320931 /nfs/dbraw/zinc/32/09/31/203320931.db2.gz OIBWLHPSOWBBMC-ABAIWWIYSA-N 0 0 292.335 2.507 20 5 CFBDRN CCC(C)(C)CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000330865542 203329783 /nfs/dbraw/zinc/32/97/83/203329783.db2.gz ZBEVCDURARLHOD-UHFFFAOYSA-N 0 0 265.313 2.779 20 5 CFBDRN CC[C@]1(C(C)C)C[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000457314395 244478074 /nfs/dbraw/zinc/47/80/74/244478074.db2.gz YUJOQRPNKYXHJD-IUODEOHRSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000330869749 203334739 /nfs/dbraw/zinc/33/47/39/203334739.db2.gz PYGZTUYFTWLFES-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1nn(CCOCC(F)F)c2ccc([N+](=O)[O-])cc12 ZINC000276120380 193218948 /nfs/dbraw/zinc/21/89/48/193218948.db2.gz XRWPTZYHEYXILJ-UHFFFAOYSA-N 0 0 285.250 2.535 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC1CCCCC1 ZINC000330894015 203362223 /nfs/dbraw/zinc/36/22/23/203362223.db2.gz ZFZWFVMMPJTTLD-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN COc1cc(NOCC(C)C)c([N+](=O)[O-])cc1OC ZINC000276222504 193247590 /nfs/dbraw/zinc/24/75/90/193247590.db2.gz MEGZYXFCIKKOBE-UHFFFAOYSA-N 0 0 270.285 2.612 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1C=C[C@H](CO)C1 ZINC000276252746 193257685 /nfs/dbraw/zinc/25/76/85/193257685.db2.gz REVJOAHXXWRAHS-WCBMZHEXSA-N 0 0 268.700 2.597 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CSC2(C)C)ccc1[N+](=O)[O-] ZINC000276260718 193260696 /nfs/dbraw/zinc/26/06/96/193260696.db2.gz NFERGRHLKVUSIT-LLVKDONJSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CSC2(C)C)cc1[N+](=O)[O-] ZINC000276292714 193273715 /nfs/dbraw/zinc/27/37/15/193273715.db2.gz RNISPBHPUGJZDI-LLVKDONJSA-N 0 0 280.349 2.527 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000457697038 244641918 /nfs/dbraw/zinc/64/19/18/244641918.db2.gz LQKBDIDISFAZOB-VIFPVBQESA-N 0 0 278.308 2.978 20 5 CFBDRN C[C@H](C(=O)NCCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000457697371 244642761 /nfs/dbraw/zinc/64/27/61/244642761.db2.gz YAHIJJWBXQBODQ-NSHDSACASA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC[C@H]1C[C@@H]1C ZINC000276446875 193335560 /nfs/dbraw/zinc/33/55/60/193335560.db2.gz SPLZDRBOBPXRSF-GZMMTYOYSA-N 0 0 277.324 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCC(=O)NC(C)C ZINC000276522933 193367381 /nfs/dbraw/zinc/36/73/81/193367381.db2.gz VDKCHRHXBFPLIZ-UHFFFAOYSA-N 0 0 299.758 2.883 20 5 CFBDRN C[C@@H](CCO)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276533547 193369815 /nfs/dbraw/zinc/36/98/15/193369815.db2.gz CGLLNPUXFUFEHR-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN COC(=O)c1cnc(SC2CCCC2)c([N+](=O)[O-])c1 ZINC000276536148 193370907 /nfs/dbraw/zinc/37/09/07/193370907.db2.gz IIVCZTKGOZTDHV-UHFFFAOYSA-N 0 0 282.321 2.811 20 5 CFBDRN CC(C)=CCCNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000276613270 193401668 /nfs/dbraw/zinc/40/16/68/193401668.db2.gz GEKUUOPIDKDTDN-UHFFFAOYSA-N 0 0 284.262 2.959 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1C(C)C ZINC000458126556 244773149 /nfs/dbraw/zinc/77/31/49/244773149.db2.gz FOIAKKWTIPSKKE-WCQYABFASA-N 0 0 262.309 2.678 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])C(=O)NC(C)(C)C ZINC000134824075 296151595 /nfs/dbraw/zinc/15/15/95/296151595.db2.gz GTZOIMVGTYOPLJ-WDEREUQCSA-N 0 0 293.367 2.549 20 5 CFBDRN CC[C@H](NC(=O)N1CC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000458498758 244897098 /nfs/dbraw/zinc/89/70/98/244897098.db2.gz JMNIBOINLIHHSP-YPMHNXCESA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1nc(C(C)C)oc1Cn1cc([N+](=O)[O-])cc(C)c1=O ZINC000331234182 203643787 /nfs/dbraw/zinc/64/37/87/203643787.db2.gz KHSOGSZCIMCBCP-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2CCCC[C@@H]2O)nc1 ZINC000331316561 203712140 /nfs/dbraw/zinc/71/21/40/203712140.db2.gz DCLDEAHSRVBVRR-ONGXEEELSA-N 0 0 268.338 2.633 20 5 CFBDRN O=C(COCC1CCCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000459880931 245341088 /nfs/dbraw/zinc/34/10/88/245341088.db2.gz ACPJSUKYKOAGSX-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)Nc1ccc([N+](=O)[O-])cc1 ZINC000459894214 245341890 /nfs/dbraw/zinc/34/18/90/245341890.db2.gz VPKDYYDVAIAOFP-OUJBWJOFSA-N 0 0 260.293 2.970 20 5 CFBDRN CCC(C)(C)OCC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000459897733 245342727 /nfs/dbraw/zinc/34/27/27/245342727.db2.gz JTBRPPHMVZERJH-UHFFFAOYSA-N 0 0 280.324 2.763 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000459898663 245343037 /nfs/dbraw/zinc/34/30/37/245343037.db2.gz ZPKJQYJQVHBAHI-ZWNOBZJWSA-N 0 0 278.308 2.739 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000459902480 245343148 /nfs/dbraw/zinc/34/31/48/245343148.db2.gz OZGKCAVDKDYHMC-SUNKGSAMSA-N 0 0 286.331 2.920 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1C[C@H]1C(F)(F)F ZINC000460057699 245347958 /nfs/dbraw/zinc/34/79/58/245347958.db2.gz JEBIRWPAHQTLSA-RNFRBKRXSA-N 0 0 292.188 2.871 20 5 CFBDRN Cc1nn(C[C@@H]2CCc3ccccc3C2)cc1[N+](=O)[O-] ZINC000331963991 204341554 /nfs/dbraw/zinc/34/15/54/204341554.db2.gz KSNKUSIBKZIKIT-GFCCVEGCSA-N 0 0 271.320 2.905 20 5 CFBDRN CCN(C)C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000336563872 284287845 /nfs/dbraw/zinc/28/78/45/284287845.db2.gz SFDRRCLDBCSANL-GFCCVEGCSA-N 0 0 295.364 2.714 20 5 CFBDRN Cc1c(NC(=O)CN2CCC(C)CC2)cccc1[N+](=O)[O-] ZINC000010495545 371909900 /nfs/dbraw/zinc/90/99/00/371909900.db2.gz LISYVVCJRRVWDC-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)N1CCCC1 ZINC000016445176 372107214 /nfs/dbraw/zinc/10/72/14/372107214.db2.gz XJCUPNWMUMRHEU-VIFPVBQESA-N 0 0 297.742 2.671 20 5 CFBDRN Cc1nn(Cc2cnn(-c3ccccc3)c2)c(C)c1[N+](=O)[O-] ZINC000014271926 372067200 /nfs/dbraw/zinc/06/72/00/372067200.db2.gz BJKAACQDCNBYDI-UHFFFAOYSA-N 0 0 297.318 2.642 20 5 CFBDRN CCc1ccccc1CCn1cccc([N+](=O)[O-])c1=O ZINC000277557736 193691066 /nfs/dbraw/zinc/69/10/66/193691066.db2.gz KDGZCIVUBNYHFA-UHFFFAOYSA-N 0 0 272.304 2.562 20 5 CFBDRN CC(C)CNC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000017127634 372127579 /nfs/dbraw/zinc/12/75/79/372127579.db2.gz KXCFFUCJSURCQT-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCCS1 ZINC000272922915 284316675 /nfs/dbraw/zinc/31/66/75/284316675.db2.gz FOYSIRDTAXWSDJ-LLVKDONJSA-N 0 0 266.322 2.819 20 5 CFBDRN Cc1cc(C(=O)COc2cccc([N+](=O)[O-])c2)c(C)[nH]1 ZINC000023445505 372260998 /nfs/dbraw/zinc/26/09/98/372260998.db2.gz UXCCWGXYEUDVNW-UHFFFAOYSA-N 0 0 274.276 2.801 20 5 CFBDRN Cc1cccc(C(=O)NC(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000026129423 372314650 /nfs/dbraw/zinc/31/46/50/372314650.db2.gz OLHBDJXPJNYFNK-UHFFFAOYSA-N 0 0 274.320 2.822 20 5 CFBDRN CC(C)CCCNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000026719685 372327892 /nfs/dbraw/zinc/32/78/92/372327892.db2.gz HWMZJACXVWXNDZ-UHFFFAOYSA-N 0 0 290.323 2.679 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000027707712 372346944 /nfs/dbraw/zinc/34/69/44/372346944.db2.gz LZBMXSHVBBIVOH-UWVGGRQHSA-N 0 0 266.297 2.886 20 5 CFBDRN Cc1ccc(C(=O)N2CCn3cccc3[C@H]2C)cc1[N+](=O)[O-] ZINC000029378258 372379364 /nfs/dbraw/zinc/37/93/64/372379364.db2.gz TWMFIUHESXRWII-GFCCVEGCSA-N 0 0 299.330 2.922 20 5 CFBDRN O=C(Cn1cnc([N+](=O)[O-])c1)c1ccc2ccccc2c1 ZINC000029749541 372385997 /nfs/dbraw/zinc/38/59/97/372385997.db2.gz YEMJNEKNJFXEFW-UHFFFAOYSA-N 0 0 281.271 2.827 20 5 CFBDRN CC(C)(C)c1nc(Cn2cnc([N+](=O)[O-])c2)cs1 ZINC000032331573 372437449 /nfs/dbraw/zinc/43/74/49/372437449.db2.gz UAMYOIRKMDCROG-UHFFFAOYSA-N 0 0 266.326 2.594 20 5 CFBDRN CCCC[C@H](C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332671509 204880580 /nfs/dbraw/zinc/88/05/80/204880580.db2.gz IGVYKCIELYTJRX-NSHDSACASA-N 0 0 291.351 2.701 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NCc1ccco1 ZINC000033316359 372491047 /nfs/dbraw/zinc/49/10/47/372491047.db2.gz XQSJCQTWOGCPBW-FPLPWBNLSA-N 0 0 272.260 2.517 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)nc1 ZINC000033297216 372491059 /nfs/dbraw/zinc/49/10/59/372491059.db2.gz MYXQIUDXKNTTKU-VURMDHGXSA-N 0 0 283.287 2.950 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CSC1(C)C ZINC000571298653 383683267 /nfs/dbraw/zinc/68/32/67/383683267.db2.gz WLOUTRCPHNEFKP-SNVBAGLBSA-N 0 0 268.338 2.909 20 5 CFBDRN CC(C)(C)OC(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000034619738 372510396 /nfs/dbraw/zinc/51/03/96/372510396.db2.gz NDUZQXBYBMSROL-UHFFFAOYSA-N 0 0 294.307 2.593 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1ccccn1 ZINC000035125199 372544188 /nfs/dbraw/zinc/54/41/88/372544188.db2.gz QZYIRHVQSFLPLQ-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN CC(=O)[C@H](Sc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000571324993 383683952 /nfs/dbraw/zinc/68/39/52/383683952.db2.gz KHCUXVSVCNZANV-LLVKDONJSA-N 0 0 254.311 2.696 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1cccnc1 ZINC000176697159 284340047 /nfs/dbraw/zinc/34/00/47/284340047.db2.gz BBVNZEXPCWQRJG-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN Cc1n[nH]cc1CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000038011872 372766836 /nfs/dbraw/zinc/76/68/36/372766836.db2.gz ATWYLFYFNPQIRX-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)[C@@H]1C ZINC000041781162 372862558 /nfs/dbraw/zinc/86/25/58/372862558.db2.gz XHPKWBZKBGDALX-BBBLOLIVSA-N 0 0 266.297 2.742 20 5 CFBDRN Cc1ccccc1[C@@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000042316334 372906523 /nfs/dbraw/zinc/90/65/23/372906523.db2.gz HEAWCZINKLULAC-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN COCCCOc1ccc(Br)cc1[N+](=O)[O-] ZINC000042388843 372917645 /nfs/dbraw/zinc/91/76/45/372917645.db2.gz AKEFBBKWFKVGOX-UHFFFAOYSA-N 0 0 290.113 2.773 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H]1CCCCO1 ZINC000042822613 372960903 /nfs/dbraw/zinc/96/09/03/372960903.db2.gz TXLMAJLGPNOARV-VIFPVBQESA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1ccc(NC(=O)NCC(C)C)cc1[N+](=O)[O-] ZINC000043148664 372979042 /nfs/dbraw/zinc/97/90/42/372979042.db2.gz LVVDOSIICHFTBM-UHFFFAOYSA-N 0 0 251.286 2.681 20 5 CFBDRN CC(C)CCN(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000045472667 373019569 /nfs/dbraw/zinc/01/95/69/373019569.db2.gz OOEZNKOUSTZRKG-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC1CC1 ZINC000289832136 197499661 /nfs/dbraw/zinc/49/96/61/197499661.db2.gz PFCUEWUGRXUIJA-UHFFFAOYSA-N 0 0 263.297 2.689 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000045624378 373022822 /nfs/dbraw/zinc/02/28/22/373022822.db2.gz ZMRABULHPOEOSP-YPMHNXCESA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])n1C ZINC000046223525 373047266 /nfs/dbraw/zinc/04/72/66/373047266.db2.gz LNOPBCYXYZPSJF-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN O=C(NCc1ccoc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000047088734 373078689 /nfs/dbraw/zinc/07/86/89/373078689.db2.gz VIEKGVHQMUIAGZ-UHFFFAOYSA-N 0 0 261.237 2.510 20 5 CFBDRN Cc1ccc(CNc2nc3sccn3c2[N+](=O)[O-])cn1 ZINC000047538198 373100914 /nfs/dbraw/zinc/10/09/14/373100914.db2.gz JFWMEVOXUSLRKB-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN O=C(NC1CCCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048313549 373161726 /nfs/dbraw/zinc/16/17/26/373161726.db2.gz MIJOGCMZLSYFRJ-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@H]2C1 ZINC000183597243 284383607 /nfs/dbraw/zinc/38/36/07/284383607.db2.gz JFTKOZBLZRAYFZ-QWRGUYRKSA-N 0 0 290.323 2.644 20 5 CFBDRN Cc1ccc(OCc2nnc(C3CC3)o2)c([N+](=O)[O-])c1 ZINC000048988262 373245907 /nfs/dbraw/zinc/24/59/07/373245907.db2.gz JTZPAYFBYHGOST-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000049094484 373268451 /nfs/dbraw/zinc/26/84/51/373268451.db2.gz SPFOYFGFBAFZOU-IUCAKERBSA-N 0 0 290.323 2.678 20 5 CFBDRN Cc1cc(N[C@@H](C)Cc2ccncc2)ncc1[N+](=O)[O-] ZINC000487693335 245777009 /nfs/dbraw/zinc/77/70/09/245777009.db2.gz SYYSWIVEKQVRIS-NSHDSACASA-N 0 0 272.308 2.736 20 5 CFBDRN CCCCCNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037336 373342231 /nfs/dbraw/zinc/34/22/31/373342231.db2.gz JKEDJGRACDZIRJ-UHFFFAOYSA-N 0 0 272.251 2.793 20 5 CFBDRN CCOC(=O)C1CCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000050069834 373347517 /nfs/dbraw/zinc/34/75/17/373347517.db2.gz IHESTHWEODCLPM-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN COC(=O)CCCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000051608732 373403608 /nfs/dbraw/zinc/40/36/08/373403608.db2.gz RXFKJOVHNXTTBP-UHFFFAOYSA-N 0 0 273.672 2.580 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC1CCOCC1 ZINC000051924405 373420372 /nfs/dbraw/zinc/42/03/72/373420372.db2.gz TVDPRWFYWQITMD-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@@H]2O)c2ccncc21 ZINC000052006331 373429509 /nfs/dbraw/zinc/42/95/09/373429509.db2.gz GHBUMLWMYIBJAT-ZFWWWQNUSA-N 0 0 287.319 2.858 20 5 CFBDRN Cc1noc(C)c1Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487892955 245789903 /nfs/dbraw/zinc/78/99/03/245789903.db2.gz UVLWYYLDCQGKNX-UHFFFAOYSA-N 0 0 299.286 2.563 20 5 CFBDRN COC(=O)CCCCCNc1ccc([N+](=O)[O-])cc1 ZINC000051448993 373396966 /nfs/dbraw/zinc/39/69/66/373396966.db2.gz IBJUWVJTZNQUDH-UHFFFAOYSA-N 0 0 266.297 2.740 20 5 CFBDRN Cc1cccc(CNCc2csc([N+](=O)[O-])c2)n1 ZINC000051575538 373401329 /nfs/dbraw/zinc/40/13/29/373401329.db2.gz GYKBXLZYZFLAQY-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC1CCOCC1 ZINC000052177601 373439770 /nfs/dbraw/zinc/43/97/70/373439770.db2.gz FISPHTKOMTZOOK-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cccc(CNc2ncc([N+](=O)[O-])cc2C)n1 ZINC000052812891 373450360 /nfs/dbraw/zinc/45/03/60/373450360.db2.gz DYABDGBFZWMTLC-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC1(CO)CCCC1 ZINC000053071009 373463341 /nfs/dbraw/zinc/46/33/41/373463341.db2.gz QQYQOSSWNYTBDL-UHFFFAOYSA-N 0 0 270.716 2.965 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000053755708 373485907 /nfs/dbraw/zinc/48/59/07/373485907.db2.gz DBFKFZZTBQWERI-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000057075499 373580375 /nfs/dbraw/zinc/58/03/75/373580375.db2.gz KJVIFDOOQKFVKK-ZJUUUORDSA-N 0 0 250.298 2.759 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)N[C@H]1CCCOC1 ZINC000289613858 197420667 /nfs/dbraw/zinc/42/06/67/197420667.db2.gz JIUIEBWIPIBQBS-QMMMGPOBSA-N 0 0 299.714 2.549 20 5 CFBDRN CNc1ccc(C(=O)N(C)CCC(C)C)cc1[N+](=O)[O-] ZINC000055464231 373553391 /nfs/dbraw/zinc/55/33/91/373553391.db2.gz JXLWNGHNRVZAMD-UHFFFAOYSA-N 0 0 279.340 2.755 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000055466531 373553751 /nfs/dbraw/zinc/55/37/51/373553751.db2.gz KHLZPKQWCYYFPW-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN CC(C)COCCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000055467227 373554866 /nfs/dbraw/zinc/55/48/66/373554866.db2.gz WWZOIQJFPRSSQR-GFCCVEGCSA-N 0 0 294.351 2.835 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H]1CCCO1 ZINC000056988190 373576470 /nfs/dbraw/zinc/57/64/70/373576470.db2.gz JQZAZCIXVMICNH-CQSZACIVSA-N 0 0 292.335 2.511 20 5 CFBDRN O=C(Nc1csc2ccccc12)c1cc([N+](=O)[O-])n[nH]1 ZINC000354722987 284407608 /nfs/dbraw/zinc/40/76/08/284407608.db2.gz GXMSVPSETUUYQZ-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN CCCN(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000058411823 373654926 /nfs/dbraw/zinc/65/49/26/373654926.db2.gz BECNOMPGGYHKRH-UHFFFAOYSA-N 0 0 251.286 2.777 20 5 CFBDRN CCCCn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O ZINC000057814163 373612083 /nfs/dbraw/zinc/61/20/83/373612083.db2.gz NTEHECYMHXZBPK-UHFFFAOYSA-N 0 0 273.292 2.619 20 5 CFBDRN Cc1ccoc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057837372 373614515 /nfs/dbraw/zinc/61/45/15/373614515.db2.gz FVRMIFRLHLZZMT-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ncnc3c2CCC3)cc1 ZINC000061277045 373715865 /nfs/dbraw/zinc/71/58/65/373715865.db2.gz DIABVGHZIAVYPR-UHFFFAOYSA-N 0 0 284.319 2.528 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000058668171 373665461 /nfs/dbraw/zinc/66/54/61/373665461.db2.gz XWQLOVUCTGKRDG-PRHODGIISA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1c(OCC(=O)N2CCC(C)CC2)cccc1[N+](=O)[O-] ZINC000060810106 373703912 /nfs/dbraw/zinc/70/39/12/373703912.db2.gz ODDBUKJKXYFKFF-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN CC(C)(C)C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000060859576 373706327 /nfs/dbraw/zinc/70/63/27/373706327.db2.gz ZKWVOYUNAMWLON-UHFFFAOYSA-N 0 0 288.307 2.970 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000335201343 220238115 /nfs/dbraw/zinc/23/81/15/220238115.db2.gz PFDZCWABNQRSRH-VXGBXAGGSA-N 0 0 266.272 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)Cc2ccccc2)cc1 ZINC000063218706 373799034 /nfs/dbraw/zinc/79/90/34/373799034.db2.gz HEDKXUWMTXZOTF-CQSZACIVSA-N 0 0 272.304 2.610 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCCCC1 ZINC000065834071 373899703 /nfs/dbraw/zinc/89/97/03/373899703.db2.gz HYCUUWFXZXKSAO-UHFFFAOYSA-N 0 0 287.319 2.562 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C1CCC1 ZINC000066960728 373965396 /nfs/dbraw/zinc/96/53/96/373965396.db2.gz PKEROWJOJDLBGR-UHFFFAOYSA-N 0 0 256.208 2.612 20 5 CFBDRN CCCN(CCC)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000067015366 373967093 /nfs/dbraw/zinc/96/70/93/373967093.db2.gz CKQQWCHAPVANGD-UHFFFAOYSA-N 0 0 298.314 2.761 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cc(F)cc(F)c1 ZINC000067071687 373968632 /nfs/dbraw/zinc/96/86/32/373968632.db2.gz RVKSEPWYWVOKPB-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccccn1 ZINC000067129977 373971098 /nfs/dbraw/zinc/97/10/98/373971098.db2.gz AXXQDZBWPBHZTM-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@@H](Sc1ncccc1[N+](=O)[O-])C(=O)NC1CCCC1 ZINC000067648618 373987717 /nfs/dbraw/zinc/98/77/17/373987717.db2.gz HMVMZTIEBMGSNJ-SECBINFHSA-N 0 0 295.364 2.529 20 5 CFBDRN C[C@@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])C1CCCC1 ZINC000070307414 374163618 /nfs/dbraw/zinc/16/36/18/374163618.db2.gz GZWWCDILQUZNKP-SECBINFHSA-N 0 0 277.324 2.684 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000491113108 246042680 /nfs/dbraw/zinc/04/26/80/246042680.db2.gz ZNKLTKCGZHNKTK-PWSUYJOCSA-N 0 0 291.351 2.928 20 5 CFBDRN C/C=C/C=C\C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000491121614 246044787 /nfs/dbraw/zinc/04/47/87/246044787.db2.gz UWEFIZIPMJUDKR-MDSYRTPQSA-N 0 0 260.293 2.904 20 5 CFBDRN CCc1ccc(OCC(=O)c2cccn2C)c([N+](=O)[O-])c1 ZINC000070497906 374188301 /nfs/dbraw/zinc/18/83/01/374188301.db2.gz DZQXFWBYBXYLQJ-UHFFFAOYSA-N 0 0 288.303 2.757 20 5 CFBDRN COc1cccnc1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491401362 246067042 /nfs/dbraw/zinc/06/70/42/246067042.db2.gz NCLSYXZRSYQRIT-FPLPWBNLSA-N 0 0 299.286 2.650 20 5 CFBDRN CCC(C)(C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000072790025 374287533 /nfs/dbraw/zinc/28/75/33/374287533.db2.gz QCWNWKQSZZGDJW-UHFFFAOYSA-N 0 0 251.286 2.905 20 5 CFBDRN C/C(=C/c1ccccc1)CN(C)c1ncc([N+](=O)[O-])cn1 ZINC000491669428 246088465 /nfs/dbraw/zinc/08/84/65/246088465.db2.gz MHJWBHGGWMBMOL-WQLSENKSSA-N 0 0 284.319 2.925 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N(CC(F)F)C1CC1 ZINC000491563678 246082110 /nfs/dbraw/zinc/08/21/10/246082110.db2.gz SPGJOXUYJFAEPZ-DAXSKMNVSA-N 0 0 296.273 2.864 20 5 CFBDRN Cc1c(F)cccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000073392480 374339246 /nfs/dbraw/zinc/33/92/46/374339246.db2.gz PTGQGLNHBZOPSC-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN COc1ccc(CCNc2cc(C)c([N+](=O)[O-])cn2)cc1 ZINC000073000207 374314328 /nfs/dbraw/zinc/31/43/28/374314328.db2.gz ZZKYYDAWKIQWAU-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1ncc(CNc2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000073000323 374314890 /nfs/dbraw/zinc/31/48/90/374314890.db2.gz OWTAUDMHROAGSQ-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN C/C(=C\c1ccccc1)CN(C)c1c([N+](=O)[O-])ncn1C ZINC000491817350 246091347 /nfs/dbraw/zinc/09/13/47/246091347.db2.gz ONCMHOZUJKNVTL-FMIVXFBMSA-N 0 0 286.335 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)Cc2ccccc2)cc1 ZINC000073626485 374360672 /nfs/dbraw/zinc/36/06/72/374360672.db2.gz PSAZZGAKKTZUGJ-HNNXBMFYSA-N 0 0 272.304 2.610 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CCC12CCC2 ZINC000491935283 246127654 /nfs/dbraw/zinc/12/76/54/246127654.db2.gz GDYNKROEDXBCPW-WAYWQWQTSA-N 0 0 272.304 2.763 20 5 CFBDRN CCCc1noc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000073712651 374373036 /nfs/dbraw/zinc/37/30/36/374373036.db2.gz WOWUIYJQCDCCMO-UHFFFAOYSA-N 0 0 263.253 2.509 20 5 CFBDRN O=C(N[C@@H]1CC12CCCC2)c1ccccc1[N+](=O)[O-] ZINC000334140727 206053360 /nfs/dbraw/zinc/05/33/60/206053360.db2.gz HYHYBIYQGNVTSF-GFCCVEGCSA-N 0 0 260.293 2.657 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)Nc2ccc([N+](=O)[O-])cc2)O1 ZINC000074235236 374411060 /nfs/dbraw/zinc/41/10/60/374411060.db2.gz ZLZFFBGPYZJMJB-MFKMUULPSA-N 0 0 278.308 2.881 20 5 CFBDRN Cc1c([C@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)cnn1C ZINC000074739960 374436543 /nfs/dbraw/zinc/43/65/43/374436543.db2.gz OETAEYKMTMGPHR-VIFPVBQESA-N 0 0 275.312 2.513 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000492089183 246183389 /nfs/dbraw/zinc/18/33/89/246183389.db2.gz WYKOKTIGUQWCTL-BYAJROORSA-N 0 0 274.320 2.722 20 5 CFBDRN CCc1cnccc1NC(=O)C=Cc1ccc([N+](=O)[O-])cc1 ZINC000492089624 246183441 /nfs/dbraw/zinc/18/34/41/246183441.db2.gz VKFVKCFDFPMLLZ-YVMONPNESA-N 0 0 297.314 2.626 20 5 CFBDRN Cc1nc(NC(=O)/C=C/C2CCC2)ccc1[N+](=O)[O-] ZINC000492107817 246189228 /nfs/dbraw/zinc/18/92/28/246189228.db2.gz GGOXREXFSTVEJM-VMPITWQZSA-N 0 0 261.281 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC(F)F)c2ccncc21 ZINC000075059921 374456554 /nfs/dbraw/zinc/45/65/54/374456554.db2.gz VHQLLKUKBUCIKN-UHFFFAOYSA-N 0 0 253.208 2.820 20 5 CFBDRN CC(C)CC[C@](C)(O)CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000075124974 374458919 /nfs/dbraw/zinc/45/89/19/374458919.db2.gz ONWZUBCMBGRBJY-HNNXBMFYSA-N 0 0 294.351 2.512 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000076033280 374523019 /nfs/dbraw/zinc/52/30/19/374523019.db2.gz FELASWMBKHBJDK-HZGVNTEJSA-N 0 0 269.688 2.778 20 5 CFBDRN C[C@]1(F)CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000492296770 246249600 /nfs/dbraw/zinc/24/96/00/246249600.db2.gz PQLIRDIATBHYSX-ZBKLQPJUSA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1ccc(/C=C/C(=O)NCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000492464710 246299714 /nfs/dbraw/zinc/29/97/14/246299714.db2.gz XRPZOOBTOSKGNJ-RMKNXTFCSA-N 0 0 297.314 2.628 20 5 CFBDRN COc1cncc(/C=C\C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000492399994 246280659 /nfs/dbraw/zinc/28/06/59/246280659.db2.gz QAWZHQJIZUBITM-WAYWQWQTSA-N 0 0 299.286 2.650 20 5 CFBDRN Cc1cc(N(C)C(=O)/C=C\c2cccc([N+](=O)[O-])c2)ccn1 ZINC000492594896 246338599 /nfs/dbraw/zinc/33/85/99/246338599.db2.gz UEBGNCZWTXMUQE-SREVYHEPSA-N 0 0 297.314 2.974 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C[C@@H]3CCCCO3)n2)s1 ZINC000277845155 193776298 /nfs/dbraw/zinc/77/62/98/193776298.db2.gz AHCYIYMWEJXJJK-QMMMGPOBSA-N 0 0 295.320 2.818 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492798598 246388597 /nfs/dbraw/zinc/38/85/97/246388597.db2.gz UGOXXABULRTVKP-HTEWFQQSSA-N 0 0 278.283 2.662 20 5 CFBDRN O=C(CCC(F)(F)F)NCc1ccc([N+](=O)[O-])cc1 ZINC000079657604 374624817 /nfs/dbraw/zinc/62/48/17/374624817.db2.gz DVSHAXZGBPWLPM-UHFFFAOYSA-N 0 0 276.214 2.554 20 5 CFBDRN O=C([C@@H]1CCCSC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000335676886 284480208 /nfs/dbraw/zinc/48/02/08/284480208.db2.gz YSFIMRARWIEEIE-SNVBAGLBSA-N 0 0 292.360 2.627 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C)(C)C1 ZINC000081352341 374695339 /nfs/dbraw/zinc/69/53/39/374695339.db2.gz AGSHOSMOEPWMSN-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN COCCCCNc1ccc([N+](=O)[O-])cc1COC ZINC000080574053 374662437 /nfs/dbraw/zinc/66/24/37/374662437.db2.gz YYOGHFFVJUPDPQ-UHFFFAOYSA-N 0 0 268.313 2.580 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@@H]1CC[C@@H](F)C1 ZINC000492892435 246417793 /nfs/dbraw/zinc/41/77/93/246417793.db2.gz LSQSSKRAPURAHZ-OBBGCOQJSA-N 0 0 278.283 2.615 20 5 CFBDRN Cc1ccoc1CNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000493018471 246459542 /nfs/dbraw/zinc/45/95/42/246459542.db2.gz CZRVRQSJYVECFZ-SREVYHEPSA-N 0 0 286.287 2.826 20 5 CFBDRN CC(C)c1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)n(C)n1 ZINC000081821469 374718903 /nfs/dbraw/zinc/71/89/03/374718903.db2.gz PFPYRTBJBLILHM-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccn(C)c1)c1ccccc1[N+](=O)[O-] ZINC000493208710 246521606 /nfs/dbraw/zinc/52/16/06/246521606.db2.gz QKJHEBNROWHIIJ-KRZKBDHCSA-N 0 0 299.330 2.824 20 5 CFBDRN CCC1(CNC(=O)c2csc([N+](=O)[O-])c2)CCC1 ZINC000084254609 374772569 /nfs/dbraw/zinc/77/25/69/374772569.db2.gz KYJLAWGMTKUHDS-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN CC[C@H](C)NC(=O)CCNc1cc(C)ccc1[N+](=O)[O-] ZINC000084726375 374787033 /nfs/dbraw/zinc/78/70/33/374787033.db2.gz OYNYKDDODZPRQX-NSHDSACASA-N 0 0 279.340 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@H]2O)c(Cl)c1 ZINC000089166885 374882982 /nfs/dbraw/zinc/88/29/82/374882982.db2.gz OENHPWPTKUNPFN-QPUJVOFHSA-N 0 0 270.716 2.821 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1C[C@H]1C1CC1 ZINC000493275156 246542127 /nfs/dbraw/zinc/54/21/27/246542127.db2.gz XSBFIHPHPQUGNY-DRKGASSASA-N 0 0 290.294 2.662 20 5 CFBDRN CSC1(CNC(=O)/C=C\c2cccc([N+](=O)[O-])c2)CC1 ZINC000493293106 246545615 /nfs/dbraw/zinc/54/56/15/246545615.db2.gz UGCSHPSXDMFWTD-WAYWQWQTSA-N 0 0 292.360 2.620 20 5 CFBDRN Cn1ccc(/C=C\C(=O)Nc2cc([N+](=O)[O-])ccc2F)c1 ZINC000493328390 246558180 /nfs/dbraw/zinc/55/81/80/246558180.db2.gz VRZRBKVDKPHSAU-DJWKRKHSSA-N 0 0 289.266 2.724 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)/C=C/c2ccccc2[N+](=O)[O-])CS1 ZINC000493455852 246593720 /nfs/dbraw/zinc/59/37/20/246593720.db2.gz DDQCREZJKPSSMI-ZUOHBJSBSA-N 0 0 292.360 2.618 20 5 CFBDRN Cc1c(Cn2cnc(C3CCC3)cc2=O)cccc1[N+](=O)[O-] ZINC000089702824 374939382 /nfs/dbraw/zinc/93/93/82/374939382.db2.gz HBEZFCSJWKTEJY-UHFFFAOYSA-N 0 0 299.330 2.776 20 5 CFBDRN O=C(CC1CCCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000089767757 374948362 /nfs/dbraw/zinc/94/83/62/374948362.db2.gz XNGIMLKSNVQFQS-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCOC2(CCOCC2)C1 ZINC000493655532 246656775 /nfs/dbraw/zinc/65/67/75/246656775.db2.gz JVVVWYHYHNJZFF-LBPRGKRZSA-N 0 0 292.335 2.735 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2c(C)noc2C)c1 ZINC000090794582 375027794 /nfs/dbraw/zinc/02/77/94/375027794.db2.gz QEQAMLGVSQEZGD-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCCC[C@@H]2O)c1 ZINC000090794876 375030043 /nfs/dbraw/zinc/03/00/43/375030043.db2.gz GURITGLILOSWSK-YGRLFVJLSA-N 0 0 280.324 2.566 20 5 CFBDRN COC(=O)[C@]1(C)CN(c2c(C)cccc2[N+](=O)[O-])C[C@H]1C ZINC000336882442 284499583 /nfs/dbraw/zinc/49/95/83/284499583.db2.gz BZAPMGBTMVGRSK-IAQYHMDHSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000493705444 246676135 /nfs/dbraw/zinc/67/61/35/246676135.db2.gz JZZJEHNSHOVKGX-UAPHAKCISA-N 0 0 288.225 2.675 20 5 CFBDRN C[C@H](NCc1ccccc1[N+](=O)[O-])c1ccc(F)cn1 ZINC000092196307 375094457 /nfs/dbraw/zinc/09/44/57/375094457.db2.gz OBPMFADGRLBRRN-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000493781268 246702666 /nfs/dbraw/zinc/70/26/66/246702666.db2.gz DZUBWHLGGZIJSL-NWLAWWPJSA-N 0 0 286.331 2.913 20 5 CFBDRN CCOc1cc(N[C@H]2CCCC[C@@H]2CO)ccc1[N+](=O)[O-] ZINC000092656438 375135016 /nfs/dbraw/zinc/13/50/16/375135016.db2.gz OXKXCUVIAKAQAN-YPMHNXCESA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1cc(N[C@H]2CCCC[C@H]2CO)ccc1[N+](=O)[O-] ZINC000092656468 375135721 /nfs/dbraw/zinc/13/57/21/375135721.db2.gz CKVCJGABMBBGLE-AAEUAGOBSA-N 0 0 264.325 2.866 20 5 CFBDRN CN(C(=O)/C=C\c1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000493836959 246721522 /nfs/dbraw/zinc/72/15/22/246721522.db2.gz NYHRWQRPDSUYKR-YFHOEESVSA-N 0 0 283.287 2.666 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@H]2CCC[C@H]21 ZINC000335427663 296227407 /nfs/dbraw/zinc/22/74/07/296227407.db2.gz LWTNJWDLMRFSEP-NOZJJQNGSA-N 0 0 278.283 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCCC[C@@H]1CCO ZINC000093097784 375186232 /nfs/dbraw/zinc/18/62/32/375186232.db2.gz XGAMPUJCTYCPBJ-CYBMUJFWSA-N 0 0 298.770 2.985 20 5 CFBDRN CCc1nn(C)c(NCc2cscc2C)c1[N+](=O)[O-] ZINC000094883161 375388676 /nfs/dbraw/zinc/38/86/76/375388676.db2.gz STOGVMBSHPXBNQ-UHFFFAOYSA-N 0 0 280.353 2.873 20 5 CFBDRN COCCCN(C)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000094060173 375338311 /nfs/dbraw/zinc/33/83/11/375338311.db2.gz VSOWGXLOEGVLFD-UHFFFAOYSA-N 0 0 294.351 2.629 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cccnc2)c1 ZINC000096470290 375487386 /nfs/dbraw/zinc/48/73/86/375487386.db2.gz MFLLNNWABBVCLL-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN C[C@@H](F)CCNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000496190281 246959446 /nfs/dbraw/zinc/95/94/46/246959446.db2.gz QISZCUHDAMXCOQ-SECBINFHSA-N 0 0 297.286 2.711 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCC1(CCO)CC1 ZINC000097818779 375586149 /nfs/dbraw/zinc/58/61/49/375586149.db2.gz UZRQBRWRVOBELT-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN CC(C)OC(=O)CSCc1cccc([N+](=O)[O-])c1 ZINC000097599623 375571717 /nfs/dbraw/zinc/57/17/17/375571717.db2.gz ZZHHCMUEHDXOGJ-UHFFFAOYSA-N 0 0 269.322 2.780 20 5 CFBDRN COc1cc(C(=O)Nc2ccccc2O)ccc1[N+](=O)[O-] ZINC000101630814 375647090 /nfs/dbraw/zinc/64/70/90/375647090.db2.gz GCJRTCWJYHIQAE-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN Cc1ncc(COc2ccc([N+](=O)[O-])c3ncccc23)cn1 ZINC000505518253 247141460 /nfs/dbraw/zinc/14/14/60/247141460.db2.gz AWDPISXEUGSZGM-UHFFFAOYSA-N 0 0 296.286 2.820 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CSc2ncc[nH]2)c(F)c1 ZINC000505570898 247142793 /nfs/dbraw/zinc/14/27/93/247142793.db2.gz QZDSTTOLAGASPA-UHFFFAOYSA-N 0 0 271.248 2.888 20 5 CFBDRN C[C@@H]1CCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000505607387 247145487 /nfs/dbraw/zinc/14/54/87/247145487.db2.gz WRXPTDXCGDOXTG-SNVBAGLBSA-N 0 0 252.289 2.966 20 5 CFBDRN CC(C)(C(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000508775617 247181693 /nfs/dbraw/zinc/18/16/93/247181693.db2.gz WRWJDGWMQKZAID-YABSGUDNSA-N 0 0 288.347 2.787 20 5 CFBDRN COC(=O)CCSc1ccc2ncccc2c1[N+](=O)[O-] ZINC000112072492 375881042 /nfs/dbraw/zinc/88/10/42/375881042.db2.gz UAOMSNWRMKLFAQ-UHFFFAOYSA-N 0 0 292.316 2.798 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000112334479 375904859 /nfs/dbraw/zinc/90/48/59/375904859.db2.gz YXAVJCSOMHZRHC-IINYFYTJSA-N 0 0 289.335 2.962 20 5 CFBDRN CCC[C@@H](O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000112333801 375905104 /nfs/dbraw/zinc/90/51/04/375905104.db2.gz LYGSNWZXVFDBGK-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN COCC1CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000112333649 375905234 /nfs/dbraw/zinc/90/52/34/375905234.db2.gz PXMUXAXKHZCSER-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN CCN(Cc1ccc(OC)c([N+](=O)[O-])c1)CC(F)(F)F ZINC000112344691 375909388 /nfs/dbraw/zinc/90/93/88/375909388.db2.gz KNBQFCIYNKUXKR-UHFFFAOYSA-N 0 0 292.257 2.988 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000112828161 375968815 /nfs/dbraw/zinc/96/88/15/375968815.db2.gz BITFCJHTJWBNLW-ONGXEEELSA-N 0 0 277.324 2.555 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000112385765 375916003 /nfs/dbraw/zinc/91/60/03/375916003.db2.gz SDNVWBCKZLKKPH-YGRLFVJLSA-N 0 0 295.339 2.513 20 5 CFBDRN C[C@@H]1CCC[C@H](CCNc2c([N+](=O)[O-])ncn2C)C1 ZINC000112400936 375918519 /nfs/dbraw/zinc/91/85/19/375918519.db2.gz ZCMSZQAQNTWEDK-GHMZBOCLSA-N 0 0 266.345 2.957 20 5 CFBDRN Cc1nnc([C@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000112532175 375929244 /nfs/dbraw/zinc/92/92/44/375929244.db2.gz UJGIIFBLFCVSCT-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN CN(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000112966677 375978995 /nfs/dbraw/zinc/97/89/95/375978995.db2.gz HNXYNISRCWBIDF-VIFPVBQESA-N 0 0 290.241 2.839 20 5 CFBDRN COc1ccc(C(=O)N2CCCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000112683231 375944145 /nfs/dbraw/zinc/94/41/45/375944145.db2.gz OQENVRMJQDUAFY-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCC1(O)CCCC1 ZINC000115324295 376125367 /nfs/dbraw/zinc/12/53/67/376125367.db2.gz AJPFPTNCVOTWRH-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN CCCCOCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000118212949 376276710 /nfs/dbraw/zinc/27/67/10/376276710.db2.gz DZYZCIMFGCPAFR-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN O=C(Nc1cccc2[nH]cnc21)c1cccc([N+](=O)[O-])c1 ZINC000118728564 376311875 /nfs/dbraw/zinc/31/18/75/376311875.db2.gz OLWRMCXDYJQTEA-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CCCn1c(C)nnc1COc1cc(C)ccc1[N+](=O)[O-] ZINC000118965407 376327546 /nfs/dbraw/zinc/32/75/46/376327546.db2.gz AYXSKMVBDLQSPX-UHFFFAOYSA-N 0 0 290.323 2.792 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000118964871 376328241 /nfs/dbraw/zinc/32/82/41/376328241.db2.gz KBALAWTVOBKNPX-VHSXEESVSA-N 0 0 280.299 2.533 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000118286745 376283428 /nfs/dbraw/zinc/28/34/28/376283428.db2.gz SZSFWRJOAYHJAP-DTWKUNHWSA-N 0 0 298.339 2.700 20 5 CFBDRN O=C(Cn1cnc([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 ZINC000119419279 376412372 /nfs/dbraw/zinc/41/23/72/376412372.db2.gz JLBQCVJVVUVRKZ-UHFFFAOYSA-N 0 0 299.208 2.693 20 5 CFBDRN C[C@@H](CCO)Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000119342674 376396880 /nfs/dbraw/zinc/39/68/80/376396880.db2.gz UOFJHHQFZWJMSW-NSHDSACASA-N 0 0 287.319 2.840 20 5 CFBDRN C[C@@H](CNc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000119742957 376463851 /nfs/dbraw/zinc/46/38/51/376463851.db2.gz XRNMTDJAFIQCON-LBPRGKRZSA-N 0 0 299.330 2.825 20 5 CFBDRN CCc1ccc(OCC(=O)C2CCC2)c([N+](=O)[O-])c1 ZINC000278082560 193830845 /nfs/dbraw/zinc/83/08/45/193830845.db2.gz XIZPTLLMVHFWAK-UHFFFAOYSA-N 0 0 263.293 2.905 20 5 CFBDRN COCc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)o1 ZINC000119838678 376486119 /nfs/dbraw/zinc/48/61/19/376486119.db2.gz HBDKBIMSOFTELE-UHFFFAOYSA-N 0 0 276.248 2.587 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000120160425 376558493 /nfs/dbraw/zinc/55/84/93/376558493.db2.gz PHBMJPIEWLVIGO-QWRGUYRKSA-N 0 0 262.309 2.855 20 5 CFBDRN CN(CCC1CC1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000120314499 376589371 /nfs/dbraw/zinc/58/93/71/376589371.db2.gz HTRBQSDWJHLEFR-UHFFFAOYSA-N 0 0 265.269 2.739 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)Cc1ccoc1 ZINC000120591834 376646955 /nfs/dbraw/zinc/64/69/55/376646955.db2.gz FHOCNTBHMXDALH-SNVBAGLBSA-N 0 0 286.287 2.708 20 5 CFBDRN C[C@@H]1COC[C@H](C)N1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000120901320 376719196 /nfs/dbraw/zinc/71/91/96/376719196.db2.gz CHVSFAVSRDRUFA-AOOOYVTPSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@H](Nc1ccccc1[N+](=O)[O-])[C@@H](O)Cc1ccccc1 ZINC000120736035 376679549 /nfs/dbraw/zinc/67/95/49/376679549.db2.gz GPLYZQHCSLMNKO-LRDDRELGSA-N 0 0 286.331 2.999 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000120967840 376733804 /nfs/dbraw/zinc/73/38/04/376733804.db2.gz WFCKZKOJIQAIOY-GXFFZTMASA-N 0 0 276.336 2.751 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000121776931 376903352 /nfs/dbraw/zinc/90/33/52/376903352.db2.gz UQNRBDRJNRCOGH-LBPRGKRZSA-N 0 0 293.367 2.948 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NC1CCCCC1 ZINC000121680481 376880911 /nfs/dbraw/zinc/88/09/11/376880911.db2.gz HGBIDDHHGGHLQJ-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000121713840 376889527 /nfs/dbraw/zinc/88/95/27/376889527.db2.gz XZUAMHPIEVHOFF-SNVBAGLBSA-N 0 0 280.299 2.535 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000121787421 376905289 /nfs/dbraw/zinc/90/52/89/376905289.db2.gz WUZLEBHWIZQRKX-JTQLQIEISA-N 0 0 280.299 2.535 20 5 CFBDRN O=C(N[C@H]1CCCc2occc21)c1ccccc1[N+](=O)[O-] ZINC000125019572 377111340 /nfs/dbraw/zinc/11/13/40/377111340.db2.gz LIFDTAACFOZYPJ-LBPRGKRZSA-N 0 0 286.287 2.995 20 5 CFBDRN CCC(CC)CNc1c([N+](=O)[O-])c(C)nn1CC ZINC000125160471 377123761 /nfs/dbraw/zinc/12/37/61/377123761.db2.gz LBEGGHHIDZCWGM-UHFFFAOYSA-N 0 0 254.334 2.968 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@@H]1CCCCO1)CCN2 ZINC000278141557 193843194 /nfs/dbraw/zinc/84/31/94/193843194.db2.gz ASORFTAAEGBXFI-JTQLQIEISA-N 0 0 277.324 2.544 20 5 CFBDRN COC(=O)CN(Cc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000125453702 377156563 /nfs/dbraw/zinc/15/65/63/377156563.db2.gz MZRBAMIPLWMYCE-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN Cc1ccc(NC[C@@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000128030210 377312341 /nfs/dbraw/zinc/31/23/41/377312341.db2.gz MLGSNLHJFGTXGW-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@]2(O)CCCC[C@@H]2C1 ZINC000128356499 377332467 /nfs/dbraw/zinc/33/24/67/377332467.db2.gz VRWVYTCQMQVMFK-IAQYHMDHSA-N 0 0 294.326 2.865 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])c3cnccc23)C[C@@H]1O ZINC000128997358 377370642 /nfs/dbraw/zinc/37/06/42/377370642.db2.gz PCYVTXQDFCVUIU-KGLIPLIRSA-N 0 0 287.319 2.714 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CC1CCOCC1 ZINC000129447443 377427981 /nfs/dbraw/zinc/42/79/81/377427981.db2.gz YMJMDESHRQXBSK-UHFFFAOYSA-N 0 0 292.335 2.967 20 5 CFBDRN CC(C)(CCCO)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278169090 193850730 /nfs/dbraw/zinc/85/07/30/193850730.db2.gz CLRPIUXVXMCISO-UHFFFAOYSA-N 0 0 293.367 2.773 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](C)SC ZINC000130104685 377511929 /nfs/dbraw/zinc/51/19/29/377511929.db2.gz UOEVSEAQTDXSJC-MRVPVSSYSA-N 0 0 284.337 2.684 20 5 CFBDRN Cc1cnc(Sc2ccc(CO)cc2)c([N+](=O)[O-])c1 ZINC000130309584 377537353 /nfs/dbraw/zinc/53/73/53/377537353.db2.gz KAOHRUDIMMIOOJ-UHFFFAOYSA-N 0 0 276.317 2.942 20 5 CFBDRN CC1(C)COCCN1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000130865992 377622786 /nfs/dbraw/zinc/62/27/86/377622786.db2.gz CDCPWYZUVPHMAD-FNORWQNLSA-N 0 0 276.336 2.719 20 5 CFBDRN COc1ccc(C(=O)N2CC[C@@H](C)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000131343267 377697998 /nfs/dbraw/zinc/69/79/98/377697998.db2.gz STJNNRFNJWQUTG-MNOVXSKESA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1cccc(OCc2noc(C(C)C)n2)c1[N+](=O)[O-] ZINC000131358510 377700666 /nfs/dbraw/zinc/70/06/66/377700666.db2.gz IJFSGMARSWXSKV-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN C[C@@H](NC(=O)c1[nH]ncc1[N+](=O)[O-])[C@@H](C)C1CCCCC1 ZINC000131235390 377680727 /nfs/dbraw/zinc/68/07/27/377680727.db2.gz SLAILDBKPOWGHO-NXEZZACHSA-N 0 0 294.355 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC2)c(C(F)(F)F)c1 ZINC000131602957 377735268 /nfs/dbraw/zinc/73/52/68/377735268.db2.gz COYVWGWGEMTQCL-SSDOTTSWSA-N 0 0 276.214 2.814 20 5 CFBDRN CO[C@H](C)c1noc(COc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000131609472 377735582 /nfs/dbraw/zinc/73/55/82/377735582.db2.gz QWZFZNBEPQQKBV-SECBINFHSA-N 0 0 293.279 2.573 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000131966135 377786160 /nfs/dbraw/zinc/78/61/60/377786160.db2.gz OPCBMXWRONQPEG-WDEREUQCSA-N 0 0 262.309 2.713 20 5 CFBDRN CCC[C@@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)CCO1 ZINC000131978546 377787602 /nfs/dbraw/zinc/78/76/02/377787602.db2.gz YQROFXOLFJLDEB-CYBMUJFWSA-N 0 0 294.351 2.604 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC[C@@H]1CCCS1 ZINC000131997932 377789728 /nfs/dbraw/zinc/78/97/28/377789728.db2.gz AFRPJKBOFBJTHA-ZDUSSCGKSA-N 0 0 294.376 2.539 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000132799351 377877803 /nfs/dbraw/zinc/87/78/03/377877803.db2.gz VZGZJLQDNHXONJ-ZIAGYGMSSA-N 0 0 292.335 2.591 20 5 CFBDRN CSCCCNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000132857066 377882089 /nfs/dbraw/zinc/88/20/89/377882089.db2.gz JRIXOFSMZBVRDE-UHFFFAOYSA-N 0 0 283.353 2.778 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CC[C@@H](C)C2)c1 ZINC000132987039 377892583 /nfs/dbraw/zinc/89/25/83/377892583.db2.gz BROLRZPYTRJYON-KOLCDFICSA-N 0 0 278.308 2.982 20 5 CFBDRN Cc1cccc([C@H](O)CNc2nc(C)ccc2[N+](=O)[O-])c1 ZINC000132503715 377847013 /nfs/dbraw/zinc/84/70/13/377847013.db2.gz WGMFVSJERHOXSI-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC1(CCO)CCC1 ZINC000132630035 377860191 /nfs/dbraw/zinc/86/01/91/377860191.db2.gz LQCHWLGOCXHTNI-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC(C2CCOCC2)CC1 ZINC000133496817 377934149 /nfs/dbraw/zinc/93/41/49/377934149.db2.gz KZPOVGXNNPSVCF-UHFFFAOYSA-N 0 0 291.351 2.633 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)n[nH]1 ZINC000133529286 377937146 /nfs/dbraw/zinc/93/71/46/377937146.db2.gz LOPDJIBNNAQQCO-SSDOTTSWSA-N 0 0 295.730 2.718 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2ccc(F)cc2[N+](=O)[O-])n[nH]1 ZINC000133535772 377938548 /nfs/dbraw/zinc/93/85/48/377938548.db2.gz XTRZCFWLNUREFN-QMMMGPOBSA-N 0 0 278.287 2.809 20 5 CFBDRN Cc1cc(CNc2ccc(F)cc2[N+](=O)[O-])on1 ZINC000133695997 377950256 /nfs/dbraw/zinc/95/02/56/377950256.db2.gz HBBRQVGIKOLUHD-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1CCCCO1 ZINC000133108099 377905298 /nfs/dbraw/zinc/90/52/98/377905298.db2.gz QLSIWEQMFOJCKV-SNVBAGLBSA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@@H]1C[C@@H](C)O ZINC000133141117 377907833 /nfs/dbraw/zinc/90/78/33/377907833.db2.gz GTYCNZYWQZXICO-VXGBXAGGSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1nc(NCCCNc2ccccn2)ccc1[N+](=O)[O-] ZINC000133213175 377913474 /nfs/dbraw/zinc/91/34/74/377913474.db2.gz VVSUPNRUBZVLGN-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC(=O)C[C@@H]1C ZINC000134368165 378006377 /nfs/dbraw/zinc/00/63/77/378006377.db2.gz XYESPDBYLBVZRH-GXFFZTMASA-N 0 0 290.319 2.847 20 5 CFBDRN CCC[C@H](O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000134444580 378014756 /nfs/dbraw/zinc/01/47/56/378014756.db2.gz HVNMMNQHLMZQRV-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CCCCN(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134029884 377976978 /nfs/dbraw/zinc/97/69/78/377976978.db2.gz XSKLNMGLFWXKEK-UHFFFAOYSA-N 0 0 272.251 2.745 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCCCCCO ZINC000134586661 378033968 /nfs/dbraw/zinc/03/39/68/378033968.db2.gz MJLVXRVBWYPOLO-UHFFFAOYSA-N 0 0 269.297 2.535 20 5 CFBDRN O=C(NCC1CCSCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000134595054 378035674 /nfs/dbraw/zinc/03/56/74/378035674.db2.gz KETCWPSMYHDNDC-UHFFFAOYSA-N 0 0 298.339 2.607 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCC1CCSCC1 ZINC000134597882 378037050 /nfs/dbraw/zinc/03/70/50/378037050.db2.gz CXNIRYVRQDTRBT-UHFFFAOYSA-N 0 0 253.327 2.545 20 5 CFBDRN Cc1cccc(OCCCCCCO)c1[N+](=O)[O-] ZINC000134619430 378038638 /nfs/dbraw/zinc/03/86/38/378038638.db2.gz RSFUAXXZBDIPIP-UHFFFAOYSA-N 0 0 253.298 2.835 20 5 CFBDRN CCOc1cccc(NC[C@H]2CCC[C@@H]2O)c1[N+](=O)[O-] ZINC000134925046 378065262 /nfs/dbraw/zinc/06/52/62/378065262.db2.gz BPLIXGPTJRCHAR-PWSUYJOCSA-N 0 0 280.324 2.566 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000135300809 378114059 /nfs/dbraw/zinc/11/40/59/378114059.db2.gz SWSNIXPGEYGJBB-VHSXEESVSA-N 0 0 279.340 2.801 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000264311985 383725082 /nfs/dbraw/zinc/72/50/82/383725082.db2.gz MDLOYKNRJDXAMQ-CMPLNLGQSA-N 0 0 277.324 2.583 20 5 CFBDRN Cc1cccc(C(=O)NOC2CCCC2)c1[N+](=O)[O-] ZINC000135138354 378089156 /nfs/dbraw/zinc/08/91/56/378089156.db2.gz VQHLUCAXWDZEIW-UHFFFAOYSA-N 0 0 264.281 2.507 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCOC1 ZINC000135251638 378105459 /nfs/dbraw/zinc/10/54/59/378105459.db2.gz BPXTZQCLMOYFDD-RKDXNWHRSA-N 0 0 254.261 2.571 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000135250945 378105650 /nfs/dbraw/zinc/10/56/50/378105650.db2.gz RUEANFTWOFTZIS-BDAKNGLRSA-N 0 0 254.261 2.571 20 5 CFBDRN CC[C@]1(C)CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000135273395 378108843 /nfs/dbraw/zinc/10/88/43/378108843.db2.gz HYTYAQOREUPOMX-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC(C2CC2)C2CC2)c1 ZINC000136295823 378194549 /nfs/dbraw/zinc/19/45/49/378194549.db2.gz OBURJHFLWDXTIJ-UHFFFAOYSA-N 0 0 290.319 2.982 20 5 CFBDRN CC(C)[C@H]1CC[C@@H](C)C[C@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000136606214 378203887 /nfs/dbraw/zinc/20/38/87/378203887.db2.gz AUIVSKMQOKFYRT-GMTAPVOTSA-N 0 0 294.355 2.509 20 5 CFBDRN COC(C)(C)CC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000278327448 193921497 /nfs/dbraw/zinc/92/14/97/193921497.db2.gz WKUIMKDOMDTKNB-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000151053453 378289979 /nfs/dbraw/zinc/28/99/79/378289979.db2.gz UOVWGNSAQCHVQX-SECBINFHSA-N 0 0 266.272 2.606 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)c1ncc[nH]1 ZINC000139774847 378237260 /nfs/dbraw/zinc/23/72/60/378237260.db2.gz AIKMZVCUYGYBRV-SECBINFHSA-N 0 0 261.285 2.585 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCCC(F)(F)C2)cc1 ZINC000149194649 378262544 /nfs/dbraw/zinc/26/25/44/378262544.db2.gz YAEDWLPRFHIUKQ-UHFFFAOYSA-N 0 0 256.252 2.826 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149074537 378262691 /nfs/dbraw/zinc/26/26/91/378262691.db2.gz JOQVAPUKZOGABN-SSDOTTSWSA-N 0 0 256.689 2.777 20 5 CFBDRN CCC1(CC)[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C[C@@H]1OC ZINC000151841532 378363892 /nfs/dbraw/zinc/36/38/92/378363892.db2.gz XVECYOBAUCANDT-MNOVXSKESA-N 0 0 296.323 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO)c(NCC2CCCC2)c1 ZINC000151886227 378368416 /nfs/dbraw/zinc/36/84/16/378368416.db2.gz HZSWOOJPGGLYRL-UHFFFAOYSA-N 0 0 279.340 2.601 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000264338993 383728804 /nfs/dbraw/zinc/72/88/04/383728804.db2.gz YEPBLKBQHGQRCM-BXKDBHETSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H]3CCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000152843429 378451760 /nfs/dbraw/zinc/45/17/60/378451760.db2.gz HFKXYUXOEOEVPE-STQMWFEESA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1csc(CN2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000153016869 378466813 /nfs/dbraw/zinc/46/68/13/378466813.db2.gz GQIURDAIUOTKFL-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN CCCCOCCN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000153037021 378468166 /nfs/dbraw/zinc/46/81/66/378468166.db2.gz YUSAGHWVESRVOP-UHFFFAOYSA-N 0 0 278.352 2.770 20 5 CFBDRN Cc1cccc(NC(=O)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000153908641 378523204 /nfs/dbraw/zinc/52/32/04/378523204.db2.gz BCFKTKOTFHQLRK-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN Cc1cc(NC(=O)NC[C@@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000153824784 378514721 /nfs/dbraw/zinc/51/47/21/378514721.db2.gz LZKFGRZSWLGWHS-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN C[C@@]1(CO)CCC[C@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153841376 378516377 /nfs/dbraw/zinc/51/63/77/378516377.db2.gz OWPVXKKFPBXOGK-PWSUYJOCSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1cc(NC(=O)N2CCOCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000153867544 378518636 /nfs/dbraw/zinc/51/86/36/378518636.db2.gz UZHATOSJISSLQF-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN NC(=O)C[C@H]1CCCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000330557359 378584132 /nfs/dbraw/zinc/58/41/32/378584132.db2.gz WQVCKONPEUZRAQ-SECBINFHSA-N 0 0 297.336 2.576 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1cccc([N+](=O)[O-])c1C ZINC000330461081 378562527 /nfs/dbraw/zinc/56/25/27/378562527.db2.gz WMWAXYXWRXTFBK-RISCZKNCSA-N 0 0 278.352 2.903 20 5 CFBDRN CCOc1ccc(C(=O)N2CC=C(C)CC2)cc1[N+](=O)[O-] ZINC000330479138 378567072 /nfs/dbraw/zinc/56/70/72/378567072.db2.gz PFAWFRUEKTZUBI-UHFFFAOYSA-N 0 0 290.319 2.786 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000432202660 383729777 /nfs/dbraw/zinc/72/97/77/383729777.db2.gz PMQNHZAHVGVNNO-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])cnc2C)CCCC1 ZINC000154608379 378585031 /nfs/dbraw/zinc/58/50/31/378585031.db2.gz GQCJIJQWDZFYSQ-UHFFFAOYSA-N 0 0 291.351 2.998 20 5 CFBDRN Cc1sc(C(=O)N(C)C[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000154486530 378570907 /nfs/dbraw/zinc/57/09/07/378570907.db2.gz HPFOKOZZSCCFCH-SNVBAGLBSA-N 0 0 298.364 2.606 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1csc([N+](=O)[O-])c1 ZINC000330502043 378571420 /nfs/dbraw/zinc/57/14/20/378571420.db2.gz BFLXGXAMZAAWBV-GFCCVEGCSA-N 0 0 270.354 2.657 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCC[C@H]1CCCO1 ZINC000330566719 378585455 /nfs/dbraw/zinc/58/54/55/378585455.db2.gz GNMBDRAUQAYQKC-MRVPVSSYSA-N 0 0 272.688 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC(=O)[C@H](C)C1 ZINC000330522917 378577370 /nfs/dbraw/zinc/57/73/70/378577370.db2.gz MRADJIRUYMKWIE-GHMZBOCLSA-N 0 0 290.319 2.847 20 5 CFBDRN CC[C@@H](C)N(C(=O)c1ccc([N+](=O)[O-])n1C)[C@@H](C)CC ZINC000330958273 378605681 /nfs/dbraw/zinc/60/56/81/378605681.db2.gz WMASPSIPWPFOOJ-PHIMTYICSA-N 0 0 281.356 2.973 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000331052340 378622148 /nfs/dbraw/zinc/62/21/48/378622148.db2.gz IZVDSMUDSBLWAZ-HZMBPMFUSA-N 0 0 292.335 2.545 20 5 CFBDRN COc1c(C(=O)N2CCCC2(C)C)cccc1[N+](=O)[O-] ZINC000331062983 378624058 /nfs/dbraw/zinc/62/40/58/378624058.db2.gz OZUQBZOIKPFBRE-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CO[C@@H](C)C1 ZINC000331257714 378660018 /nfs/dbraw/zinc/66/00/18/378660018.db2.gz DIBCXLXDNUJVCH-WDEREUQCSA-N 0 0 278.308 2.575 20 5 CFBDRN CCC(CC)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000158914736 378674867 /nfs/dbraw/zinc/67/48/67/378674867.db2.gz AXMBGGNGGOHRGU-UHFFFAOYSA-N 0 0 264.325 2.751 20 5 CFBDRN C[C@@H]1CCCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000332240578 378739792 /nfs/dbraw/zinc/73/97/92/378739792.db2.gz URFDALISEHCPNP-LLVKDONJSA-N 0 0 264.325 2.922 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000160074537 378747407 /nfs/dbraw/zinc/74/74/07/378747407.db2.gz JRWPDCQBHRLHCR-NSHDSACASA-N 0 0 284.699 2.756 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000332331198 378749180 /nfs/dbraw/zinc/74/91/80/378749180.db2.gz QKJIZRXHTNFHNT-LBPRGKRZSA-N 0 0 291.351 2.798 20 5 CFBDRN CCOC(C)(C)CNc1cccc(F)c1[N+](=O)[O-] ZINC000159521078 378714368 /nfs/dbraw/zinc/71/43/68/378714368.db2.gz VWDYPOXNBNYQCX-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN CC1(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000332109562 378726776 /nfs/dbraw/zinc/72/67/76/378726776.db2.gz DWTYTQKOAFCVGC-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000332129210 378728394 /nfs/dbraw/zinc/72/83/94/378728394.db2.gz JKCZQLZFUUCPAJ-IONNQARKSA-N 0 0 268.700 2.634 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@H](C3CC3)C2)ncc1[N+](=O)[O-] ZINC000160387826 378768731 /nfs/dbraw/zinc/76/87/31/378768731.db2.gz LGYQAHXAQGESCM-YPMHNXCESA-N 0 0 277.324 2.668 20 5 CFBDRN CCC[C@H](C)CC(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332731661 378795679 /nfs/dbraw/zinc/79/56/79/378795679.db2.gz RPCJXBQJOATDHC-NSHDSACASA-N 0 0 291.351 2.701 20 5 CFBDRN CSCCCOc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000160775998 378798516 /nfs/dbraw/zinc/79/85/16/378798516.db2.gz CCLVPFLUNXIUKQ-UHFFFAOYSA-N 0 0 269.322 2.929 20 5 CFBDRN CCC(CC)(CO)CNc1ccc([N+](=O)[O-])cc1 ZINC000161438468 378848242 /nfs/dbraw/zinc/84/82/42/378848242.db2.gz NCJWFDYXBCJROZ-UHFFFAOYSA-N 0 0 252.314 2.805 20 5 CFBDRN CNc1c(C(=O)N2C[C@H]3CCC[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000161513828 378853259 /nfs/dbraw/zinc/85/32/59/378853259.db2.gz NDBZFQARDRHGCB-GHMZBOCLSA-N 0 0 289.335 2.509 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000161543017 378854228 /nfs/dbraw/zinc/85/42/28/378854228.db2.gz QNKLXYUHCGCHFY-IONNQARKSA-N 0 0 268.700 2.634 20 5 CFBDRN CCN(C(=O)Cc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000162301038 378905964 /nfs/dbraw/zinc/90/59/64/378905964.db2.gz KJGNORXFHZFXQM-UHFFFAOYSA-N 0 0 264.325 2.703 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000162828035 378935658 /nfs/dbraw/zinc/93/56/58/378935658.db2.gz JYRVXEMVXMRNPE-JSGCOSHPSA-N 0 0 276.336 2.832 20 5 CFBDRN CSC[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000162588190 378919087 /nfs/dbraw/zinc/91/90/87/378919087.db2.gz QSPGEBKSTRTIMM-SECBINFHSA-N 0 0 283.353 2.776 20 5 CFBDRN C[C@@H](NCc1ccccc1[N+](=O)[O-])c1cscn1 ZINC000163248925 378961980 /nfs/dbraw/zinc/96/19/80/378961980.db2.gz KHXISKUYNPXYDD-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1CC[C@H](F)C1 ZINC000334237753 378965478 /nfs/dbraw/zinc/96/54/78/378965478.db2.gz ITPBGNONSZCEDG-WPRPVWTQSA-N 0 0 267.260 2.607 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334252484 378984725 /nfs/dbraw/zinc/98/47/25/378984725.db2.gz BSSYHXHSXQOKPP-AOOOYVTPSA-N 0 0 280.299 2.852 20 5 CFBDRN CC1=CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000335264754 378988042 /nfs/dbraw/zinc/98/80/42/378988042.db2.gz OKOILAXEBIVJTD-UHFFFAOYSA-N 0 0 279.271 2.918 20 5 CFBDRN CC(=O)OCCCSCc1ccc([N+](=O)[O-])cc1F ZINC000278579889 194054611 /nfs/dbraw/zinc/05/46/11/194054611.db2.gz FQGPFOCOFXNMKQ-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1CC[C@H](F)C1 ZINC000334291590 379044012 /nfs/dbraw/zinc/04/40/12/379044012.db2.gz MTKLPBMDVGKHLW-WPRPVWTQSA-N 0 0 267.260 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N1CC=CCC1 ZINC000165987710 379045813 /nfs/dbraw/zinc/04/58/13/379045813.db2.gz BFIURYHWROOCNL-UHFFFAOYSA-N 0 0 284.113 2.519 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000335306840 379052212 /nfs/dbraw/zinc/05/22/12/379052212.db2.gz UYTGCRGRDSSRFI-ZJUUUORDSA-N 0 0 265.313 2.574 20 5 CFBDRN CCOC(=O)CCSCc1ccc([N+](=O)[O-])cc1 ZINC000166984590 379064625 /nfs/dbraw/zinc/06/46/25/379064625.db2.gz VGGXOQALODLLEY-UHFFFAOYSA-N 0 0 269.322 2.781 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@H]1CCC[C@@H]1O ZINC000166879746 379064890 /nfs/dbraw/zinc/06/48/90/379064890.db2.gz GGIFHIQXWDABRK-YPMHNXCESA-N 0 0 264.325 2.500 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@]2(CCOC2)C1 ZINC000451894147 383733645 /nfs/dbraw/zinc/73/36/45/383733645.db2.gz CNWAQJCSXBLSJG-HNNXBMFYSA-N 0 0 294.326 2.736 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)CCC1=O ZINC000168910452 379099394 /nfs/dbraw/zinc/09/93/94/379099394.db2.gz OQOBDAQWEQKOSK-VIFPVBQESA-N 0 0 282.727 2.659 20 5 CFBDRN CC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000168992252 379103363 /nfs/dbraw/zinc/10/33/63/379103363.db2.gz MCOGKWSTXAXQCJ-UHFFFAOYSA-N 0 0 255.249 2.654 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@H]1CF ZINC000334344821 379128717 /nfs/dbraw/zinc/12/87/17/379128717.db2.gz TVTOENWXACHIJT-JTQLQIEISA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@H]1F ZINC000334344935 379128817 /nfs/dbraw/zinc/12/88/17/379128817.db2.gz TWOISQGGTBYVBJ-ZJUUUORDSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000334356323 379149392 /nfs/dbraw/zinc/14/93/92/379149392.db2.gz NBRUPRXPVDDIHU-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000334357446 379151450 /nfs/dbraw/zinc/15/14/50/379151450.db2.gz QBVUPRINSGNWKE-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2cc[nH]n2)c(Cl)c1 ZINC000278803780 194161705 /nfs/dbraw/zinc/16/17/05/194161705.db2.gz YPSIGRJMLPKJGB-NSHDSACASA-N 0 0 293.714 2.708 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC[C@@H]1C1CC1 ZINC000335411773 379243500 /nfs/dbraw/zinc/24/35/00/379243500.db2.gz ILXVIDIOJFZEJX-GFCCVEGCSA-N 0 0 280.711 2.873 20 5 CFBDRN C[C@H]1CSC[C@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000334398045 379227225 /nfs/dbraw/zinc/22/72/25/379227225.db2.gz LSFZDVLBDQMYHR-WRWORJQWSA-N 0 0 299.327 2.607 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)CC(C)(C)C1 ZINC000334408354 379246640 /nfs/dbraw/zinc/24/66/40/379246640.db2.gz IYYLONGLBJSTTG-SECBINFHSA-N 0 0 266.297 2.696 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])s1 ZINC000335417870 379257126 /nfs/dbraw/zinc/25/71/26/379257126.db2.gz LUZMBRKVTHVXMX-JGVFFNPUSA-N 0 0 272.301 2.667 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCO[C@@H](C)C1 ZINC000171242876 379261048 /nfs/dbraw/zinc/26/10/48/379261048.db2.gz GVKVOQWVLVTFEK-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CCCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)C1CC1 ZINC000171482103 379320542 /nfs/dbraw/zinc/32/05/42/379320542.db2.gz CRPGKPLRQUCAEU-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000334441183 379304795 /nfs/dbraw/zinc/30/47/95/379304795.db2.gz ZEFZVTVPGRLANW-GFCCVEGCSA-N 0 0 262.309 2.918 20 5 CFBDRN CN(C(=O)/C=C/c1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000171432283 379307631 /nfs/dbraw/zinc/30/76/31/379307631.db2.gz XIMSHVJCACTQMD-XVNBXDOJSA-N 0 0 280.711 2.882 20 5 CFBDRN C[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])CCS1 ZINC000171699309 379377936 /nfs/dbraw/zinc/37/79/36/379377936.db2.gz PCWBOURIDFGSMA-SNVBAGLBSA-N 0 0 252.339 2.532 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCCCCO ZINC000278940389 194221579 /nfs/dbraw/zinc/22/15/79/194221579.db2.gz WDCZOVPPTFJTMI-UHFFFAOYSA-N 0 0 295.339 2.530 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@H]1F ZINC000334500790 379401602 /nfs/dbraw/zinc/40/16/02/379401602.db2.gz ZLTIYQGSBXUVTH-MNOVXSKESA-N 0 0 267.260 2.607 20 5 CFBDRN COCCSCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000171788956 379402191 /nfs/dbraw/zinc/40/21/91/379402191.db2.gz YSEWROPELIOYNF-UHFFFAOYSA-N 0 0 295.320 2.524 20 5 CFBDRN CCC1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000334511262 379425281 /nfs/dbraw/zinc/42/52/81/379425281.db2.gz RCKRKZBVPMNDAP-UHFFFAOYSA-N 0 0 267.260 2.608 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC/C=C/c1ccccc1 ZINC000171994184 379463577 /nfs/dbraw/zinc/46/35/77/379463577.db2.gz RRWJYBFSSNPIEV-RMKNXTFCSA-N 0 0 296.326 2.967 20 5 CFBDRN CC/C=C\CCn1cnc2sc([N+](=O)[O-])cc2c1=O ZINC000571917348 383738340 /nfs/dbraw/zinc/73/83/40/383738340.db2.gz AIQCGIWFJASTPY-ARJAWSKDSA-N 0 0 279.321 2.723 20 5 CFBDRN C[C@@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])C1CCC1 ZINC000334556528 379521874 /nfs/dbraw/zinc/52/18/74/379521874.db2.gz DRGHKXAVYJMLFS-SNVBAGLBSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1ncccc1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000172425645 379564976 /nfs/dbraw/zinc/56/49/76/379564976.db2.gz CGGPHFPQIHDUEO-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000334621720 379643666 /nfs/dbraw/zinc/64/36/66/379643666.db2.gz SRCIRJWAYVTQIT-OCAPTIKFSA-N 0 0 268.700 2.871 20 5 CFBDRN CCC(CC)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000172676250 379619617 /nfs/dbraw/zinc/61/96/17/379619617.db2.gz YFBKSIIZVAXLKR-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000335628246 379623290 /nfs/dbraw/zinc/62/32/90/379623290.db2.gz ZFIXWHTYWRQZGA-DOFRTFSJSA-N 0 0 261.281 2.515 20 5 CFBDRN CC1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000335669333 379691655 /nfs/dbraw/zinc/69/16/55/379691655.db2.gz UALTXQUOJQHNAC-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN COc1cc(N2C[C@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000172823011 379654003 /nfs/dbraw/zinc/65/40/03/379654003.db2.gz OOKNIWDWWLATIF-JTQLQIEISA-N 0 0 280.324 2.607 20 5 CFBDRN COC(=O)c1ccc(OCC2CCC2)c([N+](=O)[O-])c1 ZINC000172910350 379667603 /nfs/dbraw/zinc/66/76/03/379667603.db2.gz GOKNMPXNCOYMDU-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CCSCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000173301536 379721795 /nfs/dbraw/zinc/72/17/95/379721795.db2.gz FEUCFDCZEKFQGS-QMMMGPOBSA-N 0 0 288.394 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H]2C2CC2)c1 ZINC000334671007 379742933 /nfs/dbraw/zinc/74/29/33/379742933.db2.gz SEWUBLCYTVXCCQ-GFCCVEGCSA-N 0 0 260.293 2.528 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000334696133 379782108 /nfs/dbraw/zinc/78/21/08/379782108.db2.gz LJBSLLLMHDELLL-GFCCVEGCSA-N 0 0 275.308 2.919 20 5 CFBDRN CCC[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OCC ZINC000173964315 379809275 /nfs/dbraw/zinc/80/92/75/379809275.db2.gz AWZIMFVDZHBPHR-FZMZJTMJSA-N 0 0 294.351 2.977 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]2CCC[C@@H]21 ZINC000174290485 379860214 /nfs/dbraw/zinc/86/02/14/379860214.db2.gz IVXJUDZUMYNYEC-ZFWWWQNUSA-N 0 0 288.347 2.928 20 5 CFBDRN CCC[N@H+](CC(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000571938856 383741171 /nfs/dbraw/zinc/74/11/71/383741171.db2.gz CSPTWIZWPVIHCO-UHFFFAOYSA-N 0 0 293.367 2.962 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000174940935 379966870 /nfs/dbraw/zinc/96/68/70/379966870.db2.gz IRLHUKWVKLFZTG-VIFPVBQESA-N 0 0 268.338 2.965 20 5 CFBDRN CC(C)N(CCn1cc([N+](=O)[O-])cn1)c1ccccc1 ZINC000174831841 379948526 /nfs/dbraw/zinc/94/85/26/379948526.db2.gz SZMMVLKTQUINJE-UHFFFAOYSA-N 0 0 274.324 2.706 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C)O[C@@H](C)C1 ZINC000175028763 379981981 /nfs/dbraw/zinc/98/19/81/379981981.db2.gz KULJCGMJCCZYHS-TXEJJXNPSA-N 0 0 294.351 2.603 20 5 CFBDRN COc1ccc(C(=O)NCC2(C)CCC2)cc1[N+](=O)[O-] ZINC000175066913 379988541 /nfs/dbraw/zinc/98/85/41/379988541.db2.gz PPHIVICUSXLEQF-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CC1(CNC(=O)CCOc2ccccc2[N+](=O)[O-])CCC1 ZINC000175079330 379992745 /nfs/dbraw/zinc/99/27/45/379992745.db2.gz DUEBJKPSDNMQNS-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1(F)F ZINC000335200191 379998967 /nfs/dbraw/zinc/99/89/67/379998967.db2.gz FMKUXMJXFOCHCD-SSDOTTSWSA-N 0 0 290.291 2.774 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000335857391 380003910 /nfs/dbraw/zinc/00/39/10/380003910.db2.gz HTPDQBUKCOAGAM-NSHDSACASA-N 0 0 274.320 2.562 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H]3CCC[C@@H]32)cc([N+](=O)[O-])c1 ZINC000335858714 380007422 /nfs/dbraw/zinc/00/74/22/380007422.db2.gz YJUFMRALJYNCRB-RISCZKNCSA-N 0 0 274.320 2.918 20 5 CFBDRN COCC1(C)CN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000360084221 284811684 /nfs/dbraw/zinc/81/16/84/284811684.db2.gz SOXXIWCKZPBJIA-UHFFFAOYSA-N 0 0 268.288 2.515 20 5 CFBDRN CC(=O)[C@@H](C)S[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000175198683 380019446 /nfs/dbraw/zinc/01/94/46/380019446.db2.gz OZSSOCMWMMEHHP-NXEZZACHSA-N 0 0 296.348 2.633 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000175414013 380061762 /nfs/dbraw/zinc/06/17/62/380061762.db2.gz KGEBBQGAMIQOAM-UWVGGRQHSA-N 0 0 263.297 2.857 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)NCc2ccc([N+](=O)[O-])cc2)O1 ZINC000175565498 380090962 /nfs/dbraw/zinc/09/09/62/380090962.db2.gz CALATEKIIBTGRB-RISCZKNCSA-N 0 0 292.335 2.559 20 5 CFBDRN Cn1c(C(=O)N2CCC3(CCCC3)CC2)ccc1[N+](=O)[O-] ZINC000335906501 380085429 /nfs/dbraw/zinc/08/54/29/380085429.db2.gz TYWPYRQQGYBYPQ-UHFFFAOYSA-N 0 0 291.351 2.730 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000335878480 380039053 /nfs/dbraw/zinc/03/90/53/380039053.db2.gz UJHAWOXJTTZQCO-GXSJLCMTSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000335881157 380044371 /nfs/dbraw/zinc/04/43/71/380044371.db2.gz IVBLUXKNRRUCQZ-OCAPTIKFSA-N 0 0 268.700 2.871 20 5 CFBDRN Cc1cc(C)c(C(=O)NCc2ccccc2[N+](=O)[O-])o1 ZINC000175610933 380098599 /nfs/dbraw/zinc/09/85/99/380098599.db2.gz QPYJCZHHWJGMRY-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN C[C@@H](N(C)C(=O)Cc1ccccc1[N+](=O)[O-])C1(C)CC1 ZINC000175619829 380102590 /nfs/dbraw/zinc/10/25/90/380102590.db2.gz UBSPZMJZLLDHEV-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)NCc2cccc([N+](=O)[O-])c2)O1 ZINC000175749296 380124464 /nfs/dbraw/zinc/12/44/64/380124464.db2.gz OQMTZOFWADPFFK-RISCZKNCSA-N 0 0 292.335 2.559 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c(C)n1C ZINC000335934952 380124545 /nfs/dbraw/zinc/12/45/45/380124545.db2.gz LVLSEADSXOZJFH-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN O=C(N[C@H]1CC[C@@H](F)C1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000335957809 380160721 /nfs/dbraw/zinc/16/07/21/380160721.db2.gz YJKYYRANNAIGGG-BDAKNGLRSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC[C@H]1C ZINC000335961414 380165567 /nfs/dbraw/zinc/16/55/67/380165567.db2.gz YEIUAXFFSSIHCQ-LDYMZIIASA-N 0 0 263.297 2.823 20 5 CFBDRN CCC1(CNC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])CC1 ZINC000176088970 380176967 /nfs/dbraw/zinc/17/69/67/380176967.db2.gz ZGHNZFWREZRYMK-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCC(F)(F)CC1 ZINC000335986675 380198964 /nfs/dbraw/zinc/19/89/64/380198964.db2.gz VUXKKGWMKITYIA-UHFFFAOYSA-N 0 0 287.266 2.573 20 5 CFBDRN C[C@@H](N(C)C(=O)CNc1ccccc1[N+](=O)[O-])C1(C)CC1 ZINC000176248733 380201218 /nfs/dbraw/zinc/20/12/18/380201218.db2.gz ZECHNKUECMWZEL-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@H]1CCC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000336011823 380234243 /nfs/dbraw/zinc/23/42/43/380234243.db2.gz CSFKDUPEHQLVSD-QWRGUYRKSA-N 0 0 279.340 2.584 20 5 CFBDRN O=C(C1CC(F)(F)C1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000336169703 380279583 /nfs/dbraw/zinc/27/95/83/380279583.db2.gz AWQCLVOBFQRMSY-UHFFFAOYSA-N 0 0 296.273 2.919 20 5 CFBDRN O=C(C1CC(F)(F)C1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000336492630 380360248 /nfs/dbraw/zinc/36/02/48/380360248.db2.gz VINGTCMCHDPWLB-UHFFFAOYSA-N 0 0 296.273 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOCc2ccccc2)n1 ZINC000178244250 380426965 /nfs/dbraw/zinc/42/69/65/380426965.db2.gz WYXWOBWWERBJCY-UHFFFAOYSA-N 0 0 287.319 2.927 20 5 CFBDRN Cc1cnc(OCCOc2ccccc2)c([N+](=O)[O-])c1 ZINC000178279724 380430648 /nfs/dbraw/zinc/43/06/48/380430648.db2.gz ABOGXCQOVFNJIB-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CC[C@H]2CCC[C@H]21 ZINC000336548229 380450369 /nfs/dbraw/zinc/45/03/69/380450369.db2.gz UAHNQHWRTXLLQQ-DGCLKSJQSA-N 0 0 289.335 2.679 20 5 CFBDRN CN(C(=O)CCc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000179021516 380502182 /nfs/dbraw/zinc/50/21/82/380502182.db2.gz PLLWAIRBEZWYDQ-UHFFFAOYSA-N 0 0 274.276 2.783 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000336557359 380462474 /nfs/dbraw/zinc/46/24/74/380462474.db2.gz MIZCSVKNFNXDPK-NXEZZACHSA-N 0 0 266.272 2.524 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])ccc2OC)CCC1 ZINC000178595920 380467536 /nfs/dbraw/zinc/46/75/36/380467536.db2.gz KNKQJXKESHGVMX-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN O=[N+]([O-])c1ccc(SCC2CCOCC2)nc1 ZINC000178752311 380482374 /nfs/dbraw/zinc/48/23/74/380482374.db2.gz GPLAMXIFTZMEOJ-UHFFFAOYSA-N 0 0 254.311 2.509 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1[O-])N1C[C@@H]2CCCC[C@@H]2C1 ZINC000179538329 380554160 /nfs/dbraw/zinc/55/41/60/380554160.db2.gz HMQYDWQNAHCAKN-PHIMTYICSA-N 0 0 290.319 2.563 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCc1ccccc1 ZINC000336722279 380613400 /nfs/dbraw/zinc/61/34/00/380613400.db2.gz VQJKMWYRFGEDGE-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN CC1(C)[C@H](O)CCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000336814352 380674532 /nfs/dbraw/zinc/67/45/32/380674532.db2.gz FLCWBKRFVNDJAZ-GFCCVEGCSA-N 0 0 284.743 2.593 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(F)c1 ZINC000180583257 380679366 /nfs/dbraw/zinc/67/93/66/380679366.db2.gz WVYMRXQREPPIBY-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(F)c(F)c1 ZINC000180587515 380679944 /nfs/dbraw/zinc/67/99/44/380679944.db2.gz AYEIRDNOLIOGOP-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CCCCCNC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000279159404 194309945 /nfs/dbraw/zinc/30/99/45/194309945.db2.gz BQRJTNWHQNDBNH-UHFFFAOYSA-N 0 0 280.324 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CCC[C@@H](C)C2)c1=O ZINC000180839320 380704875 /nfs/dbraw/zinc/70/48/75/380704875.db2.gz KPXUXTGDKVQEBD-ZYHUDNBSSA-N 0 0 264.325 2.891 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000181181445 380753291 /nfs/dbraw/zinc/75/32/91/380753291.db2.gz OFJVEYRMWYONAK-FZMZJTMJSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180977690 380719754 /nfs/dbraw/zinc/71/97/54/380719754.db2.gz UGQYWMYGGDWMNB-GHMZBOCLSA-N 0 0 291.351 2.733 20 5 CFBDRN COC[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000279167605 194314268 /nfs/dbraw/zinc/31/42/68/194314268.db2.gz ULTQGBVNTADGSS-OCCSQVGLSA-N 0 0 280.324 2.509 20 5 CFBDRN CO[C@H](C)CCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000181101651 380739783 /nfs/dbraw/zinc/73/97/83/380739783.db2.gz GHJNUZBBGNHRDV-GHMZBOCLSA-N 0 0 280.324 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSC[C@@H](C)OC ZINC000181132152 380745487 /nfs/dbraw/zinc/74/54/87/380745487.db2.gz PKYVKZMWMOUKDP-SECBINFHSA-N 0 0 271.338 2.872 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000279180193 194321096 /nfs/dbraw/zinc/32/10/96/194321096.db2.gz VLYKSEVTZPAWMB-WDEREUQCSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ncc([N+](=O)[O-])cc2C)cc1 ZINC000264520428 383750247 /nfs/dbraw/zinc/75/02/47/383750247.db2.gz ZZJPRRARPXKZFW-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1ccc([C@@H](C)NCC(=O)OCC(C)C)cc1[N+](=O)[O-] ZINC000181508843 380810579 /nfs/dbraw/zinc/81/05/79/380810579.db2.gz FGKLCOTZCHVMQW-GFCCVEGCSA-N 0 0 294.351 2.753 20 5 CFBDRN CC(=O)c1cc(N[C@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000287353002 380811964 /nfs/dbraw/zinc/81/19/64/380811964.db2.gz PLKAASBRXMWIKD-SECBINFHSA-N 0 0 288.307 2.704 20 5 CFBDRN CC(F)(F)CCCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000295470112 380875473 /nfs/dbraw/zinc/87/54/73/380875473.db2.gz HWHYEXZSWVYUJK-UHFFFAOYSA-N 0 0 272.251 2.760 20 5 CFBDRN CCCn1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000181803315 380901376 /nfs/dbraw/zinc/90/13/76/380901376.db2.gz LMCUKTRJTVAMIQ-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN CCO[C@@H](C)c1nc(Cn2cc([N+](=O)[O-])c(C)n2)cs1 ZINC000181818869 380905182 /nfs/dbraw/zinc/90/51/82/380905182.db2.gz ZYVSWQMRKQHHCV-VIFPVBQESA-N 0 0 296.352 2.702 20 5 CFBDRN CCc1nc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000181827558 380907773 /nfs/dbraw/zinc/90/77/73/380907773.db2.gz HACQFMJLMXILFZ-SNVBAGLBSA-N 0 0 290.323 2.699 20 5 CFBDRN Cc1ccc(OC(=O)OC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000287689603 380909077 /nfs/dbraw/zinc/90/90/77/380909077.db2.gz FEDATBLBUZRJKR-NSHDSACASA-N 0 0 281.264 2.598 20 5 CFBDRN C[C@H](NC(=O)OC[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000287704154 380911894 /nfs/dbraw/zinc/91/18/94/380911894.db2.gz FYLVIWIMRQKJMG-WDEREUQCSA-N 0 0 294.307 2.561 20 5 CFBDRN CC[C@@H](C)CC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000358548033 380915468 /nfs/dbraw/zinc/91/54/68/380915468.db2.gz STKLVMIJHSVLJB-LLVKDONJSA-N 0 0 279.340 2.559 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCCOCC2)n1 ZINC000408172414 380915594 /nfs/dbraw/zinc/91/55/94/380915594.db2.gz VZQNQCVHEXHBBF-LLVKDONJSA-N 0 0 265.313 2.588 20 5 CFBDRN CC1(CNC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000341301339 380918633 /nfs/dbraw/zinc/91/86/33/380918633.db2.gz QTYJAVCKYFSNGM-UHFFFAOYSA-N 0 0 297.742 2.848 20 5 CFBDRN COc1ccncc1COc1ccc([N+](=O)[O-])cc1OC ZINC000341340956 380949333 /nfs/dbraw/zinc/94/93/33/380949333.db2.gz PNULQKXYRJQXBG-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCCO1 ZINC000353937496 380972812 /nfs/dbraw/zinc/97/28/12/380972812.db2.gz KJAVAENRBNEHNB-UHFFFAOYSA-N 0 0 279.296 2.717 20 5 CFBDRN Cc1cc(NC(=O)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000347157725 380975418 /nfs/dbraw/zinc/97/54/18/380975418.db2.gz DREBCUYLUKKPIK-UHFFFAOYSA-N 0 0 260.249 2.677 20 5 CFBDRN Cc1nc(NC2CCCCCC2)ncc1[N+](=O)[O-] ZINC000295617494 380977332 /nfs/dbraw/zinc/97/73/32/380977332.db2.gz ZJFCLBHQYLAZNJ-UHFFFAOYSA-N 0 0 250.302 2.828 20 5 CFBDRN Cc1c(NC(=O)c2ccc([N+](=O)[O-])o2)cccc1N(C)C ZINC000181929646 380940733 /nfs/dbraw/zinc/94/07/33/380940733.db2.gz CCWXYXPPJCWIOF-UHFFFAOYSA-N 0 0 289.291 2.815 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CO1 ZINC000347109960 380945577 /nfs/dbraw/zinc/94/55/77/380945577.db2.gz TVKZPCUFIPQSGC-RNFRBKRXSA-N 0 0 281.293 2.599 20 5 CFBDRN COc1ccncc1COc1ccc(C)c([N+](=O)[O-])c1 ZINC000341335888 380945692 /nfs/dbraw/zinc/94/56/92/380945692.db2.gz GHOCXDKAOVDNNF-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@](C)(F)C2)c1 ZINC000295570201 380946621 /nfs/dbraw/zinc/94/66/21/380946621.db2.gz MDZUANQIRRRDQW-AWEZNQCLSA-N 0 0 296.298 2.568 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCCOC[C@@H]1CCCO1 ZINC000341338886 380946951 /nfs/dbraw/zinc/94/69/51/380946951.db2.gz HNSRQFGVZWSPQX-NSHDSACASA-N 0 0 298.314 2.732 20 5 CFBDRN CC1(C)CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000353907196 380948002 /nfs/dbraw/zinc/94/80/02/380948002.db2.gz VSCSLKHKOWZSNR-SECBINFHSA-N 0 0 265.313 2.622 20 5 CFBDRN COCCC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000182195928 381016735 /nfs/dbraw/zinc/01/67/35/381016735.db2.gz ULLHTMGACBYOHF-NSHDSACASA-N 0 0 281.308 2.701 20 5 CFBDRN COc1ccc(CNc2ncc(C)s2)cc1[N+](=O)[O-] ZINC000182194290 381016964 /nfs/dbraw/zinc/01/69/64/381016964.db2.gz OIJRPHYRXMNLJK-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN O=C1CCN1c1ccc(NCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000341462206 381027147 /nfs/dbraw/zinc/02/71/47/381027147.db2.gz NQESKLICVXMPOT-UHFFFAOYSA-N 0 0 297.314 2.944 20 5 CFBDRN Cc1nc(N[C@@H](C)c2c(F)cncc2F)ncc1[N+](=O)[O-] ZINC000295656856 380998710 /nfs/dbraw/zinc/99/87/10/380998710.db2.gz HIFIYUGIIYINIV-ZETCQYMHSA-N 0 0 295.249 2.540 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](C3CC3)C2)n1 ZINC000288105535 381007737 /nfs/dbraw/zinc/00/77/37/381007737.db2.gz BGHAOILKIHSGGE-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000411088913 381008649 /nfs/dbraw/zinc/00/86/49/381008649.db2.gz QHKMLVXTBYQJQG-LLVKDONJSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cc(NCc2nnc(C3CC3)n2C)ccc1[N+](=O)[O-] ZINC000354065936 381056507 /nfs/dbraw/zinc/05/65/07/381056507.db2.gz QXTFWEFNBKOJDQ-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1cccc(F)c1F ZINC000347312251 381063467 /nfs/dbraw/zinc/06/34/67/381063467.db2.gz ALBHYBJQFNLSDK-SSDOTTSWSA-N 0 0 295.245 2.692 20 5 CFBDRN CCCCCN1CC(=O)N[C@H]1c1ccc(C)c([N+](=O)[O-])c1 ZINC000182330607 381065926 /nfs/dbraw/zinc/06/59/26/381065926.db2.gz FOIJHLOCHAKRLZ-OAHLLOKOSA-N 0 0 291.351 2.524 20 5 CFBDRN COc1cccc(NCCc2ncccc2C)c1[N+](=O)[O-] ZINC000295774274 381067778 /nfs/dbraw/zinc/06/77/78/381067778.db2.gz QDQCORFWKZAMFX-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000182238576 381034143 /nfs/dbraw/zinc/03/41/43/381034143.db2.gz IOSVOIRGZFZIKW-JTQLQIEISA-N 0 0 266.297 2.596 20 5 CFBDRN Cc1nc(N[C@H](C)c2ccc(Cl)cn2)ncc1[N+](=O)[O-] ZINC000295719535 381034727 /nfs/dbraw/zinc/03/47/27/381034727.db2.gz TZOVNXBTFOPCHT-SSDOTTSWSA-N 0 0 293.714 2.915 20 5 CFBDRN C[C@@H](NC(=O)OC1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000289661362 381090340 /nfs/dbraw/zinc/09/03/40/381090340.db2.gz FJBOEBVTGVLMAM-SNVBAGLBSA-N 0 0 294.307 2.561 20 5 CFBDRN CO[C@@H]1CC[N@H+](CCc2ccc([N+](=O)[O-])cc2)CC1(C)C ZINC000295818526 381095008 /nfs/dbraw/zinc/09/50/08/381095008.db2.gz CBNCABKUTFCFNI-OAHLLOKOSA-N 0 0 292.379 2.884 20 5 CFBDRN CCc1cnccc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000182510746 381104189 /nfs/dbraw/zinc/10/41/89/381104189.db2.gz QYMYCTWFLOUJPU-UHFFFAOYSA-N 0 0 299.330 2.824 20 5 CFBDRN CC[C@@H](CSC)N(C)c1c([N+](=O)[O-])nc(C)n1CC ZINC000289687410 381104165 /nfs/dbraw/zinc/10/41/65/381104165.db2.gz QCTKJLJBSIPXKK-JTQLQIEISA-N 0 0 286.401 2.697 20 5 CFBDRN CC(C)(C)C(=O)NCCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000279341950 194392066 /nfs/dbraw/zinc/39/20/66/194392066.db2.gz ILPBWPMNRWKOOR-UHFFFAOYSA-N 0 0 299.758 2.822 20 5 CFBDRN CC/C=C\CCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000341600475 381114591 /nfs/dbraw/zinc/11/45/91/381114591.db2.gz BRSBBJUUAMWXPY-ARJAWSKDSA-N 0 0 256.689 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC3(CCC3)C2)cc1 ZINC000368699297 381116110 /nfs/dbraw/zinc/11/61/10/381116110.db2.gz BUIUEZXDYMWGLV-UHFFFAOYSA-N 0 0 262.309 2.602 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])CC1(C)C ZINC000295790135 381077768 /nfs/dbraw/zinc/07/77/68/381077768.db2.gz RMRRDXYTFYIEGA-CYBMUJFWSA-N 0 0 282.315 2.985 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H](C)CCCCO)c1 ZINC000295891186 381140626 /nfs/dbraw/zinc/14/06/26/381140626.db2.gz BPYZYEAJRVKGPT-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1ccncc1)CC2 ZINC000182749092 381148572 /nfs/dbraw/zinc/14/85/72/381148572.db2.gz LHUSXMXOKBDSRR-UHFFFAOYSA-N 0 0 255.277 2.553 20 5 CFBDRN CCOC(=O)C[C@@H](C)[N@H+](C)Cc1cccc([N+](=O)[O-])c1C ZINC000182642788 381126410 /nfs/dbraw/zinc/12/64/10/381126410.db2.gz GGLJQNNCCNWLBM-LLVKDONJSA-N 0 0 294.351 2.677 20 5 CFBDRN Cc1cc(=O)[nH]cc1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000358938920 381166804 /nfs/dbraw/zinc/16/68/04/381166804.db2.gz RXWJPPDRKLVXDN-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CC1(C)C[C@H](O)CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000295944099 381172607 /nfs/dbraw/zinc/17/26/07/381172607.db2.gz IEECXZJYBTVIKC-SNVBAGLBSA-N 0 0 299.758 2.631 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H](C)COC ZINC000289817472 381173539 /nfs/dbraw/zinc/17/35/39/381173539.db2.gz OHYSTSRDUHNNMF-SNVBAGLBSA-N 0 0 295.339 2.561 20 5 CFBDRN Cc1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])cc1F ZINC000295950120 381174916 /nfs/dbraw/zinc/17/49/16/381174916.db2.gz FJLLLRNPEKCCQZ-UHFFFAOYSA-N 0 0 269.272 2.875 20 5 CFBDRN CCn1cc([C@H](C)NCc2ccc([N+](=O)[O-])c(F)c2)cn1 ZINC000295968041 381187957 /nfs/dbraw/zinc/18/79/57/381187957.db2.gz IEYOCOYLWKWTCI-JTQLQIEISA-N 0 0 292.314 2.801 20 5 CFBDRN CC(C)(CCc1nc(-c2ccsc2)no1)[N+](=O)[O-] ZINC000295968343 381188855 /nfs/dbraw/zinc/18/88/55/381188855.db2.gz QCJMVEJZMVUELD-UHFFFAOYSA-N 0 0 267.310 2.786 20 5 CFBDRN C[C@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412158990 381189166 /nfs/dbraw/zinc/18/91/66/381189166.db2.gz RGETYYDMGPJJMT-LEWSCRJBSA-N 0 0 274.320 2.709 20 5 CFBDRN CCc1nc(C)cc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000341726001 381191956 /nfs/dbraw/zinc/19/19/56/381191956.db2.gz GEUOXORFLRNQPT-NSHDSACASA-N 0 0 286.335 2.851 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000347523879 381200963 /nfs/dbraw/zinc/20/09/63/381200963.db2.gz RQBGXDHIBWZZQY-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1(C)C ZINC000296007443 381208557 /nfs/dbraw/zinc/20/85/57/381208557.db2.gz KXSMEROQMPWYDP-JTQLQIEISA-N 0 0 298.364 2.543 20 5 CFBDRN CCC(CC)[C@H](O)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359084929 381255558 /nfs/dbraw/zinc/25/55/58/381255558.db2.gz RLCWQRKVMHAVEG-GFCCVEGCSA-N 0 0 281.356 2.816 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC(C)(C)CCCO)n1 ZINC000359085845 381256690 /nfs/dbraw/zinc/25/66/90/381256690.db2.gz UFASIGDHBXIUNG-UHFFFAOYSA-N 0 0 281.356 2.817 20 5 CFBDRN Cc1nc(CCNc2nc(C)cc(C)c2[N+](=O)[O-])c(C)o1 ZINC000359090710 381260484 /nfs/dbraw/zinc/26/04/84/381260484.db2.gz DKZIXSLXPOSYDZ-UHFFFAOYSA-N 0 0 290.323 2.866 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Nc2cnn(CC(F)F)c2)n1 ZINC000359092759 381260595 /nfs/dbraw/zinc/26/05/95/381260595.db2.gz JFWDHOUYOWYORJ-UHFFFAOYSA-N 0 0 297.265 2.812 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@@H]1C=CCCC1 ZINC000342311789 381270714 /nfs/dbraw/zinc/27/07/14/381270714.db2.gz JAAVPFLCMKOMQG-CYBMUJFWSA-N 0 0 299.330 2.774 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(SC2CCOCC2)n1 ZINC000359096644 381263877 /nfs/dbraw/zinc/26/38/77/381263877.db2.gz QAPWDDAXFJFZDT-UHFFFAOYSA-N 0 0 268.338 2.878 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)[C@H]2CCCCO2)n1 ZINC000359099991 381265353 /nfs/dbraw/zinc/26/53/53/381265353.db2.gz WDTQBFBFYWZAQI-VXGBXAGGSA-N 0 0 279.340 2.976 20 5 CFBDRN CCC1(C)CN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000412360188 381268605 /nfs/dbraw/zinc/26/86/05/381268605.db2.gz RCNPEMZKNUCEBE-UONOGXRCSA-N 0 0 288.347 2.957 20 5 CFBDRN COc1cncc(CN[C@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC000289935063 381224290 /nfs/dbraw/zinc/22/42/90/381224290.db2.gz OMJHEKGNEWMBHC-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1cnn(C2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c1 ZINC000369275862 381225999 /nfs/dbraw/zinc/22/59/99/381225999.db2.gz ZGLPEARTORURPJ-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCC(C)(C)OC ZINC000342261290 381233936 /nfs/dbraw/zinc/23/39/36/381233936.db2.gz ABEREPAXKVHLGJ-UHFFFAOYSA-N 0 0 296.323 2.527 20 5 CFBDRN CC[C@]1(C)CCCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342261983 381234993 /nfs/dbraw/zinc/23/49/93/381234993.db2.gz BXPFDPYKVBECHN-OAHLLOKOSA-N 0 0 291.351 2.710 20 5 CFBDRN COCC(C)(C)CC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000412292234 381246655 /nfs/dbraw/zinc/24/66/55/381246655.db2.gz PCNIACISDWFFPR-UHFFFAOYSA-N 0 0 294.351 2.582 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCOC1(C)C ZINC000296177123 381288129 /nfs/dbraw/zinc/28/81/29/381288129.db2.gz LQOJXBIXMJDFOB-GFCCVEGCSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@H](C(=O)NCC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000347681941 381295210 /nfs/dbraw/zinc/29/52/10/381295210.db2.gz YKJYGXIDUBMZPH-VIFPVBQESA-N 0 0 280.299 2.754 20 5 CFBDRN CC(C)n1cnc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000342370413 381299214 /nfs/dbraw/zinc/29/92/14/381299214.db2.gz LHVCRVWXZRLHEH-UHFFFAOYSA-N 0 0 274.280 2.625 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000183647255 381300694 /nfs/dbraw/zinc/30/06/94/381300694.db2.gz RREJEUGRJQSUOD-ZJUUUORDSA-N 0 0 278.312 2.642 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCC[C@H]3COC[C@H]32)c1 ZINC000369503548 381276801 /nfs/dbraw/zinc/27/68/01/381276801.db2.gz MMUWLSCGGIWUIL-IINYFYTJSA-N 0 0 296.754 2.859 20 5 CFBDRN Cc1ccncc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000359133733 381284692 /nfs/dbraw/zinc/28/46/92/381284692.db2.gz AXMZUXQJZKKZIG-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CC(C)(C)c1nc(NCCc2ccc([N+](=O)[O-])cc2)no1 ZINC000183821784 381325149 /nfs/dbraw/zinc/32/51/49/381325149.db2.gz ALJCAQVETGEFGY-UHFFFAOYSA-N 0 0 290.323 2.930 20 5 CFBDRN Cc1cnc(NCC2(CCO)CCCC2)c([N+](=O)[O-])c1 ZINC000342444819 381335336 /nfs/dbraw/zinc/33/53/36/381335336.db2.gz HYNFYNRNSIGJEH-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)CC2CCCC2)cn1 ZINC000359301195 381336942 /nfs/dbraw/zinc/33/69/42/381336942.db2.gz SSGMBAKKUCGIFJ-GFCCVEGCSA-N 0 0 279.340 2.591 20 5 CFBDRN CN(Cc1c(F)cccc1Cl)c1ncc([N+](=O)[O-])cn1 ZINC000354509460 381310425 /nfs/dbraw/zinc/31/04/25/381310425.db2.gz ONDDKLPNRWMXDT-UHFFFAOYSA-N 0 0 296.689 2.814 20 5 CFBDRN Cc1c(C(=O)NC23CCC(CC2)C3)cccc1[N+](=O)[O-] ZINC000369906645 381349697 /nfs/dbraw/zinc/34/96/97/381349697.db2.gz BBYXESAYRPCGLB-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CCOc1cccc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000342500625 381362741 /nfs/dbraw/zinc/36/27/41/381362741.db2.gz DKACWMDCFUSXLY-UHFFFAOYSA-N 0 0 274.276 2.968 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C(C)(C)O ZINC000296361967 381362922 /nfs/dbraw/zinc/36/29/22/381362922.db2.gz QPXIBKVKRMOGTA-GFCCVEGCSA-N 0 0 295.339 2.574 20 5 CFBDRN CO[C@](C)([C@@H](C)NC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000412616956 381367975 /nfs/dbraw/zinc/36/79/75/381367975.db2.gz SJCNORTUMWEPAV-AMIZOPFISA-N 0 0 298.364 2.590 20 5 CFBDRN CO[C@@](C)([C@@H](C)NC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000412616958 381368280 /nfs/dbraw/zinc/36/82/80/381368280.db2.gz SJCNORTUMWEPAV-OQPBUACISA-N 0 0 298.364 2.590 20 5 CFBDRN CC(C)CONC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296379437 381369432 /nfs/dbraw/zinc/36/94/32/381369432.db2.gz FPYGMPGJKNZVLL-JTQLQIEISA-N 0 0 281.312 2.543 20 5 CFBDRN CC[C@@H](C)NC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296460058 381405398 /nfs/dbraw/zinc/40/53/98/381405398.db2.gz YWVVMIFUTZGOBD-ZJUUUORDSA-N 0 0 265.313 2.754 20 5 CFBDRN Cc1ccc(N[C@H]2CCc3nc[nH]c3C2)c([N+](=O)[O-])c1 ZINC000370271003 381417534 /nfs/dbraw/zinc/41/75/34/381417534.db2.gz ONUAOVBREZHSIF-JTQLQIEISA-N 0 0 272.308 2.596 20 5 CFBDRN C[C@@H](C(=O)N(C)CC(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000347864071 381386180 /nfs/dbraw/zinc/38/61/80/381386180.db2.gz XURVTRCCXDIJBW-SSDOTTSWSA-N 0 0 290.241 2.561 20 5 CFBDRN COc1c(C(=O)Nc2ncc(C)s2)cccc1[N+](=O)[O-] ZINC000354713236 381416231 /nfs/dbraw/zinc/41/62/31/381416231.db2.gz RETNLSZTTVJGDZ-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@H](C2CC2)C1 ZINC000290367870 381402035 /nfs/dbraw/zinc/40/20/35/381402035.db2.gz APXWLMAKABLHIC-AWEZNQCLSA-N 0 0 288.347 2.786 20 5 CFBDRN COc1ccccc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347938125 381423551 /nfs/dbraw/zinc/42/35/51/381423551.db2.gz ASRDVUDBPKNLMO-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCO[C@H](C)C1 ZINC000354739321 381431473 /nfs/dbraw/zinc/43/14/73/381431473.db2.gz WLGNUVZNOITQMY-MNOVXSKESA-N 0 0 293.323 2.592 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000347956213 381432893 /nfs/dbraw/zinc/43/28/93/381432893.db2.gz LPLGHFXHRDSHDL-VXGBXAGGSA-N 0 0 282.271 2.630 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347964586 381437636 /nfs/dbraw/zinc/43/76/36/381437636.db2.gz PJMPVRUGZZNELB-ISVAXAHUSA-N 0 0 294.282 2.678 20 5 CFBDRN CCCCO[C@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347980100 381443270 /nfs/dbraw/zinc/44/32/70/381443270.db2.gz GCJOKZCTEJEMKT-SECBINFHSA-N 0 0 284.287 2.878 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)C(F)F ZINC000347982858 381444502 /nfs/dbraw/zinc/44/45/02/381444502.db2.gz FOGQDZLTIGIYSB-UHFFFAOYSA-N 0 0 288.250 2.833 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC[C@@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000354771874 381445298 /nfs/dbraw/zinc/44/52/98/381445298.db2.gz PUOUUDNQQWVSIH-GHMZBOCLSA-N 0 0 294.326 2.879 20 5 CFBDRN C[N@H+](CC[C@@H]1CCCO1)Cc1cccc([N+](=O)[O-])c1 ZINC000342590798 381419427 /nfs/dbraw/zinc/41/94/27/381419427.db2.gz NKSVNRBUQLWHFI-AWEZNQCLSA-N 0 0 264.325 2.596 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@@H](O)Cc1ccccc1 ZINC000184775593 381475833 /nfs/dbraw/zinc/47/58/33/381475833.db2.gz CCZYTKZUEDWSOD-LBPRGKRZSA-N 0 0 291.278 2.716 20 5 CFBDRN CC(C)C[C@H]1OCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000184767077 381476761 /nfs/dbraw/zinc/47/67/61/381476761.db2.gz LNUQNVWVTQBRJF-ZYHUDNBSSA-N 0 0 296.323 2.511 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](C(C)(C)C)C1 ZINC000359574784 381480296 /nfs/dbraw/zinc/48/02/96/381480296.db2.gz JFZOHJZGCYWJMW-LLVKDONJSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H](C)Cc1ccncc1 ZINC000359528813 381451943 /nfs/dbraw/zinc/45/19/43/381451943.db2.gz DCTNRHBNMVBVKY-SNVBAGLBSA-N 0 0 289.339 2.558 20 5 CFBDRN Cc1nc(N[C@@H](C)c2cccs2)ncc1[N+](=O)[O-] ZINC000296570856 381454155 /nfs/dbraw/zinc/45/41/55/381454155.db2.gz QKCQOMWAGAXLKR-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)CC(C)(C)O ZINC000296584550 381459603 /nfs/dbraw/zinc/45/96/03/381459603.db2.gz FXAGGJVOPRZYDV-JTQLQIEISA-N 0 0 295.339 2.574 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C[C@@H]2CCCCCC[C@H]21 ZINC000370548406 381465576 /nfs/dbraw/zinc/46/55/76/381465576.db2.gz KIARDHICVVXECD-WDEREUQCSA-N 0 0 278.308 2.983 20 5 CFBDRN CCCC[C@H](CCC)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290525927 381465624 /nfs/dbraw/zinc/46/56/24/381465624.db2.gz CVDOWXVKXKKTIA-JTQLQIEISA-N 0 0 294.355 2.860 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@H]1C1CC1 ZINC000370752875 381505939 /nfs/dbraw/zinc/50/59/39/381505939.db2.gz IHSUPXWZFCLIKS-ZDUSSCGKSA-N 0 0 260.293 2.609 20 5 CFBDRN CCCNC(=O)[C@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000185003104 381509222 /nfs/dbraw/zinc/50/92/22/381509222.db2.gz POZYSCXTMRAWLV-GFCCVEGCSA-N 0 0 264.325 2.690 20 5 CFBDRN CCCN(CCC)C(=O)CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000359622131 381513479 /nfs/dbraw/zinc/51/34/79/381513479.db2.gz XGSQMPXDVWSYNR-UHFFFAOYSA-N 0 0 297.330 2.794 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2cn3ccccc3n2)n1 ZINC000359624996 381517260 /nfs/dbraw/zinc/51/72/60/381517260.db2.gz KARCLTWSKGKIMN-UHFFFAOYSA-N 0 0 298.302 2.833 20 5 CFBDRN CC[C@H](CNc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1)OC ZINC000290593166 381491513 /nfs/dbraw/zinc/49/15/13/381491513.db2.gz DCGVEDUCDQQLAR-LLVKDONJSA-N 0 0 296.323 2.527 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000354875040 381499992 /nfs/dbraw/zinc/49/99/92/381499992.db2.gz FGJQLXICAVGCDV-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN COCC1(CNC(=O)c2ccc([N+](=O)[O-])o2)CCCCC1 ZINC000290743687 381537534 /nfs/dbraw/zinc/53/75/34/381537534.db2.gz PCUULICKNOIHHS-UHFFFAOYSA-N 0 0 296.323 2.515 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000290743416 381538296 /nfs/dbraw/zinc/53/82/96/381538296.db2.gz RUCLGQGQWGCKRJ-NXEZZACHSA-N 0 0 275.308 2.978 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CCSCC1 ZINC000185142900 381545261 /nfs/dbraw/zinc/54/52/61/381545261.db2.gz VTSKZWLVTSQCAG-ZHACJKMWSA-N 0 0 292.360 2.574 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCC(Cl)(Cl)Cl ZINC000185147665 381545722 /nfs/dbraw/zinc/54/57/22/381545722.db2.gz LPMDNCGKBBRSDL-UHFFFAOYSA-N 0 0 285.514 2.517 20 5 CFBDRN Cc1nnc([C@H]2CCCCN2c2ccccc2[N+](=O)[O-])[nH]1 ZINC000371105284 381549657 /nfs/dbraw/zinc/54/96/57/381549657.db2.gz BHDAKNNUTVTSEP-CYBMUJFWSA-N 0 0 287.323 2.753 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000296727363 381521833 /nfs/dbraw/zinc/52/18/33/381521833.db2.gz GRIKYFFWOXXCRL-MNOVXSKESA-N 0 0 277.324 2.850 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H]1CCC(C)(C)C1=O ZINC000412993657 381525628 /nfs/dbraw/zinc/52/56/28/381525628.db2.gz OZBGTUZXDCUGIN-NSHDSACASA-N 0 0 279.292 2.740 20 5 CFBDRN CC1(C)CC[C@@H](Sc2ccc([N+](=O)[O-])cn2)C1=O ZINC000412995075 381527214 /nfs/dbraw/zinc/52/72/14/381527214.db2.gz BUIGELKTRYWZNB-SECBINFHSA-N 0 0 266.322 2.840 20 5 CFBDRN COC(C)(C)CCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000412995485 381527591 /nfs/dbraw/zinc/52/75/91/381527591.db2.gz QUSUSFZVOLHUKC-UHFFFAOYSA-N 0 0 290.323 2.668 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCc2ncccc2C1 ZINC000371008464 381534187 /nfs/dbraw/zinc/53/41/87/381534187.db2.gz YCSQYNDXIVAKOE-UHFFFAOYSA-N 0 0 290.710 2.601 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCOc1ncccc1F ZINC000342778811 381534644 /nfs/dbraw/zinc/53/46/44/381534644.db2.gz KHGOZNKXAPAGQN-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@@H](C2CC2)C1 ZINC000290741625 381535923 /nfs/dbraw/zinc/53/59/23/381535923.db2.gz XNLSFSLVLADMDG-GFCCVEGCSA-N 0 0 274.320 2.857 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000359711197 381573296 /nfs/dbraw/zinc/57/32/96/381573296.db2.gz YVTDLWDZXSNFPI-NSHDSACASA-N 0 0 296.371 2.564 20 5 CFBDRN COc1cccc(N2C[C@@H](C)OC(C)(C)C2)c1[N+](=O)[O-] ZINC000185248190 381576191 /nfs/dbraw/zinc/57/61/91/381576191.db2.gz CGXYIDZOHWOFJW-SNVBAGLBSA-N 0 0 280.324 2.607 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000185263796 381580712 /nfs/dbraw/zinc/58/07/12/381580712.db2.gz POBQKIBIWFUXCI-GFCCVEGCSA-N 0 0 278.352 2.936 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCCCC[C@H]21 ZINC000371177998 381562187 /nfs/dbraw/zinc/56/21/87/381562187.db2.gz VTVCXEANBMGXKM-GXTWGEPZSA-N 0 0 274.320 3.000 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCCn2cccc2)s1 ZINC000342817835 381566492 /nfs/dbraw/zinc/56/64/92/381566492.db2.gz RULQJZJITTYWED-UHFFFAOYSA-N 0 0 289.320 2.513 20 5 CFBDRN CC(C)(C)N1C[C@H](Nc2c(F)cccc2[N+](=O)[O-])CC1=O ZINC000342818802 381566605 /nfs/dbraw/zinc/56/66/05/381566605.db2.gz YNNUOUSYCWMNRQ-SECBINFHSA-N 0 0 295.314 2.545 20 5 CFBDRN CC(C)C[C@H](CO)N(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000185347389 381605387 /nfs/dbraw/zinc/60/53/87/381605387.db2.gz VWJPFNJCGDYLHY-LLVKDONJSA-N 0 0 284.331 2.573 20 5 CFBDRN C[C@H](c1ccccc1)N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000342855451 381586684 /nfs/dbraw/zinc/58/66/84/381586684.db2.gz GPYLSOSXYRIYJL-LLVKDONJSA-N 0 0 287.319 2.767 20 5 CFBDRN COC[C@H](C)N(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000290920489 381597635 /nfs/dbraw/zinc/59/76/35/381597635.db2.gz HNVKGDWADRAMEL-VIFPVBQESA-N 0 0 256.277 2.513 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc(C3CCOCC3)n2)c1 ZINC000342924851 381619300 /nfs/dbraw/zinc/61/93/00/381619300.db2.gz GOGXKXKTLKHRHH-UHFFFAOYSA-N 0 0 279.321 2.940 20 5 CFBDRN CC(=O)c1cccc(C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000359809803 381621587 /nfs/dbraw/zinc/62/15/87/381621587.db2.gz SXGCGYPONNDRHR-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN CCC1(CNC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)CC1 ZINC000342931459 381623515 /nfs/dbraw/zinc/62/35/15/381623515.db2.gz YMSQESRZXKFDHG-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN CSCCN(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342932555 381623595 /nfs/dbraw/zinc/62/35/95/381623595.db2.gz FZXGUMNKKKLGJL-UHFFFAOYSA-N 0 0 293.348 2.511 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1c(F)cccc1[N+](=O)[O-] ZINC000342936690 381625367 /nfs/dbraw/zinc/62/53/67/381625367.db2.gz DQHFTPKQSPAXGQ-GWCFXTLKSA-N 0 0 282.315 2.721 20 5 CFBDRN Cc1cc(N[C@H](C)CO)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000359845546 381637954 /nfs/dbraw/zinc/63/79/54/381637954.db2.gz URPDXMYKJRTVRK-SSDOTTSWSA-N 0 0 278.230 2.715 20 5 CFBDRN CNc1cccnc1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000359828363 381629795 /nfs/dbraw/zinc/62/97/95/381629795.db2.gz FGCZWAOCDFBWFW-UHFFFAOYSA-N 0 0 293.714 2.692 20 5 CFBDRN Cc1noc(CNc2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000298447398 381630215 /nfs/dbraw/zinc/63/02/15/381630215.db2.gz FUZWUDOXIDOPEA-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN CCC1(C)CN(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000291011994 381630766 /nfs/dbraw/zinc/63/07/66/381630766.db2.gz DHIJOXHEUDPHNW-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CC(C)(CCc1noc(/C=C/c2ccco2)n1)[N+](=O)[O-] ZINC000291009306 381630972 /nfs/dbraw/zinc/63/09/72/381630972.db2.gz ASIRGLJDOCIQLH-AATRIKPKSA-N 0 0 277.280 2.821 20 5 CFBDRN COC1CCC(C)(CNc2ccc([N+](=O)[O-])cn2)CC1 ZINC000359831927 381631560 /nfs/dbraw/zinc/63/15/60/381631560.db2.gz NUEZGLIGVUCQQM-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN CNc1cccnc1CNc1ccccc1[N+](=O)[O-] ZINC000359850382 381640510 /nfs/dbraw/zinc/64/05/10/381640510.db2.gz VWCHTCCJRQLNBL-UHFFFAOYSA-N 0 0 258.281 2.644 20 5 CFBDRN CCC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000291036418 381642083 /nfs/dbraw/zinc/64/20/83/381642083.db2.gz HNJFLQQZBLLJNU-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@H]2[C@H]2CCCO2)n1 ZINC000343067537 381662412 /nfs/dbraw/zinc/66/24/12/381662412.db2.gz BCBPHUQPUGAVGS-CHWSQXEVSA-N 0 0 291.351 2.754 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCc2ncccc2O)c(F)c1 ZINC000359895680 381665605 /nfs/dbraw/zinc/66/56/05/381665605.db2.gz FIQISZPFEBPPLA-UHFFFAOYSA-N 0 0 281.218 2.586 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(F)(F)[C@@H](C)C1 ZINC000291116586 381670929 /nfs/dbraw/zinc/67/09/29/381670929.db2.gz LWHJFQBQDUWRST-QMMMGPOBSA-N 0 0 288.298 2.601 20 5 CFBDRN CC(C)(CCC(=O)Oc1cccc(F)c1F)[N+](=O)[O-] ZINC000298561374 381674681 /nfs/dbraw/zinc/67/46/81/381674681.db2.gz DLBMCIMQMSSNAQ-UHFFFAOYSA-N 0 0 273.235 2.706 20 5 CFBDRN Cc1cccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1O ZINC000291125163 381675530 /nfs/dbraw/zinc/67/55/30/381675530.db2.gz VOQXMAKGADHLGL-UHFFFAOYSA-N 0 0 291.307 2.739 20 5 CFBDRN CC[N@@H+](Cc1ccc(C(C)C)c([N+](=O)[O-])c1)C[C@H](C)O ZINC000348705733 381676125 /nfs/dbraw/zinc/67/61/25/381676125.db2.gz GHYIVJSERFIWBU-LBPRGKRZSA-N 0 0 280.368 2.921 20 5 CFBDRN CCN(CC)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000298606799 381687256 /nfs/dbraw/zinc/68/72/56/381687256.db2.gz PQKSPIJSNUTWGV-UHFFFAOYSA-N 0 0 262.265 2.823 20 5 CFBDRN CCc1c(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)ccn1C ZINC000291159970 381688523 /nfs/dbraw/zinc/68/85/23/381688523.db2.gz LYWZFXCJPGFYAK-UHFFFAOYSA-N 0 0 287.319 2.772 20 5 CFBDRN CCC(CC)CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000355228959 381691862 /nfs/dbraw/zinc/69/18/62/381691862.db2.gz XGRTYRMWEIOLRC-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1nn(C)c2cc(Nc3ncccc3[N+](=O)[O-])ccc12 ZINC000359952646 381697122 /nfs/dbraw/zinc/69/71/22/381697122.db2.gz OQXOGFLMWQMFIZ-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN Cc1cc(N[C@H]2CCc3nc[nH]c3C2)c(F)cc1[N+](=O)[O-] ZINC000359970274 381704912 /nfs/dbraw/zinc/70/49/12/381704912.db2.gz YNAKDKFRUSXABL-VIFPVBQESA-N 0 0 290.298 2.735 20 5 CFBDRN CCOC(=O)c1cc(NCCCCF)ccc1[N+](=O)[O-] ZINC000359970242 381705483 /nfs/dbraw/zinc/70/54/83/381705483.db2.gz YDHIUCWOVFRBAH-UHFFFAOYSA-N 0 0 284.287 2.933 20 5 CFBDRN C[C@H](CC(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000415040089 381728936 /nfs/dbraw/zinc/72/89/36/381728936.db2.gz JTZVXHHEFZXBDA-ZCFIWIBFSA-N 0 0 276.214 2.964 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000298734291 381730871 /nfs/dbraw/zinc/73/08/71/381730871.db2.gz KCIRUXKUGFONKH-QMMMGPOBSA-N 0 0 256.327 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@]2(CO)C[C@@H]2c2ccccc2)nc1 ZINC000291271963 381731337 /nfs/dbraw/zinc/73/13/37/381731337.db2.gz ZUMAFQUMRRWFKH-GDBMZVCRSA-N 0 0 299.330 2.568 20 5 CFBDRN COCC[C@H]1CCCCN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000372039460 381740207 /nfs/dbraw/zinc/74/02/07/381740207.db2.gz SJIWCLZXFJVWOG-CYBMUJFWSA-N 0 0 293.367 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2noc(C3CC3)n2)c(F)c1 ZINC000343477852 381741462 /nfs/dbraw/zinc/74/14/62/381741462.db2.gz SWFZGBDNLOZFAX-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN COC[C@H]1CCCCN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000372043281 381741775 /nfs/dbraw/zinc/74/17/75/381741775.db2.gz NJVQFXAFOMDQIZ-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN CCN(CC1CCC1)c1nc2sccn2c1[N+](=O)[O-] ZINC000360059226 381756572 /nfs/dbraw/zinc/75/65/72/381756572.db2.gz ZNGKPTORNQDQIY-UHFFFAOYSA-N 0 0 280.353 2.930 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCC[C@H](CO)C1 ZINC000298709365 381719210 /nfs/dbraw/zinc/71/92/10/381719210.db2.gz VXUXAYWFWYISML-WPRPVWTQSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CC23CCCC3)ccc1[N+](=O)[O-] ZINC000355280282 381721416 /nfs/dbraw/zinc/72/14/16/381721416.db2.gz VFWHHKRVDPXJPY-JTQLQIEISA-N 0 0 275.308 2.817 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H](OCC2CC2)C1 ZINC000372268852 381782492 /nfs/dbraw/zinc/78/24/92/381782492.db2.gz TZRMIRICFOSHNU-ZDUSSCGKSA-N 0 0 276.336 2.990 20 5 CFBDRN CC/C=C(\C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000298976702 381790251 /nfs/dbraw/zinc/79/02/51/381790251.db2.gz JAADKGACUJIHGZ-WEVVVXLNSA-N 0 0 264.281 2.898 20 5 CFBDRN O=C(NCCOC1CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000298984690 381792055 /nfs/dbraw/zinc/79/20/55/381792055.db2.gz ODUQSGUGELSTGD-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN O=C(NCCOC1CCCCC1)c1ccccc1[N+](=O)[O-] ZINC000298984525 381792660 /nfs/dbraw/zinc/79/26/60/381792660.db2.gz NYBXSAZHKRENAC-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN CSC[C@H]1CCCN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000292251789 381796863 /nfs/dbraw/zinc/79/68/63/381796863.db2.gz KFDOVMAUJHXBOB-NSHDSACASA-N 0 0 281.381 2.878 20 5 CFBDRN Cc1cc(N2CC[C@H](COC(F)F)C2)ccc1[N+](=O)[O-] ZINC000343512140 381763386 /nfs/dbraw/zinc/76/33/86/381763386.db2.gz XZZFHSMYXLLJJG-JTQLQIEISA-N 0 0 286.278 2.969 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCC[N@H+](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000343518666 381767502 /nfs/dbraw/zinc/76/75/02/381767502.db2.gz MHVSYWNBRUVASX-ZWNOBZJWSA-N 0 0 291.351 2.692 20 5 CFBDRN COC[C@H]1CCCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000292342665 381799990 /nfs/dbraw/zinc/79/99/90/381799990.db2.gz BLUSLIWQVPXVLX-NSHDSACASA-N 0 0 282.315 2.905 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000299106636 381824602 /nfs/dbraw/zinc/82/46/02/381824602.db2.gz XCGSQXHGJJWZQC-ZCRIDZFUSA-N 0 0 260.293 2.523 20 5 CFBDRN O=C(COc1cccc(Cl)c1[N+](=O)[O-])N1CCCCC1 ZINC000292764382 381825466 /nfs/dbraw/zinc/82/54/66/381825466.db2.gz WWJLIYDODJWNRZ-UHFFFAOYSA-N 0 0 298.726 2.640 20 5 CFBDRN COc1cc(NC[C@@H](OC)C2CC2)c([N+](=O)[O-])cc1F ZINC000292798463 381840662 /nfs/dbraw/zinc/84/06/62/381840662.db2.gz NCLGATOOFHSAMD-CYBMUJFWSA-N 0 0 284.287 2.579 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc(F)c1F)N1CC2(C1)CCCC2 ZINC000372416808 381810879 /nfs/dbraw/zinc/81/08/79/381810879.db2.gz MDXINKZWRSVDSA-UHFFFAOYSA-N 0 0 296.273 2.889 20 5 CFBDRN CO[C@@H]1CCCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000292592841 381811463 /nfs/dbraw/zinc/81/14/63/381811463.db2.gz VGSAPGOVIYPBKH-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCO[C@@H]1C ZINC000355894435 381811779 /nfs/dbraw/zinc/81/17/79/381811779.db2.gz JLTOWRFUAHGQOY-MNOVXSKESA-N 0 0 278.308 2.575 20 5 CFBDRN COC1(CCNc2cc(C)c([N+](=O)[O-])cn2)CCC1 ZINC000292872104 381877128 /nfs/dbraw/zinc/87/71/28/381877128.db2.gz TXKZQGBVBSNKIF-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000299280023 381882191 /nfs/dbraw/zinc/88/21/91/381882191.db2.gz BSSJROUMABKKEI-GHMZBOCLSA-N 0 0 279.340 2.558 20 5 CFBDRN CCCN(CC)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000299281163 381882476 /nfs/dbraw/zinc/88/24/76/381882476.db2.gz CWHQBBMVSIQFGF-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN Cc1cccc(N[C@@H]2CCO[C@@]3(CCOC3)C2)c1[N+](=O)[O-] ZINC000372875322 381882454 /nfs/dbraw/zinc/88/24/54/381882454.db2.gz ARLCGZNZPPEMLK-DOMZBBRYSA-N 0 0 292.335 2.653 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1ccncc1 ZINC000186339520 381885248 /nfs/dbraw/zinc/88/52/48/381885248.db2.gz KELKKTFZKUJOHU-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC[C@@H](O)CCOC(C)C ZINC000349208769 381847950 /nfs/dbraw/zinc/84/79/50/381847950.db2.gz AEKYMEUOYZZRQZ-ZDUSSCGKSA-N 0 0 297.351 2.766 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000299187138 381856295 /nfs/dbraw/zinc/85/62/95/381856295.db2.gz BTPAASUSGKVNCZ-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCSCC1 ZINC000299208254 381860319 /nfs/dbraw/zinc/86/03/19/381860319.db2.gz RSOXQOPIMLSCBE-JTQLQIEISA-N 0 0 252.339 2.705 20 5 CFBDRN C[C@H](F)CCNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000356713973 381862935 /nfs/dbraw/zinc/86/29/35/381862935.db2.gz RYZASIGBKWHCMD-IUCAKERBSA-N 0 0 286.278 2.702 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CC[N@@H+](C2CC2)C1 ZINC000349246422 381863918 /nfs/dbraw/zinc/86/39/18/381863918.db2.gz XEYTWSUZNJRCCQ-LBPRGKRZSA-N 0 0 295.770 2.921 20 5 CFBDRN CN(Cc1ccon1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000349267068 381870792 /nfs/dbraw/zinc/87/07/92/381870792.db2.gz MJKAASHPMRLXBE-UHFFFAOYSA-N 0 0 267.672 2.873 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H](OC)C1CC1 ZINC000292915137 381901442 /nfs/dbraw/zinc/90/14/42/381901442.db2.gz XTSXLMLXACZUIT-CQSZACIVSA-N 0 0 280.324 2.578 20 5 CFBDRN CCc1nn(C)c(N2CC3(CCC3)C[C@@H]2C)c1[N+](=O)[O-] ZINC000373025158 381911492 /nfs/dbraw/zinc/91/14/92/381911492.db2.gz YXCCCZPNHPTMAA-JTQLQIEISA-N 0 0 278.356 2.660 20 5 CFBDRN Cc1ccc(O[C@H]2CCN(c3ccccc3[N+](=O)[O-])C2)cn1 ZINC000373059468 381919348 /nfs/dbraw/zinc/91/93/48/381919348.db2.gz QJVAKYVIQFQIRJ-AWEZNQCLSA-N 0 0 299.330 2.956 20 5 CFBDRN CO[C@H]1CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000372928059 381892518 /nfs/dbraw/zinc/89/25/18/381892518.db2.gz LUKOALNGMNDTLT-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN CCc1ccc(OC[C@H](CC)OC)c([N+](=O)[O-])c1 ZINC000416903982 381965062 /nfs/dbraw/zinc/96/50/62/381965062.db2.gz CYMYJOWFMGDXNC-NSHDSACASA-N 0 0 253.298 2.961 20 5 CFBDRN CO[C@@H](COc1ncc(C)cc1[N+](=O)[O-])CC(C)C ZINC000416919930 381969379 /nfs/dbraw/zinc/96/93/79/381969379.db2.gz KJTBVEXXPSYWJB-LLVKDONJSA-N 0 0 268.313 2.738 20 5 CFBDRN CC[C@H](Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)OC ZINC000416924532 381972086 /nfs/dbraw/zinc/97/20/86/381972086.db2.gz XABGAOYYPJIHRJ-CQSZACIVSA-N 0 0 275.308 2.883 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)NC1Cc2ccccc2C1 ZINC000186536990 381938960 /nfs/dbraw/zinc/93/89/60/381938960.db2.gz ZVTANUWYYPVFQM-UHFFFAOYSA-N 0 0 297.314 2.884 20 5 CFBDRN CCn1cnc2c1ncnc2Oc1cccc([N+](=O)[O-])c1C ZINC000356979363 381940250 /nfs/dbraw/zinc/94/02/50/381940250.db2.gz MBGODDWYEWKUOT-UHFFFAOYSA-N 0 0 299.290 2.855 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000343796311 381945884 /nfs/dbraw/zinc/94/58/84/381945884.db2.gz KOMKLUZYKFVYMZ-NSHDSACASA-N 0 0 291.351 2.566 20 5 CFBDRN CC[C@H](C)CCC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000349542489 381954322 /nfs/dbraw/zinc/95/43/22/381954322.db2.gz AGHOSYYBVZWPMI-LBPRGKRZSA-N 0 0 293.367 2.949 20 5 CFBDRN COc1cc(NCCC2CCOCC2)c([N+](=O)[O-])cc1F ZINC000343883157 382002797 /nfs/dbraw/zinc/00/27/97/382002797.db2.gz OFFRBBFBTOENCE-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Cn1cc(Cl)cn1 ZINC000362341801 382016280 /nfs/dbraw/zinc/01/62/80/382016280.db2.gz XZWKDMBNUDCNNU-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC(C)(C)C ZINC000299639504 382011156 /nfs/dbraw/zinc/01/11/56/382011156.db2.gz NBHPYRMOUIYDLG-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN COc1cc(NCC2(C)CC2)c([N+](=O)[O-])cc1F ZINC000343907647 382013554 /nfs/dbraw/zinc/01/35/54/382013554.db2.gz NSFUKIWBAAZQKK-UHFFFAOYSA-N 0 0 254.261 2.955 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)Nc1ccc([N+](=O)[O-])cc1 ZINC000417002442 381982957 /nfs/dbraw/zinc/98/29/57/381982957.db2.gz UVMSIBVTSNHQAN-SECBINFHSA-N 0 0 261.281 2.516 20 5 CFBDRN CCCNC(=O)CCCNc1cc([N+](=O)[O-])ccc1CC ZINC000417029584 381986919 /nfs/dbraw/zinc/98/69/19/381986919.db2.gz CDKCYCZBFNTSOW-UHFFFAOYSA-N 0 0 293.367 2.876 20 5 CFBDRN CN(C[C@H]1CC=CCC1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000343869074 381992910 /nfs/dbraw/zinc/99/29/10/381992910.db2.gz PEWRAYJEOJGZRQ-ZDUSSCGKSA-N 0 0 288.347 2.952 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCN1c1c(Cl)cccc1[N+](=O)[O-] ZINC000293105803 381993152 /nfs/dbraw/zinc/99/31/52/381993152.db2.gz PFXBFNFUTLNZGU-UWVGGRQHSA-N 0 0 284.743 2.988 20 5 CFBDRN Cc1nc(N[C@@H](C)c2ccc3n[nH]cc3c2)ccc1[N+](=O)[O-] ZINC000343976691 382044482 /nfs/dbraw/zinc/04/44/82/382044482.db2.gz RHJGNGBRVZNYAV-VIFPVBQESA-N 0 0 297.318 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(C[C@H]3CCCO3)c2)cc1 ZINC000301059277 382069078 /nfs/dbraw/zinc/06/90/78/382069078.db2.gz CRZGZCLHMMYDQY-CQSZACIVSA-N 0 0 288.307 2.714 20 5 CFBDRN Cc1ccccc1Sc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000357188373 382047370 /nfs/dbraw/zinc/04/73/70/382047370.db2.gz KQXVEOUQAJIJBA-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN Cc1nc(NCC2(C(F)(F)F)CCC2)ccc1[N+](=O)[O-] ZINC000343988322 382050291 /nfs/dbraw/zinc/05/02/91/382050291.db2.gz WLBIMUZNHAZOPW-UHFFFAOYSA-N 0 0 289.257 2.865 20 5 CFBDRN CC(C)CC1(C)CCN(Cc2c([N+](=O)[O-])ncn2C)CC1 ZINC000343992819 382054589 /nfs/dbraw/zinc/05/45/89/382054589.db2.gz NXOBFEFBDVUGRR-UHFFFAOYSA-N 0 0 294.399 2.977 20 5 CFBDRN O=C(C[C@H]1C=CCCC1)NCc1ccccc1[N+](=O)[O-] ZINC000186799760 382018635 /nfs/dbraw/zinc/01/86/35/382018635.db2.gz QWIXNLBNLXZQTK-LBPRGKRZSA-N 0 0 274.320 2.957 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000299695563 382028042 /nfs/dbraw/zinc/02/80/42/382028042.db2.gz NEBSKVWEJMNROW-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN COc1cc(NCCc2cc(C)no2)c(F)cc1[N+](=O)[O-] ZINC000343946856 382034023 /nfs/dbraw/zinc/03/40/23/382034023.db2.gz LODJEVDCALCROT-UHFFFAOYSA-N 0 0 295.270 2.694 20 5 CFBDRN C[C@@H]1C(=O)CC[C@H]1CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000357166296 382034837 /nfs/dbraw/zinc/03/48/37/382034837.db2.gz JBBIVEPAMIJHRW-IUCAKERBSA-N 0 0 294.282 2.678 20 5 CFBDRN CCOc1cc(N2CCO[C@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000362376973 382035539 /nfs/dbraw/zinc/03/55/39/382035539.db2.gz WBGFQMUOMXIGDO-OCCSQVGLSA-N 0 0 292.335 2.751 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000293384581 382102895 /nfs/dbraw/zinc/10/28/95/382102895.db2.gz KCOSIGXRYDOQQI-JGVFFNPUSA-N 0 0 256.252 2.713 20 5 CFBDRN O=[N+]([O-])c1ccn(-c2nc(C(F)F)nc3ccccc32)n1 ZINC000301210919 382107227 /nfs/dbraw/zinc/10/72/27/382107227.db2.gz UBUMAMLXZLXUQN-UHFFFAOYSA-N 0 0 291.217 2.661 20 5 CFBDRN C[C@H](NCc1c(F)cc([N+](=O)[O-])cc1F)c1ccon1 ZINC000351994099 382112069 /nfs/dbraw/zinc/11/20/69/382112069.db2.gz LMLALBGRNDWQPF-ZETCQYMHSA-N 0 0 283.234 2.712 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@]2(CCCCO2)C1 ZINC000375933188 382112813 /nfs/dbraw/zinc/11/28/13/382112813.db2.gz QGTLGTURFKUPKX-AWEZNQCLSA-N 0 0 277.324 2.529 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc([C@H]3CC=CCC3)n2)nc1 ZINC000357335537 382116350 /nfs/dbraw/zinc/11/63/50/382116350.db2.gz QWTXIFFTZQYUAW-JTQLQIEISA-N 0 0 286.291 2.787 20 5 CFBDRN COC(=O)c1cccc(CNc2ccc([N+](=O)[O-])cc2)c1 ZINC000301086573 382077485 /nfs/dbraw/zinc/07/74/85/382077485.db2.gz PIAQLYOIMPSLSE-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@H]1C(C)C ZINC000187064619 382088838 /nfs/dbraw/zinc/08/88/38/382088838.db2.gz VBSUBORSFFPDMD-WDEREUQCSA-N 0 0 279.292 2.801 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CC3CCCC3)no2)[nH]1 ZINC000293351017 382090208 /nfs/dbraw/zinc/09/02/08/382090208.db2.gz NIDKZLHCPSZBJL-UHFFFAOYSA-N 0 0 262.269 2.706 20 5 CFBDRN Cc1nnsc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000293354717 382091829 /nfs/dbraw/zinc/09/18/29/382091829.db2.gz HCWUQXSMLMGZMA-QMMMGPOBSA-N 0 0 278.337 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCC(F)(F)F ZINC000362496545 382099910 /nfs/dbraw/zinc/09/99/10/382099910.db2.gz CQJDWUOPVHMBJH-UHFFFAOYSA-N 0 0 276.214 2.585 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000187404973 382174506 /nfs/dbraw/zinc/17/45/06/382174506.db2.gz KBINETRDAJRCHW-CMPLNLGQSA-N 0 0 292.335 2.864 20 5 CFBDRN COC(=O)c1cc(N2CCC[C@@H](C)C2)cc(C)c1[N+](=O)[O-] ZINC000301546168 382175060 /nfs/dbraw/zinc/17/50/60/382175060.db2.gz DWSJLVHLWXUHHY-SNVBAGLBSA-N 0 0 292.335 2.926 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000187433499 382181705 /nfs/dbraw/zinc/18/17/05/382181705.db2.gz QJPALAKOPXXSGT-DGCLKSJQSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@@H]1C[C@@H](c2noc(-c3cccc([N+](=O)[O-])c3)n2)CCO1 ZINC000357465249 382182376 /nfs/dbraw/zinc/18/23/76/382182376.db2.gz IAYOLCOJWRKZDO-ZJUUUORDSA-N 0 0 289.291 2.927 20 5 CFBDRN C[C@]1(c2ccccc2)CN(c2ncccc2[N+](=O)[O-])CCO1 ZINC000301621214 382182710 /nfs/dbraw/zinc/18/27/10/382182710.db2.gz FFWLIYSMHQYKEQ-MRXNPFEDSA-N 0 0 299.330 2.742 20 5 CFBDRN COc1cc(N2C[C@H]3CCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000301621491 382182988 /nfs/dbraw/zinc/18/29/88/382182988.db2.gz XXQUAKQBDHIQPX-GHMZBOCLSA-N 0 0 262.309 2.840 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000352015715 382130844 /nfs/dbraw/zinc/13/08/44/382130844.db2.gz RPGKZYYIGCPCOO-RKDXNWHRSA-N 0 0 270.279 2.961 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301272476 382132125 /nfs/dbraw/zinc/13/21/25/382132125.db2.gz YADVKLCVCAHRMB-RNCFNFMXSA-N 0 0 270.304 2.943 20 5 CFBDRN C[C@@H]1N(c2ccc(N)cc2[N+](=O)[O-])CCC12CCOCC2 ZINC000376473785 382137097 /nfs/dbraw/zinc/13/70/97/382137097.db2.gz IMLJAYDGLYNOES-NSHDSACASA-N 0 0 291.351 2.572 20 5 CFBDRN CC(C)(C)[C@H]1CCCN(c2ncc([N+](=O)[O-])c(N)n2)CC1 ZINC000301315134 382145983 /nfs/dbraw/zinc/14/59/83/382145983.db2.gz LXKJOMLIISQSNO-JTQLQIEISA-N 0 0 293.371 2.620 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CCC(C)(C)O1 ZINC000187305566 382151587 /nfs/dbraw/zinc/15/15/87/382151587.db2.gz QDPUPSLWNAVJDE-GFCCVEGCSA-N 0 0 292.335 2.591 20 5 CFBDRN COc1cc(N2CCC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301340211 382152709 /nfs/dbraw/zinc/15/27/09/382152709.db2.gz FQBFKNAHWOIZAB-SNVBAGLBSA-N 0 0 250.298 2.840 20 5 CFBDRN C[C@]1(CO)CCC[C@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000301346041 382154730 /nfs/dbraw/zinc/15/47/30/382154730.db2.gz IAEGWHWMWJFQDP-CHWSQXEVSA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@]2(C)CCCOC2)cc1[N+](=O)[O-] ZINC000357409166 382158554 /nfs/dbraw/zinc/15/85/54/382158554.db2.gz NNBJXLKSXULGAP-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1c1cc(N)ccc1[N+](=O)[O-] ZINC000376649649 382159746 /nfs/dbraw/zinc/15/97/46/382159746.db2.gz WUWWESWANNIFFE-SNVBAGLBSA-N 0 0 261.325 2.946 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1cccnc1 ZINC000301373603 382161449 /nfs/dbraw/zinc/16/14/49/382161449.db2.gz WKOZAZIJCWSORQ-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC2)cc1OC(F)F ZINC000301380852 382163556 /nfs/dbraw/zinc/16/35/56/382163556.db2.gz YYQASADLDFEUPG-UHFFFAOYSA-N 0 0 258.224 2.796 20 5 CFBDRN CC[C@@H](O)CCCNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000357494442 382194851 /nfs/dbraw/zinc/19/48/51/382194851.db2.gz YEOYTNXIENPGDD-SECBINFHSA-N 0 0 286.303 2.706 20 5 CFBDRN C[C@H](CCNC(=O)c1cc([N+](=O)[O-])nn1C)CC(C)(C)C ZINC000344201538 382195306 /nfs/dbraw/zinc/19/53/06/382195306.db2.gz VIHJQGXDNWNLFF-SNVBAGLBSA-N 0 0 296.371 2.521 20 5 CFBDRN CCC[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000301844750 382196714 /nfs/dbraw/zinc/19/67/14/382196714.db2.gz SLLBTIKTVHIBBX-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H]2CC23CC3)c1 ZINC000418971222 382204107 /nfs/dbraw/zinc/20/41/07/382204107.db2.gz AMQPAFNDADSYKA-SNVBAGLBSA-N 0 0 276.292 2.593 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CC[C@@H](C2CC2)C1 ZINC000362706963 382208144 /nfs/dbraw/zinc/20/81/44/382208144.db2.gz RUDAOMFTOIMIJQ-SNVBAGLBSA-N 0 0 278.283 2.606 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cn1)[C@H]1CC1(C)C ZINC000418987173 382208182 /nfs/dbraw/zinc/20/81/82/382208182.db2.gz HHLGGPZUTYZING-NXEZZACHSA-N 0 0 250.302 2.621 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CC12CC2 ZINC000419003117 382213386 /nfs/dbraw/zinc/21/33/86/382213386.db2.gz ZZMCCJDOSRFMRS-NSHDSACASA-N 0 0 262.309 2.953 20 5 CFBDRN Cc1oc2ccccc2c1CNc1ncc([N+](=O)[O-])c(N)n1 ZINC000302226229 382221378 /nfs/dbraw/zinc/22/13/78/382221378.db2.gz SKZKAHUQNQGMCM-UHFFFAOYSA-N 0 0 299.290 2.634 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](CO)c1ccsc1 ZINC000302229222 382222096 /nfs/dbraw/zinc/22/20/96/382222096.db2.gz AYXWJXJMEUVCJL-NSHDSACASA-N 0 0 264.306 2.802 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC=C(c2ccco2)C1 ZINC000377163956 382229756 /nfs/dbraw/zinc/22/97/56/382229756.db2.gz DYPVAHLJCKGBOM-UHFFFAOYSA-N 0 0 299.286 2.512 20 5 CFBDRN Cn1c(-c2nc([C@@H]3CCCCS3)no2)ccc1[N+](=O)[O-] ZINC000357581719 382238101 /nfs/dbraw/zinc/23/81/01/382238101.db2.gz NQOZYZSVBMMYHI-VIFPVBQESA-N 0 0 294.336 2.942 20 5 CFBDRN Cc1cccc(N(C)CC(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC000418917966 382193180 /nfs/dbraw/zinc/19/31/80/382193180.db2.gz IVPUCLSQQFASEX-UHFFFAOYSA-N 0 0 280.324 2.681 20 5 CFBDRN Cc1cn2c(n1)CC[C@H](Nc1ccc([N+](=O)[O-])cc1C)C2 ZINC000302689311 382292026 /nfs/dbraw/zinc/29/20/26/382292026.db2.gz UVRWPDAQNVFQNX-LBPRGKRZSA-N 0 0 286.335 2.835 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@H]2O)c(Cl)cc1[N+](=O)[O-] ZINC000302613849 382277097 /nfs/dbraw/zinc/27/70/97/382277097.db2.gz CBPSIIYQTCTDBK-BXKDBHETSA-N 0 0 270.716 2.882 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@H](COC(C)C)C1 ZINC000364211990 382283316 /nfs/dbraw/zinc/28/33/16/382283316.db2.gz ADJZQEAJMPCULJ-AWEZNQCLSA-N 0 0 294.351 2.533 20 5 CFBDRN O=C(Nc1cccc2c1CCO2)c1cccc([N+](=O)[O-])c1 ZINC000357707717 382288178 /nfs/dbraw/zinc/28/81/78/382288178.db2.gz NBUDELPQQBPIOR-UHFFFAOYSA-N 0 0 284.271 2.782 20 5 CFBDRN CC[C@]1(C)CN(c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000302677211 382288936 /nfs/dbraw/zinc/28/89/36/382288936.db2.gz QIKLBRMLIYKJBM-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN CC1(CNC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)CC1 ZINC000357596589 382244176 /nfs/dbraw/zinc/24/41/76/382244176.db2.gz FYIMUYJFQCAOSO-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN COCC[C@@H]1CCCCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000377258221 382245111 /nfs/dbraw/zinc/24/51/11/382245111.db2.gz RFIODOPGJVUCIQ-LBPRGKRZSA-N 0 0 279.340 2.633 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@@H]2c2ncc[nH]2)c(F)c1 ZINC000364040724 382252423 /nfs/dbraw/zinc/25/24/23/382252423.db2.gz ZAYATFXZHFTSHD-LLVKDONJSA-N 0 0 294.261 2.938 20 5 CFBDRN CC1(C)CC[C@@H](CNc2nc3sccn3c2[N+](=O)[O-])O1 ZINC000302477772 382255695 /nfs/dbraw/zinc/25/56/95/382255695.db2.gz WZPWZOBXPZAFPA-QMMMGPOBSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1cccc(N(C)Cc2ccncc2)c1[N+](=O)[O-] ZINC000302494372 382258146 /nfs/dbraw/zinc/25/81/46/382258146.db2.gz LIMWLNKBTTZGOL-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CC1(C)C ZINC000352278379 382259188 /nfs/dbraw/zinc/25/91/88/382259188.db2.gz LRAMGRUENNAGIS-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CC1(C)C ZINC000352282787 382260409 /nfs/dbraw/zinc/26/04/09/382260409.db2.gz ZZKOLAZYKJKXCD-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000352281622 382260658 /nfs/dbraw/zinc/26/06/58/382260658.db2.gz VGJAZBJSOFSCCJ-VIFPVBQESA-N 0 0 287.319 2.852 20 5 CFBDRN Cc1cccc(NC[C@H](O)c2ccco2)c1[N+](=O)[O-] ZINC000302515590 382260688 /nfs/dbraw/zinc/26/06/88/382260688.db2.gz VGLGZBMFIARQAI-NSHDSACASA-N 0 0 262.265 2.642 20 5 CFBDRN Cc1ccc(Nc2cc(C3CC3)n(C)n2)c([N+](=O)[O-])c1 ZINC000357638795 382262618 /nfs/dbraw/zinc/26/26/18/382262618.db2.gz MRIGRWDNNVRTDK-UHFFFAOYSA-N 0 0 272.308 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCOC[C@H](C2CCC2)C1 ZINC000377405336 382266328 /nfs/dbraw/zinc/26/63/28/382266328.db2.gz YBQOMIPMIQAWPS-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000302573661 382271146 /nfs/dbraw/zinc/27/11/46/382271146.db2.gz REPCDGVNTKLSJB-AXTRIDKLSA-N 0 0 266.272 2.713 20 5 CFBDRN COc1ccc(CNc2c(C)cccc2[N+](=O)[O-])nc1 ZINC000357665079 382271784 /nfs/dbraw/zinc/27/17/84/382271784.db2.gz NJZMELNIIYQMLU-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c1[N+](=O)[O-] ZINC000302588540 382272470 /nfs/dbraw/zinc/27/24/70/382272470.db2.gz VUVWHEPAYCIWHD-SUHUHFCYSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@@H]1CCC[C@@]1(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000293935820 382343871 /nfs/dbraw/zinc/34/38/71/382343871.db2.gz FPTRGJIOBZTLPY-NOZJJQNGSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000357755714 382307174 /nfs/dbraw/zinc/30/71/74/382307174.db2.gz FRIJIZFDPFEXMN-KOLCDFICSA-N 0 0 277.324 2.701 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)C[C@@H](C)O1 ZINC000352405626 382312700 /nfs/dbraw/zinc/31/27/00/382312700.db2.gz NNVXVIDDJPVLQG-YPMHNXCESA-N 0 0 294.351 2.603 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H](CO)C2CC2)ccc2ncccc21 ZINC000357790674 382323613 /nfs/dbraw/zinc/32/36/13/382323613.db2.gz YGMHHDHXJYXXRA-LLVKDONJSA-N 0 0 287.319 2.573 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC(O)(c2ccc(F)cc2)C1 ZINC000302800103 382323841 /nfs/dbraw/zinc/32/38/41/382323841.db2.gz SMJLRXNSZLUVKZ-UHFFFAOYSA-N 0 0 294.307 2.503 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCCOC(C)(C)C1 ZINC000377700095 382325445 /nfs/dbraw/zinc/32/54/45/382325445.db2.gz JJMNXOAVHVFRCH-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@@H]1c2ccccc2C[C@@H]1O ZINC000302822298 382326693 /nfs/dbraw/zinc/32/66/93/382326693.db2.gz SGEIPYASMXDROX-LSDHHAIUSA-N 0 0 288.278 2.804 20 5 CFBDRN Cc1cn2c(n1)CC[C@H](Nc1cc(F)ccc1[N+](=O)[O-])C2 ZINC000302825972 382329292 /nfs/dbraw/zinc/32/92/92/382329292.db2.gz ZRDGHMPGIWEDOL-NSHDSACASA-N 0 0 290.298 2.666 20 5 CFBDRN Cc1cc(Nc2ccc3c(c2)CC(=O)N3C)ncc1[N+](=O)[O-] ZINC000302843115 382332650 /nfs/dbraw/zinc/33/26/50/382332650.db2.gz DWDDGOOHIIVGCR-UHFFFAOYSA-N 0 0 298.302 2.561 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cc1Cl)[C@H]1CC1(C)C ZINC000302850511 382334614 /nfs/dbraw/zinc/33/46/14/382334614.db2.gz IQDIQMBYUINSST-VIFPVBQESA-N 0 0 255.705 2.878 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])[C@@H]1C ZINC000293917946 382336538 /nfs/dbraw/zinc/33/65/38/382336538.db2.gz CWKVBJHYPIZTMJ-HTQZYQBOSA-N 0 0 268.700 2.729 20 5 CFBDRN COc1ncccc1CCNc1c(F)cccc1[N+](=O)[O-] ZINC000357821994 382337738 /nfs/dbraw/zinc/33/77/38/382337738.db2.gz XTNAIMIFBKODMK-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN Cc1nc(Nc2ccc3c(c2)CC(=O)N3C)ccc1[N+](=O)[O-] ZINC000302870033 382339304 /nfs/dbraw/zinc/33/93/04/382339304.db2.gz XXUWJKNQEXUSQH-UHFFFAOYSA-N 0 0 298.302 2.561 20 5 CFBDRN CC[C@@H](CNc1cc(C)c([N+](=O)[O-])cc1C(=O)OC)OC ZINC000357832284 382342025 /nfs/dbraw/zinc/34/20/25/382342025.db2.gz YDTAFGVOOSZYJI-JTQLQIEISA-N 0 0 296.323 2.527 20 5 CFBDRN COCCN(c1cc(C)c([N+](=O)[O-])cn1)[C@H]1CC[C@@H](C)C1 ZINC000302702315 382296909 /nfs/dbraw/zinc/29/69/09/382296909.db2.gz IKGWOMBGFJTQSE-YPMHNXCESA-N 0 0 293.367 2.940 20 5 CFBDRN Cc1coc(Sc2nccc(C)c2[N+](=O)[O-])n1 ZINC000302709859 382298146 /nfs/dbraw/zinc/29/81/46/382298146.db2.gz KHKVLWJNFNWJLB-UHFFFAOYSA-N 0 0 251.267 2.746 20 5 CFBDRN C[C@H]1CN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)[C@H]1C ZINC000293966961 382359360 /nfs/dbraw/zinc/35/93/60/382359360.db2.gz GJXCITUULBWSEP-IUCAKERBSA-N 0 0 273.292 2.557 20 5 CFBDRN CC[C@H]1CCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000293972165 382359940 /nfs/dbraw/zinc/35/99/40/382359940.db2.gz KLXWNFCDIZAMOY-ZCRIDZFUSA-N 0 0 260.293 2.619 20 5 CFBDRN CSc1cccc(C(=O)NCc2ccc[nH]2)c1[N+](=O)[O-] ZINC000188178236 382362322 /nfs/dbraw/zinc/36/23/22/382362322.db2.gz VPGRRZWMUYDDRK-UHFFFAOYSA-N 0 0 291.332 2.575 20 5 CFBDRN C[C@@H]1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000293994722 382369801 /nfs/dbraw/zinc/36/98/01/382369801.db2.gz HUWUOCJZPPRBCD-ZJUUUORDSA-N 0 0 294.326 2.878 20 5 CFBDRN Cc1cccc(NCCCOC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000188354069 382397453 /nfs/dbraw/zinc/39/74/53/382397453.db2.gz IJVOTTZDKZCQQB-CYBMUJFWSA-N 0 0 294.351 2.901 20 5 CFBDRN O=C(NC1(Cc2ccccc2)CCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000352516598 382376868 /nfs/dbraw/zinc/37/68/68/382376868.db2.gz MBLIZRLEWRINEB-UHFFFAOYSA-N 0 0 299.330 2.818 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000357936609 382381626 /nfs/dbraw/zinc/38/16/26/382381626.db2.gz LZBUDYFDSNPHEZ-LLVKDONJSA-N 0 0 280.324 2.539 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000294030769 382387084 /nfs/dbraw/zinc/38/70/84/382387084.db2.gz OFVHFEOSKHHUCV-JKSUJKDBSA-N 0 0 288.347 2.738 20 5 CFBDRN COc1cccc(CNc2ncc([N+](=O)[O-])cc2Cl)n1 ZINC000346396057 382347483 /nfs/dbraw/zinc/34/74/83/382347483.db2.gz IAQHSHAUPARLCQ-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1c(F)cccc1Cl ZINC000346576345 382422062 /nfs/dbraw/zinc/42/20/62/382422062.db2.gz PPNKLLUHRCQWOF-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CCc1nc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000346589870 382426915 /nfs/dbraw/zinc/42/69/15/382426915.db2.gz ZBOOMHQQJMPWTF-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN CC[C@H]1CCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000294151126 382436231 /nfs/dbraw/zinc/43/62/31/382436231.db2.gz VBHMSPZLUVWMRJ-LBPRGKRZSA-N 0 0 262.309 2.538 20 5 CFBDRN CC(C)(C)CC(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000188571822 382436471 /nfs/dbraw/zinc/43/64/71/382436471.db2.gz KJYJFMBRVLRZSY-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@H](NCc1ccc(F)cn1)c1cccc([N+](=O)[O-])c1 ZINC000346616790 382439960 /nfs/dbraw/zinc/43/99/60/382439960.db2.gz PFFASESVROSJDN-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CCO)CC2)ccc1[N+](=O)[O-] ZINC000420639370 382440081 /nfs/dbraw/zinc/44/00/81/382440081.db2.gz KTOYQQAWXGRYQY-CYBMUJFWSA-N 0 0 278.352 2.892 20 5 CFBDRN CCCN1C[C@@H](CNc2ccc(C)cc2[N+](=O)[O-])CC1=O ZINC000420649859 382444967 /nfs/dbraw/zinc/44/49/67/382444967.db2.gz GZIUOHNVQKKAQP-GFCCVEGCSA-N 0 0 291.351 2.574 20 5 CFBDRN COc1nn(C)cc1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000352588582 382407260 /nfs/dbraw/zinc/40/72/60/382407260.db2.gz MBWINRYSMVWIDI-UHFFFAOYSA-N 0 0 282.687 2.734 20 5 CFBDRN C[C@@H](Sc1ncc(CO)n1C)c1cccc([N+](=O)[O-])c1 ZINC000304440641 382409658 /nfs/dbraw/zinc/40/96/58/382409658.db2.gz WULDVYGUVQOZTN-SECBINFHSA-N 0 0 293.348 2.674 20 5 CFBDRN CCC[C@](C)(CO)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000304688984 382415075 /nfs/dbraw/zinc/41/50/75/382415075.db2.gz GWCUIMOKENKBRO-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN CC[C@@H]1CCN1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000294100646 382415894 /nfs/dbraw/zinc/41/58/94/382415894.db2.gz RSIDTKSCLCBAOP-SNVBAGLBSA-N 0 0 284.262 2.698 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000352610862 382415903 /nfs/dbraw/zinc/41/59/03/382415903.db2.gz YLYXAPPGHZBBKZ-ZYHUDNBSSA-N 0 0 262.309 2.599 20 5 CFBDRN Cc1ccc(NC(=O)N(C[C@H](C)O)C(C)C)cc1[N+](=O)[O-] ZINC000304712124 382416516 /nfs/dbraw/zinc/41/65/16/382416516.db2.gz MGBQFQPLYDITSF-NSHDSACASA-N 0 0 295.339 2.526 20 5 CFBDRN C[C@H](c1noc(-c2cc([N+](=O)[O-])nn2C)n1)C(C)(C)C ZINC000346569129 382417015 /nfs/dbraw/zinc/41/70/15/382417015.db2.gz MNBGROJUZIJRSL-SSDOTTSWSA-N 0 0 279.300 2.528 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCOC(F)(F)F)c(F)c1 ZINC000352612670 382417226 /nfs/dbraw/zinc/41/72/26/382417226.db2.gz KNPQMHRPEWFECC-UHFFFAOYSA-N 0 0 286.156 2.821 20 5 CFBDRN CC1(C)CC[C@H](Nc2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420600755 382419623 /nfs/dbraw/zinc/41/96/23/382419623.db2.gz FUHPENYUWHCXKI-VIFPVBQESA-N 0 0 277.324 2.684 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000358035077 382420078 /nfs/dbraw/zinc/42/00/78/382420078.db2.gz KLAHPTOQUGDDGK-QWRGUYRKSA-N 0 0 294.326 2.829 20 5 CFBDRN Cc1nn(CC(=O)c2cc(C)c(C)cc2C)cc1[N+](=O)[O-] ZINC000294113593 382421173 /nfs/dbraw/zinc/42/11/73/382421173.db2.gz DBLFVOQIOIWYPB-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN CCC(C)(C)C(=O)COc1ccc(OC)cc1[N+](=O)[O-] ZINC000289528888 197390716 /nfs/dbraw/zinc/39/07/16/197390716.db2.gz BPKGPXGCSVJTES-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCC[C@@H]1CF ZINC000294183100 382449011 /nfs/dbraw/zinc/44/90/11/382449011.db2.gz XAJPWIYNXOOZAB-CYBMUJFWSA-N 0 0 252.289 2.837 20 5 CFBDRN O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C1=CCCCC1 ZINC000188650708 382450270 /nfs/dbraw/zinc/45/02/70/382450270.db2.gz RQWMSCMONDVFNF-UHFFFAOYSA-N 0 0 286.291 2.910 20 5 CFBDRN CS[C@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000294192416 382453421 /nfs/dbraw/zinc/45/34/21/382453421.db2.gz GBYAEXCKJUPJEF-NSHDSACASA-N 0 0 280.349 2.739 20 5 CFBDRN COC[C@@]1(C)CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000294205104 382459055 /nfs/dbraw/zinc/45/90/55/382459055.db2.gz MAVJDRNNMJBXDK-HNNXBMFYSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1c(NC(=O)N(C)Cc2ccon2)cccc1[N+](=O)[O-] ZINC000352749357 382465711 /nfs/dbraw/zinc/46/57/11/382465711.db2.gz ASWYLOHYBWJQSY-UHFFFAOYSA-N 0 0 290.279 2.555 20 5 CFBDRN CC[C@H](C)CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000420882644 382487621 /nfs/dbraw/zinc/48/76/21/382487621.db2.gz RXJCQMNMDGYDCC-NSHDSACASA-N 0 0 279.340 2.782 20 5 CFBDRN Cc1ccc(OCC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000188843898 382489764 /nfs/dbraw/zinc/48/97/64/382489764.db2.gz MANZSFNYHJSNMH-NSHDSACASA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000294670728 382523668 /nfs/dbraw/zinc/52/36/68/382523668.db2.gz COIHDKUUMLCTRU-UHFFFAOYSA-N 0 0 256.689 2.695 20 5 CFBDRN CCCCNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421410702 382524188 /nfs/dbraw/zinc/52/41/88/382524188.db2.gz ABPGMMCQQUTPND-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN COCCCCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294301831 382501442 /nfs/dbraw/zinc/50/14/42/382501442.db2.gz ZVHOYHNCEACBEF-UHFFFAOYSA-N 0 0 294.351 2.763 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000294300848 382501692 /nfs/dbraw/zinc/50/16/92/382501692.db2.gz WTKIMIPEYRWICT-LBPRGKRZSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@H]1C(=O)CC[C@@H]1CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000279667591 194519527 /nfs/dbraw/zinc/51/95/27/194519527.db2.gz OKJQBPXWMHRYQV-NXEZZACHSA-N 0 0 276.292 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCC[C@@H]3C(F)F)nc2c1 ZINC000353176656 382514139 /nfs/dbraw/zinc/51/41/39/382514139.db2.gz ILXHMYMDVMPRKO-LLVKDONJSA-N 0 0 296.277 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2CCC[C@H]2C(F)F)cc1 ZINC000353177181 382514832 /nfs/dbraw/zinc/51/48/32/382514832.db2.gz VPEFPOSQFYTVAK-LBPRGKRZSA-N 0 0 270.279 2.867 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])s2)CC(C)(C)O1 ZINC000188979064 382516835 /nfs/dbraw/zinc/51/68/35/382516835.db2.gz IYQFSONNUGLFIM-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN CSc1ccccc1Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000358272286 382540653 /nfs/dbraw/zinc/54/06/53/382540653.db2.gz RIDQSRLGJBCUIE-UHFFFAOYSA-N 0 0 276.317 2.527 20 5 CFBDRN Cc1nn(C)c(NCC2=CCCCC2)c1[N+](=O)[O-] ZINC000294892996 382541240 /nfs/dbraw/zinc/54/12/40/382541240.db2.gz HIIVDXIMXNQIPI-UHFFFAOYSA-N 0 0 250.302 2.549 20 5 CFBDRN O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@@H]1CC12CC2 ZINC000421476296 382551766 /nfs/dbraw/zinc/55/17/66/382551766.db2.gz VJNUIAWUMMCDMN-LBPRGKRZSA-N 0 0 298.302 2.519 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CS(=O)(=O)c1ccccc1 ZINC000189143604 382553936 /nfs/dbraw/zinc/55/39/36/382553936.db2.gz YFVHDARVJDTTJN-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN C[C@H](CCCO)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000384550861 382554966 /nfs/dbraw/zinc/55/49/66/382554966.db2.gz IFHVEBGHRWSREV-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CCN(C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1)C(C)C ZINC000189149055 382555598 /nfs/dbraw/zinc/55/55/98/382555598.db2.gz QOTMPWYHHPXYMO-UHFFFAOYSA-N 0 0 280.324 2.782 20 5 CFBDRN COC[C@]1(C)CCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000295036891 382563850 /nfs/dbraw/zinc/56/38/50/382563850.db2.gz WHKFJCKLJPSYJQ-CQSZACIVSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCOCC1 ZINC000421520061 382567607 /nfs/dbraw/zinc/56/76/07/382567607.db2.gz XAVNKQQCELERCG-GFCCVEGCSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CCCOCC1 ZINC000421520065 382567787 /nfs/dbraw/zinc/56/77/87/382567787.db2.gz XAVNKQQCELERCG-LBPRGKRZSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1C(C)C ZINC000421553794 382577438 /nfs/dbraw/zinc/57/74/38/382577438.db2.gz ILAFCSLMPHYVEE-WCQYABFASA-N 0 0 276.336 2.986 20 5 CFBDRN C[C@@H]1CN(c2nccc3cc([N+](=O)[O-])ccc32)C[C@H](C)O1 ZINC000295055575 382569102 /nfs/dbraw/zinc/56/91/02/382569102.db2.gz OXMMJGLTXHPLOV-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN COC1(CNc2nc3sccn3c2[N+](=O)[O-])CCCC1 ZINC000295055680 382569277 /nfs/dbraw/zinc/56/92/77/382569277.db2.gz YNQZBYVEEUMGEO-UHFFFAOYSA-N 0 0 296.352 2.675 20 5 CFBDRN CC[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000346913756 382569383 /nfs/dbraw/zinc/56/93/83/382569383.db2.gz HKFWMXXBZVADQI-VIFPVBQESA-N 0 0 294.204 2.805 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC1(C(F)F)CC1 ZINC000421544898 382573736 /nfs/dbraw/zinc/57/37/36/382573736.db2.gz ZJAOERWRAPCLLX-UHFFFAOYSA-N 0 0 298.289 2.987 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000421433638 382535405 /nfs/dbraw/zinc/53/54/05/382535405.db2.gz VTFOOAZLDLJUQJ-GMTAPVOTSA-N 0 0 260.293 2.970 20 5 CFBDRN Cc1ccc(NC(=O)[C@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000421436583 382536846 /nfs/dbraw/zinc/53/68/46/382536846.db2.gz YILQYUQUSKFWKW-NSHDSACASA-N 0 0 270.235 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H](C)CCO)c1 ZINC000294873103 382537169 /nfs/dbraw/zinc/53/71/69/382537169.db2.gz FGJODLBGIJAJJO-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC=C(c2ccco2)C1 ZINC000367748637 382585528 /nfs/dbraw/zinc/58/55/28/382585528.db2.gz SKHOGYZXPFVBNT-UHFFFAOYSA-N 0 0 271.276 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCCOCC(F)F)c1 ZINC000189309382 382588679 /nfs/dbraw/zinc/58/86/79/382588679.db2.gz VMTDHMXGIRNZQH-UHFFFAOYSA-N 0 0 281.642 2.909 20 5 CFBDRN CCc1cc(CC)nc(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000386508261 382590075 /nfs/dbraw/zinc/59/00/75/382590075.db2.gz BTTTVQOYOHEEOG-UHFFFAOYSA-N 0 0 286.335 2.544 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000421579560 382590281 /nfs/dbraw/zinc/59/02/81/382590281.db2.gz LBPWNRVIUJNDKB-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN CC[C@H](C)CNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000421580494 382590375 /nfs/dbraw/zinc/59/03/75/382590375.db2.gz NNZPPONNYXQMNX-JTQLQIEISA-N 0 0 282.365 2.849 20 5 CFBDRN Cc1ccc(N[C@@H]2CC23CCOCC3)c([N+](=O)[O-])c1 ZINC000386913761 382593421 /nfs/dbraw/zinc/59/34/21/382593421.db2.gz NYKIZSMRZGBOPT-CYBMUJFWSA-N 0 0 262.309 2.884 20 5 CFBDRN Cc1ccc(N[C@H]2CC23CCOCC3)c([N+](=O)[O-])c1 ZINC000386913762 382594195 /nfs/dbraw/zinc/59/41/95/382594195.db2.gz NYKIZSMRZGBOPT-ZDUSSCGKSA-N 0 0 262.309 2.884 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358387504 382597551 /nfs/dbraw/zinc/59/75/51/382597551.db2.gz BWWQBQXFPPTOBT-SNVBAGLBSA-N 0 0 282.315 2.923 20 5 CFBDRN C[C@H](NC(=O)CCc1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000295247605 382599185 /nfs/dbraw/zinc/59/91/85/382599185.db2.gz DSELDPJBKDKGAE-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000388248296 382604500 /nfs/dbraw/zinc/60/45/00/382604500.db2.gz UUHMKADLRZNKHL-CBAPKCEASA-N 0 0 296.352 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H](O)CC(F)(F)F)c1 ZINC000189410653 382605637 /nfs/dbraw/zinc/60/56/37/382605637.db2.gz ZNCVYPLSMFUYRN-MRVPVSSYSA-N 0 0 279.214 2.595 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1cc(C)c([N+](=O)[O-])cn1)C1CC1 ZINC000388348895 382606196 /nfs/dbraw/zinc/60/61/96/382606196.db2.gz NOAKACRIESMUSF-NOZJJQNGSA-N 0 0 265.313 2.524 20 5 CFBDRN Cc1nocc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000295282614 382607006 /nfs/dbraw/zinc/60/70/06/382607006.db2.gz IMSYLABRTAJFAT-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1OC[C@H]1CCCO1 ZINC000295287857 382607897 /nfs/dbraw/zinc/60/78/97/382607897.db2.gz IZWONXAJBUNFDY-SSDOTTSWSA-N 0 0 275.663 2.945 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1OC[C@@H]1CCCO1 ZINC000295287859 382608089 /nfs/dbraw/zinc/60/80/89/382608089.db2.gz IZWONXAJBUNFDY-ZETCQYMHSA-N 0 0 275.663 2.945 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000295288925 382608725 /nfs/dbraw/zinc/60/87/25/382608725.db2.gz IIEYMYNBCURYRG-GDLCADMTSA-N 0 0 292.335 2.730 20 5 CFBDRN Cc1cc(N[C@H](C)[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000389277813 382613456 /nfs/dbraw/zinc/61/34/56/382613456.db2.gz JVVPNFQMTMFHLL-ZJUUUORDSA-N 0 0 268.288 2.879 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cc([N+](=O)[O-])c(C)s1)OC ZINC000295311024 382613943 /nfs/dbraw/zinc/61/39/43/382613943.db2.gz CKVBMDGFBNVMCX-VIFPVBQESA-N 0 0 286.353 2.510 20 5 CFBDRN CCc1ocnc1C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295312795 382614376 /nfs/dbraw/zinc/61/43/76/382614376.db2.gz KKUMOAAINMQHKF-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN CC1(C)OCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000295324256 382617129 /nfs/dbraw/zinc/61/71/29/382617129.db2.gz ABCJKCLAGABRAX-LLVKDONJSA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)Nc1cccc([N+](=O)[O-])c1 ZINC000189506005 382617848 /nfs/dbraw/zinc/61/78/48/382617848.db2.gz SODMNXYBOKXWIU-VOTSOKGWSA-N 0 0 257.249 2.575 20 5 CFBDRN CC(C)(C)[C@H](CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000393929914 382624884 /nfs/dbraw/zinc/62/48/84/382624884.db2.gz FHMCJWFTAZMTNY-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccccc2F)s1 ZINC000189680732 382634593 /nfs/dbraw/zinc/63/45/93/382634593.db2.gz KMWVADLBJUUIQL-UHFFFAOYSA-N 0 0 267.285 2.845 20 5 CFBDRN Cc1cc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)cc(C)n1 ZINC000421559579 382580449 /nfs/dbraw/zinc/58/04/49/382580449.db2.gz OUGFTFORGYGRJO-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cncs1 ZINC000346945835 382580588 /nfs/dbraw/zinc/58/05/88/382580588.db2.gz YJPYYTSVKPYLBA-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1ccsc1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000358347350 382581352 /nfs/dbraw/zinc/58/13/52/382581352.db2.gz VXJCXLXAPFZFEF-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN Cc1cc(NC(=O)Cc2cncs2)ccc1[N+](=O)[O-] ZINC000189764120 382639935 /nfs/dbraw/zinc/63/99/35/382639935.db2.gz ZUNISSUOFOVYMM-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN CC[C@@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000189795376 382641107 /nfs/dbraw/zinc/64/11/07/382641107.db2.gz PZEZNWCJUJZILB-MRVPVSSYSA-N 0 0 252.270 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CC[C@H]1CCCO1)CC2 ZINC000189939714 382646333 /nfs/dbraw/zinc/64/63/33/382646333.db2.gz NNPQVUIKYYMULF-CYBMUJFWSA-N 0 0 262.309 2.526 20 5 CFBDRN CCOC1CC(CCNC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000190018803 382650360 /nfs/dbraw/zinc/65/03/60/382650360.db2.gz AJCIYFLEACSYRH-UHFFFAOYSA-N 0 0 298.364 2.591 20 5 CFBDRN CC[C@@H](O)CCNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190163041 382655394 /nfs/dbraw/zinc/65/53/94/382655394.db2.gz GOYLNHGUTHXUQA-GFCCVEGCSA-N 0 0 294.351 2.609 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000190264102 382659835 /nfs/dbraw/zinc/65/98/35/382659835.db2.gz OXPHBJFFEDRBNN-PWSUYJOCSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc(NC[C@H](C)N2CCCCC2=O)c([N+](=O)[O-])c1 ZINC000190291831 382660970 /nfs/dbraw/zinc/66/09/70/382660970.db2.gz SVEZYQXZZVSSDU-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CCCO)c2ccccc2)s1 ZINC000190330127 382662215 /nfs/dbraw/zinc/66/22/15/382662215.db2.gz XPZGZBPHGQSDGV-NSHDSACASA-N 0 0 293.348 2.977 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CCO)C2CCCCC2)s1 ZINC000190329146 382662465 /nfs/dbraw/zinc/66/24/65/382662465.db2.gz UPQRGTLTJUPLPG-JTQLQIEISA-N 0 0 285.369 2.795 20 5 CFBDRN CN(C(=O)c1ncc(F)cc1F)c1cccc([N+](=O)[O-])c1 ZINC000190494897 382671021 /nfs/dbraw/zinc/67/10/21/382671021.db2.gz YIEDAGIZIABCQS-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN Cc1cccc(OC[C@H]2CC[C@H](C)O2)c1[N+](=O)[O-] ZINC000190677643 382677398 /nfs/dbraw/zinc/67/73/98/382677398.db2.gz CWAHANZMSIGOFB-WDEREUQCSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cc(OC[C@H]2CC[C@H](C)O2)c(F)cc1[N+](=O)[O-] ZINC000190685617 382677661 /nfs/dbraw/zinc/67/76/61/382677661.db2.gz NGJDRFOJPQWMTP-VHSXEESVSA-N 0 0 269.272 2.989 20 5 CFBDRN CC[C@]1(C)CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000191022597 382688622 /nfs/dbraw/zinc/68/86/22/382688622.db2.gz DAGKKIODYCQHCP-CQSZACIVSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1ccc(OC[C@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000191029507 382688718 /nfs/dbraw/zinc/68/87/18/382688718.db2.gz SCXWOFMYXZLDQY-WDEREUQCSA-N 0 0 251.282 2.850 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000191027523 382688724 /nfs/dbraw/zinc/68/87/24/382688724.db2.gz XBERQUSZIALRPV-VIFPVBQESA-N 0 0 267.281 2.801 20 5 CFBDRN COc1ccc(CNc2ncc([N+](=O)[O-])s2)cc1OC ZINC000189682381 382635251 /nfs/dbraw/zinc/63/52/51/382635251.db2.gz IGQOFOMCCQEDPL-UHFFFAOYSA-N 0 0 295.320 2.681 20 5 CFBDRN CCSCC[C@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000189690692 382635662 /nfs/dbraw/zinc/63/56/62/382635662.db2.gz QMOXYNTXJIGZIS-ZETCQYMHSA-N 0 0 261.372 2.995 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CC[C@H]1CCCO1 ZINC000189754333 382639538 /nfs/dbraw/zinc/63/95/38/382639538.db2.gz KPMNFNSLDCWHLA-LLVKDONJSA-N 0 0 262.309 2.526 20 5 CFBDRN Cc1nc([C@@H](C)OC(=O)c2cc(C)ccc2[N+](=O)[O-])no1 ZINC000191230024 382696555 /nfs/dbraw/zinc/69/65/55/382696555.db2.gz IJKVWTOOIPVQDF-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1CCCOC1 ZINC000191369243 382699224 /nfs/dbraw/zinc/69/92/24/382699224.db2.gz FLCOAFAXCKKIRS-LLVKDONJSA-N 0 0 293.323 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1C[C@H]1C ZINC000191406618 382699789 /nfs/dbraw/zinc/69/97/89/382699789.db2.gz SPVIFYCMCXXEHG-GMSGAONNSA-N 0 0 263.297 2.742 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000192460079 382709175 /nfs/dbraw/zinc/70/91/75/382709175.db2.gz WUQKGAMWDAMSQY-LURJTMIESA-N 0 0 282.325 2.699 20 5 CFBDRN CO[C@]1(C)C[C@H](N(C)c2ncc([N+](=O)[O-])s2)C1(C)C ZINC000192473853 382709320 /nfs/dbraw/zinc/70/93/20/382709320.db2.gz SWHLGLJHLAAPRR-QPUJVOFHSA-N 0 0 285.369 2.691 20 5 CFBDRN CCc1noc(CC)c1CNc1ncc([N+](=O)[O-])s1 ZINC000192483478 382709457 /nfs/dbraw/zinc/70/94/57/382709457.db2.gz UUMKGWJIAWCYRK-UHFFFAOYSA-N 0 0 282.325 2.776 20 5 CFBDRN CCN(CCc1nccs1)c1ncc([N+](=O)[O-])s1 ZINC000192508837 382709718 /nfs/dbraw/zinc/70/97/18/382709718.db2.gz OUMRNSYXXHBOTG-UHFFFAOYSA-N 0 0 284.366 2.577 20 5 CFBDRN COC1(CNc2ncc([N+](=O)[O-])s2)CCCCC1 ZINC000192535890 382709863 /nfs/dbraw/zinc/70/98/63/382709863.db2.gz UEPPSLRXCZXZMI-UHFFFAOYSA-N 0 0 271.342 2.813 20 5 CFBDRN Cn1ccc(CCNc2ccc([N+](=O)[O-])c3cccnc23)n1 ZINC000336863543 382736077 /nfs/dbraw/zinc/73/60/77/382736077.db2.gz OLGDHZWYMYULRW-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN Cc1ncsc1CN(C)c1cc(N)ccc1[N+](=O)[O-] ZINC000193425188 382719726 /nfs/dbraw/zinc/71/97/26/382719726.db2.gz UEMLIMXMXSJNQE-UHFFFAOYSA-N 0 0 278.337 2.578 20 5 CFBDRN Cc1cc(NC(=O)N2C[C@@H](C)O[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000193511212 382720592 /nfs/dbraw/zinc/72/05/92/382720592.db2.gz DCKZSMAGHPHJRZ-GHMZBOCLSA-N 0 0 293.323 2.544 20 5 CFBDRN CSC1(C[NH2+]Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000193662181 382722275 /nfs/dbraw/zinc/72/22/75/382722275.db2.gz BVUDGROQXBDGSY-UHFFFAOYSA-N 0 0 252.339 2.580 20 5 CFBDRN CC(C)C[C@@H]([NH2+]C/C=C\c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000575020734 382723713 /nfs/dbraw/zinc/72/37/13/382723713.db2.gz ZYVNSKBCACACAV-SCXUMTSISA-N 0 0 292.335 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3C4CCC3CC4)nc2c1 ZINC000575082478 382726543 /nfs/dbraw/zinc/72/65/43/382726543.db2.gz JGBXDZYMCSUGIO-UHFFFAOYSA-N 0 0 272.308 2.598 20 5 CFBDRN Cc1cc(NCCN2CCSCC2)c(F)cc1[N+](=O)[O-] ZINC000336832497 382727003 /nfs/dbraw/zinc/72/70/03/382727003.db2.gz FNQAJCOAAUUIHJ-UHFFFAOYSA-N 0 0 299.371 2.503 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC000193868267 382727562 /nfs/dbraw/zinc/72/75/62/382727562.db2.gz RTNMFUJZUSWPTO-ZYHUDNBSSA-N 0 0 278.308 2.514 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC000193868286 382727891 /nfs/dbraw/zinc/72/78/91/382727891.db2.gz RTNMFUJZUSWPTO-PWSUYJOCSA-N 0 0 278.308 2.514 20 5 CFBDRN CCOc1cc(NC[C@](C)(O)C2CC2)ccc1[N+](=O)[O-] ZINC000336835410 382728684 /nfs/dbraw/zinc/72/86/84/382728684.db2.gz UKEAHNVKIGCBMK-AWEZNQCLSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1cnc(N[C@H]2CCC[C@H](F)C2)c([N+](=O)[O-])c1 ZINC000575177036 382729707 /nfs/dbraw/zinc/72/97/07/382729707.db2.gz OLFSYJSMEDHGTR-UWVGGRQHSA-N 0 0 253.277 2.991 20 5 CFBDRN COC(=O)[C@@]1(C)CN(c2c(C)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000336882443 382736859 /nfs/dbraw/zinc/73/68/59/382736859.db2.gz BZAPMGBTMVGRSK-NHYWBVRUSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H]1CC[C@H](CN2CCc3ccc([N+](=O)[O-])cc32)O1 ZINC000191095073 382690928 /nfs/dbraw/zinc/69/09/28/382690928.db2.gz QUFRZCCSUSKXMC-ZWNOBZJWSA-N 0 0 262.309 2.525 20 5 CFBDRN CCC[C@@H](CCO)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219361110 382793641 /nfs/dbraw/zinc/79/36/41/382793641.db2.gz FDCSETYYMRLJAZ-JTQLQIEISA-N 0 0 292.339 2.682 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)Cc1cccc(F)c1 ZINC000195332491 382766583 /nfs/dbraw/zinc/76/65/83/382766583.db2.gz UWFDCNKXSPAGSM-UHFFFAOYSA-N 0 0 292.314 2.895 20 5 CFBDRN C[C@H](c1cccnc1)N(C)c1ncc([N+](=O)[O-])s1 ZINC000195511474 382768830 /nfs/dbraw/zinc/76/88/30/382768830.db2.gz IYDAOKNTEHBSKV-MRVPVSSYSA-N 0 0 264.310 2.644 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)c1ncc([N+](=O)[O-])s1 ZINC000195511385 382768937 /nfs/dbraw/zinc/76/89/37/382768937.db2.gz KZUQQVOMOVDUKC-QMMMGPOBSA-N 0 0 264.310 2.644 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(c2c[nH]cn2)CC1 ZINC000207109641 382773960 /nfs/dbraw/zinc/77/39/60/382773960.db2.gz RHTJUYMMBKGQHW-UHFFFAOYSA-N 0 0 272.308 2.702 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])c([O-])c1 ZINC000219735275 382794544 /nfs/dbraw/zinc/79/45/44/382794544.db2.gz XZLXGGSTXJFLFA-UHFFFAOYSA-N 0 0 278.308 2.563 20 5 CFBDRN Cn1ccc(CNc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000212191341 382776285 /nfs/dbraw/zinc/77/62/85/382776285.db2.gz DFAYVLMKRMNRPP-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@H]3CCCOC3)ccnc21 ZINC000214729502 382777017 /nfs/dbraw/zinc/77/70/17/382777017.db2.gz ZFDQVKMBWLSJKF-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN COc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)cc(C)n1 ZINC000337233134 382781518 /nfs/dbraw/zinc/78/15/18/382781518.db2.gz WETWEFOGQPUAJS-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCO[C@@H](C)C1 ZINC000220105045 382795090 /nfs/dbraw/zinc/79/50/90/382795090.db2.gz ONRGBDVBSZYVTJ-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000220153099 382795387 /nfs/dbraw/zinc/79/53/87/382795387.db2.gz KOGDBFAVCKCWHS-DTWKUNHWSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ncc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)s1 ZINC000337290867 382795440 /nfs/dbraw/zinc/79/54/40/382795440.db2.gz DIHOMNOXHSEAAN-UHFFFAOYSA-N 0 0 295.295 2.680 20 5 CFBDRN CCC[C@](C)(O)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000218856434 382790879 /nfs/dbraw/zinc/79/08/79/382790879.db2.gz APLKBGRKNPUAJR-ZDUSSCGKSA-N 0 0 286.303 2.706 20 5 CFBDRN COc1cc(N[C@H](C)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000218841096 382790883 /nfs/dbraw/zinc/79/08/83/382790883.db2.gz UMHRQORCOWFGOD-SSDOTTSWSA-N 0 0 254.261 2.953 20 5 CFBDRN CC[C@H](CCO)CNc1cccc(C)c1[N+](=O)[O-] ZINC000218866858 382791108 /nfs/dbraw/zinc/79/11/08/382791108.db2.gz KYLSJYLNCKTLCU-LLVKDONJSA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1ccnc(CNc2nccc(C)c2[N+](=O)[O-])c1 ZINC000336889775 382738101 /nfs/dbraw/zinc/73/81/01/382738101.db2.gz GNTHFWGOOSKUEN-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@@H]1CCC[C@@]1(O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000336892483 382738373 /nfs/dbraw/zinc/73/83/73/382738373.db2.gz JRNBTDASUQQRFB-AMIZOPFISA-N 0 0 286.278 2.836 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNC1(C(F)F)CC1 ZINC000337275266 382791900 /nfs/dbraw/zinc/79/19/00/382791900.db2.gz DNOTXXQTUZPZMK-UHFFFAOYSA-N 0 0 256.252 2.791 20 5 CFBDRN O=C1CCN1c1cccc(Nc2ncc([N+](=O)[O-])s2)c1 ZINC000336918245 382741969 /nfs/dbraw/zinc/74/19/69/382741969.db2.gz DNHKQAUWKFRCCZ-UHFFFAOYSA-N 0 0 290.304 2.532 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@@H](F)C(F)(F)F ZINC000336977596 382747829 /nfs/dbraw/zinc/74/78/29/382747829.db2.gz YQNCJNXWXDCQSF-ZCFIWIBFSA-N 0 0 270.207 2.982 20 5 CFBDRN CSC1(CNC(=O)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000194552780 382748282 /nfs/dbraw/zinc/74/82/82/382748282.db2.gz JKCDUYSHJLDJRE-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN CSC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000194608392 382749927 /nfs/dbraw/zinc/74/99/27/382749927.db2.gz MRAIXRFEPNTTLS-UHFFFAOYSA-N 0 0 294.376 2.539 20 5 CFBDRN COCCN(C[C@@H]1CC=CCC1)c1ncc([N+](=O)[O-])s1 ZINC000337002366 382750838 /nfs/dbraw/zinc/75/08/38/382750838.db2.gz VUNJNMIMPXCZGT-LLVKDONJSA-N 0 0 297.380 2.860 20 5 CFBDRN COCC[C@@H](Cc1ccco1)Nc1ncc([N+](=O)[O-])s1 ZINC000337018221 382752990 /nfs/dbraw/zinc/75/29/90/382752990.db2.gz OAIREXRDFFHSRR-VIFPVBQESA-N 0 0 297.336 2.704 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC1Cc2ccccc2C1 ZINC000194947361 382757960 /nfs/dbraw/zinc/75/79/60/382757960.db2.gz HIHYPVXUQUNNGH-UHFFFAOYSA-N 0 0 286.335 2.699 20 5 CFBDRN CC(=O)c1cc(N2CCO[C@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000228259722 382845995 /nfs/dbraw/zinc/84/59/95/382845995.db2.gz FWQJELWQZUPPAM-CABCVRRESA-N 0 0 290.319 2.555 20 5 CFBDRN CC(=O)c1cc(N2C[C@@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000228259763 382846158 /nfs/dbraw/zinc/84/61/58/382846158.db2.gz YQTYFUJPMIMGCV-SNVBAGLBSA-N 0 0 292.335 2.801 20 5 CFBDRN COc1cccc(N[C@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000228860335 382849431 /nfs/dbraw/zinc/84/94/31/382849431.db2.gz USNFFNNBDJPKKZ-ZJUUUORDSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2cnc(C)s2)c1 ZINC000337299491 382796987 /nfs/dbraw/zinc/79/69/87/382796987.db2.gz MMMCBAPDQYENSI-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1nc(NC(=O)C2CC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000575750279 382797923 /nfs/dbraw/zinc/79/79/23/382797923.db2.gz SUSAMCIHVMLBEF-UHFFFAOYSA-N 0 0 275.308 2.817 20 5 CFBDRN Cc1cc([C@@H](C)NCc2ccc([N+](=O)[O-])cc2)no1 ZINC000337309088 382799320 /nfs/dbraw/zinc/79/93/20/382799320.db2.gz VKVHOVIBXSIDLI-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN CC(C)(O)CCSc1nc2sccn2c1[N+](=O)[O-] ZINC000575816434 382800610 /nfs/dbraw/zinc/80/06/10/382800610.db2.gz QYKIGHHTUBXLEW-UHFFFAOYSA-N 0 0 287.366 2.557 20 5 CFBDRN Cc1nnc(N[C@@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC000223571349 382805928 /nfs/dbraw/zinc/80/59/28/382805928.db2.gz SGMJEKOAWFADRV-ZETCQYMHSA-N 0 0 264.310 2.928 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCCCCO ZINC000229142114 382850721 /nfs/dbraw/zinc/85/07/21/382850721.db2.gz PHJBFOGDDXXXKS-UHFFFAOYSA-N 0 0 258.705 2.823 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000225747409 382820971 /nfs/dbraw/zinc/82/09/71/382820971.db2.gz WORBBYDENCUHPW-LBPRGKRZSA-N 0 0 270.304 2.943 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCC[C@H]2O)c(Cl)c1 ZINC000226149235 382824912 /nfs/dbraw/zinc/82/49/12/382824912.db2.gz SVNDIKRKKOZEBX-GZMMTYOYSA-N 0 0 285.731 2.606 20 5 CFBDRN COC1(CNc2ccc(C)cc2[N+](=O)[O-])CCC1 ZINC000227304497 382835232 /nfs/dbraw/zinc/83/52/32/382835232.db2.gz SFZLXNFCERIAPJ-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@@H]1CCCCO1 ZINC000227316362 382835314 /nfs/dbraw/zinc/83/53/14/382835314.db2.gz XUHWBFRHDINVER-LBPRGKRZSA-N 0 0 265.313 2.669 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000227304071 382835350 /nfs/dbraw/zinc/83/53/50/382835350.db2.gz HFRQCOMHPHBHMD-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN CN(CCC1CCCCC1)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000337650956 382851996 /nfs/dbraw/zinc/85/19/96/382851996.db2.gz KBUOEUBAUUTZNG-UHFFFAOYSA-N 0 0 293.367 2.976 20 5 CFBDRN Cc1cccnc1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000227651422 382839007 /nfs/dbraw/zinc/83/90/07/382839007.db2.gz BJDXNUJWNWQKJH-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1c1ccccc1)c1csc([N+](=O)[O-])c1 ZINC000337544596 382839553 /nfs/dbraw/zinc/83/95/53/382839553.db2.gz KVENBALUXCJNTP-NEPJUHHUSA-N 0 0 288.328 2.942 20 5 CFBDRN CCC1(CNc2cc(C(=O)OC)ccc2[N+](=O)[O-])CC1 ZINC000227956811 382842403 /nfs/dbraw/zinc/84/24/03/382842403.db2.gz KMNPOEOGINKVTP-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN COc1cccc(NCC2(SC)CC2)c1[N+](=O)[O-] ZINC000227989690 382843558 /nfs/dbraw/zinc/84/35/58/382843558.db2.gz UPMWGLIPEDGQQJ-UHFFFAOYSA-N 0 0 268.338 2.911 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000455360783 382903471 /nfs/dbraw/zinc/90/34/71/382903471.db2.gz RZNRSSALEFINGG-YPMHNXCESA-N 0 0 291.351 2.782 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1cncs1 ZINC000337660612 382853093 /nfs/dbraw/zinc/85/30/93/382853093.db2.gz BDSRXVDEUHDNJC-SSDOTTSWSA-N 0 0 250.283 2.619 20 5 CFBDRN Cc1cc(N[C@H](CCO)C(C)C)ccc1[N+](=O)[O-] ZINC000229941865 382854483 /nfs/dbraw/zinc/85/44/83/382854483.db2.gz PDBFGPJAEQCEEZ-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN CC[C@@H](O)COc1cc([N+](=O)[O-])ccc1Br ZINC000230415880 382860902 /nfs/dbraw/zinc/86/09/02/382860902.db2.gz NQIRHLSWYKKKCS-MRVPVSSYSA-N 0 0 290.113 2.507 20 5 CFBDRN CCc1cnc(CNCc2cccc([N+](=O)[O-])c2)s1 ZINC000230706717 382862804 /nfs/dbraw/zinc/86/28/04/382862804.db2.gz LKAHCBURFSUZFB-UHFFFAOYSA-N 0 0 277.349 2.904 20 5 CFBDRN CN(CC1CC1)C(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000337772302 382863574 /nfs/dbraw/zinc/86/35/74/382863574.db2.gz VXROBSNGPANFEL-UHFFFAOYSA-N 0 0 280.349 2.555 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC(F)F)c2ncccc12 ZINC000231678010 382866210 /nfs/dbraw/zinc/86/62/10/382866210.db2.gz SRCHOABSYNZJJI-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN Cc1cccc(N(C)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000337802239 382866250 /nfs/dbraw/zinc/86/62/50/382866250.db2.gz IXNYNCLLZYVBAK-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000231685406 382866758 /nfs/dbraw/zinc/86/67/58/382866758.db2.gz NBRYPOZNPYEDTM-WCBMZHEXSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1c(CNC(=O)NC2(C(F)F)CC2)cccc1[N+](=O)[O-] ZINC000337821258 382868656 /nfs/dbraw/zinc/86/86/56/382868656.db2.gz UMFBXOULJKUUOL-UHFFFAOYSA-N 0 0 299.277 2.500 20 5 CFBDRN Cc1cn(Cc2cccc(OC(C)(C)C)n2)nc1[N+](=O)[O-] ZINC000337941535 382875169 /nfs/dbraw/zinc/87/51/69/382875169.db2.gz IUVIPSLNOWQXHR-UHFFFAOYSA-N 0 0 290.323 2.720 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C=Cc1c[nH]cn1 ZINC000493708014 382880392 /nfs/dbraw/zinc/88/03/92/382880392.db2.gz PTYBKQOKSMHIAP-ONEGZZNKSA-N 0 0 286.291 2.587 20 5 CFBDRN C[C@H](CCCO)Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235421681 382881438 /nfs/dbraw/zinc/88/14/38/382881438.db2.gz URWITLZDGZMOOO-SSDOTTSWSA-N 0 0 293.245 2.582 20 5 CFBDRN C[C@@H](SCc1ccc([N+](=O)[O-])c(F)c1)[C@H](C)O ZINC000235550087 382883677 /nfs/dbraw/zinc/88/36/77/382883677.db2.gz UJBQLDDLCZMOIB-JGVFFNPUSA-N 0 0 259.302 2.736 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000093265286 184659423 /nfs/dbraw/zinc/65/94/23/184659423.db2.gz GSZHCDNLSAERGB-JTQLQIEISA-N 0 0 279.340 2.685 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000421670893 382891689 /nfs/dbraw/zinc/89/16/89/382891689.db2.gz OZECUPLHMBKUQD-NWDGAFQWSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1NCCCCO ZINC000236505423 382895019 /nfs/dbraw/zinc/89/50/19/382895019.db2.gz IYGSCYIXXMTBHQ-UHFFFAOYSA-N 0 0 289.129 2.542 20 5 CFBDRN CCC[C@H](CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)OC ZINC000455340571 382898606 /nfs/dbraw/zinc/89/86/06/382898606.db2.gz WDMQNZALCLDTHH-GFCCVEGCSA-N 0 0 299.302 2.671 20 5 CFBDRN CCOC(=O)c1cc(N[C@H]2CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000578215249 382901657 /nfs/dbraw/zinc/90/16/57/382901657.db2.gz SOHVODDWECZZKV-CABZTGNLSA-N 0 0 278.308 2.982 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccncc1F ZINC000338178255 382943671 /nfs/dbraw/zinc/94/36/71/382943671.db2.gz ZPGVSEAWVLPDCB-SSDOTTSWSA-N 0 0 295.295 2.681 20 5 CFBDRN CC[C@H](O)CN[C@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000578875498 382962962 /nfs/dbraw/zinc/96/29/62/382962962.db2.gz RXJLCGOTZHOUMY-WDEREUQCSA-N 0 0 292.257 2.559 20 5 CFBDRN Cc1cncc(CNC(=O)c2cc([N+](=O)[O-])c(C)cc2C)c1 ZINC000421790577 382925392 /nfs/dbraw/zinc/92/53/92/382925392.db2.gz FMAUPTAAEUEHDF-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC[C@@H](CSC)Nc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000578523776 382929007 /nfs/dbraw/zinc/92/90/07/382929007.db2.gz PRQSMCMFXICXDD-JTQLQIEISA-N 0 0 299.396 2.752 20 5 CFBDRN NC(=O)c1ccc(NC/C=C\c2ccccc2[N+](=O)[O-])cc1 ZINC000442392427 382933511 /nfs/dbraw/zinc/93/35/11/382933511.db2.gz OANPODIZXCLXDO-HYXAFXHYSA-N 0 0 297.314 2.819 20 5 CFBDRN CC(C)NC(=O)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000444833661 382982008 /nfs/dbraw/zinc/98/20/08/382982008.db2.gz IOUGPEOKODBHBD-UHFFFAOYSA-N 0 0 280.327 2.820 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CSC2(C)C)n1 ZINC000338423913 383016918 /nfs/dbraw/zinc/01/69/18/383016918.db2.gz UAZFZJWTBTWUIP-SECBINFHSA-N 0 0 267.354 2.913 20 5 CFBDRN O=C(NC1CC1)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000444828630 382982462 /nfs/dbraw/zinc/98/24/62/382982462.db2.gz OUDMCRQNLDNUMV-UHFFFAOYSA-N 0 0 278.311 2.574 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H](C1CC1)C1CCC1 ZINC000457224409 382995989 /nfs/dbraw/zinc/99/59/89/382995989.db2.gz RAGQAFIANHCGNW-MRXNPFEDSA-N 0 0 288.347 2.832 20 5 CFBDRN C[C@H](CN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1)C(F)(F)F ZINC000447684282 382999426 /nfs/dbraw/zinc/99/94/26/382999426.db2.gz YWKGPZPBXUDWBK-ZCFIWIBFSA-N 0 0 288.229 2.503 20 5 CFBDRN CC[C@@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1ccncc1 ZINC000447684782 382999586 /nfs/dbraw/zinc/99/95/86/382999586.db2.gz DRRVXWWSTGBSFX-GFCCVEGCSA-N 0 0 297.318 2.851 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000457239492 383000661 /nfs/dbraw/zinc/00/06/61/383000661.db2.gz WGQFIFRHVJLGHE-LLVKDONJSA-N 0 0 293.367 2.948 20 5 CFBDRN CC(F)(F)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000579315632 383002195 /nfs/dbraw/zinc/00/21/95/383002195.db2.gz VVLHXUSHXIFACE-UHFFFAOYSA-N 0 0 267.235 2.632 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@]2(C)CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000457258099 383004378 /nfs/dbraw/zinc/00/43/78/383004378.db2.gz MAAYHMSVMUNENH-OTYXRUKQSA-N 0 0 294.355 2.579 20 5 CFBDRN C[C@H]1CN(C/C=C/c2ccccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000245537799 383004718 /nfs/dbraw/zinc/00/47/18/383004718.db2.gz JEFRSIBOBZFSSA-YTDWTQRBSA-N 0 0 276.336 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCO[C@@H](C)C1 ZINC000245517181 383004757 /nfs/dbraw/zinc/00/47/57/383004757.db2.gz GSBNKRVGIWKSDF-RYUDHWBXSA-N 0 0 264.325 2.685 20 5 CFBDRN C[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])c1ccncc1F ZINC000338369030 383007848 /nfs/dbraw/zinc/00/78/48/383007848.db2.gz DNJWFHJTXCQJKQ-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000457276546 383009412 /nfs/dbraw/zinc/00/94/12/383009412.db2.gz KFJFJHYZPSAOHV-ZFWWWQNUSA-N 0 0 291.351 2.853 20 5 CFBDRN CC[C@]1(C(C)C)C[C@H]1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000457276635 383009878 /nfs/dbraw/zinc/00/98/78/383009878.db2.gz KIWAKAQPLLPJEG-IUODEOHRSA-N 0 0 291.351 2.732 20 5 CFBDRN C[N@@H+]1CCCC(C)(C)[C@H]1CNc1ccc([N+](=O)[O-])nc1 ZINC000447810023 383009882 /nfs/dbraw/zinc/00/98/82/383009882.db2.gz FSCDYCSKLCDHDJ-GFCCVEGCSA-N 0 0 278.356 2.522 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@@H]1CCSC1 ZINC000447811848 383011459 /nfs/dbraw/zinc/01/14/59/383011459.db2.gz IIDGFTFYVVQHID-JTQLQIEISA-N 0 0 289.360 2.730 20 5 CFBDRN C[N@H+](CCc1cccc([N+](=O)[O-])c1)Cc1ccccn1 ZINC000338378167 383012391 /nfs/dbraw/zinc/01/23/91/383012391.db2.gz ICYGPLAOONMTMX-UHFFFAOYSA-N 0 0 271.320 2.664 20 5 CFBDRN C[C@]1(C(=O)NCc2cccc([N+](=O)[O-])c2)C[C@H]2C[C@H]2C1 ZINC000549783767 383014149 /nfs/dbraw/zinc/01/41/49/383014149.db2.gz QVEWTVCYRUEHLO-JYAVWHMHSA-N 0 0 274.320 2.647 20 5 CFBDRN O=C(NCCOCC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000457144082 382970636 /nfs/dbraw/zinc/97/06/36/382970636.db2.gz CZWDCRPMBBYQLD-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@@H]1C[C@H]1c1ccccc1 ZINC000338769698 383044793 /nfs/dbraw/zinc/04/47/93/383044793.db2.gz LDVMTWWUEWIITB-STQMWFEESA-N 0 0 269.304 2.627 20 5 CFBDRN Cc1cc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)ccn1 ZINC000448133440 383046251 /nfs/dbraw/zinc/04/62/51/383046251.db2.gz BGNQDDXHYJCOFU-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1cc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)ccn1 ZINC000448185501 383048436 /nfs/dbraw/zinc/04/84/36/383048436.db2.gz OSDZVPWPHUEXEI-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000457622472 383053101 /nfs/dbraw/zinc/05/31/01/383053101.db2.gz MGCSGHVTAHYXTN-WXHSDQCUSA-N 0 0 289.335 2.583 20 5 CFBDRN CC/C=C\CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000496253491 383079449 /nfs/dbraw/zinc/07/94/49/383079449.db2.gz JZZVNBSEVCDIMC-ARJAWSKDSA-N 0 0 291.307 2.930 20 5 CFBDRN CC[C@](C)(CNc1c(OC)cccc1[N+](=O)[O-])OC ZINC000579853159 383059934 /nfs/dbraw/zinc/05/99/34/383059934.db2.gz FAHAUVVRUVTJLA-CYBMUJFWSA-N 0 0 268.313 2.830 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC[C@@H]1CC1CCC1 ZINC000338830212 383063175 /nfs/dbraw/zinc/06/31/75/383063175.db2.gz NRPQPFQMZUNPCL-ZDUSSCGKSA-N 0 0 276.336 2.990 20 5 CFBDRN O=C(Nc1ccc2n[nH]cc2c1)c1csc([N+](=O)[O-])c1 ZINC000339050385 383132095 /nfs/dbraw/zinc/13/20/95/383132095.db2.gz DYYHQKFEMGVTSN-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN CN(C)C(=O)[C@@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000609631407 383134308 /nfs/dbraw/zinc/13/43/08/383134308.db2.gz TTWHDFHAXXQTSD-ZJUUUORDSA-N 0 0 297.380 2.715 20 5 CFBDRN CN(C)C(=O)[C@@H]1CCC[C@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000609631357 383134755 /nfs/dbraw/zinc/13/47/55/383134755.db2.gz IADXAWUHBKCMIO-ZJUUUORDSA-N 0 0 297.380 2.715 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000458251309 383142346 /nfs/dbraw/zinc/14/23/46/383142346.db2.gz AWHOUKDOAMSNTM-GRYCIOLGSA-N 0 0 274.320 2.822 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1[C@H](C)C[C@@H]1C ZINC000553232117 383103178 /nfs/dbraw/zinc/10/31/78/383103178.db2.gz TWKCXXCVNUGLGU-AOOOYVTPSA-N 0 0 278.308 2.677 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000246796836 383104763 /nfs/dbraw/zinc/10/47/63/383104763.db2.gz QRFIKUWSKADMBI-ZSBIGDGJSA-N 0 0 292.335 2.761 20 5 CFBDRN C[C@@H](C(=O)NCC(C)(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000554600690 383144664 /nfs/dbraw/zinc/14/46/64/383144664.db2.gz GRQKFSYZEYDOGL-SSDOTTSWSA-N 0 0 290.241 2.609 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000553832413 383117836 /nfs/dbraw/zinc/11/78/36/383117836.db2.gz SJUASRXDJVZEQL-GFCCVEGCSA-N 0 0 277.324 2.583 20 5 CFBDRN CO[C@H](COc1cc(C)c([N+](=O)[O-])cc1F)C1CC1 ZINC000424092672 383178677 /nfs/dbraw/zinc/17/86/77/383178677.db2.gz PIZKGUUBPUEBQS-CYBMUJFWSA-N 0 0 269.272 2.846 20 5 CFBDRN C[C@@H]1C[C@H]1c1ccc(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)o1 ZINC000517457587 383182970 /nfs/dbraw/zinc/18/29/70/383182970.db2.gz FRWLMMBFZNNKFU-PSASIEDQSA-N 0 0 289.291 2.569 20 5 CFBDRN Cc1cccc(NCC2([S@](C)=O)CCC2)c1[N+](=O)[O-] ZINC000280499305 194860211 /nfs/dbraw/zinc/86/02/11/194860211.db2.gz BXQMQQUPIAWOGL-IBGZPJMESA-N 0 0 282.365 2.616 20 5 CFBDRN O=[N+]([O-])c1cn(CCOc2cccc(Cl)c2)cn1 ZINC000247300492 383160762 /nfs/dbraw/zinc/16/07/62/383160762.db2.gz DWHXFZOUXCHLNE-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN CCC[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCC1 ZINC000458315718 383161171 /nfs/dbraw/zinc/16/11/71/383161171.db2.gz WKOGJDLSUXKCKM-JTQLQIEISA-N 0 0 265.313 2.622 20 5 CFBDRN CC1(C)CCN(C(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000339141754 383164908 /nfs/dbraw/zinc/16/49/08/383164908.db2.gz GNSANQAZMNAFHH-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CO[C@H](C)c1cccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000339148604 383166786 /nfs/dbraw/zinc/16/67/86/383166786.db2.gz OOCMVDGOZDIFOT-SECBINFHSA-N 0 0 289.291 2.883 20 5 CFBDRN CCC[C@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])C1CCCC1 ZINC000458334051 383167392 /nfs/dbraw/zinc/16/73/92/383167392.db2.gz HWFKQWVGJGKTTN-NSHDSACASA-N 0 0 294.355 2.715 20 5 CFBDRN CC/C=C/CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000520971986 383280983 /nfs/dbraw/zinc/28/09/83/383280983.db2.gz CNUPGVXUGBZYOC-SGUJLRQBSA-N 0 0 262.309 2.781 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CC[C@H](F)C1 ZINC000449387200 383256248 /nfs/dbraw/zinc/25/62/48/383256248.db2.gz KVWDYOLJBKNBOL-PYEVWLCESA-N 0 0 250.273 2.652 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC[C@H](F)C1 ZINC000449390216 383257644 /nfs/dbraw/zinc/25/76/44/383257644.db2.gz VJCUBKQSIAIKJI-NSHDSACASA-N 0 0 268.288 2.537 20 5 CFBDRN COC[C@]1(C)CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000449389922 383258289 /nfs/dbraw/zinc/25/82/89/383258289.db2.gz FBAGHPBUGJPRCY-GFCCVEGCSA-N 0 0 270.354 2.515 20 5 CFBDRN CNc1ccc(C(=O)NC[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000520555312 383262909 /nfs/dbraw/zinc/26/29/09/383262909.db2.gz GYCYGTAXUWPGKA-LLVKDONJSA-N 0 0 289.335 2.723 20 5 CFBDRN CC[C@@](C)(OC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000280547121 194877488 /nfs/dbraw/zinc/87/74/88/194877488.db2.gz QEVXHHVBDXZUTK-CQSZACIVSA-N 0 0 280.324 2.965 20 5 CFBDRN Cc1ccc(Cl)cc1N(C)Cc1c([N+](=O)[O-])ncn1C ZINC000339644414 383272724 /nfs/dbraw/zinc/27/27/24/383272724.db2.gz NKDVIOYCTCQSIC-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN Cc1noc(C)c1CCN(C)Cc1ccccc1[N+](=O)[O-] ZINC000339647823 383273463 /nfs/dbraw/zinc/27/34/63/383273463.db2.gz NJPDZFQXDWXSFO-UHFFFAOYSA-N 0 0 289.335 2.874 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CCOCC1 ZINC000339649362 383273881 /nfs/dbraw/zinc/27/38/81/383273881.db2.gz OJKQXCAABHHWKD-SECBINFHSA-N 0 0 296.298 2.735 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000339501563 383220042 /nfs/dbraw/zinc/22/00/42/383220042.db2.gz HHWAUVXDYZEDDS-ZYHUDNBSSA-N 0 0 262.309 2.761 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)C[C@@H]1F)c1ccc([N+](=O)[O-])cc1 ZINC000424849941 383279530 /nfs/dbraw/zinc/27/95/30/383279530.db2.gz JWHAKLXOWIYSBM-LJUAHTATSA-N 0 0 266.272 2.520 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000466156882 383334465 /nfs/dbraw/zinc/33/44/65/383334465.db2.gz HTLWZZUATCOXTL-RYUDHWBXSA-N 0 0 291.351 2.577 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000425161579 383335303 /nfs/dbraw/zinc/33/53/03/383335303.db2.gz TYYKWHCEFHJGAZ-JGPRNRPPSA-N 0 0 294.738 2.705 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@H]1C[C@H]1C1CCC1 ZINC000424893538 383291749 /nfs/dbraw/zinc/29/17/49/383291749.db2.gz FMIYGCRZOVEXBI-ZFWWWQNUSA-N 0 0 288.347 2.690 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2CC23CC3)c1[N+](=O)[O-] ZINC000424972686 383301647 /nfs/dbraw/zinc/30/16/47/383301647.db2.gz QFMBDPQLUGGQLP-SNVBAGLBSA-N 0 0 278.333 2.599 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]2C2CCC2)cc([N+](=O)[O-])c1 ZINC000424978022 383302543 /nfs/dbraw/zinc/30/25/43/383302543.db2.gz GZPJDQDUOBWNEM-UONOGXRCSA-N 0 0 274.320 2.822 20 5 CFBDRN C[C@@H](c1cccs1)N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000339741939 383303431 /nfs/dbraw/zinc/30/34/31/383303431.db2.gz FHQKVKYJCSLYCC-VIFPVBQESA-N 0 0 293.348 2.828 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2C[C@H]2C2CCC2)cccc1[N+](=O)[O-] ZINC000424986098 383305745 /nfs/dbraw/zinc/30/57/45/383305745.db2.gz WTGMMLZCGKBSII-QWHCGFSZSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1nc(N(CC(F)F)CC2CCC2)ncc1[N+](=O)[O-] ZINC000450411211 383396881 /nfs/dbraw/zinc/39/68/81/383396881.db2.gz MYLXRXFGDDNGBR-UHFFFAOYSA-N 0 0 286.282 2.565 20 5 CFBDRN Cc1c(CNC(=O)NC[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000479317811 383403540 /nfs/dbraw/zinc/40/35/40/383403540.db2.gz LTEASLQIXDFQEJ-LLVKDONJSA-N 0 0 293.367 2.995 20 5 CFBDRN Cc1nc(N[C@@H]2CC[C@H](C)[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000450440996 383412067 /nfs/dbraw/zinc/41/20/67/383412067.db2.gz PEHKJZQVBBEGHO-IQJOONFLSA-N 0 0 264.329 2.930 20 5 CFBDRN Cc1nc(N[C@@H]2CC[C@H](C)[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000450440994 383412482 /nfs/dbraw/zinc/41/24/82/383412482.db2.gz PEHKJZQVBBEGHO-ATZCPNFKSA-N 0 0 264.329 2.930 20 5 CFBDRN Cc1cc(Nc2ccc([N+](=O)[O-])nc2)nn1[C@H](C)C1CC1 ZINC000450441048 383412643 /nfs/dbraw/zinc/41/26/43/383412643.db2.gz QGBSYSDALBDJPH-SNVBAGLBSA-N 0 0 287.323 2.631 20 5 CFBDRN COc1cc(N[C@H]2CC23CC3)c(F)cc1[N+](=O)[O-] ZINC000425278606 383357956 /nfs/dbraw/zinc/35/79/56/383357956.db2.gz ZDNQTMJRVBFGLF-NSHDSACASA-N 0 0 252.245 2.707 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCO[C@H](C)C1 ZINC000568107498 383360190 /nfs/dbraw/zinc/36/01/90/383360190.db2.gz OTZIMLSKNGMTJZ-MNOVXSKESA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000568138481 383360652 /nfs/dbraw/zinc/36/06/52/383360652.db2.gz PXBSYIORHQRRNK-CMPLNLGQSA-N 0 0 280.299 2.659 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@H](Nc2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000413221512 532980473 /nfs/dbraw/zinc/98/04/73/532980473.db2.gz JLCRAOJXWHQELG-BKDNQFJXSA-N 0 0 283.303 2.600 20 5 CFBDRN C[C@H](C(=O)NCCOc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000523713581 383360948 /nfs/dbraw/zinc/36/09/48/383360948.db2.gz HDNXUNUXIHWDDT-LLVKDONJSA-N 0 0 294.351 2.772 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1cc2ccccc2[nH]1 ZINC000340152195 383363464 /nfs/dbraw/zinc/36/34/64/383363464.db2.gz SFVWMWIBCYUCGY-UHFFFAOYSA-N 0 0 268.276 2.505 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@H](CCO)CC2)n1 ZINC000420638512 291825431 /nfs/dbraw/zinc/82/54/31/291825431.db2.gz JXRKKQKWEFGIBQ-ZDUSSCGKSA-N 0 0 293.367 2.596 20 5 CFBDRN Cc1nc(CCNc2ccccc2[N+](=O)[O-])c(C)o1 ZINC000340195757 383377462 /nfs/dbraw/zinc/37/74/62/383377462.db2.gz GOMCCEMWXCMCPF-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCOC[C@H]2CCCO2)cc1 ZINC000524710448 383379594 /nfs/dbraw/zinc/37/95/94/383379594.db2.gz BLNJUGLMQKWXFD-GFCCVEGCSA-N 0 0 283.349 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2C[C@@H]3OCCC[C@@H]23)c1 ZINC000450475313 383425881 /nfs/dbraw/zinc/42/58/81/383425881.db2.gz WYOUJWSLAGZKIW-ZNMIVQPWSA-N 0 0 299.330 2.544 20 5 CFBDRN COC(=O)Cc1ccc(NCCC2(C)CC2)c([N+](=O)[O-])c1 ZINC000450492435 383435402 /nfs/dbraw/zinc/43/54/02/383435402.db2.gz UBZCRICKMVJFGU-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCCCOC(F)F ZINC000450513989 383445337 /nfs/dbraw/zinc/44/53/37/383445337.db2.gz YGUKDJDVTUVCEW-UHFFFAOYSA-N 0 0 297.261 2.606 20 5 CFBDRN O=C1CCN(Cc2ccccc2[N+](=O)[O-])C2(CCC2)C1 ZINC000568899523 383449089 /nfs/dbraw/zinc/44/90/89/383449089.db2.gz QUOHSJRXHQDEAB-UHFFFAOYSA-N 0 0 274.320 2.682 20 5 CFBDRN COc1ccc(NC(=O)CC2(C)CC2)c([N+](=O)[O-])c1 ZINC000428334785 383453285 /nfs/dbraw/zinc/45/32/85/383453285.db2.gz MWPJNPGHYQOHQJ-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN Cc1nc(NC2CC(C(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000450544638 383454691 /nfs/dbraw/zinc/45/46/91/383454691.db2.gz QAUGWUYDOYBOCE-UHFFFAOYSA-N 0 0 264.329 2.930 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2NCC[C@@H](C)F ZINC000450544936 383455469 /nfs/dbraw/zinc/45/54/69/383455469.db2.gz SRDFHHZMUWMSGQ-SECBINFHSA-N 0 0 293.298 2.734 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCS[C@H](C)[C@H]2C)n1 ZINC000408172132 291825817 /nfs/dbraw/zinc/82/58/17/291825817.db2.gz SCBORRFWSJKAKW-GHMZBOCLSA-N 0 0 281.381 2.937 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1C[C@H]1C1CCC1 ZINC000568986460 383457081 /nfs/dbraw/zinc/45/70/81/383457081.db2.gz CNBFCUGKMLLPTA-QWHCGFSZSA-N 0 0 274.320 2.647 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000568994829 383458096 /nfs/dbraw/zinc/45/80/96/383458096.db2.gz OCWAEHFEEXTDOA-NWDGAFQWSA-N 0 0 291.351 2.848 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCC[C@@H]1[C@H]1CCC[C@H]1O ZINC000450688342 383482399 /nfs/dbraw/zinc/48/23/99/383482399.db2.gz WKMDLEVYGJKNJP-YRGRVCCFSA-N 0 0 291.351 2.510 20 5 CFBDRN CCC[C@H](CNc1nccc2cc([N+](=O)[O-])ccc21)OC ZINC000450579164 383468072 /nfs/dbraw/zinc/46/80/72/383468072.db2.gz CEOOIWPSUWRVOJ-CYBMUJFWSA-N 0 0 289.335 2.792 20 5 CFBDRN CO[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000450751536 383485639 /nfs/dbraw/zinc/48/56/39/383485639.db2.gz DULWFCCWQHNUOB-JOYOIKCWSA-N 0 0 268.288 2.595 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]([C@H](CO)C1CC1)C1CC1 ZINC000450764420 383486912 /nfs/dbraw/zinc/48/69/12/383486912.db2.gz AGZQVZSNQIJNDX-DOMZBBRYSA-N 0 0 294.326 2.943 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]([C@H](CO)C2CC2)C2CC2)n1 ZINC000450783991 383489819 /nfs/dbraw/zinc/48/98/19/383489819.db2.gz YWZQKOZZVCEWKY-TZMCWYRMSA-N 0 0 291.351 2.507 20 5 CFBDRN CN(Cc1cc[nH]n1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000488062257 383492338 /nfs/dbraw/zinc/49/23/38/383492338.db2.gz FLIZKZNITAPFMC-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1ccc(C(N)=O)cc1 ZINC000340710653 383492485 /nfs/dbraw/zinc/49/24/85/383492485.db2.gz HPSKYLFHKWEGAZ-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@@H]1CC[C@H](C)C1 ZINC000340867588 383497701 /nfs/dbraw/zinc/49/77/01/383497701.db2.gz LFTWVMIQBFQDRP-GXSJLCMTSA-N 0 0 266.345 2.744 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])nc2)CCCC1 ZINC000450920014 383550409 /nfs/dbraw/zinc/55/04/09/383550409.db2.gz RWWXYOUMRIZZPB-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN Cc1cnc(N[C@H]2C[C@@H](C)n3ccnc32)c([N+](=O)[O-])c1 ZINC000450821827 383504052 /nfs/dbraw/zinc/50/40/52/383504052.db2.gz ALHXALOENRPNRT-ZJUUUORDSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCCCCO1 ZINC000332228991 291827815 /nfs/dbraw/zinc/82/78/15/291827815.db2.gz NLNGPNUETSWMDK-UHFFFAOYSA-N 0 0 278.308 2.769 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccnc1)c1ccccc1[N+](=O)[O-] ZINC000340950854 383508813 /nfs/dbraw/zinc/50/88/13/383508813.db2.gz AIJVGUDPOJSYNA-SNVBAGLBSA-N 0 0 286.291 2.873 20 5 CFBDRN Cc1cc(CSc2cccc([N+](=O)[O-])c2)ncn1 ZINC000488262767 383509564 /nfs/dbraw/zinc/50/95/64/383509564.db2.gz ZIPBKOBIQPUESA-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN CCn1ccnc1[C@@H](C)Nc1c([N+](=O)[O-])c(C)nn1CC ZINC000450834777 383511848 /nfs/dbraw/zinc/51/18/48/383511848.db2.gz VMGDWGQERHYCFA-SNVBAGLBSA-N 0 0 292.343 2.509 20 5 CFBDRN CCCc1ncc(CNc2ccc([N+](=O)[O-])cn2)o1 ZINC000450842068 383517268 /nfs/dbraw/zinc/51/72/68/383517268.db2.gz ARXGHRXJNXGCEP-UHFFFAOYSA-N 0 0 262.269 2.542 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H](CO)C2)n1 ZINC000340983675 383518410 /nfs/dbraw/zinc/51/84/10/383518410.db2.gz RCQFFSRDOROEFZ-VXGBXAGGSA-N 0 0 279.340 2.509 20 5 CFBDRN CCC1(CNc2c(F)cc([N+](=O)[O-])cc2F)COC1 ZINC000450849304 383520159 /nfs/dbraw/zinc/52/01/59/383520159.db2.gz WHKRBFRWCBNTMB-UHFFFAOYSA-N 0 0 272.251 2.712 20 5 CFBDRN COc1cccc([C@@H]2C[C@H]2Nc2ccc([N+](=O)[O-])nc2)c1 ZINC000450870017 383528592 /nfs/dbraw/zinc/52/85/92/383528592.db2.gz YPWRBIXPHHCQQB-UONOGXRCSA-N 0 0 285.303 2.966 20 5 CFBDRN CC[C@@H](Nc1ccc(C(C)=O)cc1[N+](=O)[O-])[C@@H](O)CC ZINC000450867127 383528746 /nfs/dbraw/zinc/52/87/46/383528746.db2.gz YBGDHYYSNRXBCO-RISCZKNCSA-N 0 0 280.324 2.759 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000569727197 383532228 /nfs/dbraw/zinc/53/22/28/383532228.db2.gz KTZFMAIRAVRALJ-RKDXNWHRSA-N 0 0 298.368 2.513 20 5 CFBDRN Cc1nc([C@H](C)Sc2ccc([N+](=O)[O-])cn2)no1 ZINC000450895659 383540256 /nfs/dbraw/zinc/54/02/56/383540256.db2.gz YHPLKOQSADJDFZ-LURJTMIESA-N 0 0 266.282 2.535 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC[C@H]1CCOC1 ZINC000450895164 383540752 /nfs/dbraw/zinc/54/07/52/383540752.db2.gz WZQYWPJLNMXPJZ-LLVKDONJSA-N 0 0 251.282 2.709 20 5 CFBDRN CC(C)(C(=O)NCc1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000429947530 383578817 /nfs/dbraw/zinc/57/88/17/383578817.db2.gz RIGQXOIKSXKKQH-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN CN(C(=O)CSc1ccc([N+](=O)[O-])cc1)[C@@H]1CC1(C)C ZINC000489369321 383611875 /nfs/dbraw/zinc/61/18/75/383611875.db2.gz NRDHBOGEAQRBGI-GFCCVEGCSA-N 0 0 294.376 2.944 20 5 CFBDRN CC[C@H]1CCN1c1ncc([N+](=O)[O-])cc1Br ZINC000489040473 383593086 /nfs/dbraw/zinc/59/30/86/383593086.db2.gz LDAOEJZUDZAZOI-ZETCQYMHSA-N 0 0 286.129 2.741 20 5 CFBDRN CCc1nc(C)c(COc2ccc([N+](=O)[O-])c(CO)c2)o1 ZINC000450927067 383553100 /nfs/dbraw/zinc/55/31/00/383553100.db2.gz OMVNDDPMIHSBMB-UHFFFAOYSA-N 0 0 292.291 2.525 20 5 CFBDRN C[C@@H](NC(=O)N(C)CC(F)F)c1ccccc1[N+](=O)[O-] ZINC000341070952 383553938 /nfs/dbraw/zinc/55/39/38/383553938.db2.gz BOOBWVKKHLVCKH-MRVPVSSYSA-N 0 0 287.266 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)CC2=CCCCC2)cc1 ZINC000450938178 383558981 /nfs/dbraw/zinc/55/89/81/383558981.db2.gz JBHAHXWZVAEDLX-UHFFFAOYSA-N 0 0 281.333 2.869 20 5 CFBDRN CC(C)(C)C[C@H]1CCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000450943003 383560033 /nfs/dbraw/zinc/56/00/33/383560033.db2.gz HJPWOVQMBWHXJY-SNVBAGLBSA-N 0 0 264.329 2.647 20 5 CFBDRN CCCN(Cc1cccc([N+](=O)[O-])c1)[C@@H](C)C(=O)OCC ZINC000429713803 383562025 /nfs/dbraw/zinc/56/20/25/383562025.db2.gz VWTUVIGCFKAINS-LBPRGKRZSA-N 0 0 294.351 2.758 20 5 CFBDRN CC1(CC(F)(F)F)CN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000450949279 383564581 /nfs/dbraw/zinc/56/45/81/383564581.db2.gz AORKXJLOKMAJHE-UHFFFAOYSA-N 0 0 275.230 2.769 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@@H]1CC1(C)C ZINC000488636306 383565718 /nfs/dbraw/zinc/56/57/18/383565718.db2.gz YMPHIWZRQVSSDO-GFCCVEGCSA-N 0 0 293.323 2.866 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000431170820 383636730 /nfs/dbraw/zinc/63/67/30/383636730.db2.gz XCFNFSDVBCPIEL-ORUWWINDSA-N 0 0 292.310 2.752 20 5 CFBDRN Cc1ccc(N[C@@H]2CCn3ccnc3C2)c([N+](=O)[O-])c1 ZINC000489897995 383641935 /nfs/dbraw/zinc/64/19/35/383641935.db2.gz RDOAXOKIAOIZAD-LLVKDONJSA-N 0 0 272.308 2.527 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)[C@@H](C)[C@@H]1C ZINC000570912505 383646953 /nfs/dbraw/zinc/64/69/53/383646953.db2.gz AEJXQRCWSZBPPJ-AEJSXWLSSA-N 0 0 266.345 2.600 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)[C@@H](C)[C@H]1C ZINC000570912507 383647203 /nfs/dbraw/zinc/64/72/03/383647203.db2.gz AEJXQRCWSZBPPJ-IVZWLZJFSA-N 0 0 266.345 2.600 20 5 CFBDRN COc1ccc(CN2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000570974174 383652139 /nfs/dbraw/zinc/65/21/39/383652139.db2.gz NPLOKVASXWCRRH-NSHDSACASA-N 0 0 268.288 2.537 20 5 CFBDRN CC1(CN2CCc3ncc([N+](=O)[O-])cc3C2)CCC1 ZINC000432844030 383750769 /nfs/dbraw/zinc/75/07/69/383750769.db2.gz VGNRXYAKCDDEOH-UHFFFAOYSA-N 0 0 261.325 2.538 20 5 CFBDRN CCCCN(CCOC)C(=O)c1csc([N+](=O)[O-])c1 ZINC000264574928 383757211 /nfs/dbraw/zinc/75/72/11/383757211.db2.gz FUZHQSHZKCHAJY-UHFFFAOYSA-N 0 0 286.353 2.545 20 5 CFBDRN CCO[C@@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264588094 383759559 /nfs/dbraw/zinc/75/95/59/383759559.db2.gz DXMFBXXMJURRAX-GHMZBOCLSA-N 0 0 275.264 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(COCC3CC3)n2)cc1 ZINC000264651101 383769128 /nfs/dbraw/zinc/76/91/28/383769128.db2.gz SEMXYXJFXHSKEM-UHFFFAOYSA-N 0 0 275.264 2.571 20 5 CFBDRN CC[C@@H](OC)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264684602 383770884 /nfs/dbraw/zinc/77/08/84/383770884.db2.gz ZSZXXKIXXFPCHA-SNVBAGLBSA-N 0 0 263.253 2.742 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCC[C@@H]1CCOC1 ZINC000453126074 383775729 /nfs/dbraw/zinc/77/57/29/383775729.db2.gz PTTSFYQEWRLZTD-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC1(CCO)CC1 ZINC000431477680 383674840 /nfs/dbraw/zinc/67/48/40/383674840.db2.gz MMQKXZHUURQTQI-UHFFFAOYSA-N 0 0 256.689 2.575 20 5 CFBDRN COc1ccc(CNC(=O)[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000264957863 383807556 /nfs/dbraw/zinc/80/75/56/383807556.db2.gz CYGMKURAYJEKIU-JTQLQIEISA-N 0 0 280.324 2.512 20 5 CFBDRN CC1(C)CCN1C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000531085987 383817021 /nfs/dbraw/zinc/81/70/21/383817021.db2.gz KBMDRKXYKGMQRU-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN CCC(C)(CC)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000265028754 383818105 /nfs/dbraw/zinc/81/81/05/383818105.db2.gz MZRKGSASIJBQTH-UHFFFAOYSA-N 0 0 256.327 2.965 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ncoc2C2CC2)nc1C1CC1 ZINC000436211960 383789424 /nfs/dbraw/zinc/78/94/24/383789424.db2.gz SQYFYXAEIUUMTD-UHFFFAOYSA-N 0 0 274.280 2.582 20 5 CFBDRN CN(CCNc1cccc(F)c1[N+](=O)[O-])CC(F)(F)F ZINC000264870244 383793969 /nfs/dbraw/zinc/79/39/69/383793969.db2.gz VRPQBYCJSZDBNV-UHFFFAOYSA-N 0 0 295.236 2.640 20 5 CFBDRN CCO[C@H](CC)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000531220148 383835913 /nfs/dbraw/zinc/83/59/13/383835913.db2.gz AZJAYFKNAODPPM-BXUZGUMPSA-N 0 0 294.351 2.896 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)CCCO1 ZINC000281014017 195069634 /nfs/dbraw/zinc/06/96/34/195069634.db2.gz BTLLWQJQXVWTEW-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@H](C)[C@@H]2C)ncc1[N+](=O)[O-] ZINC000572949242 383845047 /nfs/dbraw/zinc/84/50/47/383845047.db2.gz WYLMBUQGEXIVGD-ATZCPNFKSA-N 0 0 264.329 2.930 20 5 CFBDRN CCOc1cc(CN2C[C@@H]3C[C@H]2CS3)ccc1[N+](=O)[O-] ZINC000572961847 383846194 /nfs/dbraw/zinc/84/61/94/383846194.db2.gz MAIQENTUTAWBLL-RYUDHWBXSA-N 0 0 294.376 2.683 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1ccc2c(c1)CCO2 ZINC000265202837 383858325 /nfs/dbraw/zinc/85/83/25/383858325.db2.gz KGIMXRXNAOUDTI-UHFFFAOYSA-N 0 0 285.303 2.579 20 5 CFBDRN CC(F)(F)CCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000454146749 383916458 /nfs/dbraw/zinc/91/64/58/383916458.db2.gz MIXRTCLIBSSESA-UHFFFAOYSA-N 0 0 273.239 2.762 20 5 CFBDRN C[C@H](C(=O)NC1CC(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000573185617 383884880 /nfs/dbraw/zinc/88/48/80/383884880.db2.gz CHMXCEYUIOXVCB-YZRBJQDESA-N 0 0 262.309 2.613 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCCC1(C)C ZINC000531558282 383886435 /nfs/dbraw/zinc/88/64/35/383886435.db2.gz XNBYQMVKYFQDAY-GFCCVEGCSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@@H](C(=O)NCCc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000265302096 383889268 /nfs/dbraw/zinc/88/92/68/383889268.db2.gz RRYAYGMMUBEYFO-NSHDSACASA-N 0 0 278.352 2.936 20 5 CFBDRN CC[C@@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265692155 383979182 /nfs/dbraw/zinc/97/91/82/383979182.db2.gz GRUJRGYCFYTUTO-SNVBAGLBSA-N 0 0 264.325 2.787 20 5 CFBDRN C[C@H](c1cccs1)N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532133769 383979949 /nfs/dbraw/zinc/97/99/49/383979949.db2.gz AIDMLTWATWEGEH-SECBINFHSA-N 0 0 291.332 2.885 20 5 CFBDRN CCc1ocnc1C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000265702510 383981152 /nfs/dbraw/zinc/98/11/52/383981152.db2.gz KVPILZQOZQLOBA-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN C[C@@H](NC(=O)CCNc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000573853818 384002162 /nfs/dbraw/zinc/00/21/62/384002162.db2.gz ODHLRTSUTNPKHS-LLVKDONJSA-N 0 0 293.367 2.948 20 5 CFBDRN Cc1cn([C@H](C)c2cccc([N+](=O)[O-])c2)nc1[N+](=O)[O-] ZINC000281085848 195097522 /nfs/dbraw/zinc/09/75/22/195097522.db2.gz COBXCYIGROVERW-SECBINFHSA-N 0 0 276.252 2.617 20 5 CFBDRN Cc1cccc2c1N(C(=O)c1ccc([N+](=O)[O-])cn1)CCC2 ZINC000532144925 383983818 /nfs/dbraw/zinc/98/38/18/383983818.db2.gz IFALKXUSNGPNLT-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN CC(C)(C(=O)NCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000265732686 383987605 /nfs/dbraw/zinc/98/76/05/383987605.db2.gz YEFGVZYUTUKPNJ-UHFFFAOYSA-N 0 0 276.336 2.789 20 5 CFBDRN Cc1cn(Cc2ccccc2OC(F)F)nc1[N+](=O)[O-] ZINC000281087376 195099059 /nfs/dbraw/zinc/09/90/59/195099059.db2.gz CQOUSDOINPQSIV-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])c[nH]2)CCCCC1 ZINC000454324326 383992981 /nfs/dbraw/zinc/99/29/81/383992981.db2.gz OEVOJMPJQCTKET-UHFFFAOYSA-N 0 0 265.313 2.766 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265763912 383993600 /nfs/dbraw/zinc/99/36/00/383993600.db2.gz HBKOBXMBYYAXLG-QWRGUYRKSA-N 0 0 276.336 2.645 20 5 CFBDRN CCc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)on1 ZINC000532232618 383998771 /nfs/dbraw/zinc/99/87/71/383998771.db2.gz QTVNUTMVSWGXRP-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN O=C(CC1CC1)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000265796254 384003196 /nfs/dbraw/zinc/00/31/96/384003196.db2.gz TZMGGTLRGQYSBI-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CC(C)[C@H](C)N(C(=O)c1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000531882097 383937521 /nfs/dbraw/zinc/93/75/21/383937521.db2.gz MOJUNXFIPDHSGP-JTQLQIEISA-N 0 0 277.324 2.639 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCCc2ccccc21 ZINC000531881371 383937624 /nfs/dbraw/zinc/93/76/24/383937624.db2.gz INMYEEFSFKOLKC-UHFFFAOYSA-N 0 0 283.287 2.583 20 5 CFBDRN CCCC1(CNC(=O)c2ccc([N+](=O)[O-])cn2)CCC1 ZINC000531887828 383939451 /nfs/dbraw/zinc/93/94/51/383939451.db2.gz MXOFOFJBKJONTE-UHFFFAOYSA-N 0 0 277.324 2.690 20 5 CFBDRN CC(C)c1noc(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000265666178 383973657 /nfs/dbraw/zinc/97/36/57/383973657.db2.gz YGGBGHMUBKCZDE-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN Nc1ccc(-c2noc(/C=C/c3ccc[nH]3)n2)cc1[N+](=O)[O-] ZINC000438243563 383976059 /nfs/dbraw/zinc/97/60/59/383976059.db2.gz MKFMPSDLDVZOIT-GQCTYLIASA-N 0 0 297.274 2.726 20 5 CFBDRN Cc1ccc(C(=O)NC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000532381906 384019399 /nfs/dbraw/zinc/01/93/99/384019399.db2.gz BFXFJTRLWJERRK-UHFFFAOYSA-N 0 0 260.293 2.576 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532388447 384021815 /nfs/dbraw/zinc/02/18/15/384021815.db2.gz XKOVDQMLUHCHGN-WDEREUQCSA-N 0 0 277.324 2.641 20 5 CFBDRN O=C(Nc1cccc(F)c1F)c1ccc([N+](=O)[O-])cn1 ZINC000532391027 384023376 /nfs/dbraw/zinc/02/33/76/384023376.db2.gz MXDHHCIJAGHOGG-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCC(C)C ZINC000439886887 384049128 /nfs/dbraw/zinc/04/91/28/384049128.db2.gz IHKHADNCCASHGS-UHFFFAOYSA-N 0 0 281.312 2.771 20 5 CFBDRN Cc1nc(N(C)[C@H](C)C(C)(C)C)ncc1[N+](=O)[O-] ZINC000574030547 384033075 /nfs/dbraw/zinc/03/30/75/384033075.db2.gz IPPNKBSJXRQVFC-SECBINFHSA-N 0 0 252.318 2.564 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]([C@H](C)O)C2)c1 ZINC000533008598 384091756 /nfs/dbraw/zinc/09/17/56/384091756.db2.gz CKWZXXYGSDJWJH-NWDGAFQWSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1nc(C)c(C(=O)CSc2ccc([N+](=O)[O-])cn2)o1 ZINC000532988654 384089749 /nfs/dbraw/zinc/08/97/49/384089749.db2.gz OGCYCDMVMUPWGD-UHFFFAOYSA-N 0 0 293.304 2.570 20 5 CFBDRN CN(Cc1ccccn1)c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000574196727 384061426 /nfs/dbraw/zinc/06/14/26/384061426.db2.gz JGBIYLKYPHSTIB-UHFFFAOYSA-N 0 0 298.346 2.900 20 5 CFBDRN CCO[C@H]1C[C@@H]1NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000574266110 384069229 /nfs/dbraw/zinc/06/92/29/384069229.db2.gz QXVIDDZACBVTAI-AAEUAGOBSA-N 0 0 293.323 2.511 20 5 CFBDRN Cc1nc(C)c(CNC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000266151989 384096716 /nfs/dbraw/zinc/09/67/16/384096716.db2.gz JFOCQPPXBXWJJM-UHFFFAOYSA-N 0 0 297.361 2.660 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000266169175 384102019 /nfs/dbraw/zinc/10/20/19/384102019.db2.gz YWKBQQMWVZMDHC-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN COC(=O)CC1(COc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000440574514 384106416 /nfs/dbraw/zinc/10/64/16/384106416.db2.gz BLZNACUJFHWDJZ-UHFFFAOYSA-N 0 0 299.710 2.970 20 5 CFBDRN COc1cc(N[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000266209333 384115519 /nfs/dbraw/zinc/11/55/19/384115519.db2.gz FWORMPPGIJISGN-ZLKJLUDKSA-N 0 0 292.335 2.829 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1CC[C@H](F)C1 ZINC000488047831 285080928 /nfs/dbraw/zinc/08/09/28/285080928.db2.gz XBMDHUDCKZXYHZ-UWVGGRQHSA-N 0 0 275.283 2.867 20 5 CFBDRN CCn1nc(C)c(Nc2ncc(C)cc2[N+](=O)[O-])c1C ZINC000266238861 384125491 /nfs/dbraw/zinc/12/54/91/384125491.db2.gz WOCYFLJAFDPRJU-UHFFFAOYSA-N 0 0 275.312 2.875 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1NC1CCC1 ZINC000039325843 285083835 /nfs/dbraw/zinc/08/38/35/285083835.db2.gz BTRLHRIMRXFTDW-UHFFFAOYSA-N 0 0 272.102 2.717 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000266306669 384142495 /nfs/dbraw/zinc/14/24/95/384142495.db2.gz ZKBSRGBKNRPBFA-SKDRFNHKSA-N 0 0 278.308 2.634 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000574981176 384171576 /nfs/dbraw/zinc/17/15/76/384171576.db2.gz YTABXJWAQRSNIN-LLVKDONJSA-N 0 0 289.335 2.702 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2c(C)noc2C)c1 ZINC000266376785 384161164 /nfs/dbraw/zinc/16/11/64/384161164.db2.gz MIHQJXRKVQPVAB-UHFFFAOYSA-N 0 0 291.307 2.863 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1CC[C@@H](C)O1 ZINC000455184332 384162070 /nfs/dbraw/zinc/16/20/70/384162070.db2.gz LJEIMNLJNJPWGP-ZYHUDNBSSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000429852370 533040475 /nfs/dbraw/zinc/04/04/75/533040475.db2.gz NZSYJHPGPJZNDH-SNVBAGLBSA-N 0 0 276.336 2.787 20 5 CFBDRN CC1(C)C[C@@H]1Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000266451586 384177949 /nfs/dbraw/zinc/17/79/49/384177949.db2.gz XGOZGCXAWBAJKD-LBPRGKRZSA-N 0 0 291.307 2.762 20 5 CFBDRN Cc1cc(C(=O)NCCCC(F)(F)F)cc([N+](=O)[O-])c1 ZINC000266523134 384191858 /nfs/dbraw/zinc/19/18/58/384191858.db2.gz IWMSDTOZCBLEFI-UHFFFAOYSA-N 0 0 290.241 2.976 20 5 CFBDRN COC(=O)[C@H]1CCC[N@@H+]([C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000266564308 384198483 /nfs/dbraw/zinc/19/84/83/384198483.db2.gz ZCNXVFKUZNSZGK-NEPJUHHUSA-N 0 0 292.335 2.541 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCO[C@@H]3CCC[C@@H]32)c(F)c1 ZINC000286090803 285093193 /nfs/dbraw/zinc/09/31/93/285093193.db2.gz UDEWDMXGVAFLQS-UONOGXRCSA-N 0 0 298.289 2.626 20 5 CFBDRN CCC1(CNc2ncc(C)cc2[N+](=O)[O-])CCOCC1 ZINC000266744464 384231045 /nfs/dbraw/zinc/23/10/45/384231045.db2.gz RAXGUGKYKCNZOV-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN C[C@H]1CSCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000277141967 384212907 /nfs/dbraw/zinc/21/29/07/384212907.db2.gz DVDZHVUNHKQZSV-SECBINFHSA-N 0 0 270.329 2.923 20 5 CFBDRN CCC1(CNC(=O)c2ccccc2[N+](=O)[O-])CCOCC1 ZINC000266695967 384223469 /nfs/dbraw/zinc/22/34/69/384223469.db2.gz MWEJSIHYHZLFIC-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN CN(c1ncccc1[N+](=O)[O-])[C@@H](CCO)c1ccccc1 ZINC000266861839 384248378 /nfs/dbraw/zinc/24/83/78/384248378.db2.gz PCIRYRIDKCINOK-ZDUSSCGKSA-N 0 0 287.319 2.550 20 5 CFBDRN Cc1occc1CN(C)c1cc(C)c([N+](=O)[O-])cn1 ZINC000266861663 384248874 /nfs/dbraw/zinc/24/88/74/384248874.db2.gz XDANYDKYGDFGEQ-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC[C@@H](F)C2)s1 ZINC000408103359 285099143 /nfs/dbraw/zinc/09/91/43/285099143.db2.gz AGDWBQBTTBVMGG-SSDOTTSWSA-N 0 0 282.300 2.538 20 5 CFBDRN CC(C)[C@@H]1OCC[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000277291222 384258117 /nfs/dbraw/zinc/25/81/17/384258117.db2.gz BFUZYGALFWUMDF-KGLIPLIRSA-N 0 0 292.335 2.619 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000277301511 384261008 /nfs/dbraw/zinc/26/10/08/384261008.db2.gz AUMFWJYGDTVJQN-MFKMUULPSA-N 0 0 287.319 2.980 20 5 CFBDRN COc1cc(N[C@@H]2CCOC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000277309180 384262524 /nfs/dbraw/zinc/26/25/24/384262524.db2.gz BHDRCYLWLUYKBS-PSASIEDQSA-N 0 0 284.287 2.579 20 5 CFBDRN C[C@H]1CN(C(=O)CNc2ccccc2[N+](=O)[O-])C(C)(C)C1 ZINC000266936287 384264523 /nfs/dbraw/zinc/26/45/23/384264523.db2.gz VDTVXZWELPVGSJ-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000266952306 384267888 /nfs/dbraw/zinc/26/78/88/384267888.db2.gz SYDCLOLURNDRLD-BXKDBHETSA-N 0 0 262.309 2.543 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCCOC1 ZINC000266972607 384271745 /nfs/dbraw/zinc/27/17/45/384271745.db2.gz MMJDHWGZARGTSR-NSHDSACASA-N 0 0 280.324 2.580 20 5 CFBDRN Cc1cc(NC[C@]2(C)CCCC[C@H]2O)ncc1[N+](=O)[O-] ZINC000267138245 384306939 /nfs/dbraw/zinc/30/69/39/384306939.db2.gz XHFISCDXXOBRLG-OCCSQVGLSA-N 0 0 279.340 2.651 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000425302483 285101517 /nfs/dbraw/zinc/10/15/17/285101517.db2.gz NHXZSFPIXCOHKK-ZSBIGDGJSA-N 0 0 269.304 2.775 20 5 CFBDRN CCc1nc(SCc2ccc([N+](=O)[O-])cc2F)n[nH]1 ZINC000267025806 384282493 /nfs/dbraw/zinc/28/24/93/384282493.db2.gz KJOGQDIKURMEIA-UHFFFAOYSA-N 0 0 282.300 2.707 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@H](COC)C1 ZINC000267112352 384303104 /nfs/dbraw/zinc/30/31/04/384303104.db2.gz YSFDVFVJCKZGGQ-LBPRGKRZSA-N 0 0 294.351 2.604 20 5 CFBDRN CC[C@@H](C)c1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])cn2)[nH]1 ZINC000277538509 384332459 /nfs/dbraw/zinc/33/24/59/384332459.db2.gz ZAKIBXLAWVHPSP-RKDXNWHRSA-N 0 0 290.327 2.795 20 5 CFBDRN CCS[C@H]1CCCC[C@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000267388933 384376542 /nfs/dbraw/zinc/37/65/42/384376542.db2.gz NFWHALGYEUHYDC-ZJUUUORDSA-N 0 0 284.385 2.805 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H]1C ZINC000267695521 384445919 /nfs/dbraw/zinc/44/59/19/384445919.db2.gz RCCOCTAEKSBIFR-DTORHVGOSA-N 0 0 265.269 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCS[C@H]3CCCC[C@H]32)nc1 ZINC000267746025 384455787 /nfs/dbraw/zinc/45/57/87/384455787.db2.gz GVLVHLBIGFCWNI-NEPJUHHUSA-N 0 0 279.365 2.854 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@H]1CNc1ncccc1[N+](=O)[O-] ZINC000267648807 384432842 /nfs/dbraw/zinc/43/28/42/384432842.db2.gz LWOVOBWXIWARIV-AAEUAGOBSA-N 0 0 279.340 2.853 20 5 CFBDRN C[C@H]1CN(C(=O)CCNc2ccccc2[N+](=O)[O-])C[C@@H]1C ZINC000267662011 384436396 /nfs/dbraw/zinc/43/63/96/384436396.db2.gz NFVGJTDALBRTMG-RYUDHWBXSA-N 0 0 291.351 2.511 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1cccc(O)c1 ZINC000344433901 220281323 /nfs/dbraw/zinc/28/13/23/220281323.db2.gz NOIZUYBPBCTRAN-SECBINFHSA-N 0 0 259.265 2.869 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000267766231 384460580 /nfs/dbraw/zinc/46/05/80/384460580.db2.gz RVSZQYUPEJVTQM-JTQLQIEISA-N 0 0 292.335 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@H]1CCCCO1)CCN2 ZINC000278141561 384481387 /nfs/dbraw/zinc/48/13/87/384481387.db2.gz ASORFTAAEGBXFI-SNVBAGLBSA-N 0 0 277.324 2.544 20 5 CFBDRN CCC[C@H](C)C(=O)NCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278146920 384482968 /nfs/dbraw/zinc/48/29/68/384482968.db2.gz NZXHDHSJEGBRDI-VIFPVBQESA-N 0 0 290.323 2.524 20 5 CFBDRN COC1(C)CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000278144193 384483325 /nfs/dbraw/zinc/48/33/25/384483325.db2.gz AXAXJPQHBFBNMH-UHFFFAOYSA-N 0 0 291.351 2.568 20 5 CFBDRN CCO[C@@H](C)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278213040 384500705 /nfs/dbraw/zinc/50/07/05/384500705.db2.gz QKTUBTYUCJKDER-LURJTMIESA-N 0 0 269.282 2.804 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CCC(C)(C)O2)cccc1[N+](=O)[O-] ZINC000278226422 384504273 /nfs/dbraw/zinc/50/42/73/384504273.db2.gz JBDSRNMTUXIXGD-GFCCVEGCSA-N 0 0 279.292 2.766 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCC(C)(C)O1)c1cccc([N+](=O)[O-])c1 ZINC000278262044 384511895 /nfs/dbraw/zinc/51/18/95/384511895.db2.gz NXAXRIPQWPXOQT-GWCFXTLKSA-N 0 0 292.335 2.730 20 5 CFBDRN COC(C)(C)c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000267900211 384487032 /nfs/dbraw/zinc/48/70/32/384487032.db2.gz XXYNLZCIDZCMTC-UHFFFAOYSA-N 0 0 263.253 2.526 20 5 CFBDRN COC(C)(C)CC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000278162450 384487791 /nfs/dbraw/zinc/48/77/91/384487791.db2.gz AQIVIZNRZAFLHO-UHFFFAOYSA-N 0 0 280.324 2.965 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)CO[C@H]2CCOC2)c1 ZINC000268050883 384518692 /nfs/dbraw/zinc/51/86/92/384518692.db2.gz SQLLPCQCUCVCGK-NEPJUHHUSA-N 0 0 280.324 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H]3CCC[C@@H]32)c1 ZINC000268052401 384519109 /nfs/dbraw/zinc/51/91/09/384519109.db2.gz UICUYTRBJQIQHC-JSGCOSHPSA-N 0 0 262.309 2.661 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)NC1CCCC1 ZINC000268062662 384521613 /nfs/dbraw/zinc/52/16/13/384521613.db2.gz FOQNKWMPBWNJAZ-UHFFFAOYSA-N 0 0 297.742 2.990 20 5 CFBDRN CN(CCC1CCOCC1)c1ccc([N+](=O)[O-])cc1F ZINC000268112435 384533161 /nfs/dbraw/zinc/53/31/61/384533161.db2.gz CSYNMCMOQVWVSA-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C3CC3)c[nH]2)c1 ZINC000289355897 197308653 /nfs/dbraw/zinc/30/86/53/197308653.db2.gz MBJJVUBUKYSBBC-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCC3)C2)c2nonc21 ZINC000268173969 285122381 /nfs/dbraw/zinc/12/23/81/285122381.db2.gz HAJMNDILLVVJOK-UHFFFAOYSA-N 0 0 274.280 2.511 20 5 CFBDRN COc1cc(NC[C@H]2CCCCO2)c([N+](=O)[O-])cc1F ZINC000268169460 384551699 /nfs/dbraw/zinc/55/16/99/384551699.db2.gz PUHREXCYNUYSNT-SECBINFHSA-N 0 0 284.287 2.724 20 5 CFBDRN CCOC(=O)c1cc(N[C@H](C)CCOC)ccc1[N+](=O)[O-] ZINC000268171024 384554474 /nfs/dbraw/zinc/55/44/74/384554474.db2.gz QFQFNRAAITVDMP-SNVBAGLBSA-N 0 0 296.323 2.608 20 5 CFBDRN CN(Cc1cccnc1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278410114 384562571 /nfs/dbraw/zinc/56/25/71/384562571.db2.gz NSZWFKNIRAFLCU-UHFFFAOYSA-N 0 0 284.319 2.594 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCCOCC1CC1 ZINC000359946084 220316968 /nfs/dbraw/zinc/31/69/68/220316968.db2.gz IJAIOMSXSDRPBG-UHFFFAOYSA-N 0 0 279.292 2.603 20 5 CFBDRN CN(CC1CC1)c1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000278417667 384567259 /nfs/dbraw/zinc/56/72/59/384567259.db2.gz OJCPGJGUFJFYKR-UHFFFAOYSA-N 0 0 275.230 2.855 20 5 CFBDRN CC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])o2)CCS1 ZINC000279378541 384619340 /nfs/dbraw/zinc/61/93/40/384619340.db2.gz TZVMGFCVWQNVDQ-UHFFFAOYSA-N 0 0 284.337 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccsc3C2)nc1 ZINC000132474135 285131991 /nfs/dbraw/zinc/13/19/91/285131991.db2.gz HMBMANRZNPWFRP-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000374327850 285129681 /nfs/dbraw/zinc/12/96/81/285129681.db2.gz XLCZLCHXHCJIMB-JTQLQIEISA-N 0 0 277.280 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]([C@H]3CCOC3)C2)c(F)c1 ZINC000378236030 285130166 /nfs/dbraw/zinc/13/01/66/285130166.db2.gz YCEIUSQGDDZPHA-QWRGUYRKSA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3CCC[C@H]3C2)c([N+](=O)[O-])c1 ZINC000268186063 285133041 /nfs/dbraw/zinc/13/30/41/285133041.db2.gz DDQPPPLKJMFZAE-UWVGGRQHSA-N 0 0 277.280 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H]2O)nc1-c1ccccc1 ZINC000301877710 285136119 /nfs/dbraw/zinc/13/61/19/285136119.db2.gz SWDXPKMIZHYOLS-JSGCOSHPSA-N 0 0 299.330 2.982 20 5 CFBDRN Cc1c(Br)cc(C(=O)N2CCC2)cc1[N+](=O)[O-] ZINC000269817739 384874711 /nfs/dbraw/zinc/87/47/11/384874711.db2.gz BBQCVHQZINVRCT-UHFFFAOYSA-N 0 0 299.124 2.512 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000280004971 384846944 /nfs/dbraw/zinc/84/69/44/384846944.db2.gz MPDOKSGJVJABQX-CYBMUJFWSA-N 0 0 298.364 2.688 20 5 CFBDRN Cc1cc(S[C@H]2CCO[C@H]2C)ncc1[N+](=O)[O-] ZINC000269900370 384897959 /nfs/dbraw/zinc/89/79/59/384897959.db2.gz FOVGKOUVCHFSFL-WPRPVWTQSA-N 0 0 254.311 2.568 20 5 CFBDRN Cc1ccc(NC[C@H](C)Cn2ccnc2)c([N+](=O)[O-])c1 ZINC000269906215 384901459 /nfs/dbraw/zinc/90/14/59/384901459.db2.gz HAJVNXGEYKWQCW-LBPRGKRZSA-N 0 0 274.324 2.848 20 5 CFBDRN CC(C)c1nn(C)cc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000280279581 384958998 /nfs/dbraw/zinc/95/89/98/384958998.db2.gz SCCULLRYOMLINS-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN Cc1ccc(NCc2cccc(N(C)C)n2)c([N+](=O)[O-])c1 ZINC000269983326 384929426 /nfs/dbraw/zinc/92/94/26/384929426.db2.gz NKLYAFIKEHDRHM-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H](C)[C@H](C)C1 ZINC000280298872 384966201 /nfs/dbraw/zinc/96/62/01/384966201.db2.gz FOYLXQFBTMSBJC-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1cc(N[C@H](CO)CC2CCC2)ccc1[N+](=O)[O-] ZINC000280340140 384981148 /nfs/dbraw/zinc/98/11/48/384981148.db2.gz VNTFXKAPPRIKIR-LBPRGKRZSA-N 0 0 280.324 2.566 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](CO)CC1CCC1 ZINC000280362173 384989929 /nfs/dbraw/zinc/98/99/29/384989929.db2.gz XTHZKWUINCROID-LLVKDONJSA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H](CO)CC1CCC1 ZINC000280385929 384999091 /nfs/dbraw/zinc/99/90/91/384999091.db2.gz ZUZGPMRZNRAXSE-JTQLQIEISA-N 0 0 268.288 2.697 20 5 CFBDRN CO[C@H](C)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413262047 533099336 /nfs/dbraw/zinc/09/93/36/533099336.db2.gz UCNYFZFJFDXTSA-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@H]1CC[C@H](F)C1)CCN2 ZINC000340940189 285146701 /nfs/dbraw/zinc/14/67/01/285146701.db2.gz BUGROIONISKSKE-IUCAKERBSA-N 0 0 265.288 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@@]4(CCOC4)C3)ccc2c1 ZINC000301588718 285150436 /nfs/dbraw/zinc/15/04/36/285150436.db2.gz CCUYHWIIPPHHOS-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN CCc1nsc(NCCc2cccc([N+](=O)[O-])c2)n1 ZINC000270284533 385042262 /nfs/dbraw/zinc/04/22/62/385042262.db2.gz MTKZTASGHAWMGQ-UHFFFAOYSA-N 0 0 278.337 2.663 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC=C(C)C1 ZINC000280672583 385108992 /nfs/dbraw/zinc/10/89/92/385108992.db2.gz LOEAVYXPXWRKGS-UHFFFAOYSA-N 0 0 291.307 2.787 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)CC(C)(C)C ZINC000280675181 385109392 /nfs/dbraw/zinc/10/93/92/385109392.db2.gz HVCCPDJVHWMWPQ-UHFFFAOYSA-N 0 0 254.334 2.602 20 5 CFBDRN CC(C)(C)C1=CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000270464264 385111912 /nfs/dbraw/zinc/11/19/12/385111912.db2.gz PINBQIQWGQFUCE-UHFFFAOYSA-N 0 0 277.324 2.741 20 5 CFBDRN CO[C@@H](c1nc(-c2ccc([N+](=O)[O-])s2)no1)C1CC1 ZINC000289202201 197262170 /nfs/dbraw/zinc/26/21/70/197262170.db2.gz YKGXABYVTGBYPK-SECBINFHSA-N 0 0 281.293 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(-c3nc(-c4ncc[nH]4)no3)cc2c1 ZINC000349746882 285152050 /nfs/dbraw/zinc/15/20/50/285152050.db2.gz AHCMLSAMQZKNRV-UHFFFAOYSA-N 0 0 297.230 2.781 20 5 CFBDRN O=C(NC[C@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000280711814 385125071 /nfs/dbraw/zinc/12/50/71/385125071.db2.gz MJYQGBBHFVQLRS-VIFPVBQESA-N 0 0 284.262 2.760 20 5 CFBDRN CSCCONC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000280716475 385126111 /nfs/dbraw/zinc/12/61/11/385126111.db2.gz CREHNJRAXTTZMD-UHFFFAOYSA-N 0 0 299.352 2.628 20 5 CFBDRN CC1=CCCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000280621406 385087188 /nfs/dbraw/zinc/08/71/88/385087188.db2.gz FSWQVYQMYOHERH-UHFFFAOYSA-N 0 0 296.273 2.865 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(CNCCF)cs2)c1 ZINC000280629474 385092029 /nfs/dbraw/zinc/09/20/29/385092029.db2.gz GXBJCRVVSBPSEU-UHFFFAOYSA-N 0 0 281.312 2.777 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CO[C@@H](C)CC ZINC000281414652 385193828 /nfs/dbraw/zinc/19/38/28/385193828.db2.gz PRHIDZRAGLEVLN-JTQLQIEISA-N 0 0 296.323 2.747 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC=C(C)C1 ZINC000280763865 385145288 /nfs/dbraw/zinc/14/52/88/385145288.db2.gz NPOZAMTWLSGWEO-UHFFFAOYSA-N 0 0 262.309 2.898 20 5 CFBDRN CC(C)N(C(=O)NCCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000270581478 385152279 /nfs/dbraw/zinc/15/22/79/385152279.db2.gz WTBAAFPKEXSFSA-UHFFFAOYSA-N 0 0 291.351 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOCC23CCCC3)cc1 ZINC000270589487 385156583 /nfs/dbraw/zinc/15/65/83/385156583.db2.gz DXRFWJWFUNIVDY-UHFFFAOYSA-N 0 0 276.336 2.740 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](C)[C@@H](C)CO)c([N+](=O)[O-])c1 ZINC000280814112 385163892 /nfs/dbraw/zinc/16/38/92/385163892.db2.gz XFXFLYQGAJVBPR-UWVGGRQHSA-N 0 0 280.324 2.616 20 5 CFBDRN O=C(NC[C@@H]1CCC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000280842248 385176208 /nfs/dbraw/zinc/17/62/08/385176208.db2.gz YZAVDJLHNWKWGD-SECBINFHSA-N 0 0 284.262 2.760 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)C1CCC(F)(F)CC1 ZINC000289121490 197240479 /nfs/dbraw/zinc/24/04/79/197240479.db2.gz WXDKYIGPSXCZKR-UHFFFAOYSA-N 0 0 288.250 2.838 20 5 CFBDRN Cc1cn(CCSc2ccccc2)nc1[N+](=O)[O-] ZINC000282159634 385232541 /nfs/dbraw/zinc/23/25/41/385232541.db2.gz CVBPNAKYGDLLCL-UHFFFAOYSA-N 0 0 263.322 2.892 20 5 CFBDRN CC(C)SCCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000282163715 385234293 /nfs/dbraw/zinc/23/42/93/385234293.db2.gz HCJITRBAYJMCMA-UHFFFAOYSA-N 0 0 276.745 2.552 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H](C)CF ZINC000289110428 197236842 /nfs/dbraw/zinc/23/68/42/197236842.db2.gz WGEHLSRHSGJDTE-SECBINFHSA-N 0 0 268.288 2.608 20 5 CFBDRN COc1cccc(CN(C)c2ncc([N+](=O)[O-])s2)c1 ZINC000282188367 385242231 /nfs/dbraw/zinc/24/22/31/385242231.db2.gz PBEXTOXNYAVVFC-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN CC[C@@H](Nc1ccccc1[N+](=O)[O-])[C@H](O)C(F)(F)F ZINC000270843622 385244818 /nfs/dbraw/zinc/24/48/18/385244818.db2.gz XDCZUZNIKPOBHR-XCBNKYQSSA-N 0 0 278.230 2.709 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000270884859 385257886 /nfs/dbraw/zinc/25/78/86/385257886.db2.gz PMQOQOTYUDHQNB-JTQLQIEISA-N 0 0 266.297 2.705 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000282432651 385309853 /nfs/dbraw/zinc/30/98/53/385309853.db2.gz MMCURDAPELQYKD-ZJUUUORDSA-N 0 0 296.298 2.796 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000282471041 385321004 /nfs/dbraw/zinc/32/10/04/385321004.db2.gz HVIUASXJSRMHJF-MISXGVKJSA-N 0 0 293.319 2.931 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1C[C@H](CO)Cc2ccccc21 ZINC000366373784 285160507 /nfs/dbraw/zinc/16/05/07/285160507.db2.gz XAWUFASZHDKAJX-CYBMUJFWSA-N 0 0 298.342 2.766 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289092210 197231736 /nfs/dbraw/zinc/23/17/36/197231736.db2.gz QSFJJKYWDZJMOZ-ZIAGYGMSSA-N 0 0 290.363 2.888 20 5 CFBDRN CC[C@H](SC)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289087648 197231221 /nfs/dbraw/zinc/23/12/21/197231221.db2.gz QFRPFEHEFPCBAG-ZDUSSCGKSA-N 0 0 296.392 2.737 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000289084810 197230767 /nfs/dbraw/zinc/23/07/67/197230767.db2.gz CJPUKJFUCPJJLO-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1ccc(CNc2ncnn2C(C)(C)C)cc1[N+](=O)[O-] ZINC000282672941 385367714 /nfs/dbraw/zinc/36/77/14/385367714.db2.gz SBQMUKVXMKYWIE-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000282682601 385368913 /nfs/dbraw/zinc/36/89/13/385368913.db2.gz ORYGHYZPMPDZHL-UWVGGRQHSA-N 0 0 299.710 2.973 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000282689357 385371142 /nfs/dbraw/zinc/37/11/42/385371142.db2.gz PEAMHSHUYOETNZ-QWRGUYRKSA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000282713179 385375181 /nfs/dbraw/zinc/37/51/81/385375181.db2.gz RCQBMLYPCFFSAK-NEPJUHHUSA-N 0 0 279.292 2.628 20 5 CFBDRN COc1cc(N2CCO[C@@H]3CCC[C@@H]32)c(F)cc1[N+](=O)[O-] ZINC000271612655 385386700 /nfs/dbraw/zinc/38/67/00/385386700.db2.gz KNCAEMRVFLGLPT-GXFFZTMASA-N 0 0 296.298 2.500 20 5 CFBDRN C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000272015378 385425747 /nfs/dbraw/zinc/42/57/47/385425747.db2.gz RAKLGDTWCURIBR-GWCFXTLKSA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000271982518 385419268 /nfs/dbraw/zinc/41/92/68/385419268.db2.gz OVMLOHFZJNXRQS-GXSJLCMTSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000272000977 385423106 /nfs/dbraw/zinc/42/31/06/385423106.db2.gz RUEZNJDIGFDZQL-LDYMZIIASA-N 0 0 294.282 2.678 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H](O)C(C)(C)C)c1 ZINC000283016113 385423633 /nfs/dbraw/zinc/42/36/33/385423633.db2.gz LXNUILFPCZJSPE-LBPRGKRZSA-N 0 0 253.298 2.689 20 5 CFBDRN CC(C)OCCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000272090657 385445350 /nfs/dbraw/zinc/44/53/50/385445350.db2.gz IKRSTWIRWIIVPU-UHFFFAOYSA-N 0 0 275.308 2.883 20 5 CFBDRN Cc1nc(N[C@@H](C)[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272028380 385430143 /nfs/dbraw/zinc/43/01/43/385430143.db2.gz REGRICWIAZJWMM-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN CN(Cc1ncc(Cl)n1C)c1ccc(F)cc1[N+](=O)[O-] ZINC000272175403 385466735 /nfs/dbraw/zinc/46/67/35/385466735.db2.gz COCRZXCGHFIDAC-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1C[C@@H]2CCC[C@H]21 ZINC000436383483 285170972 /nfs/dbraw/zinc/17/09/72/285170972.db2.gz DJZTYISNJHUQAY-JGVFFNPUSA-N 0 0 287.241 2.997 20 5 CFBDRN C[C@@H]1CCCN1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272189987 385469893 /nfs/dbraw/zinc/46/98/93/385469893.db2.gz KOXBORAMPXGMJP-SECBINFHSA-N 0 0 284.262 2.698 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1NC1CCC1 ZINC000236141745 285171307 /nfs/dbraw/zinc/17/13/07/285171307.db2.gz IFHCLZHUECITDN-UHFFFAOYSA-N 0 0 261.203 2.973 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H]1CCCCO1 ZINC000272228895 385481155 /nfs/dbraw/zinc/48/11/55/385481155.db2.gz XAOODNQGCXABLM-BXUZGUMPSA-N 0 0 292.335 2.591 20 5 CFBDRN CSCC(C)(C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000283617607 385481944 /nfs/dbraw/zinc/48/19/44/385481944.db2.gz UYYFKKPZZNWVLZ-UHFFFAOYSA-N 0 0 282.365 2.947 20 5 CFBDRN C/C=C(/CC)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283638119 385483943 /nfs/dbraw/zinc/48/39/43/385483943.db2.gz PLQSOIARLOCLCH-PQMHYQBVSA-N 0 0 276.336 2.952 20 5 CFBDRN C[C@@H]1COCCN1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000283652990 385485496 /nfs/dbraw/zinc/48/54/96/385485496.db2.gz CQKFQIBYNZFOMS-MRVPVSSYSA-N 0 0 299.714 2.501 20 5 CFBDRN C[C@H]1CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000272161503 385463155 /nfs/dbraw/zinc/46/31/55/385463155.db2.gz FFDPKTLRNSCHDL-UWVGGRQHSA-N 0 0 298.289 2.801 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2Cc2ccccc2)nc1 ZINC000273132033 285173757 /nfs/dbraw/zinc/17/37/57/285173757.db2.gz BTJPYXIKINMSHY-ZDUSSCGKSA-N 0 0 284.319 2.596 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288867708 197182768 /nfs/dbraw/zinc/18/27/68/197182768.db2.gz QHPAWVFIZGAYSK-ZYHUDNBSSA-N 0 0 275.308 2.555 20 5 CFBDRN CCN(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000283906399 385512782 /nfs/dbraw/zinc/51/27/82/385512782.db2.gz JQFLETMIEMBZOG-UHFFFAOYSA-N 0 0 255.249 2.526 20 5 CFBDRN Cc1cc(F)c(NC(=O)N[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000283938281 385516128 /nfs/dbraw/zinc/51/61/28/385516128.db2.gz KUOPNZUJUFLZNM-HZGVNTEJSA-N 0 0 267.260 2.572 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000272253071 385489165 /nfs/dbraw/zinc/48/91/65/385489165.db2.gz SYRWNMYKTVXYJE-CABZTGNLSA-N 0 0 299.758 2.631 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2CCc2ccccc2)nc1 ZINC000302108783 285173325 /nfs/dbraw/zinc/17/33/25/285173325.db2.gz ORKPIBKRJCECFB-CQSZACIVSA-N 0 0 298.346 2.986 20 5 CFBDRN COCC[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000284109748 385535724 /nfs/dbraw/zinc/53/57/24/385535724.db2.gz SYDPEKOBPTXMJQ-VIFPVBQESA-N 0 0 299.302 2.589 20 5 CFBDRN Cc1cc(CNCc2cc([N+](=O)[O-])ccc2Cl)n(C)n1 ZINC000284109377 385536315 /nfs/dbraw/zinc/53/63/15/385536315.db2.gz WUPNBHAXTXYCEO-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN O=[N+]([O-])c1cnc(N2Cc3cccc(Cl)c3C2)nc1 ZINC000377143434 285179591 /nfs/dbraw/zinc/17/95/91/285179591.db2.gz VECMGDFWVASCAQ-UHFFFAOYSA-N 0 0 276.683 2.558 20 5 CFBDRN CCCN(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000283990438 385521434 /nfs/dbraw/zinc/52/14/34/385521434.db2.gz MSWNFPSEXLIPLH-UHFFFAOYSA-N 0 0 269.276 2.916 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ccc(Cl)cc32)nc1 ZINC000302179397 285178161 /nfs/dbraw/zinc/17/81/61/285178161.db2.gz YTHTZFBPDADTNC-UHFFFAOYSA-N 0 0 276.683 2.732 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000288790210 197167059 /nfs/dbraw/zinc/16/70/59/197167059.db2.gz FHDBOWQYRFNCRB-OAHLLOKOSA-N 0 0 294.351 2.592 20 5 CFBDRN COCCCCNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000286238554 385576240 /nfs/dbraw/zinc/57/62/40/385576240.db2.gz IBHPFFOZSZTJQX-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]3OCCC[C@@H]23)c(Cl)c1 ZINC000302568362 285181751 /nfs/dbraw/zinc/18/17/51/285181751.db2.gz PQIZCKFWDRMWAH-JMJZKYOTSA-N 0 0 283.715 2.623 20 5 CFBDRN CC(C)[C@H](O)C(C)(C)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000286115130 385561129 /nfs/dbraw/zinc/56/11/29/385561129.db2.gz GFVSLCDZZUAYEB-ZDUSSCGKSA-N 0 0 281.356 2.632 20 5 CFBDRN CCC[C@]1(NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCOC1 ZINC000288810789 197170329 /nfs/dbraw/zinc/17/03/29/197170329.db2.gz MYSRMGRIOCSNDI-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2cc(Cl)ccc2[N+](=O)[O-])[nH]1 ZINC000286219390 385573369 /nfs/dbraw/zinc/57/33/69/385573369.db2.gz BRYUZJOFYGQSPQ-ZCFIWIBFSA-N 0 0 281.703 2.848 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(F)(F)F ZINC000288752207 197158461 /nfs/dbraw/zinc/15/84/61/197158461.db2.gz ZJBCWZKZQCWVDV-YFKPBYRVSA-N 0 0 296.632 2.929 20 5 CFBDRN C[C@@H](NC(=O)[C@@]1(C)CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000272649861 385582851 /nfs/dbraw/zinc/58/28/51/385582851.db2.gz PNZHLPUSSVIHLP-ABAIWWIYSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc(CNc2cc(C)ccc2[N+](=O)[O-])n(C)n1 ZINC000286299036 385584916 /nfs/dbraw/zinc/58/49/16/385584916.db2.gz DNKMKVRRDCLGET-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCCN1c1ccc([N+](=O)[O-])cn1 ZINC000286330600 385592410 /nfs/dbraw/zinc/59/24/10/385592410.db2.gz LMHDMTFILGTCLQ-VXGBXAGGSA-N 0 0 279.340 2.510 20 5 CFBDRN O=[N+]([O-])c1cnn(CCN2CCCCc3ccccc32)c1 ZINC000178720454 285189524 /nfs/dbraw/zinc/18/95/24/285189524.db2.gz JIMHRWURAFHXEL-UHFFFAOYSA-N 0 0 286.335 2.634 20 5 CFBDRN COc1ccc(NC(=O)N(C)C2CCC2)cc1[N+](=O)[O-] ZINC000272853219 385632582 /nfs/dbraw/zinc/63/25/82/385632582.db2.gz QSDSATZIKVNKLE-UHFFFAOYSA-N 0 0 279.296 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCOC[C@H]2C)c1 ZINC000286408992 385613179 /nfs/dbraw/zinc/61/31/79/385613179.db2.gz OVTUVDQEFNAKDT-NEPJUHHUSA-N 0 0 264.325 2.988 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1CCCCS1 ZINC000272918530 385652612 /nfs/dbraw/zinc/65/26/12/385652612.db2.gz FMVBRIYZZPPFRK-LLVKDONJSA-N 0 0 284.312 2.958 20 5 CFBDRN Cc1nc(N2CC[C@H](C(C)(C)C)[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000273385722 385680927 /nfs/dbraw/zinc/68/09/27/385680927.db2.gz ZKCCKFHHLZQHIF-WCQYABFASA-N 0 0 293.367 2.532 20 5 CFBDRN C[C@H]1CCCC[C@H]1c1noc(Cn2cc([N+](=O)[O-])cn2)n1 ZINC000274093355 385717374 /nfs/dbraw/zinc/71/73/74/385717374.db2.gz YPBYANTXLAXTTB-GXSJLCMTSA-N 0 0 291.311 2.516 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]2Cc2ccccc2)nc1 ZINC000273651008 385692838 /nfs/dbraw/zinc/69/28/38/385692838.db2.gz UNVMZKSPBXCNFW-CQSZACIVSA-N 0 0 298.346 2.986 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000286772667 385706937 /nfs/dbraw/zinc/70/69/37/385706937.db2.gz QGDYIRVKYIPDRX-GFCCVEGCSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCSC2)n1 ZINC000288661354 197139039 /nfs/dbraw/zinc/13/90/39/197139039.db2.gz YSRCMQYNTLBSRT-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN CC(=O)c1cc(Nc2c(C)nn(C)c2C)ccc1[N+](=O)[O-] ZINC000287123094 385785995 /nfs/dbraw/zinc/78/59/95/385785995.db2.gz WKXHIXBAVJMAAI-UHFFFAOYSA-N 0 0 288.307 2.891 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1(C)C ZINC000274323193 385766464 /nfs/dbraw/zinc/76/64/64/385766464.db2.gz ZHSUKZQGAUZZHM-MNOVXSKESA-N 0 0 296.323 2.510 20 5 CFBDRN Cc1cc(C(=O)NCCSC(C)C)ccc1[N+](=O)[O-] ZINC000287064131 385773152 /nfs/dbraw/zinc/77/31/52/385773152.db2.gz NIOSFABYYITRFI-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN CCc1nn(C)c(Nc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000288619482 197128700 /nfs/dbraw/zinc/12/87/00/197128700.db2.gz PTFYOPGPMZMJBZ-UHFFFAOYSA-N 0 0 264.260 2.773 20 5 CFBDRN CC(=O)c1cc(NC[C@H](C)C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000287197808 385801291 /nfs/dbraw/zinc/80/12/91/385801291.db2.gz HNHGBWQTTNDTAG-ZJUUUORDSA-N 0 0 280.324 2.616 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCCCC1 ZINC000288604599 197125862 /nfs/dbraw/zinc/12/58/62/197125862.db2.gz OFPPVSDQBTXKIJ-UHFFFAOYSA-N 0 0 252.318 2.500 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1CC2(C1)CCCCC2 ZINC000274890474 385891105 /nfs/dbraw/zinc/89/11/05/385891105.db2.gz CRZZELIYSLEBJN-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN C[C@H]1C[C@@H](CO)CCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288564594 197113571 /nfs/dbraw/zinc/11/35/71/197113571.db2.gz ASRTZYWESPZYLX-JQWIXIFHSA-N 0 0 298.770 2.841 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCC[C@@H]2CCO)c(F)c1 ZINC000275040170 385918978 /nfs/dbraw/zinc/91/89/78/385918978.db2.gz QFXCANZOAUECNN-PELKAZGASA-N 0 0 286.278 2.836 20 5 CFBDRN O=C(C[C@@H]1C=CCCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000275069610 385925193 /nfs/dbraw/zinc/92/51/93/385925193.db2.gz UKJZUGUUWNEHKA-CYBMUJFWSA-N 0 0 288.347 3.000 20 5 CFBDRN CC(C)(O)CCNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000274799244 385873097 /nfs/dbraw/zinc/87/30/97/385873097.db2.gz UNYMZZCGDBBVBS-UHFFFAOYSA-N 0 0 290.266 2.769 20 5 CFBDRN CCC(=O)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000274833337 385880495 /nfs/dbraw/zinc/88/04/95/385880495.db2.gz SGLOFHQBKAPVGV-SECBINFHSA-N 0 0 296.348 2.630 20 5 CFBDRN Cc1cccc(N2C[C@@H](C)O[C@H](C)C2)c1[N+](=O)[O-] ZINC000275490157 385976476 /nfs/dbraw/zinc/97/64/76/385976476.db2.gz QZUNHIBQNKHRCJ-GHMZBOCLSA-N 0 0 250.298 2.517 20 5 CFBDRN COc1ccc(NC(=O)CC2(OC)CCC2)cc1[N+](=O)[O-] ZINC000276827268 386054234 /nfs/dbraw/zinc/05/42/34/386054234.db2.gz OBVFUHWNCOHWPM-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@@H]1CC[C@@H](O)C1 ZINC000277027390 386111071 /nfs/dbraw/zinc/11/10/71/386111071.db2.gz ZLRXNFMDOZYNMP-ZYHUDNBSSA-N 0 0 287.319 2.716 20 5 CFBDRN C/C=C(\C)C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1C ZINC000277056647 386119131 /nfs/dbraw/zinc/11/91/31/386119131.db2.gz LYEXGYFDJIMVLX-VMPITWQZSA-N 0 0 292.291 2.595 20 5 CFBDRN CCOc1cc(CS[C@@H](C)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000276872584 386065038 /nfs/dbraw/zinc/06/50/38/386065038.db2.gz HSQSWCIVEVNDNO-ZJUUUORDSA-N 0 0 285.365 2.996 20 5 CFBDRN COC(=O)c1cc(NC(=O)CC(C)C)c(C)c([N+](=O)[O-])c1 ZINC000276873015 386065741 /nfs/dbraw/zinc/06/57/41/386065741.db2.gz KHEWRNAXQMZGGA-UHFFFAOYSA-N 0 0 294.307 2.674 20 5 CFBDRN COc1cc(N2CCS[C@H](C)CC2)ccc1[N+](=O)[O-] ZINC000276883164 386069384 /nfs/dbraw/zinc/06/93/84/386069384.db2.gz JDCISEYPTMTZNI-SNVBAGLBSA-N 0 0 282.365 2.935 20 5 CFBDRN Cc1cnc(NC[C@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000288435475 197077872 /nfs/dbraw/zinc/07/78/72/197077872.db2.gz ICSNGJWHXFICTK-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Nc1cn(C)nc1C(C)C ZINC000288371713 197061182 /nfs/dbraw/zinc/06/11/82/197061182.db2.gz HVVMMNPNVPSLCM-UHFFFAOYSA-N 0 0 292.343 2.720 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1CCCCO1 ZINC000288401673 197068578 /nfs/dbraw/zinc/06/85/78/197068578.db2.gz LEVYCTYNVNWMCR-VIFPVBQESA-N 0 0 254.261 2.715 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)CCC1CC1 ZINC000288231265 197032443 /nfs/dbraw/zinc/03/24/43/197032443.db2.gz IOEOYUULXRERAN-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCCSC2)nc2sccn21 ZINC000288185373 197022146 /nfs/dbraw/zinc/02/21/46/197022146.db2.gz FFNFXAWHPLZCSL-QMMMGPOBSA-N 0 0 298.393 2.859 20 5 CFBDRN C[C@H](CF)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000288098075 197004108 /nfs/dbraw/zinc/00/41/08/197004108.db2.gz GTKSWHLGLJZICR-BDAKNGLRSA-N 0 0 286.328 2.550 20 5 CFBDRN Cc1cnc(NCc2nccc(C(F)F)n2)c([N+](=O)[O-])c1 ZINC000288127813 197010145 /nfs/dbraw/zinc/01/01/45/197010145.db2.gz DMAHRHXAYCFRJP-UHFFFAOYSA-N 0 0 295.249 2.638 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CCCO1 ZINC000287958944 196977854 /nfs/dbraw/zinc/97/78/54/196977854.db2.gz YXEYKKBAXIMRST-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN CCC[C@@]1(NC(=O)c2cccc([N+](=O)[O-])c2C)CCOC1 ZINC000287787277 196941506 /nfs/dbraw/zinc/94/15/06/196941506.db2.gz IXFPORKOQQUVPT-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN COc1cc(Cn2cc(C)c([N+](=O)[O-])n2)ccc1SC ZINC000281221304 195155149 /nfs/dbraw/zinc/15/51/49/195155149.db2.gz RFXJMICTACUIBB-UHFFFAOYSA-N 0 0 293.348 2.879 20 5 CFBDRN Nc1ccc(NCCCc2nccs2)c([N+](=O)[O-])c1 ZINC000287528170 196877645 /nfs/dbraw/zinc/87/76/45/196877645.db2.gz VMQTZKKCINJASK-UHFFFAOYSA-N 0 0 278.337 2.678 20 5 CFBDRN CCC[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000287447236 196861615 /nfs/dbraw/zinc/86/16/15/196861615.db2.gz RKNPBVXGJYLPBQ-AWEZNQCLSA-N 0 0 280.324 2.760 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1nccn1C(F)F ZINC000281317733 195194541 /nfs/dbraw/zinc/19/45/41/195194541.db2.gz IVFLZNHFYBFLEI-UHFFFAOYSA-N 0 0 299.233 2.774 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CC(C)C ZINC000281347559 195206109 /nfs/dbraw/zinc/20/61/09/195206109.db2.gz LXECLTDERRMZGH-UHFFFAOYSA-N 0 0 266.297 2.978 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000286788523 196704342 /nfs/dbraw/zinc/70/43/42/196704342.db2.gz RPHXORKOXRRAGT-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H](O)CSc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000281520192 195272883 /nfs/dbraw/zinc/27/28/83/195272883.db2.gz UOPHDGJIENRPDG-LURJTMIESA-N 0 0 270.335 2.677 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000281700737 195343425 /nfs/dbraw/zinc/34/34/25/195343425.db2.gz CMTBDPYLHAKHFU-PRHODGIISA-N 0 0 282.727 2.705 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000281766037 195371667 /nfs/dbraw/zinc/37/16/67/195371667.db2.gz FXKZFMRDZZFCLD-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cccc(C)c2[N+](=O)[O-])[C@H](C)C1 ZINC000281998573 195467507 /nfs/dbraw/zinc/46/75/07/195467507.db2.gz XSBCEBFYPZIFAN-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C[C@H]1CCCCO1 ZINC000282245023 195549797 /nfs/dbraw/zinc/54/97/97/195549797.db2.gz IPTVYTJSEQTHGR-SNVBAGLBSA-N 0 0 296.298 2.940 20 5 CFBDRN CCOc1ccccc1OCCn1cc(C)c([N+](=O)[O-])n1 ZINC000282352804 195584224 /nfs/dbraw/zinc/58/42/24/195584224.db2.gz WTSQNDXUJXZBMO-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN CSCCC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000282425047 195605771 /nfs/dbraw/zinc/60/57/71/195605771.db2.gz UEVWBVRBCQDUGQ-UHFFFAOYSA-N 0 0 272.301 2.734 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CSC1(C)C ZINC000282673417 195676568 /nfs/dbraw/zinc/67/65/68/195676568.db2.gz PESJPENGBSEFDB-LLVKDONJSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CSC1(C)C ZINC000283557077 195877588 /nfs/dbraw/zinc/87/75/88/195877588.db2.gz IMJKQMDYOZMKBF-JTQLQIEISA-N 0 0 280.349 2.527 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@@H]1C[C@H](C)O ZINC000283778830 195917783 /nfs/dbraw/zinc/91/77/83/195917783.db2.gz PZTVXFBDZOGSAR-CMPLNLGQSA-N 0 0 292.335 2.537 20 5 CFBDRN COCCCCN(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000416726081 533246532 /nfs/dbraw/zinc/24/65/32/533246532.db2.gz JZOYVEWQRBVIJU-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN COc1ncccc1COc1ccc(C)cc1[N+](=O)[O-] ZINC000176254538 533262137 /nfs/dbraw/zinc/26/21/37/533262137.db2.gz GFHXTRSGPRCHHS-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN C[C@@H](NC(=O)[C@]1(C)CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000421507908 533271886 /nfs/dbraw/zinc/27/18/86/533271886.db2.gz LHZKVQLYFWLESF-PELKAZGASA-N 0 0 284.262 2.817 20 5 CFBDRN COCCN(c1ncc([N+](=O)[O-])cc1F)[C@H]1CC[C@H](C)C1 ZINC000413272834 533338804 /nfs/dbraw/zinc/33/88/04/533338804.db2.gz YMZDNBPDBFFGNL-QWRGUYRKSA-N 0 0 297.330 2.770 20 5 CFBDRN COCCN(c1ncc([N+](=O)[O-])cc1F)[C@@H]1CC[C@@H](C)C1 ZINC000413272830 533339695 /nfs/dbraw/zinc/33/96/95/533339695.db2.gz YMZDNBPDBFFGNL-GHMZBOCLSA-N 0 0 297.330 2.770 20 5 CFBDRN CO[C@](C)([C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1)C1CC1 ZINC000413521475 533348105 /nfs/dbraw/zinc/34/81/05/533348105.db2.gz QVJGHAXNJTTXHK-QMTHXVAHSA-N 0 0 279.340 2.914 20 5 CFBDRN COc1cccc(C(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000416102471 533403683 /nfs/dbraw/zinc/40/36/83/533403683.db2.gz BUYVXDIIZRAQST-HBNTYKKESA-N 0 0 292.335 2.720 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CC(OC(F)F)C1 ZINC000459627540 533406716 /nfs/dbraw/zinc/40/67/16/533406716.db2.gz LAMDDOBVVSTHFO-BJMVGYQFSA-N 0 0 298.289 2.922 20 5 CFBDRN Cc1nc(NC[C@@H]2C[C@H]2C2CCC2)ccc1[N+](=O)[O-] ZINC000425276269 533598066 /nfs/dbraw/zinc/59/80/66/533598066.db2.gz DKMTZAAFZJAGQK-RYUDHWBXSA-N 0 0 261.325 2.568 20 5 CFBDRN COc1cccc(NC2CCC(OC)CC2)c1[N+](=O)[O-] ZINC000413416423 533616189 /nfs/dbraw/zinc/61/61/89/533616189.db2.gz JDOQKZHVURTJJX-UHFFFAOYSA-N 0 0 280.324 2.973 20 5 CFBDRN COc1cccc(NC[C@@H]2C[C@@H](C)O[C@@H]2C)c1[N+](=O)[O-] ZINC000413542669 533617760 /nfs/dbraw/zinc/61/77/60/533617760.db2.gz XDCIJICQNSCFKY-MXWKQRLJSA-N 0 0 280.324 2.829 20 5 CFBDRN COc1cccc(N[C@@H]2C[C@@H](OC)C23CCC3)c1[N+](=O)[O-] ZINC000413441787 533620234 /nfs/dbraw/zinc/62/02/34/533620234.db2.gz LZYDYEISSPGQMW-CHWSQXEVSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000334596006 296463609 /nfs/dbraw/zinc/46/36/09/296463609.db2.gz PTDHRSNGSWMXOP-ZJUUUORDSA-N 0 0 265.313 2.574 20 5 CFBDRN Cc1ccc(CN(C)c2cccc(C(N)=O)c2[N+](=O)[O-])cc1 ZINC000341838711 130082719 /nfs/dbraw/zinc/08/27/19/130082719.db2.gz FDQYKHLMMBEUPM-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])c1ccon1 ZINC000341921758 130131694 /nfs/dbraw/zinc/13/16/94/130131694.db2.gz ULRUSQUJMOQANC-SSDOTTSWSA-N 0 0 251.217 2.895 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])cc2C)CCOCC1 ZINC000342051820 130223371 /nfs/dbraw/zinc/22/33/71/130223371.db2.gz FIIPAVGSFCRZPJ-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@H+]2CCCCC[C@@H]2CCO)cc1 ZINC000342032563 130248167 /nfs/dbraw/zinc/24/81/67/130248167.db2.gz MPONQCPOQFVRKO-CQSZACIVSA-N 0 0 278.352 2.722 20 5 CFBDRN Cc1ccc(OC[C@@H]2CC3(CCC3)C(=O)O2)c([N+](=O)[O-])c1 ZINC000191720677 130285378 /nfs/dbraw/zinc/28/53/78/130285378.db2.gz MJJLDWYFKBFCHX-NSHDSACASA-N 0 0 291.303 2.768 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@@H]1C[C@H]1C(F)F ZINC000342186037 130319487 /nfs/dbraw/zinc/31/94/87/130319487.db2.gz CZKRRKIDLSDUQT-GHMZBOCLSA-N 0 0 256.252 2.646 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCS1 ZINC000191899334 130325901 /nfs/dbraw/zinc/32/59/01/130325901.db2.gz MBZKNLSYQKQMPW-JTQLQIEISA-N 0 0 295.364 2.954 20 5 CFBDRN Cc1ccc(-c2noc(-c3cncnc3C)n2)cc1[N+](=O)[O-] ZINC000355993076 130364696 /nfs/dbraw/zinc/36/46/96/130364696.db2.gz XLGGCVSIIYYCCA-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1noc(C)c1CCNc1c(F)cccc1[N+](=O)[O-] ZINC000342224214 130396861 /nfs/dbraw/zinc/39/68/61/130396861.db2.gz CKVWTNZXIDBRSJ-UHFFFAOYSA-N 0 0 279.271 2.993 20 5 CFBDRN COc1c(C(=O)N(C)[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000357145001 130404525 /nfs/dbraw/zinc/40/45/25/130404525.db2.gz KZROVYRFYYHHDM-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H]1CC1(Cl)Cl ZINC000824614962 781995084 /nfs/dbraw/zinc/99/50/84/781995084.db2.gz GGLNNKPRHVFQKW-ZCFIWIBFSA-N 0 0 292.122 2.604 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](CC)CCO)c([N+](=O)[O-])c1 ZINC000359509005 130518054 /nfs/dbraw/zinc/51/80/54/130518054.db2.gz IASPRPFCHLKIAS-LLVKDONJSA-N 0 0 280.324 2.760 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](CC)CCO)c([N+](=O)[O-])c1 ZINC000359509007 130518242 /nfs/dbraw/zinc/51/82/42/130518242.db2.gz IASPRPFCHLKIAS-NSHDSACASA-N 0 0 280.324 2.760 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2CCC[C@H]2C1)c1cc([N+](=O)[O-])c[nH]1 ZINC000359565420 130522281 /nfs/dbraw/zinc/52/22/81/130522281.db2.gz WRYKZUHJXCZSSH-OUAUKWLOSA-N 0 0 277.324 2.622 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCC(=O)c2ccccc2)n1 ZINC000359651768 130528038 /nfs/dbraw/zinc/52/80/38/130528038.db2.gz REFNTGZEZFSGSP-UHFFFAOYSA-N 0 0 286.287 2.868 20 5 CFBDRN Cc1nnc(N(Cc2ccccc2[N+](=O)[O-])C2CC2)nc1C ZINC000359802574 130546012 /nfs/dbraw/zinc/54/60/12/130546012.db2.gz LIPQOZPSHKYSLE-UHFFFAOYSA-N 0 0 299.334 2.566 20 5 CFBDRN CSC[C@H](C)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000227653433 130848991 /nfs/dbraw/zinc/84/89/91/130848991.db2.gz IVJSUNSNPQFGKL-LURJTMIESA-N 0 0 261.734 2.807 20 5 CFBDRN CSC1(CNc2ccc([N+](=O)[O-])c(C)n2)CCC1 ZINC000227987067 130858069 /nfs/dbraw/zinc/85/80/69/130858069.db2.gz NOWLTARTSSJCBJ-UHFFFAOYSA-N 0 0 267.354 2.996 20 5 CFBDRN COc1cccc(NCC2(C)CCOCC2)c1[N+](=O)[O-] ZINC000228855858 130877219 /nfs/dbraw/zinc/87/72/19/130877219.db2.gz VCYHKYZEKQSVNI-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H]3CC[C@@H](O)C3)ccc2c1 ZINC000236553782 130959258 /nfs/dbraw/zinc/95/92/58/130959258.db2.gz IUKAHMMMINSWDG-GXFFZTMASA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H](NCc1csc([N+](=O)[O-])c1)C(=O)OC1CCCC1 ZINC000237522891 131038439 /nfs/dbraw/zinc/03/84/39/131038439.db2.gz SMZKRPSKIRJAKJ-VIFPVBQESA-N 0 0 298.364 2.620 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C[C@@H]1C=CCC1 ZINC000247904473 131107514 /nfs/dbraw/zinc/10/75/14/131107514.db2.gz GXPXGFCTIOXXBF-SNVBAGLBSA-N 0 0 277.276 2.865 20 5 CFBDRN COC[C@]1(C)CCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000294875916 285482157 /nfs/dbraw/zinc/48/21/57/285482157.db2.gz DSAUCLZKLHHFST-CQSZACIVSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1ccc(N[C@H]2CC[C@H](O)CC2)c([N+](=O)[O-])c1 ZINC000252700957 131137559 /nfs/dbraw/zinc/13/75/59/131137559.db2.gz BRFIOOFCBAJZPT-XYPYZODXSA-N 0 0 250.298 2.619 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000253605677 131145176 /nfs/dbraw/zinc/14/51/76/131145176.db2.gz LSGDGRHTUGHDFM-ZDUSSCGKSA-N 0 0 276.336 2.928 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000439075499 291998017 /nfs/dbraw/zinc/99/80/17/291998017.db2.gz CYSVXOUPEHXYAZ-CHWSQXEVSA-N 0 0 291.351 2.551 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C(F)(F)F ZINC000263658804 131302540 /nfs/dbraw/zinc/30/25/40/131302540.db2.gz HZSHZXIUXAHABH-UHFFFAOYSA-N 0 0 296.632 2.769 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@H](C)O[C@@H](C)C1 ZINC000263706539 131312980 /nfs/dbraw/zinc/31/29/80/131312980.db2.gz HGFJPBJBSUXSEQ-QWRGUYRKSA-N 0 0 250.298 2.517 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000263730759 131318057 /nfs/dbraw/zinc/31/80/57/131318057.db2.gz DSXWZNZSTMBWCM-UHFFFAOYSA-N 0 0 250.298 2.713 20 5 CFBDRN COCC[C@H](C)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000263765102 131325770 /nfs/dbraw/zinc/32/57/70/131325770.db2.gz VQRDNSRKERELIF-VIFPVBQESA-N 0 0 266.297 2.634 20 5 CFBDRN CCC(C)(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000263815493 131337730 /nfs/dbraw/zinc/33/77/30/131337730.db2.gz WJSKVYCTVANYJW-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN CC[C@H](C)C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000264266447 131423571 /nfs/dbraw/zinc/42/35/71/131423571.db2.gz VKDRGLQAGDENSY-VIFPVBQESA-N 0 0 288.307 2.970 20 5 CFBDRN O=C(NCC1CCSCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000264963865 131530848 /nfs/dbraw/zinc/53/08/48/131530848.db2.gz PBLQGDNERTUXNY-UHFFFAOYSA-N 0 0 295.364 2.860 20 5 CFBDRN CC[C@@H](c1ccncc1)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265682539 131622473 /nfs/dbraw/zinc/62/24/73/131622473.db2.gz MOYKTQXYTVLLRR-LBPRGKRZSA-N 0 0 288.307 2.541 20 5 CFBDRN O=[N+]([O-])c1cc2nc(Cl)ccc2cc1NCC1COC1 ZINC001154637485 781995412 /nfs/dbraw/zinc/99/54/12/781995412.db2.gz AHPRALYLRLBZMU-UHFFFAOYSA-N 0 0 293.710 2.855 20 5 CFBDRN CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000266008242 131669908 /nfs/dbraw/zinc/66/99/08/131669908.db2.gz HBTBOHMFBUTELO-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN CCc1ccc([C@H](COC)Nc2ccc([N+](=O)[O-])cn2)o1 ZINC000266227994 131704361 /nfs/dbraw/zinc/70/43/61/131704361.db2.gz IYDAMTPSGRGBCT-LBPRGKRZSA-N 0 0 291.307 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(CC2CC2)no1 ZINC000266524539 131744760 /nfs/dbraw/zinc/74/47/60/131744760.db2.gz DCFCVWVZOWYKLA-UHFFFAOYSA-N 0 0 259.265 2.906 20 5 CFBDRN CC1(NC(=O)NCCc2ccccc2[N+](=O)[O-])CCCC1 ZINC000266812734 131781369 /nfs/dbraw/zinc/78/13/69/131781369.db2.gz KICCVLLSEVAFHD-UHFFFAOYSA-N 0 0 291.351 2.769 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2COC)C[C@@H](C)O1 ZINC000267001973 131808030 /nfs/dbraw/zinc/80/80/30/131808030.db2.gz FRUATRGFWUAEKH-BXUZGUMPSA-N 0 0 294.351 2.745 20 5 CFBDRN CCS[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000267236597 131840522 /nfs/dbraw/zinc/84/05/22/131840522.db2.gz KBTPPAPRYZPIAJ-UWVGGRQHSA-N 0 0 297.380 2.717 20 5 CFBDRN CCC(C)(C)NC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000268105682 131959147 /nfs/dbraw/zinc/95/91/47/131959147.db2.gz ABWGXPVQTFZZPE-UHFFFAOYSA-N 0 0 295.339 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1cncn1C ZINC000268429152 132008467 /nfs/dbraw/zinc/00/84/67/132008467.db2.gz IKXCNQLJZPKDHJ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000268438726 132009120 /nfs/dbraw/zinc/00/91/20/132009120.db2.gz KYAPXQJPBSHYRC-ZJUUUORDSA-N 0 0 294.355 2.579 20 5 CFBDRN COC(=O)c1cc(N[C@H](C)CSC)cc(C)c1[N+](=O)[O-] ZINC000268451086 132010684 /nfs/dbraw/zinc/01/06/84/132010684.db2.gz APWZUEMDBQKDEL-SECBINFHSA-N 0 0 298.364 2.853 20 5 CFBDRN NC(=O)[C@@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000268449591 132011193 /nfs/dbraw/zinc/01/11/93/132011193.db2.gz ILWQQLCQGBQWDN-KOLCDFICSA-N 0 0 297.742 2.704 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000268494465 132016688 /nfs/dbraw/zinc/01/66/88/132016688.db2.gz OQSKIIPZQPAFDY-QMMMGPOBSA-N 0 0 275.312 2.513 20 5 CFBDRN Cc1nc(NC[C@@](C)(O)c2ccsc2)ccc1[N+](=O)[O-] ZINC000268533343 132023191 /nfs/dbraw/zinc/02/31/91/132023191.db2.gz XSBBPPNUVDWBIA-CYBMUJFWSA-N 0 0 293.348 2.679 20 5 CFBDRN Cc1noc(CCCNc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000268663373 132045211 /nfs/dbraw/zinc/04/52/11/132045211.db2.gz YZGODAQIXYKGQM-UHFFFAOYSA-N 0 0 296.714 2.984 20 5 CFBDRN COc1ccc(CN[C@H](C)c2cncs2)cc1[N+](=O)[O-] ZINC000268877725 132081501 /nfs/dbraw/zinc/08/15/01/132081501.db2.gz ZDJTVIOAIXQJTQ-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2cccc([N+](=O)[O-])c2C)o1 ZINC000269058460 132106078 /nfs/dbraw/zinc/10/60/78/132106078.db2.gz PQAPLUAAIZUIHL-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(CCC(F)(F)F)n2)cc1 ZINC000269132830 132117492 /nfs/dbraw/zinc/11/74/92/132117492.db2.gz MPZMPWATHZJTAW-UHFFFAOYSA-N 0 0 286.213 2.806 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269345385 132157646 /nfs/dbraw/zinc/15/76/46/132157646.db2.gz QDXMIOWQHVQLQS-UHFFFAOYSA-N 0 0 294.355 2.704 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCCCS1 ZINC000824614988 781996229 /nfs/dbraw/zinc/99/62/29/781996229.db2.gz IJAFMMYJNGUQRE-JTQLQIEISA-N 0 0 283.353 2.696 20 5 CFBDRN O=C(Nc1ccc2ccccc2n1)c1ccc([N+](=O)[O-])o1 ZINC000198150247 413060397 /nfs/dbraw/zinc/06/03/97/413060397.db2.gz FNGQVTVNEZYJMX-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CC(=O)N(C(C)(C)C)C1 ZINC000301480009 393474020 /nfs/dbraw/zinc/47/40/20/393474020.db2.gz RJCZCFYOPRRHSX-LLVKDONJSA-N 0 0 291.351 2.715 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1ccc([N+](=O)[O-])cc1F ZINC000302010018 393485033 /nfs/dbraw/zinc/48/50/33/393485033.db2.gz AIKKQNCNXLYGIJ-QMTHXVAHSA-N 0 0 282.315 2.721 20 5 CFBDRN Cc1cccc(N2C[C@@H](C)OC(C)(C)C2)c1[N+](=O)[O-] ZINC000302517691 393492865 /nfs/dbraw/zinc/49/28/65/393492865.db2.gz WCLLHERKZKEETH-LLVKDONJSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1nc(N2CCC([C@H]3CCOC3)CC2)ccc1[N+](=O)[O-] ZINC000374650179 393500676 /nfs/dbraw/zinc/50/06/76/393500676.db2.gz WDYXIGNSOJCUAT-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN CCOc1cc(N[C@H]2CCC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000313790560 393524580 /nfs/dbraw/zinc/52/45/80/393524580.db2.gz JOTOSACUHLVGEU-RYUDHWBXSA-N 0 0 294.351 2.957 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1Cc1ccc(F)cc1 ZINC000036735372 393525696 /nfs/dbraw/zinc/52/56/96/393525696.db2.gz QWJRXTYSOQFYIU-UHFFFAOYSA-N 0 0 288.234 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCn2ccnc2)c(F)c1 ZINC000184518194 393529366 /nfs/dbraw/zinc/52/93/66/393529366.db2.gz KMZZMSCIMHKWCF-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000187404936 393553671 /nfs/dbraw/zinc/55/36/71/393553671.db2.gz KBINETRDAJRCHW-ZYHUDNBSSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCCO[C@@H]1CCCCO1 ZINC000187681524 393555316 /nfs/dbraw/zinc/55/53/16/393555316.db2.gz LBSHXZHFLNOUQD-LLVKDONJSA-N 0 0 284.337 2.625 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CC[C@@H]2C)c1 ZINC000381829659 393567641 /nfs/dbraw/zinc/56/76/41/393567641.db2.gz IVJPCFVHHISULV-WPRPVWTQSA-N 0 0 264.281 2.592 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000045934936 393575129 /nfs/dbraw/zinc/57/51/29/393575129.db2.gz PZTAGMFJOOJLLI-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2cncc(Cl)n2)cc1 ZINC000048893227 393606951 /nfs/dbraw/zinc/60/69/51/393606951.db2.gz ZQJZVOQUXONINU-UHFFFAOYSA-N 0 0 293.714 2.562 20 5 CFBDRN Cc1cc(C(=O)COc2ccc([N+](=O)[O-])cc2F)c(C)[nH]1 ZINC000047354987 393587209 /nfs/dbraw/zinc/58/72/09/393587209.db2.gz IJHHTLNHKRTLCV-UHFFFAOYSA-N 0 0 292.266 2.941 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000334286685 393589131 /nfs/dbraw/zinc/58/91/31/393589131.db2.gz CLPZGHMNCDDPOF-LLVKDONJSA-N 0 0 272.301 2.539 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000048608382 393590015 /nfs/dbraw/zinc/59/00/15/393590015.db2.gz RIMKWDKPJLLIHM-NSHDSACASA-N 0 0 278.308 2.636 20 5 CFBDRN CCc1cc(NC(=O)Nc2cc([N+](=O)[O-])ccc2C)n[nH]1 ZINC000192196141 393617795 /nfs/dbraw/zinc/61/77/95/393617795.db2.gz XUZIVHXJJBYYCJ-UHFFFAOYSA-N 0 0 289.295 2.833 20 5 CFBDRN CCC(C)(C)CNC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000192199460 393617844 /nfs/dbraw/zinc/61/78/44/393617844.db2.gz RWTGNWLOFYKPDK-UHFFFAOYSA-N 0 0 293.367 2.583 20 5 CFBDRN Cc1cc(N2CCOC(C)(C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000407984258 393623555 /nfs/dbraw/zinc/62/35/55/393623555.db2.gz XBCFXXNXZASNES-LLVKDONJSA-N 0 0 264.325 2.907 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2c([N+](=O)[O-])ncn2C)CC1 ZINC000408169350 393625128 /nfs/dbraw/zinc/62/51/28/393625128.db2.gz NNPVSAUQLMBGLW-LLVKDONJSA-N 0 0 266.345 2.591 20 5 CFBDRN CC(C)CN(C(=O)c1ccc([N+](=O)[O-])o1)C(C)C ZINC000192584584 393626378 /nfs/dbraw/zinc/62/63/78/393626378.db2.gz DXODGMSSBXKDEE-UHFFFAOYSA-N 0 0 254.286 2.694 20 5 CFBDRN Cc1cc(N2C[C@H](C)O[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000408441830 393626710 /nfs/dbraw/zinc/62/67/10/393626710.db2.gz GOJZYMDRQFTDLZ-SDDRHHMPSA-N 0 0 264.325 2.905 20 5 CFBDRN COc1ccc(NC(=O)c2ccn(C)c2C)c([N+](=O)[O-])c1 ZINC000192607584 393628188 /nfs/dbraw/zinc/62/81/88/393628188.db2.gz YIRAWZHBIXQQOG-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@@](C)(OC)C1(C)C ZINC000192640517 393628969 /nfs/dbraw/zinc/62/89/69/393628969.db2.gz PZEWGAFXLBLNGF-QMTHXVAHSA-N 0 0 296.371 2.735 20 5 CFBDRN Cc1nc(NC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000410552674 393630290 /nfs/dbraw/zinc/63/02/90/393630290.db2.gz CXTJFLMPIPPERT-VWYCJHECSA-N 0 0 275.308 2.673 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1O ZINC000192717135 393631310 /nfs/dbraw/zinc/63/13/10/393631310.db2.gz ICBKJWRXDTZGIY-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN CCC(C)(C)OCC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000410617963 393632730 /nfs/dbraw/zinc/63/27/30/393632730.db2.gz YYMFKWKUWCBCLM-UHFFFAOYSA-N 0 0 280.324 2.763 20 5 CFBDRN C[C@@H]1CCC[C@@H]1Nc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000071208268 393639249 /nfs/dbraw/zinc/63/92/49/393639249.db2.gz FMPMJLSQBORIFQ-BDAKNGLRSA-N 0 0 291.307 2.526 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000410934212 393646495 /nfs/dbraw/zinc/64/64/95/393646495.db2.gz CIPSTMVIRANNLX-SECBINFHSA-N 0 0 280.349 2.941 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2CCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000411012073 393649649 /nfs/dbraw/zinc/64/96/49/393649649.db2.gz DKHAHOPYOZIKAE-WDEREUQCSA-N 0 0 295.314 2.647 20 5 CFBDRN Cc1c(C(=O)N(C)c2ccccn2)cccc1[N+](=O)[O-] ZINC000074391494 393657126 /nfs/dbraw/zinc/65/71/26/393657126.db2.gz LWULECLBXBUUTF-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCCOC(F)F)c(F)c1 ZINC000193619941 393658327 /nfs/dbraw/zinc/65/83/27/393658327.db2.gz RCFZCFUWRPCJJM-UHFFFAOYSA-N 0 0 282.193 2.914 20 5 CFBDRN CCCCN(C)C(=O)Cc1c(F)c(OC)ccc1[N+](=O)[O-] ZINC000411194898 393683889 /nfs/dbraw/zinc/68/38/89/393683889.db2.gz AAVLOHJISSSZNB-UHFFFAOYSA-N 0 0 298.314 2.544 20 5 CFBDRN CC[C@H](C)N(C)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000075505981 393675502 /nfs/dbraw/zinc/67/55/02/393675502.db2.gz HTKWYBHTXOGNDI-FBOQAHMBSA-N 0 0 262.309 2.865 20 5 CFBDRN CCCC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776385 393750291 /nfs/dbraw/zinc/75/02/91/393750291.db2.gz DIULXFSVZKEHNO-UHFFFAOYSA-N 0 0 259.265 2.882 20 5 CFBDRN Cc1csc(CNC(=O)c2c(C)cccc2[N+](=O)[O-])n1 ZINC000194386114 393691730 /nfs/dbraw/zinc/69/17/30/393691730.db2.gz QDZCCUWNNBMMEG-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN C[C@@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@H](C)CO ZINC000077227306 393694984 /nfs/dbraw/zinc/69/49/84/393694984.db2.gz JPDMDQJLSXIIQC-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN CS[C@@H](CO)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000218902679 393759179 /nfs/dbraw/zinc/75/91/79/393759179.db2.gz RICQVUPOHYUJOH-HQJQHLMTSA-N 0 0 290.772 2.773 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H](O)c1ccsc1 ZINC000218903906 393759344 /nfs/dbraw/zinc/75/93/44/393759344.db2.gz PUZNYKSEHWONCS-LLVKDONJSA-N 0 0 279.321 2.505 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H](C)C[C@@H]1C ZINC000336014369 393714477 /nfs/dbraw/zinc/71/44/77/393714477.db2.gz VEKVBHAVRPCDFX-ONGXEEELSA-N 0 0 262.309 2.774 20 5 CFBDRN CCCCCOCC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000194801955 393717533 /nfs/dbraw/zinc/71/75/33/393717533.db2.gz FBHGKZPEODKBFT-UHFFFAOYSA-N 0 0 294.351 2.760 20 5 CFBDRN COCC(C)(C)CC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000411501937 393718571 /nfs/dbraw/zinc/71/85/71/393718571.db2.gz IBULIZYGLUQAOI-NSHDSACASA-N 0 0 294.351 2.835 20 5 CFBDRN COCCCCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079630205 393720535 /nfs/dbraw/zinc/72/05/35/393720535.db2.gz HCEGEXDIZAQRLQ-UHFFFAOYSA-N 0 0 294.351 2.763 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2cncc(C)c2)c1 ZINC000079687219 393720870 /nfs/dbraw/zinc/72/08/70/393720870.db2.gz VDCNTBBFIHQKKQ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cc(F)cc(F)c2)nc1C1CC1 ZINC000195054754 393729764 /nfs/dbraw/zinc/72/97/64/393729764.db2.gz OLEWUWUEVWJWRG-UHFFFAOYSA-N 0 0 279.246 2.995 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412368565 393767106 /nfs/dbraw/zinc/76/71/06/393767106.db2.gz VNSQTFYGFMPMPF-GIFSMMMISA-N 0 0 274.320 2.565 20 5 CFBDRN COc1cc(NC[C@@H]2CCSC2)c(F)cc1[N+](=O)[O-] ZINC000228584083 393775157 /nfs/dbraw/zinc/77/51/57/393775157.db2.gz HANROCRDRKDMHB-QMMMGPOBSA-N 0 0 286.328 2.908 20 5 CFBDRN CCOc1cccc(N2CCC[C@@](C)(O)CC2)c1[N+](=O)[O-] ZINC000230372480 393778461 /nfs/dbraw/zinc/77/84/61/393778461.db2.gz WIAKFODQOMAELT-OAHLLOKOSA-N 0 0 294.351 2.735 20 5 CFBDRN Cc1cnc(NC2Cc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000231038943 393780417 /nfs/dbraw/zinc/78/04/17/393780417.db2.gz CPUHTMADFUGJLI-UHFFFAOYSA-N 0 0 269.304 2.878 20 5 CFBDRN COc1cccc(C(=O)NC[C@@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000340082765 393836442 /nfs/dbraw/zinc/83/64/42/393836442.db2.gz GYINIUSKJVVSLO-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN CNc1ccc(C(=O)NC2C(C)(C)C2(C)C)cc1[N+](=O)[O-] ZINC000092832828 393793589 /nfs/dbraw/zinc/79/35/89/393793589.db2.gz YSXSGIVPNOWAST-UHFFFAOYSA-N 0 0 291.351 2.801 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000457929128 393803647 /nfs/dbraw/zinc/80/36/47/393803647.db2.gz QWUFUYMRGTXBIK-KWCYVHTRSA-N 0 0 289.335 2.583 20 5 CFBDRN Cc1cc(C(=O)NCc2ccc(C)c(C)n2)cc([N+](=O)[O-])c1 ZINC000457980890 393814857 /nfs/dbraw/zinc/81/48/57/393814857.db2.gz GSQKXTAIXVJCFA-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000457984101 393816930 /nfs/dbraw/zinc/81/69/30/393816930.db2.gz BXTUCZCHQFVYQH-NOZJJQNGSA-N 0 0 280.299 2.898 20 5 CFBDRN Cn1ccnc1[C@H](N[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000424323372 393828968 /nfs/dbraw/zinc/82/89/68/393828968.db2.gz MANHBXPJYPFNBX-UONOGXRCSA-N 0 0 298.346 2.560 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000416072313 393863549 /nfs/dbraw/zinc/86/35/49/393863549.db2.gz MTNNGPBUEBGWFK-VIFPVBQESA-N 0 0 280.711 2.778 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000416073096 393863634 /nfs/dbraw/zinc/86/36/34/393863634.db2.gz NEFBRPRUNUREHL-AXFHLTTASA-N 0 0 292.335 2.720 20 5 CFBDRN COc1cccc(C(=O)N2C[C@H](C)[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000416102465 393872445 /nfs/dbraw/zinc/87/24/45/393872445.db2.gz BUYVXDIIZRAQST-GARJFASQSA-N 0 0 292.335 2.720 20 5 CFBDRN CC(C)Cc1cc(NC(=O)c2ccc([N+](=O)[O-])s2)n[nH]1 ZINC000342893306 393873071 /nfs/dbraw/zinc/87/30/71/393873071.db2.gz JBJCUHBDPKWLHF-UHFFFAOYSA-N 0 0 294.336 2.830 20 5 CFBDRN CCOC[C@H](C)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000111048984 393883593 /nfs/dbraw/zinc/88/35/93/393883593.db2.gz OUFWMTUJGMJKOP-JTQLQIEISA-N 0 0 268.313 2.830 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000112010068 393889150 /nfs/dbraw/zinc/88/91/50/393889150.db2.gz RENKHVGCLQCBIL-LBPRGKRZSA-N 0 0 280.299 2.995 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000416255374 393889530 /nfs/dbraw/zinc/88/95/30/393889530.db2.gz IHNLPDNXTJDWRE-SECBINFHSA-N 0 0 285.303 2.606 20 5 CFBDRN CO[C@H]1CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000118961660 393912776 /nfs/dbraw/zinc/91/27/76/393912776.db2.gz YFCKYUSNWHHMFI-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN CO[C@@H]1CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000118961560 393912858 /nfs/dbraw/zinc/91/28/58/393912858.db2.gz YFCKYUSNWHHMFI-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ccncc2C)c1 ZINC000428753611 393913507 /nfs/dbraw/zinc/91/35/07/393913507.db2.gz XSNVJXZPVRGAIA-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1cc(NCC2Cc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000470863429 393901941 /nfs/dbraw/zinc/90/19/41/393901941.db2.gz HLVCYRGLZCXQHV-UHFFFAOYSA-N 0 0 283.331 2.547 20 5 CFBDRN C[C@H]1CC[C@H](N(C)c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000428659202 393903503 /nfs/dbraw/zinc/90/35/03/393903503.db2.gz GOUAHVMUYFPVNJ-IUCAKERBSA-N 0 0 280.353 2.929 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000471523031 393904205 /nfs/dbraw/zinc/90/42/05/393904205.db2.gz OOLXLSCXHUBADG-MXQLGCADSA-N 0 0 270.716 2.987 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2Cc3cccc(O)c3C2)n1 ZINC000428670369 393904684 /nfs/dbraw/zinc/90/46/84/393904684.db2.gz CNANOYWJBOMHJN-LBPRGKRZSA-N 0 0 299.330 2.892 20 5 CFBDRN Cc1noc(-c2cc([N+](=O)[O-])ccc2C(F)(F)F)n1 ZINC000340552703 393844514 /nfs/dbraw/zinc/84/45/14/393844514.db2.gz FWYRWHWVIPBARJ-UHFFFAOYSA-N 0 0 273.170 2.972 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000458122883 393853160 /nfs/dbraw/zinc/85/31/60/393853160.db2.gz AUSCZXSNHVXVEL-JOYOIKCWSA-N 0 0 268.338 2.821 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000458148549 393858889 /nfs/dbraw/zinc/85/88/89/393858889.db2.gz OSUCPAJEHPDSTB-JSGCOSHPSA-N 0 0 262.309 2.759 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000458151496 393860106 /nfs/dbraw/zinc/86/01/06/393860106.db2.gz QILVWJDQPUJOQT-UKRRQHHQSA-N 0 0 292.335 2.524 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CC[C@@H](C)C1 ZINC000429234555 393946121 /nfs/dbraw/zinc/94/61/21/393946121.db2.gz HORKFJPNAVDAIK-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000348238748 393949370 /nfs/dbraw/zinc/94/93/70/393949370.db2.gz ZGGXAOFNVUOWKB-BMIGLBTASA-N 0 0 294.326 2.733 20 5 CFBDRN C[C@H]1CCC[C@@H](CO)N1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119498165 393920245 /nfs/dbraw/zinc/92/02/45/393920245.db2.gz MIFMGGYGCARDRE-QWRGUYRKSA-N 0 0 298.770 2.984 20 5 CFBDRN CCOCCN(C)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119615437 393921808 /nfs/dbraw/zinc/92/18/08/393921808.db2.gz HQOADEZCBZJXLJ-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN Cc1cccc(CN2CCOc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000352709421 394018278 /nfs/dbraw/zinc/01/82/78/394018278.db2.gz LMEIMHICMAXKNT-UHFFFAOYSA-N 0 0 299.330 2.693 20 5 CFBDRN CCCC[C@H](CCC)CNC(=O)c1cc([N+](=O)[O-])nn1C ZINC000476670500 393961281 /nfs/dbraw/zinc/96/12/81/393961281.db2.gz ZECZMKDKWWUMCV-NSHDSACASA-N 0 0 296.371 2.665 20 5 CFBDRN Cc1ccnc(NC/C=C\c2ccccc2)c1[N+](=O)[O-] ZINC000477021912 393963626 /nfs/dbraw/zinc/96/36/26/393963626.db2.gz PWNIQSCUCJWZNA-YVMONPNESA-N 0 0 269.304 2.845 20 5 CFBDRN CCc1ncc(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])cn1 ZINC000263633858 393963959 /nfs/dbraw/zinc/96/39/59/393963959.db2.gz LIEVJAVSLFOYLW-FPLPWBNLSA-N 0 0 298.302 2.599 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC=C(F)C1 ZINC000286413626 134475279 /nfs/dbraw/zinc/47/52/79/134475279.db2.gz GUEKESHPTQSFOZ-UHFFFAOYSA-N 0 0 264.256 2.861 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC[C@](C)(F)C1 ZINC000430209583 393968884 /nfs/dbraw/zinc/96/88/84/393968884.db2.gz RVDJTSCXIPDXSQ-ZDUSSCGKSA-N 0 0 268.288 2.537 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC23CCCC3)c1 ZINC000430219782 393969605 /nfs/dbraw/zinc/96/96/05/393969605.db2.gz PQLOPNUBLUOHTH-ZDUSSCGKSA-N 0 0 290.319 2.666 20 5 CFBDRN CC(C)CN(C)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000486924558 393971393 /nfs/dbraw/zinc/97/13/93/393971393.db2.gz DCOYXPJDHSDIRR-UHFFFAOYSA-N 0 0 276.296 2.737 20 5 CFBDRN Cc1nc(N2CCO[C@@H](c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000268496032 393992827 /nfs/dbraw/zinc/99/28/27/393992827.db2.gz PCCITUGDIMCJIW-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1ccc(N[C@H]2c3ccccc3C[C@@H]2O)c([N+](=O)[O-])c1 ZINC000269884712 394008447 /nfs/dbraw/zinc/00/84/47/394008447.db2.gz AVWYQVDUDYUOAE-HOTGVXAUSA-N 0 0 284.315 2.973 20 5 CFBDRN Cc1cc(C(=O)N2CC=C(C)CC2)ccc1[N+](=O)[O-] ZINC000270135778 394010148 /nfs/dbraw/zinc/01/01/48/394010148.db2.gz QFWZOXCHXVDALH-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN CC[C@@H]1Cc2ccccc2CN1c1ncc([N+](=O)[O-])cn1 ZINC000274284412 394039873 /nfs/dbraw/zinc/03/98/73/394039873.db2.gz WEJQQEHRWPQOQU-CYBMUJFWSA-N 0 0 284.319 2.726 20 5 CFBDRN Nc1ccc(-c2noc(-c3ccccc3O)n2)cc1[N+](=O)[O-] ZINC000437005857 394042883 /nfs/dbraw/zinc/04/28/83/394042883.db2.gz ZEIWEEJIGHVUKR-UHFFFAOYSA-N 0 0 298.258 2.600 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1ccccc1N(C)C ZINC000276421597 394050467 /nfs/dbraw/zinc/05/04/67/394050467.db2.gz ISZKLTKVDHZUSD-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCO[C@H]2CCC[C@@H]21 ZINC000276584902 394050960 /nfs/dbraw/zinc/05/09/60/394050960.db2.gz IXRJUXQRMDOJFV-ZFWWWQNUSA-N 0 0 299.330 2.901 20 5 CFBDRN C/C=C/C=C\C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000492930599 394101314 /nfs/dbraw/zinc/10/13/14/394101314.db2.gz PSDPUBAQLKPLRU-STMOGGTPSA-N 0 0 274.320 2.728 20 5 CFBDRN O=C1CC[C@H](CSCc2ccccc2[N+](=O)[O-])CCN1 ZINC000419548134 394052778 /nfs/dbraw/zinc/05/27/78/394052778.db2.gz SKAMDEACHQCBDF-NSHDSACASA-N 0 0 294.376 2.744 20 5 CFBDRN Cc1nn(C)c(C(=O)Nc2cccc(C)c2C)c1[N+](=O)[O-] ZINC000127592661 394053297 /nfs/dbraw/zinc/05/32/97/394053297.db2.gz IMUVFXVNVZLCAZ-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)Nc2cn[nH]c2)cc1[N+](=O)[O-] ZINC000127734935 394054877 /nfs/dbraw/zinc/05/48/77/394054877.db2.gz QYVSNKJHQJIICG-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN C[C@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)[C@H]1C ZINC000278513410 394061195 /nfs/dbraw/zinc/06/11/95/394061195.db2.gz RQOXAQUVHMSOLF-IUCAKERBSA-N 0 0 260.297 2.706 20 5 CFBDRN C[C@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CCS1 ZINC000278445237 394061281 /nfs/dbraw/zinc/06/12/81/394061281.db2.gz KWCCIMPZMARBMP-VIFPVBQESA-N 0 0 292.364 2.803 20 5 CFBDRN CC1=CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000278510525 394061493 /nfs/dbraw/zinc/06/14/93/394061493.db2.gz YCPAOEHYTQCBRS-UHFFFAOYSA-N 0 0 259.309 2.719 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCCCC1CC1 ZINC000128098254 394063099 /nfs/dbraw/zinc/06/30/99/394063099.db2.gz DLEQWOXDTOXPQK-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000279576588 394067350 /nfs/dbraw/zinc/06/73/50/394067350.db2.gz VMLPGKOXKXVLRP-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000356716312 394079236 /nfs/dbraw/zinc/07/92/36/394079236.db2.gz BAIFLUCVFUCEMO-JOYOIKCWSA-N 0 0 285.303 2.671 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](CC(F)(F)F)C2)s1 ZINC000281857272 394085107 /nfs/dbraw/zinc/08/51/07/394085107.db2.gz JXAHFZLLBRMTMW-LURJTMIESA-N 0 0 281.259 2.830 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](C)C1 ZINC000281976005 394104297 /nfs/dbraw/zinc/10/42/97/394104297.db2.gz OHKAULQQSQGTQR-JTQLQIEISA-N 0 0 293.323 2.867 20 5 CFBDRN C[C@@](O)(COc1c(Cl)cccc1[N+](=O)[O-])C(F)(F)F ZINC000281868823 394086684 /nfs/dbraw/zinc/08/66/84/394086684.db2.gz NJRALQDWHSEGCV-SECBINFHSA-N 0 0 299.632 2.940 20 5 CFBDRN Cc1ccc(OC[C@](C)(O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000281930630 394096452 /nfs/dbraw/zinc/09/64/52/394096452.db2.gz QZDYSAIHBAEVBQ-JTQLQIEISA-N 0 0 279.214 2.595 20 5 CFBDRN CC[C@H]1CCN1C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492917410 394097375 /nfs/dbraw/zinc/09/73/75/394097375.db2.gz CFHJRZYUGLULHY-SYTKJHMZSA-N 0 0 260.293 2.619 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000439075502 394097446 /nfs/dbraw/zinc/09/74/46/394097446.db2.gz CYSVXOUPEHXYAZ-QWHCGFSZSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1ccn(CCO[C@@H]2CCCC[C@@H]2C)c(=O)c1[N+](=O)[O-] ZINC000129941106 394097722 /nfs/dbraw/zinc/09/77/22/394097722.db2.gz QFVBBDFNCMWCDJ-WCQYABFASA-N 0 0 294.351 2.660 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)Cc2ccncc2)cccc1[N+](=O)[O-] ZINC000487892027 394028118 /nfs/dbraw/zinc/02/81/18/394028118.db2.gz WSXJYZGEEIEOAI-LLVKDONJSA-N 0 0 299.330 2.659 20 5 CFBDRN CC1=C[C@H](C)CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000362375966 394183712 /nfs/dbraw/zinc/18/37/12/394183712.db2.gz NLGBTGALVNTROH-JTQLQIEISA-N 0 0 273.336 2.965 20 5 CFBDRN Cc1cccc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])n1 ZINC000130249113 394106339 /nfs/dbraw/zinc/10/63/39/394106339.db2.gz LVAPUAAGWNAINV-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN COCC[C@H](Nc1ncc([N+](=O)[O-])s1)c1ccco1 ZINC000281994940 394107113 /nfs/dbraw/zinc/10/71/13/394107113.db2.gz TWNDZJBAVODWAF-QMMMGPOBSA-N 0 0 283.309 2.834 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CCC[C@H](F)C1 ZINC000493208087 394179113 /nfs/dbraw/zinc/17/91/13/394179113.db2.gz ZVLAVXWFXUQIGN-GUOLPTJISA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1c(NC(=O)/C=C/c2ccn(C)c2)cccc1[N+](=O)[O-] ZINC000492959788 394109723 /nfs/dbraw/zinc/10/97/23/394109723.db2.gz WISOTYXKEYHMQE-VOTSOKGWSA-N 0 0 285.303 2.894 20 5 CFBDRN Cc1ccccc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000439301546 394110829 /nfs/dbraw/zinc/11/08/29/394110829.db2.gz WXTGHWRPQJLUBU-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420591657 394120427 /nfs/dbraw/zinc/12/04/27/394120427.db2.gz XNAUCZXEEKUEJL-NXEZZACHSA-N 0 0 277.324 2.684 20 5 CFBDRN CC[C@@H](C)CONC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492999919 394121599 /nfs/dbraw/zinc/12/15/99/394121599.db2.gz VSBFKAKPEGPJEE-ONRRBMGISA-N 0 0 296.298 2.841 20 5 CFBDRN CC(C)(C)n1ncnc1COc1ccc([N+](=O)[O-])cc1 ZINC000282143552 394121652 /nfs/dbraw/zinc/12/16/52/394121652.db2.gz DVHRPDCPSOKEAS-UHFFFAOYSA-N 0 0 276.296 2.520 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC[C@@H]1CCCS1 ZINC000130927497 394121862 /nfs/dbraw/zinc/12/18/62/394121862.db2.gz BLOQHKACSKZQAQ-LJLILKBBSA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1O ZINC000131323750 394130111 /nfs/dbraw/zinc/13/01/11/394130111.db2.gz ZDDOZIMBEIYBBR-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN O=C(/C=C/C1CCCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000493053171 394135121 /nfs/dbraw/zinc/13/51/21/394135121.db2.gz QOQAQUAIIKRTTQ-CMDGGOBGSA-N 0 0 274.320 2.957 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CS1 ZINC000493110619 394151138 /nfs/dbraw/zinc/15/11/38/394151138.db2.gz BDKKIOJWXYTUNF-ZOZIERIHSA-N 0 0 292.360 2.618 20 5 CFBDRN Cc1cc(Cl)cnc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000362246486 394182677 /nfs/dbraw/zinc/18/26/77/394182677.db2.gz ZXOWNVNHTGRXQY-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC[C@H]1C ZINC000286834944 394200646 /nfs/dbraw/zinc/20/06/46/394200646.db2.gz ZODUXJBUHCNFMJ-BMIGLBTASA-N 0 0 292.335 2.659 20 5 CFBDRN C[C@H]1CCCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000286855245 394200649 /nfs/dbraw/zinc/20/06/49/394200649.db2.gz GTLFDBHEMDLYCR-QMMMGPOBSA-N 0 0 278.337 2.836 20 5 CFBDRN O=C(CC1CCSCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000135334242 394217415 /nfs/dbraw/zinc/21/74/15/394217415.db2.gz MVMCHUTZJIQPBC-UHFFFAOYSA-N 0 0 294.376 2.744 20 5 CFBDRN Cc1cc(C(=O)COc2cc(F)cc([N+](=O)[O-])c2)c(C)[nH]1 ZINC000135356218 394218754 /nfs/dbraw/zinc/21/87/54/394218754.db2.gz BAPRGCCVAGLGDO-UHFFFAOYSA-N 0 0 292.266 2.941 20 5 CFBDRN CC1(C)CN(Cc2ccccc2[N+](=O)[O-])[C@@H]1[C@H]1CCCO1 ZINC000289689792 394221343 /nfs/dbraw/zinc/22/13/43/394221343.db2.gz IBPZCYFVCLHUJA-HUUCEWRRSA-N 0 0 290.363 2.984 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421751821 394239587 /nfs/dbraw/zinc/23/95/87/394239587.db2.gz OBWSBNJFEXWECK-UHFFFAOYSA-N 0 0 288.307 2.804 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1C1CCCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000366204791 394241198 /nfs/dbraw/zinc/24/11/98/394241198.db2.gz VQWSTAUZYHOCGD-TZMCWYRMSA-N 0 0 289.335 2.688 20 5 CFBDRN CC1(C)SC[C@H]1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000493449076 394246105 /nfs/dbraw/zinc/24/61/05/394246105.db2.gz HFWJSJGKNJDBJB-NNNHXZLVSA-N 0 0 292.360 2.618 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000134155272 394189803 /nfs/dbraw/zinc/18/98/03/394189803.db2.gz MMSQNIQUBUXEOG-KOLCDFICSA-N 0 0 293.323 2.767 20 5 CFBDRN CC1(F)CC(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000493251949 394192008 /nfs/dbraw/zinc/19/20/08/394192008.db2.gz JPIVZZQKQGEFDO-QPJJXVBHSA-N 0 0 278.283 2.615 20 5 CFBDRN O=C(/C=C\C1CCCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000493510829 394262833 /nfs/dbraw/zinc/26/28/33/394262833.db2.gz DIXRIXJMDYGWEJ-HJWRWDBZSA-N 0 0 288.347 3.000 20 5 CFBDRN Cc1c(N[C@H](C)Cc2ccccc2[N+](=O)[O-])cnn1C ZINC000449249779 394266917 /nfs/dbraw/zinc/26/69/17/394266917.db2.gz KFNDFSDTELBVFF-SNVBAGLBSA-N 0 0 274.324 2.680 20 5 CFBDRN Cc1nc(NC[C@@H]2CCO[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000450739800 394331064 /nfs/dbraw/zinc/33/10/64/394331064.db2.gz RSPGLDCFLKIHMZ-TZMCWYRMSA-N 0 0 293.367 2.583 20 5 CFBDRN CNC(=O)c1cc(C)ccc1NCc1ccc([N+](=O)[O-])cc1 ZINC000152075346 394270258 /nfs/dbraw/zinc/27/02/58/394270258.db2.gz TVYNMQFMHHPBJI-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@H]3CCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000152250206 394274202 /nfs/dbraw/zinc/27/42/02/394274202.db2.gz CDLUSCDSZWXVLK-VXGBXAGGSA-N 0 0 274.320 2.775 20 5 CFBDRN CC1(C)CCN1C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493561462 394277792 /nfs/dbraw/zinc/27/77/92/394277792.db2.gz SNZUGWAVVFWORK-SREVYHEPSA-N 0 0 260.293 2.619 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H]2CCC[C@@H]2C1 ZINC000152807583 394278348 /nfs/dbraw/zinc/27/83/48/394278348.db2.gz BIVRXRDPDHWWPY-VXGBXAGGSA-N 0 0 274.320 2.775 20 5 CFBDRN CN(Cc1cccc2[nH]ccc21)c1ncc([N+](=O)[O-])cn1 ZINC000493604595 394288869 /nfs/dbraw/zinc/28/88/69/394288869.db2.gz LIBYTXYHXVZDMJ-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@@H]1c1ccc[nH]1)[N+](=O)[O-] ZINC000294493885 394293317 /nfs/dbraw/zinc/29/33/17/394293317.db2.gz NIWIUGGNTMUIBB-GFCCVEGCSA-N 0 0 279.340 2.514 20 5 CFBDRN Cc1nc(N2C[C@H](C)[C@H]3CCCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000294871522 394295322 /nfs/dbraw/zinc/29/53/22/394295322.db2.gz DVSDDDNIDOTPLZ-WCQGTBRESA-N 0 0 276.340 2.708 20 5 CFBDRN COC[C@@]1(C)CCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000450607124 394297864 /nfs/dbraw/zinc/29/78/64/394297864.db2.gz BBAPVXZOFRCUCP-AWEZNQCLSA-N 0 0 282.315 2.905 20 5 CFBDRN CCO[C@H]1CCN(c2nc(C)ccc2[N+](=O)[O-])C[C@H]1C ZINC000450748188 394334114 /nfs/dbraw/zinc/33/41/14/394334114.db2.gz VXBMBGWTWMATRZ-MFKMUULPSA-N 0 0 279.340 2.550 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1nc2ccc(F)cc2o1 ZINC000154957582 394305770 /nfs/dbraw/zinc/30/57/70/394305770.db2.gz GAWASRMBVVCQHD-UHFFFAOYSA-N 0 0 289.222 2.849 20 5 CFBDRN Cc1nc(N2CCc3sccc3[C@@H]2C)ncc1[N+](=O)[O-] ZINC000296199025 394306556 /nfs/dbraw/zinc/30/65/56/394306556.db2.gz BZLVHUJUYREPLR-VIFPVBQESA-N 0 0 290.348 2.878 20 5 CFBDRN Cc1cccnc1CCCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000296464919 394309055 /nfs/dbraw/zinc/30/90/55/394309055.db2.gz PVROJYSFKXZXCP-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000155170109 394311464 /nfs/dbraw/zinc/31/14/64/394311464.db2.gz DZTGKVVLGNWLQM-GFCCVEGCSA-N 0 0 291.351 2.951 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CC[C@@H]1C1CC1 ZINC000493695344 394311813 /nfs/dbraw/zinc/31/18/13/394311813.db2.gz SMALXXQWOAJNBQ-LEPFTWFBSA-N 0 0 272.304 2.619 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000296898895 394312476 /nfs/dbraw/zinc/31/24/76/394312476.db2.gz YTSHKZZYCXDKNH-HZMBPMFUSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OC[C@H](O)Cc2ccccc2)c1 ZINC000155276628 394313519 /nfs/dbraw/zinc/31/35/19/394313519.db2.gz VQYUGELISXTLNG-CQSZACIVSA-N 0 0 291.278 2.716 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000450670628 394314470 /nfs/dbraw/zinc/31/44/70/394314470.db2.gz FSPUPINHHBCMJJ-VIFPVBQESA-N 0 0 278.308 2.982 20 5 CFBDRN CCOc1cc(N2CC[C@@H](SC)C2)ccc1[N+](=O)[O-] ZINC000450680166 394315929 /nfs/dbraw/zinc/31/59/29/394315929.db2.gz KSMOPEBCWDSHSE-LLVKDONJSA-N 0 0 282.365 2.935 20 5 CFBDRN CCN(C)C(=O)[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000450678296 394316251 /nfs/dbraw/zinc/31/62/51/394316251.db2.gz SQKDJPFDXUBMTA-AWEZNQCLSA-N 0 0 293.367 2.818 20 5 CFBDRN CCO/C=C/C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000493790046 394317183 /nfs/dbraw/zinc/31/71/83/394317183.db2.gz OKEAWXZYIDNSCB-BQYQJAHWSA-N 0 0 264.281 2.700 20 5 CFBDRN Cc1nc(N2C[C@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)ccc1[N+](=O)[O-] ZINC000450695269 394319221 /nfs/dbraw/zinc/31/92/21/394319221.db2.gz RQZHDIPTTQVPDH-DVZHBHJUSA-N 0 0 285.347 2.947 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000450767071 394337790 /nfs/dbraw/zinc/33/77/90/394337790.db2.gz UDJGOBBJXKISQY-SCVCMEIPSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C(C)C)[C@@H](CO)C(C)C)n1 ZINC000450775309 394339375 /nfs/dbraw/zinc/33/93/75/394339375.db2.gz UPHXDHARBQXUBH-GXTWGEPZSA-N 0 0 295.383 2.999 20 5 CFBDRN Cc1ccnc(N[C@H](C(C)C)[C@@H](CO)C(C)C)c1[N+](=O)[O-] ZINC000450776626 394340102 /nfs/dbraw/zinc/34/01/02/394340102.db2.gz WPYXKPWDSNSVOY-QWHCGFSZSA-N 0 0 295.383 2.999 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000450825922 394340810 /nfs/dbraw/zinc/34/08/10/394340810.db2.gz FXXFPZSNBSFYGV-MWLCHTKSSA-N 0 0 273.296 2.613 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccsc1 ZINC000157426378 394345286 /nfs/dbraw/zinc/34/52/86/394345286.db2.gz IPWQOMQUNKSVOS-UHFFFAOYSA-N 0 0 277.305 2.978 20 5 CFBDRN CN(C(=O)Cc1cccc(F)c1)c1cccc([N+](=O)[O-])c1 ZINC000158083180 394353816 /nfs/dbraw/zinc/35/38/16/394353816.db2.gz HZVZUQPXFQWMJF-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CN3CC[C@@H](F)C3)co2)cc1 ZINC000451201319 394367978 /nfs/dbraw/zinc/36/79/78/394367978.db2.gz UREXRORZCPGDMC-LLVKDONJSA-N 0 0 291.282 2.794 20 5 CFBDRN CCOc1cc(CN2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000451201817 394368221 /nfs/dbraw/zinc/36/82/21/394368221.db2.gz VSHYCQWBRJPOGZ-LLVKDONJSA-N 0 0 268.288 2.537 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000163208847 394368619 /nfs/dbraw/zinc/36/86/19/394368619.db2.gz MHSVXDMEOOBMKZ-SECBINFHSA-N 0 0 297.380 2.508 20 5 CFBDRN C[C@H]([NH2+][C@@H]1C[C@H](C)N(C2CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000166308760 394369719 /nfs/dbraw/zinc/36/97/19/394369719.db2.gz UJIRTFZIOFBGBM-SGMGOOAPSA-N 0 0 289.379 2.871 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cc1 ZINC000175681781 394380998 /nfs/dbraw/zinc/38/09/98/394380998.db2.gz ORGHUUNTXPVHAQ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CNc1nc(C(=O)N[C@H](C)CCC(C)C)ccc1[N+](=O)[O-] ZINC000454474563 394412810 /nfs/dbraw/zinc/41/28/10/394412810.db2.gz UAOKSJNRJYJNHJ-SNVBAGLBSA-N 0 0 294.355 2.586 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000457444796 394458665 /nfs/dbraw/zinc/45/86/65/394458665.db2.gz PTHIDPBRYLMVMK-LLVKDONJSA-N 0 0 276.336 2.989 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H](C)C1CCC1 ZINC000457456598 394461038 /nfs/dbraw/zinc/46/10/38/394461038.db2.gz NDKKIAADWLRZSU-JTQLQIEISA-N 0 0 292.335 2.656 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])O1 ZINC000457459454 394461436 /nfs/dbraw/zinc/46/14/36/394461436.db2.gz WAIJIOYPWDRHTQ-SCZZXKLOSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H](C(=O)NCc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000457462825 394461782 /nfs/dbraw/zinc/46/17/82/394461782.db2.gz PTURLNLMMOSDBM-JTQLQIEISA-N 0 0 262.309 2.647 20 5 CFBDRN C[C@@H](C(=O)NCc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000457462826 394461901 /nfs/dbraw/zinc/46/19/01/394461901.db2.gz PTURLNLMMOSDBM-SNVBAGLBSA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000537829743 394592828 /nfs/dbraw/zinc/59/28/28/394592828.db2.gz FKBRMCUUKYCTBG-QMMMGPOBSA-N 0 0 262.269 2.768 20 5 CFBDRN CC(=O)c1ccc(N2CCCCCO2)c([N+](=O)[O-])c1 ZINC000530464790 394519628 /nfs/dbraw/zinc/51/96/28/394519628.db2.gz LUIBRJPQTLRVTJ-UHFFFAOYSA-N 0 0 264.281 2.719 20 5 CFBDRN C[C@@H]1C[C@H](C)N1c1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000530484765 394521100 /nfs/dbraw/zinc/52/11/00/394521100.db2.gz WRMGZTDCSKDQSL-KNVOCYPGSA-N 0 0 275.230 2.996 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1c1ncnc2cccc([N+](=O)[O-])c21 ZINC000530484486 394521162 /nfs/dbraw/zinc/52/11/62/394521162.db2.gz NVFPPHVDZUSWIW-RKDXNWHRSA-N 0 0 258.281 2.525 20 5 CFBDRN Cc1nnc(CN[C@@H](C)c2cc([N+](=O)[O-])ccc2C)s1 ZINC000540953368 394659276 /nfs/dbraw/zinc/65/92/76/394659276.db2.gz HDGMROTXFRYDJF-VIFPVBQESA-N 0 0 292.364 2.914 20 5 CFBDRN C[C@H](NC(=O)N1CCC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000538191875 394599518 /nfs/dbraw/zinc/59/95/18/394599518.db2.gz SPDICQDDWRAADH-JTQLQIEISA-N 0 0 277.324 2.850 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)NCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000540643168 394650564 /nfs/dbraw/zinc/65/05/64/394650564.db2.gz ZLJDEHFEFKJAAX-NEPJUHHUSA-N 0 0 292.335 2.526 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)NC1(C2CC2)CC1 ZINC000542716874 394711620 /nfs/dbraw/zinc/71/16/20/394711620.db2.gz IJUWYAKSQYCBJA-UHFFFAOYSA-N 0 0 279.271 2.798 20 5 CFBDRN COCCCc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000546400207 394825306 /nfs/dbraw/zinc/82/53/06/394825306.db2.gz URIPJELCXVUNGE-UHFFFAOYSA-N 0 0 277.280 2.532 20 5 CFBDRN CNc1ccc(-c2nc([C@@H]3C[C@H]3C)no2)cc1[N+](=O)[O-] ZINC000547181962 394853868 /nfs/dbraw/zinc/85/38/68/394853868.db2.gz HYZGTPNLZWNOMV-VXNVDRBHSA-N 0 0 274.280 2.810 20 5 CFBDRN CC1(C)CC(CNC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000548048363 394905756 /nfs/dbraw/zinc/90/57/56/394905756.db2.gz BWZMBEKZHOEJTM-UHFFFAOYSA-N 0 0 291.351 2.830 20 5 CFBDRN CC1(C)CC(CNC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000548386406 394927033 /nfs/dbraw/zinc/92/70/33/394927033.db2.gz PHYWFZVPKAGOJP-UHFFFAOYSA-N 0 0 262.309 2.761 20 5 CFBDRN CCCc1ccccc1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548410853 394928391 /nfs/dbraw/zinc/92/83/91/394928391.db2.gz UUJCDRLJVLUJBK-UHFFFAOYSA-N 0 0 274.280 2.523 20 5 CFBDRN Cc1nn(C)c(NCC(C)(C)C(F)(F)F)c1[N+](=O)[O-] ZINC000554694787 394956028 /nfs/dbraw/zinc/95/60/28/394956028.db2.gz CJNJXFRLSVZBLG-UHFFFAOYSA-N 0 0 280.250 2.637 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@@H](C2CC2)C1 ZINC000548603656 394939747 /nfs/dbraw/zinc/93/97/47/394939747.db2.gz QKUJGCYTPIYENR-IAQYHMDHSA-N 0 0 276.336 2.767 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])cn1C)C1CCCC1 ZINC000556516771 395003683 /nfs/dbraw/zinc/00/36/83/395003683.db2.gz NYIHNJUAYNSXIL-GFCCVEGCSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@H](C1CC1)[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000556799542 395015823 /nfs/dbraw/zinc/01/58/23/395015823.db2.gz QMFTYHOPTJHQBM-SECBINFHSA-N 0 0 250.298 2.531 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@H]1CCC[C@H]1F ZINC000555274745 394969993 /nfs/dbraw/zinc/96/99/93/394969993.db2.gz HELYYLCVVITOAU-MNOVXSKESA-N 0 0 296.298 2.992 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000364033283 296506526 /nfs/dbraw/zinc/50/65/26/296506526.db2.gz LFEZHBRZPAMZAG-GFCCVEGCSA-N 0 0 258.281 2.659 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC(OC2CCC2)CC1 ZINC000418980782 296521744 /nfs/dbraw/zinc/52/17/44/296521744.db2.gz PNMOQUAAEUPDQG-UHFFFAOYSA-N 0 0 277.324 2.528 20 5 CFBDRN Cc1ccc(C(=O)N2CCSC(C)(C)C2)cc1[N+](=O)[O-] ZINC000081925205 292060650 /nfs/dbraw/zinc/06/06/50/292060650.db2.gz GDTXVSRTNMWOHO-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN CO[C@@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C[C@H]1C ZINC000450754819 285721098 /nfs/dbraw/zinc/72/10/98/285721098.db2.gz IQUUTNUTBXETSL-BXUZGUMPSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)[C@H](C)C1 ZINC000281759455 285721597 /nfs/dbraw/zinc/72/15/97/285721597.db2.gz YPRYWKZOUOCQNS-MWLCHTKSSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1ccc(CN2C[C@@H](C)OC[C@@H]2C)cc1[N+](=O)[O-] ZINC000092017537 292102319 /nfs/dbraw/zinc/10/23/19/292102319.db2.gz CCCMEZDRNBPSRH-NWDGAFQWSA-N 0 0 264.325 2.512 20 5 CFBDRN CCOc1cc(OCc2ccnc(C)n2)ccc1[N+](=O)[O-] ZINC000355933233 155133427 /nfs/dbraw/zinc/13/34/27/155133427.db2.gz BSNHWQGRGWYMIA-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCc2ncccc2C1 ZINC000371002765 304808114 /nfs/dbraw/zinc/80/81/14/304808114.db2.gz CWWCTCDXPQBAFP-UHFFFAOYSA-N 0 0 255.277 2.553 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N(C)C1CCCC1 ZINC000198833305 397614087 /nfs/dbraw/zinc/61/40/87/397614087.db2.gz FWQHPMORFKAQOH-UHFFFAOYSA-N 0 0 291.351 2.675 20 5 CFBDRN CN(Cc1ccco1)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000205943134 397616668 /nfs/dbraw/zinc/61/66/68/397616668.db2.gz TVWURPTYLLFRIX-HJWRWDBZSA-N 0 0 286.287 2.860 20 5 CFBDRN Cc1nc(N[C@@H](c2ncc[nH]2)C(C)C)ccc1[N+](=O)[O-] ZINC000285559585 397632726 /nfs/dbraw/zinc/63/27/26/397632726.db2.gz JCSYHRRLWRAGFW-GFCCVEGCSA-N 0 0 275.312 2.831 20 5 CFBDRN COc1cc(C(=O)N2CCC(C)(C)C2)c([N+](=O)[O-])cc1F ZINC000294929988 397633657 /nfs/dbraw/zinc/63/36/57/397633657.db2.gz KXJGXZZKAUYAEW-UHFFFAOYSA-N 0 0 296.298 2.615 20 5 CFBDRN Cc1ccc(N2CCN(c3ncccc3[N+](=O)[O-])CC2)cc1 ZINC000085344935 292135125 /nfs/dbraw/zinc/13/51/25/292135125.db2.gz HEBSOTSQIWFJIY-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CO[C@@](C)(CNc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000292183242 285899081 /nfs/dbraw/zinc/89/90/81/285899081.db2.gz BBQOVRGRHLUXKW-ZDUSSCGKSA-N 0 0 268.288 2.961 20 5 CFBDRN COc1cc(Cl)ccc1Cn1nccc1[N+](=O)[O-] ZINC000356047842 155149867 /nfs/dbraw/zinc/14/98/67/155149867.db2.gz USNXOUDCAADTLF-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN Cn1c(-c2nc(CCc3ccccc3)no2)ccc1[N+](=O)[O-] ZINC000356077496 155156537 /nfs/dbraw/zinc/15/65/37/155156537.db2.gz SKJBNUXIXIVLKN-UHFFFAOYSA-N 0 0 298.302 2.769 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCn2cncc2C1 ZINC000356279089 155207363 /nfs/dbraw/zinc/20/73/63/155207363.db2.gz IRDSMTLEVRSUTD-NTUHNPAUSA-N 0 0 298.346 2.710 20 5 CFBDRN CO[C@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])[C@@H](C)C1 ZINC000408422158 286063988 /nfs/dbraw/zinc/06/39/88/286063988.db2.gz FRBITINZUAVTGY-RYUDHWBXSA-N 0 0 279.340 2.610 20 5 CFBDRN CO[C@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000281533174 286062782 /nfs/dbraw/zinc/06/27/82/286062782.db2.gz AMNTZXBDKSJMFL-MFKMUULPSA-N 0 0 292.335 2.801 20 5 CFBDRN CO[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000281596347 286062789 /nfs/dbraw/zinc/06/27/89/286062789.db2.gz HNLKMSQJPWOHPR-KOLCDFICSA-N 0 0 268.288 2.738 20 5 CFBDRN CCC1(NC(=O)c2cccc([N+](=O)[O-])c2OC)CCC1 ZINC000356329810 155228044 /nfs/dbraw/zinc/22/80/44/155228044.db2.gz ZFGNQKBWEWRHPH-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN COCCCCc1noc(-c2ccc([N+](=O)[O-])cc2C)n1 ZINC000356341559 155232967 /nfs/dbraw/zinc/23/29/67/155232967.db2.gz KXZSHVUZHTWCJB-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000356361229 155240458 /nfs/dbraw/zinc/24/04/58/155240458.db2.gz HNZDITHRDOCOMC-MRVPVSSYSA-N 0 0 290.241 2.831 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCCc3n[nH]cc32)c(Cl)c1 ZINC000356398256 155251926 /nfs/dbraw/zinc/25/19/26/155251926.db2.gz RIQORXCBHWUMQZ-UHFFFAOYSA-N 0 0 292.726 2.924 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000280008875 286159255 /nfs/dbraw/zinc/15/92/55/286159255.db2.gz MYGDCIMDPQGLHW-OAHLLOKOSA-N 0 0 292.335 2.626 20 5 CFBDRN COc1cc(N2CCSC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000277489321 286230285 /nfs/dbraw/zinc/23/02/85/286230285.db2.gz TYVLJZQZBDLJRO-SNVBAGLBSA-N 0 0 282.365 2.793 20 5 CFBDRN COc1cc(N2CC[C@H](OC)[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000450766082 286230755 /nfs/dbraw/zinc/23/07/55/286230755.db2.gz SGDMYVKXNBZBPL-RNCFNFMXSA-N 0 0 298.314 2.604 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000356563549 155303503 /nfs/dbraw/zinc/30/35/03/155303503.db2.gz MFBUFECDGTWRSL-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 ZINC000356578464 155308527 /nfs/dbraw/zinc/30/85/27/155308527.db2.gz DSBFDFAYKVKRAV-UHFFFAOYSA-N 0 0 296.323 2.530 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000356697365 155333933 /nfs/dbraw/zinc/33/39/33/155333933.db2.gz JKTAPXOUHHIEFS-MRVPVSSYSA-N 0 0 279.271 2.554 20 5 CFBDRN COc1ccc(CN2C[C@H](C)O[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000438382499 286309196 /nfs/dbraw/zinc/30/91/96/286309196.db2.gz PDDASBNCJMAOKR-QJPTWQEYSA-N 0 0 294.351 2.601 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\c1nc(Cc2ccon2)no1 ZINC000356752977 155353889 /nfs/dbraw/zinc/35/38/89/155353889.db2.gz DQCZHLXAOGJFEQ-WAYWQWQTSA-N 0 0 298.258 2.727 20 5 CFBDRN COc1ccc(NC(=O)N2CCC[C@H]2CF)cc1[N+](=O)[O-] ZINC000296711504 286329806 /nfs/dbraw/zinc/32/98/06/286329806.db2.gz FMXVRNCFBQRLHK-JTQLQIEISA-N 0 0 297.286 2.569 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1Cc1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000356800759 155373340 /nfs/dbraw/zinc/37/33/40/155373340.db2.gz JUERRAUUIIDFOU-HOCLYGCPSA-N 0 0 296.326 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC([C@@H]3CCCO3)CC2)n1 ZINC000190362322 292284834 /nfs/dbraw/zinc/28/48/34/292284834.db2.gz VJNHTHFLXLDTAN-AWEZNQCLSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3sccc3C2)n1 ZINC000178161045 292289316 /nfs/dbraw/zinc/28/93/16/292289316.db2.gz XYUNTGBCNQNHTB-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H](C)OC[C@@H]2C)c1 ZINC000268197510 292289703 /nfs/dbraw/zinc/28/97/03/292289703.db2.gz YHZYXGDHUHJCSQ-QWRGUYRKSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@]3(C)[C@@H](C2)C3(F)F)n1 ZINC000291839435 292288505 /nfs/dbraw/zinc/28/85/05/292288505.db2.gz GSGDXUJOQSMWNV-PWSUYJOCSA-N 0 0 283.278 2.780 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(CC(C)(C)C)OC)c1 ZINC000286627093 286381132 /nfs/dbraw/zinc/38/11/32/286381132.db2.gz WGKBDQKDEUHPDQ-UHFFFAOYSA-N 0 0 296.323 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C2CC2)C1 ZINC000334380903 292292871 /nfs/dbraw/zinc/29/28/71/292292871.db2.gz ZYJVDAVPPJHXOB-LBPRGKRZSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1ccnc(NCCc2ccc3c[nH]nc3c2)c1[N+](=O)[O-] ZINC000343060174 154100099 /nfs/dbraw/zinc/10/00/99/154100099.db2.gz JCXXZKUTXYDBEU-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc2nccnc2c1 ZINC000343140094 154124392 /nfs/dbraw/zinc/12/43/92/154124392.db2.gz UUTAECJRADHJCO-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])c(C)c2)nc(C)n1 ZINC000343162812 154129622 /nfs/dbraw/zinc/12/96/22/154129622.db2.gz RFLXPQWCHPNPCZ-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN COC(=O)C(C)(C)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000343221464 154145608 /nfs/dbraw/zinc/14/56/08/154145608.db2.gz BLISRRKFVLTXTA-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1ccc(F)cn1 ZINC000343227137 154147101 /nfs/dbraw/zinc/14/71/01/154147101.db2.gz SROUVQGRZPBHME-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CC(C)(C)Oc1cc(NC[C@H](O)C2CC2)ccc1[N+](=O)[O-] ZINC000343274210 154165000 /nfs/dbraw/zinc/16/50/00/154165000.db2.gz KIEPDQIHYFBWJN-ZDUSSCGKSA-N 0 0 294.351 2.955 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000343301750 154171549 /nfs/dbraw/zinc/17/15/49/154171549.db2.gz IUWFSLZAWUWVNF-CYBMUJFWSA-N 0 0 291.351 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(C(F)(F)F)CC2)nc1 ZINC000343354781 154182871 /nfs/dbraw/zinc/18/28/71/154182871.db2.gz VWRJDKVBZWPGSF-UHFFFAOYSA-N 0 0 261.203 2.744 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(Cc3cncs3)n2)cc1 ZINC000345524168 154195163 /nfs/dbraw/zinc/19/51/63/154195163.db2.gz HOVKLRHHZQLLIG-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC(F)C1 ZINC000345530949 154197021 /nfs/dbraw/zinc/19/70/21/154197021.db2.gz CWGGFYJPAKPTDY-UHFFFAOYSA-N 0 0 298.339 2.889 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1C(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000345533644 154198612 /nfs/dbraw/zinc/19/86/12/154198612.db2.gz NJFDLOYTIKOUDM-DTWKUNHWSA-N 0 0 290.653 2.632 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC[C@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000345561131 154206221 /nfs/dbraw/zinc/20/62/21/154206221.db2.gz KZQRCZZNASQPGE-GARJFASQSA-N 0 0 295.314 2.846 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000345577319 154209843 /nfs/dbraw/zinc/20/98/43/154209843.db2.gz AVQAHXBPVWIYFN-WDEREUQCSA-N 0 0 295.314 2.647 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000345597516 154215495 /nfs/dbraw/zinc/21/54/95/154215495.db2.gz ZNXKSVTZZRWXNK-NXEZZACHSA-N 0 0 298.339 2.937 20 5 CFBDRN C[C@H]1[C@H](c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)C1(F)F ZINC000345650193 154231179 /nfs/dbraw/zinc/23/11/79/154231179.db2.gz XDGGIKVXMWZUNE-SSDLBLMSSA-N 0 0 296.233 2.596 20 5 CFBDRN CO[C@H](c1nc(-c2cccc([N+](=O)[O-])c2)no1)C(C)C ZINC000345702805 154244785 /nfs/dbraw/zinc/24/47/85/154244785.db2.gz YFYBSTGUUHKEHT-NSHDSACASA-N 0 0 277.280 2.988 20 5 CFBDRN Cc1ccc(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cn1 ZINC000345713791 154248589 /nfs/dbraw/zinc/24/85/89/154248589.db2.gz URLICVRLHYKULL-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCC1(F)CCC1 ZINC000345792817 154268697 /nfs/dbraw/zinc/26/86/97/154268697.db2.gz VZNHIMPCVICDDN-UHFFFAOYSA-N 0 0 280.299 2.915 20 5 CFBDRN CC(C)(NC(=O)c1ccc[nH]1)c1ccccc1[N+](=O)[O-] ZINC000345791618 154268758 /nfs/dbraw/zinc/26/87/58/154268758.db2.gz GXCJHCLQYTUDPH-UHFFFAOYSA-N 0 0 273.292 2.588 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000345812774 154272800 /nfs/dbraw/zinc/27/28/00/154272800.db2.gz YZFNYDICIFPUOX-DTWKUNHWSA-N 0 0 298.289 2.847 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCCC1(F)CCC1 ZINC000345848740 154281765 /nfs/dbraw/zinc/28/17/65/154281765.db2.gz OCTYAIBCTSAAJA-UHFFFAOYSA-N 0 0 296.298 2.616 20 5 CFBDRN CC[C@@H](C)[C@@H](NCc1cccc([N+](=O)[O-])c1C)C(=O)OC ZINC000348014062 154287247 /nfs/dbraw/zinc/28/72/47/154287247.db2.gz WHIQDQZNPFYWQC-QMTHXVAHSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1cccc(NCc2c([N+](=O)[O-])ncn2C)c1C ZINC000348127117 154330611 /nfs/dbraw/zinc/33/06/11/154330611.db2.gz ZVCUCEDFFJAKOH-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2C[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000348176277 154350376 /nfs/dbraw/zinc/35/03/76/154350376.db2.gz XNFZNEAJCQPTGJ-RKDXNWHRSA-N 0 0 285.250 2.678 20 5 CFBDRN Cc1cc(N[C@@H](C)CC(=O)OC(C)(C)C)ncc1[N+](=O)[O-] ZINC000348182173 154352155 /nfs/dbraw/zinc/35/21/55/154352155.db2.gz QVZYSXQRHZLQKG-JTQLQIEISA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)c2cncs2)cc1[N+](=O)[O-] ZINC000348265099 154387318 /nfs/dbraw/zinc/38/73/18/154387318.db2.gz CXSWDVIYNBKIKJ-ZCFIWIBFSA-N 0 0 297.361 2.912 20 5 CFBDRN Cc1noc(C)c1CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352369213 154546899 /nfs/dbraw/zinc/54/68/99/154546899.db2.gz XOANZBHLKWZQQC-UHFFFAOYSA-N 0 0 298.302 2.782 20 5 CFBDRN COc1cccc(N2CCC[C@H](CCO)CC2)c1[N+](=O)[O-] ZINC000420641459 286456716 /nfs/dbraw/zinc/45/67/16/286456716.db2.gz NNVGUERQLYBTIG-LBPRGKRZSA-N 0 0 294.351 2.592 20 5 CFBDRN CC(C)(CF)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000354426670 154703840 /nfs/dbraw/zinc/70/38/40/154703840.db2.gz BFDLACKXBYOION-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN CCc1nn(C)c(NCC23CCC(CC2)C3)c1[N+](=O)[O-] ZINC000354894244 154851823 /nfs/dbraw/zinc/85/18/23/154851823.db2.gz ZVJLWMDDLQDQGG-UHFFFAOYSA-N 0 0 278.356 2.883 20 5 CFBDRN Cc1ccncc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000355008021 154884710 /nfs/dbraw/zinc/88/47/10/154884710.db2.gz GICOPPADSXXKPR-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN O=C(Nc1ccc(F)c(C2CC2)c1)c1cc([N+](=O)[O-])n[nH]1 ZINC000355665916 155059974 /nfs/dbraw/zinc/05/99/74/155059974.db2.gz OCIMHQVHXAUSGT-UHFFFAOYSA-N 0 0 290.254 2.587 20 5 CFBDRN Cc1ccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)cn1 ZINC000355686575 155062742 /nfs/dbraw/zinc/06/27/42/155062742.db2.gz DNWAEZBXIFORIK-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1ccccc1[C@H](C)N(C)Cc1c([N+](=O)[O-])ncn1C ZINC000355792991 155089838 /nfs/dbraw/zinc/08/98/38/155089838.db2.gz WSXBDYPRGHWOLZ-LBPRGKRZSA-N 0 0 288.351 2.830 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccccc3F)no2)c1 ZINC000355793381 155089952 /nfs/dbraw/zinc/08/99/52/155089952.db2.gz KHGYFNPORYETNX-UHFFFAOYSA-N 0 0 274.211 2.779 20 5 CFBDRN Cc1cnc(N[C@H](C(C)C)C(C)(C)O)c([N+](=O)[O-])c1 ZINC000357740831 155519073 /nfs/dbraw/zinc/51/90/73/155519073.db2.gz COGAVEYUBYJVBQ-LLVKDONJSA-N 0 0 267.329 2.506 20 5 CFBDRN Cn1c(C(=O)Nc2cc(F)cc(Cl)c2)ccc1[N+](=O)[O-] ZINC000358221634 155678317 /nfs/dbraw/zinc/67/83/17/155678317.db2.gz KSSNMBNFPYMYOI-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CCNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000358248576 155688912 /nfs/dbraw/zinc/68/89/12/155688912.db2.gz XQOJIPGGDNTZQZ-MNOVXSKESA-N 0 0 279.340 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSc2cccnn2)cc1 ZINC000358293987 155708770 /nfs/dbraw/zinc/70/87/70/155708770.db2.gz WNTZNQGJCAKECD-UHFFFAOYSA-N 0 0 277.305 2.556 20 5 CFBDRN CS[C@@H](C)CCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000358469616 155774620 /nfs/dbraw/zinc/77/46/20/155774620.db2.gz GJBMDPFZYVVWPE-JTQLQIEISA-N 0 0 282.365 2.743 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1ccc(Cl)o1 ZINC000358903504 155910087 /nfs/dbraw/zinc/91/00/87/155910087.db2.gz KZSJZXMYKSDXJG-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN Cc1ccc(C(=O)NCCc2ccccc2[N+](=O)[O-])cc1 ZINC000358912754 155913709 /nfs/dbraw/zinc/91/37/09/155913709.db2.gz ZBQYQMNOHVTYCM-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2C[C@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359154780 156006852 /nfs/dbraw/zinc/00/68/52/156006852.db2.gz AUKIETCVASZNDP-KBPBESRZSA-N 0 0 284.315 2.869 20 5 CFBDRN Cc1ccn(C[C@@H]2C[C@H]2c2ccccc2)c(=O)c1[N+](=O)[O-] ZINC000359166188 156011013 /nfs/dbraw/zinc/01/10/13/156011013.db2.gz QPNSSPOWJRBTLY-KBPBESRZSA-N 0 0 284.315 2.869 20 5 CFBDRN CCN(C[C@@H](C)OC)c1cc(C)c([N+](=O)[O-])cc1F ZINC000360216724 156055036 /nfs/dbraw/zinc/05/50/36/156055036.db2.gz BNFUHUFHRZMSNI-SNVBAGLBSA-N 0 0 270.304 2.904 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1nc(C)ccc1[N+](=O)[O-])OC ZINC000362976985 156134260 /nfs/dbraw/zinc/13/42/60/156134260.db2.gz NPBBNUMXLUVNPD-BXKDBHETSA-N 0 0 267.329 2.771 20 5 CFBDRN CO[C@@H](CNc1c2ccccc2ncc1[N+](=O)[O-])C(C)C ZINC000362976949 156134503 /nfs/dbraw/zinc/13/45/03/156134503.db2.gz NBKZTXZYPGASRW-AWEZNQCLSA-N 0 0 289.335 2.648 20 5 CFBDRN C[C@@H]1COC(C)(C)CN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000362991557 156138172 /nfs/dbraw/zinc/13/81/72/156138172.db2.gz BMAXTJHZQDSCFV-SNVBAGLBSA-N 0 0 293.323 2.626 20 5 CFBDRN CC(C)CC[C@H]1CCCN1c1c([N+](=O)[O-])ncn1C ZINC000362999764 156140579 /nfs/dbraw/zinc/14/05/79/156140579.db2.gz ASPFCQXZWQSVBH-LLVKDONJSA-N 0 0 266.345 2.733 20 5 CFBDRN Cc1cc(C)cc([C@@H]2CCCN2C(=O)[C@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000363064406 156145940 /nfs/dbraw/zinc/14/59/40/156145940.db2.gz ZOMFNHDQONUBDJ-SOUVJXGZSA-N 0 0 288.347 2.632 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC2(C1)CCCCC2 ZINC000363872140 156200408 /nfs/dbraw/zinc/20/04/08/156200408.db2.gz LJOVYGQMVLQICF-UHFFFAOYSA-N 0 0 289.335 2.786 20 5 CFBDRN C[C@H]1CN(Cc2c([N+](=O)[O-])ncn2C)[C@@H]1c1ccccc1 ZINC000365783019 156231079 /nfs/dbraw/zinc/23/10/79/156231079.db2.gz BUXCHFBIPXADGI-FZMZJTMJSA-N 0 0 286.335 2.521 20 5 CFBDRN Nc1ccc(C(=O)N2CCCC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000366856102 156247409 /nfs/dbraw/zinc/24/74/09/156247409.db2.gz VKNCDJYEUJZKTF-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN COc1nn(C)cc1N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000352917238 286582581 /nfs/dbraw/zinc/58/25/81/286582581.db2.gz SRYFUMWZNXHOPM-SECBINFHSA-N 0 0 276.296 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCN(c3cccnc3)C2)cc1 ZINC000373058467 156952259 /nfs/dbraw/zinc/95/22/59/156952259.db2.gz NMXYLPMVQGSNAC-CYBMUJFWSA-N 0 0 284.319 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H](CO)c3ccccc32)nc1 ZINC000373637898 157017392 /nfs/dbraw/zinc/01/73/92/157017392.db2.gz JUOPZQLTQHVZSX-QMTHXVAHSA-N 0 0 285.303 2.623 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C2CC2)C1 ZINC000374319190 157098090 /nfs/dbraw/zinc/09/80/90/157098090.db2.gz WJUYZEXVDYDPNV-GFCCVEGCSA-N 0 0 276.336 2.978 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H](CO)c3ccccc32)c1 ZINC000374588147 157134300 /nfs/dbraw/zinc/13/43/00/157134300.db2.gz ZCMSZSNYAWUCJG-IINYFYTJSA-N 0 0 299.330 2.810 20 5 CFBDRN C[C@@H]1[N@H+](Cc2ccccc2[N+](=O)[O-])CCC12CCOCC2 ZINC000375243598 157210532 /nfs/dbraw/zinc/21/05/32/157210532.db2.gz ZJKMTPRRWYSDTN-ZDUSSCGKSA-N 0 0 290.363 2.986 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCC=C(c2ccccc2)C1 ZINC000375326812 157228563 /nfs/dbraw/zinc/22/85/63/157228563.db2.gz MHOFVWAVRRFVKV-UHFFFAOYSA-N 0 0 298.346 2.618 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2nccs2)s1 ZINC000375583971 157257048 /nfs/dbraw/zinc/25/70/48/157257048.db2.gz PEGDMAGNUXZNTK-ZETCQYMHSA-N 0 0 282.350 2.849 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC2(CCC2)CC1 ZINC000375811575 157287709 /nfs/dbraw/zinc/28/77/09/157287709.db2.gz XAOMMTQCNKHJNS-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN Cc1noc(C2CN(c3ccc([N+](=O)[O-])cc3Cl)C2)n1 ZINC000375946231 157307136 /nfs/dbraw/zinc/30/71/36/157307136.db2.gz IJNYXAHIQBVXJN-UHFFFAOYSA-N 0 0 294.698 2.543 20 5 CFBDRN COc1cc(N2C[C@@H](C)O[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000376012259 157314803 /nfs/dbraw/zinc/31/48/03/157314803.db2.gz CRJJWKFRMXHIMO-BMIGLBTASA-N 0 0 292.335 2.607 20 5 CFBDRN CSC[C@@H]1CCCN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000292184709 286677292 /nfs/dbraw/zinc/67/72/92/286677292.db2.gz FSWKPZIOKWLXOD-LLVKDONJSA-N 0 0 281.381 2.878 20 5 CFBDRN CC(C)CCc1noc(COc2cccnc2[N+](=O)[O-])n1 ZINC000063429347 161503623 /nfs/dbraw/zinc/50/36/23/161503623.db2.gz XZHPXSIWQCMHSJ-UHFFFAOYSA-N 0 0 292.295 2.540 20 5 CFBDRN CCc1nc(CSc2ccc([N+](=O)[O-])cc2)no1 ZINC000063649050 161503912 /nfs/dbraw/zinc/50/39/12/161503912.db2.gz WPVVGPXYPYVIEM-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CC ZINC000185194534 161525105 /nfs/dbraw/zinc/52/51/05/161525105.db2.gz WGCKXLBKMLTERP-GFCCVEGCSA-N 0 0 264.325 2.642 20 5 CFBDRN Cc1ccc2[nH]c(CNc3ccc([N+](=O)[O-])cn3)nc2c1 ZINC000342445659 161630403 /nfs/dbraw/zinc/63/04/03/161630403.db2.gz ZNORVHNHBISAJK-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN COc1cc(COc2cc([N+](=O)[O-])ccc2C)ccn1 ZINC000342701995 161689713 /nfs/dbraw/zinc/68/97/13/161689713.db2.gz CSDJNXKYSVCLPV-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CSCCCNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342939517 161742755 /nfs/dbraw/zinc/74/27/55/161742755.db2.gz OOCYFEPDTORHDF-UHFFFAOYSA-N 0 0 293.348 2.559 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1C[C@H]1C(F)F ZINC000343807120 161867524 /nfs/dbraw/zinc/86/75/24/161867524.db2.gz KHPLKGMEADYXJE-RITPCOANSA-N 0 0 263.631 2.709 20 5 CFBDRN Cc1cccc2nc(CNc3ccc([N+](=O)[O-])nc3)cn21 ZINC000344416824 162039249 /nfs/dbraw/zinc/03/92/49/162039249.db2.gz JOIPVUFCWMCUCO-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN O=C(NCCC1(F)CCC1)NCc1ccccc1[N+](=O)[O-] ZINC000344425955 162040648 /nfs/dbraw/zinc/04/06/48/162040648.db2.gz IANINRIUSLKZFQ-UHFFFAOYSA-N 0 0 295.314 2.676 20 5 CFBDRN CCc1nc(CCNc2ccc([N+](=O)[O-])nc2)sc1C ZINC000344427580 162042272 /nfs/dbraw/zinc/04/22/72/162042272.db2.gz FVNICPKWOAZQHN-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN CCN(Cc1cccs1)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000344580250 162071453 /nfs/dbraw/zinc/07/14/53/162071453.db2.gz LMKWOUWPJIWQAP-UHFFFAOYSA-N 0 0 293.348 2.657 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1cnn(C)c1 ZINC000344851887 162135508 /nfs/dbraw/zinc/13/55/08/162135508.db2.gz JEOUTMSOEFNODQ-IZZDOVSWSA-N 0 0 272.308 2.844 20 5 CFBDRN COc1ccc(CNC(=O)[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000345144041 162186883 /nfs/dbraw/zinc/18/68/83/162186883.db2.gz QRYUXFGCATXBEK-CMPLNLGQSA-N 0 0 292.335 2.656 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])n2C)cc1C ZINC000345155346 162189716 /nfs/dbraw/zinc/18/97/16/162189716.db2.gz WJFPISMVDUQBTR-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN O=C(COc1ccccc1)Nc1ccc([N+](=O)[O-])cc1F ZINC000345184466 162196474 /nfs/dbraw/zinc/19/64/74/162196474.db2.gz JMSSKXKGYZUSDM-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN Cc1cnc(CNc2c(C)ccc([N+](=O)[O-])c2C)nc1 ZINC000345314351 162225147 /nfs/dbraw/zinc/22/51/47/162225147.db2.gz JTJLRXHSCQYEEC-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000345347544 162232521 /nfs/dbraw/zinc/23/25/21/162232521.db2.gz LKTSCXVJLUGITN-AAEUAGOBSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@@H](CF)NC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000345860462 162265242 /nfs/dbraw/zinc/26/52/42/162265242.db2.gz YYLRVHNYMNHGCJ-LURJTMIESA-N 0 0 294.204 2.701 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000345937584 162281287 /nfs/dbraw/zinc/28/12/87/162281287.db2.gz UEKPJGWAUZXOLI-NWDGAFQWSA-N 0 0 292.335 2.589 20 5 CFBDRN COc1c(-c2nc(CC3CC3)no2)cccc1[N+](=O)[O-] ZINC000345959685 162285064 /nfs/dbraw/zinc/28/50/64/162285064.db2.gz NCDOHDHSULELEN-UHFFFAOYSA-N 0 0 275.264 2.606 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2C)nc1 ZINC000346207120 162352355 /nfs/dbraw/zinc/35/23/55/162352355.db2.gz MDZVISFCFKGGLC-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN C[C@@H](CCC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000346255636 162364598 /nfs/dbraw/zinc/36/45/98/162364598.db2.gz WGXACLRHJVHCFQ-JTQLQIEISA-N 0 0 281.356 2.878 20 5 CFBDRN Cc1noc(C)c1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347256437 162638236 /nfs/dbraw/zinc/63/82/36/162638236.db2.gz GWWSLEKMGIIRNQ-UHFFFAOYSA-N 0 0 294.242 2.983 20 5 CFBDRN CC1(NC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000347294461 162646490 /nfs/dbraw/zinc/64/64/90/162646490.db2.gz XFZUPAUGPANICT-UHFFFAOYSA-N 0 0 267.260 2.798 20 5 CFBDRN CCc1cccc(C)c1NCc1c([N+](=O)[O-])ncn1C ZINC000347765561 162771118 /nfs/dbraw/zinc/77/11/18/162771118.db2.gz LXDVSHUSPDPXIS-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CC[C@@]1(C)CC(=O)N(Cc2cccc([N+](=O)[O-])c2C)C1=O ZINC000348755921 162896750 /nfs/dbraw/zinc/89/67/50/162896750.db2.gz KCRYRMFVVCBYDQ-HNNXBMFYSA-N 0 0 290.319 2.578 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000349288577 162959875 /nfs/dbraw/zinc/95/98/75/162959875.db2.gz PUSMKNZVVHJWPT-SECBINFHSA-N 0 0 284.287 2.735 20 5 CFBDRN Cc1noc(CSc2ccc([N+](=O)[O-])cc2)n1 ZINC000349776068 163014736 /nfs/dbraw/zinc/01/47/36/163014736.db2.gz XWUOFTBXTKITCA-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN CC(C)(CF)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000349786432 163016338 /nfs/dbraw/zinc/01/63/38/163016338.db2.gz HPKMEMSQPZVFEG-UHFFFAOYSA-N 0 0 273.239 2.604 20 5 CFBDRN CO[C@@H](C)COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000349832009 163022224 /nfs/dbraw/zinc/02/22/24/163022224.db2.gz MHOQTQNJGWBPOL-QMMMGPOBSA-N 0 0 259.689 2.970 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1C[C@@H]1C(F)F ZINC000349867532 163029534 /nfs/dbraw/zinc/02/95/34/163029534.db2.gz JKTFAXOVPXBMLQ-IUCAKERBSA-N 0 0 299.277 2.987 20 5 CFBDRN Cc1noc(C)c1CCOc1c(Cl)cncc1[N+](=O)[O-] ZINC000349942594 163039586 /nfs/dbraw/zinc/03/95/86/163039586.db2.gz BCGCIWGQYIXPAX-UHFFFAOYSA-N 0 0 297.698 2.870 20 5 CFBDRN Cc1cnccc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000350086699 163057904 /nfs/dbraw/zinc/05/79/04/163057904.db2.gz UNZBTQDAFQFESZ-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN CCc1ccnc(-c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)c1 ZINC000350472024 163085190 /nfs/dbraw/zinc/08/51/90/163085190.db2.gz HSJXBHCJBVVETQ-UHFFFAOYSA-N 0 0 285.263 2.597 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000350678279 163097814 /nfs/dbraw/zinc/09/78/14/163097814.db2.gz UKEYUDJZSKVYOJ-SCZZXKLOSA-N 0 0 279.296 2.523 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000350689314 163098638 /nfs/dbraw/zinc/09/86/38/163098638.db2.gz GCLXTBAXLAKNCQ-BDAKNGLRSA-N 0 0 297.286 2.616 20 5 CFBDRN CO[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000351011979 163115942 /nfs/dbraw/zinc/11/59/42/163115942.db2.gz JGDQNJGMPLOPFT-LBPRGKRZSA-N 0 0 266.297 2.513 20 5 CFBDRN Cc1cnccc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000351055550 163116855 /nfs/dbraw/zinc/11/68/55/163116855.db2.gz LLRBKMPJRFVOLJ-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1cccc(NCCc2ccc([N+](=O)[O-])cc2)c1C(N)=O ZINC000351343866 163126937 /nfs/dbraw/zinc/12/69/37/163126937.db2.gz UUVLZFZVHURIRV-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN O=C(N[C@H](c1ccccc1)C(F)F)c1cc([N+](=O)[O-])c[nH]1 ZINC000351513363 163138221 /nfs/dbraw/zinc/13/82/21/163138221.db2.gz RWJPAAQKBCQLKF-LLVKDONJSA-N 0 0 295.245 2.659 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000351525664 163142622 /nfs/dbraw/zinc/14/26/22/163142622.db2.gz UCPFPSAZUNVXLO-GFCCVEGCSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1cnc(SCC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000351547358 163145056 /nfs/dbraw/zinc/14/50/56/163145056.db2.gz YYDIHJXZUFKNOL-UHFFFAOYSA-N 0 0 284.337 2.732 20 5 CFBDRN CSc1cccc(C(=O)N2CCCCCO2)c1[N+](=O)[O-] ZINC000351680297 163173862 /nfs/dbraw/zinc/17/38/62/163173862.db2.gz RFQWYLGSYFNJQH-UHFFFAOYSA-N 0 0 296.348 2.874 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCc1cccc(F)c1 ZINC000351826168 163199902 /nfs/dbraw/zinc/19/99/02/163199902.db2.gz DUBQORSXTYXKCW-UHFFFAOYSA-N 0 0 263.272 2.872 20 5 CFBDRN C[C@@H](CCn1nccc1[N+](=O)[O-])OCc1ccccc1 ZINC000352930341 163271225 /nfs/dbraw/zinc/27/12/25/163271225.db2.gz KUIDPKRHLSNSCJ-LBPRGKRZSA-N 0 0 275.308 2.787 20 5 CFBDRN C[C@H](CCn1nccc1[N+](=O)[O-])OCc1ccccc1 ZINC000352930340 163272483 /nfs/dbraw/zinc/27/24/83/163272483.db2.gz KUIDPKRHLSNSCJ-GFCCVEGCSA-N 0 0 275.308 2.787 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@H](COC(F)F)C2)cs1 ZINC000353021885 163287663 /nfs/dbraw/zinc/28/76/63/163287663.db2.gz KTOXNFRAVVVPJK-QMMMGPOBSA-N 0 0 292.307 2.717 20 5 CFBDRN Cn1ccnc1[C@@H](NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000353040944 163294492 /nfs/dbraw/zinc/29/44/92/163294492.db2.gz WFJMYBUHUVQSPB-AWEZNQCLSA-N 0 0 286.335 2.569 20 5 CFBDRN CCO[C@@H]1COCC[C@H]1Nc1cc(C)ccc1[N+](=O)[O-] ZINC000353267083 163332278 /nfs/dbraw/zinc/33/22/78/163332278.db2.gz OQJPKGHXWCQVSU-BXUZGUMPSA-N 0 0 280.324 2.509 20 5 CFBDRN CN(CC1(O)CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000353271892 163334413 /nfs/dbraw/zinc/33/44/13/163334413.db2.gz ZOUJBIJOFJQDQG-UHFFFAOYSA-N 0 0 270.716 2.599 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000353697058 163427469 /nfs/dbraw/zinc/42/74/69/163427469.db2.gz PCPYARFRESZHQR-SDDRHHMPSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@H]1C ZINC000355894438 163556787 /nfs/dbraw/zinc/55/67/87/163556787.db2.gz JLTOWRFUAHGQOY-WDEREUQCSA-N 0 0 278.308 2.575 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)Cc1ccnn1C ZINC000348397853 287152121 /nfs/dbraw/zinc/15/21/21/287152121.db2.gz GWUHHVJXQGFBDD-NSHDSACASA-N 0 0 274.324 2.521 20 5 CFBDRN CC[C@@H]1CN(c2cccc(C)c2[N+](=O)[O-])CCCO1 ZINC000281793254 287212791 /nfs/dbraw/zinc/21/27/91/287212791.db2.gz HUVFUUSVTLCRRZ-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN O=C(NCc1ccccc1)c1ccc([N+](=O)[O-])s1 ZINC000003480604 169891085 /nfs/dbraw/zinc/89/10/85/169891085.db2.gz OUYHNVLUNCXKLD-UHFFFAOYSA-N 0 0 262.290 2.586 20 5 CFBDRN CSc1ccc(C(=O)NCC(F)(F)F)cc1[N+](=O)[O-] ZINC000005273237 170081695 /nfs/dbraw/zinc/08/16/95/170081695.db2.gz HNNZIWVWVQIUMP-UHFFFAOYSA-N 0 0 294.254 2.609 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000006061090 170173315 /nfs/dbraw/zinc/17/33/15/170173315.db2.gz DTFADNIQGLHSCF-BXUZGUMPSA-N 0 0 292.335 2.669 20 5 CFBDRN O=C(NCC(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl ZINC000006236830 170184397 /nfs/dbraw/zinc/18/43/97/170184397.db2.gz YYYMDEMWDGETFE-UHFFFAOYSA-N 0 0 282.605 2.540 20 5 CFBDRN COC(=O)c1ccc(COc2cc(C)ccc2[N+](=O)[O-])o1 ZINC000006282131 170188478 /nfs/dbraw/zinc/18/84/78/170188478.db2.gz VPTNKENIGBQWNI-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1cc(C)nc(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])n1 ZINC000006477808 170204489 /nfs/dbraw/zinc/20/44/89/170204489.db2.gz UBFGQVZKZRLDGP-BQYQJAHWSA-N 0 0 298.302 2.654 20 5 CFBDRN Cc1ccc(C(=O)COc2cccnc2[N+](=O)[O-])s1 ZINC000007220707 170272228 /nfs/dbraw/zinc/27/22/28/170272228.db2.gz RQJRVCXTCZVTGL-UHFFFAOYSA-N 0 0 278.289 2.621 20 5 CFBDRN CC(=O)c1ccc(Oc2cccnc2)c([N+](=O)[O-])c1 ZINC000007902658 170307039 /nfs/dbraw/zinc/30/70/39/170307039.db2.gz HWXBHDRAXKWVBR-UHFFFAOYSA-N 0 0 258.233 2.985 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc(CO)c2)ccc1[N+](=O)[O-] ZINC000008166385 170322443 /nfs/dbraw/zinc/32/24/43/170322443.db2.gz ICRNNIONFPGXGX-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2c([N+](=O)[O-])ncn2C)[C@@H]1C ZINC000008317699 170330392 /nfs/dbraw/zinc/33/03/92/170330392.db2.gz ZMTDVDXAAAVGPC-BBBLOLIVSA-N 0 0 252.318 2.565 20 5 CFBDRN Cc1ccc(CN(C)c2nc3sccn3c2[N+](=O)[O-])o1 ZINC000008376225 170333735 /nfs/dbraw/zinc/33/37/35/170333735.db2.gz QXTVBNNPOOQSRH-UHFFFAOYSA-N 0 0 292.320 2.842 20 5 CFBDRN O=[N+]([O-])c1c(NCCCOCC2CC2)nc2sccn21 ZINC000008376161 170333906 /nfs/dbraw/zinc/33/39/06/170333906.db2.gz IQQNYPNZTDHOEV-UHFFFAOYSA-N 0 0 296.352 2.533 20 5 CFBDRN CC[C@@H]1COCCN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352359663 287240060 /nfs/dbraw/zinc/24/00/60/287240060.db2.gz JETZRFDYCICFHS-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000332331196 287248028 /nfs/dbraw/zinc/24/80/28/287248028.db2.gz QKJIZRXHTNFHNT-GFCCVEGCSA-N 0 0 291.351 2.798 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000048915290 287249072 /nfs/dbraw/zinc/24/90/72/287249072.db2.gz JPUXGEGYBBBRNB-GXFFZTMASA-N 0 0 292.335 2.801 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccccn2)c(F)c1 ZINC000020474716 171218685 /nfs/dbraw/zinc/21/86/85/171218685.db2.gz CZNUPNWDWCPATC-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(O)c1 ZINC000020578485 171256246 /nfs/dbraw/zinc/25/62/46/171256246.db2.gz CZVQGRHGBZWHPY-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CCCCNC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000020898082 171278621 /nfs/dbraw/zinc/27/86/21/171278621.db2.gz IZPHRZJNPQOCCD-UHFFFAOYSA-N 0 0 291.351 2.725 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000187092547 287254617 /nfs/dbraw/zinc/25/46/17/287254617.db2.gz LSGAJOZLUYBVLH-LLVKDONJSA-N 0 0 262.309 2.857 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000032032203 171688097 /nfs/dbraw/zinc/68/80/97/171688097.db2.gz KCAFFYGXGRBHPI-MNOVXSKESA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1cc(C)nc(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)n1 ZINC000033322282 171783546 /nfs/dbraw/zinc/78/35/46/171783546.db2.gz KLSUDCDGERZCNP-SREVYHEPSA-N 0 0 298.302 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cccc(CO)c2)cc1 ZINC000035018839 171937000 /nfs/dbraw/zinc/93/70/00/171937000.db2.gz GZJUSACBQZEFAU-UHFFFAOYSA-N 0 0 258.277 2.699 20 5 CFBDRN O=C(COc1ccccc1F)c1ccc([N+](=O)[O-])cc1 ZINC000035183562 172036651 /nfs/dbraw/zinc/03/66/51/172036651.db2.gz GSPNSBXFIROHKN-UHFFFAOYSA-N 0 0 275.235 2.996 20 5 CFBDRN CCOCCCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000035186022 172040404 /nfs/dbraw/zinc/04/04/04/172040404.db2.gz KXMSDAHYSJRDIU-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN CCC(CC)NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000035254441 172083952 /nfs/dbraw/zinc/08/39/52/172083952.db2.gz QFPZKOVKXBCBFP-KTKRTIGZSA-N 0 0 262.309 2.913 20 5 CFBDRN O=[N+]([O-])c1ccc(CCOc2ccc(CO)cc2)cc1 ZINC000035677859 172348390 /nfs/dbraw/zinc/34/83/90/172348390.db2.gz BAIRJVUCUYAJSL-UHFFFAOYSA-N 0 0 273.288 2.709 20 5 CFBDRN Cc1c(NCc2ccccc2[N+](=O)[O-])cccc1C(N)=O ZINC000036995947 172856671 /nfs/dbraw/zinc/85/66/71/172856671.db2.gz GQULFMPBHRBOLR-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN COc1ccc(NCc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000037185537 173016284 /nfs/dbraw/zinc/01/62/84/173016284.db2.gz DDNJRSXROSMIRU-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1noc([C@@H]2CCCN2Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000285687685 196366636 /nfs/dbraw/zinc/36/66/36/196366636.db2.gz OFJMDPWEJLUWCH-ZDUSSCGKSA-N 0 0 288.307 2.623 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc([N+](=O)[O-])ccc2F)c1 ZINC000037286125 173092455 /nfs/dbraw/zinc/09/24/55/173092455.db2.gz KSBBOUBNMUWVPL-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cccnc1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286116 173092520 /nfs/dbraw/zinc/09/25/20/173092520.db2.gz TZNVTJGVZKEOCI-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC[C@H](C)[C@@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000037313055 173114667 /nfs/dbraw/zinc/11/46/67/173114667.db2.gz SXXKHSQGEYLVER-IINYFYTJSA-N 0 0 294.351 2.581 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000037403714 173180047 /nfs/dbraw/zinc/18/00/47/173180047.db2.gz ANYMIZSLZQTXGM-AOOOYVTPSA-N 0 0 284.743 2.857 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669414 173611200 /nfs/dbraw/zinc/61/12/00/173611200.db2.gz ZRHVWEUPCBTGQU-UHFFFAOYSA-N 0 0 254.261 2.652 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000037911591 173844938 /nfs/dbraw/zinc/84/49/38/173844938.db2.gz ZJOSSZGWNLZURR-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1cncc(Cl)n1 ZINC000037981623 173941737 /nfs/dbraw/zinc/94/17/37/173941737.db2.gz HUJNYJMWGDPAFR-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN O=C(NCc1cccc(O)c1)Nc1ccc([N+](=O)[O-])cc1 ZINC000038032348 174027818 /nfs/dbraw/zinc/02/78/18/174027818.db2.gz KDUFBCLWPWJWQO-UHFFFAOYSA-N 0 0 287.275 2.622 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000038103044 174118786 /nfs/dbraw/zinc/11/87/86/174118786.db2.gz LZIUQWFMRQYXDA-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1cccc2c1OCO2 ZINC000040437578 174262744 /nfs/dbraw/zinc/26/27/44/174262744.db2.gz FBSZMSPIUUGFJE-UHFFFAOYSA-N 0 0 286.287 2.613 20 5 CFBDRN Cc1cc(NCCc2ccc([N+](=O)[O-])cc2)nc(C)n1 ZINC000040676176 174373757 /nfs/dbraw/zinc/37/37/57/174373757.db2.gz FFCBXRIYIDJCEM-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN C[C@H](Cn1cccn1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000042106008 174637611 /nfs/dbraw/zinc/63/76/11/174637611.db2.gz VNDLAMNKHMWFHU-SECBINFHSA-N 0 0 280.715 2.945 20 5 CFBDRN CCOCCCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000042390791 174706078 /nfs/dbraw/zinc/70/60/78/174706078.db2.gz NBNRIXVBOJDTTC-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1F ZINC000286246725 196539774 /nfs/dbraw/zinc/53/97/74/196539774.db2.gz DQXGBMULKMROOU-VHSXEESVSA-N 0 0 268.288 2.818 20 5 CFBDRN CC(C)(C)c1ccc(Cn2ncc([N+](=O)[O-])c2N)cc1 ZINC000043669388 175086793 /nfs/dbraw/zinc/08/67/93/175086793.db2.gz ACJUSRDTSPGZFN-UHFFFAOYSA-N 0 0 274.324 2.719 20 5 CFBDRN O=C(Nc1cn[nH]c1)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000043836360 175114951 /nfs/dbraw/zinc/11/49/51/175114951.db2.gz QKFBIGPYAFZEJY-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1cc(CN[C@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000044692637 175233999 /nfs/dbraw/zinc/23/39/99/175233999.db2.gz SEUHKBPEIWMDGK-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000045204939 175327727 /nfs/dbraw/zinc/32/77/27/175327727.db2.gz XGSZZBOABTZMGN-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc(N(CCO)Cc2ccccc2)c(F)c1 ZINC000047873258 175415580 /nfs/dbraw/zinc/41/55/80/175415580.db2.gz IHMKCTOHBGRZTJ-UHFFFAOYSA-N 0 0 290.294 2.733 20 5 CFBDRN O=[N+]([O-])c1ccccc1N(CCO)Cc1ccccc1 ZINC000054613961 175562401 /nfs/dbraw/zinc/56/24/01/175562401.db2.gz LGDQIUGWDZJFIC-UHFFFAOYSA-N 0 0 272.304 2.594 20 5 CFBDRN CCCCOCCNc1nccc(C)c1[N+](=O)[O-] ZINC000070496005 175956913 /nfs/dbraw/zinc/95/69/13/175956913.db2.gz ORJUIDZVQXFIBW-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN COc1cc(N2CCC[C@H]2[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000286456832 196610099 /nfs/dbraw/zinc/61/00/99/196610099.db2.gz NKYVVBMORPOQHJ-GXTWGEPZSA-N 0 0 292.335 2.751 20 5 CFBDRN COc1cc(C(=O)N2C[C@@H](C)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000075291649 176683832 /nfs/dbraw/zinc/68/38/32/176683832.db2.gz PZBMTEWNTYRRGP-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000076498726 177029625 /nfs/dbraw/zinc/02/96/25/177029625.db2.gz MTTFZNBJUWGFJG-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000077026922 177154679 /nfs/dbraw/zinc/15/46/79/177154679.db2.gz GTZYFLOPBFSDRW-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@@H]1CCN(C(=O)CNc2ccccc2[N+](=O)[O-])[C@H](C)C1 ZINC000077026918 177154848 /nfs/dbraw/zinc/15/48/48/177154848.db2.gz GTZYFLOPBFSDRW-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCC[C@H]2CO)c(Cl)c1 ZINC000077209980 177235244 /nfs/dbraw/zinc/23/52/44/177235244.db2.gz OAMTWKMACVEFDY-GZMMTYOYSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCCC[C@@H]1CO ZINC000077209950 177235552 /nfs/dbraw/zinc/23/55/52/177235552.db2.gz NLBSGHCTDMZBDK-MWLCHTKSSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@H](CCO)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000077226282 177236592 /nfs/dbraw/zinc/23/65/92/177236592.db2.gz OFURJQJVOYCBHD-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN C[C@H](NC(=O)C1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000077737795 177297790 /nfs/dbraw/zinc/29/77/90/177297790.db2.gz MPSBLPTWVQJXRV-JTQLQIEISA-N 0 0 260.293 2.738 20 5 CFBDRN C[C@@H](CCO)Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000077987737 177314602 /nfs/dbraw/zinc/31/46/02/177314602.db2.gz QJMWSTTXRRDNHP-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N(CC(F)F)C1CC1 ZINC000078544708 177352179 /nfs/dbraw/zinc/35/21/79/177352179.db2.gz IUJWHJNZYQEVOA-UHFFFAOYSA-N 0 0 285.250 2.856 20 5 CFBDRN C[C@@H](O)[C@H](C)Sc1ncc([N+](=O)[O-])cc1Cl ZINC000078582276 177356033 /nfs/dbraw/zinc/35/60/33/177356033.db2.gz NQQZJJHQYYVXPI-RITPCOANSA-N 0 0 262.718 2.505 20 5 CFBDRN CN(CC(C)(C)O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000078727624 177377528 /nfs/dbraw/zinc/37/75/28/177377528.db2.gz RYSWRFOTNRDXHF-UHFFFAOYSA-N 0 0 292.257 2.821 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1cc([N+](=O)[O-])ccc1OC ZINC000078829074 177395073 /nfs/dbraw/zinc/39/50/73/177395073.db2.gz VJJKFORPJYMRAW-VIFPVBQESA-N 0 0 299.348 2.646 20 5 CFBDRN COC(=O)[C@@H](C)CSc1ccc(F)cc1[N+](=O)[O-] ZINC000078837159 177396642 /nfs/dbraw/zinc/39/66/42/177396642.db2.gz QLFJGZLUQSTTAB-ZETCQYMHSA-N 0 0 273.285 2.635 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000079008777 177414995 /nfs/dbraw/zinc/41/49/95/177414995.db2.gz RLKLZMILSNTUSX-YFKPBYRVSA-N 0 0 276.264 2.563 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C(C)(C)C1 ZINC000266927151 296914202 /nfs/dbraw/zinc/91/42/02/296914202.db2.gz RLWBGLXMBMWMCN-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H]1CCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000302440696 287360046 /nfs/dbraw/zinc/36/00/46/287360046.db2.gz NABHKOMBJPUYHL-SNVBAGLBSA-N 0 0 261.325 2.799 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000187398639 287360857 /nfs/dbraw/zinc/36/08/57/287360857.db2.gz BIMPMVTXWMIQPC-NOZJJQNGSA-N 0 0 277.324 2.559 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])o2)[C@H]1C ZINC000362128330 287397697 /nfs/dbraw/zinc/39/76/97/287397697.db2.gz FEUQKZUNKVTXDE-VWYCJHECSA-N 0 0 280.324 2.990 20 5 CFBDRN Cc1c(Cc2nc(-c3ccn(C)c3)no2)cccc1[N+](=O)[O-] ZINC000347359744 226133914 /nfs/dbraw/zinc/13/39/14/226133914.db2.gz DVDYKORRERMICN-UHFFFAOYSA-N 0 0 298.302 2.883 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)C2CCCCC2)n1 ZINC000191633512 227083212 /nfs/dbraw/zinc/08/32/12/227083212.db2.gz CLQDKCRYUFNXSM-JTQLQIEISA-N 0 0 294.355 2.510 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCCCC2(C)C)n1 ZINC000191667469 227121730 /nfs/dbraw/zinc/12/17/30/227121730.db2.gz WAHRTJTZCKASKH-NSHDSACASA-N 0 0 294.355 2.510 20 5 CFBDRN CN(C)C(=O)c1ccc(NCc2cccc([N+](=O)[O-])c2)cc1 ZINC000192775354 227287866 /nfs/dbraw/zinc/28/78/66/227287866.db2.gz JHUWNVIBRMUFKO-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@@H]1C ZINC000131308860 287569039 /nfs/dbraw/zinc/56/90/39/287569039.db2.gz OMZJFEWYCANEES-ZJUUUORDSA-N 0 0 280.299 2.852 20 5 CFBDRN COc1cc(N[C@@H]2CS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000397752157 270319452 /nfs/dbraw/zinc/31/94/52/270319452.db2.gz DUEYUWPDKUFCSB-WPRPVWTQSA-N 0 0 268.338 2.909 20 5 CFBDRN Cc1cc(NC[C@@H](CO)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000394114411 260067554 /nfs/dbraw/zinc/06/75/54/260067554.db2.gz QWMJTABSXHFZPD-JTQLQIEISA-N 0 0 270.304 2.719 20 5 CFBDRN CC[C@@](C)(CNc1nc(C)ccc1[N+](=O)[O-])OC ZINC000323066251 260143796 /nfs/dbraw/zinc/14/37/96/260143796.db2.gz VGKSNBHJEKOBJM-LBPRGKRZSA-N 0 0 253.302 2.525 20 5 CFBDRN C[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)c1cncc(F)c1 ZINC000089513216 260184708 /nfs/dbraw/zinc/18/47/08/260184708.db2.gz XSLWUCQLCXXPPK-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3ncccc23)CO1 ZINC000402194588 260245660 /nfs/dbraw/zinc/24/56/60/260245660.db2.gz FUAGMUFLEKKZEE-UWVGGRQHSA-N 0 0 273.292 2.732 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000313169774 260255684 /nfs/dbraw/zinc/25/56/84/260255684.db2.gz VMZRZFLLNZLKLY-WPRPVWTQSA-N 0 0 273.720 2.605 20 5 CFBDRN Cc1nc(NCCOCC2CCCC2)ccc1[N+](=O)[O-] ZINC000313471053 260297499 /nfs/dbraw/zinc/29/74/99/260297499.db2.gz OUBZQXQAGLXYJT-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Cc1[nH]nc(NC(=O)C=Cc2cccc([N+](=O)[O-])c2)c1C ZINC000313473303 260297701 /nfs/dbraw/zinc/29/77/01/260297701.db2.gz QTJORUFGMQFTGP-SREVYHEPSA-N 0 0 286.291 2.587 20 5 CFBDRN CSCCCn1c(C)nc([N+](=O)[O-])c1Br ZINC000078964124 260302636 /nfs/dbraw/zinc/30/26/36/260302636.db2.gz QEXDUXLULXMGKR-UHFFFAOYSA-N 0 0 294.174 2.615 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000084204994 260361099 /nfs/dbraw/zinc/36/10/99/260361099.db2.gz GOECPJOOGUWDNS-UHFFFAOYSA-N 0 0 285.303 2.652 20 5 CFBDRN CC(C)(C)OCCNc1ncc([N+](=O)[O-])cc1Cl ZINC000308529947 260388164 /nfs/dbraw/zinc/38/81/64/260388164.db2.gz OGWSZJIKNHTHPR-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN CC=CC=CC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089421210 260440518 /nfs/dbraw/zinc/44/05/18/260440518.db2.gz KWIVPPUZIBEKDY-MQQKCMAXSA-N 0 0 272.264 2.542 20 5 CFBDRN C[C@@H](CO)CSc1ccc2ncccc2c1[N+](=O)[O-] ZINC000091540836 260446344 /nfs/dbraw/zinc/44/63/44/260446344.db2.gz GXYGBECVWHUYNX-VIFPVBQESA-N 0 0 278.333 2.864 20 5 CFBDRN COc1cc(NCCCOCC2CC2)ccc1[N+](=O)[O-] ZINC000172821754 260948477 /nfs/dbraw/zinc/94/84/77/260948477.db2.gz QIICHOXUBRRTMO-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C1CCC1 ZINC000191037393 262188214 /nfs/dbraw/zinc/18/82/14/262188214.db2.gz SIFGDOVZGKVTPX-CQSZACIVSA-N 0 0 289.335 2.582 20 5 CFBDRN CC(C)(NC(=O)/C=C/c1ccc[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000188318482 262289910 /nfs/dbraw/zinc/28/99/10/262289910.db2.gz DUXVJLNVILKZMP-JXMROGBWSA-N 0 0 299.330 2.988 20 5 CFBDRN Nc1c(C(=O)N2CCC23CCCCC3)cccc1[N+](=O)[O-] ZINC000365578414 262371193 /nfs/dbraw/zinc/37/11/93/262371193.db2.gz JYPSFWJZLZBGHW-UHFFFAOYSA-N 0 0 289.335 2.726 20 5 CFBDRN CC(C)(C)C[C@@H](O)CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000291505273 263123713 /nfs/dbraw/zinc/12/37/13/263123713.db2.gz WBXAMXQYZVWUQG-LBPRGKRZSA-N 0 0 280.324 2.721 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCOC1CCCC1 ZINC000195036042 270249698 /nfs/dbraw/zinc/24/96/98/270249698.db2.gz ZDZNPCQOILVWML-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)c1cccc(C)c1 ZINC000514533497 265175303 /nfs/dbraw/zinc/17/53/03/265175303.db2.gz JVVFFZJRZNKDER-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN CC(C)[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000520466828 265830986 /nfs/dbraw/zinc/83/09/86/265830986.db2.gz RITSVPDSMWMVAE-NEPJUHHUSA-N 0 0 293.367 2.878 20 5 CFBDRN COc1ccc(OCc2nc(C(C)C)no2)c([N+](=O)[O-])c1 ZINC000029140055 270223946 /nfs/dbraw/zinc/22/39/46/270223946.db2.gz PKOXYCMLANHAGD-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN O=C(Nc1nccc2c1cccc2[N+](=O)[O-])[C@@H]1CC12CC2 ZINC000827701575 782041496 /nfs/dbraw/zinc/04/14/96/782041496.db2.gz NDTXXAONCDUGMN-NSHDSACASA-N 0 0 283.287 2.882 20 5 CFBDRN C[C@@H](NC(=O)OCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000192861661 270235009 /nfs/dbraw/zinc/23/50/09/270235009.db2.gz NSHCDXALIDCDEJ-SECBINFHSA-N 0 0 264.281 2.792 20 5 CFBDRN Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])cnc2C)c(C)c1 ZINC000193066621 270236281 /nfs/dbraw/zinc/23/62/81/270236281.db2.gz OLSYIYVBBCTING-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1conc1NC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000934997509 649863503 /nfs/dbraw/zinc/86/35/03/649863503.db2.gz RCQYNWVJFHPNQX-VXGBXAGGSA-N 0 0 287.275 2.633 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1CCC[C@](C)(C(=O)[O-])C1 ZINC000227832198 270258128 /nfs/dbraw/zinc/25/81/28/270258128.db2.gz PKLGDKDXBIIRBK-ABAIWWIYSA-N 0 0 292.335 2.843 20 5 CFBDRN Cc1ccc(CNc2ncc([N+](=O)[O-])cc2C)nc1C ZINC000313813611 270291188 /nfs/dbraw/zinc/29/11/88/270291188.db2.gz BMCLPEQBPNKYTK-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CC[C@@](C)(CNc1ncc(Cl)cc1[N+](=O)[O-])OC ZINC000322920475 270297674 /nfs/dbraw/zinc/29/76/74/270297674.db2.gz KWIFIPXOUOIJQT-NSHDSACASA-N 0 0 273.720 2.870 20 5 CFBDRN CCOc1cc(N[C@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000328255439 270298939 /nfs/dbraw/zinc/29/89/39/270298939.db2.gz PVPDSOFWMUGDHC-ZDUSSCGKSA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@@H]1[C@@H](CO)CCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000395096556 270310769 /nfs/dbraw/zinc/31/07/69/270310769.db2.gz FIUUDHZSSMTXTC-ZYHUDNBSSA-N 0 0 282.315 2.640 20 5 CFBDRN COc1cccc(N[C@@H]2CC23CCOCC3)c1[N+](=O)[O-] ZINC000395641657 270313058 /nfs/dbraw/zinc/31/30/58/270313058.db2.gz DVPCRFRTKDTRQK-GFCCVEGCSA-N 0 0 278.308 2.584 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000397241259 270316320 /nfs/dbraw/zinc/31/63/20/270316320.db2.gz RSCCZWYXUFUMIF-JSGCOSHPSA-N 0 0 277.324 2.540 20 5 CFBDRN COc1cccc(N[C@@H]2CS[C@H](C)C2)c1[N+](=O)[O-] ZINC000397777431 270319589 /nfs/dbraw/zinc/31/95/89/270319589.db2.gz NPQIAZYVNIMFSV-BDAKNGLRSA-N 0 0 268.338 2.909 20 5 CFBDRN CCOc1cccc(NCc2cnc(C)o2)c1[N+](=O)[O-] ZINC000397908435 270320281 /nfs/dbraw/zinc/32/02/81/270320281.db2.gz XPEPANFYPFEBCV-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1csnn1 ZINC000398366698 270321690 /nfs/dbraw/zinc/32/16/90/270321690.db2.gz GDQNMUPPUPHZHZ-RKDXNWHRSA-N 0 0 278.337 2.858 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CCC2(CCO2)CC1 ZINC000515341299 270368417 /nfs/dbraw/zinc/36/84/17/270368417.db2.gz LTOLMMRXQNDBMO-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN COC1(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000515421697 270371260 /nfs/dbraw/zinc/37/12/60/270371260.db2.gz BUOVXGWTIFWFNR-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN CCC(CC)N(C(=O)c1cc([N+](=O)[O-])cn1C)C1CC1 ZINC000516533033 270383316 /nfs/dbraw/zinc/38/33/16/270383316.db2.gz XMMRWZIBAFOTKN-UHFFFAOYSA-N 0 0 279.340 2.727 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000526952546 270487974 /nfs/dbraw/zinc/48/79/74/270487974.db2.gz RBXPSYDPOPDFRP-WDEREUQCSA-N 0 0 279.340 2.632 20 5 CFBDRN CC[C@](C)(NC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000531332130 270831825 /nfs/dbraw/zinc/83/18/25/270831825.db2.gz PFSDBMPSAYAAQZ-VIFPVBQESA-N 0 0 280.202 2.649 20 5 CFBDRN Cc1ccccc1[C@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000531334130 270832003 /nfs/dbraw/zinc/83/20/03/270832003.db2.gz OXGQLRRLAYSQFE-ZDUSSCGKSA-N 0 0 287.319 2.752 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000531536388 270838819 /nfs/dbraw/zinc/83/88/19/270838819.db2.gz DDQBEIFMZHQCAY-JSGCOSHPSA-N 0 0 291.351 2.973 20 5 CFBDRN CC(C)C[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000531813134 270843285 /nfs/dbraw/zinc/84/32/85/270843285.db2.gz RELNYIRSJFPFHJ-GFCCVEGCSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1nc(NC[C@H]2CCc3ccccc3N2)ccc1[N+](=O)[O-] ZINC000531853805 270844934 /nfs/dbraw/zinc/84/49/34/270844934.db2.gz HIXKVIMCHVEGBD-CYBMUJFWSA-N 0 0 298.346 2.559 20 5 CFBDRN CC[C@H](C)[C@@H]1CCCCN1c1ncc([N+](=O)[O-])cn1 ZINC000274219645 287687871 /nfs/dbraw/zinc/68/78/71/287687871.db2.gz YNZITLZSCUJJAV-JQWIXIFHSA-N 0 0 264.329 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3c(F)cc(F)cc3C2)nc1 ZINC000301655583 275036956 /nfs/dbraw/zinc/03/69/56/275036956.db2.gz APRNXWNRUCYBMM-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN O=[N+]([O-])c1cccc(CN[C@H]2COCc3ccccc32)c1 ZINC000225997940 275039384 /nfs/dbraw/zinc/03/93/84/275039384.db2.gz LMBHRYDCUTUZLT-INIZCTEOSA-N 0 0 284.315 2.956 20 5 CFBDRN CC(=O)c1ccc(N2CCO[C@H]3CCC[C@H]32)c([N+](=O)[O-])c1 ZINC000227154047 275504010 /nfs/dbraw/zinc/50/40/10/275504010.db2.gz TVBPCQLYQZAOEA-HIFRSBDPSA-N 0 0 290.319 2.555 20 5 CFBDRN CC(C)(CCC(=O)NCCc1ccc(Cl)cc1)[N+](=O)[O-] ZINC000294242941 275547112 /nfs/dbraw/zinc/54/71/12/275547112.db2.gz FCITVQKPRUUWBX-UHFFFAOYSA-N 0 0 298.770 2.834 20 5 CFBDRN CC(C)C[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)CCO1 ZINC000271978899 275590334 /nfs/dbraw/zinc/59/03/34/275590334.db2.gz FJMPCKGZRGDVMN-WDEREUQCSA-N 0 0 296.323 2.511 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@](C)(F)C1 ZINC000361045418 275806211 /nfs/dbraw/zinc/80/62/11/275806211.db2.gz LGXNYQISVVRXJG-ZDUSSCGKSA-N 0 0 266.272 2.736 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC[C@@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000271026705 276040719 /nfs/dbraw/zinc/04/07/19/276040719.db2.gz ZVYBYBPSVOBYQA-NXEZZACHSA-N 0 0 294.355 2.559 20 5 CFBDRN CCC[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000273400427 276047349 /nfs/dbraw/zinc/04/73/49/276047349.db2.gz MCOOURMPUOCMHD-JTQLQIEISA-N 0 0 265.313 2.718 20 5 CFBDRN CC(C)c1ccc(C(=O)NC2=NCCS2)cc1[N+](=O)[O-] ZINC000190570398 276050428 /nfs/dbraw/zinc/05/04/28/276050428.db2.gz LPUPGYCGUAJJLO-UHFFFAOYSA-N 0 0 293.348 2.551 20 5 CFBDRN CC1(C)CCC[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000360857980 276111146 /nfs/dbraw/zinc/11/11/46/276111146.db2.gz IXOHTVNYXHWZJO-JTQLQIEISA-N 0 0 291.351 2.733 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)Cc2ccccc21 ZINC000331934146 276127836 /nfs/dbraw/zinc/12/78/36/276127836.db2.gz GNAPSRCBTZTHGG-UHFFFAOYSA-N 0 0 299.330 2.857 20 5 CFBDRN CC1(C)C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278155643 276143699 /nfs/dbraw/zinc/14/36/99/276143699.db2.gz BQHHYYZSTNPAKG-JTQLQIEISA-N 0 0 291.351 2.932 20 5 CFBDRN CCC[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000270290244 276290390 /nfs/dbraw/zinc/29/03/90/276290390.db2.gz UURVYSBZZDROBE-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])c(N)c2F)C[C@@H]1C ZINC000450743413 276359643 /nfs/dbraw/zinc/35/96/43/276359643.db2.gz HFUGIWSNQYYQBW-CABZTGNLSA-N 0 0 297.330 2.567 20 5 CFBDRN CC[C@@H](C)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162020985 276518421 /nfs/dbraw/zinc/51/84/21/276518421.db2.gz HOZGGEYISJVKMG-SNVBAGLBSA-N 0 0 262.309 2.920 20 5 CFBDRN CC[C@@H](O)CCCNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000437707045 276535338 /nfs/dbraw/zinc/53/53/38/276535338.db2.gz TWJHVWRODWCXGH-GFCCVEGCSA-N 0 0 295.339 2.576 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000479649052 276655673 /nfs/dbraw/zinc/65/56/73/276655673.db2.gz RIXGPRPHFHNXTA-XYPYZODXSA-N 0 0 279.340 2.632 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000174760338 276659709 /nfs/dbraw/zinc/65/97/09/276659709.db2.gz VQKNQSNUAHIHRH-LBPRGKRZSA-N 0 0 280.349 2.562 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])CCO1 ZINC000420615922 276669100 /nfs/dbraw/zinc/66/91/00/276669100.db2.gz FUFPALFPTLHMNA-RYUDHWBXSA-N 0 0 279.340 2.976 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H](C)c1cncc(F)c1 ZINC000359572288 276718443 /nfs/dbraw/zinc/71/84/43/276718443.db2.gz AMYVBJYQOZVYDY-MRVPVSSYSA-N 0 0 293.302 2.827 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C[C@@H]1C ZINC000276838922 277093015 /nfs/dbraw/zinc/09/30/15/277093015.db2.gz FZWCHPIYEPYJAC-NWDGAFQWSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(=O)c1cc(N2CCO[C@@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000230741523 277106271 /nfs/dbraw/zinc/10/62/71/277106271.db2.gz FWQJELWQZUPPAM-LSDHHAIUSA-N 0 0 290.319 2.555 20 5 CFBDRN COCC1=CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000274690902 277200615 /nfs/dbraw/zinc/20/06/15/277200615.db2.gz JXOQIPXXGSUVOT-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN CN1Cc2cc(OCc3ccccc3[N+](=O)[O-])ccc2C1=O ZINC000350177552 277208009 /nfs/dbraw/zinc/20/80/09/277208009.db2.gz SBMOBNGRDYIWJA-UHFFFAOYSA-N 0 0 298.298 2.759 20 5 CFBDRN CC(C)(C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000336613807 277256307 /nfs/dbraw/zinc/25/63/07/277256307.db2.gz LDVSCUFIWJQESG-SNVBAGLBSA-N 0 0 265.313 2.574 20 5 CFBDRN COC[C@H]1CCCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000454345677 277265898 /nfs/dbraw/zinc/26/58/98/277265898.db2.gz GBAAHZKDJFUBJR-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN CO[C@@H](C(=O)N1CCCc2c([N+](=O)[O-])cccc21)C(C)C ZINC000332698158 277274615 /nfs/dbraw/zinc/27/46/15/277274615.db2.gz UEPOESNZLXNIEB-CQSZACIVSA-N 0 0 292.335 2.545 20 5 CFBDRN CC(C)(C)c1nnnn1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000115965806 277279949 /nfs/dbraw/zinc/27/99/49/277279949.db2.gz SWAZJRMRNZRQDV-UHFFFAOYSA-N 0 0 295.730 2.581 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000374657766 277285722 /nfs/dbraw/zinc/28/57/22/277285722.db2.gz FFBBYOFMUYCCRC-LLVKDONJSA-N 0 0 295.295 2.508 20 5 CFBDRN CO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)[C@H](C)C1 ZINC000281728922 277294300 /nfs/dbraw/zinc/29/43/00/277294300.db2.gz WBTIGSYEJBBHQZ-PSASIEDQSA-N 0 0 285.731 2.647 20 5 CFBDRN CC(C)(CCNC(=O)c1c(N)cccc1[N+](=O)[O-])C1CC1 ZINC000293998561 277297123 /nfs/dbraw/zinc/29/71/23/277297123.db2.gz AIUFNENSQLCIAK-UHFFFAOYSA-N 0 0 291.351 2.733 20 5 CFBDRN CO[C@@](C)(CNc1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CC1 ZINC000292206508 277317295 /nfs/dbraw/zinc/31/72/95/277317295.db2.gz CUWNOSBXNBBYKB-AWEZNQCLSA-N 0 0 290.323 2.698 20 5 CFBDRN CO[C@H]1CCN(c2cc(C)c([N+](=O)[O-])cn2)CC1(C)C ZINC000295708805 277341274 /nfs/dbraw/zinc/34/12/74/277341274.db2.gz JZRSMULTLBGRAJ-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN CO[C@]1(C)CCCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000280200074 277358916 /nfs/dbraw/zinc/35/89/16/277358916.db2.gz GADBPJURHZGGPM-CYBMUJFWSA-N 0 0 286.278 2.878 20 5 CFBDRN CO[C@]1(C)CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000280473694 277359398 /nfs/dbraw/zinc/35/93/98/277359398.db2.gz NEWLNYDLTORANT-GFCCVEGCSA-N 0 0 285.731 2.649 20 5 CFBDRN COc1cc(CN2C[C@@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000351971139 277377522 /nfs/dbraw/zinc/37/75/22/277377522.db2.gz POSAECNEGLTZPK-LLVKDONJSA-N 0 0 294.351 2.603 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCCSCC2)c1 ZINC000266440184 277431393 /nfs/dbraw/zinc/43/13/93/277431393.db2.gz VVSKNMIAOCYJJQ-UHFFFAOYSA-N 0 0 268.338 2.547 20 5 CFBDRN CS[C@@H]1CCCCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000434948346 277518159 /nfs/dbraw/zinc/51/81/59/277518159.db2.gz WTABOCYHVWRYJF-LLVKDONJSA-N 0 0 267.354 2.712 20 5 CFBDRN C[C@@H](CF)Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1 ZINC000340961697 277566063 /nfs/dbraw/zinc/56/60/63/277566063.db2.gz DYXLVMGIRIYDPY-VIFPVBQESA-N 0 0 293.273 2.988 20 5 CFBDRN COC[C@]1(C)CCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000294965553 277609198 /nfs/dbraw/zinc/60/91/98/277609198.db2.gz NLHLATBRWPMUHU-CQSZACIVSA-N 0 0 264.325 2.766 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1C[C@H](C)[C@@H](C)C1 ZINC000267599418 277611349 /nfs/dbraw/zinc/61/13/49/277611349.db2.gz FFKKSOUCJJCSJY-QWRGUYRKSA-N 0 0 264.325 2.833 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000071208633 277713623 /nfs/dbraw/zinc/71/36/23/277713623.db2.gz ZMECBBCMKZOOIW-HQJQHLMTSA-N 0 0 284.262 2.791 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000263953723 277739276 /nfs/dbraw/zinc/73/92/76/277739276.db2.gz KMQKZKNOXUUTBX-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000413118499 277759682 /nfs/dbraw/zinc/75/96/82/277759682.db2.gz ZOHPVQAENSIWNE-SSDOTTSWSA-N 0 0 275.230 2.855 20 5 CFBDRN COc1cc(N2CCC(F)(F)C2)c(F)cc1[N+](=O)[O-] ZINC000345117754 277824754 /nfs/dbraw/zinc/82/47/54/277824754.db2.gz PYNGWERZGHZZHT-UHFFFAOYSA-N 0 0 276.214 2.588 20 5 CFBDRN C[C@@H]1CO[C@H](c2ccccc2)CN1c1ccc([N+](=O)[O-])cn1 ZINC000267236238 277947067 /nfs/dbraw/zinc/94/70/67/277947067.db2.gz GNAGWABFCPGRGV-DOMZBBRYSA-N 0 0 299.330 2.956 20 5 CFBDRN COc1ccc2nc(-c3ccc([N+](=O)[O-])cc3F)[nH]c2n1 ZINC000349589777 278000779 /nfs/dbraw/zinc/00/07/79/278000779.db2.gz PMUIYEQEAPRMOC-UHFFFAOYSA-N 0 0 288.238 2.681 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC[C@H](C)C[C@H]2C)c1[N+](=O)[O-] ZINC000269520372 278000805 /nfs/dbraw/zinc/00/08/05/278000805.db2.gz QFJGTEJVCMLCEA-VHSXEESVSA-N 0 0 294.355 2.702 20 5 CFBDRN COc1cccc(-c2nc3cc([N+](=O)[O-])ccc3n2C)n1 ZINC000358122741 278006270 /nfs/dbraw/zinc/00/62/70/278006270.db2.gz MEXODDDFIFFAIL-UHFFFAOYSA-N 0 0 284.275 2.552 20 5 CFBDRN COc1ncccc1-c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000356262961 278093741 /nfs/dbraw/zinc/09/37/41/278093741.db2.gz QWDNYHSFWOSEPP-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CC(C)c1nnc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000271766898 278100924 /nfs/dbraw/zinc/10/09/24/278100924.db2.gz PYDLOBCORXOWBJ-JTQLQIEISA-N 0 0 290.323 2.952 20 5 CFBDRN C[C@@]1(F)CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000294927687 278125107 /nfs/dbraw/zinc/12/51/07/278125107.db2.gz KJMBDJXKWMRNDW-LLVKDONJSA-N 0 0 273.695 2.972 20 5 CFBDRN CC1(C)CCCN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000112683234 278212100 /nfs/dbraw/zinc/21/21/00/278212100.db2.gz ROMXWXQTFNQJBI-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CC1(C)CCCN(c2ccc3ncc([N+](=O)[O-])n3n2)CC1 ZINC000302010867 278216377 /nfs/dbraw/zinc/21/63/77/278216377.db2.gz WGOAXWAVBBRGEZ-UHFFFAOYSA-N 0 0 289.339 2.654 20 5 CFBDRN CC1(C)CCCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000077518321 278217729 /nfs/dbraw/zinc/21/77/29/278217729.db2.gz HQPHESRWCDNHTB-UHFFFAOYSA-N 0 0 277.324 2.679 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000339501559 278353952 /nfs/dbraw/zinc/35/39/52/278353952.db2.gz HHWAUVXDYZEDDS-JQWIXIFHSA-N 0 0 262.309 2.761 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)c1ccn(C)n1 ZINC000459799153 278379088 /nfs/dbraw/zinc/37/90/88/278379088.db2.gz VAIVBRRFPCCXIU-GHMZBOCLSA-N 0 0 274.324 2.740 20 5 CFBDRN CC1(C)[C@@H]2CN(c3nc4cc([N+](=O)[O-])ccc4[nH]3)C[C@@H]21 ZINC000278510069 278434101 /nfs/dbraw/zinc/43/41/01/278434101.db2.gz RHFGSQPGAVMQOB-AOOOYVTPSA-N 0 0 272.308 2.563 20 5 CFBDRN C[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H]1C ZINC000301734180 278446655 /nfs/dbraw/zinc/44/66/55/278446655.db2.gz GKIVZYCTJSJRCU-YUMQZZPRSA-N 0 0 255.705 2.735 20 5 CFBDRN C[C@H]1COc2ccccc2CN1c1ccc([N+](=O)[O-])nc1 ZINC000450434131 278464356 /nfs/dbraw/zinc/46/43/56/278464356.db2.gz FYBGHRSTCNFCFE-NSHDSACASA-N 0 0 285.303 2.777 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])s1 ZINC000336978722 278534470 /nfs/dbraw/zinc/53/44/70/278534470.db2.gz BCSZODJGXITRPY-WDSKDSINSA-N 0 0 281.259 2.828 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000408102118 278601335 /nfs/dbraw/zinc/60/13/35/278601335.db2.gz AEUKYXSCGWGVKU-OCAPTIKFSA-N 0 0 278.337 2.977 20 5 CFBDRN CCC1(CO)CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000286075919 278641435 /nfs/dbraw/zinc/64/14/35/278641435.db2.gz BFBPYUKJWVHKHG-UHFFFAOYSA-N 0 0 282.315 2.723 20 5 CFBDRN Cc1cc(N[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000052007415 278734267 /nfs/dbraw/zinc/73/42/67/278734267.db2.gz KZKODCRNOSGLLT-DGCLKSJQSA-N 0 0 250.298 2.619 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCOC[C@@H]1C ZINC000277758253 278746607 /nfs/dbraw/zinc/74/66/07/278746607.db2.gz VIFUJXREZYPNHO-GXFFZTMASA-N 0 0 250.298 2.740 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C1CC=CC1 ZINC000119558346 279012849 /nfs/dbraw/zinc/01/28/49/279012849.db2.gz WBUNJYIQHZMVCT-SNVBAGLBSA-N 0 0 272.304 2.839 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)c1cccnc1 ZINC000348906091 279013090 /nfs/dbraw/zinc/01/30/90/279013090.db2.gz FLQSILFZDQZCBD-SNVBAGLBSA-N 0 0 283.287 2.581 20 5 CFBDRN Cc1cnc(NC2CCSCC2)c([N+](=O)[O-])c1 ZINC000078656919 279019834 /nfs/dbraw/zinc/01/98/34/279019834.db2.gz WHFDLCGRIHPCPU-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN CC(C)C[C@@H]1COCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153907355 279043628 /nfs/dbraw/zinc/04/36/28/279043628.db2.gz PCXKNSVBHMQSSZ-LLVKDONJSA-N 0 0 299.758 2.895 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@H]2c2cccnc2)ncc1[N+](=O)[O-] ZINC000295682133 279088808 /nfs/dbraw/zinc/08/88/08/279088808.db2.gz MJLSIYIAZISZNC-CYBMUJFWSA-N 0 0 299.334 2.676 20 5 CFBDRN Cc1nc(N2CC[C@@H](C)C(C)(C)C2)ncc1[N+](=O)[O-] ZINC000295039362 279092752 /nfs/dbraw/zinc/09/27/52/279092752.db2.gz NSTZOAVQPFOMQS-SECBINFHSA-N 0 0 264.329 2.566 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000268781789 279107172 /nfs/dbraw/zinc/10/71/72/279107172.db2.gz CLYKNMJRSRYPSS-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCCCO1 ZINC000460067528 279155813 /nfs/dbraw/zinc/15/58/13/279155813.db2.gz SVAGQWMQHDZNJE-ZDUSSCGKSA-N 0 0 282.271 2.632 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1(F)F ZINC000421264624 279178307 /nfs/dbraw/zinc/17/83/07/279178307.db2.gz SOYGIXHUZISIHR-NSHDSACASA-N 0 0 281.218 2.942 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCS1 ZINC000276920497 279728914 /nfs/dbraw/zinc/72/89/14/279728914.db2.gz OYGHZTNNIXOPCQ-VIFPVBQESA-N 0 0 297.336 2.835 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000263757872 279728977 /nfs/dbraw/zinc/72/89/77/279728977.db2.gz UHRQZAGSMGUUJS-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN O=C(C1=CCCCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000125964253 279733155 /nfs/dbraw/zinc/73/31/55/279733155.db2.gz HEGHXHNRVUTZQX-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN C[C@H]1CC[C@H](C)N1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000408102119 279755025 /nfs/dbraw/zinc/75/50/25/279755025.db2.gz AEUKYXSCGWGVKU-YUMQZZPRSA-N 0 0 278.337 2.977 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000334385236 279761846 /nfs/dbraw/zinc/76/18/46/279761846.db2.gz MVJYIQIJCPFSID-GZMMTYOYSA-N 0 0 274.276 2.869 20 5 CFBDRN O=C(N1CCc2cc([N+](=O)[O-])ccc21)[C@]12C[C@H]1CCC2 ZINC000421417227 279810252 /nfs/dbraw/zinc/81/02/52/279810252.db2.gz BNUJIYPHKMYKEY-IAQYHMDHSA-N 0 0 272.304 2.674 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)[C@@H](C)CO1 ZINC000298294351 279882962 /nfs/dbraw/zinc/88/29/62/279882962.db2.gz IXHUFXSLOLMYME-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@H]1COc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000175365487 279927829 /nfs/dbraw/zinc/92/78/29/279927829.db2.gz PIFSLGHOKLPXHY-VIFPVBQESA-N 0 0 288.259 2.616 20 5 CFBDRN O=C(N[C@H]1CCCOCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000271892774 279954847 /nfs/dbraw/zinc/95/48/47/279954847.db2.gz UIYZKZHYAXLTBI-VIFPVBQESA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1csc([N+](=O)[O-])c1 ZINC000458252661 279959343 /nfs/dbraw/zinc/95/93/43/279959343.db2.gz GRLUUHXSRCMQGE-JEZHCXPESA-N 0 0 266.322 2.575 20 5 CFBDRN CCOc1cccc(N2CCC[C@@H](OCC)C2)c1[N+](=O)[O-] ZINC000302099696 279971703 /nfs/dbraw/zinc/97/17/03/279971703.db2.gz KXZAYHSNUBFPSL-GFCCVEGCSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@H]1C[C@H](c2ccsc2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000373391950 280018810 /nfs/dbraw/zinc/01/88/10/280018810.db2.gz KFBANEIDSLMGQC-ONGXEEELSA-N 0 0 290.348 2.829 20 5 CFBDRN C[C@H]1C[C@H](c2noc(-c3ccc([N+](=O)[O-])cc3)n2)CCO1 ZINC000357476218 280019279 /nfs/dbraw/zinc/01/92/79/280019279.db2.gz UEGPEPWHMHYGAT-GXSJLCMTSA-N 0 0 289.291 2.927 20 5 CFBDRN C[C@H]1OCCN(c2ccc([N+](=O)[O-])c3ncccc32)[C@H]1C ZINC000413189827 280056601 /nfs/dbraw/zinc/05/66/01/280056601.db2.gz AOVCHJQIGVFKGY-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@H]1OCC[C@]1(C)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000312498747 280069641 /nfs/dbraw/zinc/06/96/41/280069641.db2.gz XLMKYFWSMQPAIB-KRTXAFLBSA-N 0 0 294.336 2.579 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000301244549 280128055 /nfs/dbraw/zinc/12/80/55/280128055.db2.gz POPICIQRBGRLQS-NOZJJQNGSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@@H](NC(=O)c1c(N)cccc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000416275811 280181592 /nfs/dbraw/zinc/18/15/92/280181592.db2.gz LUKRQOZMISCLBZ-GXSJLCMTSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1c(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cccc1N(C)C ZINC000343326861 280247613 /nfs/dbraw/zinc/24/76/13/280247613.db2.gz RRDVDBFZOVLEOQ-UHFFFAOYSA-N 0 0 288.307 2.550 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)on1 ZINC000345692387 280286590 /nfs/dbraw/zinc/28/65/90/280286590.db2.gz KYXBSUVIVAXVIR-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1cc(C(=O)N2CC(C(F)(F)F)C2)ccc1[N+](=O)[O-] ZINC000336473204 280321347 /nfs/dbraw/zinc/32/13/47/280321347.db2.gz AHUKJHCDCVACBC-UHFFFAOYSA-N 0 0 288.225 2.538 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1CCO[C@H]1C1CC1 ZINC000230309001 280354034 /nfs/dbraw/zinc/35/40/34/280354034.db2.gz XZDGYRWLBLEQFO-YPMHNXCESA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(N2CCC(O)CC2)c(Cl)c1 ZINC000226740426 280357520 /nfs/dbraw/zinc/35/75/20/280357520.db2.gz HNJJILXKVCATLC-UHFFFAOYSA-N 0 0 291.134 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@]2(CCOC2)C1 ZINC000450887051 280358904 /nfs/dbraw/zinc/35/89/04/280358904.db2.gz XWIYQDVYHKCATM-ZDUSSCGKSA-N 0 0 297.742 2.650 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CC[C@@H](F)C1 ZINC000340982013 280359225 /nfs/dbraw/zinc/35/92/25/280359225.db2.gz VMAPYHFXDZMIIB-SFYZADRCSA-N 0 0 259.668 2.946 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCCCO1 ZINC000276674193 280361338 /nfs/dbraw/zinc/36/13/38/280361338.db2.gz UDICXNBQWGXQTP-UHFFFAOYSA-N 0 0 259.265 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ccncc3)CC2)cn1 ZINC000428663531 280365636 /nfs/dbraw/zinc/36/56/36/280365636.db2.gz JQORFGZMAWLUBO-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCOCC2)c(C(F)(F)F)c1 ZINC000374030324 280366033 /nfs/dbraw/zinc/36/60/33/280366033.db2.gz FCVDDGGDABHOMV-UHFFFAOYSA-N 0 0 290.241 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2[C@H]2CCCO2)cc1 ZINC000286322982 280366960 /nfs/dbraw/zinc/36/69/60/280366960.db2.gz FVMNXXIJRJGOFL-UONOGXRCSA-N 0 0 262.309 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC3(C2)CCCCCC3)nc1 ZINC000154540538 280366966 /nfs/dbraw/zinc/36/69/66/280366966.db2.gz SYVPKYVKCWPXAN-UHFFFAOYSA-N 0 0 291.351 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3OCCC[C@@H]3C2)c(F)c1 ZINC000152396587 280367660 /nfs/dbraw/zinc/36/76/60/280367660.db2.gz GZVIFTOYNVBWSP-QMTHXVAHSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(C2)OCc2ccccc23)nc1 ZINC000302232635 280367684 /nfs/dbraw/zinc/36/76/84/280367684.db2.gz CTHSJUQCFLWNRE-INIZCTEOSA-N 0 0 297.314 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3OCCC[C@@H]3C2)c(F)c1 ZINC000152396674 280367688 /nfs/dbraw/zinc/36/76/88/280367688.db2.gz GZVIFTOYNVBWSP-YGRLFVJLSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](F)[C@@H](F)C2)c2cccnc21 ZINC000413324151 280368210 /nfs/dbraw/zinc/36/82/10/280368210.db2.gz ZEJSKBACTPILGL-AOOOYVTPSA-N 0 0 279.246 2.639 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)cc1 ZINC000268419719 280368638 /nfs/dbraw/zinc/36/86/38/280368638.db2.gz WXWRCSAMZHQAAV-MCIONIFRSA-N 0 0 262.309 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1C[C@H]3OCCC[C@H]13)CCN2 ZINC000302873809 280370688 /nfs/dbraw/zinc/37/06/88/280370688.db2.gz DLJUSPUSPMYRAG-GAJTVXKRSA-N 0 0 289.335 2.542 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC[C@H](F)C3)ccnc21 ZINC000450602820 280373387 /nfs/dbraw/zinc/37/33/87/280373387.db2.gz FDIBXPAUKYBQEZ-VIFPVBQESA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3CCC[C@H]3F)c21 ZINC000338845894 280374365 /nfs/dbraw/zinc/37/43/65/280374365.db2.gz VOEOLEPHTXLALU-BDAKNGLRSA-N 0 0 276.271 2.841 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CNC1(C(F)F)CC1 ZINC000337278436 280374411 /nfs/dbraw/zinc/37/44/11/280374411.db2.gz HMMRWJZVALPNJS-HWKANZROSA-N 0 0 268.263 2.995 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cncnc1 ZINC000341338038 280398232 /nfs/dbraw/zinc/39/82/32/280398232.db2.gz AVLVDLJHTDHMTN-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCc2ccccc21 ZINC000048051786 280429614 /nfs/dbraw/zinc/42/96/14/280429614.db2.gz OUWANNNXWJJEMF-UHFFFAOYSA-N 0 0 297.314 2.867 20 5 CFBDRN CC(C)[C@H]1CN(c2ccccc2[N+](=O)[O-])CCCO1 ZINC000293986971 280434375 /nfs/dbraw/zinc/43/43/75/280434375.db2.gz ISBYDGSRGXTCDY-CQSZACIVSA-N 0 0 264.325 2.846 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000057075501 280452011 /nfs/dbraw/zinc/45/20/11/280452011.db2.gz KJVIFDOOQKFVKK-UWVGGRQHSA-N 0 0 250.298 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCn2cccn2)c(Cl)c1 ZINC000060533279 280457839 /nfs/dbraw/zinc/45/78/39/280457839.db2.gz LWRUWMMZDAQEHR-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCCn1cccn1 ZINC000060534169 280458433 /nfs/dbraw/zinc/45/84/33/280458433.db2.gz IRZQPNUMDSDIAI-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN O=C(Nc1ccc(Cl)cn1)c1ccc([N+](=O)[O-])s1 ZINC000060658197 280459379 /nfs/dbraw/zinc/45/93/79/280459379.db2.gz GRMKAZGHFDDQFK-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN Cc1ccccc1CNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067536698 280472880 /nfs/dbraw/zinc/47/28/80/280472880.db2.gz URXIIRMHUVCWGH-UHFFFAOYSA-N 0 0 299.330 2.903 20 5 CFBDRN Cc1ccoc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078149238 280527381 /nfs/dbraw/zinc/52/73/81/280527381.db2.gz NSINDFUXJSADTE-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN Cc1cncc(NC(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000080375945 280538765 /nfs/dbraw/zinc/53/87/65/280538765.db2.gz GYVYYTLGNJQHRA-UHFFFAOYSA-N 0 0 272.264 2.942 20 5 CFBDRN Cc1c(NC(=O)C[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000086969817 280555386 /nfs/dbraw/zinc/55/53/86/280555386.db2.gz WUZJYMSBZQLGMW-NSHDSACASA-N 0 0 278.308 2.801 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCCCC1CC1 ZINC000087314804 280557415 /nfs/dbraw/zinc/55/74/15/280557415.db2.gz HHDGPUQXXYBBJQ-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CC[C@H](C)COc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC000088495950 280560709 /nfs/dbraw/zinc/56/07/09/280560709.db2.gz GAXJHTQIYDLSLC-VIFPVBQESA-N 0 0 267.281 2.806 20 5 CFBDRN Cc1cc(N2CC[C@H]3OCCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000301718490 280578516 /nfs/dbraw/zinc/57/85/16/280578516.db2.gz LKYSATPCVRWYPB-IUODEOHRSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1ccn(CCCSCC(C)C)c(=O)c1[N+](=O)[O-] ZINC000119745580 280610071 /nfs/dbraw/zinc/61/00/71/280610071.db2.gz NODQMRDZDLAFOU-UHFFFAOYSA-N 0 0 284.381 2.844 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@@H](C(C)C)C2)cc1[N+](=O)[O-] ZINC000122594942 280627990 /nfs/dbraw/zinc/62/79/90/280627990.db2.gz UTYCLYOIFWFSMJ-GFCCVEGCSA-N 0 0 291.351 2.755 20 5 CFBDRN CCOC(=O)c1cc(NCCCSC)ccc1[N+](=O)[O-] ZINC000126887943 280657571 /nfs/dbraw/zinc/65/75/71/280657571.db2.gz YKNQYKCCQGHJEK-UHFFFAOYSA-N 0 0 298.364 2.937 20 5 CFBDRN C[C@@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])C[C@H](C)O1 ZINC000291584434 288256050 /nfs/dbraw/zinc/25/60/50/288256050.db2.gz GPLFMEQZNNWKSD-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])CCS1 ZINC000287720958 288256230 /nfs/dbraw/zinc/25/62/30/288256230.db2.gz FUIPNECISGZFID-MRVPVSSYSA-N 0 0 256.302 2.676 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cccnc32)C[C@H](C)O1 ZINC000253603646 288262327 /nfs/dbraw/zinc/26/23/27/288262327.db2.gz LWFAPAFOLLQYGG-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN COc1cc(N[C@@H]2CCCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000135804760 280778490 /nfs/dbraw/zinc/77/84/90/280778490.db2.gz YFVAFQLHBDAQIV-DGCLKSJQSA-N 0 0 280.324 2.709 20 5 CFBDRN Cc1cc(CNc2ncc(Cl)cc2[N+](=O)[O-])no1 ZINC000148507163 280793380 /nfs/dbraw/zinc/79/33/80/280793380.db2.gz ADNRAJHTQUAEQH-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN CC1(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000186321098 280845692 /nfs/dbraw/zinc/84/56/92/280845692.db2.gz VXPDWLNEFJTRLT-UHFFFAOYSA-N 0 0 268.700 2.665 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1CCC(C)(C)O1 ZINC000187305549 280854907 /nfs/dbraw/zinc/85/49/07/280854907.db2.gz QDPUPSLWNAVJDE-LBPRGKRZSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCO1 ZINC000190056209 280884424 /nfs/dbraw/zinc/88/44/24/280884424.db2.gz ADZYAZGKSSTGNV-CYBMUJFWSA-N 0 0 292.335 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC(F)F)c(F)c1 ZINC000193616306 280915542 /nfs/dbraw/zinc/91/55/42/280915542.db2.gz LWVAYVODONIEGP-UHFFFAOYSA-N 0 0 264.203 2.775 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000280506473 288278446 /nfs/dbraw/zinc/27/84/46/288278446.db2.gz PSASWKYMZRMIML-PRHODGIISA-N 0 0 297.742 2.647 20 5 CFBDRN Cc1nnsc1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000194756576 280926575 /nfs/dbraw/zinc/92/65/75/280926575.db2.gz NINMXKNDFXBDSS-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CNc1ccc(C(=O)NC[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000230546912 280938006 /nfs/dbraw/zinc/93/80/06/280938006.db2.gz OTQMXGFNEXCCRK-MNOVXSKESA-N 0 0 291.351 2.803 20 5 CFBDRN Cc1ccc([C@@]2(C)CCN(c3ncc([N+](=O)[O-])cn3)C2)cc1 ZINC000369561095 280971993 /nfs/dbraw/zinc/97/19/93/280971993.db2.gz MPNZXFWQNQRCCA-INIZCTEOSA-N 0 0 298.346 2.861 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H](F)C1 ZINC000335418421 281016896 /nfs/dbraw/zinc/01/68/96/281016896.db2.gz NKNSICXGOTUGRX-GHMZBOCLSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CS[C@@H](C)C1 ZINC000335450621 281016975 /nfs/dbraw/zinc/01/69/75/281016975.db2.gz AHYAPQPZRZWEBJ-UWVGGRQHSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1ncnn1C(C)(C)C ZINC000282310386 281018991 /nfs/dbraw/zinc/01/89/91/281018991.db2.gz SHKLFEJADFHAAS-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN CCOc1cc(N(C)[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000252921385 281078965 /nfs/dbraw/zinc/07/89/65/281078965.db2.gz GZUZWLLBERRTJR-TZMCWYRMSA-N 0 0 294.351 2.733 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(F)nc1 ZINC000255057382 281085484 /nfs/dbraw/zinc/08/54/84/281085484.db2.gz XVJQZEKYYHDGHL-BAQGIRSFSA-N 0 0 287.250 2.781 20 5 CFBDRN CN(CCC1CC1)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255300151 281092921 /nfs/dbraw/zinc/09/29/21/281092921.db2.gz QVMCPROQSZCERD-HJWRWDBZSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(/C=C\c1cncc(F)c1)Nc1ccc([N+](=O)[O-])cc1 ZINC000255360111 281095761 /nfs/dbraw/zinc/09/57/61/281095761.db2.gz XYKMZLRNEBHHHD-BHQIHCQQSA-N 0 0 287.250 2.781 20 5 CFBDRN O=C(/C=C\c1cccnc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000255506031 281099254 /nfs/dbraw/zinc/09/92/54/281099254.db2.gz RPAQGHVUUAAGFQ-BAQGIRSFSA-N 0 0 269.260 2.642 20 5 CFBDRN CC1(C)CCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000255506051 281099315 /nfs/dbraw/zinc/09/93/15/281099315.db2.gz RYDDOTGYKVKZQI-FPLPWBNLSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(/C=C\c1ccc(O)cc1)Nc1cccc([N+](=O)[O-])c1 ZINC000255548349 281100837 /nfs/dbraw/zinc/10/08/37/281100837.db2.gz KZNBHSMGBPUFBN-TWGQIWQCSA-N 0 0 284.271 2.952 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NCC1(C2CC2)CC1 ZINC000255599827 281103061 /nfs/dbraw/zinc/10/30/61/281103061.db2.gz XZKRZHPCXWYSSA-YVMONPNESA-N 0 0 286.331 2.914 20 5 CFBDRN C[C@@]1(CCCO)CCCN1c1ccc([N+](=O)[O-])cc1F ZINC000271179149 281120701 /nfs/dbraw/zinc/12/07/01/281120701.db2.gz XXKQPSXJKHXSST-AWEZNQCLSA-N 0 0 282.315 2.865 20 5 CFBDRN CN(CCc1cn[nH]c1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000289582676 281134650 /nfs/dbraw/zinc/13/46/50/281134650.db2.gz HMUQYDBPPURKAQ-UHFFFAOYSA-N 0 0 294.742 2.646 20 5 CFBDRN C[C@H](NC(=O)C1CCC(=O)CC1)c1ccccc1[N+](=O)[O-] ZINC000342058603 281168488 /nfs/dbraw/zinc/16/84/88/281168488.db2.gz HKEXFZLHRRQYSC-JTQLQIEISA-N 0 0 290.319 2.531 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000360364982 281183985 /nfs/dbraw/zinc/18/39/85/281183985.db2.gz QWMGFRKVWRBUJF-NEPJUHHUSA-N 0 0 294.326 2.879 20 5 CFBDRN C[C@H](NCc1nn(C)cc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000393328747 281192688 /nfs/dbraw/zinc/19/26/88/281192688.db2.gz XNHADWNERNEUKD-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1ccc(CNCc2nn(C)cc2Cl)cc1[N+](=O)[O-] ZINC000393321015 281192760 /nfs/dbraw/zinc/19/27/60/281192760.db2.gz AFBXPHRSWOXNJY-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN CC(C)[C@@H](CO)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000394089397 281194639 /nfs/dbraw/zinc/19/46/39/281194639.db2.gz MIRPJMXYAKVKAO-LLVKDONJSA-N 0 0 289.335 2.819 20 5 CFBDRN CC(C)[C@H](CO)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000394089398 281194652 /nfs/dbraw/zinc/19/46/52/281194652.db2.gz MIRPJMXYAKVKAO-NSHDSACASA-N 0 0 289.335 2.819 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCCC1(O)CCC1 ZINC000396761387 281196791 /nfs/dbraw/zinc/19/67/91/281196791.db2.gz KSRLVHZLOYBXGX-UHFFFAOYSA-N 0 0 271.700 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCC1(O)CCC1 ZINC000396757157 281196937 /nfs/dbraw/zinc/19/69/37/281196937.db2.gz CUMXGNZUVUOHGH-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN Cc1nc(C)c(NCc2ccc([N+](=O)[O-])cc2)nc1C ZINC000396951791 281198821 /nfs/dbraw/zinc/19/88/21/281198821.db2.gz YXOWONVOZONIMO-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1cc(NC[C@H](C)CC(F)(F)F)ncc1[N+](=O)[O-] ZINC000396906088 281198927 /nfs/dbraw/zinc/19/89/27/281198927.db2.gz FEQULSQGSKMKGG-SSDOTTSWSA-N 0 0 277.246 2.721 20 5 CFBDRN Cc1cccc(N2CC(CO)(c3ccccc3)C2)c1[N+](=O)[O-] ZINC000413550739 281224116 /nfs/dbraw/zinc/22/41/16/281224116.db2.gz KVRTZLITJCKXOC-UHFFFAOYSA-N 0 0 298.342 2.654 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000332735546 281234900 /nfs/dbraw/zinc/23/49/00/281234900.db2.gz XZJIROJKZZDABP-UWVGGRQHSA-N 0 0 294.355 2.702 20 5 CFBDRN CCc1ncc(CNc2nc(C)cc(C)c2[N+](=O)[O-])o1 ZINC000450846630 281245139 /nfs/dbraw/zinc/24/51/39/281245139.db2.gz MSSKJFSWMFXEOO-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN CCc1ncc(CNc2ccc([N+](=O)[O-])cc2COC)o1 ZINC000450848235 281245624 /nfs/dbraw/zinc/24/56/24/281245624.db2.gz RMEYJTNQNAVCNX-UHFFFAOYSA-N 0 0 291.307 2.904 20 5 CFBDRN CCc1ncc(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])o1 ZINC000450848254 281245636 /nfs/dbraw/zinc/24/56/36/281245636.db2.gz ROCQRHNFLIMGGI-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC(n2ccnc2)CC1 ZINC000112078079 281259179 /nfs/dbraw/zinc/25/91/79/281259179.db2.gz KWTZDFWRPFWKFP-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@H]21 ZINC000491901945 281275741 /nfs/dbraw/zinc/27/57/41/281275741.db2.gz ASONDHMBYGURHT-IRORGTOCSA-N 0 0 272.304 2.619 20 5 CFBDRN O=C(/C=C\C1CC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000491925430 281276344 /nfs/dbraw/zinc/27/63/44/281276344.db2.gz MXWUILSWTGRAEK-UTCJRWHESA-N 0 0 250.229 2.639 20 5 CFBDRN COc1cccc(NCc2ccn(C(C)C)n2)c1[N+](=O)[O-] ZINC000515539692 281294640 /nfs/dbraw/zinc/29/46/40/281294640.db2.gz XWJYMNGJPSSFDD-UHFFFAOYSA-N 0 0 290.323 2.993 20 5 CFBDRN Cc1cccc2c1OC[C@@H](Nc1cc(N)ccc1[N+](=O)[O-])C2 ZINC000290912491 281296254 /nfs/dbraw/zinc/29/62/54/281296254.db2.gz WLNOXCHQDWVNEE-ZDUSSCGKSA-N 0 0 299.330 2.901 20 5 CFBDRN CCC1(CC)CCCN(C(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000516196699 281301415 /nfs/dbraw/zinc/30/14/15/281301415.db2.gz GGMFNIKBCVGTTF-UHFFFAOYSA-N 0 0 294.355 2.750 20 5 CFBDRN CCO[C@H](CC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000516246656 281302120 /nfs/dbraw/zinc/30/21/20/281302120.db2.gz PAPKOSWYVDKJPL-GFCCVEGCSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1cccc2nc(-n3ccc(=O)c([N+](=O)[O-])c3)sc21 ZINC000359534356 281316012 /nfs/dbraw/zinc/31/60/12/281316012.db2.gz RMGWGMPBPWIQJU-UHFFFAOYSA-N 0 0 287.300 2.664 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000525399754 281316434 /nfs/dbraw/zinc/31/64/34/281316434.db2.gz BFICWRSAQWMSQF-NEPJUHHUSA-N 0 0 291.351 2.577 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000527182523 281325844 /nfs/dbraw/zinc/32/58/44/281325844.db2.gz LVDFFFLFHUGPGK-UWVGGRQHSA-N 0 0 280.349 2.696 20 5 CFBDRN Cc1cc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2C)no1 ZINC000527338965 281329699 /nfs/dbraw/zinc/32/96/99/281329699.db2.gz ZTFXCBJKCQHKLI-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN CNc1ccc(C(=O)N2[C@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000531559840 281431923 /nfs/dbraw/zinc/43/19/23/281431923.db2.gz YQZCWSCUSLMQNI-AOOOYVTPSA-N 0 0 277.324 2.650 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000531613050 281435241 /nfs/dbraw/zinc/43/52/41/281435241.db2.gz SKMZQDKGDLVLAA-UHFFFAOYSA-N 0 0 285.303 2.749 20 5 CFBDRN Cc1ccccc1C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531626887 281435599 /nfs/dbraw/zinc/43/55/99/281435599.db2.gz RUXHHFYWGBFHEE-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1cccc([C@@H](C)CNC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000531629108 281436220 /nfs/dbraw/zinc/43/62/20/281436220.db2.gz PENUAGBOVNUIPX-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531631948 281436531 /nfs/dbraw/zinc/43/65/31/281436531.db2.gz RPZWHTBRAGRBFW-JQWIXIFHSA-N 0 0 291.351 2.934 20 5 CFBDRN CCC(CC)N(C(=O)c1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000532391627 281452930 /nfs/dbraw/zinc/45/29/30/281452930.db2.gz IGAXYNRINHUFPA-UHFFFAOYSA-N 0 0 277.324 2.783 20 5 CFBDRN COc1ccc(CC(=O)N[C@H](C)CC(C)C)cc1[N+](=O)[O-] ZINC000533562284 281470423 /nfs/dbraw/zinc/47/04/23/281470423.db2.gz WGJGNRXKTRWMTN-LLVKDONJSA-N 0 0 294.351 2.697 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000535008636 281493393 /nfs/dbraw/zinc/49/33/93/281493393.db2.gz LEPWQDFZVFYYHN-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN Cn1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(C(F)F)n1 ZINC000535842208 281512238 /nfs/dbraw/zinc/51/22/38/281512238.db2.gz MCJUGRDNVZFPAP-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC2C[C@@H](C)O[C@H](C)C2)n1 ZINC000536605874 281523919 /nfs/dbraw/zinc/52/39/19/281523919.db2.gz FXBQRFNILOQEED-NXEZZACHSA-N 0 0 265.313 2.666 20 5 CFBDRN CCC[C@H](N[C@H](C)c1ccccc1[N+](=O)[O-])C(=O)OCC ZINC000537036896 281531970 /nfs/dbraw/zinc/53/19/70/281531970.db2.gz COXQKKACOFTWHB-YPMHNXCESA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1cccc(-c2noc(-c3cc([N+](=O)[O-])cn3C)n2)c1 ZINC000544899573 281675402 /nfs/dbraw/zinc/67/54/02/281675402.db2.gz HIZHLLHXPAMTGF-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN COc1ccc(Cc2nc([C@H]3C[C@@H]3C)no2)cc1[N+](=O)[O-] ZINC000545065133 281677809 /nfs/dbraw/zinc/67/78/09/281677809.db2.gz GINMVHSONMRJCD-WPRPVWTQSA-N 0 0 289.291 2.701 20 5 CFBDRN CCO[C@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000545688453 281691406 /nfs/dbraw/zinc/69/14/06/281691406.db2.gz GAECZWFBQNMYFK-NEPJUHHUSA-N 0 0 289.291 2.846 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2Nc1ncc([N+](=O)[O-])cn1 ZINC000546180546 281705518 /nfs/dbraw/zinc/70/55/18/281705518.db2.gz CYXHFKOABYWRLJ-ZDUSSCGKSA-N 0 0 270.292 2.793 20 5 CFBDRN COCC(C)(C)c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000546400601 281708388 /nfs/dbraw/zinc/70/83/88/281708388.db2.gz JARZGVZBJDOZKC-UHFFFAOYSA-N 0 0 291.307 2.877 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000547187104 281728532 /nfs/dbraw/zinc/72/85/32/281728532.db2.gz WTCVURKXYVERTH-MUWHJKNJSA-N 0 0 263.228 2.907 20 5 CFBDRN CC1(C)CC(CNC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000548711559 281797670 /nfs/dbraw/zinc/79/76/70/281797670.db2.gz OUVBMHFSQLCRRH-UHFFFAOYSA-N 0 0 262.309 2.761 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000548750009 281799197 /nfs/dbraw/zinc/79/91/97/281799197.db2.gz NGCDEKAFUQLDMD-SECBINFHSA-N 0 0 284.287 2.734 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000549447414 281816539 /nfs/dbraw/zinc/81/65/39/281816539.db2.gz KMKFVWPWRIQVQI-GNXNZQSNSA-N 0 0 290.319 2.522 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2Cc3cccnc3C2)c1 ZINC000551045310 281831483 /nfs/dbraw/zinc/83/14/83/281831483.db2.gz LVZNNSOZJKMEOZ-UHFFFAOYSA-N 0 0 255.277 2.506 20 5 CFBDRN O=C1CCCN1CCCSc1cccc([N+](=O)[O-])c1 ZINC000554681090 281901079 /nfs/dbraw/zinc/90/10/79/281901079.db2.gz OGVITIJVIXIMMO-UHFFFAOYSA-N 0 0 280.349 2.699 20 5 CFBDRN Cc1nc(N(C)C2CCCCCC2)ncc1[N+](=O)[O-] ZINC000296263202 281918205 /nfs/dbraw/zinc/91/82/05/281918205.db2.gz GJLYPHJGVOZMTG-UHFFFAOYSA-N 0 0 264.329 2.852 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000187405766 288370613 /nfs/dbraw/zinc/37/06/13/288370613.db2.gz NJQPXRZXTQFUHJ-ZANVPECISA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1nc(N2C[C@H](C)C[C@@H](C)[C@@H]2C)ncc1[N+](=O)[O-] ZINC000450476163 281945067 /nfs/dbraw/zinc/94/50/67/281945067.db2.gz NKYISWVHHGVJLU-KKZNHRDASA-N 0 0 264.329 2.564 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2C3CCC2CC3)c(F)c1 ZINC000556811730 281947582 /nfs/dbraw/zinc/94/75/82/281947582.db2.gz BZKJSZDITBAHFL-UHFFFAOYSA-N 0 0 268.263 3.000 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]2F)c1 ZINC000559010129 281999507 /nfs/dbraw/zinc/99/95/07/281999507.db2.gz XNLARFLOQYTZQX-VHSXEESVSA-N 0 0 254.261 2.906 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CC[C@H](OC(F)F)C1 ZINC000559096870 282001254 /nfs/dbraw/zinc/00/12/54/282001254.db2.gz DDHHTHMJBMVFGC-FHMRSRPSSA-N 0 0 298.289 2.922 20 5 CFBDRN CCc1coc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)n1 ZINC000559316616 282004639 /nfs/dbraw/zinc/00/46/39/282004639.db2.gz IQDYCAYJNTWQRR-UHFFFAOYSA-N 0 0 289.291 2.635 20 5 CFBDRN C[C@H](NC(=O)NCC(C)(F)F)c1ccccc1[N+](=O)[O-] ZINC000560397112 282024274 /nfs/dbraw/zinc/02/42/74/282024274.db2.gz ZIXMEZHRHZAPRJ-QMMMGPOBSA-N 0 0 287.266 2.610 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@H]1CCCCO1 ZINC000560438680 282026676 /nfs/dbraw/zinc/02/66/76/282026676.db2.gz DWXOZZGNUMRFTB-MRVPVSSYSA-N 0 0 272.688 2.591 20 5 CFBDRN C[C@H](NC(=O)N1[C@H](C)C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000560533253 282032440 /nfs/dbraw/zinc/03/24/40/282032440.db2.gz ZOJPCFWDWFLENF-MXWKQRLJSA-N 0 0 277.324 2.848 20 5 CFBDRN CC(=O)c1cccc(CNC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000560671433 282038826 /nfs/dbraw/zinc/03/88/26/282038826.db2.gz RXGCTTHMKAHRCT-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)[C@H]1CC1(C)C ZINC000561070774 282046835 /nfs/dbraw/zinc/04/68/35/282046835.db2.gz JLANUXILTFGHDQ-MNOVXSKESA-N 0 0 277.324 2.544 20 5 CFBDRN Cc1nc2c(s1)[C@H](Nc1ncc([N+](=O)[O-])cn1)CCC2 ZINC000371888286 282047324 /nfs/dbraw/zinc/04/73/24/282047324.db2.gz NVVIEMQMHGDNNF-SNVBAGLBSA-N 0 0 291.336 2.639 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@@H]1CC[C@H](F)C1 ZINC000561692680 282059751 /nfs/dbraw/zinc/05/97/51/282059751.db2.gz IFGFODNZGDUPSO-VHSXEESVSA-N 0 0 296.298 2.992 20 5 CFBDRN C[C@H](c1nc(-c2ccon2)no1)c1cccc([N+](=O)[O-])c1 ZINC000561899940 282062656 /nfs/dbraw/zinc/06/26/56/282062656.db2.gz PLIWVOZAJUKETA-QMMMGPOBSA-N 0 0 286.247 2.785 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000229506204 288672837 /nfs/dbraw/zinc/67/28/37/288672837.db2.gz FVKQBIUTKJBKNF-OUAUKWLOSA-N 0 0 291.351 2.684 20 5 CFBDRN C[C@@H]1SCCN(c2ncc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000265537562 288947502 /nfs/dbraw/zinc/94/75/02/288947502.db2.gz VYEFGQCIKTVUBW-YUMQZZPRSA-N 0 0 287.772 2.973 20 5 CFBDRN C[C@@]1(NC(=O)c2cc([N+](=O)[O-])c[nH]2)CCCc2ccccc21 ZINC000331928008 289198695 /nfs/dbraw/zinc/19/86/95/289198695.db2.gz JSIWERGJXUQXCT-MRXNPFEDSA-N 0 0 299.330 2.904 20 5 CFBDRN C[C@@]1(O)CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000224450506 289213385 /nfs/dbraw/zinc/21/33/85/289213385.db2.gz MCRXWCZVJYKVPE-LLVKDONJSA-N 0 0 290.241 2.575 20 5 CFBDRN C[C@H](C(=O)N1C[C@H](C)[C@H](C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000347580450 289318323 /nfs/dbraw/zinc/31/83/23/289318323.db2.gz BUKPQTNEANFGDU-AXFHLTTASA-N 0 0 294.326 2.952 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCC[C@@H]1O ZINC000134205024 289784121 /nfs/dbraw/zinc/78/41/21/289784121.db2.gz OPHWEFLDUGJAHT-YPMHNXCESA-N 0 0 284.743 2.988 20 5 CFBDRN CCN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000153942720 289881136 /nfs/dbraw/zinc/88/11/36/289881136.db2.gz VBVHVZVZNFMJOK-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN Cc1csc(=O)n1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000154535048 289897045 /nfs/dbraw/zinc/89/70/45/289897045.db2.gz RHITWGNURLGOQK-UHFFFAOYSA-N 0 0 284.724 2.828 20 5 CFBDRN CCc1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)co1 ZINC000154586882 289898375 /nfs/dbraw/zinc/89/83/75/289898375.db2.gz ATFYGKZWMHTUKG-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CC1CC(=O)N(Cc2c(Cl)cccc2[N+](=O)[O-])C(=O)C1 ZINC000155372911 289916994 /nfs/dbraw/zinc/91/69/94/289916994.db2.gz FIHSIPAPJFVWND-UHFFFAOYSA-N 0 0 296.710 2.533 20 5 CFBDRN O=C(C1CCCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156630617 289942062 /nfs/dbraw/zinc/94/20/62/289942062.db2.gz UBTXEYFGKVLRMW-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000157618283 289958946 /nfs/dbraw/zinc/95/89/46/289958946.db2.gz IKGJAFSNZIKOBP-LBPRGKRZSA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1c(CC(=O)N2CCC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000158160837 289970920 /nfs/dbraw/zinc/97/09/20/289970920.db2.gz HHTILFVAFPQTRU-LLVKDONJSA-N 0 0 276.336 2.704 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158389846 289974815 /nfs/dbraw/zinc/97/48/15/289974815.db2.gz XWGMKRANEFXUBW-OCCSQVGLSA-N 0 0 262.309 2.994 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000158757988 289981667 /nfs/dbraw/zinc/98/16/67/289981667.db2.gz VPJXRAGDLOIUJF-BETUJISGSA-N 0 0 289.335 2.536 20 5 CFBDRN Cc1ccnc(N2CCc3ccccc3CC2)c1[N+](=O)[O-] ZINC000301315252 292779943 /nfs/dbraw/zinc/77/99/43/292779943.db2.gz MDVLQWWXRRVFQV-UHFFFAOYSA-N 0 0 283.331 2.903 20 5 CFBDRN Cc1cccc(N[C@@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC000302028895 290006429 /nfs/dbraw/zinc/00/64/29/290006429.db2.gz ARTCBFNNZIPDCU-GHMZBOCLSA-N 0 0 250.298 2.740 20 5 CFBDRN CC(C)(C)OCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000530489702 290033281 /nfs/dbraw/zinc/03/32/81/290033281.db2.gz VGINPMGGBUKNAF-UHFFFAOYSA-N 0 0 289.335 2.792 20 5 CFBDRN CC1=CCCN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000562447779 290044557 /nfs/dbraw/zinc/04/45/57/290044557.db2.gz QMZZLGMDMLOMBU-LBPRGKRZSA-N 0 0 274.320 2.877 20 5 CFBDRN COc1cc(C(=O)N2C3CCC2CC3)c([N+](=O)[O-])cc1F ZINC000562511372 290049150 /nfs/dbraw/zinc/04/91/50/290049150.db2.gz UVWBICLEVSZBQC-UHFFFAOYSA-N 0 0 294.282 2.510 20 5 CFBDRN C[C@@]1(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)CCOC1 ZINC000563038455 290096662 /nfs/dbraw/zinc/09/66/62/290096662.db2.gz MOGGWQMPALRJCG-HNNXBMFYSA-N 0 0 291.351 2.707 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000279609188 290149785 /nfs/dbraw/zinc/14/97/85/290149785.db2.gz XMVGQMFGLKJRMM-WDEREUQCSA-N 0 0 282.315 2.864 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCC(F)(F)F)c1C ZINC000563550315 290160228 /nfs/dbraw/zinc/16/02/28/290160228.db2.gz OZJJNOIEQDNWHO-UHFFFAOYSA-N 0 0 264.203 2.938 20 5 CFBDRN C[C@@H]1CCC[C@H](Cn2cc([N+](=O)[O-])cc(F)c2=O)C1 ZINC000563810801 290175812 /nfs/dbraw/zinc/17/58/12/290175812.db2.gz SOESAHOPJLZFDD-ZJUUUORDSA-N 0 0 268.288 2.722 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2NCc1ccco1 ZINC000563820349 290176956 /nfs/dbraw/zinc/17/69/56/290176956.db2.gz FXPGESDILDVFGJ-CYBMUJFWSA-N 0 0 258.277 2.965 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCC(C)(F)F ZINC000563938001 290184540 /nfs/dbraw/zinc/18/45/40/290184540.db2.gz GJJLIHKDBJDFRM-UHFFFAOYSA-N 0 0 287.266 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(F)c(F)c2)cn1 ZINC000564706558 290225341 /nfs/dbraw/zinc/22/53/41/290225341.db2.gz KWKRMRDQEMKHHW-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN CCCCOCCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000564712857 290226025 /nfs/dbraw/zinc/22/60/25/290226025.db2.gz RZCSGWMMNBLIAR-GFCCVEGCSA-N 0 0 294.351 2.631 20 5 CFBDRN CNC(=O)CCS[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000564800251 290233880 /nfs/dbraw/zinc/23/38/80/290233880.db2.gz DFQAYRWTXARPJL-SNVBAGLBSA-N 0 0 282.365 2.834 20 5 CFBDRN COCC1(CC(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 ZINC000564995994 290256061 /nfs/dbraw/zinc/25/60/61/290256061.db2.gz KVLYTVSTIPAQSU-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1ncc(CN[C@@H]2CCc3c2cccc3[N+](=O)[O-])o1 ZINC000565218811 290283770 /nfs/dbraw/zinc/28/37/70/290283770.db2.gz PEANHDCIYHCQQQ-CYBMUJFWSA-N 0 0 273.292 2.668 20 5 CFBDRN Cc1noc(C)c1CCOc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000565492751 290305853 /nfs/dbraw/zinc/30/58/53/290305853.db2.gz WYULLDVQKYJUAW-UHFFFAOYSA-N 0 0 291.307 2.833 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@@H]2OCC[C@@H]2C1 ZINC000565654306 290315498 /nfs/dbraw/zinc/31/54/98/290315498.db2.gz JIMSJBQBBVCTCO-SKDRFNHKSA-N 0 0 282.727 2.863 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1c[nH]c(=O)c(C)c1 ZINC000565751245 290321816 /nfs/dbraw/zinc/32/18/16/290321816.db2.gz ZDNIXFDRAKGFIK-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@H](c1nc([C@H]2CCCO2)no1)c1cccc([N+](=O)[O-])c1 ZINC000565918227 290334321 /nfs/dbraw/zinc/33/43/21/290334321.db2.gz NWJPOINDFIAJKI-JOYOIKCWSA-N 0 0 289.291 2.981 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@@H]1CC13CC3)CCCC2 ZINC000565947981 290336143 /nfs/dbraw/zinc/33/61/43/290336143.db2.gz GOWBQIWNOUJJAW-GFCCVEGCSA-N 0 0 259.309 2.833 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCC=C(F)C1)CCCC2 ZINC000566149400 290353277 /nfs/dbraw/zinc/35/32/77/290353277.db2.gz VBTVEVSBUBWCNC-UHFFFAOYSA-N 0 0 277.299 2.932 20 5 CFBDRN C[C@@H](SC[C@H]1CN(C)CCO1)c1ccccc1[N+](=O)[O-] ZINC000566214122 290358489 /nfs/dbraw/zinc/35/84/89/290358489.db2.gz DOWULOFUTQBTPN-VXGBXAGGSA-N 0 0 296.392 2.720 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2C[C@@H](C)CCC2=O)c1 ZINC000566213974 290358766 /nfs/dbraw/zinc/35/87/66/290358766.db2.gz CKEYMWVIMJDFQX-LKFCYVNXSA-N 0 0 279.292 2.740 20 5 CFBDRN CC(C)Oc1nc(N(C)[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000566485615 290394131 /nfs/dbraw/zinc/39/41/31/290394131.db2.gz OLUHDKVLUGJMRE-JTQLQIEISA-N 0 0 297.380 2.719 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1c([N+](=O)[O-])ncn1C ZINC000042804598 290399019 /nfs/dbraw/zinc/39/90/19/290399019.db2.gz AZFLPPYZQSWJHC-VHSXEESVSA-N 0 0 252.318 2.567 20 5 CFBDRN O=c1onc(-c2cccc([N+](=O)[O-])c2)n1CC1CCCC1 ZINC000566854628 290422688 /nfs/dbraw/zinc/42/26/88/290422688.db2.gz DDFUCEDDKSUGKP-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN C[C@H]1CCCN(c2nc3sccn3c2[N+](=O)[O-])[C@H]1C ZINC000301433508 290455440 /nfs/dbraw/zinc/45/54/40/290455440.db2.gz RDQVHEZLLHPJCN-IUCAKERBSA-N 0 0 280.353 2.929 20 5 CFBDRN CC(C)(O)CCSc1ncc([N+](=O)[O-])cc1Cl ZINC000568664593 290565329 /nfs/dbraw/zinc/56/53/29/290565329.db2.gz WQOOZRVOLHNWDJ-UHFFFAOYSA-N 0 0 276.745 2.896 20 5 CFBDRN Cc1cnc(N2CC[C@@H](C(C)(C)C)[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC000273196199 292912239 /nfs/dbraw/zinc/91/22/39/292912239.db2.gz DKDOQJKBZUCAPF-YPMHNXCESA-N 0 0 293.367 2.532 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000360729001 293074662 /nfs/dbraw/zinc/07/46/62/293074662.db2.gz MKZXNOGPCBQQAJ-QJPTWQEYSA-N 0 0 294.351 2.691 20 5 CFBDRN COC[C@H]1CCCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000364132663 293195931 /nfs/dbraw/zinc/19/59/31/293195931.db2.gz BMNHZTHWPJMOAB-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN Cc1nc(N2CCS[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000268498457 293300680 /nfs/dbraw/zinc/30/06/80/293300680.db2.gz PTFWBSQVGWNAPC-VHSXEESVSA-N 0 0 267.354 2.628 20 5 CFBDRN CON(CC1CCOCC1)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000282536085 293363361 /nfs/dbraw/zinc/36/33/61/293363361.db2.gz SCBWRPVQWOHRMP-LBPRGKRZSA-N 0 0 294.351 2.946 20 5 CFBDRN CO[C@@H](C)CCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293580729 293411235 /nfs/dbraw/zinc/41/12/35/293411235.db2.gz ARPVODWIOMFFBV-NSHDSACASA-N 0 0 294.351 2.761 20 5 CFBDRN CO[C@@](C)([C@H](C)[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C1CC1 ZINC000411972849 294107960 /nfs/dbraw/zinc/10/79/60/294107960.db2.gz QSKDMKQBDYDTLM-BONVTDFDSA-N 0 0 294.351 2.594 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000279633210 294129157 /nfs/dbraw/zinc/12/91/57/294129157.db2.gz ZPXBCOPQIHPKDU-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN CO[C@H](C)CCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295425805 294227068 /nfs/dbraw/zinc/22/70/68/294227068.db2.gz UIXPJQUVVHWZON-MNOVXSKESA-N 0 0 280.324 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC(C)(C)OC(C)(C)C2)c1 ZINC000407985259 295253847 /nfs/dbraw/zinc/25/38/47/295253847.db2.gz GAOOPHADLQMODT-UHFFFAOYSA-N 0 0 294.351 2.997 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](OC)C(C)(C)C2)c1 ZINC000295750666 295254525 /nfs/dbraw/zinc/25/45/25/295254525.db2.gz NSWVNPIHFFCBFJ-CQSZACIVSA-N 0 0 294.351 2.855 20 5 CFBDRN COc1cccc(N2CCSC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000277501988 295305682 /nfs/dbraw/zinc/30/56/82/295305682.db2.gz VLUZBDKYLKJFST-JTQLQIEISA-N 0 0 282.365 2.793 20 5 CFBDRN Nc1ccc(N2CCC[C@H](C(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000286717817 295353691 /nfs/dbraw/zinc/35/36/91/295353691.db2.gz CVRGBBZMIPTJSQ-QMMMGPOBSA-N 0 0 289.257 2.956 20 5 CFBDRN CSCCCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000341303919 295592431 /nfs/dbraw/zinc/59/24/31/295592431.db2.gz ORVYSMOQJJXOBB-SNVBAGLBSA-N 0 0 282.365 2.915 20 5 CFBDRN O=C(c1cccc(O)c1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000182478161 304548948 /nfs/dbraw/zinc/54/89/48/304548948.db2.gz JTDBVUFNIAMSJF-UHFFFAOYSA-N 0 0 284.271 2.503 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CCS1 ZINC000191363943 299957007 /nfs/dbraw/zinc/95/70/07/299957007.db2.gz RQAGGMOPEVXKST-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1c(C(=O)N2CCSCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000189954391 300927796 /nfs/dbraw/zinc/92/77/96/300927796.db2.gz AMOMLESTXVKKQW-JTQLQIEISA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@](C)(F)C2)n1 ZINC000450566855 301204161 /nfs/dbraw/zinc/20/41/61/301204161.db2.gz XOLFCVZKJCYYTF-ZDUSSCGKSA-N 0 0 267.304 2.935 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](C3CCOCC3)C2)n1 ZINC000377164997 301855106 /nfs/dbraw/zinc/85/51/06/301855106.db2.gz LEQNSBQXAMAHFV-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@]2(CCOC2)C1 ZINC000450887165 302040155 /nfs/dbraw/zinc/04/01/55/302040155.db2.gz YNMOFVFTXYKSCM-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@H]1C[C@H](C)O ZINC000269849311 302040361 /nfs/dbraw/zinc/04/03/61/302040361.db2.gz IQBKZFJQXNRNDQ-RYUDHWBXSA-N 0 0 264.325 2.643 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCCCc2ccccc21 ZINC000334350563 302943281 /nfs/dbraw/zinc/94/32/81/302943281.db2.gz VTBPLPJLFIURQE-UHFFFAOYSA-N 0 0 299.330 2.916 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCCC12CCCCC2 ZINC000408008791 303019864 /nfs/dbraw/zinc/01/98/64/303019864.db2.gz NGHLPQDKUPBSJK-UHFFFAOYSA-N 0 0 264.329 2.631 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@H]1C1CCCCC1 ZINC000048565966 303020555 /nfs/dbraw/zinc/02/05/55/303020555.db2.gz OQUMXNLPHRVSFL-LBPRGKRZSA-N 0 0 278.356 2.877 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2nccc3cc([N+](=O)[O-])ccc32)O1 ZINC000413376087 533695818 /nfs/dbraw/zinc/69/58/18/533695818.db2.gz MCMCHOHRYAUKFT-GWCFXTLKSA-N 0 0 287.319 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000439078965 304800564 /nfs/dbraw/zinc/80/05/64/304800564.db2.gz RTVZETPNDXBTQE-RYUDHWBXSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC[C@H](C2CCC2)C1 ZINC000291630865 304807975 /nfs/dbraw/zinc/80/79/75/304807975.db2.gz BLWMOLSQVKGRGA-CYBMUJFWSA-N 0 0 276.336 2.848 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1C[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000293969971 304808088 /nfs/dbraw/zinc/80/80/88/304808088.db2.gz HRUIIWVFXVCCKB-GJZGRUSLSA-N 0 0 274.320 2.743 20 5 CFBDRN CC(C)N(C(=O)CSc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000159659864 322326278 /nfs/dbraw/zinc/32/62/78/322326278.db2.gz FMKZXGHBHIAQKL-UHFFFAOYSA-N 0 0 297.380 2.727 20 5 CFBDRN Cc1cncc(/C=C/C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000160079146 322332686 /nfs/dbraw/zinc/33/26/86/322332686.db2.gz CPJYZJRJOJKDEF-FARCUNLSSA-N 0 0 283.287 2.950 20 5 CFBDRN COCCN(CC(C)C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000160913279 322344809 /nfs/dbraw/zinc/34/48/09/322344809.db2.gz XPWKKYLXJAOFCC-UHFFFAOYSA-N 0 0 287.747 2.752 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)o1 ZINC000161239744 322349345 /nfs/dbraw/zinc/34/93/45/322349345.db2.gz NUJZJGOZAZDXJY-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN CC(C)CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161308214 322349903 /nfs/dbraw/zinc/34/99/03/322349903.db2.gz WOMVRHXHIVRDPD-UHFFFAOYSA-N 0 0 262.309 2.526 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000161902667 322358395 /nfs/dbraw/zinc/35/83/95/322358395.db2.gz FBYLCNIQRWWKGQ-TXEJJXNPSA-N 0 0 292.335 2.763 20 5 CFBDRN CC(C)Oc1nc(N2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000569470962 322475285 /nfs/dbraw/zinc/47/52/85/322475285.db2.gz DQWFGFYHCFEKQU-JTQLQIEISA-N 0 0 265.313 2.766 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CCOC2(CCC2)C1 ZINC000570913332 322558610 /nfs/dbraw/zinc/55/86/10/322558610.db2.gz SPKBHMYZCBTEKZ-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN COCCSc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000571297231 322578589 /nfs/dbraw/zinc/57/85/89/322578589.db2.gz JNWVWIIAVRNBCH-UHFFFAOYSA-N 0 0 272.326 2.516 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)NCc1cccc([N+](=O)[O-])c1 ZINC000573670070 322664322 /nfs/dbraw/zinc/66/43/22/322664322.db2.gz DXSBJUHJCQPPRC-SGMGOOAPSA-N 0 0 274.320 2.647 20 5 CFBDRN O=[N+]([O-])c1cnc(S[C@H]2CCOC2)c(Cl)c1 ZINC000573987631 322676529 /nfs/dbraw/zinc/67/65/29/322676529.db2.gz INKKXFCOCMJYAO-ZETCQYMHSA-N 0 0 260.702 2.524 20 5 CFBDRN CC(C)SCCn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000574322492 322688981 /nfs/dbraw/zinc/68/89/81/322688981.db2.gz UJDRJFFAJZHIIJ-UHFFFAOYSA-N 0 0 296.392 2.777 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CC2(CCC2)O1 ZINC000574634408 322699985 /nfs/dbraw/zinc/69/99/85/322699985.db2.gz QRTCAFRZNQJMOU-SECBINFHSA-N 0 0 297.742 2.791 20 5 CFBDRN CO[C@H](CSc1ncccc1[N+](=O)[O-])C1CC1 ZINC000575374593 322725102 /nfs/dbraw/zinc/72/51/02/322725102.db2.gz NXBMINJTJRYGBL-SNVBAGLBSA-N 0 0 254.311 2.507 20 5 CFBDRN COc1cccc(COc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000178991310 323728848 /nfs/dbraw/zinc/72/88/48/323728848.db2.gz CZOKYXIVRIPATH-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN O=C(Nc1ccc(Cl)cc1O)c1ccc([N+](=O)[O-])o1 ZINC000179641097 323753139 /nfs/dbraw/zinc/75/31/39/323753139.db2.gz DDZBQXXRVABGEV-UHFFFAOYSA-N 0 0 282.639 2.799 20 5 CFBDRN CCc1cnccc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000184086071 323934867 /nfs/dbraw/zinc/93/48/67/323934867.db2.gz LYSHBCWSBHASRX-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CCC(C)(C)CNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000192362537 324059745 /nfs/dbraw/zinc/05/97/45/324059745.db2.gz QZTOJNJFRCQWIR-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN CCCCN(C)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000192848549 324066214 /nfs/dbraw/zinc/06/62/14/324066214.db2.gz SDSFRCYFFWHPJD-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN C[C@H]1C[C@H]1Cc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000350398211 324213853 /nfs/dbraw/zinc/21/38/53/324213853.db2.gz LFODINSZDMOETL-ONGXEEELSA-N 0 0 273.292 2.767 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)on1 ZINC000350634802 324247878 /nfs/dbraw/zinc/24/78/78/324247878.db2.gz IYBPROBSISQBMS-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nc(-c2ccoc2)no1 ZINC000350811054 324260793 /nfs/dbraw/zinc/26/07/93/324260793.db2.gz GYPROVOPVSBUOE-UHFFFAOYSA-N 0 0 286.247 2.850 20 5 CFBDRN Cc1[nH]nc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)c1C ZINC000350834601 324265091 /nfs/dbraw/zinc/26/50/91/324265091.db2.gz LPKWWJCPTJTWCO-UHFFFAOYSA-N 0 0 291.292 2.713 20 5 CFBDRN C[C@@H]1CCC[C@@H](c2nc(-c3c([N+](=O)[O-])ncn3C)no2)C1 ZINC000350900312 324279400 /nfs/dbraw/zinc/27/94/00/324279400.db2.gz LCJDWRHICJUMBQ-RKDXNWHRSA-N 0 0 291.311 2.672 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3ccco3)n2)s1 ZINC000350896507 324279457 /nfs/dbraw/zinc/27/94/57/324279457.db2.gz XFODNBLOOHMPHT-UHFFFAOYSA-N 0 0 263.234 2.966 20 5 CFBDRN Cc1cc(-c2noc(C[C@H]3CCCO3)n2)cc([N+](=O)[O-])c1 ZINC000351145663 324326827 /nfs/dbraw/zinc/32/68/27/324326827.db2.gz JYVDCNFZYXXQOD-GFCCVEGCSA-N 0 0 289.291 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCSC3)n2)o1 ZINC000351145687 324326884 /nfs/dbraw/zinc/32/68/84/324326884.db2.gz KCLKGSQKYHILGV-SSDOTTSWSA-N 0 0 281.293 2.848 20 5 CFBDRN Cc1c(-c2noc([C@@H]3CCO[C@@H]3C)n2)cccc1[N+](=O)[O-] ZINC000351145718 324326913 /nfs/dbraw/zinc/32/69/13/324326913.db2.gz KGCFFFGZSPHQPT-MWLCHTKSSA-N 0 0 289.291 2.846 20 5 CFBDRN CO[C@H](C)c1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351146411 324327738 /nfs/dbraw/zinc/32/77/38/324327738.db2.gz MRGCAEFKBZOKRI-MRVPVSSYSA-N 0 0 263.253 2.661 20 5 CFBDRN CO[C@@H](C)CCc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351147789 324328306 /nfs/dbraw/zinc/32/83/06/324328306.db2.gz OVLGTYUMRANYSQ-JTQLQIEISA-N 0 0 291.307 2.921 20 5 CFBDRN Cc1c(-c2noc(C[C@@H]3CCOC3)n2)cccc1[N+](=O)[O-] ZINC000351148825 324328463 /nfs/dbraw/zinc/32/84/63/324328463.db2.gz QRWNFMGEEWFAAW-JTQLQIEISA-N 0 0 289.291 2.532 20 5 CFBDRN CO[C@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C(C)C ZINC000351148889 324328526 /nfs/dbraw/zinc/32/85/26/324328526.db2.gz QWQQHRUKKHVXIV-VIFPVBQESA-N 0 0 267.241 2.581 20 5 CFBDRN CCc1nc(C)c(-c2nc(-c3ccc([N+](=O)[O-])o3)no2)o1 ZINC000351148285 324328529 /nfs/dbraw/zinc/32/85/29/324328529.db2.gz PJYXCDNUMJYWOQ-UHFFFAOYSA-N 0 0 290.235 2.764 20 5 CFBDRN CCc1onc(C)c1-c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351150049 324329238 /nfs/dbraw/zinc/32/92/38/324329238.db2.gz SDIMJHWFWXPVAT-UHFFFAOYSA-N 0 0 290.235 2.764 20 5 CFBDRN CCCn1cc(-c2nc(-c3ccc([N+](=O)[O-])o3)no2)cn1 ZINC000351151796 324330183 /nfs/dbraw/zinc/33/01/83/324330183.db2.gz VNCQGYBVVHXGOU-UHFFFAOYSA-N 0 0 289.251 2.511 20 5 CFBDRN CCc1nc(-c2nc(-c3ccc([N+](=O)[O-])o3)no2)c(C)o1 ZINC000351154023 324331402 /nfs/dbraw/zinc/33/14/02/324331402.db2.gz YVDUFBBJVUPTHT-UHFFFAOYSA-N 0 0 290.235 2.764 20 5 CFBDRN O=C1C[C@H]2C[C@@H]1[C@@H](c1nc(-c3cccc([N+](=O)[O-])c3)no1)C2 ZINC000351189296 324342100 /nfs/dbraw/zinc/34/21/00/324342100.db2.gz UILOJVBCJHYQHD-AXTRIDKLSA-N 0 0 299.286 2.727 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@H]2CC23CC3)n1 ZINC000351285293 324370799 /nfs/dbraw/zinc/37/07/99/324370799.db2.gz JFEULGZAYRLMPL-SNVBAGLBSA-N 0 0 271.276 2.836 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CC[C@H]2C)c1 ZINC000381829656 324423465 /nfs/dbraw/zinc/42/34/65/324423465.db2.gz IVJPCFVHHISULV-PSASIEDQSA-N 0 0 264.281 2.592 20 5 CFBDRN CCOc1cc(N2CC[C@@H](CO)[C@@H]2CC)ccc1[N+](=O)[O-] ZINC000385593005 324462756 /nfs/dbraw/zinc/46/27/56/324462756.db2.gz BIMXBUNVFBRGRN-AAEUAGOBSA-N 0 0 294.351 2.591 20 5 CFBDRN CCOc1cc(N[C@H]2CC23CCOCC3)ccc1[N+](=O)[O-] ZINC000387126506 324487876 /nfs/dbraw/zinc/48/78/76/324487876.db2.gz LITASHQTFQLZIS-AWEZNQCLSA-N 0 0 292.335 2.975 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1cc(C)c([N+](=O)[O-])cn1)C1CC1 ZINC000388348898 324506025 /nfs/dbraw/zinc/50/60/25/324506025.db2.gz NOAKACRIESMUSF-ZANVPECISA-N 0 0 265.313 2.524 20 5 CFBDRN C[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C(C)(F)F ZINC000389531820 324519253 /nfs/dbraw/zinc/51/92/53/324519253.db2.gz VPWHBYHJTQRXQW-LURJTMIESA-N 0 0 276.214 2.507 20 5 CFBDRN COC[C@@H](Nc1ccc2ncccc2c1[N+](=O)[O-])C1CC1 ZINC000397287764 324546319 /nfs/dbraw/zinc/54/63/19/324546319.db2.gz VRPOEYVXEPELOM-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN CC(C)[C@H]1OCCC[C@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000576366143 324608637 /nfs/dbraw/zinc/60/86/37/324608637.db2.gz FEUNAFJOYKHYOZ-GXFFZTMASA-N 0 0 297.330 2.992 20 5 CFBDRN Cc1cc(N2CC3(CCC3)[C@@H]3COC[C@@H]32)ccc1[N+](=O)[O-] ZINC000576366033 324608775 /nfs/dbraw/zinc/60/87/75/324608775.db2.gz GWGCWZCEIPESNS-HIFRSBDPSA-N 0 0 288.347 2.909 20 5 CFBDRN CC(C)=CCNC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000577559920 324751530 /nfs/dbraw/zinc/75/15/30/324751530.db2.gz BJWSYUVCGJNJOC-UHFFFAOYSA-N 0 0 289.335 2.629 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCCC1(O)CCC1 ZINC000577650407 324765663 /nfs/dbraw/zinc/76/56/63/324765663.db2.gz QPONIAXWDGEBDU-UHFFFAOYSA-N 0 0 264.325 2.929 20 5 CFBDRN C[C@H](C(=O)NC1CCSCC1)c1cccc([N+](=O)[O-])c1 ZINC000577929913 324795803 /nfs/dbraw/zinc/79/58/03/324795803.db2.gz UUZFAENCDMFRFP-JTQLQIEISA-N 0 0 294.376 2.710 20 5 CFBDRN Cc1cccc(CNC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000578480079 324855792 /nfs/dbraw/zinc/85/57/92/324855792.db2.gz SYHZOZHKVGHZLW-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN CC[C@H](CSC)Nc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000578523777 324861832 /nfs/dbraw/zinc/86/18/32/324861832.db2.gz PRQSMCMFXICXDD-SNVBAGLBSA-N 0 0 299.396 2.752 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccncc21)c1cccc([N+](=O)[O-])c1 ZINC000579547904 324958580 /nfs/dbraw/zinc/95/85/80/324958580.db2.gz JRLSMXMRPICZGP-LLVKDONJSA-N 0 0 297.314 2.683 20 5 CFBDRN C[C@@H](C(=O)NC[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000579569949 324961125 /nfs/dbraw/zinc/96/11/25/324961125.db2.gz QMXRWNDVZNQISM-ZYHUDNBSSA-N 0 0 274.320 2.615 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000579675808 324970050 /nfs/dbraw/zinc/97/00/50/324970050.db2.gz LPEJIDJGRFBKGI-NWDGAFQWSA-N 0 0 294.351 2.833 20 5 CFBDRN CCCN(c1nc2sccn2c1[N+](=O)[O-])C(C)C ZINC000579978011 324997010 /nfs/dbraw/zinc/99/70/10/324997010.db2.gz NHPUVAVHTXEUOC-UHFFFAOYSA-N 0 0 268.342 2.929 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@H]2C2CC2)c(F)c1 ZINC000580048157 325001456 /nfs/dbraw/zinc/00/14/56/325001456.db2.gz FTYAKBFIUAKKQW-GFCCVEGCSA-N 0 0 277.299 2.898 20 5 CFBDRN C[C@H](C(=O)NCCc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000580389619 325030580 /nfs/dbraw/zinc/03/05/80/325030580.db2.gz WSKAOUMASWHSSZ-NSHDSACASA-N 0 0 288.303 2.650 20 5 CFBDRN C[C@H](C(=O)N1C[C@@H]2CCC[C@@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000580509686 325040941 /nfs/dbraw/zinc/04/09/41/325040941.db2.gz SXKMQXJPWQIKRU-FPMFFAJLSA-N 0 0 288.347 2.957 20 5 CFBDRN C[C@H](C(=O)N1C[C@H]2CCC[C@@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000580509687 325041144 /nfs/dbraw/zinc/04/11/44/325041144.db2.gz SXKMQXJPWQIKRU-IACUBPJLSA-N 0 0 288.347 2.957 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CC[C@H](C)O1 ZINC000581022548 325084051 /nfs/dbraw/zinc/08/40/51/325084051.db2.gz ZCSTZNNOINOUEK-UWVGGRQHSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1nn(C)c(N2CCSC3(CCC3)CC2)c1[N+](=O)[O-] ZINC000581416060 325119493 /nfs/dbraw/zinc/11/94/93/325119493.db2.gz ASEZXWNEKBDBCW-UHFFFAOYSA-N 0 0 296.396 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(OC(C)C)CC2)n1 ZINC000581523651 325128042 /nfs/dbraw/zinc/12/80/42/325128042.db2.gz MHMJTNTWFNDNPQ-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](c2cccnc2)C1 ZINC000581559280 325132763 /nfs/dbraw/zinc/13/27/63/325132763.db2.gz SYYDBINKVQCQPT-ZDUSSCGKSA-N 0 0 299.330 2.992 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000581760401 325148800 /nfs/dbraw/zinc/14/88/00/325148800.db2.gz JVOLXKWPWJTJDA-WDEREUQCSA-N 0 0 262.309 2.567 20 5 CFBDRN CCOc1cc(Cn2cc(CC)cn2)ccc1[N+](=O)[O-] ZINC000582311263 325195013 /nfs/dbraw/zinc/19/50/13/325195013.db2.gz HXDAOVXZHPNZND-UHFFFAOYSA-N 0 0 275.308 2.801 20 5 CFBDRN CSc1cccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])c1C ZINC000582499524 325210617 /nfs/dbraw/zinc/21/06/17/325210617.db2.gz JOOPOGVWKMCCPC-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN C[C@H](NC(=O)NCC(C)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000582888083 325242129 /nfs/dbraw/zinc/24/21/29/325242129.db2.gz RZBYSBWAJYRPBP-QMMMGPOBSA-N 0 0 287.266 2.610 20 5 CFBDRN O=c1c2cccc([N+](=O)[O-])c2ccn1CCC(F)(F)F ZINC000582965841 325248634 /nfs/dbraw/zinc/24/86/34/325248634.db2.gz YCXLYHMCBSPVFA-UHFFFAOYSA-N 0 0 286.209 2.862 20 5 CFBDRN CCCN(C(=O)COc1cccc([N+](=O)[O-])c1)C(C)C ZINC000583032894 325255320 /nfs/dbraw/zinc/25/53/20/325255320.db2.gz XOWCEZRNRKUWFP-UHFFFAOYSA-N 0 0 280.324 2.621 20 5 CFBDRN Cc1cc(COc2cc(C)c([N+](=O)[O-])cc2F)n(C)n1 ZINC000583273758 325274431 /nfs/dbraw/zinc/27/44/31/325274431.db2.gz ACAAUFWHDPIOAX-UHFFFAOYSA-N 0 0 279.271 2.663 20 5 CFBDRN Cc1c(CN(C)[C@@H](C)c2ccccc2[N+](=O)[O-])cnn1C ZINC000583302432 325276063 /nfs/dbraw/zinc/27/60/63/325276063.db2.gz RDNSDWGSJCYVIJ-LBPRGKRZSA-N 0 0 288.351 2.830 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(OC(C)C)n1)C(F)F ZINC000583332716 325279511 /nfs/dbraw/zinc/27/95/11/325279511.db2.gz QOCWCGDWMXKFDO-QMMMGPOBSA-N 0 0 289.282 2.655 20 5 CFBDRN CCOC(C)(C)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000159505678 521626889 /nfs/dbraw/zinc/62/68/89/521626889.db2.gz FCXQJOKQNSQKTC-UHFFFAOYSA-N 0 0 283.284 2.730 20 5 CFBDRN C[C@H](CC(F)(F)F)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000410803864 533734270 /nfs/dbraw/zinc/73/42/70/533734270.db2.gz AZIQLOASZXFWHX-SSDOTTSWSA-N 0 0 291.229 2.541 20 5 CFBDRN CCc1cnc(CNc2ncccc2[N+](=O)[O-])s1 ZINC000078513563 522041513 /nfs/dbraw/zinc/04/15/13/522041513.db2.gz MINUGOWREWHGCT-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN C[C@H](CC(F)F)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000414751188 533745399 /nfs/dbraw/zinc/74/53/99/533745399.db2.gz ZYVDDAOLCVDPQY-MRVPVSSYSA-N 0 0 272.251 2.849 20 5 CFBDRN C[C@@H](CN(C)c1ncc([N+](=O)[O-])cc1F)c1nccs1 ZINC000413226923 533751261 /nfs/dbraw/zinc/75/12/61/533751261.db2.gz IGTNHDWVBZQLGW-QMMMGPOBSA-N 0 0 296.327 2.825 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413246840 533757247 /nfs/dbraw/zinc/75/72/47/533757247.db2.gz PGBNPPXJXIDFOQ-NKWVEPMBSA-N 0 0 293.245 2.580 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000152775811 533787826 /nfs/dbraw/zinc/78/78/26/533787826.db2.gz QTTXBCZYXMDGPK-RNCFNFMXSA-N 0 0 280.299 2.581 20 5 CFBDRN Cc1c(CC(=O)Nc2ccc(F)cn2)cccc1[N+](=O)[O-] ZINC000162039685 533841160 /nfs/dbraw/zinc/84/11/60/533841160.db2.gz NMMVQODHJNXNAW-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCC1=CCCCC1 ZINC000413005310 533960909 /nfs/dbraw/zinc/96/09/09/533960909.db2.gz VSVBLUBDGRCJCK-UHFFFAOYSA-N 0 0 262.309 2.955 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1ccc(C2CC2)cc1 ZINC000419004719 534031056 /nfs/dbraw/zinc/03/10/56/534031056.db2.gz OKYFVWYNPPMESE-VIFPVBQESA-N 0 0 299.334 2.848 20 5 CFBDRN C[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1ccn(C)n1 ZINC000413466131 534031509 /nfs/dbraw/zinc/03/15/09/534031509.db2.gz WWTRRTVMCOKXPT-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2nccc3cc([N+](=O)[O-])ccc32)O1 ZINC000413376093 534159409 /nfs/dbraw/zinc/15/94/09/534159409.db2.gz MCMCHOHRYAUKFT-MFKMUULPSA-N 0 0 287.319 2.544 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2N)c(F)c1 ZINC000157281750 534191321 /nfs/dbraw/zinc/19/13/21/534191321.db2.gz RMOJOXUPPSSXND-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H]2CC23CC3)c1 ZINC000418971221 534245135 /nfs/dbraw/zinc/24/51/35/534245135.db2.gz AMQPAFNDADSYKA-JTQLQIEISA-N 0 0 276.292 2.593 20 5 CFBDRN C[C@@](O)(COc1cc([N+](=O)[O-])ccc1Cl)C(F)(F)F ZINC000282021774 518181276 /nfs/dbraw/zinc/18/12/76/518181276.db2.gz XPVOISCMXMTGNQ-SECBINFHSA-N 0 0 299.632 2.940 20 5 CFBDRN CC(=O)c1cc(N(C)Cc2cccnc2)ccc1[N+](=O)[O-] ZINC000228257449 518290113 /nfs/dbraw/zinc/29/01/13/518290113.db2.gz QZPGFVMDSMVPME-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN CC(=O)c1cc(NCCc2nccs2)ccc1[N+](=O)[O-] ZINC000340018580 518290685 /nfs/dbraw/zinc/29/06/85/518290685.db2.gz RIWUKLKQYNPJKU-UHFFFAOYSA-N 0 0 291.332 2.909 20 5 CFBDRN CC(=O)c1cc(NCc2nccs2)ccc1[N+](=O)[O-] ZINC000339971147 518290736 /nfs/dbraw/zinc/29/07/36/518290736.db2.gz IVVMQAZIWQFCID-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000367938831 518413339 /nfs/dbraw/zinc/41/33/39/518413339.db2.gz OJLNXUASEURRKT-HONMWMINSA-N 0 0 276.292 2.529 20 5 CFBDRN CC(C)(C)C(=O)CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000155316518 518553488 /nfs/dbraw/zinc/55/34/88/518553488.db2.gz JXGRGWKDWCDAOE-UHFFFAOYSA-N 0 0 298.726 2.593 20 5 CFBDRN CC(C)(C)C(=O)CSc1ncccc1[N+](=O)[O-] ZINC000065693298 518556975 /nfs/dbraw/zinc/55/69/75/518556975.db2.gz WTFOXTLLVNCLJA-UHFFFAOYSA-N 0 0 254.311 2.697 20 5 CFBDRN CC(C)(C)NC(=O)CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000134539825 518724489 /nfs/dbraw/zinc/72/44/89/518724489.db2.gz ZHWNMPMIEGMIEF-UHFFFAOYSA-N 0 0 299.758 2.965 20 5 CFBDRN CC(C)(C)OCCOc1cc(F)ccc1[N+](=O)[O-] ZINC000063510468 518874848 /nfs/dbraw/zinc/87/48/48/518874848.db2.gz NNBALTXJVDHKRE-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN CC(C)(C)/C=C\C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000491892622 534404146 /nfs/dbraw/zinc/40/41/46/534404146.db2.gz GJBWYUUCUAJWOI-HJWRWDBZSA-N 0 0 291.351 2.725 20 5 CFBDRN CC(C)=CCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000361088378 519444325 /nfs/dbraw/zinc/44/43/25/519444325.db2.gz XXQRGPMNOHEALC-UHFFFAOYSA-N 0 0 258.281 2.820 20 5 CFBDRN CC(C)CCC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000193229679 519632557 /nfs/dbraw/zinc/63/25/57/519632557.db2.gz OZWLQRSDNAKUSV-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN CC(C)CCO[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000341458076 519671217 /nfs/dbraw/zinc/67/12/17/519671217.db2.gz HXJXPYSAYPJDHC-NSHDSACASA-N 0 0 280.324 2.985 20 5 CFBDRN CC(C)OC(=O)CSCc1csc([N+](=O)[O-])c1 ZINC000127712940 519747740 /nfs/dbraw/zinc/74/77/40/519747740.db2.gz LLCFSGVNUGUDDT-UHFFFAOYSA-N 0 0 275.351 2.841 20 5 CFBDRN CC(C)COCCCNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000232609931 519754105 /nfs/dbraw/zinc/75/41/05/519754105.db2.gz SGOPKXSQISGSMW-UHFFFAOYSA-N 0 0 292.339 2.946 20 5 CFBDRN CC(C)[C@@H](CCO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000231087232 519793135 /nfs/dbraw/zinc/79/31/35/519793135.db2.gz AUXKDRSMUDGBSQ-GFCCVEGCSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@H](CNc1ccncc1[N+](=O)[O-])c1cccnc1 ZINC000360989840 519815187 /nfs/dbraw/zinc/81/51/87/519815187.db2.gz HCQUAFVMPRZNMS-ZDUSSCGKSA-N 0 0 286.335 2.658 20 5 CFBDRN CC(C)c1ccc(C[NH2+]C2(C(=O)[O-])CCC2)cc1[N+](=O)[O-] ZINC000340675322 519836199 /nfs/dbraw/zinc/83/61/99/519836199.db2.gz GBVSPGHVNFBYDA-UHFFFAOYSA-N 0 0 292.335 2.815 20 5 CFBDRN CCC1(NS(=O)(=O)c2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000177538471 519845940 /nfs/dbraw/zinc/84/59/40/519845940.db2.gz LIAYEHVXXWHFRH-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN CCC1CCN(C(=O)c2cccc([N+](=O)[O-])c2NC)CC1 ZINC000161469556 519858878 /nfs/dbraw/zinc/85/88/78/519858878.db2.gz OQOSBJGAMRQFOC-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CCCNC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000172464239 519885474 /nfs/dbraw/zinc/88/54/74/519885474.db2.gz ZASSAPHRNCRSNH-UHFFFAOYSA-N 0 0 279.340 2.627 20 5 CFBDRN Cc1nc(NC(=O)CCc2ccsc2)ccc1[N+](=O)[O-] ZINC000175682222 534461603 /nfs/dbraw/zinc/46/16/03/534461603.db2.gz WNFTWGYJPLVPQT-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])s1)c1cccnc1 ZINC000171501411 520092891 /nfs/dbraw/zinc/09/28/91/520092891.db2.gz IXWORPFJHWKMGP-UHFFFAOYSA-N 0 0 277.305 2.718 20 5 CFBDRN CCCCCN(C(=O)c1[nH]nc(C)c1[N+](=O)[O-])C(C)C ZINC000354728547 520145861 /nfs/dbraw/zinc/14/58/61/520145861.db2.gz HRHKRPGAPOOMGH-UHFFFAOYSA-N 0 0 282.344 2.667 20 5 CFBDRN CC(F)(F)CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335853129 520159532 /nfs/dbraw/zinc/15/95/32/520159532.db2.gz CRMWVQPPQPHHFJ-UHFFFAOYSA-N 0 0 284.262 2.525 20 5 CFBDRN CCCCCN(CC(N)=O)c1cc(C)c([N+](=O)[O-])cc1F ZINC000360077409 520159777 /nfs/dbraw/zinc/15/97/77/520159777.db2.gz YWMMRCWNTTXSCT-UHFFFAOYSA-N 0 0 297.330 2.524 20 5 CFBDRN CC1(C(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)[nH]n2)CC1 ZINC000129428389 520230844 /nfs/dbraw/zinc/23/08/44/520230844.db2.gz FQFXXPWQCMFANT-UHFFFAOYSA-N 0 0 286.291 2.724 20 5 CFBDRN CCN(CC(F)F)C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000182471511 520424916 /nfs/dbraw/zinc/42/49/16/520424916.db2.gz BSPHYJBICZXIDN-UHFFFAOYSA-N 0 0 292.669 2.975 20 5 CFBDRN CCN(CC(F)F)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000182587311 520429686 /nfs/dbraw/zinc/42/96/86/520429686.db2.gz DLURCLKIJVDYAM-UHFFFAOYSA-N 0 0 299.277 2.571 20 5 CFBDRN CC1(C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@@H]1C1CC1 ZINC000334389742 520451249 /nfs/dbraw/zinc/45/12/49/520451249.db2.gz SAJHKISQCVNTFN-CYBMUJFWSA-N 0 0 292.310 2.995 20 5 CFBDRN CCCCN(CCO)c1cc(C)c([N+](=O)[O-])cc1F ZINC000312064922 520526319 /nfs/dbraw/zinc/52/63/19/520526319.db2.gz KJJSDAYHBSPOAJ-UHFFFAOYSA-N 0 0 270.304 2.641 20 5 CFBDRN CCCCNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000339994912 520626271 /nfs/dbraw/zinc/62/62/71/520626271.db2.gz NTOTXODGURYABX-UHFFFAOYSA-N 0 0 268.338 2.603 20 5 CFBDRN CCOc1cc(N2CC[C@@H](O)C(C)(C)C2)ccc1[N+](=O)[O-] ZINC000313757404 520750560 /nfs/dbraw/zinc/75/05/60/520750560.db2.gz SILODANKKDJTFN-CQSZACIVSA-N 0 0 294.351 2.591 20 5 CFBDRN CCOc1cc(NCC[C@@H](O)CC)ccc1[N+](=O)[O-] ZINC000127237165 520762249 /nfs/dbraw/zinc/76/22/49/520762249.db2.gz MKZSTLFFJAONFR-NSHDSACASA-N 0 0 268.313 2.566 20 5 CFBDRN CCOc1cc(N[C@@]2(C)CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000230098335 520763257 /nfs/dbraw/zinc/76/32/57/520763257.db2.gz FIZFCIQSQPVPQQ-YGRLFVJLSA-N 0 0 280.324 2.973 20 5 CFBDRN CCOc1cc(N[C@@]2(C)CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000230098346 520763549 /nfs/dbraw/zinc/76/35/49/520763549.db2.gz FIZFCIQSQPVPQQ-HZMBPMFUSA-N 0 0 280.324 2.973 20 5 CFBDRN CCOc1cc(N[C@H](CO)CC2CCC2)ccc1[N+](=O)[O-] ZINC000360094695 520765424 /nfs/dbraw/zinc/76/54/24/520765424.db2.gz XHMCGKJVMSGEED-ZDUSSCGKSA-N 0 0 294.351 2.957 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000340778723 520914059 /nfs/dbraw/zinc/91/40/59/520914059.db2.gz PRPBPRZEFCBCQH-WDEREUQCSA-N 0 0 296.298 2.614 20 5 CFBDRN CCC[C@H](C)NC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000048930935 521061304 /nfs/dbraw/zinc/06/13/04/521061304.db2.gz SPJUBFXIMCKCNW-JTQLQIEISA-N 0 0 279.340 2.945 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000084726793 521121382 /nfs/dbraw/zinc/12/13/82/521121382.db2.gz KJFINTPLUGWXNO-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@@H]2CC)c1 ZINC000338467808 521280392 /nfs/dbraw/zinc/28/03/92/521280392.db2.gz XJUNRCTUMDEQHQ-JOYOIKCWSA-N 0 0 278.308 2.522 20 5 CFBDRN CCC(C)(C)NC(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000337904318 521322100 /nfs/dbraw/zinc/32/21/00/521322100.db2.gz AAMSXWHDAHSFAF-UHFFFAOYSA-N 0 0 282.365 2.992 20 5 CFBDRN CCCc1nc(COc2ccccc2[N+](=O)[O-])no1 ZINC000067096101 521521659 /nfs/dbraw/zinc/52/16/59/521521659.db2.gz XSZUISHPTZUKTJ-UHFFFAOYSA-N 0 0 263.253 2.509 20 5 CFBDRN CCCc1nsc(Oc2ccc([N+](=O)[O-])c(CO)c2)n1 ZINC000360063900 521600648 /nfs/dbraw/zinc/60/06/48/521600648.db2.gz AIDQRLVSWZCTIZ-UHFFFAOYSA-N 0 0 295.320 2.683 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000078471384 521623418 /nfs/dbraw/zinc/62/34/18/521623418.db2.gz ZUXDPCRCXUPSTM-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCS[C@H]1CCC[C@@H](Nc2ccncc2[N+](=O)[O-])C1 ZINC000360990955 521730944 /nfs/dbraw/zinc/73/09/44/521730944.db2.gz PDVFGGUTYTZVBK-MNOVXSKESA-N 0 0 281.381 2.888 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000334064027 521783262 /nfs/dbraw/zinc/78/32/62/521783262.db2.gz AQZPBDAQCIEEIR-MNOVXSKESA-N 0 0 292.335 2.912 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCC1CCCCC1 ZINC000339882698 521793584 /nfs/dbraw/zinc/79/35/84/521793584.db2.gz LMQYECHVJUXHPB-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000150833516 521807825 /nfs/dbraw/zinc/80/78/25/521807825.db2.gz XUDPMQVOEXVCHK-VHSXEESVSA-N 0 0 282.315 2.827 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000049094480 521825421 /nfs/dbraw/zinc/82/54/21/521825421.db2.gz SPFOYFGFBAFZOU-DTWKUNHWSA-N 0 0 290.323 2.678 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000353312997 521887884 /nfs/dbraw/zinc/88/78/84/521887884.db2.gz LGKRILLYZSZRKF-TVQRCGJNSA-N 0 0 280.324 2.903 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000304070248 521900385 /nfs/dbraw/zinc/90/03/85/521900385.db2.gz VDUDERLJCKBTMR-HZMBPMFUSA-N 0 0 295.339 2.513 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1c([N+](=O)[O-])c(C)nn1CC ZINC000091559004 521941109 /nfs/dbraw/zinc/94/11/09/521941109.db2.gz FKKFIKLPFZWMSJ-IUCAKERBSA-N 0 0 254.334 2.966 20 5 CFBDRN CCc1ccsc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000362186848 521988421 /nfs/dbraw/zinc/98/84/21/521988421.db2.gz CIJLIINRKLVNPC-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000361845100 522007551 /nfs/dbraw/zinc/00/75/51/522007551.db2.gz YNBMTIIGAUAKID-ZANVPECISA-N 0 0 280.324 2.903 20 5 CFBDRN CC[C@H](C)n1nc(Nc2ccncc2[N+](=O)[O-])cc1C ZINC000361041947 522073047 /nfs/dbraw/zinc/07/30/47/522073047.db2.gz HLRQLJZTVYKDOB-VIFPVBQESA-N 0 0 275.312 2.631 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2(C)CCCC2)n1 ZINC000166769554 522176107 /nfs/dbraw/zinc/17/61/07/522176107.db2.gz GCPMDFGZFYRORT-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2CCCCC2)n1 ZINC000166673028 522176697 /nfs/dbraw/zinc/17/66/97/522176697.db2.gz HUGYZSCTVHZNCM-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H]2CC[C@@H](C)C2)n1 ZINC000230429725 522177370 /nfs/dbraw/zinc/17/73/70/522177370.db2.gz VZDLNKPIYLMSMK-ZJUUUORDSA-N 0 0 293.323 2.625 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000353350408 522330214 /nfs/dbraw/zinc/33/02/14/522330214.db2.gz ULPFBRIUVNYQOO-BXKDBHETSA-N 0 0 266.297 2.594 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000304070251 522336318 /nfs/dbraw/zinc/33/63/18/522336318.db2.gz VDUDERLJCKBTMR-YGRLFVJLSA-N 0 0 295.339 2.513 20 5 CFBDRN COC(=O)c1cnc(NCCC(C)(C)C)c([N+](=O)[O-])c1 ZINC000358139993 522340674 /nfs/dbraw/zinc/34/06/74/522340674.db2.gz SVUSYNGXENEYAI-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN COC(=O)c1oc(COc2cccc([N+](=O)[O-])c2)cc1C ZINC000047345972 522406267 /nfs/dbraw/zinc/40/62/67/522406267.db2.gz YXCVHXBRQWRAIC-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COC(C)(C)CCOc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000340705040 522476248 /nfs/dbraw/zinc/47/62/48/522476248.db2.gz FWIYXVDTNNJUGR-UHFFFAOYSA-N 0 0 268.313 2.801 20 5 CFBDRN COC(C)(C)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000160612896 522496757 /nfs/dbraw/zinc/49/67/57/522496757.db2.gz DPMZHRLEMQQQMR-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN COc1cc(COc2cccc([N+](=O)[O-])c2C)ccn1 ZINC000339610559 522500535 /nfs/dbraw/zinc/50/05/35/522500535.db2.gz JHNNZNUMTGIYSQ-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CNc1c(C(=O)N2CC[C@@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000163207266 522537336 /nfs/dbraw/zinc/53/73/36/522537336.db2.gz HSNCXHUOMFLVNP-GHMZBOCLSA-N 0 0 291.351 2.755 20 5 CFBDRN CNc1c(C(=O)N2CC[C@H](C(C)C)C2)cccc1[N+](=O)[O-] ZINC000162149314 522538171 /nfs/dbraw/zinc/53/81/71/522538171.db2.gz XJWMTQPMLFCFLA-NSHDSACASA-N 0 0 291.351 2.755 20 5 CFBDRN CNc1c(C(=O)NCC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000231524258 522538243 /nfs/dbraw/zinc/53/82/43/522538243.db2.gz IQGHKBVMLAMKOM-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CNc1c(C(=O)NC[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000230551149 522539354 /nfs/dbraw/zinc/53/93/54/522539354.db2.gz RCGGHKFJVGXIMY-QWRGUYRKSA-N 0 0 291.351 2.803 20 5 CFBDRN CCc1ncc(NC(=O)c2c(C)cccc2[N+](=O)[O-])cn1 ZINC000194937211 522570654 /nfs/dbraw/zinc/57/06/54/522570654.db2.gz MQRPTAQHSIZVGP-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000064089568 522647554 /nfs/dbraw/zinc/64/75/54/522647554.db2.gz CMMDEWYNUIXBRI-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2C[C@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000091562315 522669643 /nfs/dbraw/zinc/66/96/43/522669643.db2.gz RHTZKYMESZNRRX-WCQYABFASA-N 0 0 286.335 2.859 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000162945802 522670065 /nfs/dbraw/zinc/67/00/65/522670065.db2.gz QNQPKPNVSCHLRK-VIFPVBQESA-N 0 0 266.345 2.881 20 5 CFBDRN COc1cc(NC2CC=CC2)c(F)cc1[N+](=O)[O-] ZINC000218870988 522736845 /nfs/dbraw/zinc/73/68/45/522736845.db2.gz CJLWEVCQIQHPIY-UHFFFAOYSA-N 0 0 252.245 2.873 20 5 CFBDRN COc1cc(NC[C@H](C)CCCO)c(F)cc1[N+](=O)[O-] ZINC000294965710 522744250 /nfs/dbraw/zinc/74/42/50/522744250.db2.gz NNYMOFQXRQXNFQ-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000315089439 522744430 /nfs/dbraw/zinc/74/44/30/522744430.db2.gz KLPSWLDJMVMSSC-VXGBXAGGSA-N 0 0 294.351 2.814 20 5 CFBDRN O=C(CSCC1CC1)Nc1ccccc1[N+](=O)[O-] ZINC000291548178 534662217 /nfs/dbraw/zinc/66/22/17/534662217.db2.gz MSBFOYOZGRFJOX-UHFFFAOYSA-N 0 0 266.322 2.677 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCC[C@@H]1OC ZINC000340945084 522939638 /nfs/dbraw/zinc/93/96/38/522939638.db2.gz CFAGUTHFOJMYEJ-ABAIWWIYSA-N 0 0 294.351 2.968 20 5 CFBDRN Cc1cncc(/C=C/C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000178553218 534673066 /nfs/dbraw/zinc/67/30/66/534673066.db2.gz IMOCAOSYRGTKLG-AATRIKPKSA-N 0 0 297.314 2.628 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccsc1 ZINC000338155851 522945678 /nfs/dbraw/zinc/94/56/78/522945678.db2.gz ZHLSMEHROQXLGW-UHFFFAOYSA-N 0 0 290.344 2.971 20 5 CFBDRN CCc1occc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000336113767 523015356 /nfs/dbraw/zinc/01/53/56/523015356.db2.gz QGRRQKUTNNVBSR-UHFFFAOYSA-N 0 0 286.287 2.953 20 5 CFBDRN COCCCCCNc1ncc([N+](=O)[O-])cc1C ZINC000224504290 523135123 /nfs/dbraw/zinc/13/51/23/523135123.db2.gz FRCNDRMFIBZBNH-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCC2(CCC2)C1 ZINC000340862056 523141618 /nfs/dbraw/zinc/14/16/18/523141618.db2.gz FMTCIUAJZBPSJX-UHFFFAOYSA-N 0 0 278.356 2.890 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1Cc2ccc(C)cc2C1 ZINC000340845126 523143821 /nfs/dbraw/zinc/14/38/21/523143821.db2.gz CWWLSXYCFHKHKE-UHFFFAOYSA-N 0 0 286.335 2.948 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000340910848 523144306 /nfs/dbraw/zinc/14/43/06/523144306.db2.gz SXNHULKVDDCZDL-GMTAPVOTSA-N 0 0 280.372 2.990 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCc2c1cccc2F ZINC000361007393 523144350 /nfs/dbraw/zinc/14/43/50/523144350.db2.gz PYURXVNHOKRTSX-UHFFFAOYSA-N 0 0 290.298 2.953 20 5 CFBDRN CN(Cc1c(F)cccc1F)c1ccc([N+](=O)[O-])cn1 ZINC000338792617 523156716 /nfs/dbraw/zinc/15/67/16/523156716.db2.gz SYKYJBGLTWZSDJ-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN COCc1nc(CSCc2ccc([N+](=O)[O-])cc2)no1 ZINC000182788046 523209576 /nfs/dbraw/zinc/20/95/76/523209576.db2.gz CTGTYUOOCUXMRO-UHFFFAOYSA-N 0 0 295.320 2.558 20 5 CFBDRN COCc1nc(COc2ccccc2[N+](=O)[O-])cs1 ZINC000360731721 523209684 /nfs/dbraw/zinc/20/96/84/523209684.db2.gz NIWDEHBKZMJJPH-UHFFFAOYSA-N 0 0 280.305 2.777 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000156374997 523286378 /nfs/dbraw/zinc/28/63/78/523286378.db2.gz JTBDBZCCHKSTOP-NEPJUHHUSA-N 0 0 292.335 2.669 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000313457114 523288802 /nfs/dbraw/zinc/28/88/02/523288802.db2.gz CTUNHXPRQWLKCZ-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN CN(Cc1cccc(F)c1)c1ccc([N+](=O)[O-])nc1 ZINC000339949605 523314327 /nfs/dbraw/zinc/31/43/27/523314327.db2.gz CRBQBGDDJMRWDI-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCc1ccco1 ZINC000193230072 523388506 /nfs/dbraw/zinc/38/85/06/523388506.db2.gz MNDLGXCJXYSGDN-UHFFFAOYSA-N 0 0 288.303 2.779 20 5 CFBDRN CCn1cc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cn1 ZINC000340759504 523432685 /nfs/dbraw/zinc/43/26/85/523432685.db2.gz SGQHQVCLSJHBSC-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN CN(Cc1ccco1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000044312891 523434225 /nfs/dbraw/zinc/43/42/25/523434225.db2.gz WNFXRPSSQXDTHP-UHFFFAOYSA-N 0 0 266.278 2.522 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000334631672 523569131 /nfs/dbraw/zinc/56/91/31/523569131.db2.gz YQEAJCOZZQKBPZ-CQSZACIVSA-N 0 0 280.299 2.996 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000336220195 523578489 /nfs/dbraw/zinc/57/84/89/523578489.db2.gz BGZGUNKRZXGYHJ-MWLCHTKSSA-N 0 0 260.293 2.530 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cn1)C1CC(c2ccccc2)C1 ZINC000364850019 523665945 /nfs/dbraw/zinc/66/59/45/523665945.db2.gz GFZGTCUGMMAYEV-UHFFFAOYSA-N 0 0 284.319 2.767 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000231682830 523701820 /nfs/dbraw/zinc/70/18/20/523701820.db2.gz QIUDVBFPAZUARY-ZYHUDNBSSA-N 0 0 250.298 2.883 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2cccc(F)c2[N+](=O)[O-])C1 ZINC000231683550 523720300 /nfs/dbraw/zinc/72/03/00/523720300.db2.gz PYKQYTIZLYRQRF-DTWKUNHWSA-N 0 0 254.261 2.713 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000231683174 523721222 /nfs/dbraw/zinc/72/12/22/523721222.db2.gz WDTUFIJGFBBYEI-VHSXEESVSA-N 0 0 254.261 2.713 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000157348532 523739501 /nfs/dbraw/zinc/73/95/01/523739501.db2.gz WTCKVAYYXUVSNU-ONGXEEELSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1ccc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000360382526 523749744 /nfs/dbraw/zinc/74/97/44/523749744.db2.gz UIMWAOJXDIJTRE-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2nccc(C)c2[N+](=O)[O-])C1(C)C ZINC000227822948 523775862 /nfs/dbraw/zinc/77/58/62/523775862.db2.gz UEVNKFXGXATSNK-VHSXEESVSA-N 0 0 265.313 2.524 20 5 CFBDRN CN(C(=O)C1CC(C)(C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000335652768 523788004 /nfs/dbraw/zinc/78/80/04/523788004.db2.gz HJJLBLZOKRRSCR-UHFFFAOYSA-N 0 0 262.309 2.994 20 5 CFBDRN CN(C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000361147009 523847496 /nfs/dbraw/zinc/84/74/96/523847496.db2.gz POGFPJZJLSRMKE-UHFFFAOYSA-N 0 0 298.289 2.817 20 5 CFBDRN CC[C@H](C)CSc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000234041871 523893652 /nfs/dbraw/zinc/89/36/52/523893652.db2.gz VKCBYRAUOPVTRH-QMMMGPOBSA-N 0 0 284.337 2.915 20 5 CFBDRN CN(C(=O)[C@H]1C[C@H]1c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000158381195 523912427 /nfs/dbraw/zinc/91/24/27/523912427.db2.gz HZZWFPCZPBIGIL-GJZGRUSLSA-N 0 0 297.314 2.756 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])s1)[C@H]1CC1(C)C ZINC000336093114 523937705 /nfs/dbraw/zinc/93/77/05/523937705.db2.gz ROBRGMIJLPTPCC-QMMMGPOBSA-N 0 0 254.311 2.527 20 5 CFBDRN CN(C)C(=O)c1cccc(CNc2cccc([N+](=O)[O-])c2)c1 ZINC000079880631 524029000 /nfs/dbraw/zinc/02/90/00/524029000.db2.gz GQFMJUVXXHANII-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN COc1ccc(CNc2nc(C)c(C)s2)cc1[N+](=O)[O-] ZINC000362368158 524043430 /nfs/dbraw/zinc/04/34/30/524043430.db2.gz BLTXQMXJBQOURW-UHFFFAOYSA-N 0 0 293.348 2.711 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C[C@@H]2CCCO2)cc([N+](=O)[O-])c1 ZINC000333975423 524118082 /nfs/dbraw/zinc/11/80/82/524118082.db2.gz BQFMGZUVIARHQB-FZMZJTMJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cccc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000078805464 524165557 /nfs/dbraw/zinc/16/55/57/524165557.db2.gz BRIPFJYQDIULJQ-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1cc(C(=O)Nc2cccnc2C)ccc1[N+](=O)[O-] ZINC000073355508 524183237 /nfs/dbraw/zinc/18/32/37/524183237.db2.gz FKGWMGMQOOEFRO-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCC[C@@H]2F)c1[N+](=O)[O-] ZINC000335988953 524206310 /nfs/dbraw/zinc/20/63/10/524206310.db2.gz XRICIHPDPRGNAP-WDEREUQCSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000334241255 524251884 /nfs/dbraw/zinc/25/18/84/524251884.db2.gz NDVOCFABAPDAAI-VIFPVBQESA-N 0 0 297.742 2.800 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])cn2C)CC12CCC2 ZINC000334426904 524265343 /nfs/dbraw/zinc/26/53/43/524265343.db2.gz WJCQADRXWKLXSQ-NSHDSACASA-N 0 0 291.351 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CCSC1 ZINC000335015144 524337976 /nfs/dbraw/zinc/33/79/76/524337976.db2.gz UQTSPPKDYRJUCE-LLVKDONJSA-N 0 0 294.376 2.789 20 5 CFBDRN C[C@@H]1CCC[C@@]1(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000327991588 524343091 /nfs/dbraw/zinc/34/30/91/524343091.db2.gz LMZNFWHJJQBLMA-NOZJJQNGSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1ccc(CC[C@@H](C)Nc2ccncc2[N+](=O)[O-])o1 ZINC000360985564 524344210 /nfs/dbraw/zinc/34/42/10/524344210.db2.gz OZCHRJNPKRRGPL-SNVBAGLBSA-N 0 0 275.308 2.746 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000157286018 524368179 /nfs/dbraw/zinc/36/81/79/524368179.db2.gz ZJPLTXOLLWUPPL-JGVFFNPUSA-N 0 0 254.311 2.575 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1ncc(Br)cc1[N+](=O)[O-] ZINC000309400452 524374984 /nfs/dbraw/zinc/37/49/84/524374984.db2.gz HFANTUWVZIGFMH-XPUUQOCRSA-N 0 0 286.129 2.963 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000158377854 524391410 /nfs/dbraw/zinc/39/14/10/524391410.db2.gz USNBQHLTJGAVFW-QWRGUYRKSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1[N+](=O)[O-] ZINC000335175771 524478319 /nfs/dbraw/zinc/47/83/19/524478319.db2.gz YTVOOLMOAPCIRB-RYUDHWBXSA-N 0 0 264.350 2.593 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000335122846 524485213 /nfs/dbraw/zinc/48/52/13/524485213.db2.gz AHQMTZLMXVCWSR-DTORHVGOSA-N 0 0 298.289 2.530 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C[C@@H]1C ZINC000335338719 524524735 /nfs/dbraw/zinc/52/47/35/524524735.db2.gz NTLMILFJYZBAJJ-IUCAKERBSA-N 0 0 282.727 2.976 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)[C@@H]1c1ccccc1 ZINC000334908161 524534897 /nfs/dbraw/zinc/53/48/97/524534897.db2.gz HICPRLLPUQPBFW-NHYWBVRUSA-N 0 0 299.330 2.767 20 5 CFBDRN Cc1cccc(N2CCCC[C@H](CO)C2)c1[N+](=O)[O-] ZINC000364802294 524540343 /nfs/dbraw/zinc/54/03/43/524540343.db2.gz PFBYRJSZAXEDPZ-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN COc1ccc(NC(=O)N2CC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000360392957 524554375 /nfs/dbraw/zinc/55/43/75/524554375.db2.gz ZTCHQRAOZTZOOD-ZDUSSCGKSA-N 0 0 297.286 2.569 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000075288139 524569628 /nfs/dbraw/zinc/56/96/28/524569628.db2.gz QWQHKLPEPOOBEW-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000230434159 524598362 /nfs/dbraw/zinc/59/83/62/524598362.db2.gz GCFRGRWUOKZGJJ-NXEZZACHSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1cccc(NC2CCC(O)CC2)c1[N+](=O)[O-] ZINC000218823698 524667608 /nfs/dbraw/zinc/66/76/08/524667608.db2.gz RZENPTKCCCCYEX-UHFFFAOYSA-N 0 0 250.298 2.619 20 5 CFBDRN Cc1cc(COC(=O)CCOC(C)C)ccc1[N+](=O)[O-] ZINC000181288408 524797597 /nfs/dbraw/zinc/79/75/97/524797597.db2.gz PMHJNDUXMUTODA-UHFFFAOYSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])cc2F)on1 ZINC000339921214 524805852 /nfs/dbraw/zinc/80/58/52/524805852.db2.gz AUWOTDHMWFUGBO-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN Cc1ccc(F)c(NC(=O)c2c(N)cccc2[N+](=O)[O-])c1 ZINC000180609211 524824871 /nfs/dbraw/zinc/82/48/71/524824871.db2.gz BPMXPXWQYKELQL-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)[C@H]1C ZINC000335003960 524851325 /nfs/dbraw/zinc/85/13/25/524851325.db2.gz IXGMKZODQAVVTR-BDAKNGLRSA-N 0 0 273.292 2.557 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000336445621 524888557 /nfs/dbraw/zinc/88/85/57/524888557.db2.gz HZTYSRKSDOBKKE-UWVGGRQHSA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)C[C@H]1CCCO1 ZINC000334104139 524903624 /nfs/dbraw/zinc/90/36/24/524903624.db2.gz SBKONSCMIIWOMO-NWDGAFQWSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(=O)c1cc(NCc2cc(C)no2)ccc1[N+](=O)[O-] ZINC000341769102 534822978 /nfs/dbraw/zinc/82/29/78/534822978.db2.gz HQIZSVMGKIGOMX-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Cc1cc(Nc2ccncc2[N+](=O)[O-])nn1[C@H](C)C1CC1 ZINC000361062424 525099707 /nfs/dbraw/zinc/09/97/07/525099707.db2.gz LURSLWPHYZMTNZ-SNVBAGLBSA-N 0 0 287.323 2.631 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])c2cnccc12)C1CC1 ZINC000313829603 525181155 /nfs/dbraw/zinc/18/11/55/525181155.db2.gz CVZJTIGLPIVDDE-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H](NC(=O)NC1(C(F)F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000337692580 525188346 /nfs/dbraw/zinc/18/83/46/525188346.db2.gz VWHFZMSXKLVFNE-MRVPVSSYSA-N 0 0 299.277 2.753 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000335964438 525204106 /nfs/dbraw/zinc/20/41/06/525204106.db2.gz AVKFDXYEDBIXAQ-KOLCDFICSA-N 0 0 281.287 2.915 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335265324 525216120 /nfs/dbraw/zinc/21/61/20/525216120.db2.gz UWJIAOOPQWJNJY-RKDXNWHRSA-N 0 0 281.287 2.996 20 5 CFBDRN Cc1cc(NC(=O)c2c(C)cccc2[N+](=O)[O-])ccn1 ZINC000194697642 525238432 /nfs/dbraw/zinc/23/84/32/525238432.db2.gz HHMVMGSBBGGTGV-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cc(NCC[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000312075733 525272090 /nfs/dbraw/zinc/27/20/90/525272090.db2.gz QBBVLAUPRARCSB-JTQLQIEISA-N 0 0 268.288 2.881 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000128445069 525276893 /nfs/dbraw/zinc/27/68/93/525276893.db2.gz KRJWAKKCCMNLPW-ZYHUDNBSSA-N 0 0 250.298 2.883 20 5 CFBDRN CSC1(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000191436324 525304128 /nfs/dbraw/zinc/30/41/28/525304128.db2.gz JKUVSLXUIRGNRN-SECBINFHSA-N 0 0 280.349 2.668 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230307217 525305226 /nfs/dbraw/zinc/30/52/26/525305226.db2.gz WSZNUPKQVHDFKH-GXTWGEPZSA-N 0 0 262.309 2.883 20 5 CFBDRN CSCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000048512939 525355682 /nfs/dbraw/zinc/35/56/82/525355682.db2.gz KEXCREURBURXKU-UHFFFAOYSA-N 0 0 260.702 2.550 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000050178356 525358954 /nfs/dbraw/zinc/35/89/54/525358954.db2.gz REKLKMOROCGWDQ-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000336002739 525389197 /nfs/dbraw/zinc/38/91/97/525389197.db2.gz UOCULXBKKRGZAB-VHSXEESVSA-N 0 0 263.297 2.857 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000336395002 525392239 /nfs/dbraw/zinc/39/22/39/525392239.db2.gz USQSWQWQYZVQIX-BDAKNGLRSA-N 0 0 281.287 2.996 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CC[C@H]2CCCO2)c1 ZINC000338711253 525451663 /nfs/dbraw/zinc/45/16/63/525451663.db2.gz PTYBAXJVEYVOPI-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CC[C@@H](F)C2)c1 ZINC000338722570 525452728 /nfs/dbraw/zinc/45/27/28/525452728.db2.gz CWTAFXLWYOVGSS-BDAKNGLRSA-N 0 0 254.261 2.906 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CCSC2)c1 ZINC000230919834 525453040 /nfs/dbraw/zinc/45/30/40/525453040.db2.gz RFPOCVRPXRGWPB-QMMMGPOBSA-N 0 0 254.311 2.521 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c1 ZINC000338715590 525453953 /nfs/dbraw/zinc/45/39/53/525453953.db2.gz RLBOOBIVAAAMGY-WDMOLILDSA-N 0 0 278.308 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCC(C)C ZINC000045529791 525454478 /nfs/dbraw/zinc/45/44/78/525454478.db2.gz JRCZEJCKXPEZEF-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN Cc1cccnc1C1(CNc2ccc([N+](=O)[O-])cn2)CC1 ZINC000366089685 525507979 /nfs/dbraw/zinc/50/79/79/525507979.db2.gz QICSMGINJYOHKM-UHFFFAOYSA-N 0 0 284.319 2.837 20 5 CFBDRN Cc1c(Br)c(NCC2CC2)ncc1[N+](=O)[O-] ZINC000167052233 525524619 /nfs/dbraw/zinc/52/46/19/525524619.db2.gz RXMGOUIQKVOQOJ-UHFFFAOYSA-N 0 0 286.129 2.883 20 5 CFBDRN CC(=O)c1ccc(OCC[C@@H](C)F)c([N+](=O)[O-])c1 ZINC000505581249 534869745 /nfs/dbraw/zinc/86/97/45/534869745.db2.gz GJXARKRGRKQJLS-MRVPVSSYSA-N 0 0 255.245 2.924 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1F)C(=O)N1CCCCC1 ZINC000054061088 525661961 /nfs/dbraw/zinc/66/19/61/525661961.db2.gz GJFKYOWPDLTYSW-JTQLQIEISA-N 0 0 295.314 2.547 20 5 CFBDRN C[C@H](Nc1ccccc1[N+](=O)[O-])C(=O)NCc1ccccc1 ZINC000054980149 525673057 /nfs/dbraw/zinc/67/30/57/525673057.db2.gz IYNGMMWBEAFSNE-LBPRGKRZSA-N 0 0 299.330 2.712 20 5 CFBDRN Cc1ccnc(NCCOC2CCCC2)c1[N+](=O)[O-] ZINC000070496447 525690466 /nfs/dbraw/zinc/69/04/66/525690466.db2.gz XYEMNYGHYVDKOS-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCC[C@H](CO)C2)c1[N+](=O)[O-] ZINC000312532430 525694421 /nfs/dbraw/zinc/69/44/21/525694421.db2.gz VPTHOWAJNDXWEA-NEPJUHHUSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000336308709 525703855 /nfs/dbraw/zinc/70/38/55/525703855.db2.gz SKQBLYPEJVNUHM-ZYHUDNBSSA-N 0 0 295.314 2.718 20 5 CFBDRN Cc1c(CNC(=O)C[C@@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000360525053 525712138 /nfs/dbraw/zinc/71/21/38/525712138.db2.gz MATZNLOSOUKPTB-GFCCVEGCSA-N 0 0 274.320 2.876 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2ncccc12)[C@H](C)CO ZINC000167218446 525712735 /nfs/dbraw/zinc/71/27/35/525712735.db2.gz AWBLSJVDDPLJBE-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN Cc1c(CNC(=O)N2CCC=C(C)C2)cccc1[N+](=O)[O-] ZINC000336165580 525714749 /nfs/dbraw/zinc/71/47/49/525714749.db2.gz GNPZHDJNFHJBQH-UHFFFAOYSA-N 0 0 289.335 2.765 20 5 CFBDRN C[C@H](O)CCCOc1ccc([N+](=O)[O-])c2ncccc12 ZINC000312977958 525715605 /nfs/dbraw/zinc/71/56/05/525715605.db2.gz KYFSCHNEGIEXMV-JTQLQIEISA-N 0 0 276.292 2.683 20 5 CFBDRN Cc1c(CNC(=O)c2cc(Cl)c[nH]2)cccc1[N+](=O)[O-] ZINC000360514199 525719653 /nfs/dbraw/zinc/71/96/53/525719653.db2.gz GWSFDMMRHXILDX-UHFFFAOYSA-N 0 0 293.710 2.815 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000360540473 525721019 /nfs/dbraw/zinc/72/10/19/525721019.db2.gz PHYSFBLPMIKGDL-JQWIXIFHSA-N 0 0 276.336 2.956 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235539131 525816739 /nfs/dbraw/zinc/81/67/39/525816739.db2.gz LWPVYGBZOISJSZ-BQBZGAKWSA-N 0 0 293.245 2.580 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000228129420 525819970 /nfs/dbraw/zinc/81/99/70/525819970.db2.gz KNRQANQRMAUNPQ-NXEZZACHSA-N 0 0 275.308 2.714 20 5 CFBDRN COc1cccc(C(=O)NC[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000340082768 525820350 /nfs/dbraw/zinc/82/03/50/525820350.db2.gz GYINIUSKJVVSLO-WDEREUQCSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1c(NC(=O)[C@H]2[C@H](C)C2(F)F)cccc1[N+](=O)[O-] ZINC000335157112 525858621 /nfs/dbraw/zinc/85/86/21/525858621.db2.gz VQUWYALZOZFSGR-OIBJUYFYSA-N 0 0 270.235 2.743 20 5 CFBDRN C[C@@]1(NC(=O)c2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000335181969 525871122 /nfs/dbraw/zinc/87/11/22/525871122.db2.gz XQXNEYUXGBMDGJ-CQSZACIVSA-N 0 0 260.293 2.823 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](CC3CC3)C2)c(F)c1 ZINC000413297990 534888593 /nfs/dbraw/zinc/88/85/93/534888593.db2.gz MGNDIBYLRLSZKH-SNVBAGLBSA-N 0 0 265.288 2.755 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(C(F)F)CC2)c(F)c1 ZINC000413274787 534888830 /nfs/dbraw/zinc/88/88/30/534888830.db2.gz WUBIFQQKPQAQGI-UHFFFAOYSA-N 0 0 261.203 2.586 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCC(C(F)F)CC2)nc1 ZINC000413441279 534888863 /nfs/dbraw/zinc/88/88/63/534888863.db2.gz LGVPLLCBKBLQNO-UHFFFAOYSA-N 0 0 272.255 2.621 20 5 CFBDRN C[C@H](C(=O)N1CCC12CCC2)c1ccc([N+](=O)[O-])cc1F ZINC000334581963 525961520 /nfs/dbraw/zinc/96/15/20/525961520.db2.gz IZAJJYGACZQRHI-JTQLQIEISA-N 0 0 292.310 2.992 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1ccccn1 ZINC000339250104 525998725 /nfs/dbraw/zinc/99/87/25/525998725.db2.gz WMWAAEJVMDTXAE-NSHDSACASA-N 0 0 297.314 2.683 20 5 CFBDRN Cc1cnc(CNc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000220444033 526050766 /nfs/dbraw/zinc/05/07/66/526050766.db2.gz JWAKKNBPWYSXAL-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cccnc2)c1 ZINC000172961936 526053516 /nfs/dbraw/zinc/05/35/16/526053516.db2.gz UTECYGRLYVVLJM-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1cnc(CSc2cccc([N+](=O)[O-])c2)cn1 ZINC000337911070 526059570 /nfs/dbraw/zinc/05/95/70/526059570.db2.gz IUIHJPWXXLTNLQ-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1C1CC1 ZINC000370757303 526069087 /nfs/dbraw/zinc/06/90/87/526069087.db2.gz HIIRROULYCENON-AWEZNQCLSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1[C@@H](C)C1(F)F ZINC000334592697 526082659 /nfs/dbraw/zinc/08/26/59/526082659.db2.gz AQHYBYPEEOGZBC-GMSGAONNSA-N 0 0 270.235 2.743 20 5 CFBDRN CSc1cccc(C(=O)NCCCCF)c1[N+](=O)[O-] ZINC000360816152 526089658 /nfs/dbraw/zinc/08/96/58/526089658.db2.gz GXFVDQLAVZTFCO-UHFFFAOYSA-N 0 0 286.328 2.796 20 5 CFBDRN Cc1cnc(NCc2cccc([N+](=O)[O-])c2C)nc1 ZINC000231868689 526093440 /nfs/dbraw/zinc/09/34/40/526093440.db2.gz XHHRTBWGKDEAKI-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@@H]1CC(CCNC(=O)c2ccc([N+](=O)[O-])o2)C[C@@H](C)O1 ZINC000338492795 526225866 /nfs/dbraw/zinc/22/58/66/526225866.db2.gz BBOAWVWUTJKAJY-NXEZZACHSA-N 0 0 296.323 2.511 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000340970494 526235363 /nfs/dbraw/zinc/23/53/63/526235363.db2.gz IGCQQGBHFJKUKZ-AAEUAGOBSA-N 0 0 274.320 2.813 20 5 CFBDRN Cc1ccc(C(N)=O)c(OCc2ccc([N+](=O)[O-])cc2)c1 ZINC000073696058 526252155 /nfs/dbraw/zinc/25/21/55/526252155.db2.gz INGZXIDYWUEMCL-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)C[C@H]2C)cc([N+](=O)[O-])c1 ZINC000334796675 526261567 /nfs/dbraw/zinc/26/15/67/526261567.db2.gz ZYWIYMNGZHKVBG-WDEREUQCSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cc(C(=O)NC(C2CC2)C2CC2)cc([N+](=O)[O-])c1 ZINC000049249903 526263841 /nfs/dbraw/zinc/26/38/41/526263841.db2.gz LXPZPCJTPGQYIU-UHFFFAOYSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1nn(COCCC(C)(C)C)c(C)c1[N+](=O)[O-] ZINC000338500187 526283054 /nfs/dbraw/zinc/28/30/54/526283054.db2.gz DPVOEIVJHWOSDD-UHFFFAOYSA-N 0 0 255.318 2.818 20 5 CFBDRN Cc1coc(SCC(=O)Nc2ccccc2[N+](=O)[O-])n1 ZINC000188381226 526290761 /nfs/dbraw/zinc/29/07/61/526290761.db2.gz PUFKODARAYJRMJ-UHFFFAOYSA-N 0 0 293.304 2.622 20 5 CFBDRN O=C([C@@H]1CCC(F)(F)C1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000336089809 526465380 /nfs/dbraw/zinc/46/53/80/526465380.db2.gz ORNAOKKYGUGXHK-SECBINFHSA-N 0 0 296.273 2.919 20 5 CFBDRN CC(C)(C)Oc1cc(N[C@@H]2CC[C@H]2O)ccc1[N+](=O)[O-] ZINC000425290060 526575046 /nfs/dbraw/zinc/57/50/46/526575046.db2.gz WTKYAKPGQRABHZ-ZYHUDNBSSA-N 0 0 280.324 2.707 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC(F)(F)C1 ZINC000336144346 526581203 /nfs/dbraw/zinc/58/12/03/526581203.db2.gz WXMNNVWAHSCXRY-UHFFFAOYSA-N 0 0 295.245 2.557 20 5 CFBDRN CN(Cc1cc(Cl)ccc1F)c1c([N+](=O)[O-])ncn1C ZINC000433816608 536457503 /nfs/dbraw/zinc/45/75/03/536457503.db2.gz XSHKSUJYIAJLMA-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CC[C@H](C2CC2)C1 ZINC000378318370 526616055 /nfs/dbraw/zinc/61/60/55/526616055.db2.gz UHGIBMDOJJASMN-VIFPVBQESA-N 0 0 296.273 2.745 20 5 CFBDRN Cc1noc(COc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000049302790 526628131 /nfs/dbraw/zinc/62/81/31/526628131.db2.gz LTMWHEAWCGWUCQ-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN O=C(NCc1c(F)cccc1Cl)c1ccc([N+](=O)[O-])[nH]1 ZINC000340650935 526634856 /nfs/dbraw/zinc/63/48/56/526634856.db2.gz WCEIKNFAHWYKJB-UHFFFAOYSA-N 0 0 297.673 2.645 20 5 CFBDRN O=C(CCc1nccs1)Nc1ccc([N+](=O)[O-])cc1F ZINC000339192537 526661192 /nfs/dbraw/zinc/66/11/92/526661192.db2.gz RZPSJYXLJCJWCX-UHFFFAOYSA-N 0 0 295.295 2.762 20 5 CFBDRN Cc1noc([C@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000049240937 526666577 /nfs/dbraw/zinc/66/65/77/526666577.db2.gz YNWBKPKFMHGYBT-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1sccc1F ZINC000339034887 526695001 /nfs/dbraw/zinc/69/50/01/526695001.db2.gz FHGYXGXCRIZSBS-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H]1c1cccnc1 ZINC000360871266 526716857 /nfs/dbraw/zinc/71/68/57/526716857.db2.gz JAMVWASMGJDKJV-NSHDSACASA-N 0 0 287.275 2.560 20 5 CFBDRN O=C(NCc1nccs1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000339461465 526831865 /nfs/dbraw/zinc/83/18/65/526831865.db2.gz OGSWSMRBCNLNOI-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1sc(C(=O)N(C)Cc2ccoc2)cc1[N+](=O)[O-] ZINC000048820299 526870766 /nfs/dbraw/zinc/87/07/66/526870766.db2.gz CUKZKTLJZJZFAM-UHFFFAOYSA-N 0 0 280.305 2.830 20 5 CFBDRN O=C(N[C@@H]1CC12CCCC2)c1ccc([N+](=O)[O-])cc1F ZINC000336117804 526879369 /nfs/dbraw/zinc/87/93/69/526879369.db2.gz URGGSGKENOGLFG-GFCCVEGCSA-N 0 0 278.283 2.796 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc[nH]1 ZINC000048687524 526907322 /nfs/dbraw/zinc/90/73/22/526907322.db2.gz NFPHRGCAKFZPAB-LLVKDONJSA-N 0 0 291.332 2.962 20 5 CFBDRN O=C(N[C@@H]1CC[C@H](F)C1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336392775 526950862 /nfs/dbraw/zinc/95/08/62/526950862.db2.gz YWZBRMGHHNGIFZ-VHSXEESVSA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(N[C@@H]1CC[C@H](F)C1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000336401312 526951351 /nfs/dbraw/zinc/95/13/51/526951351.db2.gz UFTTYXMMDGMSJD-DTWKUNHWSA-N 0 0 291.282 2.697 20 5 CFBDRN NC(=O)[C@@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000150897687 526967770 /nfs/dbraw/zinc/96/77/70/526967770.db2.gz RGGJPFNMVODCSF-RKDXNWHRSA-N 0 0 297.742 2.704 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1Cl)NCC1CCC1 ZINC000078574687 526968825 /nfs/dbraw/zinc/96/88/25/526968825.db2.gz UCNQMJBFIJBALH-UHFFFAOYSA-N 0 0 298.726 2.543 20 5 CFBDRN Cc1nc(NC[C@@H]2CCC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000312705816 526998993 /nfs/dbraw/zinc/99/89/93/526998993.db2.gz SEKYWRKFMDDXJE-NEPJUHHUSA-N 0 0 279.340 2.509 20 5 CFBDRN Cn1c(-c2nc(CC3CCCC3)no2)ccc1[N+](=O)[O-] ZINC000340870558 527019199 /nfs/dbraw/zinc/01/91/99/527019199.db2.gz BOVXOYDNNSBAMD-UHFFFAOYSA-N 0 0 276.296 2.716 20 5 CFBDRN Cn1c(=O)ccc2ccc(Nc3ccc([N+](=O)[O-])cn3)cc21 ZINC000362669522 527030582 /nfs/dbraw/zinc/03/05/82/527030582.db2.gz VGXONIJFLFNATQ-UHFFFAOYSA-N 0 0 296.286 2.585 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NCc1ccoc1 ZINC000048990689 527038141 /nfs/dbraw/zinc/03/81/41/527038141.db2.gz YKMGEEJGRQNBQK-UHFFFAOYSA-N 0 0 292.316 2.596 20 5 CFBDRN Cn1c(C(=O)Nc2ccc3ncccc3c2)ccc1[N+](=O)[O-] ZINC000340763901 527044064 /nfs/dbraw/zinc/04/40/64/527044064.db2.gz BACFTPOAWIXQGC-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1nc([C@@H]2CCCN2c2cc(F)ccc2[N+](=O)[O-])no1 ZINC000369388110 527054701 /nfs/dbraw/zinc/05/47/01/527054701.db2.gz DZCYGTAIDROBFK-NSHDSACASA-N 0 0 292.270 2.767 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1C[C@H]2CCC[C@H]21 ZINC000336055278 527124561 /nfs/dbraw/zinc/12/45/61/527124561.db2.gz GAOUCTYABRUYCI-PRHODGIISA-N 0 0 279.271 2.750 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1C[C@H]2CCC[C@@H]2C1 ZINC000336056271 527124568 /nfs/dbraw/zinc/12/45/68/527124568.db2.gz ARUMUVLOCMZDJX-NXEZZACHSA-N 0 0 293.298 2.998 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@]12C[C@H]1CCC2 ZINC000335049571 527124621 /nfs/dbraw/zinc/12/46/21/527124621.db2.gz YGCHDMIPQCKKHV-AMIZOPFISA-N 0 0 264.256 2.863 20 5 CFBDRN CC(=O)c1cc(N[C@@H](C)c2ccn(C)n2)ccc1[N+](=O)[O-] ZINC000413465171 527217596 /nfs/dbraw/zinc/21/75/96/527217596.db2.gz USURDQAWSYGHAC-VIFPVBQESA-N 0 0 288.307 2.704 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1C=CCCC1 ZINC000152525027 527260684 /nfs/dbraw/zinc/26/06/84/527260684.db2.gz HVITVCZDEDPPND-SNVBAGLBSA-N 0 0 261.281 2.825 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C)[C@H]1C ZINC000172662347 527311980 /nfs/dbraw/zinc/31/19/80/527311980.db2.gz ORIALHQSUNNTAS-MWLCHTKSSA-N 0 0 277.324 2.559 20 5 CFBDRN O=C(Cc1ccoc1)Nc1ccc([N+](=O)[O-])cc1F ZINC000361839266 527312636 /nfs/dbraw/zinc/31/26/36/527312636.db2.gz YKQPIPZIPZXQDA-UHFFFAOYSA-N 0 0 264.212 2.508 20 5 CFBDRN O=C(Nc1cccc(-n2cccc2)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000339189959 527318345 /nfs/dbraw/zinc/31/83/45/527318345.db2.gz QKSXXBVYCFVUOQ-UHFFFAOYSA-N 0 0 296.286 2.966 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H](O)c1ccsc1 ZINC000218923036 527320546 /nfs/dbraw/zinc/32/05/46/527320546.db2.gz LSTKOXXQNXCTIY-NSHDSACASA-N 0 0 282.296 2.941 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCC[C@@H]2F)nc2sccn21 ZINC000338822456 527322271 /nfs/dbraw/zinc/32/22/71/527322271.db2.gz AAMRWDFTXZIWAR-BQBZGAKWSA-N 0 0 270.289 2.607 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1C[C@@H]1c1c(F)cccc1F ZINC000340892729 527324662 /nfs/dbraw/zinc/32/46/62/527324662.db2.gz FXBCGJOMVMMCGF-QPUJVOFHSA-N 0 0 291.257 2.658 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1CCO[C@@H](c2ccccc2)C1 ZINC000361014761 527325165 /nfs/dbraw/zinc/32/51/65/527325165.db2.gz OMEHSPLXJLMYKL-XJKSGUPXSA-N 0 0 299.330 2.744 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ccccc1OCC1CC1 ZINC000361003459 527325172 /nfs/dbraw/zinc/32/51/72/527325172.db2.gz TUWVRPUGGBQFJZ-UHFFFAOYSA-N 0 0 299.330 2.813 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1Cc2ccccc2[C@@H]1O ZINC000170982474 527337888 /nfs/dbraw/zinc/33/78/88/527337888.db2.gz AOMIIEBSWVEVTF-ZFWWWQNUSA-N 0 0 288.278 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(N(C[C@@H]2CCOC2)C2CC2)c(F)c1 ZINC000167537139 527357894 /nfs/dbraw/zinc/35/78/94/527357894.db2.gz VHSJWUWWCNSSJF-JTQLQIEISA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]2c2ccccc2)nc1 ZINC000048976685 527363100 /nfs/dbraw/zinc/36/31/00/527363100.db2.gz MHDVFJZZIPLHBT-ZDUSSCGKSA-N 0 0 255.277 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cnc(C3CC3)nc2)cc1 ZINC000339355073 527365194 /nfs/dbraw/zinc/36/51/94/527365194.db2.gz DYRIGFPDSJLHLZ-UHFFFAOYSA-N 0 0 284.319 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccccc2-n2cccn2)cn1 ZINC000315710173 527366213 /nfs/dbraw/zinc/36/62/13/527366213.db2.gz CPZFFKUMCQJQAE-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccncn2)c2ccncc21 ZINC000236422694 527366462 /nfs/dbraw/zinc/36/64/62/527366462.db2.gz KKTLLARHCKKKDY-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCc2ccccc2)cc1CO ZINC000337354190 527373331 /nfs/dbraw/zinc/37/33/31/527373331.db2.gz ABHDEBPEBLNRNY-UHFFFAOYSA-N 0 0 273.288 2.709 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1C[C@H]1c1ccco1 ZINC000049412327 527374556 /nfs/dbraw/zinc/37/45/56/527374556.db2.gz ZEUQRTXYARCWKB-NEPJUHHUSA-N 0 0 272.260 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2CCCCO2)nc1 ZINC000159767073 527377877 /nfs/dbraw/zinc/37/78/77/527377877.db2.gz WNXTZEVXNLJVCY-JTQLQIEISA-N 0 0 254.311 2.651 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cnc3c(n2)CCCC3)c1 ZINC000314839737 527387847 /nfs/dbraw/zinc/38/78/47/527387847.db2.gz UDDQSOPCGNSXTB-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(Cc3cccnc3)no2)c1 ZINC000340659782 527388032 /nfs/dbraw/zinc/38/80/32/527388032.db2.gz NSGHRMHUTIKUKK-UHFFFAOYSA-N 0 0 296.286 2.554 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1Cc2ccccc2[C@@H]1O ZINC000170982288 527403574 /nfs/dbraw/zinc/40/35/74/527403574.db2.gz SCBMHZHJLWPZJF-ZFWWWQNUSA-N 0 0 270.288 2.665 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1Cc2ccccc2[C@H]1O ZINC000170982284 527404685 /nfs/dbraw/zinc/40/46/85/527404685.db2.gz SCBMHZHJLWPZJF-UKRRQHHQSA-N 0 0 270.288 2.665 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](c1cccnc1)C1CC1 ZINC000340077309 527407184 /nfs/dbraw/zinc/40/71/84/527407184.db2.gz JDHQBBRVTWVGDU-CYBMUJFWSA-N 0 0 270.292 2.948 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@H]1CCCCO1 ZINC000067807495 527407383 /nfs/dbraw/zinc/40/73/83/527407383.db2.gz KAJILNDNLGGUMN-SECBINFHSA-N 0 0 254.311 2.651 20 5 CFBDRN O=[N+]([O-])c1ccnn1C[C@@H]1CCC2(CCCCC2)O1 ZINC000191823833 527408103 /nfs/dbraw/zinc/40/81/03/527408103.db2.gz GMNDSQQNZFJECB-NSHDSACASA-N 0 0 265.313 2.673 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ncc(-c2ccccc2F)o1 ZINC000150904830 527408485 /nfs/dbraw/zinc/40/84/85/527408485.db2.gz VMGLPQOPNSZPJG-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2cccc3cccnc32)nc1 ZINC000317843645 527410235 /nfs/dbraw/zinc/41/02/35/527410235.db2.gz IHHOGUOMMNSWFR-UHFFFAOYSA-N 0 0 295.302 2.588 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1NCCC1CC1 ZINC000231630255 527412647 /nfs/dbraw/zinc/41/26/47/527412647.db2.gz NNVKZTVONRRIMB-UHFFFAOYSA-N 0 0 286.129 2.964 20 5 CFBDRN Nc1c(Cl)cc(C(=O)Nc2nccs2)cc1[N+](=O)[O-] ZINC000050696982 527430766 /nfs/dbraw/zinc/43/07/66/527430766.db2.gz YWBPHAXLUMHFGB-UHFFFAOYSA-N 0 0 298.711 2.539 20 5 CFBDRN Cc1ncsc1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000341309028 527481063 /nfs/dbraw/zinc/48/10/63/527481063.db2.gz ULUMMFXFPLIESR-QMMMGPOBSA-N 0 0 291.332 2.851 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@H]1CCc2ccccc2C1 ZINC000336366766 527486396 /nfs/dbraw/zinc/48/63/96/527486396.db2.gz AQKWZZWGRGDLAG-LBPRGKRZSA-N 0 0 284.315 2.562 20 5 CFBDRN O=C(Nc1cnn(C(F)F)c1)Nc1ccc([N+](=O)[O-])cc1 ZINC000361740038 527488209 /nfs/dbraw/zinc/48/82/09/527488209.db2.gz DCXJFXLRWYUZCU-UHFFFAOYSA-N 0 0 297.221 2.830 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1ccc(Cl)c(F)c1 ZINC000334357051 527491929 /nfs/dbraw/zinc/49/19/29/527491929.db2.gz OWYUNFQZDUTQNG-UHFFFAOYSA-N 0 0 271.635 2.913 20 5 CFBDRN O=C(NC1CCC(F)(F)CC1)c1ccccc1[N+](=O)[O-] ZINC000336021718 527500123 /nfs/dbraw/zinc/50/01/23/527500123.db2.gz FOMBORMEFPSHQV-UHFFFAOYSA-N 0 0 284.262 2.903 20 5 CFBDRN Nc1nc(Cl)cc(SCc2ccc([N+](=O)[O-])cc2)n1 ZINC000159443007 527553421 /nfs/dbraw/zinc/55/34/21/527553421.db2.gz PLVKWTGCASHMPR-UHFFFAOYSA-N 0 0 296.739 2.913 20 5 CFBDRN O=C([O-])CC[C@H]1CCCC[N@@H+]1Cc1ccc([N+](=O)[O-])cc1 ZINC000050925108 527599376 /nfs/dbraw/zinc/59/93/76/527599376.db2.gz JAOQPAGAOYDZBD-CYBMUJFWSA-N 0 0 292.335 2.814 20 5 CFBDRN O=C(NCC1CCCC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037496 527605881 /nfs/dbraw/zinc/60/58/81/527605881.db2.gz IDFBYICHSGEYBR-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN CC(C)(C)C1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000413367458 527638155 /nfs/dbraw/zinc/63/81/55/527638155.db2.gz QWHLBXCVHVHFBH-UHFFFAOYSA-N 0 0 274.324 2.953 20 5 CFBDRN CC(C)(C)CCSCCn1cc([N+](=O)[O-])cn1 ZINC000187558780 527673355 /nfs/dbraw/zinc/67/33/55/527673355.db2.gz XYLARXVHUWHLNM-UHFFFAOYSA-N 0 0 257.359 2.961 20 5 CFBDRN Cc1nn(C)c(NCCO[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000052280825 527679163 /nfs/dbraw/zinc/67/91/63/527679163.db2.gz OJYYRYOVCLYBOM-PWSUYJOCSA-N 0 0 296.371 2.644 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1ccc([N+](=O)[O-])cc1F ZINC000068910042 527697754 /nfs/dbraw/zinc/69/77/54/527697754.db2.gz QNGWKNOBXYZXPU-MRVPVSSYSA-N 0 0 278.287 2.949 20 5 CFBDRN CCC1(NC(=O)NCCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000175993509 527783141 /nfs/dbraw/zinc/78/31/41/527783141.db2.gz IILXVASHTISWSP-UHFFFAOYSA-N 0 0 291.351 2.769 20 5 CFBDRN CCC1CN(c2ncc([N+](=O)[O-])cc2Br)C1 ZINC000413363182 527877361 /nfs/dbraw/zinc/87/73/61/527877361.db2.gz MOHFCGAFSSKLKF-UHFFFAOYSA-N 0 0 286.129 2.599 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])c3ncccc23)COC1 ZINC000413145799 527989431 /nfs/dbraw/zinc/98/94/31/527989431.db2.gz VWHHCMFQCAVRCS-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000413385523 528104524 /nfs/dbraw/zinc/10/45/24/528104524.db2.gz IAUPCSXRRDMGSS-PHIMTYICSA-N 0 0 250.298 2.883 20 5 CFBDRN CCC[C@H](NCC(F)(F)CN)c1cccc([N+](=O)[O-])c1 ZINC000423335670 528215016 /nfs/dbraw/zinc/21/50/16/528215016.db2.gz UBTAYPAZKMEGAM-LBPRGKRZSA-N 0 0 287.310 2.620 20 5 CFBDRN CCOc1cc(N2CCCO[C@H](CF)C2)ccc1[N+](=O)[O-] ZINC000413476110 528309379 /nfs/dbraw/zinc/30/93/79/528309379.db2.gz DCVRXWNFIMGCFJ-GFCCVEGCSA-N 0 0 298.314 2.558 20 5 CFBDRN CCNc1ccc(C(=O)N(C)[C@H](C)CC)cc1[N+](=O)[O-] ZINC000055468383 528427792 /nfs/dbraw/zinc/42/77/92/528427792.db2.gz WZFYRQUBBMKDJQ-SNVBAGLBSA-N 0 0 279.340 2.897 20 5 CFBDRN CC(C)[C@@H](CO)[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C(C)C ZINC000413324888 528486078 /nfs/dbraw/zinc/48/60/78/528486078.db2.gz VIVHWXSOUPTJCU-YPMHNXCESA-N 0 0 299.346 2.830 20 5 CFBDRN CCN(CCc1nccs1)c1ncc([N+](=O)[O-])cc1F ZINC000413209722 528609965 /nfs/dbraw/zinc/60/99/65/528609965.db2.gz VMAMATYBUSITHJ-UHFFFAOYSA-N 0 0 296.327 2.654 20 5 CFBDRN CC(C)[C@@H](O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413149371 528634963 /nfs/dbraw/zinc/63/49/63/528634963.db2.gz BKEAITCTMLSUBF-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN CCC[C@@H](C)C[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000426792294 528882224 /nfs/dbraw/zinc/88/22/24/528882224.db2.gz ITGBHQQTQSQSCC-BXUZGUMPSA-N 0 0 294.351 2.964 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1Nc1ncc([N+](=O)[O-])cc1F ZINC000413429244 528884725 /nfs/dbraw/zinc/88/47/25/528884725.db2.gz DAKMFJXYSISIEE-CMPLNLGQSA-N 0 0 253.277 2.975 20 5 CFBDRN CC(C)[C@H](CO)[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C(C)C ZINC000413324882 529027820 /nfs/dbraw/zinc/02/78/20/529027820.db2.gz VIVHWXSOUPTJCU-AAEUAGOBSA-N 0 0 299.346 2.830 20 5 CFBDRN CC[C@H](C)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000096474116 529177157 /nfs/dbraw/zinc/17/71/57/529177157.db2.gz SDMDAZGHMURZDI-ZETCQYMHSA-N 0 0 256.689 2.777 20 5 CFBDRN CCSCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000173260927 529188101 /nfs/dbraw/zinc/18/81/01/529188101.db2.gz CSFLORSIWROREO-SNVBAGLBSA-N 0 0 282.365 2.856 20 5 CFBDRN CC[C@@H](C)CNC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000420856130 529322108 /nfs/dbraw/zinc/32/21/08/529322108.db2.gz XIDAXFJJALYEET-GFCCVEGCSA-N 0 0 293.367 2.825 20 5 CFBDRN CC[C@H](CCO)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413188195 529328063 /nfs/dbraw/zinc/32/80/63/529328063.db2.gz UDGWSTQYIPKXIA-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CC[C@@H](C)N(CCO)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000287125615 529347710 /nfs/dbraw/zinc/34/77/10/529347710.db2.gz MELOTNGPGJQTEJ-LLVKDONJSA-N 0 0 295.339 2.528 20 5 CFBDRN CC(C)CN(C)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491277317 535014879 /nfs/dbraw/zinc/01/48/79/535014879.db2.gz ISLSXSLLEZVGHT-TWGQIWQCSA-N 0 0 262.309 2.722 20 5 CFBDRN CC(C)[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000505662320 535184828 /nfs/dbraw/zinc/18/48/28/535184828.db2.gz OWOURJJVVCPPTB-WDEREUQCSA-N 0 0 279.340 2.868 20 5 CFBDRN CC/C=C\CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000454151826 535253594 /nfs/dbraw/zinc/25/35/94/535253594.db2.gz SHNLLOZMGPEWHK-PLNGDYQASA-N 0 0 263.297 2.991 20 5 CFBDRN CC/C=C\CNC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000452607169 535258601 /nfs/dbraw/zinc/25/86/01/535258601.db2.gz TZHSRUTYCSMLQM-WAYWQWQTSA-N 0 0 278.308 2.608 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)C(F)F ZINC000452252413 535799620 /nfs/dbraw/zinc/79/96/20/535799620.db2.gz VIUUTDIMXLQJRY-SNVBAGLBSA-N 0 0 272.251 2.677 20 5 CFBDRN CC[C@@H](O)[C@H](CC)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000454210624 535816052 /nfs/dbraw/zinc/81/60/52/535816052.db2.gz ZYIFBWWBHFCMNY-GXFFZTMASA-N 0 0 295.339 2.574 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000275069821 535950543 /nfs/dbraw/zinc/95/05/43/535950543.db2.gz ZDMZQXOEJATINL-AWEZNQCLSA-N 0 0 282.315 2.723 20 5 CFBDRN CN(C(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccccn1 ZINC000460008818 535956657 /nfs/dbraw/zinc/95/66/57/535956657.db2.gz FITSLEKGCCHPMN-UHFFFAOYSA-N 0 0 299.330 2.930 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000450801810 535970137 /nfs/dbraw/zinc/97/01/37/535970137.db2.gz XHLGPPAVKZVXJR-CHWSQXEVSA-N 0 0 290.367 2.744 20 5 CFBDRN CC[C@H](O)CNc1cc(Br)ccc1[N+](=O)[O-] ZINC000229995547 535995220 /nfs/dbraw/zinc/99/52/20/535995220.db2.gz DMILCKSNHOLBIL-QMMMGPOBSA-N 0 0 289.129 2.540 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000266105267 536590316 /nfs/dbraw/zinc/59/03/16/536590316.db2.gz RBDBJWQFXFLFDE-GXTWGEPZSA-N 0 0 292.335 2.591 20 5 CFBDRN COC1CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000263702747 536756991 /nfs/dbraw/zinc/75/69/91/536756991.db2.gz GCJASISGCUUGSA-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1cncs1 ZINC000268901344 536956112 /nfs/dbraw/zinc/95/61/12/536956112.db2.gz MICMIGMPKREUPQ-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN CC1=CCN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000935083428 649872645 /nfs/dbraw/zinc/87/26/45/649872645.db2.gz KTRKFMGOHDICSK-LSDHHAIUSA-N 0 0 286.331 2.877 20 5 CFBDRN O=C(NOC1CCCC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935238473 649890089 /nfs/dbraw/zinc/89/00/89/649890089.db2.gz AGDXCEUZKYPWCL-UONOGXRCSA-N 0 0 290.319 2.689 20 5 CFBDRN C[C@H]1COC(C)(C)CN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000753833550 574050046 /nfs/dbraw/zinc/05/00/46/574050046.db2.gz FJJAGVMOSUCCQC-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1occc1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000753565135 574060350 /nfs/dbraw/zinc/06/03/50/574060350.db2.gz DDSCPURPBORIQS-UHFFFAOYSA-N 0 0 289.243 2.536 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCc1ccsc1 ZINC000744237615 574061986 /nfs/dbraw/zinc/06/19/86/574061986.db2.gz RBBRFWAFILMJDC-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C(=O)c1ccc(F)cc1 ZINC000753996382 574062355 /nfs/dbraw/zinc/06/23/55/574062355.db2.gz WUFYTACPEZOXOG-UHFFFAOYSA-N 0 0 289.218 2.522 20 5 CFBDRN CO[C@H](C)CCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000744311708 574066178 /nfs/dbraw/zinc/06/61/78/574066178.db2.gz WSJDFEAYCNNMJZ-SECBINFHSA-N 0 0 293.275 2.923 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(Oc3ccc(F)nc3)c21 ZINC000754057899 574066985 /nfs/dbraw/zinc/06/69/85/574066985.db2.gz AZVCCRGYIFSACJ-UHFFFAOYSA-N 0 0 286.222 2.864 20 5 CFBDRN CC[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000727826304 574067370 /nfs/dbraw/zinc/06/73/70/574067370.db2.gz ODFKRGIPPJWHAN-VIFPVBQESA-N 0 0 265.269 2.882 20 5 CFBDRN CNc1ccc(C(=O)O[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000744337816 574068689 /nfs/dbraw/zinc/06/86/89/574068689.db2.gz MGKDNNWZKYTHKQ-LURJTMIESA-N 0 0 292.213 2.744 20 5 CFBDRN Cc1cc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)nn1C ZINC000754110576 574073881 /nfs/dbraw/zinc/07/38/81/574073881.db2.gz WJWYKCRDSNGYMY-UHFFFAOYSA-N 0 0 286.335 2.508 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000738617627 574074182 /nfs/dbraw/zinc/07/41/82/574074182.db2.gz POQRVBYWZGEORG-ZETCQYMHSA-N 0 0 282.252 2.767 20 5 CFBDRN Cc1cccc(COC(=O)c2cc([N+](=O)[O-])ccc2F)n1 ZINC000736788044 574074416 /nfs/dbraw/zinc/07/44/16/574074416.db2.gz WBJQRLDMAGOZQP-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CSCCCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000744460449 574075776 /nfs/dbraw/zinc/07/57/76/574075776.db2.gz HRWVJADAIMOFSV-UHFFFAOYSA-N 0 0 261.324 2.566 20 5 CFBDRN CC(=O)CCCOC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000736862088 574082248 /nfs/dbraw/zinc/08/22/48/574082248.db2.gz ADCUPLKAHGECMG-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN O=c1c2ccoc2ccn1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000728287966 574090002 /nfs/dbraw/zinc/09/00/02/574090002.db2.gz OZQSEBZHPKIPOS-UHFFFAOYSA-N 0 0 288.234 2.690 20 5 CFBDRN O=C(O[C@@H]1CCCCC1=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730645782 574090772 /nfs/dbraw/zinc/09/07/72/574090772.db2.gz RBXMUAKEKDQIGA-LLVKDONJSA-N 0 0 297.694 2.917 20 5 CFBDRN CCC(C)(C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000728343118 574092857 /nfs/dbraw/zinc/09/28/57/574092857.db2.gz UXUOMNQYWLVWED-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN C/C(=C/C(=O)Nc1ccnnc1C)c1ccc([N+](=O)[O-])cc1 ZINC000754460568 574096994 /nfs/dbraw/zinc/09/69/94/574096994.db2.gz MBPAAYFMEPEDHK-KTKRTIGZSA-N 0 0 298.302 2.735 20 5 CFBDRN Cc1ccc(NC(=O)C=Cc2ccc([N+](=O)[O-])cc2)c(=O)[nH]1 ZINC000754505424 574098947 /nfs/dbraw/zinc/09/89/47/574098947.db2.gz MCWGASZEMSARHY-UITAMQMPSA-N 0 0 299.286 2.656 20 5 CFBDRN CC[C@H](CCOC)OC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000754574346 574104073 /nfs/dbraw/zinc/10/40/73/574104073.db2.gz RBTMYZUJBGTYPA-GFCCVEGCSA-N 0 0 281.308 2.875 20 5 CFBDRN CO[C@@H](CNC(=S)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000745177007 574105183 /nfs/dbraw/zinc/10/51/83/574105183.db2.gz YPHFGNZSPBQXAX-LBPRGKRZSA-N 0 0 297.380 2.552 20 5 CFBDRN O=C(OCc1ccc2c(c1)CCO2)c1ccccc1[N+](=O)[O-] ZINC000728773787 574108053 /nfs/dbraw/zinc/10/80/53/574108053.db2.gz AIUBXIJEHVUHKS-UHFFFAOYSA-N 0 0 299.282 2.887 20 5 CFBDRN CCOc1ccc(OC(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000754632417 574109971 /nfs/dbraw/zinc/10/99/71/574109971.db2.gz PLSJIOYGJPKACH-UHFFFAOYSA-N 0 0 290.275 2.551 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000754584068 574114996 /nfs/dbraw/zinc/11/49/96/574114996.db2.gz AJKRWOIENUCLDY-SSDOTTSWSA-N 0 0 273.310 2.547 20 5 CFBDRN CCC(CC)OC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000754794456 574120693 /nfs/dbraw/zinc/12/06/93/574120693.db2.gz VCKXUPOGRMEUOU-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN COCC[C@H](C)OC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000754610095 574121212 /nfs/dbraw/zinc/12/12/12/574121212.db2.gz SLWSQPXPGYCTHO-JTQLQIEISA-N 0 0 299.348 2.655 20 5 CFBDRN O=C(C=C1CCCC1)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000731586702 574124361 /nfs/dbraw/zinc/12/43/61/574124361.db2.gz JYULREQYYGVEPX-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N(CCc1ccccc1)C1CC1 ZINC000745893461 574127751 /nfs/dbraw/zinc/12/77/51/574127751.db2.gz UOONRPXZCPRACC-UHFFFAOYSA-N 0 0 299.330 2.770 20 5 CFBDRN CNc1ccc(C(=O)OC[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000745959672 574129094 /nfs/dbraw/zinc/12/90/94/574129094.db2.gz PSNKTODFDFGZSQ-SNVBAGLBSA-N 0 0 296.348 2.689 20 5 CFBDRN O=C(OC[C@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000745960723 574129438 /nfs/dbraw/zinc/12/94/38/574129438.db2.gz WGMJBHCCBFINAW-LLVKDONJSA-N 0 0 267.306 2.647 20 5 CFBDRN CCCN(Cc1ccc(F)cc1)Cn1cc([N+](=O)[O-])cn1 ZINC000746034508 574131815 /nfs/dbraw/zinc/13/18/15/574131815.db2.gz SRROHROINHTUIZ-UHFFFAOYSA-N 0 0 292.314 2.800 20 5 CFBDRN Cn1cccc1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746058700 574131969 /nfs/dbraw/zinc/13/19/69/574131969.db2.gz PZILCRNFUMETSE-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746057360 574132195 /nfs/dbraw/zinc/13/21/95/574132195.db2.gz HFBQRCDDQCGBMO-OIBJUYFYSA-N 0 0 269.684 2.947 20 5 CFBDRN COCCCC(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746068327 574132541 /nfs/dbraw/zinc/13/25/41/574132541.db2.gz HTVCEOCHPVALBQ-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN Cc1noc(C)c1CN(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000728915291 574137493 /nfs/dbraw/zinc/13/74/93/574137493.db2.gz PGHWZJSXRTUPGD-UHFFFAOYSA-N 0 0 293.298 2.971 20 5 CFBDRN Cc1nnc([C@H](C)NCc2cc(Cl)ccc2[N+](=O)[O-])o1 ZINC000755142790 574142162 /nfs/dbraw/zinc/14/21/62/574142162.db2.gz MXXQHKGKDJESLM-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN CN(CC1CCCC1)c1ccc([N+](=O)[O-])c2nonc21 ZINC000746387479 574147247 /nfs/dbraw/zinc/14/72/47/574147247.db2.gz JJSAGTMFPDTPDJ-UHFFFAOYSA-N 0 0 276.296 2.757 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1cccc(Cl)c1 ZINC000755235572 574149006 /nfs/dbraw/zinc/14/90/06/574149006.db2.gz NLAGDSWJBKXFRG-UHFFFAOYSA-N 0 0 280.667 2.806 20 5 CFBDRN C[C@H](OCC1CC1)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000729000385 574155365 /nfs/dbraw/zinc/15/53/65/574155365.db2.gz KOUOVZMZTUJPCN-VIFPVBQESA-N 0 0 297.282 2.592 20 5 CFBDRN O=C(/C=C/C1CCOCC1)OCc1ccccc1[N+](=O)[O-] ZINC000732687193 574165633 /nfs/dbraw/zinc/16/56/33/574165633.db2.gz GBBCTLVBTIEFQP-AATRIKPKSA-N 0 0 291.303 2.621 20 5 CFBDRN O=C(/C=C\C1CCOCC1)OCc1csc([N+](=O)[O-])c1 ZINC000732690031 574166122 /nfs/dbraw/zinc/16/61/22/574166122.db2.gz MUYPHLLLTXHWGE-UPHRSURJSA-N 0 0 297.332 2.682 20 5 CFBDRN C[C@H](OC(=O)[C@H](O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000732717426 574167376 /nfs/dbraw/zinc/16/73/76/574167376.db2.gz DSUSYXJQZNMAOL-CABZTGNLSA-N 0 0 281.308 2.606 20 5 CFBDRN C/C=C/C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000746932512 574171682 /nfs/dbraw/zinc/17/16/82/574171682.db2.gz CUAXFFCYKNWVHO-GORDUTHDSA-N 0 0 260.293 2.662 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000729088147 574172703 /nfs/dbraw/zinc/17/27/03/574172703.db2.gz QDXBNNWTKZWDJP-WPRPVWTQSA-N 0 0 274.276 2.889 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000729086989 574172885 /nfs/dbraw/zinc/17/28/85/574172885.db2.gz IQPJCUPJLUWHJG-XPUUQOCRSA-N 0 0 255.295 2.778 20 5 CFBDRN CCCC(=O)COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000738578334 574174181 /nfs/dbraw/zinc/17/41/81/574174181.db2.gz CWGGFNVOQATQMY-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN O=C(/C=C\C1CC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000746992040 574174760 /nfs/dbraw/zinc/17/47/60/574174760.db2.gz FKAXXSJXNBKJTO-UTCJRWHESA-N 0 0 265.240 2.743 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC[C@@H](C)OC)c1 ZINC000747215911 574187559 /nfs/dbraw/zinc/18/75/59/574187559.db2.gz RJEINZXPGLGVOQ-MRVPVSSYSA-N 0 0 272.276 2.579 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC(C)(C)SC ZINC000755836336 574191462 /nfs/dbraw/zinc/19/14/62/574191462.db2.gz IQGDLTPOZWHQCO-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN CC(C)[C@H]1CC[N@H+](Cn2ncc3ccc([N+](=O)[O-])cc32)C1 ZINC000755919141 574197784 /nfs/dbraw/zinc/19/77/84/574197784.db2.gz HYMCWIYMGINPFE-ZDUSSCGKSA-N 0 0 288.351 2.880 20 5 CFBDRN CCCN(CC(F)F)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000733188560 574209341 /nfs/dbraw/zinc/20/93/41/574209341.db2.gz IFUXHQXWNFMZCQ-UHFFFAOYSA-N 0 0 289.238 2.985 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1ccc2ccncc2c1 ZINC000756142255 574212611 /nfs/dbraw/zinc/21/26/11/574212611.db2.gz PWRDFQGQYRFYBJ-UHFFFAOYSA-N 0 0 297.270 2.701 20 5 CFBDRN NC(CC1CC1)=NOCc1ccc([N+](=O)[O-])cc1F ZINC000747836741 574215005 /nfs/dbraw/zinc/21/50/05/574215005.db2.gz ZKOKUPWYZNUJRK-UHFFFAOYSA-N 0 0 267.260 2.532 20 5 CFBDRN COc1ccc(CN(C)c2cccc(F)c2[N+](=O)[O-])cn1 ZINC000747841729 574215026 /nfs/dbraw/zinc/21/50/26/574215026.db2.gz HIMVCDISCOFVDA-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000747842962 574215192 /nfs/dbraw/zinc/21/51/92/574215192.db2.gz DSJPHYHESUJMAJ-RYUDHWBXSA-N 0 0 294.326 2.987 20 5 CFBDRN CO[C@H](CNc1c(C)cccc1[N+](=O)[O-])C(F)(F)F ZINC000733304382 574216739 /nfs/dbraw/zinc/21/67/39/574216739.db2.gz XZMNPMKQVSIZCF-SECBINFHSA-N 0 0 278.230 2.892 20 5 CFBDRN COC[C@@H](C)CC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000756259862 574219337 /nfs/dbraw/zinc/21/93/37/574219337.db2.gz ZTGHJTRFXJCCMI-QMMMGPOBSA-N 0 0 287.699 2.826 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])s1)c1cccnc1 ZINC000733380293 574225299 /nfs/dbraw/zinc/22/52/99/574225299.db2.gz PSYKMGAEJOQEIN-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000756348629 574227716 /nfs/dbraw/zinc/22/77/16/574227716.db2.gz ZFEWBRKIVUJREP-MRVPVSSYSA-N 0 0 281.264 2.526 20 5 CFBDRN Cc1noc([C@H](C)NCc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000729684997 574228806 /nfs/dbraw/zinc/22/88/06/574228806.db2.gz ZYXFJGBZULLQPO-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CC(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000748122313 574230629 /nfs/dbraw/zinc/23/06/29/574230629.db2.gz GLAICMWODSKQRC-NEPJUHHUSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000756395120 574233567 /nfs/dbraw/zinc/23/35/67/574233567.db2.gz ZPBITEMSKAXFAA-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN CC[C@@H](C)COC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000756394612 574233590 /nfs/dbraw/zinc/23/35/90/574233590.db2.gz WYSQFBOYWTVQCN-SECBINFHSA-N 0 0 285.271 2.702 20 5 CFBDRN Cc1ccc(C(=O)OCC[C@@H]2CCCC2=O)cc1[N+](=O)[O-] ZINC000748160927 574233747 /nfs/dbraw/zinc/23/37/47/574233747.db2.gz KRHBGZUTFSTSBD-NSHDSACASA-N 0 0 291.303 2.819 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1cc([N+](=O)[O-])ccc1F ZINC000748176364 574235268 /nfs/dbraw/zinc/23/52/68/574235268.db2.gz AEUZBOICJUKKFC-VIFPVBQESA-N 0 0 295.266 2.650 20 5 CFBDRN C[C@H](OC(=O)c1cocn1)c1ccccc1[N+](=O)[O-] ZINC000729892887 574235906 /nfs/dbraw/zinc/23/59/06/574235906.db2.gz OZYCFGKNXZEYSK-QMMMGPOBSA-N 0 0 262.221 2.501 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000733459052 574239482 /nfs/dbraw/zinc/23/94/82/574239482.db2.gz IMZYCXSULSTJDS-JTQLQIEISA-N 0 0 265.240 2.999 20 5 CFBDRN C[C@H](COC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000748216608 574239749 /nfs/dbraw/zinc/23/97/49/574239749.db2.gz FBSBMLDNXGKGKL-RXMQYKEDSA-N 0 0 267.159 2.543 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2C=CCCC2)c1 ZINC000733467361 574240570 /nfs/dbraw/zinc/24/05/70/574240570.db2.gz NPTMMJWADPNRFB-SNVBAGLBSA-N 0 0 277.276 2.869 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC[C@@H](C)C(F)(F)F ZINC000748234936 574241692 /nfs/dbraw/zinc/24/16/92/574241692.db2.gz DZBPJFSLDPTSQW-ZCFIWIBFSA-N 0 0 292.213 2.653 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C(F)(F)c1nccs1 ZINC000756627584 574253128 /nfs/dbraw/zinc/25/31/28/574253128.db2.gz RCAMQHOGEOLHJB-UHFFFAOYSA-N 0 0 299.258 2.782 20 5 CFBDRN O=C1CCCCN1CCCNc1cccc(F)c1[N+](=O)[O-] ZINC000730107365 574253502 /nfs/dbraw/zinc/25/35/02/574253502.db2.gz GMTUCGFDEXRAGG-UHFFFAOYSA-N 0 0 295.314 2.548 20 5 CFBDRN O=C(OC[C@@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000745963629 574255986 /nfs/dbraw/zinc/25/59/86/574255986.db2.gz HDPHCKRNPYMUJN-VIFPVBQESA-N 0 0 267.306 2.647 20 5 CFBDRN Cc1cc(CC(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000733918794 574265030 /nfs/dbraw/zinc/26/50/30/574265030.db2.gz DKSXPBFZBMPUMH-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN Cc1cc(CC(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000733918795 574265064 /nfs/dbraw/zinc/26/50/64/574265064.db2.gz DKSXPBFZBMPUMH-SNVBAGLBSA-N 0 0 290.275 2.738 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1ccc(F)nc1 ZINC000733925910 574265918 /nfs/dbraw/zinc/26/59/18/574265918.db2.gz XYIQYENCNQNGIU-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C1CC=CC1 ZINC000740783485 574266906 /nfs/dbraw/zinc/26/69/06/574266906.db2.gz PSAPJOHMKILSBV-UHFFFAOYSA-N 0 0 265.240 2.743 20 5 CFBDRN Cc1csc(COC(=O)Cc2cccc([N+](=O)[O-])c2)n1 ZINC000748802069 574267726 /nfs/dbraw/zinc/26/77/26/574267726.db2.gz RVRVECRCWSCLOW-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])s1)c1ccccc1 ZINC000733994587 574268243 /nfs/dbraw/zinc/26/82/43/574268243.db2.gz RLSFJLWWKKJZOX-ZDUSSCGKSA-N 0 0 278.333 2.976 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)o1 ZINC000756886932 574270757 /nfs/dbraw/zinc/27/07/57/574270757.db2.gz ZWSIFKSVILFHMR-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN Cc1nnc([C@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)o1 ZINC000756886933 574270863 /nfs/dbraw/zinc/27/08/63/574270863.db2.gz ZWSIFKSVILFHMR-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccccc2Cl)cn1 ZINC000740871806 574271766 /nfs/dbraw/zinc/27/17/66/574271766.db2.gz NBNYZUNJSKSSNF-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN Cc1ccc(OCc2cscn2)c([N+](=O)[O-])c1 ZINC000748865247 574272226 /nfs/dbraw/zinc/27/22/26/574272226.db2.gz OAHYJWQCGXNOOS-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN C[C@H](ON=C(N)c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000756915967 574273418 /nfs/dbraw/zinc/27/34/18/574273418.db2.gz YBMNSBNRQKHKLB-JTQLQIEISA-N 0 0 286.291 2.598 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)C1CCSCC1 ZINC000740961632 574276265 /nfs/dbraw/zinc/27/62/65/574276265.db2.gz TUBKILPMBUMBLO-UHFFFAOYSA-N 0 0 295.360 2.824 20 5 CFBDRN C[C@H](CNC(=O)COc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000734333010 574282232 /nfs/dbraw/zinc/28/22/32/574282232.db2.gz CYEWIELXECTYEZ-LLVKDONJSA-N 0 0 294.351 2.772 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccccc2F)s1 ZINC000749266666 574286950 /nfs/dbraw/zinc/28/69/50/574286950.db2.gz ZXWRLEMRXYIGLM-JTQLQIEISA-N 0 0 282.296 2.941 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1ccncc1F ZINC000741379876 574288102 /nfs/dbraw/zinc/28/81/02/574288102.db2.gz WXPRMNPNTWNMOO-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(OCc1ccccn1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000749314498 574289170 /nfs/dbraw/zinc/28/91/70/574289170.db2.gz FLGHVQXYOJAKME-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CCc1ccc(C(=O)Nc2cc(Cl)[nH]n2)cc1[N+](=O)[O-] ZINC000749393619 574293250 /nfs/dbraw/zinc/29/32/50/574293250.db2.gz VUQRWBFKSVGHDK-UHFFFAOYSA-N 0 0 294.698 2.786 20 5 CFBDRN CCc1ccc(OC(=O)C2(C)CCOCC2)c([N+](=O)[O-])c1 ZINC000734739879 574300787 /nfs/dbraw/zinc/30/07/87/574300787.db2.gz NJHHKPJKWXKVJU-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN CC[C@H](C)NC(=S)Nc1cccc([N+](=O)[O-])c1C ZINC000749757499 574307178 /nfs/dbraw/zinc/30/71/78/574307178.db2.gz NNVPULOYNMBDIV-QMMMGPOBSA-N 0 0 267.354 2.988 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1Cc2ccccc21 ZINC000741885484 574309082 /nfs/dbraw/zinc/30/90/82/574309082.db2.gz RTHMNOUIOMHLAR-HNNXBMFYSA-N 0 0 283.283 2.978 20 5 CFBDRN CN(C(=O)c1cccc(Cl)c1[N+](=O)[O-])c1nccs1 ZINC000749991709 574320530 /nfs/dbraw/zinc/32/05/30/574320530.db2.gz SVQCWYNJJFGEBV-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC000735397454 574323236 /nfs/dbraw/zinc/32/32/36/574323236.db2.gz DONODCMYLZLCIK-QMMMGPOBSA-N 0 0 290.275 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccnc2Br)cn1 ZINC000735487399 574326733 /nfs/dbraw/zinc/32/67/33/574326733.db2.gz WVTKGBVMKZSAMK-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN Cc1cccc(NCCNc2ccc([N+](=O)[O-])s2)n1 ZINC000750172007 574329137 /nfs/dbraw/zinc/32/91/37/574329137.db2.gz UQXXVWVOHQPPKD-UHFFFAOYSA-N 0 0 278.337 2.884 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ncccc1C ZINC000750185124 574330174 /nfs/dbraw/zinc/33/01/74/574330174.db2.gz ZPXNABHGEKOFJF-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1nccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])n1 ZINC000750192391 574330353 /nfs/dbraw/zinc/33/03/53/574330353.db2.gz AVDXGPPNYVPLSP-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000744337391 574331796 /nfs/dbraw/zinc/33/17/96/574331796.db2.gz AIKGXTIUTSWQQP-ZETCQYMHSA-N 0 0 277.198 2.631 20 5 CFBDRN CN(Cc1ncc[nH]1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000735833091 574337636 /nfs/dbraw/zinc/33/76/36/574337636.db2.gz XOYCIWJUMSZRTQ-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN C[Si](C)(C)COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000735968155 574344834 /nfs/dbraw/zinc/34/48/34/574344834.db2.gz OEOUSZRNXTXKPS-UHFFFAOYSA-N 0 0 253.330 2.629 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])n2C)[C@H](C)C1 ZINC000736033112 574348438 /nfs/dbraw/zinc/34/84/38/574348438.db2.gz ZMWXHWVBIRPDMK-FOGDFJRCSA-N 0 0 280.324 2.915 20 5 CFBDRN Cc1sc(C(=O)OCCOC2CCC2)cc1[N+](=O)[O-] ZINC000750765518 574354076 /nfs/dbraw/zinc/35/40/76/574354076.db2.gz RLGOHBWZSNRZLI-UHFFFAOYSA-N 0 0 285.321 2.691 20 5 CFBDRN C/C=C(/C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000750905998 574361863 /nfs/dbraw/zinc/36/18/63/574361863.db2.gz MHFZTTGAVHPIOR-BAQGIRSFSA-N 0 0 253.229 2.743 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000743460144 574365646 /nfs/dbraw/zinc/36/56/46/574365646.db2.gz AWZGPBBUBAUIIL-VHSXEESVSA-N 0 0 297.311 2.712 20 5 CFBDRN CCCCCCn1c(=O)onc1-c1ccc([N+](=O)[O-])cc1 ZINC000750958930 574365763 /nfs/dbraw/zinc/36/57/63/574365763.db2.gz GMRNKXHACZHDLN-UHFFFAOYSA-N 0 0 291.307 2.992 20 5 CFBDRN CC[C@@H](C)N(C(=O)Cn1c(C)ncc1[N+](=O)[O-])[C@@H](C)CC ZINC000743545393 574368504 /nfs/dbraw/zinc/36/85/04/574368504.db2.gz UBJDYDKXKRLKCF-PHIMTYICSA-N 0 0 296.371 2.525 20 5 CFBDRN CCCn1nccc1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000751083029 574369383 /nfs/dbraw/zinc/36/93/83/574369383.db2.gz QINOKESCCQWWKS-UHFFFAOYSA-N 0 0 292.270 2.593 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2cnccn2)c1 ZINC000751161474 574370572 /nfs/dbraw/zinc/37/05/72/574370572.db2.gz RGYPLGAYRVZKPS-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN Cc1sc(C(=O)O[C@@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC000751165957 574370750 /nfs/dbraw/zinc/37/07/50/574370750.db2.gz CWKLRWHIIFEHQJ-ZETCQYMHSA-N 0 0 293.304 2.673 20 5 CFBDRN C[C@H](OC(=O)C1CCC(=O)CC1)c1cccc([N+](=O)[O-])c1 ZINC000751232707 574372782 /nfs/dbraw/zinc/37/27/82/574372782.db2.gz JIRGPZWRVKHDOC-JTQLQIEISA-N 0 0 291.303 2.958 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H]1CCCCC1=O ZINC000725989356 574376962 /nfs/dbraw/zinc/37/69/62/574376962.db2.gz ZUGRCZKYWDEARG-CYBMUJFWSA-N 0 0 277.276 2.572 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000751802488 574383259 /nfs/dbraw/zinc/38/32/59/574383259.db2.gz QUZCXSPOWMFYLN-SNVBAGLBSA-N 0 0 279.292 2.563 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1CC1(C)C ZINC000751803211 574383260 /nfs/dbraw/zinc/38/32/60/574383260.db2.gz FZEXREZDJQZIFG-LLVKDONJSA-N 0 0 279.292 2.693 20 5 CFBDRN CC1(CNC(=S)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000751909084 574384740 /nfs/dbraw/zinc/38/47/40/574384740.db2.gz MPKUOQVOTSVWJM-UHFFFAOYSA-N 0 0 265.338 2.681 20 5 CFBDRN CC1(CNc2nc3ccccn3c2[N+](=O)[O-])CCC1 ZINC000751967162 574385963 /nfs/dbraw/zinc/38/59/63/574385963.db2.gz BICPPEPSLAVSOQ-UHFFFAOYSA-N 0 0 260.297 2.845 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000752349568 574390594 /nfs/dbraw/zinc/39/05/94/574390594.db2.gz UEVWDKXVWSZBRZ-JUDLJHIGSA-N 0 0 291.303 2.909 20 5 CFBDRN C/C(=C/C(=O)NCCn1cccc1)c1ccc([N+](=O)[O-])cc1 ZINC000752485851 574391810 /nfs/dbraw/zinc/39/18/10/574391810.db2.gz RSNJDFWKZFAUPM-SEYXRHQNSA-N 0 0 299.330 2.616 20 5 CFBDRN CCCC(=O)COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000752559325 574392774 /nfs/dbraw/zinc/39/27/74/574392774.db2.gz UTWBWDIEQXCNPQ-UHFFFAOYSA-N 0 0 283.255 2.568 20 5 CFBDRN Cc1occc1CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000753449348 574402558 /nfs/dbraw/zinc/40/25/58/574402558.db2.gz ILCVYSRKINFOHB-UHFFFAOYSA-N 0 0 292.266 2.874 20 5 CFBDRN Cc1ccc(C(=O)OCc2csc([N+](=O)[O-])c2)nc1 ZINC000753481116 574402985 /nfs/dbraw/zinc/40/29/85/574402985.db2.gz PFXCTNDOHZEHBZ-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1nnc(CCOc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000753544298 574404267 /nfs/dbraw/zinc/40/42/67/574404267.db2.gz WHOKDHPZNIKFLC-UHFFFAOYSA-N 0 0 283.671 2.561 20 5 CFBDRN CCc1nc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)co1 ZINC000753639926 574407390 /nfs/dbraw/zinc/40/73/90/574407390.db2.gz KBMQKQARXVQPQL-UHFFFAOYSA-N 0 0 297.217 2.676 20 5 CFBDRN CC(C)CCSc1ccc([N+](=O)[O-])cc1-c1nn[nH]n1 ZINC000820081441 599089894 /nfs/dbraw/zinc/08/98/94/599089894.db2.gz GMCPCTVJZJKTLP-UHFFFAOYSA-N 0 0 293.352 2.913 20 5 CFBDRN CC(C)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1-c1nn[nH]n1 ZINC000820021131 599099160 /nfs/dbraw/zinc/09/91/60/599099160.db2.gz PPCRJYFTVSUKEA-MRVPVSSYSA-N 0 0 293.352 2.912 20 5 CFBDRN C[C@H]([NH2+]C1CCC(C(=O)[O-])CC1)c1ccc([N+](=O)[O-])cc1 ZINC000086735520 598135594 /nfs/dbraw/zinc/13/55/94/598135594.db2.gz OKTHSMRRCCHXOP-PKSQDBQZSA-N 0 0 292.335 2.889 20 5 CFBDRN C[N@H+](Cc1cc(Cl)ccc1[N+](=O)[O-])C(C)(C)C(=O)[O-] ZINC000093633527 601114241 /nfs/dbraw/zinc/11/42/41/601114241.db2.gz OQTGBGCGPUIMQT-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN CC[C@@](C)(CC(=O)[O-])[NH2+]C/C=C\c1ccccc1[N+](=O)[O-] ZINC000828657100 601431209 /nfs/dbraw/zinc/43/12/09/601431209.db2.gz DEZQTSYXTMZWLY-LYASGUKUSA-N 0 0 292.335 2.841 20 5 CFBDRN C[C@@H](CO)COc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000901656481 620883779 /nfs/dbraw/zinc/88/37/79/620883779.db2.gz YLGDFOJLLOJLBQ-ZETCQYMHSA-N 0 0 279.214 2.621 20 5 CFBDRN CC(C)[C@@H](C[NH2+][C@@H](C)c1ccc([N+](=O)[O-])cc1)NC(=O)[O-] ZINC000825483755 603832566 /nfs/dbraw/zinc/83/25/66/603832566.db2.gz AAAZWPKPXHUJFU-GXFFZTMASA-N 0 0 295.339 2.538 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935791108 649945145 /nfs/dbraw/zinc/94/51/45/649945145.db2.gz KEDGPGZANAWLOY-GJQVQUKXSA-N 0 0 274.320 2.613 20 5 CFBDRN C[N@H+](Cc1cc([N+](=O)[O-])ccc1Cl)[C@H](C(=O)[O-])C1CC1 ZINC000833694684 605131236 /nfs/dbraw/zinc/13/12/36/605131236.db2.gz XVXGCCWNUYLLKO-LBPRGKRZSA-N 0 0 298.726 2.543 20 5 CFBDRN C/C(=C\C[NH2+][C@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000833381708 605173964 /nfs/dbraw/zinc/17/39/64/605173964.db2.gz DIYSTBIOYFJNCX-CDCCAWJDSA-N 0 0 278.308 2.585 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cn1cc(C2CCC2)nn1 ZINC000900495039 620682548 /nfs/dbraw/zinc/68/25/48/620682548.db2.gz HSBOXRMVRFQRGY-UHFFFAOYSA-N 0 0 258.281 2.502 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1coc2ccccc21 ZINC000851477558 634382949 /nfs/dbraw/zinc/38/29/49/634382949.db2.gz IMLBCRNQGOPVFD-HLUHBDAQSA-N 0 0 275.260 2.702 20 5 CFBDRN C[C@H](CCc1ccccc1[N+](=O)[O-])[NH2+][C@@H](C)[C@@H](C)C(=O)[O-] ZINC000901448933 620824044 /nfs/dbraw/zinc/82/40/44/620824044.db2.gz ONONFWMRJIEWSP-UTUOFQBUSA-N 0 0 294.351 2.615 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804683697 617806093 /nfs/dbraw/zinc/80/60/93/617806093.db2.gz VPTSZIDOFDPHHU-HTQZYQBOSA-N 0 0 251.242 2.713 20 5 CFBDRN O=C(Nc1noc2c1CCCC2)c1cccc([N+](=O)[O-])c1 ZINC000900775150 620711079 /nfs/dbraw/zinc/71/10/79/620711079.db2.gz XXPGGJANSCBPOT-UHFFFAOYSA-N 0 0 287.275 2.714 20 5 CFBDRN Cc1ccc(C(C)C)cc1OCC(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813106285 617926938 /nfs/dbraw/zinc/92/69/38/617926938.db2.gz AAFZBMVIULOPBH-LBPRGKRZSA-N 0 0 295.335 2.706 20 5 CFBDRN CNc1ccc(C(=O)NC(C)(C)CSC)cc1[N+](=O)[O-] ZINC000890877466 617930266 /nfs/dbraw/zinc/93/02/66/617930266.db2.gz HRZKDRULCYOXRE-UHFFFAOYSA-N 0 0 297.380 2.508 20 5 CFBDRN CCc1ccccc1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000049932075 618047354 /nfs/dbraw/zinc/04/73/54/618047354.db2.gz DEENOXRRBRJQLL-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000804854773 618052088 /nfs/dbraw/zinc/05/20/88/618052088.db2.gz KTNCYKLOPYIAOQ-KWQFWETISA-N 0 0 284.262 2.601 20 5 CFBDRN C[C@@]1(C2CC2)COCCN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000934483788 618053547 /nfs/dbraw/zinc/05/35/47/618053547.db2.gz YCQWQNRLMJPOCL-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN CCCCOC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000049956567 618083765 /nfs/dbraw/zinc/08/37/65/618083765.db2.gz CJIZZOYBZRVFPA-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCS[C@@H]2C)c1C ZINC000892298083 618098055 /nfs/dbraw/zinc/09/80/55/618098055.db2.gz DJHPOLABIAKIMP-MNOVXSKESA-N 0 0 294.376 2.835 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2C(C)C)c1C ZINC000891527301 617651870 /nfs/dbraw/zinc/65/18/70/617651870.db2.gz IXDCLFKCXASAKJ-NWDGAFQWSA-N 0 0 276.336 2.986 20 5 CFBDRN CC(C)(C)CC(=O)OCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000006353385 617683819 /nfs/dbraw/zinc/68/38/19/617683819.db2.gz SLQJDJZJFZEGTQ-UHFFFAOYSA-N 0 0 294.307 2.513 20 5 CFBDRN COc1c(O)ccc(C[N@@H+]2CCC[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000891618937 617687808 /nfs/dbraw/zinc/68/78/08/617687808.db2.gz VWNYMOTXUPHUER-LBPRGKRZSA-N 0 0 294.351 2.929 20 5 CFBDRN CN(Cc1nccn1C1CC1)Cc1ccccc1[N+](=O)[O-] ZINC000891620626 617688936 /nfs/dbraw/zinc/68/89/36/617688936.db2.gz GRBAZHMBJXZJTC-UHFFFAOYSA-N 0 0 286.335 2.758 20 5 CFBDRN COCCCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000254951229 617694983 /nfs/dbraw/zinc/69/49/83/617694983.db2.gz MMBBAWHTFVGUSN-TWGQIWQCSA-N 0 0 279.292 2.578 20 5 CFBDRN O=C(CCc1cccnc1)OCc1ccc([N+](=O)[O-])cc1 ZINC000121568136 617749323 /nfs/dbraw/zinc/74/93/23/617749323.db2.gz ZPUQIYXNZROUOM-UHFFFAOYSA-N 0 0 286.287 2.666 20 5 CFBDRN O=Cc1ccccc1OCCOc1cccc([N+](=O)[O-])c1 ZINC000060800645 617785500 /nfs/dbraw/zinc/78/55/00/617785500.db2.gz CMELFLBNJVUCTR-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN CCCCCCC(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000120771142 617797466 /nfs/dbraw/zinc/79/74/66/617797466.db2.gz LOIVRYDLOVDOOW-UHFFFAOYSA-N 0 0 283.328 2.613 20 5 CFBDRN CO[C@@]1(C)CCCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804679463 617800676 /nfs/dbraw/zinc/80/06/76/617800676.db2.gz ORKWXJRBLDNSQF-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CC(F)(F)C3)c(F)c1 ZINC000804688549 617812340 /nfs/dbraw/zinc/81/23/40/617812340.db2.gz MPPICLQUPFBOEK-UHFFFAOYSA-N 0 0 272.226 2.969 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000120820869 617824453 /nfs/dbraw/zinc/82/44/53/617824453.db2.gz WYPWJCUEKXGLSY-MNOVXSKESA-N 0 0 298.770 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCC[C@@H]2CCO)cc1 ZINC000804705958 617829740 /nfs/dbraw/zinc/82/97/40/617829740.db2.gz KOFMQMWVDIQFGI-GFCCVEGCSA-N 0 0 264.325 2.726 20 5 CFBDRN Cc1cc(N[C@@](C)(CO)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000812462323 617856392 /nfs/dbraw/zinc/85/63/92/617856392.db2.gz MESMCQNUNCBONF-ZDUSSCGKSA-N 0 0 268.288 2.615 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NC1CC(C)C1 ZINC000934022952 617868567 /nfs/dbraw/zinc/86/85/67/617868567.db2.gz BJUCNAWHVHQMHG-UHFFFAOYSA-N 0 0 280.349 2.845 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CC=CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000890771241 617869030 /nfs/dbraw/zinc/86/90/30/617869030.db2.gz CQHCOXNHWDRTRH-ZWNOBZJWSA-N 0 0 274.320 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC=CC[C@H]1C ZINC000890771713 617869838 /nfs/dbraw/zinc/86/98/38/617869838.db2.gz WUDGOAILHFEWLG-BXUZGUMPSA-N 0 0 274.320 2.988 20 5 CFBDRN CCC1(C(=O)OCCC[N+](=O)[O-])CCC(F)(F)CC1 ZINC000860921876 617873645 /nfs/dbraw/zinc/87/36/45/617873645.db2.gz ABARAVTWUDHFEG-UHFFFAOYSA-N 0 0 279.283 2.802 20 5 CFBDRN CCCCCC(C)(C)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000176249874 617883339 /nfs/dbraw/zinc/88/33/39/617883339.db2.gz OYZMQEXWNZUFGZ-UHFFFAOYSA-N 0 0 297.355 2.941 20 5 CFBDRN CC1(CNC(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000934066241 617885766 /nfs/dbraw/zinc/88/57/66/617885766.db2.gz MNAHCMXCYQJXFM-QWHCGFSZSA-N 0 0 274.320 2.615 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)c1C ZINC000892004303 617909433 /nfs/dbraw/zinc/90/94/33/617909433.db2.gz DSBCJMXVOFWCKX-ZSBIGDGJSA-N 0 0 274.320 2.740 20 5 CFBDRN Cc1ccc(OCCCC(=O)OC[C@@H](C)[N+](=O)[O-])cc1C ZINC000813103514 617924897 /nfs/dbraw/zinc/92/48/97/617924897.db2.gz CPORVWZCIPUSEX-CYBMUJFWSA-N 0 0 295.335 2.671 20 5 CFBDRN C[C@H](COC(=O)c1ccc(C(C)(C)C)cc1)[N+](=O)[O-] ZINC000813105065 617926354 /nfs/dbraw/zinc/92/63/54/617926354.db2.gz VDTCOESGVDOEFR-SNVBAGLBSA-N 0 0 265.309 2.806 20 5 CFBDRN C/C(=C/C(=O)OC[C@H](C)[N+](=O)[O-])c1cccc(Cl)c1 ZINC000813105016 617926482 /nfs/dbraw/zinc/92/64/82/617926482.db2.gz JDNHNQYSRLELHC-MBACFSSFSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1F ZINC000813109866 617934812 /nfs/dbraw/zinc/93/48/12/617934812.db2.gz PQYRLPBZLDUJKM-ZJUUUORDSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@@H](COC(=O)c1cc2ccc(F)cc2s1)[N+](=O)[O-] ZINC000813110287 617935010 /nfs/dbraw/zinc/93/50/10/617935010.db2.gz WOCVLDMJBIQNMR-ZETCQYMHSA-N 0 0 283.280 2.862 20 5 CFBDRN Cc1c(CO)cc([N+](=O)[O-])c(N[C@H]2CC[C@@H]2C)c1[N+](=O)[O-] ZINC000804840758 617937187 /nfs/dbraw/zinc/93/71/87/617937187.db2.gz PESCNYFSAVSFNO-XVKPBYJWSA-N 0 0 295.295 2.514 20 5 CFBDRN CC(C)c1ccc(CCC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813110756 617937575 /nfs/dbraw/zinc/93/75/75/617937575.db2.gz ITJGUMBZGCKOCB-GFCCVEGCSA-N 0 0 279.336 2.951 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C(F)(F)F)c1C ZINC000890953627 617956094 /nfs/dbraw/zinc/95/60/94/617956094.db2.gz CFYQPGJETOWPCA-MRVPVSSYSA-N 0 0 290.241 2.892 20 5 CFBDRN CCCCOC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000160309947 617968965 /nfs/dbraw/zinc/96/89/65/617968965.db2.gz ZEUSJJFNDMJERH-VIFPVBQESA-N 0 0 284.337 2.814 20 5 CFBDRN C[C@@H](C(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000845577020 618014723 /nfs/dbraw/zinc/01/47/23/618014723.db2.gz QKHWACPISDPERZ-DTWKUNHWSA-N 0 0 271.700 2.652 20 5 CFBDRN Cc1ccc(OCCCNc2c([N+](=O)[O-])cnn2C)cc1 ZINC000892255354 618024236 /nfs/dbraw/zinc/02/42/36/618024236.db2.gz YQUOHNGCICWTKW-UHFFFAOYSA-N 0 0 290.323 2.518 20 5 CFBDRN CCC[N@H+](CC(=O)[O-])Cc1ccc([N+](=O)[O-])cc1Cl ZINC000049964257 618054718 /nfs/dbraw/zinc/05/47/18/618054718.db2.gz BWEJNFNONDWBGB-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN CCCCC1(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC1 ZINC000889528365 618057526 /nfs/dbraw/zinc/05/75/26/618057526.db2.gz HZHFTVKBTXSVSE-UHFFFAOYSA-N 0 0 295.314 2.769 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@H](C)C1 ZINC000051732283 618057542 /nfs/dbraw/zinc/05/75/42/618057542.db2.gz CHFVOCSBMJBULL-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cccc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])n1 ZINC000176689693 618066756 /nfs/dbraw/zinc/06/67/56/618066756.db2.gz OOVXJYOVJWYNPH-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1cc(F)cc(C(=O)Nc2ccccn2)c1[N+](=O)[O-] ZINC000176689589 618066786 /nfs/dbraw/zinc/06/67/86/618066786.db2.gz ZJVRXCXNMZVRTF-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCc1cccnc1C ZINC000811043319 618102301 /nfs/dbraw/zinc/10/23/01/618102301.db2.gz DJKYWWMIXMATJW-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC2CCC2)c1[N+](=O)[O-] ZINC000176743970 618106475 /nfs/dbraw/zinc/10/64/75/618106475.db2.gz BKYPTDBLJNDKHF-UHFFFAOYSA-N 0 0 266.272 2.572 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)C[C@H]1CCCCO1 ZINC000061569870 618126479 /nfs/dbraw/zinc/12/64/79/618126479.db2.gz YMQNYLTZTXRJSS-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@]12CSC[C@H]1C[N@H+](Cc1cccc([N+](=O)[O-])c1)C2 ZINC000934775403 618128144 /nfs/dbraw/zinc/12/81/44/618128144.db2.gz KBMPHWIYYCVBKG-OCCSQVGLSA-N 0 0 278.377 2.780 20 5 CFBDRN CCCCCCNc1c([N+](=O)[O-])c(CC)nn1C ZINC000091562117 618133044 /nfs/dbraw/zinc/13/30/44/618133044.db2.gz YZMJXJOYVXKMCW-UHFFFAOYSA-N 0 0 254.334 2.883 20 5 CFBDRN CS[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935043583 618173801 /nfs/dbraw/zinc/17/38/01/618173801.db2.gz LFGQVAJKBOGDEZ-JIMOISOXSA-N 0 0 294.376 2.566 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccccc1O ZINC000782007766 618190084 /nfs/dbraw/zinc/19/00/84/618190084.db2.gz HMNNRCXWIQTLIN-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN CC(C(=O)OCCn1c(C)ncc1[N+](=O)[O-])=C1CCCC1 ZINC000162024953 618199257 /nfs/dbraw/zinc/19/92/57/618199257.db2.gz KICINUAFYLGDQI-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000175903811 618201583 /nfs/dbraw/zinc/20/15/83/618201583.db2.gz FJXFTCKUDSMWAG-ZETCQYMHSA-N 0 0 277.198 2.631 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccncc2[N+](=O)[O-])c1 ZINC000052942813 618205217 /nfs/dbraw/zinc/20/52/17/618205217.db2.gz LSXVGIYREXWIOR-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CCc2ccc(F)cc21 ZINC000892402999 618228287 /nfs/dbraw/zinc/22/82/87/618228287.db2.gz XCVSCUZCHCERNR-LLVKDONJSA-N 0 0 276.271 2.567 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000103686478 618249243 /nfs/dbraw/zinc/24/92/43/618249243.db2.gz DJVPZSLZSZAIFS-STBQQDIQSA-N 0 0 261.277 2.807 20 5 CFBDRN CN(CC(C)(C)C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000726968285 618249338 /nfs/dbraw/zinc/24/93/38/618249338.db2.gz YLRSKNPBYAODKM-UHFFFAOYSA-N 0 0 264.285 2.613 20 5 CFBDRN CC1(C)CCN(c2nc3ccccn3c2[N+](=O)[O-])CC1 ZINC000726969370 618251279 /nfs/dbraw/zinc/25/12/79/618251279.db2.gz WGYCLZVVTCJWDQ-UHFFFAOYSA-N 0 0 274.324 2.869 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@H](c2ccccc2)C1 ZINC000893970130 618256139 /nfs/dbraw/zinc/25/61/39/618256139.db2.gz AVBSABQZJURKEF-ZDUSSCGKSA-N 0 0 286.335 2.712 20 5 CFBDRN C[C@@H](O)CCCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000310225550 618272565 /nfs/dbraw/zinc/27/25/65/618272565.db2.gz IFDVUDSNWQDMHB-MRVPVSSYSA-N 0 0 259.689 2.788 20 5 CFBDRN C[C@H](NC(=O)NC/C=C/Cl)c1ccccc1[N+](=O)[O-] ZINC000894015615 618274848 /nfs/dbraw/zinc/27/48/48/618274848.db2.gz RSKRWTPVFJJQKH-IYNCYZAOSA-N 0 0 283.715 2.708 20 5 CFBDRN CC(C)c1nnc(COC(=O)c2ccc([N+](=O)[O-])s2)o1 ZINC000726989717 618275672 /nfs/dbraw/zinc/27/56/72/618275672.db2.gz KGRSMRUBCRHTEL-UHFFFAOYSA-N 0 0 297.292 2.520 20 5 CFBDRN CCOc1cc(N[C@@H](CCO)C2CC2)ccc1[N+](=O)[O-] ZINC000749282937 618282927 /nfs/dbraw/zinc/28/29/27/618282927.db2.gz UMONUTAXCMCHHP-LBPRGKRZSA-N 0 0 280.324 2.566 20 5 CFBDRN C[C@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])C(F)F ZINC000894039641 618284013 /nfs/dbraw/zinc/28/40/13/618284013.db2.gz NKGNJKWPIRXIBD-QMMMGPOBSA-N 0 0 286.278 2.642 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C(F)F ZINC000894046625 618288592 /nfs/dbraw/zinc/28/85/92/618288592.db2.gz XEAKLMZPMKUXDG-ZCFIWIBFSA-N 0 0 292.669 2.561 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CC[C@H](c2ccco2)C1 ZINC000892455253 618289353 /nfs/dbraw/zinc/28/93/53/618289353.db2.gz WYIRUWGGDLOVHM-JTQLQIEISA-N 0 0 289.291 2.585 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)C(F)F ZINC000894054664 618294096 /nfs/dbraw/zinc/29/40/96/618294096.db2.gz RMQAMPIBGZAYMK-SSDOTTSWSA-N 0 0 299.277 2.553 20 5 CFBDRN Cc1cccc(CSc2c([N+](=O)[O-])cnn2C)c1 ZINC000894067939 618303547 /nfs/dbraw/zinc/30/35/47/618303547.db2.gz PMAXYTSGSRIMCI-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN O=C(COC1CCCCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000067073291 618311908 /nfs/dbraw/zinc/31/19/08/618311908.db2.gz BXGIDYPPYVHWDY-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN C=C/C=C/CCn1c(C)nc([N+](=O)[O-])c1Br ZINC000882111267 618318347 /nfs/dbraw/zinc/31/83/47/618318347.db2.gz ZHBCLLUBPRSFBO-SNAWJCMRSA-N 0 0 286.129 2.995 20 5 CFBDRN C[C@H](C[S@](C)=O)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235468036 618346552 /nfs/dbraw/zinc/34/65/52/618346552.db2.gz DQHAKRHQZDYJCT-DMWPKQFHSA-N 0 0 294.735 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@@H](CCO)C1 ZINC000051895065 618348495 /nfs/dbraw/zinc/34/84/95/618348495.db2.gz BMFQPZAXZCXBFC-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN C[C@H](OC(=O)c1csc([N+](=O)[O-])c1)c1cccnc1 ZINC000172180831 618350037 /nfs/dbraw/zinc/35/00/37/618350037.db2.gz UDTUVDDBKOFLCQ-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN CN(C[C@@H](O)c1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000062351146 618376205 /nfs/dbraw/zinc/37/62/05/618376205.db2.gz NGQVBDROQHADEI-OAHLLOKOSA-N 0 0 272.304 2.765 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N(CC(F)F)C1CCC1 ZINC000895144596 618377282 /nfs/dbraw/zinc/37/72/82/618377282.db2.gz IEDWVRZJYTXWGT-UHFFFAOYSA-N 0 0 298.289 2.784 20 5 CFBDRN C[C@@]1(COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000177233613 618378633 /nfs/dbraw/zinc/37/86/33/618378633.db2.gz RTWCHPQDHSMGKI-ZDUSSCGKSA-N 0 0 299.710 2.974 20 5 CFBDRN CCc1noc(CCc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000923777517 618381429 /nfs/dbraw/zinc/38/14/29/618381429.db2.gz OFZVLHWCYRBCRD-UHFFFAOYSA-N 0 0 281.699 2.979 20 5 CFBDRN C[C@H]1OCC[C@]1(C)NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000235630813 618392785 /nfs/dbraw/zinc/39/27/85/618392785.db2.gz DVOTYDUIDRITHW-OQPBUACISA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC([C@H]2CCOC2)C1 ZINC000894149874 618400058 /nfs/dbraw/zinc/40/00/58/618400058.db2.gz WFFMRRKAZYKKLO-NSHDSACASA-N 0 0 296.754 2.717 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])ccc1Cl)NCC1CCC1 ZINC000177328411 618401219 /nfs/dbraw/zinc/40/12/19/618401219.db2.gz GVRVRZDYHVDUGW-UHFFFAOYSA-N 0 0 298.726 2.543 20 5 CFBDRN CC(C)OCC(N)=NO[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000749788513 618407785 /nfs/dbraw/zinc/40/77/85/618407785.db2.gz BKWMLDHAGMGLCF-JTQLQIEISA-N 0 0 281.312 2.579 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCCCc1ccoc1 ZINC000893338943 618415721 /nfs/dbraw/zinc/41/57/21/618415721.db2.gz WDXNKHQKDQIPEZ-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cc([C@H]2CCOC2)on1 ZINC000901394452 620804367 /nfs/dbraw/zinc/80/43/67/620804367.db2.gz HFICEHSDHPAHGK-VIFPVBQESA-N 0 0 260.249 2.754 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)N(C)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000177500157 618434950 /nfs/dbraw/zinc/43/49/50/618434950.db2.gz JKGAUHSGUQQWHU-SNVBAGLBSA-N 0 0 298.314 2.506 20 5 CFBDRN CCC(C)(C)NC(=O)CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000727101567 618435342 /nfs/dbraw/zinc/43/53/42/618435342.db2.gz VZXFIBWHGSBCCA-UHFFFAOYSA-N 0 0 299.758 2.965 20 5 CFBDRN CCc1ccc(C(C)(C)C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814036340 618436476 /nfs/dbraw/zinc/43/64/76/618436476.db2.gz VYVLZFSWXLSEOP-NSHDSACASA-N 0 0 279.336 2.735 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC/C=C/c1ccccc1 ZINC000814037901 618437320 /nfs/dbraw/zinc/43/73/20/618437320.db2.gz IXZLFVDBXFPUMA-LHXVZLOVSA-N 0 0 263.293 2.688 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])c(Cl)c1C ZINC000814044714 618442894 /nfs/dbraw/zinc/44/28/94/618442894.db2.gz JLDXMGAADMKGAF-QMMMGPOBSA-N 0 0 271.700 2.779 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1-c1cc(C3CC3)on1)OCO2 ZINC000901397846 620805805 /nfs/dbraw/zinc/80/58/05/620805805.db2.gz GDYIDKKDXMOYCQ-UHFFFAOYSA-N 0 0 274.232 2.856 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)C1(C(F)(F)F)CC1 ZINC000726145415 618450319 /nfs/dbraw/zinc/45/03/19/618450319.db2.gz DFYSZBWTJSSWQO-UHFFFAOYSA-N 0 0 289.209 2.981 20 5 CFBDRN O=C(OCCC1CCOCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000814459816 618473241 /nfs/dbraw/zinc/47/32/41/618473241.db2.gz IWVRRIDAGYFGJO-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN CC(C)=CCNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935610607 618476237 /nfs/dbraw/zinc/47/62/37/618476237.db2.gz MJRWPVSYTNCGGQ-KBPBESRZSA-N 0 0 274.320 2.781 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1CC=CCC1 ZINC000066276766 618478597 /nfs/dbraw/zinc/47/85/97/618478597.db2.gz PEAGFOQTLVOULE-GFCCVEGCSA-N 0 0 261.277 2.994 20 5 CFBDRN Cc1cn(C)nc1COc1ccc([N+](=O)[O-])c(C)c1 ZINC000901411964 620811081 /nfs/dbraw/zinc/81/10/81/620811081.db2.gz CKSPWOHDZMFOLG-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1cnc2ccccc2n1 ZINC000007269328 618502247 /nfs/dbraw/zinc/50/22/47/618502247.db2.gz LSNDRZISBCWITJ-UHFFFAOYSA-N 0 0 295.254 2.757 20 5 CFBDRN C/C(=C/C(=O)N(C)CCCF)c1ccc([N+](=O)[O-])cc1 ZINC000895296297 618517923 /nfs/dbraw/zinc/51/79/23/618517923.db2.gz FOWWIMOBLBSLMU-KHPPLWFESA-N 0 0 280.299 2.816 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3C[C@]3(c3ccccc3)C2)cn1 ZINC000895393019 618565241 /nfs/dbraw/zinc/56/52/41/618565241.db2.gz DKNUFLSXJWFNJR-XJKSGUPXSA-N 0 0 281.315 2.768 20 5 CFBDRN NC(=O)c1cc(NCC[C@@H]2CC[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000895426338 618576397 /nfs/dbraw/zinc/57/63/97/618576397.db2.gz SXKWKFMAHJLGIB-NHCYSSNCSA-N 0 0 289.335 2.542 20 5 CFBDRN NC(=O)c1cc(NC[C@]23C[C@H]2CCCC3)ccc1[N+](=O)[O-] ZINC000895428167 618576886 /nfs/dbraw/zinc/57/68/86/618576886.db2.gz RFVADGCBPJYWJQ-BMIGLBTASA-N 0 0 289.335 2.686 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCC1(c2ccccc2)CC1 ZINC000895438325 618586239 /nfs/dbraw/zinc/58/62/39/618586239.db2.gz OJQIYYWIHZSZCL-UHFFFAOYSA-N 0 0 286.335 2.862 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2nccc3c2CCCC3)s1 ZINC000895452190 618594563 /nfs/dbraw/zinc/59/45/63/618594563.db2.gz XKGUSIDJBUDPQI-UHFFFAOYSA-N 0 0 290.348 2.937 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2cccnc2)c1C ZINC000895457513 618596104 /nfs/dbraw/zinc/59/61/04/618596104.db2.gz OLDYGLIOELUWRD-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CCC[C@@H](C)OC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000177772441 618605623 /nfs/dbraw/zinc/60/56/23/618605623.db2.gz QDGBYLZCASNWAB-SNVBAGLBSA-N 0 0 266.297 2.739 20 5 CFBDRN CCC[C@@H](C)OC(=O)COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000177775197 618605765 /nfs/dbraw/zinc/60/57/65/618605765.db2.gz IRIKWHFEESALBS-SNVBAGLBSA-N 0 0 297.307 2.714 20 5 CFBDRN CCC[C@@H](C)OC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000177779676 618605833 /nfs/dbraw/zinc/60/58/33/618605833.db2.gz RLTGHFMDYDKSDM-SECBINFHSA-N 0 0 283.328 2.530 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C[C@H]1C ZINC000092112849 618618540 /nfs/dbraw/zinc/61/85/40/618618540.db2.gz JKQURWMMNAQSAA-HTQZYQBOSA-N 0 0 297.742 2.558 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC(C(C)(C)C)CC1 ZINC000892563830 618635068 /nfs/dbraw/zinc/63/50/68/618635068.db2.gz WLFQACXWYPPZIU-UHFFFAOYSA-N 0 0 266.345 2.591 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1CSc2ccccc21 ZINC000892595793 618647138 /nfs/dbraw/zinc/64/71/38/618647138.db2.gz XSGPLLHULKLTCP-VIFPVBQESA-N 0 0 276.321 2.587 20 5 CFBDRN CCCOCC(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892642705 618669240 /nfs/dbraw/zinc/66/92/40/618669240.db2.gz CTWVQWVFGPXLCL-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN O=C(Nc1nccc2c1cccc2[N+](=O)[O-])C12CC(C1)C2 ZINC000892648477 618673690 /nfs/dbraw/zinc/67/36/90/618673690.db2.gz NCCXJXCFZCDNEU-UHFFFAOYSA-N 0 0 283.287 2.882 20 5 CFBDRN O=C(Nc1nccc2c1cccc2[N+](=O)[O-])C1CCC1 ZINC000892656267 618677002 /nfs/dbraw/zinc/67/70/02/618677002.db2.gz PFOANKHWSYHXBK-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892655278 618677133 /nfs/dbraw/zinc/67/71/33/618677133.db2.gz HAQVIBNMOFHKFP-KCJUWKMLSA-N 0 0 271.276 2.738 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1CCCCS1 ZINC000783231861 618699277 /nfs/dbraw/zinc/69/92/77/618699277.db2.gz RMLUWQGAIVHQKF-LBPRGKRZSA-N 0 0 281.333 2.924 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000892688093 618710478 /nfs/dbraw/zinc/71/04/78/618710478.db2.gz QHEMRTOGCLXVKZ-HBNTYKKESA-N 0 0 264.329 2.709 20 5 CFBDRN COCC[C@@H](COC)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000311802089 618723934 /nfs/dbraw/zinc/72/39/34/618723934.db2.gz KONXTYPMWSROPP-JTQLQIEISA-N 0 0 288.731 2.712 20 5 CFBDRN CN(C)c1ccc(N(C)C(=O)c2cccc([N+](=O)[O-])c2)cc1 ZINC000103415006 618726109 /nfs/dbraw/zinc/72/61/09/618726109.db2.gz GDWLRPGJSCSPMY-UHFFFAOYSA-N 0 0 299.330 2.937 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2CO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000784025392 618733412 /nfs/dbraw/zinc/73/34/12/618733412.db2.gz BUQAEHJSDLMGOS-WDEREUQCSA-N 0 0 279.292 2.542 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)CSC)c([N+](=O)[O-])c1 ZINC000066753948 618735906 /nfs/dbraw/zinc/73/59/06/618735906.db2.gz GRZGRGRLFHPIJW-MRVPVSSYSA-N 0 0 285.321 2.508 20 5 CFBDRN CCOc1cc(NC(=O)CCCCOC)ccc1[N+](=O)[O-] ZINC000892745697 618738468 /nfs/dbraw/zinc/73/84/68/618738468.db2.gz CCCAYQSIOXYXMK-UHFFFAOYSA-N 0 0 296.323 2.749 20 5 CFBDRN CCOc1cc(NC(=O)CCSC)ccc1[N+](=O)[O-] ZINC000892751208 618739865 /nfs/dbraw/zinc/73/98/65/618739865.db2.gz SFUPBVKBEILFFQ-UHFFFAOYSA-N 0 0 284.337 2.685 20 5 CFBDRN Cn1c2ccccc2c(NCC2(C)CC2)c([N+](=O)[O-])c1=O ZINC000892795891 618748322 /nfs/dbraw/zinc/74/83/22/618748322.db2.gz NUCNDZBZSWWJAP-UHFFFAOYSA-N 0 0 287.319 2.659 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H](C)c1ccncc1 ZINC000892837208 618755495 /nfs/dbraw/zinc/75/54/95/618755495.db2.gz SCNGAXULPUFJQH-SNVBAGLBSA-N 0 0 288.307 2.609 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892859589 618759620 /nfs/dbraw/zinc/75/96/20/618759620.db2.gz FXUYCMLZLRHCNJ-GXFFZTMASA-N 0 0 274.320 2.920 20 5 CFBDRN CC[C@]1(C)CCN(c2ncc([N+](=O)[O-])cc2OC)C1 ZINC000892899775 618764978 /nfs/dbraw/zinc/76/49/78/618764978.db2.gz GXAZVJVUZSXJBH-CYBMUJFWSA-N 0 0 265.313 2.625 20 5 CFBDRN CC1(C)CC[C@H]1Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000311976211 618811907 /nfs/dbraw/zinc/81/19/07/618811907.db2.gz NUVLSADFMCIZKD-SECBINFHSA-N 0 0 262.269 2.732 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCCCc1ccccc1 ZINC000893075542 618823813 /nfs/dbraw/zinc/82/38/13/618823813.db2.gz BYHMMMOPPXXDAS-LBPRGKRZSA-N 0 0 265.309 2.608 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CCO[C@H](C(C)C)C1 ZINC000893094731 618829173 /nfs/dbraw/zinc/82/91/73/618829173.db2.gz BMVCFMJHOZRAKC-PWSUYJOCSA-N 0 0 295.339 2.614 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1C[C@]1(C)c1ccccc1)[N+](=O)[O-] ZINC000893159247 618852776 /nfs/dbraw/zinc/85/27/76/618852776.db2.gz WMAKIODGNYEHNA-SWLSCSKDSA-N 0 0 277.320 2.563 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1CCCc2sccc21 ZINC000893209581 618884952 /nfs/dbraw/zinc/88/49/52/618884952.db2.gz LGOPEAXPHOTREV-VIFPVBQESA-N 0 0 278.337 2.879 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@@H](C)CO1)c1ccccc1[N+](=O)[O-] ZINC000893221948 618892224 /nfs/dbraw/zinc/89/22/24/618892224.db2.gz BHDQRRFYBYGOGS-BREBYQMCSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC23CCC3)c1C ZINC000893236317 618898012 /nfs/dbraw/zinc/89/80/12/618898012.db2.gz FBYZGKVJHCGJDW-GFCCVEGCSA-N 0 0 274.320 2.884 20 5 CFBDRN CC1(CC(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)COC1 ZINC000924117572 618918738 /nfs/dbraw/zinc/91/87/38/618918738.db2.gz YIXOQBIGAPRXBE-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN O=C(Nc1ncsc1C1CC1)c1ccc([N+](=O)[O-])cn1 ZINC000893268931 618919662 /nfs/dbraw/zinc/91/96/62/618919662.db2.gz ARXKZZZUODLSSD-UHFFFAOYSA-N 0 0 290.304 2.576 20 5 CFBDRN Cn1c(C(=O)Nc2ncsc2C2CC2)ccc1[N+](=O)[O-] ZINC000893280629 618929097 /nfs/dbraw/zinc/92/90/97/618929097.db2.gz WNCSSESZFRIPKD-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN Cc1nonc1[C@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000925696217 618948236 /nfs/dbraw/zinc/94/82/36/618948236.db2.gz YHOVHDJIXKVTGD-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN C=C/C=C/CCNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000893392439 618959582 /nfs/dbraw/zinc/95/95/82/618959582.db2.gz FHSSTFQNFAAMRU-ONEGZZNKSA-N 0 0 290.319 2.612 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@@H]1C(F)F ZINC000931420926 618965679 /nfs/dbraw/zinc/96/56/79/618965679.db2.gz KFKVAAWRYRHZTF-SNVBAGLBSA-N 0 0 260.215 2.573 20 5 CFBDRN CCOC(=O)/C=C\CSc1cccc([N+](=O)[O-])c1 ZINC000313467769 618990159 /nfs/dbraw/zinc/99/01/59/618990159.db2.gz BOZSEPKELZJQNA-DAXSKMNVSA-N 0 0 267.306 2.806 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000066876994 619003074 /nfs/dbraw/zinc/00/30/74/619003074.db2.gz NUXIQMVLPSVHNX-TVYUQYBPSA-N 0 0 279.292 2.624 20 5 CFBDRN CCc1noc(COc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000093307893 619005625 /nfs/dbraw/zinc/00/56/25/619005625.db2.gz CACJFSXKHALDSK-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCc2cccc(C)c2C1 ZINC000893491988 619018980 /nfs/dbraw/zinc/01/89/80/619018980.db2.gz XVTSAYGBQJVDMB-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCC1CC(OC(C)(C)C)C1 ZINC000893500643 619022031 /nfs/dbraw/zinc/02/20/31/619022031.db2.gz YXTHYKABXGCKGT-UHFFFAOYSA-N 0 0 296.371 2.724 20 5 CFBDRN CCCc1nccn1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000070424174 625314670 /nfs/dbraw/zinc/31/46/70/625314670.db2.gz WAAINGGWHURLFT-UHFFFAOYSA-N 0 0 263.272 2.931 20 5 CFBDRN CCn1ccc(CNCc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000840954233 619127600 /nfs/dbraw/zinc/12/76/00/619127600.db2.gz AUVCBMCDXMFQMQ-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN COCC1CC(OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000893640870 619137487 /nfs/dbraw/zinc/13/74/87/619137487.db2.gz UNAIELQWHXMPOQ-CDWSIMAYSA-N 0 0 293.319 2.667 20 5 CFBDRN C/C(=C\C(=O)OCCOC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000893678230 619154438 /nfs/dbraw/zinc/15/44/38/619154438.db2.gz HHDLPHFYKFEPEF-ZHACJKMWSA-N 0 0 291.303 2.720 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCCOC1CC1 ZINC000893678365 619154470 /nfs/dbraw/zinc/15/44/70/619154470.db2.gz ICFJKUCARZDTHM-KHPPLWFESA-N 0 0 291.303 2.720 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1CC[C@@H]2C[C@@H]21 ZINC000893680416 619155065 /nfs/dbraw/zinc/15/50/65/619155065.db2.gz QJBQGUWWHBZVBQ-PELKAZGASA-N 0 0 273.267 2.881 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CC[C@@H](C(F)F)C2)c1 ZINC000893681632 619155624 /nfs/dbraw/zinc/15/56/24/619155624.db2.gz KZRJTWGMAKOCAW-SSDOTTSWSA-N 0 0 287.222 2.594 20 5 CFBDRN Cc1cnn(C)c1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000840638184 619161527 /nfs/dbraw/zinc/16/15/27/619161527.db2.gz RUYAHCVMKUNYHS-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CSCc1ccc(CNc2c([N+](=O)[O-])cnn2C)cc1 ZINC000893841548 619227621 /nfs/dbraw/zinc/22/76/21/619227621.db2.gz BPKAARDPIBSIEX-UHFFFAOYSA-N 0 0 292.364 2.803 20 5 CFBDRN C[C@H]1CN(c2ccc3nonc3c2[N+](=O)[O-])CCC1(F)F ZINC000893905664 619238978 /nfs/dbraw/zinc/23/89/78/619238978.db2.gz QFQPITDVRBUXLO-ZETCQYMHSA-N 0 0 298.249 2.613 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCNc1ncccn1 ZINC000726785910 619262473 /nfs/dbraw/zinc/26/24/73/619262473.db2.gz AQYZACOQUSIXOD-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN O=C(O[C@@H](C1CCCCC1)C(F)(F)F)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841167406 619264444 /nfs/dbraw/zinc/26/44/44/619264444.db2.gz AORKQVQQTDKORG-BBBLOLIVSA-N 0 0 295.257 2.706 20 5 CFBDRN C[C@@H]1C[C@@H]1c1ccc(CN(CC[N+](=O)[O-])C2CC2)o1 ZINC000791054423 619275394 /nfs/dbraw/zinc/27/53/94/619275394.db2.gz UYHYEILWLJWMBS-MFKMUULPSA-N 0 0 264.325 2.644 20 5 CFBDRN CC[C@H](C)CC(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000791506114 619333014 /nfs/dbraw/zinc/33/30/14/619333014.db2.gz XKGZAGRGGRCUHM-NSHDSACASA-N 0 0 281.308 2.953 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000894363742 619352219 /nfs/dbraw/zinc/35/22/19/619352219.db2.gz NOKXJWLOVMUFCJ-RDDDGLTNSA-N 0 0 283.309 2.809 20 5 CFBDRN Cc1nc(CC(=O)Nc2ccc([N+](=O)[O-])cc2F)cs1 ZINC000726915643 619377063 /nfs/dbraw/zinc/37/70/63/619377063.db2.gz KBPPGADYBNPIHG-UHFFFAOYSA-N 0 0 295.295 2.680 20 5 CFBDRN C[C@@]1(CNc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1(F)F ZINC000894557407 619415315 /nfs/dbraw/zinc/41/53/15/619415315.db2.gz DKLUIDKWSUZGQB-JTQLQIEISA-N 0 0 287.222 2.960 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@]1(C)CC1(F)F ZINC000894560843 619416498 /nfs/dbraw/zinc/41/64/98/619416498.db2.gz PNBLNTQKBABRQB-LLVKDONJSA-N 0 0 287.266 2.846 20 5 CFBDRN CCC[C@@H](CNc1ncc([N+](=O)[O-])cc1OC)OCC ZINC000894704521 619446878 /nfs/dbraw/zinc/44/68/78/619446878.db2.gz UDFFSSWFEDDYMZ-NSHDSACASA-N 0 0 283.328 2.616 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC2(C1)CCOCC2 ZINC000894830433 619476332 /nfs/dbraw/zinc/47/63/32/619476332.db2.gz ODIPEHXJPMVJTF-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN C[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000894892805 619500968 /nfs/dbraw/zinc/50/09/68/619500968.db2.gz ABIUDZJBLPUDFA-MRVPVSSYSA-N 0 0 276.271 2.581 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)Cc2c[nH]nc21 ZINC000894895079 619502115 /nfs/dbraw/zinc/50/21/15/619502115.db2.gz PCRMUGMDTOUJSP-MRVPVSSYSA-N 0 0 276.271 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(c3nc(C)cc(C)n3)C2)c1 ZINC000894896458 619502513 /nfs/dbraw/zinc/50/25/13/619502513.db2.gz MKAAFECRFIRRSP-UHFFFAOYSA-N 0 0 298.346 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn3c2NC[C@H](C)C3)c1 ZINC000894951769 619517350 /nfs/dbraw/zinc/51/73/50/619517350.db2.gz VDPNMCMAYHLJIB-JTQLQIEISA-N 0 0 287.323 2.905 20 5 CFBDRN Cc1cc(Nc2cnn3c2NC[C@H](C)C3)c([N+](=O)[O-])s1 ZINC000894951435 619517467 /nfs/dbraw/zinc/51/74/67/619517467.db2.gz PSWGBAJIXRSQQH-ZETCQYMHSA-N 0 0 293.352 2.966 20 5 CFBDRN CC1(C(=O)COC(=O)c2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000795342105 619561790 /nfs/dbraw/zinc/56/17/90/619561790.db2.gz CFWUEXFVVJUVAX-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN COCc1nnc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000895668228 619582072 /nfs/dbraw/zinc/58/20/72/619582072.db2.gz ZIKQJEKQTZRTPA-MRVPVSSYSA-N 0 0 294.336 2.766 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000895722019 619591058 /nfs/dbraw/zinc/59/10/58/619591058.db2.gz BDRVLKLIZXAWFG-MWLCHTKSSA-N 0 0 299.330 2.716 20 5 CFBDRN Cc1cccc(N2CCO[C@H](C)CC2)c1[N+](=O)[O-] ZINC000895761535 619601794 /nfs/dbraw/zinc/60/17/94/619601794.db2.gz ZVTATDFWGVJVOJ-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN CC(C)O[C@@]1(CNc2c(F)cccc2[N+](=O)[O-])CCOC1 ZINC000895869186 619626549 /nfs/dbraw/zinc/62/65/49/619626549.db2.gz MDFMXSATFHBTCN-CQSZACIVSA-N 0 0 298.314 2.730 20 5 CFBDRN C[C@@H]1COC[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000895907131 619631956 /nfs/dbraw/zinc/63/19/56/619631956.db2.gz AQDWKJMEKFRFFS-BXKDBHETSA-N 0 0 273.292 2.590 20 5 CFBDRN Cc1cc(N[C@H]2COC[C@@H]2C)c2cccc([N+](=O)[O-])c2n1 ZINC000895908230 619632337 /nfs/dbraw/zinc/63/23/37/619632337.db2.gz GVQXUKQMBSBVHC-ZANVPECISA-N 0 0 287.319 2.898 20 5 CFBDRN C[C@@H]1COC[C@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000895908984 619632714 /nfs/dbraw/zinc/63/27/14/619632714.db2.gz KOYAQIKPPSNUGQ-YMTOWFKASA-N 0 0 273.292 2.590 20 5 CFBDRN C[C@@H]1CO[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000895954334 619643509 /nfs/dbraw/zinc/64/35/09/619643509.db2.gz ZLGWCDWVGHLYCM-GZMMTYOYSA-N 0 0 275.264 2.742 20 5 CFBDRN COCC1CN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)C1 ZINC000895971289 619646541 /nfs/dbraw/zinc/64/65/41/619646541.db2.gz LFXYHUZNNOLNSI-UHFFFAOYSA-N 0 0 278.352 2.796 20 5 CFBDRN Cc1cc(N2CCCC[C@H]2c2ncccn2)ncc1[N+](=O)[O-] ZINC000895974719 619647423 /nfs/dbraw/zinc/64/74/23/619647423.db2.gz JCSBIUOHXZPFRU-LBPRGKRZSA-N 0 0 299.334 2.820 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCC[C@@H]1c1ncccn1 ZINC000895975239 619647901 /nfs/dbraw/zinc/64/79/01/619647901.db2.gz SDWUJMAXGOJGPQ-LLVKDONJSA-N 0 0 285.307 2.511 20 5 CFBDRN CCC[C@H](C)c1noc(C(C)(C)n2cc([N+](=O)[O-])cn2)n1 ZINC000896055558 619662275 /nfs/dbraw/zinc/66/22/75/619662275.db2.gz AHJXUJDBQDEUBP-VIFPVBQESA-N 0 0 293.327 2.861 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1C[C@H]1C(F)(F)F ZINC000896088448 619667960 /nfs/dbraw/zinc/66/79/60/619667960.db2.gz RIPMEYYKYQOQDV-NKWVEPMBSA-N 0 0 261.203 2.600 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC000896080897 619668942 /nfs/dbraw/zinc/66/89/42/619668942.db2.gz ASECJRWWBGOIMX-TUAOUCFPSA-N 0 0 260.293 2.598 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ncc(C)cc1C ZINC000896099525 619670649 /nfs/dbraw/zinc/67/06/49/619670649.db2.gz BSXZNCKLYGXSFP-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN C[C@H]1CO[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000896222468 619693663 /nfs/dbraw/zinc/69/36/63/619693663.db2.gz NUBOWGXUIYMGMH-RQJHMYQMSA-N 0 0 281.293 2.804 20 5 CFBDRN Cc1cnc(F)cc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000896314564 619716449 /nfs/dbraw/zinc/71/64/49/619716449.db2.gz HBPOKASOGIIBNB-UHFFFAOYSA-N 0 0 294.286 2.567 20 5 CFBDRN CCc1cccnc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000896316045 619716769 /nfs/dbraw/zinc/71/67/69/619716769.db2.gz XUJLIMRQFTWLRS-UHFFFAOYSA-N 0 0 290.323 2.682 20 5 CFBDRN CCOc1cc(N2CCCC(=O)[C@H]2C)ccc1[N+](=O)[O-] ZINC000896335658 619718518 /nfs/dbraw/zinc/71/85/18/619718518.db2.gz IVTVWLMBVPLEIO-SNVBAGLBSA-N 0 0 278.308 2.551 20 5 CFBDRN C[C@H]1C(=O)CCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000896337733 619719032 /nfs/dbraw/zinc/71/90/32/619719032.db2.gz WIBBQDYLSFDQOJ-QMMMGPOBSA-N 0 0 291.332 2.762 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H]3OC(C)(C)O[C@H]3C2)ccc1[N+](=O)[O-] ZINC000896359114 619723934 /nfs/dbraw/zinc/72/39/34/619723934.db2.gz JUPSZXPFQUDNKX-DEZZGGKRSA-N 0 0 292.335 2.998 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCCc3cccnc32)c1[N+](=O)[O-] ZINC000896418424 619733141 /nfs/dbraw/zinc/73/31/41/619733141.db2.gz NVDXUTRTXUCHOA-NSHDSACASA-N 0 0 287.323 2.521 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCCc2cccnc21 ZINC000896419675 619733566 /nfs/dbraw/zinc/73/35/66/619733566.db2.gz NAYDAODENIUCEU-NSHDSACASA-N 0 0 270.292 2.874 20 5 CFBDRN Cc1ccnc(N[C@@H](c2cnn(C)c2)C(C)C)c1[N+](=O)[O-] ZINC000896426611 619735710 /nfs/dbraw/zinc/73/57/10/619735710.db2.gz KWCDBVMYJYFWOR-GFCCVEGCSA-N 0 0 289.339 2.841 20 5 CFBDRN CC(C)[C@@H](Nc1cc(N)ccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000896427494 619736122 /nfs/dbraw/zinc/73/61/22/619736122.db2.gz PKJWMFYQROSWPT-CQSZACIVSA-N 0 0 289.339 2.720 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@H]2C[C@H](CO)C2)ccc1C(F)(F)F ZINC000896691856 619792052 /nfs/dbraw/zinc/79/20/52/619792052.db2.gz PTUOJRJGVQHAGT-XWEPSHTISA-N 0 0 290.241 2.796 20 5 CFBDRN CC(C)(CNc1c(C(N)=O)cccc1[N+](=O)[O-])C1CC1 ZINC000896783577 619814198 /nfs/dbraw/zinc/81/41/98/619814198.db2.gz NQVIIKDMNZSAKG-UHFFFAOYSA-N 0 0 277.324 2.542 20 5 CFBDRN CSC1(c2noc(Cc3cccc([N+](=O)[O-])c3)n2)CC1 ZINC000896784462 619814643 /nfs/dbraw/zinc/81/46/43/619814643.db2.gz HLFSSSQWXIEIIV-UHFFFAOYSA-N 0 0 291.332 2.921 20 5 CFBDRN CC(C)(CNc1cc[nH]c(=O)c1[N+](=O)[O-])C1CCOCC1 ZINC000896786249 619815154 /nfs/dbraw/zinc/81/51/54/619815154.db2.gz YGUVMQCJNKUPJF-UHFFFAOYSA-N 0 0 295.339 2.560 20 5 CFBDRN COc1cc(NC[C@H]2CC[C@@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000896803951 619817072 /nfs/dbraw/zinc/81/70/72/619817072.db2.gz LNTPCKCGWRJVKL-OCCSQVGLSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1nnc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000896794889 619820336 /nfs/dbraw/zinc/82/03/36/619820336.db2.gz XLKJDLBFMSJSOU-MRVPVSSYSA-N 0 0 262.269 2.502 20 5 CFBDRN COc1cccc(NC[C@H]2CC[C@@H](C3CC3)O2)c1[N+](=O)[O-] ZINC000896802141 619822210 /nfs/dbraw/zinc/82/22/10/619822210.db2.gz BOULONKOTAHGFN-YPMHNXCESA-N 0 0 292.335 2.973 20 5 CFBDRN Nc1ccc(NC[C@@H]2CC[C@@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000896801304 619822411 /nfs/dbraw/zinc/82/24/11/619822411.db2.gz MKISWYQPZZIYNI-FZMZJTMJSA-N 0 0 277.324 2.547 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC1(C2(O)CCC2)CC1 ZINC000896809782 619823809 /nfs/dbraw/zinc/82/38/09/619823809.db2.gz NKIGTGLJGFKQTC-UHFFFAOYSA-N 0 0 297.742 2.750 20 5 CFBDRN C[C@]12CN(c3ncc([N+](=O)[O-])s3)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000896899352 619870716 /nfs/dbraw/zinc/87/07/16/619870716.db2.gz WRQZNCRCISZMQD-MPXOCVNLSA-N 0 0 277.349 2.700 20 5 CFBDRN Cc1cccc(C)c1CN(C)c1c([N+](=O)[O-])ncn1C ZINC000896917994 619887585 /nfs/dbraw/zinc/88/75/85/619887585.db2.gz IWEMKUODVZYHSQ-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC1C[C@H]2CC[C@@H](C1)S2 ZINC000896997268 619910964 /nfs/dbraw/zinc/91/09/64/619910964.db2.gz JFCHKDQWCFWNRI-CBLAIPOGSA-N 0 0 283.328 2.967 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC23CCCCC3)cn1 ZINC000896989596 619911233 /nfs/dbraw/zinc/91/12/33/619911233.db2.gz CBTNGZDGXIAJNF-GFCCVEGCSA-N 0 0 277.324 2.894 20 5 CFBDRN COC[C@@H](Sc1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000897002900 619912361 /nfs/dbraw/zinc/91/23/61/619912361.db2.gz HFAUCVKFXNVFDA-GFCCVEGCSA-N 0 0 293.348 2.808 20 5 CFBDRN COCC1(c2noc(-c3cc([N+](=O)[O-])ccc3C)n2)CC1 ZINC000897038536 619917790 /nfs/dbraw/zinc/91/77/90/619917790.db2.gz OGKGACSFOSUYME-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN NC(=O)c1ccc(N[C@H]2CCCC3(CC3)C2)c([N+](=O)[O-])c1 ZINC000897046423 619918690 /nfs/dbraw/zinc/91/86/90/619918690.db2.gz YSIIQEYSTHBDAM-NSHDSACASA-N 0 0 289.335 2.828 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC2CC3(C2)CO[C@@H](C)C3)n1 ZINC000897053267 619921185 /nfs/dbraw/zinc/92/11/85/619921185.db2.gz SZCDZXZRLKRMQA-IFQILLTASA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@H](CNC(=O)N1CCC[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897068281 619925043 /nfs/dbraw/zinc/92/50/43/619925043.db2.gz TUDHHRKMQXOIRD-VXGBXAGGSA-N 0 0 291.351 2.892 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@H]2CC[C@@]21c1cccnc1 ZINC000897070144 619925465 /nfs/dbraw/zinc/92/54/65/619925465.db2.gz DIDRZKBXUCRFPA-LRDDRELGSA-N 0 0 296.330 2.900 20 5 CFBDRN CCN(CC)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000897072032 619926082 /nfs/dbraw/zinc/92/60/82/619926082.db2.gz AFJVHNJOKGIQIY-NSHDSACASA-N 0 0 279.340 2.750 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cnn(C2CCC2)c1 ZINC000897101932 619933519 /nfs/dbraw/zinc/93/35/19/619933519.db2.gz AXZTTYAQHFFKAB-UHFFFAOYSA-N 0 0 273.296 2.963 20 5 CFBDRN COc1ccc(OC(=O)C2CC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000796797670 619938086 /nfs/dbraw/zinc/93/80/86/619938086.db2.gz JEYDQTNGXMUSLZ-UHFFFAOYSA-N 0 0 279.292 2.945 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000897142049 619938571 /nfs/dbraw/zinc/93/85/71/619938571.db2.gz FSGZYCMNLZPKMX-HUUCEWRRSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000897148178 619939912 /nfs/dbraw/zinc/93/99/12/619939912.db2.gz DEKPLOYMAJDVIL-WDEREUQCSA-N 0 0 293.348 2.818 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000897143639 619940633 /nfs/dbraw/zinc/94/06/33/619940633.db2.gz DBQZUIODTDXFBH-JQWIXIFHSA-N 0 0 270.716 2.862 20 5 CFBDRN CC1(C)CC2(CC(Nc3ncc([N+](=O)[O-])s3)C2)CO1 ZINC000897213702 619951602 /nfs/dbraw/zinc/95/16/02/619951602.db2.gz GJVLDVFNJMZYMN-UHFFFAOYSA-N 0 0 283.353 2.811 20 5 CFBDRN CC1(C)CCC[C@H](CNc2ccc(N)cc2[N+](=O)[O-])O1 ZINC000897254233 619960253 /nfs/dbraw/zinc/96/02/53/619960253.db2.gz MNGVBLGNZJJNBF-LLVKDONJSA-N 0 0 279.340 2.937 20 5 CFBDRN C[C@H]1CN(c2cccnc2[N+](=O)[O-])CCN1c1ccccc1 ZINC000897271955 619963254 /nfs/dbraw/zinc/96/32/54/619963254.db2.gz VTUVALKILUZVAT-ZDUSSCGKSA-N 0 0 298.346 2.705 20 5 CFBDRN CS[C@H](C)[C@H](C)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000797198352 619976021 /nfs/dbraw/zinc/97/60/21/619976021.db2.gz UAAJXYAXWCMWQN-DTWKUNHWSA-N 0 0 269.322 2.892 20 5 CFBDRN Cc1cc(OC[C@H](O)C(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000310691101 619990071 /nfs/dbraw/zinc/99/00/71/619990071.db2.gz NZDBXHGQYUDJES-NSHDSACASA-N 0 0 273.716 2.952 20 5 CFBDRN Cc1cc(CSc2ncc([N+](=O)[O-])cc2C)no1 ZINC000897334133 619998523 /nfs/dbraw/zinc/99/85/23/619998523.db2.gz KLXWVMGVIOUPLH-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN Cc1nc(CC(=O)OCc2cccc([N+](=O)[O-])c2)cs1 ZINC000022871580 620022681 /nfs/dbraw/zinc/02/26/81/620022681.db2.gz SECGYSUNGIWKPC-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)c1[nH]ccc1C ZINC000797906549 620033458 /nfs/dbraw/zinc/03/34/58/620033458.db2.gz FUHGBAULBBYRMW-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@@H]2CCCO2)cc1Cl ZINC000871694249 620053958 /nfs/dbraw/zinc/05/39/58/620053958.db2.gz IMJBPTKOXXAJQQ-ZETCQYMHSA-N 0 0 275.663 2.945 20 5 CFBDRN Cc1cccc(OCC(=O)Oc2cccc([N+](=O)[O-])c2)c1 ZINC000007766378 620068692 /nfs/dbraw/zinc/06/86/92/620068692.db2.gz HQDSHCYXXXBEJL-UHFFFAOYSA-N 0 0 287.271 2.888 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000928934526 620075300 /nfs/dbraw/zinc/07/53/00/620075300.db2.gz LNXOIJVCAILQBE-ARJAWSKDSA-N 0 0 286.690 2.995 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1c(F)cccc1[N+](=O)[O-] ZINC000889617038 620083560 /nfs/dbraw/zinc/08/35/60/620083560.db2.gz SBQRRYOHUFBHMB-FXPVBKGRSA-N 0 0 278.283 2.652 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCC[C@@H](O)C1CC1 ZINC000897387200 620089467 /nfs/dbraw/zinc/08/94/67/620089467.db2.gz KCEXNHPARUJFOC-LLVKDONJSA-N 0 0 270.716 2.821 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@H]2CCCC2(C)C)n1 ZINC000799511907 620113725 /nfs/dbraw/zinc/11/37/25/620113725.db2.gz BEMJKPULGVGLPO-JTQLQIEISA-N 0 0 281.312 2.547 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccno2)cc1Cl ZINC000109793182 620153238 /nfs/dbraw/zinc/15/32/38/620153238.db2.gz HZXCZTCXZDQUQC-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN CC(C)c1ccc(C[N@H+](C)C[C@@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000263531231 620155237 /nfs/dbraw/zinc/15/52/37/620155237.db2.gz WQQKKFUMLBKIFY-LLVKDONJSA-N 0 0 294.351 2.871 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)c1 ZINC000889591091 620183064 /nfs/dbraw/zinc/18/30/64/620183064.db2.gz OEUPKTXYNUUZBS-IRUJWGPZSA-N 0 0 290.319 2.522 20 5 CFBDRN COc1cc(N2C[C@@H]3CSC[C@]3(C)C2)ccc1[N+](=O)[O-] ZINC000897494949 620189972 /nfs/dbraw/zinc/18/99/72/620189972.db2.gz PPTPGDDZSAHLTP-YGRLFVJLSA-N 0 0 294.376 2.793 20 5 CFBDRN C[C@@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)C(F)F ZINC000786507763 620247091 /nfs/dbraw/zinc/24/70/91/620247091.db2.gz AFXZGNWOHXUZSL-MRVPVSSYSA-N 0 0 273.235 2.724 20 5 CFBDRN CCC1(CC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)COC1 ZINC000929134190 620251180 /nfs/dbraw/zinc/25/11/80/620251180.db2.gz VBJICJOCQRINOO-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000897613118 620259399 /nfs/dbraw/zinc/25/93/99/620259399.db2.gz LSYIORIKIVGBOY-NXEZZACHSA-N 0 0 299.330 2.953 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc3ccccc3c([N+](=O)[O-])c2N)[C@H]1C ZINC000897852253 620294237 /nfs/dbraw/zinc/29/42/37/620294237.db2.gz BZEFVEWNFOOEOM-UWVGGRQHSA-N 0 0 299.330 2.811 20 5 CFBDRN Cc1sc(C(=O)N2CCC=C(Cl)C2)cc1[N+](=O)[O-] ZINC000898190920 620350501 /nfs/dbraw/zinc/35/05/01/620350501.db2.gz VOCZKADWWKYKOZ-UHFFFAOYSA-N 0 0 286.740 2.933 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC=C(Cl)C1 ZINC000898191415 620350678 /nfs/dbraw/zinc/35/06/78/620350678.db2.gz MKXDKDATEAFMDP-UHFFFAOYSA-N 0 0 280.711 2.872 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)c1 ZINC000889596299 620410392 /nfs/dbraw/zinc/41/03/92/620410392.db2.gz ISNFIIOPQQQJIV-NTZNESFSSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc(CCC(=O)OCc2csc([N+](=O)[O-])c2)no1 ZINC000923885618 620424352 /nfs/dbraw/zinc/42/43/52/620424352.db2.gz GPTZAMQETOBCON-UHFFFAOYSA-N 0 0 296.304 2.629 20 5 CFBDRN COC[C@@H]1CCCCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000832605644 625424253 /nfs/dbraw/zinc/42/42/53/625424253.db2.gz IISPEYILYSHTOZ-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN CC(C)(NC(=O)NC/C=C/Cl)c1ccccc1[N+](=O)[O-] ZINC000899042491 620515704 /nfs/dbraw/zinc/51/57/04/620515704.db2.gz JPAOXRAHANAEGV-VMPITWQZSA-N 0 0 297.742 2.882 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)c1[N+](=O)[O-] ZINC000899418709 620562128 /nfs/dbraw/zinc/56/21/28/620562128.db2.gz UMOVDKQRXRMQBQ-XWUBHJNHSA-N 0 0 288.347 2.925 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000899419699 620562573 /nfs/dbraw/zinc/56/25/73/620562573.db2.gz XSNAERKQPFIPPD-DOLQZWNJSA-N 0 0 280.349 2.678 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000899417901 620566884 /nfs/dbraw/zinc/56/68/84/620566884.db2.gz MUISFNOHRKXAOU-RUZUBIRVSA-N 0 0 288.347 2.546 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000899417585 620567024 /nfs/dbraw/zinc/56/70/24/620567024.db2.gz LDOFJJGFJFZQAF-OJDJGZDQSA-N 0 0 288.347 2.925 20 5 CFBDRN COC/C(C)=C/COc1c(F)cccc1[N+](=O)[O-] ZINC000901535752 620849108 /nfs/dbraw/zinc/84/91/08/620849108.db2.gz ROYKHVURMXGJRN-RMKNXTFCSA-N 0 0 255.245 2.705 20 5 CFBDRN Cc1cn(C)nc1COc1cc(C)c([N+](=O)[O-])cc1F ZINC000901538496 620850281 /nfs/dbraw/zinc/85/02/81/620850281.db2.gz SHZGHGYMSHRXFH-UHFFFAOYSA-N 0 0 279.271 2.663 20 5 CFBDRN Cc1c(NC(=O)C2(C(C)C)COC2)cccc1[N+](=O)[O-] ZINC000901516452 620853635 /nfs/dbraw/zinc/85/36/35/620853635.db2.gz GXCQAQSQZYGGPF-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)C=CCC1 ZINC000901518397 620854350 /nfs/dbraw/zinc/85/43/50/620854350.db2.gz ZLOAFVQMTOUDFG-AWEZNQCLSA-N 0 0 276.292 2.898 20 5 CFBDRN CC(C)(C)[C@H]([NH2+]C/C=C/c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000901574127 620867127 /nfs/dbraw/zinc/86/71/27/620867127.db2.gz HEMWGSRIKXSGNE-STMXVASLSA-N 0 0 292.335 2.697 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H](C)[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000901580240 620868553 /nfs/dbraw/zinc/86/85/53/620868553.db2.gz SSNSYUWXMVEFBM-YPMHNXCESA-N 0 0 293.319 2.932 20 5 CFBDRN CC(C)=CCOc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000901699289 620897993 /nfs/dbraw/zinc/89/79/93/620897993.db2.gz IEJGKJRAVRGYCP-UHFFFAOYSA-N 0 0 265.265 2.711 20 5 CFBDRN O=C([O-])CC1([NH2+]Cc2ccc([N+](=O)[O-])c(Cl)c2)CCC1 ZINC000901707239 620903497 /nfs/dbraw/zinc/90/34/97/620903497.db2.gz ATTKAEILXGTSIC-UHFFFAOYSA-N 0 0 298.726 2.735 20 5 CFBDRN COC/C(C)=C/CSCc1cccnc1[N+](=O)[O-] ZINC000901885431 620934299 /nfs/dbraw/zinc/93/42/99/620934299.db2.gz VFGOCURETRYILZ-BJMVGYQFSA-N 0 0 268.338 2.816 20 5 CFBDRN CC1=NO[C@](C)(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000901912614 620938678 /nfs/dbraw/zinc/93/86/78/620938678.db2.gz LHUJEMQMZVVBQA-AWEZNQCLSA-N 0 0 291.307 2.705 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000901912620 620938862 /nfs/dbraw/zinc/93/88/62/620938862.db2.gz LHUJEMQMZVVBQA-CQSZACIVSA-N 0 0 291.307 2.705 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@@H+]1CCC[C@@H]1CC(=O)[O-] ZINC000902008832 620956683 /nfs/dbraw/zinc/95/66/83/620956683.db2.gz XXGPUTPOJMQWCG-GFCCVEGCSA-N 0 0 292.335 2.651 20 5 CFBDRN CCn1cccc(NCc2cccc([N+](=O)[O-])c2C)c1=O ZINC000902120661 620982318 /nfs/dbraw/zinc/98/23/18/620982318.db2.gz XIZFCDOJSOVGGX-UHFFFAOYSA-N 0 0 287.319 2.697 20 5 CFBDRN Cc1cc(NCc2ccc(C)c([N+](=O)[O-])c2)c(=O)n(C)c1 ZINC000902254733 621006565 /nfs/dbraw/zinc/00/65/65/621006565.db2.gz AWWWFNXQWDRAFV-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H]1C[C@]12CCOC2 ZINC000902308535 621028855 /nfs/dbraw/zinc/02/88/55/621028855.db2.gz OOHFWJQLHMKENY-ABAIWWIYSA-N 0 0 291.303 2.603 20 5 CFBDRN Cc1cc(OC[C@@H]2C[C@]23CCOC3)c(F)cc1[N+](=O)[O-] ZINC000902341159 621032344 /nfs/dbraw/zinc/03/23/44/621032344.db2.gz BGLAUCWTBWAXEE-HZMBPMFUSA-N 0 0 281.283 2.848 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSC[C@H]1CCCOC1 ZINC000902345519 621033765 /nfs/dbraw/zinc/03/37/65/621033765.db2.gz QAQGALQCXGYCMF-JTQLQIEISA-N 0 0 268.338 2.650 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@H]1C[C@@]12CCOC2 ZINC000902333712 621039467 /nfs/dbraw/zinc/03/94/67/621039467.db2.gz YTNPTRJFQKCYSV-NOZJJQNGSA-N 0 0 267.256 2.539 20 5 CFBDRN COCCc1cccc(OCc2cccnc2[N+](=O)[O-])c1 ZINC000902367862 621043249 /nfs/dbraw/zinc/04/32/49/621043249.db2.gz DFILXBWLRYDXPV-UHFFFAOYSA-N 0 0 288.303 2.758 20 5 CFBDRN Cc1ccnc(CSCc2cccnc2[N+](=O)[O-])n1 ZINC000902350957 621048203 /nfs/dbraw/zinc/04/82/03/621048203.db2.gz XBBKPLTXFPAYFG-UHFFFAOYSA-N 0 0 276.321 2.522 20 5 CFBDRN Cn1cc(CSCC[C@H]2CCCCO2)c([N+](=O)[O-])n1 ZINC000902420559 621072808 /nfs/dbraw/zinc/07/28/08/621072808.db2.gz BLJBVOHXEDPMIJ-LLVKDONJSA-N 0 0 285.369 2.521 20 5 CFBDRN Cn1cc(CSCC2CC=CC2)c([N+](=O)[O-])n1 ZINC000902424995 621074457 /nfs/dbraw/zinc/07/44/57/621074457.db2.gz MBVJUDBFCOWVLX-UHFFFAOYSA-N 0 0 253.327 2.528 20 5 CFBDRN CCn1cnc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC000902460037 621095867 /nfs/dbraw/zinc/09/58/67/621095867.db2.gz FPJDQKKYVGDQEX-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN Cc1c(CSCCN2CCOC2=O)cccc1[N+](=O)[O-] ZINC000902467421 621099816 /nfs/dbraw/zinc/09/98/16/621099816.db2.gz GNHOMSMRJABBSK-UHFFFAOYSA-N 0 0 296.348 2.589 20 5 CFBDRN CCn1cnc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000902653885 621144743 /nfs/dbraw/zinc/14/47/43/621144743.db2.gz SQJWKDKKSVENQW-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)[C@@H]1CCCO1 ZINC000902680019 621147256 /nfs/dbraw/zinc/14/72/56/621147256.db2.gz GGZLRXLFEHVAJG-PWSUYJOCSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)c1nnc(COc2c(F)cc(F)cc2[N+](=O)[O-])o1 ZINC000902696950 621149020 /nfs/dbraw/zinc/14/90/20/621149020.db2.gz NBMJOLCOFUYNRX-UHFFFAOYSA-N 0 0 299.233 2.958 20 5 CFBDRN CCC1(CC(=O)Oc2cccc([N+](=O)[O-])c2C)COC1 ZINC000902718967 621155365 /nfs/dbraw/zinc/15/53/65/621155365.db2.gz KADGDYZWRRWKSO-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN COC/C(C)=C\COc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902779961 621172117 /nfs/dbraw/zinc/17/21/17/621172117.db2.gz LMYNOLUYPOGCMH-BAQGIRSFSA-N 0 0 273.235 2.845 20 5 CFBDRN CC(C)N1CCC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000902978780 621216566 /nfs/dbraw/zinc/21/65/66/621216566.db2.gz DPJJHSOTMJEJBH-CYBMUJFWSA-N 0 0 295.314 2.545 20 5 CFBDRN Cc1noc([C@@H](C)OC(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000042651318 621223695 /nfs/dbraw/zinc/22/36/95/621223695.db2.gz PVUBBZAHYYGZIQ-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000071208590 625478450 /nfs/dbraw/zinc/47/84/50/625478450.db2.gz ZPCQDVLXKLNVEO-UFBFGSQYSA-N 0 0 266.272 2.652 20 5 CFBDRN CC[C@@H](C)[C@H](C(=O)[O-])[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000903595804 621404515 /nfs/dbraw/zinc/40/45/15/621404515.db2.gz OQSUTGAVINYVLN-ZWNOBZJWSA-N 0 0 280.324 2.526 20 5 CFBDRN CSC1CCC(CNC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000927390050 621486273 /nfs/dbraw/zinc/48/62/73/621486273.db2.gz IBVDSNWHBHRVES-UHFFFAOYSA-N 0 0 298.364 2.839 20 5 CFBDRN CCO[C@@H](c1noc(-c2cc([N+](=O)[O-])cn2C)n1)C(C)C ZINC000904118236 621526410 /nfs/dbraw/zinc/52/64/10/621526410.db2.gz CKGLULSAWUZLDA-LLVKDONJSA-N 0 0 294.311 2.717 20 5 CFBDRN Cc1cc(NC(=O)[C@H](C)[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000904188027 621543029 /nfs/dbraw/zinc/54/30/29/621543029.db2.gz PXRMKQKBQRFCBR-ZWNOBZJWSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(-c2nc(-c3cnccn3)no2)c1C ZINC000904651594 621620691 /nfs/dbraw/zinc/62/06/91/621620691.db2.gz DUVQRSPKNRIVRO-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1-c1nc(-c2cnccn2)no1 ZINC000904652255 621620786 /nfs/dbraw/zinc/62/07/86/621620786.db2.gz RXUXMKDPLLNDDB-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1cccc(-c2nc(Cc3cccnc3)no2)c1[N+](=O)[O-] ZINC000904677892 621621095 /nfs/dbraw/zinc/62/10/95/621621095.db2.gz OQVYVYDJQRKBFU-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)[C@H]1CCCO1 ZINC000905032310 621683638 /nfs/dbraw/zinc/68/36/38/621683638.db2.gz HHDYTUQGCXYYSL-GXFFZTMASA-N 0 0 278.308 2.657 20 5 CFBDRN C[C@@H]1C[C@@H]2C[C@@H]2C[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000905026610 621688732 /nfs/dbraw/zinc/68/87/32/621688732.db2.gz SRNYYDSLFVDIQK-PRULPYPASA-N 0 0 289.335 2.635 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000905084039 621692221 /nfs/dbraw/zinc/69/22/21/621692221.db2.gz KKEPPGSSIQNRRA-JIMOISOXSA-N 0 0 278.308 2.513 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H](C)[C@H]1CCCO1 ZINC000905088980 621693356 /nfs/dbraw/zinc/69/33/56/621693356.db2.gz BPHOJPSCVUINGO-BXUZGUMPSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1nc(C)c(CNc2cc([N+](=O)[O-])ccc2F)o1 ZINC000905102949 621699643 /nfs/dbraw/zinc/69/96/43/621699643.db2.gz QWDGIWVKGTYKSN-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1ccc(OC[C@H]2C[C@@]23CCOC3)c([N+](=O)[O-])c1 ZINC000905231332 621720854 /nfs/dbraw/zinc/72/08/54/621720854.db2.gz JPBOINOAMUOJOE-BXUZGUMPSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1cc(OC[C@H]2C[C@]23CCOC3)ccc1[N+](=O)[O-] ZINC000905235237 621730952 /nfs/dbraw/zinc/73/09/52/621730952.db2.gz REKSQNUYSVQEHV-RISCZKNCSA-N 0 0 263.293 2.709 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2C[C@@]23CCOC3)c(F)cc1F ZINC000905236388 621731675 /nfs/dbraw/zinc/73/16/75/621731675.db2.gz FRHYLGRDYFRWLC-ISVAXAHUSA-N 0 0 285.246 2.678 20 5 CFBDRN COc1cc(Cl)c(Cl)cc1NCC[N+](=O)[O-] ZINC000905333486 621750011 /nfs/dbraw/zinc/75/00/11/621750011.db2.gz GGAQIWAQEROPNT-UHFFFAOYSA-N 0 0 265.096 2.691 20 5 CFBDRN O=[N+]([O-])CCNCc1cc2cc(Br)ccc2o1 ZINC000905420221 621764694 /nfs/dbraw/zinc/76/46/94/621764694.db2.gz QTMWYOAOSPASRI-UHFFFAOYSA-N 0 0 299.124 2.562 20 5 CFBDRN C[C@@H](NC(=S)NC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000905641363 621815586 /nfs/dbraw/zinc/81/55/86/621815586.db2.gz PQVKWZPLQRUVOD-SECBINFHSA-N 0 0 281.381 2.918 20 5 CFBDRN CC(C)NC(=S)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905641339 621815929 /nfs/dbraw/zinc/81/59/29/621815929.db2.gz PGQGOSCNEGKIPN-SECBINFHSA-N 0 0 267.354 2.528 20 5 CFBDRN CC[C@H](C)NC(=S)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905641840 621816729 /nfs/dbraw/zinc/81/67/29/621816729.db2.gz SURBABGXGVAZAW-VHSXEESVSA-N 0 0 281.381 2.918 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC000905709301 621825932 /nfs/dbraw/zinc/82/59/32/621825932.db2.gz JSSKNBWADRBLGH-HZMBPMFUSA-N 0 0 292.335 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2cccc3c2CCOC3)c1 ZINC000906180781 621946514 /nfs/dbraw/zinc/94/65/14/621946514.db2.gz ZFRAPVPCPSEXKB-UHFFFAOYSA-N 0 0 299.326 2.869 20 5 CFBDRN O=C(NCCc1ccco1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149102533 621948226 /nfs/dbraw/zinc/94/82/26/621948226.db2.gz YGLQOHFBGRFUAX-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN Cc1cc(C)c(C(=O)NCc2ccccc2[N+](=O)[O-])c(C)n1 ZINC000906239912 621963517 /nfs/dbraw/zinc/96/35/17/621963517.db2.gz KGWKNSCMIHAPQK-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000906318183 621971331 /nfs/dbraw/zinc/97/13/31/621971331.db2.gz VKZZDBSIQXMCCL-UHIISALHSA-N 0 0 292.335 2.587 20 5 CFBDRN CC(C)(C)[S@@](C)(=O)=NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000906311186 621972388 /nfs/dbraw/zinc/97/23/88/621972388.db2.gz VELLYNMERUPARC-GOSISDBHSA-N 0 0 290.366 2.693 20 5 CFBDRN Cc1cc(C(=O)Nc2nccnc2C2CC2)ccc1[N+](=O)[O-] ZINC000906410886 621983846 /nfs/dbraw/zinc/98/38/46/621983846.db2.gz JZWWLEDUPVPYLK-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1c(C(=O)Nc2nccnc2C2CC2)cccc1[N+](=O)[O-] ZINC000906411176 621984225 /nfs/dbraw/zinc/98/42/25/621984225.db2.gz OSGGQXZCCATKJK-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CC(C)c1nccnc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000906442997 621992648 /nfs/dbraw/zinc/99/26/48/621992648.db2.gz KHJRSQKNPIZUHA-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN Nc1c(Cl)cc(C(=O)N[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000906745659 622039877 /nfs/dbraw/zinc/03/98/77/622039877.db2.gz QGJFLQJMKVETFS-VIFPVBQESA-N 0 0 295.726 2.669 20 5 CFBDRN CC(C)(CCC(=O)N1CC(C2CCCCC2)C1)[N+](=O)[O-] ZINC000832908925 625537906 /nfs/dbraw/zinc/53/79/06/625537906.db2.gz SPBXYFIHRZOGGG-UHFFFAOYSA-N 0 0 282.384 2.861 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000907221555 622099996 /nfs/dbraw/zinc/09/99/96/622099996.db2.gz ROBLMHPAQLSCTB-UHIISALHSA-N 0 0 292.335 2.587 20 5 CFBDRN CC1=CCCN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C1 ZINC000907950497 622176254 /nfs/dbraw/zinc/17/62/54/622176254.db2.gz FHLHLUQQEYNBTB-UHFFFAOYSA-N 0 0 295.726 2.623 20 5 CFBDRN CC[C@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C(F)F ZINC000833204106 625550746 /nfs/dbraw/zinc/55/07/46/625550746.db2.gz DQLYPODVWGDPBP-QMMMGPOBSA-N 0 0 294.204 2.647 20 5 CFBDRN Cc1nnsc1[C@@H](C)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000908700265 622265247 /nfs/dbraw/zinc/26/52/47/622265247.db2.gz ISAUMUAHWRYHLR-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN COC/C(C)=C/COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000908702630 622266318 /nfs/dbraw/zinc/26/63/18/622266318.db2.gz LOIIKUGBZUUKKW-WEVVVXLNSA-N 0 0 299.710 2.998 20 5 CFBDRN Cc1nnsc1[C@@H](C)OC(=O)c1csc([N+](=O)[O-])c1 ZINC000908920306 622284806 /nfs/dbraw/zinc/28/48/06/622284806.db2.gz BUWRUECSTNHUSW-ZCFIWIBFSA-N 0 0 299.333 2.734 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000909017271 622300876 /nfs/dbraw/zinc/30/08/76/622300876.db2.gz DSDQKXPCCLSWOK-RDDDGLTNSA-N 0 0 297.694 2.630 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000909070629 622302208 /nfs/dbraw/zinc/30/22/08/622302208.db2.gz IGTMTZXEBOMBPI-UHFFFAOYSA-N 0 0 284.337 2.536 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000909085309 622308974 /nfs/dbraw/zinc/30/89/74/622308974.db2.gz OBTGSZFECBJCMT-UHFFFAOYSA-N 0 0 292.335 2.782 20 5 CFBDRN O=C(OCCCF)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027372 622388070 /nfs/dbraw/zinc/38/80/70/622388070.db2.gz KHISTKSSESQXIV-VXGBXAGGSA-N 0 0 267.256 2.601 20 5 CFBDRN CCSCCOC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026964 622388191 /nfs/dbraw/zinc/38/81/91/622388191.db2.gz GVLVUCDIYHUJSB-QWHCGFSZSA-N 0 0 295.360 2.995 20 5 CFBDRN CCCC(=O)COC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028011 622388669 /nfs/dbraw/zinc/38/86/69/622388669.db2.gz OWIIJEWGKSMZQA-UONOGXRCSA-N 0 0 291.303 2.611 20 5 CFBDRN O=C(OC/C=C/Cl)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910029573 622388777 /nfs/dbraw/zinc/38/87/77/622388777.db2.gz YTDDDPFJDPJNDM-UEJZMWSSSA-N 0 0 281.695 2.994 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)OCCCC1CCCC1 ZINC000910204790 622409998 /nfs/dbraw/zinc/40/99/98/622409998.db2.gz DSWWGWMUJOYPEW-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)OCCC1=CCCCC1 ZINC000910204832 622410159 /nfs/dbraw/zinc/41/01/59/622410159.db2.gz GOSSCZUQKQQTKO-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN CC[C@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CC1 ZINC000323750553 622427980 /nfs/dbraw/zinc/42/79/80/622427980.db2.gz RXNNJXFCAGCHCH-NSHDSACASA-N 0 0 284.262 2.791 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC000911477230 622522322 /nfs/dbraw/zinc/52/23/22/622522322.db2.gz UHJIENDPBBZABF-IUCAKERBSA-N 0 0 298.289 2.925 20 5 CFBDRN Cc1ccc(N(C)Cc2noc(C3CC3)n2)c([N+](=O)[O-])c1 ZINC000840389863 622541395 /nfs/dbraw/zinc/54/13/95/622541395.db2.gz WEGFUFKVQCRBLH-UHFFFAOYSA-N 0 0 288.307 2.800 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000911739217 622545716 /nfs/dbraw/zinc/54/57/16/622545716.db2.gz NRFVOVPPDCMUDK-UHFFFAOYSA-N 0 0 272.402 2.606 20 5 CFBDRN Cc1cccnc1/C=C/C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819888598 622567136 /nfs/dbraw/zinc/56/71/36/622567136.db2.gz YKURSRWKXWJDKZ-VOTSOKGWSA-N 0 0 298.302 2.532 20 5 CFBDRN Nc1ccc(NC(=O)/C=C\c2ccsc2)cc1[N+](=O)[O-] ZINC000819898905 622567813 /nfs/dbraw/zinc/56/78/13/622567813.db2.gz DYNSUIPGSQSUJV-RJRFIUFISA-N 0 0 289.316 2.890 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)CC(C)C ZINC000842073949 622572183 /nfs/dbraw/zinc/57/21/83/622572183.db2.gz AZSHHQSEYYSEJQ-CYBMUJFWSA-N 0 0 299.298 2.952 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CC(CC(F)F)C1 ZINC000819949515 622581486 /nfs/dbraw/zinc/58/14/86/622581486.db2.gz JLIGUOPTGUGXLR-UHFFFAOYSA-N 0 0 287.222 2.594 20 5 CFBDRN O=C(/C=C/[C@H]1CCCO1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000819963573 622586266 /nfs/dbraw/zinc/58/62/66/622586266.db2.gz FCWFEEDZVKNXOS-FVOPLDGLSA-N 0 0 295.266 2.512 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@@H]1CCC(C)(C)C1 ZINC000820214026 622630339 /nfs/dbraw/zinc/63/03/39/622630339.db2.gz UVGBCIWFGVPTRL-SNVBAGLBSA-N 0 0 266.297 2.669 20 5 CFBDRN O=C(NOCCC1CC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000820484625 622685798 /nfs/dbraw/zinc/68/57/98/622685798.db2.gz LVCDYRIJMMETAW-UONOGXRCSA-N 0 0 290.319 2.546 20 5 CFBDRN CC(C)C(=O)COc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912358964 622693431 /nfs/dbraw/zinc/69/34/31/622693431.db2.gz KYCIUJSDENHYAS-UHFFFAOYSA-N 0 0 275.663 2.991 20 5 CFBDRN CCOC1CC(COC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000802947732 622718543 /nfs/dbraw/zinc/71/85/43/622718543.db2.gz MPIXFFKDWZBFHG-UHFFFAOYSA-N 0 0 279.292 2.567 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCCOC2)c([N+](=O)[O-])cc1C ZINC000820658687 622729816 /nfs/dbraw/zinc/72/98/16/622729816.db2.gz RCMBIUPPYRJREW-LBPRGKRZSA-N 0 0 294.351 2.775 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)[C@H](O)c2cccc([N+](=O)[O-])c2)C1 ZINC000820736925 622746982 /nfs/dbraw/zinc/74/69/82/622746982.db2.gz QOLIORRHRZZJHH-QWHCGFSZSA-N 0 0 293.319 2.750 20 5 CFBDRN CSCCOC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923965175 622771549 /nfs/dbraw/zinc/77/15/49/622771549.db2.gz VGJMDIOTBUKCCU-UHFFFAOYSA-N 0 0 287.312 2.573 20 5 CFBDRN CCCCOCCCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000029163120 622772017 /nfs/dbraw/zinc/77/20/17/622772017.db2.gz XKIYSJXTKPDBPN-UHFFFAOYSA-N 0 0 292.339 2.861 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000923966781 622787347 /nfs/dbraw/zinc/78/73/47/622787347.db2.gz KPGYALTVYMSMRU-VIFPVBQESA-N 0 0 299.710 2.702 20 5 CFBDRN Nc1c(Cl)cc(C(=O)NCC2CC=CC2)cc1[N+](=O)[O-] ZINC000912989609 622819559 /nfs/dbraw/zinc/81/95/59/622819559.db2.gz UKDONZQPVLWIKU-UHFFFAOYSA-N 0 0 295.726 2.526 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC[C@@H]1C1CC1 ZINC000834314409 625598818 /nfs/dbraw/zinc/59/88/18/625598818.db2.gz FPYPMVXYMGPJJD-LLVKDONJSA-N 0 0 280.711 2.873 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC=CC12CCCC2 ZINC000913194536 622848897 /nfs/dbraw/zinc/84/88/97/622848897.db2.gz LXPHQNXYVKSGLC-UHFFFAOYSA-N 0 0 286.331 2.849 20 5 CFBDRN O=C(/C=C/C1CC1)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913385296 622892436 /nfs/dbraw/zinc/89/24/36/622892436.db2.gz WVJMBEJJGRHAFO-ONEGZZNKSA-N 0 0 298.701 2.970 20 5 CFBDRN CC[C@@H](CSC)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000163810273 622924227 /nfs/dbraw/zinc/92/42/27/622924227.db2.gz KONHSXOIGXYDCZ-ZETCQYMHSA-N 0 0 282.325 2.685 20 5 CFBDRN C[C@@H](NCC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000164083544 622935218 /nfs/dbraw/zinc/93/52/18/622935218.db2.gz QLGIIISWZACFDD-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803229650 622942179 /nfs/dbraw/zinc/94/21/79/622942179.db2.gz ROKZZBRPJMACLH-POYBYMJQSA-N 0 0 271.219 2.572 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cnnc(Cl)c1 ZINC000821659535 622960405 /nfs/dbraw/zinc/96/04/05/622960405.db2.gz IMDQBHISWAAZAS-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN O=C(COC1CCCCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000055798780 622961039 /nfs/dbraw/zinc/96/10/39/622961039.db2.gz ADSHSWGAJCMEKS-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN O=C(/C=C/c1ccco1)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797273 622961115 /nfs/dbraw/zinc/96/11/15/622961115.db2.gz SSPKJXKEAAYSTG-BQYQJAHWSA-N 0 0 272.260 2.517 20 5 CFBDRN CCCN1C(=O)N=NC1S/C=C\c1ccc([N+](=O)[O-])o1 ZINC000821690863 622961979 /nfs/dbraw/zinc/96/19/79/622961979.db2.gz SDBRJKQBHWFOST-ALCCZGGFSA-N 0 0 296.308 2.658 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NCCC[C@@H]1C=CCC1 ZINC000834326712 625609726 /nfs/dbraw/zinc/60/97/26/625609726.db2.gz IUQQEKVQYGUUMF-LLVKDONJSA-N 0 0 289.335 2.653 20 5 CFBDRN C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)C1CCOCC1 ZINC000165075718 622980237 /nfs/dbraw/zinc/98/02/37/622980237.db2.gz LVRJMDQKYYSTTB-SECBINFHSA-N 0 0 296.298 2.735 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C1 ZINC000165118446 622981553 /nfs/dbraw/zinc/98/15/53/622981553.db2.gz DALNUOOLIXWVKK-SFYZADRCSA-N 0 0 297.742 2.701 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])ccc1Br)C1CC1 ZINC000045625422 622990507 /nfs/dbraw/zinc/99/05/07/622990507.db2.gz ZRYGXXVBNTVLFF-UHFFFAOYSA-N 0 0 299.124 2.592 20 5 CFBDRN CC1(C)CC[C@H]1OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000821851958 622993662 /nfs/dbraw/zinc/99/36/62/622993662.db2.gz OQCLPVTYJHQEMC-GFCCVEGCSA-N 0 0 263.293 2.869 20 5 CFBDRN CC1(C)CC[C@@H]1OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000821851959 622993775 /nfs/dbraw/zinc/99/37/75/622993775.db2.gz OQCLPVTYJHQEMC-LBPRGKRZSA-N 0 0 263.293 2.869 20 5 CFBDRN Cc1c(OC(=O)[C@H](C)C2CCOCC2)cccc1[N+](=O)[O-] ZINC000165629133 622993944 /nfs/dbraw/zinc/99/39/44/622993944.db2.gz BMRRVWUPFVVOII-SNVBAGLBSA-N 0 0 293.319 2.871 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000224216708 622999681 /nfs/dbraw/zinc/99/96/81/622999681.db2.gz PCRUFFJDEZZGQZ-QMMMGPOBSA-N 0 0 252.270 2.522 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000157176726 623002896 /nfs/dbraw/zinc/00/28/96/623002896.db2.gz TYLFXEROHOQEBM-NNUUWNPISA-N 0 0 274.320 2.913 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000224430878 623003438 /nfs/dbraw/zinc/00/34/38/623003438.db2.gz BYNDRLMAECRPNZ-UHFFFAOYSA-N 0 0 251.242 2.715 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC2(O)CCCCC2)c1 ZINC000224869822 623017067 /nfs/dbraw/zinc/01/70/67/623017067.db2.gz LAQSOOFCXBUCMQ-UHFFFAOYSA-N 0 0 281.308 2.677 20 5 CFBDRN CNc1ccc(C(=O)OC[C@@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000822079643 623030214 /nfs/dbraw/zinc/03/02/14/623030214.db2.gz QTPQPIDMRZLSPF-DGCLKSJQSA-N 0 0 282.271 2.541 20 5 CFBDRN COc1ccc(C(=O)OC[C@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000822081273 623030753 /nfs/dbraw/zinc/03/07/53/623030753.db2.gz YACJVFIADRZGOY-AAEUAGOBSA-N 0 0 283.255 2.508 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@]2(C)C[C@H]2F)c1 ZINC000822099002 623036031 /nfs/dbraw/zinc/03/60/31/623036031.db2.gz KFTGZLOUQPEZII-YPMHNXCESA-N 0 0 283.255 2.508 20 5 CFBDRN O=C(NCc1ccoc1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000058247382 623039535 /nfs/dbraw/zinc/03/95/35/623039535.db2.gz ABQZSWWWQKHMRM-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN Cc1c(CC(=O)OC[C@@]2(C)C[C@@H]2F)cccc1[N+](=O)[O-] ZINC000822141787 623049121 /nfs/dbraw/zinc/04/91/21/623049121.db2.gz YVEKJLOVKHFUGY-GXTWGEPZSA-N 0 0 281.283 2.737 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822192174 623057649 /nfs/dbraw/zinc/05/76/49/623057649.db2.gz BYCUXJQZQPIBPF-GHMZBOCLSA-N 0 0 256.346 2.517 20 5 CFBDRN Cc1c(CNC(=O)C2(C)CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000822375555 623091639 /nfs/dbraw/zinc/09/16/39/623091639.db2.gz ZGXLINSMPPQQSV-UHFFFAOYSA-N 0 0 298.289 2.955 20 5 CFBDRN CN(CC1CCCCC1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822389831 623093586 /nfs/dbraw/zinc/09/35/86/623093586.db2.gz HHPBQCNEHXMZEB-UHFFFAOYSA-N 0 0 270.373 2.861 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000822396159 623095005 /nfs/dbraw/zinc/09/50/05/623095005.db2.gz IVBOBBHOODEYRU-JTQLQIEISA-N 0 0 261.281 2.743 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000311171904 623097109 /nfs/dbraw/zinc/09/71/09/623097109.db2.gz RBQXQXKFBJVDGP-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN C[C@@H](N(C)C(=O)CCC(C)(C)[N+](=O)[O-])C(C)(C)C ZINC000822405930 623097151 /nfs/dbraw/zinc/09/71/51/623097151.db2.gz AQBJYGHPWPYMQS-SNVBAGLBSA-N 0 0 258.362 2.715 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCC[C@@H]1C=CCC1 ZINC000834337917 625621229 /nfs/dbraw/zinc/62/12/29/625621229.db2.gz BAXRJGZZQXXAQX-GFCCVEGCSA-N 0 0 289.335 2.774 20 5 CFBDRN C[C@@H](C[S@](C)=O)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000169028717 623111079 /nfs/dbraw/zinc/11/10/79/623111079.db2.gz GPVBDEPQZQPHTK-ZDVLTJLQSA-N 0 0 292.307 2.711 20 5 CFBDRN C[C@@H](C[S@@](C)=O)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000169028884 623111321 /nfs/dbraw/zinc/11/13/21/623111321.db2.gz GPVBDEPQZQPHTK-IIYDVTGLSA-N 0 0 292.307 2.711 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@H]1c1ccccc1)[N+](=O)[O-] ZINC000822514221 623118953 /nfs/dbraw/zinc/11/89/53/623118953.db2.gz NWYXOMNCVWCDDI-ZDUSSCGKSA-N 0 0 276.336 2.796 20 5 CFBDRN CC(C)N(Cc1ccccn1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822634880 623139915 /nfs/dbraw/zinc/13/99/15/623139915.db2.gz VSLSXSKDPKDPAN-UHFFFAOYSA-N 0 0 293.367 2.654 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C=CCC1 ZINC000822654489 623143365 /nfs/dbraw/zinc/14/33/65/623143365.db2.gz LDEHZFQLAOJAQZ-SNVBAGLBSA-N 0 0 261.281 2.743 20 5 CFBDRN CCCCCOC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000060679626 623146729 /nfs/dbraw/zinc/14/67/29/623146729.db2.gz CDTUVXLGHPQLIY-UHFFFAOYSA-N 0 0 297.307 2.959 20 5 CFBDRN C[C@H]1CCC[C@@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822730346 623161811 /nfs/dbraw/zinc/16/18/11/623161811.db2.gz JURGYOCNOKFEEB-NWDGAFQWSA-N 0 0 270.373 2.764 20 5 CFBDRN CC(C)(CCC(=O)N1CC2C[C@@H]3CC1C[C@H](C2)C3)[N+](=O)[O-] ZINC000822836450 623184925 /nfs/dbraw/zinc/18/49/25/623184925.db2.gz FDOYEITXJZYKHU-VTXSZYRJSA-N 0 0 294.395 2.859 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000323907576 623186243 /nfs/dbraw/zinc/18/62/43/623186243.db2.gz RQVGNAHNALGVTR-GFCCVEGCSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H](NC(=O)c1ccc(N)c([N+](=O)[O-])c1)c1ccsc1 ZINC000061373903 623206704 /nfs/dbraw/zinc/20/67/04/623206704.db2.gz RXBYFNDVLRTJAG-QMMMGPOBSA-N 0 0 291.332 2.730 20 5 CFBDRN Cn1ccc2c1cccc2NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823016427 623220291 /nfs/dbraw/zinc/22/02/91/623220291.db2.gz WTHRYUDSDABCDW-UHFFFAOYSA-N 0 0 289.335 2.952 20 5 CFBDRN C[C@@H](CCNc1cc(Cl)ccc1[N+](=O)[O-])[S@](C)=O ZINC000229824707 623226246 /nfs/dbraw/zinc/22/62/46/623226246.db2.gz LTHMJUIKGCYSBM-ASCAZGACSA-N 0 0 290.772 2.817 20 5 CFBDRN CC(C)(CCC(=O)N(C1CC1)C1CCCC1)[N+](=O)[O-] ZINC000823096965 623235400 /nfs/dbraw/zinc/23/54/00/623235400.db2.gz NWBYJGZTOVFOES-UHFFFAOYSA-N 0 0 268.357 2.756 20 5 CFBDRN Cc1ccc(NC(=O)c2cccnc2F)cc1[N+](=O)[O-] ZINC000229976374 623237836 /nfs/dbraw/zinc/23/78/36/623237836.db2.gz VDPCWUJWWIJVHE-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823128916 623242455 /nfs/dbraw/zinc/24/24/55/623242455.db2.gz LDVGDOYYLIMLOD-AWEZNQCLSA-N 0 0 290.363 2.934 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000172186043 623269019 /nfs/dbraw/zinc/26/90/19/623269019.db2.gz XVLRPNJIDIICCY-NSHDSACASA-N 0 0 265.309 2.973 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000230415596 623274680 /nfs/dbraw/zinc/27/46/80/623274680.db2.gz TWDMOAXAXIEVBF-ZJUUUORDSA-N 0 0 280.299 2.900 20 5 CFBDRN CC(C)(CCC(=O)NC[C@@H]1CCc2ccccc21)[N+](=O)[O-] ZINC000823244751 623275668 /nfs/dbraw/zinc/27/56/68/623275668.db2.gz WQGJPQPWKHPGQX-ZDUSSCGKSA-N 0 0 290.363 2.668 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1C(F)F)c1nc[nH]n1 ZINC000230431445 623275852 /nfs/dbraw/zinc/27/58/52/623275852.db2.gz LQBSCJINLRITOB-ZCFIWIBFSA-N 0 0 283.238 2.824 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000230917819 623310121 /nfs/dbraw/zinc/31/01/21/623310121.db2.gz GYLMJIMHMDGLEC-YUMQZZPRSA-N 0 0 294.336 2.827 20 5 CFBDRN CCCC[N@H+](C)Cn1cc([N+](=O)[O-])c(-c2ccncc2)n1 ZINC000172894229 623315922 /nfs/dbraw/zinc/31/59/22/623315922.db2.gz NVGDRXDPHKUHFI-UHFFFAOYSA-N 0 0 289.339 2.543 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCCCCCCO)c1 ZINC000172900214 623316365 /nfs/dbraw/zinc/31/63/65/623316365.db2.gz RPQKLPLYPYLGRJ-UHFFFAOYSA-N 0 0 253.298 2.916 20 5 CFBDRN CCOc1cccc(NC[C@H](O)CC(C)C)c1[N+](=O)[O-] ZINC000231036966 623319760 /nfs/dbraw/zinc/31/97/60/623319760.db2.gz YEQMYKUOCHQZOI-LLVKDONJSA-N 0 0 282.340 2.812 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C=CCC1 ZINC000823465767 623327011 /nfs/dbraw/zinc/32/70/11/623327011.db2.gz RADKCWDHWFTVCH-LLVKDONJSA-N 0 0 275.308 2.997 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cnc(Cl)cn1 ZINC000231290464 623337577 /nfs/dbraw/zinc/33/75/77/623337577.db2.gz BPWURPIWGYBVQZ-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000823564344 623347006 /nfs/dbraw/zinc/34/70/06/623347006.db2.gz LHUGOESFLGSSHE-VIFPVBQESA-N 0 0 297.330 2.718 20 5 CFBDRN Cc1nn(CN2CCC3(CCCC3)C2)c(C)c1[N+](=O)[O-] ZINC000173440637 623350073 /nfs/dbraw/zinc/35/00/73/623350073.db2.gz OICVZYZERPMNQX-UHFFFAOYSA-N 0 0 278.356 2.632 20 5 CFBDRN CCON(C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C(C)C ZINC000823602842 623358177 /nfs/dbraw/zinc/35/81/77/623358177.db2.gz JCODJNLDADOFIE-UONOGXRCSA-N 0 0 292.335 2.887 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])cc2C(F)F)no1 ZINC000231826160 623371791 /nfs/dbraw/zinc/37/17/91/623371791.db2.gz LAJVTDQLUVTAQF-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)OCc2cccc([N+](=O)[O-])c2)O1 ZINC000174124713 623390127 /nfs/dbraw/zinc/39/01/27/623390127.db2.gz BMEPSSYPKAWGFO-BXUZGUMPSA-N 0 0 293.319 2.986 20 5 CFBDRN Cc1ccc(C(=O)OCC(F)(F)C(F)F)cc1[N+](=O)[O-] ZINC000174247356 623402319 /nfs/dbraw/zinc/40/23/19/623402319.db2.gz MJKXHTSVDBUHHT-UHFFFAOYSA-N 0 0 295.188 2.960 20 5 CFBDRN CC(C)CC1(NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000823913786 623417755 /nfs/dbraw/zinc/41/77/55/623417755.db2.gz CRNXCHLKXRTNOE-UHFFFAOYSA-N 0 0 292.339 2.877 20 5 CFBDRN CC(C)(C)C1CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823926792 623419840 /nfs/dbraw/zinc/41/98/40/623419840.db2.gz HPPPLGNHOYVWIT-UHFFFAOYSA-N 0 0 292.339 2.687 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823932841 623420733 /nfs/dbraw/zinc/42/07/33/623420733.db2.gz GBGWHZLGNFHXIP-CYBMUJFWSA-N 0 0 296.302 2.533 20 5 CFBDRN CC(C)(O)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000232524877 623422757 /nfs/dbraw/zinc/42/27/57/623422757.db2.gz LCQGKMCYONFGCP-UHFFFAOYSA-N 0 0 262.668 2.570 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC2CCC2)c1 ZINC000174524768 623426152 /nfs/dbraw/zinc/42/61/52/623426152.db2.gz GWSFCUIMDQDQFB-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CCOCCCCOC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000174555768 623427643 /nfs/dbraw/zinc/42/76/43/623427643.db2.gz ZYOQTYIUHJINPZ-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN CCOCCCCOC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000174562072 623427835 /nfs/dbraw/zinc/42/78/35/623427835.db2.gz CRQSXHVKMXPVPK-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823972888 623428102 /nfs/dbraw/zinc/42/81/02/623428102.db2.gz AKDYGGQQXWYDGS-VHSXEESVSA-N 0 0 292.339 2.829 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823979951 623428159 /nfs/dbraw/zinc/42/81/59/623428159.db2.gz FIRLVYNQJNXLCK-LBPRGKRZSA-N 0 0 292.339 2.877 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C[C@H]1C ZINC000823973750 623428289 /nfs/dbraw/zinc/42/82/89/623428289.db2.gz LGJMCKCUFDVCNL-NXEZZACHSA-N 0 0 292.339 2.687 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823979937 623428329 /nfs/dbraw/zinc/42/83/29/623428329.db2.gz FEASLJQCFZLFJL-DTORHVGOSA-N 0 0 278.312 2.582 20 5 CFBDRN CC[C@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000323939322 623432846 /nfs/dbraw/zinc/43/28/46/623432846.db2.gz ZYPKNMNJHTUHCU-NSHDSACASA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1ccc(CNC(=O)c2c(C)ccc([N+](=O)[O-])c2C)nc1 ZINC000824026144 623434934 /nfs/dbraw/zinc/43/49/34/623434934.db2.gz PRSUGTFANPJJLQ-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN C[C@H](NC(=O)NOCC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000824033013 623436562 /nfs/dbraw/zinc/43/65/62/623436562.db2.gz ZBLOBTOAONQAIX-JTQLQIEISA-N 0 0 293.323 2.687 20 5 CFBDRN COc1ccc(OC(=O)Cc2cccc([N+](=O)[O-])c2)cc1 ZINC000151514687 623445945 /nfs/dbraw/zinc/44/59/45/623445945.db2.gz SLBAVSAQYZCWRJ-UHFFFAOYSA-N 0 0 287.271 2.752 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000088312441 623450690 /nfs/dbraw/zinc/45/06/90/623450690.db2.gz ZDJLWLUVSTUSLX-VHSXEESVSA-N 0 0 279.340 2.732 20 5 CFBDRN Cn1cncc1CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000090165554 623510809 /nfs/dbraw/zinc/51/08/09/623510809.db2.gz RSDPEPDGWMICHL-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN Cc1cnn(CCOc2cc(F)c([N+](=O)[O-])cc2Cl)c1 ZINC000312382545 623514129 /nfs/dbraw/zinc/51/41/29/623514129.db2.gz MOKNAJTVOLVJGN-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCc1cccnc1C ZINC000824976800 623598784 /nfs/dbraw/zinc/59/87/84/623598784.db2.gz VQRLLBVIROJQJM-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])O[C@H]1CCOC1 ZINC000913977099 623663372 /nfs/dbraw/zinc/66/33/72/623663372.db2.gz GGONIUDVLDPCIW-QMMMGPOBSA-N 0 0 287.655 2.553 20 5 CFBDRN Cc1nn(C)c(CNCc2ccc([N+](=O)[O-])cc2)c1Cl ZINC000089231907 623674928 /nfs/dbraw/zinc/67/49/28/623674928.db2.gz ZUVFARHBKOVLNR-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN O=[N+]([O-])c1cc(C[N@H+]2CC[C@@H](CCCO)C2)ccc1Cl ZINC000825336959 623675822 /nfs/dbraw/zinc/67/58/22/623675822.db2.gz BDVMBCNHZCDVAM-LLVKDONJSA-N 0 0 298.770 2.843 20 5 CFBDRN C/C(=C/C(=O)N1CC[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000914155706 623715441 /nfs/dbraw/zinc/71/54/41/623715441.db2.gz HXBVOGPMURKEEO-JUDLJHIGSA-N 0 0 260.293 2.619 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC[C@@H]1C ZINC000914157412 623716350 /nfs/dbraw/zinc/71/63/50/623716350.db2.gz UUNDDFPFMUYRRF-JTQLQIEISA-N 0 0 262.309 2.754 20 5 CFBDRN O=C(Nc1ccncc1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152526242 623728458 /nfs/dbraw/zinc/72/84/58/623728458.db2.gz PRVKQUOPXBAXII-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)c2ccccn2)cc1[N+](=O)[O-] ZINC000825640475 623728600 /nfs/dbraw/zinc/72/86/00/623728600.db2.gz GKIHQHZPSMRQCI-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2C[C@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000825641485 623729218 /nfs/dbraw/zinc/72/92/18/623729218.db2.gz XLEPXMITIHPABX-UONOGXRCSA-N 0 0 288.347 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000825648592 623731304 /nfs/dbraw/zinc/73/13/04/623731304.db2.gz VFFJDZDVRXOOFG-GWCFXTLKSA-N 0 0 276.336 2.956 20 5 CFBDRN CCn1cc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cn1 ZINC000152554556 623732683 /nfs/dbraw/zinc/73/26/83/623732683.db2.gz ZOEHVADXCRNKSW-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN O=C(NC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152718834 623761149 /nfs/dbraw/zinc/76/11/49/623761149.db2.gz OFKKDETYLLAMQE-JTQLQIEISA-N 0 0 298.726 2.547 20 5 CFBDRN CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1nccs1 ZINC000825969427 623777351 /nfs/dbraw/zinc/77/73/51/623777351.db2.gz LZXBEJOYNKRIPZ-VIFPVBQESA-N 0 0 285.369 2.546 20 5 CFBDRN C[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1CCCCC1 ZINC000826063357 623788736 /nfs/dbraw/zinc/78/87/36/623788736.db2.gz LMKXRVWISSNCFN-NSHDSACASA-N 0 0 270.373 2.907 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826071428 623790487 /nfs/dbraw/zinc/79/04/87/623790487.db2.gz GHYAIQKKOQWCNB-VXGBXAGGSA-N 0 0 270.373 2.859 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@H]1CCn3ccnc31)CCCC2 ZINC000826114114 623795777 /nfs/dbraw/zinc/79/57/77/623795777.db2.gz IZZCSOWUTWVMBA-LBPRGKRZSA-N 0 0 299.334 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@H](O)C2CC2)cc1 ZINC000840748563 623798087 /nfs/dbraw/zinc/79/80/87/623798087.db2.gz AZZCGSNSQFGAOY-LBPRGKRZSA-N 0 0 253.323 2.599 20 5 CFBDRN CCS[C@@H]1CCC[C@@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826646858 623829445 /nfs/dbraw/zinc/82/94/45/623829445.db2.gz GNVYQTFGAAEVQI-WDEREUQCSA-N 0 0 288.413 2.612 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)c1ccc(C)cc1 ZINC000914475164 623874102 /nfs/dbraw/zinc/87/41/02/623874102.db2.gz JRGQKJLJBLQRGS-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN Cc1c(C(=O)OC[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000762148681 623879180 /nfs/dbraw/zinc/87/91/80/623879180.db2.gz MRKNVWDTIQBKSN-SNVBAGLBSA-N 0 0 281.333 2.813 20 5 CFBDRN C[C@H]1CN(c2cc(N)ccc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000826817129 623884430 /nfs/dbraw/zinc/88/44/30/623884430.db2.gz QHURMAIYSMXUPI-NSHDSACASA-N 0 0 291.351 2.715 20 5 CFBDRN CC(C)CNC(=S)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000914704590 623923992 /nfs/dbraw/zinc/92/39/92/623923992.db2.gz AEIAJXIXRTUZTC-UHFFFAOYSA-N 0 0 281.381 2.557 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000762898785 623928838 /nfs/dbraw/zinc/92/88/38/623928838.db2.gz OQWSJVNYWSLXTF-MNOVXSKESA-N 0 0 280.324 2.887 20 5 CFBDRN O=C(O[C@H]1COc2ccccc21)c1ccc([N+](=O)[O-])s1 ZINC000153359973 623942057 /nfs/dbraw/zinc/94/20/57/623942057.db2.gz NHSQQPWUJKMOAH-JTQLQIEISA-N 0 0 291.284 2.947 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)C(C)C)c([N+](=O)[O-])cc1F ZINC000835227555 623952128 /nfs/dbraw/zinc/95/21/28/623952128.db2.gz KNVQUDJCHCZOQG-MRVPVSSYSA-N 0 0 285.271 2.944 20 5 CFBDRN CC(C)c1noc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])o2)n1 ZINC000914885694 623955498 /nfs/dbraw/zinc/95/54/98/623955498.db2.gz GDSIEXWQEYQYGG-ZETCQYMHSA-N 0 0 295.251 2.612 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)/C=C/SC ZINC000767656862 625688829 /nfs/dbraw/zinc/68/88/29/625688829.db2.gz YTXNTCYQCLJWON-AATRIKPKSA-N 0 0 283.305 2.523 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N(C1CC1)C1CC1 ZINC000827239264 623972106 /nfs/dbraw/zinc/97/21/06/623972106.db2.gz PEDLPZHXCQUDBR-LSDHHAIUSA-N 0 0 286.331 2.852 20 5 CFBDRN CCC(F)(F)CNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000827278742 623979837 /nfs/dbraw/zinc/97/98/37/623979837.db2.gz KEKCKDVWYUCZBV-VXGBXAGGSA-N 0 0 298.289 2.860 20 5 CFBDRN C[C@@]12COC[C@@H]1C[N@H+](Cc1ccc([N+](=O)[O-])c(Cl)c1)C2 ZINC000827279990 623980197 /nfs/dbraw/zinc/98/01/97/623980197.db2.gz CNSVKIJWEUNTCK-SMDDNHRTSA-N 0 0 296.754 2.717 20 5 CFBDRN C[C@@H]1CCCC[C@H]1OCCOC(=O)c1ccc([N+](=O)[O-])o1 ZINC000915369953 624031763 /nfs/dbraw/zinc/03/17/63/624031763.db2.gz NNKIYCMRCRVDNM-GHMZBOCLSA-N 0 0 297.307 2.940 20 5 CFBDRN CCCCCCOC(=O)c1ccc([N+](=O)[O-])n1C ZINC000915382072 624033007 /nfs/dbraw/zinc/03/30/07/624033007.db2.gz PEMZFYINABQUPQ-UHFFFAOYSA-N 0 0 254.286 2.670 20 5 CFBDRN CC1(C)CCC[C@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000836165357 624065624 /nfs/dbraw/zinc/06/56/24/624065624.db2.gz YOKWERXRIFQXFK-LBPRGKRZSA-N 0 0 295.339 2.693 20 5 CFBDRN CC1(O)CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])CC1 ZINC000232841830 624070580 /nfs/dbraw/zinc/07/05/80/624070580.db2.gz LFJFBYUADTZXOO-UHFFFAOYSA-N 0 0 288.706 2.739 20 5 CFBDRN CC(C)(F)CNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000827805532 624098438 /nfs/dbraw/zinc/09/84/38/624098438.db2.gz FIKPXSPNIQHMRP-VXGBXAGGSA-N 0 0 280.299 2.563 20 5 CFBDRN CC(C)c1nsc(COc2cccnc2[N+](=O)[O-])n1 ZINC000915870615 624135738 /nfs/dbraw/zinc/13/57/38/624135738.db2.gz JQRQKVMVFZRZEK-UHFFFAOYSA-N 0 0 280.309 2.544 20 5 CFBDRN C[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@H]2CCCC[C@H]12 ZINC000828194364 624197933 /nfs/dbraw/zinc/19/79/33/624197933.db2.gz JZHTWIXAVKRXDV-UPJWGTAASA-N 0 0 282.384 2.859 20 5 CFBDRN Nc1ccc(NCCCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000828292596 624223733 /nfs/dbraw/zinc/22/37/33/624223733.db2.gz FSVZRYIMEOBRKN-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN CC(=O)NCCCCCOc1cc(C)ccc1[N+](=O)[O-] ZINC000916154015 624226364 /nfs/dbraw/zinc/22/63/64/624226364.db2.gz SRZJEKBDQLWDMV-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN CC(=O)NCCCCCOc1ccc(C)cc1[N+](=O)[O-] ZINC000916203512 624228644 /nfs/dbraw/zinc/22/86/44/624228644.db2.gz VODRSYJSWUSLEL-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CC3)C2)c(Br)c1 ZINC000828365398 624234648 /nfs/dbraw/zinc/23/46/48/624234648.db2.gz UTSTYDRYGLWGPN-UHFFFAOYSA-N 0 0 283.125 2.958 20 5 CFBDRN CCOC1(C)CN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000828365259 624234766 /nfs/dbraw/zinc/23/47/66/624234766.db2.gz SZDCVTGLRJHUIV-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN CCc1cc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000888288244 624242881 /nfs/dbraw/zinc/24/28/81/624242881.db2.gz GPMDPQPBQVTXOP-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN C[C@@H](COCc1ccccc1)Cn1cnc([N+](=O)[O-])c1 ZINC000916558639 624255249 /nfs/dbraw/zinc/25/52/49/624255249.db2.gz OMZWQJDSXBDFLO-GFCCVEGCSA-N 0 0 275.308 2.644 20 5 CFBDRN Cc1cccc(C(=O)OC/C=C\Cl)c1[N+](=O)[O-] ZINC000916584154 624260436 /nfs/dbraw/zinc/26/04/36/624260436.db2.gz YHNBIHAFAGNYRI-UTCJRWHESA-N 0 0 255.657 2.813 20 5 CFBDRN CSCCOC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000916620511 624265553 /nfs/dbraw/zinc/26/55/53/624265553.db2.gz JTUNTJKIBQFGQM-UHFFFAOYSA-N 0 0 296.348 2.689 20 5 CFBDRN CN(CC(C)(C)CO)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000828582876 624272189 /nfs/dbraw/zinc/27/21/89/624272189.db2.gz NABUHICJXRQKTI-UHFFFAOYSA-N 0 0 289.335 2.598 20 5 CFBDRN Cc1nn(C)cc1CN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000828624173 624280302 /nfs/dbraw/zinc/28/03/02/624280302.db2.gz GIGFTRPCSYYBLR-UHFFFAOYSA-N 0 0 286.335 2.508 20 5 CFBDRN CC(=O)NCCCCCOc1cccc([N+](=O)[O-])c1C ZINC000916731246 624281353 /nfs/dbraw/zinc/28/13/53/624281353.db2.gz XTUDZHIZSVAKKQ-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN CCOCCCCNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000888289439 624282230 /nfs/dbraw/zinc/28/22/30/624282230.db2.gz ABYWCDFLVJNIMH-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN CCN(CC)C(=O)OCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000916786719 624284590 /nfs/dbraw/zinc/28/45/90/624284590.db2.gz AJTYEAVIRMWNOW-UHFFFAOYSA-N 0 0 286.259 2.549 20 5 CFBDRN Cc1sc(C(=O)OCCC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000828695872 624287285 /nfs/dbraw/zinc/28/72/85/624287285.db2.gz AXUULGNKVOGEEK-JTQLQIEISA-N 0 0 299.348 2.938 20 5 CFBDRN Nc1ccc(C(=O)NCCC2CCCCC2)cc1[N+](=O)[O-] ZINC000033120688 624291826 /nfs/dbraw/zinc/29/18/26/624291826.db2.gz XJUWFEITSSXRIT-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN CC/C=C/CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000828728887 624292720 /nfs/dbraw/zinc/29/27/20/624292720.db2.gz BJWFYZDURQSLCJ-ONEGZZNKSA-N 0 0 251.242 2.881 20 5 CFBDRN O=C(OCC1=CCCC1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000828740365 624294466 /nfs/dbraw/zinc/29/44/66/624294466.db2.gz YDTJPWUDYXNYHL-UHFFFAOYSA-N 0 0 292.247 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@H]2CCC[C@H]2O)c(F)c1 ZINC000828752423 624295906 /nfs/dbraw/zinc/29/59/06/624295906.db2.gz YHBSTERYXZOGTK-UXIGCNINSA-N 0 0 294.326 2.864 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Oc1cccnc1[N+](=O)[O-] ZINC000917080318 624308629 /nfs/dbraw/zinc/30/86/29/624308629.db2.gz MZQQBZRISKDIIH-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN COC(=O)/C=C(/C)COc1cc([N+](=O)[O-])ccc1Cl ZINC000917141834 624317692 /nfs/dbraw/zinc/31/76/92/624317692.db2.gz KVRPCMPTBFIFFK-YVMONPNESA-N 0 0 285.683 2.746 20 5 CFBDRN CCOC(=O)[C@H](CC)SCc1cccnc1[N+](=O)[O-] ZINC000917148690 624318213 /nfs/dbraw/zinc/31/82/13/624318213.db2.gz BUQKJWSXSHZXSE-JTQLQIEISA-N 0 0 284.337 2.565 20 5 CFBDRN COC(=O)c1ccc(/C=C\c2ccc([N+](=O)[O-])cc2)nc1 ZINC000917146384 624318493 /nfs/dbraw/zinc/31/84/93/624318493.db2.gz LXHOSVLCCMTVKK-KXFIGUGUSA-N 0 0 284.271 2.947 20 5 CFBDRN CO[C@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@H]1C ZINC000828903320 624325760 /nfs/dbraw/zinc/32/57/60/624325760.db2.gz GQXAVWOZYDNJCB-SKDRFNHKSA-N 0 0 268.288 2.595 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000828917441 624329668 /nfs/dbraw/zinc/32/96/68/624329668.db2.gz HDQUYTYYCXDVQU-SNVBAGLBSA-N 0 0 297.282 2.707 20 5 CFBDRN CCCSCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000917333209 624331374 /nfs/dbraw/zinc/33/13/74/624331374.db2.gz JOEAWJKEPBSKBT-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C1CC2(CCC2)C1 ZINC000917319703 624332869 /nfs/dbraw/zinc/33/28/69/624332869.db2.gz DDCBTHBMISDDLY-UHFFFAOYSA-N 0 0 268.700 2.915 20 5 CFBDRN COC(=O)/C=C(/C)CSCc1ccc([N+](=O)[O-])cc1 ZINC000917351244 624334782 /nfs/dbraw/zinc/33/47/82/624334782.db2.gz WEJTZKVFWMEKOO-YFHOEESVSA-N 0 0 281.333 2.947 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N[C@@H]1CC12CCC2 ZINC000828943286 624336340 /nfs/dbraw/zinc/33/63/40/624336340.db2.gz AZNLTEVARLFWPR-CYBMUJFWSA-N 0 0 292.310 2.725 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC12CCC2 ZINC000828944666 624337068 /nfs/dbraw/zinc/33/70/68/624337068.db2.gz MCDKRLCQQXOTOE-GFCCVEGCSA-N 0 0 292.360 2.989 20 5 CFBDRN Cc1ccc([C@@H]2CCCN2Cn2nccc2[N+](=O)[O-])cc1 ZINC000917425240 624342361 /nfs/dbraw/zinc/34/23/61/624342361.db2.gz VELFWUIYOKJEIM-AWEZNQCLSA-N 0 0 286.335 2.894 20 5 CFBDRN CCn1cnnc1SCc1csc([N+](=O)[O-])c1 ZINC000917425052 624342966 /nfs/dbraw/zinc/34/29/66/624342966.db2.gz MVXJSRMDDDSONR-UHFFFAOYSA-N 0 0 270.339 2.560 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@H]2CC[C@H](C3CC3)O2)n1 ZINC000917441916 624343559 /nfs/dbraw/zinc/34/35/59/624343559.db2.gz LSKFOTKENNPTNV-CHWSQXEVSA-N 0 0 292.335 2.943 20 5 CFBDRN CC1=NO[C@@H](CSc2ccc([N+](=O)[O-])cc2F)C1 ZINC000917478378 624345314 /nfs/dbraw/zinc/34/53/14/624345314.db2.gz XDCPZRWPKQTIMR-SECBINFHSA-N 0 0 270.285 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2CCC3(COC3)O2)c(F)c1 ZINC000917477585 624345419 /nfs/dbraw/zinc/34/54/19/624345419.db2.gz PRDYQYHBDABHBT-SNVBAGLBSA-N 0 0 299.323 2.774 20 5 CFBDRN COC(=O)/C=C(\C)COc1cc(C)c([N+](=O)[O-])cc1F ZINC000917502031 624346681 /nfs/dbraw/zinc/34/66/81/624346681.db2.gz RAWWZODMSNEUSE-XBXARRHUSA-N 0 0 283.255 2.540 20 5 CFBDRN O=C(OC1CCCCC1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000917504123 624347759 /nfs/dbraw/zinc/34/77/59/624347759.db2.gz FBHRARNSSISYQG-UHFFFAOYSA-N 0 0 293.275 2.813 20 5 CFBDRN CC(C)(CCC(=O)N1CCC(F)(C2CC2)CC1)[N+](=O)[O-] ZINC000834564182 625714943 /nfs/dbraw/zinc/71/49/43/625714943.db2.gz ZRCHKRUSLOEJOT-UHFFFAOYSA-N 0 0 286.347 2.563 20 5 CFBDRN O=C(C=C1CCCC1)NCCNc1ccccc1[N+](=O)[O-] ZINC000917623771 624371320 /nfs/dbraw/zinc/37/13/20/624371320.db2.gz NIMPBLREIYYIAR-UHFFFAOYSA-N 0 0 289.335 2.623 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000917610835 624372115 /nfs/dbraw/zinc/37/21/15/624372115.db2.gz DNABHJUKUVFXJK-VIFPVBQESA-N 0 0 284.287 2.734 20 5 CFBDRN O=C(OCOc1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000917740206 624379531 /nfs/dbraw/zinc/37/95/31/624379531.db2.gz FSGKQCJFKBOQCZ-UHFFFAOYSA-N 0 0 271.656 2.538 20 5 CFBDRN C[C@@H]1CCC[C@@H](C2CN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)C1 ZINC000829139622 624384163 /nfs/dbraw/zinc/38/41/63/624384163.db2.gz REOUCCCFXVDPFZ-GHMZBOCLSA-N 0 0 291.351 2.821 20 5 CFBDRN O=C(/C=C\c1ccco1)Nc1ccc([N+](=O)[O-])cc1 ZINC000033409180 624387880 /nfs/dbraw/zinc/38/78/80/624387880.db2.gz GRWSAVOENZYCOC-FPLPWBNLSA-N 0 0 258.233 2.840 20 5 CFBDRN O=C(OCSCCOc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000918158602 624424147 /nfs/dbraw/zinc/42/41/47/624424147.db2.gz CKWXIECRTPXIKT-UHFFFAOYSA-N 0 0 297.332 2.618 20 5 CFBDRN O=[N+]([O-])c1nccn1CC[C@@H]1CCc2ccccc21 ZINC000918388300 624435192 /nfs/dbraw/zinc/43/51/92/624435192.db2.gz ZSMNYDAIAUQMOJ-LBPRGKRZSA-N 0 0 257.293 2.911 20 5 CFBDRN CC/C=C/CCOC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000918507987 624444398 /nfs/dbraw/zinc/44/43/98/624444398.db2.gz STYUEQOHMFNKTR-ONEGZZNKSA-N 0 0 264.281 2.690 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000829505215 624448068 /nfs/dbraw/zinc/44/80/68/624448068.db2.gz HNEHIWDTMGWUMK-GHMZBOCLSA-N 0 0 290.323 2.612 20 5 CFBDRN Cc1ncc(COC(=O)c2ccc([N+](=O)[O-])cc2F)s1 ZINC000918536283 624449146 /nfs/dbraw/zinc/44/91/46/624449146.db2.gz ROZSPWWDXYSTJQ-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)cc1C ZINC000918661622 624458988 /nfs/dbraw/zinc/45/89/88/624458988.db2.gz IHGZNOIPWPFEEH-UHFFFAOYSA-N 0 0 273.292 2.816 20 5 CFBDRN Cc1nc(C)c(COc2c(Cl)cncc2[N+](=O)[O-])o1 ZINC000829585164 624459216 /nfs/dbraw/zinc/45/92/16/624459216.db2.gz YGQZZVZXIKBAIM-UHFFFAOYSA-N 0 0 283.671 2.827 20 5 CFBDRN O=[N+]([O-])c1cccc(OS(=O)(=O)CCC2CCCC2)c1 ZINC000829621866 624478469 /nfs/dbraw/zinc/47/84/69/624478469.db2.gz AQOVEXFTBYRKTM-UHFFFAOYSA-N 0 0 299.348 2.884 20 5 CFBDRN CN(Cc1ccoc1)c1ccc([N+](=O)[O-])cc1F ZINC000918736192 624483992 /nfs/dbraw/zinc/48/39/92/624483992.db2.gz SWLMZCXEDVUNKH-UHFFFAOYSA-N 0 0 250.229 2.963 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000918834758 624500495 /nfs/dbraw/zinc/50/04/95/624500495.db2.gz YSFOJRGBCOXKJA-SECBINFHSA-N 0 0 297.332 2.598 20 5 CFBDRN CCCCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000918853152 624503083 /nfs/dbraw/zinc/50/30/83/624503083.db2.gz IMLMEUBLIAITQW-UHFFFAOYSA-N 0 0 255.245 2.967 20 5 CFBDRN CCNc1ccc(C(=O)O[C@@H](C)C(=O)CC)cc1[N+](=O)[O-] ZINC000918854263 624504533 /nfs/dbraw/zinc/50/45/33/624504533.db2.gz GPQBXFWIYYYKOK-VIFPVBQESA-N 0 0 294.307 2.551 20 5 CFBDRN CC(C)=CCOC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000919225171 624542224 /nfs/dbraw/zinc/54/22/24/624542224.db2.gz UDNQNCRDKDHIFG-UHFFFAOYSA-N 0 0 294.263 2.934 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n(CC2CCCCCC2)n1 ZINC000829837691 624563039 /nfs/dbraw/zinc/56/30/39/624563039.db2.gz KEJRHBLMVHPZKH-UHFFFAOYSA-N 0 0 268.273 2.670 20 5 CFBDRN CCOCCCOc1ccc([N+](=O)[O-])c(F)c1F ZINC000829882727 624576972 /nfs/dbraw/zinc/57/69/72/624576972.db2.gz DEXIGYDHZUHXNG-UHFFFAOYSA-N 0 0 261.224 2.678 20 5 CFBDRN CCC(F)(F)COC(=O)c1ccccc1[N+](=O)[O-] ZINC000851489388 634392676 /nfs/dbraw/zinc/39/26/76/634392676.db2.gz FJDDNARRKGZCHY-UHFFFAOYSA-N 0 0 259.208 2.797 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)C1(C(F)F)CC1 ZINC000829988319 624596112 /nfs/dbraw/zinc/59/61/12/624596112.db2.gz OBBBNJZFOUJFCS-UHFFFAOYSA-N 0 0 271.219 2.683 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C1(C(F)F)CC1 ZINC000830013431 624599257 /nfs/dbraw/zinc/59/92/57/624599257.db2.gz KTISJEDINVRBLL-UHFFFAOYSA-N 0 0 289.209 2.822 20 5 CFBDRN CCOc1cc(Cn2nc(C)nc2Cl)ccc1[N+](=O)[O-] ZINC000919741328 624608150 /nfs/dbraw/zinc/60/81/50/624608150.db2.gz XVGFXYHDBWKRTA-UHFFFAOYSA-N 0 0 296.714 2.595 20 5 CFBDRN CCCn1nc(-c2cccc([N+](=O)[O-])c2)nc1Cl ZINC000920398551 624718511 /nfs/dbraw/zinc/71/85/11/624718511.db2.gz HZSJMJZZSFHHDJ-UHFFFAOYSA-N 0 0 266.688 2.917 20 5 CFBDRN CCO[C@@H](CC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000920673607 624749791 /nfs/dbraw/zinc/74/97/91/624749791.db2.gz LMXTZLMCPDCPIM-LBPRGKRZSA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000830479916 624758511 /nfs/dbraw/zinc/75/85/11/624758511.db2.gz LMRPRFDEXGWEIT-NSHDSACASA-N 0 0 268.357 2.613 20 5 CFBDRN C[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000830707584 624819903 /nfs/dbraw/zinc/81/99/03/624819903.db2.gz FSLXACCCSNBOGP-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN C[C@@H]1[C@@H](C)CC[N@@H+]1Cn1ncc2cc([N+](=O)[O-])ccc21 ZINC000174950593 624915693 /nfs/dbraw/zinc/91/56/93/624915693.db2.gz UALZFVDYFRZRJA-WDEREUQCSA-N 0 0 274.324 2.632 20 5 CFBDRN Cc1ccc(N2C[C@@H](C)OC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000175046641 624925065 /nfs/dbraw/zinc/92/50/65/624925065.db2.gz WQJJIHIEYQRENP-LLVKDONJSA-N 0 0 264.325 2.907 20 5 CFBDRN CC(F)(F)CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840758332 624959806 /nfs/dbraw/zinc/95/98/06/624959806.db2.gz QYFKADPYKCRFQF-UHFFFAOYSA-N 0 0 275.280 2.536 20 5 CFBDRN Cc1cnc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)c(C)c1 ZINC000831582265 625059378 /nfs/dbraw/zinc/05/93/78/625059378.db2.gz SXUVGEGTOTWEBX-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1cnc(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)c(C)c1 ZINC000831582302 625059772 /nfs/dbraw/zinc/05/97/72/625059772.db2.gz VHGPZSZROYDLSN-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)/C=C/C1CCOCC1 ZINC000112170762 625090952 /nfs/dbraw/zinc/09/09/52/625090952.db2.gz DOHGSYFMWGIJOR-HWKANZROSA-N 0 0 291.303 2.791 20 5 CFBDRN CCC(F)(F)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000831973317 625198549 /nfs/dbraw/zinc/19/85/49/625198549.db2.gz ZUSPKPDMNLRBMQ-UHFFFAOYSA-N 0 0 274.223 2.587 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)c1nccn1C ZINC000851581905 634444467 /nfs/dbraw/zinc/44/44/67/634444467.db2.gz WBAYWQCINMTNGX-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CC(C)(CCC(=O)N1CC2(CCC2)[C@@H]1C1CC1)[N+](=O)[O-] ZINC000832210357 625244852 /nfs/dbraw/zinc/24/48/52/625244852.db2.gz WMPKTBXTVPKCLH-ZDUSSCGKSA-N 0 0 280.368 2.613 20 5 CFBDRN O=C(OC[C@@H]1CCCCO1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000070166386 625277138 /nfs/dbraw/zinc/27/71/38/625277138.db2.gz ABSGLKIHNJHFNJ-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC(F)(C2CC2)CC1 ZINC000834595944 625732072 /nfs/dbraw/zinc/73/20/72/625732072.db2.gz XBZDENDFDDHSQI-UHFFFAOYSA-N 0 0 292.310 2.949 20 5 CFBDRN Cc1cc(C)nc(-c2noc(CCC(C)(C)[N+](=O)[O-])n2)c1 ZINC000834602073 625733064 /nfs/dbraw/zinc/73/30/64/625733064.db2.gz IPHFAXIOCOWMCF-UHFFFAOYSA-N 0 0 290.323 2.736 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCS1 ZINC000834670372 625774641 /nfs/dbraw/zinc/77/46/41/625774641.db2.gz GZIFXRBXLYBUTD-KCJUWKMLSA-N 0 0 298.339 2.748 20 5 CFBDRN CCOC(=O)c1cc(C(=O)OCC(C)C)cc([N+](=O)[O-])c1 ZINC000073184423 625797306 /nfs/dbraw/zinc/79/73/06/625797306.db2.gz NKSDOBUMYZVBRU-UHFFFAOYSA-N 0 0 295.291 2.584 20 5 CFBDRN COC1(c2noc(CCC(C)(C)[N+](=O)[O-])n2)CCCCC1 ZINC000834811800 625871223 /nfs/dbraw/zinc/87/12/23/625871223.db2.gz XDHLINOEPRROJF-UHFFFAOYSA-N 0 0 297.355 2.863 20 5 CFBDRN CC[C@@H](N[C@H](C)c1ccc(N)c([N+](=O)[O-])c1)C(F)F ZINC000834827864 625886874 /nfs/dbraw/zinc/88/68/74/625886874.db2.gz MQNDUECNRKSOIS-GMSGAONNSA-N 0 0 273.283 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CC3(Cl)Cl)n2)o1 ZINC000834841501 625897579 /nfs/dbraw/zinc/89/75/79/625897579.db2.gz VALRCMNSDZSSOS-BYPYZUCNSA-N 0 0 290.062 2.899 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000768898916 625909717 /nfs/dbraw/zinc/90/97/17/625909717.db2.gz QUXQSJOUWYPFAK-BRNRAETOSA-N 0 0 278.308 2.797 20 5 CFBDRN C[C@H]1OCC[C@@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000771081804 625976949 /nfs/dbraw/zinc/97/69/49/625976949.db2.gz WWGAIUOHIZGZEK-KCJUWKMLSA-N 0 0 299.710 2.716 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H](O)c1ccccn1 ZINC000788077197 625982198 /nfs/dbraw/zinc/98/21/98/625982198.db2.gz OEQGNYBNSYXEHE-CYBMUJFWSA-N 0 0 293.710 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(CS(=O)(=O)c2ccccc2F)cc1 ZINC000084428292 626015576 /nfs/dbraw/zinc/01/55/76/626015576.db2.gz OVCDMMDWBDRRHG-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN COc1ccc(C(=O)OCc2ccoc2C)cc1[N+](=O)[O-] ZINC000073535807 626031845 /nfs/dbraw/zinc/03/18/45/626031845.db2.gz AEROTLNKNJTRIO-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@H](OC(=O)C[C@@](C)(O)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000756318301 626105823 /nfs/dbraw/zinc/10/58/23/626105823.db2.gz ALPQVYWVBJVZGO-ZUZCIYMTSA-N 0 0 293.319 2.750 20 5 CFBDRN CC(F)(F)CCCOC(=O)c1ccc([N+](=O)[O-])cc1N ZINC000922134990 626214717 /nfs/dbraw/zinc/21/47/17/626214717.db2.gz TUJOHLOUTFBVIR-UHFFFAOYSA-N 0 0 288.250 2.769 20 5 CFBDRN CC[C@H](C)CONC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000922580123 626316261 /nfs/dbraw/zinc/31/62/61/626316261.db2.gz XVHAAGPIUZEXMV-WDEREUQCSA-N 0 0 295.339 2.933 20 5 CFBDRN CCc1onc(C)c1CNCc1ccccc1[N+](=O)[O-] ZINC000922987444 626439897 /nfs/dbraw/zinc/43/98/97/626439897.db2.gz JENFNASGADVZBZ-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN C[C@H](C(=O)OCc1csc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000923053512 626446770 /nfs/dbraw/zinc/44/67/70/626446770.db2.gz YIQRLUAXJQCLLA-WPRPVWTQSA-N 0 0 285.321 2.515 20 5 CFBDRN CN(Cc1ccco1)c1ccc([N+](=O)[O-])cc1F ZINC000075667329 626462866 /nfs/dbraw/zinc/46/28/66/626462866.db2.gz ULWHFZFJLKNHEC-UHFFFAOYSA-N 0 0 250.229 2.963 20 5 CFBDRN O=c1ccnc(C=Cc2ccc(Cl)c([N+](=O)[O-])c2)[nH]1 ZINC000742220213 626481241 /nfs/dbraw/zinc/48/12/41/626481241.db2.gz LIIUJEGYVNTRLG-DUXPYHPUSA-N 0 0 277.667 2.914 20 5 CFBDRN Cc1ccc(OCc2ccc([N+](=O)[O-])cc2Cl)c[n+]1[O-] ZINC000788097356 626488859 /nfs/dbraw/zinc/48/88/59/626488859.db2.gz BDRDOMSPFPRMAA-UHFFFAOYSA-N 0 0 294.694 2.769 20 5 CFBDRN CC(C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1)=C1CCC1 ZINC000838229236 626490452 /nfs/dbraw/zinc/49/04/52/626490452.db2.gz CWWCBNCTYYKBMS-UHFFFAOYSA-N 0 0 289.287 2.821 20 5 CFBDRN CC1(C)CCC[C@H]1OC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283516 626491843 /nfs/dbraw/zinc/49/18/43/626491843.db2.gz DRBHQMJRDZNQOA-CHWSQXEVSA-N 0 0 293.319 2.750 20 5 CFBDRN CC(C)C[C@@H](C)COC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838286066 626491949 /nfs/dbraw/zinc/49/19/49/626491949.db2.gz SHKGDSMODLNNEO-RISCZKNCSA-N 0 0 295.335 2.854 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)OCC(=O)C(C)C)cc1Cl ZINC000838345323 626492839 /nfs/dbraw/zinc/49/28/39/626492839.db2.gz MWANTMVORYYWKX-UHFFFAOYSA-N 0 0 299.710 2.939 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)O[C@@H]2CCOC2)cc1Cl ZINC000838345723 626492861 /nfs/dbraw/zinc/49/28/61/626492861.db2.gz QSLHGJBAZVXPRV-MRVPVSSYSA-N 0 0 285.683 2.502 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1C[C@@H]2C[C@H]1CS2 ZINC000838321930 626493349 /nfs/dbraw/zinc/49/33/49/626493349.db2.gz ZXDFZNBYLUQERP-IUCAKERBSA-N 0 0 298.751 2.578 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1cncnc1C1CC1 ZINC000838359932 626494285 /nfs/dbraw/zinc/49/42/85/626494285.db2.gz RUKICOPORKBPAM-UHFFFAOYSA-N 0 0 299.286 2.619 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)c1cccc([N+](=O)[O-])c1 ZINC000838421029 626496793 /nfs/dbraw/zinc/49/67/93/626496793.db2.gz RRUFDCNTXFYGIF-JXJLXUTGSA-N 0 0 291.303 2.767 20 5 CFBDRN C[C@@]1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCCCO1 ZINC000838439865 626497793 /nfs/dbraw/zinc/49/77/93/626497793.db2.gz UFTMGKREMNNKDR-AWEZNQCLSA-N 0 0 297.282 2.736 20 5 CFBDRN C[C@@]1(C(=O)OCCc2ccc([N+](=O)[O-])cc2)CCCCO1 ZINC000838441235 626497912 /nfs/dbraw/zinc/49/79/12/626497912.db2.gz ZVHQHRLGKNYTJR-HNNXBMFYSA-N 0 0 293.319 2.640 20 5 CFBDRN CC[C@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ccncc1 ZINC000839003366 626519783 /nfs/dbraw/zinc/51/97/83/626519783.db2.gz FNCRFDCQYWSINH-ZDUSSCGKSA-N 0 0 289.291 2.636 20 5 CFBDRN CCCCCC[NH+]1CCN(c2ncccc2[N+](=O)[O-])CC1 ZINC000839033164 626521324 /nfs/dbraw/zinc/52/13/24/626521324.db2.gz ANQLRNZJAKPJTR-UHFFFAOYSA-N 0 0 292.383 2.692 20 5 CFBDRN C[C@@H](COC(=O)c1ccc([N+](=O)[O-])n1C)CC(F)(F)F ZINC000842948131 626522748 /nfs/dbraw/zinc/52/27/48/626522748.db2.gz RWJQWXLYBPFKET-SSDOTTSWSA-N 0 0 294.229 2.679 20 5 CFBDRN C[C@]1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCCCO1 ZINC000839222465 626531619 /nfs/dbraw/zinc/53/16/19/626531619.db2.gz IBXYSHJDIGMKCB-CYBMUJFWSA-N 0 0 282.271 2.632 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)C1 ZINC000839224683 626531702 /nfs/dbraw/zinc/53/17/02/626531702.db2.gz PMDIZHMAPVPCES-KOLCDFICSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)C1=C(C)OCCS1 ZINC000839312224 626533521 /nfs/dbraw/zinc/53/35/21/626533521.db2.gz VAXKIBLTGIXTBR-UHFFFAOYSA-N 0 0 295.316 2.804 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000839311167 626533600 /nfs/dbraw/zinc/53/36/00/626533600.db2.gz GQIFVZGGIGMJKE-UHFFFAOYSA-N 0 0 296.279 2.644 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000839379727 626534763 /nfs/dbraw/zinc/53/47/63/626534763.db2.gz QWXFRMGAJCQEJM-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CCC(C)(C)O2)cc1[N+](=O)[O-] ZINC000839396645 626535363 /nfs/dbraw/zinc/53/53/63/626535363.db2.gz RBRGIYTWZAXXMJ-CYBMUJFWSA-N 0 0 293.319 2.904 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C(F)F ZINC000839401412 626535463 /nfs/dbraw/zinc/53/54/63/626535463.db2.gz KFPOTDBXYPLDKX-SECBINFHSA-N 0 0 276.214 2.507 20 5 CFBDRN CC(C)C[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000839466926 626537455 /nfs/dbraw/zinc/53/74/55/626537455.db2.gz JZWDRIVOPMYYCE-MGPQQGTHSA-N 0 0 276.336 2.555 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@H](O)c1ccccc1 ZINC000808130246 626540952 /nfs/dbraw/zinc/54/09/52/626540952.db2.gz JXQCFCDDTDHOJW-KCJUWKMLSA-N 0 0 279.321 2.585 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCC2(C)CCCCC2)n1 ZINC000755808680 626542098 /nfs/dbraw/zinc/54/20/98/626542098.db2.gz BBFUCZNPZGLAOH-UHFFFAOYSA-N 0 0 295.339 2.938 20 5 CFBDRN CC(C)(C)OCCCOC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000757039379 626543869 /nfs/dbraw/zinc/54/38/69/626543869.db2.gz QMCBZTIQCHULEV-UHFFFAOYSA-N 0 0 296.323 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@@H](C3CCC3)C2)cc1F ZINC000839622211 626548515 /nfs/dbraw/zinc/54/85/15/626548515.db2.gz AEVHHJLQJSVIDN-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CCCCN(C)c1ccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000839665811 626550366 /nfs/dbraw/zinc/55/03/66/626550366.db2.gz JTSILUGOOQMGLL-ZIAGYGMSSA-N 0 0 291.351 2.527 20 5 CFBDRN C[C@H]1[C@@H](CO)CCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000839669293 626551663 /nfs/dbraw/zinc/55/16/63/626551663.db2.gz NPVKDCWFPNFDMC-WDEREUQCSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)c1ccc[nH]1 ZINC000839713624 626552983 /nfs/dbraw/zinc/55/29/83/626552983.db2.gz CYEDJWBHGAGTAR-DDTOSNHZSA-N 0 0 299.330 2.904 20 5 CFBDRN CC(C)c1ccc(C[C@@H](C)NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000839716536 626553118 /nfs/dbraw/zinc/55/31/18/626553118.db2.gz AEKDZIIPFLDMFM-KCPJHIHWSA-N 0 0 290.363 2.522 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2C[C@H]2c2ccco2)n1)[N+](=O)[O-] ZINC000867018025 626553874 /nfs/dbraw/zinc/55/38/74/626553874.db2.gz UBQFCODXVCFTCS-NXEZZACHSA-N 0 0 291.307 2.922 20 5 CFBDRN CC(C)(CCc1noc([C@]23C[C@H]2CCCC3)n1)[N+](=O)[O-] ZINC000867018039 626553893 /nfs/dbraw/zinc/55/38/93/626553893.db2.gz UGRLUVQTDFWLCR-QMTHXVAHSA-N 0 0 279.340 2.889 20 5 CFBDRN CON(C(=O)CCc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000757638443 626556900 /nfs/dbraw/zinc/55/69/00/626556900.db2.gz KYMUMDILLUTIAB-UHFFFAOYSA-N 0 0 292.335 2.860 20 5 CFBDRN CON(C(=O)c1ccc(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000757636662 626556979 /nfs/dbraw/zinc/55/69/79/626556979.db2.gz FKPIPVTUJFQGOC-UHFFFAOYSA-N 0 0 282.271 2.680 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)c1ccncc1 ZINC000757669323 626557498 /nfs/dbraw/zinc/55/74/98/626557498.db2.gz XRUYGQOEVZCETR-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN C[C@H](OC(=O)c1csc([N+](=O)[O-])c1)c1ccncc1 ZINC000757692957 626558617 /nfs/dbraw/zinc/55/86/17/626558617.db2.gz VBQFPCHSVQQTKV-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN CNc1ccc(C(=O)OC2(C)CCC2)cc1[N+](=O)[O-] ZINC000757919355 626568206 /nfs/dbraw/zinc/56/82/06/626568206.db2.gz QMUGFDVAADKUQM-UHFFFAOYSA-N 0 0 264.281 2.736 20 5 CFBDRN CC[C@]1(C)CCN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000758485032 626595496 /nfs/dbraw/zinc/59/54/96/626595496.db2.gz HHJJUSARUFSCMV-CQSZACIVSA-N 0 0 274.324 2.869 20 5 CFBDRN CCCCCS(=O)(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000759070300 626627214 /nfs/dbraw/zinc/62/72/14/626627214.db2.gz GBLNDQUQRUICLO-UHFFFAOYSA-N 0 0 291.300 2.633 20 5 CFBDRN Cc1noc(CN2CCc3c(C)cccc3C2)c1[N+](=O)[O-] ZINC000851753783 634512685 /nfs/dbraw/zinc/51/26/85/634512685.db2.gz ZMGNKQGNAMKHQJ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1NC1CC=CC1 ZINC000759717518 626687188 /nfs/dbraw/zinc/68/71/88/626687188.db2.gz LWHMSFKPCDZJMC-UHFFFAOYSA-N 0 0 287.319 2.618 20 5 CFBDRN CC(C)=CC(=O)O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000759930546 626702763 /nfs/dbraw/zinc/70/27/63/626702763.db2.gz JNOPNFIRRFTVSS-NWDGAFQWSA-N 0 0 293.323 2.784 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1CC12CCC2 ZINC000759976737 626705811 /nfs/dbraw/zinc/70/58/11/626705811.db2.gz ISYCCUMCYCKWLM-VIFPVBQESA-N 0 0 267.306 2.890 20 5 CFBDRN C[C@H]1CC/C(=C/C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000759995533 626707894 /nfs/dbraw/zinc/70/78/94/626707894.db2.gz QLIKUDHUTMUJLJ-PBBNAPBQSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@@H]1CC/C(=C/C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000759995535 626708001 /nfs/dbraw/zinc/70/80/01/626708001.db2.gz QLIKUDHUTMUJLJ-POKNLVKOSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@@H]1CC/C(=C\C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000759995540 626708366 /nfs/dbraw/zinc/70/83/66/626708366.db2.gz QLIKUDHUTMUJLJ-SLQMCABGSA-N 0 0 288.347 3.000 20 5 CFBDRN O=C(C=C1CCCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000759999816 626708451 /nfs/dbraw/zinc/70/84/51/626708451.db2.gz YNMCMPQQERBAGV-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)C[C@@H]1CCCCO1 ZINC000760018638 626709483 /nfs/dbraw/zinc/70/94/83/626709483.db2.gz QNJMWJFAYHEXBQ-NSHDSACASA-N 0 0 279.292 2.768 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Oc2c(C)cccc2[N+](=O)[O-])O1 ZINC000760020244 626709672 /nfs/dbraw/zinc/70/96/72/626709672.db2.gz WHVIPDJQIYKAPY-PWSUYJOCSA-N 0 0 279.292 2.766 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)C1CC(F)(F)C1 ZINC000760016295 626709862 /nfs/dbraw/zinc/70/98/62/626709862.db2.gz KUYREIZYFXUXII-UHFFFAOYSA-N 0 0 271.219 2.854 20 5 CFBDRN CC[C@@H](SC)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760022207 626710148 /nfs/dbraw/zinc/71/01/48/626710148.db2.gz IPOBRCBMUGGMNJ-SNVBAGLBSA-N 0 0 269.322 2.950 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)COC1CCCC1 ZINC000760022769 626710410 /nfs/dbraw/zinc/71/04/10/626710410.db2.gz OXUCTXRRJUCSJI-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CC(F)(F)F ZINC000760021937 626710474 /nfs/dbraw/zinc/71/04/74/626710474.db2.gz GRKJIYCJQJSXJJ-UHFFFAOYSA-N 0 0 263.171 2.761 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2ccc[nH]2)cc([N+](=O)[O-])c1 ZINC000760424567 626744708 /nfs/dbraw/zinc/74/47/08/626744708.db2.gz BEZCCWJTGJCBED-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCCCCCO)n1 ZINC000760554727 626755499 /nfs/dbraw/zinc/75/54/99/626755499.db2.gz MQSRSVCOFGRLNG-UHFFFAOYSA-N 0 0 268.313 2.538 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000760563329 626756091 /nfs/dbraw/zinc/75/60/91/626756091.db2.gz WWLXCAMEOAGGHS-MFKMUULPSA-N 0 0 291.303 2.643 20 5 CFBDRN Cc1cnc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])o1 ZINC000760579588 626757189 /nfs/dbraw/zinc/75/71/89/626757189.db2.gz ZJYWSCZKQMMNBF-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN COCCCOC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000760777799 626771760 /nfs/dbraw/zinc/77/17/60/626771760.db2.gz FNWZHMFSILZTSF-UHFFFAOYSA-N 0 0 287.699 2.750 20 5 CFBDRN Cc1ncsc1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000760955848 626787905 /nfs/dbraw/zinc/78/79/05/626787905.db2.gz MLKRJXNWKTYFML-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC[C@H]2C[C@H]21 ZINC000761096327 626803321 /nfs/dbraw/zinc/80/33/21/626803321.db2.gz RDOZVOOBZUEXMD-GZMMTYOYSA-N 0 0 252.701 2.842 20 5 CFBDRN CN(Cc1nccn1C)Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000761531707 626843375 /nfs/dbraw/zinc/84/33/75/626843375.db2.gz IWFWWJSXSPBFQP-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CC3CCOCC3)no2)cc1 ZINC000761980006 626876729 /nfs/dbraw/zinc/87/67/29/626876729.db2.gz RICPAFGGLDYZCI-UHFFFAOYSA-N 0 0 289.291 2.614 20 5 CFBDRN O=C(OCc1ccc(F)c(F)c1)c1ccc([N+](=O)[O-])cn1 ZINC000761982497 626877330 /nfs/dbraw/zinc/87/73/30/626877330.db2.gz VSUDUDQFCBHTKS-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000762017638 626881488 /nfs/dbraw/zinc/88/14/88/626881488.db2.gz FJTOYCJVUROMMF-MWLCHTKSSA-N 0 0 281.333 2.952 20 5 CFBDRN Cc1ccc(C)c(OC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000762063924 626885554 /nfs/dbraw/zinc/88/55/54/626885554.db2.gz BQOROGQANLVLTC-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000762069703 626886686 /nfs/dbraw/zinc/88/66/86/626886686.db2.gz NBSLKMBEHYMKKO-UWVGGRQHSA-N 0 0 281.333 2.952 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000762071012 626886769 /nfs/dbraw/zinc/88/67/69/626886769.db2.gz UUTQHLBASZUCRQ-GXSJLCMTSA-N 0 0 281.333 2.952 20 5 CFBDRN C[C@@H]1CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000762071652 626886821 /nfs/dbraw/zinc/88/68/21/626886821.db2.gz YZXGSFZZRWSNMP-MWLCHTKSSA-N 0 0 264.281 2.725 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCSC1 ZINC000762075897 626887230 /nfs/dbraw/zinc/88/72/30/626887230.db2.gz XCIAXNULKKEKGE-SSDOTTSWSA-N 0 0 287.724 2.907 20 5 CFBDRN C[C@H](C(=O)Nc1cc(Cl)[nH]n1)c1cccc([N+](=O)[O-])c1 ZINC000762140614 626896237 /nfs/dbraw/zinc/89/62/37/626896237.db2.gz HAEKKJXLABXXMW-ZETCQYMHSA-N 0 0 294.698 2.714 20 5 CFBDRN O=C(OCc1ccc2c(c1)COC2)c1ccccc1[N+](=O)[O-] ZINC000762141637 626896642 /nfs/dbraw/zinc/89/66/42/626896642.db2.gz BMVAINKMNHOEQI-UHFFFAOYSA-N 0 0 299.282 2.982 20 5 CFBDRN O=C(OC[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000762151139 626898606 /nfs/dbraw/zinc/89/86/06/626898606.db2.gz PGIWLJYYFIKISA-VIFPVBQESA-N 0 0 267.306 2.505 20 5 CFBDRN O=C1O[C@@H](COc2c(Cl)cccc2[N+](=O)[O-])CC12CC2 ZINC000762215185 626904677 /nfs/dbraw/zinc/90/46/77/626904677.db2.gz TVAYMRRSKAOMHL-MRVPVSSYSA-N 0 0 297.694 2.723 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000762710721 626932206 /nfs/dbraw/zinc/93/22/06/626932206.db2.gz OZVVMIDRQSDUKQ-LBPRGKRZSA-N 0 0 295.335 2.742 20 5 CFBDRN CC(=O)CCCCOC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000762864714 626945614 /nfs/dbraw/zinc/94/56/14/626945614.db2.gz DTZHNWTUQZNZQX-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN CCOCCCOC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000762905527 626948840 /nfs/dbraw/zinc/94/88/40/626948840.db2.gz DUZPBPKDPFBYQC-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN CC(=O)c1c(NC[C@@H](O)c2ccco2)cccc1[N+](=O)[O-] ZINC000763203776 626970381 /nfs/dbraw/zinc/97/03/81/626970381.db2.gz RFUMVSYRRSFYKN-GFCCVEGCSA-N 0 0 290.275 2.536 20 5 CFBDRN C[C@@H](C(=O)OCc1cncs1)c1cccc([N+](=O)[O-])c1 ZINC000763222062 626971581 /nfs/dbraw/zinc/97/15/81/626971581.db2.gz SUTWEVUREBBLNI-SECBINFHSA-N 0 0 292.316 2.898 20 5 CFBDRN C[C@]1(c2noc(-c3cccc([N+](=O)[O-])c3)n2)CCCO1 ZINC000763321223 626979090 /nfs/dbraw/zinc/97/90/90/626979090.db2.gz WYSKEPLBIBZJLY-CYBMUJFWSA-N 0 0 275.264 2.670 20 5 CFBDRN C[C@@H](C(=O)OCC(=O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000763405752 626986399 /nfs/dbraw/zinc/98/63/99/626986399.db2.gz SLFAYZQISACAJC-SNVBAGLBSA-N 0 0 293.319 2.857 20 5 CFBDRN Cc1cc(COC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)on1 ZINC000763407584 626986467 /nfs/dbraw/zinc/98/64/67/626986467.db2.gz QSWCBJDGJOWBLO-SNVBAGLBSA-N 0 0 290.275 2.738 20 5 CFBDRN CCCn1ccc(CNC(=O)c2ccc([N+](=O)[O-])s2)c1 ZINC000763427764 626988613 /nfs/dbraw/zinc/98/86/13/626988613.db2.gz ZCNXQCNUHDNSCD-UHFFFAOYSA-N 0 0 293.348 2.798 20 5 CFBDRN C[C@H](OC(=O)c1ccn(C)c1)c1ccccc1[N+](=O)[O-] ZINC000763493138 626991739 /nfs/dbraw/zinc/99/17/39/626991739.db2.gz QGPPIJQDISEEQO-JTQLQIEISA-N 0 0 274.276 2.851 20 5 CFBDRN C[C@H](OC(=O)c1ccn(C)c1)c1cccc([N+](=O)[O-])c1 ZINC000763493997 626991757 /nfs/dbraw/zinc/99/17/57/626991757.db2.gz XDFIBBFZMKGZBH-JTQLQIEISA-N 0 0 274.276 2.851 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000763552284 626997396 /nfs/dbraw/zinc/99/73/96/626997396.db2.gz CSQDNUYCQNDOPB-SNVBAGLBSA-N 0 0 267.281 2.561 20 5 CFBDRN Cc1noc(CN2CC[C@@H]2Cc2ccccc2)c1[N+](=O)[O-] ZINC000851805485 634540027 /nfs/dbraw/zinc/54/00/27/634540027.db2.gz CAWVXFNRTWNKQG-CYBMUJFWSA-N 0 0 287.319 2.708 20 5 CFBDRN C[C@H](C(=O)O[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000764055662 627033861 /nfs/dbraw/zinc/03/38/61/627033861.db2.gz UPSQJCGLAFUCSE-GXFFZTMASA-N 0 0 261.277 2.960 20 5 CFBDRN COC[C@@H](C)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764077000 627035564 /nfs/dbraw/zinc/03/55/64/627035564.db2.gz RVSLBCDYENXQIB-MNOVXSKESA-N 0 0 281.308 2.524 20 5 CFBDRN COC[C@H](C)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764077003 627035724 /nfs/dbraw/zinc/03/57/24/627035724.db2.gz RVSLBCDYENXQIB-QWRGUYRKSA-N 0 0 281.308 2.524 20 5 CFBDRN CC1(COC(=O)c2ccc(N)c([N+](=O)[O-])c2)CCC1 ZINC000764126262 627041041 /nfs/dbraw/zinc/04/10/41/627041041.db2.gz UOMJFYARQHCEIR-UHFFFAOYSA-N 0 0 264.281 2.524 20 5 CFBDRN CS/C=C\C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000764232623 627046776 /nfs/dbraw/zinc/04/67/76/627046776.db2.gz JYXCDLVLDNJBAJ-UDIARPCQSA-N 0 0 278.333 2.749 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C2CC2)c1 ZINC000764390797 627056390 /nfs/dbraw/zinc/05/63/90/627056390.db2.gz HANJXJPBTXKTBU-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN CCC1(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])COC1 ZINC000764598576 627074601 /nfs/dbraw/zinc/07/46/01/627074601.db2.gz PWDNOQVBOBBPSU-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000764825154 627098297 /nfs/dbraw/zinc/09/82/97/627098297.db2.gz JFKBSDCXWXIYHY-MRVPVSSYSA-N 0 0 270.260 2.536 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCCC(C)(C)O ZINC000765498558 627143121 /nfs/dbraw/zinc/14/31/21/627143121.db2.gz UOTVEWGJTKYTNZ-UHFFFAOYSA-N 0 0 295.335 2.838 20 5 CFBDRN CCC(=O)COC(=O)[C@@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000765524074 627145286 /nfs/dbraw/zinc/14/52/86/627145286.db2.gz HZIBDXRVNXPNEP-LBPRGKRZSA-N 0 0 293.319 2.686 20 5 CFBDRN C[C@H]1OCCN(c2nc3ccc([N+](=O)[O-])cc3s2)[C@@H]1C ZINC000765535703 627146082 /nfs/dbraw/zinc/14/60/82/627146082.db2.gz PZZXNJSKZSXDRD-RKDXNWHRSA-N 0 0 293.348 2.818 20 5 CFBDRN CNc1c(C(=O)Nc2ccc(O)cc2)cccc1[N+](=O)[O-] ZINC000765590868 627149409 /nfs/dbraw/zinc/14/94/09/627149409.db2.gz QJWRLQGEKIBUHT-UHFFFAOYSA-N 0 0 287.275 2.594 20 5 CFBDRN C[C@@H](CC1CCC1)[NH2+]Cc1cc([N+](=O)[O-])ccc1[O-] ZINC000765621565 627151296 /nfs/dbraw/zinc/15/12/96/627151296.db2.gz VMSGRQXRJRSECU-JTQLQIEISA-N 0 0 264.325 2.969 20 5 CFBDRN CCN1C[C@H](C)N(c2c(F)cc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000765636628 627151788 /nfs/dbraw/zinc/15/17/88/627151788.db2.gz SJKODPWEIBXCSX-UWVGGRQHSA-N 0 0 299.321 2.792 20 5 CFBDRN CCc1cc(C)nc(Oc2cc(C=O)ccc2[N+](=O)[O-])n1 ZINC000766063848 627175487 /nfs/dbraw/zinc/17/54/87/627175487.db2.gz DBWLNUHZHNNEQL-UHFFFAOYSA-N 0 0 287.275 2.860 20 5 CFBDRN Cc1nn(CN(C)Cc2cc(C)cc(C)c2)cc1[N+](=O)[O-] ZINC000766090134 627177416 /nfs/dbraw/zinc/17/74/16/627177416.db2.gz ICYQANWCRUAEMD-UHFFFAOYSA-N 0 0 288.351 2.806 20 5 CFBDRN C/C=C/COC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000766233917 627186762 /nfs/dbraw/zinc/18/67/62/627186762.db2.gz UMUQPBXGCPRZOH-NSCUHMNNSA-N 0 0 272.260 2.876 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])o2)cc1F ZINC000766291571 627191225 /nfs/dbraw/zinc/19/12/25/627191225.db2.gz FVCNUJBSMURVGD-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OC[C@H]1CCCOC1 ZINC000766296578 627192210 /nfs/dbraw/zinc/19/22/10/627192210.db2.gz AUPLGFGNDKFXSK-UJZCVKTISA-N 0 0 291.303 2.578 20 5 CFBDRN CN(C)c1cc(COc2c(F)cccc2[N+](=O)[O-])ccn1 ZINC000766391635 627199076 /nfs/dbraw/zinc/19/90/76/627199076.db2.gz BDFPSDOISJFRGN-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN CCc1cccnc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000766524007 627207358 /nfs/dbraw/zinc/20/73/58/627207358.db2.gz UICUVGXXQLSYSZ-UHFFFAOYSA-N 0 0 290.250 2.911 20 5 CFBDRN Cc1ccccc1[C@@H](C)OC(=O)c1c([N+](=O)[O-])cnn1C ZINC000766661859 627213538 /nfs/dbraw/zinc/21/35/38/627213538.db2.gz NOJHIXWXWMZQHS-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CC[C@@H](OC(=O)c1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000766966760 627229324 /nfs/dbraw/zinc/22/93/24/627229324.db2.gz PFLDYRXXUSICHL-GFCCVEGCSA-N 0 0 289.291 2.636 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2CC2(Cl)Cl)nc1C1CC1 ZINC000767058396 627232831 /nfs/dbraw/zinc/23/28/31/627232831.db2.gz VEADRLAHBQYKAX-ZETCQYMHSA-N 0 0 276.123 2.863 20 5 CFBDRN O=C(Cc1ccco1)OCc1ccc([N+](=O)[O-])cc1F ZINC000767510663 627256382 /nfs/dbraw/zinc/25/63/82/627256382.db2.gz JJJPZOIUKJKAJA-UHFFFAOYSA-N 0 0 279.223 2.613 20 5 CFBDRN O=C(Cc1ccco1)OCc1csc([N+](=O)[O-])c1 ZINC000767514701 627257007 /nfs/dbraw/zinc/25/70/07/627257007.db2.gz JUYRZKKSQMQQND-UHFFFAOYSA-N 0 0 267.262 2.535 20 5 CFBDRN CC/C=C\CCOC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000767576926 627263074 /nfs/dbraw/zinc/26/30/74/627263074.db2.gz JTDMUCKYZTVBDO-ARJAWSKDSA-N 0 0 265.265 2.814 20 5 CFBDRN CC/C=C\CCOC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000767585026 627263706 /nfs/dbraw/zinc/26/37/06/627263706.db2.gz QSJDNJQSAQIOCY-ARJAWSKDSA-N 0 0 264.281 2.690 20 5 CFBDRN Cc1cc(CN2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)nn1C ZINC000767810289 627287193 /nfs/dbraw/zinc/28/71/93/627287193.db2.gz JZUBUYBKECEJKO-NSHDSACASA-N 0 0 286.335 2.588 20 5 CFBDRN CCCC[C@H](CC)Cn1cc([N+](=O)[O-])c(=O)c([N+](=O)[O-])c1 ZINC000768463980 627345267 /nfs/dbraw/zinc/34/52/67/627345267.db2.gz VLDHDQNDPYFWBI-JTQLQIEISA-N 0 0 297.311 2.881 20 5 CFBDRN COCCCCOC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000768553758 627353700 /nfs/dbraw/zinc/35/37/00/627353700.db2.gz XBGYFGOMXBWPJN-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN C[C@H](OC(=O)C(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000768783424 627373085 /nfs/dbraw/zinc/37/30/85/627373085.db2.gz UCIDSDOMBJQDEQ-QMMMGPOBSA-N 0 0 255.245 2.947 20 5 CFBDRN Cc1cc(Cl)ccc1Cn1cccc([N+](=O)[O-])c1=O ZINC000768792965 627374562 /nfs/dbraw/zinc/37/45/62/627374562.db2.gz GXVXJDBKBPBTJH-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN O=C(OCCc1ccccc1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000769049031 627399203 /nfs/dbraw/zinc/39/92/03/627399203.db2.gz OUAUAZKSPNBDRN-UHFFFAOYSA-N 0 0 287.271 2.700 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCO[C@@H]1CC1(F)F)CC2 ZINC000851891831 634574655 /nfs/dbraw/zinc/57/46/55/634574655.db2.gz DGIKBHBBYINMLQ-CYBMUJFWSA-N 0 0 298.289 2.690 20 5 CFBDRN Cc1noc(CN2CCc3cc(C)ccc32)c1[N+](=O)[O-] ZINC000851891093 634574809 /nfs/dbraw/zinc/57/48/09/634574809.db2.gz JJPFCJNMKUULJL-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN CO[C@@H](C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000769862663 627481651 /nfs/dbraw/zinc/48/16/51/627481651.db2.gz OCKAVYGPCAXJFM-ZWNOBZJWSA-N 0 0 281.308 2.870 20 5 CFBDRN COCC1(NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1 ZINC000770007591 627496284 /nfs/dbraw/zinc/49/62/84/627496284.db2.gz HRRONXSQEQUWCL-UHFFFAOYSA-N 0 0 299.714 2.549 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@H]1CCOC1 ZINC000770009135 627496331 /nfs/dbraw/zinc/49/63/31/627496331.db2.gz JDROCTQWQRNSRI-VIFPVBQESA-N 0 0 299.714 2.501 20 5 CFBDRN CO[C@@H]1CCN(c2c(C)cc([N+](=O)[O-])cc2Cl)C1 ZINC000770105009 627502262 /nfs/dbraw/zinc/50/22/62/627502262.db2.gz NXNQZZLYGLYVRE-SNVBAGLBSA-N 0 0 270.716 2.782 20 5 CFBDRN C[C@@](CO)(Nc1nc2ccc([N+](=O)[O-])cc2s1)C1CC1 ZINC000770463180 627523893 /nfs/dbraw/zinc/52/38/93/627523893.db2.gz UFIWDNFGFREENC-ZDUSSCGKSA-N 0 0 293.348 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN[C@@H](CO)c1ccsc1 ZINC000770690527 627541981 /nfs/dbraw/zinc/54/19/81/627541981.db2.gz XTEULKGLYNULAV-LBPRGKRZSA-N 0 0 296.323 2.619 20 5 CFBDRN C[C@H]1OCC[C@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000770735528 627544661 /nfs/dbraw/zinc/54/46/61/627544661.db2.gz WYUNYOGQDSBXOM-PSASIEDQSA-N 0 0 299.710 2.716 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2)o1 ZINC000770926499 627559314 /nfs/dbraw/zinc/55/93/14/627559314.db2.gz HHSQHLPXZYKWQF-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2csc([N+](=O)[O-])c2)o1 ZINC000770954761 627563973 /nfs/dbraw/zinc/56/39/73/627563973.db2.gz RXYCGHLWXGHVNY-SSDOTTSWSA-N 0 0 282.277 2.871 20 5 CFBDRN CN(CCc1cnccn1)c1ccc([N+](=O)[O-])cc1Cl ZINC000771259539 627588887 /nfs/dbraw/zinc/58/88/87/627588887.db2.gz WGFTZOBZVDUMHU-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN C[C@@H]1[C@H](C)N(C)CCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000771290224 627590870 /nfs/dbraw/zinc/59/08/70/627590870.db2.gz SUTWQZOALRVRLT-VHSXEESVSA-N 0 0 283.759 2.777 20 5 CFBDRN CCC/C=C/C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771652063 627621314 /nfs/dbraw/zinc/62/13/14/627621314.db2.gz JRWQKSZELRCSBH-SNAWJCMRSA-N 0 0 253.229 2.996 20 5 CFBDRN Cc1cnc(COC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000771867267 627639723 /nfs/dbraw/zinc/63/97/23/627639723.db2.gz XWDPEGODYWCYNX-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000772317306 627701127 /nfs/dbraw/zinc/70/11/27/627701127.db2.gz YOKIWSZVOSUKGG-GFCCVEGCSA-N 0 0 299.710 2.861 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000772487520 627712740 /nfs/dbraw/zinc/71/27/40/627712740.db2.gz UYBQNJGYIVKAKU-OLZOCXBDSA-N 0 0 291.303 2.613 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000772497462 627714007 /nfs/dbraw/zinc/71/40/07/627714007.db2.gz DBKDGOBVRFNXKV-UWVGGRQHSA-N 0 0 269.322 2.992 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000772495731 627714141 /nfs/dbraw/zinc/71/41/41/627714141.db2.gz ACBBBXWCCLVHHV-RYUDHWBXSA-N 0 0 293.319 2.809 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000772495732 627714203 /nfs/dbraw/zinc/71/42/03/627714203.db2.gz ACBBBXWCCLVHHV-VXGBXAGGSA-N 0 0 293.319 2.809 20 5 CFBDRN CC/C=C/CCOC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000772646065 627731076 /nfs/dbraw/zinc/73/10/76/627731076.db2.gz UYOYSKGMHRUSJQ-SNAWJCMRSA-N 0 0 292.335 2.931 20 5 CFBDRN Cc1conc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000772734584 627741022 /nfs/dbraw/zinc/74/10/22/627741022.db2.gz MWUDJQVVBSIMKF-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CC(C)OC(=O)O[C@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000772863189 627752464 /nfs/dbraw/zinc/75/24/64/627752464.db2.gz NOFNRPOULADNOO-QMMMGPOBSA-N 0 0 286.309 2.990 20 5 CFBDRN COCCC1(C(=O)OCc2cccc([N+](=O)[O-])c2C)CC1 ZINC000773247609 627798767 /nfs/dbraw/zinc/79/87/67/627798767.db2.gz WOZNSFYMRYSKCY-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN CC[C@@H](CSC)N(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000773258406 627799498 /nfs/dbraw/zinc/79/94/98/627799498.db2.gz GKVZQEFFPPCHIA-QMMMGPOBSA-N 0 0 296.352 2.709 20 5 CFBDRN CCc1ccc(C(=O)NOc2ccccc2)cc1[N+](=O)[O-] ZINC000773464239 627823258 /nfs/dbraw/zinc/82/32/58/627823258.db2.gz AHRXGQRBDZCYGA-UHFFFAOYSA-N 0 0 286.287 2.881 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)C1(F)CCCC1 ZINC000773745425 627853729 /nfs/dbraw/zinc/85/37/29/627853729.db2.gz JFWMTRLPSBGTOF-UHFFFAOYSA-N 0 0 270.235 2.955 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CC(O)(C(C)C)C1 ZINC000773894069 627868497 /nfs/dbraw/zinc/86/84/97/627868497.db2.gz RODRWCKNQQLFDC-GFCCVEGCSA-N 0 0 278.352 2.667 20 5 CFBDRN Cc1cncc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)c1 ZINC000773987857 627876314 /nfs/dbraw/zinc/87/63/14/627876314.db2.gz BDWGTWJSLVVASQ-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000774523196 627934586 /nfs/dbraw/zinc/93/45/86/627934586.db2.gz GXYNXWPLEKNJKF-UHFFFAOYSA-N 0 0 264.325 2.990 20 5 CFBDRN CC(C)CNC(=S)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000774583368 627939534 /nfs/dbraw/zinc/93/95/34/627939534.db2.gz VVQHOUZSVQAAPA-JTQLQIEISA-N 0 0 281.381 2.776 20 5 CFBDRN C[C@H]1CCN(Cn2ncc3cc([N+](=O)[O-])cc(Cl)c32)C1 ZINC000774627691 627943331 /nfs/dbraw/zinc/94/33/31/627943331.db2.gz RMMNTFXYPCYCAL-VIFPVBQESA-N 0 0 294.742 2.897 20 5 CFBDRN Cn1nccc1COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000774639194 627944161 /nfs/dbraw/zinc/94/41/61/627944161.db2.gz UKTBQTMSJWSYGY-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000774720405 627952222 /nfs/dbraw/zinc/95/22/22/627952222.db2.gz SHHUARGLBIKAHV-QWRGUYRKSA-N 0 0 279.292 2.567 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)C[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000774739629 627955498 /nfs/dbraw/zinc/95/54/98/627955498.db2.gz CVUPRMRBKVOQGV-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)C[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000774742929 627956774 /nfs/dbraw/zinc/95/67/74/627956774.db2.gz RUPQLUJEMOAPEX-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC(C)(C)SC ZINC000775313973 628008434 /nfs/dbraw/zinc/00/84/34/628008434.db2.gz QHMOAYCBRUILKG-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCC1=O ZINC000775494579 628031580 /nfs/dbraw/zinc/03/15/80/628031580.db2.gz XLHNJHCIOVLLBI-BDAKNGLRSA-N 0 0 295.266 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccn(C(F)F)n1 ZINC000776079412 628099803 /nfs/dbraw/zinc/09/98/03/628099803.db2.gz CIVYXDAYKABRAB-UHFFFAOYSA-N 0 0 297.217 2.714 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000776293541 628127190 /nfs/dbraw/zinc/12/71/90/628127190.db2.gz ZSYAQZIZCDXCOA-WDEREUQCSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(C(=O)OCCCCCCO)cc([N+](=O)[O-])c1 ZINC000776378281 628139046 /nfs/dbraw/zinc/13/90/46/628139046.db2.gz BDEBGNRYZYKNDQ-UHFFFAOYSA-N 0 0 281.308 2.613 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000776417317 628147267 /nfs/dbraw/zinc/14/72/67/628147267.db2.gz RUOCFUHIPLVQNM-PWSUYJOCSA-N 0 0 291.303 2.757 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000776513848 628154618 /nfs/dbraw/zinc/15/46/18/628154618.db2.gz TUYMTNUOVOATBO-RKDXNWHRSA-N 0 0 299.710 2.969 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776701639 628169643 /nfs/dbraw/zinc/16/96/43/628169643.db2.gz OGYJXGMRJNDEFH-ITGUQSILSA-N 0 0 261.277 2.684 20 5 CFBDRN Cc1ccc(OC(=O)c2coc(C3CC3)n2)c([N+](=O)[O-])c1 ZINC000776746131 628173993 /nfs/dbraw/zinc/17/39/93/628173993.db2.gz OBDOGVFFPSQCBS-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@@](C)(O)C1CC1 ZINC000805581437 628209956 /nfs/dbraw/zinc/20/99/56/628209956.db2.gz XPBGNALRWIQJTH-BMIGLBTASA-N 0 0 293.319 2.668 20 5 CFBDRN COCC[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)C(C)C ZINC000777334582 628215488 /nfs/dbraw/zinc/21/54/88/628215488.db2.gz DEXGEPOAULPUHE-AWEZNQCLSA-N 0 0 295.335 2.742 20 5 CFBDRN Cc1ccc(C(=O)OCCc2ccoc2)cc1[N+](=O)[O-] ZINC000777379320 628218922 /nfs/dbraw/zinc/21/89/22/628218922.db2.gz ICTRDLRAZABEHF-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777926223 628265674 /nfs/dbraw/zinc/26/56/74/628265674.db2.gz BZGATOOZHCRGTD-SECBINFHSA-N 0 0 298.289 2.944 20 5 CFBDRN CC(C)(C)OCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000777960311 628267845 /nfs/dbraw/zinc/26/78/45/628267845.db2.gz CUZITAZYJHLFCD-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@@H]1F ZINC000777998624 628271441 /nfs/dbraw/zinc/27/14/41/628271441.db2.gz CNSUYOAIMPWWDH-KWQFWETISA-N 0 0 256.252 2.918 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000778093487 628276950 /nfs/dbraw/zinc/27/69/50/628276950.db2.gz ZNDKLNDYGQZVAZ-CYBMUJFWSA-N 0 0 299.710 2.861 20 5 CFBDRN C/C=C\COC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778164582 628282551 /nfs/dbraw/zinc/28/25/51/628282551.db2.gz BOOCLBNJWGKELY-IHWYPQMZSA-N 0 0 271.219 2.806 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000778376666 628302250 /nfs/dbraw/zinc/30/22/50/628302250.db2.gz PWHKTSAOHFNLJQ-VHSXEESVSA-N 0 0 297.282 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CN3CC=CCC3)c2)cc1 ZINC000778577055 628317191 /nfs/dbraw/zinc/31/71/91/628317191.db2.gz QNJKKDUKGMVETB-UHFFFAOYSA-N 0 0 284.319 2.678 20 5 CFBDRN COc1cc(COC(=O)CC(C)C)ccc1[N+](=O)[O-] ZINC000778742859 628328738 /nfs/dbraw/zinc/32/87/38/628328738.db2.gz OVWAQEWSSVVRDT-UHFFFAOYSA-N 0 0 267.281 2.693 20 5 CFBDRN CCCCC(=O)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778775901 628330815 /nfs/dbraw/zinc/33/08/15/628330815.db2.gz LMUXUSMDNMRKDN-AWEZNQCLSA-N 0 0 292.335 2.907 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1ccnn1C ZINC000778788523 628331551 /nfs/dbraw/zinc/33/15/51/628331551.db2.gz OHTMJHUNSZODEP-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN COCCC(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778796500 628332087 /nfs/dbraw/zinc/33/20/87/628332087.db2.gz XHGXAPHGXIAHBG-JTQLQIEISA-N 0 0 267.281 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1CCCOC1 ZINC000778796536 628332307 /nfs/dbraw/zinc/33/23/07/628332307.db2.gz YAGZJOOYUZQYAG-RYUDHWBXSA-N 0 0 293.319 2.934 20 5 CFBDRN CCCC(=O)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000778812674 628333434 /nfs/dbraw/zinc/33/34/34/628333434.db2.gz YGYOKYYFWCCWSV-NSHDSACASA-N 0 0 296.298 2.656 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1CCC[C@@H]1O ZINC000778812720 628333568 /nfs/dbraw/zinc/33/35/68/628333568.db2.gz WGYHHLOHOHTXKF-JKOKRWQUSA-N 0 0 293.319 2.668 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000778898530 628339138 /nfs/dbraw/zinc/33/91/38/628339138.db2.gz HXPNTKKDVKGLQK-MRVPVSSYSA-N 0 0 281.264 2.669 20 5 CFBDRN O=C(OCC1CCCC1)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000778896269 628339439 /nfs/dbraw/zinc/33/94/39/628339439.db2.gz KPDUHLDICGDFMZ-UHFFFAOYSA-N 0 0 293.275 2.671 20 5 CFBDRN CC/C=C\CCOC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000779195852 628359701 /nfs/dbraw/zinc/35/97/01/628359701.db2.gz DOBWROINFKYFTN-ARJAWSKDSA-N 0 0 293.275 2.837 20 5 CFBDRN COCC1(C(=O)O[C@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000779199229 628359704 /nfs/dbraw/zinc/35/97/04/628359704.db2.gz XMBNYBYOZSASJP-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN COc1cc(C(=O)O[C@@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000779260639 628365266 /nfs/dbraw/zinc/36/52/66/628365266.db2.gz VMTXEAMDMBQAOU-GXSJLCMTSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc32)C1 ZINC000779263872 628366716 /nfs/dbraw/zinc/36/67/16/628366716.db2.gz OBPYLVLWHANSTG-SCZZXKLOSA-N 0 0 289.291 2.817 20 5 CFBDRN CNc1ccc(C(=O)O[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000779266173 628366924 /nfs/dbraw/zinc/36/69/24/628366924.db2.gz VGQZDEQTZRJRDG-KOLCDFICSA-N 0 0 278.308 2.982 20 5 CFBDRN C[C@@H]1CCC[C@@H](OC(=O)c2cc([N+](=O)[O-])cn2C)[C@H]1C ZINC000779276074 628368473 /nfs/dbraw/zinc/36/84/73/628368473.db2.gz SZWFHCWXKGDXDI-GBIKHYSHSA-N 0 0 280.324 2.915 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000779470282 628384608 /nfs/dbraw/zinc/38/46/08/628384608.db2.gz STVYAUKRRXYHKS-ZWNOBZJWSA-N 0 0 263.293 2.869 20 5 CFBDRN CCOC1CC(C(=O)OCc2csc([N+](=O)[O-])c2)C1 ZINC000805643212 628393824 /nfs/dbraw/zinc/39/38/24/628393824.db2.gz WQZDBICUFRFVPC-UHFFFAOYSA-N 0 0 285.321 2.515 20 5 CFBDRN Cc1cnc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c(C)c1 ZINC000779740870 628398593 /nfs/dbraw/zinc/39/85/93/628398593.db2.gz AAQGIYIXSFVZMI-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC/C(C)=C(/C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000779856149 628414295 /nfs/dbraw/zinc/41/42/95/628414295.db2.gz ZVSLELSVIOTEJR-KHPPLWFESA-N 0 0 292.335 2.966 20 5 CFBDRN Cc1nn(CN(C)C[C@H](C)c2ccccc2)cc1[N+](=O)[O-] ZINC000779859430 628415000 /nfs/dbraw/zinc/41/50/00/628415000.db2.gz LCAIZUMVPIGNTM-LBPRGKRZSA-N 0 0 288.351 2.793 20 5 CFBDRN Cc1nn(CN2Cc3ccccc3[C@H]2C)cc1[N+](=O)[O-] ZINC000779865653 628415544 /nfs/dbraw/zinc/41/55/44/628415544.db2.gz ROVVWJHJGWPKKN-LLVKDONJSA-N 0 0 272.308 2.634 20 5 CFBDRN Cc1cccc([C@H](C)OC(=O)c2nn(C)cc2[N+](=O)[O-])c1 ZINC000779951049 628420883 /nfs/dbraw/zinc/42/08/83/628420883.db2.gz BYQHBIAVFNAUKQ-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@@H](COC(=O)c1cc(O)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000780071683 628430600 /nfs/dbraw/zinc/43/06/00/628430600.db2.gz JTUFIMDCCDSQMV-LURJTMIESA-N 0 0 293.197 2.656 20 5 CFBDRN O=C(OC/C(Cl)=C\Cl)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780076564 628431099 /nfs/dbraw/zinc/43/10/99/628431099.db2.gz RHQRTIZTMHWESS-QPJJXVBHSA-N 0 0 292.074 2.776 20 5 CFBDRN O=C(O[C@H]1C=CCC1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000780552573 628474253 /nfs/dbraw/zinc/47/42/53/628474253.db2.gz OSTAZDKNPGBTOX-ZDUSSCGKSA-N 0 0 299.286 2.656 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)O[C@H]1C=CCC1 ZINC000780556814 628475850 /nfs/dbraw/zinc/47/58/50/628475850.db2.gz YNDJJBCFRINBJO-SZNGSJQDSA-N 0 0 259.261 2.870 20 5 CFBDRN CS[C@H](C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1C ZINC000780638287 628483712 /nfs/dbraw/zinc/48/37/12/628483712.db2.gz XVNDMSBXFMASBT-SNVBAGLBSA-N 0 0 299.348 2.577 20 5 CFBDRN Cc1cc(COC(=O)C2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000781172631 628528645 /nfs/dbraw/zinc/52/86/45/628528645.db2.gz YMSOENKHQWGSPT-UHFFFAOYSA-N 0 0 285.246 2.992 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CC(=O)OCC1CCCCCC1 ZINC000781482608 628552836 /nfs/dbraw/zinc/55/28/36/628552836.db2.gz DJBRGSKZBWYDKQ-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN CC(C)C[C@H](C)C[C@H](C)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000781482751 628553094 /nfs/dbraw/zinc/55/30/94/628553094.db2.gz FNABGRVFMZOJQC-RYUDHWBXSA-N 0 0 297.355 2.795 20 5 CFBDRN CCCCOC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000781735735 628576182 /nfs/dbraw/zinc/57/61/82/628576182.db2.gz QSRBAJFNROBVTA-UHFFFAOYSA-N 0 0 296.279 2.779 20 5 CFBDRN CC[C@H](C)NC(=S)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000782205792 628614368 /nfs/dbraw/zinc/61/43/68/628614368.db2.gz CRBBVWQMDXZAMC-QMMMGPOBSA-N 0 0 283.353 2.688 20 5 CFBDRN CC(C)CC(N)=NOCCOc1ccccc1[N+](=O)[O-] ZINC000782321267 628628258 /nfs/dbraw/zinc/62/82/58/628628258.db2.gz OIRLAWYXWJQTIS-UHFFFAOYSA-N 0 0 281.312 2.518 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCc2ncsc2C1 ZINC000782417147 628636464 /nfs/dbraw/zinc/63/64/64/628636464.db2.gz BTBWKMXZBVETEU-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN O=C(COC(=O)C1=CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000782445608 628639021 /nfs/dbraw/zinc/63/90/21/628639021.db2.gz KMMSXJIFBOPGIW-UHFFFAOYSA-N 0 0 289.287 2.821 20 5 CFBDRN CC1(C)CC[C@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000782935397 628664463 /nfs/dbraw/zinc/66/44/63/628664463.db2.gz MSBAGNMJWSVTMH-GFCCVEGCSA-N 0 0 297.282 2.735 20 5 CFBDRN C[C@H]1C[C@@H](COc2ccc([N+](=O)[O-])cc2C=O)CCO1 ZINC000783007980 628669593 /nfs/dbraw/zinc/66/95/93/628669593.db2.gz PVQZFLOAWKUCMN-QWRGUYRKSA-N 0 0 279.292 2.601 20 5 CFBDRN CC1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCOCC1 ZINC000783387735 628702824 /nfs/dbraw/zinc/70/28/24/628702824.db2.gz CVLPABZZRGSGLD-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000783440623 628707740 /nfs/dbraw/zinc/70/77/40/628707740.db2.gz VNUMOUMFDJNGSK-NXEZZACHSA-N 0 0 297.282 2.706 20 5 CFBDRN O=C(OCCC1CCC1)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000783443025 628708595 /nfs/dbraw/zinc/70/85/95/628708595.db2.gz FNYXRSMNERPPTR-UHFFFAOYSA-N 0 0 293.275 2.671 20 5 CFBDRN C[C@@H]1COCCN(Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000783570876 628721702 /nfs/dbraw/zinc/72/17/02/628721702.db2.gz HWTJEGODQNWGHF-JTQLQIEISA-N 0 0 284.743 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CSCCSCCO ZINC000783587619 628724289 /nfs/dbraw/zinc/72/42/89/628724289.db2.gz RUPXOCRMJLAQHM-UHFFFAOYSA-N 0 0 291.369 2.693 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(C2(O)CCCC2)n1 ZINC000783621286 628727708 /nfs/dbraw/zinc/72/77/08/628727708.db2.gz BVQMMSIACJLGHR-UHFFFAOYSA-N 0 0 289.291 2.715 20 5 CFBDRN Cc1cc(C(=O)NCC(C)(C)C(C)C)c(N)c([N+](=O)[O-])c1 ZINC000783816093 628747482 /nfs/dbraw/zinc/74/74/82/628747482.db2.gz WQCXJHBXGIMEQV-UHFFFAOYSA-N 0 0 293.367 2.897 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1ccc(F)cn1 ZINC000783939505 628756706 /nfs/dbraw/zinc/75/67/06/628756706.db2.gz MEVBVGCHWGUPSB-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN COCC[C@H](C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000783946178 628757068 /nfs/dbraw/zinc/75/70/68/628757068.db2.gz OVRMZHDFRNWFDD-IIANPFDCSA-N 0 0 292.335 2.539 20 5 CFBDRN C/C(=C\C(=O)Nc1cnc(C)nc1)c1ccc([N+](=O)[O-])cc1 ZINC000783957576 628757374 /nfs/dbraw/zinc/75/73/74/628757374.db2.gz OGNKRYSLJRQSCI-JXMROGBWSA-N 0 0 298.302 2.735 20 5 CFBDRN C/C(=C/C(=O)Nc1cnc(C)nc1)c1ccc([N+](=O)[O-])cc1 ZINC000783957578 628757510 /nfs/dbraw/zinc/75/75/10/628757510.db2.gz OGNKRYSLJRQSCI-YFHOEESVSA-N 0 0 298.302 2.735 20 5 CFBDRN CC(=CC(=O)Nc1ccncc1C)c1ccc([N+](=O)[O-])cc1 ZINC000783965788 628758280 /nfs/dbraw/zinc/75/82/80/628758280.db2.gz WQKVQMBEXXZSCN-LUAWRHEFSA-N 0 0 297.314 2.762 20 5 CFBDRN CC(C)[C@H](F)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000784024844 628765612 /nfs/dbraw/zinc/76/56/12/628765612.db2.gz VOXYTZBUPUGXJC-JTQLQIEISA-N 0 0 258.224 2.667 20 5 CFBDRN O=C(COC(=O)c1cccc(Cl)c1[N+](=O)[O-])CC1CC1 ZINC000784034398 628767117 /nfs/dbraw/zinc/76/71/17/628767117.db2.gz PYBPCJIDIRRKKK-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN C/C(=C/C(=O)N[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000784051532 628769356 /nfs/dbraw/zinc/76/93/56/628769356.db2.gz ZSYKDASXYTXJCO-CUZBXDDWSA-N 0 0 292.360 2.620 20 5 CFBDRN CC(C)[C@H](F)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000784114543 628778613 /nfs/dbraw/zinc/77/86/13/628778613.db2.gz ZESMYZYKTOWSLD-ZDUSSCGKSA-N 0 0 280.299 2.868 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000784139556 628782623 /nfs/dbraw/zinc/78/26/23/628782623.db2.gz XFCKHUJODPDIKR-NSHDSACASA-N 0 0 255.245 2.803 20 5 CFBDRN CC1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000784141184 628782675 /nfs/dbraw/zinc/78/26/75/628782675.db2.gz GROIRURRIJJMAZ-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1CCSC1 ZINC000784161195 628786561 /nfs/dbraw/zinc/78/65/61/628786561.db2.gz UZQHEFYXNHBWSF-VIFPVBQESA-N 0 0 285.296 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC3(CO)CCC3)sc2c1 ZINC000784254093 628795989 /nfs/dbraw/zinc/79/59/89/628795989.db2.gz SDUUVSAKUSVTRZ-UHFFFAOYSA-N 0 0 293.348 2.779 20 5 CFBDRN Cc1cc(F)ccc1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000784265742 628796288 /nfs/dbraw/zinc/79/62/88/628796288.db2.gz MJPWWGMZCDJRSJ-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN COC[C@H](C)OC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000784713128 628838178 /nfs/dbraw/zinc/83/81/78/628838178.db2.gz OGUKXLUHYUIZTI-QMMMGPOBSA-N 0 0 287.699 2.748 20 5 CFBDRN C/C(=C/C(=O)OCC(=O)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000784891628 628849761 /nfs/dbraw/zinc/84/97/61/628849761.db2.gz YEQANYACGMYCDW-NTMALXAHSA-N 0 0 289.287 2.520 20 5 CFBDRN CC[C@H](OC)C(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784937493 628853533 /nfs/dbraw/zinc/85/35/33/628853533.db2.gz IQVMPOZCRYZKGY-LBPRGKRZSA-N 0 0 299.348 2.655 20 5 CFBDRN CC1(CC(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000784968372 628857227 /nfs/dbraw/zinc/85/72/27/628857227.db2.gz DCJURVIBOWCPRM-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN CC(C)/C=C\C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000785058403 628862921 /nfs/dbraw/zinc/86/29/21/628862921.db2.gz HJLRUFLHDKIXSE-BAQGIRSFSA-N 0 0 277.276 2.533 20 5 CFBDRN CC(C)/C=C/C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000785070888 628864638 /nfs/dbraw/zinc/86/46/38/628864638.db2.gz UTUOVQGPWFWFND-VOTSOKGWSA-N 0 0 267.256 2.989 20 5 CFBDRN C[C@H]1CC[C@H](C)N1CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000785728536 628907884 /nfs/dbraw/zinc/90/78/84/628907884.db2.gz IHPWIKCXFXLOEW-RYUDHWBXSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1ccncc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000785883789 628919147 /nfs/dbraw/zinc/91/91/47/628919147.db2.gz LBWYNPZEFVSTJA-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cnccc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000786034230 628931717 /nfs/dbraw/zinc/93/17/17/628931717.db2.gz ZTBLLZQIDFPDDW-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cnccc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000786046082 628933181 /nfs/dbraw/zinc/93/31/81/628933181.db2.gz VOXLKMMVMLORJQ-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN Cc1ccnc(N[C@H]2CCCc3nn(C)cc32)c1[N+](=O)[O-] ZINC000786554799 628968108 /nfs/dbraw/zinc/96/81/08/628968108.db2.gz MVQDEYHVKBYBBL-NSHDSACASA-N 0 0 287.323 2.521 20 5 CFBDRN C[C@H](O)c1ccc(CNc2ccc(N)cc2[N+](=O)[O-])cc1 ZINC000786790128 628979618 /nfs/dbraw/zinc/97/96/18/628979618.db2.gz MABQGDAQYOBRTC-JTQLQIEISA-N 0 0 287.319 2.842 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2cc[nH]c21 ZINC000787300300 629005289 /nfs/dbraw/zinc/00/52/89/629005289.db2.gz OOXRUYLUXSRQTH-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN CC(C)n1cnc(C(=O)OCc2cccc([N+](=O)[O-])c2)c1 ZINC000787525364 629016967 /nfs/dbraw/zinc/01/69/67/629016967.db2.gz WVGDFLHFZKHIBA-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN O=C(OCC[C@H]1CCCO1)c1cc([N+](=O)[O-])ccc1Cl ZINC000787566399 629018989 /nfs/dbraw/zinc/01/89/89/629018989.db2.gz BMFZBMCTOWAZFD-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1ccc(Cl)nn1 ZINC000787788024 629035855 /nfs/dbraw/zinc/03/58/55/629035855.db2.gz KLMKPKKQMNEUPQ-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1CC=CCC1 ZINC000788496063 629075037 /nfs/dbraw/zinc/07/50/37/629075037.db2.gz JVOZKSQCAPTWAD-LLVKDONJSA-N 0 0 261.277 2.994 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@@H](O)c1ccco1 ZINC000788835290 629103963 /nfs/dbraw/zinc/10/39/63/629103963.db2.gz WOIYDOBZQIHUIT-CYBMUJFWSA-N 0 0 299.286 2.882 20 5 CFBDRN CCOC[C@@H](Nc1nc2ccccn2c1[N+](=O)[O-])C(C)C ZINC000788858332 629105154 /nfs/dbraw/zinc/10/51/54/629105154.db2.gz DAZANRLMHDKFSO-LLVKDONJSA-N 0 0 292.339 2.716 20 5 CFBDRN COc1nn(C)cc1NCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000788972187 629112064 /nfs/dbraw/zinc/11/20/64/629112064.db2.gz WWLYTGKOQSAJMO-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@H]1CC[C@@H](C)O1 ZINC000789015689 629117358 /nfs/dbraw/zinc/11/73/58/629117358.db2.gz NHYRCYZQHJNSRP-GHMZBOCLSA-N 0 0 279.292 2.628 20 5 CFBDRN CC1(C)CN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000789086857 629125203 /nfs/dbraw/zinc/12/52/03/629125203.db2.gz WBPHOYSLHMJCEB-UHFFFAOYSA-N 0 0 297.742 2.800 20 5 CFBDRN C[C@@H](NC(=S)NC1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000789193561 629133117 /nfs/dbraw/zinc/13/31/17/629133117.db2.gz DAKAGLNRGLEWHJ-SECBINFHSA-N 0 0 279.365 2.672 20 5 CFBDRN C/C=C(/CC)C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000789231266 629138372 /nfs/dbraw/zinc/13/83/72/629138372.db2.gz KHSOMKGRTXDHHV-KMKOMSMNSA-N 0 0 277.276 2.677 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=S)NC2(C)CC2)cc1[N+](=O)[O-] ZINC000789265184 629142518 /nfs/dbraw/zinc/14/25/18/629142518.db2.gz ROXAUJWVUNBTDF-SNVBAGLBSA-N 0 0 293.392 2.981 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2ncccn2)c([N+](=O)[O-])c1 ZINC000789612781 629174217 /nfs/dbraw/zinc/17/42/17/629174217.db2.gz QXEXSPFGTDGBFF-UHFFFAOYSA-N 0 0 292.276 2.585 20 5 CFBDRN C[C@@H](COC(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000789615891 629174599 /nfs/dbraw/zinc/17/45/99/629174599.db2.gz VQKZMETYOOMAGD-JTQLQIEISA-N 0 0 263.293 2.727 20 5 CFBDRN C/C(=C\C(=O)N1CC(C)(C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000790230318 629221299 /nfs/dbraw/zinc/22/12/99/629221299.db2.gz GRVJINDKQQRPCR-DHZHZOJOSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1c(CNC(=S)NC(C)(C)C)cccc1[N+](=O)[O-] ZINC000790334410 629233701 /nfs/dbraw/zinc/23/37/01/629233701.db2.gz WTGHLIYRFBZTIR-UHFFFAOYSA-N 0 0 281.381 2.666 20 5 CFBDRN Cc1occc1CNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000790475658 629241780 /nfs/dbraw/zinc/24/17/80/629241780.db2.gz XRVHETCBUAYNIY-UHFFFAOYSA-N 0 0 292.266 2.874 20 5 CFBDRN CC1(COC(=O)CCNc2ccccc2[N+](=O)[O-])CC1 ZINC000790808459 629265284 /nfs/dbraw/zinc/26/52/84/629265284.db2.gz SNPAHCLFNGFBJS-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN CCOc1ccc(C(=O)OCC2(C)CC2)cc1[N+](=O)[O-] ZINC000790809861 629265555 /nfs/dbraw/zinc/26/55/55/629265555.db2.gz ALHDLDUHKWRDFT-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CNc1ccc(C(=O)OCC2=CCCC2)cc1[N+](=O)[O-] ZINC000790825008 629267235 /nfs/dbraw/zinc/26/72/35/629267235.db2.gz RNHPHPLEPFPLJL-UHFFFAOYSA-N 0 0 276.292 2.904 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1cncc(Cl)n1 ZINC000790895342 629270464 /nfs/dbraw/zinc/27/04/64/629270464.db2.gz IEZDBRDFXVCWMF-UHFFFAOYSA-N 0 0 293.666 2.566 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCc1ncccn1 ZINC000791446503 629316871 /nfs/dbraw/zinc/31/68/71/629316871.db2.gz KVDLIPYYDYLWGT-LUAWRHEFSA-N 0 0 299.286 2.532 20 5 CFBDRN CC(C)(C(=O)OCc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000791454006 629317915 /nfs/dbraw/zinc/31/79/15/629317915.db2.gz BKCJWKQUXMNIRN-UHFFFAOYSA-N 0 0 273.235 2.929 20 5 CFBDRN Cc1ccnc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000791512218 629324497 /nfs/dbraw/zinc/32/44/97/629324497.db2.gz GBRLCSLVWHQYFQ-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CO[C@H](C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C)C1CC1 ZINC000791599479 629337950 /nfs/dbraw/zinc/33/79/50/629337950.db2.gz RWHZITLUIZYEED-LBPRGKRZSA-N 0 0 298.726 2.920 20 5 CFBDRN CC1(F)CN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000791699689 629347223 /nfs/dbraw/zinc/34/72/23/629347223.db2.gz WHNBEUBAUCDQHL-UHFFFAOYSA-N 0 0 287.678 2.824 20 5 CFBDRN CC(=O)c1cccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000835049797 629350190 /nfs/dbraw/zinc/35/01/90/629350190.db2.gz TZUWTBQBXONSAM-UHFFFAOYSA-N 0 0 279.292 2.630 20 5 CFBDRN CCC[C@H](OC)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000835069757 629365988 /nfs/dbraw/zinc/36/59/88/629365988.db2.gz DJGCLQYCAKWPHT-LBPRGKRZSA-N 0 0 267.281 2.624 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791897768 629373829 /nfs/dbraw/zinc/37/38/29/629373829.db2.gz DNXAHOUWZXPRPE-VIFPVBQESA-N 0 0 296.279 2.838 20 5 CFBDRN CC(C)=C(C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791992947 629385358 /nfs/dbraw/zinc/38/53/58/629385358.db2.gz BATVNYBDTGLYDZ-UHFFFAOYSA-N 0 0 294.263 2.903 20 5 CFBDRN O=C(C=C1CCC1)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000792010112 629387723 /nfs/dbraw/zinc/38/77/23/629387723.db2.gz FHZYSPHUKKKFEV-UHFFFAOYSA-N 0 0 292.247 2.657 20 5 CFBDRN COc1ccc(OC(=O)CCC(C)(F)F)cc1[N+](=O)[O-] ZINC000792120121 629399386 /nfs/dbraw/zinc/39/93/86/629399386.db2.gz QLVLSAWUQUBHMK-UHFFFAOYSA-N 0 0 289.234 2.944 20 5 CFBDRN CC[C@H](C)CC(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000792170432 629405218 /nfs/dbraw/zinc/40/52/18/629405218.db2.gz RBKXIALVNJZGQB-VIFPVBQESA-N 0 0 296.279 2.982 20 5 CFBDRN Cc1noc([C@H](C)NCc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000792176827 629406773 /nfs/dbraw/zinc/40/67/73/629406773.db2.gz NRYNLXORBOQPLZ-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN CNc1c(C(=O)OCC(C)(C)F)cccc1[N+](=O)[O-] ZINC000793277371 629518262 /nfs/dbraw/zinc/51/82/62/629518262.db2.gz DGRKOIYKSKXWFH-UHFFFAOYSA-N 0 0 270.260 2.541 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cccnc2F)c(F)c1 ZINC000793281330 629519571 /nfs/dbraw/zinc/51/95/71/629519571.db2.gz OEKJOHXDAUDZDR-UHFFFAOYSA-N 0 0 279.246 2.558 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000852442915 634747358 /nfs/dbraw/zinc/74/73/58/634747358.db2.gz ICJRKUPBBRLLIQ-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000835288351 629599111 /nfs/dbraw/zinc/59/91/11/629599111.db2.gz WMWHBCWEFLRZKM-VXGBXAGGSA-N 0 0 263.293 2.993 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC(F)(F)CC1 ZINC000794293614 629632512 /nfs/dbraw/zinc/63/25/12/629632512.db2.gz WIBNOHJVHOSCEQ-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccc(Cl)cn1)[N+](=O)[O-] ZINC000835332688 629636660 /nfs/dbraw/zinc/63/66/60/629636660.db2.gz AYHBAFFSMWZEOP-UHFFFAOYSA-N 0 0 286.715 2.614 20 5 CFBDRN CON(C[C@H]1CCC[C@H](C)C1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000794323082 629636619 /nfs/dbraw/zinc/63/66/19/629636619.db2.gz WBNJTVYNRVKZHO-QWRGUYRKSA-N 0 0 295.339 2.753 20 5 CFBDRN CC1(COC(=O)CCC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000835376599 629665827 /nfs/dbraw/zinc/66/58/27/629665827.db2.gz WQSBQDLFAWEQKX-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN CCC(=O)c1ccc(NCc2ccon2)c([N+](=O)[O-])c1 ZINC000795104977 629734703 /nfs/dbraw/zinc/73/47/03/629734703.db2.gz MWTHGWSUPGLUKE-UHFFFAOYSA-N 0 0 275.264 2.788 20 5 CFBDRN CC(C)(CCC(=O)OCCC[C@@H]1CCCCC1=O)[N+](=O)[O-] ZINC000835463125 629749492 /nfs/dbraw/zinc/74/94/92/629749492.db2.gz GKLWMKTZOQCGEO-LBPRGKRZSA-N 0 0 299.367 2.905 20 5 CFBDRN Cc1ncc(CNc2c(C)c([N+](=O)[O-])ccc2F)cn1 ZINC000795267085 629755002 /nfs/dbraw/zinc/75/50/02/629755002.db2.gz YAEFYZVQILXDAQ-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCC(F)(F)CC3)c2c1 ZINC000795271952 629756206 /nfs/dbraw/zinc/75/62/06/629756206.db2.gz NHFHTXUQZSBFJA-UHFFFAOYSA-N 0 0 294.261 2.774 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC(F)(F)CC2)nc2sccn21 ZINC000795272719 629756390 /nfs/dbraw/zinc/75/63/90/629756390.db2.gz PIKYCTWLUCSOIK-UHFFFAOYSA-N 0 0 288.279 2.540 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000835481592 629770190 /nfs/dbraw/zinc/77/01/90/629770190.db2.gz XHJHVHUJOWHLJK-YPMHNXCESA-N 0 0 293.319 2.762 20 5 CFBDRN CC1(C(=O)COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000795408782 629773412 /nfs/dbraw/zinc/77/34/12/629773412.db2.gz SFVJNXFCGAVDSJ-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000835483543 629774590 /nfs/dbraw/zinc/77/45/90/629774590.db2.gz KEPCLLHITMGLNF-TZMCWYRMSA-N 0 0 293.319 2.904 20 5 CFBDRN CCc1ocnc1C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835483102 629775526 /nfs/dbraw/zinc/77/55/26/629775526.db2.gz CWJOVVHUKGJVIA-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=C(OCc1cncc(F)c1)c1csc([N+](=O)[O-])c1 ZINC000795451557 629780478 /nfs/dbraw/zinc/78/04/78/629780478.db2.gz JDJVXRJADPBLMI-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000835491488 629781655 /nfs/dbraw/zinc/78/16/55/629781655.db2.gz FOJNZXGDDCDKEB-UHFFFAOYSA-N 0 0 271.219 2.797 20 5 CFBDRN COC(C)(C)COC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000835500938 629796321 /nfs/dbraw/zinc/79/63/21/629796321.db2.gz BEULWDRHFVKMCF-UHFFFAOYSA-N 0 0 299.348 2.899 20 5 CFBDRN CCc1[nH]nc(C(=O)OC[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000795616813 629812102 /nfs/dbraw/zinc/81/21/02/629812102.db2.gz WLYMNYVELCNTQH-NXEZZACHSA-N 0 0 295.339 2.863 20 5 CFBDRN CCc1[nH]nc(C(=O)OC[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000795616814 629812219 /nfs/dbraw/zinc/81/22/19/629812219.db2.gz WLYMNYVELCNTQH-UWVGGRQHSA-N 0 0 295.339 2.863 20 5 CFBDRN CCSCCOC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000102123438 629815605 /nfs/dbraw/zinc/81/56/05/629815605.db2.gz CYANHSWYBCCDJS-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CCC[C@@H](COC(=O)c1ccc(OC)c([N+](=O)[O-])c1)OC ZINC000835536591 629817538 /nfs/dbraw/zinc/81/75/38/629817538.db2.gz FAXMHACRKQCSMS-NSHDSACASA-N 0 0 297.307 2.575 20 5 CFBDRN CCC[C@@H](COC(=O)c1ccc([N+](=O)[O-])c(C)c1)OC ZINC000835537205 629819650 /nfs/dbraw/zinc/81/96/50/629819650.db2.gz IVHVSBXXMFFFEE-LBPRGKRZSA-N 0 0 281.308 2.875 20 5 CFBDRN CCC[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1C)OC ZINC000835537902 629819675 /nfs/dbraw/zinc/81/96/75/629819675.db2.gz MCELNGXMHQMDJD-NSHDSACASA-N 0 0 281.308 2.875 20 5 CFBDRN C/C=C/COC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000795712931 629825458 /nfs/dbraw/zinc/82/54/58/629825458.db2.gz RSMQUZNCXGMXAE-HWKANZROSA-N 0 0 265.265 2.726 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccccc2)N(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000795745444 629829189 /nfs/dbraw/zinc/82/91/89/629829189.db2.gz PGROMFWSOJGEGX-DOMZBBRYSA-N 0 0 286.335 2.832 20 5 CFBDRN CC[C@@H](OC(=O)c1nn(CC)cc1[N+](=O)[O-])[C@@H](C)CC ZINC000835593315 629862964 /nfs/dbraw/zinc/86/29/64/629862964.db2.gz OMNOPACACCXKGY-GXSJLCMTSA-N 0 0 283.328 2.793 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)OCC2CCCC2)c1[N+](=O)[O-] ZINC000796254383 629896229 /nfs/dbraw/zinc/89/62/29/629896229.db2.gz MZJIQDMVRVHVBF-UHFFFAOYSA-N 0 0 281.312 2.788 20 5 CFBDRN COCCCCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796439489 629917665 /nfs/dbraw/zinc/91/76/65/629917665.db2.gz KKLIFSBHCXSPLF-UHFFFAOYSA-N 0 0 295.335 2.842 20 5 CFBDRN CSC[C@H](C)COC(=O)c1ccccc1[N+](=O)[O-] ZINC000835669888 629934383 /nfs/dbraw/zinc/93/43/83/629934383.db2.gz TWHUMAWIXQJXFG-SECBINFHSA-N 0 0 269.322 2.751 20 5 CFBDRN CSC[C@H](C)COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000835673156 629935496 /nfs/dbraw/zinc/93/54/96/629935496.db2.gz QJAHRKWSFKXNND-SECBINFHSA-N 0 0 269.322 2.751 20 5 CFBDRN CS[C@@H](C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000835679176 629943657 /nfs/dbraw/zinc/94/36/57/629943657.db2.gz PMRZXRVBWNDKII-ZETCQYMHSA-N 0 0 273.285 2.642 20 5 CFBDRN COc1cccc(C(=O)OC[C@@H](C)SC)c1[N+](=O)[O-] ZINC000835690727 629960299 /nfs/dbraw/zinc/96/02/99/629960299.db2.gz WXLFNKRTJMBZEM-MRVPVSSYSA-N 0 0 285.321 2.512 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000796790370 629967810 /nfs/dbraw/zinc/96/78/10/629967810.db2.gz WWMIWEITLMPSGV-NOZJJQNGSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1cnc(COC(=O)CCC(F)F)c(C)c1[N+](=O)[O-] ZINC000796887039 629985381 /nfs/dbraw/zinc/98/53/81/629985381.db2.gz NKBIIIMDQNXNRG-UHFFFAOYSA-N 0 0 288.250 2.695 20 5 CFBDRN COc1c(C(=O)OCC2(C)CC2)cccc1[N+](=O)[O-] ZINC000796915721 629989422 /nfs/dbraw/zinc/98/94/22/629989422.db2.gz GZSUQBMGRUMGEP-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CC(C)(C(=O)OC1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000797015218 630004380 /nfs/dbraw/zinc/00/43/80/630004380.db2.gz VKRYFSSJTDOLIN-UHFFFAOYSA-N 0 0 293.319 2.595 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000797153682 630029153 /nfs/dbraw/zinc/02/91/53/630029153.db2.gz MVYDSDHPXSIXQJ-CHWSQXEVSA-N 0 0 279.292 2.709 20 5 CFBDRN COc1c(C(=O)O[C@H](C)[C@H](C)SC)cccc1[N+](=O)[O-] ZINC000797188204 630034720 /nfs/dbraw/zinc/03/47/20/630034720.db2.gz CKGGQEYRGMCPFA-BDAKNGLRSA-N 0 0 299.348 2.900 20 5 CFBDRN CS[C@H](C)[C@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000797192854 630035830 /nfs/dbraw/zinc/03/58/30/630035830.db2.gz IFWCVIVJNDUEJW-VHSXEESVSA-N 0 0 299.348 2.657 20 5 CFBDRN CS[C@H](C)[C@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000797210324 630039967 /nfs/dbraw/zinc/03/99/67/630039967.db2.gz YPITUIWECQMVSC-VHSXEESVSA-N 0 0 283.349 2.821 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1ccc([N+](=O)[O-])cc1OC ZINC000797471158 630071081 /nfs/dbraw/zinc/07/10/81/630071081.db2.gz CMTXDENZZRANBH-VNKDHWASSA-N 0 0 277.276 2.779 20 5 CFBDRN COc1cccc(C(=O)O[C@H](C)CCSC)c1[N+](=O)[O-] ZINC000835812271 630079620 /nfs/dbraw/zinc/07/96/20/630079620.db2.gz HNWJVFGLWCLDKV-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN COc1ccc(C(=O)O[C@@H](C)CCSC)cc1[N+](=O)[O-] ZINC000835817520 630082682 /nfs/dbraw/zinc/08/26/82/630082682.db2.gz SAWGQDQZHMEVTD-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN Cc1nn(CN2CCC=C(C)C2)c2ccc([N+](=O)[O-])cc12 ZINC000797823476 630108328 /nfs/dbraw/zinc/10/83/28/630108328.db2.gz ZLWNLDDNWQOVNT-UHFFFAOYSA-N 0 0 286.335 2.862 20 5 CFBDRN C/C(=C/Cl)CSc1c([N+](=O)[O-])c(C)nn1C ZINC000797829690 630109710 /nfs/dbraw/zinc/10/97/10/630109710.db2.gz OSYIWBZOMYUMDG-XQRVVYSFSA-N 0 0 261.734 2.871 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000797905187 630121797 /nfs/dbraw/zinc/12/17/97/630121797.db2.gz IQWHKHIRGKELFT-UHFFFAOYSA-N 0 0 260.249 2.588 20 5 CFBDRN CC[C@@](C)(COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000835957176 630177163 /nfs/dbraw/zinc/17/71/63/630177163.db2.gz MHTOURVANUAEIC-VSGCLNPGSA-N 0 0 293.319 2.966 20 5 CFBDRN CCCNC(=S)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000798373843 630182775 /nfs/dbraw/zinc/18/27/75/630182775.db2.gz RRMBHTLHXYAARB-UHFFFAOYSA-N 0 0 283.353 2.690 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cc([N+](=O)[O-])ccc1C)OC ZINC000835962503 630185465 /nfs/dbraw/zinc/18/54/65/630185465.db2.gz URVRFWOABIJKSW-AWEZNQCLSA-N 0 0 281.308 2.875 20 5 CFBDRN COc1cc(N2CC[C@@H](c3ccccn3)C2)ccc1[N+](=O)[O-] ZINC000798416921 630189426 /nfs/dbraw/zinc/18/94/26/630189426.db2.gz RXAYYVKPZWGADM-GFCCVEGCSA-N 0 0 299.330 2.992 20 5 CFBDRN CC[C@](C)(COC(=O)c1ccc([N+](=O)[O-])cc1OC)OC ZINC000835964436 630191367 /nfs/dbraw/zinc/19/13/67/630191367.db2.gz YGGCZOALTYOYLQ-CQSZACIVSA-N 0 0 297.307 2.575 20 5 CFBDRN C[C@@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(=O)C1CC1 ZINC000798631715 630218489 /nfs/dbraw/zinc/21/84/89/630218489.db2.gz XRSNHRIFGCYWLT-SSDOTTSWSA-N 0 0 297.694 2.773 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1cc(C2CC2)no1 ZINC000799294481 630292761 /nfs/dbraw/zinc/29/27/61/630292761.db2.gz YBHPDSYYZRSLDT-UHFFFAOYSA-N 0 0 274.232 2.679 20 5 CFBDRN COCC1(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CC1 ZINC000799666618 630330811 /nfs/dbraw/zinc/33/08/11/630330811.db2.gz OHNZKOVKJFIQQA-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000799919714 630353883 /nfs/dbraw/zinc/35/38/83/630353883.db2.gz UDWZFECOECHYGW-IGJMFERPSA-N 0 0 297.282 2.591 20 5 CFBDRN CO[C@@H]1Cc2ccc(Nc3ncc([N+](=O)[O-])s3)cc2C1 ZINC000799952142 630355687 /nfs/dbraw/zinc/35/56/87/630355687.db2.gz NRABVGSBPONFST-LLVKDONJSA-N 0 0 291.332 2.909 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1O[C@@H](C)C[C@H]1C ZINC000799977494 630357713 /nfs/dbraw/zinc/35/77/13/630357713.db2.gz XQZDQDNTQJMRJZ-GBIKHYSHSA-N 0 0 279.292 2.622 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2csnn2)ccc1[N+](=O)[O-] ZINC000836100290 630359839 /nfs/dbraw/zinc/35/98/39/630359839.db2.gz HPOIZIPKQYWYHU-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000800297699 630368167 /nfs/dbraw/zinc/36/81/67/630368167.db2.gz GCALOLGPLAWANO-PKFCDNJMSA-N 0 0 293.319 2.760 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCC1CC(F)(F)C1 ZINC000836104271 630386630 /nfs/dbraw/zinc/38/66/30/630386630.db2.gz YCNJHAPYWPMWFB-UHFFFAOYSA-N 0 0 288.250 2.526 20 5 CFBDRN O=C1O[C@@H](CSc2cccc([N+](=O)[O-])c2)CC12CC2 ZINC000800839201 630409967 /nfs/dbraw/zinc/40/99/67/630409967.db2.gz ZEPBLKMSNQFZNV-SNVBAGLBSA-N 0 0 279.317 2.783 20 5 CFBDRN O=C1OCCN1CCCSc1cccc([N+](=O)[O-])c1 ZINC000800837812 630410083 /nfs/dbraw/zinc/41/00/83/630410083.db2.gz AMIRTJBANRGBJK-UHFFFAOYSA-N 0 0 282.321 2.529 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2Cc3ccccc3O2)c1 ZINC000800846958 630410645 /nfs/dbraw/zinc/41/06/45/630410645.db2.gz CPTKQPZQIKGSQI-LBPRGKRZSA-N 0 0 285.303 2.593 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@H]2c2cccnc2)c1 ZINC000800845696 630410684 /nfs/dbraw/zinc/41/06/84/630410684.db2.gz GSJZUGRJBATVQG-ZDUSSCGKSA-N 0 0 284.319 2.914 20 5 CFBDRN Cc1cn(Cc2ccc(Cl)cc2[N+](=O)[O-])nc1[N+](=O)[O-] ZINC000800870944 630413373 /nfs/dbraw/zinc/41/33/73/630413373.db2.gz YKYYBWPGSKBQGK-UHFFFAOYSA-N 0 0 296.670 2.710 20 5 CFBDRN Cc1ccc(C(=O)Nc2nccn2C2CC2)cc1[N+](=O)[O-] ZINC000839931643 630430538 /nfs/dbraw/zinc/43/05/38/630430538.db2.gz DGJPBDPFWDRZAT-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN CCCSCC(=O)OCc1ccc([N+](=O)[O-])cc1OC ZINC000801324497 630441626 /nfs/dbraw/zinc/44/16/26/630441626.db2.gz YALNGHSXFYCBCC-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCC1CC(C)(C)C1 ZINC000802293131 630524647 /nfs/dbraw/zinc/52/46/47/630524647.db2.gz SPBKXTSHDCHHIJ-UHFFFAOYSA-N 0 0 278.308 2.891 20 5 CFBDRN CC1(C)CC(COC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000802293865 630524849 /nfs/dbraw/zinc/52/48/49/630524849.db2.gz WWZAUIFGWUQLDY-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN Cc1c(CC(=O)O[C@H](C)C(F)F)cccc1[N+](=O)[O-] ZINC000802797659 630548870 /nfs/dbraw/zinc/54/88/70/630548870.db2.gz YGOAGAQZXJRPET-MRVPVSSYSA-N 0 0 273.235 2.643 20 5 CFBDRN Cc1nnc(CN(C)Cc2cc(F)ccc2[N+](=O)[O-])s1 ZINC000852746545 634820929 /nfs/dbraw/zinc/82/09/29/634820929.db2.gz NUYUKFYVGYPWSL-UHFFFAOYSA-N 0 0 296.327 2.526 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803247019 630594724 /nfs/dbraw/zinc/59/47/24/630594724.db2.gz ALMIXVWDVPRCCM-SSDOTTSWSA-N 0 0 273.235 2.962 20 5 CFBDRN O=C(OCCCCF)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000803265309 630596207 /nfs/dbraw/zinc/59/62/07/630596207.db2.gz MFRZSFVBXOGNMR-UHFFFAOYSA-N 0 0 280.255 2.983 20 5 CFBDRN COCCCCOC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000803382574 630609886 /nfs/dbraw/zinc/60/98/86/630609886.db2.gz RCTBATBFLUVOSE-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1C[C@@H]1C1CC1 ZINC000803386037 630610351 /nfs/dbraw/zinc/61/03/51/630610351.db2.gz IMLYGIMTCISKKK-NXEZZACHSA-N 0 0 297.257 2.962 20 5 CFBDRN CSc1cccc(C(=O)OCCCCCO)c1[N+](=O)[O-] ZINC000803446358 630618346 /nfs/dbraw/zinc/61/83/46/630618346.db2.gz IKXQNHIPKNUOGQ-UHFFFAOYSA-N 0 0 299.348 2.636 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCC(=O)CC2CC2)c1F ZINC000803462485 630620520 /nfs/dbraw/zinc/62/05/20/630620520.db2.gz SPNQDVDZIQQIGB-UHFFFAOYSA-N 0 0 295.266 2.568 20 5 CFBDRN O=C(OCCF)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000803481537 630622304 /nfs/dbraw/zinc/62/23/04/630622304.db2.gz VNKUDTDPEGTKSO-UHFFFAOYSA-N 0 0 285.274 2.808 20 5 CFBDRN Cc1cc(OCC(=O)OCCCCF)ccc1[N+](=O)[O-] ZINC000803517460 630625638 /nfs/dbraw/zinc/62/56/38/630625638.db2.gz ZNAZWLRJUVZPRY-UHFFFAOYSA-N 0 0 285.271 2.575 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]2C[C@@H]21 ZINC000804290494 630667546 /nfs/dbraw/zinc/66/75/46/630667546.db2.gz HSVGDEIANCWGDT-MFKMUULPSA-N 0 0 292.360 2.698 20 5 CFBDRN COc1ccc(CNc2ccc(N)cc2[N+](=O)[O-])cc1F ZINC000804344946 630674396 /nfs/dbraw/zinc/67/43/96/630674396.db2.gz HDXWSQMDRXFKMI-UHFFFAOYSA-N 0 0 291.282 2.937 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC(n2cccn2)CC1 ZINC000804388607 630679215 /nfs/dbraw/zinc/67/92/15/630679215.db2.gz XBPJPHSMFMYRPV-UHFFFAOYSA-N 0 0 290.298 2.772 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804503671 630688676 /nfs/dbraw/zinc/68/86/76/630688676.db2.gz KSDLLQOJSUGHKK-SECBINFHSA-N 0 0 297.311 2.712 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804503672 630688791 /nfs/dbraw/zinc/68/87/91/630688791.db2.gz KSDLLQOJSUGHKK-VIFPVBQESA-N 0 0 297.311 2.712 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1(C)C ZINC000804539314 630691392 /nfs/dbraw/zinc/69/13/92/630691392.db2.gz ZLXVBKZFRVDYMQ-GHMZBOCLSA-N 0 0 295.295 2.728 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCc1ccc(F)cn1 ZINC000804556539 630692795 /nfs/dbraw/zinc/69/27/95/630692795.db2.gz YZDAFXQUTLKQNX-UHFFFAOYSA-N 0 0 292.226 2.649 20 5 CFBDRN COCCC1(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000804563170 630693271 /nfs/dbraw/zinc/69/32/71/630693271.db2.gz YCQRPXUZUIQRBK-UHFFFAOYSA-N 0 0 295.295 2.732 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000836129090 630747850 /nfs/dbraw/zinc/74/78/50/630747850.db2.gz UOXSEVZGPPCOOJ-BETUJISGSA-N 0 0 279.292 2.708 20 5 CFBDRN CN(Cc1cccc(O)c1)c1ccc(N)cc1[N+](=O)[O-] ZINC000804577552 630757196 /nfs/dbraw/zinc/75/71/96/630757196.db2.gz DOVLHILCVXETAC-UHFFFAOYSA-N 0 0 273.292 2.519 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000804584499 630759866 /nfs/dbraw/zinc/75/98/66/630759866.db2.gz GIVJRMPZIRSVIQ-UHFFFAOYSA-N 0 0 280.324 2.953 20 5 CFBDRN Cc1cccn2cc(CNc3ccc(N)cc3[N+](=O)[O-])nc12 ZINC000804587493 630761953 /nfs/dbraw/zinc/76/19/53/630761953.db2.gz QOSPQGVAGUASPJ-UHFFFAOYSA-N 0 0 297.318 2.745 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ccc(N)cc2[N+](=O)[O-])O1 ZINC000804625493 630766903 /nfs/dbraw/zinc/76/69/03/630766903.db2.gz SGRRDWWFUCTCTD-JTQLQIEISA-N 0 0 265.313 2.547 20 5 CFBDRN Cc1c[nH]nc1NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000806253156 630783155 /nfs/dbraw/zinc/78/31/55/630783155.db2.gz PPACOZFCYSJFHA-UHFFFAOYSA-N 0 0 295.686 2.924 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CC3(CCC3)[C@H]2c2ccccc2)c1 ZINC000840075706 630834353 /nfs/dbraw/zinc/83/43/53/630834353.db2.gz BQIUKERRTGTJOJ-OAHLLOKOSA-N 0 0 298.346 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2C)cc1Cl ZINC000836600169 630857133 /nfs/dbraw/zinc/85/71/33/630857133.db2.gz RUXHGYCOZDVDAU-GMSGAONNSA-N 0 0 268.700 2.695 20 5 CFBDRN Cc1c(CNC(=O)N2CCC[C@H]3C[C@H]32)cccc1[N+](=O)[O-] ZINC000807171572 630864466 /nfs/dbraw/zinc/86/44/66/630864466.db2.gz VBXOVGGLUVLVGB-SMDDNHRTSA-N 0 0 289.335 2.597 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000836791763 630883624 /nfs/dbraw/zinc/88/36/24/630883624.db2.gz YFSWLDGNJGQFDJ-WDEREUQCSA-N 0 0 291.351 2.733 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000836829326 630894336 /nfs/dbraw/zinc/89/43/36/630894336.db2.gz XICWTQYPFZTXIF-FMQXYTONSA-N 0 0 274.320 2.770 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCNc1ccccc1 ZINC000807978989 630929118 /nfs/dbraw/zinc/92/91/18/630929118.db2.gz KNDYPHBWEUYCID-UHFFFAOYSA-N 0 0 289.339 2.644 20 5 CFBDRN NC(=NOCCc1ccc([N+](=O)[O-])cc1)c1ccsc1 ZINC000808245396 630971305 /nfs/dbraw/zinc/97/13/05/630971305.db2.gz BOIWZJSHHVGEAV-UHFFFAOYSA-N 0 0 291.332 2.746 20 5 CFBDRN CC(C)c1cnc(COc2ccc(N)c([N+](=O)[O-])c2)o1 ZINC000808255734 630972186 /nfs/dbraw/zinc/97/21/86/630972186.db2.gz YYFPTAWGQSMCMR-UHFFFAOYSA-N 0 0 277.280 2.867 20 5 CFBDRN Cc1cnc(COc2ccc(N)c([N+](=O)[O-])c2)s1 ZINC000808255756 630972402 /nfs/dbraw/zinc/97/24/02/630972402.db2.gz ACFPHBIBMDLYSD-UHFFFAOYSA-N 0 0 265.294 2.521 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000855364692 635519445 /nfs/dbraw/zinc/51/94/45/635519445.db2.gz BSDRESGBCQYSEF-GXFFZTMASA-N 0 0 294.351 2.569 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000855364694 635519871 /nfs/dbraw/zinc/51/98/71/635519871.db2.gz BSDRESGBCQYSEF-ZWNOBZJWSA-N 0 0 294.351 2.569 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000837230420 630982818 /nfs/dbraw/zinc/98/28/18/630982818.db2.gz MRKUWQYSCUAASQ-MRVPVSSYSA-N 0 0 297.330 2.871 20 5 CFBDRN CCC1CN(C(=O)/C=C(/C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000837259016 630988519 /nfs/dbraw/zinc/98/85/19/630988519.db2.gz QCQMXVBDZSBELT-FLIBITNWSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(Nc1ccc2c(c1)C(=O)OC2)c1cccc([N+](=O)[O-])c1 ZINC000808462679 630997563 /nfs/dbraw/zinc/99/75/63/630997563.db2.gz XOUYQPKOPCOJLU-UHFFFAOYSA-N 0 0 298.254 2.518 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CC3(CCC3)[C@@H]2c2ccco2)c1 ZINC000840140059 631000380 /nfs/dbraw/zinc/00/03/80/631000380.db2.gz PPGNALGVWYCEHF-ZDUSSCGKSA-N 0 0 288.307 2.569 20 5 CFBDRN COC1(COC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCC1 ZINC000837334971 631003025 /nfs/dbraw/zinc/00/30/25/631003025.db2.gz DWKFYBYJGIREGF-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN C/C=C/COC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000808561179 631014246 /nfs/dbraw/zinc/01/42/46/631014246.db2.gz OAKOZGLQKBVRJA-NSCUHMNNSA-N 0 0 271.219 2.535 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ocnc1C1CC1 ZINC000808682534 631028104 /nfs/dbraw/zinc/02/81/04/631028104.db2.gz SJTFDYFPYLYKIH-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN CCCCCCOCC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000808794818 631049134 /nfs/dbraw/zinc/04/91/34/631049134.db2.gz NNTHYNRYTBDUPF-UHFFFAOYSA-N 0 0 295.339 2.550 20 5 CFBDRN COc1cc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)ccn1 ZINC000808912330 631059544 /nfs/dbraw/zinc/05/95/44/631059544.db2.gz WQJWNWBICGZWSY-DAXSKMNVSA-N 0 0 299.286 2.650 20 5 CFBDRN CC(C)(C)C[C@H]1CCN(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000840150964 631060096 /nfs/dbraw/zinc/06/00/96/631060096.db2.gz ZVWOLMVGTMFHQZ-LLVKDONJSA-N 0 0 266.345 2.507 20 5 CFBDRN CCCN(C(=O)O[C@@H](C)COC)c1cccc([N+](=O)[O-])c1 ZINC000867231796 631064293 /nfs/dbraw/zinc/06/42/93/631064293.db2.gz YZWHLDCNCXBVOY-NSHDSACASA-N 0 0 296.323 2.983 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000809116795 631085771 /nfs/dbraw/zinc/08/57/71/631085771.db2.gz ZHOFFPYOZHXOQY-TXEJJXNPSA-N 0 0 279.292 2.628 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC(C(F)(F)F)C2)c(F)c1 ZINC000809339038 631107657 /nfs/dbraw/zinc/10/76/57/631107657.db2.gz QVKFQVDUJKPCBK-UHFFFAOYSA-N 0 0 282.168 2.872 20 5 CFBDRN CC(=O)N1CC[C@@H](CNc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000809339593 631108172 /nfs/dbraw/zinc/10/81/72/631108172.db2.gz ADVFLMZYONYSFS-JTQLQIEISA-N 0 0 297.742 2.529 20 5 CFBDRN CCC[C@@H]1CCC[C@@H]1C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000837832982 631139688 /nfs/dbraw/zinc/13/96/88/631139688.db2.gz TZKFBFHAVFMBAF-NEPJUHHUSA-N 0 0 295.339 2.551 20 5 CFBDRN CC(C)(CCC(=O)OCCOc1cccc(F)c1)[N+](=O)[O-] ZINC000837923323 631151477 /nfs/dbraw/zinc/15/14/77/631151477.db2.gz LEEHTYOPGKLYJY-UHFFFAOYSA-N 0 0 299.298 2.583 20 5 CFBDRN CC(C)(CCC(=O)OCc1cc(F)cc(F)c1)[N+](=O)[O-] ZINC000837924719 631151545 /nfs/dbraw/zinc/15/15/45/631151545.db2.gz HFQUVUBEOOWNDA-UHFFFAOYSA-N 0 0 287.262 2.844 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccc(F)cc1F)[N+](=O)[O-] ZINC000837925668 631151682 /nfs/dbraw/zinc/15/16/82/631151682.db2.gz RWATUVUJEAGTRM-UHFFFAOYSA-N 0 0 287.262 2.844 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccc(F)c(F)c1)[N+](=O)[O-] ZINC000837923969 631151707 /nfs/dbraw/zinc/15/17/07/631151707.db2.gz PEWOYAWLNIMGFM-UHFFFAOYSA-N 0 0 287.262 2.844 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000837972002 631155280 /nfs/dbraw/zinc/15/52/80/631155280.db2.gz ARQWYOBKJMBIFD-VXNVDRBHSA-N 0 0 285.246 2.962 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000837975830 631156137 /nfs/dbraw/zinc/15/61/37/631156137.db2.gz FCQIISLFRVGVHU-ZWNOBZJWSA-N 0 0 279.292 2.563 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000840515525 631161233 /nfs/dbraw/zinc/16/12/33/631161233.db2.gz YOEJJFMNKAYKQC-WCBMZHEXSA-N 0 0 298.339 2.666 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CS[C@H](C)C2)c1[N+](=O)[O-] ZINC000840515522 631161237 /nfs/dbraw/zinc/16/12/37/631161237.db2.gz YOEJJFMNKAYKQC-PSASIEDQSA-N 0 0 298.339 2.666 20 5 CFBDRN CC1(NC(=S)NCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000840723631 631176694 /nfs/dbraw/zinc/17/66/94/631176694.db2.gz YTTXFNZTAPYFGE-UHFFFAOYSA-N 0 0 279.365 2.502 20 5 CFBDRN CN(Cc1cnccn1)c1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000809354297 631217824 /nfs/dbraw/zinc/21/78/24/631217824.db2.gz LFTKDBYTTCYTDN-UHFFFAOYSA-N 0 0 294.261 2.959 20 5 CFBDRN COc1ccccc1OC(=O)c1csc([N+](=O)[O-])c1 ZINC000042565742 649999684 /nfs/dbraw/zinc/99/96/84/649999684.db2.gz MIBVWGNHTAIDJW-UHFFFAOYSA-N 0 0 279.273 2.884 20 5 CFBDRN Cc1noc([C@H](C)OC(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000042650938 649999992 /nfs/dbraw/zinc/99/99/92/649999992.db2.gz CQXXPPZQQDAAPO-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000809632115 631251883 /nfs/dbraw/zinc/25/18/83/631251883.db2.gz MECMVBCGYRVXSF-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN O=C(NC[C@H]1CCCCO1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000042821433 650001327 /nfs/dbraw/zinc/00/13/27/650001327.db2.gz OYOOMFQNTFCBAX-SNVBAGLBSA-N 0 0 298.726 2.547 20 5 CFBDRN COCC(C)(C)CC(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000841010623 631305321 /nfs/dbraw/zinc/30/53/21/631305321.db2.gz HITJYNDZUKEMNN-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN CC[C@H](O)c1nccn1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000810002965 631313289 /nfs/dbraw/zinc/31/32/89/631313289.db2.gz BWDSEWLAAUNVDV-LBPRGKRZSA-N 0 0 295.726 2.936 20 5 CFBDRN Cc1c(Cl)cc(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc1Cl ZINC000841080795 631333134 /nfs/dbraw/zinc/33/31/34/631333134.db2.gz VZHZPNSYYKPGQM-GMSGAONNSA-N 0 0 289.118 2.906 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1(F)CCCCC1 ZINC000810156677 631337200 /nfs/dbraw/zinc/33/72/00/631337200.db2.gz PISBARZAULNRMI-UHFFFAOYSA-N 0 0 280.299 2.883 20 5 CFBDRN Cc1cc(NC(=O)NOC/C=C\Cl)ccc1[N+](=O)[O-] ZINC000810202367 631343043 /nfs/dbraw/zinc/34/30/43/631343043.db2.gz YKHCENQPNJEJPC-DJWKRKHSSA-N 0 0 285.687 2.709 20 5 CFBDRN O=C(/C=C/c1cncc(F)c1)Oc1cccc([N+](=O)[O-])c1 ZINC000104216645 631362091 /nfs/dbraw/zinc/36/20/91/631362091.db2.gz NEDMUJYZYIKXAE-SNAWJCMRSA-N 0 0 288.234 2.748 20 5 CFBDRN CC(C)N(C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])[C@@H](C)c1ccccc1 ZINC000841241957 631367074 /nfs/dbraw/zinc/36/70/74/631367074.db2.gz FJSZMNVCNPXNOK-IACUBPJLSA-N 0 0 276.336 2.650 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000810531292 631383057 /nfs/dbraw/zinc/38/30/57/631383057.db2.gz GTSFJSYGWOIMJY-SECBINFHSA-N 0 0 297.332 2.652 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2csc(Cl)n2)nc1C1CC1 ZINC000840204053 631390121 /nfs/dbraw/zinc/39/01/21/631390121.db2.gz NGGSPAKHLUGROM-UHFFFAOYSA-N 0 0 284.728 2.827 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2F)C1=O ZINC000840206898 631433411 /nfs/dbraw/zinc/43/34/11/631433411.db2.gz AJNFVXYLABQMSP-NSHDSACASA-N 0 0 295.266 2.648 20 5 CFBDRN CCC[C@](C)(O)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000841736685 631462345 /nfs/dbraw/zinc/46/23/45/631462345.db2.gz GXTBAWSQCBNLIJ-ZDUSSCGKSA-N 0 0 277.280 2.652 20 5 CFBDRN Cc1ncccc1COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000810888012 631468977 /nfs/dbraw/zinc/46/89/77/631468977.db2.gz OEEWOPZBKMJSPC-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2F)C1=O ZINC000840216843 631478901 /nfs/dbraw/zinc/47/89/01/631478901.db2.gz UZQSHSVVNCNLOR-NSHDSACASA-N 0 0 295.266 2.648 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000811087379 631513167 /nfs/dbraw/zinc/51/31/67/631513167.db2.gz XUZORVPUSODGBR-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN COCCCCN(C)c1c(F)cc([N+](=O)[O-])cc1F ZINC000842050694 631513369 /nfs/dbraw/zinc/51/33/69/631513369.db2.gz GHDOHXUWCXQWGY-UHFFFAOYSA-N 0 0 274.267 2.736 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1)CC(C)C ZINC000842062660 631520078 /nfs/dbraw/zinc/52/00/78/631520078.db2.gz JSSQQBHVXGGZEC-CYBMUJFWSA-N 0 0 281.308 2.813 20 5 CFBDRN CO[C@@H](COC(=O)c1csc([N+](=O)[O-])c1)CC(C)C ZINC000842066023 631521871 /nfs/dbraw/zinc/52/18/71/631521871.db2.gz JFEKHHXGQQREKH-SNVBAGLBSA-N 0 0 287.337 2.874 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(F)ccc1[N+](=O)[O-])CC(C)C ZINC000842072895 631525170 /nfs/dbraw/zinc/52/51/70/631525170.db2.gz PVEDWDFGFBBGGH-LLVKDONJSA-N 0 0 299.298 2.952 20 5 CFBDRN COC1(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCC1 ZINC000811176248 631530191 /nfs/dbraw/zinc/53/01/91/631530191.db2.gz AFAVDFABTKPFGJ-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN CC[C@H](COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000842091684 631536230 /nfs/dbraw/zinc/53/62/30/631536230.db2.gz GAWAQENFVGOBPQ-GXFFZTMASA-N 0 0 281.308 2.667 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000842113345 631545911 /nfs/dbraw/zinc/54/59/11/631545911.db2.gz HICDGZAPGCWLHV-JTQLQIEISA-N 0 0 287.337 2.761 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@@H]1CCCSC1 ZINC000811407779 631560719 /nfs/dbraw/zinc/56/07/19/631560719.db2.gz CCEZIVIQOPSOFS-SECBINFHSA-N 0 0 299.323 2.920 20 5 CFBDRN CCn1nc(C)c(CSc2ccc([N+](=O)[O-])cc2)n1 ZINC000842189606 631564760 /nfs/dbraw/zinc/56/47/60/631564760.db2.gz GXVMXKOLFPLPLJ-UHFFFAOYSA-N 0 0 278.337 2.807 20 5 CFBDRN CCC[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000842299252 631582494 /nfs/dbraw/zinc/58/24/94/631582494.db2.gz KETDDCOYIXUTKA-JHJVBQTASA-N 0 0 263.293 2.736 20 5 CFBDRN CCCOCCOC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842303574 631583516 /nfs/dbraw/zinc/58/35/16/631583516.db2.gz MYJNFXKEDYOFGR-UONOGXRCSA-N 0 0 293.319 2.668 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1cc2cc(Cl)ccc2[nH]1 ZINC000842367510 631608614 /nfs/dbraw/zinc/60/86/14/631608614.db2.gz KIVMCHCAOYLOBS-QMMMGPOBSA-N 0 0 296.710 2.572 20 5 CFBDRN O=C(OC[C@@H]1CC1(F)F)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842369401 631608867 /nfs/dbraw/zinc/60/88/67/631608867.db2.gz ICXSPVJKPWIZMX-ZMLRMANQSA-N 0 0 297.257 2.897 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=S)NC1(C)CC1 ZINC000811716409 631611236 /nfs/dbraw/zinc/61/12/36/631611236.db2.gz QJGPQLKIBTWHKV-UHFFFAOYSA-N 0 0 279.365 2.996 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@H](CCF)C1 ZINC000855824398 635660679 /nfs/dbraw/zinc/66/06/79/635660679.db2.gz AIQLNRFBNGGSOK-SNVBAGLBSA-N 0 0 298.289 2.946 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCC(=O)OCC(C)C ZINC000811788456 631622577 /nfs/dbraw/zinc/62/25/77/631622577.db2.gz JZWQGWMOQXRMMD-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN C[C@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)CC(F)F ZINC000842436186 631627929 /nfs/dbraw/zinc/62/79/29/631627929.db2.gz AMPGLWPNLLYDAW-VIFPVBQESA-N 0 0 287.262 2.972 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CC2(Cl)Cl)c(F)c1 ZINC000840238689 631632477 /nfs/dbraw/zinc/63/24/77/631632477.db2.gz IGCXADJOFOXTFF-RXMQYKEDSA-N 0 0 280.086 2.735 20 5 CFBDRN Cc1ccc([C@@H](C)c2noc([C@@H]3CC3[N+](=O)[O-])n2)cc1 ZINC000842491220 631644448 /nfs/dbraw/zinc/64/44/48/631644448.db2.gz IJBQZQUKNDRACA-YUSALJHKSA-N 0 0 273.292 2.662 20 5 CFBDRN CCC[C@](C)(O)c1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000842506394 631648720 /nfs/dbraw/zinc/64/87/20/631648720.db2.gz FJYMKMOBQVTULB-AWEZNQCLSA-N 0 0 291.307 2.961 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC[C@H]1CC1(Cl)Cl ZINC000842573595 631667101 /nfs/dbraw/zinc/66/71/01/631667101.db2.gz UMJYHWVEFRZHQA-QMMMGPOBSA-N 0 0 291.134 2.649 20 5 CFBDRN Cc1cc(OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])ccc1C(C)C ZINC000840246855 631669347 /nfs/dbraw/zinc/66/93/47/631669347.db2.gz SQOJFHLYTGIMAT-CHWSQXEVSA-N 0 0 263.293 2.689 20 5 CFBDRN CCCCC(N)=NOCc1ccc([N+](=O)[O-])c(F)c1 ZINC000842647971 631691381 /nfs/dbraw/zinc/69/13/81/631691381.db2.gz JEFZBMIFXQUZRL-UHFFFAOYSA-N 0 0 269.276 2.923 20 5 CFBDRN Cc1cc(Cl)ccc1OC(=O)c1c([N+](=O)[O-])cnn1C ZINC000155526545 650023592 /nfs/dbraw/zinc/02/35/92/650023592.db2.gz UJVSNIAYLFLOML-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN CC(C)OCCONc1c(F)cccc1[N+](=O)[O-] ZINC000812489267 631726144 /nfs/dbraw/zinc/72/61/44/631726144.db2.gz WOCJUIAGBHXANA-UHFFFAOYSA-N 0 0 258.249 2.502 20 5 CFBDRN CC(C)=CCC[C@H](C)CC(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000842801777 631744679 /nfs/dbraw/zinc/74/46/79/631744679.db2.gz LQWTVIFFWFSILW-NWDGAFQWSA-N 0 0 257.330 2.967 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000812621921 631746236 /nfs/dbraw/zinc/74/62/36/631746236.db2.gz DFAMTANDUYEGBL-VXGBXAGGSA-N 0 0 295.335 2.599 20 5 CFBDRN CC(C)=CCC[C@H](C)CC(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000842807029 631746218 /nfs/dbraw/zinc/74/62/18/631746218.db2.gz RGLZDCZCCWLGBX-RYUDHWBXSA-N 0 0 257.330 2.967 20 5 CFBDRN CSc1ccc(CCCC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000842807743 631747388 /nfs/dbraw/zinc/74/73/88/631747388.db2.gz ZXELKHKKGIFRNX-LLVKDONJSA-N 0 0 297.376 2.940 20 5 CFBDRN CO[C@@](C)(C(=O)OCc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000812660094 631754335 /nfs/dbraw/zinc/75/43/35/631754335.db2.gz ZQORXKKCYPWMMH-CQSZACIVSA-N 0 0 297.282 2.592 20 5 CFBDRN CC(C)[C@H](F)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812810975 631788594 /nfs/dbraw/zinc/78/85/94/631788594.db2.gz JSRWUYRSMJBZPV-VIFPVBQESA-N 0 0 259.281 2.724 20 5 CFBDRN CSCCCCc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812050 631788601 /nfs/dbraw/zinc/78/86/01/631788601.db2.gz UNHCKKWFQNQWNF-UHFFFAOYSA-N 0 0 287.385 2.743 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CCC(C)(C)O2)n1)[N+](=O)[O-] ZINC000812812460 631788616 /nfs/dbraw/zinc/78/86/16/631788616.db2.gz INXLVXIUIOPRLI-VIFPVBQESA-N 0 0 283.328 2.688 20 5 CFBDRN CC[C@@H]1C[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCO1 ZINC000812812866 631788627 /nfs/dbraw/zinc/78/86/27/631788627.db2.gz VUZPUVNKTYOEEI-GHMZBOCLSA-N 0 0 297.355 2.730 20 5 CFBDRN CCCC1(c2nc(CCC(C)(C)[N+](=O)[O-])no2)CC1 ZINC000812813031 631788804 /nfs/dbraw/zinc/78/88/04/631788804.db2.gz CMRARNTWZJFOTO-UHFFFAOYSA-N 0 0 267.329 2.889 20 5 CFBDRN CC(C)(CCc1noc(CCCC2CC2)n1)[N+](=O)[O-] ZINC000812813486 631789287 /nfs/dbraw/zinc/78/92/87/631789287.db2.gz JSTPNOCAKCDRSL-UHFFFAOYSA-N 0 0 267.329 2.790 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCCC3)C2)c(F)c1 ZINC000840269566 631790965 /nfs/dbraw/zinc/79/09/65/631790965.db2.gz KHOBNDLCRQCJMD-UHFFFAOYSA-N 0 0 265.288 2.899 20 5 CFBDRN O=C([O-])[C@@H]1CC[C@H]([NH2+]Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000843038308 631809717 /nfs/dbraw/zinc/80/97/17/631809717.db2.gz GKXPIJWXUUVFAX-SCZZXKLOSA-N 0 0 298.726 2.591 20 5 CFBDRN CCOC(=O)[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000840274564 631814224 /nfs/dbraw/zinc/81/42/24/631814224.db2.gz PNFFJOBZGZQZJL-SNVBAGLBSA-N 0 0 299.302 2.519 20 5 CFBDRN C[C@H](COC(=O)c1csc(-c2ccccc2)n1)[N+](=O)[O-] ZINC000813101862 631838340 /nfs/dbraw/zinc/83/83/40/631838340.db2.gz KGQLJJDOGBTLHD-SECBINFHSA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@H](COC(=O)[C@H](C)CSCc1ccccc1)[N+](=O)[O-] ZINC000813102611 631838630 /nfs/dbraw/zinc/83/86/30/631838630.db2.gz SZDHUWNPZHKZFS-VXGBXAGGSA-N 0 0 297.376 2.764 20 5 CFBDRN C[C@H](CSCc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813102608 631838673 /nfs/dbraw/zinc/83/86/73/631838673.db2.gz SZDHUWNPZHKZFS-NEPJUHHUSA-N 0 0 297.376 2.764 20 5 CFBDRN C[C@H](Cc1ccccc1Cl)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813102393 631838767 /nfs/dbraw/zinc/83/87/67/631838767.db2.gz QWQFHXRGVVKWIT-NXEZZACHSA-N 0 0 285.727 2.727 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H](C)[N+](=O)[O-])c(Cl)cc1F ZINC000813105200 631840108 /nfs/dbraw/zinc/84/01/08/631840108.db2.gz KLAGPVYJBPBXLJ-SSDOTTSWSA-N 0 0 275.663 2.610 20 5 CFBDRN C[C@@H](COC(=O)/C=C/c1nc2ccccc2s1)[N+](=O)[O-] ZINC000813104373 631840497 /nfs/dbraw/zinc/84/04/97/631840497.db2.gz ZICDUZZASORNQO-UCUJLANTSA-N 0 0 292.316 2.518 20 5 CFBDRN Cc1c(C(=O)OC[C@H](C)[N+](=O)[O-])sc2nccc(C)c12 ZINC000813107471 631840897 /nfs/dbraw/zinc/84/08/97/631840897.db2.gz OEABBDJMSFWUIB-QMMMGPOBSA-N 0 0 294.332 2.735 20 5 CFBDRN CC[C@H](CC(=O)OC[C@@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813106267 631841389 /nfs/dbraw/zinc/84/13/89/631841389.db2.gz BROXMODULABGMQ-VXGBXAGGSA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@H](COC(=O)Cc1ccc2ccccc2c1)[N+](=O)[O-] ZINC000813106491 631841565 /nfs/dbraw/zinc/84/15/65/631841565.db2.gz DGYPDZVSPDLZPE-LLVKDONJSA-N 0 0 273.288 2.591 20 5 CFBDRN CCC[C@@H](C(=O)OC[C@@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813107066 631841733 /nfs/dbraw/zinc/84/17/33/631841733.db2.gz LNKILTNSIVCEPC-DGCLKSJQSA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCC[C@H]2CCCC[C@@H]21)[N+](=O)[O-] ZINC000813108906 631842590 /nfs/dbraw/zinc/84/25/90/631842590.db2.gz BVTOOQYTZZWKQI-FVCCEPFGSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@H](COC(=O)c1[nH]c2ccccc2c1Cl)[N+](=O)[O-] ZINC000813109031 631843297 /nfs/dbraw/zinc/84/32/97/631843297.db2.gz DNOPDRGUPSKLJE-SSDOTTSWSA-N 0 0 282.683 2.643 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])c(F)c1Cl ZINC000813108207 631843390 /nfs/dbraw/zinc/84/33/90/631843390.db2.gz VNXJOPZLZYEMCN-SSDOTTSWSA-N 0 0 275.663 2.610 20 5 CFBDRN Cc1c2cccc(C)c2oc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813109565 631843414 /nfs/dbraw/zinc/84/34/14/631843414.db2.gz LWMAACRTGZCANU-VIFPVBQESA-N 0 0 277.276 2.872 20 5 CFBDRN C[C@H](COC(=O)c1cc(F)cc(C(F)(F)F)c1)[N+](=O)[O-] ZINC000813113725 631844817 /nfs/dbraw/zinc/84/48/17/631844817.db2.gz UDFGQUQSIVVYJX-ZCFIWIBFSA-N 0 0 295.188 2.667 20 5 CFBDRN C[C@H](COC(=O)C1(Cc2cccc(F)c2)CCC1)[N+](=O)[O-] ZINC000813112628 631844971 /nfs/dbraw/zinc/84/49/71/631844971.db2.gz RVGHTFKHXYFTLG-LLVKDONJSA-N 0 0 295.310 2.747 20 5 CFBDRN CC(C)=CCOc1cccc(C(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000813111305 631845265 /nfs/dbraw/zinc/84/52/65/631845265.db2.gz RBBSOFXMDZEJLH-LBPRGKRZSA-N 0 0 293.319 2.854 20 5 CFBDRN CCCN(CC)c1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813114207 631846157 /nfs/dbraw/zinc/84/61/57/631846157.db2.gz NNLYYJSJHZVVMO-GFCCVEGCSA-N 0 0 294.351 2.745 20 5 CFBDRN CC[C@@H](C)c1ccccc1OCC(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813114184 631846212 /nfs/dbraw/zinc/84/62/12/631846212.db2.gz ZRTISDFUMQOFFI-VXGBXAGGSA-N 0 0 295.335 2.787 20 5 CFBDRN C[C@H](COC(=O)Cc1c(Cl)cccc1Cl)[N+](=O)[O-] ZINC000813115747 631846248 /nfs/dbraw/zinc/84/62/48/631846248.db2.gz ZBKOLWHLVOPQKT-SSDOTTSWSA-N 0 0 292.118 2.744 20 5 CFBDRN C[C@H](COC(=O)[C@H](C)CSc1ccccc1)[N+](=O)[O-] ZINC000813115710 631846550 /nfs/dbraw/zinc/84/65/50/631846550.db2.gz YNRWDFDPEJLJRB-GHMZBOCLSA-N 0 0 283.349 2.623 20 5 CFBDRN C[C@@H]1OCC[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813246490 631891026 /nfs/dbraw/zinc/89/10/26/631891026.db2.gz DIHRQGAYCOXFOG-YUMQZZPRSA-N 0 0 284.699 2.612 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)ncn1 ZINC000813244147 631891135 /nfs/dbraw/zinc/89/11/35/631891135.db2.gz PDORJTICRBFTOG-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](C)OC ZINC000813291635 631895093 /nfs/dbraw/zinc/89/50/93/631895093.db2.gz XGDPJHUKAJCOPM-SECBINFHSA-N 0 0 266.297 2.521 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/SC ZINC000813292108 631895933 /nfs/dbraw/zinc/89/59/33/631895933.db2.gz JHXZTDZWACTEDL-VOTSOKGWSA-N 0 0 266.322 2.972 20 5 CFBDRN Cc1conc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000813376315 631914899 /nfs/dbraw/zinc/91/48/99/631914899.db2.gz IKFVVQSNKMBKPR-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN C[C@H]1SCC[C@@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000843290605 631919962 /nfs/dbraw/zinc/91/99/62/631919962.db2.gz NHKZZPZFFOBYOR-KCJUWKMLSA-N 0 0 297.405 2.775 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCCCCCO ZINC000843297217 631921415 /nfs/dbraw/zinc/92/14/15/631921415.db2.gz FULSUZLSMKHOAV-UHFFFAOYSA-N 0 0 267.329 2.601 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC[C@H]1CCO[C@@H](C)C1 ZINC000843301096 631921750 /nfs/dbraw/zinc/92/17/50/631921750.db2.gz SYASFKDFIJLWDP-QWRGUYRKSA-N 0 0 279.340 2.864 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCc1cscn1 ZINC000843300007 631922284 /nfs/dbraw/zinc/92/22/84/631922284.db2.gz BSYHJKVYEGJYEZ-UHFFFAOYSA-N 0 0 278.337 2.748 20 5 CFBDRN C[C@@H](NC(=O)C1(C(F)F)CC1)c1ccccc1[N+](=O)[O-] ZINC000843573210 631992134 /nfs/dbraw/zinc/99/21/34/631992134.db2.gz XPTSRFWKYFXZPO-MRVPVSSYSA-N 0 0 284.262 2.817 20 5 CFBDRN Cc1ccc(OCCCC(=O)O[C@H](C)C[N+](=O)[O-])cc1C ZINC000814035869 631996950 /nfs/dbraw/zinc/99/69/50/631996950.db2.gz QDVAJUVDOQGDTN-CYBMUJFWSA-N 0 0 295.335 2.671 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC1CCC(C)(C)CC1 ZINC000814038800 631998635 /nfs/dbraw/zinc/99/86/35/631998635.db2.gz HRAOXULGTDNWOG-SNVBAGLBSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(C2CCC2)cc1 ZINC000814041101 631999329 /nfs/dbraw/zinc/99/93/29/631999329.db2.gz NJQQAZILZDSLOX-SNVBAGLBSA-N 0 0 263.293 2.776 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H](C)Cc1ccccc1Cl ZINC000814041559 631999606 /nfs/dbraw/zinc/99/96/06/631999606.db2.gz QMWXOZBLKJBKLA-UWVGGRQHSA-N 0 0 285.727 2.727 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(C2CCC2)cc1 ZINC000814041099 631999633 /nfs/dbraw/zinc/99/96/33/631999633.db2.gz NJQQAZILZDSLOX-JTQLQIEISA-N 0 0 263.293 2.776 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccco2)s1 ZINC000814041633 631999661 /nfs/dbraw/zinc/99/96/61/631999661.db2.gz RCMZMYJVQVUPJP-QMMMGPOBSA-N 0 0 281.289 2.830 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(C(C)(F)F)cc1 ZINC000814041562 631999701 /nfs/dbraw/zinc/99/97/01/631999701.db2.gz BSTMRGAGXFECQU-MRVPVSSYSA-N 0 0 273.235 2.620 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1cccc(F)c1 ZINC000814044277 632000038 /nfs/dbraw/zinc/00/00/38/632000038.db2.gz OOXWYOMMCJEORJ-VHSXEESVSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000814044781 632000268 /nfs/dbraw/zinc/00/02/68/632000268.db2.gz UIPZGBZFURFAJE-FVCCEPFGSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C\c1ccc(Cl)cc1 ZINC000814043002 632000591 /nfs/dbraw/zinc/00/05/91/632000591.db2.gz ACYNKVBCYFNDKZ-WQAKUFEDSA-N 0 0 269.684 2.562 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(C(F)(F)F)s1 ZINC000814043206 632000596 /nfs/dbraw/zinc/00/05/96/632000596.db2.gz UVCIASDXAIWHKZ-RXMQYKEDSA-N 0 0 283.227 2.589 20 5 CFBDRN CC(C)c1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000814047261 632000975 /nfs/dbraw/zinc/00/09/75/632000975.db2.gz CMQPTMJQHHBTOF-SECBINFHSA-N 0 0 296.279 2.540 20 5 CFBDRN Cc1ccc(C(C)C)c(OCC(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000814046398 632001198 /nfs/dbraw/zinc/00/11/98/632001198.db2.gz JYLYVRRMIVFQDQ-LBPRGKRZSA-N 0 0 295.335 2.706 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1csc2ccccc12 ZINC000814046204 632001227 /nfs/dbraw/zinc/00/12/27/632001227.db2.gz HSXQVAWYZONNSQ-QMMMGPOBSA-N 0 0 265.290 2.723 20 5 CFBDRN CCc1ccc([C@@H](C)C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814048579 632001240 /nfs/dbraw/zinc/00/12/40/632001240.db2.gz VCPUKTZLYUYGFQ-WDEREUQCSA-N 0 0 265.309 2.561 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)C[N+](=O)[O-])sc2nccc(C)c12 ZINC000814045903 632001326 /nfs/dbraw/zinc/00/13/26/632001326.db2.gz CNLLMYVFFMHXHF-MRVPVSSYSA-N 0 0 294.332 2.735 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)CCc1ccccc1F ZINC000814047179 632001373 /nfs/dbraw/zinc/00/13/73/632001373.db2.gz LWWDGMRFQLUXJK-GHMZBOCLSA-N 0 0 283.299 2.603 20 5 CFBDRN Cc1oc(C(C)C)cc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814050456 632002107 /nfs/dbraw/zinc/00/21/07/632002107.db2.gz XMIYRLFHAUXYOK-MRVPVSSYSA-N 0 0 255.270 2.533 20 5 CFBDRN Cc1ncoc1COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000814095056 632011202 /nfs/dbraw/zinc/01/12/02/632011202.db2.gz IEESVIBOCOGJHO-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CN(C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000843712196 632036590 /nfs/dbraw/zinc/03/65/90/632036590.db2.gz BWTRWOJPQDAMLU-UHFFFAOYSA-N 0 0 286.278 2.944 20 5 CFBDRN CC(C)OC(=O)c1cccc([N+](=O)[O-])c1Br ZINC000156242609 650049588 /nfs/dbraw/zinc/04/95/88/650049588.db2.gz JUNGTZWNIDYHTR-UHFFFAOYSA-N 0 0 288.097 2.923 20 5 CFBDRN C[C@@H]1SCC[C@@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000843748150 632048586 /nfs/dbraw/zinc/04/85/86/632048586.db2.gz VAKDTNCCFVHLKN-YJNCBDGFSA-N 0 0 292.360 2.618 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCOC(C)(C)C ZINC000843779490 632055110 /nfs/dbraw/zinc/05/51/10/632055110.db2.gz SGIXBLQJYMWLTL-UHFFFAOYSA-N 0 0 298.314 2.587 20 5 CFBDRN CCN(CC1CC1)C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843794839 632056905 /nfs/dbraw/zinc/05/69/05/632056905.db2.gz CPLJOEMDZIQKGG-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@@H](C)C1 ZINC000843861382 632068680 /nfs/dbraw/zinc/06/86/80/632068680.db2.gz QQFKHAFMNPGFMR-PSASIEDQSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@H]1C ZINC000843884584 632071061 /nfs/dbraw/zinc/07/10/61/632071061.db2.gz DSNIEKSTIPZYOH-XCBNKYQSSA-N 0 0 266.272 2.571 20 5 CFBDRN C[C@@H](OC(=O)c1cccc(=O)[nH]1)c1ccccc1[N+](=O)[O-] ZINC000814472866 632073044 /nfs/dbraw/zinc/07/30/44/632073044.db2.gz UGRDXIQIOOJDCX-SECBINFHSA-N 0 0 288.259 2.613 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843895594 632073570 /nfs/dbraw/zinc/07/35/70/632073570.db2.gz MZRQLRMQKLDAOF-SECBINFHSA-N 0 0 266.272 2.667 20 5 CFBDRN CC[C@@](C)(CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])OC ZINC000843901429 632074940 /nfs/dbraw/zinc/07/49/40/632074940.db2.gz QNMJETVSIBAIOQ-AWEZNQCLSA-N 0 0 298.314 2.587 20 5 CFBDRN Cc1ccc(NC(=O)NOCCC2CC2)cc1[N+](=O)[O-] ZINC000814477791 632075423 /nfs/dbraw/zinc/07/54/23/632075423.db2.gz BUUJWKQONRBUHD-UHFFFAOYSA-N 0 0 279.296 2.756 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000843907756 632075811 /nfs/dbraw/zinc/07/58/11/632075811.db2.gz ZTMGCXQUFVAUJW-JFGNBEQYSA-N 0 0 292.310 2.961 20 5 CFBDRN CCc1nc(C)c(C(=O)OCc2ccc([N+](=O)[O-])cc2)o1 ZINC000814514700 632083812 /nfs/dbraw/zinc/08/38/12/632083812.db2.gz XJJCJRFSVWOWQF-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CCCCC[C@H](O)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000843965166 632084325 /nfs/dbraw/zinc/08/43/25/632084325.db2.gz LRVSKXANXUURDJ-ZDUSSCGKSA-N 0 0 295.339 2.516 20 5 CFBDRN CC/C=C(/C)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000843965570 632085521 /nfs/dbraw/zinc/08/55/21/632085521.db2.gz FJRHDMKKLDFRSJ-UITAMQMPSA-N 0 0 263.297 2.931 20 5 CFBDRN C[C@@H](CF)NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000814631337 632100351 /nfs/dbraw/zinc/10/03/51/632100351.db2.gz YHBWGPWTLZRJID-LURJTMIESA-N 0 0 275.667 2.728 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CC[C@@H](C)O1 ZINC000840325316 632105635 /nfs/dbraw/zinc/10/56/35/632105635.db2.gz CSSMCRQUSQINIO-SKDRFNHKSA-N 0 0 278.308 2.777 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CC[C@H](C)O1 ZINC000840325314 632106012 /nfs/dbraw/zinc/10/60/12/632106012.db2.gz CSSMCRQUSQINIO-JOYOIKCWSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1noc(COc2cccc(Cl)c2[N+](=O)[O-])n1 ZINC000814774179 632120764 /nfs/dbraw/zinc/12/07/64/632120764.db2.gz KRIGWQZXARNLQY-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cc1cc(C(=O)OCc2ccccc2[N+](=O)[O-])c(C)n1C ZINC000844178530 632131921 /nfs/dbraw/zinc/13/19/21/632131921.db2.gz ZELUWFXSZWJYNQ-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H]1CC[C@@H](C)O1 ZINC000840326790 632148403 /nfs/dbraw/zinc/14/84/03/632148403.db2.gz WPQPAUOUNWEXOT-SKDRFNHKSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000844265113 632148975 /nfs/dbraw/zinc/14/89/75/632148975.db2.gz USJJTOCRESCAHB-MNOVXSKESA-N 0 0 278.308 2.692 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000815230144 632234272 /nfs/dbraw/zinc/23/42/72/632234272.db2.gz NHZMYCBCEKFJHY-IUCAKERBSA-N 0 0 264.281 2.522 20 5 CFBDRN O=[N+]([O-])c1cc(OCc2cc3n(n2)CCC3)ccc1Cl ZINC000844764883 632301648 /nfs/dbraw/zinc/30/16/48/632301648.db2.gz MJZXYTYNJFURFP-UHFFFAOYSA-N 0 0 293.710 2.970 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OCc1cc2n(n1)CCC2 ZINC000844764057 632301906 /nfs/dbraw/zinc/30/19/06/632301906.db2.gz FXVAAICDWOSJGW-UHFFFAOYSA-N 0 0 293.710 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OCc1cc2n(n1)CCC2 ZINC000844764610 632301943 /nfs/dbraw/zinc/30/19/43/632301943.db2.gz WFBNHWJTEIMELL-UHFFFAOYSA-N 0 0 293.710 2.970 20 5 CFBDRN Cc1c[nH]nc1COCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000844771924 632304733 /nfs/dbraw/zinc/30/47/33/632304733.db2.gz XUMQDMOKAFFVDY-UHFFFAOYSA-N 0 0 281.699 2.997 20 5 CFBDRN C[C@@]1(CCNC(=O)c2ccc([N+](=O)[O-])o2)C[C@]1(F)Cl ZINC000844821093 632316858 /nfs/dbraw/zinc/31/68/58/632316858.db2.gz KRJJYXQGBMMGLU-GHMZBOCLSA-N 0 0 290.678 2.622 20 5 CFBDRN CN(C)c1cc(F)cc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000844899761 632330169 /nfs/dbraw/zinc/33/01/69/632330169.db2.gz DYQFUSWDFDSPAU-UHFFFAOYSA-N 0 0 297.330 2.666 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)C(C)(F)F)c([N+](=O)[O-])c1 ZINC000815911975 632332313 /nfs/dbraw/zinc/33/23/13/632332313.db2.gz VAIZITIZHFHBHM-ZETCQYMHSA-N 0 0 289.234 2.800 20 5 CFBDRN CCC1(COC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000816183338 632389233 /nfs/dbraw/zinc/38/92/33/632389233.db2.gz KFOMSSGREMSHLV-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN CCC1(COC(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000816186499 632390526 /nfs/dbraw/zinc/39/05/26/632390526.db2.gz FNDWPEYFKXUQQR-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN O=C(OCCCc1cnoc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000816232279 632403182 /nfs/dbraw/zinc/40/31/82/632403182.db2.gz MVPJVQXPCBURLV-UHFFFAOYSA-N 0 0 294.238 2.512 20 5 CFBDRN COc1ccc(C(=O)OCc2coc(C)c2)cc1[N+](=O)[O-] ZINC000816246289 632409202 /nfs/dbraw/zinc/40/92/02/632409202.db2.gz FURRSYYYLYQELQ-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCc1nc(SCCn2cc([N+](=O)[O-])cn2)sc1C ZINC000845325087 632411112 /nfs/dbraw/zinc/41/11/12/632411112.db2.gz IZPWTWNIIKMABI-UHFFFAOYSA-N 0 0 298.393 2.911 20 5 CFBDRN CNC(=O)CCSCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000845332211 632412450 /nfs/dbraw/zinc/41/24/50/632412450.db2.gz MHMKVUFYAFBDNB-UHFFFAOYSA-N 0 0 288.756 2.618 20 5 CFBDRN CO[C@H](COC(=O)c1cccc(C)c1[N+](=O)[O-])C(C)C ZINC000816351849 632424611 /nfs/dbraw/zinc/42/46/11/632424611.db2.gz XRESBYCWCKWJFI-GFCCVEGCSA-N 0 0 281.308 2.731 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000816357255 632428017 /nfs/dbraw/zinc/42/80/17/632428017.db2.gz YGFTUFOYYPTEPK-LBPRGKRZSA-N 0 0 285.271 2.562 20 5 CFBDRN Cc1ocnc1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000121567205 632429413 /nfs/dbraw/zinc/42/94/13/632429413.db2.gz VHPBXSHMWBLEJS-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CO[C@H](COC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000816375714 632433339 /nfs/dbraw/zinc/43/33/39/632433339.db2.gz INWWDEQZXONVDD-SNVBAGLBSA-N 0 0 285.321 2.547 20 5 CFBDRN CN(CCn1cccn1)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000845490487 632447409 /nfs/dbraw/zinc/44/74/09/632447409.db2.gz YXJHVJDCMHEKRT-UHFFFAOYSA-N 0 0 298.705 2.720 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000816416155 632448648 /nfs/dbraw/zinc/44/86/48/632448648.db2.gz LLTJICWUEDIEJY-QMMMGPOBSA-N 0 0 271.219 2.715 20 5 CFBDRN CO[C@H]1CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000845503175 632451556 /nfs/dbraw/zinc/45/15/56/632451556.db2.gz QPSUBJCXHPXGHF-ZETCQYMHSA-N 0 0 274.679 2.612 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CC2(F)F)c1 ZINC000816422779 632451660 /nfs/dbraw/zinc/45/16/60/632451660.db2.gz RHCSFDLWRDHXIK-MRVPVSSYSA-N 0 0 271.219 2.715 20 5 CFBDRN Cc1ccc(COC(=O)COc2ccccc2[N+](=O)[O-])o1 ZINC000816426659 632454235 /nfs/dbraw/zinc/45/42/35/632454235.db2.gz QUNVYJSAZZJWMM-UHFFFAOYSA-N 0 0 291.259 2.618 20 5 CFBDRN CNc1c(C(=O)OCc2ccc(C)o2)cccc1[N+](=O)[O-] ZINC000816428563 632455196 /nfs/dbraw/zinc/45/51/96/632455196.db2.gz UXGCEUWQBXIJLF-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN O=C(OCC[C@H]1CCOC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000816445534 632464294 /nfs/dbraw/zinc/46/42/94/632464294.db2.gz HKPJAKMBJWOFIE-SECBINFHSA-N 0 0 299.710 2.832 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000845635978 632496884 /nfs/dbraw/zinc/49/68/84/632496884.db2.gz LDUDFCODIXHVLI-CABZTGNLSA-N 0 0 297.311 2.712 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CCCC(F)(F)C1 ZINC000845639460 632499561 /nfs/dbraw/zinc/49/95/61/632499561.db2.gz PWYQJNJIYMYEON-UHFFFAOYSA-N 0 0 287.222 2.739 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000845641339 632500524 /nfs/dbraw/zinc/50/05/24/632500524.db2.gz IQMLHCQPEKINAQ-CABZTGNLSA-N 0 0 270.304 2.943 20 5 CFBDRN C[C@]1(CCNc2ccncc2[N+](=O)[O-])C[C@@]1(F)Cl ZINC000845650858 632505248 /nfs/dbraw/zinc/50/52/48/632505248.db2.gz KPYIOZPWRIBKGH-QWRGUYRKSA-N 0 0 273.695 2.528 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C(C)(C)C1 ZINC000845697912 632525447 /nfs/dbraw/zinc/52/54/47/632525447.db2.gz IPSJGJIUXRZFHJ-JTQLQIEISA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1ccc(O)cc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000816893386 632531164 /nfs/dbraw/zinc/53/11/64/632531164.db2.gz OECVSNCUNXOBMQ-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@H](CO)CCF ZINC000845733586 632540638 /nfs/dbraw/zinc/54/06/38/632540638.db2.gz WZIYAOYATCAYES-LURJTMIESA-N 0 0 280.658 2.520 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000816978768 632543458 /nfs/dbraw/zinc/54/34/58/632543458.db2.gz BAAKXTQXDQIKGU-MRVPVSSYSA-N 0 0 286.715 2.956 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CCC=CO1)c1ccccc1[N+](=O)[O-] ZINC000817038502 632548562 /nfs/dbraw/zinc/54/85/62/632548562.db2.gz QDOXALGCYGFCQJ-ZDUSSCGKSA-N 0 0 290.319 2.639 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@]3(CC3(F)F)C2)nc1 ZINC000845745252 632549607 /nfs/dbraw/zinc/54/96/07/632549607.db2.gz UWEHWZIFZDAYJP-NSHDSACASA-N 0 0 269.251 2.615 20 5 CFBDRN CCCCCCNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000817119879 632559856 /nfs/dbraw/zinc/55/98/56/632559856.db2.gz GDPQRDNZLUWSIR-UHFFFAOYSA-N 0 0 265.313 2.686 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCCC1(O)CCC1 ZINC000817125116 632561818 /nfs/dbraw/zinc/56/18/18/632561818.db2.gz KRQPYLVXHMYRQC-UHFFFAOYSA-N 0 0 268.288 2.841 20 5 CFBDRN COC1CCC(Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000840336139 632564127 /nfs/dbraw/zinc/56/41/27/632564127.db2.gz VWQBAWGAEJNZSG-UHFFFAOYSA-N 0 0 295.295 2.873 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000817231670 632576903 /nfs/dbraw/zinc/57/69/03/632576903.db2.gz BNPNZVNOIBLKDO-CABZTGNLSA-N 0 0 261.277 2.999 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC000845833089 632577580 /nfs/dbraw/zinc/57/75/80/632577580.db2.gz YREVGTZLUUJMSU-QMMMGPOBSA-N 0 0 291.300 2.631 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CC23CC3)cccc1[N+](=O)[O-] ZINC000817235571 632579002 /nfs/dbraw/zinc/57/90/02/632579002.db2.gz LGFACJPGNPCWIE-LLVKDONJSA-N 0 0 261.277 2.747 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCCOC1CCC1 ZINC000817239791 632581742 /nfs/dbraw/zinc/58/17/42/632581742.db2.gz XAZUGNONSWTODK-UHFFFAOYSA-N 0 0 293.319 2.938 20 5 CFBDRN CC[C@@H](OC(=O)c1cc([N+](=O)[O-])cn1C)C(C)C ZINC000845851637 632581921 /nfs/dbraw/zinc/58/19/21/632581921.db2.gz YVACQQVPBYKDSP-LLVKDONJSA-N 0 0 254.286 2.525 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000817252664 632587199 /nfs/dbraw/zinc/58/71/99/632587199.db2.gz YBPJOMFJYMMRAE-NSHDSACASA-N 0 0 271.219 2.683 20 5 CFBDRN CCCC(=O)O[C@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845873829 632589015 /nfs/dbraw/zinc/58/90/15/632589015.db2.gz WXJZRHPCIAJEON-RNCFNFMXSA-N 0 0 269.272 2.875 20 5 CFBDRN CON(C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000845945621 632602459 /nfs/dbraw/zinc/60/24/59/632602459.db2.gz ZZPWUIAIMFETDE-UHFFFAOYSA-N 0 0 296.298 2.609 20 5 CFBDRN C[C@@]1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CC1(F)F ZINC000817440449 632635027 /nfs/dbraw/zinc/63/50/27/632635027.db2.gz MBYXEIYNODOTMM-JTQLQIEISA-N 0 0 275.182 2.685 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H]1CCC=CO1 ZINC000817444975 632636896 /nfs/dbraw/zinc/63/68/96/632636896.db2.gz CRPPKGDCYJOJNR-GFCCVEGCSA-N 0 0 290.319 2.634 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000817447725 632637923 /nfs/dbraw/zinc/63/79/23/632637923.db2.gz HSXSUUREIUTFGK-AXFHLTTASA-N 0 0 291.303 2.945 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000817449540 632638944 /nfs/dbraw/zinc/63/89/44/632638944.db2.gz KJAZVAWDZXENIF-NWDGAFQWSA-N 0 0 293.319 2.936 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC1CCOCC1 ZINC000817723975 632693823 /nfs/dbraw/zinc/69/38/23/632693823.db2.gz LNUMKGLDWQBIRH-UHFFFAOYSA-N 0 0 279.292 2.547 20 5 CFBDRN C[C@H](COC(=O)COc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000817752887 632705230 /nfs/dbraw/zinc/70/52/30/632705230.db2.gz UACYFESOKXJTMM-LLVKDONJSA-N 0 0 293.319 2.953 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@]2(CC2(F)F)C1 ZINC000846567749 632743976 /nfs/dbraw/zinc/74/39/76/632743976.db2.gz JHPVAXIENASAQZ-ZDUSSCGKSA-N 0 0 296.273 2.856 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](F)C1 ZINC000846628678 632761450 /nfs/dbraw/zinc/76/14/50/632761450.db2.gz AYSANADMMWYEEC-STRFDMGBSA-N 0 0 292.310 2.959 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@@H]3C[C@H]2CS3)c([N+](=O)[O-])c1 ZINC000840351865 632767711 /nfs/dbraw/zinc/76/77/11/632767711.db2.gz VCMDRMBVDZPGNA-QWRGUYRKSA-N 0 0 292.360 2.882 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])CC1(Br)CC1 ZINC000846765020 632790835 /nfs/dbraw/zinc/79/08/35/632790835.db2.gz KAFFCRCMYXGWCQ-UHFFFAOYSA-N 0 0 299.168 2.954 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=S)NCC(C)C ZINC000013791416 651180173 /nfs/dbraw/zinc/18/01/73/651180173.db2.gz GQNNHNRKPWHUOT-UHFFFAOYSA-N 0 0 267.354 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(Cc2cnsn2)C2CC2)cc1 ZINC000846840976 632818184 /nfs/dbraw/zinc/81/81/84/632818184.db2.gz LUZQOKMIDOEERE-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2C[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000818466115 632848321 /nfs/dbraw/zinc/84/83/21/632848321.db2.gz JIWPZCLZGHUYHM-RKDXNWHRSA-N 0 0 266.272 2.523 20 5 CFBDRN Cc1ccc(N2CCCc3c(cnn3C)C2)c([N+](=O)[O-])c1 ZINC000840360953 632855614 /nfs/dbraw/zinc/85/56/14/632855614.db2.gz XPIHOLOOFHDUTF-UHFFFAOYSA-N 0 0 286.335 2.590 20 5 CFBDRN CC1(F)CN(c2cccc(Br)c2[N+](=O)[O-])C1 ZINC000856747965 635847070 /nfs/dbraw/zinc/84/70/70/635847070.db2.gz RNLDHSKQHUMQFS-UHFFFAOYSA-N 0 0 289.104 2.906 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCCC[C@H]2F)nc2ccccn21 ZINC000840369423 632916898 /nfs/dbraw/zinc/91/68/98/632916898.db2.gz LYRWDTFNIBFIHJ-NXEZZACHSA-N 0 0 278.287 2.935 20 5 CFBDRN C[C@H](NCc1cn(C)nc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000856789627 635859999 /nfs/dbraw/zinc/85/99/99/635859999.db2.gz LYVWCVNWAOHIHL-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CC(CC2CC2)C1 ZINC000856789144 635860298 /nfs/dbraw/zinc/86/02/98/635860298.db2.gz DDVDUKNBMLNNAX-UHFFFAOYSA-N 0 0 277.280 2.739 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/[C@H]1CCCO1 ZINC000818995649 632925810 /nfs/dbraw/zinc/92/58/10/632925810.db2.gz FNKICHKSDHZJCC-NNNHXZLVSA-N 0 0 276.292 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\[C@H]1CCCO1 ZINC000818995651 632926166 /nfs/dbraw/zinc/92/61/66/632926166.db2.gz FNKICHKSDHZJCC-ZHRWSRJISA-N 0 0 276.292 2.577 20 5 CFBDRN Cc1cc(C(=O)Oc2cnn(C(C)C)c2)cc([N+](=O)[O-])c1 ZINC000847132682 632935218 /nfs/dbraw/zinc/93/52/18/632935218.db2.gz YJHUGLXMIXWTFC-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN C[C@@H](COC(=O)c1cccc(C(C)(C)C)c1)[N+](=O)[O-] ZINC000847714447 633051710 /nfs/dbraw/zinc/05/17/10/633051710.db2.gz URYNEUAYTJGLCG-JTQLQIEISA-N 0 0 265.309 2.806 20 5 CFBDRN C[C@@H](COC(=O)C[C@H]1OCc2cc(Cl)ccc21)[N+](=O)[O-] ZINC000847713970 633051735 /nfs/dbraw/zinc/05/17/35/633051735.db2.gz HAUZJDNASXQWBR-QPUJVOFHSA-N 0 0 299.710 2.510 20 5 CFBDRN CC(C)(C)C(=O)c1ccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000840380238 633055223 /nfs/dbraw/zinc/05/52/23/633055223.db2.gz UQNMDDJIBSDZIU-VXGBXAGGSA-N 0 0 290.319 2.519 20 5 CFBDRN Cn1cc(COC(=O)C2(C)CCCCCC2)c([N+](=O)[O-])n1 ZINC000847784274 633076051 /nfs/dbraw/zinc/07/60/51/633076051.db2.gz PRNJKWMTOMFZIC-UHFFFAOYSA-N 0 0 295.339 2.732 20 5 CFBDRN Cn1cc(COC(=O)C2CCC(C)(C)CC2)c([N+](=O)[O-])n1 ZINC000847784127 633076460 /nfs/dbraw/zinc/07/64/60/633076460.db2.gz OHKDYTBTGGWFBO-UHFFFAOYSA-N 0 0 295.339 2.588 20 5 CFBDRN COC[C@@H](C)CCOC(=O)c1cccc([N+](=O)[O-])c1C ZINC000847868460 633119170 /nfs/dbraw/zinc/11/91/70/633119170.db2.gz GRIBLMUAWUBIDU-JTQLQIEISA-N 0 0 281.308 2.733 20 5 CFBDRN COC[C@H](C)CCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000847875482 633122660 /nfs/dbraw/zinc/12/26/60/633122660.db2.gz SALPTGLFYYZONC-LLVKDONJSA-N 0 0 281.308 2.733 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000847919174 633144568 /nfs/dbraw/zinc/14/45/68/633144568.db2.gz TZSZOTHXKJTAOJ-XYPYZODXSA-N 0 0 279.292 2.628 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C(C)C ZINC000848291685 633235177 /nfs/dbraw/zinc/23/51/77/633235177.db2.gz AWZVVVRYSKAKCQ-UHFFFAOYSA-N 0 0 283.303 2.577 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H](O)CC(C)(C)C ZINC000848665535 633312877 /nfs/dbraw/zinc/31/28/77/633312877.db2.gz CUOPFTWEESHWOV-JTQLQIEISA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000848664877 633313303 /nfs/dbraw/zinc/31/33/03/633313303.db2.gz DCDPKTYCBOIXTE-QMTHXVAHSA-N 0 0 282.340 2.812 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCOC1CCC1 ZINC000848670016 633314117 /nfs/dbraw/zinc/31/41/17/633314117.db2.gz MJSXXNYSQDZDBJ-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN CCc1[nH]nc(C(=O)OCCC(C)(C)C2CC2)c1[N+](=O)[O-] ZINC000848745412 633332361 /nfs/dbraw/zinc/33/23/61/633332361.db2.gz KEIVXSIQXNBDAC-UHFFFAOYSA-N 0 0 295.339 2.863 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@@H](OC3CCC3)C2)c1 ZINC000848762205 633338237 /nfs/dbraw/zinc/33/82/37/633338237.db2.gz AJWJTJWBVKOTQL-CYBMUJFWSA-N 0 0 291.351 2.715 20 5 CFBDRN CCC(=O)c1ccc(N(C[C@H](C)O)C2CC2)c([N+](=O)[O-])c1 ZINC000848791076 633343653 /nfs/dbraw/zinc/34/36/53/633343653.db2.gz LOEMMGNPJACMSH-JTQLQIEISA-N 0 0 292.335 2.537 20 5 CFBDRN Cc1noc(CN(C)c2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000848788070 633343683 /nfs/dbraw/zinc/34/36/83/633343683.db2.gz JADLTMPZXNKCHZ-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc(C)c([N+](=O)[O-])c1)C1CCC1 ZINC000849629201 633646379 /nfs/dbraw/zinc/64/63/79/633646379.db2.gz VILZNLWHFKKTPA-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])o1)C1CCCCC1 ZINC000849628676 633646640 /nfs/dbraw/zinc/64/66/40/633646640.db2.gz NMDJWMPLBLVRSV-GFCCVEGCSA-N 0 0 297.307 2.940 20 5 CFBDRN CCCCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849630600 633647487 /nfs/dbraw/zinc/64/74/87/633647487.db2.gz WVYJPHHPVDYGDA-UHFFFAOYSA-N 0 0 255.245 2.967 20 5 CFBDRN Cc1c(C(=O)O[C@H]2COC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000849637241 633651882 /nfs/dbraw/zinc/65/18/82/633651882.db2.gz XEASOHGWPHTNSE-SNVBAGLBSA-N 0 0 279.292 2.628 20 5 CFBDRN O=C(OCc1cc(C2CC2)no1)c1ccc([N+](=O)[O-])cc1 ZINC000849670302 633665927 /nfs/dbraw/zinc/66/59/27/633665927.db2.gz RPYSQTBOOUTSKI-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN O=C(CC1CC1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849683107 633673856 /nfs/dbraw/zinc/67/38/56/633673856.db2.gz RAISPCVNPUQGIJ-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN Cc1cc(C(=O)O[C@@H]2COC(C)(C)C2)cc([N+](=O)[O-])c1 ZINC000849714834 633685810 /nfs/dbraw/zinc/68/58/10/633685810.db2.gz SPMITNJFWXQLJC-LBPRGKRZSA-N 0 0 279.292 2.628 20 5 CFBDRN C[C@@H]1CCCC[C@H]1COC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000849719421 633688404 /nfs/dbraw/zinc/68/84/04/633688404.db2.gz SKZKLJSSIRNBKI-NEPJUHHUSA-N 0 0 295.339 2.551 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@H]1COC(C)(C)C1 ZINC000849810069 633730877 /nfs/dbraw/zinc/73/08/77/633730877.db2.gz PCJRIKQUUPWFBX-LLVKDONJSA-N 0 0 293.319 2.936 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])C1(C(F)F)CC1 ZINC000849815077 633732246 /nfs/dbraw/zinc/73/22/46/633732246.db2.gz OCTQGTAUIAMUQO-UHFFFAOYSA-N 0 0 289.209 2.822 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)O[C@@H]1COC(C)(C)C1 ZINC000849815285 633732549 /nfs/dbraw/zinc/73/25/49/633732549.db2.gz QUAANZYGWFDVDC-VIFPVBQESA-N 0 0 297.282 2.767 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCC(F)(F)C(F)F)c1C ZINC000849907290 633760596 /nfs/dbraw/zinc/76/05/96/633760596.db2.gz AIZLFSWRARVBAE-UHFFFAOYSA-N 0 0 282.193 2.886 20 5 CFBDRN O=[N+]([O-])c1cc(OCC2CC=CC2)cc([N+](=O)[O-])c1 ZINC000849957973 633775019 /nfs/dbraw/zinc/77/50/19/633775019.db2.gz NDSAPTCWJBDXAP-UHFFFAOYSA-N 0 0 264.237 2.848 20 5 CFBDRN Cc1noc(C)c1COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849954423 633775068 /nfs/dbraw/zinc/77/50/68/633775068.db2.gz RUWMACCIQAPSLX-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN O=[N+]([O-])c1cn(CCC2CCC2)c(Br)n1 ZINC000849992462 633786179 /nfs/dbraw/zinc/78/61/79/633786179.db2.gz CCANSEZSXDKVDD-UHFFFAOYSA-N 0 0 274.118 2.744 20 5 CFBDRN C[C@H](CC(=O)OCc1csc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000850081151 633827434 /nfs/dbraw/zinc/82/74/34/633827434.db2.gz MCJWDGNXWCKOEO-MWLCHTKSSA-N 0 0 299.348 2.905 20 5 CFBDRN O=C(CCCF)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000850194108 633882632 /nfs/dbraw/zinc/88/26/32/633882632.db2.gz XBMWIAUPPINXDJ-UHFFFAOYSA-N 0 0 259.208 2.527 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])C1CC2(CC2)C1 ZINC000850217207 633899538 /nfs/dbraw/zinc/89/95/38/633899538.db2.gz PBSFMSSGOLLMGT-UHFFFAOYSA-N 0 0 279.267 2.967 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C1CC2(CC2)C1 ZINC000850216285 633899919 /nfs/dbraw/zinc/89/99/19/633899919.db2.gz ROQXWSZLHFLKRA-UHFFFAOYSA-N 0 0 291.303 2.837 20 5 CFBDRN CCOC1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000850289481 633927488 /nfs/dbraw/zinc/92/74/88/633927488.db2.gz IGESQNVRJRCRCN-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN CO[C@H](C(=O)Oc1cc([N+](=O)[O-])ccc1C)C1CCC1 ZINC000850769667 634084683 /nfs/dbraw/zinc/08/46/83/634084683.db2.gz FSLQXBRTEXLGLB-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2C)C1 ZINC000850769955 634084888 /nfs/dbraw/zinc/08/48/88/634084888.db2.gz KOMNGSAFTVQPBP-QWRGUYRKSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@H](C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-])C1CCC1 ZINC000850796087 634098422 /nfs/dbraw/zinc/09/84/22/634098422.db2.gz JBGNGGCGAXEETF-LBPRGKRZSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@H](CC(=O)Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000850901066 634129781 /nfs/dbraw/zinc/12/97/81/634129781.db2.gz LKWMYZMABZIOMW-RNCFNFMXSA-N 0 0 297.282 2.845 20 5 CFBDRN CO[C@H](C(=O)OCc1ccc([N+](=O)[O-])c(C)c1)C1CCC1 ZINC000850934101 634144260 /nfs/dbraw/zinc/14/42/60/634144260.db2.gz BUKRKDXOBFJEQK-AWEZNQCLSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@H](COC(=O)[C@]1(c2ccccc2)CC1(C)C)[N+](=O)[O-] ZINC000851035752 634189186 /nfs/dbraw/zinc/18/91/86/634189186.db2.gz AFOWVPGRTZRIDK-IAQYHMDHSA-N 0 0 277.320 2.563 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000851053881 634198046 /nfs/dbraw/zinc/19/80/46/634198046.db2.gz LVQJKJLISLMCRS-QWHCGFSZSA-N 0 0 293.319 2.762 20 5 CFBDRN CC(C)(COC(=O)Cc1cccc2ccccc21)[N+](=O)[O-] ZINC000851122484 634233963 /nfs/dbraw/zinc/23/39/63/634233963.db2.gz GAAFEDRUTRYARR-UHFFFAOYSA-N 0 0 287.315 2.981 20 5 CFBDRN CC(C)c1ccccc1OCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851123796 634234825 /nfs/dbraw/zinc/23/48/25/634234825.db2.gz VZWKYIMZBYTGCV-UHFFFAOYSA-N 0 0 295.335 2.787 20 5 CFBDRN Cc1cccc(C)c1OCCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125088 634235682 /nfs/dbraw/zinc/23/56/82/634235682.db2.gz XCQMINQFJLJIFS-UHFFFAOYSA-N 0 0 295.335 2.671 20 5 CFBDRN CCCc1nc(C)c(C(=O)OCC(C)(C)[N+](=O)[O-])s1 ZINC000851125036 634235988 /nfs/dbraw/zinc/23/59/88/634235988.db2.gz UUKGZRSRYDYEON-UHFFFAOYSA-N 0 0 286.353 2.616 20 5 CFBDRN CC(C)(COC(=O)CCCc1ccsc1)[N+](=O)[O-] ZINC000851126255 634236630 /nfs/dbraw/zinc/23/66/30/634236630.db2.gz CAXGZLJZXSKINY-UHFFFAOYSA-N 0 0 271.338 2.669 20 5 CFBDRN Cc1ccc(C(C)(C)C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851126166 634236846 /nfs/dbraw/zinc/23/68/46/634236846.db2.gz OTVZMDHALUYROM-UHFFFAOYSA-N 0 0 279.336 2.871 20 5 CFBDRN CC(C)(COC(=O)/C=C\c1ccc2[nH]ccc2c1)[N+](=O)[O-] ZINC000851127499 634237702 /nfs/dbraw/zinc/23/77/02/634237702.db2.gz NPFKDFKIGWUMCJ-XQRVVYSFSA-N 0 0 288.303 2.780 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1OCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851127979 634237794 /nfs/dbraw/zinc/23/77/94/634237794.db2.gz CGLPERAMLQAYQW-RYUDHWBXSA-N 0 0 287.356 2.570 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1OCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851127980 634237838 /nfs/dbraw/zinc/23/78/38/634237838.db2.gz CGLPERAMLQAYQW-VXGBXAGGSA-N 0 0 287.356 2.570 20 5 CFBDRN CS[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000851127280 634237928 /nfs/dbraw/zinc/23/79/28/634237928.db2.gz VZHHDBFKHSCLSN-LLVKDONJSA-N 0 0 283.349 2.689 20 5 CFBDRN CC(C)(COC(=O)C1CCC(C(F)(F)F)CC1)[N+](=O)[O-] ZINC000851128005 634237950 /nfs/dbraw/zinc/23/79/50/634237950.db2.gz DILTVBSYQXICTD-UHFFFAOYSA-N 0 0 297.273 2.954 20 5 CFBDRN CC[C@@H](CC(F)(F)F)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129436 634238326 /nfs/dbraw/zinc/23/83/26/634238326.db2.gz MZTVLNZUSXQARK-ZETCQYMHSA-N 0 0 271.235 2.564 20 5 CFBDRN CC(C)(COC(=O)CC[C@H]1CC1(Cl)Cl)[N+](=O)[O-] ZINC000851129915 634238573 /nfs/dbraw/zinc/23/85/73/634238573.db2.gz MLGLQVWXCPLFGR-ZETCQYMHSA-N 0 0 284.139 2.559 20 5 CFBDRN C[C@@H]1[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])[C@@H]1c1ccccc1 ZINC000851129360 634238641 /nfs/dbraw/zinc/23/86/41/634238641.db2.gz KURXKWZOZIQOBM-WCFLWFBJSA-N 0 0 277.320 2.635 20 5 CFBDRN CC(C)(COC(=O)c1cccc2c1OCC2(C)C)[N+](=O)[O-] ZINC000851129611 634238800 /nfs/dbraw/zinc/23/88/00/634238800.db2.gz MMTGNAYQELMRGK-UHFFFAOYSA-N 0 0 293.319 2.569 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CCCCC1(C)C)[N+](=O)[O-] ZINC000851130099 634239722 /nfs/dbraw/zinc/23/97/22/634239722.db2.gz QWSYKPFKQXFTGU-SNVBAGLBSA-N 0 0 257.330 2.801 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1csc([N+](=O)[O-])c1 ZINC000851228212 634280821 /nfs/dbraw/zinc/28/08/21/634280821.db2.gz CFDDDUVPLCFFQR-AYMMMOKOSA-N 0 0 267.306 2.859 20 5 CFBDRN C[C@@]1(COC(=O)c2ccccc2[N+](=O)[O-])CC1(F)F ZINC000851264764 634300131 /nfs/dbraw/zinc/30/01/31/634300131.db2.gz RCCXAJXFEXLCRN-NSHDSACASA-N 0 0 271.219 2.797 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@@H]1CCC1(F)F ZINC000851312598 634319140 /nfs/dbraw/zinc/31/91/40/634319140.db2.gz SZNAOLVPSRTNKN-JTQLQIEISA-N 0 0 285.246 2.726 20 5 CFBDRN COc1c(C(=O)OC[C@H]2C[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000851417870 634360606 /nfs/dbraw/zinc/36/06/06/634360606.db2.gz KQOBCBVHTFECTA-RTCCRHLQSA-N 0 0 291.303 2.806 20 5 CFBDRN CC1(C)Cc2n[nH]cc2CN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000852968252 634868372 /nfs/dbraw/zinc/86/83/72/634868372.db2.gz PDBUETXRKHBPAA-UHFFFAOYSA-N 0 0 286.335 2.907 20 5 CFBDRN Cc1cc(NC[C@H](O)CC(C)C)c([N+](=O)[O-])s1 ZINC000853240991 634949561 /nfs/dbraw/zinc/94/95/61/634949561.db2.gz PCSGQKSPJLYSBW-SECBINFHSA-N 0 0 258.343 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NC[C@@H]2CCCOC2)c1 ZINC000111319283 634997073 /nfs/dbraw/zinc/99/70/73/634997073.db2.gz YWRNVRLLOYXVFS-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1C[C@@H]2COC[C@@H]2C1 ZINC000853569331 635038234 /nfs/dbraw/zinc/03/82/34/635038234.db2.gz PQSWYZOWMNOKFR-AOOOYVTPSA-N 0 0 284.262 2.615 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H]2CC(C)=NO2)c([N+](=O)[O-])c1 ZINC000853660519 635064739 /nfs/dbraw/zinc/06/47/39/635064739.db2.gz BABRNBSUMOYZEQ-LLVKDONJSA-N 0 0 291.307 2.764 20 5 CFBDRN CO[C@@H](Cn1ccc2c1cccc2[N+](=O)[O-])[C@@H]1CCOC1 ZINC000853668456 635068219 /nfs/dbraw/zinc/06/82/19/635068219.db2.gz NSUKMZBUZCHLLM-ABAIWWIYSA-N 0 0 290.319 2.601 20 5 CFBDRN O=C1OCCN1c1cc([N+](=O)[O-])ccc1N[C@H]1C=CCC1 ZINC000853800494 635110914 /nfs/dbraw/zinc/11/09/14/635110914.db2.gz USWFJMSZOJLTQF-JTQLQIEISA-N 0 0 289.291 2.682 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000853799494 635110981 /nfs/dbraw/zinc/11/09/81/635110981.db2.gz HQDOPRIDZRZITE-SNVBAGLBSA-N 0 0 276.292 2.902 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1c1cccc(F)c1[N+](=O)[O-] ZINC000853808061 635113084 /nfs/dbraw/zinc/11/30/84/635113084.db2.gz BXEXBXUFGYXXOE-SNVBAGLBSA-N 0 0 280.299 2.882 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cccc(F)c2[N+](=O)[O-])C1 ZINC000853809243 635113214 /nfs/dbraw/zinc/11/32/14/635113214.db2.gz MHJNEUIPQCXSFK-KYZUINATSA-N 0 0 254.261 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000853826303 635119495 /nfs/dbraw/zinc/11/94/95/635119495.db2.gz APQKBHZHVNZHIX-SECBINFHSA-N 0 0 293.279 2.627 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@H]3COC4(CCC4)C3)nc2c1 ZINC000853829840 635121303 /nfs/dbraw/zinc/12/13/03/635121303.db2.gz XRVVMCJZLAALHC-VIFPVBQESA-N 0 0 288.307 2.595 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@]12OCCC[C@H]1C2(F)F ZINC000853847695 635130026 /nfs/dbraw/zinc/13/00/26/635130026.db2.gz ZZLGOQQJYMZFRY-SCZZXKLOSA-N 0 0 290.291 2.883 20 5 CFBDRN Cn1ncc(C2CC2)c1CNc1cccc(F)c1[N+](=O)[O-] ZINC000853847958 635130177 /nfs/dbraw/zinc/13/01/77/635130177.db2.gz JOFYGWIBFPIPEW-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN O=C(OCC1CCOCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000111678040 635136194 /nfs/dbraw/zinc/13/61/94/635136194.db2.gz MZVLYRBCZFFMRX-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN CCCCOCCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000111678738 635136659 /nfs/dbraw/zinc/13/66/59/635136659.db2.gz JXQFRMCPFZCJDW-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000853861885 635137813 /nfs/dbraw/zinc/13/78/13/635137813.db2.gz IEQOTJZJVYSLOG-LDYMZIIASA-N 0 0 270.716 2.598 20 5 CFBDRN O=C(NCC1SCCS1)Nc1cccc([N+](=O)[O-])c1 ZINC000853861843 635137941 /nfs/dbraw/zinc/13/79/41/635137941.db2.gz GZQAWVAVJHRLMV-UHFFFAOYSA-N 0 0 299.377 2.522 20 5 CFBDRN CCc1ccsc1C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853892889 635148481 /nfs/dbraw/zinc/14/84/81/635148481.db2.gz ATJYJUDKVXSAIR-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN CC1(C)[C@@H](O)CCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000853899532 635151502 /nfs/dbraw/zinc/15/15/02/635151502.db2.gz XQCHAXBKRGVTAA-NSHDSACASA-N 0 0 293.348 2.554 20 5 CFBDRN CC1(C)[C@H](O)CCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000853899530 635152322 /nfs/dbraw/zinc/15/23/22/635152322.db2.gz XQCHAXBKRGVTAA-LLVKDONJSA-N 0 0 293.348 2.554 20 5 CFBDRN COc1cc(N2CC[C@H]3C[C@H]3C2)c([N+](=O)[O-])cc1C ZINC000853902907 635153826 /nfs/dbraw/zinc/15/38/26/635153826.db2.gz OIPLKHZWONUYPP-QWRGUYRKSA-N 0 0 262.309 2.758 20 5 CFBDRN Cc1noc(COC(=O)C[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000853903545 635154090 /nfs/dbraw/zinc/15/40/90/635154090.db2.gz DIADBKUPEJAMJV-WCBMZHEXSA-N 0 0 282.296 2.761 20 5 CFBDRN O=C(OCCOC(F)F)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000853906917 635156615 /nfs/dbraw/zinc/15/66/15/635156615.db2.gz LHZRVXWOJLMKOD-UHFFFAOYSA-N 0 0 295.625 2.644 20 5 CFBDRN Cc1noc(COC(=O)c2occc2C(C)C)c1[N+](=O)[O-] ZINC000853915379 635161250 /nfs/dbraw/zinc/16/12/50/635161250.db2.gz BUPAORPKVCXQAT-UHFFFAOYSA-N 0 0 294.263 2.965 20 5 CFBDRN Cc1noc(COC(=O)[C@]2(C)CC=CCC2)c1[N+](=O)[O-] ZINC000853920216 635163353 /nfs/dbraw/zinc/16/33/53/635163353.db2.gz ONFZPPAPGNEEIT-CYBMUJFWSA-N 0 0 280.280 2.681 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000853920710 635164093 /nfs/dbraw/zinc/16/40/93/635164093.db2.gz MAGLAOHVOIEXRA-SCZZXKLOSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000853920711 635164778 /nfs/dbraw/zinc/16/47/78/635164778.db2.gz MAGLAOHVOIEXRA-WCBMZHEXSA-N 0 0 282.296 2.761 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2c1ccc(N)cc1[N+](=O)[O-] ZINC000853963976 635179655 /nfs/dbraw/zinc/17/96/55/635179655.db2.gz DHUJTXORUXUKBL-CLYYMRHHSA-N 0 0 261.325 2.944 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000853965716 635180651 /nfs/dbraw/zinc/18/06/51/635180651.db2.gz KLSGIVNIXBESIR-SECBINFHSA-N 0 0 295.295 2.730 20 5 CFBDRN CC(C)(CCc1noc([C@H]2CCC2(C)C)n1)[N+](=O)[O-] ZINC000854061162 635201842 /nfs/dbraw/zinc/20/18/42/635201842.db2.gz YEMQKBMBNDUXGQ-SECBINFHSA-N 0 0 267.329 2.961 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1CC2(CC2(F)F)C1 ZINC000854128392 635217822 /nfs/dbraw/zinc/21/78/22/635217822.db2.gz GLRBHMTVWPYTRI-UHFFFAOYSA-N 0 0 282.246 2.969 20 5 CFBDRN Cc1c(NC(=O)NOCC2CCC2)cccc1[N+](=O)[O-] ZINC000854186760 635246984 /nfs/dbraw/zinc/24/69/84/635246984.db2.gz VTPQNYVROYISJV-UHFFFAOYSA-N 0 0 279.296 2.756 20 5 CFBDRN CCC(F)(F)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000854415189 635300579 /nfs/dbraw/zinc/30/05/79/635300579.db2.gz DEAYFDRCFFLCEL-UHFFFAOYSA-N 0 0 286.278 2.641 20 5 CFBDRN Nc1ccc(NC(=O)CC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000854496894 635317405 /nfs/dbraw/zinc/31/74/05/635317405.db2.gz SYRGEKXTKDZNLX-UHFFFAOYSA-N 0 0 285.250 2.551 20 5 CFBDRN Nc1ccc(NC(=O)C=C2CCCC2)cc1[N+](=O)[O-] ZINC000854496057 635317925 /nfs/dbraw/zinc/31/79/25/635317925.db2.gz CIWPMRGRQLFMBL-UHFFFAOYSA-N 0 0 261.281 2.616 20 5 CFBDRN CSCCCCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497183 635318276 /nfs/dbraw/zinc/31/82/76/635318276.db2.gz KGTDFMAZPJAYDS-UHFFFAOYSA-N 0 0 283.353 2.649 20 5 CFBDRN Cc1nc(C(C)C)oc1CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000854592454 635345416 /nfs/dbraw/zinc/34/54/16/635345416.db2.gz LXGCBEDEEFAUFL-UHFFFAOYSA-N 0 0 297.355 2.558 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1C=CCC1 ZINC000855431299 635543338 /nfs/dbraw/zinc/54/33/38/635543338.db2.gz UWHASXDNODZYSY-KBPBESRZSA-N 0 0 288.347 2.998 20 5 CFBDRN CC(C)(C(=O)N[C@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000855433302 635545061 /nfs/dbraw/zinc/54/50/61/635545061.db2.gz QTIJMAPJWFYPSE-NSHDSACASA-N 0 0 274.320 2.707 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000855432527 635545226 /nfs/dbraw/zinc/54/52/26/635545226.db2.gz KIXWXMLTMJAOHQ-CMPLNLGQSA-N 0 0 260.293 2.533 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccoc1C ZINC000113677142 635648153 /nfs/dbraw/zinc/64/81/53/635648153.db2.gz QRBYNEYJKFZAHT-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@]1(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000855801554 635654671 /nfs/dbraw/zinc/65/46/71/635654671.db2.gz QMBNIGDZIQVXOI-WXJAXGNASA-N 0 0 296.273 2.770 20 5 CFBDRN CC[C@@](C)(NC(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CC1 ZINC000855876691 635679598 /nfs/dbraw/zinc/67/95/98/635679598.db2.gz HPXKYORSBLWAGL-CQSZACIVSA-N 0 0 295.314 2.625 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@H]2C[C@H]2C1 ZINC000856058592 635711225 /nfs/dbraw/zinc/71/12/25/635711225.db2.gz BAWMKHFZHUYUET-UWVGGRQHSA-N 0 0 278.283 2.524 20 5 CFBDRN C/C(=C\C(=O)N1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000856063238 635712695 /nfs/dbraw/zinc/71/26/95/635712695.db2.gz QHKPLAYFRXMVQU-DVFZLIDBSA-N 0 0 286.331 2.867 20 5 CFBDRN O=C(NOCC1CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000856342943 635787172 /nfs/dbraw/zinc/78/71/72/635787172.db2.gz RSTGGCWFGNVALY-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN O=C(NOCC1CCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000856348299 635790330 /nfs/dbraw/zinc/79/03/30/635790330.db2.gz CVOJUEPPUJIGEC-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NOCC1CCC1 ZINC000856350056 635792486 /nfs/dbraw/zinc/79/24/86/635792486.db2.gz QGKCXKLANIFCCR-LUAWRHEFSA-N 0 0 290.319 2.846 20 5 CFBDRN COc1ccc(OC[C@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000011674618 635976028 /nfs/dbraw/zinc/97/60/28/635976028.db2.gz MLLHDUDIHYXNPY-AWEZNQCLSA-N 0 0 289.287 2.716 20 5 CFBDRN C[C@@H](CC(=O)Nc1cc([N+](=O)[O-])ccc1F)[C@@H]1CCCO1 ZINC000857571847 635985464 /nfs/dbraw/zinc/98/54/64/635985464.db2.gz OAIOPXNMVAQOJR-ZANVPECISA-N 0 0 296.298 2.878 20 5 CFBDRN CO[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)C1CCCC1 ZINC000857572308 635985468 /nfs/dbraw/zinc/98/54/68/635985468.db2.gz YDPBCROSEJVSDJ-CYBMUJFWSA-N 0 0 296.298 2.878 20 5 CFBDRN CSC(C)(C)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000857574919 635986366 /nfs/dbraw/zinc/98/63/66/635986366.db2.gz GBGWDVQYRWCOOY-UHFFFAOYSA-N 0 0 290.291 2.953 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)C1 ZINC000857618706 635995880 /nfs/dbraw/zinc/99/58/80/635995880.db2.gz UMPNMHIYVZAZRI-NXEZZACHSA-N 0 0 296.298 2.796 20 5 CFBDRN O=C(Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)[C@H]1CC12CCC2 ZINC000857637006 635999585 /nfs/dbraw/zinc/99/95/85/635999585.db2.gz UQKCCIURHPBQPO-LLVKDONJSA-N 0 0 292.247 2.599 20 5 CFBDRN O=C(C(F)F)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000857666322 636006452 /nfs/dbraw/zinc/00/64/52/636006452.db2.gz FAMGURSWKNJDAI-UHFFFAOYSA-N 0 0 270.235 2.529 20 5 CFBDRN C/C=C\CNC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000858292743 636178576 /nfs/dbraw/zinc/17/85/76/636178576.db2.gz MJTFIMMXSFSEHV-IHWYPQMZSA-N 0 0 283.715 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(c2nccnc2F)C2CC2)cc1 ZINC000858461202 636252630 /nfs/dbraw/zinc/25/26/30/636252630.db2.gz JOZJXOCSWWBIEQ-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@@H]2c2cn[nH]c2)c(F)c1 ZINC000858618745 636309658 /nfs/dbraw/zinc/30/96/58/636309658.db2.gz FLXWQILVABAWAF-GFCCVEGCSA-N 0 0 294.261 2.938 20 5 CFBDRN CCn1ncc(CNc2ccc(C(F)F)cc2[N+](=O)[O-])n1 ZINC000858652351 636316827 /nfs/dbraw/zinc/31/68/27/636316827.db2.gz GVHYSYGITDBIOF-UHFFFAOYSA-N 0 0 297.265 2.756 20 5 CFBDRN CCC[C@H](CNc1ccc([N+](=O)[O-])c2nonc21)OCC ZINC000858656468 636318366 /nfs/dbraw/zinc/31/83/66/636318366.db2.gz GBWIDPXDKKQLBY-SECBINFHSA-N 0 0 294.311 2.748 20 5 CFBDRN CCC[C@H](CNc1cc(N)ccc1[N+](=O)[O-])OCC ZINC000858657037 636319720 /nfs/dbraw/zinc/31/97/20/636319720.db2.gz RLEXLVINCGBZGA-LLVKDONJSA-N 0 0 267.329 2.794 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000858735493 636336359 /nfs/dbraw/zinc/33/63/59/636336359.db2.gz VXVDTLOJUHTVEV-LLVKDONJSA-N 0 0 294.282 2.798 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000858775748 636343820 /nfs/dbraw/zinc/34/38/20/636343820.db2.gz HSDIBJJMYHGLMT-VXFNFUGZSA-N 0 0 295.295 2.727 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1c1ccc([N+](=O)[O-])c2nonc21 ZINC000858777463 636343931 /nfs/dbraw/zinc/34/39/31/636343931.db2.gz NNSLVADQMKTCTI-ZETCQYMHSA-N 0 0 298.249 2.755 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000858777137 636344042 /nfs/dbraw/zinc/34/40/42/636344042.db2.gz FXERXXKDTRBUGP-UOKLYIGXSA-N 0 0 295.295 2.727 20 5 CFBDRN CCC(O)(CC)CN(C)c1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000858833538 636354268 /nfs/dbraw/zinc/35/42/68/636354268.db2.gz NBZKQNRVMUJHSJ-UHFFFAOYSA-N 0 0 296.367 2.899 20 5 CFBDRN CC1(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000159227421 650252746 /nfs/dbraw/zinc/25/27/46/650252746.db2.gz WYQNJZAKCYVKIH-UHFFFAOYSA-N 0 0 254.673 2.987 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1ccnc(F)c1)C2 ZINC000859208163 636504744 /nfs/dbraw/zinc/50/47/44/636504744.db2.gz JBZHYJRWZUEICY-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN Cn1nc(CN2CCc3ccc([N+](=O)[O-])cc32)cc1Cl ZINC000859249098 636521996 /nfs/dbraw/zinc/52/19/96/636521996.db2.gz SARXJDMPBINETB-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000859446269 636565776 /nfs/dbraw/zinc/56/57/76/636565776.db2.gz AWFXSNJLZWWSFC-NXEZZACHSA-N 0 0 281.333 2.886 20 5 CFBDRN CCO[C@H](COC(=O)c1cc(C)cc([N+](=O)[O-])c1)C1CC1 ZINC000859523197 636588933 /nfs/dbraw/zinc/58/89/33/636588933.db2.gz QAYWDCRHOWSSDE-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN CC1(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000859581717 636609063 /nfs/dbraw/zinc/60/90/63/636609063.db2.gz RKGJSJDLMCZOFL-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN(C1CC1)C1CC1 ZINC000859621966 636625244 /nfs/dbraw/zinc/62/52/44/636625244.db2.gz ASGPQGSCNUNRRR-UHFFFAOYSA-N 0 0 250.273 2.861 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000859664597 636644341 /nfs/dbraw/zinc/64/43/41/636644341.db2.gz ICOYIWZVTGJPPY-WCBMZHEXSA-N 0 0 283.711 2.990 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H]1C[C@@H]1C ZINC000859673517 636647051 /nfs/dbraw/zinc/64/70/51/636647051.db2.gz ULOHHZKHYXEXPQ-UWVGGRQHSA-N 0 0 279.292 2.806 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000859692409 636654197 /nfs/dbraw/zinc/65/41/97/636654197.db2.gz VGUYJXGYZLSSCU-HTQZYQBOSA-N 0 0 285.246 2.615 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000859704556 636658801 /nfs/dbraw/zinc/65/88/01/636658801.db2.gz RNVNVPHBXBCDOY-IUCAKERBSA-N 0 0 296.298 2.613 20 5 CFBDRN Cc1noc(COC(=O)C[C@@H](C)c2ccco2)c1[N+](=O)[O-] ZINC000859815794 636706450 /nfs/dbraw/zinc/70/64/50/636706450.db2.gz SYXMDASHVFHGMN-MRVPVSSYSA-N 0 0 294.263 2.721 20 5 CFBDRN COCCCCCOC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000116989690 636713045 /nfs/dbraw/zinc/71/30/45/636713045.db2.gz DILRQMNGBOPMGK-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN CCC[C@H](OCC)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000859833945 636717098 /nfs/dbraw/zinc/71/70/98/636717098.db2.gz IIBIRKOOCCTMME-ZDUSSCGKSA-N 0 0 281.308 2.843 20 5 CFBDRN COC1CC(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000859846909 636723154 /nfs/dbraw/zinc/72/31/54/636723154.db2.gz MHKHECOAFXXUIA-UHFFFAOYSA-N 0 0 299.710 2.716 20 5 CFBDRN COC1CC(C(=O)O[C@@H](C)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000859846804 636723165 /nfs/dbraw/zinc/72/31/65/636723165.db2.gz IFZCSCQEEQDMGS-ZBOXLXRLSA-N 0 0 293.319 2.932 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1cnn(C2CC2)c1 ZINC000859890506 636738390 /nfs/dbraw/zinc/73/83/90/636738390.db2.gz GIIDKWZWSOGYLT-UHFFFAOYSA-N 0 0 293.304 2.545 20 5 CFBDRN CSc1ccc(C(=O)OCCOC(C)C)cc1[N+](=O)[O-] ZINC000194280327 636768190 /nfs/dbraw/zinc/76/81/90/636768190.db2.gz IBTYWLGIOWIQHL-UHFFFAOYSA-N 0 0 299.348 2.899 20 5 CFBDRN Cc1ncc(COC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000194269011 636768422 /nfs/dbraw/zinc/76/84/22/636768422.db2.gz JDTUQVNZYGKFLZ-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000860136079 636797111 /nfs/dbraw/zinc/79/71/11/636797111.db2.gz WELWKKNTOXSPMC-DYNIEEOBSA-N 0 0 293.319 2.698 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000860140759 636800305 /nfs/dbraw/zinc/80/03/05/636800305.db2.gz HPUPOUUAOCTSFU-KZWBYHQPSA-N 0 0 293.319 2.698 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C13CC(C1)C3)CC2 ZINC000860587205 636919400 /nfs/dbraw/zinc/91/94/00/636919400.db2.gz IISOAXPPIZWANK-UHFFFAOYSA-N 0 0 272.304 2.592 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1C(F)(F)F ZINC000860788230 636972089 /nfs/dbraw/zinc/97/20/89/636972089.db2.gz RFOAWRXPXTVNTF-QMMMGPOBSA-N 0 0 291.225 2.836 20 5 CFBDRN CCC[C@@H](C(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860912866 637015108 /nfs/dbraw/zinc/01/51/08/637015108.db2.gz GKLUACIEBRLBFG-CYBMUJFWSA-N 0 0 265.309 2.780 20 5 CFBDRN CC(C)c1cccc(O[C@@H](C)C(=O)OCCC[N+](=O)[O-])c1 ZINC000860913479 637015166 /nfs/dbraw/zinc/01/51/66/637015166.db2.gz BZFIUUSCACQZKS-LBPRGKRZSA-N 0 0 295.335 2.787 20 5 CFBDRN Cc1[nH]c2ccc(C(=O)OCCC[N+](=O)[O-])cc2c1C ZINC000860912266 637015171 /nfs/dbraw/zinc/01/51/71/637015171.db2.gz JPRDCGYGJVNDFG-UHFFFAOYSA-N 0 0 276.292 2.608 20 5 CFBDRN Cn1c2ccccc2c(Cl)c1C(=O)OCCC[N+](=O)[O-] ZINC000860916752 637016006 /nfs/dbraw/zinc/01/60/06/637016006.db2.gz WJBGDKLBUIGLJN-UHFFFAOYSA-N 0 0 296.710 2.655 20 5 CFBDRN Cc1c2cccc(C)c2oc1C(=O)OCCC[N+](=O)[O-] ZINC000860916004 637016176 /nfs/dbraw/zinc/01/61/76/637016176.db2.gz LNXLJUXCKMIYBF-UHFFFAOYSA-N 0 0 277.276 2.873 20 5 CFBDRN C[C@@H](Cc1ccccc1Cl)C(=O)OCCC[N+](=O)[O-] ZINC000860916973 637016445 /nfs/dbraw/zinc/01/64/45/637016445.db2.gz CVJWLGDYPWZIFM-JTQLQIEISA-N 0 0 285.727 2.729 20 5 CFBDRN CCc1ccc2occ(C(=O)OCCC[N+](=O)[O-])c2c1 ZINC000860918515 637017017 /nfs/dbraw/zinc/01/70/17/637017017.db2.gz KZFGUYSSWWDRPQ-UHFFFAOYSA-N 0 0 277.276 2.819 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc(C(F)(F)F)s1 ZINC000860918294 637017040 /nfs/dbraw/zinc/01/70/40/637017040.db2.gz FZSKJKQTYFSDGH-UHFFFAOYSA-N 0 0 283.227 2.591 20 5 CFBDRN Cc1ccc2c(CCC(=O)OCCC[N+](=O)[O-])c[nH]c2c1 ZINC000860917915 637017071 /nfs/dbraw/zinc/01/70/71/637017071.db2.gz SVUAIPRVYOZOOH-UHFFFAOYSA-N 0 0 290.319 2.619 20 5 CFBDRN Cc1cc(C(=O)OCCC[N+](=O)[O-])nn1C1CCCCC1 ZINC000860920198 637017149 /nfs/dbraw/zinc/01/71/49/637017149.db2.gz VAEDJNZMMCWLND-UHFFFAOYSA-N 0 0 295.339 2.520 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1CCC(C(F)(F)F)CC1 ZINC000860921555 637017890 /nfs/dbraw/zinc/01/78/90/637017890.db2.gz WEZDYAWIWBYOSS-UHFFFAOYSA-N 0 0 283.246 2.565 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc(C(F)F)ccc1F ZINC000860922278 637017893 /nfs/dbraw/zinc/01/78/93/637017893.db2.gz LULRITCITRJXNM-UHFFFAOYSA-N 0 0 277.198 2.587 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@H](F)CC1CCCCC1 ZINC000860921510 637017902 /nfs/dbraw/zinc/01/79/02/637017902.db2.gz VLLKUCJBPSUSKR-LLVKDONJSA-N 0 0 261.293 2.505 20 5 CFBDRN CN(OCC(F)(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000860947610 637028139 /nfs/dbraw/zinc/02/81/39/637028139.db2.gz IEGKMJZBBSJXOF-UHFFFAOYSA-N 0 0 293.201 2.552 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC[C@@H]1C[C@@H]1C(C)(C)C ZINC000861111086 637089567 /nfs/dbraw/zinc/08/95/67/637089567.db2.gz IELNDQKHQKHMHB-ONGXEEELSA-N 0 0 280.324 2.772 20 5 CFBDRN CO[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000861214678 637119113 /nfs/dbraw/zinc/11/91/13/637119113.db2.gz LSGUDKJQNAWNBF-JTQLQIEISA-N 0 0 288.303 2.513 20 5 CFBDRN CC(F)(F)COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000861221600 637120922 /nfs/dbraw/zinc/12/09/22/637120922.db2.gz KCIFPCZLBGIGIW-WDEREUQCSA-N 0 0 285.246 2.897 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc2ccccc21)N1CC2(CC2)C1 ZINC000861854763 637245695 /nfs/dbraw/zinc/24/56/95/637245695.db2.gz RTRKKMCHYRNEJC-UHFFFAOYSA-N 0 0 282.299 2.984 20 5 CFBDRN CC(=O)CCCCCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000862073876 637269208 /nfs/dbraw/zinc/26/92/08/637269208.db2.gz BPIZLPGPLFZVLG-UHFFFAOYSA-N 0 0 298.364 2.926 20 5 CFBDRN CC[C@H](C)c1cccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC000862486496 637400686 /nfs/dbraw/zinc/40/06/86/637400686.db2.gz FWIGCQAPJFQROD-ZWKOPEQDSA-N 0 0 262.309 2.804 20 5 CFBDRN CCCn1cc(NC(=O)Nc2cccc([N+](=O)[O-])c2)cn1 ZINC000075504940 650333926 /nfs/dbraw/zinc/33/39/26/650333926.db2.gz JYJQXUOTUDANLX-UHFFFAOYSA-N 0 0 289.295 2.845 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000075506035 650334050 /nfs/dbraw/zinc/33/40/50/650334050.db2.gz BQQOZEJEIYNWLZ-MRVPVSSYSA-N 0 0 295.295 2.682 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@H]1CC=CCC1)[N+](=O)[O-] ZINC000862946282 637523965 /nfs/dbraw/zinc/52/39/65/637523965.db2.gz HSKZORMZEWFHCO-LBPRGKRZSA-N 0 0 268.357 2.685 20 5 CFBDRN Cc1ccc(OC(=O)[C@H](C)C2CCOCC2)cc1[N+](=O)[O-] ZINC000119234953 637538863 /nfs/dbraw/zinc/53/88/63/637538863.db2.gz VGJOTAMGVYPMND-LLVKDONJSA-N 0 0 293.319 2.871 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@H]2C[C@H]3C=C[C@@H]2C3)c1 ZINC000863295835 637619617 /nfs/dbraw/zinc/61/96/17/637619617.db2.gz GORSHCQGJGTQBH-CYZMBNFOSA-N 0 0 273.288 2.998 20 5 CFBDRN CCCOCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000863312786 637624553 /nfs/dbraw/zinc/62/45/53/637624553.db2.gz IIPBVVZGNSPSCW-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1cc(Cl)n(C)n1 ZINC000863343749 637632450 /nfs/dbraw/zinc/63/24/50/637632450.db2.gz LFSREZCRRCBYAS-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN C[C@]1(C(=O)[O-])CCC[N@@H+]1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000863532072 637685963 /nfs/dbraw/zinc/68/59/63/637685963.db2.gz DHADNYJDNIYRCR-CYBMUJFWSA-N 0 0 298.726 2.687 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1C[C@H]1c1ccco1 ZINC000863859091 637799723 /nfs/dbraw/zinc/79/97/23/637799723.db2.gz JJOZMPZAURJKSH-VXGBXAGGSA-N 0 0 286.287 2.608 20 5 CFBDRN Nc1ccc(NCc2cccc3c2OCC3)c([N+](=O)[O-])c1 ZINC000864023447 637836784 /nfs/dbraw/zinc/83/67/84/637836784.db2.gz KXIKNTYMZOGDAA-UHFFFAOYSA-N 0 0 285.303 2.724 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2C)cc(C(N)=O)c1 ZINC000864029733 637837574 /nfs/dbraw/zinc/83/75/74/637837574.db2.gz BFYCVBWRLHBZIA-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN Cc1n[nH]cc1NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000120023523 637957136 /nfs/dbraw/zinc/95/71/36/637957136.db2.gz LZUUPKMOMKWMDT-UHFFFAOYSA-N 0 0 295.686 2.924 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000864404690 637963690 /nfs/dbraw/zinc/96/36/90/637963690.db2.gz LRLQHRNFAOMVQJ-ZJNJWXDTSA-N 0 0 260.293 2.825 20 5 CFBDRN CC[C@H](C)NC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000864420322 637971604 /nfs/dbraw/zinc/97/16/04/637971604.db2.gz NAXUEZIVCZNIKO-JTQLQIEISA-N 0 0 265.313 2.501 20 5 CFBDRN CCCC(=O)c1cn(-c2ccc(Cl)cc2[N+](=O)[O-])nn1 ZINC000864628925 638053328 /nfs/dbraw/zinc/05/33/28/638053328.db2.gz TWXGWTJRZQFJQH-UHFFFAOYSA-N 0 0 294.698 2.812 20 5 CFBDRN O=C(Nc1n[nH]c2ccccc21)c1csc([N+](=O)[O-])c1 ZINC000076462780 650391826 /nfs/dbraw/zinc/39/18/26/650391826.db2.gz MVEONZPQLIFTSS-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@]12C[C@@H]1CCCC2 ZINC000864946493 638133061 /nfs/dbraw/zinc/13/30/61/638133061.db2.gz MIQHIKVXEHYXCN-WFASDCNBSA-N 0 0 274.320 2.791 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1)C(=O)C(C)(C)C ZINC000865341443 638273032 /nfs/dbraw/zinc/27/30/32/638273032.db2.gz PEGRNSVFXFZNAN-VIFPVBQESA-N 0 0 251.282 2.977 20 5 CFBDRN O=Cc1ccc(OCc2coc(C3CC3)n2)c([N+](=O)[O-])c1 ZINC000865345603 638274851 /nfs/dbraw/zinc/27/48/51/638274851.db2.gz BUAYZJREWYYEQG-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCSC(C)(C)C)c1=O ZINC000865360463 638282694 /nfs/dbraw/zinc/28/26/94/638282694.db2.gz HINQBLZYRKXGIX-UHFFFAOYSA-N 0 0 284.381 2.987 20 5 CFBDRN Cc1ccc(CO[C@@H](C)Cc2ncc[nH]2)cc1[N+](=O)[O-] ZINC000865362415 638283625 /nfs/dbraw/zinc/28/36/25/638283625.db2.gz UTORIUFBLVYHDH-NSHDSACASA-N 0 0 275.308 2.774 20 5 CFBDRN O=c1oc(-c2ccccc2[N+](=O)[O-])nn1CCCC1CC1 ZINC000865366467 638286795 /nfs/dbraw/zinc/28/67/95/638286795.db2.gz FNNSDSKSWGKRBX-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN CCc1csc(=O)n1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000865368647 638289244 /nfs/dbraw/zinc/28/92/44/638289244.db2.gz CGCFCBMBSQTMQA-UHFFFAOYSA-N 0 0 278.333 2.737 20 5 CFBDRN CCOC(=O)CCSCc1cc(F)ccc1[N+](=O)[O-] ZINC000865377936 638296148 /nfs/dbraw/zinc/29/61/48/638296148.db2.gz FBBDHGKYCRDMCQ-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN CC(C)(C)CCCn1nc([N+](=O)[O-])nc1Br ZINC000865389036 638302505 /nfs/dbraw/zinc/30/25/05/638302505.db2.gz IHWCJPPGSDIVLU-UHFFFAOYSA-N 0 0 291.149 2.775 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC2CCCCC2)cc1F ZINC000865398541 638308930 /nfs/dbraw/zinc/30/89/30/638308930.db2.gz XTWZZLMRCUMHNJ-UHFFFAOYSA-N 0 0 268.288 2.971 20 5 CFBDRN C[C@@H](O)CN(C)c1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000865466850 638354930 /nfs/dbraw/zinc/35/49/30/638354930.db2.gz CDOWNJVUCLQUMS-ZCFIWIBFSA-N 0 0 279.123 2.719 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000865584513 638440826 /nfs/dbraw/zinc/44/08/26/638440826.db2.gz VLNWWESWCTZYAL-SECBINFHSA-N 0 0 272.251 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2[C@@H]3COC[C@@H]32)c(C(F)F)c1 ZINC000865749405 638550787 /nfs/dbraw/zinc/55/07/87/638550787.db2.gz SALOTSYTJBFBJS-JZYVYDRUSA-N 0 0 270.235 2.589 20 5 CFBDRN CC(C)(C)C1CN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865869080 638627089 /nfs/dbraw/zinc/62/70/89/638627089.db2.gz VZWSTNWNADGFQV-UHFFFAOYSA-N 0 0 282.315 2.709 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000865881566 638640780 /nfs/dbraw/zinc/64/07/80/638640780.db2.gz BJDRRFZVAIVGKU-OGXFKERWSA-N 0 0 272.251 2.858 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)CCO1 ZINC000865883226 638642172 /nfs/dbraw/zinc/64/21/72/638642172.db2.gz BXGHXDGHGAJRBK-GHMZBOCLSA-N 0 0 298.314 2.596 20 5 CFBDRN Cc1n[nH]cc1CN(C)c1ccc(C)cc1[N+](=O)[O-] ZINC000865901416 638650228 /nfs/dbraw/zinc/65/02/28/638650228.db2.gz VTMRKQPVXFKDTL-UHFFFAOYSA-N 0 0 260.297 2.571 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2cc(F)c([N+](=O)[O-])cc2CO)O1 ZINC000865943564 638669226 /nfs/dbraw/zinc/66/92/26/638669226.db2.gz UGEUAFVDJDHLKS-ONGXEEELSA-N 0 0 298.314 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1NCC1COC1 ZINC000865971435 638681482 /nfs/dbraw/zinc/68/14/82/638681482.db2.gz APXJLGBELFTJJV-UHFFFAOYSA-N 0 0 277.107 2.960 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC(C)(C)F ZINC000865986437 638687506 /nfs/dbraw/zinc/68/75/06/638687506.db2.gz APOYIYOSINTNRE-UHFFFAOYSA-N 0 0 254.261 2.957 20 5 CFBDRN CCC[C@H](CCO)Nc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000866003472 638693340 /nfs/dbraw/zinc/69/33/40/638693340.db2.gz SUIRTOWYVHTHNQ-SNVBAGLBSA-N 0 0 298.339 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(CCF)CC2)c2nonc21 ZINC000866024135 638702516 /nfs/dbraw/zinc/70/25/16/638702516.db2.gz FBXOLUGUCOPENN-UHFFFAOYSA-N 0 0 294.286 2.707 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1[C@@H]2OC[C@H]3C[C@H]1C[C@H]23 ZINC000866043852 638709055 /nfs/dbraw/zinc/70/90/55/638709055.db2.gz NLLBGLATSHFNTC-XTKTYJBNSA-N 0 0 278.283 2.569 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)c(F)c1 ZINC000866044170 638709277 /nfs/dbraw/zinc/70/92/77/638709277.db2.gz GJMWSRHFKRMIEO-KRPZOSDJSA-N 0 0 296.273 2.708 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCC[C@@H]2F)c(F)c1 ZINC000866045141 638709910 /nfs/dbraw/zinc/70/99/10/638709910.db2.gz FHBWFUKEFUVTJD-WCBMZHEXSA-N 0 0 257.240 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)c1 ZINC000866045241 638710076 /nfs/dbraw/zinc/71/00/76/638710076.db2.gz MAUDDWGAVIGPHK-YMYJPDDMSA-N 0 0 274.320 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@@H](C(F)F)CC1 ZINC000866064314 638719588 /nfs/dbraw/zinc/71/95/88/638719588.db2.gz NETPJGJHLUJXNP-GFCCVEGCSA-N 0 0 286.278 2.764 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000866069113 638721271 /nfs/dbraw/zinc/72/12/71/638721271.db2.gz BMOUETKOHBXHBA-AAEUAGOBSA-N 0 0 264.325 2.907 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000866069497 638722489 /nfs/dbraw/zinc/72/24/89/638722489.db2.gz FCUDQQQHDKQZQE-WCBMZHEXSA-N 0 0 285.731 2.647 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000866083104 638729133 /nfs/dbraw/zinc/72/91/33/638729133.db2.gz KYXFYHHZORXQFA-GXFFZTMASA-N 0 0 293.323 2.626 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H]2CCCS2)c(F)c1 ZINC000866091043 638731739 /nfs/dbraw/zinc/73/17/39/638731739.db2.gz BHOGPOLPNWOZAV-VIFPVBQESA-N 0 0 271.317 2.827 20 5 CFBDRN CC1(F)CCN(c2cc(F)c([N+](=O)[O-])cc2CO)CC1 ZINC000866100416 638737170 /nfs/dbraw/zinc/73/71/70/638737170.db2.gz UTLWPQMMIYMCLN-UHFFFAOYSA-N 0 0 286.278 2.555 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)NC1CC2(CSC2)C1 ZINC000866136140 638753975 /nfs/dbraw/zinc/75/39/75/638753975.db2.gz HFZLBXWKCMCBGS-UHFFFAOYSA-N 0 0 293.348 2.612 20 5 CFBDRN C[C@@]1(C(F)(F)F)CN(c2ccccc2[N+](=O)[O-])CCO1 ZINC000866159246 638760873 /nfs/dbraw/zinc/76/08/73/638760873.db2.gz CXEBMYRLHOBOJS-NSHDSACASA-N 0 0 290.241 2.752 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC23CCOCC3)nc1 ZINC000866173707 638763508 /nfs/dbraw/zinc/76/35/08/638763508.db2.gz ZRXYCMRXMYQELE-LLVKDONJSA-N 0 0 277.324 2.609 20 5 CFBDRN Cc1cc(NC[C@H]2CCC23CCOCC3)ncc1[N+](=O)[O-] ZINC000866174823 638763926 /nfs/dbraw/zinc/76/39/26/638763926.db2.gz MCKSGXGYUVVTOD-GFCCVEGCSA-N 0 0 291.351 2.917 20 5 CFBDRN COc1cccc(N2CC[C@H]3CSC[C@@H]3C2)c1[N+](=O)[O-] ZINC000866202418 638769112 /nfs/dbraw/zinc/76/91/12/638769112.db2.gz UNBKEILDQWBTIL-QWRGUYRKSA-N 0 0 294.376 2.793 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3CSC[C@@H]3C2)c(Cl)c1 ZINC000866203437 638769498 /nfs/dbraw/zinc/76/94/98/638769498.db2.gz MKIWKAYQMXCPAV-IUCAKERBSA-N 0 0 299.783 2.833 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H]2CSC[C@@H]2C1 ZINC000866204388 638769585 /nfs/dbraw/zinc/76/95/85/638769585.db2.gz XATNNSUUFYEAGI-IUCAKERBSA-N 0 0 299.783 2.833 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@H]2CSC[C@H]2C1 ZINC000866206051 638770016 /nfs/dbraw/zinc/77/00/16/638770016.db2.gz ITYPBLQWPVXGLX-NXEZZACHSA-N 0 0 282.340 2.923 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866206054 638770058 /nfs/dbraw/zinc/77/00/58/638770058.db2.gz ITYPBLQWPVXGLX-ZJUUUORDSA-N 0 0 282.340 2.923 20 5 CFBDRN CCOc1cccc(N2C[C@@H](O)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000866228989 638775091 /nfs/dbraw/zinc/77/50/91/638775091.db2.gz HLFTUTYARHELKA-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN COCCN(c1cc(C)ccc1[N+](=O)[O-])C1CCOCC1 ZINC000866265198 638783965 /nfs/dbraw/zinc/78/39/65/638783965.db2.gz YGZPCARUURUGCT-UHFFFAOYSA-N 0 0 294.351 2.535 20 5 CFBDRN Cc1cnc(N[C@@H]2C[C@H]2C2CCOCC2)c([N+](=O)[O-])c1 ZINC000866274156 638786806 /nfs/dbraw/zinc/78/68/06/638786806.db2.gz WZAZSSJKNXFSKZ-NWDGAFQWSA-N 0 0 277.324 2.525 20 5 CFBDRN CC(C)(C)OC1CN(c2sccc2[N+](=O)[O-])C1 ZINC000866279288 638787999 /nfs/dbraw/zinc/78/79/99/638787999.db2.gz OBSHRYMYQCNQKM-UHFFFAOYSA-N 0 0 256.327 2.660 20 5 CFBDRN CC(C)(C)OC1CN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000866281683 638788379 /nfs/dbraw/zinc/78/83/79/638788379.db2.gz JKSDISVXRJVANI-UHFFFAOYSA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1nn(C)c(Nc2ccc(N(C)C)c(F)c2)c1[N+](=O)[O-] ZINC000866282826 638788845 /nfs/dbraw/zinc/78/88/45/638788845.db2.gz OMQOWBQGJHZEDD-UHFFFAOYSA-N 0 0 293.302 2.585 20 5 CFBDRN CN1CCN(c2sccc2[N+](=O)[O-])Cc2cccnc21 ZINC000866293366 638790567 /nfs/dbraw/zinc/79/05/67/638790567.db2.gz LGPNIRXNCKVAOB-UHFFFAOYSA-N 0 0 290.348 2.508 20 5 CFBDRN Cc1cc(N2CCN(C)c3ncccc3C2)ccc1[N+](=O)[O-] ZINC000866293641 638790593 /nfs/dbraw/zinc/79/05/93/638790593.db2.gz WSWAODQYKXJIBW-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000866302087 638792273 /nfs/dbraw/zinc/79/22/73/638792273.db2.gz YKTOIZMOCYJEJP-IUCAKERBSA-N 0 0 286.303 2.704 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866540709 638838823 /nfs/dbraw/zinc/83/88/23/638838823.db2.gz BNRSAJVQUKZDTC-AOOOYVTPSA-N 0 0 280.299 2.676 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)OCCC(F)(F)F ZINC000866554874 638843971 /nfs/dbraw/zinc/84/39/71/638843971.db2.gz OLIIRTVZFSQDKY-UHFFFAOYSA-N 0 0 292.213 2.773 20 5 CFBDRN C[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CC(C)(C)O1 ZINC000121695823 638886276 /nfs/dbraw/zinc/88/62/76/638886276.db2.gz GYKDGKQXSCSXSS-QMMMGPOBSA-N 0 0 286.278 2.877 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867647916 638889048 /nfs/dbraw/zinc/88/90/48/638889048.db2.gz VIKIYVIZWSCCHW-ONGXEEELSA-N 0 0 280.299 2.581 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC[C@@H]1F ZINC000868161384 638926654 /nfs/dbraw/zinc/92/66/54/638926654.db2.gz YBOUPVIDSREZFR-QWHCGFSZSA-N 0 0 295.314 2.675 20 5 CFBDRN CCC1(C)CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000868483796 638949077 /nfs/dbraw/zinc/94/90/77/638949077.db2.gz ISXQYCHURNYJKB-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000868525460 638953143 /nfs/dbraw/zinc/95/31/43/638953143.db2.gz UULZWMDQIGXBDV-GXFFZTMASA-N 0 0 291.351 2.891 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCc2cncs2)c1[N+](=O)[O-] ZINC000122207087 638958642 /nfs/dbraw/zinc/95/86/42/638958642.db2.gz SJIQKXFVZVMFRT-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000868607712 638961301 /nfs/dbraw/zinc/96/13/01/638961301.db2.gz BJYBYAHQOBBDNY-RYUDHWBXSA-N 0 0 299.330 2.971 20 5 CFBDRN CC/C=C/CNC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000868666053 638967312 /nfs/dbraw/zinc/96/73/12/638967312.db2.gz ISVLLTQGZHTPGW-SNAWJCMRSA-N 0 0 277.324 2.669 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868734477 638973938 /nfs/dbraw/zinc/97/39/38/638973938.db2.gz ULJYLTHAFLVMNJ-RYUDHWBXSA-N 0 0 294.326 2.685 20 5 CFBDRN CC[C@@H]1CCN(C(=O)NCc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000869053117 639015377 /nfs/dbraw/zinc/01/53/77/639015377.db2.gz IHTQJALBFHCFKZ-GFCCVEGCSA-N 0 0 291.351 2.845 20 5 CFBDRN CCCCC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000869370146 639199743 /nfs/dbraw/zinc/19/97/43/639199743.db2.gz LBKZOFWPEOVSGB-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CCCCC(=O)COC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000869492925 639258550 /nfs/dbraw/zinc/25/85/50/639258550.db2.gz XOPRATLNZCZKPI-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CO[C@@H]1CCC[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000078232338 650482837 /nfs/dbraw/zinc/48/28/37/650482837.db2.gz PFLVYENIESDXIP-GHMZBOCLSA-N 0 0 279.292 2.709 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)[C@H](C)O1 ZINC000869654937 639342764 /nfs/dbraw/zinc/34/27/64/639342764.db2.gz MMXJVQVSEOBIOL-PTRXPTGYSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H](OC(=O)C1(F)CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000869728204 639372468 /nfs/dbraw/zinc/37/24/68/639372468.db2.gz KEGXWCMSFOCFIC-SNVBAGLBSA-N 0 0 297.282 2.718 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000869897001 639458572 /nfs/dbraw/zinc/45/85/72/639458572.db2.gz KNAIEHQZWPDGII-ZETCQYMHSA-N 0 0 299.151 2.791 20 5 CFBDRN O=C(OCCOCC1CCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000869897456 639458730 /nfs/dbraw/zinc/45/87/30/639458730.db2.gz UTSHAWHUMCKBGL-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN Cc1nc(CC(=O)N(C)c2ccc([N+](=O)[O-])cc2)cs1 ZINC000078401135 650498944 /nfs/dbraw/zinc/49/89/44/650498944.db2.gz JIABFBZPCMJWCA-UHFFFAOYSA-N 0 0 291.332 2.565 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@@H](C)O[C@H]1C ZINC000870159418 639528909 /nfs/dbraw/zinc/52/89/09/639528909.db2.gz BCZSUUVCKPQHPJ-JFGNBEQYSA-N 0 0 279.292 2.622 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCc1cccc2ccccc21 ZINC000870387079 639619686 /nfs/dbraw/zinc/61/96/86/639619686.db2.gz KEADWBAIMYEBSD-LBPRGKRZSA-N 0 0 287.315 2.981 20 5 CFBDRN O=Cc1ccc(OCc2cn3ccccc3n2)c([N+](=O)[O-])c1 ZINC000078539325 650513056 /nfs/dbraw/zinc/51/30/56/650513056.db2.gz PIBYEFOUUFXYRJ-UHFFFAOYSA-N 0 0 297.270 2.634 20 5 CFBDRN O=C(Nc1ccc(F)nc1F)c1ccc([N+](=O)[O-])cc1 ZINC000191654058 650514942 /nfs/dbraw/zinc/51/49/42/650514942.db2.gz VDNRVLHQYUSKCH-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CCC[C@@H](C)NC(=O)[C@@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000078598205 650517757 /nfs/dbraw/zinc/51/77/57/650517757.db2.gz HDMCTSGQAKVNGH-NXEZZACHSA-N 0 0 298.314 2.806 20 5 CFBDRN CCCCCNC(=O)[C@@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000078598182 650517858 /nfs/dbraw/zinc/51/78/58/650517858.db2.gz AZGSAEIOKNIUKV-SNVBAGLBSA-N 0 0 298.314 2.808 20 5 CFBDRN Cc1cc(C(=O)OCc2c(C)ccc([N+](=O)[O-])c2C)no1 ZINC000870700441 639777923 /nfs/dbraw/zinc/77/79/23/639777923.db2.gz VFDQXOQBKFAIMR-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@H]1CC1(F)F ZINC000870701458 639778792 /nfs/dbraw/zinc/77/87/92/639778792.db2.gz UEOSZXCRBSJDSH-JTQLQIEISA-N 0 0 285.246 2.910 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000870705427 639781542 /nfs/dbraw/zinc/78/15/42/639781542.db2.gz LXDYSBWDAUTSLY-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000870708918 639783350 /nfs/dbraw/zinc/78/33/50/639783350.db2.gz JCLCYFLUDKXEKT-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1nc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000192460120 650563274 /nfs/dbraw/zinc/56/32/74/650563274.db2.gz WNLQKLBFJJWXLD-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1cccnc1Cl ZINC000192559044 650575167 /nfs/dbraw/zinc/57/51/67/650575167.db2.gz FTSAGWMOGIILCI-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCCCOCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000079388036 650587368 /nfs/dbraw/zinc/58/73/68/650587368.db2.gz VEAHYZWTLUQOOF-UHFFFAOYSA-N 0 0 267.281 2.568 20 5 CFBDRN O=Cc1cccc(OCCOc2ccccc2[N+](=O)[O-])c1 ZINC000192755068 650592120 /nfs/dbraw/zinc/59/21/20/650592120.db2.gz JKCBUTNTVPIQSQ-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccc(-n3ccnc3)nc2)c1 ZINC000192774021 650593641 /nfs/dbraw/zinc/59/36/41/650593641.db2.gz NUMZKHDRWIQDTB-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN COC(OC)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000079614577 650608549 /nfs/dbraw/zinc/60/85/49/650608549.db2.gz MFCCZIQLKINQKU-SSDOTTSWSA-N 0 0 274.704 2.668 20 5 CFBDRN CCCC[C@@H](C)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870828983 641090660 /nfs/dbraw/zinc/09/06/60/641090660.db2.gz YZKNQIYJHHGCLX-XSRFYTQQSA-N 0 0 295.339 2.864 20 5 CFBDRN CC[C@@H](C)CCC(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870828550 641090748 /nfs/dbraw/zinc/09/07/48/641090748.db2.gz ROKJUEXAXWUMBD-XSRFYTQQSA-N 0 0 295.339 2.864 20 5 CFBDRN O=C(NCC[C@@H]1CCCS1)c1csc([N+](=O)[O-])c1 ZINC000871029485 641162202 /nfs/dbraw/zinc/16/22/02/641162202.db2.gz PXLWLWWNTVXOBG-VIFPVBQESA-N 0 0 286.378 2.672 20 5 CFBDRN CC1(F)CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1 ZINC000871074426 641188304 /nfs/dbraw/zinc/18/83/04/641188304.db2.gz MUSMVQYNSRGXDG-QPJJXVBHSA-N 0 0 292.310 2.959 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000871087752 641195233 /nfs/dbraw/zinc/19/52/33/641195233.db2.gz JSZKZTLFYMUWGK-JTQLQIEISA-N 0 0 294.326 2.971 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000871088426 641196279 /nfs/dbraw/zinc/19/62/79/641196279.db2.gz DAXNBAZBCVWUKX-MGCOHNPYSA-N 0 0 280.349 2.527 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000871092270 641198452 /nfs/dbraw/zinc/19/84/52/641198452.db2.gz OLBKOQFAUASNOJ-UMSPYCQHSA-N 0 0 280.349 2.527 20 5 CFBDRN O=C(NOCCCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000871209765 641247222 /nfs/dbraw/zinc/24/72/22/641247222.db2.gz DPAPQJXLWPYQGB-UHFFFAOYSA-N 0 0 292.213 2.599 20 5 CFBDRN O=C(NOCCCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000871209821 641247279 /nfs/dbraw/zinc/24/72/79/641247279.db2.gz HEDOEJGNLDYLFL-UHFFFAOYSA-N 0 0 292.213 2.599 20 5 CFBDRN CCCCC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])C(=O)OC ZINC000080233078 650652959 /nfs/dbraw/zinc/65/29/59/650652959.db2.gz LPBJBNNQRPBKHF-LLVKDONJSA-N 0 0 295.339 2.832 20 5 CFBDRN Cc1cc(C(=O)NC2CC3(CSC3)C2)ccc1[N+](=O)[O-] ZINC000871514238 641335584 /nfs/dbraw/zinc/33/55/84/641335584.db2.gz MMSBDPHARNGQRZ-UHFFFAOYSA-N 0 0 292.360 2.529 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871518233 641337803 /nfs/dbraw/zinc/33/78/03/641337803.db2.gz UXYVCKPIQWNCAC-BETUJISGSA-N 0 0 286.331 2.941 20 5 CFBDRN Cc1c(OCc2nccn2CC(F)F)cccc1[N+](=O)[O-] ZINC000871650376 641382891 /nfs/dbraw/zinc/38/28/91/641382891.db2.gz WOWKCWOEZILXAF-UHFFFAOYSA-N 0 0 297.261 2.944 20 5 CFBDRN CC(C)(C)SCn1cnc2sc([N+](=O)[O-])cc2c1=O ZINC000871671484 641394474 /nfs/dbraw/zinc/39/44/74/641394474.db2.gz PBLGTZCDGFXHFK-UHFFFAOYSA-N 0 0 299.377 2.855 20 5 CFBDRN C[C@H]1C[C@@H]1COc1ccc(Br)nc1[N+](=O)[O-] ZINC000871693869 641408470 /nfs/dbraw/zinc/40/84/70/641408470.db2.gz GDBUKMQGDSYASN-NKWVEPMBSA-N 0 0 287.113 2.787 20 5 CFBDRN CC[C@H](C)COC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000123776665 641450233 /nfs/dbraw/zinc/45/02/33/641450233.db2.gz XOQCDWZSJPSORJ-VIFPVBQESA-N 0 0 267.281 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO)c(NCCCC2CC2)c1 ZINC000871804819 641467333 /nfs/dbraw/zinc/46/73/33/641467333.db2.gz MGVZEUSKFDPPKC-UHFFFAOYSA-N 0 0 279.340 2.601 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCc2nc(C3CCC3)no2)c1 ZINC000871818756 641468406 /nfs/dbraw/zinc/46/84/06/641468406.db2.gz OMEHJGDIWBLZHZ-UHFFFAOYSA-N 0 0 292.270 2.997 20 5 CFBDRN CC(C)(CCc1noc(C2(C)CC(F)(F)C2)n1)[N+](=O)[O-] ZINC000871847075 641479989 /nfs/dbraw/zinc/47/99/89/641479989.db2.gz DESUFKCJRZVJGE-UHFFFAOYSA-N 0 0 289.282 2.744 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000123826508 641481081 /nfs/dbraw/zinc/48/10/81/641481081.db2.gz FRLMHOFNNPAOBR-SECBINFHSA-N 0 0 267.281 2.806 20 5 CFBDRN CCc1nnc(NCc2cccc([N+](=O)[O-])c2C)s1 ZINC000871861544 641486756 /nfs/dbraw/zinc/48/67/56/641486756.db2.gz KBBONFYRIVAAMN-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN Cc1c(C[NH2+][C@@H]2CCCC[C@@H]2C(=O)[O-])cccc1[N+](=O)[O-] ZINC000871874454 641492555 /nfs/dbraw/zinc/49/25/55/641492555.db2.gz OYCXLJXONDBIQK-QWHCGFSZSA-N 0 0 292.335 2.636 20 5 CFBDRN Cc1c(C[NH2+]C(C)(C)C[C@H](C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000871874516 641492564 /nfs/dbraw/zinc/49/25/64/641492564.db2.gz QQZDLGNUKPOCAM-JTQLQIEISA-N 0 0 294.351 2.882 20 5 CFBDRN Cc1c(C[NH2+][C@H]2CCC[C@@]2(C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000871878948 641496191 /nfs/dbraw/zinc/49/61/91/641496191.db2.gz WVKLMKKVMGOELQ-DZGCQCFKSA-N 0 0 292.335 2.636 20 5 CFBDRN C[C@H](N[C@@H](C)c1c(F)cccc1[N+](=O)[O-])c1csnn1 ZINC000872081321 641614921 /nfs/dbraw/zinc/61/49/21/641614921.db2.gz XDMQAUZPZPRSLV-YUMQZZPRSA-N 0 0 296.327 2.997 20 5 CFBDRN Cc1ccc(C[N@H+]2CC[C@H](C)C[C@@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000872160864 641682500 /nfs/dbraw/zinc/68/25/00/641682500.db2.gz NSCPHYBMWFPMDP-IINYFYTJSA-N 0 0 292.335 2.588 20 5 CFBDRN COc1cccc(N2CCC[C@H](C(C)(C)O)C2)c1[N+](=O)[O-] ZINC000872674880 641892689 /nfs/dbraw/zinc/89/26/89/641892689.db2.gz WOTGQQOLXYIXRD-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NCCC[C@@H]3C=CCC3)nn21 ZINC000872693456 641897742 /nfs/dbraw/zinc/89/77/42/641897742.db2.gz IWLNLAHOHZMCLZ-LLVKDONJSA-N 0 0 287.323 2.796 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000872697238 641900882 /nfs/dbraw/zinc/90/08/82/641900882.db2.gz HZFKTGHLAWBDHD-NTZNESFSSA-N 0 0 292.335 2.973 20 5 CFBDRN COc1cccc(NC[C@@H]2C[C@H]3CCC[C@H]3O2)c1[N+](=O)[O-] ZINC000872697054 641901103 /nfs/dbraw/zinc/90/11/03/641901103.db2.gz FUTZFSNYMIWXEL-NTZNESFSSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@@]1(C(F)(F)F)CCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000872729445 641914096 /nfs/dbraw/zinc/91/40/96/641914096.db2.gz TZIMBEYLCVJXTO-SNVBAGLBSA-N 0 0 293.220 2.908 20 5 CFBDRN CC[C@H](C)COC(=O)COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000124223939 641914437 /nfs/dbraw/zinc/91/44/37/641914437.db2.gz GOQBJJZFJXXRNO-JTQLQIEISA-N 0 0 297.307 2.572 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])C1 ZINC000872763311 641923323 /nfs/dbraw/zinc/92/33/23/641923323.db2.gz DADCOFIULFDJKI-MGCOHNPYSA-N 0 0 293.323 2.522 20 5 CFBDRN Cc1nccnc1[C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000872769522 641926800 /nfs/dbraw/zinc/92/68/00/641926800.db2.gz VVUWYXOSAVNGDS-JTQLQIEISA-N 0 0 273.296 2.570 20 5 CFBDRN CCOC(=O)[C@H](C)C1CN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000872773408 641927911 /nfs/dbraw/zinc/92/79/11/641927911.db2.gz ZHFWNUOIMSTFCH-LLVKDONJSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@@H](O)c1ccccc1 ZINC000872774165 641928552 /nfs/dbraw/zinc/92/85/52/641928552.db2.gz DZPPNQJAZYYKQM-ZFWWWQNUSA-N 0 0 287.319 2.914 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000872775927 641929621 /nfs/dbraw/zinc/92/96/21/641929621.db2.gz JTUQPVJPZYGZCI-IWXRYERYSA-N 0 0 277.324 2.547 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2CCC[C@H]2O1)c1ccc([N+](=O)[O-])s1 ZINC000873316081 642132819 /nfs/dbraw/zinc/13/28/19/642132819.db2.gz FSLPIDPWGYLBMU-KXUCPTDWSA-N 0 0 297.332 2.771 20 5 CFBDRN CC[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(C)=O ZINC000873318901 642134686 /nfs/dbraw/zinc/13/46/86/642134686.db2.gz QDUSNZXYBNZQIN-NSHDSACASA-N 0 0 285.683 2.773 20 5 CFBDRN O=C(OC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1csc([N+](=O)[O-])c1 ZINC000873348559 642145318 /nfs/dbraw/zinc/14/53/18/642145318.db2.gz LVAXHNABGLMOCR-LSJOCFKGSA-N 0 0 297.332 2.771 20 5 CFBDRN CC[C@@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(C)=O ZINC000873362110 642153668 /nfs/dbraw/zinc/15/36/68/642153668.db2.gz UIJUXXYEHONELP-LLVKDONJSA-N 0 0 285.683 2.773 20 5 CFBDRN CC[C@@H](OC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873378669 642166448 /nfs/dbraw/zinc/16/64/48/642166448.db2.gz MSNUQOUSKOVXAU-CFYYFVFUSA-N 0 0 291.303 2.909 20 5 CFBDRN CC[C@@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C(C)=O ZINC000873384992 642172127 /nfs/dbraw/zinc/17/21/27/642172127.db2.gz RENPDDQZEWONKF-GFCCVEGCSA-N 0 0 299.710 2.702 20 5 CFBDRN CC[C@@H](OC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C(C)=O ZINC000873406003 642187885 /nfs/dbraw/zinc/18/78/85/642187885.db2.gz WOLJFSJWONSXKF-LLVKDONJSA-N 0 0 283.255 2.567 20 5 CFBDRN Cc1cc2ccccc2nc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000873543207 642242532 /nfs/dbraw/zinc/24/25/32/642242532.db2.gz MPBFQBDYYQMEBK-UHFFFAOYSA-N 0 0 288.303 2.755 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC1CCSCC1 ZINC000873557863 642249607 /nfs/dbraw/zinc/24/96/07/642249607.db2.gz JFZMEGWQBNJEID-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OC1CCSCC1 ZINC000873558848 642250361 /nfs/dbraw/zinc/25/03/61/642250361.db2.gz PEASVYUYCRRFMT-UHFFFAOYSA-N 0 0 295.360 2.966 20 5 CFBDRN CC[C@@H](SC)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000124637076 642288457 /nfs/dbraw/zinc/28/84/57/642288457.db2.gz LETOCSBCEPFFIX-LLVKDONJSA-N 0 0 285.321 2.650 20 5 CFBDRN CC1(C(=O)Nc2cccc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000873726074 642289872 /nfs/dbraw/zinc/28/98/72/642289872.db2.gz AQOOIVMAJWSTCP-UHFFFAOYSA-N 0 0 270.235 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc3c(c2)OCO3)cc1 ZINC000020056444 642293644 /nfs/dbraw/zinc/29/36/44/642293644.db2.gz CZQJMMYAEMHBRU-UHFFFAOYSA-N 0 0 272.260 2.936 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2N)cc1 ZINC000195131179 650739135 /nfs/dbraw/zinc/73/91/35/650739135.db2.gz QRYJXEWUGUUINZ-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN Cn1cc(Nc2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000124746261 642310033 /nfs/dbraw/zinc/31/00/33/642310033.db2.gz NUXPCJSVLMWNEX-UHFFFAOYSA-N 0 0 252.661 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCCn1cccn1 ZINC000124757377 642311057 /nfs/dbraw/zinc/31/10/57/642311057.db2.gz MTTBVJLWJDMXEV-UHFFFAOYSA-N 0 0 266.688 2.557 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)CCC2 ZINC000195179594 650740055 /nfs/dbraw/zinc/74/00/55/650740055.db2.gz CTNRFVMBEBGRSS-UHFFFAOYSA-N 0 0 297.314 2.918 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124793908 642322728 /nfs/dbraw/zinc/32/27/28/642322728.db2.gz RJQDOQHXYUSZSV-XPUUQOCRSA-N 0 0 255.657 2.810 20 5 CFBDRN Cc1cccnc1CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000874384622 642375822 /nfs/dbraw/zinc/37/58/22/642375822.db2.gz VAFZSYHKGTVYNS-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1ccccn1)c1ccccc1[N+](=O)[O-] ZINC000874625682 642429351 /nfs/dbraw/zinc/42/93/51/642429351.db2.gz OTKPSPSXEWLYHV-VXGBXAGGSA-N 0 0 299.330 2.971 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC12CCC2 ZINC000874779720 642479906 /nfs/dbraw/zinc/47/99/06/642479906.db2.gz IPFIZWVOVPEGSH-NSHDSACASA-N 0 0 260.293 2.576 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1CC12CCC2 ZINC000874782440 642483418 /nfs/dbraw/zinc/48/34/18/642483418.db2.gz BNACVPMWUGIZQM-LBPRGKRZSA-N 0 0 294.738 2.850 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000874790565 642491078 /nfs/dbraw/zinc/49/10/78/642491078.db2.gz KWBXNUBDLZJTET-LBPRGKRZSA-N 0 0 292.360 2.989 20 5 CFBDRN CCO[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)C(C)C ZINC000875054057 642581211 /nfs/dbraw/zinc/58/12/11/642581211.db2.gz GOYUNLUNICORPN-LBPRGKRZSA-N 0 0 284.287 2.734 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000875079835 642583176 /nfs/dbraw/zinc/58/31/76/642583176.db2.gz ZMXMRNFOSVVPGM-PCDDKUFXSA-N 0 0 286.331 2.776 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000875079832 642583231 /nfs/dbraw/zinc/58/32/31/642583231.db2.gz ZMXMRNFOSVVPGM-GJQVQUKXSA-N 0 0 286.331 2.776 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000875155379 642592577 /nfs/dbraw/zinc/59/25/77/642592577.db2.gz FVRJRAVXHKAACA-JTQLQIEISA-N 0 0 291.351 2.531 20 5 CFBDRN CC(C)[C@@H](C)CNc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000083907087 650769833 /nfs/dbraw/zinc/76/98/33/650769833.db2.gz YUTWBNWQMJMQJJ-VIFPVBQESA-N 0 0 293.323 2.630 20 5 CFBDRN Cc1ccc(CNC(=O)N2CC[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000876169385 642785679 /nfs/dbraw/zinc/78/56/79/642785679.db2.gz VWZOHPRZICPQKO-CYBMUJFWSA-N 0 0 291.351 2.843 20 5 CFBDRN Cc1ccc(CNC(=O)N(C)C2CCC2)cc1[N+](=O)[O-] ZINC000876172093 642787481 /nfs/dbraw/zinc/78/74/81/642787481.db2.gz RNPNOQQUNKVTQQ-UHFFFAOYSA-N 0 0 277.324 2.597 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])O[C@H]1C=CCCC1 ZINC000876308347 642817937 /nfs/dbraw/zinc/81/79/37/642817937.db2.gz BFIAOHBLJJWXHU-LBPRGKRZSA-N 0 0 279.267 2.928 20 5 CFBDRN Cc1nc(C(=O)OCc2c(C)ccc([N+](=O)[O-])c2C)co1 ZINC000876316828 642822032 /nfs/dbraw/zinc/82/20/32/642822032.db2.gz MJWLLSVFAASDDT-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN C[C@@H](NC(=O)N1[C@H](C)C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000876406179 642854391 /nfs/dbraw/zinc/85/43/91/642854391.db2.gz XKMOQETWXLSQMY-GMTAPVOTSA-N 0 0 277.324 2.848 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[Si](C)(C)C ZINC000199956789 650805280 /nfs/dbraw/zinc/80/52/80/650805280.db2.gz DPRWUJXDSNRYEZ-UHFFFAOYSA-N 0 0 255.346 2.860 20 5 CFBDRN Cc1cccc(NCc2cn(C)nc2[N+](=O)[O-])c1C ZINC000876818348 643024920 /nfs/dbraw/zinc/02/49/20/643024920.db2.gz SHWFOXZOTSAKFG-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cn1cc(CNc2ccc(OC(F)F)cc2)c([N+](=O)[O-])n1 ZINC000876820155 643025033 /nfs/dbraw/zinc/02/50/33/643025033.db2.gz LLCOXBMLMXASTL-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN CCOc1cc(C)ccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000876844547 643036175 /nfs/dbraw/zinc/03/61/75/643036175.db2.gz CQUBGHSINUNSMF-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN Cc1ccc(NCc2cn(C)nc2[N+](=O)[O-])c(Cl)c1 ZINC000876872279 643044048 /nfs/dbraw/zinc/04/40/48/643044048.db2.gz WTWBSCHNPWUFOT-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=C(NCC(C1CC1)C1CC1)c1cc([N+](=O)[O-])ccc1F ZINC000088456023 650813209 /nfs/dbraw/zinc/81/32/09/650813209.db2.gz GFLPOUKWFGPHQE-UHFFFAOYSA-N 0 0 292.310 2.900 20 5 CFBDRN CCc1ccc(OC/C=C(/C)C(=O)OC)c([N+](=O)[O-])c1 ZINC000088629893 650815272 /nfs/dbraw/zinc/81/52/72/650815272.db2.gz IMRQLUQFFCGCMO-YFHOEESVSA-N 0 0 279.292 2.655 20 5 CFBDRN Cc1noc([C@H](C)NCc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000877471307 643291094 /nfs/dbraw/zinc/29/10/94/643291094.db2.gz VBRMMISQCBZKBD-JTQLQIEISA-N 0 0 290.323 2.754 20 5 CFBDRN CC1(C)C[C@@H](O)CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000877752043 643528762 /nfs/dbraw/zinc/52/87/62/643528762.db2.gz LWHMQPAZFIMVLI-GFCCVEGCSA-N 0 0 298.770 2.841 20 5 CFBDRN CCn1ncc(NCCc2ccc([N+](=O)[O-])cc2)c1C ZINC000877831842 643596833 /nfs/dbraw/zinc/59/68/33/643596833.db2.gz ATKQFGGIKYRIJF-UHFFFAOYSA-N 0 0 274.324 2.774 20 5 CFBDRN Cc1c([C@@H]2Nc3ccccc3C(=O)N2)cccc1[N+](=O)[O-] ZINC000877843206 643606693 /nfs/dbraw/zinc/60/66/93/643606693.db2.gz JPTIMDAEKVETEX-CQSZACIVSA-N 0 0 283.287 2.757 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCc1ccns1 ZINC000877876548 643616632 /nfs/dbraw/zinc/61/66/32/643616632.db2.gz TZMHFTXXZZDMTD-UHFFFAOYSA-N 0 0 280.305 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CC2)c2cccnc21 ZINC000877882236 643620616 /nfs/dbraw/zinc/62/06/16/643620616.db2.gz YFHVBZBBNMBSLP-UHFFFAOYSA-N 0 0 274.276 2.701 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCc2ccns2)c1 ZINC000877888136 643625408 /nfs/dbraw/zinc/62/54/08/643625408.db2.gz KAOHLPGZVDMWFH-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN Cc1cc(COc2c(Cl)cncc2[N+](=O)[O-])c(C)nn1 ZINC000877890248 643626187 /nfs/dbraw/zinc/62/61/87/643626187.db2.gz UCDSZNUMAFZPLU-UHFFFAOYSA-N 0 0 294.698 2.629 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000877902135 643631316 /nfs/dbraw/zinc/63/13/16/643631316.db2.gz GXLQAMSOURJHNS-JTQLQIEISA-N 0 0 261.281 2.683 20 5 CFBDRN Cc1ccc(CN(C)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000878099227 643733838 /nfs/dbraw/zinc/73/38/38/643733838.db2.gz PUAGYQQATKXXIL-UHFFFAOYSA-N 0 0 262.231 2.897 20 5 CFBDRN CCCC[C@H](C)OC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000127015575 643820893 /nfs/dbraw/zinc/82/08/93/643820893.db2.gz FPMMXHPEFBUAIS-JTQLQIEISA-N 0 0 297.355 2.920 20 5 CFBDRN COc1cc(OCC(=O)O[C@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000127114971 643888732 /nfs/dbraw/zinc/88/87/32/643888732.db2.gz AHLXMJIEWLLBFV-SNVBAGLBSA-N 0 0 297.307 2.570 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1cccc(F)n1 ZINC000127328970 644025791 /nfs/dbraw/zinc/02/57/91/644025791.db2.gz KJOOTGOWEOKGPH-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2c2cn[nH]c2)cc1F ZINC000878876733 644058190 /nfs/dbraw/zinc/05/81/90/644058190.db2.gz RYZVGFDOYHESJM-ZDUSSCGKSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1C=CCC1 ZINC000879229589 644182235 /nfs/dbraw/zinc/18/22/35/644182235.db2.gz ZPFYVSBWJWSPFW-NSHDSACASA-N 0 0 275.308 2.991 20 5 CFBDRN Cc1cc(COC(=O)Cc2ccoc2)ccc1[N+](=O)[O-] ZINC000127613163 644199145 /nfs/dbraw/zinc/19/91/45/644199145.db2.gz RYTHOTDBPCIKCP-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OC[C@@H]1CC=CCC1 ZINC000247322188 650904071 /nfs/dbraw/zinc/90/40/71/650904071.db2.gz MFZKUMLNYLEUIL-GFCCVEGCSA-N 0 0 291.303 2.873 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OC[C@H]1CC=CCC1 ZINC000247322196 650904103 /nfs/dbraw/zinc/90/41/03/650904103.db2.gz MFZKUMLNYLEUIL-LBPRGKRZSA-N 0 0 291.303 2.873 20 5 CFBDRN COc1ccc(CN(C)OCC(F)(F)F)cc1[N+](=O)[O-] ZINC000879511019 644297290 /nfs/dbraw/zinc/29/72/90/644297290.db2.gz CIPWBTOEVQUANE-UHFFFAOYSA-N 0 0 294.229 2.529 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])c3nonc32)CCCS1 ZINC000127868762 644342333 /nfs/dbraw/zinc/34/23/33/644342333.db2.gz GHLLDKVHZOYVMU-LBPRGKRZSA-N 0 0 294.336 2.829 20 5 CFBDRN Cc1cc(N(C)Cc2cc(Cl)ccc2[N+](=O)[O-])n[nH]1 ZINC000879746780 644408029 /nfs/dbraw/zinc/40/80/29/644408029.db2.gz OQBJNDOKCUXZCX-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCCC1CCC1 ZINC000128170361 644521201 /nfs/dbraw/zinc/52/12/01/644521201.db2.gz LKNBXGSFDXKSPB-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC[C@H](CF)C1 ZINC000880232248 644593293 /nfs/dbraw/zinc/59/32/93/644593293.db2.gz JPOZAHZGBIJZFV-SNVBAGLBSA-N 0 0 270.279 2.915 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC[C@H](CF)C1 ZINC000880237415 644600263 /nfs/dbraw/zinc/60/02/63/644600263.db2.gz BSAKPMQAWUQAPQ-SNVBAGLBSA-N 0 0 270.279 2.915 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCC(F)CC1 ZINC000880239196 644602168 /nfs/dbraw/zinc/60/21/68/644602168.db2.gz BMZTXSVZTSCKPJ-UHFFFAOYSA-N 0 0 268.288 2.537 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@H](CF)C1 ZINC000880293868 644632998 /nfs/dbraw/zinc/63/29/98/644632998.db2.gz PCWVCCSVMCIQRV-SECBINFHSA-N 0 0 256.252 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC[C@H](CF)C3)nc2c1 ZINC000880295406 644634531 /nfs/dbraw/zinc/63/45/31/644634531.db2.gz SSUZGFUTKRSIDF-SECBINFHSA-N 0 0 279.271 2.527 20 5 CFBDRN Cn1cc(CN2C[C@@H]3CC[C@H]2c2ccccc23)c([N+](=O)[O-])n1 ZINC000880537448 644755759 /nfs/dbraw/zinc/75/57/59/644755759.db2.gz FFZQENYAVIBECM-NHYWBVRUSA-N 0 0 298.346 2.763 20 5 CFBDRN CCOc1cc(NC(=O)NC[C@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000888836255 644835291 /nfs/dbraw/zinc/83/52/91/644835291.db2.gz QWZNMRJBFIJLNT-VHSXEESVSA-N 0 0 293.323 2.771 20 5 CFBDRN CCOc1cc(NC(=O)NCC2CC2)ccc1[N+](=O)[O-] ZINC000888836114 644835330 /nfs/dbraw/zinc/83/53/30/644835330.db2.gz MHNBGZRIPHXNAE-UHFFFAOYSA-N 0 0 279.296 2.525 20 5 CFBDRN CCOc1cc(NC(=O)N[C@H](C)CC)ccc1[N+](=O)[O-] ZINC000888836112 644835595 /nfs/dbraw/zinc/83/55/95/644835595.db2.gz MHKWVTMZTXGANV-SECBINFHSA-N 0 0 281.312 2.914 20 5 CFBDRN CCCC(=O)c1cn([C@@H](C)c2cccc([N+](=O)[O-])c2)nn1 ZINC000881101656 644908335 /nfs/dbraw/zinc/90/83/35/644908335.db2.gz UFVHGOOXIGGCRQ-JTQLQIEISA-N 0 0 288.307 2.778 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2cc([C@H]3CCCCO3)nn2)cs1 ZINC000881226010 644934205 /nfs/dbraw/zinc/93/42/05/644934205.db2.gz QDVADAJRWVYMRO-LLVKDONJSA-N 0 0 294.336 2.538 20 5 CFBDRN CN(Cc1ccoc1)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094774468 650963253 /nfs/dbraw/zinc/96/32/53/650963253.db2.gz WVGNQGJRKUQFSK-UHFFFAOYSA-N 0 0 264.256 2.959 20 5 CFBDRN C[C@@H]1CCN1C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000881482038 644984605 /nfs/dbraw/zinc/98/46/05/644984605.db2.gz KYNSQDSPAWQTKE-SSDOTTSWSA-N 0 0 286.690 2.551 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000881489730 644988582 /nfs/dbraw/zinc/98/85/82/644988582.db2.gz WQEKLDPWVLZHGY-NSHDSACASA-N 0 0 260.293 2.599 20 5 CFBDRN C[C@H](C(=O)NC[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000881497919 644998026 /nfs/dbraw/zinc/99/80/26/644998026.db2.gz HSGMBAOCUAFQII-RYUDHWBXSA-N 0 0 274.320 2.781 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1C=CCC1 ZINC000881499766 644999362 /nfs/dbraw/zinc/99/93/62/644999362.db2.gz BJJVRNVRZPFBNM-GFCCVEGCSA-N 0 0 274.320 2.908 20 5 CFBDRN CC1(CNC(=O)c2csc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000881522909 645017748 /nfs/dbraw/zinc/01/77/48/645017748.db2.gz QXTOWMCEJNEKPK-UHFFFAOYSA-N 0 0 290.291 2.822 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CC(F)(F)C1 ZINC000881521770 645017892 /nfs/dbraw/zinc/01/78/92/645017892.db2.gz QWKLVSXXYZOAAL-UHFFFAOYSA-N 0 0 284.262 2.760 20 5 CFBDRN CC1(C)C[C@@]1(C)CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000881540803 645032469 /nfs/dbraw/zinc/03/24/69/645032469.db2.gz SDFSAIVWNFYJDZ-AWEZNQCLSA-N 0 0 262.309 2.761 20 5 CFBDRN CC1(C)C[C@]1(C)CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000881541946 645033805 /nfs/dbraw/zinc/03/38/05/645033805.db2.gz IQXWUFYUSDFVBC-OAHLLOKOSA-N 0 0 276.336 2.690 20 5 CFBDRN C=C/C=C\CCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000881567187 645046959 /nfs/dbraw/zinc/04/69/59/645046959.db2.gz LICAUBMMNIDWTD-QMAVJUDZSA-N 0 0 274.320 2.947 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@H](CF)C1 ZINC000881667008 645094944 /nfs/dbraw/zinc/09/49/44/645094944.db2.gz GLBMCOSHLPNTIT-SECBINFHSA-N 0 0 291.282 2.508 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@@H]1c1ccccn1 ZINC000881838955 645139134 /nfs/dbraw/zinc/13/91/34/645139134.db2.gz FTBMPVWTZUMQDT-LLVKDONJSA-N 0 0 288.282 2.865 20 5 CFBDRN CC[C@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)C[C@H](C)O1 ZINC000881966015 645164285 /nfs/dbraw/zinc/16/42/85/645164285.db2.gz RYAGWEUFQHDXGB-JQWIXIFHSA-N 0 0 292.335 2.801 20 5 CFBDRN CC(=O)c1c(NC[C@@](C)(O)C(C)C)cccc1[N+](=O)[O-] ZINC000881970759 645165733 /nfs/dbraw/zinc/16/57/33/645165733.db2.gz IROYRQDBGMKCIS-CQSZACIVSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1nn(C[C@H]2CCC3(CCC3)O2)c(C)c1[N+](=O)[O-] ZINC000882018434 645177273 /nfs/dbraw/zinc/17/72/73/645177273.db2.gz YCVBXHNJLMPKOU-LLVKDONJSA-N 0 0 265.313 2.510 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882027900 645181374 /nfs/dbraw/zinc/18/13/74/645181374.db2.gz GPHIRKHFFIJOFU-YUMQZZPRSA-N 0 0 271.317 2.825 20 5 CFBDRN CC(=O)c1c(N[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000882029769 645182379 /nfs/dbraw/zinc/18/23/79/645182379.db2.gz IDJDFPNGZWRAKM-VIFPVBQESA-N 0 0 266.322 2.715 20 5 CFBDRN CC(=O)c1c(N[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000882029767 645182663 /nfs/dbraw/zinc/18/26/63/645182663.db2.gz IDJDFPNGZWRAKM-SECBINFHSA-N 0 0 266.322 2.715 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1C[C@H](C)[C@@H](C)C1 ZINC000882036788 645184416 /nfs/dbraw/zinc/18/44/16/645184416.db2.gz MEDBUBICRFHSDM-UWVGGRQHSA-N 0 0 262.309 2.890 20 5 CFBDRN CC(C)[C@@H](O)CCOc1cc(F)ccc1[N+](=O)[O-] ZINC000882039672 645184929 /nfs/dbraw/zinc/18/49/29/645184929.db2.gz QMRFHUCAGZFIBY-NSHDSACASA-N 0 0 257.261 2.520 20 5 CFBDRN CCO[C@H](CCNc1ccnc(F)c1[N+](=O)[O-])C(C)C ZINC000882042452 645185825 /nfs/dbraw/zinc/18/58/25/645185825.db2.gz NXIXJGPSLKPGQK-LLVKDONJSA-N 0 0 285.319 2.992 20 5 CFBDRN Cc1ccc(OCC[C@@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000882070698 645192148 /nfs/dbraw/zinc/19/21/48/645192148.db2.gz BYPFBBDDHYVDKA-GFCCVEGCSA-N 0 0 253.298 2.689 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@H]1C[C@H](C)O ZINC000882127655 645213876 /nfs/dbraw/zinc/21/38/76/645213876.db2.gz KKBQOCIVKAGIGV-JQWIXIFHSA-N 0 0 292.335 2.537 20 5 CFBDRN O=c1ccn(CC[C@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000882130029 645214626 /nfs/dbraw/zinc/21/46/26/645214626.db2.gz LJVJZGXMHMWHKM-SECBINFHSA-N 0 0 272.251 2.582 20 5 CFBDRN Cc1nn(CC(F)(F)C(F)F)c2ncc([N+](=O)[O-])cc12 ZINC000882132620 645214694 /nfs/dbraw/zinc/21/46/94/645214694.db2.gz IQZCDCCVIRYGPJ-UHFFFAOYSA-N 0 0 292.192 2.548 20 5 CFBDRN Cc1nn(CCCCCCCO)c2ncc([N+](=O)[O-])cc12 ZINC000882132884 645214717 /nfs/dbraw/zinc/21/47/17/645214717.db2.gz VGAJQBFCYRFQDW-UHFFFAOYSA-N 0 0 292.339 2.591 20 5 CFBDRN CC(C)[C@H](O)CCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000882146103 645218475 /nfs/dbraw/zinc/21/84/75/645218475.db2.gz YBRDMEKBEHSWNO-GFCCVEGCSA-N 0 0 257.261 2.520 20 5 CFBDRN Cc1cc(OCC[C@@H](O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000882154890 645222079 /nfs/dbraw/zinc/22/20/79/645222079.db2.gz AEINQWRZNMVWMF-GFCCVEGCSA-N 0 0 271.288 2.828 20 5 CFBDRN CC(C)[C@@H]1C[C@H](Nc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882156201 645222659 /nfs/dbraw/zinc/22/26/59/645222659.db2.gz MWPKFFHDUFBIJV-KOLCDFICSA-N 0 0 283.303 2.744 20 5 CFBDRN COC1(C)CCN(c2cccc([N+](=O)[O-])c2C(C)=O)CC1 ZINC000882160698 645224676 /nfs/dbraw/zinc/22/46/76/645224676.db2.gz UOZJDFKMWIWJDR-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(=O)c1c(NCc2nnc(C)s2)cccc1[N+](=O)[O-] ZINC000882169957 645228149 /nfs/dbraw/zinc/22/81/49/645228149.db2.gz DGMICWUAHICUPZ-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN C[C@H]1C[C@H](CCNc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882177273 645232328 /nfs/dbraw/zinc/23/23/28/645232328.db2.gz NQCSHNUHUQVEKX-VHSXEESVSA-N 0 0 283.303 2.746 20 5 CFBDRN C[C@@]1(CNc2ccnc(F)c2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000882197281 645242065 /nfs/dbraw/zinc/24/20/65/645242065.db2.gz QPQADOLTXHZLON-OCCSQVGLSA-N 0 0 295.314 2.746 20 5 CFBDRN C[C@H]1CCCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882200323 645242411 /nfs/dbraw/zinc/24/24/11/645242411.db2.gz BMABCRDHHGLWFA-VIFPVBQESA-N 0 0 253.277 2.755 20 5 CFBDRN CC(=O)c1c(N[C@@H]2CCC[C@@H]2CCO)cccc1[N+](=O)[O-] ZINC000882214291 645244815 /nfs/dbraw/zinc/24/48/15/645244815.db2.gz SZFQKPXOFLQXJC-VXGBXAGGSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000129530066 645253768 /nfs/dbraw/zinc/25/37/68/645253768.db2.gz QEVUWDRVLGTGQB-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000129551454 645256756 /nfs/dbraw/zinc/25/67/56/645256756.db2.gz ZMVUROYFTZLNPF-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1CCC(F)(F)C1 ZINC000882296420 645267232 /nfs/dbraw/zinc/26/72/32/645267232.db2.gz KPBYIHMEYCABNS-SSDOTTSWSA-N 0 0 275.230 2.976 20 5 CFBDRN CC[C@@H](CNc1cccc([N+](=O)[O-])c1C(C)=O)OC ZINC000882385220 645296783 /nfs/dbraw/zinc/29/67/83/645296783.db2.gz GTOQEFRFWKNGJB-JTQLQIEISA-N 0 0 266.297 2.634 20 5 CFBDRN COCC1(CCNc2ccnc(F)c2[N+](=O)[O-])CCC1 ZINC000882400025 645298403 /nfs/dbraw/zinc/29/84/03/645298403.db2.gz BPTZYGNUCLXATJ-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN CC(=O)c1c(NCC[C@H](C)F)cccc1[N+](=O)[O-] ZINC000882401288 645299506 /nfs/dbraw/zinc/29/95/06/645299506.db2.gz TYTRVSXTYZRALJ-QMMMGPOBSA-N 0 0 254.261 2.957 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H](CO)C1CCCCC1 ZINC000882401923 645299589 /nfs/dbraw/zinc/29/95/89/645299589.db2.gz NERDDFOKESGBHQ-NSHDSACASA-N 0 0 297.330 2.730 20 5 CFBDRN COCCCCN(C)c1cccc([N+](=O)[O-])c1C(C)=O ZINC000882469370 645330985 /nfs/dbraw/zinc/33/09/85/645330985.db2.gz XRFAHQJHFWYVMI-UHFFFAOYSA-N 0 0 280.324 2.660 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@@]2(CC2(F)F)C1 ZINC000882532111 645355389 /nfs/dbraw/zinc/35/53/89/645355389.db2.gz WZOAPVFINSZJCZ-LLVKDONJSA-N 0 0 287.241 2.755 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H](C1CC1)[C@@H]1CCCCO1 ZINC000882544292 645362765 /nfs/dbraw/zinc/36/27/65/645362765.db2.gz OHWZZFMSJMMSJD-RYUDHWBXSA-N 0 0 295.314 2.889 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C[C@H]1C ZINC000129750218 645373115 /nfs/dbraw/zinc/37/31/15/645373115.db2.gz XEQWYDNMENNRHP-NXEZZACHSA-N 0 0 280.299 2.852 20 5 CFBDRN CC(=O)c1c(N[C@@H]2COC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000882616874 645390180 /nfs/dbraw/zinc/39/01/80/645390180.db2.gz OASPKGOGPGBUGI-NSHDSACASA-N 0 0 290.319 2.921 20 5 CFBDRN COCc1csc(CNc2ccnc(F)c2[N+](=O)[O-])c1 ZINC000882619660 645390985 /nfs/dbraw/zinc/39/09/85/645390985.db2.gz SSMAWJOHTXHZPP-UHFFFAOYSA-N 0 0 297.311 2.949 20 5 CFBDRN CC1(C)[C@@H](CNc2ccnc(F)c2[N+](=O)[O-])C1(F)F ZINC000882627595 645395257 /nfs/dbraw/zinc/39/52/57/645395257.db2.gz RJKHUWLCBJGNEP-SSDOTTSWSA-N 0 0 275.230 2.832 20 5 CFBDRN CC(=O)c1c(NCC2CCC(O)CC2)cccc1[N+](=O)[O-] ZINC000882628310 645395750 /nfs/dbraw/zinc/39/57/50/645395750.db2.gz MGTLIFVBZJXPRI-UHFFFAOYSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC[C@H]2C[C@H]2C1 ZINC000882627118 645395767 /nfs/dbraw/zinc/39/57/67/645395767.db2.gz GDZHWPMVGDPXFI-QWRGUYRKSA-N 0 0 260.293 2.644 20 5 CFBDRN CCSC1(CNc2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000882627291 645395844 /nfs/dbraw/zinc/39/58/44/645395844.db2.gz KYYBKMHKFIUSEP-UHFFFAOYSA-N 0 0 271.317 2.827 20 5 CFBDRN C[C@@H](O)CSc1c2ccccc2ncc1[N+](=O)[O-] ZINC000882737493 645436720 /nfs/dbraw/zinc/43/67/20/645436720.db2.gz MTINPNLZFOCMGJ-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN C[C@H](O)CSc1nccc2c1cccc2[N+](=O)[O-] ZINC000882738013 645437640 /nfs/dbraw/zinc/43/76/40/645437640.db2.gz GFBJBRNWGJZIGS-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CCC(C)(C)CO2)c1[N+](=O)[O-] ZINC000882764155 645448023 /nfs/dbraw/zinc/44/80/23/645448023.db2.gz LNVKIWKXLIOJHR-JTQLQIEISA-N 0 0 296.371 2.508 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H]2CCCC2(F)F)nc1 ZINC000882800216 645462043 /nfs/dbraw/zinc/46/20/43/645462043.db2.gz JHMVFAVJWIDCFB-QMMMGPOBSA-N 0 0 272.255 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](CF)C2)c([N+](=O)[O-])c1 ZINC000882800790 645462763 /nfs/dbraw/zinc/46/27/63/645462763.db2.gz OUKOENGAAVHROG-SECBINFHSA-N 0 0 283.259 2.689 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC[C@H](CF)C2)c1 ZINC000882802011 645462935 /nfs/dbraw/zinc/46/29/35/645462935.db2.gz MIBJLMSEUXYQII-SNVBAGLBSA-N 0 0 296.298 2.567 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCC(F)CC1)CCCC2 ZINC000882805012 645464830 /nfs/dbraw/zinc/46/48/30/645464830.db2.gz IZGDUSMLBFZHKY-UHFFFAOYSA-N 0 0 279.315 2.807 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@@H](CF)C3)c2c1 ZINC000882838207 645474197 /nfs/dbraw/zinc/47/41/97/645474197.db2.gz APPQGZVHPXADIM-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN CCC(=O)c1ccc(N2CC[C@@H](CF)C2)c([N+](=O)[O-])c1 ZINC000882840179 645476375 /nfs/dbraw/zinc/47/63/75/645476375.db2.gz NNCZHZDKCXKSLR-JTQLQIEISA-N 0 0 280.299 2.983 20 5 CFBDRN CC(C)c1noc(-c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)n1 ZINC000130270383 645477349 /nfs/dbraw/zinc/47/73/49/645477349.db2.gz SGSMVTMGVWVGNA-UHFFFAOYSA-N 0 0 299.290 2.954 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](c3nccs3)C2)c(F)c1 ZINC000882856510 645480009 /nfs/dbraw/zinc/48/00/09/645480009.db2.gz MUSSHHJJZZUTJD-QMMMGPOBSA-N 0 0 294.311 2.579 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC[C@@H](c2nccs2)C1 ZINC000882855340 645480045 /nfs/dbraw/zinc/48/00/45/645480045.db2.gz HKJFTCLFLVVPDU-MRVPVSSYSA-N 0 0 294.311 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)Cc2ccoc2)s1 ZINC000882866345 645482252 /nfs/dbraw/zinc/48/22/52/645482252.db2.gz KQUAQPINWMPZQG-JTQLQIEISA-N 0 0 282.321 2.512 20 5 CFBDRN COCC[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000130321354 645484799 /nfs/dbraw/zinc/48/47/99/645484799.db2.gz BRYKUYMFKAKCJU-ZDUSSCGKSA-N 0 0 281.308 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(CC3CCOCC3)C2)n1 ZINC000882885859 645492073 /nfs/dbraw/zinc/49/20/73/645492073.db2.gz RNSMBYRFSTXJOF-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000882906576 645504873 /nfs/dbraw/zinc/50/48/73/645504873.db2.gz NADXDELICHWQLB-KLPPZKSPSA-N 0 0 291.351 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H]1CC(C)(C)OC1(C)C ZINC000882988229 645545224 /nfs/dbraw/zinc/54/52/24/645545224.db2.gz HCGMFBVDAPLREE-LLVKDONJSA-N 0 0 294.351 2.659 20 5 CFBDRN Cc1ccnc(NC2CCC3(COC3)CC2)c1[N+](=O)[O-] ZINC000882995179 645551063 /nfs/dbraw/zinc/55/10/63/645551063.db2.gz LNQDQWZYHNTRJW-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN Nc1ccc(NC2CCC3(COC3)CC2)c([N+](=O)[O-])c1 ZINC000882995092 645551803 /nfs/dbraw/zinc/55/18/03/645551803.db2.gz IHFARZAMJBIXCW-UHFFFAOYSA-N 0 0 277.324 2.548 20 5 CFBDRN Cn1ncnc1SCc1cc([N+](=O)[O-])ccc1Cl ZINC000130834447 645579134 /nfs/dbraw/zinc/57/91/34/645579134.db2.gz XRRNNZDRTJZIDR-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN C[C@@H](CCO)CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000097442651 651028369 /nfs/dbraw/zinc/02/83/69/651028369.db2.gz YLIZUAQVXQNPRH-QMMMGPOBSA-N 0 0 274.267 2.963 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C(F)F)CC[C@H]1O ZINC000253182419 651039114 /nfs/dbraw/zinc/03/91/14/651039114.db2.gz JBLYXOVENNTJDN-PRHODGIISA-N 0 0 286.278 2.740 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CC[C@@H]1C ZINC000131597902 645756286 /nfs/dbraw/zinc/75/62/86/645756286.db2.gz LMBAMLDPIHPQPX-UWVGGRQHSA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000131621995 645765879 /nfs/dbraw/zinc/76/58/79/645765879.db2.gz WOTBZKJHZFMAAQ-PFEDMVJOSA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1ccc(CNc2nn(C)cc2Cl)cc1[N+](=O)[O-] ZINC000883373688 645777462 /nfs/dbraw/zinc/77/74/62/645777462.db2.gz YOFFYXHIJXJKHP-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cc1cnccc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000131709987 645792224 /nfs/dbraw/zinc/79/22/24/645792224.db2.gz SYOBCTHVUKIQMD-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC[C@@H](SC)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000132004523 645863413 /nfs/dbraw/zinc/86/34/13/645863413.db2.gz GUYAEDFVUFESNB-LLVKDONJSA-N 0 0 269.322 2.950 20 5 CFBDRN COC[C@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000132038620 645865850 /nfs/dbraw/zinc/86/58/50/645865850.db2.gz FZCSKJGGKIAOSS-LBPRGKRZSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1cnc(COC(=O)c2cccc(C)c2[N+](=O)[O-])o1 ZINC000132119940 645878629 /nfs/dbraw/zinc/87/86/29/645878629.db2.gz YBVLGDXEJBRAAF-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000132238539 645897110 /nfs/dbraw/zinc/89/71/10/645897110.db2.gz JYYBKIKTAOPJDY-DTWKUNHWSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000132238133 645897428 /nfs/dbraw/zinc/89/74/28/645897428.db2.gz JYYBKIKTAOPJDY-IUCAKERBSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1cc(C(=O)Oc2ccccc2)ccc1[N+](=O)[O-] ZINC000132769957 645963813 /nfs/dbraw/zinc/96/38/13/645963813.db2.gz WFQIXLJVQJYSOV-UHFFFAOYSA-N 0 0 273.244 2.823 20 5 CFBDRN COc1cc(C(=O)Oc2ccc(F)cc2)ccc1[N+](=O)[O-] ZINC000132765836 645963919 /nfs/dbraw/zinc/96/39/19/645963919.db2.gz XVCONEUEYPLZPT-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN C[C@@H](CCO)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000133370246 646078690 /nfs/dbraw/zinc/07/86/90/646078690.db2.gz ZBXBFLMSIOZWIH-ZETCQYMHSA-N 0 0 260.240 2.715 20 5 CFBDRN Cc1c(NC(=O)[C@@H](F)C(C)C)c(F)ccc1[N+](=O)[O-] ZINC000800151998 646159319 /nfs/dbraw/zinc/15/93/19/646159319.db2.gz QPWNDYQPZFLUPY-JTQLQIEISA-N 0 0 272.251 2.975 20 5 CFBDRN COc1ccc(C(=O)NCCC2CCC2)cc1[N+](=O)[O-] ZINC000133715648 646212300 /nfs/dbraw/zinc/21/23/00/646212300.db2.gz ZSBLYZDDLSUGLB-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN O=C(Cc1ccoc1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000134015988 646265153 /nfs/dbraw/zinc/26/51/53/646265153.db2.gz JWXTWOQHVAVVGR-UHFFFAOYSA-N 0 0 279.223 2.613 20 5 CFBDRN Cc1cccc(OS(=O)(=O)c2cccs2)c1[N+](=O)[O-] ZINC000134189683 646298567 /nfs/dbraw/zinc/29/85/67/646298567.db2.gz QPNNHOVWNXLPOR-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1Br)C1CC1 ZINC000134212960 646305875 /nfs/dbraw/zinc/30/58/75/646305875.db2.gz JFFWLHMSCRZLCI-UHFFFAOYSA-N 0 0 299.124 2.592 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N3CC[C@H](F)C3)c2c1 ZINC000884517986 646415062 /nfs/dbraw/zinc/41/50/62/646415062.db2.gz BEKYLNKFGXAUFI-VIFPVBQESA-N 0 0 291.282 2.700 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884531615 646427141 /nfs/dbraw/zinc/42/71/41/646427141.db2.gz QQQJUPOMJIBPNM-PWSUYJOCSA-N 0 0 278.308 2.859 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H](CO)CCF)cccc1C(F)(F)F ZINC000884546509 646439478 /nfs/dbraw/zinc/43/94/78/646439478.db2.gz MJQZMZHGMCTPBI-ZETCQYMHSA-N 0 0 296.220 2.746 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H](O)C23CCC3)c2ccccc21 ZINC000884557962 646447928 /nfs/dbraw/zinc/44/79/28/646447928.db2.gz FZJCRDFHIWICQY-KGLIPLIRSA-N 0 0 299.330 2.858 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])ccc1Cl)C1CCC1 ZINC000135045353 646449206 /nfs/dbraw/zinc/44/92/06/646449206.db2.gz OGSMUDGBUYNEMI-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3C[C@@H]4C[C@@H]4C3)ccc2c1 ZINC000884587245 646471961 /nfs/dbraw/zinc/47/19/61/646471961.db2.gz DNGKZFFTVVECEL-PHIMTYICSA-N 0 0 255.277 2.599 20 5 CFBDRN C[C@@H](CC(=O)OCc1cccnc1[N+](=O)[O-])c1ccco1 ZINC000884589950 646474124 /nfs/dbraw/zinc/47/41/24/646474124.db2.gz MQGKLMAVWDGYIC-JTQLQIEISA-N 0 0 290.275 2.820 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3C[C@@H]3C2)c2ccccc21 ZINC000884592721 646476023 /nfs/dbraw/zinc/47/60/23/646476023.db2.gz UQBFUTMGVQIDGJ-AOOOYVTPSA-N 0 0 255.277 2.599 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H]1CC2(CCC2)CO1 ZINC000884659841 646512499 /nfs/dbraw/zinc/51/24/99/646512499.db2.gz FUHIITOYGCBALV-SECBINFHSA-N 0 0 281.287 2.500 20 5 CFBDRN COc1cccc(NC[C@H]2CC3(CCC3)CO2)c1[N+](=O)[O-] ZINC000884661861 646514870 /nfs/dbraw/zinc/51/48/70/646514870.db2.gz BWJSQAYLWVJQAT-LLVKDONJSA-N 0 0 292.335 2.975 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CC3(CCC3)CO2)n1 ZINC000884662440 646516160 /nfs/dbraw/zinc/51/61/60/646516160.db2.gz PXYFRGKDPBCCCJ-LLVKDONJSA-N 0 0 277.324 2.669 20 5 CFBDRN CC(C)(C)c1nnc(COc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000135367551 646525039 /nfs/dbraw/zinc/52/50/39/646525039.db2.gz PMLSAQYFPZFQLE-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN Nc1ccc(NC[C@H]2CCC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000884683154 646529280 /nfs/dbraw/zinc/52/92/80/646529280.db2.gz WKPIGGDCKOHRRJ-GFCCVEGCSA-N 0 0 291.351 2.938 20 5 CFBDRN C[C@@H]1C[C@@]2(CC[C@@H](CNc3ccsc3[N+](=O)[O-])O2)CO1 ZINC000884695837 646531877 /nfs/dbraw/zinc/53/18/77/646531877.db2.gz FICGIYVKVRUBHH-NRUUGDAUSA-N 0 0 298.364 2.795 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000884740715 646546707 /nfs/dbraw/zinc/54/67/07/646546707.db2.gz KPRXFHIVCVNWEE-YPMHNXCESA-N 0 0 292.335 2.609 20 5 CFBDRN COC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000884796437 646551966 /nfs/dbraw/zinc/55/19/66/646551966.db2.gz SAQLJPHITSIBNA-MFKMUULPSA-N 0 0 298.314 2.587 20 5 CFBDRN COC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000884796435 646552052 /nfs/dbraw/zinc/55/20/52/646552052.db2.gz SAQLJPHITSIBNA-GXFFZTMASA-N 0 0 298.314 2.587 20 5 CFBDRN Cc1nc(NCC2(C3(O)CCC3)CCC2)ccc1[N+](=O)[O-] ZINC000884807519 646554070 /nfs/dbraw/zinc/55/40/70/646554070.db2.gz BGKQZTMWEKRSEN-UHFFFAOYSA-N 0 0 291.351 2.795 20 5 CFBDRN Cc1cc(N[C@H](CCO)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000884832927 646556528 /nfs/dbraw/zinc/55/65/28/646556528.db2.gz CGQFJFHJCFWRAZ-SNVBAGLBSA-N 0 0 296.220 2.768 20 5 CFBDRN CCOc1cc(N(C)CCCF)ccc1[N+](=O)[O-] ZINC000884857039 646560216 /nfs/dbraw/zinc/56/02/16/646560216.db2.gz NZQCBLPLBLEOBX-UHFFFAOYSA-N 0 0 256.277 2.789 20 5 CFBDRN C[C@H]1CO[C@H](C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000885577452 646710757 /nfs/dbraw/zinc/71/07/57/646710757.db2.gz XLBRWMRASKTGJF-PELKAZGASA-N 0 0 299.710 2.716 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C1(C2CC2)CC1 ZINC000885733651 646742587 /nfs/dbraw/zinc/74/25/87/646742587.db2.gz YHHSUSFJARQOPP-UHFFFAOYSA-N 0 0 279.267 2.967 20 5 CFBDRN COC[C@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000885810642 646752240 /nfs/dbraw/zinc/75/22/40/646752240.db2.gz HVYQCZGBVXHPAT-ZDUSSCGKSA-N 0 0 294.351 2.552 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC[C@@H](C(F)(F)F)C1 ZINC000885931361 646773159 /nfs/dbraw/zinc/77/31/59/646773159.db2.gz RZWYARQZWZTLID-SNVBAGLBSA-N 0 0 289.257 2.764 20 5 CFBDRN C[C@H]1CN(Cc2cccnc2[N+](=O)[O-])c2ccccc2O1 ZINC000886005899 646810272 /nfs/dbraw/zinc/81/02/72/646810272.db2.gz KYHPQOJQGFDEOP-NSHDSACASA-N 0 0 285.303 2.777 20 5 CFBDRN CCCN(Cc1cccnc1[N+](=O)[O-])CC(F)(F)F ZINC000886007984 646811890 /nfs/dbraw/zinc/81/18/90/646811890.db2.gz CTMJSNGSDXPJBX-UHFFFAOYSA-N 0 0 277.246 2.764 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC[C@]12C[C@H]1CCC2 ZINC000886009546 646812856 /nfs/dbraw/zinc/81/28/56/646812856.db2.gz SFBDAKHERWFROT-CUGVZAEZSA-N 0 0 286.331 2.914 20 5 CFBDRN CCc1cnc(CN(C)Cc2cccnc2[N+](=O)[O-])s1 ZINC000886030599 646829582 /nfs/dbraw/zinc/82/95/82/646829582.db2.gz OUJCOHQVOJPNLJ-UHFFFAOYSA-N 0 0 292.364 2.641 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC[C@@H]1c1ccncc1 ZINC000886090185 646862408 /nfs/dbraw/zinc/86/24/08/646862408.db2.gz RMJUBSSKBZYYAW-CQSZACIVSA-N 0 0 284.319 2.722 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN(Cc1ccncc1)C1CC1 ZINC000886090282 646862923 /nfs/dbraw/zinc/86/29/23/646862923.db2.gz UKLNHDRQWPFHCX-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)Cc1cccnc1[N+](=O)[O-] ZINC000886091566 646864007 /nfs/dbraw/zinc/86/40/07/646864007.db2.gz CTYOBHUPCCRACC-NSHDSACASA-N 0 0 272.308 2.578 20 5 CFBDRN CCc1cnccc1[C@@H](C)NCc1cccnc1[N+](=O)[O-] ZINC000886123138 646882516 /nfs/dbraw/zinc/88/25/16/646882516.db2.gz RVFVGQLBNIGKLZ-LLVKDONJSA-N 0 0 286.335 2.798 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1cccnc1[N+](=O)[O-] ZINC000886181780 646911314 /nfs/dbraw/zinc/91/13/14/646911314.db2.gz TZVWLXFMDPQDHW-WCBMZHEXSA-N 0 0 289.257 2.763 20 5 CFBDRN Cc1ccc2c(c1)CN(Cc1cccnc1[N+](=O)[O-])CCO2 ZINC000886202902 646917857 /nfs/dbraw/zinc/91/78/57/646917857.db2.gz HMFQVDBSQZOIJR-UHFFFAOYSA-N 0 0 299.330 2.693 20 5 CFBDRN O=[N+]([O-])c1cc(CNCc2cc(C3CC3)no2)cs1 ZINC000886272369 646944762 /nfs/dbraw/zinc/94/47/62/646944762.db2.gz OABWMJKKFNERDG-UHFFFAOYSA-N 0 0 279.321 2.812 20 5 CFBDRN Cc1ccc(CN[C@@H](C)c2ncco2)cc1[N+](=O)[O-] ZINC000886275879 646946568 /nfs/dbraw/zinc/94/65/68/646946568.db2.gz PGNFXBNFBTUHMR-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN[C@H]1CCc2c1cccc2F ZINC000886924347 647177343 /nfs/dbraw/zinc/17/73/43/647177343.db2.gz WZMMSOAVTIOVBE-AWEZNQCLSA-N 0 0 287.294 2.906 20 5 CFBDRN CC(C)(CNC(=O)c1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000887368731 647287388 /nfs/dbraw/zinc/28/73/88/647287388.db2.gz VQDZZNHSYJQVHT-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN CC(C)(CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000887370288 647288156 /nfs/dbraw/zinc/28/81/56/647288156.db2.gz MYTLJEVWDHGRAD-UHFFFAOYSA-N 0 0 294.326 2.829 20 5 CFBDRN CCC(F)(F)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887399152 647302349 /nfs/dbraw/zinc/30/23/49/647302349.db2.gz OICJTFDDTNUNGP-SECBINFHSA-N 0 0 286.278 2.860 20 5 CFBDRN C[C@H](CNC(=O)[C@@]1(C)CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000887400588 647302886 /nfs/dbraw/zinc/30/28/86/647302886.db2.gz FHTYLVFRFWSIPL-NOZJJQNGSA-N 0 0 298.289 2.860 20 5 CFBDRN C[C@H](CNC(=O)CSC1CC1)c1ccccc1[N+](=O)[O-] ZINC000887401368 647303286 /nfs/dbraw/zinc/30/32/86/647303286.db2.gz USSJIHRYFZYOFS-SNVBAGLBSA-N 0 0 294.376 2.710 20 5 CFBDRN CC[C@@H](SC)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403056 647303624 /nfs/dbraw/zinc/30/36/24/647303624.db2.gz NTKFPZPJRDFHFL-ZWNOBZJWSA-N 0 0 296.392 2.956 20 5 CFBDRN CSC[C@H](C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403060 647303697 /nfs/dbraw/zinc/30/36/97/647303697.db2.gz NTXYWHYXGSFWNN-MNOVXSKESA-N 0 0 296.392 2.814 20 5 CFBDRN CS/C=C/C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887402493 647303973 /nfs/dbraw/zinc/30/39/73/647303973.db2.gz CWCQKUYTTBAKIZ-JARNTUPDSA-N 0 0 280.349 2.691 20 5 CFBDRN CSC[C@H](C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403061 647303981 /nfs/dbraw/zinc/30/39/81/647303981.db2.gz NTXYWHYXGSFWNN-QWRGUYRKSA-N 0 0 296.392 2.814 20 5 CFBDRN CC[C@H](C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404655 647304515 /nfs/dbraw/zinc/30/45/15/647304515.db2.gz JGOCZMGRGSSPFM-WDEREUQCSA-N 0 0 264.325 2.861 20 5 CFBDRN CCCCOCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403530 647304756 /nfs/dbraw/zinc/30/47/56/647304756.db2.gz YXLKVLMDNVGHEL-LBPRGKRZSA-N 0 0 294.351 2.631 20 5 CFBDRN CC(C)Cn1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000887730171 647401810 /nfs/dbraw/zinc/40/18/10/647401810.db2.gz HQRALHXXNRJKRK-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN Cc1ncc(CC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)o1 ZINC000887732256 647402719 /nfs/dbraw/zinc/40/27/19/647402719.db2.gz MYHFWVJYRQRSHF-UHFFFAOYSA-N 0 0 289.291 2.689 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1C[C@@H]2C[C@@H]2C1 ZINC000887734123 647402747 /nfs/dbraw/zinc/40/27/47/647402747.db2.gz NXGAVDZYCNWYGR-OCAPTIKFSA-N 0 0 281.699 2.732 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000887753844 647406876 /nfs/dbraw/zinc/40/68/76/647406876.db2.gz OKQICPIQKAIHTN-COPLHBTASA-N 0 0 291.303 2.624 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2C[C@H](C)CO2)c([N+](=O)[O-])c1 ZINC000887753681 647407292 /nfs/dbraw/zinc/40/72/92/647407292.db2.gz LHYZHPOBNJIWEM-JOYOIKCWSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000888017992 647464906 /nfs/dbraw/zinc/46/49/06/647464906.db2.gz BMPAAOBZOAVTEO-PHIMTYICSA-N 0 0 275.308 2.695 20 5 CFBDRN CCc1cnc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000889387744 647557016 /nfs/dbraw/zinc/55/70/16/647557016.db2.gz KKKYEOBCBFANOL-SECBINFHSA-N 0 0 289.291 2.887 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@@H]1C1CCCC1 ZINC000889392066 647558418 /nfs/dbraw/zinc/55/84/18/647558418.db2.gz FHPXRWOCZYVLHI-SNVBAGLBSA-N 0 0 264.281 2.593 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@H]1C1CCCC1 ZINC000889394038 647559035 /nfs/dbraw/zinc/55/90/35/647559035.db2.gz HCUVXWAFFUORKM-ZDUSSCGKSA-N 0 0 274.320 3.000 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)cccc1[N+](=O)[O-] ZINC000889582155 647604284 /nfs/dbraw/zinc/60/42/84/647604284.db2.gz WYUXRLXHROKDBW-WCFLWFBJSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889612358 647617663 /nfs/dbraw/zinc/61/76/63/647617663.db2.gz OSPCCOUKMIAYOP-XHVZSJERSA-N 0 0 292.310 2.581 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)Cc2cccnc2)ccc1[N+](=O)[O-] ZINC000889652598 647631154 /nfs/dbraw/zinc/63/11/54/647631154.db2.gz BXFTVJOGEZEQTM-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCOCC2)c1C ZINC000889876653 647686594 /nfs/dbraw/zinc/68/65/94/647686594.db2.gz GUAOPAGUPHMILP-LBPRGKRZSA-N 0 0 292.335 2.511 20 5 CFBDRN CCOC(C)(C)CNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000890106024 647745257 /nfs/dbraw/zinc/74/52/57/647745257.db2.gz SBBGULBJDSWTCK-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1cccc(OCCOC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000003502807 647832336 /nfs/dbraw/zinc/83/23/36/647832336.db2.gz HCHBALAOOGXCKH-UHFFFAOYSA-N 0 0 291.259 2.732 20 5 CFBDRN COc1ccc(CNC(=O)[C@]2(C)C=CCC2)cc1[N+](=O)[O-] ZINC000930339329 647901153 /nfs/dbraw/zinc/90/11/53/647901153.db2.gz UZXHBAGRXTXUOG-OAHLLOKOSA-N 0 0 290.319 2.576 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000924036528 647914995 /nfs/dbraw/zinc/91/49/95/647914995.db2.gz QSKUDOYDZLXGSM-PKZYVASSSA-N 0 0 292.310 2.756 20 5 CFBDRN CCC1(CC(=O)OCc2c(F)cccc2[N+](=O)[O-])COC1 ZINC000924345599 647962631 /nfs/dbraw/zinc/96/26/31/647962631.db2.gz LCEKVICICKIPOM-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN CCC1(CC(=O)OCc2ccc([N+](=O)[O-])cc2F)COC1 ZINC000924345703 647962716 /nfs/dbraw/zinc/96/27/16/647962716.db2.gz MVRCZSMRSVDBOA-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000925245753 648142025 /nfs/dbraw/zinc/14/20/25/648142025.db2.gz UVXBCBCZXDMTHT-QKCSRTOESA-N 0 0 289.335 2.850 20 5 CFBDRN CC(C)[S@@](C)(=O)=NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000926059836 648395421 /nfs/dbraw/zinc/39/54/21/648395421.db2.gz BETYNVRWEDRRTD-HXUWFJFHSA-N 0 0 298.364 2.560 20 5 CFBDRN COC(C)(C)CC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245128 648886925 /nfs/dbraw/zinc/88/69/25/648886925.db2.gz LGDWEQYIEGYPRS-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245883 648887365 /nfs/dbraw/zinc/88/73/65/648887365.db2.gz XTZUTNUFLHVSNP-QJPTWQEYSA-N 0 0 294.351 2.691 20 5 CFBDRN CCO[C@H](C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000928246204 648887420 /nfs/dbraw/zinc/88/74/20/648887420.db2.gz CQAQFXKKMKNDCC-YGRLFVJLSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H](NC(=O)C1=CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000928247882 648888295 /nfs/dbraw/zinc/88/82/95/648888295.db2.gz FCBQPVZSGIGXJW-SNVBAGLBSA-N 0 0 260.293 2.882 20 5 CFBDRN C[C@@H](NC(=O)C(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000928246610 648888455 /nfs/dbraw/zinc/88/84/55/648888455.db2.gz HAVBNXWMWSAVTO-SECBINFHSA-N 0 0 250.298 2.818 20 5 CFBDRN CC(C)(CCC(=O)Nc1cnn(C2CCCC2)c1)[N+](=O)[O-] ZINC000928793373 648955915 /nfs/dbraw/zinc/95/59/15/648955915.db2.gz UZCDWYSJEWEBCD-UHFFFAOYSA-N 0 0 294.355 2.772 20 5 CFBDRN CC[C@H](C)[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OC ZINC000035019316 648960615 /nfs/dbraw/zinc/96/06/15/648960615.db2.gz IVCOGIMZOCNMNY-MJVIPROJSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H](C(=O)N1CCn2cccc2C1)c1cccc([N+](=O)[O-])c1 ZINC000928961971 648969979 /nfs/dbraw/zinc/96/99/79/648969979.db2.gz PIXHBIDDEAEPCA-GFCCVEGCSA-N 0 0 299.330 2.542 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000929119706 648983650 /nfs/dbraw/zinc/98/36/50/648983650.db2.gz QKFIJUUVLSIKLG-CHWSQXEVSA-N 0 0 293.323 2.544 20 5 CFBDRN CC(C)N(Cc1nncs1)Cc1ccc([N+](=O)[O-])cc1 ZINC000929337383 649034186 /nfs/dbraw/zinc/03/41/86/649034186.db2.gz DWYVMCBQKSMWRU-UHFFFAOYSA-N 0 0 292.364 2.857 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NCC(F)(F)F ZINC000929821285 649131010 /nfs/dbraw/zinc/13/10/10/649131010.db2.gz QXYUPKSKZZYECN-UHFFFAOYSA-N 0 0 294.254 2.609 20 5 CFBDRN Cc1cccc(CN(CC[N+](=O)[O-])Cc2ccco2)c1 ZINC000929889399 649144649 /nfs/dbraw/zinc/14/46/49/649144649.db2.gz CBBNTCZAPOIPDG-UHFFFAOYSA-N 0 0 274.320 2.867 20 5 CFBDRN CC[C@H](NCC[N+](=O)[O-])c1ccccc1OC(F)F ZINC000930201820 649205918 /nfs/dbraw/zinc/20/59/18/649205918.db2.gz UDOGFWWJAJKMHH-JTQLQIEISA-N 0 0 274.267 2.605 20 5 CFBDRN C[C@H](N[C@@H](C)c1ncccn1)c1ccccc1[N+](=O)[O-] ZINC000930235873 649210923 /nfs/dbraw/zinc/21/09/23/649210923.db2.gz ROZLSRLRMDJMHY-QWRGUYRKSA-N 0 0 272.308 2.797 20 5 CFBDRN C[C@@H]1C[C@@H]2C[C@@H]2C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000930276792 649220143 /nfs/dbraw/zinc/22/01/43/649220143.db2.gz SHECMFBIHNHNJB-OPQSFPLASA-N 0 0 288.347 2.893 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000930881582 649342525 /nfs/dbraw/zinc/34/25/25/649342525.db2.gz BXSJTEFXKPVTFE-ZWKOPEQDSA-N 0 0 275.308 2.905 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931452373 649430408 /nfs/dbraw/zinc/43/04/08/649430408.db2.gz JHOWYLQQKBYERK-WDEREUQCSA-N 0 0 286.335 2.998 20 5 CFBDRN Cc1noc(CN2C[C@@H]3C[C@]3(c3ccccc3)C2)c1[N+](=O)[O-] ZINC000931923483 649511938 /nfs/dbraw/zinc/51/19/38/649511938.db2.gz OSLBWZNBETYZLZ-XJKSGUPXSA-N 0 0 299.330 2.665 20 5 CFBDRN CCc1nocc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000932178995 649559701 /nfs/dbraw/zinc/55/97/01/649559701.db2.gz VDZSQHUTNBAVDM-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN C[C@@H](N[C@H](C)c1ncccn1)c1ccc([N+](=O)[O-])cc1 ZINC000932178569 649559794 /nfs/dbraw/zinc/55/97/94/649559794.db2.gz QSHCSXQMQSQDHM-GHMZBOCLSA-N 0 0 272.308 2.797 20 5 CFBDRN Cc1ccc(CN2CCn3cccc3C2)cc1[N+](=O)[O-] ZINC000932526847 649615041 /nfs/dbraw/zinc/61/50/41/649615041.db2.gz RMHMTNGZCJEWID-UHFFFAOYSA-N 0 0 271.320 2.721 20 5 CFBDRN CSCC1CCN(Cc2cccnc2[N+](=O)[O-])CC1 ZINC000932585191 649623677 /nfs/dbraw/zinc/62/36/77/649623677.db2.gz IISZKRCOLKKPBI-UHFFFAOYSA-N 0 0 281.381 2.565 20 5 CFBDRN CN(C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000932652145 649634528 /nfs/dbraw/zinc/63/45/28/649634528.db2.gz WCRODGAVKCXLCZ-CABCVRRESA-N 0 0 297.314 2.756 20 5 CFBDRN CSCCCNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933160924 649676150 /nfs/dbraw/zinc/67/61/50/649676150.db2.gz YZKFUMHCOVDSBV-CHWSQXEVSA-N 0 0 294.376 2.568 20 5 CFBDRN CCN(Cc1cc(F)ccc1[N+](=O)[O-])C(C)(C)C(=O)OC ZINC000933191601 649678573 /nfs/dbraw/zinc/67/85/73/649678573.db2.gz ZIYBJCAIJPVUJA-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN CN(C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000933614800 649706762 /nfs/dbraw/zinc/70/67/62/649706762.db2.gz TYOTVLKDPMRXAZ-KGLIPLIRSA-N 0 0 274.320 2.709 20 5 CFBDRN Cc1cccc(C)c1CN(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000933762852 649719668 /nfs/dbraw/zinc/71/96/68/649719668.db2.gz ICTLZNYZKBUVPQ-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN CC1(CNC(=O)CCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000934065746 649743747 /nfs/dbraw/zinc/74/37/47/649743747.db2.gz HQBDNGANLRVTNK-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000934108055 649750351 /nfs/dbraw/zinc/75/03/51/649750351.db2.gz ZBEVCHZMOWWOPI-AAEUAGOBSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@]1(C2CC2)COCCN1Cc1csc([N+](=O)[O-])c1 ZINC000934481506 649793276 /nfs/dbraw/zinc/79/32/76/649793276.db2.gz UOKYUMHENWLRJG-CYBMUJFWSA-N 0 0 282.365 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(F)nc1 ZINC000074482293 649806364 /nfs/dbraw/zinc/80/63/64/649806364.db2.gz HQAHMFNZPUJKEZ-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN[C@H](c1ccncc1)C1CC1 ZINC000934699190 649816724 /nfs/dbraw/zinc/81/67/24/649816724.db2.gz VEMTUFJDYPJTQB-AWEZNQCLSA-N 0 0 284.319 2.626 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062415 651277918 /nfs/dbraw/zinc/27/79/18/651277918.db2.gz GFEBYTRSGLBKNG-XCBNKYQSSA-N 0 0 269.684 2.947 20 5 CFBDRN Cc1ocnc1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062070 651278429 /nfs/dbraw/zinc/27/84/29/651278429.db2.gz WMXLTDINGHCWTN-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN O=C(NOCc1ccc(Cl)cc1[N+](=O)[O-])C1CCCC1 ZINC000116268030 651278973 /nfs/dbraw/zinc/27/89/73/651278973.db2.gz DTMWYQKEHPAMLU-UHFFFAOYSA-N 0 0 298.726 2.986 20 5 CFBDRN Cc1ccn(Cc2ccc(Cl)cc2[N+](=O)[O-])c(=O)c1 ZINC000116267936 651279044 /nfs/dbraw/zinc/27/90/44/651279044.db2.gz UNQJFOSIBKCNAT-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN CCCCCCNS(=O)(=O)c1csc([N+](=O)[O-])c1 ZINC000119312263 651297077 /nfs/dbraw/zinc/29/70/77/651297077.db2.gz PHNSYVNFMDWNLW-UHFFFAOYSA-N 0 0 292.382 2.515 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@H]1CCCOC1 ZINC000723856433 770690509 /nfs/dbraw/zinc/69/05/09/770690509.db2.gz AZKIVNOXERIOPP-CMPLNLGQSA-N 0 0 265.313 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NCCOCCF ZINC000702632277 780939444 /nfs/dbraw/zinc/93/94/44/780939444.db2.gz ZVYKRMOKHPDSSP-UHFFFAOYSA-N 0 0 280.658 2.785 20 5 CFBDRN CC1(C)CC[C@H](CO)N(c2ccc([N+](=O)[O-])cc2)C1 ZINC000701605217 780819415 /nfs/dbraw/zinc/81/94/15/780819415.db2.gz UYPVABMYOUWOEM-CYBMUJFWSA-N 0 0 264.325 2.582 20 5 CFBDRN O=[N+]([O-])c1ccnc(N2CC(c3ccccc3)C2)c1 ZINC001167060990 769880115 /nfs/dbraw/zinc/88/01/15/769880115.db2.gz FODQQMWLWAESDW-UHFFFAOYSA-N 0 0 255.277 2.594 20 5 CFBDRN CC[C@H](c1ccccc1)N(C)c1cc([N+](=O)[O-])cc[n+]1[O-] ZINC001167131021 770076703 /nfs/dbraw/zinc/07/67/03/770076703.db2.gz XGUWMHJXAMLSKW-CQSZACIVSA-N 0 0 287.319 2.816 20 5 CFBDRN COC(=O)c1ccc(N)c(Nc2cccc([N+](=O)[O-])c2)c1 ZINC001204456346 770126486 /nfs/dbraw/zinc/12/64/86/770126486.db2.gz LCXQOLTYVPSYRE-UHFFFAOYSA-N 0 0 287.275 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc2ccc(N3CC[C@@H]4OCC[C@@H]4C3)nc2c1 ZINC001167216069 770149735 /nfs/dbraw/zinc/14/97/35/770149735.db2.gz TWGCEUUWDPGFIN-DOMZBBRYSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1cc(Cl)nc(N2CC[C@@H]3OCC[C@H]3C2)c1[N+](=O)[O-] ZINC001167216820 770150676 /nfs/dbraw/zinc/15/06/76/770150676.db2.gz VZIPPQRYJXPKTH-UWVGGRQHSA-N 0 0 297.742 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3C[C@H]4[C@H](CF)[C@H]4C3)c2c1 ZINC001167225473 770158700 /nfs/dbraw/zinc/15/87/00/770158700.db2.gz IIHJRRMCGAHQMO-ZSOGYDGISA-N 0 0 287.294 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](OCCCn1cccc1)C2 ZINC001209917048 770162458 /nfs/dbraw/zinc/16/24/58/770162458.db2.gz NYYNKKOESKVWMR-INIZCTEOSA-N 0 0 286.331 2.970 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCc1cncnc1 ZINC001167253303 770178306 /nfs/dbraw/zinc/17/83/06/770178306.db2.gz OMGZSUIFFBGDRJ-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN COc1ncc(Nc2ccnc(Cl)c2)cc1[N+](=O)[O-] ZINC001210135504 770215131 /nfs/dbraw/zinc/21/51/31/770215131.db2.gz UGYCKCDWUZRYRY-UHFFFAOYSA-N 0 0 280.671 2.790 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cnncc2Cl)c1F ZINC001210382874 770276179 /nfs/dbraw/zinc/27/61/79/770276179.db2.gz VTACBKDZSHGJKB-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN CCOC(=O)c1cc(Nc2cccc([N+](=O)[O-])c2F)on1 ZINC001210381954 770276265 /nfs/dbraw/zinc/27/62/65/770276265.db2.gz SOGCIMBLKPHCLW-UHFFFAOYSA-N 0 0 295.226 2.642 20 5 CFBDRN Nc1cnc(Cl)c(Nc2ccc(F)c([N+](=O)[O-])c2)n1 ZINC001211190191 770448509 /nfs/dbraw/zinc/44/85/09/770448509.db2.gz AAZHKZDEINVHCD-UHFFFAOYSA-N 0 0 283.650 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1cncc(CO)c1 ZINC001211287311 770476606 /nfs/dbraw/zinc/47/66/06/770476606.db2.gz JFFYCGDWDROPFX-UHFFFAOYSA-N 0 0 259.265 2.534 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1cnc2c(c1)ncn2C ZINC001211286420 770476858 /nfs/dbraw/zinc/47/68/58/770476858.db2.gz UBIILILYAKFZAB-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN Cc1cnc(F)cc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC001125488788 770542398 /nfs/dbraw/zinc/54/23/98/770542398.db2.gz CYHKFNYCVGCJAT-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN C[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])C1(C)CC1 ZINC000706920847 770548110 /nfs/dbraw/zinc/54/81/10/770548110.db2.gz BMSCEOQKMQQGKL-SSDOTTSWSA-N 0 0 266.326 2.905 20 5 CFBDRN COc1ccc(N[C@@H](C)c2ccc(F)cn2)nc1[N+](=O)[O-] ZINC001167471969 770589267 /nfs/dbraw/zinc/58/92/67/770589267.db2.gz OHHFDBOVCVSSPP-QMMMGPOBSA-N 0 0 292.270 2.706 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](C1CC1)[C@H]1CCCOC1 ZINC000723846932 770683035 /nfs/dbraw/zinc/68/30/35/770683035.db2.gz QZBYCAAOJXSDDZ-AAEUAGOBSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](C1CC1)[C@@H]1CCCOC1 ZINC000723846935 770683192 /nfs/dbraw/zinc/68/31/92/770683192.db2.gz QZBYCAAOJXSDDZ-YPMHNXCESA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CC2)[C@@H]2CCCOC2)nc1 ZINC000723847106 770684344 /nfs/dbraw/zinc/68/43/44/770684344.db2.gz XKDUACSDKLRKKS-RISCZKNCSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@H](Nc1ncccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723859752 770691052 /nfs/dbraw/zinc/69/10/52/770691052.db2.gz YOPCYEZCDKVSMP-GHMZBOCLSA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723870272 770695639 /nfs/dbraw/zinc/69/56/39/770695639.db2.gz DFTAAPUYWMNJOZ-VXGBXAGGSA-N 0 0 279.340 2.915 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ncccc1O ZINC000588449305 770729475 /nfs/dbraw/zinc/72/94/75/770729475.db2.gz BEGGRDQLSRGWQS-KTKRTIGZSA-N 0 0 299.286 2.737 20 5 CFBDRN Cc1cnc(COc2cc([N+](=O)[O-])ccc2Cl)cn1 ZINC000693536347 770800069 /nfs/dbraw/zinc/80/00/69/770800069.db2.gz XEQRFOYUGOSIFV-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN NC(=O)c1cccc(Nc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC001216481729 770944257 /nfs/dbraw/zinc/94/42/57/770944257.db2.gz ACRLSDUBWRQMRN-UHFFFAOYSA-N 0 0 275.239 2.576 20 5 CFBDRN COc1cc(NCc2ccns2)c([N+](=O)[O-])cc1OC ZINC000404257676 773210487 /nfs/dbraw/zinc/21/04/87/773210487.db2.gz BPHCJAMXMUPKHE-UHFFFAOYSA-N 0 0 295.320 2.681 20 5 CFBDRN Cc1ccc(N(c2ncc(N)cn2)C(C)C)cc1[N+](=O)[O-] ZINC001213666027 773216643 /nfs/dbraw/zinc/21/66/43/773216643.db2.gz XPLKOXXQAFHUCQ-UHFFFAOYSA-N 0 0 287.323 2.822 20 5 CFBDRN C/C=C(/CC)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000588571399 773241594 /nfs/dbraw/zinc/24/15/94/773241594.db2.gz RHTPMSLRWOUSBD-KMKOMSMNSA-N 0 0 260.293 2.840 20 5 CFBDRN O=C1CCCC[C@H]1OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001224100625 775100806 /nfs/dbraw/zinc/10/08/06/775100806.db2.gz KMOOJWKZXPUDKZ-CYBMUJFWSA-N 0 0 294.263 2.531 20 5 CFBDRN CCC[C@H](CC(=O)OCC)OCc1ccc([N+](=O)[O-])nc1 ZINC001224108873 775102501 /nfs/dbraw/zinc/10/25/01/775102501.db2.gz MDFBSEMPSXJGTP-GFCCVEGCSA-N 0 0 296.323 2.628 20 5 CFBDRN CC(=O)[C@@H](OCc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC001224114913 775103817 /nfs/dbraw/zinc/10/38/17/775103817.db2.gz QVQNWZRUJHQODS-OAHLLOKOSA-N 0 0 286.287 2.837 20 5 CFBDRN C[C@@H](COCc1ccc([N+](=O)[O-])nc1)Oc1ccccc1 ZINC001224114921 775105515 /nfs/dbraw/zinc/10/55/15/775105515.db2.gz QZBWMWGLDLDCTI-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2N)C1 ZINC000721527702 775128943 /nfs/dbraw/zinc/12/89/43/775128943.db2.gz FJPALHGXGBOPFM-WPRPVWTQSA-N 0 0 264.281 2.522 20 5 CFBDRN O=C1C[C@H](OCc2ccc([N+](=O)[O-])c3ccccc23)CO1 ZINC001224203258 775128862 /nfs/dbraw/zinc/12/88/62/775128862.db2.gz KKJPGLAJXLPOKB-NSHDSACASA-N 0 0 287.271 2.580 20 5 CFBDRN COc1ccc(CO[C@H](C[N+](=O)[O-])C2CC2)c(C)c1C ZINC001224215548 775130355 /nfs/dbraw/zinc/13/03/55/775130355.db2.gz LDECFNUVYQVGGH-OAHLLOKOSA-N 0 0 279.336 2.884 20 5 CFBDRN CCN(C(=O)CO[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC001224308313 775150788 /nfs/dbraw/zinc/15/07/88/775150788.db2.gz CYFAYTLJJSQQPT-LLVKDONJSA-N 0 0 292.335 2.683 20 5 CFBDRN CCN(C(=O)CO[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC001224308314 775151520 /nfs/dbraw/zinc/15/15/20/775151520.db2.gz CYFAYTLJJSQQPT-NSHDSACASA-N 0 0 292.335 2.683 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224334242 775161124 /nfs/dbraw/zinc/16/11/24/775161124.db2.gz UHHIEFPLEQMTCC-OLZOCXBDSA-N 0 0 297.351 2.594 20 5 CFBDRN C[C@H](OCCc1ccc([N+](=O)[O-])cc1)c1cnccn1 ZINC001224334285 775161126 /nfs/dbraw/zinc/16/11/26/775161126.db2.gz VKYYUMAAEYSTTR-NSHDSACASA-N 0 0 273.292 2.705 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N[C@H]1CC12CC(O)C2 ZINC000724932386 775185669 /nfs/dbraw/zinc/18/56/69/775185669.db2.gz WDURPFDOTNUTHD-SAVVLTDYSA-N 0 0 284.262 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@@H]1CC12CC(O)C2 ZINC000725002095 775227687 /nfs/dbraw/zinc/22/76/87/775227687.db2.gz SMCCVMFLVAEBPT-BYIYDRLASA-N 0 0 268.700 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC[C@@H]2CCCCO2)cc1 ZINC001224777265 775271419 /nfs/dbraw/zinc/27/14/19/775271419.db2.gz QRORWMWBXSQSOQ-AWEZNQCLSA-N 0 0 281.308 2.559 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1nc(Cl)ccc1[N+](=O)[O-] ZINC000092341247 775279750 /nfs/dbraw/zinc/27/97/50/775279750.db2.gz RHQDAQFOPGMEJH-UHFFFAOYSA-N 0 0 295.730 2.606 20 5 CFBDRN C[C@@H](OCCC1(C)OCCO1)c1ccccc1[N+](=O)[O-] ZINC001224885440 775299109 /nfs/dbraw/zinc/29/91/09/775299109.db2.gz KHJHYBWENPGOBS-LLVKDONJSA-N 0 0 281.308 2.826 20 5 CFBDRN CCC[C@@H](C)OCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC001224987975 775326949 /nfs/dbraw/zinc/32/69/49/775326949.db2.gz XOCXSIDVINABSX-LLVKDONJSA-N 0 0 281.308 2.843 20 5 CFBDRN COc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(Cl)c1 ZINC001225343723 775419902 /nfs/dbraw/zinc/41/99/02/775419902.db2.gz ZKBBRNXFKIOVNA-LBPRGKRZSA-N 0 0 271.700 2.783 20 5 CFBDRN Cc1cc(F)ccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225344241 775420175 /nfs/dbraw/zinc/42/01/75/775420175.db2.gz AHQYJUMCIRDDLE-AWEZNQCLSA-N 0 0 276.267 2.926 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCCCO2)ccc1F ZINC001225383374 775437177 /nfs/dbraw/zinc/43/71/77/775437177.db2.gz ZIHANSFBEMYGMW-JTQLQIEISA-N 0 0 255.245 2.682 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCC=CO2)ccc1F ZINC001225382836 775437211 /nfs/dbraw/zinc/43/72/11/775437211.db2.gz SWERRRGJGGOMOT-SNVBAGLBSA-N 0 0 253.229 2.805 20 5 CFBDRN COC(C[C@H](C)Oc1ccc(F)c([N+](=O)[O-])c1)OC ZINC001225383165 775437439 /nfs/dbraw/zinc/43/74/39/775437439.db2.gz VRMANVXRUCFDJZ-QMMMGPOBSA-N 0 0 273.260 2.510 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ccc(F)c([N+](=O)[O-])c2)CCO1 ZINC001225385442 775438175 /nfs/dbraw/zinc/43/81/75/775438175.db2.gz SAYLQHJDSGZDEH-PSASIEDQSA-N 0 0 255.245 2.680 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H](C)C1CC1 ZINC001225448609 775461890 /nfs/dbraw/zinc/46/18/90/775461890.db2.gz QIQFKBXUVKYQSI-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(F)ccc1[N+](=O)[O-])OC ZINC001225577153 775507927 /nfs/dbraw/zinc/50/79/27/775507927.db2.gz FSEFCGBEVHHSJQ-MRVPVSSYSA-N 0 0 273.260 2.510 20 5 CFBDRN CC(C)(C)N1CC(Oc2cc(F)ccc2[N+](=O)[O-])C1 ZINC001225574847 775508119 /nfs/dbraw/zinc/50/81/19/775508119.db2.gz HSZPVZYMXCARKA-UHFFFAOYSA-N 0 0 268.288 2.595 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc(F)ccc2[N+](=O)[O-])=C1C ZINC001225578085 775509454 /nfs/dbraw/zinc/50/94/54/775509454.db2.gz LPCLOHCFPFPEAL-JTQLQIEISA-N 0 0 281.239 2.722 20 5 CFBDRN C[C@H](COC(C)(C)C)Oc1ncccc1[N+](=O)[O-] ZINC001225589572 775513964 /nfs/dbraw/zinc/51/39/64/775513964.db2.gz BJLWHAVNUOQJDZ-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2ncccc2[N+](=O)[O-])cn1 ZINC001225593472 775515450 /nfs/dbraw/zinc/51/54/50/775515450.db2.gz RKSYNNNVONLLIN-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001225594570 775516799 /nfs/dbraw/zinc/51/67/99/775516799.db2.gz QLJVJWCECSVHCC-VHSXEESVSA-N 0 0 296.279 2.758 20 5 CFBDRN CC1(C)C(=O)CCC[C@@H]1Oc1ncccc1[N+](=O)[O-] ZINC001225598711 775518340 /nfs/dbraw/zinc/51/83/40/775518340.db2.gz YJQTZEJKDGRCNE-NSHDSACASA-N 0 0 264.281 2.516 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H]1CCO[C@@H](C)C1 ZINC001225614815 775523059 /nfs/dbraw/zinc/52/30/59/775523059.db2.gz FPSWITLXJAGQCY-ONGXEEELSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H]1COc2cnccc21 ZINC001225616072 775525699 /nfs/dbraw/zinc/52/56/99/775525699.db2.gz FPDNFTUJJZSVSH-AWEZNQCLSA-N 0 0 288.259 2.511 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cn1)c1cnc2ccccn21 ZINC001225627525 775530015 /nfs/dbraw/zinc/53/00/15/775530015.db2.gz CELXQUHHUCYJTI-SNVBAGLBSA-N 0 0 284.275 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCCc3cccnc32)nc1 ZINC001225627910 775530795 /nfs/dbraw/zinc/53/07/95/775530795.db2.gz KAKCTNIZJIXZQA-LBPRGKRZSA-N 0 0 271.276 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCCc3cccnc32)nc1 ZINC001225627909 775530911 /nfs/dbraw/zinc/53/09/11/775530911.db2.gz KAKCTNIZJIXZQA-GFCCVEGCSA-N 0 0 271.276 2.841 20 5 CFBDRN CC/C=C\CCOC(=O)[C@@H](C)Oc1cccnc1[N+](=O)[O-] ZINC001225629175 775531569 /nfs/dbraw/zinc/53/15/69/775531569.db2.gz GDIBKUCJPRTWQC-DOGVGXBMSA-N 0 0 294.307 2.657 20 5 CFBDRN Cc1cccc(O[C@H]2CCCC3(C2)OCCO3)c1[N+](=O)[O-] ZINC001225635705 775534570 /nfs/dbraw/zinc/53/45/70/775534570.db2.gz MBWGKJTXKKLQAW-LBPRGKRZSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1cccc(O[C@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC001225635381 775535145 /nfs/dbraw/zinc/53/51/45/775535145.db2.gz GRGAOUURKUODCU-QWRGUYRKSA-N 0 0 251.282 2.850 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2cc[nH]c2c1)c1cccnc1 ZINC001225655387 775543780 /nfs/dbraw/zinc/54/37/80/775543780.db2.gz LKQBFGUTBJHLKP-HNNXBMFYSA-N 0 0 283.287 2.960 20 5 CFBDRN Cc1cc(O[C@H](C)c2ccncc2F)ncc1[N+](=O)[O-] ZINC001225659479 775545256 /nfs/dbraw/zinc/54/52/56/775545256.db2.gz UJNGAOVYBXSTBK-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cc(O[C@H](C)c2nccs2)ncc1[N+](=O)[O-] ZINC001225659358 775545459 /nfs/dbraw/zinc/54/54/59/775545459.db2.gz QINZVBVDMXUXIP-MRVPVSSYSA-N 0 0 265.294 2.895 20 5 CFBDRN Cc1cc(O[C@H]2CCCC(=O)C2(C)C)ncc1[N+](=O)[O-] ZINC001225663989 775546584 /nfs/dbraw/zinc/54/65/84/775546584.db2.gz VZXXCUSEGUUUAK-LBPRGKRZSA-N 0 0 278.308 2.825 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1cccc(F)c1[N+](=O)[O-] ZINC001225663338 775547425 /nfs/dbraw/zinc/54/74/25/775547425.db2.gz DFWQFQBBHUWIFX-MWLCHTKSSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1cc(O[C@@H](C)Cc2ccco2)ncc1[N+](=O)[O-] ZINC001225664048 775547570 /nfs/dbraw/zinc/54/75/70/775547570.db2.gz XDLHIHSIRHOTNE-JTQLQIEISA-N 0 0 262.265 2.901 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2cccc(F)c2[N+](=O)[O-])C1 ZINC001225666388 775548494 /nfs/dbraw/zinc/54/84/94/775548494.db2.gz IKUHHJAODOEJKQ-NXEZZACHSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225667992 775548863 /nfs/dbraw/zinc/54/88/63/775548863.db2.gz XQHXQIIBIIQGOP-PSASIEDQSA-N 0 0 252.270 2.653 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2[nH]ccc(=O)c2[N+](=O)[O-])cn1 ZINC001225666526 775548913 /nfs/dbraw/zinc/54/89/13/775548913.db2.gz KBAVFSLEUGWCSG-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN C[C@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000694697612 775549827 /nfs/dbraw/zinc/54/98/27/775549827.db2.gz HKEGAULMUZOSKU-HZMBPMFUSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)C[C@@]1(C)CO ZINC000694709173 775552558 /nfs/dbraw/zinc/55/25/58/775552558.db2.gz ZZMUFRSZYSUVMC-HZMBPMFUSA-N 0 0 298.770 2.699 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2COc3cnccc32)c1 ZINC001225680670 775555981 /nfs/dbraw/zinc/55/59/81/775555981.db2.gz AUNPYWIPFQDGRL-CQSZACIVSA-N 0 0 272.260 2.811 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccc(F)cn1)C2 ZINC001225692883 775561587 /nfs/dbraw/zinc/56/15/87/775561587.db2.gz CDGMAHZDWGFKMT-CYBMUJFWSA-N 0 0 274.251 2.675 20 5 CFBDRN CC(=O)N1CCC(Oc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC001225710256 775569718 /nfs/dbraw/zinc/56/97/18/775569718.db2.gz IZBBNERJRHOBLX-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN C[C@H](Oc1cccc(N)n1)c1ccccc1[N+](=O)[O-] ZINC001225758373 775584192 /nfs/dbraw/zinc/58/41/92/775584192.db2.gz ZRBLWBUDBVONDN-VIFPVBQESA-N 0 0 259.265 2.712 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)cc1O[C@@H]1CCn2ccnc21 ZINC001225776275 775589453 /nfs/dbraw/zinc/58/94/53/775589453.db2.gz ISIZJKUUUHZLLH-SNVBAGLBSA-N 0 0 281.218 2.593 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc([N+](=O)[O-])c1Cl)C1CC1 ZINC001225787186 775593215 /nfs/dbraw/zinc/59/32/15/775593215.db2.gz CRCPDSOJCYFHRI-SNVBAGLBSA-N 0 0 286.671 2.682 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2CCn3ccnc32)c1Cl ZINC001225786591 775593416 /nfs/dbraw/zinc/59/34/16/775593416.db2.gz VEXNYRBCCMSMJU-JTQLQIEISA-N 0 0 279.683 2.969 20 5 CFBDRN COC(=O)C1CCC(Oc2cccc([N+](=O)[O-])c2F)CC1 ZINC001225794350 775596171 /nfs/dbraw/zinc/59/61/71/775596171.db2.gz OEYAUIPCCJYRMV-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H](C)C2CCOCC2)c1 ZINC001225803751 775598417 /nfs/dbraw/zinc/59/84/17/775598417.db2.gz GZTXOOJPFDVHNU-NSHDSACASA-N 0 0 293.319 2.991 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(OC[C@H]2CCC=CO2)c1 ZINC001225804178 775598635 /nfs/dbraw/zinc/59/86/35/775598635.db2.gz PGLYAXKDURPYCN-GFCCVEGCSA-N 0 0 277.276 2.869 20 5 CFBDRN CO[C@@H](C)CCOc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC001225893128 775625083 /nfs/dbraw/zinc/62/50/83/775625083.db2.gz MZDWRICEHLQBJY-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225905551 775629405 /nfs/dbraw/zinc/62/94/05/775629405.db2.gz VREXFUCJIIWWTN-VIFPVBQESA-N 0 0 257.261 2.928 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225904353 775629878 /nfs/dbraw/zinc/62/98/78/775629878.db2.gz BTNCMDXFFWBCRF-JQWIXIFHSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225912718 775632440 /nfs/dbraw/zinc/63/24/40/775632440.db2.gz MTHNRVZFMFLBTF-GWCFXTLKSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC001225915697 775633577 /nfs/dbraw/zinc/63/35/77/775633577.db2.gz LOHDADAWVBJKJC-VHSXEESVSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2c(F)c(F)ccc2[N+](=O)[O-])CCO1 ZINC001225962247 775649207 /nfs/dbraw/zinc/64/92/07/775649207.db2.gz DLRBOBGZVXXZAP-SFYZADRCSA-N 0 0 273.235 2.819 20 5 CFBDRN CC[NH+]1CCC(Oc2c(F)c(F)ccc2[N+](=O)[O-])CC1 ZINC001225961775 775649301 /nfs/dbraw/zinc/64/93/01/775649301.db2.gz ZRQJOERDBYSUNZ-UHFFFAOYSA-N 0 0 286.278 2.736 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(C(F)(F)F)ccn1)C1CC1 ZINC001226001424 775662982 /nfs/dbraw/zinc/66/29/82/775662982.db2.gz KFTQHPCFMBZOQR-VIFPVBQESA-N 0 0 276.214 2.535 20 5 CFBDRN C[C@@H](Oc1c(F)cccc1[N+](=O)[O-])c1ncccn1 ZINC001226007371 775666653 /nfs/dbraw/zinc/66/66/53/775666653.db2.gz NFTLXOCWEAXLAT-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H]1C[C@H](Oc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC001226010566 775667112 /nfs/dbraw/zinc/66/71/12/775667112.db2.gz UIFQFSFIDOPWIA-RKDXNWHRSA-N 0 0 255.245 2.680 20 5 CFBDRN CC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1Br ZINC001226041000 775677969 /nfs/dbraw/zinc/67/79/69/775677969.db2.gz JWEMOVKPRXQCLU-SSDOTTSWSA-N 0 0 288.097 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccnc3[nH]ccc31)C2 ZINC001226096833 775698066 /nfs/dbraw/zinc/69/80/66/775698066.db2.gz IHSGGEWZAUAUQX-ZDUSSCGKSA-N 0 0 295.298 2.969 20 5 CFBDRN Cc1ccnc(O[C@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC001226116610 775706341 /nfs/dbraw/zinc/70/63/41/775706341.db2.gz RZBNWKLNVBYPDW-ZCFIWIBFSA-N 0 0 250.176 2.628 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1nccc(C)c1[N+](=O)[O-] ZINC001226119765 775707112 /nfs/dbraw/zinc/70/71/12/775707112.db2.gz QYHXTSGXQQMNHN-LLVKDONJSA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ncc(Cl)cc1[N+](=O)[O-] ZINC001226129480 775710838 /nfs/dbraw/zinc/71/08/38/775710838.db2.gz DFPLHNKPAWYSFB-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN COC(=O)C1(Nc2cccc(C)c2[N+](=O)[O-])CCCC1 ZINC001167714711 775713810 /nfs/dbraw/zinc/71/38/10/775713810.db2.gz MESOVIGACZKXAC-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C1 ZINC001226167202 775723277 /nfs/dbraw/zinc/72/32/77/775723277.db2.gz GVDSUIZSZOIGMN-GPCCPHFNSA-N 0 0 292.335 2.897 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)[C@H]1C ZINC001226172515 775724928 /nfs/dbraw/zinc/72/49/28/775724928.db2.gz LEBSLJYHSCRROU-NRUUGDAUSA-N 0 0 292.335 2.897 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCn3ccnc32)c(F)cc1F ZINC001226191917 775732696 /nfs/dbraw/zinc/73/26/96/775732696.db2.gz UWHYXPJKNWYLSR-SNVBAGLBSA-N 0 0 281.218 2.593 20 5 CFBDRN COC[C@H](C)Oc1cc([N+](=O)[O-])c(F)cc1Cl ZINC001226196249 775734294 /nfs/dbraw/zinc/73/42/94/775734294.db2.gz OJLHUTPHBSMMFM-LURJTMIESA-N 0 0 263.652 2.801 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCOC[C@@H]2F)c(Cl)cc1F ZINC001226199165 775735670 /nfs/dbraw/zinc/73/56/70/775735670.db2.gz TWHOQZRCRXLNBM-WCBMZHEXSA-N 0 0 293.653 2.893 20 5 CFBDRN CC[C@@H](O)C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1Cl ZINC001226204329 775737123 /nfs/dbraw/zinc/73/71/23/775737123.db2.gz NFTHOLSXJOQADV-MRVPVSSYSA-N 0 0 294.090 2.578 20 5 CFBDRN Cc1c([C@@H](C)Oc2ncc([N+](=O)[O-])cc2Cl)cnn1C ZINC001226251906 775755898 /nfs/dbraw/zinc/75/58/98/775755898.db2.gz GGGUVKTUAXOQFL-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ncc([N+](=O)[O-])cc1Cl ZINC001226255928 775758054 /nfs/dbraw/zinc/75/80/54/775758054.db2.gz QDLWIHXQWBBBAT-SNVBAGLBSA-N 0 0 272.688 2.780 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC001226257853 775758850 /nfs/dbraw/zinc/75/88/50/775758850.db2.gz JTKHEJNMPAAQKS-CBAPKCEASA-N 0 0 272.688 2.590 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])ccc1F ZINC001226264752 775762355 /nfs/dbraw/zinc/76/23/55/775762355.db2.gz BCDKCGLQANLVIO-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2CCn3ccnc32)c([N+](=O)[O-])c1 ZINC001226289856 775768725 /nfs/dbraw/zinc/76/87/25/775768725.db2.gz ZMQNEZRPUSNQHX-CYBMUJFWSA-N 0 0 287.275 2.518 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001226294813 775771706 /nfs/dbraw/zinc/77/17/06/775771706.db2.gz MQFITJVTIQOJQN-BXKDBHETSA-N 0 0 279.292 2.744 20 5 CFBDRN O=C1CC(Oc2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC001226312999 775776668 /nfs/dbraw/zinc/77/66/68/775776668.db2.gz LCGCEDFSPSJUNA-UHFFFAOYSA-N 0 0 275.182 2.724 20 5 CFBDRN Cc1cnc(=O)[nH]c1O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001226326391 775778909 /nfs/dbraw/zinc/77/89/09/775778909.db2.gz KWECCGGUUAZKBP-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN C[C@H](Oc1c(O)cccc1[N+](=O)[O-])c1ccccn1 ZINC001226333864 775782652 /nfs/dbraw/zinc/78/26/52/775782652.db2.gz OQEYTFPIVXMJJX-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN Cc1cn2c(n1)[C@@H](Oc1c(O)cccc1[N+](=O)[O-])CCC2 ZINC001226334485 775782965 /nfs/dbraw/zinc/78/29/65/775782965.db2.gz VRNNBUQNKRKWRB-LBPRGKRZSA-N 0 0 289.291 2.719 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2C=CCC2)c(Br)c1 ZINC001226343752 775785651 /nfs/dbraw/zinc/78/56/51/775785651.db2.gz CSQTZGIXWNDJEC-MRVPVSSYSA-N 0 0 285.097 2.850 20 5 CFBDRN CC[C@@H](C)Oc1c(OC)cc(C(=O)OC)cc1[N+](=O)[O-] ZINC001226362559 775790502 /nfs/dbraw/zinc/79/05/02/775790502.db2.gz WIHBQQGDKYNFII-MRVPVSSYSA-N 0 0 283.280 2.567 20 5 CFBDRN C[C@H](CON)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] ZINC001226386902 775799213 /nfs/dbraw/zinc/79/92/13/775799213.db2.gz SNGRNDZJWBNHHY-LLVKDONJSA-N 0 0 288.303 2.919 20 5 CFBDRN CCC[C@@H](C)Oc1cc(C(=O)OC)c([N+](=O)[O-])cc1OC ZINC001226439780 775822513 /nfs/dbraw/zinc/82/25/13/775822513.db2.gz PZOPXXZNHYGUDF-SECBINFHSA-N 0 0 297.307 2.957 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)C(F)(F)F)c1 ZINC001226443031 775823950 /nfs/dbraw/zinc/82/39/50/775823950.db2.gz LDMUKDJGTQZHON-LURJTMIESA-N 0 0 265.187 2.933 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)C2CCOCC2)c1 ZINC001226443410 775823959 /nfs/dbraw/zinc/82/39/59/775823959.db2.gz UXXHTZRZMKZTKH-SNVBAGLBSA-N 0 0 281.308 2.797 20 5 CFBDRN CC(C)(C)c1ccc(OC[C@@H]2COC(=O)O2)c([N+](=O)[O-])c1 ZINC001226445565 775825348 /nfs/dbraw/zinc/82/53/48/775825348.db2.gz DSICNOUUPTWYMA-SNVBAGLBSA-N 0 0 295.291 2.807 20 5 CFBDRN C[C@@H](CON)Oc1ccc(C(C)(C)C)cc1[N+](=O)[O-] ZINC001226445110 775825831 /nfs/dbraw/zinc/82/58/31/775825831.db2.gz ADZSBKUMCDXCSE-VIFPVBQESA-N 0 0 268.313 2.550 20 5 CFBDRN CN1CC(Oc2ccc(C(C)(C)C)cc2[N+](=O)[O-])C1 ZINC001226446278 775825986 /nfs/dbraw/zinc/82/59/86/775825986.db2.gz NGTDKHHCJQMMAH-UHFFFAOYSA-N 0 0 264.325 2.585 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc(OC)ccc2[N+](=O)[O-])=C1C ZINC001226446732 775826157 /nfs/dbraw/zinc/82/61/57/775826157.db2.gz OJCWTWZVIKKWCJ-NSHDSACASA-N 0 0 293.275 2.592 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC1CC(F)(F)C1 ZINC001226530813 775862008 /nfs/dbraw/zinc/86/20/08/775862008.db2.gz GZXDJAXTQLGJFG-UHFFFAOYSA-N 0 0 271.219 2.974 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226531833 775862331 /nfs/dbraw/zinc/86/23/31/775862331.db2.gz OSCHHSSYBYVNIH-JTQLQIEISA-N 0 0 281.308 2.991 20 5 CFBDRN COc1cc(O[C@H]2CCCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC001226580571 775881159 /nfs/dbraw/zinc/88/11/59/775881159.db2.gz BJURWRDEKWCYDJ-ONGXEEELSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1cc(O[C@H]2CCCC[C@H]2C)ncc1[N+](=O)[O-] ZINC001226580550 775881764 /nfs/dbraw/zinc/88/17/64/775881764.db2.gz BJURWRDEKWCYDJ-KOLCDFICSA-N 0 0 266.297 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCO)c(Br)c1 ZINC000037460597 775915038 /nfs/dbraw/zinc/91/50/38/775915038.db2.gz UNARZZWHZDMRMM-UHFFFAOYSA-N 0 0 292.154 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCC(F)(F)CC2)cn1 ZINC001226708525 775931193 /nfs/dbraw/zinc/93/11/93/775931193.db2.gz AEDVMJVIMZSBPT-UHFFFAOYSA-N 0 0 258.224 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOc3ccccc32)cn1 ZINC001226710622 775933305 /nfs/dbraw/zinc/93/33/05/775933305.db2.gz UBHQQIWWLVYYKJ-CYBMUJFWSA-N 0 0 272.260 2.892 20 5 CFBDRN CC1(C)CCC(Oc2ncc([N+](=O)[O-])cn2)CC1 ZINC001226731227 775940958 /nfs/dbraw/zinc/94/09/58/775940958.db2.gz MOMUIXZUEJRLNB-UHFFFAOYSA-N 0 0 251.286 2.732 20 5 CFBDRN COc1ccccc1[C@@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226731218 775941161 /nfs/dbraw/zinc/94/11/61/775941161.db2.gz MGLZOQKBDSLAHA-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H](c2ccccc2)C2CC2)nc1 ZINC001226732684 775941615 /nfs/dbraw/zinc/94/16/15/775941615.db2.gz XZCKUIGWAPHNQV-ZDUSSCGKSA-N 0 0 271.276 2.915 20 5 CFBDRN CCOc1ccc([C@H](C)Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC001226733769 775942397 /nfs/dbraw/zinc/94/23/97/775942397.db2.gz SNNFQIFYPPXEBZ-JTQLQIEISA-N 0 0 289.291 2.924 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cn1)c1cccc(F)c1F ZINC001226734268 775943198 /nfs/dbraw/zinc/94/31/98/775943198.db2.gz XBDSQHJTKNDHGQ-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN CCOC[C@@H](C)Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226743611 775943780 /nfs/dbraw/zinc/94/37/80/775943780.db2.gz DLYREACLCWKPCV-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@@H](Oc1c(F)cc([N+](=O)[O-])cc1F)c1cnccn1 ZINC001226748528 775945673 /nfs/dbraw/zinc/94/56/73/775945673.db2.gz JHEPQYAZAOFOKM-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@H](Oc1c(F)cc([N+](=O)[O-])cc1F)c1cnccn1 ZINC001226748529 775945870 /nfs/dbraw/zinc/94/58/70/775945870.db2.gz JHEPQYAZAOFOKM-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@@H]1CCc2cccnc21 ZINC001226751082 775947021 /nfs/dbraw/zinc/94/70/21/775947021.db2.gz DALPKUOJWCKDCL-LLVKDONJSA-N 0 0 275.239 2.590 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@H]1COCc2ccccc21 ZINC001226752038 775948610 /nfs/dbraw/zinc/94/86/10/775948610.db2.gz WIXGKKBVLNOGNH-ZDUSSCGKSA-N 0 0 290.250 2.779 20 5 CFBDRN CC1=C(Oc2ccc([N+](=O)[O-])cc2Cl)C(=O)O[C@@H]1C ZINC001226762092 775952375 /nfs/dbraw/zinc/95/23/75/775952375.db2.gz NXVDAOKRAZYLCG-SSDOTTSWSA-N 0 0 283.667 2.846 20 5 CFBDRN CC1=C[C@H](Oc2ccc([N+](=O)[O-])cc2Cl)CC(=O)O1 ZINC001226762937 775952896 /nfs/dbraw/zinc/95/28/96/775952896.db2.gz YNEQXPBATLABAW-VIFPVBQESA-N 0 0 283.667 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC3(COC3)C2)c(Cl)c1 ZINC001226762949 775953113 /nfs/dbraw/zinc/95/31/13/775953113.db2.gz YYUCXPRXALHACY-UHFFFAOYSA-N 0 0 269.684 2.806 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ncc([N+](=O)[O-])cc1C ZINC001226765677 775954241 /nfs/dbraw/zinc/95/42/41/775954241.db2.gz UHDYGVBSBLGVPA-RYUDHWBXSA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1cccc([N+](=O)[O-])c1 ZINC001226764527 775954477 /nfs/dbraw/zinc/95/44/77/775954477.db2.gz JSJLVONORFNUFU-JTQLQIEISA-N 0 0 261.281 2.777 20 5 CFBDRN C[C@@H](Oc1ncnc2cc(F)c([N+](=O)[O-])cc21)C1CC1 ZINC001226804000 775971807 /nfs/dbraw/zinc/97/18/07/775971807.db2.gz IMNCPGWGUUJQHM-SSDOTTSWSA-N 0 0 277.255 2.854 20 5 CFBDRN Cc1ccnc(COc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000692679594 775975785 /nfs/dbraw/zinc/97/57/85/775975785.db2.gz IJUIWIAZOYHLBL-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1cc(NC2(C3CCOCC3)CC2)ncc1[N+](=O)[O-] ZINC001167743682 775980478 /nfs/dbraw/zinc/98/04/78/775980478.db2.gz LHVPQPOKXWMVTG-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN Cc1cc(O[C@H]2CCCC3(C2)OCCO3)ccc1[N+](=O)[O-] ZINC001226843892 775987587 /nfs/dbraw/zinc/98/75/87/775987587.db2.gz QLLHWYBVQHJQIA-ZDUSSCGKSA-N 0 0 293.319 2.968 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc(Cl)c([N+](=O)[O-])cn1 ZINC001226916012 776016658 /nfs/dbraw/zinc/01/66/58/776016658.db2.gz WCJRNNRSOKSRLB-JTQLQIEISA-N 0 0 272.688 2.780 20 5 CFBDRN Cc1ccc(O[C@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC001226953201 776032832 /nfs/dbraw/zinc/03/28/32/776032832.db2.gz WBSOBXIDFVKRMN-MFKMUULPSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1cc(O[C@@H](C)c2ccccc2[N+](=O)[O-])cc(=O)o1 ZINC001226956846 776034024 /nfs/dbraw/zinc/03/40/24/776034024.db2.gz CHHGMCOAXWXRJA-JTQLQIEISA-N 0 0 275.260 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC1CC[NH+](C2CC2)CC1 ZINC001226995755 776054350 /nfs/dbraw/zinc/05/43/50/776054350.db2.gz NZVIRFAIJVQEMP-UHFFFAOYSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)c2cccnc2)n1 ZINC001227061815 776079972 /nfs/dbraw/zinc/07/99/72/776079972.db2.gz AREPERROZVNNLC-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCCCOC[C@@H](C)Oc1nc(C)ccc1[N+](=O)[O-] ZINC001227063078 776080050 /nfs/dbraw/zinc/08/00/50/776080050.db2.gz ZWOIMFNRDLHXAL-LLVKDONJSA-N 0 0 268.313 2.882 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1nc(C)ccc1[N+](=O)[O-] ZINC001227062522 776080541 /nfs/dbraw/zinc/08/05/41/776080541.db2.gz OUGWTTITILQPOX-LBPRGKRZSA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC2CCSCC2)n1 ZINC001227062812 776080752 /nfs/dbraw/zinc/08/07/52/776080752.db2.gz UOMZCILSPRWKQH-UHFFFAOYSA-N 0 0 254.311 2.573 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2COCc3ccccc32)n1 ZINC001227062257 776080845 /nfs/dbraw/zinc/08/08/45/776080845.db2.gz KWFHVAZLHRHDHP-CQSZACIVSA-N 0 0 286.287 2.949 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)c2ccccn2)n1 ZINC000692725579 776093330 /nfs/dbraw/zinc/09/33/30/776093330.db2.gz GDTJYLMSRCUTAD-SNVBAGLBSA-N 0 0 272.308 2.909 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@H](C)C1CCC1 ZINC001227122564 776106941 /nfs/dbraw/zinc/10/69/41/776106941.db2.gz RFDMAYQNVRJLHQ-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN COc1ccc(Cl)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001227141013 776112547 /nfs/dbraw/zinc/11/25/47/776112547.db2.gz ISAMNDLFPRHQOD-GFCCVEGCSA-N 0 0 271.700 2.783 20 5 CFBDRN CCC(CC)C[N@H+](CC)Cn1nccc1[N+](=O)[O-] ZINC000123904537 776125634 /nfs/dbraw/zinc/12/56/34/776125634.db2.gz WVPIFDIRCCWLKX-UHFFFAOYSA-N 0 0 254.334 2.507 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H]1CCO[C@@H](C)C1 ZINC001227188900 776129172 /nfs/dbraw/zinc/12/91/72/776129172.db2.gz YZTQMYLGDCGEOD-GXSJLCMTSA-N 0 0 267.281 2.550 20 5 CFBDRN Cc1ccc(OC2CCSCC2)c([N+](=O)[O-])n1 ZINC001227218612 776142561 /nfs/dbraw/zinc/14/25/61/776142561.db2.gz HEYJHKQNXFKFFU-UHFFFAOYSA-N 0 0 254.311 2.573 20 5 CFBDRN CC[C@H](COc1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21)OC ZINC001227222177 776143434 /nfs/dbraw/zinc/14/34/34/776143434.db2.gz XOMRFBZCXFFFBJ-SNVBAGLBSA-N 0 0 292.291 2.652 20 5 CFBDRN Cc1ccc(O[C@@H]2CCC(F)(F)C2)c([N+](=O)[O-])n1 ZINC001227223028 776143580 /nfs/dbraw/zinc/14/35/80/776143580.db2.gz AQKAYWLPCVNHCK-MRVPVSSYSA-N 0 0 258.224 2.865 20 5 CFBDRN CC[C@H](NC(=O)[C@H]1CCO[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000092443799 776143918 /nfs/dbraw/zinc/14/39/18/776143918.db2.gz YRGXOCFZKZRUPJ-SWHYSGLUSA-N 0 0 292.335 2.587 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1ccc(C)nc1[N+](=O)[O-] ZINC001227221527 776143985 /nfs/dbraw/zinc/14/39/85/776143985.db2.gz BEHPDOLRHJSUTF-GFCCVEGCSA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1cn2c(n1)[C@H](Oc1ccc(C)nc1[N+](=O)[O-])CCC2 ZINC001227222304 776144371 /nfs/dbraw/zinc/14/43/71/776144371.db2.gz LCALZDOSCVCPTP-LLVKDONJSA-N 0 0 288.307 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(O[C@@H]3COc4cnccc43)oc2c1 ZINC001227231165 776147730 /nfs/dbraw/zinc/14/77/30/776147730.db2.gz CYHICUBZWHZFST-CYBMUJFWSA-N 0 0 299.242 2.644 20 5 CFBDRN CCCOC[C@@H](C)Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227234244 776148376 /nfs/dbraw/zinc/14/83/76/776148376.db2.gz MAXOCWBSDWOYHE-SECBINFHSA-N 0 0 280.280 2.930 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227231427 776148605 /nfs/dbraw/zinc/14/86/05/776148605.db2.gz GISUSTIZSOEWEG-NSHDSACASA-N 0 0 276.248 2.627 20 5 CFBDRN Cc1cnc(O[C@@H](C)c2cccnc2)c([N+](=O)[O-])c1 ZINC001227234807 776149538 /nfs/dbraw/zinc/14/95/38/776149538.db2.gz JYBGSKGJVLWANG-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@H](Oc1nc2c(cccc2[N+](=O)[O-])o1)c1cncnc1 ZINC001227236959 776151072 /nfs/dbraw/zinc/15/10/72/776151072.db2.gz CJTFNIJGMUMTHW-QMMMGPOBSA-N 0 0 286.247 2.666 20 5 CFBDRN Cc1cnc(O[C@@H](C)c2ccncc2F)c([N+](=O)[O-])c1 ZINC001227237935 776151219 /nfs/dbraw/zinc/15/12/19/776151219.db2.gz ZKWYEANJQWWVEJ-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])c1cccc2oc(OC[C@@H]3CCC=CO3)nc21 ZINC001227239200 776152352 /nfs/dbraw/zinc/15/23/52/776152352.db2.gz UQYAHPANJUUGMQ-VIFPVBQESA-N 0 0 276.248 2.808 20 5 CFBDRN CC(C)CC(=O)N(C)CCN(C)c1ccc([N+](=O)[O-])cc1 ZINC001105331823 776163631 /nfs/dbraw/zinc/16/36/31/776163631.db2.gz FBHVAMXFGJIIGM-UHFFFAOYSA-N 0 0 293.367 2.536 20 5 CFBDRN CCC[C@H](C)Oc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC001227294403 776172371 /nfs/dbraw/zinc/17/23/71/776172371.db2.gz CSWRGZDLJJDNNW-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001227296684 776173631 /nfs/dbraw/zinc/17/36/31/776173631.db2.gz ZZMWTYXHYJXQQA-JOYOIKCWSA-N 0 0 279.292 2.949 20 5 CFBDRN CCOC(=O)c1ccc(O[C@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC001227298942 776175103 /nfs/dbraw/zinc/17/51/03/776175103.db2.gz PAUGOWYIFKBCTQ-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1cc(CNc2cc3cc[nH]c3c([N+](=O)[O-])c2)nn1C ZINC001167768456 776187691 /nfs/dbraw/zinc/18/76/91/776187691.db2.gz BXHBSAZDQUKSJX-UHFFFAOYSA-N 0 0 285.307 2.730 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CCOCC1 ZINC001227464452 776208372 /nfs/dbraw/zinc/20/83/72/776208372.db2.gz XXVADNAXWHAKBB-VIFPVBQESA-N 0 0 296.279 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC=CC2)c([N+](=O)[O-])c1 ZINC001227464023 776208561 /nfs/dbraw/zinc/20/85/61/776208561.db2.gz VRIPUJMFGIDKQE-UHFFFAOYSA-N 0 0 250.210 2.600 20 5 CFBDRN COC1=CC[C@@H](Oc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC001227468486 776210135 /nfs/dbraw/zinc/21/01/35/776210135.db2.gz ZKXURXDRMIJYLJ-LLVKDONJSA-N 0 0 294.263 2.965 20 5 CFBDRN CC1(C)C[C@@H](Oc2ccccc2[N+](=O)[O-])CCO1 ZINC001227483685 776212050 /nfs/dbraw/zinc/21/20/50/776212050.db2.gz BTEXXPYGNNQGQF-JTQLQIEISA-N 0 0 251.282 2.931 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1ccccc1[N+](=O)[O-] ZINC001227480958 776212634 /nfs/dbraw/zinc/21/26/34/776212634.db2.gz QSGMNSIXDHIASY-CMPLNLGQSA-N 0 0 279.292 2.705 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ccccc1[N+](=O)[O-] ZINC001227484473 776213295 /nfs/dbraw/zinc/21/32/95/776213295.db2.gz PIGWTNFLRBGGRZ-STQMWFEESA-N 0 0 251.282 2.931 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2ccccc2[N+](=O)[O-])C1(C)C ZINC001227484330 776213875 /nfs/dbraw/zinc/21/38/75/776213875.db2.gz MPZLNXLEQJPBCL-CABZTGNLSA-N 0 0 279.292 2.561 20 5 CFBDRN CCOCCCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124787409 776216969 /nfs/dbraw/zinc/21/69/69/776216969.db2.gz LXBIQNJANGRLDU-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2ccc([N+](=O)[O-])cc2)C1 ZINC001227523608 776218468 /nfs/dbraw/zinc/21/84/68/776218468.db2.gz ONDCNINGXMPTKD-GWCFXTLKSA-N 0 0 279.292 2.705 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1O[C@H](C)C(F)(F)F ZINC001227541467 776224288 /nfs/dbraw/zinc/22/42/88/776224288.db2.gz FWYWZNDNQYHAEF-SCSAIBSYSA-N 0 0 299.226 2.773 20 5 CFBDRN O=[N+]([O-])c1cc(OC(F)(F)F)ccc1OC[C@H]1CCO1 ZINC001227602820 776240104 /nfs/dbraw/zinc/24/01/04/776240104.db2.gz YINJXUFDDBDZRT-MRVPVSSYSA-N 0 0 293.197 2.661 20 5 CFBDRN C[C@H](OCc1ccnn1C)c1cccc([N+](=O)[O-])c1 ZINC001222715563 776252077 /nfs/dbraw/zinc/25/20/77/776252077.db2.gz WFTVLZOBGMDYEY-JTQLQIEISA-N 0 0 261.281 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CCOC(C)C ZINC000125156183 776255434 /nfs/dbraw/zinc/25/54/34/776255434.db2.gz WAAFUEJXAATUIM-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc([C@H](C)Oc2ncc([N+](=O)[O-])c3nc[nH]c32)cn1 ZINC001227691439 776258736 /nfs/dbraw/zinc/25/87/36/776258736.db2.gz OIMSOCSOIADSOJ-VIFPVBQESA-N 0 0 299.290 2.710 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CCc3ccccc32)c2[nH]cnc21 ZINC001227693492 776260553 /nfs/dbraw/zinc/26/05/53/776260553.db2.gz UXPGUKUHDINMSH-LBPRGKRZSA-N 0 0 296.286 2.932 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H](C)c1cn(C)nc1C ZINC001227698764 776261055 /nfs/dbraw/zinc/26/10/55/776261055.db2.gz XABPTXMNULHNJW-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1OC[C@H]1CCC=CO1 ZINC001227695792 776261428 /nfs/dbraw/zinc/26/14/28/776261428.db2.gz HXFOVGIBJRNSPS-SNVBAGLBSA-N 0 0 265.265 2.675 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001227700890 776262228 /nfs/dbraw/zinc/26/22/28/776262228.db2.gz IVNIBNVRYWVFQQ-OPQQBVKSSA-N 0 0 261.277 2.947 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2c(OC)cccc2[N+](=O)[O-])=C1C ZINC001227700828 776262280 /nfs/dbraw/zinc/26/22/80/776262280.db2.gz HWTLPTPZXFZDRS-JTQLQIEISA-N 0 0 293.275 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccsn1)C2 ZINC001227726474 776271240 /nfs/dbraw/zinc/27/12/40/776271240.db2.gz KJHOEPSNJOUGOL-LLVKDONJSA-N 0 0 262.290 2.598 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC001227743912 776277187 /nfs/dbraw/zinc/27/71/87/776277187.db2.gz CBWFLILVVJRFMT-LBPRGKRZSA-N 0 0 277.280 2.608 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)CCC(C)(C)[N+](=O)[O-])C[C@H](C)O1 ZINC000909356402 776299385 /nfs/dbraw/zinc/29/93/85/776299385.db2.gz BARUOFGQTANFIR-GDNZZTSVSA-N 0 0 287.356 2.569 20 5 CFBDRN COC(=O)c1cccc(O[C@H](C)C2CCC2)c1[N+](=O)[O-] ZINC001227891565 776319590 /nfs/dbraw/zinc/31/95/90/776319590.db2.gz BXPGKPVMJZFQGV-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1cccc2c(O[C@H](C[N+](=O)[O-])C3CC3)cc(=O)[nH]c12 ZINC001227919153 776328314 /nfs/dbraw/zinc/32/83/14/776328314.db2.gz JSTBKXXBDXEHKO-CYBMUJFWSA-N 0 0 288.303 2.683 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cn3ccccc3n1)C2 ZINC001227945384 776336642 /nfs/dbraw/zinc/33/66/42/776336642.db2.gz KOFGZCHCNWTPBM-CQSZACIVSA-N 0 0 295.298 2.789 20 5 CFBDRN CC[C@H](NC(=O)[C@H]1CCO[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000092482296 776336890 /nfs/dbraw/zinc/33/68/90/776336890.db2.gz YRGXOCFZKZRUPJ-BPNCWPANSA-N 0 0 292.335 2.587 20 5 CFBDRN CC[NH+]1CCC(Oc2cc([N+](=O)[O-])cc(F)c2F)CC1 ZINC001228016499 776364438 /nfs/dbraw/zinc/36/44/38/776364438.db2.gz NFIKYZSBUNLIMY-UHFFFAOYSA-N 0 0 286.278 2.736 20 5 CFBDRN CO[C@@H](C)CCOc1cc([N+](=O)[O-])cc(F)c1F ZINC001228016934 776364612 /nfs/dbraw/zinc/36/46/12/776364612.db2.gz RWWWKKYBPRGZBJ-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228017000 776364889 /nfs/dbraw/zinc/36/48/89/776364889.db2.gz TUGPKSNPAQIUGC-SECBINFHSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001228017515 776365624 /nfs/dbraw/zinc/36/56/24/776365624.db2.gz OWNXAZZQIIKDRI-XKSSXDPKSA-N 0 0 266.684 2.987 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1O[C@H]1COc2cnccc21 ZINC001228018659 776365907 /nfs/dbraw/zinc/36/59/07/776365907.db2.gz OBBZHSSVDOGBKX-JTQLQIEISA-N 0 0 293.666 2.551 20 5 CFBDRN C[C@@H]1C[C@H](Oc2nccc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228018189 776366404 /nfs/dbraw/zinc/36/64/04/776366404.db2.gz WVODAEDDUDGQCM-HTQZYQBOSA-N 0 0 272.688 2.590 20 5 CFBDRN Cc1ccc2c(c1)onc2O[C@H](C[N+](=O)[O-])C1CC1 ZINC001228081857 776388210 /nfs/dbraw/zinc/38/82/10/776388210.db2.gz AGVFBSDHBIHDGI-GFCCVEGCSA-N 0 0 262.265 2.570 20 5 CFBDRN CC(C)c1nc(CO[C@H](C[N+](=O)[O-])C2CC2)cs1 ZINC001222991399 776402689 /nfs/dbraw/zinc/40/26/89/776402689.db2.gz QDXFWFCLYRNGGQ-LLVKDONJSA-N 0 0 270.354 2.838 20 5 CFBDRN C[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1cccc(F)c1F ZINC001228140343 776407229 /nfs/dbraw/zinc/40/72/29/776407229.db2.gz IJUVSVOVWCHLSH-LURJTMIESA-N 0 0 297.217 2.509 20 5 CFBDRN CC[C@H](C)CC[C@@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228141075 776407287 /nfs/dbraw/zinc/40/72/87/776407287.db2.gz OYFYGNWPFFDIFC-DTWKUNHWSA-N 0 0 269.301 2.684 20 5 CFBDRN C[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1c(F)cccc1F ZINC001228143898 776408729 /nfs/dbraw/zinc/40/87/29/776408729.db2.gz QYVNJUJNEJYEBG-LURJTMIESA-N 0 0 297.217 2.509 20 5 CFBDRN CC1(C)[C@@H]2CC[C@@]1(C)[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C2 ZINC001228144946 776409738 /nfs/dbraw/zinc/40/97/38/776409738.db2.gz XPBXHDQXUZRAIT-NUPCCRGMSA-N 0 0 293.323 2.684 20 5 CFBDRN CSc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001228156121 776412113 /nfs/dbraw/zinc/41/21/13/776412113.db2.gz WXXVJAOMKYPLRD-LBPRGKRZSA-N 0 0 253.323 2.843 20 5 CFBDRN Cc1nc(N)ccc1CNc1cc([N+](=O)[O-])cc(F)c1C ZINC001167788052 776417082 /nfs/dbraw/zinc/41/70/82/776417082.db2.gz MORAZURAZAMXOH-UHFFFAOYSA-N 0 0 290.298 2.940 20 5 CFBDRN O=c1c2ccccc2oc2cc(OC[C@H]3COCO3)ccc21 ZINC001228182139 776417279 /nfs/dbraw/zinc/41/72/79/776417279.db2.gz BMSXQHQSRLPPQL-GFCCVEGCSA-N 0 0 298.294 2.698 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(O[C@H]3CCOC[C@H]3F)sc2c1 ZINC001228210453 776422589 /nfs/dbraw/zinc/42/25/89/776422589.db2.gz GJXDNCBULJUSTM-SCZZXKLOSA-N 0 0 298.295 2.710 20 5 CFBDRN CC(=O)N1CCC(Oc2c(C)cc(C)cc2[N+](=O)[O-])CC1 ZINC001228230151 776428368 /nfs/dbraw/zinc/42/83/68/776428368.db2.gz MWDNSZOJLWSFPU-UHFFFAOYSA-N 0 0 292.335 2.601 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC001228230557 776429552 /nfs/dbraw/zinc/42/95/52/776429552.db2.gz RWRUMWZVMDQMJH-ZDUSSCGKSA-N 0 0 292.335 2.601 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2[nH]c(=O)nc3cc([N+](=O)[O-])ccc32)C1 ZINC001228243457 776432075 /nfs/dbraw/zinc/43/20/75/776432075.db2.gz YPYYMOVTZBPYOP-SCZZXKLOSA-N 0 0 289.291 2.811 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(O)cc2ccccc21)C1CC1 ZINC001228263801 776437457 /nfs/dbraw/zinc/43/74/57/776437457.db2.gz REIYDOHCQUZELS-HNNXBMFYSA-N 0 0 273.288 2.980 20 5 CFBDRN Nc1c(C(=O)N2CCCCCCC2)cc(F)cc1[N+](=O)[O-] ZINC000125787503 776478261 /nfs/dbraw/zinc/47/82/61/776478261.db2.gz RAWFNVCDSIQMDG-UHFFFAOYSA-N 0 0 295.314 2.722 20 5 CFBDRN CCOC[C@H](C)Oc1c(C(C)=O)cc(C)cc1[N+](=O)[O-] ZINC001228454095 776496779 /nfs/dbraw/zinc/49/67/79/776496779.db2.gz USNCVULWMZICHZ-JTQLQIEISA-N 0 0 281.308 2.910 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001228570865 776524316 /nfs/dbraw/zinc/52/43/16/776524316.db2.gz IFJDANLNIBNVAG-LBPRGKRZSA-N 0 0 280.280 2.646 20 5 CFBDRN CCOC(=O)C1CC(Oc2c(C)cc([N+](=O)[O-])cc2C)C1 ZINC001228571629 776525933 /nfs/dbraw/zinc/52/59/33/776525933.db2.gz QBFVWGVIGFHVQV-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN C[C@H](Oc1cc(F)cc(F)c1[N+](=O)[O-])c1cnccn1 ZINC001228612252 776539430 /nfs/dbraw/zinc/53/94/30/776539430.db2.gz GRNDAUAJZURMFC-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H](Oc1cccc(C(N)=O)c1)c1cccc([N+](=O)[O-])c1 ZINC001228614275 776540752 /nfs/dbraw/zinc/54/07/52/776540752.db2.gz VHEMTLLMNIEDJZ-SNVBAGLBSA-N 0 0 286.287 2.834 20 5 CFBDRN CC(C)=CCC[C@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228655756 776554675 /nfs/dbraw/zinc/55/46/75/776554675.db2.gz VRXRWIBLIGWWSQ-JTQLQIEISA-N 0 0 251.286 2.899 20 5 CFBDRN Cc1cccc2c1CC[C@H]2Oc1ncncc1[N+](=O)[O-] ZINC001228657054 776554697 /nfs/dbraw/zinc/55/46/97/776554697.db2.gz LVWJQHRMNFJZDG-CYBMUJFWSA-N 0 0 271.276 2.760 20 5 CFBDRN Cc1cc2[nH]c(OC3CC=CC3)nc2cc1[N+](=O)[O-] ZINC001228675065 776563147 /nfs/dbraw/zinc/56/31/47/776563147.db2.gz NGWIJJWIPJLGGU-UHFFFAOYSA-N 0 0 259.265 2.877 20 5 CFBDRN Cc1cc2[nH]c(O[C@H]3CCCCC3=O)nc2cc1[N+](=O)[O-] ZINC001228676520 776563986 /nfs/dbraw/zinc/56/39/86/776563986.db2.gz IQKMBVHQFJSYGN-ZDUSSCGKSA-N 0 0 289.291 2.670 20 5 CFBDRN CC[C@@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC001228679821 776564869 /nfs/dbraw/zinc/56/48/69/776564869.db2.gz VDHRWGCXTJXICK-DGCLKSJQSA-N 0 0 291.351 2.925 20 5 CFBDRN CC[C@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC001228679825 776565385 /nfs/dbraw/zinc/56/53/85/776565385.db2.gz VDHRWGCXTJXICK-YPMHNXCESA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1[nH]c(=O)cc(OC2CCC(C)CC2)c1[N+](=O)[O-] ZINC001228685768 776567538 /nfs/dbraw/zinc/56/75/38/776567538.db2.gz KGMTUFJKKMOESU-UHFFFAOYSA-N 0 0 266.297 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(OC2CCCCC2)c1[N+](=O)[O-] ZINC001228686620 776567798 /nfs/dbraw/zinc/56/77/98/776567798.db2.gz PLZPUWIOUIBSLC-UHFFFAOYSA-N 0 0 252.270 2.715 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)c2nccs2)c1[N+](=O)[O-] ZINC001228689891 776569261 /nfs/dbraw/zinc/56/92/61/776569261.db2.gz WVOWXWLHBPATKI-SSDOTTSWSA-N 0 0 281.293 2.600 20 5 CFBDRN CC[C@H](C)[C@@H](C)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228689571 776569516 /nfs/dbraw/zinc/56/95/16/776569516.db2.gz UHXISSDIDVDWQH-IONNQARKSA-N 0 0 254.286 2.817 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CC[C@H](C(F)(F)F)O1 ZINC000722392129 776576774 /nfs/dbraw/zinc/57/67/74/776576774.db2.gz QIQISSDHLTZGKS-IONNQARKSA-N 0 0 291.229 2.512 20 5 CFBDRN COc1ccc(C)c(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001228731225 776587558 /nfs/dbraw/zinc/58/75/58/776587558.db2.gz KZAUZCRJRVSJIX-OAHLLOKOSA-N 0 0 288.303 2.795 20 5 CFBDRN c1ccc2nc3cc(OC[C@@H]4CCO4)ccc3nc2c1 ZINC001228739860 776592745 /nfs/dbraw/zinc/59/27/45/776592745.db2.gz FIORJJSSFAWRMP-LBPRGKRZSA-N 0 0 266.300 2.951 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC3(COC3)C2)cc1Cl ZINC001228758184 776600309 /nfs/dbraw/zinc/60/03/09/776600309.db2.gz CCOKFMCDEXCVSV-UHFFFAOYSA-N 0 0 269.684 2.806 20 5 CFBDRN CC1(C)COC(=O)[C@H]1Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001228757056 776600806 /nfs/dbraw/zinc/60/08/06/776600806.db2.gz SKWFDWKLRFYNCE-SNVBAGLBSA-N 0 0 285.683 2.579 20 5 CFBDRN COC(=O)c1cc(O[C@H]2CC[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC001228769929 776604351 /nfs/dbraw/zinc/60/43/51/776604351.db2.gz DYZBVHPWMJEYLH-CABZTGNLSA-N 0 0 279.292 2.949 20 5 CFBDRN CC[C@@H](COc1c2ccccc2oc(=O)c1[N+](=O)[O-])OC ZINC001228807955 776619226 /nfs/dbraw/zinc/61/92/26/776619226.db2.gz VSWIPRGOTMYALV-VIFPVBQESA-N 0 0 293.275 2.505 20 5 CFBDRN CC[C@H](COC)Oc1c2ccccc2oc(=O)c1[N+](=O)[O-] ZINC001228806828 776619574 /nfs/dbraw/zinc/61/95/74/776619574.db2.gz QLKOMMUFPBZJRW-SECBINFHSA-N 0 0 293.275 2.505 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc(C)cc([N+](=O)[O-])c2)=C1C ZINC001228833687 776625785 /nfs/dbraw/zinc/62/57/85/776625785.db2.gz NRLJKBJPHSVINI-GFCCVEGCSA-N 0 0 277.276 2.891 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228837666 776627633 /nfs/dbraw/zinc/62/76/33/776627633.db2.gz KCERVGWSIHBBOT-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN CC(C)[C@H](Oc1cnccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC001228881909 776645252 /nfs/dbraw/zinc/64/52/52/776645252.db2.gz JFCKYIJTOGQYTA-LBPRGKRZSA-N 0 0 296.323 2.735 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2cc[nH]c21)c1cccnc1 ZINC001228892948 776647998 /nfs/dbraw/zinc/64/79/98/776647998.db2.gz WZWSLFWFQINEEK-AWEZNQCLSA-N 0 0 283.287 2.960 20 5 CFBDRN CC1=C(Oc2ccc([N+](=O)[O-])cc2C)C(=O)O[C@@H]1C ZINC001228915470 776657088 /nfs/dbraw/zinc/65/70/88/776657088.db2.gz FINQMTHKRNUZCW-SECBINFHSA-N 0 0 263.249 2.501 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])cc2C)=C1C ZINC001228916452 776657848 /nfs/dbraw/zinc/65/78/48/776657848.db2.gz RONGIEJBYZYWJN-NSHDSACASA-N 0 0 277.276 2.891 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])cc1C ZINC001228916685 776657899 /nfs/dbraw/zinc/65/78/99/776657899.db2.gz XWGJVPFJKOAQBS-NSHDSACASA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@H]1CCOC[C@H]1C ZINC001228922066 776658943 /nfs/dbraw/zinc/65/89/43/776658943.db2.gz UEWIGHCKXZGYAX-MFKMUULPSA-N 0 0 251.282 2.707 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])c(Cl)n1 ZINC001228934423 776661397 /nfs/dbraw/zinc/66/13/97/776661397.db2.gz PCYOKMJKBPVUMW-SECBINFHSA-N 0 0 272.688 2.780 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@H](C)c1cncnc1 ZINC001228970059 776672172 /nfs/dbraw/zinc/67/21/72/776672172.db2.gz FBIFXJNRPJOOLG-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2cc(O)cc([O-])c2[N+](=O)[O-])C1 ZINC001228971941 776672340 /nfs/dbraw/zinc/67/23/40/776672340.db2.gz TUWTWIRVEFDKEM-APPZFPTMSA-N 0 0 253.254 2.573 20 5 CFBDRN C[C@@H](Oc1cc(O)cc([O-])c1[N+](=O)[O-])c1ccccn1 ZINC001228971548 776672828 /nfs/dbraw/zinc/67/28/28/776672828.db2.gz QFRVRXRBWLJFOA-MRVPVSSYSA-N 0 0 276.248 2.541 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@@H](C)c1cnccn1 ZINC001228976658 776674479 /nfs/dbraw/zinc/67/44/79/776674479.db2.gz HAOFXXJOTBARET-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1OC1CCC(=O)CC1 ZINC001228973849 776674566 /nfs/dbraw/zinc/67/45/66/776674566.db2.gz NNGJSQMBHWZBFM-UHFFFAOYSA-N 0 0 277.276 2.688 20 5 CFBDRN CCCOCCNc1cc([N+](=O)[O-])c(C)cc1OC ZINC001167873163 776696162 /nfs/dbraw/zinc/69/61/62/776696162.db2.gz FCWRPYNVYXGXMV-UHFFFAOYSA-N 0 0 268.313 2.750 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cccc([N+](=O)[O-])c1C(=O)OC ZINC001229050046 776701596 /nfs/dbraw/zinc/70/15/96/776701596.db2.gz RXYBFLAUBVDCLG-LLVKDONJSA-N 0 0 295.291 2.518 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114940 776722151 /nfs/dbraw/zinc/72/21/51/776722151.db2.gz NQNSCDXYMXRCCE-ATWMFIQVSA-N 0 0 261.277 2.825 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc(/C=C/[N+](=O)[O-])cc1)OC ZINC001229113815 776722445 /nfs/dbraw/zinc/72/24/45/776722445.db2.gz FNLCBVWJLKPAKC-ANYFNZRUSA-N 0 0 281.308 2.710 20 5 CFBDRN COC(C[C@H](C)Oc1ccc(/C=C/[N+](=O)[O-])cc1)OC ZINC001229113816 776723055 /nfs/dbraw/zinc/72/30/55/776723055.db2.gz FNLCBVWJLKPAKC-FBOQAHMBSA-N 0 0 281.308 2.710 20 5 CFBDRN CCOC(=O)[C@@H](Oc1ccc(/C=C/[N+](=O)[O-])cc1)C1CC1 ZINC001229116186 776723741 /nfs/dbraw/zinc/72/37/41/776723741.db2.gz USZLDVXOWBFFFI-HBWSCVEGSA-N 0 0 291.303 2.655 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCOC[C@@H]1C ZINC001229177252 776743901 /nfs/dbraw/zinc/74/39/01/776743901.db2.gz QUPFYJWTLJDBTE-HZMBPMFUSA-N 0 0 293.319 2.991 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H]1CCO[C@@H]1C ZINC001229178048 776744866 /nfs/dbraw/zinc/74/48/66/776744866.db2.gz RJWHTUNDFHIKQI-MNOVXSKESA-N 0 0 293.319 2.991 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OCC[C@@H](C)OC ZINC001229180834 776745111 /nfs/dbraw/zinc/74/51/11/776745111.db2.gz ZAYGUBFNZMZAAL-SNVBAGLBSA-N 0 0 281.308 2.991 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H](CC)OC ZINC001229179579 776745372 /nfs/dbraw/zinc/74/53/72/776745372.db2.gz MXZPLRGHQRSAAN-LLVKDONJSA-N 0 0 281.308 2.991 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OC1CCOCC1 ZINC001229178311 776745595 /nfs/dbraw/zinc/74/55/95/776745595.db2.gz UOPXUHIHSGGOAZ-UHFFFAOYSA-N 0 0 279.292 2.745 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OCCOC(C)C ZINC001229199054 776746898 /nfs/dbraw/zinc/74/68/98/776746898.db2.gz RGJVSZNWPRTUEZ-UHFFFAOYSA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC[C@@H]1CCCO1 ZINC001229197635 776749157 /nfs/dbraw/zinc/74/91/57/776749157.db2.gz JANWVVZVBJJGJW-JTQLQIEISA-N 0 0 255.245 2.600 20 5 CFBDRN CO[C@H](C)CCOc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229343039 776785155 /nfs/dbraw/zinc/78/51/55/776785155.db2.gz GFYBFXLDELRLQE-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CC[C@@H](COC)Oc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229344257 776785502 /nfs/dbraw/zinc/78/55/02/776785502.db2.gz UWEMOWIHAXAWME-LBPRGKRZSA-N 0 0 276.292 2.947 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229347862 776786289 /nfs/dbraw/zinc/78/62/89/776786289.db2.gz VKKKILYSDLROAX-GXFFZTMASA-N 0 0 288.303 2.947 20 5 CFBDRN CC(C)[C@H](C)Oc1n[nH]c(=O)c2ccc([N+](=O)[O-])cc21 ZINC001229363786 776790686 /nfs/dbraw/zinc/79/06/86/776790686.db2.gz GNPATFHWWYAFPB-QMMMGPOBSA-N 0 0 277.280 2.667 20 5 CFBDRN C[C@H](Oc1n[nH]c(=O)c2cccc([N+](=O)[O-])c21)C1CCC1 ZINC001229367877 776792377 /nfs/dbraw/zinc/79/23/77/776792377.db2.gz UDWDKFSCKFXKHJ-QMMMGPOBSA-N 0 0 289.291 2.811 20 5 CFBDRN CCCCOC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1O ZINC001229396127 776799401 /nfs/dbraw/zinc/79/94/01/776799401.db2.gz QCSFEGKZYSFOKU-SNVBAGLBSA-N 0 0 269.297 2.884 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1O)c1cccnc1F ZINC001229395887 776799445 /nfs/dbraw/zinc/79/94/45/776799445.db2.gz NAQYDVVJXYWTRV-QMMMGPOBSA-N 0 0 278.239 2.975 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)ncnc2O[C@H]1C=CCC1 ZINC001229401241 776800833 /nfs/dbraw/zinc/80/08/33/776800833.db2.gz CQPZJWYJKPXWLK-JTQLQIEISA-N 0 0 257.249 2.635 20 5 CFBDRN CC(C)=CCC[C@@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452322 776817131 /nfs/dbraw/zinc/81/71/31/776817131.db2.gz PNZFNESAISSQKG-LLVKDONJSA-N 0 0 266.297 2.742 20 5 CFBDRN CC[C@@H](C)C[C@@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452877 776817160 /nfs/dbraw/zinc/81/71/60/776817160.db2.gz YJFRHRIYGXIDIL-GHMZBOCLSA-N 0 0 268.313 2.822 20 5 CFBDRN CC[C@H](C)CC[C@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452898 776818937 /nfs/dbraw/zinc/81/89/37/776818937.db2.gz YQXPVFUTCRTARF-QWRGUYRKSA-N 0 0 268.313 2.822 20 5 CFBDRN CC[C@@H](C)CC[C@@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452896 776818981 /nfs/dbraw/zinc/81/89/81/776818981.db2.gz YQXPVFUTCRTARF-GHMZBOCLSA-N 0 0 268.313 2.822 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cc(C)c([N+](=O)[O-])c(C)c2)C1 ZINC001229470918 776821726 /nfs/dbraw/zinc/82/17/26/776821726.db2.gz WASXPMNTEGVHOI-NEPJUHHUSA-N 0 0 293.319 2.932 20 5 CFBDRN C[C@H]1CC[C@@H](COc2nc3cc([N+](=O)[O-])ccc3o2)O1 ZINC001229483875 776825122 /nfs/dbraw/zinc/82/51/22/776825122.db2.gz CLMMYPAVTODIHH-WPRPVWTQSA-N 0 0 278.264 2.682 20 5 CFBDRN C[C@H](Oc1nc2cc([N+](=O)[O-])ccc2o1)C1CCOCC1 ZINC001229484352 776825893 /nfs/dbraw/zinc/82/58/93/776825893.db2.gz KRTCSFDGRWVWCB-VIFPVBQESA-N 0 0 292.291 2.930 20 5 CFBDRN CC(C)OCCOc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229487161 776826566 /nfs/dbraw/zinc/82/65/66/776826566.db2.gz LYAOCIZZDGIXBB-UHFFFAOYSA-N 0 0 266.253 2.540 20 5 CFBDRN O=C1CCCC[C@H]1Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229489636 776829007 /nfs/dbraw/zinc/82/90/07/776829007.db2.gz DCWPHWFFKWLFKG-GFCCVEGCSA-N 0 0 276.248 2.627 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nccc2ccc(F)cc21)C1CC1 ZINC001229517995 776836757 /nfs/dbraw/zinc/83/67/57/776836757.db2.gz PRFNEUUTMOYGBN-ZDUSSCGKSA-N 0 0 276.267 2.808 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)ccc1O)c1cccnc1 ZINC001229546672 776845758 /nfs/dbraw/zinc/84/57/58/776845758.db2.gz KOEIMVJPSITRIN-ZDUSSCGKSA-N 0 0 294.694 2.837 20 5 CFBDRN Cc1cc(O)c(O[C@H]2C[C@H]3C=C[C@@H]2C3)cc1[N+](=O)[O-] ZINC001229749485 776886689 /nfs/dbraw/zinc/88/66/89/776886689.db2.gz BHOBGOAVUNXSMO-CWSCBRNRSA-N 0 0 261.277 2.952 20 5 CFBDRN COc1nc(C)cc(O[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001229825420 776898283 /nfs/dbraw/zinc/89/82/83/776898283.db2.gz JMSKWDRSNSVMCR-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN O=C1CCc2c1c(O[C@@H](C[N+](=O)[O-])C1CC1)ccc2Cl ZINC001229845880 776902971 /nfs/dbraw/zinc/90/29/71/776902971.db2.gz ZFGLLOICRQVRTN-ZDUSSCGKSA-N 0 0 295.722 2.903 20 5 CFBDRN COC(C[C@H](C)Oc1ccc([N+](=O)[O-])c(C)c1C)OC ZINC001229880986 776909836 /nfs/dbraw/zinc/90/98/36/776909836.db2.gz LSHKPMRXKFKCQG-VIFPVBQESA-N 0 0 283.324 2.988 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCn3ccnc32)cc1F ZINC001229892381 776911909 /nfs/dbraw/zinc/91/19/09/776911909.db2.gz MCMHIRRQPDKFGN-NSHDSACASA-N 0 0 277.255 2.763 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229892440 776912674 /nfs/dbraw/zinc/91/26/74/776912674.db2.gz AZWZTRSUUWQOBN-VIFPVBQESA-N 0 0 285.271 2.763 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001229962406 776926001 /nfs/dbraw/zinc/92/60/01/776926001.db2.gz ZSUNSSAWYCSWRC-SSDOTTSWSA-N 0 0 273.672 2.579 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2cc(C)c(Cl)cc2[N+](=O)[O-])C1 ZINC001229975794 776929678 /nfs/dbraw/zinc/92/96/78/776929678.db2.gz SPMQWHPWBWQPBK-KYZUINATSA-N 0 0 299.710 2.887 20 5 CFBDRN Cc1ncnc(O[C@H](C)c2ccccc2[N+](=O)[O-])c1F ZINC001230019273 776941570 /nfs/dbraw/zinc/94/15/70/776941570.db2.gz IOMYMGJWWAEQKK-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1ccc(O[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC001230145365 776954989 /nfs/dbraw/zinc/95/49/89/776954989.db2.gz FHDIWSJOAMAYIL-ZYHUDNBSSA-N 0 0 251.282 2.707 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2ccc(C)cc2[N+](=O)[O-])C1 ZINC001230145329 776955110 /nfs/dbraw/zinc/95/51/10/776955110.db2.gz DLSBKNKCRJCZHO-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc(O[C@H]2CCCC3(C2)OCCO3)c([N+](=O)[O-])c1 ZINC001230146240 776955189 /nfs/dbraw/zinc/95/51/89/776955189.db2.gz MQLQHWLJVNMJOB-LBPRGKRZSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1ccc(O[C@H]2CCn3ccnc32)c([N+](=O)[O-])c1 ZINC001230144358 776955575 /nfs/dbraw/zinc/95/55/75/776955575.db2.gz UTXQHRJZRGIRKC-LBPRGKRZSA-N 0 0 259.265 2.624 20 5 CFBDRN Cc1ccc(OC2CC[NH+](C3CC3)CC2)c([N+](=O)[O-])c1 ZINC001230144694 776955626 /nfs/dbraw/zinc/95/56/26/776955626.db2.gz YWKXAGRBWVFSOC-UHFFFAOYSA-N 0 0 276.336 2.909 20 5 CFBDRN C[C@@H](Cc1ccccc1)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230230033 776961765 /nfs/dbraw/zinc/96/17/65/776961765.db2.gz JSXJIARDGHBFRN-JTQLQIEISA-N 0 0 298.302 2.876 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001230245611 776964623 /nfs/dbraw/zinc/96/46/23/776964623.db2.gz AHYWLGFOIQQVNB-MISXGVKJSA-N 0 0 288.303 2.897 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1O[C@@H](C)C(F)(F)F ZINC001230247043 776965308 /nfs/dbraw/zinc/96/53/08/776965308.db2.gz CAMVJNDOSNHLHW-LURJTMIESA-N 0 0 292.213 2.883 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(O)c2ccccc12)C1CC1 ZINC001230461512 776995125 /nfs/dbraw/zinc/99/51/25/776995125.db2.gz XSATVNSDULIUEG-HNNXBMFYSA-N 0 0 273.288 2.980 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CCC2)cc1[N+](=O)[O-] ZINC001230491557 776999710 /nfs/dbraw/zinc/99/97/10/776999710.db2.gz VYOZKBQZKGXZLQ-UHFFFAOYSA-N 0 0 252.226 2.743 20 5 CFBDRN COC(=O)c1cc(C)c(O[C@H](C[N+](=O)[O-])C2CC2)cc1C ZINC001230527957 777004975 /nfs/dbraw/zinc/00/49/75/777004975.db2.gz KMDTVYJMINAHNR-CQSZACIVSA-N 0 0 293.319 2.524 20 5 CFBDRN O=[N+]([O-])c1c(O[C@@H]2COC[C@H]2F)ccc2ccccc21 ZINC001230622200 777027977 /nfs/dbraw/zinc/02/79/77/777027977.db2.gz IIBRLYGYKUPUHC-DGCLKSJQSA-N 0 0 277.251 2.864 20 5 CFBDRN COC(=O)C1CC(Oc2cc(C)c([N+](=O)[O-])cc2C)C1 ZINC001230724649 777051822 /nfs/dbraw/zinc/05/18/22/777051822.db2.gz YVRZHBDRBNCNLW-UHFFFAOYSA-N 0 0 279.292 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC1CCN(CCF)CC1 ZINC001225575211 777052945 /nfs/dbraw/zinc/05/29/45/777052945.db2.gz MNMIJQWDLBRLRY-UHFFFAOYSA-N 0 0 286.278 2.547 20 5 CFBDRN CCOc1ccc(C(=O)OCCCC(C)=O)cc1[N+](=O)[O-] ZINC000126332243 777064836 /nfs/dbraw/zinc/06/48/36/777064836.db2.gz OFAHRIYRIDWDSF-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN C[C@H](Cc1ccccc1)Oc1cccnc1[N+](=O)[O-] ZINC001225629242 777098709 /nfs/dbraw/zinc/09/87/09/777098709.db2.gz HVMPMSDSQVXPHT-LLVKDONJSA-N 0 0 258.277 3.000 20 5 CFBDRN C[C@@H](Cc1ccccc1)Oc1ccc([N+](=O)[O-])cn1 ZINC001225631924 777101243 /nfs/dbraw/zinc/10/12/43/777101243.db2.gz ISDJCCIPJNSYEZ-NSHDSACASA-N 0 0 258.277 3.000 20 5 CFBDRN C[C@H](COc1ccc([N+](=O)[O-])cn1)Oc1ccccc1 ZINC001225631663 777101636 /nfs/dbraw/zinc/10/16/36/777101636.db2.gz HAVLTRJOLNXRAV-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000721574113 777109569 /nfs/dbraw/zinc/10/95/69/777109569.db2.gz GUCCOESVITXWOX-POYBYMJQSA-N 0 0 271.704 2.914 20 5 CFBDRN C[C@H](Oc1ccc2c(c1)C(=O)NC2)c1ccccc1[N+](=O)[O-] ZINC001231102675 777141530 /nfs/dbraw/zinc/14/15/30/777141530.db2.gz KULVCMBYOPNLPG-JTQLQIEISA-N 0 0 298.298 2.978 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(O[C@@H]3CCOC[C@@H]3F)cnc21 ZINC001231156366 777159480 /nfs/dbraw/zinc/15/94/80/777159480.db2.gz JOVASISVZSTYQG-WCQYABFASA-N 0 0 292.266 2.649 20 5 CFBDRN C[C@@H]1COC[C@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000687970686 777162513 /nfs/dbraw/zinc/16/25/13/777162513.db2.gz FFIHNSVWTYYPAR-GMSGAONNSA-N 0 0 256.689 2.695 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1cc(C)ccc1[N+](=O)[O-] ZINC001225682198 777178345 /nfs/dbraw/zinc/17/83/45/777178345.db2.gz ISBRIJQHOLZUCW-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1cccc([N+](=O)[O-])c1C ZINC001225693120 777196976 /nfs/dbraw/zinc/19/69/76/777196976.db2.gz OHHMFOMEMRQGFH-WDEREUQCSA-N 0 0 283.324 2.722 20 5 CFBDRN CCCC(=O)Nc1c(OC[C@@H]2CCO2)cccc1[N+](=O)[O-] ZINC001231358829 777201825 /nfs/dbraw/zinc/20/18/25/777201825.db2.gz HEVYFIDUDBQPKK-JTQLQIEISA-N 0 0 294.307 2.501 20 5 CFBDRN CCOC(=O)c1cccc([N+](=O)[O-])c1NCC(F)(F)F ZINC001161630547 777307823 /nfs/dbraw/zinc/30/78/23/777307823.db2.gz LFRDLUGTWZOVIJ-UHFFFAOYSA-N 0 0 292.213 2.746 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2CCCC3(C2)OCCO3)cc1 ZINC000712825946 777390430 /nfs/dbraw/zinc/39/04/30/777390430.db2.gz DLNRNIOZMYSLKM-CQSZACIVSA-N 0 0 293.319 2.797 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)C1 ZINC001226061295 777411185 /nfs/dbraw/zinc/41/11/85/777411185.db2.gz HCEBOXAIJLWFOP-MWLCHTKSSA-N 0 0 299.348 2.566 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ncc(Cl)cc1[N+](=O)[O-] ZINC001226131203 777444854 /nfs/dbraw/zinc/44/48/54/777444854.db2.gz DQCLJDYTQJEFDL-JTQLQIEISA-N 0 0 270.672 2.534 20 5 CFBDRN O[C@H]1CCC[N@H+](Cc2ccc3ccc4cccnc4c3n2)C1 ZINC001232219438 777479645 /nfs/dbraw/zinc/47/96/45/777479645.db2.gz UGZFJVLRHYXGDE-INIZCTEOSA-N 0 0 293.370 2.740 20 5 CFBDRN COC1CN(Cc2ccc3ccc4cccnc4c3n2)C1 ZINC001232219357 777479796 /nfs/dbraw/zinc/47/97/96/777479796.db2.gz PZOHRYCISYZIPI-UHFFFAOYSA-N 0 0 279.343 2.614 20 5 CFBDRN O=C1CCN(Cc2ccc3ccc4cccnc4c3n2)C1 ZINC001232224167 777480482 /nfs/dbraw/zinc/48/04/82/777480482.db2.gz ZLGKNEKWOCOWTN-UHFFFAOYSA-N 0 0 277.327 2.558 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001226295567 777498123 /nfs/dbraw/zinc/49/81/23/777498123.db2.gz WXEDFLGFNBPXRR-TVQRCGJNSA-N 0 0 279.292 2.601 20 5 CFBDRN CC1(C)CC[C@@H](COc2c(O)cccc2[N+](=O)[O-])O1 ZINC001226333564 777511713 /nfs/dbraw/zinc/51/17/13/777511713.db2.gz ASXRRPINGYEMBV-VIFPVBQESA-N 0 0 267.281 2.637 20 5 CFBDRN Cc1cnc(Cl)c(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001226356520 777517042 /nfs/dbraw/zinc/51/70/42/777517042.db2.gz NFZJPMHJMDJCTN-LBPRGKRZSA-N 0 0 293.710 2.835 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2ncccn2)c1 ZINC001226443615 777540854 /nfs/dbraw/zinc/54/08/54/777540854.db2.gz XXKCVTWLXFCPGK-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CC[C@H](C)Nc1ccc(NC(C)=O)c([N+](=O)[O-])c1 ZINC001168001556 777554631 /nfs/dbraw/zinc/55/46/31/777554631.db2.gz YVGCCPZXUVYVDQ-QMMMGPOBSA-N 0 0 251.286 2.764 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)ccc1F)C1CC1 ZINC001226699299 777607848 /nfs/dbraw/zinc/60/78/48/777607848.db2.gz XUOSWLJPSMBVFV-NSHDSACASA-N 0 0 259.664 2.913 20 5 CFBDRN CC(C)CCC[C@@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733620 777628554 /nfs/dbraw/zinc/62/85/54/777628554.db2.gz QXCQIWHINHSDRN-SNVBAGLBSA-N 0 0 253.302 2.978 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC001226762339 777635299 /nfs/dbraw/zinc/63/52/99/777635299.db2.gz QTSBDCFFIYYWRG-LLVKDONJSA-N 0 0 286.671 2.682 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(NCCc2cnoc2)nc1Cl ZINC001161698304 777635331 /nfs/dbraw/zinc/63/53/31/777635331.db2.gz PFHJIWWSYQVMHB-UHFFFAOYSA-N 0 0 282.687 2.594 20 5 CFBDRN Cc1cnc(O[C@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC001227057435 777750737 /nfs/dbraw/zinc/75/07/37/777750737.db2.gz ZMNFQQTTWVCFCD-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@@H](CO)[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000696780500 777786196 /nfs/dbraw/zinc/78/61/96/777786196.db2.gz SQMQTZFTBZVMFY-FZMZJTMJSA-N 0 0 264.325 2.582 20 5 CFBDRN Cc1cc(Cl)cnc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001227206209 777790125 /nfs/dbraw/zinc/79/01/25/777790125.db2.gz HQATWEUWNRURGT-GFCCVEGCSA-N 0 0 293.710 2.835 20 5 CFBDRN CCOC(=O)c1ccc(OC[C@H](CC)OC)c([N+](=O)[O-])c1 ZINC001227296879 777801741 /nfs/dbraw/zinc/80/17/41/777801741.db2.gz HMYLIFKFIJSQOJ-NSHDSACASA-N 0 0 297.307 2.575 20 5 CFBDRN COC1=CC[C@H](Oc2nc(Cl)nc(C)c2[N+](=O)[O-])CC1 ZINC001227338295 777815851 /nfs/dbraw/zinc/81/58/51/777815851.db2.gz PSDZIHWKMILVQY-VIFPVBQESA-N 0 0 299.714 2.808 20 5 CFBDRN Cc1ccc(Cl)cc1CNc1c([N+](=O)[O-])ncn1C ZINC000341530376 777861165 /nfs/dbraw/zinc/86/11/65/777861165.db2.gz LDRPTCKMDBOAOJ-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1Cl ZINC001227907723 777957573 /nfs/dbraw/zinc/95/75/73/777957573.db2.gz RWOJGRLFKUSVPQ-ZDUSSCGKSA-N 0 0 283.711 2.977 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1OC1CN(Cc2ccccc2)C1 ZINC001233549485 777986498 /nfs/dbraw/zinc/98/64/98/777986498.db2.gz FPHJKDOLHOMOIY-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H]1CC(F)(F)C1(F)F ZINC001233554570 777989572 /nfs/dbraw/zinc/98/95/72/777989572.db2.gz YAWKUMYAHMRHFY-LURJTMIESA-N 0 0 280.177 2.720 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)c1cccnc1F ZINC001233557847 777990843 /nfs/dbraw/zinc/99/08/43/777990843.db2.gz WSDDHCIXVCONBX-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])C2CC2)nc(C(F)(F)F)c1 ZINC001233558935 777992267 /nfs/dbraw/zinc/99/22/67/777992267.db2.gz KZHPQANYDQRCAA-VIFPVBQESA-N 0 0 290.241 2.843 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2C=CCCC2)c1[N+](=O)[O-] ZINC001233576656 777996616 /nfs/dbraw/zinc/99/66/16/777996616.db2.gz YGBAOKALIYBJCA-VIFPVBQESA-N 0 0 264.237 2.991 20 5 CFBDRN CC1(C)CC[C@@H](COc2cccc([N+](=O)[O-])c2[N+](=O)[O-])O1 ZINC001233573929 777996736 /nfs/dbraw/zinc/99/67/36/777996736.db2.gz VXSQIHVYLFDEFL-VIFPVBQESA-N 0 0 296.279 2.839 20 5 CFBDRN Cc1cc(O)c(Cl)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001233592331 778003132 /nfs/dbraw/zinc/00/31/32/778003132.db2.gz LKMNLAPHCBPOAX-LBPRGKRZSA-N 0 0 271.700 2.788 20 5 CFBDRN COC(=O)[C@@H](C)Oc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC001228155113 778020013 /nfs/dbraw/zinc/02/00/13/778020013.db2.gz RWEXOQQYFNABTG-RXMQYKEDSA-N 0 0 294.090 2.842 20 5 CFBDRN CC(C)(C)N1CC(Oc2c(F)cc(F)cc2[N+](=O)[O-])C1 ZINC001233696874 778044910 /nfs/dbraw/zinc/04/49/10/778044910.db2.gz UXGMONLVGXEEEK-UHFFFAOYSA-N 0 0 286.278 2.735 20 5 CFBDRN COC1CCC(Oc2ccc([N+](=O)[O-])c([N+](=O)[O-])c2)CC1 ZINC001233703660 778048824 /nfs/dbraw/zinc/04/88/24/778048824.db2.gz ZFMZDRJJQZBAHL-UHFFFAOYSA-N 0 0 296.279 2.839 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1 ZINC001233703366 778048841 /nfs/dbraw/zinc/04/88/41/778048841.db2.gz VXQPMBSVBJTCAP-GFCCVEGCSA-N 0 0 282.252 2.640 20 5 CFBDRN O=[N+]([O-])c1ncc(Cl)cc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001233714407 778052604 /nfs/dbraw/zinc/05/26/04/778052604.db2.gz AXNHVAJRBJNWKV-XKSSXDPKSA-N 0 0 266.684 2.987 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1OC[C@H]1CCCO1 ZINC001233745356 778063319 /nfs/dbraw/zinc/06/33/19/778063319.db2.gz MEBZBVDNFYPOBU-SSDOTTSWSA-N 0 0 292.213 2.566 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233764373 778069039 /nfs/dbraw/zinc/06/90/39/778069039.db2.gz CXKHBXWOADPUDW-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(F)c1F)c1cnccn1 ZINC001233769882 778071546 /nfs/dbraw/zinc/07/15/46/778071546.db2.gz IOSMHWPQBAEIAL-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1O[C@H]1CCOC[C@H]1F ZINC001233785818 778077513 /nfs/dbraw/zinc/07/75/13/778077513.db2.gz KLBAEJUELUMTHT-MFKMUULPSA-N 0 0 292.266 2.649 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233842594 778091783 /nfs/dbraw/zinc/09/17/83/778091783.db2.gz UPDPGIZVTRDQRN-CABZTGNLSA-N 0 0 274.276 2.557 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(O[C@@H]3CCn4ccnc43)nc21 ZINC001233840974 778092997 /nfs/dbraw/zinc/09/29/97/778092997.db2.gz KXHXUAZBSKJARI-GFCCVEGCSA-N 0 0 296.286 2.863 20 5 CFBDRN CN(C)c1ccc(NCC2(F)CCOCC2)c([N+](=O)[O-])c1 ZINC001168125665 778109254 /nfs/dbraw/zinc/10/92/54/778109254.db2.gz CPIKZYNYEJWOGF-UHFFFAOYSA-N 0 0 297.330 2.591 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1OC1CCCC1 ZINC001233986766 778130602 /nfs/dbraw/zinc/13/06/02/778130602.db2.gz NNBBWXRWAGXVCJ-UHFFFAOYSA-N 0 0 265.309 2.836 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1O[C@@H](C)C1CC1 ZINC001233983769 778131044 /nfs/dbraw/zinc/13/10/44/778131044.db2.gz ZSPBQXHOSJYUHT-JTQLQIEISA-N 0 0 265.309 2.692 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccccc1OC(F)F)C1CC1 ZINC001234022506 778144323 /nfs/dbraw/zinc/14/43/23/778144323.db2.gz NXOGFISSUWVSKG-LLVKDONJSA-N 0 0 273.235 2.722 20 5 CFBDRN COC(=O)c1ccc(O[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC001234050813 778152700 /nfs/dbraw/zinc/15/27/00/778152700.db2.gz LMZJTFLHRZVOFQ-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC001234053146 778153931 /nfs/dbraw/zinc/15/39/31/778153931.db2.gz XQKYHLANWBNTBU-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccnc(Cl)c1Cl)C1CC1 ZINC001228577506 778161007 /nfs/dbraw/zinc/16/10/07/778161007.db2.gz BPCFYRXSDFBMHA-QMMMGPOBSA-N 0 0 277.107 2.823 20 5 CFBDRN O=[N+]([O-])c1cc(NC2(c3ccccn3)CC2)cnc1F ZINC001168150894 778165925 /nfs/dbraw/zinc/16/59/25/778165925.db2.gz IFOKQJOMRRNSDR-UHFFFAOYSA-N 0 0 274.255 2.625 20 5 CFBDRN Cc1c(=O)cc[nH]c1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001234182662 778182535 /nfs/dbraw/zinc/18/25/35/778182535.db2.gz SOCUHCVZHQBQEL-ZDUSSCGKSA-N 0 0 286.287 2.550 20 5 CFBDRN CCOc1ccc(F)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001234232053 778189994 /nfs/dbraw/zinc/18/99/94/778189994.db2.gz OVMOCUCGDYZURI-ZDUSSCGKSA-N 0 0 269.272 2.659 20 5 CFBDRN Cc1c(F)cc([N+](=O)[O-])cc1NCCOC(F)(F)F ZINC001168172025 778206797 /nfs/dbraw/zinc/20/67/97/778206797.db2.gz DVEVBIWKEQPDNI-UHFFFAOYSA-N 0 0 282.193 2.991 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC2(C(F)F)CC2)c1F ZINC000697439435 778217865 /nfs/dbraw/zinc/21/78/65/778217865.db2.gz ZKLRTOJBTIEKSL-UHFFFAOYSA-N 0 0 288.225 2.570 20 5 CFBDRN COC(=O)C1CC(Oc2cc(C)c([N+](=O)[O-])c(C)c2C)C1 ZINC001234872121 778317871 /nfs/dbraw/zinc/31/78/71/778317871.db2.gz RPVBCWQCEBVZBD-UHFFFAOYSA-N 0 0 293.319 2.850 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2cccnc2)n1 ZINC001234878096 778319022 /nfs/dbraw/zinc/31/90/22/778319022.db2.gz GPBMBNZFUNVGSP-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)Cc2ccco2)n1 ZINC001234880793 778319771 /nfs/dbraw/zinc/31/97/71/778319771.db2.gz HPMNAZPHXASHAH-SECBINFHSA-N 0 0 278.264 2.601 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCCC[C@H]2C)n1 ZINC001234880239 778320268 /nfs/dbraw/zinc/32/02/68/778320268.db2.gz ZEMAQLOLQQVHOB-KOLCDFICSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2ccccn2)n1 ZINC001234879649 778320307 /nfs/dbraw/zinc/32/03/07/778320307.db2.gz RBAYEQZRGGUASE-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CCCCOC[C@@H](C)Oc1nc(OC)ccc1[N+](=O)[O-] ZINC001234879998 778320526 /nfs/dbraw/zinc/32/05/26/778320526.db2.gz VCNSLWWQEXSTCO-SNVBAGLBSA-N 0 0 284.312 2.582 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC(C2CC2)C2CC2)n1 ZINC001234880060 778320541 /nfs/dbraw/zinc/32/05/41/778320541.db2.gz WJTHICLFMPYRAS-UHFFFAOYSA-N 0 0 264.281 2.566 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1OC1Cc2ccccc2C1 ZINC001234903691 778325008 /nfs/dbraw/zinc/32/50/08/778325008.db2.gz QFTRHMGNMZODTO-UHFFFAOYSA-N 0 0 270.288 2.844 20 5 CFBDRN Cc1ccc([C@H](C)OC(=O)[C@]2(F)CCOC2)cc1[N+](=O)[O-] ZINC001126788844 778410695 /nfs/dbraw/zinc/41/06/95/778410695.db2.gz QOJBGPIXFRDPRW-HZMBPMFUSA-N 0 0 297.282 2.636 20 5 CFBDRN Cc1c(F)cc([N+](=O)[O-])cc1NC1CN(C(C)C)C1 ZINC001168318020 778428957 /nfs/dbraw/zinc/42/89/57/778428957.db2.gz ZQVKALXIALTKHH-UHFFFAOYSA-N 0 0 267.304 2.547 20 5 CFBDRN CCC1(Nc2cc3cc[nH]c3c([N+](=O)[O-])c2)COC1 ZINC001168323385 778433416 /nfs/dbraw/zinc/43/34/16/778433416.db2.gz FGIPDDKDSCPPHJ-UHFFFAOYSA-N 0 0 261.281 2.667 20 5 CFBDRN CCC1(CNc2cc(F)c(OC)cc2[N+](=O)[O-])COC1 ZINC001168332445 778435764 /nfs/dbraw/zinc/43/57/64/778435764.db2.gz MESPKMSOOBMKQG-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Nc2cccc(C)c2[N+](=O)[O-])C1(C)C ZINC001168336846 778439129 /nfs/dbraw/zinc/43/91/29/778439129.db2.gz LMRQCKDDZFDGNJ-JQWIXIFHSA-N 0 0 292.335 2.903 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000697951029 778565886 /nfs/dbraw/zinc/56/58/86/778565886.db2.gz GLZGGPVONNKLFK-UHFFFAOYSA-N 0 0 290.241 2.817 20 5 CFBDRN CC(F)(F)CNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000698011111 778628831 /nfs/dbraw/zinc/62/88/31/778628831.db2.gz OGZVVKZWMYPOEB-UHFFFAOYSA-N 0 0 278.642 2.633 20 5 CFBDRN COc1ccc(-c2noc(-c3ncccc3[N+](=O)[O-])n2)cc1 ZINC001213199879 778720217 /nfs/dbraw/zinc/72/02/17/778720217.db2.gz JTPPHVIWYGPUHV-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN Cc1ncc(CNc2ccnc3c2cccc3[N+](=O)[O-])cn1 ZINC001168391714 778775661 /nfs/dbraw/zinc/77/56/61/778775661.db2.gz LMJBHWWUUHQIBA-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000698257605 778784877 /nfs/dbraw/zinc/78/48/77/778784877.db2.gz WWXVSDDIWVXNJN-QMMMGPOBSA-N 0 0 266.684 2.697 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2C=CCC2)c1 ZINC000698252238 778784985 /nfs/dbraw/zinc/78/49/85/778784985.db2.gz ZEGVGMYDPXGDDY-JTQLQIEISA-N 0 0 262.265 2.512 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000698254029 778785594 /nfs/dbraw/zinc/78/55/94/778785594.db2.gz HCQOJTKPKPZZMQ-SECBINFHSA-N 0 0 266.684 2.697 20 5 CFBDRN CCC1CN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000698568757 778922550 /nfs/dbraw/zinc/92/25/50/778922550.db2.gz IPUVMORLRGGQGE-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN CC[C@@H](COc1cc(C(F)(F)F)ncc1[N+](=O)[O-])OC ZINC001233745349 779079463 /nfs/dbraw/zinc/07/94/63/779079463.db2.gz LZVJRWDKLQLGKY-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000151737517 779117849 /nfs/dbraw/zinc/11/78/49/779117849.db2.gz DEOCSQMBGHWKST-MRVPVSSYSA-N 0 0 284.262 2.888 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2C=C(C)OC(=O)C2)cc1[N+](=O)[O-] ZINC001234057066 779128500 /nfs/dbraw/zinc/12/85/00/779128500.db2.gz PVQAGKZEMMMCJN-SECBINFHSA-N 0 0 281.239 2.640 20 5 CFBDRN COc1cc(C)c([N+](=O)[O-])cc1NC[C@@H]1CC(C)(C)O1 ZINC001168455067 779181608 /nfs/dbraw/zinc/18/16/08/779181608.db2.gz DFNZPSMPARFCJC-JTQLQIEISA-N 0 0 280.324 2.891 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000176531574 779218986 /nfs/dbraw/zinc/21/89/86/779218986.db2.gz KLGMUIRDRAWJRI-GHMZBOCLSA-N 0 0 277.276 2.555 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@@H]3C[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000699209731 779219879 /nfs/dbraw/zinc/21/98/79/779219879.db2.gz SWPICTHROLRUDI-VXGBXAGGSA-N 0 0 260.293 2.644 20 5 CFBDRN CCN(CCNc1ccccc1[N+](=O)[O-])C(=O)C1CCC1 ZINC001106652438 779331598 /nfs/dbraw/zinc/33/15/98/779331598.db2.gz SVARUFQRVASMDX-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1cccc(OC)c1 ZINC001123254514 779367997 /nfs/dbraw/zinc/36/79/97/779367997.db2.gz BQKGXYKMOHYOGP-AAEUAGOBSA-N 0 0 295.335 2.787 20 5 CFBDRN CCC1(c2nc(-c3ncc(F)cc3[N+](=O)[O-])no2)CC1 ZINC001212238403 779491968 /nfs/dbraw/zinc/49/19/68/779491968.db2.gz GRPHASTWPJFMSO-UHFFFAOYSA-N 0 0 278.243 2.621 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCc3cccnc32)c1 ZINC001127672027 779656895 /nfs/dbraw/zinc/65/68/95/779656895.db2.gz MTEVVQKBJYMWOA-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CC(C)CC(=O)NC/C=C\CNc1ccc([N+](=O)[O-])cc1 ZINC001107007248 779738471 /nfs/dbraw/zinc/73/84/71/779738471.db2.gz HWQGPTDBWXVGLL-ARJAWSKDSA-N 0 0 291.351 2.725 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ncc1NCCOc1cccnc1 ZINC001168619204 780110664 /nfs/dbraw/zinc/11/06/64/780110664.db2.gz NXVKDMJSXXTJHN-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN Cc1cc(NC#N)c([N+](=O)[O-])cc1Br ZINC001168631984 780134890 /nfs/dbraw/zinc/13/48/90/780134890.db2.gz JKIJJRGSKVNYGK-UHFFFAOYSA-N 0 0 256.059 2.559 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCNc2ccccc21 ZINC001168648114 780187904 /nfs/dbraw/zinc/18/79/04/780187904.db2.gz ZSWPPTGTKQJJQM-LBPRGKRZSA-N 0 0 270.292 2.959 20 5 CFBDRN CCOc1cnc(NCCCOC(C)C)c([N+](=O)[O-])c1 ZINC001168676623 780274684 /nfs/dbraw/zinc/27/46/84/780274684.db2.gz GGHHUTPVMKKSDF-UHFFFAOYSA-N 0 0 283.328 2.616 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1cc([N+](=O)[O-])c(=O)n(C)c1 ZINC001168692092 780346469 /nfs/dbraw/zinc/34/64/69/780346469.db2.gz XHPKLXKILQFBSO-ZJUUUORDSA-N 0 0 267.329 2.530 20 5 CFBDRN Cc1ccc([C@H]2CCC[C@@H]2OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC001128322267 780395887 /nfs/dbraw/zinc/39/58/87/780395887.db2.gz VLPPERPDQIYWRH-TUVASFSCSA-N 0 0 289.331 2.840 20 5 CFBDRN CN1C[C@H](CNc2ccc([N+](=O)[O-])c3ccccc23)CC1=O ZINC001168724976 780532294 /nfs/dbraw/zinc/53/22/94/780532294.db2.gz AFKIIELYTYAHAP-NSHDSACASA-N 0 0 299.330 2.638 20 5 CFBDRN COCCCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124787217 780562039 /nfs/dbraw/zinc/56/20/39/780562039.db2.gz MKPWRVBAPOSVDL-UHFFFAOYSA-N 0 0 273.672 2.580 20 5 CFBDRN COc1ccnc(NC(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC001168743284 780600559 /nfs/dbraw/zinc/60/05/59/780600559.db2.gz ZIDMHWYCTSDSGB-UHFFFAOYSA-N 0 0 263.297 2.599 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NC/C=C\C1CC1 ZINC001117771736 780636982 /nfs/dbraw/zinc/63/69/82/780636982.db2.gz PAQSCHWKASFZDZ-UPHRSURJSA-N 0 0 294.738 2.873 20 5 CFBDRN C[C@@H]1C[C@@H](CCNC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])CC(C)(C)C1 ZINC001128604895 780664586 /nfs/dbraw/zinc/66/45/86/780664586.db2.gz BBCOLZRAAARBMC-FDYHWXHSSA-N 0 0 282.384 2.620 20 5 CFBDRN O=C(NCc1ccc(Cl)o1)c1cc([N+](=O)[O-])ccc1F ZINC000700585376 780701312 /nfs/dbraw/zinc/70/13/12/780701312.db2.gz ZBIYFIPXOMIFBW-UHFFFAOYSA-N 0 0 298.657 2.910 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2C=CCC2)s1 ZINC000700600455 780706383 /nfs/dbraw/zinc/70/63/83/780706383.db2.gz WBOJUEALWOXPGF-SSDOTTSWSA-N 0 0 262.294 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CCC2)cc1F ZINC000701801050 780840627 /nfs/dbraw/zinc/84/06/27/780840627.db2.gz DXARLBPCRZZDII-UHFFFAOYSA-N 0 0 255.245 2.682 20 5 CFBDRN C[C@H](F)CCNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000702569158 780933885 /nfs/dbraw/zinc/93/38/85/780933885.db2.gz GARHORIBKWKTJW-ZETCQYMHSA-N 0 0 274.679 2.726 20 5 CFBDRN COc1cc(NCC[C@@H](C)F)c([N+](=O)[O-])cc1OC ZINC000702591303 780935588 /nfs/dbraw/zinc/93/55/88/780935588.db2.gz ZFXRRYDGXLRROJ-MRVPVSSYSA-N 0 0 272.276 2.772 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC1SCCS1 ZINC000703086958 780987147 /nfs/dbraw/zinc/98/71/47/780987147.db2.gz CKLZWCBDMNDDMB-UHFFFAOYSA-N 0 0 274.342 2.952 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1OC1CCOCC1 ZINC001229883921 780989944 /nfs/dbraw/zinc/98/99/44/780989944.db2.gz YNMKDVUFHXWJQS-UHFFFAOYSA-N 0 0 251.282 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ccc(Cl)cn2)cc1 ZINC000703859409 781071105 /nfs/dbraw/zinc/07/11/05/781071105.db2.gz HUIHWWMNDOULHL-UHFFFAOYSA-N 0 0 277.711 2.933 20 5 CFBDRN Cc1nc(NCC[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000704837165 781113525 /nfs/dbraw/zinc/11/35/25/781113525.db2.gz ITZPKSLPVMDVRY-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@H]2CCSC2)nc2sccn21 ZINC000704768159 781115195 /nfs/dbraw/zinc/11/51/95/781115195.db2.gz JDGNCMAPOSOWMK-QMMMGPOBSA-N 0 0 298.393 2.859 20 5 CFBDRN CCC(F)(F)COc1cc(C=O)ccc1[N+](=O)[O-] ZINC000704808467 781121154 /nfs/dbraw/zinc/12/11/54/781121154.db2.gz SGJLYRZCYXXPCK-UHFFFAOYSA-N 0 0 259.208 2.831 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@H]1CCSC1 ZINC000704876248 781125070 /nfs/dbraw/zinc/12/50/70/781125070.db2.gz XPMQNLOKDPBFME-JTQLQIEISA-N 0 0 284.385 2.675 20 5 CFBDRN O=C(Nc1ccccc1OCCF)c1ccc([N+](=O)[O-])o1 ZINC001129149969 781136628 /nfs/dbraw/zinc/13/66/28/781136628.db2.gz QDYFTHNIFLEVGN-UHFFFAOYSA-N 0 0 294.238 2.788 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1N1CC[C@@H]2C[C@@H]21 ZINC000707082447 781222573 /nfs/dbraw/zinc/22/25/73/781222573.db2.gz RINMDFIJDQXRDX-XCBNKYQSSA-N 0 0 283.125 2.956 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000707117674 781223606 /nfs/dbraw/zinc/22/36/06/781223606.db2.gz TYTZESVDMPSCNS-HTQZYQBOSA-N 0 0 297.742 2.843 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@@H](C(F)F)C2)c([N+](=O)[O-])c1 ZINC000707147361 781230666 /nfs/dbraw/zinc/23/06/66/781230666.db2.gz LXYUACIXRKBAPK-SNVBAGLBSA-N 0 0 284.262 2.889 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cc(C)n1)C(C)(C)C ZINC001129273944 781385110 /nfs/dbraw/zinc/38/51/10/781385110.db2.gz OTSBJZSHDSNTEX-UHFFFAOYSA-N 0 0 265.313 2.559 20 5 CFBDRN CC(=O)c1cc(N2CC[C@@H](CF)C2)ccc1[N+](=O)[O-] ZINC000711410917 781427616 /nfs/dbraw/zinc/42/76/16/781427616.db2.gz XGERIMYROHIXKC-JTQLQIEISA-N 0 0 266.272 2.593 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC[C@@H]2C=CCC2)s1 ZINC000711754378 781469855 /nfs/dbraw/zinc/46/98/55/781469855.db2.gz VHECOPFNQWKCCP-MRVPVSSYSA-N 0 0 276.321 2.978 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CCC2)[C@H]2CCOC2)s1 ZINC000711896481 781486977 /nfs/dbraw/zinc/48/69/77/781486977.db2.gz WFVAZXGNIBCWIK-ONGXEEELSA-N 0 0 283.353 2.668 20 5 CFBDRN CCC(=O)N[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC001063343107 781515202 /nfs/dbraw/zinc/51/52/02/781515202.db2.gz OJGSEJXUFSCGER-AULYBMBSSA-N 0 0 291.351 2.844 20 5 CFBDRN CC(=O)N[C@H]1CC[C@H](Nc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC001063342898 781515674 /nfs/dbraw/zinc/51/56/74/781515674.db2.gz CMUCFRCFWBLMTB-HAQNSBGRSA-N 0 0 295.314 2.593 20 5 CFBDRN CC(C)N(C)c1nc(Cl)nc(Cl)c1[N+](=O)[O-] ZINC001163619436 781524035 /nfs/dbraw/zinc/52/40/35/781524035.db2.gz RYIOSAMLQKBNLT-UHFFFAOYSA-N 0 0 265.100 2.536 20 5 CFBDRN O=[N+]([O-])c1ccnc(N2CC[C@@H](F)C(F)(F)CC2)c1 ZINC001163771768 781569509 /nfs/dbraw/zinc/56/95/09/781569509.db2.gz CDZDBJMHSYCHBW-SECBINFHSA-N 0 0 275.230 2.563 20 5 CFBDRN Cc1cc(Cl)nc(N2CCC[C@@H](O)[C@@H](C)C2)c1[N+](=O)[O-] ZINC001164217133 781688270 /nfs/dbraw/zinc/68/82/70/781688270.db2.gz ASPKCKQNYJVXEN-VHSXEESVSA-N 0 0 299.758 2.549 20 5 CFBDRN C[C@@]1(F)CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H]1F ZINC001164326920 781705496 /nfs/dbraw/zinc/70/54/96/781705496.db2.gz LPJZURDXHJIHHE-MWLCHTKSSA-N 0 0 291.685 2.920 20 5 CFBDRN COc1c(F)cc(NC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC001165230171 781744663 /nfs/dbraw/zinc/74/46/63/781744663.db2.gz RRVCXVILOVDHIU-JTQLQIEISA-N 0 0 284.287 2.724 20 5 CFBDRN C[C@H]1CC[C@H](CC(=O)OCc2cccnc2[N+](=O)[O-])C1 ZINC001119341986 781761622 /nfs/dbraw/zinc/76/16/22/781761622.db2.gz JCVWPBPRTVIYJM-QWRGUYRKSA-N 0 0 278.308 2.859 20 5 CFBDRN Cc1cc(Cl)nc(N2CCC(=O)C(C)(C)C2)c1[N+](=O)[O-] ZINC001166976353 781827182 /nfs/dbraw/zinc/82/71/82/781827182.db2.gz UBXGYMASPGPQLP-UHFFFAOYSA-N 0 0 297.742 2.757 20 5 CFBDRN CCc1onc(C)c1CNc1cc(C)c([N+](=O)[O-])cn1 ZINC001119980911 781884206 /nfs/dbraw/zinc/88/42/06/781884206.db2.gz OAEBWXYCDXIAGS-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC2(CC2(F)F)C1 ZINC000594589247 349453251 /nfs/dbraw/zinc/45/32/51/349453251.db2.gz JZSOSKHPWLFZEQ-UHFFFAOYSA-N 0 0 291.257 2.988 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H](C)C[C@H]1CCOC1 ZINC000594563084 349448582 /nfs/dbraw/zinc/44/85/82/349448582.db2.gz NGQVSOXBZGXFSK-WDEREUQCSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000594572599 349450299 /nfs/dbraw/zinc/45/02/99/349450299.db2.gz POYQYEJDTMLKDX-CWSCBRNRSA-N 0 0 278.308 2.583 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](C)[C@@H](F)C1 ZINC000594577607 349451131 /nfs/dbraw/zinc/45/11/31/349451131.db2.gz UCMWDJXQAZXJAO-UWVGGRQHSA-N 0 0 268.288 2.788 20 5 CFBDRN CC(C)Oc1nc(N2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000594586925 349452180 /nfs/dbraw/zinc/45/21/80/349452180.db2.gz RUZQONQGMUWJOT-SNVBAGLBSA-N 0 0 283.303 2.715 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@@H](OC(C)C)C1 ZINC000594586041 349452183 /nfs/dbraw/zinc/45/21/83/349452183.db2.gz KAZDBRRDMAEGIC-GFCCVEGCSA-N 0 0 294.351 2.997 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CC2)[C@@H]2CCCCO2)c(F)c1 ZINC000594589614 349452934 /nfs/dbraw/zinc/45/29/34/349452934.db2.gz DOMALORYYOCSNF-QWHCGFSZSA-N 0 0 295.314 2.889 20 5 CFBDRN Cc1ccnc(NC[C@H](C)C(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC000594595284 349455679 /nfs/dbraw/zinc/45/56/79/349455679.db2.gz HRKDYVGZQBBATC-JTQLQIEISA-N 0 0 295.339 2.688 20 5 CFBDRN CC(C)OC(=O)C[C@H](Nc1ccc([N+](=O)[O-])nc1)C(C)C ZINC000594598929 349457449 /nfs/dbraw/zinc/45/74/49/349457449.db2.gz ANEJKJBHSXQTRE-LBPRGKRZSA-N 0 0 295.339 2.768 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2CC2CCCC2)nc1 ZINC000594600394 349457727 /nfs/dbraw/zinc/45/77/27/349457727.db2.gz QHDCCQGIHLAWTF-LBPRGKRZSA-N 0 0 276.340 2.934 20 5 CFBDRN Cc1cc(CNC(=O)Nc2cccc([N+](=O)[O-])c2C)no1 ZINC000067558068 346809963 /nfs/dbraw/zinc/80/99/63/346809963.db2.gz WQMBRWCNHPFZGM-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN O=[N+]([O-])c1cn(CC[C@@H]2CCc3ccccc32)cn1 ZINC000594885588 349493927 /nfs/dbraw/zinc/49/39/27/349493927.db2.gz IIRLQNDVXUAHIY-LBPRGKRZSA-N 0 0 257.293 2.911 20 5 CFBDRN CC(C)O[C@]1(COc2cccc([N+](=O)[O-])c2)CCOC1 ZINC000594879242 349492275 /nfs/dbraw/zinc/49/22/75/349492275.db2.gz RZPBORPYGVSSBQ-CQSZACIVSA-N 0 0 281.308 2.558 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000594880961 349492534 /nfs/dbraw/zinc/49/25/34/349492534.db2.gz IDHFFDBWACWVMD-UTUOFQBUSA-N 0 0 299.330 2.741 20 5 CFBDRN O=[N+]([O-])c1cc(/C=C/c2ccnn2CC2CC2)ccn1 ZINC000594695410 349475438 /nfs/dbraw/zinc/47/54/38/349475438.db2.gz FTLFVDQBTWCHNY-ONEGZZNKSA-N 0 0 270.292 2.767 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2ccnn2CC2CC2)nc1 ZINC000594696595 349475528 /nfs/dbraw/zinc/47/55/28/349475528.db2.gz QTDYCFZOTKOHJR-HYXAFXHYSA-N 0 0 270.292 2.767 20 5 CFBDRN Cc1cc(CNc2cccc([N+](=O)[O-])c2C)nc(C)n1 ZINC000594702157 349476432 /nfs/dbraw/zinc/47/64/32/349476432.db2.gz XXYPJUVXXCECAC-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CC(C)=CCCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000594900619 349498817 /nfs/dbraw/zinc/49/88/17/349498817.db2.gz TWLIQCXTXYZJND-UHFFFAOYSA-N 0 0 262.265 2.859 20 5 CFBDRN CC[C@@H](CSc1ncc([N+](=O)[O-])c(N)n1)CC(F)F ZINC000594899928 349498899 /nfs/dbraw/zinc/49/88/99/349498899.db2.gz FRGRXXUFLIEZTN-ZCFIWIBFSA-N 0 0 292.311 2.571 20 5 CFBDRN CC(C)SCCn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000594915525 349504774 /nfs/dbraw/zinc/50/47/74/349504774.db2.gz RVZWYWZRQMAYNP-UHFFFAOYSA-N 0 0 292.364 2.995 20 5 CFBDRN CO[C@@H](C)CCc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273828882 192324965 /nfs/dbraw/zinc/32/49/65/192324965.db2.gz OMBZPKQLRZLQKY-JTQLQIEISA-N 0 0 291.307 2.921 20 5 CFBDRN CN(CC1CC1)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273835527 192326960 /nfs/dbraw/zinc/32/69/60/192326960.db2.gz FHEYASPWLHLNDW-UHFFFAOYSA-N 0 0 294.326 2.880 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@@H](C)[C@@H]1C ZINC000125005938 187165588 /nfs/dbraw/zinc/16/55/88/187165588.db2.gz WXQJPPIKNVEQCW-QWRGUYRKSA-N 0 0 293.323 2.544 20 5 CFBDRN CO[C@H](C)c1noc(CNc2cccc([N+](=O)[O-])c2C)n1 ZINC000083115365 178338157 /nfs/dbraw/zinc/33/81/57/178338157.db2.gz CPBIAFBNAIVGDL-SECBINFHSA-N 0 0 292.295 2.606 20 5 CFBDRN CC(C)[C@@H](C)CC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000067818603 346821110 /nfs/dbraw/zinc/82/11/10/346821110.db2.gz PLGHEBPHRIUDLO-LBPRGKRZSA-N 0 0 278.352 2.936 20 5 CFBDRN Cc1csc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000067875508 346825043 /nfs/dbraw/zinc/82/50/43/346825043.db2.gz VFKHOZQUVZMDQA-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN CCCCN(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000067949535 346829107 /nfs/dbraw/zinc/82/91/07/346829107.db2.gz AJMPNICQQPOYEQ-UHFFFAOYSA-N 0 0 253.258 2.739 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000068000043 346831279 /nfs/dbraw/zinc/83/12/79/346831279.db2.gz SVRSOQMUTZWOLW-NSHDSACASA-N 0 0 285.303 2.823 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000067999666 346831348 /nfs/dbraw/zinc/83/13/48/346831348.db2.gz DHTQPZHACWDABI-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000125322481 187179232 /nfs/dbraw/zinc/17/92/32/187179232.db2.gz XKSWPUVLRVUBMW-JTQLQIEISA-N 0 0 254.311 2.675 20 5 CFBDRN Cc1noc(CN2CCCC(C)(C)C2)c1[N+](=O)[O-] ZINC000595318761 349601556 /nfs/dbraw/zinc/60/15/56/349601556.db2.gz MUYUWSONGWMVDQ-UHFFFAOYSA-N 0 0 253.302 2.513 20 5 CFBDRN CC(C)OC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000068298534 346846013 /nfs/dbraw/zinc/84/60/13/346846013.db2.gz XXDGFCNVWCOSLE-QMMMGPOBSA-N 0 0 287.699 2.967 20 5 CFBDRN Cc1noc(CN(C)[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000595289987 349592713 /nfs/dbraw/zinc/59/27/13/349592713.db2.gz GOVCDVBDWRAZNQ-SECBINFHSA-N 0 0 255.318 2.758 20 5 CFBDRN CN(Cc1cscn1)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595294555 349593281 /nfs/dbraw/zinc/59/32/81/349593281.db2.gz OPHYPKZNYYITRU-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN CCN(CCc1ccccc1)Cc1onc(C)c1[N+](=O)[O-] ZINC000595296562 349594819 /nfs/dbraw/zinc/59/48/19/349594819.db2.gz KYLNRHDGJPKQSA-UHFFFAOYSA-N 0 0 289.335 2.956 20 5 CFBDRN CC(C)CO[C@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595345147 349606203 /nfs/dbraw/zinc/60/62/03/349606203.db2.gz PHZHBONLOLVSKD-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN O=C(NCCCNc1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000068508599 346856910 /nfs/dbraw/zinc/85/69/10/346856910.db2.gz GPVACZPJQJOMKB-UHFFFAOYSA-N 0 0 299.330 2.827 20 5 CFBDRN CC[C@](C)(NCc1onc(C)c1[N+](=O)[O-])C(F)(F)F ZINC000595385745 349613166 /nfs/dbraw/zinc/61/31/66/349613166.db2.gz KJWRQVQLSSVYTG-VIFPVBQESA-N 0 0 281.234 2.712 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC2(C1)CC(F)(F)C2 ZINC000595403276 349617377 /nfs/dbraw/zinc/61/73/77/349617377.db2.gz KAVYZZBFUOTIDA-UHFFFAOYSA-N 0 0 286.253 2.965 20 5 CFBDRN Cc1noc(CN[C@@H](c2cccnc2)C2CC2)c1[N+](=O)[O-] ZINC000595424906 349621628 /nfs/dbraw/zinc/62/16/28/349621628.db2.gz ISYLRDGXOOOGQT-CYBMUJFWSA-N 0 0 288.307 2.527 20 5 CFBDRN COc1cccc(CNc2cccnc2[N+](=O)[O-])c1 ZINC000083431492 178441794 /nfs/dbraw/zinc/44/17/94/178441794.db2.gz VFLZYZNMGLMMHF-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CC[C@@H](NCc1onc(C)c1[N+](=O)[O-])c1nccs1 ZINC000595433708 349625027 /nfs/dbraw/zinc/62/50/27/349625027.db2.gz ZVTGFYIXSUHLHD-MRVPVSSYSA-N 0 0 282.325 2.589 20 5 CFBDRN CCC1(CC)CN(Cc2onc(C)c2[N+](=O)[O-])CCS1 ZINC000595439558 349627075 /nfs/dbraw/zinc/62/70/75/349627075.db2.gz HEXWVOLTEWHBKR-UHFFFAOYSA-N 0 0 299.396 2.999 20 5 CFBDRN CC(C)Cn1nccc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000068742058 346866656 /nfs/dbraw/zinc/86/66/56/346866656.db2.gz GKWIDSGTWDDPAI-UHFFFAOYSA-N 0 0 294.336 2.761 20 5 CFBDRN COCCN(Cc1onc(C)c1[N+](=O)[O-])[C@@H]1CC[C@@H](C)C1 ZINC000595462441 349634631 /nfs/dbraw/zinc/63/46/31/349634631.db2.gz UBMKDBZHPHGKSX-ZYHUDNBSSA-N 0 0 297.355 2.528 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC[C@H]1C(F)(F)F ZINC000595487433 349639911 /nfs/dbraw/zinc/63/99/11/349639911.db2.gz IQCPQTUXJHHVGE-NSHDSACASA-N 0 0 290.241 2.740 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])s1 ZINC000068833712 346873467 /nfs/dbraw/zinc/87/34/67/346873467.db2.gz UZNFGKJBPOVABI-VIFPVBQESA-N 0 0 266.322 2.742 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@@H](C)CC1 ZINC000188850231 282168155 /nfs/dbraw/zinc/16/81/55/282168155.db2.gz NRZKUBSBUSPGEK-PWSUYJOCSA-N 0 0 291.351 2.997 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@@H]2C(F)(F)F)cs1 ZINC000595495446 349642761 /nfs/dbraw/zinc/64/27/61/349642761.db2.gz QRBLZGBEQLLTED-SSDOTTSWSA-N 0 0 266.244 2.793 20 5 CFBDRN O=C(N[C@@H]1CCc2ccccc2C1)c1ccc([N+](=O)[O-])cc1 ZINC000069321128 346888371 /nfs/dbraw/zinc/88/83/71/346888371.db2.gz BBVFJKWLIUMXSG-OAHLLOKOSA-N 0 0 296.326 2.882 20 5 CFBDRN Cc1nc(COc2cccc([N+](=O)[O-])c2)cs1 ZINC000069532883 346894546 /nfs/dbraw/zinc/89/45/46/346894546.db2.gz FCDZAFDZMDBLCN-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN CSc1cccc(C(=O)N2C[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000335972012 295680559 /nfs/dbraw/zinc/68/05/59/295680559.db2.gz QXQRZYOWFBDMQF-BDAKNGLRSA-N 0 0 280.349 2.797 20 5 CFBDRN CC(C)OCCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000125888420 187203722 /nfs/dbraw/zinc/20/37/22/187203722.db2.gz CMTFNCMKJYKFJG-UHFFFAOYSA-N 0 0 295.339 2.922 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000413386362 529521149 /nfs/dbraw/zinc/52/11/49/529521149.db2.gz TXVJWVKOCAFOQE-DOMZBBRYSA-N 0 0 291.351 2.930 20 5 CFBDRN CC(C)OCCCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000125971393 187207871 /nfs/dbraw/zinc/20/78/71/187207871.db2.gz OOWBNBSWLIWGRS-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1Cc1csc([N+](=O)[O-])c1 ZINC000595674100 349697027 /nfs/dbraw/zinc/69/70/27/349697027.db2.gz IRICXOLBBVXASO-SNVBAGLBSA-N 0 0 282.365 2.800 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1Cc1ccc([N+](=O)[O-])cc1 ZINC000595675040 349697424 /nfs/dbraw/zinc/69/74/24/349697424.db2.gz NCZPFKQSOCMONI-LBPRGKRZSA-N 0 0 276.336 2.738 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1Cc1ccccc1[N+](=O)[O-] ZINC000595679196 349698186 /nfs/dbraw/zinc/69/81/86/349698186.db2.gz BSZAIARZLXHOGB-GFCCVEGCSA-N 0 0 276.336 2.738 20 5 CFBDRN C[C@@H](c1ccccc1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000070792123 346914428 /nfs/dbraw/zinc/91/44/28/346914428.db2.gz XBLDXOSHWODADP-JTQLQIEISA-N 0 0 260.297 2.526 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000595780325 349731619 /nfs/dbraw/zinc/73/16/19/349731619.db2.gz IGMXTVFUJPHFNP-MNOVXSKESA-N 0 0 282.315 2.733 20 5 CFBDRN COCCCn1ccc(Nc2ccc(C)cc2[N+](=O)[O-])n1 ZINC000269937910 190572133 /nfs/dbraw/zinc/57/21/33/190572133.db2.gz OMIZNVUIZPXYAS-UHFFFAOYSA-N 0 0 290.323 2.880 20 5 CFBDRN Cc1cc(N2CCCc3n[nH]cc3C2)c([N+](=O)[O-])s1 ZINC000596000928 349774462 /nfs/dbraw/zinc/77/44/62/349774462.db2.gz KCJPVYHJAQZGSJ-UHFFFAOYSA-N 0 0 278.337 2.641 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)[C@H]1C ZINC000596054297 349782947 /nfs/dbraw/zinc/78/29/47/349782947.db2.gz CXBFFVGWSXVTGB-NRUUGDAUSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1cc(N2CCOC(C)(C)C2)c([N+](=O)[O-])s1 ZINC000596075546 349788081 /nfs/dbraw/zinc/78/80/81/349788081.db2.gz GWUHGWARSJMFOF-UHFFFAOYSA-N 0 0 256.327 2.580 20 5 CFBDRN COC(=O)c1c(Cl)c(N(C)C2CC2)ccc1[N+](=O)[O-] ZINC000596079790 349788889 /nfs/dbraw/zinc/78/88/89/349788889.db2.gz YJKZVFXDQZQLDT-UHFFFAOYSA-N 0 0 284.699 2.633 20 5 CFBDRN Cc1cc(NC[C@H]2CCCOC2)c([N+](=O)[O-])s1 ZINC000596085401 349790495 /nfs/dbraw/zinc/79/04/95/349790495.db2.gz WNGSIWQQLVWIFG-SECBINFHSA-N 0 0 256.327 2.803 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596086930 349790737 /nfs/dbraw/zinc/79/07/37/349790737.db2.gz CJWXUZTWBQUQFB-GHMZBOCLSA-N 0 0 291.351 2.932 20 5 CFBDRN O=c1[nH]ccc(NCC2(c3ccccc3)CC2)c1[N+](=O)[O-] ZINC000596066209 349785143 /nfs/dbraw/zinc/78/51/43/349785143.db2.gz ZYZOECMYQZGWJN-UHFFFAOYSA-N 0 0 285.303 2.839 20 5 CFBDRN Nc1ccc(N2CCC(c3ccccc3)CC2)nc1[N+](=O)[O-] ZINC000596070377 349786537 /nfs/dbraw/zinc/78/65/37/349786537.db2.gz DGJFDWOVVXEYGF-UHFFFAOYSA-N 0 0 298.346 2.956 20 5 CFBDRN C[C@H]1CCCC[C@H]1N(C)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596073452 349787016 /nfs/dbraw/zinc/78/70/16/349787016.db2.gz PRKTUIYNCRNRTC-GXFFZTMASA-N 0 0 291.351 2.709 20 5 CFBDRN C[C@H]1CCC[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596073310 349787024 /nfs/dbraw/zinc/78/70/24/349787024.db2.gz JSFVIMNMDRVMAA-DTWKUNHWSA-N 0 0 251.286 2.686 20 5 CFBDRN CC[C@H](C)CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000421907667 529666246 /nfs/dbraw/zinc/66/62/46/529666246.db2.gz DEIREOLZYGNWRW-VIFPVBQESA-N 0 0 275.308 2.852 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCCO2)c([N+](=O)[O-])s1 ZINC000596091914 349792954 /nfs/dbraw/zinc/79/29/54/349792954.db2.gz PJXARHDDHWTFED-VIFPVBQESA-N 0 0 256.327 2.946 20 5 CFBDRN COCC(C)(C)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000270060732 190592994 /nfs/dbraw/zinc/59/29/94/190592994.db2.gz URVWYODLJCOSEA-UHFFFAOYSA-N 0 0 277.280 2.569 20 5 CFBDRN CCC[C@H](O)CNc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596123522 349799509 /nfs/dbraw/zinc/79/95/09/349799509.db2.gz OFGWGIOENOUYBQ-QMMMGPOBSA-N 0 0 288.731 2.830 20 5 CFBDRN CC(C)OCCCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000126570395 187248857 /nfs/dbraw/zinc/24/88/57/187248857.db2.gz GRCRDGBPTAAAEE-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])[C@H](C)C1 ZINC000596127314 349801280 /nfs/dbraw/zinc/80/12/80/349801280.db2.gz YOOJFLXVLHEQIG-BBBLOLIVSA-N 0 0 265.313 2.932 20 5 CFBDRN CN(C)c1ccc(COC(=O)CC2CC2)cc1[N+](=O)[O-] ZINC000273161484 192061404 /nfs/dbraw/zinc/06/14/04/192061404.db2.gz ZYGVYRGIVGMXPZ-UHFFFAOYSA-N 0 0 278.308 2.504 20 5 CFBDRN C[C@@H]1CC(Nc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@@H](C)C1 ZINC000596134022 349802980 /nfs/dbraw/zinc/80/29/80/349802980.db2.gz CDVZWOGTVXGEEU-IUCAKERBSA-N 0 0 265.313 2.932 20 5 CFBDRN COc1cc(SC[C@@H](C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000596138339 349805679 /nfs/dbraw/zinc/80/56/79/349805679.db2.gz IVNVQJVIKIFGSF-ZETCQYMHSA-N 0 0 291.756 2.977 20 5 CFBDRN Cc1cc(NCC(C)(C)CCO)c([N+](=O)[O-])s1 ZINC000596144077 349807444 /nfs/dbraw/zinc/80/74/44/349807444.db2.gz CGKCGIUEEJSSIO-UHFFFAOYSA-N 0 0 258.343 2.785 20 5 CFBDRN CC[C@H](O)CNC(=O)c1cc2ccccc2c2cccnc12 ZINC000344394321 529707631 /nfs/dbraw/zinc/70/76/31/529707631.db2.gz RVCXACRISPSQDV-ZDUSSCGKSA-N 0 0 294.354 2.889 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596147989 349808656 /nfs/dbraw/zinc/80/86/56/349808656.db2.gz XSLFFEJUDGRJIX-MNOVXSKESA-N 0 0 291.351 2.709 20 5 CFBDRN CCN(CCc1cccs1)c1ccc(N)c([N+](=O)[O-])n1 ZINC000596149424 349809583 /nfs/dbraw/zinc/80/95/83/349809583.db2.gz WTWVZGDFMKMXKJ-UHFFFAOYSA-N 0 0 292.364 2.703 20 5 CFBDRN CC1(C)CCC[C@@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596153174 349810596 /nfs/dbraw/zinc/81/05/96/349810596.db2.gz OXXTVBHWRZJTIR-LBPRGKRZSA-N 0 0 277.324 2.684 20 5 CFBDRN COC(=O)CCCSc1c(Cl)cncc1[N+](=O)[O-] ZINC000596164620 349814274 /nfs/dbraw/zinc/81/42/74/349814274.db2.gz PTZSBCAIOGIBEI-UHFFFAOYSA-N 0 0 290.728 2.689 20 5 CFBDRN O=c1[nH]ccc(N[C@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000596166964 349815214 /nfs/dbraw/zinc/81/52/14/349815214.db2.gz ZPTTUYDUXFXHPX-ZETCQYMHSA-N 0 0 273.239 2.685 20 5 CFBDRN COCCC1(CNc2c(Cl)cncc2[N+](=O)[O-])CCC1 ZINC000596159877 349812911 /nfs/dbraw/zinc/81/29/11/349812911.db2.gz LLWZQZNRMIMPBG-UHFFFAOYSA-N 0 0 299.758 2.684 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C[C@@H](C)C1 ZINC000596173270 349816881 /nfs/dbraw/zinc/81/68/81/349816881.db2.gz SVPFIRONHNSHNS-MNOVXSKESA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1cc(N2CCC[C@H]2C[C@@H](C)O)c([N+](=O)[O-])s1 ZINC000596173247 349816949 /nfs/dbraw/zinc/81/69/49/349816949.db2.gz SHKLODARTJUMPO-SCZZXKLOSA-N 0 0 270.354 2.704 20 5 CFBDRN CS[C@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000295012641 199300143 /nfs/dbraw/zinc/30/01/43/199300143.db2.gz PLDWISRQWBBNAL-JTQLQIEISA-N 0 0 252.339 2.845 20 5 CFBDRN O=C1CC[C@H](COc2ccc(Cl)cc2[N+](=O)[O-])CCN1 ZINC000295022326 199303851 /nfs/dbraw/zinc/30/38/51/199303851.db2.gz QQXFHANMGKIFJV-VIFPVBQESA-N 0 0 298.726 2.543 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@H]([C@H]2CCOC2)C1 ZINC000596171364 349816242 /nfs/dbraw/zinc/81/62/42/349816242.db2.gz LLZWLHWBOQEQJP-UWVGGRQHSA-N 0 0 297.742 2.506 20 5 CFBDRN CCc1ncc(CN2CCc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC000414390796 529754503 /nfs/dbraw/zinc/75/45/03/529754503.db2.gz YVOBKUHBPBIBPK-UHFFFAOYSA-N 0 0 298.346 2.506 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@@H](C2CC2)C1 ZINC000596179111 349818814 /nfs/dbraw/zinc/81/88/14/349818814.db2.gz MJQJVMAARJKDCA-SECBINFHSA-N 0 0 267.716 2.880 20 5 CFBDRN C[C@H](CC(C)(C)O)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000295043511 199316518 /nfs/dbraw/zinc/31/65/18/199316518.db2.gz SZQGZMHVEVSRAW-SSDOTTSWSA-N 0 0 273.720 2.605 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H](C)O1 ZINC000301280244 136428180 /nfs/dbraw/zinc/42/81/80/136428180.db2.gz NVEPNXMQECIGLZ-WCBMZHEXSA-N 0 0 285.731 2.647 20 5 CFBDRN CC(=O)c1ccc(NC[C@H](C)CCCO)c([N+](=O)[O-])c1 ZINC000295065218 199326203 /nfs/dbraw/zinc/32/62/03/199326203.db2.gz ZCJWPKUEUWQFAT-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H](C)CCCO ZINC000295063822 199326889 /nfs/dbraw/zinc/32/68/89/199326889.db2.gz YZWZWCVBUMGTRY-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN CO[C@]1(C)CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596224688 349833250 /nfs/dbraw/zinc/83/32/50/349833250.db2.gz YVIROBHOHVSLHM-GFCCVEGCSA-N 0 0 285.731 2.649 20 5 CFBDRN Cc1cc(NCc2ncnn2C(C)(C)C)c([N+](=O)[O-])s1 ZINC000596225869 349833379 /nfs/dbraw/zinc/83/33/79/349833379.db2.gz FZPNYADOQNOQIW-UHFFFAOYSA-N 0 0 295.368 2.923 20 5 CFBDRN COC(=O)c1c(Cl)c(N[C@@H](C)CF)ccc1[N+](=O)[O-] ZINC000596235061 349834658 /nfs/dbraw/zinc/83/46/58/349834658.db2.gz FCPWJQXNXHLWHC-LURJTMIESA-N 0 0 290.678 2.805 20 5 CFBDRN COc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc1F ZINC000295438746 199508902 /nfs/dbraw/zinc/50/89/02/199508902.db2.gz ZXHYKUKSCWAGRQ-UHFFFAOYSA-N 0 0 284.287 2.608 20 5 CFBDRN COc1cc(N[C@H]2CCC[C@H]2CCO)c([N+](=O)[O-])cc1C ZINC000596208959 349827818 /nfs/dbraw/zinc/82/78/18/349827818.db2.gz LAJHJURNLVKPSZ-RYUDHWBXSA-N 0 0 294.351 2.875 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000596211461 349827896 /nfs/dbraw/zinc/82/78/96/349827896.db2.gz SISQXVJGNGMBEX-LLVKDONJSA-N 0 0 279.340 2.925 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596217705 349830360 /nfs/dbraw/zinc/83/03/60/349830360.db2.gz OTJUASWVMZHRNR-RKDXNWHRSA-N 0 0 251.286 2.544 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596217629 349830424 /nfs/dbraw/zinc/83/04/24/349830424.db2.gz VOODPESEGRJTMY-NSHDSACASA-N 0 0 299.758 2.895 20 5 CFBDRN CSCCCN(C)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596219951 349830873 /nfs/dbraw/zinc/83/08/73/349830873.db2.gz IGIFHJOMOSCDIB-UHFFFAOYSA-N 0 0 275.761 2.833 20 5 CFBDRN CC1(C)CC(CNc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596222858 349832088 /nfs/dbraw/zinc/83/20/88/349832088.db2.gz NXZFAGLQACFLAN-UHFFFAOYSA-N 0 0 251.286 2.544 20 5 CFBDRN CC(C)(CNc1cc[nH]c(=O)c1[N+](=O)[O-])C(F)(F)F ZINC000596221785 349832150 /nfs/dbraw/zinc/83/21/50/349832150.db2.gz OVVRMPGDAOCZFQ-UHFFFAOYSA-N 0 0 279.218 2.696 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCO[C@@H]1CC1(F)F ZINC000596253532 349842241 /nfs/dbraw/zinc/84/22/41/349842241.db2.gz ATHMUWGBELKSHV-SNVBAGLBSA-N 0 0 277.198 2.537 20 5 CFBDRN CCC[C@]1(CO)CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596238260 349836475 /nfs/dbraw/zinc/83/64/75/349836475.db2.gz FOHMMVIEUCFCPS-ZDUSSCGKSA-N 0 0 299.758 2.632 20 5 CFBDRN NC(=O)c1cc(NCC2=CCCCC2)ccc1[N+](=O)[O-] ZINC000596256785 349843703 /nfs/dbraw/zinc/84/37/03/349843703.db2.gz GXBMAVCCZOAMQV-UHFFFAOYSA-N 0 0 275.308 2.606 20 5 CFBDRN CC(C)[C@H]1N(c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1(C)C ZINC000596239843 349837007 /nfs/dbraw/zinc/83/70/07/349837007.db2.gz LNFZGOGPZROFRH-CYBMUJFWSA-N 0 0 291.351 2.565 20 5 CFBDRN COc1cc(NCC(C)(F)F)c([N+](=O)[O-])cc1C ZINC000596244918 349838734 /nfs/dbraw/zinc/83/87/34/349838734.db2.gz WBUKCYQVXAFZFI-UHFFFAOYSA-N 0 0 260.240 2.979 20 5 CFBDRN C[C@@H]1CN(c2ncc(Br)cc2[N+](=O)[O-])[C@H]1C ZINC000596248347 349839587 /nfs/dbraw/zinc/83/95/87/349839587.db2.gz MHTXKBPPRQEAOU-RQJHMYQMSA-N 0 0 286.129 2.597 20 5 CFBDRN Cc1cc(NC[C@]2(O)CCC[C@H]2C)c([N+](=O)[O-])s1 ZINC000596248237 349839805 /nfs/dbraw/zinc/83/98/05/349839805.db2.gz UWWGBQOSNOCVMW-PRHODGIISA-N 0 0 270.354 2.928 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cccnc32)[C@H]1C ZINC000596251945 349841042 /nfs/dbraw/zinc/84/10/42/349841042.db2.gz ZTZNVMPAHNKJGO-ZJUUUORDSA-N 0 0 257.293 2.988 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCCC1CCOCC1 ZINC000596255040 349841910 /nfs/dbraw/zinc/84/19/10/349841910.db2.gz IVDBDTRURJIQCR-UHFFFAOYSA-N 0 0 299.758 2.684 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1N1CC[C@@H](F)C1 ZINC000596253439 349841969 /nfs/dbraw/zinc/84/19/69/349841969.db2.gz UGUKHGWKUKTTDQ-SSDOTTSWSA-N 0 0 289.104 2.906 20 5 CFBDRN Cc1cc(N[C@@H]2CCN(CC(F)F)C2)c([N+](=O)[O-])s1 ZINC000596278190 349850701 /nfs/dbraw/zinc/85/07/01/349850701.db2.gz MYUSITBLGCARMZ-MRVPVSSYSA-N 0 0 291.323 2.716 20 5 CFBDRN COC1(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCCC1 ZINC000295460619 199519929 /nfs/dbraw/zinc/51/99/29/199519929.db2.gz XIMZGIGUSURZHT-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CSc1cccc(Cn2cc(C)c([N+](=O)[O-])n2)c1 ZINC000596278168 349850849 /nfs/dbraw/zinc/85/08/49/349850849.db2.gz KYBQIQWHKXBFQH-UHFFFAOYSA-N 0 0 263.322 2.870 20 5 CFBDRN CC[C@H](C)N1CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596259235 349844733 /nfs/dbraw/zinc/84/47/33/349844733.db2.gz VLIXJZYDWRSGHP-JTQLQIEISA-N 0 0 298.774 2.564 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1ncc([N+](=O)[O-])cc1Cl ZINC000596261336 349845786 /nfs/dbraw/zinc/84/57/86/349845786.db2.gz QBQWJMSUDCAKDI-UHFFFAOYSA-N 0 0 295.730 2.638 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596262305 349846186 /nfs/dbraw/zinc/84/61/86/349846186.db2.gz ODDDPLOSELXYHD-WDEREUQCSA-N 0 0 291.351 2.709 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@@H](C)O2)c([N+](=O)[O-])s1 ZINC000596263669 349847285 /nfs/dbraw/zinc/84/72/85/349847285.db2.gz DWCVOMFRDJFBIL-VXNVDRBHSA-N 0 0 256.327 2.944 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCC2CC(OC)C2)c1 ZINC000596307621 349859034 /nfs/dbraw/zinc/85/90/34/349859034.db2.gz CCQXXRKKPSKQJW-UHFFFAOYSA-N 0 0 280.324 2.830 20 5 CFBDRN COC1CC(CCNc2ccsc2[N+](=O)[O-])C1 ZINC000596312817 349860612 /nfs/dbraw/zinc/86/06/12/349860612.db2.gz YYYRSRFVSCGIKJ-UHFFFAOYSA-N 0 0 256.327 2.883 20 5 CFBDRN CCN(C)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000128064675 187349357 /nfs/dbraw/zinc/34/93/57/187349357.db2.gz LPSAXZSEADZNAJ-UHFFFAOYSA-N 0 0 276.214 2.706 20 5 CFBDRN NC(=O)c1cc(NCC2CCC(F)CC2)ccc1[N+](=O)[O-] ZINC000596290308 349854276 /nfs/dbraw/zinc/85/42/76/349854276.db2.gz BHEBOAXWWMUIEM-UHFFFAOYSA-N 0 0 295.314 2.634 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c(C(N)=O)c1)C(C)(C)C ZINC000596294637 349855539 /nfs/dbraw/zinc/85/55/39/349855539.db2.gz HHXDGLYSDYAGIC-MRVPVSSYSA-N 0 0 265.313 2.540 20 5 CFBDRN Cc1ncn(Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)n1 ZINC000596299956 349856147 /nfs/dbraw/zinc/85/61/47/349856147.db2.gz VAWGUAAYLBJQTK-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN O=c1c2cccc([N+](=O)[O-])c2ccn1C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000596297788 349856512 /nfs/dbraw/zinc/85/65/12/349856512.db2.gz IKZLTVLZEGFFGW-ZSBIGDGJSA-N 0 0 284.315 2.956 20 5 CFBDRN COCC1(Nc2nc3sccn3c2[N+](=O)[O-])CCCC1 ZINC000596316514 349861568 /nfs/dbraw/zinc/86/15/68/349861568.db2.gz KCJYDGMYBWPANQ-UHFFFAOYSA-N 0 0 296.352 2.675 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000073336117 346971709 /nfs/dbraw/zinc/97/17/09/346971709.db2.gz IOTJUYWKDCICNZ-PWSUYJOCSA-N 0 0 262.309 2.855 20 5 CFBDRN CC[C@@H](Cc1ccc(F)cc1)Nc1ncc([N+](=O)[O-])cn1 ZINC000596318784 349862189 /nfs/dbraw/zinc/86/21/89/349862189.db2.gz YITFOGZBYWVDQS-LBPRGKRZSA-N 0 0 290.298 2.957 20 5 CFBDRN Cc1ncccc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000073356712 346973241 /nfs/dbraw/zinc/97/32/41/346973241.db2.gz MVDKVDBAHSBYEX-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1cc(CSCc2onc(C)c2[N+](=O)[O-])on1 ZINC000596323654 349863442 /nfs/dbraw/zinc/86/34/42/349863442.db2.gz OORUHDVJBKTUTL-UHFFFAOYSA-N 0 0 269.282 2.621 20 5 CFBDRN CN(CCCCCO)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000413267754 529882219 /nfs/dbraw/zinc/88/22/19/529882219.db2.gz NNXLGTKQEUQKLA-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])c2ncnn21 ZINC000596342924 349871289 /nfs/dbraw/zinc/87/12/89/349871289.db2.gz IQZKYGQWYGDTPQ-OIBJUYFYSA-N 0 0 293.714 2.958 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)c2ncnn21 ZINC000596343922 349871309 /nfs/dbraw/zinc/87/13/09/349871309.db2.gz QJYFUNFMEHRPOK-RDDDGLTNSA-N 0 0 293.714 2.958 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](C)n3ncnc32)ccc1[N+](=O)[O-] ZINC000596345121 349871789 /nfs/dbraw/zinc/87/17/89/349871789.db2.gz ZNQVQOKLVAXAKT-ONGXEEELSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1cc(N2CCO[C@](C)(C3CC3)C2)ccc1[N+](=O)[O-] ZINC000596333419 349867248 /nfs/dbraw/zinc/86/72/48/349867248.db2.gz IINLWQRKVDNFPN-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1noc(CS[C@@H](C)c2cnccn2)c1[N+](=O)[O-] ZINC000596365539 349879079 /nfs/dbraw/zinc/87/90/79/349879079.db2.gz SRVOWSHSRRRWNB-QMMMGPOBSA-N 0 0 280.309 2.676 20 5 CFBDRN CCC(C)(C)N(C)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000074023444 346989832 /nfs/dbraw/zinc/98/98/32/346989832.db2.gz PVMZKWUDSGEBBS-UHFFFAOYSA-N 0 0 280.324 2.621 20 5 CFBDRN COCCC1CN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000596364230 349878258 /nfs/dbraw/zinc/87/82/58/349878258.db2.gz JSVIVNGJSYJYRD-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN CCC(C)(C)[C@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596374050 349881964 /nfs/dbraw/zinc/88/19/64/349881964.db2.gz BKTQIKUCCJJKNO-VIFPVBQESA-N 0 0 279.340 2.930 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1C[C@@]1(C)C(C)C ZINC000413442233 529933302 /nfs/dbraw/zinc/93/33/02/529933302.db2.gz ULYREZJZWBEWFD-ZFWWWQNUSA-N 0 0 291.351 2.801 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ccc([N+](=O)[O-])nc1)CC2 ZINC000596411448 349893971 /nfs/dbraw/zinc/89/39/71/349893971.db2.gz WMTISVFGQOIMFW-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CC[C@H](C)CCNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000596414889 349895465 /nfs/dbraw/zinc/89/54/65/349895465.db2.gz DNRUHBGLEHCODG-VIFPVBQESA-N 0 0 265.313 2.542 20 5 CFBDRN CC[C@H](C)CCNc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000596416214 349897062 /nfs/dbraw/zinc/89/70/62/349897062.db2.gz QPUSWKSZVXBFSR-VIFPVBQESA-N 0 0 281.312 2.625 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000074658750 347025257 /nfs/dbraw/zinc/02/52/57/347025257.db2.gz CUSIEOUBYUQPBN-LBPRGKRZSA-N 0 0 292.335 2.765 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1c1ccccc1[N+](=O)[O-] ZINC000596437416 349902248 /nfs/dbraw/zinc/90/22/48/349902248.db2.gz YBEFMJLAZXOARA-NSHDSACASA-N 0 0 262.309 2.743 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)C1(CO)CC1 ZINC000596439534 349903313 /nfs/dbraw/zinc/90/33/13/349903313.db2.gz YTYFBJXNNJMNOI-SNVBAGLBSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc(N2CC[C@@H](Oc3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000074763498 347031235 /nfs/dbraw/zinc/03/12/35/347031235.db2.gz MMDBYKWEZUWTQE-CQSZACIVSA-N 0 0 299.330 2.956 20 5 CFBDRN CC[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])C1CCOCC1 ZINC000074808137 347036210 /nfs/dbraw/zinc/03/62/10/347036210.db2.gz GPBOFGGWEYWQTQ-CYBMUJFWSA-N 0 0 292.335 2.530 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCO[C@@H]2CC2(F)F)c(F)c1 ZINC000596446957 349905842 /nfs/dbraw/zinc/90/58/42/349905842.db2.gz JBCFXTGHFUSVIX-SECBINFHSA-N 0 0 294.204 2.709 20 5 CFBDRN CCOc1cc(N2CC[C@@H](C)[C@H](OC)C2)ccc1[N+](=O)[O-] ZINC000302602056 136457283 /nfs/dbraw/zinc/45/72/83/136457283.db2.gz YRGLKYUDGKUWCE-IAQYHMDHSA-N 0 0 294.351 2.855 20 5 CFBDRN CC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])C1CCOCC1 ZINC000074774196 347032295 /nfs/dbraw/zinc/03/22/95/347032295.db2.gz HFHHLWUIGJOLDI-LBPRGKRZSA-N 0 0 279.340 2.915 20 5 CFBDRN CCSCC[C@@H](C)N(C)c1ncccc1[N+](=O)[O-] ZINC000074770664 347032351 /nfs/dbraw/zinc/03/23/51/347032351.db2.gz FCUNUSFGUGNOIR-SNVBAGLBSA-N 0 0 269.370 2.958 20 5 CFBDRN CN(C[C@H]1CCOC1)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413168469 529972121 /nfs/dbraw/zinc/97/21/21/529972121.db2.gz JFBDLDVVXFNEBC-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN COC(=O)Cc1ccc(NCCC(C)(C)F)c([N+](=O)[O-])c1 ZINC000596467510 349909186 /nfs/dbraw/zinc/90/91/86/349909186.db2.gz BONSVYLUWVBUSN-UHFFFAOYSA-N 0 0 298.314 2.860 20 5 CFBDRN COCc1csc(CNc2ccc([N+](=O)[O-])cn2)c1 ZINC000596471809 349910642 /nfs/dbraw/zinc/91/06/42/349910642.db2.gz DJEFEGDNMJVUIF-UHFFFAOYSA-N 0 0 279.321 2.810 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCc1ccoc1 ZINC000596470553 349909912 /nfs/dbraw/zinc/90/99/12/349909912.db2.gz OTMNGHILBRSCPS-UHFFFAOYSA-N 0 0 276.292 2.989 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])cc1Cl)C(C)C ZINC000075062443 347052153 /nfs/dbraw/zinc/05/21/53/347052153.db2.gz VCWLCSOXKCCHJC-SNVBAGLBSA-N 0 0 273.720 2.726 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2ccc([N+](=O)[O-])cc2)O1 ZINC000596473069 349911176 /nfs/dbraw/zinc/91/11/76/349911176.db2.gz FRSJVUUXVBNFDI-MFKMUULPSA-N 0 0 250.298 2.964 20 5 CFBDRN CO[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000075062716 347052895 /nfs/dbraw/zinc/05/28/95/347052895.db2.gz OKKADFJFUQPHBX-LBPRGKRZSA-N 0 0 256.277 2.817 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1c1nc2sccn2c1[N+](=O)[O-] ZINC000075065874 347053613 /nfs/dbraw/zinc/05/36/13/347053613.db2.gz KMTXXEDCGQPIDA-DTWKUNHWSA-N 0 0 280.353 2.929 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@@]1(C)CC1(F)F ZINC000596479551 349914468 /nfs/dbraw/zinc/91/44/68/349914468.db2.gz SOTUANONGKPSME-NSHDSACASA-N 0 0 288.298 2.967 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCCC1CC1 ZINC000174054006 530004243 /nfs/dbraw/zinc/00/42/43/530004243.db2.gz LVRBMCMPUOXMLV-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN O=C([C@@H]1CCCS1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000075201212 347059885 /nfs/dbraw/zinc/05/98/85/347059885.db2.gz YJACGVYZMHMJNQ-ZDUSSCGKSA-N 0 0 292.360 2.770 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]1c1ccccc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000596478082 349913950 /nfs/dbraw/zinc/91/39/50/349913950.db2.gz FSUNKUYEIXCFRT-CHWSQXEVSA-N 0 0 285.303 2.599 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1ccc3ncccc3c1[N+](=O)[O-])C2 ZINC000596484266 349915592 /nfs/dbraw/zinc/91/55/92/349915592.db2.gz UDAYYTITMRKJBH-MEDUHNTESA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1c(Cl)cccc1[N+](=O)[O-])C2 ZINC000596481595 349915344 /nfs/dbraw/zinc/91/53/44/349915344.db2.gz CYSANGYSARKBSN-RNCFNFMXSA-N 0 0 282.727 2.721 20 5 CFBDRN Cc1cc(N[C@@H](C)C[NH+]2CCC(C)CC2)ncc1[N+](=O)[O-] ZINC000596487554 349917051 /nfs/dbraw/zinc/91/70/51/349917051.db2.gz HLXHVFNZPXWJJU-ZDUSSCGKSA-N 0 0 292.383 2.831 20 5 CFBDRN COC(=O)C[C@H](CNc1sccc1[N+](=O)[O-])C1CC1 ZINC000596490620 349918163 /nfs/dbraw/zinc/91/81/63/349918163.db2.gz FJDLLBARNZXTRZ-SECBINFHSA-N 0 0 284.337 2.658 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H](C)S1 ZINC000075506681 347080889 /nfs/dbraw/zinc/08/08/89/347080889.db2.gz JRKAXIBKRAXPSI-UWVGGRQHSA-N 0 0 295.364 2.953 20 5 CFBDRN Cc1cc(NCC(O)(C2CC2)C2CC2)c([N+](=O)[O-])s1 ZINC000596492612 349918705 /nfs/dbraw/zinc/91/87/05/349918705.db2.gz QKRHQHZEDHYOMK-UHFFFAOYSA-N 0 0 282.365 2.928 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC(O)(C2CC2)C2CC2)c(F)c1 ZINC000596494594 349919847 /nfs/dbraw/zinc/91/98/47/349919847.db2.gz SSPCMHKWHCOIKL-UHFFFAOYSA-N 0 0 280.299 2.697 20 5 CFBDRN COc1ccccc1[C@@H]1C[C@H]1Nc1cccnc1[N+](=O)[O-] ZINC000596497174 349920326 /nfs/dbraw/zinc/92/03/26/349920326.db2.gz LIJXVVICKDSJEC-WCQYABFASA-N 0 0 285.303 2.966 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1C[C@H](O)C12CCC2 ZINC000596500497 349921699 /nfs/dbraw/zinc/92/16/99/349921699.db2.gz BNMLUAOQYJRWOS-KBPBESRZSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N[C@@H]3C[C@H](O)C34CCC4)c2c1 ZINC000596502732 349922442 /nfs/dbraw/zinc/92/24/42/349922442.db2.gz FXNNYCYWUDOWOT-KGLIPLIRSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]([C@@H]3CCCCO3)C2)s1 ZINC000596504282 349922684 /nfs/dbraw/zinc/92/26/84/349922684.db2.gz MOSDBNYAQPFUAQ-MNOVXSKESA-N 0 0 297.380 2.837 20 5 CFBDRN Cc1cccc(N[C@@H]2C[C@H](O)C23CCC3)c1[N+](=O)[O-] ZINC000596504546 349923090 /nfs/dbraw/zinc/92/30/90/349923090.db2.gz HNBFCWRKVLALEO-NEPJUHHUSA-N 0 0 262.309 2.619 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@H]1C[C@H](O)C12CCC2 ZINC000596504559 349923179 /nfs/dbraw/zinc/92/31/79/349923179.db2.gz HRORZVRKVKECKO-QWRGUYRKSA-N 0 0 282.727 2.964 20 5 CFBDRN Cc1csc([C@H](C)CNc2ncc([N+](=O)[O-])c(C)n2)n1 ZINC000295099445 199344478 /nfs/dbraw/zinc/34/44/78/199344478.db2.gz TWRFMDXYAYYGEA-SSDOTTSWSA-N 0 0 293.352 2.674 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N1CC[C@@H]2C[C@@H]2C1 ZINC000596509999 349924837 /nfs/dbraw/zinc/92/48/37/349924837.db2.gz KQUDKDQWJQOBTF-GHMZBOCLSA-N 0 0 299.330 2.998 20 5 CFBDRN CCOc1cc(CN(C(C)C)C2COC2)ccc1[N+](=O)[O-] ZINC000295097979 199343270 /nfs/dbraw/zinc/34/32/70/199343270.db2.gz BLVCXNVXUQRHKK-UHFFFAOYSA-N 0 0 294.351 2.603 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC[C@H]4C[C@H]4C3)ccnc21 ZINC000596511461 349925386 /nfs/dbraw/zinc/92/53/86/349925386.db2.gz PLOQTCBCOYPLTO-QWRGUYRKSA-N 0 0 269.304 2.989 20 5 CFBDRN CCOC(=O)c1cc(N2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000596511819 349925500 /nfs/dbraw/zinc/92/55/00/349925500.db2.gz QHQAAMAJGWXTTC-GHMZBOCLSA-N 0 0 290.319 2.618 20 5 CFBDRN CC[C@@H](Nc1ccc(C)cc1[N+](=O)[O-])[C@@H](O)CC ZINC000313123032 260251596 /nfs/dbraw/zinc/25/15/96/260251596.db2.gz VRAPWIQJWGBVMN-MFKMUULPSA-N 0 0 252.314 2.865 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccccc1 ZINC000000512456 232172025 /nfs/dbraw/zinc/17/20/25/232172025.db2.gz LEHIVXDDSQHROR-UHFFFAOYSA-N 0 0 272.260 2.612 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@@H]2COC[C@H]2C1 ZINC000596526219 349929721 /nfs/dbraw/zinc/92/97/21/349929721.db2.gz CKXOGCUASSEUDY-VXGBXAGGSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@@H]4COC[C@H]4C3)ccc2c1 ZINC000596528208 349931273 /nfs/dbraw/zinc/93/12/73/349931273.db2.gz NANRGNXUIQBNSQ-CHWSQXEVSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@@H]2COC[C@@H]2C1 ZINC000596528682 349931346 /nfs/dbraw/zinc/93/13/46/349931346.db2.gz OABWAPCIHGKPGK-NEPJUHHUSA-N 0 0 299.330 2.616 20 5 CFBDRN CO[C@@H](CNc1cc(C)c([N+](=O)[O-])cn1)C1CCCC1 ZINC000596528390 349931417 /nfs/dbraw/zinc/93/14/17/349931417.db2.gz ZPPCUYIVPXTCJY-ZDUSSCGKSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@@H]4COC[C@H]4C3)c2c1 ZINC000596526414 349930506 /nfs/dbraw/zinc/93/05/06/349930506.db2.gz DQKGZHNAJGJQSS-CHWSQXEVSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@H]3Cc4cc(F)ccc4[C@@H]23)s1 ZINC000596539255 349934809 /nfs/dbraw/zinc/93/48/09/349934809.db2.gz HWLYNEHAGXKZRJ-MVWJERBFSA-N 0 0 291.307 2.941 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])C[C@]1(C)CO ZINC000596539489 349934913 /nfs/dbraw/zinc/93/49/13/349934913.db2.gz BSURZSRBKQDAFQ-TVQRCGJNSA-N 0 0 284.743 2.703 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2c1ncc([N+](=O)[O-])cc1F ZINC000596537291 349934947 /nfs/dbraw/zinc/93/49/47/349934947.db2.gz HGODVQDUSUDSQD-PBINXNQUSA-N 0 0 265.288 2.896 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](N(C)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000596533783 349934021 /nfs/dbraw/zinc/93/40/21/349934021.db2.gz QLRLCTDRQZYUKM-WAAGHKOSSA-N 0 0 298.726 2.636 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000596540336 349935685 /nfs/dbraw/zinc/93/56/85/349935685.db2.gz KNBVYPLMSRTFJY-CYBMUJFWSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@@H](NC(=O)N1CC2CC1(C)C2)c1ccccc1[N+](=O)[O-] ZINC000596540400 349935708 /nfs/dbraw/zinc/93/57/08/349935708.db2.gz LOIYMJZSLGEDMU-RWWNRMGGSA-N 0 0 289.335 2.850 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000596541051 349935867 /nfs/dbraw/zinc/93/58/67/349935867.db2.gz XTGUIGUURBZWED-KGLIPLIRSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCOC2(C)C)c([N+](=O)[O-])c1 ZINC000596544750 349937214 /nfs/dbraw/zinc/93/72/14/349937214.db2.gz PRJAVVHURVZUBD-JTQLQIEISA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)cn1 ZINC000154510260 232226055 /nfs/dbraw/zinc/22/60/55/232226055.db2.gz BTRSLJGFWIQZSV-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CCc1nn(C)c(NCC(F)(F)C(C)(C)C)c1[N+](=O)[O-] ZINC000596542211 349936571 /nfs/dbraw/zinc/93/65/71/349936571.db2.gz LACNHPLBZZWCQQ-UHFFFAOYSA-N 0 0 290.314 2.984 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1ccsc1[N+](=O)[O-] ZINC000596542335 349936630 /nfs/dbraw/zinc/93/66/30/349936630.db2.gz GMMPJFSWQXTMMO-MRVPVSSYSA-N 0 0 256.327 2.883 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000596543578 349937510 /nfs/dbraw/zinc/93/75/10/349937510.db2.gz MALQGOJKNOGQIV-MRVPVSSYSA-N 0 0 285.731 2.870 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCOC1(C)C ZINC000596547143 349937834 /nfs/dbraw/zinc/93/78/34/349937834.db2.gz WPHZLEKFIUBEBU-GFCCVEGCSA-N 0 0 294.351 2.968 20 5 CFBDRN C[C@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000596546543 349937851 /nfs/dbraw/zinc/93/78/51/349937851.db2.gz YWWVJXXWWLVINS-ZANVPECISA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1cc(=O)n(CCc2ccc(F)c(F)c2)cc1[N+](=O)[O-] ZINC000155917405 232231875 /nfs/dbraw/zinc/23/18/75/232231875.db2.gz SXTOBQZNNWFSID-UHFFFAOYSA-N 0 0 294.257 2.586 20 5 CFBDRN O=C(NCc1cccs1)c1ccc(F)cc1[N+](=O)[O-] ZINC000159314048 232243077 /nfs/dbraw/zinc/24/30/77/232243077.db2.gz WLXHBDOSDWZRRR-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN COC(=O)C[C@H](C)Sc1cc(C)ccc1[N+](=O)[O-] ZINC000084726156 178921319 /nfs/dbraw/zinc/92/13/19/178921319.db2.gz FRMGXSPFWUDJRW-VIFPVBQESA-N 0 0 269.322 2.947 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000596547166 349938320 /nfs/dbraw/zinc/93/83/20/349938320.db2.gz XHVAWHRUDQYXEA-SECBINFHSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1ccc(F)c(NC(=O)c2cccc([N+](=O)[O-])c2N)c1 ZINC000157281908 232236474 /nfs/dbraw/zinc/23/64/74/232236474.db2.gz HZQCYIVERMRLLT-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](Nc2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596548701 349938681 /nfs/dbraw/zinc/93/86/81/349938681.db2.gz BKHRUIRBDBSSFZ-KYZUINATSA-N 0 0 299.758 2.823 20 5 CFBDRN C[C@@H](c1ccccc1)[C@H](O)CNc1ccc([N+](=O)[O-])nc1 ZINC000596547968 349938878 /nfs/dbraw/zinc/93/88/78/349938878.db2.gz OFMMAOCCWPWQPN-SMDDNHRTSA-N 0 0 287.319 2.566 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C1CCCC1 ZINC000172095093 232268633 /nfs/dbraw/zinc/26/86/33/232268633.db2.gz OTVBZEFNGLNWGQ-VIFPVBQESA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c(O)c1 ZINC000172421905 232269574 /nfs/dbraw/zinc/26/95/74/232269574.db2.gz BCHXZCMOJNONRC-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN CN(CC1=CCSC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000596554915 349940856 /nfs/dbraw/zinc/94/08/56/349940856.db2.gz XWPKDOWMHSVPCA-UHFFFAOYSA-N 0 0 285.756 2.753 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@H]2C[C@H](O)C2)ccc1C(F)(F)F ZINC000596556577 349941062 /nfs/dbraw/zinc/94/10/62/349941062.db2.gz RCPRXHMCKKMZEJ-ZKCHVHJHSA-N 0 0 276.214 2.549 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H](O)C2)cc1C(F)(F)F ZINC000596555443 349940797 /nfs/dbraw/zinc/94/07/97/349940797.db2.gz BRIVCTPSGUNRDR-ZKCHVHJHSA-N 0 0 276.214 2.549 20 5 CFBDRN Cc1nc(NC(=O)[C@H](C)OCCC(C)C)ccc1[N+](=O)[O-] ZINC000175675721 232317230 /nfs/dbraw/zinc/31/72/30/232317230.db2.gz JVQQEQZZSOSSDE-NSHDSACASA-N 0 0 295.339 2.688 20 5 CFBDRN Cc1ccc(/C=C/C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)o1 ZINC000175677374 232318257 /nfs/dbraw/zinc/31/82/57/232318257.db2.gz FCJFJPFZKVEQMJ-VMPITWQZSA-N 0 0 287.275 2.852 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@]1(C)CCCO1 ZINC000128297801 187362687 /nfs/dbraw/zinc/36/26/87/187362687.db2.gz RKJGALSAAZXRRX-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccncc2)cc1[N+](=O)[O-] ZINC000177853127 232370162 /nfs/dbraw/zinc/37/01/62/232370162.db2.gz POIGCYOMBHQNSG-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccoc2)cc1[N+](=O)[O-] ZINC000177833841 232370288 /nfs/dbraw/zinc/37/02/88/232370288.db2.gz VLNFVMHXQGTUAS-SNVBAGLBSA-N 0 0 274.276 2.987 20 5 CFBDRN C[C@H](O)[C@H](Nc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000596567856 349943565 /nfs/dbraw/zinc/94/35/65/349943565.db2.gz WVOGNHWUYNMTJC-KWQFWETISA-N 0 0 279.321 2.585 20 5 CFBDRN C[C@]1(C(F)F)CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000596571497 349944563 /nfs/dbraw/zinc/94/45/63/349944563.db2.gz GNWZCCLPVREYFA-GFCCVEGCSA-N 0 0 290.241 2.594 20 5 CFBDRN Cc1ccccc1CSc1c([N+](=O)[O-])ncn1C ZINC000008319136 346223283 /nfs/dbraw/zinc/22/32/83/346223283.db2.gz HEYUOQFULGMQPA-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1c(F)c(F)cc(F)c1F ZINC000008337580 346223907 /nfs/dbraw/zinc/22/39/07/346223907.db2.gz QYVYQHMRGMXYBI-UHFFFAOYSA-N 0 0 291.160 2.677 20 5 CFBDRN Cc1cc(C)c(CNc2ccccc2[N+](=O)[O-])c(=O)[nH]1 ZINC000008375077 346224144 /nfs/dbraw/zinc/22/41/44/346224144.db2.gz HYAUKUYIMSNUGK-UHFFFAOYSA-N 0 0 273.292 2.512 20 5 CFBDRN O=C(NCCc1cccc(F)c1)c1ccc([N+](=O)[O-])s1 ZINC000010101395 346226796 /nfs/dbraw/zinc/22/67/96/346226796.db2.gz BPKPTMNTMAREJT-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])N1CCCCCCC1 ZINC000020497602 346244256 /nfs/dbraw/zinc/24/42/56/346244256.db2.gz OFNNPKBTWJINAN-UHFFFAOYSA-N 0 0 292.335 2.766 20 5 CFBDRN Cc1cccnc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000021893480 346247530 /nfs/dbraw/zinc/24/75/30/346247530.db2.gz ZQGGDEGWXNEXRP-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CCCCCC(=O)NCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000022262398 346249247 /nfs/dbraw/zinc/24/92/47/346249247.db2.gz QCYZTLIMSPORAY-UHFFFAOYSA-N 0 0 290.323 2.668 20 5 CFBDRN C[C@@H](CCc1ccco1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000022691495 346249784 /nfs/dbraw/zinc/24/97/84/346249784.db2.gz HQUDKTQTFHSIDU-NSHDSACASA-N 0 0 288.303 2.939 20 5 CFBDRN C[C@H]1CN(c2ccccc2[N+](=O)[O-])C[C@@](C)(C(F)F)O1 ZINC000596575986 349946328 /nfs/dbraw/zinc/94/63/28/349946328.db2.gz XQFORBFBZTVJEN-ZANVPECISA-N 0 0 286.278 2.844 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)CCO1 ZINC000023888131 346253764 /nfs/dbraw/zinc/25/37/64/346253764.db2.gz DYKYDGFORZRTJO-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H](c1ccccc1)C1CC1 ZINC000024027897 346253852 /nfs/dbraw/zinc/25/38/52/346253852.db2.gz DFQCMCQEJORZGJ-HNNXBMFYSA-N 0 0 299.330 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccccc3)C2)nc1 ZINC000023888595 346253865 /nfs/dbraw/zinc/25/38/65/346253865.db2.gz OWJXHSUCVMFJKX-CQSZACIVSA-N 0 0 285.303 2.568 20 5 CFBDRN C[C@@H]1CN(c2sccc2[N+](=O)[O-])C[C@](C)(C(F)F)O1 ZINC000596573016 349945406 /nfs/dbraw/zinc/94/54/06/349945406.db2.gz JLCPZNOAXAJYSU-RDDDGLTNSA-N 0 0 292.307 2.905 20 5 CFBDRN Cc1ccoc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029889161 346284797 /nfs/dbraw/zinc/28/47/97/346284797.db2.gz AKHHTWSBYCZQEM-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C2CC2)c1 ZINC000025772402 346261905 /nfs/dbraw/zinc/26/19/05/346261905.db2.gz ANAMONPHPHGRNW-MRVPVSSYSA-N 0 0 280.349 2.845 20 5 CFBDRN CC(C)C(=O)N1CCC(Nc2ccccc2[N+](=O)[O-])CC1 ZINC000026716619 346265945 /nfs/dbraw/zinc/26/59/45/346265945.db2.gz ZXGPQALRHHUONF-UHFFFAOYSA-N 0 0 291.351 2.654 20 5 CFBDRN CC(C)c1noc([C@@H](C)Oc2cccnc2[N+](=O)[O-])n1 ZINC000027779303 346272106 /nfs/dbraw/zinc/27/21/06/346272106.db2.gz XVJQLYCMISMHIZ-MRVPVSSYSA-N 0 0 278.268 2.636 20 5 CFBDRN CC(C)(C)n1nnnc1SCc1cccc([N+](=O)[O-])c1 ZINC000027808475 346272204 /nfs/dbraw/zinc/27/22/04/346272204.db2.gz DISIMNRTJFSHNQ-UHFFFAOYSA-N 0 0 293.352 2.629 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCCc1nc2ccccc2[nH]1 ZINC000028625129 346276137 /nfs/dbraw/zinc/27/61/37/346276137.db2.gz HADMZSUDEDYSGA-UHFFFAOYSA-N 0 0 297.318 2.911 20 5 CFBDRN CCc1noc(COc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000029141339 346278908 /nfs/dbraw/zinc/27/89/08/346278908.db2.gz JFKUFRRREHYREW-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN C[C@@H]1c2cccn2CCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000029379390 346280528 /nfs/dbraw/zinc/28/05/28/346280528.db2.gz BLDVNSWUNNPCKS-GFCCVEGCSA-N 0 0 299.330 2.542 20 5 CFBDRN Cc1ccc(C(=O)NCC2CCCC2)cc1[N+](=O)[O-] ZINC000031985651 346299447 /nfs/dbraw/zinc/29/94/47/346299447.db2.gz DIWXUCOPLRUKDR-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2C)n1C ZINC000032203255 346301529 /nfs/dbraw/zinc/30/15/29/346301529.db2.gz VOFDPILORPOJHK-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CSc1ccc(C(=O)NC[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000032382426 346303090 /nfs/dbraw/zinc/30/30/90/346303090.db2.gz YHXWXASGQKIKMD-SCZZXKLOSA-N 0 0 280.349 2.703 20 5 CFBDRN Cc1noc(C)c1CCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000032517300 346305081 /nfs/dbraw/zinc/30/50/81/346305081.db2.gz ZCYYKJRVQVCSRK-UHFFFAOYSA-N 0 0 289.291 2.771 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000030378152 346287657 /nfs/dbraw/zinc/28/76/57/346287657.db2.gz OGFNRYIZBBUZDG-PWSUYJOCSA-N 0 0 279.340 2.584 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCCF)n2)s1 ZINC000596593434 349951330 /nfs/dbraw/zinc/95/13/30/349951330.db2.gz RYSGNWKKROAXOP-UHFFFAOYSA-N 0 0 257.246 2.608 20 5 CFBDRN COC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] ZINC000034427570 346316606 /nfs/dbraw/zinc/31/66/06/346316606.db2.gz UWEWAPXGCUAHRA-UHFFFAOYSA-N 0 0 261.686 2.513 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)c1nccs1 ZINC000041841552 346355924 /nfs/dbraw/zinc/35/59/24/346355924.db2.gz GJDDAPZGBHADDW-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cccc2[nH]ncc21 ZINC000042009140 346358939 /nfs/dbraw/zinc/35/89/39/346358939.db2.gz KMMKHEILJGSARJ-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000042565922 346367718 /nfs/dbraw/zinc/36/77/18/346367718.db2.gz VCMJTXFYLJASPB-DTORHVGOSA-N 0 0 268.338 2.774 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000042918678 346373736 /nfs/dbraw/zinc/37/37/36/346373736.db2.gz BBNVNTFAGFXVLP-HTRCEHHLSA-N 0 0 286.234 2.791 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccsc1 ZINC000042936271 346373816 /nfs/dbraw/zinc/37/38/16/346373816.db2.gz RNSJDRHHQDTOOE-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN COC(=O)c1ccc(Sc2ncccc2[N+](=O)[O-])cc1 ZINC000042634589 346368829 /nfs/dbraw/zinc/36/88/29/346368829.db2.gz MSCLQMGTTNEQBE-UHFFFAOYSA-N 0 0 290.300 2.928 20 5 CFBDRN CCCCOCCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000044877191 346401020 /nfs/dbraw/zinc/40/10/20/346401020.db2.gz JQVXPBXADSBUBG-UHFFFAOYSA-N 0 0 286.353 2.593 20 5 CFBDRN CCCN(CCC)C(=O)c1csc([N+](=O)[O-])c1 ZINC000044470176 346394162 /nfs/dbraw/zinc/39/41/62/346394162.db2.gz LJOSQEAYEAYPAI-UHFFFAOYSA-N 0 0 256.327 2.919 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000045486245 346410171 /nfs/dbraw/zinc/41/01/71/346410171.db2.gz RKFSMPLWBIBHJP-UHFFFAOYSA-N 0 0 262.309 3.000 20 5 CFBDRN Cc1cc(N(C)C)ccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000046673930 346431476 /nfs/dbraw/zinc/43/14/76/346431476.db2.gz BJIMUKYBTNIHNC-UHFFFAOYSA-N 0 0 289.291 2.815 20 5 CFBDRN Cc1ccc(CN(C(=O)c2ccc([N+](=O)[O-])o2)C2CC2)o1 ZINC000046282958 346425037 /nfs/dbraw/zinc/42/50/37/346425037.db2.gz NGPWCWZECOXHFO-UHFFFAOYSA-N 0 0 290.275 2.894 20 5 CFBDRN CCCn1nccc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000596629098 349962035 /nfs/dbraw/zinc/96/20/35/349962035.db2.gz CBPPFOAJAQVARX-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN Cc1ccsc1CN(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000047531741 346453598 /nfs/dbraw/zinc/45/35/98/346453598.db2.gz YJOOQPPLSCPTQJ-UHFFFAOYSA-N 0 0 280.305 2.830 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccsc1 ZINC000047835879 346458889 /nfs/dbraw/zinc/45/88/89/346458889.db2.gz QBFBBSNTJDYHEV-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CO[C@@H](C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)C1CCC1 ZINC000596633533 349962673 /nfs/dbraw/zinc/96/26/73/349962673.db2.gz JSXNUPQBXPVJRZ-CQSZACIVSA-N 0 0 292.335 2.965 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000596633280 349963007 /nfs/dbraw/zinc/96/30/07/349963007.db2.gz BNGZGTSRXZTUFF-NWDGAFQWSA-N 0 0 292.335 2.965 20 5 CFBDRN CC[C@H]1CCCN(C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000048202088 346469445 /nfs/dbraw/zinc/46/94/45/346469445.db2.gz PLJCQWVYFYKWSJ-LBPRGKRZSA-N 0 0 292.335 2.622 20 5 CFBDRN CC1CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)CC1 ZINC000048298011 346471361 /nfs/dbraw/zinc/47/13/61/346471361.db2.gz HHNAKMDJBNIJKE-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2COC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000596635802 349963761 /nfs/dbraw/zinc/96/37/61/349963761.db2.gz OONMEXBPPWJUEA-SNVBAGLBSA-N 0 0 293.323 2.592 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H]1c1ccccn1 ZINC000048962551 346478129 /nfs/dbraw/zinc/47/81/29/346478129.db2.gz YGUFCYNGBVVNPM-GFCCVEGCSA-N 0 0 270.292 2.726 20 5 CFBDRN O=C1CCCCCN1CCCNc1ccc([N+](=O)[O-])s1 ZINC000048999465 346478466 /nfs/dbraw/zinc/47/84/66/346478466.db2.gz BBBCZBZCCVFSJQ-UHFFFAOYSA-N 0 0 297.380 2.861 20 5 CFBDRN CN(Cc1cccc(Cl)c1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051529816 346505248 /nfs/dbraw/zinc/50/52/48/346505248.db2.gz SUCAADLMCVYSPL-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccc(F)cc1F ZINC000051869123 346507278 /nfs/dbraw/zinc/50/72/78/346507278.db2.gz QZGXXRVEGNSYAJ-SSDOTTSWSA-N 0 0 295.245 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCSCC2)c2ncccc12 ZINC000052361058 346520779 /nfs/dbraw/zinc/52/07/79/346520779.db2.gz KDYUDTLKJCRMKB-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN Cc1cc(-c2cc([N+](=O)[O-])ccc2CCO)ccc1CO ZINC000596647461 349967889 /nfs/dbraw/zinc/96/78/89/349967889.db2.gz LRPCXWKJZMMFGJ-UHFFFAOYSA-N 0 0 287.315 2.597 20 5 CFBDRN Cc1c(CN2C[C@H](C)O[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000051114785 346499702 /nfs/dbraw/zinc/49/97/02/346499702.db2.gz ZRBZJVOKKCIRAW-QWRGUYRKSA-N 0 0 264.325 2.512 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@@H]1CCCO1 ZINC000085620714 179105865 /nfs/dbraw/zinc/10/58/65/179105865.db2.gz IAPWVXKSPVGCKW-NSHDSACASA-N 0 0 293.323 2.512 20 5 CFBDRN O=C(NCCc1ccco1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052606567 346525285 /nfs/dbraw/zinc/52/52/85/346525285.db2.gz ODPFFQWBLJUEGN-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN CCC[C@H](C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052605021 346525413 /nfs/dbraw/zinc/52/54/13/346525413.db2.gz PJECMKFBUSJRPJ-VIFPVBQESA-N 0 0 275.308 2.995 20 5 CFBDRN C[C@H](Nc1ccccc1[N+](=O)[O-])c1nnc2ccccn21 ZINC000052720823 346527681 /nfs/dbraw/zinc/52/76/81/346527681.db2.gz PZAOOKJACXIRDO-JTQLQIEISA-N 0 0 283.291 2.811 20 5 CFBDRN O=C(Nc1ccc(-c2cc[nH]n2)cc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000052798335 346528041 /nfs/dbraw/zinc/52/80/41/346528041.db2.gz VJAVVGQJVCPCNX-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN Cc1cc(NCCCNc2ncccn2)ccc1[N+](=O)[O-] ZINC000053574362 346550253 /nfs/dbraw/zinc/55/02/53/346550253.db2.gz MYELJJSORDXZOH-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN CCC1CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000054612402 346573612 /nfs/dbraw/zinc/57/36/12/346573612.db2.gz RASKLMCYEOPZGB-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCc3c2cccc3F)c1[N+](=O)[O-] ZINC000057142208 346619968 /nfs/dbraw/zinc/61/99/68/346619968.db2.gz WQMKJWKGKCRMDO-GFCCVEGCSA-N 0 0 290.298 2.875 20 5 CFBDRN O=C(NCC1(c2ccccc2)CCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000054719595 346575793 /nfs/dbraw/zinc/57/57/93/346575793.db2.gz AMBRCVVYFHWUIJ-UHFFFAOYSA-N 0 0 299.330 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCOC[C@H]2C)c1 ZINC000277311193 301856137 /nfs/dbraw/zinc/85/61/37/301856137.db2.gz BJLJRAXJBOQOFB-MNOVXSKESA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000056315756 346606346 /nfs/dbraw/zinc/60/63/46/346606346.db2.gz IHTCCSXGDZSIQO-LBPRGKRZSA-N 0 0 286.287 2.914 20 5 CFBDRN CN(Cc1ccccc1)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000056460248 346608742 /nfs/dbraw/zinc/60/87/42/346608742.db2.gz ZJZIJGUTIFSTKE-UHFFFAOYSA-N 0 0 299.330 2.936 20 5 CFBDRN CCCCN(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000056455076 346608775 /nfs/dbraw/zinc/60/87/75/346608775.db2.gz ZLOLOBIYRIWQGO-UHFFFAOYSA-N 0 0 275.308 2.948 20 5 CFBDRN O=C(NCC1CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000056992740 346616862 /nfs/dbraw/zinc/61/68/62/346616862.db2.gz RHQPASWTWMLROO-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000058733357 346649280 /nfs/dbraw/zinc/64/92/80/346649280.db2.gz KELRAFBAXQEDDR-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)N1CCCC[C@H]1C ZINC000059248243 346655639 /nfs/dbraw/zinc/65/56/39/346655639.db2.gz GEFXGZHSKBNNNC-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])Cn1ccnc1 ZINC000060380101 346664471 /nfs/dbraw/zinc/66/44/71/346664471.db2.gz FGGAKCJVLUVYAB-JTQLQIEISA-N 0 0 278.287 2.679 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000060625251 346670255 /nfs/dbraw/zinc/67/02/55/346670255.db2.gz GGVUIEWXUQKFBN-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN CSCCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000058163205 346641994 /nfs/dbraw/zinc/64/19/94/346641994.db2.gz JEMNNBMXYZSAGH-UHFFFAOYSA-N 0 0 254.311 2.595 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000063813826 346711220 /nfs/dbraw/zinc/71/12/20/346711220.db2.gz RJGMRXWNNILVPF-ZDUSSCGKSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cc2cc(NC(=O)c3n[nH]c(C)c3[N+](=O)[O-])ccc2[nH]1 ZINC000064336605 346718251 /nfs/dbraw/zinc/71/82/51/346718251.db2.gz VBJYFYQOGAEBKI-UHFFFAOYSA-N 0 0 299.290 2.668 20 5 CFBDRN O=C(CC1CCCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000064777214 346725713 /nfs/dbraw/zinc/72/57/13/346725713.db2.gz UQSPALQZPSFHMC-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CC(C)n1cc(NCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000061682781 346681736 /nfs/dbraw/zinc/68/17/36/346681736.db2.gz WFFQJKICOKERJE-UHFFFAOYSA-N 0 0 260.297 2.984 20 5 CFBDRN Cc1noc(C)c1CNc1ccsc1[N+](=O)[O-] ZINC000063265205 346698671 /nfs/dbraw/zinc/69/86/71/346698671.db2.gz VXNOLYGRQOXJLU-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cn2)C[C@@H](c2ccccc2)O1 ZINC000063254964 346698713 /nfs/dbraw/zinc/69/87/13/346698713.db2.gz ZVZZNMOZDJRQCY-WFASDCNBSA-N 0 0 299.330 2.956 20 5 CFBDRN CC(C)n1cc(NC(=O)c2ccccc2[N+](=O)[O-])cn1 ZINC000066411859 346759667 /nfs/dbraw/zinc/75/96/67/346759667.db2.gz PHOYVBKZJYULNL-UHFFFAOYSA-N 0 0 274.280 2.625 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccccn1 ZINC000065842276 346742320 /nfs/dbraw/zinc/74/23/20/346742320.db2.gz GTMCMWRVEZSLBD-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN COCCc1ccc(Oc2ccncc2[N+](=O)[O-])cc1 ZINC000066796415 346779793 /nfs/dbraw/zinc/77/97/93/346779793.db2.gz RSZGFFQGZIWIMD-UHFFFAOYSA-N 0 0 274.276 2.971 20 5 CFBDRN CCC[C@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067070978 346790664 /nfs/dbraw/zinc/79/06/64/346790664.db2.gz VLXWQAHUSFFDMT-JTQLQIEISA-N 0 0 250.298 2.647 20 5 CFBDRN CCC[C@@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067070976 346790983 /nfs/dbraw/zinc/79/09/83/346790983.db2.gz VLXWQAHUSFFDMT-SNVBAGLBSA-N 0 0 250.298 2.647 20 5 CFBDRN CC(C)c1cccc(Oc2ncc([N+](=O)[O-])c(N)n2)c1 ZINC000076267200 347131285 /nfs/dbraw/zinc/13/12/85/347131285.db2.gz BBWHUOAYOHFJGP-UHFFFAOYSA-N 0 0 274.280 2.883 20 5 CFBDRN CC(C)(C)CCCNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000077226815 347177884 /nfs/dbraw/zinc/17/78/84/347177884.db2.gz PYVFSLIFBKHKCV-UHFFFAOYSA-N 0 0 279.340 2.932 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCCOC[C@H]1CCOC1 ZINC000077229979 347178219 /nfs/dbraw/zinc/17/82/19/347178219.db2.gz FPXZZSRAXXAABV-LLVKDONJSA-N 0 0 298.314 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccccc2Cl)nc1 ZINC000077231297 347178410 /nfs/dbraw/zinc/17/84/10/347178410.db2.gz NFDQNVSKYQUVLN-GFCCVEGCSA-N 0 0 293.710 2.789 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000077229111 347178693 /nfs/dbraw/zinc/17/86/93/347178693.db2.gz CKSWWIGFHXGQLE-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCCO)c2ccccc2)cn1 ZINC000077238055 347180197 /nfs/dbraw/zinc/18/01/97/347180197.db2.gz UALBGTLSKRTKJF-AWEZNQCLSA-N 0 0 287.319 2.916 20 5 CFBDRN CCOC(=O)c1ccnc(N2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000077902737 347218898 /nfs/dbraw/zinc/21/88/98/347218898.db2.gz WNKRIROAPAKLOQ-SNVBAGLBSA-N 0 0 293.323 2.545 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000078000533 347224384 /nfs/dbraw/zinc/22/43/84/347224384.db2.gz NTLYOVUIHQGWII-DTWKUNHWSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1ncsc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148584 347230784 /nfs/dbraw/zinc/23/07/84/347230784.db2.gz IOWULVKPMXSPAM-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN CC(C)N(C(=O)CCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000078258319 347235056 /nfs/dbraw/zinc/23/50/56/347235056.db2.gz PIRATRDQGQRJFV-UHFFFAOYSA-N 0 0 291.351 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@@H]3CCC[C@@H]32)cc1 ZINC000078266248 347236928 /nfs/dbraw/zinc/23/69/28/347236928.db2.gz IHVCEDXITLKNCA-UONOGXRCSA-N 0 0 262.309 2.743 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CCC[C@H](O)C2)c([N+](=O)[O-])c1 ZINC000078304603 347239268 /nfs/dbraw/zinc/23/92/68/347239268.db2.gz BDYJMVAGIZFIIU-YPMHNXCESA-N 0 0 292.335 2.760 20 5 CFBDRN CC(C)(CO)CCCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000078303691 347239619 /nfs/dbraw/zinc/23/96/19/347239619.db2.gz BRSAZDYZJHCYJO-UHFFFAOYSA-N 0 0 297.311 2.714 20 5 CFBDRN CC[C@H](C)Nc1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000078359652 347245970 /nfs/dbraw/zinc/24/59/70/347245970.db2.gz CUBJZNSIIPDGBR-JTQLQIEISA-N 0 0 279.340 2.943 20 5 CFBDRN CC(C)Oc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)cn1 ZINC000079222516 347294086 /nfs/dbraw/zinc/29/40/86/347294086.db2.gz PYOSZHWMRHSGIK-UHFFFAOYSA-N 0 0 291.263 2.622 20 5 CFBDRN CC(C)[C@H]1CN(c2ccncc2[N+](=O)[O-])CCS1 ZINC000078577843 347262522 /nfs/dbraw/zinc/26/25/22/347262522.db2.gz ITKRKTRJFNXCIO-GFCCVEGCSA-N 0 0 267.354 2.568 20 5 CFBDRN Cc1cnc(NCCOc2cccc(F)c2)c([N+](=O)[O-])c1 ZINC000078630191 347266314 /nfs/dbraw/zinc/26/63/14/347266314.db2.gz BMKLLIPZFVOMCP-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN Cc1cnc(NC[C@@H](O)c2ccccc2F)c([N+](=O)[O-])c1 ZINC000078629848 347266582 /nfs/dbraw/zinc/26/65/82/347266582.db2.gz RZBKBHNZSOJJRS-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN CC(=O)c1ccc(NCC(C)(C)CCO)c([N+](=O)[O-])c1 ZINC000078688713 347270673 /nfs/dbraw/zinc/27/06/73/347270673.db2.gz ZYKJSCACHNHUFZ-UHFFFAOYSA-N 0 0 280.324 2.618 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000078727498 347272295 /nfs/dbraw/zinc/27/22/95/347272295.db2.gz SLDYXXHBFLGFOT-QMMMGPOBSA-N 0 0 256.327 2.917 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000078807211 347275845 /nfs/dbraw/zinc/27/58/45/347275845.db2.gz WOBDMCCXUFKUJU-LLVKDONJSA-N 0 0 264.325 2.784 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1CCCC[C@@H]1O ZINC000086016003 179216107 /nfs/dbraw/zinc/21/61/07/179216107.db2.gz BKQJZEYOMWUXAJ-GWCFXTLKSA-N 0 0 250.298 2.558 20 5 CFBDRN CC1CC(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000080043428 347339039 /nfs/dbraw/zinc/33/90/39/347339039.db2.gz WLEVEJNCZILKTM-UHFFFAOYSA-N 0 0 276.336 2.787 20 5 CFBDRN C[C@@H]1C[C@H]1c1ccc(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)o1 ZINC000079409353 347302568 /nfs/dbraw/zinc/30/25/68/347302568.db2.gz VSQSGHZWNDQTFW-LDYMZIIASA-N 0 0 289.291 2.569 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000079630841 347317100 /nfs/dbraw/zinc/31/71/00/347317100.db2.gz IPDWMISQFDTNOT-GFCCVEGCSA-N 0 0 294.376 2.842 20 5 CFBDRN CC(C)(C)c1cnc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)s1 ZINC000081234419 347407830 /nfs/dbraw/zinc/40/78/30/347407830.db2.gz IQXBGHXMKKKANL-UHFFFAOYSA-N 0 0 294.336 2.929 20 5 CFBDRN O=C(NCC(C1CC1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000081243644 347408785 /nfs/dbraw/zinc/40/87/85/347408785.db2.gz KEEUOPSDCNZWPO-UHFFFAOYSA-N 0 0 274.320 2.761 20 5 CFBDRN Cc1cc(NCCOc2cccc(F)c2)ncc1[N+](=O)[O-] ZINC000080598256 347368908 /nfs/dbraw/zinc/36/89/08/347368908.db2.gz ZCKZIEIMOBNGLI-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN COC(=O)N1CCC[C@@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000080610904 347369492 /nfs/dbraw/zinc/36/94/92/347369492.db2.gz SPEDXMMZKIAGBV-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CO[C@H](CNc1cc(C)c([N+](=O)[O-])cn1)C(C)(C)C ZINC000080606087 347369576 /nfs/dbraw/zinc/36/95/76/347369576.db2.gz UOKVJQIPZARMSO-LLVKDONJSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1ccc(NCCOc2cccnc2)c([N+](=O)[O-])c1 ZINC000080640087 347371606 /nfs/dbraw/zinc/37/16/06/347371606.db2.gz KYLMWUHHTXFDHT-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN Cc1ccc(N2C[C@H]3CCC[C@@H](C2)C3O)c([N+](=O)[O-])c1 ZINC000080641601 347371873 /nfs/dbraw/zinc/37/18/73/347371873.db2.gz YBEYKTMTSZOAFR-ODOQXGPZSA-N 0 0 276.336 2.500 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCC[C@H](O)C2)c1 ZINC000080648572 347371910 /nfs/dbraw/zinc/37/19/10/347371910.db2.gz IXHZQOMHZOAUJD-ZJUUUORDSA-N 0 0 298.314 2.706 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1C[C@@H]2[C@H](C1)C2(C)C ZINC000080650741 347372803 /nfs/dbraw/zinc/37/28/03/347372803.db2.gz ABSJHYXHDSJYPL-BETUJISGSA-N 0 0 276.336 2.833 20 5 CFBDRN CC(C)(C)N1C[C@H](Nc2cccc(F)c2[N+](=O)[O-])CC1=O ZINC000080674600 347373258 /nfs/dbraw/zinc/37/32/58/347373258.db2.gz RDYZZGLKQLMJAN-SECBINFHSA-N 0 0 295.314 2.545 20 5 CFBDRN CCOC(=O)[C@H](CC)Sc1ncc(C)cc1[N+](=O)[O-] ZINC000080678242 347374032 /nfs/dbraw/zinc/37/40/32/347374032.db2.gz ILWKXLDYNOUYRX-JTQLQIEISA-N 0 0 284.337 2.732 20 5 CFBDRN CCOC(=O)[C@@H](CC)Sc1ncc(C)cc1[N+](=O)[O-] ZINC000080678247 347374148 /nfs/dbraw/zinc/37/41/48/347374148.db2.gz ILWKXLDYNOUYRX-SNVBAGLBSA-N 0 0 284.337 2.732 20 5 CFBDRN COC[C@H](Cc1ccccc1)Nc1ccc([N+](=O)[O-])cn1 ZINC000080683905 347374927 /nfs/dbraw/zinc/37/49/27/347374927.db2.gz DFESQHGUXWBAML-ZDUSSCGKSA-N 0 0 287.319 2.659 20 5 CFBDRN CN(C)c1nc(CNc2ccc([N+](=O)[O-])cc2F)cs1 ZINC000080686531 347375510 /nfs/dbraw/zinc/37/55/10/347375510.db2.gz JWASANXUIKALBO-UHFFFAOYSA-N 0 0 296.327 2.869 20 5 CFBDRN Cc1ccnc(N2CCC[C@]3(CCCOC3)C2)c1[N+](=O)[O-] ZINC000080706623 347377101 /nfs/dbraw/zinc/37/71/01/347377101.db2.gz YKLRNSANNIBVPJ-HNNXBMFYSA-N 0 0 291.351 2.695 20 5 CFBDRN COC(=O)/C=C/c1ccc(NC2CC(C)C2)c([N+](=O)[O-])c1 ZINC000080707717 347377963 /nfs/dbraw/zinc/37/79/63/347377963.db2.gz JNIMFAFFJWSLET-GQCTYLIASA-N 0 0 290.319 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCc3c2cccc3O)nc1 ZINC000080758095 347378542 /nfs/dbraw/zinc/37/85/42/347378542.db2.gz BMQSVHVLJYXBSO-LBPRGKRZSA-N 0 0 271.276 2.795 20 5 CFBDRN CC(=O)c1ccc(NCc2ncc(C)o2)c([N+](=O)[O-])c1 ZINC000080758992 347378698 /nfs/dbraw/zinc/37/86/98/347378698.db2.gz WTKHAZKCZFZDKK-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Cc1nc2[nH]ccc2c(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000080970450 347390846 /nfs/dbraw/zinc/39/08/46/347390846.db2.gz MCOJTIAWHYVMHR-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])nc1)c1ccc(C)o1 ZINC000081009905 347396126 /nfs/dbraw/zinc/39/61/26/347396126.db2.gz PYLAMIYUJUHJPI-NSHDSACASA-N 0 0 277.280 2.691 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N1CCC12CCC2 ZINC000081416296 347417777 /nfs/dbraw/zinc/41/77/77/347417777.db2.gz DHSRDCUDXNTGSX-HWKANZROSA-N 0 0 290.294 2.902 20 5 CFBDRN C[C@H](CO)CSCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000083092439 347448058 /nfs/dbraw/zinc/44/80/58/347448058.db2.gz USJWTVLLHCFBJB-MRVPVSSYSA-N 0 0 282.321 2.598 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000086703251 347488327 /nfs/dbraw/zinc/48/83/27/347488327.db2.gz FOYDQDWAHCEYLY-GFCCVEGCSA-N 0 0 256.277 2.817 20 5 CFBDRN Cc1c(CS[C@H](C)CCO)cccc1[N+](=O)[O-] ZINC000088057298 347499179 /nfs/dbraw/zinc/49/91/79/347499179.db2.gz FVFCDCRFYOMEFS-SECBINFHSA-N 0 0 255.339 2.907 20 5 CFBDRN Cc1nn(C)c(NC2C[C@@H](C)C[C@H](C)C2)c1[N+](=O)[O-] ZINC000084439024 347463809 /nfs/dbraw/zinc/46/38/09/347463809.db2.gz YXOHKAOMXIOVGL-IUCAKERBSA-N 0 0 266.345 2.873 20 5 CFBDRN CCC1(CNC(=O)Cc2cccc([N+](=O)[O-])c2C)CC1 ZINC000128536787 187376038 /nfs/dbraw/zinc/37/60/38/187376038.db2.gz CICQDDUVXKAIBM-UHFFFAOYSA-N 0 0 276.336 2.752 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCN(c3ccccn3)CC2)c1 ZINC000088698458 347507013 /nfs/dbraw/zinc/50/70/13/347507013.db2.gz FRFOBSLSXPYIND-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CNC(=O)c1cccc(CNc2cc(C)ccc2[N+](=O)[O-])c1 ZINC000088700457 347507146 /nfs/dbraw/zinc/50/71/46/347507146.db2.gz FBRFRYFLQRXLKW-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCNC(=O)c2ccccc2)c1 ZINC000088700183 347507353 /nfs/dbraw/zinc/50/73/53/347507353.db2.gz SPFLYCKPKZFBDS-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN COCCCn1ccc(Nc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000088725699 347508689 /nfs/dbraw/zinc/50/86/89/347508689.db2.gz XTCOHTPQRKSFHF-UHFFFAOYSA-N 0 0 290.323 2.880 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(c1cncc(Cl)n1)CC2 ZINC000088763877 347510068 /nfs/dbraw/zinc/51/00/68/347510068.db2.gz OJMNZLVSCFIYFI-UHFFFAOYSA-N 0 0 290.710 2.601 20 5 CFBDRN CCOC(=O)CC[C@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000089656940 347525210 /nfs/dbraw/zinc/52/52/10/347525210.db2.gz SUYDSIOPKYEQAK-JTQLQIEISA-N 0 0 266.297 2.739 20 5 CFBDRN O=C(CCC(F)(F)F)NCCc1cccc([N+](=O)[O-])c1 ZINC000089835667 347529338 /nfs/dbraw/zinc/52/93/38/347529338.db2.gz UWMXFTBRGBIPNL-UHFFFAOYSA-N 0 0 290.241 2.596 20 5 CFBDRN COc1ccnc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])c1 ZINC000089980456 347533590 /nfs/dbraw/zinc/53/35/90/347533590.db2.gz JSXFQALSEKGKAP-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2CO)nc1-c1ccccc1 ZINC000090090519 347540669 /nfs/dbraw/zinc/54/06/69/347540669.db2.gz HEFIGSWUKDVFMD-ZDUSSCGKSA-N 0 0 299.330 2.618 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000128574478 187377769 /nfs/dbraw/zinc/37/77/69/187377769.db2.gz WWYWTWSLGNVTAA-LBPRGKRZSA-N 0 0 294.351 2.838 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000128574652 187377969 /nfs/dbraw/zinc/37/79/69/187377969.db2.gz WWYWTWSLGNVTAA-GFCCVEGCSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cc1)Cn1ccnc1 ZINC000091454398 347586843 /nfs/dbraw/zinc/58/68/43/347586843.db2.gz BZBGSQYPLQRZRM-NSHDSACASA-N 0 0 260.297 2.540 20 5 CFBDRN CC[C@@H](C)C[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000091795990 347600008 /nfs/dbraw/zinc/60/00/08/347600008.db2.gz ZZNGNJAPRJHNBY-SNVBAGLBSA-N 0 0 252.314 2.778 20 5 CFBDRN Cc1cc(N[C@@H]2c3ccccc3C[C@@H]2O)ccc1[N+](=O)[O-] ZINC000092608609 347634576 /nfs/dbraw/zinc/63/45/76/347634576.db2.gz VXASXVLFFFTDBE-JKSUJKDBSA-N 0 0 284.315 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Sc1nnc(C(C)C)n1C ZINC000092641390 347635656 /nfs/dbraw/zinc/63/56/56/347635656.db2.gz GUGBYHRAVBXYLY-UHFFFAOYSA-N 0 0 293.352 2.701 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cnn(C(C)(C)C)c1 ZINC000092624391 347635680 /nfs/dbraw/zinc/63/56/80/347635680.db2.gz WSFBYXQBAWRCKY-UHFFFAOYSA-N 0 0 275.312 2.993 20 5 CFBDRN COCCSc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092662633 347638523 /nfs/dbraw/zinc/63/85/23/347638523.db2.gz QFSUZWQAHWLCPI-UHFFFAOYSA-N 0 0 279.264 2.935 20 5 CFBDRN O=c1ccn(Cc2cccc(F)c2Cl)cc1[N+](=O)[O-] ZINC000092856295 347649376 /nfs/dbraw/zinc/64/93/76/347649376.db2.gz QDLYXYMCPFECPQ-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccc(Cl)cc2)nc1 ZINC000092960966 347654924 /nfs/dbraw/zinc/65/49/24/347654924.db2.gz QKVYOSPBMZRQNR-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN CSCC[C@@H](C)[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000093558550 347679823 /nfs/dbraw/zinc/67/98/23/347679823.db2.gz KUFUJOSMDBOFBF-SNVBAGLBSA-N 0 0 284.381 2.874 20 5 CFBDRN Cc1nc(CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)cs1 ZINC000094771769 347696096 /nfs/dbraw/zinc/69/60/96/347696096.db2.gz RRGIMNZTLSEPFZ-UHFFFAOYSA-N 0 0 289.320 2.848 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCNc1nc2ccccc2[nH]1 ZINC000096638679 347702953 /nfs/dbraw/zinc/70/29/53/347702953.db2.gz RLJGQJIWLGHMND-UHFFFAOYSA-N 0 0 297.318 2.995 20 5 CFBDRN Cc1cccc(C(=O)Nc2cnc(C3CC3)nc2)c1[N+](=O)[O-] ZINC000103020909 347721889 /nfs/dbraw/zinc/72/18/89/347721889.db2.gz VLOVCSUARCEJBY-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1ccnc(N[C@H](C)C[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000115516733 347780355 /nfs/dbraw/zinc/78/03/55/347780355.db2.gz QBPWCTCEAARYHT-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN C[S@](=O)c1cccc(NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000121926076 347813323 /nfs/dbraw/zinc/81/33/23/347813323.db2.gz FGDBYZNBCOZFMI-HXUWFJFHSA-N 0 0 290.344 2.944 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000122614664 347816320 /nfs/dbraw/zinc/81/63/20/347816320.db2.gz IUNOLOBIRFWLFD-HZMBPMFUSA-N 0 0 288.307 2.846 20 5 CFBDRN CC(C)n1cc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000127475877 347841519 /nfs/dbraw/zinc/84/15/19/347841519.db2.gz UMIRZFFNTYPNHM-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCCC1CC1 ZINC000127909506 347845835 /nfs/dbraw/zinc/84/58/35/347845835.db2.gz PWLYHLFOIHDEBG-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1cc(C(=O)NCCCC2CC2)ccc1[N+](=O)[O-] ZINC000127892638 347845918 /nfs/dbraw/zinc/84/59/18/347845918.db2.gz JUZXWNOLCDYZHI-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC(C)(C)C ZINC000130295977 347869332 /nfs/dbraw/zinc/86/93/32/347869332.db2.gz XXTCZOCUFVRDIJ-UHFFFAOYSA-N 0 0 251.286 2.823 20 5 CFBDRN Cc1cccc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000130271668 347869374 /nfs/dbraw/zinc/86/93/74/347869374.db2.gz WAJLNQQSYJVYNF-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CCCCOCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000086625279 179312089 /nfs/dbraw/zinc/31/20/89/179312089.db2.gz JWWAINDXTDQWAU-UHFFFAOYSA-N 0 0 280.324 2.967 20 5 CFBDRN O=C(NCC[C@H]1CCCO1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000134559945 347899841 /nfs/dbraw/zinc/89/98/41/347899841.db2.gz BIPGQBHJPFBFKK-GFCCVEGCSA-N 0 0 298.726 2.547 20 5 CFBDRN COc1cccc(NCC2(O)CCC(C)CC2)c1[N+](=O)[O-] ZINC000134924044 347902377 /nfs/dbraw/zinc/90/23/77/347902377.db2.gz SGURQQPRECCOPI-UHFFFAOYSA-N 0 0 294.351 2.957 20 5 CFBDRN O=C(NCCCC1CC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000131812065 347881621 /nfs/dbraw/zinc/88/16/21/347881621.db2.gz LIIFNAAWOGSSBX-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cn2C)c(F)c1 ZINC000138077545 347914734 /nfs/dbraw/zinc/91/47/34/347914734.db2.gz VZBUVVBNHUZDTH-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)ccc1F ZINC000138081211 347915039 /nfs/dbraw/zinc/91/50/39/347915039.db2.gz KRWODOAEWGHYFU-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1sccc1Br ZINC000139704637 347922051 /nfs/dbraw/zinc/92/20/51/347922051.db2.gz TVRPWZXFZPHWOH-UHFFFAOYSA-N 0 0 288.126 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC[C@@H]3CCCS3)c2c1 ZINC000150099647 347955069 /nfs/dbraw/zinc/95/50/69/347955069.db2.gz WQZYKUCTPNMXRK-JTQLQIEISA-N 0 0 290.348 2.846 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])o2)C[C@H](C)C1 ZINC000158050951 348004252 /nfs/dbraw/zinc/00/42/52/348004252.db2.gz DPMRGQKVHFCECA-VHSXEESVSA-N 0 0 266.297 2.696 20 5 CFBDRN CN(CCCNc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000159288267 348011137 /nfs/dbraw/zinc/01/11/37/348011137.db2.gz GSAMDAJADBBRBL-UHFFFAOYSA-N 0 0 286.335 2.928 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1ccc(F)cc1 ZINC000159281707 348011736 /nfs/dbraw/zinc/01/17/36/348011736.db2.gz XNFNOXRFTIEFFG-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN COc1ccc(CNc2cccnc2[N+](=O)[O-])c(OC)c1 ZINC000159343723 348012441 /nfs/dbraw/zinc/01/24/41/348012441.db2.gz KGSJVNOTFICYCE-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NC2CC=CC2)c1 ZINC000154322654 347978969 /nfs/dbraw/zinc/97/89/69/347978969.db2.gz RGSMGDQLOPGUNP-UHFFFAOYSA-N 0 0 290.319 2.507 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CCCSC1 ZINC000162673511 348031248 /nfs/dbraw/zinc/03/12/48/348031248.db2.gz RUYKZLKCFAVGFE-JTQLQIEISA-N 0 0 295.364 2.920 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000162652700 348031508 /nfs/dbraw/zinc/03/15/08/348031508.db2.gz IPGJTEZNGBYVFU-SECBINFHSA-N 0 0 252.318 2.627 20 5 CFBDRN C[C@@H]1OCC[C@@H]1SCCOc1ccccc1[N+](=O)[O-] ZINC000164019832 348036211 /nfs/dbraw/zinc/03/62/11/348036211.db2.gz GFJQVPYRTLQBQH-GWCFXTLKSA-N 0 0 283.349 2.884 20 5 CFBDRN C[C@H]1OCC[C@H]1SCCOc1ccccc1[N+](=O)[O-] ZINC000164019480 348036261 /nfs/dbraw/zinc/03/62/61/348036261.db2.gz GFJQVPYRTLQBQH-ZWNOBZJWSA-N 0 0 283.349 2.884 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2cccc([N+](=O)[O-])c2)n1 ZINC000167628359 348046336 /nfs/dbraw/zinc/04/63/36/348046336.db2.gz SWGKOQIDSASFLM-WAYWQWQTSA-N 0 0 257.249 2.569 20 5 CFBDRN Cc1ncsc1CCOc1ccc(N)c([N+](=O)[O-])c1 ZINC000168316775 348047792 /nfs/dbraw/zinc/04/77/92/348047792.db2.gz LBYCFPISMIPECG-UHFFFAOYSA-N 0 0 279.321 2.563 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccccc2Cl)c1[N+](=O)[O-] ZINC000170680512 348058982 /nfs/dbraw/zinc/05/89/82/348058982.db2.gz AVQKBDRCJZWNBH-UHFFFAOYSA-N 0 0 294.698 2.786 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCCC[C@H]1C ZINC000198627011 348150424 /nfs/dbraw/zinc/15/04/24/348150424.db2.gz QTSZXGFHAIJUPS-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN C[C@@H]1SCC[C@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000220847457 348170622 /nfs/dbraw/zinc/17/06/22/348170622.db2.gz KUUJPVGUPFLQNY-JOYOIKCWSA-N 0 0 252.339 2.578 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000235082364 348224077 /nfs/dbraw/zinc/22/40/77/348224077.db2.gz SANMROFCQXUKRZ-MRVPVSSYSA-N 0 0 288.307 2.677 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])C1(C)C ZINC000227286500 348186241 /nfs/dbraw/zinc/18/62/41/348186241.db2.gz IRDWIDXOKMVAKN-UWVGGRQHSA-N 0 0 285.731 2.869 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H]2CCCO2)c(Cl)c1 ZINC000227648746 348189537 /nfs/dbraw/zinc/18/95/37/348189537.db2.gz GNWNZRDVKRUBMW-SECBINFHSA-N 0 0 271.704 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC2CCC(O)CC2)c(F)c1 ZINC000227711390 348189793 /nfs/dbraw/zinc/18/97/93/348189793.db2.gz LBZVIVQGFDEODI-UHFFFAOYSA-N 0 0 286.278 2.836 20 5 CFBDRN CO[C@H]1C[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000227819803 348190969 /nfs/dbraw/zinc/19/09/69/348190969.db2.gz DBKLJWHYCRFZNM-QWRGUYRKSA-N 0 0 265.313 2.524 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1(C)C ZINC000227821884 348191042 /nfs/dbraw/zinc/19/10/42/348191042.db2.gz WCGDMBFRSXHQHQ-VHSXEESVSA-N 0 0 285.731 2.869 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000231685097 348208817 /nfs/dbraw/zinc/20/88/17/348208817.db2.gz AMQNEMPRFYUWGU-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2ccccn2)s1 ZINC000555960084 539608124 /nfs/dbraw/zinc/60/81/24/539608124.db2.gz ATXHSIRBBWJRRQ-JTQLQIEISA-N 0 0 276.321 2.788 20 5 CFBDRN CC[C@@](C)(O)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000087029674 179382604 /nfs/dbraw/zinc/38/26/04/179382604.db2.gz SYDIPIPLFCNPOV-LLVKDONJSA-N 0 0 258.705 2.821 20 5 CFBDRN CN(CC(F)(F)F)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087154239 179397945 /nfs/dbraw/zinc/39/79/45/179397945.db2.gz IHEWFEQCJPKKEI-UHFFFAOYSA-N 0 0 290.241 2.548 20 5 CFBDRN O=C(Cc1ccco1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000269952180 348330498 /nfs/dbraw/zinc/33/04/98/348330498.db2.gz QEERCCRXCNGHKV-UHFFFAOYSA-N 0 0 286.287 2.710 20 5 CFBDRN CSCCCNc1c(Cl)cncc1[N+](=O)[O-] ZINC000304375152 348379301 /nfs/dbraw/zinc/37/93/01/348379301.db2.gz PIUGFBRMEIOBGE-UHFFFAOYSA-N 0 0 261.734 2.808 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC(F)(F)F ZINC000304403680 348380280 /nfs/dbraw/zinc/38/02/80/348380280.db2.gz WKUQQTQLDCUCKR-UHFFFAOYSA-N 0 0 255.583 2.617 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCc1cnc(C2CC2)nc1 ZINC000611414719 539622636 /nfs/dbraw/zinc/62/26/36/539622636.db2.gz PRPIKFXNBVGVEX-UHFFFAOYSA-N 0 0 290.348 2.978 20 5 CFBDRN COC(=O)[C@H](C)CSc1c(Cl)cncc1[N+](=O)[O-] ZINC000310746759 348410609 /nfs/dbraw/zinc/41/06/09/348410609.db2.gz JGDQDEIEZLNPEL-ZCFIWIBFSA-N 0 0 290.728 2.544 20 5 CFBDRN Nc1ccc(NCCC2CCCC2)nc1[N+](=O)[O-] ZINC000311115766 348411706 /nfs/dbraw/zinc/41/17/06/348411706.db2.gz SOLKQMGJQPGYPE-UHFFFAOYSA-N 0 0 250.302 2.564 20 5 CFBDRN COc1cc(NCc2nccs2)c([N+](=O)[O-])cc1C ZINC000311595870 348413455 /nfs/dbraw/zinc/41/34/55/348413455.db2.gz FGXFITCHJZLOFD-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN C[C@@H](Cc1cccs1)Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000311913301 348415378 /nfs/dbraw/zinc/41/53/78/348415378.db2.gz FNJYFLPQCYDJCI-QMMMGPOBSA-N 0 0 278.337 2.677 20 5 CFBDRN Nc1ccc(NCCc2ccc(Cl)cc2)nc1[N+](=O)[O-] ZINC000312473488 348418903 /nfs/dbraw/zinc/41/89/03/348418903.db2.gz HBLXVPBRRVBINC-UHFFFAOYSA-N 0 0 292.726 2.880 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000413082408 233015461 /nfs/dbraw/zinc/01/54/61/233015461.db2.gz HIFLXOWSBYPDPC-LLVKDONJSA-N 0 0 291.351 2.925 20 5 CFBDRN COc1ncc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC000312661167 348420373 /nfs/dbraw/zinc/42/03/73/348420373.db2.gz AJTQVGKXDQIHDF-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN Cc1csc([C@@H](C)CNc2ncccc2[N+](=O)[O-])n1 ZINC000273360729 192125514 /nfs/dbraw/zinc/12/55/14/192125514.db2.gz WNZNTPIRUVOVMD-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN Cn1nc(CNCc2cccc([N+](=O)[O-])c2)c2ccccc21 ZINC000316177956 348431450 /nfs/dbraw/zinc/43/14/50/348431450.db2.gz WQXAOAOMCHQPDM-UHFFFAOYSA-N 0 0 296.330 2.771 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(NC)c([N+](=O)[O-])c1)C1CC1 ZINC000323680955 348438283 /nfs/dbraw/zinc/43/82/83/348438283.db2.gz DXTZJUVKYSKLRF-LLVKDONJSA-N 0 0 277.324 2.555 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H](C(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000324515711 348439742 /nfs/dbraw/zinc/43/97/42/348439742.db2.gz ORUYAHUJBPJLCQ-AWEZNQCLSA-N 0 0 291.351 2.801 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000413098981 233017403 /nfs/dbraw/zinc/01/74/03/233017403.db2.gz HJJVQUCJLQSAJJ-QMMMGPOBSA-N 0 0 284.262 2.775 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CCC1(C)C ZINC000596775771 349988605 /nfs/dbraw/zinc/98/86/05/349988605.db2.gz FDUBPUOHOHFOMB-GFCCVEGCSA-N 0 0 276.336 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCCC3CC3)n2)o1 ZINC000351149999 348486039 /nfs/dbraw/zinc/48/60/39/348486039.db2.gz RVLCODXPNVXLEE-UHFFFAOYSA-N 0 0 263.253 2.971 20 5 CFBDRN COC1(c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)CC1 ZINC000351344789 348493829 /nfs/dbraw/zinc/49/38/29/348493829.db2.gz XQBPCDBLSNIFGK-UHFFFAOYSA-N 0 0 275.264 2.589 20 5 CFBDRN COc1ccc(NC(=O)NCC2=CCCC2)cc1[N+](=O)[O-] ZINC000596831389 349995035 /nfs/dbraw/zinc/99/50/35/349995035.db2.gz HRJGBCWMFBNZJQ-UHFFFAOYSA-N 0 0 291.307 2.835 20 5 CFBDRN Cc1nc(C(C)(C)NCc2ccc([N+](=O)[O-])cc2C)no1 ZINC000273419538 192144327 /nfs/dbraw/zinc/14/43/27/192144327.db2.gz QHWFCQOSSYNDEV-UHFFFAOYSA-N 0 0 290.323 2.620 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@H]1CC12CCCC2 ZINC000401161922 348576978 /nfs/dbraw/zinc/57/69/78/348576978.db2.gz BXLJLWJMEIJTGP-JTQLQIEISA-N 0 0 251.261 2.874 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1ncc(F)cc1[N+](=O)[O-])OC ZINC000401212435 348578114 /nfs/dbraw/zinc/57/81/14/348578114.db2.gz QPXLLFTYWBRCON-LDYMZIIASA-N 0 0 271.292 2.602 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000401773049 348578913 /nfs/dbraw/zinc/57/89/13/348578913.db2.gz ATQSXDXLBVJHJV-CBAPKCEASA-N 0 0 256.689 2.838 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413257898 233042957 /nfs/dbraw/zinc/04/29/57/233042957.db2.gz GFGIHQNEWQXXIY-BDAKNGLRSA-N 0 0 253.277 2.754 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CC[C@@H]3C[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000403585795 348585883 /nfs/dbraw/zinc/58/58/83/348585883.db2.gz BZLRTDLQJOZENN-YUSALJHKSA-N 0 0 289.335 2.555 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c1[N+](=O)[O-] ZINC000403576421 348585921 /nfs/dbraw/zinc/58/59/21/348585921.db2.gz YVORPMCKLMSXNJ-MXWKQRLJSA-N 0 0 278.356 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CCC2)c2cccnc21 ZINC000413241093 233039486 /nfs/dbraw/zinc/03/94/86/233039486.db2.gz VFASXIFWTWZKSQ-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCCS2)c(F)c1 ZINC000413243085 233040966 /nfs/dbraw/zinc/04/09/66/233040966.db2.gz LNXQFFAGAWTOKQ-SECBINFHSA-N 0 0 271.317 2.827 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC(F)(F)C2)c(F)c1 ZINC000413278115 233046002 /nfs/dbraw/zinc/04/60/02/233046002.db2.gz YNEYCHKTFBTGDZ-SSDOTTSWSA-N 0 0 275.230 2.976 20 5 CFBDRN O=C(NCCC1CC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000088455938 179608104 /nfs/dbraw/zinc/60/81/04/179608104.db2.gz WHCXQZOKCJIIMR-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@@H](CO)C2)c2cccnc21 ZINC000413308509 233050514 /nfs/dbraw/zinc/05/05/14/233050514.db2.gz DDHYLKVUKCWHGN-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCOC3(CCC3)C2)c(F)c1 ZINC000413308347 233050722 /nfs/dbraw/zinc/05/07/22/233050722.db2.gz CSZKHRWMFKEEGF-JTQLQIEISA-N 0 0 295.314 2.890 20 5 CFBDRN C[C@H]1CC[C@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)O1 ZINC000413372481 233062271 /nfs/dbraw/zinc/06/22/71/233062271.db2.gz GEOLYPAZNAKANO-VHSXEESVSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@@H](SC[C@H]1CN(C)CCO1)c1cccc([N+](=O)[O-])c1 ZINC000584589957 348681156 /nfs/dbraw/zinc/68/11/56/348681156.db2.gz CXYIIZUHWUQYIT-BXUZGUMPSA-N 0 0 296.392 2.720 20 5 CFBDRN Nc1c(F)c(NCCOCC2CCCC2)ccc1[N+](=O)[O-] ZINC000413345673 233056309 /nfs/dbraw/zinc/05/63/09/233056309.db2.gz YGOUSPFDRSVUHM-UHFFFAOYSA-N 0 0 297.330 2.935 20 5 CFBDRN Cc1cnc(CCNc2ccc([N+](=O)[O-])cc2C)cn1 ZINC000413349842 233057216 /nfs/dbraw/zinc/05/72/16/233057216.db2.gz UEYJPTMNXMUPNQ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](C(F)F)C2)c1 ZINC000588040577 348768016 /nfs/dbraw/zinc/76/80/16/348768016.db2.gz DUQXHHIHRSBSOS-VIFPVBQESA-N 0 0 256.252 2.995 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC3(CCOC3)CC2)n1 ZINC000588040063 348768023 /nfs/dbraw/zinc/76/80/23/348768023.db2.gz HSWKAETWXZYPGT-UHFFFAOYSA-N 0 0 291.351 2.614 20 5 CFBDRN Cc1cc(N2CC[C@@H](C(F)F)C2)ccc1[N+](=O)[O-] ZINC000588040501 348768041 /nfs/dbraw/zinc/76/80/41/348768041.db2.gz APTBWMRKXHNYTO-SECBINFHSA-N 0 0 256.252 2.995 20 5 CFBDRN CC1(C)C(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)C1(C)C ZINC000588038740 348768205 /nfs/dbraw/zinc/76/82/05/348768205.db2.gz NLLVCSRFUNKNCR-UHFFFAOYSA-N 0 0 293.367 2.763 20 5 CFBDRN Cn1nccc1C1=CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000588064554 348769279 /nfs/dbraw/zinc/76/92/79/348769279.db2.gz OHXMSAPZAKPVDX-UHFFFAOYSA-N 0 0 290.348 2.684 20 5 CFBDRN CCOC(=O)c1cc(N2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000588066224 348770057 /nfs/dbraw/zinc/77/00/57/348770057.db2.gz JLMCYJAXLXDNPF-YGRLFVJLSA-N 0 0 290.319 2.760 20 5 CFBDRN O=C(N[C@@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])s1 ZINC000588180191 348778332 /nfs/dbraw/zinc/77/83/32/348778332.db2.gz MEPHLDURVJYALL-SSDOTTSWSA-N 0 0 276.264 2.574 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCCC2(F)F)c1[N+](=O)[O-] ZINC000588180674 348778388 /nfs/dbraw/zinc/77/83/88/348778388.db2.gz KLBVNEPHFTUTAU-SNVBAGLBSA-N 0 0 284.262 2.821 20 5 CFBDRN O=C(N[C@@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000588180085 348778400 /nfs/dbraw/zinc/77/84/00/348778400.db2.gz HEMWUMGQWGVINQ-SNVBAGLBSA-N 0 0 270.235 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(CCOC1CCC1)CC2 ZINC000588202009 348779041 /nfs/dbraw/zinc/77/90/41/348779041.db2.gz DMUJKFPLQSKKBQ-UHFFFAOYSA-N 0 0 276.336 2.522 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)CCC(C)C ZINC000588814911 348796690 /nfs/dbraw/zinc/79/66/90/348796690.db2.gz BHFDNRXHHUKUCY-UHFFFAOYSA-N 0 0 254.334 2.602 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000413431199 233072075 /nfs/dbraw/zinc/07/20/75/233072075.db2.gz AAOGRBWCOVGUOW-LSDHHAIUSA-N 0 0 297.314 2.756 20 5 CFBDRN CCC[C@H](C)NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000588815046 348797084 /nfs/dbraw/zinc/79/70/84/348797084.db2.gz IDDZGPNTZAGSBT-QMMMGPOBSA-N 0 0 286.278 2.720 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@@H](C)[C@H](F)C1 ZINC000588824657 348797292 /nfs/dbraw/zinc/79/72/92/348797292.db2.gz HJMNRORBURDLTC-NOZJJQNGSA-N 0 0 280.299 2.982 20 5 CFBDRN COCC(C)(C)CCCNc1cccnc1[N+](=O)[O-] ZINC000588840167 348797427 /nfs/dbraw/zinc/79/74/27/348797427.db2.gz BMOBPHHPPFCXFF-UHFFFAOYSA-N 0 0 267.329 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2Cc3cccnc3C2)cc1 ZINC000585716574 348739885 /nfs/dbraw/zinc/73/98/85/348739885.db2.gz QEEIPEXIIZTZIG-UHFFFAOYSA-N 0 0 269.304 2.548 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1cc(Br)cc([N+](=O)[O-])c1 ZINC000585750422 348742685 /nfs/dbraw/zinc/74/26/85/348742685.db2.gz LBBQZADGLDHPNY-WKEGUHRASA-N 0 0 299.124 2.952 20 5 CFBDRN Cc1noc([C@H](C)N(C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000586773247 348750160 /nfs/dbraw/zinc/75/01/60/348750160.db2.gz UGXAEWWMKKFBHX-JTQLQIEISA-N 0 0 290.323 2.522 20 5 CFBDRN COCCC1(C)CN(c2sccc2[N+](=O)[O-])C1 ZINC000588866552 348799564 /nfs/dbraw/zinc/79/95/64/348799564.db2.gz LTAOIIRQMLGMKP-UHFFFAOYSA-N 0 0 256.327 2.519 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)C(C)(C)c1ccccc1 ZINC000586834442 348757399 /nfs/dbraw/zinc/75/73/99/348757399.db2.gz MAFKOHRXMKTFSG-UHFFFAOYSA-N 0 0 287.319 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc([C@@H]3CCCS3)n2)nc1 ZINC000273948859 192375912 /nfs/dbraw/zinc/37/59/12/192375912.db2.gz NBQLOLGAKKCISP-JTQLQIEISA-N 0 0 292.320 2.532 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@H]2C[C@H]21 ZINC000586898548 348760665 /nfs/dbraw/zinc/76/06/65/348760665.db2.gz ODUMMUQJAHHIGJ-JOYOIKCWSA-N 0 0 286.287 2.966 20 5 CFBDRN CSCCNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000586986245 348761204 /nfs/dbraw/zinc/76/12/04/348761204.db2.gz PLKOTCUHUZZASK-UHFFFAOYSA-N 0 0 254.311 2.572 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)[C@@H](C)C1 ZINC000587977689 348763057 /nfs/dbraw/zinc/76/30/57/348763057.db2.gz XQFYKRLYDDXOKG-ISTVAULSSA-N 0 0 293.367 2.906 20 5 CFBDRN CC[C@H]1CCCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)CC1 ZINC000587980253 348763896 /nfs/dbraw/zinc/76/38/96/348763896.db2.gz ASUHFDZINFRCFJ-NSHDSACASA-N 0 0 293.367 2.686 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCOCC2(CCC2)C1 ZINC000588017404 348766517 /nfs/dbraw/zinc/76/65/17/348766517.db2.gz YOKWXIMRBQFELW-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1cc(N2CCC[C@H](O)C2)ccc1C(F)(F)F ZINC000589103068 348810963 /nfs/dbraw/zinc/81/09/63/348810963.db2.gz MYLKFZUFVIWSRQ-VIFPVBQESA-N 0 0 290.241 2.575 20 5 CFBDRN O=C(NC1(C(F)F)CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000589117240 348811396 /nfs/dbraw/zinc/81/13/96/348811396.db2.gz KHPUQJMYGPYQJU-UHFFFAOYSA-N 0 0 284.262 2.903 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H]2CO[C@@H](C)C2)n1 ZINC000589127235 348812281 /nfs/dbraw/zinc/81/22/81/348812281.db2.gz RIKIIENGEBPHKX-VHSXEESVSA-N 0 0 289.291 2.846 20 5 CFBDRN COCC[C@H](C)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000413499578 233084929 /nfs/dbraw/zinc/08/49/29/233084929.db2.gz YZSVXCRAFBSHDA-QMMMGPOBSA-N 0 0 273.720 2.728 20 5 CFBDRN CO[C@@](C)([C@H](C)Nc1ncc([N+](=O)[O-])cc1F)C1CC1 ZINC000413520502 233087925 /nfs/dbraw/zinc/08/79/25/233087925.db2.gz PVLXOQBCFRAOLA-SDBXPKJASA-N 0 0 283.303 2.744 20 5 CFBDRN COc1ccc(OCc2cccnc2C)c([N+](=O)[O-])c1 ZINC000589262040 348823080 /nfs/dbraw/zinc/82/30/80/348823080.db2.gz LQGPMSWZJVDCCO-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(C)(F)C1 ZINC000589376916 348830096 /nfs/dbraw/zinc/83/00/96/348830096.db2.gz XRPRJBQNRYXJJN-UHFFFAOYSA-N 0 0 281.287 2.733 20 5 CFBDRN Cc1cnc(NC[C@@H]2C[C@@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000413541768 233093146 /nfs/dbraw/zinc/09/31/46/233093146.db2.gz WCSTYZFFRLHTAP-VWYCJHECSA-N 0 0 265.313 2.524 20 5 CFBDRN COC1([C@H](C)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000413555172 233095091 /nfs/dbraw/zinc/09/50/91/233095091.db2.gz CQDICGYKYVMPOL-JTQLQIEISA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)c2ccn(C)n2)n1 ZINC000413445199 233074035 /nfs/dbraw/zinc/07/40/35/233074035.db2.gz BVCXBXXRXHUCNZ-SNVBAGLBSA-N 0 0 275.312 2.513 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1ccsc1[N+](=O)[O-] ZINC000589585476 348840152 /nfs/dbraw/zinc/84/01/52/348840152.db2.gz YKGQQFJFASFMPG-DTWKUNHWSA-N 0 0 256.327 2.883 20 5 CFBDRN COc1cc(N2CC[C@@H](C(F)F)C2)c([N+](=O)[O-])cc1F ZINC000589600368 348842241 /nfs/dbraw/zinc/84/22/41/348842241.db2.gz YJUIWWYKKUWKIG-SSDOTTSWSA-N 0 0 290.241 2.834 20 5 CFBDRN Cc1cc(N[C@H](C)c2ccn(C)n2)ccc1[N+](=O)[O-] ZINC000413453636 233075902 /nfs/dbraw/zinc/07/59/02/233075902.db2.gz IAVWUWVFXPQWKZ-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(C3CC3)C2)c(Br)c1 ZINC000589695770 348852072 /nfs/dbraw/zinc/85/20/72/348852072.db2.gz UTVJCCOKMMSZKT-UHFFFAOYSA-N 0 0 298.140 2.599 20 5 CFBDRN O=C(CCCC1CC1)Nc1ccc([O-])c([N+](=O)[O-])c1 ZINC000589714058 348852659 /nfs/dbraw/zinc/85/26/59/348852659.db2.gz FWMFKLJQPKQRTQ-UHFFFAOYSA-N 0 0 264.281 2.819 20 5 CFBDRN O=C(N[C@H]1CCCCC12CCCC2)c1cc([N+](=O)[O-])n[nH]1 ZINC000589727340 348853565 /nfs/dbraw/zinc/85/35/65/348853565.db2.gz LCJBSMPAVBNTIE-NSHDSACASA-N 0 0 292.339 2.551 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1C[C@H]1C(F)(F)F ZINC000589866073 348861935 /nfs/dbraw/zinc/86/19/35/348861935.db2.gz MOLAMXZXSUGLJF-PSASIEDQSA-N 0 0 297.236 2.928 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC(C2CC2)CC1 ZINC000589873383 348862710 /nfs/dbraw/zinc/86/27/10/348862710.db2.gz LQSOWFNKRJEBBE-UHFFFAOYSA-N 0 0 278.356 2.746 20 5 CFBDRN CC[C@@H]1CCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000589880109 348863038 /nfs/dbraw/zinc/86/30/38/348863038.db2.gz XHLKZXIAEUMSDG-SECBINFHSA-N 0 0 258.281 2.527 20 5 CFBDRN CN(C[C@@H](O)Cc1ccccc1)c1ccc([N+](=O)[O-])s1 ZINC000590063238 348872234 /nfs/dbraw/zinc/87/22/34/348872234.db2.gz DWHYAVCOYPHIFL-LBPRGKRZSA-N 0 0 292.360 2.696 20 5 CFBDRN Cc1nn(C)c(N2CC(C3CCCCC3)C2)c1[N+](=O)[O-] ZINC000590146892 348878349 /nfs/dbraw/zinc/87/83/49/348878349.db2.gz YSDCANQIXMLEMI-UHFFFAOYSA-N 0 0 278.356 2.653 20 5 CFBDRN C[C@H]1CCC[C@@]1(O)CNc1sccc1[N+](=O)[O-] ZINC000590146866 348878478 /nfs/dbraw/zinc/87/84/78/348878478.db2.gz KZZOVWTVMMRWNO-GZMMTYOYSA-N 0 0 256.327 2.619 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]2F)c1 ZINC000590148750 348878531 /nfs/dbraw/zinc/87/85/31/348878531.db2.gz CMKMKQIJYLNYMM-VHSXEESVSA-N 0 0 282.271 2.684 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC2(CCC(O)CC2)CC1 ZINC000590706482 348932611 /nfs/dbraw/zinc/93/26/11/348932611.db2.gz DBRPUTILKRUGCE-UHFFFAOYSA-N 0 0 291.351 2.511 20 5 CFBDRN CCc1ncc(CNc2ccc([N+](=O)[O-])s2)o1 ZINC000590725363 348936981 /nfs/dbraw/zinc/93/69/81/348936981.db2.gz UKMWTMNJRQKJEQ-UHFFFAOYSA-N 0 0 253.283 2.819 20 5 CFBDRN COc1cccc2c1CN(c1ncccc1[N+](=O)[O-])CC2 ZINC000590722976 348937007 /nfs/dbraw/zinc/93/70/07/348937007.db2.gz IRNHNVSPOXZYEE-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN Cc1cccc(CN(C)c2ccc([N+](=O)[O-])s2)n1 ZINC000590737925 348939477 /nfs/dbraw/zinc/93/94/77/348939477.db2.gz ZRLPVGUDFWJNKF-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN COC1CCC(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CC1 ZINC000590778891 348948068 /nfs/dbraw/zinc/94/80/68/348948068.db2.gz PBJBZDPKTHKLKJ-UHFFFAOYSA-N 0 0 293.279 2.910 20 5 CFBDRN CN(c1ccc(N)c([N+](=O)[O-])n1)[C@H]1CCc2ccccc21 ZINC000590570577 348909896 /nfs/dbraw/zinc/90/98/96/348909896.db2.gz XGEVOFTYIUJXJW-ZDUSSCGKSA-N 0 0 284.319 2.696 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000590607694 348914922 /nfs/dbraw/zinc/91/49/22/348914922.db2.gz XFTSERAUZGHTHQ-SECBINFHSA-N 0 0 285.250 2.775 20 5 CFBDRN Cc1cc(Cc2noc(COC(C)C)n2)ccc1[N+](=O)[O-] ZINC000273958388 192377950 /nfs/dbraw/zinc/37/79/50/192377950.db2.gz NSCUICMDZFJCOF-UHFFFAOYSA-N 0 0 291.307 2.802 20 5 CFBDRN CC(C)(CO)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000590694254 348929259 /nfs/dbraw/zinc/92/92/59/348929259.db2.gz BGNJHXKZEBJSBP-UHFFFAOYSA-N 0 0 275.308 2.573 20 5 CFBDRN CC1(C)CN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000590700597 348930781 /nfs/dbraw/zinc/93/07/81/348930781.db2.gz JZUPKCGVISCBFE-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(C(F)F)C2)c(Cl)c1 ZINC000590968860 348974473 /nfs/dbraw/zinc/97/44/73/348974473.db2.gz CUFWGYBNCMRBKZ-UHFFFAOYSA-N 0 0 276.670 2.945 20 5 CFBDRN C[C@H](CC(F)F)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000591036465 348980928 /nfs/dbraw/zinc/98/09/28/348980928.db2.gz XRMPZJYDZVIGLQ-MRVPVSSYSA-N 0 0 272.251 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@H](C2CCC2)C1 ZINC000591062926 348982892 /nfs/dbraw/zinc/98/28/92/348982892.db2.gz BQPBMGITGHRPBF-LBPRGKRZSA-N 0 0 276.336 2.922 20 5 CFBDRN COCCN(c1ncc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000591143716 348992643 /nfs/dbraw/zinc/99/26/43/348992643.db2.gz GCZNLERHCBBQFE-UHFFFAOYSA-N 0 0 283.303 2.524 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2C2CC2)c(F)c1 ZINC000591144283 348992741 /nfs/dbraw/zinc/99/27/41/348992741.db2.gz HMAQKIOQOXULFW-NSHDSACASA-N 0 0 251.261 2.508 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC=CCC2)c(C(F)(F)F)c1 ZINC000591153811 348994275 /nfs/dbraw/zinc/99/42/75/348994275.db2.gz DUPVROQIVDHIPH-UHFFFAOYSA-N 0 0 273.214 2.775 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000591182480 348997844 /nfs/dbraw/zinc/99/78/44/348997844.db2.gz NPWGHRSLDBNFSZ-OLZOCXBDSA-N 0 0 288.307 2.517 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCO[C@H](C2CCC2)C1 ZINC000591186036 348997951 /nfs/dbraw/zinc/99/79/51/348997951.db2.gz HLTZPGNHWGYYEI-NSHDSACASA-N 0 0 268.338 2.662 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000591181315 348998020 /nfs/dbraw/zinc/99/80/20/348998020.db2.gz DEQUYFUARCEGBE-OCCSQVGLSA-N 0 0 279.340 2.516 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC(c2ccncn2)CC1 ZINC000591186706 348998767 /nfs/dbraw/zinc/99/87/67/348998767.db2.gz PGDOGSZFDRYDKC-UHFFFAOYSA-N 0 0 290.348 2.830 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccsc2[N+](=O)[O-])[C@H](C)O1 ZINC000591193756 348999095 /nfs/dbraw/zinc/99/90/95/348999095.db2.gz VRQLSNIEGLONOU-VGMNWLOBSA-N 0 0 256.327 2.882 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])s1)c1csnn1 ZINC000591197375 348999186 /nfs/dbraw/zinc/99/91/86/348999186.db2.gz JSBMHQYXGUHZMK-RXMQYKEDSA-N 0 0 256.312 2.681 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@@H](CCO)CC1 ZINC000591465540 349025545 /nfs/dbraw/zinc/02/55/45/349025545.db2.gz GNUTTXCCPOSZPA-SNVBAGLBSA-N 0 0 270.354 2.645 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000591589478 349032510 /nfs/dbraw/zinc/03/25/10/349032510.db2.gz IEDSRUVHQSHMGA-PELKAZGASA-N 0 0 297.742 2.647 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1cccc(OC)c1[N+](=O)[O-] ZINC000591980634 349069002 /nfs/dbraw/zinc/06/90/02/349069002.db2.gz FTWFVZCSOGJIPC-MNOVXSKESA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1c(F)cccc1[N+](=O)[O-] ZINC000591980413 349069086 /nfs/dbraw/zinc/06/90/86/349069086.db2.gz CXSFQHHPQQQMTE-NXEZZACHSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000591982489 349069126 /nfs/dbraw/zinc/06/91/26/349069126.db2.gz POSOUJLWNXFJAF-GXSJLCMTSA-N 0 0 267.329 2.507 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@H]([C@H]2CCCC2(C)C)C1 ZINC000591981473 349069228 /nfs/dbraw/zinc/06/92/28/349069228.db2.gz AFYHHSKSXXXUAY-NWDGAFQWSA-N 0 0 292.383 2.981 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000591984109 349069724 /nfs/dbraw/zinc/06/97/24/349069724.db2.gz XKMZFEPZNRDWHP-IUCAKERBSA-N 0 0 258.343 2.865 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000591982538 349069735 /nfs/dbraw/zinc/06/97/35/349069735.db2.gz QOGAZKQJUSDZHG-RKDXNWHRSA-N 0 0 287.747 2.852 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000591982535 349069802 /nfs/dbraw/zinc/06/98/02/349069802.db2.gz QOGAZKQJUSDZHG-BDAKNGLRSA-N 0 0 287.747 2.852 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1cc(OC)ccc1[N+](=O)[O-] ZINC000591983678 349069881 /nfs/dbraw/zinc/06/98/81/349069881.db2.gz WRLKWNFFSNJQAY-QWRGUYRKSA-N 0 0 282.340 2.812 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])[C@@H]1SC ZINC000591991525 349071399 /nfs/dbraw/zinc/07/13/99/349071399.db2.gz UXPWUNMIQZULJS-RTXFEEFZSA-N 0 0 282.365 2.916 20 5 CFBDRN CC(C)[C@@H]1N(c2ncc([N+](=O)[O-])cn2)CC12CC=CC2 ZINC000591994724 349072000 /nfs/dbraw/zinc/07/20/00/349072000.db2.gz SPYTWSHOFHDWKL-LBPRGKRZSA-N 0 0 274.324 2.566 20 5 CFBDRN C[C@@H]1CN(c2cccnc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000591999358 349073264 /nfs/dbraw/zinc/07/32/64/349073264.db2.gz JNZNZSMDBKIQTR-LLVKDONJSA-N 0 0 277.324 2.528 20 5 CFBDRN C[C@H]1CN(c2ccncc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000591998733 349073267 /nfs/dbraw/zinc/07/32/67/349073267.db2.gz HZKJXZOBQLTJMP-NSHDSACASA-N 0 0 277.324 2.528 20 5 CFBDRN CCN(CCSC)c1ncc([N+](=O)[O-])cc1Cl ZINC000592001989 349073584 /nfs/dbraw/zinc/07/35/84/349073584.db2.gz YWQYAYINBPREPG-UHFFFAOYSA-N 0 0 275.761 2.833 20 5 CFBDRN C[C@@H]1SCC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000592120750 349085972 /nfs/dbraw/zinc/08/59/72/349085972.db2.gz AFFGLUCDAGPPND-GZMMTYOYSA-N 0 0 281.337 2.610 20 5 CFBDRN CCN(CCSC)Cc1ccc([N+](=O)[O-])cc1F ZINC000592200157 349099037 /nfs/dbraw/zinc/09/90/37/349099037.db2.gz LZHILGOILBZTEG-UHFFFAOYSA-N 0 0 272.345 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2nc(C)c(C)o2)c1 ZINC000592360571 349108456 /nfs/dbraw/zinc/10/84/56/349108456.db2.gz JMQPGHHNIHANAZ-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCC[C@H]1CCOC1 ZINC000592343975 349105689 /nfs/dbraw/zinc/10/56/89/349105689.db2.gz CUPVVSSWUKPIIJ-NSHDSACASA-N 0 0 281.308 2.799 20 5 CFBDRN C[C@H](CCO)Sc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000591917605 349059807 /nfs/dbraw/zinc/05/98/07/349059807.db2.gz YCTPBEMOHUPBOO-SECBINFHSA-N 0 0 282.365 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(CC3=CCCC3)n2)cc1 ZINC000592345220 349106185 /nfs/dbraw/zinc/10/61/85/349106185.db2.gz XSPLGJUPKKXCEC-UHFFFAOYSA-N 0 0 270.292 2.964 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NC1CC=CC1)CCCC2 ZINC000591924337 349060924 /nfs/dbraw/zinc/06/09/24/349060924.db2.gz VSZYRSNHMUEDEG-UHFFFAOYSA-N 0 0 259.309 2.999 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@H](F)C2)c(F)c1 ZINC000591955795 349064771 /nfs/dbraw/zinc/06/47/71/349064771.db2.gz PFPZQPRDGQKDHH-JGVFFNPUSA-N 0 0 257.240 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCN(C(C)(C)C)C2=O)c1 ZINC000591957588 349064912 /nfs/dbraw/zinc/06/49/12/349064912.db2.gz OYWKFQSAVUFYTF-NSHDSACASA-N 0 0 291.351 2.715 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2Nc2ccncc2[N+](=O)[O-])cc1 ZINC000591969192 349067045 /nfs/dbraw/zinc/06/70/45/349067045.db2.gz LIGVQKYMXJZBKM-GXTWGEPZSA-N 0 0 269.304 2.688 20 5 CFBDRN COC(=O)[C@H](CC(C)(C)C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000591972538 349067749 /nfs/dbraw/zinc/06/77/49/349067749.db2.gz RTQJWRBMCVOLEV-JTQLQIEISA-N 0 0 295.339 2.688 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000591975956 349068387 /nfs/dbraw/zinc/06/83/87/349068387.db2.gz VJKWJFFPUOTMIY-AWEZNQCLSA-N 0 0 281.356 2.960 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000592664855 349147231 /nfs/dbraw/zinc/14/72/31/349147231.db2.gz IOMWBHGEKOWHDL-NXEZZACHSA-N 0 0 296.298 2.614 20 5 CFBDRN C[C@@H](CNC(=O)c1csc([N+](=O)[O-])c1)CC(F)F ZINC000592672571 349149545 /nfs/dbraw/zinc/14/95/45/349149545.db2.gz MTTMZGPMZYOCDE-ZCFIWIBFSA-N 0 0 278.280 2.677 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1CC1=CCCC1)CCCC2 ZINC000592360397 349108878 /nfs/dbraw/zinc/10/88/78/349108878.db2.gz NIWLMZNABAUTSA-UHFFFAOYSA-N 0 0 274.320 2.746 20 5 CFBDRN COC1(c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)CC1 ZINC000592411785 349117686 /nfs/dbraw/zinc/11/76/86/349117686.db2.gz YOXKQUJOFCMWGE-UHFFFAOYSA-N 0 0 275.264 2.589 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000592901906 349176775 /nfs/dbraw/zinc/17/67/75/349176775.db2.gz AUXYROKFBDHLLL-GMTAPVOTSA-N 0 0 299.330 2.995 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NC1CCCCC1 ZINC000592997955 349183822 /nfs/dbraw/zinc/18/38/22/349183822.db2.gz WRNGBXFDRPTCGD-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593039390 349192071 /nfs/dbraw/zinc/19/20/71/349192071.db2.gz RXSILNYRCUWCJU-LLVKDONJSA-N 0 0 294.326 2.925 20 5 CFBDRN CC[C@H](SC)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000274000496 192397562 /nfs/dbraw/zinc/39/75/62/192397562.db2.gz RCWCMCUMMZTMQS-JTQLQIEISA-N 0 0 294.336 2.778 20 5 CFBDRN CO[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000593014013 349187162 /nfs/dbraw/zinc/18/71/62/349187162.db2.gz QGBWEUPUDSIXDT-SECBINFHSA-N 0 0 292.213 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1cc3n(n1)CCCC3)CC2 ZINC000593023344 349189698 /nfs/dbraw/zinc/18/96/98/349189698.db2.gz QPIVGBIHXBFOQX-UHFFFAOYSA-N 0 0 298.346 2.690 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1csc([N+](=O)[O-])c1 ZINC000592733064 349158576 /nfs/dbraw/zinc/15/85/76/349158576.db2.gz ORJOWHKSHULXQO-NQMVMOMDSA-N 0 0 266.322 2.575 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CCS[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000592733419 349158612 /nfs/dbraw/zinc/15/86/12/349158612.db2.gz ALWPKOUGVCBYAM-OUJBWJOFSA-N 0 0 294.376 2.709 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1F ZINC000592736777 349160398 /nfs/dbraw/zinc/16/03/98/349160398.db2.gz SYXARPSWIVWAAT-LPEHRKFASA-N 0 0 278.283 2.652 20 5 CFBDRN CCCC[C@@](C)(CO)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000592855688 349171595 /nfs/dbraw/zinc/17/15/95/349171595.db2.gz VCMTYDGAPFBYPH-HNNXBMFYSA-N 0 0 294.351 2.574 20 5 CFBDRN C[C@H]1CN(Cc2ccccc2[N+](=O)[O-])C2(CCC2)CO1 ZINC000593094218 349207914 /nfs/dbraw/zinc/20/79/14/349207914.db2.gz XRARSRBYGAMLGF-LBPRGKRZSA-N 0 0 276.336 2.738 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC[C@]2(CC2(F)F)C1 ZINC000593131109 349218193 /nfs/dbraw/zinc/21/81/93/349218193.db2.gz FTUNCNDFJYMABU-LBPRGKRZSA-N 0 0 297.261 2.858 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593146778 349220229 /nfs/dbraw/zinc/22/02/29/349220229.db2.gz FLZKAMDKBQTHBX-CMPLNLGQSA-N 0 0 294.326 2.829 20 5 CFBDRN CC(C)c1noc(Cc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000593217986 349229389 /nfs/dbraw/zinc/22/93/89/349229389.db2.gz OYUKMIMMBHXNIN-UHFFFAOYSA-N 0 0 265.244 2.831 20 5 CFBDRN Cc1c(C(=O)N2CCCC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000593237174 349233237 /nfs/dbraw/zinc/23/32/37/349233237.db2.gz IDGGEIFIEULIPR-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN Cc1ccc(OCc2cc3n(n2)CCC3)cc1[N+](=O)[O-] ZINC000593236758 349233303 /nfs/dbraw/zinc/23/33/03/349233303.db2.gz ZZARTSASFMXSON-UHFFFAOYSA-N 0 0 273.292 2.625 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000593258555 349237126 /nfs/dbraw/zinc/23/71/26/349237126.db2.gz PHWBYHZQLARQKP-LBPRGKRZSA-N 0 0 292.335 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCC34CCCC4)nc2c1 ZINC000593039451 349192605 /nfs/dbraw/zinc/19/26/05/349192605.db2.gz SOZYIBZUYWLNIC-UHFFFAOYSA-N 0 0 286.335 2.990 20 5 CFBDRN CC(C)C(C)(C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593049099 349194427 /nfs/dbraw/zinc/19/44/27/349194427.db2.gz LAYKJAOFGRLDDF-UHFFFAOYSA-N 0 0 282.315 2.827 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)[C@H](C)CO1 ZINC000593061732 349198258 /nfs/dbraw/zinc/19/82/58/349198258.db2.gz DHCLDVXYGCZNGW-BXUZGUMPSA-N 0 0 264.325 2.594 20 5 CFBDRN CC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2C)[C@H](C)CO1 ZINC000593063289 349199133 /nfs/dbraw/zinc/19/91/33/349199133.db2.gz LFSXCKXKYGSGLX-RISCZKNCSA-N 0 0 278.352 2.903 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])[C@@H]1C ZINC000593075006 349202630 /nfs/dbraw/zinc/20/26/30/349202630.db2.gz JLYYFCGGQUIHQN-GHMZBOCLSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H]1CN(Cc2csc([N+](=O)[O-])c2)C2(CCC2)CO1 ZINC000593090602 349205434 /nfs/dbraw/zinc/20/54/34/349205434.db2.gz BBDAUGOAXBNNTH-JTQLQIEISA-N 0 0 282.365 2.800 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@]2(CC2(F)F)C1 ZINC000593523745 349290390 /nfs/dbraw/zinc/29/03/90/349290390.db2.gz YVZPGEMPKGOISG-JTQLQIEISA-N 0 0 289.669 2.879 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])[C@H](C)CO1 ZINC000593283589 349241679 /nfs/dbraw/zinc/24/16/79/349241679.db2.gz JBADIEOJYIVTTL-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(NC1(C2CCC2)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000593303953 349244111 /nfs/dbraw/zinc/24/41/11/349244111.db2.gz HQKWPPWTVZFNIR-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1c(CC(=O)NC2(C3CCC3)CC2)cccc1[N+](=O)[O-] ZINC000593304211 349244348 /nfs/dbraw/zinc/24/43/48/349244348.db2.gz KHEGZRKUQSGNMQ-UHFFFAOYSA-N 0 0 288.347 2.895 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H](CO)CC1CC1 ZINC000593465563 349275985 /nfs/dbraw/zinc/27/59/85/349275985.db2.gz OYGBLNHQWLBYJY-NSHDSACASA-N 0 0 287.319 2.716 20 5 CFBDRN CN(C[C@H]1CCOC1)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593468819 349276696 /nfs/dbraw/zinc/27/66/96/349276696.db2.gz QPSWGYBGAYWWER-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CCOC2(CCC2)C1 ZINC000593466928 349276824 /nfs/dbraw/zinc/27/68/24/349276824.db2.gz ZCYFLZWTAMKZKA-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN CC[C@H](CCO)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593468187 349276851 /nfs/dbraw/zinc/27/68/51/349276851.db2.gz NUEUYSAHMLLNKQ-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593467697 349276853 /nfs/dbraw/zinc/27/68/53/349276853.db2.gz AKZXQYLPXVPYNF-ZIAGYGMSSA-N 0 0 287.319 2.714 20 5 CFBDRN COCC1=CCN(c2ccnc3cc([N+](=O)[O-])ccc32)CC1 ZINC000593472443 349277216 /nfs/dbraw/zinc/27/72/16/349277216.db2.gz YQSGNEISMZXGCN-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(c3nnco3)CC2)c1 ZINC000593476535 349277861 /nfs/dbraw/zinc/27/78/61/349277861.db2.gz VQOSYMQLXSJPBY-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN CC[C@@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])[C@H](C)CO1 ZINC000593490378 349282937 /nfs/dbraw/zinc/28/29/37/349282937.db2.gz CEEFFJHEPSRPCX-VXGBXAGGSA-N 0 0 279.340 2.610 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2F)[C@@H](CC)CO1 ZINC000593494206 349284297 /nfs/dbraw/zinc/28/42/97/349284297.db2.gz LUTYUBOKZLFGKO-GXSJLCMTSA-N 0 0 283.303 2.523 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC2CC3(CCC3)C2)c1[N+](=O)[O-] ZINC000593881752 349332130 /nfs/dbraw/zinc/33/21/30/349332130.db2.gz LEGRRSABRNMVGK-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN O=C(NC1CC2(CCC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000593885558 349332729 /nfs/dbraw/zinc/33/27/29/349332729.db2.gz SCDCBBWFEBEZMH-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN CCC[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])C(C)C ZINC000594020874 349355579 /nfs/dbraw/zinc/35/55/79/349355579.db2.gz KMQFCDIEOICSFS-UHFFFAOYSA-N 0 0 252.314 2.921 20 5 CFBDRN C[C@@H]1[C@@H](C)CCC[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593733940 349316136 /nfs/dbraw/zinc/31/61/36/349316136.db2.gz FQQXJGSLKFAQLB-WDEREUQCSA-N 0 0 292.335 2.913 20 5 CFBDRN CCC[N@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])C1CC1 ZINC000593744727 349318647 /nfs/dbraw/zinc/31/86/47/349318647.db2.gz UFDGBZVOTIZEQL-UHFFFAOYSA-N 0 0 278.308 2.667 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCC23CCCC3)c1[N+](=O)[O-] ZINC000593761869 349322523 /nfs/dbraw/zinc/32/25/23/349322523.db2.gz RWHBQUPAJZSTEY-UHFFFAOYSA-N 0 0 292.339 2.600 20 5 CFBDRN Cc1c(CNC(=O)NC2(C)CC=CC2)cccc1[N+](=O)[O-] ZINC000594241260 349398636 /nfs/dbraw/zinc/39/86/36/349398636.db2.gz AYCUYZGZCILFEL-UHFFFAOYSA-N 0 0 289.335 2.811 20 5 CFBDRN Cc1noc(CN(C)[C@H](C)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000594055078 349365316 /nfs/dbraw/zinc/36/53/16/349365316.db2.gz ZBIDYUYFESGYEL-SNVBAGLBSA-N 0 0 290.323 2.788 20 5 CFBDRN CC(C)(NC(=O)c1csc([N+](=O)[O-])c1)C(C)(F)F ZINC000594063483 349367748 /nfs/dbraw/zinc/36/77/48/349367748.db2.gz VQGKCHAVUUAUAT-UHFFFAOYSA-N 0 0 278.280 2.820 20 5 CFBDRN CC1(NC(=O)CCNc2ccccc2[N+](=O)[O-])CC=CC1 ZINC000594073532 349368907 /nfs/dbraw/zinc/36/89/07/349368907.db2.gz AIACRPAFZJYBBZ-UHFFFAOYSA-N 0 0 289.335 2.622 20 5 CFBDRN Cc1c(C(=O)NC2(C)CC=CC2)cccc1[N+](=O)[O-] ZINC000594074689 349369228 /nfs/dbraw/zinc/36/92/28/349369228.db2.gz XEDRYEGETKPMBO-UHFFFAOYSA-N 0 0 260.293 2.742 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000596894569 350003154 /nfs/dbraw/zinc/00/31/54/350003154.db2.gz DBOUBZIQPUNLNH-YUELXQCFSA-N 0 0 288.347 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(C[NH2+][C@H]2CO[C@H](C3CC3)C2)c(Cl)c1 ZINC000597087405 350028166 /nfs/dbraw/zinc/02/81/66/350028166.db2.gz ARQKBKADORFGKD-RISCZKNCSA-N 0 0 296.754 2.905 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597091679 350029000 /nfs/dbraw/zinc/02/90/00/350029000.db2.gz BZGSTKJGGKMTNH-SECBINFHSA-N 0 0 298.730 2.750 20 5 CFBDRN CC/C=C/CNC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597093890 350030016 /nfs/dbraw/zinc/03/00/16/350030016.db2.gz ZNZCXAPNYYEWND-ONEGZZNKSA-N 0 0 298.730 2.918 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597097589 350030107 /nfs/dbraw/zinc/03/01/07/350030107.db2.gz OLBFEDDIQNPAAL-RNFRBKRXSA-N 0 0 298.730 2.845 20 5 CFBDRN CC1(C)CN(C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2N)C1 ZINC000597097532 350030188 /nfs/dbraw/zinc/03/01/88/350030188.db2.gz NOVGDECZTJUCJL-UHFFFAOYSA-N 0 0 298.730 2.704 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000271223966 191013127 /nfs/dbraw/zinc/01/31/27/191013127.db2.gz DLEISPDXQPDWKF-DTWKUNHWSA-N 0 0 284.287 2.722 20 5 CFBDRN CCc1ncnc(NCCc2ccccc2[N+](=O)[O-])c1F ZINC000271214926 191006701 /nfs/dbraw/zinc/00/67/01/191006701.db2.gz SZRKCQOLOSGKMN-UHFFFAOYSA-N 0 0 290.298 2.741 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000597473447 350101392 /nfs/dbraw/zinc/10/13/92/350101392.db2.gz HBWAHBQVRKIUJP-NNYUYHANSA-N 0 0 274.320 2.818 20 5 CFBDRN CO[C@H](C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000597480318 350102749 /nfs/dbraw/zinc/10/27/49/350102749.db2.gz XMMCECXGDLYPEZ-YGRLFVJLSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000597492184 350103936 /nfs/dbraw/zinc/10/39/36/350103936.db2.gz OZOVURSDXGWANZ-JLLWLGSASA-N 0 0 290.319 2.978 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CCC2(C)C)c([N+](=O)[O-])c1 ZINC000597536064 350109196 /nfs/dbraw/zinc/10/91/96/350109196.db2.gz AYSSBBBNSNWJCB-JTQLQIEISA-N 0 0 278.308 2.978 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CCC[C@H]3C[C@H]32)cccc1[N+](=O)[O-] ZINC000597555734 350111127 /nfs/dbraw/zinc/11/11/27/350111127.db2.gz RTGBVHCVNFILCT-IACUBPJLSA-N 0 0 288.347 2.956 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1CC2(CC2)C1 ZINC000597639372 350119212 /nfs/dbraw/zinc/11/92/12/350119212.db2.gz LLOFALAEDWSEKJ-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN CO[C@H](C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)C1CCC1 ZINC000597666634 350122137 /nfs/dbraw/zinc/12/21/37/350122137.db2.gz JZJQKAMIAPWVLI-AWEZNQCLSA-N 0 0 292.335 2.965 20 5 CFBDRN CCCCCNc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000271239321 191021618 /nfs/dbraw/zinc/02/16/18/191021618.db2.gz HHCGHOHEXNTYJP-UHFFFAOYSA-N 0 0 292.382 2.662 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000597687623 350123730 /nfs/dbraw/zinc/12/37/30/350123730.db2.gz GNTBFBDXVNMEIK-GDNZZTSVSA-N 0 0 288.347 2.992 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@]12C[C@@H]1CCC2 ZINC000597887872 350148536 /nfs/dbraw/zinc/14/85/36/350148536.db2.gz GZSOANVKCRORKC-HZMBPMFUSA-N 0 0 260.293 2.576 20 5 CFBDRN COc1cc(C(=O)N[C@@]23C[C@@H]2CCC3)cc([N+](=O)[O-])c1C ZINC000597887335 350148613 /nfs/dbraw/zinc/14/86/13/350148613.db2.gz FJNWTDSSPJVIDG-NHYWBVRUSA-N 0 0 290.319 2.584 20 5 CFBDRN C[C@H](C(=O)N[C@@]12C[C@@H]1CCC2)c1ccc([N+](=O)[O-])cc1F ZINC000597885516 350148618 /nfs/dbraw/zinc/14/86/18/350148618.db2.gz AOZNVRHHQPBGEB-YHAQOWFVSA-N 0 0 292.310 2.896 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000597807533 350138544 /nfs/dbraw/zinc/13/85/44/350138544.db2.gz PZPDICXIMQXTRM-MYJAWHEDSA-N 0 0 275.308 2.621 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000597853491 350142466 /nfs/dbraw/zinc/14/24/66/350142466.db2.gz BDIYRUNIEKTYLK-SECBINFHSA-N 0 0 290.323 2.732 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000597855076 350143082 /nfs/dbraw/zinc/14/30/82/350143082.db2.gz HMELBEFIFLRYKB-NSHDSACASA-N 0 0 264.325 2.784 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H](C)c1ccccn1 ZINC000597864297 350145308 /nfs/dbraw/zinc/14/53/08/350145308.db2.gz MICXZOVDQGXVOQ-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H](C)c2ccccn2)cc1[N+](=O)[O-] ZINC000597863098 350145563 /nfs/dbraw/zinc/14/55/63/350145563.db2.gz GDUVQTXWEVQBBR-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN CC[C@@H](CSC)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000091148977 180106329 /nfs/dbraw/zinc/10/63/29/180106329.db2.gz MRJHWLCMOYLIEW-NSHDSACASA-N 0 0 282.365 2.808 20 5 CFBDRN CC[C@@H](CSC)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000091147713 180106385 /nfs/dbraw/zinc/10/63/85/180106385.db2.gz RZZWAQGFWXTALE-LBPRGKRZSA-N 0 0 296.392 2.737 20 5 CFBDRN Cc1cnn(C)c1NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000597911186 350155998 /nfs/dbraw/zinc/15/59/98/350155998.db2.gz LCUHOGMZGJJUMA-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000271297874 191058815 /nfs/dbraw/zinc/05/88/15/191058815.db2.gz GAXAAHSAGICYPL-ZJUUUORDSA-N 0 0 295.295 2.730 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000597975689 350168526 /nfs/dbraw/zinc/16/85/26/350168526.db2.gz LXOZZBDBAZRMMC-VIFPVBQESA-N 0 0 268.288 2.900 20 5 CFBDRN CC[C@@H](C)CCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000597976622 350169523 /nfs/dbraw/zinc/16/95/23/350169523.db2.gz VDWYKCLADVBQAS-LLVKDONJSA-N 0 0 264.325 2.690 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000597976379 350169631 /nfs/dbraw/zinc/16/96/31/350169631.db2.gz ADJPDOYNHCYDFR-VIFPVBQESA-N 0 0 282.344 2.607 20 5 CFBDRN COc1cc(NCC2(O)CCCCC2)c(F)cc1[N+](=O)[O-] ZINC000091477678 180165861 /nfs/dbraw/zinc/16/58/61/180165861.db2.gz SEHWVNUROFWPRV-UHFFFAOYSA-N 0 0 298.314 2.850 20 5 CFBDRN CCc1nn(C)c(N[C@@H](C)[C@@H](C)CC)c1[N+](=O)[O-] ZINC000091560847 180192055 /nfs/dbraw/zinc/19/20/55/180192055.db2.gz APDNXINBUFMKSO-IUCAKERBSA-N 0 0 254.334 2.737 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCCCC1CCC1 ZINC000598214095 350216124 /nfs/dbraw/zinc/21/61/24/350216124.db2.gz BEELCALMOQOISH-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN O=C(NCCCC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000598214932 350216943 /nfs/dbraw/zinc/21/69/43/350216943.db2.gz IOPFZXOLOHQHPW-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN CC[C@H](NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000598232035 350220919 /nfs/dbraw/zinc/22/09/19/350220919.db2.gz BISBYIPTYIBOQO-LBPRGKRZSA-N 0 0 280.299 2.581 20 5 CFBDRN Cc1cc(C)cc(CNC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000598144002 350209842 /nfs/dbraw/zinc/20/98/42/350209842.db2.gz QQKAHQQDHMHSDT-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1c(CC(=O)NCCC(C)(C)F)cccc1[N+](=O)[O-] ZINC000598198157 350211898 /nfs/dbraw/zinc/21/18/98/350211898.db2.gz HOBXGODCFRPENB-UHFFFAOYSA-N 0 0 282.315 2.700 20 5 CFBDRN C[C@@H](C(=O)NCCC(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000598199346 350212704 /nfs/dbraw/zinc/21/27/04/350212704.db2.gz RKWOJRFWWNYYCV-SNVBAGLBSA-N 0 0 282.315 2.953 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000598211429 350215099 /nfs/dbraw/zinc/21/50/99/350215099.db2.gz FJAQXIKFMYZGIN-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000598211431 350215187 /nfs/dbraw/zinc/21/51/87/350215187.db2.gz FJAQXIKFMYZGIN-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@](C)(NC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000598252056 350225274 /nfs/dbraw/zinc/22/52/74/350225274.db2.gz ZDTRBKNTTWXQMV-GFCCVEGCSA-N 0 0 268.338 2.965 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000598299530 350229181 /nfs/dbraw/zinc/22/91/81/350229181.db2.gz OGUZINPQMCKPRY-NXEZZACHSA-N 0 0 265.313 2.574 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H]3C[C@@H]3C2)c1 ZINC000598326511 350234653 /nfs/dbraw/zinc/23/46/53/350234653.db2.gz CLVFWBCOQBBJJQ-NXEZZACHSA-N 0 0 292.360 2.799 20 5 CFBDRN CC(C)(C(=O)N1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000598330783 350236871 /nfs/dbraw/zinc/23/68/71/350236871.db2.gz NEXMBUZTMJWLSU-VXGBXAGGSA-N 0 0 288.347 2.741 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2C(C)(C)C2(F)F)cc1[N+](=O)[O-] ZINC000598339672 350240443 /nfs/dbraw/zinc/24/04/43/350240443.db2.gz BZEKLKRSLAPYQZ-LLVKDONJSA-N 0 0 298.289 2.924 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000598399447 350256497 /nfs/dbraw/zinc/25/64/97/350256497.db2.gz LEBLNUFSVMSPJY-LBPRGKRZSA-N 0 0 291.351 2.586 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@@H](C2CCC2)C1 ZINC000598399354 350256524 /nfs/dbraw/zinc/25/65/24/350256524.db2.gz JOFAZRBMKCDEGW-LLVKDONJSA-N 0 0 277.324 2.575 20 5 CFBDRN Cc1sc(C(=O)NCC2=CCCC2)cc1[N+](=O)[O-] ZINC000598375365 350251379 /nfs/dbraw/zinc/25/13/79/350251379.db2.gz FCUWDZJSJMMBJJ-UHFFFAOYSA-N 0 0 266.322 2.805 20 5 CFBDRN CC(C)[C@H](NC(=O)COc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598379825 350252345 /nfs/dbraw/zinc/25/23/45/350252345.db2.gz ABZGRWHJFQUAFJ-HNNXBMFYSA-N 0 0 292.335 2.524 20 5 CFBDRN COc1cc(C(=O)N[C@]2(C)CC2(C)C)cc([N+](=O)[O-])c1C ZINC000598384857 350254395 /nfs/dbraw/zinc/25/43/95/350254395.db2.gz GTTYGNMFPGAXFV-OAHLLOKOSA-N 0 0 292.335 2.830 20 5 CFBDRN CCCC1(CNc2c([N+](=O)[O-])c(CC)nn2C)CC1 ZINC000091740718 180244452 /nfs/dbraw/zinc/24/44/52/180244452.db2.gz IMQRXGQNBSWQHF-UHFFFAOYSA-N 0 0 266.345 2.883 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000598387935 350255227 /nfs/dbraw/zinc/25/52/27/350255227.db2.gz VHINDZOPOMWJMH-OAHLLOKOSA-N 0 0 287.319 2.995 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000598386931 350255243 /nfs/dbraw/zinc/25/52/43/350255243.db2.gz UXWSEWSBQPQTSC-AWEZNQCLSA-N 0 0 294.376 2.992 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc([N+](=O)[O-])s1)C1CCCC1 ZINC000598394435 350255834 /nfs/dbraw/zinc/25/58/34/350255834.db2.gz NWLCOWOFNPZYOD-SNVBAGLBSA-N 0 0 298.364 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC2CC1(C)C2 ZINC000598568572 350284336 /nfs/dbraw/zinc/28/43/36/350284336.db2.gz ZZVSOYCQZBVQBA-UHFFFAOYSA-N 0 0 260.293 2.528 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCCc2ccco2)c1 ZINC000598439355 350268809 /nfs/dbraw/zinc/26/88/09/350268809.db2.gz JJZGSECXOFEJAD-UHFFFAOYSA-N 0 0 288.303 2.859 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000598448171 350271013 /nfs/dbraw/zinc/27/10/13/350271013.db2.gz LVMXTDIXTSQLDW-PHTAMDTFSA-N 0 0 288.347 2.927 20 5 CFBDRN CC[C@@H]1CCC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000598461058 350272259 /nfs/dbraw/zinc/27/22/59/350272259.db2.gz FBYHNDIDLGDFKQ-VHSXEESVSA-N 0 0 266.297 2.981 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC1CC(C)(C)C1 ZINC000598502176 350277959 /nfs/dbraw/zinc/27/79/59/350277959.db2.gz IXPKAMFDQSDMGW-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN COC(=O)c1ccnc(NC[C@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000598626467 350296566 /nfs/dbraw/zinc/29/65/66/350296566.db2.gz WRTATEOGRSPIMV-NXEZZACHSA-N 0 0 293.323 2.625 20 5 CFBDRN COC(=O)c1ccnc(N(C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000598630371 350297251 /nfs/dbraw/zinc/29/72/51/350297251.db2.gz JIWBYFXKGIFGOI-UHFFFAOYSA-N 0 0 295.339 2.649 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H]2C[C@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000598630046 350297564 /nfs/dbraw/zinc/29/75/64/350297564.db2.gz SESKVZNKQLESNW-QMTHXVAHSA-N 0 0 293.323 2.623 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@H]2CC2(F)F)nc2sccn21 ZINC000598639553 350298865 /nfs/dbraw/zinc/29/88/65/350298865.db2.gz TZIYFWLPLGGQIQ-LURJTMIESA-N 0 0 288.279 2.761 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H]2CC2(F)F)c(F)c1 ZINC000598637204 350298895 /nfs/dbraw/zinc/29/88/95/350298895.db2.gz KOOPZNRGCYZZEK-ZCFIWIBFSA-N 0 0 261.203 2.586 20 5 CFBDRN Cc1nccnc1CN(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598679241 350309323 /nfs/dbraw/zinc/30/93/23/350309323.db2.gz RDFPIROMOINFBG-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN COc1ccc(CN2CC(CC3CC3)C2)cc1[N+](=O)[O-] ZINC000598679194 350309484 /nfs/dbraw/zinc/30/94/84/350309484.db2.gz ZQYPDHOYHVJFBY-UHFFFAOYSA-N 0 0 276.336 2.835 20 5 CFBDRN COc1cc(C(=O)NCC2CC(C)C2)cc([N+](=O)[O-])c1C ZINC000598778338 350328038 /nfs/dbraw/zinc/32/80/38/350328038.db2.gz PKQNUQBSBDOPNY-UHFFFAOYSA-N 0 0 292.335 2.688 20 5 CFBDRN COC(=O)c1ccnc(N[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000598587343 350286512 /nfs/dbraw/zinc/28/65/12/350286512.db2.gz QLFBBQKRGXQRGA-ZJUUUORDSA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1cc(CN2CCc3c2cccc3[N+](=O)[O-])ccn1 ZINC000598597684 350289982 /nfs/dbraw/zinc/28/99/82/350289982.db2.gz SZBFQFPNOQIZEB-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN COC(=O)c1ccnc(N[C@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000598614834 350293846 /nfs/dbraw/zinc/29/38/46/350293846.db2.gz FKDXZOPTFSEDJQ-VIFPVBQESA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2CCC2(C)C)ccc1[N+](=O)[O-] ZINC000598822776 350334658 /nfs/dbraw/zinc/33/46/58/350334658.db2.gz HDQRDHJMJTVXRM-SECBINFHSA-N 0 0 263.297 2.673 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000598855025 350340611 /nfs/dbraw/zinc/34/06/11/350340611.db2.gz OHZLCXQIYXOCRT-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000598953930 350348420 /nfs/dbraw/zinc/34/84/20/350348420.db2.gz HPOCBLHIXXAGSS-BSTOTGJRSA-N 0 0 278.308 2.593 20 5 CFBDRN O=[N+]([O-])c1c(NCC[NH+]2CCCC2)ccc2cnccc21 ZINC000599016394 350358910 /nfs/dbraw/zinc/35/89/10/350358910.db2.gz SFYTUELEEMPREE-UHFFFAOYSA-N 0 0 286.335 2.651 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599043485 350363342 /nfs/dbraw/zinc/36/33/42/350363342.db2.gz MXUFTRAVFVXEQQ-HZMBPMFUSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@](C)(O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599042919 350363718 /nfs/dbraw/zinc/36/37/18/350363718.db2.gz JJYGINULDPOWHO-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN COC[C@H]1CCN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000599046453 350364936 /nfs/dbraw/zinc/36/49/36/350364936.db2.gz JNBLIGUXOXICQK-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1c(NCC2(CO)CCC2)ccc2cnccc21 ZINC000599065012 350368760 /nfs/dbraw/zinc/36/87/60/350368760.db2.gz GFBZFVVQNHYKBF-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CCOCC2(CC2)C1 ZINC000599066082 350368959 /nfs/dbraw/zinc/36/89/59/350368959.db2.gz OSNNTPNWNUSWSZ-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1cnc(N2CCC=C(c3ccccn3)C2)c([N+](=O)[O-])c1 ZINC000599095949 350374994 /nfs/dbraw/zinc/37/49/94/350374994.db2.gz JLIJDNICMIFVQH-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@H]2COC[C@H]2C1 ZINC000599110346 350377330 /nfs/dbraw/zinc/37/73/30/350377330.db2.gz RPQPOXNHJPSGAP-QWHCGFSZSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C34CC(C3)C4)n2)cc1 ZINC000599114253 350377435 /nfs/dbraw/zinc/37/74/35/350377435.db2.gz YQZJNOHMTKEPTP-UHFFFAOYSA-N 0 0 257.249 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCCOC2)cc1 ZINC000599116305 350378140 /nfs/dbraw/zinc/37/81/40/350378140.db2.gz GGUDOFTUELGEEP-LLVKDONJSA-N 0 0 250.298 2.823 20 5 CFBDRN C[C@@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000599117528 350378331 /nfs/dbraw/zinc/37/83/31/350378331.db2.gz BEBFNOMJZVDCHY-QMMMGPOBSA-N 0 0 290.241 2.800 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])s2)C[C@@H](C)C1(F)F ZINC000599119881 350379095 /nfs/dbraw/zinc/37/90/95/350379095.db2.gz WIONPBNANIDLEE-RNFRBKRXSA-N 0 0 277.296 2.779 20 5 CFBDRN CC1(C)[C@@H](c2ccccc2)[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000599124634 350380474 /nfs/dbraw/zinc/38/04/74/350380474.db2.gz XQXLTFAUNONPNU-STQMWFEESA-N 0 0 284.319 2.989 20 5 CFBDRN CC1(C)C[C@@H](Sc2ncc([N+](=O)[O-])cc2F)CO1 ZINC000599124906 350380656 /nfs/dbraw/zinc/38/06/56/350380656.db2.gz DUERCOSBQGBWKB-MRVPVSSYSA-N 0 0 272.301 2.789 20 5 CFBDRN O=[N+]([O-])c1c(NCC2CC=CC2)nc2sccn21 ZINC000599127113 350381311 /nfs/dbraw/zinc/38/13/11/350381311.db2.gz OEFUSRTZXAPIQS-UHFFFAOYSA-N 0 0 264.310 2.682 20 5 CFBDRN Cc1ccnc(N2CCCC(F)(F)CC2)c1[N+](=O)[O-] ZINC000599133910 350381903 /nfs/dbraw/zinc/38/19/03/350381903.db2.gz MZSFBFAHFBIRMA-UHFFFAOYSA-N 0 0 271.267 2.924 20 5 CFBDRN CC(C)CC[C@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000599136906 350382181 /nfs/dbraw/zinc/38/21/81/350382181.db2.gz CUMQDDVUBPAUFF-SNVBAGLBSA-N 0 0 270.304 2.943 20 5 CFBDRN CCC(C)(C)OC1CN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000599136501 350382530 /nfs/dbraw/zinc/38/25/30/350382530.db2.gz PUMZPDQWDRSMKK-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN CC(C)CC[C@H](CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000599138000 350383135 /nfs/dbraw/zinc/38/31/35/350383135.db2.gz XFRXEZWGPACKMH-SECBINFHSA-N 0 0 298.368 2.513 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@]23C[C@@H]2COC3(C)C)n1 ZINC000599142989 350383607 /nfs/dbraw/zinc/38/36/07/350383607.db2.gz MOYOFJILMVBDLY-YGRLFVJLSA-N 0 0 277.324 2.586 20 5 CFBDRN CC(=O)c1ccc(N[C@]23C[C@H]2COC3(C)C)c([N+](=O)[O-])c1 ZINC000599142743 350383678 /nfs/dbraw/zinc/38/36/78/350383678.db2.gz KITGKFILHJOLHU-XHDPSFHLSA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC3(C2)CCCO3)c1 ZINC000599144581 350384203 /nfs/dbraw/zinc/38/42/03/350384203.db2.gz KDPUXKZGNTYOHJ-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN NC(=O)c1ccc(NCC[C@@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000599156015 350386159 /nfs/dbraw/zinc/38/61/59/350386159.db2.gz QLLBAQWZWCWMIY-LLVKDONJSA-N 0 0 289.335 2.852 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)n1 ZINC000599162967 350386895 /nfs/dbraw/zinc/38/68/95/350386895.db2.gz CERXUEGJCNCIFB-RHYQMDGZSA-N 0 0 279.340 2.830 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1c1ncc([N+](=O)[O-])s1 ZINC000599162759 350386988 /nfs/dbraw/zinc/38/69/88/350386988.db2.gz PVQUKVRMHRLFSU-LURJTMIESA-N 0 0 263.269 2.675 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1c1ccc([N+](=O)[O-])nc1 ZINC000599163673 350387530 /nfs/dbraw/zinc/38/75/30/350387530.db2.gz XNAUJRLDTGQKEI-MRVPVSSYSA-N 0 0 257.240 2.614 20 5 CFBDRN Cc1nc(N[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000599164416 350387537 /nfs/dbraw/zinc/38/75/37/350387537.db2.gz MYXJZHFNUMFYKW-PYSAPBNWSA-N 0 0 265.313 2.522 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCO[C@H](CCF)C1 ZINC000599168008 350388046 /nfs/dbraw/zinc/38/80/46/350388046.db2.gz YPXDJQULNXSCDJ-SNVBAGLBSA-N 0 0 288.706 2.813 20 5 CFBDRN CCOc1cccc(N2CCO[C@@H](CCF)C2)c1[N+](=O)[O-] ZINC000599168415 350388196 /nfs/dbraw/zinc/38/81/96/350388196.db2.gz DLPQGOGCCAFEGE-NSHDSACASA-N 0 0 298.314 2.558 20 5 CFBDRN C/C=C\CNc1ccc([N+](=O)[O-])c(N2CCOCC2)c1F ZINC000599171492 350388754 /nfs/dbraw/zinc/38/87/54/350388754.db2.gz ISWCSCQJSQHXNS-IHWYPQMZSA-N 0 0 295.314 2.559 20 5 CFBDRN C/C=C\CNc1ccc(CC(=O)OCC)cc1[N+](=O)[O-] ZINC000599173303 350389252 /nfs/dbraw/zinc/38/92/52/350389252.db2.gz YTKFLABIXHNMIH-HYXAFXHYSA-N 0 0 278.308 2.688 20 5 CFBDRN CCOc1cccc(N[C@@H]2CO[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599181218 350391018 /nfs/dbraw/zinc/39/10/18/350391018.db2.gz QNWRTXULEHUPII-FZMZJTMJSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000599182001 350391524 /nfs/dbraw/zinc/39/15/24/350391524.db2.gz WACFYTCXVFBFHQ-SMDDNHRTSA-N 0 0 262.309 2.883 20 5 CFBDRN COc1cccc2c1CN(c1ncc([N+](=O)[O-])s1)C2 ZINC000599182614 350392000 /nfs/dbraw/zinc/39/20/00/350392000.db2.gz ZPFNZORIKQPNMQ-UHFFFAOYSA-N 0 0 277.305 2.580 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCc2c(O)cccc2C1 ZINC000599182921 350392222 /nfs/dbraw/zinc/39/22/22/350392222.db2.gz PQBYYBBOFYHCQM-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC(Cc2cccs2)C1 ZINC000599196933 350394073 /nfs/dbraw/zinc/39/40/73/350394073.db2.gz TUQZINUUVRWEQU-UHFFFAOYSA-N 0 0 275.333 2.730 20 5 CFBDRN C/C=C\C[C@H]1CCCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000599201034 350395008 /nfs/dbraw/zinc/39/50/08/350395008.db2.gz ZATVXHAMRWVISP-QUCGXOGASA-N 0 0 276.340 2.755 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000599199007 350395108 /nfs/dbraw/zinc/39/51/08/350395108.db2.gz XVBYUQPGTNHCSC-VIFPVBQESA-N 0 0 263.297 2.688 20 5 CFBDRN CCOc1cccc(NC[C@H]2C[C@@H](OC)C2)c1[N+](=O)[O-] ZINC000599207665 350396270 /nfs/dbraw/zinc/39/62/70/350396270.db2.gz YWZXOAICJIMZSS-PHIMTYICSA-N 0 0 280.324 2.830 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000565053584 290263550 /nfs/dbraw/zinc/26/35/50/290263550.db2.gz BQDVOIIQOWYFMM-JTQLQIEISA-N 0 0 286.353 2.549 20 5 CFBDRN Cc1nnc(COc2ccc([N+](=O)[O-])c(C)c2)s1 ZINC000271373302 191103955 /nfs/dbraw/zinc/10/39/55/191103955.db2.gz LOEXLGUAUBQBBP-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN CCCN(CCn1ccc([N+](=O)[O-])n1)c1ccccc1F ZINC000599542972 350452955 /nfs/dbraw/zinc/45/29/55/350452955.db2.gz IMPAULICHTVZSH-UHFFFAOYSA-N 0 0 292.314 2.847 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)C12CC(C1)C2 ZINC000599541280 350453351 /nfs/dbraw/zinc/45/33/51/350453351.db2.gz HFTZKFYRANPWRB-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN C/C=C\CNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000599791349 350493042 /nfs/dbraw/zinc/49/30/42/350493042.db2.gz OLTYAGNYZKYGKI-PLNGDYQASA-N 0 0 263.297 2.909 20 5 CFBDRN O=C(N(Cc1ccccc1[N+](=O)[O-])C1CC1)C12CC(C1)C2 ZINC000599709730 350487758 /nfs/dbraw/zinc/48/77/58/350487758.db2.gz WZUPIPZAQSFZLD-UHFFFAOYSA-N 0 0 286.331 2.886 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000271377565 191105697 /nfs/dbraw/zinc/10/56/97/191105697.db2.gz JOXBOJUTCWIYMZ-CMPLNLGQSA-N 0 0 293.323 2.592 20 5 CFBDRN CC(C)c1cc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)on1 ZINC000291078965 197910626 /nfs/dbraw/zinc/91/06/26/197910626.db2.gz NDEWHTBPLQMVCX-UHFFFAOYSA-N 0 0 294.311 2.836 20 5 CFBDRN C/C=C\CNC(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000599787669 350493359 /nfs/dbraw/zinc/49/33/59/350493359.db2.gz XRRNSOSUBHIQMF-HYXAFXHYSA-N 0 0 263.297 2.855 20 5 CFBDRN CC(C)(C)n1nccc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000107123380 186159561 /nfs/dbraw/zinc/15/95/61/186159561.db2.gz UUCJBSFKAAHLRO-UHFFFAOYSA-N 0 0 288.307 2.799 20 5 CFBDRN CCC[C@@H](CNC(=O)c1ccccc1[N+](=O)[O-])OCC ZINC000600175589 350531100 /nfs/dbraw/zinc/53/11/00/350531100.db2.gz ROQQUZRRXLOLDC-NSHDSACASA-N 0 0 280.324 2.530 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)C[C@H](C)C1(F)F ZINC000600188081 350535234 /nfs/dbraw/zinc/53/52/34/350535234.db2.gz JCKCNNMRDQYUSP-YUMQZZPRSA-N 0 0 288.250 2.551 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@H](C)C1(F)F ZINC000600188688 350535766 /nfs/dbraw/zinc/53/57/66/350535766.db2.gz NMGAJZMDUABVIZ-UWVGGRQHSA-N 0 0 298.289 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(Cc3ccco3)C2)cc1 ZINC000600196660 350537036 /nfs/dbraw/zinc/53/70/36/350537036.db2.gz DJXWDYWSOQNPAM-UHFFFAOYSA-N 0 0 272.304 2.862 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCO[C@@H](CCF)C1 ZINC000600203938 350538433 /nfs/dbraw/zinc/53/84/33/350538433.db2.gz GNGYRGZXWFNILV-MFBWXBCUSA-N 0 0 294.326 2.668 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2nc(C)oc2C)c1 ZINC000600563449 350570806 /nfs/dbraw/zinc/57/08/06/350570806.db2.gz VXHUNXSWKKBTRO-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN C[C@H]1C[C@H]1Cn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000600563089 350571111 /nfs/dbraw/zinc/57/11/11/350571111.db2.gz JYIDDFXLIRQZSX-UWVGGRQHSA-N 0 0 258.281 2.509 20 5 CFBDRN Cn1cncc1CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000600571366 350572469 /nfs/dbraw/zinc/57/24/69/350572469.db2.gz UOZOVSWECMCODM-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCN(C)c1ccccc1 ZINC000108349486 186212535 /nfs/dbraw/zinc/21/25/35/186212535.db2.gz PSARGHPCYVDYJW-UHFFFAOYSA-N 0 0 286.335 2.847 20 5 CFBDRN Cc1cccc(C(=O)NCC2CC=CC2)c1[N+](=O)[O-] ZINC000600230055 350544328 /nfs/dbraw/zinc/54/43/28/350544328.db2.gz PHXZPTCHJGSILH-UHFFFAOYSA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCCC(F)(F)CC1 ZINC000600256180 350545913 /nfs/dbraw/zinc/54/59/13/350545913.db2.gz XPZYINOQWFGFOO-UHFFFAOYSA-N 0 0 284.262 2.856 20 5 CFBDRN CCOc1ccc(C(=O)N(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000600358640 350549730 /nfs/dbraw/zinc/54/97/30/350549730.db2.gz FKKUJJUOKSPIRJ-UHFFFAOYSA-N 0 0 290.319 2.761 20 5 CFBDRN Cc1sc(C(=O)N(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000600360847 350550541 /nfs/dbraw/zinc/55/05/41/350550541.db2.gz RRBNTZAEXUZKEB-UHFFFAOYSA-N 0 0 266.322 2.732 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC[C@@H]1CC=CCC1 ZINC000600394167 350553246 /nfs/dbraw/zinc/55/32/46/350553246.db2.gz LBRMHDDDVFKZJI-CYBMUJFWSA-N 0 0 288.347 3.000 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000291131604 197927866 /nfs/dbraw/zinc/92/78/66/197927866.db2.gz BWKVKVGWLHNVIA-NSHDSACASA-N 0 0 295.339 2.792 20 5 CFBDRN C/C=C/CNC(=O)[C@@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000600493202 350559036 /nfs/dbraw/zinc/55/90/36/350559036.db2.gz SUXRJCOSTHIYTP-LQPUYASZSA-N 0 0 276.336 2.856 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1noc(C2CCC2)n1 ZINC000600509359 350560766 /nfs/dbraw/zinc/56/07/66/350560766.db2.gz RQIAGFYLEZFCMB-UHFFFAOYSA-N 0 0 275.264 2.824 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000416042530 233525428 /nfs/dbraw/zinc/52/54/28/233525428.db2.gz FYAXNWAGGCHUKC-KWCYVHTRSA-N 0 0 286.331 2.615 20 5 CFBDRN O=[N+]([O-])c1nn(C[C@H]2C[C@H]3C=C[C@@H]2C3)cc1Br ZINC000600519307 350562494 /nfs/dbraw/zinc/56/24/94/350562494.db2.gz BHPJBHGAHHBXII-DJLDLDEBSA-N 0 0 298.140 2.766 20 5 CFBDRN COc1cc(OCc2nc(C)oc2C)ccc1[N+](=O)[O-] ZINC000600528281 350564362 /nfs/dbraw/zinc/56/43/62/350564362.db2.gz QUYGCQBGNLUDBP-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)C[C@H]2C[C@H]3C=C[C@@H]2C3)cc1 ZINC000600529030 350565155 /nfs/dbraw/zinc/56/51/55/350565155.db2.gz VWRPDNBXJHVUID-QJPTWQEYSA-N 0 0 293.344 2.581 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cn2ccnc2C2CC2)c(F)c1 ZINC000600612251 350580429 /nfs/dbraw/zinc/58/04/29/350580429.db2.gz ZXBPNNRLYRQCBY-UHFFFAOYSA-N 0 0 279.246 2.995 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H]1CCCC[C@@H]1O ZINC000129791955 187454166 /nfs/dbraw/zinc/45/41/66/187454166.db2.gz BZOBDVYJAHIAMX-KWQFWETISA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1cc(N[C@H](C)[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000092657544 180425606 /nfs/dbraw/zinc/42/56/06/180425606.db2.gz UQESPRLYMWPTPU-MNOVXSKESA-N 0 0 250.298 2.740 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC1CCCC1 ZINC000108753046 186229412 /nfs/dbraw/zinc/22/94/12/186229412.db2.gz QAZGLCAECDZTLE-HJWRWDBZSA-N 0 0 260.293 2.667 20 5 CFBDRN CC1(CNC(=O)COc2ccccc2[N+](=O)[O-])CCCC1 ZINC000108754056 186229559 /nfs/dbraw/zinc/22/95/59/186229559.db2.gz IWNSRDLRDNWJJH-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000600698457 350597045 /nfs/dbraw/zinc/59/70/45/350597045.db2.gz FKZZIRVXDYPQKN-VSQXVHSFSA-N 0 0 291.351 2.752 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H](C)O[C@@H]1C ZINC000600894248 350624381 /nfs/dbraw/zinc/62/43/81/350624381.db2.gz PREXJBJIXAVXGO-QJPTWQEYSA-N 0 0 292.335 2.964 20 5 CFBDRN CC(C)(CCc1noc(-c2ccccc2)n1)[N+](=O)[O-] ZINC000291142892 197931487 /nfs/dbraw/zinc/93/14/87/197931487.db2.gz YGKWUGSEDGPWDW-UHFFFAOYSA-N 0 0 261.281 2.725 20 5 CFBDRN C[C@]12CCCC[C@H]1CN2C(=O)c1ccc([N+](=O)[O-])o1 ZINC000600761378 350607281 /nfs/dbraw/zinc/60/72/81/350607281.db2.gz YJCRRYSRYJMHAS-ZANVPECISA-N 0 0 264.281 2.593 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1cnn(C[C@@H]2CCOC2)c1 ZINC000600788109 350612252 /nfs/dbraw/zinc/61/22/52/350612252.db2.gz BKQSRIOYMQFQLT-JTQLQIEISA-N 0 0 291.282 2.634 20 5 CFBDRN Cc1ccc(-c2ccc(N[C@@H](C)CO)nc2)cc1[N+](=O)[O-] ZINC000600791571 350612963 /nfs/dbraw/zinc/61/29/63/350612963.db2.gz RVUPONASLWAPSF-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(C(F)F)CCC1 ZINC000600993711 350643491 /nfs/dbraw/zinc/64/34/91/350643491.db2.gz LONKMNCDKZPVRO-UHFFFAOYSA-N 0 0 298.289 2.989 20 5 CFBDRN CCc1nnc([C@H](C)Sc2ncccc2[N+](=O)[O-])o1 ZINC000110143362 186287223 /nfs/dbraw/zinc/28/72/23/186287223.db2.gz GGXJXDILJRNGIL-ZETCQYMHSA-N 0 0 280.309 2.789 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cc1cccnc1 ZINC000110177991 186287657 /nfs/dbraw/zinc/28/76/57/186287657.db2.gz KYRFZTUUIDYFQR-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN CN(CC(F)F)C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110295657 186290747 /nfs/dbraw/zinc/29/07/47/186290747.db2.gz KRLIKTKOMPHBDV-UHFFFAOYSA-N 0 0 278.642 2.585 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000110327763 186291658 /nfs/dbraw/zinc/29/16/58/186291658.db2.gz TXXTVPRTCYZBLX-LLVKDONJSA-N 0 0 264.325 2.642 20 5 CFBDRN CCC(CC)CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601038960 350649208 /nfs/dbraw/zinc/64/92/08/350649208.db2.gz AOTLGTZGCKADPZ-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CN(CC1CCC1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000110473413 186298150 /nfs/dbraw/zinc/29/81/50/186298150.db2.gz TVSUTAKQSVSUCG-UHFFFAOYSA-N 0 0 254.311 2.528 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC(n3ccnc3)CC2)cs1 ZINC000109796212 186272504 /nfs/dbraw/zinc/27/25/04/186272504.db2.gz ZJWWCXHSIQGVLL-UHFFFAOYSA-N 0 0 292.364 2.690 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@@H]1CC=CCC1 ZINC000601119372 350673527 /nfs/dbraw/zinc/67/35/27/350673527.db2.gz XXOGNRWGRRZILG-SSDOTTSWSA-N 0 0 290.245 2.868 20 5 CFBDRN CCCc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)n[nH]1 ZINC000110849261 186329672 /nfs/dbraw/zinc/32/96/72/186329672.db2.gz UQRQQWGWLHOOPB-UHFFFAOYSA-N 0 0 294.336 2.893 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H]1CC(C)(C)CO1 ZINC000601069925 350657410 /nfs/dbraw/zinc/65/74/10/350657410.db2.gz MEMTXZKOJLJQPB-LLVKDONJSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1nn(Cc2cccc3c2CCOC3)c(C)c1[N+](=O)[O-] ZINC000601071416 350658373 /nfs/dbraw/zinc/65/83/73/350658373.db2.gz XODDRRRYBUYTLH-UHFFFAOYSA-N 0 0 287.319 2.529 20 5 CFBDRN Cc1cc(OC[C@@H]2CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000601072822 350658420 /nfs/dbraw/zinc/65/84/20/350658420.db2.gz UTFMVCPHQDYSHU-QWRGUYRKSA-N 0 0 251.282 2.707 20 5 CFBDRN CCCCC(=O)COc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC000601073559 350658865 /nfs/dbraw/zinc/65/88/65/350658865.db2.gz BYNSFRWMICMKMB-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000271400054 191116453 /nfs/dbraw/zinc/11/64/53/191116453.db2.gz INDBDSWLNSUATO-JQWIXIFHSA-N 0 0 265.313 2.605 20 5 CFBDRN CCCCC(=O)Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000601076548 350659678 /nfs/dbraw/zinc/65/96/78/350659678.db2.gz OADVCMJCGWKFKZ-UHFFFAOYSA-N 0 0 288.307 2.613 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H]1CC(C)(C)CO1 ZINC000601075670 350659893 /nfs/dbraw/zinc/65/98/93/350659893.db2.gz GYRWXJUSXNNGQU-LLVKDONJSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CCO[C@@H]1C ZINC000601079640 350660851 /nfs/dbraw/zinc/66/08/51/350660851.db2.gz AKZIZDZPRKDEPE-GHMZBOCLSA-N 0 0 251.282 2.707 20 5 CFBDRN CC1(C)CO[C@@H](COc2c(F)cccc2[N+](=O)[O-])C1 ZINC000601082197 350662375 /nfs/dbraw/zinc/66/23/75/350662375.db2.gz ZGMRIYFNRIVTML-SECBINFHSA-N 0 0 269.272 2.928 20 5 CFBDRN CCCCC(=O)CSc1ccc([N+](=O)[O-])cn1 ZINC000601081307 350662471 /nfs/dbraw/zinc/66/24/71/350662471.db2.gz VACAEIZQACKUHL-UHFFFAOYSA-N 0 0 254.311 2.841 20 5 CFBDRN CCCCC(=O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000601081312 350662482 /nfs/dbraw/zinc/66/24/82/350662482.db2.gz VDEYLLQDKRKSOI-UHFFFAOYSA-N 0 0 255.245 2.872 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCOC2(CCCCC2)C1 ZINC000601121644 350674804 /nfs/dbraw/zinc/67/48/04/350674804.db2.gz OYMYTEVYDCEZIV-UHFFFAOYSA-N 0 0 295.314 2.668 20 5 CFBDRN C[C@H]1C[C@H](C)CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601099100 350667920 /nfs/dbraw/zinc/66/79/20/350667920.db2.gz SKXKVSZSCXBLLV-IUCAKERBSA-N 0 0 253.277 2.611 20 5 CFBDRN C[C@H]1CCCCN1c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601100129 350668613 /nfs/dbraw/zinc/66/86/13/350668613.db2.gz MIDULJIZGRKFTM-ZETCQYMHSA-N 0 0 292.261 2.726 20 5 CFBDRN CCC(CC)(CCO)CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601125522 350674999 /nfs/dbraw/zinc/67/49/99/350674999.db2.gz RPXXZXPSQBAXSY-UHFFFAOYSA-N 0 0 285.319 2.730 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@H]1c1ccccn1 ZINC000601105774 350670090 /nfs/dbraw/zinc/67/00/90/350670090.db2.gz HSFUFMCECQWHGV-LBPRGKRZSA-N 0 0 288.282 2.865 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H](C)O[C@H]1C ZINC000601223691 350702049 /nfs/dbraw/zinc/70/20/49/350702049.db2.gz LACRQEANVPPZDX-LPEHRKFASA-N 0 0 266.297 2.581 20 5 CFBDRN Cc1nc(Nc2cnn3c2CCCC3)ccc1[N+](=O)[O-] ZINC000601232824 350704747 /nfs/dbraw/zinc/70/47/47/350704747.db2.gz URGXWVMNMQUGKT-UHFFFAOYSA-N 0 0 273.296 2.575 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1cccc2c1CCOC2 ZINC000601240267 350707839 /nfs/dbraw/zinc/70/78/39/350707839.db2.gz XITZQMVQIKEMNY-UHFFFAOYSA-N 0 0 285.303 2.675 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCCn3nccc32)n1 ZINC000601269604 350713105 /nfs/dbraw/zinc/71/31/05/350713105.db2.gz IXQGUWWLARBBKJ-LLVKDONJSA-N 0 0 287.323 2.750 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC(C(F)(F)F)C1 ZINC000601129507 350676982 /nfs/dbraw/zinc/67/69/82/350676982.db2.gz TVKNIVKBEFKRLE-UHFFFAOYSA-N 0 0 252.217 2.655 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCC[C@H]1CCCC[C@H]1O ZINC000601130665 350677594 /nfs/dbraw/zinc/67/75/94/350677594.db2.gz APJDYVUHDODVIM-ZWNOBZJWSA-N 0 0 297.330 2.872 20 5 CFBDRN C[C@H]1CN(c2ncc(F)cc2[N+](=O)[O-])CC[C@@H]1C ZINC000601135451 350678168 /nfs/dbraw/zinc/67/81/68/350678168.db2.gz FEYSHCHOLRVGIB-IUCAKERBSA-N 0 0 253.277 2.611 20 5 CFBDRN CCOC1CC(CCNc2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601151480 350683172 /nfs/dbraw/zinc/68/31/72/350683172.db2.gz BLGCRVPCOXHFQQ-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CCC4(CCO4)CC3)c2c1 ZINC000601162484 350686101 /nfs/dbraw/zinc/68/61/01/350686101.db2.gz PUJSFFZVLSRPBT-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN COCC1(CCNc2ncc(F)cc2[N+](=O)[O-])CCC1 ZINC000601170125 350687450 /nfs/dbraw/zinc/68/74/50/350687450.db2.gz WKQVCMSGCWTLIO-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@H](F)C3)c2c1 ZINC000601171846 350687850 /nfs/dbraw/zinc/68/78/50/350687850.db2.gz RIOQDGODOKDAMG-VIFPVBQESA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC[C@@H](C2CCC2)C1 ZINC000601175488 350689639 /nfs/dbraw/zinc/68/96/39/350689639.db2.gz PNYVFJUEWCIGJV-SNVBAGLBSA-N 0 0 265.288 2.755 20 5 CFBDRN Cc1n[nH]cc1CN(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000601182341 350690817 /nfs/dbraw/zinc/69/08/17/350690817.db2.gz VHYOAUMDHSSPFD-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN CCc1csc([C@H](C)Nc2c([N+](=O)[O-])ncn2C)n1 ZINC000601181650 350690933 /nfs/dbraw/zinc/69/09/33/350690933.db2.gz KZECKVZUTMXSQJ-ZETCQYMHSA-N 0 0 281.341 2.520 20 5 CFBDRN CCOc1cc(N(C)Cc2c[nH]nc2C)ccc1[N+](=O)[O-] ZINC000601181972 350690990 /nfs/dbraw/zinc/69/09/90/350690990.db2.gz QGJYVWYETPDEDI-UHFFFAOYSA-N 0 0 290.323 2.661 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H](C1CC1)[C@H]1CCCCO1 ZINC000601186832 350691663 /nfs/dbraw/zinc/69/16/63/350691663.db2.gz CDRXPFOGUONVHK-OLZOCXBDSA-N 0 0 295.314 2.889 20 5 CFBDRN Cc1cc(N(C)Cc2ccns2)ccc1[N+](=O)[O-] ZINC000601193782 350693599 /nfs/dbraw/zinc/69/35/99/350693599.db2.gz PIQXJTQLCWMIPO-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C)C1CC1 ZINC000601195263 350694134 /nfs/dbraw/zinc/69/41/34/350694134.db2.gz IWPZNDFKPBIALY-ZETCQYMHSA-N 0 0 292.261 2.948 20 5 CFBDRN CC(=O)c1cc(NCC(C)(C)F)ccc1[N+](=O)[O-] ZINC000601205765 350696695 /nfs/dbraw/zinc/69/66/95/350696695.db2.gz GONLKTNMZVDSKJ-UHFFFAOYSA-N 0 0 254.261 2.957 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC(C)(C)F ZINC000601206189 350696989 /nfs/dbraw/zinc/69/69/89/350696989.db2.gz PKKXFCDQGSFXKP-UHFFFAOYSA-N 0 0 256.277 2.901 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000601210969 350698028 /nfs/dbraw/zinc/69/80/28/350698028.db2.gz UYQCFFFBSKEZRC-SNVBAGLBSA-N 0 0 291.307 2.521 20 5 CFBDRN Cc1cc(N2CC(c3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000601211113 350698101 /nfs/dbraw/zinc/69/81/01/350698101.db2.gz YRMVGNMPNRKNQW-UHFFFAOYSA-N 0 0 269.304 2.902 20 5 CFBDRN CCC[C@H](CCO)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000601212198 350699023 /nfs/dbraw/zinc/69/90/23/350699023.db2.gz JKQUZIIFFXCOCT-GFCCVEGCSA-N 0 0 289.335 2.528 20 5 CFBDRN CCC[C@@H](CCO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000601211990 350699079 /nfs/dbraw/zinc/69/90/79/350699079.db2.gz CYSSWNYSWPYJHK-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CCC[C@@H](CCO)Nc1cccc(OC)c1[N+](=O)[O-] ZINC000601212952 350699512 /nfs/dbraw/zinc/69/95/12/350699512.db2.gz STPHNQBOWNNZPO-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1NCC[C@H](O)C(F)F ZINC000601223031 350701546 /nfs/dbraw/zinc/70/15/46/350701546.db2.gz VIMIPKYLNNLTQD-VIFPVBQESA-N 0 0 296.220 2.960 20 5 CFBDRN CC(C)(C(=O)N1CC[C@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000601601859 350745075 /nfs/dbraw/zinc/74/50/75/350745075.db2.gz HQYBMFVEPXVIEX-NSHDSACASA-N 0 0 298.289 2.738 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]2C1 ZINC000601611477 350747951 /nfs/dbraw/zinc/74/79/51/350747951.db2.gz IZIRDNQEIYPKCC-PHIMTYICSA-N 0 0 292.310 2.996 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCC(C)(C)F ZINC000601624584 350749644 /nfs/dbraw/zinc/74/96/44/350749644.db2.gz FQBSEIMHJHAULE-UHFFFAOYSA-N 0 0 297.330 2.527 20 5 CFBDRN CC(C)(C)C1CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601630102 350750827 /nfs/dbraw/zinc/75/08/27/350750827.db2.gz NXDGKPFDZRGFFJ-UHFFFAOYSA-N 0 0 280.299 2.852 20 5 CFBDRN O=C(N[C@@H]1CC12CC2)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601649047 350752666 /nfs/dbraw/zinc/75/26/66/350752666.db2.gz UVOPAJAKYTWSSZ-CYBMUJFWSA-N 0 0 286.331 2.685 20 5 CFBDRN C[C@]1(CNC(=O)c2c(F)cccc2[N+](=O)[O-])CC1(F)F ZINC000601669492 350756186 /nfs/dbraw/zinc/75/61/86/350756186.db2.gz AISGRHJOSYPJRK-LLVKDONJSA-N 0 0 288.225 2.509 20 5 CFBDRN CSC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000601692199 350761996 /nfs/dbraw/zinc/76/19/96/350761996.db2.gz MPOLEEUZGWAELL-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601309511 350720711 /nfs/dbraw/zinc/72/07/11/350720711.db2.gz DGDLRSQVXQTVKO-CQSZACIVSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)[C@@H](C)O1 ZINC000601353406 350725980 /nfs/dbraw/zinc/72/59/80/350725980.db2.gz KFYDKBNWGGRXAC-KBVBSXBZSA-N 0 0 289.291 2.926 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CC[C@@H](C2CC2)C1 ZINC000601391733 350729034 /nfs/dbraw/zinc/72/90/34/350729034.db2.gz AOAWPFHPEJMDKV-SNVBAGLBSA-N 0 0 278.283 2.606 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000601411133 350730916 /nfs/dbraw/zinc/73/09/16/350730916.db2.gz OFYSQFRNVDPILO-NEPJUHHUSA-N 0 0 299.330 2.971 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601442589 350732941 /nfs/dbraw/zinc/73/29/41/350732941.db2.gz FZOGEEZVKWMFRK-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601461665 350733730 /nfs/dbraw/zinc/73/37/30/350733730.db2.gz KRJNJXPKUOMDEW-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN CCC(C)(C)CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601528734 350736483 /nfs/dbraw/zinc/73/64/83/350736483.db2.gz SBZXEUNAYNJNPJ-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CCOC[C@@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000601527065 350736709 /nfs/dbraw/zinc/73/67/09/350736709.db2.gz RTLHZZGZVAIFHI-LLVKDONJSA-N 0 0 298.314 2.525 20 5 CFBDRN Cc1c(CNC(=O)[C@H](C)c2ccncc2)cccc1[N+](=O)[O-] ZINC000601525334 350736797 /nfs/dbraw/zinc/73/67/97/350736797.db2.gz LQBNNQWDBGUZQR-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN O=C(N[C@H]1CC12CCCC2)c1c(F)cccc1[N+](=O)[O-] ZINC000601528720 350736808 /nfs/dbraw/zinc/73/68/08/350736808.db2.gz RTGRCTNYCWOXAP-NSHDSACASA-N 0 0 278.283 2.796 20 5 CFBDRN CSC1(CNC(=O)c2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000601537097 350737537 /nfs/dbraw/zinc/73/75/37/350737537.db2.gz SRMIPLDHUWYHEX-UHFFFAOYSA-N 0 0 298.339 2.749 20 5 CFBDRN CC1=C(C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601541696 350737884 /nfs/dbraw/zinc/73/78/84/350737884.db2.gz JJWJXCKIUPJFQY-UHFFFAOYSA-N 0 0 278.283 2.916 20 5 CFBDRN CC1(C)CN(C(=O)C2(c3ccc([N+](=O)[O-])cc3)CCC2)C1 ZINC000601538722 350738099 /nfs/dbraw/zinc/73/80/99/350738099.db2.gz PDJWHBAHHMYTJY-UHFFFAOYSA-N 0 0 288.347 2.885 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC[C@H](C2CC2)C1 ZINC000601582056 350742363 /nfs/dbraw/zinc/74/23/63/350742363.db2.gz JVBFXGUTZFKGTA-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(COC3CCC3)no2)c1 ZINC000601964553 350820361 /nfs/dbraw/zinc/82/03/61/350820361.db2.gz LHOZAROCUFUXQW-UHFFFAOYSA-N 0 0 289.291 2.638 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CCCC23CC3)cc1[N+](=O)[O-] ZINC000601706214 350765819 /nfs/dbraw/zinc/76/58/19/350765819.db2.gz UWMMVIKMCXAVQW-ZDUSSCGKSA-N 0 0 289.335 2.699 20 5 CFBDRN CCC(C)(C)CCNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000601745274 350771552 /nfs/dbraw/zinc/77/15/52/350771552.db2.gz UXVFXNMZTVJFCP-UHFFFAOYSA-N 0 0 279.340 2.854 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000601762864 350772634 /nfs/dbraw/zinc/77/26/34/350772634.db2.gz AHNKMOUGRNRVRB-NQMVMOMDSA-N 0 0 298.726 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C)(F)CC2)c1 ZINC000601869280 350794322 /nfs/dbraw/zinc/79/43/22/350794322.db2.gz QWGONPDDKXKKFF-UHFFFAOYSA-N 0 0 280.299 2.867 20 5 CFBDRN COc1c(C(=O)N2CCC(C)(F)CC2)cccc1[N+](=O)[O-] ZINC000601868297 350794339 /nfs/dbraw/zinc/79/43/39/350794339.db2.gz JEGQWLDGDZQPNA-UHFFFAOYSA-N 0 0 296.298 2.568 20 5 CFBDRN COc1cc(C(=O)N2CCC(C)(F)CC2)ccc1[N+](=O)[O-] ZINC000601866349 350794522 /nfs/dbraw/zinc/79/45/22/350794522.db2.gz BWVSUMGTVKRJJN-UHFFFAOYSA-N 0 0 296.298 2.568 20 5 CFBDRN CNc1ccc(C(=O)N2CCC(C)(F)CC2)cc1[N+](=O)[O-] ZINC000601869079 350794568 /nfs/dbraw/zinc/79/45/68/350794568.db2.gz NNJJBOSIOGNQEA-UHFFFAOYSA-N 0 0 295.314 2.601 20 5 CFBDRN CC1(F)CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000601869811 350795479 /nfs/dbraw/zinc/79/54/79/350795479.db2.gz ZOYKZBCMZHAEEC-UHFFFAOYSA-N 0 0 266.272 2.559 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1C(F)(F)F ZINC000601893313 350799417 /nfs/dbraw/zinc/79/94/17/350799417.db2.gz LVVBLRBROPOSHR-NXEZZACHSA-N 0 0 288.225 2.584 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1ncccc1Cl ZINC000601913566 350800730 /nfs/dbraw/zinc/80/07/30/350800730.db2.gz SIEDUTFUWLSSOM-UHFFFAOYSA-N 0 0 298.755 2.940 20 5 CFBDRN C[C@H](CN(C)C(=O)c1cc([N+](=O)[O-])cn1C)C(C)(C)C ZINC000601924245 350803536 /nfs/dbraw/zinc/80/35/36/350803536.db2.gz YSLYETJLIOUNGE-SNVBAGLBSA-N 0 0 281.356 2.688 20 5 CFBDRN CC[C@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2)N=C1C(C)C ZINC000601933190 350805746 /nfs/dbraw/zinc/80/57/46/350805746.db2.gz CLKGKBAUVJBEHX-GFCCVEGCSA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)C1=NN(c2ccc([N+](=O)[O-])cc2)C(=O)CC1 ZINC000601935443 350807530 /nfs/dbraw/zinc/80/75/30/350807530.db2.gz UYUCNZFSAJOSDK-UHFFFAOYSA-N 0 0 261.281 2.734 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1N1N=C2CCC[C@H]2CC1=O ZINC000601938111 350809497 /nfs/dbraw/zinc/80/94/97/350809497.db2.gz HJAWBVWTOMZJHS-JTQLQIEISA-N 0 0 273.292 2.796 20 5 CFBDRN Cc1nn(-c2ccc([N+](=O)[O-])cc2C)c2c1CCC2 ZINC000601944238 350812866 /nfs/dbraw/zinc/81/28/66/350812866.db2.gz XMFQZNNADGTJLS-UHFFFAOYSA-N 0 0 257.293 2.886 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000602070726 350837534 /nfs/dbraw/zinc/83/75/34/350837534.db2.gz IIKFSJOQYUDYOQ-GXFFZTMASA-N 0 0 294.351 2.569 20 5 CFBDRN CC(C)=C(C)CC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000602085937 350839076 /nfs/dbraw/zinc/83/90/76/350839076.db2.gz YYQRWHHEYGTGQY-UHFFFAOYSA-N 0 0 276.336 3.000 20 5 CFBDRN CC[C@@H](NCc1cc(C)ncn1)c1cccc([N+](=O)[O-])c1 ZINC000271416375 191125701 /nfs/dbraw/zinc/12/57/01/191125701.db2.gz BFOHYRQHMYUKEM-OAHLLOKOSA-N 0 0 286.335 2.934 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@H]1C ZINC000602269358 350857895 /nfs/dbraw/zinc/85/78/95/350857895.db2.gz TUIIRZMCXPGEMJ-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H](CC(=O)N(C)c1ccc([N+](=O)[O-])nc1)c1ccco1 ZINC000602322480 350863086 /nfs/dbraw/zinc/86/30/86/350863086.db2.gz OVUXUFZFYGVNTM-JTQLQIEISA-N 0 0 289.291 2.739 20 5 CFBDRN CC(C)(C)OCCNCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602525119 350914302 /nfs/dbraw/zinc/91/43/02/350914302.db2.gz QZEIYWGOWLJRHK-UHFFFAOYSA-N 0 0 293.323 2.641 20 5 CFBDRN C/C=C\CNC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000602375339 350871512 /nfs/dbraw/zinc/87/15/12/350871512.db2.gz OCMLXKXAAHJSLW-PLNGDYQASA-N 0 0 277.324 2.705 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@H]1C(F)(F)F ZINC000602384494 350872069 /nfs/dbraw/zinc/87/20/69/350872069.db2.gz FNHJLDMKFUMUEV-JTQLQIEISA-N 0 0 288.225 2.762 20 5 CFBDRN Cc1cc(C(=O)Nc2nccc(C3CC3)n2)ccc1[N+](=O)[O-] ZINC000602384013 350872186 /nfs/dbraw/zinc/87/21/86/350872186.db2.gz TZIIEFDFHBQITN-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@H]1C(F)(F)F ZINC000602387840 350872825 /nfs/dbraw/zinc/87/28/25/350872825.db2.gz PLOLSBHFBPAXHQ-ZETCQYMHSA-N 0 0 294.254 2.823 20 5 CFBDRN CC(C)c1coc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000602399426 350874232 /nfs/dbraw/zinc/87/42/32/350874232.db2.gz VUNHDFRSTABKIG-UHFFFAOYSA-N 0 0 289.291 2.887 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC(CC(F)F)C3)nc2c1 ZINC000602691451 350981591 /nfs/dbraw/zinc/98/15/91/350981591.db2.gz GKHKYZUFWSMHDF-UHFFFAOYSA-N 0 0 297.261 2.823 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000112385763 186493405 /nfs/dbraw/zinc/49/34/05/186493405.db2.gz SDNVWBCKZLKKPH-IINYFYTJSA-N 0 0 295.339 2.513 20 5 CFBDRN CN(Cc1cn(C)nc1[N+](=O)[O-])C(C)(C)c1ccccc1 ZINC000602826984 351038681 /nfs/dbraw/zinc/03/86/81/351038681.db2.gz MXUAPXNHYSYOAD-UHFFFAOYSA-N 0 0 288.351 2.695 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC[C@H]2c2cn[nH]c2)c1 ZINC000602883072 351056954 /nfs/dbraw/zinc/05/69/54/351056954.db2.gz WAYXVOMOEZFCNP-AWEZNQCLSA-N 0 0 272.308 2.655 20 5 CFBDRN Cc1nocc1NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000603092725 351104511 /nfs/dbraw/zinc/10/45/11/351104511.db2.gz YYWVHJXKFYSWRW-NWDGAFQWSA-N 0 0 287.275 2.633 20 5 CFBDRN CC[C@@H](C)Cn1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000603194799 351116414 /nfs/dbraw/zinc/11/64/14/351116414.db2.gz FVSAKBKKJWGQNS-LLVKDONJSA-N 0 0 290.323 2.811 20 5 CFBDRN CC[C@@H](C)n1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000603199780 351117235 /nfs/dbraw/zinc/11/72/35/351117235.db2.gz URZZCYDAMBACCJ-SNVBAGLBSA-N 0 0 276.296 2.736 20 5 CFBDRN Cc1cc(NC(=O)N2OC3CCC2CC3)ccc1[N+](=O)[O-] ZINC000602925651 351068292 /nfs/dbraw/zinc/06/82/92/351068292.db2.gz KRNMFLFEZVPEAV-UHFFFAOYSA-N 0 0 291.307 2.994 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602959439 351072493 /nfs/dbraw/zinc/07/24/93/351072493.db2.gz MYRSSMGPJFGDRE-LLVKDONJSA-N 0 0 292.339 2.517 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN[C@H]1CCCn2nccc21 ZINC000603026848 351092017 /nfs/dbraw/zinc/09/20/17/351092017.db2.gz DKJAATFZLWKXDF-LBPRGKRZSA-N 0 0 290.298 2.555 20 5 CFBDRN Cc1cc(-c2nc(C3CCOCC3)no2)ccc1[N+](=O)[O-] ZINC000113753844 186594998 /nfs/dbraw/zinc/59/49/98/186594998.db2.gz LRSQKAJWNPRLBY-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1cc(NCCCOc2ncccn2)c([N+](=O)[O-])s1 ZINC000603403201 351157428 /nfs/dbraw/zinc/15/74/28/351157428.db2.gz SKQGJBQPWKMLJS-UHFFFAOYSA-N 0 0 294.336 2.636 20 5 CFBDRN Cc1cc(NCC2CSC2)c(F)cc1[N+](=O)[O-] ZINC000603414470 351159576 /nfs/dbraw/zinc/15/95/76/351159576.db2.gz UMPABORBCVENFE-UHFFFAOYSA-N 0 0 256.302 2.817 20 5 CFBDRN CCc1nnc(Sc2nc(C)cc(C)c2[N+](=O)[O-])o1 ZINC000603418094 351160375 /nfs/dbraw/zinc/16/03/75/351160375.db2.gz USHROONEKXDZLG-UHFFFAOYSA-N 0 0 280.309 2.703 20 5 CFBDRN CCOc1ccn(Cc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000603424988 351161703 /nfs/dbraw/zinc/16/17/03/351161703.db2.gz SYMJVWFTRZTEHO-UHFFFAOYSA-N 0 0 281.699 2.892 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)Cc2ccco2)c(F)c1 ZINC000603627620 351176305 /nfs/dbraw/zinc/17/63/05/351176305.db2.gz MWFFMRPIHYEAOV-SNVBAGLBSA-N 0 0 294.282 2.590 20 5 CFBDRN COc1ccc(NC(=O)CCC(C)(F)F)c([N+](=O)[O-])c1 ZINC000603732626 351180127 /nfs/dbraw/zinc/18/01/27/351180127.db2.gz MILMWYOEHVCSKA-UHFFFAOYSA-N 0 0 288.250 2.977 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000603755953 351180559 /nfs/dbraw/zinc/18/05/59/351180559.db2.gz AFHPGSBSVUFBGS-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(CC1CC1)C(C)C ZINC000603756167 351180567 /nfs/dbraw/zinc/18/05/67/351180567.db2.gz ULECBZXODJWCOQ-UHFFFAOYSA-N 0 0 277.324 2.559 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000603755895 351180736 /nfs/dbraw/zinc/18/07/36/351180736.db2.gz JGVFZFYKGZRJEB-UHFFFAOYSA-N 0 0 268.338 2.917 20 5 CFBDRN O=C1CCCN1c1ccc(Nc2cccnc2[N+](=O)[O-])cc1 ZINC000603838333 351184971 /nfs/dbraw/zinc/18/49/71/351184971.db2.gz GRQHOPTXGVPTTO-UHFFFAOYSA-N 0 0 298.302 2.860 20 5 CFBDRN Cc1csc(Sc2cccnc2[N+](=O)[O-])n1 ZINC000603837830 351184985 /nfs/dbraw/zinc/18/49/85/351184985.db2.gz KSHSTHQIYBTINX-UHFFFAOYSA-N 0 0 253.308 2.906 20 5 CFBDRN C[C@H](CNc1cccnc1[N+](=O)[O-])N1CCc2ccccc21 ZINC000603849966 351186258 /nfs/dbraw/zinc/18/62/58/351186258.db2.gz NUAWAELKHDIMTQ-GFCCVEGCSA-N 0 0 298.346 2.853 20 5 CFBDRN CC(=O)Nc1cccc(CNc2cccnc2[N+](=O)[O-])c1 ZINC000603856482 351186946 /nfs/dbraw/zinc/18/69/46/351186946.db2.gz XMUXKLVIPRNWLX-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOc3ccccc3C2)cc1 ZINC000603869834 351187993 /nfs/dbraw/zinc/18/79/93/351187993.db2.gz OZSIKRQVUUGHAO-UHFFFAOYSA-N 0 0 284.315 2.989 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1ccc(Br)cc1[N+](=O)[O-] ZINC000603266061 351127325 /nfs/dbraw/zinc/12/73/25/351127325.db2.gz KEHXTMYUDIZSFM-SSDOTTSWSA-N 0 0 299.124 2.592 20 5 CFBDRN C[C@@H]1CCN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000603264445 351127608 /nfs/dbraw/zinc/12/76/08/351127608.db2.gz CBBPCVGFLAVRJC-SECBINFHSA-N 0 0 280.299 2.632 20 5 CFBDRN Cc1ccc(Cl)c(OCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000603302246 351134131 /nfs/dbraw/zinc/13/41/31/351134131.db2.gz FHFGMBPVOBXDGZ-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CCC(F)(F)COc1ccc([N+](=O)[O-])c(CO)c1 ZINC000603328870 351140396 /nfs/dbraw/zinc/14/03/96/351140396.db2.gz IZHRRDWWAOIEHI-UHFFFAOYSA-N 0 0 261.224 2.511 20 5 CFBDRN O=C(NCCCCc1ccccc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000604071343 351199425 /nfs/dbraw/zinc/19/94/25/351199425.db2.gz CBJKSAIKXPWVTQ-UHFFFAOYSA-N 0 0 287.319 2.676 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000604085675 351199576 /nfs/dbraw/zinc/19/95/76/351199576.db2.gz MBABLVKEFHNNJR-SNVBAGLBSA-N 0 0 267.329 2.869 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]2CCCC[C@H]12 ZINC000604101955 351199864 /nfs/dbraw/zinc/19/98/64/351199864.db2.gz DQTUCSQQNJUKIZ-NQBHXWOUSA-N 0 0 291.351 2.964 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000604395933 351211029 /nfs/dbraw/zinc/21/10/29/351211029.db2.gz JSKXKHULLQRRNP-QMMMGPOBSA-N 0 0 266.272 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)Cc2ccco2)s1 ZINC000604408839 351211624 /nfs/dbraw/zinc/21/16/24/351211624.db2.gz ZUWYVXNWNBHHLI-VIFPVBQESA-N 0 0 282.321 2.512 20 5 CFBDRN Cc1ccc(NC(=O)CCCn2ccnc2)cc1[N+](=O)[O-] ZINC000604453357 351213131 /nfs/dbraw/zinc/21/31/31/351213131.db2.gz XVCFEFJIAYMKMM-UHFFFAOYSA-N 0 0 288.307 2.519 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@@H](c2ccccc2)C1 ZINC000604502699 351215438 /nfs/dbraw/zinc/21/54/38/351215438.db2.gz FQCOXGKWKMWTSS-CYBMUJFWSA-N 0 0 269.304 2.984 20 5 CFBDRN Cc1occc1CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000604546797 351218185 /nfs/dbraw/zinc/21/81/85/351218185.db2.gz DOVHCBJANDTGTR-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000116272231 186703791 /nfs/dbraw/zinc/70/37/91/186703791.db2.gz XWWTXWGERZFICN-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cccc2[nH]cnc21 ZINC000118728588 186781514 /nfs/dbraw/zinc/78/15/14/186781514.db2.gz IXLKRVXNSLTRPI-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)COCC1CC1 ZINC000291371202 198002824 /nfs/dbraw/zinc/00/28/24/198002824.db2.gz DBXIGAZEXOULTR-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1noc(C)c1CCNc1ccsc1[N+](=O)[O-] ZINC000609333869 351497061 /nfs/dbraw/zinc/49/70/61/351497061.db2.gz ZLPKXLUHGAKMAS-UHFFFAOYSA-N 0 0 267.310 2.916 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCOc2ccccc2C1 ZINC000609383843 351499986 /nfs/dbraw/zinc/49/99/86/351499986.db2.gz IWTQNEDLSNDFQH-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN CCCc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)n[nH]1 ZINC000609474470 351503218 /nfs/dbraw/zinc/50/32/18/351503218.db2.gz XMIJXLUXXIKJDO-UHFFFAOYSA-N 0 0 299.290 2.987 20 5 CFBDRN CCO[C@H]1CCCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000609495115 351504317 /nfs/dbraw/zinc/50/43/17/351504317.db2.gz UVFZWCMWXGGXER-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1ncc[nH]1 ZINC000609536107 351506285 /nfs/dbraw/zinc/50/62/85/351506285.db2.gz KZGNXKBIRBGEIT-SNVBAGLBSA-N 0 0 260.297 2.511 20 5 CFBDRN Cc1noc([C@H]2CCCN(c3ccc([N+](=O)[O-])s3)C2)n1 ZINC000609560388 351506942 /nfs/dbraw/zinc/50/69/42/351506942.db2.gz JTOJAXLFMSYSLG-VIFPVBQESA-N 0 0 294.336 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(n3ccnc3)CC2)s1 ZINC000609560645 351507253 /nfs/dbraw/zinc/50/72/53/351507253.db2.gz DCXYYSVTEYAFNC-UHFFFAOYSA-N 0 0 278.337 2.694 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])s1 ZINC000609571309 351508684 /nfs/dbraw/zinc/50/86/84/351508684.db2.gz XODLNBITTPZVCU-KWQFWETISA-N 0 0 258.343 2.865 20 5 CFBDRN C[C@@H](CNc1cccnc1[N+](=O)[O-])Oc1ccccc1 ZINC000609613566 351512301 /nfs/dbraw/zinc/51/23/01/351512301.db2.gz CIKJKTDNCKSEFZ-NSHDSACASA-N 0 0 273.292 2.869 20 5 CFBDRN Cc1nc(CNc2cccnc2[N+](=O)[O-])nc2ccccc12 ZINC000608831765 351478580 /nfs/dbraw/zinc/47/85/80/351478580.db2.gz QQEBGWCBDJIWKR-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN C[C@H](C[C@H]1CCCO1)Nc1ccccc1[N+](=O)[O-] ZINC000608831512 351478748 /nfs/dbraw/zinc/47/87/48/351478748.db2.gz IMEYENUPOGWPBJ-GHMZBOCLSA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1(C)CCOCC1 ZINC000608846137 351479772 /nfs/dbraw/zinc/47/97/72/351479772.db2.gz ATIGXALDYMXXFL-UHFFFAOYSA-N 0 0 292.335 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1(C)CCOCC1 ZINC000608846266 351479864 /nfs/dbraw/zinc/47/98/64/351479864.db2.gz GUAMOCDUOMBCSV-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1cccc(CC(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000608847371 351480362 /nfs/dbraw/zinc/48/03/62/351480362.db2.gz RKDDTEWWSGQLQK-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000609011712 351483513 /nfs/dbraw/zinc/48/35/13/351483513.db2.gz BXWXRKVRACPIFS-MNOVXSKESA-N 0 0 294.351 2.697 20 5 CFBDRN CCN1CCc2ccc(Nc3ccncc3[N+](=O)[O-])cc21 ZINC000609075131 351483900 /nfs/dbraw/zinc/48/39/00/351483900.db2.gz JOWVERLATLUXBV-UHFFFAOYSA-N 0 0 284.319 2.538 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1C1CC1 ZINC000609139480 351486669 /nfs/dbraw/zinc/48/66/69/351486669.db2.gz NUAINHDLVWISOP-AWEZNQCLSA-N 0 0 289.335 2.679 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000119657821 186842891 /nfs/dbraw/zinc/84/28/91/186842891.db2.gz YQYBTESHHRMQDJ-MJVIPROJSA-N 0 0 292.335 2.648 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CCCO[C@H]1C(C)(C)C ZINC000609823057 351521806 /nfs/dbraw/zinc/52/18/06/351521806.db2.gz ACBPOSGEEMEYFH-GHMZBOCLSA-N 0 0 296.371 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(Oc3cccnc3)c2c1 ZINC000609849912 351522804 /nfs/dbraw/zinc/52/28/04/351522804.db2.gz YBNYUZFQBAPLTI-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN Cc1cc(C(=O)N(C(C)C)[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000291453015 198026050 /nfs/dbraw/zinc/02/60/50/198026050.db2.gz CMGVPNLXJKWTFX-CYBMUJFWSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccc([C@H](C)CNc2ccncc2[N+](=O)[O-])cc1 ZINC000609964068 351528233 /nfs/dbraw/zinc/52/82/33/351528233.db2.gz DLSDEQUPTRWROY-GFCCVEGCSA-N 0 0 271.320 2.936 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCO[C@H](C(F)(F)F)C1 ZINC000610076801 351533547 /nfs/dbraw/zinc/53/35/47/351533547.db2.gz ATIPNFALWFULMZ-JTQLQIEISA-N 0 0 294.204 2.501 20 5 CFBDRN CC1(C)CCN1C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000610116627 351534652 /nfs/dbraw/zinc/53/46/52/351534652.db2.gz HZYXKVRYUWREOU-UHFFFAOYSA-N 0 0 287.319 2.560 20 5 CFBDRN C[C@@H](C(=O)NCc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000610171924 351536612 /nfs/dbraw/zinc/53/66/12/351536612.db2.gz KLKGPALXRLDPSP-SNVBAGLBSA-N 0 0 274.276 2.608 20 5 CFBDRN C[C@@H](C(=O)NCc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000610173415 351536730 /nfs/dbraw/zinc/53/67/30/351536730.db2.gz IAHAIZVUZDTKDX-SNVBAGLBSA-N 0 0 274.276 2.608 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C2CCCC1CCC2 ZINC000610235905 351542339 /nfs/dbraw/zinc/54/23/39/351542339.db2.gz IFAHSTSPDYTHHA-UHFFFAOYSA-N 0 0 289.335 2.845 20 5 CFBDRN CC(C)(C)[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000610247466 351544157 /nfs/dbraw/zinc/54/41/57/351544157.db2.gz QDPDVDOVXQKNNB-VIFPVBQESA-N 0 0 294.229 2.895 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2cnccn2)cs1 ZINC000610247584 351544227 /nfs/dbraw/zinc/54/42/27/351544227.db2.gz DQFPZXBROSXTGZ-UHFFFAOYSA-N 0 0 253.308 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](n3ccnc3)C2)s1 ZINC000610276318 351546468 /nfs/dbraw/zinc/54/64/68/351546468.db2.gz KZWYGXFAHYPVDL-SNVBAGLBSA-N 0 0 278.337 2.694 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H](O)C2CCCCC2)c1 ZINC000610275956 351547213 /nfs/dbraw/zinc/54/72/13/351547213.db2.gz MBUFPDQGXOUPEW-HNNXBMFYSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1ccccc1[C@@H]1CN(c2cccnc2[N+](=O)[O-])CCO1 ZINC000610279816 351547703 /nfs/dbraw/zinc/54/77/03/351547703.db2.gz JJZCWIKHJSCMGY-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)OC ZINC000291436348 198020725 /nfs/dbraw/zinc/02/07/25/198020725.db2.gz MGURWVFMYPOBOF-UHFFFAOYSA-N 0 0 266.297 2.521 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCOC2(CCCCC2)C1 ZINC000610281145 351549128 /nfs/dbraw/zinc/54/91/28/351549128.db2.gz XYBPMDROYXNQMY-UHFFFAOYSA-N 0 0 277.324 2.529 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000610281108 351549538 /nfs/dbraw/zinc/54/95/38/351549538.db2.gz PDNKAVUCQOOBJI-QJPTWQEYSA-N 0 0 261.325 2.792 20 5 CFBDRN Cc1ccnc(N(C)Cc2nc3ccccc3[nH]2)c1[N+](=O)[O-] ZINC000609669075 351515167 /nfs/dbraw/zinc/51/51/67/351515167.db2.gz NKORANZWKDSVJZ-UHFFFAOYSA-N 0 0 297.318 2.811 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCCC[C@H]1c1ccco1 ZINC000609704886 351516561 /nfs/dbraw/zinc/51/65/61/351516561.db2.gz ZYQUFWVTAFTILH-JTQLQIEISA-N 0 0 276.296 2.653 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@@H](C(F)(F)F)O1 ZINC000610411897 351564744 /nfs/dbraw/zinc/56/47/44/351564744.db2.gz BXZAKMULPFFZDC-RQJHMYQMSA-N 0 0 296.270 2.812 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2cccnc2[N+](=O)[O-])C1(C)C ZINC000610411152 351564855 /nfs/dbraw/zinc/56/48/55/351564855.db2.gz WVVGSJJMNWOTIN-GXFFZTMASA-N 0 0 265.313 2.605 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CC1(C)C ZINC000610468424 351567510 /nfs/dbraw/zinc/56/75/10/351567510.db2.gz VBBDIPJRXLJANN-GFCCVEGCSA-N 0 0 250.298 2.952 20 5 CFBDRN Cc1cccn2cc(CCNc3ccc([N+](=O)[O-])nc3)nc12 ZINC000610468937 351568251 /nfs/dbraw/zinc/56/82/51/351568251.db2.gz ZKTOUHSUHABWTG-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@]2(C)CCCC[C@@H]2O)c1 ZINC000610489335 351569986 /nfs/dbraw/zinc/56/99/86/351569986.db2.gz IWIPHROVDJBTOW-GJZGRUSLSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1ccc(CN(C)Cc2cscn2)cc1[N+](=O)[O-] ZINC000120219235 186884062 /nfs/dbraw/zinc/88/40/62/186884062.db2.gz GYXMJAQMSNSWMJ-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)c2ccccc2)s1 ZINC000610516821 351575465 /nfs/dbraw/zinc/57/54/65/351575465.db2.gz AUXURRYKRCUIGM-NSHDSACASA-N 0 0 278.333 2.844 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000610519370 351576247 /nfs/dbraw/zinc/57/62/47/351576247.db2.gz WPVRDRKNKYUMCA-UHFFFAOYSA-N 0 0 290.279 2.868 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccncc2[N+](=O)[O-])C1(CC)CC ZINC000610516952 351576612 /nfs/dbraw/zinc/57/66/12/351576612.db2.gz MLUFFJZQXLSBJW-UONOGXRCSA-N 0 0 293.367 2.807 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1cccc([N+](=O)[O-])c1N)CC2 ZINC000610520802 351577458 /nfs/dbraw/zinc/57/74/58/351577458.db2.gz AHZMWCXIXYHRTH-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](C)c2ccncc2)c1 ZINC000610526774 351579419 /nfs/dbraw/zinc/57/94/19/351579419.db2.gz VSUYUQOBYNULCN-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000610541855 351580029 /nfs/dbraw/zinc/58/00/29/351580029.db2.gz DTENWHSLUBZQLA-VIFPVBQESA-N 0 0 298.364 2.824 20 5 CFBDRN O=C(Nc1cccnc1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000119793361 186852237 /nfs/dbraw/zinc/85/22/37/186852237.db2.gz ARAPICICHQDGBH-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@H](C)[C@H](C)C2)c1 ZINC000610587309 351583650 /nfs/dbraw/zinc/58/36/50/351583650.db2.gz DZGWWJVBWCXJKU-AOOOYVTPSA-N 0 0 250.298 2.696 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(=O)[nH]c1 ZINC000610664793 351589949 /nfs/dbraw/zinc/58/99/49/351589949.db2.gz VXCYZFNDEYADCW-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1(C(F)(F)F)CC1 ZINC000610705806 351594227 /nfs/dbraw/zinc/59/42/27/351594227.db2.gz ZUMGHAMCCUPQCE-UHFFFAOYSA-N 0 0 288.225 2.728 20 5 CFBDRN O=[N+]([O-])c1cc(CS(=O)(=O)c2cccs2)cs1 ZINC000610705657 351594345 /nfs/dbraw/zinc/59/43/45/351594345.db2.gz HLPNVBBADZELLM-UHFFFAOYSA-N 0 0 289.359 2.692 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2(C(F)(F)F)CC2)c1 ZINC000610704387 351594390 /nfs/dbraw/zinc/59/43/90/351594390.db2.gz UUIRLEOHYJVVPD-UHFFFAOYSA-N 0 0 288.225 2.728 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000610734520 351599200 /nfs/dbraw/zinc/59/92/00/351599200.db2.gz MDILEJXHWSITAN-MNOVXSKESA-N 0 0 293.323 2.531 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000610734729 351599283 /nfs/dbraw/zinc/59/92/83/351599283.db2.gz HYFIAMVTVVBIBU-VHSXEESVSA-N 0 0 268.288 2.961 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1ccc(F)cn1 ZINC000610785942 351608407 /nfs/dbraw/zinc/60/84/07/351608407.db2.gz HMRMZFXWASNSMB-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000610790293 351608873 /nfs/dbraw/zinc/60/88/73/351608873.db2.gz BJDPOIZNPYDEOJ-SECBINFHSA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC(F)(F)C1 ZINC000610790483 351608967 /nfs/dbraw/zinc/60/89/67/351608967.db2.gz MAZXYJNSSBMCJC-VIFPVBQESA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC(F)(F)C2)c1 ZINC000610792416 351609582 /nfs/dbraw/zinc/60/95/82/351609582.db2.gz UXVVXSHARCEBBR-SECBINFHSA-N 0 0 284.262 2.821 20 5 CFBDRN CCC1(CC)[C@H](Nc2cccnc2[N+](=O)[O-])C[C@H]1OC ZINC000610808384 351610462 /nfs/dbraw/zinc/61/04/62/351610462.db2.gz GAGQFMJXZXTPPI-VXGBXAGGSA-N 0 0 279.340 2.995 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@H]1c1cccc(F)c1 ZINC000610304518 351553210 /nfs/dbraw/zinc/55/32/10/351553210.db2.gz AWWROLOICRHTDX-LBPRGKRZSA-N 0 0 289.266 2.649 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC(c2ccccn2)CC1 ZINC000611085141 351639300 /nfs/dbraw/zinc/63/93/00/351639300.db2.gz KTWMCTKZAGHZKT-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN C[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000611120828 351641897 /nfs/dbraw/zinc/64/18/97/351641897.db2.gz NHFCBNKWOBWORL-SNVBAGLBSA-N 0 0 277.324 2.583 20 5 CFBDRN C[C@@H](NC(=O)NCc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000611120449 351641971 /nfs/dbraw/zinc/64/19/71/351641971.db2.gz ICUUKOTZTJZOFZ-SNVBAGLBSA-N 0 0 277.324 2.583 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCCc2n[nH]cc21 ZINC000291471095 198030780 /nfs/dbraw/zinc/03/07/80/198030780.db2.gz DVZDJIOPSMAQRV-UHFFFAOYSA-N 0 0 272.308 2.579 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC=C(C(F)(F)F)CC1 ZINC000611133235 351643627 /nfs/dbraw/zinc/64/36/27/351643627.db2.gz VFZRAQWISJEFGV-UHFFFAOYSA-N 0 0 273.214 2.689 20 5 CFBDRN CCC[C@H](C)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000611143304 351644371 /nfs/dbraw/zinc/64/43/71/351644371.db2.gz GEBRMGSRHFZFEN-JTQLQIEISA-N 0 0 289.335 2.923 20 5 CFBDRN Cc1nc(Nc2cnn(C)c2C(F)F)ccc1[N+](=O)[O-] ZINC000611143840 351645023 /nfs/dbraw/zinc/64/50/23/351645023.db2.gz UHGMRIYXWIJGSV-UHFFFAOYSA-N 0 0 283.238 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC3(CCOC3)CC2)cs1 ZINC000611177807 351647567 /nfs/dbraw/zinc/64/75/67/351647567.db2.gz LYLCBLWTGLZNCT-UHFFFAOYSA-N 0 0 282.365 2.659 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC2(C1)CC(F)(F)C2 ZINC000611180232 351648939 /nfs/dbraw/zinc/64/89/39/351648939.db2.gz VIILKUIZEHBHSA-UHFFFAOYSA-N 0 0 268.263 2.826 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611204466 351651877 /nfs/dbraw/zinc/65/18/77/351651877.db2.gz NOKKWYKQYZLJJS-PWSUYJOCSA-N 0 0 279.340 2.995 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC(C(F)F)C1 ZINC000611212923 351652432 /nfs/dbraw/zinc/65/24/32/351652432.db2.gz YLDVGYSDOUFRMI-UHFFFAOYSA-N 0 0 296.229 2.678 20 5 CFBDRN Cc1cnc(N[C@@H](CO)CC2CCCC2)c([N+](=O)[O-])c1 ZINC000611222241 351652752 /nfs/dbraw/zinc/65/27/52/351652752.db2.gz OZQIGILNLSUJLV-GFCCVEGCSA-N 0 0 279.340 2.651 20 5 CFBDRN CCOCCC(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000291501472 198038776 /nfs/dbraw/zinc/03/87/76/198038776.db2.gz VBDLCDLJWKOOSM-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2cc(F)ccc2C1 ZINC000611257165 351658712 /nfs/dbraw/zinc/65/87/12/351658712.db2.gz IZNVSZRTSDMTDE-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1ccc2c(c1)COC2 ZINC000611256971 351659334 /nfs/dbraw/zinc/65/93/34/351659334.db2.gz RENCDCNGUBQRBZ-UHFFFAOYSA-N 0 0 257.249 2.764 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)SC ZINC000291514055 198040421 /nfs/dbraw/zinc/04/04/21/198040421.db2.gz XBDPNKBTPMPNHO-QMMMGPOBSA-N 0 0 268.338 2.847 20 5 CFBDRN CN(CCOC(C)(C)C)c1ncc([N+](=O)[O-])cc1Cl ZINC000610856348 351614567 /nfs/dbraw/zinc/61/45/67/351614567.db2.gz ZOKUYWIDQVVIDS-UHFFFAOYSA-N 0 0 287.747 2.895 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000610930052 351622692 /nfs/dbraw/zinc/62/26/92/351622692.db2.gz BPVKSHKQQXVSGS-DTWKUNHWSA-N 0 0 285.731 2.870 20 5 CFBDRN C[C@H]1COCCCN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000610946584 351623150 /nfs/dbraw/zinc/62/31/50/351623150.db2.gz KSKINEBRZQGSKO-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000610946487 351623589 /nfs/dbraw/zinc/62/35/89/351623589.db2.gz NARRBXCYVGAERO-VIFPVBQESA-N 0 0 285.731 2.506 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000611370600 351676769 /nfs/dbraw/zinc/67/67/69/351676769.db2.gz YBPGKQLTRULYAG-SECBINFHSA-N 0 0 278.337 2.763 20 5 CFBDRN C[C@@H](NC(=O)C1(C)CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000611385350 351677931 /nfs/dbraw/zinc/67/79/31/351677931.db2.gz OGXWDMIPLXCIKR-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000611413660 351687020 /nfs/dbraw/zinc/68/70/20/351687020.db2.gz KYSLRLFOVWFTTA-NXEZZACHSA-N 0 0 268.338 2.519 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000611413662 351687053 /nfs/dbraw/zinc/68/70/53/351687053.db2.gz KYSLRLFOVWFTTA-VHSXEESVSA-N 0 0 268.338 2.519 20 5 CFBDRN CC[C@H](C)n1nc(Nc2cccnc2[N+](=O)[O-])cc1C ZINC000611415507 351687355 /nfs/dbraw/zinc/68/73/55/351687355.db2.gz ZBGQPJFUEWZWBQ-VIFPVBQESA-N 0 0 275.312 2.631 20 5 CFBDRN CC(C)C[C@@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611467985 351693434 /nfs/dbraw/zinc/69/34/34/351693434.db2.gz WDNVGPCXWXCZED-NWDGAFQWSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCO[C@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000611467885 351693530 /nfs/dbraw/zinc/69/35/30/351693530.db2.gz NFVAVRDKFWUHSJ-VXGBXAGGSA-N 0 0 296.371 2.642 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](C)[C@H](F)C2)c1 ZINC000611494225 351696419 /nfs/dbraw/zinc/69/64/19/351696419.db2.gz HOSREFBSOBQLNL-MWLCHTKSSA-N 0 0 268.288 2.788 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H]1F ZINC000611494210 351696801 /nfs/dbraw/zinc/69/68/01/351696801.db2.gz HDLRRZZRLJCKNK-XVKPBYJWSA-N 0 0 273.695 2.828 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@@H](F)C2)c(F)cc1[N+](=O)[O-] ZINC000611494156 351696867 /nfs/dbraw/zinc/69/68/67/351696867.db2.gz FBFLGXHXGMBOQK-SCZZXKLOSA-N 0 0 286.278 2.927 20 5 CFBDRN C[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H]1F ZINC000611494546 351697265 /nfs/dbraw/zinc/69/72/65/351697265.db2.gz VNPGTJNMOVEAET-APPZFPTMSA-N 0 0 273.695 2.828 20 5 CFBDRN C[C@H]1CCN(Cc2ccccc2[N+](=O)[O-])C[C@H]1F ZINC000611501495 351698127 /nfs/dbraw/zinc/69/81/27/351698127.db2.gz CZRMDESQXRVBLV-CMPLNLGQSA-N 0 0 252.289 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)[C@H](F)C1 ZINC000611505871 351701193 /nfs/dbraw/zinc/70/11/93/351701193.db2.gz UAHPEOQUCAPLOD-GXFFZTMASA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(Oc2ccccc2)C1 ZINC000611297048 351665476 /nfs/dbraw/zinc/66/54/76/351665476.db2.gz IZXKMDGHORAVGX-UHFFFAOYSA-N 0 0 285.303 2.566 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])c3cccnc23)[nH]n1 ZINC000611297428 351665511 /nfs/dbraw/zinc/66/55/11/351665511.db2.gz LTYGWMRBRFZBKQ-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](C[C@@H]3CCOC3)C2)cn1 ZINC000611338913 351670696 /nfs/dbraw/zinc/67/06/96/351670696.db2.gz XGCNTSLSJDIFNU-OLZOCXBDSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000611339153 351670727 /nfs/dbraw/zinc/67/07/27/351670727.db2.gz NMDNGBOMHGHHJO-STQMWFEESA-N 0 0 291.351 2.633 20 5 CFBDRN CO[C@@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000611931477 351751895 /nfs/dbraw/zinc/75/18/95/351751895.db2.gz ZOFQRIRMEMQWRB-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cccc(C2=CCN(c3c([N+](=O)[O-])ncn3C)CC2)c1 ZINC000271445122 191140475 /nfs/dbraw/zinc/14/04/75/191140475.db2.gz YANFBBKPDQJYRN-UHFFFAOYSA-N 0 0 298.346 2.930 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000120968012 186941375 /nfs/dbraw/zinc/94/13/75/186941375.db2.gz WFCKZKOJIQAIOY-ZWNOBZJWSA-N 0 0 276.336 2.751 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616078 351714270 /nfs/dbraw/zinc/71/42/70/351714270.db2.gz OFUKTPAAFLBBOW-UHFFFAOYSA-N 0 0 289.339 2.569 20 5 CFBDRN Cc1nc(CNc2nc(C)cc(C)c2[N+](=O)[O-])cs1 ZINC000611615853 351714499 /nfs/dbraw/zinc/71/44/99/351714499.db2.gz ILQFIQIRBKPKOE-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@]2(C)CO)n1 ZINC000611616948 351715102 /nfs/dbraw/zinc/71/51/02/351715102.db2.gz YCLTXKYXWHEXMR-BXUZGUMPSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCc3ncccc3C2)n1 ZINC000611617388 351715201 /nfs/dbraw/zinc/71/52/01/351715201.db2.gz MQONBIABXRHGKA-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCO[C@@H]2C2CC2)n1 ZINC000611617343 351715581 /nfs/dbraw/zinc/71/55/81/351715581.db2.gz GHBNLCBLSXKKJD-DGCLKSJQSA-N 0 0 277.324 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)[C@H]2CCCOC2)n1 ZINC000611617488 351715648 /nfs/dbraw/zinc/71/56/48/351715648.db2.gz BAEASJJZYWKDTG-RYUDHWBXSA-N 0 0 279.340 2.834 20 5 CFBDRN Cc1cc(CNCc2ccc([N+](=O)[O-])cc2Cl)ncn1 ZINC000612187537 351786383 /nfs/dbraw/zinc/78/63/83/351786383.db2.gz XMQMVLQEZBGQHB-UHFFFAOYSA-N 0 0 292.726 2.636 20 5 CFBDRN Cc1nnsc1CNCc1ccc([N+](=O)[O-])cc1Cl ZINC000291703301 198064025 /nfs/dbraw/zinc/06/40/25/198064025.db2.gz KKUQALOPCAKHDZ-UHFFFAOYSA-N 0 0 298.755 2.698 20 5 CFBDRN Cc1nn(C)c(N2C[C@@H](C)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000612099798 351774582 /nfs/dbraw/zinc/77/45/82/351774582.db2.gz KJZCXRQTJJMXRC-VIFPVBQESA-N 0 0 266.345 2.509 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@@H]1O ZINC000612449319 351822364 /nfs/dbraw/zinc/82/23/64/351822364.db2.gz SSSZYGPSYTZQSR-ONGXEEELSA-N 0 0 284.381 2.890 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@]2(C)CCCO)n1 ZINC000612449561 351822847 /nfs/dbraw/zinc/82/28/47/351822847.db2.gz JGWQKDNZLISFRJ-HNNXBMFYSA-N 0 0 293.367 2.738 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3cccc([N+](=O)[O-])c32)C[C@@H]1F ZINC000612449937 351823020 /nfs/dbraw/zinc/82/30/20/351823020.db2.gz OHLDOUIUCBTWQM-ZJUUUORDSA-N 0 0 290.298 2.722 20 5 CFBDRN C[C@@H](C(=O)N1CC=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000612488065 351826133 /nfs/dbraw/zinc/82/61/33/351826133.db2.gz FZASCXYILSGVHX-SNVBAGLBSA-N 0 0 278.283 2.626 20 5 CFBDRN CC(C)CCn1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)n1 ZINC000121892829 187003110 /nfs/dbraw/zinc/00/31/10/187003110.db2.gz YXUORFYFUHSEIN-UHFFFAOYSA-N 0 0 292.295 2.683 20 5 CFBDRN CCc1nnc(CN2CCc3ccc([N+](=O)[O-])cc32)s1 ZINC000612497873 351827894 /nfs/dbraw/zinc/82/78/94/351827894.db2.gz MSJMOUPWRSLFBI-UHFFFAOYSA-N 0 0 290.348 2.571 20 5 CFBDRN COc1ccc(C(=O)N[C@H](C)CC(C)C)cc1[N+](=O)[O-] ZINC000122061889 187013126 /nfs/dbraw/zinc/01/31/26/187013126.db2.gz ZZLGYXFWYLKYNE-SNVBAGLBSA-N 0 0 280.324 2.768 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCCS2)c1 ZINC000122096282 187015086 /nfs/dbraw/zinc/01/50/86/187015086.db2.gz OFXUWXYJHIMFFM-SNVBAGLBSA-N 0 0 268.338 2.911 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cn2)[C@H]1c1ccccc1 ZINC000612779135 351861520 /nfs/dbraw/zinc/86/15/20/351861520.db2.gz DXJIRRJDUKJIRP-ZDUSSCGKSA-N 0 0 284.319 2.972 20 5 CFBDRN Cc1cc(Cc2noc(-c3cocn3)n2)ccc1[N+](=O)[O-] ZINC000612958450 351881590 /nfs/dbraw/zinc/88/15/90/351881590.db2.gz DEVOLIJJNNIDQL-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2cccc(O)c2)n1 ZINC000123482110 187094622 /nfs/dbraw/zinc/09/46/22/187094622.db2.gz BKOBEEJNXGOHIJ-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN COc1cc(NCCc2nccs2)c([N+](=O)[O-])cc1F ZINC000123644495 187102565 /nfs/dbraw/zinc/10/25/65/187102565.db2.gz GPBZMOKYQUPYRK-UHFFFAOYSA-N 0 0 297.311 2.854 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1COCc1ncc[nH]1 ZINC000123879909 187112671 /nfs/dbraw/zinc/11/26/71/187112671.db2.gz BQMVQSFUOVSGPJ-UHFFFAOYSA-N 0 0 291.307 2.822 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(F)(F)C1 ZINC000613245818 351923792 /nfs/dbraw/zinc/92/37/92/351923792.db2.gz NOVHKXJNKHBZGY-LLVKDONJSA-N 0 0 298.289 2.641 20 5 CFBDRN COc1cc(CS[C@H](C)CCO)c([N+](=O)[O-])cc1F ZINC000613262820 351926946 /nfs/dbraw/zinc/92/69/46/351926946.db2.gz DOVAUMMVZDJAPD-MRVPVSSYSA-N 0 0 289.328 2.747 20 5 CFBDRN O=C(c1coc(C2CC2)n1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000613072263 351896131 /nfs/dbraw/zinc/89/61/31/351896131.db2.gz ABDOUCQRDGDVQU-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCc1cn2c(n1)SCC2 ZINC000613432244 351958921 /nfs/dbraw/zinc/95/89/21/351958921.db2.gz WKNYRLUCMUOJKJ-UHFFFAOYSA-N 0 0 296.377 2.613 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)[C@@H]1CC2CCC1CC2 ZINC000381801989 539827202 /nfs/dbraw/zinc/82/72/02/539827202.db2.gz CESPLAQEFYQZHS-XKGSQUFQSA-N 0 0 278.356 2.955 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@]2(C1)OCc1ccccc12 ZINC000613689339 351997842 /nfs/dbraw/zinc/99/78/42/351997842.db2.gz GEPISOBHKUUFGK-MRXNPFEDSA-N 0 0 297.314 2.626 20 5 CFBDRN CC1(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@@H]1C1CC1 ZINC000291984048 198124506 /nfs/dbraw/zinc/12/45/06/198124506.db2.gz VLULQALPCOJCMD-OAHLLOKOSA-N 0 0 288.347 2.784 20 5 CFBDRN CC[C@@H](C)CONC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000291983414 198124570 /nfs/dbraw/zinc/12/45/70/198124570.db2.gz ZDYPOQCDOBQBEG-MRVPVSSYSA-N 0 0 285.275 2.833 20 5 CFBDRN CO[C@@H](C)c1noc(COc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000131609689 187576663 /nfs/dbraw/zinc/57/66/63/187576663.db2.gz QWZFZNBEPQQKBV-VIFPVBQESA-N 0 0 293.279 2.573 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H](C3CC3)C2)c1 ZINC000614410595 352091582 /nfs/dbraw/zinc/09/15/82/352091582.db2.gz KWIHAODCEGNZNP-AWEZNQCLSA-N 0 0 262.309 2.518 20 5 CFBDRN COC1CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000614974337 352137658 /nfs/dbraw/zinc/13/76/58/352137658.db2.gz IJLSKKKKDZQKFY-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN CSc1nnc(CNc2sccc2[N+](=O)[O-])s1 ZINC000614982360 352139104 /nfs/dbraw/zinc/13/91/04/352139104.db2.gz UZFIZIMNDCRBDU-UHFFFAOYSA-N 0 0 288.379 2.842 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCc2ncsc2C1 ZINC000614982593 352139583 /nfs/dbraw/zinc/13/95/83/352139583.db2.gz MWBMEKZNYWPGSZ-UHFFFAOYSA-N 0 0 267.335 2.676 20 5 CFBDRN COc1ccc(NCC(C)=Cc2cccc([N+](=O)[O-])c2)nc1 ZINC000615721939 352236065 /nfs/dbraw/zinc/23/60/65/352236065.db2.gz BWNYPHXCYFYMOS-XYOKQWHBSA-N 0 0 299.330 2.936 20 5 CFBDRN Cc1ccc(C[C@@H](CO)Nc2ccc([N+](=O)[O-])s2)cc1 ZINC000615528565 352204768 /nfs/dbraw/zinc/20/47/68/352204768.db2.gz WHPSIESYUBJOGA-LBPRGKRZSA-N 0 0 292.360 2.980 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2ccc([N+](=O)[O-])o2)cc1CO ZINC000615894457 352257989 /nfs/dbraw/zinc/25/79/89/352257989.db2.gz UJUIPNSTPZBFAU-UHFFFAOYSA-N 0 0 290.275 2.549 20 5 CFBDRN C[C@H]1C[C@H](O)CN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000615945758 352266268 /nfs/dbraw/zinc/26/62/68/352266268.db2.gz AABSOIIYRKTUTE-AAEUAGOBSA-N 0 0 299.330 2.616 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000132798748 187648504 /nfs/dbraw/zinc/64/85/04/187648504.db2.gz VZGZJLQDNHXONJ-KBPBESRZSA-N 0 0 292.335 2.591 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000616207379 352304768 /nfs/dbraw/zinc/30/47/68/352304768.db2.gz RSQGWNXAFJCTNT-SECBINFHSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1coc(Sc2ncnc3ccc([N+](=O)[O-])cc32)n1 ZINC000616390321 352338631 /nfs/dbraw/zinc/33/86/31/352338631.db2.gz RCELUXDRIKLCDB-UHFFFAOYSA-N 0 0 288.288 2.986 20 5 CFBDRN CCn1ccc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000616481565 352356921 /nfs/dbraw/zinc/35/69/21/352356921.db2.gz UFHCGJYCWNZJAZ-UHFFFAOYSA-N 0 0 287.319 2.688 20 5 CFBDRN Cc1ccnc(CN2CCc3ccc([N+](=O)[O-])cc32)c1 ZINC000616774272 352428778 /nfs/dbraw/zinc/42/87/78/352428778.db2.gz HTHIXVPNPDEBJN-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1noc(C)c1CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000133614491 187696530 /nfs/dbraw/zinc/69/65/30/187696530.db2.gz SYPRLYQNGSPBAZ-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN O=C(NC[C@H]1CCCS1)Nc1cccc([N+](=O)[O-])c1 ZINC000134073752 187724526 /nfs/dbraw/zinc/72/45/26/187724526.db2.gz PLTKCSYUPLUCPW-LLVKDONJSA-N 0 0 281.337 2.612 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000271604285 191237206 /nfs/dbraw/zinc/23/72/06/191237206.db2.gz JDNQOFPRDKZFKW-BXKDBHETSA-N 0 0 277.324 2.754 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccc([N+](=O)[O-])cc1F)OC ZINC000292143121 198180525 /nfs/dbraw/zinc/18/05/25/198180525.db2.gz JLRNNZLFNQEQMG-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN CC(C)Cc1noc(COc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000135368199 187824777 /nfs/dbraw/zinc/82/47/77/187824777.db2.gz JNPUUZLSQKEECR-UHFFFAOYSA-N 0 0 295.270 2.894 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@@]2(CNc3ccccc32)C1 ZINC000618166276 352729272 /nfs/dbraw/zinc/72/92/72/352729272.db2.gz KJBMGMFGRVUTHA-MRXNPFEDSA-N 0 0 296.330 2.563 20 5 CFBDRN CC1CC(Nc2nc3sccn3c2[N+](=O)[O-])C1 ZINC000135567202 187840116 /nfs/dbraw/zinc/84/01/16/187840116.db2.gz UXTYGPCQQBCPRL-UHFFFAOYSA-N 0 0 252.299 2.514 20 5 CFBDRN C[C@@H](NC(=O)NC1CC(C)C1)c1ccccc1[N+](=O)[O-] ZINC000271632056 191249643 /nfs/dbraw/zinc/24/96/43/191249643.db2.gz GXGCEFFNLBKLIF-HSOILSAZSA-N 0 0 277.324 2.754 20 5 CFBDRN Cc1occc1CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000618445422 352779947 /nfs/dbraw/zinc/77/99/47/352779947.db2.gz JQQPKHANQJQWRF-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN COc1cc(NCc2snnc2C)c(F)cc1[N+](=O)[O-] ZINC000292168686 198189558 /nfs/dbraw/zinc/18/95/58/198189558.db2.gz DQIKACYPDKKAMZ-UHFFFAOYSA-N 0 0 298.299 2.515 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C)Cc1ccon1 ZINC000273501777 192177174 /nfs/dbraw/zinc/17/71/74/192177174.db2.gz YXFWMKYUZCOQDX-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)c1nccs1 ZINC000618635736 352847622 /nfs/dbraw/zinc/84/76/22/352847622.db2.gz YMVDFEURQNUVJV-MRVPVSSYSA-N 0 0 289.316 2.643 20 5 CFBDRN CCC[C@H](C)NC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000619268551 352989047 /nfs/dbraw/zinc/98/90/47/352989047.db2.gz LRRBPXZVYVPOGU-JTQLQIEISA-N 0 0 280.324 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC(C)(F)C1 ZINC000619320366 352990570 /nfs/dbraw/zinc/99/05/70/352990570.db2.gz ZNTBTBSXRRVBQM-UHFFFAOYSA-N 0 0 281.287 2.787 20 5 CFBDRN C[C@H](NC(=O)N1CC(C)(C)C1)c1ccccc1[N+](=O)[O-] ZINC000619037773 352939452 /nfs/dbraw/zinc/93/94/52/352939452.db2.gz GQJPEPCKESFISR-JTQLQIEISA-N 0 0 277.324 2.707 20 5 CFBDRN CC[C@H](CCO)CNc1c(C)cccc1[N+](=O)[O-] ZINC000149705758 187923160 /nfs/dbraw/zinc/92/31/60/187923160.db2.gz QQUCQTPGKSNFSI-LLVKDONJSA-N 0 0 252.314 2.724 20 5 CFBDRN O=C(CCC(F)F)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000619437091 353023653 /nfs/dbraw/zinc/02/36/53/353023653.db2.gz BAPJNVHOCLQAML-UHFFFAOYSA-N 0 0 284.262 2.919 20 5 CFBDRN CCc1n[nH]c(C(=O)N(CC)C(CC)CC)c1[N+](=O)[O-] ZINC000292240877 198214076 /nfs/dbraw/zinc/21/40/76/198214076.db2.gz RQTQSGUNLBQRPY-UHFFFAOYSA-N 0 0 282.344 2.531 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC(F)(F)CC1 ZINC000619457489 353026226 /nfs/dbraw/zinc/02/62/26/353026226.db2.gz QQQSTWFYAHFQCR-UHFFFAOYSA-N 0 0 298.289 2.785 20 5 CFBDRN Cc1cccc(C(=O)N2CCC(F)(F)CC2)c1[N+](=O)[O-] ZINC000619457573 353026269 /nfs/dbraw/zinc/02/62/69/353026269.db2.gz XTQWCEHUGBHYRV-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000619500814 353029569 /nfs/dbraw/zinc/02/95/69/353029569.db2.gz YRUJXMXWGWHPHE-RKDXNWHRSA-N 0 0 258.281 2.525 20 5 CFBDRN CCCC[C@@H](Sc1cccnc1[N+](=O)[O-])C(=O)OC ZINC000619500989 353029671 /nfs/dbraw/zinc/02/96/71/353029671.db2.gz HXNFPJCOXUTWMX-SNVBAGLBSA-N 0 0 284.337 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC2CCC2)s1 ZINC000619503310 353030398 /nfs/dbraw/zinc/03/03/98/353030398.db2.gz WKPZHFKDHXNXQG-SECBINFHSA-N 0 0 256.327 2.619 20 5 CFBDRN CCCCN(CCCC)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000619522118 353032148 /nfs/dbraw/zinc/03/21/48/353032148.db2.gz BAAIJCFSAQFKMA-UHFFFAOYSA-N 0 0 281.356 2.976 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC[C@@H](C)[C@@H](F)C1 ZINC000619531736 353033648 /nfs/dbraw/zinc/03/36/48/353033648.db2.gz XABXAFJSFYYXLL-MFKMUULPSA-N 0 0 282.315 2.783 20 5 CFBDRN COC(C)(C)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000292250956 198218698 /nfs/dbraw/zinc/21/86/98/198218698.db2.gz JZMLMNKLKWYTNS-UHFFFAOYSA-N 0 0 272.688 2.612 20 5 CFBDRN Cn1cc(Nc2ccc([N+](=O)[O-])cc2)c(C2CC2)n1 ZINC000292253892 198219590 /nfs/dbraw/zinc/21/95/90/198219590.db2.gz HJVPBOYNFBITBU-UHFFFAOYSA-N 0 0 258.281 2.949 20 5 CFBDRN COc1ccc(CSc2ccc([N+](=O)[O-])cc2F)nn1 ZINC000619744300 353062682 /nfs/dbraw/zinc/06/26/82/353062682.db2.gz OFUIVVHLPUINSS-UHFFFAOYSA-N 0 0 295.295 2.825 20 5 CFBDRN Cc1nc(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)co1 ZINC000619788663 353066376 /nfs/dbraw/zinc/06/63/76/353066376.db2.gz JXALUJVFPHYCPI-VIFPVBQESA-N 0 0 289.291 2.691 20 5 CFBDRN Cc1ccncc1N1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000619589428 353038765 /nfs/dbraw/zinc/03/87/65/353038765.db2.gz OLIUGYXTGNRFPN-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1CCC[C@@H]1C ZINC000619608876 353039895 /nfs/dbraw/zinc/03/98/95/353039895.db2.gz YUEFJIPYZWUJIT-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC=CCC1 ZINC000619639087 353041783 /nfs/dbraw/zinc/04/17/83/353041783.db2.gz YPCSDVQJRCZTHJ-UHFFFAOYSA-N 0 0 291.307 2.787 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(C)(F)C1 ZINC000619638187 353041836 /nfs/dbraw/zinc/04/18/36/353041836.db2.gz OSGLVSKZSTWNKD-UHFFFAOYSA-N 0 0 297.286 2.569 20 5 CFBDRN CC(C)(C)OC(=O)CCSc1cccnc1[N+](=O)[O-] ZINC000619652326 353043884 /nfs/dbraw/zinc/04/38/84/353043884.db2.gz LREVCTUTRXWEOW-UHFFFAOYSA-N 0 0 284.337 2.814 20 5 CFBDRN CC(C)c1ncc(CN(C)c2cccnc2[N+](=O)[O-])cn1 ZINC000619651761 353043996 /nfs/dbraw/zinc/04/39/96/353043996.db2.gz JODJZDXBROEELV-UHFFFAOYSA-N 0 0 287.323 2.540 20 5 CFBDRN Cc1noc2ncnc(Oc3cc(F)cc([N+](=O)[O-])c3)c12 ZINC000619696750 353052337 /nfs/dbraw/zinc/05/23/37/353052337.db2.gz NYAPFQYPMHCUHB-UHFFFAOYSA-N 0 0 290.210 2.766 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@H]2C1 ZINC000152206453 188002234 /nfs/dbraw/zinc/00/22/34/188002234.db2.gz MPGXXHNMCSTQCY-KBPBESRZSA-N 0 0 288.347 2.786 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000292270984 198225190 /nfs/dbraw/zinc/22/51/90/198225190.db2.gz UDJVSBHWCYEXTA-UHFFFAOYSA-N 0 0 279.340 2.828 20 5 CFBDRN CCCC1(CNC(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000152059033 187993603 /nfs/dbraw/zinc/99/36/03/187993603.db2.gz NKDLNAMPUJCJFG-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000292278636 198228774 /nfs/dbraw/zinc/22/87/74/198228774.db2.gz SQWKZLBMNROWRY-ZETCQYMHSA-N 0 0 272.326 2.672 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1noc(C(C)C)n1 ZINC000153334161 188077398 /nfs/dbraw/zinc/07/73/98/188077398.db2.gz DCAAQOJRIRYUMG-UHFFFAOYSA-N 0 0 292.295 2.722 20 5 CFBDRN C[C@H]1C[C@@H](O)CCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000292311256 198239602 /nfs/dbraw/zinc/23/96/02/198239602.db2.gz DSANCSMNVCRHRN-ONGXEEELSA-N 0 0 284.743 2.593 20 5 CFBDRN COCC[C@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292334230 198249283 /nfs/dbraw/zinc/24/92/83/198249283.db2.gz AZJBKRXEJTVKMZ-VIFPVBQESA-N 0 0 256.277 2.879 20 5 CFBDRN CO[C@@](C)(CNc1ccc([N+](=O)[O-])c(C)n1)C1CC1 ZINC000292350240 198254410 /nfs/dbraw/zinc/25/44/10/198254410.db2.gz OEIWMFQVCGXXEB-ZDUSSCGKSA-N 0 0 265.313 2.525 20 5 CFBDRN CC(C)n1cnnc1CN(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000292378735 198264792 /nfs/dbraw/zinc/26/47/92/198264792.db2.gz SMUMPHUHPCEVRM-UHFFFAOYSA-N 0 0 293.302 2.543 20 5 CFBDRN CC[C@](C)(NC(=O)c1ccc([N+](=O)[O-])o1)c1nccs1 ZINC000154005921 188117178 /nfs/dbraw/zinc/11/71/78/188117178.db2.gz JKYODVJYADAWNI-LBPRGKRZSA-N 0 0 295.320 2.700 20 5 CFBDRN CCCN(C(=O)C[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000441447144 535208211 /nfs/dbraw/zinc/20/82/11/535208211.db2.gz MPLUHXYMJONKAP-GFCCVEGCSA-N 0 0 292.335 2.764 20 5 CFBDRN COC(=O)[C@@H](C)SCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000154423896 188141603 /nfs/dbraw/zinc/14/16/03/188141603.db2.gz YWSFBKCFYULNKJ-SSDOTTSWSA-N 0 0 296.304 2.531 20 5 CFBDRN C[C@@]1(CNC(=O)Cc2cccc([N+](=O)[O-])c2)CCCS1 ZINC000154774520 188160183 /nfs/dbraw/zinc/16/01/83/188160183.db2.gz TUMSXYICEXISOF-AWEZNQCLSA-N 0 0 294.376 2.539 20 5 CFBDRN CCOCCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000156033842 188239794 /nfs/dbraw/zinc/23/97/94/188239794.db2.gz WDKFBEODQWDFTM-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@@H]1CCSC1 ZINC000156081318 188241524 /nfs/dbraw/zinc/24/15/24/188241524.db2.gz ACCLFLSIYAKHBX-LLVKDONJSA-N 0 0 295.364 2.872 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C)c2)[C@@H]1OC ZINC000157195059 188302871 /nfs/dbraw/zinc/30/28/71/188302871.db2.gz DKYJGBVIQCPLTE-YUTCNCBUSA-N 0 0 280.324 2.508 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000156394270 188259958 /nfs/dbraw/zinc/25/99/58/188259958.db2.gz ATGKNVHLYQTWHZ-OCCSQVGLSA-N 0 0 276.336 2.832 20 5 CFBDRN CN(CCc1nccs1)Cc1ccc([N+](=O)[O-])cc1F ZINC000157811666 188340282 /nfs/dbraw/zinc/34/02/82/188340282.db2.gz ORMXAJRBIFBMMA-UHFFFAOYSA-N 0 0 295.339 2.865 20 5 CFBDRN CCc1nocc1CN(C)c1ccccc1[N+](=O)[O-] ZINC000292633261 198358468 /nfs/dbraw/zinc/35/84/68/198358468.db2.gz AJNCMOOMBIVMEV-UHFFFAOYSA-N 0 0 261.281 2.782 20 5 CFBDRN CCCCN(CCCO)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000271688168 191277223 /nfs/dbraw/zinc/27/72/23/191277223.db2.gz DSERUJZXBQPXCI-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN CCCc1nccn1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000158020845 188352674 /nfs/dbraw/zinc/35/26/74/188352674.db2.gz RIXROEAWCXBKOB-UHFFFAOYSA-N 0 0 286.291 2.933 20 5 CFBDRN COC[C@@H](Nc1cc(C)c([N+](=O)[O-])cc1F)C1CC1 ZINC000292662256 198366771 /nfs/dbraw/zinc/36/67/71/198366771.db2.gz PYPGPCPDYAYMEM-GFCCVEGCSA-N 0 0 268.288 2.879 20 5 CFBDRN Cc1c(NC(=O)NOCC(C)C)cccc1[N+](=O)[O-] ZINC000158260150 188366697 /nfs/dbraw/zinc/36/66/97/188366697.db2.gz BECHUVFVJAYKKJ-UHFFFAOYSA-N 0 0 267.285 2.612 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H]3CC[C@@H]2O3)c(Cl)c1 ZINC000158280305 188367292 /nfs/dbraw/zinc/36/72/92/188367292.db2.gz DELKKYZNIANENX-FXAINCCUSA-N 0 0 268.700 2.980 20 5 CFBDRN CCCCO[C@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158472759 188377722 /nfs/dbraw/zinc/37/77/22/188377722.db2.gz SHYTXKBHLUEGSI-LLVKDONJSA-N 0 0 280.324 2.763 20 5 CFBDRN CN(C(=O)C[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000158520276 188379932 /nfs/dbraw/zinc/37/99/32/188379932.db2.gz NLNWXNOITZRMGA-LLVKDONJSA-N 0 0 260.293 2.914 20 5 CFBDRN CN(C(=O)[C@@H]1CC1(Cl)Cl)c1cccc([N+](=O)[O-])c1 ZINC000158518175 188380189 /nfs/dbraw/zinc/38/01/89/188380189.db2.gz KGXDFFPBVIURTM-VIFPVBQESA-N 0 0 289.118 2.751 20 5 CFBDRN CCC1(C)CN(C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000292708508 198383716 /nfs/dbraw/zinc/38/37/16/198383716.db2.gz FCPAHDPJDOEASJ-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000271701067 191281733 /nfs/dbraw/zinc/28/17/33/191281733.db2.gz LGPRELZVWDGKQB-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN COC[C@@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000292775521 198406516 /nfs/dbraw/zinc/40/65/16/198406516.db2.gz LNEYCSYHASGEEZ-VIFPVBQESA-N 0 0 299.714 2.501 20 5 CFBDRN CC(C)(C)C[C@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000161402495 188525484 /nfs/dbraw/zinc/52/54/84/188525484.db2.gz HJAVSTBBHFZCKJ-SNVBAGLBSA-N 0 0 270.304 2.943 20 5 CFBDRN Cc1ccc(CNc2ncc([N+](=O)[O-])c(C)n2)c(C)c1 ZINC000295654212 199605851 /nfs/dbraw/zinc/60/58/51/199605851.db2.gz ZMLFMUQAGCJSHL-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN COC(=O)CCCCCCCNc1ccc([N+](=O)[O-])cn1 ZINC000163110870 188599488 /nfs/dbraw/zinc/59/94/88/188599488.db2.gz DCKDTLMUYQGOCS-UHFFFAOYSA-N 0 0 295.339 2.915 20 5 CFBDRN O=C(NOC1CCCC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000271732925 191297080 /nfs/dbraw/zinc/29/70/80/191297080.db2.gz HBRNMNNERGFYOE-UHFFFAOYSA-N 0 0 290.275 2.945 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])OC ZINC000295315219 199455225 /nfs/dbraw/zinc/45/52/25/199455225.db2.gz AOLOMQIOYNQWFF-MFKMUULPSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1c(CN(C)CC(=O)OC(C)(C)C)cccc1[N+](=O)[O-] ZINC000170645781 188680878 /nfs/dbraw/zinc/68/08/78/188680878.db2.gz PUXVOGFWXCAFNE-UHFFFAOYSA-N 0 0 294.351 2.677 20 5 CFBDRN Cc1c(OCc2nnc(C(C)C)o2)cccc1[N+](=O)[O-] ZINC000170698071 188684044 /nfs/dbraw/zinc/68/40/44/188684044.db2.gz CCDWUHKFFTVKBB-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN Cc1c(NC(=O)N2CCCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000171245649 188724697 /nfs/dbraw/zinc/72/46/97/188724697.db2.gz SXSVNLYUFMKQAF-SNVBAGLBSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000171874666 188764768 /nfs/dbraw/zinc/76/47/68/188764768.db2.gz PTYXGXBROCQXDO-SNVBAGLBSA-N 0 0 298.314 2.617 20 5 CFBDRN C[C@H](NC(=O)CC1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000172169825 188785605 /nfs/dbraw/zinc/78/56/05/188785605.db2.gz IAJYNVDQTZVAAX-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000172391986 188799784 /nfs/dbraw/zinc/79/97/84/188799784.db2.gz INTLVWIUAMMXQB-SNVBAGLBSA-N 0 0 285.303 2.915 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000173170106 188844481 /nfs/dbraw/zinc/84/44/81/188844481.db2.gz QKMIUCRGNOXJSQ-VIFPVBQESA-N 0 0 273.292 2.701 20 5 CFBDRN C[C@@H](NC(=O)C1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000271807715 191339729 /nfs/dbraw/zinc/33/97/29/191339729.db2.gz TUFUAVCZDLTOMX-SNVBAGLBSA-N 0 0 260.293 2.738 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271812747 191342149 /nfs/dbraw/zinc/34/21/49/191342149.db2.gz OPIMKMPKELGSJN-RYUDHWBXSA-N 0 0 294.351 2.977 20 5 CFBDRN CCCCO[C@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271812751 191343464 /nfs/dbraw/zinc/34/34/64/191343464.db2.gz OPIMKMPKELGSJN-VXGBXAGGSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@H]1CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000272161500 191549699 /nfs/dbraw/zinc/54/96/99/191549699.db2.gz FFDPKTLRNSCHDL-AOOOYVTPSA-N 0 0 298.289 2.801 20 5 CFBDRN CN(CC1CC1)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272119993 191523993 /nfs/dbraw/zinc/52/39/93/191523993.db2.gz RTEUTNXRFAXYTN-UHFFFAOYSA-N 0 0 284.262 2.555 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000272319042 191654734 /nfs/dbraw/zinc/65/47/34/191654734.db2.gz KMXUZGDTYINQJE-MNOVXSKESA-N 0 0 279.292 2.705 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)CCS1 ZINC000174792201 188918469 /nfs/dbraw/zinc/91/84/69/188918469.db2.gz PBUWHMKIRRRUDB-QMMMGPOBSA-N 0 0 286.378 2.624 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCCCS1 ZINC000273018618 192022131 /nfs/dbraw/zinc/02/21/31/192022131.db2.gz YWNOAXPPLSIVSE-LBPRGKRZSA-N 0 0 297.332 2.795 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cn2)C12CCCC2 ZINC000273572875 192210725 /nfs/dbraw/zinc/21/07/25/192210725.db2.gz DKQUMZJMUWVOFS-RYUDHWBXSA-N 0 0 292.339 2.535 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cn1)Cc1cccs1 ZINC000273599296 192225594 /nfs/dbraw/zinc/22/55/94/192225594.db2.gz JCMFXPJBIKPAOS-SECBINFHSA-N 0 0 278.337 2.737 20 5 CFBDRN C[C@H]1[C@@H](Cc2ccccc2)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000273646397 192244688 /nfs/dbraw/zinc/24/46/88/192244688.db2.gz TVOHLZSGRQFZQV-GXTWGEPZSA-N 0 0 298.346 2.842 20 5 CFBDRN COc1cc(C(=O)OC2CCCC2)c([N+](=O)[O-])cc1F ZINC000295877203 199702500 /nfs/dbraw/zinc/70/25/00/199702500.db2.gz AITQFMQGVATWBM-UHFFFAOYSA-N 0 0 283.255 2.842 20 5 CFBDRN Cc1csc([C@@H](Nc2ncc([N+](=O)[O-])cn2)C2CC2)n1 ZINC000273674861 192257726 /nfs/dbraw/zinc/25/77/26/192257726.db2.gz YDOHNJJUFFQVJW-JTQLQIEISA-N 0 0 291.336 2.713 20 5 CFBDRN Nc1ccc(-c2noc(C[C@@H]3C=CCC3)n2)cc1[N+](=O)[O-] ZINC000273721187 192276119 /nfs/dbraw/zinc/27/61/19/192276119.db2.gz QPLVRNMUMHVDAC-SECBINFHSA-N 0 0 286.291 2.736 20 5 CFBDRN CC(C)(C)Cc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273726705 192280616 /nfs/dbraw/zinc/28/06/16/192280616.db2.gz RFVWJHFCXBZGEU-UHFFFAOYSA-N 0 0 276.296 2.816 20 5 CFBDRN CCO[C@@H]1C[C@@H]1c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273743982 192288010 /nfs/dbraw/zinc/28/80/10/192288010.db2.gz LSJRKUSUBJIKND-CMPLNLGQSA-N 0 0 289.291 2.846 20 5 CFBDRN C[C@H]1CCCC[C@@H]1c1noc(Cn2ccc([N+](=O)[O-])n2)n1 ZINC000273808330 192315654 /nfs/dbraw/zinc/31/56/54/192315654.db2.gz IISXAPSEKFJSQI-UWVGGRQHSA-N 0 0 291.311 2.516 20 5 CFBDRN O=c1ccn(C[C@H]2CCCCC2(F)F)cc1[N+](=O)[O-] ZINC000296039558 199761763 /nfs/dbraw/zinc/76/17/63/199761763.db2.gz PRVIJKGXMCPNNK-SECBINFHSA-N 0 0 272.251 2.582 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@H]1CF ZINC000294089916 198903767 /nfs/dbraw/zinc/90/37/67/198903767.db2.gz NTTCWQAHWJYAPM-VIFPVBQESA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1nc(NC(=O)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000175671946 188961555 /nfs/dbraw/zinc/96/15/55/188961555.db2.gz STXCNYIXULBJTE-UHFFFAOYSA-N 0 0 251.286 2.673 20 5 CFBDRN CC(C)CNC(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000294200782 198950039 /nfs/dbraw/zinc/95/00/39/198950039.db2.gz BQZFBBARCDVVGR-UHFFFAOYSA-N 0 0 290.241 2.999 20 5 CFBDRN CCN(Cc1cccs1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294365087 199013751 /nfs/dbraw/zinc/01/37/51/199013751.db2.gz JXQBDRHMJOJNQB-UHFFFAOYSA-N 0 0 284.381 2.932 20 5 CFBDRN CSc1ccc(C(=O)N2C[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000294418771 199032679 /nfs/dbraw/zinc/03/26/79/199032679.db2.gz ZGVZAHNIMNRSBQ-IUCAKERBSA-N 0 0 280.349 2.797 20 5 CFBDRN CCC1(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1 ZINC000296080054 199776029 /nfs/dbraw/zinc/77/60/29/199776029.db2.gz GZMRPUYOPVOKCM-VMPITWQZSA-N 0 0 260.293 2.667 20 5 CFBDRN COCc1cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294542728 199079578 /nfs/dbraw/zinc/07/95/78/199079578.db2.gz XTYOXIRWJABFET-UHFFFAOYSA-N 0 0 280.324 2.607 20 5 CFBDRN O=C([C@@H]1CC1(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000294801111 199189587 /nfs/dbraw/zinc/18/95/87/199189587.db2.gz ACXWJDACWBRGEC-LBPRGKRZSA-N 0 0 296.273 2.741 20 5 CFBDRN CO[C@@H](CSCCn1cc([N+](=O)[O-])cn1)CC(C)C ZINC000419630098 236525463 /nfs/dbraw/zinc/52/54/63/236525463.db2.gz MAQOYHHYUNSMIY-GFCCVEGCSA-N 0 0 287.385 2.586 20 5 CFBDRN Cc1ccc(NCc2nnc(C3CC3)[nH]2)c([N+](=O)[O-])c1 ZINC000294880735 199228836 /nfs/dbraw/zinc/22/88/36/199228836.db2.gz FCXQCSJCUUDGTR-UHFFFAOYSA-N 0 0 273.296 2.511 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC[C@@H](C)CCO ZINC000294863638 199219573 /nfs/dbraw/zinc/21/95/73/199219573.db2.gz DIUDBRGIYVNDES-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN Cc1cc(NC[C@@H](C)CCCO)ccc1[N+](=O)[O-] ZINC000294867834 199222461 /nfs/dbraw/zinc/22/24/61/199222461.db2.gz CWKLAJUZDMCFHL-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1OCCC1OCCO1 ZINC000294894489 199235720 /nfs/dbraw/zinc/23/57/20/199235720.db2.gz BHDOIANXLYGFBJ-UHFFFAOYSA-N 0 0 291.662 2.529 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1ccc([N+](=O)[O-])c(N)c1F)OC ZINC000294900020 199238394 /nfs/dbraw/zinc/23/83/94/199238394.db2.gz HAGLPSPAJZXTIH-GZMMTYOYSA-N 0 0 285.319 2.789 20 5 CFBDRN Cc1nc(N[C@H]2CC23CCCCC3)ncc1[N+](=O)[O-] ZINC000294907016 199242373 /nfs/dbraw/zinc/24/23/73/199242373.db2.gz HOWQXEXXKHQVGC-NSHDSACASA-N 0 0 262.313 2.828 20 5 CFBDRN CS[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000294924026 199252453 /nfs/dbraw/zinc/25/24/53/199252453.db2.gz GTVULLDOSGDSCK-LLVKDONJSA-N 0 0 252.339 2.845 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000421435365 236813436 /nfs/dbraw/zinc/81/34/36/236813436.db2.gz PRROBTZGGJUBFH-LOWVWBTDSA-N 0 0 274.320 2.647 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)CCCCO ZINC000296408051 199882343 /nfs/dbraw/zinc/88/23/43/199882343.db2.gz UWHOKZHGFYNZQC-LLVKDONJSA-N 0 0 295.339 2.576 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000421540895 236835746 /nfs/dbraw/zinc/83/57/46/236835746.db2.gz UAWWQBRVMJUGOY-QWHCGFSZSA-N 0 0 274.320 2.740 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CC1(C)C ZINC000421751524 236900147 /nfs/dbraw/zinc/90/01/47/236900147.db2.gz ZMQFCBLYANJGSJ-LBPRGKRZSA-N 0 0 262.309 2.740 20 5 CFBDRN CCOc1cc(N[C@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000274768272 192765648 /nfs/dbraw/zinc/76/56/48/192765648.db2.gz TWXFECLTQWRURP-SNVBAGLBSA-N 0 0 288.307 2.957 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H]1C ZINC000421912881 237002866 /nfs/dbraw/zinc/00/28/66/237002866.db2.gz SASGPNAVMZYONB-PRHODGIISA-N 0 0 262.309 2.740 20 5 CFBDRN C[C@H]1N(c2ccccc2[N+](=O)[O-])CCC12CCOCC2 ZINC000363775202 291180957 /nfs/dbraw/zinc/18/09/57/291180957.db2.gz INBSLEWRJNZJFO-GFCCVEGCSA-N 0 0 276.336 2.990 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2NCCF ZINC000296800287 200001045 /nfs/dbraw/zinc/00/10/45/200001045.db2.gz PBAGRLXBXHNAEQ-UHFFFAOYSA-N 0 0 265.244 2.533 20 5 CFBDRN CCCC[C@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000296836356 200010794 /nfs/dbraw/zinc/01/07/94/200010794.db2.gz WYCDAAMCQFURTJ-FZMZJTMJSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000296839798 200011925 /nfs/dbraw/zinc/01/19/25/200011925.db2.gz NWIXDASYVOMZEF-TUAOUCFPSA-N 0 0 291.351 2.953 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H]1CF ZINC000296867129 200020087 /nfs/dbraw/zinc/02/00/87/200020087.db2.gz MJMORKVMVSVSMB-LLVKDONJSA-N 0 0 281.287 2.869 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CCOC1(C)C ZINC000296877554 200023175 /nfs/dbraw/zinc/02/31/75/200023175.db2.gz MXWRZIMUERDMBQ-GFCCVEGCSA-N 0 0 293.323 2.592 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296886957 200026069 /nfs/dbraw/zinc/02/60/69/200026069.db2.gz MNWSTCYTPXJODF-OPQQBVKSSA-N 0 0 277.324 2.754 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCC[C@@H]2CCO)c([N+](=O)[O-])c1 ZINC000274972389 192861677 /nfs/dbraw/zinc/86/16/77/192861677.db2.gz ABAQANKJQRDGJU-DGCLKSJQSA-N 0 0 292.335 2.760 20 5 CFBDRN CCc1nc([C@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)cs1 ZINC000296985263 200054419 /nfs/dbraw/zinc/05/44/19/200054419.db2.gz DZVZLTNBELIEQJ-ZETCQYMHSA-N 0 0 293.352 2.885 20 5 CFBDRN COC(=O)C[C@H](C)Sc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000297007213 200060597 /nfs/dbraw/zinc/06/05/97/200060597.db2.gz GGSPAYXPEUPVNH-ZETCQYMHSA-N 0 0 295.320 2.515 20 5 CFBDRN CS[C@@H]1CCC[C@@H](Nc2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000297094095 200083613 /nfs/dbraw/zinc/08/36/13/200083613.db2.gz KSQPYNAPSIXFKY-NXEZZACHSA-N 0 0 282.369 2.779 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)CCF ZINC000297212843 200116565 /nfs/dbraw/zinc/11/65/65/200116565.db2.gz OZZBGEGPUGCFED-UHFFFAOYSA-N 0 0 269.276 2.645 20 5 CFBDRN CC(C)[C@@H](CCO)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297285386 200134310 /nfs/dbraw/zinc/13/43/10/200134310.db2.gz XOYWGRNSSPTKDX-CQSZACIVSA-N 0 0 289.335 2.962 20 5 CFBDRN COCCC1(C)CN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000297326335 200149857 /nfs/dbraw/zinc/14/98/57/200149857.db2.gz DPUITKKXZPIROH-UHFFFAOYSA-N 0 0 270.354 2.515 20 5 CFBDRN CC[C@H](C)CN(CC)c1ncc([N+](=O)[O-])c(C)n1 ZINC000297396175 200175903 /nfs/dbraw/zinc/17/59/03/200175903.db2.gz FKNAVSZDTPCRHP-VIFPVBQESA-N 0 0 252.318 2.566 20 5 CFBDRN CCS[C@H]1CCC[C@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000297457021 200196431 /nfs/dbraw/zinc/19/64/31/200196431.db2.gz JWPVWLJPAZIGBF-KOLCDFICSA-N 0 0 282.369 2.779 20 5 CFBDRN Cc1nc(N[C@@H]2[C@H]3CCc4ccccc4[C@@H]23)ncc1[N+](=O)[O-] ZINC000297490304 200208430 /nfs/dbraw/zinc/20/84/30/200208430.db2.gz MLAQROHRBOCQAI-NWANDNLSSA-N 0 0 296.330 2.834 20 5 CFBDRN C[C@H](NC(=O)N1C[C@@H](C)[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000297560654 200228735 /nfs/dbraw/zinc/22/87/35/200228735.db2.gz KKYOMMZGXHPJKT-VWYCJHECSA-N 0 0 277.324 2.706 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000435852832 240358552 /nfs/dbraw/zinc/35/85/52/240358552.db2.gz AMOZCOXAWIFHNV-ZYHUDNBSSA-N 0 0 277.324 2.583 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000435852831 240358860 /nfs/dbraw/zinc/35/88/60/240358860.db2.gz AMOZCOXAWIFHNV-PWSUYJOCSA-N 0 0 277.324 2.583 20 5 CFBDRN CC/C=C/CNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000297659318 200255890 /nfs/dbraw/zinc/25/58/90/200255890.db2.gz FXOLOQDTGZZYTG-SNAWJCMRSA-N 0 0 266.272 2.738 20 5 CFBDRN CC[C@H]1CCN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000297738095 200272114 /nfs/dbraw/zinc/27/21/14/200272114.db2.gz HURDZJRVOVHLPE-LBPRGKRZSA-N 0 0 276.336 2.883 20 5 CFBDRN CCCCCC(C)(C)CNC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000176447650 188995592 /nfs/dbraw/zinc/99/55/92/188995592.db2.gz GZJKGSMJMMUNTO-UHFFFAOYSA-N 0 0 296.371 2.514 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NOCC1CC1 ZINC000297683727 200260813 /nfs/dbraw/zinc/26/08/13/200260813.db2.gz GJONWVKQOZFQEA-UHFFFAOYSA-N 0 0 279.296 2.675 20 5 CFBDRN CC/C=C/CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000297864269 200294262 /nfs/dbraw/zinc/29/42/62/200294262.db2.gz YWPVHHVEJIQMAR-ONEGZZNKSA-N 0 0 270.235 2.569 20 5 CFBDRN Cc1cc(COC(=O)[C@@H](F)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000297846616 200291384 /nfs/dbraw/zinc/29/13/84/200291384.db2.gz OFQPOTRYWNAJSE-SECBINFHSA-N 0 0 295.188 2.847 20 5 CFBDRN CC(C)Cc1noc(COc2ccccc2[N+](=O)[O-])n1 ZINC000298058032 200325959 /nfs/dbraw/zinc/32/59/59/200325959.db2.gz CLVHYBRUEYCKOI-UHFFFAOYSA-N 0 0 277.280 2.755 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000298174107 200352472 /nfs/dbraw/zinc/35/24/72/200352472.db2.gz UKNVHDRYNBCFIY-NSHDSACASA-N 0 0 288.250 2.627 20 5 CFBDRN CN(C(=O)CNc1ccc([N+](=O)[O-])cc1)C1CCCCC1 ZINC000298214407 200362399 /nfs/dbraw/zinc/36/23/99/200362399.db2.gz ZLZQZZLZYYFAKV-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN C[C@@H](F)CCNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000454768385 296010603 /nfs/dbraw/zinc/01/06/03/296010603.db2.gz SUNRRVFLDYIWMN-ZJUUUORDSA-N 0 0 283.303 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1noc(C2CC2)n1 ZINC000176899055 189014056 /nfs/dbraw/zinc/01/40/56/189014056.db2.gz AFZGBEQPBGKJHM-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CCCCCN(CCO)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000176735344 189006505 /nfs/dbraw/zinc/00/65/05/189006505.db2.gz NAHHQSWYWGPLFD-UHFFFAOYSA-N 0 0 294.351 2.786 20 5 CFBDRN CC(C)[C@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000176756036 189007409 /nfs/dbraw/zinc/00/74/09/189007409.db2.gz ZZUWHIAXSPUSHL-GFCCVEGCSA-N 0 0 291.351 2.828 20 5 CFBDRN C[C@H]1CC[C@]2(CCN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)C1 ZINC000596189846 540704770 /nfs/dbraw/zinc/70/47/70/540704770.db2.gz LVBBUWGGFBUCKE-HZMBPMFUSA-N 0 0 277.324 2.712 20 5 CFBDRN Cc1ccc(N[C@H]2CCC[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000298521056 200457508 /nfs/dbraw/zinc/45/75/08/200457508.db2.gz IIXBNQMONYKTOR-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]2CC[C@@H]1C2)c1ccc(F)cc1[N+](=O)[O-] ZINC000177300287 189042518 /nfs/dbraw/zinc/04/25/18/189042518.db2.gz ZKUPAXCUBCABJR-AXFHLTTASA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000177841521 189073848 /nfs/dbraw/zinc/07/38/48/189073848.db2.gz BESXMKZMEHCDTJ-YPMHNXCESA-N 0 0 292.335 2.507 20 5 CFBDRN CCO[C@H](C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177861210 189074641 /nfs/dbraw/zinc/07/46/41/189074641.db2.gz RTPZEPHLGPLIGD-GHMZBOCLSA-N 0 0 280.324 2.505 20 5 CFBDRN COc1cccc([C@H](C)Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000298768905 200529903 /nfs/dbraw/zinc/52/99/03/200529903.db2.gz ZXXWKUFZBTZELV-VIFPVBQESA-N 0 0 276.296 2.510 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000177984543 189083152 /nfs/dbraw/zinc/08/31/52/189083152.db2.gz VUDAQXQMXYZLCI-SECBINFHSA-N 0 0 297.330 2.824 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC[C@H]1CCCO1 ZINC000177986152 189083614 /nfs/dbraw/zinc/08/36/14/189083614.db2.gz BPPNVKWQZPYEFH-MRVPVSSYSA-N 0 0 257.673 2.806 20 5 CFBDRN Cc1ncc(CN(C)c2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000178020351 189086550 /nfs/dbraw/zinc/08/65/50/189086550.db2.gz VDEZDDBOMWHKQX-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN COc1ccc(CNC(=O)C2CCCCC2)cc1[N+](=O)[O-] ZINC000298839798 200545321 /nfs/dbraw/zinc/54/53/21/200545321.db2.gz GWHGIYRYCQBDEO-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN C[C@@H](NC(=O)C1[C@@H]2CCC[C@H]12)c1cccc([N+](=O)[O-])c1 ZINC000178137001 189092475 /nfs/dbraw/zinc/09/24/75/189092475.db2.gz JLYGFVMPAGVDHI-IOCATXQGSA-N 0 0 274.320 2.818 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000178160028 189094290 /nfs/dbraw/zinc/09/42/90/189094290.db2.gz NELUEXGVHZLRDA-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN COCCC1(CNc2nc(C)ccc2[N+](=O)[O-])CCC1 ZINC000178261435 189101200 /nfs/dbraw/zinc/10/12/00/189101200.db2.gz UEDCHWMLWOUDKE-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CC(C)c1ccc(C(=O)Cn2nccc2[N+](=O)[O-])cc1 ZINC000299049958 200592295 /nfs/dbraw/zinc/59/22/95/200592295.db2.gz SZIUCWLYPRHQTC-UHFFFAOYSA-N 0 0 273.292 2.798 20 5 CFBDRN C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000178391660 189112852 /nfs/dbraw/zinc/11/28/52/189112852.db2.gz SQNORCSQTSIQIT-ZETCQYMHSA-N 0 0 252.245 2.719 20 5 CFBDRN Cc1occc1CN(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000299120187 200614481 /nfs/dbraw/zinc/61/44/81/200614481.db2.gz ZHVDWWBXOORRIL-UHFFFAOYSA-N 0 0 280.305 2.830 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000178594663 189129495 /nfs/dbraw/zinc/12/94/95/189129495.db2.gz OVYRMXCIGHYBAD-NWDGAFQWSA-N 0 0 291.351 2.577 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000178694329 189138467 /nfs/dbraw/zinc/13/84/67/189138467.db2.gz VEROKGFSDFEGOC-QMMMGPOBSA-N 0 0 256.327 2.821 20 5 CFBDRN CN(CCn1ccnc1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000179197320 189177061 /nfs/dbraw/zinc/17/70/61/189177061.db2.gz CUTPBJAGQKOLCN-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN COC[C@@H](C)CNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000179472171 189196814 /nfs/dbraw/zinc/19/68/14/189196814.db2.gz KGKFYMLSIXEOBM-VIFPVBQESA-N 0 0 294.351 2.532 20 5 CFBDRN O=C(NCC1CCCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000299571195 200784828 /nfs/dbraw/zinc/78/48/28/200784828.db2.gz VVLHOINLVGAZHQ-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN CCC(O)(CC)CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000299696415 200836846 /nfs/dbraw/zinc/83/68/46/200836846.db2.gz WFHISZNAMPITLO-UHFFFAOYSA-N 0 0 295.339 2.576 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1ncnn1CC(C)C ZINC000180037712 189234036 /nfs/dbraw/zinc/23/40/36/189234036.db2.gz FWIMBGCXWCNEHU-UHFFFAOYSA-N 0 0 290.323 2.730 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H](C)n2cc([N+](=O)[O-])cn2)c(C)c1 ZINC000180498544 189259098 /nfs/dbraw/zinc/25/90/98/189259098.db2.gz QMNSTVUCLCQIOE-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN CC[C@@H](C)N(C(=O)CCn1cc([N+](=O)[O-])cn1)[C@@H](C)CC ZINC000180524345 189260632 /nfs/dbraw/zinc/26/06/32/189260632.db2.gz XULZAHPZQDFACG-TXEJJXNPSA-N 0 0 296.371 2.607 20 5 CFBDRN CN(CCN(C)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000301179228 200933100 /nfs/dbraw/zinc/93/31/00/200933100.db2.gz HEDPOTFWPVIXTL-UHFFFAOYSA-N 0 0 286.335 2.562 20 5 CFBDRN CO[C@@H](C)CCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000180835842 189278058 /nfs/dbraw/zinc/27/80/58/189278058.db2.gz VQHHLAIBEAJUKE-JTQLQIEISA-N 0 0 266.297 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccc(OCCO)cc2)c(F)c1 ZINC000301225401 200942953 /nfs/dbraw/zinc/94/29/53/200942953.db2.gz BJMLDOMDNBFPRF-UHFFFAOYSA-N 0 0 292.266 2.849 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)CNc1ccc([N+](=O)[O-])cc1F ZINC000301455983 201015258 /nfs/dbraw/zinc/01/52/58/201015258.db2.gz HMODMIJIUGPQCR-VIFPVBQESA-N 0 0 284.287 2.734 20 5 CFBDRN CCOCc1ccc(Nc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000301465633 201019564 /nfs/dbraw/zinc/01/95/64/201019564.db2.gz WZRUWJHJWQVHHQ-UHFFFAOYSA-N 0 0 290.323 2.917 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301527184 201046958 /nfs/dbraw/zinc/04/69/58/201046958.db2.gz JPRGKRUAPAUGTH-IUCAKERBSA-N 0 0 279.344 2.594 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000301565646 201071398 /nfs/dbraw/zinc/07/13/98/201071398.db2.gz PUWWRZLJXXOWJB-QMMMGPOBSA-N 0 0 286.715 2.859 20 5 CFBDRN CCc1nsc(NCCNc2ccc([N+](=O)[O-])cc2)n1 ZINC000301600745 201089323 /nfs/dbraw/zinc/08/93/23/201089323.db2.gz VCEZEVSCUGJQIR-UHFFFAOYSA-N 0 0 293.352 2.533 20 5 CFBDRN CSCCN(C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000301571946 201075613 /nfs/dbraw/zinc/07/56/13/201075613.db2.gz OUWPWIBBHAVUOJ-UHFFFAOYSA-N 0 0 277.349 2.942 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000182966871 189341304 /nfs/dbraw/zinc/34/13/04/189341304.db2.gz CINIELBJDZWPDP-YGRLFVJLSA-N 0 0 290.319 2.563 20 5 CFBDRN O=C1C[C@@H](CNc2ccc([N+](=O)[O-])cn2)c2ccccc2N1 ZINC000301607433 201093421 /nfs/dbraw/zinc/09/34/21/201093421.db2.gz ZHVSFLAEHWJVGM-JTQLQIEISA-N 0 0 298.302 2.528 20 5 CFBDRN Cc1nc(N(C)Cc2ccc(Cl)nc2)ccc1[N+](=O)[O-] ZINC000301657143 201118895 /nfs/dbraw/zinc/11/88/95/201118895.db2.gz SGMZXIBIURILAB-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN Cc1nc(N[C@@H](CCO)c2cccs2)ccc1[N+](=O)[O-] ZINC000301653686 201119343 /nfs/dbraw/zinc/11/93/43/201119343.db2.gz KQQUOIRZMIQFIV-JTQLQIEISA-N 0 0 293.348 2.895 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])c(C)n2)sc1C ZINC000301666526 201124732 /nfs/dbraw/zinc/12/47/32/201124732.db2.gz ORDBCOYBISZFMN-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@H](C)O[C@@H](C)C1 ZINC000183219142 189350854 /nfs/dbraw/zinc/35/08/54/189350854.db2.gz XBNMRJPFIUKBAP-RYUDHWBXSA-N 0 0 264.325 2.512 20 5 CFBDRN CN(C)c1ccc(COC(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000183483369 189359621 /nfs/dbraw/zinc/35/96/21/189359621.db2.gz RTMLBBWYRRDDHG-UHFFFAOYSA-N 0 0 280.324 2.750 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000183314489 189354668 /nfs/dbraw/zinc/35/46/68/189354668.db2.gz ZPAXWSFURQNGFA-NWDGAFQWSA-N 0 0 278.352 2.936 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000424705143 238834807 /nfs/dbraw/zinc/83/48/07/238834807.db2.gz JAOOGXJRCIEXKH-BHYNMZESSA-N 0 0 280.299 2.829 20 5 CFBDRN COCCC(C)(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000183823543 189368798 /nfs/dbraw/zinc/36/87/98/189368798.db2.gz QVCKBPLSZDGAEN-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000183606053 189364171 /nfs/dbraw/zinc/36/41/71/189364171.db2.gz BRSYDXNUQWSZOH-AOOOYVTPSA-N 0 0 278.312 2.690 20 5 CFBDRN Cc1cccnc1CN1CCc2c1cccc2[N+](=O)[O-] ZINC000183628487 189364400 /nfs/dbraw/zinc/36/44/00/189364400.db2.gz VROSMBBELHMUNK-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183646796 189364985 /nfs/dbraw/zinc/36/49/85/189364985.db2.gz OQGXRWRHTLWKKH-UWVGGRQHSA-N 0 0 280.328 2.936 20 5 CFBDRN CCCCCN1CC(=O)N[C@H]1c1ccc([N+](=O)[O-])cc1C ZINC000183952099 189372656 /nfs/dbraw/zinc/37/26/56/189372656.db2.gz YFMQZIIZBAQJBU-OAHLLOKOSA-N 0 0 291.351 2.524 20 5 CFBDRN CCOc1cccc(NC[C@@H](C)C[C@@H](C)O)c1[N+](=O)[O-] ZINC000184121568 189377038 /nfs/dbraw/zinc/37/70/38/189377038.db2.gz WKWDGQAIMOBLMK-WDEREUQCSA-N 0 0 282.340 2.812 20 5 CFBDRN CCc1cc(CNc2ncc(Cl)cc2[N+](=O)[O-])on1 ZINC000301745286 201173112 /nfs/dbraw/zinc/17/31/12/201173112.db2.gz OAVVKIQFZIVSJI-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN Cc1ccc(N(C)Cc2ccccn2)c([N+](=O)[O-])c1 ZINC000301828430 201219699 /nfs/dbraw/zinc/21/96/99/201219699.db2.gz QGEGWETWFQRRLM-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1ccc(CN[C@@H](CCO)c2ccco2)cc1[N+](=O)[O-] ZINC000184515150 189388489 /nfs/dbraw/zinc/38/84/89/189388489.db2.gz MBESGMMALSFSDK-ZDUSSCGKSA-N 0 0 290.319 2.710 20 5 CFBDRN Cc1cnc(N(Cc2ccccc2[N+](=O)[O-])C2CC2)nc1 ZINC000301839345 201225741 /nfs/dbraw/zinc/22/57/41/201225741.db2.gz NZOFIFRHAHTAHV-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN Cc1cc(NC(=O)NC2CCSCC2)ccc1[N+](=O)[O-] ZINC000184605777 189391099 /nfs/dbraw/zinc/39/10/99/189391099.db2.gz VYHGWODYIYFESH-UHFFFAOYSA-N 0 0 295.364 2.920 20 5 CFBDRN CCOc1cc(N(C)C[C@H]2CCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000301881244 201245069 /nfs/dbraw/zinc/24/50/69/201245069.db2.gz ZWGPPYYYDRKEPM-RISCZKNCSA-N 0 0 294.351 2.591 20 5 CFBDRN CC(C)(C)Oc1cc(N2CCC[C@@H]2CO)ccc1[N+](=O)[O-] ZINC000301849336 201232252 /nfs/dbraw/zinc/23/22/52/201232252.db2.gz AJGMITDUNZBCOR-GFCCVEGCSA-N 0 0 294.351 2.733 20 5 CFBDRN CCCc1noc(COc2c(F)cccc2[N+](=O)[O-])n1 ZINC000185021476 189401583 /nfs/dbraw/zinc/40/15/83/189401583.db2.gz YCGMOZQIWBDVOE-UHFFFAOYSA-N 0 0 281.243 2.648 20 5 CFBDRN COc1cc(N(C)CC(C)C)c(F)cc1[N+](=O)[O-] ZINC000301968829 201280695 /nfs/dbraw/zinc/28/06/95/201280695.db2.gz PVGBNTCHMOECDA-UHFFFAOYSA-N 0 0 256.277 2.835 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)C[C@@H]1F ZINC000425558033 239100500 /nfs/dbraw/zinc/10/05/00/239100500.db2.gz CTVNTSWPGPEBFN-SMDDNHRTSA-N 0 0 289.266 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Oc1nccn(C2CC2)c1=O ZINC000301979257 201285501 /nfs/dbraw/zinc/28/55/01/201285501.db2.gz AJICBIVLUGDNOB-UHFFFAOYSA-N 0 0 287.275 2.587 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1nc(C2CC2)no1 ZINC000301981863 201287389 /nfs/dbraw/zinc/28/73/89/201287389.db2.gz HNMUYZJFHKXTGN-UHFFFAOYSA-N 0 0 266.282 2.529 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC1C[C@H](C)O[C@@H](C)C1 ZINC000301955013 201275542 /nfs/dbraw/zinc/27/55/42/201275542.db2.gz KMVRVPKAISBWNB-UWVGGRQHSA-N 0 0 265.313 2.666 20 5 CFBDRN Cc1cc(Oc2nccn(C3CC3)c2=O)ccc1[N+](=O)[O-] ZINC000302025626 201305353 /nfs/dbraw/zinc/30/53/53/201305353.db2.gz PYHOFLOUZOWXLE-UHFFFAOYSA-N 0 0 287.275 2.587 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@H]1CCCC[C@@H]1O ZINC000302031223 201307828 /nfs/dbraw/zinc/30/78/28/201307828.db2.gz ILCYDWZHSCLPFE-OCCSQVGLSA-N 0 0 278.352 2.891 20 5 CFBDRN COC[C@H](C)Nc1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000302030677 201308943 /nfs/dbraw/zinc/30/89/43/201308943.db2.gz RYVHBDHBJSNJJM-JTQLQIEISA-N 0 0 275.308 2.898 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CCN(CC(F)(F)F)C1 ZINC000301997273 201291807 /nfs/dbraw/zinc/29/18/07/201291807.db2.gz QDJBVMPUAJCYBQ-ZETCQYMHSA-N 0 0 295.286 2.705 20 5 CFBDRN CN(C(=O)NCCc1cccc([N+](=O)[O-])c1)[C@H]1CC1(C)C ZINC000425971217 239147622 /nfs/dbraw/zinc/14/76/22/239147622.db2.gz NMQIHBVSBCFGPT-ZDUSSCGKSA-N 0 0 291.351 2.577 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1sccc1[N+](=O)[O-] ZINC000302036281 201311729 /nfs/dbraw/zinc/31/17/29/201311729.db2.gz USVQDKOTAZOUKN-JTQLQIEISA-N 0 0 258.343 2.865 20 5 CFBDRN COCCNc1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000302048433 201317653 /nfs/dbraw/zinc/31/76/53/201317653.db2.gz ZFEZVNUMGFNFQS-UHFFFAOYSA-N 0 0 261.281 2.510 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2ccc(F)cc2)C2CC2)nc1 ZINC000302149029 201366488 /nfs/dbraw/zinc/36/64/88/201366488.db2.gz JJBJJWYIPPRWRT-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN CN(Cc1cccc(Cl)c1)c1ncc([N+](=O)[O-])cn1 ZINC000302156041 201370321 /nfs/dbraw/zinc/37/03/21/201370321.db2.gz MYBSRFQNTNEBND-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cn2)C1(CC)CC ZINC000302156404 201370754 /nfs/dbraw/zinc/37/07/54/201370754.db2.gz MVMQUSXPZOSVSZ-VXGBXAGGSA-N 0 0 294.355 2.781 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC1(c2ccccn2)CC1 ZINC000302130887 201355277 /nfs/dbraw/zinc/35/52/77/201355277.db2.gz GOYTZIVDDBXYKX-UHFFFAOYSA-N 0 0 270.292 2.529 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H]2c2c(F)cccc2F)nc1 ZINC000302164029 201375498 /nfs/dbraw/zinc/37/54/98/201375498.db2.gz QJFNFSRLONNFNA-GZMMTYOYSA-N 0 0 292.245 2.631 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+]CCCCCC(=O)[O-] ZINC000426682092 239249233 /nfs/dbraw/zinc/24/92/33/239249233.db2.gz FLZJSXRYYFAFCD-UHFFFAOYSA-N 0 0 280.324 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCO)C2CCCCC2)cn1 ZINC000427376689 239306768 /nfs/dbraw/zinc/30/67/68/239306768.db2.gz NKCDIMYUGFDWEN-ZDUSSCGKSA-N 0 0 279.340 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCc1cccnc1)CCN2 ZINC000188553042 189506160 /nfs/dbraw/zinc/50/61/60/189506160.db2.gz HQIKJJCXHAIWRK-UHFFFAOYSA-N 0 0 284.319 2.612 20 5 CFBDRN Cc1ccc(Oc2ccc([N+](=O)[O-])c3cnccc23)c[n+]1[O-] ZINC000302220619 201405973 /nfs/dbraw/zinc/40/59/73/201405973.db2.gz MZDSBJITZWKBLY-UHFFFAOYSA-N 0 0 297.270 2.877 20 5 CFBDRN CCN(CC(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000188817145 189514485 /nfs/dbraw/zinc/51/44/85/189514485.db2.gz VRBQGRWJCHQNPC-UHFFFAOYSA-N 0 0 273.239 2.714 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCC[C@H]1CCCO1 ZINC000189578286 189538125 /nfs/dbraw/zinc/53/81/25/189538125.db2.gz PSKHLJFSXMSNND-LLVKDONJSA-N 0 0 266.297 2.584 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429903183 239685409 /nfs/dbraw/zinc/68/54/09/239685409.db2.gz QDSRAWDXGAKOQE-QWRGUYRKSA-N 0 0 276.336 2.645 20 5 CFBDRN O=C(C1[C@@H]2CCC[C@H]12)N1CCc2c1cccc2[N+](=O)[O-] ZINC000176800762 283767404 /nfs/dbraw/zinc/76/74/04/283767404.db2.gz VJASAVFXNRUFLM-XFLKPENQSA-N 0 0 272.304 2.530 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2ccn(C)n2)cc1[N+](=O)[O-] ZINC000190492230 189566230 /nfs/dbraw/zinc/56/62/30/189566230.db2.gz MXUOWMMCODTILE-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN O=C(NCc1ccc2c(c1)COC2)c1ccccc1[N+](=O)[O-] ZINC000431895162 239943888 /nfs/dbraw/zinc/94/38/88/239943888.db2.gz YSHJKOZFZPNPED-UHFFFAOYSA-N 0 0 298.298 2.555 20 5 CFBDRN Cc1ccc(CNc2ccc(C3CC3)nn2)cc1[N+](=O)[O-] ZINC000432413644 240001326 /nfs/dbraw/zinc/00/13/26/240001326.db2.gz NRHQKFDZFAEVAD-UHFFFAOYSA-N 0 0 284.319 2.605 20 5 CFBDRN CCN(C1CCCC1)S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000193912083 189651757 /nfs/dbraw/zinc/65/17/57/189651757.db2.gz UKROTDNFAOUAIE-UHFFFAOYSA-N 0 0 298.364 2.548 20 5 CFBDRN C[C@@H]1C[C@H]1c1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000194589947 189664314 /nfs/dbraw/zinc/66/43/14/189664314.db2.gz PSYIBELSLLEMIY-LDYMZIIASA-N 0 0 286.291 2.694 20 5 CFBDRN O=C1CCCC[C@@H]1Sc1ncccc1[N+](=O)[O-] ZINC000194662835 189665955 /nfs/dbraw/zinc/66/59/55/189665955.db2.gz MCWBFXCBSSSKNH-JTQLQIEISA-N 0 0 252.295 2.594 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)ns1 ZINC000435645910 240336154 /nfs/dbraw/zinc/33/61/54/240336154.db2.gz NXOGDJUBIBFAJJ-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])c(C2CC2)n1)c1ccccc1F ZINC000436199050 240406496 /nfs/dbraw/zinc/40/64/96/240406496.db2.gz REQWVJYDVLFHCP-UHFFFAOYSA-N 0 0 289.266 2.691 20 5 CFBDRN Cc1cccc(NCCCOC2CCOCC2)c1[N+](=O)[O-] ZINC000275376564 193014319 /nfs/dbraw/zinc/01/43/19/193014319.db2.gz AJPRAWATUPXEJO-UHFFFAOYSA-N 0 0 294.351 2.901 20 5 CFBDRN CN(Cc1ccccn1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000275338173 193003959 /nfs/dbraw/zinc/00/39/59/193003959.db2.gz HAYPOXRMEMRPJO-UHFFFAOYSA-N 0 0 284.319 2.594 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000437089493 240541838 /nfs/dbraw/zinc/54/18/38/240541838.db2.gz BNMCSYBIHSWXEH-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCC(C)(C)C)c1=O ZINC000275529718 193067734 /nfs/dbraw/zinc/06/77/34/193067734.db2.gz QGGNFMRLXIGZLK-UHFFFAOYSA-N 0 0 252.314 2.891 20 5 CFBDRN Cc1nn(C)c(N2CCC(C)(C(C)C)CC2)c1[N+](=O)[O-] ZINC000302407302 201444543 /nfs/dbraw/zinc/44/45/43/201444543.db2.gz KYAYYXQJMQDFPK-UHFFFAOYSA-N 0 0 280.372 2.899 20 5 CFBDRN Cc1cccc(NCCCc2cnn(C)c2)c1[N+](=O)[O-] ZINC000275513887 193064005 /nfs/dbraw/zinc/06/40/05/193064005.db2.gz VKDNREQYEJPXBK-UHFFFAOYSA-N 0 0 274.324 2.681 20 5 CFBDRN CO[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000440329488 240829756 /nfs/dbraw/zinc/82/97/56/240829756.db2.gz TZDOYLNDHLQHMR-RYUDHWBXSA-N 0 0 293.323 2.530 20 5 CFBDRN CC[C@H](F)COc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000440591326 240858556 /nfs/dbraw/zinc/85/85/56/240858556.db2.gz YZZKCWZHSXNYSE-VIFPVBQESA-N 0 0 271.244 2.508 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1ccccc1Cl ZINC000440652589 240867805 /nfs/dbraw/zinc/86/78/05/240867805.db2.gz ZDEJMGWILVJUEJ-UHFFFAOYSA-N 0 0 294.742 2.971 20 5 CFBDRN CCC(C)(C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000441587677 240944814 /nfs/dbraw/zinc/94/48/14/240944814.db2.gz ICIIWXKYHDVTKP-NSHDSACASA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1ccc([N+](=O)[O-])nc1 ZINC000443905934 241093529 /nfs/dbraw/zinc/09/35/29/241093529.db2.gz QCAXNYGBXVUHPT-QMMMGPOBSA-N 0 0 276.296 2.810 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000443981318 241100397 /nfs/dbraw/zinc/10/03/97/241100397.db2.gz ASTCXPDJQNYWSP-SFYZADRCSA-N 0 0 253.277 2.917 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000444309441 241135622 /nfs/dbraw/zinc/13/56/22/241135622.db2.gz ACRAZMIFZRHKQB-IONNQARKSA-N 0 0 268.338 2.883 20 5 CFBDRN C[C@@H](Sc1ncco1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000446072307 241273669 /nfs/dbraw/zinc/27/36/69/241273669.db2.gz LXZVXJYBLHWXQM-MRVPVSSYSA-N 0 0 293.304 2.702 20 5 CFBDRN C[C@H](Sc1ncco1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000446074898 241274307 /nfs/dbraw/zinc/27/43/07/241274307.db2.gz ZQHJVQOGLBGWNH-QMMMGPOBSA-N 0 0 293.304 2.702 20 5 CFBDRN Cn1cncc1-c1noc(/C=C\c2ccccc2[N+](=O)[O-])n1 ZINC000445564500 241223758 /nfs/dbraw/zinc/22/37/58/241223758.db2.gz GRSQVGXKCHKJQP-SREVYHEPSA-N 0 0 297.274 2.549 20 5 CFBDRN CC/C=C(\C)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000445607202 241226272 /nfs/dbraw/zinc/22/62/72/241226272.db2.gz IYKCGJGOAKVYMG-VOTSOKGWSA-N 0 0 277.284 2.500 20 5 CFBDRN CC1(C)CCC[C@](O)(CNc2ccc([N+](=O)[O-])nc2)C1 ZINC000445616654 241226741 /nfs/dbraw/zinc/22/67/41/241226741.db2.gz SYIATOPJYFJCCB-CQSZACIVSA-N 0 0 279.340 2.733 20 5 CFBDRN COC[C@H](C)CC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000445642664 241227653 /nfs/dbraw/zinc/22/76/53/241227653.db2.gz FAUWZKWNVOJVIO-SECBINFHSA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1ncco1 ZINC000446115780 241279009 /nfs/dbraw/zinc/27/90/09/241279009.db2.gz GKZQSSYWBPHHJB-UHFFFAOYSA-N 0 0 293.304 2.622 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)c1ccon1 ZINC000446489092 241302322 /nfs/dbraw/zinc/30/23/22/241302322.db2.gz UQDPFGZWJRSAEA-SECBINFHSA-N 0 0 290.279 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCCC(F)(F)F ZINC000446490081 241302589 /nfs/dbraw/zinc/30/25/89/241302589.db2.gz HXYRWISKYJOQSG-UHFFFAOYSA-N 0 0 291.229 2.977 20 5 CFBDRN C[C@@H]1C[C@@H](COc2ccccc2[N+](=O)[O-])CCO1 ZINC000447295222 241363522 /nfs/dbraw/zinc/36/35/22/241363522.db2.gz JUKNMSSBVJYNBD-MNOVXSKESA-N 0 0 251.282 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2ccn(CC(F)F)n2)c1 ZINC000447057470 241347697 /nfs/dbraw/zinc/34/76/97/241347697.db2.gz FIFPAFWYZUNPHZ-UHFFFAOYSA-N 0 0 282.250 2.530 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)Nc1cccc([N+](=O)[O-])c1 ZINC000448556696 241473682 /nfs/dbraw/zinc/47/36/82/241473682.db2.gz GMOJNLVDGFNMEQ-NRUUGDAUSA-N 0 0 260.293 2.970 20 5 CFBDRN C[C@H]1C[C@H](NCc2ccc([N+](=O)[O-])cc2F)c2nccn21 ZINC000449569094 242040268 /nfs/dbraw/zinc/04/02/68/242040268.db2.gz GEFBOWUPTQKOND-ZANVPECISA-N 0 0 290.298 2.726 20 5 CFBDRN CCn1ccnc1[C@H](C)NCc1csc([N+](=O)[O-])c1 ZINC000449562088 242032141 /nfs/dbraw/zinc/03/21/41/242032141.db2.gz GRRQXTFFXFUXIT-VIFPVBQESA-N 0 0 280.353 2.724 20 5 CFBDRN Cc1cc(N2CCC[C@H]2C(=O)OC(C)C)ccc1[N+](=O)[O-] ZINC000302688576 201511327 /nfs/dbraw/zinc/51/13/27/201511327.db2.gz BTIRTAYHUBBLJI-AWEZNQCLSA-N 0 0 292.335 2.824 20 5 CFBDRN C[C@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCCC[C@@H]1O ZINC000193464312 291391533 /nfs/dbraw/zinc/39/15/33/291391533.db2.gz LJUPTYQKXQFJRF-UONOGXRCSA-N 0 0 279.340 2.530 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[N@@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000263559654 383681739 /nfs/dbraw/zinc/68/17/39/383681739.db2.gz BCCIDUAKMZKQPY-ABAIWWIYSA-N 0 0 292.335 2.761 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450352004 242371105 /nfs/dbraw/zinc/37/11/05/242371105.db2.gz VCQYKODUHAFYNW-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@H]1C[C@H]1Nc1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450309555 242350881 /nfs/dbraw/zinc/35/08/81/242350881.db2.gz UJOOYHIOVVURDX-GZMMTYOYSA-N 0 0 275.333 2.961 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(Cc1cnns1)C1CC1 ZINC000450604016 242500826 /nfs/dbraw/zinc/50/08/26/242500826.db2.gz VOZXZOTVEQUGFE-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN CN(CC[C@@H]1CCCO1)c1ccc(F)cc1[N+](=O)[O-] ZINC000302732332 201531950 /nfs/dbraw/zinc/53/19/50/201531950.db2.gz XBONZAIINULNBW-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN CN(C[C@H](O)C(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000302745802 201537343 /nfs/dbraw/zinc/53/73/43/201537343.db2.gz FGEMEPJWEBAKEE-VIFPVBQESA-N 0 0 298.648 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]([C@H](CO)C2CC2)C2CC2)c(F)c1 ZINC000450783595 242602294 /nfs/dbraw/zinc/60/22/94/242602294.db2.gz XUZDUDVPHNNFIQ-IUODEOHRSA-N 0 0 294.326 2.943 20 5 CFBDRN COc1cc(N2CC[C@H](OC)[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000450750595 242583235 /nfs/dbraw/zinc/58/32/35/242583235.db2.gz CHXGMSXHZULPPE-RNCFNFMXSA-N 0 0 298.314 2.604 20 5 CFBDRN CC(C)[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H](CO)C(C)C ZINC000450756081 242584449 /nfs/dbraw/zinc/58/44/49/242584449.db2.gz BRKRBDLXQFOOPC-GXTWGEPZSA-N 0 0 281.356 2.691 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@]2(CCSC2)C1 ZINC000450906872 242665620 /nfs/dbraw/zinc/66/56/20/242665620.db2.gz RYKQHFCIDGOWSE-ZDUSSCGKSA-N 0 0 279.365 2.713 20 5 CFBDRN COC[C@H]1CCCC[N@@H+]1Cc1ccc([N+](=O)[O-])c(OC)c1 ZINC000452045965 243024002 /nfs/dbraw/zinc/02/40/02/243024002.db2.gz XUIYNFVRNATCOD-CYBMUJFWSA-N 0 0 294.351 2.604 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000452186534 243077821 /nfs/dbraw/zinc/07/78/21/243077821.db2.gz ZIDVIGOJWNVADY-JQWIXIFHSA-N 0 0 294.376 2.915 20 5 CFBDRN C[C@H](NC(=O)C[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000452185181 243078291 /nfs/dbraw/zinc/07/82/91/243078291.db2.gz YSARRGBNZOCQCU-FZMZJTMJSA-N 0 0 292.335 2.731 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(F)F ZINC000452257743 243101954 /nfs/dbraw/zinc/10/19/54/243101954.db2.gz VYQUDUPOHQIOOF-VIFPVBQESA-N 0 0 272.251 2.677 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC2(CC2)C1 ZINC000452273895 243106795 /nfs/dbraw/zinc/10/67/95/243106795.db2.gz UAMYVZREQXCMSA-JTQLQIEISA-N 0 0 292.360 2.698 20 5 CFBDRN CCc1ccc(C(=O)NCc2ccnc(C)c2)cc1[N+](=O)[O-] ZINC000452424187 243165562 /nfs/dbraw/zinc/16/55/62/243165562.db2.gz KWBFKZKIDMUAMO-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN C[C@]1(O)CCCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000235722199 291425968 /nfs/dbraw/zinc/42/59/68/291425968.db2.gz NWXZMKRRZCOQJT-ZDUSSCGKSA-N 0 0 284.743 2.990 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](F)C1 ZINC000452368131 243144826 /nfs/dbraw/zinc/14/48/26/243144826.db2.gz LQRMDXHERQEFDN-VHSXEESVSA-N 0 0 298.339 2.646 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)C(C)(F)F)cc([N+](=O)[O-])c1 ZINC000452464351 243184252 /nfs/dbraw/zinc/18/42/52/243184252.db2.gz OKZRCFFTLHYWFX-MRVPVSSYSA-N 0 0 272.251 2.677 20 5 CFBDRN CC/C=C\CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000452611307 243245298 /nfs/dbraw/zinc/24/52/98/243245298.db2.gz INSAMPBECNJFBV-ARJAWSKDSA-N 0 0 262.309 2.610 20 5 CFBDRN CC(C)(C)CCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000330500653 202895500 /nfs/dbraw/zinc/89/55/00/202895500.db2.gz QIAYRYXBSYFWJO-UHFFFAOYSA-N 0 0 258.705 2.846 20 5 CFBDRN O=C(NC[C@H]1CCCC1(F)F)c1csc([N+](=O)[O-])c1 ZINC000452850088 243320954 /nfs/dbraw/zinc/32/09/54/243320954.db2.gz QIEKRDSHNYNWOE-MRVPVSSYSA-N 0 0 290.291 2.822 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)C(C)(F)F)c1[N+](=O)[O-] ZINC000452875813 243327367 /nfs/dbraw/zinc/32/73/67/243327367.db2.gz STIGASGSDAWZFN-MRVPVSSYSA-N 0 0 272.251 2.677 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)C(C)(F)F ZINC000452877998 243328178 /nfs/dbraw/zinc/32/81/78/243328178.db2.gz VCUJZLLSZVVFBD-MRVPVSSYSA-N 0 0 272.251 2.677 20 5 CFBDRN CCCCc1cnc(NCc2cccc([N+](=O)[O-])c2)nc1 ZINC000453051608 243377219 /nfs/dbraw/zinc/37/72/19/243377219.db2.gz IZHICXICAYYNDL-UHFFFAOYSA-N 0 0 286.335 2.761 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H]2C2CC2)c1 ZINC000453032180 243370225 /nfs/dbraw/zinc/37/02/25/243370225.db2.gz JHOWLFIQAQOIGW-LBPRGKRZSA-N 0 0 292.360 2.941 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C[C@H]1C ZINC000277015163 284070116 /nfs/dbraw/zinc/07/01/16/284070116.db2.gz YMGBYGIWQGMZSB-VXGBXAGGSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1cc(-c2nc(C3(C)CC3)no2)c([N+](=O)[O-])cc1F ZINC000453305819 243504901 /nfs/dbraw/zinc/50/49/01/243504901.db2.gz RRWXPGWVLPCDIP-UHFFFAOYSA-N 0 0 293.254 2.844 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H](C)C1 ZINC000330603472 203027007 /nfs/dbraw/zinc/02/70/07/203027007.db2.gz XNQAAZLZDFOIRQ-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@H](C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C(C)(C)C ZINC000330608112 203032463 /nfs/dbraw/zinc/03/24/63/203032463.db2.gz PZESYCJXJKUIFV-MRVPVSSYSA-N 0 0 290.323 2.514 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1)[C@@H](O)CC ZINC000454142620 243641045 /nfs/dbraw/zinc/64/10/45/243641045.db2.gz IAZSGVBLYOEVHF-YPMHNXCESA-N 0 0 295.339 2.574 20 5 CFBDRN COc1ccc(NC(=O)N2CC[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000455097524 243893145 /nfs/dbraw/zinc/89/31/45/243893145.db2.gz IBSZPIBGXFVDCN-LLVKDONJSA-N 0 0 293.323 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3cscn3)no2)cc1 ZINC000225589043 189758547 /nfs/dbraw/zinc/75/85/47/189758547.db2.gz YZXHFOFZEWXKNS-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN CCOCCC(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456170067 244094104 /nfs/dbraw/zinc/09/41/04/244094104.db2.gz BAYOQOKGUSUPOV-UHFFFAOYSA-N 0 0 295.339 2.780 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCC(=O)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000330724688 203157618 /nfs/dbraw/zinc/15/76/18/203157618.db2.gz ZRYKOUZOSNDTKH-MNOVXSKESA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1cc(C)c(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000456861564 244308506 /nfs/dbraw/zinc/30/85/06/244308506.db2.gz OPAQNLWFKLOFJP-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN COCC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CCCC1 ZINC000456879313 244315819 /nfs/dbraw/zinc/31/58/19/244315819.db2.gz KXSDHJZCZBLMGP-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000456912608 244325982 /nfs/dbraw/zinc/32/59/82/244325982.db2.gz XBVKYUJMXNXYTH-AAEUAGOBSA-N 0 0 274.320 2.679 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000456922067 244327467 /nfs/dbraw/zinc/32/74/67/244327467.db2.gz GMUWBIYRZLOBOT-ZIAGYGMSSA-N 0 0 292.335 2.591 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000456928555 244329305 /nfs/dbraw/zinc/32/93/05/244329305.db2.gz NZDLGYUZEJXGBM-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000456936288 244331739 /nfs/dbraw/zinc/33/17/39/244331739.db2.gz CMSUVOBMITWCRA-NXEZZACHSA-N 0 0 298.364 2.734 20 5 CFBDRN C[C@@H]1CCN(C(=O)CSc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000330807220 203257682 /nfs/dbraw/zinc/25/76/82/203257682.db2.gz LLYASABZGSUOAN-GHMZBOCLSA-N 0 0 294.376 2.944 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCC1CC(F)(F)C1 ZINC000457165995 244416759 /nfs/dbraw/zinc/41/67/59/244416759.db2.gz YFBYFCOLXRBYSG-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN CN(C[C@H]1CC=CCC1)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000330846344 203306123 /nfs/dbraw/zinc/30/61/23/203306123.db2.gz UXXAMWYHXUUPEY-NSHDSACASA-N 0 0 289.335 2.605 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000457195612 244431403 /nfs/dbraw/zinc/43/14/03/244431403.db2.gz FJVXZKKKCRJJEQ-MRVPVSSYSA-N 0 0 254.286 2.742 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000330869746 203335453 /nfs/dbraw/zinc/33/54/53/203335453.db2.gz PYGZTUYFTWLFES-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@]1(O)CCSC1 ZINC000276228156 193250667 /nfs/dbraw/zinc/25/06/67/193250667.db2.gz NCROZXFBJNFQQC-NSHDSACASA-N 0 0 288.756 2.528 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NOC[C@H]1CCOC1 ZINC000276270820 193266129 /nfs/dbraw/zinc/26/61/29/193266129.db2.gz UUTZVYFDTLPWFD-QMMMGPOBSA-N 0 0 272.688 2.628 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000276330633 193289227 /nfs/dbraw/zinc/28/92/27/193289227.db2.gz YDMCFHCNGINXDR-LBPRGKRZSA-N 0 0 294.376 2.538 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000330934645 203399901 /nfs/dbraw/zinc/39/99/01/203399901.db2.gz JSBRIFAVZFROLR-GXSJLCMTSA-N 0 0 277.324 2.559 20 5 CFBDRN COc1ccc(OC)c(CNc2ncc([N+](=O)[O-])s2)c1 ZINC000276490918 193353218 /nfs/dbraw/zinc/35/32/18/193353218.db2.gz PYKMZUWOJFLAGE-UHFFFAOYSA-N 0 0 295.320 2.681 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000331052341 203498454 /nfs/dbraw/zinc/49/84/54/203498454.db2.gz IZVDSMUDSBLWAZ-IINYFYTJSA-N 0 0 292.335 2.545 20 5 CFBDRN CC(C)=CCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000276562284 193382260 /nfs/dbraw/zinc/38/22/60/193382260.db2.gz CRFQWZKAXMTHIR-UHFFFAOYSA-N 0 0 267.260 2.822 20 5 CFBDRN CC[C@@H](C)CC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000276571930 193385023 /nfs/dbraw/zinc/38/50/23/193385023.db2.gz AXXBISMRIRVPFT-SECBINFHSA-N 0 0 266.297 2.978 20 5 CFBDRN COCCCCCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000116612424 376199056 /nfs/dbraw/zinc/19/90/56/376199056.db2.gz BMEIOSLRRPVRIF-UHFFFAOYSA-N 0 0 290.323 2.670 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000331083365 203525494 /nfs/dbraw/zinc/52/54/94/203525494.db2.gz YQRKJBZICBHBLG-PWSUYJOCSA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000458100219 244764236 /nfs/dbraw/zinc/76/42/36/244764236.db2.gz KPSWHHSSYRZXEC-ZFWWWQNUSA-N 0 0 276.336 2.688 20 5 CFBDRN Cc1cc(OCC(=O)N2C[C@@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000331182621 203604071 /nfs/dbraw/zinc/60/40/71/203604071.db2.gz HQXVGXKYPRTYAF-CMPLNLGQSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC1CC(F)(F)C1 ZINC000277004874 193528554 /nfs/dbraw/zinc/52/85/54/193528554.db2.gz IIKQWODHNYJSDN-UHFFFAOYSA-N 0 0 263.631 2.853 20 5 CFBDRN CCc1nnc(Oc2cc(F)cc([N+](=O)[O-])c2)nc1CC ZINC000277076828 193548392 /nfs/dbraw/zinc/54/83/92/193548392.db2.gz TXVXJXLRYOHKNU-UHFFFAOYSA-N 0 0 292.270 2.836 20 5 CFBDRN COc1cc(NC2CC(F)(F)C2)c([N+](=O)[O-])cc1F ZINC000277141940 193566859 /nfs/dbraw/zinc/56/68/59/193566859.db2.gz KAXZMDYWQAQXKW-UHFFFAOYSA-N 0 0 276.214 2.952 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCC1(C)CCC1 ZINC000277243672 193595989 /nfs/dbraw/zinc/59/59/89/193595989.db2.gz MBLCEBRHILFRTA-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CCOc1cccc(N[C@@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC000277315833 193617975 /nfs/dbraw/zinc/61/79/75/193617975.db2.gz BPTTXWALMIQREA-WDEREUQCSA-N 0 0 280.324 2.830 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC(F)(F)C1 ZINC000335153850 296165196 /nfs/dbraw/zinc/16/51/96/296165196.db2.gz JSPZLJDJINDGNM-UHFFFAOYSA-N 0 0 295.245 2.557 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCCCO1 ZINC000460067527 245347921 /nfs/dbraw/zinc/34/79/21/245347921.db2.gz SVAGQWMQHDZNJE-CYBMUJFWSA-N 0 0 282.271 2.632 20 5 CFBDRN Cc1c(CNC(=O)N(C(C)C)C2CC2)cccc1[N+](=O)[O-] ZINC000331883625 204261558 /nfs/dbraw/zinc/26/15/58/204261558.db2.gz QEALUNTYHZEPAP-UHFFFAOYSA-N 0 0 291.351 2.986 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000462179094 245381419 /nfs/dbraw/zinc/38/14/19/245381419.db2.gz WRXYLQIAXLDUJU-CHWSQXEVSA-N 0 0 276.336 2.690 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000462336864 245383137 /nfs/dbraw/zinc/38/31/37/245383137.db2.gz CPASPWNXUPQXGE-DGCLKSJQSA-N 0 0 276.336 2.956 20 5 CFBDRN COC1([C@@H](C)NC(=O)c2cc([N+](=O)[O-])c(C)s2)CCC1 ZINC000331979083 204355817 /nfs/dbraw/zinc/35/58/17/204355817.db2.gz FBUUKHSXOYVLMJ-SECBINFHSA-N 0 0 298.364 2.652 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)Oc1ccccc1 ZINC000000224327 371561399 /nfs/dbraw/zinc/56/13/99/371561399.db2.gz HBAIDBGDHREGFZ-UHFFFAOYSA-N 0 0 273.244 2.579 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C)n2)c1 ZINC000001511594 371678656 /nfs/dbraw/zinc/67/86/56/371678656.db2.gz NLPCXODKWTZNLN-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccccc1CN1C(=O)c2cccc([N+](=O)[O-])c2C1=O ZINC000004434075 371856725 /nfs/dbraw/zinc/85/67/25/371856725.db2.gz GEEKDXMMWXAWCW-UHFFFAOYSA-N 0 0 296.282 2.699 20 5 CFBDRN Cc1ccnc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c1 ZINC000013201080 372020156 /nfs/dbraw/zinc/02/01/56/372020156.db2.gz AITAYVNLZYFIDY-DAXSKMNVSA-N 0 0 283.287 2.950 20 5 CFBDRN CN(C)c1cccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000019161086 372149751 /nfs/dbraw/zinc/14/97/51/372149751.db2.gz PFYBWOJSAMIDBI-UHFFFAOYSA-N 0 0 285.303 2.913 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1ccc(F)cc1 ZINC000020009861 372220120 /nfs/dbraw/zinc/22/01/20/372220120.db2.gz VDZIZPDUOQBEDQ-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN C[C@@H]([NH2+][C@@H](C)c1ccccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000020526286 372239892 /nfs/dbraw/zinc/23/98/92/372239892.db2.gz RBCCXZFGYWSBFA-WDMOLILDSA-N 0 0 264.325 2.813 20 5 CFBDRN Cc1ccc(OCc2nnsc2Cl)c([N+](=O)[O-])c1 ZINC000024066673 372270709 /nfs/dbraw/zinc/27/07/09/372270709.db2.gz FRFXGWRVHUNDFO-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN Cc1ccc(Cn2c(=O)oc3ccc([N+](=O)[O-])cc32)cc1 ZINC000028004665 372355048 /nfs/dbraw/zinc/35/50/48/372355048.db2.gz NYUBNBWVPLBTOY-UHFFFAOYSA-N 0 0 284.271 2.859 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000465574031 245431617 /nfs/dbraw/zinc/43/16/17/245431617.db2.gz OUCYSRZQLAIYMU-YPMHNXCESA-N 0 0 291.351 2.925 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@H]2CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000332683674 204892204 /nfs/dbraw/zinc/89/22/04/204892204.db2.gz ISCHYJYXBWLBEK-NSHDSACASA-N 0 0 293.367 2.974 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC(O)CC2)c2cccnc21 ZINC000035084585 372537204 /nfs/dbraw/zinc/53/72/04/372537204.db2.gz YRFHXABAHNRBOX-UHFFFAOYSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCO2)c2ccncc21 ZINC000035084426 372537359 /nfs/dbraw/zinc/53/73/59/372537359.db2.gz KWGXWHKTCVHFCG-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000033181698 372485196 /nfs/dbraw/zinc/48/51/96/372485196.db2.gz SUPUKKJJWFXWFY-WCQYABFASA-N 0 0 276.336 2.832 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1cccnc1 ZINC000035125517 372542962 /nfs/dbraw/zinc/54/29/62/372542962.db2.gz VIEFLBLUKGAMRH-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccccc1F ZINC000036136665 372562759 /nfs/dbraw/zinc/56/27/59/372562759.db2.gz NAPVGYJXGKJKPY-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN O=C1COc2cc([N+](=O)[O-])c(NC3CCCCC3)cc2N1 ZINC000035233622 372547243 /nfs/dbraw/zinc/54/72/43/372547243.db2.gz INPFQGPYOUQJSO-UHFFFAOYSA-N 0 0 291.307 2.670 20 5 CFBDRN COC(=O)[C@H](CC(C)C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000036980710 372619923 /nfs/dbraw/zinc/61/99/23/372619923.db2.gz JCUODTXRQJCMDY-AAEUAGOBSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1cc(NCc2ccc([N+](=O)[O-])cc2)nc(C)n1 ZINC000037756838 372699767 /nfs/dbraw/zinc/69/97/67/372699767.db2.gz VHYLEVAVYFJXPU-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1n[nH]cc1CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000037883073 372731797 /nfs/dbraw/zinc/73/17/97/372731797.db2.gz METYAPQLYJZERY-UHFFFAOYSA-N 0 0 266.688 2.892 20 5 CFBDRN Cc1csc(CNCc2cccc([N+](=O)[O-])c2)n1 ZINC000037988455 372749525 /nfs/dbraw/zinc/74/95/25/372749525.db2.gz SRWPHVJZCVNJIF-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN CC(=O)[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000571324995 383684503 /nfs/dbraw/zinc/68/45/03/383684503.db2.gz KHCUXVSVCNZANV-NSHDSACASA-N 0 0 254.311 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](O)C2)cc1C(F)(F)F ZINC000040412280 372782296 /nfs/dbraw/zinc/78/22/96/372782296.db2.gz XJWSAJQKYNENSH-VIFPVBQESA-N 0 0 290.241 2.575 20 5 CFBDRN CC[C@H](NCc1cc[nH]n1)c1cccc([N+](=O)[O-])c1 ZINC000041014869 372802873 /nfs/dbraw/zinc/80/28/73/372802873.db2.gz DUDDSDQGCRTVHX-ZDUSSCGKSA-N 0 0 260.297 2.559 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CO)c1 ZINC000041031540 372805440 /nfs/dbraw/zinc/80/54/40/372805440.db2.gz ZOHNFBYDMQLUAI-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN Cc1nn(C[C@H](O)c2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] ZINC000042656524 372944129 /nfs/dbraw/zinc/94/41/29/372944129.db2.gz DAWMVJHBWPGEKW-LBPRGKRZSA-N 0 0 295.726 2.795 20 5 CFBDRN Cc1ccc(NC(=O)NC2CCCC2)cc1[N+](=O)[O-] ZINC000043148656 372979235 /nfs/dbraw/zinc/97/92/35/372979235.db2.gz CGHHWEURKYIPDW-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN CC(C)CCN(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000046359523 373051236 /nfs/dbraw/zinc/05/12/36/373051236.db2.gz KKOUNWJCCKLJQT-UHFFFAOYSA-N 0 0 290.323 2.589 20 5 CFBDRN CC(C)C(C)(C)S(=O)(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000431654515 383687555 /nfs/dbraw/zinc/68/75/55/383687555.db2.gz IRNDHYKVBVLYJS-UHFFFAOYSA-N 0 0 285.365 2.944 20 5 CFBDRN COc1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])cc1 ZINC000046146754 373044523 /nfs/dbraw/zinc/04/45/23/373044523.db2.gz YYTVEDGMETYVMJ-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@H]2CC2[N+](=O)[O-])c2ccccc2S1 ZINC000047249260 373084544 /nfs/dbraw/zinc/08/45/44/373084544.db2.gz HHCNENIHOWAMMH-SCVCMEIPSA-N 0 0 292.360 2.569 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000048200923 373152602 /nfs/dbraw/zinc/15/26/02/373152602.db2.gz CUWPDHKRPOCCJD-LLVKDONJSA-N 0 0 277.324 2.560 20 5 CFBDRN COc1ccc(CN(C)Cc2ccco2)cc1[N+](=O)[O-] ZINC000047934465 373133116 /nfs/dbraw/zinc/13/31/16/373133116.db2.gz YSAYZAJTEZVZBP-UHFFFAOYSA-N 0 0 276.292 2.828 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC[C@@H]1C ZINC000048413020 373179960 /nfs/dbraw/zinc/17/99/60/373179960.db2.gz VBELZFUFZYGXMF-ZANVPECISA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1ccccc1C[C@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000048565912 373201100 /nfs/dbraw/zinc/20/11/00/373201100.db2.gz MJHXWEUSVZBOII-NSHDSACASA-N 0 0 274.324 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc3c2OCO3)s1 ZINC000048999877 373248248 /nfs/dbraw/zinc/24/82/48/373248248.db2.gz SOMYTTBZOXDIBO-UHFFFAOYSA-N 0 0 278.289 2.997 20 5 CFBDRN Cc1ccc(-c2nc(Cn3nccc3[N+](=O)[O-])cs2)o1 ZINC000049493649 373304516 /nfs/dbraw/zinc/30/45/16/373304516.db2.gz HJQZWNJZSPKGLQ-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN Cc1cccc(C(=O)N(C)Cc2cccnc2)c1[N+](=O)[O-] ZINC000049944728 373331881 /nfs/dbraw/zinc/33/18/81/373331881.db2.gz SZNFYQKWZZMSFK-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN O=C([O-])[C@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000049964234 373337056 /nfs/dbraw/zinc/33/70/56/373337056.db2.gz OTGZSHCKTQPWQI-JTQLQIEISA-N 0 0 298.726 2.545 20 5 CFBDRN CCOC(=O)CN(CC)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000050071573 373347705 /nfs/dbraw/zinc/34/77/05/373347705.db2.gz MJFWJQMNBRQTMZ-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN CCOC(=O)[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000050069934 373349625 /nfs/dbraw/zinc/34/96/25/373349625.db2.gz IVYBOHKKBGCNMI-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN COC(=O)CCCN(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000050086364 373351562 /nfs/dbraw/zinc/35/15/62/373351562.db2.gz BQARRESLCHQFEX-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN CCC[C@H](CC)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000050165023 373359521 /nfs/dbraw/zinc/35/95/21/373359521.db2.gz PDJHVXYWYCYXGY-JTQLQIEISA-N 0 0 265.313 2.684 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@H]1CCOC1 ZINC000050352077 373372439 /nfs/dbraw/zinc/37/24/39/373372439.db2.gz ZTBUJLSPZPVEFM-QMMMGPOBSA-N 0 0 256.689 2.697 20 5 CFBDRN Cc1ccc(N[C@@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000052007403 373427949 /nfs/dbraw/zinc/42/79/49/373427949.db2.gz IKNZKKFRAKBYFN-DGCLKSJQSA-N 0 0 250.298 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@H]2O)c2cccnc21 ZINC000052006403 373429100 /nfs/dbraw/zinc/42/91/00/373429100.db2.gz NFONEYDUPUBZEP-GXTWGEPZSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CO)CCCC2)c(Cl)c1 ZINC000053070898 373463120 /nfs/dbraw/zinc/46/31/20/373463120.db2.gz JKORYQDCXCSCHG-UHFFFAOYSA-N 0 0 270.716 2.965 20 5 CFBDRN CN(Cc1ccncc1)c1cccc(F)c1[N+](=O)[O-] ZINC000054269464 373510045 /nfs/dbraw/zinc/51/00/45/373510045.db2.gz YZCQKEKKZAKQRA-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000057152136 373579919 /nfs/dbraw/zinc/57/99/19/373579919.db2.gz RTMGNKFWWRNVDO-NXEZZACHSA-N 0 0 279.340 2.801 20 5 CFBDRN Cc1occc1CN(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000055460998 373551322 /nfs/dbraw/zinc/55/13/22/373551322.db2.gz ZVSVSFCOKVCEGL-UHFFFAOYSA-N 0 0 288.303 2.697 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000055466668 373553981 /nfs/dbraw/zinc/55/39/81/373553981.db2.gz QPCWVTBWBKZQTN-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@H](NC(=O)CC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000055466954 373554328 /nfs/dbraw/zinc/55/43/28/373554328.db2.gz MIVSNHNXHXFJEX-FZMZJTMJSA-N 0 0 292.335 2.731 20 5 CFBDRN O=C(OC[C@H]1CCCO1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000057974508 373621065 /nfs/dbraw/zinc/62/10/65/373621065.db2.gz QACLHMGGHNHTCO-SECBINFHSA-N 0 0 285.683 2.584 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)s1 ZINC000192509694 220226478 /nfs/dbraw/zinc/22/64/78/220226478.db2.gz JEPLRSCFAYXKCO-IEBDPFPHSA-N 0 0 295.364 2.811 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1ccc2c(c1)OCO2 ZINC000061674948 373723452 /nfs/dbraw/zinc/72/34/52/373723452.db2.gz AGFGJRFGWCUYBQ-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN CN(Cc1nccn1C)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000062251843 373756364 /nfs/dbraw/zinc/75/63/64/373756364.db2.gz OMTQTFVPKKNFJU-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CCCCOCCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000063537176 373808618 /nfs/dbraw/zinc/80/86/18/373808618.db2.gz KJIWJRLAEGRECD-UHFFFAOYSA-N 0 0 298.314 2.671 20 5 CFBDRN Cc1noc(C)c1CNCc1ccc(C)c([N+](=O)[O-])c1 ZINC000063550159 373809383 /nfs/dbraw/zinc/80/93/83/373809383.db2.gz GVEDDCKCEFBOAX-UHFFFAOYSA-N 0 0 275.308 2.798 20 5 CFBDRN CCC(O)(CC)CNc1ccc([N+](=O)[O-])cc1F ZINC000063992641 373817536 /nfs/dbraw/zinc/81/75/36/373817536.db2.gz WCUJRZAANAOAPC-UHFFFAOYSA-N 0 0 256.277 2.697 20 5 CFBDRN CCCC1CCC(Nc2ncc([N+](=O)[O-])c(N)n2)CC1 ZINC000065587114 373889249 /nfs/dbraw/zinc/88/92/49/373889249.db2.gz YHIJMNYYBYMOSF-UHFFFAOYSA-N 0 0 279.344 2.738 20 5 CFBDRN CCCCCN(C(=O)Cn1cc([N+](=O)[O-])nc1C)C(C)C ZINC000065725880 373898383 /nfs/dbraw/zinc/89/83/83/373898383.db2.gz HTDRMKLGLCKQIL-UHFFFAOYSA-N 0 0 296.371 2.527 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@H](CSC)C1 ZINC000488980803 245917608 /nfs/dbraw/zinc/91/76/08/245917608.db2.gz LJCFUBGOPOEOIL-NSHDSACASA-N 0 0 298.412 2.699 20 5 CFBDRN CN(C(=O)CCNc1ccccc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000489346478 245940950 /nfs/dbraw/zinc/94/09/50/245940950.db2.gz CQIDJTXUOOIIBQ-CYBMUJFWSA-N 0 0 291.351 2.654 20 5 CFBDRN CO[C@@H](C)CCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000336831693 220245330 /nfs/dbraw/zinc/24/53/30/220245330.db2.gz KCFZHDLUYHHUDC-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@@H]1c1ccccc1 ZINC000067503006 373981140 /nfs/dbraw/zinc/98/11/40/373981140.db2.gz MSYUVUMRRAYRIM-HZPDHXFCSA-N 0 0 296.326 2.810 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000068531103 374032363 /nfs/dbraw/zinc/03/23/63/374032363.db2.gz QGJYOXIJHVMJHN-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC=CCC2)c1 ZINC000068826589 374038534 /nfs/dbraw/zinc/03/85/34/374038534.db2.gz YHDQZBICHIDOCR-NSHDSACASA-N 0 0 290.319 2.690 20 5 CFBDRN Cc1cc(NCCOCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000070167475 374133248 /nfs/dbraw/zinc/13/32/48/374133248.db2.gz ORIXRKBGUOGOOR-UHFFFAOYSA-N 0 0 278.230 2.894 20 5 CFBDRN Cc1ccc(N[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000070352735 374168884 /nfs/dbraw/zinc/16/88/84/374168884.db2.gz UEKXSWQSMLBGJL-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1nccn1C ZINC000070445060 374175223 /nfs/dbraw/zinc/17/52/23/374175223.db2.gz MKUVVVQTQMJFIT-QWRGUYRKSA-N 0 0 274.324 2.740 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000070981715 374210556 /nfs/dbraw/zinc/21/05/56/374210556.db2.gz RDFFGUOQXMGZDJ-UHFFFAOYSA-N 0 0 279.340 2.897 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000071211471 374214221 /nfs/dbraw/zinc/21/42/21/374214221.db2.gz KPRKRTNQHVRDNC-MWLCHTKSSA-N 0 0 277.324 2.555 20 5 CFBDRN Cc1noc(C)c1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491304823 246059289 /nfs/dbraw/zinc/05/92/89/246059289.db2.gz NEMOLYFZMDOLPA-FPLPWBNLSA-N 0 0 287.275 2.852 20 5 CFBDRN CCN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000491462197 246071710 /nfs/dbraw/zinc/07/17/10/246071710.db2.gz FTBAZJDUFUPFFB-YHYXMXQVSA-N 0 0 260.293 2.619 20 5 CFBDRN Cc1c(NC(=O)/C=C\C2CCOCC2)cccc1[N+](=O)[O-] ZINC000491799725 246091572 /nfs/dbraw/zinc/09/15/72/246091572.db2.gz WBYMKBLWIJKIBJ-WAYWQWQTSA-N 0 0 290.319 2.825 20 5 CFBDRN COC1CCN(c2ccc([N+](=O)[O-])c3cnccc32)CC1 ZINC000073172033 374327973 /nfs/dbraw/zinc/32/79/73/374327973.db2.gz NDUSUEKIFPNHAP-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CCCN(CCC)C(=O)CNc1cccc([N+](=O)[O-])c1C ZINC000073627170 374360797 /nfs/dbraw/zinc/36/07/97/374360797.db2.gz ZHEMKKBYLKGFQZ-UHFFFAOYSA-N 0 0 293.367 2.964 20 5 CFBDRN CCCN(CCC)C(=O)CNc1cc([N+](=O)[O-])ccc1C ZINC000073626351 374360996 /nfs/dbraw/zinc/36/09/96/374360996.db2.gz AOPVKHFDOIHYMJ-UHFFFAOYSA-N 0 0 293.367 2.964 20 5 CFBDRN Cc1noc(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])c1C ZINC000491945095 246131341 /nfs/dbraw/zinc/13/13/41/246131341.db2.gz JWSZWUSNAKJNEU-BQYQJAHWSA-N 0 0 287.275 2.852 20 5 CFBDRN O=C(/C=C\C1CC1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000491947348 246132597 /nfs/dbraw/zinc/13/25/97/246132597.db2.gz FIXYXDUYJAVPEB-ARJAWSKDSA-N 0 0 268.219 2.778 20 5 CFBDRN Cc1cccnc1N(C)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000492015144 246157830 /nfs/dbraw/zinc/15/78/30/246157830.db2.gz JOBWYKWLNOPUAP-JXMROGBWSA-N 0 0 297.314 2.974 20 5 CFBDRN CCC(CC)[C@H](O)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074750552 374436028 /nfs/dbraw/zinc/43/60/28/374436028.db2.gz HRXJWYBOKKKRAK-GFCCVEGCSA-N 0 0 267.329 2.507 20 5 CFBDRN O=C(/C=C/C1CCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000492093989 246184798 /nfs/dbraw/zinc/18/47/98/246184798.db2.gz XOQWJJFNTMDRJO-RMKNXTFCSA-N 0 0 260.293 2.567 20 5 CFBDRN Cc1cc(=O)[nH]cc1NC(=O)C=Cc1cccc([N+](=O)[O-])c1 ZINC000492072282 246175492 /nfs/dbraw/zinc/17/54/92/246175492.db2.gz RRBIDFFYWFZBPE-AATRIKPKSA-N 0 0 299.286 2.656 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC1(C2CC2)CC1 ZINC000492142989 246202757 /nfs/dbraw/zinc/20/27/57/246202757.db2.gz FUUBSMKZTGOZLD-DAXSKMNVSA-N 0 0 272.304 2.667 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)Oc2cccc([N+](=O)[O-])c2)O1 ZINC000075188908 374468805 /nfs/dbraw/zinc/46/88/05/374468805.db2.gz MSXNMZPELOAESS-PWSUYJOCSA-N 0 0 279.292 2.848 20 5 CFBDRN CSC(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000075364170 374478255 /nfs/dbraw/zinc/47/82/55/374478255.db2.gz AZILNVQONNOEPS-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000492296769 246250617 /nfs/dbraw/zinc/25/06/17/246250617.db2.gz PQLIRDIATBHYSX-HJHHMULASA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC1(C)C ZINC000077352729 374562455 /nfs/dbraw/zinc/56/24/55/374562455.db2.gz AIKRZWDICUYZEF-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1cc(OCC(=O)N(C(C)C)C(C)C)ccc1[N+](=O)[O-] ZINC000078913938 374573804 /nfs/dbraw/zinc/57/38/04/374573804.db2.gz OGBNOWRVORXVBG-UHFFFAOYSA-N 0 0 294.351 2.927 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000078944049 374576714 /nfs/dbraw/zinc/57/67/14/374576714.db2.gz NAIBGUHNGLGGGV-LDYMZIIASA-N 0 0 286.291 2.579 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000492798599 246389221 /nfs/dbraw/zinc/38/92/21/246389221.db2.gz UGOXXABULRTVKP-XYXWOTOZSA-N 0 0 278.283 2.662 20 5 CFBDRN C[C@H](O)CCNc1cc(Br)ccc1[N+](=O)[O-] ZINC000083730740 374753789 /nfs/dbraw/zinc/75/37/89/374753789.db2.gz ZXKMXNLSKPICDB-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN CC(C)(O)CCOc1ccc([N+](=O)[O-])cc1Cl ZINC000081676357 374711696 /nfs/dbraw/zinc/71/16/96/374711696.db2.gz KZGDSPIPJWYURS-UHFFFAOYSA-N 0 0 259.689 2.788 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])cn2)C[C@@H]1C ZINC000085057558 374795231 /nfs/dbraw/zinc/79/52/31/374795231.db2.gz CSVSBELSUDJCHA-KXUCPTDWSA-N 0 0 250.302 2.621 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)[C@H]2CCCO2)c1 ZINC000084726289 374786815 /nfs/dbraw/zinc/78/68/15/374786815.db2.gz QXUPUFSYNFTICQ-ZWNOBZJWSA-N 0 0 250.298 2.883 20 5 CFBDRN CC[C@@H](C)NC(=O)CCNc1cc(C)ccc1[N+](=O)[O-] ZINC000084726373 374787627 /nfs/dbraw/zinc/78/76/27/374787627.db2.gz OYNYKDDODZPRQX-LLVKDONJSA-N 0 0 279.340 2.620 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776234 374789680 /nfs/dbraw/zinc/78/96/80/374789680.db2.gz DNPJLHVKMRKAEA-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@@H]2O)c2ccncc21 ZINC000089167520 374882639 /nfs/dbraw/zinc/88/26/39/374882639.db2.gz ZJDMQQDLBVCHKP-BMIGLBTASA-N 0 0 287.319 2.716 20 5 CFBDRN O=C(C[C@H]1C=CCC1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089204967 374888903 /nfs/dbraw/zinc/88/89/03/374888903.db2.gz UHWZXQNESQLUAY-VIFPVBQESA-N 0 0 286.291 2.766 20 5 CFBDRN CCc1onc(C)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000089408789 374902206 /nfs/dbraw/zinc/90/22/06/374902206.db2.gz LMMWPXSOSNCGNZ-UHFFFAOYSA-N 0 0 289.291 2.635 20 5 CFBDRN CCc1onc(C)c1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000089394629 374898710 /nfs/dbraw/zinc/89/87/10/374898710.db2.gz AGTSHTBBNVDAQU-UHFFFAOYSA-N 0 0 281.293 2.767 20 5 CFBDRN O=C(NCCC1CC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000088455861 374847573 /nfs/dbraw/zinc/84/75/73/374847573.db2.gz DSMRQCDULRDKNV-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN O=C(CCC1CC1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089420666 374903662 /nfs/dbraw/zinc/90/36/62/374903662.db2.gz KFKXDDNVPWJVTB-UHFFFAOYSA-N 0 0 274.280 2.600 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342779592 297351592 /nfs/dbraw/zinc/35/15/92/297351592.db2.gz KWIWBZFPBKFSNU-GHMZBOCLSA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1cnc(NCCOCCC(C)C)c([N+](=O)[O-])c1 ZINC000090692259 375023874 /nfs/dbraw/zinc/02/38/74/375023874.db2.gz QLIGIJJTASFOHM-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN COC(C)(C)CNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000091686324 375058687 /nfs/dbraw/zinc/05/86/87/375058687.db2.gz LCIXYGMWCAMECK-UHFFFAOYSA-N 0 0 294.351 2.675 20 5 CFBDRN CCO[C@@H](CCNc1c([N+](=O)[O-])c(CC)nn1C)C(C)C ZINC000091730675 375060469 /nfs/dbraw/zinc/06/04/69/375060469.db2.gz ASXXOHWWBHGKAW-LBPRGKRZSA-N 0 0 298.387 2.754 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CCC12CCC2 ZINC000493838164 246721039 /nfs/dbraw/zinc/72/10/39/246721039.db2.gz QRSDKUOPAISYQM-VOTSOKGWSA-N 0 0 272.304 2.763 20 5 CFBDRN CCc1nn(C)c(N(C)Cc2cccs2)c1[N+](=O)[O-] ZINC000092826835 375162763 /nfs/dbraw/zinc/16/27/63/375162763.db2.gz KISRLWDXZHYXFR-UHFFFAOYSA-N 0 0 280.353 2.589 20 5 CFBDRN CC(=O)C[C@@H](C)NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000093098140 375187473 /nfs/dbraw/zinc/18/74/73/375187473.db2.gz QSYMIHURNVEAAX-SNVBAGLBSA-N 0 0 292.335 2.617 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OC[C@@H]2CCCO2)c1 ZINC000093307923 375205747 /nfs/dbraw/zinc/20/57/47/375205747.db2.gz YWFXXSHMRNMYMC-VIFPVBQESA-N 0 0 257.673 2.806 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCC[C@@H](SC)C2)c1[N+](=O)[O-] ZINC000094161577 375342907 /nfs/dbraw/zinc/34/29/07/375342907.db2.gz GYJLFOMNNJYIIQ-VHSXEESVSA-N 0 0 298.412 2.977 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@@H]3CCCC[C@@H]32)cc1F ZINC000094774709 375377630 /nfs/dbraw/zinc/37/76/30/375377630.db2.gz XJUCJGYFKYIVJW-LSDHHAIUSA-N 0 0 294.326 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCCc3ccccn3)nc2c1 ZINC000094771626 375377979 /nfs/dbraw/zinc/37/79/79/375377979.db2.gz ZWJVSKASLNKHKE-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094774472 375377994 /nfs/dbraw/zinc/37/79/94/375377994.db2.gz CAWPBBHGYQFUBM-CMPLNLGQSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1cccc(Cl)c1 ZINC000096553064 375491279 /nfs/dbraw/zinc/49/12/79/375491279.db2.gz NOVGYLLZVLZKGM-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1ncc(Cl)n1C ZINC000097058505 375506150 /nfs/dbraw/zinc/50/61/50/375506150.db2.gz UWBXYTSNQLHBPJ-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN C[C@H](CCO)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000097442678 375561511 /nfs/dbraw/zinc/56/15/11/375561511.db2.gz RCMLQIWMAHGNTA-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1ncc(COc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000505473261 247140388 /nfs/dbraw/zinc/14/03/88/247140388.db2.gz HULKLCZCAOHDBC-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CCCC[N@H+](CC)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000505903109 247156208 /nfs/dbraw/zinc/15/62/08/247156208.db2.gz NGFHMRVRTBGGTO-UHFFFAOYSA-N 0 0 252.314 2.922 20 5 CFBDRN CC(C)[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000505063620 247131053 /nfs/dbraw/zinc/13/10/53/247131053.db2.gz RMXXHMAQSMVNJS-NEPJUHHUSA-N 0 0 293.367 2.878 20 5 CFBDRN CC1(C)CC(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000507187851 247167978 /nfs/dbraw/zinc/16/79/78/247167978.db2.gz MITVTEJDGJGZNX-UHFFFAOYSA-N 0 0 262.309 2.647 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1nc(C(C)(C)C)cs1 ZINC000264208126 383709520 /nfs/dbraw/zinc/70/95/20/383709520.db2.gz FMKBUTZZCZFNDX-UHFFFAOYSA-N 0 0 295.368 2.694 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1nc(C)cs1 ZINC000264206613 383708905 /nfs/dbraw/zinc/70/89/05/383708905.db2.gz FGPZKJLJQRCCDI-MRVPVSSYSA-N 0 0 294.336 2.569 20 5 CFBDRN CCCC(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000103931164 375762358 /nfs/dbraw/zinc/76/23/58/375762358.db2.gz INSQPSYKWYQJAR-UHFFFAOYSA-N 0 0 274.280 2.519 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000103978973 375764106 /nfs/dbraw/zinc/76/41/06/375764106.db2.gz FKZWOFUQYKOQGL-RYUDHWBXSA-N 0 0 291.351 2.796 20 5 CFBDRN CCC(CC)[C@H](O)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000111427661 375848295 /nfs/dbraw/zinc/84/82/95/375848295.db2.gz FQHWAIHNIGYJQT-CYBMUJFWSA-N 0 0 295.339 2.513 20 5 CFBDRN CC(C)N(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CCCC1 ZINC000112289071 375898268 /nfs/dbraw/zinc/89/82/68/375898268.db2.gz GJEJSCUMLFVMBE-UHFFFAOYSA-N 0 0 291.351 2.970 20 5 CFBDRN Cc1ncn(CCCOc2ccc([N+](=O)[O-])cc2)c1C ZINC000112980502 375980489 /nfs/dbraw/zinc/98/04/89/375980489.db2.gz NPOLEGKLLBEAKW-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN CN(c1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CCCC1 ZINC000571630502 383710968 /nfs/dbraw/zinc/71/09/68/383710968.db2.gz ZRBDWVPYEMOXSZ-UHFFFAOYSA-N 0 0 260.297 2.850 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1Cc1nc(-c2ccccc2C)no1 ZINC000113729309 376029500 /nfs/dbraw/zinc/02/95/00/376029500.db2.gz DKAGTARPGQJMDC-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN Cc1nn(CC(=O)C2CCC2)c2ccc([N+](=O)[O-])cc12 ZINC000278004870 193815370 /nfs/dbraw/zinc/81/53/70/193815370.db2.gz OOURZXDBWMWBLW-UHFFFAOYSA-N 0 0 273.292 2.622 20 5 CFBDRN COCc1csc(NC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000115244417 376117658 /nfs/dbraw/zinc/11/76/58/376117658.db2.gz KFEJVQBHZFGMQX-UHFFFAOYSA-N 0 0 299.333 2.512 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N(CC1CC1)CC1CC1 ZINC000115251572 376118736 /nfs/dbraw/zinc/11/87/36/376118736.db2.gz CLZFTBAZIIFQAP-UHFFFAOYSA-N 0 0 274.320 2.857 20 5 CFBDRN Cc1ccnc(N(C)Cc2ccsc2)c1[N+](=O)[O-] ZINC000115515632 376135166 /nfs/dbraw/zinc/13/51/66/376135166.db2.gz HGGPLTMGRHENQA-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cccc([N+](=O)[O-])c2)cc1 ZINC000116210304 376171958 /nfs/dbraw/zinc/17/19/58/376171958.db2.gz ISXNAVIANLJNCN-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN CC[C@@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000117559568 376247290 /nfs/dbraw/zinc/24/72/90/376247290.db2.gz YZZCLJICJAGCPG-MRVPVSSYSA-N 0 0 270.716 2.705 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1C)c1ccncc1 ZINC000117663887 376255555 /nfs/dbraw/zinc/25/55/55/376255555.db2.gz OMXFKVHFSONILT-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCS[C@H](C)[C@@H]1C ZINC000118415435 376296000 /nfs/dbraw/zinc/29/60/00/376296000.db2.gz XHVNYGDVJSNQFP-WDEREUQCSA-N 0 0 296.392 2.929 20 5 CFBDRN CC[C@H](C)OCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000119389970 376406883 /nfs/dbraw/zinc/40/68/83/376406883.db2.gz PVCUKPZATFBABC-NSHDSACASA-N 0 0 294.351 2.761 20 5 CFBDRN COc1ccc(CSc2ncc(C)cn2)cc1[N+](=O)[O-] ZINC000119399737 376407993 /nfs/dbraw/zinc/40/79/93/376407993.db2.gz QHSQCZNHCRYOMM-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NCC1(C2CC2)CC1 ZINC000119109490 376355447 /nfs/dbraw/zinc/35/54/47/376355447.db2.gz XVIDRGKKVOKUSY-UHFFFAOYSA-N 0 0 292.310 2.583 20 5 CFBDRN Cc1sc(-c2nc([C@H]3CCOC3)no2)cc1[N+](=O)[O-] ZINC000119119984 376357979 /nfs/dbraw/zinc/35/79/79/376357979.db2.gz IRUCBNQOIFWNPE-ZETCQYMHSA-N 0 0 281.293 2.519 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1-c1nc([C@H]2CCOC2)no1 ZINC000119245922 376378697 /nfs/dbraw/zinc/37/86/97/376378697.db2.gz BABPZCVJTMFQMF-ZETCQYMHSA-N 0 0 295.682 2.802 20 5 CFBDRN CCc1ccc(-c2nc([C@@H]3CCOC3)no2)cc1[N+](=O)[O-] ZINC000119550547 376433631 /nfs/dbraw/zinc/43/36/31/376433631.db2.gz QJSYKVHIUQYDHX-LLVKDONJSA-N 0 0 289.291 2.711 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C/c2nc([C@H]3CCOC3)no2)c1 ZINC000119590512 376439957 /nfs/dbraw/zinc/43/99/57/376439957.db2.gz SBTQOYNGHPASTR-ZWNMCFTASA-N 0 0 287.275 2.652 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000119789622 376472197 /nfs/dbraw/zinc/47/21/97/376472197.db2.gz JKGUDZOUUHYNOF-SNVBAGLBSA-N 0 0 287.319 2.948 20 5 CFBDRN CC(C)CCN(C(=O)c1ccc([N+](=O)[O-])c([O-])c1)C1CC1 ZINC000120342388 376597168 /nfs/dbraw/zinc/59/71/68/376597168.db2.gz DRUFYWMVSBTANW-UHFFFAOYSA-N 0 0 292.335 2.951 20 5 CFBDRN CC1(NC(=O)NCCc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000264237104 383714208 /nfs/dbraw/zinc/71/42/08/383714208.db2.gz WLVMPWJMQCOLIW-UHFFFAOYSA-N 0 0 291.351 2.769 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000120450024 376616913 /nfs/dbraw/zinc/61/69/13/376616913.db2.gz ZPPLWRKMZKMBJU-UHFFFAOYSA-N 0 0 294.204 2.758 20 5 CFBDRN C[N@H+](CCC1CCOCC1)Cc1ccccc1[N+](=O)[O-] ZINC000120624172 376653839 /nfs/dbraw/zinc/65/38/39/376653839.db2.gz OPJZXXKMXOHETB-UHFFFAOYSA-N 0 0 278.352 2.843 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc3c(c2)COC3)n1 ZINC000432029611 383714672 /nfs/dbraw/zinc/71/46/72/383714672.db2.gz AFKXRDJEESIDDR-UHFFFAOYSA-N 0 0 285.303 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@@H](c3ccco3)C2)cc1 ZINC000120892081 376717112 /nfs/dbraw/zinc/71/71/12/376717112.db2.gz RAAUPIRGZHFMSB-OAHLLOKOSA-N 0 0 288.303 2.761 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000121331227 376808732 /nfs/dbraw/zinc/80/87/32/376808732.db2.gz JRAOZIDHVOKOOE-NSHDSACASA-N 0 0 280.324 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2ccccc2O)n1 ZINC000121644768 376875552 /nfs/dbraw/zinc/87/55/52/376875552.db2.gz BEPKDVRZTNFJGZ-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCc2nccs2)c(F)c1 ZINC000121698652 376886891 /nfs/dbraw/zinc/88/68/91/376886891.db2.gz YIOMZCCIYKMWGF-UHFFFAOYSA-N 0 0 285.275 2.984 20 5 CFBDRN Cc1c(CC(=O)N[C@H](C)CC(C)C)cccc1[N+](=O)[O-] ZINC000121701646 376887016 /nfs/dbraw/zinc/88/70/16/376887016.db2.gz CGKFCSYGKDINRY-LLVKDONJSA-N 0 0 278.352 2.997 20 5 CFBDRN Cc1cncc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)c1 ZINC000124276802 377033123 /nfs/dbraw/zinc/03/31/23/377033123.db2.gz BQMVABBPFVHFMN-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1cccc(OCC(=O)N(C(C)C)C(C)C)c1[N+](=O)[O-] ZINC000124008869 377007131 /nfs/dbraw/zinc/00/71/31/377007131.db2.gz PUNPYCFNXMYOPU-UHFFFAOYSA-N 0 0 294.351 2.927 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CCC(F)(F)F ZINC000125063686 377115252 /nfs/dbraw/zinc/11/52/52/377115252.db2.gz KOIKETBTVBQJAG-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)CC(F)F ZINC000125726829 377187561 /nfs/dbraw/zinc/18/75/61/377187561.db2.gz KSFGYWLIMYPZAQ-UHFFFAOYSA-N 0 0 287.266 2.940 20 5 CFBDRN CCOc1cc(NCC[C@H](O)CC)ccc1[N+](=O)[O-] ZINC000127237401 377271538 /nfs/dbraw/zinc/27/15/38/377271538.db2.gz MKZSTLFFJAONFR-LLVKDONJSA-N 0 0 268.313 2.566 20 5 CFBDRN CC(C)OC(=O)CSCc1c(F)cccc1[N+](=O)[O-] ZINC000127625174 377292457 /nfs/dbraw/zinc/29/24/57/377292457.db2.gz YPVGVNYNMJBYQK-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN C[C@H]1CC[C@H](CNc2ccc(F)cc2[N+](=O)[O-])O1 ZINC000127886271 377305547 /nfs/dbraw/zinc/30/55/47/377305547.db2.gz LHUPIEHSNFLXJT-WCBMZHEXSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000128978436 377368514 /nfs/dbraw/zinc/36/85/14/377368514.db2.gz IHCAXMQRIKYHIB-ZYHUDNBSSA-N 0 0 294.326 2.971 20 5 CFBDRN CC(C)[C@H](C)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000129072254 377378576 /nfs/dbraw/zinc/37/85/76/377378576.db2.gz GFXGRGYMNFCECC-ZETCQYMHSA-N 0 0 292.382 2.516 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000129107528 377385286 /nfs/dbraw/zinc/38/52/86/377385286.db2.gz YVEPKDFRNJDQEF-QWHCGFSZSA-N 0 0 287.319 2.714 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000129450089 377429925 /nfs/dbraw/zinc/42/99/25/377429925.db2.gz YDCGNCDMNZAWLF-QMMMGPOBSA-N 0 0 293.348 2.557 20 5 CFBDRN Cc1ccn(Cc2cccc3cccnc32)c(=O)c1[N+](=O)[O-] ZINC000129550182 377443788 /nfs/dbraw/zinc/44/37/88/377443788.db2.gz XDPZPPQGENIXPK-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN COc1ncccc1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129764195 377469013 /nfs/dbraw/zinc/46/90/13/377469013.db2.gz DDDZCPJJJHBRBR-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CS[C@H](C)CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000130017081 377500753 /nfs/dbraw/zinc/50/07/53/377500753.db2.gz ZNCHKKKKDDGLLU-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCc2cc(F)ccc21 ZINC000336509838 296263147 /nfs/dbraw/zinc/26/31/47/296263147.db2.gz IVGGSAMXLJMJSP-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000130583633 377580501 /nfs/dbraw/zinc/58/05/01/377580501.db2.gz IQYMNHGZWJCLCB-LLVKDONJSA-N 0 0 294.326 2.925 20 5 CFBDRN CCCN(CC)C(=O)c1cccc([N+](=O)[O-])c1NC ZINC000130787289 377611442 /nfs/dbraw/zinc/61/14/42/377611442.db2.gz MFRLLXSFRKEQAR-UHFFFAOYSA-N 0 0 265.313 2.509 20 5 CFBDRN C[C@H](O)C[N@H+](C)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 ZINC000130801624 377614064 /nfs/dbraw/zinc/61/40/64/377614064.db2.gz POJZJEBDEYRLHB-NSHDSACASA-N 0 0 290.319 2.667 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(Cc2cnccn2)C2CC2)cc1 ZINC000571738738 383721958 /nfs/dbraw/zinc/72/19/58/383721958.db2.gz FKGLDJOGKQDBMC-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN Cc1cc(C)c(Cn2ccc(=O)c([N+](=O)[O-])c2)c(C)c1 ZINC000130992328 377643738 /nfs/dbraw/zinc/64/37/38/377643738.db2.gz YKICIMDFIZYFQX-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN O=C(NCCC1=CCCC1)c1ccc([N+](=O)[O-])s1 ZINC000131331131 377695746 /nfs/dbraw/zinc/69/57/46/377695746.db2.gz ADGRESWNCJNVAL-UHFFFAOYSA-N 0 0 266.322 2.887 20 5 CFBDRN COc1ccc(C(=O)N2CC[C@H](C)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000131343474 377698220 /nfs/dbraw/zinc/69/82/20/377698220.db2.gz STJNNRFNJWQUTG-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN CC(C)N1CC[C@@H](SCc2ccc([N+](=O)[O-])cc2)C1=O ZINC000131369718 377702181 /nfs/dbraw/zinc/70/21/81/377702181.db2.gz MJIOXSOYMFNSRM-CYBMUJFWSA-N 0 0 294.376 2.837 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000131670731 377743582 /nfs/dbraw/zinc/74/35/82/377743582.db2.gz AIWOQQRBUPHQES-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1ccc(OCc2noc(C3CC3)n2)cc1[N+](=O)[O-] ZINC000131700716 377749061 /nfs/dbraw/zinc/74/90/61/377749061.db2.gz BNCXSWXGIQVMJX-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000131857685 377768588 /nfs/dbraw/zinc/76/85/88/377768588.db2.gz PNGOMWAREJUDNV-LLVKDONJSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cnccc1CCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000132153832 377809343 /nfs/dbraw/zinc/80/93/43/377809343.db2.gz QXQYROPIMLBMHS-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCCSCC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132664511 377863031 /nfs/dbraw/zinc/86/30/31/377863031.db2.gz PWMXCCIXIGLBMH-UHFFFAOYSA-N 0 0 273.285 2.783 20 5 CFBDRN CSc1cncc(NCCc2cccc([N+](=O)[O-])c2)n1 ZINC000132925101 377888208 /nfs/dbraw/zinc/88/82/08/377888208.db2.gz WHONMMNLTGRRTQ-UHFFFAOYSA-N 0 0 290.348 2.761 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC1CCC2(CC1)OCCO2 ZINC000132483164 377845978 /nfs/dbraw/zinc/84/59/78/377845978.db2.gz HETSCQYOMAKSEJ-UHFFFAOYSA-N 0 0 296.298 2.832 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CC=CCC1 ZINC000132515635 377850060 /nfs/dbraw/zinc/85/00/60/377850060.db2.gz ILIUNWCUTCKABM-SECBINFHSA-N 0 0 265.240 2.996 20 5 CFBDRN C[C@H]1CC[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])C1 ZINC000133315638 377920095 /nfs/dbraw/zinc/92/00/95/377920095.db2.gz VRNCPXLBBYFLIV-JGVFFNPUSA-N 0 0 266.326 2.905 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000133335272 377921056 /nfs/dbraw/zinc/92/10/56/377921056.db2.gz JUUGVTUKRABNBZ-BXUZGUMPSA-N 0 0 276.336 2.832 20 5 CFBDRN CSC[C@@H]1CCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000133338125 377922826 /nfs/dbraw/zinc/92/28/26/377922826.db2.gz YJTNVZBUCCBYPX-QMMMGPOBSA-N 0 0 298.393 2.636 20 5 CFBDRN Cc1nc(N(Cc2cccnc2)C2CC2)ccc1[N+](=O)[O-] ZINC000133519881 377937115 /nfs/dbraw/zinc/93/71/15/377937115.db2.gz MPKIMGJYQXBSHI-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CCCn1ncnc1COc1cc([N+](=O)[O-])ccc1Cl ZINC000133595258 377942333 /nfs/dbraw/zinc/94/23/33/377942333.db2.gz OCLWGRGILOISTE-UHFFFAOYSA-N 0 0 296.714 2.829 20 5 CFBDRN CCC(CC)CNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000134376117 378006380 /nfs/dbraw/zinc/00/63/80/378006380.db2.gz PMRCJVCFWHHXCX-UHFFFAOYSA-N 0 0 290.323 2.679 20 5 CFBDRN CCC(CC)CNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000134371201 378006403 /nfs/dbraw/zinc/00/64/03/378006403.db2.gz FMZINHIVANFVOM-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000134368334 378006506 /nfs/dbraw/zinc/00/65/06/378006506.db2.gz FEKFQBQOXSOJIG-KCJUWKMLSA-N 0 0 294.282 2.678 20 5 CFBDRN CCC[C@@H](O)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000134446370 378016286 /nfs/dbraw/zinc/01/62/86/378016286.db2.gz DAVRFMBEEDHTLK-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1ccc(C(=O)N(C)C[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000133939312 377969670 /nfs/dbraw/zinc/96/96/70/377969670.db2.gz LTESHICMBORWKC-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134050934 377979264 /nfs/dbraw/zinc/97/92/64/377979264.db2.gz HYFRGYZGOZGSQR-VIFPVBQESA-N 0 0 296.273 2.959 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000134222169 377993757 /nfs/dbraw/zinc/99/37/57/377993757.db2.gz GYCWQADJKFMJJL-SCZZXKLOSA-N 0 0 275.308 2.547 20 5 CFBDRN Cc1cc(NCCC(=O)NC(C)(C)C)ccc1[N+](=O)[O-] ZINC000134539906 378029162 /nfs/dbraw/zinc/02/91/62/378029162.db2.gz NUIBMRYNUXIMNT-UHFFFAOYSA-N 0 0 279.340 2.620 20 5 CFBDRN CN(c1c(Cl)cccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000432137718 383724516 /nfs/dbraw/zinc/72/45/16/383724516.db2.gz FUUDLMGDPQQFQJ-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCCCCCO ZINC000134642889 378041273 /nfs/dbraw/zinc/04/12/73/378041273.db2.gz QWUGYLLWGVFHAS-UHFFFAOYSA-N 0 0 252.314 2.786 20 5 CFBDRN CSC[C@@H](C)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000134831897 378053365 /nfs/dbraw/zinc/05/33/65/378053365.db2.gz GDFYEECININQBX-SSDOTTSWSA-N 0 0 271.298 2.666 20 5 CFBDRN CCOc1cccc(N[C@@H](C)Cn2ccnc2)c1[N+](=O)[O-] ZINC000134912252 378062304 /nfs/dbraw/zinc/06/23/04/378062304.db2.gz ZHCHIQHOPNLCTE-NSHDSACASA-N 0 0 290.323 2.691 20 5 CFBDRN C[C@H]([C@@H]1Cc2ccccc2O1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000135560438 378145335 /nfs/dbraw/zinc/14/53/35/378145335.db2.gz QZJOJEQEFCNYDZ-ABAIWWIYSA-N 0 0 299.330 2.818 20 5 CFBDRN C[C@@H]([C@H]1Cc2ccccc2O1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000135560459 378145428 /nfs/dbraw/zinc/14/54/28/378145428.db2.gz QZJOJEQEFCNYDZ-XHDPSFHLSA-N 0 0 299.330 2.818 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N(C)Cc2ccco2)c1 ZINC000136293977 378193081 /nfs/dbraw/zinc/19/30/81/378193081.db2.gz MUMJHLPGHYDBPI-UHFFFAOYSA-N 0 0 290.275 2.611 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000137049880 378216389 /nfs/dbraw/zinc/21/63/89/378216389.db2.gz QFAXFEJYEOSIAC-NSHDSACASA-N 0 0 291.351 2.829 20 5 CFBDRN CCC[C@H](C)C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000136154874 378183484 /nfs/dbraw/zinc/18/34/84/378183484.db2.gz ANTXFJJECOXXRC-VIFPVBQESA-N 0 0 294.307 2.756 20 5 CFBDRN CC[C@@H](CCO)CNc1c(C)cccc1[N+](=O)[O-] ZINC000149705537 378265043 /nfs/dbraw/zinc/26/50/43/378265043.db2.gz QQUCQTPGKSNFSI-NSHDSACASA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1nc(NC[C@]2(C)CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000151005786 378286327 /nfs/dbraw/zinc/28/63/27/378286327.db2.gz AYJXQIAYHFCWRG-JSGCOSHPSA-N 0 0 279.340 2.651 20 5 CFBDRN CN(C[C@H]1CCCO1)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432161704 383727596 /nfs/dbraw/zinc/72/75/96/383727596.db2.gz GXSKGVCEJPRMMQ-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CCN(C(=O)CCc1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000151281378 378313204 /nfs/dbraw/zinc/31/32/04/378313204.db2.gz CBULTHWWICJMIY-UHFFFAOYSA-N 0 0 299.330 2.976 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H](C)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000571808849 383729028 /nfs/dbraw/zinc/72/90/28/383729028.db2.gz NLXOCDGFEKTJPD-LLVKDONJSA-N 0 0 294.351 2.833 20 5 CFBDRN CC(C)[C@H](C)S(=O)(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151977738 378380086 /nfs/dbraw/zinc/38/00/86/378380086.db2.gz PPKJDARXQCLBDU-JTQLQIEISA-N 0 0 271.338 2.554 20 5 CFBDRN Cc1noc(C)c1CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000153199270 378480323 /nfs/dbraw/zinc/48/03/23/378480323.db2.gz WNIJETDMVASSFP-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H](O)c1ccsc1 ZINC000153815324 378513381 /nfs/dbraw/zinc/51/33/81/378513381.db2.gz ZMMUYEIJHPXWDJ-JTQLQIEISA-N 0 0 299.739 2.850 20 5 CFBDRN CCCn1cc(Nc2ncc(Cl)cc2[N+](=O)[O-])cn1 ZINC000153852641 378517212 /nfs/dbraw/zinc/51/72/12/378517212.db2.gz KKZQMBJETDIEIJ-UHFFFAOYSA-N 0 0 281.703 2.993 20 5 CFBDRN C[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])C1CCCC1 ZINC000330412232 378547997 /nfs/dbraw/zinc/54/79/97/378547997.db2.gz AYZRMHZLVPIAPQ-UHFFFAOYSA-N 0 0 250.298 2.675 20 5 CFBDRN CC(C)[C@H](C)[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330427402 378551661 /nfs/dbraw/zinc/55/16/61/378551661.db2.gz KJQFFXYFHYHAKQ-JTQLQIEISA-N 0 0 252.314 2.777 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2[C@@H]3CCc4ccccc4[C@@H]32)c1[N+](=O)[O-] ZINC000154357944 378560381 /nfs/dbraw/zinc/56/03/81/378560381.db2.gz SKZCEEPVWJISGE-HZSPNIEDSA-N 0 0 298.346 2.777 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)C[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000330459769 378562089 /nfs/dbraw/zinc/56/20/89/378562089.db2.gz ZTJZLDQBVHAWEW-SCZZXKLOSA-N 0 0 298.364 2.652 20 5 CFBDRN CC[C@@H]1CCCN1CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC000330511207 378574588 /nfs/dbraw/zinc/57/45/88/378574588.db2.gz JTJSWFMJXMCKPG-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCC(=O)[C@H](C)C1 ZINC000330522918 378577674 /nfs/dbraw/zinc/57/76/74/378577674.db2.gz MRADJIRUYMKWIE-MNOVXSKESA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H](O)Cc1ccccc1 ZINC000154068947 378536102 /nfs/dbraw/zinc/53/61/02/378536102.db2.gz JJGUYCPCUFEIFI-HNNXBMFYSA-N 0 0 287.315 2.886 20 5 CFBDRN C[C@H]1COC(C)(C)CN1Cc1ccc([N+](=O)[O-])cc1 ZINC000331109986 378634679 /nfs/dbraw/zinc/63/46/79/378634679.db2.gz BGWANCKAVHYZSA-NSHDSACASA-N 0 0 264.325 2.594 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CCCCS2)cccc1[N+](=O)[O-] ZINC000331122607 378637473 /nfs/dbraw/zinc/63/74/73/378637473.db2.gz NKYIVBFGKXKMRO-ZDUSSCGKSA-N 0 0 294.376 2.805 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000331122529 378637703 /nfs/dbraw/zinc/63/77/03/378637703.db2.gz NGDWYIXITGNMJM-GFCCVEGCSA-N 0 0 274.320 2.876 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000330960470 378604485 /nfs/dbraw/zinc/60/44/85/378604485.db2.gz ASSUOKVPTZQHJZ-AWEZNQCLSA-N 0 0 295.314 2.578 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000330980060 378608585 /nfs/dbraw/zinc/60/85/85/378608585.db2.gz ISSQRQFOBYVILK-AWEZNQCLSA-N 0 0 277.324 2.560 20 5 CFBDRN C[C@@H](O)[C@H]1C[C@H](C)CCN1Cc1csc([N+](=O)[O-])c1 ZINC000331280712 378662918 /nfs/dbraw/zinc/66/29/18/378662918.db2.gz IENSNNQDXICSKR-CKYFFXLPSA-N 0 0 284.381 2.638 20 5 CFBDRN CC(C)N(CC(F)F)C(=O)c1ccccc1[N+](=O)[O-] ZINC000158661298 378671860 /nfs/dbraw/zinc/67/18/60/378671860.db2.gz ZBICJRNRSJFWJN-UHFFFAOYSA-N 0 0 272.251 2.711 20 5 CFBDRN C[C@@]1(NC(=O)Cc2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000331355712 378676558 /nfs/dbraw/zinc/67/65/58/378676558.db2.gz QZYDVMDFWQYSPV-OAHLLOKOSA-N 0 0 274.320 2.752 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2CCC[C@H]2C1)c1ccc([N+](=O)[O-])[nH]1 ZINC000332228101 378738354 /nfs/dbraw/zinc/73/83/54/378738354.db2.gz LDBTYAIHNIHVAP-OUAUKWLOSA-N 0 0 277.324 2.622 20 5 CFBDRN C[C@@H]1CCSCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000332246309 378739828 /nfs/dbraw/zinc/73/98/28/378739828.db2.gz BKCALZNKDUOJKO-SNVBAGLBSA-N 0 0 282.365 2.628 20 5 CFBDRN O=[N+]([O-])c1ncn(CCCSCc2ccccc2)n1 ZINC000160102171 378749096 /nfs/dbraw/zinc/74/90/96/378749096.db2.gz WFHOLYUHOHLBJA-UHFFFAOYSA-N 0 0 278.337 2.510 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCC1=CCCC1 ZINC000160154600 378751586 /nfs/dbraw/zinc/75/15/86/378751586.db2.gz PBAMFWXXZYNAHR-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN CCOC(C)(C)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000159529675 378715831 /nfs/dbraw/zinc/71/58/31/378715831.db2.gz UURIYJHKRXWMQH-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)CCC1CCCC1 ZINC000160347678 378765389 /nfs/dbraw/zinc/76/53/89/378765389.db2.gz HHBNXHNQZPSUCH-UHFFFAOYSA-N 0 0 265.313 2.639 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)C2(O)CCC2)cc1[N+](=O)[O-] ZINC000332492937 378769358 /nfs/dbraw/zinc/76/93/58/378769358.db2.gz XIYCRJQSLCUBFO-UHFFFAOYSA-N 0 0 292.335 2.746 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)c2csc([N+](=O)[O-])c2)[C@H]1C ZINC000332672443 378786801 /nfs/dbraw/zinc/78/68/01/378786801.db2.gz IJSXZZCFAXZBLU-HLTSFMKQSA-N 0 0 268.338 2.773 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCc1c(C)noc1C ZINC000160779271 378798122 /nfs/dbraw/zinc/79/81/22/378798122.db2.gz RUXBQKRCQJXVMV-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CCc1ccc([C@H](CO)Nc2ccc([N+](=O)[O-])cn2)cc1 ZINC000160893305 378808305 /nfs/dbraw/zinc/80/83/05/378808305.db2.gz CYYVZMMAQVWWHP-AWEZNQCLSA-N 0 0 287.319 2.698 20 5 CFBDRN CO[C@@H](CNc1ccnc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000338778380 220254713 /nfs/dbraw/zinc/25/47/13/220254713.db2.gz QGZJGHQAJXRDSU-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN CC(C)(C)C[C@@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000161402375 378844799 /nfs/dbraw/zinc/84/47/99/378844799.db2.gz HJAVSTBBHFZCKJ-JTQLQIEISA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)(C)C[C@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000161406327 378845006 /nfs/dbraw/zinc/84/50/06/378845006.db2.gz TYYAAKNULMNGPM-MRVPVSSYSA-N 0 0 287.747 2.852 20 5 CFBDRN COc1ccccc1CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000161497071 378851102 /nfs/dbraw/zinc/85/11/02/378851102.db2.gz GFAGNPQCDBBAHR-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1cnc(OCCCCC2(C)OCCO2)c([N+](=O)[O-])c1 ZINC000278498489 194012431 /nfs/dbraw/zinc/01/24/31/194012431.db2.gz CLOALTNAKUIHQE-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN CC[C@H](C)OCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000161611906 378861109 /nfs/dbraw/zinc/86/11/09/378861109.db2.gz KMNMOCWMAPZHFD-NWDGAFQWSA-N 0 0 294.351 2.896 20 5 CFBDRN CC(C)(CO)CCCNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278506201 194016437 /nfs/dbraw/zinc/01/64/37/194016437.db2.gz QXOIRGANXLNMPH-UHFFFAOYSA-N 0 0 292.339 2.682 20 5 CFBDRN C[C@]1(O)CCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000161925395 378880595 /nfs/dbraw/zinc/88/05/95/378880595.db2.gz NSBSNYWKKLSACL-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@@]1(O)CCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000161925535 378881047 /nfs/dbraw/zinc/88/10/47/378881047.db2.gz NSBSNYWKKLSACL-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC1(C)CCOCC1 ZINC000163238865 378959902 /nfs/dbraw/zinc/95/99/02/378959902.db2.gz GLUQBYLUXDIVMU-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC1(CO)CCC1 ZINC000163777958 378990077 /nfs/dbraw/zinc/99/00/77/378990077.db2.gz QDFRFNOVYQWOOP-UHFFFAOYSA-N 0 0 256.689 2.575 20 5 CFBDRN Cc1nn(C[C@H]2CCC(F)(F)C2)c(C)c1[N+](=O)[O-] ZINC000334279278 379025172 /nfs/dbraw/zinc/02/51/72/379025172.db2.gz QBKUCJZEQIHYAH-VIFPVBQESA-N 0 0 259.256 2.844 20 5 CFBDRN CCCC[C@H](CC)CC(=O)NCCn1cc([N+](=O)[O-])cn1 ZINC000164448919 379015575 /nfs/dbraw/zinc/01/55/75/379015575.db2.gz KITYSSQBJFEUJG-LBPRGKRZSA-N 0 0 296.371 2.514 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CSC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000334272388 379012347 /nfs/dbraw/zinc/01/23/47/379012347.db2.gz IPFLLDSQRHMALS-GZMMTYOYSA-N 0 0 295.364 2.776 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NS(=O)(=O)CCC1CC1 ZINC000165144498 379033937 /nfs/dbraw/zinc/03/39/37/379033937.db2.gz BSXPOJVYOPVMCX-UHFFFAOYSA-N 0 0 298.364 2.753 20 5 CFBDRN CC(=O)c1ccc(OCC(=O)CC2CC2)c([N+](=O)[O-])c1 ZINC000278651169 194085300 /nfs/dbraw/zinc/08/53/00/194085300.db2.gz DFWMGWSVXRGLES-UHFFFAOYSA-N 0 0 277.276 2.545 20 5 CFBDRN CC(=O)OCCCSCc1ccccc1[N+](=O)[O-] ZINC000278673099 194096150 /nfs/dbraw/zinc/09/61/50/194096150.db2.gz OFOKLCNUXKGOTB-UHFFFAOYSA-N 0 0 269.322 2.781 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000334301047 379059479 /nfs/dbraw/zinc/05/94/79/379059479.db2.gz WKJMCYNJPQDGQL-SECBINFHSA-N 0 0 266.322 2.595 20 5 CFBDRN Cc1nn(Cc2cc(C(C)(C)C)on2)c(C)c1[N+](=O)[O-] ZINC000278693524 194106170 /nfs/dbraw/zinc/10/61/70/194106170.db2.gz ZNHAYLJJDSEIHD-UHFFFAOYSA-N 0 0 278.312 2.742 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000167223823 379068578 /nfs/dbraw/zinc/06/85/78/379068578.db2.gz LBJSTNAVECQBSW-JGVFFNPUSA-N 0 0 258.705 2.677 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2CCCCC2)n1 ZINC000166632103 379061232 /nfs/dbraw/zinc/06/12/32/379061232.db2.gz HOPJCXKGHDUAEF-UHFFFAOYSA-N 0 0 279.296 2.521 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CCC1CC1 ZINC000278747935 194136336 /nfs/dbraw/zinc/13/63/36/194136336.db2.gz SYKODTGBHVCEOP-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cc(NCc2ccc(C)n(C)c2=O)ccc1[N+](=O)[O-] ZINC000278787711 194156202 /nfs/dbraw/zinc/15/62/02/194156202.db2.gz PZHKYGYNXZFSES-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC12CCC2 ZINC000335370681 379177460 /nfs/dbraw/zinc/17/74/60/379177460.db2.gz NYQYUSUHMKPONS-SNVBAGLBSA-N 0 0 277.324 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(F)c[nH]1 ZINC000334371355 379178604 /nfs/dbraw/zinc/17/86/04/379178604.db2.gz PSBFEQBDSMXMNX-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](C2CC2)C1 ZINC000334378946 379192389 /nfs/dbraw/zinc/19/23/89/379192389.db2.gz YBPLIIFWEHNUQS-GFCCVEGCSA-N 0 0 274.320 2.775 20 5 CFBDRN CSC1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000278827403 194172671 /nfs/dbraw/zinc/17/26/71/194172671.db2.gz JVEZMZANCGUXCK-UHFFFAOYSA-N 0 0 299.327 2.751 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC[C@H]1C1CC1 ZINC000335411775 379243280 /nfs/dbraw/zinc/24/32/80/379243280.db2.gz ILXVIDIOJFZEJX-LBPRGKRZSA-N 0 0 280.711 2.873 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H]2C(C)C)c1 ZINC000334407043 379243646 /nfs/dbraw/zinc/24/36/46/379243646.db2.gz KNWBGTHWDIBSQS-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)C2CCC(C)CC2)c1[N+](=O)[O-] ZINC000171370448 379290611 /nfs/dbraw/zinc/29/06/11/379290611.db2.gz SCYVFHBKGMUOTD-UHFFFAOYSA-N 0 0 294.355 2.531 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000334426894 379278647 /nfs/dbraw/zinc/27/86/47/379278647.db2.gz ZIVZKNGBHQLRTE-GFCCVEGCSA-N 0 0 267.260 2.561 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CS[C@H](C)C1 ZINC000335450625 379318759 /nfs/dbraw/zinc/31/87/59/379318759.db2.gz AHYAPQPZRZWEBJ-ZJUUUORDSA-N 0 0 280.349 2.527 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000171487133 379320294 /nfs/dbraw/zinc/32/02/94/379320294.db2.gz SCGFWTRZQBFVPR-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN CCCCCC[C@H](C)NC(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000171398744 379299623 /nfs/dbraw/zinc/29/96/23/379299623.db2.gz HNHYXSDFKKOBMB-JTQLQIEISA-N 0 0 296.371 2.969 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000334483374 379378813 /nfs/dbraw/zinc/37/88/13/379378813.db2.gz YXKYQGIRTQVCQQ-NXEZZACHSA-N 0 0 281.287 2.915 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC[C@H]1C1CC1 ZINC000334496902 379394354 /nfs/dbraw/zinc/39/43/54/379394354.db2.gz BUGSPIYIGKPYAE-LBPRGKRZSA-N 0 0 261.281 2.611 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@@H]1F ZINC000334497641 379395775 /nfs/dbraw/zinc/39/57/75/379395775.db2.gz HGJHDJUSNSGQEH-WDEREUQCSA-N 0 0 267.260 2.607 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000334505425 379412334 /nfs/dbraw/zinc/41/23/34/379412334.db2.gz RFUPUPNZLIXTDY-VHSXEESVSA-N 0 0 265.313 2.574 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000171833021 379415207 /nfs/dbraw/zinc/41/52/07/379415207.db2.gz ZJWYSYNLKJGBAM-NSHDSACASA-N 0 0 279.340 2.782 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000171846771 379420195 /nfs/dbraw/zinc/42/01/95/379420195.db2.gz CDFDZZPQZXKNGX-VIFPVBQESA-N 0 0 263.297 2.905 20 5 CFBDRN CC(C)C(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334545853 379498905 /nfs/dbraw/zinc/49/89/05/379498905.db2.gz SFOVPGUYPDQFGS-ZDUSSCGKSA-N 0 0 276.336 2.957 20 5 CFBDRN O=C(C1CC1)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334542035 379492996 /nfs/dbraw/zinc/49/29/96/379492996.db2.gz GKVUATDJBUNCES-CYBMUJFWSA-N 0 0 274.320 2.711 20 5 CFBDRN C[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000172097522 379493116 /nfs/dbraw/zinc/49/31/16/379493116.db2.gz WPRGYWNVDZPWJM-SNVBAGLBSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1c([C@@H](C)Nc2nccc(C)c2[N+](=O)[O-])cnn1C ZINC000172277511 379529533 /nfs/dbraw/zinc/52/95/33/379529533.db2.gz ZDNQZXDIPFFTAV-SECBINFHSA-N 0 0 275.312 2.513 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C1CCC1 ZINC000334564553 379538075 /nfs/dbraw/zinc/53/80/75/379538075.db2.gz JBDQZUVHBMRJKG-NSHDSACASA-N 0 0 288.347 2.916 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2csc([N+](=O)[O-])c2)[C@H]1C ZINC000172355180 379549128 /nfs/dbraw/zinc/54/91/28/379549128.db2.gz INLSDKYRTKLWOI-JGVFFNPUSA-N 0 0 286.378 2.622 20 5 CFBDRN Cn1c(C(=O)N2CC(C)(C)[C@@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000334570842 379550450 /nfs/dbraw/zinc/55/04/50/379550450.db2.gz YCRQULQUWRFTPQ-MNOVXSKESA-N 0 0 291.351 2.584 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])N[C@H]1CC=CCC1 ZINC000172487968 379580571 /nfs/dbraw/zinc/58/05/71/379580571.db2.gz XZAFPDUQWHDYLL-LBPRGKRZSA-N 0 0 290.319 2.589 20 5 CFBDRN C[C@@H](C(=O)N1CCC=C(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000334589546 379585107 /nfs/dbraw/zinc/58/51/07/379585107.db2.gz DOKWEMVTVVRQPO-SECBINFHSA-N 0 0 296.273 2.923 20 5 CFBDRN C[C@H](C(=O)OC(C)(C)C)N(C)Cc1cccc([N+](=O)[O-])c1 ZINC000172530082 379590390 /nfs/dbraw/zinc/59/03/90/379590390.db2.gz JDVCMRNZGXOVIL-LLVKDONJSA-N 0 0 294.351 2.757 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CC1CCC(F)(F)CC1 ZINC000334585178 379577926 /nfs/dbraw/zinc/57/79/26/379577926.db2.gz FBBHYTDFERMJCS-UHFFFAOYSA-N 0 0 272.251 2.582 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H]2CCC[C@@H](CC)C2)c1[N+](=O)[O-] ZINC000172717996 379630435 /nfs/dbraw/zinc/63/04/35/379630435.db2.gz GBOFLOKUFPBIOQ-ZJUUUORDSA-N 0 0 294.355 2.579 20 5 CFBDRN CCn1nc(C)c(NC(=O)c2csc([N+](=O)[O-])c2)c1C ZINC000172818949 379651638 /nfs/dbraw/zinc/65/16/38/379651638.db2.gz CMYMFGVGTPMQMW-UHFFFAOYSA-N 0 0 294.336 2.742 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C2CCCC2)C1 ZINC000335622726 379615780 /nfs/dbraw/zinc/61/57/80/379615780.db2.gz KAHDMNMYBROUGQ-GFCCVEGCSA-N 0 0 291.351 2.586 20 5 CFBDRN CC(C)n1nccc1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172980266 379680945 /nfs/dbraw/zinc/68/09/45/379680945.db2.gz ACPBQGYJSZLZRV-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335685496 379719446 /nfs/dbraw/zinc/71/94/46/379719446.db2.gz LLTAMBZNOVTCCT-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H]1C1CC1 ZINC000334668969 379739689 /nfs/dbraw/zinc/73/96/89/379739689.db2.gz IWDNIRWZIYLNQS-ZDUSSCGKSA-N 0 0 260.293 2.528 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H]2C2CC2)cc([N+](=O)[O-])c1 ZINC000334672097 379743946 /nfs/dbraw/zinc/74/39/46/379743946.db2.gz WRDVRIYQHIQRBO-CYBMUJFWSA-N 0 0 260.293 2.528 20 5 CFBDRN CCC1(C)CN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334649757 379707705 /nfs/dbraw/zinc/70/77/05/379707705.db2.gz WNLIQSVRSCBWDF-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1[C@@H](C)C[C@@H]1C ZINC000335680036 379710744 /nfs/dbraw/zinc/71/07/44/379710744.db2.gz UTWIRMGHNPXPPJ-UWVGGRQHSA-N 0 0 263.297 2.918 20 5 CFBDRN CCC[C@@H]1SCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173777734 379777525 /nfs/dbraw/zinc/77/75/25/379777525.db2.gz PHUAUFRWNFTECL-AWEZNQCLSA-N 0 0 294.376 2.839 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@]2(CCSC2)C1 ZINC000334752164 379883376 /nfs/dbraw/zinc/88/33/76/379883376.db2.gz KHAOPRDQAOFEPQ-CYBMUJFWSA-N 0 0 296.348 2.547 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCCC2(C)C)ccc1[N+](=O)[O-] ZINC000174956410 379968658 /nfs/dbraw/zinc/96/86/58/379968658.db2.gz IVADCCCTHTYBDJ-CYBMUJFWSA-N 0 0 292.335 2.912 20 5 CFBDRN C[N@H+](CCn1cc([N+](=O)[O-])cn1)Cc1ccc(Cl)cc1 ZINC000174808998 379945200 /nfs/dbraw/zinc/94/52/00/379945200.db2.gz SEZKOSRXUALREN-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(-c3ccccn3)no2)c1 ZINC000174822270 379946925 /nfs/dbraw/zinc/94/69/25/379946925.db2.gz RPVDQFMBAPFDDB-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@@H](C)c1cccnc1 ZINC000288266010 197039679 /nfs/dbraw/zinc/03/96/79/197039679.db2.gz YVAJCSTXDMYIKU-JTQLQIEISA-N 0 0 289.339 2.712 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN(C)C[C@@H]1CCCO1 ZINC000175106077 379998230 /nfs/dbraw/zinc/99/82/30/379998230.db2.gz MDDQMCVGQFJOFJ-AWEZNQCLSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1cccc(NC(=O)c2cc([N+](=O)[O-])cn2C)c1F ZINC000335855694 380001746 /nfs/dbraw/zinc/00/17/46/380001746.db2.gz AWPLNNOQZRNBNU-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000175124928 380002000 /nfs/dbraw/zinc/00/20/00/380002000.db2.gz PZVOMMJPGQYQHI-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN Cc1nc(COc2ncc(C)cc2[N+](=O)[O-])cs1 ZINC000175142407 380005159 /nfs/dbraw/zinc/00/51/59/380005159.db2.gz ZHEKDBKMYPULDT-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000175169670 380011902 /nfs/dbraw/zinc/01/19/02/380011902.db2.gz XZKONJJCNTUGIK-RYUDHWBXSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)CC[C@H]1C ZINC000175173103 380013319 /nfs/dbraw/zinc/01/33/19/380013319.db2.gz WEKOYFPNGZRJNS-NXEZZACHSA-N 0 0 277.324 2.559 20 5 CFBDRN CC(=O)[C@@H](C)SCCOc1ccccc1[N+](=O)[O-] ZINC000175184511 380014607 /nfs/dbraw/zinc/01/46/07/380014607.db2.gz ZMEUXWDEKYBNPU-SNVBAGLBSA-N 0 0 269.322 2.684 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N2CCCC2)cc1[N+](=O)[O-] ZINC000175190506 380015469 /nfs/dbraw/zinc/01/54/69/380015469.db2.gz SLXXKDKOXFRSLZ-LLVKDONJSA-N 0 0 277.324 2.770 20 5 CFBDRN CC(=O)[C@H](C)S[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000175198629 380017191 /nfs/dbraw/zinc/01/71/91/380017191.db2.gz OZSSOCMWMMEHHP-UWVGGRQHSA-N 0 0 296.348 2.633 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000336388050 296304434 /nfs/dbraw/zinc/30/44/34/296304434.db2.gz DQAWRZRCFVMKRX-LLVKDONJSA-N 0 0 262.309 3.000 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@H]1CCC(C)(C)C1 ZINC000335872945 380029826 /nfs/dbraw/zinc/02/98/26/380029826.db2.gz QLKVFSIFVSQQRB-SECBINFHSA-N 0 0 265.313 2.574 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccccc2[N+](=O)[O-])CC1(F)F ZINC000335907777 380088901 /nfs/dbraw/zinc/08/89/01/380088901.db2.gz HBHXKYTZUCHLLP-SNVBAGLBSA-N 0 0 298.289 2.641 20 5 CFBDRN COc1ccc(C(=O)N(C)[C@@H](C)C2(C)CC2)cc1[N+](=O)[O-] ZINC000175586885 380094676 /nfs/dbraw/zinc/09/46/76/380094676.db2.gz VEDOTHFXJNDIKX-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H](N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1)C1(C)CC1 ZINC000175587199 380094757 /nfs/dbraw/zinc/09/47/57/380094757.db2.gz XGRBKBFMMBIUNU-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN CCN(CC)C(=O)CCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000175719267 380118131 /nfs/dbraw/zinc/11/81/31/380118131.db2.gz LAOQSPZHNRVVGY-UHFFFAOYSA-N 0 0 293.367 2.964 20 5 CFBDRN CCN(CC)C(=O)CCCNc1cccc(F)c1[N+](=O)[O-] ZINC000175720553 380119835 /nfs/dbraw/zinc/11/98/35/380119835.db2.gz OMDSNKCGZVDFHQ-UHFFFAOYSA-N 0 0 297.330 2.794 20 5 CFBDRN Cc1nn(C[C@H]2CCc3ccccc32)cc1[N+](=O)[O-] ZINC000335975071 380183584 /nfs/dbraw/zinc/18/35/84/380183584.db2.gz MLVQXIKQVOWWJV-GFCCVEGCSA-N 0 0 257.293 2.830 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000176537197 380250418 /nfs/dbraw/zinc/25/04/18/380250418.db2.gz UWJOLYDJDBPTKW-XHDPSFHLSA-N 0 0 274.320 2.920 20 5 CFBDRN COc1csc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000176609520 380256379 /nfs/dbraw/zinc/25/63/79/380256379.db2.gz KHNOHFSRTVVCGT-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000176424809 380230923 /nfs/dbraw/zinc/23/09/23/380230923.db2.gz WNHSKOLBGDORDC-ZDUSSCGKSA-N 0 0 291.351 2.973 20 5 CFBDRN CS[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000336203916 380286068 /nfs/dbraw/zinc/28/60/68/380286068.db2.gz AQNXTAPGBCYIMK-MRVPVSSYSA-N 0 0 256.302 2.676 20 5 CFBDRN Nc1c(C(=O)N2CCC3(CCCC3)C2)cccc1[N+](=O)[O-] ZINC000176962525 380288459 /nfs/dbraw/zinc/28/84/59/380288459.db2.gz HIECNVZWLAXBMV-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)[C@@H]1C ZINC000177152388 380309678 /nfs/dbraw/zinc/30/96/78/380309678.db2.gz OOFQOGISVRLAKG-KOLCDFICSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)[C@H]1C ZINC000177152425 380309690 /nfs/dbraw/zinc/30/96/90/380309690.db2.gz OOFQOGISVRLAKG-MWLCHTKSSA-N 0 0 262.309 2.774 20 5 CFBDRN CCNC(=O)c1ccccc1NCc1ccccc1[N+](=O)[O-] ZINC000177197006 380314744 /nfs/dbraw/zinc/31/47/44/380314744.db2.gz LDRPDUJEYVKJBP-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN CCCn1ncnc1COc1ccc(CC)cc1[N+](=O)[O-] ZINC000279072837 194272499 /nfs/dbraw/zinc/27/24/99/194272499.db2.gz KMPMPMZWCHMKEJ-UHFFFAOYSA-N 0 0 290.323 2.738 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCSC(C)(C)C1 ZINC000177523743 380349836 /nfs/dbraw/zinc/34/98/36/380349836.db2.gz CXTZTBPSSACNBF-UHFFFAOYSA-N 0 0 296.392 2.931 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000178251394 380426768 /nfs/dbraw/zinc/42/67/68/380426768.db2.gz GDABAAJEEVICQZ-TXEJJXNPSA-N 0 0 261.325 2.925 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@H]2C1 ZINC000178369376 380443368 /nfs/dbraw/zinc/44/33/68/380443368.db2.gz PUFWKPGYUYHUKL-KBPBESRZSA-N 0 0 288.347 2.786 20 5 CFBDRN C[C@@H](C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C)C1CC1 ZINC000178422180 380450093 /nfs/dbraw/zinc/45/00/93/380450093.db2.gz HGBPYYJHCOOHAK-NXEZZACHSA-N 0 0 274.320 2.919 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000336577257 380493821 /nfs/dbraw/zinc/49/38/21/380493821.db2.gz OFRAXTWYAIZEHW-IUCAKERBSA-N 0 0 298.339 2.700 20 5 CFBDRN COc1cccc(COc2cccc(C)c2[N+](=O)[O-])n1 ZINC000178986476 380497765 /nfs/dbraw/zinc/49/77/65/380497765.db2.gz PIFGYLAWROBGEC-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CCCn1ncnc1COc1ccc([N+](=O)[O-])cc1Cl ZINC000279122764 194293412 /nfs/dbraw/zinc/29/34/12/194293412.db2.gz UQWSWKCXOXKHJW-UHFFFAOYSA-N 0 0 296.714 2.829 20 5 CFBDRN Cc1cccc(O)c1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000179551331 380556013 /nfs/dbraw/zinc/55/60/13/380556013.db2.gz JGNRSJRRFCZBTI-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC(F)C1 ZINC000336619615 380560039 /nfs/dbraw/zinc/56/00/39/380560039.db2.gz LYUXKHYTYJDCDV-UHFFFAOYSA-N 0 0 280.280 2.603 20 5 CFBDRN Cc1c(F)cccc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000336623862 380566501 /nfs/dbraw/zinc/56/65/01/380566501.db2.gz AETHZHYSKICOEE-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN Cc1cc(N[C@@H](C)C[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000336793491 380641113 /nfs/dbraw/zinc/64/11/13/380641113.db2.gz WXNYQUBYGKRVIM-DTWKUNHWSA-N 0 0 256.277 2.614 20 5 CFBDRN Cc1c(N[C@@H](C)c2cccc([N+](=O)[O-])c2)cnn1C ZINC000179867049 380589517 /nfs/dbraw/zinc/58/95/17/380589517.db2.gz VUKVHWLNGROIAP-VIFPVBQESA-N 0 0 260.297 2.810 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000336716439 380607061 /nfs/dbraw/zinc/60/70/61/380607061.db2.gz TTWGISVXRIGWFW-RNFRBKRXSA-N 0 0 252.299 2.514 20 5 CFBDRN CCc1cnccc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000180541314 380674105 /nfs/dbraw/zinc/67/41/05/380674105.db2.gz NQGXTYUPKSUCBI-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN Cc1ccoc1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000336819381 380683970 /nfs/dbraw/zinc/68/39/70/380683970.db2.gz ZNTSPQOEHOIQSK-UHFFFAOYSA-N 0 0 278.293 2.818 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CC12CCCCC2 ZINC000180705378 380692069 /nfs/dbraw/zinc/69/20/69/380692069.db2.gz VPSRFWPCFRAKJW-ZDUSSCGKSA-N 0 0 289.335 2.751 20 5 CFBDRN CN(C)c1nc(CSc2ccc([N+](=O)[O-])cc2)ns1 ZINC000180740335 380694839 /nfs/dbraw/zinc/69/48/39/380694839.db2.gz NQDKGXHLTHJTKD-UHFFFAOYSA-N 0 0 296.377 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2Cc3ccccc3[C@@H]2O)cc1 ZINC000180435174 380661048 /nfs/dbraw/zinc/66/10/48/380661048.db2.gz UAROHFFWISKSLO-GJZGRUSLSA-N 0 0 270.288 2.665 20 5 CFBDRN CO[C@H](C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)C1CC1 ZINC000279176025 194318586 /nfs/dbraw/zinc/31/85/86/194318586.db2.gz ARSMIFXMPBWIHH-ZDUSSCGKSA-N 0 0 278.308 2.575 20 5 CFBDRN CO[C@H](C)CCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000181024200 380726707 /nfs/dbraw/zinc/72/67/07/380726707.db2.gz JNQUPGAPDXPZDP-GHMZBOCLSA-N 0 0 292.335 2.688 20 5 CFBDRN CC1(C)CCCN(C(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000181123848 380744189 /nfs/dbraw/zinc/74/41/89/380744189.db2.gz DXRFUCBYQLPQME-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2C[C@@H](O)c1ccccc1 ZINC000279186507 194323788 /nfs/dbraw/zinc/32/37/88/194323788.db2.gz CDSIMBUCHZAKJA-MRXNPFEDSA-N 0 0 284.315 2.691 20 5 CFBDRN Cc1nn(CC(=O)C2CCCCCC2)cc1[N+](=O)[O-] ZINC000295374573 380816455 /nfs/dbraw/zinc/81/64/55/380816455.db2.gz PNRUWECJLKKTAA-UHFFFAOYSA-N 0 0 265.313 2.639 20 5 CFBDRN Cc1ccc([C@H](C)[NH2+]Cc2c([N+](=O)[O-])ncn2C)cc1C ZINC000341177504 380817337 /nfs/dbraw/zinc/81/73/37/380817337.db2.gz WQKQEHCTZMGSKQ-LBPRGKRZSA-N 0 0 288.351 2.796 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cccc2c[nH]nc21 ZINC000358469493 380865001 /nfs/dbraw/zinc/86/50/01/380865001.db2.gz NUWDWAISDVWQRN-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C1CC1 ZINC000287569741 380870736 /nfs/dbraw/zinc/87/07/36/380870736.db2.gz NACRZMDFJLRXDT-UHFFFAOYSA-N 0 0 276.336 2.989 20 5 CFBDRN CCOC1CC(C(=O)Oc2cc([N+](=O)[O-])ccc2C)C1 ZINC000287436819 380832031 /nfs/dbraw/zinc/83/20/31/380832031.db2.gz RRWUZZCUTILEEM-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2ccc(NC)c([N+](=O)[O-])c2)C1 ZINC000399700763 380844487 /nfs/dbraw/zinc/84/44/87/380844487.db2.gz AWMGXNXZUJABPZ-OAHLLOKOSA-N 0 0 291.351 2.899 20 5 CFBDRN CN(C/C=C/c1ccccc1[N+](=O)[O-])Cc1ccon1 ZINC000353799301 380859669 /nfs/dbraw/zinc/85/96/69/380859669.db2.gz ATCUZXIHYRGYFZ-GQCTYLIASA-N 0 0 273.292 2.728 20 5 CFBDRN COc1c(-c2nc(-c3ccoc3)no2)cccc1[N+](=O)[O-] ZINC000347080594 380925722 /nfs/dbraw/zinc/92/57/22/380925722.db2.gz AHIRJQWJTZUAMW-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H](C)O[C@@H](C)[C@@H]2C)c1 ZINC000408440794 380925899 /nfs/dbraw/zinc/92/58/99/380925899.db2.gz APBAGLMLHMJRQS-SRVKXCTJSA-N 0 0 264.325 2.905 20 5 CFBDRN CC[C@H](CNc1ccc([N+](=O)[O-])cn1)CC(F)(F)F ZINC000402660167 380889133 /nfs/dbraw/zinc/88/91/33/380889133.db2.gz KLVIHMGGWJXQEM-QMMMGPOBSA-N 0 0 277.246 2.802 20 5 CFBDRN CCOCCCOc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000358515435 380899267 /nfs/dbraw/zinc/89/92/67/380899267.db2.gz OTRVQZLIFVNJGU-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(Cc3ccoc3)n2)c1 ZINC000358518339 380901316 /nfs/dbraw/zinc/90/13/16/380901316.db2.gz VPXQGPWVLQGSSW-UHFFFAOYSA-N 0 0 271.232 2.829 20 5 CFBDRN CCc1nnc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000181807060 380901361 /nfs/dbraw/zinc/90/13/61/380901361.db2.gz NCFDJPRVJYHJEJ-JTQLQIEISA-N 0 0 290.323 2.699 20 5 CFBDRN CCCOC(=O)[C@H](C)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181819058 380905225 /nfs/dbraw/zinc/90/52/25/380905225.db2.gz KKGUVHTWIXDBMM-RYUDHWBXSA-N 0 0 294.351 2.896 20 5 CFBDRN Cc1nn(Cc2ccc(F)cc2Cl)cc1[N+](=O)[O-] ZINC000181816765 380905773 /nfs/dbraw/zinc/90/57/73/380905773.db2.gz GJMJUWMDQDXRTC-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@@H]3CCC[C@@H]3C2)n1 ZINC000408172084 380915613 /nfs/dbraw/zinc/91/56/13/380915613.db2.gz RQGBFMDQQVSGQI-TXEJJXNPSA-N 0 0 261.325 2.843 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC3(CCC3)C2)n1 ZINC000408172393 380915767 /nfs/dbraw/zinc/91/57/67/380915767.db2.gz VVDYZKXOGBMWTH-UHFFFAOYSA-N 0 0 261.325 2.987 20 5 CFBDRN COC1(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])CCC1 ZINC000181974847 380953184 /nfs/dbraw/zinc/95/31/84/380953184.db2.gz OCBWPNAQDKNODD-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000341345200 380953728 /nfs/dbraw/zinc/95/37/28/380953728.db2.gz KECCXFJXHAANDB-XHDPSFHLSA-N 0 0 292.335 2.656 20 5 CFBDRN Cc1noc(C)c1CCOc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000358590338 380953935 /nfs/dbraw/zinc/95/39/35/380953935.db2.gz NVGSVLIWMVUQDM-UHFFFAOYSA-N 0 0 291.307 2.833 20 5 CFBDRN CC[C@@H](NCc1cocn1)c1cccc([N+](=O)[O-])c1 ZINC000182077081 380985330 /nfs/dbraw/zinc/98/53/30/380985330.db2.gz ASEADEHPWYUEIF-CYBMUJFWSA-N 0 0 261.281 2.824 20 5 CFBDRN CCC[C@]1(NC(=O)c2cc([N+](=O)[O-])ccc2C)CCOC1 ZINC000287905949 380970062 /nfs/dbraw/zinc/97/00/62/380970062.db2.gz PKMWUWFDZXHJFK-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCOC[C@@H]1C ZINC000287918723 380972621 /nfs/dbraw/zinc/97/26/21/380972621.db2.gz WMUXRNICNGNBSK-TVQRCGJNSA-N 0 0 278.308 2.634 20 5 CFBDRN COc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)cc1 ZINC000295619816 380979151 /nfs/dbraw/zinc/97/91/51/380979151.db2.gz WGNCKKQOMOXUBA-VIFPVBQESA-N 0 0 288.307 2.875 20 5 CFBDRN O=C(Nc1ccc2ccoc2c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000353946895 380979308 /nfs/dbraw/zinc/97/93/08/380979308.db2.gz WYARDLZRUMXCGV-UHFFFAOYSA-N 0 0 271.232 2.921 20 5 CFBDRN C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C1CC1 ZINC000295551432 380934535 /nfs/dbraw/zinc/93/45/35/380934535.db2.gz MKSGKTSPYORLRG-MRVPVSSYSA-N 0 0 274.276 2.869 20 5 CFBDRN CCCN(CC)C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000358639912 380986344 /nfs/dbraw/zinc/98/63/44/380986344.db2.gz PAIURVQHRXNUJW-UHFFFAOYSA-N 0 0 280.324 2.784 20 5 CFBDRN Cc1ccc(C(=O)NCCC(C)(F)F)cc1[N+](=O)[O-] ZINC000295557150 380938741 /nfs/dbraw/zinc/93/87/41/380938741.db2.gz KXUPRAQVVRKOBF-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN Cc1c(CNC(=O)NCC2CCCC2)cccc1[N+](=O)[O-] ZINC000358581106 380944334 /nfs/dbraw/zinc/94/43/34/380944334.db2.gz MXOKEYRACHPXGY-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN O=C1CC[C@@H](COc2cc(Cl)ccc2[N+](=O)[O-])CCN1 ZINC000295685416 381016883 /nfs/dbraw/zinc/01/68/83/381016883.db2.gz HRBKFWIHYMDTKI-SECBINFHSA-N 0 0 298.726 2.543 20 5 CFBDRN Cn1ccnc1[C@H]1CCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000368429029 381021490 /nfs/dbraw/zinc/02/14/90/381021490.db2.gz KOUWKPJDDSNGHF-CQSZACIVSA-N 0 0 286.335 2.665 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCOCC(F)(F)C1 ZINC000289023148 381022698 /nfs/dbraw/zinc/02/26/98/381022698.db2.gz OBLOCYOSWHKNCL-SNVBAGLBSA-N 0 0 286.278 2.623 20 5 CFBDRN C[C@@H](C(=O)NC1CCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000182212343 381023638 /nfs/dbraw/zinc/02/36/38/381023638.db2.gz WZXFALBXFYJDRN-SECBINFHSA-N 0 0 280.299 2.896 20 5 CFBDRN CC[C@@H](NC(=O)Cc1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000182082013 380988189 /nfs/dbraw/zinc/98/81/89/380988189.db2.gz UYWQPDPTOLBNNJ-OAHLLOKOSA-N 0 0 299.330 2.800 20 5 CFBDRN Cc1ccn2ncc(C(=O)Nc3cccc([N+](=O)[O-])c3)c2c1 ZINC000347184367 380990549 /nfs/dbraw/zinc/99/05/49/380990549.db2.gz BVJZTMVTAMDXMX-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CSC1(CNC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000347259756 381032164 /nfs/dbraw/zinc/03/21/64/381032164.db2.gz DHLICUHBKLHOGG-UHFFFAOYSA-N 0 0 299.327 2.751 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1F)N1CCC[C@H](C2CC2)C1 ZINC000368370275 381003467 /nfs/dbraw/zinc/00/34/67/381003467.db2.gz OKMZEKXOVPCMRF-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN CC(C)(CF)NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347254425 381030941 /nfs/dbraw/zinc/03/09/41/381030941.db2.gz HTOFCCKWIBEBQU-UHFFFAOYSA-N 0 0 273.239 2.604 20 5 CFBDRN COCCC[C@@H](C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000182289280 381051230 /nfs/dbraw/zinc/05/12/30/381051230.db2.gz ZZPJKDRRJTXBOC-LLVKDONJSA-N 0 0 292.335 2.547 20 5 CFBDRN Cc1nc(-c2noc(-c3ccccc3[N+](=O)[O-])n2)co1 ZINC000347298577 381055569 /nfs/dbraw/zinc/05/55/69/381055569.db2.gz NUAJOOZFPQFJQZ-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CCOCCN(CC)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347307898 381062438 /nfs/dbraw/zinc/06/24/38/381062438.db2.gz UNZPXJDDNQYEAD-UHFFFAOYSA-N 0 0 299.302 2.624 20 5 CFBDRN O=[N+]([O-])c1ncn(Cc2ccc(C3CCCC3)cc2)n1 ZINC000289611042 381066763 /nfs/dbraw/zinc/06/67/63/381066763.db2.gz KWLCDQMVFHOPER-UHFFFAOYSA-N 0 0 272.308 2.892 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)N[C@@H]1CCCOC1 ZINC000289613854 381068032 /nfs/dbraw/zinc/06/80/32/381068032.db2.gz JIUIEBWIPIBQBS-MRVPVSSYSA-N 0 0 299.714 2.549 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000182238501 381034063 /nfs/dbraw/zinc/03/40/63/381034063.db2.gz SJUJGAWMZBEMKV-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000182238957 381037099 /nfs/dbraw/zinc/03/70/99/381037099.db2.gz CFVSTSLEWDJJOW-VIFPVBQESA-N 0 0 284.287 2.735 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NOCCSC ZINC000289641566 381080597 /nfs/dbraw/zinc/08/05/97/381080597.db2.gz CSWXVVFZGJYHKM-UHFFFAOYSA-N 0 0 299.352 2.573 20 5 CFBDRN CC[C@H](C)N[C@@H](c1nccn1C)c1ccc([N+](=O)[O-])cc1 ZINC000295795039 381080669 /nfs/dbraw/zinc/08/06/69/381080669.db2.gz BVSJSSXFQHADCX-SMDDNHRTSA-N 0 0 288.351 2.806 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@@H]1C ZINC000279346577 194394293 /nfs/dbraw/zinc/39/42/93/194394293.db2.gz QEQNNONCNLTGTB-QWRGUYRKSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1cccc(CN[C@H]2COc3ccc(F)cc32)c1 ZINC000341555470 381084119 /nfs/dbraw/zinc/08/41/19/381084119.db2.gz AQZZKAICDCEHOB-AWEZNQCLSA-N 0 0 288.278 2.957 20 5 CFBDRN C[C@@H](c1c(F)cccc1F)N(C)c1c([N+](=O)[O-])ncn1C ZINC000354110769 381085595 /nfs/dbraw/zinc/08/55/95/381085595.db2.gz CTIURKZETDXILF-QMMMGPOBSA-N 0 0 296.277 2.804 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCOCC2(CC2)C1 ZINC000341566808 381092727 /nfs/dbraw/zinc/09/27/27/381092727.db2.gz QCHGJVKQAILGBN-HWKANZROSA-N 0 0 288.347 2.720 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@H]1C[C@H]1C1CC1 ZINC000354142703 381103174 /nfs/dbraw/zinc/10/31/74/381103174.db2.gz RBIJDAIFYNOPFS-KBPBESRZSA-N 0 0 288.347 2.642 20 5 CFBDRN CCc1nnc([C@@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000341593186 381110557 /nfs/dbraw/zinc/11/05/57/381110557.db2.gz ZADQYUJKRHZPHC-NXEZZACHSA-N 0 0 290.323 2.952 20 5 CFBDRN Cc1sc(C(=O)Nc2cncc(F)c2)cc1[N+](=O)[O-] ZINC000341546986 381079732 /nfs/dbraw/zinc/07/97/32/381079732.db2.gz NVUKGCZSCVGHCW-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CC[C@@H](CO)N(C)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000295797282 381079930 /nfs/dbraw/zinc/07/99/30/381079930.db2.gz MTWFSOVSPVQJLL-LBPRGKRZSA-N 0 0 294.351 2.561 20 5 CFBDRN Cc1nn(C)c(NCc2ccc3ccccc3n2)c1[N+](=O)[O-] ZINC000358881107 381137717 /nfs/dbraw/zinc/13/77/17/381137717.db2.gz XMRCQAVEMOKLGC-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CC(C)OCCCN(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000354206629 381142200 /nfs/dbraw/zinc/14/22/00/381142200.db2.gz TZGZITGHMJUHJV-UHFFFAOYSA-N 0 0 284.331 2.981 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)OC ZINC000295909670 381150429 /nfs/dbraw/zinc/15/04/29/381150429.db2.gz ZPWHYCSTXZVLMF-YGRLFVJLSA-N 0 0 294.351 2.694 20 5 CFBDRN C[C@@H]1[C@@H](CO)CCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000412092484 381158119 /nfs/dbraw/zinc/15/81/19/381158119.db2.gz RDHPJRVIZCHICZ-ZYHUDNBSSA-N 0 0 298.770 2.841 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C[C@H](C)OC ZINC000289798303 381163486 /nfs/dbraw/zinc/16/34/86/381163486.db2.gz NASADEFBCYBXDU-JTQLQIEISA-N 0 0 295.339 2.656 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C[C@@H](C)OC ZINC000289798306 381163514 /nfs/dbraw/zinc/16/35/14/381163514.db2.gz NASADEFBCYBXDU-SNVBAGLBSA-N 0 0 295.339 2.656 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2Cc3ccccc3O2)nc1C1CC1 ZINC000368942783 381165996 /nfs/dbraw/zinc/16/59/96/381165996.db2.gz ZHPGFXJYYHNHDX-LBPRGKRZSA-N 0 0 285.303 2.672 20 5 CFBDRN Cc1ccc(Cc2noc(CCC(C)(C)[N+](=O)[O-])n2)cc1 ZINC000295882832 381134062 /nfs/dbraw/zinc/13/40/62/381134062.db2.gz HSYJUSAPLGRUMR-UHFFFAOYSA-N 0 0 289.335 2.957 20 5 CFBDRN CC(C)C[C@@]1(C)CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000295949224 381173545 /nfs/dbraw/zinc/17/35/45/381173545.db2.gz IPJWLVGBBXQRDH-CQSZACIVSA-N 0 0 279.340 2.964 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1F)CC1CC1 ZINC000295953896 381178077 /nfs/dbraw/zinc/17/80/77/381178077.db2.gz MCVCKERFFKZQEX-UHFFFAOYSA-N 0 0 271.219 2.621 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000279373810 194407289 /nfs/dbraw/zinc/40/72/89/194407289.db2.gz HTNZWTYUMFORSL-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN Cn1ccc(-c2noc(CCc3cccc([N+](=O)[O-])c3)n2)c1 ZINC000347522680 381200703 /nfs/dbraw/zinc/20/07/03/381200703.db2.gz BYIVUTDNYNAINT-UHFFFAOYSA-N 0 0 298.302 2.769 20 5 CFBDRN CN(CC[C@H]1CCCO1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000341964512 381204283 /nfs/dbraw/zinc/20/42/83/381204283.db2.gz PBHBDEGAVVCOHQ-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN CN(Cc1cccs1)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000354335126 381211227 /nfs/dbraw/zinc/21/12/27/381211227.db2.gz GNQKXMTWFDAKEU-UHFFFAOYSA-N 0 0 291.332 2.511 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2c(N)cccc2[N+](=O)[O-])c1 ZINC000354337995 381212290 /nfs/dbraw/zinc/21/22/90/381212290.db2.gz ZDPBZGVUKRNQEB-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000369214851 381214617 /nfs/dbraw/zinc/21/46/17/381214617.db2.gz MGPARZKQHCXYPM-GJZGRUSLSA-N 0 0 291.351 2.794 20 5 CFBDRN Cc1cc([C@@H](C)NCc2c([N+](=O)[O-])ncn2C)c(C)s1 ZINC000347550922 381216608 /nfs/dbraw/zinc/21/66/08/381216608.db2.gz FTKSOPOICZIKIK-SECBINFHSA-N 0 0 294.380 2.857 20 5 CFBDRN Cc1nnc(CN[C@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC000342291082 381251245 /nfs/dbraw/zinc/25/12/45/381251245.db2.gz FLTQWEWWCKHZPL-MRVPVSSYSA-N 0 0 278.337 2.606 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)Cc2cccnc2)n1 ZINC000359081164 381252421 /nfs/dbraw/zinc/25/24/21/381252421.db2.gz IPXGPBWDPWKTDZ-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)Cc2ccccn2)n1 ZINC000359082115 381254594 /nfs/dbraw/zinc/25/45/94/381254594.db2.gz KUDNNGZNTBSVOM-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN COCCCCCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359083571 381255309 /nfs/dbraw/zinc/25/53/09/381255309.db2.gz OTUAFBSNQZLOED-UHFFFAOYSA-N 0 0 267.329 2.835 20 5 CFBDRN COC(=O)[C@@H](C)CSc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359085878 381257504 /nfs/dbraw/zinc/25/75/04/381257504.db2.gz UIPUCAASCVBLRG-QMMMGPOBSA-N 0 0 284.337 2.508 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2[C@H](C)C2(F)F)cc1[N+](=O)[O-] ZINC000359087889 381258362 /nfs/dbraw/zinc/25/83/62/381258362.db2.gz OFJOQJDRYJQOPM-XVKPBYJWSA-N 0 0 270.235 2.743 20 5 CFBDRN CC(C)(C)CCCCNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000359034584 381226321 /nfs/dbraw/zinc/22/63/21/381226321.db2.gz YGRFFJCZJDPRAI-UHFFFAOYSA-N 0 0 294.355 2.750 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1COc2ccc(Cl)cc21 ZINC000354375932 381231561 /nfs/dbraw/zinc/23/15/61/381231561.db2.gz CSARSMGBLJDYKW-SECBINFHSA-N 0 0 294.698 2.527 20 5 CFBDRN C[C@H](C(=O)N1C[C@H](C)[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000347580453 381234683 /nfs/dbraw/zinc/23/46/83/381234683.db2.gz BUKPQTNEANFGDU-DCAQKATOSA-N 0 0 294.326 2.952 20 5 CFBDRN Cc1c(CNC(=O)[C@H](C)CC(F)F)cccc1[N+](=O)[O-] ZINC000412284263 381243080 /nfs/dbraw/zinc/24/30/80/381243080.db2.gz DOOWTHKAKBEXGR-MRVPVSSYSA-N 0 0 286.278 2.811 20 5 CFBDRN CCc1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000296084199 381248020 /nfs/dbraw/zinc/24/80/20/381248020.db2.gz SFTPARKKTSBMBL-UHFFFAOYSA-N 0 0 265.309 2.990 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NCCC1CC1 ZINC000342289676 381249912 /nfs/dbraw/zinc/24/99/12/381249912.db2.gz KJZONBUXYGQNLP-UHFFFAOYSA-N 0 0 280.349 2.603 20 5 CFBDRN CN(C(=O)c1ccc([O-])c([N+](=O)[O-])c1)c1ccccc1 ZINC000183290491 381250016 /nfs/dbraw/zinc/25/00/16/381250016.db2.gz QCOLJFFTBDHYFE-UHFFFAOYSA-N 0 0 272.260 2.577 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H](O)CC(F)(F)F)ccc1Cl ZINC000290074400 381287972 /nfs/dbraw/zinc/28/79/72/381287972.db2.gz JMUWKEWCBXDWJK-ZCFIWIBFSA-N 0 0 299.632 2.940 20 5 CFBDRN CC(C)(C)OC(=O)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000342366927 381299878 /nfs/dbraw/zinc/29/98/78/381299878.db2.gz XQZFVWSBRNMVFA-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN CC(C)(CO)CCCNc1c(F)cccc1[N+](=O)[O-] ZINC000342368655 381300305 /nfs/dbraw/zinc/30/03/05/381300305.db2.gz MFFJMYKMEUXTKD-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000296205124 381300755 /nfs/dbraw/zinc/30/07/55/381300755.db2.gz FQGZFTJNFDTZDO-ZDUSSCGKSA-N 0 0 278.308 2.777 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1cccnc1C1CC1 ZINC000342373575 381302934 /nfs/dbraw/zinc/30/29/34/381302934.db2.gz FBGHFILYWBCNKA-UHFFFAOYSA-N 0 0 297.314 2.797 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@H]1c1cccc(F)c1)[N+](=O)[O-] ZINC000296216909 381304671 /nfs/dbraw/zinc/30/46/71/381304671.db2.gz JXVFYPAFGTWCCW-QWHCGFSZSA-N 0 0 294.326 2.633 20 5 CFBDRN COCC(C)(C)CC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000412370028 381273553 /nfs/dbraw/zinc/27/35/53/381273553.db2.gz PZQSZPHBPZNOTP-NSHDSACASA-N 0 0 294.351 2.835 20 5 CFBDRN C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000412368571 381273591 /nfs/dbraw/zinc/27/35/91/381273591.db2.gz VNSQTFYGFMPMPF-XYHOJCAOSA-N 0 0 274.320 2.565 20 5 CFBDRN C[C@H](C[NH2+]Cc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000359117059 381274906 /nfs/dbraw/zinc/27/49/06/381274906.db2.gz ITUXGMYSAWIQHS-MRVPVSSYSA-N 0 0 262.231 2.883 20 5 CFBDRN CCc1ccc(C(=O)N[C@H](C)c2ccon2)cc1[N+](=O)[O-] ZINC000347649620 381276545 /nfs/dbraw/zinc/27/65/45/381276545.db2.gz PXURELWWURRROH-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN CC/C=C/CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412378948 381278528 /nfs/dbraw/zinc/27/85/28/381278528.db2.gz FOVQYLDRLDEXDL-PUWCWTCHSA-N 0 0 274.320 2.781 20 5 CFBDRN Cc1ncccc1O[C@@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000369525706 381279135 /nfs/dbraw/zinc/27/91/35/381279135.db2.gz CMLZPSXIRKHKCX-CYBMUJFWSA-N 0 0 299.330 2.956 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)OC ZINC000296168256 381284233 /nfs/dbraw/zinc/28/42/33/381284233.db2.gz LALPMCUMOXKVFZ-GXFFZTMASA-N 0 0 295.339 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2ccccn2)c1 ZINC000359273637 381322233 /nfs/dbraw/zinc/32/22/33/381322233.db2.gz NHQMJNKKVYWRFL-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CCSCCOc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000354535437 381326843 /nfs/dbraw/zinc/32/68/43/381326843.db2.gz ISPZDWZLWFZKIS-UHFFFAOYSA-N 0 0 256.327 2.739 20 5 CFBDRN Cc1cc(C(=O)N(C)CC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000342439151 381332125 /nfs/dbraw/zinc/33/21/25/381332125.db2.gz BKCGSVCYTLIVIC-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000412533739 381339922 /nfs/dbraw/zinc/33/99/22/381339922.db2.gz XRDMSZAZESDCFJ-LBPRGKRZSA-N 0 0 276.336 2.787 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1c(F)cccc1F ZINC000354505984 381307997 /nfs/dbraw/zinc/30/79/97/381307997.db2.gz KPHBRUDFTFTREA-SSDOTTSWSA-N 0 0 280.234 2.836 20 5 CFBDRN CCOc1cccc(N[C@H]2CC[C@@H](CO)C2)c1[N+](=O)[O-] ZINC000296223290 381308463 /nfs/dbraw/zinc/30/84/63/381308463.db2.gz GYCQHJBXHYMSTE-MNOVXSKESA-N 0 0 280.324 2.566 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCc2c1cccc2F ZINC000183748578 381313683 /nfs/dbraw/zinc/31/36/83/381313683.db2.gz ZVCJIGKCNAUGHM-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN CC(C)C(C)(C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000354519563 381315857 /nfs/dbraw/zinc/31/58/57/381315857.db2.gz GRJMQLUXUMZAFP-UHFFFAOYSA-N 0 0 290.323 2.514 20 5 CFBDRN Nc1ccc(SCCn2ccc([N+](=O)[O-])n2)c(Cl)c1 ZINC000347729957 381319525 /nfs/dbraw/zinc/31/95/25/381319525.db2.gz AANWTDMDMWBFAA-UHFFFAOYSA-N 0 0 298.755 2.819 20 5 CFBDRN CC(C)[C@H]1C[C@H]1c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000290148757 381320289 /nfs/dbraw/zinc/32/02/89/381320289.db2.gz ISHYOVUPADDZRV-NXEZZACHSA-N 0 0 288.307 2.987 20 5 CFBDRN Cc1ccc(CC[C@@H](C)Nc2ncc([N+](=O)[O-])cn2)o1 ZINC000354572310 381346180 /nfs/dbraw/zinc/34/61/80/381346180.db2.gz GDDHZFBUAYGSJB-SECBINFHSA-N 0 0 276.296 2.719 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)CCc2cccc([N+](=O)[O-])c2)CS1 ZINC000412575534 381352136 /nfs/dbraw/zinc/35/21/36/381352136.db2.gz ASVMCACHYXNGPL-JQWIXIFHSA-N 0 0 294.376 2.538 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(c3ccncc3)CCCC2)nc1 ZINC000354586956 381355289 /nfs/dbraw/zinc/35/52/89/381355289.db2.gz MNEZDTLPFKNKJP-UHFFFAOYSA-N 0 0 299.334 2.704 20 5 CFBDRN CSCCCNC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000184035673 381357297 /nfs/dbraw/zinc/35/72/97/381357297.db2.gz HGKCFYNPWFMOAY-ZHACJKMWSA-N 0 0 294.376 2.867 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCc1cccc(F)c1F ZINC000342531968 381378945 /nfs/dbraw/zinc/37/89/45/381378945.db2.gz UOQAWAXZHAPRIE-UHFFFAOYSA-N 0 0 280.230 2.890 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H](C)CCCCO ZINC000296373379 381368256 /nfs/dbraw/zinc/36/82/56/381368256.db2.gz SUDOLPFGEWPYIU-SNVBAGLBSA-N 0 0 280.324 2.760 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000296382966 381371214 /nfs/dbraw/zinc/37/12/14/381371214.db2.gz UGNFTUCGFKQLJV-GFCCVEGCSA-N 0 0 250.298 2.883 20 5 CFBDRN CCOc1cccc(NC[C@H](O)[C@@H](C)CC)c1[N+](=O)[O-] ZINC000184115927 381373499 /nfs/dbraw/zinc/37/34/99/381373499.db2.gz CUEJTHFPINTOTJ-JQWIXIFHSA-N 0 0 282.340 2.812 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000347849536 381376397 /nfs/dbraw/zinc/37/63/97/381376397.db2.gz RVDUKEFJQGZYAZ-QMMMGPOBSA-N 0 0 295.314 2.625 20 5 CFBDRN CC(=O)c1ccc(NC2(CCO)CCCC2)c([N+](=O)[O-])c1 ZINC000288874590 197183135 /nfs/dbraw/zinc/18/31/35/197183135.db2.gz GDDWRLXBDLFIKY-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN CCOCC(C)(C)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000296468089 381408076 /nfs/dbraw/zinc/40/80/76/381408076.db2.gz ZOBRHSNCCWSNCN-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CCC[C@@H](OC)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000296474948 381411713 /nfs/dbraw/zinc/41/17/13/381411713.db2.gz HVINYSIVPFBIEI-LLVKDONJSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1cc(=O)n(CCc2cccc(F)c2F)cc1[N+](=O)[O-] ZINC000342545035 381386826 /nfs/dbraw/zinc/38/68/26/381386826.db2.gz LBJIDJKROLAGOX-UHFFFAOYSA-N 0 0 294.257 2.586 20 5 CFBDRN CC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CCCC1 ZINC000342553571 381393132 /nfs/dbraw/zinc/39/31/32/381393132.db2.gz HLSSOMSGPKTGJW-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN CSCC1CCC(Nc2ncc([N+](=O)[O-])cn2)CC1 ZINC000296435997 381393442 /nfs/dbraw/zinc/39/34/42/381393442.db2.gz YSXBOBISEVGJOF-UHFFFAOYSA-N 0 0 282.369 2.719 20 5 CFBDRN Cn1cc(CCOc2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000347886670 381398662 /nfs/dbraw/zinc/39/86/62/381398662.db2.gz KCDZHGWUHQZPJS-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN C[C@H]1C[C@H](c2cccnc2)N(c2ccc([N+](=O)[O-])nc2)C1 ZINC000347883732 381399251 /nfs/dbraw/zinc/39/92/51/381399251.db2.gz VOTXLNJETHGSTE-SMDDNHRTSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000347935504 381421332 /nfs/dbraw/zinc/42/13/32/381421332.db2.gz GWQMXJHQDLCZRN-UWVGGRQHSA-N 0 0 294.326 3.000 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347942821 381426028 /nfs/dbraw/zinc/42/60/28/381426028.db2.gz AAPMVBZZZOBVQF-SNVBAGLBSA-N 0 0 272.301 2.814 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCC[C@H]1C ZINC000288925975 197193523 /nfs/dbraw/zinc/19/35/23/197193523.db2.gz QBKVRXYCZJLHHO-SCZZXKLOSA-N 0 0 252.318 2.720 20 5 CFBDRN COc1cc(C(=O)N2CC=C(C)CC2)c([N+](=O)[O-])cc1F ZINC000296491644 381418710 /nfs/dbraw/zinc/41/87/10/381418710.db2.gz LBNFZWWSLUHTLM-UHFFFAOYSA-N 0 0 294.282 2.535 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2ccc([N+](=O)[O-])cc2)nc1C ZINC000184729775 381472438 /nfs/dbraw/zinc/47/24/38/381472438.db2.gz JLJRKUUILOFNRO-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CC/C=C/CNC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000296616695 381473516 /nfs/dbraw/zinc/47/35/16/381473516.db2.gz IPWQLKMSEXFFLH-SNAWJCMRSA-N 0 0 279.296 2.691 20 5 CFBDRN C[C@@H]([NH2+][C@@H](C)CC(C)(C)O)c1cccc([N+](=O)[O-])c1 ZINC000296640867 381485069 /nfs/dbraw/zinc/48/50/69/381485069.db2.gz XZYNKQOPXDZBGY-WDEREUQCSA-N 0 0 266.341 2.795 20 5 CFBDRN CC(=O)c1cc(NCc2ccc(F)cn2)ccc1[N+](=O)[O-] ZINC000342674880 381471097 /nfs/dbraw/zinc/47/10/97/381471097.db2.gz JKWVLVGIORQQRW-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN COc1ccc(NC(=O)N2CCC[C@@H]2CF)cc1[N+](=O)[O-] ZINC000296711525 381516426 /nfs/dbraw/zinc/51/64/26/381516426.db2.gz FMXVRNCFBQRLHK-SNVBAGLBSA-N 0 0 297.286 2.569 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](C)C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000359585000 381489380 /nfs/dbraw/zinc/48/93/80/381489380.db2.gz YSYYCFZPADAVIZ-NXEZZACHSA-N 0 0 280.324 2.759 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1OC)C1CCC1 ZINC000348302832 381491163 /nfs/dbraw/zinc/49/11/63/381491163.db2.gz BGQOLLNTPJNUCA-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN COCCCCCOc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359591074 381492576 /nfs/dbraw/zinc/49/25/76/381492576.db2.gz UPPYFMIAFSLNBR-UHFFFAOYSA-N 0 0 268.313 2.802 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000354877128 381502107 /nfs/dbraw/zinc/50/21/07/381502107.db2.gz FUCPACLSKSWCFA-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN Cc1nc(SCCC(=O)OC(C)(C)C)ncc1[N+](=O)[O-] ZINC000296772765 381537636 /nfs/dbraw/zinc/53/76/36/381537636.db2.gz MTYYNZAZAJJECF-UHFFFAOYSA-N 0 0 299.352 2.517 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCO[C@H](C2CCC2)C1 ZINC000413479656 381543987 /nfs/dbraw/zinc/54/39/87/381543987.db2.gz QJOAULGREVGBSP-ZDUSSCGKSA-N 0 0 280.299 2.739 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC2C(C)(C)C2(C)C)c1 ZINC000359632346 381522535 /nfs/dbraw/zinc/52/25/35/381522535.db2.gz BOCDTTBFJCNEMW-UHFFFAOYSA-N 0 0 292.335 2.768 20 5 CFBDRN Cc1nc(Nc2ccc3c(c2)ncn3C)ccc1[N+](=O)[O-] ZINC000348351600 381524454 /nfs/dbraw/zinc/52/44/54/381524454.db2.gz RLCIWDSTVJPZTN-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN CC/C(C)=C/C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000296747136 381525870 /nfs/dbraw/zinc/52/58/70/381525870.db2.gz PHIQNLDLACSVSD-VQHVLOKHSA-N 0 0 264.281 2.898 20 5 CFBDRN Cc1cc(N(C)C(=O)c2ccc(C)c([N+](=O)[O-])c2)ccn1 ZINC000359640348 381526734 /nfs/dbraw/zinc/52/67/34/381526734.db2.gz FYAZNTXWHHEXFJ-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CC1(C)CCC[C@@H](Oc2ccc([N+](=O)[O-])c(CO)c2)C1=O ZINC000413001180 381530287 /nfs/dbraw/zinc/53/02/87/381530287.db2.gz CIWSQPGKCBLQED-CYBMUJFWSA-N 0 0 293.319 2.614 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000296767343 381533360 /nfs/dbraw/zinc/53/33/60/381533360.db2.gz MJYGNTQIZCVUOS-ZYHUDNBSSA-N 0 0 278.308 2.521 20 5 CFBDRN CC(C)CCC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359650791 381533459 /nfs/dbraw/zinc/53/34/59/381533459.db2.gz LYDCQGUROOKDEF-UHFFFAOYSA-N 0 0 292.335 2.752 20 5 CFBDRN COc1cc(C(=O)NC2C(C)(C)C2(C)C)ccc1[N+](=O)[O-] ZINC000359652502 381534911 /nfs/dbraw/zinc/53/49/11/381534911.db2.gz SUHJBDCKYSXDJF-UHFFFAOYSA-N 0 0 292.335 2.768 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])c3ncccc23)CCO1 ZINC000413085761 381534995 /nfs/dbraw/zinc/53/49/95/381534995.db2.gz BQLIINYPPYFMNF-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCOCC(F)(F)F)n1 ZINC000359654237 381536224 /nfs/dbraw/zinc/53/62/24/381536224.db2.gz XNTYZNAMUIQCMK-UHFFFAOYSA-N 0 0 294.229 2.564 20 5 CFBDRN CC(C)C[C@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000185255891 381578606 /nfs/dbraw/zinc/57/86/06/381578606.db2.gz LSJQOJAGEZRCMA-NSHDSACASA-N 0 0 264.325 2.893 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](n3cc(Cl)cn3)C2)cc1 ZINC000290804701 381556603 /nfs/dbraw/zinc/55/66/03/381556603.db2.gz PPCKZIAMGAOAOG-CYBMUJFWSA-N 0 0 292.726 2.896 20 5 CFBDRN CC(C)CN(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342885542 381600468 /nfs/dbraw/zinc/60/04/68/381600468.db2.gz BVGOARJRMFNQBZ-UHFFFAOYSA-N 0 0 275.308 2.804 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000298379005 381600465 /nfs/dbraw/zinc/60/04/65/381600465.db2.gz DCQAHWUEAHDSIS-NSHDSACASA-N 0 0 280.324 2.621 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N(C)[C@@H]1CC1(C)C ZINC000348513689 381600662 /nfs/dbraw/zinc/60/06/62/381600662.db2.gz YSFIPMSXYIOKFO-GFCCVEGCSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])nc1C ZINC000298386048 381603955 /nfs/dbraw/zinc/60/39/55/381603955.db2.gz GSOGXFUXYLUQGX-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCCC2(CC2)C1 ZINC000185343773 381605726 /nfs/dbraw/zinc/60/57/26/381605726.db2.gz YBIOBVQXDMCZSQ-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N1CCC2(C1)CCCCCC2 ZINC000371301236 381584901 /nfs/dbraw/zinc/58/49/01/381584901.db2.gz DPHVRBNXAFVTRH-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN O=C(NCC1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000298343932 381585392 /nfs/dbraw/zinc/58/53/92/381585392.db2.gz SJWJLDDVZPCNJQ-UHFFFAOYSA-N 0 0 288.225 2.509 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000185294212 381587937 /nfs/dbraw/zinc/58/79/37/381587937.db2.gz GHLHNAPJCSFCIY-OLZOCXBDSA-N 0 0 274.320 2.776 20 5 CFBDRN CC(C)n1ncnc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000290967583 381613109 /nfs/dbraw/zinc/61/31/09/381613109.db2.gz PIPBFRAKTUFUMF-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN COC[C@@H](C)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000290978188 381617594 /nfs/dbraw/zinc/61/75/94/381617594.db2.gz PRUGUYHQEQUTGQ-QMMMGPOBSA-N 0 0 256.277 2.737 20 5 CFBDRN Cc1nnc(N[C@@H](C)c2ccccc2[N+](=O)[O-])nc1C ZINC000359806052 381619354 /nfs/dbraw/zinc/61/93/54/381619354.db2.gz SCKMPVBHNXDVQM-JTQLQIEISA-N 0 0 273.296 2.570 20 5 CFBDRN CCc1csc(NC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000355114301 381620688 /nfs/dbraw/zinc/62/06/88/381620688.db2.gz ULOJJHYJBWXYTM-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN COc1cccc(N(C)CC2CCC2)c1[N+](=O)[O-] ZINC000185402779 381622656 /nfs/dbraw/zinc/62/26/56/381622656.db2.gz ZOGGWRGHLUQAOK-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN Cc1cc(NCCCC(=O)NC2CC2)c(F)cc1[N+](=O)[O-] ZINC000290998253 381624629 /nfs/dbraw/zinc/62/46/29/381624629.db2.gz SMSNVJKFQBHAIC-UHFFFAOYSA-N 0 0 295.314 2.513 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cccc2c1OCO2 ZINC000359821330 381626801 /nfs/dbraw/zinc/62/68/01/381626801.db2.gz NURCAROHQRCYMQ-UHFFFAOYSA-N 0 0 272.260 2.936 20 5 CFBDRN CCC1(C)CN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000291008355 381629587 /nfs/dbraw/zinc/62/95/87/381629587.db2.gz CSGNNYPFSYWFAV-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN COC1CCC(C)(CNc2c([N+](=O)[O-])c(C)nn2C)CC1 ZINC000359833090 381631378 /nfs/dbraw/zinc/63/13/78/381631378.db2.gz QKWMNGDPGPLKSO-UHFFFAOYSA-N 0 0 296.371 2.644 20 5 CFBDRN CN(Cc1ccnn1C)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000359882967 381658497 /nfs/dbraw/zinc/65/84/97/381658497.db2.gz WJBPXQNWYHGPJN-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN CO[C@]1(C)CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000343050006 381662314 /nfs/dbraw/zinc/66/23/14/381662314.db2.gz BPLRUZPOXWMMQY-CYBMUJFWSA-N 0 0 268.288 2.739 20 5 CFBDRN O=C(NCc1cc(F)ccc1F)c1ccccc1[N+](=O)[O-] ZINC000355195258 381668184 /nfs/dbraw/zinc/66/81/84/381668184.db2.gz XLNCJWBKXDFGHV-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN Cc1ccnc(N[C@H](C)CCC(=O)OC(C)C)c1[N+](=O)[O-] ZINC000359904028 381668389 /nfs/dbraw/zinc/66/83/89/381668389.db2.gz RKUCOZMJLITJHT-LLVKDONJSA-N 0 0 295.339 2.830 20 5 CFBDRN CC[C@@H]1C[N@H+](Cc2ccc(C(C)C)c([N+](=O)[O-])c2)C[C@@H]1O ZINC000355193808 381668823 /nfs/dbraw/zinc/66/88/23/381668823.db2.gz FIQMGLSOIOLJMF-CJNGLKHVSA-N 0 0 292.379 2.921 20 5 CFBDRN COc1cccc(N[C@H]2CCC[C@H]3OCC[C@@H]32)c1[N+](=O)[O-] ZINC000185566752 381668919 /nfs/dbraw/zinc/66/89/19/381668919.db2.gz GPOYDXCRABRJQU-NTZNESFSSA-N 0 0 292.335 2.973 20 5 CFBDRN COc1cccc(N[C@@H]2CCC[C@H]3OCC[C@@H]32)c1[N+](=O)[O-] ZINC000185566730 381669289 /nfs/dbraw/zinc/66/92/89/381669289.db2.gz GPOYDXCRABRJQU-NQBHXWOUSA-N 0 0 292.335 2.973 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@H]1CCC(F)(F)C1 ZINC000359908228 381671576 /nfs/dbraw/zinc/67/15/76/381671576.db2.gz WVIZBKLQHSCVDY-NSHDSACASA-N 0 0 298.289 2.689 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCCC1(C)CC1 ZINC000348636720 381650591 /nfs/dbraw/zinc/65/05/91/381650591.db2.gz YLDNKFURNYWPMX-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@]2(C)CCO[C@@H]2C)n1 ZINC000343435732 381708170 /nfs/dbraw/zinc/70/81/70/381708170.db2.gz KOYSRUXKDAYQOD-ZWNOBZJWSA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@]2(C)CCO[C@H]2C)n1 ZINC000343435725 381708351 /nfs/dbraw/zinc/70/83/51/381708351.db2.gz KOYSRUXKDAYQOD-GXFFZTMASA-N 0 0 265.313 2.586 20 5 CFBDRN CCc1n[nH]c(C(=O)N2C[C@H](C)CCC[C@H]2C)c1[N+](=O)[O-] ZINC000185730328 381717815 /nfs/dbraw/zinc/71/78/15/381717815.db2.gz MOQWWDQDTIQTDC-NXEZZACHSA-N 0 0 294.355 2.531 20 5 CFBDRN CO[C@H]1CCCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CC1 ZINC000371680636 381676538 /nfs/dbraw/zinc/67/65/38/381676538.db2.gz KRPQZOGTSKGKDR-AWEZNQCLSA-N 0 0 292.335 2.544 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000291131600 381677001 /nfs/dbraw/zinc/67/70/01/381677001.db2.gz BWKVKVGWLHNVIA-LLVKDONJSA-N 0 0 295.339 2.792 20 5 CFBDRN CC[C@@H]1CCC[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000298593957 381684396 /nfs/dbraw/zinc/68/43/96/381684396.db2.gz JAEZREJHLKKCIE-ZYHUDNBSSA-N 0 0 277.324 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@H](CO)C2)cc1 ZINC000298609409 381686862 /nfs/dbraw/zinc/68/68/62/381686862.db2.gz NZRHDWHORWSRAM-JQWIXIFHSA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1c(CSc2nnnn2C2CC2)cccc1[N+](=O)[O-] ZINC000298615162 381689768 /nfs/dbraw/zinc/68/97/68/381689768.db2.gz UKRXCSIXICFSPX-UHFFFAOYSA-N 0 0 291.336 2.517 20 5 CFBDRN CC[C@]1(C)CC(=O)N(Cc2cccc([N+](=O)[O-])c2C)C1=O ZINC000348755923 381698059 /nfs/dbraw/zinc/69/80/59/381698059.db2.gz KCRYRMFVVCBYDQ-OAHLLOKOSA-N 0 0 290.319 2.578 20 5 CFBDRN Nc1c(F)c(NCCCc2nccs2)ccc1[N+](=O)[O-] ZINC000291185081 381699098 /nfs/dbraw/zinc/69/90/98/381699098.db2.gz SFRRISCPBSPZIL-UHFFFAOYSA-N 0 0 296.327 2.817 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCO[C@@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000343422586 381700228 /nfs/dbraw/zinc/70/02/28/381700228.db2.gz FOZILSQDJFHTPY-WDEREUQCSA-N 0 0 296.371 2.642 20 5 CFBDRN COc1cccc(N(C)C[C@@H]2CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000185683159 381703719 /nfs/dbraw/zinc/70/37/19/381703719.db2.gz YUZWDLCEHSQNDD-AAEUAGOBSA-N 0 0 294.351 2.591 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000291262245 381727232 /nfs/dbraw/zinc/72/72/32/381727232.db2.gz OUNLUIZXIBWZJA-LLVKDONJSA-N 0 0 295.339 2.792 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000291263830 381728854 /nfs/dbraw/zinc/72/88/54/381728854.db2.gz SLYWOOPDGXXVPF-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN CCN(C(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000298748094 381735556 /nfs/dbraw/zinc/73/55/56/381735556.db2.gz VEJPOXLOFDXDFJ-UHFFFAOYSA-N 0 0 251.286 2.857 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000355299383 381737033 /nfs/dbraw/zinc/73/70/33/381737033.db2.gz XDVWSTIKJIBSOP-HTQZYQBOSA-N 0 0 252.245 2.719 20 5 CFBDRN Cc1c(CNC(=O)C[C@@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000355306201 381741522 /nfs/dbraw/zinc/74/15/22/381741522.db2.gz ROFBWCABHSAFAK-SKDRFNHKSA-N 0 0 262.309 2.566 20 5 CFBDRN Cc1cc(NCCOC(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000360049780 381751349 /nfs/dbraw/zinc/75/13/49/381751349.db2.gz GWKWOSMDRCFBNV-UHFFFAOYSA-N 0 0 282.193 2.991 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2CC23CCCC3)ccc1[N+](=O)[O-] ZINC000355280286 381721279 /nfs/dbraw/zinc/72/12/79/381721279.db2.gz VFWHHKRVDPXJPY-SNVBAGLBSA-N 0 0 275.308 2.817 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1noc(C2CC2)n1 ZINC000343454673 381721656 /nfs/dbraw/zinc/72/16/56/381721656.db2.gz GQCJXJRMEZLIGR-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CCc1nnc(Sc2ccc([N+](=O)[O-])c(C)c2)[nH]1 ZINC000298713911 381721766 /nfs/dbraw/zinc/72/17/66/381721766.db2.gz LJNMZDDREDDWIN-UHFFFAOYSA-N 0 0 264.310 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCO[C@H](C2CC2)C1 ZINC000372263689 381781249 /nfs/dbraw/zinc/78/12/49/381781249.db2.gz ZKTYXSKVYSYCGL-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN Cc1ccnc(N2CCC(C(F)F)CC2)c1[N+](=O)[O-] ZINC000360770732 381789867 /nfs/dbraw/zinc/78/98/67/381789867.db2.gz FZVCTMAFKOEJNA-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN CCCOc1ccc(OCCn2nccc2[N+](=O)[O-])cc1 ZINC000298979484 381792453 /nfs/dbraw/zinc/79/24/53/381792453.db2.gz JDJTYUFJFYBELL-UHFFFAOYSA-N 0 0 291.307 2.659 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000162429945 322364922 /nfs/dbraw/zinc/36/49/22/322364922.db2.gz JNDONAQEVCCNTR-QWRGUYRKSA-N 0 0 262.309 2.537 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCOC[C@H](C2CCC2)C1 ZINC000292290768 381798025 /nfs/dbraw/zinc/79/80/25/381798025.db2.gz MZMKTTMQUUISLR-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H](COC(F)F)C1 ZINC000343510679 381762085 /nfs/dbraw/zinc/76/20/85/381762085.db2.gz VOAFMUWABKBIHM-QMMMGPOBSA-N 0 0 290.241 2.799 20 5 CFBDRN O=C(C1=CCC1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000355733828 381799150 /nfs/dbraw/zinc/79/91/50/381799150.db2.gz PXIZZXKRBKAPJV-UHFFFAOYSA-N 0 0 272.304 2.806 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCC[N@H+](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000343518662 381767616 /nfs/dbraw/zinc/76/76/16/381767616.db2.gz MHVSYWNBRUVASX-GXFFZTMASA-N 0 0 291.351 2.692 20 5 CFBDRN Nc1ccc(-c2noc(C3=CCCC3)n2)cc1[N+](=O)[O-] ZINC000355425229 381769543 /nfs/dbraw/zinc/76/95/43/381769543.db2.gz HBQMRYXXIHHJLZ-UHFFFAOYSA-N 0 0 272.264 2.794 20 5 CFBDRN CCCN(CC)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000298897753 381778572 /nfs/dbraw/zinc/77/85/72/381778572.db2.gz YBNPAHTZKIDMRD-UHFFFAOYSA-N 0 0 275.308 2.948 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000298902815 381778581 /nfs/dbraw/zinc/77/85/81/381778581.db2.gz BWNKSFSTHYACMX-ZWNOBZJWSA-N 0 0 296.298 2.878 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000299099364 381823849 /nfs/dbraw/zinc/82/38/49/381823849.db2.gz HWLVZJSRZWWONY-ZDUSSCGKSA-N 0 0 291.351 2.702 20 5 CFBDRN COC1(CCNc2ccccc2[N+](=O)[O-])CCC1 ZINC000292768378 381827410 /nfs/dbraw/zinc/82/74/10/381827410.db2.gz KWDJUKCJUJHFEP-UHFFFAOYSA-N 0 0 250.298 2.966 20 5 CFBDRN C[C@H](Cn1cc([N+](=O)[O-])ccc1=O)C1CCCCC1 ZINC000292804503 381841895 /nfs/dbraw/zinc/84/18/95/381841895.db2.gz WRRNBSBASQQHRG-LLVKDONJSA-N 0 0 264.325 2.973 20 5 CFBDRN C[C@H]1C[C@H](c2cccnc2)N(c2ccncc2[N+](=O)[O-])C1 ZINC000361045979 381801065 /nfs/dbraw/zinc/80/10/65/381801065.db2.gz NDLHMVRNRLDIIE-SMDDNHRTSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@@H](c1ccccc1)N(C)Cc1c([N+](=O)[O-])ncn1C ZINC000355784160 381804568 /nfs/dbraw/zinc/80/45/68/381804568.db2.gz IMJBDVQDLRQNMI-NSHDSACASA-N 0 0 274.324 2.521 20 5 CFBDRN CCc1nc(C(C)C)ccc1Cn1cnc([N+](=O)[O-])c1 ZINC000292640025 381814312 /nfs/dbraw/zinc/81/43/12/381814312.db2.gz LNFLKXLNIVWXAX-UHFFFAOYSA-N 0 0 274.324 2.920 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H](CO)C1CCCCC1 ZINC000292877483 381880582 /nfs/dbraw/zinc/88/05/82/381880582.db2.gz UMEDPFYIGGGWQM-LBPRGKRZSA-N 0 0 279.340 2.591 20 5 CFBDRN Cc1c(NC(=O)NC[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000299276890 381881286 /nfs/dbraw/zinc/88/12/86/381881286.db2.gz YZCKQUHHSCDZTM-NSHDSACASA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1ccoc1CNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000343621816 381848882 /nfs/dbraw/zinc/84/88/82/381848882.db2.gz VBEWKOWYURNWPE-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN C[C@@H]1CSC[C@@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000343624994 381850038 /nfs/dbraw/zinc/85/00/38/381850038.db2.gz JWTAMSXXEUOYQF-MUWHJKNJSA-N 0 0 273.745 2.807 20 5 CFBDRN Cc1c(CN[C@@H](C)c2ccon2)cccc1[N+](=O)[O-] ZINC000349234509 381854724 /nfs/dbraw/zinc/85/47/24/381854724.db2.gz DXDHCGOPHPEWFX-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1cc(C(=O)N2CCCc3ncccc32)cc([N+](=O)[O-])c1 ZINC000299244577 381869237 /nfs/dbraw/zinc/86/92/37/381869237.db2.gz VRPMLUHCJBJWMC-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1cccnc1 ZINC000292864740 381873126 /nfs/dbraw/zinc/87/31/26/381873126.db2.gz UCPAEFDJXNAHDZ-VIFPVBQESA-N 0 0 275.312 2.688 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H](O)CC2)ccc1Cl ZINC000292904008 381895712 /nfs/dbraw/zinc/89/57/12/381895712.db2.gz AQFMLUNIWORDMT-NSHDSACASA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000416705591 381897845 /nfs/dbraw/zinc/89/78/45/381897845.db2.gz LJUXETLEEKQKOL-WDEREUQCSA-N 0 0 289.335 2.755 20 5 CFBDRN CO[C@H](CNc1nc2ccccc2cc1[N+](=O)[O-])C1CC1 ZINC000292916715 381900087 /nfs/dbraw/zinc/90/00/87/381900087.db2.gz XXYCRXCWPMGHFT-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@H](CNc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000292916841 381901371 /nfs/dbraw/zinc/90/13/71/381901371.db2.gz XZVDJHXQCCEGMI-LLVKDONJSA-N 0 0 272.251 2.710 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@H](c2ccccc2)C1 ZINC000299342999 381903823 /nfs/dbraw/zinc/90/38/23/381903823.db2.gz VMQPYVVCLOQNAM-ZDUSSCGKSA-N 0 0 269.304 2.984 20 5 CFBDRN Cc1ccc(-c2nc(-c3ncncc3C)no2)cc1[N+](=O)[O-] ZINC000356918041 381905269 /nfs/dbraw/zinc/90/52/69/381905269.db2.gz HUZGEDZRRFNSQB-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1noc([C@H](C)NC/C=C\c2ccccc2[N+](=O)[O-])n1 ZINC000356933491 381911201 /nfs/dbraw/zinc/91/12/01/381911201.db2.gz ZZLBDIJFHOUMCZ-BXKUYDPTSA-N 0 0 288.307 2.650 20 5 CFBDRN Cc1noc(C)c1C(=O)COc1ccc(C)cc1[N+](=O)[O-] ZINC000186453027 381915426 /nfs/dbraw/zinc/91/54/26/381915426.db2.gz SWYBWOIDKMFNQP-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000362125423 381920521 /nfs/dbraw/zinc/92/05/21/381920521.db2.gz OHKDVKHKTKDSAW-UWVGGRQHSA-N 0 0 266.345 2.955 20 5 CFBDRN CCCCO[C@H]1C[C@H](Nc2c([N+](=O)[O-])ncn2C)C1(C)C ZINC000362127185 381922024 /nfs/dbraw/zinc/92/20/24/381922024.db2.gz YCIAEEBIMGXYSH-QWRGUYRKSA-N 0 0 296.371 2.724 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000186486902 381924754 /nfs/dbraw/zinc/92/47/54/381924754.db2.gz DLYBGNHSGGGPSG-WFASDCNBSA-N 0 0 276.336 2.893 20 5 CFBDRN Cc1cc(Cc2noc(-c3cccc([N+](=O)[O-])c3)n2)no1 ZINC000356891150 381889814 /nfs/dbraw/zinc/88/98/14/381889814.db2.gz DQPINSGZTUHKMZ-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN Cc1nocc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293027938 381957549 /nfs/dbraw/zinc/95/75/49/381957549.db2.gz RIMBXWYMSNSVCA-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN COc1cccc(N[C@@H]2C[C@H]2C(F)F)c1[N+](=O)[O-] ZINC000343813953 381959184 /nfs/dbraw/zinc/95/91/84/381959184.db2.gz UOXMFJMQJAGUCT-HTRCEHHLSA-N 0 0 258.224 2.669 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000186646953 381973416 /nfs/dbraw/zinc/97/34/16/381973416.db2.gz HGWIFMGPTMOZID-OLZOCXBDSA-N 0 0 274.320 2.776 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000299520278 381968890 /nfs/dbraw/zinc/96/88/90/381968890.db2.gz YBTBHOMQUVIQJC-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN CO[C@H](CC(C)C)Cn1c2cc([N+](=O)[O-])ccc2oc1=O ZINC000416919196 381969749 /nfs/dbraw/zinc/96/97/49/381969749.db2.gz MAOGQZINDXONBX-LLVKDONJSA-N 0 0 294.307 2.564 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCCOCC1CCC1 ZINC000416926150 381971509 /nfs/dbraw/zinc/97/15/09/381971509.db2.gz YQEHFAOREUKEHJ-UHFFFAOYSA-N 0 0 268.338 2.899 20 5 CFBDRN CCCN(CC(F)F)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000299523431 381971585 /nfs/dbraw/zinc/97/15/85/381971585.db2.gz XBAFXOFTMFZHCV-UHFFFAOYSA-N 0 0 286.278 2.641 20 5 CFBDRN C[C@@](CO)(CNc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000343793207 381944953 /nfs/dbraw/zinc/94/49/53/381944953.db2.gz OFIJKMDOUQCILX-INIZCTEOSA-N 0 0 286.331 2.957 20 5 CFBDRN CO[C@@H](C)CN(C)c1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000343803419 381951385 /nfs/dbraw/zinc/95/13/85/381951385.db2.gz GJDURGYVQKZYMA-NSHDSACASA-N 0 0 289.335 2.923 20 5 CFBDRN Cn1ccnc1-c1noc(/C=C\c2cccc([N+](=O)[O-])c2)n1 ZINC000356995746 381951498 /nfs/dbraw/zinc/95/14/98/381951498.db2.gz ROEFZCLCBCJJSW-WAYWQWQTSA-N 0 0 297.274 2.549 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3C[C@@H]3C(F)F)c21 ZINC000343806744 381953818 /nfs/dbraw/zinc/95/38/18/381953818.db2.gz KWPHTGCNSORZFC-XPUUQOCRSA-N 0 0 280.234 2.604 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H]1C(F)F ZINC000343873419 381996639 /nfs/dbraw/zinc/99/66/39/381996639.db2.gz UESCOADSWHVAPB-GXSJLCMTSA-N 0 0 272.251 2.807 20 5 CFBDRN COC1(C)CN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)C1 ZINC000362313069 382002620 /nfs/dbraw/zinc/00/26/20/382002620.db2.gz RLWUYGJUVGECKF-UHFFFAOYSA-N 0 0 278.352 2.939 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000293150799 382009882 /nfs/dbraw/zinc/00/98/82/382009882.db2.gz SKHMIVRHSDNPQM-KGLIPLIRSA-N 0 0 293.319 2.843 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Cn1ccnc1C(C)C ZINC000362338872 382014302 /nfs/dbraw/zinc/01/43/02/382014302.db2.gz HEMDVIVPIPMFBM-UHFFFAOYSA-N 0 0 275.308 2.972 20 5 CFBDRN CCCNC(=O)CCCNc1c(C)ccc([N+](=O)[O-])c1C ZINC000417013684 381984797 /nfs/dbraw/zinc/98/47/97/381984797.db2.gz DCMHEJJDCMQEMZ-UHFFFAOYSA-N 0 0 293.367 2.930 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)n1cccc/c1=N\C(C)C ZINC000299566436 381985295 /nfs/dbraw/zinc/98/52/95/381985295.db2.gz ADFUHZYKSOGNHO-BMRADRMJSA-N 0 0 299.330 2.702 20 5 CFBDRN Cc1nc(N[C@H](C)c2ccc3n[nH]cc3c2)ccc1[N+](=O)[O-] ZINC000343976690 382044890 /nfs/dbraw/zinc/04/48/90/382044890.db2.gz RHJGNGBRVZNYAV-SECBINFHSA-N 0 0 297.318 2.770 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000374644688 382050959 /nfs/dbraw/zinc/05/09/59/382050959.db2.gz HVJIKUHCQCWSQR-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1sccc1F ZINC000344008509 382064572 /nfs/dbraw/zinc/06/45/72/382064572.db2.gz JRLXIOKMNLVRQF-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCO[C@@H]1C ZINC000362345936 382018022 /nfs/dbraw/zinc/01/80/22/382018022.db2.gz RABCBTJCFVIXGL-SKDRFNHKSA-N 0 0 278.308 2.521 20 5 CFBDRN CCOc1cc(N[C@@H](C)c2nnc(C)[nH]2)ccc1[N+](=O)[O-] ZINC000343918140 382018491 /nfs/dbraw/zinc/01/84/91/382018491.db2.gz QLCJZOCAXGDQSH-QMMMGPOBSA-N 0 0 291.311 2.593 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000186821457 382024248 /nfs/dbraw/zinc/02/42/48/382024248.db2.gz AYAQIPBZLNNIIL-NWDGAFQWSA-N 0 0 274.320 2.776 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC=C(c3ccncc3)C2)s1 ZINC000343944444 382031840 /nfs/dbraw/zinc/03/18/40/382031840.db2.gz JXWAIIYNCPHCMW-UHFFFAOYSA-N 0 0 288.332 2.740 20 5 CFBDRN CC(C)CN(CC(C)(C)C)c1c([N+](=O)[O-])ncn1C ZINC000351873850 382068186 /nfs/dbraw/zinc/06/81/86/382068186.db2.gz DZOFGOORZXBCTP-UHFFFAOYSA-N 0 0 268.361 2.837 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H]2C2CC2)c1 ZINC000362373561 382033843 /nfs/dbraw/zinc/03/38/43/382033843.db2.gz MQQBCOOLOZTUTK-MNOVXSKESA-N 0 0 276.292 2.592 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3C[C@@H]3C3CC3)c21 ZINC000362374383 382034458 /nfs/dbraw/zinc/03/44/58/382034458.db2.gz ACWMSPATWGIDBF-MWLCHTKSSA-N 0 0 270.292 2.748 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H]2C2CC2)c(Cl)c1 ZINC000362373725 382034750 /nfs/dbraw/zinc/03/47/50/382034750.db2.gz OLJGZPURHDRQSN-PSASIEDQSA-N 0 0 253.689 2.854 20 5 CFBDRN Cc1cnc(-c2noc(-c3cccc([N+](=O)[O-])c3C)n2)nc1 ZINC000357172696 382038588 /nfs/dbraw/zinc/03/85/88/382038588.db2.gz NDWWGOBCDRJXIO-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN C[C@@H]1CCCN1C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000299735217 382039753 /nfs/dbraw/zinc/03/97/53/382039753.db2.gz YFEBMXUEVVCINK-TYBABMIJSA-N 0 0 260.293 2.619 20 5 CFBDRN Cc1nc(C)c(CCNc2ncccc2[N+](=O)[O-])s1 ZINC000301199231 382104002 /nfs/dbraw/zinc/10/40/02/382104002.db2.gz LMAJUHUFRZRBEW-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CC[C@H](C)CN(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000301243094 382118855 /nfs/dbraw/zinc/11/88/55/382118855.db2.gz KKNRVFCTBYXDFG-QMMMGPOBSA-N 0 0 268.342 2.786 20 5 CFBDRN CCCCCNc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000301070693 382072741 /nfs/dbraw/zinc/07/27/41/382072741.db2.gz HCBOSXHTOMOKGB-UHFFFAOYSA-N 0 0 265.313 2.557 20 5 CFBDRN C[C@H]1CN(CC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000293307606 382072723 /nfs/dbraw/zinc/07/27/23/382072723.db2.gz FHSBKACUHMGNLF-IUCAKERBSA-N 0 0 297.742 2.527 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000357261573 382080161 /nfs/dbraw/zinc/08/01/61/382080161.db2.gz RACSRQVQOJFIHS-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000301108471 382082796 /nfs/dbraw/zinc/08/27/96/382082796.db2.gz VEZFFWUIMQYSHA-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2ncc([N+](=O)[O-])cn2)cc1 ZINC000301123291 382086643 /nfs/dbraw/zinc/08/66/43/382086643.db2.gz UATGWQZAHILQAB-UHFFFAOYSA-N 0 0 292.276 2.585 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccc(C)cc1 ZINC000301130049 382087403 /nfs/dbraw/zinc/08/74/03/382087403.db2.gz ZPHCTNRLENSGRI-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN C[C@H]1CCCCN(C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000187091214 382097244 /nfs/dbraw/zinc/09/72/44/382097244.db2.gz ANZZXCOEHLVTPT-LBPRGKRZSA-N 0 0 292.335 2.622 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1cn2ccccc2n1 ZINC000301172525 382098158 /nfs/dbraw/zinc/09/81/58/382098158.db2.gz FBKHUJZXDPJTGH-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN C/C=C/C=C\c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357313700 382101258 /nfs/dbraw/zinc/10/12/58/382101258.db2.gz KWJAZJJHFDVBNW-IAROGAJJSA-N 0 0 272.264 2.553 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCc1cscn1 ZINC000301561544 382177371 /nfs/dbraw/zinc/17/73/71/382177371.db2.gz UUSCQZUBMCIJEA-UHFFFAOYSA-N 0 0 293.348 2.852 20 5 CFBDRN COC(=O)C1(CNc2ccc([N+](=O)[O-])nc2)CCCCC1 ZINC000357454454 382178710 /nfs/dbraw/zinc/17/87/10/382178710.db2.gz LKLYPBYKQPSSGP-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN C[C@@H]1C[C@H](c2noc(-c3cccc([N+](=O)[O-])c3)n2)CCO1 ZINC000357465244 382182547 /nfs/dbraw/zinc/18/25/47/382182547.db2.gz IAYOLCOJWRKZDO-NXEZZACHSA-N 0 0 289.291 2.927 20 5 CFBDRN CN(Cc1cnccn1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000301281635 382135357 /nfs/dbraw/zinc/13/53/57/382135357.db2.gz KSAFYSIPDJGGLU-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN CN(c1ncccc1[N+](=O)[O-])[C@@H]1CCc2ccccc2C1 ZINC000301290610 382138785 /nfs/dbraw/zinc/13/87/85/382138785.db2.gz QCEOLCFZDHYIKA-CQSZACIVSA-N 0 0 283.331 2.984 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN[C@@H](CO)c1ccsc1 ZINC000352031939 382142850 /nfs/dbraw/zinc/14/28/50/382142850.db2.gz IGNFGWSYNFHESA-LBPRGKRZSA-N 0 0 296.323 2.619 20 5 CFBDRN CCOc1cc(C)ccc1CNc1c([N+](=O)[O-])ncn1C ZINC000362560568 382146067 /nfs/dbraw/zinc/14/60/67/382146067.db2.gz NAGGNXPXIBNWDB-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN Cc1nn(C)c(N2CCC(CC(C)C)CC2)c1[N+](=O)[O-] ZINC000301694272 382186075 /nfs/dbraw/zinc/18/60/75/382186075.db2.gz ZVSJLSKCYPGRSI-UHFFFAOYSA-N 0 0 280.372 2.899 20 5 CFBDRN Cc1ccnc(NC[C@@](C)(O)CCC(C)C)c1[N+](=O)[O-] ZINC000301350946 382155699 /nfs/dbraw/zinc/15/56/99/382155699.db2.gz LINFBNNHCZESHB-AWEZNQCLSA-N 0 0 281.356 2.897 20 5 CFBDRN CC(C)c1noc(NCCNc2ccc([N+](=O)[O-])cc2)n1 ZINC000301359309 382157369 /nfs/dbraw/zinc/15/73/69/382157369.db2.gz ZRIBHYKDTZBWAP-UHFFFAOYSA-N 0 0 291.311 2.625 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000301354932 382158428 /nfs/dbraw/zinc/15/84/28/382158428.db2.gz NZSZVYIQMCVRQY-RYUDHWBXSA-N 0 0 277.324 2.749 20 5 CFBDRN C[C@@H]1C[C@@H](c2noc(-c3ccccc3[N+](=O)[O-])n2)CCO1 ZINC000357477927 382185140 /nfs/dbraw/zinc/18/51/40/382185140.db2.gz VTWYXTMLSVRXDU-ZJUUUORDSA-N 0 0 289.291 2.927 20 5 CFBDRN CCCC[C@@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])C1CCC1 ZINC000362602133 382168726 /nfs/dbraw/zinc/16/87/26/382168726.db2.gz NQZDPQQKGNOXLS-LLVKDONJSA-N 0 0 294.355 2.715 20 5 CFBDRN C[C@@H](CCNC(=O)c1cc([N+](=O)[O-])nn1C)CC(C)(C)C ZINC000344201537 382195132 /nfs/dbraw/zinc/19/51/32/382195132.db2.gz VIHJQGXDNWNLFF-JTQLQIEISA-N 0 0 296.371 2.521 20 5 CFBDRN CC1(Nc2ncccc2[N+](=O)[O-])Cc2ccccc2C1 ZINC000301941774 382200840 /nfs/dbraw/zinc/20/08/40/382200840.db2.gz XAOVGJVNUAINDH-UHFFFAOYSA-N 0 0 269.304 2.959 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000357517522 382203009 /nfs/dbraw/zinc/20/30/09/382203009.db2.gz UDAMPKQWZXIVTL-ZJUUUORDSA-N 0 0 279.340 2.930 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H]1CC12CC2 ZINC000418977490 382203988 /nfs/dbraw/zinc/20/39/88/382203988.db2.gz KFSVUCYAKCJFOO-SSDOTTSWSA-N 0 0 253.689 2.855 20 5 CFBDRN Cc1ncccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000362702335 382204498 /nfs/dbraw/zinc/20/44/98/382204498.db2.gz CDQIHBBTQJDQMD-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(c3ccccc3)CC2)nc1 ZINC000302126428 382208929 /nfs/dbraw/zinc/20/89/29/382208929.db2.gz WLQYUISGOORGNI-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN C[C@@H]1C[C@H](c2cccnc2)N(c2ncccc2[N+](=O)[O-])C1 ZINC000302144700 382210768 /nfs/dbraw/zinc/21/07/68/382210768.db2.gz QOOXRZUVSHRQPN-BXUZGUMPSA-N 0 0 284.319 2.972 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000187650136 382237062 /nfs/dbraw/zinc/23/70/62/382237062.db2.gz FPPSIUKYONRPLG-UHFFFAOYSA-N 0 0 278.352 2.936 20 5 CFBDRN COc1ccc(OCC2(OC)CCC2)cc1[N+](=O)[O-] ZINC000418999421 382212384 /nfs/dbraw/zinc/21/23/84/382212384.db2.gz UJQWBILETOHGNK-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000362720828 382214444 /nfs/dbraw/zinc/21/44/44/382214444.db2.gz AWGPDOYLADUFRF-LBPRGKRZSA-N 0 0 278.308 2.799 20 5 CFBDRN COCCCCN(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000419015709 382217519 /nfs/dbraw/zinc/21/75/19/382217519.db2.gz NPHYNEFLRNZNKN-UHFFFAOYSA-N 0 0 273.720 2.506 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H](CCO)c1ccco1 ZINC000302217421 382218302 /nfs/dbraw/zinc/21/83/02/382218302.db2.gz JSBXOSPQGILSPF-SNVBAGLBSA-N 0 0 280.255 2.863 20 5 CFBDRN Cc1cc(CNc2ncc([N+](=O)[O-])cn2)ccc1Cl ZINC000302224115 382220220 /nfs/dbraw/zinc/22/02/20/382220220.db2.gz NYMOOTVGRVJNEJ-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cn1)c1cccc(F)c1F ZINC000302234831 382224842 /nfs/dbraw/zinc/22/48/42/382224842.db2.gz UMYTXFVQFVTQQM-ZETCQYMHSA-N 0 0 280.234 2.836 20 5 CFBDRN Cc1nc(Oc2cccc3c2OCCO3)ccc1[N+](=O)[O-] ZINC000302235915 382226955 /nfs/dbraw/zinc/22/69/55/382226955.db2.gz VHGZZZJSONARCH-UHFFFAOYSA-N 0 0 288.259 2.862 20 5 CFBDRN COc1ccc(CNc2ncc([N+](=O)[O-])cn2)cc1Cl ZINC000302241571 382228534 /nfs/dbraw/zinc/22/85/34/382228534.db2.gz XZMGGIVLBHONPQ-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC2(C1)CCCOC2 ZINC000377158130 382229746 /nfs/dbraw/zinc/22/97/46/382229746.db2.gz JMYRNAYFPKCKDL-UHFFFAOYSA-N 0 0 282.727 2.865 20 5 CFBDRN CC(C)Cc1nsc(Oc2cccnc2[N+](=O)[O-])n1 ZINC000302249289 382230689 /nfs/dbraw/zinc/23/06/89/382230689.db2.gz WFCQTIFVWCWVLM-UHFFFAOYSA-N 0 0 280.309 2.832 20 5 CFBDRN CC1(CCNC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)CC1 ZINC000352130329 382187307 /nfs/dbraw/zinc/18/73/07/382187307.db2.gz YGANMZXETLSUGI-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN Cn1c(=O)ccc2ccc(Nc3ncccc3[N+](=O)[O-])cc21 ZINC000362666186 382193243 /nfs/dbraw/zinc/19/32/43/382193243.db2.gz PNEVCTYJGOXEGV-UHFFFAOYSA-N 0 0 296.286 2.585 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c(F)c1 ZINC000344197434 382194471 /nfs/dbraw/zinc/19/44/71/382194471.db2.gz IICJFGSHJRAPGH-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN C[C@H](C(=O)N(C)c1ccc([N+](=O)[O-])nc1)c1ccsc1 ZINC000344197387 382194483 /nfs/dbraw/zinc/19/44/83/382194483.db2.gz GTAUJDKIWJODGH-VIFPVBQESA-N 0 0 291.332 2.818 20 5 CFBDRN CO[C@@]1(C)CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000357685398 382279029 /nfs/dbraw/zinc/27/90/29/382279029.db2.gz AMTQFUFCOFKWFD-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC(=O)N1CCCC1 ZINC000302638614 382280569 /nfs/dbraw/zinc/28/05/69/382280569.db2.gz DIPQPSINNZVMQL-UHFFFAOYSA-N 0 0 297.742 2.591 20 5 CFBDRN C[C@H](Nc1nc2ccccc2cc1[N+](=O)[O-])c1ccon1 ZINC000352367071 382292492 /nfs/dbraw/zinc/29/24/92/382292492.db2.gz LHMJOLLKHMPTMK-VIFPVBQESA-N 0 0 284.275 2.726 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352355620 382286815 /nfs/dbraw/zinc/28/68/15/382286815.db2.gz IWNIDZNIXPTXFR-STQMWFEESA-N 0 0 287.319 2.714 20 5 CFBDRN Cc1cccc(N2CC[C@H]([C@H]3CCOC3)C2)c1[N+](=O)[O-] ZINC000364255813 382292603 /nfs/dbraw/zinc/29/26/03/382292603.db2.gz OOOOQSAYTPSMEX-STQMWFEESA-N 0 0 276.336 2.766 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@@H]1CCCS1 ZINC000352359001 382288675 /nfs/dbraw/zinc/28/86/75/382288675.db2.gz AUKROAAXPWYVIH-NSHDSACASA-N 0 0 289.360 2.872 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000187668637 382239928 /nfs/dbraw/zinc/23/99/28/382239928.db2.gz AYANLMVIACBJPG-GXSJLCMTSA-N 0 0 278.312 2.642 20 5 CFBDRN CCOC(C)(C)CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000187667132 382240650 /nfs/dbraw/zinc/24/06/50/382240650.db2.gz PLNDJFGYIJWLEI-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1nc(N(C)CC2(O)CCCCCC2)ccc1[N+](=O)[O-] ZINC000302347886 382242300 /nfs/dbraw/zinc/24/23/00/382242300.db2.gz BFJYTXLOAHTBJQ-UHFFFAOYSA-N 0 0 293.367 2.820 20 5 CFBDRN Cc1cccc(N2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000302472740 382253648 /nfs/dbraw/zinc/25/36/48/382253648.db2.gz DHXOIGATANFLEJ-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@H](C)C(=O)NC(C)(C)C)c1 ZINC000302478653 382255241 /nfs/dbraw/zinc/25/52/41/382255241.db2.gz RABUNLDKXVUAOX-LLVKDONJSA-N 0 0 293.367 2.643 20 5 CFBDRN Cc1cccc(NCc2cccc(C(N)=O)c2)c1[N+](=O)[O-] ZINC000302510976 382259286 /nfs/dbraw/zinc/25/92/86/382259286.db2.gz SPESCLMSDFJYQY-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cc1cccc(NCC[C@@H](O)C(C)C)c1[N+](=O)[O-] ZINC000302509074 382259924 /nfs/dbraw/zinc/25/99/24/382259924.db2.gz RUIMUDCKJPKBPC-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000302515332 382260860 /nfs/dbraw/zinc/26/08/60/382260860.db2.gz AJWKECUZLLQLCM-KKZNHRDASA-N 0 0 283.715 2.623 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000302522162 382262095 /nfs/dbraw/zinc/26/20/95/382262095.db2.gz JDYIMDDZPWRONH-VHSXEESVSA-N 0 0 293.323 2.767 20 5 CFBDRN CC[C@H](C)n1ncc(Nc2c([N+](=O)[O-])c(C)nn2C)c1C ZINC000357637120 382262398 /nfs/dbraw/zinc/26/23/98/382262398.db2.gz PHXFAOFTOBCZFL-QMMMGPOBSA-N 0 0 292.343 2.856 20 5 CFBDRN Cc1cccc(N[C@@H]2C[C@@H]3OCCC[C@H]23)c1[N+](=O)[O-] ZINC000302543215 382265028 /nfs/dbraw/zinc/26/50/28/382265028.db2.gz ICRGYDMHRXLWFI-RTXFEEFZSA-N 0 0 262.309 2.883 20 5 CFBDRN COc1cccc(N[C@@H]2C[C@H]3OCCC[C@H]23)c1[N+](=O)[O-] ZINC000302583360 382272367 /nfs/dbraw/zinc/27/23/67/382272367.db2.gz UNZNRFUFHVUPAZ-IRUJWGPZSA-N 0 0 278.308 2.583 20 5 CFBDRN CN(CC[C@H]1CCCO1)c1ccc(F)cc1[N+](=O)[O-] ZINC000302732330 382305174 /nfs/dbraw/zinc/30/51/74/382305174.db2.gz XBONZAIINULNBW-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN COc1cc(C(=O)NC[C@H](C)C(C)C)cc([N+](=O)[O-])c1C ZINC000187964958 382312234 /nfs/dbraw/zinc/31/22/34/382312234.db2.gz PVMZGVFMJRSCPO-JTQLQIEISA-N 0 0 294.351 2.934 20 5 CFBDRN Cc1nc(Sc2cccc(C(N)=O)c2)ccc1[N+](=O)[O-] ZINC000357774312 382314790 /nfs/dbraw/zinc/31/47/90/382314790.db2.gz JYYHNLHYTHKTKU-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@H](CO)c2ccccc21 ZINC000293870301 382316443 /nfs/dbraw/zinc/31/64/43/382316443.db2.gz IXRGDQWOAIONNY-CQSZACIVSA-N 0 0 298.342 2.999 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC1(C(C)C)CC1 ZINC000293874737 382317028 /nfs/dbraw/zinc/31/70/28/382317028.db2.gz IBIMJTFFMOFVNK-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN COC(=O)c1cnc(N[C@H]2CCCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000302783246 382318185 /nfs/dbraw/zinc/31/81/85/382318185.db2.gz TYEPHOGVHIQTBP-ONGXEEELSA-N 0 0 293.323 2.767 20 5 CFBDRN COC(=O)c1cnc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000302789772 382319276 /nfs/dbraw/zinc/31/92/76/382319276.db2.gz YBZJNHNCYRHUQV-UHFFFAOYSA-N 0 0 292.222 2.708 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN[C@H]2CCO[C@@H]2C2CC2)c(F)c1 ZINC000352414613 382319764 /nfs/dbraw/zinc/31/97/64/382319764.db2.gz UMSIPRIPIVJIHE-UONOGXRCSA-N 0 0 298.289 2.530 20 5 CFBDRN CC(C)C1(NC(=O)CCc2cccc([N+](=O)[O-])c2)CC1 ZINC000293885588 382321314 /nfs/dbraw/zinc/32/13/14/382321314.db2.gz IYWOFSMQVQRYCJ-UHFFFAOYSA-N 0 0 276.336 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@@H]2OCCC[C@H]2C1 ZINC000302821058 382326791 /nfs/dbraw/zinc/32/67/91/382326791.db2.gz QBXWNNVZHZUCIH-HZMBPMFUSA-N 0 0 280.299 2.739 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000188081426 382341607 /nfs/dbraw/zinc/34/16/07/382341607.db2.gz LAERURNGLGSSDQ-VOTSOKGWSA-N 0 0 283.287 2.525 20 5 CFBDRN C[C@@H]1CC[C@](CO)([N@H+](C)Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000187918517 382295902 /nfs/dbraw/zinc/29/59/02/382295902.db2.gz GEDIOYFVSRSUAY-ABAIWWIYSA-N 0 0 296.342 2.717 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@@H](CO)c3ccccc32)ccc1[N+](=O)[O-] ZINC000364282205 382297828 /nfs/dbraw/zinc/29/78/28/382297828.db2.gz MSPWFZZOKNXZGM-SMDDNHRTSA-N 0 0 299.330 2.931 20 5 CFBDRN CC(C)[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])C(C)(C)O ZINC000357742171 382301236 /nfs/dbraw/zinc/30/12/36/382301236.db2.gz FTGXBZLRGQNKGQ-SNVBAGLBSA-N 0 0 287.747 2.851 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000346523423 382396467 /nfs/dbraw/zinc/39/64/67/382396467.db2.gz LDEVYHDHUAIVCO-LLVKDONJSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1nccnc1[C@H](C)NCc1ccccc1[N+](=O)[O-] ZINC000188170538 382360333 /nfs/dbraw/zinc/36/03/33/382360333.db2.gz HQSUPUCNNXJBIN-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN O=C1O[C@H](COc2c(F)cccc2[N+](=O)[O-])CC12CCC2 ZINC000188186805 382362333 /nfs/dbraw/zinc/36/23/33/382362333.db2.gz ZJOFGCIDCPAORM-VIFPVBQESA-N 0 0 295.266 2.599 20 5 CFBDRN CCCC[C@H](COC)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000346446321 382364320 /nfs/dbraw/zinc/36/43/20/382364320.db2.gz LPNXLAFINAESJJ-SECBINFHSA-N 0 0 298.368 2.921 20 5 CFBDRN CCCCCN(C(=O)c1[nH]nc(CC)c1[N+](=O)[O-])C(C)C ZINC000188242633 382375462 /nfs/dbraw/zinc/37/54/62/382375462.db2.gz TVOTUTAERVABNL-UHFFFAOYSA-N 0 0 296.371 2.921 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@]3(CCCO3)[C@@H]2C2CC2)cc1 ZINC000294026689 382384717 /nfs/dbraw/zinc/38/47/17/382384717.db2.gz NVIGRRWQEKSSIA-JKSUJKDBSA-N 0 0 288.347 2.738 20 5 CFBDRN CC(=O)c1ccc(NC[C@]2(O)CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000294035576 382388205 /nfs/dbraw/zinc/38/82/05/382388205.db2.gz LMHZKCQOMMKMBH-ZUZCIYMTSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1ccc(OCc2cnc(C)cn2)c([N+](=O)[O-])c1 ZINC000352545043 382389978 /nfs/dbraw/zinc/38/99/78/382389978.db2.gz VIFZUOZOZVUIIL-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN COc1cc(CN(C)Cc2nccs2)ccc1[N+](=O)[O-] ZINC000352546102 382390091 /nfs/dbraw/zinc/39/00/91/382390091.db2.gz PKTBCTLVJQFNAS-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN COc1cc(N2CCCO[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000294042425 382391080 /nfs/dbraw/zinc/39/10/80/382391080.db2.gz LTYRLSZBAQHVDJ-HNNXBMFYSA-N 0 0 294.351 2.855 20 5 CFBDRN CCC1(CC)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000294045846 382392689 /nfs/dbraw/zinc/39/26/89/382392689.db2.gz FPDZTCPKWGQYAM-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC(C2CCCC2)C1 ZINC000365428494 382394261 /nfs/dbraw/zinc/39/42/61/382394261.db2.gz NGVJPAUMGPSLIH-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN C[C@@H](C[C@@H]1CCCO1)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302895690 382346988 /nfs/dbraw/zinc/34/69/88/382346988.db2.gz QUSAEBKEZIFTNM-QWRGUYRKSA-N 0 0 291.351 2.932 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H]1CC[C@H](C(N)=O)CC1 ZINC000420612811 382426119 /nfs/dbraw/zinc/42/61/19/382426119.db2.gz WLSFNYNWMXFVET-HAQNSBGRSA-N 0 0 291.351 2.607 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC(C)(F)F ZINC000294137992 382430209 /nfs/dbraw/zinc/43/02/09/382430209.db2.gz GCJXSYXWEKQOQV-UHFFFAOYSA-N 0 0 262.260 2.577 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)n2)C[C@@H](CC)O1 ZINC000420623249 382431205 /nfs/dbraw/zinc/43/12/05/382431205.db2.gz JPJNPWPWFBJBLK-VXGBXAGGSA-N 0 0 279.340 2.692 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCCC2(O)CCC2)c(F)c1 ZINC000420626598 382433146 /nfs/dbraw/zinc/43/31/46/382433146.db2.gz COUDEVUZOBMLRP-UHFFFAOYSA-N 0 0 286.278 2.980 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)[C@H]1C ZINC000294156637 382437816 /nfs/dbraw/zinc/43/78/16/382437816.db2.gz OXUJKAMMVAFMLQ-YUMQZZPRSA-N 0 0 268.700 2.729 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCc1ccc(F)c(F)c1 ZINC000346613839 382438035 /nfs/dbraw/zinc/43/80/35/382438035.db2.gz RZPUYCWECZSBBA-UHFFFAOYSA-N 0 0 267.235 2.621 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H](CCO)CC1 ZINC000420637248 382438329 /nfs/dbraw/zinc/43/83/29/382438329.db2.gz HUDSFAMDOPYWDV-SNVBAGLBSA-N 0 0 299.758 2.632 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H]3CCCC[C@H]32)ccc1[N+](=O)[O-] ZINC000365763063 382439966 /nfs/dbraw/zinc/43/99/66/382439966.db2.gz MIUOEHLGZUAMSI-TZMCWYRMSA-N 0 0 274.320 2.918 20 5 CFBDRN CCOc1cc(OCC2CCOCC2)ccc1[N+](=O)[O-] ZINC000188585754 382439992 /nfs/dbraw/zinc/43/99/92/382439992.db2.gz XCPWVSQHUILMNY-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN COC1([C@H](C)Nc2ccc([N+](=O)[O-])cc2F)CCOCC1 ZINC000420639309 382440019 /nfs/dbraw/zinc/44/00/19/382440019.db2.gz KOMKDKAEJJCHLI-JTQLQIEISA-N 0 0 298.314 2.730 20 5 CFBDRN CN(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1cccnc1 ZINC000358077288 382440381 /nfs/dbraw/zinc/44/03/81/382440381.db2.gz OIRYFEUCFWOFRX-UHFFFAOYSA-N 0 0 296.286 2.748 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CCC(C)(C)C1 ZINC000358074246 382440487 /nfs/dbraw/zinc/44/04/87/382440487.db2.gz JOFAZDCQTXUMJT-NSHDSACASA-N 0 0 291.351 2.949 20 5 CFBDRN CON1CCC(Nc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000420640326 382441074 /nfs/dbraw/zinc/44/10/74/382441074.db2.gz MKJLPNMZXGCSLX-UHFFFAOYSA-N 0 0 285.731 2.686 20 5 CFBDRN CCOc1cc(OCc2cc(C)on2)ccc1[N+](=O)[O-] ZINC000188615146 382443908 /nfs/dbraw/zinc/44/39/08/382443908.db2.gz KGXDKSMISJUBAF-UHFFFAOYSA-N 0 0 278.264 2.869 20 5 CFBDRN CC[C@@H](C)CNc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000420649821 382445087 /nfs/dbraw/zinc/44/50/87/382445087.db2.gz GUKXXLAKRWFATG-SSDOTTSWSA-N 0 0 292.382 2.518 20 5 CFBDRN Cc1cc(CNc2nccc(C)c2[N+](=O)[O-])cc(C)n1 ZINC000420650394 382445157 /nfs/dbraw/zinc/44/51/57/382445157.db2.gz HSFVKVVNLDMEPE-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C[C@@H]1CCC[C@]1(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000294059864 382399351 /nfs/dbraw/zinc/39/93/51/382399351.db2.gz MQRZJMSEEWTSPO-PELKAZGASA-N 0 0 285.731 2.606 20 5 CFBDRN COC(C)(C)CCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000346537852 382401996 /nfs/dbraw/zinc/40/19/96/382401996.db2.gz XXHAQQABZBAVAM-UHFFFAOYSA-N 0 0 264.325 2.772 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420577690 382409408 /nfs/dbraw/zinc/40/94/08/382409408.db2.gz BADMCJNYDODMTA-ONGXEEELSA-N 0 0 277.324 2.684 20 5 CFBDRN Cn1ccnc1[C@@H]1CCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000378366079 382447423 /nfs/dbraw/zinc/44/74/23/382447423.db2.gz AERFITHMQDQKKB-AWEZNQCLSA-N 0 0 286.335 2.665 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H](O)CC1CCCC1 ZINC000188648498 382448955 /nfs/dbraw/zinc/44/89/55/382448955.db2.gz YFSKNNOUZHVVIB-ZDUSSCGKSA-N 0 0 295.335 2.923 20 5 CFBDRN CO[C@@H](C)[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000188648814 382449134 /nfs/dbraw/zinc/44/91/34/382449134.db2.gz CBBBTASHISUEPU-QWRGUYRKSA-N 0 0 295.339 2.757 20 5 CFBDRN CSc1ccc(C(=O)N2CCC[C@H]2CF)cc1[N+](=O)[O-] ZINC000294184708 382450631 /nfs/dbraw/zinc/45/06/31/382450631.db2.gz UANUTKJGQCCYAL-JTQLQIEISA-N 0 0 298.339 2.891 20 5 CFBDRN CS[C@@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000294192411 382452599 /nfs/dbraw/zinc/45/25/99/382452599.db2.gz GBYAEXCKJUPJEF-LLVKDONJSA-N 0 0 280.349 2.739 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@H](C)C3(CCC3)C2)c1[N+](=O)[O-] ZINC000378412751 382457067 /nfs/dbraw/zinc/45/70/67/382457067.db2.gz BFBPUMFOWHRWTR-LLVKDONJSA-N 0 0 292.383 2.907 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCCC(C)(F)F)c1F ZINC000294213959 382462290 /nfs/dbraw/zinc/46/22/90/382462290.db2.gz MUDSZTMZTORNLA-UHFFFAOYSA-N 0 0 290.241 2.817 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1C1CC1 ZINC000365967765 382464829 /nfs/dbraw/zinc/46/48/29/382464829.db2.gz FWBKRKBQZGVMJX-HNNXBMFYSA-N 0 0 288.347 2.928 20 5 CFBDRN COC(=O)CCCCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000188736390 382467343 /nfs/dbraw/zinc/46/73/43/382467343.db2.gz XFNHFQLHWGJVIM-UHFFFAOYSA-N 0 0 294.307 2.575 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000358189410 382497197 /nfs/dbraw/zinc/49/71/97/382497197.db2.gz UKFIJMSDHMSYPY-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@@H](C)CC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000188865949 382495047 /nfs/dbraw/zinc/49/50/47/382495047.db2.gz SAHMBMSJGUVVLP-SNVBAGLBSA-N 0 0 250.298 2.647 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000358161799 382478329 /nfs/dbraw/zinc/47/83/29/382478329.db2.gz OPKUKTSPBYQJED-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cn2)Cc2ccccc2O1 ZINC000366186255 382482455 /nfs/dbraw/zinc/48/24/55/382482455.db2.gz OSPJVDXQFDQPHW-LLVKDONJSA-N 0 0 285.303 2.777 20 5 CFBDRN C[C@H](NC(=O)CSC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000294263158 382484776 /nfs/dbraw/zinc/48/47/76/382484776.db2.gz ALIHLOPBAHHXNG-VIFPVBQESA-N 0 0 280.349 2.668 20 5 CFBDRN CCc1nn(C)c(N2CCCC3(CCC3)C2)c1[N+](=O)[O-] ZINC000366524228 382525480 /nfs/dbraw/zinc/52/54/80/382525480.db2.gz CCXBXVBZSCUVNF-UHFFFAOYSA-N 0 0 278.356 2.661 20 5 CFBDRN Cc1nn([C@@H]2CCc3ccc(F)cc32)cc1[N+](=O)[O-] ZINC000294309299 382503779 /nfs/dbraw/zinc/50/37/79/382503779.db2.gz VSXDSPOFAFUONR-GFCCVEGCSA-N 0 0 261.256 2.774 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H]2CCC[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000188913170 382504395 /nfs/dbraw/zinc/50/43/95/382504395.db2.gz KEBKTJRSEAHOQS-ZJUUUORDSA-N 0 0 294.355 2.579 20 5 CFBDRN CC1(C)CCC[C@@H]1CNc1ncc([N+](=O)[O-])cn1 ZINC000294325778 382510391 /nfs/dbraw/zinc/51/03/91/382510391.db2.gz ZRHAULUDKSHZCK-SECBINFHSA-N 0 0 250.302 2.623 20 5 CFBDRN C[C@@H](CCNC(=O)c1c([N+](=O)[O-])cnn1C)CC(C)(C)C ZINC000188949264 382511186 /nfs/dbraw/zinc/51/11/86/382511186.db2.gz PWSNCYWPHBKKLG-JTQLQIEISA-N 0 0 296.371 2.521 20 5 CFBDRN Cc1cc(N[C@@H](CO)CC(C)C)c(F)cc1[N+](=O)[O-] ZINC000382287312 382528693 /nfs/dbraw/zinc/52/86/93/382528693.db2.gz SWTGMIIPCZEAQQ-SNVBAGLBSA-N 0 0 270.304 2.861 20 5 CFBDRN COc1cccc(-c2nc([C@H]3CCCO3)no2)c1[N+](=O)[O-] ZINC000346795587 382514312 /nfs/dbraw/zinc/51/43/12/382514312.db2.gz MYTBTFNGHQLQEZ-SNVBAGLBSA-N 0 0 291.263 2.505 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@@H]1C(F)F ZINC000353178607 382515966 /nfs/dbraw/zinc/51/59/66/382515966.db2.gz XZNRNYCNUZLGAQ-LLVKDONJSA-N 0 0 274.242 2.963 20 5 CFBDRN C[C@H](NC(=O)[C@@]12C[C@@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000421445167 382539659 /nfs/dbraw/zinc/53/96/59/382539659.db2.gz KHBTXCJBSVCAOL-WBIUFABUSA-N 0 0 274.320 2.962 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000421447388 382540948 /nfs/dbraw/zinc/54/09/48/382540948.db2.gz NANVMLZKRRHYGW-UFBFGSQYSA-N 0 0 284.262 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCC(O)CC3)CC2)nc1 ZINC000294892853 382542032 /nfs/dbraw/zinc/54/20/32/382542032.db2.gz HFYWXFHVMSYUTJ-UHFFFAOYSA-N 0 0 291.351 2.511 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2ccc(F)c(Cl)c2)c1=O ZINC000189099061 382542728 /nfs/dbraw/zinc/54/27/28/382542728.db2.gz FTZUXULZDOEFFI-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CCC1(CC)CCCN(C(=O)c2n[nH]cc2[N+](=O)[O-])CC1 ZINC000189127394 382550984 /nfs/dbraw/zinc/55/09/84/382550984.db2.gz NBXLEDYUJHARHK-UHFFFAOYSA-N 0 0 294.355 2.750 20 5 CFBDRN COc1cc(N[C@H](C)CC(C)(C)O)c(F)cc1[N+](=O)[O-] ZINC000384811854 382557852 /nfs/dbraw/zinc/55/78/52/382557852.db2.gz CLPPGBGGDIYQFL-MRVPVSSYSA-N 0 0 286.303 2.704 20 5 CFBDRN Cc1c(CNC(=O)[C@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000421510636 382565105 /nfs/dbraw/zinc/56/51/05/382565105.db2.gz UDOWDYXLBLJXRQ-LBPRGKRZSA-N 0 0 284.262 2.565 20 5 CFBDRN CCn1ccnc1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000295091879 382574293 /nfs/dbraw/zinc/57/42/93/382574293.db2.gz ZHBFDKRSAKLPOE-UHFFFAOYSA-N 0 0 278.287 2.871 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)n(C)n1 ZINC000421420640 382530607 /nfs/dbraw/zinc/53/06/07/382530607.db2.gz ASXQFZZXFMDOSQ-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1nc2c(n1CC(=O)c1cccc([N+](=O)[O-])c1)CCCC2 ZINC000317964522 382531476 /nfs/dbraw/zinc/53/14/76/382531476.db2.gz SJKYYKCVFNUROW-UHFFFAOYSA-N 0 0 299.330 2.861 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])[nH]1)c1cccs1 ZINC000358259602 382532818 /nfs/dbraw/zinc/53/28/18/382532818.db2.gz HGBIVLOLPQAPOB-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@]12C[C@H]1CCC2 ZINC000421432474 382534652 /nfs/dbraw/zinc/53/46/52/382534652.db2.gz ULFOLHQBGSRIKC-YMTOWFKASA-N 0 0 276.292 2.732 20 5 CFBDRN CO[C@H](C)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000189064332 382534907 /nfs/dbraw/zinc/53/49/07/382534907.db2.gz JNRCCVOVKZTACU-MRVPVSSYSA-N 0 0 294.332 2.574 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cn1)C1CCCC1 ZINC000382815418 382537405 /nfs/dbraw/zinc/53/74/05/382537405.db2.gz ZLDJNSWIXPVBCZ-NSHDSACASA-N 0 0 250.302 2.766 20 5 CFBDRN CCOc1ccc(C(=O)NC[C@H](C)CC)cc1[N+](=O)[O-] ZINC000421582285 382591589 /nfs/dbraw/zinc/59/15/89/382591589.db2.gz SYGNBGPUNDQVEL-SNVBAGLBSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1csc(N(C)C(=O)c2cc(C)ccc2[N+](=O)[O-])n1 ZINC000358392817 382598400 /nfs/dbraw/zinc/59/84/00/382598400.db2.gz YAKJHBKJDARZBZ-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN Cc1ccc(OC[C@@H](O)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000189413409 382605259 /nfs/dbraw/zinc/60/52/59/382605259.db2.gz DASDUABIIKXUNY-QMMMGPOBSA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1cc(OC[C@H](O)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000189410608 382605669 /nfs/dbraw/zinc/60/56/69/382605669.db2.gz SAWHADMJKISSLM-MRVPVSSYSA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](O)C1CCCCC1 ZINC000189507179 382617540 /nfs/dbraw/zinc/61/75/40/382617540.db2.gz UOABDGAXIWRAPX-CQSZACIVSA-N 0 0 292.335 2.783 20 5 CFBDRN C[C@H](NC(=O)C1CCSCC1)c1ccc([N+](=O)[O-])cc1 ZINC000295330172 382618331 /nfs/dbraw/zinc/61/83/31/382618331.db2.gz LVSKSAHRCMKVPC-JTQLQIEISA-N 0 0 294.376 2.915 20 5 CFBDRN COc1ccc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)cn1 ZINC000353730731 382619631 /nfs/dbraw/zinc/61/96/31/382619631.db2.gz SJNUXIDVKSQXRR-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CSC2(C)C)c1 ZINC000393789752 382624830 /nfs/dbraw/zinc/62/48/30/382624830.db2.gz VIKWFGKVOSHDKR-NSHDSACASA-N 0 0 296.348 2.687 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](CO)C(C)C ZINC000394038415 382625722 /nfs/dbraw/zinc/62/57/22/382625722.db2.gz BMUAYCJZVPDNAF-LLVKDONJSA-N 0 0 252.314 2.580 20 5 CFBDRN CC(C)[C@@H](CO)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000394097849 382626269 /nfs/dbraw/zinc/62/62/69/382626269.db2.gz XHQLYWFSNPFYBQ-SECBINFHSA-N 0 0 272.732 2.925 20 5 CFBDRN CO[C@H]1CC[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000189765360 382640232 /nfs/dbraw/zinc/64/02/32/382640232.db2.gz ORACRMPBRQSJRT-NEPJUHHUSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@H]1CC[C@H](CSc2ccc([N+](=O)[O-])cn2)O1 ZINC000190026671 382650757 /nfs/dbraw/zinc/65/07/57/382650757.db2.gz VBQMAWKPKIDEQQ-WCBMZHEXSA-N 0 0 254.311 2.649 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CCCCO2)cc1[N+](=O)[O-] ZINC000190051202 382651864 /nfs/dbraw/zinc/65/18/64/382651864.db2.gz JOTKKGYECVUUEB-UHFFFAOYSA-N 0 0 278.308 2.886 20 5 CFBDRN CCCc1noc(COc2ccc(OC)c([N+](=O)[O-])c2)n1 ZINC000190188175 382656399 /nfs/dbraw/zinc/65/63/99/382656399.db2.gz JBAIWJYQKJJHBJ-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN CN(CCOc1ccc(F)cc1)c1ncc([N+](=O)[O-])s1 ZINC000190308338 382661672 /nfs/dbraw/zinc/66/16/72/382661672.db2.gz ZUCCEOPTSBLMPS-UHFFFAOYSA-N 0 0 297.311 2.706 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000190319442 382661969 /nfs/dbraw/zinc/66/19/69/382661969.db2.gz PIWLHGLXUZAYPQ-JTQLQIEISA-N 0 0 279.321 2.851 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1nnc(C2CC2)[nH]1 ZINC000190316122 382661986 /nfs/dbraw/zinc/66/19/86/382661986.db2.gz XBPGCVGBEIANJM-UHFFFAOYSA-N 0 0 287.323 2.819 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CCO)C2CCCCC2)s1 ZINC000190329164 382662360 /nfs/dbraw/zinc/66/23/60/382662360.db2.gz UPQRGTLTJUPLPG-SNVBAGLBSA-N 0 0 285.369 2.795 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCOC3(CCCC3)C2)s1 ZINC000190337075 382662929 /nfs/dbraw/zinc/66/29/29/382662929.db2.gz QRIHPRSGLBWLGE-VIFPVBQESA-N 0 0 283.353 2.955 20 5 CFBDRN CCC(CC)NC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000190396955 382665870 /nfs/dbraw/zinc/66/58/70/382665870.db2.gz QLFXIRMYYJZWIV-UHFFFAOYSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CC[C@@H](C)O1 ZINC000190677824 382677352 /nfs/dbraw/zinc/67/73/52/382677352.db2.gz ALMOBZSNTKPHLR-ZYHUDNBSSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cccc(OC[C@@H]2CC[C@H](C)O2)c1[N+](=O)[O-] ZINC000190677626 382677394 /nfs/dbraw/zinc/67/73/94/382677394.db2.gz CWAHANZMSIGOFB-QWRGUYRKSA-N 0 0 251.282 2.850 20 5 CFBDRN COc1ccc(OC(=O)CC(C)C)cc1[N+](=O)[O-] ZINC000191016280 382688620 /nfs/dbraw/zinc/68/86/20/382688620.db2.gz ACJFBTDFLGLEJD-UHFFFAOYSA-N 0 0 253.254 2.555 20 5 CFBDRN COc1ccc(OC(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000191022871 382688818 /nfs/dbraw/zinc/68/88/18/382688818.db2.gz AKTWTKWRKDRCNH-UHFFFAOYSA-N 0 0 253.254 2.555 20 5 CFBDRN Cc1cnc(COC(=O)C2CCCC2)c(C)c1[N+](=O)[O-] ZINC000191353435 382698991 /nfs/dbraw/zinc/69/89/91/382698991.db2.gz LQGYBLBBACTMOT-UHFFFAOYSA-N 0 0 278.308 2.840 20 5 CFBDRN CCOC[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000191460444 382700669 /nfs/dbraw/zinc/70/06/69/382700669.db2.gz XJSUZLKPQZQXCB-ZDUSSCGKSA-N 0 0 295.339 2.777 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@@H]1CCC2(CCCCC2)O1 ZINC000191825957 382702674 /nfs/dbraw/zinc/70/26/74/382702674.db2.gz HSODVJJEBNQJCZ-LBPRGKRZSA-N 0 0 292.335 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2nccnc2C(F)F)cc1 ZINC000336850219 382735327 /nfs/dbraw/zinc/73/53/27/382735327.db2.gz WKHSSDFLELFBTN-UHFFFAOYSA-N 0 0 294.261 2.977 20 5 CFBDRN Cc1ccc([C@H]2COCCN2c2ncc([N+](=O)[O-])s2)o1 ZINC000192478267 382709445 /nfs/dbraw/zinc/70/94/45/382709445.db2.gz BKGSLUQMZMFGSQ-SECBINFHSA-N 0 0 295.320 2.531 20 5 CFBDRN CC[C@@H](CSC)N(C)c1ncc([N+](=O)[O-])s1 ZINC000192520189 382709632 /nfs/dbraw/zinc/70/96/32/382709632.db2.gz OIEUFNUNDBGRPK-ZETCQYMHSA-N 0 0 261.372 2.629 20 5 CFBDRN CCCN(C(=O)c1cnsn1)c1cccc([N+](=O)[O-])c1 ZINC000194128022 382736018 /nfs/dbraw/zinc/73/60/18/382736018.db2.gz VXKZKRIWBXNSMP-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN Cc1cccc(C(=O)N(C)C2CCCC2)c1[N+](=O)[O-] ZINC000193335223 382718889 /nfs/dbraw/zinc/71/88/89/382718889.db2.gz KHWCZXGLABQPJA-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN COCCOCCNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000193346280 382718991 /nfs/dbraw/zinc/71/89/91/382718991.db2.gz DAVRBRLVGXXAKJ-UHFFFAOYSA-N 0 0 288.731 2.632 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1cc(N)ccc1[N+](=O)[O-] ZINC000193485670 382720246 /nfs/dbraw/zinc/72/02/46/382720246.db2.gz CJQXEICVOREBHA-MRVPVSSYSA-N 0 0 290.323 2.997 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)NC1CCOCC1 ZINC000193491116 382720345 /nfs/dbraw/zinc/72/03/45/382720345.db2.gz NBZARNNPSPTLDB-UHFFFAOYSA-N 0 0 299.714 2.549 20 5 CFBDRN CCCC[C@@H](CCC)NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000193673023 382722404 /nfs/dbraw/zinc/72/24/04/382722404.db2.gz NDWZADCCIMVYRS-LLVKDONJSA-N 0 0 295.339 2.784 20 5 CFBDRN CCC(CC)(CNc1nc2sccn2c1[N+](=O)[O-])OC ZINC000336828997 382725476 /nfs/dbraw/zinc/72/54/76/382725476.db2.gz BIQYCZFTDLBLJP-UHFFFAOYSA-N 0 0 298.368 2.921 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000193803080 382726019 /nfs/dbraw/zinc/72/60/19/382726019.db2.gz JXBXLUIJHXKEQR-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN COCC[C@@H](COC)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000336832078 382726382 /nfs/dbraw/zinc/72/63/82/382726382.db2.gz LIZBMIGNGKFDQL-JTQLQIEISA-N 0 0 286.303 2.506 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2[O-])cc1O ZINC000193854978 382727174 /nfs/dbraw/zinc/72/71/74/382727174.db2.gz ISOHXNBWEYGWNZ-UHFFFAOYSA-N 0 0 288.259 2.567 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1cn(C(C)C)nn1 ZINC000336838031 382730511 /nfs/dbraw/zinc/73/05/11/382730511.db2.gz MNLRSBIGOUGUJS-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H]2OC(F)F)nc1 ZINC000336880614 382736844 /nfs/dbraw/zinc/73/68/44/382736844.db2.gz AAHMTSWWZKIGIS-RKDXNWHRSA-N 0 0 273.239 2.562 20 5 CFBDRN C[C@@H]1CC[C@@H](CN2CCc3ccc([N+](=O)[O-])cc32)O1 ZINC000191095104 382690942 /nfs/dbraw/zinc/69/09/42/382690942.db2.gz QUFRZCCSUSKXMC-MFKMUULPSA-N 0 0 262.309 2.525 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)Cc1ccccc1F ZINC000195332742 382766639 /nfs/dbraw/zinc/76/66/39/382766639.db2.gz FGJMLQNGLQFYIQ-UHFFFAOYSA-N 0 0 292.314 2.895 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCOC[C@@H]2C2CCC2)n1 ZINC000575395373 382769005 /nfs/dbraw/zinc/76/90/05/382769005.db2.gz BAIFCBLNKPHOQV-CYBMUJFWSA-N 0 0 291.351 2.612 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000220086264 382794961 /nfs/dbraw/zinc/79/49/61/382794961.db2.gz ZPYZKUIZRIHAOF-RKDXNWHRSA-N 0 0 284.287 2.722 20 5 CFBDRN COc1cc(NCCCSC)c(F)cc1[N+](=O)[O-] ZINC000218858857 382791014 /nfs/dbraw/zinc/79/10/14/382791014.db2.gz WMSYVKIFFVHYAG-UHFFFAOYSA-N 0 0 274.317 2.908 20 5 CFBDRN CCC[C@@](C)(O)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000218856365 382791063 /nfs/dbraw/zinc/79/10/63/382791063.db2.gz APLKBGRKNPUAJR-CYBMUJFWSA-N 0 0 286.303 2.706 20 5 CFBDRN Cc1cccc(N[C@@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000218873234 382791086 /nfs/dbraw/zinc/79/10/86/382791086.db2.gz VTZHUPKPOJXILX-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCC[C@H]1OC(F)F ZINC000336916685 382742183 /nfs/dbraw/zinc/74/21/83/382742183.db2.gz NNDNTILGFZFCHG-VXNVDRBHSA-N 0 0 273.239 2.562 20 5 CFBDRN CC[C@@H](C)c1nnc([C@@H](C)Nc2ncc([N+](=O)[O-])s2)[nH]1 ZINC000336937451 382744234 /nfs/dbraw/zinc/74/42/34/382744234.db2.gz VKYYMOGEDSFQPS-RNFRBKRXSA-N 0 0 296.356 2.856 20 5 CFBDRN CC[C@H]1CN(C(=O)c2c(C)cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000194682409 382751966 /nfs/dbraw/zinc/75/19/66/382751966.db2.gz VLMOXOZKIQSPJZ-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2cccc(CCCO)c2)s1 ZINC000337021287 382753518 /nfs/dbraw/zinc/75/35/18/382753518.db2.gz RODMASHSKTWSOH-UHFFFAOYSA-N 0 0 279.321 2.720 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194817917 382754909 /nfs/dbraw/zinc/75/49/09/382754909.db2.gz WVLGFDPFRXMTMD-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H]2CCC[C@@H]2C1 ZINC000194830715 382755387 /nfs/dbraw/zinc/75/53/87/382755387.db2.gz MFTPDMJHOFTMMU-TXEJJXNPSA-N 0 0 274.320 2.775 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)NC1(C(F)F)CC1 ZINC000337048410 382756186 /nfs/dbraw/zinc/75/61/86/382756186.db2.gz QFLFEJIPNJSYQK-UHFFFAOYSA-N 0 0 289.213 2.653 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC1(C2CC2)CC1 ZINC000194947111 382758050 /nfs/dbraw/zinc/75/80/50/382758050.db2.gz TUFXLIMBKDOXJW-UHFFFAOYSA-N 0 0 274.320 2.823 20 5 CFBDRN Cc1ncc(NC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000337296140 382796363 /nfs/dbraw/zinc/79/63/63/382796363.db2.gz JGAAYWNOOZXXCW-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000576044920 382808452 /nfs/dbraw/zinc/80/84/52/382808452.db2.gz IIBLXAGQGZUHKZ-SCZZXKLOSA-N 0 0 285.319 2.525 20 5 CFBDRN CO[C@@H](C)CNc1cc(Br)ccc1[N+](=O)[O-] ZINC000224342278 382810769 /nfs/dbraw/zinc/81/07/69/382810769.db2.gz QJRGQSAHCQDXBY-ZETCQYMHSA-N 0 0 289.129 2.804 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCOCC1CC1 ZINC000224511820 382811353 /nfs/dbraw/zinc/81/13/53/382811353.db2.gz FMXHLWHASVGFOS-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN Cc1cc(N2CC3(CCC3)[C@H]3COC[C@@H]32)ccc1[N+](=O)[O-] ZINC000576366035 382820438 /nfs/dbraw/zinc/82/04/38/382820438.db2.gz GWGCWZCEIPESNS-ZFWWWQNUSA-N 0 0 288.347 2.909 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ncc([N+](=O)[O-])cc1C ZINC000225745083 382821067 /nfs/dbraw/zinc/82/10/67/382821067.db2.gz ANLXRDMBCBJYLE-GFCCVEGCSA-N 0 0 267.329 2.507 20 5 CFBDRN COc1cc(N[C@H](C)[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000225815768 382821713 /nfs/dbraw/zinc/82/17/13/382821713.db2.gz XRFITMHMSZFGGA-RKDXNWHRSA-N 0 0 284.287 2.579 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCCO2)c1ccc([N+](=O)[O-])[nH]1 ZINC000337643450 382851652 /nfs/dbraw/zinc/85/16/52/382851652.db2.gz WEPYQYUHHMCXOE-UHFFFAOYSA-N 0 0 287.275 2.500 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@H]1CCCCO1 ZINC000227316368 382835384 /nfs/dbraw/zinc/83/53/84/382835384.db2.gz XUHWBFRHDINVER-GFCCVEGCSA-N 0 0 265.313 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000227316204 382835396 /nfs/dbraw/zinc/83/53/96/382835396.db2.gz IWSPXPLZUBXXPI-NSHDSACASA-N 0 0 295.295 2.874 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1ccc([N+](=O)[O-])cc1 ZINC000227356577 382836199 /nfs/dbraw/zinc/83/61/99/382836199.db2.gz LZUWMEBQUJQDSD-LBPRGKRZSA-N 0 0 252.314 2.804 20 5 CFBDRN CCOc1cc(N2CC[C@@H](OC)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000576813787 382837098 /nfs/dbraw/zinc/83/70/98/382837098.db2.gz RJIMGTDPEYWLNY-WCQYABFASA-N 0 0 294.351 2.997 20 5 CFBDRN CSCCCNc1ncc([N+](=O)[O-])cc1Cl ZINC000227652760 382838997 /nfs/dbraw/zinc/83/89/97/382838997.db2.gz MIPLDMRJYSQYDM-UHFFFAOYSA-N 0 0 261.734 2.808 20 5 CFBDRN COc1cc(CNc2ncc([N+](=O)[O-])cc2Cl)ccn1 ZINC000227648733 382839049 /nfs/dbraw/zinc/83/90/49/382839049.db2.gz HKIYFQYWMQZMGF-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN C[C@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1CCC[C@@H](C)C1 ZINC000227685408 382839522 /nfs/dbraw/zinc/83/95/22/382839522.db2.gz MSRUMTVZHYJLEN-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN COc1cc(NCC2(C)CCOCC2)ccc1[N+](=O)[O-] ZINC000227824790 382840994 /nfs/dbraw/zinc/84/09/94/382840994.db2.gz IJRFRKOPWVRZMA-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CC1(C[NH2+]Cc2ccccc2[N+](=O)[O-])CCOCC1 ZINC000227834887 382841264 /nfs/dbraw/zinc/84/12/64/382841264.db2.gz VZVRBDDAKWYWCQ-UHFFFAOYSA-N 0 0 264.325 2.501 20 5 CFBDRN CC(C)C[C@@H](CO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890056 382841913 /nfs/dbraw/zinc/84/19/13/382841913.db2.gz VYBSIAKPSRTIBD-QMMMGPOBSA-N 0 0 274.267 2.692 20 5 CFBDRN C[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CCCO1 ZINC000227888036 382841957 /nfs/dbraw/zinc/84/19/57/382841957.db2.gz DWEMAFIYTJTLTL-RDDDGLTNSA-N 0 0 272.251 2.852 20 5 CFBDRN COC(=O)[C@H](C)CSc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890373 382842052 /nfs/dbraw/zinc/84/20/52/382842052.db2.gz QCIPKBOLXXLMJV-ZCFIWIBFSA-N 0 0 291.275 2.774 20 5 CFBDRN C[C@H](O)CCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000227997099 382843537 /nfs/dbraw/zinc/84/35/37/382843537.db2.gz IJWZCYZZUTVITH-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@H](CO)CCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000230148656 382856393 /nfs/dbraw/zinc/85/63/93/382856393.db2.gz OOOZDJXNTILGNY-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1cnc(CNc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000230185543 382857165 /nfs/dbraw/zinc/85/71/65/382857165.db2.gz JPEPGUIZWOVKDG-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCCCNc1c(Br)cncc1[N+](=O)[O-] ZINC000230175780 382857198 /nfs/dbraw/zinc/85/71/98/382857198.db2.gz SMOYZLOQXASLTP-UHFFFAOYSA-N 0 0 274.118 2.964 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])C1CCOCC1 ZINC000230217374 382857461 /nfs/dbraw/zinc/85/74/61/382857461.db2.gz DEKPQMWAGSVMAK-SECBINFHSA-N 0 0 268.288 2.961 20 5 CFBDRN COc1cc(NCc2cccnc2)c(F)cc1[N+](=O)[O-] ZINC000230354778 382859681 /nfs/dbraw/zinc/85/96/81/382859681.db2.gz HRVKVHAWSXVKFV-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2C[C@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000337771128 382863488 /nfs/dbraw/zinc/86/34/88/382863488.db2.gz VKGALEGVLMPQCQ-HTQZYQBOSA-N 0 0 270.235 2.743 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000230917349 382863726 /nfs/dbraw/zinc/86/37/26/382863726.db2.gz PYDONTCZLYOEES-PSASIEDQSA-N 0 0 253.327 2.686 20 5 CFBDRN CCC[C@H](CCO)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000231149269 382864212 /nfs/dbraw/zinc/86/42/12/382864212.db2.gz KDHITLFPRLNETB-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCOCC(F)F ZINC000231678028 382866342 /nfs/dbraw/zinc/86/63/42/382866342.db2.gz MGBRIZPERONENA-UHFFFAOYSA-N 0 0 260.240 2.597 20 5 CFBDRN CC(C)=CCNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000232592787 382869272 /nfs/dbraw/zinc/86/92/72/382869272.db2.gz LPKSLEYHWHQDKU-UHFFFAOYSA-N 0 0 270.235 2.569 20 5 CFBDRN Cc1cn(CCCOCc2ccccc2)nc1[N+](=O)[O-] ZINC000337936417 382874336 /nfs/dbraw/zinc/87/43/36/382874336.db2.gz KTOAHSVUTNZCLQ-UHFFFAOYSA-N 0 0 275.308 2.707 20 5 CFBDRN CSC[C@H](C)NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000235054499 382876301 /nfs/dbraw/zinc/87/63/01/382876301.db2.gz WOSGBXCUOHQBQD-QMMMGPOBSA-N 0 0 286.328 2.524 20 5 CFBDRN COC(=O)c1cc(NC[C@@H]2C[C@@H]2C)cc(C)c1[N+](=O)[O-] ZINC000577959256 382876756 /nfs/dbraw/zinc/87/67/56/382876756.db2.gz BYAHQZATZYTYQN-WPRPVWTQSA-N 0 0 278.308 2.758 20 5 CFBDRN CC[C@H](C)CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421595118 382880843 /nfs/dbraw/zinc/88/08/43/382880843.db2.gz MLDDCQMXODVHET-VIFPVBQESA-N 0 0 264.325 2.988 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2CCCSC2)c1F ZINC000235884105 382889710 /nfs/dbraw/zinc/88/97/10/382889710.db2.gz SZGUCGDKCJPXGJ-SECBINFHSA-N 0 0 298.339 2.668 20 5 CFBDRN CC/C=C\CNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000455334074 382897914 /nfs/dbraw/zinc/89/79/14/382897914.db2.gz ODWKHOJHGIRZIO-PLNGDYQASA-N 0 0 291.351 2.745 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc(N3CCCC3)cn2)cc1 ZINC000338156207 382937357 /nfs/dbraw/zinc/93/73/57/382937357.db2.gz SHZVZJRYHFKPPH-UHFFFAOYSA-N 0 0 298.346 2.624 20 5 CFBDRN Cc1cccnc1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000338229496 382957411 /nfs/dbraw/zinc/95/74/11/382957411.db2.gz RLTOMGPKLIKCET-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)cn1 ZINC000338122356 382925658 /nfs/dbraw/zinc/92/56/58/382925658.db2.gz XDLOFDXRPXLBPY-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CCOC(=O)c1cc(N2CC=C(C)CC2)ccc1[N+](=O)[O-] ZINC000534395344 382930276 /nfs/dbraw/zinc/93/02/76/382930276.db2.gz NHKUKINBXOXCOP-UHFFFAOYSA-N 0 0 290.319 2.928 20 5 CFBDRN CC[C@@H](C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000338153530 382936203 /nfs/dbraw/zinc/93/62/03/382936203.db2.gz VQBVBQFTNAAZFZ-LLVKDONJSA-N 0 0 264.325 2.642 20 5 CFBDRN O=C(NCCOCC1CCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000579054749 382977616 /nfs/dbraw/zinc/97/76/16/382977616.db2.gz QZNJOLYFEMYATG-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])[nH]1)C1=CCCC1 ZINC000457174115 382978992 /nfs/dbraw/zinc/97/89/92/382978992.db2.gz CCJNADWIMKZMMD-UHFFFAOYSA-N 0 0 277.324 2.789 20 5 CFBDRN CNc1nc(C(=O)N[C@@H](C)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000457192554 382985147 /nfs/dbraw/zinc/98/51/47/382985147.db2.gz LQGGDIDDWXWVQE-VIFPVBQESA-N 0 0 294.355 2.586 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000457192807 382985274 /nfs/dbraw/zinc/98/52/74/382985274.db2.gz MEXJYZPQPVLYFB-SECBINFHSA-N 0 0 279.340 2.732 20 5 CFBDRN C[C@H](NC(=O)c1ccccc1[N+](=O)[O-])c1ccncc1F ZINC000338369033 383008163 /nfs/dbraw/zinc/00/81/63/383008163.db2.gz DNJWFHJTXCQJKQ-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000579361951 383008143 /nfs/dbraw/zinc/00/81/43/383008143.db2.gz KQSHXQPCNHMVAO-BXKDBHETSA-N 0 0 281.356 2.816 20 5 CFBDRN CC[C@]1(C(C)C)C[C@@H]1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000457276636 383010141 /nfs/dbraw/zinc/01/01/41/383010141.db2.gz KIWAKAQPLLPJEG-SWLSCSKDSA-N 0 0 291.351 2.732 20 5 CFBDRN CCC1CN(C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000457277938 383010949 /nfs/dbraw/zinc/01/09/49/383010949.db2.gz NUKLGBCQGVIOBD-VIFPVBQESA-N 0 0 280.299 2.706 20 5 CFBDRN C/C=C/c1ccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])cc1 ZINC000579395340 383012048 /nfs/dbraw/zinc/01/20/48/383012048.db2.gz YXTQVZHANRUAPF-NSCUHMNNSA-N 0 0 272.264 2.603 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCC1(C2CC2)CC1 ZINC000495168260 382966682 /nfs/dbraw/zinc/96/66/82/382966682.db2.gz ATOINDBTLITMEK-UHFFFAOYSA-N 0 0 290.319 2.523 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2ncc(F)cn2)cc1 ZINC000338258747 382967151 /nfs/dbraw/zinc/96/71/51/382967151.db2.gz PQDZGXAYMUALGV-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC[N@H+]1CC=CCC1 ZINC000338709643 383029616 /nfs/dbraw/zinc/02/96/16/383029616.db2.gz FAMBLNBCJFRQKK-UHFFFAOYSA-N 0 0 261.325 2.577 20 5 CFBDRN Cc1cnc(N[C@H](C)c2cc[nH]c(=O)c2)c([N+](=O)[O-])c1 ZINC000338735420 383033775 /nfs/dbraw/zinc/03/37/75/383033775.db2.gz XLVUTYAQKHJDOE-SECBINFHSA-N 0 0 274.280 2.572 20 5 CFBDRN C[C@H](NC(=O)OCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000496245377 383078603 /nfs/dbraw/zinc/07/86/03/383078603.db2.gz OGPFCDDZAUTOBN-VIFPVBQESA-N 0 0 264.281 2.792 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC[C@@H](C)F)c1 ZINC000338757589 383041496 /nfs/dbraw/zinc/04/14/96/383041496.db2.gz UYBGKXCIBTUBAK-MRVPVSSYSA-N 0 0 270.260 2.541 20 5 CFBDRN Cc1cc(CSc2cccc([N+](=O)[O-])c2)n(C)n1 ZINC000579860481 383062467 /nfs/dbraw/zinc/06/24/67/383062467.db2.gz JAOYNNQMVDKDHA-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN Cc1cnc(N2CCOC[C@H]2CC2CCC2)c([N+](=O)[O-])c1 ZINC000338828262 383062522 /nfs/dbraw/zinc/06/25/22/383062522.db2.gz GHXGAPLULDNKQS-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN C[C@H](F)CCNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000496190282 383073476 /nfs/dbraw/zinc/07/34/76/383073476.db2.gz QISZCUHDAMXCOQ-VIFPVBQESA-N 0 0 297.286 2.711 20 5 CFBDRN COC1CN(c2cc(C)c(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000338669911 383027617 /nfs/dbraw/zinc/02/76/17/383027617.db2.gz YWFNNXJHVROXCG-UHFFFAOYSA-N 0 0 290.241 2.757 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000592004507 383122031 /nfs/dbraw/zinc/12/20/31/383122031.db2.gz WFHKSYSSXJMYFS-BDAKNGLRSA-N 0 0 290.348 2.844 20 5 CFBDRN COC(=O)CS[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000554365115 383131537 /nfs/dbraw/zinc/13/15/37/383131537.db2.gz KWCKOUMSNHDUCU-SECBINFHSA-N 0 0 269.322 2.870 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C\c2nc(-c3ccncn3)no2)c1 ZINC000339066154 383136954 /nfs/dbraw/zinc/13/69/54/383136954.db2.gz ICDMSSCRYHPDFK-PLNGDYQASA-N 0 0 295.258 2.605 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000247099641 383143014 /nfs/dbraw/zinc/14/30/14/383143014.db2.gz JRYASGWIGXLBGR-AULYBMBSSA-N 0 0 291.351 2.973 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1cc(-c2ccccc2)on1 ZINC000338917447 383085609 /nfs/dbraw/zinc/08/56/09/383085609.db2.gz XHHKIYYQVPQCQP-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN CCC(C)(C)OCC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000457749862 383089715 /nfs/dbraw/zinc/08/97/15/383089715.db2.gz NZUBGKWSCUDBKQ-UHFFFAOYSA-N 0 0 294.351 2.725 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CO[C@@H](C)C1 ZINC000584349485 383097200 /nfs/dbraw/zinc/09/72/00/383097200.db2.gz WPKPMZNZWFLGAH-GXSJLCMTSA-N 0 0 293.323 2.511 20 5 CFBDRN Cc1noc(C)c1Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000339222170 383185162 /nfs/dbraw/zinc/18/51/62/383185162.db2.gz VFHXCXLPXFPEKA-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CCc1nnc(SCc2ccc(F)cc2[N+](=O)[O-])[nH]1 ZINC000518563953 383202992 /nfs/dbraw/zinc/20/29/92/383202992.db2.gz AUJMCVNUWPYRMR-UHFFFAOYSA-N 0 0 282.300 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1(CF)CCC1 ZINC000518775612 383207756 /nfs/dbraw/zinc/20/77/56/383207756.db2.gz SPWWYPRXVFJAAD-UHFFFAOYSA-N 0 0 266.272 2.525 20 5 CFBDRN CCN(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 ZINC000248046262 383209477 /nfs/dbraw/zinc/20/94/77/383209477.db2.gz WOBMYWQVWOQUOE-UHFFFAOYSA-N 0 0 294.354 2.633 20 5 CFBDRN Cc1cnc(N[C@@H]2C[C@H]2c2cccnc2)c([N+](=O)[O-])c1 ZINC000339098369 383148049 /nfs/dbraw/zinc/14/80/49/383148049.db2.gz XZGSEPMPXHOLPO-NWDGAFQWSA-N 0 0 270.292 2.661 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)cc([N+](=O)[O-])c1 ZINC000458268481 383148140 /nfs/dbraw/zinc/14/81/40/383148140.db2.gz YYCCBACAVDLNTP-SWHYSGLUSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000458290367 383154384 /nfs/dbraw/zinc/15/43/84/383154384.db2.gz XAOAYHONRVRUGS-WXHSDQCUSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000458311802 383160210 /nfs/dbraw/zinc/16/02/10/383160210.db2.gz YLAFWVGQEMCDKJ-ICCXJUOJSA-N 0 0 290.319 2.522 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CNC(CF)CF ZINC000449378680 383248736 /nfs/dbraw/zinc/24/87/36/383248736.db2.gz FJFCJJZABNEGSW-HYXAFXHYSA-N 0 0 256.252 2.505 20 5 CFBDRN COc1cc(CN[C@@H]2CCC[C@@H]2F)c([N+](=O)[O-])cc1OC ZINC000339587718 383255682 /nfs/dbraw/zinc/25/56/82/383255682.db2.gz SDSNHNVQPQDRTF-WDEREUQCSA-N 0 0 298.314 2.592 20 5 CFBDRN CCc1nocc1CN(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000339599805 383258210 /nfs/dbraw/zinc/25/82/10/383258210.db2.gz BTPBJGJXEKJGID-UHFFFAOYSA-N 0 0 293.298 2.916 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cn1cnc2c1CCCC2 ZINC000567487277 383281603 /nfs/dbraw/zinc/28/16/03/383281603.db2.gz IHNMVTIOVSSGGY-UHFFFAOYSA-N 0 0 275.283 2.858 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(O[C@@H]2C[C@@H](C)CCC2=O)c1C ZINC000567368052 383268872 /nfs/dbraw/zinc/26/88/72/383268872.db2.gz KHNIFDPVVLVOQT-ISVAXAHUSA-N 0 0 278.308 2.743 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CCC[C@@H](F)C1 ZINC000424682115 383229379 /nfs/dbraw/zinc/22/93/79/383229379.db2.gz DKQPMVMRKNAYTA-CHWSQXEVSA-N 0 0 294.326 2.782 20 5 CFBDRN C[C@@]1(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000424686844 383230668 /nfs/dbraw/zinc/23/06/68/383230668.db2.gz QLVDHAJADOGTAF-DZGCQCFKSA-N 0 0 294.326 2.782 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000425144105 383331291 /nfs/dbraw/zinc/33/12/91/383331291.db2.gz SJMGJOBILTXDFD-ILWJIGKKSA-N 0 0 285.303 2.604 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000567579390 383288510 /nfs/dbraw/zinc/28/85/10/383288510.db2.gz FFCYAKVWWIPRMG-WDEREUQCSA-N 0 0 277.324 2.641 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC=C(C(F)(F)F)CC1 ZINC000339699868 383291181 /nfs/dbraw/zinc/29/11/81/383291181.db2.gz DKGIJADBWBAHQK-UHFFFAOYSA-N 0 0 273.214 2.689 20 5 CFBDRN CC(C)Oc1nc(N[C@@H]2CCC[C@@H]2F)ccc1[N+](=O)[O-] ZINC000521645628 383305229 /nfs/dbraw/zinc/30/52/29/383305229.db2.gz JRDPOKHRHJHXJP-VHSXEESVSA-N 0 0 283.303 2.501 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCC(=O)CCC(C)C)c1C ZINC000521646457 383305622 /nfs/dbraw/zinc/30/56/22/383305622.db2.gz UFLFZYVSHPPNSY-UHFFFAOYSA-N 0 0 280.324 2.991 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCC[C@H](O)CC1 ZINC000339780251 383315798 /nfs/dbraw/zinc/31/57/98/383315798.db2.gz QWFXNKIBPGGAOF-JTQLQIEISA-N 0 0 284.743 2.595 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC(CC(F)F)C1 ZINC000425084932 383322214 /nfs/dbraw/zinc/32/22/14/383322214.db2.gz REPLWSLBSNROFP-UHFFFAOYSA-N 0 0 298.289 2.641 20 5 CFBDRN O=C(NC1(CF)CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000522060555 383324507 /nfs/dbraw/zinc/32/45/07/383324507.db2.gz JKXRWCUSTUVBHS-UHFFFAOYSA-N 0 0 291.282 2.698 20 5 CFBDRN O=C(Nc1nnc(C2CC2)s1)c1csc([N+](=O)[O-])c1 ZINC000567877456 383326020 /nfs/dbraw/zinc/32/60/20/383326020.db2.gz CIHAGHZJKNDFIB-UHFFFAOYSA-N 0 0 296.333 2.638 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2C)C[C@@H](C)O1 ZINC000252443104 383408203 /nfs/dbraw/zinc/40/82/03/383408203.db2.gz PJGZETOIVFOVJW-BXUZGUMPSA-N 0 0 278.352 2.903 20 5 CFBDRN C[C@H](C(=O)N1[C@@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000525938656 383410182 /nfs/dbraw/zinc/41/01/82/383410182.db2.gz NIZNFUSRKNLAOP-DCAQKATOSA-N 0 0 262.309 2.708 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC3(C2)CCOCC3)n1 ZINC000408169363 291824946 /nfs/dbraw/zinc/82/49/46/291824946.db2.gz NRONLGSVOYJXCX-UHFFFAOYSA-N 0 0 291.351 2.614 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCc1ncc(C)o1 ZINC000340099462 383354427 /nfs/dbraw/zinc/35/44/27/383354427.db2.gz SJBSMOAQGSJVML-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@H]1C1CCC1 ZINC000425272918 383356403 /nfs/dbraw/zinc/35/64/03/383356403.db2.gz MVVNFDGAQZNLPO-WDEREUQCSA-N 0 0 264.329 2.720 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC23CC3)c(C(F)(F)F)c1 ZINC000425272507 383356519 /nfs/dbraw/zinc/35/65/19/383356519.db2.gz LDRQOFVYDSTCGI-MRVPVSSYSA-N 0 0 273.214 2.973 20 5 CFBDRN Cc1cc(N[C@@H]2CC23CC3)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000425273944 383356570 /nfs/dbraw/zinc/35/65/70/383356570.db2.gz PTTJYXLPHDIJPG-CYBMUJFWSA-N 0 0 296.330 2.747 20 5 CFBDRN COc1cc(N[C@@H]2CC23CC3)c([N+](=O)[O-])cc1F ZINC000425277574 383357166 /nfs/dbraw/zinc/35/71/66/383357166.db2.gz XXOROPDRAKNYDW-LLVKDONJSA-N 0 0 252.245 2.707 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000340116560 383359181 /nfs/dbraw/zinc/35/91/81/383359181.db2.gz HVGNMPTXHSEXMQ-LLVKDONJSA-N 0 0 280.324 2.621 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000340121705 383360588 /nfs/dbraw/zinc/36/05/88/383360588.db2.gz YLSBRAWPWRZLPP-UHFFFAOYSA-N 0 0 291.332 2.714 20 5 CFBDRN COc1cc(OC)cc(Oc2ccncc2[N+](=O)[O-])c1 ZINC000340155727 383363919 /nfs/dbraw/zinc/36/39/19/383363919.db2.gz YZXAHUPMXKOQJO-UHFFFAOYSA-N 0 0 276.248 2.799 20 5 CFBDRN C[C@H](CN(C)C(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1 ZINC000340177813 383370536 /nfs/dbraw/zinc/37/05/36/383370536.db2.gz IRBVKURVNQFZTR-LLVKDONJSA-N 0 0 287.319 2.799 20 5 CFBDRN CC(C)C(CNC(=O)c1ccc([N+](=O)[O-])[nH]1)C(C)C ZINC000469827151 383371765 /nfs/dbraw/zinc/37/17/65/383371765.db2.gz AOCXDWXWHGWXGA-UHFFFAOYSA-N 0 0 267.329 2.581 20 5 CFBDRN C[C@H](CCC1CCCCC1)NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000568652977 383425467 /nfs/dbraw/zinc/42/54/67/383425467.db2.gz UONOSCDMTIUKLL-SNVBAGLBSA-N 0 0 294.355 2.797 20 5 CFBDRN CC[C@@H](C)c1nnc([C@H](C)Nc2ccc([N+](=O)[O-])nc2)[nH]1 ZINC000450478756 383427942 /nfs/dbraw/zinc/42/79/42/383427942.db2.gz IWLFITHFWFBIBD-BDAKNGLRSA-N 0 0 290.327 2.795 20 5 CFBDRN CC(C)C[C@H](C(=O)[O-])[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000568772163 383436183 /nfs/dbraw/zinc/43/61/83/383436183.db2.gz OAOQHHMNHWHHLP-CYBMUJFWSA-N 0 0 280.324 2.526 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000526933830 383438461 /nfs/dbraw/zinc/43/84/61/383438461.db2.gz VOKMKEAEYODRCY-PHIMTYICSA-N 0 0 262.309 2.537 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2cscc2Br)c1 ZINC000568831874 383440002 /nfs/dbraw/zinc/44/00/02/383440002.db2.gz DDKXDRZSUITUKC-UHFFFAOYSA-N 0 0 288.126 2.664 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC12CCCC2 ZINC000428307248 383447756 /nfs/dbraw/zinc/44/77/56/383447756.db2.gz TUYOLUSBZLMNRW-CYBMUJFWSA-N 0 0 289.335 2.727 20 5 CFBDRN CC(C)[C@H](CO)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450535492 383451195 /nfs/dbraw/zinc/45/11/95/383451195.db2.gz NPJYPBVPXKBSHT-NSHDSACASA-N 0 0 289.335 2.819 20 5 CFBDRN CO[C@H](C)CN(C)c1nccc2c1cccc2[N+](=O)[O-] ZINC000450538726 383452213 /nfs/dbraw/zinc/45/22/13/383452213.db2.gz ZXCLADBOVPVZHH-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN COC1(CNc2nc(C)cc(C)c2[N+](=O)[O-])CCCC1 ZINC000450548188 383456454 /nfs/dbraw/zinc/45/64/54/383456454.db2.gz QQABPQDLQYQALP-UHFFFAOYSA-N 0 0 279.340 2.978 20 5 CFBDRN CC(F)(F)CCCNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000450552690 383458799 /nfs/dbraw/zinc/45/87/99/383458799.db2.gz IHINJFPEQIBFDO-UHFFFAOYSA-N 0 0 296.277 2.807 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000450688341 383482438 /nfs/dbraw/zinc/48/24/38/383482438.db2.gz WKMDLEVYGJKNJP-SCRDCRAPSA-N 0 0 291.351 2.510 20 5 CFBDRN CC(=O)c1cc(NCC[C@H](C)CCO)ccc1[N+](=O)[O-] ZINC000450558173 383460208 /nfs/dbraw/zinc/46/02/08/383460208.db2.gz FCMXDASDOZTWAG-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)n1 ZINC000450698296 383482640 /nfs/dbraw/zinc/48/26/40/383482640.db2.gz XEEFWGWGDWRALF-IATRGZMQSA-N 0 0 285.347 2.947 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC[C@](C)(F)C2)c1 ZINC000450564061 383463729 /nfs/dbraw/zinc/46/37/29/383463729.db2.gz UPRIOFMGSSIKMR-AWEZNQCLSA-N 0 0 296.298 2.710 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000340556026 383469363 /nfs/dbraw/zinc/46/93/63/383469363.db2.gz BZDTUOFKCJEQIV-NSHDSACASA-N 0 0 278.308 2.618 20 5 CFBDRN CCOc1ccccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340556788 383470628 /nfs/dbraw/zinc/47/06/28/383470628.db2.gz MJHDHVDILYGAMP-UHFFFAOYSA-N 0 0 275.264 2.574 20 5 CFBDRN CC(C)C1(C)CCN(C(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000428435841 383472168 /nfs/dbraw/zinc/47/21/68/383472168.db2.gz DINMMVFZDBCKJJ-UHFFFAOYSA-N 0 0 293.367 2.832 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000428101818 383421549 /nfs/dbraw/zinc/42/15/49/383421549.db2.gz TZDMGUNOIYVSLH-BZBKMWRSSA-N 0 0 280.711 2.777 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ncccc1C(F)(F)F ZINC000428067314 383421581 /nfs/dbraw/zinc/42/15/81/383421581.db2.gz SJTSUSYTSADCBH-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN Cc1cc(OCC[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000450908197 383545701 /nfs/dbraw/zinc/54/57/01/383545701.db2.gz LXMGLXUNUCYPFU-JTQLQIEISA-N 0 0 269.272 2.848 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])c1ccncc1Cl ZINC000340601349 383483973 /nfs/dbraw/zinc/48/39/73/383483973.db2.gz UUWWCNGSRMUSQN-UHFFFAOYSA-N 0 0 292.678 2.905 20 5 CFBDRN CO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H]1C ZINC000450769557 383486881 /nfs/dbraw/zinc/48/68/81/383486881.db2.gz WLFDEMSVSQTLPZ-GZMMTYOYSA-N 0 0 285.731 2.504 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]([C@@H](CO)C2CC2)C2CC2)cc1 ZINC000450776961 383487694 /nfs/dbraw/zinc/48/76/94/383487694.db2.gz LWJTYERJWAOOGN-LSDHHAIUSA-N 0 0 276.336 2.804 20 5 CFBDRN CCc1nc(C)c(NC(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000428542212 383489357 /nfs/dbraw/zinc/48/93/57/383489357.db2.gz UQCOREDRXWMJOT-UHFFFAOYSA-N 0 0 280.309 2.503 20 5 CFBDRN C[C@@H]1C[C@H](CN2CCc3c2cccc3[N+](=O)[O-])CCO1 ZINC000488110572 383496206 /nfs/dbraw/zinc/49/62/06/383496206.db2.gz MYFFUEXIZNFVIW-VXGBXAGGSA-N 0 0 276.336 2.772 20 5 CFBDRN CCO[C@H](CC)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000569436081 383499263 /nfs/dbraw/zinc/49/92/63/383499263.db2.gz FGDACGIJTJMYNX-CQSZACIVSA-N 0 0 292.335 2.689 20 5 CFBDRN COc1cccc(N[C@H]2CC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000340913537 383502339 /nfs/dbraw/zinc/50/23/39/383502339.db2.gz MQVBNMOJHJSJSD-BDAKNGLRSA-N 0 0 254.261 2.906 20 5 CFBDRN Cc1cc(COc2cc(C)c([N+](=O)[O-])cc2F)ncn1 ZINC000488259385 383510117 /nfs/dbraw/zinc/51/01/17/383510117.db2.gz AYBFDYNNSORQJI-UHFFFAOYSA-N 0 0 277.255 2.720 20 5 CFBDRN CC(C)n1cc(COc2ccc([N+](=O)[O-])cc2Cl)nn1 ZINC000488263470 383510879 /nfs/dbraw/zinc/51/08/79/383510879.db2.gz MYWHVVDKVZRVIQ-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN C[C@H]1C[C@H](CN2CCc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000488318501 383518897 /nfs/dbraw/zinc/51/88/97/383518897.db2.gz KEROPQVWKHGBDH-NWDGAFQWSA-N 0 0 276.336 2.772 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1COc2cccc(Cl)c21 ZINC000341039694 383540164 /nfs/dbraw/zinc/54/01/64/383540164.db2.gz FIRBQEQFARGPLM-QMMMGPOBSA-N 0 0 294.698 2.527 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Sc2nc3c([nH]2)CCC3)n1 ZINC000488755156 383574876 /nfs/dbraw/zinc/57/48/76/383574876.db2.gz WWPYDJCFNVJBBD-UHFFFAOYSA-N 0 0 290.348 2.970 20 5 CFBDRN CC[C@H](C)NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429914366 383576764 /nfs/dbraw/zinc/57/67/64/383576764.db2.gz PFVOWEGXHXLDOY-JTQLQIEISA-N 0 0 264.325 2.787 20 5 CFBDRN CNc1c(C(=O)N(C)[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000430450432 383595061 /nfs/dbraw/zinc/59/50/61/383595061.db2.gz AWNCASQHZUCNFB-WDEREUQCSA-N 0 0 291.351 2.897 20 5 CFBDRN COC[C@H]1CCCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000450931747 383555682 /nfs/dbraw/zinc/55/56/82/383555682.db2.gz HBFJTPYFYDVOIB-SECBINFHSA-N 0 0 285.731 2.649 20 5 CFBDRN CCn1nccc1CN(C)Cc1cccc([N+](=O)[O-])c1C ZINC000429712373 383562595 /nfs/dbraw/zinc/56/25/95/383562595.db2.gz HBRYVSPEXAGRMK-UHFFFAOYSA-N 0 0 288.351 2.752 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000570862474 383642958 /nfs/dbraw/zinc/64/29/58/383642958.db2.gz HIYDNPUMQTTWLI-SNVBAGLBSA-N 0 0 265.313 2.623 20 5 CFBDRN CN(C(=O)CCc1cccc([N+](=O)[O-])c1)[C@H]1CC1(C)C ZINC000489400369 383614867 /nfs/dbraw/zinc/61/48/67/383614867.db2.gz WDQGNUFCXGMFQB-ZDUSSCGKSA-N 0 0 276.336 2.784 20 5 CFBDRN O=C(NC[C@@H]1CCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000431081700 383628500 /nfs/dbraw/zinc/62/85/00/383628500.db2.gz GJGBFIXGBWGKHX-SECBINFHSA-N 0 0 284.262 2.760 20 5 CFBDRN CCCN(c1cccc([N+](=O)[O-])c1)S(=O)(=O)C1(C)CC1 ZINC000451499350 383630067 /nfs/dbraw/zinc/63/00/67/383630067.db2.gz FBJQSDOAQCISHA-UHFFFAOYSA-N 0 0 298.364 2.694 20 5 CFBDRN CC(C)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264585303 383758387 /nfs/dbraw/zinc/75/83/87/383758387.db2.gz DJNTXCMMKWLBMR-UHFFFAOYSA-N 0 0 263.253 2.570 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCCC1 ZINC000264606902 383761403 /nfs/dbraw/zinc/76/14/03/383761403.db2.gz FHFXMFHQAHITMV-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN Cc1nc(C)c(NC(=O)c2ccc([N+](=O)[O-])o2)s1 ZINC000434034945 383766232 /nfs/dbraw/zinc/76/62/32/383766232.db2.gz FZNRRDGYFUBVEV-UHFFFAOYSA-N 0 0 267.266 2.513 20 5 CFBDRN Cc1c(CN2C[C@H](O)Cc3ccccc32)cccc1[N+](=O)[O-] ZINC000434675382 383770896 /nfs/dbraw/zinc/77/08/96/383770896.db2.gz LSUHVVAJOWAALJ-OAHLLOKOSA-N 0 0 298.342 2.827 20 5 CFBDRN Cc1ncc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(C2CC2)n1 ZINC000280934959 195035362 /nfs/dbraw/zinc/03/53/62/195035362.db2.gz GEJUNOLDZPLNJX-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H](CO)CC(F)F)ccc2ncccc21 ZINC000572314027 383772899 /nfs/dbraw/zinc/77/28/99/383772899.db2.gz JYJFLJXOVQMTMU-QMMMGPOBSA-N 0 0 297.261 2.571 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC(OC(F)F)C1 ZINC000435586756 383777004 /nfs/dbraw/zinc/77/70/04/383777004.db2.gz YRSOVWLYGISLIS-UHFFFAOYSA-N 0 0 295.245 2.571 20 5 CFBDRN CCn1cc(CN(C)Cc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000530623502 383777885 /nfs/dbraw/zinc/77/78/85/383777885.db2.gz VVMGGPGZRXNOGM-UHFFFAOYSA-N 0 0 292.314 2.582 20 5 CFBDRN O=C(c1cc(NCC2CC2)ccc1[N+](=O)[O-])N1CCCC1 ZINC000264934770 383804450 /nfs/dbraw/zinc/80/44/50/383804450.db2.gz QLLUTXKCXGFGNU-UHFFFAOYSA-N 0 0 289.335 2.653 20 5 CFBDRN CC(C)n1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000264937011 383804559 /nfs/dbraw/zinc/80/45/59/383804559.db2.gz XXVYNNAOKWCMIK-UHFFFAOYSA-N 0 0 288.307 2.649 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ccncn1 ZINC000453225378 383808012 /nfs/dbraw/zinc/80/80/12/383808012.db2.gz OBRUPDPGDQQJNF-WDEREUQCSA-N 0 0 272.308 2.797 20 5 CFBDRN COC1(COc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000418882044 533009497 /nfs/dbraw/zinc/00/94/97/533009497.db2.gz YEWUAAHIHGIUEG-UHFFFAOYSA-N 0 0 255.245 2.682 20 5 CFBDRN COc1ccc(CNc2cc(C)ccc2[N+](=O)[O-])nc1 ZINC000436428342 383817129 /nfs/dbraw/zinc/81/71/29/383817129.db2.gz BFLWKZWUELNAGN-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000531131249 383822974 /nfs/dbraw/zinc/82/29/74/383822974.db2.gz JLEJEPZBBVNZFB-PWSUYJOCSA-N 0 0 293.367 2.878 20 5 CFBDRN CCOc1cc(N(C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC000531146751 383824919 /nfs/dbraw/zinc/82/49/19/383824919.db2.gz VOQASQYIOUFWHQ-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000264772273 383781334 /nfs/dbraw/zinc/78/13/34/383781334.db2.gz GOOMYPFZLGKKHW-QMTHXVAHSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)Oc1nc(N2C[C@H](C)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000572541645 383791461 /nfs/dbraw/zinc/79/14/61/383791461.db2.gz DTERGBYFEBORCY-QWRGUYRKSA-N 0 0 279.340 2.869 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1CCSCC1 ZINC000264852992 383791722 /nfs/dbraw/zinc/79/17/22/383791722.db2.gz NLINCVZNHUPIMS-UHFFFAOYSA-N 0 0 294.376 2.696 20 5 CFBDRN CC(C)N1CC[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1=O ZINC000265123741 383837083 /nfs/dbraw/zinc/83/70/83/383837083.db2.gz KRSLNDJEBTZOKG-NSHDSACASA-N 0 0 297.742 2.669 20 5 CFBDRN O=C(Nc1cccc2ccncc21)c1ccc([N+](=O)[O-])o1 ZINC000531274577 383842432 /nfs/dbraw/zinc/84/24/32/383842432.db2.gz NJZCSNYHSAYXRX-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN CC(C)(C)[S@@](=O)CCNc1ccccc1[N+](=O)[O-] ZINC000265231093 383867288 /nfs/dbraw/zinc/86/72/88/383867288.db2.gz BYLXVPGCFGIIFE-SFHVURJKSA-N 0 0 270.354 2.554 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])cn1)[C@H](C)c1cccnc1 ZINC000265258620 383877336 /nfs/dbraw/zinc/87/73/36/383877336.db2.gz XYILEMWOUSTCEI-LLVKDONJSA-N 0 0 272.308 2.972 20 5 CFBDRN CN(C(=O)CCOc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000573326487 383911685 /nfs/dbraw/zinc/91/16/85/383911685.db2.gz IZAGQZKZAGKXML-UHFFFAOYSA-N 0 0 280.324 2.621 20 5 CFBDRN Cc1cc(=O)n(CCCCC2CCOCC2)cc1[N+](=O)[O-] ZINC000281055903 195084909 /nfs/dbraw/zinc/08/49/09/195084909.db2.gz SXKKNIOKWLFSMH-UHFFFAOYSA-N 0 0 294.351 2.662 20 5 CFBDRN CO[C@H](CSc1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000573205500 383891202 /nfs/dbraw/zinc/89/12/02/383891202.db2.gz VLNDJDNWSQRPNQ-SNVBAGLBSA-N 0 0 254.311 2.507 20 5 CFBDRN CC(C)(C)[S@@](=O)CCNc1ccc([N+](=O)[O-])cc1F ZINC000265327104 383894972 /nfs/dbraw/zinc/89/49/72/383894972.db2.gz KQJVHNHQELFKFA-IBGZPJMESA-N 0 0 288.344 2.693 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000531613111 383898166 /nfs/dbraw/zinc/89/81/66/383898166.db2.gz HSWXFUQNIGQCDX-UHFFFAOYSA-N 0 0 285.303 2.749 20 5 CFBDRN Cc1nc2ccc(NC(=O)c3ccc([N+](=O)[O-])cn3)cc2o1 ZINC000531633709 383901411 /nfs/dbraw/zinc/90/14/11/383901411.db2.gz WXYOOZXKHSSVHC-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CCCC[C@@H](COC)Nc1ncccc1[N+](=O)[O-] ZINC000265502869 383934372 /nfs/dbraw/zinc/93/43/72/383934372.db2.gz NKLQRAZJUKFYQN-JTQLQIEISA-N 0 0 253.302 2.607 20 5 CFBDRN Cc1cc(OC[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000532132362 383980372 /nfs/dbraw/zinc/98/03/72/383980372.db2.gz RKPQLEVWUWLVDR-NSHDSACASA-N 0 0 251.282 2.709 20 5 CFBDRN CCc1nocc1COc1ccc(OC)c([N+](=O)[O-])c1 ZINC000573742729 383983036 /nfs/dbraw/zinc/98/30/36/383983036.db2.gz XOVJRJQJOUJDSA-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN CCc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000531881728 383936865 /nfs/dbraw/zinc/93/68/65/383936865.db2.gz ZKVOQNITPWEYSF-UHFFFAOYSA-N 0 0 299.330 2.824 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000454351204 384003238 /nfs/dbraw/zinc/00/32/38/384003238.db2.gz SHOMSOQEVCDNQR-ONGXEEELSA-N 0 0 293.298 2.902 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265607491 383956909 /nfs/dbraw/zinc/95/69/09/383956909.db2.gz MOIRBYRDBJWRLK-SCVCMEIPSA-N 0 0 277.324 2.716 20 5 CFBDRN CCC[C@H](C)[C@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000573689813 383972723 /nfs/dbraw/zinc/97/27/23/383972723.db2.gz UXZJUKOTYKAXMT-GWCFXTLKSA-N 0 0 295.339 2.513 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000532095589 383973066 /nfs/dbraw/zinc/97/30/66/383973066.db2.gz WNECBBJIJDSONL-LURJTMIESA-N 0 0 266.232 2.598 20 5 CFBDRN CCC(CC)(CC)CNC(=O)c1cc([N+](=O)[O-])cn1C ZINC000532093490 383973909 /nfs/dbraw/zinc/97/39/09/383973909.db2.gz MWNYXQWQYOYODU-UHFFFAOYSA-N 0 0 281.356 2.880 20 5 CFBDRN Cc1ccccc1[C@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000532110481 383975139 /nfs/dbraw/zinc/97/51/39/383975139.db2.gz WQHCWVOHNLWCAN-ZDUSSCGKSA-N 0 0 287.319 2.752 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CCC[C@H]1F)c1ccccc1[N+](=O)[O-] ZINC000454753282 384020833 /nfs/dbraw/zinc/02/08/33/384020833.db2.gz FDXRCTYIMZBGAI-WCQGTBRESA-N 0 0 295.314 2.846 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)[C@H](C)C1 ZINC000532393232 384025146 /nfs/dbraw/zinc/02/51/46/384025146.db2.gz QLHLFUCIGIWCOT-FOGDFJRCSA-N 0 0 277.324 2.544 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CCC[C@@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000454366830 384010207 /nfs/dbraw/zinc/01/02/07/384010207.db2.gz QJHQWEASCKHBKW-TVYUQYBPSA-N 0 0 295.314 2.846 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000569464558 322474657 /nfs/dbraw/zinc/47/46/57/322474657.db2.gz YNMSALCXNKKUOH-RNCFNFMXSA-N 0 0 270.304 2.943 20 5 CFBDRN COC(C)(C)CN(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000532327790 384010972 /nfs/dbraw/zinc/01/09/72/384010972.db2.gz SLSWPDPQOSBRPP-UHFFFAOYSA-N 0 0 295.339 2.792 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000439078502 384033021 /nfs/dbraw/zinc/03/30/21/384033021.db2.gz OETVZDBJNBDKLL-QWHCGFSZSA-N 0 0 276.336 2.848 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])o1 ZINC000532933638 384083821 /nfs/dbraw/zinc/08/38/21/384083821.db2.gz FWEHIOKWHCZSDY-RXMQYKEDSA-N 0 0 283.675 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc(CCOc2ccc3c(c2)OCO3)cc1 ZINC000440083883 384059876 /nfs/dbraw/zinc/05/98/76/384059876.db2.gz YHOUPJQNOIFWDS-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN Cc1ccc([C@H](O)CNc2c(C)cccc2[N+](=O)[O-])o1 ZINC000266043591 384061700 /nfs/dbraw/zinc/06/17/00/384061700.db2.gz UMLZTPHECRRQMS-GFCCVEGCSA-N 0 0 276.292 2.950 20 5 CFBDRN C[C@H](C(=O)NCCc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000266050540 384063744 /nfs/dbraw/zinc/06/37/44/384063744.db2.gz NQVUNPWBPZQTEF-LLVKDONJSA-N 0 0 278.352 2.936 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000532727013 384063821 /nfs/dbraw/zinc/06/38/21/384063821.db2.gz AAJOATWXLIWAAH-NSHDSACASA-N 0 0 279.292 2.603 20 5 CFBDRN CO[C@@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000440329486 384078044 /nfs/dbraw/zinc/07/80/44/384078044.db2.gz TZDOYLNDHLQHMR-NWDGAFQWSA-N 0 0 293.323 2.530 20 5 CFBDRN C[C@@H]1CCCC[C@@]1(C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000455031452 384118114 /nfs/dbraw/zinc/11/81/14/384118114.db2.gz HEYOFDAPVJBGOH-QMTHXVAHSA-N 0 0 292.339 2.502 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1Cc1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000266186150 384107791 /nfs/dbraw/zinc/10/77/91/384107791.db2.gz ULIRMLCZCHZKIH-VXGBXAGGSA-N 0 0 286.287 2.549 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2CCCCO2)c1 ZINC000574517716 384107718 /nfs/dbraw/zinc/10/77/18/384107718.db2.gz JNJAILWEZDBKDM-NSHDSACASA-N 0 0 267.281 2.551 20 5 CFBDRN C[C@H]1C[N@@H+](C2CC2)C[C@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000440598015 384110492 /nfs/dbraw/zinc/11/04/92/384110492.db2.gz MTGSIUXXVSQRMI-ISVAXAHUSA-N 0 0 297.305 2.768 20 5 CFBDRN Cc1n[nH]cc1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000440600050 384112319 /nfs/dbraw/zinc/11/23/19/384112319.db2.gz ZUEAWOPQUARZMJ-UHFFFAOYSA-N 0 0 254.196 2.648 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000266196424 384112757 /nfs/dbraw/zinc/11/27/57/384112757.db2.gz CKUKZFSCYBBJML-GZMMTYOYSA-N 0 0 292.295 2.501 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000266205343 384113497 /nfs/dbraw/zinc/11/34/97/384113497.db2.gz FESSETZLDUEXCK-SFYZADRCSA-N 0 0 290.266 2.625 20 5 CFBDRN Cc1cnc(NCC[C@@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000266199998 384113723 /nfs/dbraw/zinc/11/37/23/384113723.db2.gz IZZXYUFCFKGGSQ-CQSZACIVSA-N 0 0 287.319 2.834 20 5 CFBDRN O=C(NCC1CCCCCC1)Nc1ccncc1[N+](=O)[O-] ZINC000455037951 384119203 /nfs/dbraw/zinc/11/92/03/384119203.db2.gz JVFIBJWANATCJF-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN CC(C)[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000574660536 384130910 /nfs/dbraw/zinc/13/09/10/384130910.db2.gz RALBMXDZPSQWDB-JOYOIKCWSA-N 0 0 283.303 2.744 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC1CC(C)(F)C1 ZINC000455141772 384150893 /nfs/dbraw/zinc/15/08/93/384150893.db2.gz OSJJJDNDOSEOAE-UHFFFAOYSA-N 0 0 295.314 2.627 20 5 CFBDRN CCC[C@@]1(C)CCCN(C(=O)c2[nH]nc(C)c2[N+](=O)[O-])C1 ZINC000266305287 384142539 /nfs/dbraw/zinc/14/25/39/384142539.db2.gz BQCLTKMOUFASHT-AWEZNQCLSA-N 0 0 294.355 2.669 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000574981177 384171443 /nfs/dbraw/zinc/17/14/43/384171443.db2.gz YTABXJWAQRSNIN-NSHDSACASA-N 0 0 289.335 2.702 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000455170654 384159881 /nfs/dbraw/zinc/15/98/81/384159881.db2.gz OKYYQZCGJODUSP-ZJUUUORDSA-N 0 0 293.323 2.771 20 5 CFBDRN CC1(C)C[C@H]1Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000266451585 384177881 /nfs/dbraw/zinc/17/78/81/384177881.db2.gz XGOZGCXAWBAJKD-GFCCVEGCSA-N 0 0 291.307 2.762 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@@H]1c1ncon1 ZINC000372722991 285089714 /nfs/dbraw/zinc/08/97/14/285089714.db2.gz XNAYVOOJWKSRHN-SNVBAGLBSA-N 0 0 294.698 2.973 20 5 CFBDRN CCCN(CCC)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266470430 384181649 /nfs/dbraw/zinc/18/16/49/384181649.db2.gz ANTPTJLGLMCBNC-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1-c1nc(-c2ncc[nH]2)no1 ZINC000349763992 285087888 /nfs/dbraw/zinc/08/78/88/285087888.db2.gz KSZSQCFYDNJFTG-UHFFFAOYSA-N 0 0 291.654 2.688 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CC(C)(F)F ZINC000277117933 384206114 /nfs/dbraw/zinc/20/61/14/384206114.db2.gz YQYVDQQXRXIXFX-UHFFFAOYSA-N 0 0 272.251 2.599 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCS1 ZINC000266611320 384207664 /nfs/dbraw/zinc/20/76/64/384207664.db2.gz CIEQKCKMFPLXLO-JTQLQIEISA-N 0 0 270.285 2.568 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCCC(F)(F)F ZINC000266551845 384196573 /nfs/dbraw/zinc/19/65/73/384196573.db2.gz OQZMMTAUMLHZFZ-UHFFFAOYSA-N 0 0 290.241 2.596 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CSc2ncccn2)c(F)c1 ZINC000505443133 285093241 /nfs/dbraw/zinc/09/32/41/285093241.db2.gz CPZZQRAWRWZTLL-UHFFFAOYSA-N 0 0 283.259 2.955 20 5 CFBDRN O=C(/C=C/C1CC1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000266619079 384209618 /nfs/dbraw/zinc/20/96/18/384209618.db2.gz FIXYXDUYJAVPEB-ONEGZZNKSA-N 0 0 268.219 2.778 20 5 CFBDRN CCC1(CNc2cc(C)c([N+](=O)[O-])cn2)CCOCC1 ZINC000266803014 384240437 /nfs/dbraw/zinc/24/04/37/384240437.db2.gz CNRDLOSKJSTXGI-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN C[C@H]1C[C@H]1CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000277172611 384221903 /nfs/dbraw/zinc/22/19/03/384221903.db2.gz UOOLHNFOZGWPKX-ONGXEEELSA-N 0 0 289.335 2.555 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC(n2cccn2)CC1 ZINC000301096562 285095279 /nfs/dbraw/zinc/09/52/79/285095279.db2.gz MPOABGYCLVNTGC-UHFFFAOYSA-N 0 0 290.298 2.772 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C(C)(C)C1 ZINC000266909055 384259369 /nfs/dbraw/zinc/25/93/69/384259369.db2.gz KUCOMRBNDXHJNX-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000266952307 384267801 /nfs/dbraw/zinc/26/78/01/384267801.db2.gz SYDCLOLURNDRLD-CABZTGNLSA-N 0 0 262.309 2.543 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H](C)[C@H]1C ZINC000277357558 384278197 /nfs/dbraw/zinc/27/81/97/384278197.db2.gz CBDHVBMORAXINU-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000267037602 384283778 /nfs/dbraw/zinc/28/37/78/384283778.db2.gz MAXXBFMRCUEXLY-CQSZACIVSA-N 0 0 282.340 2.704 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1cccc(C)c1 ZINC000267034213 384284277 /nfs/dbraw/zinc/28/42/77/384284277.db2.gz BPZVXCQDWKXKFN-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C(C)C)C1CC1 ZINC000277405871 384293760 /nfs/dbraw/zinc/29/37/60/384293760.db2.gz HKAPYPNHSTUTIY-UHFFFAOYSA-N 0 0 278.308 2.616 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1CCCOC1 ZINC000288198805 285102891 /nfs/dbraw/zinc/10/28/91/285102891.db2.gz KMLYILQBOLYYDL-NSHDSACASA-N 0 0 273.292 2.734 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)NC1CC(F)(F)C1 ZINC000277749804 384395479 /nfs/dbraw/zinc/39/54/79/384395479.db2.gz WANNLDLXUPRETN-UHFFFAOYSA-N 0 0 289.213 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3ccc(F)cn3)n2)cc1 ZINC000337651342 285105558 /nfs/dbraw/zinc/10/55/58/285105558.db2.gz DLZMMOXOOKCNPI-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3ccoc3)n2)cc1 ZINC000345688924 285106333 /nfs/dbraw/zinc/10/63/33/285106333.db2.gz DSYMUJCGLYJDFJ-UHFFFAOYSA-N 0 0 257.205 2.905 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000277695299 384378597 /nfs/dbraw/zinc/37/85/97/384378597.db2.gz RAZXXNHYWSLEEW-JQWIXIFHSA-N 0 0 287.319 2.980 20 5 CFBDRN CCO[C@H](CNc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000277709678 384382040 /nfs/dbraw/zinc/38/20/40/384382040.db2.gz INRABQYXLNBRHJ-GFCCVEGCSA-N 0 0 290.323 2.518 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@@H]([C@@H](C)O)C1 ZINC000277925366 384441114 /nfs/dbraw/zinc/44/11/14/384441114.db2.gz ZYMAEMWEMRKBAU-NXEZZACHSA-N 0 0 284.743 2.764 20 5 CFBDRN CC1(CNC(=O)Nc2cccc([N+](=O)[O-])c2)CCOCC1 ZINC000267796420 384466910 /nfs/dbraw/zinc/46/69/10/384466910.db2.gz ICEHTPFROKTRAO-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC[C@H]3CCCO3)n2)s1 ZINC000278107582 384475452 /nfs/dbraw/zinc/47/54/52/384475452.db2.gz INJXRTYPRQDTDI-MRVPVSSYSA-N 0 0 295.320 2.818 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)C[C@@H]1CCCCO1 ZINC000278122938 384478092 /nfs/dbraw/zinc/47/80/92/384478092.db2.gz LWOAHIVOYWCMRS-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@H]1C[C@@H]1C ZINC000267956932 384497330 /nfs/dbraw/zinc/49/73/30/384497330.db2.gz KLSXUVLVARTYFC-GXSJLCMTSA-N 0 0 277.324 2.989 20 5 CFBDRN CC[C@@H]1CCN(C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000267972563 384501406 /nfs/dbraw/zinc/50/14/06/384501406.db2.gz VGQZBZDLIVWTOR-GFCCVEGCSA-N 0 0 291.351 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc(C[C@@H]2CCCO2)no1 ZINC000268115987 384535624 /nfs/dbraw/zinc/53/56/24/384535624.db2.gz GKBZLNPWFIDBQI-VIFPVBQESA-N 0 0 293.254 2.505 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCC(C)(C)O1)c1cccc([N+](=O)[O-])c1 ZINC000278262056 384512439 /nfs/dbraw/zinc/51/24/39/384512439.db2.gz NXAXRIPQWPXOQT-ZWNOBZJWSA-N 0 0 292.335 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C[C@@H]3CCCCO3)n2)cc1 ZINC000268031447 384513851 /nfs/dbraw/zinc/51/38/51/384513851.db2.gz GYGZUISMXFHWCX-LBPRGKRZSA-N 0 0 289.291 2.756 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H]3CCC[C@@H]32)c1 ZINC000268052400 384518994 /nfs/dbraw/zinc/51/89/94/384518994.db2.gz UICUYTRBJQIQHC-GXTWGEPZSA-N 0 0 262.309 2.661 20 5 CFBDRN CN(CC1CC1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268087392 384528968 /nfs/dbraw/zinc/52/89/68/384528968.db2.gz KEOWJIOCCUNFPE-UHFFFAOYSA-N 0 0 297.742 2.800 20 5 CFBDRN C[C@@H](C[C@@H](O)c1ccccc1)Nc1ncccc1[N+](=O)[O-] ZINC000268149675 384545308 /nfs/dbraw/zinc/54/53/08/384545308.db2.gz FASDYOCAQAAOJS-SMDDNHRTSA-N 0 0 287.319 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(F)(F)C2)c2cccnc21 ZINC000413231371 285121179 /nfs/dbraw/zinc/12/11/79/285121179.db2.gz SMSBNWWIQOMULS-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN Cc1ccncc1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000359708187 220315982 /nfs/dbraw/zinc/31/59/82/220315982.db2.gz QNSUJFCRAWOLGE-NSHDSACASA-N 0 0 297.314 2.890 20 5 CFBDRN COc1cc(NC[C@H](C)C[C@@H](C)O)c([N+](=O)[O-])cc1F ZINC000268131355 384539506 /nfs/dbraw/zinc/53/95/06/384539506.db2.gz ASODFIRJSARSDC-RKDXNWHRSA-N 0 0 286.303 2.561 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Oc2cc([N+](=O)[O-])ccc2C)O1 ZINC000268217707 384570072 /nfs/dbraw/zinc/57/00/72/384570072.db2.gz CMSBHHOJUBKJJC-RYUDHWBXSA-N 0 0 279.292 2.766 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278429835 384570252 /nfs/dbraw/zinc/57/02/52/384570252.db2.gz PLQCUGMGYVSSJJ-MNOVXSKESA-N 0 0 291.351 2.790 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000289317386 197294939 /nfs/dbraw/zinc/29/49/39/197294939.db2.gz WUQWYHYTDVBPPP-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](OCC(C)C)C2)c1 ZINC000268287226 384591051 /nfs/dbraw/zinc/59/10/51/384591051.db2.gz SJHDDFBNHVJDKD-CYBMUJFWSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1ccnc(N2CC[C@H](OCC(C)C)C2)c1[N+](=O)[O-] ZINC000268272673 384587723 /nfs/dbraw/zinc/58/77/23/384587723.db2.gz ODHOBLBVAROMFS-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1cccc([N+](=O)[O-])c1 ZINC000268208565 384564501 /nfs/dbraw/zinc/56/45/01/384564501.db2.gz SWCAZDIWCZBXLJ-AAEUAGOBSA-N 0 0 264.325 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3nccs3)C2)nc1 ZINC000301120059 285123637 /nfs/dbraw/zinc/12/36/37/285123637.db2.gz LZEYWPYWHNDKLH-SNVBAGLBSA-N 0 0 290.348 2.830 20 5 CFBDRN O=[N+]([O-])c1cc(CN2C[C@@H](O)Cc3ccccc32)cs1 ZINC000278931667 384601724 /nfs/dbraw/zinc/60/17/24/384601724.db2.gz SRDJDEOEAURECL-LBPRGKRZSA-N 0 0 290.344 2.580 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3c(F)cc(F)cc3C2)cn1 ZINC000360764703 285131388 /nfs/dbraw/zinc/13/13/88/285131388.db2.gz OCNOIMFWIYJSCA-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](F)[C@@H](F)C2)c2ncccc12 ZINC000295090837 285133018 /nfs/dbraw/zinc/13/30/18/285133018.db2.gz ZDNCBNDFAROWLG-AOOOYVTPSA-N 0 0 279.246 2.639 20 5 CFBDRN CN(C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000279599335 384690719 /nfs/dbraw/zinc/69/07/19/384690719.db2.gz QWNXZSXKFBMLHC-UHFFFAOYSA-N 0 0 286.690 2.551 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1CCSC1 ZINC000279605669 384692577 /nfs/dbraw/zinc/69/25/77/384692577.db2.gz RLFRUIREAZYSFV-SNVBAGLBSA-N 0 0 295.364 2.778 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H](c3ccccc3)C2)n1 ZINC000269041220 384717406 /nfs/dbraw/zinc/71/74/06/384717406.db2.gz UECCREQPFVHYDR-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCc3c[nH]nc32)cc1 ZINC000271615615 285135975 /nfs/dbraw/zinc/13/59/75/285135975.db2.gz KWMUZOAQILBORH-LBPRGKRZSA-N 0 0 258.281 2.807 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269329681 384747719 /nfs/dbraw/zinc/74/77/19/384747719.db2.gz ACDNZNOMWHIUNQ-UWVGGRQHSA-N 0 0 294.355 2.750 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCC[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000269497884 384767568 /nfs/dbraw/zinc/76/75/68/384767568.db2.gz MQHSXSSBMLOUHT-ZJUUUORDSA-N 0 0 294.355 2.702 20 5 CFBDRN CCC1(O)CCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000269663218 384825790 /nfs/dbraw/zinc/82/57/90/384825790.db2.gz USHXGAJFRLTMTN-UHFFFAOYSA-N 0 0 286.278 2.614 20 5 CFBDRN Cc1c(CC(=O)N2C[C@@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000269586640 384796392 /nfs/dbraw/zinc/79/63/92/384796392.db2.gz BJBLLLJODLRRMH-GHMZBOCLSA-N 0 0 276.336 2.560 20 5 CFBDRN CSC1(CNC(=O)c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000280087831 384877827 /nfs/dbraw/zinc/87/78/27/384877827.db2.gz LFIBYZSJPJKHMK-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN C[C@@H]1CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000269835547 384878361 /nfs/dbraw/zinc/87/83/61/384878361.db2.gz GPAKFBDXYWTTEA-MNOVXSKESA-N 0 0 291.351 2.566 20 5 CFBDRN CC1(CCNC(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000280095662 384881396 /nfs/dbraw/zinc/88/13/96/384881396.db2.gz WXPVQCLDTSOJMM-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(C[C@@H]1CCCCO1)CC2 ZINC000088606096 285142018 /nfs/dbraw/zinc/14/20/18/285142018.db2.gz NRTRMMSKHHQAAI-HNNXBMFYSA-N 0 0 276.336 2.522 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CC[C@H](O)CC2)c([N+](=O)[O-])c1 ZINC000269868525 384888300 /nfs/dbraw/zinc/88/83/00/384888300.db2.gz LSXLBBIKGFHAPH-HAQNSBGRSA-N 0 0 278.308 2.513 20 5 CFBDRN Cc1cc(N(CCCO)CC(C)(C)C)ncc1[N+](=O)[O-] ZINC000269740767 384859553 /nfs/dbraw/zinc/85/95/53/384859553.db2.gz XABGAVADSHCDTD-UHFFFAOYSA-N 0 0 281.356 2.533 20 5 CFBDRN CCN(CC)C(=O)CSc1ccc(C)cc1[N+](=O)[O-] ZINC000269929830 384906801 /nfs/dbraw/zinc/90/68/01/384906801.db2.gz MSYRWNBBHWSGAO-UHFFFAOYSA-N 0 0 282.365 2.864 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000280185715 384919803 /nfs/dbraw/zinc/91/98/03/384919803.db2.gz ZYZFGIYQGVLADC-HNNXBMFYSA-N 0 0 292.335 2.626 20 5 CFBDRN CO[C@H](c1nc(-c2cccc([N+](=O)[O-])c2)no1)C1CC1 ZINC000280201880 384926252 /nfs/dbraw/zinc/92/62/52/384926252.db2.gz FAKVYAPQRCLIHM-NSHDSACASA-N 0 0 275.264 2.742 20 5 CFBDRN Cc1c(C(=O)Nc2nc(C3CC3)c[nH]2)cccc1[N+](=O)[O-] ZINC000289250493 197275281 /nfs/dbraw/zinc/27/52/81/197275281.db2.gz FUVJGDRDSCKRMS-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CCc1occc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000280452449 385020473 /nfs/dbraw/zinc/02/04/73/385020473.db2.gz VSSJUQWXWTZBGH-UHFFFAOYSA-N 0 0 286.287 2.953 20 5 CFBDRN C[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@@H](C2CC2)O1 ZINC000280607134 385080580 /nfs/dbraw/zinc/08/05/80/385080580.db2.gz YDBRWRRPZPRZDQ-OQPBUACISA-N 0 0 298.289 2.877 20 5 CFBDRN CCCCCNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270289595 385043068 /nfs/dbraw/zinc/04/30/68/385043068.db2.gz OPZSUGSLIBSMQI-UHFFFAOYSA-N 0 0 279.340 2.627 20 5 CFBDRN O=c1[nH]c(N[C@@H]2CCC[C@H]2F)nc2ccc([N+](=O)[O-])cc12 ZINC000338842725 285151734 /nfs/dbraw/zinc/15/17/34/285151734.db2.gz KXFSOYHBFRBTJO-MWLCHTKSSA-N 0 0 292.270 2.546 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000280725023 385128787 /nfs/dbraw/zinc/12/87/87/385128787.db2.gz YKNHXYCFWPDACE-WBMJQRKESA-N 0 0 290.363 2.903 20 5 CFBDRN CC(=O)N1CCC[C@@H](CNc2cccc(C)c2[N+](=O)[O-])C1 ZINC000280631805 385091973 /nfs/dbraw/zinc/09/19/73/385091973.db2.gz ZZCXCFFEBGYPAL-ZDUSSCGKSA-N 0 0 291.351 2.574 20 5 CFBDRN CC1CC(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000270412821 385092949 /nfs/dbraw/zinc/09/29/49/385092949.db2.gz XWJOLDKQMLJJPW-UHFFFAOYSA-N 0 0 287.319 2.533 20 5 CFBDRN CCC(=O)c1ccc(N2CC[C@@H](COC)C2)c([N+](=O)[O-])c1 ZINC000280670331 385107335 /nfs/dbraw/zinc/10/73/35/385107335.db2.gz HLUWHNYFCVKSPY-LLVKDONJSA-N 0 0 292.335 2.660 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1CC=CC1 ZINC000289164065 197250811 /nfs/dbraw/zinc/25/08/11/197250811.db2.gz YPXAIMQUHINCBA-UHFFFAOYSA-N 0 0 274.320 2.562 20 5 CFBDRN CO[C@@H]1CCN(c2ccccc2[N+](=O)[O-])[C@H](C)C1 ZINC000281607787 385197540 /nfs/dbraw/zinc/19/75/40/385197540.db2.gz IVPMDXDNDCVIQX-GHMZBOCLSA-N 0 0 250.298 2.599 20 5 CFBDRN CCOCCN(C)c1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000280820134 385168786 /nfs/dbraw/zinc/16/87/86/385168786.db2.gz XVGIFDFYHHGVJD-UHFFFAOYSA-N 0 0 280.324 2.660 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000289120801 197240431 /nfs/dbraw/zinc/24/04/31/197240431.db2.gz QTOSOJWITKSTJG-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1)[C@H](O)C(F)(F)F ZINC000270768489 385218439 /nfs/dbraw/zinc/21/84/39/385218439.db2.gz GJNCVCACQAWELI-UWVGGRQHSA-N 0 0 278.230 2.709 20 5 CFBDRN CN(Cc1ccn(C)n1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000270842492 385244728 /nfs/dbraw/zinc/24/47/28/385244728.db2.gz VYRSYHYJVMQZKB-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN COCCC1(C)CN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000289098390 197234556 /nfs/dbraw/zinc/23/45/56/197234556.db2.gz PGOFPMFFZRWAGI-UHFFFAOYSA-N 0 0 286.278 2.736 20 5 CFBDRN CN(Cc1ccc(Cl)c(F)c1)c1c([N+](=O)[O-])ncn1C ZINC000270722687 385204052 /nfs/dbraw/zinc/20/40/52/385204052.db2.gz OQLRKZXFXGTILM-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282412274 385305142 /nfs/dbraw/zinc/30/51/42/385305142.db2.gz LCJRGABOXTYPIF-QMMMGPOBSA-N 0 0 286.328 2.980 20 5 CFBDRN Cc1nc(CCNc2cc(N)ccc2[N+](=O)[O-])cs1 ZINC000282273302 385267098 /nfs/dbraw/zinc/26/70/98/385267098.db2.gz UCNXPSKARDNXFV-UHFFFAOYSA-N 0 0 278.337 2.597 20 5 CFBDRN CC(C)SCCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000282305344 385279569 /nfs/dbraw/zinc/27/95/69/385279569.db2.gz XRKZVFIPGYVCAL-UHFFFAOYSA-N 0 0 269.322 2.928 20 5 CFBDRN CCc1cccnc1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270956734 385282221 /nfs/dbraw/zinc/28/22/21/385282221.db2.gz NKLSAQNMFOTVAG-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCCc2occc2C1 ZINC000289089587 197232393 /nfs/dbraw/zinc/23/23/93/197232393.db2.gz CQALAIUZTJNGPI-UHFFFAOYSA-N 0 0 292.316 2.838 20 5 CFBDRN CO[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)[C@@H](C)C1 ZINC000282363746 385293724 /nfs/dbraw/zinc/29/37/24/385293724.db2.gz NMIWUJMQNUZKAI-GWCFXTLKSA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@@H](C)OCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282534697 385336266 /nfs/dbraw/zinc/33/62/66/385336266.db2.gz VSRSSRQRQGGQQE-SECBINFHSA-N 0 0 284.287 2.796 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000282551115 385340105 /nfs/dbraw/zinc/34/01/05/385340105.db2.gz DYGDFBXYSZBBMY-VXGBXAGGSA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000282559791 385341993 /nfs/dbraw/zinc/34/19/93/385341993.db2.gz FMKYAPSVCMIVPU-ZJUUUORDSA-N 0 0 299.710 2.973 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCOCC(F)(F)C1 ZINC000289023137 197216802 /nfs/dbraw/zinc/21/68/02/197216802.db2.gz OBLOCYOSWHKNCL-JTQLQIEISA-N 0 0 286.278 2.623 20 5 CFBDRN CC[C@@H](C)N(CC(=O)OC)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000282604927 385352079 /nfs/dbraw/zinc/35/20/79/385352079.db2.gz YIKWLOHCHXFHJM-SNVBAGLBSA-N 0 0 298.314 2.507 20 5 CFBDRN CCCCCNC(=O)c1cc(OCC)ccc1[N+](=O)[O-] ZINC000282645785 385361368 /nfs/dbraw/zinc/36/13/68/385361368.db2.gz LWXJVBBUOVEIDX-UHFFFAOYSA-N 0 0 280.324 2.914 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000282718762 385376038 /nfs/dbraw/zinc/37/60/38/385376038.db2.gz RMSILOVGDJSHJD-QWHCGFSZSA-N 0 0 279.292 2.628 20 5 CFBDRN CCSCCCNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000282733976 385379067 /nfs/dbraw/zinc/37/90/67/385379067.db2.gz SSJAKWIZLQGJKE-CMDGGOBGSA-N 0 0 294.376 2.867 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C(C)C)c1 ZINC000282735490 385379137 /nfs/dbraw/zinc/37/91/37/385379137.db2.gz YDHATIKOMCWWFL-JTQLQIEISA-N 0 0 280.324 2.768 20 5 CFBDRN CC(C)(C)n1ncnc1NCc1cccc([N+](=O)[O-])c1 ZINC000282753786 385383134 /nfs/dbraw/zinc/38/31/34/385383134.db2.gz YYSKMVDCTWDULT-UHFFFAOYSA-N 0 0 275.312 2.553 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC(C)(OC)CC1 ZINC000271633508 385387091 /nfs/dbraw/zinc/38/70/91/385387091.db2.gz HAKDJPVDWIVZNR-UHFFFAOYSA-N 0 0 294.351 2.747 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cnccn1)c1ccccc1[N+](=O)[O-] ZINC000271862097 385394987 /nfs/dbraw/zinc/39/49/87/385394987.db2.gz ZRTDJXOPJAAXLW-MNOVXSKESA-N 0 0 272.308 2.797 20 5 CFBDRN CCN(C(=O)N(C)CCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000282866397 385402506 /nfs/dbraw/zinc/40/25/06/385402506.db2.gz YZEIVSAQOBAXFX-UHFFFAOYSA-N 0 0 293.367 2.919 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000282902041 385408070 /nfs/dbraw/zinc/40/80/70/385408070.db2.gz UHQIICLTLFIVEN-JTQLQIEISA-N 0 0 278.337 2.777 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000272000975 385423158 /nfs/dbraw/zinc/42/31/58/385423158.db2.gz RUEZNJDIGFDZQL-KCJUWKMLSA-N 0 0 294.282 2.678 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)C1CCOCC1 ZINC000283125360 385438763 /nfs/dbraw/zinc/43/87/63/385438763.db2.gz SULLUSANNDMBGM-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN Cc1cc(N[C@H](C)[C@H]2CCCCO2)ncc1[N+](=O)[O-] ZINC000272023008 385428349 /nfs/dbraw/zinc/42/83/49/385428349.db2.gz PUCWQVWRFZHBOF-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN CC(C)C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000272024826 385429604 /nfs/dbraw/zinc/42/96/04/385429604.db2.gz QEBTXZQYJPFMGG-AAEUAGOBSA-N 0 0 279.340 2.995 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000272169824 385466018 /nfs/dbraw/zinc/46/60/18/385466018.db2.gz GVTCPQFRMVKGLC-FZMZJTMJSA-N 0 0 294.351 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)c3ccccc32)nc1 ZINC000375451303 285171562 /nfs/dbraw/zinc/17/15/62/285171562.db2.gz QNZJLMOLIBWUTO-UHFFFAOYSA-N 0 0 282.303 2.958 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCC[C@@H]1C ZINC000288925976 197193831 /nfs/dbraw/zinc/19/38/31/197193831.db2.gz QBKVRXYCZJLHHO-WCBMZHEXSA-N 0 0 252.318 2.720 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000272266261 385492992 /nfs/dbraw/zinc/49/29/92/385492992.db2.gz MQIHVKAUUJXPOX-NSHDSACASA-N 0 0 266.322 2.737 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cn1 ZINC000283729485 385493602 /nfs/dbraw/zinc/49/36/02/385493602.db2.gz ZBPATYSZJLEARU-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN Cc1cc(CNCc2cc(Cl)ccc2[N+](=O)[O-])n(C)n1 ZINC000283962582 385517854 /nfs/dbraw/zinc/51/78/54/385517854.db2.gz NFJVZESSTSDSDX-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN COC(=O)c1cc(OCCCCCF)ccc1[N+](=O)[O-] ZINC000283834322 385506691 /nfs/dbraw/zinc/50/66/91/385506691.db2.gz BMNPCAJLSGZMHX-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000283902067 385512548 /nfs/dbraw/zinc/51/25/48/385512548.db2.gz JDJYVTCKVIXJAJ-BDAKNGLRSA-N 0 0 295.314 2.577 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC23CCC3)c(Br)c1 ZINC000426898681 285172886 /nfs/dbraw/zinc/17/28/86/285172886.db2.gz NRVFNNPZTFRFCG-UHFFFAOYSA-N 0 0 298.140 2.885 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](Cc3ccccc3)C2)nc1 ZINC000371898650 285173257 /nfs/dbraw/zinc/17/32/57/285173257.db2.gz GPOUIGGHJJZFIX-CQSZACIVSA-N 0 0 298.346 2.844 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CC=CC2)c(Br)c1 ZINC000131105714 285180290 /nfs/dbraw/zinc/18/02/90/285180290.db2.gz JYQWVECFYXHBJY-UHFFFAOYSA-N 0 0 284.113 2.883 20 5 CFBDRN CCc1ccc(C(=O)N2CCc3ccncc32)cc1[N+](=O)[O-] ZINC000272441651 385538356 /nfs/dbraw/zinc/53/83/56/385538356.db2.gz UAXJKIWYVLBWOE-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN O=[N+]([O-])c1cnc(N2Cc3ccccc3C3(CCC3)C2)nc1 ZINC000376197553 285179558 /nfs/dbraw/zinc/17/95/58/285179558.db2.gz GNJXWNLCUFKQAO-UHFFFAOYSA-N 0 0 296.330 2.827 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCOC2)c(C(F)(F)F)c1 ZINC000413136575 285179999 /nfs/dbraw/zinc/17/99/99/285179999.db2.gz YEOOQXBDSCGDQU-SSDOTTSWSA-N 0 0 291.229 2.600 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3CCC[C@@H]32)c(F)c1 ZINC000408472698 285177169 /nfs/dbraw/zinc/17/71/69/285177169.db2.gz WOEGLBUQOKRJQD-KCJUWKMLSA-N 0 0 251.261 2.508 20 5 CFBDRN COCC[C@@H](Nc1ncccc1[N+](=O)[O-])c1ccco1 ZINC000272400146 385528374 /nfs/dbraw/zinc/52/83/74/385528374.db2.gz ASGZLLHBJOIASA-SNVBAGLBSA-N 0 0 277.280 2.773 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCSC2)c(C(F)(F)F)c1 ZINC000413165221 285181610 /nfs/dbraw/zinc/18/16/10/285181610.db2.gz ILUBUNCWBIQWLN-ZCFIWIBFSA-N 0 0 293.270 2.926 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2COc3ccccc32)s1 ZINC000189687090 285182081 /nfs/dbraw/zinc/18/20/81/285182081.db2.gz IKCPLVBDKJSHIK-MRVPVSSYSA-N 0 0 263.278 2.597 20 5 CFBDRN COC1(C)CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000286065307 385559600 /nfs/dbraw/zinc/55/96/00/385559600.db2.gz ARSHNNHGEUGAIH-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1S[C@@H]1CCCOC1 ZINC000272548605 385562568 /nfs/dbraw/zinc/56/25/68/385562568.db2.gz SXEULULBYYOKQW-SNVBAGLBSA-N 0 0 254.311 2.569 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(CC(C)(C)C)OC ZINC000286348535 385595363 /nfs/dbraw/zinc/59/53/63/385595363.db2.gz LFPIROJPBVQTPU-UHFFFAOYSA-N 0 0 270.333 2.534 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC=C(F)C1 ZINC000272625415 385578341 /nfs/dbraw/zinc/57/83/41/385578341.db2.gz VROQUYJGSUWUHR-UHFFFAOYSA-N 0 0 265.244 2.686 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H]1CCOC[C@H]1C ZINC000286262426 385578996 /nfs/dbraw/zinc/57/89/96/385578996.db2.gz FSEQNKSEBQXDGC-NEPJUHHUSA-N 0 0 264.325 2.988 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])C[C@@H](C)[S@]1=O ZINC000286279416 385582167 /nfs/dbraw/zinc/58/21/67/385582167.db2.gz HXILBIUQHFZUMR-FKANQGBASA-N 0 0 296.392 2.639 20 5 CFBDRN CC[NH+](CC)[C@@H](C)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000286278833 385582293 /nfs/dbraw/zinc/58/22/93/385582293.db2.gz XBBORCMXGFHSCX-NSHDSACASA-N 0 0 293.367 2.940 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000286306549 385586364 /nfs/dbraw/zinc/58/63/64/385586364.db2.gz IROIYRTWJSWKKQ-IUCAKERBSA-N 0 0 286.278 2.958 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCCN1c1ccc([N+](=O)[O-])cn1 ZINC000286330591 385591866 /nfs/dbraw/zinc/59/18/66/385591866.db2.gz LMHDMTFILGTCLQ-NEPJUHHUSA-N 0 0 279.340 2.510 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCc2ccccc21 ZINC000426882156 533133597 /nfs/dbraw/zinc/13/35/97/533133597.db2.gz MYLQFGZOVAPXFE-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN COc1cc(NC[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000286448954 385624363 /nfs/dbraw/zinc/62/43/63/385624363.db2.gz RXCDHAKXRIGCMX-VHSXEESVSA-N 0 0 298.314 2.827 20 5 CFBDRN CCC[C@@H](C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272840140 385629078 /nfs/dbraw/zinc/62/90/78/385629078.db2.gz SUDAOIWVSUGAGG-SECBINFHSA-N 0 0 266.297 2.978 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2[C@H]2CCCO2)c(Cl)c1 ZINC000286472332 385630209 /nfs/dbraw/zinc/63/02/09/385630209.db2.gz ONSZIOSZVFIOTG-NWDGAFQWSA-N 0 0 297.742 2.791 20 5 CFBDRN COc1ccc(NC(=O)NCC(C)(C)C)cc1[N+](=O)[O-] ZINC000272857333 385634446 /nfs/dbraw/zinc/63/44/46/385634446.db2.gz RMLORYXIZHNYRX-UHFFFAOYSA-N 0 0 281.312 2.771 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCCOC1CCC1 ZINC000286394163 385608327 /nfs/dbraw/zinc/60/83/27/385608327.db2.gz DYSJQDJLTMERHO-UHFFFAOYSA-N 0 0 255.245 2.682 20 5 CFBDRN COC1(C)CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CC1 ZINC000286413793 385613154 /nfs/dbraw/zinc/61/31/54/385613154.db2.gz GWVKIBUAMQYOJJ-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CCCCCNC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272895649 385646008 /nfs/dbraw/zinc/64/60/08/385646008.db2.gz ZOJFKWPFNXMPPT-UHFFFAOYSA-N 0 0 281.312 2.915 20 5 CFBDRN CC(=O)c1ccc(N(C)CCc2ccccc2[N+](=O)[O-])nc1 ZINC000286586785 385658964 /nfs/dbraw/zinc/65/89/64/385658964.db2.gz NRGGESSVELZWDA-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN CO[C@@H](C)CN(C)c1cc(C)[nH+]c2ccc([N+](=O)[O-])cc21 ZINC000286594868 385659807 /nfs/dbraw/zinc/65/98/07/385659807.db2.gz SAJINMKYKKMACK-NSHDSACASA-N 0 0 289.335 2.923 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000286640427 385673385 /nfs/dbraw/zinc/67/33/85/385673385.db2.gz HUMLRPPJGCMGBC-NSHDSACASA-N 0 0 266.272 2.652 20 5 CFBDRN CC1(CO)CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000273846835 385695820 /nfs/dbraw/zinc/69/58/20/385695820.db2.gz JBFAXLZFRKJKQR-UHFFFAOYSA-N 0 0 284.743 2.847 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000286730606 385697276 /nfs/dbraw/zinc/69/72/76/385697276.db2.gz QCPMCOREERLOOV-WCBMZHEXSA-N 0 0 266.297 2.633 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H]2CCCSC2)c1[N+](=O)[O-] ZINC000286755905 385704033 /nfs/dbraw/zinc/70/40/33/385704033.db2.gz JIJIYESQFYTQBP-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000286763364 385705421 /nfs/dbraw/zinc/70/54/21/385705421.db2.gz PNTWBBRQFCDJBM-CYBMUJFWSA-N 0 0 291.351 2.702 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000425671800 533141566 /nfs/dbraw/zinc/14/15/66/533141566.db2.gz UNGZBTGZSNMUJD-ILWJIGKKSA-N 0 0 291.307 2.523 20 5 CFBDRN C[C@@H]1CCCC[C@H]1c1noc(Cn2cc([N+](=O)[O-])cn2)n1 ZINC000274093362 385716686 /nfs/dbraw/zinc/71/66/86/385716686.db2.gz YPBYANTXLAXTTB-MWLCHTKSSA-N 0 0 291.311 2.516 20 5 CFBDRN CC/C=C(\C)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000274089521 385716725 /nfs/dbraw/zinc/71/67/25/385716725.db2.gz XTKGXCFQAVYHKR-RUDMXATFSA-N 0 0 274.280 2.777 20 5 CFBDRN COC1(c2noc(-c3cc([N+](=O)[O-])ccc3C)n2)CCC1 ZINC000274151982 385728067 /nfs/dbraw/zinc/72/80/67/385728067.db2.gz XMEDMIBMVRHLRC-UHFFFAOYSA-N 0 0 289.291 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2=CCCOC2)c2ncccc12 ZINC000288638199 197133385 /nfs/dbraw/zinc/13/33/85/197133385.db2.gz XELSOOUJRCAXRQ-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)C1CC1 ZINC000105777501 533143034 /nfs/dbraw/zinc/14/30/34/533143034.db2.gz IFANCUWFUTYUQO-MRVPVSSYSA-N 0 0 279.296 2.523 20 5 CFBDRN Cc1c(-c2nc([C@@H]3CCCO3)no2)cccc1[N+](=O)[O-] ZINC000274144508 385726479 /nfs/dbraw/zinc/72/64/79/385726479.db2.gz MEQMCGDFODKRDH-NSHDSACASA-N 0 0 275.264 2.805 20 5 CFBDRN CCOC(=O)N1CC[C@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000274497979 385812225 /nfs/dbraw/zinc/81/22/25/385812225.db2.gz RHHALHLDRNSJCX-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN COc1cccc(NC[C@@H](O)c2ccc(C)o2)c1[N+](=O)[O-] ZINC000274701900 385852466 /nfs/dbraw/zinc/85/24/66/385852466.db2.gz QDLZBLMAHYJWEG-LLVKDONJSA-N 0 0 292.291 2.650 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]2CCO)c1 ZINC000274983047 385906808 /nfs/dbraw/zinc/90/68/08/385906808.db2.gz CANDFAIHYKTXCH-ZYHUDNBSSA-N 0 0 280.324 2.566 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@H]2CCO)c(Cl)c1 ZINC000275014343 385913088 /nfs/dbraw/zinc/91/30/88/385913088.db2.gz KCSBXEWINBTYGN-KWQFWETISA-N 0 0 285.731 2.606 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000288553117 197110026 /nfs/dbraw/zinc/11/00/26/197110026.db2.gz VTOJWFHJVTYJQW-JTQLQIEISA-N 0 0 266.322 2.715 20 5 CFBDRN CC(C)(O)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000274793675 385872247 /nfs/dbraw/zinc/87/22/47/385872247.db2.gz SKUJXSDKPGXASN-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H](O)C(C)(C)C1 ZINC000275402994 385976604 /nfs/dbraw/zinc/97/66/04/385976604.db2.gz FCXVYYOCBJPIMO-ZDUSSCGKSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cccc(N2CCS[C@H](C)C2)c1[N+](=O)[O-] ZINC000275378655 385976858 /nfs/dbraw/zinc/97/68/58/385976858.db2.gz BCLWWMXANMZRHS-SNVBAGLBSA-N 0 0 252.339 2.845 20 5 CFBDRN COc1cc(NCC2=CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000288547740 197109283 /nfs/dbraw/zinc/10/92/83/197109283.db2.gz PGCGYFYGZMTXEC-UHFFFAOYSA-N 0 0 282.271 2.501 20 5 CFBDRN CC(C)=CCCNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000276611517 385992165 /nfs/dbraw/zinc/99/21/65/385992165.db2.gz FRUDVEVEJLIWQM-UHFFFAOYSA-N 0 0 262.309 2.989 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CCCCO1 ZINC000288528270 197104596 /nfs/dbraw/zinc/10/45/96/197104596.db2.gz RQDWRMWFESEABH-LBPRGKRZSA-N 0 0 278.308 2.778 20 5 CFBDRN CCc1noc(-c2cc(OC)c(C)c([N+](=O)[O-])c2)n1 ZINC000276691295 386016245 /nfs/dbraw/zinc/01/62/45/386016245.db2.gz VJEMUIIEVGMYMI-UHFFFAOYSA-N 0 0 263.253 2.524 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)CC1CC1 ZINC000288505022 197097199 /nfs/dbraw/zinc/09/71/99/197097199.db2.gz URRKBCJPBBXCMT-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN CCOC(=O)c1ccnc(NCC=C(C)C)c1[N+](=O)[O-] ZINC000276799309 386047631 /nfs/dbraw/zinc/04/76/31/386047631.db2.gz QPZSMBXELBNNBD-UHFFFAOYSA-N 0 0 279.296 2.545 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])cc1)C(C)(C)O ZINC000276802229 386048143 /nfs/dbraw/zinc/04/81/43/386048143.db2.gz QWUSAOVTZRLVQR-LBPRGKRZSA-N 0 0 252.314 2.802 20 5 CFBDRN C[C@@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000288479467 197090092 /nfs/dbraw/zinc/09/00/92/197090092.db2.gz CFCKMGYMZJEAQX-NXEZZACHSA-N 0 0 294.355 2.605 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCCO1 ZINC000281205221 195148814 /nfs/dbraw/zinc/14/88/14/195148814.db2.gz CKFUWKXIIRWUTQ-ZDUSSCGKSA-N 0 0 294.307 2.501 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCCSC2)c1[N+](=O)[O-] ZINC000288460639 197084198 /nfs/dbraw/zinc/08/41/98/197084198.db2.gz JYSYXECWEPQJEZ-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN CCOC1CC(CCNc2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000276886055 386070915 /nfs/dbraw/zinc/07/09/15/386070915.db2.gz KUSKCUMJBUXQDU-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCSC2)c2nonc21 ZINC000288387896 197064473 /nfs/dbraw/zinc/06/44/73/197064473.db2.gz FGXXLLYGGZYKFV-MRVPVSSYSA-N 0 0 294.336 2.686 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@H]1CCCc2ccccc21 ZINC000108953484 285221764 /nfs/dbraw/zinc/22/17/64/285221764.db2.gz JLEVSDFRSHBGJX-CYBMUJFWSA-N 0 0 284.315 2.877 20 5 CFBDRN C[C@H](C(=O)N(C)CCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000288334303 197053994 /nfs/dbraw/zinc/05/39/94/197053994.db2.gz NBFMRIBYCSLJBX-NSHDSACASA-N 0 0 276.336 2.642 20 5 CFBDRN CCOC1CC(N(C)C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000288304858 197047951 /nfs/dbraw/zinc/04/79/51/197047951.db2.gz NTINPERBPWURGN-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN CCOC[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000288180052 197020505 /nfs/dbraw/zinc/02/05/05/197020505.db2.gz BNKQMJSSLVOJSZ-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN Cc1nc(N[C@H](C)[C@@H](O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000288120816 197008824 /nfs/dbraw/zinc/00/88/24/197008824.db2.gz CTMFUFXPEHYRTP-IAQYHMDHSA-N 0 0 287.319 2.832 20 5 CFBDRN CSC[C@H](C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288106752 197006306 /nfs/dbraw/zinc/00/63/06/197006306.db2.gz AXZUFMIHJAIJQG-NSHDSACASA-N 0 0 296.392 2.595 20 5 CFBDRN CSC[C@@H](C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288106749 197006032 /nfs/dbraw/zinc/00/60/32/197006032.db2.gz AXZUFMIHJAIJQG-LLVKDONJSA-N 0 0 296.392 2.595 20 5 CFBDRN CC(C)(C)CSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000281400778 195226444 /nfs/dbraw/zinc/22/64/44/195226444.db2.gz FLLTZRYKVIYECC-UHFFFAOYSA-N 0 0 282.365 2.973 20 5 CFBDRN COC(=O)CC1(COc2cc(C)c([N+](=O)[O-])cc2F)CC1 ZINC000281407214 195229108 /nfs/dbraw/zinc/22/91/08/195229108.db2.gz GKOMVOWJELXTAS-UHFFFAOYSA-N 0 0 297.282 2.764 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000287268903 196818942 /nfs/dbraw/zinc/81/89/42/196818942.db2.gz LGCWJVZVWRISDT-QMMMGPOBSA-N 0 0 290.323 2.825 20 5 CFBDRN Cc1cc(N2CCOCC3(CCCC3)C2)ncc1[N+](=O)[O-] ZINC000302401745 291937473 /nfs/dbraw/zinc/93/74/73/291937473.db2.gz LBBLEQWGJLFFIM-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000080693175 177692505 /nfs/dbraw/zinc/69/25/05/177692505.db2.gz BPYFOSWOESLJAI-RYUDHWBXSA-N 0 0 294.351 2.915 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)CC(F)F ZINC000414719971 533227892 /nfs/dbraw/zinc/22/78/92/533227892.db2.gz AQJDVGNMBYAIJJ-ZETCQYMHSA-N 0 0 288.250 2.833 20 5 CFBDRN CCO[C@@H](CC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000517747402 260033011 /nfs/dbraw/zinc/03/30/11/260033011.db2.gz JBJCIQPJQMHOCX-ZDUSSCGKSA-N 0 0 280.324 2.965 20 5 CFBDRN COC(=O)CC1(COc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000281524575 195274182 /nfs/dbraw/zinc/27/41/82/195274182.db2.gz VCGSEIBNRWFAIU-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CC(C)[C@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000282319961 195573825 /nfs/dbraw/zinc/57/38/25/195573825.db2.gz ZMHWSTXBABERCT-SMDDNHRTSA-N 0 0 279.340 2.793 20 5 CFBDRN CC(C)(C)SCCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000282622093 195662935 /nfs/dbraw/zinc/66/29/35/195662935.db2.gz IUNXJHFOCOAEEY-UHFFFAOYSA-N 0 0 290.772 2.942 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCC1CCC1 ZINC000282525109 195636533 /nfs/dbraw/zinc/63/65/33/195636533.db2.gz UYCCHXROOWFIFU-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000282559756 195645168 /nfs/dbraw/zinc/64/51/68/195645168.db2.gz FMKYAPSVCMIVPU-NXEZZACHSA-N 0 0 299.710 2.973 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC(CC)CC)c1 ZINC000282598178 195656505 /nfs/dbraw/zinc/65/65/05/195656505.db2.gz FRNRORIGRASHNF-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)OCC(F)(F)F ZINC000283987925 195957529 /nfs/dbraw/zinc/95/75/29/195957529.db2.gz TYIWOQTYJGVRLR-UHFFFAOYSA-N 0 0 279.170 2.981 20 5 CFBDRN COCCCCN(C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000417074068 533246195 /nfs/dbraw/zinc/24/61/95/533246195.db2.gz TYUAXJQFRUMOKP-UHFFFAOYSA-N 0 0 299.302 2.624 20 5 CFBDRN COc1ccc(C(=O)N(C)[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000429230998 533285605 /nfs/dbraw/zinc/28/56/05/533285605.db2.gz NLNJUFPVAKWUGR-CMPLNLGQSA-N 0 0 292.335 2.864 20 5 CFBDRN CO[C@](C)([C@@H](C)Nc1ncc(C)cc1[N+](=O)[O-])C1CC1 ZINC000413510544 533347830 /nfs/dbraw/zinc/34/78/30/533347830.db2.gz FMPXVBAOSBMAKD-QMTHXVAHSA-N 0 0 279.340 2.914 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000174022928 533328042 /nfs/dbraw/zinc/32/80/42/533328042.db2.gz GNYIALJRFLEPST-SNVBAGLBSA-N 0 0 271.276 2.732 20 5 CFBDRN CO[C@@H](C)CN(C)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000413298669 533331157 /nfs/dbraw/zinc/33/11/57/533331157.db2.gz SQNRJXKLUFBDSD-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN COc1cccc(C(=O)Nc2cc(C)ccn2)c1[N+](=O)[O-] ZINC000193869528 533419901 /nfs/dbraw/zinc/41/99/01/533419901.db2.gz RNWQLTKBYZGOPS-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CSCCN(C)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000413180643 533581279 /nfs/dbraw/zinc/58/12/79/533581279.db2.gz DMOFNFXJPQAYNL-UHFFFAOYSA-N 0 0 277.349 2.942 20 5 CFBDRN COc1cccc(CSc2ccc([N+](=O)[O-])cn2)n1 ZINC000178752951 533535168 /nfs/dbraw/zinc/53/51/68/533535168.db2.gz YNDCXTGQDVWTIW-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)c1cnn(C)c1 ZINC000044500751 533556506 /nfs/dbraw/zinc/55/65/06/533556506.db2.gz SCVFVJLJGXJKDK-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN COc1cccc(Cl)c1Cn1cc(C)c([N+](=O)[O-])n1 ZINC000418971322 533560996 /nfs/dbraw/zinc/56/09/96/533560996.db2.gz KFKNDKUDVJCLMO-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN COc1cccc(N[C@H]2C[C@H](OC)C23CCC3)c1[N+](=O)[O-] ZINC000413441797 533620349 /nfs/dbraw/zinc/62/03/49/533620349.db2.gz LZYDYEISSPGQMW-STQMWFEESA-N 0 0 292.335 2.973 20 5 CFBDRN O=C(Nc1cnc(CC2CC2)nc1)c1cccc([N+](=O)[O-])c1 ZINC000341735454 130005806 /nfs/dbraw/zinc/00/58/06/130005806.db2.gz JCVSHODOUXNXNB-UHFFFAOYSA-N 0 0 298.302 2.590 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1ccon1 ZINC000341927928 130157250 /nfs/dbraw/zinc/15/72/50/130157250.db2.gz LTDIFZYEWSYYQQ-LURJTMIESA-N 0 0 268.660 2.804 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])ccc2C)CCOCC1 ZINC000342050859 130222500 /nfs/dbraw/zinc/22/25/00/130222500.db2.gz DEBRPQVDCXGWLB-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000355343653 130283113 /nfs/dbraw/zinc/28/31/13/130283113.db2.gz GLLBVFBMSXLXSA-DGCLKSJQSA-N 0 0 276.336 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CC3(CCC3)C(=O)O2)c1 ZINC000191712005 130284212 /nfs/dbraw/zinc/28/42/12/130284212.db2.gz AINXGWQCGQLJMD-NSHDSACASA-N 0 0 291.303 2.768 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000355578172 130316212 /nfs/dbraw/zinc/31/62/12/130316212.db2.gz QCJVIQISEBFGHU-SCZZXKLOSA-N 0 0 298.364 2.510 20 5 CFBDRN CC[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000191920016 130329315 /nfs/dbraw/zinc/32/93/15/130329315.db2.gz MTCWZHDKBDDRTH-QMMMGPOBSA-N 0 0 251.286 2.673 20 5 CFBDRN CC(C)=CCNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000191965502 130340889 /nfs/dbraw/zinc/34/08/89/130340889.db2.gz FBGRLZFBFRNRRX-UHFFFAOYSA-N 0 0 270.235 2.569 20 5 CFBDRN CCc1nnc(Oc2ccccc2[N+](=O)[O-])nc1CC ZINC000192031930 130355086 /nfs/dbraw/zinc/35/50/86/130355086.db2.gz WTKZWPIWUAEOPK-UHFFFAOYSA-N 0 0 274.280 2.697 20 5 CFBDRN Cc1ccc(-c2noc(Cc3cccc([N+](=O)[O-])c3)n2)cn1 ZINC000355953423 130359874 /nfs/dbraw/zinc/35/98/74/130359874.db2.gz HIMXETBLCWFLPW-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCC[C@H](C)F)c1 ZINC000356684728 130397218 /nfs/dbraw/zinc/39/72/18/130397218.db2.gz YQOOSTMTXNAROA-NSHDSACASA-N 0 0 298.314 2.536 20 5 CFBDRN CSc1cc(CNc2ccc([N+](=O)[O-])nc2)ccn1 ZINC000357210300 130417177 /nfs/dbraw/zinc/41/71/77/130417177.db2.gz OUIODHQFXPHEOG-UHFFFAOYSA-N 0 0 276.321 2.719 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@]1(C)CCCOC1 ZINC000357412672 130463719 /nfs/dbraw/zinc/46/37/19/130463719.db2.gz WZFYRLFOIGBQIY-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CC=CCC1 ZINC000192535038 130464600 /nfs/dbraw/zinc/46/46/00/130464600.db2.gz BRPWLRZBLYJIPF-NSHDSACASA-N 0 0 264.329 2.888 20 5 CFBDRN CCOC[C@@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])C(C)C ZINC000192639287 130480028 /nfs/dbraw/zinc/48/00/28/130480028.db2.gz DLRWARFLEUHELO-GFCCVEGCSA-N 0 0 294.351 2.694 20 5 CFBDRN C[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000359230524 130502491 /nfs/dbraw/zinc/50/24/91/130502491.db2.gz ZQZAJUBHBUJDBO-SSDOTTSWSA-N 0 0 291.229 2.915 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2nncn2-c2ccccc2)c1 ZINC000359465961 130516199 /nfs/dbraw/zinc/51/61/99/130516199.db2.gz LWJCKYWQPLMWAP-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccc(Cl)cn1 ZINC000192743654 130541038 /nfs/dbraw/zinc/54/10/38/130541038.db2.gz FEVJKLKFXSVSAT-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCO[C@H]1CCCCO1 ZINC000194719778 130711524 /nfs/dbraw/zinc/71/15/24/130711524.db2.gz DKBIXEYGJUQTRL-AWEZNQCLSA-N 0 0 281.308 2.825 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCC[C@@H](C)CO ZINC000230146786 130892341 /nfs/dbraw/zinc/89/23/41/130892341.db2.gz GMPPOWJFKFEOKQ-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CC[C@@H]1C ZINC000230259927 130897369 /nfs/dbraw/zinc/89/73/69/130897369.db2.gz OIGVGGOIYMMBHW-ZUZCIYMTSA-N 0 0 292.335 2.659 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](C)[C@@H]1C ZINC000177014909 291995589 /nfs/dbraw/zinc/99/55/89/291995589.db2.gz SEAOWVMTEPYGJF-KOLCDFICSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CC[C@H](O)CC2)c1 ZINC000252706262 131136955 /nfs/dbraw/zinc/13/69/55/131136955.db2.gz STEFKPYKEJYOJG-XYPYZODXSA-N 0 0 250.298 2.619 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000268392534 291998536 /nfs/dbraw/zinc/99/85/36/291998536.db2.gz MSSCTEWDRWUQCY-UPJWGTAASA-N 0 0 277.324 2.668 20 5 CFBDRN CCc1nc(CCNc2ncccc2[N+](=O)[O-])cs1 ZINC000263777461 131327890 /nfs/dbraw/zinc/32/78/90/131327890.db2.gz IAMNROZABCYHFE-UHFFFAOYSA-N 0 0 278.337 2.663 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000263805618 131335353 /nfs/dbraw/zinc/33/53/53/131335353.db2.gz VTMLAQLQQYJZNS-UHFFFAOYSA-N 0 0 250.298 2.713 20 5 CFBDRN O=C(NCCCOCC1CC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000263891565 131354312 /nfs/dbraw/zinc/35/43/12/131354312.db2.gz GFWOCBAKSHWJJW-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)[C@H](CO)c1ccccc1 ZINC000264014020 131379781 /nfs/dbraw/zinc/37/97/81/131379781.db2.gz KAPCYLCTUIEKDQ-CYBMUJFWSA-N 0 0 292.360 2.822 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000264213904 131414236 /nfs/dbraw/zinc/41/42/36/131414236.db2.gz GFZBYYBHJRTPEX-APPZFPTMSA-N 0 0 254.311 2.575 20 5 CFBDRN CC[C@H](OC)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264684601 131486374 /nfs/dbraw/zinc/48/63/74/131486374.db2.gz ZSZXXKIXXFPCHA-JTQLQIEISA-N 0 0 263.253 2.742 20 5 CFBDRN COC1CCC(N(C)C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000265151394 131554869 /nfs/dbraw/zinc/55/48/69/131554869.db2.gz CRBYWDUTXMALET-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN Cc1nn(C)c(NC[C@H](C)Cc2cccs2)c1[N+](=O)[O-] ZINC000266215504 131702320 /nfs/dbraw/zinc/70/23/20/131702320.db2.gz GYVDPGIPRPBGQF-SECBINFHSA-N 0 0 294.380 2.989 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCC(F)(F)F ZINC000266254017 131708336 /nfs/dbraw/zinc/70/83/36/131708336.db2.gz KLNKATZTDWQVDB-UHFFFAOYSA-N 0 0 290.241 2.896 20 5 CFBDRN COc1ccc(NC(=O)/C=C/C2CC2)c([N+](=O)[O-])c1 ZINC000266684315 131765671 /nfs/dbraw/zinc/76/56/71/131765671.db2.gz TXMYGIVGWBCKNS-QPJJXVBHSA-N 0 0 262.265 2.508 20 5 CFBDRN CCC1(CNc2nccc(C)c2[N+](=O)[O-])CCOCC1 ZINC000266750445 131772509 /nfs/dbraw/zinc/77/25/09/131772509.db2.gz SKYKQQHIOGZXNZ-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CCCN(C)c1ncc([N+](=O)[O-])cc1Br ZINC000267244098 131841518 /nfs/dbraw/zinc/84/15/18/131841518.db2.gz NAQXQLXFFVBNEB-UHFFFAOYSA-N 0 0 274.118 2.599 20 5 CFBDRN COC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])c1ccc(C)o1 ZINC000267323280 131854538 /nfs/dbraw/zinc/85/45/38/131854538.db2.gz AOAPNZYDYITPCJ-LLVKDONJSA-N 0 0 291.307 2.999 20 5 CFBDRN CCc1ccc(C(=O)N2C[C@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000267573034 131885562 /nfs/dbraw/zinc/88/55/62/131885562.db2.gz AKNUKGNAHFLUTI-PHIMTYICSA-N 0 0 276.336 2.885 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)Cc2cccnc2)cc1[N+](=O)[O-] ZINC000267712755 131906703 /nfs/dbraw/zinc/90/67/03/131906703.db2.gz IWDXFOSATKQTSR-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN CC1CC(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000267933948 131935656 /nfs/dbraw/zinc/93/56/56/131935656.db2.gz APRXQQBZYBVABB-UHFFFAOYSA-N 0 0 277.324 2.535 20 5 CFBDRN CC(C)(C)NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268151959 131967175 /nfs/dbraw/zinc/96/71/75/131967175.db2.gz WVTXOYZUJHBPIA-UHFFFAOYSA-N 0 0 285.731 2.846 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153898142 288965525 /nfs/dbraw/zinc/96/55/25/288965525.db2.gz BEGSFPBCQGAHIE-JGVFFNPUSA-N 0 0 255.705 2.878 20 5 CFBDRN CCC[C@](C)(O)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000268212037 131979091 /nfs/dbraw/zinc/97/90/91/131979091.db2.gz QQEBJYNGDWHBFT-ZDUSSCGKSA-N 0 0 286.303 2.706 20 5 CFBDRN Cc1cc(NCCCO[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000268257492 131985541 /nfs/dbraw/zinc/98/55/41/131985541.db2.gz QRDWRCVNBVTJGI-ZDUSSCGKSA-N 0 0 280.324 2.511 20 5 CFBDRN COCCN(Cc1ccco1)c1ccc([N+](=O)[O-])c(C)n1 ZINC000268484784 132016451 /nfs/dbraw/zinc/01/64/51/132016451.db2.gz MFIAVZOKIBPEOE-UHFFFAOYSA-N 0 0 291.307 2.544 20 5 CFBDRN Cc1ccccc1[C@@H](O)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000268529413 132021867 /nfs/dbraw/zinc/02/18/67/132021867.db2.gz WWGKYDJICUJLFG-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1nc(NC(=O)[C@@H](C)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000268559693 132027181 /nfs/dbraw/zinc/02/71/81/132027181.db2.gz NZWKGYPLXXTIKY-MRVPVSSYSA-N 0 0 265.313 2.919 20 5 CFBDRN CCC1(CNc2nc(C)ccc2[N+](=O)[O-])CCOCC1 ZINC000268786216 132065624 /nfs/dbraw/zinc/06/56/24/132065624.db2.gz DTUWYOBMCCGPSQ-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CCOCCC1(CNc2ncccc2[N+](=O)[O-])CCC1 ZINC000268805112 132068691 /nfs/dbraw/zinc/06/86/91/132068691.db2.gz FOBFCRHKWLJDEM-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN Cc1cccc([C@@H](O)CNc2ncc([N+](=O)[O-])cc2C)c1 ZINC000268963437 132094051 /nfs/dbraw/zinc/09/40/51/132094051.db2.gz LUUOTAFHSUQIFZ-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1cccc(C)c1CCNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000268982029 132095132 /nfs/dbraw/zinc/09/51/32/132095132.db2.gz DBQHGJQKWBJBJP-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000269178126 132125107 /nfs/dbraw/zinc/12/51/07/132125107.db2.gz HJVIWSIEGALIIE-MRVPVSSYSA-N 0 0 270.260 2.536 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])C1 ZINC000269428988 132170038 /nfs/dbraw/zinc/17/00/38/132170038.db2.gz ZFYOTKSDVAEDNS-SNVBAGLBSA-N 0 0 294.355 2.704 20 5 CFBDRN Cc1ccnc(NC2C[C@@H](C)O[C@H](C)C2)c1[N+](=O)[O-] ZINC000301924361 393482481 /nfs/dbraw/zinc/48/24/81/393482481.db2.gz GPEUEUKCCDXWSV-NXEZZACHSA-N 0 0 265.313 2.666 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)cc(F)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000301425323 393470121 /nfs/dbraw/zinc/47/01/21/393470121.db2.gz BVTCODHSDKDRPW-NXEZZACHSA-N 0 0 298.314 2.746 20 5 CFBDRN Cc1nc(C)c(C)c(N2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000301837365 393478693 /nfs/dbraw/zinc/47/86/93/393478693.db2.gz VZISROFJOXQDMM-UHFFFAOYSA-N 0 0 298.346 2.873 20 5 CFBDRN CC(C)C[C@H]1OCCC[C@@H]1Nc1ncccc1[N+](=O)[O-] ZINC000302409618 393491723 /nfs/dbraw/zinc/49/17/23/393491723.db2.gz IDUPIYXRDXFRPF-WCQYABFASA-N 0 0 279.340 2.995 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000302693691 393493434 /nfs/dbraw/zinc/49/34/34/393493434.db2.gz VVNZTPPURXHJSG-ZDUSSCGKSA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@@H](O)[C@@H](C)C1 ZINC000302831700 393495111 /nfs/dbraw/zinc/49/51/11/393495111.db2.gz QWMJOUQIIRZELB-JOYOIKCWSA-N 0 0 284.743 2.764 20 5 CFBDRN Cc1nn(CCOc2ccc(Cl)cc2)cc1[N+](=O)[O-] ZINC000181743985 393499684 /nfs/dbraw/zinc/49/96/84/393499684.db2.gz BLPNCXREQZAAJU-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN CO[C@@H](C)CCC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000181780968 393501247 /nfs/dbraw/zinc/50/12/47/393501247.db2.gz QTWVXPXVAYKOBR-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1cc(N2CCc3cc[nH]c(=O)c3C2)ccc1[N+](=O)[O-] ZINC000375981031 393513109 /nfs/dbraw/zinc/51/31/09/393513109.db2.gz NYWFOVXQSQPBQL-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CCOc1cc(N[C@@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000182993064 393515175 /nfs/dbraw/zinc/51/51/75/393515175.db2.gz NZMNNQBFZJCXTN-LLVKDONJSA-N 0 0 280.324 2.975 20 5 CFBDRN CC(C)(C)NC(=O)CSc1ccc([N+](=O)[O-])cc1F ZINC000185148312 393531656 /nfs/dbraw/zinc/53/16/56/393531656.db2.gz HWBSLKDYCMTIRP-UHFFFAOYSA-N 0 0 286.328 2.741 20 5 CFBDRN C[C@H](NCc1csc([N+](=O)[O-])c1)c1ccccn1 ZINC000037562565 393532364 /nfs/dbraw/zinc/53/23/64/393532364.db2.gz PGUGOBZDGCJXER-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN COc1ncccc1NCc1csc([N+](=O)[O-])c1 ZINC000037562956 393532376 /nfs/dbraw/zinc/53/23/76/393532376.db2.gz BNNPJFGVOZXNPD-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000185459402 393534061 /nfs/dbraw/zinc/53/40/61/393534061.db2.gz PLGYBRXBACTIRW-PSASIEDQSA-N 0 0 271.276 2.738 20 5 CFBDRN C[C@@H](Sc1ncccc1[N+](=O)[O-])c1cnccn1 ZINC000186042404 393539033 /nfs/dbraw/zinc/53/90/33/393539033.db2.gz XHUNWGIUDMPFKY-MRVPVSSYSA-N 0 0 262.294 2.633 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2C[C@H](C)C[C@H](C)C2)c1 ZINC000136291469 292017859 /nfs/dbraw/zinc/01/78/59/292017859.db2.gz BUMFAWWVIDKPTA-PHIMTYICSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cnc(NC[C@@H](C)c2nccs2)c([N+](=O)[O-])c1 ZINC000230609239 413069131 /nfs/dbraw/zinc/06/91/31/413069131.db2.gz UJYBRIQFBPVNKO-SECBINFHSA-N 0 0 278.337 2.970 20 5 CFBDRN CCCCNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000048685488 393593315 /nfs/dbraw/zinc/59/33/15/393593315.db2.gz RJIINLFNUZFJPW-SNVBAGLBSA-N 0 0 265.313 2.755 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H]([C@H]2CCOC2)C1 ZINC000374423152 296495975 /nfs/dbraw/zinc/49/59/75/296495975.db2.gz UZHMGDORMROETC-QWRGUYRKSA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CCCC[C@H]3C2)s1 ZINC000190333927 393579837 /nfs/dbraw/zinc/57/98/37/393579837.db2.gz MPVFYDNAXUWZQM-IUCAKERBSA-N 0 0 253.327 2.678 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@]2(C1)CCCOC2 ZINC000376428454 296496013 /nfs/dbraw/zinc/49/60/13/296496013.db2.gz QFMBMVUPTZSUIR-CQSZACIVSA-N 0 0 280.299 2.741 20 5 CFBDRN COc1ccc(Cl)cc1Cn1nccc1[N+](=O)[O-] ZINC000047169920 393585582 /nfs/dbraw/zinc/58/55/82/393585582.db2.gz HHRCRVFRJDXDIO-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN CCCN(C(=O)[C@@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000194123307 393679772 /nfs/dbraw/zinc/67/97/72/393679772.db2.gz URSMNSRSKHSRHG-ZWNOBZJWSA-N 0 0 262.309 2.994 20 5 CFBDRN O=C(Cc1ccsc1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000048919415 393609291 /nfs/dbraw/zinc/60/92/91/393609291.db2.gz DMCVRMPOMCPQEU-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN C[C@H]1CN(c2ncnc3cccc([N+](=O)[O-])c32)C[C@@H]1C ZINC000408193154 393624841 /nfs/dbraw/zinc/62/48/41/393624841.db2.gz BJNBVAMGBIWRHW-UWVGGRQHSA-N 0 0 272.308 2.630 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)CC1CCCC1 ZINC000192543431 393625692 /nfs/dbraw/zinc/62/56/92/393625692.db2.gz LJPLDWWVURQPOU-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN O=C(CCc1ccccc1O)Nc1cccc([N+](=O)[O-])c1 ZINC000192712671 393629997 /nfs/dbraw/zinc/62/99/97/393629997.db2.gz BXGFMFAVTMRKOO-UHFFFAOYSA-N 0 0 286.287 2.872 20 5 CFBDRN Cc1nc(NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000410552387 393630478 /nfs/dbraw/zinc/63/04/78/393630478.db2.gz AEEDMTQIBSFHMB-WDAIWFPHSA-N 0 0 275.308 2.673 20 5 CFBDRN C[C@]1(C(=O)N2CCc3c2cccc3[N+](=O)[O-])CC1(F)F ZINC000334984821 393630788 /nfs/dbraw/zinc/63/07/88/393630788.db2.gz CHELDUPBZKXMLN-GFCCVEGCSA-N 0 0 282.246 2.529 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccccn1 ZINC000192731174 393631742 /nfs/dbraw/zinc/63/17/42/393631742.db2.gz RRANTJWYAROZEG-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CCCC[C@@H]1C ZINC000192823303 393633901 /nfs/dbraw/zinc/63/39/01/393633901.db2.gz ZWSQGZFOQHLSMV-HZMBPMFUSA-N 0 0 291.351 2.949 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC(C)(C)C1CCC1 ZINC000411048107 393652704 /nfs/dbraw/zinc/65/27/04/393652704.db2.gz WMXLRTPWSBDQEX-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CN(CC1CC1)C(=O)CSc1ccc([N+](=O)[O-])cc1F ZINC000193508822 393654280 /nfs/dbraw/zinc/65/42/80/393654280.db2.gz PGXCGLPJCXQXJA-UHFFFAOYSA-N 0 0 298.339 2.694 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ccn1C ZINC000195124808 393731463 /nfs/dbraw/zinc/73/14/63/393731463.db2.gz JVDCVUGVMWQXKR-JTQLQIEISA-N 0 0 287.319 2.733 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H](C)S[C@@H](C)C1 ZINC000336475677 393736239 /nfs/dbraw/zinc/73/62/39/393736239.db2.gz DXWKTHZAWIDMSC-PHIMTYICSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)c1ccc2c(c1)OCO2 ZINC000195507344 393742546 /nfs/dbraw/zinc/74/25/46/393742546.db2.gz RNNLIJILHPPSFM-ZETCQYMHSA-N 0 0 293.304 2.953 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000336809018 393749796 /nfs/dbraw/zinc/74/97/96/393749796.db2.gz DNNZIIMIJZFTHU-CABZTGNLSA-N 0 0 257.293 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](CO)Cc2ccccc2)c1 ZINC000084726553 393750302 /nfs/dbraw/zinc/75/03/02/393750302.db2.gz QUZQSTRADNBDSN-CQSZACIVSA-N 0 0 286.331 2.919 20 5 CFBDRN CC[C@H](C)COc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000088499610 393762720 /nfs/dbraw/zinc/76/27/20/393762720.db2.gz DTKODKPDAYNZKV-VIFPVBQESA-N 0 0 267.281 2.806 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087135853 393757999 /nfs/dbraw/zinc/75/79/99/393757999.db2.gz BMWISXYBSFGKBJ-LLVKDONJSA-N 0 0 264.325 2.784 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000194333825 393689792 /nfs/dbraw/zinc/68/97/92/393689792.db2.gz QRTXORZQMZOLHO-SNVBAGLBSA-N 0 0 280.324 2.722 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000076777291 393692661 /nfs/dbraw/zinc/69/26/61/393692661.db2.gz UHMJWAIHENLCEG-LBPRGKRZSA-N 0 0 295.339 2.922 20 5 CFBDRN COc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])cc1 ZINC000078149129 393704447 /nfs/dbraw/zinc/70/44/47/393704447.db2.gz RSEDGPZRSWXXSB-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000411468948 393715898 /nfs/dbraw/zinc/71/58/98/393715898.db2.gz DSDDULREQNECIP-QMMMGPOBSA-N 0 0 272.251 2.502 20 5 CFBDRN CN(C)C(=O)CCCCSc1cccc([N+](=O)[O-])c1 ZINC000337910244 393771325 /nfs/dbraw/zinc/77/13/25/393771325.db2.gz HMONVLLJAMOJFO-UHFFFAOYSA-N 0 0 282.365 2.945 20 5 CFBDRN O=C(CSCC(F)(F)F)Nc1cccc([N+](=O)[O-])c1 ZINC000090066050 393776153 /nfs/dbraw/zinc/77/61/53/393776153.db2.gz VQOUELQTJTZHHK-UHFFFAOYSA-N 0 0 294.254 2.829 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000338666527 393795711 /nfs/dbraw/zinc/79/57/11/393795711.db2.gz CAGSNGCWIWMBAQ-QMTHXVAHSA-N 0 0 282.340 2.812 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000414719949 393803778 /nfs/dbraw/zinc/80/37/78/393803778.db2.gz ANDYFYCSZZIHHP-CYBMUJFWSA-N 0 0 280.324 2.903 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000458019204 393827445 /nfs/dbraw/zinc/82/74/45/393827445.db2.gz RBBXQTGWVXMMEK-VXGBXAGGSA-N 0 0 292.335 2.524 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@@H](C)[C@@H]1C ZINC000416076601 393865230 /nfs/dbraw/zinc/86/52/30/393865230.db2.gz QVCQRZJIYNOWLN-AXFHLTTASA-N 0 0 292.335 2.720 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000416083329 393869258 /nfs/dbraw/zinc/86/92/58/393869258.db2.gz UNJYHTFJCVBDFJ-OUAUKWLOSA-N 0 0 262.309 2.711 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])cnc1C)[C@H]1CC1(C)C ZINC000416087437 393870560 /nfs/dbraw/zinc/87/05/60/393870560.db2.gz YFTJZZSUJOFYDU-CHWSQXEVSA-N 0 0 291.351 2.853 20 5 CFBDRN Cc1nc(N[C@@H]2Cc3cccc(O)c3C2)ccc1[N+](=O)[O-] ZINC000428650043 393901669 /nfs/dbraw/zinc/90/16/69/393901669.db2.gz HSYJRINVRAMDLB-LLVKDONJSA-N 0 0 285.303 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC(F)F)c(Cl)c1 ZINC000428671979 393904447 /nfs/dbraw/zinc/90/44/47/393904447.db2.gz PSPUUORSRIJWDW-ZCFIWIBFSA-N 0 0 280.658 2.676 20 5 CFBDRN CN(C(=O)CNc1ccccc1[N+](=O)[O-])C1(C)CCCC1 ZINC000428672529 393905487 /nfs/dbraw/zinc/90/54/87/393905487.db2.gz RAPQECNJJFKCBM-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2Cc3cccc(O)c3C2)cc1 ZINC000428678227 393905575 /nfs/dbraw/zinc/90/55/75/393905575.db2.gz GTYFPTBRJYCQFO-GFCCVEGCSA-N 0 0 270.288 2.880 20 5 CFBDRN CCOC[C@@H]1CCCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000458073750 393840943 /nfs/dbraw/zinc/84/09/43/393840943.db2.gz MBFJRMAGGHRDJO-NSHDSACASA-N 0 0 298.364 2.688 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000458103331 393847444 /nfs/dbraw/zinc/84/74/44/393847444.db2.gz LYAOFQDYIOZZPR-OCCSQVGLSA-N 0 0 280.299 2.898 20 5 CFBDRN Cc1ccc(C(N)=O)cc1OCc1cccc([N+](=O)[O-])c1 ZINC000103463612 393856756 /nfs/dbraw/zinc/85/67/56/393856756.db2.gz BMIGZVKNQKDBTR-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])CC(C)C ZINC000416058025 393857520 /nfs/dbraw/zinc/85/75/20/393857520.db2.gz JSYONXJCLXUZAB-NSHDSACASA-N 0 0 298.314 2.525 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@H]1CCC[C@H](F)C1 ZINC000424592088 393860332 /nfs/dbraw/zinc/86/03/32/393860332.db2.gz AWQSWPTUHFQMTO-JQWIXIFHSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccccc2[N+](=O)[O-])c(C)n1 ZINC000119294264 393917218 /nfs/dbraw/zinc/91/72/18/393917218.db2.gz YOYLLSLWBXHYCV-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN CO[C@@H](C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000472325476 393930087 /nfs/dbraw/zinc/93/00/87/393930087.db2.gz KSEWUSCCODLCHU-SMDDNHRTSA-N 0 0 294.351 2.751 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)NCc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000416694115 393943041 /nfs/dbraw/zinc/94/30/41/393943041.db2.gz UWPBNIOIXMRUFS-IJLUTSLNSA-N 0 0 291.351 2.781 20 5 CFBDRN COc1ccc(C(=O)N(C)[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000429230999 393946429 /nfs/dbraw/zinc/94/64/29/393946429.db2.gz NLNJUFPVAKWUGR-JQWIXIFHSA-N 0 0 292.335 2.864 20 5 CFBDRN CCC(C)(CC)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000121122051 393948916 /nfs/dbraw/zinc/94/89/16/393948916.db2.gz TUUNYFBMYYTGGG-UHFFFAOYSA-N 0 0 279.340 2.973 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H](CO)c1ccccc1 ZINC000487624739 394016122 /nfs/dbraw/zinc/01/61/22/394016122.db2.gz FMVNTPYTGNUYAI-CYBMUJFWSA-N 0 0 272.304 2.783 20 5 CFBDRN C[C@H](Sc1nccnc1N)c1cccc([N+](=O)[O-])c1 ZINC000430130093 393960775 /nfs/dbraw/zinc/96/07/75/393960775.db2.gz ILFBVWWKLMVGPL-QMMMGPOBSA-N 0 0 276.321 2.820 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000263701741 393964724 /nfs/dbraw/zinc/96/47/24/393964724.db2.gz LOMRKJGKDJJPFZ-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC12CCCC2 ZINC000430248913 393973125 /nfs/dbraw/zinc/97/31/25/393973125.db2.gz MNRCQXCZALTTOZ-ZDUSSCGKSA-N 0 0 290.319 2.666 20 5 CFBDRN CC1=C[C@H](C)CN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000430269116 393976157 /nfs/dbraw/zinc/97/61/57/393976157.db2.gz MSRCKFRYQGROTO-JTQLQIEISA-N 0 0 286.335 2.869 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000487076725 393982595 /nfs/dbraw/zinc/98/25/95/393982595.db2.gz SRZFKWKDEMFEEQ-QWRGUYRKSA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1cc(OCC(=O)c2ccn(C)c2)c(F)cc1[N+](=O)[O-] ZINC000123544437 393982650 /nfs/dbraw/zinc/98/26/50/393982650.db2.gz HFOPAAWSBSICAC-UHFFFAOYSA-N 0 0 292.266 2.643 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000123685547 393985259 /nfs/dbraw/zinc/98/52/59/393985259.db2.gz XSYIBCCUVPHZHE-GFCCVEGCSA-N 0 0 293.367 2.948 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430410982 393986115 /nfs/dbraw/zinc/98/61/15/393986115.db2.gz DEADRWLREWXZLR-PWSUYJOCSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1cccnc1Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487854551 394025235 /nfs/dbraw/zinc/02/52/35/394025235.db2.gz CLEWNDQNMPWGQO-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1cc(OCC(=O)N(C)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000430577213 393996096 /nfs/dbraw/zinc/99/60/96/393996096.db2.gz YUHFFGUVIBLPIL-UHFFFAOYSA-N 0 0 294.351 2.787 20 5 CFBDRN Cc1cccc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000124885931 393998854 /nfs/dbraw/zinc/99/88/54/393998854.db2.gz VMBFCMHRAXJZKE-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000269828134 394008097 /nfs/dbraw/zinc/00/80/97/394008097.db2.gz KSWWFDASLGYRNK-QMMMGPOBSA-N 0 0 293.348 2.557 20 5 CFBDRN Cc1cc(N[C@@H](C)c2cncs2)ncc1[N+](=O)[O-] ZINC000439128897 394100782 /nfs/dbraw/zinc/10/07/82/394100782.db2.gz IDFHWKZQITXTCH-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H](C)c2ccccc2)c1=O ZINC000127299640 394047445 /nfs/dbraw/zinc/04/74/45/394047445.db2.gz ATERVVYNANBJNT-GFCCVEGCSA-N 0 0 272.304 2.869 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)C[C@H]1c1ccccc1 ZINC000355008813 394055214 /nfs/dbraw/zinc/05/52/14/394055214.db2.gz ZEAHVGACMBHGSE-LRDDRELGSA-N 0 0 299.330 2.847 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CCOC[C@H]1C ZINC000277758261 394057815 /nfs/dbraw/zinc/05/78/15/394057815.db2.gz VIFUJXREZYPNHO-MFKMUULPSA-N 0 0 250.298 2.740 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])s2)CC1(C)C ZINC000281968819 394102303 /nfs/dbraw/zinc/10/23/03/394102303.db2.gz RHPLBQWOTFZYTR-QMMMGPOBSA-N 0 0 255.343 2.924 20 5 CFBDRN Cc1nn(CC(=O)CC2CC2)c2ccc([N+](=O)[O-])cc12 ZINC000278777489 394063540 /nfs/dbraw/zinc/06/35/40/394063540.db2.gz UPDCRLIJZJBYEW-UHFFFAOYSA-N 0 0 273.292 2.622 20 5 CFBDRN O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1CCC1CC1 ZINC000437612668 394064342 /nfs/dbraw/zinc/06/43/42/394064342.db2.gz IACSXNNTHNQMTM-UHFFFAOYSA-N 0 0 285.303 2.619 20 5 CFBDRN Cc1cncc(-c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)c1 ZINC000355424209 394065297 /nfs/dbraw/zinc/06/52/97/394065297.db2.gz GARZFGOVMPJDNG-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN CCCCCN(CCO)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000128287502 394066464 /nfs/dbraw/zinc/06/64/64/394066464.db2.gz XLIBSDHGXSLBBU-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN CC[C@H](O)CCCNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000437707046 394069860 /nfs/dbraw/zinc/06/98/60/394069860.db2.gz TWJHVWRODWCXGH-LBPRGKRZSA-N 0 0 295.339 2.576 20 5 CFBDRN Cc1ccc(NC(=O)C2=C(C)OCCS2)cc1[N+](=O)[O-] ZINC000281260148 394076839 /nfs/dbraw/zinc/07/68/39/394076839.db2.gz VFRXHHAAUADSFV-UHFFFAOYSA-N 0 0 294.332 2.837 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000128876124 394078636 /nfs/dbraw/zinc/07/86/36/394078636.db2.gz UIJGOIICRBQQBQ-ZDUSSCGKSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1cn(Cc2ccc(F)c(Cl)c2)nc1[N+](=O)[O-] ZINC000439185283 394103857 /nfs/dbraw/zinc/10/38/57/394103857.db2.gz DKCWMOXPNYZLIZ-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN CC[C@H]1CCN1C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492854251 394082573 /nfs/dbraw/zinc/08/25/73/394082573.db2.gz QEGFAUBTRPLZBE-RNZFLTOJSA-N 0 0 278.283 2.758 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@@H](O)c1cccc(F)c1 ZINC000281862055 394085382 /nfs/dbraw/zinc/08/53/82/394085382.db2.gz KHDKJSFXDJVNFX-RDDDGLTNSA-N 0 0 297.311 2.724 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCOc2ccccc21 ZINC000357312318 394086175 /nfs/dbraw/zinc/08/61/75/394086175.db2.gz OZUQMFJRTDKUES-UHFFFAOYSA-N 0 0 298.298 2.942 20 5 CFBDRN CC(C)C(C)(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000438934269 394088543 /nfs/dbraw/zinc/08/85/43/394088543.db2.gz GBSFMFKKUAFUQU-UHFFFAOYSA-N 0 0 278.352 2.936 20 5 CFBDRN Cc1ccn(C[C@@H](C)c2ccccc2)c(=O)c1[N+](=O)[O-] ZINC000129587921 394091388 /nfs/dbraw/zinc/09/13/88/394091388.db2.gz FVUJCJVGUXYELA-GFCCVEGCSA-N 0 0 272.304 2.869 20 5 CFBDRN CO[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000281925126 394095460 /nfs/dbraw/zinc/09/54/60/394095460.db2.gz SVJKNTIFMJFFDS-GWCFXTLKSA-N 0 0 293.323 2.626 20 5 CFBDRN Cc1ccc(OC[C@@](C)(O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000281930634 394096320 /nfs/dbraw/zinc/09/63/20/394096320.db2.gz QZDYSAIHBAEVBQ-SNVBAGLBSA-N 0 0 279.214 2.595 20 5 CFBDRN C[C@]1(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)C[C@H]2C[C@H]2C1 ZINC000436864896 394030680 /nfs/dbraw/zinc/03/06/80/394030680.db2.gz OWKTXBJOELEVFZ-ATCWAGBWSA-N 0 0 286.331 2.920 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000273181640 394033706 /nfs/dbraw/zinc/03/37/06/394033706.db2.gz OHWIJSGXYIGRGZ-SNVBAGLBSA-N 0 0 250.298 2.840 20 5 CFBDRN CC(C)n1cc(COc2c(Cl)cccc2[N+](=O)[O-])nn1 ZINC000488268508 394036306 /nfs/dbraw/zinc/03/63/06/394036306.db2.gz UABAIYPMPJXSAC-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN CC[C@@H](NC(=O)/C=C\c1cccc([N+](=O)[O-])c1)C(F)F ZINC000493202929 394178487 /nfs/dbraw/zinc/17/84/87/394178487.db2.gz RRJZGKOWHBPYHO-JMEBYUIHSA-N 0 0 284.262 2.768 20 5 CFBDRN CN(C(=O)/C=C\c1ccsc1)c1ccc([N+](=O)[O-])nc1 ZINC000492952022 394106147 /nfs/dbraw/zinc/10/61/47/394106147.db2.gz MRXMMBQHLITGED-DJWKRKHSSA-N 0 0 289.316 2.728 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000130224391 394106408 /nfs/dbraw/zinc/10/64/08/394106408.db2.gz YUFFARZGTCDWQD-QMMMGPOBSA-N 0 0 266.272 2.652 20 5 CFBDRN CCc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1 ZINC000439296974 394110916 /nfs/dbraw/zinc/11/09/16/394110916.db2.gz QJFVOPOTSOPSLA-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN C[C@@H]1C[C@H](CCNc2ncc([N+](=O)[O-])s2)CCO1 ZINC000282050538 394116172 /nfs/dbraw/zinc/11/61/72/394116172.db2.gz XTZSVXKBLAIPJZ-RKDXNWHRSA-N 0 0 271.342 2.668 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC[C@H]1CCCS1 ZINC000130856491 394120406 /nfs/dbraw/zinc/12/04/06/394120406.db2.gz ITXNXSKTLOIMRE-KTRBRXNASA-N 0 0 292.360 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2cnn3ccccc23)nc1 ZINC000439522525 394123561 /nfs/dbraw/zinc/12/35/61/394123561.db2.gz JJJNPDDJGOAPAU-PLNGDYQASA-N 0 0 266.260 2.808 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C\[C@@H]1CCOC1 ZINC000493017218 394124722 /nfs/dbraw/zinc/12/47/22/394124722.db2.gz ICASVAVAJAFQFN-TXNVCOODSA-N 0 0 290.319 2.743 20 5 CFBDRN CC(C)C(C)(C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000439744044 394128297 /nfs/dbraw/zinc/12/82/97/394128297.db2.gz KEVDZBVMZAVXBZ-UHFFFAOYSA-N 0 0 291.351 2.557 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000439717356 394128662 /nfs/dbraw/zinc/12/86/62/394128662.db2.gz UHFNSUKXZNABBC-VHSXEESVSA-N 0 0 270.304 2.943 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CN2CCCC2)c1 ZINC000131318703 394130086 /nfs/dbraw/zinc/13/00/86/394130086.db2.gz WSQYOHCRYJVQAW-UHFFFAOYSA-N 0 0 272.251 2.792 20 5 CFBDRN CCC1(C(=O)N2CCOc3ccc([N+](=O)[O-])cc3C2)CC1 ZINC000359609796 394138809 /nfs/dbraw/zinc/13/88/09/394138809.db2.gz HNHCUWZFBAYPAA-UHFFFAOYSA-N 0 0 290.319 2.506 20 5 CFBDRN CN(c1ncnc2sc([N+](=O)[O-])cc21)C1CCCC1 ZINC000286027264 394181377 /nfs/dbraw/zinc/18/13/77/394181377.db2.gz GGIZLNMAHLBQNM-UHFFFAOYSA-N 0 0 278.337 2.978 20 5 CFBDRN CO[C@H]1CN(c2cc(C)c([N+](=O)[O-])cc2F)CC[C@@H]1C ZINC000360055578 394144894 /nfs/dbraw/zinc/14/48/94/394144894.db2.gz VWLGGZVLBLLQDJ-XPTSAGLGSA-N 0 0 282.315 2.904 20 5 CFBDRN Cc1cc(OC[C@H]2C[C@@H](O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000421212306 394181499 /nfs/dbraw/zinc/18/14/99/394181499.db2.gz JWGRXDMWQYRQAF-DTORHVGOSA-N 0 0 271.700 2.706 20 5 CFBDRN CO[C@H](C)C[N@H+](C)[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000285821783 394151290 /nfs/dbraw/zinc/15/12/90/394151290.db2.gz VOJSTYFZSSFFPU-MNOVXSKESA-N 0 0 252.314 2.623 20 5 CFBDRN CCc1noc(C)c1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000132565404 394157555 /nfs/dbraw/zinc/15/75/55/394157555.db2.gz BTTQTCOEHJOEGA-UHFFFAOYSA-N 0 0 289.291 2.635 20 5 CFBDRN C[C@@H](CNc1c(F)cccc1[N+](=O)[O-])Cn1ccnc1 ZINC000285958634 394172199 /nfs/dbraw/zinc/17/21/99/394172199.db2.gz ADYLGCXHEGBGBC-JTQLQIEISA-N 0 0 278.287 2.679 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]1[C@H]1CCCO1 ZINC000286506673 394197692 /nfs/dbraw/zinc/19/76/92/394197692.db2.gz QTNOOOVGRNPSKY-TZMCWYRMSA-N 0 0 280.299 2.882 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)CSCC1CC1 ZINC000291688848 394256255 /nfs/dbraw/zinc/25/62/55/394256255.db2.gz XHUYMTUFHZZAAD-UHFFFAOYSA-N 0 0 280.349 2.985 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493421779 394238288 /nfs/dbraw/zinc/23/82/88/394238288.db2.gz MALDHHCCZDFHLY-DGMVEKRQSA-N 0 0 260.293 2.523 20 5 CFBDRN CCc1ncsc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000137732604 394240360 /nfs/dbraw/zinc/24/03/60/394240360.db2.gz QXHJHHZCJSWYTF-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN CC[C@@H](C)Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000291620576 394248465 /nfs/dbraw/zinc/24/84/65/394248465.db2.gz KKSGRCYPUWMOCQ-SNVBAGLBSA-N 0 0 260.293 2.956 20 5 CFBDRN O=C(NCC1CC(F)(F)C1)Nc1ccc([N+](=O)[O-])cc1 ZINC000291638725 394251884 /nfs/dbraw/zinc/25/18/84/394251884.db2.gz AAOMPIXBLFFDNT-UHFFFAOYSA-N 0 0 285.250 2.762 20 5 CFBDRN COCC(C)(C)CNc1ccc([N+](=O)[O-])cc1F ZINC000291646501 394252323 /nfs/dbraw/zinc/25/23/23/394252323.db2.gz BNKWXDCGLRJTCI-UHFFFAOYSA-N 0 0 256.277 2.818 20 5 CFBDRN CN(CCC1CC1)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000286082321 394188979 /nfs/dbraw/zinc/18/89/79/394188979.db2.gz DALWVROJJQDWHG-UHFFFAOYSA-N 0 0 278.337 2.836 20 5 CFBDRN Cc1c(Cl)c(CNCc2ccc([N+](=O)[O-])cc2)nn1C ZINC000421339626 394191836 /nfs/dbraw/zinc/19/18/36/394191836.db2.gz GRUCHBLYOLICAD-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284071432 195973522 /nfs/dbraw/zinc/97/35/22/195973522.db2.gz RNPFWXZSVJBSFA-DTWKUNHWSA-N 0 0 295.314 2.577 20 5 CFBDRN C[C@H]1COCCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000367921977 394263332 /nfs/dbraw/zinc/26/33/32/394263332.db2.gz BTZVSHVDRDJEKS-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN CCC1(C)CN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000493517962 394266187 /nfs/dbraw/zinc/26/61/87/394266187.db2.gz HUZHKXDVUPBLTR-BQYQJAHWSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@@H]1CF ZINC000493521421 394267242 /nfs/dbraw/zinc/26/72/42/394267242.db2.gz NBLJQLTXSZUDTB-TXNVCOODSA-N 0 0 296.273 2.708 20 5 CFBDRN CCOC(=O)CN(Cc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000152101100 394271272 /nfs/dbraw/zinc/27/12/72/394271272.db2.gz ALQGIBKPECJYKQ-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(SC[C@@H]3CCCO3)c21 ZINC000493533198 394271885 /nfs/dbraw/zinc/27/18/85/394271885.db2.gz WRGZBTLIAJAFSR-VIFPVBQESA-N 0 0 291.332 2.809 20 5 CFBDRN CCO[C@H]1CCN(c2ncc(C)cc2[N+](=O)[O-])C[C@H]1C ZINC000450743940 394332623 /nfs/dbraw/zinc/33/26/23/394332623.db2.gz KAPDEVSYOZXOGB-YPMHNXCESA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1nc(N2Cc3ccccc3[C@@H]2C)ncc1[N+](=O)[O-] ZINC000450362498 394290766 /nfs/dbraw/zinc/29/07/66/394290766.db2.gz GHEXHBUVBRQUNG-JTQLQIEISA-N 0 0 270.292 2.774 20 5 CFBDRN COc1cc(N2CC[C@@H](OC)[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000450750594 394334569 /nfs/dbraw/zinc/33/45/69/394334569.db2.gz CHXGMSXHZULPPE-NOZJJQNGSA-N 0 0 298.314 2.604 20 5 CFBDRN C[C@H](CCCCO)Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000450644424 394307447 /nfs/dbraw/zinc/30/74/47/394307447.db2.gz DGEPYPOJYVBFSD-SNVBAGLBSA-N 0 0 290.323 2.501 20 5 CFBDRN Cc1nc(N[C@@H]2CCc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000296576268 394310688 /nfs/dbraw/zinc/31/06/88/394310688.db2.gz QTHYFVAONGUVKH-CYBMUJFWSA-N 0 0 284.319 2.663 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C[C@@H]1C ZINC000450753031 394335173 /nfs/dbraw/zinc/33/51/73/394335173.db2.gz GJODONGXFMTCJG-BONVTDFDSA-N 0 0 292.335 2.659 20 5 CFBDRN Cc1noc([C@H]2CCN(c3c(C)cccc3[N+](=O)[O-])C2)n1 ZINC000450757674 394335565 /nfs/dbraw/zinc/33/55/65/394335565.db2.gz NKBQKJFVZYKPMM-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2(CF)CCOCC2)c1 ZINC000450734030 394329534 /nfs/dbraw/zinc/32/95/34/394329534.db2.gz UHQFKEBTBJPCKL-UHFFFAOYSA-N 0 0 298.314 2.782 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC1(CF)CCOCC1 ZINC000450732266 394329599 /nfs/dbraw/zinc/32/95/99/394329599.db2.gz FVFKSGFYQCJTGW-UHFFFAOYSA-N 0 0 286.278 2.912 20 5 CFBDRN O=C(/C=C/C1CCCC1)NCCc1ccccc1[N+](=O)[O-] ZINC000493850124 394329755 /nfs/dbraw/zinc/32/97/55/394329755.db2.gz GGYKQEYPPCUBMS-MDZDMXLPSA-N 0 0 288.347 3.000 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]([C@H](CO)C1CC1)C1CC1 ZINC000450768398 394337762 /nfs/dbraw/zinc/33/77/62/394337762.db2.gz LPHCNPYJYKQMEC-BXUZGUMPSA-N 0 0 294.326 2.943 20 5 CFBDRN Cc1ccoc1CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000493993052 394338959 /nfs/dbraw/zinc/33/89/59/394338959.db2.gz RNFUDSJAVAXKQC-UHFFFAOYSA-N 0 0 293.254 2.957 20 5 CFBDRN Cc1ccnc(N[C@H](C(C)C)[C@H](CO)C(C)C)c1[N+](=O)[O-] ZINC000450776624 394339960 /nfs/dbraw/zinc/33/99/60/394339960.db2.gz WPYXKPWDSNSVOY-CHWSQXEVSA-N 0 0 295.383 2.999 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H]1C=CCCC1 ZINC000157588807 394347858 /nfs/dbraw/zinc/34/78/58/394347858.db2.gz ZVHWEGOZGCBTPN-LLVKDONJSA-N 0 0 292.360 2.912 20 5 CFBDRN COc1ccc(CCOc2ncc(C)cc2[N+](=O)[O-])cc1 ZINC000157895372 394351323 /nfs/dbraw/zinc/35/13/23/394351323.db2.gz UPTRSZZENKQQBE-UHFFFAOYSA-N 0 0 288.303 2.928 20 5 CFBDRN COc1cccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)c1 ZINC000157995610 394353536 /nfs/dbraw/zinc/35/35/36/394353536.db2.gz WAIVSIWIQRASFN-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN CCc1ncc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000453371562 394391892 /nfs/dbraw/zinc/39/18/92/394391892.db2.gz NQHZVCYIISMTAM-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN Cc1c(CC(=O)N2CCC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000158160697 394355099 /nfs/dbraw/zinc/35/50/99/394355099.db2.gz HHTILFVAFPQTRU-NSHDSACASA-N 0 0 276.336 2.704 20 5 CFBDRN C[C@]1(F)CCCN(CCOc2cccc([N+](=O)[O-])c2)C1 ZINC000451123149 394360863 /nfs/dbraw/zinc/36/08/63/394360863.db2.gz UMUOYBFGABDQEZ-AWEZNQCLSA-N 0 0 282.315 2.798 20 5 CFBDRN COC[C@@]1(C)CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000451204326 394368386 /nfs/dbraw/zinc/36/83/86/394368386.db2.gz WLNVXGBUCJNEJA-AWEZNQCLSA-N 0 0 282.315 2.592 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCOCC(F)(F)F ZINC000520252762 394379165 /nfs/dbraw/zinc/37/91/65/394379165.db2.gz FTTAXXFOFARWCI-UHFFFAOYSA-N 0 0 294.229 2.594 20 5 CFBDRN Cc1cc(N2CC[C@@H](O)C(C)(C)C2)ccc1[N+](=O)[O-] ZINC000275535190 135109314 /nfs/dbraw/zinc/10/93/14/135109314.db2.gz YWFKLIMHDPDHNJ-CYBMUJFWSA-N 0 0 264.325 2.500 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ncccc2F)c1 ZINC000530428344 394516050 /nfs/dbraw/zinc/51/60/50/394516050.db2.gz KPOYSWWKSFOPKO-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC2C[C@H](C)O[C@@H](C)C2)n1 ZINC000536605875 394562595 /nfs/dbraw/zinc/56/25/95/394562595.db2.gz FXBQRFNILOQEED-UWVGGRQHSA-N 0 0 265.313 2.666 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2nccc(C(F)F)n2)c1 ZINC000530528285 394525043 /nfs/dbraw/zinc/52/50/43/394525043.db2.gz NKZJFJYMSKVMBW-UHFFFAOYSA-N 0 0 280.234 2.935 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000536206793 394553386 /nfs/dbraw/zinc/55/33/86/394553386.db2.gz VIUTUWPYFHHRMA-NEPJUHHUSA-N 0 0 291.351 2.577 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)c2nnc(C)o2)c([N+](=O)[O-])c1 ZINC000539805126 394633841 /nfs/dbraw/zinc/63/38/41/394633841.db2.gz XNTCKUNLQFFQAB-SSDOTTSWSA-N 0 0 290.279 2.662 20 5 CFBDRN C[C@H](NC(=O)N1C2CCC1CC2)c1ccccc1[N+](=O)[O-] ZINC000540390289 394646856 /nfs/dbraw/zinc/64/68/56/394646856.db2.gz IGKJQJOZZUHOMU-UNXYVOJBSA-N 0 0 289.335 2.992 20 5 CFBDRN Cc1cc(N[C@H](CO)C(C)C)c2cccc([N+](=O)[O-])c2n1 ZINC000540647639 394650624 /nfs/dbraw/zinc/65/06/24/394650624.db2.gz SOEHJTYRGNZSMS-CYBMUJFWSA-N 0 0 289.335 2.880 20 5 CFBDRN CCC(CC)N(C(=O)Nc1ccncc1[N+](=O)[O-])C1CC1 ZINC000541906400 394690796 /nfs/dbraw/zinc/69/07/96/394690796.db2.gz GVGDSVLZRWZHMV-UHFFFAOYSA-N 0 0 292.339 2.597 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000542801359 394713990 /nfs/dbraw/zinc/71/39/90/394713990.db2.gz ALCCLVOCRVYWSY-NWDGAFQWSA-N 0 0 294.351 2.772 20 5 CFBDRN Cc1ccccc1Cc1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000546233192 394822386 /nfs/dbraw/zinc/82/23/86/394822386.db2.gz XWKGKCQYINKELG-UHFFFAOYSA-N 0 0 298.302 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CCO[C@@H]2C)n1 ZINC000544733646 394769071 /nfs/dbraw/zinc/76/90/71/394769071.db2.gz CPIAVGKXIIGIHW-BXKDBHETSA-N 0 0 289.291 2.846 20 5 CFBDRN C[C@H](c1cnn(C)c1)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000544931369 394774202 /nfs/dbraw/zinc/77/42/02/394774202.db2.gz GVRMQSJWGBARMB-SECBINFHSA-N 0 0 299.290 2.530 20 5 CFBDRN Cc1ccncc1N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000547337058 394859558 /nfs/dbraw/zinc/85/95/58/394859558.db2.gz MNVSWCIXGTYRCX-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+][C@@H]1C[C@H](O)C1(C)C ZINC000547979658 394900572 /nfs/dbraw/zinc/90/05/72/394900572.db2.gz TZSXLKKSIAAXRF-GDLCADMTSA-N 0 0 278.352 2.713 20 5 CFBDRN C[C@@H]1C[C@H](C)N1Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000548097831 394911215 /nfs/dbraw/zinc/91/12/15/394911215.db2.gz CQTAKANUFGZKGW-AOOOYVTPSA-N 0 0 288.307 2.628 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@@H](C2CC2)C1 ZINC000548603658 394939304 /nfs/dbraw/zinc/93/93/04/394939304.db2.gz QKUJGCYTPIYENR-XHDPSFHLSA-N 0 0 276.336 2.767 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@H](C2CC2)C1 ZINC000548603657 394939661 /nfs/dbraw/zinc/93/96/61/394939661.db2.gz QKUJGCYTPIYENR-NHYWBVRUSA-N 0 0 276.336 2.767 20 5 CFBDRN CC(C)CC[C@H](NC(=O)c1c[nH]nc1[N+](=O)[O-])C(C)(C)C ZINC000554849838 394960594 /nfs/dbraw/zinc/96/05/94/394960594.db2.gz CFJRHBVLAKYHNP-NSHDSACASA-N 0 0 296.371 2.899 20 5 CFBDRN CC(C)C[C@@H](CO)[N@H+](C)C/C=C\c1ccccc1[N+](=O)[O-] ZINC000554889719 394962102 /nfs/dbraw/zinc/96/21/02/394962102.db2.gz HSPXXKHLVPRAHM-LYASGUKUSA-N 0 0 292.379 2.947 20 5 CFBDRN O=c1[nH]cnc2cc(N[C@@H]3CCC[C@@H]3F)c([N+](=O)[O-])cc12 ZINC000555268628 394970127 /nfs/dbraw/zinc/97/01/27/394970127.db2.gz KMRZLEZPWGYOOP-DTWKUNHWSA-N 0 0 292.270 2.546 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H]1C(F)F ZINC000343924650 296499968 /nfs/dbraw/zinc/49/99/68/296499968.db2.gz XURZKGPEVQIBML-SSDOTTSWSA-N 0 0 277.658 2.877 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000567768767 395083473 /nfs/dbraw/zinc/08/34/73/395083473.db2.gz XUHJNPFVHCACHU-VHSXEESVSA-N 0 0 253.277 2.991 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCO[C@@H](c2ccco2)C1 ZINC000133376954 296501424 /nfs/dbraw/zinc/50/14/24/296501424.db2.gz JKQBDCBGFKVZAO-CQSZACIVSA-N 0 0 292.266 2.905 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]2C[C@@H](O)CC[C@H]2C1 ZINC000450812489 296501617 /nfs/dbraw/zinc/50/16/17/296501617.db2.gz NIPNPVPHICXYNM-MDZLAQPJSA-N 0 0 294.326 2.721 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000371005308 296501653 /nfs/dbraw/zinc/50/16/53/296501653.db2.gz OEKKKJPQBUIFMW-MNOVXSKESA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000302819421 296505272 /nfs/dbraw/zinc/50/52/72/296505272.db2.gz OGAHSZUFOKPROW-SNVBAGLBSA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCO[C@@H](c2ccco2)C1 ZINC000302789936 296505560 /nfs/dbraw/zinc/50/55/60/296505560.db2.gz FVXDDEMQAVLDNL-CQSZACIVSA-N 0 0 292.266 2.905 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCOc3ccccc32)nc1 ZINC000273685610 296521048 /nfs/dbraw/zinc/52/10/48/296521048.db2.gz ZYPRDQOXJNSJTJ-LBPRGKRZSA-N 0 0 286.291 2.711 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@H](CC(F)(F)F)C1 ZINC000340933961 296521984 /nfs/dbraw/zinc/52/19/84/296521984.db2.gz UVTOZYHRRCQZPV-MRVPVSSYSA-N 0 0 275.230 2.769 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2cccc(F)c2C1 ZINC000348128551 296522105 /nfs/dbraw/zinc/52/21/05/296522105.db2.gz ZTWFULVIDWFDTC-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1[C@@H]1C=CCCC1 ZINC000271166798 296527814 /nfs/dbraw/zinc/52/78/14/296527814.db2.gz JUTKEFCDQSWPEX-SECBINFHSA-N 0 0 254.673 2.691 20 5 CFBDRN CO[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])CC1(C)C ZINC000295736750 285720619 /nfs/dbraw/zinc/72/06/19/285720619.db2.gz MMTYNXCCHUVRNM-GFCCVEGCSA-N 0 0 282.315 2.985 20 5 CFBDRN O=C(C1=CCC1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000355971685 155134079 /nfs/dbraw/zinc/13/40/79/155134079.db2.gz DIJUXKKPDJHUBW-UHFFFAOYSA-N 0 0 272.304 2.806 20 5 CFBDRN COC[C@H]1CCCCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000307761975 397645368 /nfs/dbraw/zinc/64/53/68/397645368.db2.gz BSKLYUPHHDTADQ-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1ccc(N2CCC[C@@H]2C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000269849557 292131781 /nfs/dbraw/zinc/13/17/81/292131781.db2.gz IWORNRUTPFIDIS-NWDGAFQWSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1ccc(N2CC[C@H](O)C(C)(C)C2)c([N+](=O)[O-])c1 ZINC000275434398 292139110 /nfs/dbraw/zinc/13/91/10/292139110.db2.gz JDUGRYVNZSUCFG-ZDUSSCGKSA-N 0 0 264.325 2.500 20 5 CFBDRN CO[C@@]1(C)CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000338709695 285918701 /nfs/dbraw/zinc/91/87/01/285918701.db2.gz FYWQPJRHCJGQSN-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000356171804 155176930 /nfs/dbraw/zinc/17/69/30/155176930.db2.gz SCDSKMDWFMRLCU-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)[C@@H](C)C1 ZINC000281759458 286063003 /nfs/dbraw/zinc/06/30/03/286063003.db2.gz YPRYWKZOUOCQNS-ONGXEEELSA-N 0 0 268.288 2.738 20 5 CFBDRN CO[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)C1(C)C ZINC000439859130 286099077 /nfs/dbraw/zinc/09/90/77/286099077.db2.gz RDAZNFLNTGMTNV-MNOVXSKESA-N 0 0 298.364 2.508 20 5 CFBDRN COCCCCc1noc(-c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000356351493 155236596 /nfs/dbraw/zinc/23/65/96/155236596.db2.gz UOWAYSMPKRXSLY-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN CO[C@](C)(CNc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000336815646 286146766 /nfs/dbraw/zinc/14/67/66/286146766.db2.gz IKXGRFZMXWDWLI-CYBMUJFWSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H](C)C(F)(F)F ZINC000356424915 155260834 /nfs/dbraw/zinc/26/08/34/155260834.db2.gz JNGLNTNWQWDPKP-QMMMGPOBSA-N 0 0 290.241 2.831 20 5 CFBDRN CC(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])nn2C)cc1 ZINC000356513411 155289885 /nfs/dbraw/zinc/28/98/85/155289885.db2.gz YONXRCLXNKPROB-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN COc1cc(CN2C[C@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000351971140 286220811 /nfs/dbraw/zinc/22/08/11/286220811.db2.gz POSAECNEGLTZPK-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000301657843 286230268 /nfs/dbraw/zinc/23/02/68/286230268.db2.gz VZTBLUITWIASFL-BDAKNGLRSA-N 0 0 268.288 2.977 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1COc1ccc(F)cc1Cl ZINC000356552458 155301947 /nfs/dbraw/zinc/30/19/47/155301947.db2.gz WBYAPAHFPSSDEA-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN C[C@H](F)CCNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000356697367 155334153 /nfs/dbraw/zinc/33/41/53/155334153.db2.gz JKTAPXOUHHIEFS-QMMMGPOBSA-N 0 0 279.271 2.554 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000356727222 155342447 /nfs/dbraw/zinc/34/24/47/155342447.db2.gz LURWIHWEJCUCND-MRVPVSSYSA-N 0 0 279.271 2.554 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000356735581 155345418 /nfs/dbraw/zinc/34/54/18/155345418.db2.gz UMXVXAMCAOYXNP-NOZJJQNGSA-N 0 0 287.319 2.533 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC[C@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000356752165 155353690 /nfs/dbraw/zinc/35/36/90/155353690.db2.gz WJMGMBUOKLTAKH-ZDMBXUJBSA-N 0 0 280.299 2.752 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1Cc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000356795997 155371624 /nfs/dbraw/zinc/37/16/24/155371624.db2.gz BPCBNCCDYKEIRB-ZFWWWQNUSA-N 0 0 296.326 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)O[C@H](C)[C@H]2C)c1 ZINC000446613274 292282831 /nfs/dbraw/zinc/28/28/31/292282831.db2.gz USASBKFXUIQXHV-QJPTWQEYSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(C3CCCC3)C2)n1 ZINC000373684181 292284084 /nfs/dbraw/zinc/28/40/84/292284084.db2.gz RFLBQPOGNUNOLU-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)C[C@H]1C ZINC000336013129 292292890 /nfs/dbraw/zinc/29/28/90/292292890.db2.gz YBXAVDHTRCPYIK-GXSJLCMTSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCn2ccnc2C1 ZINC000332631128 292296428 /nfs/dbraw/zinc/29/64/28/292296428.db2.gz OYPOGWOEQPADJL-LBPRGKRZSA-N 0 0 286.335 2.677 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2ccc3c[nH]nc3c2)n1 ZINC000343053908 154098365 /nfs/dbraw/zinc/09/83/65/154098365.db2.gz TVOQHDXYVOLLQO-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCC1(O)CCC1 ZINC000343139400 154123032 /nfs/dbraw/zinc/12/30/32/154123032.db2.gz LBHPKJJCKFTLCD-UHFFFAOYSA-N 0 0 270.716 2.965 20 5 CFBDRN Cc1sc(C(=O)Nc2cc(C)ccn2)cc1[N+](=O)[O-] ZINC000343200437 154140328 /nfs/dbraw/zinc/14/03/28/154140328.db2.gz IWFAOLOWZACVLU-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(Nc1ccc2ncccc2c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000343197691 154140384 /nfs/dbraw/zinc/14/03/84/154140384.db2.gz NPRREMSXAISNTH-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccc3n[nH]cc3c2)cn1 ZINC000343223226 154147265 /nfs/dbraw/zinc/14/72/65/154147265.db2.gz DAENVOVDXZWFAS-UHFFFAOYSA-N 0 0 255.237 2.610 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](c2nncn2C)C(C)C)c1 ZINC000343232980 154150771 /nfs/dbraw/zinc/15/07/71/154150771.db2.gz GLFORSRXXCUVQL-CYBMUJFWSA-N 0 0 289.339 2.841 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCOC(F)(F)F ZINC000343234791 154150866 /nfs/dbraw/zinc/15/08/66/154150866.db2.gz QNLZUAAUSUBTKG-UHFFFAOYSA-N 0 0 268.166 2.682 20 5 CFBDRN CCN(C[C@H](C)O)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000343253959 154156782 /nfs/dbraw/zinc/15/67/82/154156782.db2.gz MHGBKRHSHRHLIL-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN COC1CC(N(C)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000343255631 154156807 /nfs/dbraw/zinc/15/68/07/154156807.db2.gz VPRPYALYZRYGJS-UHFFFAOYSA-N 0 0 270.716 2.862 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1C(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000345533645 154198377 /nfs/dbraw/zinc/19/83/77/154198377.db2.gz NJFDLOYTIKOUDM-IUCAKERBSA-N 0 0 290.653 2.632 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000345565135 154207324 /nfs/dbraw/zinc/20/73/24/154207324.db2.gz IWIZAORCBXIICH-UJNFCWOMSA-N 0 0 299.277 2.609 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000345630845 154224177 /nfs/dbraw/zinc/22/41/77/154224177.db2.gz LFQWINHTHHWXSI-NWDGAFQWSA-N 0 0 280.299 2.778 20 5 CFBDRN CC1(Cc2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)CC1 ZINC000345675235 154237477 /nfs/dbraw/zinc/23/74/77/154237477.db2.gz VXSMUJXPPJHSHT-UHFFFAOYSA-N 0 0 274.280 2.570 20 5 CFBDRN CSCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000345677314 154237631 /nfs/dbraw/zinc/23/76/31/154237631.db2.gz WLTFGODHOLBLKB-UHFFFAOYSA-N 0 0 251.267 2.508 20 5 CFBDRN COc1c(-c2nc(CC(C)C)no2)cccc1[N+](=O)[O-] ZINC000345677091 154237672 /nfs/dbraw/zinc/23/76/72/154237672.db2.gz YJTFUYFXHXKOOV-UHFFFAOYSA-N 0 0 277.280 2.852 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](Oc3ccncc3)C2)c1 ZINC000345695469 154243267 /nfs/dbraw/zinc/24/32/67/154243267.db2.gz PUWKDJUMCFNSFY-CQSZACIVSA-N 0 0 299.330 2.956 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(COC)no1 ZINC000345698812 154244708 /nfs/dbraw/zinc/24/47/08/154244708.db2.gz UMCQLYBEIRJWEJ-NSHDSACASA-N 0 0 291.307 2.861 20 5 CFBDRN Cc1ccc(C(=O)NCCC2(F)CCC2)cc1[N+](=O)[O-] ZINC000345749092 154258527 /nfs/dbraw/zinc/25/85/27/154258527.db2.gz NZWYANOXKZOHOV-UHFFFAOYSA-N 0 0 280.299 2.915 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000345773942 154263263 /nfs/dbraw/zinc/26/32/63/154263263.db2.gz IGYWNVLSQMFXCJ-SNVBAGLBSA-N 0 0 294.376 2.699 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2C[C@@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000348058669 154300858 /nfs/dbraw/zinc/30/08/58/154300858.db2.gz AAKXWSINSCZELD-IONNQARKSA-N 0 0 285.250 2.678 20 5 CFBDRN Cc1ccc(NCc2c([N+](=O)[O-])ncn2C)c(Cl)c1 ZINC000348125734 154330130 /nfs/dbraw/zinc/33/01/30/154330130.db2.gz VXYXQOUTNUEMQL-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN COc1ccc(CN2CCc3ccc([N+](=O)[O-])cc32)cn1 ZINC000348262796 154385770 /nfs/dbraw/zinc/38/57/70/154385770.db2.gz PXWPSRKVSNBZNN-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)c2cncs2)cc1[N+](=O)[O-] ZINC000348265098 154387260 /nfs/dbraw/zinc/38/72/60/154387260.db2.gz CXSWDVIYNBKIKJ-LURJTMIESA-N 0 0 297.361 2.912 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCc3ccc(O)cc3C2)c1 ZINC000351959796 154419454 /nfs/dbraw/zinc/41/94/54/154419454.db2.gz QHORQJQDRSDQLX-UHFFFAOYSA-N 0 0 284.315 2.859 20 5 CFBDRN COCCC1(CNc2c([N+](=O)[O-])ncn2C)CCCCC1 ZINC000359845018 292309484 /nfs/dbraw/zinc/30/94/84/292309484.db2.gz BIHDHALFYCGMDT-UHFFFAOYSA-N 0 0 296.371 2.727 20 5 CFBDRN COc1cc(O)cc(NC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000352457043 154578142 /nfs/dbraw/zinc/57/81/42/154578142.db2.gz BTLQDNKBYJGJJF-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN C[C@@H](CCn1cc([N+](=O)[O-])cn1)OCc1ccccc1 ZINC000352554440 154610424 /nfs/dbraw/zinc/61/04/24/154610424.db2.gz RYTYUVLLAAFIKH-LBPRGKRZSA-N 0 0 275.308 2.787 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000352610859 154627494 /nfs/dbraw/zinc/62/74/94/154627494.db2.gz YLYXAPPGHZBBKZ-CMPLNLGQSA-N 0 0 262.309 2.599 20 5 CFBDRN COc1cccc(N2CCSC(C)(C)C2)c1[N+](=O)[O-] ZINC000185537808 286457556 /nfs/dbraw/zinc/45/75/56/286457556.db2.gz ONWLTSNIZRJJNS-UHFFFAOYSA-N 0 0 282.365 2.935 20 5 CFBDRN COc1ccc(NC(=O)C2CC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000354824066 154825926 /nfs/dbraw/zinc/82/59/26/154825926.db2.gz QLDZXRPCQGZLDJ-UHFFFAOYSA-N 0 0 278.308 2.978 20 5 CFBDRN CCn1cnc2c1ncnc2Oc1cc([N+](=O)[O-])ccc1C ZINC000356970822 155446393 /nfs/dbraw/zinc/44/63/93/155446393.db2.gz CIEFQTXNAMQDLB-UHFFFAOYSA-N 0 0 299.290 2.855 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000355408932 155001887 /nfs/dbraw/zinc/00/18/87/155001887.db2.gz LFOJBIOGJAAJDE-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN COc1cc(F)c(F)cc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000355422949 155006937 /nfs/dbraw/zinc/00/69/37/155006937.db2.gz CWPDAZSKHLXJBN-UHFFFAOYSA-N 0 0 298.201 2.727 20 5 CFBDRN Cc1cccnc1-c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355442855 155009186 /nfs/dbraw/zinc/00/91/86/155009186.db2.gz PUTZABKKJIUJND-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000355487092 155021697 /nfs/dbraw/zinc/02/16/97/155021697.db2.gz RWYVCKPPFJJZTH-MWLCHTKSSA-N 0 0 296.298 2.878 20 5 CFBDRN CN(CCC1CCCCC1)Cc1c([N+](=O)[O-])ncn1C ZINC000355545806 155036910 /nfs/dbraw/zinc/03/69/10/155036910.db2.gz PHKRVOKMHWXTHT-UHFFFAOYSA-N 0 0 280.372 2.731 20 5 CFBDRN CC(C)OCCc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355656538 155056277 /nfs/dbraw/zinc/05/62/77/155056277.db2.gz CQRXLHFVLOXJDD-UHFFFAOYSA-N 0 0 291.307 2.536 20 5 CFBDRN CCc1ccccc1-c1noc(-c2c([N+](=O)[O-])cnn2C)n1 ZINC000355821643 155096781 /nfs/dbraw/zinc/09/67/81/155096781.db2.gz SNTKIYVWKAISDA-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)C(F)(F)F)n1 ZINC000355786121 155086831 /nfs/dbraw/zinc/08/68/31/155086831.db2.gz SKFTUDNUDJYAHC-LURJTMIESA-N 0 0 263.219 2.909 20 5 CFBDRN Cc1cc(-c2nc(Cc3ccncc3)no2)cc([N+](=O)[O-])c1 ZINC000355793447 155089310 /nfs/dbraw/zinc/08/93/10/155089310.db2.gz KPISIOHDIUFYLQ-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1ccc(-c2nc(Cc3ccncc3)no2)cc1[N+](=O)[O-] ZINC000355805201 155092518 /nfs/dbraw/zinc/09/25/18/155092518.db2.gz KNYDYGOFQIQROH-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(Cc2ccncc2)no1 ZINC000355809802 155093765 /nfs/dbraw/zinc/09/37/65/155093765.db2.gz PMPDBOUKHPSVDS-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)C2CC2)c2ncccc12 ZINC000357791504 155538836 /nfs/dbraw/zinc/53/88/36/155538836.db2.gz ZEXZGZUBYVUODE-LLVKDONJSA-N 0 0 287.319 2.573 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C(C)(C)C1 ZINC000358417891 155758328 /nfs/dbraw/zinc/75/83/28/155758328.db2.gz FYAUGNVXUMZETE-JTQLQIEISA-N 0 0 294.326 2.923 20 5 CFBDRN CCc1nc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)co1 ZINC000358431197 155763212 /nfs/dbraw/zinc/76/32/12/155763212.db2.gz QQNCSJLJWRQEFY-UHFFFAOYSA-N 0 0 286.247 2.862 20 5 CFBDRN CCc1nn(C)c(NCCc2sccc2C)c1[N+](=O)[O-] ZINC000358459184 155772388 /nfs/dbraw/zinc/77/23/88/155772388.db2.gz SGIJTGKFKCUQGF-UHFFFAOYSA-N 0 0 294.380 2.915 20 5 CFBDRN Cc1ccccc1C1CC(Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000358671727 155839633 /nfs/dbraw/zinc/83/96/33/155839633.db2.gz CXWSAWMUUPFJEQ-UHFFFAOYSA-N 0 0 286.335 2.995 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000359178686 156015315 /nfs/dbraw/zinc/01/53/15/156015315.db2.gz PWJLGYBIKXFFEB-GFCCVEGCSA-N 0 0 262.309 2.538 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2C[C@H]2c2ccccc2)c1=O ZINC000359181302 156016009 /nfs/dbraw/zinc/01/60/09/156016009.db2.gz YDHRDYUHDKRJLN-HIFRSBDPSA-N 0 0 284.315 2.869 20 5 CFBDRN Cc1c(CNC(=O)NCC2(C)CC2)cccc1[N+](=O)[O-] ZINC000359185026 156017414 /nfs/dbraw/zinc/01/74/14/156017414.db2.gz FFWNZDKYMSVAPM-UHFFFAOYSA-N 0 0 277.324 2.503 20 5 CFBDRN Cc1cc(NCC2(C(F)F)CC2)ncc1[N+](=O)[O-] ZINC000359840030 156026352 /nfs/dbraw/zinc/02/63/52/156026352.db2.gz SAOIGWPIVYQVTA-UHFFFAOYSA-N 0 0 257.240 2.755 20 5 CFBDRN CC(C)=CCCNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000360215045 156055562 /nfs/dbraw/zinc/05/55/62/156055562.db2.gz IVJPZQRQRDJEQY-UHFFFAOYSA-N 0 0 262.309 2.989 20 5 CFBDRN CCOc1cc(NCC2(CCO)CCC2)ccc1[N+](=O)[O-] ZINC000362871842 156104282 /nfs/dbraw/zinc/10/42/82/156104282.db2.gz AQJUFKYWTWIFDT-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN Cc1c(CC(=O)NC23CCC(CC2)C3)cccc1[N+](=O)[O-] ZINC000364680122 156223244 /nfs/dbraw/zinc/22/32/44/156223244.db2.gz ZDJXWLMLYKFOCG-UHFFFAOYSA-N 0 0 288.347 2.895 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000367407593 156327857 /nfs/dbraw/zinc/32/78/57/156327857.db2.gz HVWJOIJBJNETBW-BONVTDFDSA-N 0 0 298.298 2.847 20 5 CFBDRN CCOc1cccc(N2CCCO[C@@H](CC)C2)c1[N+](=O)[O-] ZINC000281863779 286572826 /nfs/dbraw/zinc/57/28/26/286572826.db2.gz MYDFLXDUYPWSIU-LBPRGKRZSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1C[C@H]2CC[C@@H](C1)O2 ZINC000374105303 157069683 /nfs/dbraw/zinc/06/96/83/157069683.db2.gz HXJUAFCOIGCIQS-LEWSCRJBSA-N 0 0 262.309 2.519 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H]3CCCCC[C@@H]32)s1 ZINC000374413408 157110870 /nfs/dbraw/zinc/11/08/70/157110870.db2.gz CFZLSKWRPXTCBC-BDAKNGLRSA-N 0 0 253.327 2.820 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](Cc3ccncc3)C2)s1 ZINC000374537416 157126441 /nfs/dbraw/zinc/12/64/41/157126441.db2.gz MLBUZGWUJQEYLL-NSHDSACASA-N 0 0 290.348 2.515 20 5 CFBDRN COC[C@@H]1CCCCN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000375139650 157200799 /nfs/dbraw/zinc/20/07/99/157200799.db2.gz GKFKNOXSJCKAGP-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2nccs2)s1 ZINC000375583965 157256814 /nfs/dbraw/zinc/25/68/14/157256814.db2.gz PEGDMAGNUXZNTK-SSDOTTSWSA-N 0 0 282.350 2.849 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Nc2cc3n(n2)CCCC3)c(F)c1 ZINC000376205977 157334085 /nfs/dbraw/zinc/33/40/85/157334085.db2.gz FKHKCPUWCKJLAB-UHFFFAOYSA-N 0 0 294.261 2.571 20 5 CFBDRN CCCCCC(=O)N1CCC[C@@H](n2cc([N+](=O)[O-])cn2)C1 ZINC000376310500 157342950 /nfs/dbraw/zinc/34/29/50/157342950.db2.gz JPDVRGNGTAOEIL-GFCCVEGCSA-N 0 0 294.355 2.535 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@]3(CCOC3)C2)c1 ZINC000408091428 157379169 /nfs/dbraw/zinc/37/91/69/157379169.db2.gz WZDUTZZIPQCTIR-SWLSCSKDSA-N 0 0 292.335 2.653 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@@H]3[C@H](C2)C3(C)C)n1 ZINC000408170500 157383599 /nfs/dbraw/zinc/38/35/99/157383599.db2.gz FCSFPDRHHRQDBV-PHIMTYICSA-N 0 0 261.325 2.699 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]2CCCC[C@@H]21 ZINC000408172804 157383604 /nfs/dbraw/zinc/38/36/04/157383604.db2.gz ARCIXTFGTFKIOH-IRUJWGPZSA-N 0 0 277.324 2.574 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]2CCCC[C@H]21 ZINC000408172805 157383727 /nfs/dbraw/zinc/38/37/27/157383727.db2.gz ARCIXTFGTFKIOH-SUZMYJTESA-N 0 0 277.324 2.574 20 5 CFBDRN Cc1c(CN2CCOC(C)(C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000408323554 157392195 /nfs/dbraw/zinc/39/21/95/157392195.db2.gz ZMINSPLYFBVEJP-LBPRGKRZSA-N 0 0 278.352 2.903 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000157249826 292428040 /nfs/dbraw/zinc/42/80/40/292428040.db2.gz JBLZDOBSSJEZTK-ONGXEEELSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@@H](N(C)c1nc2sccn2c1[N+](=O)[O-])C1(C)CC1 ZINC000301560264 161548246 /nfs/dbraw/zinc/54/82/46/161548246.db2.gz KBNCSIKXAPTLTB-MRVPVSSYSA-N 0 0 280.353 2.929 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000338020775 161573809 /nfs/dbraw/zinc/57/38/09/161573809.db2.gz YQZCRMIMOSOZGZ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2CCCCS2)cccc1[N+](=O)[O-] ZINC000342661322 161680058 /nfs/dbraw/zinc/68/00/58/161680058.db2.gz ODYKLOVQHQCZPU-NSHDSACASA-N 0 0 294.376 2.919 20 5 CFBDRN COc1cncc(CN2CCc3c2cccc3[N+](=O)[O-])c1 ZINC000343766156 161857661 /nfs/dbraw/zinc/85/76/61/161857661.db2.gz NPEDEDBLYOFIOO-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1cccs1 ZINC000343938515 161897968 /nfs/dbraw/zinc/89/79/68/161897968.db2.gz FQHNWKFNQBSKLD-QMMMGPOBSA-N 0 0 279.321 2.866 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@@H]1C ZINC000343965929 161900507 /nfs/dbraw/zinc/90/05/07/161900507.db2.gz RXFMDHAWXGOTIC-VHSXEESVSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CC[C@@H]2CCCO2)c1 ZINC000344302729 162003999 /nfs/dbraw/zinc/00/39/99/162003999.db2.gz GIBGEAZPPDQIJR-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1cccc(CN[C@H](CO)c2ccc(F)cc2)c1 ZINC000344375081 162027916 /nfs/dbraw/zinc/02/79/16/162027916.db2.gz JGVCGUJAMBDBKO-OAHLLOKOSA-N 0 0 290.294 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ncnn2-c2ccccc2)cc1 ZINC000344618996 162083662 /nfs/dbraw/zinc/08/36/62/162083662.db2.gz HSWRWISNQPQQTQ-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN CCC[C@H](C)CC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000344920604 162147943 /nfs/dbraw/zinc/14/79/43/162147943.db2.gz LVVRALSWZSUQCQ-LBPRGKRZSA-N 0 0 293.367 2.949 20 5 CFBDRN Cc1ccc(CNCc2ccc(F)cn2)cc1[N+](=O)[O-] ZINC000344931340 162149798 /nfs/dbraw/zinc/14/97/98/162149798.db2.gz QUTXVFSMQZOGEY-UHFFFAOYSA-N 0 0 275.283 2.727 20 5 CFBDRN CO[C@H](CCNc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000344983351 162158640 /nfs/dbraw/zinc/15/86/40/162158640.db2.gz JJWLHRVIIHLVQA-SNVBAGLBSA-N 0 0 278.230 2.974 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NC(C)(C)CF)c1 ZINC000345027380 162164897 /nfs/dbraw/zinc/16/48/97/162164897.db2.gz NIVHVYGPUFYXPK-UHFFFAOYSA-N 0 0 298.314 2.536 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)NCCNc2ccccc2[N+](=O)[O-])C1 ZINC000345061513 162170911 /nfs/dbraw/zinc/17/09/11/162170911.db2.gz UWNCAPJWSUUKRT-NWDGAFQWSA-N 0 0 291.351 2.559 20 5 CFBDRN COC[C@@H](C)N(C)c1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000345125439 162182050 /nfs/dbraw/zinc/18/20/50/162182050.db2.gz XKMCVRQTKFETAE-LLVKDONJSA-N 0 0 289.335 2.923 20 5 CFBDRN O=C(Cc1ccsc1)Nc1ccc([N+](=O)[O-])cc1F ZINC000345181052 162196071 /nfs/dbraw/zinc/19/60/71/162196071.db2.gz ZRHJMKKRFHSBSL-UHFFFAOYSA-N 0 0 280.280 2.977 20 5 CFBDRN Cc1c(CN[C@H]2C[C@@H](C)n3ccnc32)cccc1[N+](=O)[O-] ZINC000345355455 162234265 /nfs/dbraw/zinc/23/42/65/162234265.db2.gz MCUBRBNBXJMWGR-MFKMUULPSA-N 0 0 286.335 2.895 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)ns1 ZINC000345924129 162275792 /nfs/dbraw/zinc/27/57/92/162275792.db2.gz KKDQUEYWCAODJM-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN O=C(Nc1cccc(-c2ncc[nH]2)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000346090701 162316035 /nfs/dbraw/zinc/31/60/35/162316035.db2.gz RMOWMDJNYGGKGK-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000346207661 162351079 /nfs/dbraw/zinc/35/10/79/162351079.db2.gz JWOUIQKGTVORKP-LBPRGKRZSA-N 0 0 289.335 2.865 20 5 CFBDRN CC(C)OC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000346216644 162355626 /nfs/dbraw/zinc/35/56/26/162355626.db2.gz CXUIRKJGFPMKLF-UHFFFAOYSA-N 0 0 260.249 2.708 20 5 CFBDRN Cc1c(NC(=O)c2cnn3ccccc23)cccc1[N+](=O)[O-] ZINC000346367017 162395551 /nfs/dbraw/zinc/39/55/51/162395551.db2.gz FSLZDPJTIUBOAK-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN C[C@H](Nc1ccn(CC(F)F)n1)c1ccccc1[N+](=O)[O-] ZINC000346939441 162561497 /nfs/dbraw/zinc/56/14/97/162561497.db2.gz KDKPLHYEPQVLLT-VIFPVBQESA-N 0 0 296.277 2.651 20 5 CFBDRN Cc1cc(NC(=O)CCCn2cccn2)ccc1[N+](=O)[O-] ZINC000347148923 162612455 /nfs/dbraw/zinc/61/24/55/162612455.db2.gz BBFJIBUPTJSETJ-UHFFFAOYSA-N 0 0 288.307 2.519 20 5 CFBDRN COc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000347186560 162620077 /nfs/dbraw/zinc/62/00/77/162620077.db2.gz OFWNRDAQPGUXTR-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@]1(F)CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000347398556 162680514 /nfs/dbraw/zinc/68/05/14/162680514.db2.gz XTJORQDJWVHJBA-GFCCVEGCSA-N 0 0 256.252 2.668 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3CCCSC3)c21 ZINC000347413899 162684248 /nfs/dbraw/zinc/68/42/48/162684248.db2.gz LHVFXFSHKMIVMW-VIFPVBQESA-N 0 0 290.348 2.846 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000347513166 162711903 /nfs/dbraw/zinc/71/19/03/162711903.db2.gz IXEKGTKBAFODDT-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccon1 ZINC000347662055 162752486 /nfs/dbraw/zinc/75/24/86/162752486.db2.gz CBYYLTCRNXDDQE-SSDOTTSWSA-N 0 0 295.682 2.727 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccccc1OC(F)F ZINC000347753597 162767831 /nfs/dbraw/zinc/76/78/31/162767831.db2.gz LIJYPRGGWITYIQ-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN CCCOc1cccc(NCc2c([N+](=O)[O-])ncn2C)c1 ZINC000347752488 162768375 /nfs/dbraw/zinc/76/83/75/162768375.db2.gz ISMGVCQCCNWSLL-UHFFFAOYSA-N 0 0 290.323 2.729 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1C)C1CCC1 ZINC000348302494 162820159 /nfs/dbraw/zinc/82/01/59/162820159.db2.gz AVWMCDKHSXQPRF-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC1(C(F)F)CC1 ZINC000348760403 162899493 /nfs/dbraw/zinc/89/94/93/162899493.db2.gz AIQKECGMELERFG-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000349054414 162936877 /nfs/dbraw/zinc/93/68/77/162936877.db2.gz QGNDLNGYWPIWIT-QMMMGPOBSA-N 0 0 298.389 2.552 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCc1ccccc1Cl ZINC000349264715 162958677 /nfs/dbraw/zinc/95/86/77/162958677.db2.gz HTTTWTBJIYGQMN-UHFFFAOYSA-N 0 0 292.722 2.961 20 5 CFBDRN O=C(NC1(Cc2ccccc2)CCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000349268427 162958682 /nfs/dbraw/zinc/95/86/82/162958682.db2.gz QHIKAADOMYHOFK-UHFFFAOYSA-N 0 0 299.330 2.818 20 5 CFBDRN COc1ccc(NC(=O)c2ccncc2C)cc1[N+](=O)[O-] ZINC000349566561 162992055 /nfs/dbraw/zinc/99/20/55/162992055.db2.gz UEVWLXJDKHRRQJ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000349675812 163003088 /nfs/dbraw/zinc/00/30/88/163003088.db2.gz BJVVANCNRVAYAA-STQMWFEESA-N 0 0 274.320 2.566 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCc1ccc(CO)cc1 ZINC000349833222 163021924 /nfs/dbraw/zinc/02/19/24/163021924.db2.gz RIWXWZOVEVJYCQ-UHFFFAOYSA-N 0 0 294.694 2.715 20 5 CFBDRN Cc1cc(OCC(=O)N2CCCC2)c(Cl)cc1[N+](=O)[O-] ZINC000349833905 163023869 /nfs/dbraw/zinc/02/38/69/163023869.db2.gz WJVXUDVOPCXRII-UHFFFAOYSA-N 0 0 298.726 2.558 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(-c3cscn3)n2)c1 ZINC000349862135 163027350 /nfs/dbraw/zinc/02/73/50/163027350.db2.gz MIAISGXMZRQOSQ-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN Cc1ocnc1-c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000349864497 163027548 /nfs/dbraw/zinc/02/75/48/163027548.db2.gz WDDLQDFQHAYGBN-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CCc3ccncc3)n2)c1 ZINC000349862953 163028119 /nfs/dbraw/zinc/02/81/19/163028119.db2.gz ASYAYGFTGAJXFA-UHFFFAOYSA-N 0 0 296.286 2.825 20 5 CFBDRN CCn1cc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)cn1 ZINC000349879476 163031855 /nfs/dbraw/zinc/03/18/55/163031855.db2.gz PHZHFGKTOVBSLL-UHFFFAOYSA-N 0 0 285.263 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCOCC3)n2)cc1 ZINC000349894196 163033342 /nfs/dbraw/zinc/03/33/42/163033342.db2.gz VDWZWHUJGUOLIK-NSHDSACASA-N 0 0 289.291 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3C[C@]34CCOC4)n2)cc1 ZINC000349890279 163033816 /nfs/dbraw/zinc/03/38/16/163033816.db2.gz KCRQCTUFDFDTQQ-FZMZJTMJSA-N 0 0 287.275 2.539 20 5 CFBDRN Cc1cc(COc2c(Cl)cncc2[N+](=O)[O-])no1 ZINC000349917950 163037195 /nfs/dbraw/zinc/03/71/95/163037195.db2.gz UMXJEPIZDBXNKB-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCc1ccccn1 ZINC000349917963 163037297 /nfs/dbraw/zinc/03/72/97/163037297.db2.gz UOFIKVLTIINKAI-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN CN1Cc2cc(OCc3ccc([N+](=O)[O-])cc3)ccc2C1=O ZINC000350149178 163064123 /nfs/dbraw/zinc/06/41/23/163064123.db2.gz UWJTYROLEDMPCL-UHFFFAOYSA-N 0 0 298.298 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ccc(F)cn2)c(F)c1 ZINC000350344222 163074922 /nfs/dbraw/zinc/07/49/22/163074922.db2.gz YPXPUOTYSRFCTM-UHFFFAOYSA-N 0 0 279.246 2.558 20 5 CFBDRN Cc1cnccc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000350412570 163077838 /nfs/dbraw/zinc/07/78/38/163077838.db2.gz LCBWPYXGHFXBQL-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN CO[C@@](C)(c1noc(-c2cccc([N+](=O)[O-])c2)n1)C1CC1 ZINC000350424242 163078634 /nfs/dbraw/zinc/07/86/34/163078634.db2.gz VDGPREVXDVBHQF-CQSZACIVSA-N 0 0 289.291 2.916 20 5 CFBDRN Cc1cnccc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000350484005 163086626 /nfs/dbraw/zinc/08/66/26/163086626.db2.gz VCRCTRVHMJCYMD-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1cnccc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000350641336 163096048 /nfs/dbraw/zinc/09/60/48/163096048.db2.gz FVPBDGNXDOKYPL-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000350689316 163098527 /nfs/dbraw/zinc/09/85/27/163098527.db2.gz GCLXTBAXLAKNCQ-DTWKUNHWSA-N 0 0 297.286 2.616 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C1CC(C)(C)C1 ZINC000350700956 163099686 /nfs/dbraw/zinc/09/96/86/163099686.db2.gz PMWJIHPTZVPRCV-UHFFFAOYSA-N 0 0 292.335 2.656 20 5 CFBDRN CC(C)(C)CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000350998993 163115441 /nfs/dbraw/zinc/11/54/41/163115441.db2.gz DBDFJBCWHFWILH-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN CO[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000351058519 163116423 /nfs/dbraw/zinc/11/64/23/163116423.db2.gz RJTFAENVEBIXPT-GFCCVEGCSA-N 0 0 266.297 2.513 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CCC1(C)C ZINC000351208778 163121808 /nfs/dbraw/zinc/12/18/08/163121808.db2.gz XRUOQXWHNVIWFS-LBPRGKRZSA-N 0 0 293.323 2.914 20 5 CFBDRN CC(C)[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000351247078 163122817 /nfs/dbraw/zinc/12/28/17/163122817.db2.gz DZSCZRXTZDQMLM-CQSZACIVSA-N 0 0 282.315 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2cccc3c2OCO3)cc1 ZINC000351289786 163124270 /nfs/dbraw/zinc/12/42/70/163124270.db2.gz OYGOZQBYGJCXJH-UHFFFAOYSA-N 0 0 286.287 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@@H]2CCCCO2)cc1 ZINC000351626641 163161212 /nfs/dbraw/zinc/16/12/12/163161212.db2.gz GRQPKPGGBBMRNB-LBPRGKRZSA-N 0 0 251.282 2.933 20 5 CFBDRN Cc1ncsc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000351681466 163173926 /nfs/dbraw/zinc/17/39/26/163173926.db2.gz XRPFFHYQLFMIMQ-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1c[nH]c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000351774391 163192267 /nfs/dbraw/zinc/19/22/67/163192267.db2.gz NTTYIJBABBMATI-QMMMGPOBSA-N 0 0 292.270 2.508 20 5 CFBDRN Cc1ccn(Cc2ccc3c(c2)CCC3)c(=O)c1[N+](=O)[O-] ZINC000351830416 163199744 /nfs/dbraw/zinc/19/97/44/163199744.db2.gz RPOKULIEDVIJRH-UHFFFAOYSA-N 0 0 284.315 2.602 20 5 CFBDRN Cn1c(C(=O)Nc2nc(C3CC3)cs2)ccc1[N+](=O)[O-] ZINC000351840069 163201539 /nfs/dbraw/zinc/20/15/39/163201539.db2.gz VNGBKAYUXNAEEO-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN CCN(Cc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000351852430 163204158 /nfs/dbraw/zinc/20/41/58/163204158.db2.gz AQSBMRSCPZPKSF-UHFFFAOYSA-N 0 0 256.252 2.857 20 5 CFBDRN CN(Cc1ccon1)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000351917395 163215345 /nfs/dbraw/zinc/21/53/45/163215345.db2.gz AXRADWBRGKHXQO-UHFFFAOYSA-N 0 0 281.699 2.868 20 5 CFBDRN CC(C)[C@H]1CCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000352685294 163223034 /nfs/dbraw/zinc/22/30/34/163223034.db2.gz TULOIMVNEHYPMF-CYBMUJFWSA-N 0 0 252.289 2.964 20 5 CFBDRN COc1cccc(NC(=O)c2ccc([N+](=O)[O-])s2)c1O ZINC000352919455 163270271 /nfs/dbraw/zinc/27/02/71/163270271.db2.gz YZTZAKIYZKRFJD-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN CC(C)(C)OCCCCOc1cccnc1[N+](=O)[O-] ZINC000352928562 163272241 /nfs/dbraw/zinc/27/22/41/163272241.db2.gz CXXDGLGCWNTEPN-UHFFFAOYSA-N 0 0 268.313 2.964 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])s1 ZINC000353273230 163335182 /nfs/dbraw/zinc/33/51/82/163335182.db2.gz MPAAADIIUXLXKQ-MRVPVSSYSA-N 0 0 293.352 2.940 20 5 CFBDRN CCCN(CCC)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000353310396 163342593 /nfs/dbraw/zinc/34/25/93/163342593.db2.gz GSIVEHXISHBMNM-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000353347294 163349561 /nfs/dbraw/zinc/34/95/61/163349561.db2.gz BYNOQPPKILIQDH-RNCFNFMXSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000353416654 163361075 /nfs/dbraw/zinc/36/10/75/163361075.db2.gz RCPAMLYFINCREL-GWCFXTLKSA-N 0 0 276.336 2.751 20 5 CFBDRN Cc1ccncc1CN(C)Cc1ccc([N+](=O)[O-])cc1 ZINC000353462013 163369505 /nfs/dbraw/zinc/36/95/05/163369505.db2.gz TVLYCNZWZUZVOL-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN COc1c(C(=O)NCc2cccs2)cccc1[N+](=O)[O-] ZINC000353518053 163383988 /nfs/dbraw/zinc/38/39/88/163383988.db2.gz PXJUSGOVFIMSFP-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN CCc1noc(-c2ccc([N+](=O)[O-])cc2Br)n1 ZINC000353544418 163391163 /nfs/dbraw/zinc/39/11/63/163391163.db2.gz YWGYKOPLMQPYPG-UHFFFAOYSA-N 0 0 298.096 2.970 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000353898277 163477474 /nfs/dbraw/zinc/47/74/74/163477474.db2.gz DEYHEMZNACFNBN-JTQLQIEISA-N 0 0 298.314 2.619 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@@H]1C ZINC000355894433 163556865 /nfs/dbraw/zinc/55/68/65/163556865.db2.gz JLTOWRFUAHGQOY-GHMZBOCLSA-N 0 0 278.308 2.575 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1ccon1 ZINC000349232406 287063388 /nfs/dbraw/zinc/06/33/88/287063388.db2.gz BWQZWHGIGMCLAR-NXEZZACHSA-N 0 0 261.281 2.995 20 5 CFBDRN COCCN(c1ncccc1[N+](=O)[O-])[C@H]1CC[C@@H](C)C1 ZINC000302734874 292515931 /nfs/dbraw/zinc/51/59/31/292515931.db2.gz VYOMACQDFZMMFT-NEPJUHHUSA-N 0 0 279.340 2.631 20 5 CFBDRN CC[C@@H]1CN(c2ccccc2[N+](=O)[O-])CCCO1 ZINC000281846752 287213393 /nfs/dbraw/zinc/21/33/93/287213393.db2.gz LSDQDRGFRYJMGC-LLVKDONJSA-N 0 0 250.298 2.600 20 5 CFBDRN CC[C@@H]1CN(c2nc(C)ccc2[N+](=O)[O-])CCS1 ZINC000128103465 287214023 /nfs/dbraw/zinc/21/40/23/287214023.db2.gz HGFOAPFSZNZRFF-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN COCC1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CCC1 ZINC000284129909 195985151 /nfs/dbraw/zinc/98/51/51/195985151.db2.gz XUJNOACNTLBUNM-UHFFFAOYSA-N 0 0 289.291 2.713 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000003564380 169897826 /nfs/dbraw/zinc/89/78/26/169897826.db2.gz AGXMXUWSNMLEMC-FZMZJTMJSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1nn(Cc2nc(-c3ccccc3)no2)c(C)c1[N+](=O)[O-] ZINC000005346675 170088198 /nfs/dbraw/zinc/08/81/98/170088198.db2.gz UZDVWNUOYRQBAN-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CCC(CC)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000005501613 170105159 /nfs/dbraw/zinc/10/51/59/170105159.db2.gz YWQAQCWYWUYGGK-UHFFFAOYSA-N 0 0 295.295 2.730 20 5 CFBDRN CCCCCNC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000005502824 170105177 /nfs/dbraw/zinc/10/51/77/170105177.db2.gz YYQDAAJUXHXJGY-UHFFFAOYSA-N 0 0 295.295 2.731 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000006116501 170177162 /nfs/dbraw/zinc/17/71/62/170177162.db2.gz JSDZGSKDHMJREX-SSDOTTSWSA-N 0 0 256.689 2.777 20 5 CFBDRN CC(C)(C)CC(=O)OCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000006353337 170194713 /nfs/dbraw/zinc/19/47/13/170194713.db2.gz DSEZIFZPTRZGPM-UHFFFAOYSA-N 0 0 294.307 2.513 20 5 CFBDRN CC(C)NC(=S)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000006538595 170211726 /nfs/dbraw/zinc/21/17/26/170211726.db2.gz WBPGJEOPKBTAMT-UHFFFAOYSA-N 0 0 273.745 2.943 20 5 CFBDRN Cn1c(SCc2ccc([N+](=O)[O-])cc2)nnc1C1CC1 ZINC000007235493 170272832 /nfs/dbraw/zinc/27/28/32/170272832.db2.gz UNLVAMYBCANNCT-UHFFFAOYSA-N 0 0 290.348 2.893 20 5 CFBDRN Cc1ccc(OCC(=O)Nc2ccccc2)c([N+](=O)[O-])c1 ZINC000007442867 170285414 /nfs/dbraw/zinc/28/54/14/170285414.db2.gz LPAIZUKOTMLTTC-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2c([N+](=O)[O-])ncn2C)[C@@H]1C ZINC000008317705 170330515 /nfs/dbraw/zinc/33/05/15/170330515.db2.gz ZMTDVDXAAAVGPC-OPRDCNLKSA-N 0 0 252.318 2.565 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1c1ccc(N)cc1[N+](=O)[O-] ZINC000376423981 287235596 /nfs/dbraw/zinc/23/55/96/287235596.db2.gz VYVLORJKQLCAIE-SNVBAGLBSA-N 0 0 261.325 2.946 20 5 CFBDRN O=[N+]([O-])c1ccc(CS[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000284368905 196041570 /nfs/dbraw/zinc/04/15/70/196041570.db2.gz XGDXUJQQBQLVLB-NSHDSACASA-N 0 0 298.320 2.915 20 5 CFBDRN CNc1ccc(C(=O)Nc2ncc(C)s2)cc1[N+](=O)[O-] ZINC000010556218 170409720 /nfs/dbraw/zinc/40/97/20/170409720.db2.gz MASDPQMEXSCKHF-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN O=C(NCCc1ccsc1)c1ccccc1[N+](=O)[O-] ZINC000013698232 170580155 /nfs/dbraw/zinc/58/01/55/170580155.db2.gz BIWVLFSULIKRRJ-UHFFFAOYSA-N 0 0 276.317 2.629 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284558954 196085057 /nfs/dbraw/zinc/08/50/57/196085057.db2.gz YEERZMYPZFWGEB-UFBFGSQYSA-N 0 0 295.314 2.625 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC=C(F)C2)c1 ZINC000284581005 196090327 /nfs/dbraw/zinc/09/03/27/196090327.db2.gz ZPISSIVUJDIHHK-UHFFFAOYSA-N 0 0 294.282 2.693 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC2CC(C)C2)c1 ZINC000284583351 196090349 /nfs/dbraw/zinc/09/03/49/196090349.db2.gz ZSGMICFTPDUVDS-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN CN(C(=O)c1ccc(Cl)nc1)c1ccc([N+](=O)[O-])cc1 ZINC000020362463 171161952 /nfs/dbraw/zinc/16/19/52/171161952.db2.gz CDZYVRHDAGAFCP-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN C[C@@H]1CCCCCN1S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000358378312 287251934 /nfs/dbraw/zinc/25/19/34/287251934.db2.gz BXTIXXXUJNXRLZ-LLVKDONJSA-N 0 0 298.364 2.548 20 5 CFBDRN Cc1cccc(N2CCC3(C2)CCOCC3)c1[N+](=O)[O-] ZINC000275533211 292558985 /nfs/dbraw/zinc/55/89/85/292558985.db2.gz YHVNPFGNESVGBT-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccccc1F ZINC000021761139 171321362 /nfs/dbraw/zinc/32/13/62/171321362.db2.gz WMOQDVSWFLFGON-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2OC)C1 ZINC000027151734 171509140 /nfs/dbraw/zinc/50/91/40/171509140.db2.gz JGRNXHVZCBELHR-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H](C)CC)cc1[N+](=O)[O-] ZINC000032516370 171730990 /nfs/dbraw/zinc/73/09/90/171730990.db2.gz GNHKZZBFXVXGOH-SECBINFHSA-N 0 0 266.297 2.522 20 5 CFBDRN Cc1nc(CC(=O)Nc2cc([N+](=O)[O-])ccc2C)cs1 ZINC000032517179 171731333 /nfs/dbraw/zinc/73/13/33/171731333.db2.gz JDSNWTSEGUDTMB-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN Cc1cccc(N2CC[C@@H]3C[C@H](O)CC[C@@H]3C2)c1[N+](=O)[O-] ZINC000450812155 292561050 /nfs/dbraw/zinc/56/10/50/292561050.db2.gz MRWHONZGWVWRGZ-MGPQQGTHSA-N 0 0 290.363 2.891 20 5 CFBDRN CCOC1CC(COc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000284972607 196167608 /nfs/dbraw/zinc/16/76/08/196167608.db2.gz BHIOFHPZXLFMMF-UHFFFAOYSA-N 0 0 293.319 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(CCCCF)n2)cc1 ZINC000285029484 196181314 /nfs/dbraw/zinc/18/13/14/196181314.db2.gz FDVAQXOZBMOJDR-UHFFFAOYSA-N 0 0 264.260 2.603 20 5 CFBDRN CO[C@@H](C)COc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000285237443 196229119 /nfs/dbraw/zinc/22/91/19/196229119.db2.gz QUKAPUQOZDDBDP-LURJTMIESA-N 0 0 263.652 2.801 20 5 CFBDRN CCN(C(=O)N(C)CCc1ccccc1[N+](=O)[O-])C(C)C ZINC000285297486 196244282 /nfs/dbraw/zinc/24/42/82/196244282.db2.gz LSWFFHCVARKDDD-UHFFFAOYSA-N 0 0 293.367 2.919 20 5 CFBDRN CCn1cc(N[C@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000035779859 172414307 /nfs/dbraw/zinc/41/43/07/172414307.db2.gz YAPWRQUWMMLPIG-SNVBAGLBSA-N 0 0 260.297 2.984 20 5 CFBDRN CO[C@H](C)CN(C)Cc1cc([N+](=O)[O-])ccc1OC(C)C ZINC000285634152 196351057 /nfs/dbraw/zinc/35/10/57/196351057.db2.gz KZOKXUQSWAUZDB-GFCCVEGCSA-N 0 0 296.367 2.849 20 5 CFBDRN COC(=O)c1ccc(CNc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000037281085 173089209 /nfs/dbraw/zinc/08/92/09/173089209.db2.gz FGBHDRVFOWHYSX-UHFFFAOYSA-N 0 0 294.238 2.726 20 5 CFBDRN COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2F)cc1 ZINC000037285923 173091915 /nfs/dbraw/zinc/09/19/15/173091915.db2.gz PXPODFGOVHTTAH-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COC(=O)CCCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000037286793 173092950 /nfs/dbraw/zinc/09/29/50/173092950.db2.gz OAVLILKZLSCAKN-UHFFFAOYSA-N 0 0 273.672 2.580 20 5 CFBDRN CO[C@H]1CCCC[C@H]1Nc1ccccc1[N+](=O)[O-] ZINC000037298582 173102620 /nfs/dbraw/zinc/10/26/20/173102620.db2.gz KZTCSENCHIJYSR-YPMHNXCESA-N 0 0 250.298 2.964 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCc1ccccn1 ZINC000037420435 173197586 /nfs/dbraw/zinc/19/75/86/173197586.db2.gz NHUOUYWXDJFZNQ-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)c2ccccc2)cc1 ZINC000037492119 173232781 /nfs/dbraw/zinc/23/27/81/173232781.db2.gz NOWQWDRMJMSGGP-CQSZACIVSA-N 0 0 259.261 2.707 20 5 CFBDRN CC(C)n1nccc1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669542 173610998 /nfs/dbraw/zinc/61/09/98/173610998.db2.gz LBOCNSPFBYPYQI-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN CN(Cc1ccc(O)cc1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000037987631 173949162 /nfs/dbraw/zinc/94/91/62/173949162.db2.gz WLVMAAMCXXFOIZ-UHFFFAOYSA-N 0 0 286.287 2.573 20 5 CFBDRN O=C([O-])C[C@@H]1CCCC[N@@H+]1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000038027847 174014785 /nfs/dbraw/zinc/01/47/85/174014785.db2.gz VDURUWZGRCJYRP-LBPRGKRZSA-N 0 0 296.298 2.563 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC[C@H]1CCCO1 ZINC000038097474 174110868 /nfs/dbraw/zinc/11/08/68/174110868.db2.gz VSVUCQCWNVWKBN-GFCCVEGCSA-N 0 0 250.298 2.884 20 5 CFBDRN CCCCCn1cc(Br)c([N+](=O)[O-])n1 ZINC000040445578 174265174 /nfs/dbraw/zinc/26/51/74/174265174.db2.gz AXAQRVLQFROVOU-UHFFFAOYSA-N 0 0 262.107 2.744 20 5 CFBDRN Cc1ccc(OC[C@@H](C)Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000040499996 174296316 /nfs/dbraw/zinc/29/63/16/174296316.db2.gz FTVSYDXCXFNENW-LLVKDONJSA-N 0 0 288.307 2.573 20 5 CFBDRN CCCCNc1ncnc(NCCCC)c1[N+](=O)[O-] ZINC000040594930 174348252 /nfs/dbraw/zinc/34/82/52/174348252.db2.gz KXJLXBSWUKRNMI-UHFFFAOYSA-N 0 0 267.333 2.809 20 5 CFBDRN CNc1nnc(Sc2ccc([N+](=O)[O-])cc2F)s1 ZINC000042097284 174637055 /nfs/dbraw/zinc/63/70/55/174637055.db2.gz NLQJABRWZGEVIT-UHFFFAOYSA-N 0 0 286.313 2.778 20 5 CFBDRN CC1(Nc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])CCCC1 ZINC000042187586 174653315 /nfs/dbraw/zinc/65/33/15/174653315.db2.gz KYXCKAUWDLUILJ-UHFFFAOYSA-N 0 0 298.364 2.743 20 5 CFBDRN O=C(NC[C@H]1CCCO1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000043343311 175016019 /nfs/dbraw/zinc/01/60/19/175016019.db2.gz AWJFSDQWBRMNPV-SECBINFHSA-N 0 0 299.714 2.549 20 5 CFBDRN CC(C)[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000043465612 175052820 /nfs/dbraw/zinc/05/28/20/175052820.db2.gz PYJWUEFBPPNMFZ-SECBINFHSA-N 0 0 251.286 2.761 20 5 CFBDRN CC1(C)CC[C@H]1Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000286282319 196552864 /nfs/dbraw/zinc/55/28/64/196552864.db2.gz DZXKQRRPFALUOI-GFCCVEGCSA-N 0 0 298.364 2.599 20 5 CFBDRN CCOC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000043560061 175066295 /nfs/dbraw/zinc/06/62/95/175066295.db2.gz CFWLIRZPSSDKLS-SSDOTTSWSA-N 0 0 273.672 2.579 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000043570038 175067912 /nfs/dbraw/zinc/06/79/12/175067912.db2.gz GUFNKNIDQBTXSP-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN Cc1nc(CNCc2ccccc2[N+](=O)[O-])cs1 ZINC000044317986 175163317 /nfs/dbraw/zinc/16/33/17/175163317.db2.gz ULYOZXZSTAVKOC-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN COCCCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000044349027 175166070 /nfs/dbraw/zinc/16/60/70/175166070.db2.gz BJJMXUPWEJPPRF-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN CCCNC(=O)CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000044350847 175166505 /nfs/dbraw/zinc/16/65/05/175166505.db2.gz YPFKIBLAEGPZLC-UHFFFAOYSA-N 0 0 285.731 2.576 20 5 CFBDRN Cc1cccc(CNC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000044471819 175178277 /nfs/dbraw/zinc/17/82/77/175178277.db2.gz VDVHBFLKWBCCOF-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN COC[C@H](C)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000044477194 175178822 /nfs/dbraw/zinc/17/88/22/175178822.db2.gz PPIVLQAQYNTQAM-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN Cc1cc(CNc2cccc(F)c2[N+](=O)[O-])on1 ZINC000044995737 175287344 /nfs/dbraw/zinc/28/73/44/175287344.db2.gz HSUFRPOHNRLJBL-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000045087680 175304258 /nfs/dbraw/zinc/30/42/58/175304258.db2.gz SVIUPWWUMSLVDA-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1csc(C)n1 ZINC000045102252 175307629 /nfs/dbraw/zinc/30/76/29/175307629.db2.gz CAZOSILPUNYMAP-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)CCc1ccccc1 ZINC000045178866 175323974 /nfs/dbraw/zinc/32/39/74/175323974.db2.gz YZKCKHLPJFURAU-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCc1ccco1 ZINC000067948840 175803729 /nfs/dbraw/zinc/80/37/29/175803729.db2.gz DBLSNXATICQMTQ-UHFFFAOYSA-N 0 0 250.229 2.982 20 5 CFBDRN Cc1csc([C@@H](C)Nc2ccc([N+](=O)[O-])cn2)n1 ZINC000068907041 175816830 /nfs/dbraw/zinc/81/68/30/175816830.db2.gz LRHYVVIEIWBEFC-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCCCC1 ZINC000035065027 304475496 /nfs/dbraw/zinc/47/54/96/304475496.db2.gz RWXBHYWUPYCTOM-UHFFFAOYSA-N 0 0 268.700 2.874 20 5 CFBDRN Cc1nnc([C@H](C)Nc2cc(C)ccc2[N+](=O)[O-])[nH]1 ZINC000286413820 196595128 /nfs/dbraw/zinc/59/51/28/196595128.db2.gz OCGGAHNNZCHWLC-QMMMGPOBSA-N 0 0 261.285 2.503 20 5 CFBDRN O=c1sccn1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000071350952 176134499 /nfs/dbraw/zinc/13/44/99/176134499.db2.gz LMFWVMBZOKFEKQ-UHFFFAOYSA-N 0 0 270.697 2.520 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCOc1ccccc1 ZINC000071526686 176194341 /nfs/dbraw/zinc/19/43/41/176194341.db2.gz WTQHTKDHDSHOQK-UHFFFAOYSA-N 0 0 288.303 2.534 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CCOC[C@@H]1C ZINC000286432692 196602233 /nfs/dbraw/zinc/60/22/33/196602233.db2.gz QRGIYVWTXRJBSP-CMPLNLGQSA-N 0 0 292.335 2.882 20 5 CFBDRN CCC[C@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000072673049 176421687 /nfs/dbraw/zinc/42/16/87/176421687.db2.gz DPTXLUBTLPGCIC-JTQLQIEISA-N 0 0 250.298 2.994 20 5 CFBDRN CO[C@H]1CCCN(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000076498709 177029361 /nfs/dbraw/zinc/02/93/61/177029361.db2.gz HAKNGVLTRLBXLQ-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CC(C)SCCNc1ccc([N+](=O)[O-])c(N)c1F ZINC000286557960 196640490 /nfs/dbraw/zinc/64/04/90/196640490.db2.gz WRULQSFYQMTYHF-UHFFFAOYSA-N 0 0 273.333 2.870 20 5 CFBDRN CC(C)SCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000286573448 196644289 /nfs/dbraw/zinc/64/42/89/196644289.db2.gz XUOSTPMUZKZCMO-UHFFFAOYSA-N 0 0 255.343 2.731 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCCC[C@H]1CO ZINC000077209873 177235615 /nfs/dbraw/zinc/23/56/15/177235615.db2.gz HAIQHYZOUBEAHO-QWRGUYRKSA-N 0 0 250.298 2.558 20 5 CFBDRN CSC[C@H](C)NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000077348980 177255281 /nfs/dbraw/zinc/25/52/81/177255281.db2.gz NSRDCFWSGPHPLD-VHSXEESVSA-N 0 0 297.380 2.707 20 5 CFBDRN C[C@@H](NCc1ccc(CO)o1)c1cccc([N+](=O)[O-])c1 ZINC000077897924 177308104 /nfs/dbraw/zinc/30/81/04/177308104.db2.gz FSCVBZSPMNDOJS-SNVBAGLBSA-N 0 0 276.292 2.531 20 5 CFBDRN C[C@@H]1CCN(C(=O)COc2ccc([N+](=O)[O-])cc2)[C@H](C)C1 ZINC000078002564 177315878 /nfs/dbraw/zinc/31/58/78/177315878.db2.gz BANLCFXCIHGKJQ-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1CCN(C(=O)COc2cccc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000078008794 177317861 /nfs/dbraw/zinc/31/78/61/177317861.db2.gz OXNUSZHLJJDFTA-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000078339559 177334280 /nfs/dbraw/zinc/33/42/80/177334280.db2.gz ITRMCIQZQNEWTQ-RYUDHWBXSA-N 0 0 292.335 2.763 20 5 CFBDRN CC(C)[C@H](CCO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000078447246 177342327 /nfs/dbraw/zinc/34/23/27/177342327.db2.gz FLPAELPVYDFGSI-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN CCSCC[C@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000078675602 177369866 /nfs/dbraw/zinc/36/98/66/177369866.db2.gz FXPNFSLRUWJPJY-VIFPVBQESA-N 0 0 255.343 2.933 20 5 CFBDRN COC(=O)[C@H](C)CSc1ccc(F)cc1[N+](=O)[O-] ZINC000078837166 177396588 /nfs/dbraw/zinc/39/65/88/177396588.db2.gz QLFJGZLUQSTTAB-SSDOTTSWSA-N 0 0 273.285 2.635 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)c1ccc(F)cc1 ZINC000078952914 177409550 /nfs/dbraw/zinc/40/95/50/177409550.db2.gz FYKODNFYMSYXBA-UHFFFAOYSA-N 0 0 278.239 2.994 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N(CC(C)C)CC(C)C)n1 ZINC000191526926 227031778 /nfs/dbraw/zinc/03/17/78/227031778.db2.gz DNCUQYSINHEIAP-UHFFFAOYSA-N 0 0 296.371 2.565 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000189462512 287485340 /nfs/dbraw/zinc/48/53/40/287485340.db2.gz QBXPOTUXLJUOSC-MNOVXSKESA-N 0 0 291.351 2.876 20 5 CFBDRN CCn1cc(OCc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000191989033 227669306 /nfs/dbraw/zinc/66/93/06/227669306.db2.gz NNIDSNQJVMMQLJ-UHFFFAOYSA-N 0 0 265.244 2.529 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000302579950 227868475 /nfs/dbraw/zinc/86/84/75/227868475.db2.gz SSGJSEDLYPJCCH-IACUBPJLSA-N 0 0 262.309 2.883 20 5 CFBDRN Cn1cc(Nc2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000035781672 228054691 /nfs/dbraw/zinc/05/46/91/228054691.db2.gz KIHMRJKMKFNZQO-UHFFFAOYSA-N 0 0 252.661 2.725 20 5 CFBDRN Cc1ncc(CNc2ncc([N+](=O)[O-])c(C)c2C)s1 ZINC001154794118 782024480 /nfs/dbraw/zinc/02/44/80/782024480.db2.gz CKLIWTMWBCJGIA-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN C[C@@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])[C@H]1C ZINC000267633618 287607110 /nfs/dbraw/zinc/60/71/10/287607110.db2.gz JYOVSOHAPMLSBC-SFYZADRCSA-N 0 0 266.326 2.539 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(NC2CC(F)C2)n1 ZINC001154832946 782032666 /nfs/dbraw/zinc/03/26/66/782032666.db2.gz LBGCYICFLUBYCU-UHFFFAOYSA-N 0 0 259.668 2.864 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nc(Cl)nc1NC1CC(F)C1 ZINC001154833138 782032918 /nfs/dbraw/zinc/03/29/18/782032918.db2.gz PVNGQVBQBHUFTO-UHFFFAOYSA-N 0 0 281.074 2.604 20 5 CFBDRN CC[C@@H](CSC)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000180573393 260106412 /nfs/dbraw/zinc/10/64/12/260106412.db2.gz DYLXUEDKQYHGAP-LZGFCCKTSA-N 0 0 294.376 2.866 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCCCC1)CCCC2 ZINC000517233572 260122123 /nfs/dbraw/zinc/12/21/23/260122123.db2.gz VMMUITVQRKZMJV-UHFFFAOYSA-N 0 0 261.325 2.859 20 5 CFBDRN CC1(C)COC[C@H]1Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000313036788 260240425 /nfs/dbraw/zinc/24/04/25/260240425.db2.gz NAOJDQSADWEAHY-CYBMUJFWSA-N 0 0 287.319 2.980 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000313106672 260248824 /nfs/dbraw/zinc/24/88/24/260248824.db2.gz JQKYBYNDUNIAQP-JOYOIKCWSA-N 0 0 286.303 2.704 20 5 CFBDRN C[C@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)n1cccc1 ZINC000517802769 260307672 /nfs/dbraw/zinc/30/76/72/260307672.db2.gz AAWZOTFHNOGQDA-GFCCVEGCSA-N 0 0 287.319 2.664 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000176882810 261315952 /nfs/dbraw/zinc/31/59/52/261315952.db2.gz ZRCIODARCXCWHQ-GHMZBOCLSA-N 0 0 291.351 2.828 20 5 CFBDRN CCSCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000525775399 262200136 /nfs/dbraw/zinc/20/01/36/262200136.db2.gz JTDAYWOLWSBLTB-SNVBAGLBSA-N 0 0 299.396 2.537 20 5 CFBDRN COc1ccc(NC(=O)C2=C(C)OCCC2)cc1[N+](=O)[O-] ZINC000272997391 263010673 /nfs/dbraw/zinc/01/06/73/263010673.db2.gz XADDLKPFEAZLLD-UHFFFAOYSA-N 0 0 292.291 2.626 20 5 CFBDRN CCCCN(C)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000194121431 263225295 /nfs/dbraw/zinc/22/52/95/263225295.db2.gz SUARWMUVUAZBCF-UHFFFAOYSA-N 0 0 282.365 2.945 20 5 CFBDRN Cc1c(C(=O)NCCOCCC(C)C)cccc1[N+](=O)[O-] ZINC000195103275 270249788 /nfs/dbraw/zinc/24/97/88/270249788.db2.gz WKDDJMGNYORRPB-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(C=C3CCCC3)n2)n1C ZINC000519072108 265310346 /nfs/dbraw/zinc/31/03/46/265310346.db2.gz HXWYZVRYAPWHIC-UHFFFAOYSA-N 0 0 289.295 2.644 20 5 CFBDRN CNc1ccc(C(=O)NC[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000520555313 265852086 /nfs/dbraw/zinc/85/20/86/265852086.db2.gz GYCYGTAXUWPGKA-NSHDSACASA-N 0 0 289.335 2.723 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)OCC1CC1 ZINC000192855997 270234900 /nfs/dbraw/zinc/23/49/00/270234900.db2.gz CEXUTAWIBSNOBU-UHFFFAOYSA-N 0 0 264.281 2.573 20 5 CFBDRN COCCO[C@@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000193559311 270239087 /nfs/dbraw/zinc/23/90/87/270239087.db2.gz MMYFRXGFQCEILW-CYBMUJFWSA-N 0 0 294.351 2.535 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)c1ccccn1 ZINC000194765278 270247040 /nfs/dbraw/zinc/24/70/40/270247040.db2.gz YBTCAMXKUJZHTD-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccccc1F ZINC000195520156 270254079 /nfs/dbraw/zinc/25/40/79/270254079.db2.gz RTYUJAREMIYNFT-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CC[N@H+](C)[C@@H](C)C2)c1 ZINC000227808691 270257632 /nfs/dbraw/zinc/25/76/32/270257632.db2.gz RKCPXYJXIBEAGJ-NWDGAFQWSA-N 0 0 263.341 2.798 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCOCC1CCCC1 ZINC000313475721 270290207 /nfs/dbraw/zinc/29/02/07/270290207.db2.gz FOCBSVMQZUCACA-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)C2CCC2)c(Cl)c1 ZINC000315528920 270292571 /nfs/dbraw/zinc/29/25/71/270292571.db2.gz AKTREXMIRSTGLG-GFCCVEGCSA-N 0 0 270.716 2.821 20 5 CFBDRN CC[C@@](C)(CNc1cccc(OC)c1[N+](=O)[O-])OC ZINC000322910629 270297388 /nfs/dbraw/zinc/29/73/88/270297388.db2.gz IRDHJVFBUQHVJZ-ZDUSSCGKSA-N 0 0 268.313 2.830 20 5 CFBDRN CCOc1cc(N[C@@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000328255438 270299291 /nfs/dbraw/zinc/29/92/91/270299291.db2.gz PVPDSOFWMUGDHC-CYBMUJFWSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCC2(F)F)ccc1[N+](=O)[O-] ZINC000390888782 270306073 /nfs/dbraw/zinc/30/60/73/270306073.db2.gz XYOISEXYKWNMFI-VIFPVBQESA-N 0 0 271.267 2.567 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000393589203 270308103 /nfs/dbraw/zinc/30/81/03/270308103.db2.gz WRLFTWCDWQTSJQ-UHFFFAOYSA-N 0 0 298.368 2.513 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2ncc(Cl)cc2[N+](=O)[O-])O1 ZINC000397856045 270320128 /nfs/dbraw/zinc/32/01/28/270320128.db2.gz BCSALXUPGUEFSA-APPZFPTMSA-N 0 0 271.704 2.623 20 5 CFBDRN Cc1cnc(N[C@@H]2CS[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000397913951 270320736 /nfs/dbraw/zinc/32/07/36/270320736.db2.gz BYAOWUHYBKMCBU-IUCAKERBSA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)c2csnn2)c1 ZINC000398313769 270321968 /nfs/dbraw/zinc/32/19/68/270321968.db2.gz RWZAKJGHCVLKAR-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1cc(N[C@@H](C)c2csnn2)ccc1[N+](=O)[O-] ZINC000398289046 270322030 /nfs/dbraw/zinc/32/20/30/270322030.db2.gz HLHUFDCFBYJYAK-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN CC[C@H](O)CCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000398490953 270322643 /nfs/dbraw/zinc/32/26/43/270322643.db2.gz DGGAHYYWVFVSSR-NSHDSACASA-N 0 0 289.335 2.528 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000515304598 270367866 /nfs/dbraw/zinc/36/78/66/270367866.db2.gz OFLVFXQPMMEUNF-MNOVXSKESA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1cc(C(=O)NC2(CF)CCC2)ccc1[N+](=O)[O-] ZINC000515654418 270373230 /nfs/dbraw/zinc/37/32/30/270373230.db2.gz QGXOIURGAUWYNK-UHFFFAOYSA-N 0 0 266.272 2.525 20 5 CFBDRN CN(Cc1cccc(O)c1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000515969059 270376779 /nfs/dbraw/zinc/37/67/79/270376779.db2.gz GMPBTZLKZVSFFR-UHFFFAOYSA-N 0 0 286.287 2.573 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1nc(C2CC2)nn1C ZINC000517030016 270396341 /nfs/dbraw/zinc/39/63/41/270396341.db2.gz GSOKJRGIFZMJGH-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000527182694 270496276 /nfs/dbraw/zinc/49/62/76/270496276.db2.gz PSKYRVMLFUYFTE-AOOOYVTPSA-N 0 0 289.335 2.792 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc21)N1CCCCCO1 ZINC000530417966 270791683 /nfs/dbraw/zinc/79/16/83/270791683.db2.gz JNEORHMDROMDPL-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN C[C@H]1C[C@H](C)N1c1ncc(Br)cc1[N+](=O)[O-] ZINC000530537257 270799276 /nfs/dbraw/zinc/79/92/76/270799276.db2.gz OWGQXNBBAMIUOX-BQBZGAKWSA-N 0 0 286.129 2.739 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000531131247 270823638 /nfs/dbraw/zinc/82/36/38/270823638.db2.gz JLEJEPZBBVNZFB-CMPLNLGQSA-N 0 0 293.367 2.878 20 5 CFBDRN C[C@@H]1CCSCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000336347243 287660452 /nfs/dbraw/zinc/66/04/52/287660452.db2.gz ZJUHYPRRULZMCJ-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN CC(C)c1ncsc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000456448978 273571521 /nfs/dbraw/zinc/57/15/21/273571521.db2.gz DRZABBIYQNFRLF-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN COc1cccc2c1CCN(c1ncc([N+](=O)[O-])cc1C)C2 ZINC000272877675 274152030 /nfs/dbraw/zinc/15/20/30/274152030.db2.gz GCQPWHNCDQWJMX-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN Cc1cc(Sc2ncc[nH]2)c(F)cc1[N+](=O)[O-] ZINC000360070777 274721410 /nfs/dbraw/zinc/72/14/10/274721410.db2.gz IUBGIFMZSGSVFV-UHFFFAOYSA-N 0 0 253.258 2.917 20 5 CFBDRN Cc1nc(N2Cc3ccccc3C[C@H]2C)ncc1[N+](=O)[O-] ZINC000450447276 274843490 /nfs/dbraw/zinc/84/34/90/274843490.db2.gz URBYZXWVJYVZNY-SNVBAGLBSA-N 0 0 284.319 2.644 20 5 CFBDRN O=C([C@@H]1CCSC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000335702631 275005771 /nfs/dbraw/zinc/00/57/71/275005771.db2.gz JRZYBNDEQZWUFT-SNVBAGLBSA-N 0 0 292.360 2.627 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H]2C[C@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000458141886 275722837 /nfs/dbraw/zinc/72/28/37/275722837.db2.gz SMCINXBNRDCCDB-YMTOWFKASA-N 0 0 294.355 2.606 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H]1O ZINC000408150859 275837976 /nfs/dbraw/zinc/83/79/76/275837976.db2.gz SGMTUBPXLKUIGZ-RISCZKNCSA-N 0 0 296.342 2.967 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000270799041 275863232 /nfs/dbraw/zinc/86/32/32/275863232.db2.gz NFYMECYKPFOFHS-LBPRGKRZSA-N 0 0 285.303 2.671 20 5 CFBDRN CCC(=O)c1ccc(N2CCCCO2)c([N+](=O)[O-])c1 ZINC000281323373 275949526 /nfs/dbraw/zinc/94/95/26/275949526.db2.gz AAFZOVBSBYBUBN-UHFFFAOYSA-N 0 0 264.281 2.719 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@H](C)C[C@@H](C)C2)c1[N+](=O)[O-] ZINC000269353314 276041366 /nfs/dbraw/zinc/04/13/66/276041366.db2.gz SMLYQPHKPKFVQA-NXEZZACHSA-N 0 0 294.355 2.559 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000269361333 276041651 /nfs/dbraw/zinc/04/16/51/276041651.db2.gz VUGPGGSBDPGZKE-NXEZZACHSA-N 0 0 294.355 2.750 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cn2)CC2(CCCC2)O1 ZINC000302677162 276135879 /nfs/dbraw/zinc/13/58/79/276135879.db2.gz QHGIXYFLBHRIIL-UHFFFAOYSA-N 0 0 291.351 2.918 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000364902294 276137023 /nfs/dbraw/zinc/13/70/23/276137023.db2.gz RSFVVCYBRGGFLW-UHFFFAOYSA-N 0 0 285.731 2.649 20 5 CFBDRN CC1(CNc2ncc([N+](=O)[O-])cn2)CCCCC1 ZINC000230874459 276168682 /nfs/dbraw/zinc/16/86/82/276168682.db2.gz RFHXDDKNDQHUIV-UHFFFAOYSA-N 0 0 250.302 2.767 20 5 CFBDRN CC1=CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000270044979 276183091 /nfs/dbraw/zinc/18/30/91/276183091.db2.gz KKBDCQCTKXIREQ-UHFFFAOYSA-N 0 0 253.689 2.800 20 5 CFBDRN CCC1(CO)CCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000270774049 276219819 /nfs/dbraw/zinc/21/98/19/276219819.db2.gz MOLFMFLSDORYIU-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN CCC[C@@]1(C)CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000302145294 276280523 /nfs/dbraw/zinc/28/05/23/276280523.db2.gz HJLQLNOQZFYHHT-ZDUSSCGKSA-N 0 0 264.329 2.791 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c3ncccc23)CCO1 ZINC000413049693 276498178 /nfs/dbraw/zinc/49/81/78/276498178.db2.gz YPJRLKWZCBCENL-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)CCO1 ZINC000420615560 276520966 /nfs/dbraw/zinc/52/09/66/276520966.db2.gz DOGRPRUTSZVQES-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN CNc1c(C(=O)N2CC(C3CCC3)C2)cccc1[N+](=O)[O-] ZINC000341335332 277042566 /nfs/dbraw/zinc/04/25/66/277042566.db2.gz HHZKYFRWGXNKHD-UHFFFAOYSA-N 0 0 289.335 2.509 20 5 CFBDRN COC(=O)CC1CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000446897825 277054614 /nfs/dbraw/zinc/05/46/14/277054614.db2.gz FPXANXBHMBCEEG-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000277638515 277112877 /nfs/dbraw/zinc/11/28/77/277112877.db2.gz NLJRZSGQJXJJMM-JOYOIKCWSA-N 0 0 278.308 2.634 20 5 CFBDRN COC(=O)[C@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000344973819 277131034 /nfs/dbraw/zinc/13/10/34/277131034.db2.gz CCFLNHHIZZDCOY-WPRPVWTQSA-N 0 0 298.726 2.636 20 5 CFBDRN CN1Cc2ccccc2[C@H](Nc2ncc([N+](=O)[O-])s2)C1 ZINC000375591354 277213155 /nfs/dbraw/zinc/21/31/55/277213155.db2.gz MUTDVELTTMKCOG-LLVKDONJSA-N 0 0 290.348 2.650 20 5 CFBDRN CC(C)(C)[C@H]1CCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000264204336 277256312 /nfs/dbraw/zinc/25/63/12/277256312.db2.gz IAZYXSIBVHCURI-CYBMUJFWSA-N 0 0 291.351 2.828 20 5 CFBDRN CC(C)(CCNc1cccc(C(N)=O)c1[N+](=O)[O-])C1CC1 ZINC000338816401 277297683 /nfs/dbraw/zinc/29/76/83/277297683.db2.gz UUSRDAVMMCGTTG-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN CO[C@H](C)CN(Cc1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000354370218 277324020 /nfs/dbraw/zinc/32/40/20/277324020.db2.gz ZFJSQLAVCZRELQ-LLVKDONJSA-N 0 0 284.331 2.979 20 5 CFBDRN CO[C@](C)([C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000412616129 277357950 /nfs/dbraw/zinc/35/79/50/277357950.db2.gz RTWRJZOWXPPYCG-ZUZCIYMTSA-N 0 0 292.335 2.528 20 5 CFBDRN CO[C@]1(C)C[C@H](NC(=O)c2csc([N+](=O)[O-])c2)C1(C)C ZINC000174814715 277360133 /nfs/dbraw/zinc/36/01/33/277360133.db2.gz BRNQMFQDPHFZHH-TVQRCGJNSA-N 0 0 298.364 2.590 20 5 CFBDRN COc1cc(CN2CCC(F)(F)C2)c([N+](=O)[O-])cc1F ZINC000362179193 277376438 /nfs/dbraw/zinc/37/64/38/277376438.db2.gz LNSRJXSXMDEHGF-UHFFFAOYSA-N 0 0 290.241 2.584 20 5 CFBDRN COc1cc(CN2C[C@@H](C)[C@H]2C)c([N+](=O)[O-])cc1F ZINC000293546574 277377617 /nfs/dbraw/zinc/37/76/17/277377617.db2.gz YPYGIYWBRVJEPG-RKDXNWHRSA-N 0 0 268.288 2.583 20 5 CFBDRN COc1cc(N2C[C@@H](C)[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000301616677 277382514 /nfs/dbraw/zinc/38/25/14/277382514.db2.gz AJIVPRWIRDRMAK-RKDXNWHRSA-N 0 0 268.288 2.835 20 5 CFBDRN COc1cc(NC[C@](C)(OC)C2CC2)c([N+](=O)[O-])cc1F ZINC000359982392 277385500 /nfs/dbraw/zinc/38/55/00/277385500.db2.gz WIDZMCBPLHOOHB-AWEZNQCLSA-N 0 0 298.314 2.970 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(S[C@H]2CCO[C@H]2C)c1 ZINC000227967129 277437885 /nfs/dbraw/zinc/43/78/85/277437885.db2.gz SYSOVQQQPSHHPW-KWQFWETISA-N 0 0 297.332 2.651 20 5 CFBDRN CC(C)C[C@@H]1CCCCN1c1ncc([N+](=O)[O-])cn1 ZINC000273923744 277448812 /nfs/dbraw/zinc/44/88/12/277448812.db2.gz JWGYMHMYVQZCRU-NSHDSACASA-N 0 0 264.329 2.790 20 5 CFBDRN CC(C)C[C@H](CO)N(C)c1c(F)cc([N+](=O)[O-])cc1F ZINC000302439555 277486310 /nfs/dbraw/zinc/48/63/10/277486310.db2.gz QYUYWLFFQONJPP-SNVBAGLBSA-N 0 0 288.294 2.716 20 5 CFBDRN C[C@@H]1CCC[C@@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000071208244 277714411 /nfs/dbraw/zinc/71/44/11/277714411.db2.gz BIRCHYSJFCLZRQ-SFYZADRCSA-N 0 0 266.326 2.905 20 5 CFBDRN COc1cc(N2CC[C@@H](SC)C2)ccc1[N+](=O)[O-] ZINC000294953476 277826576 /nfs/dbraw/zinc/82/65/76/277826576.db2.gz JDVWHNHEMAVYSC-SNVBAGLBSA-N 0 0 268.338 2.545 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H](C(C)C)C1 ZINC000494703820 277839778 /nfs/dbraw/zinc/83/97/78/277839778.db2.gz OQGVVCGRZJRKQB-NSHDSACASA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000266947361 277861318 /nfs/dbraw/zinc/86/13/18/277861318.db2.gz YJKHTHQSQRTYIB-QMMMGPOBSA-N 0 0 268.338 2.917 20 5 CFBDRN C[C@@H]1COCCN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000378262046 277936919 /nfs/dbraw/zinc/93/69/19/277936919.db2.gz QQKLFVCKHJRRLW-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Sc1nc2sccn2c1[N+](=O)[O-] ZINC000269957979 278057383 /nfs/dbraw/zinc/05/73/83/278057383.db2.gz UCISZHBJSNQTMZ-BQBZGAKWSA-N 0 0 285.350 2.574 20 5 CFBDRN C[C@@H]1[C@@H](C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)C1(F)F ZINC000336404701 278074298 /nfs/dbraw/zinc/07/42/98/278074298.db2.gz RYEKMPIVIQXBMY-PELKAZGASA-N 0 0 296.273 2.775 20 5 CFBDRN C[C@@]12CN(c3ncc([N+](=O)[O-])s3)C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000450697799 278131928 /nfs/dbraw/zinc/13/19/28/278131928.db2.gz WRQZNCRCISZMQD-COMAGPEQSA-N 0 0 277.349 2.700 20 5 CFBDRN CS[C@@H](C)CNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296443597 278141571 /nfs/dbraw/zinc/14/15/71/278141571.db2.gz JTYHNBVNQFPWED-UWVGGRQHSA-N 0 0 297.380 2.707 20 5 CFBDRN C[C@H](NC(=O)NCC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000271638309 278243108 /nfs/dbraw/zinc/24/31/08/278243108.db2.gz QUVFHHYKFAVCTM-JTQLQIEISA-N 0 0 277.324 2.755 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCCOC(C)(C)C1 ZINC000367927287 278250624 /nfs/dbraw/zinc/25/06/24/278250624.db2.gz NWOSVOCWRGHHTD-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC1(C)CN(c2sccc2[N+](=O)[O-])CCS1 ZINC000301979840 278347726 /nfs/dbraw/zinc/34/77/26/278347726.db2.gz ANMLMYAFFFLPDC-UHFFFAOYSA-N 0 0 258.368 2.988 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000131309393 278362819 /nfs/dbraw/zinc/36/28/19/278362819.db2.gz OMZJFEWYCANEES-VHSXEESVSA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@H]1CCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)[C@H]1C ZINC000246757806 278369820 /nfs/dbraw/zinc/36/98/20/278369820.db2.gz IAVMPTJGMCZWCR-UWVGGRQHSA-N 0 0 289.335 2.714 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335860301 278453699 /nfs/dbraw/zinc/45/36/99/278453699.db2.gz FNMXBXCKIWOFOB-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000277769068 278460256 /nfs/dbraw/zinc/46/02/56/278460256.db2.gz VYTLUUVNNISWLY-JQWIXIFHSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1CSC[C@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000343624349 278470587 /nfs/dbraw/zinc/47/05/87/278470587.db2.gz JWTAMSXXEUOYQF-IMTBSYHQSA-N 0 0 273.745 2.807 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCS1 ZINC000191899324 278535356 /nfs/dbraw/zinc/53/53/56/278535356.db2.gz MBZKNLSYQKQMPW-SNVBAGLBSA-N 0 0 295.364 2.954 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000279584629 278551117 /nfs/dbraw/zinc/55/11/17/278551117.db2.gz VWZLIPDLHJTQGY-LLVKDONJSA-N 0 0 299.758 2.895 20 5 CFBDRN CC1CN(C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C1 ZINC000334178090 278553461 /nfs/dbraw/zinc/55/34/61/278553461.db2.gz DGROACFFCDCNHJ-UHFFFAOYSA-N 0 0 276.336 2.984 20 5 CFBDRN C[C@@H]1CCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)[C@@H]1C ZINC000486961753 278558573 /nfs/dbraw/zinc/55/85/73/278558573.db2.gz BIAAIWOISODBRU-NXEZZACHSA-N 0 0 288.307 2.880 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450534581 278590611 /nfs/dbraw/zinc/59/06/11/278590611.db2.gz GDZSESPPPSBMBM-RNCFNFMXSA-N 0 0 257.293 2.775 20 5 CFBDRN Cc1cc(C)nc(N2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000301804117 278682408 /nfs/dbraw/zinc/68/24/08/278682408.db2.gz AZHAJBBTKCUIRI-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCc2ccccc2CC1 ZINC000301313982 278747633 /nfs/dbraw/zinc/74/76/33/278747633.db2.gz KVAPGINIXCXQJM-UHFFFAOYSA-N 0 0 283.331 2.903 20 5 CFBDRN CC(C)CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCCC1 ZINC000346054794 278822928 /nfs/dbraw/zinc/82/29/28/278822928.db2.gz YLXCMWZDPQWSRO-UHFFFAOYSA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3ccco3)C2)n1 ZINC000378164284 278864734 /nfs/dbraw/zinc/86/47/34/278864734.db2.gz NARIUAWRJUSYOV-LLVKDONJSA-N 0 0 273.292 2.885 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCOC(C)(C)C2)n1 ZINC000160679360 278865067 /nfs/dbraw/zinc/86/50/67/278865067.db2.gz KTQFGWFDDURJIB-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@@H]1CSC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450524430 278871313 /nfs/dbraw/zinc/87/13/13/278871313.db2.gz CAGJPNXDTWLWPK-NOZJJQNGSA-N 0 0 289.360 2.728 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000302169978 279022825 /nfs/dbraw/zinc/02/28/25/279022825.db2.gz UDETVXQETTVUFE-NOZJJQNGSA-N 0 0 270.292 2.730 20 5 CFBDRN C[C@@H]1N(c2ccc([N+](=O)[O-])cc2)CCC12CCOCC2 ZINC000374929476 279025819 /nfs/dbraw/zinc/02/58/19/279025819.db2.gz FKYQLZQGKFGSER-LBPRGKRZSA-N 0 0 276.336 2.990 20 5 CFBDRN Cc1nc(N2C[C@H](C)[C@@H]3CCCC[C@H]32)ncc1[N+](=O)[O-] ZINC000294871525 279094833 /nfs/dbraw/zinc/09/48/33/279094833.db2.gz DVSDDDNIDOTPLZ-ZMLRMANQSA-N 0 0 276.340 2.708 20 5 CFBDRN Cc1nc(S[C@H]2CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000269895177 279104958 /nfs/dbraw/zinc/10/49/58/279104958.db2.gz DIZBLAFYRFFRRA-SCZZXKLOSA-N 0 0 254.311 2.568 20 5 CFBDRN C[C@@H]1c2ccsc2CCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298891345 279143260 /nfs/dbraw/zinc/14/32/60/279143260.db2.gz WKTKWLTWNZOYDN-MRVPVSSYSA-N 0 0 291.332 2.744 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])cn2)C[C@H]1c1ccccc1 ZINC000338744394 279163609 /nfs/dbraw/zinc/16/36/09/279163609.db2.gz SUHGBYKKPHPLOJ-ZFWWWQNUSA-N 0 0 284.319 2.991 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1cccc(C)c1[N+](=O)[O-] ZINC000218847410 279191879 /nfs/dbraw/zinc/19/18/79/279191879.db2.gz MRXGZBUDZWQQNJ-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CC[C@@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000085057272 279200835 /nfs/dbraw/zinc/20/08/35/279200835.db2.gz XKSUYBMYFWLGDO-FXPVBKGRSA-N 0 0 266.345 2.873 20 5 CFBDRN CC(C)C[C@H]1OCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000184767114 279231142 /nfs/dbraw/zinc/23/11/42/279231142.db2.gz LNUQNVWVTQBRJF-CMPLNLGQSA-N 0 0 296.323 2.511 20 5 CFBDRN C[C@H]1CO[C@H](c2ccccc2)CN1c1ccc([N+](=O)[O-])nc1 ZINC000359534376 279925145 /nfs/dbraw/zinc/92/51/45/279925145.db2.gz RNUAMRXQQASKLL-WFASDCNBSA-N 0 0 299.330 2.956 20 5 CFBDRN CCOc1cccc(N2CCC([C@H](C)O)CC2)c1[N+](=O)[O-] ZINC000184114811 279971476 /nfs/dbraw/zinc/97/14/76/279971476.db2.gz XCJBWZNSQHOSDV-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN CCOc1cccc(N2CCC[C@H](COC)C2)c1[N+](=O)[O-] ZINC000273127161 279971929 /nfs/dbraw/zinc/97/19/29/279971929.db2.gz AVEDUXJFHXFGCO-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN C[C@H]1OCCN(c2ccc([N+](=O)[O-])c3cnccc32)[C@H]1C ZINC000268808486 280056636 /nfs/dbraw/zinc/05/66/36/280056636.db2.gz LOBHPLMFIBGXKK-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@]1(F)CCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000408103156 280162975 /nfs/dbraw/zinc/16/29/75/280162975.db2.gz LMQUJKASXYMNTE-NSHDSACASA-N 0 0 282.300 2.538 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCCOC1 ZINC000272681572 280175057 /nfs/dbraw/zinc/17/50/57/280175057.db2.gz ZCMACJJPNRMHCH-AWEZNQCLSA-N 0 0 289.291 2.713 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C[C@H]2CCCCC[C@H]21 ZINC000370236267 280192113 /nfs/dbraw/zinc/19/21/13/280192113.db2.gz QCQCRDBSEDUUKJ-NXEZZACHSA-N 0 0 264.281 2.593 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])[C@@H](C)[C@@H](C)O1 ZINC000446061116 288208474 /nfs/dbraw/zinc/20/84/74/288208474.db2.gz KNNSEKBKBVQKKV-OUAUKWLOSA-N 0 0 282.315 2.732 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000116272487 288213433 /nfs/dbraw/zinc/21/34/33/288213433.db2.gz RPQYCZVYTGOEFD-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1cc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)on1 ZINC000349861753 280286788 /nfs/dbraw/zinc/28/67/88/280286788.db2.gz HTHLOHMLZACQGC-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000271471299 280323087 /nfs/dbraw/zinc/32/30/87/280323087.db2.gz RGKBJZQCWATSBZ-ZYHUDNBSSA-N 0 0 262.309 2.998 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCSC2)c(F)c1 ZINC000227891060 280360079 /nfs/dbraw/zinc/36/00/79/280360079.db2.gz OHHLXCWVVZJMEP-ZCFIWIBFSA-N 0 0 260.265 2.790 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC(CF)C1 ZINC000413510490 280361380 /nfs/dbraw/zinc/36/13/80/280361380.db2.gz WEMGVZIUOCTBLH-UHFFFAOYSA-N 0 0 261.256 2.549 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1C[C@H]2CC[C@@H](C1)O2 ZINC000364489574 280362198 /nfs/dbraw/zinc/36/21/98/280362198.db2.gz GVRQXHVHQKJZNX-TXEJJXNPSA-N 0 0 285.303 2.511 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)nn1C ZINC000340180084 280367062 /nfs/dbraw/zinc/36/70/62/280367062.db2.gz BFAUICMYVAQPDH-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3[C@@H]4CCC[C@@H]43)c21 ZINC000486498901 280373796 /nfs/dbraw/zinc/37/37/96/280373796.db2.gz RDVLKYZISZHPJG-YFKFIEJBSA-N 0 0 270.292 2.748 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC2(C1)Oc1ccccc1O2 ZINC000289055905 280374823 /nfs/dbraw/zinc/37/48/23/280374823.db2.gz MOQFBMAXIDQVSS-UHFFFAOYSA-N 0 0 298.298 2.973 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3(F)F)C2)c(Cl)c1 ZINC000357561949 280378251 /nfs/dbraw/zinc/37/82/51/280378251.db2.gz FAPLMLMCFIIJSM-UHFFFAOYSA-N 0 0 289.669 2.879 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000299245171 280378460 /nfs/dbraw/zinc/37/84/60/280378460.db2.gz COICWBFSOZFRMO-GFCCVEGCSA-N 0 0 292.335 2.621 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3OCCC[C@@H]3C2)c(Cl)c1 ZINC000152337125 280380867 /nfs/dbraw/zinc/38/08/67/280380867.db2.gz NUGJCPBTTGXMLY-BXKDBHETSA-N 0 0 297.742 2.649 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]2C2CC2)c(Cl)c1 ZINC000450644259 280380875 /nfs/dbraw/zinc/38/08/75/280380875.db2.gz MOBKACSJJDYIQJ-JTQLQIEISA-N 0 0 253.689 2.632 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC[C@H](F)C2)c(Cl)c1 ZINC000340912023 280382233 /nfs/dbraw/zinc/38/22/33/280382233.db2.gz LSRSHUWWKDGRQM-NKWVEPMBSA-N 0 0 259.668 2.946 20 5 CFBDRN O=C(Nc1ccc(O)cc1)c1csc([N+](=O)[O-])c1 ZINC000057559563 280453788 /nfs/dbraw/zinc/45/37/88/280453788.db2.gz LICAMHQAROYVEK-UHFFFAOYSA-N 0 0 264.262 2.614 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1c1c([N+](=O)[O-])nc(C)n1CC ZINC000361045778 288239075 /nfs/dbraw/zinc/23/90/75/288239075.db2.gz YNJYTMYXVFHKBE-KOLCDFICSA-N 0 0 266.345 2.887 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])N1CCCCCC1 ZINC000075765408 280514917 /nfs/dbraw/zinc/51/49/17/280514917.db2.gz GCTLEBASEWHFAL-UHFFFAOYSA-N 0 0 292.335 2.766 20 5 CFBDRN Cc1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)n[nH]1 ZINC000076991018 280521642 /nfs/dbraw/zinc/52/16/42/280521642.db2.gz ZJMISTRUOBNZFI-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cncc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000079631286 280535561 /nfs/dbraw/zinc/53/55/61/280535561.db2.gz RAPYUXPCMBTKCP-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN Cc1cncc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000080375952 280538639 /nfs/dbraw/zinc/53/86/39/280538639.db2.gz JVLKACBPWJTYCL-UHFFFAOYSA-N 0 0 272.264 2.942 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000271859380 288245661 /nfs/dbraw/zinc/24/56/61/288245661.db2.gz XKKIXYMHEWJTFO-UWVGGRQHSA-N 0 0 294.355 2.844 20 5 CFBDRN CC[C@@H](CSC)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000091628824 280572753 /nfs/dbraw/zinc/57/27/53/280572753.db2.gz ZJVHLDMBIIOIBU-NSHDSACASA-N 0 0 297.380 2.536 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2ccc(Cl)cc2)c1=O ZINC000096778339 280579109 /nfs/dbraw/zinc/57/91/09/280579109.db2.gz KIEYXPMHVWPVKS-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1cc(N2C[C@@H](F)[C@@H](F)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000450707930 280584569 /nfs/dbraw/zinc/58/45/69/280584569.db2.gz MFVQPELUJDIZMC-PHIMTYICSA-N 0 0 293.273 2.948 20 5 CFBDRN Cc1cc(N2Cc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000173915893 280589785 /nfs/dbraw/zinc/58/97/85/280589785.db2.gz QRYZWFPSMBVODR-UHFFFAOYSA-N 0 0 255.277 2.818 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000119284880 280606943 /nfs/dbraw/zinc/60/69/43/280606943.db2.gz GJBSPLUWAJPOAT-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1CC1(C)C ZINC000122665723 280628675 /nfs/dbraw/zinc/62/86/75/280628675.db2.gz WJBVWRZVIIJJEE-JOYOIKCWSA-N 0 0 274.320 2.919 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000122855403 280629617 /nfs/dbraw/zinc/62/96/17/280629617.db2.gz WHVGWCYMHUBVCG-SECBINFHSA-N 0 0 281.312 2.866 20 5 CFBDRN CSCCN(C)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000124661814 280639716 /nfs/dbraw/zinc/63/97/16/280639716.db2.gz SBOAVYDPGXNAKT-UHFFFAOYSA-N 0 0 288.756 2.683 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@H](O)[C@@H](C)C1 ZINC000302831699 280656711 /nfs/dbraw/zinc/65/67/11/280656711.db2.gz QWMJOUQIIRZELB-CABZTGNLSA-N 0 0 284.743 2.764 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H]1C=CCCC1 ZINC000127018842 280659046 /nfs/dbraw/zinc/65/90/46/280659046.db2.gz YXAQLQVYAIBXLV-LBPRGKRZSA-N 0 0 299.330 2.844 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H](C)c2ccccc2)c1=O ZINC000127299871 280663220 /nfs/dbraw/zinc/66/32/20/280663220.db2.gz ATERVVYNANBJNT-LBPRGKRZSA-N 0 0 272.304 2.869 20 5 CFBDRN CCOCCC1(CNc2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000127760266 280668110 /nfs/dbraw/zinc/66/81/10/280668110.db2.gz XEBNCUNRNXYKHM-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Cc1ccn(CCc2ccccc2Cl)c(=O)c1[N+](=O)[O-] ZINC000129879754 280696516 /nfs/dbraw/zinc/69/65/16/280696516.db2.gz HXPJXPVFIRHIQP-UHFFFAOYSA-N 0 0 292.722 2.961 20 5 CFBDRN Cc1cc(NC(=O)c2ccccc2[N+](=O)[O-])sn1 ZINC000130119743 280699731 /nfs/dbraw/zinc/69/97/31/280699731.db2.gz GNISBGFRFWRYIA-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CC(C)N(C)C(=O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000131349063 280716698 /nfs/dbraw/zinc/71/66/98/280716698.db2.gz MDUYIIQEHPKFRH-UHFFFAOYSA-N 0 0 282.365 2.695 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)nc1 ZINC000131580270 280720137 /nfs/dbraw/zinc/72/01/37/280720137.db2.gz BRBPAYYTXPUWJH-UHFFFAOYSA-N 0 0 291.288 2.506 20 5 CFBDRN Cc1ccc(OCCOCC(F)(F)F)cc1[N+](=O)[O-] ZINC000131595011 280720641 /nfs/dbraw/zinc/72/06/41/280720641.db2.gz UXHOTCYLVGKCOF-UHFFFAOYSA-N 0 0 279.214 2.861 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ncccc1F ZINC000131686864 280721325 /nfs/dbraw/zinc/72/13/25/280721325.db2.gz OKQUCPQVRLZFIM-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN Cc1ccc(OCc2nnc(C3CC3)o2)cc1[N+](=O)[O-] ZINC000131787458 280723527 /nfs/dbraw/zinc/72/35/27/280723527.db2.gz DIUMHIQZADNUTK-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN Cc1ccc(-c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)cn1 ZINC000355430400 280723852 /nfs/dbraw/zinc/72/38/52/280723852.db2.gz QWJZNYSJGVFMMJ-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN C[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000301092275 288260828 /nfs/dbraw/zinc/26/08/28/288260828.db2.gz MPAOPBGEUZKXBS-RKDXNWHRSA-N 0 0 270.716 2.862 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccsc1 ZINC000135377834 280771294 /nfs/dbraw/zinc/77/12/94/280771294.db2.gz HRDNTNIXWRJYGA-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CCCCN(CC)C(=O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000135353015 280771651 /nfs/dbraw/zinc/77/16/51/280771651.db2.gz QLZGZRGLALWCOR-UHFFFAOYSA-N 0 0 298.314 2.761 20 5 CFBDRN O=C(Nc1cccc(O)c1)c1ccc(F)cc1[N+](=O)[O-] ZINC000135398360 280772529 /nfs/dbraw/zinc/77/25/29/280772529.db2.gz CGFXFQHMZDFSJA-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN CC(C)(C)c1nc(COc2cc(F)cc([N+](=O)[O-])c2)no1 ZINC000135529503 280773843 /nfs/dbraw/zinc/77/38/43/280773843.db2.gz BAANEECJJKKFJK-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN CC[C@H](C)NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000184363034 280827011 /nfs/dbraw/zinc/82/70/11/280827011.db2.gz GLMINUXOSMQQCR-QMMMGPOBSA-N 0 0 285.731 2.846 20 5 CFBDRN Cc1ccc(NC(=O)/C=C/c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000189507055 280875737 /nfs/dbraw/zinc/87/57/37/280875737.db2.gz APJLAKFVHFUECH-VOTSOKGWSA-N 0 0 271.276 2.883 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000189724690 280879031 /nfs/dbraw/zinc/87/90/31/280879031.db2.gz UUCAMAMSXPQFDI-GQCTYLIASA-N 0 0 283.287 2.525 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2cccc(Cl)c2F)c1=O ZINC000191836671 280906271 /nfs/dbraw/zinc/90/62/71/280906271.db2.gz JMRLAOCVLCHLIN-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CC(C)(C)NC(=O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000192541954 280909585 /nfs/dbraw/zinc/90/95/85/280909585.db2.gz NLNOYWFZKRQLLK-UHFFFAOYSA-N 0 0 282.365 2.743 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000194886351 280928378 /nfs/dbraw/zinc/92/83/78/280928378.db2.gz BQJISIKYTZRSFE-NSHDSACASA-N 0 0 250.298 2.903 20 5 CFBDRN CNc1ccc(C(=O)NC[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000230546951 280938089 /nfs/dbraw/zinc/93/80/89/280938089.db2.gz OTQMXGFNEXCCRK-QWRGUYRKSA-N 0 0 291.351 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1CCCCC1 ZINC000067018404 281017052 /nfs/dbraw/zinc/01/70/52/281017052.db2.gz TZDMOJPKIYEYAI-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](C)[C@@H](C)C1 ZINC000248663081 281030755 /nfs/dbraw/zinc/03/07/55/281030755.db2.gz HQZGBVYSWYHJCV-UWVGGRQHSA-N 0 0 266.345 2.602 20 5 CFBDRN Cc1ccc2c(c1)CCN(c1ccc([N+](=O)[O-])nc1)C2 ZINC000357871360 281055159 /nfs/dbraw/zinc/05/51/59/281055159.db2.gz ZQXHEIGFXGXRTG-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1cncc(/C=C\C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000255064759 281086205 /nfs/dbraw/zinc/08/62/05/281086205.db2.gz SIHZDICDKZYVLT-DAXSKMNVSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cn1 ZINC000255342817 281094987 /nfs/dbraw/zinc/09/49/87/281094987.db2.gz OXVVGRNWTIJVFZ-UITAMQMPSA-N 0 0 283.287 2.950 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)Nc1ccc(F)nc1 ZINC000255396935 281097208 /nfs/dbraw/zinc/09/72/08/281097208.db2.gz LQLNCAJQRZWBRY-DAXSKMNVSA-N 0 0 287.250 2.781 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC/C=C\c1ccccc1 ZINC000255426914 281097954 /nfs/dbraw/zinc/09/79/54/281097954.db2.gz RRWJYBFSSNPIEV-TWGQIWQCSA-N 0 0 296.326 2.967 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC[C@H]1CCCS1 ZINC000255725877 281105896 /nfs/dbraw/zinc/10/58/96/281105896.db2.gz BLOQHKACSKZQAQ-DMTLFAOVSA-N 0 0 292.360 2.620 20 5 CFBDRN CC[C@H]1CN(c2cc(C)ccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000301592454 288299650 /nfs/dbraw/zinc/29/96/50/288299650.db2.gz ZIVAYBAIBIKWPV-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)/C=C\c3ccc([N+](=O)[O-])cc3)C[C@@H]21 ZINC000255831295 281107493 /nfs/dbraw/zinc/10/74/93/281107493.db2.gz XYXXCIVBLXBMOT-SJOWAIJRSA-N 0 0 286.331 2.722 20 5 CFBDRN CCN(C(=O)/C=C\c1cccc([N+](=O)[O-])c1)C(C)C ZINC000255840798 281108515 /nfs/dbraw/zinc/10/85/15/281108515.db2.gz CYPOLSDCUWSOOW-HJWRWDBZSA-N 0 0 262.309 2.865 20 5 CFBDRN CN(CCC1CC1)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255909395 281109870 /nfs/dbraw/zinc/10/98/70/281109870.db2.gz CXOIHTZTWRKGIR-FPLPWBNLSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000334888248 281157462 /nfs/dbraw/zinc/15/74/62/281157462.db2.gz AAPUMWMJOQLGNH-PHIMTYICSA-N 0 0 292.310 2.996 20 5 CFBDRN CC[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CCCO1 ZINC000281814394 281177733 /nfs/dbraw/zinc/17/77/33/281177733.db2.gz JIPDNHNXRFPILV-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN CSC1(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000355178913 281178623 /nfs/dbraw/zinc/17/86/23/281178623.db2.gz RLXTXRDJNSQRLY-UHFFFAOYSA-N 0 0 270.285 2.568 20 5 CFBDRN CC(=O)c1cccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000359839870 281182528 /nfs/dbraw/zinc/18/25/28/281182528.db2.gz HERUXBJOEBOHOO-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN CCOC(=O)C[C@@H](C)Sc1nccc(C)c1[N+](=O)[O-] ZINC000360361909 281183798 /nfs/dbraw/zinc/18/37/98/281183798.db2.gz MDELEXGEARZASZ-SECBINFHSA-N 0 0 284.337 2.732 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000360364985 281183942 /nfs/dbraw/zinc/18/39/42/281183942.db2.gz QWMGFRKVWRBUJF-VXGBXAGGSA-N 0 0 294.326 2.879 20 5 CFBDRN O=[N+]([O-])c1cccc(SCCC2(O)CCC2)c1 ZINC000396769835 281197135 /nfs/dbraw/zinc/19/71/35/281197135.db2.gz SRCXPBLAXAQSRC-UHFFFAOYSA-N 0 0 253.323 2.992 20 5 CFBDRN C[C@H](CNc1ncccc1[N+](=O)[O-])CC(F)(F)F ZINC000397025783 281200013 /nfs/dbraw/zinc/20/00/13/281200013.db2.gz UQGJAMKDOULXSU-ZETCQYMHSA-N 0 0 263.219 2.990 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])nc1)CC(F)(F)F ZINC000397029731 281200177 /nfs/dbraw/zinc/20/01/77/281200177.db2.gz XBNSAHYNHWAMES-SSDOTTSWSA-N 0 0 263.219 2.990 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@H]3C[C@H]3C2)nc2sccn21 ZINC000397708778 281201822 /nfs/dbraw/zinc/20/18/22/281201822.db2.gz AKDJJMFMNWLCHI-RNLVFQAGSA-N 0 0 264.310 2.514 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000397818129 281202409 /nfs/dbraw/zinc/20/24/09/281202409.db2.gz LYNMWEVKQHVHMW-JGPRNRPPSA-N 0 0 276.292 2.592 20 5 CFBDRN COc1cccc(NC[C@H]2CC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000397937073 281203077 /nfs/dbraw/zinc/20/30/77/281203077.db2.gz XHHKARLHFIEYDB-NXEZZACHSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000398093707 281203369 /nfs/dbraw/zinc/20/33/69/281203369.db2.gz ZCLUPXXPXBIPNK-WPRPVWTQSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)c1csnn1 ZINC000398435824 281206506 /nfs/dbraw/zinc/20/65/06/281206506.db2.gz XEFRRGOMYBPHFO-RKDXNWHRSA-N 0 0 278.337 2.858 20 5 CFBDRN C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1csnn1 ZINC000398407741 281206514 /nfs/dbraw/zinc/20/65/14/281206514.db2.gz KPAUGRBRYLWWKS-IUCAKERBSA-N 0 0 278.337 2.858 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)CCCCO)c1 ZINC000398558393 281207461 /nfs/dbraw/zinc/20/74/61/281207461.db2.gz ZRUVJSYPMYIVSL-NSHDSACASA-N 0 0 252.314 2.866 20 5 CFBDRN C[C@@H](CCCCO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000398589281 281207497 /nfs/dbraw/zinc/20/74/97/281207497.db2.gz OTBVOYQYHGVLHI-QMMMGPOBSA-N 0 0 274.267 2.836 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1cccc([N+](=O)[O-])c1 ZINC000268208573 281221016 /nfs/dbraw/zinc/22/10/16/281221016.db2.gz SWCAZDIWCZBXLJ-YPMHNXCESA-N 0 0 264.325 2.594 20 5 CFBDRN CCSCC[C@@H](C)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000433426369 281234436 /nfs/dbraw/zinc/23/44/36/281234436.db2.gz YWJODWCNUCCBLQ-SNVBAGLBSA-N 0 0 269.370 2.958 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H](c2nccn2C)C1 ZINC000339354657 281260541 /nfs/dbraw/zinc/26/05/41/281260541.db2.gz AZIWWIJRRPCXMB-LBPRGKRZSA-N 0 0 286.335 2.631 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@H]21 ZINC000491901947 281275696 /nfs/dbraw/zinc/27/56/96/281275696.db2.gz ASONDHMBYGURHT-SMFNXNMFSA-N 0 0 272.304 2.619 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1cnccn1 ZINC000514844353 281286518 /nfs/dbraw/zinc/28/65/18/281286518.db2.gz XUEIVJZQSBDNSN-UHFFFAOYSA-N 0 0 286.335 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3OCC[C@@H]3C2)c2cccnc21 ZINC000516566575 281304516 /nfs/dbraw/zinc/30/45/16/281304516.db2.gz FYFFZBZZGCUHTI-ABAIWWIYSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@@H](N(C)C(=O)CNc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000520993254 281309986 /nfs/dbraw/zinc/30/99/86/281309986.db2.gz JAVLBWOBZKBAOH-LLVKDONJSA-N 0 0 293.367 2.900 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C)C[C@H]1C ZINC000525411034 281317417 /nfs/dbraw/zinc/31/74/17/281317417.db2.gz NLZBBGJTOVFFAE-VHSXEESVSA-N 0 0 293.323 2.866 20 5 CFBDRN C[C@H](NC(=O)N1[C@H](C)C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000526881045 281321646 /nfs/dbraw/zinc/32/16/46/281321646.db2.gz XKMOQETWXLSQMY-AXFHLTTASA-N 0 0 277.324 2.848 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000527182521 281326046 /nfs/dbraw/zinc/32/60/46/281326046.db2.gz LVDFFFLFHUGPGK-AOOOYVTPSA-N 0 0 280.349 2.696 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531628511 281435723 /nfs/dbraw/zinc/43/57/23/281435723.db2.gz AIBSYRUEEHLMLR-QWHCGFSZSA-N 0 0 291.351 2.934 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)[C@H](C)c1ccccc1 ZINC000531633552 281436121 /nfs/dbraw/zinc/43/61/21/281436121.db2.gz UHPUNEDSMLUHAY-NWDGAFQWSA-N 0 0 299.330 2.912 20 5 CFBDRN CCSCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531634022 281436749 /nfs/dbraw/zinc/43/67/49/281436749.db2.gz UITAGXBPAQIKQZ-SNVBAGLBSA-N 0 0 297.380 2.594 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000269391592 288329792 /nfs/dbraw/zinc/32/97/92/288329792.db2.gz SCMNMXIYJFMUBA-WPRPVWTQSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000265990035 288331051 /nfs/dbraw/zinc/33/10/51/288331051.db2.gz HVSMYILPJSLJOW-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(c1ncc(Cl)cn1)C2 ZINC000535248986 281500094 /nfs/dbraw/zinc/50/00/94/281500094.db2.gz XWHINURHUGQAQO-UHFFFAOYSA-N 0 0 290.710 2.601 20 5 CFBDRN CC(C)(NC(=O)CCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000536565701 281522508 /nfs/dbraw/zinc/52/25/08/281522508.db2.gz CAXUOUPAJDNLKX-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN CCc1nnc([C@H](C)N(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000348089358 281564085 /nfs/dbraw/zinc/56/40/85/281564085.db2.gz VDHDKDGTPQDNRR-JTQLQIEISA-N 0 0 290.323 2.733 20 5 CFBDRN CCOc1cc(N[C@H](C)c2nnc(C)o2)ccc1[N+](=O)[O-] ZINC000540033943 281580283 /nfs/dbraw/zinc/58/02/83/281580283.db2.gz QYKAGCUJLDSZRG-MRVPVSSYSA-N 0 0 292.295 2.858 20 5 CFBDRN CCC[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000541636629 281608145 /nfs/dbraw/zinc/60/81/45/281608145.db2.gz BNOQDTDMADSEAG-LLVKDONJSA-N 0 0 279.340 2.728 20 5 CFBDRN CCC[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000541636777 281608585 /nfs/dbraw/zinc/60/85/85/281608585.db2.gz DOSGDEXPAUPNNM-NSHDSACASA-N 0 0 277.324 2.785 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)[C@@H]2CCCC[C@@H]21 ZINC000543916155 281658602 /nfs/dbraw/zinc/65/86/02/281658602.db2.gz FKXFJGZVKZJNPM-RAIGVLPGSA-N 0 0 291.351 2.584 20 5 CFBDRN CC(C)c1ccc(CCNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000544858263 281674827 /nfs/dbraw/zinc/67/48/27/281674827.db2.gz KCBVTCKYHNBHMT-UHFFFAOYSA-N 0 0 286.335 2.585 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(CNc2ccccc2[N+](=O)[O-])n1 ZINC000545064650 281678069 /nfs/dbraw/zinc/67/80/69/281678069.db2.gz PWCLXNIYALYMKC-IUCAKERBSA-N 0 0 274.280 2.713 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)ncn1 ZINC000545690521 281691576 /nfs/dbraw/zinc/69/15/76/281691576.db2.gz HFXZYIMBIMGUMF-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CCO[C@@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000545688456 281691775 /nfs/dbraw/zinc/69/17/75/281691775.db2.gz GAECZWFBQNMYFK-VXGBXAGGSA-N 0 0 289.291 2.846 20 5 CFBDRN CC(C)c1cccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])c1 ZINC000547751652 281752461 /nfs/dbraw/zinc/75/24/61/281752461.db2.gz OMMNNHZPOWJGLO-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000547768492 281753494 /nfs/dbraw/zinc/75/34/94/281753494.db2.gz RCZDRCZEDFBNBX-NXEZZACHSA-N 0 0 288.307 2.628 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000547807829 281755572 /nfs/dbraw/zinc/75/55/72/281755572.db2.gz QGTNVHCLYYARPD-UONOGXRCSA-N 0 0 288.347 2.772 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000547807827 281755934 /nfs/dbraw/zinc/75/59/34/281755934.db2.gz QGTNVHCLYYARPD-KBPBESRZSA-N 0 0 288.347 2.772 20 5 CFBDRN CO[C@H](C)CSCCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000548826094 281803316 /nfs/dbraw/zinc/80/33/16/281803316.db2.gz NOVISKHGVUOKAL-SNVBAGLBSA-N 0 0 298.364 2.692 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1CCC[C@H]1F ZINC000549301620 281815188 /nfs/dbraw/zinc/81/51/88/281815188.db2.gz GWHNVXZFUZOLLF-PWSUYJOCSA-N 0 0 275.283 2.867 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@H]2F)c1 ZINC000549697903 281819260 /nfs/dbraw/zinc/81/92/60/281819260.db2.gz XNLARFLOQYTZQX-ZJUUUORDSA-N 0 0 254.261 2.906 20 5 CFBDRN COc1cc(C(=O)NC(C)(C)C(C)C)ccc1[N+](=O)[O-] ZINC000551650583 281840274 /nfs/dbraw/zinc/84/02/74/281840274.db2.gz NABDSSBKFSCBHV-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN CC(=O)c1ccc(NCC(C)(F)F)c([N+](=O)[O-])c1 ZINC000555204860 281910401 /nfs/dbraw/zinc/91/04/01/281910401.db2.gz GMIOZXAPUKSENN-UHFFFAOYSA-N 0 0 258.224 2.865 20 5 CFBDRN CCc1cccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])c1CC ZINC000555308142 281912449 /nfs/dbraw/zinc/91/24/49/281912449.db2.gz HHPHAHSZDRHFAH-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN Cc1nc(N2C[C@H](C)C[C@H](C)[C@@H]2C)ncc1[N+](=O)[O-] ZINC000450476165 281946072 /nfs/dbraw/zinc/94/60/72/281946072.db2.gz NKYISWVHHGVJLU-YWVKMMECSA-N 0 0 264.329 2.564 20 5 CFBDRN Cc1nc(N2Cc3ccccc3C3(CC3)C2)ncc1[N+](=O)[O-] ZINC000450451744 281947283 /nfs/dbraw/zinc/94/72/83/281947283.db2.gz GHGPHGLUZPHTSM-UHFFFAOYSA-N 0 0 296.330 2.745 20 5 CFBDRN Cc1nc(N2c3ccccc3C[C@@H]2C)ncc1[N+](=O)[O-] ZINC000296524146 281948224 /nfs/dbraw/zinc/94/82/24/281948224.db2.gz MYBPPAKBQICKKR-VIFPVBQESA-N 0 0 270.292 2.776 20 5 CFBDRN Cc1nc(N2Cc3ccccc3[C@H]2C)ncc1[N+](=O)[O-] ZINC000450362499 281948727 /nfs/dbraw/zinc/94/87/27/281948727.db2.gz GHEXHBUVBRQUNG-SNVBAGLBSA-N 0 0 270.292 2.774 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H](CO)C(C)C)c([N+](=O)[O-])c1 ZINC000557727327 281970602 /nfs/dbraw/zinc/97/06/02/281970602.db2.gz JZGRSRZANPBSHC-LBPRGKRZSA-N 0 0 294.351 2.864 20 5 CFBDRN CN1C(=O)CCc2cc(Nc3ccc([N+](=O)[O-])nc3)ccc21 ZINC000558200353 281978551 /nfs/dbraw/zinc/97/85/51/281978551.db2.gz JFPDCJGIHCNYTH-UHFFFAOYSA-N 0 0 298.302 2.642 20 5 CFBDRN Cc1nc(N[C@@H]2c3ccccc3O[C@@H]2C)ncc1[N+](=O)[O-] ZINC000295695765 281978933 /nfs/dbraw/zinc/97/89/33/281978933.db2.gz PERPRDPVAANOFR-RNCFNFMXSA-N 0 0 286.291 2.627 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000361006883 288377501 /nfs/dbraw/zinc/37/75/01/288377501.db2.gz MVKHNUMXYYLRKH-KOLCDFICSA-N 0 0 266.345 2.744 20 5 CFBDRN Cc1cccc(N2CCc3nc(C)ncc3C2)c1[N+](=O)[O-] ZINC000558893212 281997964 /nfs/dbraw/zinc/99/79/64/281997964.db2.gz POGHVYFDMZQQMN-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN Cc1ccsc1CN(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000558967059 281998769 /nfs/dbraw/zinc/99/87/69/281998769.db2.gz QIPZJILUZUDWJB-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CC(C)C(CNC(=O)c1cc([N+](=O)[O-])cn1C)C(C)C ZINC000560379399 282023509 /nfs/dbraw/zinc/02/35/09/282023509.db2.gz YPIKZHLFRHVQIV-UHFFFAOYSA-N 0 0 281.356 2.591 20 5 CFBDRN CC(C)[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000560630656 282037002 /nfs/dbraw/zinc/03/70/02/282037002.db2.gz YGPOYJRKOGJQHY-MNOVXSKESA-N 0 0 294.355 2.653 20 5 CFBDRN CC(C)[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000560630655 282037274 /nfs/dbraw/zinc/03/72/74/282037274.db2.gz YGPOYJRKOGJQHY-GHMZBOCLSA-N 0 0 294.355 2.653 20 5 CFBDRN CC1(C)CCN1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000560821782 282042150 /nfs/dbraw/zinc/04/21/50/282042150.db2.gz CTHVAZNQEJZJIA-QWHCGFSZSA-N 0 0 274.320 2.709 20 5 CFBDRN CC(C)CC[C@@H]1CCC[C@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000561162255 282048839 /nfs/dbraw/zinc/04/88/39/282048839.db2.gz TXUDJGCAMCHQJK-WDEREUQCSA-N 0 0 293.371 2.814 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1ncnc2c1CCC2 ZINC000561360219 282053277 /nfs/dbraw/zinc/05/32/77/282053277.db2.gz MZEGFXMVWOIYNA-UHFFFAOYSA-N 0 0 298.346 2.552 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@H]1CC[C@@H](F)C1 ZINC000561692682 282059799 /nfs/dbraw/zinc/05/97/99/282059799.db2.gz IFGFODNZGDUPSO-ZJUUUORDSA-N 0 0 296.298 2.992 20 5 CFBDRN C[C@@H]1CO[C@@H](c2ccccc2)CN1c1ccc([N+](=O)[O-])nc1 ZINC000359534372 288389054 /nfs/dbraw/zinc/38/90/54/288389054.db2.gz RNUAMRXQQASKLL-IUODEOHRSA-N 0 0 299.330 2.956 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302880547 288965186 /nfs/dbraw/zinc/96/51/86/288965186.db2.gz IJXHTEZXKBCUMK-VHSXEESVSA-N 0 0 261.325 2.798 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000295570269 289181506 /nfs/dbraw/zinc/18/15/06/289181506.db2.gz MGAKKYZUOFSKLP-CYBMUJFWSA-N 0 0 284.262 2.698 20 5 CFBDRN Cc1ccnc(N(C)CC2(O)CCCCCC2)c1[N+](=O)[O-] ZINC000302341069 292765786 /nfs/dbraw/zinc/76/57/86/292765786.db2.gz ADGMCGZGKCJTGF-UHFFFAOYSA-N 0 0 293.367 2.820 20 5 CFBDRN CC[C@@H]1CCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000152845623 289856160 /nfs/dbraw/zinc/85/61/60/289856160.db2.gz WAODNSAOTDQGKF-SNVBAGLBSA-N 0 0 288.307 2.881 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(CC(F)(F)F)C2 ZINC000153340349 289865983 /nfs/dbraw/zinc/86/59/83/289865983.db2.gz RAFPZXAZNYYGTF-UHFFFAOYSA-N 0 0 260.215 2.515 20 5 CFBDRN Cc1cc(C)c(OC[C@H](O)COCC(C)C)c([N+](=O)[O-])c1 ZINC000153651991 289873452 /nfs/dbraw/zinc/87/34/52/289873452.db2.gz FABHDAVGICWGBZ-CYBMUJFWSA-N 0 0 297.351 2.624 20 5 CFBDRN O=C(Nc1cccc(OCCF)c1)c1ccc([N+](=O)[O-])o1 ZINC000154010527 289882513 /nfs/dbraw/zinc/88/25/13/289882513.db2.gz MYCSNQRIAUVVEW-UHFFFAOYSA-N 0 0 294.238 2.788 20 5 CFBDRN CCCC[C@@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000154626798 289899596 /nfs/dbraw/zinc/89/95/96/289899596.db2.gz YCZCAXXAWWUTHQ-CYBMUJFWSA-N 0 0 294.351 2.725 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000155170215 289913020 /nfs/dbraw/zinc/91/30/20/289913020.db2.gz DZTGKVVLGNWLQM-LBPRGKRZSA-N 0 0 291.351 2.951 20 5 CFBDRN Cc1occc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158372491 289974809 /nfs/dbraw/zinc/97/48/09/289974809.db2.gz DZSNSIFRYLPXHG-UHFFFAOYSA-N 0 0 260.249 2.773 20 5 CFBDRN COc1ccc(Cn2ncc(Cl)c2C)cc1[N+](=O)[O-] ZINC000158587504 289977920 /nfs/dbraw/zinc/97/79/20/289977920.db2.gz VEIPORPUOLZJIM-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN Cc1c(CC(=O)N2CCCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000159199637 289989700 /nfs/dbraw/zinc/98/97/00/289989700.db2.gz UJBPNJKDZOBKIH-LLVKDONJSA-N 0 0 276.336 2.847 20 5 CFBDRN Cc1cccc(N[C@@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC000302028898 290006401 /nfs/dbraw/zinc/00/64/01/290006401.db2.gz ARTCBFNNZIPDCU-WDEREUQCSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S[C@H]1CCCNC1=O ZINC000352034451 290021112 /nfs/dbraw/zinc/02/11/12/290021112.db2.gz BWZOMDPYXQHEBZ-MFKMUULPSA-N 0 0 294.376 2.976 20 5 CFBDRN Cc1ccnc(N2C[C@@H]3CCCC[C@H]3C2)c1[N+](=O)[O-] ZINC000301549803 292781928 /nfs/dbraw/zinc/78/19/28/292781928.db2.gz LXQBPWNZZVZKLF-RYUDHWBXSA-N 0 0 261.325 2.925 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C1Cc2ccccc2C1 ZINC000562395369 290040327 /nfs/dbraw/zinc/04/03/27/290040327.db2.gz XHZHDNGQMRACDQ-UHFFFAOYSA-N 0 0 296.326 2.626 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000562400501 290040344 /nfs/dbraw/zinc/04/03/44/290040344.db2.gz GTRPSUHOIXOFID-AAEUAGOBSA-N 0 0 274.320 2.923 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ccn1 ZINC000562495166 290047976 /nfs/dbraw/zinc/04/79/76/290047976.db2.gz BOGBJMIZVGCWCX-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])ccn1 ZINC000562497494 290048673 /nfs/dbraw/zinc/04/86/73/290048673.db2.gz HLLHPFLCZRXSLI-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN COc1ccc(CN2CC(C(F)(F)F)C2)cc1[N+](=O)[O-] ZINC000562535563 290052492 /nfs/dbraw/zinc/05/24/92/290052492.db2.gz XENSEUWQCPXOHS-UHFFFAOYSA-N 0 0 290.241 2.598 20 5 CFBDRN CC(C)[C@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)[C@H]1C(C)C ZINC000562580966 290057375 /nfs/dbraw/zinc/05/73/75/290057375.db2.gz ROBZQAKLVIBJOU-OCCSQVGLSA-N 0 0 293.367 2.686 20 5 CFBDRN C[C@@H]1CCCC[C@]1(C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000562588077 290057905 /nfs/dbraw/zinc/05/79/05/290057905.db2.gz SQRCCHZTOBTBQB-YGRLFVJLSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@H]1CC=C(C(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000562884403 290080741 /nfs/dbraw/zinc/08/07/41/290080741.db2.gz OSZHARJZJSPTGI-NSHDSACASA-N 0 0 274.320 2.957 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCc1ccncc1)CCCC2 ZINC000563037429 290095866 /nfs/dbraw/zinc/09/58/66/290095866.db2.gz BUFUPWPFMDPVNT-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN CC(C)CCn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000563119988 290105851 /nfs/dbraw/zinc/10/58/51/290105851.db2.gz OIZDNPIGDLVMMT-UHFFFAOYSA-N 0 0 264.325 2.681 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000269840070 290135375 /nfs/dbraw/zinc/13/53/75/290135375.db2.gz HNFUFUIMGGWXST-ONGXEEELSA-N 0 0 284.743 2.988 20 5 CFBDRN Cc1cnc(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)nc1 ZINC000563423361 290148580 /nfs/dbraw/zinc/14/85/80/290148580.db2.gz FJVAAZMQDIYVQH-UHFFFAOYSA-N 0 0 299.334 2.579 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccn(CC)n1 ZINC000564087192 290191918 /nfs/dbraw/zinc/19/19/18/290191918.db2.gz JTFNXECNMGIHTL-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CSc1ncc(OCc2cccc([N+](=O)[O-])c2C)cn1 ZINC000564248396 290199104 /nfs/dbraw/zinc/19/91/04/290199104.db2.gz OBJHVSCWOOYBJC-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN CC(F)(F)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000564678080 290223341 /nfs/dbraw/zinc/22/33/41/290223341.db2.gz MIEPJMAXJFQUCB-UHFFFAOYSA-N 0 0 284.218 2.726 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](c3cccnc3)C2)n1 ZINC000564903836 290247037 /nfs/dbraw/zinc/24/70/37/290247037.db2.gz BDWKAJSBVYBKIO-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2nc3c(cc2[N+](=O)[O-])CCCC3)O1 ZINC000564903217 290247150 /nfs/dbraw/zinc/24/71/50/290247150.db2.gz JXOFZEDDEKMZAB-JQWIXIFHSA-N 0 0 291.351 2.848 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])sc2ncn1CC1CCCCC1 ZINC000564954971 290251754 /nfs/dbraw/zinc/25/17/54/290251754.db2.gz UEQCVQRVRGAMST-UHFFFAOYSA-N 0 0 293.348 2.947 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCC[C@H](F)C1 ZINC000565144361 290271566 /nfs/dbraw/zinc/27/15/66/290271566.db2.gz YWXPDKUYMUMUKI-FPMFFAJLSA-N 0 0 292.310 2.659 20 5 CFBDRN CCN(C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])[C@H](C)C(C)C ZINC000565196719 290280392 /nfs/dbraw/zinc/28/03/92/290280392.db2.gz QDTSFMLWLSFIMG-SNVBAGLBSA-N 0 0 296.371 2.948 20 5 CFBDRN C[C@@H](Sc1nc2c(cc1[N+](=O)[O-])CCCC2)[C@H](C)O ZINC000565418514 290300469 /nfs/dbraw/zinc/30/04/69/290300469.db2.gz VDZWCZRODAKIDP-DTWKUNHWSA-N 0 0 282.365 2.730 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H]1[C@H]1CCCOC1 ZINC000565889222 290332230 /nfs/dbraw/zinc/33/22/30/290332230.db2.gz GOCRJTCAZMPIFC-STQMWFEESA-N 0 0 276.336 2.990 20 5 CFBDRN C[C@H](SC[C@H]1CN(C)CCO1)c1ccccc1[N+](=O)[O-] ZINC000566214118 290358657 /nfs/dbraw/zinc/35/86/57/290358657.db2.gz DOWULOFUTQBTPN-NWDGAFQWSA-N 0 0 296.392 2.720 20 5 CFBDRN C[C@H]1CCC(=O)[C@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC000450900382 290367251 /nfs/dbraw/zinc/36/72/51/290367251.db2.gz GZOXEAHYIWOXRJ-QPUJVOFHSA-N 0 0 267.256 2.870 20 5 CFBDRN COCC(C)(C)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000566482817 290393642 /nfs/dbraw/zinc/39/36/42/290393642.db2.gz QNFXBWXFEGOQHA-UHFFFAOYSA-N 0 0 268.313 2.688 20 5 CFBDRN C[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000566735813 290413826 /nfs/dbraw/zinc/41/38/26/290413826.db2.gz YBKGFVIDMLCYBC-JTQLQIEISA-N 0 0 280.299 2.882 20 5 CFBDRN C[C@H]1CCC[C@@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301910566 290478017 /nfs/dbraw/zinc/47/80/17/290478017.db2.gz GEEPEQHBMRFKHZ-VHSXEESVSA-N 0 0 275.312 2.628 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCC[C@H](F)C1 ZINC000567767701 290493573 /nfs/dbraw/zinc/49/35/73/290493573.db2.gz VFMFQJVHCQAKSB-VHSXEESVSA-N 0 0 270.308 2.812 20 5 CFBDRN C[C@H]1CCC[C@H](CCn2ncc([N+](=O)[O-])c2N)C1 ZINC000127493423 290503487 /nfs/dbraw/zinc/50/34/87/290503487.db2.gz XIAJRSAVTLYTCZ-VHSXEESVSA-N 0 0 252.318 2.590 20 5 CFBDRN CC(C)(O)CCSCc1ccccc1[N+](=O)[O-] ZINC000568768479 290569617 /nfs/dbraw/zinc/56/96/17/290569617.db2.gz VHYQGNNUDLELET-UHFFFAOYSA-N 0 0 255.339 2.989 20 5 CFBDRN Cc1csc(=O)n1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000115966262 293020256 /nfs/dbraw/zinc/02/02/56/293020256.db2.gz PMFZKPRDJZMVIU-UHFFFAOYSA-N 0 0 284.724 2.828 20 5 CFBDRN COC[C@H]1CCCCN1c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000450942573 293204529 /nfs/dbraw/zinc/20/45/29/293204529.db2.gz VCYIDPHUYYJHKG-GFCCVEGCSA-N 0 0 279.340 2.612 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000358640929 294249821 /nfs/dbraw/zinc/24/98/21/294249821.db2.gz WXVJVHMHDWQEKK-GFCCVEGCSA-N 0 0 294.351 2.789 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000368461754 294600428 /nfs/dbraw/zinc/60/04/28/294600428.db2.gz LFHDUCITDNEAHB-GFCCVEGCSA-N 0 0 286.335 2.712 20 5 CFBDRN NC(=O)Nc1cccc(NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000037135735 295097850 /nfs/dbraw/zinc/09/78/50/295097850.db2.gz MYCYBKOVTYWLEV-UHFFFAOYSA-N 0 0 286.291 2.698 20 5 CFBDRN Nc1ccc(N2CCC([C@@H]3CCCO3)CC2)c([N+](=O)[O-])c1 ZINC000286500105 295353165 /nfs/dbraw/zinc/35/31/65/295353165.db2.gz AJUVTQZIOKHFHD-HNNXBMFYSA-N 0 0 291.351 2.572 20 5 CFBDRN Nc1ccc(N2CCC(OCC3CC3)CC2)c([N+](=O)[O-])c1 ZINC000376450976 295353313 /nfs/dbraw/zinc/35/33/13/295353313.db2.gz UVLMOUJSPIJZPN-UHFFFAOYSA-N 0 0 291.351 2.572 20 5 CFBDRN Nc1ccc(N2CC[C@H](O)c3ccccc3C2)c([N+](=O)[O-])c1 ZINC000376449055 295354285 /nfs/dbraw/zinc/35/42/85/295354285.db2.gz LBZIJLQJJRJQHB-INIZCTEOSA-N 0 0 299.330 2.621 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1CCC2(CCC2)CC1 ZINC000376463265 295362331 /nfs/dbraw/zinc/36/23/31/295362331.db2.gz SRAPGCRYBXMTLF-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN CSC[C@H](C)NC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271703588 295621945 /nfs/dbraw/zinc/62/19/45/295621945.db2.gz OBILXJZNVCBIGA-UWVGGRQHSA-N 0 0 297.380 2.707 20 5 CFBDRN C[C@@H]1C[C@@H](c2cccnc2)N(c2ncccc2[N+](=O)[O-])C1 ZINC000302144703 297297650 /nfs/dbraw/zinc/29/76/50/297297650.db2.gz QOOXRZUVSHRQPN-RISCZKNCSA-N 0 0 284.319 2.972 20 5 CFBDRN O=C1CC2(CCCCC2)N(Cc2ccccc2[N+](=O)[O-])N1 ZINC000289272110 304621523 /nfs/dbraw/zinc/62/15/23/304621523.db2.gz FELVWRVPZUACFV-UHFFFAOYSA-N 0 0 289.335 2.535 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@H](C)O[C@H](C)[C@H]2C)n1 ZINC000408444127 301205900 /nfs/dbraw/zinc/20/59/00/301205900.db2.gz REORSCYOMWSUFX-QJPTWQEYSA-N 0 0 279.340 2.609 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C2CC2)C1 ZINC000335163944 302038185 /nfs/dbraw/zinc/03/81/85/302038185.db2.gz SFTQKIGCATUZCT-GFCCVEGCSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H]2C[C@@H](O)CC[C@H]2C1 ZINC000450814258 302040758 /nfs/dbraw/zinc/04/07/58/302040758.db2.gz QBWKVOIGQDIRCH-RDBSUJKOSA-N 0 0 290.363 2.891 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCOC[C@@H]1C ZINC000277777447 302041194 /nfs/dbraw/zinc/04/11/94/302041194.db2.gz WMNCTGFFZCAUFN-WDEREUQCSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccnc(N2CCC[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000288129835 302270281 /nfs/dbraw/zinc/27/02/81/302270281.db2.gz DRVZBFZKRYRYFT-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1ccnc(N2CCC[C@@H]([C@H]3CCOC3)C2)c1[N+](=O)[O-] ZINC000439085240 302270784 /nfs/dbraw/zinc/27/07/84/302270784.db2.gz LWZXLADLKVXQJB-OLZOCXBDSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cnc(N2CC(C)(C)O[C@@H]3CCC[C@H]32)c([N+](=O)[O-])c1 ZINC000440561281 302347840 /nfs/dbraw/zinc/34/78/40/302347840.db2.gz OTTKCOLCAYVDJK-DGCLKSJQSA-N 0 0 291.351 2.835 20 5 CFBDRN Cc1nc([C@H]2CCCN2c2cc(F)ccc2[N+](=O)[O-])no1 ZINC000369388109 302643262 /nfs/dbraw/zinc/64/32/62/302643262.db2.gz DZCYGTAIDROBFK-LLVKDONJSA-N 0 0 292.270 2.767 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@]2(CCOC2)C1 ZINC000450878435 304806009 /nfs/dbraw/zinc/80/60/09/304806009.db2.gz ILHUFZCPJHWNDH-AWEZNQCLSA-N 0 0 280.299 2.741 20 5 CFBDRN CCC[C@H](C)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159712355 322326952 /nfs/dbraw/zinc/32/69/52/322326952.db2.gz YVGPHPZQQGMFAN-VHSXEESVSA-N 0 0 297.380 2.775 20 5 CFBDRN COc1ccc(C(=O)N2[C@H](C)CC[C@H]2C)cc1[N+](=O)[O-] ZINC000161992034 322359630 /nfs/dbraw/zinc/35/96/30/322359630.db2.gz VLZYBSBFDUKXJP-NXEZZACHSA-N 0 0 278.308 2.616 20 5 CFBDRN COc1cc(C(=O)N2[C@@H](C)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000162371943 322363807 /nfs/dbraw/zinc/36/38/07/322363807.db2.gz OGINVZSONBSNIT-UWVGGRQHSA-N 0 0 278.308 2.616 20 5 CFBDRN CC1(C)CN(Cc2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000163266544 322374615 /nfs/dbraw/zinc/37/46/15/322374615.db2.gz FYJZVQYUMHPNAQ-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)C(C)(C)C ZINC000171999814 322418293 /nfs/dbraw/zinc/41/82/93/322418293.db2.gz MMXKYCBFWURWIO-SSDOTTSWSA-N 0 0 256.327 2.821 20 5 CFBDRN CN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000172188144 322421093 /nfs/dbraw/zinc/42/10/93/322421093.db2.gz CUXZQTRISRFFPL-RMKNXTFCSA-N 0 0 283.287 2.666 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CC=CCC1 ZINC000172482393 322425835 /nfs/dbraw/zinc/42/58/35/322425835.db2.gz JHPQSHVUUMKZTF-NSHDSACASA-N 0 0 260.293 2.742 20 5 CFBDRN C[C@@H]1CSCCN1C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000172522405 322426784 /nfs/dbraw/zinc/42/67/84/322426784.db2.gz YMKJLKNINKMRDF-MVIFTORASA-N 0 0 292.360 2.572 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCOC2(CCCC2)C1 ZINC000569355707 322467399 /nfs/dbraw/zinc/46/73/99/322467399.db2.gz VVSXGKRJKYXIBO-UHFFFAOYSA-N 0 0 292.335 2.753 20 5 CFBDRN C[C@H](C(=O)N(C)[C@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000570227596 322521433 /nfs/dbraw/zinc/52/14/33/322521433.db2.gz WBWXWTWXTIJFGN-GWCFXTLKSA-N 0 0 276.336 2.955 20 5 CFBDRN C[C@@H](C(=O)N1CCC(CF)CC1)c1cccc([N+](=O)[O-])c1 ZINC000570281688 322524242 /nfs/dbraw/zinc/52/42/42/322524242.db2.gz VFXSGORDFWNNJV-LLVKDONJSA-N 0 0 294.326 2.906 20 5 CFBDRN CC[C@H](C)C[C@@H](NCc1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000572484146 322620561 /nfs/dbraw/zinc/62/05/61/322620561.db2.gz AFPRFSRQRANUPC-SMDDNHRTSA-N 0 0 294.351 2.662 20 5 CFBDRN C[C@@H](c1csnn1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000573672479 322664718 /nfs/dbraw/zinc/66/47/18/322664718.db2.gz FOHATOHPEOAPCE-VIFPVBQESA-N 0 0 290.348 2.566 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000574348386 322689982 /nfs/dbraw/zinc/68/99/82/322689982.db2.gz JFHVVFPFESDVMP-AAEUAGOBSA-N 0 0 291.351 2.925 20 5 CFBDRN CC(C)(C)C(C)(C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000574744350 322703994 /nfs/dbraw/zinc/70/39/94/322703994.db2.gz QWUUDINZBQOSFD-UHFFFAOYSA-N 0 0 294.351 2.915 20 5 CFBDRN CCOCCN(CC)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000575429789 322726223 /nfs/dbraw/zinc/72/62/23/322726223.db2.gz BQEOYHZCXJINLC-UHFFFAOYSA-N 0 0 297.355 2.640 20 5 CFBDRN CCOc1cc(N2CCO[C@@H](CC)C2)ccc1[N+](=O)[O-] ZINC000178281477 323714192 /nfs/dbraw/zinc/71/41/92/323714192.db2.gz VJPBBFILMCHEDR-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN CC(C)CCNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182192802 323846274 /nfs/dbraw/zinc/84/62/74/323846274.db2.gz XJWBOCFFKGEPSB-SNVBAGLBSA-N 0 0 282.315 3.000 20 5 CFBDRN C[C@@H](C(=O)Nc1ccccn1)c1ccc([N+](=O)[O-])cc1F ZINC000184808621 323954230 /nfs/dbraw/zinc/95/42/30/323954230.db2.gz PATDMIKJRHOZFZ-SECBINFHSA-N 0 0 289.266 2.871 20 5 CFBDRN Cc1cc(=O)n(Cc2cc(Cl)ccc2F)cc1[N+](=O)[O-] ZINC000189069331 324024798 /nfs/dbraw/zinc/02/47/98/324024798.db2.gz WUANQIQVIALDAB-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CSC1(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)CC1 ZINC000189079803 324025147 /nfs/dbraw/zinc/02/51/47/324025147.db2.gz FFKVVHUWVXSGJI-UHFFFAOYSA-N 0 0 292.360 2.770 20 5 CFBDRN Cc1cccc(OCCOCC(F)F)c1[N+](=O)[O-] ZINC000189330487 324027629 /nfs/dbraw/zinc/02/76/29/324027629.db2.gz GMCOHRPLQHWKSF-UHFFFAOYSA-N 0 0 261.224 2.564 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(Cl)c(F)c2)cn1 ZINC000189829313 324034426 /nfs/dbraw/zinc/03/44/26/324034426.db2.gz ZYPNNEGTKPEUMY-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2nncn2-c2ccccc2)c1 ZINC000191576557 324051790 /nfs/dbraw/zinc/05/17/90/324051790.db2.gz BNOLEQIQUBSUJP-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CCN(C(=O)c1ccc(SC)c([N+](=O)[O-])c1)C1CC1 ZINC000192961438 324067145 /nfs/dbraw/zinc/06/71/45/324067145.db2.gz NXCHYAHMLZMIOE-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C[C@@H]1C=CCC1 ZINC000193586894 324073820 /nfs/dbraw/zinc/07/38/20/324073820.db2.gz KQARPCOHMSIFFB-LLVKDONJSA-N 0 0 290.319 2.576 20 5 CFBDRN Cc1cc(NC(=O)NCCCOC(C)C)ccc1[N+](=O)[O-] ZINC000193559505 324074332 /nfs/dbraw/zinc/07/43/32/324074332.db2.gz LYPGAXYRMOCUAL-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CC[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000193631012 324075101 /nfs/dbraw/zinc/07/51/01/324075101.db2.gz GLTGGCJYDPLDQS-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@H](C)N(C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000193945126 324079189 /nfs/dbraw/zinc/07/91/89/324079189.db2.gz SRZZJNLFKDLOFP-JTQLQIEISA-N 0 0 295.339 2.543 20 5 CFBDRN C/C=C\C[C@H](CO)[NH2+][C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000349384291 324170534 /nfs/dbraw/zinc/17/05/34/324170534.db2.gz WSRNHNJMMZJXDH-BOYPOZMQSA-N 0 0 264.325 2.573 20 5 CFBDRN Cc1nc(N(C)C2Cc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000349400546 324171438 /nfs/dbraw/zinc/17/14/38/324171438.db2.gz CYWNMTYGIHNTSC-UHFFFAOYSA-N 0 0 283.331 2.902 20 5 CFBDRN Cc1nsc(C)c1-c1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000350561304 324231071 /nfs/dbraw/zinc/23/10/71/324231071.db2.gz XUBGSLCCWKCVOT-UHFFFAOYSA-N 0 0 291.292 2.713 20 5 CFBDRN Cc1cc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)on1 ZINC000350595327 324239954 /nfs/dbraw/zinc/23/99/54/324239954.db2.gz MCFBHXGTJOOTGK-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(-c3ccoc3)no2)c1 ZINC000350818408 324262792 /nfs/dbraw/zinc/26/27/92/324262792.db2.gz BIUSYHHCNGKTNM-UHFFFAOYSA-N 0 0 271.232 2.829 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CCCOC1 ZINC000350826461 324264128 /nfs/dbraw/zinc/26/41/28/324264128.db2.gz WVZXTSNYNHBJDW-LBPRGKRZSA-N 0 0 295.320 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3ccoc3)n2)s1 ZINC000350840296 324266244 /nfs/dbraw/zinc/26/62/44/324266244.db2.gz UINLEVQCVWGJJH-UHFFFAOYSA-N 0 0 263.234 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3CCCOC3)no2)s1 ZINC000350857362 324271118 /nfs/dbraw/zinc/27/11/18/324271118.db2.gz YNTMLTGZIGRKIW-ZETCQYMHSA-N 0 0 281.293 2.600 20 5 CFBDRN CCc1ccc(-c2nc(-c3cocn3)no2)cc1[N+](=O)[O-] ZINC000350874071 324273157 /nfs/dbraw/zinc/27/31/57/324273157.db2.gz QQKJMYUTKRNUPK-UHFFFAOYSA-N 0 0 286.247 2.862 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(CC2CCCCC2)n1 ZINC000350887630 324278667 /nfs/dbraw/zinc/27/86/67/324278667.db2.gz CTKNQCBEOABWPN-UHFFFAOYSA-N 0 0 291.311 2.501 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc(C3(C)CC3)n2)c1 ZINC000350899146 324279449 /nfs/dbraw/zinc/27/94/49/324279449.db2.gz JRFVSHBZJJIGQB-UHFFFAOYSA-N 0 0 289.291 2.629 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(-c2cncs2)no1 ZINC000350909249 324281040 /nfs/dbraw/zinc/28/10/40/324281040.db2.gz KLAWMIRHFXNLKC-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN CCC(CC)Cc1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350928266 324284181 /nfs/dbraw/zinc/28/41/81/324284181.db2.gz RWOADHOTQDUZSW-UHFFFAOYSA-N 0 0 293.327 2.665 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@@H]3CC[C@@H](C)C3)n2)n1C ZINC000350925436 324284304 /nfs/dbraw/zinc/28/43/04/324284304.db2.gz KETRAYNRDISODN-VXNVDRBHSA-N 0 0 291.311 2.590 20 5 CFBDRN CCSCCCc1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000350989480 324292164 /nfs/dbraw/zinc/29/21/64/324292164.db2.gz DZDKDTPCLVRFJE-UHFFFAOYSA-N 0 0 296.352 2.669 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(/C=C/[C@@H]3CCOC3)n2)c1 ZINC000351052288 324299833 /nfs/dbraw/zinc/29/98/33/324299833.db2.gz MJPVRBITYZXZQR-ORAHPGNNSA-N 0 0 287.275 2.695 20 5 CFBDRN COc1cc(-c2nc([C@@H]3C[C@H]3C)no2)c([N+](=O)[O-])cc1F ZINC000351081394 324306106 /nfs/dbraw/zinc/30/61/06/324306106.db2.gz KPCACFHDWCJNHN-RNFRBKRXSA-N 0 0 293.254 2.916 20 5 CFBDRN C[C@@H]1CC=C(c2nc(-c3c([N+](=O)[O-])ncn3C)no2)CC1 ZINC000351104642 324312499 /nfs/dbraw/zinc/31/24/99/324312499.db2.gz MSJKVLICSFRDBI-MRVPVSSYSA-N 0 0 289.295 2.582 20 5 CFBDRN CO[C@H](C)CCc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351140678 324324177 /nfs/dbraw/zinc/32/41/77/324324177.db2.gz BVPYBEWNMLXUGY-SECBINFHSA-N 0 0 291.307 2.921 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C[C@H](C)O1 ZINC000351143201 324325783 /nfs/dbraw/zinc/32/57/83/324325783.db2.gz FSIXOWUZUBZDSY-AYMMMOKOSA-N 0 0 293.279 2.909 20 5 CFBDRN Cc1cc(-c2noc([C@H]3CCO[C@H]3C)n2)cc([N+](=O)[O-])c1 ZINC000351142728 324326098 /nfs/dbraw/zinc/32/60/98/324326098.db2.gz FGLVJTVBBJORSM-CABZTGNLSA-N 0 0 289.291 2.846 20 5 CFBDRN Cc1c(-c2noc(COCC3CC3)n2)cccc1[N+](=O)[O-] ZINC000351142742 324326133 /nfs/dbraw/zinc/32/61/33/324326133.db2.gz FIDWIZHYHVFCAQ-UHFFFAOYSA-N 0 0 289.291 2.880 20 5 CFBDRN Cc1c(-c2noc([C@H]3CCO[C@@H]3C)n2)cccc1[N+](=O)[O-] ZINC000351145717 324326932 /nfs/dbraw/zinc/32/69/32/324326932.db2.gz KGCFFFGZSPHQPT-KOLCDFICSA-N 0 0 289.291 2.846 20 5 CFBDRN COCCCc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351147844 324328240 /nfs/dbraw/zinc/32/82/40/324328240.db2.gz PGLITGWGYSMLPF-UHFFFAOYSA-N 0 0 277.280 2.532 20 5 CFBDRN COCCC[C@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351154014 324331363 /nfs/dbraw/zinc/33/13/63/324331363.db2.gz YUDWWGWWMVWEKL-QMMMGPOBSA-N 0 0 281.268 2.768 20 5 CFBDRN COCC(C)(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000351181812 324341081 /nfs/dbraw/zinc/34/10/81/324341081.db2.gz JQNHYURNZRRHIS-UHFFFAOYSA-N 0 0 291.307 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CC34CC4)n2)cc1 ZINC000351290303 324372360 /nfs/dbraw/zinc/37/23/60/324372360.db2.gz LRMMBZRTBZDDMD-SNVBAGLBSA-N 0 0 257.249 2.912 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1-c1nc([C@@H]2CCOC2)no1 ZINC000351320830 324383387 /nfs/dbraw/zinc/38/33/87/324383387.db2.gz GNPYACBEDFMRNS-SNVBAGLBSA-N 0 0 289.291 2.766 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CC[C@@H]2C)c1 ZINC000381829658 324423442 /nfs/dbraw/zinc/42/34/42/324423442.db2.gz IVJPCFVHHISULV-WCBMZHEXSA-N 0 0 264.281 2.592 20 5 CFBDRN C[C@@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1cccc(O)c1 ZINC000383477074 324439756 /nfs/dbraw/zinc/43/97/56/324439756.db2.gz MWTMUSQHGQQLKJ-SECBINFHSA-N 0 0 298.302 2.772 20 5 CFBDRN CC(C)(CNc1ccc(C(N)=O)cc1[N+](=O)[O-])C1CCC1 ZINC000385258815 324458493 /nfs/dbraw/zinc/45/84/93/324458493.db2.gz YCLDSSUYUOOUOG-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1ncc(C)cc1[N+](=O)[O-])C1CC1 ZINC000388364661 324505541 /nfs/dbraw/zinc/50/55/41/324505541.db2.gz UVFHBRXBULDVOP-SKDRFNHKSA-N 0 0 265.313 2.524 20 5 CFBDRN Cc1nnc(CNc2ccc([N+](=O)[O-])cc2F)s1 ZINC000391993585 324527763 /nfs/dbraw/zinc/52/77/63/324527763.db2.gz UTZQOGJQFMGZGR-UHFFFAOYSA-N 0 0 268.273 2.506 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000398870901 324552573 /nfs/dbraw/zinc/55/25/73/324552573.db2.gz DJSLRXMSDMZEOG-UMNHJUIQSA-N 0 0 296.754 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC2)c(F)c1N1CCOCC1 ZINC000575934993 324558466 /nfs/dbraw/zinc/55/84/66/324558466.db2.gz AYMBFPBPCLIIEG-UHFFFAOYSA-N 0 0 295.314 2.535 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H](c3cccnc3)C2)n1 ZINC000577369259 324728960 /nfs/dbraw/zinc/72/89/60/324728960.db2.gz ZLDLQHWXAUKKKH-CQSZACIVSA-N 0 0 298.346 2.996 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])c2cnccc21)[C@@H]1CCOC1 ZINC000577451150 324738311 /nfs/dbraw/zinc/73/83/11/324738311.db2.gz PXCURSQYGGZKKX-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)=CCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000577810252 324782030 /nfs/dbraw/zinc/78/20/30/324782030.db2.gz XHEDCWLMFNOQTE-LLVKDONJSA-N 0 0 262.309 2.781 20 5 CFBDRN CN(c1c(Cl)cccc1[N+](=O)[O-])C1CCOCC1 ZINC000578230201 324830508 /nfs/dbraw/zinc/83/05/08/324830508.db2.gz DGNXELLNJBDVFS-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@H](c2cccnc2)C1 ZINC000578238526 324831505 /nfs/dbraw/zinc/83/15/05/324831505.db2.gz DIRKZGZKIWOMPV-AWEZNQCLSA-N 0 0 297.314 2.620 20 5 CFBDRN CCC[C@@H](CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000579556422 324959345 /nfs/dbraw/zinc/95/93/45/324959345.db2.gz JYCNUDHXMHMHBG-FZMZJTMJSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000579846572 324985408 /nfs/dbraw/zinc/98/54/08/324985408.db2.gz VVDLQHOYEKKUIK-UWVGGRQHSA-N 0 0 298.289 2.798 20 5 CFBDRN CCn1ccnc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000580628245 325051952 /nfs/dbraw/zinc/05/19/52/325051952.db2.gz MKQWEKXAMMUODQ-JTQLQIEISA-N 0 0 288.307 2.553 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H](F)C1 ZINC000580716261 325058538 /nfs/dbraw/zinc/05/85/38/325058538.db2.gz IROLQUWOWYEDKK-VXGBXAGGSA-N 0 0 280.299 2.534 20 5 CFBDRN CCO[C@@H](CC)C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000580808138 325065303 /nfs/dbraw/zinc/06/53/03/325065303.db2.gz CCXNRHKCLHVCBP-ZDUSSCGKSA-N 0 0 280.324 2.911 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1(F)F ZINC000580988067 325080218 /nfs/dbraw/zinc/08/02/18/325080218.db2.gz QDWHKXQZEBDMIT-SSDOTTSWSA-N 0 0 289.257 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CNc2c[nH]cn2)c1 ZINC000581163237 325095771 /nfs/dbraw/zinc/09/57/71/325095771.db2.gz KLVNUQRGEVQXCR-UHFFFAOYSA-N 0 0 284.222 2.531 20 5 CFBDRN CCO[C@@H](CC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000581232695 325102108 /nfs/dbraw/zinc/10/21/08/325102108.db2.gz ACDNTMYBZJWVJI-GWCFXTLKSA-N 0 0 280.324 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H]2CCCN(c3cccnn3)C2)cc1 ZINC000581291648 325107214 /nfs/dbraw/zinc/10/72/14/325107214.db2.gz WYVAWRXIAIBJDX-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCO[C@H](C3CCC3)C2)c(Cl)c1 ZINC000581772873 325149728 /nfs/dbraw/zinc/14/97/28/325149728.db2.gz NQGBWWIOJZMXIQ-LBPRGKRZSA-N 0 0 297.742 2.649 20 5 CFBDRN C[C@]12CN(c3ccc([N+](=O)[O-])nc3)C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000582341774 325196565 /nfs/dbraw/zinc/19/65/65/325196565.db2.gz JSHSWWPYLUWECE-REJLFOLJSA-N 0 0 273.336 2.862 20 5 CFBDRN O=c1oc(-c2ccccc2[N+](=O)[O-])nn1[C@H]1C=CCCC1 ZINC000582359309 325198180 /nfs/dbraw/zinc/19/81/80/325198180.db2.gz FYGVQYJZIIXXTE-JTQLQIEISA-N 0 0 287.275 2.693 20 5 CFBDRN C[C@H]1C[C@H]1c1ccc(CNc2ncc([N+](=O)[O-])s2)o1 ZINC000582399266 325201255 /nfs/dbraw/zinc/20/12/55/325201255.db2.gz GNRCPPOWNIUELS-IONNQARKSA-N 0 0 279.321 2.802 20 5 CFBDRN Cc1cncc(CNC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000582759794 325231227 /nfs/dbraw/zinc/23/12/27/325231227.db2.gz GGXSUFMFVGQKHI-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN CC(C)Oc1nc(NC[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000583035817 325255544 /nfs/dbraw/zinc/25/55/44/325255544.db2.gz XPLWBGYZQQHTCP-SNVBAGLBSA-N 0 0 297.380 2.506 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2scnc2C)c1 ZINC000583144073 325263459 /nfs/dbraw/zinc/26/34/59/325263459.db2.gz OHBVPCXLJZNKSZ-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN Cc1cc(NC(=O)C[C@@H](C)n2ccnc2)ccc1[N+](=O)[O-] ZINC000583206313 325268967 /nfs/dbraw/zinc/26/89/67/325268967.db2.gz IESLKWURGZVZOE-LLVKDONJSA-N 0 0 288.307 2.690 20 5 CFBDRN COC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000583302152 325276437 /nfs/dbraw/zinc/27/64/37/325276437.db2.gz YEZOKGZVSJYKGK-CYBMUJFWSA-N 0 0 295.339 2.696 20 5 CFBDRN CC(C)N(CC1CCC1)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000583543536 325294799 /nfs/dbraw/zinc/29/47/99/325294799.db2.gz JNVDZBVCVDBJRH-UHFFFAOYSA-N 0 0 277.324 2.641 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCC2CCOCC2)c1 ZINC000583693090 325304127 /nfs/dbraw/zinc/30/41/27/325304127.db2.gz XOZLCRNXJXKNFQ-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000584117931 325332231 /nfs/dbraw/zinc/33/22/31/325332231.db2.gz ZZUZAPHWAKKKQA-TVQRCGJNSA-N 0 0 292.339 2.680 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000584242555 325340819 /nfs/dbraw/zinc/34/08/19/325340819.db2.gz XYODGAVBSNXGHP-YGRLFVJLSA-N 0 0 292.335 2.607 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)C[C@H]1CCCCO1 ZINC000584241936 325340905 /nfs/dbraw/zinc/34/09/05/325340905.db2.gz AEQKNYFLYMPBSW-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1nc(N[C@H]2CCCC(F)(F)C2)ncc1[N+](=O)[O-] ZINC000584272899 325342459 /nfs/dbraw/zinc/34/24/59/325342459.db2.gz HDSZMJCQQHDDJE-QMMMGPOBSA-N 0 0 272.255 2.683 20 5 CFBDRN Cc1ccc(NC(=O)CN2CCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000584325448 325346261 /nfs/dbraw/zinc/34/62/61/325346261.db2.gz HNRJEOYWVCLALL-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN C[C@@H](C(=O)N1CCCSCC1)c1cccc([N+](=O)[O-])c1 ZINC000584444517 329469576 /nfs/dbraw/zinc/46/95/76/329469576.db2.gz RMFLQTVIEASVDP-LLVKDONJSA-N 0 0 294.376 2.664 20 5 CFBDRN Cc1cccc(CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000584508331 329506124 /nfs/dbraw/zinc/50/61/24/329506124.db2.gz SNVUIBDLOKZASF-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN O=c1ccc(-c2nc3cc4ccccc4cc3c(=O)[nH]2)c[nH]1 ZINC000492248268 332389120 /nfs/dbraw/zinc/38/91/20/332389120.db2.gz LHEMIWVIHNRFQU-LBPRGKRZSA-N 0 0 289.294 2.739 20 5 CFBDRN O=C(NCCCF)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000548407014 334154748 /nfs/dbraw/zinc/15/47/48/334154748.db2.gz ACWJNMZASVYXOF-UHFFFAOYSA-N 0 0 298.317 2.771 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000334415213 519825558 /nfs/dbraw/zinc/82/55/58/519825558.db2.gz MWZWFGUYCZANTN-LLVKDONJSA-N 0 0 266.272 2.604 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000334234791 519825559 /nfs/dbraw/zinc/82/55/59/519825559.db2.gz GUPCFESKHRPCDH-GFCCVEGCSA-N 0 0 266.272 2.604 20 5 CFBDRN Cc1cc(NC[C@H](CO)C(C)C)c([N+](=O)[O-])s1 ZINC000596231101 500963383 /nfs/dbraw/zinc/96/33/83/500963383.db2.gz IIKHBTFVARVKBZ-SECBINFHSA-N 0 0 258.343 2.641 20 5 CFBDRN O=C(NCc1ccc(C(F)F)cc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000361253795 501058540 /nfs/dbraw/zinc/05/85/40/501058540.db2.gz XKIJYCGNXOFVMX-UHFFFAOYSA-N 0 0 295.245 2.791 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(CCO)CCCC2)c(Cl)c1 ZINC000266683636 501083730 /nfs/dbraw/zinc/08/37/30/501083730.db2.gz BNWCRFUPSWBEMK-UHFFFAOYSA-N 0 0 299.758 2.998 20 5 CFBDRN CCC[C@@](C)(O)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000228767047 520938912 /nfs/dbraw/zinc/93/89/12/520938912.db2.gz OPSLGENKOAZLGP-CYBMUJFWSA-N 0 0 252.314 2.866 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@@H]2C[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000413444263 533769752 /nfs/dbraw/zinc/76/97/52/533769752.db2.gz WSYJISFXSFNLAX-GXSJLCMTSA-N 0 0 293.323 2.541 20 5 CFBDRN Cc1c(CC(=O)NC[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000178726797 533833402 /nfs/dbraw/zinc/83/34/02/533833402.db2.gz REQKTAHEUJKNBH-NSHDSACASA-N 0 0 278.352 2.854 20 5 CFBDRN C[C@@H]1C[C@H]1N(Cc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000411927727 534032187 /nfs/dbraw/zinc/03/21/87/534032187.db2.gz SUOICDJJPRMGOH-DGCLKSJQSA-N 0 0 286.335 2.743 20 5 CFBDRN COc1ccc(NC(=O)C2=CCCC2)c([N+](=O)[O-])c1 ZINC000177752423 534058953 /nfs/dbraw/zinc/05/89/53/534058953.db2.gz FTPUGOWTHFUNHN-UHFFFAOYSA-N 0 0 262.265 2.652 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000152775919 534179101 /nfs/dbraw/zinc/17/91/01/534179101.db2.gz QTTXBCZYXMDGPK-ZANVPECISA-N 0 0 280.299 2.581 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000425139101 534186806 /nfs/dbraw/zinc/18/68/06/534186806.db2.gz LMKVIWLFMDJEND-JGPRNRPPSA-N 0 0 292.360 2.845 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)NCc2ccccc2[N+](=O)[O-])O1 ZINC000175502060 534234384 /nfs/dbraw/zinc/23/43/84/534234384.db2.gz VQNYZFOCMZJDRD-DGCLKSJQSA-N 0 0 292.335 2.559 20 5 CFBDRN COC(=O)[C@@H](CC1CC1)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000413500962 534252043 /nfs/dbraw/zinc/25/20/43/534252043.db2.gz OYWXXLIICYDNTC-GFCCVEGCSA-N 0 0 296.298 2.796 20 5 CFBDRN CSCCn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000272455323 518174326 /nfs/dbraw/zinc/17/43/26/518174326.db2.gz NFAGUQMSBSJCSE-UHFFFAOYSA-N 0 0 251.311 2.616 20 5 CFBDRN O=C(CCC1CCOCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000170230228 534337914 /nfs/dbraw/zinc/33/79/14/534337914.db2.gz PSQXLKUHGPVBFE-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@@H]1C[C@@H](C)O ZINC000361076351 518411065 /nfs/dbraw/zinc/41/10/65/518411065.db2.gz XVHGPMAGAIYJKW-ZYHUDNBSSA-N 0 0 292.335 2.537 20 5 CFBDRN CC(C)(C)C(=O)CSc1ccc([N+](=O)[O-])cn1 ZINC000177506318 518555600 /nfs/dbraw/zinc/55/56/00/518555600.db2.gz OTMXRTVHECERSC-UHFFFAOYSA-N 0 0 254.311 2.697 20 5 CFBDRN CC(C)(C)CNC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000341516887 518665077 /nfs/dbraw/zinc/66/50/77/518665077.db2.gz WPAUBUHCZSMERT-UHFFFAOYSA-N 0 0 286.278 2.578 20 5 CFBDRN CC(C)(C(=O)Nc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000355828939 534381544 /nfs/dbraw/zinc/38/15/44/534381544.db2.gz CIQSDFOFRQEDOQ-UHFFFAOYSA-N 0 0 285.303 2.906 20 5 CFBDRN CC(C)(C)n1nnnc1CSc1cccc([N+](=O)[O-])c1 ZINC000337909257 519183342 /nfs/dbraw/zinc/18/33/42/519183342.db2.gz GLXQPRLUZPFLTR-UHFFFAOYSA-N 0 0 293.352 2.629 20 5 CFBDRN CC(C)(CCO)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000227918727 519221637 /nfs/dbraw/zinc/22/16/37/519221637.db2.gz QZHFUWRWWYHPDQ-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN O=C(Nc1ccnn1-c1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000174191452 534426994 /nfs/dbraw/zinc/42/69/94/534426994.db2.gz YULHUPYLBSEFOC-UHFFFAOYSA-N 0 0 298.258 2.626 20 5 CFBDRN CC(C)CCCNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000065866079 519639590 /nfs/dbraw/zinc/63/95/90/519639590.db2.gz UFLFCXWPSAEIFG-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN CC(C)CCCn1cc(Br)c([N+](=O)[O-])n1 ZINC000166012425 519649046 /nfs/dbraw/zinc/64/90/46/519649046.db2.gz MFOHKUAPGXRZPL-UHFFFAOYSA-N 0 0 276.134 2.990 20 5 CFBDRN CC(C)CN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C(C)C ZINC000050710450 519707016 /nfs/dbraw/zinc/70/70/16/519707016.db2.gz VSXDXQDZBHCCIM-UHFFFAOYSA-N 0 0 279.340 2.684 20 5 CFBDRN Cc1nc(N2C[C@@H](O)C[C@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000413498843 534450157 /nfs/dbraw/zinc/45/01/57/534450157.db2.gz HVVHDURZMZFVFA-ZFWWWQNUSA-N 0 0 299.330 2.611 20 5 CFBDRN CC(C)[C@H](Sc1cccc([N+](=O)[O-])c1)C(=O)N(C)C ZINC000337918022 519823957 /nfs/dbraw/zinc/82/39/57/519823957.db2.gz ULKLKZRQHUURKZ-LBPRGKRZSA-N 0 0 282.365 2.800 20 5 CFBDRN CCC1(CNc2nc(C(=O)OC)ccc2[N+](=O)[O-])CCC1 ZINC000232982688 519843645 /nfs/dbraw/zinc/84/36/45/519843645.db2.gz UDKQMEWJETZEAU-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CCC1(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000159442407 519844984 /nfs/dbraw/zinc/84/49/84/519844984.db2.gz WYINRFSNWZJWFM-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN CCCC(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000060859584 519876876 /nfs/dbraw/zinc/87/68/76/519876876.db2.gz QRRJXUAPFUUFML-UHFFFAOYSA-N 0 0 274.280 2.724 20 5 CFBDRN Cc1nc(NC(=O)Cc2ccc(F)cc2)ccc1[N+](=O)[O-] ZINC000175679680 534464278 /nfs/dbraw/zinc/46/42/78/534464278.db2.gz NSOPJQDFHPEYKT-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])c1ccncc1 ZINC000173022397 520062708 /nfs/dbraw/zinc/06/27/08/520062708.db2.gz UEMQGOICRBLYKG-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CCOC[C@@H](Nc1c([N+](=O)[O-])nc(C)n1CC)C(C)C ZINC000336836377 520090091 /nfs/dbraw/zinc/09/00/91/520090091.db2.gz KQFCGPHXWULKAM-LLVKDONJSA-N 0 0 284.360 2.593 20 5 CFBDRN CCOC[C@@H]1CCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000072977037 520115414 /nfs/dbraw/zinc/11/54/14/520115414.db2.gz HHLVRXILCCONQH-GFCCVEGCSA-N 0 0 296.342 2.982 20 5 CFBDRN CCOC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000191464692 520145788 /nfs/dbraw/zinc/14/57/88/520145788.db2.gz MHNJIHZDDSNNFQ-ZDUSSCGKSA-N 0 0 295.339 2.777 20 5 CFBDRN CCNc1ccc(C(=O)NC[C@H](C)CC)cc1[N+](=O)[O-] ZINC000054492465 520289813 /nfs/dbraw/zinc/28/98/13/520289813.db2.gz WXWRPUAIKLMONW-SNVBAGLBSA-N 0 0 279.340 2.803 20 5 CFBDRN CCO[C@@H](CCNc1c([N+](=O)[O-])ncn1C)C1CCCC1 ZINC000353486206 520304899 /nfs/dbraw/zinc/30/48/99/520304899.db2.gz GMGBENUQUDCWEG-LBPRGKRZSA-N 0 0 296.371 2.726 20 5 CFBDRN CCO[C@@H](CNc1ccncc1[N+](=O)[O-])c1ccccc1 ZINC000340886904 520310509 /nfs/dbraw/zinc/31/05/09/520310509.db2.gz XMCMKFZVXOASPF-HNNXBMFYSA-N 0 0 287.319 2.601 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC[C@H]1CCC(F)(F)C1 ZINC000420627705 534501884 /nfs/dbraw/zinc/50/18/84/534501884.db2.gz OLUMYJHLIAIXOP-QMMMGPOBSA-N 0 0 299.277 2.541 20 5 CFBDRN CC1(CNc2cccc(S(C)(=O)=O)c2[N+](=O)[O-])CCC1 ZINC000231562277 520737608 /nfs/dbraw/zinc/73/76/08/520737608.db2.gz BVTLVNGPFSJBNW-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN CCOc1cc(N[C@]2(C)CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000230098341 520765533 /nfs/dbraw/zinc/76/55/33/520765533.db2.gz FIZFCIQSQPVPQQ-QMTHXVAHSA-N 0 0 280.324 2.973 20 5 CFBDRN CCCCOCCOc1c(F)cccc1[N+](=O)[O-] ZINC000361670344 520792410 /nfs/dbraw/zinc/79/24/10/520792410.db2.gz OHCUTHJQVKARMC-UHFFFAOYSA-N 0 0 257.261 2.929 20 5 CFBDRN CCC[C@@H](O)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000336793402 520809418 /nfs/dbraw/zinc/80/94/18/520809418.db2.gz WPLFVTMXNFVNCC-SECBINFHSA-N 0 0 256.277 2.615 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000335333560 520886754 /nfs/dbraw/zinc/88/67/54/520886754.db2.gz KGKZJMPBRYQLGS-QMMMGPOBSA-N 0 0 266.322 2.695 20 5 CFBDRN CCC[C@@H]1C[C@H]1Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000231562021 520892696 /nfs/dbraw/zinc/89/26/96/520892696.db2.gz BGJIFBKCXOGCFF-MWLCHTKSSA-N 0 0 298.364 2.599 20 5 CFBDRN CCCC[C@@H](Sc1ccncc1[N+](=O)[O-])C(=O)OC ZINC000340848182 521107029 /nfs/dbraw/zinc/10/70/29/521107029.db2.gz GPNIJBAKXWJPGM-LLVKDONJSA-N 0 0 284.337 2.814 20 5 CFBDRN CCC[C@H](O)CNc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000226570502 521192535 /nfs/dbraw/zinc/19/25/35/521192535.db2.gz QOHQBVPXVVAMIX-NSHDSACASA-N 0 0 268.313 2.566 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H](C)C2)c1 ZINC000338454404 521280166 /nfs/dbraw/zinc/28/01/66/521280166.db2.gz KVQRDMBMXUHDJE-WDEREUQCSA-N 0 0 292.335 2.912 20 5 CFBDRN CCC(CC)(CNc1ccncc1[N+](=O)[O-])OC ZINC000310975163 521445003 /nfs/dbraw/zinc/44/50/03/521445003.db2.gz IUZPKRGEJUGDTL-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN CCCc1nc(COc2cc(F)ccc2[N+](=O)[O-])no1 ZINC000067096393 521519009 /nfs/dbraw/zinc/51/90/09/521519009.db2.gz XLRLSKHUFARFMM-UHFFFAOYSA-N 0 0 281.243 2.648 20 5 CFBDRN CCC(CC)NC(=O)[C@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418519 521592068 /nfs/dbraw/zinc/59/20/68/521592068.db2.gz AJNDNHYVOHYCNZ-VIFPVBQESA-N 0 0 297.380 2.775 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000334064028 521783489 /nfs/dbraw/zinc/78/34/89/521783489.db2.gz AQZPBDAQCIEEIR-QWRGUYRKSA-N 0 0 292.335 2.912 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCC1(F)CCC1 ZINC000340926196 521792374 /nfs/dbraw/zinc/79/23/74/521792374.db2.gz ZWQPFWBNHIBIRR-UHFFFAOYSA-N 0 0 295.314 2.649 20 5 CFBDRN CNC(=O)c1ccc(NC[C@@H]2CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000230696550 521848616 /nfs/dbraw/zinc/84/86/16/521848616.db2.gz JMMLFTLUNRHXAT-PWSUYJOCSA-N 0 0 291.351 2.803 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000162650126 521849874 /nfs/dbraw/zinc/84/98/74/521849874.db2.gz QUDVWCPVYNRGOM-NSHDSACASA-N 0 0 291.351 2.945 20 5 CFBDRN CC[C@@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049217415 521918868 /nfs/dbraw/zinc/91/88/68/521918868.db2.gz QLJKKFZSVXUVDU-NXEZZACHSA-N 0 0 250.298 2.818 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000174129849 522011765 /nfs/dbraw/zinc/01/17/65/522011765.db2.gz FYWAUEGPUPCXNM-VXGBXAGGSA-N 0 0 294.351 2.915 20 5 CFBDRN CCc1cnc(NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000081231912 522056864 /nfs/dbraw/zinc/05/68/64/522056864.db2.gz LSXPDFOYZUIPJH-UHFFFAOYSA-N 0 0 283.334 2.928 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC[C@@H]2F)cc([N+](=O)[O-])c1C ZINC000340856090 522135103 /nfs/dbraw/zinc/13/51/03/522135103.db2.gz UQTZWKPHPZTWAM-WDEREUQCSA-N 0 0 296.298 2.532 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000194170493 522354001 /nfs/dbraw/zinc/35/40/01/522354001.db2.gz KYJWTOXEXBUEHE-MNOVXSKESA-N 0 0 280.324 2.524 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000361845098 522400300 /nfs/dbraw/zinc/40/03/00/522400300.db2.gz YNBMTIIGAUAKID-RNCFNFMXSA-N 0 0 280.324 2.903 20 5 CFBDRN COc1cc(COc2c(F)cccc2[N+](=O)[O-])ccn1 ZINC000361669959 522500196 /nfs/dbraw/zinc/50/01/96/522500196.db2.gz MDJYEPTVKGGHHP-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CNc1c(C(=O)N2CC[C@@H](C(C)C)C2)cccc1[N+](=O)[O-] ZINC000162149178 522538432 /nfs/dbraw/zinc/53/84/32/522538432.db2.gz XJWMTQPMLFCFLA-LLVKDONJSA-N 0 0 291.351 2.755 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000158072929 522539297 /nfs/dbraw/zinc/53/92/97/522539297.db2.gz KMFAOAJOBGGWDN-AWEZNQCLSA-N 0 0 285.303 2.871 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000312365974 522539319 /nfs/dbraw/zinc/53/93/19/522539319.db2.gz AGTZTYWKWSGXMD-NSHDSACASA-N 0 0 277.324 2.555 20 5 CFBDRN CNc1c(C(=O)N[C@@H](C)CC(C)C)cccc1[N+](=O)[O-] ZINC000161433585 522539526 /nfs/dbraw/zinc/53/95/26/522539526.db2.gz HLTYGFNAORSENM-JTQLQIEISA-N 0 0 279.340 2.801 20 5 CFBDRN CNc1c(C(=O)NC[C@@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000230713926 522540202 /nfs/dbraw/zinc/54/02/02/522540202.db2.gz BQHVNGQMOVPDMQ-QWRGUYRKSA-N 0 0 291.351 2.803 20 5 CFBDRN CN(CC(F)F)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000339927351 522578340 /nfs/dbraw/zinc/57/83/40/522578340.db2.gz RNTLEVALQMQWJO-UHFFFAOYSA-N 0 0 286.278 2.596 20 5 CFBDRN CNc1ccc(C(=O)NC2(C)CCCC2)cc1[N+](=O)[O-] ZINC000049123516 522586063 /nfs/dbraw/zinc/58/60/63/522586063.db2.gz ZTIUYXNYSBNSQF-UHFFFAOYSA-N 0 0 277.324 2.699 20 5 CFBDRN CNc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2C)cn1 ZINC000234498796 522599784 /nfs/dbraw/zinc/59/97/84/522599784.db2.gz UWUZFTMHOTWYTE-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CCc1nn(C)c(N[C@@H](C)c2cncs2)c1[N+](=O)[O-] ZINC000340843577 522669927 /nfs/dbraw/zinc/66/99/27/522669927.db2.gz AVPSJMPUTBJKND-ZETCQYMHSA-N 0 0 281.341 2.520 20 5 CFBDRN CCc1nn(C)c(N[C@H]2C[C@@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000091562314 522670403 /nfs/dbraw/zinc/67/04/03/522670403.db2.gz RHTZKYMESZNRRX-YPMHNXCESA-N 0 0 286.335 2.859 20 5 CFBDRN CCc1nn(C)c(Oc2ccc3c[nH]nc3c2)c1[N+](=O)[O-] ZINC000360994409 522673724 /nfs/dbraw/zinc/67/37/24/522673724.db2.gz IHXCXTOAGVISRW-UHFFFAOYSA-N 0 0 287.279 2.559 20 5 CFBDRN COC1CCC(Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000129933317 522691586 /nfs/dbraw/zinc/69/15/86/522691586.db2.gz FERSHCVTHRUBNE-UHFFFAOYSA-N 0 0 250.298 2.964 20 5 CFBDRN C[N@H+](CCCC(=O)[O-])Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000050444748 522724141 /nfs/dbraw/zinc/72/41/41/522724141.db2.gz JFZHLRGIBZSPHK-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN COc1cc(NCCc2ccco2)c([N+](=O)[O-])cc1OC ZINC000159219133 522746125 /nfs/dbraw/zinc/74/61/25/522746125.db2.gz RHTVPZRMRQIMGQ-UHFFFAOYSA-N 0 0 292.291 2.860 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])nc(C)n1CC)C1CCOCC1 ZINC000360991187 522763083 /nfs/dbraw/zinc/76/30/83/522763083.db2.gz RCFCAXYDPUCDEV-LBPRGKRZSA-N 0 0 296.371 2.737 20 5 CFBDRN COc1cc(N[C@H](CO)CC2CCC2)c(F)cc1[N+](=O)[O-] ZINC000360108117 522763856 /nfs/dbraw/zinc/76/38/56/522763856.db2.gz NXOXFZONYYZABW-JTQLQIEISA-N 0 0 298.314 2.706 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1ncc[nH]1 ZINC000221224859 522778726 /nfs/dbraw/zinc/77/87/26/522778726.db2.gz BIQGYEBRIQCSPK-VIFPVBQESA-N 0 0 281.703 2.930 20 5 CFBDRN CC[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccncc1 ZINC000172878459 522801086 /nfs/dbraw/zinc/80/10/86/522801086.db2.gz LRYFBGASNQBJOK-LLVKDONJSA-N 0 0 291.332 2.932 20 5 CFBDRN COCC1(CCNc2ccc([N+](=O)[O-])nc2)CCC1 ZINC000338736026 522867594 /nfs/dbraw/zinc/86/75/94/522867594.db2.gz KUVLWFXIAWLPFK-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN COc1cc(OC)cc(Oc2ccc([N+](=O)[O-])nc2)c1 ZINC000339963285 522874432 /nfs/dbraw/zinc/87/44/32/522874432.db2.gz HPFCOLKRFIGSEA-UHFFFAOYSA-N 0 0 276.248 2.799 20 5 CFBDRN CN(CCc1cccs1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000173335507 522973369 /nfs/dbraw/zinc/97/33/69/522973369.db2.gz OCDMXZSUTFTSOX-UHFFFAOYSA-N 0 0 290.344 2.971 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC[C@H](C)C1 ZINC000156828710 522976217 /nfs/dbraw/zinc/97/62/17/522976217.db2.gz XJEXLRBRQYWBJO-VHSXEESVSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050710214 523041463 /nfs/dbraw/zinc/04/14/63/523041463.db2.gz HEVLJKCWOWCBRG-HZMBPMFUSA-N 0 0 291.351 2.876 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340756153 523059546 /nfs/dbraw/zinc/05/95/46/523059546.db2.gz KGEWBWCGTMLXLH-VHSXEESVSA-N 0 0 265.313 2.622 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)C1CCC(OC)CC1 ZINC000360901750 523141487 /nfs/dbraw/zinc/14/14/87/523141487.db2.gz OSWBORUBLLBTFG-UHFFFAOYSA-N 0 0 296.371 2.513 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CC[C@H](C)C1 ZINC000336793011 523146852 /nfs/dbraw/zinc/14/68/52/523146852.db2.gz VBULLLLXJZBXDT-WCBMZHEXSA-N 0 0 252.318 2.720 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)Cc1ccncc1 ZINC000360984225 523146954 /nfs/dbraw/zinc/14/69/54/523146954.db2.gz IOILSEKOIQSELW-SNVBAGLBSA-N 0 0 289.339 2.558 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340756155 523196693 /nfs/dbraw/zinc/19/66/93/523196693.db2.gz KGEWBWCGTMLXLH-ZJUUUORDSA-N 0 0 265.313 2.622 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000336469064 523264792 /nfs/dbraw/zinc/26/47/92/523264792.db2.gz JYPNVQCNEGCTSE-SECBINFHSA-N 0 0 266.272 2.749 20 5 CFBDRN COCc1noc([C@H](C)Sc2cccc([N+](=O)[O-])c2)n1 ZINC000337905498 523277182 /nfs/dbraw/zinc/27/71/82/523277182.db2.gz BJYUZDDJOOHCJJ-QMMMGPOBSA-N 0 0 295.320 2.978 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000354267903 523278278 /nfs/dbraw/zinc/27/82/78/523278278.db2.gz JYMHKHNCJAQKBA-NXEZZACHSA-N 0 0 265.313 2.622 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CC(C)(C)C ZINC000193225346 523387325 /nfs/dbraw/zinc/38/73/25/523387325.db2.gz WLALYNFGFURZSE-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN COCCC[C@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360515749 523480014 /nfs/dbraw/zinc/48/00/14/523480014.db2.gz PYKDLIFQCMEVLL-NSHDSACASA-N 0 0 294.351 2.582 20 5 CFBDRN COCCC[C@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000182508447 523488216 /nfs/dbraw/zinc/48/82/16/523488216.db2.gz BHFDQOUUARZRSR-NSHDSACASA-N 0 0 281.308 2.871 20 5 CFBDRN COc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000060848048 523606730 /nfs/dbraw/zinc/60/67/30/523606730.db2.gz XYBHXTGPGCDITR-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000340884835 523625836 /nfs/dbraw/zinc/62/58/36/523625836.db2.gz OLBLYXTYOKXYCF-ZWNOBZJWSA-N 0 0 293.323 2.531 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(CC)(CC)C1 ZINC000338734884 523666169 /nfs/dbraw/zinc/66/61/69/523666169.db2.gz WHTUMSDYRUGXDT-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC(C)(C)[C@@H](O)C(C)C ZINC000192718633 523668138 /nfs/dbraw/zinc/66/81/38/523668138.db2.gz RISPPRISIHKJRZ-LBPRGKRZSA-N 0 0 298.387 2.575 20 5 CFBDRN COc1ccc(C(=O)Nc2cccnc2C)cc1[N+](=O)[O-] ZINC000073356758 523760405 /nfs/dbraw/zinc/76/04/05/523760405.db2.gz NPQQFTACNGUBBF-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CO[C@@]1(C)C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1(C)C ZINC000174832381 523813607 /nfs/dbraw/zinc/81/36/07/523813607.db2.gz QKUBZRTZRDOXEO-WFASDCNBSA-N 0 0 292.335 2.528 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000356715394 523895563 /nfs/dbraw/zinc/89/55/63/523895563.db2.gz AJTJTUQARKNRMM-CABZTGNLSA-N 0 0 262.309 2.686 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1c([N+](=O)[O-])c(C)nn1C ZINC000088298823 523902371 /nfs/dbraw/zinc/90/23/71/523902371.db2.gz ONRMRZGIJRMKKH-IUCAKERBSA-N 0 0 254.334 2.873 20 5 CFBDRN CN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)c1ccc(F)cc1 ZINC000048550954 523935730 /nfs/dbraw/zinc/93/57/30/523935730.db2.gz ZDTCZGBAUBYONJ-UHFFFAOYSA-N 0 0 289.266 2.593 20 5 CFBDRN CCc1ccc(COc2ncc(C)cc2[N+](=O)[O-])nc1 ZINC000338554760 523949930 /nfs/dbraw/zinc/94/99/30/523949930.db2.gz MLBLDGGFUUFMJQ-UHFFFAOYSA-N 0 0 273.292 2.835 20 5 CFBDRN COCCOCCCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000080609610 523992546 /nfs/dbraw/zinc/99/25/46/523992546.db2.gz JVXDRXYXLHEKSV-UHFFFAOYSA-N 0 0 282.340 2.758 20 5 CFBDRN CO[C@H]1CC[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000189448292 524002972 /nfs/dbraw/zinc/00/29/72/524002972.db2.gz LGUGVNGNATUBGP-QWRGUYRKSA-N 0 0 293.323 2.592 20 5 CFBDRN COc1ccc(CN(C)Cc2cccn2C)cc1[N+](=O)[O-] ZINC000156951942 524005605 /nfs/dbraw/zinc/00/56/05/524005605.db2.gz YLHWPDLARKBPGR-UHFFFAOYSA-N 0 0 289.335 2.574 20 5 CFBDRN COc1ccc(CS[C@@H](C)[C@@H](C)O)cc1[N+](=O)[O-] ZINC000079066733 524125145 /nfs/dbraw/zinc/12/51/45/524125145.db2.gz KFJUACOQIWBWSN-BDAKNGLRSA-N 0 0 271.338 2.606 20 5 CFBDRN COc1ccc(CS[C@H](C)[C@@H](C)O)cc1[N+](=O)[O-] ZINC000079066716 524127137 /nfs/dbraw/zinc/12/71/37/524127137.db2.gz KFJUACOQIWBWSN-RKDXNWHRSA-N 0 0 271.338 2.606 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H](F)C1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412344958 534760625 /nfs/dbraw/zinc/76/06/25/534760625.db2.gz YOVVSWLYGWSHHK-MHDGFBEUSA-N 0 0 292.310 2.705 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@H](C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000335999855 524137099 /nfs/dbraw/zinc/13/70/99/524137099.db2.gz NSUURFBGXPWLDU-VHSXEESVSA-N 0 0 280.299 2.706 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000340034625 524160096 /nfs/dbraw/zinc/16/00/96/524160096.db2.gz WLZKMPCINQJZPJ-WCQYABFASA-N 0 0 276.336 2.690 20 5 CFBDRN O=C(N[C@@H]1CC[C@H](F)C1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412344961 534766271 /nfs/dbraw/zinc/76/62/71/534766271.db2.gz YOVVSWLYGWSHHK-UZGDPCLZSA-N 0 0 292.310 2.705 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000334803061 524208540 /nfs/dbraw/zinc/20/85/40/524208540.db2.gz LEMPQTKONAQDCY-UWVGGRQHSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cc(C)c(C(=O)NCc2cccc([N+](=O)[O-])c2C)[nH]1 ZINC000360530878 524233869 /nfs/dbraw/zinc/23/38/69/524233869.db2.gz VPASHSNKZJWNIC-UHFFFAOYSA-N 0 0 287.319 2.778 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2c([N+](=O)[O-])ncn2C)[C@@H]1C ZINC000360275940 524296754 /nfs/dbraw/zinc/29/67/54/524296754.db2.gz UPJWDDXMSAKSKZ-MXWKQRLJSA-N 0 0 266.345 2.813 20 5 CFBDRN Cc1cc(C)c(OCC2(O)CCC2)c([N+](=O)[O-])c1 ZINC000339331155 524308871 /nfs/dbraw/zinc/30/88/71/524308871.db2.gz SMFZVLNOZRPNEL-UHFFFAOYSA-N 0 0 251.282 2.505 20 5 CFBDRN Cc1ccc(CCNc2ccncc2[N+](=O)[O-])c(C)c1 ZINC000340155608 524325061 /nfs/dbraw/zinc/32/50/61/524325061.db2.gz WGNBDISSNAZVPK-UHFFFAOYSA-N 0 0 271.320 2.683 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)c2cc[nH]c(=O)c2)n1 ZINC000338734166 524338583 /nfs/dbraw/zinc/33/85/83/524338583.db2.gz PXEQFRIVEDOUFZ-SNVBAGLBSA-N 0 0 288.307 2.880 20 5 CFBDRN Cc1ccc(CC[C@H](C)Nc2c([N+](=O)[O-])ncn2C)o1 ZINC000361283118 524350552 /nfs/dbraw/zinc/35/05/52/524350552.db2.gz XFRXIDJLDNWNNI-VIFPVBQESA-N 0 0 278.312 2.663 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000360101902 524350812 /nfs/dbraw/zinc/35/08/12/524350812.db2.gz NPMRDWUZTHULRH-WDEREUQCSA-N 0 0 286.335 2.808 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000157263970 524366394 /nfs/dbraw/zinc/36/63/94/524366394.db2.gz UEFVHFSWPKPHEZ-GZMMTYOYSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1cc(C)cc(CCNc2ccncc2[N+](=O)[O-])c1 ZINC000360984268 524375472 /nfs/dbraw/zinc/37/54/72/524375472.db2.gz ITUWXGIDFVPBFP-UHFFFAOYSA-N 0 0 271.320 2.683 20 5 CFBDRN Cc1ccc(CN(CCO)c2cc(C)ccc2[N+](=O)[O-])o1 ZINC000360251592 524411080 /nfs/dbraw/zinc/41/10/80/524411080.db2.gz SWLLIPLGQGDDLG-UHFFFAOYSA-N 0 0 290.319 2.804 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000362017990 524411880 /nfs/dbraw/zinc/41/18/80/524411880.db2.gz WCBSWZULEJAXIV-YFKPBYRVSA-N 0 0 282.243 2.727 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000336630254 524425166 /nfs/dbraw/zinc/42/51/66/524425166.db2.gz HHDHBLGCSMARMT-IONNQARKSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336448769 524425490 /nfs/dbraw/zinc/42/54/90/524425490.db2.gz JTXBLBPHAYFDIP-WCBMZHEXSA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1cc(C)cc(OCCCn2cc([N+](=O)[O-])cn2)c1 ZINC000074343599 524445470 /nfs/dbraw/zinc/44/54/70/524445470.db2.gz DYUFROIRHNOKCS-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])o1)c1ccccc1 ZINC000069295969 524464568 /nfs/dbraw/zinc/46/45/68/524464568.db2.gz OEDNBWHMPMYOEB-SNVBAGLBSA-N 0 0 274.276 2.721 20 5 CFBDRN COc1ccccc1COc1cc(C=O)ccc1[N+](=O)[O-] ZINC000050517384 524495104 /nfs/dbraw/zinc/49/51/04/524495104.db2.gz HBYWQELGVUWIQM-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN Cc1ccc(C[NH2+]C2(C(=O)[O-])CCCCC2)cc1[N+](=O)[O-] ZINC000340673668 524570008 /nfs/dbraw/zinc/57/00/08/524570008.db2.gz KLVNDLFPKCXOOY-UHFFFAOYSA-N 0 0 292.335 2.780 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000157285881 524612818 /nfs/dbraw/zinc/61/28/18/524612818.db2.gz ZJPLTXOLLWUPPL-HTQZYQBOSA-N 0 0 254.311 2.575 20 5 CFBDRN Cc1cccc(NC[C@@H]2CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000218858976 524678125 /nfs/dbraw/zinc/67/81/25/524678125.db2.gz IDMZKXBCVKTSSL-AAEUAGOBSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cccc(NCc2csc([N+](=O)[O-])c2)c1C(N)=O ZINC000360346886 524686733 /nfs/dbraw/zinc/68/67/33/524686733.db2.gz CONHDJBRSGPMGY-UHFFFAOYSA-N 0 0 291.332 2.676 20 5 CFBDRN Cc1cccc(OCC(=O)Nc2ccccc2)c1[N+](=O)[O-] ZINC000124031251 524722370 /nfs/dbraw/zinc/72/23/70/524722370.db2.gz FZEOSIDCLLSVME-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN C[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1N)c1ccccc1 ZINC000317572386 524724566 /nfs/dbraw/zinc/72/45/66/524724566.db2.gz CIVGKQITSOTRNJ-NSHDSACASA-N 0 0 299.330 2.711 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)CS1 ZINC000334823238 524799454 /nfs/dbraw/zinc/79/94/54/524799454.db2.gz VLHINODQTWPWBM-WCBMZHEXSA-N 0 0 281.337 2.610 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000167218347 524811566 /nfs/dbraw/zinc/81/15/66/524811566.db2.gz AWBLSJVDDPLJBE-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN COc1ccncc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000340404247 524858411 /nfs/dbraw/zinc/85/84/11/524858411.db2.gz YXRHOMASKWIUMS-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000428088406 534815707 /nfs/dbraw/zinc/81/57/07/534815707.db2.gz HQKXBEKVRKYZDN-MSRIBSCDSA-N 0 0 285.303 2.604 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCc1ccc(O)cc1 ZINC000228424333 524903889 /nfs/dbraw/zinc/90/38/89/524903889.db2.gz NQNWBCPNVYLUKO-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN COc1cnc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)s1 ZINC000361008742 524905240 /nfs/dbraw/zinc/90/52/40/524905240.db2.gz XAMCJPMKYCYTOZ-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000336448499 524952116 /nfs/dbraw/zinc/95/21/16/524952116.db2.gz WHHANHVCFRQTAL-ONGXEEELSA-N 0 0 260.293 2.530 20 5 CFBDRN Cc1ccc(N2CCO[C@H](COC(C)C)C2)c([N+](=O)[O-])c1 ZINC000365049790 524967106 /nfs/dbraw/zinc/96/71/06/524967106.db2.gz ZZIAFVCNLCVWSU-ZDUSSCGKSA-N 0 0 294.351 2.533 20 5 CFBDRN Cc1cc(N2CC(OCC(F)F)C2)c(F)cc1[N+](=O)[O-] ZINC000360082681 525053017 /nfs/dbraw/zinc/05/30/17/525053017.db2.gz MVKOYSAVWGZQCM-UHFFFAOYSA-N 0 0 290.241 2.513 20 5 CFBDRN C[C@H](NC(=O)NCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000049212000 525058798 /nfs/dbraw/zinc/05/87/98/525058798.db2.gz YJEYUMVEASSNBX-ZETCQYMHSA-N 0 0 291.229 2.517 20 5 CFBDRN C[C@@H](NC(=O)CNc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000067703749 525115263 /nfs/dbraw/zinc/11/52/63/525115263.db2.gz YUYVDASBAPHIRQ-GFCCVEGCSA-N 0 0 299.330 2.884 20 5 CFBDRN Cc1cc(NC(=O)Cc2cccc([N+](=O)[O-])c2)sn1 ZINC000176430451 525163927 /nfs/dbraw/zinc/16/39/27/525163927.db2.gz RVHKRMRKRPBUKC-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN C[C@@H]1CSC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000334456352 525174440 /nfs/dbraw/zinc/17/44/40/525174440.db2.gz HFMMWHVNDMXUKL-HQJQHLMTSA-N 0 0 299.327 2.607 20 5 CFBDRN Cc1ccc(NC(=O)[C@H](C)C2CCOCC2)cc1[N+](=O)[O-] ZINC000157378300 525210111 /nfs/dbraw/zinc/21/01/11/525210111.db2.gz FMKTVBAPVONQEU-LLVKDONJSA-N 0 0 292.335 2.904 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000364816860 525217511 /nfs/dbraw/zinc/21/75/11/525217511.db2.gz UIRVJDAPBCRSFV-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccc(Cl)cn1 ZINC000189763318 525219131 /nfs/dbraw/zinc/21/91/31/525219131.db2.gz NNMGQNZBURAXRK-ZETCQYMHSA-N 0 0 295.682 2.727 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000336343028 525224612 /nfs/dbraw/zinc/22/46/12/525224612.db2.gz QSHMHQHEDRBTOP-VIFPVBQESA-N 0 0 266.322 2.595 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)nc1 ZINC000049158089 525231587 /nfs/dbraw/zinc/23/15/87/525231587.db2.gz MDDDFDJSWVVLKT-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cc(NC(=O)c2csc([N+](=O)[O-])c2)sn1 ZINC000176840853 525261324 /nfs/dbraw/zinc/26/13/24/525261324.db2.gz MELVNGCRXOAHFH-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN Cc1ccccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000227870576 525261951 /nfs/dbraw/zinc/26/19/51/525261951.db2.gz UNPIOMQUSKAYDN-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1cc(NC2CCC(O)CC2)c(F)cc1[N+](=O)[O-] ZINC000311969906 525264924 /nfs/dbraw/zinc/26/49/24/525264924.db2.gz MNQMGPKDPNJOSU-UHFFFAOYSA-N 0 0 268.288 2.758 20 5 CFBDRN Cc1cc(NCC[C@@H](O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000360070492 525269138 /nfs/dbraw/zinc/26/91/38/525269138.db2.gz HVWCIYVJHVSIGB-CYBMUJFWSA-N 0 0 270.304 2.861 20 5 CFBDRN Cc1cc(NC[C@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000086015907 525275753 /nfs/dbraw/zinc/27/57/53/525275753.db2.gz JGLWMGDONKLWGC-RISCZKNCSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccccc1OCCCn1cc([N+](=O)[O-])ccc1=O ZINC000059008002 525293791 /nfs/dbraw/zinc/29/37/91/525293791.db2.gz FFKSUQUWUOCXHT-UHFFFAOYSA-N 0 0 288.303 2.534 20 5 CFBDRN C[C@]1(NC(=O)c2ccc([N+](=O)[O-])s2)CC=CCC1 ZINC000335145466 525296268 /nfs/dbraw/zinc/29/62/68/525296268.db2.gz YLGKAMQGBUNPSH-LBPRGKRZSA-N 0 0 266.322 2.885 20 5 CFBDRN Cc1cc(N[C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000364885874 525297659 /nfs/dbraw/zinc/29/76/59/525297659.db2.gz NLFIYZQFQRPKOO-ZLKJLUDKSA-N 0 0 291.351 2.914 20 5 CFBDRN Cc1ccc(N[C@@H]2CCO[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000230308907 525315523 /nfs/dbraw/zinc/31/55/23/525315523.db2.gz BSJYRUCLCFVCIK-TZMCWYRMSA-N 0 0 262.309 2.883 20 5 CFBDRN C[C@H](NCc1c([N+](=O)[O-])ncn1C)c1cccc(Cl)c1 ZINC000341065516 525429040 /nfs/dbraw/zinc/42/90/40/525429040.db2.gz HUYHEVNVVVRHBJ-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)CS1 ZINC000334823237 525431464 /nfs/dbraw/zinc/43/14/64/525431464.db2.gz VLHINODQTWPWBM-SCZZXKLOSA-N 0 0 281.337 2.610 20 5 CFBDRN Cc1ccc(OCc2cn3cccnc3n2)c([N+](=O)[O-])c1 ZINC000340668125 525445885 /nfs/dbraw/zinc/44/58/85/525445885.db2.gz FAMNPPPUJUJAFV-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2)c1 ZINC000049031511 525449694 /nfs/dbraw/zinc/44/96/94/525449694.db2.gz AXFBVUQYDZUDGB-UHFFFAOYSA-N 0 0 272.260 2.856 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@@H](O)C2)c1 ZINC000230910088 525453098 /nfs/dbraw/zinc/45/30/98/525453098.db2.gz XWCNVZXQHBHTTL-WDEREUQCSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)C1CCCC1 ZINC000302863335 525455362 /nfs/dbraw/zinc/45/53/62/525455362.db2.gz AESJQZLMNVMYFK-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C)[C@@H]1C ZINC000172662805 525455942 /nfs/dbraw/zinc/45/59/42/525455942.db2.gz ZCJJTFSTWBGXJJ-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccnc1C ZINC000175192231 525458098 /nfs/dbraw/zinc/45/80/98/525458098.db2.gz AQCLEDUAGLDSCG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1CC(C)(C)C1 ZINC000361025819 525466671 /nfs/dbraw/zinc/46/66/71/525466671.db2.gz FJRRRKPXPMTQQZ-UHFFFAOYSA-N 0 0 278.308 2.978 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@@H](C)C1 ZINC000334104477 525467485 /nfs/dbraw/zinc/46/74/85/525467485.db2.gz YRWAVVWNGMSOSD-ZJUUUORDSA-N 0 0 293.323 2.914 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000336031342 525480211 /nfs/dbraw/zinc/48/02/11/525480211.db2.gz RWSMPTKJYVPRRN-KOLCDFICSA-N 0 0 260.293 2.530 20 5 CFBDRN Cc1c(C(=O)N(C)CCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000065883925 525554011 /nfs/dbraw/zinc/55/40/11/525554011.db2.gz IBNXPXMQIUZAHA-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000338486052 525556513 /nfs/dbraw/zinc/55/65/13/525556513.db2.gz XOCOUMYMXWGIQJ-CLLJXQQHSA-N 0 0 293.367 2.726 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CC1CCC1 ZINC000078614897 525558149 /nfs/dbraw/zinc/55/81/49/525558149.db2.gz QWBBYNSDMASCSA-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC[C@@H](C)C1 ZINC000156959852 525560633 /nfs/dbraw/zinc/56/06/33/525560633.db2.gz GVFJGIBCLKAOQG-MWLCHTKSSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CC[C@@H](C)C1 ZINC000156960009 525563068 /nfs/dbraw/zinc/56/30/68/525563068.db2.gz GVFJGIBCLKAOQG-KOLCDFICSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCOC2CCCCCC2)c1=O ZINC000155847787 525572987 /nfs/dbraw/zinc/57/29/87/525572987.db2.gz OMSSNBCSYUKHJF-UHFFFAOYSA-N 0 0 294.351 2.804 20 5 CFBDRN Cc1c(C(=O)N2CCCOC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000377704591 525575696 /nfs/dbraw/zinc/57/56/96/525575696.db2.gz NQXWCIXOJXAVHE-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000066757853 525613398 /nfs/dbraw/zinc/61/33/98/525613398.db2.gz PUICNUMYXLJJII-ZCFIWIBFSA-N 0 0 290.291 2.811 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000335414183 525638496 /nfs/dbraw/zinc/63/84/96/525638496.db2.gz AFFOJKDYFJMYAY-WDEREUQCSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000156925931 525649295 /nfs/dbraw/zinc/64/92/95/525649295.db2.gz CTSJIVBGOCBZGZ-ONGXEEELSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccnc(COc2cccc(C)c2[N+](=O)[O-])n1 ZINC000339196397 525653259 /nfs/dbraw/zinc/65/32/59/525653259.db2.gz BLXMVWTUAGSVPE-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccnc(NCCc2ccccc2O)c1[N+](=O)[O-] ZINC000172277743 525694024 /nfs/dbraw/zinc/69/40/24/525694024.db2.gz RJMPTEOBZNLTFI-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN Cc1cc[nH]c1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335709001 525708395 /nfs/dbraw/zinc/70/83/95/525708395.db2.gz XNWYKXKQKANXAJ-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN Cc1c(CNC(=O)C(C2CC2)C2CC2)cccc1[N+](=O)[O-] ZINC000360530198 525711129 /nfs/dbraw/zinc/71/11/29/525711129.db2.gz UCZAABQGPXDSGN-UHFFFAOYSA-N 0 0 288.347 2.956 20 5 CFBDRN Cc1c(CNCc2cscn2)cccc1[N+](=O)[O-] ZINC000231884132 525725185 /nfs/dbraw/zinc/72/51/85/525725185.db2.gz QTUYTQRRUCSFKA-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN C[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])C1CCC1 ZINC000159984947 525726626 /nfs/dbraw/zinc/72/66/26/525726626.db2.gz SRRAWRNMRQQXEC-SSDOTTSWSA-N 0 0 266.326 2.905 20 5 CFBDRN CC(=O)c1ccc(S[C@H](C)[C@H](C)O)c([N+](=O)[O-])c1 ZINC000230921778 534878802 /nfs/dbraw/zinc/87/88/02/534878802.db2.gz IZRZRWNPQBFXSP-IONNQARKSA-N 0 0 269.322 2.659 20 5 CFBDRN CS[C@@H](C)CC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360527323 525791790 /nfs/dbraw/zinc/79/17/90/525791790.db2.gz IOMDFRSDSINERZ-VIFPVBQESA-N 0 0 282.365 2.661 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235219599 525819436 /nfs/dbraw/zinc/81/94/36/525819436.db2.gz LWPVYGBZOISJSZ-RNFRBKRXSA-N 0 0 293.245 2.580 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@H]3CCCOC3)c2c1 ZINC000413061685 534885306 /nfs/dbraw/zinc/88/53/06/534885306.db2.gz CLZUPCHOKQUTSK-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000228130548 525837112 /nfs/dbraw/zinc/83/71/12/525837112.db2.gz WJVBVCMMYMJHPU-JGVFFNPUSA-N 0 0 258.705 2.820 20 5 CFBDRN Cc1c(NC(=O)Cc2ccoc2)cccc1[N+](=O)[O-] ZINC000163855017 525838253 /nfs/dbraw/zinc/83/82/53/525838253.db2.gz WEELSMYTXPFUJR-UHFFFAOYSA-N 0 0 260.249 2.677 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CC23CC3)cccc1[N+](=O)[O-] ZINC000335142391 525848071 /nfs/dbraw/zinc/84/80/71/525848071.db2.gz TVFDFCVHDZPTIH-LLVKDONJSA-N 0 0 261.281 2.577 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000336466255 525856399 /nfs/dbraw/zinc/85/63/99/525856399.db2.gz YQIUCPKGNBQKGR-VIFPVBQESA-N 0 0 266.322 2.595 20 5 CFBDRN Cc1c(NCC(=O)N2CCC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000068834901 525868288 /nfs/dbraw/zinc/86/82/88/525868288.db2.gz VXEMSJTYIPZZQX-LLVKDONJSA-N 0 0 291.351 2.574 20 5 CFBDRN Cc1c(NCC[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000062723864 525870245 /nfs/dbraw/zinc/87/02/45/525870245.db2.gz OWEVNDDXIXFDHI-NSHDSACASA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2OC[C@H]3CCC[C@@H]23)cccc1[N+](=O)[O-] ZINC000367988308 525881113 /nfs/dbraw/zinc/88/11/13/525881113.db2.gz RPRFGPCDCHKDTA-JTNHKYCSSA-N 0 0 291.303 2.624 20 5 CFBDRN CSc1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)o1 ZINC000171992719 525966891 /nfs/dbraw/zinc/96/68/91/525966891.db2.gz ICSGZQPDQLQVAY-UHFFFAOYSA-N 0 0 292.316 2.840 20 5 CFBDRN C[C@H](C(=O)N1CC[C@](C)(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000336472813 525996108 /nfs/dbraw/zinc/99/61/08/525996108.db2.gz FBEKVICPRBNJIX-XPTSAGLGSA-N 0 0 298.289 2.798 20 5 CFBDRN C[C@@H](Sc1ccncc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000340937000 525999305 /nfs/dbraw/zinc/99/93/05/525999305.db2.gz XRIOWYJYWYZZOG-MRVPVSSYSA-N 0 0 284.337 2.812 20 5 CFBDRN Cc1cnc(CNc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000235929642 526050459 /nfs/dbraw/zinc/05/04/59/526050459.db2.gz XTVZSGKVYLARHM-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN Cc1cnc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])s1 ZINC000078425459 526051829 /nfs/dbraw/zinc/05/18/29/526051829.db2.gz RCNAXWPRJDTLJP-UHFFFAOYSA-N 0 0 294.292 2.880 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C[C@@H]2CCCO2)c1 ZINC000334035199 526052440 /nfs/dbraw/zinc/05/24/40/526052440.db2.gz YGBZOIYAUMXXHM-RYUDHWBXSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC1CCC1 ZINC000336476191 526057868 /nfs/dbraw/zinc/05/78/68/526057868.db2.gz NMFWGDLTJIISNY-UHFFFAOYSA-N 0 0 263.297 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCn2cnc3ccccc32)n1 ZINC000360665731 526059411 /nfs/dbraw/zinc/05/94/11/526059411.db2.gz YSSPLEQISCZODW-UHFFFAOYSA-N 0 0 297.318 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@](C)(O)CCC(C)C)n1 ZINC000178235807 526061654 /nfs/dbraw/zinc/06/16/54/526061654.db2.gz QQANEGNQFJBBGF-CQSZACIVSA-N 0 0 281.356 2.897 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cn3ccsc3n2)n1 ZINC000360664045 526062004 /nfs/dbraw/zinc/06/20/04/526062004.db2.gz NTMKJRQNWSMALP-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@@H](O)C2)c1 ZINC000088725565 526062143 /nfs/dbraw/zinc/06/21/43/526062143.db2.gz WHAICEHVNYZGLP-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2cccnc2)cc1[N+](=O)[O-] ZINC000074026378 526068002 /nfs/dbraw/zinc/06/80/02/526068002.db2.gz XUUGCWKPQUXTBT-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCCC2CC2)c1 ZINC000081019887 526079416 /nfs/dbraw/zinc/07/94/16/526079416.db2.gz XVQBSZGSTOPTGU-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccoc2)c1 ZINC000049002707 526079725 /nfs/dbraw/zinc/07/97/25/526079725.db2.gz OWNQZXFKKNSQTR-UHFFFAOYSA-N 0 0 292.316 2.840 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CC1(F)F ZINC000334994375 526082158 /nfs/dbraw/zinc/08/21/58/526082158.db2.gz NARUTKKVVRRJKJ-LLVKDONJSA-N 0 0 270.235 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC(=O)N1CCC(C)CC1 ZINC000054529820 526082227 /nfs/dbraw/zinc/08/22/27/526082227.db2.gz SLEMJTRCVFXROY-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN Cc1cnc(NCCc2cnc(C3CC3)nc2)c([N+](=O)[O-])c1 ZINC000339361486 526091170 /nfs/dbraw/zinc/09/11/70/526091170.db2.gz QCBPUTDZWSTJCP-UHFFFAOYSA-N 0 0 299.334 2.620 20 5 CFBDRN Cc1cnc(NC[C@H]2CCC[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000312309347 526093388 /nfs/dbraw/zinc/09/33/88/526093388.db2.gz PLWGVEIWLOZPME-NWDGAFQWSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1cc(N)nc(SCc2ccc([N+](=O)[O-])cc2F)n1 ZINC000336843536 526098525 /nfs/dbraw/zinc/09/85/25/526098525.db2.gz XCWHTAYOSLIIJI-UHFFFAOYSA-N 0 0 294.311 2.537 20 5 CFBDRN Cc1cc(NC(=O)C[C@@H]2C[C@@H]2C)c2cc([N+](=O)[O-])ccc2n1 ZINC000362114612 526102644 /nfs/dbraw/zinc/10/26/44/526102644.db2.gz USBMDYPLNWGGMZ-ONGXEEELSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000334907229 526125591 /nfs/dbraw/zinc/12/55/91/526125591.db2.gz RXXUPAZVUVKOAV-GFCCVEGCSA-N 0 0 260.293 2.528 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])CO2 ZINC000156089828 526188731 /nfs/dbraw/zinc/18/87/31/526188731.db2.gz UJRJKZLXSRNGSN-ZDUSSCGKSA-N 0 0 298.298 2.767 20 5 CFBDRN Cc1cncc(CNc2ccc3cc([N+](=O)[O-])ccc3n2)c1 ZINC000340572642 526197454 /nfs/dbraw/zinc/19/74/54/526197454.db2.gz RDEAMGPLSHAHPS-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050703477 526202901 /nfs/dbraw/zinc/20/29/01/526202901.db2.gz YGFXRBOYPGDJCJ-SSDOTTSWSA-N 0 0 297.742 2.701 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000156831421 526205230 /nfs/dbraw/zinc/20/52/30/526205230.db2.gz JAENPYISULLOSU-BXKDBHETSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc(C(=O)N2CC(C3CCC3)C2)cc([N+](=O)[O-])c1 ZINC000335085085 526234802 /nfs/dbraw/zinc/23/48/02/526234802.db2.gz NMFXRACSTUPQOU-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000340890684 526236005 /nfs/dbraw/zinc/23/60/05/526236005.db2.gz RFCYFUNTDXWTFG-RISCZKNCSA-N 0 0 274.320 2.813 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157321881 526260546 /nfs/dbraw/zinc/26/05/46/526260546.db2.gz NBNDLYXUMFHLLJ-QWRGUYRKSA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1nn(CCOc2c(C)cccc2C)cc1[N+](=O)[O-] ZINC000181820960 526281835 /nfs/dbraw/zinc/28/18/35/526281835.db2.gz WWECBIABBHZTPJ-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN Cc1csc(COc2nc(C)cc(C)c2[N+](=O)[O-])n1 ZINC000354536287 526364525 /nfs/dbraw/zinc/36/45/25/526364525.db2.gz KSCHPPILIRJMDG-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN Cc1csc(NC(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000049374109 526393852 /nfs/dbraw/zinc/39/38/52/526393852.db2.gz ONBKSGZFBAZPKI-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1C[C@@H]1c1ccco1 ZINC000049416962 526446021 /nfs/dbraw/zinc/44/60/21/526446021.db2.gz PGIYYMXNIMPKAT-RYUDHWBXSA-N 0 0 273.244 2.897 20 5 CFBDRN O=C(NC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1Cl ZINC000049251605 526507581 /nfs/dbraw/zinc/50/75/81/526507581.db2.gz JNDWDVGMQJKGHL-JTQLQIEISA-N 0 0 298.726 2.547 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCC[C@H]1c1ccccc1Cl ZINC000363399445 526508855 /nfs/dbraw/zinc/50/88/55/526508855.db2.gz YTBVHIWHNPOPCE-KGYLQXTDSA-N 0 0 294.738 2.669 20 5 CFBDRN CC(C)(C)OCCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000179833148 526555196 /nfs/dbraw/zinc/55/51/96/526555196.db2.gz JYASYTPFHYHKOR-UHFFFAOYSA-N 0 0 290.323 2.668 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@@H]21 ZINC000336110620 526620270 /nfs/dbraw/zinc/62/02/70/526620270.db2.gz NUBHTKAYVTXFPY-RNCFNFMXSA-N 0 0 278.283 2.749 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCc2ccccc21 ZINC000335793937 526620474 /nfs/dbraw/zinc/62/04/74/526620474.db2.gz KBVLDTZDJCJYDJ-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN CC(C)(C)[C@@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000413996317 526661853 /nfs/dbraw/zinc/66/18/53/526661853.db2.gz UVNATRIATACESN-ZJUUUORDSA-N 0 0 279.340 2.868 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CC[C@H]2CCC[C@@H]21 ZINC000336417502 526693053 /nfs/dbraw/zinc/69/30/53/526693053.db2.gz NBZSCAPFFBSSOC-OCCSQVGLSA-N 0 0 289.335 2.679 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@@H](c2ccccc2)C1 ZINC000336370217 526713436 /nfs/dbraw/zinc/71/34/36/526713436.db2.gz KLVNAUFMPPDEJH-CYBMUJFWSA-N 0 0 299.330 2.943 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@H](c2ccccc2)C1 ZINC000336370218 526713731 /nfs/dbraw/zinc/71/37/31/526713731.db2.gz KLVNAUFMPPDEJH-ZDUSSCGKSA-N 0 0 299.330 2.943 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H]1C1CCCC1 ZINC000048816212 526715812 /nfs/dbraw/zinc/71/58/12/526715812.db2.gz VSEFZXKCBMULOZ-LLVKDONJSA-N 0 0 278.308 2.983 20 5 CFBDRN Cc1nc(CN2CCc3ccc([N+](=O)[O-])cc3C2)cs1 ZINC000088606538 526871072 /nfs/dbraw/zinc/87/10/72/526871072.db2.gz NIYPKQQEHDZHRF-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN Cc1sc(C(=O)N2CC(C(F)(F)F)C2)cc1[N+](=O)[O-] ZINC000334341793 526871146 /nfs/dbraw/zinc/87/11/46/526871146.db2.gz JNFZEWQAJPCBPO-UHFFFAOYSA-N 0 0 294.254 2.599 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1F)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000336378988 526903547 /nfs/dbraw/zinc/90/35/47/526903547.db2.gz SKPGXMWTFFXDAL-NWDGAFQWSA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@H]21 ZINC000336111290 526908032 /nfs/dbraw/zinc/90/80/32/526908032.db2.gz VJXDODMHKIRTIK-WCBMZHEXSA-N 0 0 266.322 2.671 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)NC1CCCCC1 ZINC000067015818 526967609 /nfs/dbraw/zinc/96/76/09/526967609.db2.gz LTZAHYYSKRAHRI-UHFFFAOYSA-N 0 0 296.298 2.562 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C(F)F ZINC000337993189 527124454 /nfs/dbraw/zinc/12/44/54/527124454.db2.gz DCEZQDLGCAZOHS-RKDXNWHRSA-N 0 0 274.198 2.574 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2Cc3ccccc3O2)c1 ZINC000316770918 527150918 /nfs/dbraw/zinc/15/09/18/527150918.db2.gz UDXUMVMVEAVUPE-ZDUSSCGKSA-N 0 0 299.282 2.790 20 5 CFBDRN O=C(C[C@H]1C=CCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000048943002 527155892 /nfs/dbraw/zinc/15/58/92/527155892.db2.gz TVZHJHCLJBRQFW-ZDUSSCGKSA-N 0 0 274.320 2.610 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])nc1)c1cccnc1 ZINC000413593585 527183808 /nfs/dbraw/zinc/18/38/08/527183808.db2.gz WBIHLSJDJNXGQL-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN Cc1ncc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])s1 ZINC000195235636 527224476 /nfs/dbraw/zinc/22/44/76/527224476.db2.gz NEOOMTXSXIDPFP-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN O=C(Cc1ccc(F)cc1)NCc1ccccc1[N+](=O)[O-] ZINC000046146897 527231749 /nfs/dbraw/zinc/23/17/49/527231749.db2.gz QGBSYVBFRGJZHG-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCSC1 ZINC000334399763 527261790 /nfs/dbraw/zinc/26/17/90/527261790.db2.gz YAFWVEUMLUAPCM-VIFPVBQESA-N 0 0 266.322 2.677 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC2(CC2)CC1 ZINC000366687940 527292959 /nfs/dbraw/zinc/29/29/59/527292959.db2.gz RSFZIAAVYXZLBQ-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1C[C@@H]2CCCCC[C@H]21 ZINC000378092172 527294099 /nfs/dbraw/zinc/29/40/99/527294099.db2.gz WECBGMBAJGYYRO-UONOGXRCSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000246193622 527314354 /nfs/dbraw/zinc/31/43/54/527314354.db2.gz MMANARBHYRGKTH-IAZYJMLFSA-N 0 0 291.351 2.853 20 5 CFBDRN O=C(Cc1ccsc1)NCc1cccc([N+](=O)[O-])c1 ZINC000049401930 527317571 /nfs/dbraw/zinc/31/75/71/527317571.db2.gz SIDPDUCMJIVMAS-UHFFFAOYSA-N 0 0 276.317 2.515 20 5 CFBDRN O=C(Nc1cccc(-n2cccc2)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000339180696 527318769 /nfs/dbraw/zinc/31/87/69/527318769.db2.gz FBKLLRDUMJKEOV-UHFFFAOYSA-N 0 0 296.286 2.966 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H]1CCC[C@H](O)C1 ZINC000078303858 527320466 /nfs/dbraw/zinc/32/04/66/527320466.db2.gz QZOIFKFOIXNTNL-UWVGGRQHSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1C[C@@H]1c1c(F)cccc1F ZINC000340892737 527325072 /nfs/dbraw/zinc/32/50/72/527325072.db2.gz FXBCGJOMVMMCGF-UFBFGSQYSA-N 0 0 291.257 2.658 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC2(CC2)c2ccccc21 ZINC000336559712 527327635 /nfs/dbraw/zinc/32/76/35/527327635.db2.gz PQLHXEJJJWYOMX-UHFFFAOYSA-N 0 0 297.314 2.625 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc(-c2ccccn2)no1 ZINC000161807916 527336741 /nfs/dbraw/zinc/33/67/41/527336741.db2.gz ASPILTOQZDCHPS-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC(C(F)(F)F)C1 ZINC000336172818 527336935 /nfs/dbraw/zinc/33/69/35/527336935.db2.gz MKTDOBCUFAUHRZ-UHFFFAOYSA-N 0 0 264.178 2.732 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCOCC(F)(F)F)c1 ZINC000135346329 527337150 /nfs/dbraw/zinc/33/71/50/527337150.db2.gz ZVXZRPJJKZNKSP-UHFFFAOYSA-N 0 0 283.177 2.692 20 5 CFBDRN Cc1nccc(CNc2cccc([N+](=O)[O-])c2C)n1 ZINC000054790453 527341665 /nfs/dbraw/zinc/34/16/65/527341665.db2.gz KXKHMDQSXWWAHW-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC2)nc1OCc1ccccc1 ZINC000360649305 527360902 /nfs/dbraw/zinc/36/09/02/527360902.db2.gz WAINCJQEHVLKJX-UHFFFAOYSA-N 0 0 285.303 2.779 20 5 CFBDRN O=C(Nc1cccc(O)c1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000165551807 527362124 /nfs/dbraw/zinc/36/21/24/527362124.db2.gz UUPJCVAUUJRPJM-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@H](CO)C2)c(F)c1 ZINC000312495611 527365714 /nfs/dbraw/zinc/36/57/14/527365714.db2.gz PUAXXHODNOCOBX-MNOVXSKESA-N 0 0 282.315 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@H]2OC(F)F)nc1 ZINC000336880736 527366250 /nfs/dbraw/zinc/36/62/50/527366250.db2.gz AEPMTTIRVYMOEY-NXEZZACHSA-N 0 0 287.266 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2Cc3ccccc3[C@H]2O)c(F)c1 ZINC000170982182 527367887 /nfs/dbraw/zinc/36/78/87/527367887.db2.gz YEFDHVRSICOUET-HUUCEWRRSA-N 0 0 288.278 2.804 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1c[nH]c2ncccc12 ZINC000339931449 527374659 /nfs/dbraw/zinc/37/46/59/527374659.db2.gz SYUTZURFQMZWEL-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(Cc3ccc(O)cc3)n2)c1 ZINC000316015679 527383520 /nfs/dbraw/zinc/38/35/20/527383520.db2.gz KNPNERDARFYWFZ-UHFFFAOYSA-N 0 0 297.270 2.941 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCOC2(CCCC2)C1 ZINC000157980827 527390001 /nfs/dbraw/zinc/39/00/01/527390001.db2.gz ITUVSFGQMMZPRR-UHFFFAOYSA-N 0 0 294.326 2.879 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCOC2CCCC2)c1 ZINC000360320242 527391527 /nfs/dbraw/zinc/39/15/27/527391527.db2.gz SJBCXQOVLGRMBX-UHFFFAOYSA-N 0 0 251.282 2.933 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@H]3CC[C@H](O)C3)ccnc21 ZINC000227662263 527395793 /nfs/dbraw/zinc/39/57/93/527395793.db2.gz SVGOSWLURYBADE-QWRGUYRKSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccccc1CS(=O)(=O)c1cccs1 ZINC000340825621 527402793 /nfs/dbraw/zinc/40/27/93/527402793.db2.gz XNLYJIXREBAXKW-UHFFFAOYSA-N 0 0 283.330 2.630 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H]2C2CC2)c(Br)c1 ZINC000362376748 527413025 /nfs/dbraw/zinc/41/30/25/527413025.db2.gz TYUREXGPAIWHCI-WPRPVWTQSA-N 0 0 298.140 2.963 20 5 CFBDRN O=c1[nH]c(-c2cccc([N+](=O)[O-])c2)nc2cc(F)ccc12 ZINC000193922863 527435135 /nfs/dbraw/zinc/43/51/35/527435135.db2.gz KTTXBUYDNMNEBI-UHFFFAOYSA-N 0 0 285.234 2.637 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@@H]1CCC(F)(F)C1 ZINC000336254328 527454941 /nfs/dbraw/zinc/45/49/41/527454941.db2.gz XQLLBEHMJGIBGI-SSDOTTSWSA-N 0 0 292.669 2.845 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCc2cc(F)ccc21 ZINC000048962664 527492098 /nfs/dbraw/zinc/49/20/98/527492098.db2.gz CTNYIDDBZLGRAE-LLVKDONJSA-N 0 0 276.271 2.567 20 5 CFBDRN O=C(NCC1CCCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000046919248 527605933 /nfs/dbraw/zinc/60/59/33/527605933.db2.gz ONACZIXOKLIMLX-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN O=C(NCCC(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl ZINC000070231911 527616977 /nfs/dbraw/zinc/61/69/77/527616977.db2.gz TVXVRHSQXNWLET-UHFFFAOYSA-N 0 0 296.632 2.930 20 5 CFBDRN O=C(NCCCCF)c1ccc([N+](=O)[O-])cc1Cl ZINC000311013460 527649998 /nfs/dbraw/zinc/64/99/98/527649998.db2.gz PQIQROHHLZUHQR-UHFFFAOYSA-N 0 0 274.679 2.728 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2OC)CC1 ZINC000178154163 527740207 /nfs/dbraw/zinc/74/02/07/527740207.db2.gz XDNXYMOYEDTEQU-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CC1(CC(F)(F)F)CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413320793 527920458 /nfs/dbraw/zinc/92/04/58/527920458.db2.gz QEKKOXHZJHOKLR-UHFFFAOYSA-N 0 0 293.220 2.908 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1C ZINC000431473859 528016298 /nfs/dbraw/zinc/01/62/98/528016298.db2.gz BGAGNYKTSFEITG-NSHDSACASA-N 0 0 293.367 2.868 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000421546932 528093786 /nfs/dbraw/zinc/09/37/86/528093786.db2.gz ABMMIQMQTSHNTC-TXEJJXNPSA-N 0 0 292.335 2.509 20 5 CFBDRN CC(C)c1ccc(CN2CCO[C@@H](CF)C2)cc1[N+](=O)[O-] ZINC000414466442 528094036 /nfs/dbraw/zinc/09/40/36/528094036.db2.gz BSRHFDXISUGKPK-ZDUSSCGKSA-N 0 0 296.342 2.889 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000413390799 528107838 /nfs/dbraw/zinc/10/78/38/528107838.db2.gz ZQZHSBWJBGOWIX-OCAPTIKFSA-N 0 0 294.336 2.579 20 5 CFBDRN CC(C)c1nnc([C@H](C)Nc2ncc([N+](=O)[O-])cc2F)[nH]1 ZINC000413214177 528314734 /nfs/dbraw/zinc/31/47/34/528314734.db2.gz LEIKXKIVYZRPOH-ZETCQYMHSA-N 0 0 294.290 2.544 20 5 CFBDRN CCCCOCCNc1ccc([N+](=O)[O-])cc1COC ZINC000175060392 528611918 /nfs/dbraw/zinc/61/19/18/528611918.db2.gz VFUWEEIGMLZXMZ-UHFFFAOYSA-N 0 0 282.340 2.970 20 5 CFBDRN CCOc1cccc(N[C@@H](CC)CCO)c1[N+](=O)[O-] ZINC000169284940 528675294 /nfs/dbraw/zinc/67/52/94/528675294.db2.gz NZZCDNIESBLEPY-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000180517498 528781084 /nfs/dbraw/zinc/78/10/84/528781084.db2.gz BTTRVNRHTUJSFC-SNVBAGLBSA-N 0 0 294.355 2.518 20 5 CFBDRN CC(C)N(C)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000291625448 528802025 /nfs/dbraw/zinc/80/20/25/528802025.db2.gz CSPPSZYZBAEGOM-UHFFFAOYSA-N 0 0 279.340 2.880 20 5 CFBDRN CCOC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C(C)C ZINC000413257789 528899242 /nfs/dbraw/zinc/89/92/42/528899242.db2.gz FVRNGFDIRIPPKH-LLVKDONJSA-N 0 0 271.292 2.602 20 5 CFBDRN CCC1(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000176863823 528997517 /nfs/dbraw/zinc/99/75/17/528997517.db2.gz VPHWIMFNUMAPCG-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CC1(C)CC[C@H](Sc2ccc([N+](=O)[O-])cn2)C1=O ZINC000412995077 529049359 /nfs/dbraw/zinc/04/93/59/529049359.db2.gz BUIGELKTRYWZNB-VIFPVBQESA-N 0 0 266.322 2.840 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2cccc3[nH]ccc32)n1 ZINC000191589462 529154549 /nfs/dbraw/zinc/15/45/49/529154549.db2.gz FZTUVUPSSIOXQL-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2cccc(F)c2C)n1 ZINC000191649058 529154825 /nfs/dbraw/zinc/15/48/25/529154825.db2.gz PWFPIJQYPHJRTQ-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN CCn1ccc(CNC/C=C\c2ccccc2[N+](=O)[O-])n1 ZINC000414479123 529170096 /nfs/dbraw/zinc/17/00/96/529170096.db2.gz HVXYPFGPSNSLRN-ALCCZGGFSA-N 0 0 286.335 2.614 20 5 CFBDRN CCn1ccc(CNc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000413488522 529175817 /nfs/dbraw/zinc/17/58/17/529175817.db2.gz FNTXMHZASUMPNC-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000427441776 529301212 /nfs/dbraw/zinc/30/12/12/529301212.db2.gz CDROECSUHRURJG-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN CC(C)(CF)NC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000492654665 535056355 /nfs/dbraw/zinc/05/63/55/535056355.db2.gz WHILSXMKWCVBOB-GQCTYLIASA-N 0 0 284.262 2.611 20 5 CFBDRN CCCCCNC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 ZINC000266024586 535074866 /nfs/dbraw/zinc/07/48/66/535074866.db2.gz UVYVSNPWEGYADI-UHFFFAOYSA-N 0 0 296.323 2.532 20 5 CFBDRN CC(C)(NC(=O)CNc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000456151332 535219308 /nfs/dbraw/zinc/21/93/08/535219308.db2.gz VJQCEGWBKKULNO-UHFFFAOYSA-N 0 0 291.351 2.702 20 5 CFBDRN CC1=CCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)CC1 ZINC000492281342 535242390 /nfs/dbraw/zinc/24/23/90/535242390.db2.gz CPQUJXOMTBJQAT-AATRIKPKSA-N 0 0 272.304 2.787 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000446514305 535249552 /nfs/dbraw/zinc/24/95/52/535249552.db2.gz KLAQJVLOBKWDNA-GFCCVEGCSA-N 0 0 291.351 2.511 20 5 CFBDRN CC(C)[C@]1(CO)CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000293944848 535309931 /nfs/dbraw/zinc/30/99/31/535309931.db2.gz GCMTVBRBAAMOPQ-OAHLLOKOSA-N 0 0 296.342 2.969 20 5 CFBDRN CC(C)=CCC[C@H](C)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000450678331 535365355 /nfs/dbraw/zinc/36/53/55/535365355.db2.gz STLLNCJJQRMIKC-NSHDSACASA-N 0 0 289.339 2.606 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000457331610 535366884 /nfs/dbraw/zinc/36/68/84/535366884.db2.gz PPMJJPDTJGQLRA-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc(C)cc2[N+](=O)[O-])[C@H]1OC ZINC000252756001 535419770 /nfs/dbraw/zinc/41/97/70/535419770.db2.gz BQEREMBPWWFXSX-MRVWCRGKSA-N 0 0 280.324 2.508 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000264745891 535489814 /nfs/dbraw/zinc/48/98/14/535489814.db2.gz KKYJCQOUPMUVLP-LLVKDONJSA-N 0 0 279.340 2.511 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000450359051 535535832 /nfs/dbraw/zinc/53/58/32/535535832.db2.gz UWHFTDHPPOVAMM-BDAKNGLRSA-N 0 0 252.318 2.930 20 5 CFBDRN CCCn1ncnc1CNc1cc([N+](=O)[O-])c(C)cc1C ZINC000357334496 535648624 /nfs/dbraw/zinc/64/86/24/535648624.db2.gz PEISXNWYUYWMAE-UHFFFAOYSA-N 0 0 289.339 2.825 20 5 CFBDRN CCOC(=O)c1cc(NC2CCC2)ccc1[N+](=O)[O-] ZINC000268249023 535681473 /nfs/dbraw/zinc/68/14/73/535681473.db2.gz YXKNNJXZLHTUOT-UHFFFAOYSA-N 0 0 264.281 2.736 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1)c1cccnc1C ZINC000439230738 535701189 /nfs/dbraw/zinc/70/11/89/535701189.db2.gz APTBNAYBZCGOMH-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000192162090 535707350 /nfs/dbraw/zinc/70/73/50/535707350.db2.gz VTUUKAOGHUMXHF-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN CC[C@@H](O)CCNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000268620445 535812168 /nfs/dbraw/zinc/81/21/68/535812168.db2.gz AAYXTRDBZQJNHU-SECBINFHSA-N 0 0 290.266 2.769 20 5 CFBDRN CC[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21)C(F)F ZINC000452245769 535859056 /nfs/dbraw/zinc/85/90/56/535859056.db2.gz KPMOFTHAUFJPFC-JTQLQIEISA-N 0 0 297.261 2.850 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCCC1 ZINC000456665138 535879211 /nfs/dbraw/zinc/87/92/11/535879211.db2.gz RSVANLPCQRIFRO-JTQLQIEISA-N 0 0 265.313 2.622 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450334399 535896493 /nfs/dbraw/zinc/89/64/93/535896493.db2.gz ZWSAIZLPKRBWFE-HZMBPMFUSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@H](O)CCCNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000357499330 535966908 /nfs/dbraw/zinc/96/69/08/535966908.db2.gz DBTFLDDKYYUQCJ-VIFPVBQESA-N 0 0 286.303 2.706 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000269254642 536145725 /nfs/dbraw/zinc/14/57/25/536145725.db2.gz YCOSACRKPXHGEZ-JTQLQIEISA-N 0 0 266.297 2.705 20 5 CFBDRN CNc1nc(-c2nc(CC(C)(C)C)no2)ccc1[N+](=O)[O-] ZINC000453108209 536422263 /nfs/dbraw/zinc/42/22/63/536422263.db2.gz JMRVGOASOUNICL-UHFFFAOYSA-N 0 0 291.311 2.670 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCC[C@@H](C)OC ZINC000342118159 536587162 /nfs/dbraw/zinc/58/71/62/536587162.db2.gz QCYVKYFVZQWYGO-SNVBAGLBSA-N 0 0 296.323 2.527 20 5 CFBDRN COC[C@@H]1CCCCN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000341982272 536744482 /nfs/dbraw/zinc/74/44/82/536744482.db2.gz JPDJYCKIHSYXOG-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000450948978 536764292 /nfs/dbraw/zinc/76/42/92/536764292.db2.gz RHSAJUGDIRQFPZ-SNVBAGLBSA-N 0 0 297.330 2.569 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2C[C@]2(C)C(C)C)cc1[N+](=O)[O-] ZINC000458125614 536766111 /nfs/dbraw/zinc/76/61/11/536766111.db2.gz CAHODTKBGVITHO-UKRRQHHQSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)/C=C\C1CCC1 ZINC000492415472 536951590 /nfs/dbraw/zinc/95/15/90/536951590.db2.gz KVKWMOXGQOBFLS-YVMONPNESA-N 0 0 290.319 2.576 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2NCCCCF ZINC000450537991 537014611 /nfs/dbraw/zinc/01/46/11/537014611.db2.gz GGRMQGFPVLDQEP-UHFFFAOYSA-N 0 0 293.298 2.735 20 5 CFBDRN COc1ccc(NC(=O)[C@@]2(C)C=CCC2)cc1[N+](=O)[O-] ZINC000935323880 649897614 /nfs/dbraw/zinc/89/76/14/649897614.db2.gz GYOLTNYCXCLYQJ-AWEZNQCLSA-N 0 0 276.292 2.898 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000746676025 574053905 /nfs/dbraw/zinc/05/39/05/574053905.db2.gz XOJHYMCOZKXVGK-TXEJJXNPSA-N 0 0 282.727 2.611 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)OCC1CCC1 ZINC000744199807 574060496 /nfs/dbraw/zinc/06/04/96/574060496.db2.gz WYPUPTYBVOEOQW-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN O=C(NCC1CC1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000727769549 574064657 /nfs/dbraw/zinc/06/46/57/574064657.db2.gz DGLLKPVRAPZCTM-UHFFFAOYSA-N 0 0 269.688 2.780 20 5 CFBDRN Cc1csc(COC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000744308168 574065548 /nfs/dbraw/zinc/06/55/48/574065548.db2.gz CKGZRPPVPIZMAS-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN COC(=O)/C=C\c1ccc(N[C@@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000727827221 574066864 /nfs/dbraw/zinc/06/68/64/574066864.db2.gz XYJACRBIRJAQRN-NTIFLXNXSA-N 0 0 276.292 2.601 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCc3cccc(F)n3)c21 ZINC000754062024 574067702 /nfs/dbraw/zinc/06/77/02/574067702.db2.gz XECZWDNFLWECIC-UHFFFAOYSA-N 0 0 299.265 2.684 20 5 CFBDRN CN(CC(=O)OC1CCCCC1)c1ccccc1[N+](=O)[O-] ZINC000744350767 574070627 /nfs/dbraw/zinc/07/06/27/574070627.db2.gz VZVMMWCWEGVXKH-UHFFFAOYSA-N 0 0 292.335 2.907 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@@H]1CCCCO1)CC2 ZINC000754113442 574073910 /nfs/dbraw/zinc/07/39/10/574073910.db2.gz JGGHIEZRCSLXGT-ZDUSSCGKSA-N 0 0 276.336 2.835 20 5 CFBDRN C[C@H](OC(=O)[C@@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000754141761 574076948 /nfs/dbraw/zinc/07/69/48/574076948.db2.gz PKYNDFGWXOKDPK-HZMBPMFUSA-N 0 0 279.292 2.626 20 5 CFBDRN CC[C@H](C)C(=O)OCCOc1ccc(OC)cc1[N+](=O)[O-] ZINC000744537186 574079416 /nfs/dbraw/zinc/07/94/16/574079416.db2.gz OPOUXFYPJORRFO-JTQLQIEISA-N 0 0 297.307 2.572 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cscn1 ZINC000728158903 574081792 /nfs/dbraw/zinc/08/17/92/574081792.db2.gz KWOAZKSKAGQDDA-UHFFFAOYSA-N 0 0 266.278 2.639 20 5 CFBDRN CC[C@@H](OC)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000744635013 574082518 /nfs/dbraw/zinc/08/25/18/574082518.db2.gz KRFDXDIUKVLMHO-BXKDBHETSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@H](OC(=O)C(C)(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000744767510 574086451 /nfs/dbraw/zinc/08/64/51/574086451.db2.gz RFGTVOPMYWJTGA-VIFPVBQESA-N 0 0 294.307 2.511 20 5 CFBDRN CSCCCOC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000744817498 574089284 /nfs/dbraw/zinc/08/92/84/574089284.db2.gz SRAWKJCZVGOLOJ-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730647106 574090870 /nfs/dbraw/zinc/09/08/70/574090870.db2.gz VTXOTQRFQXDOQH-SSDOTTSWSA-N 0 0 285.683 2.773 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ncc(C)cn1 ZINC000754361294 574091238 /nfs/dbraw/zinc/09/12/38/574091238.db2.gz SMSXTPIISDQKHH-YRNVUSSQSA-N 0 0 298.302 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1cscn1 ZINC000728332784 574091594 /nfs/dbraw/zinc/09/15/94/574091594.db2.gz LEAHQKOYEBWLJC-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1cc(C(=O)OCc2cscn2)cc([N+](=O)[O-])c1 ZINC000728334102 574092052 /nfs/dbraw/zinc/09/20/52/574092052.db2.gz VSBSJNRBNAZYCR-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN C[C@H](OC(=O)c1cscn1)c1cccc([N+](=O)[O-])c1 ZINC000728335894 574092081 /nfs/dbraw/zinc/09/20/81/574092081.db2.gz DQJDUFYFIANHPU-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN Cc1cc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])nc(C)n1 ZINC000754402583 574094363 /nfs/dbraw/zinc/09/43/63/574094363.db2.gz NUCVBWHJKHASOH-UHFFFAOYSA-N 0 0 287.275 2.529 20 5 CFBDRN CCOCCN(CC)c1ccc([N+](=O)[O-])cc1F ZINC000730806587 574098254 /nfs/dbraw/zinc/09/82/54/574098254.db2.gz SZUSWDRTBWMERY-UHFFFAOYSA-N 0 0 256.277 2.597 20 5 CFBDRN C[C@H]1CCCN(c2ccc([N+](=O)[O-])c3nonc32)CC1 ZINC000745170477 574104737 /nfs/dbraw/zinc/10/47/37/574104737.db2.gz MUNPLQHFZQKIQL-VIFPVBQESA-N 0 0 276.296 2.757 20 5 CFBDRN Cc1ncccc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000731095738 574109321 /nfs/dbraw/zinc/10/93/21/574109321.db2.gz ASSFZQTVBRJFSK-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC[C@H](CCOC)OC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000754575811 574112402 /nfs/dbraw/zinc/11/24/02/574112402.db2.gz NYAIJWOUVNGKLY-LLVKDONJSA-N 0 0 296.323 2.608 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)/C=C\C1CC1 ZINC000745553011 574116738 /nfs/dbraw/zinc/11/67/38/574116738.db2.gz MGEOININESHPLE-DAXSKMNVSA-N 0 0 277.276 2.613 20 5 CFBDRN CSC[C@@H](C)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000731389332 574119627 /nfs/dbraw/zinc/11/96/27/574119627.db2.gz OFUHOKYBVBQSKA-SNVBAGLBSA-N 0 0 299.348 2.516 20 5 CFBDRN CCCCCOC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000745617593 574120483 /nfs/dbraw/zinc/12/04/83/574120483.db2.gz KRUNKDURSIFCSI-UHFFFAOYSA-N 0 0 280.324 2.764 20 5 CFBDRN COc1ccc(COC(=O)[C@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000751735032 574123537 /nfs/dbraw/zinc/12/35/37/574123537.db2.gz LHTUWUHXZRDWKJ-RYUDHWBXSA-N 0 0 291.303 2.693 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1cc(F)ccc1O ZINC000745733262 574124455 /nfs/dbraw/zinc/12/44/55/574124455.db2.gz XYBXTHKAOPPSRM-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN CCC/C(C)=C\C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000731587750 574124503 /nfs/dbraw/zinc/12/45/03/574124503.db2.gz ZFHUXEFDKYEFDH-QXMHVHEDSA-N 0 0 292.335 2.836 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@@H]1CCCS1 ZINC000745960967 574129378 /nfs/dbraw/zinc/12/93/78/574129378.db2.gz PAXGLOMKDBZQFS-LBPRGKRZSA-N 0 0 281.333 2.576 20 5 CFBDRN O=C(OC[C@@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000745960727 574129446 /nfs/dbraw/zinc/12/94/46/574129446.db2.gz WGMJBHCCBFINAW-NSHDSACASA-N 0 0 267.306 2.647 20 5 CFBDRN O=C(OCCCF)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754988006 574130996 /nfs/dbraw/zinc/13/09/96/574130996.db2.gz NXICTEXVNBZJOK-UHFFFAOYSA-N 0 0 279.626 2.904 20 5 CFBDRN CC(C)N(Cc1ccc(F)cc1)Cn1cc([N+](=O)[O-])cn1 ZINC000746026554 574131585 /nfs/dbraw/zinc/13/15/85/574131585.db2.gz MSRGELZNMNHXJB-UHFFFAOYSA-N 0 0 292.314 2.799 20 5 CFBDRN CCC(=O)COC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755025133 574132998 /nfs/dbraw/zinc/13/29/98/574132998.db2.gz PWBBNHBRCZFTGL-CSKARUKUSA-N 0 0 277.276 2.520 20 5 CFBDRN CSCCOC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755057644 574133967 /nfs/dbraw/zinc/13/39/67/574133967.db2.gz YTWHFLXFXLGFNN-QMMMGPOBSA-N 0 0 287.312 2.744 20 5 CFBDRN CC(C)C(=O)COC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755058852 574134720 /nfs/dbraw/zinc/13/47/20/574134720.db2.gz FOWJBQLLLYEKGO-SECBINFHSA-N 0 0 297.282 2.606 20 5 CFBDRN Cc1occc1CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000731992111 574139495 /nfs/dbraw/zinc/13/94/95/574139495.db2.gz DXMUHYSQTQMARC-UHFFFAOYSA-N 0 0 296.229 2.704 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)c2ccco2)c([N+](=O)[O-])c1 ZINC000741516346 574140394 /nfs/dbraw/zinc/14/03/94/574140394.db2.gz KVNJHUBKRVVAHX-VIFPVBQESA-N 0 0 291.259 2.906 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2cc(Cl)ccc2[N+](=O)[O-])o1 ZINC000755142788 574141889 /nfs/dbraw/zinc/14/18/89/574141889.db2.gz MXXQHKGKDJESLM-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN CC(C)COCCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728993041 574144553 /nfs/dbraw/zinc/14/45/53/574144553.db2.gz BIMVVQKPUIYMTJ-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN CC(=Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc[nH]c1=O ZINC000755203811 574146922 /nfs/dbraw/zinc/14/69/22/574146922.db2.gz ULYYEWJRDSPIGG-KTKRTIGZSA-N 0 0 299.286 2.737 20 5 CFBDRN Cc1ccc(OC(=O)c2cncs2)cc1[N+](=O)[O-] ZINC000755250820 574149630 /nfs/dbraw/zinc/14/96/30/574149630.db2.gz SYNGBYSERUGIJB-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN Cc1ccc(OC(=O)Cc2ccco2)cc1[N+](=O)[O-] ZINC000755251166 574150340 /nfs/dbraw/zinc/15/03/40/574150340.db2.gz SEIZTXNZCMOTQN-UHFFFAOYSA-N 0 0 261.233 2.644 20 5 CFBDRN CC(C)NC(=S)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000746534298 574153414 /nfs/dbraw/zinc/15/34/14/574153414.db2.gz RWOISFCRKSFQGE-UHFFFAOYSA-N 0 0 293.392 2.842 20 5 CFBDRN CCC1(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)COC1 ZINC000755326492 574154229 /nfs/dbraw/zinc/15/42/29/574154229.db2.gz WOLCIMHALNPJQL-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN CCCCO[C@@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728999959 574155414 /nfs/dbraw/zinc/15/54/14/574155414.db2.gz HSCXVDBVWDSJOD-JTQLQIEISA-N 0 0 299.298 2.982 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCCn1cccc1 ZINC000755425692 574161601 /nfs/dbraw/zinc/16/16/01/574161601.db2.gz AQTONTYXYYAKHC-SEYXRHQNSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1ccc(OC(=O)/C=C\C2CCOCC2)c([N+](=O)[O-])c1 ZINC000732631186 574164294 /nfs/dbraw/zinc/16/42/94/574164294.db2.gz TVNGIRGPXQOOJX-HYXAFXHYSA-N 0 0 291.303 2.791 20 5 CFBDRN O=C(/C=C\C1CCOCC1)OCc1ccccc1[N+](=O)[O-] ZINC000732687194 574165870 /nfs/dbraw/zinc/16/58/70/574165870.db2.gz GBBCTLVBTIEFQP-WAYWQWQTSA-N 0 0 291.303 2.621 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1ccccc1O ZINC000746904342 574170188 /nfs/dbraw/zinc/17/01/88/574170188.db2.gz SXYXYTDXERIRBP-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000729088138 574172638 /nfs/dbraw/zinc/17/26/38/574172638.db2.gz QDXBNNWTKZWDJP-PSASIEDQSA-N 0 0 274.276 2.889 20 5 CFBDRN CC(C)COCCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746962634 574173332 /nfs/dbraw/zinc/17/33/32/574173332.db2.gz XMLHXGSNOIPQAL-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN C[C@H](OCC1CC1)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746967854 574173573 /nfs/dbraw/zinc/17/35/73/574173573.db2.gz TWSZLBZQSPNQFA-VIFPVBQESA-N 0 0 297.282 2.592 20 5 CFBDRN CCc1ccc(C(=O)OCCOCC2CC2)cc1[N+](=O)[O-] ZINC000747010552 574175384 /nfs/dbraw/zinc/17/53/84/574175384.db2.gz MMGZLENHHRAGOP-UHFFFAOYSA-N 0 0 293.319 2.741 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H]2CCCCC2=O)cc1[N+](=O)[O-] ZINC000747013236 574175528 /nfs/dbraw/zinc/17/55/28/574175528.db2.gz VZEXLEDTWPJSAQ-CQSZACIVSA-N 0 0 291.303 2.826 20 5 CFBDRN C[C@H](C(=O)O[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1F ZINC000755653838 574175678 /nfs/dbraw/zinc/17/56/78/574175678.db2.gz CJURCTJADPOMBT-WPRPVWTQSA-N 0 0 299.323 2.886 20 5 CFBDRN CCC(CC)OC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755657888 574175709 /nfs/dbraw/zinc/17/57/09/574175709.db2.gz OWXYPDITKVQIFF-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCCOC(C)C ZINC000755675777 574177587 /nfs/dbraw/zinc/17/75/87/574177587.db2.gz VINZLSVAGVHDTD-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CC1(CNc2nc3ccc([N+](=O)[O-])cc3s2)COC1 ZINC000732983712 574181680 /nfs/dbraw/zinc/18/16/80/574181680.db2.gz PDHOONJYJWRBLD-UHFFFAOYSA-N 0 0 279.321 2.653 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCc1ccoc1 ZINC000755761898 574185395 /nfs/dbraw/zinc/18/53/95/574185395.db2.gz HBAUQCHWNIFLDD-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@H](C(F)(F)F)C1 ZINC000747223729 574187938 /nfs/dbraw/zinc/18/79/38/574187938.db2.gz OCBOJAWPCWHPCC-NSHDSACASA-N 0 0 290.241 2.671 20 5 CFBDRN Cc1ccccc1S(=O)(=O)Oc1ccc([N+](=O)[O-])cc1 ZINC000747228787 574188424 /nfs/dbraw/zinc/18/84/24/574188424.db2.gz STWGQGFVTYDAOL-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN Cc1ccc(OC(=O)c2ccn(C(C)C)n2)c([N+](=O)[O-])c1 ZINC000733090984 574188851 /nfs/dbraw/zinc/18/88/51/574188851.db2.gz VZZAPBCOYLSLJQ-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H]1CC[C@H](C)C1 ZINC000755835084 574191296 /nfs/dbraw/zinc/19/12/96/574191296.db2.gz VQCYFMOINXQKBQ-GXSJLCMTSA-N 0 0 279.292 2.949 20 5 CFBDRN O=C(N(Cc1ccccc1[N+](=O)[O-])C1CC1)C(F)(F)F ZINC000747450085 574196040 /nfs/dbraw/zinc/19/60/40/574196040.db2.gz KEIJYWJPQNOEGL-UHFFFAOYSA-N 0 0 288.225 2.648 20 5 CFBDRN COc1ccc(CC(=O)O[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000747486753 574198411 /nfs/dbraw/zinc/19/84/11/574198411.db2.gz VWFDJBIIOLOFIE-JTQLQIEISA-N 0 0 293.319 2.878 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2cnccn2)ccc1[N+](=O)[O-] ZINC000747738449 574209430 /nfs/dbraw/zinc/20/94/30/574209430.db2.gz KETZKVAISGUUDG-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@@H](CCC(C)(C)C)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000755143390 574210828 /nfs/dbraw/zinc/21/08/28/574210828.db2.gz POIGNBLBRDYKJR-NSHDSACASA-N 0 0 297.355 2.797 20 5 CFBDRN C[C@@H](OC(=O)C[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000739509306 574216442 /nfs/dbraw/zinc/21/64/42/574216442.db2.gz IUULPFYCXYKSAB-MNOVXSKESA-N 0 0 279.292 2.626 20 5 CFBDRN CO[C@@H](CNc1cccc(F)c1[N+](=O)[O-])C(F)(F)F ZINC000733303380 574216851 /nfs/dbraw/zinc/21/68/51/574216851.db2.gz ODDYSSZZNINCFC-QMMMGPOBSA-N 0 0 282.193 2.723 20 5 CFBDRN Cc1ccc(OC(=O)c2cc([N+](=O)[O-])cn2C)cc1C ZINC000756212312 574216916 /nfs/dbraw/zinc/21/69/16/574216916.db2.gz NPZHXAZWONWJNV-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000729447836 574216990 /nfs/dbraw/zinc/21/69/90/574216990.db2.gz GDKJQENTDSSOTJ-APFFPGAZSA-N 0 0 274.320 2.913 20 5 CFBDRN CC(C)OCCCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000733379958 574224763 /nfs/dbraw/zinc/22/47/63/574224763.db2.gz LWAXGEMWQKZPRE-UHFFFAOYSA-N 0 0 273.310 2.628 20 5 CFBDRN CNc1ccc(C(=O)O[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000733380888 574225252 /nfs/dbraw/zinc/22/52/52/574225252.db2.gz WMJGMSAGNITDFO-LLVKDONJSA-N 0 0 276.292 2.902 20 5 CFBDRN CC[C@H](C)COC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000756338260 574226047 /nfs/dbraw/zinc/22/60/47/574226047.db2.gz KKSIORCXNYHNFQ-VIFPVBQESA-N 0 0 266.297 2.839 20 5 CFBDRN C[C@H](OC(=O)c1cocn1)c1cccc([N+](=O)[O-])c1 ZINC000729651074 574227088 /nfs/dbraw/zinc/22/70/88/574227088.db2.gz RUTXOYFBSJJFKM-QMMMGPOBSA-N 0 0 262.221 2.501 20 5 CFBDRN CC[C@@H](C)COC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000756375515 574230747 /nfs/dbraw/zinc/23/07/47/574230747.db2.gz RSGNDSWZJSPGLU-SNVBAGLBSA-N 0 0 291.307 2.534 20 5 CFBDRN O=C(CCCC1CCCC1)OCCn1ccc([N+](=O)[O-])n1 ZINC000748133240 574231023 /nfs/dbraw/zinc/23/10/23/574231023.db2.gz JYIOAWOTVQGARB-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1ccc([N+](=O)[O-])cc1F ZINC000748178018 574235360 /nfs/dbraw/zinc/23/53/60/574235360.db2.gz JXDTWXVLASGYKT-VIFPVBQESA-N 0 0 295.266 2.650 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000733469439 574240885 /nfs/dbraw/zinc/24/08/85/574240885.db2.gz CSTOJHLQQNYBRR-SNVBAGLBSA-N 0 0 265.240 2.999 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC[C@H](C)C(F)(F)F ZINC000748234935 574241496 /nfs/dbraw/zinc/24/14/96/574241496.db2.gz DZBPJFSLDPTSQW-LURJTMIESA-N 0 0 292.213 2.653 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000733493976 574241836 /nfs/dbraw/zinc/24/18/36/574241836.db2.gz DUVLNTAIBYTKEC-LBPRGKRZSA-N 0 0 265.240 2.999 20 5 CFBDRN CC(C)(C)C(=O)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000756537617 574244320 /nfs/dbraw/zinc/24/43/20/574244320.db2.gz VTPWXVYGDNLCGT-UHFFFAOYSA-N 0 0 293.319 2.686 20 5 CFBDRN COC[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000733707576 574252893 /nfs/dbraw/zinc/25/28/93/574252893.db2.gz XTPLRDLVIXYSHN-MRVPVSSYSA-N 0 0 279.248 2.533 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCCS1 ZINC000745957708 574254471 /nfs/dbraw/zinc/25/44/71/574254471.db2.gz IIYSSDRADRDTDX-SNVBAGLBSA-N 0 0 297.332 2.656 20 5 CFBDRN Cc1c(CC(=O)OCCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000748476517 574254470 /nfs/dbraw/zinc/25/44/70/574254470.db2.gz VILYISGIMJATFG-UHFFFAOYSA-N 0 0 291.225 2.941 20 5 CFBDRN C[C@H](OC(=O)C[C@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000740541667 574254727 /nfs/dbraw/zinc/25/47/27/574254727.db2.gz IGVHTXMBBWLRSC-WDEREUQCSA-N 0 0 279.292 2.626 20 5 CFBDRN CCc1ocnc1C(=O)Oc1ccc(CC)cc1[N+](=O)[O-] ZINC000740559486 574255629 /nfs/dbraw/zinc/25/56/29/574255629.db2.gz WLCDCFUATADSSF-UHFFFAOYSA-N 0 0 290.275 2.927 20 5 CFBDRN Cc1cnc(OCc2ncc(C(C)C)o2)c([N+](=O)[O-])c1 ZINC000748646809 574261476 /nfs/dbraw/zinc/26/14/76/574261476.db2.gz NGTRRMLDPPCCDP-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N(C)CC1CC1 ZINC000740866246 574271082 /nfs/dbraw/zinc/27/10/82/574271082.db2.gz KKBJINGUQITRDI-UHFFFAOYSA-N 0 0 296.298 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCc2ccc(CO)o2)c1 ZINC000756900365 574271345 /nfs/dbraw/zinc/27/13/45/574271345.db2.gz QGGNWDZOXITTJA-UHFFFAOYSA-N 0 0 296.710 2.623 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCCc1cnn(C)c1 ZINC000740873145 574271659 /nfs/dbraw/zinc/27/16/59/574271659.db2.gz SJKOVGCZACSBFS-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN CCn1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000734081515 574272631 /nfs/dbraw/zinc/27/26/31/574272631.db2.gz LQMYERYRBKHCMV-SNVBAGLBSA-N 0 0 289.291 2.729 20 5 CFBDRN Cc1nn(C)c(SCc2ccco2)c1[N+](=O)[O-] ZINC000734142128 574274522 /nfs/dbraw/zinc/27/45/22/574274522.db2.gz QKFQVEMIGGOHQX-UHFFFAOYSA-N 0 0 253.283 2.522 20 5 CFBDRN C[C@@H](OC(=O)COCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000749055777 574277922 /nfs/dbraw/zinc/27/79/22/574277922.db2.gz OABPFQICBLKENT-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCCSC2)nc2ccccn21 ZINC000749103058 574279773 /nfs/dbraw/zinc/27/97/73/574279773.db2.gz VWGJPFUBHMDATL-SECBINFHSA-N 0 0 278.337 2.550 20 5 CFBDRN O=C(OCCOCc1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000741125883 574281462 /nfs/dbraw/zinc/28/14/62/574281462.db2.gz ZBWRAAGBVBEOCK-UHFFFAOYSA-N 0 0 291.259 2.561 20 5 CFBDRN CCN1CCCC[C@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000741149687 574281974 /nfs/dbraw/zinc/28/19/74/574281974.db2.gz TWTJVXRSESWOKQ-AWEZNQCLSA-N 0 0 292.335 2.512 20 5 CFBDRN C[C@@H](CNC(=O)COc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000734335243 574282376 /nfs/dbraw/zinc/28/23/76/574282376.db2.gz DJNBODVZLZMDPG-NSHDSACASA-N 0 0 294.351 2.772 20 5 CFBDRN Cc1c(CC(=O)O[C@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000749151862 574282524 /nfs/dbraw/zinc/28/25/24/574282524.db2.gz ZXCCNBLGMJYQQP-MRVPVSSYSA-N 0 0 291.225 2.940 20 5 CFBDRN CC(C)OCCOC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000749314874 574289104 /nfs/dbraw/zinc/28/91/04/574289104.db2.gz JTHIHDQYKQJMFE-UHFFFAOYSA-N 0 0 292.291 2.658 20 5 CFBDRN O=C(C[C@@H]1CCOC1)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000741439952 574289951 /nfs/dbraw/zinc/28/99/51/574289951.db2.gz LLSYJMJDZXQEGG-JTQLQIEISA-N 0 0 299.710 2.718 20 5 CFBDRN O=C(NCCOC1CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000742820211 574295997 /nfs/dbraw/zinc/29/59/97/574295997.db2.gz YAJQGWNSNDUETG-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(C[C@@H]1CCCO1)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734890664 574306637 /nfs/dbraw/zinc/30/66/37/574306637.db2.gz HRWYTBGLAHWNEP-NSHDSACASA-N 0 0 299.710 2.861 20 5 CFBDRN CC[C@@H](OC)C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734894588 574307068 /nfs/dbraw/zinc/30/70/68/574307068.db2.gz IXWHRQFEPMBSJP-LLVKDONJSA-N 0 0 287.699 2.716 20 5 CFBDRN C/C=C/C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000741958265 574312025 /nfs/dbraw/zinc/31/20/25/574312025.db2.gz QODYUNKPGGKNSQ-YWVDXFKGSA-N 0 0 278.333 2.745 20 5 CFBDRN C[C@@H](COC(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000735130728 574314270 /nfs/dbraw/zinc/31/42/70/574314270.db2.gz AUKYARVPSFBSLB-NSHDSACASA-N 0 0 288.303 2.894 20 5 CFBDRN Cc1ccc(C=Cc2nccc(=O)[nH]2)cc1[N+](=O)[O-] ZINC000742220162 574319258 /nfs/dbraw/zinc/31/92/58/574319258.db2.gz JSAIPHIXXKHUFU-SNAWJCMRSA-N 0 0 257.249 2.569 20 5 CFBDRN Cc1ccc2c(c1)N(C[C@H](O)c1cccc([N+](=O)[O-])c1)CC2 ZINC000750095275 574323987 /nfs/dbraw/zinc/32/39/87/574323987.db2.gz JDIVOHATSJGWBT-KRWDZBQOSA-N 0 0 298.342 2.999 20 5 CFBDRN C[C@@H](O)c1ccc(CNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000735487160 574326500 /nfs/dbraw/zinc/32/65/00/574326500.db2.gz QNVRIKCBPFBFDZ-SNVBAGLBSA-N 0 0 273.292 2.655 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCCOC[C@@H]1CCCO1 ZINC000742390678 574327415 /nfs/dbraw/zinc/32/74/15/574327415.db2.gz XPWKANLIQFRUCR-NSHDSACASA-N 0 0 298.314 2.732 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ccc(F)cc1O ZINC000750148709 574327806 /nfs/dbraw/zinc/32/78/06/574327806.db2.gz ZZZDSBWUVNRCQM-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN CCCCOCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000735633801 574331467 /nfs/dbraw/zinc/33/14/67/574331467.db2.gz WPAMGCRRBCJUSZ-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN CCC(C)(C)CCOC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000744340472 574332563 /nfs/dbraw/zinc/33/25/63/574332563.db2.gz RRZMEGNWWIILAJ-UHFFFAOYSA-N 0 0 297.355 2.896 20 5 CFBDRN C[C@@H](Cc1ccccc1)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000750415519 574338613 /nfs/dbraw/zinc/33/86/13/574338613.db2.gz ZHFGKBJNVHCIEZ-NSHDSACASA-N 0 0 288.303 2.721 20 5 CFBDRN CCc1ccc(OC(=O)Cc2cccnc2)c([N+](=O)[O-])c1 ZINC000735916247 574343116 /nfs/dbraw/zinc/34/31/16/574343116.db2.gz IUQULUQLKHVUKX-UHFFFAOYSA-N 0 0 286.287 2.700 20 5 CFBDRN C[Si](C)(C)COC(=O)c1ccccc1[N+](=O)[O-] ZINC000735962799 574344385 /nfs/dbraw/zinc/34/43/85/574344385.db2.gz RKHHYCMUSOPIFC-UHFFFAOYSA-N 0 0 253.330 2.629 20 5 CFBDRN O=C(OCc1ccon1)c1ccc([N+](=O)[O-])cc1Cl ZINC000735962721 574344399 /nfs/dbraw/zinc/34/43/99/574344399.db2.gz LDWKJQLGMYSPBI-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750534558 574346597 /nfs/dbraw/zinc/34/65/97/574346597.db2.gz IOOXUOQELUQTEM-SSDOTTSWSA-N 0 0 285.683 2.773 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750534560 574346783 /nfs/dbraw/zinc/34/67/83/574346783.db2.gz IOOXUOQELUQTEM-ZETCQYMHSA-N 0 0 285.683 2.773 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])cn2C)[C@H](C)C1 ZINC000736029946 574348055 /nfs/dbraw/zinc/34/80/55/574348055.db2.gz TZJHTWVCMCDTMO-GIPNMCIBSA-N 0 0 280.324 2.915 20 5 CFBDRN O=[N+]([O-])c1c(NCCNc2ccccc2)nc2ccccn21 ZINC000736294837 574360077 /nfs/dbraw/zinc/36/00/77/574360077.db2.gz OXVFBQHDGZETPO-UHFFFAOYSA-N 0 0 297.318 2.767 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2cccc(CO)c2)c(Cl)c1 ZINC000736284109 574360214 /nfs/dbraw/zinc/36/02/14/574360214.db2.gz KXHBGQRSGRJZJR-UHFFFAOYSA-N 0 0 279.683 2.879 20 5 CFBDRN COCCCNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000743413668 574363326 /nfs/dbraw/zinc/36/33/26/574363326.db2.gz KMNFANWVBGNCNS-UHFFFAOYSA-N 0 0 267.310 2.653 20 5 CFBDRN Cc1ncoc1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000750928638 574363727 /nfs/dbraw/zinc/36/37/27/574363727.db2.gz XOMHDDBBGRRCKX-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CC(C)=C(C)C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000750945701 574364892 /nfs/dbraw/zinc/36/48/92/574364892.db2.gz YQNIULNNHUARNZ-UHFFFAOYSA-N 0 0 277.276 2.677 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000743461182 574365655 /nfs/dbraw/zinc/36/56/55/574365655.db2.gz VTKCSVLYDZTNNX-VHSXEESVSA-N 0 0 270.304 2.943 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@@H]1C[C@@H]1C1CC1 ZINC000751249634 574372844 /nfs/dbraw/zinc/37/28/44/574372844.db2.gz UMMALXTZZAYTFA-VXGBXAGGSA-N 0 0 279.267 2.823 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1C[C@@H]1C1CC1 ZINC000751249630 574372991 /nfs/dbraw/zinc/37/29/91/574372991.db2.gz UMMALXTZZAYTFA-NEPJUHHUSA-N 0 0 279.267 2.823 20 5 CFBDRN CS/C=C/C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000751586913 574379774 /nfs/dbraw/zinc/37/97/74/574379774.db2.gz WYTCSSJQPIZNAS-SOFGYWHQSA-N 0 0 278.333 2.751 20 5 CFBDRN CC(C)CC(=O)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000751628801 574380299 /nfs/dbraw/zinc/38/02/99/574380299.db2.gz SOLLEDGVRFJANN-VMPITWQZSA-N 0 0 291.303 2.766 20 5 CFBDRN CC(C)CC(=O)COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000751657928 574380615 /nfs/dbraw/zinc/38/06/15/574380615.db2.gz CKXMYKSJRUEZLK-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN C/C=C(/C)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751676254 574380913 /nfs/dbraw/zinc/38/09/13/574380913.db2.gz RXRZPUSZBDSGOT-BAQGIRSFSA-N 0 0 276.248 2.745 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000751735825 574381668 /nfs/dbraw/zinc/38/16/68/574381668.db2.gz IDWXDYWIYHXTIN-QWHCGFSZSA-N 0 0 291.303 2.693 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000751796175 574383028 /nfs/dbraw/zinc/38/30/28/574383028.db2.gz LLZUCUPNMYKZNW-LBPRGKRZSA-N 0 0 263.293 2.727 20 5 CFBDRN CC(C)n1ccnc1COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000752067869 574387407 /nfs/dbraw/zinc/38/74/07/574387407.db2.gz SYDDIZYTLKUXPC-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000752355281 574390546 /nfs/dbraw/zinc/39/05/46/574390546.db2.gz PJUWACCYVOVPHR-TYBABMIJSA-N 0 0 277.276 2.519 20 5 CFBDRN C/C(=C\C(=O)OCc1ccno1)c1ccc([N+](=O)[O-])cc1 ZINC000752348781 574390554 /nfs/dbraw/zinc/39/05/54/574390554.db2.gz GURWLMUSUCLFDQ-CSKARUKUSA-N 0 0 288.259 2.730 20 5 CFBDRN CC(C)(C)C(=O)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000752444162 574391447 /nfs/dbraw/zinc/39/14/47/574391447.db2.gz REYDFOGYMQNBND-UHFFFAOYSA-N 0 0 292.335 2.561 20 5 CFBDRN C/C(=C\C(=O)NC1CC(C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000752500338 574392150 /nfs/dbraw/zinc/39/21/50/574392150.db2.gz NBMUXTLKGCPULC-PKNBQFBNSA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCCOCC2CC2)c1[N+](=O)[O-] ZINC000752555998 574392696 /nfs/dbraw/zinc/39/26/96/574392696.db2.gz UWYLUFJHQSGFIE-UHFFFAOYSA-N 0 0 297.282 2.626 20 5 CFBDRN O=C(OC/C=C\Cl)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752561039 574392733 /nfs/dbraw/zinc/39/27/33/574392733.db2.gz DRQTUWSUCJSQLU-RJRFIUFISA-N 0 0 277.610 2.782 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCCC2CC2)c1[N+](=O)[O-] ZINC000752555490 574392746 /nfs/dbraw/zinc/39/27/46/574392746.db2.gz WUUQIZTTZFVIGL-UHFFFAOYSA-N 0 0 267.256 2.999 20 5 CFBDRN CCc1coc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)n1 ZINC000752982744 574397172 /nfs/dbraw/zinc/39/71/72/574397172.db2.gz YEGPJHVHHRMQDR-UHFFFAOYSA-N 0 0 297.217 2.676 20 5 CFBDRN Cc1ccc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])nc1 ZINC000753041422 574397806 /nfs/dbraw/zinc/39/78/06/574397806.db2.gz OVLMQHOUYJYABB-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)CC(C)C)c1[N+](=O)[O-] ZINC000753092350 574398414 /nfs/dbraw/zinc/39/84/14/574398414.db2.gz AFIGNPOLBHXWNX-UHFFFAOYSA-N 0 0 268.288 2.770 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NC12CCC(CC1)C2 ZINC000753131681 574398996 /nfs/dbraw/zinc/39/89/96/574398996.db2.gz SQPQDWFSYDLFFT-UHFFFAOYSA-N 0 0 289.335 2.727 20 5 CFBDRN CCCCCC(C)(C)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000753512354 574403584 /nfs/dbraw/zinc/40/35/84/574403584.db2.gz CDWUNBWCHZTMIJ-UHFFFAOYSA-N 0 0 297.355 2.941 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)C[C@H]1CCOC1 ZINC000753625665 574406942 /nfs/dbraw/zinc/40/69/42/574406942.db2.gz HVCQUEFTBGTQFX-NWDGAFQWSA-N 0 0 293.319 2.934 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CC2(CC(F)C2)C1 ZINC000753671492 574407868 /nfs/dbraw/zinc/40/78/68/574407868.db2.gz RZQXYGNSZJJXBL-UTCJRWHESA-N 0 0 290.294 2.569 20 5 CFBDRN CCC(CC)(CC(=O)[O-])[NH2+]CCc1ccc([N+](=O)[O-])cc1 ZINC000828800680 601634857 /nfs/dbraw/zinc/63/48/57/601634857.db2.gz ZRTXPQQTOJTCTP-UHFFFAOYSA-N 0 0 294.351 2.760 20 5 CFBDRN C[C@@]1(C(=O)[O-])CC[N@H+](Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000828028897 601931874 /nfs/dbraw/zinc/93/18/74/601931874.db2.gz HHFCGVDEYKKHNL-CYBMUJFWSA-N 0 0 298.726 2.545 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCF ZINC000900347767 620665553 /nfs/dbraw/zinc/66/55/53/620665553.db2.gz BPADYAGMXISSCC-UHFFFAOYSA-N 0 0 268.288 2.691 20 5 CFBDRN Cc1ccc([C@H](C)[NH2+]CCCN(C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000830256698 604263767 /nfs/dbraw/zinc/26/37/67/604263767.db2.gz KRHPAPOXZSCXCH-NSHDSACASA-N 0 0 295.339 2.554 20 5 CFBDRN C[N@H+](Cc1ccc(Cl)c([N+](=O)[O-])c1)C(C)(C)C(=O)[O-] ZINC000830825318 604789045 /nfs/dbraw/zinc/78/90/45/604789045.db2.gz PVNGADSNLCQRAG-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN C[C@]1([NH2+]Cc2ccc([N+](=O)[O-])cc2)CCCC[C@@H]1C(=O)[O-] ZINC000833571620 604817716 /nfs/dbraw/zinc/81/77/16/604817716.db2.gz QXDKJYVMHSXGAO-HIFRSBDPSA-N 0 0 292.335 2.718 20 5 CFBDRN CCN(CC[NH2+][C@@H](C)c1cc([N+](=O)[O-])ccc1C)C(=O)[O-] ZINC000827150627 604955853 /nfs/dbraw/zinc/95/58/53/604955853.db2.gz KRTFEOQHPAYFCD-NSHDSACASA-N 0 0 295.339 2.554 20 5 CFBDRN C/C(=C\C[NH2+][C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000833381709 605174287 /nfs/dbraw/zinc/17/42/87/605174287.db2.gz DIYSTBIOYFJNCX-RUYJGKKWSA-N 0 0 278.308 2.585 20 5 CFBDRN O=C(OCC1CC2(CCC2)C1)c1ccc([N+](=O)[O-])o1 ZINC000851477244 634382858 /nfs/dbraw/zinc/38/28/58/634382858.db2.gz DFFDOFTZOGXBEM-UHFFFAOYSA-N 0 0 265.265 2.925 20 5 CFBDRN C[C@H](COC(=O)c1cc2c(s1)CCCCC2)[N+](=O)[O-] ZINC000813109724 617933713 /nfs/dbraw/zinc/93/37/13/617933713.db2.gz OITDTEWMXDZOPZ-SECBINFHSA-N 0 0 283.349 2.839 20 5 CFBDRN CC1CC(NC(=O)c2cc(Cl)c(F)c([N+](=O)[O-])c2)C1 ZINC000900932578 620732875 /nfs/dbraw/zinc/73/28/75/620732875.db2.gz XFRXBXZOLOBRIK-UHFFFAOYSA-N 0 0 286.690 2.916 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H]1CCC[C@@H](O)C1 ZINC000807982473 618029899 /nfs/dbraw/zinc/02/98/99/618029899.db2.gz BQKYKIJWZSRION-DGCLKSJQSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CC[C@@H]2C)c1[N+](=O)[O-] ZINC000804854038 618046006 /nfs/dbraw/zinc/04/60/06/618046006.db2.gz DYSPUNWIVYWIPT-WRWORJQWSA-N 0 0 266.272 2.571 20 5 CFBDRN CCc1cnccc1NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000888977229 618114486 /nfs/dbraw/zinc/11/44/86/618114486.db2.gz SJEAMXGQESRDGJ-UHFFFAOYSA-N 0 0 299.330 2.843 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000177746600 618083898 /nfs/dbraw/zinc/08/38/98/618083898.db2.gz SLNVPXBFQGANEP-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235119844 618103112 /nfs/dbraw/zinc/10/31/12/618103112.db2.gz JSELYMPUZBCKJX-BQBZGAKWSA-N 0 0 276.695 2.816 20 5 CFBDRN C[C@H](CO)CSCc1cc(F)ccc1[N+](=O)[O-] ZINC000097460276 617661574 /nfs/dbraw/zinc/66/15/74/617661574.db2.gz OATFHPVLGFABLG-MRVPVSSYSA-N 0 0 259.302 2.596 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NOCC(C)C ZINC000120289329 617669478 /nfs/dbraw/zinc/66/94/78/617669478.db2.gz KDMXPVADVRHPOG-UHFFFAOYSA-N 0 0 281.312 2.921 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCCC2)c1C ZINC000891590864 617678163 /nfs/dbraw/zinc/67/81/63/617678163.db2.gz DWBJCWQMHHYEID-UHFFFAOYSA-N 0 0 262.309 2.838 20 5 CFBDRN COCC[C@H](C)CNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000891596939 617680542 /nfs/dbraw/zinc/68/05/42/617680542.db2.gz HMHUJLDQHIXJDF-JTQLQIEISA-N 0 0 294.351 2.614 20 5 CFBDRN CCc1ccc(OC(=O)[C@@]2(C)CCCO2)c([N+](=O)[O-])c1 ZINC000121491853 617681231 /nfs/dbraw/zinc/68/12/31/617681231.db2.gz QRUUKNDMVUJMAB-CQSZACIVSA-N 0 0 279.292 2.632 20 5 CFBDRN O=C(Cc1ccoc1)Nc1cc([N+](=O)[O-])ccc1F ZINC000120420853 617690013 /nfs/dbraw/zinc/69/00/13/617690013.db2.gz PWFPRBQUWFYVDV-UHFFFAOYSA-N 0 0 264.212 2.508 20 5 CFBDRN COc1c(O)ccc(CN(C)Cc2ccoc2)c1[N+](=O)[O-] ZINC000891779157 617747690 /nfs/dbraw/zinc/74/76/90/617747690.db2.gz FZQWSGWPUQOUEJ-UHFFFAOYSA-N 0 0 292.291 2.534 20 5 CFBDRN C[C@H](O)[C@H]1CCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000120657831 617756939 /nfs/dbraw/zinc/75/69/39/617756939.db2.gz ZLMRACVIQDBIBV-JQWIXIFHSA-N 0 0 298.770 2.841 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCc1ccc(Cl)s1 ZINC000891867498 617794241 /nfs/dbraw/zinc/79/42/41/617794241.db2.gz FRAHGMYPMUONFD-UHFFFAOYSA-N 0 0 286.744 2.698 20 5 CFBDRN CC[C@H](C)C(=O)O[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000023519880 617801331 /nfs/dbraw/zinc/80/13/31/617801331.db2.gz ZAWRKNGVIHXXCZ-UWVGGRQHSA-N 0 0 294.307 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC3(CCOC3)CC2)c(F)c1 ZINC000804687185 617811321 /nfs/dbraw/zinc/81/13/21/617811321.db2.gz MLVNBDOJGBXYOP-UHFFFAOYSA-N 0 0 298.289 2.880 20 5 CFBDRN Cc1ccc(N2CC[C@H](C(F)F)C2)c([N+](=O)[O-])c1 ZINC000804687942 617811624 /nfs/dbraw/zinc/81/16/24/617811624.db2.gz DJQPXVZKPZLTIU-VIFPVBQESA-N 0 0 256.252 2.995 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@H]2C[C@H]21 ZINC000804688452 617812136 /nfs/dbraw/zinc/81/21/36/617812136.db2.gz JZEFWQDQVRPCRV-TVQRCGJNSA-N 0 0 255.277 2.742 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000860910700 617870478 /nfs/dbraw/zinc/87/04/78/617870478.db2.gz ACNZMOJWPCWDMQ-UHFFFAOYSA-N 0 0 277.198 2.529 20 5 CFBDRN C[C@@H](O)c1cccc(NCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000891965355 617884483 /nfs/dbraw/zinc/88/44/83/617884483.db2.gz OXZNNJZQAGRRDF-LLVKDONJSA-N 0 0 287.319 2.698 20 5 CFBDRN Cc1c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cnn1C ZINC000176303240 617893571 /nfs/dbraw/zinc/89/35/71/617893571.db2.gz YODUVKIXKYSTFE-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000934104237 617901478 /nfs/dbraw/zinc/90/14/78/617901478.db2.gz ZQUAHHWYSNEHMR-BAESOJJISA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)Cc2ccco2)c1[N+](=O)[O-] ZINC000121264716 617903622 /nfs/dbraw/zinc/90/36/22/617903622.db2.gz ZYORGIRIUGLWEO-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN CCc1ccc([C@@H](C)CC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813103985 617924282 /nfs/dbraw/zinc/92/42/82/617924282.db2.gz VRUKNRQSONGBCU-NWDGAFQWSA-N 0 0 279.336 2.951 20 5 CFBDRN COc1ccc(CN2CCc3c(cccc3[N+](=O)[O-])C2)o1 ZINC000892053382 617928579 /nfs/dbraw/zinc/92/85/79/617928579.db2.gz VGHYCUOQUQZJLI-UHFFFAOYSA-N 0 0 288.303 2.755 20 5 CFBDRN Cc1ccccc1C[C@H](C)Nc1c([N+](=O)[O-])cnn1C ZINC000892062400 617932531 /nfs/dbraw/zinc/93/25/31/617932531.db2.gz ZHBGOXUIRRNGQI-NSHDSACASA-N 0 0 274.324 2.680 20 5 CFBDRN CC(C)COc1ccccc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813114018 617947546 /nfs/dbraw/zinc/94/75/46/617947546.db2.gz KZOKQRGMFQIYEM-NSHDSACASA-N 0 0 281.308 2.543 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC[C@H](C)CC1 ZINC000892101593 617949667 /nfs/dbraw/zinc/94/96/67/617949667.db2.gz OIHOWAQAAUFSAE-JTQLQIEISA-N 0 0 265.313 2.625 20 5 CFBDRN COC(=O)c1cc(OC/C=C\Cl)ccc1[N+](=O)[O-] ZINC000255105478 617961079 /nfs/dbraw/zinc/96/10/79/617961079.db2.gz KOUBESYCTVQLDP-DJWKRKHSSA-N 0 0 271.656 2.513 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCCOC1CCCC1 ZINC000892137251 617969482 /nfs/dbraw/zinc/96/94/82/617969482.db2.gz WGNGCRBBTGIECV-UHFFFAOYSA-N 0 0 295.339 2.760 20 5 CFBDRN CCCOCCOC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000177621029 617971272 /nfs/dbraw/zinc/97/12/72/617971272.db2.gz BEHNJVCNIPQKLO-UHFFFAOYSA-N 0 0 281.308 2.741 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H](C)CO1 ZINC000891030288 617976601 /nfs/dbraw/zinc/97/66/01/617976601.db2.gz QYLDOYFILLOJAF-ZANVPECISA-N 0 0 278.308 2.521 20 5 CFBDRN CC(C)SCCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000804851218 618008856 /nfs/dbraw/zinc/00/88/56/618008856.db2.gz PBWIGCGKSGOCAA-UHFFFAOYSA-N 0 0 280.353 2.796 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@]1(C)CCOC1 ZINC000807974339 618013781 /nfs/dbraw/zinc/01/37/81/618013781.db2.gz COZRSEWNFUJPLL-CQSZACIVSA-N 0 0 278.308 2.636 20 5 CFBDRN Cc1ncoc1COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000891145753 618017198 /nfs/dbraw/zinc/01/71/98/618017198.db2.gz QGBDVNMRLDNHDR-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@H](C)F)c1C ZINC000891186869 618027784 /nfs/dbraw/zinc/02/77/84/618027784.db2.gz OGCMYLZFEAEOKR-VIFPVBQESA-N 0 0 268.288 2.690 20 5 CFBDRN CCOC(=O)CCN(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000050087127 618053809 /nfs/dbraw/zinc/05/38/09/618053809.db2.gz CNCPETNVHKZHJE-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCc2ccco2)c1[N+](=O)[O-] ZINC000176678488 618056357 /nfs/dbraw/zinc/05/63/57/618056357.db2.gz NVGIIPMDOCCUSR-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN O=C(OC1CCOCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000158443843 618058716 /nfs/dbraw/zinc/05/87/16/618058716.db2.gz YCUOEAOKRMNIHS-UHFFFAOYSA-N 0 0 285.683 2.584 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037586 618061880 /nfs/dbraw/zinc/06/18/80/618061880.db2.gz HJQOMCXPXXFMBK-ZETCQYMHSA-N 0 0 284.262 2.744 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037350 618062068 /nfs/dbraw/zinc/06/20/68/618062068.db2.gz CYQRLEAMQKIUKB-SSDOTTSWSA-N 0 0 272.251 2.791 20 5 CFBDRN CN(Cc1ccco1)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037514 618062182 /nfs/dbraw/zinc/06/21/82/618062182.db2.gz PRPLIDGXNQQBQX-UHFFFAOYSA-N 0 0 296.229 2.738 20 5 CFBDRN CC(C)(C)OCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255525122 618088869 /nfs/dbraw/zinc/08/88/69/618088869.db2.gz MGWDYCQIOPJLOP-TWGQIWQCSA-N 0 0 293.319 2.966 20 5 CFBDRN Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2N)sc1C ZINC000050212689 618094106 /nfs/dbraw/zinc/09/41/06/618094106.db2.gz OJMQILAWGBTAPR-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN CCC[C@H](C)OC(=O)COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000177775210 618104507 /nfs/dbraw/zinc/10/45/07/618104507.db2.gz IRIKWHFEESALBS-JTQLQIEISA-N 0 0 297.307 2.714 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000889063594 618138340 /nfs/dbraw/zinc/13/83/40/618138340.db2.gz BEJWGAKAESTFCQ-SECBINFHSA-N 0 0 282.365 2.693 20 5 CFBDRN CC(C)OC(=O)C(C)(C)NCc1ccc([N+](=O)[O-])c(F)c1 ZINC000934819675 618138902 /nfs/dbraw/zinc/13/89/02/618138902.db2.gz HIVOIIBNLMSNSC-UHFFFAOYSA-N 0 0 298.314 2.554 20 5 CFBDRN CCC[C@H](CC(C)C)C(=O)Nc1c([N+](=O)[O-])ncn1C ZINC000891344710 618141711 /nfs/dbraw/zinc/14/17/11/618141711.db2.gz AKNRXVFXENAWDV-SNVBAGLBSA-N 0 0 282.344 2.729 20 5 CFBDRN O=C(Nc1cnn(C2CCC2)c1)c1cccc([N+](=O)[O-])c1 ZINC000889066831 618146269 /nfs/dbraw/zinc/14/62/69/618146269.db2.gz PYBRBMRZCVCAMC-UHFFFAOYSA-N 0 0 286.291 2.769 20 5 CFBDRN C[C@@H](CCc1ccccc1)Nc1c([N+](=O)[O-])cnn1C ZINC000891419933 618192010 /nfs/dbraw/zinc/19/20/10/618192010.db2.gz TWLRKEIXGULYBO-NSHDSACASA-N 0 0 274.324 2.762 20 5 CFBDRN CCCCNC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000891424216 618193374 /nfs/dbraw/zinc/19/33/74/618193374.db2.gz VOEBXCGEFHRVAH-LLVKDONJSA-N 0 0 279.340 2.798 20 5 CFBDRN CCOC(COc1cc(F)ccc1[N+](=O)[O-])OCC ZINC000075914367 618203435 /nfs/dbraw/zinc/20/34/35/618203435.db2.gz OISRNJNNJJAMEU-UHFFFAOYSA-N 0 0 273.260 2.512 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCC[C@@H]1CCCO1 ZINC000255590102 618210443 /nfs/dbraw/zinc/21/04/43/618210443.db2.gz LMLXIGMDAZDWCP-PODYEWJSSA-N 0 0 291.303 2.720 20 5 CFBDRN CC(C)CNC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000891448755 618211979 /nfs/dbraw/zinc/21/19/79/618211979.db2.gz CQWSIFXYBXRYCQ-NSHDSACASA-N 0 0 279.340 2.654 20 5 CFBDRN C/C(Cl)=C/C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000901329556 620786205 /nfs/dbraw/zinc/78/62/05/620786205.db2.gz MKVWBIDOTPWWHJ-UFGYOYAJSA-N 0 0 268.700 2.915 20 5 CFBDRN O=C(Nc1ccc(O)cc1F)c1ccc([N+](=O)[O-])cc1 ZINC000070333200 618216196 /nfs/dbraw/zinc/21/61/96/618216196.db2.gz MVAUKGPUAGUNQE-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCc1occc1C ZINC000893952689 618251551 /nfs/dbraw/zinc/25/15/51/618251551.db2.gz ZKBVLBSFMYSHJK-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000930732161 618256880 /nfs/dbraw/zinc/25/68/80/618256880.db2.gz AQFXHEWUXJMWRB-OLZOCXBDSA-N 0 0 293.323 2.544 20 5 CFBDRN CCC(CC)(CO)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000842109934 618259487 /nfs/dbraw/zinc/25/94/87/618259487.db2.gz BVTXGPQEHVLRSD-UHFFFAOYSA-N 0 0 299.298 2.576 20 5 CFBDRN CC/C=C\CNc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000894045126 618287460 /nfs/dbraw/zinc/28/74/60/618287460.db2.gz CILPGGJJIHZPFB-DAXSKMNVSA-N 0 0 287.319 2.825 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000235431105 618299488 /nfs/dbraw/zinc/29/94/88/618299488.db2.gz DVOTYDUIDRITHW-ISVAXAHUSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1C[C@@H]2CCCC[C@@H]2C1 ZINC000894067015 618303337 /nfs/dbraw/zinc/30/33/37/618303337.db2.gz GMQQNFLEQKNPKQ-PHIMTYICSA-N 0 0 277.324 2.625 20 5 CFBDRN Cc1cccc(C(=O)O[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000103828600 618331252 /nfs/dbraw/zinc/33/12/52/618331252.db2.gz KTXNDKIOPBTWQQ-VIFPVBQESA-N 0 0 267.306 2.566 20 5 CFBDRN O=C(O[C@@H]1COc2ccccc21)c1csc([N+](=O)[O-])c1 ZINC000178696326 618337876 /nfs/dbraw/zinc/33/78/76/618337876.db2.gz HKNZRIJAGCYKON-LLVKDONJSA-N 0 0 291.284 2.947 20 5 CFBDRN Cc1cnc(COc2ccc([N+](=O)[O-])cc2)s1 ZINC000179729746 618345381 /nfs/dbraw/zinc/34/53/81/618345381.db2.gz OVAHEMMMWMWKSX-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1ccc(C(=O)OC[C@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000177254183 618384226 /nfs/dbraw/zinc/38/42/26/618384226.db2.gz XDZAMFIPBQFIDK-AWEZNQCLSA-N 0 0 279.292 2.629 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000235590147 618384242 /nfs/dbraw/zinc/38/42/42/618384242.db2.gz DVOTYDUIDRITHW-AMIZOPFISA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@]1(COC(=O)CCc2cccc([N+](=O)[O-])c2)CCCO1 ZINC000177255002 618385120 /nfs/dbraw/zinc/38/51/20/618385120.db2.gz KCLAYLLHDLDAND-OAHLLOKOSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N(CC(F)F)C1CCC1 ZINC000895149847 618392440 /nfs/dbraw/zinc/39/24/40/618392440.db2.gz SSDQKOAWPVWCOE-UHFFFAOYSA-N 0 0 284.262 2.855 20 5 CFBDRN Cc1nc(N[C@@H](C)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000161519250 618403401 /nfs/dbraw/zinc/40/34/01/618403401.db2.gz JHMOMTWTPKVBNC-VIFPVBQESA-N 0 0 261.281 2.934 20 5 CFBDRN O=C(N[C@@H]1CCC(F)(F)C1)c1cc(F)ccc1[N+](=O)[O-] ZINC000312646043 618406976 /nfs/dbraw/zinc/40/69/76/618406976.db2.gz DSZYWFSWKDKSHF-MRVPVSSYSA-N 0 0 288.225 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC(=O)[C@@H]1C[C@H]1C ZINC000909481174 618407174 /nfs/dbraw/zinc/40/71/74/618407174.db2.gz JUFYAEZQRQUNFN-MWLCHTKSSA-N 0 0 291.303 2.594 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@H]1CCC[C@@H]1C1CC1 ZINC000894185607 618432917 /nfs/dbraw/zinc/43/29/17/618432917.db2.gz QKKIHMQSMOIOEU-GHMZBOCLSA-N 0 0 264.329 2.567 20 5 CFBDRN CCNc1ccc(Cl)cc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814037084 618438200 /nfs/dbraw/zinc/43/82/00/618438200.db2.gz QRYZLKQLRZYZFW-QMMMGPOBSA-N 0 0 286.715 2.594 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(Cl)cc1Cl ZINC000814037086 618438783 /nfs/dbraw/zinc/43/87/83/618438783.db2.gz QSQWFTUBCUKBPG-ZCFIWIBFSA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc2c(cccc2F)s1 ZINC000814041821 618441468 /nfs/dbraw/zinc/44/14/68/618441468.db2.gz DIGDEROXHVJMKS-SSDOTTSWSA-N 0 0 283.280 2.862 20 5 CFBDRN C/C(=C\c1ccccc1Cl)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814040512 618441484 /nfs/dbraw/zinc/44/14/84/618441484.db2.gz HYQSOKRLMUFNEL-TTZKWOQHSA-N 0 0 283.711 2.952 20 5 CFBDRN Cc1ccccc1C1(C(=O)O[C@H](C)C[N+](=O)[O-])CCC1 ZINC000814042561 618443158 /nfs/dbraw/zinc/44/31/58/618443158.db2.gz XHICTHRLMRFZSM-GFCCVEGCSA-N 0 0 277.320 2.625 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)n[nH]1 ZINC000220316620 618443620 /nfs/dbraw/zinc/44/36/20/618443620.db2.gz VCTUWLMOWPXJHW-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000162658241 618446297 /nfs/dbraw/zinc/44/62/97/618446297.db2.gz RJTJLGYSGBCLGS-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN Cc1c(NC(=O)c2cccnc2F)cccc1[N+](=O)[O-] ZINC000235837299 618451809 /nfs/dbraw/zinc/45/18/09/618451809.db2.gz FPPKBMKBWRNUDL-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1nc([C@H]2CCCN2c2ccc([N+](=O)[O-])nc2)[nH]c1C ZINC000895196832 618461493 /nfs/dbraw/zinc/46/14/93/618461493.db2.gz NFDOOYXULMHSIN-GFCCVEGCSA-N 0 0 287.323 2.671 20 5 CFBDRN Nc1ccc(C(=O)OCCC2CCCC2)cc1[N+](=O)[O-] ZINC000726353720 618467060 /nfs/dbraw/zinc/46/70/60/618467060.db2.gz SAJYDLBRTVZYIF-UHFFFAOYSA-N 0 0 278.308 2.914 20 5 CFBDRN CNc1ccc(C(=O)OCC(C)(C)C)cc1[N+](=O)[O-] ZINC000726360812 618468660 /nfs/dbraw/zinc/46/86/60/618468660.db2.gz RNZYGBXHHHEJBU-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN O=C(OCc1ccncc1)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000726385952 618470622 /nfs/dbraw/zinc/47/06/22/618470622.db2.gz ZNCQGUXMIZPURJ-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1ccccc1S(=O)(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000747229247 618472175 /nfs/dbraw/zinc/47/21/75/618472175.db2.gz NOLRVAUOGMOKLF-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN CC(C)Oc1ccc(Cn2nccc2[N+](=O)[O-])cc1 ZINC000726431220 618474811 /nfs/dbraw/zinc/47/48/11/618474811.db2.gz SIXOWHNXPNAHRF-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN O=C(NC1CCC=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000895211368 618477887 /nfs/dbraw/zinc/47/78/87/618477887.db2.gz AQSCJBOVRGYJCX-UHFFFAOYSA-N 0 0 278.283 2.963 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000895295538 618514827 /nfs/dbraw/zinc/51/48/27/618514827.db2.gz VTJSMLFGBJSXNC-MNOVXSKESA-N 0 0 278.308 2.657 20 5 CFBDRN CCC[C@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000177740495 618604762 /nfs/dbraw/zinc/60/47/62/618604762.db2.gz AJWPDMDLTMNJAK-JTQLQIEISA-N 0 0 267.281 2.705 20 5 CFBDRN CCCC[C@@H](CC)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000177767449 618605406 /nfs/dbraw/zinc/60/54/06/618605406.db2.gz ABCPKHHEQAIWTI-LLVKDONJSA-N 0 0 283.328 2.551 20 5 CFBDRN CC[C@@H](NC(=O)C[C@H](C)OC)c1cccc([N+](=O)[O-])c1 ZINC000177808560 618606696 /nfs/dbraw/zinc/60/66/96/618606696.db2.gz KIRCWUDOHMKCAC-GXFFZTMASA-N 0 0 280.324 2.587 20 5 CFBDRN CC1(C)CN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935715004 618623779 /nfs/dbraw/zinc/62/37/79/618623779.db2.gz GPMGKZKYXDMUHP-QWHCGFSZSA-N 0 0 274.320 2.567 20 5 CFBDRN C[C@H]1C[C@H](c2ccccc2)CN1c1c([N+](=O)[O-])cnn1C ZINC000892551204 618629137 /nfs/dbraw/zinc/62/91/37/618629137.db2.gz DCGQCUKNHCEBNG-AAEUAGOBSA-N 0 0 286.335 2.711 20 5 CFBDRN Cc1cccc([C@@H]2CCCN2Cn2nccc2[N+](=O)[O-])c1 ZINC000055033893 618634098 /nfs/dbraw/zinc/63/40/98/618634098.db2.gz YLKZNQMPBBVUJQ-AWEZNQCLSA-N 0 0 286.335 2.894 20 5 CFBDRN C[C@H](NCc1nccn1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000892622973 618658477 /nfs/dbraw/zinc/65/84/77/618658477.db2.gz SJJJOHWUAUUMOH-NSHDSACASA-N 0 0 286.335 2.977 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892643993 618669334 /nfs/dbraw/zinc/66/93/34/618669334.db2.gz NAKIFOKXQLDNPN-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/Sc2nncs2)o1 ZINC000014730984 618698341 /nfs/dbraw/zinc/69/83/41/618698341.db2.gz HTKKMRWDDBPXEP-ONEGZZNKSA-N 0 0 255.280 2.802 20 5 CFBDRN CCC(CC)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000072503430 618698596 /nfs/dbraw/zinc/69/85/96/618698596.db2.gz JLEQAOCNGJDQKS-UHFFFAOYSA-N 0 0 267.281 2.945 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCc1cccnc1 ZINC000311536616 618714963 /nfs/dbraw/zinc/71/49/63/618714963.db2.gz HUGNONYVAODWRP-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN C[C@H](O)c1cccc(NCCc2ccccc2[N+](=O)[O-])n1 ZINC000892696687 618716123 /nfs/dbraw/zinc/71/61/23/618716123.db2.gz OTGNYLRTZOHWRN-NSHDSACASA-N 0 0 287.319 2.698 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000066756214 618736235 /nfs/dbraw/zinc/73/62/35/618736235.db2.gz PVWRJNQZXXIBJK-SSDOTTSWSA-N 0 0 272.301 2.672 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000066756212 618736403 /nfs/dbraw/zinc/73/64/03/618736403.db2.gz PVWRJNQZXXIBJK-ZETCQYMHSA-N 0 0 272.301 2.672 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCCC(F)(F)F ZINC000892738877 618736923 /nfs/dbraw/zinc/73/69/23/618736923.db2.gz AHIPNNOPKQYWHC-UHFFFAOYSA-N 0 0 279.218 2.753 20 5 CFBDRN CCOc1cc(NC(=O)CO[C@@H](C)CC)ccc1[N+](=O)[O-] ZINC000892747482 618738763 /nfs/dbraw/zinc/73/87/63/618738763.db2.gz VJGVPWVHWMLXOC-JTQLQIEISA-N 0 0 296.323 2.747 20 5 CFBDRN CCC(CC)(CCO)CNc1ncc([N+](=O)[O-])cc1OC ZINC000892760691 618742549 /nfs/dbraw/zinc/74/25/49/618742549.db2.gz YZJVPDBXCQVETC-UHFFFAOYSA-N 0 0 297.355 2.599 20 5 CFBDRN CO[C@H](C)CC(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892858957 618759509 /nfs/dbraw/zinc/75/95/09/618759509.db2.gz RCMJJYPOGGBOCV-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])CO1 ZINC000784273130 618761058 /nfs/dbraw/zinc/76/10/58/618761058.db2.gz LLKMWCGDDMDBCM-WPRPVWTQSA-N 0 0 299.710 2.716 20 5 CFBDRN CSc1cc(C)ccc1CNc1c([N+](=O)[O-])cnn1C ZINC000892889242 618764641 /nfs/dbraw/zinc/76/46/41/618764641.db2.gz PUCMYPIGXOBXSH-UHFFFAOYSA-N 0 0 292.364 2.971 20 5 CFBDRN COc1ccc(C)cc1CSc1c([N+](=O)[O-])cnn1C ZINC000892898980 618765011 /nfs/dbraw/zinc/76/50/11/618765011.db2.gz JVHJTRQVKBFXIL-UHFFFAOYSA-N 0 0 293.348 2.938 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000119899865 618808287 /nfs/dbraw/zinc/80/82/87/618808287.db2.gz MHQLBOFAOMLLPF-VXGBXAGGSA-N 0 0 279.292 2.628 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H](C)c1nccs1 ZINC000893163980 618855362 /nfs/dbraw/zinc/85/53/62/618855362.db2.gz YVDVQPULHRABHN-QMMMGPOBSA-N 0 0 294.336 2.671 20 5 CFBDRN CCC1(C(=O)OCc2onc(C)c2[N+](=O)[O-])CCCC1 ZINC000853904409 618882329 /nfs/dbraw/zinc/88/23/29/618882329.db2.gz NVJRGRIYIBEBHH-UHFFFAOYSA-N 0 0 282.296 2.905 20 5 CFBDRN CC(C)(CCC(=O)Nc1ncsc1C1CC1)[N+](=O)[O-] ZINC000893263316 618914799 /nfs/dbraw/zinc/91/47/99/618914799.db2.gz XXIXHZUOPAKFMF-UHFFFAOYSA-N 0 0 283.353 2.795 20 5 CFBDRN O=[N+]([O-])CCN1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000930686492 618921828 /nfs/dbraw/zinc/92/18/28/618921828.db2.gz HHUVNRMOUQMXIK-AWEZNQCLSA-N 0 0 260.337 2.880 20 5 CFBDRN Cc1c(C(=O)OCCc2ccoc2)cccc1[N+](=O)[O-] ZINC000777379080 618953066 /nfs/dbraw/zinc/95/30/66/618953066.db2.gz HLPQYSCOSDLQNS-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NC1(C2CC2)CCC1 ZINC000925715567 618972587 /nfs/dbraw/zinc/97/25/87/618972587.db2.gz JYIRKNDEKAHSEG-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCC[C@@H](CCO)C1 ZINC000093097811 618979185 /nfs/dbraw/zinc/97/91/85/618979185.db2.gz NAGIBFJFZIRTAL-NSHDSACASA-N 0 0 298.770 2.843 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@@H](CF)C2)c1F ZINC000893414847 618982678 /nfs/dbraw/zinc/98/26/78/618982678.db2.gz DFFTZTQDQHIMJY-JTQLQIEISA-N 0 0 298.289 2.864 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC[C@H](CF)C1 ZINC000893415191 618982756 /nfs/dbraw/zinc/98/27/56/618982756.db2.gz OHCDQCYMVXEROE-OQHXTRMZSA-N 0 0 292.310 2.816 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC(F)CC1 ZINC000893420914 618985513 /nfs/dbraw/zinc/98/55/13/618985513.db2.gz ASTMMCNHTZBGKH-ZZXKWVIFSA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1cc(C)nc(COC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000909357816 618999075 /nfs/dbraw/zinc/99/90/75/618999075.db2.gz LAEAHOWDECQRNE-UHFFFAOYSA-N 0 0 280.324 2.577 20 5 CFBDRN CC(C)=CCNc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000893453897 618999150 /nfs/dbraw/zinc/99/91/50/618999150.db2.gz PIZFJAGQSGUVBB-UHFFFAOYSA-N 0 0 287.319 2.825 20 5 CFBDRN COCCCC(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000066878101 619003310 /nfs/dbraw/zinc/00/33/10/619003310.db2.gz RDAGOZWXHVLSNR-SNVBAGLBSA-N 0 0 267.281 2.626 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@H]1CC12CCC2 ZINC000893469137 619009930 /nfs/dbraw/zinc/00/99/30/619009930.db2.gz MZGAGABBQPWHRZ-GFCCVEGCSA-N 0 0 275.304 2.871 20 5 CFBDRN C[C@@H](COC(=O)c1ccccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000893501511 619022071 /nfs/dbraw/zinc/02/20/71/619022071.db2.gz WQFKKLOSJCTNSF-FZMZJTMJSA-N 0 0 293.319 2.957 20 5 CFBDRN CC[C@@H](C(=O)[O-])[N@H+](C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000093633519 619041618 /nfs/dbraw/zinc/04/16/18/619041618.db2.gz MMEFNNCNDAZRIB-JTQLQIEISA-N 0 0 286.715 2.543 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)CC(C)(C)O1 ZINC000049177148 619048948 /nfs/dbraw/zinc/04/89/48/619048948.db2.gz QTAHXXIXBHPDQE-SECBINFHSA-N 0 0 268.288 2.738 20 5 CFBDRN Cn1nccc1CCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000230876102 619072747 /nfs/dbraw/zinc/07/27/47/619072747.db2.gz RSVXVTONSZJSJF-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN O=C1OC(c2cccs2)=N/C1=C\c1ccc([N+](=O)[O-])o1 ZINC000008179583 619104594 /nfs/dbraw/zinc/10/45/94/619104594.db2.gz YNTDKMJVXDAJLP-VURMDHGXSA-N 0 0 290.256 2.594 20 5 CFBDRN C/C(=C/C(=O)OCCOC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000893678229 619154454 /nfs/dbraw/zinc/15/44/54/619154454.db2.gz HHDLPHFYKFEPEF-KHPPLWFESA-N 0 0 291.303 2.720 20 5 CFBDRN COc1c(O)ccc(C[N@@H+]2CCCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000893679598 619154592 /nfs/dbraw/zinc/15/45/92/619154592.db2.gz UUGXIUDXPKZUBK-LLVKDONJSA-N 0 0 294.351 2.931 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CC[C@H](C(F)F)C2)c1 ZINC000893681633 619155423 /nfs/dbraw/zinc/15/54/23/619155423.db2.gz KZRJTWGMAKOCAW-ZETCQYMHSA-N 0 0 287.222 2.594 20 5 CFBDRN O=C(OCCOC1CC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000893681647 619155516 /nfs/dbraw/zinc/15/55/16/619155516.db2.gz NHPXAWYEHRZOGL-UHFFFAOYSA-N 0 0 285.683 2.584 20 5 CFBDRN CC(=O)CCCOC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000893692856 619160833 /nfs/dbraw/zinc/16/08/33/619160833.db2.gz QECFICQIXDWSAF-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN Cc1cc(N2CC3(C2)CCOCC3)ccc1[N+](=O)[O-] ZINC000893937104 619247859 /nfs/dbraw/zinc/24/78/59/619247859.db2.gz FAZPGJTUEHYHPH-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@H]1c1ccco1 ZINC000894219314 619268909 /nfs/dbraw/zinc/26/89/09/619268909.db2.gz BBRHPBCEFAMOEJ-NXEZZACHSA-N 0 0 275.264 2.559 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CC[C@H](C2CCC2)C1 ZINC000894227298 619271876 /nfs/dbraw/zinc/27/18/76/619271876.db2.gz RXBUMNVEXMRFLA-NSHDSACASA-N 0 0 277.324 2.625 20 5 CFBDRN O=C(OCc1csc(C2CCCC2)n1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840671265 619304105 /nfs/dbraw/zinc/30/41/05/619304105.db2.gz SPDNOXCBQKGXBV-GHMZBOCLSA-N 0 0 296.348 2.509 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC=CC1 ZINC000791939943 619375766 /nfs/dbraw/zinc/37/57/66/619375766.db2.gz RDVXRVFPWNIOAP-UHFFFAOYSA-N 0 0 292.247 2.512 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1ccccc1Cl ZINC000894578343 619419676 /nfs/dbraw/zinc/41/96/76/619419676.db2.gz RZBLCDFSFZDQSB-GXSJLCMTSA-N 0 0 292.726 2.950 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN[C@@H]1CCn2ccnc21 ZINC000894669679 619439327 /nfs/dbraw/zinc/43/93/27/619439327.db2.gz WTDMXPIPTPLXCK-LLVKDONJSA-N 0 0 292.726 2.679 20 5 CFBDRN O=Cc1ccc(OCCOc2cccc([N+](=O)[O-])c2)cc1 ZINC000059007860 619441997 /nfs/dbraw/zinc/44/19/97/619441997.db2.gz WTLUCKJWVRJTTR-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN C/C=C\C[C@H]1CCCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000894830927 619476173 /nfs/dbraw/zinc/47/61/73/619476173.db2.gz PEBBUZABPZPKSW-BYCRGOAPSA-N 0 0 264.329 2.511 20 5 CFBDRN CCOc1cc(N2CC(c3ccccn3)C2)ccc1[N+](=O)[O-] ZINC000894845417 619481197 /nfs/dbraw/zinc/48/11/97/619481197.db2.gz HLWNOVPBACKLKQ-UHFFFAOYSA-N 0 0 299.330 2.992 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1CCCC12CC2 ZINC000894863215 619488590 /nfs/dbraw/zinc/48/85/90/619488590.db2.gz ONONKCADZCUJRI-NSHDSACASA-N 0 0 263.297 2.743 20 5 CFBDRN C[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000894896303 619502804 /nfs/dbraw/zinc/50/28/04/619502804.db2.gz WHYHWHDUPXIEKP-QMMMGPOBSA-N 0 0 276.271 2.581 20 5 CFBDRN C[C@H]1CNc2c(Nc3ccc(F)cc3[N+](=O)[O-])cnn2C1 ZINC000894955257 619517933 /nfs/dbraw/zinc/51/79/33/619517933.db2.gz NWZQXYHDCBXVOH-QMMMGPOBSA-N 0 0 291.286 2.736 20 5 CFBDRN Cc1cc(N2CC(OC(C)C)C2)ccc1[N+](=O)[O-] ZINC000894966249 619518324 /nfs/dbraw/zinc/51/83/24/619518324.db2.gz BLSDOQICWRMOPH-UHFFFAOYSA-N 0 0 250.298 2.517 20 5 CFBDRN COC(=O)CC(C)(C)CNc1ccc([N+](=O)[O-])s1 ZINC000895506606 619542751 /nfs/dbraw/zinc/54/27/51/619542751.db2.gz QHIOEDRZWVDRID-UHFFFAOYSA-N 0 0 272.326 2.658 20 5 CFBDRN COC(=O)CC1CC(Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000895498628 619543709 /nfs/dbraw/zinc/54/37/09/619543709.db2.gz FZLDCPQTPCEPAF-UHFFFAOYSA-N 0 0 284.337 2.718 20 5 CFBDRN CCOc1cc(NC/C=C(/C)C(=O)OC)ccc1[N+](=O)[O-] ZINC000895515198 619545324 /nfs/dbraw/zinc/54/53/24/619545324.db2.gz ZHQXNIMQFWIOJW-YFHOEESVSA-N 0 0 294.307 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@H]2C)c1C ZINC000895722205 619590936 /nfs/dbraw/zinc/59/09/36/619590936.db2.gz FDPYLBYOUIWNBS-KOLCDFICSA-N 0 0 262.309 2.597 20 5 CFBDRN CO[C@]1(CNc2cc(C)sc2[N+](=O)[O-])CCSC1 ZINC000895751090 619599001 /nfs/dbraw/zinc/59/90/01/619599001.db2.gz UMWCQUJEMCHZCX-NSHDSACASA-N 0 0 288.394 2.899 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c3cccnc32)CCO1 ZINC000895759198 619601178 /nfs/dbraw/zinc/60/11/78/619601178.db2.gz YZDPVRMVZWUZFW-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@H](CNc1ccc([N+](=O)[O-])cn1)C(=O)OC(C)(C)C ZINC000895826378 619612979 /nfs/dbraw/zinc/61/29/79/619612979.db2.gz ZMMRXMAZSDCYFR-SNVBAGLBSA-N 0 0 295.339 2.770 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@]1(OC(C)C)CCOC1 ZINC000895868248 619626251 /nfs/dbraw/zinc/62/62/51/619626251.db2.gz CLCMRRAXSORVBZ-HNNXBMFYSA-N 0 0 294.351 2.899 20 5 CFBDRN Cc1cc(NC[C@@]2(OC(C)C)CCOC2)ccc1[N+](=O)[O-] ZINC000895869864 619626827 /nfs/dbraw/zinc/62/68/27/619626827.db2.gz VAYSMYJSNCKDTD-HNNXBMFYSA-N 0 0 294.351 2.899 20 5 CFBDRN Cc1cc(NC[C@]2(OC(C)C)CCOC2)ccc1[N+](=O)[O-] ZINC000895869865 619626909 /nfs/dbraw/zinc/62/69/09/619626909.db2.gz VAYSMYJSNCKDTD-OAHLLOKOSA-N 0 0 294.351 2.899 20 5 CFBDRN C[C@H]1COC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000895908936 619632708 /nfs/dbraw/zinc/63/27/08/619632708.db2.gz KDNLFLSHVNYRHC-ZANVPECISA-N 0 0 273.292 2.590 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@]1(C)CCCCO1 ZINC000832471587 625361306 /nfs/dbraw/zinc/36/13/06/625361306.db2.gz XKMGZYGFVQOGDQ-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@@H]1C[C@H]1C(F)(F)F ZINC000896089900 619667439 /nfs/dbraw/zinc/66/74/39/619667439.db2.gz DQDGETQYWGFFQZ-NTSWFWBYSA-N 0 0 295.648 2.675 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@@H]2C=C[C@H]3C[C@@H]2CO3)c(F)c1 ZINC000896079502 619668413 /nfs/dbraw/zinc/66/84/13/619668413.db2.gz NBNQZJASDFALBM-NGZCFLSTSA-N 0 0 296.273 2.876 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1C[C@H]1C(F)(F)F ZINC000896090815 619671663 /nfs/dbraw/zinc/67/16/63/619671663.db2.gz MRNDUJSHCYLMHQ-JGVFFNPUSA-N 0 0 292.261 2.730 20 5 CFBDRN Cc1cc(NC[C@@H]2C[C@H]2C(F)(F)F)ncc1[N+](=O)[O-] ZINC000896093140 619672016 /nfs/dbraw/zinc/67/20/16/619672016.db2.gz VVXHAMCBYYZVJH-JGVFFNPUSA-N 0 0 275.230 2.909 20 5 CFBDRN CCC[C@@H](O)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000896186945 619690637 /nfs/dbraw/zinc/69/06/37/619690637.db2.gz SYCUUACOLYYEAC-SECBINFHSA-N 0 0 256.277 2.697 20 5 CFBDRN CCC[C@@H](O)CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000896191390 619690952 /nfs/dbraw/zinc/69/09/52/619690952.db2.gz MYPSWHJCESQMBV-SECBINFHSA-N 0 0 274.267 2.836 20 5 CFBDRN C[C@@H]1CO[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000896222466 619693656 /nfs/dbraw/zinc/69/36/56/619693656.db2.gz NUBOWGXUIYMGMH-NKWVEPMBSA-N 0 0 281.293 2.804 20 5 CFBDRN CSCC1CCN(c2nccc(C)c2[N+](=O)[O-])CC1 ZINC000896211017 619694663 /nfs/dbraw/zinc/69/46/63/619694663.db2.gz OKBFBGVBGNCBDG-UHFFFAOYSA-N 0 0 281.381 2.878 20 5 CFBDRN Cc1ccc(N2CCn3nc(C4CC4)cc3C2)c([N+](=O)[O-])c1 ZINC000896219286 619695999 /nfs/dbraw/zinc/69/59/99/619695999.db2.gz COSJOVFNTRNRNS-UHFFFAOYSA-N 0 0 298.346 2.997 20 5 CFBDRN Cc1nc(N[C@H]2CCS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000896243312 619699336 /nfs/dbraw/zinc/69/93/36/619699336.db2.gz AXLDHFRLODPECG-SCZZXKLOSA-N 0 0 267.354 2.994 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1CCS[C@@H](C)C1 ZINC000896248972 619704660 /nfs/dbraw/zinc/70/46/60/619704660.db2.gz XVXMJBPAILQXPJ-IUCAKERBSA-N 0 0 283.353 2.694 20 5 CFBDRN CCOC(=O)[C@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000896267139 619707383 /nfs/dbraw/zinc/70/73/83/619707383.db2.gz XOGBOTIJAPKTHL-VIFPVBQESA-N 0 0 298.726 2.638 20 5 CFBDRN CC1=C(c2nc(CCC(C)(C)[N+](=O)[O-])no2)SCCO1 ZINC000896315735 619716946 /nfs/dbraw/zinc/71/69/46/619716946.db2.gz TZEKUKNJPNDBNQ-UHFFFAOYSA-N 0 0 299.352 2.509 20 5 CFBDRN CC1(C)O[C@@H]2C[C@@H](Nc3ccccc3[N+](=O)[O-])C[C@@H]2O1 ZINC000896372721 619725252 /nfs/dbraw/zinc/72/52/52/619725252.db2.gz ZSBFCQKMNMWZDN-CGJHYDBRSA-N 0 0 278.308 2.689 20 5 CFBDRN CCO[C@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000896404446 619730179 /nfs/dbraw/zinc/73/01/79/619730179.db2.gz CGCLFCKYRNXHGU-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN CCO[C@H]1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000896408843 619731141 /nfs/dbraw/zinc/73/11/41/619731141.db2.gz BBQTWQYCBAVMRG-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1cnn(C)c1 ZINC000896425087 619735172 /nfs/dbraw/zinc/73/51/72/619735172.db2.gz CDJMPYTVFHDSDV-CYBMUJFWSA-N 0 0 275.312 2.533 20 5 CFBDRN CC(C)[C@H](Nc1ccc(N)cc1[N+](=O)[O-])c1cnn(C)c1 ZINC000896426025 619735722 /nfs/dbraw/zinc/73/57/22/619735722.db2.gz JHRRUGNRYRWEKL-AWEZNQCLSA-N 0 0 289.339 2.720 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCOC1CCOCC1 ZINC000896466404 619740467 /nfs/dbraw/zinc/74/04/67/619740467.db2.gz HVHGNZIWYGIQBI-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN Cn1nc(CNc2ccc([N+](=O)[O-])cc2)cc1C1CC1 ZINC000896532605 619756796 /nfs/dbraw/zinc/75/67/96/619756796.db2.gz ZHIIPKUWPWSYBT-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@]12C[C@H]1CCC2 ZINC000896549970 619760815 /nfs/dbraw/zinc/76/08/15/619760815.db2.gz QFKLCUYFMFIKTH-BMIGLBTASA-N 0 0 289.335 2.557 20 5 CFBDRN O=c1[nH]ccc(NCC[C@@H]2CCC3(CCC3)O2)c1[N+](=O)[O-] ZINC000896552551 619761114 /nfs/dbraw/zinc/76/11/14/619761114.db2.gz DICALRCXJXIJHR-JTQLQIEISA-N 0 0 293.323 2.599 20 5 CFBDRN COCC(C)(C)c1noc(-c2ccc([N+](=O)[O-])cc2C)n1 ZINC000896579858 619766264 /nfs/dbraw/zinc/76/62/64/619766264.db2.gz JPHTZWARPFXRPN-UHFFFAOYSA-N 0 0 291.307 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](C3CCOCC3)C2)cn1 ZINC000896584825 619767603 /nfs/dbraw/zinc/76/76/03/619767603.db2.gz CAMQEKHNDVEXRB-CYBMUJFWSA-N 0 0 291.351 2.633 20 5 CFBDRN CCn1cnc2c1CCN(c1ccc([N+](=O)[O-])cc1F)C2 ZINC000896652616 619783424 /nfs/dbraw/zinc/78/34/24/619783424.db2.gz CTEAGIBAEBDLRN-UHFFFAOYSA-N 0 0 290.298 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H]1C[C@H](CO)C1 ZINC000896692280 619791969 /nfs/dbraw/zinc/79/19/69/619791969.db2.gz WZTQGWFRSMOQMJ-KYZUINATSA-N 0 0 270.716 2.739 20 5 CFBDRN Cc1nn(C)c(NCC(C)(C)C2CCOCC2)c1[N+](=O)[O-] ZINC000896786990 619815939 /nfs/dbraw/zinc/81/59/39/619815939.db2.gz SNIVNHNGYPREIZ-UHFFFAOYSA-N 0 0 296.371 2.501 20 5 CFBDRN COc1cc(NC[C@@H]2CC[C@@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000896803950 619817400 /nfs/dbraw/zinc/81/74/00/619817400.db2.gz LNTPCKCGWRJVKL-JSGCOSHPSA-N 0 0 292.335 2.973 20 5 CFBDRN CC1(C)CC[C@@H](CCNc2ccc([N+](=O)[O-])cn2)OC1 ZINC000896790397 619819108 /nfs/dbraw/zinc/81/91/08/619819108.db2.gz PYRDTELSEFGCKY-LBPRGKRZSA-N 0 0 279.340 2.997 20 5 CFBDRN Cc1cnc(NC[C@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC000896793413 619820029 /nfs/dbraw/zinc/82/00/29/619820029.db2.gz HZTJZBGUEKLCJB-NSHDSACASA-N 0 0 272.308 2.909 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(C3(O)CCC3)CC2)c(Cl)c1 ZINC000896809283 619824004 /nfs/dbraw/zinc/82/40/04/619824004.db2.gz JAMOQXKMDIUNQJ-UHFFFAOYSA-N 0 0 297.742 2.750 20 5 CFBDRN CC(C)(CCc1nc([C@H]2CC2(Cl)Cl)no1)[N+](=O)[O-] ZINC000896810345 619828529 /nfs/dbraw/zinc/82/85/29/619828529.db2.gz PKYNHFNWVPRDQQ-ZCFIWIBFSA-N 0 0 294.138 2.719 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000108865802 619866830 /nfs/dbraw/zinc/86/68/30/619866830.db2.gz NQAGJYODTBWKFK-AOOOYVTPSA-N 0 0 295.314 2.642 20 5 CFBDRN CCC1CN(C(=O)c2cc(Cl)c(F)c([N+](=O)[O-])c2)C1 ZINC000909568981 619892332 /nfs/dbraw/zinc/89/23/32/619892332.db2.gz LHSPAXODRVXJCC-UHFFFAOYSA-N 0 0 286.690 2.869 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1SCc1ccncc1 ZINC000896958733 619905630 /nfs/dbraw/zinc/90/56/30/619905630.db2.gz NRPTYNXWVWNEMM-UHFFFAOYSA-N 0 0 278.337 2.807 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CCC[C@H]3CO)sc2c1 ZINC000896961549 619906645 /nfs/dbraw/zinc/90/66/45/619906645.db2.gz CKJUOGXQFCHKQZ-WPRPVWTQSA-N 0 0 293.348 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC23CCCCC3)nc1 ZINC000896990934 619909097 /nfs/dbraw/zinc/90/90/97/619909097.db2.gz TZRCUBZTWLCJDS-GFCCVEGCSA-N 0 0 277.324 2.894 20 5 CFBDRN Cc1ccc(NCc2cnn(CCF)c2)c([N+](=O)[O-])c1 ZINC000896992862 619909783 /nfs/dbraw/zinc/90/97/83/619909783.db2.gz YVSBGYKUZWAKSJ-UHFFFAOYSA-N 0 0 278.287 2.681 20 5 CFBDRN COc1cc(NC2CC3(C2)CO[C@@H](C)C3)ccc1[N+](=O)[O-] ZINC000897052865 619920883 /nfs/dbraw/zinc/92/08/83/619920883.db2.gz PXLBEASYDWUTRX-VLRKGOCCSA-N 0 0 292.335 2.973 20 5 CFBDRN CCON(CC)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000897050277 619922639 /nfs/dbraw/zinc/92/26/39/619922639.db2.gz QIUSXWMHXALJNG-NSHDSACASA-N 0 0 295.339 2.681 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c(F)cc1[N+](=O)[O-] ZINC000897072616 619922886 /nfs/dbraw/zinc/92/28/86/619922886.db2.gz WQBXFJRLBATFBN-WVHQUNFXSA-N 0 0 278.283 2.630 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000897075366 619923407 /nfs/dbraw/zinc/92/34/07/619923407.db2.gz MILDYMFFTWJFBV-IAUWMGRQSA-N 0 0 280.711 2.836 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000897144845 619941025 /nfs/dbraw/zinc/94/10/25/619941025.db2.gz NOFNVTVSEGBQAC-ZIAGYGMSSA-N 0 0 287.319 2.757 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897181675 619945758 /nfs/dbraw/zinc/94/57/58/619945758.db2.gz IODDBNDBTIIDJC-NURSFMCSSA-N 0 0 289.335 2.555 20 5 CFBDRN COc1cccc(-c2nc(CCCCF)no2)c1[N+](=O)[O-] ZINC000897206405 619949890 /nfs/dbraw/zinc/94/98/90/619949890.db2.gz YBJKLXSEQVBVTF-UHFFFAOYSA-N 0 0 295.270 2.946 20 5 CFBDRN CC1(C)CC2(CC(Nc3ncc([N+](=O)[O-])cc3F)C2)CO1 ZINC000897212829 619951067 /nfs/dbraw/zinc/95/10/67/619951067.db2.gz LAQSXFMGVGTLKD-UHFFFAOYSA-N 0 0 295.314 2.889 20 5 CFBDRN CC1(C)CC2(CC(Nc3ccnc(F)c3[N+](=O)[O-])C2)CO1 ZINC000897214802 619951475 /nfs/dbraw/zinc/95/14/75/619951475.db2.gz OIPZYKGTYKJCQV-UHFFFAOYSA-N 0 0 295.314 2.889 20 5 CFBDRN CC1(C)CCC[C@H](CNc2cccnc2[N+](=O)[O-])O1 ZINC000897255116 619960633 /nfs/dbraw/zinc/96/06/33/619960633.db2.gz XQKUUBZEFQGMLF-SNVBAGLBSA-N 0 0 265.313 2.749 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OCCCC(C)=O ZINC000909593326 619967215 /nfs/dbraw/zinc/96/72/15/619967215.db2.gz KNKYMRDUWDKDLM-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](Cc3nccs3)C2)s1 ZINC000897282471 619968568 /nfs/dbraw/zinc/96/85/68/619968568.db2.gz LUJUIEWJNNXOTL-MRVPVSSYSA-N 0 0 296.377 2.577 20 5 CFBDRN CS[C@@H](C)[C@@H](C)OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000797188953 619973559 /nfs/dbraw/zinc/97/35/59/619973559.db2.gz ACAIDGBBLJEOKW-ZJUUUORDSA-N 0 0 283.349 2.821 20 5 CFBDRN CNc1ccc(C(=O)O[C@@H](C)[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000797191399 619973651 /nfs/dbraw/zinc/97/36/51/619973651.db2.gz KEOUUYIPOMKCIP-DTWKUNHWSA-N 0 0 298.364 2.933 20 5 CFBDRN COc1ccc(C(=O)O[C@@H](C)[C@H](C)SC)cc1[N+](=O)[O-] ZINC000797198460 619976208 /nfs/dbraw/zinc/97/62/08/619976208.db2.gz UMEZBJVVAZJESE-IUCAKERBSA-N 0 0 299.348 2.900 20 5 CFBDRN Nc1c(F)c(N[C@H]2CCOC23CCCC3)ccc1[N+](=O)[O-] ZINC000897322368 619991017 /nfs/dbraw/zinc/99/10/17/619991017.db2.gz DKKYKFKCRJIUEH-NSHDSACASA-N 0 0 295.314 2.830 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCc1ccc(Cl)cc1 ZINC000021839836 620004833 /nfs/dbraw/zinc/00/48/33/620004833.db2.gz ZDMHCMRQLHSUNT-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN O=C(NCCCCF)c1cccc([N+](=O)[O-])c1Cl ZINC000310782070 620039521 /nfs/dbraw/zinc/03/95/21/620039521.db2.gz FRHNKNWTXKSZOW-UHFFFAOYSA-N 0 0 274.679 2.728 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OCCC2(O)CC2)cc1Cl ZINC000871694285 620054542 /nfs/dbraw/zinc/05/45/42/620054542.db2.gz KAMXLVGSKWXCRK-UHFFFAOYSA-N 0 0 275.663 2.681 20 5 CFBDRN O=C(COc1ccccc1)Oc1cccc([N+](=O)[O-])c1 ZINC000007766291 620068694 /nfs/dbraw/zinc/06/86/94/620068694.db2.gz SJDJYCKFBQRZDZ-UHFFFAOYSA-N 0 0 273.244 2.579 20 5 CFBDRN Cc1cc(NCC[C@H](O)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000897384138 620088592 /nfs/dbraw/zinc/08/85/92/620088592.db2.gz IFUTUPFSAWTURZ-ZDUSSCGKSA-N 0 0 268.288 2.615 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H]2CC=CC[C@H]2C)c1[N+](=O)[O-] ZINC000897392824 620092996 /nfs/dbraw/zinc/09/29/96/620092996.db2.gz PQKOULWODHRTND-MWLCHTKSSA-N 0 0 291.307 2.543 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CC=CC[C@H]2C)c1[N+](=O)[O-] ZINC000897392567 620093033 /nfs/dbraw/zinc/09/30/33/620093033.db2.gz GLGHIIBBABNATE-MWLCHTKSSA-N 0 0 264.329 2.657 20 5 CFBDRN CCC(CC)(C[NH2+]Cc1ccc([N+](=O)[O-])cc1F)C(=O)[O-] ZINC000263067225 620100839 /nfs/dbraw/zinc/10/08/39/620100839.db2.gz OHUZEXFFOXYSNV-UHFFFAOYSA-N 0 0 298.314 2.715 20 5 CFBDRN COC1(CNc2ncc([N+](=O)[O-])cn2)CCCCCC1 ZINC000897406417 620105137 /nfs/dbraw/zinc/10/51/37/620105137.db2.gz ZNRKNHOXFQUWFZ-UHFFFAOYSA-N 0 0 280.328 2.536 20 5 CFBDRN CSC1(COC(=O)c2ccc(N)c([N+](=O)[O-])c2)CCC1 ZINC000799691620 620118130 /nfs/dbraw/zinc/11/81/30/620118130.db2.gz OTLMRTXWSLXOGS-UHFFFAOYSA-N 0 0 296.348 2.620 20 5 CFBDRN CC(C)C[C@@H](C[NH2+]Cc1ccc([N+](=O)[O-])cc1F)C(=O)[O-] ZINC000263479345 620149357 /nfs/dbraw/zinc/14/93/57/620149357.db2.gz KHMFWGLFULVTQU-NSHDSACASA-N 0 0 298.314 2.570 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1csc([N+](=O)[O-])c1 ZINC000889587979 620169872 /nfs/dbraw/zinc/16/98/72/620169872.db2.gz SFRHRRSKTLXHBE-UJNFCWOMSA-N 0 0 266.322 2.575 20 5 CFBDRN CC(C)c1ccccc1OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000054444736 620171201 /nfs/dbraw/zinc/17/12/01/620171201.db2.gz DIWIIHIDBNUXLC-UHFFFAOYSA-N 0 0 289.291 2.520 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2COC[C@H]2C2CC2)c(F)c1 ZINC000897445249 620173573 /nfs/dbraw/zinc/17/35/73/620173573.db2.gz DMOFRSFZYDXIDZ-CABZTGNLSA-N 0 0 284.262 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2COC[C@@H]2C2CC2)c1 ZINC000897452872 620174777 /nfs/dbraw/zinc/17/47/77/620174777.db2.gz YHEJOCCVFRBBEL-CABCVRRESA-N 0 0 299.330 2.980 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2COC[C@@H]2C2CC2)c1 ZINC000897447368 620175595 /nfs/dbraw/zinc/17/55/95/620175595.db2.gz OMYVWSDPQLUEHL-YPMHNXCESA-N 0 0 262.309 2.740 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC/C=C\Cl ZINC000897505269 620195015 /nfs/dbraw/zinc/19/50/15/620195015.db2.gz JZCAJTRHJCNZQG-DJWKRKHSSA-N 0 0 256.689 2.906 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NC/C=C\Cl)cc2N1 ZINC000897508358 620197734 /nfs/dbraw/zinc/19/77/34/620197734.db2.gz MYTIVJLSNXFOJI-RJRFIUFISA-N 0 0 281.699 2.644 20 5 CFBDRN CCSCCOC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000067623648 620212960 /nfs/dbraw/zinc/21/29/60/620212960.db2.gz MBEPNNAWARRLBU-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN CCCCCNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929107976 620238425 /nfs/dbraw/zinc/23/84/25/620238425.db2.gz SGQHBYMUGGBTKM-UHFFFAOYSA-N 0 0 282.315 2.973 20 5 CFBDRN COc1ccnc([C@@H](C)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000925311113 620243396 /nfs/dbraw/zinc/24/33/96/620243396.db2.gz CYQIJUFXYNYUIL-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCCC[C@@H]([C@@H]2CCOC2)C1 ZINC000897561658 620247347 /nfs/dbraw/zinc/24/73/47/620247347.db2.gz AMMLHNWCOKNPTQ-CHWSQXEVSA-N 0 0 291.351 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(C)(C)C2)c1C ZINC000897604541 620257217 /nfs/dbraw/zinc/25/72/17/620257217.db2.gz RBZINEZFZZBGQF-UHFFFAOYSA-N 0 0 262.309 2.694 20 5 CFBDRN CCc1ccc2c(c1)CCN2c1ncc([N+](=O)[O-])cn1 ZINC000897612909 620259196 /nfs/dbraw/zinc/25/91/96/620259196.db2.gz AIEZGFIVJXGVAL-UHFFFAOYSA-N 0 0 270.292 2.641 20 5 CFBDRN Cc1ccnc(CNC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])c1 ZINC000897854465 620294904 /nfs/dbraw/zinc/29/49/04/620294904.db2.gz VFGJWCBVFYGSLC-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC=C(Cl)C1 ZINC000898197186 620352603 /nfs/dbraw/zinc/35/26/03/620352603.db2.gz MMYILPUOEYPXEK-UHFFFAOYSA-N 0 0 296.710 2.572 20 5 CFBDRN CC[C@H](C)[C@H](C(=O)OC)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000929165309 620383468 /nfs/dbraw/zinc/38/34/68/620383468.db2.gz QWJYYQMXJCYMTG-SMDDNHRTSA-N 0 0 294.351 2.614 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000889596643 620413467 /nfs/dbraw/zinc/41/34/67/620413467.db2.gz MPVSOLWHKJPREH-VHDGCEQUSA-N 0 0 288.347 2.832 20 5 CFBDRN O=C(OC[C@@H]1CC1(Cl)Cl)c1cccc([N+](=O)[O-])c1 ZINC000078301253 620447624 /nfs/dbraw/zinc/44/76/24/620447624.db2.gz TZACFICVZAABJY-QMMMGPOBSA-N 0 0 290.102 2.945 20 5 CFBDRN O=[N+]([O-])CCOc1ccc(Cl)cc1Br ZINC000899230311 620533469 /nfs/dbraw/zinc/53/34/69/620533469.db2.gz GJULOZLTMCNSSG-UHFFFAOYSA-N 0 0 280.505 2.758 20 5 CFBDRN CCC(=O)c1ccc(C(F)(F)F)cc1OCC[N+](=O)[O-] ZINC000899232725 620534112 /nfs/dbraw/zinc/53/41/12/620534112.db2.gz TYQVFROGBORNFG-UHFFFAOYSA-N 0 0 291.225 2.954 20 5 CFBDRN CC1=NN(c2cc([N+](=O)[O-])ccc2C)C(=O)C12CCC2 ZINC000899349633 620549973 /nfs/dbraw/zinc/54/99/73/620549973.db2.gz HYOVRGXYMXQOQP-UHFFFAOYSA-N 0 0 273.292 2.796 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000899418619 620562148 /nfs/dbraw/zinc/56/21/48/620562148.db2.gz XOYBBHMQYMSSQB-MNUQUWEESA-N 0 0 292.310 2.756 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000899419700 620562533 /nfs/dbraw/zinc/56/25/33/620562533.db2.gz XSNAERKQPFIPPD-IMSYWVGJSA-N 0 0 280.349 2.678 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000899418968 620562614 /nfs/dbraw/zinc/56/26/14/620562614.db2.gz YPAKGBRQTGEGKO-WAZAZEMKSA-N 0 0 288.347 2.546 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000899415253 620566348 /nfs/dbraw/zinc/56/63/48/620566348.db2.gz TYRWKMJOMPRFLZ-DEKFOEGESA-N 0 0 280.349 2.678 20 5 CFBDRN C[C@H](CNC(=S)NC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000899621250 620586811 /nfs/dbraw/zinc/58/68/11/620586811.db2.gz JJZGNBBBSFDJFO-SNVBAGLBSA-N 0 0 295.408 2.961 20 5 CFBDRN CCCCNC(=S)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621083 620586883 /nfs/dbraw/zinc/58/68/83/620586883.db2.gz CJYGVXAPQGOZCH-NSHDSACASA-N 0 0 295.408 2.963 20 5 CFBDRN Cc1sc2ncnc(SCC[N+](=O)[O-])c2c1C ZINC000899861618 620606771 /nfs/dbraw/zinc/60/67/71/620606771.db2.gz IVSHNODQTJIIIW-UHFFFAOYSA-N 0 0 269.351 2.677 20 5 CFBDRN Cc1noc(CNc2ccc3c(c2)C[C@@H](C)O3)c1[N+](=O)[O-] ZINC000901445737 620822922 /nfs/dbraw/zinc/82/29/22/620822922.db2.gz DKAWFHCUIFIRRR-MRVPVSSYSA-N 0 0 289.291 2.827 20 5 CFBDRN CC(C)(C)OC(=O)C1(CCn2cc([N+](=O)[O-])cn2)CCC1 ZINC000901455991 620828299 /nfs/dbraw/zinc/82/82/99/620828299.db2.gz GTMQIZFJVILWDF-UHFFFAOYSA-N 0 0 295.339 2.693 20 5 CFBDRN O=[N+]([O-])CCSCc1cccc(OC(F)F)c1 ZINC000901508645 620840630 /nfs/dbraw/zinc/84/06/30/620840630.db2.gz PDXSMAGDQPHZIQ-UHFFFAOYSA-N 0 0 263.265 2.798 20 5 CFBDRN COC/C(C)=C\COc1cc(F)cc([N+](=O)[O-])c1 ZINC000901521201 620844132 /nfs/dbraw/zinc/84/41/32/620844132.db2.gz YQCAAOHFPDPPNZ-OQFOIZHKSA-N 0 0 255.245 2.705 20 5 CFBDRN C[C@@H]1C[C@H](COc2ccc([N+](=O)[O-])c(CO)c2)C[C@H](C)O1 ZINC000901538790 620850187 /nfs/dbraw/zinc/85/01/87/620850187.db2.gz WEQDEUUDUPAHMO-GDNZZTSVSA-N 0 0 295.335 2.670 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H](C2CC2)O1)Nc1ccc([N+](=O)[O-])cc1 ZINC000901517961 620854371 /nfs/dbraw/zinc/85/43/71/620854371.db2.gz OGVUYBNUAANQNN-KBPBESRZSA-N 0 0 290.319 2.881 20 5 CFBDRN COC/C(C)=C/Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000901519506 620854720 /nfs/dbraw/zinc/85/47/20/620854720.db2.gz HYPCSLLOKFVHCF-UXBLZVDNSA-N 0 0 275.308 2.846 20 5 CFBDRN COC/C(C)=C\CSc1ccc([N+](=O)[O-])cn1 ZINC000901519250 620854884 /nfs/dbraw/zinc/85/48/84/620854884.db2.gz FYKJSPIZCIBLLQ-UITAMQMPSA-N 0 0 254.311 2.675 20 5 CFBDRN O=C([O-])CCCCC[NH2+]C/C=C\c1ccccc1[N+](=O)[O-] ZINC000901556439 620856695 /nfs/dbraw/zinc/85/66/95/620856695.db2.gz SHAJKFIUEPBWCB-VURMDHGXSA-N 0 0 292.335 2.843 20 5 CFBDRN Cc1nn(C[C@@H]2CCC[C@H](C(F)(F)F)O2)cc1[N+](=O)[O-] ZINC000901548508 620861423 /nfs/dbraw/zinc/86/14/23/620861423.db2.gz MPQZUCKZWJIUFJ-WCBMZHEXSA-N 0 0 293.245 2.600 20 5 CFBDRN CC(C)(C)[C@H]([NH2+]C/C=C\c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000901574126 620867010 /nfs/dbraw/zinc/86/70/10/620867010.db2.gz HEMWGSRIKXSGNE-FWPCIQOSSA-N 0 0 292.335 2.697 20 5 CFBDRN COC/C(C)=C\COc1cc(OC)ccc1[N+](=O)[O-] ZINC000901573015 620867095 /nfs/dbraw/zinc/86/70/95/620867095.db2.gz PRIHXIFJWLVJPL-POHAHGRESA-N 0 0 267.281 2.575 20 5 CFBDRN CC[C@@H](C)C[C@@H]([NH2+]Cc1csc([N+](=O)[O-])c1)C(=O)[O-] ZINC000901675035 620881911 /nfs/dbraw/zinc/88/19/11/620881911.db2.gz QVZZVRHWILVLPR-PSASIEDQSA-N 0 0 286.353 2.635 20 5 CFBDRN CC[C@H](C)C[C@H]([NH2+]Cc1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000901673970 620881925 /nfs/dbraw/zinc/88/19/25/620881925.db2.gz NVWXZCMXDRIZRG-GWCFXTLKSA-N 0 0 294.351 2.882 20 5 CFBDRN C[C@H](CO)COc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000901656480 620883879 /nfs/dbraw/zinc/88/38/79/620883879.db2.gz YLGDFOJLLOJLBQ-SSDOTTSWSA-N 0 0 279.214 2.621 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1OCCC(F)(F)F)OCCO2 ZINC000901701821 620898636 /nfs/dbraw/zinc/89/86/36/620898636.db2.gz KRAGFQGTNYJURK-UHFFFAOYSA-N 0 0 293.197 2.697 20 5 CFBDRN O=C([O-])C1=CC[C@H]([NH2+]Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000901735235 620906509 /nfs/dbraw/zinc/90/65/09/620906509.db2.gz ZWHVAHLPTGHUEV-JTQLQIEISA-N 0 0 296.710 2.511 20 5 CFBDRN C[C@]1(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)C=CCC1 ZINC000901778994 620913594 /nfs/dbraw/zinc/91/35/94/620913594.db2.gz FTRTXEPYCYINLU-HNNXBMFYSA-N 0 0 272.304 2.840 20 5 CFBDRN CCc1noc([C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)n1 ZINC000901908815 620937858 /nfs/dbraw/zinc/93/78/58/620937858.db2.gz MRPSGRCTSOXIGF-QWRGUYRKSA-N 0 0 259.265 2.811 20 5 CFBDRN Cc1ccc(C[NH2+][C@@](C)(CC(=O)[O-])C2CC2)cc1[N+](=O)[O-] ZINC000901951590 620944233 /nfs/dbraw/zinc/94/42/33/620944233.db2.gz ZPNXLIVAFQFHTL-HNNXBMFYSA-N 0 0 292.335 2.636 20 5 CFBDRN Cc1ccc(C[N@@H+]2CCCCC[C@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000902039542 620961867 /nfs/dbraw/zinc/96/18/67/620961867.db2.gz YPMLJCIVHAUGQY-ZDUSSCGKSA-N 0 0 292.335 2.732 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC[C@H]1C[C@@]12CCOC2 ZINC000902047237 620963521 /nfs/dbraw/zinc/96/35/21/620963521.db2.gz YFRWOUKKRIPIEL-IAQYHMDHSA-N 0 0 292.335 2.832 20 5 CFBDRN O=[N+]([O-])c1ncccc1CNc1ccc2c(c1)CCOC2 ZINC000902102024 620974248 /nfs/dbraw/zinc/97/42/48/620974248.db2.gz XKNSZTAUPZWWHR-UHFFFAOYSA-N 0 0 285.303 2.675 20 5 CFBDRN CC(C)Nc1ccccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000902106194 620975131 /nfs/dbraw/zinc/97/51/31/620975131.db2.gz TWZVNTPRBNLTFU-UHFFFAOYSA-N 0 0 289.339 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@@H]1C[C@@]12CCOC2 ZINC000902122130 620982835 /nfs/dbraw/zinc/98/28/35/620982835.db2.gz CHYIFOJMCLVOEC-TVQRCGJNSA-N 0 0 267.256 2.539 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNc1ccnc(C)n1 ZINC000902125775 620984133 /nfs/dbraw/zinc/98/41/33/620984133.db2.gz ALCXMGHABMMIOB-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSCCOC1CC1 ZINC000902303033 621027410 /nfs/dbraw/zinc/02/74/10/621027410.db2.gz ABVOQZNYKMONPN-UHFFFAOYSA-N 0 0 283.349 2.886 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2C[C@@]23CCOC3)c([N+](=O)[O-])c1 ZINC000902305471 621027913 /nfs/dbraw/zinc/02/79/13/621027913.db2.gz QQOIARGHUWCDOX-SWLSCSKDSA-N 0 0 291.303 2.603 20 5 CFBDRN COCC[C@H](C)SCc1cccnc1[N+](=O)[O-] ZINC000902341430 621032539 /nfs/dbraw/zinc/03/25/39/621032539.db2.gz WSONXJUIEIBSET-VIFPVBQESA-N 0 0 256.327 2.648 20 5 CFBDRN CC(C)c1nc(CSCc2cccnc2[N+](=O)[O-])no1 ZINC000902322667 621035959 /nfs/dbraw/zinc/03/59/59/621035959.db2.gz VSIPBCFSHSXCKD-UHFFFAOYSA-N 0 0 294.336 2.930 20 5 CFBDRN CCc1nnc(CSCc2cccnc2[N+](=O)[O-])s1 ZINC000902364139 621054281 /nfs/dbraw/zinc/05/42/81/621054281.db2.gz MTFRNGKBIMLFCH-UHFFFAOYSA-N 0 0 296.377 2.837 20 5 CFBDRN Cn1cc(CSCCCC2CCOCC2)c([N+](=O)[O-])n1 ZINC000902381017 621058297 /nfs/dbraw/zinc/05/82/97/621058297.db2.gz MBJFRIFLJGYVSX-UHFFFAOYSA-N 0 0 299.396 2.768 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSCCO[C@@H]1CC1(F)F ZINC000902420297 621072838 /nfs/dbraw/zinc/07/28/38/621072838.db2.gz ABSPJOOAASFUTK-SECBINFHSA-N 0 0 290.291 2.647 20 5 CFBDRN Cc1ccc(CSCCN2CCOC2=O)cc1[N+](=O)[O-] ZINC000902472633 621085336 /nfs/dbraw/zinc/08/53/36/621085336.db2.gz WWLYXUNXPDUSEL-UHFFFAOYSA-N 0 0 296.348 2.589 20 5 CFBDRN C=C/C=C\CCSCCn1cc([N+](=O)[O-])cn1 ZINC000902450199 621091550 /nfs/dbraw/zinc/09/15/50/621091550.db2.gz HKKDJJKZNPIUJJ-ARJAWSKDSA-N 0 0 253.327 2.657 20 5 CFBDRN C[C@@H](SCCN1CCOC1=O)c1ccccc1[N+](=O)[O-] ZINC000902469227 621100397 /nfs/dbraw/zinc/10/03/97/621100397.db2.gz QFJGAZCIGHVDHP-SNVBAGLBSA-N 0 0 296.348 2.841 20 5 CFBDRN COCCCCOc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902697416 621149710 /nfs/dbraw/zinc/14/97/10/621149710.db2.gz YEAUSAUFFQKPJQ-UHFFFAOYSA-N 0 0 261.224 2.678 20 5 CFBDRN C[C@@H](NC(=O)NC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000902916459 621202745 /nfs/dbraw/zinc/20/27/45/621202745.db2.gz SGMIPBZJZNLAQS-SNVBAGLBSA-N 0 0 277.324 2.898 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000902938871 621210360 /nfs/dbraw/zinc/21/03/60/621210360.db2.gz UZDBXVSVDKVHAZ-SECBINFHSA-N 0 0 281.312 2.530 20 5 CFBDRN CCO[C@H]1[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)[C@@H]1C ZINC000903270741 621308063 /nfs/dbraw/zinc/30/80/63/621308063.db2.gz DZGZXZBVDSYPBS-XXILOJSOSA-N 0 0 289.291 2.783 20 5 CFBDRN Cc1nc(NC(=O)[C@]2(C)C=CCC2)ccc1[N+](=O)[O-] ZINC000903817779 621443749 /nfs/dbraw/zinc/44/37/49/621443749.db2.gz IITBPKMYMXFICQ-CYBMUJFWSA-N 0 0 261.281 2.593 20 5 CFBDRN CC(C)CCC[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000904039425 621509803 /nfs/dbraw/zinc/50/98/03/621509803.db2.gz ZWAQBJOWFPOJFW-AWEZNQCLSA-N 0 0 294.351 2.964 20 5 CFBDRN CCc1noc(-c2ccc([N+](=O)[O-])c3cccnc23)n1 ZINC000904343367 621578887 /nfs/dbraw/zinc/57/88/87/621578887.db2.gz LCVHTXHCERMMPH-UHFFFAOYSA-N 0 0 270.248 2.755 20 5 CFBDRN CCc1noc(-c2cc(-c3ccc([N+](=O)[O-])cc3)[nH]n2)n1 ZINC000904343380 621579038 /nfs/dbraw/zinc/57/90/38/621579038.db2.gz LQORHGOVXMGSOV-UHFFFAOYSA-N 0 0 285.263 2.597 20 5 CFBDRN CN(C)c1noc(-c2cc([N+](=O)[O-])cc3ccccc32)n1 ZINC000904658547 621616938 /nfs/dbraw/zinc/61/69/38/621616938.db2.gz OXILDRBIZZDLSJ-UHFFFAOYSA-N 0 0 284.275 2.864 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1-c1nc(-c2ccn(C)n2)no1 ZINC000904879876 621660281 /nfs/dbraw/zinc/66/02/81/621660281.db2.gz YDJJRGDWHKYLDY-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN CCO[C@@H]1[C@@H](C)[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000905032227 621683418 /nfs/dbraw/zinc/68/34/18/621683418.db2.gz BGTUMPSTCBCPDN-TVYUQYBPSA-N 0 0 278.308 2.513 20 5 CFBDRN CN(C(=O)CCC1(C)CC1)c1ccc([N+](=O)[O-])nc1 ZINC000905025608 621687966 /nfs/dbraw/zinc/68/79/66/621687966.db2.gz OLVGUSPFBLYBAW-UHFFFAOYSA-N 0 0 263.297 2.533 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H](C)[C@@H]1CCCO1 ZINC000905186374 621713388 /nfs/dbraw/zinc/71/33/88/621713388.db2.gz AJDBAUNZJHKBBG-SKDRFNHKSA-N 0 0 296.298 2.796 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CS(=O)(=O)C1CCC1 ZINC000905258366 621728615 /nfs/dbraw/zinc/72/86/15/621728615.db2.gz ZYNPLPCZVUYCHC-UHFFFAOYSA-N 0 0 289.740 2.716 20 5 CFBDRN O=[N+]([O-])CCNc1ccc(OC2CCCC2)cc1 ZINC000905299031 621744895 /nfs/dbraw/zinc/74/48/95/621744895.db2.gz CLUWKCONLJSGOW-UHFFFAOYSA-N 0 0 250.298 2.697 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000832783853 625514366 /nfs/dbraw/zinc/51/43/66/625514366.db2.gz HJFVAPMEUVCADZ-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC1(C)C ZINC000840502840 621773054 /nfs/dbraw/zinc/77/30/54/621773054.db2.gz DKCSNUAVZCMTHZ-KJJQSCHISA-N 0 0 274.320 2.913 20 5 CFBDRN CCON(CCn1ccc([N+](=O)[O-])n1)CC1CCCCC1 ZINC000832787371 625515247 /nfs/dbraw/zinc/51/52/47/625515247.db2.gz WSSFFUGCDYBTJQ-UHFFFAOYSA-N 0 0 296.371 2.625 20 5 CFBDRN C[C@H](C[NH2+]CCC(C)(C)C(=O)[O-])c1ccccc1[N+](=O)[O-] ZINC000905774577 621861520 /nfs/dbraw/zinc/86/15/20/621861520.db2.gz IVUZFGNOCADIJO-LLVKDONJSA-N 0 0 294.351 2.789 20 5 CFBDRN CC[C@@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000194006565 625523450 /nfs/dbraw/zinc/52/34/50/625523450.db2.gz NEIJHZBMEIAGBW-SNVBAGLBSA-N 0 0 251.282 2.727 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000832835312 625531054 /nfs/dbraw/zinc/53/10/54/625531054.db2.gz TZQGDKPOKFZXTR-HAQNSBGRSA-N 0 0 270.373 2.907 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1(C2CC2)CCC1 ZINC000906239138 621963462 /nfs/dbraw/zinc/96/34/62/621963462.db2.gz HBCPFVLXRSEQIK-UHFFFAOYSA-N 0 0 274.320 2.791 20 5 CFBDRN COc1cccc(C(=O)NC(C)(C)/C=C/Cl)c1[N+](=O)[O-] ZINC000906289768 621970321 /nfs/dbraw/zinc/97/03/21/621970321.db2.gz OYPSOCVPMHLIOT-BQYQJAHWSA-N 0 0 298.726 2.864 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000906318181 621971554 /nfs/dbraw/zinc/97/15/54/621971554.db2.gz VKZZDBSIQXMCCL-MJVIPROJSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC[C@]23C[C@H]2CCC3)c1F ZINC000906444153 621990278 /nfs/dbraw/zinc/99/02/78/621990278.db2.gz ORHGOYJVYSJZFQ-BMIGLBTASA-N 0 0 292.310 2.962 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000907228340 622101284 /nfs/dbraw/zinc/10/12/84/622101284.db2.gz ZHYIQCJYKCUGRP-JTQLQIEISA-N 0 0 297.742 2.701 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000907951029 622176791 /nfs/dbraw/zinc/17/67/91/622176791.db2.gz JQIYGKHGFKYDPN-HZGVNTEJSA-N 0 0 286.690 2.916 20 5 CFBDRN C/C(Cl)=C/C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000908123550 622194536 /nfs/dbraw/zinc/19/45/36/622194536.db2.gz CONRRHWYIGIRRG-KTKRTIGZSA-N 0 0 282.727 2.738 20 5 CFBDRN CC[C@H](O)[C@@H](C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000908266877 622209650 /nfs/dbraw/zinc/20/96/50/622209650.db2.gz BGMDVWIFWGBEPL-PWSUYJOCSA-N 0 0 280.324 2.557 20 5 CFBDRN O=C(NCCCCF)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000908317180 622214075 /nfs/dbraw/zinc/21/40/75/622214075.db2.gz LEHJAAHZZMKIRV-UHFFFAOYSA-N 0 0 292.669 2.867 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)NOCC1CC1 ZINC000908381728 622223922 /nfs/dbraw/zinc/22/39/22/622223922.db2.gz CGIOMKTWCPOVQI-UHFFFAOYSA-N 0 0 298.726 2.639 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000909048073 622303484 /nfs/dbraw/zinc/30/34/84/622303484.db2.gz CNMFWZQKUSRKEW-CBAPKCEASA-N 0 0 297.694 2.630 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000909095860 622310914 /nfs/dbraw/zinc/31/09/14/622310914.db2.gz PMSQWELDAQZIFX-UHFFFAOYSA-N 0 0 296.298 2.613 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000909104789 622317536 /nfs/dbraw/zinc/31/75/36/622317536.db2.gz ONZASEPPEOHYGY-UHFFFAOYSA-N 0 0 292.335 2.782 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC=CC1 ZINC000910009487 622391024 /nfs/dbraw/zinc/39/10/24/622391024.db2.gz PKAPYQCZBRBAEK-UHFFFAOYSA-N 0 0 286.209 2.626 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@@H]2C)c1[N+](=O)[O-] ZINC000910075740 622402554 /nfs/dbraw/zinc/40/25/54/622402554.db2.gz JIBUATBNLBOJJP-DMLMCSCLSA-N 0 0 294.307 2.617 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)OCCC1CCCCC1 ZINC000910206230 622415138 /nfs/dbraw/zinc/41/51/38/622415138.db2.gz TXTXXHZWFWTMGS-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CC1 ZINC000323750552 622428147 /nfs/dbraw/zinc/42/81/47/622428147.db2.gz RXNNJXFCAGCHCH-LLVKDONJSA-N 0 0 284.262 2.791 20 5 CFBDRN CCc1csc([C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000910739414 622472486 /nfs/dbraw/zinc/47/24/86/622472486.db2.gz NNJHUJNVQSPJRE-SECBINFHSA-N 0 0 299.396 2.718 20 5 CFBDRN CC[C@@H](NC(=O)Cc1ccccc1[N+](=O)[O-])c1ccccn1 ZINC000911129382 622506788 /nfs/dbraw/zinc/50/67/88/622506788.db2.gz BHCUYUGDLFLOLM-CYBMUJFWSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@]1(OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCOC1 ZINC000802902747 622515881 /nfs/dbraw/zinc/51/58/81/622515881.db2.gz SAHGEAATNJISGI-LBPRGKRZSA-N 0 0 285.683 2.584 20 5 CFBDRN C/C=C(/CC)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911474592 622522055 /nfs/dbraw/zinc/52/20/55/622522055.db2.gz MBYMKLJQNPIJJX-BAQGIRSFSA-N 0 0 284.262 2.846 20 5 CFBDRN CC/C=C(/C)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911478342 622524746 /nfs/dbraw/zinc/52/47/46/622524746.db2.gz OPXPCOYBEBHFIF-YWEYNIOJSA-N 0 0 284.262 2.846 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OC[C@H]1CC=CCC1 ZINC000071878273 625574184 /nfs/dbraw/zinc/57/41/84/625574184.db2.gz GDUWRIFWOAPMNC-LBPRGKRZSA-N 0 0 291.303 2.873 20 5 CFBDRN CC1(C)C[C@@H](c2ccccc2)N(C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C1 ZINC000819749784 622549880 /nfs/dbraw/zinc/54/98/80/622549880.db2.gz RWIYTIPVMUTANF-MCIONIFRSA-N 0 0 288.347 2.651 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000819798703 622559397 /nfs/dbraw/zinc/55/93/97/622559397.db2.gz PJJMRQUHZDNBGS-DOFRTFSJSA-N 0 0 296.273 2.601 20 5 CFBDRN Nc1ccc(NC(=O)C2CCC(F)(F)CC2)cc1[N+](=O)[O-] ZINC000819892938 622567923 /nfs/dbraw/zinc/56/79/23/622567923.db2.gz OZLBAADXNDYXDF-UHFFFAOYSA-N 0 0 299.277 2.941 20 5 CFBDRN Cc1cc(C)c(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)o1 ZINC000819909695 622569268 /nfs/dbraw/zinc/56/92/68/622569268.db2.gz FKJOXMLZOFBTMI-UHFFFAOYSA-N 0 0 275.264 2.639 20 5 CFBDRN O=C(/C=C\[C@H]1CCCO1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000819963575 622585977 /nfs/dbraw/zinc/58/59/77/622585977.db2.gz FCWFEEDZVKNXOS-TXNVCOODSA-N 0 0 295.266 2.512 20 5 CFBDRN CCC[C@@H]1CCCN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000912464970 622715501 /nfs/dbraw/zinc/71/55/01/622715501.db2.gz YKPMCRNDCGMDMM-LLVKDONJSA-N 0 0 266.345 2.889 20 5 CFBDRN C[C@H]1CN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000820649564 622725735 /nfs/dbraw/zinc/72/57/35/622725735.db2.gz GQGRHEPRGDRPJH-UWVGGRQHSA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1CCCS1 ZINC000820763362 622752086 /nfs/dbraw/zinc/75/20/86/622752086.db2.gz NJFXBYGXAKCVJC-NSHDSACASA-N 0 0 294.376 2.837 20 5 CFBDRN Cc1ncoc1-c1noc(-c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000820897212 622785401 /nfs/dbraw/zinc/78/54/01/622785401.db2.gz IEOROHYNXDOWQS-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN Cc1ncoc1-c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000820907711 622790044 /nfs/dbraw/zinc/79/00/44/622790044.db2.gz UQCLIDAUVQRNNK-UHFFFAOYSA-N 0 0 278.249 2.670 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000151046397 622823456 /nfs/dbraw/zinc/82/34/56/622823456.db2.gz QLUUFEXTOQRCLF-VIFPVBQESA-N 0 0 266.272 2.667 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](F)C1 ZINC000821038012 622828326 /nfs/dbraw/zinc/82/83/26/622828326.db2.gz OLWABGAIXKVHMG-QMMMGPOBSA-N 0 0 284.312 2.501 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000151214121 622863968 /nfs/dbraw/zinc/86/39/68/622863968.db2.gz ISIMZDZBTWXHML-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN CC(C)CC(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151240589 622872035 /nfs/dbraw/zinc/87/20/35/622872035.db2.gz KORAWIRMLHNOMO-UHFFFAOYSA-N 0 0 251.282 2.727 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000151245115 622873165 /nfs/dbraw/zinc/87/31/65/622873165.db2.gz YPGAPCJSDUPSJZ-WPRPVWTQSA-N 0 0 280.299 2.913 20 5 CFBDRN CC(C)C(=O)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913387420 622892024 /nfs/dbraw/zinc/89/20/24/622892024.db2.gz OZFXUPRWANMIKY-UHFFFAOYSA-N 0 0 274.679 2.660 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913385710 622892306 /nfs/dbraw/zinc/89/23/06/622892306.db2.gz BVPISRHAASYGKQ-POYBYMJQSA-N 0 0 286.690 2.660 20 5 CFBDRN Cc1c(COC(=O)/C=C/[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000821416357 622918767 /nfs/dbraw/zinc/91/87/67/622918767.db2.gz JDYJCUDPJKJICP-GWJCSSMESA-N 0 0 291.303 2.682 20 5 CFBDRN COc1ccc(OC(=O)C(C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC000163683665 622920312 /nfs/dbraw/zinc/92/03/12/622920312.db2.gz OGUBUJFOKJGCAI-UHFFFAOYSA-N 0 0 291.303 2.945 20 5 CFBDRN CO[C@H](C)CC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163914795 622930746 /nfs/dbraw/zinc/93/07/46/622930746.db2.gz ZBCHNMPVMBTIQH-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1cc(NC(=O)N2C[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000821565924 622945400 /nfs/dbraw/zinc/94/54/00/622945400.db2.gz LLFSKSRKQGDNEZ-VHSXEESVSA-N 0 0 263.297 2.775 20 5 CFBDRN O=C(/C=C\c1ccco1)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797272 622961589 /nfs/dbraw/zinc/96/15/89/622961589.db2.gz SSPKJXKEAAYSTG-FPLPWBNLSA-N 0 0 272.260 2.517 20 5 CFBDRN COC1(CNC(=O)c2c(C)ccc([N+](=O)[O-])c2C)CCC1 ZINC000821788065 622975441 /nfs/dbraw/zinc/97/54/41/622975441.db2.gz DTXIHPZJUYVYKF-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])O[C@H]1CCC12CCC2 ZINC000821824719 622984588 /nfs/dbraw/zinc/98/45/88/622984588.db2.gz GLTGLGYRZRKELO-ZDUSSCGKSA-N 0 0 291.303 2.850 20 5 CFBDRN CNc1ccc(C(=O)OC[C@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000822079649 623030191 /nfs/dbraw/zinc/03/01/91/623030191.db2.gz QTPQPIDMRZLSPF-YPMHNXCESA-N 0 0 282.271 2.541 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822122683 623042793 /nfs/dbraw/zinc/04/27/93/623042793.db2.gz WNKLVAFBEVYSBT-LLVKDONJSA-N 0 0 256.346 2.613 20 5 CFBDRN C[C@H](C(=O)OC[C@]1(C)C[C@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000822136238 623046913 /nfs/dbraw/zinc/04/69/13/623046913.db2.gz BXUYWNICEPXQRH-BHYNMZESSA-N 0 0 281.283 2.990 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1CCC=CO1 ZINC000822278431 623069554 /nfs/dbraw/zinc/06/95/54/623069554.db2.gz WMOPGVODFPWTQQ-LBPRGKRZSA-N 0 0 290.319 2.634 20 5 CFBDRN Cc1c(CNCc2ccc(Cl)cc2[N+](=O)[O-])cnn1C ZINC000226383127 623072206 /nfs/dbraw/zinc/07/22/06/623072206.db2.gz ZEMQRGYCCLVRIF-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN CCCCCCNC(=O)COc1ccc([N+](=O)[O-])cc1F ZINC000059011990 623082540 /nfs/dbraw/zinc/08/25/40/623082540.db2.gz QGBQWMPOCIQLAR-UHFFFAOYSA-N 0 0 298.314 2.809 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCC[C@H]1C=CCC1 ZINC000834337918 625620276 /nfs/dbraw/zinc/62/02/76/625620276.db2.gz BAXRJGZZQXXAQX-LBPRGKRZSA-N 0 0 289.335 2.774 20 5 CFBDRN CC(C)(CNC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000822458729 623108396 /nfs/dbraw/zinc/10/83/96/623108396.db2.gz XKSPAWKFYMJFDM-UHFFFAOYSA-N 0 0 292.379 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCC[C@@H](CCO)C2)c1 ZINC000227530711 623111882 /nfs/dbraw/zinc/11/18/82/623111882.db2.gz GAXQYPRPLNTPIH-NSHDSACASA-N 0 0 298.770 2.843 20 5 CFBDRN C[C@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])c2ccccc21 ZINC000822533807 623122510 /nfs/dbraw/zinc/12/25/10/623122510.db2.gz MXGQLQJYUBUEAH-NSHDSACASA-N 0 0 276.336 2.972 20 5 CFBDRN COc1ccc(COC(=O)c2ccccc2[N+](=O)[O-])cc1 ZINC000060525260 623136765 /nfs/dbraw/zinc/13/67/65/623136765.db2.gz BPXDIHVCSVLGAC-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1Cc2cccnc2C1 ZINC000822652524 623143423 /nfs/dbraw/zinc/14/34/23/623143423.db2.gz IWDYYJNKSALQOI-UHFFFAOYSA-N 0 0 297.314 2.763 20 5 CFBDRN CCc1cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])n[nH]1 ZINC000228531857 623147318 /nfs/dbraw/zinc/14/73/18/623147318.db2.gz FJMHNGGCMLRTPO-UHFFFAOYSA-N 0 0 294.698 2.786 20 5 CFBDRN O=C(C(F)=C1CCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000822701723 623155508 /nfs/dbraw/zinc/15/55/08/623155508.db2.gz SYAKVWQCJLEZLA-UHFFFAOYSA-N 0 0 276.267 2.891 20 5 CFBDRN Cc1nc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000228675810 623156935 /nfs/dbraw/zinc/15/69/35/623156935.db2.gz WHOBLIQDORJMRY-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC1CCC(CNC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000822730203 623161723 /nfs/dbraw/zinc/16/17/23/623161723.db2.gz HNNJGAULQTYESN-UHFFFAOYSA-N 0 0 270.373 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OCC1(O)CCCC1 ZINC000087464151 623162897 /nfs/dbraw/zinc/16/28/97/623162897.db2.gz MFTSPJJBWAEBOI-UHFFFAOYSA-N 0 0 271.700 2.932 20 5 CFBDRN COc1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2)c(F)c1 ZINC000060926516 623166476 /nfs/dbraw/zinc/16/64/76/623166476.db2.gz OCVJHAMMZZQWGR-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN Cc1cnc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000061319962 623202179 /nfs/dbraw/zinc/20/21/79/623202179.db2.gz XRPRQEGUCPGVDU-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN COCc1cccc(OC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000171877861 623245775 /nfs/dbraw/zinc/24/57/75/623245775.db2.gz CCUDZIWHPOPRMV-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN COCc1cccc(OC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000171878261 623246216 /nfs/dbraw/zinc/24/62/16/623246216.db2.gz KZMNGMXSQBAWOH-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC[C@H](C)F ZINC000823177384 623252576 /nfs/dbraw/zinc/25/25/76/623252576.db2.gz LHOUENFREWHQFS-VIFPVBQESA-N 0 0 268.288 2.690 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000230154912 623256368 /nfs/dbraw/zinc/25/63/68/623256368.db2.gz CFKYAVYNMREZPR-TVQRCGJNSA-N 0 0 284.743 2.905 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C=CCC1 ZINC000823194919 623257999 /nfs/dbraw/zinc/25/79/99/623257999.db2.gz ZJRWCLMRRLWFGL-SNVBAGLBSA-N 0 0 291.307 2.834 20 5 CFBDRN CC(C)(CCC(=O)NCCCc1cccc(F)c1)[N+](=O)[O-] ZINC000823226516 623269150 /nfs/dbraw/zinc/26/91/50/623269150.db2.gz BKKCYTYIIDGZQB-UHFFFAOYSA-N 0 0 296.342 2.710 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000230669978 623289533 /nfs/dbraw/zinc/28/95/33/623289533.db2.gz IRRRZWYBJPACSZ-ZJUUUORDSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000230669623 623289798 /nfs/dbraw/zinc/28/97/98/623289798.db2.gz PXULQDUCKVRWMX-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN CCCCOC(=O)[C@H](C)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000172748993 623304263 /nfs/dbraw/zinc/30/42/63/623304263.db2.gz BOOCMCORCVBHOP-LBPRGKRZSA-N 0 0 294.351 2.758 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCCCCCCCO ZINC000172906030 623317573 /nfs/dbraw/zinc/31/75/73/623317573.db2.gz JFCYSGDAWFEUDZ-UHFFFAOYSA-N 0 0 281.308 2.729 20 5 CFBDRN CCOC(=O)N1CCC(Nc2ccsc2[N+](=O)[O-])CC1 ZINC000063256231 623335199 /nfs/dbraw/zinc/33/51/99/623335199.db2.gz SHPSJCVXNMHCFN-UHFFFAOYSA-N 0 0 299.352 2.689 20 5 CFBDRN CCCCCCNC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000173253874 623339103 /nfs/dbraw/zinc/33/91/03/623339103.db2.gz WZENJSBUMWCTQH-UHFFFAOYSA-N 0 0 293.367 2.728 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CS[C@H](C)C1 ZINC000823582155 623352845 /nfs/dbraw/zinc/35/28/45/623352845.db2.gz QMSNKLBJNGIVFQ-MWLCHTKSSA-N 0 0 294.376 2.835 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000823658940 623372044 /nfs/dbraw/zinc/37/20/44/623372044.db2.gz HOOYMBFFPZVYSQ-QWHCGFSZSA-N 0 0 276.336 2.955 20 5 CFBDRN Cc1c(CNc2ncc([N+](=O)[O-])s2)cccc1[N+](=O)[O-] ZINC000231868652 623373295 /nfs/dbraw/zinc/37/32/95/623373295.db2.gz UMBXXXFSMNOICD-UHFFFAOYSA-N 0 0 294.292 2.880 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)/C=C/[C@@H]1CCCO1 ZINC000823814471 623399807 /nfs/dbraw/zinc/39/98/07/623399807.db2.gz KDVFMZOOGCTYPH-QBBOHKLWSA-N 0 0 294.282 2.716 20 5 CFBDRN CCCn1cc(NC(=O)c2ccc([N+](=O)[O-])cc2C)cn1 ZINC000174259396 623405427 /nfs/dbraw/zinc/40/54/27/623405427.db2.gz GHQUTNMLLUZSJS-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN COc1ccc(C(=O)OCCCSC)cc1[N+](=O)[O-] ZINC000174277023 623408365 /nfs/dbraw/zinc/40/83/65/623408365.db2.gz JOVJUBSGTJHAPO-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CC(C(=O)NCCNc1ccc([N+](=O)[O-])cc1)=C1CCC1 ZINC000823911156 623417661 /nfs/dbraw/zinc/41/76/61/623417661.db2.gz CBVRNKHHXWHDCF-UHFFFAOYSA-N 0 0 289.335 2.623 20 5 CFBDRN CC1=C(C)CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000823944864 623422921 /nfs/dbraw/zinc/42/29/21/623422921.db2.gz GJUWXWLBOFRMCX-UHFFFAOYSA-N 0 0 290.323 2.751 20 5 CFBDRN CC[C@H]1CCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823985956 623428806 /nfs/dbraw/zinc/42/88/06/623428806.db2.gz FRSMVKOVMJXAMY-JTQLQIEISA-N 0 0 292.339 2.831 20 5 CFBDRN Nc1ccc(NC(=O)NC[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000824002489 623430864 /nfs/dbraw/zinc/43/08/64/623430864.db2.gz AMSKDNZBWFXJMS-JTQLQIEISA-N 0 0 290.323 2.655 20 5 CFBDRN CC(C)CN(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000824003171 623430874 /nfs/dbraw/zinc/43/08/74/623430874.db2.gz RGZVRDNQKJECKA-UHFFFAOYSA-N 0 0 292.339 2.829 20 5 CFBDRN CS[C@H](C)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000075881939 623444874 /nfs/dbraw/zinc/44/48/74/623444874.db2.gz BSSQVRAMDQJXJE-MRVPVSSYSA-N 0 0 255.295 2.560 20 5 CFBDRN C[C@@H](COC(=O)Cc1ccccc1[N+](=O)[O-])CC(F)F ZINC000842434865 623455971 /nfs/dbraw/zinc/45/59/71/623455971.db2.gz XDWDAUFYFDHQEU-SECBINFHSA-N 0 0 287.262 2.972 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CCS[C@H]1C ZINC000824142679 623459384 /nfs/dbraw/zinc/45/93/84/623459384.db2.gz OUALYTJIERHJNV-WDEREUQCSA-N 0 0 294.376 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H]2CCn3ccnc32)c(Cl)c1 ZINC000824526569 623517441 /nfs/dbraw/zinc/51/74/41/623517441.db2.gz NGCZVQDREAHHEN-GFCCVEGCSA-N 0 0 292.726 2.679 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929705851 623518759 /nfs/dbraw/zinc/51/87/59/623518759.db2.gz IQEOPQXXULGQOY-SNVBAGLBSA-N 0 0 294.326 2.923 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N(c1ccccc1)C1CCC1 ZINC000913673329 623554047 /nfs/dbraw/zinc/55/40/47/623554047.db2.gz BRSBYALONCJXQV-UHFFFAOYSA-N 0 0 286.291 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC[C@H]1CC1(F)F ZINC000824797896 623567403 /nfs/dbraw/zinc/56/74/03/623567403.db2.gz RXDNHJGCJBKUSL-JTQLQIEISA-N 0 0 298.289 2.987 20 5 CFBDRN O=C(CC(F)(F)F)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000193041178 623573869 /nfs/dbraw/zinc/57/38/69/623573869.db2.gz TVOZBYPSBIEPCK-UHFFFAOYSA-N 0 0 284.140 2.764 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C=CCC1 ZINC000824965625 623596409 /nfs/dbraw/zinc/59/64/09/623596409.db2.gz PNHJZOAQHVQWEN-VIFPVBQESA-N 0 0 278.333 2.765 20 5 CFBDRN CCc1nc(CNCc2ccc([N+](=O)[O-])cc2F)co1 ZINC000825056607 623618983 /nfs/dbraw/zinc/61/89/83/623618983.db2.gz HKYWGBUAORQYGB-UHFFFAOYSA-N 0 0 279.271 2.574 20 5 CFBDRN Cc1sc(C(=O)NN2[C@H](C)CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000913962365 623659081 /nfs/dbraw/zinc/65/90/81/623659081.db2.gz RISFBVOSIGEBFC-RKDXNWHRSA-N 0 0 297.380 2.872 20 5 CFBDRN CC(C)(C)NC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929778379 623663512 /nfs/dbraw/zinc/66/35/12/623663512.db2.gz IABIXMAKYMQNTA-RYUDHWBXSA-N 0 0 262.309 2.613 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000760550952 623674777 /nfs/dbraw/zinc/67/47/77/623674777.db2.gz FWFSBQKNTDGJDH-LDYMZIIASA-N 0 0 297.332 2.705 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C(C)=C1CCC1 ZINC000825373536 623681922 /nfs/dbraw/zinc/68/19/22/623681922.db2.gz NLUMLQOVWQWMMN-UHFFFAOYSA-N 0 0 290.319 2.720 20 5 CFBDRN CC[C@@H](NC(=O)CCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000825376082 623682936 /nfs/dbraw/zinc/68/29/36/623682936.db2.gz MJCQMONYCPIXQP-CYBMUJFWSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CC[C@H]1C ZINC000914155665 623715505 /nfs/dbraw/zinc/71/55/05/623715505.db2.gz GZQDTFLOZHQVDX-MRVPVSSYSA-N 0 0 268.700 2.791 20 5 CFBDRN CCc1cncc(C(=O)NCc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000825640439 623728385 /nfs/dbraw/zinc/72/83/85/623728385.db2.gz FCBGTYBSSMRVDE-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CCC[C@@H](OCC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825634970 623728640 /nfs/dbraw/zinc/72/86/40/623728640.db2.gz ZEGRKVDTFOHICQ-CQSZACIVSA-N 0 0 294.351 2.725 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000825640833 623729356 /nfs/dbraw/zinc/72/93/56/623729356.db2.gz LHZMMLKRLBBHQS-NSHDSACASA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)CCOCC(C)C)cc1[N+](=O)[O-] ZINC000825654620 623730903 /nfs/dbraw/zinc/73/09/03/623730903.db2.gz AHCGEJHTVDRXQB-UHFFFAOYSA-N 0 0 294.351 2.582 20 5 CFBDRN Cc1ccc(CNC(=O)C[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000825655503 623731209 /nfs/dbraw/zinc/73/12/09/623731209.db2.gz PYTMEUGDWWQYJA-NSHDSACASA-N 0 0 276.336 2.956 20 5 CFBDRN C[C@@H](NC(=O)NC[C@H]1C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000914216262 623737958 /nfs/dbraw/zinc/73/79/58/623737958.db2.gz FRKGNZZJCARYGM-HOSYDEDBSA-N 0 0 277.324 2.611 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000825684515 623739832 /nfs/dbraw/zinc/73/98/32/623739832.db2.gz WQTORXJTFGSSJD-OAHLLOKOSA-N 0 0 294.326 2.971 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)NCCCCC1CC1 ZINC000825689571 623740891 /nfs/dbraw/zinc/74/08/91/623740891.db2.gz PDMYZGVRWLLRBO-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H](O)c1ccc(F)c(F)c1 ZINC000152682824 623753374 /nfs/dbraw/zinc/75/33/74/623753374.db2.gz VNBAYHBQODJUBT-ZDUSSCGKSA-N 0 0 295.241 2.985 20 5 CFBDRN CC1(C)C[C@]1(C)CNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000914378647 623779795 /nfs/dbraw/zinc/77/97/95/623779795.db2.gz GGBVNFSPSTZRLA-OAHLLOKOSA-N 0 0 294.326 2.829 20 5 CFBDRN CCS[C@H]1CCC[C@@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826646857 623829624 /nfs/dbraw/zinc/82/96/24/623829624.db2.gz GNVYQTFGAAEVQI-QWRGUYRKSA-N 0 0 288.413 2.612 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000826670834 623836713 /nfs/dbraw/zinc/83/67/13/623836713.db2.gz ZWMVPWZMFGYFJE-VHSXEESVSA-N 0 0 297.311 2.712 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCOC[C@@H]2C2CCC2)c(F)c1 ZINC000826693239 623844382 /nfs/dbraw/zinc/84/43/82/623844382.db2.gz TURAHBPSJAHHTE-CYBMUJFWSA-N 0 0 298.289 2.878 20 5 CFBDRN O=C(O[C@H]1COc2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000153179585 623870259 /nfs/dbraw/zinc/87/02/59/623870259.db2.gz IXPWQTGKSFTNON-AWEZNQCLSA-N 0 0 285.255 2.885 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000826829284 623885218 /nfs/dbraw/zinc/88/52/18/623885218.db2.gz CHWDFJOOKMQIMY-SMDDNHRTSA-N 0 0 294.351 2.523 20 5 CFBDRN CCN(CCSC)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000826850277 623892309 /nfs/dbraw/zinc/89/23/09/623892309.db2.gz CZVIQGHZMZWWRZ-UHFFFAOYSA-N 0 0 282.365 2.987 20 5 CFBDRN CC(=O)c1c(N(C)Cc2ccncc2)cccc1[N+](=O)[O-] ZINC000763206861 623948251 /nfs/dbraw/zinc/94/82/51/623948251.db2.gz MGNAYOLYRWWOCC-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN COc1cc(C(=O)OCC2(C)CCC2)ccc1[N+](=O)[O-] ZINC000764096098 623976020 /nfs/dbraw/zinc/97/60/20/623976020.db2.gz AEJSSJQSGFFLRV-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CC(C)C1CN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000827280573 623980167 /nfs/dbraw/zinc/98/01/67/623980167.db2.gz FWJSBPXSFNNRLZ-UHFFFAOYSA-N 0 0 282.727 2.976 20 5 CFBDRN COc1ccc(OC(=O)c2cc(C)[nH]c2C)c([N+](=O)[O-])c1 ZINC000915134093 623986079 /nfs/dbraw/zinc/98/60/79/623986079.db2.gz DYVXPZNCEMUWOE-UHFFFAOYSA-N 0 0 290.275 2.768 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNc1ncc(C)cn1 ZINC000834478450 625691296 /nfs/dbraw/zinc/69/12/96/625691296.db2.gz FIYDQCUGKFOEFH-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1cnn(-c2ccccc2)n1 ZINC000915355175 624029437 /nfs/dbraw/zinc/02/94/37/624029437.db2.gz KFZLQTCJHOGXIA-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN CC(F)(F)CCCn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000915390730 624034878 /nfs/dbraw/zinc/03/48/78/624034878.db2.gz OGABWQNSSKMTSW-UHFFFAOYSA-N 0 0 297.261 2.740 20 5 CFBDRN CSCC[C@@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835813422 624039699 /nfs/dbraw/zinc/03/96/99/624039699.db2.gz OOXLWWDGHICQBC-SECBINFHSA-N 0 0 263.359 2.507 20 5 CFBDRN CC(C)=CCOC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000915450212 624044097 /nfs/dbraw/zinc/04/40/97/624044097.db2.gz BWDPBSWXVOKGLD-UHFFFAOYSA-N 0 0 279.292 2.873 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C(F)F ZINC000888277741 624052353 /nfs/dbraw/zinc/05/23/53/624052353.db2.gz DYQLCCBIVVFCNZ-YFKPBYRVSA-N 0 0 277.202 2.509 20 5 CFBDRN CCO[C@H](C)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000915501183 624070675 /nfs/dbraw/zinc/07/06/75/624070675.db2.gz JUWODCYAPUGDLJ-NXEZZACHSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCc2cccnc2)c1 ZINC000035368206 624074389 /nfs/dbraw/zinc/07/43/89/624074389.db2.gz DDFGBXTYACHAIS-UHFFFAOYSA-N 0 0 277.711 2.933 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CC12CCCCC2)[N+](=O)[O-] ZINC000827726065 624077063 /nfs/dbraw/zinc/07/70/63/624077063.db2.gz SPDHILZUNDFTPZ-LLVKDONJSA-N 0 0 268.357 2.661 20 5 CFBDRN CC[C@H]1CCN(c2ccc(CC(=O)OC)cc2[N+](=O)[O-])C1 ZINC000827748126 624083911 /nfs/dbraw/zinc/08/39/11/624083911.db2.gz XJNMAGQUGBOZDP-NSHDSACASA-N 0 0 292.335 2.547 20 5 CFBDRN Cc1nc(CC(=O)Nc2cccc([N+](=O)[O-])c2C)cs1 ZINC000032517730 624088863 /nfs/dbraw/zinc/08/88/63/624088863.db2.gz CKUWKNSIMCOIKF-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN O=Cc1ccc(OCc2cnc(C3CC3)o2)c([N+](=O)[O-])c1 ZINC000915871727 624135667 /nfs/dbraw/zinc/13/56/67/624135667.db2.gz UUAUZWBIJGOTQJ-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000915872820 624136254 /nfs/dbraw/zinc/13/62/54/624136254.db2.gz ZYLVRUMFYYYPGG-RKDXNWHRSA-N 0 0 283.353 2.564 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2CC=CCC2)cc1[N+](=O)[O-] ZINC000828012655 624153473 /nfs/dbraw/zinc/15/34/73/624153473.db2.gz VGQKJLFKXXYYRM-UHFFFAOYSA-N 0 0 280.711 2.959 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c2nonc21)C1CC1 ZINC000324525542 624163435 /nfs/dbraw/zinc/16/34/35/624163435.db2.gz XDCWIYRAGKSXFV-QMMMGPOBSA-N 0 0 262.269 2.732 20 5 CFBDRN C[C@@H]1CC[C@]2(CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C2)C1 ZINC000828183908 624196583 /nfs/dbraw/zinc/19/65/83/624196583.db2.gz NACZBVBZLFZBNS-DOMZBBRYSA-N 0 0 282.384 2.861 20 5 CFBDRN C[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@H]2CCCC[C@@H]12 ZINC000828194350 624198128 /nfs/dbraw/zinc/19/81/28/624198128.db2.gz JZHTWIXAVKRXDV-AGIUHOORSA-N 0 0 282.384 2.859 20 5 CFBDRN CSCc1nnc(SCc2cccnc2[N+](=O)[O-])o1 ZINC000916499959 624248634 /nfs/dbraw/zinc/24/86/34/624248634.db2.gz IBFKSSSOVKYTIM-UHFFFAOYSA-N 0 0 298.349 2.528 20 5 CFBDRN CCSc1ccccc1Cn1cnc([N+](=O)[O-])c1 ZINC000916558910 624255341 /nfs/dbraw/zinc/25/53/41/624255341.db2.gz SXTMOGQOADIFKO-UHFFFAOYSA-N 0 0 263.322 2.952 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC1=CCOCC1 ZINC000828499378 624257156 /nfs/dbraw/zinc/25/71/56/624257156.db2.gz WYJLKMIMRGZOSY-UHFFFAOYSA-N 0 0 276.292 2.556 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000828519655 624260957 /nfs/dbraw/zinc/26/09/57/624260957.db2.gz HUNZCNGKCRXARJ-NSHDSACASA-N 0 0 279.292 2.568 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000828520199 624261090 /nfs/dbraw/zinc/26/10/90/624261090.db2.gz VZHFBPNIUSAUDH-NSHDSACASA-N 0 0 279.292 2.568 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1c(C)cccc1[N+](=O)[O-])C(C)C ZINC000828575389 624271627 /nfs/dbraw/zinc/27/16/27/624271627.db2.gz HSPMNOPVGDCSHD-ZDUSSCGKSA-N 0 0 279.292 2.674 20 5 CFBDRN CC(=O)NCCCCCOc1cc([N+](=O)[O-])ccc1C ZINC000916704297 624279848 /nfs/dbraw/zinc/27/98/48/624279848.db2.gz JFTUONOVIQMRFL-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN C[C@]1(COc2cccnc2[N+](=O)[O-])CC1(Cl)Cl ZINC000828663240 624284190 /nfs/dbraw/zinc/28/41/90/624284190.db2.gz DRLQJVWJCQMKRQ-SECBINFHSA-N 0 0 277.107 2.953 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000033097816 624289142 /nfs/dbraw/zinc/28/91/42/624289142.db2.gz VADSVXMWGAZIJB-PWSUYJOCSA-N 0 0 291.351 2.828 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@@H]2CCC[C@H]2O)cc1 ZINC000828742585 624294097 /nfs/dbraw/zinc/29/40/97/624294097.db2.gz GJQSSBMWNYULJO-RRFJBIMHSA-N 0 0 276.336 2.725 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000828750123 624294903 /nfs/dbraw/zinc/29/49/03/624294903.db2.gz NVUNUVOYGBEGEE-QKCSRTOESA-N 0 0 294.326 2.864 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H](O)c2ccc3ccccc3c2)cn1 ZINC000916998807 624298205 /nfs/dbraw/zinc/29/82/05/624298205.db2.gz VNOZUFRIUHTMDJ-CQSZACIVSA-N 0 0 283.287 2.678 20 5 CFBDRN C[C@@H]1[C@H](O)CCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000828771245 624298512 /nfs/dbraw/zinc/29/85/12/624298512.db2.gz VDKPNMIOFBUYPJ-LDYMZIIASA-N 0 0 293.348 2.554 20 5 CFBDRN Nc1ccc(NC[C@H]2CCOC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000828785622 624301048 /nfs/dbraw/zinc/30/10/48/624301048.db2.gz MFPHBFDSOQMSCH-NSHDSACASA-N 0 0 291.351 2.938 20 5 CFBDRN C[C@H](Cc1ccco1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000033206629 624315578 /nfs/dbraw/zinc/31/55/78/624315578.db2.gz NUJDJSXHZXHQJT-SNVBAGLBSA-N 0 0 274.276 2.549 20 5 CFBDRN CCOC(=O)[C@@H](CC)SCc1cccnc1[N+](=O)[O-] ZINC000917148691 624318622 /nfs/dbraw/zinc/31/86/22/624318622.db2.gz BUQKJWSXSHZXSE-SNVBAGLBSA-N 0 0 284.337 2.565 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)CC1(C)C ZINC000828912006 624328795 /nfs/dbraw/zinc/32/87/95/624328795.db2.gz SXVZGWITMUCQAB-ZDUSSCGKSA-N 0 0 282.315 2.985 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2C[C@@H]3C=C[C@H]2CC3)c1=O ZINC000917320011 624332877 /nfs/dbraw/zinc/33/28/77/624332877.db2.gz DJCFFFUENPCTRS-AGIUHOORSA-N 0 0 274.320 2.667 20 5 CFBDRN Cc1cc(CSc2ccc([N+](=O)[O-])cn2)c(C)nn1 ZINC000917347552 624333840 /nfs/dbraw/zinc/33/38/40/624333840.db2.gz UKANEBTUTRDRPP-UHFFFAOYSA-N 0 0 276.321 2.689 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC12CCC2 ZINC000828944667 624337282 /nfs/dbraw/zinc/33/72/82/624337282.db2.gz MCDKRLCQQXOTOE-LBPRGKRZSA-N 0 0 292.360 2.989 20 5 CFBDRN CC1=NO[C@H](Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)C1 ZINC000917418904 624338783 /nfs/dbraw/zinc/33/87/83/624338783.db2.gz BRRPXGOKWJKMML-AWEZNQCLSA-N 0 0 286.291 2.623 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2CC[C@@H](C3CC3)O2)n1 ZINC000917441919 624343342 /nfs/dbraw/zinc/34/33/42/624343342.db2.gz LSKFOTKENNPTNV-STQMWFEESA-N 0 0 292.335 2.943 20 5 CFBDRN CCOCCOCCSc1ccc([N+](=O)[O-])cc1F ZINC000917478425 624345361 /nfs/dbraw/zinc/34/53/61/624345361.db2.gz YQIMXLSSWVWOPW-UHFFFAOYSA-N 0 0 289.328 2.879 20 5 CFBDRN CC[C@@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@H](C)O ZINC000829005760 624353341 /nfs/dbraw/zinc/35/33/41/624353341.db2.gz GTBBBMWGTPZJID-IONNQARKSA-N 0 0 281.337 2.776 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cc([N+](=O)[O-])cc(C)c1F)C(C)C ZINC000829039061 624359523 /nfs/dbraw/zinc/35/95/23/624359523.db2.gz TUTNAEWSFASBSY-CYBMUJFWSA-N 0 0 297.282 2.813 20 5 CFBDRN Cc1ccc(OC(=O)[C@H](C)OCC(C)C)c([N+](=O)[O-])c1 ZINC000917619803 624371298 /nfs/dbraw/zinc/37/12/98/624371298.db2.gz QNVVSWCUTOMSQY-NSHDSACASA-N 0 0 281.308 2.870 20 5 CFBDRN CC(C)SCC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000917609492 624371744 /nfs/dbraw/zinc/37/17/44/624371744.db2.gz XDCKYGCJKPVFSA-UHFFFAOYSA-N 0 0 272.301 2.814 20 5 CFBDRN Cc1c(OC(=O)/C=C\c2cccnc2)cccc1[N+](=O)[O-] ZINC000917756041 624380662 /nfs/dbraw/zinc/38/06/62/624380662.db2.gz TXNJJZICGXZUIB-FPLPWBNLSA-N 0 0 284.271 2.917 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1F)C1(Cl)CC1 ZINC000917796608 624385809 /nfs/dbraw/zinc/38/58/09/624385809.db2.gz WVKNPICGBLFCGV-UHFFFAOYSA-N 0 0 291.637 2.592 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@@H](CF)C1 ZINC000829231504 624404734 /nfs/dbraw/zinc/40/47/34/624404734.db2.gz HTRUDOZSYIFLBB-ZLKJLUDKSA-N 0 0 292.310 2.516 20 5 CFBDRN Cc1ccc(C(C)(C)NC(=O)CCC(C)(C)[N+](=O)[O-])cn1 ZINC000829258555 624409684 /nfs/dbraw/zinc/40/96/84/624409684.db2.gz JMSLNGSWCLQRMO-UHFFFAOYSA-N 0 0 293.367 2.577 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@]3(CCOC3)C2)cc1 ZINC000829328758 624418602 /nfs/dbraw/zinc/41/86/02/624418602.db2.gz YNUWHGURWOFMJI-CQSZACIVSA-N 0 0 262.309 2.602 20 5 CFBDRN CC(=O)c1ccc(OCc2oc(C)nc2C)c([N+](=O)[O-])c1 ZINC000829352323 624423467 /nfs/dbraw/zinc/42/34/67/624423467.db2.gz FPRNVSGMHOPDQD-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CC1(C)C[C@@H](SCc2cccnc2[N+](=O)[O-])CO1 ZINC000918158902 624424221 /nfs/dbraw/zinc/42/42/21/624424221.db2.gz HPPZKDSHOLXYDU-SNVBAGLBSA-N 0 0 268.338 2.791 20 5 CFBDRN Cc1cc(C)c(C)c(C(=O)Cn2ccnc2[N+](=O)[O-])c1C ZINC000918344196 624432477 /nfs/dbraw/zinc/43/24/77/624432477.db2.gz YUTPHLBWPMLZTB-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN O=[N+]([O-])c1nccn1C[C@H]1CC[C@H](c2ccccc2)O1 ZINC000918396369 624434629 /nfs/dbraw/zinc/43/46/29/624434629.db2.gz IRPAUHZPWZCFID-CHWSQXEVSA-N 0 0 273.292 2.712 20 5 CFBDRN Cc1ccc2c(c1)OCCN2C(=O)c1cccc([N+](=O)[O-])c1 ZINC000154736973 624439540 /nfs/dbraw/zinc/43/95/40/624439540.db2.gz JLXZAYVKYOTCOJ-UHFFFAOYSA-N 0 0 298.298 2.942 20 5 CFBDRN COC[C@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000829559247 624455103 /nfs/dbraw/zinc/45/51/03/624455103.db2.gz GFPPLYQULUMAMB-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN CCn1nc(C)c(COc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000829606816 624468736 /nfs/dbraw/zinc/46/87/36/624468736.db2.gz PLEALBMZRCTIOC-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN CCCCOCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000033606214 624481118 /nfs/dbraw/zinc/48/11/18/624481118.db2.gz ZMLRUVLQMMBFMO-UHFFFAOYSA-N 0 0 267.281 2.568 20 5 CFBDRN O=C(OCCC(F)(F)F)c1cc([N+](=O)[O-])ccc1F ZINC000919250698 624546081 /nfs/dbraw/zinc/54/60/81/624546081.db2.gz PFGZCVLLWSAQNT-UHFFFAOYSA-N 0 0 281.161 2.843 20 5 CFBDRN CC(C)(C)CCCn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829837912 624562341 /nfs/dbraw/zinc/56/23/41/624562341.db2.gz OIPYCSGHBLIPKT-UHFFFAOYSA-N 0 0 256.262 2.526 20 5 CFBDRN CC[C@@H](c1ccc(F)cc1)n1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829842331 624563643 /nfs/dbraw/zinc/56/36/43/624563643.db2.gz JGPQTSDHIARDKG-JTQLQIEISA-N 0 0 294.242 2.838 20 5 CFBDRN Cc1cccc([C@H](C)n2nc([N+](=O)[O-])cc2[N+](=O)[O-])c1 ZINC000829842033 624564420 /nfs/dbraw/zinc/56/44/20/624564420.db2.gz DXXHFVWCGKMNKY-VIFPVBQESA-N 0 0 276.252 2.617 20 5 CFBDRN CC(C)=CCOC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000919491466 624578381 /nfs/dbraw/zinc/57/83/81/624578381.db2.gz DWINWHMLZRJWTK-UHFFFAOYSA-N 0 0 279.292 2.873 20 5 CFBDRN CC(C)=CCOC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000919569389 624586138 /nfs/dbraw/zinc/58/61/38/624586138.db2.gz XOQLLEULXGADEB-UHFFFAOYSA-N 0 0 253.229 2.857 20 5 CFBDRN O=C(CCCF)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000829969965 624592275 /nfs/dbraw/zinc/59/22/75/624592275.db2.gz RSBQWLXBJOLBBP-UHFFFAOYSA-N 0 0 274.679 2.614 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCc1ccns1 ZINC000930089479 624594151 /nfs/dbraw/zinc/59/41/51/624594151.db2.gz BWPZPZHEKGLNJL-UHFFFAOYSA-N 0 0 275.333 2.657 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C1(C(F)F)CC1 ZINC000830019416 624601324 /nfs/dbraw/zinc/60/13/24/624601324.db2.gz NNJADVHRFKFBMK-UHFFFAOYSA-N 0 0 289.209 2.822 20 5 CFBDRN Cc1occc1CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000919723388 624606740 /nfs/dbraw/zinc/60/67/40/624606740.db2.gz IOZPENJCZXECFF-UHFFFAOYSA-N 0 0 272.264 2.756 20 5 CFBDRN Cc1ccc(SCCn2ccc([N+](=O)[O-])n2)s1 ZINC000830053061 624610938 /nfs/dbraw/zinc/61/09/38/624610938.db2.gz XPHTUPHJSQGCAJ-UHFFFAOYSA-N 0 0 269.351 2.954 20 5 CFBDRN Cc1nc(Cl)n(Cc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000919751232 624611510 /nfs/dbraw/zinc/61/15/10/624611510.db2.gz AZVXHJFZBHTFNE-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000830071197 624615468 /nfs/dbraw/zinc/61/54/68/624615468.db2.gz PHILGCLGQAUKCX-HONMWMINSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1noc([C@@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000830161231 624651367 /nfs/dbraw/zinc/65/13/67/624651367.db2.gz OBKREYNRMPWVEV-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000924026016 624678531 /nfs/dbraw/zinc/67/85/31/624678531.db2.gz UPLCAGMKQJTZTH-CHWFTXMASA-N 0 0 292.310 2.756 20 5 CFBDRN CC(C)(C)C1CCN(NC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000830245855 624684725 /nfs/dbraw/zinc/68/47/25/624684725.db2.gz WONIFKDQGYFOFD-UHFFFAOYSA-N 0 0 299.415 2.611 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000920130484 624686254 /nfs/dbraw/zinc/68/62/54/624686254.db2.gz GJJQQHVVBMDTNH-NSHDSACASA-N 0 0 281.308 2.951 20 5 CFBDRN COCCOc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC000920251628 624702095 /nfs/dbraw/zinc/70/20/95/624702095.db2.gz JIHFANBKIYHRIQ-UHFFFAOYSA-N 0 0 266.080 2.927 20 5 CFBDRN CS(=O)(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] ZINC000920316602 624712532 /nfs/dbraw/zinc/71/25/32/624712532.db2.gz FPIKEFRBULRNRI-UHFFFAOYSA-N 0 0 293.300 2.600 20 5 CFBDRN C/C=C/COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830366032 624723171 /nfs/dbraw/zinc/72/31/71/624723171.db2.gz ZKEAJGPYHJMLSQ-ONEGZZNKSA-N 0 0 253.229 2.775 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1cccc(CO)c1 ZINC000921227494 624825391 /nfs/dbraw/zinc/82/53/91/624825391.db2.gz ORXDUNQTAVMRBI-UHFFFAOYSA-N 0 0 293.300 2.506 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000921365199 624848468 /nfs/dbraw/zinc/84/84/68/624848468.db2.gz GJNGITSLELJOLV-GHMZBOCLSA-N 0 0 277.324 2.707 20 5 CFBDRN CC(C)CN(C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000921365340 624848894 /nfs/dbraw/zinc/84/88/94/624848894.db2.gz KCRUSUCXPQSGOG-LLVKDONJSA-N 0 0 279.340 2.953 20 5 CFBDRN Cc1ccc(N2CCSC[C@H]2C)c([N+](=O)[O-])c1 ZINC000175136475 624928331 /nfs/dbraw/zinc/92/83/31/624928331.db2.gz GBHUCNFGYWVBRS-SNVBAGLBSA-N 0 0 252.339 2.845 20 5 CFBDRN CCC[C@@H](C)COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000233270865 624928866 /nfs/dbraw/zinc/92/88/66/624928866.db2.gz RDFRUILRJFTQDH-SECBINFHSA-N 0 0 266.297 2.770 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CC(C(C)C)C2)c1F ZINC000831087752 624958612 /nfs/dbraw/zinc/95/86/12/624958612.db2.gz PFOPEXMYHYWOEN-UHFFFAOYSA-N 0 0 298.289 2.909 20 5 CFBDRN Cc1nc(NC(=O)c2ccc(Cl)nc2)ccc1[N+](=O)[O-] ZINC000175671898 624960431 /nfs/dbraw/zinc/96/04/31/624960431.db2.gz BFTKFMQIJSNZFW-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CSC(C)(C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000831306956 624986441 /nfs/dbraw/zinc/98/64/41/624986441.db2.gz RSPDNMDFNCSAQI-UHFFFAOYSA-N 0 0 294.336 2.551 20 5 CFBDRN COc1ccc(OC(=O)CCc2ccco2)c([N+](=O)[O-])c1 ZINC000068719850 625022410 /nfs/dbraw/zinc/02/24/10/625022410.db2.gz SIDCWCSUVSLBKU-UHFFFAOYSA-N 0 0 291.259 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCC1CC=CC1 ZINC000831449722 625024318 /nfs/dbraw/zinc/02/43/18/625024318.db2.gz MGZLQGBJQDFOOI-UHFFFAOYSA-N 0 0 274.320 2.989 20 5 CFBDRN O=C(NCCC1CC=CC1)c1ccc([N+](=O)[O-])s1 ZINC000831456644 625028493 /nfs/dbraw/zinc/02/84/93/625028493.db2.gz QHCCMOIHXZEBRG-UHFFFAOYSA-N 0 0 266.322 2.742 20 5 CFBDRN CCON(CC)C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000831495936 625035503 /nfs/dbraw/zinc/03/55/03/625035503.db2.gz MXDLMYUODLPENZ-JTQLQIEISA-N 0 0 298.364 2.875 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])ccc2F)no1 ZINC000069002072 625044521 /nfs/dbraw/zinc/04/45/21/625044521.db2.gz IUUSMBHRYOSJEK-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN Cc1cnc(CNC(=O)c2cccc([N+](=O)[O-])c2C)c(C)c1 ZINC000831582316 625059624 /nfs/dbraw/zinc/05/96/24/625059624.db2.gz VYGQWDVMNZCCDD-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(/C=C/C1CCOCC1)OCc1ccc([N+](=O)[O-])cc1 ZINC000112162713 625093104 /nfs/dbraw/zinc/09/31/04/625093104.db2.gz DWZKZBLEOYLOSG-ZZXKWVIFSA-N 0 0 291.303 2.621 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000831727815 625107715 /nfs/dbraw/zinc/10/77/15/625107715.db2.gz VDKBERGFIZLXAF-LBPRGKRZSA-N 0 0 266.272 2.604 20 5 CFBDRN Cc1c(OC(=O)/C=C/C2CCOCC2)cccc1[N+](=O)[O-] ZINC000112171441 625123323 /nfs/dbraw/zinc/12/33/23/625123323.db2.gz KELFMJFORWZBMV-AATRIKPKSA-N 0 0 291.303 2.791 20 5 CFBDRN CC(C)OC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCC1 ZINC000834601611 625733159 /nfs/dbraw/zinc/73/31/59/625733159.db2.gz SHWBYQPOIPXMCS-UHFFFAOYSA-N 0 0 298.364 2.734 20 5 CFBDRN CCS[C@@H](C)c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000834634790 625746531 /nfs/dbraw/zinc/74/65/31/625746531.db2.gz HLSRLAKGHUWNIO-QMMMGPOBSA-N 0 0 273.358 2.872 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OCc1cccs1 ZINC000073076347 625770749 /nfs/dbraw/zinc/77/07/49/625770749.db2.gz IFFUGHFSVVZUIK-UHFFFAOYSA-N 0 0 293.300 2.779 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)CCS1 ZINC000834671058 625774419 /nfs/dbraw/zinc/77/44/19/625774419.db2.gz MLZXHRGNHBNISA-ZYHUDNBSSA-N 0 0 294.376 2.538 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCS[C@H](C)C1 ZINC000834676326 625779032 /nfs/dbraw/zinc/77/90/32/625779032.db2.gz FXYQKQQIOVDDCR-GHMZBOCLSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000834681213 625780949 /nfs/dbraw/zinc/78/09/49/625780949.db2.gz APIBUUBUGDYLHP-RKDXNWHRSA-N 0 0 298.339 2.748 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2csc([N+](=O)[O-])c2)CCS1 ZINC000834681966 625782044 /nfs/dbraw/zinc/78/20/44/625782044.db2.gz FZUBVHSZQKDMAW-VXNVDRBHSA-N 0 0 286.378 2.670 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)CCS1 ZINC000834681964 625782112 /nfs/dbraw/zinc/78/21/12/625782112.db2.gz FZUBVHSZQKDMAW-CBAPKCEASA-N 0 0 286.378 2.670 20 5 CFBDRN C[C@@H]1c2sccc2CCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000921504224 625785975 /nfs/dbraw/zinc/78/59/75/625785975.db2.gz FTBDNURNQBRLNQ-MRVPVSSYSA-N 0 0 291.332 2.744 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000834695012 625788913 /nfs/dbraw/zinc/78/89/13/625788913.db2.gz LGBOXKRGEKSVDZ-VHSXEESVSA-N 0 0 280.349 2.609 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000834706999 625794605 /nfs/dbraw/zinc/79/46/05/625794605.db2.gz SDDSTZGCTRNGOG-KOLCDFICSA-N 0 0 280.349 2.609 20 5 CFBDRN CC(C)(CCc1noc(COCC2CCCC2)n1)[N+](=O)[O-] ZINC000834744591 625826923 /nfs/dbraw/zinc/82/69/23/625826923.db2.gz PDXMTVVMKXDHQO-UHFFFAOYSA-N 0 0 297.355 2.764 20 5 CFBDRN COc1cc(C(=O)OCCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000101704922 625851096 /nfs/dbraw/zinc/85/10/96/625851096.db2.gz KLQVQWMJSUHAPR-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN COCC1(c2noc(CCC(C)(C)[N+](=O)[O-])n2)CCCC1 ZINC000834880707 625907518 /nfs/dbraw/zinc/90/75/18/625907518.db2.gz CMQOINVPHHRISG-UHFFFAOYSA-N 0 0 297.355 2.516 20 5 CFBDRN CC1(c2noc(COc3ccc([N+](=O)[O-])c(F)c3)n2)CC1 ZINC000834882247 625908256 /nfs/dbraw/zinc/90/82/56/625908256.db2.gz GYLJIOUPSWRLKQ-UHFFFAOYSA-N 0 0 293.254 2.747 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NOCC(C)C)c1 ZINC000788076995 625981270 /nfs/dbraw/zinc/98/12/70/625981270.db2.gz REQZVCRTZFDJMP-UHFFFAOYSA-N 0 0 258.249 2.742 20 5 CFBDRN CS/C=C/C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771479523 625982133 /nfs/dbraw/zinc/98/21/33/625982133.db2.gz AAOPBWOKPBBWAY-NSCUHMNNSA-N 0 0 257.242 2.516 20 5 CFBDRN Cc1cnc(COC(=O)c2ccc(F)cc2[N+](=O)[O-])s1 ZINC000772005966 625995318 /nfs/dbraw/zinc/99/53/18/625995318.db2.gz YJYLYKSTIRGBBL-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CCc1onc(C)c1COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000922175013 626230520 /nfs/dbraw/zinc/23/05/20/626230520.db2.gz YBLHSNYJOIQEFO-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CC(F)(F)CCCOC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000922175376 626230544 /nfs/dbraw/zinc/23/05/44/626230544.db2.gz ICIXPQHHMWILHP-UHFFFAOYSA-N 0 0 288.250 2.769 20 5 CFBDRN CC/C=C/COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000788081622 626419008 /nfs/dbraw/zinc/41/90/08/626419008.db2.gz QMCVCLVRXXDDTK-SNAWJCMRSA-N 0 0 263.293 2.955 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000922970385 626436531 /nfs/dbraw/zinc/43/65/31/626436531.db2.gz DTOLWKBSXOBRAY-FOUMNBMASA-N 0 0 289.335 2.754 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1CCCO1 ZINC000923064799 626448514 /nfs/dbraw/zinc/44/85/14/626448514.db2.gz XZPPOKVHGRCSMU-TVQRCGJNSA-N 0 0 297.282 2.592 20 5 CFBDRN CC(=O)CCCOC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000923403297 626470653 /nfs/dbraw/zinc/47/06/53/626470653.db2.gz DPNYFIJBJQFUSU-UHFFFAOYSA-N 0 0 297.332 2.599 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2C[C@@]2(C)C(C)C)c(N)c([N+](=O)[O-])c1 ZINC000838041666 626484390 /nfs/dbraw/zinc/48/43/90/626484390.db2.gz NOBRTSJWKWXHCI-WFASDCNBSA-N 0 0 291.351 2.650 20 5 CFBDRN CC/C(C)=C\C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000838042539 626484544 /nfs/dbraw/zinc/48/45/44/626484544.db2.gz XTHJYGSFHKWYAX-YFHOEESVSA-N 0 0 277.276 2.677 20 5 CFBDRN CC/C(C)=C/C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000838045154 626484724 /nfs/dbraw/zinc/48/47/24/626484724.db2.gz RZJMELVDUNSCNI-RMKNXTFCSA-N 0 0 294.263 2.903 20 5 CFBDRN Cc1c(COC(=O)/C=C/[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000838047022 626484833 /nfs/dbraw/zinc/48/48/33/626484833.db2.gz GIEODSKDRXDWKD-BTDICHCPSA-N 0 0 291.303 2.539 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc(F)c([N+](=O)[O-])cc1NC(C)=O ZINC000838095617 626485947 /nfs/dbraw/zinc/48/59/47/626485947.db2.gz NFJYMSOHWIXQOQ-SSDOTTSWSA-N 0 0 298.270 2.648 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1cc(F)c([N+](=O)[O-])cc1F ZINC000838261074 626491257 /nfs/dbraw/zinc/49/12/57/626491257.db2.gz VVFSKNWXBLZYNM-LWINAJNOSA-N 0 0 296.273 2.791 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccccc2[N+](=O)[O-])CCCCO1 ZINC000838434698 626497505 /nfs/dbraw/zinc/49/75/05/626497505.db2.gz JKEGXQBNQHRRHA-AWEZNQCLSA-N 0 0 279.292 2.597 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCCCO1 ZINC000838436757 626497625 /nfs/dbraw/zinc/49/76/25/626497625.db2.gz JIUDWUFRGRAEKG-AWEZNQCLSA-N 0 0 297.282 2.736 20 5 CFBDRN C[C@]1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCCCO1 ZINC000838441148 626497935 /nfs/dbraw/zinc/49/79/35/626497935.db2.gz YXVUVZYSWQITRB-CQSZACIVSA-N 0 0 279.292 2.597 20 5 CFBDRN COCC1(C)CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000839143941 626528872 /nfs/dbraw/zinc/52/88/72/626528872.db2.gz SXUSVQOGLXAWFR-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN O=C(COCC1CCCC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000839222381 626531673 /nfs/dbraw/zinc/53/16/73/626531673.db2.gz DXDZFZOFLVPEMY-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN CC(C(=O)Nc1ccc([N+](=O)[O-])c(C)n1)=C1CCC1 ZINC000839296863 626533022 /nfs/dbraw/zinc/53/30/22/626533022.db2.gz CPGCNJGXYVZAJS-UHFFFAOYSA-N 0 0 261.281 2.737 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000839433481 626536337 /nfs/dbraw/zinc/53/63/37/626536337.db2.gz HXUVHJWTXVHOHE-SNVBAGLBSA-N 0 0 256.346 2.611 20 5 CFBDRN C[C@@H](c1cc2ccccc2o1)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839502133 626538232 /nfs/dbraw/zinc/53/82/32/626538232.db2.gz JDJIEWRQIZNFQT-MVWJERBFSA-N 0 0 288.303 2.617 20 5 CFBDRN C[C@@H](c1cccc(Cl)c1)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839509967 626538380 /nfs/dbraw/zinc/53/83/80/626538380.db2.gz VJKNAEYJQYFNBX-XXILOJSOSA-N 0 0 282.727 2.525 20 5 CFBDRN CC1(CNC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000756952322 626542622 /nfs/dbraw/zinc/54/26/22/626542622.db2.gz VQJOETOJCZLFSZ-UHFFFAOYSA-N 0 0 297.742 2.848 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000757122619 626545410 /nfs/dbraw/zinc/54/54/10/626545410.db2.gz NFBUCGCKYBOFQO-DGCLKSJQSA-N 0 0 297.282 2.735 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])O1 ZINC000757137149 626545686 /nfs/dbraw/zinc/54/56/86/626545686.db2.gz PJIVVIYZDWUNIZ-TVQRCGJNSA-N 0 0 297.282 2.735 20 5 CFBDRN Cc1cnoc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000757362655 626549375 /nfs/dbraw/zinc/54/93/75/626549375.db2.gz VIIIHKVUIDPCMO-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN CCCn1ccc2ccc(NC(=O)[C@@H]3CC3[N+](=O)[O-])cc21 ZINC000839690278 626551151 /nfs/dbraw/zinc/55/11/51/626551151.db2.gz WIRLCWCDNKEVAT-TZMCWYRMSA-N 0 0 287.319 2.655 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(OC)C1CCCC1 ZINC000757636914 626556933 /nfs/dbraw/zinc/55/69/33/626556933.db2.gz KTDDVCDRNAZWTH-UHFFFAOYSA-N 0 0 294.307 2.550 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@H](C)C1 ZINC000757704864 626559445 /nfs/dbraw/zinc/55/94/45/626559445.db2.gz QDWVMEGRVGPBGU-QMMMGPOBSA-N 0 0 298.726 2.881 20 5 CFBDRN CC(C)(C(=O)OCCn1ccc([N+](=O)[O-])n1)C1CCCC1 ZINC000757836114 626564844 /nfs/dbraw/zinc/56/48/44/626564844.db2.gz DPQKSMLVJCUHKM-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cccnc2Cl)cc1CO ZINC000757994340 626571852 /nfs/dbraw/zinc/57/18/52/626571852.db2.gz LCFCJBMYCYTDRR-UHFFFAOYSA-N 0 0 294.694 2.715 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)O[C@@H]1C=CCCC1 ZINC000758076831 626575655 /nfs/dbraw/zinc/57/56/55/626575655.db2.gz PNQOUAMQNMLDOS-MRVPVSSYSA-N 0 0 280.255 2.582 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccc[nH]1 ZINC000758361353 626589542 /nfs/dbraw/zinc/58/95/42/626589542.db2.gz FNLFZXJFXYLAIT-QMMMGPOBSA-N 0 0 292.270 2.945 20 5 CFBDRN Cc1cncc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])c1 ZINC000758535437 626597324 /nfs/dbraw/zinc/59/73/24/626597324.db2.gz ODZYUGBYKYIXLX-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)CC(C)(F)F ZINC000758732144 626605280 /nfs/dbraw/zinc/60/52/80/626605280.db2.gz WSNSXXMCDHGQDY-UHFFFAOYSA-N 0 0 289.234 2.692 20 5 CFBDRN CCC(=CC(=O)NCCOc1ccc([N+](=O)[O-])cc1)CC ZINC000759195958 626635159 /nfs/dbraw/zinc/63/51/59/626635159.db2.gz JFIHTYFEOCMPMR-UHFFFAOYSA-N 0 0 292.335 2.836 20 5 CFBDRN Cc1ccc(OC(=O)CCc2ccncc2)c([N+](=O)[O-])c1 ZINC000759218197 626637505 /nfs/dbraw/zinc/63/75/05/626637505.db2.gz QKVMZLCEWUMYEV-UHFFFAOYSA-N 0 0 286.287 2.836 20 5 CFBDRN O=C(C=C1CCCC1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000759794438 626691576 /nfs/dbraw/zinc/69/15/76/626691576.db2.gz QHXMVWAQECABIP-UHFFFAOYSA-N 0 0 286.291 2.910 20 5 CFBDRN CC(C)=CC(=O)O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000759930549 626702468 /nfs/dbraw/zinc/70/24/68/626702468.db2.gz JNOPNFIRRFTVSS-VXGBXAGGSA-N 0 0 293.323 2.784 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)[C@@H]1CC12CCC2 ZINC000759981979 626706698 /nfs/dbraw/zinc/70/66/98/626706698.db2.gz WPUIVCDPXOFGBV-ZDUSSCGKSA-N 0 0 291.303 2.707 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CSCCS1 ZINC000760015803 626709615 /nfs/dbraw/zinc/70/96/15/626709615.db2.gz IJZVOUUZGDSYDI-SNVBAGLBSA-N 0 0 299.373 2.657 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CC[C@H]1CCOC1 ZINC000760021449 626710194 /nfs/dbraw/zinc/71/01/94/626710194.db2.gz AHUKKXSEJDUHRJ-NSHDSACASA-N 0 0 279.292 2.625 20 5 CFBDRN CSCCCC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760023346 626710258 /nfs/dbraw/zinc/71/02/58/626710258.db2.gz SHXLYSWENTWZLA-UHFFFAOYSA-N 0 0 269.322 2.952 20 5 CFBDRN CSC[C@H](C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760023072 626710318 /nfs/dbraw/zinc/71/03/18/626710318.db2.gz UGIUEQFGONYDGB-VIFPVBQESA-N 0 0 269.322 2.808 20 5 CFBDRN CC[C@H]1CN(c2cc(C)c([N+](=O)[O-])cc2Cl)C[C@H]1O ZINC000760267672 626727165 /nfs/dbraw/zinc/72/71/65/626727165.db2.gz IPQDKPDEKJGGHK-TVQRCGJNSA-N 0 0 284.743 2.764 20 5 CFBDRN CCc1cnc(CNc2cc([N+](=O)[O-])ccc2F)o1 ZINC000760449893 626746949 /nfs/dbraw/zinc/74/69/49/626746949.db2.gz VNIKVZMDEWZKSW-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN Cn1ccnc1CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000760523943 626753276 /nfs/dbraw/zinc/75/32/76/626753276.db2.gz FRAYFNHWWZAXFC-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OC[C@H]1CCCO1 ZINC000760780084 626772306 /nfs/dbraw/zinc/77/23/06/626772306.db2.gz PLUHVGODAAKDJV-SNVBAGLBSA-N 0 0 299.710 2.892 20 5 CFBDRN O=C(OCc1cncs1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000761142053 626810007 /nfs/dbraw/zinc/81/00/07/626810007.db2.gz WFFITHSDXZJZPC-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CS/C=C\c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000761243931 626818701 /nfs/dbraw/zinc/81/87/01/626818701.db2.gz CIGKODCNAZTGND-PLNGDYQASA-N 0 0 278.293 2.561 20 5 CFBDRN CS/C=C\c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000761342682 626826167 /nfs/dbraw/zinc/82/61/67/626826167.db2.gz CFFHASCVUCXZOM-SREVYHEPSA-N 0 0 277.305 2.902 20 5 CFBDRN COc1ccc(COC(=O)C2=CCCC2)cc1[N+](=O)[O-] ZINC000761562441 626846873 /nfs/dbraw/zinc/84/68/73/626846873.db2.gz HFDPGKRNXRJKKW-UHFFFAOYSA-N 0 0 277.276 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc2cnn(Cc3nc(C4CCC4)no3)c2c1 ZINC000761644019 626851576 /nfs/dbraw/zinc/85/15/76/626851576.db2.gz QWKSKWWBPJFRST-UHFFFAOYSA-N 0 0 299.290 2.643 20 5 CFBDRN CSCC[C@H](C)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000761931176 626872683 /nfs/dbraw/zinc/87/26/83/626872683.db2.gz PKPMKMCHTZZVGW-QWRGUYRKSA-N 0 0 296.392 2.956 20 5 CFBDRN CCc1ccc(OC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000762065349 626886259 /nfs/dbraw/zinc/88/62/59/626886259.db2.gz XEJFVTIPOVCTFF-UHFFFAOYSA-N 0 0 272.260 2.771 20 5 CFBDRN CC/C=C\CCOC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762074905 626887494 /nfs/dbraw/zinc/88/74/94/626887494.db2.gz IKGRXWCDZYUONZ-ARJAWSKDSA-N 0 0 250.254 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2cnccc2C)c1 ZINC000762111843 626892892 /nfs/dbraw/zinc/89/28/92/626892892.db2.gz KTFBOOCVKWQJEB-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CCC(CC)OC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000762116353 626893009 /nfs/dbraw/zinc/89/30/09/626893009.db2.gz UCCJDPNYDVUPJW-UHFFFAOYSA-N 0 0 266.297 2.982 20 5 CFBDRN Cc1ccncc1COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000762128403 626895125 /nfs/dbraw/zinc/89/51/25/626895125.db2.gz LQANBXZKTIPXNK-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(OC[C@H]1CCSC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000762150760 626897862 /nfs/dbraw/zinc/89/78/62/626897862.db2.gz TZBSMQQYWSXBGZ-MRVPVSSYSA-N 0 0 285.296 2.644 20 5 CFBDRN CCc1ccc(OC(=O)c2cc([N+](=O)[O-])c[nH]2)c(OC)c1 ZINC000762188898 626901971 /nfs/dbraw/zinc/90/19/71/626901971.db2.gz DDQVQIXZFNBSRE-UHFFFAOYSA-N 0 0 290.275 2.713 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000762189353 626902119 /nfs/dbraw/zinc/90/21/19/626902119.db2.gz QLHQAEWHJZWNBC-QWRGUYRKSA-N 0 0 287.319 2.904 20 5 CFBDRN Cc1cc(OC[C@H]2CC3(CC3)C(=O)O2)c(F)cc1[N+](=O)[O-] ZINC000762216820 626904682 /nfs/dbraw/zinc/90/46/82/626904682.db2.gz TZENMHZRTSRGIX-SECBINFHSA-N 0 0 295.266 2.517 20 5 CFBDRN C[C@H](Cc1nc(Cc2ccc([N+](=O)[O-])cn2)no1)C1CC1 ZINC000762327333 626910940 /nfs/dbraw/zinc/91/09/40/626910940.db2.gz XNZWGEUSMCGACZ-SECBINFHSA-N 0 0 288.307 2.552 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCCC[C@H]2c2ccccc2)c1 ZINC000762467268 626917501 /nfs/dbraw/zinc/91/75/01/626917501.db2.gz QELVLOQAEXNWJK-HNNXBMFYSA-N 0 0 286.335 2.976 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000762696619 626930458 /nfs/dbraw/zinc/93/04/58/626930458.db2.gz XCKBPUWWRIXWRO-UHFFFAOYSA-N 0 0 274.276 2.631 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1coc(C2CC2)n1 ZINC000763198679 626969881 /nfs/dbraw/zinc/96/98/81/626969881.db2.gz RDZOALCRDLQDFC-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCCSCC1 ZINC000763202862 626970440 /nfs/dbraw/zinc/97/04/40/626970440.db2.gz IXBRPMLSKKAPPI-UHFFFAOYSA-N 0 0 280.349 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOc3ncccc3C2)c(F)c1 ZINC000763206793 626970500 /nfs/dbraw/zinc/97/05/00/626970500.db2.gz KBFIMTCCGKYGTF-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN O=Cc1ccc(Oc2ncnc3c2CCCC3)c([N+](=O)[O-])c1 ZINC000763322185 626979023 /nfs/dbraw/zinc/97/90/23/626979023.db2.gz DFJAXCCFYKDEAC-UHFFFAOYSA-N 0 0 299.286 2.868 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1ccc(F)cn1 ZINC000763390223 626984771 /nfs/dbraw/zinc/98/47/71/626984771.db2.gz BODCBCNIJVCHOP-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(F)cn2)c([N+](=O)[O-])c1 ZINC000763390212 626984816 /nfs/dbraw/zinc/98/48/16/626984816.db2.gz BLMPASLZFFLZFX-UHFFFAOYSA-N 0 0 292.226 2.649 20 5 CFBDRN C[C@H](C(=O)OCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000763403049 626985811 /nfs/dbraw/zinc/98/58/11/626985811.db2.gz OGJPVWMJRITDMO-ZETCQYMHSA-N 0 0 277.198 2.804 20 5 CFBDRN CC(C)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763404702 626985887 /nfs/dbraw/zinc/98/58/87/626985887.db2.gz PBHSHPWSNWAUML-JTQLQIEISA-N 0 0 251.282 2.898 20 5 CFBDRN C[C@@H]1N(Cc2cc(F)ccc2[N+](=O)[O-])CCOC1(C)C ZINC000763415220 626987575 /nfs/dbraw/zinc/98/75/75/626987575.db2.gz GJAJZXLQYZRHQU-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@]12CCOC2)c1ccc([N+](=O)[O-])cc1 ZINC000763427326 626988324 /nfs/dbraw/zinc/98/83/24/626988324.db2.gz YICHZROLGWTBDG-XEGUGMAKSA-N 0 0 291.303 2.626 20 5 CFBDRN CC(C)[C@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000763543297 626996676 /nfs/dbraw/zinc/99/66/76/626996676.db2.gz QTLAOVKILNLXKO-QMMMGPOBSA-N 0 0 281.264 2.525 20 5 CFBDRN CCC(C)(C)C(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000763705063 627007120 /nfs/dbraw/zinc/00/71/20/627007120.db2.gz LJXOFJBIXFGLHX-UHFFFAOYSA-N 0 0 295.291 2.723 20 5 CFBDRN C[C@H](C(=O)OC[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000764038933 627032602 /nfs/dbraw/zinc/03/26/02/627032602.db2.gz UWKRXKIWZSZUQR-WDEREUQCSA-N 0 0 295.360 2.995 20 5 CFBDRN C[C@H](C(=O)OCC1(O)CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000764044924 627032981 /nfs/dbraw/zinc/03/29/81/627032981.db2.gz WHTZFFZRPMBDTR-NSHDSACASA-N 0 0 293.319 2.547 20 5 CFBDRN Cc1nn(CCC(=O)OCC2(C)CCC2)c(C)c1[N+](=O)[O-] ZINC000764118384 627039755 /nfs/dbraw/zinc/03/97/55/627039755.db2.gz YZZSBAAXRHQYIB-UHFFFAOYSA-N 0 0 295.339 2.532 20 5 CFBDRN CS/C=C/C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000764232627 627046900 /nfs/dbraw/zinc/04/69/00/627046900.db2.gz JYXCDLVLDNJBAJ-VUHVRTRXSA-N 0 0 278.333 2.749 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc3cc[nH]c3n2)cc1[N+](=O)[O-] ZINC000764779961 627094217 /nfs/dbraw/zinc/09/42/17/627094217.db2.gz HAJXHZZEDKREOE-UHFFFAOYSA-N 0 0 297.270 2.999 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000764817900 627097404 /nfs/dbraw/zinc/09/74/04/627097404.db2.gz MDEYFPGGVGETSX-SECBINFHSA-N 0 0 291.307 2.878 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000764825156 627098125 /nfs/dbraw/zinc/09/81/25/627098125.db2.gz JFKBSDCXWXIYHY-QMMMGPOBSA-N 0 0 270.260 2.536 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000764826985 627098529 /nfs/dbraw/zinc/09/85/29/627098529.db2.gz REGMKFRWUZGVBB-SECBINFHSA-N 0 0 291.307 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC2CCC2)c([N+](=O)[O-])c1 ZINC000765071348 627117389 /nfs/dbraw/zinc/11/73/89/627117389.db2.gz LVVQMFBRXBFXNQ-UHFFFAOYSA-N 0 0 295.295 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ccc([N+](=O)[O-])cc2)n1 ZINC000765449363 627138661 /nfs/dbraw/zinc/13/86/61/627138661.db2.gz JGEMNSGUQOOKHT-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN CNc1c(C(=O)OCCOC(C)(C)C)cccc1[N+](=O)[O-] ZINC000765523499 627144536 /nfs/dbraw/zinc/14/45/36/627144536.db2.gz YLOBVMUMHUMMAP-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN CCC(=O)COC(=O)[C@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000765524073 627145327 /nfs/dbraw/zinc/14/53/27/627145327.db2.gz HZIBDXRVNXPNEP-GFCCVEGCSA-N 0 0 293.319 2.686 20 5 CFBDRN Cc1cccc(C)c1CNc1c([N+](=O)[O-])ncn1C ZINC000765596546 627149663 /nfs/dbraw/zinc/14/96/63/627149663.db2.gz UFOAXBNUMAKKDZ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CNc1c(C(=O)OCc2ccoc2C)cccc1[N+](=O)[O-] ZINC000765966709 627167397 /nfs/dbraw/zinc/16/73/97/627167397.db2.gz XSLTYCGJUPCKAS-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN CCc1cc(C[NH2+][C@@H](C)c2ccc([N+](=O)[O-])cc2)[nH]n1 ZINC000766004931 627170273 /nfs/dbraw/zinc/17/02/73/627170273.db2.gz UHVRWSQKRALCBN-JTQLQIEISA-N 0 0 274.324 2.731 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000805332137 627212756 /nfs/dbraw/zinc/21/27/56/627212756.db2.gz NGGMMOQFOWWIII-UHFFFAOYSA-N 0 0 285.321 2.818 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCOC(=O)c2c([N+](=O)[O-])cnn2C)C1 ZINC000766660137 627213515 /nfs/dbraw/zinc/21/35/15/627213515.db2.gz DHWPTLGZYSHHGE-MNOVXSKESA-N 0 0 295.339 2.702 20 5 CFBDRN C[C@@H](CO)COC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000766681473 627214407 /nfs/dbraw/zinc/21/44/07/627214407.db2.gz JEWISNFLDBAQCP-QMMMGPOBSA-N 0 0 295.316 2.595 20 5 CFBDRN C[C@H](CO)COC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000766684530 627214603 /nfs/dbraw/zinc/21/46/03/627214603.db2.gz XIHKQZKXEAPNEL-SNVBAGLBSA-N 0 0 295.335 2.678 20 5 CFBDRN COc1cccc(C(=O)O[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000766875331 627223998 /nfs/dbraw/zinc/22/39/98/627223998.db2.gz FFYDRNBNJDLRIY-UWVGGRQHSA-N 0 0 279.292 2.949 20 5 CFBDRN O=C(OCCCF)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000767238707 627240870 /nfs/dbraw/zinc/24/08/70/627240870.db2.gz ZJAXPSNQUZCSGF-UHFFFAOYSA-N 0 0 282.271 2.686 20 5 CFBDRN C[C@H]1CC(Nc2nc3ccccn3c2[N+](=O)[O-])C[C@H](C)O1 ZINC000767314394 627243377 /nfs/dbraw/zinc/24/33/77/627243377.db2.gz MVTXFJMMFLZCFZ-UWVGGRQHSA-N 0 0 290.323 2.610 20 5 CFBDRN CC/C=C\CCOC(=O)c1cccc([N+](=O)[O-])c1N ZINC000767564008 627261801 /nfs/dbraw/zinc/26/18/01/627261801.db2.gz ADJFGLMBRILWQT-ARJAWSKDSA-N 0 0 264.281 2.690 20 5 CFBDRN CN(CCOC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000767817837 627287836 /nfs/dbraw/zinc/28/78/36/627287836.db2.gz GWXQSCRJXNUSLP-UHFFFAOYSA-N 0 0 252.314 2.846 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC000768125984 627318221 /nfs/dbraw/zinc/31/82/21/627318221.db2.gz KPSHMQWVKIRWFJ-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN Cc1cc(CN2CCc3c(cccc3[N+](=O)[O-])C2)nc(C)n1 ZINC000851869507 634564818 /nfs/dbraw/zinc/56/48/18/634564818.db2.gz JSQOIOXCMIXYFF-UHFFFAOYSA-N 0 0 298.346 2.560 20 5 CFBDRN CC1(C2CCN(c3ccc([N+](=O)[O-])s3)CC2)OCCO1 ZINC000768533793 627352057 /nfs/dbraw/zinc/35/20/57/627352057.db2.gz KHICKCPIAOKPEZ-UHFFFAOYSA-N 0 0 298.364 2.636 20 5 CFBDRN O=C(OCc1ccc2c[nH]nc2c1)c1ccccc1[N+](=O)[O-] ZINC000768787646 627373736 /nfs/dbraw/zinc/37/37/36/627373736.db2.gz BHMKIRFXIAFQTG-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC(C)(C)C2)c(N)c([N+](=O)[O-])c1 ZINC000768884988 627383520 /nfs/dbraw/zinc/38/35/20/627383520.db2.gz PNQUZJSONDFJBY-JTQLQIEISA-N 0 0 291.351 2.794 20 5 CFBDRN Cc1cc(C(=O)OCc2ccccc2[N+](=O)[O-])ns1 ZINC000769293295 627419789 /nfs/dbraw/zinc/41/97/89/627419789.db2.gz JFEUGVXXHTZKTI-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1c(CN2CC(C(F)F)C2)cccc1[N+](=O)[O-] ZINC000769656849 627463767 /nfs/dbraw/zinc/46/37/67/627463767.db2.gz BCVJJUNDEPTYRJ-UHFFFAOYSA-N 0 0 256.252 2.600 20 5 CFBDRN Cc1cnccc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000769843206 627480728 /nfs/dbraw/zinc/48/07/28/627480728.db2.gz XEQVFVLQCHGQDA-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccncc1C ZINC000769874197 627483410 /nfs/dbraw/zinc/48/34/10/627483410.db2.gz ZADYGNDNBQRRCO-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN COc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2)c1F ZINC000770051849 627498498 /nfs/dbraw/zinc/49/84/98/627498498.db2.gz UOKQMBFLIDGUJF-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COc1cccc(NC(=O)c2ccc([N+](=O)[O-])o2)c1F ZINC000770064885 627500290 /nfs/dbraw/zinc/50/02/90/627500290.db2.gz DZRAPHGCBKFGAD-UHFFFAOYSA-N 0 0 280.211 2.588 20 5 CFBDRN CC[C@@H]1CN(c2c(C)cc([N+](=O)[O-])cc2Cl)C[C@H]1O ZINC000770132719 627504153 /nfs/dbraw/zinc/50/41/53/627504153.db2.gz VJRXBIVOFQFWRV-BXKDBHETSA-N 0 0 284.743 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2ncco2)c([N+](=O)[O-])c1 ZINC000770166553 627505946 /nfs/dbraw/zinc/50/59/46/627505946.db2.gz JAFLYUNWCIJQFI-UHFFFAOYSA-N 0 0 267.222 2.642 20 5 CFBDRN Cc1sc(C(=O)Nc2ccc(CO)cc2)cc1[N+](=O)[O-] ZINC000770529531 627527816 /nfs/dbraw/zinc/52/78/16/627527816.db2.gz RNYNUIGIEHSEKR-UHFFFAOYSA-N 0 0 292.316 2.709 20 5 CFBDRN CCC/C(C)=C\C(=O)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000770856025 627551637 /nfs/dbraw/zinc/55/16/37/627551637.db2.gz JQNZJNOMUVZTJO-CLFYSBASSA-N 0 0 264.281 2.985 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])cnc2C)o1 ZINC000770929310 627559746 /nfs/dbraw/zinc/55/97/46/627559746.db2.gz SEYMJVLFJRUQBC-SECBINFHSA-N 0 0 291.263 2.513 20 5 CFBDRN C[C@@H]1OCC[C@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000771081802 627575643 /nfs/dbraw/zinc/57/56/43/627575643.db2.gz WWGAIUOHIZGZEK-GZMMTYOYSA-N 0 0 299.710 2.716 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Oc2cccnc2[N+](=O)[O-])c1 ZINC000771193009 627583469 /nfs/dbraw/zinc/58/34/69/627583469.db2.gz XSEMGGYDMAQEJH-UHFFFAOYSA-N 0 0 279.183 2.829 20 5 CFBDRN Cc1c[nH]cc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771640308 627620023 /nfs/dbraw/zinc/62/00/23/627620023.db2.gz BNAOYDBYKWYEQX-UHFFFAOYSA-N 0 0 264.212 2.590 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000805449485 627629331 /nfs/dbraw/zinc/62/93/31/627629331.db2.gz SRPWSVSTZQOOJH-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C1(F)CCCC1 ZINC000771937550 627651498 /nfs/dbraw/zinc/65/14/98/627651498.db2.gz GBJXYGSFRPTEPG-UHFFFAOYSA-N 0 0 280.299 2.536 20 5 CFBDRN C[C@H]1CCC[C@@H](CCOC(=O)c2nn(C)cc2[N+](=O)[O-])C1 ZINC000772056743 627668882 /nfs/dbraw/zinc/66/88/82/627668882.db2.gz KXPAONCUYCVNGU-QWRGUYRKSA-N 0 0 295.339 2.702 20 5 CFBDRN CC(=O)CCCC(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000772377300 627706248 /nfs/dbraw/zinc/70/62/48/627706248.db2.gz YPYUSZGVYJNEEG-UHFFFAOYSA-N 0 0 279.292 2.706 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000772504448 627716018 /nfs/dbraw/zinc/71/60/18/627716018.db2.gz YJGPNHZWUWJJHO-NEPJUHHUSA-N 0 0 263.293 2.930 20 5 CFBDRN C[C@H]1COCCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000772908628 627758158 /nfs/dbraw/zinc/75/81/58/627758158.db2.gz CQHXYPCDLLEGIB-VIFPVBQESA-N 0 0 293.348 2.820 20 5 CFBDRN CC1(OCC(=O)Nc2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000772971329 627762864 /nfs/dbraw/zinc/76/28/64/627762864.db2.gz LMMGDJIAEBGZCI-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN COc1ccc(NC(=O)COC2(C)CCC2)c([N+](=O)[O-])c1 ZINC000772971262 627763020 /nfs/dbraw/zinc/76/30/20/627763020.db2.gz INVYKXIIYORSJM-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN CC1(OCC(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000772975284 627763345 /nfs/dbraw/zinc/76/33/45/627763345.db2.gz SJLQMCWLTJOZKR-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN C[C@@H](NCc1cccc(F)n1)c1cccc([N+](=O)[O-])c1 ZINC000773083988 627781203 /nfs/dbraw/zinc/78/12/03/627781203.db2.gz AFDRHNTUCWPOBM-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)Cc1ccoc1)CC2 ZINC000773605038 627841644 /nfs/dbraw/zinc/84/16/44/627841644.db2.gz SQLYDWVTAJDRDD-UHFFFAOYSA-N 0 0 286.287 2.628 20 5 CFBDRN COc1ccc(NC(=O)C2(F)CCCC2)cc1[N+](=O)[O-] ZINC000773827202 627861488 /nfs/dbraw/zinc/86/14/88/627861488.db2.gz TUOHMQXBSCEYOV-UHFFFAOYSA-N 0 0 282.271 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CC(O)(C(C)C)C1 ZINC000773894472 627868513 /nfs/dbraw/zinc/86/85/13/627868513.db2.gz RODRWCKNQQLFDC-LBPRGKRZSA-N 0 0 278.352 2.667 20 5 CFBDRN CCCCOCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773985798 627875675 /nfs/dbraw/zinc/87/56/75/627875675.db2.gz RLHKIRYKGFQASS-UHFFFAOYSA-N 0 0 292.335 2.609 20 5 CFBDRN CC(=O)c1ccc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000774144703 627894074 /nfs/dbraw/zinc/89/40/74/627894074.db2.gz QPPHUDBHCDINSV-UHFFFAOYSA-N 0 0 293.206 2.749 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCC[C@@H]2OC)c1 ZINC000774718973 627951561 /nfs/dbraw/zinc/95/15/61/627951561.db2.gz VNCXRQHTDKPSKX-RNCFNFMXSA-N 0 0 298.314 2.970 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H]2OC)c1 ZINC000774718977 627951582 /nfs/dbraw/zinc/95/15/82/627951582.db2.gz VNCXRQHTDKPSKX-ZANVPECISA-N 0 0 298.314 2.970 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)OC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000774745303 627957257 /nfs/dbraw/zinc/95/72/57/627957257.db2.gz VZKQTBLNYZWLJT-NXEZZACHSA-N 0 0 297.282 2.706 20 5 CFBDRN Cn1c(C(=O)Oc2cccc(Cl)c2F)ccc1[N+](=O)[O-] ZINC000774868996 627975258 /nfs/dbraw/zinc/97/52/58/627975258.db2.gz BYBYOPIOAMWINM-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN C[C@H](NC(=O)COC1(C)CCC1)c1ccccc1[N+](=O)[O-] ZINC000775260166 628004356 /nfs/dbraw/zinc/00/43/56/628004356.db2.gz CADVVRNPHHEPAK-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN CC(C)=CCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000775280774 628004995 /nfs/dbraw/zinc/00/49/95/628004995.db2.gz MVYVVSDUHMGDPA-UHFFFAOYSA-N 0 0 253.229 2.857 20 5 CFBDRN CSC(C)(C)COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000775317200 628008406 /nfs/dbraw/zinc/00/84/06/628008406.db2.gz KFAMYQLPXUKLHD-UHFFFAOYSA-N 0 0 283.349 2.822 20 5 CFBDRN COc1ccccc1[C@@H](O)CNc1sccc1[N+](=O)[O-] ZINC000775668409 628052155 /nfs/dbraw/zinc/05/21/55/628052155.db2.gz YXCFIVCWFHUFIG-NSHDSACASA-N 0 0 294.332 2.810 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC(c2cn[nH]c2)CC1 ZINC000775673202 628052828 /nfs/dbraw/zinc/05/28/28/628052828.db2.gz NLFDTEJNVRXNCZ-UHFFFAOYSA-N 0 0 278.337 2.763 20 5 CFBDRN Cn1c(Cl)cnc1CNc1sccc1[N+](=O)[O-] ZINC000775672889 628053085 /nfs/dbraw/zinc/05/30/85/628053085.db2.gz MLGYXFLKGJKIHX-UHFFFAOYSA-N 0 0 272.717 2.655 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC=C(c2ccccc2)C1 ZINC000775684478 628054626 /nfs/dbraw/zinc/05/46/26/628054626.db2.gz AFHXFAISFKXAQN-UHFFFAOYSA-N 0 0 267.288 2.893 20 5 CFBDRN CC(C)COC(=O)CN1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000776269394 628124523 /nfs/dbraw/zinc/12/45/23/628124523.db2.gz QOTZSCMSAOVULL-NSHDSACASA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1nc2ccccc2n1C[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776271432 628125056 /nfs/dbraw/zinc/12/50/56/628125056.db2.gz BVRZXZUSTVADIG-MRXNPFEDSA-N 0 0 297.314 2.987 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CC[C@H](C)C1 ZINC000776290745 628127080 /nfs/dbraw/zinc/12/70/80/628127080.db2.gz HMNLNEXNZMTTOX-UWVGGRQHSA-N 0 0 279.292 2.945 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCCCCCO ZINC000776381909 628139424 /nfs/dbraw/zinc/13/94/24/628139424.db2.gz NPMUNCNHOYMAJJ-UHFFFAOYSA-N 0 0 281.308 2.613 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000776416374 628147250 /nfs/dbraw/zinc/14/72/50/628147250.db2.gz YHAVFLVEKNMORB-UWVGGRQHSA-N 0 0 297.282 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2C2CCOCC2)cc1 ZINC000776814050 628180484 /nfs/dbraw/zinc/18/04/84/628180484.db2.gz AIEJWUDLUTYDDM-OAHLLOKOSA-N 0 0 276.336 2.990 20 5 CFBDRN CC(C)(C)CCCCC(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000805585279 628219610 /nfs/dbraw/zinc/21/96/10/628219610.db2.gz NPIUUMMXLBCOST-UHFFFAOYSA-N 0 0 297.355 2.941 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCc2ccoc2)c1 ZINC000777388243 628221309 /nfs/dbraw/zinc/22/13/09/628221309.db2.gz PQARRSNTMVHQMA-UHFFFAOYSA-N 0 0 291.259 2.596 20 5 CFBDRN CC1(C)CC[C@H](CNc2ccc([N+](=O)[O-])c3nonc32)O1 ZINC000777834416 628255080 /nfs/dbraw/zinc/25/50/80/628255080.db2.gz AEZXUYXKKIWRGB-MRVPVSSYSA-N 0 0 292.295 2.501 20 5 CFBDRN CC[C@@]1(O)CCN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000777840510 628255991 /nfs/dbraw/zinc/25/59/91/628255991.db2.gz ZUWQFOHQCIFYPL-CYBMUJFWSA-N 0 0 293.348 2.556 20 5 CFBDRN Cc1ccc(C(=O)NOC2CCCCC2)cc1[N+](=O)[O-] ZINC000777965862 628268727 /nfs/dbraw/zinc/26/87/27/628268727.db2.gz YBMKXTBRFYXJDS-UHFFFAOYSA-N 0 0 278.308 2.897 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@@H]1F ZINC000777998623 628271469 /nfs/dbraw/zinc/27/14/69/628271469.db2.gz CNSUYOAIMPWWDH-KCJUWKMLSA-N 0 0 256.252 2.918 20 5 CFBDRN CC(C)[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000778008081 628272516 /nfs/dbraw/zinc/27/25/16/628272516.db2.gz AWBKONVIAJIRQR-GFCCVEGCSA-N 0 0 286.278 2.734 20 5 CFBDRN CCOC[C@H](C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000778100200 628277560 /nfs/dbraw/zinc/27/75/60/628277560.db2.gz OYTANUAAOATGLD-ZKQHCESOSA-N 0 0 292.335 2.539 20 5 CFBDRN CCCOC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778171928 628283194 /nfs/dbraw/zinc/28/31/94/628283194.db2.gz PZHDPWIOOMOJDG-UHFFFAOYSA-N 0 0 259.208 2.640 20 5 CFBDRN CC(C)[C@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000778242076 628291123 /nfs/dbraw/zinc/29/11/23/628291123.db2.gz QUMWWUXMBXMXBJ-CQSZACIVSA-N 0 0 282.315 2.591 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000778375837 628301954 /nfs/dbraw/zinc/30/19/54/628301954.db2.gz ZDRUJPGFVYOEDH-ZJUUUORDSA-N 0 0 297.282 2.706 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CN3CC=CCC3)c2)c1 ZINC000778577993 628317198 /nfs/dbraw/zinc/31/71/98/628317198.db2.gz YLZOVQVHOJLTEG-UHFFFAOYSA-N 0 0 284.319 2.678 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC=CCC2)c1 ZINC000778591570 628318837 /nfs/dbraw/zinc/31/88/37/628318837.db2.gz JFVIHUCIBSFUID-UHFFFAOYSA-N 0 0 252.245 2.509 20 5 CFBDRN COc1cc(COC(=O)c2ccc(C)o2)ccc1[N+](=O)[O-] ZINC000778742756 628328742 /nfs/dbraw/zinc/32/87/42/628328742.db2.gz YKMIMCRTNMBOFX-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCOCC(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778746207 628329420 /nfs/dbraw/zinc/32/94/20/628329420.db2.gz MARZBQBGCZTGDO-SNVBAGLBSA-N 0 0 267.281 2.544 20 5 CFBDRN CC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000778753959 628330398 /nfs/dbraw/zinc/33/03/98/628330398.db2.gz COSNGRDCCPOQLO-VIFPVBQESA-N 0 0 267.281 2.693 20 5 CFBDRN COCCC(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778796501 628332448 /nfs/dbraw/zinc/33/24/48/628332448.db2.gz XHGXAPHGXIAHBG-SNVBAGLBSA-N 0 0 267.281 2.544 20 5 CFBDRN COc1cc(COC(=O)[C@@H](C)CSC)ccc1[N+](=O)[O-] ZINC000778802271 628332797 /nfs/dbraw/zinc/33/27/97/628332797.db2.gz FANGHASQYVVNCU-VIFPVBQESA-N 0 0 299.348 2.646 20 5 CFBDRN COc1cc(COC(=O)[C@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000778804450 628332936 /nfs/dbraw/zinc/33/29/36/628332936.db2.gz NXZKPTQYMJSKLM-SNVBAGLBSA-N 0 0 281.308 2.939 20 5 CFBDRN COc1cc(COC(=O)[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000778815267 628334107 /nfs/dbraw/zinc/33/41/07/628334107.db2.gz OIONGMMAWPLBEH-GFCCVEGCSA-N 0 0 297.332 2.542 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2CC[C@@H](C)C2)c1 ZINC000779257237 628364799 /nfs/dbraw/zinc/36/47/99/628364799.db2.gz CEXIPNVWAJNTAI-MWLCHTKSSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000779260332 628365302 /nfs/dbraw/zinc/36/53/02/628365302.db2.gz BNPPLGPZXPVGGY-MFKMUULPSA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)CN(C)c2ccccc2[N+](=O)[O-])C1 ZINC000779262869 628366030 /nfs/dbraw/zinc/36/60/30/628366030.db2.gz JHDGBRQJXLWGCQ-RYUDHWBXSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000779266985 628367186 /nfs/dbraw/zinc/36/71/86/628367186.db2.gz XGRALROTLOIYJA-GWCFXTLKSA-N 0 0 263.293 2.869 20 5 CFBDRN CC[C@H]1C[C@H](OC(=O)Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000779294199 628370585 /nfs/dbraw/zinc/37/05/85/628370585.db2.gz YRNBJEZYJPRLAS-QWHCGFSZSA-N 0 0 293.319 2.638 20 5 CFBDRN CCCCCC[C@H](C)NC(=O)Cn1cc([N+](=O)[O-])c(C)n1 ZINC000779645557 628391966 /nfs/dbraw/zinc/39/19/66/628391966.db2.gz KQUKFLITCZTQDF-NSHDSACASA-N 0 0 296.371 2.575 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000779741277 628398564 /nfs/dbraw/zinc/39/85/64/628398564.db2.gz BKINRDQKMKLZFP-GGZOMVNGSA-N 0 0 296.710 2.612 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1[C@H]2CCCO[C@H]21)c1cccc([N+](=O)[O-])c1 ZINC000779840701 628413483 /nfs/dbraw/zinc/41/34/83/628413483.db2.gz XBDNYTFCYWNZIM-KQURDKLPSA-N 0 0 291.303 2.624 20 5 CFBDRN Cc1nn(CN2Cc3ccccc3[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000779858804 628415025 /nfs/dbraw/zinc/41/50/25/628415025.db2.gz BLFCLYLTDDRIHS-NSHDSACASA-N 0 0 286.335 2.677 20 5 CFBDRN CC/C(C)=C(/C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000779928649 628419184 /nfs/dbraw/zinc/41/91/84/628419184.db2.gz IKISGZZVNUQXMR-QXMHVHEDSA-N 0 0 292.335 2.836 20 5 CFBDRN Cc1cnc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c(C)c1 ZINC000779995644 628426025 /nfs/dbraw/zinc/42/60/25/628426025.db2.gz WYKOLWJNJHKGOY-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN CC(C)CCOCCOC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780077163 628430857 /nfs/dbraw/zinc/43/08/57/628430857.db2.gz UZVPWFASMSCGIQ-UHFFFAOYSA-N 0 0 297.307 2.520 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCO1 ZINC000780141539 628434614 /nfs/dbraw/zinc/43/46/14/628434614.db2.gz KGZYTGDOTAYMDU-QWRGUYRKSA-N 0 0 299.348 2.905 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)OCc2ccccc2[N+](=O)[O-])CCO1 ZINC000780146759 628435131 /nfs/dbraw/zinc/43/51/31/628435131.db2.gz QYBMRSQYCBMLFL-DGCLKSJQSA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(NC[C@@H]1CCC=CO1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000781065523 628517346 /nfs/dbraw/zinc/51/73/46/628517346.db2.gz GICQQSFOJPOOIO-LBPRGKRZSA-N 0 0 296.710 2.671 20 5 CFBDRN Cc1nscc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000781270427 628537321 /nfs/dbraw/zinc/53/73/21/628537321.db2.gz NQBQIXAHJZQHNP-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN O=C(OCCF)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000781485444 628553637 /nfs/dbraw/zinc/55/36/37/628553637.db2.gz WEBXUXBXILEACP-UHFFFAOYSA-N 0 0 285.274 2.808 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Oc2ccc(F)nc2)n1 ZINC000781662029 628571146 /nfs/dbraw/zinc/57/11/46/628571146.db2.gz USPRLIBVCOPKMS-UHFFFAOYSA-N 0 0 263.228 2.933 20 5 CFBDRN C/C=C\COC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000781734241 628576375 /nfs/dbraw/zinc/57/63/75/628576375.db2.gz ATLKMJHABBFDKS-IHWYPQMZSA-N 0 0 294.263 2.555 20 5 CFBDRN CC(C)(C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000805701319 628577741 /nfs/dbraw/zinc/57/77/41/628577741.db2.gz GVWGXVDFSIDLRH-UHFFFAOYSA-N 0 0 291.303 2.757 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000781922597 628591517 /nfs/dbraw/zinc/59/15/17/628591517.db2.gz JJHWPIQXOVDLRZ-RKDXNWHRSA-N 0 0 285.321 2.628 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)o1 ZINC000781966621 628593984 /nfs/dbraw/zinc/59/39/84/628593984.db2.gz OSDMIHDVZQOXFR-UHFFFAOYSA-N 0 0 292.222 2.782 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2nccs2)c([N+](=O)[O-])c1 ZINC000781987104 628595320 /nfs/dbraw/zinc/59/53/20/628595320.db2.gz LDRZGJQMFYZNEA-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccc(O)cc1 ZINC000782005592 628596661 /nfs/dbraw/zinc/59/66/61/628596661.db2.gz ATXKSBPKPLAXTO-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C1CCCC1 ZINC000782006084 628596668 /nfs/dbraw/zinc/59/66/68/628596668.db2.gz HEONAJFMYDLWMK-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN Cc1nc(CN(C)Cc2ccc(Cl)c([N+](=O)[O-])c2)no1 ZINC000782056524 628600801 /nfs/dbraw/zinc/60/08/01/628600801.db2.gz ZCVJRPMERSECAD-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN Cc1cc(NC(=O)NC[C@H]2CCC=CO2)ccc1[N+](=O)[O-] ZINC000782062712 628601308 /nfs/dbraw/zinc/60/13/08/628601308.db2.gz WYCNGFXFHBOEEI-GFCCVEGCSA-N 0 0 291.307 2.717 20 5 CFBDRN Cc1cc(OCc2ccnc(N(C)C)c2)ccc1[N+](=O)[O-] ZINC000782109531 628604835 /nfs/dbraw/zinc/60/48/35/628604835.db2.gz CJHPFMJFYZVLID-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CN(C)c1cc(COc2ccc([N+](=O)[O-])cc2F)ccn1 ZINC000782113216 628605557 /nfs/dbraw/zinc/60/55/57/628605557.db2.gz YCMFSZMACITUMD-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN Cc1cccc(NCCCNc2ncccn2)c1[N+](=O)[O-] ZINC000782343110 628630995 /nfs/dbraw/zinc/63/09/95/628630995.db2.gz PKVUAWHSLOXNCW-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN CO[C@H](C)CCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000782433800 628637484 /nfs/dbraw/zinc/63/74/84/628637484.db2.gz QPYKTIPHAPVGKH-SECBINFHSA-N 0 0 285.271 2.592 20 5 CFBDRN COc1ccc(NC(=O)COC2(C)CCC2)cc1[N+](=O)[O-] ZINC000782472639 628640774 /nfs/dbraw/zinc/64/07/74/628640774.db2.gz UJJQYNBBIPMWIN-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN O=C(COC(=O)c1ccc(Cl)cc1[N+](=O)[O-])CC1CC1 ZINC000782618634 628648684 /nfs/dbraw/zinc/64/86/84/628648684.db2.gz HOECAPOXOAZBKI-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000782969183 628666964 /nfs/dbraw/zinc/66/69/64/628666964.db2.gz MWGOWDVQJDLIAZ-HTQZYQBOSA-N 0 0 281.337 2.776 20 5 CFBDRN C[C@H]1C[C@H](COc2ccc([N+](=O)[O-])cc2C=O)CCO1 ZINC000783007981 628669238 /nfs/dbraw/zinc/66/92/38/628669238.db2.gz PVQZFLOAWKUCMN-WDEREUQCSA-N 0 0 279.292 2.601 20 5 CFBDRN C/C(=C\C(=O)NCc1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000783682751 628734857 /nfs/dbraw/zinc/73/48/57/628734857.db2.gz ZDAMQLSZLMZVRH-PKNBQFBNSA-N 0 0 286.287 2.908 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccncc1F ZINC000783830728 628748685 /nfs/dbraw/zinc/74/86/85/628748685.db2.gz SXYNELQROBAWHM-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(CC[C@H]1CCOC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784050037 628769347 /nfs/dbraw/zinc/76/93/47/628769347.db2.gz XWIFUZFJAJAZLP-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN C/C(=C\C(=O)N(C)Cc1ccc[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000784057431 628770336 /nfs/dbraw/zinc/77/03/36/628770336.db2.gz PWRHSGCWPZGVOX-ZRDIBKRKSA-N 0 0 299.330 2.985 20 5 CFBDRN CC(C)[C@H](F)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000784074157 628772535 /nfs/dbraw/zinc/77/25/35/628772535.db2.gz VYSTXZIWYQBGMI-CABZTGNLSA-N 0 0 268.288 2.766 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cncc(F)c1 ZINC000784116018 628778651 /nfs/dbraw/zinc/77/86/51/628778651.db2.gz AIZWCBWOIWOFON-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1cc(NC(=O)[C@@H](F)C(C)C)ccc1[N+](=O)[O-] ZINC000784149862 628784054 /nfs/dbraw/zinc/78/40/54/628784054.db2.gz VPPWJTUIRJGPIT-NSHDSACASA-N 0 0 254.261 2.836 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1ccc(N(C)C)c([N+](=O)[O-])c1 ZINC000784156393 628785297 /nfs/dbraw/zinc/78/52/97/628785297.db2.gz DEURNWYDKGFFHD-CYBMUJFWSA-N 0 0 298.314 2.698 20 5 CFBDRN CO[C@H]1Cc2ccc(Nc3ncccc3[N+](=O)[O-])cc2C1 ZINC000784192716 628789518 /nfs/dbraw/zinc/78/95/18/628789518.db2.gz SLLKBOYASUHUFQ-ZDUSSCGKSA-N 0 0 285.303 2.847 20 5 CFBDRN CCC[C@](C)(O)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000784281167 628799021 /nfs/dbraw/zinc/79/90/21/628799021.db2.gz GTVCLACSFVKZEM-HZMBPMFUSA-N 0 0 281.308 2.750 20 5 CFBDRN Cc1ccn(C)c1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000784295666 628801355 /nfs/dbraw/zinc/80/13/55/628801355.db2.gz IQFGANDKNZERDH-UHFFFAOYSA-N 0 0 280.305 2.660 20 5 CFBDRN COc1ccc(COC(=O)[C@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000784299113 628802024 /nfs/dbraw/zinc/80/20/24/628802024.db2.gz PBJMCJLEAYTHLS-GFCCVEGCSA-N 0 0 285.271 2.641 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000784305434 628802988 /nfs/dbraw/zinc/80/29/88/628802988.db2.gz OQSVNNRKXNKDLF-NSHDSACASA-N 0 0 273.235 2.771 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784315660 628804814 /nfs/dbraw/zinc/80/48/14/628804814.db2.gz HPRXGLZFFXYGJL-LLVKDONJSA-N 0 0 287.312 2.919 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCO[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000784491927 628821314 /nfs/dbraw/zinc/82/13/14/628821314.db2.gz CGDXSQZLEWVOIS-NHCYSSNCSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)(C)OCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784583326 628828878 /nfs/dbraw/zinc/82/88/78/628828878.db2.gz QUGIADMZXNUSGO-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN C/C(=C/C(=O)N1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000784595224 628830247 /nfs/dbraw/zinc/83/02/47/628830247.db2.gz LPBAZDFLEMLZDR-KHPPLWFESA-N 0 0 260.293 2.621 20 5 CFBDRN C/C(=C/C(=O)N[C@@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000784640641 628833312 /nfs/dbraw/zinc/83/33/12/628833312.db2.gz NLXAGBPJYFISBL-VSJCKABYSA-N 0 0 260.293 2.523 20 5 CFBDRN COCCC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784712237 628838493 /nfs/dbraw/zinc/83/84/93/628838493.db2.gz YFMMHYCZGJEWTR-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000784728794 628839419 /nfs/dbraw/zinc/83/94/19/628839419.db2.gz KRTFEYHRTZYYLJ-GDGBQDQQSA-N 0 0 266.272 2.953 20 5 CFBDRN C/C(=C\C(=O)OC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000784835541 628844606 /nfs/dbraw/zinc/84/46/06/628844606.db2.gz BWJFAPYPNMAXSZ-CFRMROPGSA-N 0 0 291.303 2.720 20 5 CFBDRN COCC[C@@H](C)OC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784901001 628850350 /nfs/dbraw/zinc/85/03/50/628850350.db2.gz FSKONROQPXTASY-NLYDNYMLSA-N 0 0 293.319 2.966 20 5 CFBDRN COC1(CC(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCC1 ZINC000784925442 628852231 /nfs/dbraw/zinc/85/22/31/628852231.db2.gz PRTKULSIPBFLMX-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784936102 628853552 /nfs/dbraw/zinc/85/35/52/628853552.db2.gz NWOSIQSOZZMWMW-JOYOIKCWSA-N 0 0 281.333 2.886 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1csc([N+](=O)[O-])c1 ZINC000784940606 628854012 /nfs/dbraw/zinc/85/40/12/628854012.db2.gz IMDVPGFIQLCKBJ-JTQLQIEISA-N 0 0 285.321 2.772 20 5 CFBDRN Cc1[nH]ncc1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000785576575 628895134 /nfs/dbraw/zinc/89/51/34/628895134.db2.gz BHRDQTWPFALKGZ-SECBINFHSA-N 0 0 275.264 2.544 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000785778406 628913322 /nfs/dbraw/zinc/91/33/22/628913322.db2.gz VZDAOBJIUUYNSH-VHSXEESVSA-N 0 0 289.335 2.555 20 5 CFBDRN COc1ccc(C(=O)OCC(C)(C)F)cc1[N+](=O)[O-] ZINC000785924815 628923779 /nfs/dbraw/zinc/92/37/79/628923779.db2.gz HDVBWRNAUDCPSQ-UHFFFAOYSA-N 0 0 271.244 2.508 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000785955580 628926680 /nfs/dbraw/zinc/92/66/80/628926680.db2.gz VLZZYMUUFXFVRR-MRVPVSSYSA-N 0 0 299.710 2.702 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000786511166 628962788 /nfs/dbraw/zinc/96/27/88/628962788.db2.gz ZVXGHTBZIYVXIC-ZETCQYMHSA-N 0 0 259.208 2.714 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)C(F)F ZINC000786515732 628963872 /nfs/dbraw/zinc/96/38/72/628963872.db2.gz RQULYDBJBKUYEO-ZETCQYMHSA-N 0 0 259.208 2.714 20 5 CFBDRN Nc1ccc(NCCc2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000786561314 628968306 /nfs/dbraw/zinc/96/83/06/628968306.db2.gz QNIPAJKRGSVMCK-UHFFFAOYSA-N 0 0 275.283 2.971 20 5 CFBDRN Nc1ccc(NCCc2cccs2)c([N+](=O)[O-])c1 ZINC000786573945 628968803 /nfs/dbraw/zinc/96/88/03/628968803.db2.gz JOHJJOHEHCKQGM-UHFFFAOYSA-N 0 0 263.322 2.893 20 5 CFBDRN COC(C)(C)CCN1CCc2cc([N+](=O)[O-])ccc21 ZINC000786762218 628978700 /nfs/dbraw/zinc/97/87/00/628978700.db2.gz IWPYKHNCTSHCQB-UHFFFAOYSA-N 0 0 264.325 2.772 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CNCc1ccon1 ZINC000786818482 628980894 /nfs/dbraw/zinc/98/08/94/628980894.db2.gz ZCYLPMSUYYQFIV-YRNVUSSQSA-N 0 0 273.292 2.776 20 5 CFBDRN O=C(OCc1ccc(F)cn1)c1ccc([N+](=O)[O-])s1 ZINC000787568745 629019043 /nfs/dbraw/zinc/01/90/43/629019043.db2.gz LEBKJYXBXXKCST-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN C/C(=C/C(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000787720021 629028811 /nfs/dbraw/zinc/02/88/11/629028811.db2.gz QQSIPTKVETYATJ-QLRMYEJISA-N 0 0 286.331 2.913 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000787720458 629029538 /nfs/dbraw/zinc/02/95/38/629029538.db2.gz RTFVVOQBEAHLEX-ZSBIGDGJSA-N 0 0 296.273 2.601 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)Cc1ccc(F)cn1 ZINC000805859296 629043941 /nfs/dbraw/zinc/04/39/41/629043941.db2.gz NSEVXYAUFXUFCX-UHFFFAOYSA-N 0 0 290.250 2.585 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)c2ccc([N+](=O)[O-])s2)O1 ZINC000787969979 629044551 /nfs/dbraw/zinc/04/45/51/629044551.db2.gz BADIGZKFBMITKK-MRVPVSSYSA-N 0 0 285.321 2.771 20 5 CFBDRN C[C@@H]1CC(O)C[C@@H](C)N1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000788465473 629071280 /nfs/dbraw/zinc/07/12/80/629071280.db2.gz VVSHOHGXPBOLJH-NXEZZACHSA-N 0 0 298.770 2.982 20 5 CFBDRN O=C1O[C@H](CSc2ccc([N+](=O)[O-])cc2F)CC12CC2 ZINC000788503239 629075745 /nfs/dbraw/zinc/07/57/45/629075745.db2.gz SPAGMWCVMIVVNP-VIFPVBQESA-N 0 0 297.307 2.922 20 5 CFBDRN CC(=O)OCCCSc1sccc1[N+](=O)[O-] ZINC000788581130 629083498 /nfs/dbraw/zinc/08/34/98/629083498.db2.gz SMBZBCPXYQOOBN-UHFFFAOYSA-N 0 0 261.324 2.702 20 5 CFBDRN CC(C)(C)OCCCCOC(=O)c1ccc([N+](=O)[O-])o1 ZINC000789001605 629116221 /nfs/dbraw/zinc/11/62/21/629116221.db2.gz PLHYKPSSLCYSRP-UHFFFAOYSA-N 0 0 285.296 2.940 20 5 CFBDRN Cc1c(CC(=O)OC[C@H]2CC[C@H](C)O2)cccc1[N+](=O)[O-] ZINC000789080877 629124190 /nfs/dbraw/zinc/12/41/90/629124190.db2.gz WVABPFOCBKXBRT-GXFFZTMASA-N 0 0 293.319 2.556 20 5 CFBDRN CSC1(C(=O)OCc2csc([N+](=O)[O-])c2)CC1 ZINC000789199258 629133928 /nfs/dbraw/zinc/13/39/28/629133928.db2.gz KKJAVYDBHHUAPG-UHFFFAOYSA-N 0 0 273.335 2.595 20 5 CFBDRN CSC1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000789208460 629134422 /nfs/dbraw/zinc/13/44/22/629134422.db2.gz XCUPIBUMGKFBRL-UHFFFAOYSA-N 0 0 285.296 2.673 20 5 CFBDRN C/C=C(\CC)C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000789231267 629138141 /nfs/dbraw/zinc/13/81/41/629138141.db2.gz KHSOMKGRTXDHHV-XCVCLJGOSA-N 0 0 277.276 2.677 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@@H]1CC[C@@H](C)O1)CC2 ZINC000789355906 629150251 /nfs/dbraw/zinc/15/02/51/629150251.db2.gz FCTURBWTSZZPTH-YPMHNXCESA-N 0 0 276.336 2.833 20 5 CFBDRN Cc1cnc(COC(=O)[C@H](F)C(C)C)c(C)c1[N+](=O)[O-] ZINC000789442540 629157196 /nfs/dbraw/zinc/15/71/96/629157196.db2.gz KOPDMRVZHUYYKM-LLVKDONJSA-N 0 0 284.287 2.644 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)OCC1(F)CC1 ZINC000789588988 629169334 /nfs/dbraw/zinc/16/93/34/629169334.db2.gz ARFQJWMIWRAMIM-UHFFFAOYSA-N 0 0 296.298 2.832 20 5 CFBDRN COc1cccc(C(=O)OC[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC000789604855 629172235 /nfs/dbraw/zinc/17/22/35/629172235.db2.gz LOFRUECVVBWZQD-SECBINFHSA-N 0 0 279.292 2.806 20 5 CFBDRN C[C@H](COC(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000789615892 629174700 /nfs/dbraw/zinc/17/47/00/629174700.db2.gz VQKZMETYOOMAGD-SNVBAGLBSA-N 0 0 263.293 2.727 20 5 CFBDRN Cc1c(CNC(=S)NCC(C)C)cccc1[N+](=O)[O-] ZINC000790334200 629233522 /nfs/dbraw/zinc/23/35/22/629233522.db2.gz SKOIOEIAZQWURD-UHFFFAOYSA-N 0 0 281.381 2.523 20 5 CFBDRN COc1ccc(CSCc2ncccn2)cc1[N+](=O)[O-] ZINC000790574012 629249671 /nfs/dbraw/zinc/24/96/71/629249671.db2.gz TZZULLUBUISBQR-UHFFFAOYSA-N 0 0 291.332 2.827 20 5 CFBDRN COc1ccc(C(=O)OCC2(C)CC2)cc1[N+](=O)[O-] ZINC000790809233 629264930 /nfs/dbraw/zinc/26/49/30/629264930.db2.gz YNPSRGKMGZTNHM-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)c1Cl ZINC000790987143 629277921 /nfs/dbraw/zinc/27/79/21/629277921.db2.gz MZVOVWIRIKKHPE-UHFFFAOYSA-N 0 0 298.661 2.671 20 5 CFBDRN Cc1c(CNC(=O)C2=C(C)OCCC2)cccc1[N+](=O)[O-] ZINC000791016141 629280785 /nfs/dbraw/zinc/28/07/85/629280785.db2.gz MFKBBQQIFXMMCO-UHFFFAOYSA-N 0 0 290.319 2.604 20 5 CFBDRN CC/C=C(\C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000791018107 629281529 /nfs/dbraw/zinc/28/15/29/629281529.db2.gz MJGBYJOZQWGONX-UXBLZVDNSA-N 0 0 262.309 2.876 20 5 CFBDRN CC/C=C(/C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000791018105 629281549 /nfs/dbraw/zinc/28/15/49/629281549.db2.gz MJGBYJOZQWGONX-POHAHGRESA-N 0 0 262.309 2.876 20 5 CFBDRN O=[N+]([O-])CCN(Cc1ccccc1)Cc1ccccc1 ZINC000791053694 629283941 /nfs/dbraw/zinc/28/39/41/629283941.db2.gz MAUXRRRNQPSVGZ-UHFFFAOYSA-N 0 0 270.332 2.966 20 5 CFBDRN COC1(COC(=O)c2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000791307651 629298613 /nfs/dbraw/zinc/29/86/13/629298613.db2.gz KGOBWFLWWCFBPP-UHFFFAOYSA-N 0 0 279.292 2.711 20 5 CFBDRN COC1(COC(=O)c2cc([N+](=O)[O-])ccc2F)CCCC1 ZINC000791329692 629301117 /nfs/dbraw/zinc/30/11/17/629301117.db2.gz KQFRXJOCBJMTHH-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000791348517 629302997 /nfs/dbraw/zinc/30/29/97/629302997.db2.gz PQAIVAPQXUNKKS-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCCCCCF ZINC000791498578 629321922 /nfs/dbraw/zinc/32/19/22/629321922.db2.gz QWMJRDRVCLSRHI-UHFFFAOYSA-N 0 0 269.272 2.820 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791510285 629324355 /nfs/dbraw/zinc/32/43/55/629324355.db2.gz SBQQQFAYMXAXSM-MQQKCMAXSA-N 0 0 292.247 2.679 20 5 CFBDRN CO[C@@H](C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000791520629 629325727 /nfs/dbraw/zinc/32/57/27/629325727.db2.gz IGJMXOCVZCZDJW-TVQRCGJNSA-N 0 0 279.292 2.624 20 5 CFBDRN COc1cc(C(=O)OC/C=C/Cl)cc([N+](=O)[O-])c1C ZINC000791689667 629346126 /nfs/dbraw/zinc/34/61/26/629346126.db2.gz VYGXWKWOAXDGRA-ONEGZZNKSA-N 0 0 285.683 2.821 20 5 CFBDRN CO[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000791692661 629346207 /nfs/dbraw/zinc/34/62/07/629346207.db2.gz CGZUXSHKMMDBAW-LBPRGKRZSA-N 0 0 299.710 2.716 20 5 CFBDRN CC(C)(CCC(=O)Oc1ccc2c(c1)CCC2)[N+](=O)[O-] ZINC000835049680 629349750 /nfs/dbraw/zinc/34/97/50/629349750.db2.gz IBJXJXJMRDZGJS-UHFFFAOYSA-N 0 0 277.320 2.916 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)C2CC2)cc([N+](=O)[O-])c1C ZINC000791782782 629356402 /nfs/dbraw/zinc/35/64/02/629356402.db2.gz JOPWMPMYBOEOCQ-SECBINFHSA-N 0 0 279.292 2.867 20 5 CFBDRN COc1ccc(CC(=O)OCCCCCF)cc1[N+](=O)[O-] ZINC000791837189 629364207 /nfs/dbraw/zinc/36/42/07/629364207.db2.gz CMKFVONTQZLKHM-UHFFFAOYSA-N 0 0 299.298 2.829 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2ccnn2C)c1 ZINC000791935723 629380510 /nfs/dbraw/zinc/38/05/10/629380510.db2.gz NDTBVZFCUXPDEP-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)c2ccc(F)cc2[N+](=O)[O-])O1 ZINC000792028347 629390113 /nfs/dbraw/zinc/39/01/13/629390113.db2.gz BWNFKBPKIVKCGN-SNVBAGLBSA-N 0 0 297.282 2.848 20 5 CFBDRN CCOC[C@@H](C)OC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000792037628 629391840 /nfs/dbraw/zinc/39/18/40/629391840.db2.gz NVPHCUIVXKVKNO-UCQJPZFISA-N 0 0 293.319 2.966 20 5 CFBDRN CCC1(C(=O)Oc2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000792123600 629399943 /nfs/dbraw/zinc/39/99/43/629399943.db2.gz FXCCGFPDQFFCMK-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)c2ccco2)cc1[N+](=O)[O-] ZINC000792123094 629400270 /nfs/dbraw/zinc/40/02/70/629400270.db2.gz ACCQQBSKZYQFOG-VIFPVBQESA-N 0 0 291.259 2.906 20 5 CFBDRN CC1(CC(=O)OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000792183072 629406767 /nfs/dbraw/zinc/40/67/67/629406767.db2.gz AWPUHQZXBCMTQN-UHFFFAOYSA-N 0 0 294.263 2.736 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835117647 629424227 /nfs/dbraw/zinc/42/42/27/629424227.db2.gz KGGZUKJOWNAKIT-NWDGAFQWSA-N 0 0 269.341 2.967 20 5 CFBDRN C/C(=C/C(=O)N1CC(C)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000792525692 629430122 /nfs/dbraw/zinc/43/01/22/629430122.db2.gz KHCZQMJKTLMGNI-YFHOEESVSA-N 0 0 278.283 2.569 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000792534246 629431373 /nfs/dbraw/zinc/43/13/73/629431373.db2.gz PVVGMQHUNFHEHW-HTQZYQBOSA-N 0 0 268.700 2.871 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)O[C@H]1CCCCC1=O ZINC000792685318 629444171 /nfs/dbraw/zinc/44/41/71/629444171.db2.gz XHXQYFZYZQZPHF-LBPRGKRZSA-N 0 0 277.276 2.572 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000792867565 629460194 /nfs/dbraw/zinc/46/01/94/629460194.db2.gz KGXVPELJHVXGOL-CABZTGNLSA-N 0 0 267.281 2.561 20 5 CFBDRN CC(C)(CCC(=O)O[C@H]1[C@H]2CCCO[C@H]2C1(C)C)[N+](=O)[O-] ZINC000835182005 629497763 /nfs/dbraw/zinc/49/77/63/629497763.db2.gz JXKNPXGYUOLZEK-UHTWSYAYSA-N 0 0 299.367 2.569 20 5 CFBDRN O=C(CSC1CC1)OCCc1ccccc1[N+](=O)[O-] ZINC000835226375 629540648 /nfs/dbraw/zinc/54/06/48/629540648.db2.gz CRJVYXHRAJSGLH-UHFFFAOYSA-N 0 0 281.333 2.576 20 5 CFBDRN C[C@@H]1OCC[C@H]1COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000793420338 629541957 /nfs/dbraw/zinc/54/19/57/629541957.db2.gz NBLWKTHICCBCHF-SWQGKHDCSA-N 0 0 291.303 2.576 20 5 CFBDRN CCC[C@H](OC)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000835249383 629561467 /nfs/dbraw/zinc/56/14/67/629561467.db2.gz MVRCXLYAOYJOPT-LBPRGKRZSA-N 0 0 267.281 2.624 20 5 CFBDRN CCC[C@H]1CCC[C@H]1C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000835335714 629637841 /nfs/dbraw/zinc/63/78/41/629637841.db2.gz VKAQUUIUIUQUBT-WCQYABFASA-N 0 0 295.339 2.551 20 5 CFBDRN C/C=C\C(=O)Nc1cc(Br)cc([N+](=O)[O-])c1 ZINC000794427010 629645478 /nfs/dbraw/zinc/64/54/78/629645478.db2.gz IODOEBGODSPWIO-IHWYPQMZSA-N 0 0 285.097 2.872 20 5 CFBDRN CS[C@@H](C)[C@@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835381350 629670930 /nfs/dbraw/zinc/67/09/30/629670930.db2.gz AMSPOPXEBOSOQZ-BDAKNGLRSA-N 0 0 263.359 2.505 20 5 CFBDRN CC(C)[C@](C)(O)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000794660229 629672156 /nfs/dbraw/zinc/67/21/56/629672156.db2.gz CMUWQYAADOPSJD-ZDUSSCGKSA-N 0 0 277.280 2.508 20 5 CFBDRN Cc1ccc(OCc2ccc(Cl)cc2[N+](=O)[O-])c[n+]1[O-] ZINC000794721852 629679939 /nfs/dbraw/zinc/67/99/39/629679939.db2.gz GREADIOBDDABAE-UHFFFAOYSA-N 0 0 294.694 2.769 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC(F)(F)CC1 ZINC000794756053 629684226 /nfs/dbraw/zinc/68/42/26/629684226.db2.gz XIIZCZJEADVFNY-UHFFFAOYSA-N 0 0 285.250 2.858 20 5 CFBDRN C[C@H](CC1CCOCC1)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835415554 629702777 /nfs/dbraw/zinc/70/27/77/629702777.db2.gz LIUSXFADSRQNHA-LLVKDONJSA-N 0 0 287.356 2.570 20 5 CFBDRN CCc1ccc(C(=O)O[C@H](C)CC(C)(C)O)cc1[N+](=O)[O-] ZINC000835422513 629708102 /nfs/dbraw/zinc/70/81/02/629708102.db2.gz JANZKUQLZQHFNT-SNVBAGLBSA-N 0 0 295.335 2.864 20 5 CFBDRN CCC(=O)c1ccc(N(C)Cc2ncc[nH]2)c([N+](=O)[O-])c1 ZINC000795093399 629733566 /nfs/dbraw/zinc/73/35/66/629733566.db2.gz MOFFAQMLHCBODF-UHFFFAOYSA-N 0 0 288.307 2.547 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=S)NC(C)(C)C ZINC000795095548 629734255 /nfs/dbraw/zinc/73/42/55/629734255.db2.gz HDUNDZQDCYQTAK-UHFFFAOYSA-N 0 0 295.408 2.742 20 5 CFBDRN CC1(CCNc2cc3c(cc2[N+](=O)[O-])OCC(=O)N3)CC1 ZINC000795176358 629742503 /nfs/dbraw/zinc/74/25/03/629742503.db2.gz HFPWLMIVJKKAOL-UHFFFAOYSA-N 0 0 291.307 2.528 20 5 CFBDRN CC(=O)c1nccn1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000795225325 629747947 /nfs/dbraw/zinc/74/79/47/629747947.db2.gz CKNWPOQERHSACN-UHFFFAOYSA-N 0 0 279.683 2.696 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H]2CCC[C@H](O)C2)cc1[N+](=O)[O-] ZINC000795233289 629749208 /nfs/dbraw/zinc/74/92/08/629749208.db2.gz LJJKZHBSZMLFGF-AAEUAGOBSA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1cnc(COc2c(Cl)cncc2[N+](=O)[O-])s1 ZINC000795247972 629750910 /nfs/dbraw/zinc/75/09/10/629750910.db2.gz PIXMSQWMFYZUSP-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN Cc1c(C(=O)OC[C@@H]2CCC[C@H](O)C2)cccc1[N+](=O)[O-] ZINC000795255876 629753617 /nfs/dbraw/zinc/75/36/17/629753617.db2.gz SOLFHTLQHYZKAL-NEPJUHHUSA-N 0 0 293.319 2.611 20 5 CFBDRN CC1=C(C)CN(c2nc3ccccn3c2[N+](=O)[O-])CC1 ZINC000795305239 629759506 /nfs/dbraw/zinc/75/95/06/629759506.db2.gz XJCUGCIVHSYTGF-UHFFFAOYSA-N 0 0 272.308 2.789 20 5 CFBDRN Cc1ccc(COC(=O)/C=C\[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000835479436 629767617 /nfs/dbraw/zinc/76/76/17/629767617.db2.gz DGOGPWPBIHILSY-RXNFCKPNSA-N 0 0 291.303 2.539 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000835481589 629769434 /nfs/dbraw/zinc/76/94/34/629769434.db2.gz XHJHVHUJOWHLJK-DGCLKSJQSA-N 0 0 293.319 2.762 20 5 CFBDRN CCOC1CC(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000835481710 629769517 /nfs/dbraw/zinc/76/95/17/629769517.db2.gz QHPJNCDUYSGQQP-UHFFFAOYSA-N 0 0 293.319 2.762 20 5 CFBDRN Cc1nc(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)c(C)o1 ZINC000835481299 629770334 /nfs/dbraw/zinc/77/03/34/629770334.db2.gz MZBANOZLTLTGOD-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CC(=O)CCCC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835481745 629771134 /nfs/dbraw/zinc/77/11/34/629771134.db2.gz ZMNISMSDXUDJDE-UHFFFAOYSA-N 0 0 279.292 2.706 20 5 CFBDRN CC[C@H](C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835483181 629775334 /nfs/dbraw/zinc/77/53/34/629775334.db2.gz DCSVVEBBJYQORY-VIFPVBQESA-N 0 0 251.282 2.993 20 5 CFBDRN C[C@@H](O)CCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000795446854 629779561 /nfs/dbraw/zinc/77/95/61/629779561.db2.gz IHKFOICMCDDXNP-SECBINFHSA-N 0 0 293.275 2.659 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCC1CC(F)(F)C1 ZINC000835492336 629785351 /nfs/dbraw/zinc/78/53/51/629785351.db2.gz NRAJHOHMDVEZBU-UHFFFAOYSA-N 0 0 285.246 2.726 20 5 CFBDRN CC(C)CC[C@@H](O)COc1cc(F)ccc1[N+](=O)[O-] ZINC000795534192 629795568 /nfs/dbraw/zinc/79/55/68/629795568.db2.gz CGFIKPLBXZSUKH-LLVKDONJSA-N 0 0 271.288 2.910 20 5 CFBDRN COC(C)(C)COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000835503457 629798603 /nfs/dbraw/zinc/79/86/03/629798603.db2.gz FADLFQKVFLWQJB-UHFFFAOYSA-N 0 0 287.699 2.830 20 5 CFBDRN CCC[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1)OC ZINC000835539845 629822354 /nfs/dbraw/zinc/82/23/54/629822354.db2.gz GLEGQNRNXGBGNV-LBPRGKRZSA-N 0 0 267.281 2.567 20 5 CFBDRN CCC[C@@H](COC(=O)c1ccc(NC)c([N+](=O)[O-])c1)OC ZINC000835539383 629822492 /nfs/dbraw/zinc/82/24/92/629822492.db2.gz WZAQIMNTDWSZNE-NSHDSACASA-N 0 0 296.323 2.608 20 5 CFBDRN CC(C)(C)OCCCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000795759519 629830579 /nfs/dbraw/zinc/83/05/79/629830579.db2.gz NAETXIWXZBJMAJ-UHFFFAOYSA-N 0 0 294.351 2.806 20 5 CFBDRN O=C(C[C@@H]1CCCOC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000795913082 629849533 /nfs/dbraw/zinc/84/95/33/629849533.db2.gz QGVNWYGNRQOXTG-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN CC[C@@H](OC(=O)CCn1cc([N+](=O)[O-])nc1C)[C@@H](C)CC ZINC000835586252 629856280 /nfs/dbraw/zinc/85/62/80/629856280.db2.gz DSEOUQMDRBNNRI-CMPLNLGQSA-N 0 0 297.355 2.858 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000795998504 629860492 /nfs/dbraw/zinc/86/04/92/629860492.db2.gz HHTFOQHAYCGLNN-ZANVPECISA-N 0 0 299.298 2.838 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000795998377 629860807 /nfs/dbraw/zinc/86/08/07/629860807.db2.gz GLDLNGGLGNHYPZ-RISCZKNCSA-N 0 0 295.335 2.742 20 5 CFBDRN O=C(CCC(F)F)OCc1ccc([N+](=O)[O-])cc1 ZINC000796019912 629864387 /nfs/dbraw/zinc/86/43/87/629864387.db2.gz BRZKEBYVHYYSMN-UHFFFAOYSA-N 0 0 259.208 2.683 20 5 CFBDRN O=C(C[C@@H]1CCCC[C@H]1O)OCc1cccc([N+](=O)[O-])c1 ZINC000796131384 629880280 /nfs/dbraw/zinc/88/02/80/629880280.db2.gz FRBGMPCCYLYKBZ-GXTWGEPZSA-N 0 0 293.319 2.579 20 5 CFBDRN CCCc1[nH]nc(C(=O)O[C@@H](C)CCC)c1[N+](=O)[O-] ZINC000796261586 629897172 /nfs/dbraw/zinc/89/71/72/629897172.db2.gz WAFWJXOLFBPZOF-QMMMGPOBSA-N 0 0 269.301 2.616 20 5 CFBDRN CC[C@@H](C)[C@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000835627139 629901970 /nfs/dbraw/zinc/90/19/70/629901970.db2.gz LJTGARKRUTYBST-RNCFNFMXSA-N 0 0 299.298 2.952 20 5 CFBDRN CC[C@@H](OC(=O)c1ccc(N)c([N+](=O)[O-])c1)C(F)(F)F ZINC000835647896 629915837 /nfs/dbraw/zinc/91/58/37/629915837.db2.gz FDFDUXRAYZYJLD-SECBINFHSA-N 0 0 292.213 2.675 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC[C@@H](C)SC ZINC000835665719 629928188 /nfs/dbraw/zinc/92/81/88/629928188.db2.gz GXGZWKUXIHDESJ-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN CSC[C@H](C)COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000835668219 629930209 /nfs/dbraw/zinc/93/02/09/629930209.db2.gz IWPWCRFGVYJPEU-SNVBAGLBSA-N 0 0 299.348 2.516 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000796538639 629931821 /nfs/dbraw/zinc/93/18/21/629931821.db2.gz GMODAAXGNQYTCI-GXFFZTMASA-N 0 0 281.308 2.870 20 5 CFBDRN CSC[C@@H](C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000835673238 629935651 /nfs/dbraw/zinc/93/56/51/629935651.db2.gz RGECLRPWUCCPRF-QMMMGPOBSA-N 0 0 287.312 2.890 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000796593561 629939727 /nfs/dbraw/zinc/93/97/27/629939727.db2.gz ZSQSDIRKHUJBGZ-TVQRCGJNSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1cc2c(cc1C)N(C(=O)c1cc([N+](=O)[O-])cn1C)CC2 ZINC000796600492 629940054 /nfs/dbraw/zinc/94/00/54/629940054.db2.gz BNLHOBLMCGMFQU-UHFFFAOYSA-N 0 0 299.330 2.753 20 5 CFBDRN CS[C@H](C)COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000835680532 629946910 /nfs/dbraw/zinc/94/69/10/629946910.db2.gz TWBIFIILLDVRNH-SECBINFHSA-N 0 0 269.322 2.812 20 5 CFBDRN CS[C@@H](C)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000835687995 629958380 /nfs/dbraw/zinc/95/83/80/629958380.db2.gz LQGFFCPQTOIBRL-VHSXEESVSA-N 0 0 283.349 2.993 20 5 CFBDRN COc1cc(C(=O)OC[C@H](C)SC)cc([N+](=O)[O-])c1C ZINC000835687364 629959036 /nfs/dbraw/zinc/95/90/36/629959036.db2.gz CFKHJPJDCICCNG-QMMMGPOBSA-N 0 0 299.348 2.820 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@H](C)CC(C)(C)C ZINC000835707734 629972338 /nfs/dbraw/zinc/97/23/38/629972338.db2.gz XSDSIDYTCBHXDP-SNVBAGLBSA-N 0 0 297.355 2.858 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000796899239 629986627 /nfs/dbraw/zinc/98/66/27/629986627.db2.gz JEAYERFAMAWXRQ-NOZJJQNGSA-N 0 0 297.307 2.570 20 5 CFBDRN CC[C@](C)(OC)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000796905034 629987137 /nfs/dbraw/zinc/98/71/37/629987137.db2.gz NPUGGCIPBIGCPM-HNNXBMFYSA-N 0 0 292.335 2.607 20 5 CFBDRN CC(C)(C(=O)O[C@@H]1CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000796987804 629999737 /nfs/dbraw/zinc/99/97/37/629999737.db2.gz ZSUGMPZXAUZSMN-LLVKDONJSA-N 0 0 293.319 2.595 20 5 CFBDRN COc1c(C(=O)O[C@H](C)[C@@H](C)SC)cccc1[N+](=O)[O-] ZINC000797188215 630035257 /nfs/dbraw/zinc/03/52/57/630035257.db2.gz CKGGQEYRGMCPFA-RKDXNWHRSA-N 0 0 299.348 2.900 20 5 CFBDRN CNc1ccc(C(=O)OCCC(C)(F)F)cc1[N+](=O)[O-] ZINC000797207104 630038124 /nfs/dbraw/zinc/03/81/24/630038124.db2.gz ICYVFQPGULYVRI-UHFFFAOYSA-N 0 0 288.250 2.839 20 5 CFBDRN CC(F)(F)CCOC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000797222206 630042857 /nfs/dbraw/zinc/04/28/57/630042857.db2.gz INQBKFOVRFTSCD-UHFFFAOYSA-N 0 0 289.234 2.562 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@@H]1C[C@@H]1C(C)C ZINC000797476631 630071541 /nfs/dbraw/zinc/07/15/41/630071541.db2.gz WVLJYSFHCVLCMK-CHWSQXEVSA-N 0 0 293.319 2.939 20 5 CFBDRN Cc1cc(OCC(=O)OC/C=C/Cl)ccc1[N+](=O)[O-] ZINC000797493500 630072007 /nfs/dbraw/zinc/07/20/07/630072007.db2.gz OWYHFIAATKDDGV-GORDUTHDSA-N 0 0 285.683 2.578 20 5 CFBDRN Cc1cc(OCC(=O)OCCC2CC2)ccc1[N+](=O)[O-] ZINC000797494546 630073729 /nfs/dbraw/zinc/07/37/29/630073729.db2.gz WTBBODZLBRIXQB-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CSCC[C@@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000835816195 630081630 /nfs/dbraw/zinc/08/16/30/630081630.db2.gz CHZSGUQKQMJTGX-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN CSCC[C@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000835817118 630082814 /nfs/dbraw/zinc/08/28/14/630082814.db2.gz FTTKEHOHQZXVLC-JTQLQIEISA-N 0 0 283.349 2.822 20 5 CFBDRN CSCC[C@@H](C)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835818639 630086506 /nfs/dbraw/zinc/08/65/06/630086506.db2.gz LZNVGWNUNQFWON-SECBINFHSA-N 0 0 269.322 2.893 20 5 CFBDRN CSCC[C@H](C)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835818640 630086583 /nfs/dbraw/zinc/08/65/83/630086583.db2.gz LZNVGWNUNQFWON-VIFPVBQESA-N 0 0 269.322 2.893 20 5 CFBDRN Cc1cc([C@H](C)OC(=O)Cc2ccccc2[N+](=O)[O-])no1 ZINC000798239262 630171492 /nfs/dbraw/zinc/17/14/92/630171492.db2.gz YZICYGSSYPJGSN-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN CC[C@](C)(COC(=O)c1cccc(C)c1[N+](=O)[O-])OC ZINC000835957237 630177033 /nfs/dbraw/zinc/17/70/33/630177033.db2.gz MYUVWEIYBCXZLZ-CQSZACIVSA-N 0 0 281.308 2.875 20 5 CFBDRN CC[C@@](C)(COC(=O)c1ccc([N+](=O)[O-])c(OC)c1)OC ZINC000835961384 630184718 /nfs/dbraw/zinc/18/47/18/630184718.db2.gz NPCLZOAGZGVOLI-AWEZNQCLSA-N 0 0 297.307 2.575 20 5 CFBDRN C[C@H]1CCN1c1ccc([N+](=O)[O-])cc1Br ZINC000798389141 630185164 /nfs/dbraw/zinc/18/51/64/630185164.db2.gz TWMHGFBJAMSEIL-ZETCQYMHSA-N 0 0 271.114 2.956 20 5 CFBDRN CC1CN(c2ccc([N+](=O)[O-])cc2Br)C1 ZINC000798398481 630186495 /nfs/dbraw/zinc/18/64/95/630186495.db2.gz QPZWXYYVDVDGFB-UHFFFAOYSA-N 0 0 271.114 2.813 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@H](c2ccccn2)C1 ZINC000798716094 630233712 /nfs/dbraw/zinc/23/37/12/630233712.db2.gz MKWQTLXXYPRUAF-LBPRGKRZSA-N 0 0 297.314 2.620 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000799891363 630351900 /nfs/dbraw/zinc/35/19/00/630351900.db2.gz NTIYYXQNXGFFHP-UHFFFAOYSA-N 0 0 265.212 2.660 20 5 CFBDRN Cc1noc(C)c1CCCNc1ncc([N+](=O)[O-])s1 ZINC000799941261 630355756 /nfs/dbraw/zinc/35/57/56/630355756.db2.gz PCDHXEBLQAVAOE-UHFFFAOYSA-N 0 0 282.325 2.701 20 5 CFBDRN Cc1nccc(CNc2c(C)c([N+](=O)[O-])ccc2F)n1 ZINC000799972866 630357662 /nfs/dbraw/zinc/35/76/62/630357662.db2.gz HXKJYCNZAUUIPH-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCOCC(C)C)CC2 ZINC000800367445 630374224 /nfs/dbraw/zinc/37/42/24/630374224.db2.gz IHPFTJRNERKQRJ-UHFFFAOYSA-N 0 0 278.352 2.938 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2CC2(Cl)Cl)c1 ZINC000800846338 630410714 /nfs/dbraw/zinc/41/07/14/630410714.db2.gz LWRUNQQKOBIDOU-ZCFIWIBFSA-N 0 0 276.123 2.783 20 5 CFBDRN CCc1nc(CN(C)c2cc(N)ccc2[N+](=O)[O-])cs1 ZINC000800847815 630411138 /nfs/dbraw/zinc/41/11/38/630411138.db2.gz LYLQDMMLMWIWHT-UHFFFAOYSA-N 0 0 292.364 2.832 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1C[C@@H]1C(F)F ZINC000800866766 630412214 /nfs/dbraw/zinc/41/22/14/630412214.db2.gz FOZUUUJKUWTRTK-IUCAKERBSA-N 0 0 289.209 2.678 20 5 CFBDRN Cc1cc(C)nc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000800867734 630412620 /nfs/dbraw/zinc/41/26/20/630412620.db2.gz FFVYDLGPIJMGOR-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CC1(C)CCC[C@@](O)(CNc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000800869227 630412734 /nfs/dbraw/zinc/41/27/34/630412734.db2.gz FBWNFNYMJSVHBT-HNNXBMFYSA-N 0 0 293.367 2.920 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1c1cc(N)ccc1[N+](=O)[O-] ZINC000800869629 630413439 /nfs/dbraw/zinc/41/34/39/630413439.db2.gz AGCZCCHFUOUIGW-CBAPKCEASA-N 0 0 289.257 2.954 20 5 CFBDRN CC(C)[C@H](F)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000801090905 630427787 /nfs/dbraw/zinc/42/77/87/630427787.db2.gz IDSQYSLKJORXNR-ZDUSSCGKSA-N 0 0 282.315 2.590 20 5 CFBDRN CCCSCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000801322742 630441280 /nfs/dbraw/zinc/44/12/80/630441280.db2.gz RXUYWIGPJAYDSG-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1cccc2c1NCC2 ZINC000801401228 630445161 /nfs/dbraw/zinc/44/51/61/630445161.db2.gz JEEVQCFYHVIGFR-UHFFFAOYSA-N 0 0 298.298 2.920 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801913280 630486221 /nfs/dbraw/zinc/48/62/21/630486221.db2.gz BVUDNBOIYIIYJU-IUCAKERBSA-N 0 0 295.314 2.577 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1(C)CCCC1 ZINC000801970593 630496007 /nfs/dbraw/zinc/49/60/07/630496007.db2.gz PJDWHOLWOYMFIV-UHFFFAOYSA-N 0 0 295.314 2.721 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)/C=C/c1ccc[nH]1 ZINC000802039577 630498956 /nfs/dbraw/zinc/49/89/56/630498956.db2.gz NEGXVBCMJODBEJ-QPJJXVBHSA-N 0 0 288.259 2.550 20 5 CFBDRN Cc1nn(CCC(=O)O[C@H](C)CC(C)C)c(C)c1[N+](=O)[O-] ZINC000103671910 630512011 /nfs/dbraw/zinc/51/20/11/630512011.db2.gz XCDAJVKLVMOBEM-SNVBAGLBSA-N 0 0 297.355 2.776 20 5 CFBDRN COCC[C@@H](OC(=O)c1ccc([N+](=O)[O-])n1C)C(C)(C)C ZINC000802283658 630523550 /nfs/dbraw/zinc/52/35/50/630523550.db2.gz QUHDHEPXAXJZBZ-LLVKDONJSA-N 0 0 298.339 2.541 20 5 CFBDRN Cc1c(CC(=O)OCC(C)(C)F)cccc1[N+](=O)[O-] ZINC000802795237 630548979 /nfs/dbraw/zinc/54/89/79/630548979.db2.gz KKIFCUHBHNDSPK-UHFFFAOYSA-N 0 0 269.272 2.737 20 5 CFBDRN C[C@@H](C(=O)O[C@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000802901915 630562799 /nfs/dbraw/zinc/56/27/99/630562799.db2.gz MCLOQXNLUYMLQK-YMTOWFKASA-N 0 0 297.282 2.560 20 5 CFBDRN CC1(C)CC[C@H](Oc2cc(F)ccc2[N+](=O)[O-])C1=O ZINC000839983497 630564970 /nfs/dbraw/zinc/56/49/70/630564970.db2.gz QRDFZWXGKKLCJZ-JTQLQIEISA-N 0 0 267.256 2.870 20 5 CFBDRN CCOC1CC(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000802944775 630569225 /nfs/dbraw/zinc/56/92/25/630569225.db2.gz LTCMQFKVVODWHX-UHFFFAOYSA-N 0 0 297.282 2.706 20 5 CFBDRN CCOC1CC(COC(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000802946443 630569844 /nfs/dbraw/zinc/56/98/44/630569844.db2.gz QOLJLTJMJSTSHG-UHFFFAOYSA-N 0 0 293.319 2.875 20 5 CFBDRN CCOC1CC(COC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000802949838 630570966 /nfs/dbraw/zinc/57/09/66/630570966.db2.gz LPNXNVGBASSXBP-UHFFFAOYSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1ccc(C(=O)OCCCCF)cc1[N+](=O)[O-] ZINC000803224035 630591162 /nfs/dbraw/zinc/59/11/62/630591162.db2.gz CIKFCGSQITVJIQ-UHFFFAOYSA-N 0 0 255.245 2.810 20 5 CFBDRN COc1ccc(C(=O)OCCSC(C)C)cc1[N+](=O)[O-] ZINC000803231838 630592799 /nfs/dbraw/zinc/59/27/99/630592799.db2.gz INCQLXXAHGJCKQ-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1cc([N+](=O)[O-])ccc1F ZINC000803287397 630599227 /nfs/dbraw/zinc/59/92/27/630599227.db2.gz RIDNWOWVJHWLAR-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN O=C(OCCCCF)c1ccc([N+](=O)[O-])cc1F ZINC000803295537 630600340 /nfs/dbraw/zinc/60/03/40/630600340.db2.gz VPVYUUZYGOIWDX-UHFFFAOYSA-N 0 0 259.208 2.640 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC1CCC(=O)CC1 ZINC000803326030 630602087 /nfs/dbraw/zinc/60/20/87/630602087.db2.gz FGZCPKGCRUGGQB-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000803346053 630604080 /nfs/dbraw/zinc/60/40/80/630604080.db2.gz MVUNYWDUMOMKEP-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN CCc1ccc(C(=O)OCc2ccncc2)cc1[N+](=O)[O-] ZINC000803374642 630608897 /nfs/dbraw/zinc/60/88/97/630608897.db2.gz QXSHQDMXZRZDMM-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)c1cc[nH]c1 ZINC000803382324 630609902 /nfs/dbraw/zinc/60/99/02/630609902.db2.gz XPQLODITBRGFDN-UHFFFAOYSA-N 0 0 282.202 2.558 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1C[C@H]1C1CC1 ZINC000803386039 630610562 /nfs/dbraw/zinc/61/05/62/630610562.db2.gz IMLYGIMTCISKKK-VHSXEESVSA-N 0 0 297.257 2.962 20 5 CFBDRN Cn1ccc(C(=O)OCc2c(F)cc([N+](=O)[O-])cc2F)c1 ZINC000803396442 630612587 /nfs/dbraw/zinc/61/25/87/630612587.db2.gz SIDAXPKICPJIFV-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN O=C(OCCCCF)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000803413726 630614940 /nfs/dbraw/zinc/61/49/40/630614940.db2.gz VRESWBJTRYBAHW-UHFFFAOYSA-N 0 0 280.255 2.983 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCCCCCO ZINC000803417681 630615430 /nfs/dbraw/zinc/61/54/30/630615430.db2.gz DVLGRWLOMDYZDA-UHFFFAOYSA-N 0 0 295.335 2.839 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])C1=O ZINC000840001911 630619141 /nfs/dbraw/zinc/61/91/41/630619141.db2.gz BMNWCPNOVGYSOG-LLVKDONJSA-N 0 0 277.276 2.509 20 5 CFBDRN CC(C)c1ccc(C(=O)OCc2cn[nH]c2)cc1[N+](=O)[O-] ZINC000803452120 630619410 /nfs/dbraw/zinc/61/94/10/630619410.db2.gz FJMCBKXIWDMLSC-UHFFFAOYSA-N 0 0 289.291 2.798 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCCCF)c1F ZINC000803462314 630620423 /nfs/dbraw/zinc/62/04/23/630620423.db2.gz IBBUFJKPPYQVPF-UHFFFAOYSA-N 0 0 259.208 2.559 20 5 CFBDRN O=C(OCCC1(O)CCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000840015628 630638575 /nfs/dbraw/zinc/63/85/75/630638575.db2.gz PTEZFHUGJYESTK-UHFFFAOYSA-N 0 0 299.710 2.710 20 5 CFBDRN CC1(C)CC(C(=O)OCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000803756826 630640019 /nfs/dbraw/zinc/64/00/19/630640019.db2.gz XCFDUEQRFBEXCX-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN CCC/C=C\C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000804176736 630662390 /nfs/dbraw/zinc/66/23/90/630662390.db2.gz SWBKCUBTGWPXBZ-YHYXMXQVSA-N 0 0 276.336 2.952 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@H]2C[C@H]21 ZINC000804296673 630669086 /nfs/dbraw/zinc/66/90/86/630669086.db2.gz IBFLGKHHXFITST-CMPLNLGQSA-N 0 0 260.293 2.528 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@@H]2C[C@@H]21 ZINC000804298924 630669493 /nfs/dbraw/zinc/66/94/93/630669493.db2.gz DIUOMZLJDJVXER-OTYXRUKQSA-N 0 0 285.303 2.701 20 5 CFBDRN Nc1ccc(NC[C@H]2CC2(Cl)Cl)c([N+](=O)[O-])c1 ZINC000804327278 630670827 /nfs/dbraw/zinc/67/08/27/630670827.db2.gz KLNQEJKZVCISEW-ZCFIWIBFSA-N 0 0 276.123 2.783 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H](O)c1ccco1 ZINC000804348413 630674375 /nfs/dbraw/zinc/67/43/75/630674375.db2.gz ZYBSUMHFSIMKQQ-ZDUSSCGKSA-N 0 0 290.275 2.536 20 5 CFBDRN Nc1ccc(NC[C@@H]2Cc3ccccc3O2)c([N+](=O)[O-])c1 ZINC000804345860 630674419 /nfs/dbraw/zinc/67/44/19/630674419.db2.gz NUENTRHVNLOLAP-LBPRGKRZSA-N 0 0 285.303 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@H](O)c1ccco1 ZINC000804347510 630674676 /nfs/dbraw/zinc/67/46/76/630674676.db2.gz MSGZJUWXVLLVIO-NSHDSACASA-N 0 0 282.683 2.987 20 5 CFBDRN Nc1ccc(N2CCC[C@@H]2c2ccccn2)c([N+](=O)[O-])c1 ZINC000804372545 630676605 /nfs/dbraw/zinc/67/66/05/630676605.db2.gz NTYYHFQHPIATSS-CYBMUJFWSA-N 0 0 284.319 2.914 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804374376 630676628 /nfs/dbraw/zinc/67/66/28/630676628.db2.gz IMOGVSROTCDWID-JTQLQIEISA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C1CC1 ZINC000804374633 630676696 /nfs/dbraw/zinc/67/66/96/630676696.db2.gz CMOVRTDJSUBLGF-QMMMGPOBSA-N 0 0 265.269 2.961 20 5 CFBDRN Cc1ncc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])s1 ZINC000804371338 630676709 /nfs/dbraw/zinc/67/67/09/630676709.db2.gz CCDKEOABUKZJBW-UHFFFAOYSA-N 0 0 294.292 2.880 20 5 CFBDRN CC(=O)c1cc(NC[C@@H](O)CC(C)C)ccc1[N+](=O)[O-] ZINC000804392255 630679202 /nfs/dbraw/zinc/67/92/02/630679202.db2.gz LGRAVCJWWNTDAB-LBPRGKRZSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCc1cscn1 ZINC000804464089 630684499 /nfs/dbraw/zinc/68/44/99/630684499.db2.gz OFDZXQYRCCNIJS-UHFFFAOYSA-N 0 0 291.332 2.909 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000804495507 630687856 /nfs/dbraw/zinc/68/78/56/630687856.db2.gz FPGAZJNMTNDVPI-CQSZACIVSA-N 0 0 281.356 2.776 20 5 CFBDRN CSc1cnc(NCCc2ccccc2[N+](=O)[O-])nc1 ZINC000804506982 630688922 /nfs/dbraw/zinc/68/89/22/630688922.db2.gz UBMMIAJAVYUUGY-UHFFFAOYSA-N 0 0 290.348 2.761 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000804514321 630689398 /nfs/dbraw/zinc/68/93/98/630689398.db2.gz ZBJKINTVAMLXBV-VHSXEESVSA-N 0 0 267.354 2.873 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1C(C)=O)C(C)C ZINC000804528022 630689846 /nfs/dbraw/zinc/68/98/46/630689846.db2.gz YUXDEQPWKXDKRO-AWEZNQCLSA-N 0 0 280.324 2.880 20 5 CFBDRN CC(C)(C)OC(=O)CCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000804528590 630689903 /nfs/dbraw/zinc/68/99/03/630689903.db2.gz IXUKLPXKDWNFBL-UHFFFAOYSA-N 0 0 295.339 2.711 20 5 CFBDRN CO[C@@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C(C)(C)C ZINC000804526712 630689932 /nfs/dbraw/zinc/68/99/32/630689932.db2.gz PHZPXXSYMMSYSX-NSHDSACASA-N 0 0 297.311 2.976 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1C(C)=O)C(C)C ZINC000804528023 630689968 /nfs/dbraw/zinc/68/99/68/630689968.db2.gz YUXDEQPWKXDKRO-CQSZACIVSA-N 0 0 280.324 2.880 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CCC2(CCC2)C1 ZINC000804545787 630692292 /nfs/dbraw/zinc/69/22/92/630692292.db2.gz AITOYATUWWLODG-UHFFFAOYSA-N 0 0 277.280 2.883 20 5 CFBDRN Nc1ccc(NCCC[C@@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000804561556 630693071 /nfs/dbraw/zinc/69/30/71/630693071.db2.gz KOUWUCGRURAKJU-XHDPSFHLSA-N 0 0 293.367 2.920 20 5 CFBDRN C[C@H]1OCC[C@@H]1Sc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804573559 630757028 /nfs/dbraw/zinc/75/70/28/630757028.db2.gz GVXMHGVSAZDURL-XCBNKYQSSA-N 0 0 284.293 2.773 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804593949 630761939 /nfs/dbraw/zinc/76/19/39/630761939.db2.gz ISCMHZUGXWMBPK-SKDRFNHKSA-N 0 0 295.295 2.730 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)c1ccc(N)cc1[N+](=O)[O-] ZINC000804611395 630764797 /nfs/dbraw/zinc/76/47/97/630764797.db2.gz QCRJXFJDPFVBBO-LLVKDONJSA-N 0 0 261.325 2.970 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CC[C@@H](F)C1 ZINC000836202884 630771369 /nfs/dbraw/zinc/77/13/69/630771369.db2.gz NKQZQGZJNIZDFW-SSDOTTSWSA-N 0 0 287.678 2.824 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(CN3CC[C@H](F)C3)c2c(Cl)c1 ZINC000840103210 630897274 /nfs/dbraw/zinc/89/72/74/630897274.db2.gz KRYITFULHPQKHH-VIFPVBQESA-N 0 0 298.705 2.599 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1cc(C)no1 ZINC000807938177 630924352 /nfs/dbraw/zinc/92/43/52/630924352.db2.gz AGRMWWVLDNAROH-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCc1nccs1 ZINC000807949208 630925447 /nfs/dbraw/zinc/92/54/47/630925447.db2.gz XVSZOUZWKVTWAB-UHFFFAOYSA-N 0 0 291.332 2.909 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCOCC1CC1 ZINC000807968111 630927139 /nfs/dbraw/zinc/92/71/39/630927139.db2.gz HRUZKPAKGRNUDY-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN O=C1O[C@@H](COc2ccc(Cl)c([N+](=O)[O-])c2)CC12CC2 ZINC000808057359 630946771 /nfs/dbraw/zinc/94/67/71/630946771.db2.gz PMPORESCANIDQM-SECBINFHSA-N 0 0 297.694 2.723 20 5 CFBDRN CCn1cc(CNc2cc([N+](=O)[O-])ccc2C(C)=O)cn1 ZINC000808070820 630947428 /nfs/dbraw/zinc/94/74/28/630947428.db2.gz ARGIGSBMCIXYIU-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN O=[N+]([O-])c1c(N2Cc3ccccc3CO2)nc2ccccn21 ZINC000808090918 630949253 /nfs/dbraw/zinc/94/92/53/630949253.db2.gz ZLGRYBBOMJJQHO-UHFFFAOYSA-N 0 0 296.286 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCOCC(F)(F)C1 ZINC000808133375 630953558 /nfs/dbraw/zinc/95/35/58/630953558.db2.gz XCVLBUUGAWCXLL-UHFFFAOYSA-N 0 0 292.669 2.720 20 5 CFBDRN CCOCC(C)(C)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000808138070 630955057 /nfs/dbraw/zinc/95/50/57/630955057.db2.gz JKSFLRVVFUPFCF-UHFFFAOYSA-N 0 0 297.311 2.978 20 5 CFBDRN Cc1ccccc1C(N)=NOCCc1ccc([N+](=O)[O-])cc1 ZINC000808243932 630970621 /nfs/dbraw/zinc/97/06/21/630970621.db2.gz KEMIKEGTHSIXOW-UHFFFAOYSA-N 0 0 299.330 2.992 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000837230386 630983127 /nfs/dbraw/zinc/98/31/27/630983127.db2.gz MCPGPWXOASUNRJ-GXFFZTMASA-N 0 0 294.351 2.569 20 5 CFBDRN Cc1ccc2c(c1)CN(C(=O)CCC(C)(C)[N+](=O)[O-])CC2 ZINC000855379731 635524146 /nfs/dbraw/zinc/52/41/46/635524146.db2.gz VMDKICDZNJAYAK-UHFFFAOYSA-N 0 0 290.363 2.715 20 5 CFBDRN C[C@]1(COC(=O)c2ccc([N+](=O)[O-])o2)CC1(Cl)Cl ZINC000837336101 631004090 /nfs/dbraw/zinc/00/40/90/631004090.db2.gz ZSEBIMDKNANDNL-SECBINFHSA-N 0 0 294.090 2.929 20 5 CFBDRN CC(=O)CCCCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000837401185 631020889 /nfs/dbraw/zinc/02/08/89/631020889.db2.gz SSXGGKBXALELNG-UHFFFAOYSA-N 0 0 279.292 2.901 20 5 CFBDRN COC(=O)/C(=C/c1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000102827878 631045295 /nfs/dbraw/zinc/04/52/95/631045295.db2.gz VWYYTIYAQQUHDN-GXDHUFHOSA-N 0 0 284.271 2.703 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)C(F)(F)F ZINC000808844831 631054034 /nfs/dbraw/zinc/05/40/34/631054034.db2.gz BZVJNLFNEYBBEN-SSDOTTSWSA-N 0 0 291.229 2.976 20 5 CFBDRN Cc1nn(CN2CC[C@@H](CC(C)(C)C)C2)cc1[N+](=O)[O-] ZINC000840150091 631057484 /nfs/dbraw/zinc/05/74/84/631057484.db2.gz OVZRPXGDMJZEFJ-LBPRGKRZSA-N 0 0 280.372 2.815 20 5 CFBDRN COC1(CCOC(=O)Cc2ccccc2[N+](=O)[O-])CCC1 ZINC000809052839 631071471 /nfs/dbraw/zinc/07/14/71/631071471.db2.gz IPZMYUJZGUOQPI-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)O[C@H]1CCOC1 ZINC000837636126 631094118 /nfs/dbraw/zinc/09/41/18/631094118.db2.gz JDBBDKFSRREOEY-VIFPVBQESA-N 0 0 285.683 2.502 20 5 CFBDRN O=C1OCc2c1cccc2NCc1ccc([N+](=O)[O-])cc1 ZINC000809201563 631096845 /nfs/dbraw/zinc/09/68/45/631096845.db2.gz ZQWKYKCOXQPGIQ-UHFFFAOYSA-N 0 0 284.271 2.877 20 5 CFBDRN CCCc1[nH]nc(C(=O)O[C@@H](C)CC(C)C)c1[N+](=O)[O-] ZINC000837700919 631111356 /nfs/dbraw/zinc/11/13/56/631111356.db2.gz XZBCICWCXJNOLK-VIFPVBQESA-N 0 0 283.328 2.862 20 5 CFBDRN O=C(CSC1CC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000837873655 631146748 /nfs/dbraw/zinc/14/67/48/631146748.db2.gz ZMXOVQWYBQIRME-UHFFFAOYSA-N 0 0 285.296 2.673 20 5 CFBDRN CC(C)(CCC(=O)OCc1ncc(Cl)s1)[N+](=O)[O-] ZINC000837925647 631151643 /nfs/dbraw/zinc/15/16/43/631151643.db2.gz RFDUAJMPXMHYPD-UHFFFAOYSA-N 0 0 292.744 2.675 20 5 CFBDRN CCO/C=C/C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000840587451 631167291 /nfs/dbraw/zinc/16/72/91/631167291.db2.gz JNHRWSZNGHIZNY-AAXQSMANSA-N 0 0 265.265 2.749 20 5 CFBDRN CC(C)Oc1cc(C(=O)OCC2CC2)ccc1[N+](=O)[O-] ZINC000840648454 631172969 /nfs/dbraw/zinc/17/29/69/631172969.db2.gz JCIVHAFBWPCLHG-UHFFFAOYSA-N 0 0 279.292 2.949 20 5 CFBDRN COC[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000840649950 631173175 /nfs/dbraw/zinc/17/31/75/631173175.db2.gz XVJBWQBZBSWUCE-JTQLQIEISA-N 0 0 297.307 2.574 20 5 CFBDRN C[C@H](F)CCNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840756298 631178742 /nfs/dbraw/zinc/17/87/42/631178742.db2.gz RNSTXYCRRIRHNS-QMMMGPOBSA-N 0 0 271.317 2.629 20 5 CFBDRN C[C@H]1CN(c2ccc(C(F)F)cc2[N+](=O)[O-])CCO1 ZINC000809345477 631217477 /nfs/dbraw/zinc/21/74/77/631217477.db2.gz BOWALWATVIACQU-QMMMGPOBSA-N 0 0 272.251 2.758 20 5 CFBDRN CC(C)NC(=S)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000840764246 631220527 /nfs/dbraw/zinc/22/05/27/631220527.db2.gz PGQGOSCNEGKIPN-VIFPVBQESA-N 0 0 267.354 2.528 20 5 CFBDRN CCNC(=S)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000840801910 631226903 /nfs/dbraw/zinc/22/69/03/631226903.db2.gz KGVXBBWODCQPDW-GFCCVEGCSA-N 0 0 293.392 2.669 20 5 CFBDRN CCOc1cc(CON=C(N)CC2CC2)ccc1[N+](=O)[O-] ZINC000809996656 631310170 /nfs/dbraw/zinc/31/01/70/631310170.db2.gz GXHLUADKLVMAPU-UHFFFAOYSA-N 0 0 293.323 2.792 20 5 CFBDRN Cc1c(NC(=O)NOC/C=C/Cl)cccc1[N+](=O)[O-] ZINC000810144914 631333084 /nfs/dbraw/zinc/33/30/84/631333084.db2.gz LFZSGNZWCYIPMC-ZZXKWVIFSA-N 0 0 285.687 2.709 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000841086880 631335590 /nfs/dbraw/zinc/33/55/90/631335590.db2.gz LYYYEMFFGSQVHF-CYBMUJFWSA-N 0 0 281.308 2.699 20 5 CFBDRN CC(C)(C)C(=O)c1ccsc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000841121372 631343354 /nfs/dbraw/zinc/34/33/54/631343354.db2.gz OTTARIVSRFVXFS-RKDXNWHRSA-N 0 0 296.348 2.581 20 5 CFBDRN CC(C)[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)c(F)c1 ZINC000841166257 631351496 /nfs/dbraw/zinc/35/14/96/631351496.db2.gz MUPJPPBIRWPOOO-WQAKAFBOSA-N 0 0 299.273 2.870 20 5 CFBDRN COCC(C)(C)CC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000841161673 631351678 /nfs/dbraw/zinc/35/16/78/631351678.db2.gz PBZCHSGOYVIOAW-UHFFFAOYSA-N 0 0 281.308 2.871 20 5 CFBDRN CSc1ccccc1[C@@H](C)OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841220221 631361535 /nfs/dbraw/zinc/36/15/35/631361535.db2.gz KRROJTOHSZUXHD-FBIMIBRVSA-N 0 0 281.333 2.678 20 5 CFBDRN O=Cc1cccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c1 ZINC000759368803 631366606 /nfs/dbraw/zinc/36/66/06/631366606.db2.gz AFUIAXWAGVGDFA-UHFFFAOYSA-N 0 0 285.255 2.764 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCCSC1 ZINC000810527896 631382119 /nfs/dbraw/zinc/38/21/19/631382119.db2.gz LZFNWRHRYPTOES-SECBINFHSA-N 0 0 297.332 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/n2cc([N+](=O)[O-])c(C3CC3)n2)o1 ZINC000840203676 631389408 /nfs/dbraw/zinc/38/94/08/631389408.db2.gz JXWCNCLDKVFHCO-AATRIKPKSA-N 0 0 290.235 2.798 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000841458509 631408164 /nfs/dbraw/zinc/40/81/64/631408164.db2.gz AFVPMAJLHMJRCA-DCAQKATOSA-N 0 0 291.351 2.602 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000810717297 631433632 /nfs/dbraw/zinc/43/36/32/631433632.db2.gz UHRKKJIDTNEZRM-SECBINFHSA-N 0 0 297.332 2.652 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(-c2cccc(Cl)c2)no1 ZINC000841732639 631461690 /nfs/dbraw/zinc/46/16/90/631461690.db2.gz FVSHUSMMPWVDMV-RKDXNWHRSA-N 0 0 265.656 2.523 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000811107452 631515618 /nfs/dbraw/zinc/51/56/18/631515618.db2.gz AJFUPHVAIQVFSK-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN O=C(OCCc1cccc(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000811111919 631517882 /nfs/dbraw/zinc/51/78/82/631517882.db2.gz SZUAKPGTPCYLGG-UHFFFAOYSA-N 0 0 279.223 2.726 20 5 CFBDRN CC(C)(C)CC(=O)NOCc1ccc([N+](=O)[O-])cc1 ZINC000842061521 631519337 /nfs/dbraw/zinc/51/93/37/631519337.db2.gz YTMNMBDRCFCMIS-UHFFFAOYSA-N 0 0 266.297 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCCCCO)c(F)c1F ZINC000842077271 631527443 /nfs/dbraw/zinc/52/74/43/631527443.db2.gz XDCIOQJXVRVHII-UHFFFAOYSA-N 0 0 275.251 2.805 20 5 CFBDRN COC1(COC(=O)c2cccc(C)c2[N+](=O)[O-])CCC1 ZINC000811170015 631527582 /nfs/dbraw/zinc/52/75/82/631527582.db2.gz APBTZEHEIMOIPK-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN COC1(COC(=O)Cc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000811171973 631528106 /nfs/dbraw/zinc/52/81/06/631528106.db2.gz ISJUDEDTNBMTBF-UHFFFAOYSA-N 0 0 293.319 2.558 20 5 CFBDRN CC[C@@H](COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)OC ZINC000842080861 631529449 /nfs/dbraw/zinc/52/94/49/631529449.db2.gz VAAHJCRSGBYXET-ZDUSSCGKSA-N 0 0 295.335 2.841 20 5 CFBDRN CO[C@H](COC(=O)c1cc(O)cc([N+](=O)[O-])c1)CC(C)C ZINC000842085228 631531704 /nfs/dbraw/zinc/53/17/04/631531704.db2.gz FYWGZZYJDFNYRS-ZDUSSCGKSA-N 0 0 297.307 2.518 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)C1(CCF)CC1 ZINC000811339287 631553757 /nfs/dbraw/zinc/55/37/57/631553757.db2.gz CFFNQBVMSOKHLB-UHFFFAOYSA-N 0 0 297.282 2.657 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1CCCSC1 ZINC000811413422 631562221 /nfs/dbraw/zinc/56/22/21/631562221.db2.gz WBGLRNJGKYJIAZ-NSHDSACASA-N 0 0 281.333 2.781 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CC(O)(c2ccccc2)C1 ZINC000811510040 631574870 /nfs/dbraw/zinc/57/48/70/631574870.db2.gz RLSPVRJNUMDXSE-UHFFFAOYSA-N 0 0 298.342 2.607 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2CCC(C)(C)C2=O)c1 ZINC000840235502 631585599 /nfs/dbraw/zinc/58/55/99/631585599.db2.gz UYVYCUBXKTZBPZ-LBPRGKRZSA-N 0 0 291.303 2.818 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])c2ccccc12 ZINC000842362849 631605936 /nfs/dbraw/zinc/60/59/36/631605936.db2.gz ARCROABXXVHHMS-NSHDSACASA-N 0 0 273.288 2.970 20 5 CFBDRN O=C(OC[C@H]1CC1(F)F)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842369400 631609229 /nfs/dbraw/zinc/60/92/29/631609229.db2.gz ICXSPVJKPWIZMX-ADEWGFFLSA-N 0 0 297.257 2.897 20 5 CFBDRN C[C@H](Cc1noc([C@@H]2CC2[N+](=O)[O-])n1)c1ccccc1 ZINC000842494542 631645142 /nfs/dbraw/zinc/64/51/42/631645142.db2.gz GVYOLLOSKVVVPK-YUSALJHKSA-N 0 0 273.292 2.548 20 5 CFBDRN Cc1cc(-c2noc([C@](C)(O)C3CC3)n2)cc([N+](=O)[O-])c1 ZINC000842507386 631649649 /nfs/dbraw/zinc/64/96/49/631649649.db2.gz SFYBDLUBYRJOGN-CQSZACIVSA-N 0 0 289.291 2.571 20 5 CFBDRN Cc1cc(=O)n(CC[C@@H]2CC2(Cl)Cl)cc1[N+](=O)[O-] ZINC000842568603 631665103 /nfs/dbraw/zinc/66/51/03/631665103.db2.gz UOGLNRCDVYTTKD-MRVPVSSYSA-N 0 0 291.134 2.649 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1CCC1CCCCC1 ZINC000842636269 631688437 /nfs/dbraw/zinc/68/84/37/631688437.db2.gz AJPYNPXQTICYBU-UHFFFAOYSA-N 0 0 268.288 2.866 20 5 CFBDRN CO[C@H](C)COc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842664326 631696406 /nfs/dbraw/zinc/69/64/06/631696406.db2.gz VXCKSFAMJQYONP-SCSAIBSYSA-N 0 0 283.177 2.565 20 5 CFBDRN O=C(NOC/C=C\Cl)c1cccc(Cl)c1[N+](=O)[O-] ZINC000812406160 631705634 /nfs/dbraw/zinc/70/56/34/631705634.db2.gz NXJABYJJJIRREG-DJWKRKHSSA-N 0 0 291.090 2.662 20 5 CFBDRN C[C@](O)(c1nc(-c2cccc([N+](=O)[O-])c2)no1)C1CCC1 ZINC000812456391 631721083 /nfs/dbraw/zinc/72/10/83/631721083.db2.gz DFWWDTCIYWEZNN-CQSZACIVSA-N 0 0 289.291 2.652 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000812633467 631749592 /nfs/dbraw/zinc/74/95/92/631749592.db2.gz YOOVKQFHSFFFAZ-UWVGGRQHSA-N 0 0 299.298 2.696 20 5 CFBDRN CO[C@@](C)(C(=O)OCc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000812657578 631753764 /nfs/dbraw/zinc/75/37/64/631753764.db2.gz YYYSSEFUAPHMDW-CQSZACIVSA-N 0 0 297.282 2.592 20 5 CFBDRN C/C=C(/C)COC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000842847147 631762655 /nfs/dbraw/zinc/76/26/55/631762655.db2.gz VEKAXYBWOREQBL-WTKPLQERSA-N 0 0 265.265 2.726 20 5 CFBDRN CSCC[C@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000840266419 631768403 /nfs/dbraw/zinc/76/84/03/631768403.db2.gz GTBSQVMZQWJNNU-ZETCQYMHSA-N 0 0 259.306 2.682 20 5 CFBDRN CCc1nc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c(C)o1 ZINC000812811421 631788535 /nfs/dbraw/zinc/78/85/35/631788535.db2.gz VAHWMBHNMWRYJF-UHFFFAOYSA-N 0 0 294.311 2.583 20 5 CFBDRN CC(C)(CCc1noc(C2(F)CCCC2)n1)[N+](=O)[O-] ZINC000812810642 631788631 /nfs/dbraw/zinc/78/86/31/631788631.db2.gz DNXZQZFVKVPKDP-UHFFFAOYSA-N 0 0 271.292 2.796 20 5 CFBDRN CC(C)CO[C@@H](C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812815768 631789322 /nfs/dbraw/zinc/78/93/22/631789322.db2.gz YGKZJISZZINUDU-JTQLQIEISA-N 0 0 285.344 2.791 20 5 CFBDRN CC(C)(CCc1noc(-c2cccs2)n1)[N+](=O)[O-] ZINC000812815032 631789417 /nfs/dbraw/zinc/78/94/17/631789417.db2.gz VOJHYAHQHQJDJM-UHFFFAOYSA-N 0 0 267.310 2.786 20 5 CFBDRN CC(C)(CCc1noc(COC2CCCCC2)n1)[N+](=O)[O-] ZINC000812814857 631789836 /nfs/dbraw/zinc/78/98/36/631789836.db2.gz RBEJOICRWSQSAU-UHFFFAOYSA-N 0 0 297.355 2.907 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000812835795 631794424 /nfs/dbraw/zinc/79/44/24/631794424.db2.gz DJIHRSSDJBCQJZ-WDEREUQCSA-N 0 0 281.308 2.727 20 5 CFBDRN C[C@@H](COC(=O)CCC(C)(C)[N+](=O)[O-])CC(F)(F)F ZINC000842959958 631795639 /nfs/dbraw/zinc/79/56/39/631795639.db2.gz KEQXAYZHQKSDJP-MRVPVSSYSA-N 0 0 285.262 2.954 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])cc2F)C1 ZINC000840270664 631799627 /nfs/dbraw/zinc/79/96/27/631799627.db2.gz YSIUKBPIIIZQNH-VXNVDRBHSA-N 0 0 271.317 2.825 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000813076921 631828010 /nfs/dbraw/zinc/82/80/10/631828010.db2.gz ROJIHPJLVYJLIS-RYUDHWBXSA-N 0 0 295.335 2.599 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CCCSC1 ZINC000813099948 631836919 /nfs/dbraw/zinc/83/69/19/631836919.db2.gz IBNDWYMADKPYJL-JTQLQIEISA-N 0 0 281.333 2.952 20 5 CFBDRN CC(C)[C@H](Cc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813103062 631837966 /nfs/dbraw/zinc/83/79/66/631837966.db2.gz MQHFCEHMHQYIGH-JSGCOSHPSA-N 0 0 279.336 2.710 20 5 CFBDRN Cc1cc(C(=O)CCC(=O)OC[C@H](C)[N+](=O)[O-])c(C)s1 ZINC000813101725 631838158 /nfs/dbraw/zinc/83/81/58/631838158.db2.gz HSENBRLASWZOHL-QMMMGPOBSA-N 0 0 299.348 2.536 20 5 CFBDRN C[C@H](COC(=O)[C@@H](C)Cc1ccccc1Cl)[N+](=O)[O-] ZINC000813102395 631838274 /nfs/dbraw/zinc/83/82/74/631838274.db2.gz QWQFHXRGVVKWIT-VHSXEESVSA-N 0 0 285.727 2.727 20 5 CFBDRN CC(C)[C@@H](Cc1ccccc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813103064 631838538 /nfs/dbraw/zinc/83/85/38/631838538.db2.gz MQHFCEHMHQYIGH-TZMCWYRMSA-N 0 0 279.336 2.710 20 5 CFBDRN Cc1c2ccccc2oc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813101527 631838685 /nfs/dbraw/zinc/83/86/85/631838685.db2.gz CVGAZCLGUOOEOC-MRVPVSSYSA-N 0 0 263.249 2.563 20 5 CFBDRN C[C@H](COC(=O)C[C@H](C)c1c[nH]c2ccccc21)[N+](=O)[O-] ZINC000813102595 631838788 /nfs/dbraw/zinc/83/87/88/631838788.db2.gz STPKZGYLNIYKQX-WDEREUQCSA-N 0 0 290.319 2.870 20 5 CFBDRN COc1ccc2oc(C(=O)OC[C@H](C)[N+](=O)[O-])c(C)c2c1 ZINC000813105220 631839498 /nfs/dbraw/zinc/83/94/98/631839498.db2.gz KQLSELBLUQWJOP-QMMMGPOBSA-N 0 0 293.275 2.572 20 5 CFBDRN C[C@H](COC(=O)/C=C\c1nc2ccccc2s1)[N+](=O)[O-] ZINC000813104371 631839508 /nfs/dbraw/zinc/83/95/08/631839508.db2.gz ZICDUZZASORNQO-ATJFRQLMSA-N 0 0 292.316 2.518 20 5 CFBDRN Cc1cc2occ(CC(=O)OC[C@@H](C)[N+](=O)[O-])c2cc1C ZINC000813104218 631839532 /nfs/dbraw/zinc/83/95/32/631839532.db2.gz YFMRVVFYWXYONH-LLVKDONJSA-N 0 0 291.303 2.801 20 5 CFBDRN Cc1cc2occ(CC(=O)OC[C@H](C)[N+](=O)[O-])c2cc1C ZINC000813104219 631840148 /nfs/dbraw/zinc/84/01/48/631840148.db2.gz YFMRVVFYWXYONH-NSHDSACASA-N 0 0 291.303 2.801 20 5 CFBDRN CC[C@@H](C)n1ncc(C(=O)OC[C@@H](C)[N+](=O)[O-])c1C1CC1 ZINC000813105194 631840384 /nfs/dbraw/zinc/84/03/84/631840384.db2.gz KIYIUUFRRNAXNC-NXEZZACHSA-N 0 0 295.339 2.554 20 5 CFBDRN C[C@H](COC(=O)Cc1c[nH]c2cc(Cl)ccc12)[N+](=O)[O-] ZINC000813106939 631841665 /nfs/dbraw/zinc/84/16/65/631841665.db2.gz IESCZTHPIPNTOS-MRVPVSSYSA-N 0 0 296.710 2.572 20 5 CFBDRN C[C@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1cccc(F)c1 ZINC000813106861 631842013 /nfs/dbraw/zinc/84/20/13/631842013.db2.gz IVHXUMAQYOBTDJ-ZJUUUORDSA-N 0 0 269.272 2.528 20 5 CFBDRN Cc1ccc(SCC(=O)OC[C@@H](C)[N+](=O)[O-])c(C)c1 ZINC000813110377 631842779 /nfs/dbraw/zinc/84/27/79/631842779.db2.gz ZCHVAJJRBWYHTA-LLVKDONJSA-N 0 0 283.349 2.604 20 5 CFBDRN C[C@H](COC(=O)COc1ccc(C(C)(C)C)cc1)[N+](=O)[O-] ZINC000813107857 631843401 /nfs/dbraw/zinc/84/34/01/631843401.db2.gz RENAUYIYEPLPPE-LLVKDONJSA-N 0 0 295.335 2.571 20 5 CFBDRN C[C@H](COC(=O)C[C@@H]1CCCCC1(C)C)[N+](=O)[O-] ZINC000813108606 631843576 /nfs/dbraw/zinc/84/35/76/631843576.db2.gz IETNEIMUJYVULM-MNOVXSKESA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1cc2cc(C(=O)OC[C@@H](C)[N+](=O)[O-])oc2cc1C ZINC000813108649 631843638 /nfs/dbraw/zinc/84/36/38/631843638.db2.gz JGJSOEFTHSEVGA-SNVBAGLBSA-N 0 0 277.276 2.872 20 5 CFBDRN C[C@H](CCc1ccccc1F)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813108874 631843796 /nfs/dbraw/zinc/84/37/96/631843796.db2.gz BLVQKAMZWHZQSU-MNOVXSKESA-N 0 0 283.299 2.603 20 5 CFBDRN C[C@H](COC(=O)/C=C/c1ccccc1Cl)[N+](=O)[O-] ZINC000813113690 631844958 /nfs/dbraw/zinc/84/49/58/631844958.db2.gz HVOHGMAEUCUXIF-XCODYQFDSA-N 0 0 269.684 2.562 20 5 CFBDRN C[C@H](COC(=O)CCc1ccc(Cl)s1)[N+](=O)[O-] ZINC000813112480 631845016 /nfs/dbraw/zinc/84/50/16/631845016.db2.gz QOSMRAHDKPNFBH-SSDOTTSWSA-N 0 0 277.729 2.543 20 5 CFBDRN Cc1cccc(C2(C(=O)OC[C@H](C)[N+](=O)[O-])CCC2)c1 ZINC000813114649 631845811 /nfs/dbraw/zinc/84/58/11/631845811.db2.gz DZILZCJJVIUUFW-LBPRGKRZSA-N 0 0 277.320 2.625 20 5 CFBDRN CC[C@@H](C)Oc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813114189 631846064 /nfs/dbraw/zinc/84/60/64/631846064.db2.gz ZTXKYOGJHNALQZ-GHMZBOCLSA-N 0 0 281.308 2.686 20 5 CFBDRN COc1ccc(CC(=O)OC[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000813122341 631850884 /nfs/dbraw/zinc/85/08/84/631850884.db2.gz KGSMZIPNFREUJX-LLVKDONJSA-N 0 0 293.319 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(NCCC[C@@H]2CCOC2)ccc1F ZINC000843293635 631920796 /nfs/dbraw/zinc/92/07/96/631920796.db2.gz KCLMYGYPQIYATD-SNVBAGLBSA-N 0 0 268.288 2.963 20 5 CFBDRN C[C@H](NC(=O)C1(C(F)F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000843531931 631978096 /nfs/dbraw/zinc/97/80/96/631978096.db2.gz MPBNTADOQMVAAG-QMMMGPOBSA-N 0 0 284.262 2.817 20 5 CFBDRN COCC(C)(C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000813994520 631988108 /nfs/dbraw/zinc/98/81/08/631988108.db2.gz ZCOAWPOJIHMYFZ-UHFFFAOYSA-N 0 0 285.271 2.563 20 5 CFBDRN O=C(N(Cc1cccc([N+](=O)[O-])c1)C1CC1)C1(F)CC1 ZINC000843566737 631990006 /nfs/dbraw/zinc/99/00/06/631990006.db2.gz BSJMFBKMSCGIFQ-UHFFFAOYSA-N 0 0 278.283 2.588 20 5 CFBDRN CC(C)c1cc(C(=O)O[C@@H](C)C[N+](=O)[O-])nn1C(C)(C)C ZINC000814035219 631997032 /nfs/dbraw/zinc/99/70/32/631997032.db2.gz LQLLKPZWPQPDFC-JTQLQIEISA-N 0 0 297.355 2.584 20 5 CFBDRN CCc1ccc([C@@H](C)CC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814035217 631997085 /nfs/dbraw/zinc/99/70/85/631997085.db2.gz LOFIMMMXHMCOGZ-RYUDHWBXSA-N 0 0 279.336 2.951 20 5 CFBDRN CC[C@@H](CC1CCCC1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814036364 631997792 /nfs/dbraw/zinc/99/77/92/631997792.db2.gz WJTZTZCDGYPJIQ-JQWIXIFHSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](CC(=O)O[C@@H](C)C[N+](=O)[O-])C1CCCCC1 ZINC000814036354 631998069 /nfs/dbraw/zinc/99/80/69/631998069.db2.gz WGAYHHQVQOCXFA-MNOVXSKESA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1ccccc1[C@H](C)CC(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814039729 631998668 /nfs/dbraw/zinc/99/86/68/631998668.db2.gz WOIIEGHZWUQCFM-VXGBXAGGSA-N 0 0 265.309 2.697 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1c[nH]c2cc(Cl)ccc12 ZINC000814040517 631998720 /nfs/dbraw/zinc/99/87/20/631998720.db2.gz IAROGGSXRDUHMQ-QMMMGPOBSA-N 0 0 296.710 2.572 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(Cc2ccc(Cl)cc2)CC1 ZINC000814038667 631998909 /nfs/dbraw/zinc/99/89/09/631998909.db2.gz GCHAZMUZYNHHLB-SNVBAGLBSA-N 0 0 297.738 2.871 20 5 CFBDRN COc1cc2ccccc2cc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814040984 631999676 /nfs/dbraw/zinc/99/96/76/631999676.db2.gz LZNAOEOJTIOAMD-SNVBAGLBSA-N 0 0 289.287 2.670 20 5 CFBDRN Cc1csc(C(=O)O[C@H](C)C[N+](=O)[O-])c1Cl ZINC000814044370 632000028 /nfs/dbraw/zinc/00/00/28/632000028.db2.gz FDSFTRLIAQRVCL-ZCFIWIBFSA-N 0 0 263.702 2.532 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc2c(s1)CC[C@H](C)C2 ZINC000814043132 632000094 /nfs/dbraw/zinc/00/00/94/632000094.db2.gz TZGOTNVUZICCHG-DTWKUNHWSA-N 0 0 283.349 2.695 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc2c(s1)CC[C@@H](C)C2 ZINC000814043131 632000246 /nfs/dbraw/zinc/00/02/46/632000246.db2.gz TZGOTNVUZICCHG-BDAKNGLRSA-N 0 0 283.349 2.695 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C/c1cnc(C(C)(C)C)s1 ZINC000814043926 632000260 /nfs/dbraw/zinc/00/02/60/632000260.db2.gz LYFIDQFJHKDEFK-CYNONHLPSA-N 0 0 298.364 2.662 20 5 CFBDRN CCCCN(C)c1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814045333 632001098 /nfs/dbraw/zinc/00/10/98/632001098.db2.gz PKXZVHHQGRKDQX-GFCCVEGCSA-N 0 0 294.351 2.745 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(Cl)c2ncccc12 ZINC000814045549 632001435 /nfs/dbraw/zinc/00/14/35/632001435.db2.gz UYWZQYHKUHCDES-MRVPVSSYSA-N 0 0 294.694 2.710 20 5 CFBDRN CCN(c1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1)C(C)C ZINC000814049136 632002111 /nfs/dbraw/zinc/00/21/11/632002111.db2.gz ZJBZZZHSHDNQTK-GFCCVEGCSA-N 0 0 294.351 2.743 20 5 CFBDRN CC(C)(CCC(=O)NC[C@@H]1CC2CCC1CC2)[N+](=O)[O-] ZINC000843631932 632008630 /nfs/dbraw/zinc/00/86/30/632008630.db2.gz JNOMQOCFIIPAAY-BPCQOVAHSA-N 0 0 282.384 2.764 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CCS[C@@H]2C)c1[N+](=O)[O-] ZINC000843740971 632045327 /nfs/dbraw/zinc/04/53/27/632045327.db2.gz BELOAKZSTVCOBH-LDYMZIIASA-N 0 0 298.339 2.666 20 5 CFBDRN CCCN(C)C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843777330 632054632 /nfs/dbraw/zinc/05/46/32/632054632.db2.gz IIPUXVHQCOCIHU-UHFFFAOYSA-N 0 0 254.261 2.524 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@H](O)c1ccco1 ZINC000814359537 632055610 /nfs/dbraw/zinc/05/56/10/632055610.db2.gz IHQIKJXDRNUWSH-SNVBAGLBSA-N 0 0 282.683 2.987 20 5 CFBDRN CC1(C)OCC(Nc2c(Cl)cccc2[N+](=O)[O-])CO1 ZINC000814367273 632056760 /nfs/dbraw/zinc/05/67/60/632056760.db2.gz GLXAUKSVSFOJPE-UHFFFAOYSA-N 0 0 286.715 2.812 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCSC[C@H]1C ZINC000843805771 632059591 /nfs/dbraw/zinc/05/95/91/632059591.db2.gz UAYZRYLGKSOMGW-MRVPVSSYSA-N 0 0 298.339 2.620 20 5 CFBDRN CCOC(=O)CCCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000105358461 632063440 /nfs/dbraw/zinc/06/34/40/632063440.db2.gz KTLXDCBENVVRJF-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@@H]1C ZINC000843884587 632070895 /nfs/dbraw/zinc/07/08/95/632070895.db2.gz DSNIEKSTIPZYOH-XVKPBYJWSA-N 0 0 266.272 2.571 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CSC[C@H]1C ZINC000843885420 632071806 /nfs/dbraw/zinc/07/18/06/632071806.db2.gz YAPNDUZHMDSSHR-XCBNKYQSSA-N 0 0 298.339 2.524 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843895595 632073969 /nfs/dbraw/zinc/07/39/69/632073969.db2.gz MZRQLRMQKLDAOF-VIFPVBQESA-N 0 0 266.272 2.667 20 5 CFBDRN CCCCOC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000814484463 632074849 /nfs/dbraw/zinc/07/48/49/632074849.db2.gz GLGGRMRBWKYESW-UHFFFAOYSA-N 0 0 284.287 2.757 20 5 CFBDRN CCc1nc(C)c(C(=O)OCc2cccc([N+](=O)[O-])c2)o1 ZINC000814513183 632083094 /nfs/dbraw/zinc/08/30/94/632083094.db2.gz OMVQSJZNTFNAEB-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CC/C(C)=C/C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000843963418 632084525 /nfs/dbraw/zinc/08/45/25/632084525.db2.gz CMKVJPHWGHHPFR-VQHVLOKHSA-N 0 0 263.297 2.931 20 5 CFBDRN CN(CCC(C)(C)C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000844012209 632096427 /nfs/dbraw/zinc/09/64/27/632096427.db2.gz IDEABBQADYEHOQ-UHFFFAOYSA-N 0 0 297.330 2.824 20 5 CFBDRN CCCONC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000844084617 632113334 /nfs/dbraw/zinc/11/33/34/632113334.db2.gz CUYGKEUVXLVVNG-UHFFFAOYSA-N 0 0 292.213 2.685 20 5 CFBDRN CCCONC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000844090302 632115945 /nfs/dbraw/zinc/11/59/45/632115945.db2.gz LLARTTOREFWQLU-UHFFFAOYSA-N 0 0 292.213 2.685 20 5 CFBDRN Cc1nonc1COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814775254 632121833 /nfs/dbraw/zinc/12/18/33/632121833.db2.gz IEJGDUMNQIUPII-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000844265116 632148909 /nfs/dbraw/zinc/14/89/09/632148909.db2.gz USJJTOCRESCAHB-WDEREUQCSA-N 0 0 278.308 2.692 20 5 CFBDRN O=Cc1cccc(Oc2ncc([N+](=O)[O-])cn2)c1Cl ZINC000814903732 632157341 /nfs/dbraw/zinc/15/73/41/632157341.db2.gz XYGRQTAZRVQVRA-UHFFFAOYSA-N 0 0 279.639 2.643 20 5 CFBDRN COC(=O)/C=C\c1ccc(NCC[C@H](C)F)c([N+](=O)[O-])c1 ZINC000814915473 632163206 /nfs/dbraw/zinc/16/32/06/632163206.db2.gz WBMISFTWENVPGH-OAQKJQOQSA-N 0 0 296.298 2.941 20 5 CFBDRN Cc1cc(N2CCC[C@@H](O)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000814960892 632180378 /nfs/dbraw/zinc/18/03/78/632180378.db2.gz XIPILWWXMUAROU-SNVBAGLBSA-N 0 0 284.743 2.908 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)C2(CCC2)CO1 ZINC000844423250 632205156 /nfs/dbraw/zinc/20/51/56/632205156.db2.gz YEEOBDOTDQZQOV-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1cc(C(=O)Nc2ccsc2)c(N)c([N+](=O)[O-])c1 ZINC000156601335 650056333 /nfs/dbraw/zinc/05/63/33/650056333.db2.gz KYZNIUPMDALGRE-UHFFFAOYSA-N 0 0 277.305 2.799 20 5 CFBDRN Cc1c(NC(=O)N2C[C@H]3[C@@H](C2)C3(F)F)cccc1[N+](=O)[O-] ZINC000844486834 632229456 /nfs/dbraw/zinc/22/94/56/632229456.db2.gz QZBBFEHEKICSBH-DTORHVGOSA-N 0 0 297.261 2.632 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)c2cc(O)cc([N+](=O)[O-])c2)C1 ZINC000815218793 632231898 /nfs/dbraw/zinc/23/18/98/632231898.db2.gz FTLQUNCUCRXZIH-QPUJVOFHSA-N 0 0 265.265 2.646 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000815220503 632231983 /nfs/dbraw/zinc/23/19/83/632231983.db2.gz YWXDQVSAPBVRPD-BDAKNGLRSA-N 0 0 264.281 2.522 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1CCC=CO1 ZINC000156413771 650052877 /nfs/dbraw/zinc/05/28/77/650052877.db2.gz SLWMJAYWYYUJDJ-VIFPVBQESA-N 0 0 252.245 2.839 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000815388568 632264337 /nfs/dbraw/zinc/26/43/37/632264337.db2.gz PZOCZKOJOAVOPO-JSGCOSHPSA-N 0 0 293.319 2.843 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000815407476 632268921 /nfs/dbraw/zinc/26/89/21/632268921.db2.gz VXUOJEUGHGOIOX-QMMMGPOBSA-N 0 0 285.683 2.691 20 5 CFBDRN C[C@@H](C(=O)OCCc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000815489405 632281738 /nfs/dbraw/zinc/28/17/38/632281738.db2.gz WCHMVTBVWHEBOW-VIFPVBQESA-N 0 0 287.262 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cc3n(n2)CCC3)c(F)c1F ZINC000844766292 632302540 /nfs/dbraw/zinc/30/25/40/632302540.db2.gz YIBVQVHTZHAGDY-UHFFFAOYSA-N 0 0 295.245 2.595 20 5 CFBDRN CCc1cccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000844946912 632343768 /nfs/dbraw/zinc/34/37/68/632343768.db2.gz UYTBCJDJGRLQAW-UHFFFAOYSA-N 0 0 278.352 2.701 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1c[nH]c2cccc(Cl)c12 ZINC000816096832 632371616 /nfs/dbraw/zinc/37/16/16/632371616.db2.gz NFEAZJMIDKLRFA-QMMMGPOBSA-N 0 0 296.710 2.572 20 5 CFBDRN CCC1(COC(=O)CN(C)c2ccccc2[N+](=O)[O-])CC1 ZINC000816186917 632390917 /nfs/dbraw/zinc/39/09/17/632390917.db2.gz UKYWZLRTPJPBGH-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1cc(C(=O)OCCCc2cnoc2)cc([N+](=O)[O-])c1 ZINC000816228312 632400322 /nfs/dbraw/zinc/40/03/22/632400322.db2.gz CXZCDHYUUQSZMU-UHFFFAOYSA-N 0 0 290.275 2.681 20 5 CFBDRN CO[C@@H](COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000816359402 632429678 /nfs/dbraw/zinc/42/96/78/632429678.db2.gz WOADJKDQVBMRHC-FZMZJTMJSA-N 0 0 295.335 2.913 20 5 CFBDRN O=C(OC[C@@H]1CC1(F)F)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000816417481 632448599 /nfs/dbraw/zinc/44/85/99/632448599.db2.gz RZLGSDDTHXSRQE-QMMMGPOBSA-N 0 0 296.229 2.888 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CCO[C@@H](CF)C1 ZINC000845567554 632465439 /nfs/dbraw/zinc/46/54/39/632465439.db2.gz XXIDLXWSQBPSPQ-ZETCQYMHSA-N 0 0 292.669 2.562 20 5 CFBDRN C[C@@H](COC(=O)c1coc2ccc(Cl)cc21)[N+](=O)[O-] ZINC000845577355 632468213 /nfs/dbraw/zinc/46/82/13/632468213.db2.gz TWMZTCSMVVENCL-ZETCQYMHSA-N 0 0 283.667 2.908 20 5 CFBDRN C[C@H](COC(=O)/C=C/C1CCCCCC1)[N+](=O)[O-] ZINC000845577608 632468444 /nfs/dbraw/zinc/46/84/44/632468444.db2.gz XTRTZUQLUWFXJC-ANYFNZRUSA-N 0 0 255.314 2.721 20 5 CFBDRN CN(Cc1cnn(C)c1Cl)Cc1ccccc1[N+](=O)[O-] ZINC000816487426 632469027 /nfs/dbraw/zinc/46/90/27/632469027.db2.gz MSQJLOVMLTXBOQ-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC23CCCC3)nc2ccccn21 ZINC000845586701 632471517 /nfs/dbraw/zinc/47/15/17/632471517.db2.gz DXICNZZKZICKPP-UHFFFAOYSA-N 0 0 272.308 2.765 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC(C3(O)CC3)CC2)c(F)c1 ZINC000845605391 632480429 /nfs/dbraw/zinc/48/04/29/632480429.db2.gz MDZYSOGMFQTHKU-UHFFFAOYSA-N 0 0 298.289 2.614 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])[C@](C)(O)c1ccccc1 ZINC000845653575 632506145 /nfs/dbraw/zinc/50/61/45/632506145.db2.gz ASWWYJATFHWIRP-NHYWBVRUSA-N 0 0 287.319 2.698 20 5 CFBDRN Cc1cc(N[C@@H](CO)CCF)c(Cl)cc1[N+](=O)[O-] ZINC000845730763 632537752 /nfs/dbraw/zinc/53/77/52/632537752.db2.gz UARLCXSGWWBRNQ-MRVPVSSYSA-N 0 0 276.695 2.689 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@]3(CC3(F)F)C2)s1 ZINC000845742060 632547799 /nfs/dbraw/zinc/54/77/99/632547799.db2.gz JDESFSXVYCGHCU-SECBINFHSA-N 0 0 275.280 2.677 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@H]2[C@@H](C1)C2(F)F ZINC000845753857 632552375 /nfs/dbraw/zinc/55/23/75/632552375.db2.gz QENVEYXNXWLSBO-DTORHVGOSA-N 0 0 254.236 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(CC3(F)F)C2)c(F)c1 ZINC000845771955 632559819 /nfs/dbraw/zinc/55/98/19/632559819.db2.gz ZVLWWKZLTAIDQN-LLVKDONJSA-N 0 0 272.226 2.969 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000817125042 632561882 /nfs/dbraw/zinc/56/18/82/632561882.db2.gz JOTTWKHXWIKJTD-VHSXEESVSA-N 0 0 295.295 2.873 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1ccc([N+](=O)[O-])cc1F ZINC000845821467 632574790 /nfs/dbraw/zinc/57/47/90/632574790.db2.gz KXCXTKSFKXWFBU-QMMMGPOBSA-N 0 0 291.300 2.631 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000817231671 632577117 /nfs/dbraw/zinc/57/71/17/632577117.db2.gz BNPNZVNOIBLKDO-JOYOIKCWSA-N 0 0 261.277 2.999 20 5 CFBDRN O=C(OCCCOc1ccc([N+](=O)[O-])cc1)[C@H]1CC12CC2 ZINC000817234883 632579086 /nfs/dbraw/zinc/57/90/86/632579086.db2.gz DGDJROBLYMQOHR-CYBMUJFWSA-N 0 0 291.303 2.707 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@@H]1CCCCC1=O ZINC000817240198 632581724 /nfs/dbraw/zinc/58/17/24/632581724.db2.gz ADXPIMOTIFCRMZ-CQSZACIVSA-N 0 0 291.303 2.880 20 5 CFBDRN O=[N+]([O-])c1ccc2cnn(CN3Cc4ccccc4C3)c2c1 ZINC000106063258 632583521 /nfs/dbraw/zinc/58/35/21/632583521.db2.gz UDGWSFFTABTPMF-UHFFFAOYSA-N 0 0 294.314 2.918 20 5 CFBDRN C[C@]1(C(=O)OCc2csc([N+](=O)[O-])c2)CC1(F)F ZINC000817247500 632583935 /nfs/dbraw/zinc/58/39/35/632583935.db2.gz APZBWLKBSJFWLJ-SECBINFHSA-N 0 0 277.248 2.745 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NOCC1CC1 ZINC000817368263 632614424 /nfs/dbraw/zinc/61/44/24/632614424.db2.gz RGSRELQVTHUVPX-CYBMUJFWSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NOCCC1CC1 ZINC000817605537 632674816 /nfs/dbraw/zinc/67/48/16/632674816.db2.gz ACKCLVMXVSJUJJ-UHFFFAOYSA-N 0 0 278.308 2.673 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CC12CC2 ZINC000817712174 632689831 /nfs/dbraw/zinc/68/98/31/632689831.db2.gz OILPUXVIEGEFQI-QMMMGPOBSA-N 0 0 267.668 2.954 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@H](C)CC(C)(C)O ZINC000817735453 632697922 /nfs/dbraw/zinc/69/79/22/632697922.db2.gz LYGYOHCEKXXEOU-LLVKDONJSA-N 0 0 295.335 2.918 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000817736276 632698755 /nfs/dbraw/zinc/69/87/55/632698755.db2.gz QHOAMDNJJJYMCL-PHIMTYICSA-N 0 0 279.292 2.546 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@H]1CCOC[C@H]1C ZINC000817743594 632701357 /nfs/dbraw/zinc/70/13/57/632701357.db2.gz VHGFYBFJJBRPIZ-RISCZKNCSA-N 0 0 293.319 2.793 20 5 CFBDRN C[C@H](COC(=O)c1cc([N+](=O)[O-])cn1C)C1CCC1 ZINC000817752706 632705363 /nfs/dbraw/zinc/70/53/63/632705363.db2.gz ROQLIOOOBHOWNS-SECBINFHSA-N 0 0 266.297 2.526 20 5 CFBDRN C[C@H](COC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1)C1CCC1 ZINC000817754573 632705937 /nfs/dbraw/zinc/70/59/37/632705937.db2.gz VUIBFTILEJJDCE-SNVBAGLBSA-N 0 0 295.339 2.506 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](F)C1 ZINC000846628672 632761465 /nfs/dbraw/zinc/76/14/65/632761465.db2.gz AYSANADMMWYEEC-FUWURINLSA-N 0 0 292.310 2.959 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000846631993 632762802 /nfs/dbraw/zinc/76/28/02/632762802.db2.gz XNAHSBSPECEWHD-SECBINFHSA-N 0 0 284.262 2.616 20 5 CFBDRN Cc1ccccc1COC(=O)c1ccc([N+](=O)[O-])cc1N ZINC000817987900 632782813 /nfs/dbraw/zinc/78/28/13/632782813.db2.gz ACOGJSPWOAMOAP-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN Cc1nn(CC[C@@H]2CC2(Cl)Cl)cc1[N+](=O)[O-] ZINC000818082236 632795426 /nfs/dbraw/zinc/79/54/26/632795426.db2.gz MFIMRHPWWYGADC-SSDOTTSWSA-N 0 0 264.112 2.684 20 5 CFBDRN CC(C)Oc1c(Cl)cccc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840356983 632824509 /nfs/dbraw/zinc/82/45/09/632824509.db2.gz GXTGOHUIPSIXCG-LDYMZIIASA-N 0 0 298.726 2.731 20 5 CFBDRN CCn1ccc(CNc2cc(OC)cc(F)c2[N+](=O)[O-])n1 ZINC000840358164 632831679 /nfs/dbraw/zinc/83/16/79/632831679.db2.gz NIDAYHBSEQOCDA-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@H](C2CCC2)C1 ZINC000840359568 632840319 /nfs/dbraw/zinc/84/03/19/632840319.db2.gz ULQYRTUOUVUSCS-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN CO[C@H]1CCC[C@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000818417586 632841210 /nfs/dbraw/zinc/84/12/10/632841210.db2.gz QAKDQLZPXJTDSB-KBPBESRZSA-N 0 0 293.319 2.638 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CS1 ZINC000840364072 632870611 /nfs/dbraw/zinc/87/06/11/632870611.db2.gz JZGLQFYHASIRPQ-HTQZYQBOSA-N 0 0 283.309 2.809 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCCOC2)c1C ZINC000885423911 632875285 /nfs/dbraw/zinc/87/52/85/632875285.db2.gz FNZMWBWBBCLREC-LBPRGKRZSA-N 0 0 293.319 2.795 20 5 CFBDRN CC(C)N=c1ccccn1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000818602371 632877864 /nfs/dbraw/zinc/87/78/64/632877864.db2.gz KEDUYHIXXPKTAD-UHFFFAOYSA-N 0 0 299.330 2.588 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/[C@@H]1CCCO1 ZINC000818995650 632925853 /nfs/dbraw/zinc/92/58/53/632925853.db2.gz FNKICHKSDHZJCC-SYTKJHMZSA-N 0 0 276.292 2.577 20 5 CFBDRN Cc1cccc(C(=O)Oc2cnn(C(C)C)c2)c1[N+](=O)[O-] ZINC000847132956 632935166 /nfs/dbraw/zinc/93/51/66/632935166.db2.gz MMGLJGBEJRBGJY-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN CCCN(C(=O)COc1ccc([N+](=O)[O-])c(F)c1)C(C)C ZINC000847170420 632943420 /nfs/dbraw/zinc/94/34/20/632943420.db2.gz BCFXDCBRYQHLSE-UHFFFAOYSA-N 0 0 298.314 2.760 20 5 CFBDRN CC(C)(C)N1CC[C@@H](Nc2cc([N+](=O)[O-])ccc2F)C1=O ZINC000819066624 632951433 /nfs/dbraw/zinc/95/14/33/632951433.db2.gz FJZOLQPVNLVPSY-LLVKDONJSA-N 0 0 295.314 2.545 20 5 CFBDRN O=C(Nc1cc(Cl)ccc1NC1CC1)[C@@H]1CC1[N+](=O)[O-] ZINC000840375258 632993841 /nfs/dbraw/zinc/99/38/41/632993841.db2.gz NWEDHFAIKJIMKC-BXKDBHETSA-N 0 0 295.726 2.518 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCC1CCCCCC1 ZINC000847716877 633052878 /nfs/dbraw/zinc/05/28/78/633052878.db2.gz RWACVSRFWLSLDO-LLVKDONJSA-N 0 0 257.330 2.945 20 5 CFBDRN Cn1cc(COC(=O)/C=C\C2CCCCC2)c([N+](=O)[O-])n1 ZINC000847782957 633076408 /nfs/dbraw/zinc/07/64/08/633076408.db2.gz NKELKGTXFIQZOF-FPLPWBNLSA-N 0 0 293.323 2.508 20 5 CFBDRN Cn1cc(COC(=O)C2=CCC(C)(C)CC2)c([N+](=O)[O-])n1 ZINC000847786677 633077414 /nfs/dbraw/zinc/07/74/14/633077414.db2.gz WQEHHKYVCLUDSL-UHFFFAOYSA-N 0 0 293.323 2.508 20 5 CFBDRN CCC[C@H]1CCC[C@@H]1C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847786496 633077890 /nfs/dbraw/zinc/07/78/90/633077890.db2.gz TVKZTPHQAQZFFK-JQWIXIFHSA-N 0 0 295.339 2.588 20 5 CFBDRN COC[C@H](C)CCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000847876779 633124267 /nfs/dbraw/zinc/12/42/67/633124267.db2.gz MNRCNZKOMVRBNH-LLVKDONJSA-N 0 0 295.335 2.662 20 5 CFBDRN Cc1nc2c(o1)CCC[C@H]2OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000847913834 633141693 /nfs/dbraw/zinc/14/16/93/633141693.db2.gz BPXIIYVLSLQFSR-LLVKDONJSA-N 0 0 296.323 2.739 20 5 CFBDRN C[C@H](C(=O)N1Cc2ccncc2C1)c1cccc([N+](=O)[O-])c1 ZINC000848382536 633245935 /nfs/dbraw/zinc/24/59/35/633245935.db2.gz UCFSHDHLQPGYKP-NSHDSACASA-N 0 0 297.314 2.636 20 5 CFBDRN CCOc1cc(CON=C(N)[C@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000848520197 633273922 /nfs/dbraw/zinc/27/39/22/633273922.db2.gz NZUQTUUJPDQXBS-ONGXEEELSA-N 0 0 293.323 2.648 20 5 CFBDRN CC(C)(CCc1nc([C@@H]2CCC[C@H]3C[C@H]32)no1)[N+](=O)[O-] ZINC000848618151 633299149 /nfs/dbraw/zinc/29/91/49/633299149.db2.gz HCWFRDZSMMAIDB-HBNTYKKESA-N 0 0 279.340 2.961 20 5 CFBDRN CNc1nccc(C(=O)O[C@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000848791360 633344268 /nfs/dbraw/zinc/34/42/68/633344268.db2.gz ZGSIBWWFULYMMT-VIFPVBQESA-N 0 0 293.323 2.767 20 5 CFBDRN C[C@@H]1[C@@H](CO)CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000840388174 633388372 /nfs/dbraw/zinc/38/83/72/633388372.db2.gz QQOSNVTXHSXWGK-NXEZZACHSA-N 0 0 284.743 2.845 20 5 CFBDRN C/C(=C/C(=O)N[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000819627338 633402622 /nfs/dbraw/zinc/40/26/22/633402622.db2.gz VBMYLUUSRJWCMF-CHOZFAJLSA-N 0 0 272.304 2.667 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000819629442 633403459 /nfs/dbraw/zinc/40/34/59/633403459.db2.gz QAFSMKMHECEWMN-CMPLNLGQSA-N 0 0 278.283 2.652 20 5 CFBDRN Nc1ccc(C(=O)OC[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000849168678 633577140 /nfs/dbraw/zinc/57/71/40/633577140.db2.gz BUWCXJKIDQCYDA-SNVBAGLBSA-N 0 0 276.292 2.690 20 5 CFBDRN C[C@@H](NC(=S)NCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000849260548 633596674 /nfs/dbraw/zinc/59/66/74/633596674.db2.gz LCGZHHKZNHPGDQ-SECBINFHSA-N 0 0 279.365 2.530 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1CCO[C@H]1CC1(F)F ZINC000849313246 633612545 /nfs/dbraw/zinc/61/25/45/633612545.db2.gz QMIWCNPUWVRCPY-RNCFNFMXSA-N 0 0 298.289 2.770 20 5 CFBDRN Cc1cc(CN2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)nc(C)n1 ZINC000849313009 633612781 /nfs/dbraw/zinc/61/27/81/633612781.db2.gz NHWHCHHTYPULLM-NSHDSACASA-N 0 0 298.346 2.953 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000849634339 633649520 /nfs/dbraw/zinc/64/95/20/633649520.db2.gz SPJBZMZRUJQLRL-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000849678118 633672076 /nfs/dbraw/zinc/67/20/76/633672076.db2.gz NTGBVGQTNHQEGO-SSDOTTSWSA-N 0 0 285.321 2.737 20 5 CFBDRN CO[C@@H](COC(=O)c1csc([N+](=O)[O-])c1)C1CCC1 ZINC000849699291 633679669 /nfs/dbraw/zinc/67/96/69/633679669.db2.gz FZVPYOSJDDGZQW-JTQLQIEISA-N 0 0 285.321 2.628 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCCOCC1 ZINC000849767393 633710834 /nfs/dbraw/zinc/71/08/34/633710834.db2.gz FSGJOSYUXVPAHR-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])C1(CCF)CC1 ZINC000849777849 633714259 /nfs/dbraw/zinc/71/42/59/633714259.db2.gz FDLCXGUQVYVXRN-UHFFFAOYSA-N 0 0 285.246 2.917 20 5 CFBDRN CC(=O)O[C@@H](C)SCc1ccc([N+](=O)[O-])cc1 ZINC000849888532 633753009 /nfs/dbraw/zinc/75/30/09/633753009.db2.gz JVAQZLHRONIYOJ-SECBINFHSA-N 0 0 255.295 2.737 20 5 CFBDRN O=[N+]([O-])c1cn(CC2CC(F)(F)C2)c(Br)n1 ZINC000849992905 633786100 /nfs/dbraw/zinc/78/61/00/633786100.db2.gz LXBZTJPCVBLLLP-UHFFFAOYSA-N 0 0 296.071 2.599 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000850105369 633842124 /nfs/dbraw/zinc/84/21/24/633842124.db2.gz LBDWUQYKHGLPJE-NXEZZACHSA-N 0 0 299.348 2.903 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850262165 633915875 /nfs/dbraw/zinc/91/58/75/633915875.db2.gz QOUMBEZQXQUMGG-URLYPYJESA-N 0 0 291.303 2.693 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])[C@H]1C[C@H]2C[C@H]2C1 ZINC000850264095 633916738 /nfs/dbraw/zinc/91/67/38/633916738.db2.gz UPCRXQNJDQEONN-GDNZZTSVSA-N 0 0 291.303 2.563 20 5 CFBDRN CCOC1(C(=O)OCc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000850288947 633927284 /nfs/dbraw/zinc/92/72/84/633927284.db2.gz XQDROHDGJKHLIK-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1C[C@H](C)CO1)c1ccccc1[N+](=O)[O-] ZINC000885575478 633931039 /nfs/dbraw/zinc/93/10/39/633931039.db2.gz BHDQRRFYBYGOGS-OPQQBVKSSA-N 0 0 279.292 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@H]2C[C@@H](O)c3ccccc32)cs1 ZINC000850370701 633959725 /nfs/dbraw/zinc/95/97/25/633959725.db2.gz FORBDOCXDFGABI-QWHCGFSZSA-N 0 0 290.344 2.924 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Oc2cc([N+](=O)[O-])ccc2C)C1 ZINC000850770412 634084762 /nfs/dbraw/zinc/08/47/62/634084762.db2.gz QGFQBSMMHPKCBE-ZYHUDNBSSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@@H](C(=O)Oc1cccc([N+](=O)[O-])c1C)C1CCC1 ZINC000850770532 634085342 /nfs/dbraw/zinc/08/53/42/634085342.db2.gz VBDPRFCLZVKOJN-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(CCCF)O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000850890666 634124987 /nfs/dbraw/zinc/12/49/87/634124987.db2.gz LWYXHWFWBNGIJC-WDEREUQCSA-N 0 0 299.302 2.568 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccc([N+](=O)[O-])c(C)c1)C1CCC1 ZINC000850934103 634143921 /nfs/dbraw/zinc/14/39/21/634143921.db2.gz BUKRKDXOBFJEQK-CQSZACIVSA-N 0 0 293.319 2.762 20 5 CFBDRN CCOC1(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000850934837 634144194 /nfs/dbraw/zinc/14/41/94/634144194.db2.gz MWDQFDUSBMZWPT-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN C[C@H](COC(=O)C1CC2(C1)CCCCC2)[N+](=O)[O-] ZINC000851036139 634189334 /nfs/dbraw/zinc/18/93/34/634189334.db2.gz FXLWEGCTYVTLER-SNVBAGLBSA-N 0 0 255.314 2.555 20 5 CFBDRN CC(C)(COC(=O)c1ccccc1OC(F)F)[N+](=O)[O-] ZINC000851123988 634234758 /nfs/dbraw/zinc/23/47/58/634234758.db2.gz LCGPVIUJICVOPP-UHFFFAOYSA-N 0 0 289.234 2.500 20 5 CFBDRN CC(C)(COC(=O)CCn1ccc2ccccc21)[N+](=O)[O-] ZINC000851123848 634234774 /nfs/dbraw/zinc/23/47/74/634234774.db2.gz XMCZKWJFKJKBFX-UHFFFAOYSA-N 0 0 290.319 2.630 20 5 CFBDRN CC(C)(COC(=O)c1cccc(Cl)c1)[N+](=O)[O-] ZINC000851123931 634234856 /nfs/dbraw/zinc/23/48/56/634234856.db2.gz YHLNTNZQNQGBFA-UHFFFAOYSA-N 0 0 257.673 2.552 20 5 CFBDRN CC(C)(COC(=O)C[C@H]1CCOc2ccccc21)[N+](=O)[O-] ZINC000851125504 634235552 /nfs/dbraw/zinc/23/55/52/634235552.db2.gz DLDWATODALQROZ-LLVKDONJSA-N 0 0 293.319 2.541 20 5 CFBDRN COc1cccc2cc(C(=O)OCC(C)(C)[N+](=O)[O-])oc21 ZINC000851124614 634235570 /nfs/dbraw/zinc/23/55/70/634235570.db2.gz DVTAOOVBQVVJNI-UHFFFAOYSA-N 0 0 293.275 2.654 20 5 CFBDRN Cc1[nH]c2c(cccc2C(=O)OCC(C)(C)[N+](=O)[O-])c1C ZINC000851125001 634235578 /nfs/dbraw/zinc/23/55/78/634235578.db2.gz SWZAMMOTHOEJAQ-UHFFFAOYSA-N 0 0 290.319 2.997 20 5 CFBDRN COc1ccccc1[C@@H](C)CC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125464 634235643 /nfs/dbraw/zinc/23/56/43/634235643.db2.gz CUPGWIHVVXTZDV-NSHDSACASA-N 0 0 295.335 2.787 20 5 CFBDRN C[C@H](Cc1ccc(F)cc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125786 634235834 /nfs/dbraw/zinc/23/58/34/634235834.db2.gz JESZXQCZOMVIIN-SNVBAGLBSA-N 0 0 283.299 2.603 20 5 CFBDRN C[C@@H](CC(=O)OCC(C)(C)[N+](=O)[O-])c1cccc(F)c1 ZINC000851127417 634237304 /nfs/dbraw/zinc/23/73/04/634237304.db2.gz WZDBGBSOZXIASH-JTQLQIEISA-N 0 0 283.299 2.918 20 5 CFBDRN Cc1scc(C(=O)OCC(C)(C)[N+](=O)[O-])c1C ZINC000851127510 634237312 /nfs/dbraw/zinc/23/73/12/634237312.db2.gz NZXNFIGAAGWHSV-UHFFFAOYSA-N 0 0 257.311 2.577 20 5 CFBDRN CC[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000851127943 634237585 /nfs/dbraw/zinc/23/75/85/634237585.db2.gz BLLLRQVCPUSHJN-GFCCVEGCSA-N 0 0 283.299 2.918 20 5 CFBDRN CCOc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])ccc1C ZINC000851127416 634237789 /nfs/dbraw/zinc/23/77/89/634237789.db2.gz WXZICTDQINWNRE-UHFFFAOYSA-N 0 0 281.308 2.606 20 5 CFBDRN CC(C)(COC(=O)c1cc2cccc(F)c2o1)[N+](=O)[O-] ZINC000851128176 634237804 /nfs/dbraw/zinc/23/78/04/634237804.db2.gz LAMVPUXYIPWMFS-UHFFFAOYSA-N 0 0 281.239 2.784 20 5 CFBDRN CC(C)(COC(=O)c1ccc(F)c2ccncc12)[N+](=O)[O-] ZINC000851129306 634238545 /nfs/dbraw/zinc/23/85/45/634238545.db2.gz HNKZMZROFRRYOB-UHFFFAOYSA-N 0 0 292.266 2.586 20 5 CFBDRN CCC[C@H]1CCC[C@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851130311 634239315 /nfs/dbraw/zinc/23/93/15/634239315.db2.gz ZRUKAVDZLNUUOM-WDEREUQCSA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)(COC(=O)c1ccn(C2CCCCC2)n1)[N+](=O)[O-] ZINC000851130603 634239562 /nfs/dbraw/zinc/23/95/62/634239562.db2.gz YSXCSVBXARYWKO-UHFFFAOYSA-N 0 0 295.339 2.600 20 5 CFBDRN C[C@@]1(COC(=O)Cc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000851259138 634295766 /nfs/dbraw/zinc/29/57/66/634295766.db2.gz YZZZTXGFUALDDJ-LBPRGKRZSA-N 0 0 285.246 2.726 20 5 CFBDRN C[C@@]1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1(F)F ZINC000851261745 634297945 /nfs/dbraw/zinc/29/79/45/634297945.db2.gz JCJWRWUDOHNZJQ-NSHDSACASA-N 0 0 289.209 2.936 20 5 CFBDRN O=C(OC[C@@H]1CCC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000851312218 634318822 /nfs/dbraw/zinc/31/88/22/634318822.db2.gz PUFVLVDMAGAWJF-VIFPVBQESA-N 0 0 271.219 2.797 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCC[C@@H]1CC1(F)F ZINC000851383105 634350418 /nfs/dbraw/zinc/35/04/18/634350418.db2.gz IYJYTCAYPMKTQE-SNVBAGLBSA-N 0 0 285.246 2.726 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@H]1C[C@H]2C[C@H]2C1 ZINC000851412127 634356643 /nfs/dbraw/zinc/35/66/43/634356643.db2.gz DFMVFJYRXATHAV-XYYAHUGASA-N 0 0 275.304 2.727 20 5 CFBDRN O=C(OC[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000851413557 634357801 /nfs/dbraw/zinc/35/78/01/634357801.db2.gz MMUIDENKSQKQGS-RTCCRHLQSA-N 0 0 261.277 2.798 20 5 CFBDRN CCC[C@@H]1CCCC[C@H]1OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000851453567 634369865 /nfs/dbraw/zinc/36/98/65/634369865.db2.gz KUKSCCDLIJNTKJ-VXGBXAGGSA-N 0 0 295.339 2.693 20 5 CFBDRN Cc1noc(COc2cc(C)ccc2[N+](=O)[O-])c1[N+](=O)[O-] ZINC000853015524 634876981 /nfs/dbraw/zinc/87/69/81/634876981.db2.gz PRRCNQCVPBMABZ-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN COc1ccc(NCc2onc(C)c2[N+](=O)[O-])cc1F ZINC000853057926 634892654 /nfs/dbraw/zinc/89/26/54/634892654.db2.gz DNNXCJMLHRZJQG-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN COC(=O)N1CCC(Nc2cc(C)sc2[N+](=O)[O-])CC1 ZINC000853230561 634946336 /nfs/dbraw/zinc/94/63/36/634946336.db2.gz KCCFYKCEMBJJHI-UHFFFAOYSA-N 0 0 299.352 2.607 20 5 CFBDRN Cc1cc(N2CCC[C@@H](C3OCCO3)C2)c([N+](=O)[O-])s1 ZINC000853254196 634953075 /nfs/dbraw/zinc/95/30/75/634953075.db2.gz XNFMBPHFXWJPEU-SNVBAGLBSA-N 0 0 298.364 2.554 20 5 CFBDRN CC(C)(C)SCCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000853260502 634954215 /nfs/dbraw/zinc/95/42/15/634954215.db2.gz WPQZZRWULBGCSV-UHFFFAOYSA-N 0 0 297.380 2.637 20 5 CFBDRN O=[N+]([O-])c1ccc(CCCCNc2cc(F)ncn2)cc1 ZINC000853361694 634983674 /nfs/dbraw/zinc/98/36/74/634983674.db2.gz ARZKDOCLOMWMAS-UHFFFAOYSA-N 0 0 290.298 2.959 20 5 CFBDRN O=c1[nH]ccc(N2CCCC3(CCCC3)C2)c1[N+](=O)[O-] ZINC000853513654 635023565 /nfs/dbraw/zinc/02/35/65/635023565.db2.gz GZFPMXLVTKDGNS-UHFFFAOYSA-N 0 0 277.324 2.856 20 5 CFBDRN COc1cc(N(C)[C@H]2CCCOC2)c([N+](=O)[O-])cc1C ZINC000853613905 635049705 /nfs/dbraw/zinc/04/97/05/635049705.db2.gz ROWHRJUSLZGFGF-NSHDSACASA-N 0 0 280.324 2.527 20 5 CFBDRN COc1cc(N2CC3(CC3)C2)c(Cl)cc1[N+](=O)[O-] ZINC000853651606 635061319 /nfs/dbraw/zinc/06/13/19/635061319.db2.gz HVIBTKCKSMSLFA-UHFFFAOYSA-N 0 0 268.700 2.857 20 5 CFBDRN COC(OC)[C@@H](C)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000853680565 635072450 /nfs/dbraw/zinc/07/24/50/635072450.db2.gz GGPAMSXICPXFIV-SECBINFHSA-N 0 0 291.307 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3CCCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000853718822 635084404 /nfs/dbraw/zinc/08/44/04/635084404.db2.gz IPXRBMDTKLUFFB-ONGXEEELSA-N 0 0 277.280 2.882 20 5 CFBDRN CC[C@@H](C)CCNc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000853790544 635107602 /nfs/dbraw/zinc/10/76/02/635107602.db2.gz SCQHGVDDXSQYDR-SECBINFHSA-N 0 0 293.323 2.774 20 5 CFBDRN CC(C)Oc1nc(N[C@@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000853797921 635109660 /nfs/dbraw/zinc/10/96/60/635109660.db2.gz IIQLROZERLHIEA-SNVBAGLBSA-N 0 0 263.297 2.908 20 5 CFBDRN Cc1ccnc(N[C@@H]2C[C@@H](O)c3ccccc32)c1[N+](=O)[O-] ZINC000853837978 635123780 /nfs/dbraw/zinc/12/37/80/635123780.db2.gz IIXVIZRYNSMPHW-CHWSQXEVSA-N 0 0 285.303 2.889 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)CC2=CCCOC2)c1 ZINC000853837596 635124277 /nfs/dbraw/zinc/12/42/77/635124277.db2.gz YOAVXWTWKLFTJQ-UHFFFAOYSA-N 0 0 296.298 2.525 20 5 CFBDRN CCC(=O)c1ccc(N2CCC[C@@H]2[C@H](C)O)c([N+](=O)[O-])c1 ZINC000853862435 635137955 /nfs/dbraw/zinc/13/79/55/635137955.db2.gz QXQCMIWGNUGVGI-CMPLNLGQSA-N 0 0 292.335 2.537 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H](CO)CC1CC1 ZINC000853868326 635140691 /nfs/dbraw/zinc/14/06/91/635140691.db2.gz HUUOHGSFTZUPDY-GFCCVEGCSA-N 0 0 292.335 2.618 20 5 CFBDRN CC(=O)C1CCN(Cc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000111690694 635147053 /nfs/dbraw/zinc/14/70/53/635147053.db2.gz HXAOZZSLHWFVDF-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN Cc1noc(COC(=O)C2CCCCCC2)c1[N+](=O)[O-] ZINC000853895882 635149582 /nfs/dbraw/zinc/14/95/82/635149582.db2.gz RZDGHDLQZIAISS-UHFFFAOYSA-N 0 0 282.296 2.905 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2CC23CCCC3)c1[N+](=O)[O-] ZINC000853902255 635152844 /nfs/dbraw/zinc/15/28/44/635152844.db2.gz GOZKFMNWSGERKN-VIFPVBQESA-N 0 0 280.280 2.515 20 5 CFBDRN CO[C@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CCC1 ZINC000854061014 635202227 /nfs/dbraw/zinc/20/22/27/635202227.db2.gz SRCQWMMOVZBRJQ-NSHDSACASA-N 0 0 283.328 2.545 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1cccc([N+](=O)[O-])c1C ZINC000111972662 635239645 /nfs/dbraw/zinc/23/96/45/635239645.db2.gz ZGZLRZOWJWUBBI-CHWSQXEVSA-N 0 0 279.292 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(CO)c(-c2ccc3c(c2)CC[C@@H]3O)c1 ZINC000854187222 635248026 /nfs/dbraw/zinc/24/80/26/635248026.db2.gz IZRRPBZZKUSWQF-INIZCTEOSA-N 0 0 285.299 2.734 20 5 CFBDRN Cc1ccc(-c2cnc3c(c2)CC[C@@H]3O)cc1[N+](=O)[O-] ZINC000854198492 635251099 /nfs/dbraw/zinc/25/10/99/635251099.db2.gz KSNIXFHHSOIWNK-AWEZNQCLSA-N 0 0 270.288 2.945 20 5 CFBDRN C[C@H](CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000854496603 635317695 /nfs/dbraw/zinc/31/76/95/635317695.db2.gz NZWACORPZWDWSC-MRVPVSSYSA-N 0 0 263.297 2.552 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCCC1 ZINC000854497101 635317908 /nfs/dbraw/zinc/31/79/08/635317908.db2.gz HOYAASKWMJUDNG-VIFPVBQESA-N 0 0 277.324 2.942 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000854496065 635317933 /nfs/dbraw/zinc/31/79/33/635317933.db2.gz CKYHBNXQZGVPJE-AEJSXWLSSA-N 0 0 275.308 2.552 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854498116 635318342 /nfs/dbraw/zinc/31/83/42/635318342.db2.gz KWPVFCDCHUKCQW-VIFPVBQESA-N 0 0 263.297 2.552 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H](O)C(C)(C)C ZINC000854511378 635321961 /nfs/dbraw/zinc/32/19/61/635321961.db2.gz SNHYUPFWQNPCQG-LBPRGKRZSA-N 0 0 280.324 2.557 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000854898133 635417562 /nfs/dbraw/zinc/41/75/62/635417562.db2.gz QLIUZYVBOYZDNR-QMMMGPOBSA-N 0 0 295.314 2.719 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000855387373 635527763 /nfs/dbraw/zinc/52/77/63/635527763.db2.gz FBAMNXLQWFDRTD-SECBINFHSA-N 0 0 295.295 2.669 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000855433301 635544918 /nfs/dbraw/zinc/54/49/18/635544918.db2.gz QTIJMAPJWFYPSE-LLVKDONJSA-N 0 0 274.320 2.707 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)NCCCC1CCC1 ZINC000855801219 635654827 /nfs/dbraw/zinc/65/48/27/635654827.db2.gz HGUIIEQVZPOWPE-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN CC[C@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000855855806 635671383 /nfs/dbraw/zinc/67/13/83/635671383.db2.gz GSVPDLOYRRZSMD-MFNCVGGLSA-N 0 0 274.320 2.913 20 5 CFBDRN C/C(=C/C(=O)NOCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000856350523 635793426 /nfs/dbraw/zinc/79/34/26/635793426.db2.gz YHCTYVUOYZPABB-LUAWRHEFSA-N 0 0 290.319 2.846 20 5 CFBDRN CCC[C@H](CC)OC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000157343608 650215799 /nfs/dbraw/zinc/21/57/99/650215799.db2.gz IVYLGGFTUIQERS-NSHDSACASA-N 0 0 283.328 2.612 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1N1CCC1 ZINC000856722332 635840395 /nfs/dbraw/zinc/84/03/95/635840395.db2.gz UPRTUWRCGVUOJD-UHFFFAOYSA-N 0 0 257.087 2.567 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC[C@H]1CC1(Cl)Cl ZINC000856784810 635859156 /nfs/dbraw/zinc/85/91/56/635859156.db2.gz KEADBOMEMHBMQC-ZETCQYMHSA-N 0 0 276.123 2.986 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000857571618 635985610 /nfs/dbraw/zinc/98/56/10/635985610.db2.gz JWNZNYWDMBMLML-BRPSZJMVSA-N 0 0 264.256 2.719 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)C1CC2(CC2)C1 ZINC000857600458 635991860 /nfs/dbraw/zinc/99/18/60/635991860.db2.gz PZYRTEIBRSDWCV-UHFFFAOYSA-N 0 0 261.277 2.999 20 5 CFBDRN O=C(CC1CCC1)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857636738 635999523 /nfs/dbraw/zinc/99/95/23/635999523.db2.gz PEEVCJFJDONWGF-UHFFFAOYSA-N 0 0 280.236 2.599 20 5 CFBDRN C/C=C(\CC)C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857637186 635999561 /nfs/dbraw/zinc/99/95/61/635999561.db2.gz XOAXEXHNUGGLSR-FPYGCLRLSA-N 0 0 280.236 2.765 20 5 CFBDRN CC1(CC(=O)Oc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000857636370 635999936 /nfs/dbraw/zinc/99/99/36/635999936.db2.gz JVSSIDIJLHMISF-UHFFFAOYSA-N 0 0 280.236 2.599 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCC[C@H]1CC1(F)F ZINC000857685562 636008470 /nfs/dbraw/zinc/00/84/70/636008470.db2.gz UWEJOINWPABGFO-UUSYTGMJSA-N 0 0 296.273 2.770 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC(F)(F)F)c1 ZINC000858363057 636208178 /nfs/dbraw/zinc/20/81/78/636208178.db2.gz FCTOTXJGOJTBIC-UHFFFAOYSA-N 0 0 268.166 2.717 20 5 CFBDRN C[C@@H]1COCCN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000858456440 636249697 /nfs/dbraw/zinc/24/96/97/636249697.db2.gz HXGOMMJVGPWRGZ-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1cccc2c1C[C@H](Nc1ccc(N)cc1[N+](=O)[O-])CO2 ZINC000858670892 636321182 /nfs/dbraw/zinc/32/11/82/636321182.db2.gz MDWVPUKZOXNABM-LBPRGKRZSA-N 0 0 299.330 2.901 20 5 CFBDRN Cc1cccc2c1C[C@@H](Nc1cc(N)ccc1[N+](=O)[O-])CO2 ZINC000858670877 636321683 /nfs/dbraw/zinc/32/16/83/636321683.db2.gz LSKNSYCXHLUPOY-GFCCVEGCSA-N 0 0 299.330 2.901 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000858731803 636334164 /nfs/dbraw/zinc/33/41/64/636334164.db2.gz DESVACBPLUJYGL-SECBINFHSA-N 0 0 282.321 2.720 20 5 CFBDRN Cc1cc(NC[C@H]2C[C@@H](O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000858803473 636347803 /nfs/dbraw/zinc/34/78/03/636347803.db2.gz WZNKYAINOYCRHS-DTORHVGOSA-N 0 0 270.716 2.739 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000858808501 636348520 /nfs/dbraw/zinc/34/85/20/636348520.db2.gz HPHMKWCVLZKOFU-XHDPSFHLSA-N 0 0 290.319 2.777 20 5 CFBDRN CCCOCCOC(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000858880904 636368626 /nfs/dbraw/zinc/36/86/26/636368626.db2.gz FDVAEIBBMXZXSG-UHFFFAOYSA-N 0 0 299.279 2.545 20 5 CFBDRN CC(C)(F)C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000159225517 650252591 /nfs/dbraw/zinc/25/25/91/650252591.db2.gz HYLUMVXESJDKPA-UHFFFAOYSA-N 0 0 277.255 2.830 20 5 CFBDRN CCCOCCOC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000859071832 636440986 /nfs/dbraw/zinc/44/09/86/636440986.db2.gz BSJBXOUOWCPJGD-UHFFFAOYSA-N 0 0 287.243 2.676 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000859446270 636565844 /nfs/dbraw/zinc/56/58/44/636565844.db2.gz AWFXSNJLZWWSFC-UWVGGRQHSA-N 0 0 281.333 2.886 20 5 CFBDRN CCO[C@@H](COC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000859509146 636584736 /nfs/dbraw/zinc/58/47/36/636584736.db2.gz GUSLECDEJBDYTH-JTQLQIEISA-N 0 0 285.321 2.628 20 5 CFBDRN CCO[C@H](COC(=O)c1ccc([N+](=O)[O-])c(F)c1)C1CC1 ZINC000859542054 636595778 /nfs/dbraw/zinc/59/57/78/636595778.db2.gz WGIKYBZOSNXENL-CYBMUJFWSA-N 0 0 297.282 2.706 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000859614660 636623462 /nfs/dbraw/zinc/62/34/62/636623462.db2.gz IUINSEPQMMTICH-DTWKUNHWSA-N 0 0 274.276 2.889 20 5 CFBDRN Cc1nc(CC(=O)Oc2cc([N+](=O)[O-])ccc2C)cs1 ZINC000048556663 650271119 /nfs/dbraw/zinc/27/11/19/650271119.db2.gz MNEYDMCPYGLFLO-UHFFFAOYSA-N 0 0 292.316 2.816 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OC[C@H]1C[C@@H]1C ZINC000859673520 636647915 /nfs/dbraw/zinc/64/79/15/636647915.db2.gz ULOHHZKHYXEXPQ-VHSXEESVSA-N 0 0 279.292 2.806 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000859704554 636658754 /nfs/dbraw/zinc/65/87/54/636658754.db2.gz RNVNVPHBXBCDOY-BDAKNGLRSA-N 0 0 296.298 2.613 20 5 CFBDRN Cc1c(OS(=O)(=O)c2cccs2)cccc1[N+](=O)[O-] ZINC000048672683 650275674 /nfs/dbraw/zinc/27/56/74/650275674.db2.gz AXBPBEZEGVBKML-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN COCCCCCOC(=O)c1cccc([N+](=O)[O-])c1C ZINC000116989897 636714003 /nfs/dbraw/zinc/71/40/03/636714003.db2.gz CDYQGYHHXOBTAW-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN Cc1ccc(OC(=O)CC2CCOCC2)c([N+](=O)[O-])c1 ZINC000117125061 636761301 /nfs/dbraw/zinc/76/13/01/636761301.db2.gz WTZLWTRMBMZSST-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN C[C@@H]1C[C@H](CO)CCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000860086791 636782259 /nfs/dbraw/zinc/78/22/59/636782259.db2.gz ASRTZYWESPZYLX-ZYHUDNBSSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(OCCCOc1ccc([N+](=O)[O-])cc1)C12CC(C1)C2 ZINC000860270391 636843830 /nfs/dbraw/zinc/84/38/30/636843830.db2.gz AHQYFMVZSJLAQA-UHFFFAOYSA-N 0 0 291.303 2.707 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C12CC(C1)C2 ZINC000860269786 636843949 /nfs/dbraw/zinc/84/39/49/636843949.db2.gz MXKAOGXHUOKVQB-UHFFFAOYSA-N 0 0 265.240 2.577 20 5 CFBDRN CCO[C@H](C(=O)Oc1ccc(C)cc1[N+](=O)[O-])C1CC1 ZINC000860476153 636893067 /nfs/dbraw/zinc/89/30/67/636893067.db2.gz CUYGDXXGSLMOHQ-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN CCO[C@H](C(=O)Oc1ccc(CC)cc1[N+](=O)[O-])C1CC1 ZINC000860551939 636909086 /nfs/dbraw/zinc/90/90/86/636909086.db2.gz IUHQBTAKSDBWCQ-AWEZNQCLSA-N 0 0 293.319 2.878 20 5 CFBDRN C[C@H](COC(=O)[C@H]1CC[C@@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000860777411 636969951 /nfs/dbraw/zinc/96/99/51/636969951.db2.gz PHNYFJQVYXBRHT-WQAKAFBOSA-N 0 0 281.283 2.528 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccsc1C(F)(F)F ZINC000860789151 636972711 /nfs/dbraw/zinc/97/27/11/636972711.db2.gz ZHRMLOGAEILXEE-YFKPBYRVSA-N 0 0 283.227 2.589 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccsc1C(F)(F)F ZINC000860789150 636972851 /nfs/dbraw/zinc/97/28/51/636972851.db2.gz ZHRMLOGAEILXEE-RXMQYKEDSA-N 0 0 283.227 2.589 20 5 CFBDRN CCOc1cccc(/C=C\C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000860866248 636995228 /nfs/dbraw/zinc/99/52/28/636995228.db2.gz AOTWVVLDLSLXCU-HJWRWDBZSA-N 0 0 293.319 2.697 20 5 CFBDRN Cc1c2cccc(F)c2oc1C(=O)OCCC[N+](=O)[O-] ZINC000860912635 637015069 /nfs/dbraw/zinc/01/50/69/637015069.db2.gz VBLYFGLLKUCBNF-UHFFFAOYSA-N 0 0 281.239 2.704 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cccc(Cl)c1Cl ZINC000860913081 637015100 /nfs/dbraw/zinc/01/51/00/637015100.db2.gz OCMGURXHIUSMRP-UHFFFAOYSA-N 0 0 278.091 2.817 20 5 CFBDRN O=C(Cc1csc2ccccc12)OCCC[N+](=O)[O-] ZINC000860913499 637015220 /nfs/dbraw/zinc/01/52/20/637015220.db2.gz CPUHPMRGWGRLHP-UHFFFAOYSA-N 0 0 279.317 2.654 20 5 CFBDRN CC(C)COc1cccc(C(=O)OCCC[N+](=O)[O-])c1 ZINC000860912535 637015279 /nfs/dbraw/zinc/01/52/79/637015279.db2.gz RBRHOEJWRBFOAX-UHFFFAOYSA-N 0 0 281.308 2.545 20 5 CFBDRN Cc1ccc(-c2nc(C(=O)OCCC[N+](=O)[O-])cs2)o1 ZINC000860915871 637016026 /nfs/dbraw/zinc/01/60/26/637016026.db2.gz KNMYAAAJZWPHQY-UHFFFAOYSA-N 0 0 296.304 2.535 20 5 CFBDRN Cc1ccsc1CCCC(=O)OCCC[N+](=O)[O-] ZINC000860917998 637017003 /nfs/dbraw/zinc/01/70/03/637017003.db2.gz XYGQBQHYLJGWRW-UHFFFAOYSA-N 0 0 271.338 2.589 20 5 CFBDRN CCOc1cc(Cl)ccc1C(=O)OCCC[N+](=O)[O-] ZINC000860919988 637017190 /nfs/dbraw/zinc/01/71/90/637017190.db2.gz PCMOSYNQXFNNCY-UHFFFAOYSA-N 0 0 287.699 2.562 20 5 CFBDRN CCc1ccccc1[C@@H]1C[C@H]1C(=O)OCCC[N+](=O)[O-] ZINC000860919426 637017309 /nfs/dbraw/zinc/01/73/09/637017309.db2.gz YKCSDELWOWGHGC-UONOGXRCSA-N 0 0 277.320 2.563 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc(C2CC2)[nH]c1C1CC1 ZINC000860923130 637017954 /nfs/dbraw/zinc/01/79/54/637017954.db2.gz OSDNQKPAIPQRKZ-UHFFFAOYSA-N 0 0 278.308 2.593 20 5 CFBDRN CCSCc1ccccc1C(=O)OCCC[N+](=O)[O-] ZINC000860921630 637018261 /nfs/dbraw/zinc/01/82/61/637018261.db2.gz YOMMLYXVPMABBP-UHFFFAOYSA-N 0 0 283.349 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@]1(C)CCCOC1 ZINC000861040047 637053009 /nfs/dbraw/zinc/05/30/09/637053009.db2.gz JAAAHMWBVIDPGU-OAHLLOKOSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1conc1COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000861196907 637112380 /nfs/dbraw/zinc/11/23/80/637112380.db2.gz POQCGFRMXJUGEC-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN Cc1cc(C(=O)OCC(C)(F)F)ccc1[N+](=O)[O-] ZINC000861229116 637125329 /nfs/dbraw/zinc/12/53/29/637125329.db2.gz DZXYJMHIRRLLJW-UHFFFAOYSA-N 0 0 259.208 2.715 20 5 CFBDRN CCOCCCOC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000118152517 637142043 /nfs/dbraw/zinc/14/20/43/637142043.db2.gz JGGHURNLVARNLY-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN Cc1c[nH]c(CN(C)Cc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000862216778 637288161 /nfs/dbraw/zinc/28/81/61/637288161.db2.gz LRXNQZYXAPWGMK-UHFFFAOYSA-N 0 0 294.742 2.912 20 5 CFBDRN CCC[C@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])OCC ZINC000862418129 637371153 /nfs/dbraw/zinc/37/11/53/637371153.db2.gz KYBYNTGPJDLDLN-LLVKDONJSA-N 0 0 298.314 2.669 20 5 CFBDRN O=C(CSC(F)(F)F)OCc1ccc([N+](=O)[O-])cc1 ZINC000075489791 650333949 /nfs/dbraw/zinc/33/39/49/650333949.db2.gz BDBWHIHEURRQMA-UHFFFAOYSA-N 0 0 295.238 2.891 20 5 CFBDRN Nc1ccc(C(=O)NCC[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000862947445 637524119 /nfs/dbraw/zinc/52/41/19/637524119.db2.gz YVWYOGPBVAPOIY-NSHDSACASA-N 0 0 289.335 2.653 20 5 CFBDRN O=Cc1ccc(OC[C@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC000863246018 637603314 /nfs/dbraw/zinc/60/33/14/637603314.db2.gz QXUHBMJVBYBPSV-CYZMBNFOSA-N 0 0 273.288 2.998 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1cc(Cl)n(C)n1 ZINC000863291058 637618484 /nfs/dbraw/zinc/61/84/84/637618484.db2.gz HBOVAZUCOBOZSG-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN COC(=O)c1cc(OCSC(C)C)ccc1[N+](=O)[O-] ZINC000863306040 637622069 /nfs/dbraw/zinc/62/20/69/637622069.db2.gz YOTJOZSGIVZGBZ-UHFFFAOYSA-N 0 0 285.321 2.859 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1cc(Cl)n(C)n1 ZINC000863315002 637624298 /nfs/dbraw/zinc/62/42/98/637624298.db2.gz HJALGSBVSFBFMU-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=[N+]([O-])c1cn(CC2(Br)CC2)nc1C1CC1 ZINC000863323772 637626300 /nfs/dbraw/zinc/62/63/00/637626300.db2.gz SXEBQUXKSSSQIC-UHFFFAOYSA-N 0 0 286.129 2.596 20 5 CFBDRN CCn1ncc(COc2cc(C)c([N+](=O)[O-])cc2Cl)n1 ZINC000863332771 637628663 /nfs/dbraw/zinc/62/86/63/637628663.db2.gz JMGXNGWYZKYAJD-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN CC1(C)CC(CNc2cc([N+](=O)[O-])ccc2NCCO)C1 ZINC000863343895 637632694 /nfs/dbraw/zinc/63/26/94/637632694.db2.gz SEUOXWVKZMBTCQ-UHFFFAOYSA-N 0 0 293.367 2.847 20 5 CFBDRN CC(C)(C)OC(=O)NOCc1ccc([N+](=O)[O-])cc1F ZINC000863463016 637667193 /nfs/dbraw/zinc/66/71/93/637667193.db2.gz BRTADZZYZFZKAG-UHFFFAOYSA-N 0 0 286.259 2.690 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1ccc2nonc2c1 ZINC000864046906 637840636 /nfs/dbraw/zinc/84/06/36/637840636.db2.gz GOMNIHILWWQTHH-UHFFFAOYSA-N 0 0 289.222 2.849 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864447677 637983525 /nfs/dbraw/zinc/98/35/25/637983525.db2.gz OTLDKIOPGNJUSH-GXFFZTMASA-N 0 0 294.326 2.971 20 5 CFBDRN CC/C=C(\F)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000864823562 638101812 /nfs/dbraw/zinc/10/18/12/638101812.db2.gz YQUZCHVIIIHVPV-WDZFZDKYSA-N 0 0 266.272 2.817 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000864850412 638107792 /nfs/dbraw/zinc/10/77/92/638107792.db2.gz FSINCYXYXOFQNT-LLVKDONJSA-N 0 0 294.326 2.925 20 5 CFBDRN Cc1nn([C@H](C)C(=O)C(C)(C)C)c(C)c1[N+](=O)[O-] ZINC000865332513 638270002 /nfs/dbraw/zinc/27/00/02/638270002.db2.gz LEQZXCJWBLYTMR-SECBINFHSA-N 0 0 253.302 2.584 20 5 CFBDRN Cc1nn([C@@H](C)C(=O)C(C)(C)C)c(C)c1[N+](=O)[O-] ZINC000865332515 638270325 /nfs/dbraw/zinc/27/03/25/638270325.db2.gz LEQZXCJWBLYTMR-VIFPVBQESA-N 0 0 253.302 2.584 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1CC1CC2(CCC2)C1 ZINC000865339267 638271864 /nfs/dbraw/zinc/27/18/64/638271864.db2.gz IYEVNCURGJLEQM-UHFFFAOYSA-N 0 0 299.330 2.885 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)OCc2ccc([N+](=O)[O-])cc2)O1 ZINC000076857290 650407806 /nfs/dbraw/zinc/40/78/06/650407806.db2.gz CPLSZGYOELCVGP-RISCZKNCSA-N 0 0 293.319 2.986 20 5 CFBDRN C[C@@H](Cn1cccc([N+](=O)[O-])c1=O)CC(C)(C)C ZINC000865354247 638280098 /nfs/dbraw/zinc/28/00/98/638280098.db2.gz POIYGDDWOYMPTA-SNVBAGLBSA-N 0 0 252.314 2.829 20 5 CFBDRN C[C@H](Cn1nc([N+](=O)[O-])nc1Br)C(C)(C)C ZINC000865391943 638302379 /nfs/dbraw/zinc/30/23/79/638302379.db2.gz JAXZSMKACRKJQU-ZCFIWIBFSA-N 0 0 291.149 2.631 20 5 CFBDRN O=[N+]([O-])c1nc(Br)n(CCC2CCCC2)n1 ZINC000865388956 638302713 /nfs/dbraw/zinc/30/27/13/638302713.db2.gz GODJIUGLOLURPP-UHFFFAOYSA-N 0 0 289.133 2.529 20 5 CFBDRN CCCCCNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865397975 638308524 /nfs/dbraw/zinc/30/85/24/638308524.db2.gz VLHUGEQVSUFVDJ-UHFFFAOYSA-N 0 0 256.277 2.828 20 5 CFBDRN CC(C)CCN(C)c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865455127 638346125 /nfs/dbraw/zinc/34/61/25/638346125.db2.gz VLFVGYFRRPNHNP-UHFFFAOYSA-N 0 0 270.304 2.709 20 5 CFBDRN CN(CC1CCCC1)c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865480504 638368331 /nfs/dbraw/zinc/36/83/31/638368331.db2.gz OCXQKHSQMTUAES-UHFFFAOYSA-N 0 0 282.315 2.853 20 5 CFBDRN C[C@H](Cn1cncn1)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865481630 638369010 /nfs/dbraw/zinc/36/90/10/638369010.db2.gz RUJQIGASVYKKLM-MRVPVSSYSA-N 0 0 297.265 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(-n2cnc(CCCO)c2)c(C(F)F)c1 ZINC000865524784 638395419 /nfs/dbraw/zinc/39/54/19/638395419.db2.gz PXGDIOWYBHANLK-UHFFFAOYSA-N 0 0 297.261 2.643 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1N1CC[C@H](CO)C1 ZINC000865550954 638416201 /nfs/dbraw/zinc/41/62/01/638416201.db2.gz PLWAHXFMHUHKON-ZETCQYMHSA-N 0 0 291.134 2.720 20 5 CFBDRN Cc1nonc1CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865563005 638425100 /nfs/dbraw/zinc/42/51/00/638425100.db2.gz YLHAMBJJHYTUJZ-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@H]2CCCSC2)cc1F ZINC000865584117 638438841 /nfs/dbraw/zinc/43/88/41/638438841.db2.gz JKVCZELSDNOWMX-VIFPVBQESA-N 0 0 286.328 2.534 20 5 CFBDRN C[C@@H]1CC(Nc2cc(F)c([N+](=O)[O-])cc2CO)C[C@@H](C)O1 ZINC000865653521 638489810 /nfs/dbraw/zinc/48/98/10/638489810.db2.gz QITWMKHZZGKPFK-RKDXNWHRSA-N 0 0 298.314 2.594 20 5 CFBDRN CC(C)(O)C1CN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000865710276 638527661 /nfs/dbraw/zinc/52/76/61/638527661.db2.gz QFMWVHHRNVMKHJ-UHFFFAOYSA-N 0 0 286.278 2.740 20 5 CFBDRN CC1(C)CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000865771879 638564016 /nfs/dbraw/zinc/56/40/16/638564016.db2.gz RDXNCJCAVALSOE-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN Cc1ccc(C[NH2+]Cc2cncn2C(C)C)cc1[N+](=O)[O-] ZINC000865787741 638574258 /nfs/dbraw/zinc/57/42/58/638574258.db2.gz SIOLTIJWKOTAAE-UHFFFAOYSA-N 0 0 288.351 2.970 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@@H]1CCC1(C)C ZINC000865805634 638582447 /nfs/dbraw/zinc/58/24/47/638582447.db2.gz HOCVVZHQSQESFY-ZCFIWIBFSA-N 0 0 292.261 2.948 20 5 CFBDRN Cc1ccc(CNc2ccn(CCF)n2)cc1[N+](=O)[O-] ZINC000865837196 638602274 /nfs/dbraw/zinc/60/22/74/638602274.db2.gz AKOHAJNCCLLYTG-UHFFFAOYSA-N 0 0 278.287 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3C[C@H]4[C@@H](C3)C4(F)F)c2c1 ZINC000865912171 638654155 /nfs/dbraw/zinc/65/41/55/638654155.db2.gz MOGNFFLMGVZADB-PHIMTYICSA-N 0 0 291.257 2.844 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCC2=CCCC2)cc1F ZINC000865951139 638673682 /nfs/dbraw/zinc/67/36/82/638673682.db2.gz OVQLZPPALLLMMF-UHFFFAOYSA-N 0 0 266.272 2.748 20 5 CFBDRN CCCCOC(=O)CSc1ncc(C)cc1[N+](=O)[O-] ZINC000866030569 638704430 /nfs/dbraw/zinc/70/44/30/638704430.db2.gz AJAMNHBZQYJLGC-UHFFFAOYSA-N 0 0 284.337 2.734 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)c(F)c1 ZINC000866044171 638709636 /nfs/dbraw/zinc/70/96/36/638709636.db2.gz GJMWSRHFKRMIEO-LTVMEPKSSA-N 0 0 296.273 2.708 20 5 CFBDRN Cc1cc(N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)c(F)cc1[N+](=O)[O-] ZINC000866046262 638710801 /nfs/dbraw/zinc/71/08/01/638710801.db2.gz XFGIVFFJISXTJF-WIVWZLNMSA-N 0 0 292.310 2.878 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000866069498 638722347 /nfs/dbraw/zinc/72/23/47/638722347.db2.gz FCUDQQQHDKQZQE-WPRPVWTQSA-N 0 0 285.731 2.647 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000866074155 638725829 /nfs/dbraw/zinc/72/58/29/638725829.db2.gz ZHYGWKUXCYCEGB-ZWNOBZJWSA-N 0 0 292.335 2.801 20 5 CFBDRN CC1(F)CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000866100208 638737014 /nfs/dbraw/zinc/73/70/14/638737014.db2.gz MFZYOPJYHAUWIC-UHFFFAOYSA-N 0 0 283.259 2.831 20 5 CFBDRN CS[C@H]1C[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000866101148 638738243 /nfs/dbraw/zinc/73/82/43/638738243.db2.gz GEUOXAGZLJSBSA-KYZUINATSA-N 0 0 253.327 2.604 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC(C)(C)OC)c1 ZINC000866133503 638752874 /nfs/dbraw/zinc/75/28/74/638752874.db2.gz SQUJHXULIPOPGB-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H](OC2CCC2)CC1 ZINC000866146010 638757118 /nfs/dbraw/zinc/75/71/18/638757118.db2.gz RNUBONATVULGMY-ZDUSSCGKSA-N 0 0 291.351 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C1CCC1)[C@@H]1CCOC1 ZINC000866144130 638757368 /nfs/dbraw/zinc/75/73/68/638757368.db2.gz WYANAHKKHRBCLZ-OCCSQVGLSA-N 0 0 291.351 2.915 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000866167401 638762653 /nfs/dbraw/zinc/76/26/53/638762653.db2.gz GGVUWOGLDGDYLW-AOOOYVTPSA-N 0 0 293.323 2.522 20 5 CFBDRN COC(=O)[C@]12C[C@H]1C[C@H](Nc1cc(C)ccc1[N+](=O)[O-])C2 ZINC000866170052 638763164 /nfs/dbraw/zinc/76/31/64/638763164.db2.gz FOCZXEJOSAEUAW-ZETOZRRWSA-N 0 0 290.319 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCC23CCOCC3)n1 ZINC000866176118 638764152 /nfs/dbraw/zinc/76/41/52/638764152.db2.gz ZNQYJJHBQIKJIM-GFCCVEGCSA-N 0 0 291.351 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC3(CO2)CCOCC3)s1 ZINC000866177142 638764308 /nfs/dbraw/zinc/76/43/08/638764308.db2.gz XXXPSYQJLCBROV-SNVBAGLBSA-N 0 0 298.364 2.654 20 5 CFBDRN Cc1cnc(NC[C@@H]2C[C@H]2C2CCOCC2)c([N+](=O)[O-])c1 ZINC000866181597 638764748 /nfs/dbraw/zinc/76/47/48/638764748.db2.gz DNOZHSANPRAZHG-STQMWFEESA-N 0 0 291.351 2.773 20 5 CFBDRN Cn1cc(C(F)F)c(CNc2ccc([N+](=O)[O-])s2)n1 ZINC000866193765 638767358 /nfs/dbraw/zinc/76/73/58/638767358.db2.gz PBCQNOVDGGJJBZ-UHFFFAOYSA-N 0 0 288.279 2.940 20 5 CFBDRN CN1Cc2ccccc2[C@@H]1CNc1cc(N)ccc1[N+](=O)[O-] ZINC000866195573 638767557 /nfs/dbraw/zinc/76/75/57/638767557.db2.gz XLBVTYKJKJZCAF-INIZCTEOSA-N 0 0 298.346 2.776 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H]2CSC[C@H]2C1 ZINC000866202441 638769070 /nfs/dbraw/zinc/76/90/70/638769070.db2.gz USSIIUGUHJZPEX-VHSXEESVSA-N 0 0 282.340 2.923 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@@H]2CSC[C@H]2C1 ZINC000866204535 638770034 /nfs/dbraw/zinc/77/00/34/638770034.db2.gz XTRQTQGPYZHMQF-RKDXNWHRSA-N 0 0 270.379 2.846 20 5 CFBDRN CC(C)(C)OC1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000866279216 638788057 /nfs/dbraw/zinc/78/80/57/638788057.db2.gz MHDFMNDDXDJKGQ-UHFFFAOYSA-N 0 0 295.295 2.507 20 5 CFBDRN Cc1cc(NCC[C@H](O)C2CCCC2)ncc1[N+](=O)[O-] ZINC000866303558 638792529 /nfs/dbraw/zinc/79/25/29/638792529.db2.gz NNLYIIZDZOVYFI-ZDUSSCGKSA-N 0 0 279.340 2.651 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H](O)C2CCCC2)c(Cl)c1 ZINC000866304224 638793078 /nfs/dbraw/zinc/79/30/78/638793078.db2.gz WCRIVKJWGNTHBI-GFCCVEGCSA-N 0 0 299.758 2.996 20 5 CFBDRN CCON(CC)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000866306357 638793709 /nfs/dbraw/zinc/79/37/09/638793709.db2.gz FREVIFVWPZUWJJ-UHFFFAOYSA-N 0 0 261.281 2.921 20 5 CFBDRN CCOc1cc(N(CC)OCC)ccc1[N+](=O)[O-] ZINC000866307402 638794205 /nfs/dbraw/zinc/79/42/05/638794205.db2.gz ZQFLNDRQIBWYQJ-UHFFFAOYSA-N 0 0 254.286 2.771 20 5 CFBDRN Cc1ccc(CN[C@H](C)c2nccnc2C)cc1[N+](=O)[O-] ZINC000866540219 638838588 /nfs/dbraw/zinc/83/85/88/638838588.db2.gz LFMHEODQMPUDLI-GFCCVEGCSA-N 0 0 286.335 2.852 20 5 CFBDRN C[C@H](NC(=O)NCC(C)(C)F)c1ccc([N+](=O)[O-])cc1 ZINC000868654929 638965980 /nfs/dbraw/zinc/96/59/80/638965980.db2.gz HOFACNURIWWAGI-VIFPVBQESA-N 0 0 283.303 2.703 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000077897131 650459934 /nfs/dbraw/zinc/45/99/34/650459934.db2.gz XGVRYRDHVGJDGV-VIFPVBQESA-N 0 0 268.288 2.756 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])[C@H](C)C1 ZINC000868964161 638996074 /nfs/dbraw/zinc/99/60/74/638996074.db2.gz XBNGZCNDQVKJDM-GHMZBOCLSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1ccc(CNC(=O)N2C[C@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000869053670 639016299 /nfs/dbraw/zinc/01/62/99/639016299.db2.gz QPWNCYNOGMKCLB-TXEJJXNPSA-N 0 0 291.351 2.701 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC000869066141 639020566 /nfs/dbraw/zinc/02/05/66/639020566.db2.gz GKKICCDYFYPNJJ-OHBODLIOSA-N 0 0 289.335 2.867 20 5 CFBDRN C[C@@H](NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C(C)(C)C ZINC000869160586 639052378 /nfs/dbraw/zinc/05/23/78/639052378.db2.gz HWGYLZBYCFEACX-SECBINFHSA-N 0 0 282.315 2.827 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOc2ccc(F)nc2)cc1 ZINC000122449535 639059692 /nfs/dbraw/zinc/05/96/92/639059692.db2.gz MTTZITKVXFHTMN-UHFFFAOYSA-N 0 0 278.239 2.587 20 5 CFBDRN CC1(NC(=O)Cc2cccc(F)c2[N+](=O)[O-])CC=CC1 ZINC000869187674 639070390 /nfs/dbraw/zinc/07/03/90/639070390.db2.gz RHUFLWHQVMIUDF-UHFFFAOYSA-N 0 0 278.283 2.501 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000869289816 639141035 /nfs/dbraw/zinc/14/10/35/639141035.db2.gz QVPCFQSNFUGRNG-CQSZACIVSA-N 0 0 280.299 2.581 20 5 CFBDRN Cc1cccc(C(=O)OC[C@H]2CC(C)(C)CO2)c1[N+](=O)[O-] ZINC000869300910 639150202 /nfs/dbraw/zinc/15/02/02/639150202.db2.gz OKWJECMZHWLYCK-LLVKDONJSA-N 0 0 293.319 2.875 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000869307422 639158775 /nfs/dbraw/zinc/15/87/75/639158775.db2.gz SLAVGVPEXZBTSV-QMMMGPOBSA-N 0 0 285.321 2.628 20 5 CFBDRN C[C@H](OC(=O)c1csc([N+](=O)[O-])c1)C(=O)C(C)(C)C ZINC000869357823 639193495 /nfs/dbraw/zinc/19/34/95/639193495.db2.gz WJJPZIABPHBMIS-ZETCQYMHSA-N 0 0 285.321 2.817 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(=O)C(C)(C)C ZINC000869383023 639205209 /nfs/dbraw/zinc/20/52/09/639205209.db2.gz GVISBNMDVPOSTQ-QMMMGPOBSA-N 0 0 297.282 2.894 20 5 CFBDRN CCCCC(=O)COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000869430049 639225035 /nfs/dbraw/zinc/22/50/35/639225035.db2.gz ASLJWOLDGMWXGM-UHFFFAOYSA-N 0 0 297.282 2.958 20 5 CFBDRN C[C@H](COC(=O)c1c([N+](=O)[O-])cnn1C)CC(C)(C)C ZINC000869458524 639240624 /nfs/dbraw/zinc/24/06/24/639240624.db2.gz SPXNAKPGIFDYTF-VIFPVBQESA-N 0 0 283.328 2.557 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@H]1CCO[C@@H]1C ZINC000869545250 639290158 /nfs/dbraw/zinc/29/01/58/639290158.db2.gz WHZUOUXHFYPVHF-NXEZZACHSA-N 0 0 297.282 2.624 20 5 CFBDRN O=C(NCC[C@@H]1CCSC1)c1csc([N+](=O)[O-])c1 ZINC000869608189 639320599 /nfs/dbraw/zinc/32/05/99/639320599.db2.gz VHQXSNJMLXHIDQ-MRVPVSSYSA-N 0 0 286.378 2.529 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)[C@H](C)O1 ZINC000869651980 639340908 /nfs/dbraw/zinc/34/09/08/639340908.db2.gz RGSFZUXBPSYTAE-WCABBAIRSA-N 0 0 297.282 2.591 20 5 CFBDRN O=C(OCCF)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869811371 639417545 /nfs/dbraw/zinc/41/75/45/639417545.db2.gz OUOLYYFRZRLWML-UHFFFAOYSA-N 0 0 267.256 2.529 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869899611 639459706 /nfs/dbraw/zinc/45/97/06/639459706.db2.gz YIMBKIPQUNGQTQ-YUMQZZPRSA-N 0 0 253.229 2.547 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869899609 639460248 /nfs/dbraw/zinc/46/02/48/639460248.db2.gz YIMBKIPQUNGQTQ-JGVFFNPUSA-N 0 0 253.229 2.547 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC000870134959 639527443 /nfs/dbraw/zinc/52/74/43/639527443.db2.gz QDCQIWISEQKOMD-OHBODLIOSA-N 0 0 291.303 2.801 20 5 CFBDRN CCOC(C)(C)C(=O)Oc1ccc(CC)cc1[N+](=O)[O-] ZINC000870206219 639543827 /nfs/dbraw/zinc/54/38/27/639543827.db2.gz YUVFTYHFZCSWIE-UHFFFAOYSA-N 0 0 281.308 2.878 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000870314785 639595194 /nfs/dbraw/zinc/59/51/94/639595194.db2.gz SOUWHZFRHLNPOK-SASUGWTJSA-N 0 0 291.303 2.801 20 5 CFBDRN CCCc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])s1 ZINC000870385187 639618995 /nfs/dbraw/zinc/61/89/95/639618995.db2.gz HNHZHULBUPRLSC-MRVPVSSYSA-N 0 0 257.311 2.523 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H](C)CCc1ccc(F)cc1 ZINC000870387113 639619838 /nfs/dbraw/zinc/61/98/38/639619838.db2.gz KTZPUBUOGZCJJL-QWRGUYRKSA-N 0 0 283.299 2.603 20 5 CFBDRN CC[C@@H](CC(=O)OCc1cn(C)nc1[N+](=O)[O-])C(C)(C)C ZINC000870459313 639648373 /nfs/dbraw/zinc/64/83/73/639648373.db2.gz OHPYFCLRVBWVAK-NSHDSACASA-N 0 0 297.355 2.834 20 5 CFBDRN O=C(Nc1ccc(F)nc1F)c1cccc([N+](=O)[O-])c1 ZINC000191646635 650514557 /nfs/dbraw/zinc/51/45/57/650514557.db2.gz XFQYZYNPKGYFJL-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC(C)(C)c1csc(C(=O)OCC(C)(C)[N+](=O)[O-])n1 ZINC000870467193 639652434 /nfs/dbraw/zinc/65/24/34/639652434.db2.gz BDJJJMNRWAYURI-UHFFFAOYSA-N 0 0 286.353 2.653 20 5 CFBDRN CCC(C)(C)NC(=O)[C@@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000078598212 650517850 /nfs/dbraw/zinc/51/78/50/650517850.db2.gz PCIKJAHAOAUPHF-SECBINFHSA-N 0 0 298.314 2.806 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000870576647 639730611 /nfs/dbraw/zinc/73/06/11/639730611.db2.gz KTYJEPAFZUBMJM-AOOOYVTPSA-N 0 0 281.333 2.954 20 5 CFBDRN CC[C@@H](OC)C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700480 639777835 /nfs/dbraw/zinc/77/78/35/639777835.db2.gz WIKSICNVQRMNNV-CYBMUJFWSA-N 0 0 281.308 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)COCC1CC1 ZINC000870700148 639778236 /nfs/dbraw/zinc/77/82/36/639778236.db2.gz RRKKWPGZCVUBBV-UHFFFAOYSA-N 0 0 293.319 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C1=CCOCC1 ZINC000870700283 639778323 /nfs/dbraw/zinc/77/83/23/639778323.db2.gz GNFQAMGPUGGRAE-UHFFFAOYSA-N 0 0 291.303 2.602 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@]1(C)CCCO1 ZINC000870700905 639778726 /nfs/dbraw/zinc/77/87/26/639778726.db2.gz LDWUGENLEBPGAM-OAHLLOKOSA-N 0 0 293.319 2.824 20 5 CFBDRN COC/C(C)=C\C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870701426 639778915 /nfs/dbraw/zinc/77/89/15/639778915.db2.gz SNTNHEISDATVGZ-YFHOEESVSA-N 0 0 293.319 2.848 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)Cc1ncccn1 ZINC000192080958 650538418 /nfs/dbraw/zinc/53/84/18/650538418.db2.gz JSGIHGKBAMMDFX-UHFFFAOYSA-N 0 0 292.726 2.670 20 5 CFBDRN Cc1ccc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)cn1 ZINC000079209292 650572733 /nfs/dbraw/zinc/57/27/33/650572733.db2.gz ATTXLSFCZNPCLL-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CCC(C)(CC)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870828428 641090919 /nfs/dbraw/zinc/09/09/19/641090919.db2.gz QQXBRVJNVDHUSZ-UHFFFAOYSA-N 0 0 295.339 2.864 20 5 CFBDRN O=C(CCC1CCC1)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870830218 641092024 /nfs/dbraw/zinc/09/20/24/641092024.db2.gz XAMXQSYQFZHUIY-UHFFFAOYSA-N 0 0 293.323 2.618 20 5 CFBDRN CCOC(=O)CSCc1cc(F)ccc1[N+](=O)[O-] ZINC000193217075 650634262 /nfs/dbraw/zinc/63/42/62/650634262.db2.gz CPPGFICHCUJRAB-UHFFFAOYSA-N 0 0 273.285 2.530 20 5 CFBDRN CC(C)(CCC(=O)NCC1CCC2(CC2)CC1)[N+](=O)[O-] ZINC000871019486 641154541 /nfs/dbraw/zinc/15/45/41/641154541.db2.gz DHRWUSVDIVMJEU-UHFFFAOYSA-N 0 0 282.384 2.909 20 5 CFBDRN Cc1c(C(=O)NCC[C@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000871023351 641157822 /nfs/dbraw/zinc/15/78/22/641157822.db2.gz DAAQLIAPAXFQQW-LLVKDONJSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1cc(C(=O)NCC[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000871024620 641159553 /nfs/dbraw/zinc/15/95/53/641159553.db2.gz TXBWMFSKDFNEQM-GFCCVEGCSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC[C@H]1CCCS1 ZINC000871029972 641163090 /nfs/dbraw/zinc/16/30/90/641163090.db2.gz VHEMCSIBLABLCL-GFCCVEGCSA-N 0 0 294.376 2.919 20 5 CFBDRN COC(C)(C)CCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000871252784 641264053 /nfs/dbraw/zinc/26/40/53/641264053.db2.gz XCSZQSGTZONBGB-TWGQIWQCSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1sc(C(=O)NC2CC3(CSC3)C2)cc1[N+](=O)[O-] ZINC000871512398 641334603 /nfs/dbraw/zinc/33/46/03/641334603.db2.gz HBTVVSNRSZIKRP-UHFFFAOYSA-N 0 0 298.389 2.590 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC2(CCC2)CO1 ZINC000871638181 641377172 /nfs/dbraw/zinc/37/71/72/641377172.db2.gz USEZNPSYMNRLAH-ZDUSSCGKSA-N 0 0 291.303 2.745 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000871651020 641382722 /nfs/dbraw/zinc/38/27/22/641382722.db2.gz OFWLAAQNXMXLRE-WXHSDQCUSA-N 0 0 293.319 2.940 20 5 CFBDRN Cc1cnc(OC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c([N+](=O)[O-])c1 ZINC000871656332 641385559 /nfs/dbraw/zinc/38/55/59/641385559.db2.gz DUERJVWHRYRJMB-GVXVVHGQSA-N 0 0 278.308 2.635 20 5 CFBDRN CO[C@H]1C[C@@H](COc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000871663134 641388749 /nfs/dbraw/zinc/38/87/49/641388749.db2.gz KTLDSURTXVYRHO-KLPPZKSPSA-N 0 0 279.292 2.601 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2CC3(CCC3)CO2)nc1C1CC1 ZINC000871668275 641392611 /nfs/dbraw/zinc/39/26/11/641392611.db2.gz WQSXKPGMWSKLSW-LLVKDONJSA-N 0 0 277.324 2.628 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000871670612 641394061 /nfs/dbraw/zinc/39/40/61/641394061.db2.gz AVKUKIJKHAMOJD-PTRXPTGYSA-N 0 0 298.726 2.980 20 5 CFBDRN Cn1nccc1CCOc1cccc(Cl)c1[N+](=O)[O-] ZINC000871672693 641394510 /nfs/dbraw/zinc/39/45/10/641394510.db2.gz VUGKDIPWEBIOJA-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN CC1(C)CO[C@@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000871746498 641436509 /nfs/dbraw/zinc/43/65/09/641436509.db2.gz UATXTJYZGZLXNB-GFCCVEGCSA-N 0 0 293.323 2.531 20 5 CFBDRN CC[C@@H](O)c1nccn1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000871747813 641438165 /nfs/dbraw/zinc/43/81/65/641438165.db2.gz NLKMJIZQSXQXRB-CYBMUJFWSA-N 0 0 275.308 2.591 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])c1 ZINC000123776205 641449744 /nfs/dbraw/zinc/44/97/44/641449744.db2.gz FEYPWIMZHIKEQM-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2nc(C3CCC3)no2)ccc1F ZINC000871803865 641467657 /nfs/dbraw/zinc/46/76/57/641467657.db2.gz VUXJMDIAQNFXEC-UHFFFAOYSA-N 0 0 292.270 2.997 20 5 CFBDRN Cc1conc1CNc1c(C)c([N+](=O)[O-])ccc1F ZINC000871835183 641473537 /nfs/dbraw/zinc/47/35/37/641473537.db2.gz GFFWMDZSBUPNKC-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CC1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CC(F)(F)C1 ZINC000871848824 641480176 /nfs/dbraw/zinc/48/01/76/641480176.db2.gz SPSYCCRJNFJROX-UHFFFAOYSA-N 0 0 285.206 2.925 20 5 CFBDRN Cc1cnc(NCc2c(C)ccc([N+](=O)[O-])c2C)nc1 ZINC000871863954 641487265 /nfs/dbraw/zinc/48/72/65/641487265.db2.gz KSTQMUSAIPBCTR-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CCCc1nnc(NCc2cccc([N+](=O)[O-])c2C)o1 ZINC000871862458 641487349 /nfs/dbraw/zinc/48/73/49/641487349.db2.gz ZWGJNAYAWSEVJR-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN Cc1c(C[NH2+]C[C@@H](CC(C)C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000871874295 641493227 /nfs/dbraw/zinc/49/32/27/641493227.db2.gz KJBXWZURNBIFFO-CYBMUJFWSA-N 0 0 294.351 2.740 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])C[C@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000871876275 641493856 /nfs/dbraw/zinc/49/38/56/641493856.db2.gz WSAFYPNBMCODTL-SCDSUCTJSA-N 0 0 292.335 2.574 20 5 CFBDRN CCCCN(C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000193521665 650665109 /nfs/dbraw/zinc/66/51/09/650665109.db2.gz HFPAPQPHFQHLMN-UHFFFAOYSA-N 0 0 277.324 2.716 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C)C(C)C ZINC000193545431 650667387 /nfs/dbraw/zinc/66/73/87/650667387.db2.gz QTPWHQXCZUHCLT-UHFFFAOYSA-N 0 0 295.295 2.682 20 5 CFBDRN C[C@H]([NH2+]C1CCOCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000872025372 641557270 /nfs/dbraw/zinc/55/72/70/641557270.db2.gz LTEKYGWXZCGGJN-VIFPVBQESA-N 0 0 268.288 2.564 20 5 CFBDRN C[C@@H](NCCOC(F)(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000872064356 641597073 /nfs/dbraw/zinc/59/70/73/641597073.db2.gz GEKLMFJOZBSPBM-SSDOTTSWSA-N 0 0 296.220 2.921 20 5 CFBDRN C[C@H](N[C@H]1CCn2ccnc21)c1ccccc1[N+](=O)[O-] ZINC000872128858 641656964 /nfs/dbraw/zinc/65/69/64/641656964.db2.gz ZUOKCTIBBKRLDL-JQWIXIFHSA-N 0 0 272.308 2.587 20 5 CFBDRN Cc1ccc(C[N@@H+](C)[C@H](CC(C)C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000872160638 641682242 /nfs/dbraw/zinc/68/22/42/641682242.db2.gz KDJIMNNNBPVNQE-CQSZACIVSA-N 0 0 294.351 2.834 20 5 CFBDRN Cc1ccc(C[N@@H+]([C@H](C)C(=O)[O-])C2CCC2)cc1[N+](=O)[O-] ZINC000872162010 641683940 /nfs/dbraw/zinc/68/39/40/641683940.db2.gz KIZKSYSJQPCMNF-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCc2nnc[nH]2)c(C(F)F)c1 ZINC000080588165 650690689 /nfs/dbraw/zinc/69/06/89/650690689.db2.gz QKXMCRJIVSOTLP-UHFFFAOYSA-N 0 0 297.265 2.695 20 5 CFBDRN CC(C)(O)[C@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000872676508 641894034 /nfs/dbraw/zinc/89/40/34/641894034.db2.gz SMFKSZDTGYLCCY-JTQLQIEISA-N 0 0 282.315 2.721 20 5 CFBDRN COCC(C)(C)CCNc1ccc(N)cc1[N+](=O)[O-] ZINC000872685097 641896212 /nfs/dbraw/zinc/89/62/12/641896212.db2.gz HMADAGVJJAZHDN-UHFFFAOYSA-N 0 0 267.329 2.652 20 5 CFBDRN CC1(C)CO[C@@H](CNc2sccc2[N+](=O)[O-])C1 ZINC000872687059 641897169 /nfs/dbraw/zinc/89/71/69/641897169.db2.gz RXGQTORZKRYHAS-MRVPVSSYSA-N 0 0 256.327 2.883 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000872697237 641901204 /nfs/dbraw/zinc/90/12/04/641901204.db2.gz HZFKTGHLAWBDHD-MDZLAQPJSA-N 0 0 292.335 2.973 20 5 CFBDRN CC(C)(C)Oc1cc(NC[C@H]2C[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000872719373 641911026 /nfs/dbraw/zinc/91/10/26/641911026.db2.gz OEXNIXAESSTMAP-UMSPYCQHSA-N 0 0 294.351 2.955 20 5 CFBDRN C[C@@]1(C(F)(F)F)CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000872728627 641914732 /nfs/dbraw/zinc/91/47/32/641914732.db2.gz SARRYWPIPDVALX-SNVBAGLBSA-N 0 0 275.230 2.769 20 5 CFBDRN Cc1csc([C@@H]2CCN(c3ccc([N+](=O)[O-])cn3)C2)n1 ZINC000872734339 641916399 /nfs/dbraw/zinc/91/63/99/641916399.db2.gz FOEDFZZASVIQFB-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN CCc1cc(CNCc2cccc([N+](=O)[O-])c2C)on1 ZINC000873059161 641998885 /nfs/dbraw/zinc/99/88/85/641998885.db2.gz OGPCBHDDGMXJRU-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN CCCC[C@H](CC)COC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000194185774 650721610 /nfs/dbraw/zinc/72/16/10/650721610.db2.gz DRNONSXFPRFHNH-NSHDSACASA-N 0 0 283.328 2.551 20 5 CFBDRN Cc1nccnc1[C@H](C)NCc1csc([N+](=O)[O-])c1 ZINC000873189547 642057438 /nfs/dbraw/zinc/05/74/38/642057438.db2.gz PWHDLHZLBNVVJV-VIFPVBQESA-N 0 0 278.337 2.606 20 5 CFBDRN CC[C@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(C)=O ZINC000873318106 642134728 /nfs/dbraw/zinc/13/47/28/642134728.db2.gz XUWHIFZUYREUHH-NSHDSACASA-N 0 0 285.683 2.773 20 5 CFBDRN CC[C@H](OC(=O)c1cc(SC)ccc1[N+](=O)[O-])C(C)=O ZINC000873329999 642138198 /nfs/dbraw/zinc/13/81/98/642138198.db2.gz IQOQNKSDNKWULW-LBPRGKRZSA-N 0 0 297.332 2.841 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000873374171 642162675 /nfs/dbraw/zinc/16/26/75/642162675.db2.gz RZODKMQWOGDKTG-WAAGHKOSSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@@H]1CCOC[C@H]1C ZINC000873536441 642239568 /nfs/dbraw/zinc/23/95/68/642239568.db2.gz KFLHBDFXDRTZBN-ZWNOBZJWSA-N 0 0 293.319 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1C2CCC1CC2 ZINC000873900915 642305249 /nfs/dbraw/zinc/30/52/49/642305249.db2.gz HSOISXGNXBUMEX-UHFFFAOYSA-N 0 0 274.320 2.979 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCc1cccnc1 ZINC000873931902 642308882 /nfs/dbraw/zinc/30/88/82/642308882.db2.gz UTVJIACZDSQZSW-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCn1nccc1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000124740836 642310500 /nfs/dbraw/zinc/31/05/00/642310500.db2.gz XBOHOYDRPDJZID-SNVBAGLBSA-N 0 0 289.291 2.729 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)C(C)C ZINC000873966281 642312976 /nfs/dbraw/zinc/31/29/76/642312976.db2.gz IMJMNWQXZRMNBZ-UHFFFAOYSA-N 0 0 250.298 2.692 20 5 CFBDRN CCOCCCCNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000874092087 642337564 /nfs/dbraw/zinc/33/75/64/642337564.db2.gz HLCWJBCLKPFKGD-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN CC(C)OC1(C(=O)NCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000874238337 642353206 /nfs/dbraw/zinc/35/32/06/642353206.db2.gz KUAAXVNNRMJZIH-UHFFFAOYSA-N 0 0 292.335 2.559 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000195263032 650745095 /nfs/dbraw/zinc/74/50/95/650745095.db2.gz RINXOHCUEYNSCR-PSASIEDQSA-N 0 0 285.683 2.579 20 5 CFBDRN CCC1(CC)CCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000874628796 642431233 /nfs/dbraw/zinc/43/12/33/642431233.db2.gz IDXZZGDUEYXHBD-UHFFFAOYSA-N 0 0 291.351 2.972 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)c1c(Cl)cccc1[N+](=O)[O-] ZINC000874783806 642484023 /nfs/dbraw/zinc/48/40/23/642484023.db2.gz CRGINIQLBITGAP-SNVBAGLBSA-N 0 0 280.711 2.921 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CC12CCC2 ZINC000874785981 642486825 /nfs/dbraw/zinc/48/68/25/642486825.db2.gz JUTMFVGOXMPHCV-LBPRGKRZSA-N 0 0 260.293 2.576 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000874786346 642487283 /nfs/dbraw/zinc/48/72/83/642487283.db2.gz ZAOHCRQCFBVXPM-LBPRGKRZSA-N 0 0 285.303 2.749 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000874787817 642489134 /nfs/dbraw/zinc/48/91/34/642489134.db2.gz BUOSMBYHZQEHSP-JTQLQIEISA-N 0 0 266.322 2.637 20 5 CFBDRN O=C1NC(=O)C(=Cc2ccc([N+](=O)[O-])cc2Cl)S1 ZINC000874929251 642554818 /nfs/dbraw/zinc/55/48/18/642554818.db2.gz XHODFFNIHSECIG-FPYGCLRLSA-N 0 0 284.680 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C=C1SC(=O)NC1=O ZINC000874929446 642555347 /nfs/dbraw/zinc/55/53/47/642555347.db2.gz CZRQQEVPZJVRJO-YHYXMXQVSA-N 0 0 278.289 2.536 20 5 CFBDRN COCC1(N(C)C(=O)c2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000874938730 642558820 /nfs/dbraw/zinc/55/88/20/642558820.db2.gz XMGYAFVWYAHHEE-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(NC1CC=CC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000125272597 642580603 /nfs/dbraw/zinc/58/06/03/642580603.db2.gz HWHSOQRGEJCXSW-UHFFFAOYSA-N 0 0 266.684 2.697 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@]12CCC[C@H]1OCC2 ZINC000875054032 642581535 /nfs/dbraw/zinc/58/15/35/642581535.db2.gz GBQSKLTYGSWALW-OCCSQVGLSA-N 0 0 294.282 2.632 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)c([N+](=O)[O-])c1 ZINC000875060890 642582061 /nfs/dbraw/zinc/58/20/61/642582061.db2.gz GTZWWHLGMRAWLS-IJLUTSLNSA-N 0 0 293.319 2.931 20 5 CFBDRN CCn1ccc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)c1C ZINC000875077177 642582076 /nfs/dbraw/zinc/58/20/76/642582076.db2.gz BSCHHAZWIVAAPY-UHFFFAOYSA-N 0 0 299.330 2.928 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000875079834 642582992 /nfs/dbraw/zinc/58/29/92/642582992.db2.gz ZMXMRNFOSVVPGM-IGJVIKARSA-N 0 0 286.331 2.776 20 5 CFBDRN CSCC[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000125347094 642600577 /nfs/dbraw/zinc/60/05/77/642600577.db2.gz BOYQXVSTHLGPCZ-MRVPVSSYSA-N 0 0 255.343 2.852 20 5 CFBDRN COC[C@@H](NC(=O)c1c(C)ccc([N+](=O)[O-])c1C)C(C)C ZINC000875364910 642612990 /nfs/dbraw/zinc/61/29/90/642612990.db2.gz YRMJKNPZABKWNQ-GFCCVEGCSA-N 0 0 294.351 2.612 20 5 CFBDRN CCC[C@H](CC)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000875656337 642659267 /nfs/dbraw/zinc/65/92/67/642659267.db2.gz MUCZMBGOLCRLBI-JTQLQIEISA-N 0 0 265.313 2.779 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1C[C@H](C)O[C@H]1C ZINC000875675633 642663885 /nfs/dbraw/zinc/66/38/85/642663885.db2.gz WKNYLDHKXDCCHQ-UJNFCWOMSA-N 0 0 296.298 2.794 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000876169558 642785566 /nfs/dbraw/zinc/78/55/66/642785566.db2.gz XVZKAQMQODJARB-LBPRGKRZSA-N 0 0 295.314 2.547 20 5 CFBDRN Cc1ccc(CNC(=O)N2CC3CC2(C)C3)cc1[N+](=O)[O-] ZINC000876169538 642785851 /nfs/dbraw/zinc/78/58/51/642785851.db2.gz XEZHTGISWPDXCS-UHFFFAOYSA-N 0 0 289.335 2.597 20 5 CFBDRN Cc1ccc(CNC(=O)N2CC[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000876169386 642785900 /nfs/dbraw/zinc/78/59/00/642785900.db2.gz VWZOHPRZICPQKO-ZDUSSCGKSA-N 0 0 291.351 2.843 20 5 CFBDRN CC(C)OCCCOc1cc(F)ccc1[N+](=O)[O-] ZINC000125848427 642811983 /nfs/dbraw/zinc/81/19/83/642811983.db2.gz GWNRLDCRTOLREH-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@H](C)[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000876406260 642854102 /nfs/dbraw/zinc/85/41/02/642854102.db2.gz KRMHCYJUNMGOQS-AXFHLTTASA-N 0 0 277.324 2.706 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@@H]2C[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000876406351 642854294 /nfs/dbraw/zinc/85/42/94/642854294.db2.gz ZDGXOTNJVAEEGO-GYSYKLTISA-N 0 0 289.335 2.850 20 5 CFBDRN C[C@H](OC(=O)[C@H](C)n1cccn1)c1ccccc1[N+](=O)[O-] ZINC000876466944 642883157 /nfs/dbraw/zinc/88/31/57/642883157.db2.gz KSCRZXDIWJHLTR-QWRGUYRKSA-N 0 0 289.291 2.657 20 5 CFBDRN CCCC(CCC)C(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000126367281 643181914 /nfs/dbraw/zinc/18/19/14/643181914.db2.gz IUNBPIIAFHADNF-UHFFFAOYSA-N 0 0 297.355 2.859 20 5 CFBDRN Cc1c(CN[C@@H](C)C(=O)OCC(C)C)cccc1[N+](=O)[O-] ZINC000877468464 643288133 /nfs/dbraw/zinc/28/81/33/643288133.db2.gz ABIUZHBBZCNWTL-LBPRGKRZSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1CC[C@H](F)C1 ZINC000877559662 643360016 /nfs/dbraw/zinc/36/00/16/643360016.db2.gz RISBKCRYEOJSMU-NSHDSACASA-N 0 0 252.289 2.755 20 5 CFBDRN COc1cc(C)c(C)cc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000877623588 643409328 /nfs/dbraw/zinc/40/93/28/643409328.db2.gz UIQIZZQRDGUXOW-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN Cc1ccc2c(c1)C(=O)N[C@@H](c1cccc([N+](=O)[O-])c1)N2 ZINC000877861122 643610212 /nfs/dbraw/zinc/61/02/12/643610212.db2.gz GFCGJPDJYZZJSX-AWEZNQCLSA-N 0 0 283.287 2.757 20 5 CFBDRN Cc1ccc2c(c1)C(=O)N[C@@H](c1ccc([N+](=O)[O-])cc1)N2 ZINC000877861923 643610640 /nfs/dbraw/zinc/61/06/40/643610640.db2.gz QFKHIHKMRFQLPF-AWEZNQCLSA-N 0 0 283.287 2.757 20 5 CFBDRN Cc1ccc2c(c1)N[C@@H](c1ccc([N+](=O)[O-])s1)NC2=O ZINC000877864119 643612483 /nfs/dbraw/zinc/61/24/83/643612483.db2.gz KKOFXEUAPRATDL-GFCCVEGCSA-N 0 0 289.316 2.819 20 5 CFBDRN COc1ccc(OCCc2ccns2)c([N+](=O)[O-])c1 ZINC000877873671 643614251 /nfs/dbraw/zinc/61/42/51/643614251.db2.gz YXLYGHFVWWKPTI-UHFFFAOYSA-N 0 0 280.305 2.681 20 5 CFBDRN Cc1nn(Cc2coc(C(C)(C)C)n2)c(C)c1[N+](=O)[O-] ZINC000877872916 643614661 /nfs/dbraw/zinc/61/46/61/643614661.db2.gz WQVREUSTTGKRAF-UHFFFAOYSA-N 0 0 278.312 2.742 20 5 CFBDRN COC[C@H](COc1ccc([N+](=O)[O-])c(OC)c1)C(C)C ZINC000877889300 643625366 /nfs/dbraw/zinc/62/53/66/643625366.db2.gz FQDKDQUSBKKNBM-LLVKDONJSA-N 0 0 283.324 2.901 20 5 CFBDRN COC(=O)c1nn(CC2CCC3(CC3)CC2)cc1[N+](=O)[O-] ZINC000877891129 643626009 /nfs/dbraw/zinc/62/60/09/643626009.db2.gz DLOQBXQCANJGER-UHFFFAOYSA-N 0 0 293.323 2.548 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(F)CC1 ZINC000877903319 643632896 /nfs/dbraw/zinc/63/28/96/643632896.db2.gz OYHYEVXQMYOBMV-UHFFFAOYSA-N 0 0 281.287 2.869 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1ccc(C)c(C)c1 ZINC000877974381 643673790 /nfs/dbraw/zinc/67/37/90/643673790.db2.gz AGVFNZYPPBWIMC-UHFFFAOYSA-N 0 0 288.351 2.853 20 5 CFBDRN CCN(Cc1cn(C)nc1[N+](=O)[O-])c1ccc(C)cc1 ZINC000878220045 643797443 /nfs/dbraw/zinc/79/74/43/643797443.db2.gz XJQDWDCKWHZYOI-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN Cc1cnc(N[C@@H](C)Cc2ccco2)c([N+](=O)[O-])c1 ZINC000090692095 650880680 /nfs/dbraw/zinc/88/06/80/650880680.db2.gz ZBYQAFRZDRHDKU-JTQLQIEISA-N 0 0 261.281 2.934 20 5 CFBDRN COc1ccc(NC(=O)NC[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000879142774 644156915 /nfs/dbraw/zinc/15/69/15/644156915.db2.gz CPTVHAMUEIFMGE-JTQLQIEISA-N 0 0 291.307 2.691 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@@H](CF)C1 ZINC000879229085 644181995 /nfs/dbraw/zinc/18/19/95/644181995.db2.gz PXCNWXNQLQOLIK-JTQLQIEISA-N 0 0 281.287 2.727 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000879227489 644182544 /nfs/dbraw/zinc/18/25/44/644182544.db2.gz GMPRXPMKBZMWNY-SNVBAGLBSA-N 0 0 261.281 2.683 20 5 CFBDRN COc1cc(CN(C)OCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000879514792 644298526 /nfs/dbraw/zinc/29/85/26/644298526.db2.gz PMVPEHXEWIOYRU-UHFFFAOYSA-N 0 0 294.229 2.529 20 5 CFBDRN C[C@H](NCc1cc(Cl)ccc1[N+](=O)[O-])c1ccnn1C ZINC000879685617 644379571 /nfs/dbraw/zinc/37/95/71/644379571.db2.gz YOPMUKRUAALJOZ-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1cc(Cl)nc(Cl)c1 ZINC000127994676 644414335 /nfs/dbraw/zinc/41/43/35/644414335.db2.gz SIFQWVFXOAJDDK-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN Cc1cccc(COc2ccc(C=O)cc2[N+](=O)[O-])n1 ZINC000092429490 650919772 /nfs/dbraw/zinc/91/97/72/650919772.db2.gz XDCAOZNTAPLVFV-UHFFFAOYSA-N 0 0 272.260 2.690 20 5 CFBDRN COc1cc(CN2CCC(F)CC2)c([N+](=O)[O-])cc1F ZINC000880239705 644603506 /nfs/dbraw/zinc/60/35/06/644603506.db2.gz DSXNKALBTZIGQT-UHFFFAOYSA-N 0 0 286.278 2.676 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@@H](CF)C1 ZINC000880293869 644633797 /nfs/dbraw/zinc/63/37/97/644633797.db2.gz PCWVCCSVMCIQRV-VIFPVBQESA-N 0 0 256.252 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCc2ccon2)c1 ZINC000093305898 650938094 /nfs/dbraw/zinc/93/80/94/650938094.db2.gz MCSGPVHHXFZATE-UHFFFAOYSA-N 0 0 267.672 2.526 20 5 CFBDRN Cc1c(CNC2(c3ncccn3)CCC2)cccc1[N+](=O)[O-] ZINC000880364369 644668639 /nfs/dbraw/zinc/66/86/39/644668639.db2.gz ALZXQSGMVDGKPY-UHFFFAOYSA-N 0 0 298.346 2.862 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCc2ncncc2C1 ZINC000880593033 644780650 /nfs/dbraw/zinc/78/06/50/644780650.db2.gz KQWRTOOOVYBRIH-LLVKDONJSA-N 0 0 284.319 2.504 20 5 CFBDRN CCOc1cc(NC(=O)N[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000888830708 644811710 /nfs/dbraw/zinc/81/17/10/644811710.db2.gz AEEMNRLHKMZIGL-SECBINFHSA-N 0 0 293.323 2.914 20 5 CFBDRN CC(C)(C)c1cn(Cc2ccc([N+](=O)[O-])c(F)c2)nn1 ZINC000881211652 644931801 /nfs/dbraw/zinc/93/18/01/644931801.db2.gz FJYLQKWSRNRRAH-UHFFFAOYSA-N 0 0 278.287 2.671 20 5 CFBDRN Cc1ccc(Cn2cc(C(C)(C)C)nn2)cc1[N+](=O)[O-] ZINC000881211539 644932000 /nfs/dbraw/zinc/93/20/00/644932000.db2.gz BLXJQZIUYBHIJE-UHFFFAOYSA-N 0 0 274.324 2.841 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000881497150 644997096 /nfs/dbraw/zinc/99/70/96/644997096.db2.gz UTBDLROPBHNKGS-SNVBAGLBSA-N 0 0 296.273 2.769 20 5 CFBDRN CCC[C@H](C)CCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000881530654 645024555 /nfs/dbraw/zinc/02/45/55/645024555.db2.gz WJUFQRWPPULNKA-JTQLQIEISA-N 0 0 265.313 2.546 20 5 CFBDRN C=C/C=C\CCNC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000881567914 645047065 /nfs/dbraw/zinc/04/70/65/645047065.db2.gz WSLUGXUWNOBLFZ-PLNGDYQASA-N 0 0 290.319 2.531 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@H](CF)C1 ZINC000881598467 645069200 /nfs/dbraw/zinc/06/92/00/645069200.db2.gz CXCZPMXHBXOSND-CYBMUJFWSA-N 0 0 294.326 2.736 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H](CF)C1 ZINC000881598468 645069766 /nfs/dbraw/zinc/06/97/66/645069766.db2.gz CXCZPMXHBXOSND-ZDUSSCGKSA-N 0 0 294.326 2.736 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@H](CF)C1 ZINC000881664247 645093048 /nfs/dbraw/zinc/09/30/48/645093048.db2.gz HKKZDYZKXBAHOH-SECBINFHSA-N 0 0 291.282 2.508 20 5 CFBDRN CC(C)(C(=O)N1CC[C@@H](CF)C1)c1ccccc1[N+](=O)[O-] ZINC000881674372 645100938 /nfs/dbraw/zinc/10/09/38/645100938.db2.gz RBQIIRFRQHOBDQ-NSHDSACASA-N 0 0 294.326 2.690 20 5 CFBDRN CC(C)(C(=O)N1CC[C@H](CF)C1)c1ccccc1[N+](=O)[O-] ZINC000881674370 645101051 /nfs/dbraw/zinc/10/10/51/645101051.db2.gz RBQIIRFRQHOBDQ-LLVKDONJSA-N 0 0 294.326 2.690 20 5 CFBDRN Cc1ncc(CN(C)c2ccnc(F)c2[N+](=O)[O-])s1 ZINC000881822589 645134810 /nfs/dbraw/zinc/13/48/10/645134810.db2.gz QSHSRTDCVYWXJQ-UHFFFAOYSA-N 0 0 282.300 2.530 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCOC1CCCC1 ZINC000881822291 645134849 /nfs/dbraw/zinc/13/48/49/645134849.db2.gz GJHLBJNSYYFANQ-UHFFFAOYSA-N 0 0 269.276 2.500 20 5 CFBDRN CC(=O)c1c(NC[C@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000881832965 645136795 /nfs/dbraw/zinc/13/67/95/645136795.db2.gz QFYATTQSDPIGLP-LLVKDONJSA-N 0 0 278.308 2.636 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC[C@H](c2ccco2)C1 ZINC000881964196 645164623 /nfs/dbraw/zinc/16/46/23/645164623.db2.gz MLZTWBJTUIBZOP-VIFPVBQESA-N 0 0 277.255 2.716 20 5 CFBDRN CSCCCNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881995769 645171249 /nfs/dbraw/zinc/17/12/49/645171249.db2.gz XEPBUUPOOMBQPX-UHFFFAOYSA-N 0 0 268.338 2.962 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H]1CCC2(CCOCC2)O1 ZINC000882035697 645183338 /nfs/dbraw/zinc/18/33/38/645183338.db2.gz OWLUONWFBHYEPQ-GFCCVEGCSA-N 0 0 293.319 2.702 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2CC[C@@H](c3ccccc3)O2)cn1 ZINC000882092382 645198658 /nfs/dbraw/zinc/19/86/58/645198658.db2.gz GUPKFGAXAMNLAF-STQMWFEESA-N 0 0 273.292 2.712 20 5 CFBDRN CC(C)[C@@H](O)CCOc1ccc([N+](=O)[O-])cc1F ZINC000882104888 645204551 /nfs/dbraw/zinc/20/45/51/645204551.db2.gz BARRSADFCJLHCI-NSHDSACASA-N 0 0 257.261 2.520 20 5 CFBDRN CC(C)[C@@H](O)CCOc1ccc(F)cc1[N+](=O)[O-] ZINC000882113856 645206892 /nfs/dbraw/zinc/20/68/92/645206892.db2.gz MXBFMMJTSYOYNS-NSHDSACASA-N 0 0 257.261 2.520 20 5 CFBDRN CS[C@@H]1CCCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882117132 645207543 /nfs/dbraw/zinc/20/75/43/645207543.db2.gz CKSDJWDNNJYUQH-SECBINFHSA-N 0 0 285.344 2.851 20 5 CFBDRN Cc1ncc(CCNc2ccnc(F)c2[N+](=O)[O-])s1 ZINC000882116663 645207752 /nfs/dbraw/zinc/20/77/52/645207752.db2.gz ACDJPOBEVJFSMP-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN Cc1nn(Cc2coc(C3CC3)n2)c2ncc([N+](=O)[O-])cc12 ZINC000882133323 645215314 /nfs/dbraw/zinc/21/53/14/645215314.db2.gz MZLGLVFKRKEEGK-UHFFFAOYSA-N 0 0 299.290 2.562 20 5 CFBDRN C[C@@H]1CCN(c2ccnc(F)c2[N+](=O)[O-])C[C@H]1C ZINC000882148413 645219095 /nfs/dbraw/zinc/21/90/95/645219095.db2.gz VKAYWPSTFJGAJH-RKDXNWHRSA-N 0 0 253.277 2.611 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCCOC(F)(F)F)n1 ZINC000882151519 645221266 /nfs/dbraw/zinc/22/12/66/645221266.db2.gz AXQKUCXPXBKEPL-UHFFFAOYSA-N 0 0 294.229 2.912 20 5 CFBDRN CC(C)C(=O)N(C)CSc1ccc([N+](=O)[O-])cc1F ZINC000882157475 645223394 /nfs/dbraw/zinc/22/33/94/645223394.db2.gz XVFJHKFQBFDFHP-UHFFFAOYSA-N 0 0 286.328 2.898 20 5 CFBDRN CC(=O)c1c(N[C@@H]2CCCOCC2)cccc1[N+](=O)[O-] ZINC000882163174 645226378 /nfs/dbraw/zinc/22/63/78/645226378.db2.gz MYRZXZPBAFLSTR-LLVKDONJSA-N 0 0 278.308 2.778 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC[C@H](C)[C@H](F)C1 ZINC000882165566 645227230 /nfs/dbraw/zinc/22/72/30/645227230.db2.gz BGRQRCXLDMZYSV-GXSJLCMTSA-N 0 0 280.299 2.982 20 5 CFBDRN CC(C)(C)CCOC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000096466097 650991783 /nfs/dbraw/zinc/99/17/83/650991783.db2.gz CCDNBMFCEZFSHZ-UHFFFAOYSA-N 0 0 266.297 2.770 20 5 CFBDRN CC(C)(C)CCOC(=O)c1ccc([N+](=O)[O-])cc1N ZINC000096466074 650991870 /nfs/dbraw/zinc/99/18/70/650991870.db2.gz XBYGHTHHUJHKAR-UHFFFAOYSA-N 0 0 266.297 2.770 20 5 CFBDRN CC[C@]1(C)CN(c2cccc([N+](=O)[O-])c2C(C)=O)CCO1 ZINC000882236469 645250503 /nfs/dbraw/zinc/25/05/03/645250503.db2.gz DYBYMIVCANQLBR-OAHLLOKOSA-N 0 0 292.335 2.803 20 5 CFBDRN CO[C@@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)CC[C@@H]1C ZINC000882238236 645250686 /nfs/dbraw/zinc/25/06/86/645250686.db2.gz SUPWOZMFHBRAQC-IINYFYTJSA-N 0 0 292.335 2.659 20 5 CFBDRN C[C@H](CNC(=O)c1ccc(N)c([N+](=O)[O-])c1)C(C)(C)C ZINC000096605265 650996121 /nfs/dbraw/zinc/99/61/21/650996121.db2.gz MWVJSVHIQVHUQJ-SECBINFHSA-N 0 0 279.340 2.589 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC2(CCC2)CC1 ZINC000882352771 645289668 /nfs/dbraw/zinc/28/96/68/645289668.db2.gz OJCIREPHLKIQLZ-UHFFFAOYSA-N 0 0 265.288 2.899 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H](CO)C1CCCCC1 ZINC000882401922 645299813 /nfs/dbraw/zinc/29/98/13/645299813.db2.gz NERDDFOKESGBHQ-LLVKDONJSA-N 0 0 297.330 2.730 20 5 CFBDRN CC[C@H](NC(=O)c1csc([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000882448415 645320001 /nfs/dbraw/zinc/32/00/01/645320001.db2.gz OLHDSXZYKNPWAT-KOLCDFICSA-N 0 0 298.364 2.591 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC(OC2CCC2)CC1 ZINC000882466365 645329340 /nfs/dbraw/zinc/32/93/40/645329340.db2.gz PDQOEMPHVYEJBP-UHFFFAOYSA-N 0 0 295.314 2.667 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC2(CC2(F)F)CC1 ZINC000882527249 645353727 /nfs/dbraw/zinc/35/37/27/645353727.db2.gz UCQTWPCWCPOCGP-UHFFFAOYSA-N 0 0 287.241 2.755 20 5 CFBDRN CC[C@H](C)[C@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000252411322 651003292 /nfs/dbraw/zinc/00/32/92/651003292.db2.gz MSWMPBAGDACIFM-WDMOLILDSA-N 0 0 294.351 2.833 20 5 CFBDRN CC(=O)c1c(N(C)CC2=CCCOC2)cccc1[N+](=O)[O-] ZINC000882618119 645389657 /nfs/dbraw/zinc/38/96/57/645389657.db2.gz IORIPBISUMWKPC-UHFFFAOYSA-N 0 0 290.319 2.580 20 5 CFBDRN C[C@]1(CNc2ccnc(F)c2[N+](=O)[O-])CC1(F)F ZINC000882620789 645391025 /nfs/dbraw/zinc/39/10/25/645391025.db2.gz VZGWAYPWSQSEQT-SECBINFHSA-N 0 0 261.203 2.586 20 5 CFBDRN Cn1ncc2c1CN(c1cc(Cl)ccc1[N+](=O)[O-])C2 ZINC000882646782 645399990 /nfs/dbraw/zinc/39/99/90/645399990.db2.gz DAMAGGGJXRBBQD-UHFFFAOYSA-N 0 0 278.699 2.502 20 5 CFBDRN CC(=O)c1c(N[C@@H]2C[C@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000882681246 645409361 /nfs/dbraw/zinc/40/93/61/645409361.db2.gz MEXMWCDMLHRXGK-PTOFAABTSA-N 0 0 278.308 2.775 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@H](C1CCC1)[C@H]1CCCO1 ZINC000882688095 645411159 /nfs/dbraw/zinc/41/11/59/645411159.db2.gz BMCCDFQEYUDOAV-VXGBXAGGSA-N 0 0 295.314 2.889 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@H](C1CCC1)[C@H]1CCOC1 ZINC000882691926 645412152 /nfs/dbraw/zinc/41/21/52/645412152.db2.gz CODVIYZKEXIRAZ-CMPLNLGQSA-N 0 0 295.314 2.746 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882708217 645423824 /nfs/dbraw/zinc/42/38/24/645423824.db2.gz WCQBAYWSMCAMKS-MWLCHTKSSA-N 0 0 280.324 2.759 20 5 CFBDRN CC(C)OC1(CNc2ccnc(F)c2[N+](=O)[O-])CCC1 ZINC000882716039 645428253 /nfs/dbraw/zinc/42/82/53/645428253.db2.gz ZDEFACLIWMMOCO-UHFFFAOYSA-N 0 0 283.303 2.889 20 5 CFBDRN COC(=O)Cc1ccc(NC[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000882756534 645444498 /nfs/dbraw/zinc/44/44/98/645444498.db2.gz FSLMSQFHWQIIKW-LLVKDONJSA-N 0 0 290.319 2.688 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCC(C)(C)CO1 ZINC000882763622 645446526 /nfs/dbraw/zinc/44/65/26/645446526.db2.gz TTWTVAGPUUMUTQ-NSHDSACASA-N 0 0 296.371 2.737 20 5 CFBDRN CC1(C)CC[C@H](CNc2ccnc(F)c2[N+](=O)[O-])OC1 ZINC000882762750 645446956 /nfs/dbraw/zinc/44/69/56/645446956.db2.gz OULCFLCAUXELJC-SECBINFHSA-N 0 0 283.303 2.746 20 5 CFBDRN NC(=O)c1cc(NCC2(CC3CC3)CC2)ccc1[N+](=O)[O-] ZINC000882773188 645450933 /nfs/dbraw/zinc/45/09/33/645450933.db2.gz CMYZBKUTXAAAGM-UHFFFAOYSA-N 0 0 289.335 2.686 20 5 CFBDRN CC1(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])CC(F)(F)C1 ZINC000882777865 645453600 /nfs/dbraw/zinc/45/36/00/645453600.db2.gz UUHLTOBENJCFGL-UHFFFAOYSA-N 0 0 299.277 2.541 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@H](CF)C1 ZINC000882801354 645462573 /nfs/dbraw/zinc/46/25/73/645462573.db2.gz NUMVHVJTSWXZNI-LLVKDONJSA-N 0 0 282.315 2.927 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@H](CF)C3)c2c1 ZINC000882839052 645474132 /nfs/dbraw/zinc/47/41/32/645474132.db2.gz FYKYSPTVOHUFHU-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@H](CF)C1 ZINC000882840413 645476176 /nfs/dbraw/zinc/47/61/76/645476176.db2.gz UGHZZGQKCJFDJR-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN CCOc1cccc(N2CC[C@H](CF)C2)c1[N+](=O)[O-] ZINC000882841101 645476198 /nfs/dbraw/zinc/47/61/98/645476198.db2.gz MYWIVHVZSJMZIJ-SNVBAGLBSA-N 0 0 268.288 2.789 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](CF)C2)c1[N+](=O)[O-] ZINC000882841100 645476593 /nfs/dbraw/zinc/47/65/93/645476593.db2.gz MYWIVHVZSJMZIJ-JTQLQIEISA-N 0 0 268.288 2.789 20 5 CFBDRN Cc1cc(N2CC[C@H](c3nccs3)C2)ncc1[N+](=O)[O-] ZINC000882859854 645481093 /nfs/dbraw/zinc/48/10/93/645481093.db2.gz NZWNYNCYFDQBCH-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(CC3CCOCC3)C2)c(F)c1 ZINC000882885203 645492328 /nfs/dbraw/zinc/49/23/28/645492328.db2.gz ODNNCUHDMDXXTC-UHFFFAOYSA-N 0 0 294.326 2.987 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])c(C)nn1CC)[C@H]1CCCOC1 ZINC000882954889 645526810 /nfs/dbraw/zinc/52/68/10/645526810.db2.gz XZBDSYLBRWAMEH-NWDGAFQWSA-N 0 0 296.371 2.737 20 5 CFBDRN Cc1cnc(NC2CCC3(COC3)CC2)c([N+](=O)[O-])c1 ZINC000882995369 645551098 /nfs/dbraw/zinc/55/10/98/645551098.db2.gz VARCDOFKYTUENR-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC2CCC3(COC3)CC2)c1 ZINC000882994950 645551458 /nfs/dbraw/zinc/55/14/58/645551458.db2.gz BGNLJFJSUYXKGK-UHFFFAOYSA-N 0 0 277.324 2.548 20 5 CFBDRN CCOCCCOC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000131388351 645717017 /nfs/dbraw/zinc/71/70/17/645717017.db2.gz ANIDNRHSODYRLZ-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN Cc1ccc(OC(=O)c2cscn2)cc1[N+](=O)[O-] ZINC000131868737 645840336 /nfs/dbraw/zinc/84/03/36/645840336.db2.gz SBYBVZZVEVFIDA-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN O=C(OC[C@@H]1CCC2(CCC2)O1)c1ccccc1[N+](=O)[O-] ZINC000883938792 646056046 /nfs/dbraw/zinc/05/60/46/646056046.db2.gz UAYVRQVMLBVCDH-NSHDSACASA-N 0 0 291.303 2.853 20 5 CFBDRN CN(Cc1ccc(Cl)c([N+](=O)[O-])c1)CC(F)F ZINC000133238360 646061598 /nfs/dbraw/zinc/06/15/98/646061598.db2.gz MMQMKOKETNZDSA-UHFFFAOYSA-N 0 0 264.659 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCCCC[C@H]2CO)c1 ZINC000133335745 646074813 /nfs/dbraw/zinc/07/48/13/646074813.db2.gz LQCLQTNPKLODBO-ZDUSSCGKSA-N 0 0 298.770 2.985 20 5 CFBDRN CC(=O)CCC(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000800093754 646136806 /nfs/dbraw/zinc/13/68/06/646136806.db2.gz WKAMBVVEEAMSLP-UHFFFAOYSA-N 0 0 285.683 2.661 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCCOCC(C)C ZINC000800104251 646142019 /nfs/dbraw/zinc/14/20/19/646142019.db2.gz YDORVGCDRRYQCP-UHFFFAOYSA-N 0 0 281.308 2.733 20 5 CFBDRN Cc1cc(CC(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)no1 ZINC000800148165 646156130 /nfs/dbraw/zinc/15/61/30/646156130.db2.gz ZMDVWHYBKAXZAJ-UHFFFAOYSA-N 0 0 293.254 2.520 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cncc(F)c1 ZINC000800147834 646156295 /nfs/dbraw/zinc/15/62/95/646156295.db2.gz BMUWWGXSGVPABU-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CCCCOC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 ZINC000133698659 646211125 /nfs/dbraw/zinc/21/11/25/646211125.db2.gz YFIVOVSDXLFRSR-UHFFFAOYSA-N 0 0 289.291 2.737 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])NCCC1CCC1 ZINC000133800842 646228544 /nfs/dbraw/zinc/22/85/44/646228544.db2.gz NJOMLTSKHJYVCW-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CCCNC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000134169124 646297025 /nfs/dbraw/zinc/29/70/25/646297025.db2.gz IOHZMKQIIVPFSX-MRVPVSSYSA-N 0 0 286.715 2.542 20 5 CFBDRN Cc1cccc(OS(=O)(=O)c2ccccc2)c1[N+](=O)[O-] ZINC000134211840 646305278 /nfs/dbraw/zinc/30/52/78/646305278.db2.gz GGNWRVOJIBCIRE-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN CSCC[C@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000134835013 646391400 /nfs/dbraw/zinc/39/14/00/646391400.db2.gz QLARFNMJVZKTJN-ZETCQYMHSA-N 0 0 282.325 2.685 20 5 CFBDRN Cc1cnc(N(C)CCc2cccc([N+](=O)[O-])c2)o1 ZINC000884498875 646402803 /nfs/dbraw/zinc/40/28/03/646402803.db2.gz NXZCQYAXGQCOFG-UHFFFAOYSA-N 0 0 261.281 2.570 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])c3ccccc32)[C@@H]1C ZINC000884517622 646415002 /nfs/dbraw/zinc/41/50/02/646415002.db2.gz SNIWCEDNVRGZLG-NXEZZACHSA-N 0 0 257.293 2.988 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])c3ccccc32)CO1 ZINC000884543354 646435342 /nfs/dbraw/zinc/43/53/42/646435342.db2.gz BQJGPRYPIARXMB-VHSXEESVSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1cc(F)cc(C(=O)Nc2ccc(F)nc2)c1[N+](=O)[O-] ZINC000135039082 646440744 /nfs/dbraw/zinc/44/07/44/646440744.db2.gz YOXIKRAZHBHOKA-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1ncc([N+](=O)[O-])c3ccccc31)C2 ZINC000884557357 646448075 /nfs/dbraw/zinc/44/80/75/646448075.db2.gz NAHRHVAKMSZIOP-MEDUHNTESA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3COC[C@@H]3C2)c2ccccc21 ZINC000884558914 646449627 /nfs/dbraw/zinc/44/96/27/646449627.db2.gz HWMVHMRBTMXNKF-NEPJUHHUSA-N 0 0 299.330 2.616 20 5 CFBDRN O=C(/C=C\C1CCCC1)OCc1cccnc1[N+](=O)[O-] ZINC000884564126 646454671 /nfs/dbraw/zinc/45/46/71/646454671.db2.gz YGAFDLVQOFBHQL-FPLPWBNLSA-N 0 0 276.292 2.779 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@@H]1C[C@@H]1C1CCCC1 ZINC000884567759 646456855 /nfs/dbraw/zinc/45/68/55/646456855.db2.gz RCFOSJIKUKTPAL-CHWSQXEVSA-N 0 0 290.319 2.859 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(SC[C@H](C)O)c2c1 ZINC000884581174 646466862 /nfs/dbraw/zinc/46/68/62/646466862.db2.gz VYTRJPLWPAFWMP-QMMMGPOBSA-N 0 0 294.332 2.625 20 5 CFBDRN C[C@@H](Cc1ccco1)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884581924 646468805 /nfs/dbraw/zinc/46/88/05/646468805.db2.gz OVOUVKKKDBLWML-JTQLQIEISA-N 0 0 290.275 2.505 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1C[C@@H]2C[C@@H]2C1 ZINC000884590711 646474073 /nfs/dbraw/zinc/47/40/73/646474073.db2.gz CABWGOACLCPRPJ-KNVOCYPGSA-N 0 0 256.664 2.843 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3C[C@@H]4C[C@@H]4C3)c2c1 ZINC000884590881 646474169 /nfs/dbraw/zinc/47/41/69/646474169.db2.gz HESCJICJWIWAPQ-PHIMTYICSA-N 0 0 255.277 2.599 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@@H]1c1ccccc1 ZINC000884641379 646502944 /nfs/dbraw/zinc/50/29/44/646502944.db2.gz IFXJHYIHFSAANF-YPMHNXCESA-N 0 0 286.335 2.916 20 5 CFBDRN CC1(C)CO[C@H](CCNc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000884675589 646523191 /nfs/dbraw/zinc/52/31/91/646523191.db2.gz IIWRTYITACYYEB-LLVKDONJSA-N 0 0 279.340 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3ncccn3)C2)cc1 ZINC000884709113 646535869 /nfs/dbraw/zinc/53/58/69/646535869.db2.gz WECNOZSIBYCAJG-LBPRGKRZSA-N 0 0 284.319 2.769 20 5 CFBDRN CCCOCC(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000135498795 646540878 /nfs/dbraw/zinc/54/08/78/646540878.db2.gz RGSUGFLVYVHNNS-UHFFFAOYSA-N 0 0 286.715 2.922 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000135500142 646541319 /nfs/dbraw/zinc/54/13/19/646541319.db2.gz FJQFRZDVFZFCQN-MRVPVSSYSA-N 0 0 286.715 2.920 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]([C@H]3CCCO3)C2)cc1 ZINC000884730667 646543183 /nfs/dbraw/zinc/54/31/83/646543183.db2.gz MHFLWVSLVDQJKV-BXUZGUMPSA-N 0 0 262.309 2.600 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@H]3CCCO3)C2)ccc1[N+](=O)[O-] ZINC000884731138 646544180 /nfs/dbraw/zinc/54/41/80/646544180.db2.gz TZHUYBZXLPQINK-IUODEOHRSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1ccc(N2CC[C@H]([C@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000884731163 646544283 /nfs/dbraw/zinc/54/42/83/646544283.db2.gz UILUTSMHHPPBJR-SWLSCSKDSA-N 0 0 276.336 2.909 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000884731134 646544500 /nfs/dbraw/zinc/54/45/00/646544500.db2.gz TZAQOAMRWNRXQS-FZMZJTMJSA-N 0 0 262.309 2.600 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@H]3CCCO3)C2)c([N+](=O)[O-])s1 ZINC000884739032 646546873 /nfs/dbraw/zinc/54/68/73/646546873.db2.gz HQHSUVCLZXXCGV-CMPLNLGQSA-N 0 0 282.365 2.970 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000884743578 646547944 /nfs/dbraw/zinc/54/79/44/646547944.db2.gz ZBSVJWDLTZJOHZ-BXKDBHETSA-N 0 0 297.742 2.649 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000884743581 646548056 /nfs/dbraw/zinc/54/80/56/646548056.db2.gz ZBSVJWDLTZJOHZ-SKDRFNHKSA-N 0 0 297.742 2.649 20 5 CFBDRN Cc1cc(N[C@H](CCO)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000884835328 646556217 /nfs/dbraw/zinc/55/62/17/646556217.db2.gz PHXFODXAYJWLPU-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN CN(CCCF)c1ncc([N+](=O)[O-])c2ccccc21 ZINC000884858499 646560582 /nfs/dbraw/zinc/56/05/82/646560582.db2.gz GIEGELOPFZRRHH-UHFFFAOYSA-N 0 0 263.272 2.939 20 5 CFBDRN CN(CCCF)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000884858318 646560664 /nfs/dbraw/zinc/56/06/64/646560664.db2.gz DAVKQSYVHOPUQV-UHFFFAOYSA-N 0 0 263.272 2.939 20 5 CFBDRN Cc1nnc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])s1 ZINC000135802456 646637068 /nfs/dbraw/zinc/63/70/68/646637068.db2.gz CTSDRRLLOGTWNG-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN Cc1nsc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])n1 ZINC000135826459 646643296 /nfs/dbraw/zinc/64/32/96/646643296.db2.gz MILODIQNDPKZFE-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN CC(C)N(Cc1ccco1)Cc1cccnc1[N+](=O)[O-] ZINC000885967589 646788930 /nfs/dbraw/zinc/78/89/30/646788930.db2.gz STZBCUASHKVPLC-UHFFFAOYSA-N 0 0 275.308 2.993 20 5 CFBDRN O=[N+]([O-])c1ncccc1CNC1(c2ccccc2)CC1 ZINC000886109436 646875940 /nfs/dbraw/zinc/87/59/40/646875940.db2.gz KJXNRTRTMLZHOF-UHFFFAOYSA-N 0 0 269.304 2.769 20 5 CFBDRN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2cccnc2[N+](=O)[O-])C1 ZINC000886134473 646888252 /nfs/dbraw/zinc/88/82/52/646888252.db2.gz SSRWLCSNCGWALN-DOMZBBRYSA-N 0 0 298.346 2.968 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCC[N@@H+]1Cc1cccnc1[N+](=O)[O-] ZINC000886203005 646917920 /nfs/dbraw/zinc/91/79/20/646917920.db2.gz JRGGVJWZDAVYOO-JSGCOSHPSA-N 0 0 293.367 2.505 20 5 CFBDRN Cc1c(CN[C@H](C)c2ncco2)cccc1[N+](=O)[O-] ZINC000886272575 646944957 /nfs/dbraw/zinc/94/49/57/646944957.db2.gz UTWPPEIEHCFZSB-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1ccc(CN[C@H](C)c2ncco2)cc1[N+](=O)[O-] ZINC000886275880 646946639 /nfs/dbraw/zinc/94/66/39/646946639.db2.gz PGNFXBNFBTUHMR-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN C[C@H](N[C@H](CCO)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000886797468 647140809 /nfs/dbraw/zinc/14/08/09/647140809.db2.gz VCZJQRJWOOBJAK-GZMMTYOYSA-N 0 0 292.257 2.559 20 5 CFBDRN Cc1cc(COC(=O)c2c(C)cccc2[N+](=O)[O-])on1 ZINC000139361286 647178519 /nfs/dbraw/zinc/17/85/19/647178519.db2.gz LAXZHYPOQZUECZ-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@]12C[C@H]1CCC2 ZINC000887043886 647196617 /nfs/dbraw/zinc/19/66/17/647196617.db2.gz TXMYOJFJSWAWCY-HZYUJGRASA-N 0 0 272.304 2.667 20 5 CFBDRN CCC[C@@H](OC)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887400393 647303064 /nfs/dbraw/zinc/30/30/64/647303064.db2.gz ATSPRYJRBRTXGM-SMDDNHRTSA-N 0 0 294.351 2.630 20 5 CFBDRN CC(C)[C@@H](F)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887400699 647303157 /nfs/dbraw/zinc/30/31/57/647303157.db2.gz HVEORJKGVSVJTD-GXFFZTMASA-N 0 0 282.315 2.809 20 5 CFBDRN C[C@H](CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000887402932 647303767 /nfs/dbraw/zinc/30/37/67/647303767.db2.gz LMPPMOAZCLRRST-PBOSXPJTSA-N 0 0 288.347 2.861 20 5 CFBDRN CSCCCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403208 647303877 /nfs/dbraw/zinc/30/38/77/647303877.db2.gz RJJWTTPDEJJEAT-NSHDSACASA-N 0 0 296.392 2.958 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000887404478 647304675 /nfs/dbraw/zinc/30/46/75/647304675.db2.gz GHOQXPZFRMLJBV-LLVKDONJSA-N 0 0 299.330 2.832 20 5 CFBDRN CC(C)=CC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404771 647304687 /nfs/dbraw/zinc/30/46/87/647304687.db2.gz LFHYOXJVDNYULV-NSHDSACASA-N 0 0 262.309 2.781 20 5 CFBDRN CC(C)SCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887405121 647305346 /nfs/dbraw/zinc/30/53/46/647305346.db2.gz TYNAJNMSIVGWIL-NSHDSACASA-N 0 0 296.392 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCSC2)c1C ZINC000890309647 647343436 /nfs/dbraw/zinc/34/34/36/647343436.db2.gz JDSHLMJJRNCLPA-LLVKDONJSA-N 0 0 294.376 2.695 20 5 CFBDRN CC1(C)CO[C@H](CC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000887730489 647401726 /nfs/dbraw/zinc/40/17/26/647401726.db2.gz SYIQCCUYUAMKTD-GFCCVEGCSA-N 0 0 278.308 2.739 20 5 CFBDRN O=C(c1cnc(C2CC2)o1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000887817514 647418529 /nfs/dbraw/zinc/41/85/29/647418529.db2.gz ARUUTSJGDNTJCO-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN O=C(Oc1cccc(F)c1)c1ccc([N+](=O)[O-])cc1 ZINC000006213477 647458893 /nfs/dbraw/zinc/45/88/93/647458893.db2.gz LGJMHTVXXPTTHY-UHFFFAOYSA-N 0 0 261.208 2.953 20 5 CFBDRN COc1ccc(C(=O)Oc2cccc(F)c2)cc1[N+](=O)[O-] ZINC000006213519 647458982 /nfs/dbraw/zinc/45/89/82/647458982.db2.gz VXPQBZJTLFDZFL-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CC(C)C)c1C ZINC000888069677 647476566 /nfs/dbraw/zinc/47/65/66/647476566.db2.gz SCQGSKSFXLFEEI-UHFFFAOYSA-N 0 0 264.325 2.940 20 5 CFBDRN CN(C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N)C1CCC1 ZINC000889243096 647525043 /nfs/dbraw/zinc/52/50/43/647525043.db2.gz MPVLFEINPUCSGR-UHFFFAOYSA-N 0 0 299.330 2.955 20 5 CFBDRN CC1(CNC(=O)c2cc3ccccc3c([N+](=O)[O-])c2N)CC1 ZINC000889346966 647544886 /nfs/dbraw/zinc/54/48/86/647544886.db2.gz YPDQBKIKQRYRDV-UHFFFAOYSA-N 0 0 299.330 2.860 20 5 CFBDRN CC[C@H](CO)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000104222655 647560821 /nfs/dbraw/zinc/56/08/21/647560821.db2.gz GTRZKEPYBBXFHB-SSDOTTSWSA-N 0 0 260.240 2.715 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889585789 647606039 /nfs/dbraw/zinc/60/60/39/647606039.db2.gz WVAZESQDAHGGLP-XHVZSJERSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)cc1[N+](=O)[O-] ZINC000889588132 647607167 /nfs/dbraw/zinc/60/71/67/647607167.db2.gz VBDQIDGJOXDYEH-IVZWLZJFSA-N 0 0 280.349 2.883 20 5 CFBDRN COC1(CNC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])CCC1 ZINC000889888631 647692529 /nfs/dbraw/zinc/69/25/29/647692529.db2.gz ZFLDIMZCSXPJHU-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN C[C@@H]1CSCCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000889914657 647699205 /nfs/dbraw/zinc/69/92/05/647699205.db2.gz IIIXJYZZFKNTGU-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN CCN(CC(F)F)C(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000889939725 647707670 /nfs/dbraw/zinc/70/76/70/647707670.db2.gz NKXUCDVWFTZJEJ-UHFFFAOYSA-N 0 0 286.278 2.939 20 5 CFBDRN CC[C@]1(NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])CCOC1 ZINC000890193116 647765764 /nfs/dbraw/zinc/76/57/64/647765764.db2.gz POYBUBTYGGRPFC-HNNXBMFYSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CSC[C@H]2C)c1C ZINC000890516562 647812916 /nfs/dbraw/zinc/81/29/16/647812916.db2.gz IJBADCRDHSZWNB-MWLCHTKSSA-N 0 0 294.376 2.693 20 5 CFBDRN CC1(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000924201309 647940228 /nfs/dbraw/zinc/94/02/28/647940228.db2.gz YAWNSXDNRGZGBA-UHFFFAOYSA-N 0 0 289.287 2.677 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@]12C[C@H]1CCC2 ZINC000924430095 647979750 /nfs/dbraw/zinc/97/97/50/647979750.db2.gz ICKFHOMANKILIC-BXKDBHETSA-N 0 0 267.306 2.890 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@]12C[C@H]1CCC2 ZINC000924432660 647980311 /nfs/dbraw/zinc/98/03/11/647980311.db2.gz NJZBKYSTRGNNFV-QMTHXVAHSA-N 0 0 279.267 2.967 20 5 CFBDRN CC[S@@](C)(=O)=NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000925820637 648333562 /nfs/dbraw/zinc/33/35/62/648333562.db2.gz LUNBQRRZWCDUSQ-HXUWFJFHSA-N 0 0 298.364 2.517 20 5 CFBDRN CC[S@](C)(=O)=NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000925829588 648336511 /nfs/dbraw/zinc/33/65/11/648336511.db2.gz PFUWCECYWGVWGE-QJRAZLAKSA-N 0 0 296.348 2.642 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N=[S@](C)(=O)C(C)C ZINC000926053031 648392993 /nfs/dbraw/zinc/39/29/93/648392993.db2.gz VCUORONVHMHLTR-HXUWFJFHSA-N 0 0 298.364 2.858 20 5 CFBDRN C[C@@H](N[C@H]1C[C@@H](C)n2ncnc21)c1ccccc1[N+](=O)[O-] ZINC000926837353 648630894 /nfs/dbraw/zinc/63/08/94/648630894.db2.gz SQYATZSDEALARG-FOGDFJRCSA-N 0 0 287.323 2.543 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c1[N+](=O)[O-] ZINC000928045968 648850393 /nfs/dbraw/zinc/85/03/93/648850393.db2.gz UZFCIXVVMZDHMB-FOUMNBMASA-N 0 0 274.320 2.678 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1O[C@H](C)C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000928244964 648887047 /nfs/dbraw/zinc/88/70/47/648887047.db2.gz HVYYFWXRZLYCID-ZHSDAYTOSA-N 0 0 292.335 2.586 20 5 CFBDRN CCO[C@@H](CC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246307 648887432 /nfs/dbraw/zinc/88/74/32/648887432.db2.gz ACDNTMYBZJWVJI-MFKMUULPSA-N 0 0 280.324 2.587 20 5 CFBDRN COC1(CC(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000928245446 648887752 /nfs/dbraw/zinc/88/77/52/648887752.db2.gz QCHHUDQWKZWSFA-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245881 648887903 /nfs/dbraw/zinc/88/79/03/648887903.db2.gz XTZUTNUFLHVSNP-GRYCIOLGSA-N 0 0 294.351 2.691 20 5 CFBDRN CCC(CC)(CO)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246239 648888011 /nfs/dbraw/zinc/88/80/11/648888011.db2.gz FHGPNXOVDJGKSD-LLVKDONJSA-N 0 0 294.351 2.571 20 5 CFBDRN C[C@@H](NC(=O)/C=C\[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928247194 648888595 /nfs/dbraw/zinc/88/85/95/648888595.db2.gz SHMPXYFWKWWSBE-AAEXLFJZSA-N 0 0 290.319 2.507 20 5 CFBDRN CSCCCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928249259 648888664 /nfs/dbraw/zinc/88/86/64/648888664.db2.gz QGEXZNAPOJXWNI-SNVBAGLBSA-N 0 0 282.365 2.915 20 5 CFBDRN C[C@@H](NC(=O)[C@]1(C)CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000928246737 648888881 /nfs/dbraw/zinc/88/88/81/648888881.db2.gz CUJHCYZTMZZOLP-IAQYHMDHSA-N 0 0 292.335 2.589 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000928940107 648968082 /nfs/dbraw/zinc/96/80/82/648968082.db2.gz IXJXMDAAEKFZMP-ARJAWSKDSA-N 0 0 274.729 2.917 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000929117833 648982984 /nfs/dbraw/zinc/98/29/84/648982984.db2.gz FONLSJKHWBVQPZ-AAEUAGOBSA-N 0 0 293.323 2.544 20 5 CFBDRN O=C(C[C@H]1CC[C@H](C2CC2)O1)Nc1cccc([N+](=O)[O-])c1 ZINC000929134266 648986957 /nfs/dbraw/zinc/98/69/57/648986957.db2.gz ULOFQAIXEDBNBB-ZIAGYGMSSA-N 0 0 290.319 2.881 20 5 CFBDRN CN(CC[N+](=O)[O-])Cc1ccc(Cl)cc1Cl ZINC000929138111 648987587 /nfs/dbraw/zinc/98/75/87/648987587.db2.gz HFEHXCAQYHLTEA-UHFFFAOYSA-N 0 0 263.124 2.702 20 5 CFBDRN CCCCNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929150881 648990391 /nfs/dbraw/zinc/99/03/91/648990391.db2.gz WAMNUGSYBBHLRP-OLZOCXBDSA-N 0 0 262.309 2.615 20 5 CFBDRN CC(C)CCNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929159934 648991684 /nfs/dbraw/zinc/99/16/84/648991684.db2.gz SUJAEPJRNFIHTL-KBPBESRZSA-N 0 0 276.336 2.861 20 5 CFBDRN Cc1nnsc1[C@@H](C)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000929164721 648993171 /nfs/dbraw/zinc/99/31/71/648993171.db2.gz LLUAKWNBBYXMQM-SNVBAGLBSA-N 0 0 292.364 2.948 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@H]1c1ccc(Cl)cc1 ZINC000929175198 648994797 /nfs/dbraw/zinc/99/47/97/648994797.db2.gz PYQRPLCPCDRXPB-LBPRGKRZSA-N 0 0 254.717 2.754 20 5 CFBDRN CC(C)(C)NC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929778373 649119174 /nfs/dbraw/zinc/11/91/74/649119174.db2.gz IABIXMAKYMQNTA-NEPJUHHUSA-N 0 0 262.309 2.613 20 5 CFBDRN CC(C)N(C)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929923832 649150959 /nfs/dbraw/zinc/15/09/59/649150959.db2.gz OXBIRLHFTGMCSE-UHFFFAOYSA-N 0 0 268.288 2.533 20 5 CFBDRN O=[N+]([O-])CCN1CCC(=Cc2cccc(F)c2)CC1 ZINC000930051709 649173295 /nfs/dbraw/zinc/17/32/95/649173295.db2.gz GVPSCAGGIIACES-UHFFFAOYSA-N 0 0 264.300 2.582 20 5 CFBDRN O=[N+]([O-])CC[N@@H+]1CCC[C@@H](COc2ccccc2Cl)C1 ZINC000930084899 649181062 /nfs/dbraw/zinc/18/10/62/649181062.db2.gz CZHXIWVFBVBIFJ-GFCCVEGCSA-N 0 0 298.770 2.708 20 5 CFBDRN C[C@]1(C(=O)NCc2ccc([N+](=O)[O-])cc2)C=CCC1 ZINC000930276587 649220695 /nfs/dbraw/zinc/22/06/95/649220695.db2.gz QOCTZHBGWNLFIC-AWEZNQCLSA-N 0 0 260.293 2.567 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCn2cccc2C1 ZINC000932530365 649615584 /nfs/dbraw/zinc/61/55/84/649615584.db2.gz KCTVEOGLJFKQOD-LBPRGKRZSA-N 0 0 271.320 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCn3cccc3C2)c(F)c1 ZINC000932530212 649615613 /nfs/dbraw/zinc/61/56/13/649615613.db2.gz BXELNEQWOFBXNX-UHFFFAOYSA-N 0 0 275.283 2.551 20 5 CFBDRN CON(CC[N+](=O)[O-])[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000932544271 649616823 /nfs/dbraw/zinc/61/68/23/649616823.db2.gz GSPNAHPVQROGLJ-SECBINFHSA-N 0 0 292.257 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@H]2CC2CC2)cc1F ZINC000933208112 649679304 /nfs/dbraw/zinc/67/93/04/649679304.db2.gz HDQLSJWJYYMSPG-CYBMUJFWSA-N 0 0 294.326 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@H]2CC2CC2)cs1 ZINC000933212414 649679837 /nfs/dbraw/zinc/67/98/37/649679837.db2.gz GVEBBUFAGWSRDP-GFCCVEGCSA-N 0 0 282.365 2.657 20 5 CFBDRN CN(C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000933614798 649706693 /nfs/dbraw/zinc/70/66/93/649706693.db2.gz TYOTVLKDPMRXAZ-KBPBESRZSA-N 0 0 274.320 2.709 20 5 CFBDRN CC1(C)CCN(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000933779652 649721296 /nfs/dbraw/zinc/72/12/96/649721296.db2.gz FIHJTXRDJLXGTE-KBPBESRZSA-N 0 0 288.347 2.957 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000934108056 649750500 /nfs/dbraw/zinc/75/05/00/649750500.db2.gz ZBEVCHZMOWWOPI-DGCLKSJQSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1[nH]nc2c1CCCN2Cc1ccccc1[N+](=O)[O-] ZINC000934306714 649771700 /nfs/dbraw/zinc/77/17/00/649771700.db2.gz PRYGBIRVARHPQN-UHFFFAOYSA-N 0 0 272.308 2.579 20 5 CFBDRN C[C@@]1(C2CC2)COCCN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000934475815 649792011 /nfs/dbraw/zinc/79/20/11/649792011.db2.gz PBKDICIWQAICLG-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000421581702 651096596 /nfs/dbraw/zinc/09/65/96/651096596.db2.gz XWWDFHSZRUIVLV-CABZTGNLSA-N 0 0 260.293 2.572 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc(N)c([N+](=O)[O-])c1)c1ccccc1 ZINC000017849357 651280294 /nfs/dbraw/zinc/28/02/94/651280294.db2.gz WKNQDBQPHWZFKA-NSHDSACASA-N 0 0 299.330 2.711 20 5 CFBDRN O=C(NCC(F)(F)F)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000019503756 651291270 /nfs/dbraw/zinc/29/12/70/651291270.db2.gz SDDWAYBWXGJLIS-UHFFFAOYSA-N 0 0 282.605 2.540 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000118634353 651292338 /nfs/dbraw/zinc/29/23/38/651292338.db2.gz ZJNJFKIIVAUHCG-MRVPVSSYSA-N 0 0 286.715 2.920 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)COCC1CC1 ZINC000118634567 651292340 /nfs/dbraw/zinc/29/23/40/651292340.db2.gz AHWYHZMQDQXILV-UHFFFAOYSA-N 0 0 298.726 2.922 20 5 CFBDRN CCC[C@H](CC)NS(=O)(=O)c1csc([N+](=O)[O-])c1 ZINC000119665121 651299686 /nfs/dbraw/zinc/29/96/86/651299686.db2.gz XPNBXSVLUIQHAS-QMMMGPOBSA-N 0 0 292.382 2.513 20 5 CFBDRN COc1ccc(COc2cccc(C=O)c2)cc1[N+](=O)[O-] ZINC000021026839 651303103 /nfs/dbraw/zinc/30/31/03/651303103.db2.gz LMEJDNWBNHPHCD-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(NC[C@@H]2CCCC[C@@H]2C)n1 ZINC001155073929 782199375 /nfs/dbraw/zinc/19/93/75/782199375.db2.gz VUHLVKKQNVLZSQ-ONGXEEELSA-N 0 0 264.329 2.931 20 5 CFBDRN O=[N+]([O-])c1cc(NCCC2=CCCCC2)cn2cnnc12 ZINC001168772671 782247771 /nfs/dbraw/zinc/24/77/71/782247771.db2.gz YHGOHBFDCFJCSM-UHFFFAOYSA-N 0 0 287.323 2.940 20 5 CFBDRN Cc1ccc(COC(=O)c2cc([N+](=O)[O-])cn2C)cc1F ZINC000766280219 782255475 /nfs/dbraw/zinc/25/54/75/782255475.db2.gz FEWZNSGSDHYYBA-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)c(Cl)cn1)C1CC1 ZINC001229225114 776758066 /nfs/dbraw/zinc/75/80/66/776758066.db2.gz ZPITXZQTMGQBQS-SECBINFHSA-N 0 0 277.107 2.823 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC[C@@H](CF)C1 ZINC000711505567 781449349 /nfs/dbraw/zinc/44/93/49/781449349.db2.gz BPXVZAIGHWAGMB-QMMMGPOBSA-N 0 0 286.690 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCC3(CCC3)O2)n1 ZINC000711524134 781453318 /nfs/dbraw/zinc/45/33/18/781453318.db2.gz YCTKWIHZSVGJGA-LLVKDONJSA-N 0 0 277.324 2.812 20 5 CFBDRN CC(=O)c1ccc(N2C[C@@H]3CSC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000711548814 781454505 /nfs/dbraw/zinc/45/45/05/781454505.db2.gz NDMJLIJGCAJELH-TXEJJXNPSA-N 0 0 292.360 2.597 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccc(F)cn2)cc1[N+](=O)[O-] ZINC001128914557 780938971 /nfs/dbraw/zinc/93/89/71/780938971.db2.gz HICJKIHLESVDSK-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN C[C@H](Oc1ccc(Cl)nc1[N+](=O)[O-])c1cnccn1 ZINC001230882108 781877630 /nfs/dbraw/zinc/87/76/30/781877630.db2.gz CUCOIHWMPJSDEM-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC(c2ccccc2)C1 ZINC001167061424 769879536 /nfs/dbraw/zinc/87/95/36/769879536.db2.gz OAAJIUUMUPDVCY-UHFFFAOYSA-N 0 0 273.267 2.733 20 5 CFBDRN Cc1nc(Cl)c([N+](=O)[O-])c(N(C)C[C@@H]2CC2(C)C)n1 ZINC001167090532 769970264 /nfs/dbraw/zinc/97/02/64/769970264.db2.gz CAWXGWCHGZHPGP-QMMMGPOBSA-N 0 0 284.747 2.829 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)c1ccc2[nH]cc([N+](=O)[O-])c2n1 ZINC001167093176 769979339 /nfs/dbraw/zinc/97/93/39/769979339.db2.gz VHIUVQJKAAEMJF-VIFPVBQESA-N 0 0 274.324 2.953 20 5 CFBDRN Cc1cc2c(cn1)CN(c1cc([N+](=O)[O-])c3cc[nH]c3n1)C2 ZINC001167113165 770038213 /nfs/dbraw/zinc/03/82/13/770038213.db2.gz ZMEGZOINMOLGNH-UHFFFAOYSA-N 0 0 295.302 2.695 20 5 CFBDRN CNC(=O)c1ccc(Nc2cccc([N+](=O)[O-])c2)cc1 ZINC001204457448 770127790 /nfs/dbraw/zinc/12/77/90/770127790.db2.gz YGRDOLBCJCSULV-UHFFFAOYSA-N 0 0 271.276 2.698 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(N3CC[C@@H]4OCC[C@@H]4C3)nc21 ZINC001167212442 770146008 /nfs/dbraw/zinc/14/60/08/770146008.db2.gz DUFQKRTZYQNVEG-OCCSQVGLSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1C[C@H]2[C@H](CF)[C@H]2C1 ZINC001167226018 770159839 /nfs/dbraw/zinc/15/98/39/770159839.db2.gz WCYDRUXUQBXRGR-CNDDSTCGSA-N 0 0 287.294 2.795 20 5 CFBDRN O=C1NCc2cccc(Nc3cccc([N+](=O)[O-])c3)c2N1 ZINC001204476584 770172935 /nfs/dbraw/zinc/17/29/35/770172935.db2.gz PXDAQHCPKMNSFB-UHFFFAOYSA-N 0 0 284.275 2.974 20 5 CFBDRN Cc1cc(Cl)c(NCc2cncnc2)c([N+](=O)[O-])c1 ZINC001167268640 770192629 /nfs/dbraw/zinc/19/26/29/770192629.db2.gz TWRNDALQQQHILE-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN COc1ncc(Nc2cn(C(C)C)cn2)cc1[N+](=O)[O-] ZINC001210136229 770214735 /nfs/dbraw/zinc/21/47/35/770214735.db2.gz HUJAFNXIWNZZGY-UHFFFAOYSA-N 0 0 277.284 2.519 20 5 CFBDRN CC(=O)Nc1cc(Nc2cccc([N+](=O)[O-])c2F)ccn1 ZINC001210381792 770275045 /nfs/dbraw/zinc/27/50/45/770275045.db2.gz PORDEAOTXKVMRB-UHFFFAOYSA-N 0 0 290.254 2.831 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc2ccc(NCc3cccnn3)nc21 ZINC001167324511 770289248 /nfs/dbraw/zinc/28/92/48/770289248.db2.gz OMYSPAUGCFEQHN-UHFFFAOYSA-N 0 0 299.265 2.684 20 5 CFBDRN COc1ccc(NC(=O)c2cocc2C)cc1[N+](=O)[O-] ZINC001266541194 770303434 /nfs/dbraw/zinc/30/34/34/770303434.db2.gz AOIBRXRXRJBHMX-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN O=C1CC(Nc2nc(-c3ccccc3)ccc2[N+](=O)[O-])C1 ZINC001167335963 770310567 /nfs/dbraw/zinc/31/05/67/770310567.db2.gz MHHUNKJYIIUZLC-UHFFFAOYSA-N 0 0 283.287 2.800 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1cc2cccn2cn1 ZINC001125418728 770358668 /nfs/dbraw/zinc/35/86/68/770358668.db2.gz KQQOMRMOOGMYGG-UHFFFAOYSA-N 0 0 297.270 2.600 20 5 CFBDRN Cc1cc(Nc2ccc(F)cc2[N+](=O)[O-])nc(C)n1 ZINC001210950233 770403628 /nfs/dbraw/zinc/40/36/28/770403628.db2.gz VGWFIRKBARIIPH-UHFFFAOYSA-N 0 0 262.244 2.884 20 5 CFBDRN Cc1csc(Nc2ccc(F)c([N+](=O)[O-])c2)c1C(N)=O ZINC001211191436 770449434 /nfs/dbraw/zinc/44/94/34/770449434.db2.gz VQVLOFDPPRTBPT-UHFFFAOYSA-N 0 0 295.295 2.946 20 5 CFBDRN CCOc1cc(Nc2ccc(F)c([N+](=O)[O-])c2)no1 ZINC001211191589 770449878 /nfs/dbraw/zinc/44/98/78/770449878.db2.gz ZAUAWKDZJBZTJJ-UHFFFAOYSA-N 0 0 267.216 2.864 20 5 CFBDRN C[C@@]1(CO)CCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000723039947 770452026 /nfs/dbraw/zinc/45/20/26/770452026.db2.gz ZQEHBRZFMCTSMA-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN COC(=O)c1ncsc1Nc1cc([N+](=O)[O-])ccc1C ZINC001211288234 770476542 /nfs/dbraw/zinc/47/65/42/770476542.db2.gz LORJSHKQKRBKLF-UHFFFAOYSA-N 0 0 293.304 2.890 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CC2)[C@@H]2CCCOC2)s1 ZINC000723877144 770701262 /nfs/dbraw/zinc/70/12/62/770701262.db2.gz OJFRBDJQOSUVCG-KOLCDFICSA-N 0 0 283.353 2.668 20 5 CFBDRN CO[C@@H](C)COC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588445112 770705692 /nfs/dbraw/zinc/70/56/92/770705692.db2.gz HFSYKQUCEGKKHF-IEHMKBBKSA-N 0 0 279.292 2.576 20 5 CFBDRN CCOC(=O)c1cc(Nc2cc(F)cc([N+](=O)[O-])c2)on1 ZINC001216481773 770944215 /nfs/dbraw/zinc/94/42/15/770944215.db2.gz CNYOBDNTWCRPIS-UHFFFAOYSA-N 0 0 295.226 2.642 20 5 CFBDRN Cc1ccc(Nc2noc3nccnc23)cc1[N+](=O)[O-] ZINC001213661333 773208169 /nfs/dbraw/zinc/20/81/69/773208169.db2.gz BAXHZTBWXLCONE-UHFFFAOYSA-N 0 0 271.236 2.578 20 5 CFBDRN CC[C@@H](COC)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224185101 775120918 /nfs/dbraw/zinc/12/09/18/775120918.db2.gz BTLWBZVAOHGOSZ-NSHDSACASA-N 0 0 268.313 2.548 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000721535437 775129095 /nfs/dbraw/zinc/12/90/95/775129095.db2.gz ZVMBADJOEGHRDF-SCZZXKLOSA-N 0 0 264.281 2.522 20 5 CFBDRN CC[C@H](COCCc1ccc([N+](=O)[O-])cc1)OC ZINC001224333479 775160992 /nfs/dbraw/zinc/16/09/92/775160992.db2.gz GMBHHNDKORHCDR-CYBMUJFWSA-N 0 0 253.298 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@@H]1CC12CC(O)C2 ZINC000724917906 775177719 /nfs/dbraw/zinc/17/77/19/775177719.db2.gz OBSAANXXLHEQPX-LXJQOYHNSA-N 0 0 286.690 2.713 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCCC1CC1)c1ccc2c(c1)OCO2 ZINC001224760795 775268675 /nfs/dbraw/zinc/26/86/75/775268675.db2.gz DANNLNBSDGDXPI-CQSZACIVSA-N 0 0 279.292 2.550 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC[C@@H]2CCC=CO2)cc1 ZINC001224777273 775271357 /nfs/dbraw/zinc/27/13/57/775271357.db2.gz QWOCTJVPXICHJY-AWEZNQCLSA-N 0 0 279.292 2.683 20 5 CFBDRN CC(C)(C)OC(=O)CCCCO[C@H](C[N+](=O)[O-])C1CC1 ZINC001224882806 775299120 /nfs/dbraw/zinc/29/91/20/775299120.db2.gz XAIQJGMPETUUFT-GFCCVEGCSA-N 0 0 287.356 2.570 20 5 CFBDRN CCOc1ccc(CCO[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001225071313 775350166 /nfs/dbraw/zinc/35/01/66/775350166.db2.gz SJDNKNKNEOYQFP-OAHLLOKOSA-N 0 0 279.336 2.700 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccccc1F ZINC001225200987 775365131 /nfs/dbraw/zinc/36/51/31/775365131.db2.gz YGXJFJRXUFEBFH-SECBINFHSA-N 0 0 279.271 2.706 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccccc1C ZINC001225219669 775369468 /nfs/dbraw/zinc/36/94/68/775369468.db2.gz WKPOUEPDKRXSFM-NSHDSACASA-N 0 0 275.308 2.876 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cc(F)cc(F)c1 ZINC001225225716 775370367 /nfs/dbraw/zinc/37/03/67/775370367.db2.gz BKBKCKVHQRFUDQ-MRVPVSSYSA-N 0 0 297.261 2.845 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(Br)cc1)C1CC1 ZINC001225249879 775377776 /nfs/dbraw/zinc/37/77/76/775377776.db2.gz SCBDJNQDBXQDPO-NSHDSACASA-N 0 0 286.125 2.883 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(Br)cc1)C1CC1 ZINC001225249878 775377942 /nfs/dbraw/zinc/37/79/42/775377942.db2.gz SCBDJNQDBXQDPO-LLVKDONJSA-N 0 0 286.125 2.883 20 5 CFBDRN CCOc1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc1 ZINC001225255913 775380842 /nfs/dbraw/zinc/38/08/42/775380842.db2.gz NSIPWCIOTDYIOV-OAHLLOKOSA-N 0 0 288.303 2.877 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cncc(Cl)c1)c1cccnc1 ZINC001225286956 775392754 /nfs/dbraw/zinc/39/27/54/775392754.db2.gz MMMCZIXZWLOSIC-LBPRGKRZSA-N 0 0 279.683 2.527 20 5 CFBDRN Cc1nccnc1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001125796649 775395854 /nfs/dbraw/zinc/39/58/54/775395854.db2.gz UERAPOLJAPUHGZ-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCC[C@H](Oc1ccc(F)c([N+](=O)[O-])c1)C(=O)OCC ZINC001225381155 775435452 /nfs/dbraw/zinc/43/54/52/775435452.db2.gz DJCZVVWOXQBJKJ-LBPRGKRZSA-N 0 0 285.271 2.845 20 5 CFBDRN CCC[C@@H](Oc1ccc(F)c([N+](=O)[O-])c1)C(=O)OCC ZINC001225381152 775435597 /nfs/dbraw/zinc/43/55/97/775435597.db2.gz DJCZVVWOXQBJKJ-GFCCVEGCSA-N 0 0 285.271 2.845 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2ccc(F)c([N+](=O)[O-])c2)CC1 ZINC001225381975 775436344 /nfs/dbraw/zinc/43/63/44/775436344.db2.gz JUSWHSTUJRLAFI-MGCOHNPYSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCC=CO2)ccc1F ZINC001225382835 775436642 /nfs/dbraw/zinc/43/66/42/775436642.db2.gz SWERRRGJGGOMOT-JTQLQIEISA-N 0 0 253.229 2.805 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCCC3(C2)OCCO3)ccc1F ZINC001225383429 775436774 /nfs/dbraw/zinc/43/67/74/775436774.db2.gz AGKWRVDXDFYLSV-LLVKDONJSA-N 0 0 297.282 2.798 20 5 CFBDRN C[C@H](Oc1ccc(F)c([N+](=O)[O-])c1)C1CCOCC1 ZINC001225381854 775437358 /nfs/dbraw/zinc/43/73/58/775437358.db2.gz IFIUIEGQKMGPAS-VIFPVBQESA-N 0 0 269.272 2.928 20 5 CFBDRN COc1cc(OC2CCSCC2)ccc1[N+](=O)[O-] ZINC001225436316 775455756 /nfs/dbraw/zinc/45/57/56/775455756.db2.gz RITMPWPIDFGXRB-UHFFFAOYSA-N 0 0 269.322 2.878 20 5 CFBDRN CCCOC[C@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225577018 775508032 /nfs/dbraw/zinc/50/80/32/775508032.db2.gz DTJMLBVTVCNYPT-VIFPVBQESA-N 0 0 257.261 2.928 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225577216 775509168 /nfs/dbraw/zinc/50/91/68/775509168.db2.gz GVPAXRDWXHAQGA-JTQLQIEISA-N 0 0 285.271 2.845 20 5 CFBDRN CC1(C)CC(Oc2ncccc2[N+](=O)[O-])CC(C)(C)N1O ZINC001225593426 775515061 /nfs/dbraw/zinc/51/50/61/775515061.db2.gz QGIGLELCNDTPQV-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN C[C@H](Cc1ccccc1)Oc1ncccc1[N+](=O)[O-] ZINC001225593757 775516405 /nfs/dbraw/zinc/51/64/05/775516405.db2.gz XUXSNHKZCKZSSV-LLVKDONJSA-N 0 0 258.277 3.000 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1ncccc1[N+](=O)[O-] ZINC001225594073 775516487 /nfs/dbraw/zinc/51/64/87/775516487.db2.gz AQOIHQLAGSYGBX-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC001225594679 775516694 /nfs/dbraw/zinc/51/66/94/775516694.db2.gz UWFAXNAAEXJZMK-BXKDBHETSA-N 0 0 296.279 2.615 20 5 CFBDRN C[C@H](Oc1ncccc1[N+](=O)[O-])c1ncccc1F ZINC001225595438 775516821 /nfs/dbraw/zinc/51/68/21/775516821.db2.gz JUBBXWHPXJWCCK-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H](Oc1ncccc1[N+](=O)[O-])c1nccs1 ZINC001225595793 775517899 /nfs/dbraw/zinc/51/78/99/775517899.db2.gz MAAIULJYASVNEM-SSDOTTSWSA-N 0 0 251.267 2.586 20 5 CFBDRN Cc1cnc(F)c([C@H](C)Oc2ncccc2[N+](=O)[O-])c1 ZINC001225597715 775518119 /nfs/dbraw/zinc/51/81/19/775518119.db2.gz ULOIMCYZMJQEDX-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(Cl)nc1)c1cccnc1 ZINC001225599067 775518401 /nfs/dbraw/zinc/51/84/01/775518401.db2.gz QIXUENBGWXHJCS-NSHDSACASA-N 0 0 279.683 2.527 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CCC2)c([N+](=O)[O-])c1 ZINC001225600470 775519039 /nfs/dbraw/zinc/51/90/39/775519039.db2.gz HLQORMSARXJEOH-UHFFFAOYSA-N 0 0 252.226 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1O[C@@H]1CNCC(F)(F)C1 ZINC001218274679 775526997 /nfs/dbraw/zinc/52/69/97/775526997.db2.gz YBTAIWDIAMZYPH-QMMMGPOBSA-N 0 0 292.669 2.624 20 5 CFBDRN CC(C)(C)[NH+]1CCC(Oc2cccc([O-])c2[N+](=O)[O-])CC1 ZINC001225621045 775528669 /nfs/dbraw/zinc/52/86/69/775528669.db2.gz ZYAWNHZLSDXSAC-UHFFFAOYSA-N 0 0 294.351 2.942 20 5 CFBDRN CCCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])cn1 ZINC001225634449 775532925 /nfs/dbraw/zinc/53/29/25/775532925.db2.gz XJJNILOCBFRLGF-SNVBAGLBSA-N 0 0 254.286 2.574 20 5 CFBDRN Cc1ccnc(O[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001225633520 775532949 /nfs/dbraw/zinc/53/29/49/775532949.db2.gz YRSHLMKCKUJRMV-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc(O[C@H](C)C(F)(F)F)ncc1[N+](=O)[O-] ZINC001225657051 775545443 /nfs/dbraw/zinc/54/54/43/775545443.db2.gz CERWKYKQBXUDBN-ZCFIWIBFSA-N 0 0 250.176 2.628 20 5 CFBDRN Cc1cc(O[C@@H](C)c2cccnc2)ncc1[N+](=O)[O-] ZINC001225657790 775545885 /nfs/dbraw/zinc/54/58/85/775545885.db2.gz PDWXSBHFXQHQNK-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc(O[C@H](C)c2ccccn2)ncc1[N+](=O)[O-] ZINC001225662915 775546570 /nfs/dbraw/zinc/54/65/70/775546570.db2.gz OTZDXJHJFKBLFP-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc(O[C@@H]2COCc3ccccc32)ncc1[N+](=O)[O-] ZINC001225662211 775546943 /nfs/dbraw/zinc/54/69/43/775546943.db2.gz NMAMCIMRSMYROO-CQSZACIVSA-N 0 0 286.287 2.949 20 5 CFBDRN Cc1cnc(F)c([C@@H](C)Oc2[nH]ccc(=O)c2[N+](=O)[O-])c1 ZINC001225664130 775547793 /nfs/dbraw/zinc/54/77/93/775547793.db2.gz KBPQFTQYSZYUML-MRVPVSSYSA-N 0 0 293.254 2.678 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2cccc(F)c2[N+](=O)[O-])CC1 ZINC001225666830 775548637 /nfs/dbraw/zinc/54/86/37/775548637.db2.gz MNLHAJUJKKZDSO-AOOOYVTPSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H]1CCC[C@H](C)C1Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225668180 775550584 /nfs/dbraw/zinc/55/05/84/775550584.db2.gz ZPVRVTIBRRPHLY-IUCAKERBSA-N 0 0 266.297 2.899 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1cccc(F)c1[N+](=O)[O-] ZINC001225670227 775550941 /nfs/dbraw/zinc/55/09/41/775550941.db2.gz BLCARKHYUOJVJY-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(Cl)c(F)c1)C1CC1 ZINC001225782897 775592159 /nfs/dbraw/zinc/59/21/59/775592159.db2.gz ZGGSBUOPNBGYSH-LLVKDONJSA-N 0 0 259.664 2.913 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cccc([N+](=O)[O-])c1C ZINC001225689938 775560176 /nfs/dbraw/zinc/56/01/76/775560176.db2.gz SBQDRJJSDNXVCE-MFKMUULPSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1cccc([N+](=O)[O-])c1C ZINC001225693118 775561044 /nfs/dbraw/zinc/56/10/44/775561044.db2.gz OHHMFOMEMRQGFH-MNOVXSKESA-N 0 0 283.324 2.722 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cccc([N+](=O)[O-])c2C)C1 ZINC001225693685 775561497 /nfs/dbraw/zinc/56/14/97/775561497.db2.gz YJUMNYLOSSGDMO-PHIMTYICSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc(OC2CCC(O)CC2)cc1[N+](=O)[O-] ZINC001218364633 775581036 /nfs/dbraw/zinc/58/10/36/775581036.db2.gz KYTTXXQNGWHFQO-UHFFFAOYSA-N 0 0 251.282 2.586 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC1CCC(O)CC1 ZINC001218366732 775583711 /nfs/dbraw/zinc/58/37/11/775583711.db2.gz FEWNCBPRZYVQHE-UHFFFAOYSA-N 0 0 251.282 2.586 20 5 CFBDRN COc1ccc(O[C@H](C)c2cnccn2)c([N+](=O)[O-])c1 ZINC001225772367 775587801 /nfs/dbraw/zinc/58/78/01/775587801.db2.gz LSLLEVMIXTVLNJ-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COc1ccc(OC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC001225770221 775587819 /nfs/dbraw/zinc/58/78/19/775587819.db2.gz TUKQYWFZXMSSEY-UHFFFAOYSA-N 0 0 259.208 2.780 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc(OC)cc2[N+](=O)[O-])=C1C ZINC001225770233 775588066 /nfs/dbraw/zinc/58/80/66/775588066.db2.gz UCCPRCZPRPVMBZ-NSHDSACASA-N 0 0 293.275 2.592 20 5 CFBDRN COC(C[C@H](C)Oc1cc(F)c(F)cc1[N+](=O)[O-])OC ZINC001225779742 775590549 /nfs/dbraw/zinc/59/05/49/775590549.db2.gz QUEWVZKBYXFKPY-ZETCQYMHSA-N 0 0 291.250 2.649 20 5 CFBDRN CCO[C@@H](C)COc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225780097 775590601 /nfs/dbraw/zinc/59/06/01/775590601.db2.gz UPWWOUQNESGSOX-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN CC[C@H](COc1cc(F)c(F)cc1[N+](=O)[O-])OC ZINC001225780359 775592457 /nfs/dbraw/zinc/59/24/57/775592457.db2.gz ZDIYBJJQOPZAPG-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CC(C)N1CC(Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225786368 775593299 /nfs/dbraw/zinc/59/32/99/775593299.db2.gz RRMJYGUGLGHHSQ-UHFFFAOYSA-N 0 0 270.716 2.720 20 5 CFBDRN CC1=C(Oc2cccc([N+](=O)[O-])c2Cl)C(=O)O[C@@H]1C ZINC001225790068 775594769 /nfs/dbraw/zinc/59/47/69/775594769.db2.gz ZNSRKYRNRGECTI-SSDOTTSWSA-N 0 0 283.667 2.846 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cccc([N+](=O)[O-])c2F)=C1C ZINC001225793784 775595179 /nfs/dbraw/zinc/59/51/79/775595179.db2.gz FMCWJJWAJBOPLF-SECBINFHSA-N 0 0 281.239 2.722 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cccc([N+](=O)[O-])c1F ZINC001225796468 775596113 /nfs/dbraw/zinc/59/61/13/775596113.db2.gz LHIFBLPDXUDJIA-SNVBAGLBSA-N 0 0 255.245 2.870 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2CCCCO2)c1F ZINC001225796312 775596507 /nfs/dbraw/zinc/59/65/07/775596507.db2.gz JTPODWQFTHVDKE-SECBINFHSA-N 0 0 255.245 2.682 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cccc([N+](=O)[O-])c1F ZINC001225797636 775597588 /nfs/dbraw/zinc/59/75/88/775597588.db2.gz ZEXYHCMIJVQNAM-JTQLQIEISA-N 0 0 253.229 2.624 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cccc([N+](=O)[O-])c2F)CCO1 ZINC001225797195 775597609 /nfs/dbraw/zinc/59/76/09/775597609.db2.gz RFWYVJZKAPGQJV-IUCAKERBSA-N 0 0 255.245 2.680 20 5 CFBDRN C[C@@H](Oc1cccc([N+](=O)[O-])c1F)c1cncnc1 ZINC001225797435 775597689 /nfs/dbraw/zinc/59/76/89/775597689.db2.gz VYRDQODICOLPMH-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CC[C@@H](COc1cc(C(C)=O)ccc1[N+](=O)[O-])OC ZINC001225806770 775600405 /nfs/dbraw/zinc/60/04/05/775600405.db2.gz SXUNCBCXSVTHDN-NSHDSACASA-N 0 0 267.281 2.601 20 5 CFBDRN CC[C@H](COC)Oc1ncc(C(F)(F)F)cc1[N+](=O)[O-] ZINC001225847638 775612465 /nfs/dbraw/zinc/61/24/65/775612465.db2.gz QPXOFSVIMFNKCJ-MRVPVSSYSA-N 0 0 294.229 2.812 20 5 CFBDRN CCO[C@H](C)COc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC001225893437 775624683 /nfs/dbraw/zinc/62/46/83/775624683.db2.gz RKFJTHHFOOIOHH-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN CCOC[C@@H](C)Oc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC001225893032 775625097 /nfs/dbraw/zinc/62/50/97/775625097.db2.gz KTSDRPDFDDRZHB-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN COC(=O)C1CCC(Oc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC001225904366 775629468 /nfs/dbraw/zinc/62/94/68/775629468.db2.gz CAVSHZTVSNNBSX-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC001225915694 775633406 /nfs/dbraw/zinc/63/34/06/775633406.db2.gz LOHDADAWVBJKJC-UWVGGRQHSA-N 0 0 297.282 2.845 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1ccc([N+](=O)[O-])cc1F ZINC001225941273 775642028 /nfs/dbraw/zinc/64/20/28/775642028.db2.gz FWOFGJASJPKRAY-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC001225940970 775642364 /nfs/dbraw/zinc/64/23/64/775642364.db2.gz CBCVXWNXVHNEKQ-GXSJLCMTSA-N 0 0 297.282 2.845 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1F ZINC001225941270 775642515 /nfs/dbraw/zinc/64/25/15/775642515.db2.gz FWOFGJASJPKRAY-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2ccc([N+](=O)[O-])cc2F)C1(C)C ZINC001225945161 775643310 /nfs/dbraw/zinc/64/33/10/775643310.db2.gz DRPGIEMRLTYVTG-CABZTGNLSA-N 0 0 297.282 2.700 20 5 CFBDRN CC[C@H](C)O[C@@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001204628867 775652182 /nfs/dbraw/zinc/65/21/82/775652182.db2.gz AWMAJRUHFDEAIF-ZANVPECISA-N 0 0 267.281 2.548 20 5 CFBDRN CCC(F)(F)COC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000851492852 775655780 /nfs/dbraw/zinc/65/57/80/775655780.db2.gz XSTPVEYUWPJTKX-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(-c2csnn2)cc1)C1CC1 ZINC001226011504 775667966 /nfs/dbraw/zinc/66/79/66/775667966.db2.gz LPAYOWHHEVFMDY-CYBMUJFWSA-N 0 0 291.332 2.639 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226011134 775668100 /nfs/dbraw/zinc/66/81/00/775668100.db2.gz ZUGNVZBRLJZUDF-LLVKDONJSA-N 0 0 255.245 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCO2)c(Br)c1 ZINC001226041667 775677707 /nfs/dbraw/zinc/67/77/07/775677707.db2.gz WJQOXJQUWAFENP-QMMMGPOBSA-N 0 0 288.097 2.525 20 5 CFBDRN CC(=O)[C@H](C)Oc1cc([N+](=O)[O-])c(F)cc1Cl ZINC001226196590 775734478 /nfs/dbraw/zinc/73/44/78/775734478.db2.gz RJRUUXUISMMBOF-LURJTMIESA-N 0 0 261.636 2.744 20 5 CFBDRN Cc1ccnc(O[C@@H](C)c2nccs2)c1[N+](=O)[O-] ZINC001226118388 775705128 /nfs/dbraw/zinc/70/51/28/775705128.db2.gz KSXFMGHMQJDQLG-QMMMGPOBSA-N 0 0 265.294 2.895 20 5 CFBDRN Cc1ccnc(O[C@@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC001226116609 775706183 /nfs/dbraw/zinc/70/61/83/775706183.db2.gz RZBNWKLNVBYPDW-LURJTMIESA-N 0 0 250.176 2.628 20 5 CFBDRN C[C@H](Oc1ncc(Cl)cc1[N+](=O)[O-])c1cncnc1 ZINC001226131131 775711425 /nfs/dbraw/zinc/71/14/25/775711425.db2.gz BMDAECVFZJXOFK-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN Cc1ccc2oc(=O)cc(O[C@@H](C[N+](=O)[O-])C3CC3)c2c1 ZINC001226158352 775720403 /nfs/dbraw/zinc/72/04/03/775720403.db2.gz SLKCIBCDAIPYAQ-AWEZNQCLSA-N 0 0 289.287 2.536 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCc2ccccc21 ZINC001226163370 775722496 /nfs/dbraw/zinc/72/24/96/775722496.db2.gz CUBCMFDIDLSCQL-AWEZNQCLSA-N 0 0 298.298 2.760 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2COC[C@H]2F)c(Cl)cc1F ZINC001226196630 775734317 /nfs/dbraw/zinc/73/43/17/775734317.db2.gz RUIQTMBRTBOLOE-GMSGAONNSA-N 0 0 279.626 2.503 20 5 CFBDRN O=C1OCC[C@H]1Oc1cc([N+](=O)[O-])c(Cl)cc1Cl ZINC001226203531 775737227 /nfs/dbraw/zinc/73/72/27/775737227.db2.gz ICNAXMVQGSDEQL-MRVPVSSYSA-N 0 0 292.074 2.596 20 5 CFBDRN CC1(C)CC[C@@H](COc2ncc([N+](=O)[O-])cc2Cl)O1 ZINC001226253271 775755689 /nfs/dbraw/zinc/75/56/89/775755689.db2.gz VJMOEXXYZSZPOK-VIFPVBQESA-N 0 0 286.715 2.980 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CCSCC2)c(Cl)c1 ZINC001226256786 775757377 /nfs/dbraw/zinc/75/73/77/775757377.db2.gz YCLPZSDDFYASIS-UHFFFAOYSA-N 0 0 274.729 2.918 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1F)C1CCOCC1 ZINC001226266325 775762412 /nfs/dbraw/zinc/76/24/12/775762412.db2.gz SIULKMMPGFGMTM-VIFPVBQESA-N 0 0 269.272 2.928 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2cc([N+](=O)[O-])ccc2F)C1 ZINC001226270136 775763742 /nfs/dbraw/zinc/76/37/42/775763742.db2.gz NMKBLEOFKONIQL-GXSJLCMTSA-N 0 0 297.282 2.845 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC001226286983 775768955 /nfs/dbraw/zinc/76/89/55/775768955.db2.gz AGERFHYLAJFDGJ-GFCCVEGCSA-N 0 0 277.276 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)cc1OC[C@H]1CCO1 ZINC001226301736 775773069 /nfs/dbraw/zinc/77/30/69/775773069.db2.gz QMPJQMOFCKGRJO-MRVPVSSYSA-N 0 0 277.198 2.781 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1OCCOC1CCCC1 ZINC001226333174 775782323 /nfs/dbraw/zinc/78/23/23/775782323.db2.gz HTALJDZJQZQBDV-UHFFFAOYSA-N 0 0 267.281 2.638 20 5 CFBDRN C[C@H](Oc1c(O)cccc1[N+](=O)[O-])c1cccnc1 ZINC001226335269 775784072 /nfs/dbraw/zinc/78/40/72/775784072.db2.gz FUGCCQCWAHYTNQ-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cc1Br)C1CC1 ZINC001226342315 775785009 /nfs/dbraw/zinc/78/50/09/775785009.db2.gz LVLVRHXNVRVYKT-ZCFIWIBFSA-N 0 0 287.113 2.930 20 5 CFBDRN C[C@H](Oc1nc(Cl)ccc1[N+](=O)[O-])c1cncnc1 ZINC001226364901 775790943 /nfs/dbraw/zinc/79/09/43/775790943.db2.gz VQFVOEQMXBLOFM-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN CCC(CC)Oc1cc([N+](=O)[O-])c(C(=O)OC)cc1OC ZINC001226431013 775819474 /nfs/dbraw/zinc/81/94/74/775819474.db2.gz LHYIUWDPBBQSLC-UHFFFAOYSA-N 0 0 297.307 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(OC3CCCC3)c2c1 ZINC001226436112 775821473 /nfs/dbraw/zinc/82/14/73/775821473.db2.gz IXDYGLZKRCTWQX-UHFFFAOYSA-N 0 0 259.265 2.859 20 5 CFBDRN COC(=O)c1cc(O[C@H](C)C2CC2)c(OC)cc1[N+](=O)[O-] ZINC001226441772 775822556 /nfs/dbraw/zinc/82/25/56/775822556.db2.gz ULYQSOVOFQOQCK-MRVPVSSYSA-N 0 0 295.291 2.567 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1cc(OC)ccc1[N+](=O)[O-] ZINC001226443026 775823137 /nfs/dbraw/zinc/82/31/37/775823137.db2.gz LBUPQGACRRDKHO-JTQLQIEISA-N 0 0 297.307 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(O[C@@H]3C[C@H]4C=C[C@@H]3C4)c2c1 ZINC001226436796 775823259 /nfs/dbraw/zinc/82/32/59/775823259.db2.gz PSVXHBZCEDWOGX-IMSIIYSGSA-N 0 0 283.287 2.881 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2CCC=CO2)c1 ZINC001226443660 775824735 /nfs/dbraw/zinc/82/47/35/775824735.db2.gz ZJBRTGFWVQQYEQ-NSHDSACASA-N 0 0 265.265 2.675 20 5 CFBDRN COc1nnc(O[C@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC001226523054 775859723 /nfs/dbraw/zinc/85/97/23/775859723.db2.gz BBCRTIXGKWUAHV-SSDOTTSWSA-N 0 0 281.293 2.595 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCOC[C@@H]1C ZINC001226530969 775862707 /nfs/dbraw/zinc/86/27/07/775862707.db2.gz MZKTTXIPOIIIPQ-ZANVPECISA-N 0 0 279.292 2.601 20 5 CFBDRN CC[C@H](COC)Oc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226532640 775864030 /nfs/dbraw/zinc/86/40/30/775864030.db2.gz VYPKGKLMESTRAD-LLVKDONJSA-N 0 0 267.281 2.601 20 5 CFBDRN CC1(C)C(Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1(C)C ZINC000695552613 775873361 /nfs/dbraw/zinc/87/33/61/775873361.db2.gz IADBVFZYUYDCFM-UHFFFAOYSA-N 0 0 251.286 2.542 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC001226711075 775934140 /nfs/dbraw/zinc/93/41/40/775934140.db2.gz YQDGKIBWPBOUQQ-ZDUSSCGKSA-N 0 0 274.276 2.756 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@@H](Oc2ncc([N+](=O)[O-])cn2)C1 ZINC001226731583 775941139 /nfs/dbraw/zinc/94/11/39/775941139.db2.gz PJFOARNXOQGNLS-YWVKMMECSA-N 0 0 251.286 2.588 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cn1)c1ccccc1F ZINC001226731575 775941255 /nfs/dbraw/zinc/94/12/55/775941255.db2.gz PIRUJUDACRKHSS-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CC(C)=CCC[C@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733455 775941943 /nfs/dbraw/zinc/94/19/43/775941943.db2.gz PJUHGTIWQNDVQR-JTQLQIEISA-N 0 0 251.286 2.899 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2ncc([N+](=O)[O-])cn2)[C@@H]1C ZINC001226734009 775942318 /nfs/dbraw/zinc/94/23/18/775942318.db2.gz VSRFAYSGOIYPRY-KKZNHRDASA-N 0 0 251.286 2.588 20 5 CFBDRN Cc1ccccc1OC[C@@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733676 775943028 /nfs/dbraw/zinc/94/30/28/775943028.db2.gz RQVIMJBNOMBMKD-LLVKDONJSA-N 0 0 289.291 2.540 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@@H]1CC(F)(F)C1(F)F ZINC001226751347 775946566 /nfs/dbraw/zinc/94/65/66/775946566.db2.gz JCXNFPMOKBLXHF-ZCFIWIBFSA-N 0 0 284.140 2.551 20 5 CFBDRN C[C@H](Oc1ncc(F)cc1[N+](=O)[O-])c1ccncc1F ZINC001226752223 775948004 /nfs/dbraw/zinc/94/80/04/775948004.db2.gz YIKDPILAYBPNLV-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@H]1CCCc2cccnc21 ZINC001226752752 775948637 /nfs/dbraw/zinc/94/86/37/775948637.db2.gz DUMHFKALPKKJLZ-LBPRGKRZSA-N 0 0 289.266 2.980 20 5 CFBDRN CCOC(=O)[C@@H](Oc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC001226760201 775951295 /nfs/dbraw/zinc/95/12/95/775951295.db2.gz DWRUSFWYGGZPCC-LBPRGKRZSA-N 0 0 299.710 2.969 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226760741 775951306 /nfs/dbraw/zinc/95/13/06/775951306.db2.gz MZJPQDMZCWBTLR-LLVKDONJSA-N 0 0 298.726 2.638 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)CC(=O)OC(C)(C)C ZINC001226762099 775952209 /nfs/dbraw/zinc/95/22/09/775952209.db2.gz QALIZKGSMWWUON-JTQLQIEISA-N 0 0 296.323 2.797 20 5 CFBDRN CC1(C)OC[C@H](CCOc2cccc([N+](=O)[O-])c2)O1 ZINC001226763087 775952275 /nfs/dbraw/zinc/95/22/75/775952275.db2.gz IMDJIRYRTSTHFZ-LBPRGKRZSA-N 0 0 267.281 2.515 20 5 CFBDRN CC1(C)C[C@@H](Oc2cccc([N+](=O)[O-])c2)CCO1 ZINC001226763166 775952797 /nfs/dbraw/zinc/95/27/97/775952797.db2.gz KSQDKUBSGZGGNS-LBPRGKRZSA-N 0 0 251.282 2.931 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@@H]2CCOC[C@H]2F)c1 ZINC001226804760 775971504 /nfs/dbraw/zinc/97/15/04/775971504.db2.gz OMAXFDJMOATCDI-NXEZZACHSA-N 0 0 275.663 2.754 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226805297 775972078 /nfs/dbraw/zinc/97/20/78/775972078.db2.gz ROTICBBOUHHRHA-SWZMTVOYSA-N 0 0 299.710 2.969 20 5 CFBDRN Cc1cc(O[C@@H](C)c2cncnc2)ccc1[N+](=O)[O-] ZINC001226842038 775986951 /nfs/dbraw/zinc/98/69/51/775986951.db2.gz RAPZXKZQYRFGFN-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@H](Oc1cc(Cl)c([N+](=O)[O-])cn1)c1cncnc1 ZINC001226915461 776016424 /nfs/dbraw/zinc/01/64/24/776016424.db2.gz JNKPGPKOWQOEIR-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN Cc1ccc(O[C@H](C)c2ncccn2)cc1[N+](=O)[O-] ZINC001226950502 776031738 /nfs/dbraw/zinc/03/17/38/776031738.db2.gz KRCABLVTBAEGDJ-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COC(=O)C1(C)CC(Oc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC001226952337 776032677 /nfs/dbraw/zinc/03/26/77/776032677.db2.gz FRAGADKFJPBKJA-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ncc(O[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC001226960347 776035978 /nfs/dbraw/zinc/03/59/78/776035978.db2.gz UJQPVSGXLFGWKP-VIFPVBQESA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H]1C=C(C)OC(=O)C1 ZINC001226969670 776038476 /nfs/dbraw/zinc/03/84/76/776038476.db2.gz SDZBPXMGYPMJDZ-JTQLQIEISA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H](C)c1cncnc1 ZINC001226971313 776039763 /nfs/dbraw/zinc/03/97/63/776039763.db2.gz LXCWZAJUJGOZCN-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@H]1CCCCO1 ZINC001226971331 776039890 /nfs/dbraw/zinc/03/98/90/776039890.db2.gz MJRQZLBFCAGSOO-LLVKDONJSA-N 0 0 251.282 2.851 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1c(C)cccc1[N+](=O)[O-] ZINC001226971986 776040172 /nfs/dbraw/zinc/04/01/72/776040172.db2.gz UUVSPDQKLCGKTF-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN O=[N+]([O-])c1cc(NC2(C3CCOCC3)CC2)cnc1F ZINC001167747267 776044250 /nfs/dbraw/zinc/04/42/50/776044250.db2.gz QWNRKZNZIPUZLS-UHFFFAOYSA-N 0 0 281.287 2.500 20 5 CFBDRN Cc1nc(=O)[nH]c(O[C@@H](C)c2cccc([N+](=O)[O-])c2)c1C ZINC001226982445 776048334 /nfs/dbraw/zinc/04/83/34/776048334.db2.gz RVAWLTHFPFVZSC-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1c(C)ccc([N+](=O)[O-])c1Cl ZINC001226988449 776049977 /nfs/dbraw/zinc/04/99/77/776049977.db2.gz FUTLMVNUBONLRE-MRVPVSSYSA-N 0 0 287.699 2.887 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc([N+](=O)[O-])ccc2C)=C1C ZINC001226994559 776052927 /nfs/dbraw/zinc/05/29/27/776052927.db2.gz DNVKJWCHPHDAPX-LLVKDONJSA-N 0 0 277.276 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@H]1CCCC2(C1)OCCO2 ZINC001226993006 776052953 /nfs/dbraw/zinc/05/29/53/776052953.db2.gz PAJJSHSPQGMUNV-ZDUSSCGKSA-N 0 0 293.319 2.968 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1C ZINC001226993055 776053214 /nfs/dbraw/zinc/05/32/14/776053214.db2.gz QMEMHAZNUJSOLG-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC001227044110 776071037 /nfs/dbraw/zinc/07/10/37/776071037.db2.gz LDZFPSXBWILZAR-LBPRGKRZSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCCC[C@@H]2F)n1 ZINC001227065695 776081997 /nfs/dbraw/zinc/08/19/97/776081997.db2.gz XLFZUECFUPCBJU-ONGXEEELSA-N 0 0 254.261 2.958 20 5 CFBDRN CC(C)C(Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)C ZINC001227105529 776097994 /nfs/dbraw/zinc/09/79/94/776097994.db2.gz AMWCCHHCXJCICD-UHFFFAOYSA-N 0 0 283.284 2.957 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2ncc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC001227109339 776102085 /nfs/dbraw/zinc/10/20/85/776102085.db2.gz XBVHBQKMDVVHPO-PSASIEDQSA-N 0 0 281.268 2.856 20 5 CFBDRN Cc1ccc(O[C@@H]2COCc3ccccc32)c([N+](=O)[O-])n1 ZINC001227222330 776144218 /nfs/dbraw/zinc/14/42/18/776144218.db2.gz LJOGZRULXXUXEZ-CQSZACIVSA-N 0 0 286.287 2.949 20 5 CFBDRN Cc1ccc(O[C@@H]2CCOC(C)(C)C2)c([N+](=O)[O-])n1 ZINC001227222376 776144299 /nfs/dbraw/zinc/14/42/99/776144299.db2.gz MOBJNJRPLYQKDG-SNVBAGLBSA-N 0 0 266.297 2.635 20 5 CFBDRN CCO[C@H](C)COc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227232266 776148634 /nfs/dbraw/zinc/14/86/34/776148634.db2.gz QOFTZHDZMJXNRJ-MRVPVSSYSA-N 0 0 266.253 2.540 20 5 CFBDRN C[C@@H](Oc1nc2ccc([N+](=O)[O-])cc2o1)c1cncnc1 ZINC001227234957 776149078 /nfs/dbraw/zinc/14/90/78/776149078.db2.gz VTNOWIJCRNBQJB-MRVPVSSYSA-N 0 0 286.247 2.666 20 5 CFBDRN O=[N+]([O-])c1nc(Br)ccc1O[C@H]1C=CCC1 ZINC001227260514 776161101 /nfs/dbraw/zinc/16/11/01/776161101.db2.gz SKXJLLKJAWIQDT-ZETCQYMHSA-N 0 0 285.097 2.850 20 5 CFBDRN CC(=O)C1CCN(Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000692785220 776163586 /nfs/dbraw/zinc/16/35/86/776163586.db2.gz AOCRCLOLNXCQCD-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC001227296236 776172840 /nfs/dbraw/zinc/17/28/40/776172840.db2.gz VAAVMOPNCGHYQN-JGPRNRPPSA-N 0 0 277.276 2.559 20 5 CFBDRN COC(=O)c1ccc(O[C@@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC001227295918 776172848 /nfs/dbraw/zinc/17/28/48/776172848.db2.gz RAMTTWRQNSZKOZ-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN CCOC(=O)c1ccc(OC2CCC2)c([N+](=O)[O-])c1 ZINC001227297130 776173735 /nfs/dbraw/zinc/17/37/35/776173735.db2.gz LQCWLAALWXERGE-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2SCCS2)c1 ZINC000692810828 776196535 /nfs/dbraw/zinc/19/65/35/776196535.db2.gz JTSFTDDDKJFCKP-UHFFFAOYSA-N 0 0 286.378 2.821 20 5 CFBDRN Cc1cc(CNc2cc3cccnc3c([N+](=O)[O-])c2)nn1C ZINC001167769173 776198098 /nfs/dbraw/zinc/19/80/98/776198098.db2.gz UBDQYJPQOQTDSM-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227466124 776209628 /nfs/dbraw/zinc/20/96/28/776209628.db2.gz LCWJVBOWTLYGOY-SECBINFHSA-N 0 0 284.268 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC001227466284 776209744 /nfs/dbraw/zinc/20/97/44/776209744.db2.gz MLQIYAPIELTNMF-RWEMILLDSA-N 0 0 276.248 2.846 20 5 CFBDRN C[C@H](Oc1c([N+](=O)[O-])cc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC001227498345 776215336 /nfs/dbraw/zinc/21/53/36/776215336.db2.gz NIYHRVLTTHTTDI-LURJTMIESA-N 0 0 297.223 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCC3(CC2)OCCO3)cc1 ZINC001227520066 776217611 /nfs/dbraw/zinc/21/76/11/776217611.db2.gz GALFRDHVLXCVLC-UHFFFAOYSA-N 0 0 279.292 2.659 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2ccc([N+](=O)[O-])cc2)C1 ZINC001227523611 776218749 /nfs/dbraw/zinc/21/87/49/776218749.db2.gz ONDCNINGXMPTKD-ZWNOBZJWSA-N 0 0 279.292 2.705 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC001227523819 776219156 /nfs/dbraw/zinc/21/91/56/776219156.db2.gz SIADWLFFQOAYPU-STQMWFEESA-N 0 0 251.282 2.931 20 5 CFBDRN COC1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 ZINC001227521643 776219248 /nfs/dbraw/zinc/21/92/48/776219248.db2.gz TURWXAHNHBJZOG-UHFFFAOYSA-N 0 0 251.282 2.931 20 5 CFBDRN CC1CCC(Oc2ncc([N+](=O)[O-])c3nc[nH]c32)CC1 ZINC001227692055 776259520 /nfs/dbraw/zinc/25/95/20/776259520.db2.gz SOYWGMOBPFVUGD-UHFFFAOYSA-N 0 0 276.296 2.824 20 5 CFBDRN CCC[C@@H](CC)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227693294 776259602 /nfs/dbraw/zinc/25/96/02/776259602.db2.gz RRDQCFXLDCGVAX-MRVPVSSYSA-N 0 0 264.285 2.824 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1OC1CCSCC1 ZINC001227698918 776262638 /nfs/dbraw/zinc/26/26/38/776262638.db2.gz ZOUJDVXEVROEDC-UHFFFAOYSA-N 0 0 269.322 2.878 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc2c[nH]nc2cc1Cl)C1CC1 ZINC001227784750 776288920 /nfs/dbraw/zinc/28/89/20/776288920.db2.gz CXYSUEXRTWEJFT-LBPRGKRZSA-N 0 0 281.699 2.650 20 5 CFBDRN CC[C@@H](C)Oc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC001227894771 776320533 /nfs/dbraw/zinc/32/05/33/776320533.db2.gz ORQCYBSDWQZKAC-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@@H]2CCc3ccccc3C2)c1 ZINC001227991050 776353720 /nfs/dbraw/zinc/35/37/20/776353720.db2.gz UEIAYBPESZTKSG-CQSZACIVSA-N 0 0 270.288 2.926 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@@H](c2cccnc2)C2CC2)c1 ZINC001227990787 776353871 /nfs/dbraw/zinc/35/38/71/776353871.db2.gz QOPAKLFKMXTBBD-CQSZACIVSA-N 0 0 271.276 2.915 20 5 CFBDRN CCOCc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001227991918 776353933 /nfs/dbraw/zinc/35/39/33/776353933.db2.gz JKGYOIVBGFZEKB-CQSZACIVSA-N 0 0 265.309 2.657 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228001158 776355622 /nfs/dbraw/zinc/35/56/22/776355622.db2.gz SNSAXNPWZGGKRJ-DTORHVGOSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1OC[C@H]1CCCCO1 ZINC001228017088 776364035 /nfs/dbraw/zinc/36/40/35/776364035.db2.gz KLHKFQGZIWUQDL-MRVPVSSYSA-N 0 0 272.688 2.591 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCc1ccoc1 ZINC001126171238 776371910 /nfs/dbraw/zinc/37/19/10/776371910.db2.gz QXVQXWWNGJIVQD-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nccc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001228058155 776380301 /nfs/dbraw/zinc/38/03/01/776380301.db2.gz RQSHOYDFXDOEAR-RNLVFQAGSA-N 0 0 254.673 2.821 20 5 CFBDRN Cc1nc(N)ccc1CNc1cnc(Cl)cc1[N+](=O)[O-] ZINC001167785665 776383048 /nfs/dbraw/zinc/38/30/48/776383048.db2.gz YGCOPUSDIGIFBE-UHFFFAOYSA-N 0 0 293.714 2.541 20 5 CFBDRN CCC(CC)[C@@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228141831 776406960 /nfs/dbraw/zinc/40/69/60/776406960.db2.gz VJRMDTNXEVUXMU-SECBINFHSA-N 0 0 269.301 2.684 20 5 CFBDRN CCC[C@H]1CCCC[C@@H]1Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228139337 776406973 /nfs/dbraw/zinc/40/69/73/776406973.db2.gz AJTKKYPXQRBYIY-UWVGGRQHSA-N 0 0 281.312 2.828 20 5 CFBDRN CCC[C@@H](C)[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C(C)C ZINC001228140944 776407036 /nfs/dbraw/zinc/40/70/36/776407036.db2.gz OHXKYAHBWCMVKB-KOLCDFICSA-N 0 0 283.328 2.930 20 5 CFBDRN CCCC[C@@H](CCC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228142126 776408494 /nfs/dbraw/zinc/40/84/94/776408494.db2.gz YMMFGADYLAQNPJ-SECBINFHSA-N 0 0 269.301 2.828 20 5 CFBDRN CCCC[C@H](CCC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228142127 776408576 /nfs/dbraw/zinc/40/85/76/776408576.db2.gz YMMFGADYLAQNPJ-VIFPVBQESA-N 0 0 269.301 2.828 20 5 CFBDRN Cc1c(C)c(O[C@H](C)c2cccc([N+](=O)[O-])c2)n[nH]c1=O ZINC001228155299 776412037 /nfs/dbraw/zinc/41/20/37/776412037.db2.gz UVPOGJLEMWVHHK-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN CN1CC(Oc2ccc3c(c2)oc2ccccc2c3=O)C1 ZINC001228179411 776416047 /nfs/dbraw/zinc/41/60/47/776416047.db2.gz DOBVTMQCRWZCLT-UHFFFAOYSA-N 0 0 281.311 2.639 20 5 CFBDRN C[C@H](CON)Oc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC001228205250 776421367 /nfs/dbraw/zinc/42/13/67/776421367.db2.gz PHXAUGXCWOJGRP-RXMQYKEDSA-N 0 0 281.095 2.559 20 5 CFBDRN CC[N@@H+]1CC[C@H](Oc2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC001228209519 776422556 /nfs/dbraw/zinc/42/25/56/776422556.db2.gz SZMOIWPXLGMMSQ-JTQLQIEISA-N 0 0 293.348 2.678 20 5 CFBDRN Cc1cc(C)c(O[C@H](C[N+](=O)[O-])C2CC2)c([N+](=O)[O-])c1 ZINC001228237914 776430513 /nfs/dbraw/zinc/43/05/13/776430513.db2.gz PWHLWVCJIOCODR-GFCCVEGCSA-N 0 0 280.280 2.646 20 5 CFBDRN Cc1cc(C)c(OC(=O)CCC[C@H](C)O)c([N+](=O)[O-])c1 ZINC001228237971 776430898 /nfs/dbraw/zinc/43/08/98/776430898.db2.gz RHINAOSEGMYRDM-NSHDSACASA-N 0 0 281.308 2.668 20 5 CFBDRN O=c1nc2cc([N+](=O)[O-])ccc2c(OC2CCCC2)[n-]1 ZINC001228242899 776432007 /nfs/dbraw/zinc/43/20/07/776432007.db2.gz RXROROOSRAJTOS-UHFFFAOYSA-N 0 0 275.264 2.565 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(O[C@H]2CCOC[C@H]2F)c1 ZINC001228258480 776435522 /nfs/dbraw/zinc/43/55/22/776435522.db2.gz ZOAVHCJPFHPGMJ-MNOVXSKESA-N 0 0 275.663 2.754 20 5 CFBDRN CC1(C)COC(=O)[C@@H]1Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228255649 776436051 /nfs/dbraw/zinc/43/60/51/776436051.db2.gz KHUYNGAVKUZZJO-JTQLQIEISA-N 0 0 285.683 2.579 20 5 CFBDRN CC[C@@H](Oc1cc(Cl)cc([N+](=O)[O-])c1)C(=O)OC ZINC001228257008 776436180 /nfs/dbraw/zinc/43/61/80/776436180.db2.gz CNKKUENAEYFDEK-SNVBAGLBSA-N 0 0 273.672 2.579 20 5 CFBDRN Cc1ncnc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1C ZINC001228320261 776458426 /nfs/dbraw/zinc/45/84/26/776458426.db2.gz LJLPYWRSBBZRAR-CQSZACIVSA-N 0 0 285.303 2.548 20 5 CFBDRN CC(=O)c1ccc(C)c(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001228352952 776469401 /nfs/dbraw/zinc/46/94/01/776469401.db2.gz VABFMXCUYZISAU-AWEZNQCLSA-N 0 0 263.293 2.632 20 5 CFBDRN Cc1nc(NC[C@H]2CC[C@@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000722333192 776479471 /nfs/dbraw/zinc/47/94/71/776479471.db2.gz KYMPTQWKQLCZTH-YPMHNXCESA-N 0 0 277.324 2.668 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@H]1COC[C@@H]1C ZINC001228452442 776495251 /nfs/dbraw/zinc/49/52/51/776495251.db2.gz JOMXEJSUVUEKOA-ZANVPECISA-N 0 0 279.292 2.520 20 5 CFBDRN CO[C@H](C)CCOc1c(C(C)=O)cc(C)cc1[N+](=O)[O-] ZINC001228452433 776495357 /nfs/dbraw/zinc/49/53/57/776495357.db2.gz JKCJPRXUCWPSJW-SNVBAGLBSA-N 0 0 281.308 2.910 20 5 CFBDRN CCC(=O)c1ccccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001228453850 776496597 /nfs/dbraw/zinc/49/65/97/776496597.db2.gz HCTAGMVDIQWHLC-CQSZACIVSA-N 0 0 263.293 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(NC2(CO)CCCC2)c1 ZINC001167804708 776502656 /nfs/dbraw/zinc/50/26/56/776502656.db2.gz LNVSFLMVIZLQHR-UHFFFAOYSA-N 0 0 270.716 2.965 20 5 CFBDRN c1ccc2nc3c(cccc3OC[C@H]3CCO3)nc2c1 ZINC001228524504 776511633 /nfs/dbraw/zinc/51/16/33/776511633.db2.gz ZMSVVNAVWRBIJB-LLVKDONJSA-N 0 0 266.300 2.951 20 5 CFBDRN CCN1CCC[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1=O ZINC000722354790 776518354 /nfs/dbraw/zinc/51/83/54/776518354.db2.gz WSXRQBUEJDHUMD-GFCCVEGCSA-N 0 0 297.742 2.671 20 5 CFBDRN Cc1ccc(CO[C@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC001223221549 776523018 /nfs/dbraw/zinc/52/30/18/776523018.db2.gz FZJWHVIPBFLCRC-FZMZJTMJSA-N 0 0 265.309 2.845 20 5 CFBDRN Cc1ccc(CO[C@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC001223221552 776523523 /nfs/dbraw/zinc/52/35/23/776523523.db2.gz FZJWHVIPBFLCRC-RISCZKNCSA-N 0 0 265.309 2.845 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)cnc1F)c1cccnc1 ZINC001228572178 776525566 /nfs/dbraw/zinc/52/55/66/776525566.db2.gz CMZPNQSHPZSYOC-LLVKDONJSA-N 0 0 297.673 2.666 20 5 CFBDRN C[C@H](Oc1cccc(C(N)=O)c1)c1ccccc1[N+](=O)[O-] ZINC001228612494 776539646 /nfs/dbraw/zinc/53/96/46/776539646.db2.gz HBBGXWQMDURZEO-JTQLQIEISA-N 0 0 286.287 2.834 20 5 CFBDRN CCC[C@H](Oc1ncncc1[N+](=O)[O-])[C@@H](C)CC ZINC001228654953 776553763 /nfs/dbraw/zinc/55/37/63/776553763.db2.gz OARHLTGQNNPIEE-ONGXEEELSA-N 0 0 253.302 2.978 20 5 CFBDRN CCC(CC)[C@@H](CC)Oc1ncncc1[N+](=O)[O-] ZINC001228655863 776554842 /nfs/dbraw/zinc/55/48/42/776554842.db2.gz WSUZIFYXAOUSGI-LLVKDONJSA-N 0 0 253.302 2.978 20 5 CFBDRN CCCC/C=C/[C@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228655733 776555213 /nfs/dbraw/zinc/55/52/13/776555213.db2.gz VHBOHZRCZWPLKF-FGEFZZPRSA-N 0 0 251.286 2.899 20 5 CFBDRN CCC[C@@H](C)Oc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC001228661237 776555663 /nfs/dbraw/zinc/55/56/63/776555663.db2.gz LBPWHXPKWBDPOR-SECBINFHSA-N 0 0 287.337 2.566 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC001228686550 776567238 /nfs/dbraw/zinc/56/72/38/776567238.db2.gz OHNYTCUOOIPATI-ILDUYXDCSA-N 0 0 278.308 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CCCC[C@H]2F)c1[N+](=O)[O-] ZINC001228689703 776569729 /nfs/dbraw/zinc/56/97/29/776569729.db2.gz WUDQDZJQDVXWBU-BDAKNGLRSA-N 0 0 270.260 2.663 20 5 CFBDRN O=C1CC(Oc2ccc3nc4ccccc4nc3c2)C1 ZINC001228740253 776593214 /nfs/dbraw/zinc/59/32/14/776593214.db2.gz IMTAILZGFYDOTF-UHFFFAOYSA-N 0 0 264.284 2.893 20 5 CFBDRN O=C1CC[C@@H](COc2ccc3nc4ccccc4nc3c2)O1 ZINC001228739820 776593674 /nfs/dbraw/zinc/59/36/74/776593674.db2.gz DHCRMBIEZANYHZ-LBPRGKRZSA-N 0 0 294.310 2.867 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001228758953 776600815 /nfs/dbraw/zinc/60/08/15/776600815.db2.gz OTHDRVLLKJKLLX-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN CCOC[C@H](C)Oc1c2ccccc2oc(=O)c1[N+](=O)[O-] ZINC001228806052 776617930 /nfs/dbraw/zinc/61/79/30/776617930.db2.gz MIJMHHDCAYQKKK-VIFPVBQESA-N 0 0 293.275 2.505 20 5 CFBDRN O=c1oc2ccccc2c(OC2CC=CC2)c1[N+](=O)[O-] ZINC001228802093 776618126 /nfs/dbraw/zinc/61/81/26/776618126.db2.gz FHRKDDYAHDCQLO-UHFFFAOYSA-N 0 0 273.244 2.799 20 5 CFBDRN CC1=C(Oc2cc(C)cc([N+](=O)[O-])c2)C(=O)O[C@@H]1C ZINC001228833932 776625630 /nfs/dbraw/zinc/62/56/30/776625630.db2.gz VNPJUWCHAINJGR-SECBINFHSA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1cc(O[C@@H](C)c2cnccn2)cc([N+](=O)[O-])c1 ZINC001228836704 776627070 /nfs/dbraw/zinc/62/70/70/776627070.db2.gz CBHBGFKMUQVIKT-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CCC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(OC)c1 ZINC001228873841 776640610 /nfs/dbraw/zinc/64/06/10/776640610.db2.gz GUBXCVZEXIJROQ-HNNXBMFYSA-N 0 0 293.319 2.722 20 5 CFBDRN O=[N+]([O-])c1ccncc1O[C@H]1CCCc2cccnc21 ZINC001228879632 776643458 /nfs/dbraw/zinc/64/34/58/776643458.db2.gz IYYJZAGCDQGKAA-LBPRGKRZSA-N 0 0 271.276 2.841 20 5 CFBDRN O=[N+]([O-])c1ccncc1O[C@@H]1CCOc2ccccc21 ZINC001228879080 776644029 /nfs/dbraw/zinc/64/40/29/776644029.db2.gz BWAAKQJGRKUSPI-CYBMUJFWSA-N 0 0 272.260 2.892 20 5 CFBDRN CC(C)Oc1ncccc1COc1cnccc1[N+](=O)[O-] ZINC001228880925 776645259 /nfs/dbraw/zinc/64/52/59/776645259.db2.gz AMLQTGNQBVGNTD-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN C[C@H](COc1cnccc1[N+](=O)[O-])Oc1ccccc1 ZINC001228883869 776645313 /nfs/dbraw/zinc/64/53/13/776645313.db2.gz ZMGGLRWEQQFXFH-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@@H]1CCOC[C@H]1C ZINC001228922067 776659041 /nfs/dbraw/zinc/65/90/41/776659041.db2.gz UEWIGHCKXZGYAX-ZWNOBZJWSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1c([C@@H](C)Oc2ccc([N+](=O)[O-])c(Cl)n2)cnn1C ZINC001228936203 776661713 /nfs/dbraw/zinc/66/17/13/776661713.db2.gz QHJHEIUPVCYYHS-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN C[C@@H](O[C@@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC001223427396 776664694 /nfs/dbraw/zinc/66/46/94/776664694.db2.gz MJHINUBIMWBQQW-ZWNOBZJWSA-N 0 0 251.282 2.851 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cnncc1Cl)C2 ZINC001228943777 776666279 /nfs/dbraw/zinc/66/62/79/776666279.db2.gz JRDLXIXKZULGJO-NSHDSACASA-N 0 0 291.694 2.584 20 5 CFBDRN C[C@@H](Oc1cc(O)cc([O-])c1[N+](=O)[O-])C1CCC1 ZINC001228971306 776672881 /nfs/dbraw/zinc/67/28/81/776672881.db2.gz NLBMJPLNPLPXLP-SSDOTTSWSA-N 0 0 253.254 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(OC3CCOCC3)c2c1 ZINC001229005961 776685624 /nfs/dbraw/zinc/68/56/24/776685624.db2.gz PVDWFESDVGYHIQ-UHFFFAOYSA-N 0 0 274.276 2.701 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229006450 776687157 /nfs/dbraw/zinc/68/71/57/776687157.db2.gz ZCKYVTJWRIWCGR-ZANVPECISA-N 0 0 274.276 2.557 20 5 CFBDRN CO[C@@H](C)CCOc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229009880 776687763 /nfs/dbraw/zinc/68/77/63/776687763.db2.gz WZVDGKCNEDSZJZ-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H](C)C1CC1 ZINC001229056335 776703037 /nfs/dbraw/zinc/70/30/37/776703037.db2.gz MYNXSPHZVNNYHV-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](C)C(F)(F)F ZINC001229056577 776703268 /nfs/dbraw/zinc/70/32/68/776703268.db2.gz QBSYJNHYEWXSAH-ZCFIWIBFSA-N 0 0 293.197 2.711 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114264 776722539 /nfs/dbraw/zinc/72/25/39/776722539.db2.gz HZWQHXZDNNNVBU-PFEQHQSHSA-N 0 0 263.293 2.738 20 5 CFBDRN CC(=O)c1cccc(OC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC001229137574 776730383 /nfs/dbraw/zinc/73/03/83/776730383.db2.gz GRJJTDJAHNRGBH-LLVKDONJSA-N 0 0 279.292 2.745 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCOC[C@H]1F ZINC001229178850 776745159 /nfs/dbraw/zinc/74/51/59/776745159.db2.gz DBOWXLINPSLILA-BXUZGUMPSA-N 0 0 297.282 2.693 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](C)CC(=O)OC ZINC001229178385 776745731 /nfs/dbraw/zinc/74/57/31/776745731.db2.gz WGLZCLQCUXFHOC-SECBINFHSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1CCCOC1 ZINC001229197420 776749169 /nfs/dbraw/zinc/74/91/69/776749169.db2.gz GOSDOFGIKDKIAD-SNVBAGLBSA-N 0 0 255.245 2.600 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC1CN(C(C)C)C1 ZINC001229199523 776750018 /nfs/dbraw/zinc/75/00/18/776750018.db2.gz WJPYSXOJKBXKLZ-UHFFFAOYSA-N 0 0 268.288 2.514 20 5 CFBDRN CCOC(=O)[C@H](Oc1c(C)cc(F)cc1[N+](=O)[O-])C1CC1 ZINC001229200781 776750618 /nfs/dbraw/zinc/75/06/18/776750618.db2.gz HKWSILRFBFWHRG-CYBMUJFWSA-N 0 0 297.282 2.763 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1CCOC[C@@H]1C ZINC001229201719 776751420 /nfs/dbraw/zinc/75/14/20/776751420.db2.gz QYUSIUFBGGHPPU-CABZTGNLSA-N 0 0 269.272 2.846 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(OC[C@H]2CCCO2)c1 ZINC001229344485 776785043 /nfs/dbraw/zinc/78/50/43/776785043.db2.gz ZQSZNYMQQIURPE-GFCCVEGCSA-N 0 0 274.276 2.701 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2n[nH]c(=O)c3cccc([N+](=O)[O-])c32)C1 ZINC001229366658 776792150 /nfs/dbraw/zinc/79/21/50/776792150.db2.gz ANBJYVYJFQDZLA-BDAKNGLRSA-N 0 0 289.291 2.811 20 5 CFBDRN Cc1nc(O[C@H](C)c2ccc(F)cc2)c([N+](=O)[O-])c(=O)[nH]1 ZINC001229376649 776794911 /nfs/dbraw/zinc/79/49/11/776794911.db2.gz WICRFLALNWRRFJ-SSDOTTSWSA-N 0 0 293.254 2.678 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1O[C@@H]1CCOC[C@H]1F ZINC001229379845 776795941 /nfs/dbraw/zinc/79/59/41/776795941.db2.gz IJKYFLTVGWXIJC-PSASIEDQSA-N 0 0 275.663 2.754 20 5 CFBDRN CCCC[C@@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229451496 776817642 /nfs/dbraw/zinc/81/76/42/776817642.db2.gz GKOGOBVRMXHENY-SNVBAGLBSA-N 0 0 254.286 2.576 20 5 CFBDRN C[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1c(F)cccc1F ZINC001229454936 776819021 /nfs/dbraw/zinc/81/90/21/776819021.db2.gz SEKREISBVSBIHE-QMMMGPOBSA-N 0 0 296.229 2.646 20 5 CFBDRN Cc1cc(O[C@@H]2C=C(C)OC(=O)C2)cc(C)c1[N+](=O)[O-] ZINC001229465775 776820228 /nfs/dbraw/zinc/82/02/28/776820228.db2.gz FUHJEBKXRCONAW-GFCCVEGCSA-N 0 0 277.276 2.810 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])C2CC2)cc(C)c1[N+](=O)[O-] ZINC001229469020 776821186 /nfs/dbraw/zinc/82/11/86/776821186.db2.gz FVPCPXHCSFVPID-GFCCVEGCSA-N 0 0 280.280 2.646 20 5 CFBDRN C[C@H]1C[C@@H](Oc2nc3cc([N+](=O)[O-])ccc3o2)CCO1 ZINC001229491330 776828239 /nfs/dbraw/zinc/82/82/39/776828239.db2.gz PVCGBQUVEQUYSC-WPRPVWTQSA-N 0 0 278.264 2.682 20 5 CFBDRN C[C@@H](Oc1nc2cc([N+](=O)[O-])ccc2o1)c1cnccn1 ZINC001229492214 776829024 /nfs/dbraw/zinc/82/90/24/776829024.db2.gz XMBBOADKTOWRFH-MRVPVSSYSA-N 0 0 286.247 2.666 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc([N+](=O)[O-])c(C)cc1O ZINC001229753028 776887736 /nfs/dbraw/zinc/88/77/36/776887736.db2.gz FVPITQLBOTXQHQ-LBPRGKRZSA-N 0 0 267.281 2.745 20 5 CFBDRN O=c1oc2cc(O)ccc2c2cc(OC3CC3)c(O)cc12 ZINC001229801475 776895446 /nfs/dbraw/zinc/89/54/46/776895446.db2.gz FUTSRBXHEOXSME-UHFFFAOYSA-N 0 0 284.267 2.899 20 5 CFBDRN COc1c(C)cc([N+](=O)[O-])c(O[C@H]2C=CCC2)c1[N+](=O)[O-] ZINC001229868645 776908044 /nfs/dbraw/zinc/90/80/44/776908044.db2.gz LKQIIFIQMPCHGV-VIFPVBQESA-N 0 0 294.263 2.917 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H](C)c2cnccn2)cc1F ZINC001229894284 776912679 /nfs/dbraw/zinc/91/26/79/776912679.db2.gz GXBIWDJIMCRAHB-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2C=C(C)OC(=O)C2)cc1F ZINC001229895203 776912955 /nfs/dbraw/zinc/91/29/55/776912955.db2.gz QQWWDFXNKBYMDM-VIFPVBQESA-N 0 0 281.239 2.640 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229895191 776912960 /nfs/dbraw/zinc/91/29/60/776912960.db2.gz QGZKHRRRSTUYRZ-VIFPVBQESA-N 0 0 283.255 2.539 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H](C)c2cncnc2)cc1F ZINC001229896071 776913585 /nfs/dbraw/zinc/91/35/85/776913585.db2.gz YJDYOKLASDENFN-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CC3(COC3)C2)cc1F ZINC001229895991 776913646 /nfs/dbraw/zinc/91/36/46/776913646.db2.gz XPRYWXAVAUVGGO-UHFFFAOYSA-N 0 0 267.256 2.600 20 5 CFBDRN C[C@H]1C[C@H](Oc2ccc([N+](=O)[O-])nc2Cl)CCO1 ZINC001229916837 776918363 /nfs/dbraw/zinc/91/83/63/776918363.db2.gz BUXHIIOQIOEQLR-JGVFFNPUSA-N 0 0 272.688 2.590 20 5 CFBDRN COC(=O)c1cc(O[C@H]2C=CCC2)c(F)cc1[N+](=O)[O-] ZINC001229939007 776920386 /nfs/dbraw/zinc/92/03/86/776920386.db2.gz MAYYHIVJHDWHHI-QMMMGPOBSA-N 0 0 281.239 2.618 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1OC1CC=CC1 ZINC001229957412 776924944 /nfs/dbraw/zinc/92/49/44/776924944.db2.gz JKHAEZWIDOWHIL-UHFFFAOYSA-N 0 0 277.276 2.787 20 5 CFBDRN C[N@@H+]1CCC[C@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229962125 776925582 /nfs/dbraw/zinc/92/55/82/776925582.db2.gz OTOGNMVKTNAPPL-JTQLQIEISA-N 0 0 270.716 2.721 20 5 CFBDRN CC[N@@H+]1CC[C@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229962113 776926106 /nfs/dbraw/zinc/92/61/06/776926106.db2.gz OHJWGPJVWLHCLX-JTQLQIEISA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@H](O)C(=O)Oc1nccc2c3ccccc3ccc21 ZINC001230203112 776958016 /nfs/dbraw/zinc/95/80/16/776958016.db2.gz IGVWCMUHFDVQKI-JTQLQIEISA-N 0 0 267.284 2.674 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC001230314803 776977517 /nfs/dbraw/zinc/97/75/17/776977517.db2.gz HHSVZTXNEJIMIX-RYUDHWBXSA-N 0 0 296.279 2.839 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001230316259 776977561 /nfs/dbraw/zinc/97/75/61/776977561.db2.gz SZQQIHOXZXNZSG-SBMIAAHKSA-N 0 0 276.248 2.846 20 5 CFBDRN C[C@H](Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C1CC1 ZINC001230312614 776977625 /nfs/dbraw/zinc/97/76/25/776977625.db2.gz IXFHOVXJHMLUCU-ZETCQYMHSA-N 0 0 252.226 2.680 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1OC[C@H]1CCC=CO1 ZINC001230312897 776977661 /nfs/dbraw/zinc/97/76/61/776977661.db2.gz OBBASOHJOVTYPE-SECBINFHSA-N 0 0 280.236 2.575 20 5 CFBDRN COc1cc(O[C@@H]2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC001225441733 776987603 /nfs/dbraw/zinc/98/76/03/776987603.db2.gz XREJAKSKJIFCIA-LLVKDONJSA-N 0 0 281.308 2.940 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cc(C)c(C)c([N+](=O)[O-])c2)C1 ZINC001230477035 776997576 /nfs/dbraw/zinc/99/75/76/776997576.db2.gz IAPCKXNAYSJQDX-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1ccc(O[C@H]2C=CCC2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230493311 776999475 /nfs/dbraw/zinc/99/94/75/776999475.db2.gz ZSGSUIZKXZSLBM-VIFPVBQESA-N 0 0 264.237 2.909 20 5 CFBDRN CCOc1cccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001230555675 777012877 /nfs/dbraw/zinc/01/28/77/777012877.db2.gz RWMPNFBDZCBWIB-HNNXBMFYSA-N 0 0 288.303 2.877 20 5 CFBDRN Cc1ccc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)c(F)n1 ZINC001230700397 777045226 /nfs/dbraw/zinc/04/52/26/777045226.db2.gz IETXGXBOGWUBOG-CYBMUJFWSA-N 0 0 288.278 2.984 20 5 CFBDRN CCOC[C@@H](C)Oc1ccc([N+](=O)[O-])c(CC(C)=O)c1F ZINC001230793444 777066381 /nfs/dbraw/zinc/06/63/81/777066381.db2.gz AHTPZBDHKBYDBE-SNVBAGLBSA-N 0 0 299.298 2.669 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc(Cl)nc1[N+](=O)[O-] ZINC001230880130 777081389 /nfs/dbraw/zinc/08/13/89/777081389.db2.gz YDKNFDAQULUSMX-QMMMGPOBSA-N 0 0 272.688 2.780 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1O[C@@H]1COc2cnccc21 ZINC001230884340 777082338 /nfs/dbraw/zinc/08/23/38/777082338.db2.gz MUAJYGWSNWPJMI-SNVBAGLBSA-N 0 0 293.666 2.551 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230916561 777092723 /nfs/dbraw/zinc/09/27/23/777092723.db2.gz BZGTYTDJQSQWMU-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2cccc(Cl)c2[N+](=O)[O-])C1 ZINC001230919059 777092882 /nfs/dbraw/zinc/09/28/82/777092882.db2.gz TWUAPQDWHKQPIF-JTQLQIEISA-N 0 0 298.726 2.638 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1cccc(C)c1[N+](=O)[O-] ZINC001225635392 777113479 /nfs/dbraw/zinc/11/34/79/777113479.db2.gz GVZNAJZGLIEUKI-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN CC(=O)N1CCC(Oc2c(C)ccc(C)c2[N+](=O)[O-])CC1 ZINC001231060973 777128050 /nfs/dbraw/zinc/12/80/50/777128050.db2.gz QDYFOQDKGPWKGL-UHFFFAOYSA-N 0 0 292.335 2.601 20 5 CFBDRN CC1=C(Oc2c(C)ccc(C)c2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001231061920 777128686 /nfs/dbraw/zinc/12/86/86/777128686.db2.gz VQCCOPOGKOPFRH-JTQLQIEISA-N 0 0 277.276 2.810 20 5 CFBDRN Cc1nc(OC2CC2)c([N+](=O)[O-])cc1Br ZINC001231120349 777148749 /nfs/dbraw/zinc/14/87/49/777148749.db2.gz LYIBACHUZLQVRB-UHFFFAOYSA-N 0 0 273.086 2.602 20 5 CFBDRN C[C@H]1CC(Oc2[nH]ccc(=O)c2[N+](=O)[O-])C[C@H](C)C1 ZINC001225666037 777155046 /nfs/dbraw/zinc/15/50/46/777155046.db2.gz FROJJBMAYMFZJG-RKDXNWHRSA-N 0 0 266.297 2.899 20 5 CFBDRN Cc1cccc2nc(O[C@H](C[N+](=O)[O-])C3CC3)oc21 ZINC001231162332 777162086 /nfs/dbraw/zinc/16/20/86/777162086.db2.gz SQHJFWUMFUVKGT-LLVKDONJSA-N 0 0 262.265 2.570 20 5 CFBDRN O=C1C[C@H](Oc2ccc(-c3ccccc3[N+](=O)[O-])cc2)CO1 ZINC001231252448 777177372 /nfs/dbraw/zinc/17/73/72/777177372.db2.gz AQDRXTLYVSDZQH-ZDUSSCGKSA-N 0 0 299.282 2.956 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cccc([N+](=O)[O-])c1C ZINC001225690279 777191258 /nfs/dbraw/zinc/19/12/58/777191258.db2.gz VLYJHGDNSPCZBE-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN COC[C@H](C)Oc1ccc2nc3ccc(=O)cc-3oc2c1 ZINC001231331009 777193146 /nfs/dbraw/zinc/19/31/46/777193146.db2.gz DDAKPWOZIWCJTR-JTQLQIEISA-N 0 0 285.299 2.707 20 5 CFBDRN CCCOC(=O)c1ccccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001231341108 777196081 /nfs/dbraw/zinc/19/60/81/777196081.db2.gz UIYMSPKQFRLGIY-CQSZACIVSA-N 0 0 293.319 2.688 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC001225713294 777219572 /nfs/dbraw/zinc/21/95/72/777219572.db2.gz RUVYBMAUBCPAIJ-LLVKDONJSA-N 0 0 286.671 2.682 20 5 CFBDRN O=C1SCC[C@@H]1Nc1cc2cc[nH]c2c([N+](=O)[O-])c1 ZINC001167955041 777246311 /nfs/dbraw/zinc/24/63/11/777246311.db2.gz KDHRCWISBATVEM-VIFPVBQESA-N 0 0 277.305 2.520 20 5 CFBDRN Cc1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc1F ZINC001225774867 777266761 /nfs/dbraw/zinc/26/67/61/777266761.db2.gz NMZAFFAQLONUQP-AWEZNQCLSA-N 0 0 276.267 2.926 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225914685 777334189 /nfs/dbraw/zinc/33/41/89/777334189.db2.gz AOJCFQRGKVKUEU-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN CC(C)CNc1cc([N+](=O)[O-])cc(Br)n1 ZINC001161648980 777423935 /nfs/dbraw/zinc/42/39/35/777423935.db2.gz UZFVRBGDOOEQAY-UHFFFAOYSA-N 0 0 274.118 2.820 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1OC[C@@H]1CCC=CO1 ZINC001226366334 777517899 /nfs/dbraw/zinc/51/78/99/777517899.db2.gz KJQQAPVMONTNQM-QMMMGPOBSA-N 0 0 270.672 2.715 20 5 CFBDRN COc1cc(O[C@H]2CCCC[C@@H]2F)ncc1[N+](=O)[O-] ZINC001226579162 777578385 /nfs/dbraw/zinc/57/83/85/777578385.db2.gz ODPPOIYNCQGBCK-WPRPVWTQSA-N 0 0 270.260 2.658 20 5 CFBDRN CC(C)(O)[C@@H]1CC[N@H+](Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000721803830 777586682 /nfs/dbraw/zinc/58/66/82/777586682.db2.gz KRLFJTCTVMGJNV-LLVKDONJSA-N 0 0 298.770 2.841 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc(F)c1Cl)C1CC1 ZINC001226829203 777660711 /nfs/dbraw/zinc/66/07/11/777660711.db2.gz IANLWSVQSSXEDG-SNVBAGLBSA-N 0 0 259.664 2.913 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1ccc([N+](=O)[O-])c(C)c1 ZINC001226844558 777669780 /nfs/dbraw/zinc/66/97/80/777669780.db2.gz YSSFZRGILDQMDK-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN CN(CC1=CCCOC1)c1cccc(F)c1[N+](=O)[O-] ZINC000696736638 777754024 /nfs/dbraw/zinc/75/40/24/777754024.db2.gz UCYGLKWBJWMCOA-UHFFFAOYSA-N 0 0 266.272 2.517 20 5 CFBDRN CO[C@H](C)COC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000840649878 777791760 /nfs/dbraw/zinc/79/17/60/777791760.db2.gz WKAZAOPCYLOVSN-SNVBAGLBSA-N 0 0 297.307 2.574 20 5 CFBDRN CC(C)CCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC001227522868 777849516 /nfs/dbraw/zinc/84/95/16/777849516.db2.gz ABJLLLVHDZPIGL-NSHDSACASA-N 0 0 281.308 2.951 20 5 CFBDRN Cc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)C1 ZINC000388624226 777969323 /nfs/dbraw/zinc/96/93/23/777969323.db2.gz JHGSAYGRLGQKJP-QMMMGPOBSA-N 0 0 266.272 2.524 20 5 CFBDRN O=[N+]([O-])c1cc2nc(OC3CCOCC3)[nH]c2cc1Cl ZINC001233500277 777970698 /nfs/dbraw/zinc/97/06/98/777970698.db2.gz QUXCCAJSPGBIHV-UHFFFAOYSA-N 0 0 297.698 2.682 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233503950 777972470 /nfs/dbraw/zinc/97/24/70/777972470.db2.gz YCPSLFIIDIKZCQ-CYBMUJFWSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)COC(C)(C)C ZINC001233552171 777987993 /nfs/dbraw/zinc/98/79/93/777987993.db2.gz UOFRZFCJWFIYCN-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H]1CCCC(=O)C1(C)C ZINC001233557989 777990851 /nfs/dbraw/zinc/99/08/51/777990851.db2.gz XWDQFDKQAHMOAA-NSHDSACASA-N 0 0 278.308 2.825 20 5 CFBDRN CCCOC[C@H](C)Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001233574926 777996776 /nfs/dbraw/zinc/99/67/76/777996776.db2.gz NCDFSOBVMCBQJO-VIFPVBQESA-N 0 0 284.268 2.697 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nccc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001228056978 778003096 /nfs/dbraw/zinc/00/30/96/778003096.db2.gz KFVMHDLJKVBMRC-QXFUBDJGSA-N 0 0 266.684 2.987 20 5 CFBDRN CC[NH+]1CCC(Oc2cc(F)c([N+](=O)[O-])cc2F)CC1 ZINC001233596902 778004394 /nfs/dbraw/zinc/00/43/94/778004394.db2.gz GHCNPUCGPSBEMP-UHFFFAOYSA-N 0 0 286.278 2.736 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233599399 778006848 /nfs/dbraw/zinc/00/68/48/778006848.db2.gz KBHSZWIUDATNOL-LLVKDONJSA-N 0 0 271.219 2.764 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cc(F)c([N+](=O)[O-])cc2F)CCO1 ZINC001233601953 778008981 /nfs/dbraw/zinc/00/89/81/778008981.db2.gz ZJFUZQRGPMSMGD-HTQZYQBOSA-N 0 0 273.235 2.819 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC001228238338 778028974 /nfs/dbraw/zinc/02/89/74/778028974.db2.gz VHECKVLFLCLTKF-LLVKDONJSA-N 0 0 279.292 2.708 20 5 CFBDRN CC(C)[C@@H](C)Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1 ZINC001233702235 778048132 /nfs/dbraw/zinc/04/81/32/778048132.db2.gz MTNVBQNIHHIQRW-MRVPVSSYSA-N 0 0 254.242 2.926 20 5 CFBDRN CC(C)(C)C(=O)N[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC001059632764 778053921 /nfs/dbraw/zinc/05/39/21/778053921.db2.gz DDQYMFMBVQRHFR-TXEJJXNPSA-N 0 0 291.351 2.700 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233754453 778064366 /nfs/dbraw/zinc/06/43/66/778064366.db2.gz UTAJXYWBXPIWIA-JTQLQIEISA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233840286 778092986 /nfs/dbraw/zinc/09/29/86/778092986.db2.gz FIUNRNZNROFQEE-MFKMUULPSA-N 0 0 288.303 2.947 20 5 CFBDRN COC(=O)c1cnc(O[C@H]2CCC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001233860547 778099186 /nfs/dbraw/zinc/09/91/86/778099186.db2.gz AZQWMVLJRUKTKF-ONGXEEELSA-N 0 0 294.307 2.734 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nc(Cl)nc2ccsc21)C1CC1 ZINC001233876047 778102666 /nfs/dbraw/zinc/10/26/66/778102666.db2.gz IGWAYODHIRGCRU-QMMMGPOBSA-N 0 0 299.739 2.779 20 5 CFBDRN COc1cccc(NCC2(F)CCOCC2)c1[N+](=O)[O-] ZINC001168125783 778109263 /nfs/dbraw/zinc/10/92/63/778109263.db2.gz GKPOZXFTIREXQO-UHFFFAOYSA-N 0 0 284.287 2.534 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc(OC(F)F)c1)C1CC1 ZINC001233963465 778124036 /nfs/dbraw/zinc/12/40/36/778124036.db2.gz ONTFKLMRXCJUCV-LLVKDONJSA-N 0 0 273.235 2.722 20 5 CFBDRN CC[C@@H](C)Oc1ccc(CC[N+](=O)[O-])cc1OC ZINC001233982873 778129155 /nfs/dbraw/zinc/12/91/55/778129155.db2.gz NCQIJSCFISMUHH-SNVBAGLBSA-N 0 0 253.298 2.692 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1O[C@@H](C)C(F)(F)F ZINC001233983716 778130756 /nfs/dbraw/zinc/13/07/56/778130756.db2.gz YRLLSZJZLFMKCZ-QMMMGPOBSA-N 0 0 293.241 2.844 20 5 CFBDRN Cc1cc(F)c(O[C@H]2COc3cnccc32)cc1[N+](=O)[O-] ZINC001234061626 778155125 /nfs/dbraw/zinc/15/51/25/778155125.db2.gz VVIBYAMBBOSZGQ-AWEZNQCLSA-N 0 0 290.250 2.950 20 5 CFBDRN F[C@@H]1COC[C@H]1Oc1ccnc2c1ccc1cccnc12 ZINC001234088897 778162361 /nfs/dbraw/zinc/16/23/61/778162361.db2.gz ZHUNKKDUVUEJIL-TZMCWYRMSA-N 0 0 284.290 2.899 20 5 CFBDRN O=C1OCCC[C@@H]1Oc1ccnc2c1ccc1cccnc12 ZINC001234087897 778162507 /nfs/dbraw/zinc/16/25/07/778162507.db2.gz SKMPGRPDYSDENI-AWEZNQCLSA-N 0 0 294.310 2.867 20 5 CFBDRN O=[N+]([O-])c1cc(NC2(c3ccccn3)CC2)cn2ccnc12 ZINC001168151810 778167068 /nfs/dbraw/zinc/16/70/68/778167068.db2.gz ZLBAXGLMBWBQDI-UHFFFAOYSA-N 0 0 295.302 2.739 20 5 CFBDRN C[C@@H]1CCC[C@H](C)[C@H]1Oc1ncncc1[N+](=O)[O-] ZINC001228655333 778178945 /nfs/dbraw/zinc/17/89/45/778178945.db2.gz QWBMTFMRQZTSPC-JZYVYDRUSA-N 0 0 251.286 2.588 20 5 CFBDRN C[C@H](Oc1ncncc1[N+](=O)[O-])c1cccc(F)c1F ZINC001228654708 778178961 /nfs/dbraw/zinc/17/89/61/778178961.db2.gz MVVFFPXTHQMSTM-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000697387952 778204915 /nfs/dbraw/zinc/20/49/15/778204915.db2.gz QRLRORRQVPQOBY-UHFFFAOYSA-N 0 0 280.711 2.921 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C ZINC001228919898 778207911 /nfs/dbraw/zinc/20/79/11/778207911.db2.gz BRCPCRKFXBAMBH-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC2)cc1OC1CC=CC1 ZINC001234359135 778214643 /nfs/dbraw/zinc/21/46/43/778214643.db2.gz DOLDOMXLXMVJAW-UHFFFAOYSA-N 0 0 290.319 2.529 20 5 CFBDRN OCC1(Nc2nc3ccccc3c3ccccc32)COC1 ZINC001168180063 778218437 /nfs/dbraw/zinc/21/84/37/778218437.db2.gz VGUVRDGAPSWJSO-UHFFFAOYSA-N 0 0 280.327 2.561 20 5 CFBDRN CC(C)(O)[C@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000721863598 778225787 /nfs/dbraw/zinc/22/57/87/778225787.db2.gz PQIWVTBWUHRCCJ-VIFPVBQESA-N 0 0 284.743 2.845 20 5 CFBDRN COC(C)(C)[C@H](C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000302490008 778226409 /nfs/dbraw/zinc/22/64/09/778226409.db2.gz RYTUPLDYXMVQJF-QMMMGPOBSA-N 0 0 256.277 2.959 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1NC[C@H]1C[C@H](F)C1 ZINC001168238376 778264976 /nfs/dbraw/zinc/26/49/76/778264976.db2.gz YVXZHLZEZJHLOZ-LJGSYFOKSA-N 0 0 259.668 2.803 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000721244355 778268287 /nfs/dbraw/zinc/26/82/87/778268287.db2.gz NGCLNWGQEZOCHQ-KHJSKFAYSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)cc1N[C@H]1C[C@@H](CO)C1 ZINC001168244174 778269074 /nfs/dbraw/zinc/26/90/74/778269074.db2.gz XOUVWKPATOJEGM-OTSSQURYSA-N 0 0 290.241 2.796 20 5 CFBDRN OC[C@H]1C[C@@H](Nc2cc3cccnc3c3ncccc23)C1 ZINC001168247659 778271113 /nfs/dbraw/zinc/27/11/13/778271113.db2.gz REJYRHKTWDAGKL-BJHJDKERSA-N 0 0 279.343 2.966 20 5 CFBDRN CC[C@@H](COc1nccc2c1cccc2[N+](=O)[O-])OC ZINC001234676765 778286109 /nfs/dbraw/zinc/28/61/09/778286109.db2.gz OEJVEOCJGCUUQJ-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2OC[C@@H]1CCCO1 ZINC001234674281 778286128 /nfs/dbraw/zinc/28/61/28/778286128.db2.gz LMPKSKVCOINBPE-JTQLQIEISA-N 0 0 274.276 2.701 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2OC[C@H]1CCCO1 ZINC001234674282 778286162 /nfs/dbraw/zinc/28/61/62/778286162.db2.gz LMPKSKVCOINBPE-SNVBAGLBSA-N 0 0 274.276 2.701 20 5 CFBDRN CCOC[C@H](C)Oc1nccc2c1cccc2[N+](=O)[O-] ZINC001234674164 778286500 /nfs/dbraw/zinc/28/65/00/778286500.db2.gz JISODQOADOYWSF-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN NC(N)c1nc(-c2cc3ccccc3c3ccccc23)no1 ZINC001211963994 778297685 /nfs/dbraw/zinc/29/76/85/778297685.db2.gz XBKRHJRRSJQPAM-UHFFFAOYSA-N 0 0 290.326 2.959 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2ncccc2F)n1 ZINC001234880786 778319802 /nfs/dbraw/zinc/31/98/02/778319802.db2.gz HMUXVSBYHYUQBH-QMMMGPOBSA-N 0 0 293.254 2.673 20 5 CFBDRN CC[C@H](C)[C@H](C)Oc1nc(OC)ccc1[N+](=O)[O-] ZINC001234880317 778320300 /nfs/dbraw/zinc/32/03/00/778320300.db2.gz BBCMFTDRKYWNOE-IUCAKERBSA-N 0 0 254.286 2.812 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H](C)c1ccccn1 ZINC001234899374 778324435 /nfs/dbraw/zinc/32/44/35/778324435.db2.gz BPTFISFLWIAHSD-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCC[C@H](CC)Oc1nc(NC(C)=O)nc(C)c1[N+](=O)[O-] ZINC001234910844 778327615 /nfs/dbraw/zinc/32/76/15/778327615.db2.gz OTIDSQRAOUFTTI-JTQLQIEISA-N 0 0 296.327 2.609 20 5 CFBDRN C[C@@H](O[C@@H](C[N+](=O)[O-])C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC001224027757 778398728 /nfs/dbraw/zinc/39/87/28/778398728.db2.gz XKNQOORMAUVVQH-RNCFNFMXSA-N 0 0 280.280 2.728 20 5 CFBDRN CCC1(Nc2ccc3cnccc3c2[N+](=O)[O-])COC1 ZINC001168322249 778431270 /nfs/dbraw/zinc/43/12/70/778431270.db2.gz VSLHLQDLQFERLE-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)Oc1ccccc1 ZINC000030288317 778442748 /nfs/dbraw/zinc/44/27/48/778442748.db2.gz OEMSEVIPCYKZOR-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN C[C@@]1(O)C[C@H](CNc2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC001168344853 778443448 /nfs/dbraw/zinc/44/34/48/778443448.db2.gz YBSYMHLJUXFMEI-AVCCJPFPSA-N 0 0 287.319 2.716 20 5 CFBDRN O=C(COC1CCCCC1)OCc1ccc([N+](=O)[O-])cc1 ZINC000128489883 778529841 /nfs/dbraw/zinc/52/98/41/778529841.db2.gz WFEVPILELWBQDT-UHFFFAOYSA-N 0 0 293.319 2.987 20 5 CFBDRN C[C@@H](OC[C@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC001224478579 778531618 /nfs/dbraw/zinc/53/16/18/778531618.db2.gz CTKILLMSEQATFS-MNOVXSKESA-N 0 0 251.282 2.709 20 5 CFBDRN O=C(NCc1ccccn1)c1cccc([N+](=O)[O-])c1Cl ZINC000160361056 778601168 /nfs/dbraw/zinc/60/11/68/778601168.db2.gz CKYRJZPHIFSHQQ-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1Cl)C1CC1 ZINC000160370715 778602017 /nfs/dbraw/zinc/60/20/17/778602017.db2.gz ZAFMXVCGBBYNHS-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])cc(C)n1)C(C)(C)C ZINC001126948315 778608709 /nfs/dbraw/zinc/60/87/09/778608709.db2.gz PTLRRNKOUMYZQT-UHFFFAOYSA-N 0 0 279.340 2.949 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCC1(O)CCCCC1 ZINC000160802074 778616124 /nfs/dbraw/zinc/61/61/24/778616124.db2.gz SSVZPJDRNCESBS-UHFFFAOYSA-N 0 0 293.319 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCO[C@@H]2C[C@H]3C[C@H]3C2)cc1 ZINC001224777335 778681956 /nfs/dbraw/zinc/68/19/56/778681956.db2.gz SGIMZEQHHPTWGB-GNXNZQSNSA-N 0 0 263.293 2.789 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)Nc1ccc(F)nc1 ZINC001127022262 778688671 /nfs/dbraw/zinc/68/86/71/778688671.db2.gz GBXJOQKESZLNKZ-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cc(C)n1 ZINC001127156379 778835184 /nfs/dbraw/zinc/83/51/84/778835184.db2.gz PNKDLIVHMIOQCY-PWSUYJOCSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1cccc(N[C@@H]2CC[C@@H]([S@@](C)=O)C2)c1[N+](=O)[O-] ZINC001120970240 779014328 /nfs/dbraw/zinc/01/43/28/779014328.db2.gz RBBRQDXHUQQIKQ-XCJKDKRRSA-N 0 0 282.365 2.615 20 5 CFBDRN CO[C@@H](C)CCOc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233500133 779045407 /nfs/dbraw/zinc/04/54/07/779045407.db2.gz QIDTZSGOHCETKN-ZETCQYMHSA-N 0 0 299.714 2.928 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233599261 779058047 /nfs/dbraw/zinc/05/80/47/779058047.db2.gz HSTAZTKILOVGOH-WRWORJQWSA-N 0 0 273.235 2.677 20 5 CFBDRN CCCOCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000715007911 779093899 /nfs/dbraw/zinc/09/38/99/779093899.db2.gz HBHCRMHETQTSFM-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN C[C@]1(C(F)F)CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000698993467 779125392 /nfs/dbraw/zinc/12/53/92/779125392.db2.gz HRBBWUFUNIDXFE-GFCCVEGCSA-N 0 0 290.241 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccncc1F ZINC001272514310 779160381 /nfs/dbraw/zinc/16/03/81/779160381.db2.gz RWEHOQJSCJMNED-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN COc1cc(NC[C@H]2CC(C)(C)O2)cc([N+](=O)[O-])c1C ZINC001168457536 779182609 /nfs/dbraw/zinc/18/26/09/779182609.db2.gz YMCWNFCPCFNJFR-LLVKDONJSA-N 0 0 280.324 2.891 20 5 CFBDRN CC1(C)C[C@H](CNc2ccc3nsnc3c2[N+](=O)[O-])O1 ZINC001168457090 779183760 /nfs/dbraw/zinc/18/37/60/779183760.db2.gz UMUKGKCRNMOFHA-SSDOTTSWSA-N 0 0 294.336 2.579 20 5 CFBDRN COc1c(Cl)ccc(F)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001234324607 779214534 /nfs/dbraw/zinc/21/45/34/779214534.db2.gz GPXPGRSZSZRRNY-SNVBAGLBSA-N 0 0 289.690 2.922 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)Cc1ccco1 ZINC001234901707 779280961 /nfs/dbraw/zinc/28/09/61/779280961.db2.gz QHALTSCNSFZJDO-VIFPVBQESA-N 0 0 262.265 2.901 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCC[C@@H]2CCCCO2)c1 ZINC000699658773 779324344 /nfs/dbraw/zinc/32/43/44/779324344.db2.gz RLFXAPQDEFHXRO-LBPRGKRZSA-N 0 0 279.292 2.745 20 5 CFBDRN CC[C@H](C[N+](=O)[O-])OC(=O)[C@H](SC)c1ccccc1 ZINC001123256916 779368066 /nfs/dbraw/zinc/36/80/66/779368066.db2.gz RNUZULZXXMWKSA-VXGBXAGGSA-N 0 0 283.349 2.689 20 5 CFBDRN Cc1nccnc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000699911388 779420306 /nfs/dbraw/zinc/42/03/06/779420306.db2.gz LXIYSWVXASHXSI-SNVBAGLBSA-N 0 0 272.308 2.544 20 5 CFBDRN CC(C)=CC(=O)NC/C=C\CNc1ccc([N+](=O)[O-])cc1 ZINC001107018695 779739882 /nfs/dbraw/zinc/73/98/82/779739882.db2.gz TZKMLABZEWJVJI-ARJAWSKDSA-N 0 0 289.335 2.645 20 5 CFBDRN Cc1ccc(NC/C=C\CNC(=O)C(C)C)c([N+](=O)[O-])c1 ZINC001107045338 779759844 /nfs/dbraw/zinc/75/98/44/779759844.db2.gz IKQBMFSTKGDRGN-PLNGDYQASA-N 0 0 291.351 2.644 20 5 CFBDRN C/C=C(/C)C(=O)NC/C=C/CNc1ccccc1[N+](=O)[O-] ZINC001107101639 779775992 /nfs/dbraw/zinc/77/59/92/779775992.db2.gz VTYPIQHWRAINKI-VDSBJMFHSA-N 0 0 289.335 2.645 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCNc2ccccc21 ZINC001168648113 780187474 /nfs/dbraw/zinc/18/74/74/780187474.db2.gz ZSWPPTGTKQJJQM-GFCCVEGCSA-N 0 0 270.292 2.959 20 5 CFBDRN COC(=O)c1ncc([N+](=O)[O-])c(C)c1N[C@@H](C)C(C)(C)C ZINC001168684658 780322997 /nfs/dbraw/zinc/32/29/97/780322997.db2.gz KXZWNKXUWOZSPP-VIFPVBQESA-N 0 0 295.339 2.931 20 5 CFBDRN Cc1ccc(-c2nnc(-c3ccc([N+](=O)[O-])o3)o2)o1 ZINC001117229416 780406958 /nfs/dbraw/zinc/40/69/58/780406958.db2.gz VQQAPEBQOVOCFY-UHFFFAOYSA-N 0 0 261.193 2.806 20 5 CFBDRN COc1cc(N[C@H](C)CCCC(C)(C)O)cnc1[N+](=O)[O-] ZINC001168706259 780443768 /nfs/dbraw/zinc/44/37/68/780443768.db2.gz UZYZJWUGGKVOTM-SNVBAGLBSA-N 0 0 297.355 2.740 20 5 CFBDRN C[C@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000140616743 780522707 /nfs/dbraw/zinc/52/27/07/780522707.db2.gz HNJZSLJHWPYUQU-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(NC[C@H](O)c2ccco2)c1 ZINC001162258280 780646102 /nfs/dbraw/zinc/64/61/02/780646102.db2.gz NQYBGVDWYKRVEP-NSHDSACASA-N 0 0 282.683 2.987 20 5 CFBDRN CCc1cnc(COc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000700390539 780683207 /nfs/dbraw/zinc/68/32/07/780683207.db2.gz OARHGSOKQMGDMU-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N[C@H]1C=CCC1 ZINC000700562622 780695767 /nfs/dbraw/zinc/69/57/67/780695767.db2.gz PWLAMPFLFWXEKA-QMMMGPOBSA-N 0 0 284.113 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)Nc2cnsn2)cc1Cl ZINC001128700594 780734555 /nfs/dbraw/zinc/73/45/55/780734555.db2.gz BBHIWJJPIJESGV-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@@H](C)O2)n1 ZINC000701513517 780811787 /nfs/dbraw/zinc/81/17/87/780811787.db2.gz YRXHRVFHZRCZNC-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1nnccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000701981600 780859538 /nfs/dbraw/zinc/85/95/38/780859538.db2.gz OFRHACKWBRWOMY-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1cnc([N+](=O)[O-])cn1 ZINC001162526394 781033280 /nfs/dbraw/zinc/03/32/80/781033280.db2.gz PFISRLBNBDCGEA-ZJUUUORDSA-N 0 0 250.302 2.623 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1cnc([N+](=O)[O-])cn1 ZINC001162526387 781033350 /nfs/dbraw/zinc/03/33/50/781033350.db2.gz PFISRLBNBDCGEA-UWVGGRQHSA-N 0 0 250.302 2.623 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H]2CCSC2)s1 ZINC000704795105 781117208 /nfs/dbraw/zinc/11/72/08/781117208.db2.gz LCGIIGBKXALTAD-ZETCQYMHSA-N 0 0 259.356 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CSC2)c2ncccc12 ZINC000705231510 781135620 /nfs/dbraw/zinc/13/56/20/781135620.db2.gz XHVJZCGJUXPFJE-UHFFFAOYSA-N 0 0 261.306 2.670 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1cc([N+](=O)[O-])ccc1F ZINC000706284530 781171003 /nfs/dbraw/zinc/17/10/03/781171003.db2.gz MBZXPVCTBUOFQY-LBPRGKRZSA-N 0 0 278.283 2.796 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc([N+](=O)[O-])cc1 ZINC000706401784 781175736 /nfs/dbraw/zinc/17/57/36/781175736.db2.gz PDEHIRLRLLIGPN-UHFFFAOYSA-N 0 0 252.314 2.582 20 5 CFBDRN CN(Cc1ccon1)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000707079409 781222010 /nfs/dbraw/zinc/22/20/10/781222010.db2.gz GOKOUCIFQSRIGX-UHFFFAOYSA-N 0 0 295.682 2.508 20 5 CFBDRN C[C@@H](Nc1cccc(F)c1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000707220429 781234162 /nfs/dbraw/zinc/23/41/62/781234162.db2.gz NFGASIVTPMCGIP-MRVPVSSYSA-N 0 0 284.287 2.876 20 5 CFBDRN CC1(C)CO[C@H](COc2cc(C=O)ccc2[N+](=O)[O-])C1 ZINC000708377793 781271993 /nfs/dbraw/zinc/27/19/93/781271993.db2.gz ZFRMVZGOAJIONE-NSHDSACASA-N 0 0 279.292 2.601 20 5 CFBDRN C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1cn(C)cn1 ZINC000709935541 781323828 /nfs/dbraw/zinc/32/38/28/781323828.db2.gz SZGJLVIBVJLWSW-GHMZBOCLSA-N 0 0 274.324 2.740 20 5 CFBDRN Cc1nc(NC[C@@H]2CCC(C)(C)CO2)ccc1[N+](=O)[O-] ZINC000710742935 781337044 /nfs/dbraw/zinc/33/70/44/781337044.db2.gz ADSOBDMPPBJYTH-NSHDSACASA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@]1(O)CCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000710639518 781346438 /nfs/dbraw/zinc/34/64/38/781346438.db2.gz GUKYGCQUYUYOTR-AWEZNQCLSA-N 0 0 298.770 2.985 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000711783688 781472112 /nfs/dbraw/zinc/47/21/12/781472112.db2.gz FOXPAEHNRIWKSH-VIFPVBQESA-N 0 0 280.711 2.944 20 5 CFBDRN Cc1nc(N2CC[C@H](C)C(F)(F)CC2)ncc1[N+](=O)[O-] ZINC001164118283 781669658 /nfs/dbraw/zinc/66/96/58/781669658.db2.gz QSBDHUYWTAGDLO-QMMMGPOBSA-N 0 0 286.282 2.565 20 5 CFBDRN Cc1cc(Cl)nc(N2CCC[C@H](O)[C@H](C)C2)c1[N+](=O)[O-] ZINC001164217134 781688036 /nfs/dbraw/zinc/68/80/36/781688036.db2.gz ASPKCKQNYJVXEN-ZJUUUORDSA-N 0 0 299.758 2.549 20 5 CFBDRN C[C@@H](CCO)Nc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC001165905454 781755625 /nfs/dbraw/zinc/75/56/25/781755625.db2.gz OMYVWMZHAIRICA-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN C[C@@H]1CCCN(c2cc([N+](=O)[O-])cc(Cl)[n+]2[O-])[C@H]1C ZINC001166614449 781767226 /nfs/dbraw/zinc/76/72/26/781767226.db2.gz YFAOECCBXBBNLJ-BDAKNGLRSA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@@H]1CCOC[C@H]1F ZINC001230727349 781869023 /nfs/dbraw/zinc/86/90/23/781869023.db2.gz BYSRRHYGRUURNG-ZYHUDNBSSA-N 0 0 269.272 2.717 20 5 CFBDRN CCc1onc(C)c1CNc1ncc(C)cc1[N+](=O)[O-] ZINC001119980490 781883942 /nfs/dbraw/zinc/88/39/42/781883942.db2.gz GIOOAAHRBWZADZ-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN Cc1cc(N2CCc3ccccc3[C@@H]2CO)ccc1[N+](=O)[O-] ZINC000594582862 349451696 /nfs/dbraw/zinc/45/16/96/349451696.db2.gz MDYWXWGATLBCDN-KRWDZBQOSA-N 0 0 298.342 2.999 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H](OC2CCC2)C1 ZINC000594593830 349454525 /nfs/dbraw/zinc/45/45/25/349454525.db2.gz DNBMJMKWTZONSF-GFCCVEGCSA-N 0 0 277.324 2.528 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](COC)CC(C)(C)C ZINC000594597192 349456031 /nfs/dbraw/zinc/45/60/31/349456031.db2.gz FFKYMLKSYPGVRC-NSHDSACASA-N 0 0 298.387 2.983 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H]1CCCCO1 ZINC000594601876 349457958 /nfs/dbraw/zinc/45/79/58/349457958.db2.gz PFMUWRBBEJGSAZ-NEPJUHHUSA-N 0 0 265.313 2.749 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@H]1CCCCO1 ZINC000594601877 349458193 /nfs/dbraw/zinc/45/81/93/349458193.db2.gz PFMUWRBBEJGSAZ-NWDGAFQWSA-N 0 0 265.313 2.749 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000067521837 346808394 /nfs/dbraw/zinc/80/83/94/346808394.db2.gz WTHVGBDXCDWFIL-SNVBAGLBSA-N 0 0 298.364 2.606 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1cn(CCCCF)nn1 ZINC000594651274 349468758 /nfs/dbraw/zinc/46/87/58/349468758.db2.gz BEGYRHBSBYQHQA-UHFFFAOYSA-N 0 0 294.286 2.515 20 5 CFBDRN CCC[C@H](C)NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000594662829 349471145 /nfs/dbraw/zinc/47/11/45/349471145.db2.gz CGRHNADYHWZJOV-JTQLQIEISA-N 0 0 250.298 2.822 20 5 CFBDRN O=[N+]([O-])c1nn(CC2CC=CC2)cc1Br ZINC000594886501 349493893 /nfs/dbraw/zinc/49/38/93/349493893.db2.gz UUKRYEJUWVBWDR-UHFFFAOYSA-N 0 0 272.102 2.520 20 5 CFBDRN Cc1cc(COc2cc([N+](=O)[O-])ccc2C)nc(C)n1 ZINC000594885498 349493171 /nfs/dbraw/zinc/49/31/71/349493171.db2.gz FXYSWMDVRCAZEL-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCO[C@H]1CC1(F)F ZINC000594705156 349477104 /nfs/dbraw/zinc/47/71/04/349477104.db2.gz VGGIBJZMOAHVTR-LBPRGKRZSA-N 0 0 286.278 2.993 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CC[C@H]1CCc2ccccc21 ZINC000594887658 349494425 /nfs/dbraw/zinc/49/44/25/349494425.db2.gz OPLUDBBPOOTNQX-CYBMUJFWSA-N 0 0 284.315 2.877 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H](OC)C1CCC1 ZINC000594891632 349495107 /nfs/dbraw/zinc/49/51/07/349495107.db2.gz PNQWLGIMQNRQGI-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000594891397 349495210 /nfs/dbraw/zinc/49/52/10/349495210.db2.gz UKRFMBDNSIJNKW-MXWKQRLJSA-N 0 0 288.303 2.939 20 5 CFBDRN CC[C@H](CC(F)F)Cn1cc([N+](=O)[O-])cc(C)c1=O ZINC000594893339 349496071 /nfs/dbraw/zinc/49/60/71/349496071.db2.gz YFKZXCDWCVAAMF-SECBINFHSA-N 0 0 274.267 2.746 20 5 CFBDRN CC(=O)[C@@H](C)CCSc1ccc([N+](=O)[O-])cn1 ZINC000594892783 349496139 /nfs/dbraw/zinc/49/61/39/349496139.db2.gz IVYVQICCNXYNDQ-QMMMGPOBSA-N 0 0 254.311 2.697 20 5 CFBDRN CC(C)(C)n1cc(COc2ccc(F)cc2[N+](=O)[O-])nn1 ZINC000594892020 349496222 /nfs/dbraw/zinc/49/62/22/349496222.db2.gz VEGQKPJUQGQYMF-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN CSc1cccc(Cn2cc([N+](=O)[O-])c(C)n2)c1 ZINC000594896964 349498177 /nfs/dbraw/zinc/49/81/77/349498177.db2.gz DSNULMJUVWSFIY-UHFFFAOYSA-N 0 0 263.322 2.870 20 5 CFBDRN COc1cccc(Cn2cc([N+](=O)[O-])c(C)n2)c1Cl ZINC000594898057 349498217 /nfs/dbraw/zinc/49/82/17/349498217.db2.gz ZEZGWOYSDDGHIQ-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN CO[C@@H](CSc1cccc([N+](=O)[O-])c1)[C@H]1CCOC1 ZINC000594898421 349498340 /nfs/dbraw/zinc/49/83/40/349498340.db2.gz ASBYGGCVCFEDIY-GWCFXTLKSA-N 0 0 283.349 2.738 20 5 CFBDRN Cc1c[nH]c(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000594934568 349517802 /nfs/dbraw/zinc/51/78/02/349517802.db2.gz CKJVIXZIFYJKLR-NSHDSACASA-N 0 0 274.324 2.786 20 5 CFBDRN CC[C@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273849802 192333114 /nfs/dbraw/zinc/33/31/14/192333114.db2.gz HHVISCLIUNFTSS-VIFPVBQESA-N 0 0 282.315 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC(C)(C)C(C)(C)O ZINC000273067526 192035399 /nfs/dbraw/zinc/03/53/99/192035399.db2.gz ZLJIAIHEAYTPQX-UHFFFAOYSA-N 0 0 295.339 2.574 20 5 CFBDRN Cn1cc(CCNc2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000124802011 187154803 /nfs/dbraw/zinc/15/48/03/187154803.db2.gz DEHBOAQDWHYKFA-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN Cc1ccncc1CC[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000594966269 349533420 /nfs/dbraw/zinc/53/34/20/349533420.db2.gz DXEWHBLLFRFGGF-UHFFFAOYSA-N 0 0 271.320 2.631 20 5 CFBDRN COC1(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000273084463 192038750 /nfs/dbraw/zinc/03/87/50/192038750.db2.gz HVVUCCUVDQHHPW-NSHDSACASA-N 0 0 292.335 2.650 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000067813091 346820382 /nfs/dbraw/zinc/82/03/82/346820382.db2.gz DNJHJKBTGZZVJZ-LLVKDONJSA-N 0 0 294.351 2.793 20 5 CFBDRN Cc1csc([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000067875550 346825195 /nfs/dbraw/zinc/82/51/95/346825195.db2.gz NLPXWMOTUJEGKQ-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@H](c1cccnc1)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000068172211 346839228 /nfs/dbraw/zinc/83/92/28/346839228.db2.gz GQCRKUACXQUTJK-LLVKDONJSA-N 0 0 285.303 2.823 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000125327905 187179795 /nfs/dbraw/zinc/17/97/95/187179795.db2.gz AXGFXENZNQRUTH-SNVBAGLBSA-N 0 0 272.301 2.814 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000125330479 187179863 /nfs/dbraw/zinc/17/98/63/187179863.db2.gz QKSOSTMPRHMALM-SNVBAGLBSA-N 0 0 272.301 2.814 20 5 CFBDRN Cc1nc(NC2CCSCC2)ccc1[N+](=O)[O-] ZINC000125337327 187180008 /nfs/dbraw/zinc/18/00/08/187180008.db2.gz CXRWPBZBHDWYGB-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN CO[C@@]1(C)C[C@@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1(C)C ZINC000595335219 349604782 /nfs/dbraw/zinc/60/47/82/349604782.db2.gz SOUJIFOCTQFHQC-RISCZKNCSA-N 0 0 297.355 2.527 20 5 CFBDRN Cc1noc(CN2Cc3ccccc3C[C@H]2C)c1[N+](=O)[O-] ZINC000595325446 349602556 /nfs/dbraw/zinc/60/25/56/349602556.db2.gz IKVSQGNPYZNCEM-SNVBAGLBSA-N 0 0 287.319 2.838 20 5 CFBDRN CCc1cnc(CN(C)Cc2onc(C)c2[N+](=O)[O-])s1 ZINC000595335182 349604022 /nfs/dbraw/zinc/60/40/22/349604022.db2.gz VVRJUZJAROEPAA-UHFFFAOYSA-N 0 0 296.352 2.542 20 5 CFBDRN CCN(Cc1onc(C)c1[N+](=O)[O-])Cc1ccccc1 ZINC000595288167 349590744 /nfs/dbraw/zinc/59/07/44/349590744.db2.gz KXPZTNIOWWYHIZ-UHFFFAOYSA-N 0 0 275.308 2.913 20 5 CFBDRN CCc1nc(CN(C)Cc2onc(C)c2[N+](=O)[O-])cs1 ZINC000595295287 349593344 /nfs/dbraw/zinc/59/33/44/349593344.db2.gz XCISCEBOGYCEEX-UHFFFAOYSA-N 0 0 296.352 2.542 20 5 CFBDRN Cc1noc(CN(C)Cc2ccc(F)c(F)c2)c1[N+](=O)[O-] ZINC000595298514 349596165 /nfs/dbraw/zinc/59/61/65/349596165.db2.gz QLOBGZKDIJBYER-UHFFFAOYSA-N 0 0 297.261 2.801 20 5 CFBDRN CC(C)(C(=O)N1CCC=C(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000273095343 192041116 /nfs/dbraw/zinc/04/11/16/192041116.db2.gz OMIZSGBLLXWYIK-UHFFFAOYSA-N 0 0 292.310 2.958 20 5 CFBDRN Cc1noc(CN2CC[C@@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000595343017 349606457 /nfs/dbraw/zinc/60/64/57/349606457.db2.gz UWEVDEMGSUATBF-GFCCVEGCSA-N 0 0 273.292 2.838 20 5 CFBDRN CC[C@](C)(O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413102465 529378904 /nfs/dbraw/zinc/37/89/04/529378904.db2.gz FBCYLJHYBYDRDL-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN CO[C@@H](CN1CCc2c1cccc2[N+](=O)[O-])C1CCC1 ZINC000595388157 349614283 /nfs/dbraw/zinc/61/42/83/349614283.db2.gz GYTYZTXIYXKBQX-HNNXBMFYSA-N 0 0 276.336 2.772 20 5 CFBDRN C[N@H+](Cc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCSC1 ZINC000595391779 349615079 /nfs/dbraw/zinc/61/50/79/349615079.db2.gz AVOKOWBFMPSDGG-NSHDSACASA-N 0 0 270.329 2.671 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(O)c1 ZINC000096953269 185140667 /nfs/dbraw/zinc/14/06/67/185140667.db2.gz MAELSAFCOUMWHV-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CC[C@]1(C(C)C)C[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000413374209 529391319 /nfs/dbraw/zinc/39/13/19/529391319.db2.gz IOQKMHYPFXZVOM-ZYHUDNBSSA-N 0 0 250.302 2.621 20 5 CFBDRN Cc1noc(CN(C)C[C@H](C)c2nccs2)c1[N+](=O)[O-] ZINC000595428505 349623556 /nfs/dbraw/zinc/62/35/56/349623556.db2.gz YCOYGKKUGZVNRH-QMMMGPOBSA-N 0 0 296.352 2.583 20 5 CFBDRN O=[N+]([O-])c1cccc([C@@H](O)CN2CCc3ccccc32)c1 ZINC000269629319 190523803 /nfs/dbraw/zinc/52/38/03/190523803.db2.gz DPVKIUCSPIFBCD-INIZCTEOSA-N 0 0 284.315 2.691 20 5 CFBDRN CC[C@@H](NCc1onc(C)c1[N+](=O)[O-])c1c(C)noc1C ZINC000595431219 349624185 /nfs/dbraw/zinc/62/41/85/349624185.db2.gz MXVQEIVTXSQZMC-SNVBAGLBSA-N 0 0 294.311 2.737 20 5 CFBDRN Cc1noc(CN2CC[C@H](C)C(C)(C)C2)c1[N+](=O)[O-] ZINC000595439217 349626549 /nfs/dbraw/zinc/62/65/49/349626549.db2.gz YDZZMXLKDSRBIZ-VIFPVBQESA-N 0 0 267.329 2.759 20 5 CFBDRN Cc1ncc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000351751442 282154575 /nfs/dbraw/zinc/15/45/75/282154575.db2.gz BHHBOKCYWIJRCY-JTQLQIEISA-N 0 0 272.308 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN[C@H](CCO)c1ccco1 ZINC000595444935 349628509 /nfs/dbraw/zinc/62/85/09/349628509.db2.gz IDFGLYSXIXDLOS-GFCCVEGCSA-N 0 0 294.282 2.540 20 5 CFBDRN Cc1noc(C[N@@H+]2C[C@H](C)C[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000595456781 349632520 /nfs/dbraw/zinc/63/25/20/349632520.db2.gz GFGWTOHOHCCTOT-FXPVBKGRSA-N 0 0 267.329 2.758 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000177058003 529412061 /nfs/dbraw/zinc/41/20/61/529412061.db2.gz SOPSFGQEZAMDQQ-XHDPSFHLSA-N 0 0 291.351 2.559 20 5 CFBDRN CO[C@@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000595473220 349637595 /nfs/dbraw/zinc/63/75/95/349637595.db2.gz UYCPWBASKOPCAP-ZWNOBZJWSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1noc(CNC(C)(C)c2c(C)noc2C)c1[N+](=O)[O-] ZINC000595484662 349640253 /nfs/dbraw/zinc/64/02/53/349640253.db2.gz QYFNMEQJYLSGAH-UHFFFAOYSA-N 0 0 294.311 2.521 20 5 CFBDRN COc1cc(CN2CC[C@@H]2C(F)(F)F)ccc1[N+](=O)[O-] ZINC000595489552 349641042 /nfs/dbraw/zinc/64/10/42/349641042.db2.gz UHVMQTVYJSQJHC-LLVKDONJSA-N 0 0 290.241 2.740 20 5 CFBDRN COc1cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])on1 ZINC000595512354 349647778 /nfs/dbraw/zinc/64/77/78/349647778.db2.gz TYXAVQVSBALZHI-UHFFFAOYSA-N 0 0 291.307 2.616 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413144892 529424205 /nfs/dbraw/zinc/42/42/05/529424205.db2.gz UTDCSRTVDWRZBK-QMTHXVAHSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1noc(CN[C@H](c2ccccc2)C(F)F)c1[N+](=O)[O-] ZINC000595502885 349644741 /nfs/dbraw/zinc/64/47/41/349644741.db2.gz ONYLLJGIHOAMDL-LLVKDONJSA-N 0 0 297.261 2.987 20 5 CFBDRN CC(C)O[C@@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595564477 349661530 /nfs/dbraw/zinc/66/15/30/349661530.db2.gz ZRPQHYXLYNFCLN-CYBMUJFWSA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@@H](NC(=O)NCCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000595574781 349663839 /nfs/dbraw/zinc/66/38/39/349663839.db2.gz PYJHPQBLJFDFAE-CQSZACIVSA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H]2C[C@@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000595581758 349665632 /nfs/dbraw/zinc/66/56/32/349665632.db2.gz OGWCNVQMQSWVFJ-QJPTWQEYSA-N 0 0 289.335 2.707 20 5 CFBDRN O=C(N[C@@H]1CCc2ccccc2C1)c1ccccc1[N+](=O)[O-] ZINC000069321019 346888674 /nfs/dbraw/zinc/88/86/74/346888674.db2.gz HXIGPLQEHVDPKU-CQSZACIVSA-N 0 0 296.326 2.882 20 5 CFBDRN CC1(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000125834200 187201832 /nfs/dbraw/zinc/20/18/32/187201832.db2.gz NAEZXOBYYUTFOH-VMPITWQZSA-N 0 0 260.293 2.667 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000227305369 536685574 /nfs/dbraw/zinc/68/55/74/536685574.db2.gz LSWKVMFYXRGMNR-UHFFFAOYSA-N 0 0 254.261 2.715 20 5 CFBDRN O=[N+]([O-])c1cn(CCc2ccccc2Cl)cn1 ZINC000069646338 346897272 /nfs/dbraw/zinc/89/72/72/346897272.db2.gz AIWROIOBACNWIF-UHFFFAOYSA-N 0 0 251.673 2.687 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2c3ccccc3C[C@H]2CO)c1 ZINC000595656785 349692420 /nfs/dbraw/zinc/69/24/20/349692420.db2.gz FVYJKUYVEFYPFD-HNNXBMFYSA-N 0 0 284.315 2.518 20 5 CFBDRN Cc1c(CN2c3ccccc3C[C@@H]2CO)cccc1[N+](=O)[O-] ZINC000595659189 349693613 /nfs/dbraw/zinc/69/36/13/349693613.db2.gz XQDFVHCHZYZQGI-OAHLLOKOSA-N 0 0 298.342 2.827 20 5 CFBDRN CCN(CC1CC1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000069797840 346900257 /nfs/dbraw/zinc/90/02/57/346900257.db2.gz AAGQOYFQIFZRFC-UHFFFAOYSA-N 0 0 265.269 2.739 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@@H]1Nc1c([N+](=O)[O-])c(C)nn1C ZINC000413386650 529520415 /nfs/dbraw/zinc/52/04/15/529520415.db2.gz UKEBXIYNBXVUGQ-GWCFXTLKSA-N 0 0 266.345 2.873 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595683126 349699320 /nfs/dbraw/zinc/69/93/20/349699320.db2.gz UFAQENHGDFHSMP-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1ncccc1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000108157423 282192363 /nfs/dbraw/zinc/19/23/63/282192363.db2.gz ZTMSDKMSFYYYDR-SNVBAGLBSA-N 0 0 297.314 2.890 20 5 CFBDRN CC[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@H](O)C(C)C ZINC000414404065 529531189 /nfs/dbraw/zinc/53/11/89/529531189.db2.gz AJGFNWKKSVYMEG-QWHCGFSZSA-N 0 0 295.339 2.512 20 5 CFBDRN Cc1nnc(CN(C)[C@@H](C)c2cc([N+](=O)[O-])ccc2C)o1 ZINC000595698158 349707787 /nfs/dbraw/zinc/70/77/87/349707787.db2.gz DIQRIHBPYPNDSJ-JTQLQIEISA-N 0 0 290.323 2.788 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)C[C@H](C)[C@H]1O ZINC000595737443 349720624 /nfs/dbraw/zinc/72/06/24/349720624.db2.gz BXGHFFBRLPRFGZ-MSRIBSCDSA-N 0 0 298.770 2.697 20 5 CFBDRN CC(C)CSCCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000270114692 190600178 /nfs/dbraw/zinc/60/01/78/190600178.db2.gz LBTCKZHRAFRWGZ-UHFFFAOYSA-N 0 0 296.392 2.643 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1nc(C)cs1 ZINC000104600951 529569144 /nfs/dbraw/zinc/56/91/44/529569144.db2.gz OWMSXRFBOBZHPN-QMMMGPOBSA-N 0 0 295.320 2.834 20 5 CFBDRN CN(CCOc1ccccc1F)c1ccc([N+](=O)[O-])cn1 ZINC000070793126 346914475 /nfs/dbraw/zinc/91/44/75/346914475.db2.gz GQSSWHXPBUBQOT-UHFFFAOYSA-N 0 0 291.282 2.644 20 5 CFBDRN Cc1noc(CSc2ccc(C)cn2)c1[N+](=O)[O-] ZINC000596024111 349777079 /nfs/dbraw/zinc/77/70/79/349777079.db2.gz WGYDDGDGTCTPIU-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN Cc1nc(SCc2onc(C)c2[N+](=O)[O-])[nH]c1C ZINC000596023095 349777125 /nfs/dbraw/zinc/77/71/25/349777125.db2.gz WLENEBXCJQNRAR-UHFFFAOYSA-N 0 0 268.298 2.523 20 5 CFBDRN Cc1noc(CSCC(=O)C(C)(C)C)c1[N+](=O)[O-] ZINC000596025483 349778000 /nfs/dbraw/zinc/77/80/00/349778000.db2.gz YSQAYDWMOHKAQC-UHFFFAOYSA-N 0 0 272.326 2.740 20 5 CFBDRN Cc1noc(CNc2c(C)cccc2F)c1[N+](=O)[O-] ZINC000596032555 349778570 /nfs/dbraw/zinc/77/85/70/349778570.db2.gz ODXZELBNZITJID-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CCN(CC)c1ccc([N+](=O)[O-])c(C(=O)OC)c1Cl ZINC000596051333 349781968 /nfs/dbraw/zinc/78/19/68/349781968.db2.gz PRFNSAIMLCOEHT-UHFFFAOYSA-N 0 0 286.715 2.881 20 5 CFBDRN C[C@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596056321 349783518 /nfs/dbraw/zinc/78/35/18/349783518.db2.gz RPSMQPDLYYXKCN-JOYOIKCWSA-N 0 0 277.324 2.684 20 5 CFBDRN COc1cc(NCc2c[nH]nc2C)c(Cl)cc1[N+](=O)[O-] ZINC000596091445 349792325 /nfs/dbraw/zinc/79/23/25/349792325.db2.gz KEEINUJRNCBTER-UHFFFAOYSA-N 0 0 296.714 2.900 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCCO2)c([N+](=O)[O-])s1 ZINC000596086530 349790660 /nfs/dbraw/zinc/79/06/60/349790660.db2.gz CYCZPBCHHSPUTQ-JTQLQIEISA-N 0 0 270.354 2.970 20 5 CFBDRN Cc1ccc(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])c(C)c1 ZINC000596090351 349792058 /nfs/dbraw/zinc/79/20/58/349792058.db2.gz PSSWLVAIUVJIQO-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN Cc1c(CC(=O)N2CCC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000126233207 187226314 /nfs/dbraw/zinc/22/63/14/187226314.db2.gz JELIRDILNLQNQT-UHFFFAOYSA-N 0 0 276.336 2.704 20 5 CFBDRN CC[C@H](C)CNC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000421924397 529660203 /nfs/dbraw/zinc/66/02/03/529660203.db2.gz WLJGBHUZIBWMBO-NSHDSACASA-N 0 0 294.351 2.834 20 5 CFBDRN CC[C@H](C)CNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000421585235 529660791 /nfs/dbraw/zinc/66/07/91/529660791.db2.gz CHXSRQMASKSWLI-JTQLQIEISA-N 0 0 264.325 2.608 20 5 CFBDRN CCC[C@@H](CC)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596096847 349794016 /nfs/dbraw/zinc/79/40/16/349794016.db2.gz CNWTYZACLWTROO-SECBINFHSA-N 0 0 265.313 2.684 20 5 CFBDRN C[C@@H](CN(C)c1cc[nH]c(=O)c1[N+](=O)[O-])c1ccccc1 ZINC000596106614 349795629 /nfs/dbraw/zinc/79/56/29/349795629.db2.gz URDYXNPGBUDYQO-NSHDSACASA-N 0 0 287.319 2.935 20 5 CFBDRN CC(C)(CO)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000126359613 187234195 /nfs/dbraw/zinc/23/41/95/187234195.db2.gz XHCFMTVBAWZYOW-UHFFFAOYSA-N 0 0 258.705 2.679 20 5 CFBDRN Cc1cc(N2CCC([C@H](C)O)CC2)c([N+](=O)[O-])s1 ZINC000596118590 349798813 /nfs/dbraw/zinc/79/88/13/349798813.db2.gz BSUIHJDGNRZPQT-VIFPVBQESA-N 0 0 270.354 2.562 20 5 CFBDRN Cc1cc(N2CCC([C@@H](C)O)CC2)c([N+](=O)[O-])s1 ZINC000596118586 349799015 /nfs/dbraw/zinc/79/90/15/349799015.db2.gz BSUIHJDGNRZPQT-SECBINFHSA-N 0 0 270.354 2.562 20 5 CFBDRN CCC(CC)(CO)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000126399294 187237646 /nfs/dbraw/zinc/23/76/46/187237646.db2.gz IKSDLXVSQULFPQ-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN O=[N+]([O-])c1cc(N2CCCOCC2)ccc1C(F)(F)F ZINC000596117834 349797752 /nfs/dbraw/zinc/79/77/52/349797752.db2.gz JWWPSXXVLWANQT-UHFFFAOYSA-N 0 0 290.241 2.840 20 5 CFBDRN CCS[C@@H]1CCCCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596123759 349799785 /nfs/dbraw/zinc/79/97/85/349799785.db2.gz DRFAFGUKIUPGOU-SNVBAGLBSA-N 0 0 296.396 2.684 20 5 CFBDRN C[C@@H](C(=O)N1C[C@H](C)C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000562400388 290040502 /nfs/dbraw/zinc/04/05/02/290040502.db2.gz SQBXRYOZMHSQSC-IJLUTSLNSA-N 0 0 276.336 2.955 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCOC2)c([N+](=O)[O-])s1 ZINC000596125066 349800424 /nfs/dbraw/zinc/80/04/24/349800424.db2.gz YREZPEXYEFMHMO-SNVBAGLBSA-N 0 0 270.354 2.828 20 5 CFBDRN CCc1ccc(OCc2noc(C3CC3)n2)c([N+](=O)[O-])c1 ZINC000115201157 529695563 /nfs/dbraw/zinc/69/55/63/529695563.db2.gz PMSBBKFZXUMUIG-UHFFFAOYSA-N 0 0 289.291 2.997 20 5 CFBDRN CS[C@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000294987296 199285498 /nfs/dbraw/zinc/28/54/98/199285498.db2.gz MQCKHFBMHFMJMQ-JTQLQIEISA-N 0 0 252.339 2.845 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])[C@H](C)C1 ZINC000596127317 349801151 /nfs/dbraw/zinc/80/11/51/349801151.db2.gz YOOJFLXVLHEQIG-OPRDCNLKSA-N 0 0 265.313 2.932 20 5 CFBDRN CS[C@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000294988835 199287072 /nfs/dbraw/zinc/28/70/72/199287072.db2.gz MWLNTPZEDGNZPI-VIFPVBQESA-N 0 0 270.329 2.984 20 5 CFBDRN COc1cc(NC[C@H](O)C(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000596135918 349804021 /nfs/dbraw/zinc/80/40/21/349804021.db2.gz NHXBCUMIDKCYSQ-NSHDSACASA-N 0 0 288.731 2.686 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596138746 349805918 /nfs/dbraw/zinc/80/59/18/349805918.db2.gz MTWPEQSCAZLIQS-NXEZZACHSA-N 0 0 279.340 2.930 20 5 CFBDRN Cc1cc(C)cc(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])c1 ZINC000596140062 349805952 /nfs/dbraw/zinc/80/59/52/349805952.db2.gz AWYQTGVQSYSVQB-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CC(C)C[C@H]1CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596144828 349807466 /nfs/dbraw/zinc/80/74/66/349807466.db2.gz JMDPOLHQUSQLIZ-LLVKDONJSA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@@]1(O)CCN(c2ccc(C(F)(F)F)c([N+](=O)[O-])c2)C1 ZINC000596150704 349809339 /nfs/dbraw/zinc/80/93/39/349809339.db2.gz JVEJJQSFCRXZQA-LLVKDONJSA-N 0 0 290.241 2.575 20 5 CFBDRN CCC1(O)CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CC1 ZINC000287977871 136396673 /nfs/dbraw/zinc/39/66/73/136396673.db2.gz AGGNZRPZKNUEAJ-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)[C@H]1OCCC[C@@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596152954 349810626 /nfs/dbraw/zinc/81/06/26/349810626.db2.gz UYXWSIHXHAZDGG-ZWNOBZJWSA-N 0 0 295.339 2.559 20 5 CFBDRN CC(=O)c1cc(N2CCS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000137195325 136392810 /nfs/dbraw/zinc/39/28/10/136392810.db2.gz YAHZPEIPWFEESG-VIFPVBQESA-N 0 0 280.349 2.739 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC000048552957 282274910 /nfs/dbraw/zinc/27/49/10/282274910.db2.gz JSXUCGDHWIUICV-LBPRGKRZSA-N 0 0 272.308 2.736 20 5 CFBDRN O=c1[nH]ccc(N[C@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000596165017 349814679 /nfs/dbraw/zinc/81/46/79/349814679.db2.gz IRNNIRKPOXNVQE-AMUVOQDHSA-N 0 0 263.297 2.686 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCc3ccccc3C2)c1[N+](=O)[O-] ZINC000048331369 282275319 /nfs/dbraw/zinc/27/53/19/282275319.db2.gz ZTTZZYFWQOKURM-ZDUSSCGKSA-N 0 0 286.335 2.606 20 5 CFBDRN COc1cc(N[C@H](C)CC(C)(C)O)c([N+](=O)[O-])cc1F ZINC000295002379 199294340 /nfs/dbraw/zinc/29/43/40/199294340.db2.gz OJFJNCPJZFOOSE-MRVPVSSYSA-N 0 0 286.303 2.704 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1ncc(C)s1 ZINC000295004489 199295255 /nfs/dbraw/zinc/29/52/55/199295255.db2.gz IJDASOXQLKRTDA-UHFFFAOYSA-N 0 0 293.348 2.658 20 5 CFBDRN Cc1ccc(N(Cc2ccccc2[N+](=O)[O-])C2CC2)nn1 ZINC000596170727 349816074 /nfs/dbraw/zinc/81/60/74/349816074.db2.gz WJTOHIXBDXLPOI-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)C[C@H]1F ZINC000425621903 529730527 /nfs/dbraw/zinc/73/05/27/529730527.db2.gz JPPVMCODICULLY-DGCLKSJQSA-N 0 0 266.272 2.844 20 5 CFBDRN Cc1cc(N(C)CC2(O)CCCC2)c([N+](=O)[O-])s1 ZINC000596171299 349816317 /nfs/dbraw/zinc/81/63/17/349816317.db2.gz CXGZHPTYFSWJFX-UHFFFAOYSA-N 0 0 270.354 2.706 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C[C@@H](C)C1 ZINC000596173272 349816975 /nfs/dbraw/zinc/81/69/75/349816975.db2.gz SVPFIRONHNSHNS-QWRGUYRKSA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@@H](CN(C)C(=O)CCC(C)(C)[N+](=O)[O-])c1nccs1 ZINC000295031373 199310215 /nfs/dbraw/zinc/31/02/15/199310215.db2.gz WBDXHVZAKLTEPA-JTQLQIEISA-N 0 0 299.396 2.541 20 5 CFBDRN CCn1nc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1C1CC1 ZINC000295039139 199314573 /nfs/dbraw/zinc/31/45/73/199314573.db2.gz FWEPAGMTZZVLKO-UHFFFAOYSA-N 0 0 294.355 2.554 20 5 CFBDRN Cc1cc(N2CCOC3(CCC3)C2)c([N+](=O)[O-])s1 ZINC000596177491 349818850 /nfs/dbraw/zinc/81/88/50/349818850.db2.gz MEISXKMKOMPJER-UHFFFAOYSA-N 0 0 268.338 2.724 20 5 CFBDRN COc1cc(N[C@H](C)C[C@@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000596189995 349822097 /nfs/dbraw/zinc/82/20/97/349822097.db2.gz NWZDUIPWPYWIEA-HTQZYQBOSA-N 0 0 288.731 2.828 20 5 CFBDRN CC[C@]1(C)CN(c2cc(C)sc2[N+](=O)[O-])CCO1 ZINC000596202456 349825698 /nfs/dbraw/zinc/82/56/98/349825698.db2.gz FBKYSMREUAYUHC-GFCCVEGCSA-N 0 0 270.354 2.970 20 5 CFBDRN CN(C)c1cccc(CN(C)c2ccc([N+](=O)[O-])cn2)c1 ZINC000154716143 529789107 /nfs/dbraw/zinc/78/91/07/529789107.db2.gz SKXOUIYIQPLNBL-UHFFFAOYSA-N 0 0 286.335 2.692 20 5 CFBDRN CCC(CC)N(CC)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596233909 349834851 /nfs/dbraw/zinc/83/48/51/349834851.db2.gz HERBHMWVCWDVQD-UHFFFAOYSA-N 0 0 279.340 2.709 20 5 CFBDRN COc1cc(N(C)C[C@H](C)OC)c(Cl)cc1[N+](=O)[O-] ZINC000596233083 349834634 /nfs/dbraw/zinc/83/46/34/349834634.db2.gz WWLYTNLOWOEKOM-QMMMGPOBSA-N 0 0 288.731 2.728 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])s1 ZINC000596207402 349827180 /nfs/dbraw/zinc/82/71/80/349827180.db2.gz FDLNSIMJZQVIJY-VXNVDRBHSA-N 0 0 256.327 2.802 20 5 CFBDRN CC[C@H](C)n1ncc(Nc2cc[nH]c(=O)c2[N+](=O)[O-])c1C ZINC000596208114 349827193 /nfs/dbraw/zinc/82/71/93/349827193.db2.gz WDXOVEPZKDSLTA-QMMMGPOBSA-N 0 0 291.311 2.915 20 5 CFBDRN NC(=O)c1cc(NC2CCC3(CC3)CC2)ccc1[N+](=O)[O-] ZINC000596211533 349827929 /nfs/dbraw/zinc/82/79/29/349827929.db2.gz TXMAXPGHGGIFKM-UHFFFAOYSA-N 0 0 289.335 2.828 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000072946567 346952472 /nfs/dbraw/zinc/95/24/72/346952472.db2.gz FJYYDWSVDSJWTG-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596255863 349842736 /nfs/dbraw/zinc/84/27/36/349842736.db2.gz ZUVNIMWIEABTBL-JQWIXIFHSA-N 0 0 295.339 2.559 20 5 CFBDRN CC(C)[C@@H]1N(c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1(C)C ZINC000596239844 349837030 /nfs/dbraw/zinc/83/70/30/349837030.db2.gz LNFZGOGPZROFRH-ZDUSSCGKSA-N 0 0 291.351 2.565 20 5 CFBDRN Cc1nc(C(C)C)oc1CNc1ncc([N+](=O)[O-])s1 ZINC000596240261 349837064 /nfs/dbraw/zinc/83/70/64/349837064.db2.gz SSWXFHDKISCRBU-UHFFFAOYSA-N 0 0 282.325 2.505 20 5 CFBDRN Cc1cc(NCCO[C@@H]2CCCCO2)c([N+](=O)[O-])s1 ZINC000596241245 349837172 /nfs/dbraw/zinc/83/71/72/349837172.db2.gz ARPFFGBTIYZVAV-LLVKDONJSA-N 0 0 286.353 2.920 20 5 CFBDRN CC(C)Oc1nc(N2C[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000596245867 349838880 /nfs/dbraw/zinc/83/88/80/349838880.db2.gz BJXMPRLRVGVPKD-NXEZZACHSA-N 0 0 265.313 2.622 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000596247710 349839614 /nfs/dbraw/zinc/83/96/14/349839614.db2.gz LBOCGIHKELCRGI-NKWVEPMBSA-N 0 0 275.230 2.853 20 5 CFBDRN Cc1cc(NCc2nnc(C3CC3)[nH]2)c([N+](=O)[O-])s1 ZINC000596250436 349840590 /nfs/dbraw/zinc/84/05/90/349840590.db2.gz OZZXLTIXLJEPJT-UHFFFAOYSA-N 0 0 279.325 2.572 20 5 CFBDRN CCn1ccc(CNc2cc(OC)c(C)cc2[N+](=O)[O-])n1 ZINC000596271291 349849456 /nfs/dbraw/zinc/84/94/56/349849456.db2.gz ZAYIQCSDZIQQIT-UHFFFAOYSA-N 0 0 290.323 2.740 20 5 CFBDRN Nc1ccc(N2CC[C@H](C3CCCC3)C2)nc1[N+](=O)[O-] ZINC000596276926 349850923 /nfs/dbraw/zinc/85/09/23/349850923.db2.gz GZWZPNINXLJXCF-NSHDSACASA-N 0 0 276.340 2.589 20 5 CFBDRN Cc1ccccc1CCN(C)c1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596259371 349844617 /nfs/dbraw/zinc/84/46/17/349844617.db2.gz REYKBMXTQCKMRF-UHFFFAOYSA-N 0 0 287.319 2.683 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000596260791 349845704 /nfs/dbraw/zinc/84/57/04/349845704.db2.gz MAAQFICZHWIHEP-UHFFFAOYSA-N 0 0 295.730 2.638 20 5 CFBDRN Cc1cc(NC[C@H](O)C2CCC2)c([N+](=O)[O-])s1 ZINC000596262013 349846208 /nfs/dbraw/zinc/84/62/08/349846208.db2.gz KAEWSBOMFOAHRZ-JTQLQIEISA-N 0 0 256.327 2.538 20 5 CFBDRN CC1(C)C[C@H](CNc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596265994 349846893 /nfs/dbraw/zinc/84/68/93/349846893.db2.gz QPQGQDNOTZJIHK-SECBINFHSA-N 0 0 299.758 2.682 20 5 CFBDRN CC[N@@H+]1CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])[C@H](C)C1 ZINC000596268320 349847904 /nfs/dbraw/zinc/84/79/04/349847904.db2.gz HXXKIIPAJHQLJU-CYBMUJFWSA-N 0 0 292.383 2.527 20 5 CFBDRN CSCCCSc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596266711 349848202 /nfs/dbraw/zinc/84/82/02/349848202.db2.gz UOJVPOATPLXJEH-UHFFFAOYSA-N 0 0 286.378 2.539 20 5 CFBDRN CC[C@H](CNc1cc(C)sc1[N+](=O)[O-])C(=O)OC ZINC000596288767 349853443 /nfs/dbraw/zinc/85/34/43/349853443.db2.gz POHWOCWLCOFRQR-MRVPVSSYSA-N 0 0 272.326 2.576 20 5 CFBDRN C[C@@H]1CN(c2cc[nH]c(=O)c2[N+](=O)[O-])CCc2ccccc21 ZINC000596296122 349855773 /nfs/dbraw/zinc/85/57/73/349855773.db2.gz RLWGHKBLOMUWQP-LLVKDONJSA-N 0 0 299.330 2.862 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H](c2ccccn2)C1 ZINC000596305176 349857041 /nfs/dbraw/zinc/85/70/41/349857041.db2.gz DTUSFAXPMURYLM-GFCCVEGCSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cn2cc(C3CC3)cn2)c(F)c1 ZINC000596306118 349858175 /nfs/dbraw/zinc/85/81/75/349858175.db2.gz ZHIOSJNBUIVJNZ-UHFFFAOYSA-N 0 0 279.246 2.995 20 5 CFBDRN CS[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000295079753 199334370 /nfs/dbraw/zinc/33/43/70/199334370.db2.gz QDMRBBSDKPWFMA-SECBINFHSA-N 0 0 258.368 2.594 20 5 CFBDRN COCC1(Nc2ccc([N+](=O)[O-])c(C)n2)CCCC1 ZINC000596317245 349862354 /nfs/dbraw/zinc/86/23/54/349862354.db2.gz RHBNEXZNULKWSM-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)C2CCC2)c(Cl)c1 ZINC000596324076 349863430 /nfs/dbraw/zinc/86/34/30/349863430.db2.gz DXXGCOZRWHYQOP-GFCCVEGCSA-N 0 0 270.716 2.821 20 5 CFBDRN CC[C@@H](COCC1CC1)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000596325605 349863982 /nfs/dbraw/zinc/86/39/82/349863982.db2.gz BWBFOPRQPIYUPP-LBPRGKRZSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@@H](COCC1CC1)Nc1ccc([N+](=O)[O-])nc1 ZINC000596327924 349865154 /nfs/dbraw/zinc/86/51/54/349865154.db2.gz LCULYOOOQSGPDB-NSHDSACASA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596334205 349867775 /nfs/dbraw/zinc/86/77/75/349867775.db2.gz HPXXUIMTJHKEPI-ZDUSSCGKSA-N 0 0 297.742 2.649 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC(c2ccco2)CC1 ZINC000596335454 349868402 /nfs/dbraw/zinc/86/84/02/349868402.db2.gz YORHYFHMRUVUNQ-UHFFFAOYSA-N 0 0 273.292 2.967 20 5 CFBDRN C[C@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])C(C)(F)F ZINC000295083506 199336063 /nfs/dbraw/zinc/33/60/63/199336063.db2.gz UCGLIJXCWUSQMW-MRVPVSSYSA-N 0 0 284.262 2.775 20 5 CFBDRN C[S@](=O)[C@H]1CCC[C@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000596374998 349882862 /nfs/dbraw/zinc/88/28/62/349882862.db2.gz OLPBXZFJFOOMAG-ADWYPQAOSA-N 0 0 282.365 2.696 20 5 CFBDRN Cc1nnc(CSCc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000596369942 349880743 /nfs/dbraw/zinc/88/07/43/349880743.db2.gz VVYXTSPBWGPZNU-UHFFFAOYSA-N 0 0 283.284 2.859 20 5 CFBDRN C[S@](=O)[C@@H]1CCC[C@@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000596371932 349881248 /nfs/dbraw/zinc/88/12/48/349881248.db2.gz FMULRHLCPIVEDX-OOSSNNJISA-N 0 0 288.394 2.758 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)C(F)(F)F ZINC000074234666 347003776 /nfs/dbraw/zinc/00/37/76/347003776.db2.gz BOCQSFZEOQLSPQ-ZCFIWIBFSA-N 0 0 292.213 2.740 20 5 CFBDRN COC[C@@H](CSCCc1ccc([N+](=O)[O-])cc1)OC ZINC000596397455 349889178 /nfs/dbraw/zinc/88/91/78/349889178.db2.gz YSCSALNANPUMBM-ZDUSSCGKSA-N 0 0 285.365 2.532 20 5 CFBDRN CNC(=O)c1ccc(N(C)C2CC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000413445426 529940214 /nfs/dbraw/zinc/94/02/14/529940214.db2.gz UACGTJHKTMOOHH-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCC[C@H](C)O ZINC000596405615 349891075 /nfs/dbraw/zinc/89/10/75/349891075.db2.gz CQLDJQDOMCVKTE-VIFPVBQESA-N 0 0 271.338 2.608 20 5 CFBDRN CCOc1cc(CSCC[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000596406831 349891735 /nfs/dbraw/zinc/89/17/35/349891735.db2.gz KEAHJEJOEMGYRG-SNVBAGLBSA-N 0 0 285.365 2.998 20 5 CFBDRN CC[C@@H](C)CCNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000596414563 349895569 /nfs/dbraw/zinc/89/55/69/349895569.db2.gz AYFYOVODUSYXFT-SECBINFHSA-N 0 0 267.329 2.517 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000413109148 529950824 /nfs/dbraw/zinc/95/08/24/529950824.db2.gz FSDFJWIKCLPRSB-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN CCOc1cc(N2CC=C(COC)CC2)ccc1[N+](=O)[O-] ZINC000302445609 136454270 /nfs/dbraw/zinc/45/42/70/136454270.db2.gz RXXLNPUPCUVMON-UHFFFAOYSA-N 0 0 292.335 2.776 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)C1(CO)CC1 ZINC000596437787 349902342 /nfs/dbraw/zinc/90/23/42/349902342.db2.gz QEHSMZINZCJHBL-QMMMGPOBSA-N 0 0 270.716 2.821 20 5 CFBDRN CSCC1(CCNc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000596432047 349901197 /nfs/dbraw/zinc/90/11/97/349901197.db2.gz ZEDCETAIVLSTIH-UHFFFAOYSA-N 0 0 281.381 2.665 20 5 CFBDRN CC1(C)CCCN1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000074763773 347031459 /nfs/dbraw/zinc/03/14/59/347031459.db2.gz FLMSWIBSTIZKGT-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN C[C@@H](Nc1ccnc2c1cccc2[N+](=O)[O-])C1(CO)CC1 ZINC000596439107 349902927 /nfs/dbraw/zinc/90/29/27/349902927.db2.gz YKHIRIXUOCUOHT-SNVBAGLBSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2cc(C)c([N+](=O)[O-])cn2)no1 ZINC000074739385 347029597 /nfs/dbraw/zinc/02/95/97/347029597.db2.gz VDPZFGMPGXLBBY-GFCCVEGCSA-N 0 0 288.307 2.936 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC3(CCC3)OC[C@@H]2C)n1 ZINC000596443896 349905148 /nfs/dbraw/zinc/90/51/48/349905148.db2.gz UTAZXWFJZKPIKB-LBPRGKRZSA-N 0 0 291.351 2.754 20 5 CFBDRN COCC(C)(C)c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273933621 192368800 /nfs/dbraw/zinc/36/88/00/192368800.db2.gz ZXXKBNCTBDPHPB-UHFFFAOYSA-N 0 0 291.307 2.877 20 5 CFBDRN CCO[C@@H](CCNc1ccncc1[N+](=O)[O-])C(C)C ZINC000074772124 347032097 /nfs/dbraw/zinc/03/20/97/347032097.db2.gz RIJMBDHPYKLPTK-ZDUSSCGKSA-N 0 0 267.329 2.853 20 5 CFBDRN C[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)[C@H]1CCO ZINC000596442218 349904317 /nfs/dbraw/zinc/90/43/17/349904317.db2.gz JMRRTBDSGVIPCT-SKDRFNHKSA-N 0 0 299.758 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@@H]2n2cccn2)cn1 ZINC000074776495 347033031 /nfs/dbraw/zinc/03/30/31/347033031.db2.gz CBABIQRNPNNPDG-STQMWFEESA-N 0 0 287.323 2.782 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000596442645 349904414 /nfs/dbraw/zinc/90/44/14/349904414.db2.gz PGJGZSQNPABKID-HOMQSWHASA-N 0 0 266.297 2.583 20 5 CFBDRN COc1cc(N(C)Cc2nccs2)ccc1[N+](=O)[O-] ZINC000074782591 347034134 /nfs/dbraw/zinc/03/41/34/347034134.db2.gz FIXDTHAKELLQHN-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2Cc3ccccc3[C@@H]2C)c1[N+](=O)[O-] ZINC000596449188 349906554 /nfs/dbraw/zinc/90/65/54/349906554.db2.gz YOCHFRWTGVGDHK-TVQRCGJNSA-N 0 0 286.335 2.777 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])C[C@@H](C)C1O ZINC000596463736 349908615 /nfs/dbraw/zinc/90/86/15/349908615.db2.gz PMLKAJYOYRVSNP-RKDXNWHRSA-N 0 0 284.743 2.701 20 5 CFBDRN CCc1nnc(Sc2c([N+](=O)[O-])nc(C)n2CC)s1 ZINC000596470639 349910025 /nfs/dbraw/zinc/91/00/25/349910025.db2.gz WKTLEWVWOJNAFZ-UHFFFAOYSA-N 0 0 299.381 2.685 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2ccoc2)n1 ZINC000596469962 349909933 /nfs/dbraw/zinc/90/99/33/349909933.db2.gz HYNSDXXMGXTVPB-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cc1)CC1(C)OCCO1 ZINC000075053789 347051415 /nfs/dbraw/zinc/05/14/15/347051415.db2.gz LBJFMLRDLSVSFR-LLVKDONJSA-N 0 0 280.324 2.796 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1NCC(F)F ZINC000075060237 347052231 /nfs/dbraw/zinc/05/22/31/347052231.db2.gz APZLCZRCWSDDFC-UHFFFAOYSA-N 0 0 292.213 2.588 20 5 CFBDRN CC[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000596473271 349911139 /nfs/dbraw/zinc/91/11/39/349911139.db2.gz QOJUPSVGUCCOKN-LBPRGKRZSA-N 0 0 263.297 2.905 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000075064681 347052726 /nfs/dbraw/zinc/05/27/26/347052726.db2.gz TUGHZNGSKPLHKI-MFKMUULPSA-N 0 0 265.313 2.605 20 5 CFBDRN COCc1csc(CNc2cccnc2[N+](=O)[O-])c1 ZINC000596473131 349911284 /nfs/dbraw/zinc/91/12/84/349911284.db2.gz OCXQTZGIMOAOPM-UHFFFAOYSA-N 0 0 279.321 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C[C@H](c3ccccc3)CO2)nc1 ZINC000596475622 349912594 /nfs/dbraw/zinc/91/25/94/349912594.db2.gz ZOFNIPABIBSVKN-ZFWWWQNUSA-N 0 0 299.330 2.974 20 5 CFBDRN CCC(CC)(CCO)CNc1ncccc1[N+](=O)[O-] ZINC000075065489 347053646 /nfs/dbraw/zinc/05/36/46/347053646.db2.gz KDBKMKWZDUALSD-UHFFFAOYSA-N 0 0 267.329 2.591 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2ccncc2[N+](=O)[O-])C1(C)C ZINC000075064817 347053663 /nfs/dbraw/zinc/05/36/63/347053663.db2.gz TXANWJZJVNANFI-YPMHNXCESA-N 0 0 265.313 2.605 20 5 CFBDRN Cc1cnc(NC[C@H]2CCC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000596474085 349912002 /nfs/dbraw/zinc/91/20/02/349912002.db2.gz JCKFHMWJTREMGD-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1C[C@H](c2ccccc2)CO1 ZINC000596475607 349912283 /nfs/dbraw/zinc/91/22/83/349912283.db2.gz ZKNCAGMGEXCLAF-KBPBESRZSA-N 0 0 299.330 2.974 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1c(C2CC2)cnn1C ZINC000596475514 349912507 /nfs/dbraw/zinc/91/25/07/349912507.db2.gz SOLHJGFXDYSING-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN CC(C)n1ccc(COc2ccc(C=O)cc2[N+](=O)[O-])n1 ZINC000083683031 178685729 /nfs/dbraw/zinc/68/57/29/178685729.db2.gz OUUCBNZMIIOSAR-UHFFFAOYSA-N 0 0 289.291 2.764 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000075109454 347055717 /nfs/dbraw/zinc/05/57/17/347055717.db2.gz AIYHSMGHPFEDAV-LBPRGKRZSA-N 0 0 293.367 2.729 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])c(N)c2F)CC1(F)F ZINC000596476479 349913350 /nfs/dbraw/zinc/91/33/50/349913350.db2.gz JGLFDKUENNQYQO-SNVBAGLBSA-N 0 0 275.230 2.773 20 5 CFBDRN CC[C@@H](O)CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000127251679 187291853 /nfs/dbraw/zinc/29/18/53/187291853.db2.gz ZPGQVNRWIMJWCG-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1nccc3ccc([N+](=O)[O-])cc31)C2 ZINC000596481502 349915175 /nfs/dbraw/zinc/91/51/75/349915175.db2.gz YGDQXZXGWLMCPP-LRDDRELGSA-N 0 0 299.330 2.616 20 5 CFBDRN CC[C@H](O)CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000127251472 187292135 /nfs/dbraw/zinc/29/21/35/187292135.db2.gz ZPGQVNRWIMJWCG-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN Cn1cccc1CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000075352231 347068929 /nfs/dbraw/zinc/06/89/29/347068929.db2.gz HVKHJUGUTRHDOE-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ccc([N+](=O)[O-])nc1)C2 ZINC000596484097 349915712 /nfs/dbraw/zinc/91/57/12/349915712.db2.gz LBRHGEDGZLWFBP-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@H](C2CCC2)C1 ZINC000596487995 349917189 /nfs/dbraw/zinc/91/71/89/349917189.db2.gz KVBFOHOZGLLPDI-NSHDSACASA-N 0 0 277.324 2.575 20 5 CFBDRN CCC(CC)N(CCOC)C(=O)c1ccccc1[N+](=O)[O-] ZINC000075451376 347077911 /nfs/dbraw/zinc/07/79/11/347077911.db2.gz LBZFCGHDCFHRGI-UHFFFAOYSA-N 0 0 294.351 2.872 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000075438133 347076960 /nfs/dbraw/zinc/07/69/60/347076960.db2.gz MFCRNMYLUTXDDD-ZJUUUORDSA-N 0 0 265.313 2.574 20 5 CFBDRN Cc1cc(NC[C@@H](CO)CC2CC2)c(F)cc1[N+](=O)[O-] ZINC000596491468 349919029 /nfs/dbraw/zinc/91/90/29/349919029.db2.gz FCOYNPUGIVOPPK-NSHDSACASA-N 0 0 282.315 2.863 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CC[C@@H]1c1ccccc1 ZINC000596497840 349920574 /nfs/dbraw/zinc/92/05/74/349920574.db2.gz DDYSKUTYFOFAKW-CHWSQXEVSA-N 0 0 269.304 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N[C@H]3C[C@@H](O)C34CCC4)c2c1 ZINC000596502733 349922734 /nfs/dbraw/zinc/92/27/34/349922734.db2.gz FXNNYCYWUDOWOT-UONOGXRCSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@@H]1C[C@H](O)C12CCC2 ZINC000596504557 349923052 /nfs/dbraw/zinc/92/30/52/349923052.db2.gz HRORZVRKVKECKO-MNOVXSKESA-N 0 0 282.727 2.964 20 5 CFBDRN CCSC1(CNc2ncc([N+](=O)[O-])cc2C)CC1 ZINC000596511269 349924764 /nfs/dbraw/zinc/92/47/64/349924764.db2.gz MBLPCTFQZCNVMW-UHFFFAOYSA-N 0 0 267.354 2.996 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@H]3C[C@@H](O)C34CCC4)ccnc21 ZINC000596509114 349923870 /nfs/dbraw/zinc/92/38/70/349923870.db2.gz NTDGJQWRQLHKFN-UONOGXRCSA-N 0 0 299.330 2.858 20 5 CFBDRN COCCCCCOc1cc(OC)ccc1[N+](=O)[O-] ZINC000563120989 290105557 /nfs/dbraw/zinc/10/55/57/290105557.db2.gz UOURTJGVBWHUEV-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596513899 349926814 /nfs/dbraw/zinc/92/68/14/349926814.db2.gz XAQFPFLKFDMASA-KGLIPLIRSA-N 0 0 290.319 2.513 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](O)C23CCC3)c([N+](=O)[O-])s1 ZINC000596515507 349927373 /nfs/dbraw/zinc/92/73/73/349927373.db2.gz YWCGJLKZEKYTOO-ZJUUUORDSA-N 0 0 268.338 2.680 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC1CCC(O)CC1 ZINC000596516007 349927431 /nfs/dbraw/zinc/92/74/31/349927431.db2.gz SFQDPDQUCZIJTF-UHFFFAOYSA-N 0 0 294.351 2.704 20 5 CFBDRN CC(=O)c1cc(NCC2CCC(O)CC2)ccc1[N+](=O)[O-] ZINC000596515078 349927480 /nfs/dbraw/zinc/92/74/80/349927480.db2.gz OBGWKQBWJFTKPY-UHFFFAOYSA-N 0 0 292.335 2.760 20 5 CFBDRN C[C@@H](CN(C)c1ncccc1[N+](=O)[O-])c1nccs1 ZINC000273253407 192088531 /nfs/dbraw/zinc/08/85/31/192088531.db2.gz YNBASECUYNFEFT-VIFPVBQESA-N 0 0 278.337 2.686 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000596517255 349927893 /nfs/dbraw/zinc/92/78/93/349927893.db2.gz GDHCFHMZUOXIRE-OAHLLOKOSA-N 0 0 291.351 2.625 20 5 CFBDRN CC(C)(C)N1C[C@H](CNc2ccsc2[N+](=O)[O-])CC1=O ZINC000596523257 349928805 /nfs/dbraw/zinc/92/88/05/349928805.db2.gz YBLSQAPWEGNROY-VIFPVBQESA-N 0 0 297.380 2.715 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@H]2COC[C@@H]2C1 ZINC000596528687 349931431 /nfs/dbraw/zinc/93/14/31/349931431.db2.gz OABWAPCIHGKPGK-RYUDHWBXSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000596526559 349930659 /nfs/dbraw/zinc/93/06/59/349930659.db2.gz QFCCFTZELDUZBC-GFCCVEGCSA-N 0 0 278.356 2.653 20 5 CFBDRN CO[C@H](CNc1cccnc1[N+](=O)[O-])C1CCCC1 ZINC000596526585 349930740 /nfs/dbraw/zinc/93/07/40/349930740.db2.gz QKNRHCKYVPCFGF-GFCCVEGCSA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1ccc(OCC(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000131692537 232207130 /nfs/dbraw/zinc/20/71/30/232207130.db2.gz SKCQUKABJIPZTO-UHFFFAOYSA-N 0 0 251.282 2.897 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@@H]2COC[C@@H]2C1 ZINC000596529962 349932123 /nfs/dbraw/zinc/93/21/23/349932123.db2.gz RZGXZQDABIPIHN-OLZOCXBDSA-N 0 0 299.330 2.616 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCOC2(C)C)c1 ZINC000596543042 349936795 /nfs/dbraw/zinc/93/67/95/349936795.db2.gz JAQFYZPHWBHDLU-SNVBAGLBSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1ccc(C(=O)Nc2ccc(C)nc2)cc1[N+](=O)[O-] ZINC000154749767 232227409 /nfs/dbraw/zinc/22/74/09/232227409.db2.gz QMVSILDXWRGZAX-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cc(N[C@@H]2C[C@]2(C)OC)c(Cl)cc1[N+](=O)[O-] ZINC000596540255 349935755 /nfs/dbraw/zinc/93/57/55/349935755.db2.gz OYZVPGMTEPWTQD-NEPJUHHUSA-N 0 0 286.715 2.846 20 5 CFBDRN CO[C@H](CNc1c([N+](=O)[O-])c(C)nn1C)C1CCCCC1 ZINC000596543028 349936484 /nfs/dbraw/zinc/93/64/84/349936484.db2.gz SCTUGQRKEOAMHS-GFCCVEGCSA-N 0 0 296.371 2.644 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1ccc([N+](=O)[O-])cc1F ZINC000596542441 349936707 /nfs/dbraw/zinc/93/67/07/349936707.db2.gz IIAGEXNKLDVXPZ-VIFPVBQESA-N 0 0 268.288 2.961 20 5 CFBDRN Nc1c(C(=O)Nc2ccc(F)cc2F)cccc1[N+](=O)[O-] ZINC000157274136 232236545 /nfs/dbraw/zinc/23/65/45/232236545.db2.gz AHYUQKQOTKQGSG-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN Nc1c(C(=O)N2CCC[C@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000157351698 232236918 /nfs/dbraw/zinc/23/69/18/232236918.db2.gz VRWLRDPUTFPTRE-ZYHUDNBSSA-N 0 0 289.335 2.582 20 5 CFBDRN CCOC(=O)[C@]1(C)CCC[C@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000596549319 349938914 /nfs/dbraw/zinc/93/89/14/349938914.db2.gz IKLXRCWEAPZSPG-BXUZGUMPSA-N 0 0 293.323 2.524 20 5 CFBDRN CCOC(=O)[C@@]1(C)CCC[C@@H]1Nc1cccnc1[N+](=O)[O-] ZINC000596548513 349938579 /nfs/dbraw/zinc/93/85/79/349938579.db2.gz DUSZFHHNMDNNPO-FZMZJTMJSA-N 0 0 293.323 2.524 20 5 CFBDRN C[C@@H](CCO)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000097443307 185605824 /nfs/dbraw/zinc/60/58/24/185605824.db2.gz SZZOHGINBZFCAW-QMMMGPOBSA-N 0 0 258.705 2.679 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCO[C@@H]1CCOC1 ZINC000128310713 187363222 /nfs/dbraw/zinc/36/32/22/187363222.db2.gz OQCJIIWGTVIQHM-GFCCVEGCSA-N 0 0 280.324 2.511 20 5 CFBDRN Cc1cncc(NC(=O)c2cccc(C)c2[N+](=O)[O-])c1 ZINC000176590018 232336518 /nfs/dbraw/zinc/33/65/18/232336518.db2.gz DJEYETJLFIJOGB-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cn1 ZINC000178342339 232378582 /nfs/dbraw/zinc/37/85/82/232378582.db2.gz ZBJAHEMLWPUNTD-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CCn1c2ccccc2n(Cc2cccc([N+](=O)[O-])c2)c1=O ZINC000014145454 346233847 /nfs/dbraw/zinc/23/38/47/346233847.db2.gz CAKDNBJDGBNJCT-UHFFFAOYSA-N 0 0 297.314 2.779 20 5 CFBDRN C[C@@H](O)[C@H](Nc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000596567854 349944012 /nfs/dbraw/zinc/94/40/12/349944012.db2.gz WVOGNHWUYNMTJC-KCJUWKMLSA-N 0 0 279.321 2.585 20 5 CFBDRN Cc1cc(C(=O)Cn2cc([N+](=O)[O-])c(C)n2)c(C)s1 ZINC000295129840 199361308 /nfs/dbraw/zinc/36/13/08/199361308.db2.gz CFALYHUIGUDPKC-UHFFFAOYSA-N 0 0 279.321 2.661 20 5 CFBDRN COC(=O)c1ccc(N2CCC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000007739869 346219296 /nfs/dbraw/zinc/21/92/96/346219296.db2.gz CRBKAIXYEMQOSG-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN CCn1nccc1CNc1cccc(C)c1[N+](=O)[O-] ZINC000596572376 349944805 /nfs/dbraw/zinc/94/48/05/349944805.db2.gz MGKYJDFQWGQARF-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CC(C)CCC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000008175594 346222396 /nfs/dbraw/zinc/22/23/96/346222396.db2.gz CCTUWUYNAJXIKS-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN Cc1ccccc1CCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000018830790 346241889 /nfs/dbraw/zinc/24/18/89/346241889.db2.gz UJJJZLGIIIPCNM-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cccc2ncccc21 ZINC000022143987 346248181 /nfs/dbraw/zinc/24/81/81/346248181.db2.gz KBFRSUNLXBRMNV-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1c(CNC(=O)N[C@]23C[C@H]2CCC3)cccc1[N+](=O)[O-] ZINC000596578463 349946348 /nfs/dbraw/zinc/94/63/48/349946348.db2.gz DITMHSBQFWTIMZ-IUODEOHRSA-N 0 0 289.335 2.645 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCO[C@H](c2ccccc2)C1 ZINC000023888511 346253815 /nfs/dbraw/zinc/25/38/15/346253815.db2.gz OMLMWOAQBJBJDB-AWEZNQCLSA-N 0 0 285.303 2.568 20 5 CFBDRN CC(C)(C)c1noc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000023947453 346253859 /nfs/dbraw/zinc/25/38/59/346253859.db2.gz WUEBXALFDXRRSW-UHFFFAOYSA-N 0 0 277.280 2.854 20 5 CFBDRN Cc1ccc(NC(=O)CSC(C)C)cc1[N+](=O)[O-] ZINC000024485001 346255497 /nfs/dbraw/zinc/25/54/97/346255497.db2.gz FCWCQFFZOUICHF-UHFFFAOYSA-N 0 0 268.338 2.983 20 5 CFBDRN Cc1ccsc1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000025717107 346261123 /nfs/dbraw/zinc/26/11/23/346261123.db2.gz XOVNWYARBJEJMK-UHFFFAOYSA-N 0 0 282.346 2.956 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cn1)CC(F)(F)F ZINC000596583274 349947981 /nfs/dbraw/zinc/94/79/81/349947981.db2.gz KBLKVGYSZRTTNV-UHFFFAOYSA-N 0 0 278.234 2.775 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000031702067 346296373 /nfs/dbraw/zinc/29/63/73/346296373.db2.gz BPDKPMLCRKRDGN-QMMMGPOBSA-N 0 0 254.311 2.593 20 5 CFBDRN Cc1sc(C(=O)Nc2nccs2)cc1[N+](=O)[O-] ZINC000032033675 346299620 /nfs/dbraw/zinc/29/96/20/346299620.db2.gz HDTUBPCOFLINCH-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000032383598 346302778 /nfs/dbraw/zinc/30/27/78/346302778.db2.gz ZEDKTHGRROUOGM-VXGBXAGGSA-N 0 0 291.351 2.559 20 5 CFBDRN CSc1ccc(C(=O)NC[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000032382424 346303035 /nfs/dbraw/zinc/30/30/35/346303035.db2.gz YHXWXASGQKIKMD-WPRPVWTQSA-N 0 0 280.349 2.703 20 5 CFBDRN Cc1cc(C(=O)N2CCn3cccc3[C@H]2C)ccc1[N+](=O)[O-] ZINC000030266892 346287625 /nfs/dbraw/zinc/28/76/25/346287625.db2.gz RKESWBCSCAZTRJ-GFCCVEGCSA-N 0 0 299.330 2.922 20 5 CFBDRN CO[C@H]1CC[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1 ZINC000596600194 349952780 /nfs/dbraw/zinc/95/27/80/349952780.db2.gz CCQBMFGAAVEHDC-SFYZADRCSA-N 0 0 279.252 2.520 20 5 CFBDRN CO[C@@H]1CC[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000596594537 349950814 /nfs/dbraw/zinc/95/08/14/349950814.db2.gz YWAOGTBMPMXORX-JGVFFNPUSA-N 0 0 295.320 2.989 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000033100630 346309259 /nfs/dbraw/zinc/30/92/59/346309259.db2.gz JCLBAMPLKHGLII-WDEREUQCSA-N 0 0 279.340 2.584 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000033181169 346309709 /nfs/dbraw/zinc/30/97/09/346309709.db2.gz ISIAXWKRCRPGEN-RYUDHWBXSA-N 0 0 292.335 2.669 20 5 CFBDRN CC(=O)Nc1ccc(Oc2ccc([N+](=O)[O-])cn2)cc1 ZINC000036657331 346323972 /nfs/dbraw/zinc/32/39/72/346323972.db2.gz PJUKGCVQOYCTPU-UHFFFAOYSA-N 0 0 273.248 2.741 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H](C)CC1 ZINC000188850211 232455985 /nfs/dbraw/zinc/45/59/85/232455985.db2.gz NRZKUBSBUSPGEK-ZYHUDNBSSA-N 0 0 291.351 2.997 20 5 CFBDRN COc1ccc(CSc2ncccn2)cc1[N+](=O)[O-] ZINC000042128197 346360762 /nfs/dbraw/zinc/36/07/62/346360762.db2.gz RTRHHRCUJKIFMJ-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN CC(C)NC(=O)c1ccc(NCC2CCC2)c([N+](=O)[O-])c1 ZINC000042099925 346360898 /nfs/dbraw/zinc/36/08/98/346360898.db2.gz YHYCORDVKNYQLM-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1ccc([C@H](C)NCc2ccnc(C)n2)cc1[N+](=O)[O-] ZINC000038037538 346337058 /nfs/dbraw/zinc/33/70/58/346337058.db2.gz CXMLFRQWROJBRQ-NSHDSACASA-N 0 0 286.335 2.852 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000075649538 347090388 /nfs/dbraw/zinc/09/03/88/347090388.db2.gz UETBZMYNJRTAAL-GXSJLCMTSA-N 0 0 265.313 2.622 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000042978694 346375487 /nfs/dbraw/zinc/37/54/87/346375487.db2.gz TVEKEAZLLNTGDF-MWLCHTKSSA-N 0 0 289.335 2.555 20 5 CFBDRN CCC[C@H](C)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000043001707 346376838 /nfs/dbraw/zinc/37/68/38/346376838.db2.gz FJWHVTIYCYQAAH-JTQLQIEISA-N 0 0 265.313 2.583 20 5 CFBDRN CN(CCCOc1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000075668165 347091036 /nfs/dbraw/zinc/09/10/36/347091036.db2.gz PRAHMYIRTVMODD-UHFFFAOYSA-N 0 0 287.319 2.895 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000596618544 349957414 /nfs/dbraw/zinc/95/74/14/349957414.db2.gz CYESYGBWBOAVQO-ZYHUDNBSSA-N 0 0 278.308 2.657 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@H](C)C1 ZINC000045208976 346405063 /nfs/dbraw/zinc/40/50/63/346405063.db2.gz IYDPAGLPMKJJQC-QWRGUYRKSA-N 0 0 292.335 2.912 20 5 CFBDRN CCCn1nccc1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000596620965 349958497 /nfs/dbraw/zinc/95/84/97/349958497.db2.gz QOGOKKAMDAQEQK-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)COC1CCCC1 ZINC000044332316 346391867 /nfs/dbraw/zinc/39/18/67/346391867.db2.gz IUBMYDRWPYKNFZ-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN C[C@@H](Cc1ccco1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000044443871 346393394 /nfs/dbraw/zinc/39/33/94/346393394.db2.gz XQDZSHMEPSPACZ-JTQLQIEISA-N 0 0 274.276 2.549 20 5 CFBDRN CN(CC1CCCCC1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000044710610 346397033 /nfs/dbraw/zinc/39/70/33/346397033.db2.gz PFAMEYNENPTVNA-UHFFFAOYSA-N 0 0 266.297 2.840 20 5 CFBDRN C[C@@H]1CCCC[C@H]1N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000045770372 346413834 /nfs/dbraw/zinc/41/38/34/346413834.db2.gz HNDKDCHEWGCJOY-NXEZZACHSA-N 0 0 266.297 2.839 20 5 CFBDRN CC(C)OCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000045778645 346414561 /nfs/dbraw/zinc/41/45/61/346414561.db2.gz HIDZZYIUAHOXIP-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN COCc1ccccc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000046162374 346421350 /nfs/dbraw/zinc/42/13/50/346421350.db2.gz AMUCTKWNWIJRIT-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])cc1F ZINC000046147400 346421588 /nfs/dbraw/zinc/42/15/88/346421588.db2.gz BBHOAFUPPGPBHU-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CN(Cc1nc2ccccc2[nH]1)c1ncccc1[N+](=O)[O-] ZINC000046478861 346427172 /nfs/dbraw/zinc/42/71/72/346427172.db2.gz OEZMHUOFGUVQRA-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)Cc1ccco1 ZINC000047264869 346447255 /nfs/dbraw/zinc/44/72/55/346447255.db2.gz IISSPLLZTVYFLB-UHFFFAOYSA-N 0 0 252.295 2.881 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCOC[C@H]1C ZINC000193869271 232498168 /nfs/dbraw/zinc/49/81/68/232498168.db2.gz GCCTWRGKOJJDBM-NEPJUHHUSA-N 0 0 292.335 2.823 20 5 CFBDRN Cc1sc(-c2ccc(C)c([N+](=O)[O-])c2)nc1CCO ZINC000596639973 349965163 /nfs/dbraw/zinc/96/51/63/349965163.db2.gz WSFHEILOMXULDL-UHFFFAOYSA-N 0 0 278.333 2.870 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H]1c1ccccn1 ZINC000048962549 346478163 /nfs/dbraw/zinc/47/81/63/346478163.db2.gz YGUFCYNGBVVNPM-LBPRGKRZSA-N 0 0 270.292 2.726 20 5 CFBDRN CC(C)[C@@H]1CCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000049099739 346479805 /nfs/dbraw/zinc/47/98/05/346479805.db2.gz VIGLIFWXOGVPMR-VIFPVBQESA-N 0 0 280.353 2.929 20 5 CFBDRN Cc1c(CN2C[C@@H](C)OC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000051474722 346503889 /nfs/dbraw/zinc/50/38/89/346503889.db2.gz CPHOTZXVKRHHPK-WDEREUQCSA-N 0 0 264.325 2.512 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@H]1c1cccc(F)c1 ZINC000052510623 346524088 /nfs/dbraw/zinc/52/40/88/346524088.db2.gz JOJYAIDXYWCODJ-LBPRGKRZSA-N 0 0 290.298 2.809 20 5 CFBDRN CN(C)c1ccc(-c2cc([N+](=O)[O-])ccc2CCO)cc1 ZINC000596647913 349967963 /nfs/dbraw/zinc/96/79/63/349967963.db2.gz ZCLTVYQEPMMEJF-UHFFFAOYSA-N 0 0 286.331 2.863 20 5 CFBDRN COCCOc1cccc(Nc2ncccc2[N+](=O)[O-])c1 ZINC000052468508 346522716 /nfs/dbraw/zinc/52/27/16/346522716.db2.gz LEEABWRQBLBPPX-UHFFFAOYSA-N 0 0 289.291 2.759 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2ccc(Cl)cc2)c1[N+](=O)[O-] ZINC000051228196 346502117 /nfs/dbraw/zinc/50/21/17/346502117.db2.gz RNKNDWQEBXZWGW-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN C[C@@H]1CCc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000596677801 349973072 /nfs/dbraw/zinc/97/30/72/349973072.db2.gz FXKHEDASVSLZOI-SNVBAGLBSA-N 0 0 285.303 2.904 20 5 CFBDRN C[C@H]1c2ccsc2CCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000052988446 346535545 /nfs/dbraw/zinc/53/55/45/346535545.db2.gz BTTJOQCRLAYUJY-QMMMGPOBSA-N 0 0 291.332 2.744 20 5 CFBDRN Cc1ccsc1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000053216202 346541019 /nfs/dbraw/zinc/54/10/19/346541019.db2.gz YFTXEBILVHDMSC-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN Cc1noc([C@@H]2CCCN(c3ccccc3[N+](=O)[O-])C2)n1 ZINC000053576479 346550270 /nfs/dbraw/zinc/55/02/70/346550270.db2.gz GOAJGYVNAVJVTM-LLVKDONJSA-N 0 0 288.307 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCN(Cc3ccco3)CC2)s1 ZINC000054389639 346568531 /nfs/dbraw/zinc/56/85/31/346568531.db2.gz VOEIMBQTGJIYRI-UHFFFAOYSA-N 0 0 293.348 2.572 20 5 CFBDRN Cc1cc(NCC(=O)NC2CCCCC2)ccc1[N+](=O)[O-] ZINC000058543846 346646191 /nfs/dbraw/zinc/64/61/91/346646191.db2.gz OBTVTIQDXUUNLH-UHFFFAOYSA-N 0 0 291.351 2.764 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000057303674 346624935 /nfs/dbraw/zinc/62/49/35/346624935.db2.gz XKPOHRRAGXEQMY-RYUDHWBXSA-N 0 0 293.367 2.948 20 5 CFBDRN Cc1ccc2ncn(Cc3cccc([N+](=O)[O-])c3)c(=O)c2c1 ZINC000060816436 346671682 /nfs/dbraw/zinc/67/16/82/346671682.db2.gz SDJXSSLXSCOTNN-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1nc2ccccc2n1C(F)F ZINC000057516539 346630280 /nfs/dbraw/zinc/63/02/80/346630280.db2.gz DKISKCSVYLXDMT-UHFFFAOYSA-N 0 0 293.233 2.584 20 5 CFBDRN NC(=O)c1ccc(Oc2ccc(F)cc2[N+](=O)[O-])cc1 ZINC000060846975 346672337 /nfs/dbraw/zinc/67/23/37/346672337.db2.gz AMHAUFKCUZXCMV-UHFFFAOYSA-N 0 0 276.223 2.625 20 5 CFBDRN COc1ccccc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057835110 346638353 /nfs/dbraw/zinc/63/83/53/346638353.db2.gz MZOIRXIPWGCTGD-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCN(CC(F)(F)F)C2)cc1 ZINC000057855726 346638813 /nfs/dbraw/zinc/63/88/13/346638813.db2.gz KQKJFCVHQAEPTK-JTQLQIEISA-N 0 0 289.257 2.643 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC1CCN(CC(F)F)CC1 ZINC000057857536 346638889 /nfs/dbraw/zinc/63/88/89/346638889.db2.gz WCKYKDZAGFAGJU-UHFFFAOYSA-N 0 0 285.294 2.736 20 5 CFBDRN CC(C)CN(C)C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000063928409 346713362 /nfs/dbraw/zinc/71/33/62/346713362.db2.gz XHCSBFFHXXUZRN-FNORWQNLSA-N 0 0 280.299 2.862 20 5 CFBDRN CN(CC1CC1)C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000063937871 346713376 /nfs/dbraw/zinc/71/33/76/346713376.db2.gz INPKKCIGJHFJIF-FNORWQNLSA-N 0 0 278.283 2.616 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc2c(c1)COC2 ZINC000064384281 346719315 /nfs/dbraw/zinc/71/93/15/346719315.db2.gz RONFXHUIMGXWKU-UHFFFAOYSA-N 0 0 284.271 2.877 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)c1ccsc1 ZINC000061364622 346677969 /nfs/dbraw/zinc/67/79/69/346677969.db2.gz VUDOUYXYBSKLMU-ZETCQYMHSA-N 0 0 252.299 2.563 20 5 CFBDRN O=C(NCc1cc2ccccc2o1)c1ccc([N+](=O)[O-])o1 ZINC000061586117 346680764 /nfs/dbraw/zinc/68/07/64/346680764.db2.gz VESFHKIVFZCFGF-UHFFFAOYSA-N 0 0 286.243 2.864 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])OC1CCCCC1 ZINC000062675272 346690733 /nfs/dbraw/zinc/69/07/33/346690733.db2.gz CLPSYYCIAOPIOW-UHFFFAOYSA-N 0 0 278.308 2.883 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000062881197 346692501 /nfs/dbraw/zinc/69/25/01/346692501.db2.gz YKDCWQCJXDAERG-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@@H]1CCCN(C(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000062926813 346693579 /nfs/dbraw/zinc/69/35/79/346693579.db2.gz MSZRZKDCADWRSN-GFCCVEGCSA-N 0 0 292.335 2.622 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCCOCC1CC1 ZINC000063256545 346698706 /nfs/dbraw/zinc/69/87/06/346698706.db2.gz GCBVWBFTNKPXHZ-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN C[C@@H]1CCCN(C(=O)CNc2ccccc2[N+](=O)[O-])CC1 ZINC000063273379 346698716 /nfs/dbraw/zinc/69/87/16/346698716.db2.gz PVWXELAGUMSVBR-GFCCVEGCSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cnn(C(C)C)c1 ZINC000066386785 346756944 /nfs/dbraw/zinc/75/69/44/346756944.db2.gz BWAVPSOIEHNZPA-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2COc3ccccc3O2)s1 ZINC000066410710 346758454 /nfs/dbraw/zinc/75/84/54/346758454.db2.gz AEIGTRAABXQDRU-VIFPVBQESA-N 0 0 292.316 2.908 20 5 CFBDRN CCC1(CO)CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000270793277 136615153 /nfs/dbraw/zinc/61/51/53/136615153.db2.gz RWHYXSCZUGSNCH-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN CN(C[C@@H]1OCCc2ccccc21)c1ccncc1[N+](=O)[O-] ZINC000066811984 346780918 /nfs/dbraw/zinc/78/09/18/346780918.db2.gz LXHVTHFQBGWZSL-INIZCTEOSA-N 0 0 299.330 2.740 20 5 CFBDRN Cc1nc(N2CC[C@@H](C(C)(C)C)[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000273385724 136624380 /nfs/dbraw/zinc/62/43/80/136624380.db2.gz ZKCCKFHHLZQHIF-YPMHNXCESA-N 0 0 293.367 2.532 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)c1c(C)cc(C)cc1C ZINC000065694154 346738572 /nfs/dbraw/zinc/73/85/72/346738572.db2.gz DGHOZJRHHPQNCM-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000065736719 346739905 /nfs/dbraw/zinc/73/99/05/346739905.db2.gz GHWGWJPJCJZVEK-LLVKDONJSA-N 0 0 296.371 2.575 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000065776093 346741323 /nfs/dbraw/zinc/74/13/23/346741323.db2.gz ANDWSHQYIXKQFN-LBPRGKRZSA-N 0 0 296.371 2.514 20 5 CFBDRN Cc1cccc(OCCN(C)c2ccncc2[N+](=O)[O-])c1 ZINC000066797097 346779542 /nfs/dbraw/zinc/77/95/42/346779542.db2.gz SPPUXARUBRXUPF-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)cn1 ZINC000067054295 346790046 /nfs/dbraw/zinc/79/00/46/346790046.db2.gz WAHFDXMFJQLLIP-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CCCc1nc(COc2ccc(OC)cc2[N+](=O)[O-])no1 ZINC000067097061 346791671 /nfs/dbraw/zinc/79/16/71/346791671.db2.gz JGFYBXOEUUHWQZ-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1OCCNc1ccc([N+](=O)[O-])nc1 ZINC000076797577 347154337 /nfs/dbraw/zinc/15/43/37/347154337.db2.gz CHLAKWJEYDBJKN-YPMHNXCESA-N 0 0 279.340 2.997 20 5 CFBDRN Cc1c(Oc2ncnc3c2CCC3)cccc1[N+](=O)[O-] ZINC000076922035 347160813 /nfs/dbraw/zinc/16/08/13/347160813.db2.gz MXDUHLVCGWIAME-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000076291012 347133082 /nfs/dbraw/zinc/13/30/82/347133082.db2.gz YOYZIPNHSACLTN-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000076291022 347133101 /nfs/dbraw/zinc/13/31/01/347133101.db2.gz SNVQQADCMAWVOB-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000077237704 347180078 /nfs/dbraw/zinc/18/00/78/347180078.db2.gz AJTALGPVMCPILV-IUODEOHRSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)N(C(C)C)C(C)C ZINC000077910631 347219998 /nfs/dbraw/zinc/21/99/98/347219998.db2.gz XGHIKRQPXMJLGN-UHFFFAOYSA-N 0 0 294.351 2.927 20 5 CFBDRN CN(CCCC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])cn1 ZINC000077985127 347222805 /nfs/dbraw/zinc/22/28/05/347222805.db2.gz YUHTYOMJWJSKAZ-UHFFFAOYSA-N 0 0 295.339 2.548 20 5 CFBDRN COc1ccc(C)cc1CCNc1ccc([N+](=O)[O-])nc1 ZINC000078435250 347252594 /nfs/dbraw/zinc/25/25/94/347252594.db2.gz VBWJVDAWMCYDIR-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@H]1CCC[C@@H]1O ZINC000077993663 347224271 /nfs/dbraw/zinc/22/42/71/347224271.db2.gz OKUPYZWVEQXDSS-ONGXEEELSA-N 0 0 290.241 2.939 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000078026913 347226013 /nfs/dbraw/zinc/22/60/13/347226013.db2.gz YYRMDDHSKORFKN-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CC(C)CN(C(=O)COc1ccccc1[N+](=O)[O-])C1CC1 ZINC000078435219 347252848 /nfs/dbraw/zinc/25/28/48/347252848.db2.gz ORUWYXUWAGWEIW-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=C(c3ccc(O)cc3)CC2)cn1 ZINC000078257119 347234681 /nfs/dbraw/zinc/23/46/81/347234681.db2.gz DNIRKERCNVOQBC-UHFFFAOYSA-N 0 0 297.314 2.989 20 5 CFBDRN CC(C)(C)OC(=O)CCNc1ccc([N+](=O)[O-])s1 ZINC000078305928 347240333 /nfs/dbraw/zinc/24/03/33/347240333.db2.gz XQYCUGVBYNKZOH-UHFFFAOYSA-N 0 0 272.326 2.800 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)C12CCC2 ZINC000078360625 347245923 /nfs/dbraw/zinc/24/59/23/347245923.db2.gz BPDXRYBLVQWTRF-VXGBXAGGSA-N 0 0 277.324 2.749 20 5 CFBDRN C[C@@](O)(CNc1cccc(F)c1[N+](=O)[O-])c1ccco1 ZINC000078375587 347247430 /nfs/dbraw/zinc/24/74/30/347247430.db2.gz AMSZGZLCHMBBOP-CYBMUJFWSA-N 0 0 280.255 2.647 20 5 CFBDRN Cc1csc([C@H](Nc2c([N+](=O)[O-])ncn2C)C2CC2)n1 ZINC000078381393 347249545 /nfs/dbraw/zinc/24/95/45/347249545.db2.gz MLXXAQYFFQQVHY-SECBINFHSA-N 0 0 293.352 2.656 20 5 CFBDRN CN(CCc1cccs1)c1ncccc1[N+](=O)[O-] ZINC000078527645 347259758 /nfs/dbraw/zinc/25/97/58/347259758.db2.gz CXJDEDGDKTZHBW-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])s2)CCS1 ZINC000078590534 347264491 /nfs/dbraw/zinc/26/44/91/347264491.db2.gz NNFHRTLKGAIMJU-QMMMGPOBSA-N 0 0 258.368 2.988 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000078590774 347264518 /nfs/dbraw/zinc/26/45/18/347264518.db2.gz OUFGIWHJGFIZMV-GFCCVEGCSA-N 0 0 252.339 2.927 20 5 CFBDRN Cc1cnc(Oc2cccc([N+](=O)[O-])c2)c([N+](=O)[O-])c1 ZINC000078624401 347265309 /nfs/dbraw/zinc/26/53/09/347265309.db2.gz OCHWUORFULDXOD-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN Cc1cnc(N[C@H](C)COCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000078655299 347267325 /nfs/dbraw/zinc/26/73/25/347267325.db2.gz JUKRLAUSYILGIV-MRVPVSSYSA-N 0 0 293.245 2.678 20 5 CFBDRN Cc1cnc(N[C@H](C)C[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000078657784 347268103 /nfs/dbraw/zinc/26/81/03/347268103.db2.gz HIVBFVRKZORFKT-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078669708 347268951 /nfs/dbraw/zinc/26/89/51/347268951.db2.gz PKBHYNFRTXBHLU-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cnc(NC[C@@](C)(O)c2cccs2)c([N+](=O)[O-])c1 ZINC000078666333 347269055 /nfs/dbraw/zinc/26/90/55/347269055.db2.gz RMXIPMKUFVSHBG-CYBMUJFWSA-N 0 0 293.348 2.679 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000078727548 347272258 /nfs/dbraw/zinc/27/22/58/347272258.db2.gz DHYMOAVGEILMMT-LLVKDONJSA-N 0 0 280.324 2.621 20 5 CFBDRN CN(C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])C1CC1 ZINC000079764536 347324864 /nfs/dbraw/zinc/32/48/64/347324864.db2.gz QIZYJVMELOCHAW-UHFFFAOYSA-N 0 0 288.225 2.848 20 5 CFBDRN CCOCc1nc([C@@H](C)Nc2ccccc2[N+](=O)[O-])no1 ZINC000290774858 197814001 /nfs/dbraw/zinc/81/40/01/197814001.db2.gz VSOUSYXNYCEMGC-SECBINFHSA-N 0 0 292.295 2.687 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1CC(C)C1 ZINC000080042011 347338643 /nfs/dbraw/zinc/33/86/43/347338643.db2.gz XMLQICDKGKZGKG-YVNMAJEFSA-N 0 0 294.376 2.990 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)c1ccccc1F ZINC000102165207 185771213 /nfs/dbraw/zinc/77/12/13/185771213.db2.gz AUWUUZDXHLFVEO-UHFFFAOYSA-N 0 0 264.212 2.604 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)NCC1CCCCC1 ZINC000079467056 347305683 /nfs/dbraw/zinc/30/56/83/347305683.db2.gz GDGNTKCVIUAWQS-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN Cc1cccc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000079625306 347317174 /nfs/dbraw/zinc/31/71/74/347317174.db2.gz QUVIVHGHHAMNPA-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN CC(C)Cn1cc(NC(=O)c2ccccc2[N+](=O)[O-])cn1 ZINC000081280749 347410720 /nfs/dbraw/zinc/41/07/20/347410720.db2.gz DFDHVWQRGKGGMF-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCOC[C@H]1CCCO1 ZINC000080538584 347364381 /nfs/dbraw/zinc/36/43/81/347364381.db2.gz XINKNJRKCDZOEI-CQSZACIVSA-N 0 0 294.351 2.901 20 5 CFBDRN COCCN(C)c1cc(C)c([N+](=O)[O-])cc1Cl ZINC000080581536 347366883 /nfs/dbraw/zinc/36/68/83/347366883.db2.gz DJHYXVFWDGDTBV-UHFFFAOYSA-N 0 0 258.705 2.639 20 5 CFBDRN Cc1cc(N(C)CCOc2ccccc2)ncc1[N+](=O)[O-] ZINC000080584925 347367916 /nfs/dbraw/zinc/36/79/16/347367916.db2.gz CKPWANZKHNNRIF-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCc1cccc(C(N)=O)c1 ZINC000080611014 347369700 /nfs/dbraw/zinc/36/97/00/347369700.db2.gz HHNWSFRRCIYPQR-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080637891 347370878 /nfs/dbraw/zinc/37/08/78/347370878.db2.gz UUKXPVFCFRRYMO-HNNXBMFYSA-N 0 0 296.367 2.950 20 5 CFBDRN CCOc1cc(N2CCC(COC)CC2)ccc1[N+](=O)[O-] ZINC000080788916 347380893 /nfs/dbraw/zinc/38/08/93/347380893.db2.gz NBHSFEMTOQDVRM-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H](c3ncc[nH]3)C2)cs1 ZINC000080960985 347389575 /nfs/dbraw/zinc/38/95/75/347389575.db2.gz DIIRAWSCFACJKU-NSHDSACASA-N 0 0 292.364 2.759 20 5 CFBDRN CC(C)CCO[C@@H]1CCN(c2ncccc2[N+](=O)[O-])C1 ZINC000081016514 347396383 /nfs/dbraw/zinc/39/63/83/347396383.db2.gz NRPZLFCRFXEVAI-GFCCVEGCSA-N 0 0 279.340 2.631 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCSC2)c1 ZINC000081029590 347398064 /nfs/dbraw/zinc/39/80/64/347398064.db2.gz QQGSGHJXDIXAQQ-MRVPVSSYSA-N 0 0 298.389 2.552 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCOCC2CCC2)c1 ZINC000400607798 232676926 /nfs/dbraw/zinc/67/69/26/232676926.db2.gz DRLIQZQXJVEMCA-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1nccs1 ZINC000082269502 347442980 /nfs/dbraw/zinc/44/29/80/347442980.db2.gz LHGFGPZCPDZLSG-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CC(C)n1cc(/C=C/c2ccc([N+](=O)[O-])cn2)cn1 ZINC000084503334 347466648 /nfs/dbraw/zinc/46/66/48/347466648.db2.gz RXESRWXEEDTBFN-ONEGZZNKSA-N 0 0 258.281 2.938 20 5 CFBDRN COC[C@H](Nc1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000084726655 347470085 /nfs/dbraw/zinc/47/00/85/347470085.db2.gz QMEGZSWLEUZNCR-LBPRGKRZSA-N 0 0 252.314 2.986 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776206 347475012 /nfs/dbraw/zinc/47/50/12/347475012.db2.gz MGGQDEDWUURMBL-KWQFWETISA-N 0 0 271.276 2.738 20 5 CFBDRN Cc1noc(C)c1CCCNc1ccc([N+](=O)[O-])cn1 ZINC000084928218 347476226 /nfs/dbraw/zinc/47/62/26/347476226.db2.gz WTSGSCDLBHWXEE-UHFFFAOYSA-N 0 0 276.296 2.639 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H](C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000088715913 347507925 /nfs/dbraw/zinc/50/79/25/347507925.db2.gz NTHUZICOZLYUAO-GHMZBOCLSA-N 0 0 279.340 2.618 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nncn2C(C)C)c1 ZINC000088722588 347508033 /nfs/dbraw/zinc/50/80/33/347508033.db2.gz NSTIABKECFNPBE-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN CCOC(=O)CC[C@@H](C)Nc1ccc([N+](=O)[O-])cc1F ZINC000089657031 347524894 /nfs/dbraw/zinc/52/48/94/347524894.db2.gz ZJAIQKKACDCBFN-SECBINFHSA-N 0 0 284.287 2.878 20 5 CFBDRN CCOC(=O)CC[C@@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000089656939 347525121 /nfs/dbraw/zinc/52/51/21/347525121.db2.gz SUYDSIOPKYEQAK-SNVBAGLBSA-N 0 0 266.297 2.739 20 5 CFBDRN CCOC(=O)CC[C@H](C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000089656886 347525157 /nfs/dbraw/zinc/52/51/57/347525157.db2.gz DOHCBLLGWFFDSG-VIFPVBQESA-N 0 0 284.287 2.878 20 5 CFBDRN CC(C)(CO)Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090068378 347538846 /nfs/dbraw/zinc/53/88/46/347538846.db2.gz FZHFQCKCCSYWBO-UHFFFAOYSA-N 0 0 287.319 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCCO)c2ccncc21 ZINC000090106747 347541981 /nfs/dbraw/zinc/54/19/81/347541981.db2.gz SQVQIHWRYKKFPK-UHFFFAOYSA-N 0 0 264.306 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(N(CCO)C2CC2)nc1-c1ccccc1 ZINC000090109831 347543212 /nfs/dbraw/zinc/54/32/12/347543212.db2.gz FBYJIJXGSGAODT-UHFFFAOYSA-N 0 0 299.330 2.618 20 5 CFBDRN CCc1nn(C)cc1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000091046973 347569319 /nfs/dbraw/zinc/56/93/19/347569319.db2.gz VAUVGTJAPBHISC-UHFFFAOYSA-N 0 0 289.295 2.535 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCc2nnc3ccccn32)cc1 ZINC000091477441 347588031 /nfs/dbraw/zinc/58/80/31/347588031.db2.gz NKSKINJAKNFCFG-UHFFFAOYSA-N 0 0 297.318 2.682 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1ccc([N+](=O)[O-])cc1 ZINC000091506052 347591353 /nfs/dbraw/zinc/59/13/53/347591353.db2.gz XNRSAIQXGDPZPF-SNVBAGLBSA-N 0 0 266.297 2.596 20 5 CFBDRN CCc1nn(C)c(NCC2CCCCC2)c1[N+](=O)[O-] ZINC000091576243 347592494 /nfs/dbraw/zinc/59/24/94/347592494.db2.gz YWSYKWLVNRJGHB-UHFFFAOYSA-N 0 0 266.345 2.883 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2C[C@@](C)(OC)C2(C)C)c1[N+](=O)[O-] ZINC000091730034 347596176 /nfs/dbraw/zinc/59/61/76/347596176.db2.gz YEDVOMXWTGPVKX-QMTHXVAHSA-N 0 0 296.371 2.506 20 5 CFBDRN CCC[C@H]1CCCN(c2c([N+](=O)[O-])c(CC)nn2C)C1 ZINC000091739390 347598101 /nfs/dbraw/zinc/59/81/01/347598101.db2.gz LSUNIOXSORCDDO-NSHDSACASA-N 0 0 280.372 2.907 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1nc2ccccc2[nH]1 ZINC000092583591 347632864 /nfs/dbraw/zinc/63/28/64/347632864.db2.gz LQUFBYDHICXQQX-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN C[C@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000092586117 347633799 /nfs/dbraw/zinc/63/37/99/347633799.db2.gz CUDXDUFSIBAROS-NSHDSACASA-N 0 0 264.325 2.922 20 5 CFBDRN Cc1n[nH]cc1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000092624777 347635822 /nfs/dbraw/zinc/63/58/22/347635822.db2.gz ZOWQQENRKVTVRD-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cnn(CC(C)C)c1 ZINC000092658397 347637519 /nfs/dbraw/zinc/63/75/19/347637519.db2.gz XOPBYISCQGIDAH-UHFFFAOYSA-N 0 0 275.312 2.894 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(S[C@H](C)[C@H](C)O)c1 ZINC000092658827 347638447 /nfs/dbraw/zinc/63/84/47/347638447.db2.gz FRMGJEVDZYVMHI-NKWVEPMBSA-N 0 0 275.301 2.604 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2ccc([N+](=O)[O-])c(C)c2)n[nH]1 ZINC000092685769 347640591 /nfs/dbraw/zinc/64/05/91/347640591.db2.gz TZCUQOBWRHWLPX-SNVBAGLBSA-N 0 0 274.324 2.978 20 5 CFBDRN CCOc1cc(N(CC)C[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000092686882 347641067 /nfs/dbraw/zinc/64/10/67/347641067.db2.gz FJAOMAPEUJMDJS-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000092829345 347648605 /nfs/dbraw/zinc/64/86/05/347648605.db2.gz GIRUZMHUASVGGB-JTQLQIEISA-N 0 0 266.345 2.517 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2cccc(F)c2Cl)c1=O ZINC000092856751 347648933 /nfs/dbraw/zinc/64/89/33/347648933.db2.gz CHFDDLMVGUWDSE-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CCc1nn(C)c(N(C)Cc2ccccc2F)c1[N+](=O)[O-] ZINC000094131587 347691949 /nfs/dbraw/zinc/69/19/49/347691949.db2.gz FZEDZPIXPLPVEL-UHFFFAOYSA-N 0 0 292.314 2.666 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ncnc3c2CCCC3)c1 ZINC000094872140 347696783 /nfs/dbraw/zinc/69/67/83/347696783.db2.gz KZBSJDYPYFDNFD-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@H](CCO)C1 ZINC000096832856 347706200 /nfs/dbraw/zinc/70/62/00/347706200.db2.gz WNUFDYWFDFHBLC-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH+]1C[C@@H]2CCCC[C@H]2C1 ZINC000093441795 347674194 /nfs/dbraw/zinc/67/41/94/347674194.db2.gz DGVVRJDKVWBOBW-RYUDHWBXSA-N 0 0 276.336 2.922 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cnc(C2CC2)nc1 ZINC000103017181 347721368 /nfs/dbraw/zinc/72/13/68/347721368.db2.gz MYFATWUJKNJADB-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CCC(CC)CNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000105199279 347728011 /nfs/dbraw/zinc/72/80/11/347728011.db2.gz AMDVWNQACYZPRT-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN Cc1cc(C)nc(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])n1 ZINC000108813899 347743346 /nfs/dbraw/zinc/74/33/46/347743346.db2.gz UBFGQVZKZRLDGP-FPLPWBNLSA-N 0 0 298.302 2.654 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)c2nccn2C)c1 ZINC000125812158 347829920 /nfs/dbraw/zinc/82/99/20/347829920.db2.gz KCBWIQQWUHGUBL-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN COC(=O)CC(C)(C)CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000119839082 347804348 /nfs/dbraw/zinc/80/43/48/347804348.db2.gz QCJZHXZZSYJTMP-UHFFFAOYSA-N 0 0 294.307 2.513 20 5 CFBDRN CC[C@H](C)Sc1nc2cc([N+](=O)[O-])ccc2c(=O)n1C ZINC000273940439 192371159 /nfs/dbraw/zinc/37/11/59/192371159.db2.gz SUOKVPQLWYBOMZ-QMMMGPOBSA-N 0 0 293.348 2.732 20 5 CFBDRN C[C@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000130322926 347869837 /nfs/dbraw/zinc/86/98/37/347869837.db2.gz ZLUAQFDOHUPJGP-NSHDSACASA-N 0 0 276.336 2.832 20 5 CFBDRN COC(=O)c1cc(-c2nc(C(C)C)no2)cc([N+](=O)[O-])c1 ZINC000130346484 347870078 /nfs/dbraw/zinc/87/00/78/347870078.db2.gz IUNRLBJERYVTOE-UHFFFAOYSA-N 0 0 291.263 2.555 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000130404477 347870624 /nfs/dbraw/zinc/87/06/24/347870624.db2.gz AQPPTSUKYWBIRP-IUPBHXKESA-N 0 0 285.303 2.875 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)C1CCC1 ZINC000130695322 347874033 /nfs/dbraw/zinc/87/40/33/347874033.db2.gz HGTIINBUJXPOAL-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C2CCC2)c1 ZINC000131177338 347876413 /nfs/dbraw/zinc/87/64/13/347876413.db2.gz INSPLSIFHPBDQV-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000596712732 349977087 /nfs/dbraw/zinc/97/70/87/349977087.db2.gz IDHHTKBTSJUWOW-GFCCVEGCSA-N 0 0 274.320 2.920 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1nccs1 ZINC000131784530 347880892 /nfs/dbraw/zinc/88/08/92/347880892.db2.gz MCHLQYKVQXCFRL-ZETCQYMHSA-N 0 0 297.361 2.994 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Sc1n[nH]c(C2CC2)n1 ZINC000142338185 347933893 /nfs/dbraw/zinc/93/38/93/347933893.db2.gz ADLFPCMVKRAFSE-UHFFFAOYSA-N 0 0 280.284 2.881 20 5 CFBDRN COc1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000142493883 347934197 /nfs/dbraw/zinc/93/41/97/347934197.db2.gz AKZLFXBGJWNTLD-UHFFFAOYSA-N 0 0 295.682 2.837 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Oc2ccc3nccnc3n2)c1 ZINC000135530774 347907006 /nfs/dbraw/zinc/90/70/06/347907006.db2.gz LHXUMYVCYYWXFJ-UHFFFAOYSA-N 0 0 286.222 2.864 20 5 CFBDRN O=[N+]([O-])c1cccnc1Nc1ccc2c(c1)COC2 ZINC000139088043 347918759 /nfs/dbraw/zinc/91/87/59/347918759.db2.gz ILJHIDUKXZLTOI-UHFFFAOYSA-N 0 0 257.249 2.764 20 5 CFBDRN CNc1c(C(=O)N(C)[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000161441883 348024620 /nfs/dbraw/zinc/02/46/20/348024620.db2.gz DXNAEEPOGLCRNA-SECBINFHSA-N 0 0 277.324 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCS2)n1 ZINC000162643015 348031403 /nfs/dbraw/zinc/03/14/03/348031403.db2.gz PCYUWAPRCKYDNL-VIFPVBQESA-N 0 0 253.327 2.606 20 5 CFBDRN C[C@](CO)(NCc1csc([N+](=O)[O-])c1)c1ccccc1 ZINC000169254852 348050926 /nfs/dbraw/zinc/05/09/26/348050926.db2.gz QMTQPPFREGZCCM-CQSZACIVSA-N 0 0 292.360 2.654 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2C)nc1C ZINC000412294748 232878754 /nfs/dbraw/zinc/87/87/54/232878754.db2.gz WZKOAXWENFTMHZ-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN(C)c1ccccc1 ZINC000198623375 348150538 /nfs/dbraw/zinc/15/05/38/348150538.db2.gz DKGJWWQMKGNCQU-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000218876227 348167859 /nfs/dbraw/zinc/16/78/59/348167859.db2.gz NHLCUVOSTMVBQL-YGRLFVJLSA-N 0 0 296.298 2.722 20 5 CFBDRN C[C@H](CC[S@](C)=O)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000128741943 187387351 /nfs/dbraw/zinc/38/73/51/187387351.db2.gz PFNZMZRSRVSCLN-NTCNTBNZSA-N 0 0 290.772 2.817 20 5 CFBDRN CC1=C(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000225938778 348180509 /nfs/dbraw/zinc/18/05/09/348180509.db2.gz HMCVDBPSXTVAJN-UHFFFAOYSA-N 0 0 260.293 2.777 20 5 CFBDRN CC1(C)CCC(O)(CNc2ncc([N+](=O)[O-])s2)CC1 ZINC000226773948 348184836 /nfs/dbraw/zinc/18/48/36/348184836.db2.gz KTJZNOZOPGZSJZ-UHFFFAOYSA-N 0 0 285.369 2.795 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])C1(C)C ZINC000227286486 348186217 /nfs/dbraw/zinc/18/62/17/348186217.db2.gz IRDWIDXOKMVAKN-ZJUUUORDSA-N 0 0 285.731 2.869 20 5 CFBDRN C[C@H]1CCC[C@@H](Nc2c([N+](=O)[O-])ncn2C)CC1 ZINC000227539770 348187789 /nfs/dbraw/zinc/18/77/89/348187789.db2.gz LKBHNOFQFZKMNT-VHSXEESVSA-N 0 0 252.318 2.709 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2CCC(O)CC2)c1 ZINC000227712356 348189833 /nfs/dbraw/zinc/18/98/33/348189833.db2.gz QFEGFFHPZKJYJQ-UHFFFAOYSA-N 0 0 280.324 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCC(O)CC2)c(F)c1 ZINC000227711627 348190283 /nfs/dbraw/zinc/19/02/83/348190283.db2.gz ZXSUFQHTJHQDSG-UHFFFAOYSA-N 0 0 268.288 2.697 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1(C)C ZINC000227821889 348190800 /nfs/dbraw/zinc/19/08/00/348190800.db2.gz WCGDMBFRSXHQHQ-UWVGGRQHSA-N 0 0 285.731 2.869 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000227820429 348190860 /nfs/dbraw/zinc/19/08/60/348190860.db2.gz UDUFGGDGBXHCPO-QWRGUYRKSA-N 0 0 265.313 2.524 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ccccc2[N+](=O)[O-])C1(C)C ZINC000227822352 348191095 /nfs/dbraw/zinc/19/10/95/348191095.db2.gz PWWBYYRVBHPONO-NWDGAFQWSA-N 0 0 250.298 2.820 20 5 CFBDRN COC1(CNc2ncnc3ccc([N+](=O)[O-])cc32)CCC1 ZINC000227867440 348191912 /nfs/dbraw/zinc/19/19/12/348191912.db2.gz OHGAIBNVRPLMBE-UHFFFAOYSA-N 0 0 288.307 2.519 20 5 CFBDRN CC(C)n1cc(OCc2ccccc2[N+](=O)[O-])cn1 ZINC000228145972 348193193 /nfs/dbraw/zinc/19/31/93/348193193.db2.gz CGNSCLXKTPZBRM-UHFFFAOYSA-N 0 0 261.281 2.951 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1-c1nc([C@H]2CCC[NH2+]2)no1 ZINC000228560416 348195601 /nfs/dbraw/zinc/19/56/01/348195601.db2.gz ZMAZMJRYGDJIED-SECBINFHSA-N 0 0 294.698 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@@H]2C2CC2)c1 ZINC000230308986 348204993 /nfs/dbraw/zinc/20/49/93/348204993.db2.gz BKOPNWDSMVBJFE-BXUZGUMPSA-N 0 0 262.309 2.883 20 5 CFBDRN CCOc1cc(N[C@@H]2CCO[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230307370 348205113 /nfs/dbraw/zinc/20/51/13/348205113.db2.gz JXHYJAJMNJWUMP-IUODEOHRSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCC(F)(F)C(F)F)nc2c1 ZINC000230715675 348206907 /nfs/dbraw/zinc/20/69/07/348206907.db2.gz RKSJHFGVMTUZJQ-UHFFFAOYSA-N 0 0 292.192 2.783 20 5 CFBDRN Cc1c(NCc2cn(C(C)(C)C)nn2)cccc1[N+](=O)[O-] ZINC000235845640 348230387 /nfs/dbraw/zinc/23/03/87/348230387.db2.gz GOSVOQUSARTFEV-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN COc1cccc(N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000249291239 348281709 /nfs/dbraw/zinc/28/17/09/348281709.db2.gz KTDAAMJQLJVQOB-JGPRNRPPSA-N 0 0 280.324 2.971 20 5 CFBDRN O=C(CSc1ncccc1[N+](=O)[O-])C1CCCC1 ZINC000266051941 348323546 /nfs/dbraw/zinc/32/35/46/348323546.db2.gz YZFMOASSEGIQSC-UHFFFAOYSA-N 0 0 266.322 2.841 20 5 CFBDRN Cn1cncc1CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000291041817 348353725 /nfs/dbraw/zinc/35/37/25/348353725.db2.gz CPZSPVFWDFBEQI-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN COc1cc(N2CCC[C@H]2CF)ccc1[N+](=O)[O-] ZINC000292680844 348358839 /nfs/dbraw/zinc/35/88/39/348358839.db2.gz DQOQALVHWSLDLC-JTQLQIEISA-N 0 0 254.261 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCC[C@H]3CF)nc2c1 ZINC000293215955 348361781 /nfs/dbraw/zinc/36/17/81/348361781.db2.gz XSEQSIRAWAHGIB-JTQLQIEISA-N 0 0 279.271 2.670 20 5 CFBDRN CSC1(CNc2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000310525757 348409679 /nfs/dbraw/zinc/40/96/79/348409679.db2.gz WSJMPLKJZLPJOJ-UHFFFAOYSA-N 0 0 273.745 2.951 20 5 CFBDRN C[C@H]1C[C@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000311021940 348411855 /nfs/dbraw/zinc/41/18/55/348411855.db2.gz UWUGBGUSNRSPDE-JGVFFNPUSA-N 0 0 271.704 2.623 20 5 CFBDRN COc1cc(NCC[C@H](C)OC)c([N+](=O)[O-])cc1C ZINC000311778365 348414632 /nfs/dbraw/zinc/41/46/32/348414632.db2.gz YBMSNJNAIILVDY-JTQLQIEISA-N 0 0 268.313 2.749 20 5 CFBDRN Cc1cc(CNc2cc(C)c([N+](=O)[O-])cc2F)no1 ZINC000312060819 348416073 /nfs/dbraw/zinc/41/60/73/348416073.db2.gz HZYIUSMRUGELFH-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2ccccn2)C2CC2)c(F)c1 ZINC000413029068 233006588 /nfs/dbraw/zinc/00/65/88/233006588.db2.gz FINPFULUEDINCU-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN Cc1nc(CCNc2ncc([N+](=O)[O-])cc2F)cs1 ZINC000413038829 233010023 /nfs/dbraw/zinc/01/00/23/233010023.db2.gz HOVOEWCNSGLGTN-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN Cc1ncc(CN(C)c2ncc([N+](=O)[O-])cc2F)s1 ZINC000413074834 233013716 /nfs/dbraw/zinc/01/37/16/233013716.db2.gz SDGSICSBVKIGCG-UHFFFAOYSA-N 0 0 282.300 2.530 20 5 CFBDRN COc1cc(NCCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000308524939 348404473 /nfs/dbraw/zinc/40/44/73/348404473.db2.gz CTSCVOKWEHTZFR-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN CNc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)cn1 ZINC000328214031 348440623 /nfs/dbraw/zinc/44/06/23/348440623.db2.gz XIMZPGWHWCMZTM-UHFFFAOYSA-N 0 0 287.279 2.676 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1NC)C1CC1 ZINC000323670055 348438473 /nfs/dbraw/zinc/43/84/73/348438473.db2.gz BUUKBVBVWAKQAN-NSHDSACASA-N 0 0 277.324 2.555 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H](C(C)C)C1CC1 ZINC000324307920 348438701 /nfs/dbraw/zinc/43/87/01/348438701.db2.gz VRJNPQDVMZJQHP-LLVKDONJSA-N 0 0 266.345 2.966 20 5 CFBDRN CC[C@H](Nc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000324286768 348439166 /nfs/dbraw/zinc/43/91/66/348439166.db2.gz ILYSSSXAQVPWFJ-QMMMGPOBSA-N 0 0 266.326 2.905 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000314662076 348426881 /nfs/dbraw/zinc/42/68/81/348426881.db2.gz ATKDJGRJNRGKTR-SECBINFHSA-N 0 0 299.277 2.554 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2COC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000596820915 349992961 /nfs/dbraw/zinc/99/29/61/349992961.db2.gz OAUCAUNUGYOERZ-NSHDSACASA-N 0 0 293.323 2.592 20 5 CFBDRN CO[C@@]1(C)C[C@H]1NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000596836782 349995219 /nfs/dbraw/zinc/99/52/19/349995219.db2.gz NICLNQFOWWOAAW-OCCSQVGLSA-N 0 0 293.323 2.511 20 5 CFBDRN CO[C@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000596844953 349996681 /nfs/dbraw/zinc/99/66/81/349996681.db2.gz CMBJBOGFKVKHNC-HZMBPMFUSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1nn(Cc2nc(-c3ccccc3C)no2)cc1[N+](=O)[O-] ZINC000349854537 348467908 /nfs/dbraw/zinc/46/79/08/348467908.db2.gz AJQCPNDCSQDRFD-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN Cc1ccoc1CC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000596776599 349988697 /nfs/dbraw/zinc/98/86/97/349988697.db2.gz NAPNJIXLXRXRAT-UHFFFAOYSA-N 0 0 288.303 2.697 20 5 CFBDRN Cc1ccsc1[C@H](CO)Nc1ncc([N+](=O)[O-])cc1F ZINC000413173745 233028605 /nfs/dbraw/zinc/02/86/05/233028605.db2.gz HHROAZHHYUJOIK-JTQLQIEISA-N 0 0 297.311 2.644 20 5 CFBDRN COC(C)(C)CNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413173717 233028638 /nfs/dbraw/zinc/02/86/38/233028638.db2.gz SJKWZGGDHWAURC-UHFFFAOYSA-N 0 0 293.245 2.846 20 5 CFBDRN COc1cc(NC[C@@]2(C)CCCO2)c([N+](=O)[O-])cc1F ZINC000128917089 187399021 /nfs/dbraw/zinc/39/90/21/187399021.db2.gz HHUDUNVEURLCQH-CYBMUJFWSA-N 0 0 284.287 2.724 20 5 CFBDRN COC[C@@H](C)Cc1noc(-c2cc([N+](=O)[O-])ccc2C)n1 ZINC000351458440 348497172 /nfs/dbraw/zinc/49/71/72/348497172.db2.gz IFPIAWQLFNVNLY-VIFPVBQESA-N 0 0 291.307 2.778 20 5 CFBDRN C[C@@H](CNc1ncc(F)cc1[N+](=O)[O-])c1nccs1 ZINC000401150711 348577418 /nfs/dbraw/zinc/57/74/18/348577418.db2.gz FIMYGWAFAFKQLM-ZETCQYMHSA-N 0 0 282.300 2.801 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@@H]1CCCC1(F)F ZINC000401174655 348578007 /nfs/dbraw/zinc/57/80/07/348578007.db2.gz YZIUKHALMSTUPN-ZETCQYMHSA-N 0 0 275.230 2.976 20 5 CFBDRN CCC(C)(C)OCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000401227174 348578214 /nfs/dbraw/zinc/57/82/14/348578214.db2.gz YFWVVRRLPKBISP-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN O=C(NC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000403244889 348583892 /nfs/dbraw/zinc/58/38/92/348583892.db2.gz SEFUOHFMLAMKCD-OUAUKWLOSA-N 0 0 292.310 2.900 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403437726 348585168 /nfs/dbraw/zinc/58/51/68/348585168.db2.gz CFGKUDUPWRWOHP-IWSPIJDZSA-N 0 0 267.716 2.666 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403456543 348585202 /nfs/dbraw/zinc/58/52/02/348585202.db2.gz HDJDHZMDRXUIKT-UTUOFQBUSA-N 0 0 278.356 2.968 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CC[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000403585796 348585906 /nfs/dbraw/zinc/58/59/06/348585906.db2.gz BZLRTDLQJOZENN-ZMLRMANQSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1cc(CNCc2ccccc2[N+](=O)[O-])sn1 ZINC000404448264 348592190 /nfs/dbraw/zinc/59/21/90/348592190.db2.gz PFXRZVYTHWFHRN-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2noc3c2CCCC3)c(F)c1 ZINC000413297103 233048761 /nfs/dbraw/zinc/04/87/61/233048761.db2.gz IYGGMDXDJUYOSG-UHFFFAOYSA-N 0 0 292.270 2.608 20 5 CFBDRN CO[C@H](CNc1nccc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000413302787 233049892 /nfs/dbraw/zinc/04/98/92/233049892.db2.gz USHRNWBPDVOVSN-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN COCC(C)(C)CCCNc1ncc([N+](=O)[O-])cc1F ZINC000413306806 233050549 /nfs/dbraw/zinc/05/05/49/233050549.db2.gz ZJYLLIBRBAXJBB-UHFFFAOYSA-N 0 0 285.319 2.994 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2nc3ccccc3cc2[N+](=O)[O-])O1 ZINC000413372470 233061946 /nfs/dbraw/zinc/06/19/46/233061946.db2.gz GCJVPEKQTKRZCY-ZYHUDNBSSA-N 0 0 287.319 2.544 20 5 CFBDRN CCCc1csc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000271031094 190915238 /nfs/dbraw/zinc/91/52/38/190915238.db2.gz OZCKXIFTAIBAPW-UHFFFAOYSA-N 0 0 280.309 2.584 20 5 CFBDRN C[C@H](CCCO)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000088503721 179614774 /nfs/dbraw/zinc/61/47/74/179614774.db2.gz XQWWAZNNLJBHOS-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC[C@H]2C[C@H]21 ZINC000588066601 348769971 /nfs/dbraw/zinc/76/99/71/348769971.db2.gz SWJOJGZNSOKZJF-GXFFZTMASA-N 0 0 290.319 2.679 20 5 CFBDRN Cc1ccc(N[C@H]2CCc3cncn3C2)c([N+](=O)[O-])c1 ZINC000588067830 348770027 /nfs/dbraw/zinc/77/00/27/348770027.db2.gz TWOBLEWFMZWKNN-NSHDSACASA-N 0 0 272.308 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCc3cncn3C2)c1 ZINC000588067651 348770031 /nfs/dbraw/zinc/77/00/31/348770031.db2.gz GPMUGBLNFQOWIL-NSHDSACASA-N 0 0 272.308 2.527 20 5 CFBDRN C[C@@H]1CO[C@@H](C(F)(F)F)CN1c1ccccc1[N+](=O)[O-] ZINC000588068590 348770325 /nfs/dbraw/zinc/77/03/25/348770325.db2.gz WFLHWEATTCCQKY-LDYMZIIASA-N 0 0 290.241 2.751 20 5 CFBDRN O=C(N[C@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000588179916 348778365 /nfs/dbraw/zinc/77/83/65/348778365.db2.gz CRFWBKVEPVAFBN-JTQLQIEISA-N 0 0 288.225 2.652 20 5 CFBDRN Cc1ccnc(COc2c(Cl)cncc2[N+](=O)[O-])c1 ZINC000586256632 348746893 /nfs/dbraw/zinc/74/68/93/348746893.db2.gz PIGSCMUBYLSZOA-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]2C[C@H]21 ZINC000586786014 348752398 /nfs/dbraw/zinc/75/23/98/348752398.db2.gz YGNKUBUJULTTNV-JOYOIKCWSA-N 0 0 261.281 2.611 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000588862456 348799493 /nfs/dbraw/zinc/79/94/93/348799493.db2.gz BPJFZCYGOABVIV-LBPRGKRZSA-N 0 0 270.354 2.645 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@H]2C[C@H]21 ZINC000586893008 348759848 /nfs/dbraw/zinc/75/98/48/348759848.db2.gz YMGTURHDAFJVKG-ZUZCIYMTSA-N 0 0 299.330 2.630 20 5 CFBDRN Cc1ccc(C(=O)N2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000586891823 348759969 /nfs/dbraw/zinc/75/99/69/348759969.db2.gz RPCSIATWYZPXFZ-MFKMUULPSA-N 0 0 260.293 2.528 20 5 CFBDRN Cc1c(C(=O)N2CCC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000586898531 348759988 /nfs/dbraw/zinc/75/99/88/348759988.db2.gz NSIREKZUSHNCTE-MFKMUULPSA-N 0 0 260.293 2.528 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Sc1nnc2ccccn21 ZINC000586944802 348760470 /nfs/dbraw/zinc/76/04/70/348760470.db2.gz XKLPXIZXHLJILW-UHFFFAOYSA-N 0 0 290.279 2.928 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H]3C[C@@H]32)c1 ZINC000586898565 348760659 /nfs/dbraw/zinc/76/06/59/348760659.db2.gz OKKNSVNZTLLBER-RNCFNFMXSA-N 0 0 292.360 2.941 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000586898541 348760671 /nfs/dbraw/zinc/76/06/71/348760671.db2.gz NVFXEVHKXIOESJ-SMDDNHRTSA-N 0 0 288.347 2.883 20 5 CFBDRN CC1(C)CN(c2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000587980342 348763847 /nfs/dbraw/zinc/76/38/47/348763847.db2.gz QROSLEAYPFFMPQ-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN CC[C@H]1CCC[C@@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587996189 348764899 /nfs/dbraw/zinc/76/48/99/348764899.db2.gz ZJJWZWILVDOZCX-ZANVPECISA-N 0 0 279.340 2.660 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCc1ccc(CO)cc1 ZINC000588012475 348765988 /nfs/dbraw/zinc/76/59/88/348765988.db2.gz YNEOVQJUPFTWLS-UHFFFAOYSA-N 0 0 290.294 2.881 20 5 CFBDRN COC1CCC(Nc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000413410338 233068627 /nfs/dbraw/zinc/06/86/27/233068627.db2.gz CLPWIRRBTCGNIP-UHFFFAOYSA-N 0 0 265.313 2.668 20 5 CFBDRN COCC[C@H](C)CNc1ccc([N+](=O)[O-])cc1C ZINC000413487791 233081765 /nfs/dbraw/zinc/08/17/65/233081765.db2.gz DEJVCXQMTGFTMF-JTQLQIEISA-N 0 0 252.314 2.988 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC1(CCO)CCCC1 ZINC000589100845 348810714 /nfs/dbraw/zinc/81/07/14/348810714.db2.gz WFSCFTJZLOAQQV-UHFFFAOYSA-N 0 0 250.298 2.702 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCCSCC1 ZINC000589108825 348810996 /nfs/dbraw/zinc/81/09/96/348810996.db2.gz KMQLWLAFCKXRDD-UHFFFAOYSA-N 0 0 268.338 2.547 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CCc1nccs1 ZINC000589113529 348811733 /nfs/dbraw/zinc/81/17/33/348811733.db2.gz VWHGUIGQSZUROU-UHFFFAOYSA-N 0 0 293.348 2.739 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)[C@](C)(OC)C1CC1 ZINC000413515473 233087716 /nfs/dbraw/zinc/08/77/16/233087716.db2.gz KCTNPTYSYIQOQH-OTYXRUKQSA-N 0 0 296.371 2.735 20 5 CFBDRN COc1ccc(OCc2cccnc2C)cc1[N+](=O)[O-] ZINC000589266565 348823567 /nfs/dbraw/zinc/82/35/67/348823567.db2.gz CSLJJSKWBZWYJB-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN COC1([C@H](C)Nc2ccccc2[N+](=O)[O-])CCC1 ZINC000413566011 233096844 /nfs/dbraw/zinc/09/68/44/233096844.db2.gz WIQFSHADUVCYEW-JTQLQIEISA-N 0 0 250.298 2.964 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCCSC ZINC000589373604 348830235 /nfs/dbraw/zinc/83/02/35/348830235.db2.gz LQQNFMDTZWWUEV-UHFFFAOYSA-N 0 0 283.353 2.642 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC1CC=CC1 ZINC000589374610 348830344 /nfs/dbraw/zinc/83/03/44/348830344.db2.gz FKVJWGXLRXJGEF-UHFFFAOYSA-N 0 0 275.308 2.997 20 5 CFBDRN COCc1nc(CNc2ccsc2[N+](=O)[O-])cs1 ZINC000589604023 348841718 /nfs/dbraw/zinc/84/17/18/348841718.db2.gz HECIIVCPJNJLKF-UHFFFAOYSA-N 0 0 285.350 2.871 20 5 CFBDRN Cc1cc(N[C@@H](C)c2ccn(C)n2)ccc1[N+](=O)[O-] ZINC000413453635 233076198 /nfs/dbraw/zinc/07/61/98/233076198.db2.gz IAVWUWVFXPQWKZ-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN Cc1ccc(N[C@@H](C)c2ccn(C)n2)c([N+](=O)[O-])c1 ZINC000413464951 233077820 /nfs/dbraw/zinc/07/78/20/233077820.db2.gz UAEYCOPVNAABIP-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCc3cccnc32)cc1[N+](=O)[O-] ZINC000589829464 348858928 /nfs/dbraw/zinc/85/89/28/348858928.db2.gz JODMKWRDRZRBPK-ZDUSSCGKSA-N 0 0 297.314 2.967 20 5 CFBDRN Cc1cnc(N2CCC(C3CC3)CC2)c([N+](=O)[O-])c1 ZINC000589873690 348862631 /nfs/dbraw/zinc/86/26/31/348862631.db2.gz SCUZCWUJDWMPLA-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN COCC1(CCNc2cccnc2[N+](=O)[O-])CCC1 ZINC000590141618 348877459 /nfs/dbraw/zinc/87/74/59/348877459.db2.gz WGDHHPBTRZXPGZ-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CC(C)[C@]1(CO)CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000590146827 348878287 /nfs/dbraw/zinc/87/82/87/348878287.db2.gz VLPLGLJZCWJVHV-CYBMUJFWSA-N 0 0 284.381 2.891 20 5 CFBDRN CC(C)(CCNc1cc(N)c([N+](=O)[O-])c(CO)c1)C1CC1 ZINC000590147090 348878456 /nfs/dbraw/zinc/87/84/56/348878456.db2.gz XPUHSHBNTHYIOA-UHFFFAOYSA-N 0 0 293.367 2.908 20 5 CFBDRN CC(C)[C@@]1(CO)CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000590146833 348878664 /nfs/dbraw/zinc/87/86/64/348878664.db2.gz WXYNPFIMNJJDNF-ZDUSSCGKSA-N 0 0 284.381 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCO[C@H](C(C)C)C2)n1 ZINC000590152942 348878928 /nfs/dbraw/zinc/87/89/28/348878928.db2.gz ADQQRMAPPIGUAK-ZDUSSCGKSA-N 0 0 279.340 2.550 20 5 CFBDRN C[C@@]12CN(c3cccnc3[N+](=O)[O-])C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000590713306 348934005 /nfs/dbraw/zinc/93/40/05/348934005.db2.gz KKSBFXOMJIBLDJ-ZAZJYDDPSA-N 0 0 271.320 2.638 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)c2cnccn2)n1 ZINC000590712371 348934517 /nfs/dbraw/zinc/93/45/17/348934517.db2.gz XENBKEBQBFNLLT-SNVBAGLBSA-N 0 0 273.296 2.570 20 5 CFBDRN CCO[C@H]1CCN(c2sccc2[N+](=O)[O-])C[C@H]1C ZINC000590718186 348935122 /nfs/dbraw/zinc/93/51/22/348935122.db2.gz STKMHZUYQDEWNT-KOLCDFICSA-N 0 0 270.354 2.908 20 5 CFBDRN CC(C)[C@@H](CO)[C@@H](Nc1cccnc1[N+](=O)[O-])C(C)C ZINC000590718658 348935547 /nfs/dbraw/zinc/93/55/47/348935547.db2.gz VKCKPGIYNAYSDS-YPMHNXCESA-N 0 0 281.356 2.691 20 5 CFBDRN Cc1cccc(N2CC[S@](=O)C(C)(C)CC2)c1[N+](=O)[O-] ZINC000590724615 348936965 /nfs/dbraw/zinc/93/69/65/348936965.db2.gz GKLOMXPLJTVXGF-FQEVSTJZSA-N 0 0 296.392 2.641 20 5 CFBDRN CO[C@H]1C[C@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C12CCC2 ZINC000590726242 348938075 /nfs/dbraw/zinc/93/80/75/348938075.db2.gz NAKZAZDRLSLDQP-STQMWFEESA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@H]2C(F)(F)F)cc1[N+](=O)[O-] ZINC000590379554 348897997 /nfs/dbraw/zinc/89/79/97/348897997.db2.gz HZJASIIWACDBJI-QMMMGPOBSA-N 0 0 294.254 2.742 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@H]1C(F)(F)F ZINC000590379573 348898199 /nfs/dbraw/zinc/89/81/99/348898199.db2.gz IMMCDGBWHBEUIL-VIFPVBQESA-N 0 0 292.188 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cc1ccncc1 ZINC000590551380 348908519 /nfs/dbraw/zinc/90/85/19/348908519.db2.gz VLJPBGUEYHJTHK-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN C[C@H]1CSCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273481246 192168443 /nfs/dbraw/zinc/16/84/43/192168443.db2.gz QKKLWIOQJTUKHU-QMMMGPOBSA-N 0 0 299.327 2.703 20 5 CFBDRN O=[N+]([O-])c1ccc(N2Cc3c[nH]nc3C2)cc1C(F)(F)F ZINC000590568110 348909331 /nfs/dbraw/zinc/90/93/31/348909331.db2.gz QMBKXZDYHQTVIT-UHFFFAOYSA-N 0 0 298.224 2.857 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1csc([N+](=O)[O-])c1)CC2 ZINC000590610638 348915724 /nfs/dbraw/zinc/91/57/24/348915724.db2.gz LAOCMCJTPLXPJA-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN Cc1nc(N(C)[C@@H]2CCC[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000590685506 348927819 /nfs/dbraw/zinc/92/78/19/348927819.db2.gz GMQRWQOYRWAHEE-MWLCHTKSSA-N 0 0 264.329 2.708 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C)C2CCC2)c([N+](=O)[O-])cc1F ZINC000590685171 348928092 /nfs/dbraw/zinc/92/80/92/348928092.db2.gz BTFIGXFJZLGSIR-QMMMGPOBSA-N 0 0 296.298 2.661 20 5 CFBDRN Cc1cccc(CC2CN(c3ccc([N+](=O)[O-])nc3)C2)c1 ZINC000590693964 348929755 /nfs/dbraw/zinc/92/97/55/348929755.db2.gz MGAMTJMOZJMKNP-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC2(CC(F)C2)C1 ZINC000590969306 348974270 /nfs/dbraw/zinc/97/42/70/348974270.db2.gz PYMMXYAFPHOSAB-UHFFFAOYSA-N 0 0 294.326 2.927 20 5 CFBDRN Cc1ccc(CN2CCc3c2cccc3[N+](=O)[O-])nc1 ZINC000591021815 348979547 /nfs/dbraw/zinc/97/95/47/348979547.db2.gz QMHQZWQVNTZDDS-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1c1ccco1 ZINC000414033261 233152911 /nfs/dbraw/zinc/15/29/11/233152911.db2.gz KXSDQVQODIHEKN-GHMZBOCLSA-N 0 0 286.287 2.782 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@@H](C2CCC2)C1 ZINC000591127684 348990690 /nfs/dbraw/zinc/99/06/90/348990690.db2.gz UXYYTEHNZOQYRM-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC(F)(F)C2)c(F)c1 ZINC000591151116 348992976 /nfs/dbraw/zinc/99/29/76/348992976.db2.gz PWPGMBOVMFIUNH-ZCFIWIBFSA-N 0 0 261.203 2.729 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]3C[C@H]32)c(C(F)(F)F)c1 ZINC000591160380 348994596 /nfs/dbraw/zinc/99/45/96/348994596.db2.gz DTTOXVSWWWHTQE-OIBJUYFYSA-N 0 0 287.241 2.997 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2F)CCC1(F)F ZINC000591161059 348995398 /nfs/dbraw/zinc/99/53/98/348995398.db2.gz DGVRRPCMOBVVSM-ZETCQYMHSA-N 0 0 275.230 2.610 20 5 CFBDRN Cc1cc(N2CCC[C@@H]3COC[C@@H]32)ccc1[N+](=O)[O-] ZINC000591183225 348997720 /nfs/dbraw/zinc/99/77/20/348997720.db2.gz PYVJPMQDZNZOCA-RISCZKNCSA-N 0 0 262.309 2.518 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCO[C@@H](C2CCC2)C1 ZINC000591186077 348997883 /nfs/dbraw/zinc/99/78/83/348997883.db2.gz RNNBSZDAQCKOML-LLVKDONJSA-N 0 0 268.338 2.662 20 5 CFBDRN CC(C)(C(=O)NC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000273961834 192379311 /nfs/dbraw/zinc/37/93/11/192379311.db2.gz OXYOCLOUFBHQFW-UHFFFAOYSA-N 0 0 280.299 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC(C)(F)F ZINC000590820322 348954277 /nfs/dbraw/zinc/95/42/77/348954277.db2.gz DKOGMCLNGSXSHX-UHFFFAOYSA-N 0 0 287.266 2.988 20 5 CFBDRN Cc1nc(C)c(CNc2ccc([N+](=O)[O-])s2)o1 ZINC000591825071 349048589 /nfs/dbraw/zinc/04/85/89/349048589.db2.gz BFPYKUVAZFBGJH-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN Cn1ccnc1Sc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000591889109 349054632 /nfs/dbraw/zinc/05/46/32/349054632.db2.gz HWPGKAHPVNVHHW-UHFFFAOYSA-N 0 0 290.348 2.753 20 5 CFBDRN C[C@H]1[C@@H](C)N(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H]1C ZINC000591369165 349013226 /nfs/dbraw/zinc/01/32/26/349013226.db2.gz XKXXKYUEQAJWRU-OPRDCNLKSA-N 0 0 274.324 2.952 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1c(F)cccc1[N+](=O)[O-] ZINC000591980414 349069114 /nfs/dbraw/zinc/06/91/14/349069114.db2.gz CXSFQHHPQQQMTE-UWVGGRQHSA-N 0 0 270.304 2.943 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccsc2[N+](=O)[O-])[C@@H]1SC ZINC000591990232 349071115 /nfs/dbraw/zinc/07/11/15/349071115.db2.gz HXZYJWVQGGJDPZ-BBBLOLIVSA-N 0 0 288.394 2.977 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)[C@@H]1SC ZINC000591990999 349071133 /nfs/dbraw/zinc/07/11/33/349071133.db2.gz OJJKSQFRWXZXHC-XWIASGKRSA-N 0 0 297.380 2.619 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2OC(F)F)CO1 ZINC000591994874 349071962 /nfs/dbraw/zinc/07/19/62/349071962.db2.gz OUTOBNVXJKPUPG-SFYZADRCSA-N 0 0 288.250 2.786 20 5 CFBDRN C[C@@H]1SCC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000592123007 349086929 /nfs/dbraw/zinc/08/69/29/349086929.db2.gz OWYCZHJYIULVMA-GZMMTYOYSA-N 0 0 281.337 2.610 20 5 CFBDRN CCN(CCSC)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000592211957 349101696 /nfs/dbraw/zinc/10/16/96/349101696.db2.gz ZTMMVQIBRYGUPP-UHFFFAOYSA-N 0 0 283.353 2.812 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCC[C@H]1CCOC1 ZINC000592345229 349106291 /nfs/dbraw/zinc/10/62/91/349106291.db2.gz YRYOMXSPKOOCIL-JTQLQIEISA-N 0 0 269.272 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1ccc(CO)cc1)CCN2 ZINC000591964453 349066113 /nfs/dbraw/zinc/06/61/13/349066113.db2.gz CKWANGGBKRWYDN-UHFFFAOYSA-N 0 0 299.330 2.667 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Oc2cc3c(nn2)CCC3)c1 ZINC000591969066 349066842 /nfs/dbraw/zinc/06/68/42/349066842.db2.gz NRVNSVLHIHZVNB-UHFFFAOYSA-N 0 0 287.275 2.674 20 5 CFBDRN CCCC[C@](C)(CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000591974280 349067557 /nfs/dbraw/zinc/06/75/57/349067557.db2.gz NGADERPZWMUMNH-CYBMUJFWSA-N 0 0 267.329 2.651 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1ccccc1[N+](=O)[O-] ZINC000591975308 349067661 /nfs/dbraw/zinc/06/76/61/349067661.db2.gz SLKBNHTZEBJUOK-ZDUSSCGKSA-N 0 0 252.314 2.948 20 5 CFBDRN CCCC[C@](C)(CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000591973933 349067746 /nfs/dbraw/zinc/06/77/46/349067746.db2.gz KPWBTLIAUKUXMX-CYBMUJFWSA-N 0 0 267.329 2.651 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000591979983 349068357 /nfs/dbraw/zinc/06/83/57/349068357.db2.gz BKLNGYHIMOIKPB-MNOVXSKESA-N 0 0 293.367 2.772 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000592666761 349147940 /nfs/dbraw/zinc/14/79/40/349147940.db2.gz LXTGHNWQRGHELK-NEPJUHHUSA-N 0 0 280.299 2.914 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)CC(F)F ZINC000592671559 349148590 /nfs/dbraw/zinc/14/85/90/349148590.db2.gz HXHJGAJCJKABRF-SSDOTTSWSA-N 0 0 290.241 2.755 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H](C)CC(F)F)cc1[N+](=O)[O-] ZINC000592670801 349148703 /nfs/dbraw/zinc/14/87/03/349148703.db2.gz BKERRNVZIMOUET-LURJTMIESA-N 0 0 292.307 2.986 20 5 CFBDRN C[C@H](CNC(=O)Cc1ccccc1[N+](=O)[O-])CC(F)F ZINC000592672524 349149499 /nfs/dbraw/zinc/14/94/99/349149499.db2.gz MKABJSJXEBDFKN-VIFPVBQESA-N 0 0 286.278 2.545 20 5 CFBDRN C[C@@H](C(=O)NC1(CF)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000592901345 349176199 /nfs/dbraw/zinc/17/61/99/349176199.db2.gz BWJSNBBHMPIPEY-SNVBAGLBSA-N 0 0 280.299 2.707 20 5 CFBDRN CC(C)CCN(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593028423 349190767 /nfs/dbraw/zinc/19/07/67/349190767.db2.gz FYPFDAILNZBJQS-UHFFFAOYSA-N 0 0 282.315 2.781 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC1CCC(F)CC1 ZINC000592722275 349157881 /nfs/dbraw/zinc/15/78/81/349157881.db2.gz PMELBHFLRTXRPX-UHFFFAOYSA-N 0 0 294.326 2.782 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CCS[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000592733418 349158597 /nfs/dbraw/zinc/15/85/97/349158597.db2.gz ALWPKOUGVCBYAM-OPQQBVKSSA-N 0 0 294.376 2.709 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCS[C@H]2C)cccc1[N+](=O)[O-] ZINC000592735693 349159823 /nfs/dbraw/zinc/15/98/23/349159823.db2.gz PNQOMRDSFVEAAY-ONGXEEELSA-N 0 0 280.349 2.527 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000592737364 349160231 /nfs/dbraw/zinc/16/02/31/349160231.db2.gz VFHRVBPBUZEDDW-ZMLRMANQSA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCC[C@@H]1C1CCC1 ZINC000592737089 349160279 /nfs/dbraw/zinc/16/02/79/349160279.db2.gz TWQFAAHFTHWMSX-LLVKDONJSA-N 0 0 278.308 2.983 20 5 CFBDRN CCN(CCSC)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000592740523 349162157 /nfs/dbraw/zinc/16/21/57/349162157.db2.gz CKOCXMZVWCJAQJ-UHFFFAOYSA-N 0 0 288.394 2.790 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CC(C)C ZINC000592827524 349168745 /nfs/dbraw/zinc/16/87/45/349168745.db2.gz NGQXKASZLZKDIU-UHFFFAOYSA-N 0 0 251.286 2.621 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273996457 192394982 /nfs/dbraw/zinc/39/49/82/192394982.db2.gz YKRSCTJDUCAPEW-ZJUUUORDSA-N 0 0 294.326 2.784 20 5 CFBDRN CCN(CCC1CC1)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593130352 349217700 /nfs/dbraw/zinc/21/77/00/349217700.db2.gz OINZWROCWHWELD-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC[C@@]2(CC2(F)F)C1 ZINC000593131108 349217844 /nfs/dbraw/zinc/21/78/44/349217844.db2.gz FTUNCNDFJYMABU-GFCCVEGCSA-N 0 0 297.261 2.858 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593169223 349222785 /nfs/dbraw/zinc/22/27/85/349222785.db2.gz OUTNJXQKQYFBOU-AAEUAGOBSA-N 0 0 294.326 2.685 20 5 CFBDRN COC[C@H](C)SCc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000593189111 349226468 /nfs/dbraw/zinc/22/64/68/349226468.db2.gz IJTZWZCZOOJNGE-VIFPVBQESA-N 0 0 299.348 2.650 20 5 CFBDRN CS[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000593230077 349231837 /nfs/dbraw/zinc/23/18/37/349231837.db2.gz PNIYYXJNCGNFDP-PSASIEDQSA-N 0 0 284.337 2.592 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2cc3n(n2)CCCC3)c1 ZINC000593235316 349232657 /nfs/dbraw/zinc/23/26/57/349232657.db2.gz RTYYTYJKGLYXRI-UHFFFAOYSA-N 0 0 273.292 2.707 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCc1cc2n(n1)CCC2 ZINC000593236362 349233383 /nfs/dbraw/zinc/23/33/83/349233383.db2.gz FKMIEJBJOIKUGH-UHFFFAOYSA-N 0 0 293.710 2.970 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000593256019 349236331 /nfs/dbraw/zinc/23/63/31/349236331.db2.gz BMTDPMYXTBZPLL-MNOVXSKESA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000593258891 349237143 /nfs/dbraw/zinc/23/71/43/349237143.db2.gz TVSBLUNXGDKSEG-NSHDSACASA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)[C@@H](CC)CO1 ZINC000593057259 349197575 /nfs/dbraw/zinc/19/75/75/349197575.db2.gz DURSYOOLSLXPHN-ZFWWWQNUSA-N 0 0 278.352 2.984 20 5 CFBDRN CCN(Cc1c(F)cccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000593066374 349200516 /nfs/dbraw/zinc/20/05/16/349200516.db2.gz BXNUKLJFPSDDJP-LLVKDONJSA-N 0 0 282.315 2.735 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593070144 349201430 /nfs/dbraw/zinc/20/14/30/349201430.db2.gz UPVPYDPTGZLOCK-JTQLQIEISA-N 0 0 282.315 2.685 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCC[C@H]2C1 ZINC000593089046 349205473 /nfs/dbraw/zinc/20/54/73/349205473.db2.gz ONVHDRJAPDDMNK-RYUDHWBXSA-N 0 0 292.310 2.535 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(CO)CCC2)cc1 ZINC000593512816 349287997 /nfs/dbraw/zinc/28/79/97/349287997.db2.gz UMXPRYLPKKPKGV-UHFFFAOYSA-N 0 0 250.298 2.559 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC1CC2(CCC2)C1 ZINC000593517133 349288650 /nfs/dbraw/zinc/28/86/50/349288650.db2.gz VODOGZXHJFDYSM-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC1CC2(CCC2)C1 ZINC000593516385 349288805 /nfs/dbraw/zinc/28/88/05/349288805.db2.gz NLLWLKFWFZYIBV-UHFFFAOYSA-N 0 0 264.329 2.864 20 5 CFBDRN Cn1c(=O)ccc2c1CCC[C@H]2Nc1ccc([N+](=O)[O-])cc1 ZINC000593519623 349289330 /nfs/dbraw/zinc/28/93/30/349289330.db2.gz IVLMRBUHILJWTK-CQSZACIVSA-N 0 0 299.330 2.783 20 5 CFBDRN Cc1cnc(N2CC[C@]3(CC3(F)F)C2)c([N+](=O)[O-])c1 ZINC000593521182 349289661 /nfs/dbraw/zinc/28/96/61/349289661.db2.gz LZOQGFKPEHXTMH-NSHDSACASA-N 0 0 269.251 2.534 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)[C@@H](C)CO1 ZINC000593283806 349241516 /nfs/dbraw/zinc/24/15/16/349241516.db2.gz QJKYIXSHZANHFC-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593285161 349241670 /nfs/dbraw/zinc/24/16/70/349241670.db2.gz PEGBVOSNGODDKG-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN COc1cccc(C(=O)NC2(C3CCC3)CC2)c1[N+](=O)[O-] ZINC000593308078 349244788 /nfs/dbraw/zinc/24/47/88/349244788.db2.gz YMJJCKPGXLYONB-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@]3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000593355715 349251343 /nfs/dbraw/zinc/25/13/43/349251343.db2.gz HXGJAXMIOJJFEL-ZDUSSCGKSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1c(OCc2cc3n(n2)CCC3)cccc1[N+](=O)[O-] ZINC000593423660 349266478 /nfs/dbraw/zinc/26/64/78/349266478.db2.gz HROGPNNDDZRDDQ-UHFFFAOYSA-N 0 0 273.292 2.625 20 5 CFBDRN CCc1ccc(OCc2cc3n(n2)CCC3)c([N+](=O)[O-])c1 ZINC000593421840 349266548 /nfs/dbraw/zinc/26/65/48/349266548.db2.gz BKAUHHJCKBWSPJ-UHFFFAOYSA-N 0 0 287.319 2.879 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSCc1cnn(C)c1 ZINC000593437826 349270479 /nfs/dbraw/zinc/27/04/79/349270479.db2.gz VSZZXBWOJRDNEG-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593467696 349276635 /nfs/dbraw/zinc/27/66/35/349276635.db2.gz AKZXQYLPXVPYNF-UONOGXRCSA-N 0 0 287.319 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCC1(CO)CCC1 ZINC000593466303 349276749 /nfs/dbraw/zinc/27/67/49/349276749.db2.gz DTHUFDNYUGMTAR-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@@H]1CCC[C@H]1O ZINC000593466586 349276755 /nfs/dbraw/zinc/27/67/55/349276755.db2.gz YWSOEHZLYKHSBE-ZUZCIYMTSA-N 0 0 287.319 2.716 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593468283 349276865 /nfs/dbraw/zinc/27/68/65/349276865.db2.gz QWOHIYOGYOJGGZ-OTDNITJGSA-N 0 0 287.319 2.882 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593473472 349277418 /nfs/dbraw/zinc/27/74/18/349277418.db2.gz RBXMCJNDXUSZDR-ZJUUUORDSA-N 0 0 275.308 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H]1CC[C@@H](CO)C1 ZINC000593475819 349277787 /nfs/dbraw/zinc/27/77/87/349277787.db2.gz MKKHNBUCGIAJJZ-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCCOCC(F)F ZINC000593474522 349278169 /nfs/dbraw/zinc/27/81/69/349278169.db2.gz GHYBMGYAHUDWHC-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccnc3cc([N+](=O)[O-])ccc32)CO1 ZINC000593479056 349278916 /nfs/dbraw/zinc/27/89/16/349278916.db2.gz VGPFWDIATUYFHI-UWVGGRQHSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000593488256 349282512 /nfs/dbraw/zinc/28/25/12/349282512.db2.gz HGCTYAOFBCOJOH-QWHCGFSZSA-N 0 0 270.292 2.661 20 5 CFBDRN CC[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593490678 349283225 /nfs/dbraw/zinc/28/32/25/349283225.db2.gz DMROJIIAEZXNSU-MWLCHTKSSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@@H]1CN(c2ccccc2[N+](=O)[O-])[C@H](CC)CO1 ZINC000593495483 349284266 /nfs/dbraw/zinc/28/42/66/349284266.db2.gz ZPEOQLIYFIHMAP-VXGBXAGGSA-N 0 0 264.325 2.989 20 5 CFBDRN CCOc1cc(N(CC)[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000593495331 349284290 /nfs/dbraw/zinc/28/42/90/349284290.db2.gz DAUNIQNXFQIPEM-ZDUSSCGKSA-N 0 0 294.351 2.999 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1c1ccc([N+](=O)[O-])c(C)n1 ZINC000593493690 349284313 /nfs/dbraw/zinc/28/43/13/349284313.db2.gz IXGYHBFZWPLROT-NEPJUHHUSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000593494204 349284600 /nfs/dbraw/zinc/28/46/00/349284600.db2.gz LUKANOFLKIVVON-LLVKDONJSA-N 0 0 296.371 2.513 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593499523 349286001 /nfs/dbraw/zinc/28/60/01/349286001.db2.gz VCLGPQZUJIIFGD-ZWNOBZJWSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1cccc(N2CCC([C@@H](C)CO)CC2)c1[N+](=O)[O-] ZINC000593506130 349286352 /nfs/dbraw/zinc/28/63/52/349286352.db2.gz OQDJSNQONXDRJI-LBPRGKRZSA-N 0 0 278.352 2.748 20 5 CFBDRN C[C@H](COCC1CC1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000593507581 349286633 /nfs/dbraw/zinc/28/66/33/349286633.db2.gz IDFUERQTMYRHIA-MRVPVSSYSA-N 0 0 296.352 2.531 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC1CC2(CCC2)C1 ZINC000593881281 349332112 /nfs/dbraw/zinc/33/21/12/349332112.db2.gz FENYCXQQLOTQDJ-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN COc1cc(C(=O)NC2CC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000593886803 349332609 /nfs/dbraw/zinc/33/26/09/349332609.db2.gz VZZDLJNZQDBVPA-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC1CC2(CCC2)C1 ZINC000593884363 349332774 /nfs/dbraw/zinc/33/27/74/349332774.db2.gz IDRKOIRSYWMMIP-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN CC1CC[NH+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])CC1 ZINC000593733569 349316240 /nfs/dbraw/zinc/31/62/40/349316240.db2.gz YBXUZNJWZCOVCV-UHFFFAOYSA-N 0 0 278.308 2.525 20 5 CFBDRN C[C@@H]([N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])C1(C)CC1 ZINC000593743905 349318677 /nfs/dbraw/zinc/31/86/77/349318677.db2.gz NKNIDTOEPXACJZ-SNVBAGLBSA-N 0 0 292.335 2.913 20 5 CFBDRN C[C@H]1CCCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593745004 349318732 /nfs/dbraw/zinc/31/87/32/349318732.db2.gz XUPUGKAFFXKEBU-NSHDSACASA-N 0 0 292.335 2.915 20 5 CFBDRN Cc1noc(CN(C)Cc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000594051913 349364494 /nfs/dbraw/zinc/36/44/94/349364494.db2.gz BQAGHRUOCXIFLI-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN Cc1cc(C(=O)N2CCCc3cccnc32)cc([N+](=O)[O-])c1 ZINC000594070578 349368347 /nfs/dbraw/zinc/36/83/47/349368347.db2.gz KGMIKQBMGVYTBU-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1cc(C(=O)NC2(C)CC=CC2)ccc1[N+](=O)[O-] ZINC000594071686 349368640 /nfs/dbraw/zinc/36/86/40/349368640.db2.gz IGASZGNYXGRYOX-UHFFFAOYSA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)Cc2ccccn2)cc([N+](=O)[O-])c1 ZINC000594145462 349386331 /nfs/dbraw/zinc/38/63/31/349386331.db2.gz WBEPDSBMVDVBPL-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1cc(=O)n(CCC(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000594448247 349424635 /nfs/dbraw/zinc/42/46/35/349424635.db2.gz COZOGVYRWGFAJJ-UHFFFAOYSA-N 0 0 264.325 2.891 20 5 CFBDRN CCc1nocc1Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000594484819 349428548 /nfs/dbraw/zinc/42/85/48/349428548.db2.gz VQOLFVXBPVWSRJ-UHFFFAOYSA-N 0 0 286.291 2.852 20 5 CFBDRN Cc1noc(C)c1CN[C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000594502029 349432906 /nfs/dbraw/zinc/43/29/06/349432906.db2.gz BNWCZHONEVKEGF-AWEZNQCLSA-N 0 0 287.319 2.977 20 5 CFBDRN O=[N+]([O-])c1ccc(C[NH2+][C@@H]2CO[C@@H](C3CC3)C2)c(Cl)c1 ZINC000597087406 350028352 /nfs/dbraw/zinc/02/83/52/350028352.db2.gz ARQKBKADORFGKD-SMDDNHRTSA-N 0 0 296.754 2.905 20 5 CFBDRN CCC1CN(C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2N)C1 ZINC000597090759 350028898 /nfs/dbraw/zinc/02/88/98/350028898.db2.gz QNYYMARWLLRRTG-UHFFFAOYSA-N 0 0 298.730 2.704 20 5 CFBDRN Nc1c(NC(=O)N[C@@H]2CC23CC3)cc(Cl)cc1[N+](=O)[O-] ZINC000597091190 350028912 /nfs/dbraw/zinc/02/89/12/350028912.db2.gz XEBAWYVGFSAUAI-SECBINFHSA-N 0 0 296.714 2.504 20 5 CFBDRN COCC1(C2CCC2)CN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000597191980 350049351 /nfs/dbraw/zinc/04/93/51/350049351.db2.gz NOHNESHRRXYNKU-UHFFFAOYSA-N 0 0 296.392 2.905 20 5 CFBDRN C[C@@H]1C[N@@H+](C/C=C/c2ccccc2[N+](=O)[O-])C[C@H](C)C1O ZINC000597206752 350055158 /nfs/dbraw/zinc/05/51/58/350055158.db2.gz VKJBQAHFRPVTJR-FQCSNUMXSA-N 0 0 290.363 2.557 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@H](C)c1csnn1 ZINC000414533163 233285060 /nfs/dbraw/zinc/28/50/60/233285060.db2.gz BDVQSBPOYHRQGB-SECBINFHSA-N 0 0 278.337 2.606 20 5 CFBDRN O=C(N[C@@H]1CCCC12CCCCC2)c1c[nH]nc1[N+](=O)[O-] ZINC000597873272 350147355 /nfs/dbraw/zinc/14/73/55/350147355.db2.gz GRCHHMFCBXOWFW-LLVKDONJSA-N 0 0 292.339 2.551 20 5 CFBDRN Cc1ccc(C(=O)N[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000597886793 350148450 /nfs/dbraw/zinc/14/84/50/350148450.db2.gz TUDSXIMKRMMWDU-BXUZGUMPSA-N 0 0 260.293 2.576 20 5 CFBDRN COCC1(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCCC1 ZINC000597886798 350148570 /nfs/dbraw/zinc/14/85/70/350148570.db2.gz TUUIYNHNVKKERZ-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000104610585 186010193 /nfs/dbraw/zinc/01/01/93/186010193.db2.gz KVOXBDPROQEJCF-MFKMUULPSA-N 0 0 294.376 2.992 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCC2)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000597891461 350149871 /nfs/dbraw/zinc/14/98/71/350149871.db2.gz WYUUYTCZWNMFFQ-ZANVPECISA-N 0 0 280.711 2.921 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCC1CSC1 ZINC000597794596 350135921 /nfs/dbraw/zinc/13/59/21/350135921.db2.gz PGNUBAMYHMMDCO-UHFFFAOYSA-N 0 0 294.376 2.695 20 5 CFBDRN Cn1nccc1CSCc1ccc([N+](=O)[O-])cc1 ZINC000091038401 180091058 /nfs/dbraw/zinc/09/10/58/180091058.db2.gz GWNCKJIZTVLWJD-UHFFFAOYSA-N 0 0 263.322 2.762 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000597853536 350142219 /nfs/dbraw/zinc/14/22/19/350142219.db2.gz BKBOULZQSBMMSW-SECBINFHSA-N 0 0 265.313 2.559 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000597952647 350161929 /nfs/dbraw/zinc/16/19/29/350161929.db2.gz VGZFOWYWOCCFIH-NSHDSACASA-N 0 0 294.351 2.915 20 5 CFBDRN C[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271274717 191042445 /nfs/dbraw/zinc/04/24/45/191042445.db2.gz BGDNJDXCPXXMAT-QWRGUYRKSA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271274718 191043568 /nfs/dbraw/zinc/04/35/68/191043568.db2.gz BGDNJDXCPXXMAT-WDEREUQCSA-N 0 0 250.298 2.822 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@]12C[C@@H]1CCC2 ZINC000597891822 350150446 /nfs/dbraw/zinc/15/04/46/350150446.db2.gz YRXWVHFEGLYAMT-HZMBPMFUSA-N 0 0 294.738 2.850 20 5 CFBDRN Cc1nc(NC[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000271284903 191049716 /nfs/dbraw/zinc/04/97/16/191049716.db2.gz CZTKWPVVCZATBF-ONGXEEELSA-N 0 0 265.313 2.525 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000597975303 350168482 /nfs/dbraw/zinc/16/84/82/350168482.db2.gz INLHRFOACHNZDG-SNVBAGLBSA-N 0 0 280.324 2.769 20 5 CFBDRN COc1cc(N[C@@H](C)[C@@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000091427423 180151967 /nfs/dbraw/zinc/15/19/67/180151967.db2.gz RBJODONIBPMFKX-UFBFGSQYSA-N 0 0 284.287 2.722 20 5 CFBDRN CCc1nn(C)c(NCc2ccccc2C)c1[N+](=O)[O-] ZINC000091560432 180191460 /nfs/dbraw/zinc/19/14/60/180191460.db2.gz DYUXVZIASVTNML-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN Cc1c(C(=O)NC[C@H]2CCC[C@@H](C)O2)cccc1[N+](=O)[O-] ZINC000598213293 350215885 /nfs/dbraw/zinc/21/58/85/350215885.db2.gz NQVOFTYQUMTDHT-ZYHUDNBSSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCCC1CCC1 ZINC000598214387 350216026 /nfs/dbraw/zinc/21/60/26/350216026.db2.gz DDROHTQTNWNXGU-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@]2(C)CC2(F)F)c1[N+](=O)[O-] ZINC000598219608 350218092 /nfs/dbraw/zinc/21/80/92/350218092.db2.gz SGMMGGDXDIUDFN-GFCCVEGCSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@]1(C)CC1(F)F ZINC000598219642 350218628 /nfs/dbraw/zinc/21/86/28/350218628.db2.gz STOOBXMUTWNRPR-GFCCVEGCSA-N 0 0 284.262 2.678 20 5 CFBDRN CCc1nn(C)c(NCCc2ccccc2)c1[N+](=O)[O-] ZINC000091561639 180192307 /nfs/dbraw/zinc/19/23/07/180192307.db2.gz KPLOLUQWFDLXIL-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN CC[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000598235583 350222338 /nfs/dbraw/zinc/22/23/38/350222338.db2.gz ZRAINBDSTZTOTL-SECBINFHSA-N 0 0 254.311 2.575 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000414735257 233339790 /nfs/dbraw/zinc/33/97/90/233339790.db2.gz XCFNGCJJFQUVSJ-ZDUSSCGKSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCC(C)(C)F ZINC000598197863 350211989 /nfs/dbraw/zinc/21/19/89/350211989.db2.gz CCZAARHSJQVTMX-UHFFFAOYSA-N 0 0 268.288 2.771 20 5 CFBDRN CC(C)(F)CCNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000598199342 350212695 /nfs/dbraw/zinc/21/26/95/350212695.db2.gz RGSXOBGWJHRYQP-UHFFFAOYSA-N 0 0 293.298 2.944 20 5 CFBDRN CC(C)(F)CCNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000598198281 350212755 /nfs/dbraw/zinc/21/27/55/350212755.db2.gz JJKIYHCOTKWAHQ-UHFFFAOYSA-N 0 0 293.298 2.944 20 5 CFBDRN CC(C)(F)CCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000598199240 350212783 /nfs/dbraw/zinc/21/27/83/350212783.db2.gz PBQBKVRWUOBNEB-UHFFFAOYSA-N 0 0 260.290 2.524 20 5 CFBDRN C[C@@H](C(=O)NCCc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000598205592 350213383 /nfs/dbraw/zinc/21/33/83/350213383.db2.gz YMWASLQCYFIABQ-LLVKDONJSA-N 0 0 288.303 2.650 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000598208263 350213755 /nfs/dbraw/zinc/21/37/55/350213755.db2.gz LCNQSIIQHDMWPR-KCQAQPDRSA-N 0 0 288.347 2.690 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H](C)c1ccccn1 ZINC000091549554 180188921 /nfs/dbraw/zinc/18/89/21/180188921.db2.gz UJBWXULKGKSTQH-VIFPVBQESA-N 0 0 275.312 2.688 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000598300105 350229174 /nfs/dbraw/zinc/22/91/74/350229174.db2.gz ZRVGKOJYNWZHRH-NXEZZACHSA-N 0 0 266.297 2.839 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000598304869 350230700 /nfs/dbraw/zinc/23/07/00/350230700.db2.gz PEDXNGSZFJWYLJ-VXGBXAGGSA-N 0 0 286.287 2.864 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000414771446 233346721 /nfs/dbraw/zinc/34/67/21/233346721.db2.gz ZTLDJUCTHLBXHD-CQSZACIVSA-N 0 0 292.335 2.545 20 5 CFBDRN CCSC1(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000598339423 350239988 /nfs/dbraw/zinc/23/99/88/350239988.db2.gz XVGLEJKQHJHBIC-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1C(C)(C)C1(F)F ZINC000598341336 350241060 /nfs/dbraw/zinc/24/10/60/350241060.db2.gz TXUDFWFJSRCYIV-LLVKDONJSA-N 0 0 298.289 2.924 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCO[C@@H](C)C2)c1 ZINC000271346669 191087009 /nfs/dbraw/zinc/08/70/09/191087009.db2.gz NHCBSYLCKOXZSQ-WDEREUQCSA-N 0 0 280.324 2.830 20 5 CFBDRN O=C(NCC1=CCCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000598377052 350252105 /nfs/dbraw/zinc/25/21/05/350252105.db2.gz RVEAGALVBINOQB-UHFFFAOYSA-N 0 0 285.303 2.916 20 5 CFBDRN O=C(NCC1=CCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000598377101 350252323 /nfs/dbraw/zinc/25/23/23/350252323.db2.gz SNPNKFLDMUHYDM-UHFFFAOYSA-N 0 0 264.256 2.574 20 5 CFBDRN COc1ccc(C(=O)N[C@@H](C(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000598379847 350252967 /nfs/dbraw/zinc/25/29/67/350252967.db2.gz AZEBYLCEQPBKMZ-AWEZNQCLSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1cc(C(=O)N[C@H](C(C)C)C2CC2)ccc1[N+](=O)[O-] ZINC000598381341 350253490 /nfs/dbraw/zinc/25/34/90/350253490.db2.gz KLJFJJOQXINIIM-CQSZACIVSA-N 0 0 292.335 2.768 20 5 CFBDRN Cc1c(CC(=O)N[C@@]2(C)CC2(C)C)cccc1[N+](=O)[O-] ZINC000598388530 350255032 /nfs/dbraw/zinc/25/50/32/350255032.db2.gz ZRJPFCGCSSRTRX-HNNXBMFYSA-N 0 0 276.336 2.751 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000598387934 350255166 /nfs/dbraw/zinc/25/51/66/350255166.db2.gz VHINDZOPOMWJMH-HNNXBMFYSA-N 0 0 287.319 2.995 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000934910135 649850214 /nfs/dbraw/zinc/85/02/14/649850214.db2.gz HXOBOPNOAPRYAA-BPNCWPANSA-N 0 0 292.335 2.887 20 5 CFBDRN CC1(C)CC(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000598504629 350277700 /nfs/dbraw/zinc/27/77/00/350277700.db2.gz KMHSTFOHXHNVGQ-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1CC(C)(C)C1 ZINC000598505634 350278707 /nfs/dbraw/zinc/27/87/07/350278707.db2.gz WFUDDTUAXPWDMQ-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN COC(=O)c1ccnc(NCC2=CCCCC2)c1[N+](=O)[O-] ZINC000598628189 350296535 /nfs/dbraw/zinc/29/65/35/350296535.db2.gz LDVXJLGBDGWTPA-UHFFFAOYSA-N 0 0 291.307 2.689 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H](C(C)C)C2CC2)c1[N+](=O)[O-] ZINC000598632864 350297425 /nfs/dbraw/zinc/29/74/25/350297425.db2.gz CAWPZLBCWNCFDJ-NSHDSACASA-N 0 0 293.323 2.623 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2nccnc2C)cc1[N+](=O)[O-] ZINC000598634565 350298066 /nfs/dbraw/zinc/29/80/66/350298066.db2.gz NUDCTOYLWJNULX-LLVKDONJSA-N 0 0 286.335 2.852 20 5 CFBDRN O=[N+]([O-])c1c(N2CC(CC3CC3)C2)nc2sccn21 ZINC000598643124 350299705 /nfs/dbraw/zinc/29/97/05/350299705.db2.gz JKHPYBLWCUHNCY-UHFFFAOYSA-N 0 0 278.337 2.540 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(CC3CC3)C2)c(Cl)c1 ZINC000598643490 350300276 /nfs/dbraw/zinc/30/02/76/350300276.db2.gz LCQQTLJZYYDWFL-UHFFFAOYSA-N 0 0 267.716 2.880 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2Cl)C[C@H]1CO ZINC000598645257 350300838 /nfs/dbraw/zinc/30/08/38/350300838.db2.gz BWONHQXHDYFWDO-PWSUYJOCSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@H]1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@@H]1CO ZINC000598648325 350301570 /nfs/dbraw/zinc/30/15/70/350301570.db2.gz GEEBVHIFKVWFBG-CMPLNLGQSA-N 0 0 298.770 2.699 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC(CC2CC2)C1 ZINC000598654039 350303914 /nfs/dbraw/zinc/30/39/14/350303914.db2.gz VZEOSKPURUULAX-UHFFFAOYSA-N 0 0 275.308 2.859 20 5 CFBDRN C[C@H]1CN(c2ccc(N)cc2[N+](=O)[O-])CCC1(F)F ZINC000291224825 290894530 /nfs/dbraw/zinc/89/45/30/290894530.db2.gz WHASSEAIBNMZDP-QMMMGPOBSA-N 0 0 271.267 2.659 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000271362381 191096362 /nfs/dbraw/zinc/09/63/62/191096362.db2.gz FRQXRLYDCOJQCY-RYUDHWBXSA-N 0 0 292.335 2.763 20 5 CFBDRN CC[C@H](O)CCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599056086 350367562 /nfs/dbraw/zinc/36/75/62/350367562.db2.gz JJIZPOGLNIWSEO-NSHDSACASA-N 0 0 275.308 2.716 20 5 CFBDRN CCNc1ccc(C(=O)NCC2=CCCC2)cc1[N+](=O)[O-] ZINC000598883165 350344334 /nfs/dbraw/zinc/34/43/34/350344334.db2.gz SOZPIFOIYXEPNG-UHFFFAOYSA-N 0 0 289.335 2.867 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C ZINC000598953835 350348304 /nfs/dbraw/zinc/34/83/04/350348304.db2.gz FMDQQPJUNNERFK-MIJXAVMKSA-N 0 0 292.335 2.901 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000598958463 350349078 /nfs/dbraw/zinc/34/90/78/350349078.db2.gz PUBMVEBWFKGPNR-GJTWSCIVSA-N 0 0 292.335 2.901 20 5 CFBDRN CCC[C@H](OCC)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000598998944 350357320 /nfs/dbraw/zinc/35/73/20/350357320.db2.gz ZJACTPWCEUJUQE-AWEZNQCLSA-N 0 0 292.335 2.689 20 5 CFBDRN CC1(C)CN(c2ccc3cnccc3c2[N+](=O)[O-])CCO1 ZINC000599020749 350359718 /nfs/dbraw/zinc/35/97/18/350359718.db2.gz BSYVNSUPIJOKPL-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CNc1ccc(C(=O)N2CC(CC3CC3)C2)cc1[N+](=O)[O-] ZINC000598778573 350328452 /nfs/dbraw/zinc/32/84/52/350328452.db2.gz LEAXWKDFTOPJKY-UHFFFAOYSA-N 0 0 289.335 2.509 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000271361581 191097550 /nfs/dbraw/zinc/09/75/50/191097550.db2.gz OTCPNAQQSZPOMC-WDEREUQCSA-N 0 0 265.313 2.525 20 5 CFBDRN CCc1ccc(C(=O)NCC[C@@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000598783688 350329679 /nfs/dbraw/zinc/32/96/79/350329679.db2.gz QVLIXUQFTHTSIQ-LLVKDONJSA-N 0 0 298.289 2.932 20 5 CFBDRN C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCO1 ZINC000598802906 350330424 /nfs/dbraw/zinc/33/04/24/350330424.db2.gz JJZAYCPPVCWFPN-GWCFXTLKSA-N 0 0 278.308 2.739 20 5 CFBDRN O=C([C@@H]1CCC[C@H]2C[C@H]21)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000598802385 350330526 /nfs/dbraw/zinc/33/05/26/350330526.db2.gz CPCUKUIALJRFCI-ZLKJLUDKSA-N 0 0 286.331 2.920 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CCO[C@H](C2CC2)C1 ZINC000599069197 350369601 /nfs/dbraw/zinc/36/96/01/350369601.db2.gz CZEJOYJZRYRJDR-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2Nc1cnn(CCF)c1 ZINC000599069807 350370286 /nfs/dbraw/zinc/37/02/86/350370286.db2.gz IUGATDUWXCNYAN-ZDUSSCGKSA-N 0 0 290.298 2.860 20 5 CFBDRN C[C@H](Nc1cnn(CCF)c1)c1ccccc1[N+](=O)[O-] ZINC000599070705 350370305 /nfs/dbraw/zinc/37/03/05/350370305.db2.gz OAUXHWOEZHFGQQ-JTQLQIEISA-N 0 0 278.287 2.934 20 5 CFBDRN CCn1nnc(C)c1CNc1ccc([N+](=O)[O-])cc1Cl ZINC000599088593 350374314 /nfs/dbraw/zinc/37/43/14/350374314.db2.gz HYPMXWMROBMJAF-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC=C(c2ccccn2)C1 ZINC000599096187 350374750 /nfs/dbraw/zinc/37/47/50/350374750.db2.gz LIHALEFOCTVBSH-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@H]2c2cn[nH]c2)n1 ZINC000599098929 350375907 /nfs/dbraw/zinc/37/59/07/350375907.db2.gz PIQNEBGUWHDVPO-GFCCVEGCSA-N 0 0 287.323 2.671 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC2(CC2(F)F)C1 ZINC000599100774 350375937 /nfs/dbraw/zinc/37/59/37/350375937.db2.gz NKUGEYHDRGEXMZ-UHFFFAOYSA-N 0 0 291.257 2.988 20 5 CFBDRN Cc1cnc(N2CC[C@@H](c3ccncc3)C2)c([N+](=O)[O-])c1 ZINC000599101032 350376076 /nfs/dbraw/zinc/37/60/76/350376076.db2.gz KFSVNGWHXNJBFP-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1ccc3cnccc3c1[N+](=O)[O-])C2 ZINC000599107617 350376220 /nfs/dbraw/zinc/37/62/20/350376220.db2.gz OWUZVEOZXWSFKG-WBMJQRKESA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC[C@@H]1CCCOC1 ZINC000599117233 350377939 /nfs/dbraw/zinc/37/79/39/350377939.db2.gz PSZXIPLYLLTLPN-NSHDSACASA-N 0 0 250.298 2.823 20 5 CFBDRN COc1cc(NCC[C@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000599117251 350378042 /nfs/dbraw/zinc/37/80/42/350378042.db2.gz QBVGYEGBPBSTFS-SNVBAGLBSA-N 0 0 298.314 2.971 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cn2)C[C@H](C)C1(F)F ZINC000599116619 350378116 /nfs/dbraw/zinc/37/81/16/350378116.db2.gz BIDJKKWNFISSPF-DTORHVGOSA-N 0 0 271.267 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCCOC2)cc1 ZINC000599116306 350378144 /nfs/dbraw/zinc/37/81/44/350378144.db2.gz GGUDOFTUELGEEP-NSHDSACASA-N 0 0 250.298 2.823 20 5 CFBDRN CCC[C@H](CNc1ccc([N+](=O)[O-])cn1)OCC ZINC000599117577 350378309 /nfs/dbraw/zinc/37/83/09/350378309.db2.gz DBGXLSKCNUWPLJ-LLVKDONJSA-N 0 0 253.302 2.607 20 5 CFBDRN CCC[C@H](CNc1cccnc1[N+](=O)[O-])OCC ZINC000599118928 350378973 /nfs/dbraw/zinc/37/89/73/350378973.db2.gz MJBRXQCQSJBVPN-SNVBAGLBSA-N 0 0 253.302 2.607 20 5 CFBDRN CCC[C@@H](CNc1ccc([N+](=O)[O-])c(N)c1F)OCC ZINC000599118964 350379022 /nfs/dbraw/zinc/37/90/22/350379022.db2.gz NHCFRLAXCBUEPQ-VIFPVBQESA-N 0 0 285.319 2.933 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCCC(F)(F)CC1 ZINC000599133144 350381762 /nfs/dbraw/zinc/38/17/62/350381762.db2.gz BZIIDQMCRFRFFW-UHFFFAOYSA-N 0 0 257.240 2.615 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCCC(F)(F)CC1 ZINC000599133120 350381853 /nfs/dbraw/zinc/38/18/53/350381853.db2.gz AWTGYJNSLMRZNW-UHFFFAOYSA-N 0 0 288.298 2.745 20 5 CFBDRN CC(C)CC[C@@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000599137423 350382300 /nfs/dbraw/zinc/38/23/00/350382300.db2.gz LXDPOEUWIAPQRJ-NSHDSACASA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)CC[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000599137411 350382386 /nfs/dbraw/zinc/38/23/86/350382386.db2.gz LIQZGNOVIQTBSI-LBPRGKRZSA-N 0 0 252.314 2.804 20 5 CFBDRN Cc1cnc(N[C@H](CO)CCC(C)C)c([N+](=O)[O-])c1 ZINC000599137222 350382401 /nfs/dbraw/zinc/38/24/01/350382401.db2.gz IAQGQPSVHJKFBX-NSHDSACASA-N 0 0 267.329 2.507 20 5 CFBDRN CCC(C)(C)OC1CN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000599136071 350382452 /nfs/dbraw/zinc/38/24/52/350382452.db2.gz HUJSGCDHHWMZQE-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN CN(CC1(C)COC1)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000599145032 350384100 /nfs/dbraw/zinc/38/41/00/350384100.db2.gz MNHRFTYZXZZUDY-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN CN(CC1(C)COC1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000599143955 350384208 /nfs/dbraw/zinc/38/42/08/350384208.db2.gz ALKOSLFJTIFBDN-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1cnc(N2CCC(F)(F)C[C@H]2C)c([N+](=O)[O-])c1 ZINC000599162577 350386740 /nfs/dbraw/zinc/38/67/40/350386740.db2.gz NULKOWDEFOCSMO-SECBINFHSA-N 0 0 271.267 2.922 20 5 CFBDRN C/C=C\CNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 ZINC000599173343 350389305 /nfs/dbraw/zinc/38/93/05/350389305.db2.gz ZVROUOGQUJLPPS-IHWYPQMZSA-N 0 0 289.335 2.819 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CO[C@H](C2CC2)C1 ZINC000599180036 350390492 /nfs/dbraw/zinc/39/04/92/350390492.db2.gz IMVUNOURCAOYJB-DOMZBBRYSA-N 0 0 292.335 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CO[C@H](C4CC4)C3)ccc2c1 ZINC000599180922 350390692 /nfs/dbraw/zinc/39/06/92/350390692.db2.gz ODUKPMLLLUFASL-WFASDCNBSA-N 0 0 299.330 2.544 20 5 CFBDRN Cc1cc(N[C@@H]2CO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599180911 350390994 /nfs/dbraw/zinc/39/09/94/350390994.db2.gz OBYARTNYHMUHRL-GXTWGEPZSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CO[C@H](C3CC3)C2)c(F)c1 ZINC000599181763 350391409 /nfs/dbraw/zinc/39/14/09/350391409.db2.gz UFIPIQSWGYOPST-ZANVPECISA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000599182404 350391477 /nfs/dbraw/zinc/39/14/77/350391477.db2.gz YBUIGLRRCHZKQS-SWLSCSKDSA-N 0 0 299.330 2.544 20 5 CFBDRN COc1cccc(N[C@@H]2CO[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599181744 350391611 /nfs/dbraw/zinc/39/16/11/350391611.db2.gz UBONVBZMXMXTNX-GXFFZTMASA-N 0 0 278.308 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1CO[C@@H](C3CC3)C1)CCN2 ZINC000599182387 350391742 /nfs/dbraw/zinc/39/17/42/350391742.db2.gz XWHRJXFLTHDBOR-IINYFYTJSA-N 0 0 289.335 2.542 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@@H]2CC[C@@H](O)[C@@H]21 ZINC000599196447 350394037 /nfs/dbraw/zinc/39/40/37/350394037.db2.gz QILCVGFCROVLTA-ZEGGKSINSA-N 0 0 282.727 2.598 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000599205566 350395970 /nfs/dbraw/zinc/39/59/70/350395970.db2.gz JFIOULBVPDYEMN-KLPPZKSPSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2c(C)cccc2[N+](=O)[O-])C1 ZINC000599206210 350396487 /nfs/dbraw/zinc/39/64/87/350396487.db2.gz OEYKTCUSOUIRLV-PHIMTYICSA-N 0 0 250.298 2.740 20 5 CFBDRN CCOC(=O)CSc1cc(C)c([N+](=O)[O-])cc1F ZINC000291034137 197895744 /nfs/dbraw/zinc/89/57/44/197895744.db2.gz XNGQHTSVVUJLKJ-UHFFFAOYSA-N 0 0 273.285 2.698 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN(C1CC1)C1CC1 ZINC000599597997 350462590 /nfs/dbraw/zinc/46/25/90/350462590.db2.gz CLKZBVHLBRELBT-UHFFFAOYSA-N 0 0 250.273 2.861 20 5 CFBDRN CCC[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)OCC ZINC000599697092 350485409 /nfs/dbraw/zinc/48/54/09/350485409.db2.gz UXUSWKCZHOSMOI-CYBMUJFWSA-N 0 0 295.339 2.922 20 5 CFBDRN CC(C)(C)CNc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000271376851 191105854 /nfs/dbraw/zinc/10/58/54/191105854.db2.gz ZXQOVBGALTVCES-UHFFFAOYSA-N 0 0 292.382 2.518 20 5 CFBDRN Cc1c[nH]c(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n1 ZINC000600111472 350511698 /nfs/dbraw/zinc/51/16/98/350511698.db2.gz IKSYXYUGYVHUID-UHFFFAOYSA-N 0 0 286.335 2.791 20 5 CFBDRN CC(C)(C)c1noc(OCc2csc([N+](=O)[O-])c2)n1 ZINC000107419998 186173911 /nfs/dbraw/zinc/17/39/11/186173911.db2.gz QZAKUHDPFLZVOF-UHFFFAOYSA-N 0 0 283.309 2.916 20 5 CFBDRN CCCCN(CCO)c1ccc([N+](=O)[O-])c(OCC)c1 ZINC000107040002 186156866 /nfs/dbraw/zinc/15/68/66/186156866.db2.gz BRVASEAMKLWKGP-UHFFFAOYSA-N 0 0 282.340 2.592 20 5 CFBDRN Cc1sc(C(=O)Nc2ccncc2C)cc1[N+](=O)[O-] ZINC000107289540 186166347 /nfs/dbraw/zinc/16/63/47/186166347.db2.gz IOJNQPMQFJBTLQ-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CCC[C@H](OCC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000600060655 350505897 /nfs/dbraw/zinc/50/58/97/350505897.db2.gz LFPIWTSHVZJJHW-ZDUSSCGKSA-N 0 0 295.339 2.780 20 5 CFBDRN CCC[C@@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])OCC ZINC000600174245 350530712 /nfs/dbraw/zinc/53/07/12/350530712.db2.gz JQHSJIHKDGAADD-NSHDSACASA-N 0 0 298.314 2.669 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCCC[C@H]1CO ZINC000107904844 186197106 /nfs/dbraw/zinc/19/71/06/186197106.db2.gz KEOYTSCNYDLSLV-JTQLQIEISA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1nc(CN(C)CCc2cccc([N+](=O)[O-])c2)[nH]c1C ZINC000600157262 350523594 /nfs/dbraw/zinc/52/35/94/350523594.db2.gz UPDBDINWJWGWFS-UHFFFAOYSA-N 0 0 288.351 2.609 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000600162537 350525176 /nfs/dbraw/zinc/52/51/76/350525176.db2.gz SUGMIUTUQMOBJY-MCIONIFRSA-N 0 0 288.347 2.690 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCOC1CCOCC1 ZINC000600565296 350571997 /nfs/dbraw/zinc/57/19/97/350571997.db2.gz SZHYBKOYNWOIKZ-UHFFFAOYSA-N 0 0 294.351 2.765 20 5 CFBDRN CC[C@@H](SCCOc1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000600571714 350572570 /nfs/dbraw/zinc/57/25/70/350572570.db2.gz PRYMQBGNZOAQOL-GFCCVEGCSA-N 0 0 299.348 2.658 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCc1cscn1 ZINC000129786633 187453087 /nfs/dbraw/zinc/45/30/87/187453087.db2.gz JFYBVNNMCHZJAI-UHFFFAOYSA-N 0 0 284.728 2.754 20 5 CFBDRN CCC(C)(C)OC1CN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000600267943 350545872 /nfs/dbraw/zinc/54/58/72/350545872.db2.gz JXTDMWIHYDUSQA-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC(Cc2ccco2)C1 ZINC000600365236 350550603 /nfs/dbraw/zinc/55/06/03/350550603.db2.gz FTIBOWYUKLIXBG-UHFFFAOYSA-N 0 0 286.287 2.503 20 5 CFBDRN CCC(F)(F)CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000600379446 350551576 /nfs/dbraw/zinc/55/15/76/350551576.db2.gz LZADMATWIJYATG-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN CCC(F)(F)CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000600380241 350552349 /nfs/dbraw/zinc/55/23/49/350552349.db2.gz SFFNTQNCPLFFRA-UHFFFAOYSA-N 0 0 286.278 2.689 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC[C@@H]1CC=CCC1 ZINC000600390567 350553419 /nfs/dbraw/zinc/55/34/19/350553419.db2.gz DQIAOYHSPXVTCA-CYBMUJFWSA-N 0 0 288.347 3.000 20 5 CFBDRN C/C=C\CNC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000600490368 350558380 /nfs/dbraw/zinc/55/83/80/350558380.db2.gz HMXJTYZMHLJRLU-ARJAWSKDSA-N 0 0 266.322 2.623 20 5 CFBDRN C[C@]1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)C[C@H]2C[C@H]2C1 ZINC000600499511 350559735 /nfs/dbraw/zinc/55/97/35/350559735.db2.gz MLELZQDQPDTMOC-VIKVFOODSA-N 0 0 288.347 2.690 20 5 CFBDRN C[C@]1(CNC(=O)c2csc([N+](=O)[O-])c2)C[C@H]2C[C@H]2C1 ZINC000600500666 350560008 /nfs/dbraw/zinc/56/00/08/350560008.db2.gz SMFNDGUCJJENIW-WOFXILAISA-N 0 0 280.349 2.822 20 5 CFBDRN CC1(C)CC(CN2C(=O)c3ccc([N+](=O)[O-])cc3C2=O)C1 ZINC000600509478 350560999 /nfs/dbraw/zinc/56/09/99/350560999.db2.gz AOECLLVZPDQZCJ-UHFFFAOYSA-N 0 0 288.303 2.627 20 5 CFBDRN COC(=O)CCCCOc1ccc([N+](=O)[O-])c(C)c1 ZINC000600512978 350561158 /nfs/dbraw/zinc/56/11/58/350561158.db2.gz KBOVGOFGINFYFV-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCO[C@@H](COc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000600530368 350565331 /nfs/dbraw/zinc/56/53/31/350565331.db2.gz DISULBJWSIGJKE-LBPRGKRZSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1noc(CSCCOc2cccc([N+](=O)[O-])c2)n1 ZINC000108410504 186215059 /nfs/dbraw/zinc/21/50/59/186215059.db2.gz CHJZFKIDFBCKSR-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN Cc1cc(NCC2(O)CCCC2)ccc1[N+](=O)[O-] ZINC000108644285 186224765 /nfs/dbraw/zinc/22/47/65/186224765.db2.gz RQEPKGJADUNPRV-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN C[C@@H]1C[C@H]1Cn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000600674658 350594575 /nfs/dbraw/zinc/59/45/75/350594575.db2.gz HDEGJYZWYBHPOZ-ZJUUUORDSA-N 0 0 258.277 2.566 20 5 CFBDRN O=C(NCC1CC=CC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000600680300 350596719 /nfs/dbraw/zinc/59/67/19/350596719.db2.gz AXACECDLCQETFZ-UHFFFAOYSA-N 0 0 279.271 2.822 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000600703473 350598206 /nfs/dbraw/zinc/59/82/06/350598206.db2.gz WNIMRQVVHZMAAE-KXMPLOMGSA-N 0 0 277.324 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CCCOC3)ccc2c1 ZINC000109548381 186261770 /nfs/dbraw/zinc/26/17/70/186261770.db2.gz XWZCCQKBVAMBAU-NSHDSACASA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H](C)O[C@@H]1C ZINC000600884528 350622759 /nfs/dbraw/zinc/62/27/59/350622759.db2.gz UBRHOJOENNSFRI-HOSYDEDBSA-N 0 0 278.308 2.655 20 5 CFBDRN CCc1noc(COc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000109744110 186271304 /nfs/dbraw/zinc/27/13/04/186271304.db2.gz GFNHBBSTAZJZSM-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@H]1CCCC12CC2 ZINC000600802403 350614168 /nfs/dbraw/zinc/61/41/68/350614168.db2.gz OPTVQRYFXHRXOD-CYBMUJFWSA-N 0 0 288.347 2.834 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000600808383 350615558 /nfs/dbraw/zinc/61/55/58/350615558.db2.gz ZVHPDGWVRISLHC-AAOUONPWSA-N 0 0 291.351 2.752 20 5 CFBDRN CCC(C)(C)c1cn(Cc2ccc([N+](=O)[O-])cc2)nn1 ZINC000600970163 350639661 /nfs/dbraw/zinc/63/96/61/350639661.db2.gz HGAPKGZCWIMWSP-UHFFFAOYSA-N 0 0 274.324 2.922 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600998565 350643227 /nfs/dbraw/zinc/64/32/27/350643227.db2.gz XTFTWVWBSLMLRL-NEPJUHHUSA-N 0 0 288.347 2.789 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@H](C)[C@@H]1C ZINC000416076605 233535848 /nfs/dbraw/zinc/53/58/48/233535848.db2.gz QVCQRZJIYNOWLN-DCAQKATOSA-N 0 0 292.335 2.720 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000110333192 186292535 /nfs/dbraw/zinc/29/25/35/186292535.db2.gz JALWIRPLZZTDOD-QMMMGPOBSA-N 0 0 256.327 2.774 20 5 CFBDRN CCCC[S@](=O)CCCOc1ccc([N+](=O)[O-])cc1 ZINC000600914084 350629288 /nfs/dbraw/zinc/62/92/88/350629288.db2.gz ABJIDBUKAWIVPV-IBGZPJMESA-N 0 0 285.365 2.913 20 5 CFBDRN O=C([O-])[C@@H]1CCCCC[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000600942873 350634320 /nfs/dbraw/zinc/63/43/20/350634320.db2.gz KUJQUXDHAQSCEO-KGLIPLIRSA-N 0 0 292.335 2.718 20 5 CFBDRN COC(=O)C1(NCc2ccccc2[N+](=O)[O-])CCCCC1 ZINC000109799530 186274111 /nfs/dbraw/zinc/27/41/11/186274111.db2.gz YXCLFUUFUCEZFP-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN CCN(CC(C)(C)O)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601115953 350672367 /nfs/dbraw/zinc/67/23/67/350672367.db2.gz FMRCYIHWCZNYGO-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000110909276 186331202 /nfs/dbraw/zinc/33/12/02/186331202.db2.gz RESXHAZGOLERTH-PWSUYJOCSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ncc(F)cc1[N+](=O)[O-] ZINC000601121835 350674448 /nfs/dbraw/zinc/67/44/48/350674448.db2.gz PGQJDEAMHBLWET-SSDOTTSWSA-N 0 0 294.286 2.777 20 5 CFBDRN CC1(C)CO[C@@H](COc2ccccc2[N+](=O)[O-])C1 ZINC000601070413 350658270 /nfs/dbraw/zinc/65/82/70/350658270.db2.gz PILYJQBSLZIAGO-SNVBAGLBSA-N 0 0 251.282 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H]2CCO[C@H]2C)c1 ZINC000601072140 350658428 /nfs/dbraw/zinc/65/84/28/350658428.db2.gz GIQGGDDQEXGQLC-WDEREUQCSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ccc(OC[C@H]2CCO[C@H]2C)c([N+](=O)[O-])c1 ZINC000601073581 350659161 /nfs/dbraw/zinc/65/91/61/350659161.db2.gz COYHDUPTYYPCIA-WDEREUQCSA-N 0 0 251.282 2.707 20 5 CFBDRN CC1(C)CC(n2cc([N+](=O)[O-])cc(Cl)c2=O)C1 ZINC000601075715 350659624 /nfs/dbraw/zinc/65/96/24/350659624.db2.gz AENXXBIOJHUTJT-UHFFFAOYSA-N 0 0 256.689 2.771 20 5 CFBDRN CCCCC(=O)COc1ccc([N+](=O)[O-])cc1F ZINC000601076043 350659960 /nfs/dbraw/zinc/65/99/60/350659960.db2.gz XTKBFTNZJKBJRM-UHFFFAOYSA-N 0 0 255.245 2.872 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COc1ccc(F)cc1[N+](=O)[O-] ZINC000601077307 350660271 /nfs/dbraw/zinc/66/02/71/350660271.db2.gz ZYPUWJMQYKDOJK-DTWKUNHWSA-N 0 0 255.245 2.538 20 5 CFBDRN CCCCC(=O)COc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000601080950 350662144 /nfs/dbraw/zinc/66/21/44/350662144.db2.gz WFYOVSJIMDERHO-UHFFFAOYSA-N 0 0 266.297 2.745 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@H]2CCc3ncnn32)cc1 ZINC000601081284 350662169 /nfs/dbraw/zinc/66/21/69/350662169.db2.gz UMTYCSVFGQNDLZ-GFCCVEGCSA-N 0 0 290.348 2.607 20 5 CFBDRN CC(C)(C)c1c[nH]c(SCCn2ccc([N+](=O)[O-])n2)n1 ZINC000601097541 350667861 /nfs/dbraw/zinc/66/78/61/350667861.db2.gz SWDXZDRGOPJKHP-UHFFFAOYSA-N 0 0 295.368 2.604 20 5 CFBDRN CCOC(=O)CC1CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000601102691 350668231 /nfs/dbraw/zinc/66/82/31/350668231.db2.gz DHKLDZGVGVNJBN-UHFFFAOYSA-N 0 0 298.364 2.826 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000601223879 350702035 /nfs/dbraw/zinc/70/20/35/350702035.db2.gz RGSUOIOPCRJCIL-GMOODISLSA-N 0 0 278.308 2.775 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@H](C)O[C@@H]2C)ccc1[N+](=O)[O-] ZINC000601223588 350702181 /nfs/dbraw/zinc/70/21/81/350702181.db2.gz HLJMHPNVXFFURV-IYYTYJHQSA-N 0 0 278.308 2.775 20 5 CFBDRN Cc1cc(Nc2cnn3c2CCCC3)ncc1[N+](=O)[O-] ZINC000601232374 350705067 /nfs/dbraw/zinc/70/50/67/350705067.db2.gz QMEFIXRSFXJLAT-UHFFFAOYSA-N 0 0 273.296 2.575 20 5 CFBDRN CC[C@]1(O)CCCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000601234161 350705377 /nfs/dbraw/zinc/70/53/77/350705377.db2.gz QVQOGVBWCZPIGS-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@]1(O)CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000601234302 350705457 /nfs/dbraw/zinc/70/54/57/350705457.db2.gz TZGWRXLZEYNUDI-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN CC[C@@]1(O)CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000601234005 350705668 /nfs/dbraw/zinc/70/56/68/350705668.db2.gz QIJVGJILSUDIEN-GFCCVEGCSA-N 0 0 270.354 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)[C@H](O)C(F)(F)F)c1 ZINC000601238424 350707034 /nfs/dbraw/zinc/70/70/34/350707034.db2.gz WDABDRLFJGKOCH-XVKPBYJWSA-N 0 0 278.230 2.627 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(C)(F)CC1 ZINC000601245723 350708368 /nfs/dbraw/zinc/70/83/68/350708368.db2.gz GKCPAPLRIFJDCP-UHFFFAOYSA-N 0 0 253.277 2.627 20 5 CFBDRN CC1(F)CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000601246384 350708641 /nfs/dbraw/zinc/70/86/41/350708641.db2.gz OVUVCTKEPSDLBI-UHFFFAOYSA-N 0 0 279.315 2.891 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](C1CCC1)[C@H]1CCCO1 ZINC000601249675 350709017 /nfs/dbraw/zinc/70/90/17/350709017.db2.gz HIJYXVANQXQNAY-OLZOCXBDSA-N 0 0 277.324 2.749 20 5 CFBDRN COCc1cc(Nc2ncc([N+](=O)[O-])s2)ccc1OC ZINC000601252794 350710086 /nfs/dbraw/zinc/71/00/86/350710086.db2.gz WABUCKBPGJORKY-UHFFFAOYSA-N 0 0 295.320 2.950 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2OC3CCC2CC3)s1 ZINC000601272329 350713889 /nfs/dbraw/zinc/71/38/89/350713889.db2.gz CNUJYYPBVOTFQY-UHFFFAOYSA-N 0 0 292.320 2.662 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)[C@H]1C ZINC000601126203 350676120 /nfs/dbraw/zinc/67/61/20/350676120.db2.gz UZLSGJMBZMDVGY-RQJHMYQMSA-N 0 0 292.261 2.582 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCC[C@@H]1CCCC[C@@H]1O ZINC000601130662 350677483 /nfs/dbraw/zinc/67/74/83/350677483.db2.gz APJDYVUHDODVIM-GWCFXTLKSA-N 0 0 297.330 2.872 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCC[C@@H]1CCCCO1 ZINC000601142004 350680363 /nfs/dbraw/zinc/68/03/63/350680363.db2.gz KLFOZDJLANKWED-NSHDSACASA-N 0 0 283.303 2.890 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCOCC2(CCCC2)C1 ZINC000601145550 350682094 /nfs/dbraw/zinc/68/20/94/350682094.db2.gz OAFUZSRGTNDCNM-UHFFFAOYSA-N 0 0 295.314 2.526 20 5 CFBDRN C[C@@](CO)([NH2+]C[C@@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000601150520 350682957 /nfs/dbraw/zinc/68/29/57/350682957.db2.gz YSZIGOUDWRHEQU-CJNGLKHVSA-N 0 0 290.363 2.748 20 5 CFBDRN CC1=C[C@@H](C)CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601159438 350685346 /nfs/dbraw/zinc/68/53/46/350685346.db2.gz LWDTZQCYOSLERU-MRVPVSSYSA-N 0 0 251.261 2.531 20 5 CFBDRN CO[C@H](C)CN(C)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601163123 350685694 /nfs/dbraw/zinc/68/56/94/350685694.db2.gz DNZJVHWTQLQEON-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC1CC(C(F)(F)F)C1 ZINC000601166200 350686519 /nfs/dbraw/zinc/68/65/19/350686519.db2.gz LKSBQLNOBZWJLY-UHFFFAOYSA-N 0 0 279.193 2.882 20 5 CFBDRN CCn1ccnc1[C@@H](C)Nc1ncc(F)cc1[N+](=O)[O-] ZINC000601170587 350687130 /nfs/dbraw/zinc/68/71/30/350687130.db2.gz BIBHDCWTALWJLL-MRVPVSSYSA-N 0 0 279.275 2.518 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccsc2[N+](=O)[O-])c2nccn21 ZINC000601171391 350687827 /nfs/dbraw/zinc/68/78/27/350687827.db2.gz KYTNFWAYVBGLTH-CBAPKCEASA-N 0 0 264.310 2.971 20 5 CFBDRN C[C@H](CCCCO)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601170969 350688138 /nfs/dbraw/zinc/68/81/38/350688138.db2.gz XSDZLGPNTVZBFR-LLVKDONJSA-N 0 0 289.335 2.528 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)CS1 ZINC000601175575 350689370 /nfs/dbraw/zinc/68/93/70/350689370.db2.gz JGNLKDLTGGEQCK-VHSXEESVSA-N 0 0 289.360 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@H]3C(F)(F)F)nc2c1 ZINC000601188603 350692301 /nfs/dbraw/zinc/69/23/01/350692301.db2.gz KMJSEFFVNWLHPB-VIFPVBQESA-N 0 0 286.213 2.612 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000111027913 186346232 /nfs/dbraw/zinc/34/62/32/186346232.db2.gz NLDPLBNPVMGHLD-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN COCC1(C2CCC2)CN(c2ccsc2[N+](=O)[O-])C1 ZINC000601193841 350693630 /nfs/dbraw/zinc/69/36/30/350693630.db2.gz ATJSCSBMLOQAOI-UHFFFAOYSA-N 0 0 282.365 2.909 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2c1ncc(F)cc1[N+](=O)[O-] ZINC000601199008 350695090 /nfs/dbraw/zinc/69/50/90/350695090.db2.gz MGPQWUJPABERDO-UQPYNNQESA-N 0 0 265.288 2.896 20 5 CFBDRN CC1(c2ccccc2)CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601199789 350695344 /nfs/dbraw/zinc/69/53/44/350695344.db2.gz XXUVLZUDAHLODO-UHFFFAOYSA-N 0 0 287.294 2.907 20 5 CFBDRN COc1cc(NCC(C)(C)F)c(F)cc1[N+](=O)[O-] ZINC000601206245 350696955 /nfs/dbraw/zinc/69/69/55/350696955.db2.gz SMMNJOUCLVCAOA-UHFFFAOYSA-N 0 0 260.240 2.903 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cn2)[C@H]1c1ccco1 ZINC000601211248 350698221 /nfs/dbraw/zinc/69/82/21/350698221.db2.gz XNNMDTSYGGMENR-NSHDSACASA-N 0 0 274.280 2.565 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCCC23CC3)c([N+](=O)[O-])c1 ZINC000601211269 350698344 /nfs/dbraw/zinc/69/83/44/350698344.db2.gz YIIIXLKQPALSEJ-CYBMUJFWSA-N 0 0 289.335 2.699 20 5 CFBDRN COC(=O)C(C)(C)CSc1sccc1[N+](=O)[O-] ZINC000601212550 350699089 /nfs/dbraw/zinc/69/90/89/350699089.db2.gz HFYPVUWPBWBWFG-UHFFFAOYSA-N 0 0 275.351 2.948 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC(CCF)CC1 ZINC000601217856 350700176 /nfs/dbraw/zinc/70/01/76/350700176.db2.gz OEZIULPAUZJXEZ-UHFFFAOYSA-N 0 0 271.267 2.705 20 5 CFBDRN CCOC(=O)CCSc1ccsc1[N+](=O)[O-] ZINC000601220967 350700828 /nfs/dbraw/zinc/70/08/28/350700828.db2.gz FQHNPRINOKIDNZ-UHFFFAOYSA-N 0 0 261.324 2.702 20 5 CFBDRN CCOC(=O)CCSc1cc(C)ccc1[N+](=O)[O-] ZINC000601221758 350701471 /nfs/dbraw/zinc/70/14/71/350701471.db2.gz RLFIMNOWONYYFT-UHFFFAOYSA-N 0 0 269.322 2.949 20 5 CFBDRN CCOC(=O)CCSc1ccc([N+](=O)[O-])cc1F ZINC000601222002 350701530 /nfs/dbraw/zinc/70/15/30/350701530.db2.gz XTVGNPQELIEXPK-UHFFFAOYSA-N 0 0 273.285 2.779 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@@H](O)C(F)F)ccc2ncccc21 ZINC000601222792 350701590 /nfs/dbraw/zinc/70/15/90/350701590.db2.gz QRWJRFRGYPLAPO-LLVKDONJSA-N 0 0 297.261 2.571 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@H](O)C(F)F)ccc2ncccc21 ZINC000601222793 350701594 /nfs/dbraw/zinc/70/15/94/350701594.db2.gz QRWJRFRGYPLAPO-NSHDSACASA-N 0 0 297.261 2.571 20 5 CFBDRN CC(C)(C)c1c[nH]c(Sc2ncc([N+](=O)[O-])cn2)n1 ZINC000601221800 350701618 /nfs/dbraw/zinc/70/16/18/350701618.db2.gz SKTOTBADNFDXFC-UHFFFAOYSA-N 0 0 279.325 2.557 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1c(F)cccc1[N+](=O)[O-] ZINC000601647452 350752971 /nfs/dbraw/zinc/75/29/71/350752971.db2.gz BLUNISYZPHFMHS-LPEHRKFASA-N 0 0 278.283 2.652 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601663665 350755658 /nfs/dbraw/zinc/75/56/58/350755658.db2.gz FGPJTIUSBXOSHN-SECBINFHSA-N 0 0 268.288 2.900 20 5 CFBDRN CC(C)(F)CCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601670047 350756590 /nfs/dbraw/zinc/75/65/90/350756590.db2.gz IOVDPIMIVJAMEN-UHFFFAOYSA-N 0 0 272.251 2.602 20 5 CFBDRN O=C(NCC[C@@H]1CC1(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000601677312 350758073 /nfs/dbraw/zinc/75/80/73/350758073.db2.gz FCRXFIDFQHHRJO-SSDOTTSWSA-N 0 0 288.225 2.509 20 5 CFBDRN C[C@H](C(=O)NCC(C)(C)F)c1ccc([N+](=O)[O-])cc1F ZINC000601687399 350759348 /nfs/dbraw/zinc/75/93/48/350759348.db2.gz DZJOGSFAINDOBN-QMMMGPOBSA-N 0 0 286.278 2.702 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC(C)(C)F ZINC000601688545 350759986 /nfs/dbraw/zinc/75/99/86/350759986.db2.gz SXGAMFCHNYVTNY-UHFFFAOYSA-N 0 0 268.288 2.690 20 5 CFBDRN CC[C@@H](C)Cc1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000601399612 350729692 /nfs/dbraw/zinc/72/96/92/350729692.db2.gz RBHOKZYPJQYNCG-MRVPVSSYSA-N 0 0 264.285 2.572 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C[C@H]1F ZINC000601413957 350730980 /nfs/dbraw/zinc/73/09/80/350730980.db2.gz MHBYTUOEOBPEOU-PSASIEDQSA-N 0 0 284.262 2.554 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601440423 350733091 /nfs/dbraw/zinc/73/30/91/350733091.db2.gz WFYVEGYBCGCZBG-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CC2(C1)CCCC2 ZINC000601469760 350734364 /nfs/dbraw/zinc/73/43/64/350734364.db2.gz URKIVUFQEPBWOM-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000601557297 350739629 /nfs/dbraw/zinc/73/96/29/350739629.db2.gz ZBBZNAMHVRTQIY-LLVKDONJSA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000601701074 350764241 /nfs/dbraw/zinc/76/42/41/350764241.db2.gz FKAYIPVOVUQJMU-LBPRGKRZSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(N[C@@H]1CCCC1(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000601584972 350743029 /nfs/dbraw/zinc/74/30/29/350743029.db2.gz YJRGGMQKZORZBH-SECBINFHSA-N 0 0 288.225 2.652 20 5 CFBDRN Cc1nocc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000601595039 350744490 /nfs/dbraw/zinc/74/44/90/350744490.db2.gz FFUNZWOAQBUQKN-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN Cc1c(CC(=O)N[C@@]23C[C@@H]2CCCC3)cccc1[N+](=O)[O-] ZINC000601777441 350775092 /nfs/dbraw/zinc/77/50/92/350775092.db2.gz RZJXKWHYGUMRNY-BBRMVZONSA-N 0 0 288.347 2.895 20 5 CFBDRN COc1cc(NC[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000271408583 191121371 /nfs/dbraw/zinc/12/13/71/191121371.db2.gz SNOAFLMNJLPHGU-QWRGUYRKSA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000601868047 350794254 /nfs/dbraw/zinc/79/42/54/350794254.db2.gz DPLCCUCAIVZMKE-LLVKDONJSA-N 0 0 276.336 2.832 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000601870106 350795554 /nfs/dbraw/zinc/79/55/54/350795554.db2.gz LXYGNDROGNHZEU-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN COc1cccc(C(=O)N[C@H](C)CC2CCC2)c1[N+](=O)[O-] ZINC000601871795 350796057 /nfs/dbraw/zinc/79/60/57/350796057.db2.gz VBKGMLNVTRFGIJ-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1c(CS(=O)(=O)CC2CCC2)cccc1[N+](=O)[O-] ZINC000601910509 350799870 /nfs/dbraw/zinc/79/98/70/350799870.db2.gz GWMSZQRVJPXDTR-UHFFFAOYSA-N 0 0 283.349 2.618 20 5 CFBDRN C[C@H]1CCC2=NN(c3ccc([N+](=O)[O-])cc3Cl)C(=O)[C@H]21 ZINC000601941513 350811239 /nfs/dbraw/zinc/81/12/39/350811239.db2.gz YMIAWWXDVQEZEI-MADCSZMMSA-N 0 0 293.710 2.997 20 5 CFBDRN C[C@H]1CCC2=NN(c3ccc([N+](=O)[O-])cc3Cl)C(=O)[C@@H]21 ZINC000601941511 350811473 /nfs/dbraw/zinc/81/14/73/350811473.db2.gz YMIAWWXDVQEZEI-JVXZTZIISA-N 0 0 293.710 2.997 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])C1CCC=CCC1 ZINC000602105717 350841358 /nfs/dbraw/zinc/84/13/58/350841358.db2.gz JXKLQUOUWBMSSB-UHFFFAOYSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C(C)(C)C ZINC000602353858 350868296 /nfs/dbraw/zinc/86/82/96/350868296.db2.gz ORCQCYIJBZLBDE-MRVPVSSYSA-N 0 0 268.288 2.898 20 5 CFBDRN Cc1cc(C(=O)N2OC3CCC2CC3)ccc1[N+](=O)[O-] ZINC000602216352 350852660 /nfs/dbraw/zinc/85/26/60/350852660.db2.gz ISALRXQHYYEERZ-UHFFFAOYSA-N 0 0 276.292 2.602 20 5 CFBDRN CCO[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000602232392 350855090 /nfs/dbraw/zinc/85/50/90/350855090.db2.gz SGOMAMCNEBUENV-CYBMUJFWSA-N 0 0 280.324 2.903 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000602282241 350858549 /nfs/dbraw/zinc/85/85/49/350858549.db2.gz YYSCOXFJDIFZTR-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1cc(F)ccc1F ZINC000111235084 186373035 /nfs/dbraw/zinc/37/30/35/186373035.db2.gz ULJCNLXJHNLLES-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CCNc1ccc(C(=O)NCC2CC=CC2)cc1[N+](=O)[O-] ZINC000602380175 350871678 /nfs/dbraw/zinc/87/16/78/350871678.db2.gz OAQXQWSHGBBTSW-UHFFFAOYSA-N 0 0 289.335 2.723 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2C[C@@H](C)O[C@@H]2C)cc1[N+](=O)[O-] ZINC000602397507 350874119 /nfs/dbraw/zinc/87/41/19/350874119.db2.gz PHLSAONWAAFDEB-CKYFFXLPSA-N 0 0 293.323 2.591 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1COCC1(C)C ZINC000602400987 350874969 /nfs/dbraw/zinc/87/49/69/350874969.db2.gz HEFUOUDRQPTSSL-UHFFFAOYSA-N 0 0 292.335 2.729 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@]12C[C@H]1CCCC2 ZINC000602412373 350876124 /nfs/dbraw/zinc/87/61/24/350876124.db2.gz HUHSZDUYBONSBU-MEBBXXQBSA-N 0 0 290.319 2.666 20 5 CFBDRN CCc1ccc(CN(C)Cc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000602432244 350880420 /nfs/dbraw/zinc/88/04/20/350880420.db2.gz FYKWBIJFCXIGLW-UHFFFAOYSA-N 0 0 288.351 2.523 20 5 CFBDRN C[C@H](CF)NCc1cc(Br)c([N+](=O)[O-])s1 ZINC000602664269 350966534 /nfs/dbraw/zinc/96/65/34/350966534.db2.gz YOKZBVOCEFHNFR-RXMQYKEDSA-N 0 0 297.149 2.866 20 5 CFBDRN COc1cc(CNCc2ccc([N+](=O)[O-])cc2Cl)on1 ZINC000602713335 350994720 /nfs/dbraw/zinc/99/47/20/350994720.db2.gz LBXUZTVXTURNQD-UHFFFAOYSA-N 0 0 297.698 2.535 20 5 CFBDRN Cn1cc(CN2CC3(CCC3)c3ccccc32)c([N+](=O)[O-])n1 ZINC000602633366 350954217 /nfs/dbraw/zinc/95/42/17/350954217.db2.gz KPURKWAIBQXAGH-UHFFFAOYSA-N 0 0 298.346 2.770 20 5 CFBDRN Cc1ccccc1[C@@H](C)N(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602762268 351015926 /nfs/dbraw/zinc/01/59/26/351015926.db2.gz DUUYSBUOANRYBR-GFCCVEGCSA-N 0 0 288.351 2.830 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1c[nH]cc1C1CC1 ZINC000602816086 351035571 /nfs/dbraw/zinc/03/55/71/351035571.db2.gz NPBOUVRBLBEENY-UHFFFAOYSA-N 0 0 285.303 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2cnn3c2CCCC3)cc1 ZINC000602739260 351010214 /nfs/dbraw/zinc/01/02/14/351010214.db2.gz LXWIVQNKEKRUFV-UHFFFAOYSA-N 0 0 286.335 2.782 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1cn(CC2CCC2)nn1 ZINC000603203280 351117449 /nfs/dbraw/zinc/11/74/49/351117449.db2.gz KCCJHNVRXDZQKJ-UHFFFAOYSA-N 0 0 288.307 2.565 20 5 CFBDRN CC(C)(C)c1cn(CCOc2ccc([N+](=O)[O-])cc2)nn1 ZINC000603202109 351117689 /nfs/dbraw/zinc/11/76/89/351117689.db2.gz UULAQLCXAFYQTA-UHFFFAOYSA-N 0 0 290.323 2.563 20 5 CFBDRN C[C@@H](NC(=O)N[C@H](C)C(F)F)c1ccccc1[N+](=O)[O-] ZINC000603213912 351118786 /nfs/dbraw/zinc/11/87/86/351118786.db2.gz BXEUTVYKWVJLHC-HTQZYQBOSA-N 0 0 287.266 2.609 20 5 CFBDRN Cn1cc(CN2CC(C)(C)[C@@H]2c2ccco2)c([N+](=O)[O-])n1 ZINC000602926964 351067754 /nfs/dbraw/zinc/06/77/54/351067754.db2.gz QOXUAQIAJMUQFF-LBPRGKRZSA-N 0 0 290.323 2.504 20 5 CFBDRN Cc1cc(NC(=O)NCC(C)(C)F)ccc1[N+](=O)[O-] ZINC000602928016 351067905 /nfs/dbraw/zinc/06/79/05/351067905.db2.gz VNKVUOICYBQEIA-UHFFFAOYSA-N 0 0 269.276 2.773 20 5 CFBDRN CCC1(NC(=O)Nc2c(C)cncc2[N+](=O)[O-])CCCC1 ZINC000602955454 351071793 /nfs/dbraw/zinc/07/17/93/351071793.db2.gz CWNRTOOHGZZWKK-UHFFFAOYSA-N 0 0 292.339 2.564 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602958819 351072363 /nfs/dbraw/zinc/07/23/63/351072363.db2.gz QDRJVAKTYRUQTP-JTQLQIEISA-N 0 0 294.355 2.620 20 5 CFBDRN CCN(Cc1onc(C)c1[N+](=O)[O-])[C@H](C)C(F)(F)F ZINC000602989626 351085726 /nfs/dbraw/zinc/08/57/26/351085726.db2.gz WXNIMMIKMKKMLY-SSDOTTSWSA-N 0 0 281.234 2.664 20 5 CFBDRN Cc1cc(N(C)Cc2ccc(Cl)cc2[N+](=O)[O-])n[nH]1 ZINC000603012191 351090875 /nfs/dbraw/zinc/09/08/75/351090875.db2.gz GIGGNLUYTVQKFV-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN Cc1cc(N(C)CCCOc2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000603012148 351091004 /nfs/dbraw/zinc/09/10/04/351091004.db2.gz DJOKKLMPKFXMRX-UHFFFAOYSA-N 0 0 290.323 2.532 20 5 CFBDRN Cn1cc(CSC[C@@H]2CC[C@H]3C[C@H]3C2)c([N+](=O)[O-])n1 ZINC000603352067 351145232 /nfs/dbraw/zinc/14/52/32/351145232.db2.gz CZLSUZYNCASLNX-OUAUKWLOSA-N 0 0 281.381 2.998 20 5 CFBDRN COc1cncc(CNc2nc(C)cc(C)c2[N+](=O)[O-])c1 ZINC000603407179 351158461 /nfs/dbraw/zinc/15/84/61/351158461.db2.gz PTAZVFFFKSPWGE-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC12CC(c3ccccc3)(C1)C2 ZINC000603421169 351161005 /nfs/dbraw/zinc/16/10/05/351161005.db2.gz UIZNNIPKGYMUHU-UHFFFAOYSA-N 0 0 284.319 2.615 20 5 CFBDRN COc1cnn(Cc2cc([N+](=O)[O-])ccc2OC(C)C)c1 ZINC000603423386 351161644 /nfs/dbraw/zinc/16/16/44/351161644.db2.gz DBFBXJBBABHRJD-UHFFFAOYSA-N 0 0 291.307 2.635 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N(C1CC1)C1CCCCC1 ZINC000603526310 351172415 /nfs/dbraw/zinc/17/24/15/351172415.db2.gz MFYAQCJGXXHDMC-UHFFFAOYSA-N 0 0 291.351 2.871 20 5 CFBDRN CCCCN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@H](C)CC ZINC000603559604 351174475 /nfs/dbraw/zinc/17/44/75/351174475.db2.gz AGZWOTROURCJJY-LLVKDONJSA-N 0 0 281.356 2.974 20 5 CFBDRN O=[N+]([O-])c1sccc1Sc1n[nH]c(C2CC2)n1 ZINC000603600542 351175669 /nfs/dbraw/zinc/17/56/69/351175669.db2.gz RIOIPWWJODHICZ-UHFFFAOYSA-N 0 0 268.323 2.803 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cccc([N+](=O)[O-])c2C)o1 ZINC000603623900 351176650 /nfs/dbraw/zinc/17/66/50/351176650.db2.gz FRVMLXXGMQQSOH-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCn1cnc2cc(C)c(C)cc21 ZINC000603680106 351178406 /nfs/dbraw/zinc/17/84/06/351178406.db2.gz CFMUUANEZRQLNX-UHFFFAOYSA-N 0 0 299.334 2.766 20 5 CFBDRN Cc1ccc(CCNC(=O)c2csc([N+](=O)[O-])c2)o1 ZINC000603755504 351180505 /nfs/dbraw/zinc/18/05/05/351180505.db2.gz CNPZNAGSAJISOE-UHFFFAOYSA-N 0 0 280.305 2.530 20 5 CFBDRN O=C1CCCN1c1cccc(Nc2cccnc2[N+](=O)[O-])c1 ZINC000603847586 351185566 /nfs/dbraw/zinc/18/55/66/351185566.db2.gz AKWGLIYOIKTMRH-UHFFFAOYSA-N 0 0 298.302 2.860 20 5 CFBDRN COc1ccc(C)cc1CCNc1cccnc1[N+](=O)[O-] ZINC000603842831 351185647 /nfs/dbraw/zinc/18/56/47/351185647.db2.gz OLCOGTGEMMORPQ-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COc1ccc(OC)c(CNc2cccnc2[N+](=O)[O-])c1 ZINC000603846353 351185650 /nfs/dbraw/zinc/18/56/50/351185650.db2.gz SBPBDKHVCGBWIP-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccnc(N2CCCC2)c1 ZINC000603845695 351185686 /nfs/dbraw/zinc/18/56/86/351185686.db2.gz HXTGUEYZIUAOGN-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN CC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2)[C@H]1C1CC1 ZINC000291234479 197963293 /nfs/dbraw/zinc/96/32/93/197963293.db2.gz XCXHMHMCYVPVEN-ZDUSSCGKSA-N 0 0 274.320 2.855 20 5 CFBDRN C[C@@H](Nc1cccnc1[N+](=O)[O-])c1ccc2[nH]c(=O)[nH]c2c1 ZINC000603864648 351186992 /nfs/dbraw/zinc/18/69/92/351186992.db2.gz QPNCOUKTLXZODM-MRVPVSSYSA-N 0 0 299.290 2.745 20 5 CFBDRN C[C@H]1CCCC[C@H]1OCCNc1cccnc1[N+](=O)[O-] ZINC000603860366 351187267 /nfs/dbraw/zinc/18/72/67/351187267.db2.gz FDSQVZGUXBMWTH-WCQYABFASA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1OCCNc1ncccc1[N+](=O)[O-] ZINC000603860394 351187280 /nfs/dbraw/zinc/18/72/80/351187280.db2.gz HRCOOTOFYAHYFR-YPMHNXCESA-N 0 0 279.340 2.997 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H]1C ZINC000603264437 351127122 /nfs/dbraw/zinc/12/71/22/351127122.db2.gz BXMAYAYEOABKID-DGCLKSJQSA-N 0 0 276.336 2.784 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H]1C ZINC000603264441 351127395 /nfs/dbraw/zinc/12/73/95/351127395.db2.gz BXMAYAYEOABKID-YPMHNXCESA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1nn(C2CC(OC(C)C)C2)c2ncc([N+](=O)[O-])cc12 ZINC000603319565 351138006 /nfs/dbraw/zinc/13/80/06/351138006.db2.gz JLORVPVTVSPYPU-UHFFFAOYSA-N 0 0 290.323 2.776 20 5 CFBDRN Cc1nn(CC2=CCCC2)c2ncc([N+](=O)[O-])cc12 ZINC000603320288 351138749 /nfs/dbraw/zinc/13/87/49/351138749.db2.gz MHWJUKMNCBQNMB-UHFFFAOYSA-N 0 0 258.281 2.758 20 5 CFBDRN Cc1nn(CCOC2CCCC2)c2ncc([N+](=O)[O-])cc12 ZINC000603325350 351139617 /nfs/dbraw/zinc/13/96/17/351139617.db2.gz KLSYMDMVPKBHOK-UHFFFAOYSA-N 0 0 290.323 2.607 20 5 CFBDRN CN(Cc1cccc(O)c1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000604179781 351200694 /nfs/dbraw/zinc/20/06/94/351200694.db2.gz QWQBQTQCHHEKAD-UHFFFAOYSA-N 0 0 286.287 2.573 20 5 CFBDRN Cc1occc1CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000604339805 351208616 /nfs/dbraw/zinc/20/86/16/351208616.db2.gz GCZYMAITHSTCPM-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN C[C@H](CNC(=O)CCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000604395910 351210896 /nfs/dbraw/zinc/21/08/96/351210896.db2.gz ITJRTXCQXWGKSQ-LLVKDONJSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H](C)C1CC1 ZINC000604395965 351211018 /nfs/dbraw/zinc/21/10/18/351211018.db2.gz KQNALJZAZCJPJL-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H](CO)Cc1ccco1 ZINC000604432676 351212175 /nfs/dbraw/zinc/21/21/75/351212175.db2.gz NUXZNBKTCUHUSP-VIFPVBQESA-N 0 0 282.321 2.512 20 5 CFBDRN CCCOc1ncccc1CNc1cccnc1[N+](=O)[O-] ZINC000604439502 351212867 /nfs/dbraw/zinc/21/28/67/351212867.db2.gz RBPYQKSYSNZTFQ-UHFFFAOYSA-N 0 0 288.307 2.786 20 5 CFBDRN C[C@H]1Cc2ccccc2N1CCNc1cccnc1[N+](=O)[O-] ZINC000604482884 351214741 /nfs/dbraw/zinc/21/47/41/351214741.db2.gz COWLIHJJKULATE-LBPRGKRZSA-N 0 0 298.346 2.853 20 5 CFBDRN C[C@@H]1c2ccccc2OCCN1c1cccnc1[N+](=O)[O-] ZINC000604557795 351219036 /nfs/dbraw/zinc/21/90/36/351219036.db2.gz ZJOCEYJJTPQZJT-LLVKDONJSA-N 0 0 285.303 2.950 20 5 CFBDRN C[C@@H](c1ccccc1)[C@@H](CO)Nc1ccc([N+](=O)[O-])cn1 ZINC000609496288 351504634 /nfs/dbraw/zinc/50/46/34/351504634.db2.gz FGZAEOKHSUBDBV-SMDDNHRTSA-N 0 0 287.319 2.566 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@@H](C)O1 ZINC000609513221 351505089 /nfs/dbraw/zinc/50/50/89/351505089.db2.gz QFXADRMRLAPFKP-BDAKNGLRSA-N 0 0 256.327 2.660 20 5 CFBDRN Cc1noc(C2CCN(c3ccc([N+](=O)[O-])s3)CC2)n1 ZINC000609560439 351507044 /nfs/dbraw/zinc/50/70/44/351507044.db2.gz VTAOGUGTMIRSKW-UHFFFAOYSA-N 0 0 294.336 2.732 20 5 CFBDRN CNC(=O)c1ccc(N(C)[C@@H](C)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000609586169 351509998 /nfs/dbraw/zinc/50/99/98/351509998.db2.gz OHPWIVWZMCWYBT-JTQLQIEISA-N 0 0 293.367 2.825 20 5 CFBDRN CCOC(=O)CCN(Cc1csc([N+](=O)[O-])c1)C1CC1 ZINC000608840108 351479847 /nfs/dbraw/zinc/47/98/47/351479847.db2.gz LPHCFWNFZUKXON-UHFFFAOYSA-N 0 0 298.364 2.574 20 5 CFBDRN CC(C)[C@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609128316 351485711 /nfs/dbraw/zinc/48/57/11/351485711.db2.gz GCOILGKHEPYRLO-OLZOCXBDSA-N 0 0 293.367 2.878 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CCC[C@H]1C1CC1 ZINC000609139179 351486786 /nfs/dbraw/zinc/48/67/86/351486786.db2.gz QAKPKRYOXGSZRH-ZDUSSCGKSA-N 0 0 289.335 2.679 20 5 CFBDRN COC(=O)c1ccc(CNc2ccsc2[N+](=O)[O-])o1 ZINC000609631006 351513279 /nfs/dbraw/zinc/51/32/79/351513279.db2.gz RHIOUHDJIZQEDF-UHFFFAOYSA-N 0 0 282.277 2.648 20 5 CFBDRN C[C@@H](CC(=O)NCc1ccccc1[N+](=O)[O-])n1cccc1 ZINC000609156765 351488696 /nfs/dbraw/zinc/48/86/96/351488696.db2.gz RSWHHBVBASCFIU-LBPRGKRZSA-N 0 0 287.319 2.664 20 5 CFBDRN CCOCCCC(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000291439886 198022927 /nfs/dbraw/zinc/02/29/27/198022927.db2.gz MUHRJZUJCQRBTP-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN Cc1cc(N2CCO[C@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] ZINC000610077018 351533568 /nfs/dbraw/zinc/53/35/68/351533568.db2.gz JTKWKQFKBHULGM-NSHDSACASA-N 0 0 290.241 2.671 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000610126483 351534807 /nfs/dbraw/zinc/53/48/07/351534807.db2.gz NTAFKTIIMIJGBS-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCOC(C)(C)C2)c1 ZINC000610230571 351542671 /nfs/dbraw/zinc/54/26/71/351542671.db2.gz LMYATKKFZYQEFH-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1ccc([N+](=O)[O-])s1 ZINC000609765702 351518859 /nfs/dbraw/zinc/51/88/59/351518859.db2.gz MBQXBHXPCDGRQK-QMMMGPOBSA-N 0 0 258.343 2.865 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2cccnc2[N+](=O)[O-])C1(C)C ZINC000610411153 351564649 /nfs/dbraw/zinc/56/46/49/351564649.db2.gz WVVGSJJMNWOTIN-MFKMUULPSA-N 0 0 265.313 2.605 20 5 CFBDRN CCC(CC)(CCO)CNc1cccnc1[N+](=O)[O-] ZINC000610411951 351564754 /nfs/dbraw/zinc/56/47/54/351564754.db2.gz FUYHUZSRYYJKNR-UHFFFAOYSA-N 0 0 267.329 2.591 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@H](C(F)(F)F)O1 ZINC000610411895 351565019 /nfs/dbraw/zinc/56/50/19/351565019.db2.gz BXZAKMULPFFZDC-NKWVEPMBSA-N 0 0 296.270 2.812 20 5 CFBDRN Cc1noc(C)c1N(C)Cc1ccccc1[N+](=O)[O-] ZINC000610433660 351566375 /nfs/dbraw/zinc/56/63/75/351566375.db2.gz CIENFFDCCVIIAH-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN CCOc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000610434666 351566571 /nfs/dbraw/zinc/56/65/71/351566571.db2.gz FZEGLSIGAOTIKK-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN CCOc1cccc(NC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000610435160 351566606 /nfs/dbraw/zinc/56/66/06/351566606.db2.gz ZLPWGBIPBULKAK-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN CC(C)(C)c1cnc(CNc2cccnc2[N+](=O)[O-])o1 ZINC000610469029 351568268 /nfs/dbraw/zinc/56/82/68/351568268.db2.gz DZHZMGDHOUEMBV-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1cn[nH]c1 ZINC000610487760 351569604 /nfs/dbraw/zinc/56/96/04/351569604.db2.gz VVDJUYIQNHQRHN-SNVBAGLBSA-N 0 0 260.297 2.511 20 5 CFBDRN CCOC[C@H]1CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000610489595 351570689 /nfs/dbraw/zinc/57/06/89/351570689.db2.gz LPMBQTWXGZTXNJ-VIFPVBQESA-N 0 0 256.327 2.519 20 5 CFBDRN CC(C)(C)OC(=O)[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000610541854 351579869 /nfs/dbraw/zinc/57/98/69/351579869.db2.gz DTENWHSLUBZQLA-SECBINFHSA-N 0 0 298.364 2.824 20 5 CFBDRN Cc1c(Cc2nc3ccccc3c(=O)[nH]2)cccc1[N+](=O)[O-] ZINC000119807171 186853342 /nfs/dbraw/zinc/85/33/42/186853342.db2.gz VDZDFXYEZCFWIT-UHFFFAOYSA-N 0 0 295.298 2.731 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2cc3c(nn2)CCC3)cc1[N+](=O)[O-] ZINC000610588011 351584716 /nfs/dbraw/zinc/58/47/16/351584716.db2.gz MZOTVXLJQTTZNP-LLVKDONJSA-N 0 0 298.346 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]2c2cnn(C)c2)c1 ZINC000610677469 351591263 /nfs/dbraw/zinc/59/12/63/351591263.db2.gz LTFQLANFEBTQJD-CYBMUJFWSA-N 0 0 286.335 2.978 20 5 CFBDRN CC1(C)CN(CCn2ccc([N+](=O)[O-])n2)c2ccccc21 ZINC000610710707 351595319 /nfs/dbraw/zinc/59/53/19/351595319.db2.gz AYQHCNPPPVGKKX-UHFFFAOYSA-N 0 0 286.335 2.589 20 5 CFBDRN Cc1ccccc1CC1CN(c2ncccc2[N+](=O)[O-])C1 ZINC000610716327 351596062 /nfs/dbraw/zinc/59/60/62/351596062.db2.gz SPNAKJKBNQIFJD-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN COc1ccc(C)cc1CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000610754538 351604250 /nfs/dbraw/zinc/60/42/50/351604250.db2.gz YONODSBNRJVKAZ-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])s1)C1CCOCC1 ZINC000610770118 351606196 /nfs/dbraw/zinc/60/61/96/351606196.db2.gz HBFWBUHZPIYQLT-MRVPVSSYSA-N 0 0 256.327 2.883 20 5 CFBDRN COC(=O)c1cc(N2C[C@@H](C)C[C@@H]2C)cc(C)c1[N+](=O)[O-] ZINC000610769909 351606388 /nfs/dbraw/zinc/60/63/88/351606388.db2.gz WOTSZNRGCKZMBQ-ONGXEEELSA-N 0 0 292.335 2.925 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1[C@@H]2Cc3ccccc3[C@H]12 ZINC000610856041 351614092 /nfs/dbraw/zinc/61/40/92/351614092.db2.gz MMXDFKKBUVLFHZ-GUTXKFCHSA-N 0 0 281.315 2.988 20 5 CFBDRN COC(=O)[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])C(C)(C)C ZINC000610782837 351607356 /nfs/dbraw/zinc/60/73/56/351607356.db2.gz WRSUFZYUKLWERE-GFCCVEGCSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1nc(CCNc2cccnc2[N+](=O)[O-])sc1C ZINC000610785195 351608369 /nfs/dbraw/zinc/60/83/69/351608369.db2.gz WICGURDTZDBYAM-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000610790592 351608839 /nfs/dbraw/zinc/60/88/39/351608839.db2.gz VVIZYKGXUVFZPJ-ZETCQYMHSA-N 0 0 290.291 2.882 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC(F)(F)C2)cc([N+](=O)[O-])c1 ZINC000610791023 351608882 /nfs/dbraw/zinc/60/88/82/351608882.db2.gz OCSVEHFCVHNXHO-SNVBAGLBSA-N 0 0 284.262 2.821 20 5 CFBDRN COc1cc(N(C)CCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000610856186 351614281 /nfs/dbraw/zinc/61/42/81/351614281.db2.gz MNLMPUNFPLWHSD-UHFFFAOYSA-N 0 0 282.340 2.855 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@H]1c1cccc(F)c1 ZINC000610305080 351553285 /nfs/dbraw/zinc/55/32/85/351553285.db2.gz AWRYGMBXJUUZTL-NSHDSACASA-N 0 0 290.250 2.914 20 5 CFBDRN O=C(Nc1c(O)cccc1F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000610339806 351554884 /nfs/dbraw/zinc/55/48/84/351554884.db2.gz RDOUXXQULCYLHP-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN CCC[C@@H](O)CC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000611003378 351632417 /nfs/dbraw/zinc/63/24/17/351632417.db2.gz JZCPOVKJSKCBTN-LLVKDONJSA-N 0 0 280.324 2.701 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCc2ncccc2C1 ZINC000611006364 351633328 /nfs/dbraw/zinc/63/33/28/351633328.db2.gz GHBNXKYUJSOQQW-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN CCC[C@H](O)CC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000611005125 351633816 /nfs/dbraw/zinc/63/38/16/351633816.db2.gz PANMUGOQWYQFBB-AAEUAGOBSA-N 0 0 294.351 2.632 20 5 CFBDRN CC(C)OC1CCC(Nc2ccncc2[N+](=O)[O-])CC1 ZINC000611030843 351635478 /nfs/dbraw/zinc/63/54/78/351635478.db2.gz SZORGMMSLHJPJN-UHFFFAOYSA-N 0 0 279.340 2.560 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)n1cccn1 ZINC000291485170 198033942 /nfs/dbraw/zinc/03/39/42/198033942.db2.gz SDMGANSRTIGRJM-JTQLQIEISA-N 0 0 288.307 2.553 20 5 CFBDRN COC[C@@H]1CCCN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000611203797 351651388 /nfs/dbraw/zinc/65/13/88/351651388.db2.gz QCXQNPUTDGVAJE-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CC(C)(C)OC ZINC000291499818 198037894 /nfs/dbraw/zinc/03/78/94/198037894.db2.gz UVQRNPJUXZXORO-UHFFFAOYSA-N 0 0 280.324 2.911 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCC[C@H]1CCCC[C@@H]1O ZINC000610909532 351620847 /nfs/dbraw/zinc/62/08/47/351620847.db2.gz CFUQPWWTBLDWJP-YPMHNXCESA-N 0 0 279.340 2.733 20 5 CFBDRN CC(C)(CO)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000610915798 351621608 /nfs/dbraw/zinc/62/16/08/351621608.db2.gz OBKJUCVLPZMVQA-UHFFFAOYSA-N 0 0 290.266 2.627 20 5 CFBDRN COc1ccc(CN(C)c2cc(C)ccc2[N+](=O)[O-])cn1 ZINC000610970121 351626779 /nfs/dbraw/zinc/62/67/79/351626779.db2.gz KBKZPLUKBYRQKB-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])s1 ZINC000611373622 351677044 /nfs/dbraw/zinc/67/70/44/351677044.db2.gz KJWIVRQNMXJPNQ-IUCAKERBSA-N 0 0 256.327 2.883 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000611373697 351677107 /nfs/dbraw/zinc/67/71/07/351677107.db2.gz TWDPLWCMKFFBJY-KWQFWETISA-N 0 0 285.731 2.870 20 5 CFBDRN CCN(CC)C(=O)CCCNc1sccc1[N+](=O)[O-] ZINC000611411693 351685357 /nfs/dbraw/zinc/68/53/57/351685357.db2.gz RHLNPLGFENFYGB-UHFFFAOYSA-N 0 0 285.369 2.717 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CC[C@H](CO)CC1 ZINC000611413528 351687005 /nfs/dbraw/zinc/68/70/05/351687005.db2.gz AUTYBSDLKFXLCB-KYZUINATSA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCc2ccc(O)cc2C1 ZINC000611413802 351687010 /nfs/dbraw/zinc/68/70/10/351687010.db2.gz XBFIYFBTCXFGBR-UHFFFAOYSA-N 0 0 276.317 2.925 20 5 CFBDRN CN(C)c1cccc(CNc2sccc2[N+](=O)[O-])n1 ZINC000611414515 351687633 /nfs/dbraw/zinc/68/76/33/351687633.db2.gz CYMYALHUJLIESH-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCn1cc(-c2ccccc2)cn1 ZINC000611468554 351694316 /nfs/dbraw/zinc/69/43/16/351694316.db2.gz ATWLHYPBDFTVFL-UHFFFAOYSA-N 0 0 297.318 2.663 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN=c1cc(CC)o[nH]1 ZINC000611480227 351695677 /nfs/dbraw/zinc/69/56/77/351695677.db2.gz BWDOBEIHJCEOEW-UHFFFAOYSA-N 0 0 291.307 2.578 20 5 CFBDRN C[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])c1cncc(F)c1 ZINC000611485195 351696512 /nfs/dbraw/zinc/69/65/12/351696512.db2.gz INYPDVCAVYQUBB-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN C[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H]1F ZINC000611494547 351697485 /nfs/dbraw/zinc/69/74/85/351697485.db2.gz VNPGTJNMOVEAET-CBAPKCEASA-N 0 0 273.695 2.828 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1ccsc1[N+](=O)[O-] ZINC000611494902 351697605 /nfs/dbraw/zinc/69/76/05/351697605.db2.gz RYFIRPDZOBULLM-KOLCDFICSA-N 0 0 270.354 2.644 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccc([N+](=O)[O-])nc2)C(C)(C)O1 ZINC000611494527 351697665 /nfs/dbraw/zinc/69/76/65/351697665.db2.gz UCVVJAWBKSHORS-SNVBAGLBSA-N 0 0 265.313 2.748 20 5 CFBDRN COc1ccc(CN2CC[C@H](C)[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000611502423 351699486 /nfs/dbraw/zinc/69/94/86/351699486.db2.gz SDMJIRCLNJTFBT-JQWIXIFHSA-N 0 0 282.315 2.783 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611507044 351700898 /nfs/dbraw/zinc/70/08/98/351700898.db2.gz WMYSEJHILYQNEV-UFBFGSQYSA-N 0 0 284.262 2.554 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H](C)[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000611505674 351700977 /nfs/dbraw/zinc/70/09/77/351700977.db2.gz LKZIVXKRPQPZNQ-SKDRFNHKSA-N 0 0 280.299 2.723 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@@H](C)[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000611506475 351701170 /nfs/dbraw/zinc/70/11/70/351701170.db2.gz NAUCLIFKTWABJB-MFKMUULPSA-N 0 0 294.326 2.977 20 5 CFBDRN COc1cc(CN(C)c2c(C)noc2C)ccc1[N+](=O)[O-] ZINC000611516635 351702704 /nfs/dbraw/zinc/70/27/04/351702704.db2.gz VLOGMLLVPSZICP-UHFFFAOYSA-N 0 0 291.307 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC=CCC1 ZINC000611518118 351703801 /nfs/dbraw/zinc/70/38/01/351703801.db2.gz DAMBJQURVZNNIK-UHFFFAOYSA-N 0 0 261.281 2.697 20 5 CFBDRN COc1cc(F)cc(CNc2cccnc2[N+](=O)[O-])c1 ZINC000611281678 351663306 /nfs/dbraw/zinc/66/33/06/351663306.db2.gz NKHYBEOQHNPVBU-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CCc1nn(C)c(NC[C@@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC000611281487 351663597 /nfs/dbraw/zinc/66/35/97/351663597.db2.gz RLICJDWLKMJMPZ-SNVBAGLBSA-N 0 0 289.339 2.501 20 5 CFBDRN O=C1CCCCCN1CCCNc1sccc1[N+](=O)[O-] ZINC000611289969 351664667 /nfs/dbraw/zinc/66/46/67/351664667.db2.gz KIJXUBUTNILHGO-UHFFFAOYSA-N 0 0 297.380 2.861 20 5 CFBDRN Cc1ccncc1[C@@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000611300143 351666354 /nfs/dbraw/zinc/66/63/54/351666354.db2.gz QEBNNXFVNPIEFY-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000291604526 198047955 /nfs/dbraw/zinc/04/79/55/198047955.db2.gz YNXAKOIMLCWLMY-JTQLQIEISA-N 0 0 295.339 2.792 20 5 CFBDRN C[C@@H](Nc1ccccc1[N+](=O)[O-])[C@H](O)Cc1ccccc1 ZINC000120735882 186921476 /nfs/dbraw/zinc/92/14/76/186921476.db2.gz GPLYZQHCSLMNKO-MLGOLLRUSA-N 0 0 286.331 2.999 20 5 CFBDRN CCN(CC1CC1)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611708531 351726935 /nfs/dbraw/zinc/72/69/35/351726935.db2.gz VRDIICPDJWHKEV-UHFFFAOYSA-N 0 0 272.308 2.774 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3ccc([N+](=O)[O-])cc32)[C@H]1C ZINC000611709896 351727730 /nfs/dbraw/zinc/72/77/30/351727730.db2.gz UWMDXMDJAKBYAQ-ZJUUUORDSA-N 0 0 272.308 2.773 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616393 351714693 /nfs/dbraw/zinc/71/46/93/351714693.db2.gz KDWBLNMRYFYALT-IINYFYTJSA-N 0 0 281.356 2.816 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC(CC(F)(F)F)C2)n1 ZINC000611617204 351715325 /nfs/dbraw/zinc/71/53/25/351715325.db2.gz POJQCNYOBGJMQF-UHFFFAOYSA-N 0 0 289.257 2.995 20 5 CFBDRN CCc1nn(C)c(NCC[C@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000612104275 351775114 /nfs/dbraw/zinc/77/51/14/351775114.db2.gz UQAKZDOJQMQQBC-MNOVXSKESA-N 0 0 296.371 2.508 20 5 CFBDRN CC(C)O[C@@H](Cn1nccc1[N+](=O)[O-])c1ccccc1 ZINC000291695746 198061239 /nfs/dbraw/zinc/06/12/39/198061239.db2.gz OPXJQHXJLRSYLO-ZDUSSCGKSA-N 0 0 275.308 2.958 20 5 CFBDRN CN(C(=O)CSCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000291699523 198062433 /nfs/dbraw/zinc/06/24/33/198062433.db2.gz YFJYMUABYAPTBJ-UHFFFAOYSA-N 0 0 280.349 2.701 20 5 CFBDRN CCc1nnc(CNc2cc([N+](=O)[O-])ccc2OC)s1 ZINC000612008560 351760660 /nfs/dbraw/zinc/76/06/60/351760660.db2.gz QKKXXXVTWUVHEY-UHFFFAOYSA-N 0 0 294.336 2.629 20 5 CFBDRN CCc1nnc(COc2cccc([N+](=O)[O-])c2)s1 ZINC000612011770 351761292 /nfs/dbraw/zinc/76/12/92/351761292.db2.gz JUGZJJUGUNDYMI-UHFFFAOYSA-N 0 0 265.294 2.588 20 5 CFBDRN CC[C@@H](C)CCCCC(=O)NCCn1cc([N+](=O)[O-])cn1 ZINC000612051918 351765909 /nfs/dbraw/zinc/76/59/09/351765909.db2.gz VZZHHIJAJJSYGV-GFCCVEGCSA-N 0 0 296.371 2.514 20 5 CFBDRN C[C@@H]1CCCN1c1ncnc2cccc([N+](=O)[O-])c21 ZINC000612446514 351822549 /nfs/dbraw/zinc/82/25/49/351822549.db2.gz SXVKSVMXIUPWTI-SECBINFHSA-N 0 0 258.281 2.527 20 5 CFBDRN C[C@H](CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000612462465 351824121 /nfs/dbraw/zinc/82/41/21/351824121.db2.gz NLRZAOJLEJPJFZ-ZJUUUORDSA-N 0 0 294.326 3.000 20 5 CFBDRN Cc1cccc(SCCn2cc([N+](=O)[O-])ccc2=O)c1 ZINC000121904356 187004413 /nfs/dbraw/zinc/00/44/13/187004413.db2.gz DPJYJAFSXCQBIF-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN Cc1cnc(N[C@@H]2CCO[C@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000271446489 191142560 /nfs/dbraw/zinc/14/25/60/191142560.db2.gz OYFYGQZLLJEFIJ-YPMHNXCESA-N 0 0 279.340 2.914 20 5 CFBDRN CCCCc1noc([C@@H](C)n2nc(C)c([N+](=O)[O-])c2C)n1 ZINC000122136185 187016840 /nfs/dbraw/zinc/01/68/40/187016840.db2.gz RSXJGEKWFIOPDK-SNVBAGLBSA-N 0 0 293.327 2.743 20 5 CFBDRN C[C@@H](CO)CNc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000122164266 187018886 /nfs/dbraw/zinc/01/88/86/187018886.db2.gz GLNIXOGNXYDGSV-ZCFIWIBFSA-N 0 0 279.123 2.942 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCSc1ccccc1F ZINC000122214318 187021382 /nfs/dbraw/zinc/02/13/82/187021382.db2.gz DOXZSYXYHANCQE-UHFFFAOYSA-N 0 0 294.307 2.688 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000122397806 187030188 /nfs/dbraw/zinc/03/01/88/187030188.db2.gz HYHQHYPUMVSPRR-HZMBPMFUSA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000122398029 187030289 /nfs/dbraw/zinc/03/02/89/187030289.db2.gz HYHQHYPUMVSPRR-QMTHXVAHSA-N 0 0 294.326 2.971 20 5 CFBDRN CCc1nnc(CN2CCc3c2cccc3[N+](=O)[O-])s1 ZINC000612739754 351857811 /nfs/dbraw/zinc/85/78/11/351857811.db2.gz WAHVSLIVJPVXDW-UHFFFAOYSA-N 0 0 290.348 2.571 20 5 CFBDRN CCNc1ccc(C(=O)N2CC[C@H](CC)C2)cc1[N+](=O)[O-] ZINC000122546788 187037254 /nfs/dbraw/zinc/03/72/54/187037254.db2.gz XVEONHDBPZMBFQ-NSHDSACASA-N 0 0 291.351 2.899 20 5 CFBDRN C[C@H]1CN(CC(=O)Nc2ccccc2[N+](=O)[O-])CC[C@@H]1C ZINC000122667755 187045204 /nfs/dbraw/zinc/04/52/04/187045204.db2.gz AHOZCJJWIADQKT-RYUDHWBXSA-N 0 0 291.351 2.511 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000122732179 187048038 /nfs/dbraw/zinc/04/80/38/187048038.db2.gz DFBKEHFRBKTYDM-UHFFFAOYSA-N 0 0 295.730 2.606 20 5 CFBDRN C[C@@H](CCO)Nc1cc(Br)ccc1[N+](=O)[O-] ZINC000122758532 187049853 /nfs/dbraw/zinc/04/98/53/187049853.db2.gz ZETFYNOYXZYVBL-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN CCOc1cc(C(=O)OC(C)C)c([N+](=O)[O-])cc1OC ZINC000122900454 187058668 /nfs/dbraw/zinc/05/86/68/187058668.db2.gz PMCNKQSGDKYWLF-UHFFFAOYSA-N 0 0 283.280 2.567 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])s2)[C@]12CCCO2 ZINC000612664338 351849197 /nfs/dbraw/zinc/84/91/97/351849197.db2.gz GZYGKCNQHGTQLK-GIPNMCIBSA-N 0 0 298.364 2.795 20 5 CFBDRN C[C@]1(CNc2ccc(F)cc2[N+](=O)[O-])CCCO1 ZINC000122949857 187061387 /nfs/dbraw/zinc/06/13/87/187061387.db2.gz CNOUTKVAJUDVLF-GFCCVEGCSA-N 0 0 254.261 2.715 20 5 CFBDRN CS(=O)(=O)c1ccc(NCCC2CCC2)c([N+](=O)[O-])c1 ZINC000122977860 187063401 /nfs/dbraw/zinc/06/34/01/187063401.db2.gz CRPZNCJCLHCQBG-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C2(C)CC2)cc1[N+](=O)[O-] ZINC000122991078 187064707 /nfs/dbraw/zinc/06/47/07/187064707.db2.gz FVLWCLYZURJMAS-SNVBAGLBSA-N 0 0 262.309 2.881 20 5 CFBDRN CC[C@H](C)CONc1c([N+](=O)[O-])c(C)nn1CC ZINC000291762583 198084424 /nfs/dbraw/zinc/08/44/24/198084424.db2.gz GRSJWDYZWPRCGW-QMMMGPOBSA-N 0 0 256.306 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCCS3)no2)nc1 ZINC000612949017 351880814 /nfs/dbraw/zinc/88/08/14/351880814.db2.gz JTSOCQYCLRGISS-VIFPVBQESA-N 0 0 278.293 2.608 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc([C@H]2CCCS2)no1 ZINC000612949036 351880997 /nfs/dbraw/zinc/88/09/97/351880997.db2.gz KILSGDWKJJKTGJ-SECBINFHSA-N 0 0 280.309 2.551 20 5 CFBDRN C[C@@H]1C[C@@H]1c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000612864365 351871579 /nfs/dbraw/zinc/87/15/79/351871579.db2.gz TXLJBDQXQWQCIX-SCZZXKLOSA-N 0 0 259.265 2.692 20 5 CFBDRN Cc1cc(OCCC(C)(C)O)c(F)cc1[N+](=O)[O-] ZINC000123653402 187103326 /nfs/dbraw/zinc/10/33/26/187103326.db2.gz PRFDRFZDWDYMAB-UHFFFAOYSA-N 0 0 257.261 2.582 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nc(C3CCC3)no2)c1 ZINC000612897524 351875880 /nfs/dbraw/zinc/87/58/80/351875880.db2.gz OMGSXMBWGCACED-UHFFFAOYSA-N 0 0 275.264 2.824 20 5 CFBDRN CNc1ccc(-c2nc(C3CCC3)no2)cc1[N+](=O)[O-] ZINC000612897202 351876107 /nfs/dbraw/zinc/87/61/07/351876107.db2.gz HXPAGALFZYMODN-UHFFFAOYSA-N 0 0 274.280 2.954 20 5 CFBDRN COCC1(CNc2cc(C)ccc2[N+](=O)[O-])CCOCC1 ZINC000291921934 198106642 /nfs/dbraw/zinc/10/66/42/198106642.db2.gz RPUIOGFCKSPKQK-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN Cc1nn(C)cc1CNc1ccc(C)cc1[N+](=O)[O-] ZINC000123962067 187116101 /nfs/dbraw/zinc/11/61/01/187116101.db2.gz LMPGYRQSIDHNRU-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1nn(C)cc1CNc1ccc([N+](=O)[O-])cc1C ZINC000123962346 187116619 /nfs/dbraw/zinc/11/66/19/187116619.db2.gz OKFUDTSIGSQZIW-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN C[C@@]1(O)CCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000123986856 187117675 /nfs/dbraw/zinc/11/76/75/187117675.db2.gz BFWBLXFFZNFJGR-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@H]1c2ccc(F)cc2CCN1c1c([N+](=O)[O-])ncn1C ZINC000613284578 351932246 /nfs/dbraw/zinc/93/22/46/351932246.db2.gz NVUCJPNDYNSJCW-VIFPVBQESA-N 0 0 290.298 2.591 20 5 CFBDRN CCCCCOc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000613110401 351899021 /nfs/dbraw/zinc/89/90/21/351899021.db2.gz YBNGJLFZFMBATJ-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN O=C(NCC1CC(F)(F)C1)Nc1cccc([N+](=O)[O-])c1 ZINC000291937346 198111706 /nfs/dbraw/zinc/11/17/06/198111706.db2.gz MUCDKVMLTVJNRZ-UHFFFAOYSA-N 0 0 285.250 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2ncc(Cl)s2)cc1 ZINC000613178337 351909451 /nfs/dbraw/zinc/90/94/51/351909451.db2.gz AJPAMTWGCLCOSR-UHFFFAOYSA-N 0 0 298.755 2.651 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnoc1 ZINC000613180484 351910312 /nfs/dbraw/zinc/91/03/12/351910312.db2.gz HVKUOGINHNBABT-NSHDSACASA-N 0 0 289.291 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC2CCC2)s1 ZINC000613184863 351911078 /nfs/dbraw/zinc/91/10/78/351911078.db2.gz JICBABYRSQHLCX-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN Cc1nn(C)c(N2CC(C)(C)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000613432211 351958903 /nfs/dbraw/zinc/95/89/03/351958903.db2.gz ASNFKPLPUXXAEZ-UHFFFAOYSA-N 0 0 280.372 2.899 20 5 CFBDRN COC(=O)[C@@H](NCc1ccc([N+](=O)[O-])cc1C)C(C)(C)C ZINC000613540865 351977139 /nfs/dbraw/zinc/97/71/39/351977139.db2.gz CKWCUTMVMHFJFW-CYBMUJFWSA-N 0 0 294.351 2.581 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000613604211 351986027 /nfs/dbraw/zinc/98/60/27/351986027.db2.gz USKNQAVXURLQJC-WFBYXXMGSA-N 0 0 292.360 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccno2)c2cccnc21 ZINC000613643481 351991320 /nfs/dbraw/zinc/99/13/20/351991320.db2.gz RZFZMUVEFLZKJT-UHFFFAOYSA-N 0 0 271.232 2.710 20 5 CFBDRN Cc1cc(NC(=O)N2CCCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000613779232 352006691 /nfs/dbraw/zinc/00/66/91/352006691.db2.gz DRTZSPWIULDGKT-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2C)nc1 ZINC000616114248 352286198 /nfs/dbraw/zinc/28/61/98/352286198.db2.gz PKKXHTKJRQDPGZ-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc(C)ccc2[N+](=O)[O-])nc1 ZINC000616171902 352295692 /nfs/dbraw/zinc/29/56/92/352295692.db2.gz JXBLCHBXUXFLNQ-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CCOc1cc(OCc2cc(C)n(C)n2)ccc1[N+](=O)[O-] ZINC000616230379 352309034 /nfs/dbraw/zinc/30/90/34/352309034.db2.gz ZFYDYZSRVDZSTN-UHFFFAOYSA-N 0 0 291.307 2.614 20 5 CFBDRN CSCCCCC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000133221705 187672589 /nfs/dbraw/zinc/67/25/89/187672589.db2.gz USWAKJJKHDRSFI-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@@H](C(C)C)C2)n1 ZINC000271509419 191183081 /nfs/dbraw/zinc/18/30/81/191183081.db2.gz YLDPSNVLFSIABG-DGCLKSJQSA-N 0 0 279.340 2.914 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000133352952 187679526 /nfs/dbraw/zinc/67/95/26/187679526.db2.gz RKGGRNWPTLGTTJ-MWLCHTKSSA-N 0 0 277.324 2.555 20 5 CFBDRN CCn1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])s2)c1 ZINC000616482805 352357860 /nfs/dbraw/zinc/35/78/60/352357860.db2.gz LNIMIEHHMUBYSC-UHFFFAOYSA-N 0 0 293.348 2.750 20 5 CFBDRN CC(C)[C@H]1C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000271532125 191196255 /nfs/dbraw/zinc/19/62/55/191196255.db2.gz CDYXRZMZHCNOCE-GXTWGEPZSA-N 0 0 292.335 2.528 20 5 CFBDRN CCc1nnc([C@@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000271540086 191200161 /nfs/dbraw/zinc/20/01/61/191200161.db2.gz QQYXURKTYPNSEM-NXEZZACHSA-N 0 0 289.339 2.687 20 5 CFBDRN CCC1(C)CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000292052753 198146514 /nfs/dbraw/zinc/14/65/14/198146514.db2.gz ZFVWSEBCSIJVKL-UHFFFAOYSA-N 0 0 263.297 2.859 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)[C@@H]1CCCO1 ZINC000133886866 187712510 /nfs/dbraw/zinc/71/25/10/187712510.db2.gz WHWOTURWXJQMFZ-KBPBESRZSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(=O)c1ccc(S[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000617000709 352458760 /nfs/dbraw/zinc/45/87/60/352458760.db2.gz UKRDIABVSZNPNI-SNVBAGLBSA-N 0 0 267.306 2.678 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000292080062 198156513 /nfs/dbraw/zinc/15/65/13/198156513.db2.gz DKMRFPPIDJFQMA-SECBINFHSA-N 0 0 296.323 2.619 20 5 CFBDRN Cc1c(C(=O)N(C)C[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000134068750 187724835 /nfs/dbraw/zinc/72/48/35/187724835.db2.gz MAFVUTBOLDMDRQ-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(SC[C@@H]3CCCO3)c2c1 ZINC000617221864 352520420 /nfs/dbraw/zinc/52/04/20/352520420.db2.gz QPFQETBUZBDXMC-JTQLQIEISA-N 0 0 291.332 2.809 20 5 CFBDRN CCC(CC)N(C(=O)c1ccc([N+](=O)[O-])o1)C1CC1 ZINC000518303725 260371701 /nfs/dbraw/zinc/37/17/01/260371701.db2.gz PXPHRSNJHNXIMN-UHFFFAOYSA-N 0 0 266.297 2.981 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@@H](CC(F)(F)F)C1 ZINC000617972511 352687721 /nfs/dbraw/zinc/68/77/21/352687721.db2.gz ZZNWZXLYZGXDMU-QMMMGPOBSA-N 0 0 275.230 2.769 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cccc([N+](=O)[O-])c1C ZINC000292131482 198175588 /nfs/dbraw/zinc/17/55/88/198175588.db2.gz PUQMRRGLARONGW-SECBINFHSA-N 0 0 266.297 2.611 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000271604287 191237498 /nfs/dbraw/zinc/23/74/98/191237498.db2.gz JDNQOFPRDKZFKW-CABZTGNLSA-N 0 0 277.324 2.754 20 5 CFBDRN COc1ccc(CNc2ccsc2[N+](=O)[O-])nc1 ZINC000618171332 352730020 /nfs/dbraw/zinc/73/00/20/352730020.db2.gz IANPJLUWFOHVEO-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000618174613 352730108 /nfs/dbraw/zinc/73/01/08/352730108.db2.gz WOOYVGICMLZIIS-MNOVXSKESA-N 0 0 256.689 2.838 20 5 CFBDRN CCCOC(=O)[C@H](C)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000292191497 198196870 /nfs/dbraw/zinc/19/68/70/198196870.db2.gz RLPGDYBMJCLLPF-NSHDSACASA-N 0 0 294.351 2.761 20 5 CFBDRN CCN(C[C@@H](C)OC)c1ncc([N+](=O)[O-])cc1Cl ZINC000292202298 198200732 /nfs/dbraw/zinc/20/07/32/198200732.db2.gz CLZYSHNRZUITBB-MRVPVSSYSA-N 0 0 273.720 2.504 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC[C@H](C)C1CC1 ZINC000619281281 352990033 /nfs/dbraw/zinc/99/00/33/352990033.db2.gz YACYIMKKAXXXNT-JTQLQIEISA-N 0 0 292.335 2.769 20 5 CFBDRN CC(C)(NC(=O)Nc1cccc([N+](=O)[O-])c1)C(F)F ZINC000619305111 352990768 /nfs/dbraw/zinc/99/07/68/352990768.db2.gz UNGGEWJPEAHVMI-UHFFFAOYSA-N 0 0 273.239 2.760 20 5 CFBDRN C[C@@H](NC(=O)N1CC(C)(C)C1)c1ccccc1[N+](=O)[O-] ZINC000619037774 352939436 /nfs/dbraw/zinc/93/94/36/352939436.db2.gz GQJPEPCKESFISR-SNVBAGLBSA-N 0 0 277.324 2.707 20 5 CFBDRN CS[C@H]1CCCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000151080165 187942488 /nfs/dbraw/zinc/94/24/88/187942488.db2.gz NUOZSPKKXZAHMV-ZDUSSCGKSA-N 0 0 294.376 2.953 20 5 CFBDRN COc1c(C(=O)N[C@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000619441128 353024227 /nfs/dbraw/zinc/02/42/27/353024227.db2.gz OQTDRJUUYFCOPE-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N(CC1CC1)C1CCC1 ZINC000619458775 353026233 /nfs/dbraw/zinc/02/62/33/353026233.db2.gz YKIVHZZUKAKSAC-UHFFFAOYSA-N 0 0 274.320 3.000 20 5 CFBDRN C[C@H](C(=O)N1CCC(F)(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000619457592 353026249 /nfs/dbraw/zinc/02/62/49/353026249.db2.gz ZJXUPJUCWJVDBU-JTQLQIEISA-N 0 0 298.289 2.956 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@@H]1CCO[C@H](C)C1 ZINC000619489878 353028953 /nfs/dbraw/zinc/02/89/53/353028953.db2.gz XHLSNWGVZXIUNO-ZYHUDNBSSA-N 0 0 296.371 2.737 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCSC(C)(C)CC1 ZINC000619498526 353029463 /nfs/dbraw/zinc/02/94/63/353029463.db2.gz UVPVQIATQPJTKP-UHFFFAOYSA-N 0 0 298.412 2.842 20 5 CFBDRN CC(C)OC(=O)CC[C@H](C)Nc1cccnc1[N+](=O)[O-] ZINC000619501450 353029685 /nfs/dbraw/zinc/02/96/85/353029685.db2.gz IIGMGKPJNFJDEB-JTQLQIEISA-N 0 0 281.312 2.522 20 5 CFBDRN CC1=C[C@H](C)CN(c2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000619528056 353033040 /nfs/dbraw/zinc/03/30/40/353033040.db2.gz KKVIVERUHIKQIU-JTQLQIEISA-N 0 0 284.319 2.940 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H](CO)CC1CCCC1 ZINC000619589005 353038483 /nfs/dbraw/zinc/03/84/83/353038483.db2.gz RROINMVPCOXDIJ-LBPRGKRZSA-N 0 0 279.340 2.591 20 5 CFBDRN C[C@@H](c1ccccc1)[C@H](CO)Nc1ncc([N+](=O)[O-])s1 ZINC000619695561 353051993 /nfs/dbraw/zinc/05/19/93/353051993.db2.gz LBIUYTNYCKEILB-ONGXEEELSA-N 0 0 293.348 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC23CCOCC3)cn1 ZINC000619699244 353053076 /nfs/dbraw/zinc/05/30/76/353053076.db2.gz QPWXQESWMUIKHD-LBPRGKRZSA-N 0 0 277.324 2.751 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1sccc1[N+](=O)[O-] ZINC000619704828 353055571 /nfs/dbraw/zinc/05/55/71/353055571.db2.gz VMZFOKDUPJUERN-UHFFFAOYSA-N 0 0 281.341 2.615 20 5 CFBDRN Cc1c(NC(=O)c2ccnn2C(C)C)cccc1[N+](=O)[O-] ZINC000151769014 187978206 /nfs/dbraw/zinc/97/82/06/187978206.db2.gz SCLGXHVDPCLNPX-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCCCO2)c1 ZINC000151889956 187984509 /nfs/dbraw/zinc/98/45/09/187984509.db2.gz YAXNFMTYLXOATJ-LLVKDONJSA-N 0 0 279.292 2.629 20 5 CFBDRN CC[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000152819713 188045549 /nfs/dbraw/zinc/04/55/49/188045549.db2.gz BHSNJRXYLAFDQL-SECBINFHSA-N 0 0 265.269 2.739 20 5 CFBDRN CCCC[C@@H](CCC)CNC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000153019379 188060080 /nfs/dbraw/zinc/06/00/80/188060080.db2.gz OGQXCRFLAZPZTO-SNVBAGLBSA-N 0 0 282.344 2.654 20 5 CFBDRN CO[C@](C)(CNc1ncc([N+](=O)[O-])cc1C)C1CC1 ZINC000292296309 198234933 /nfs/dbraw/zinc/23/49/33/198234933.db2.gz KVPMHOXBVHKSDV-CYBMUJFWSA-N 0 0 265.313 2.525 20 5 CFBDRN CCC(CC)(CNC(=O)c1cc([N+](=O)[O-])ccc1C)OC ZINC000292339317 198250573 /nfs/dbraw/zinc/25/05/73/198250573.db2.gz PCCXHJBJTJMDLH-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN COc1cccc(SCCn2cc([N+](=O)[O-])cn2)c1 ZINC000153789183 188103676 /nfs/dbraw/zinc/10/36/76/188103676.db2.gz LUHDSBKBTDHDTE-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN Cc1cc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)ncn1 ZINC000292332275 198247566 /nfs/dbraw/zinc/24/75/66/198247566.db2.gz CEHIZKSFHZRORB-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000292331799 198247821 /nfs/dbraw/zinc/24/78/21/198247821.db2.gz XFXOZWVONSZVAJ-UHFFFAOYSA-N 0 0 297.330 2.967 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCCS1 ZINC000153854235 188108548 /nfs/dbraw/zinc/10/85/48/188108548.db2.gz DJZRSKWWGQMPKQ-LLVKDONJSA-N 0 0 286.378 2.672 20 5 CFBDRN CN(CCC1CCOCC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153959336 188113305 /nfs/dbraw/zinc/11/33/05/188113305.db2.gz PKPOFVFOGSSPGE-UHFFFAOYSA-N 0 0 299.758 2.896 20 5 CFBDRN C[C@@]1(CNC(=O)Cc2ccccc2[N+](=O)[O-])CCCS1 ZINC000153967251 188113983 /nfs/dbraw/zinc/11/39/83/188113983.db2.gz PTYZKJCPUYQOTH-AWEZNQCLSA-N 0 0 294.376 2.539 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CCCS1 ZINC000153962518 188114435 /nfs/dbraw/zinc/11/44/35/188114435.db2.gz SHEQSLLRCMSCJO-ZDUSSCGKSA-N 0 0 280.349 2.610 20 5 CFBDRN COc1cc(CS[C@@H](C)[C@@H](C)O)c([N+](=O)[O-])cc1F ZINC000274192150 192478982 /nfs/dbraw/zinc/47/89/82/192478982.db2.gz FJWATCSKVHUWHR-SFYZADRCSA-N 0 0 289.328 2.745 20 5 CFBDRN CCc1nc(C)c(CNc2ncc(C)cc2[N+](=O)[O-])o1 ZINC000292394732 198272209 /nfs/dbraw/zinc/27/22/09/198272209.db2.gz UKIAHIWUWDGIHT-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN COc1ccccc1SCCn1cc([N+](=O)[O-])cn1 ZINC000153817587 188105743 /nfs/dbraw/zinc/10/57/43/188105743.db2.gz CSZLITPKPJFDJW-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN CC(C)(CO)CCCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153827396 188105916 /nfs/dbraw/zinc/10/59/16/188105916.db2.gz XHHJUGRTKGWBIR-UHFFFAOYSA-N 0 0 287.747 2.854 20 5 CFBDRN CC(C)(C)c1csc(Cn2cc([N+](=O)[O-])ccc2=O)n1 ZINC000154193288 188128769 /nfs/dbraw/zinc/12/87/69/188128769.db2.gz MVZUHGXYJKLSTD-UHFFFAOYSA-N 0 0 293.348 2.559 20 5 CFBDRN C[C@]1(CNC(=O)Cc2cccc([N+](=O)[O-])c2)CCCS1 ZINC000154774421 188160145 /nfs/dbraw/zinc/16/01/45/188160145.db2.gz TUMSXYICEXISOF-CQSZACIVSA-N 0 0 294.376 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ccc(CN3CCOCC3)o2)cc1 ZINC000154524680 188147449 /nfs/dbraw/zinc/14/74/49/188147449.db2.gz CKPVZCUPRQTRFO-UHFFFAOYSA-N 0 0 288.303 2.687 20 5 CFBDRN CCc1ccc(OC[C@@H](O)COCC(C)C)c([N+](=O)[O-])c1 ZINC000155306077 188197952 /nfs/dbraw/zinc/19/79/52/188197952.db2.gz ADZJMKDTIHVJRB-ZDUSSCGKSA-N 0 0 297.351 2.570 20 5 CFBDRN CN(CCn1cccn1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000292480483 198303717 /nfs/dbraw/zinc/30/37/17/198303717.db2.gz LSHYTABRWWGWKZ-UHFFFAOYSA-N 0 0 280.715 2.581 20 5 CFBDRN Cc1cc(NCCCc2cnn(C)c2)c(F)cc1[N+](=O)[O-] ZINC000292498305 198310153 /nfs/dbraw/zinc/31/01/53/198310153.db2.gz FNBYJQMOPQLLSE-UHFFFAOYSA-N 0 0 292.314 2.821 20 5 CFBDRN C[C@H]1C[C@@H](O)CCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000292507767 198314420 /nfs/dbraw/zinc/31/44/20/198314420.db2.gz VDXJANWCGACWIZ-CABZTGNLSA-N 0 0 284.743 2.593 20 5 CFBDRN C[C@H]1CCC(=O)[C@@H](Oc2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000450955356 290366746 /nfs/dbraw/zinc/36/67/46/290366746.db2.gz CNYNEMJJTNWOKV-CPCISQLKSA-N 0 0 284.699 2.780 20 5 CFBDRN Cc1cc(NCCC2(O)CCC2)c(F)cc1[N+](=O)[O-] ZINC000292517093 198317794 /nfs/dbraw/zinc/31/77/94/198317794.db2.gz GUKKYELMSDOHFV-UHFFFAOYSA-N 0 0 268.288 2.759 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCCOCC1CC1 ZINC000156725665 188278088 /nfs/dbraw/zinc/27/80/88/188278088.db2.gz ULKLSUDNDHJYIJ-UHFFFAOYSA-N 0 0 294.351 2.970 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292526030 198320863 /nfs/dbraw/zinc/32/08/63/198320863.db2.gz HIQLGQDJVWEYBU-BDAKNGLRSA-N 0 0 256.277 2.878 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000156385157 188259471 /nfs/dbraw/zinc/25/94/71/188259471.db2.gz CWEGPGPUXOAYBZ-AAEUAGOBSA-N 0 0 276.336 2.832 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2C[C@@H](CO)c3ccccc32)c1 ZINC000292563566 198334242 /nfs/dbraw/zinc/33/42/42/198334242.db2.gz BXWMXVAYECHJGR-ZDUSSCGKSA-N 0 0 284.315 2.691 20 5 CFBDRN CN(CCc1nccs1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000157813780 188340335 /nfs/dbraw/zinc/34/03/35/188340335.db2.gz DRBWAMHFLNWHTM-UHFFFAOYSA-N 0 0 298.755 2.779 20 5 CFBDRN CC(C)n1ncnc1COc1cccc(Cl)c1[N+](=O)[O-] ZINC000292611601 198350708 /nfs/dbraw/zinc/35/07/08/198350708.db2.gz IUCIQDAMHKFAOT-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN CC[C@@](C)(O)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292653853 198363408 /nfs/dbraw/zinc/36/34/08/198363408.db2.gz PNCKDQYQGBYQDW-GFCCVEGCSA-N 0 0 256.277 2.615 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000158130263 188358654 /nfs/dbraw/zinc/35/86/54/188358654.db2.gz JCEBJYDPLSCUEL-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN Cc1c(C(=O)N2CCC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000158139486 188359883 /nfs/dbraw/zinc/35/98/83/188359883.db2.gz XVLWRIQRPRLQNO-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CCC(=O)N1CC[C@@H](Nc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000292677452 198371360 /nfs/dbraw/zinc/37/13/60/198371360.db2.gz BALJPYNUAYXFBT-SECBINFHSA-N 0 0 297.742 2.671 20 5 CFBDRN CSC[C@@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158581847 188384085 /nfs/dbraw/zinc/38/40/85/188384085.db2.gz HMHZSGCDIAEALX-SECBINFHSA-N 0 0 268.338 2.557 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H](C)Cn1ccnc1 ZINC000158662871 188388538 /nfs/dbraw/zinc/38/85/38/188388538.db2.gz YJXMSQDJAJJHAV-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(S[C@H]2CCO[C@H]2C)n1 ZINC000158749984 188391635 /nfs/dbraw/zinc/39/16/35/188391635.db2.gz KBMUXQGZRDLDPT-WPRPVWTQSA-N 0 0 254.311 2.568 20 5 CFBDRN C[C@H](NC(=O)N1C[C@@H](C)[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000271699831 191281727 /nfs/dbraw/zinc/28/17/27/191281727.db2.gz SHZSENLUXZDXGT-UTUOFQBUSA-N 0 0 291.351 2.953 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000292723318 198390150 /nfs/dbraw/zinc/39/01/50/198390150.db2.gz IFYMPSVQIMDNHG-OLZOCXBDSA-N 0 0 279.292 2.705 20 5 CFBDRN CC1=C(c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)CCC1 ZINC000357336779 535240879 /nfs/dbraw/zinc/24/08/79/535240879.db2.gz WFOIKMLBIISUDR-UHFFFAOYSA-N 0 0 286.291 2.921 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000295214242 199404698 /nfs/dbraw/zinc/40/46/98/199404698.db2.gz AVDWHGLWUYKEMS-CYBMUJFWSA-N 0 0 266.272 2.559 20 5 CFBDRN COc1ccc(CN2CCC[C@H]2CF)cc1[N+](=O)[O-] ZINC000293102700 198526791 /nfs/dbraw/zinc/52/67/91/198526791.db2.gz QJWRPWFUQJOYEG-NSHDSACASA-N 0 0 268.288 2.537 20 5 CFBDRN CC(C)c1noc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271722644 191291374 /nfs/dbraw/zinc/29/13/74/191291374.db2.gz LFYDLFVYRKLZLY-JTQLQIEISA-N 0 0 290.323 2.952 20 5 CFBDRN CCC1(C)CN(c2ccc(CC(=O)OC)cc2[N+](=O)[O-])C1 ZINC000295663657 199610154 /nfs/dbraw/zinc/61/01/54/199610154.db2.gz ILUMDHLMYFZFPK-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN CC[C@H](SC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271735849 191298903 /nfs/dbraw/zinc/29/89/03/191298903.db2.gz HNSJYAMRTKOFNN-CABZTGNLSA-N 0 0 282.365 2.914 20 5 CFBDRN CCCC[C@@H](CC)CC(=O)NCCn1cc([N+](=O)[O-])cn1 ZINC000164448778 188624234 /nfs/dbraw/zinc/62/42/34/188624234.db2.gz KITYSSQBJFEUJG-GFCCVEGCSA-N 0 0 296.371 2.514 20 5 CFBDRN C[C@H](NC(=O)CC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000295258725 199428799 /nfs/dbraw/zinc/42/87/99/199428799.db2.gz FWNGLHSJVXJIJL-ZETCQYMHSA-N 0 0 276.214 2.724 20 5 CFBDRN CCOCCC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163805818 188616171 /nfs/dbraw/zinc/61/61/71/188616171.db2.gz PFBWISKNTANSEW-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN COC(C)(C)CC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295323304 199458791 /nfs/dbraw/zinc/45/87/91/199458791.db2.gz LGDWEQYIEGYPRS-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN CCCSCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271748428 191303100 /nfs/dbraw/zinc/30/31/00/191303100.db2.gz KDWZWQHXAZVYFV-JTQLQIEISA-N 0 0 282.365 2.915 20 5 CFBDRN CC(C)OCCOc1ccc([N+](=O)[O-])c(F)c1F ZINC000295693608 199622930 /nfs/dbraw/zinc/62/29/30/199622930.db2.gz DORYYWRMGBBOLJ-UHFFFAOYSA-N 0 0 261.224 2.677 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N[C@@H]1CCCOC1 ZINC000171579947 188744013 /nfs/dbraw/zinc/74/40/13/188744013.db2.gz RWOKEFVTJAWABC-SECBINFHSA-N 0 0 299.714 2.549 20 5 CFBDRN C[C@H](NC(=O)N(C)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000271764590 191313325 /nfs/dbraw/zinc/31/33/25/191313325.db2.gz WGNZUJOLGUVXTO-JTQLQIEISA-N 0 0 277.324 2.850 20 5 CFBDRN CCC[C@H]1SCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000172394111 188799564 /nfs/dbraw/zinc/79/95/64/188799564.db2.gz MACRBZLYCPYLMS-SECBINFHSA-N 0 0 286.378 2.972 20 5 CFBDRN COc1ccc(OCc2ccn(C(C)C)n2)c([N+](=O)[O-])c1 ZINC000172217558 188788948 /nfs/dbraw/zinc/78/89/48/188788948.db2.gz OUSMKNNNRXFXNK-UHFFFAOYSA-N 0 0 291.307 2.960 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000172638510 188818352 /nfs/dbraw/zinc/81/83/52/188818352.db2.gz YVOYDDSYRSBVAL-NWDGAFQWSA-N 0 0 276.336 2.784 20 5 CFBDRN CC(C)[C@@H](C)SCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000172972047 188836769 /nfs/dbraw/zinc/83/67/69/188836769.db2.gz SSNCKDLSFRKYEJ-SECBINFHSA-N 0 0 282.365 2.971 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000172996665 188837553 /nfs/dbraw/zinc/83/75/53/188837553.db2.gz RRWXAAZICDIHHW-BJHJDKERSA-N 0 0 276.336 2.832 20 5 CFBDRN CCc1cnc(CNC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000173045612 188839831 /nfs/dbraw/zinc/83/98/31/188839831.db2.gz JSXMXYQVPIDMSF-UHFFFAOYSA-N 0 0 297.361 2.605 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC=CCC1 ZINC000172482724 188806745 /nfs/dbraw/zinc/80/67/45/188806745.db2.gz JDOYEVZIROEAQL-LLVKDONJSA-N 0 0 260.293 2.742 20 5 CFBDRN CC[C@@]1(C)CCCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000173488457 188856024 /nfs/dbraw/zinc/85/60/24/188856024.db2.gz DYZCJBYHZFNARK-HNNXBMFYSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@](C)(O)C(F)(F)F ZINC000271919535 191409648 /nfs/dbraw/zinc/40/96/48/191409648.db2.gz DBUVPIAKSFXTLL-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@](C)(O)C(F)(F)F ZINC000271923222 191411011 /nfs/dbraw/zinc/41/10/11/191411011.db2.gz FEOVDUDIEDFQFM-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN CC1(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000272257623 191613967 /nfs/dbraw/zinc/61/39/67/191613967.db2.gz ARJZCIIICTZIIV-UHFFFAOYSA-N 0 0 284.262 2.745 20 5 CFBDRN CC(C)CSCCNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272658340 191850949 /nfs/dbraw/zinc/85/09/49/191850949.db2.gz HBOJZWOJSWNAJR-UHFFFAOYSA-N 0 0 298.368 2.501 20 5 CFBDRN COC[C@H](C)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000174701795 188914450 /nfs/dbraw/zinc/91/44/50/188914450.db2.gz MBWMZROQGLSYGN-MRVPVSSYSA-N 0 0 290.266 2.891 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCCS1 ZINC000273007248 192015452 /nfs/dbraw/zinc/01/54/52/192015452.db2.gz WMEJIFPQSKRJFO-GFCCVEGCSA-N 0 0 296.348 2.828 20 5 CFBDRN Cc1ccc(N[C@@H](C)c2nnc3n2CCC3)c([N+](=O)[O-])c1 ZINC000273530071 192188747 /nfs/dbraw/zinc/18/87/47/192188747.db2.gz YOSASFXQGDHIPP-JTQLQIEISA-N 0 0 287.323 2.614 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000174941626 188925000 /nfs/dbraw/zinc/92/50/00/188925000.db2.gz IRLHUKWVKLFZTG-SECBINFHSA-N 0 0 268.338 2.965 20 5 CFBDRN O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1C1CCCC1 ZINC000273584666 192215549 /nfs/dbraw/zinc/21/55/49/192215549.db2.gz QEYYGGPAKGMAJH-UHFFFAOYSA-N 0 0 285.303 2.934 20 5 CFBDRN CCS[C@@H]1CCCC[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000273570850 192209130 /nfs/dbraw/zinc/20/91/30/192209130.db2.gz AEPDUTWDIIVEHQ-GHMZBOCLSA-N 0 0 282.369 2.861 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cn1)[C@@H](C)c1ccccc1 ZINC000273603669 192226179 /nfs/dbraw/zinc/22/61/79/192226179.db2.gz JZBLLKHYOUPZMO-MNOVXSKESA-N 0 0 272.308 2.989 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cn1)[C@H](C)c1ccccc1 ZINC000273603672 192226382 /nfs/dbraw/zinc/22/63/82/192226382.db2.gz JZBLLKHYOUPZMO-WDEREUQCSA-N 0 0 272.308 2.989 20 5 CFBDRN COc1ccc(C)cc1[C@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000273600364 192224876 /nfs/dbraw/zinc/22/48/76/192224876.db2.gz JHJNOOIJDZHQSW-JTQLQIEISA-N 0 0 288.307 2.875 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000175167871 188937134 /nfs/dbraw/zinc/93/71/34/188937134.db2.gz QOMKXDLRGURETM-VXGBXAGGSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@@H]1CCCC[C@H]1c1noc(-c2nn(C)cc2[N+](=O)[O-])n1 ZINC000273741152 192287652 /nfs/dbraw/zinc/28/76/52/192287652.db2.gz BRQMIKQXXSIPOF-RKDXNWHRSA-N 0 0 291.311 2.672 20 5 CFBDRN CC/C=C/CNC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000295984228 199743125 /nfs/dbraw/zinc/74/31/25/199743125.db2.gz QAYLGUSBGOKRHV-ONEGZZNKSA-N 0 0 290.319 2.640 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000273783502 192306421 /nfs/dbraw/zinc/30/64/21/192306421.db2.gz TYBGSSIWBQOHMS-SECBINFHSA-N 0 0 291.307 2.878 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC=C(F)C1 ZINC000274515475 192630771 /nfs/dbraw/zinc/63/07/71/192630771.db2.gz VYUACEQPGDTSAO-UHFFFAOYSA-N 0 0 284.674 2.948 20 5 CFBDRN CCOC(=O)c1cc(NC[C@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000569163915 290589371 /nfs/dbraw/zinc/58/93/71/290589371.db2.gz QZFFJVVKNGEXPO-NXEZZACHSA-N 0 0 278.308 2.839 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCOCC1CCC1 ZINC000569180179 290589654 /nfs/dbraw/zinc/58/96/54/290589654.db2.gz YGAREMAOOWRMRK-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN Cc1nn(CC(=O)c2ccc(C)cc2C)cc1[N+](=O)[O-] ZINC000294358608 199011521 /nfs/dbraw/zinc/01/15/21/199011521.db2.gz ZTSHJHOSFHFNKE-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN C[C@H](c1ccco1)N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294332256 199001900 /nfs/dbraw/zinc/00/19/00/199001900.db2.gz KWEDSYUDOKFTPU-SNVBAGLBSA-N 0 0 268.313 2.635 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000294363160 199013720 /nfs/dbraw/zinc/01/37/20/199013720.db2.gz XFLLXVYCCVHDRR-VHSXEESVSA-N 0 0 289.335 2.650 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000294368900 199014096 /nfs/dbraw/zinc/01/40/96/199014096.db2.gz XKUFVHSUDKVKBA-YUMQZZPRSA-N 0 0 268.700 2.729 20 5 CFBDRN Cc1ccccc1C[C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294515326 199068529 /nfs/dbraw/zinc/06/85/29/199068529.db2.gz QAPUCHIWNGQNPZ-CYBMUJFWSA-N 0 0 292.379 2.878 20 5 CFBDRN CC1(C)C[C@@H](O)CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000296104999 199785036 /nfs/dbraw/zinc/78/50/36/199785036.db2.gz UVJXCUHRJARXQL-ZDUSSCGKSA-N 0 0 264.325 2.582 20 5 CFBDRN COC(=O)Cc1ccc(NCC2CCC2)c([N+](=O)[O-])c1 ZINC000296244723 199829428 /nfs/dbraw/zinc/82/94/28/199829428.db2.gz FJTNPNBPJRDPRB-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc(OCCc2cscn2)cc1[N+](=O)[O-] ZINC000418924087 236413527 /nfs/dbraw/zinc/41/35/27/236413527.db2.gz OMLCCGFVGOLAFY-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN CC[C@](C)(O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294779595 199179872 /nfs/dbraw/zinc/17/98/72/199179872.db2.gz ALOCAAKJVJFCBC-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])c2ccccc2O1 ZINC000294765941 199173104 /nfs/dbraw/zinc/17/31/04/199173104.db2.gz YPCKHTUQDYAPMA-LLVKDONJSA-N 0 0 292.335 2.636 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](C)CCCO ZINC000294857314 199216930 /nfs/dbraw/zinc/21/69/30/199216930.db2.gz BSLFHGHAVZNXIS-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)CC(C)(C)O)c1 ZINC000294877804 199226661 /nfs/dbraw/zinc/22/66/61/199226661.db2.gz BSECOUWZPUDTEX-SNVBAGLBSA-N 0 0 252.314 2.865 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCC(C)(C)CO ZINC000294864742 199221389 /nfs/dbraw/zinc/22/13/89/199221389.db2.gz CNEDWQVAJHOUMU-UHFFFAOYSA-N 0 0 282.340 2.562 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1cc(C2CC2)ccc1F ZINC000294868176 199222198 /nfs/dbraw/zinc/22/21/98/199222198.db2.gz DVFRRYHBUCMBHJ-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN C[C@@H](CCO)CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000294886868 199232569 /nfs/dbraw/zinc/23/25/69/199232569.db2.gz GOJFCIOSYUHABT-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@H](CCO)CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000294899185 199238043 /nfs/dbraw/zinc/23/80/43/199238043.db2.gz HZCJBQAHYCLJBJ-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1nc(N[C@@H]2CC23CCCCC3)ncc1[N+](=O)[O-] ZINC000294907014 199242507 /nfs/dbraw/zinc/24/25/07/199242507.db2.gz HOWQXEXXKHQVGC-LLVKDONJSA-N 0 0 262.313 2.828 20 5 CFBDRN CS[C@@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000294931566 199255550 /nfs/dbraw/zinc/25/55/50/199255550.db2.gz HKOLDORIMHLEFJ-SNVBAGLBSA-N 0 0 252.339 2.845 20 5 CFBDRN CC(=O)c1cc(NCCC(C)(C)CO)ccc1[N+](=O)[O-] ZINC000294940226 199261183 /nfs/dbraw/zinc/26/11/83/199261183.db2.gz LBLCCFAEXPDNED-UHFFFAOYSA-N 0 0 280.324 2.618 20 5 CFBDRN COc1cc(N2CC[C@H](SC)C2)c([N+](=O)[O-])cc1F ZINC000294939719 199261237 /nfs/dbraw/zinc/26/12/37/199261237.db2.gz ICYVGGQTVWBYSW-QMMMGPOBSA-N 0 0 286.328 2.684 20 5 CFBDRN Cc1cc(NCc2nnc(C(C)C)[nH]2)c(F)cc1[N+](=O)[O-] ZINC000294933738 199257580 /nfs/dbraw/zinc/25/75/80/199257580.db2.gz KKEQTAPUTNSYQC-UHFFFAOYSA-N 0 0 293.302 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2C[C@@H](O)C2)c2ccccc21 ZINC000421221057 236760800 /nfs/dbraw/zinc/76/08/00/236760800.db2.gz YJWYCLPXFTXERJ-PHIMTYICSA-N 0 0 273.288 2.898 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@]1(C)CCCO1 ZINC000421522910 236832670 /nfs/dbraw/zinc/83/26/70/236832670.db2.gz NFVLGAMDRPBSKU-HNNXBMFYSA-N 0 0 292.335 2.511 20 5 CFBDRN CC/C=C/CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000296826000 200008247 /nfs/dbraw/zinc/00/82/47/200008247.db2.gz HFZGIDZJWLCSPQ-ONEGZZNKSA-N 0 0 268.700 2.944 20 5 CFBDRN Cc1cc(NC(=O)N2CCC[C@H]2CF)ccc1[N+](=O)[O-] ZINC000296897750 200029244 /nfs/dbraw/zinc/02/92/44/200029244.db2.gz RSNWQCFVVJXJKY-NSHDSACASA-N 0 0 281.287 2.869 20 5 CFBDRN C[C@H](NC(=O)OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000296975442 200051605 /nfs/dbraw/zinc/05/16/05/200051605.db2.gz IIIJFFBDDLTZDW-ZETCQYMHSA-N 0 0 292.213 2.944 20 5 CFBDRN Cc1cc(NC(=O)N(C)[C@@H](C)C(C)(C)O)ccc1[N+](=O)[O-] ZINC000296956508 200045458 /nfs/dbraw/zinc/04/54/58/200045458.db2.gz WDTZSUMVOMCNRP-JTQLQIEISA-N 0 0 295.339 2.526 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(-c2nc(CCCF)no2)c1 ZINC000297159367 200101133 /nfs/dbraw/zinc/10/11/33/200101133.db2.gz CSTASOLPJICEFY-UHFFFAOYSA-N 0 0 295.270 2.946 20 5 CFBDRN CC(C)N(C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000297223876 200118911 /nfs/dbraw/zinc/11/89/11/200118911.db2.gz WAWMWQABNRMILN-JTQLQIEISA-N 0 0 265.313 2.706 20 5 CFBDRN COC(=O)Cc1ccc(NC2CC(C)C2)c([N+](=O)[O-])c1 ZINC000297429037 200187382 /nfs/dbraw/zinc/18/73/82/200187382.db2.gz HSYBBPMZXXJJIQ-UHFFFAOYSA-N 0 0 278.308 2.521 20 5 CFBDRN CNC(=O)c1ccc(N2C[C@@H](C)CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000266485828 283480763 /nfs/dbraw/zinc/48/07/63/283480763.db2.gz RFGOQMGMYQWUKW-WDEREUQCSA-N 0 0 291.351 2.579 20 5 CFBDRN CCC[C@H](OC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000297485448 200207500 /nfs/dbraw/zinc/20/75/00/200207500.db2.gz LZPHJEUFMNXMPL-GWCFXTLKSA-N 0 0 280.324 2.587 20 5 CFBDRN COc1cc(C(=O)N(C(C)C)C2CC2)c([N+](=O)[O-])cc1F ZINC000297491910 200209451 /nfs/dbraw/zinc/20/94/51/200209451.db2.gz CLQVCULVCQXQDA-UHFFFAOYSA-N 0 0 296.298 2.756 20 5 CFBDRN CN(CCF)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000297533556 200221429 /nfs/dbraw/zinc/22/14/29/200221429.db2.gz TUULXHVJLAQQDO-UHFFFAOYSA-N 0 0 275.667 2.681 20 5 CFBDRN Cc1nsc(C)c1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000297738017 200272031 /nfs/dbraw/zinc/27/20/31/200272031.db2.gz ODURMVMGXVGSIW-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CC(C)(CCC(=O)Nc1c(F)cc(F)cc1F)[N+](=O)[O-] ZINC000297695239 200263508 /nfs/dbraw/zinc/26/35/08/200263508.db2.gz LXDORRZPXRHCJD-UHFFFAOYSA-N 0 0 290.241 2.878 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000297735708 200271234 /nfs/dbraw/zinc/27/12/34/200271234.db2.gz HQLVZFRYDLGWDJ-SECBINFHSA-N 0 0 266.272 2.667 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000297819278 200286841 /nfs/dbraw/zinc/28/68/41/200286841.db2.gz PXJDYAHUHVTPQI-LURJTMIESA-N 0 0 274.198 2.636 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NOC(C)C ZINC000297765676 200276785 /nfs/dbraw/zinc/27/67/85/200276785.db2.gz LEJJEFAEOZXYRN-UHFFFAOYSA-N 0 0 267.285 2.673 20 5 CFBDRN CC1=C(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)SCCO1 ZINC000298104798 200334948 /nfs/dbraw/zinc/33/49/48/200334948.db2.gz OCNIVOVHXCILGU-UHFFFAOYSA-N 0 0 294.332 2.553 20 5 CFBDRN CCOc1cc(CSCCCO)ccc1[N+](=O)[O-] ZINC000298261782 200375604 /nfs/dbraw/zinc/37/56/04/200375604.db2.gz OMKKIXUAKCEQOB-UHFFFAOYSA-N 0 0 271.338 2.609 20 5 CFBDRN Cc1c(C(=O)NCC2CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000298205371 200360664 /nfs/dbraw/zinc/36/06/64/200360664.db2.gz CVIKGKUAGIOQNN-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN CCCc1ccc(Cn2ccc(=O)c([N+](=O)[O-])c2)cc1 ZINC000176990599 189019187 /nfs/dbraw/zinc/01/91/87/189019187.db2.gz PYMAXCJBNFQXDL-UHFFFAOYSA-N 0 0 272.304 2.757 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000177009307 189020599 /nfs/dbraw/zinc/02/05/99/189020599.db2.gz FHZFXPFSRHHPIJ-JGVFFNPUSA-N 0 0 268.338 2.835 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000177023664 189021768 /nfs/dbraw/zinc/02/17/68/189021768.db2.gz HOTATEHGRZFNSQ-GXSJLCMTSA-N 0 0 262.309 2.774 20 5 CFBDRN CO[C@H](C)c1noc(COc2ccc(C)cc2[N+](=O)[O-])n1 ZINC000177211241 189036538 /nfs/dbraw/zinc/03/65/38/189036538.db2.gz OHVHLXNRSSFWQB-SECBINFHSA-N 0 0 293.279 2.573 20 5 CFBDRN C[C@@]1(CNC(=O)c2csc([N+](=O)[O-])c2)CCCS1 ZINC000177299580 189042801 /nfs/dbraw/zinc/04/28/01/189042801.db2.gz BGIWPNIVMXMARN-NSHDSACASA-N 0 0 286.378 2.672 20 5 CFBDRN CC(C)C1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000177396481 189047625 /nfs/dbraw/zinc/04/76/25/189047625.db2.gz WWCLULROBRXOBJ-UHFFFAOYSA-N 0 0 262.309 2.761 20 5 CFBDRN CC(C)C1(CNC(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000177400404 189048118 /nfs/dbraw/zinc/04/81/18/189048118.db2.gz DIUKMZMNFQVVST-UHFFFAOYSA-N 0 0 262.309 2.761 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1CCC[C@@H](CO)C1 ZINC000298587783 200476604 /nfs/dbraw/zinc/47/66/04/200476604.db2.gz LZOPDJVADLTSET-NXEZZACHSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCC[C@@H](CO)C1 ZINC000298657511 200495249 /nfs/dbraw/zinc/49/52/49/200495249.db2.gz SRDHXHQXPSQQGX-GHMZBOCLSA-N 0 0 250.298 2.558 20 5 CFBDRN COCCCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177873945 189076225 /nfs/dbraw/zinc/07/62/25/189076225.db2.gz XKZBJBXMUFBWQC-LLVKDONJSA-N 0 0 280.324 2.507 20 5 CFBDRN CO[C@@H]1CCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000178013638 189085485 /nfs/dbraw/zinc/08/54/85/189085485.db2.gz WLPQUDIURGYUKY-LLVKDONJSA-N 0 0 284.743 2.859 20 5 CFBDRN COc1ccc(CNC(=O)CC2CCCC2)cc1[N+](=O)[O-] ZINC000298833981 200543886 /nfs/dbraw/zinc/54/38/86/200543886.db2.gz BEZOJNHTVPDBCY-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN CC(C)OCCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000298846303 200547398 /nfs/dbraw/zinc/54/73/98/200547398.db2.gz GZWNFJLYDOYLHT-NSHDSACASA-N 0 0 280.324 2.587 20 5 CFBDRN CCS[C@H]1CCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000178099277 189090451 /nfs/dbraw/zinc/09/04/51/189090451.db2.gz DDUZTCZZQPDQRB-OLZOCXBDSA-N 0 0 294.376 2.999 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000298884824 200556220 /nfs/dbraw/zinc/55/62/20/200556220.db2.gz MFXGVSMSTSHHEP-ZJUUUORDSA-N 0 0 265.313 2.574 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn(CC(F)F)c2)n1 ZINC000178228070 189099396 /nfs/dbraw/zinc/09/93/96/189099396.db2.gz RWNXHZCAKSXVGJ-UHFFFAOYSA-N 0 0 283.238 2.503 20 5 CFBDRN CC(=O)c1ccc(NCC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000224862260 540761342 /nfs/dbraw/zinc/76/13/42/540761342.db2.gz VUYHJPHPXUGYRE-UHFFFAOYSA-N 0 0 294.307 2.551 20 5 CFBDRN C[C@H](C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F)C1CC1 ZINC000178409898 189113651 /nfs/dbraw/zinc/11/36/51/189113651.db2.gz DIGJFOVURLQYJS-LURJTMIESA-N 0 0 270.235 2.858 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000178584259 189128588 /nfs/dbraw/zinc/12/85/88/189128588.db2.gz WXVTVNNHRMERBY-GHMZBOCLSA-N 0 0 277.324 2.535 20 5 CFBDRN C[C@@H](c1ccco1)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CC1 ZINC000299125145 200616257 /nfs/dbraw/zinc/61/62/57/200616257.db2.gz HJGRAFMPGQGBOB-VIFPVBQESA-N 0 0 289.291 2.882 20 5 CFBDRN CCC[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000197583261 540809402 /nfs/dbraw/zinc/80/94/02/540809402.db2.gz GRXIMXAQHFHQJM-SECBINFHSA-N 0 0 251.286 2.905 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000299334983 200684742 /nfs/dbraw/zinc/68/47/42/200684742.db2.gz BDMVOHSBTBLXCW-VHSXEESVSA-N 0 0 298.314 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@H]2CCOC2)cc1 ZINC000179149897 189172897 /nfs/dbraw/zinc/17/28/97/189172897.db2.gz BPJAEJZRCHZPKQ-NSHDSACASA-N 0 0 253.323 2.865 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000285172978 291241791 /nfs/dbraw/zinc/24/17/91/291241791.db2.gz MKEKEIQYGOTCNQ-CQSZACIVSA-N 0 0 294.351 2.614 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000179299489 189184289 /nfs/dbraw/zinc/18/42/89/189184289.db2.gz XTBGCPZFHPEJPP-VXGBXAGGSA-N 0 0 291.351 2.782 20 5 CFBDRN CCc1nn(C)c(N[C@@H](C)c2cccc(O)c2)c1[N+](=O)[O-] ZINC000179580924 189204314 /nfs/dbraw/zinc/20/43/14/189204314.db2.gz CRVLEKAYAPROOE-VIFPVBQESA-N 0 0 290.323 2.769 20 5 CFBDRN CCC[C@@H]1CCCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342507500 283609301 /nfs/dbraw/zinc/60/93/01/283609301.db2.gz IJFDUJFJBISZEU-LLVKDONJSA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@]2(CCOC2)C1 ZINC000301613029 540915425 /nfs/dbraw/zinc/91/54/25/540915425.db2.gz SUYJKYMYVSAEOR-CQSZACIVSA-N 0 0 262.309 2.520 20 5 CFBDRN CCCN(c1nccc(C(=O)OCC)c1[N+](=O)[O-])C1CC1 ZINC000179990064 189231224 /nfs/dbraw/zinc/23/12/24/189231224.db2.gz LFOGPXWORSLUHU-UHFFFAOYSA-N 0 0 293.323 2.545 20 5 CFBDRN CN(Cc1c[nH]cn1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000179788190 189219985 /nfs/dbraw/zinc/21/99/85/189219985.db2.gz NBXNTEJJBZEYEK-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000179788835 189220162 /nfs/dbraw/zinc/22/01/62/189220162.db2.gz SWKFDIROEAWJCD-HZMBPMFUSA-N 0 0 290.319 2.563 20 5 CFBDRN Cc1cc(C)cc([C@@H](C)Nc2ncc([N+](=O)[O-])c(N)n2)c1 ZINC000301215719 200939536 /nfs/dbraw/zinc/93/95/36/200939536.db2.gz ZXQSPFPLOPARNC-SNVBAGLBSA-N 0 0 287.323 2.757 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCCCO2)c1 ZINC000301432761 201007123 /nfs/dbraw/zinc/00/71/23/201007123.db2.gz HQMCDDGFZDHYSX-VIFPVBQESA-N 0 0 284.287 2.724 20 5 CFBDRN COC[C@H](Nc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC000301425951 201004861 /nfs/dbraw/zinc/00/48/61/201004861.db2.gz OYANKZSGKVAKFB-LBPRGKRZSA-N 0 0 273.292 2.789 20 5 CFBDRN Cc1cc(NCCn2cccn2)c(Cl)cc1[N+](=O)[O-] ZINC000301447365 201010744 /nfs/dbraw/zinc/01/07/44/201010744.db2.gz QVQQXVJIDVEIIV-UHFFFAOYSA-N 0 0 280.715 2.865 20 5 CFBDRN Cc1cc(N2CCC(CO)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000301459501 201015795 /nfs/dbraw/zinc/01/57/95/201015795.db2.gz YMYHIAUIKUDLBO-UHFFFAOYSA-N 0 0 284.743 2.765 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1ncc(Cl)cn1 ZINC000301482686 201025070 /nfs/dbraw/zinc/02/50/70/201025070.db2.gz FQTRGVVRLBLJBN-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1cccs1 ZINC000301529010 201049564 /nfs/dbraw/zinc/04/95/64/201049564.db2.gz GYEUBQVVAOULBO-ZETCQYMHSA-N 0 0 279.325 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCn2cccc2)c(Cl)c1 ZINC000301499403 201031875 /nfs/dbraw/zinc/03/18/75/201031875.db2.gz ADBKXUUFJUEVLM-UHFFFAOYSA-N 0 0 266.688 2.557 20 5 CFBDRN CC(=O)N1CCC(CCNc2ccc([N+](=O)[O-])cc2)CC1 ZINC000301545691 201061006 /nfs/dbraw/zinc/06/10/06/201061006.db2.gz DRYZHKPGOGDYKX-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CCOc1cc(N2CC[C@@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000301596259 201087032 /nfs/dbraw/zinc/08/70/32/201087032.db2.gz GEWSTJNZNSAWKO-OAHLLOKOSA-N 0 0 292.335 2.610 20 5 CFBDRN CC[C@H]1COCCN1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182761182 189333961 /nfs/dbraw/zinc/33/39/61/189333961.db2.gz KKSMIZKIAQPPMO-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CCOc1cc(N[C@@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000301576291 201077703 /nfs/dbraw/zinc/07/77/03/201077703.db2.gz MIHLJJGQMJADJC-JTQLQIEISA-N 0 0 290.323 2.900 20 5 CFBDRN Cc1cc(C)cc(CSc2ncc([N+](=O)[O-])c(N)n2)c1 ZINC000301580995 201079130 /nfs/dbraw/zinc/07/91/30/201079130.db2.gz SZFXKKGFUIUKFU-UHFFFAOYSA-N 0 0 290.348 2.876 20 5 CFBDRN Cc1cc(N[C@H](C)C[C@H](O)c2ccco2)ncc1[N+](=O)[O-] ZINC000301627766 201104363 /nfs/dbraw/zinc/10/43/63/201104363.db2.gz HKMGLAUQPGVGNV-PWSUYJOCSA-N 0 0 291.307 2.815 20 5 CFBDRN Cc1nc(CN(C)c2ccc([N+](=O)[O-])c(C)n2)cs1 ZINC000301630020 201104891 /nfs/dbraw/zinc/10/48/91/201104891.db2.gz IRSKTQLMIPZGBR-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1ccc(N2CC[C@@H](Oc3ccncc3)C2)c([N+](=O)[O-])c1 ZINC000301636715 201108925 /nfs/dbraw/zinc/10/89/25/201108925.db2.gz LQQINTVIYPRROT-CQSZACIVSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCCOCC1 ZINC000182987930 189341965 /nfs/dbraw/zinc/34/19/65/189341965.db2.gz GYLBHRXRSJMORX-NSHDSACASA-N 0 0 250.298 2.884 20 5 CFBDRN CCC1(CC)[C@@H](Nc2ccc([N+](=O)[O-])cn2)C[C@@H]1OC ZINC000301656586 201117972 /nfs/dbraw/zinc/11/79/72/201117972.db2.gz BBJQLYPMYYZPLN-RYUDHWBXSA-N 0 0 279.340 2.995 20 5 CFBDRN COCCC1(CNc2ncccc2[N+](=O)[O-])CCC1 ZINC000301656148 201118820 /nfs/dbraw/zinc/11/88/20/201118820.db2.gz LBLVJZJRUZMCIK-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN Cc1nc(Oc2ccc3c[nH]nc3c2)ccc1[N+](=O)[O-] ZINC000301662810 201122323 /nfs/dbraw/zinc/12/23/23/201122323.db2.gz NEDAPNZNNBHSSU-UHFFFAOYSA-N 0 0 270.248 2.967 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])s1)c1nccs1 ZINC000183505269 189360510 /nfs/dbraw/zinc/36/05/10/189360510.db2.gz PBYIQZGBOFDDFN-SSDOTTSWSA-N 0 0 297.361 2.646 20 5 CFBDRN Cc1nc(N[C@H](C)C[C@H](O)c2ccco2)ccc1[N+](=O)[O-] ZINC000301688618 201139589 /nfs/dbraw/zinc/13/95/89/201139589.db2.gz XTTOFONPTKWGKQ-SKDRFNHKSA-N 0 0 291.307 2.815 20 5 CFBDRN CC(C)C1CCN(C(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000183594615 189363613 /nfs/dbraw/zinc/36/36/13/189363613.db2.gz OMTZCSVMZSCKOT-UHFFFAOYSA-N 0 0 292.339 2.890 20 5 CFBDRN C[C@H](NC(=O)Nc1ccncc1[N+](=O)[O-])C1CCCC1 ZINC000183634088 189364845 /nfs/dbraw/zinc/36/48/45/189364845.db2.gz WHUHIFFUNIQCDA-VIFPVBQESA-N 0 0 278.312 2.690 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183646758 189365153 /nfs/dbraw/zinc/36/51/53/189365153.db2.gz OQGXRWRHTLWKKH-VHSXEESVSA-N 0 0 280.328 2.936 20 5 CFBDRN Cc1cc(C(=O)N2CCCC3(CC3)C2)c(N)c([N+](=O)[O-])c1 ZINC000184126162 189376794 /nfs/dbraw/zinc/37/67/94/189376794.db2.gz JCBVCAJBWUQIIT-UHFFFAOYSA-N 0 0 289.335 2.502 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCCC2(CC2)C1 ZINC000184133984 189376919 /nfs/dbraw/zinc/37/69/19/189376919.db2.gz NHAFYQWWEYTVDZ-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN CCOc1cccc(NC[C@H](C)C[C@H](C)O)c1[N+](=O)[O-] ZINC000184121612 189376926 /nfs/dbraw/zinc/37/69/26/189376926.db2.gz WKWDGQAIMOBLMK-MNOVXSKESA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1nc(C(F)(F)F)c(Cn2cc([N+](=O)[O-])cn2)s1 ZINC000183870230 189369980 /nfs/dbraw/zinc/36/99/80/189369980.db2.gz LLSNSYSBNLVVFQ-UHFFFAOYSA-N 0 0 292.242 2.623 20 5 CFBDRN Cc1nn(C)c(N2CC[C@H](C)C[C@@H](C)C2)c1[N+](=O)[O-] ZINC000301788301 201197336 /nfs/dbraw/zinc/19/73/36/201197336.db2.gz XPDGGJZHFPNVOW-VHSXEESVSA-N 0 0 266.345 2.509 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCN(c2ccccn2)C1 ZINC000301784492 201195640 /nfs/dbraw/zinc/19/56/40/201195640.db2.gz VDNPYHKFGYYTAN-LBPRGKRZSA-N 0 0 284.319 2.681 20 5 CFBDRN CC(C)C[C@@H]1OCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000184767131 189395311 /nfs/dbraw/zinc/39/53/11/189395311.db2.gz LNUQNVWVTQBRJF-JQWIXIFHSA-N 0 0 296.323 2.511 20 5 CFBDRN COCCOCCSCCc1ccc([N+](=O)[O-])cc1 ZINC000184644250 189391395 /nfs/dbraw/zinc/39/13/95/189391395.db2.gz HREGKFNCSQPOHO-UHFFFAOYSA-N 0 0 285.365 2.534 20 5 CFBDRN COC[C@@H](NCc1ccccc1[N+](=O)[O-])c1ccc(C)o1 ZINC000184688132 189392732 /nfs/dbraw/zinc/39/27/32/189392732.db2.gz WRIOTKYJINGLNR-CYBMUJFWSA-N 0 0 290.319 2.974 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC(CC(F)F)C1 ZINC000425349486 239011164 /nfs/dbraw/zinc/01/11/64/239011164.db2.gz DICOJWKYIVPSEH-UHFFFAOYSA-N 0 0 256.252 2.682 20 5 CFBDRN Cc1c(CNc2ccc3cc([N+](=O)[O-])ccc3n2)cnn1C ZINC000301842567 201227746 /nfs/dbraw/zinc/22/77/46/201227746.db2.gz QJSOSZOZIRIGNR-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CC1CCC(N(C)c2ccc3ncc([N+](=O)[O-])n3n2)CC1 ZINC000301868174 201240268 /nfs/dbraw/zinc/24/02/68/201240268.db2.gz CCTYCHVLTQXLRU-UHFFFAOYSA-N 0 0 289.339 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(c2ncccn2)C2CC2)cc1 ZINC000301890871 201251120 /nfs/dbraw/zinc/25/11/20/201251120.db2.gz LTJBPWJJUMSNNG-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN CC(C)(C)Oc1cc(NCCCO)ccc1[N+](=O)[O-] ZINC000301899584 201253942 /nfs/dbraw/zinc/25/39/42/201253942.db2.gz YHLQHKYWYRGZHU-UHFFFAOYSA-N 0 0 268.313 2.566 20 5 CFBDRN C[C@@H]1CC(Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000301913008 201258664 /nfs/dbraw/zinc/25/86/64/201258664.db2.gz CHWAPHSNYJOHPF-RKDXNWHRSA-N 0 0 295.295 2.871 20 5 CFBDRN CCc1ncnc(Oc2ccc(C=O)cc2[N+](=O)[O-])c1F ZINC000301951050 201273682 /nfs/dbraw/zinc/27/36/82/201273682.db2.gz ZVPLUXBJWRTHLS-UHFFFAOYSA-N 0 0 291.238 2.691 20 5 CFBDRN CN(CC1CCOCC1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000301972015 201282506 /nfs/dbraw/zinc/28/25/06/201282506.db2.gz SWRJZFTVEFIWLK-UHFFFAOYSA-N 0 0 286.278 2.736 20 5 CFBDRN CN(CC1CCOCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000301980162 201285302 /nfs/dbraw/zinc/28/53/02/201285302.db2.gz XPALIOYTZBMPHA-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN Cc1cc(N(C)CC[C@H](C)O)c2cccc([N+](=O)[O-])c2n1 ZINC000301984827 201287445 /nfs/dbraw/zinc/28/74/45/201287445.db2.gz CHTWFKLUSONSTH-NSHDSACASA-N 0 0 289.335 2.659 20 5 CFBDRN COc1cccc(NC[C@@](C)(O)c2ccco2)c1[N+](=O)[O-] ZINC000185480647 189414576 /nfs/dbraw/zinc/41/45/76/189414576.db2.gz NIEMZFZEDZDVIX-CQSZACIVSA-N 0 0 292.291 2.516 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1ccc([N+](=O)[O-])cc1 ZINC000302014426 201298257 /nfs/dbraw/zinc/29/82/57/201298257.db2.gz BOLXNRPRCOBYOA-BXUZGUMPSA-N 0 0 264.325 2.582 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1ccccc1[N+](=O)[O-] ZINC000302014398 201298811 /nfs/dbraw/zinc/29/88/11/201298811.db2.gz BMRRZQMALIQRAE-SMDDNHRTSA-N 0 0 264.325 2.582 20 5 CFBDRN C[C@@](O)(CNc1sccc1[N+](=O)[O-])c1ccco1 ZINC000302029791 201308290 /nfs/dbraw/zinc/30/82/90/201308290.db2.gz RQQBANYVOIRJLP-LLVKDONJSA-N 0 0 268.294 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Sc1ncnn1C ZINC000185738728 189420496 /nfs/dbraw/zinc/42/04/96/189420496.db2.gz RRYIPVODJWDIDC-SECBINFHSA-N 0 0 278.337 2.885 20 5 CFBDRN CCC(CC)[C@H](O)CNc1sccc1[N+](=O)[O-] ZINC000302036282 201313194 /nfs/dbraw/zinc/31/31/94/201313194.db2.gz USVQDKOTAZOUKN-SNVBAGLBSA-N 0 0 258.343 2.865 20 5 CFBDRN Cc1cccnc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000426086745 239164753 /nfs/dbraw/zinc/16/47/53/239164753.db2.gz VHVQEGKWDQNBSQ-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN CC(C)(C)[S@](=O)CCNc1sccc1[N+](=O)[O-] ZINC000302039098 201314920 /nfs/dbraw/zinc/31/49/20/201314920.db2.gz VUXOARHSFWAJKL-QGZVFWFLSA-N 0 0 276.383 2.615 20 5 CFBDRN Cc1ccc(CN(CCO)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000302073134 201331395 /nfs/dbraw/zinc/33/13/95/201331395.db2.gz HQJCULSODYNURS-UHFFFAOYSA-N 0 0 286.331 2.902 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000185865085 189424049 /nfs/dbraw/zinc/42/40/49/189424049.db2.gz LTRDJGHWPLWWSR-NEPJUHHUSA-N 0 0 262.309 2.503 20 5 CFBDRN CCCOC1(CNc2ncc([N+](=O)[O-])cn2)CCCCC1 ZINC000302107606 201344020 /nfs/dbraw/zinc/34/40/20/201344020.db2.gz ALXZLZQVWMXZMH-UHFFFAOYSA-N 0 0 294.355 2.926 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cc(-c3ccccc3)on2)nc1 ZINC000302112362 201345286 /nfs/dbraw/zinc/34/52/86/201345286.db2.gz PYSFKOYBWYQELH-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN CCc1ccc(CN(C)c2ncc([N+](=O)[O-])cn2)cc1 ZINC000302144007 201362691 /nfs/dbraw/zinc/36/26/91/201362691.db2.gz GQSGDUAFGNEZLD-UHFFFAOYSA-N 0 0 272.308 2.584 20 5 CFBDRN Cc1nnc(CNc2ccc(C)cc2[N+](=O)[O-])n1C1CC1 ZINC000302144769 201362910 /nfs/dbraw/zinc/36/29/10/201362910.db2.gz QQDTVJFFEDUDBZ-UHFFFAOYSA-N 0 0 287.323 2.750 20 5 CFBDRN Cc1cc(CNc2ncc([N+](=O)[O-])c(N)n2)ccc1Cl ZINC000302144985 201365927 /nfs/dbraw/zinc/36/59/27/201365927.db2.gz QRFZEBREDJZERB-UHFFFAOYSA-N 0 0 293.714 2.541 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2coc(-c3ccccc3)n2)nc1 ZINC000302145248 201366136 /nfs/dbraw/zinc/36/61/36/201366136.db2.gz HHJFTNHXWOWDBV-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN CCOc1cc(N2CCC(C)(CO)CC2)ccc1[N+](=O)[O-] ZINC000302153955 201369888 /nfs/dbraw/zinc/36/98/88/201369888.db2.gz MRGRVVSBSKYTJE-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(C(F)F)cc2)nc1 ZINC000302163169 201374114 /nfs/dbraw/zinc/37/41/14/201374114.db2.gz IGTZIBXQNOWWQQ-UHFFFAOYSA-N 0 0 280.234 2.935 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]2c2c(F)cccc2F)nc1 ZINC000302164032 201374154 /nfs/dbraw/zinc/37/41/54/201374154.db2.gz QJFNFSRLONNFNA-LDYMZIIASA-N 0 0 292.245 2.631 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H]2c2c(F)cccc2F)nc1 ZINC000302164030 201374965 /nfs/dbraw/zinc/37/49/65/201374965.db2.gz QJFNFSRLONNFNA-KCJUWKMLSA-N 0 0 292.245 2.631 20 5 CFBDRN CC(C)Oc1ccc(Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302175654 201382832 /nfs/dbraw/zinc/38/28/32/201382832.db2.gz WXHZKNCUJBGCSF-UHFFFAOYSA-N 0 0 275.264 2.964 20 5 CFBDRN C[C@@H](Cc1cccs1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302175592 201383574 /nfs/dbraw/zinc/38/35/74/201383574.db2.gz WTWRMDZVRYCCRJ-VIFPVBQESA-N 0 0 278.337 2.514 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2C[C@@H]2c2ccccc2)nc1 ZINC000302181860 201385159 /nfs/dbraw/zinc/38/51/59/201385159.db2.gz ZZKZBRWUSQELEM-WCQYABFASA-N 0 0 270.292 2.600 20 5 CFBDRN CC(C)[C@@H](Nc1ncccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000302190886 201388462 /nfs/dbraw/zinc/38/84/62/201388462.db2.gz VOVIZUXEHJAPTR-LLVKDONJSA-N 0 0 295.339 2.768 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1C[C@H]2CCC[C@@H]2C1 ZINC000152971162 283721256 /nfs/dbraw/zinc/72/12/56/283721256.db2.gz OUZIQFGCZYNTGP-SJXIGLKTSA-N 0 0 286.331 2.867 20 5 CFBDRN O=C(C1CC(F)(F)C1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000336311120 283748430 /nfs/dbraw/zinc/74/84/30/283748430.db2.gz YNDUOZZFHJJMAF-UHFFFAOYSA-N 0 0 282.246 2.529 20 5 CFBDRN COC(=O)[C@@H]1CCCCCN1Cc1ccccc1[N+](=O)[O-] ZINC000378567080 291311003 /nfs/dbraw/zinc/31/10/03/291311003.db2.gz HUVOLRKSAQZYSX-AWEZNQCLSA-N 0 0 292.335 2.512 20 5 CFBDRN C[C@H]1[C@H](O)CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000294985768 291312517 /nfs/dbraw/zinc/31/25/17/291312517.db2.gz MLWCCHGUFKRYBC-QPUJVOFHSA-N 0 0 270.716 2.598 20 5 CFBDRN CCOC[C@@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000190969205 189578987 /nfs/dbraw/zinc/57/89/87/189578987.db2.gz MVKZJLMKLXZXII-CQSZACIVSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000430786960 239806362 /nfs/dbraw/zinc/80/63/62/239806362.db2.gz DPNYRNGOHLEHJB-JQWIXIFHSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H]1c2cccn2CCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000015415651 291324589 /nfs/dbraw/zinc/32/45/89/291324589.db2.gz WZGUPMPNGQHSQJ-LBPRGKRZSA-N 0 0 271.320 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@@H]2CCCC[C@@H]2O)cn1 ZINC000432052328 239961140 /nfs/dbraw/zinc/96/11/40/239961140.db2.gz YJZOENHSSDMSQA-AAEUAGOBSA-N 0 0 279.340 2.733 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432134837 239968219 /nfs/dbraw/zinc/96/82/19/239968219.db2.gz WHBAGRNHLGFPRK-QUCGXOGASA-N 0 0 287.319 2.882 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCOCC(F)(F)C(F)F ZINC000433789682 240177695 /nfs/dbraw/zinc/17/76/95/240177695.db2.gz PFUQJXKUZGTZJK-UHFFFAOYSA-N 0 0 296.220 2.924 20 5 CFBDRN Cc1cc[nH]c1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000436104295 240392602 /nfs/dbraw/zinc/39/26/02/240392602.db2.gz LLUBUVGVWYIDMW-UHFFFAOYSA-N 0 0 293.710 2.815 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000436469812 240450935 /nfs/dbraw/zinc/45/09/35/240450935.db2.gz OBOJWVTYNQUBCJ-LLVKDONJSA-N 0 0 280.372 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nnc(C3CC3)o2)c(F)c1 ZINC000275327188 193001510 /nfs/dbraw/zinc/00/15/10/193001510.db2.gz FLDSFCMTOJVFER-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN CN(Cc1ccncc1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000275424874 193032939 /nfs/dbraw/zinc/03/29/39/193032939.db2.gz UPEFGABVQKXYAY-UHFFFAOYSA-N 0 0 284.319 2.594 20 5 CFBDRN Cc1cccc(NC[C@@](C)(O)C(F)(F)F)c1[N+](=O)[O-] ZINC000275436766 193036434 /nfs/dbraw/zinc/03/64/34/193036434.db2.gz JMYQFQYWNFXCPU-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN Cc1cccc(N[C@@H]2c3ccccc3C[C@@H]2O)c1[N+](=O)[O-] ZINC000275495821 193058606 /nfs/dbraw/zinc/05/86/06/193058606.db2.gz RTIQGGZSZYDABQ-LSDHHAIUSA-N 0 0 284.315 2.973 20 5 CFBDRN Cc1cccc(N(C)CCc2ccccn2)c1[N+](=O)[O-] ZINC000275535273 193070980 /nfs/dbraw/zinc/07/09/80/193070980.db2.gz ZBOMGMJJPGDRNA-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CC(C)(C)CN(CCCO)c1ccccc1[N+](=O)[O-] ZINC000439809144 240800997 /nfs/dbraw/zinc/80/09/97/240800997.db2.gz XBFRRWPUVRCBQE-UHFFFAOYSA-N 0 0 266.341 2.830 20 5 CFBDRN CO[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)C1(C)C ZINC000439859131 240806245 /nfs/dbraw/zinc/80/62/45/240806245.db2.gz RDAZNFLNTGMTNV-QWRGUYRKSA-N 0 0 298.364 2.508 20 5 CFBDRN Cc1cc(NCCc2ccc3[nH]ccc3c2)ncc1[N+](=O)[O-] ZINC000440553312 240852839 /nfs/dbraw/zinc/85/28/39/240852839.db2.gz SAVFKJOINNKDCY-UHFFFAOYSA-N 0 0 296.330 2.856 20 5 CFBDRN COC1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)CC1 ZINC000275828132 193140109 /nfs/dbraw/zinc/14/01/09/193140109.db2.gz XJGRMETUQSHLHK-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])s1)c1ccc(F)cc1 ZINC000443505872 241066851 /nfs/dbraw/zinc/06/68/51/241066851.db2.gz CEUONGBJVLDQNF-MRVPVSSYSA-N 0 0 281.312 2.828 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc3c(n2)CCCC3)cn1 ZINC000443961241 241098302 /nfs/dbraw/zinc/09/83/02/241098302.db2.gz KWIANGHHQBMLIU-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN Cc1ccccc1C[C@@H](C)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000444862937 241198454 /nfs/dbraw/zinc/19/84/54/241198454.db2.gz DQHPPRWYKPCMOK-GFCCVEGCSA-N 0 0 286.335 2.761 20 5 CFBDRN COc1cc(Sc2ncco2)c([N+](=O)[O-])cc1OC ZINC000444871342 241198532 /nfs/dbraw/zinc/19/85/32/241198532.db2.gz MAUIOAQUSZODTB-UHFFFAOYSA-N 0 0 282.277 2.751 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCc1ccn(C)n1 ZINC000444830332 241199691 /nfs/dbraw/zinc/19/96/91/241199691.db2.gz VVZHTCXDWALDPY-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC[C@H](C)C1 ZINC000444921337 241200860 /nfs/dbraw/zinc/20/08/60/241200860.db2.gz LOTWHCILVCYVOC-UWVGGRQHSA-N 0 0 293.323 2.914 20 5 CFBDRN CCN(C(=O)CCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000446035458 241262422 /nfs/dbraw/zinc/26/24/22/241262422.db2.gz LOILFKAWEJBKAP-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN COC[C@H](C)CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000446059234 241265406 /nfs/dbraw/zinc/26/54/06/241265406.db2.gz IRGQFGBDTOCREC-MNOVXSKESA-N 0 0 292.335 2.545 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000445501621 241221574 /nfs/dbraw/zinc/22/15/74/241221574.db2.gz GHJCEYLMTTYHRM-CYBMUJFWSA-N 0 0 291.351 2.782 20 5 CFBDRN CSc1nnc(CNc2ccc([N+](=O)[O-])cc2)s1 ZINC000445662839 241229853 /nfs/dbraw/zinc/22/98/53/241229853.db2.gz VRZGSJHKCCQHQK-UHFFFAOYSA-N 0 0 282.350 2.780 20 5 CFBDRN CC1(C)CCC[C@](O)(CNc2ncccc2[N+](=O)[O-])C1 ZINC000302501236 201466396 /nfs/dbraw/zinc/46/63/96/201466396.db2.gz ONVFVDYMTHYYHB-CQSZACIVSA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@]1(C)CCO[C@H]1C ZINC000446485536 241302914 /nfs/dbraw/zinc/30/29/14/241302914.db2.gz QIFCADVPKAQMCI-IINYFYTJSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@@H]1C[C@H](COc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000447312182 241368010 /nfs/dbraw/zinc/36/80/10/241368010.db2.gz LCIOLTXCRDKTDN-NXEZZACHSA-N 0 0 269.272 2.928 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1Cc1ccc(C2CCC2)cc1 ZINC000447327996 241369958 /nfs/dbraw/zinc/36/99/58/241369958.db2.gz WWUWLUQVXIVHPU-UHFFFAOYSA-N 0 0 272.308 2.689 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC[C@@H]1CCO[C@@H](C)C1 ZINC000447402944 241374988 /nfs/dbraw/zinc/37/49/88/241374988.db2.gz BVUHQTCEJDMAMR-WDEREUQCSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1nc2ccccc2[nH]1 ZINC000446970455 241343201 /nfs/dbraw/zinc/34/32/01/241343201.db2.gz BAVVJFCHQPXXTB-UHFFFAOYSA-N 0 0 282.303 2.814 20 5 CFBDRN COc1ccc(NC(=O)c2ccnc(C)c2)c([N+](=O)[O-])c1 ZINC000447608895 241394177 /nfs/dbraw/zinc/39/41/77/241394177.db2.gz XATLSMQHAIYATB-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cccnc1CNCc1ccc(C)c([N+](=O)[O-])c1 ZINC000449749014 242135159 /nfs/dbraw/zinc/13/51/59/242135159.db2.gz OEXIPINMLZRIJX-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/C[N@H+]1CC[C@H](CCCO)C1 ZINC000449791800 242168668 /nfs/dbraw/zinc/16/86/68/242168668.db2.gz QIEWJSSNHQOTSS-RRFAQUSLSA-N 0 0 290.363 2.702 20 5 CFBDRN Cc1nc(NCC2(C)CCCC2)ncc1[N+](=O)[O-] ZINC000450329616 242359773 /nfs/dbraw/zinc/35/97/73/242359773.db2.gz RHJQBTNUOMMGKR-UHFFFAOYSA-N 0 0 250.302 2.685 20 5 CFBDRN C[C@H](c1csnn1)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000450227726 242313752 /nfs/dbraw/zinc/31/37/52/242313752.db2.gz RQHXQVNBZKBLKK-SECBINFHSA-N 0 0 278.337 2.639 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1nc(C2CCC2)no1 ZINC000450240075 242320650 /nfs/dbraw/zinc/32/06/50/242320650.db2.gz GIPSFQVHTUVRGC-UHFFFAOYSA-N 0 0 288.307 2.882 20 5 CFBDRN C[C@H]1C[C@H]1CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450258986 242328321 /nfs/dbraw/zinc/32/83/21/242328321.db2.gz UNASGYUGCNFYOL-ONGXEEELSA-N 0 0 257.293 2.633 20 5 CFBDRN CCSCC[C@@H](C)Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000450359671 242377983 /nfs/dbraw/zinc/37/79/83/242377983.db2.gz HPWJRFLBQXOJBH-MRVPVSSYSA-N 0 0 270.358 2.637 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2nnc(C3CC3)s2)cn1 ZINC000450365860 242379239 /nfs/dbraw/zinc/37/92/39/242379239.db2.gz QMAJBRWWTSYCSC-UHFFFAOYSA-N 0 0 280.334 2.870 20 5 CFBDRN Cc1nc(NC2C(C)(C)C2(C)C)ncc1[N+](=O)[O-] ZINC000450368088 242380672 /nfs/dbraw/zinc/38/06/72/242380672.db2.gz DZOXTJZFTIPRTG-UHFFFAOYSA-N 0 0 250.302 2.540 20 5 CFBDRN Cc1ccsc1[C@H](CO)Nc1ccc([N+](=O)[O-])nc1 ZINC000450368889 242380795 /nfs/dbraw/zinc/38/07/95/242380795.db2.gz KUQMUYOARVGRGX-JTQLQIEISA-N 0 0 279.321 2.505 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(c1nncs1)C1CC1 ZINC000450388484 242391166 /nfs/dbraw/zinc/39/11/66/242391166.db2.gz IHDRVYWTRMRIQB-UHFFFAOYSA-N 0 0 276.321 2.615 20 5 CFBDRN C[C@@H]([C@H]1Cc2ccccc2O1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000450397173 242394954 /nfs/dbraw/zinc/39/49/54/242394954.db2.gz YBUIWQVCWSYDLV-XHDPSFHLSA-N 0 0 299.330 2.818 20 5 CFBDRN C[C@H](CCCCO)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000450643773 242519632 /nfs/dbraw/zinc/51/96/32/242519632.db2.gz BUJQDDLPUCDRSZ-SNVBAGLBSA-N 0 0 279.340 2.526 20 5 CFBDRN COc1cc(N(C)CC[C@H]2CCCO2)c([N+](=O)[O-])cc1F ZINC000302723690 201525347 /nfs/dbraw/zinc/52/53/47/201525347.db2.gz QSCYNQWXAVNCBO-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN Cc1cc(N[C@@H](C(C)C)[C@H](CO)C(C)C)ncc1[N+](=O)[O-] ZINC000450771666 242595320 /nfs/dbraw/zinc/59/53/20/242595320.db2.gz OJDWDAJNNGLFLS-DOMZBBRYSA-N 0 0 295.383 2.999 20 5 CFBDRN CCOc1cc(N2CCCC[C@H]2COC)ccc1[N+](=O)[O-] ZINC000450929320 242674015 /nfs/dbraw/zinc/67/40/15/242674015.db2.gz CBDVCHBGWQKSKG-ZDUSSCGKSA-N 0 0 294.351 2.999 20 5 CFBDRN CCn1nnc(C)c1COc1c(Cl)cccc1[N+](=O)[O-] ZINC000450870371 242648408 /nfs/dbraw/zinc/64/84/08/242648408.db2.gz LONORNFRDHVPMV-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC(C)(C)C(C)(C)O ZINC000450893762 242660258 /nfs/dbraw/zinc/66/02/58/242660258.db2.gz CUHOAQKGCTWKAI-UHFFFAOYSA-N 0 0 296.367 2.950 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCc1ccnn1C ZINC000302798626 201559813 /nfs/dbraw/zinc/55/98/13/201559813.db2.gz BEKVZZKDDYLCRZ-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN O=C(N[C@@H]1C=CCCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000336015885 284016356 /nfs/dbraw/zinc/01/63/56/284016356.db2.gz VFFKGXWSDLTSDT-SNVBAGLBSA-N 0 0 285.303 2.915 20 5 CFBDRN CN(c1cc(F)ccc1[N+](=O)[O-])[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000302821071 201564952 /nfs/dbraw/zinc/56/49/52/201564952.db2.gz QCQLUCFVGMHHCY-HONMWMINSA-N 0 0 294.326 2.984 20 5 CFBDRN O=C(N[C@@H]1CC12CC2)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000335111192 284018753 /nfs/dbraw/zinc/01/87/53/284018753.db2.gz FBVCRBNFBWANGL-GFCCVEGCSA-N 0 0 272.260 2.623 20 5 CFBDRN Cc1ccc(CN(C)Cc2c([N+](=O)[O-])ncn2C)cc1C ZINC000452041112 243021166 /nfs/dbraw/zinc/02/11/66/243021166.db2.gz LIMDXTLDPVTCJS-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN CCCc1n[nH]c(C(=O)NCC2=CCCCC2)c1[N+](=O)[O-] ZINC000452289636 243117472 /nfs/dbraw/zinc/11/74/72/243117472.db2.gz SDPVRQVBRWVSLL-UHFFFAOYSA-N 0 0 292.339 2.501 20 5 CFBDRN CC1(F)CC(NC(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000452276473 243108090 /nfs/dbraw/zinc/10/80/90/243108090.db2.gz LIWZFMAAVUUQQT-UHFFFAOYSA-N 0 0 298.339 2.694 20 5 CFBDRN CCc1ccc(C(=O)NC2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000452279810 243109297 /nfs/dbraw/zinc/10/92/97/243109297.db2.gz NSORUOSAEWHTEZ-UHFFFAOYSA-N 0 0 280.299 2.778 20 5 CFBDRN COc1cc(C(=O)NC2CC(C)(F)C2)cc([N+](=O)[O-])c1C ZINC000452277683 243109963 /nfs/dbraw/zinc/10/99/63/243109963.db2.gz PCDSSZUJCPNKQQ-UHFFFAOYSA-N 0 0 296.298 2.532 20 5 CFBDRN Cc1onc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)c1C ZINC000452158536 243068687 /nfs/dbraw/zinc/06/86/87/243068687.db2.gz CRCARMYRJZKHBC-UHFFFAOYSA-N 0 0 289.291 2.689 20 5 CFBDRN CCc1ccc(C(=O)N[C@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000452459631 243181440 /nfs/dbraw/zinc/18/14/40/243181440.db2.gz PTUIHSJXNBQVSR-MRVPVSSYSA-N 0 0 286.278 2.931 20 5 CFBDRN C[C@@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000452463116 243182472 /nfs/dbraw/zinc/18/24/72/243182472.db2.gz YJPHYPOCGWFPDM-SECBINFHSA-N 0 0 286.278 2.687 20 5 CFBDRN CC/C=C\CNC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000452614922 243247461 /nfs/dbraw/zinc/24/74/61/243247461.db2.gz SRXKTLADIMCQGD-WAYWQWQTSA-N 0 0 262.309 2.853 20 5 CFBDRN CCC[C@@H](NC(=O)CCn1cc([N+](=O)[O-])cn1)C(C)(C)C ZINC000452660598 243254823 /nfs/dbraw/zinc/25/48/23/243254823.db2.gz IAZXRDNDSMUOMH-GFCCVEGCSA-N 0 0 296.371 2.512 20 5 CFBDRN CCc1ccc(C(=O)NC[C@](C)(CC)OC)cc1[N+](=O)[O-] ZINC000452725515 243275655 /nfs/dbraw/zinc/27/56/55/243275655.db2.gz KMNMPUZZKUSYRY-HNNXBMFYSA-N 0 0 294.351 2.702 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])[C@H]1C ZINC000432175109 284069107 /nfs/dbraw/zinc/06/91/07/284069107.db2.gz VUDOUYIHJWMWJW-DTWKUNHWSA-N 0 0 298.726 2.636 20 5 CFBDRN CC1(C)CCC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000275997809 193183751 /nfs/dbraw/zinc/18/37/51/193183751.db2.gz OCOQJBVMNLRQFC-JTQLQIEISA-N 0 0 291.351 2.876 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C[C@H]1C ZINC000276838928 284070662 /nfs/dbraw/zinc/07/06/62/284070662.db2.gz FZWCHPIYEPYJAC-VXGBXAGGSA-N 0 0 292.335 2.539 20 5 CFBDRN CC1(c2noc(Cc3cccc([N+](=O)[O-])c3)n2)CC1 ZINC000453308563 243504717 /nfs/dbraw/zinc/50/47/17/243504717.db2.gz CZJKEZWVQLMHLH-UHFFFAOYSA-N 0 0 259.265 2.620 20 5 CFBDRN CC/C=C/CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000454151827 243642548 /nfs/dbraw/zinc/64/25/48/243642548.db2.gz SHNLLOZMGPEWHK-SNAWJCMRSA-N 0 0 263.297 2.991 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H](F)C1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000335206752 284094449 /nfs/dbraw/zinc/09/44/49/284094449.db2.gz DLJBORNJWWPIMC-NXEZZACHSA-N 0 0 292.266 2.961 20 5 CFBDRN O=C(N[C@@H]1CC[C@H](F)C1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000335206754 284096687 /nfs/dbraw/zinc/09/66/87/284096687.db2.gz DLJBORNJWWPIMC-VHSXEESVSA-N 0 0 292.266 2.961 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCO1 ZINC000456183696 244096772 /nfs/dbraw/zinc/09/67/72/244096772.db2.gz IVJWYEUNKWAYOO-CYBMUJFWSA-N 0 0 293.323 2.533 20 5 CFBDRN CC[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456193685 244101246 /nfs/dbraw/zinc/10/12/46/244101246.db2.gz TZYLVNASQNHYRG-CYBMUJFWSA-N 0 0 295.339 2.779 20 5 CFBDRN CO[C@H]([C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000456606002 244239554 /nfs/dbraw/zinc/23/95/54/244239554.db2.gz SVZZLIJXDRRREG-JMCQJSRRSA-N 0 0 298.364 2.508 20 5 CFBDRN COC[C@@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000456972479 244341508 /nfs/dbraw/zinc/34/15/08/244341508.db2.gz VEWLNBUTVRKXJY-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CC1(C)[C@H](Nc2ccc(F)cc2[N+](=O)[O-])[C@@H]2CCO[C@H]21 ZINC000227065907 189779917 /nfs/dbraw/zinc/77/99/17/189779917.db2.gz WJPXBDVJKGHXNK-ZWKOPEQDSA-N 0 0 280.299 2.959 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCCC[C@@H]2CO)c(F)c1 ZINC000227890601 189789387 /nfs/dbraw/zinc/78/93/87/189789387.db2.gz ZSWBJFCZOKPCAG-PRHODGIISA-N 0 0 286.278 2.836 20 5 CFBDRN CC[C@@H](COC)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890561 189789558 /nfs/dbraw/zinc/78/95/58/189789558.db2.gz OJAIUEMJIXRAPP-ZETCQYMHSA-N 0 0 260.240 2.710 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C[C@H]1CCOC1 ZINC000330896005 203365253 /nfs/dbraw/zinc/36/52/53/203365253.db2.gz SKHMECZGQZGLSV-LLVKDONJSA-N 0 0 278.308 2.577 20 5 CFBDRN Cc1cc(Cn2c(C)ccc([N+](=O)[O-])c2=O)c(C)s1 ZINC000276197960 193240187 /nfs/dbraw/zinc/24/01/87/193240187.db2.gz IBRXBPDZIISVAI-UHFFFAOYSA-N 0 0 278.333 2.792 20 5 CFBDRN CCC(C)(C)OCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000457802615 244673062 /nfs/dbraw/zinc/67/30/62/244673062.db2.gz AIVZYPZNLXQLLE-NSHDSACASA-N 0 0 294.351 2.977 20 5 CFBDRN CC(C)=CCCNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000276557771 193380022 /nfs/dbraw/zinc/38/00/22/193380022.db2.gz KZLDHTWJNZUCIT-UHFFFAOYSA-N 0 0 291.351 2.793 20 5 CFBDRN CC(C)=CCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000276610486 193399719 /nfs/dbraw/zinc/39/97/19/193399719.db2.gz IMDNRJLGTFFECW-UHFFFAOYSA-N 0 0 254.311 2.742 20 5 CFBDRN CCOCCN(C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000276678287 193424855 /nfs/dbraw/zinc/42/48/55/193424855.db2.gz UNMSQYHZNZBOKA-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1C[C@@]1(C)C(C)C ZINC000458117204 244770859 /nfs/dbraw/zinc/77/08/59/244770859.db2.gz IXGAREUQWBKQMM-ZFWWWQNUSA-N 0 0 292.335 2.768 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1C(C)C ZINC000458119282 244771765 /nfs/dbraw/zinc/77/17/65/244771765.db2.gz VWUYWRKLCVESIY-WDEREUQCSA-N 0 0 292.335 2.768 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]2C(C)C)cc([N+](=O)[O-])c1 ZINC000458126780 244774946 /nfs/dbraw/zinc/77/49/46/244774946.db2.gz GHFIOBDQVKVXST-QWHCGFSZSA-N 0 0 262.309 2.678 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000458136747 244776818 /nfs/dbraw/zinc/77/68/18/244776818.db2.gz JFGFPYCRVILEFP-ZFWWWQNUSA-N 0 0 276.336 2.688 20 5 CFBDRN CCC1(NC(=O)NCc2cccc([N+](=O)[O-])c2C)CC1 ZINC000458685983 244940251 /nfs/dbraw/zinc/94/02/51/244940251.db2.gz HQWVIEIYEXBVGG-UHFFFAOYSA-N 0 0 277.324 2.645 20 5 CFBDRN Cc1c(CNc2ncccc2[N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000231868615 189815998 /nfs/dbraw/zinc/81/59/98/189815998.db2.gz WHLKZKODVJSXLR-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN COC(=O)[C@@]1(C)CN(c2cccc(C)c2[N+](=O)[O-])C[C@H]1C ZINC000336903671 284236541 /nfs/dbraw/zinc/23/65/41/284236541.db2.gz SCVOLGJSRAMNER-ABAIWWIYSA-N 0 0 292.335 2.539 20 5 CFBDRN CCC[C@H](NC(=O)Nc1ccncc1[N+](=O)[O-])C1CCC1 ZINC000459467331 245189404 /nfs/dbraw/zinc/18/94/04/245189404.db2.gz AWROMLPMQSCMMD-NSHDSACASA-N 0 0 292.339 2.502 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CC(OCC(F)F)C1 ZINC000459596760 245233196 /nfs/dbraw/zinc/23/31/96/245233196.db2.gz UDRRRZBKEQSJJK-HWKANZROSA-N 0 0 298.289 2.574 20 5 CFBDRN Cc1c(NC(=O)c2cncnc2C2CC2)cccc1[N+](=O)[O-] ZINC000459881050 245341285 /nfs/dbraw/zinc/34/12/85/245341285.db2.gz AGJIUNDFSVWYJX-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)C1CCC1 ZINC000459896880 245343179 /nfs/dbraw/zinc/34/31/79/245343179.db2.gz XXLMJVOKZSKUJQ-SECBINFHSA-N 0 0 278.308 2.978 20 5 CFBDRN CCC(C)(C)OCC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000460063655 245347852 /nfs/dbraw/zinc/34/78/52/245347852.db2.gz ONDDFYCRQLLMCH-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN Cc1c(C(=O)N2C[C@H](C)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000267760728 291517763 /nfs/dbraw/zinc/51/77/63/291517763.db2.gz YUEILHWEDUZHQA-UWVGGRQHSA-N 0 0 262.309 2.631 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C)C1 ZINC000331940884 204318176 /nfs/dbraw/zinc/31/81/76/204318176.db2.gz JJYHBNITLQUIJF-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])Nc1nccs1 ZINC000007038854 371892258 /nfs/dbraw/zinc/89/22/58/371892258.db2.gz JPDKFJRXTNGXDW-UHFFFAOYSA-N 0 0 295.345 2.782 20 5 CFBDRN COc1ccccc1COc1cccnc1[N+](=O)[O-] ZINC000007045118 371893668 /nfs/dbraw/zinc/89/36/68/371893668.db2.gz WXTDTOPKSJECIH-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN Cc1cc(CNC(=O)c2ccccc2[N+](=O)[O-])ccc1F ZINC000011008078 371915384 /nfs/dbraw/zinc/91/53/84/371915384.db2.gz DGKFTFFQZIXMKL-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccsc2)ccc1[N+](=O)[O-] ZINC000013698207 372043095 /nfs/dbraw/zinc/04/30/95/372043095.db2.gz MZUSNTHLWZJXSR-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN Cc1ccc(C(=O)NCCc2cccs2)cc1[N+](=O)[O-] ZINC000017909388 372136011 /nfs/dbraw/zinc/13/60/11/372136011.db2.gz LFNYFDMHDOYKOB-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CC(=O)c1ccc(OCCOC(C)C)c([N+](=O)[O-])c1 ZINC000019871341 372202127 /nfs/dbraw/zinc/20/21/27/372202127.db2.gz XJBSFIFDQWYHLR-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN Cn1c(SCc2cccc([N+](=O)[O-])c2)nnc1C1CC1 ZINC000025020869 372287852 /nfs/dbraw/zinc/28/78/52/372287852.db2.gz DSKCVCHEPPUVCT-UHFFFAOYSA-N 0 0 290.348 2.893 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCn2ccnc2)c(Cl)c1 ZINC000025673542 372306450 /nfs/dbraw/zinc/30/64/50/372306450.db2.gz OBTXNALLXDALEC-UHFFFAOYSA-N 0 0 266.688 2.557 20 5 CFBDRN COC(=O)[C@H]1CCCCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000364693119 284318821 /nfs/dbraw/zinc/31/88/21/284318821.db2.gz BWXJNVKIBBRIIL-CQSZACIVSA-N 0 0 292.335 2.512 20 5 CFBDRN CC(C)c1ccccc1NC(=O)Cn1cnc([N+](=O)[O-])c1 ZINC000029210067 372375958 /nfs/dbraw/zinc/37/59/58/372375958.db2.gz GWQTYJOVVQMNDA-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN Cc1cccc(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])c1 ZINC000030784417 372397280 /nfs/dbraw/zinc/39/72/80/372397280.db2.gz WNHVPFMGROWHKP-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN C[C@H]1c2cccn2CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000030797324 372397693 /nfs/dbraw/zinc/39/76/93/372397693.db2.gz SVSHKAXXUCHKJP-VIFPVBQESA-N 0 0 291.332 2.675 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)n1C ZINC000031334129 372408756 /nfs/dbraw/zinc/40/87/56/372408756.db2.gz AUZPBDHQZQVQFL-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc(OC)c([N+](=O)[O-])c2)C1 ZINC000031682780 372416105 /nfs/dbraw/zinc/41/61/05/372416105.db2.gz ZYTNNEYFZUQDTK-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000032384544 372437538 /nfs/dbraw/zinc/43/75/38/372437538.db2.gz YXJJGZVVSDGZDF-HTQZYQBOSA-N 0 0 268.700 2.634 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCO1 ZINC000035056190 372535891 /nfs/dbraw/zinc/53/58/91/372535891.db2.gz QIZNNNXCOGMZOB-PRHODGIISA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1ccc(CNCc2ccccn2)cc1[N+](=O)[O-] ZINC000034994653 372527222 /nfs/dbraw/zinc/52/72/22/372527222.db2.gz CMFVXVOPVMAXRT-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN Cc1c(OCc2nnsc2Cl)cccc1[N+](=O)[O-] ZINC000036302926 372571691 /nfs/dbraw/zinc/57/16/91/372571691.db2.gz NTTVIMSJODYPQC-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN COC(=O)c1cccc(NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000036804687 372597648 /nfs/dbraw/zinc/59/76/48/372597648.db2.gz DLCLDOXOSAZBRK-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN CC[C@@H](N[C@@H](C)c1nncn1C)c1cccc([N+](=O)[O-])c1 ZINC000037017020 372633768 /nfs/dbraw/zinc/63/37/68/372633768.db2.gz JYWLFRGRELZGIS-GXFFZTMASA-N 0 0 289.339 2.525 20 5 CFBDRN COc1ncccc1NCc1ccc([N+](=O)[O-])cc1 ZINC000037070326 372643262 /nfs/dbraw/zinc/64/32/62/372643262.db2.gz IFXIOMZQPRKVTE-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000037098459 372649440 /nfs/dbraw/zinc/64/94/40/372649440.db2.gz QVQFORJGNITZDJ-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN COc1ncccc1CNc1ccc([N+](=O)[O-])cc1C ZINC000037650938 372686417 /nfs/dbraw/zinc/68/64/17/372686417.db2.gz FLXVZLISLDMEFD-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](c3cccs3)C2)cn1 ZINC000471744578 245519244 /nfs/dbraw/zinc/51/92/44/245519244.db2.gz DKZWUFXWPCZOLU-NSHDSACASA-N 0 0 291.332 2.629 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)c1ccccc1F ZINC000037974506 372742130 /nfs/dbraw/zinc/74/21/30/372742130.db2.gz NOZYVJNQZUNVTF-UHFFFAOYSA-N 0 0 275.235 2.996 20 5 CFBDRN CN(Cc1ccc(O)cc1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000037987632 372749357 /nfs/dbraw/zinc/74/93/57/372749357.db2.gz KKQSZXBUSJPMAG-UHFFFAOYSA-N 0 0 286.287 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCOC2)c2ccncc21 ZINC000037988132 372750075 /nfs/dbraw/zinc/75/00/75/372750075.db2.gz OVUDSOUEAYDICF-JTQLQIEISA-N 0 0 273.292 2.591 20 5 CFBDRN CC(C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000040779443 372789168 /nfs/dbraw/zinc/78/91/68/372789168.db2.gz JGEBSVLITKBVJA-SECBINFHSA-N 0 0 256.277 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2CCO)c(Cl)c1 ZINC000040419711 372781487 /nfs/dbraw/zinc/78/14/87/372781487.db2.gz DBOLKNXDLJOFGS-SNVBAGLBSA-N 0 0 284.743 2.990 20 5 CFBDRN CC(C)CNc1ncc(Br)cc1[N+](=O)[O-] ZINC000041410429 372837467 /nfs/dbraw/zinc/83/74/67/372837467.db2.gz ISJFYRZIMSMCAU-UHFFFAOYSA-N 0 0 274.118 2.820 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000042097409 372872301 /nfs/dbraw/zinc/87/23/01/372872301.db2.gz OPCVPSDSMRATPB-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CCCN(C)c1ncc(Br)cc1[N+](=O)[O-] ZINC000042376854 372915393 /nfs/dbraw/zinc/91/53/93/372915393.db2.gz KBQOUOZDEIVLJW-UHFFFAOYSA-N 0 0 274.118 2.599 20 5 CFBDRN CCCCN(C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000480053882 245632399 /nfs/dbraw/zinc/63/23/99/245632399.db2.gz ILKNIJZADDEMPZ-UHFFFAOYSA-N 0 0 279.340 2.845 20 5 CFBDRN CCCCCNC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000043127239 372975722 /nfs/dbraw/zinc/97/57/22/372975722.db2.gz MQOYUFGSLIBYAL-UHFFFAOYSA-N 0 0 281.312 2.915 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000042804920 372955769 /nfs/dbraw/zinc/95/57/69/372955769.db2.gz KMFCWRUKPHLNKV-KOLCDFICSA-N 0 0 266.345 2.875 20 5 CFBDRN COC(=O)[C@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C[C@@H]1C ZINC000277015162 284371730 /nfs/dbraw/zinc/37/17/30/284371730.db2.gz YMGBYGIWQGMZSB-RYUDHWBXSA-N 0 0 292.335 2.539 20 5 CFBDRN CS(=O)(=O)c1ccc(Oc2ccccc2[N+](=O)[O-])cc1 ZINC000045910066 373036951 /nfs/dbraw/zinc/03/69/51/373036951.db2.gz HMNFOUSGVVHKSR-UHFFFAOYSA-N 0 0 293.300 2.791 20 5 CFBDRN CCCC[C@H](CCC)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000484698991 245676516 /nfs/dbraw/zinc/67/65/16/245676516.db2.gz DMRWGZVEVYSLNC-VIFPVBQESA-N 0 0 267.333 2.568 20 5 CFBDRN Cc1ccc(NC(=O)N(C)CC2CC2)cc1[N+](=O)[O-] ZINC000047323262 373086344 /nfs/dbraw/zinc/08/63/44/373086344.db2.gz FXCIEOVLNILHMF-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CC1CC1 ZINC000047323412 373086683 /nfs/dbraw/zinc/08/66/83/373086683.db2.gz JHKPZJIKOBEVDY-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000047412586 373091635 /nfs/dbraw/zinc/09/16/35/373091635.db2.gz YHWRRVIGTFVXKH-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN Nc1c(C(=O)Nc2ccc(F)cc2)cc(F)cc1[N+](=O)[O-] ZINC000048315514 373161164 /nfs/dbraw/zinc/16/11/64/373161164.db2.gz MVRZABQDJNGBDK-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000048349622 373169249 /nfs/dbraw/zinc/16/92/49/373169249.db2.gz BRHYWARZXYCDEP-SNVBAGLBSA-N 0 0 286.291 2.873 20 5 CFBDRN COc1ccc(CNCc2ccccc2[N+](=O)[O-])cc1O ZINC000048068912 373144058 /nfs/dbraw/zinc/14/40/58/373144058.db2.gz QPSGPGKANLZJRM-UHFFFAOYSA-N 0 0 288.303 2.599 20 5 CFBDRN CC(C)(C)c1noc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000048438963 373182997 /nfs/dbraw/zinc/18/29/97/373182997.db2.gz XDYZSALKATZPFC-UHFFFAOYSA-N 0 0 277.280 2.854 20 5 CFBDRN Cc1csc(NC(=O)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000048939188 373233449 /nfs/dbraw/zinc/23/34/49/373233449.db2.gz VXLMZTSLIRVULB-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN O=C(Nc1cnc2c(c1)CCCC2)c1ccc([N+](=O)[O-])o1 ZINC000360186068 284390290 /nfs/dbraw/zinc/39/02/90/284390290.db2.gz YZKYFTHDHQEMPK-UHFFFAOYSA-N 0 0 287.275 2.714 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@H](C)C1(C)CC1 ZINC000277670261 193726177 /nfs/dbraw/zinc/72/61/77/193726177.db2.gz XJUHODNCARJGBQ-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1CCc2ccccc2C1 ZINC000051632540 373403343 /nfs/dbraw/zinc/40/33/43/373403343.db2.gz BIIREMHLYGCEIA-ZDUSSCGKSA-N 0 0 269.304 2.959 20 5 CFBDRN Cc1nn(C)c(NCCc2cc(F)cc(F)c2)c1[N+](=O)[O-] ZINC000051600647 373403769 /nfs/dbraw/zinc/40/37/69/373403769.db2.gz DHDWOAXLYBZHKR-UHFFFAOYSA-N 0 0 296.277 2.570 20 5 CFBDRN CC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2C)CCO1 ZINC000051736945 373408806 /nfs/dbraw/zinc/40/88/06/373408806.db2.gz ICWSHEONKGMECR-ZDUSSCGKSA-N 0 0 264.325 2.514 20 5 CFBDRN CCn1ccnc1CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000051924228 373420380 /nfs/dbraw/zinc/42/03/80/373420380.db2.gz LRKMJWIKVWMZJN-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@H]2O)c2ccncc21 ZINC000052006335 373428960 /nfs/dbraw/zinc/42/89/60/373428960.db2.gz GHBUMLWMYIBJAT-UKRRQHHQSA-N 0 0 287.319 2.858 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000054982293 220200136 /nfs/dbraw/zinc/20/01/36/220200136.db2.gz MBFPHADTVDUHBM-QWRGUYRKSA-N 0 0 291.351 2.932 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000053548897 373481792 /nfs/dbraw/zinc/48/17/92/373481792.db2.gz CTZWZTITKBSTMX-PWSUYJOCSA-N 0 0 291.351 2.945 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1cccc(F)c1F ZINC000054215419 373507145 /nfs/dbraw/zinc/50/71/45/373507145.db2.gz IBSMNQJWVWTNDK-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1cccc(F)c1[N+](=O)[O-] ZINC000054269544 373508599 /nfs/dbraw/zinc/50/85/99/373508599.db2.gz JNWFZLZGEXNVIY-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN C[C@H]1CCCCN1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000054646018 373526840 /nfs/dbraw/zinc/52/68/40/373526840.db2.gz IFGNMMCGEZGORM-LBPRGKRZSA-N 0 0 291.351 2.721 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000057075497 373579609 /nfs/dbraw/zinc/57/96/09/373579609.db2.gz KJVIFDOOQKFVKK-VHSXEESVSA-N 0 0 250.298 2.759 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000057075496 373579642 /nfs/dbraw/zinc/57/96/42/373579642.db2.gz KJVIFDOOQKFVKK-NXEZZACHSA-N 0 0 250.298 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2CCC[C@H](O)C2)nc1 ZINC000488254666 245828895 /nfs/dbraw/zinc/82/88/95/245828895.db2.gz VLZMRPYFJZZQSR-ONGXEEELSA-N 0 0 268.338 2.633 20 5 CFBDRN CC(C)O[C@H](Cn1cnc([N+](=O)[O-])c1)c1ccccc1 ZINC000488273830 245830876 /nfs/dbraw/zinc/83/08/76/245830876.db2.gz QZIUCEHKOMKFJM-CYBMUJFWSA-N 0 0 275.308 2.958 20 5 CFBDRN C[C@@H](NC(=O)CC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000055466957 373554785 /nfs/dbraw/zinc/55/47/85/373554785.db2.gz MIVSNHNXHXFJEX-BXUZGUMPSA-N 0 0 292.335 2.731 20 5 CFBDRN COCCCCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000058515821 373660047 /nfs/dbraw/zinc/66/00/47/373660047.db2.gz UFYICEZUHWSGGP-UHFFFAOYSA-N 0 0 281.312 2.533 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000058668165 373664792 /nfs/dbraw/zinc/66/47/92/373664792.db2.gz XWQLOVUCTGKRDG-UFBFGSQYSA-N 0 0 254.261 2.713 20 5 CFBDRN CCC(C)(C)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000059132867 373679365 /nfs/dbraw/zinc/67/93/65/373679365.db2.gz SURXJSUSOKHTFS-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN Cc1c(C[N@@H+]2CCC[C@](C)(C(=O)[O-])C2)cccc1[N+](=O)[O-] ZINC000062875832 373770598 /nfs/dbraw/zinc/77/05/98/373770598.db2.gz MNANSGOIDNIXQB-HNNXBMFYSA-N 0 0 292.335 2.590 20 5 CFBDRN Cc1cc(C(=O)N2CC3(CCC3(F)F)C2)cc([N+](=O)[O-])c1 ZINC000334388617 220231568 /nfs/dbraw/zinc/23/15/68/220231568.db2.gz QVGXVHNOTRYJPR-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCc1ccccn1 ZINC000062865431 373768827 /nfs/dbraw/zinc/76/88/27/373768827.db2.gz VUNJTVLGMQJOET-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN CCCN(C)C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000063947287 373816992 /nfs/dbraw/zinc/81/69/92/373816992.db2.gz HUBQBWFMCWPGQL-FNORWQNLSA-N 0 0 266.272 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)Cc2ccccc2)c(F)c1 ZINC000063218708 373799129 /nfs/dbraw/zinc/79/91/29/373799129.db2.gz ZINCKYZFIYAWKB-GFCCVEGCSA-N 0 0 290.294 2.749 20 5 CFBDRN CC(=O)N(C)c1ccc(Nc2ccc([N+](=O)[O-])cn2)cc1 ZINC000065946674 373903112 /nfs/dbraw/zinc/90/31/12/373903112.db2.gz XDNNYPWKYGGFQJ-UHFFFAOYSA-N 0 0 286.291 2.716 20 5 CFBDRN CCC[C@@H](C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000489271346 245934328 /nfs/dbraw/zinc/93/43/28/245934328.db2.gz QKKLGWZEQBITDY-NEPJUHHUSA-N 0 0 293.367 2.974 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC(C2CCCCC2)C1 ZINC000336392204 220243869 /nfs/dbraw/zinc/24/38/69/220243869.db2.gz FIZQVRPUTDDIAX-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN C[C@H](c1ccccn1)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000068000086 374020031 /nfs/dbraw/zinc/02/00/31/374020031.db2.gz LTLBYKPIDDYMCW-LLVKDONJSA-N 0 0 285.303 2.823 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000067037892 373969533 /nfs/dbraw/zinc/96/95/33/373969533.db2.gz RCRFZVDAMTVUMJ-JTQLQIEISA-N 0 0 250.298 2.774 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1C(F)(F)F)C1CC1 ZINC000068051317 374022565 /nfs/dbraw/zinc/02/25/65/374022565.db2.gz HHLJKOUOBBHWSV-UHFFFAOYSA-N 0 0 289.209 2.972 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCn2ccnc2C1 ZINC000489898377 245981536 /nfs/dbraw/zinc/98/15/36/245981536.db2.gz OLYZZUBVHVCUEC-LLVKDONJSA-N 0 0 272.308 2.527 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCOCC(F)(F)F ZINC000070166877 374133183 /nfs/dbraw/zinc/13/31/83/374133183.db2.gz OPESUMWXVMVGQY-UHFFFAOYSA-N 0 0 264.203 2.586 20 5 CFBDRN Cc1ccc(N[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000070352737 374168758 /nfs/dbraw/zinc/16/87/58/374168758.db2.gz UEKXSWQSMLBGJL-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN CC[C@H]1C[C@H](Nc2ccccc2[N+](=O)[O-])CCO1 ZINC000070352665 374168864 /nfs/dbraw/zinc/16/88/64/374168864.db2.gz KACVZDFXYCQYQV-MNOVXSKESA-N 0 0 250.298 2.964 20 5 CFBDRN CCCCCCNc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000070468357 374185054 /nfs/dbraw/zinc/18/50/54/374185054.db2.gz FJOAXFSCLOQSNU-UHFFFAOYSA-N 0 0 293.323 2.918 20 5 CFBDRN Cc1ccnc(N[C@@H](C)c2cccnc2)c1[N+](=O)[O-] ZINC000070495871 374187153 /nfs/dbraw/zinc/18/71/53/374187153.db2.gz RITBUXDEVIZFAT-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN CC(C)(C)OCCNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491321354 246059939 /nfs/dbraw/zinc/05/99/39/246059939.db2.gz PNVCNDDPWGCFOS-FPLPWBNLSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])NC1CCCC1 ZINC000491336328 246062935 /nfs/dbraw/zinc/06/29/35/246062935.db2.gz UMNJCSPNFLEFJV-VURMDHGXSA-N 0 0 278.283 2.806 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000072673097 374274846 /nfs/dbraw/zinc/27/48/46/374274846.db2.gz DJOFKDKYQHMXPN-VXGBXAGGSA-N 0 0 276.336 2.927 20 5 CFBDRN Cc1cccnc1CNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000491518532 246076863 /nfs/dbraw/zinc/07/68/63/246076863.db2.gz DHVGWKCXMNYZRH-BQYQJAHWSA-N 0 0 297.314 2.628 20 5 CFBDRN O=C(/C=C/c1ccc(Cl)c([N+](=O)[O-])c1)NCC(F)F ZINC000073163938 374326497 /nfs/dbraw/zinc/32/64/97/374326497.db2.gz IXZRVRFBQXCHDI-DUXPYHPUSA-N 0 0 290.653 2.643 20 5 CFBDRN CN(Cc1ccno1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000073172072 374327643 /nfs/dbraw/zinc/32/76/43/374327643.db2.gz NSCNIJPYUJVCRX-UHFFFAOYSA-N 0 0 281.699 2.868 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000491913249 246121933 /nfs/dbraw/zinc/12/19/33/246121933.db2.gz KVTYSRHRHSHMRZ-XPMWWOIKSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1noc(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)c1C ZINC000491934158 246127879 /nfs/dbraw/zinc/12/78/79/246127879.db2.gz VHBMQYIJLQXICE-SREVYHEPSA-N 0 0 287.275 2.852 20 5 CFBDRN Cc1noc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c1C ZINC000491903500 246116067 /nfs/dbraw/zinc/11/60/67/246116067.db2.gz INZWBYVRKSVQHS-VMPITWQZSA-N 0 0 287.275 2.852 20 5 CFBDRN Cc1cccnc1N(C)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491957818 246137265 /nfs/dbraw/zinc/13/72/65/246137265.db2.gz CRYIYZXXFFZNEO-HJWRWDBZSA-N 0 0 297.314 2.974 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000491966131 246139892 /nfs/dbraw/zinc/13/98/92/246139892.db2.gz UXQLUKFVYXVROA-ARJAWSKDSA-N 0 0 275.239 2.714 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000073786775 374384851 /nfs/dbraw/zinc/38/48/51/374384851.db2.gz IFXFCLWVGWEOKD-AAEUAGOBSA-N 0 0 291.351 2.925 20 5 CFBDRN Cn1ccc(/C=C/C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000491999210 246153394 /nfs/dbraw/zinc/15/33/94/246153394.db2.gz JGAWTJKHLJPTGK-NSCUHMNNSA-N 0 0 289.266 2.724 20 5 CFBDRN O=C(/C=C\C1CCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000492111459 246191721 /nfs/dbraw/zinc/19/17/21/246191721.db2.gz QZWUZAFYEFUHRH-DAXSKMNVSA-N 0 0 286.331 2.836 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H]1C=CCCC1 ZINC000492160976 246206363 /nfs/dbraw/zinc/20/63/63/246206363.db2.gz HFOBNZHEDDPSSP-YKWSONSWSA-N 0 0 272.304 2.833 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)Oc2cccc([N+](=O)[O-])c2)O1 ZINC000075188907 374467904 /nfs/dbraw/zinc/46/79/04/374467904.db2.gz MSXNMZPELOAESS-CMPLNLGQSA-N 0 0 279.292 2.848 20 5 CFBDRN COc1ccc(C(=O)N2C[C@H](C)CC[C@H]2C)cc1[N+](=O)[O-] ZINC000075277561 374472336 /nfs/dbraw/zinc/47/23/36/374472336.db2.gz DVCLWBLRXZRARF-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CSC(C)(C)CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000075365088 374478532 /nfs/dbraw/zinc/47/85/32/374478532.db2.gz GEYUNBNFLCBAEL-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN Cc1cc(NC(=O)C=Cc2ccc([N+](=O)[O-])cc2)c[nH]c1=O ZINC000492282557 246245361 /nfs/dbraw/zinc/24/53/61/246245361.db2.gz IESKOQTVSFVSBS-DAXSKMNVSA-N 0 0 299.286 2.656 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])C[C@H]1CCC[C@H]1O ZINC000492295117 246247789 /nfs/dbraw/zinc/24/77/89/246247789.db2.gz PEZGPRAUXHLDFX-QMTHXVAHSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1ccc(/C=C/C(=O)Nc2cccc([N+](=O)[O-])c2)nc1 ZINC000492423375 246287989 /nfs/dbraw/zinc/28/79/89/246287989.db2.gz XMZQPEGQNGRWTK-BQYQJAHWSA-N 0 0 283.287 2.950 20 5 CFBDRN O=C(/C=C\C1CC1)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000492391293 246277679 /nfs/dbraw/zinc/27/76/79/246277679.db2.gz AACAPMGEUBCTSF-UTCJRWHESA-N 0 0 294.738 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCSc2ncc[nH]2)cc1 ZINC000078990134 374581461 /nfs/dbraw/zinc/58/14/61/374581461.db2.gz ZVWWAXDDDBDIAJ-UHFFFAOYSA-N 0 0 279.321 2.879 20 5 CFBDRN Cc1ccc(/C=C/C(=O)NCc2cccc([N+](=O)[O-])c2)nc1 ZINC000492542143 246324614 /nfs/dbraw/zinc/32/46/14/246324614.db2.gz YPAVZGAWIUHNAA-BQYQJAHWSA-N 0 0 297.314 2.628 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NOC1CCCCC1 ZINC000492717227 246368759 /nfs/dbraw/zinc/36/87/59/246368759.db2.gz HCUWUOKTFFFUIE-KHPPLWFESA-N 0 0 290.319 2.989 20 5 CFBDRN CSCCCN(C)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492619731 246345372 /nfs/dbraw/zinc/34/53/72/246345372.db2.gz IKTFQJUHPLKFQY-TWGQIWQCSA-N 0 0 294.376 2.820 20 5 CFBDRN Cc1ncsc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000079247351 374600615 /nfs/dbraw/zinc/60/06/15/374600615.db2.gz HZGJKQMYKWGBRV-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN COc1ccc(NC(=O)C2[C@@H]3CCCC[C@H]23)c([N+](=O)[O-])c1 ZINC000079387004 374608370 /nfs/dbraw/zinc/60/83/70/374608370.db2.gz VNTWNZKMFWSBRG-BVUQATHDSA-N 0 0 290.319 2.978 20 5 CFBDRN COC[C@@H](NCc1ccc(C)c([N+](=O)[O-])c1)c1ccco1 ZINC000080240369 374646103 /nfs/dbraw/zinc/64/61/03/374646103.db2.gz UJMQJMONXRDXMH-CYBMUJFWSA-N 0 0 290.319 2.974 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1nccs1 ZINC000080305708 374651062 /nfs/dbraw/zinc/65/10/62/374651062.db2.gz LSHCRZGRTAANAB-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000080834391 374673659 /nfs/dbraw/zinc/67/36/59/374673659.db2.gz VUKHJKSLHGEMEC-JTQLQIEISA-N 0 0 279.340 2.685 20 5 CFBDRN Cc1cc(C(=O)N2CCC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000081350766 374694281 /nfs/dbraw/zinc/69/42/81/374694281.db2.gz KCUNSDJGVHSYMY-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN COc1ccc(CNc2ncc([N+](=O)[O-])s2)cc1 ZINC000083481366 374749131 /nfs/dbraw/zinc/74/91/31/374749131.db2.gz LEGGEQTUSAOUHN-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN Cc1ccc(N(C)C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)nc1 ZINC000492999945 246453939 /nfs/dbraw/zinc/45/39/39/246453939.db2.gz VURHMRQRWQATOP-POHAHGRESA-N 0 0 297.314 2.974 20 5 CFBDRN CC(C)CCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000431887276 383703369 /nfs/dbraw/zinc/70/33/69/383703369.db2.gz LLXDOMITWARPFA-UHFFFAOYSA-N 0 0 264.281 2.939 20 5 CFBDRN Cc1c(C(=O)N2CCSC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000081927173 374722355 /nfs/dbraw/zinc/72/23/55/374722355.db2.gz AHDQUPYFEFIZJW-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC[C@H]1CC=CCC1 ZINC000087194144 374807742 /nfs/dbraw/zinc/80/77/42/374807742.db2.gz LLEUVPGLUBPALS-AWEZNQCLSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000493387515 246573842 /nfs/dbraw/zinc/57/38/42/246573842.db2.gz MOPSHJPKVRRQLQ-PGBBXKAQSA-N 0 0 278.283 2.662 20 5 CFBDRN CC/C=C(/C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089304942 374896585 /nfs/dbraw/zinc/89/65/85/374896585.db2.gz YCIFTBKKCUHJTI-WZUFQYTHSA-N 0 0 262.309 2.610 20 5 CFBDRN CC[C@H](C)CS(=O)(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000088327182 374842712 /nfs/dbraw/zinc/84/27/12/374842712.db2.gz CJXAZONQGKKABI-JTQLQIEISA-N 0 0 285.365 2.864 20 5 CFBDRN CCO[C@H](C)c1nccn1Cc1cccc([N+](=O)[O-])c1 ZINC000089521504 374917662 /nfs/dbraw/zinc/91/76/62/374917662.db2.gz HKQSDHLKCWMEAG-LLVKDONJSA-N 0 0 275.308 2.937 20 5 CFBDRN C[C@@H]1CCC[C@@H]1Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000089620233 374930185 /nfs/dbraw/zinc/93/01/85/374930185.db2.gz MDXCGFUKYKPKMQ-ZJUUUORDSA-N 0 0 298.364 2.599 20 5 CFBDRN O=C([C@@H]1CC[C@H]2C[C@H]2C1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000421418912 284499584 /nfs/dbraw/zinc/49/95/84/284499584.db2.gz DOKBENQUBJBQCA-UHTWSYAYSA-N 0 0 286.331 2.920 20 5 CFBDRN CC[C@H]1COCCN1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000091980649 375076147 /nfs/dbraw/zinc/07/61/47/375076147.db2.gz FEKCQIISOXYTNL-ZDUSSCGKSA-N 0 0 264.325 2.514 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCOC1CCCCC1 ZINC000091550056 375054263 /nfs/dbraw/zinc/05/42/63/375054263.db2.gz BJJFWTNCLWFXFZ-UHFFFAOYSA-N 0 0 296.371 2.881 20 5 CFBDRN CC[C@@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000493842259 246722470 /nfs/dbraw/zinc/72/24/70/246722470.db2.gz YXIYFGKRUFHGDS-AYLMVEPYSA-N 0 0 284.262 2.768 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC1C(C)(C)C1(C)C ZINC000092817688 375160871 /nfs/dbraw/zinc/16/08/71/375160871.db2.gz UZVQCUYVWABXHS-UHFFFAOYSA-N 0 0 266.345 2.966 20 5 CFBDRN CCc1nn(C)cc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000092959907 375168814 /nfs/dbraw/zinc/16/88/14/375168814.db2.gz CIZJHIGXEPZUNV-UHFFFAOYSA-N 0 0 296.277 2.781 20 5 CFBDRN CCN(CC(C)(C)O)c1ccc(C)cc1[N+](=O)[O-] ZINC000092970680 375172206 /nfs/dbraw/zinc/17/22/06/375172206.db2.gz MBYXNOPZWZIROT-UHFFFAOYSA-N 0 0 252.314 2.500 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1OC(F)F)CC(F)F ZINC000092509258 375117468 /nfs/dbraw/zinc/11/74/68/375117468.db2.gz UXOAYFQKEQZGBS-UHFFFAOYSA-N 0 0 296.220 2.893 20 5 CFBDRN CCC[N@H+](CC(=O)[O-])Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000093633505 375277294 /nfs/dbraw/zinc/27/72/94/375277294.db2.gz SKBNWPXZWLEVKV-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN CC[C@H](CCO)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000094957378 375397619 /nfs/dbraw/zinc/39/76/19/375397619.db2.gz YXCPDMXYKYFGGB-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCC[C@@H](SC)C2)c1[N+](=O)[O-] ZINC000094161575 375342593 /nfs/dbraw/zinc/34/25/93/375342593.db2.gz GYJLFOMNNJYIIQ-NXEZZACHSA-N 0 0 298.412 2.977 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCCc3ccncc3)nc2c1 ZINC000094771724 375377988 /nfs/dbraw/zinc/37/79/88/375377988.db2.gz HTGXTEGOAAXPRJ-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN CSc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)ccn1 ZINC000097026366 375496198 /nfs/dbraw/zinc/49/61/98/375496198.db2.gz RNGSODSGEBYXKJ-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1ncc(Cl)n1C ZINC000097058475 375505509 /nfs/dbraw/zinc/50/55/09/375505509.db2.gz CKHFJUPOWXFPCV-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])cnc1SC1CCCCC1 ZINC000097251453 375545245 /nfs/dbraw/zinc/54/52/45/375545245.db2.gz BBIIXJNNLVWWFD-UHFFFAOYSA-N 0 0 281.337 2.514 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000496410081 246979504 /nfs/dbraw/zinc/97/95/04/246979504.db2.gz IWLLCRJQBQLTFS-GXSJLCMTSA-N 0 0 291.307 2.762 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000287340391 284526634 /nfs/dbraw/zinc/52/66/34/284526634.db2.gz OTWVFABWXNNVIG-JTQLQIEISA-N 0 0 291.307 2.605 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cn2nnc3ccccc32)c(F)c1 ZINC000505448840 247138288 /nfs/dbraw/zinc/13/82/88/247138288.db2.gz GJEYNIILXVLKFC-UHFFFAOYSA-N 0 0 290.229 2.666 20 5 CFBDRN C[C@@H](F)CCn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O ZINC000505516080 247141337 /nfs/dbraw/zinc/14/13/37/247141337.db2.gz XSZCLVSPEFVXNS-SNVBAGLBSA-N 0 0 291.282 2.567 20 5 CFBDRN C[C@@H](F)CCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000505577298 247142313 /nfs/dbraw/zinc/14/23/13/247142313.db2.gz TWFACAGWOJQQFK-SECBINFHSA-N 0 0 264.260 2.601 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1ncc(Cl)cn1 ZINC000509476821 247188380 /nfs/dbraw/zinc/18/83/80/247188380.db2.gz FCWPVXVCSMZZKO-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000511876553 247205923 /nfs/dbraw/zinc/20/59/23/247205923.db2.gz SCGILBYFBQLHKD-ZYHUDNBSSA-N 0 0 276.336 2.787 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1)Cc1ccccn1 ZINC000507148023 247166047 /nfs/dbraw/zinc/16/60/47/247166047.db2.gz ZYUXCFMYIJDLKW-UHFFFAOYSA-N 0 0 257.293 2.622 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(F)cn2)cc([N+](=O)[O-])c1 ZINC000103013039 375708982 /nfs/dbraw/zinc/70/89/82/375708982.db2.gz BFTVNYXNCOYMFF-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC[C@@H](C)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000103930553 375762856 /nfs/dbraw/zinc/76/28/56/375762856.db2.gz IEJBBTNJQJRDDK-SNVBAGLBSA-N 0 0 288.307 2.765 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCCCC2)c(F)c1 ZINC000111355798 375838841 /nfs/dbraw/zinc/83/88/41/375838841.db2.gz DDYFOPQRCCXDSJ-UHFFFAOYSA-N 0 0 268.288 2.841 20 5 CFBDRN CCC(O)(CC)CNc1c(C)cccc1[N+](=O)[O-] ZINC000112209236 375891016 /nfs/dbraw/zinc/89/10/16/375891016.db2.gz OXDKERCHLJFMSV-UHFFFAOYSA-N 0 0 252.314 2.866 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000112334482 375905228 /nfs/dbraw/zinc/90/52/28/375905228.db2.gz YXAVJCSOMHZRHC-YGRLFVJLSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000112604091 375935498 /nfs/dbraw/zinc/93/54/98/375935498.db2.gz BSQRWRSGVIRLIO-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC1CC1 ZINC000112605817 375936227 /nfs/dbraw/zinc/93/62/27/375936227.db2.gz UUGIYTQJBYCNDQ-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000112690349 375944397 /nfs/dbraw/zinc/94/43/97/375944397.db2.gz OLFSVQOCNKPYFK-JTQLQIEISA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000112690351 375944534 /nfs/dbraw/zinc/94/45/34/375944534.db2.gz OLFSVQOCNKPYFK-SNVBAGLBSA-N 0 0 294.376 2.992 20 5 CFBDRN CCc1noc([C@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000114748846 376086805 /nfs/dbraw/zinc/08/68/05/376086805.db2.gz IUPSSZJNUHWCGV-ZJUUUORDSA-N 0 0 290.323 2.952 20 5 CFBDRN Cc1nn(C)c(N[C@@H](C)c2cccc(O)c2)c1[N+](=O)[O-] ZINC000114709232 376081589 /nfs/dbraw/zinc/08/15/89/376081589.db2.gz RCZWWFLWFFXCAB-QMMMGPOBSA-N 0 0 276.296 2.515 20 5 CFBDRN CC(C)[C@]1(C)CC(=O)N(Cc2cccc([N+](=O)[O-])c2)C1=O ZINC000116874290 376211999 /nfs/dbraw/zinc/21/19/99/376211999.db2.gz OVWMQGAEWLHMHY-HNNXBMFYSA-N 0 0 290.319 2.516 20 5 CFBDRN CCCCOCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000118212951 376276330 /nfs/dbraw/zinc/27/63/30/376276330.db2.gz DZYZCIMFGCPAFR-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN Cc1cccc(C(=O)N2CCS[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000118284701 376283841 /nfs/dbraw/zinc/28/38/41/376283841.db2.gz MVBLHPOWCSYTAJ-WDEREUQCSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000118295510 376286231 /nfs/dbraw/zinc/28/62/31/376286231.db2.gz JNIYZTGEDIKUHS-VHSXEESVSA-N 0 0 280.349 2.561 20 5 CFBDRN CC(C)CS(=O)(=O)Oc1ccc([N+](=O)[O-])cc1Cl ZINC000118423294 376297523 /nfs/dbraw/zinc/29/75/23/376297523.db2.gz LXZYCWPJWXBROF-UHFFFAOYSA-N 0 0 293.728 2.613 20 5 CFBDRN C[C@H]1SCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000118460146 376299953 /nfs/dbraw/zinc/29/99/53/376299953.db2.gz WOKYXGYVPZGYMS-NXEZZACHSA-N 0 0 295.364 2.953 20 5 CFBDRN CCCc1[nH]nc(C(=O)Nc2ccsc2)c1[N+](=O)[O-] ZINC000119129054 376359675 /nfs/dbraw/zinc/35/96/75/376359675.db2.gz YDIDSTWFELUDAJ-UHFFFAOYSA-N 0 0 280.309 2.584 20 5 CFBDRN COC(=O)c1occc1COc1ccccc1[N+](=O)[O-] ZINC000119245291 376378821 /nfs/dbraw/zinc/37/88/21/376378821.db2.gz BMNVMDUWSLKZKH-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN O=C(Nc1ccncc1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000119821304 376480770 /nfs/dbraw/zinc/48/07/70/376480770.db2.gz HKFCJLWSTSGJMK-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CC(C)c1noc(NCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000119865480 376490696 /nfs/dbraw/zinc/49/06/96/376490696.db2.gz RKICUWUCQREDAX-UHFFFAOYSA-N 0 0 276.296 2.756 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@H]([C@@H](C)O)C1 ZINC000120118311 376550203 /nfs/dbraw/zinc/55/02/03/376550203.db2.gz QPJRWYCYJDIMIR-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000120160258 376558892 /nfs/dbraw/zinc/55/88/92/376558892.db2.gz PHBMJPIEWLVIGO-MNOVXSKESA-N 0 0 262.309 2.855 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC1(C2CC2)CCC1 ZINC000120463028 376619734 /nfs/dbraw/zinc/61/97/34/376619734.db2.gz QYYRSDCLAMIHJS-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1c2ccccc2C[C@H]1O ZINC000120596992 376650432 /nfs/dbraw/zinc/65/04/32/376650432.db2.gz IZUROGOJCXSTGR-GDBMZVCRSA-N 0 0 284.315 2.973 20 5 CFBDRN COCCCCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000120799040 376694841 /nfs/dbraw/zinc/69/48/41/376694841.db2.gz VALMGPNIUAHTOZ-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000120806159 376697169 /nfs/dbraw/zinc/69/71/69/376697169.db2.gz XTLUPMOUTYYTBP-JTQLQIEISA-N 0 0 287.319 2.948 20 5 CFBDRN CSCCC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000121025762 376748555 /nfs/dbraw/zinc/74/85/55/376748555.db2.gz CQHFRXIRJUYSGO-UHFFFAOYSA-N 0 0 280.349 2.627 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1ccco1 ZINC000121324740 376805561 /nfs/dbraw/zinc/80/55/61/376805561.db2.gz LEEQUHXMMZQVTG-UHFFFAOYSA-N 0 0 299.286 2.528 20 5 CFBDRN CCOC(=O)CCCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000121372212 376815974 /nfs/dbraw/zinc/81/59/74/376815974.db2.gz WGZUZRWOIJDDAN-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@H]2CCCCO2)c(F)c1 ZINC000121694423 376887096 /nfs/dbraw/zinc/88/70/96/376887096.db2.gz IDGZTUSHPCYQSH-SECBINFHSA-N 0 0 272.251 2.854 20 5 CFBDRN C[C@@H]1CC(CCn2cnc([N+](=O)[O-])n2)C[C@@H](C)C1 ZINC000121738885 376896026 /nfs/dbraw/zinc/89/60/26/376896026.db2.gz YGBZZKVABZPPEI-UWVGGRQHSA-N 0 0 252.318 2.649 20 5 CFBDRN CCCc1noc(COc2cccc(C)c2[N+](=O)[O-])n1 ZINC000123957748 376999148 /nfs/dbraw/zinc/99/91/48/376999148.db2.gz OLFHXNGYSQUJBH-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN COC(=O)c1occc1COc1cccc(C)c1[N+](=O)[O-] ZINC000123965255 377002909 /nfs/dbraw/zinc/00/29/09/377002909.db2.gz GDUMKBPXQGMHAS-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1cncn1C ZINC000125050790 377113340 /nfs/dbraw/zinc/11/33/40/377113340.db2.gz PVPYTIRRPFPQAZ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3CCC3)n2)s1 ZINC000278140138 193842282 /nfs/dbraw/zinc/84/22/82/193842282.db2.gz LDEPZUGBOQJOOQ-UHFFFAOYSA-N 0 0 251.267 2.974 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000125321124 377143239 /nfs/dbraw/zinc/14/32/39/377143239.db2.gz IMIMLBDIWRGQII-NSHDSACASA-N 0 0 284.337 2.684 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@H]21 ZINC000336110380 296254782 /nfs/dbraw/zinc/25/47/82/296254782.db2.gz PMNLMVQMVRYVMA-GXFFZTMASA-N 0 0 260.293 2.609 20 5 CFBDRN Cc1ccc(Cn2c(C)ccc([N+](=O)[O-])c2=O)cc1C ZINC000278146742 193844443 /nfs/dbraw/zinc/84/44/43/193844443.db2.gz QBISEMIPBSYREY-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN COC[C@H](C)NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000126942879 377253093 /nfs/dbraw/zinc/25/30/93/377253093.db2.gz OIXCSYBDBRCWTJ-JTQLQIEISA-N 0 0 294.351 2.657 20 5 CFBDRN Cc1nn(C)cc1CNc1cc([N+](=O)[O-])ccc1C ZINC000127860935 377306251 /nfs/dbraw/zinc/30/62/51/377306251.db2.gz DQKGVFPLTYVBHX-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cccc3c2OCO3)n1 ZINC000128909874 377361673 /nfs/dbraw/zinc/36/16/73/377361673.db2.gz LOJPDAXCCDXDCF-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN CC(C)[C@@H](C)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000129072046 377378925 /nfs/dbraw/zinc/37/89/25/377378925.db2.gz GFXGRGYMNFCECC-SSDOTTSWSA-N 0 0 292.382 2.516 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccc2ccccc2c1 ZINC000128780333 377355547 /nfs/dbraw/zinc/35/55/47/377355547.db2.gz NLKGLPMSCFTAFZ-UHFFFAOYSA-N 0 0 253.261 2.993 20 5 CFBDRN C[C@@H]1C[C@@H]1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000129425921 377425239 /nfs/dbraw/zinc/42/52/39/377425239.db2.gz UNYYWZVOIXDAAJ-LDWIPMOCSA-N 0 0 288.225 2.752 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1ncc([N+](=O)[O-])cc1Br ZINC000129493527 377435010 /nfs/dbraw/zinc/43/50/10/377435010.db2.gz UVDTUGUPXULYGL-RNFRBKRXSA-N 0 0 286.129 2.820 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)C1CC1 ZINC000129530190 377441226 /nfs/dbraw/zinc/44/12/26/377441226.db2.gz FGMOQLJPCNXAHE-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000129335629 377413282 /nfs/dbraw/zinc/41/32/82/377413282.db2.gz DVOSBLAYZROLGC-ZJUUUORDSA-N 0 0 277.324 2.555 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000129965343 377495078 /nfs/dbraw/zinc/49/50/78/377495078.db2.gz SOKYCPNXOZTDPG-SECBINFHSA-N 0 0 295.314 2.578 20 5 CFBDRN CCOCCO[C@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000129971234 377497898 /nfs/dbraw/zinc/49/78/98/377497898.db2.gz NKXFFKNOFVIJPK-ZDUSSCGKSA-N 0 0 294.351 2.535 20 5 CFBDRN CSC(C)(C)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000130156631 377516140 /nfs/dbraw/zinc/51/61/40/377516140.db2.gz DFZPISMELQRFGV-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000130343346 377540784 /nfs/dbraw/zinc/54/07/84/377540784.db2.gz MYFCVLJTOBCTOO-UWVGGRQHSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc(CCn2cnc3c2CCCC3)cc1 ZINC000130455513 377560815 /nfs/dbraw/zinc/56/08/15/377560815.db2.gz FQMJJYXISABBDG-UHFFFAOYSA-N 0 0 271.320 2.913 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCc2cccc(F)c21 ZINC000078728086 284669901 /nfs/dbraw/zinc/66/99/01/284669901.db2.gz SIZOEZAFCXTVGK-UHFFFAOYSA-N 0 0 290.250 2.920 20 5 CFBDRN Cc1cccc(C(=O)NCc2ccc(O)cc2)c1[N+](=O)[O-] ZINC000131295506 377691520 /nfs/dbraw/zinc/69/15/20/377691520.db2.gz XRWSHDLERLFGTL-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Cc1ccc(CN2CCS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000131344306 377698503 /nfs/dbraw/zinc/69/85/03/377698503.db2.gz GDRQTHZCMOJXRG-LLVKDONJSA-N 0 0 266.366 2.841 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1nnc(C(C)C)o1 ZINC000131470550 377715820 /nfs/dbraw/zinc/71/58/20/377715820.db2.gz UTJCLENDHFKANA-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)N1CCCCCC1 ZINC000131522129 377723287 /nfs/dbraw/zinc/72/32/87/377723287.db2.gz KAVPJVHCKFOFNK-UHFFFAOYSA-N 0 0 292.335 2.685 20 5 CFBDRN CCC[C@H]1CN(Cc2csc([N+](=O)[O-])c2)CCO1 ZINC000131419909 377709021 /nfs/dbraw/zinc/70/90/21/377709021.db2.gz KZOCYLFORCWQOM-NSHDSACASA-N 0 0 270.354 2.657 20 5 CFBDRN CCC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000131941057 377781281 /nfs/dbraw/zinc/78/12/81/377781281.db2.gz MMECRCAYAGFLSE-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000131670930 377745014 /nfs/dbraw/zinc/74/50/14/377745014.db2.gz AIWOQQRBUPHQES-WDEREUQCSA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1ccc(OCc2ncc(Cl)n2C)cc1[N+](=O)[O-] ZINC000131671635 377745372 /nfs/dbraw/zinc/74/53/72/377745372.db2.gz UMDOSXWRNXAJSH-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000131850838 377767910 /nfs/dbraw/zinc/76/79/10/377767910.db2.gz MFZGHGWTIDVTQK-WDEREUQCSA-N 0 0 262.309 2.713 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000132184782 377812021 /nfs/dbraw/zinc/81/20/21/377812021.db2.gz XNVOSIMPSRFICV-MRVPVSSYSA-N 0 0 286.278 2.990 20 5 CFBDRN Cc1cc(NC(=O)NC2CCCC2)ccc1[N+](=O)[O-] ZINC000132185063 377812271 /nfs/dbraw/zinc/81/22/71/377812271.db2.gz OBJKOEHQLSTFGC-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1(CCO)CCC1 ZINC000132687610 377866963 /nfs/dbraw/zinc/86/69/63/377866963.db2.gz ZRUJIRRNJSNYPO-UHFFFAOYSA-N 0 0 250.298 2.559 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000133005035 377894186 /nfs/dbraw/zinc/89/41/86/377894186.db2.gz WBUSKRUYZDERBM-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCCCCCCO ZINC000132456593 377842263 /nfs/dbraw/zinc/84/22/63/377842263.db2.gz GYRJYURCGSABTK-UHFFFAOYSA-N 0 0 252.314 2.868 20 5 CFBDRN CC(C)CC[C@@H]1CCC[C@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000132481890 377844009 /nfs/dbraw/zinc/84/40/09/377844009.db2.gz MRVDGWUAUXMKEJ-WDEREUQCSA-N 0 0 294.355 2.653 20 5 CFBDRN CSCCCNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000132595230 377857427 /nfs/dbraw/zinc/85/74/27/377857427.db2.gz SKTRTADNIHXPBM-JTQLQIEISA-N 0 0 297.380 2.708 20 5 CFBDRN C[C@H]1CC[C@@H](Nc2cccc(S(C)(=O)=O)c2[N+](=O)[O-])C1 ZINC000133395642 377925798 /nfs/dbraw/zinc/92/57/98/377925798.db2.gz BVPVLZXZSCDMJH-VHSXEESVSA-N 0 0 298.364 2.599 20 5 CFBDRN C[C@@H](O)[C@@H](C)Oc1c(Br)cccc1[N+](=O)[O-] ZINC000133616150 377943024 /nfs/dbraw/zinc/94/30/24/377943024.db2.gz AFYBYZJRQTXCBK-RNFRBKRXSA-N 0 0 290.113 2.505 20 5 CFBDRN Cc1nc(NC[C@]2(O)CCc3ccccc32)ccc1[N+](=O)[O-] ZINC000133729213 377952825 /nfs/dbraw/zinc/95/28/25/377952825.db2.gz MZAXSAPTRKAYNO-MRXNPFEDSA-N 0 0 299.330 2.544 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ccc([N+](=O)[O-])c(C)n2)cc1 ZINC000133095753 377903703 /nfs/dbraw/zinc/90/37/03/377903703.db2.gz ZQFSFZDWWQVCJD-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN CC[C@H](C)C(=O)COc1cc([N+](=O)[O-])ccc1OC ZINC000133104510 377904834 /nfs/dbraw/zinc/90/48/34/377904834.db2.gz GAYDKEBTJUPUHL-VIFPVBQESA-N 0 0 267.281 2.597 20 5 CFBDRN Cc1nc(NC(=O)CCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000133907244 377967698 /nfs/dbraw/zinc/96/76/98/377967698.db2.gz KVJREVJNRVABCR-UHFFFAOYSA-N 0 0 277.202 2.579 20 5 CFBDRN COC(=O)CN(Cc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000133973890 377972289 /nfs/dbraw/zinc/97/22/89/377972289.db2.gz ORTRDGPVCIHTPI-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN CC(C)CCNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134025100 377976655 /nfs/dbraw/zinc/97/66/55/377976655.db2.gz VGKQIFDEGCTCRA-UHFFFAOYSA-N 0 0 272.251 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCSCC2)c2nonc21 ZINC000134597981 378036695 /nfs/dbraw/zinc/03/66/95/378036695.db2.gz RJZLHLPWIALJBO-UHFFFAOYSA-N 0 0 294.336 2.686 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCc1cscn1 ZINC000134789716 378049786 /nfs/dbraw/zinc/04/97/86/378049786.db2.gz HXUSVSNEJPLYAK-UHFFFAOYSA-N 0 0 267.285 2.845 20 5 CFBDRN O=C(Cn1ccc2c1cccc2[N+](=O)[O-])N1CCCCC1 ZINC000135120014 378084756 /nfs/dbraw/zinc/08/47/56/378084756.db2.gz WDNAKNVPZMYOGS-UHFFFAOYSA-N 0 0 287.319 2.562 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000134903015 378060175 /nfs/dbraw/zinc/06/01/75/378060175.db2.gz GEHJUGJKYADWEO-JTQLQIEISA-N 0 0 266.297 2.584 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000134913587 378062644 /nfs/dbraw/zinc/06/26/44/378062644.db2.gz NHIPLQRQNXQMMF-NSHDSACASA-N 0 0 280.324 2.975 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000134969750 378071181 /nfs/dbraw/zinc/07/11/81/378071181.db2.gz NDUXFKHVHLNKRW-SECBINFHSA-N 0 0 266.272 2.606 20 5 CFBDRN CC[C@]1(C)CN(C(=O)c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000135318066 378115016 /nfs/dbraw/zinc/11/50/16/378115016.db2.gz SOHDZOGFCFWJPT-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@@]1(C)CN(C(=O)c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000135318081 378116065 /nfs/dbraw/zinc/11/60/65/378116065.db2.gz SOHDZOGFCFWJPT-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(C)CONC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000135337979 378120178 /nfs/dbraw/zinc/12/01/78/378120178.db2.gz NYNCHNRESGMVEH-UHFFFAOYSA-N 0 0 272.688 2.566 20 5 CFBDRN CC1CC(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000135559732 378144642 /nfs/dbraw/zinc/14/46/42/378144642.db2.gz ITUGPCFWTXTTDQ-UHFFFAOYSA-N 0 0 268.700 2.777 20 5 CFBDRN O=C(NOC1CCCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000135144070 378089556 /nfs/dbraw/zinc/08/95/56/378089556.db2.gz HGKNTWITVGJSDI-UHFFFAOYSA-N 0 0 284.699 2.852 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]2C)c1 ZINC000136302666 378194577 /nfs/dbraw/zinc/19/45/77/378194577.db2.gz HVHJLAGIXAEKIL-GXSJLCMTSA-N 0 0 278.308 2.982 20 5 CFBDRN CCOc1cc(N[C@@H](CC)CCO)ccc1[N+](=O)[O-] ZINC000135771668 378162722 /nfs/dbraw/zinc/16/27/22/378162722.db2.gz WAMAWPAOKWUQIP-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c([O-])c2)C1 ZINC000137771760 378228278 /nfs/dbraw/zinc/22/82/78/378228278.db2.gz XKPOAPBAOBVMBU-LLVKDONJSA-N 0 0 292.335 2.953 20 5 CFBDRN C[C@@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000147491884 378252387 /nfs/dbraw/zinc/25/23/87/378252387.db2.gz XBOBLUUWGRXYPQ-OIBJUYFYSA-N 0 0 256.689 2.838 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000151858592 378365110 /nfs/dbraw/zinc/36/51/10/378365110.db2.gz AFMJDLZAGWTLCT-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN O=C(c1ccccn1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152447242 378416093 /nfs/dbraw/zinc/41/60/93/378416093.db2.gz VDLOMAIADWDSLW-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H]2OCCC[C@@H]2C1 ZINC000152332220 378409119 /nfs/dbraw/zinc/40/91/19/378409119.db2.gz CDIJNNPOTTUAJQ-ZWNOBZJWSA-N 0 0 280.299 2.739 20 5 CFBDRN CCCC1(CNC(=O)c2cc([N+](=O)[O-])cnc2C)CC1 ZINC000152019485 378385240 /nfs/dbraw/zinc/38/52/40/378385240.db2.gz SDOSUHUHBXANRX-UHFFFAOYSA-N 0 0 277.324 2.608 20 5 CFBDRN CN(C)c1ccccc1N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000153142774 378477808 /nfs/dbraw/zinc/47/78/08/378477808.db2.gz CKLZHKNIZRDBPT-UHFFFAOYSA-N 0 0 299.330 2.937 20 5 CFBDRN CC1(C)CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000330443146 378556258 /nfs/dbraw/zinc/55/62/58/378556258.db2.gz VZFYTIMRKNETFZ-UHFFFAOYSA-N 0 0 264.325 2.922 20 5 CFBDRN C[C@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])[C@H]1C ZINC000330452986 378559788 /nfs/dbraw/zinc/55/97/88/378559788.db2.gz LEZNYYTZHDZJGR-UWVGGRQHSA-N 0 0 250.298 2.531 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCC[C@H]1CCCC[C@@H]1O ZINC000154455360 378567710 /nfs/dbraw/zinc/56/77/10/378567710.db2.gz NFCQRMBICDOIPI-YPMHNXCESA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1nc(C)n(Cc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000154487611 378571775 /nfs/dbraw/zinc/57/17/75/378571775.db2.gz VZMXFFAPTYSLLR-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000330513227 378574746 /nfs/dbraw/zinc/57/47/46/378574746.db2.gz SZIVFABFBFJOLI-ZYHUDNBSSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000330516342 378576281 /nfs/dbraw/zinc/57/62/81/378576281.db2.gz IHMWIPNNJOZHPR-VHSXEESVSA-N 0 0 276.292 2.539 20 5 CFBDRN Cc1conc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000154548485 378578319 /nfs/dbraw/zinc/57/83/19/378578319.db2.gz JREVPLHMDPJNAB-QPJJXVBHSA-N 0 0 273.248 2.543 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000330536495 378580608 /nfs/dbraw/zinc/58/06/08/378580608.db2.gz VRXDBUXWIXHPFH-CQSZACIVSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1c(OC[C@@H](O)Cc2ccccc2)cccc1[N+](=O)[O-] ZINC000154020218 378532445 /nfs/dbraw/zinc/53/24/45/378532445.db2.gz REIPRNCXYXOGLR-AWEZNQCLSA-N 0 0 287.315 2.886 20 5 CFBDRN COc1cc(C(=O)N2CCC=C(F)C2)cc([N+](=O)[O-])c1C ZINC000331117023 378635841 /nfs/dbraw/zinc/63/58/41/378635841.db2.gz VZBTXPBBNSRCJI-UHFFFAOYSA-N 0 0 294.282 2.611 20 5 CFBDRN CCC(C)(CC)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000154714144 378589212 /nfs/dbraw/zinc/58/92/12/378589212.db2.gz GNXDHRZLCOOEJM-UHFFFAOYSA-N 0 0 282.315 2.971 20 5 CFBDRN C/C=C(/C)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 ZINC000154706138 378589620 /nfs/dbraw/zinc/58/96/20/378589620.db2.gz SFPQSQDXGLJWSF-OQFOIZHKSA-N 0 0 292.291 2.661 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2ncccc2[N+](=O)[O-])C12CCC2 ZINC000154890146 378599590 /nfs/dbraw/zinc/59/95/90/378599590.db2.gz HFTYZIMRNADGRF-STQMWFEESA-N 0 0 291.351 2.774 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000330998112 378612080 /nfs/dbraw/zinc/61/20/80/378612080.db2.gz PELQHULYEGRVOL-OAHLLOKOSA-N 0 0 292.335 2.866 20 5 CFBDRN CCCN(C(=O)[C@H]1CO[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000331261357 378659192 /nfs/dbraw/zinc/65/91/92/378659192.db2.gz CAOHLZDFJGXBQJ-VXGBXAGGSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@H](O)[C@@H]1C[C@H](C)CCN1Cc1csc([N+](=O)[O-])c1 ZINC000331280715 378663652 /nfs/dbraw/zinc/66/36/52/378663652.db2.gz IENSNNQDXICSKR-SCVCMEIPSA-N 0 0 284.381 2.638 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC2(CCC2)C1 ZINC000158945733 378676793 /nfs/dbraw/zinc/67/67/93/378676793.db2.gz MXZUNTHWQZQHGI-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN CC[C@H](C)[C@@H](C)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC1 ZINC000331460375 378690495 /nfs/dbraw/zinc/69/04/95/378690495.db2.gz IFPHUSPUJCSHMX-VHSXEESVSA-N 0 0 279.340 2.962 20 5 CFBDRN CCOc1cc(CN2CCSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000331173771 378644362 /nfs/dbraw/zinc/64/43/62/378644362.db2.gz PACWYCWPCFLMQL-NSHDSACASA-N 0 0 296.392 2.931 20 5 CFBDRN Cc1c(CC(=O)NCC2(C3CC3)CC2)cccc1[N+](=O)[O-] ZINC000160162258 378751636 /nfs/dbraw/zinc/75/16/36/378751636.db2.gz HEVVAABYGDSLLL-UHFFFAOYSA-N 0 0 288.347 2.752 20 5 CFBDRN CC(C)C[C@@H](C)Cc1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000332360039 378752127 /nfs/dbraw/zinc/75/21/27/378752127.db2.gz JFGVAEZWUAOYSL-SECBINFHSA-N 0 0 293.327 2.603 20 5 CFBDRN COc1cc(NCC2(CO)CCCC2)ccc1[N+](=O)[O-] ZINC000160198250 378755083 /nfs/dbraw/zinc/75/50/83/378755083.db2.gz BEFSTNSHPALZCB-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN CSC1(C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000332415459 378761011 /nfs/dbraw/zinc/76/10/11/378761011.db2.gz BTEJTMRSXOMPAC-UHFFFAOYSA-N 0 0 280.349 2.843 20 5 CFBDRN CSC1(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000332453302 378764702 /nfs/dbraw/zinc/76/47/02/378764702.db2.gz NUHDLYYSKUZOHM-UHFFFAOYSA-N 0 0 266.322 2.819 20 5 CFBDRN CCC[C@@H](C)[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000332674414 378787901 /nfs/dbraw/zinc/78/79/01/378787901.db2.gz IVIDIGOXVBNVFV-MFKMUULPSA-N 0 0 279.340 2.964 20 5 CFBDRN CC[C@H](C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000332731008 378795769 /nfs/dbraw/zinc/79/57/69/378795769.db2.gz RHNKRZCNKMWOEF-NSHDSACASA-N 0 0 276.336 2.916 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c2cccnc12)C1CC1 ZINC000338779100 220255080 /nfs/dbraw/zinc/25/50/80/220255080.db2.gz NKTOHLQRFGZUIK-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CC1CCOCC1 ZINC000161280564 378835666 /nfs/dbraw/zinc/83/56/66/378835666.db2.gz UOXINFQFRUFMTQ-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN CCCn1c(C)nnc1CNc1cc([N+](=O)[O-])ccc1C ZINC000161572124 378855899 /nfs/dbraw/zinc/85/58/99/378855899.db2.gz FSICYHGVOYKJET-UHFFFAOYSA-N 0 0 289.339 2.825 20 5 CFBDRN COC1(CNc2c(C)cccc2[N+](=O)[O-])CCC1 ZINC000161640916 378862720 /nfs/dbraw/zinc/86/27/20/378862720.db2.gz OPULRDJAAJQOLB-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1c(CN2CCC[C@H]2c2cc[nH]n2)cccc1[N+](=O)[O-] ZINC000278531380 194030374 /nfs/dbraw/zinc/03/03/74/194030374.db2.gz FDFHHNANRPOYIS-HNNXBMFYSA-N 0 0 286.335 2.963 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c32)C1 ZINC000334211443 378931007 /nfs/dbraw/zinc/93/10/07/378931007.db2.gz YWPKYEPTQZCESQ-CQSZACIVSA-N 0 0 291.282 2.650 20 5 CFBDRN C[C@H](CO)Sc1ccc([N+](=O)[O-])cc1Br ZINC000162500076 378916048 /nfs/dbraw/zinc/91/60/48/378916048.db2.gz XPBFUCSLGXWAQL-ZCFIWIBFSA-N 0 0 292.154 2.830 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000163098641 378953240 /nfs/dbraw/zinc/95/32/40/378953240.db2.gz FITLZDQIXWRWHQ-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN CC1=CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000334237916 378964712 /nfs/dbraw/zinc/96/47/12/378964712.db2.gz IXWAMLBLSDPDPI-UHFFFAOYSA-N 0 0 264.256 2.526 20 5 CFBDRN C[C@@H]1OCC[C@@H]1SCCOc1cccc([N+](=O)[O-])c1 ZINC000163516943 378978680 /nfs/dbraw/zinc/97/86/80/378978680.db2.gz QONRVFXNSSYYRB-GWCFXTLKSA-N 0 0 283.349 2.884 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@@H]3CCCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000334262333 378997941 /nfs/dbraw/zinc/99/79/41/378997941.db2.gz ZJOYIORJQXGIJS-RYUDHWBXSA-N 0 0 291.351 2.728 20 5 CFBDRN CC[C@](C)(O)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000166796953 379062770 /nfs/dbraw/zinc/06/27/70/379062770.db2.gz LERABLQJKUSBPZ-NSHDSACASA-N 0 0 258.705 2.821 20 5 CFBDRN CC(C)(C(=O)N1CC[C@@](C)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000334318916 379086384 /nfs/dbraw/zinc/08/63/84/379086384.db2.gz STZTUGPORNXVLQ-OAHLLOKOSA-N 0 0 294.326 2.833 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCCc2ccccc21 ZINC000335329689 379099828 /nfs/dbraw/zinc/09/98/28/379099828.db2.gz DAHLOKKRHMLACR-UHFFFAOYSA-N 0 0 285.303 2.906 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCCC12CCCCC2 ZINC000334328766 379102656 /nfs/dbraw/zinc/10/26/56/379102656.db2.gz IMYHVWISLIOZRU-UHFFFAOYSA-N 0 0 291.351 2.872 20 5 CFBDRN O=C(N[C@H]1CC[C@H](F)C1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000334332501 379109022 /nfs/dbraw/zinc/10/90/22/379109022.db2.gz QGFKRSOSZPQIMM-UWVGGRQHSA-N 0 0 286.690 2.869 20 5 CFBDRN CC1CN(Cc2cc([N+](=O)[O-])ccc2OC(F)F)C1 ZINC000338950018 220258675 /nfs/dbraw/zinc/25/86/75/220258675.db2.gz DOMRBSFELJSCLL-UHFFFAOYSA-N 0 0 272.251 2.648 20 5 CFBDRN O=C(N[C@@H]1CC12CC2)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000335365447 379168589 /nfs/dbraw/zinc/16/85/89/379168589.db2.gz SNYHQIODVRGWQH-SNVBAGLBSA-N 0 0 266.684 2.531 20 5 CFBDRN CCc1nnc(CNc2cc([N+](=O)[O-])c(C)cc2C)o1 ZINC000170870620 379180348 /nfs/dbraw/zinc/18/03/48/379180348.db2.gz YWUPZNDEJQXDJG-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC[C@@H](C2CC2)C1 ZINC000334378590 379192264 /nfs/dbraw/zinc/19/22/64/379192264.db2.gz GTBHPULBMXCFRW-LLVKDONJSA-N 0 0 275.308 2.859 20 5 CFBDRN CCC[C@H]1CN(C(=O)c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000278897286 194201792 /nfs/dbraw/zinc/20/17/92/194201792.db2.gz ZZVSCWBDFOLOOD-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000334408839 379247438 /nfs/dbraw/zinc/24/74/38/379247438.db2.gz JJAHLJIORIVGHB-NSHDSACASA-N 0 0 279.340 2.586 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC[C@H](F)C1 ZINC000335416904 379254261 /nfs/dbraw/zinc/25/42/61/379254261.db2.gz ITNGNXIZOHIKTG-QWRGUYRKSA-N 0 0 280.299 2.914 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@@H]2CCC[C@H]21 ZINC000335427665 379279200 /nfs/dbraw/zinc/27/92/00/379279200.db2.gz LWTNJWDLMRFSEP-TVQRCGJNSA-N 0 0 278.283 2.749 20 5 CFBDRN CC1(F)CC(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000335457683 379328090 /nfs/dbraw/zinc/32/80/90/379328090.db2.gz QSSYCWPYXRASEQ-UHFFFAOYSA-N 0 0 286.690 2.869 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000335458290 379328683 /nfs/dbraw/zinc/32/86/83/379328683.db2.gz AOTNWVFSUHTFBJ-JTQLQIEISA-N 0 0 262.309 2.998 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCSC1 ZINC000335465281 379343656 /nfs/dbraw/zinc/34/36/56/379343656.db2.gz KWJWPGSEAOOJGR-VIFPVBQESA-N 0 0 266.322 2.595 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H](C)CCCC(C)C)c1[N+](=O)[O-] ZINC000171398579 379299027 /nfs/dbraw/zinc/29/90/27/379299027.db2.gz AIDFHSADCOZEGQ-SNVBAGLBSA-N 0 0 296.371 2.825 20 5 CFBDRN COC(=O)c1coc(COc2cc(C)ccc2[N+](=O)[O-])c1 ZINC000171411163 379300701 /nfs/dbraw/zinc/30/07/01/379300701.db2.gz WSCRORQVQNVGMB-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CC1(CNC(=O)c2csc([N+](=O)[O-])c2)CCCC1 ZINC000171699428 379377228 /nfs/dbraw/zinc/37/72/28/379377228.db2.gz YTZVGSUHMIZFGA-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000171909861 379435381 /nfs/dbraw/zinc/43/53/81/379435381.db2.gz CUHQNUGTGXPHEU-JTQLQIEISA-N 0 0 251.282 2.930 20 5 CFBDRN COC(=O)c1cc(O[C@@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000171933771 379443796 /nfs/dbraw/zinc/44/37/96/379443796.db2.gz RXCUJCUIEUXMEV-SNVBAGLBSA-N 0 0 277.276 2.869 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000334504835 379411316 /nfs/dbraw/zinc/41/13/16/379411316.db2.gz BDEXIVZLWWYUTO-IONNQARKSA-N 0 0 254.311 2.575 20 5 CFBDRN CC(C)C(=O)NCCCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000432332419 383737529 /nfs/dbraw/zinc/73/75/29/383737529.db2.gz XSESLIQOJRYMKC-UHFFFAOYSA-N 0 0 299.758 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C2CCCC2)c1 ZINC000172090625 379489953 /nfs/dbraw/zinc/48/99/53/379489953.db2.gz NHQGCMXUYYUWOA-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCC[C@@H](CC)CC2)c1[N+](=O)[O-] ZINC000172295238 379533982 /nfs/dbraw/zinc/53/39/82/379533982.db2.gz LFELAEFWHPPLQP-SNVBAGLBSA-N 0 0 294.355 2.533 20 5 CFBDRN O=C(c1cc(C2CC2)on1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172361720 379549273 /nfs/dbraw/zinc/54/92/73/379549273.db2.gz UPGRBTJONZDZHV-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000335600781 379585666 /nfs/dbraw/zinc/58/56/66/379585666.db2.gz QRKQWPLYVYRVDI-NSHDSACASA-N 0 0 262.309 2.836 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCc2ccccc2CC1 ZINC000335583154 379555328 /nfs/dbraw/zinc/55/53/28/379555328.db2.gz VSKAUSHRORXLDL-UHFFFAOYSA-N 0 0 299.330 2.554 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC(C)(C)CCO ZINC000172813529 379651492 /nfs/dbraw/zinc/65/14/92/379651492.db2.gz QQRJFNLZXLXLJD-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN C[C@H]1CCCN(C(=O)COc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000172644206 379613001 /nfs/dbraw/zinc/61/30/01/379613001.db2.gz UYZLOHIMYCFGAB-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CS[C@@H](C)C1 ZINC000335628034 379623282 /nfs/dbraw/zinc/62/32/82/379623282.db2.gz JQRSITCKGCBHES-VHSXEESVSA-N 0 0 295.364 2.919 20 5 CFBDRN CSc1ccc(OCCn2cnc([N+](=O)[O-])c2)cc1 ZINC000172915897 379670390 /nfs/dbraw/zinc/67/03/90/379670390.db2.gz GCUQGMHTWLUOBU-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335685490 379719080 /nfs/dbraw/zinc/71/90/80/379719080.db2.gz LLTAMBZNOVTCCT-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN CCOCCCCNC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000173322757 379725592 /nfs/dbraw/zinc/72/55/92/379725592.db2.gz HFIXTLXSAVIEMI-UHFFFAOYSA-N 0 0 294.351 2.704 20 5 CFBDRN CC[C@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000334668679 379740180 /nfs/dbraw/zinc/74/01/80/379740180.db2.gz DUXALVGQCISNFP-CQSZACIVSA-N 0 0 279.340 2.586 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H](C)CSC)cc1[N+](=O)[O-] ZINC000173445517 379740740 /nfs/dbraw/zinc/74/07/40/379740740.db2.gz CWKZNXPCIJGTNO-VIFPVBQESA-N 0 0 282.365 2.639 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000335698939 379743995 /nfs/dbraw/zinc/74/39/95/379743995.db2.gz WCZZJXUUQSSVOQ-SNVBAGLBSA-N 0 0 260.293 2.633 20 5 CFBDRN Cc1cscc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000335700964 379747490 /nfs/dbraw/zinc/74/74/90/379747490.db2.gz VSLYKBBCJKRSGD-UHFFFAOYSA-N 0 0 251.267 2.545 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000173157666 379703792 /nfs/dbraw/zinc/70/37/92/379703792.db2.gz NITFVYNRHMSXFN-ZETCQYMHSA-N 0 0 254.311 2.589 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000335735552 379804682 /nfs/dbraw/zinc/80/46/82/379804682.db2.gz RIJWNGOQYGFRMD-GFCCVEGCSA-N 0 0 281.287 2.996 20 5 CFBDRN O=C(N[C@@H]1CC[C@H](F)C1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334684545 379763850 /nfs/dbraw/zinc/76/38/50/379763850.db2.gz REWOSJYWKYLCHI-DTWKUNHWSA-N 0 0 291.282 2.697 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000335714541 379769692 /nfs/dbraw/zinc/76/96/92/379769692.db2.gz CFMNJHXTFIMKET-RKDXNWHRSA-N 0 0 273.292 2.699 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CCC[C@@H]1F ZINC000334690383 379772948 /nfs/dbraw/zinc/77/29/48/379772948.db2.gz REDIZUWASLIAFY-CMPLNLGQSA-N 0 0 281.287 2.915 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H]1C1CC1 ZINC000334689886 379773060 /nfs/dbraw/zinc/77/30/60/379773060.db2.gz NNSKSKVIYZLVLG-LLVKDONJSA-N 0 0 260.293 2.528 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000334690000 379773580 /nfs/dbraw/zinc/77/35/80/379773580.db2.gz NLBPWCLWXRKZNA-CYBMUJFWSA-N 0 0 275.308 2.919 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC(C2CCC2)C1 ZINC000334736946 379849994 /nfs/dbraw/zinc/84/99/94/379849994.db2.gz YNDDWQBABVKTRM-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000335773619 379866358 /nfs/dbraw/zinc/86/63/58/379866358.db2.gz VIPSUCRLOUKPJG-CQSZACIVSA-N 0 0 262.309 2.857 20 5 CFBDRN Cc1ccn(Cc2cccc(Cl)c2F)c(=O)c1[N+](=O)[O-] ZINC000335744669 379820026 /nfs/dbraw/zinc/82/00/26/379820026.db2.gz PCMMQFUVFYQEIB-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CN(C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)[C@H]1CC1(C)C ZINC000335780748 379876528 /nfs/dbraw/zinc/87/65/28/379876528.db2.gz JDUCNKRLRVXHCR-LBPRGKRZSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@@H]1CSCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334765026 379907641 /nfs/dbraw/zinc/90/76/41/379907641.db2.gz KRIVOIZTZHCGQJ-VIFPVBQESA-N 0 0 298.339 2.559 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCCC2(C)C)ccc1[N+](=O)[O-] ZINC000174956395 379968125 /nfs/dbraw/zinc/96/81/25/379968125.db2.gz IVADCCCTHTYBDJ-ZDUSSCGKSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC1(C)C ZINC000174984502 379972847 /nfs/dbraw/zinc/97/28/47/379972847.db2.gz OEPYUDWSFSOPEM-LBPRGKRZSA-N 0 0 277.324 2.607 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)(C)C ZINC000174762003 379935820 /nfs/dbraw/zinc/93/58/20/379935820.db2.gz YMBPJLQGCAATCQ-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN CCOc1cc(N(C)C[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000174915336 379961208 /nfs/dbraw/zinc/96/12/08/379961208.db2.gz NLIUORHEYUXRQS-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000174927855 379962302 /nfs/dbraw/zinc/96/23/02/379962302.db2.gz LEAXFXNBZONWGK-UHFFFAOYSA-N 0 0 266.272 2.796 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)[C@@H]1CC1(C)C ZINC000335849189 379990767 /nfs/dbraw/zinc/99/07/67/379990767.db2.gz GZUKVXZUUANTBC-LLVKDONJSA-N 0 0 262.309 2.774 20 5 CFBDRN COc1cc(C(=O)NCC2(C)CCC2)ccc1[N+](=O)[O-] ZINC000175087025 379993660 /nfs/dbraw/zinc/99/36/60/379993660.db2.gz QYCUJAVCUOAEJP-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CC(=O)N1CCC(CNc2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000175095095 379994602 /nfs/dbraw/zinc/99/46/02/379994602.db2.gz JOQUHHUXDDTUNA-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN CC1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CCOCC1 ZINC000267791420 190355150 /nfs/dbraw/zinc/35/51/50/190355150.db2.gz GJBXGUUIICOKCD-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000175413997 380060797 /nfs/dbraw/zinc/06/07/97/380060797.db2.gz KGEBBQGAMIQOAM-ZJUUUORDSA-N 0 0 263.297 2.857 20 5 CFBDRN Cc1ccc(F)c(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000335905344 380083467 /nfs/dbraw/zinc/08/34/67/380083467.db2.gz IAQGTTZXBVMYEB-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000335226353 380039270 /nfs/dbraw/zinc/03/92/70/380039270.db2.gz ZNKVANUBGBBCNU-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1nc(NC(=O)CCC(C)C)ccc1[N+](=O)[O-] ZINC000175666506 380109134 /nfs/dbraw/zinc/10/91/34/380109134.db2.gz LDLRCLRTNJIXGZ-UHFFFAOYSA-N 0 0 251.286 2.673 20 5 CFBDRN Cc1nc(NC(=O)CC2CCCC2)ccc1[N+](=O)[O-] ZINC000175681849 380111796 /nfs/dbraw/zinc/11/17/96/380111796.db2.gz QZTIWPSBBGSPLB-UHFFFAOYSA-N 0 0 263.297 2.817 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000335932866 380122711 /nfs/dbraw/zinc/12/27/11/380122711.db2.gz ROVDLYNJUXTTSU-SECBINFHSA-N 0 0 268.338 2.979 20 5 CFBDRN CC(C)N(C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000175774045 380130316 /nfs/dbraw/zinc/13/03/16/380130316.db2.gz HPLOIHATFNRECM-JTQLQIEISA-N 0 0 265.313 2.706 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC(C2CCC2)C1 ZINC000335952576 380152333 /nfs/dbraw/zinc/15/23/33/380152333.db2.gz MQFJBYQJUFVIEJ-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000342398289 220273525 /nfs/dbraw/zinc/27/35/25/220273525.db2.gz FTAMFPMNPZORLC-DZGCQCFKSA-N 0 0 291.351 2.559 20 5 CFBDRN CN(C(=O)CCc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000176288989 380208964 /nfs/dbraw/zinc/20/89/64/380208964.db2.gz FVJKNEWIMNJNFL-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN O=C([C@H]1C[C@H]1C1CC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000177352688 380332400 /nfs/dbraw/zinc/33/24/00/380332400.db2.gz WIWYPWGCUUALPG-KBPBESRZSA-N 0 0 286.331 2.920 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000336375528 380333562 /nfs/dbraw/zinc/33/35/62/380333562.db2.gz JEADWDCGCNGSRB-VIFPVBQESA-N 0 0 272.251 2.500 20 5 CFBDRN O=C(NCc1ccc[nH]1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000177042852 380298031 /nfs/dbraw/zinc/29/80/31/380298031.db2.gz MKWLVMMLEFZKRG-UHFFFAOYSA-N 0 0 294.698 2.898 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000336511039 380388717 /nfs/dbraw/zinc/38/87/17/380388717.db2.gz SQWVEOIJFRITFE-GFCCVEGCSA-N 0 0 267.260 2.561 20 5 CFBDRN COC(=O)[C@@H](CNc1nc(C)ccc1[N+](=O)[O-])CC(C)C ZINC000178237309 380425183 /nfs/dbraw/zinc/42/51/83/380425183.db2.gz HLIPOURFEZJPPH-LLVKDONJSA-N 0 0 295.339 2.545 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1ccc(OCCO)cc1 ZINC000178260130 380428162 /nfs/dbraw/zinc/42/81/62/380428162.db2.gz RLEYRWFAVWBGMX-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCCC[C@H]2C1 ZINC000178369768 380443735 /nfs/dbraw/zinc/44/37/35/380443735.db2.gz ICNBQZAHWICABN-STQMWFEESA-N 0 0 274.320 2.857 20 5 CFBDRN CC[C@@H](C)NC(=O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288386523 197064751 /nfs/dbraw/zinc/06/47/51/197064751.db2.gz JJJAZODALNEEIL-MRVPVSSYSA-N 0 0 286.715 2.542 20 5 CFBDRN C[C@@H](NC(=O)N1CCC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000336575158 380491222 /nfs/dbraw/zinc/49/12/22/380491222.db2.gz GWIBZYICRJPEST-SECBINFHSA-N 0 0 299.277 2.706 20 5 CFBDRN Cc1nc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)c(C)s1 ZINC000179023914 380501838 /nfs/dbraw/zinc/50/18/38/380501838.db2.gz ACPRTKWHLAHRSQ-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000179188218 380517985 /nfs/dbraw/zinc/51/79/85/380517985.db2.gz GKBDUTFLDJCQGA-JSGCOSHPSA-N 0 0 292.335 2.591 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC2(CCCC2)CC1 ZINC000336618689 380559141 /nfs/dbraw/zinc/55/91/41/380559141.db2.gz HKLDLDBFAAFRNN-UHFFFAOYSA-N 0 0 291.351 2.730 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000336633647 380581048 /nfs/dbraw/zinc/58/10/48/380581048.db2.gz GIQOJLSGMOQIHH-ZJUUUORDSA-N 0 0 280.299 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2CCOCC2)cc1 ZINC000336785921 380630450 /nfs/dbraw/zinc/63/04/50/380630450.db2.gz GLSATBXUUAPNFI-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC(C)(C)CC ZINC000336790313 380637519 /nfs/dbraw/zinc/63/75/19/380637519.db2.gz KZRGETSQWYPLRO-UHFFFAOYSA-N 0 0 254.334 2.968 20 5 CFBDRN CN(CC(=O)OC(C)(C)C)c1ccc(F)cc1[N+](=O)[O-] ZINC000180123464 380621517 /nfs/dbraw/zinc/62/15/17/380621517.db2.gz UWCXRUAHSPULPJ-UHFFFAOYSA-N 0 0 284.287 2.512 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000336809019 380668906 /nfs/dbraw/zinc/66/89/06/380668906.db2.gz DNNZIIMIJZFTHU-JOYOIKCWSA-N 0 0 257.293 2.775 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCc2ccco2)s1 ZINC000336810956 380672117 /nfs/dbraw/zinc/67/21/17/380672117.db2.gz KGBDJKHEHCWHBR-UHFFFAOYSA-N 0 0 276.277 2.805 20 5 CFBDRN COCC(C)(C)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000336815404 380676704 /nfs/dbraw/zinc/67/67/04/380676704.db2.gz ZQNREAKUCBDAED-UHFFFAOYSA-N 0 0 274.267 2.958 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2c(N)cccc2[N+](=O)[O-])cc1 ZINC000180594045 380679453 /nfs/dbraw/zinc/67/94/53/380679453.db2.gz QOMLCXDPBFQUTF-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1ccccc1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180582350 380679933 /nfs/dbraw/zinc/67/99/33/380679933.db2.gz MHJZWXHPHBLJHE-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN COc1cc(NCc2nccs2)c([N+](=O)[O-])cc1F ZINC000180406377 380652857 /nfs/dbraw/zinc/65/28/57/380652857.db2.gz ZWBLGWMPHKEBRE-UHFFFAOYSA-N 0 0 283.284 2.811 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1nccs1 ZINC000180407058 380652863 /nfs/dbraw/zinc/65/28/63/380652863.db2.gz AZFACRYIAUVTAO-UHFFFAOYSA-N 0 0 279.321 2.810 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000181188636 380753981 /nfs/dbraw/zinc/75/39/81/380753981.db2.gz QRAQBOUFQALMQP-SSDOTTSWSA-N 0 0 274.367 2.528 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000181194055 380755262 /nfs/dbraw/zinc/75/52/62/380755262.db2.gz LKNRDRSTAUQZDX-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN CC[C@@H](CSC)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000180967625 380718869 /nfs/dbraw/zinc/71/88/69/380718869.db2.gz YBFCJGCFDDZNDK-QMMMGPOBSA-N 0 0 288.394 2.870 20 5 CFBDRN O=C(NC[C@H]1CCSC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000279174855 194318147 /nfs/dbraw/zinc/31/81/47/194318147.db2.gz RDADXLQJYQXTJA-MRVPVSSYSA-N 0 0 299.327 2.609 20 5 CFBDRN CS[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181301796 380772275 /nfs/dbraw/zinc/77/22/75/380772275.db2.gz PAAJIAFSOLLHDA-SECBINFHSA-N 0 0 269.322 2.698 20 5 CFBDRN COc1ccc(OC(=O)C(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000287309767 380800888 /nfs/dbraw/zinc/80/08/88/380800888.db2.gz KYJXYYQBAIQVAN-UHFFFAOYSA-N 0 0 279.292 2.945 20 5 CFBDRN CC(C)(C)[S@@](=O)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000287384089 380819373 /nfs/dbraw/zinc/81/93/73/380819373.db2.gz RGMRVOUJICHVSW-IBGZPJMESA-N 0 0 288.344 2.693 20 5 CFBDRN CSC[C@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000287400511 380821880 /nfs/dbraw/zinc/82/18/80/380821880.db2.gz SFYYXUKDFMOYOE-QMMMGPOBSA-N 0 0 268.338 2.961 20 5 CFBDRN CC[C@H](C)OCC(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181293683 380770908 /nfs/dbraw/zinc/77/09/08/380770908.db2.gz CKMWSHLJKTWSCX-NSHDSACASA-N 0 0 281.308 2.762 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1ncc(C(C)(C)C)o1 ZINC000353813303 380870085 /nfs/dbraw/zinc/87/00/85/380870085.db2.gz IGJCUMUNFSQQLV-UHFFFAOYSA-N 0 0 296.352 2.906 20 5 CFBDRN Cc1ccsc1CCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000358490309 380880419 /nfs/dbraw/zinc/88/04/19/380880419.db2.gz LIIMVFOSJZDDCA-UHFFFAOYSA-N 0 0 296.373 2.999 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CC2CC2)c1 ZINC000358483635 380873625 /nfs/dbraw/zinc/87/36/25/380873625.db2.gz XHQXRTRSISJKDG-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1c(C(=O)NCC[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000181628245 380845967 /nfs/dbraw/zinc/84/59/67/380845967.db2.gz JDPMTIYZSPXEMR-GFCCVEGCSA-N 0 0 292.335 2.592 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000346986452 380849263 /nfs/dbraw/zinc/84/92/63/380849263.db2.gz PTVLDNQAWDGMAC-AWEZNQCLSA-N 0 0 296.298 2.568 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2CCOCC1CC1 ZINC000181652723 380854416 /nfs/dbraw/zinc/85/44/16/380854416.db2.gz HCEYEAWMKLIVDH-UHFFFAOYSA-N 0 0 260.293 2.976 20 5 CFBDRN CN(C/C=C\c1ccccc1[N+](=O)[O-])Cc1ccon1 ZINC000353799302 380860164 /nfs/dbraw/zinc/86/01/64/380860164.db2.gz ATCUZXIHYRGYFZ-XQRVVYSFSA-N 0 0 273.292 2.728 20 5 CFBDRN Cc1ccoc1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000341267468 380882541 /nfs/dbraw/zinc/88/25/41/380882541.db2.gz UGJLSKGLTFKBIH-JTQLQIEISA-N 0 0 274.276 2.987 20 5 CFBDRN CC[C@@H](CNc1ccncc1[N+](=O)[O-])CC(F)(F)F ZINC000402667239 380889439 /nfs/dbraw/zinc/88/94/39/380889439.db2.gz MUWQAGBXNMNJHC-MRVPVSSYSA-N 0 0 277.246 2.802 20 5 CFBDRN CC(C)Cc1nnc(NCc2ccc([N+](=O)[O-])cc2)o1 ZINC000181774651 380892053 /nfs/dbraw/zinc/89/20/53/380892053.db2.gz MHIFQJQHJNMDHU-UHFFFAOYSA-N 0 0 276.296 2.788 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC(CF)CC1 ZINC000295495157 380893362 /nfs/dbraw/zinc/89/33/62/380893362.db2.gz BJLBMLYJYRAFIZ-UHFFFAOYSA-N 0 0 284.262 2.556 20 5 CFBDRN CCc1nnc(CNc2ccc([N+](=O)[O-])c3cnccc23)o1 ZINC000353856976 380907047 /nfs/dbraw/zinc/90/70/47/380907047.db2.gz DVCOKZTYPDNCBO-UHFFFAOYSA-N 0 0 299.290 2.701 20 5 CFBDRN Cc1nn(Cc2ccc(OC(F)F)cc2)cc1[N+](=O)[O-] ZINC000181834547 380910150 /nfs/dbraw/zinc/91/01/50/380910150.db2.gz DEQGCYYVDZCIHW-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN COCC[C@H](C)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295520227 380911201 /nfs/dbraw/zinc/91/12/01/380911201.db2.gz MFLDZWJVVXLYCY-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000408216142 380918002 /nfs/dbraw/zinc/91/80/02/380918002.db2.gz BAJPDGYYCOZACQ-HTQZYQBOSA-N 0 0 266.326 2.539 20 5 CFBDRN C[C@@H]1N(c2ccc(F)cc2[N+](=O)[O-])CCOC1(C)C ZINC000408318698 380921815 /nfs/dbraw/zinc/92/18/15/380921815.db2.gz DKVWBHPVJLIVCQ-VIFPVBQESA-N 0 0 268.288 2.738 20 5 CFBDRN COc1c(C(=O)NC(C2CC2)C2CC2)cccc1[N+](=O)[O-] ZINC000353877730 380922972 /nfs/dbraw/zinc/92/29/72/380922972.db2.gz OSNMOLSSWNHLDG-UHFFFAOYSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@](C)(F)C2)cc([N+](=O)[O-])c1 ZINC000295574997 380950120 /nfs/dbraw/zinc/95/01/20/380950120.db2.gz MTIZBTQPUAPFPB-AWEZNQCLSA-N 0 0 280.299 2.867 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)[C@@H](C)OC ZINC000353912126 380950938 /nfs/dbraw/zinc/95/09/38/380950938.db2.gz JUZWOMJDBXIAII-VHSXEESVSA-N 0 0 295.339 2.702 20 5 CFBDRN COc1cncc(COc2c(F)cccc2[N+](=O)[O-])c1 ZINC000341346430 380953188 /nfs/dbraw/zinc/95/31/88/380953188.db2.gz UUQCOBLLFWJJRF-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CCC1(CNc2nc3ccc([N+](=O)[O-])cc3c(=O)[nH]2)CC1 ZINC000287844545 380953843 /nfs/dbraw/zinc/95/38/43/380953843.db2.gz LZUWXHPMPSPVNX-UHFFFAOYSA-N 0 0 288.307 2.846 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000341355194 380961424 /nfs/dbraw/zinc/96/14/24/380961424.db2.gz LMJVHGANENMYQF-UHFFFAOYSA-N 0 0 287.319 2.989 20 5 CFBDRN CCOCc1cccc(Nc2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000295593013 380963238 /nfs/dbraw/zinc/96/32/38/380963238.db2.gz SYBCIMOXSRPQID-UHFFFAOYSA-N 0 0 288.307 2.973 20 5 CFBDRN CC1(CNc2c(F)cccc2[N+](=O)[O-])CCOCC1 ZINC000287884297 380963914 /nfs/dbraw/zinc/96/39/14/380963914.db2.gz OFBGDKWYAXBUAF-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCCC(F)(F)C1 ZINC000409636745 380965998 /nfs/dbraw/zinc/96/59/98/380965998.db2.gz UJLJSMOLFRIWQS-JTQLQIEISA-N 0 0 285.294 2.958 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCOC[C@@H]1C ZINC000287918741 380972595 /nfs/dbraw/zinc/97/25/95/380972595.db2.gz WMUXRNICNGNBSK-ZANVPECISA-N 0 0 278.308 2.634 20 5 CFBDRN COc1cccc(-c2nc(C(C)(C)OC)no2)c1[N+](=O)[O-] ZINC000347168051 380980957 /nfs/dbraw/zinc/98/09/57/380980957.db2.gz CBHSYCCZPVGECD-UHFFFAOYSA-N 0 0 293.279 2.535 20 5 CFBDRN COc1cncc(COc2cc([N+](=O)[O-])ccc2OC)c1 ZINC000341335404 380943873 /nfs/dbraw/zinc/94/38/73/380943873.db2.gz DSDXDTIRBONFAG-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN COc1ccncc1COc1cc([N+](=O)[O-])ccc1OC ZINC000341336334 380944383 /nfs/dbraw/zinc/94/43/83/380944383.db2.gz HGDRKSGXQYAOSW-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN CCOc1cccc(N2CCCC[C@H](CO)C2)c1[N+](=O)[O-] ZINC000368154419 380944661 /nfs/dbraw/zinc/94/46/61/380944661.db2.gz WKAKKVUKDIEHFQ-LBPRGKRZSA-N 0 0 294.351 2.592 20 5 CFBDRN CC1(C)CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000353907197 380947604 /nfs/dbraw/zinc/94/76/04/380947604.db2.gz VSCSLKHKOWZSNR-VIFPVBQESA-N 0 0 265.313 2.622 20 5 CFBDRN COCCC(C)(C)NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347227394 381015348 /nfs/dbraw/zinc/01/53/48/381015348.db2.gz IJMSLZQURIEEHE-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN Cc1cccc(C(=O)N2CCOC(C)(C)[C@H]2C)c1[N+](=O)[O-] ZINC000358688587 381015680 /nfs/dbraw/zinc/01/56/80/381015680.db2.gz LBRCOBKDIPAWMO-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000295688218 381019042 /nfs/dbraw/zinc/01/90/42/381019042.db2.gz ZEKQUJDJVGMUCA-NSHDSACASA-N 0 0 272.301 2.621 20 5 CFBDRN CCOC(C)(C)CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347249118 381028594 /nfs/dbraw/zinc/02/85/94/381028594.db2.gz CSUBQBGMTYWSHH-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN COCCCN(C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182089158 380988361 /nfs/dbraw/zinc/98/83/61/380988361.db2.gz SCMUHOQJXAODIK-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN Cc1ccc(NC(=O)c2c[nH]c(C3CC3)n2)cc1[N+](=O)[O-] ZINC000341402300 380995356 /nfs/dbraw/zinc/99/53/56/380995356.db2.gz UNYNGMYASRKYOI-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN C[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)C[C@@H](C2CC2)O1 ZINC000279302073 194375735 /nfs/dbraw/zinc/37/57/35/194375735.db2.gz MHSXTMPNBIUBGP-ABAIWWIYSA-N 0 0 276.336 2.594 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295668018 381005166 /nfs/dbraw/zinc/00/51/66/381005166.db2.gz JJWMQLQYZUNVSB-ZJUUUORDSA-N 0 0 275.308 2.978 20 5 CFBDRN Cc1nnc(CNc2ccc([N+](=O)[O-])cc2C)n1C1CC1 ZINC000289569478 381047694 /nfs/dbraw/zinc/04/76/94/381047694.db2.gz HUJMKWLXABHKSA-UHFFFAOYSA-N 0 0 287.323 2.750 20 5 CFBDRN O=C1CCN1c1ccc(NCc2ccccc2[N+](=O)[O-])cc1 ZINC000341498310 381051186 /nfs/dbraw/zinc/05/11/86/381051186.db2.gz IYNJZJKTBSAFMH-UHFFFAOYSA-N 0 0 297.314 2.944 20 5 CFBDRN C[C@H]1C[C@H]1N(CCn1cc([N+](=O)[O-])cn1)c1ccccc1 ZINC000411918905 381056421 /nfs/dbraw/zinc/05/64/21/381056421.db2.gz DJUQSELENJAFEA-SWLSCSKDSA-N 0 0 286.335 2.706 20 5 CFBDRN C[C@@H]1C[C@H]1N(CCn1ccc([N+](=O)[O-])n1)c1ccccc1 ZINC000411919634 381056665 /nfs/dbraw/zinc/05/66/65/381056665.db2.gz FFMYNPIBEJSWPN-TZMCWYRMSA-N 0 0 286.335 2.706 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@](C)(F)C1 ZINC000295763721 381061561 /nfs/dbraw/zinc/06/15/61/381061561.db2.gz OOHQBVDXPBCYAI-AWEZNQCLSA-N 0 0 280.299 2.867 20 5 CFBDRN COc1cc(C(=O)NC[C@@H]2CC2(C)C)cc([N+](=O)[O-])c1C ZINC000358763273 381065754 /nfs/dbraw/zinc/06/57/54/381065754.db2.gz NNVUWQKYZJCKIZ-NSHDSACASA-N 0 0 292.335 2.688 20 5 CFBDRN O=C(Nc1cncc(F)c1)c1ccc([N+](=O)[O-])cc1F ZINC000341531485 381069021 /nfs/dbraw/zinc/06/90/21/381069021.db2.gz IKUHVWNLHSDYJT-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN COC1(CNC(=O)c2c(C)cccc2[N+](=O)[O-])CCCC1 ZINC000295783291 381071951 /nfs/dbraw/zinc/07/19/51/381071951.db2.gz YEAHJOBDVRMMKF-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CN(C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CC1(C)C ZINC000347261287 381034635 /nfs/dbraw/zinc/03/46/35/381034635.db2.gz LSJOPOYKOVNIHA-NSHDSACASA-N 0 0 281.287 2.996 20 5 CFBDRN COCCC(C)(C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000354042462 381039781 /nfs/dbraw/zinc/03/97/81/381039781.db2.gz NUABMUXPYMGZFK-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1cnc(OCOC2CCCC2)c([N+](=O)[O-])c1 ZINC000289561605 381044283 /nfs/dbraw/zinc/04/42/83/381044283.db2.gz HDEBYNCGSYIVEG-UHFFFAOYSA-N 0 0 252.270 2.594 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000182403801 381083232 /nfs/dbraw/zinc/08/32/32/381083232.db2.gz SGYMWTBSAWGGRC-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)OC ZINC000295826228 381100101 /nfs/dbraw/zinc/10/01/01/381100101.db2.gz UOEDUUDMBTUJLH-IINYFYTJSA-N 0 0 294.351 2.694 20 5 CFBDRN COc1ccc(C(=O)NCC2=CCCCC2)cc1[N+](=O)[O-] ZINC000295826533 381100914 /nfs/dbraw/zinc/10/09/14/381100914.db2.gz PWAIRDHTFUQNDJ-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN CC[C@H](C)C(=O)COc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000358852978 381123002 /nfs/dbraw/zinc/12/30/02/381123002.db2.gz HTMZQCFEJZCSCS-QMMMGPOBSA-N 0 0 266.297 2.601 20 5 CFBDRN CC(C)n1nccc1-c1nc2cc([N+](=O)[O-])ccc2n1C ZINC000358828890 381110218 /nfs/dbraw/zinc/11/02/18/381110218.db2.gz LAHAXQYQCFQZMS-UHFFFAOYSA-N 0 0 285.307 2.926 20 5 CFBDRN CCc1nnc([C@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000341593189 381110646 /nfs/dbraw/zinc/11/06/46/381110646.db2.gz ZADQYUJKRHZPHC-UWVGGRQHSA-N 0 0 290.323 2.952 20 5 CFBDRN COC(=O)[C@H](N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000358835410 381112923 /nfs/dbraw/zinc/11/29/23/381112923.db2.gz PIBCZCJISRWLHW-IINYFYTJSA-N 0 0 292.335 2.505 20 5 CFBDRN Cn1ccc(NCCNc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000289727312 381123410 /nfs/dbraw/zinc/12/34/10/381123410.db2.gz SLYXWHNWNUPXAO-UHFFFAOYSA-N 0 0 295.730 2.506 20 5 CFBDRN CC(C)(CCc1nc(Cc2ccccc2)no1)[N+](=O)[O-] ZINC000295888355 381138234 /nfs/dbraw/zinc/13/82/34/381138234.db2.gz KUHKYNHNDPECGR-UHFFFAOYSA-N 0 0 275.308 2.648 20 5 CFBDRN CCn1cc([C@@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)cn1 ZINC000295892347 381139330 /nfs/dbraw/zinc/13/93/30/381139330.db2.gz DQNPGXPWRKLHGC-SNVBAGLBSA-N 0 0 275.312 2.688 20 5 CFBDRN CCn1cc([C@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)cn1 ZINC000295892339 381139476 /nfs/dbraw/zinc/13/94/76/381139476.db2.gz DQNPGXPWRKLHGC-JTQLQIEISA-N 0 0 275.312 2.688 20 5 CFBDRN O=C(Cn1cc2ccccc2n1)Nc1cccc([N+](=O)[O-])c1 ZINC000358901094 381144708 /nfs/dbraw/zinc/14/47/08/381144708.db2.gz QSNYUIDFRYMBPT-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NC[C@@H]3CC=CCC3)c21 ZINC000347428659 381145858 /nfs/dbraw/zinc/14/58/58/381145858.db2.gz LYKHVFQQPKENCQ-LLVKDONJSA-N 0 0 284.319 2.728 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H]2CC[C@H](O)C2)c([N+](=O)[O-])c1 ZINC000358914991 381152220 /nfs/dbraw/zinc/15/22/20/381152220.db2.gz MCGNZMWABUIRQU-PWSUYJOCSA-N 0 0 292.335 2.760 20 5 CFBDRN COc1cc(C)c(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1C ZINC000347444699 381156449 /nfs/dbraw/zinc/15/64/49/381156449.db2.gz NPFCSWAUTZLYPX-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN CCSCCSc1c([N+](=O)[O-])nc(C)n1CC ZINC000289797974 381164581 /nfs/dbraw/zinc/16/45/81/381164581.db2.gz YFALWSFBLPWRHD-UHFFFAOYSA-N 0 0 275.399 2.965 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412109375 381165744 /nfs/dbraw/zinc/16/57/44/381165744.db2.gz BXFOVYLPQNJUAA-FPMFFAJLSA-N 0 0 288.347 2.861 20 5 CFBDRN CN(Cc1ccncc1)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000347404434 381126507 /nfs/dbraw/zinc/12/65/07/381126507.db2.gz WHAKGHBKIWJZPB-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN CN(Cc1ccoc1)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000347401930 381126770 /nfs/dbraw/zinc/12/67/70/381126770.db2.gz DOOOTLCCXGKJBQ-UHFFFAOYSA-N 0 0 284.275 2.767 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)[C@H](C)OC ZINC000289747064 381133327 /nfs/dbraw/zinc/13/33/27/381133327.db2.gz JUZWOMJDBXIAII-ZJUUUORDSA-N 0 0 295.339 2.702 20 5 CFBDRN COCCC(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000341719758 381188166 /nfs/dbraw/zinc/18/81/66/381188166.db2.gz VXZQTOFTTCRQQH-UHFFFAOYSA-N 0 0 280.324 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC[C@H](O)C(F)(F)F ZINC000358974496 381188418 /nfs/dbraw/zinc/18/84/18/381188418.db2.gz FESWOGCZHKQDSU-JTQLQIEISA-N 0 0 279.214 2.514 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412174434 381197059 /nfs/dbraw/zinc/19/70/59/381197059.db2.gz WOCUJQVFIKRETD-LSDHHAIUSA-N 0 0 299.330 2.685 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC=CCC2)c([N+](=O)[O-])cc1F ZINC000295992860 381202749 /nfs/dbraw/zinc/20/27/49/381202749.db2.gz XFRDVWSHMCMFQF-VIFPVBQESA-N 0 0 294.282 2.581 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000354325311 381204105 /nfs/dbraw/zinc/20/41/05/381204105.db2.gz RUQCKZDMGWDWCC-NEPJUHHUSA-N 0 0 279.340 2.630 20 5 CFBDRN O=[N+]([O-])c1cnccc1Sc1n[nH]c(-c2ccccc2)n1 ZINC000359014875 381216398 /nfs/dbraw/zinc/21/63/98/381216398.db2.gz YVQUETQASZQZLL-UHFFFAOYSA-N 0 0 299.315 2.926 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N[C@@H]1CC=CCC1 ZINC000342293743 381255062 /nfs/dbraw/zinc/25/50/62/381255062.db2.gz XWVKZVXWWYHGJC-SECBINFHSA-N 0 0 296.273 2.640 20 5 CFBDRN CS[C@@H](C)CCC(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000359085180 381255847 /nfs/dbraw/zinc/25/58/47/381255847.db2.gz HKGZUYGBELGZOD-QMMMGPOBSA-N 0 0 283.353 2.768 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cccnc2)n1 ZINC000359084958 381256073 /nfs/dbraw/zinc/25/60/73/381256073.db2.gz RVXYGNXGNBKNHE-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CSCC[N@H+](C)Cc1ccc([N+](=O)[O-])cc1C ZINC000183356364 381260004 /nfs/dbraw/zinc/26/00/04/381260004.db2.gz OQNFNUAQDLYBBZ-UHFFFAOYSA-N 0 0 254.355 2.698 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCSC[C@H]2C)n1 ZINC000359091821 381260129 /nfs/dbraw/zinc/26/01/29/381260129.db2.gz FSXUFFOTLOIITK-SNVBAGLBSA-N 0 0 267.354 2.548 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC(C)(C)C[C@@H](C)O)n1 ZINC000359093932 381261943 /nfs/dbraw/zinc/26/19/43/381261943.db2.gz KOAHOPMJVIHVOE-LLVKDONJSA-N 0 0 281.356 2.816 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000412234253 381224734 /nfs/dbraw/zinc/22/47/34/381224734.db2.gz YPNUNGUNPGYVBS-GZBFAFLISA-N 0 0 292.310 2.659 20 5 CFBDRN CO[C@@H](C)CN(Cc1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000354370219 381229838 /nfs/dbraw/zinc/22/98/38/381229838.db2.gz ZFJSQLAVCZRELQ-NSHDSACASA-N 0 0 284.331 2.979 20 5 CFBDRN CC(C)(C)n1cc(/C=C/c2ccnc([N+](=O)[O-])c2)nn1 ZINC000296066375 381239844 /nfs/dbraw/zinc/23/98/44/381239844.db2.gz XMZWRFAZCRXLLN-SNAWJCMRSA-N 0 0 273.296 2.507 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412286911 381244813 /nfs/dbraw/zinc/24/48/13/381244813.db2.gz JHXVVZABCRUDCE-XPABHHOTSA-N 0 0 286.331 2.613 20 5 CFBDRN CC[C@H]1CCN1C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000347605550 381250455 /nfs/dbraw/zinc/25/04/55/381250455.db2.gz AZVQHBDZDMJBOG-ZJUUUORDSA-N 0 0 280.299 2.848 20 5 CFBDRN CS[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000296219824 381306355 /nfs/dbraw/zinc/30/63/55/381306355.db2.gz OSQROXAODXXLTF-LLVKDONJSA-N 0 0 281.337 2.564 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2C[C@H]1CCCCO1 ZINC000183627488 381296779 /nfs/dbraw/zinc/29/67/79/381296779.db2.gz WWQKJKMOQIALBW-LLVKDONJSA-N 0 0 262.309 2.526 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000359229648 381299707 /nfs/dbraw/zinc/29/97/07/381299707.db2.gz RBHATSLZMLFWAH-WCBMZHEXSA-N 0 0 298.364 2.604 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000354493950 381303298 /nfs/dbraw/zinc/30/32/98/381303298.db2.gz JTPDXPPVIPDFEB-PWSUYJOCSA-N 0 0 276.336 2.787 20 5 CFBDRN CC(C)OCCCN(C)c1c(F)cccc1[N+](=O)[O-] ZINC000342375171 381303790 /nfs/dbraw/zinc/30/37/90/381303790.db2.gz LLPNFZIJAVIGKY-UHFFFAOYSA-N 0 0 270.304 2.985 20 5 CFBDRN CCC(C)(C)C(=O)COc1cc([N+](=O)[O-])ccc1OC ZINC000290040114 381273597 /nfs/dbraw/zinc/27/35/97/381273597.db2.gz QVUQDDJHOQJUQQ-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN CN(C(=O)c1ccc2c(c1)COC2)c1ccc([N+](=O)[O-])cc1 ZINC000342334399 381281917 /nfs/dbraw/zinc/28/19/17/381281917.db2.gz QRGZKXYURZWENO-UHFFFAOYSA-N 0 0 298.298 2.902 20 5 CFBDRN CC(C)(NC(=O)c1cncs1)c1ccc([N+](=O)[O-])cc1 ZINC000342340226 381285899 /nfs/dbraw/zinc/28/58/99/381285899.db2.gz RHKYLSLLLJFKNR-UHFFFAOYSA-N 0 0 291.332 2.716 20 5 CFBDRN COC(C)(C)c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000290151039 381321096 /nfs/dbraw/zinc/32/10/96/381321096.db2.gz RUVUQNZHBLCBOV-UHFFFAOYSA-N 0 0 291.307 2.759 20 5 CFBDRN C[C@H](CCCCO)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000296256513 381323197 /nfs/dbraw/zinc/32/31/97/381323197.db2.gz JFKUCMXIPLEZKV-MRVPVSSYSA-N 0 0 296.352 2.563 20 5 CFBDRN CCc1nn(C)c(NCC2CCC(OC)CC2)c1[N+](=O)[O-] ZINC000354537202 381326913 /nfs/dbraw/zinc/32/69/13/381326913.db2.gz IBTZVUFISQACLK-UHFFFAOYSA-N 0 0 296.371 2.508 20 5 CFBDRN C[C@@H](Cn1cc(Cl)cc([N+](=O)[O-])c1=O)C(F)(F)F ZINC000347745949 381328654 /nfs/dbraw/zinc/32/86/54/381328654.db2.gz KTMBDTHFAAZJSR-YFKPBYRVSA-N 0 0 284.621 2.608 20 5 CFBDRN Cc1ccnc(Nc2ccc(N3CCC3=O)cc2)c1[N+](=O)[O-] ZINC000359291638 381330808 /nfs/dbraw/zinc/33/08/08/381330808.db2.gz MFFAQAUJCKDONJ-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN CSc1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])n[nH]2)c1 ZINC000354543932 381332332 /nfs/dbraw/zinc/33/23/32/381332332.db2.gz QFGSICVWCNLQFC-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1ccc(N2CCC2=O)cc1 ZINC000359302141 381336127 /nfs/dbraw/zinc/33/61/27/381336127.db2.gz TUOWWMVOLIASCB-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1cc(Cl)ccc1F ZINC000347759137 381336225 /nfs/dbraw/zinc/33/62/25/381336225.db2.gz UPXCDWIWZGLJPJ-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(CF)C1 ZINC000412520830 381337055 /nfs/dbraw/zinc/33/70/55/381337055.db2.gz QUAZDAMVXAUNRY-CYBMUJFWSA-N 0 0 294.326 2.591 20 5 CFBDRN CCOc1cc(C)ccc1NCc1c([N+](=O)[O-])ncn1C ZINC000347768316 381339890 /nfs/dbraw/zinc/33/98/90/381339890.db2.gz QMWQFJJPMVVISG-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN COC[C@H](C)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354511826 381312097 /nfs/dbraw/zinc/31/20/97/381312097.db2.gz TTWLAQNAHNUYDD-MRVPVSSYSA-N 0 0 290.266 2.891 20 5 CFBDRN COc1nc(C)cc(Oc2cc([N+](=O)[O-])ccc2C)n1 ZINC000354520816 381318228 /nfs/dbraw/zinc/31/82/28/381318228.db2.gz FEUPIJSHBBMWMI-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN O=c1c(C(F)(F)F)cccn1Cc1ccc([N+](=O)[O-])cc1 ZINC000347779677 381344940 /nfs/dbraw/zinc/34/49/40/381344940.db2.gz QFYQNFZJAJOZHH-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN Cc1cc(N[C@@H](C)CCCCO)ccc1[N+](=O)[O-] ZINC000296321268 381346325 /nfs/dbraw/zinc/34/63/25/381346325.db2.gz OJIVEPLBVIDPMC-NSHDSACASA-N 0 0 252.314 2.866 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cn1)Oc1ccccc1 ZINC000354584781 381353636 /nfs/dbraw/zinc/35/36/36/381353636.db2.gz XFHLDYUAMGITQT-UHFFFAOYSA-N 0 0 288.307 2.654 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc(-c3cncnc3)cc2)nc1 ZINC000354601015 381361403 /nfs/dbraw/zinc/36/14/03/381361403.db2.gz UFGLGLFNZAGFMH-UHFFFAOYSA-N 0 0 294.274 2.585 20 5 CFBDRN C[C@H](NC(=O)NC1CC(C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000296373210 381368220 /nfs/dbraw/zinc/36/82/20/381368220.db2.gz IZGZKEWPRYIQLE-YZRBJQDESA-N 0 0 277.324 2.754 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000347828969 381368853 /nfs/dbraw/zinc/36/88/53/381368853.db2.gz JTPDTVVQWBSLIT-WCQYABFASA-N 0 0 291.351 2.577 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1)OCC1CC1 ZINC000412620282 381370218 /nfs/dbraw/zinc/37/02/18/381370218.db2.gz FNTNVOIKOPXISP-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)[C@@H]1C ZINC000296404249 381379252 /nfs/dbraw/zinc/37/92/52/381379252.db2.gz QLXBSQBXVWFXOC-HTQZYQBOSA-N 0 0 267.260 2.606 20 5 CFBDRN Cc1cc(N[C@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000296382970 381371539 /nfs/dbraw/zinc/37/15/39/381371539.db2.gz UGNFTUCGFKQLJV-LBPRGKRZSA-N 0 0 250.298 2.883 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000296505286 381425240 /nfs/dbraw/zinc/42/52/40/381425240.db2.gz YFKACVBHARWWKS-GWCFXTLKSA-N 0 0 295.339 2.777 20 5 CFBDRN Cc1c(NC(=O)C2(CCF)CC2)cccc1[N+](=O)[O-] ZINC000290452926 381435521 /nfs/dbraw/zinc/43/55/21/381435521.db2.gz IQUONIHSTPYIOT-UHFFFAOYSA-N 0 0 266.272 2.982 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000412773509 381436488 /nfs/dbraw/zinc/43/64/88/381436488.db2.gz CADFSRUUXXPELF-QMMMGPOBSA-N 0 0 295.314 2.577 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2CCCCS2)cc1[N+](=O)[O-] ZINC000342633964 381446467 /nfs/dbraw/zinc/44/64/67/381446467.db2.gz GKNLZPVLKYRUPS-LBPRGKRZSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCCC(=O)N(C)C ZINC000290497613 381452150 /nfs/dbraw/zinc/45/21/50/381452150.db2.gz DAUOVWPOTSAFBP-UHFFFAOYSA-N 0 0 299.758 2.837 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC1 ZINC000184640759 381451992 /nfs/dbraw/zinc/45/19/92/381451992.db2.gz AEQRBABXPLYGDZ-CYBMUJFWSA-N 0 0 276.336 2.786 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2CCC[C@@H]2C(C)(C)C)ccc1[N+](=O)[O-] ZINC000412802706 381452817 /nfs/dbraw/zinc/45/28/17/381452817.db2.gz CZTXLBZYYBZOEI-WDEREUQCSA-N 0 0 293.367 2.878 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@H]1CCCCS1 ZINC000342645336 381453003 /nfs/dbraw/zinc/45/30/03/381453003.db2.gz CEYYTUSIBVWTFI-CYBMUJFWSA-N 0 0 294.376 2.539 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@H]1c1ccco1 ZINC000412935538 381504683 /nfs/dbraw/zinc/50/46/83/381504683.db2.gz ZQTPPNUMJNDFDX-CHWSQXEVSA-N 0 0 286.287 2.782 20 5 CFBDRN CC[C@H](C)CC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359608269 381504733 /nfs/dbraw/zinc/50/47/33/381504733.db2.gz DWQSYEQFXQXOGJ-NSHDSACASA-N 0 0 292.335 2.752 20 5 CFBDRN NC(=O)c1cccc(NC2CCC(F)(F)CC2)c1[N+](=O)[O-] ZINC000342733525 381507856 /nfs/dbraw/zinc/50/78/56/381507856.db2.gz XFZZYJYHUDAJSS-UHFFFAOYSA-N 0 0 299.277 2.684 20 5 CFBDRN Cc1nccn1CCCSc1ccc([N+](=O)[O-])cn1 ZINC000342735115 381508108 /nfs/dbraw/zinc/50/81/08/381508108.db2.gz WMLPXCXSKVADPT-UHFFFAOYSA-N 0 0 278.337 2.677 20 5 CFBDRN COc1ncccc1COc1c(F)cccc1[N+](=O)[O-] ZINC000185014516 381513225 /nfs/dbraw/zinc/51/32/25/381513225.db2.gz LTDJERLNNXOWHP-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Cc1nn(Cc2ncc(-c3cccs3)o2)cc1[N+](=O)[O-] ZINC000354913631 381518601 /nfs/dbraw/zinc/51/86/01/381518601.db2.gz CWLHOCWWZWVLAO-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN Cc1nn([C@@H]2CCCC(C)(C)C2=O)c(C)c1[N+](=O)[O-] ZINC000412980869 381519212 /nfs/dbraw/zinc/51/92/12/381519212.db2.gz FKWKUZFTFJVBPB-SNVBAGLBSA-N 0 0 265.313 2.728 20 5 CFBDRN C[C@H](CC(C)(C)O)[NH2+][C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000296639562 381485964 /nfs/dbraw/zinc/48/59/64/381485964.db2.gz XZYNKQOPXDZBGY-GHMZBOCLSA-N 0 0 266.341 2.795 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H]1CF)c1ccccc1[N+](=O)[O-] ZINC000296647119 381489399 /nfs/dbraw/zinc/48/93/99/381489399.db2.gz MTZXKLMJFVPTNY-QWRGUYRKSA-N 0 0 295.314 2.799 20 5 CFBDRN COc1ccc(O[C@H]2CCC(C)(C)C2=O)c([N+](=O)[O-])c1 ZINC000412985546 381519917 /nfs/dbraw/zinc/51/99/17/381519917.db2.gz TWWDYCRUABDKSY-LBPRGKRZSA-N 0 0 279.292 2.740 20 5 CFBDRN CC(C)CCC[C@H](C)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290617885 381499073 /nfs/dbraw/zinc/49/90/73/381499073.db2.gz KYZYRSQZQXXMQZ-JTQLQIEISA-N 0 0 294.355 2.715 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@H](CO)c1ccc(F)cc1 ZINC000359606247 381501258 /nfs/dbraw/zinc/50/12/58/381501258.db2.gz BVGUDBNMIJEPRC-CQSZACIVSA-N 0 0 290.294 2.557 20 5 CFBDRN C[C@@H]1COCCN(c2ccc([N+](=O)[O-])c3ncccc23)C1 ZINC000413207896 381537053 /nfs/dbraw/zinc/53/70/53/381537053.db2.gz RZGDFTBGKXSVKK-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCO[C@@H](C2CCC2)C1 ZINC000413479655 381543873 /nfs/dbraw/zinc/54/38/73/381543873.db2.gz QJOAULGREVGBSP-CYBMUJFWSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC[C@@H]3c3cc[nH]n3)nc2c1 ZINC000296891372 381546351 /nfs/dbraw/zinc/54/63/51/381546351.db2.gz XYLPMBHAWBOBDW-CYBMUJFWSA-N 0 0 298.306 2.536 20 5 CFBDRN C[C@@H]1COCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000371099288 381547967 /nfs/dbraw/zinc/54/79/67/381547967.db2.gz KHGQJZRWCOZLGI-JTQLQIEISA-N 0 0 284.743 2.717 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@H](CC2CC2)C1 ZINC000359679712 381550769 /nfs/dbraw/zinc/55/07/69/381550769.db2.gz HCPDVZLFOAHOIV-SNVBAGLBSA-N 0 0 280.349 2.919 20 5 CFBDRN CCc1nnc(CNc2ccc([N+](=O)[O-])cc2)s1 ZINC000290685799 381522294 /nfs/dbraw/zinc/52/22/94/381522294.db2.gz LWTWMGHYJUVPGS-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN CC(C)Cn1ncnc1COc1c(F)cccc1[N+](=O)[O-] ZINC000185045808 381522835 /nfs/dbraw/zinc/52/28/35/381522835.db2.gz UZUUFMRLOPQTFB-UHFFFAOYSA-N 0 0 294.286 2.560 20 5 CFBDRN COC1(C(=O)NCc2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000185075360 381529700 /nfs/dbraw/zinc/52/97/00/381529700.db2.gz QSLIFODZSHIQTE-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN Cc1nn(Cc2cc(-c3ccccc3)no2)cc1[N+](=O)[O-] ZINC000354947434 381533655 /nfs/dbraw/zinc/53/36/55/381533655.db2.gz GDVDCQODEBORTJ-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)CCC1(F)F ZINC000290855852 381574144 /nfs/dbraw/zinc/57/41/44/381574144.db2.gz IGBIKNKQMDQNRS-VIFPVBQESA-N 0 0 284.262 2.712 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000185255880 381578766 /nfs/dbraw/zinc/57/87/66/381578766.db2.gz LSJQOJAGEZRCMA-LLVKDONJSA-N 0 0 264.325 2.893 20 5 CFBDRN COCc1nc(CNc2ccc(F)cc2[N+](=O)[O-])cs1 ZINC000290804640 381556289 /nfs/dbraw/zinc/55/62/89/381556289.db2.gz YZIYVNUGSULWQW-UHFFFAOYSA-N 0 0 297.311 2.949 20 5 CFBDRN C[C@@]1(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)C[C@@H]1c1ccccc1 ZINC000355005273 381561112 /nfs/dbraw/zinc/56/11/12/381561112.db2.gz USNUMHKMBOWMBO-CJNGLKHVSA-N 0 0 299.330 2.847 20 5 CFBDRN Cc1nc(N2CCS[C@H]3CCCC[C@H]32)ncc1[N+](=O)[O-] ZINC000297381927 381561420 /nfs/dbraw/zinc/56/14/20/381561420.db2.gz DLMOABOMSCXULF-PWSUYJOCSA-N 0 0 294.380 2.558 20 5 CFBDRN O=C(CSC1CC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000297684251 381569183 /nfs/dbraw/zinc/56/91/83/381569183.db2.gz IBAULSLUHWQJNU-UHFFFAOYSA-N 0 0 292.360 2.770 20 5 CFBDRN CCc1csc(NC(=O)c2cc([N+](=O)[O-])cnc2C)n1 ZINC000355081616 381599959 /nfs/dbraw/zinc/59/99/59/381599959.db2.gz PEVWILSBDRRDLV-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000298379003 381600384 /nfs/dbraw/zinc/60/03/84/381600384.db2.gz DCQAHWUEAHDSIS-LLVKDONJSA-N 0 0 280.324 2.621 20 5 CFBDRN CSc1cccc(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000342899927 381605302 /nfs/dbraw/zinc/60/53/02/381605302.db2.gz HIEFBKJYPUJCFT-UHFFFAOYSA-N 0 0 275.333 2.746 20 5 CFBDRN CC(C)C[C@@H](CO)N(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000185347367 381605766 /nfs/dbraw/zinc/60/57/66/381605766.db2.gz VWJPFNJCGDYLHY-NSHDSACASA-N 0 0 284.331 2.573 20 5 CFBDRN CCCCN(C(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000298343137 381585234 /nfs/dbraw/zinc/58/52/34/381585234.db2.gz CYMYXKOBJWVHRD-UHFFFAOYSA-N 0 0 262.309 3.000 20 5 CFBDRN CCc1ccc(C(=O)NCC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000298346113 381587205 /nfs/dbraw/zinc/58/72/05/381587205.db2.gz SPVQMSPRUNEKPE-UHFFFAOYSA-N 0 0 298.289 2.932 20 5 CFBDRN COc1cc(CN2CC[C@H]2C(C)C)c([N+](=O)[O-])cc1OC ZINC000342860427 381591029 /nfs/dbraw/zinc/59/10/29/381591029.db2.gz JLADJWPKAFMSEW-LBPRGKRZSA-N 0 0 294.351 2.842 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000289144826 197245688 /nfs/dbraw/zinc/24/56/88/197245688.db2.gz SLLNEUTXIVPOIA-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN Cc1c(CNC(=O)C(C)(C)C(F)F)cccc1[N+](=O)[O-] ZINC000348524277 381608420 /nfs/dbraw/zinc/60/84/20/381608420.db2.gz CJBIEAUKYFGHJZ-UHFFFAOYSA-N 0 0 286.278 2.811 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])cc1F)C[C@@H](C)OC ZINC000291007843 381628679 /nfs/dbraw/zinc/62/86/79/381628679.db2.gz AKZFAJCWQFBVPX-SNVBAGLBSA-N 0 0 270.304 2.591 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000185359089 381610723 /nfs/dbraw/zinc/61/07/23/381610723.db2.gz CYRXQPHGUJBKPD-WCBMZHEXSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1cc(C(=O)N2CCCC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000185368957 381615680 /nfs/dbraw/zinc/61/56/80/381615680.db2.gz KSLYKFZUABOWMW-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CSCCCN(C)c1ccc([N+](=O)[O-])cc1C(C)=O ZINC000290982290 381619373 /nfs/dbraw/zinc/61/93/73/381619373.db2.gz RSLBEFQCZWHDPD-UHFFFAOYSA-N 0 0 282.365 2.987 20 5 CFBDRN O=C(Nc1cccc(C2CCC2)c1)c1cc([N+](=O)[O-])n[nH]1 ZINC000291006058 381629143 /nfs/dbraw/zinc/62/91/43/381629143.db2.gz CZTMTEURXSXRRH-UHFFFAOYSA-N 0 0 286.291 2.838 20 5 CFBDRN COCC1(C)CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000342928802 381621789 /nfs/dbraw/zinc/62/17/89/381621789.db2.gz CVZFPUXDHBLQHH-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@@H](C)CF ZINC000289159136 197249774 /nfs/dbraw/zinc/24/97/74/197249774.db2.gz ZVHHDOGNVBNQBF-ZETCQYMHSA-N 0 0 274.679 2.644 20 5 CFBDRN Cc1c2ccccc2n(C)c1CNc1ncc([N+](=O)[O-])cn1 ZINC000359838884 381634203 /nfs/dbraw/zinc/63/42/03/381634203.db2.gz QAEGHIFQHKXUGS-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=C(c3ccccc3)C2)nc1 ZINC000371471460 381637178 /nfs/dbraw/zinc/63/71/78/381637178.db2.gz UOHKENSPMUXGPZ-UHFFFAOYSA-N 0 0 267.288 2.893 20 5 CFBDRN CO[C@@](C)(C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)C1CC1 ZINC000291054093 381649540 /nfs/dbraw/zinc/64/95/40/381649540.db2.gz CHQRHYMUZFHJJD-OAHLLOKOSA-N 0 0 292.335 2.965 20 5 CFBDRN O=C(Nc1c[nH]nc1-c1ccccc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000348565363 381639947 /nfs/dbraw/zinc/63/99/47/381639947.db2.gz WLIBUAIXSPXJMM-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN Cc1c([C@H]2C[C@H]2Nc2c(F)cccc2[N+](=O)[O-])cnn1C ZINC000343033916 381655087 /nfs/dbraw/zinc/65/50/87/381655087.db2.gz LBZHQILBAULHBI-BXKDBHETSA-N 0 0 290.298 2.744 20 5 CFBDRN C[C@H]1CCC[C@H](Cn2ccc(=O)c([N+](=O)[O-])c2)C1 ZINC000185532789 381659766 /nfs/dbraw/zinc/65/97/66/381659766.db2.gz WCBXCTZPCQZYGA-QWRGUYRKSA-N 0 0 250.298 2.583 20 5 CFBDRN CC[C@H]1C[N@H+](Cc2ccc(C(C)C)c([N+](=O)[O-])c2)C[C@H]1O ZINC000355193810 381668577 /nfs/dbraw/zinc/66/85/77/381668577.db2.gz FIQMGLSOIOLJMF-XJKSGUPXSA-N 0 0 292.379 2.921 20 5 CFBDRN C/C=C/C=C/c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000291120943 381672517 /nfs/dbraw/zinc/67/25/17/381672517.db2.gz UYHIYVUBMFPLTR-YTXTXJHMSA-N 0 0 251.286 2.647 20 5 CFBDRN CC(C)(CCc1noc(Cc2ccc(F)cc2)n1)[N+](=O)[O-] ZINC000291120831 381673297 /nfs/dbraw/zinc/67/32/97/381673297.db2.gz UVXKEVHSCJQCBX-UHFFFAOYSA-N 0 0 293.298 2.787 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC(Cc2ccccc2)C1 ZINC000371529134 381652713 /nfs/dbraw/zinc/65/27/13/381652713.db2.gz QKHOJWKGTSMAKG-UHFFFAOYSA-N 0 0 296.326 2.910 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)CCC(=O)OC(C)C)n1 ZINC000359874603 381652950 /nfs/dbraw/zinc/65/29/50/381652950.db2.gz KXWACUQOELTPJW-LLVKDONJSA-N 0 0 295.339 2.830 20 5 CFBDRN C[C@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000359916734 381677667 /nfs/dbraw/zinc/67/76/67/381677667.db2.gz PNMLUGCIZMQZGM-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN Cn1nc(Nc2ncc([N+](=O)[O-])cc2Cl)cc1C1CC1 ZINC000291153769 381687820 /nfs/dbraw/zinc/68/78/20/381687820.db2.gz PFBRVZJSDMHSGH-UHFFFAOYSA-N 0 0 293.714 2.998 20 5 CFBDRN CC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2)[C@@H]1C1CC1 ZINC000291234478 381716671 /nfs/dbraw/zinc/71/66/71/381716671.db2.gz XCXHMHMCYVPVEN-CYBMUJFWSA-N 0 0 274.320 2.855 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCO[C@@H]2CCCCO2)n1 ZINC000359953596 381697087 /nfs/dbraw/zinc/69/70/87/381697087.db2.gz XXBNVRHRUXFOCA-GFCCVEGCSA-N 0 0 295.339 2.562 20 5 CFBDRN CCCc1nnc(NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000298650250 381699683 /nfs/dbraw/zinc/69/96/83/381699683.db2.gz OFTVGEYNYYZSAY-UHFFFAOYSA-N 0 0 298.349 2.713 20 5 CFBDRN COc1ccc(NC(=O)c2occc2C)cc1[N+](=O)[O-] ZINC000359962052 381700334 /nfs/dbraw/zinc/70/03/34/381700334.db2.gz DQZIPBZCOPTMQF-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN Cc1c(CC(=O)NCC2(C(F)F)CC2)cccc1[N+](=O)[O-] ZINC000348767551 381702903 /nfs/dbraw/zinc/70/29/03/381702903.db2.gz FWOKSLIVZSPENF-UHFFFAOYSA-N 0 0 298.289 2.607 20 5 CFBDRN Cc1ccc(CCn2cc([N+](=O)[O-])cc(Cl)c2=O)cc1 ZINC000348771649 381706016 /nfs/dbraw/zinc/70/60/16/381706016.db2.gz UUBUOHPBQQJSPI-UHFFFAOYSA-N 0 0 292.722 2.961 20 5 CFBDRN CCc1n[nH]c(C(=O)N2C[C@@H](C)CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000185730312 381717587 /nfs/dbraw/zinc/71/75/87/381717587.db2.gz MOQWWDQDTIQTDC-UWVGGRQHSA-N 0 0 294.355 2.531 20 5 CFBDRN Cc1nn(C)c(N2C[C@@H]3CCCCCC[C@@H]32)c1[N+](=O)[O-] ZINC000372004968 381734706 /nfs/dbraw/zinc/73/47/06/381734706.db2.gz XFFTUYFCAVYWFQ-RYUDHWBXSA-N 0 0 278.356 2.796 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000360025273 381735373 /nfs/dbraw/zinc/73/53/73/381735373.db2.gz QKHFBXVCDYQQNV-ZWNOBZJWSA-N 0 0 292.335 2.656 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1C[C@@]12CCOC2 ZINC000372015943 381735900 /nfs/dbraw/zinc/73/59/00/381735900.db2.gz PEDRXZPFSFLYBB-NOZJJQNGSA-N 0 0 296.710 2.613 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@]12CCOC2 ZINC000372016166 381736194 /nfs/dbraw/zinc/73/61/94/381736194.db2.gz QNAYUCOBFLQUBB-NHYWBVRUSA-N 0 0 290.319 2.577 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1cccc2c1OCCO2 ZINC000185795197 381736567 /nfs/dbraw/zinc/73/65/67/381736567.db2.gz PIIAZJMJRCJHCZ-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN C[C@H](NC(=O)C1(CCF)CC1)c1ccccc1[N+](=O)[O-] ZINC000291355213 381759024 /nfs/dbraw/zinc/75/90/24/381759024.db2.gz SRGWVWUMJUZDBU-JTQLQIEISA-N 0 0 280.299 2.912 20 5 CFBDRN COCCN(Cc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000360011709 381725821 /nfs/dbraw/zinc/72/58/21/381725821.db2.gz XLUJYNJDKTUMIK-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCO[C@@H](C2CC2)C1 ZINC000372265587 381781850 /nfs/dbraw/zinc/78/18/50/381781850.db2.gz AXIICSRARCMCTK-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN CC(C)OCCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000298846302 381765293 /nfs/dbraw/zinc/76/52/93/381765293.db2.gz GZWNFJLYDOYLHT-LLVKDONJSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCC[N@H+](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000343518664 381767447 /nfs/dbraw/zinc/76/74/47/381767447.db2.gz MHVSYWNBRUVASX-MFKMUULPSA-N 0 0 291.351 2.692 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H]1C[C@@H]1C(C)C ZINC000185911427 381775649 /nfs/dbraw/zinc/77/56/49/381775649.db2.gz CSMXOTRPYWFEIE-OLZOCXBDSA-N 0 0 292.335 2.512 20 5 CFBDRN CC(C)[N@H+](Cc1ccccc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000291849907 381777995 /nfs/dbraw/zinc/77/79/95/381777995.db2.gz OZQCOFPYLQXMDN-CYBMUJFWSA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@@]12CCN(C(=O)c3cccc([N+](=O)[O-])c3)C[C@@H]1C2(F)F ZINC000292773235 381829043 /nfs/dbraw/zinc/82/90/43/381829043.db2.gz IYLCAKGIENMRFC-WCQYABFASA-N 0 0 296.273 2.712 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC[C@@H](C3CCC3)C2)n1 ZINC000292367661 381801783 /nfs/dbraw/zinc/80/17/83/381801783.db2.gz RTFCBEZQBZMLOO-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN C[C@@H]1CSC[C@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000343589180 381819397 /nfs/dbraw/zinc/81/93/97/381819397.db2.gz KJRFWUHYJDHBKK-RDDDGLTNSA-N 0 0 278.337 2.635 20 5 CFBDRN CC(C)[C@@H]1N(c2ncc([N+](=O)[O-])s2)CC1(C)C ZINC000292717638 381819857 /nfs/dbraw/zinc/81/98/57/381819857.db2.gz LHKONMGWIOGEGR-VIFPVBQESA-N 0 0 255.343 2.922 20 5 CFBDRN O=C(CC[C@H]1CCCO1)Nc1ccc([N+](=O)[O-])cc1F ZINC000299297332 381887371 /nfs/dbraw/zinc/88/73/71/381887371.db2.gz CTXLRCBQXKATAU-SNVBAGLBSA-N 0 0 282.271 2.632 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCc2ccc(O)cc2C1 ZINC000356874508 381877647 /nfs/dbraw/zinc/87/76/47/381877647.db2.gz ZAASFLSDLHREKR-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN COc1ccc(NC(=O)C[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000299282583 381882652 /nfs/dbraw/zinc/88/26/52/381882652.db2.gz TYSAOVUVGYIFBE-JTQLQIEISA-N 0 0 276.292 2.898 20 5 CFBDRN C[C@@H]1CSC[C@@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000343620373 381847256 /nfs/dbraw/zinc/84/72/56/381847256.db2.gz YEWBYWGPJGVPQB-SVRRBLITSA-N 0 0 273.745 2.807 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC[C@H](O)CCOC(C)C ZINC000349208763 381848231 /nfs/dbraw/zinc/84/82/31/381848231.db2.gz AEKYMEUOYZZRQZ-CYBMUJFWSA-N 0 0 297.351 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC12CCOCC2 ZINC000372712132 381854857 /nfs/dbraw/zinc/85/48/57/381854857.db2.gz CEZJBGBPTMGYFS-LBPRGKRZSA-N 0 0 290.319 2.658 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000343634668 381858481 /nfs/dbraw/zinc/85/84/81/381858481.db2.gz RXVJWMNJDFXNGZ-UFBFGSQYSA-N 0 0 280.349 2.961 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H]2CCCCC[C@@H]21 ZINC000372738952 381859372 /nfs/dbraw/zinc/85/93/72/381859372.db2.gz KMLQFOXCBSTCHG-YGRLFVJLSA-N 0 0 289.335 2.582 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1C=C[C@H](CO)C1 ZINC000349268937 381870777 /nfs/dbraw/zinc/87/07/77/381870777.db2.gz REVJOAHXXWRAHS-WPRPVWTQSA-N 0 0 268.700 2.597 20 5 CFBDRN Cc1ccc(COc2cccnc2[N+](=O)[O-])c(C)n1 ZINC000186397264 381899957 /nfs/dbraw/zinc/89/99/57/381899957.db2.gz NQNWGURZPLWGAG-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000299331575 381900440 /nfs/dbraw/zinc/90/04/40/381900440.db2.gz ZMOAQCPWWVIKPR-SNVBAGLBSA-N 0 0 268.338 2.917 20 5 CFBDRN CCc1nocc1CN(C)c1cccc(OC)c1[N+](=O)[O-] ZINC000292915341 381900760 /nfs/dbraw/zinc/90/07/60/381900760.db2.gz XWCQKBCRQKVPMT-UHFFFAOYSA-N 0 0 291.307 2.790 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000299350821 381907762 /nfs/dbraw/zinc/90/77/62/381907762.db2.gz PQSZEQAMLPFCRI-SECBINFHSA-N 0 0 268.338 2.919 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000299390508 381921172 /nfs/dbraw/zinc/92/11/72/381921172.db2.gz FSAWXJKXHZTBIH-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C[C@H]1CCC(C)(C)O1 ZINC000186494879 381926624 /nfs/dbraw/zinc/92/66/24/381926624.db2.gz XBGGCCZEUVZWFZ-CYBMUJFWSA-N 0 0 278.352 2.984 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000299301397 381889769 /nfs/dbraw/zinc/88/97/69/381889769.db2.gz TUOWERJDUOSNSL-CQSZACIVSA-N 0 0 292.335 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@H]3C[C@H]3C(F)F)nc2c1 ZINC000343816920 381960903 /nfs/dbraw/zinc/96/09/03/381960903.db2.gz XTRSXDHRHAHVPT-HTRCEHHLSA-N 0 0 268.223 2.537 20 5 CFBDRN C[C@H](NCc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1ccccn1 ZINC000356977295 381939914 /nfs/dbraw/zinc/93/99/14/381939914.db2.gz MGJSQAFINHONED-JTQLQIEISA-N 0 0 297.318 2.717 20 5 CFBDRN CCCCN(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000299438849 381942250 /nfs/dbraw/zinc/94/22/50/381942250.db2.gz OHQOHYWCAKBNMW-UHFFFAOYSA-N 0 0 293.245 2.728 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@H](C)CCCC(C)C)c1[N+](=O)[O-] ZINC000299441446 381942400 /nfs/dbraw/zinc/94/24/00/381942400.db2.gz KPWUDZASWWXINS-SECBINFHSA-N 0 0 282.344 2.571 20 5 CFBDRN CN(C[C@H]1CC=CCC1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000343796261 381946964 /nfs/dbraw/zinc/94/69/64/381946964.db2.gz NOLCUYFYVRNFMN-ZDUSSCGKSA-N 0 0 288.347 2.952 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000343801820 381949336 /nfs/dbraw/zinc/94/93/36/381949336.db2.gz UQPHXOCLTNIDJZ-CQSZACIVSA-N 0 0 288.347 2.952 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CC[C@@H](C2CC2)C1 ZINC000343870388 381995255 /nfs/dbraw/zinc/99/52/55/381995255.db2.gz STSWWLNZPSJTQS-GFCCVEGCSA-N 0 0 292.310 2.535 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCc2ccccc2O)n1 ZINC000343840039 381976000 /nfs/dbraw/zinc/97/60/00/381976000.db2.gz QZEJNJCRUNBAQV-UHFFFAOYSA-N 0 0 287.319 2.683 20 5 CFBDRN CCOC(=O)c1cc(NCC(C)(C)OC)ccc1[N+](=O)[O-] ZINC000343849274 381980814 /nfs/dbraw/zinc/98/08/14/381980814.db2.gz HNZVWOGRXBRFHI-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN COc1cc(N[C@H]2C[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000343854464 381982780 /nfs/dbraw/zinc/98/27/80/381982780.db2.gz KVWPLOQWEJCUPR-YUMQZZPRSA-N 0 0 258.224 2.669 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000343983992 382048097 /nfs/dbraw/zinc/04/80/97/382048097.db2.gz LXJGFTOJFORRMF-MFKMUULPSA-N 0 0 276.336 2.787 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])cnc1C)C(C)(C)C ZINC000357201619 382053571 /nfs/dbraw/zinc/05/35/71/382053571.db2.gz IHNZQVOVJVHYHP-GFCCVEGCSA-N 0 0 279.340 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC[C@H]1c1ncc[nH]1 ZINC000375005105 382063848 /nfs/dbraw/zinc/06/38/48/382063848.db2.gz PTMMEJMBQHTYPI-ZDUSSCGKSA-N 0 0 290.298 2.794 20 5 CFBDRN O=C(C[C@H]1C=CCCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000186974791 382064479 /nfs/dbraw/zinc/06/44/79/382064479.db2.gz VXZJYEXTICWMPB-LBPRGKRZSA-N 0 0 274.320 2.957 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CO[C@@H](C)C1 ZINC000362345311 382017891 /nfs/dbraw/zinc/01/78/91/382017891.db2.gz MKLQSWSCZPHLOR-ONGXEEELSA-N 0 0 278.308 2.521 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000362345096 382017940 /nfs/dbraw/zinc/01/79/40/382017940.db2.gz KLMSOIRFZWHWSZ-MRVWCRGKSA-N 0 0 290.319 2.521 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC[C@H]1C ZINC000299681887 382024472 /nfs/dbraw/zinc/02/44/72/382024472.db2.gz WMGMPXQPSIWJRZ-LLVKDONJSA-N 0 0 262.309 2.918 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H]2C)c1 ZINC000343944184 382032643 /nfs/dbraw/zinc/03/26/43/382032643.db2.gz CKXPUAKNMSBQMD-SKDRFNHKSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@H]1C(=O)CC[C@@H]1CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000357166297 382034001 /nfs/dbraw/zinc/03/40/01/382034001.db2.gz JBBIVEPAMIJHRW-RKDXNWHRSA-N 0 0 294.282 2.678 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]2C2CC2)c(Br)c1 ZINC000362376747 382035314 /nfs/dbraw/zinc/03/53/14/382035314.db2.gz TYUREXGPAIWHCI-WCBMZHEXSA-N 0 0 298.140 2.963 20 5 CFBDRN COc1cc(NC[C@H]2C[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000357312481 382102313 /nfs/dbraw/zinc/10/23/13/382102313.db2.gz JFZWBIXUJHKHAF-JGVFFNPUSA-N 0 0 254.261 2.810 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cc([N+](=O)[O-])cc2F)[C@H]1C ZINC000293384586 382103066 /nfs/dbraw/zinc/10/30/66/382103066.db2.gz KCOSIGXRYDOQQI-SFYZADRCSA-N 0 0 256.252 2.713 20 5 CFBDRN Cc1nc(CN(C)c2ncc([N+](=O)[O-])cc2C)cs1 ZINC000301215404 382106825 /nfs/dbraw/zinc/10/68/25/382106825.db2.gz ZTTNVGKMBHCICX-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN O=[N+]([O-])c1ccccc1C[N@H+](CCO)CC1CCCCC1 ZINC000362528143 382128029 /nfs/dbraw/zinc/12/80/29/382128029.db2.gz JONABDIKIAFACW-UHFFFAOYSA-N 0 0 292.379 2.969 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1F ZINC000301220189 382109227 /nfs/dbraw/zinc/10/92/27/382109227.db2.gz ATUAYSJACUNEBP-PELKAZGASA-N 0 0 256.277 2.553 20 5 CFBDRN CCO[C@@H](C)c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000357324215 382109458 /nfs/dbraw/zinc/10/94/58/382109458.db2.gz ITWPEWZGBQEPEX-JTQLQIEISA-N 0 0 291.307 2.975 20 5 CFBDRN CC1(C)CC[C@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)O1 ZINC000187142585 382110704 /nfs/dbraw/zinc/11/07/04/382110704.db2.gz XFMWZPGNMAISOD-GFCCVEGCSA-N 0 0 293.323 2.674 20 5 CFBDRN CC[C@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000301079511 382075924 /nfs/dbraw/zinc/07/59/24/382075924.db2.gz PKRPZHZGVWKVKF-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H](c2nccs2)C1 ZINC000301083688 382077636 /nfs/dbraw/zinc/07/76/36/382077636.db2.gz DUNGNAWKRRIOPK-SNVBAGLBSA-N 0 0 290.348 2.830 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000293324025 382079079 /nfs/dbraw/zinc/07/90/79/382079079.db2.gz AJUZTRVQRSPNSP-OLZOCXBDSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccco2)c(Cl)c1 ZINC000301099907 382080755 /nfs/dbraw/zinc/08/07/55/382080755.db2.gz SYGIODGONOGFLO-LLVKDONJSA-N 0 0 282.683 2.987 20 5 CFBDRN Cc1noc(C)c1CN(C)c1ccccc1[N+](=O)[O-] ZINC000301094760 382080976 /nfs/dbraw/zinc/08/09/76/382080976.db2.gz QFFIAACYONZDAB-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccco2)c(Cl)c1 ZINC000301099908 382081106 /nfs/dbraw/zinc/08/11/06/382081106.db2.gz SYGIODGONOGFLO-NSHDSACASA-N 0 0 282.683 2.987 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H](O)c1ccc(F)cc1 ZINC000301098236 382081770 /nfs/dbraw/zinc/08/17/70/382081770.db2.gz RTTKDTFZJABVEX-CQSZACIVSA-N 0 0 276.267 2.879 20 5 CFBDRN Cc1cc(NC[C@@H](O)Cc2ccccc2)ccc1[N+](=O)[O-] ZINC000301155664 382094073 /nfs/dbraw/zinc/09/40/73/382094073.db2.gz ADNLKEGHMAANDM-HNNXBMFYSA-N 0 0 286.331 2.919 20 5 CFBDRN C[C@@H]1C[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000418120709 382127440 /nfs/dbraw/zinc/12/74/40/382127440.db2.gz CNXKTTBHEFBQNG-VWYCJHECSA-N 0 0 264.325 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1Oc1ncccn1 ZINC000301168339 382096218 /nfs/dbraw/zinc/09/62/18/382096218.db2.gz IUEQUTIYOBELLN-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN Cc1c(Cc2noc([C@H]3CCCO3)n2)cccc1[N+](=O)[O-] ZINC000357302611 382096257 /nfs/dbraw/zinc/09/62/57/382096257.db2.gz NSTRITBLNACEHF-GFCCVEGCSA-N 0 0 289.291 2.729 20 5 CFBDRN Nc1c(C(=O)N[C@H]2CCc3ccccc32)cccc1[N+](=O)[O-] ZINC000362489404 382097366 /nfs/dbraw/zinc/09/73/66/382097366.db2.gz OILOXEGUAICAPM-ZDUSSCGKSA-N 0 0 297.314 2.594 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344178257 382183170 /nfs/dbraw/zinc/18/31/70/382183170.db2.gz KKVUTXQDFHVZFV-UHFFFAOYSA-N 0 0 278.283 2.652 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@@H](C)O1 ZINC000301277621 382132934 /nfs/dbraw/zinc/13/29/34/382132934.db2.gz SIEXQJXPSVDRRA-ZWNOBZJWSA-N 0 0 250.298 2.599 20 5 CFBDRN COCCCCNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000187240907 382137690 /nfs/dbraw/zinc/13/76/90/382137690.db2.gz AIENCBRSSAJEML-UHFFFAOYSA-N 0 0 294.351 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@]2(C1)CCCOC2 ZINC000376487190 382139076 /nfs/dbraw/zinc/13/90/76/382139076.db2.gz KTVNJBCTUWWYEF-AWEZNQCLSA-N 0 0 280.299 2.741 20 5 CFBDRN CCn1c(C)nnc1Sc1ncc([N+](=O)[O-])cc1Cl ZINC000301299358 382142909 /nfs/dbraw/zinc/14/29/09/382142909.db2.gz ZUMRHBGWWFDZPE-UHFFFAOYSA-N 0 0 299.743 2.714 20 5 CFBDRN C[C@H](CCC(C)(C)C)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301329922 382149335 /nfs/dbraw/zinc/14/93/35/382149335.db2.gz KLJOLSIKOGGXKR-MRVPVSSYSA-N 0 0 267.333 2.594 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2cccnc2)c1 ZINC000301326611 382149750 /nfs/dbraw/zinc/14/97/50/382149750.db2.gz BCEPLIHHWJSGCV-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])cc1)c1cccs1 ZINC000301353136 382156515 /nfs/dbraw/zinc/15/65/15/382156515.db2.gz LHURVMKHOHLEKJ-ZDUSSCGKSA-N 0 0 278.333 2.976 20 5 CFBDRN CCSCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000362580052 382157143 /nfs/dbraw/zinc/15/71/43/382157143.db2.gz HRLZVRAFMWKOIA-JTQLQIEISA-N 0 0 299.396 2.537 20 5 CFBDRN COc1cc(CCNc2ccc([N+](=O)[O-])cn2)ccc1C ZINC000301365224 382159847 /nfs/dbraw/zinc/15/98/47/382159847.db2.gz QKFWHRXFDSVXMG-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CN(c1ccccc1[N+](=O)[O-])[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000301381577 382164658 /nfs/dbraw/zinc/16/46/58/382164658.db2.gz YWKKRIJEAKZIBU-ZLKJLUDKSA-N 0 0 276.336 2.845 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2C)C[C@H](C)O1 ZINC000301438252 382172024 /nfs/dbraw/zinc/17/20/24/382172024.db2.gz KYKVSKUOAKIJQL-AAEUAGOBSA-N 0 0 264.325 2.907 20 5 CFBDRN COC1(COc2nc(C)cc(C)c2[N+](=O)[O-])CCC1 ZINC000418937862 382198333 /nfs/dbraw/zinc/19/83/33/382198333.db2.gz OMQDJJVWGMNGDT-UHFFFAOYSA-N 0 0 266.297 2.555 20 5 CFBDRN CCc1cc(C)c(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)o1 ZINC000344206405 382198780 /nfs/dbraw/zinc/19/87/80/382198780.db2.gz GVAFGBFIWOIYRN-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN CCCCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344207087 382199138 /nfs/dbraw/zinc/19/91/38/382199138.db2.gz FQYKEIYBQIESHF-UHFFFAOYSA-N 0 0 254.261 2.654 20 5 CFBDRN CCOc1cc(N2CCOC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000301898687 382199235 /nfs/dbraw/zinc/19/92/35/382199235.db2.gz SKKCLRIJWYTKAF-UHFFFAOYSA-N 0 0 292.335 2.753 20 5 CFBDRN CN(C(=O)CCCC(F)(F)F)c1ccc([N+](=O)[O-])nc1 ZINC000344206448 382199376 /nfs/dbraw/zinc/19/93/76/382199376.db2.gz HIVNYPLBLHUPSI-UHFFFAOYSA-N 0 0 291.229 2.685 20 5 CFBDRN CSC1(CNc2sccc2[N+](=O)[O-])CCOCC1 ZINC000301925935 382200829 /nfs/dbraw/zinc/20/08/29/382200829.db2.gz HBUWQNITTIPPGK-UHFFFAOYSA-N 0 0 288.394 2.980 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])ncn1C)[C@H]1CC1(C)C ZINC000418983968 382205650 /nfs/dbraw/zinc/20/56/50/382205650.db2.gz DJXBFVSXTLRQFA-BDAKNGLRSA-N 0 0 252.318 2.565 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cn1)[C@H]1CC1(C)C ZINC000418987180 382207881 /nfs/dbraw/zinc/20/78/81/382207881.db2.gz HHLGGPZUTYZING-ZJUUUORDSA-N 0 0 250.302 2.621 20 5 CFBDRN CC[C@H](O)CCCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000357533149 382212758 /nfs/dbraw/zinc/21/27/58/382212758.db2.gz PZJRNEXTJQBTPY-JTQLQIEISA-N 0 0 279.340 2.526 20 5 CFBDRN CC1(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000357533404 382213165 /nfs/dbraw/zinc/21/31/65/382213165.db2.gz UKCWLRBQVSCHIB-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CC(C)N(CCc1nccs1)c1ncccc1[N+](=O)[O-] ZINC000302219429 382218015 /nfs/dbraw/zinc/21/80/15/382218015.db2.gz LXLBGWVGOMRRQS-UHFFFAOYSA-N 0 0 292.364 2.904 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc([C@H](C)C2CC2)no1 ZINC000357546042 382218828 /nfs/dbraw/zinc/21/88/28/382218828.db2.gz KCSBNNOLSSLSIS-SSDOTTSWSA-N 0 0 274.280 2.862 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](CO)CC1CCCCC1 ZINC000419026488 382221847 /nfs/dbraw/zinc/22/18/47/382221847.db2.gz OZXNIYCTVISDKV-GFCCVEGCSA-N 0 0 279.340 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCO)c2ccco2)cc1 ZINC000302228329 382221986 /nfs/dbraw/zinc/22/19/86/382221986.db2.gz VLKLICFDOANNBA-LBPRGKRZSA-N 0 0 262.265 2.723 20 5 CFBDRN CN(Cc1ccc(Cl)c(F)c1)c1ncc([N+](=O)[O-])cn1 ZINC000302228240 382222155 /nfs/dbraw/zinc/22/21/55/382222155.db2.gz QSYSFHCSDUSMLW-UHFFFAOYSA-N 0 0 296.689 2.814 20 5 CFBDRN Cc1cc(C)cc([C@@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)c1 ZINC000302231073 382223146 /nfs/dbraw/zinc/22/31/46/382223146.db2.gz RXBJJAKTJBLFES-CYBMUJFWSA-N 0 0 298.346 2.996 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000377176260 382231448 /nfs/dbraw/zinc/23/14/48/382231448.db2.gz QMVXAZXLUPRRSI-YMTOWFKASA-N 0 0 271.276 2.924 20 5 CFBDRN CC[C@H](C)CONC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000293681515 382231903 /nfs/dbraw/zinc/23/19/03/382231903.db2.gz JIYLKFJPGVUAAT-QMMMGPOBSA-N 0 0 286.715 2.956 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C)(C)[C@@H]1C1CC1 ZINC000357576015 382234956 /nfs/dbraw/zinc/23/49/56/382234956.db2.gz COYLWDGBEZETJQ-NSHDSACASA-N 0 0 278.356 2.744 20 5 CFBDRN CCn1nnc(C)c1Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000418887329 382187202 /nfs/dbraw/zinc/18/72/02/382187202.db2.gz CXAAALXMWBTXAF-UHFFFAOYSA-N 0 0 285.307 2.518 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344190365 382188055 /nfs/dbraw/zinc/18/80/55/382188055.db2.gz REWSLYUEXXWKSW-RKDXNWHRSA-N 0 0 268.288 2.898 20 5 CFBDRN CC[C@@H](O)CCCNc1c(C)cccc1[N+](=O)[O-] ZINC000357486424 382189884 /nfs/dbraw/zinc/18/98/84/382189884.db2.gz NTTNATLCDNZKSL-LLVKDONJSA-N 0 0 252.314 2.866 20 5 CFBDRN CC(C)[C@@H]1CN(C)CCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000301762769 382190882 /nfs/dbraw/zinc/19/08/82/382190882.db2.gz CDINHQFFNOSZBI-ZDUSSCGKSA-N 0 0 299.321 2.649 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@H]([C@@H](C)O)C1 ZINC000301759421 382190980 /nfs/dbraw/zinc/19/09/80/382190980.db2.gz FMFGXXJOPSOXOI-NEPJUHHUSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc(N2CCC[C@@H]([C@H](C)O)C2)ccc1[N+](=O)[O-] ZINC000301791939 382192982 /nfs/dbraw/zinc/19/29/82/382192982.db2.gz RKOSMYSDOWZDFC-NWDGAFQWSA-N 0 0 264.325 2.500 20 5 CFBDRN NC(=O)c1ccnc(Nc2ccc3ccoc3c2)c1[N+](=O)[O-] ZINC000357685496 382278591 /nfs/dbraw/zinc/27/85/91/382278591.db2.gz ZREPEYCATTXUAV-UHFFFAOYSA-N 0 0 298.258 2.579 20 5 CFBDRN CCC1(CC)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000293822501 382292809 /nfs/dbraw/zinc/29/28/09/382292809.db2.gz BCJOKBALTDAOPJ-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCOC(F)(F)F ZINC000352366849 382292194 /nfs/dbraw/zinc/29/21/94/382292194.db2.gz JMBVSIUFPQQAJN-UHFFFAOYSA-N 0 0 285.609 2.592 20 5 CFBDRN Cc1nn(C)c(Nc2ccc3scnc3c2)c1[N+](=O)[O-] ZINC000302685403 382292222 /nfs/dbraw/zinc/29/22/22/382292222.db2.gz AWPVBEROVBPQIW-UHFFFAOYSA-N 0 0 289.320 2.990 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]1c1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000377532381 382283754 /nfs/dbraw/zinc/28/37/54/382283754.db2.gz VHELDIBPMCGBJO-HUUCEWRRSA-N 0 0 297.314 2.756 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1ccco1 ZINC000352366543 382292435 /nfs/dbraw/zinc/29/24/35/382292435.db2.gz GJMUUNIUUWWALZ-UHFFFAOYSA-N 0 0 269.260 2.770 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CO)c2ccsc2)c(Cl)c1 ZINC000302305674 382238660 /nfs/dbraw/zinc/23/86/60/382238660.db2.gz ZMGNRDNJJBLAGJ-SNVBAGLBSA-N 0 0 299.739 2.850 20 5 CFBDRN Cc1ccc(Oc2c(F)cc([N+](=O)[O-])cc2F)c[n+]1[O-] ZINC000302316869 382239097 /nfs/dbraw/zinc/23/90/97/382239097.db2.gz QKLGSXPZZGJNIP-UHFFFAOYSA-N 0 0 282.202 2.607 20 5 CFBDRN CCc1nn(C)c(N2CCCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000302410869 382248378 /nfs/dbraw/zinc/24/83/78/382248378.db2.gz MPNCBJQLTWPBAI-JTQLQIEISA-N 0 0 266.345 2.517 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1ccc(F)cn1 ZINC000352362745 382290532 /nfs/dbraw/zinc/29/05/32/382290532.db2.gz XGSGYIWDCOMESX-UHFFFAOYSA-N 0 0 298.277 2.711 20 5 CFBDRN Cc1nsc(Oc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000302440938 382250610 /nfs/dbraw/zinc/25/06/10/382250610.db2.gz MCGGOXPTGINCLB-UHFFFAOYSA-N 0 0 269.257 2.995 20 5 CFBDRN O=C(NCc1cccnc1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000357614442 382252764 /nfs/dbraw/zinc/25/27/64/382252764.db2.gz KZGSESNZAPTGEU-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1cccc(C)c1[N+](=O)[O-] ZINC000302472540 382253595 /nfs/dbraw/zinc/25/35/95/382253595.db2.gz DCVYXXZLUNNZFN-JOYOIKCWSA-N 0 0 252.314 2.722 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])O1 ZINC000302469607 382254180 /nfs/dbraw/zinc/25/41/80/382254180.db2.gz VVOZPMQZBCRJEP-JTQLQIEISA-N 0 0 295.295 2.873 20 5 CFBDRN Cc1cccc(NCCc2cccc(C(N)=O)c2)c1[N+](=O)[O-] ZINC000302517704 382261510 /nfs/dbraw/zinc/26/15/10/382261510.db2.gz WCWOIVWHYIRPGK-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN O=[N+]([O-])c1cccc(Oc2ncncc2Cl)c1 ZINC000302526777 382263709 /nfs/dbraw/zinc/26/37/09/382263709.db2.gz DFWIFXIGVQEIIQ-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000302534030 382264085 /nfs/dbraw/zinc/26/40/85/382264085.db2.gz GCJCIFQCLNTDPF-XWIASGKRSA-N 0 0 266.272 2.713 20 5 CFBDRN CC1CN(c2ccc(Br)cc2[N+](=O)[O-])C1 ZINC000344525305 382267777 /nfs/dbraw/zinc/26/77/77/382267777.db2.gz VGEANGQGKBQGLJ-UHFFFAOYSA-N 0 0 271.114 2.813 20 5 CFBDRN CO[C@H]1CN(c2c(C)cccc2[N+](=O)[O-])CC[C@@H]1C ZINC000302555963 382268340 /nfs/dbraw/zinc/26/83/40/382268340.db2.gz LKNKKLNQWNABIJ-GWCFXTLKSA-N 0 0 264.325 2.764 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000293819436 382291640 /nfs/dbraw/zinc/29/16/40/382291640.db2.gz UXWQSTYHFPXUDS-SECBINFHSA-N 0 0 266.297 2.611 20 5 CFBDRN CCOc1cc(N2CC[C@H](C)[C@H](OC)C2)ccc1[N+](=O)[O-] ZINC000302602058 382275210 /nfs/dbraw/zinc/27/52/10/382275210.db2.gz YRGLKYUDGKUWCE-XHDPSFHLSA-N 0 0 294.351 2.855 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)C[C@@H](C)O1 ZINC000352405624 382312764 /nfs/dbraw/zinc/31/27/64/382312764.db2.gz NNVXVIDDJPVLQG-DGCLKSJQSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000293883171 382321217 /nfs/dbraw/zinc/32/12/17/382321217.db2.gz DQVJGIMHTCIQOZ-VIFPVBQESA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H](C)[C@H](C)CO ZINC000302799787 382322083 /nfs/dbraw/zinc/32/20/83/382322083.db2.gz BSMBXYFZVRHUPA-RKDXNWHRSA-N 0 0 272.732 2.985 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)[C@@H]1C ZINC000293886624 382323581 /nfs/dbraw/zinc/32/35/81/382323581.db2.gz BJJXFAMIUSBLQE-RKDXNWHRSA-N 0 0 282.727 2.658 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCCC[C@H]1C ZINC000357790037 382324388 /nfs/dbraw/zinc/32/43/88/382324388.db2.gz KLWVWVBLTLYBGS-SNVBAGLBSA-N 0 0 277.324 2.703 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1ccc2c(c1)CC(=O)N2C ZINC000302827679 382329103 /nfs/dbraw/zinc/32/91/03/382329103.db2.gz BMDVPYXASQFTBF-UHFFFAOYSA-N 0 0 298.302 2.561 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000352457045 382343075 /nfs/dbraw/zinc/34/30/75/382343075.db2.gz SUBLATSCPZZZQI-SECBINFHSA-N 0 0 263.297 2.762 20 5 CFBDRN C[C@@H]1CCC[C@]1(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000293935834 382343182 /nfs/dbraw/zinc/34/31/82/382343182.db2.gz FPTRGJIOBZTLPY-RNCFNFMXSA-N 0 0 268.288 2.697 20 5 CFBDRN COCCC(C)(C)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000352369721 382293404 /nfs/dbraw/zinc/29/34/04/382293404.db2.gz SCWZQZXPZJEINA-UHFFFAOYSA-N 0 0 291.307 2.959 20 5 CFBDRN C[C@@H](CCO)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000302694305 382293664 /nfs/dbraw/zinc/29/36/64/382293664.db2.gz UCMRTBNTTGNXAS-ZETCQYMHSA-N 0 0 260.240 2.715 20 5 CFBDRN Cc1ccccc1C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000302698962 382294748 /nfs/dbraw/zinc/29/47/48/382294748.db2.gz HRKUYSISVQTKMJ-OAHLLOKOSA-N 0 0 286.331 2.919 20 5 CFBDRN O=C1O[C@@H](COc2c(F)cccc2[N+](=O)[O-])CC12CCC2 ZINC000188186829 382361982 /nfs/dbraw/zinc/36/19/82/382361982.db2.gz ZJOFGCIDCPAORM-SECBINFHSA-N 0 0 295.266 2.599 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1cc(F)ccc1Cl ZINC000188198262 382365649 /nfs/dbraw/zinc/36/56/49/382365649.db2.gz IXWRIOMTYOZNDC-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN COC1(CCNC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000294057931 382397830 /nfs/dbraw/zinc/39/78/30/382397830.db2.gz QHJBIXKQDCZOLJ-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN O=C(NCCc1ccccc1Cl)c1ccc([N+](=O)[O-])[nH]1 ZINC000346487808 382383067 /nfs/dbraw/zinc/38/30/67/382383067.db2.gz VXJCCSNNYPEOEI-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN CC(=O)c1ccc(NC[C@]2(O)CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000294035573 382388093 /nfs/dbraw/zinc/38/80/93/382388093.db2.gz LMHZKCQOMMKMBH-MEBBXXQBSA-N 0 0 292.335 2.760 20 5 CFBDRN CCC1(CC)CN(C(=O)c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000294048252 382393810 /nfs/dbraw/zinc/39/38/10/382393810.db2.gz FUZFXTWMXLCBSA-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1c(CC(=O)NC2(C(C)C)CC2)cccc1[N+](=O)[O-] ZINC000294053319 382395513 /nfs/dbraw/zinc/39/55/13/382395513.db2.gz YIWALNOCVVJOCF-UHFFFAOYSA-N 0 0 276.336 2.751 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2nccc(C)c2[N+](=O)[O-])CCO1 ZINC000420617569 382428379 /nfs/dbraw/zinc/42/83/79/382428379.db2.gz KDMHWNZHAFEPKU-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCCC1(O)CCC1 ZINC000420629871 382435025 /nfs/dbraw/zinc/43/50/25/382435025.db2.gz GYFNRVYAOWWUBR-UHFFFAOYSA-N 0 0 268.288 2.841 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC[C@H]1CCCC1(F)F ZINC000420636417 382437629 /nfs/dbraw/zinc/43/76/29/382437629.db2.gz MJHAPVDRUMXPFL-MRVPVSSYSA-N 0 0 299.277 2.541 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ncc(Cl)cc1Cl ZINC000346614042 382438185 /nfs/dbraw/zinc/43/81/85/382438185.db2.gz UITHQBCNJVSEFO-UHFFFAOYSA-N 0 0 287.106 2.850 20 5 CFBDRN CCOc1cc(OCCSC)ccc1[N+](=O)[O-] ZINC000188592887 382441510 /nfs/dbraw/zinc/44/15/10/382441510.db2.gz LBOHAHUYECBSHG-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN Cc1ccnc(CNC(=O)CCc2cccc([N+](=O)[O-])c2)c1 ZINC000294164353 382441601 /nfs/dbraw/zinc/44/16/01/382441601.db2.gz PGXJFDQANPLEED-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN CCOc1cc(OCCOC(C)C)ccc1[N+](=O)[O-] ZINC000188600992 382442635 /nfs/dbraw/zinc/44/26/35/382442635.db2.gz NYOBUYJMORBOGA-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN C[C@H]1CCCC[C@H]1Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420577686 382408349 /nfs/dbraw/zinc/40/83/49/382408349.db2.gz BADMCJNYDODMTA-GXSJLCMTSA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1ccc(NCCOC(F)(F)F)c([N+](=O)[O-])c1 ZINC000352594918 382409996 /nfs/dbraw/zinc/40/99/96/382409996.db2.gz OZWPARPFTPEJKT-UHFFFAOYSA-N 0 0 264.203 2.852 20 5 CFBDRN CC(C)C1(CNc2c(C(N)=O)cccc2[N+](=O)[O-])CC1 ZINC000420597455 382417361 /nfs/dbraw/zinc/41/73/61/382417361.db2.gz INJDKZQZCLTEDD-UHFFFAOYSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000358032012 382418541 /nfs/dbraw/zinc/41/85/41/382418541.db2.gz PUFBKDDUXHVYSZ-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC3CCC2CC3)c1 ZINC000378391728 382453787 /nfs/dbraw/zinc/45/37/87/382453787.db2.gz XPABZOPAPHNJOK-UHFFFAOYSA-N 0 0 290.319 2.618 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(F)c(F)c1)[N+](=O)[O-] ZINC000294204824 382457969 /nfs/dbraw/zinc/45/79/69/382457969.db2.gz HXKFEDQHSNEBOL-UHFFFAOYSA-N 0 0 272.251 2.739 20 5 CFBDRN Cc1cccnc1C1(CNc2ncc([N+](=O)[O-])s2)CC1 ZINC000366161463 382478688 /nfs/dbraw/zinc/47/86/88/382478688.db2.gz URDLSWZJSBMEKR-UHFFFAOYSA-N 0 0 290.348 2.898 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000358169382 382482932 /nfs/dbraw/zinc/48/29/32/382482932.db2.gz ZWZNGVYYOSXIPW-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN O=C(c1cc(C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 ZINC000294263197 382485221 /nfs/dbraw/zinc/48/52/21/382485221.db2.gz QQZUGVUDHLYJPW-UHFFFAOYSA-N 0 0 288.225 2.850 20 5 CFBDRN C[C@H]1CCCC[C@H]1N(C)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000030382237 184537128 /nfs/dbraw/zinc/53/71/28/184537128.db2.gz YQADLSDQJBCBIC-GXFFZTMASA-N 0 0 291.351 2.828 20 5 CFBDRN CCN(CC1CCC1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000358176054 382487764 /nfs/dbraw/zinc/48/77/64/382487764.db2.gz XVSQUTLEZFHGJG-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1cccc(F)c1F ZINC000346803545 382520689 /nfs/dbraw/zinc/52/06/89/382520689.db2.gz KIKLTLIDEQXPPA-ZETCQYMHSA-N 0 0 295.245 2.692 20 5 CFBDRN CCCN(CC(=O)OCC)c1cc(C)c([N+](=O)[O-])cc1F ZINC000314283664 382525139 /nfs/dbraw/zinc/52/51/39/382525139.db2.gz DLYZWWABVZCEGI-UHFFFAOYSA-N 0 0 298.314 2.822 20 5 CFBDRN Cc1cc(N[C@H](CCO)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000382209515 382529318 /nfs/dbraw/zinc/52/93/18/382529318.db2.gz IAOAKXVKIIFVOS-LLVKDONJSA-N 0 0 270.304 2.861 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC([C@@H]2CCOC2)CC1 ZINC000378652682 382502571 /nfs/dbraw/zinc/50/25/71/382502571.db2.gz QUVPNNPIRLUADN-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN Cc1cc(N2CCC[C@H](CO)CC2)ccc1[N+](=O)[O-] ZINC000294311919 382505107 /nfs/dbraw/zinc/50/51/07/382505107.db2.gz YXKHMUDCGQXEOA-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000312365967 382513816 /nfs/dbraw/zinc/51/38/16/382513816.db2.gz AGTZTYWKWSGXMD-LLVKDONJSA-N 0 0 277.324 2.555 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1cccc(C)c1C ZINC000358272545 382540563 /nfs/dbraw/zinc/54/05/63/382540563.db2.gz IGSFHJOZIJKWJG-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN COc1cc(CSc2cccnn2)ccc1[N+](=O)[O-] ZINC000358274887 382541684 /nfs/dbraw/zinc/54/16/84/382541684.db2.gz VSCNLDJARWTXAG-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN CCOc1cccc(NCC2(CCO)CCC2)c1[N+](=O)[O-] ZINC000358338011 382576348 /nfs/dbraw/zinc/57/63/48/382576348.db2.gz MNRWZEVDBPXIJY-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN CCOc1cccc(N[C@@H](C)CC(C)(C)O)c1[N+](=O)[O-] ZINC000294956987 382551378 /nfs/dbraw/zinc/55/13/78/382551378.db2.gz JPOIKXLJHGOFRF-JTQLQIEISA-N 0 0 282.340 2.955 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000421477631 382551593 /nfs/dbraw/zinc/55/15/93/382551593.db2.gz DFDDJOIMPDIZAT-GMTAPVOTSA-N 0 0 275.308 2.673 20 5 CFBDRN CC1(C)CC(c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)C1 ZINC000346876377 382555310 /nfs/dbraw/zinc/55/53/10/382555310.db2.gz KDGHVSQTISPRBZ-UHFFFAOYSA-N 0 0 288.307 2.867 20 5 CFBDRN CC(C)(NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1cccc(F)c1 ZINC000346901185 382566064 /nfs/dbraw/zinc/56/60/64/382566064.db2.gz SQOAGWARBZMWAI-UHFFFAOYSA-N 0 0 291.282 2.727 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc2C1)[C@]12C[C@H]1CCC2 ZINC000421523237 382568442 /nfs/dbraw/zinc/56/84/42/382568442.db2.gz NOKXFGZNHOIKAX-CZUORRHYSA-N 0 0 286.331 2.670 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccon1 ZINC000421526951 382569362 /nfs/dbraw/zinc/56/93/62/382569362.db2.gz XTAJBRCLAVELBE-SNVBAGLBSA-N 0 0 289.291 2.691 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000346915794 382569737 /nfs/dbraw/zinc/56/97/37/382569737.db2.gz KHTMQOCFKNERSA-SNVBAGLBSA-N 0 0 290.241 2.974 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCc1scnc1C ZINC000295056685 382569975 /nfs/dbraw/zinc/56/99/75/382569975.db2.gz VAQAOHZKMCPRRN-UHFFFAOYSA-N 0 0 295.368 2.539 20 5 CFBDRN O=C([C@@H]1CC12CC2)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000421528749 382570306 /nfs/dbraw/zinc/57/03/06/382570306.db2.gz RNXJZMFFOWJKRO-ZDUSSCGKSA-N 0 0 286.331 2.886 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCS1 ZINC000421529357 382570461 /nfs/dbraw/zinc/57/04/61/382570461.db2.gz VSESXBVCBNSXSP-NSHDSACASA-N 0 0 294.376 2.837 20 5 CFBDRN CC1(C)C(CNC(=O)Cc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000358333637 382572249 /nfs/dbraw/zinc/57/22/49/382572249.db2.gz FCIVZZMBIPAJHH-UHFFFAOYSA-N 0 0 290.363 2.936 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000421429585 382533593 /nfs/dbraw/zinc/53/35/93/382533593.db2.gz RIRZJYGTBDSAQS-AXFHLTTASA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1ccn(C)c1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000189062225 382533998 /nfs/dbraw/zinc/53/39/98/382533998.db2.gz LTUOSZLCWFHGET-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC1CCC1 ZINC000421434296 382535437 /nfs/dbraw/zinc/53/54/37/382535437.db2.gz STKFXBLFTIZCGW-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N1CCCC12CCCCCC2 ZINC000367750310 382585648 /nfs/dbraw/zinc/58/56/48/382585648.db2.gz QVBOQGCADKQRLU-UHFFFAOYSA-N 0 0 292.339 2.647 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC[C@H](C)F ZINC000421575855 382586805 /nfs/dbraw/zinc/58/68/05/382586805.db2.gz OLISJMKXBMRQND-JTQLQIEISA-N 0 0 268.288 2.690 20 5 CFBDRN CC(F)(F)CCNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000295215451 382587705 /nfs/dbraw/zinc/58/77/05/382587705.db2.gz BAGPCKYECXUWKX-UHFFFAOYSA-N 0 0 286.278 2.689 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CC23CCCC3)cc1[N+](=O)[O-] ZINC000386967785 382594969 /nfs/dbraw/zinc/59/49/69/382594969.db2.gz AWTWKCZLTHNSFZ-ZDUSSCGKSA-N 0 0 289.335 2.699 20 5 CFBDRN C[N@H+](Cc1cccc([N+](=O)[O-])c1)C1(C(=O)[O-])CCCCC1 ZINC000387019638 382595793 /nfs/dbraw/zinc/59/57/93/382595793.db2.gz IIJIHPXSTPKLDP-UHFFFAOYSA-N 0 0 292.335 2.814 20 5 CFBDRN Cc1cccc(OC[C@@H](O)CC(F)(F)F)c1[N+](=O)[O-] ZINC000189411861 382605552 /nfs/dbraw/zinc/60/55/52/382605552.db2.gz MWDZIZYFQRZRSO-QMMMGPOBSA-N 0 0 279.214 2.595 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000388696627 382608618 /nfs/dbraw/zinc/60/86/18/382608618.db2.gz MJLMJBFQYDQFKW-JQWIXIFHSA-N 0 0 291.351 2.945 20 5 CFBDRN CO[C@H]1CC[C@@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000189435652 382608915 /nfs/dbraw/zinc/60/89/15/382608915.db2.gz CSJMYHKSCRERJX-PWSUYJOCSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@@H](NCc1csc([N+](=O)[O-])c1)C(C)(F)F ZINC000389466945 382615326 /nfs/dbraw/zinc/61/53/26/382615326.db2.gz KBMPXFVZDZDNPV-ZCFIWIBFSA-N 0 0 250.270 2.790 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/c1ccc[nH]1 ZINC000189507234 382617943 /nfs/dbraw/zinc/61/79/43/382617943.db2.gz QVHCPHQPRZVWLL-FNORWQNLSA-N 0 0 271.276 2.883 20 5 CFBDRN Cc1nnc(CNc2c(F)cc([N+](=O)[O-])cc2F)s1 ZINC000391908398 382621778 /nfs/dbraw/zinc/62/17/78/382621778.db2.gz KZMXWQFFQYPFKD-UHFFFAOYSA-N 0 0 286.263 2.645 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccc(F)cc2)s1 ZINC000189680457 382634729 /nfs/dbraw/zinc/63/47/29/382634729.db2.gz KEGVOWRJJRZFBS-UHFFFAOYSA-N 0 0 267.285 2.845 20 5 CFBDRN COc1cc(N[C@@H](CO)C2CCCC2)c(F)cc1[N+](=O)[O-] ZINC000395103480 382629306 /nfs/dbraw/zinc/62/93/06/382629306.db2.gz AUWLHDWXPXKDNY-LBPRGKRZSA-N 0 0 298.314 2.706 20 5 CFBDRN CC[C@H]1[C@H](CO)CCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000385908121 382579674 /nfs/dbraw/zinc/57/96/74/382579674.db2.gz HESUYKIWVZDXMJ-ONGXEEELSA-N 0 0 284.743 2.845 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCCCF ZINC000421557955 382579651 /nfs/dbraw/zinc/57/96/51/382579651.db2.gz OIWAQAKBMGDALJ-UHFFFAOYSA-N 0 0 268.288 2.691 20 5 CFBDRN CN(CC1CC1)c1ccc([N+](=O)[O-])c(N(C)CC2CC2)n1 ZINC000189974689 382648000 /nfs/dbraw/zinc/64/80/00/382648000.db2.gz XRAGNISQHMFBME-UHFFFAOYSA-N 0 0 290.367 2.682 20 5 CFBDRN Cc1cc(C(=O)N2CCSCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000190066076 382652350 /nfs/dbraw/zinc/65/23/50/382652350.db2.gz CBRQTUUYZMJUOR-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN CCCCCCC(=O)Cn1cc([N+](=O)[O-])cc(C)c1=O ZINC000190243818 382658455 /nfs/dbraw/zinc/65/84/55/382658455.db2.gz HSFUAQTXNKQDKT-UHFFFAOYSA-N 0 0 280.324 2.604 20 5 CFBDRN Cc1ccc(CN(C)c2ncc([N+](=O)[O-])s2)cc1 ZINC000190301512 382661313 /nfs/dbraw/zinc/66/13/13/382661313.db2.gz FYAYUOIZGAMPCK-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCCO[C@H]2C)cccc1[N+](=O)[O-] ZINC000190427114 382667671 /nfs/dbraw/zinc/66/76/71/382667671.db2.gz ZICVCXMXZGAAJG-WDEREUQCSA-N 0 0 278.308 2.657 20 5 CFBDRN CCC(CC)(CC)[S@@](=O)CCn1cc([N+](=O)[O-])cn1 ZINC000190571460 382673637 /nfs/dbraw/zinc/67/36/37/382673637.db2.gz KSHBMLKGQMBTJN-IBGZPJMESA-N 0 0 287.385 2.509 20 5 CFBDRN COc1ccc(OC[C@@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000190612583 382675063 /nfs/dbraw/zinc/67/50/63/382675063.db2.gz AWBBOSLQVRECQO-ONGXEEELSA-N 0 0 267.281 2.550 20 5 CFBDRN CC[C@H](C)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191015601 382688314 /nfs/dbraw/zinc/68/83/14/382688314.db2.gz LISUSSSGHVQZKM-QMMMGPOBSA-N 0 0 253.254 2.555 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000190676924 382677435 /nfs/dbraw/zinc/67/74/35/382677435.db2.gz NTMKCAVCTNURPF-CABZTGNLSA-N 0 0 279.292 2.744 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N1C2CCC1CC2 ZINC000398912255 382636834 /nfs/dbraw/zinc/63/68/34/382636834.db2.gz IIQRSRGLFWVZKF-UHFFFAOYSA-N 0 0 298.140 2.884 20 5 CFBDRN COCCN(CC(C)C)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000189717804 382637248 /nfs/dbraw/zinc/63/72/48/382637248.db2.gz XDPROVBUZPAEQP-UHFFFAOYSA-N 0 0 282.340 2.712 20 5 CFBDRN Cc1ccc(C(=O)N2CCSC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000191229743 382696527 /nfs/dbraw/zinc/69/65/27/382696527.db2.gz ZZMYRPOUSPSGEQ-SNVBAGLBSA-N 0 0 294.376 2.728 20 5 CFBDRN Cc1nc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2C)no1 ZINC000191265318 382697262 /nfs/dbraw/zinc/69/72/62/382697262.db2.gz ZEGUWJQYGNBYGD-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cc2C)n1 ZINC000191460162 382700672 /nfs/dbraw/zinc/70/06/72/382700672.db2.gz NECLLEPIYXIABW-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N(C(C)C)C2CCCC2)n1 ZINC000191498139 382700962 /nfs/dbraw/zinc/70/09/62/382700962.db2.gz BUELCLNJAUKICK-UHFFFAOYSA-N 0 0 294.355 2.604 20 5 CFBDRN C[C@@H]1CCSCCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000192354912 382707585 /nfs/dbraw/zinc/70/75/85/382707585.db2.gz LRIFUMIAPKFEIX-SNVBAGLBSA-N 0 0 280.349 2.562 20 5 CFBDRN CCCN(C(=O)[C@H]1CC[C@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000194122163 382735649 /nfs/dbraw/zinc/73/56/49/382735649.db2.gz WVRPEJFOOZRJDK-SMDDNHRTSA-N 0 0 292.335 2.905 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])s1)c1ccc(F)cc1 ZINC000192472976 382709250 /nfs/dbraw/zinc/70/92/50/382709250.db2.gz BQXWPKPODKZCGA-SNVBAGLBSA-N 0 0 297.311 2.990 20 5 CFBDRN O=[N+]([O-])c1cnc(N(C[C@@H]2CCCO2)C2CCCC2)s1 ZINC000192466605 382709254 /nfs/dbraw/zinc/70/92/54/382709254.db2.gz WCIYJQBZRXAAAE-NSHDSACASA-N 0 0 297.380 2.979 20 5 CFBDRN CCO[C@@H](CCNc1ncc([N+](=O)[O-])s1)C(C)C ZINC000192473943 382709279 /nfs/dbraw/zinc/70/92/79/382709279.db2.gz DJFKZVMCGJQTFJ-VIFPVBQESA-N 0 0 273.358 2.914 20 5 CFBDRN COC(C)(C)C[C@@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000192466044 382709334 /nfs/dbraw/zinc/70/93/34/382709334.db2.gz DDBHXBVLXUQIQT-SSDOTTSWSA-N 0 0 259.331 2.667 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@@H]1CNc1ncc([N+](=O)[O-])s1 ZINC000192478767 382709430 /nfs/dbraw/zinc/70/94/30/382709430.db2.gz FHQJRWNUTJLTPE-KOLCDFICSA-N 0 0 285.369 2.914 20 5 CFBDRN Cc1ccc([C@@H]2COCCN2c2ncc([N+](=O)[O-])s2)o1 ZINC000192478258 382709438 /nfs/dbraw/zinc/70/94/38/382709438.db2.gz BKGSLUQMZMFGSQ-VIFPVBQESA-N 0 0 295.320 2.531 20 5 CFBDRN CN1CCc2ccc(Nc3ncc([N+](=O)[O-])s3)cc21 ZINC000192521954 382709659 /nfs/dbraw/zinc/70/96/59/382709659.db2.gz HEELDFAKOPFZOG-UHFFFAOYSA-N 0 0 276.321 2.787 20 5 CFBDRN C[C@@](O)(CNc1ncc([N+](=O)[O-])s1)c1ccc(F)cc1 ZINC000192513702 382709703 /nfs/dbraw/zinc/70/97/03/382709703.db2.gz DROIYFQDOQZSPW-GFCCVEGCSA-N 0 0 297.311 2.510 20 5 CFBDRN C[C@@](O)(CNc1ncc([N+](=O)[O-])s1)C1CCCCC1 ZINC000192549671 382710021 /nfs/dbraw/zinc/71/00/21/382710021.db2.gz IINNPGMWMCNMES-GFCCVEGCSA-N 0 0 285.369 2.795 20 5 CFBDRN CCOC[C@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])C(C)C ZINC000192639274 382710923 /nfs/dbraw/zinc/71/09/23/382710923.db2.gz DLRWARFLEUHELO-LBPRGKRZSA-N 0 0 294.351 2.694 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])C12CCC2 ZINC000192795501 382712433 /nfs/dbraw/zinc/71/24/33/382712433.db2.gz GIZOTKOPHGLSAT-ZIAGYGMSSA-N 0 0 291.351 2.937 20 5 CFBDRN C[C@H](O)CSc1ccc(Br)cc1[N+](=O)[O-] ZINC000193091864 382715675 /nfs/dbraw/zinc/71/56/75/382715675.db2.gz NWWKCMAZVXZEME-LURJTMIESA-N 0 0 292.154 2.830 20 5 CFBDRN CC(C)CCCNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000193201287 382716901 /nfs/dbraw/zinc/71/69/01/382716901.db2.gz VEJSXAQMQOYQMR-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCc2ccc3c(c2)CCO3)c1 ZINC000193431730 382719905 /nfs/dbraw/zinc/71/99/05/382719905.db2.gz GOUSEHHQCXHMQZ-UHFFFAOYSA-N 0 0 299.330 2.767 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000193931847 382729957 /nfs/dbraw/zinc/72/99/57/382729957.db2.gz YBHHIZLLMAPFCQ-VHSXEESVSA-N 0 0 266.297 2.744 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCC[C@H]1C ZINC000193946427 382730404 /nfs/dbraw/zinc/73/04/04/382730404.db2.gz LYBCNNLVZFHYCN-PWSUYJOCSA-N 0 0 291.351 2.854 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCc2ccncc2)s1 ZINC000336844208 382733091 /nfs/dbraw/zinc/73/30/91/382733091.db2.gz YTKIQCRDZGSEDE-UHFFFAOYSA-N 0 0 287.304 2.607 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H]1CC[C@@H](C)O1 ZINC000191090547 382690996 /nfs/dbraw/zinc/69/09/96/382690996.db2.gz CUNQATLSGSDZIL-PWSUYJOCSA-N 0 0 251.282 2.850 20 5 CFBDRN CCOC(=O)CSc1cc(Cl)ccc1[N+](=O)[O-] ZINC000196344663 382770966 /nfs/dbraw/zinc/77/09/66/382770966.db2.gz BQKAJERIVYECOA-UHFFFAOYSA-N 0 0 275.713 2.903 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@@H]3CCOC3)ccnc21 ZINC000214729923 382776986 /nfs/dbraw/zinc/77/69/86/382776986.db2.gz HQLXQTRRQMWXMB-JTQLQIEISA-N 0 0 273.292 2.591 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@@H]3CCC[C@H]3O)ccnc21 ZINC000214767016 382777039 /nfs/dbraw/zinc/77/70/39/382777039.db2.gz LMYRQEAPPYTLDQ-IINYFYTJSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(N3CCCCC3)n2)cc1 ZINC000215284728 382778184 /nfs/dbraw/zinc/77/81/84/382778184.db2.gz KXZVKBLMROUABR-UHFFFAOYSA-N 0 0 274.280 2.635 20 5 CFBDRN CC[C@@H](C)N(CC(=O)OC)Cc1cccc([N+](=O)[O-])c1C ZINC000337219967 382778932 /nfs/dbraw/zinc/77/89/32/382778932.db2.gz BTIXAXGCNAYTAQ-LLVKDONJSA-N 0 0 294.351 2.677 20 5 CFBDRN COc1cccc(NCc2cc(C)ccn2)c1[N+](=O)[O-] ZINC000336907372 382740681 /nfs/dbraw/zinc/74/06/81/382740681.db2.gz YTTVZSLFSTZZMZ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCN(C)CC(F)F)c1 ZINC000336920552 382742437 /nfs/dbraw/zinc/74/24/37/382742437.db2.gz GOTSLHOBSOWDDQ-UHFFFAOYSA-N 0 0 287.310 2.536 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCCC[C@H]1OC(F)F ZINC000336928952 382743122 /nfs/dbraw/zinc/74/31/22/382743122.db2.gz ZKIBXKOOJXYMKE-WCBMZHEXSA-N 0 0 287.266 2.952 20 5 CFBDRN CCSCCCNc1ccc([N+](=O)[O-])c(N)c1F ZINC000336944280 382744728 /nfs/dbraw/zinc/74/47/28/382744728.db2.gz KOTOGOLDWZSKPQ-UHFFFAOYSA-N 0 0 273.333 2.871 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCNc2ccccc2F)s1 ZINC000337009622 382751545 /nfs/dbraw/zinc/75/15/45/382751545.db2.gz FBCQTUGTJVDFII-UHFFFAOYSA-N 0 0 296.327 2.526 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCO[C@H]1CCCCO1 ZINC000194710055 382752874 /nfs/dbraw/zinc/75/28/74/382752874.db2.gz YXUWISIOVNGHMU-ZDUSSCGKSA-N 0 0 267.281 2.517 20 5 CFBDRN Cc1cc(OCCO[C@@H]2CCCCO2)c(F)cc1[N+](=O)[O-] ZINC000194721652 382753333 /nfs/dbraw/zinc/75/33/33/382753333.db2.gz NKIZBZZFMMQACP-CQSZACIVSA-N 0 0 299.298 2.964 20 5 CFBDRN CS[C@H](CO)[C@@H](C)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000228324548 382846421 /nfs/dbraw/zinc/84/64/21/382846421.db2.gz JZQPSUFRSJMSSJ-GMSGAONNSA-N 0 0 290.772 2.773 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC2)c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000228486461 382846976 /nfs/dbraw/zinc/84/69/76/382846976.db2.gz ISRGCWJHYPOFTK-SNVBAGLBSA-N 0 0 273.292 2.652 20 5 CFBDRN Cc1cnc(NCCCCOC(C)C)c([N+](=O)[O-])c1 ZINC000228563316 382847955 /nfs/dbraw/zinc/84/79/55/382847955.db2.gz VEPQKOPRVCXDDL-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN Cc1c(N[C@H]2CCc3c2cccc3[N+](=O)[O-])cnn1C ZINC000577124085 382849034 /nfs/dbraw/zinc/84/90/34/382849034.db2.gz GJXBPFUMJGSUQW-LBPRGKRZSA-N 0 0 272.308 2.736 20 5 CFBDRN CCc1cnc(CNc2ncc([N+](=O)[O-])cc2Cl)o1 ZINC000228871346 382849399 /nfs/dbraw/zinc/84/93/99/382849399.db2.gz LOYQLRLTVRAGQD-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN CC(C)[C@@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000171932308 322416214 /nfs/dbraw/zinc/41/62/14/322416214.db2.gz PYXXOPKRXNDFCB-SNVBAGLBSA-N 0 0 250.298 2.503 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC[C@@H](O)C1 ZINC000223247593 382804727 /nfs/dbraw/zinc/80/47/27/382804727.db2.gz RRCIQORLENKCLK-DGCLKSJQSA-N 0 0 264.325 2.866 20 5 CFBDRN O=C(NOC1CCCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000223639258 382806380 /nfs/dbraw/zinc/80/63/80/382806380.db2.gz JXHXSFVWIQSQRU-UHFFFAOYSA-N 0 0 284.699 2.852 20 5 CFBDRN CC(C)C[C@H](O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000224287713 382809942 /nfs/dbraw/zinc/80/99/42/382809942.db2.gz DHUQXPAJBUGWFK-NSHDSACASA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337368856 382810842 /nfs/dbraw/zinc/81/08/42/382810842.db2.gz QQXYCVXNRMSSJV-VIFPVBQESA-N 0 0 268.288 2.898 20 5 CFBDRN COCCC1(CNc2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000225365265 382814713 /nfs/dbraw/zinc/81/47/13/382814713.db2.gz IIKCBDXAUOQUHZ-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN CCO[C@H](CC)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000576250608 382816558 /nfs/dbraw/zinc/81/65/58/382816558.db2.gz KQOYNKXAKKRPHX-QMTHXVAHSA-N 0 0 292.335 2.688 20 5 CFBDRN CC(C)C[C@H](C(=O)[O-])N(C)Cc1csc([N+](=O)[O-])c1 ZINC000576285371 382817215 /nfs/dbraw/zinc/81/72/15/382817215.db2.gz FCJPRSCCQZPQAQ-SNVBAGLBSA-N 0 0 286.353 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCC[C@H]1C ZINC000337457466 382824285 /nfs/dbraw/zinc/82/42/85/382824285.db2.gz PQKFOLKAKYJKNT-MWLCHTKSSA-N 0 0 293.323 2.914 20 5 CFBDRN CSC[C@@](C)(O)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000226570769 382830086 /nfs/dbraw/zinc/83/00/86/382830086.db2.gz HELRDVLMLPSNCX-NSHDSACASA-N 0 0 290.772 2.774 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)NC1CCCC1 ZINC000229551859 382851914 /nfs/dbraw/zinc/85/19/14/382851914.db2.gz AQRBGFQYECYBRH-UHFFFAOYSA-N 0 0 267.260 2.798 20 5 CFBDRN CC1(C[NH2+]Cc2csc([N+](=O)[O-])c2)CCOCC1 ZINC000227834591 382841068 /nfs/dbraw/zinc/84/10/68/382841068.db2.gz HMWNVMGFFNILIJ-UHFFFAOYSA-N 0 0 270.354 2.563 20 5 CFBDRN CC(C)C[C@H](CO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890061 382841882 /nfs/dbraw/zinc/84/18/82/382841882.db2.gz VYBSIAKPSRTIBD-MRVPVSSYSA-N 0 0 274.267 2.692 20 5 CFBDRN CC(C)C[C@@H](O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227889310 382841996 /nfs/dbraw/zinc/84/19/96/382841996.db2.gz HAZMJIBQWIRQGZ-SECBINFHSA-N 0 0 274.267 2.692 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])cc(C)c2F)CC1 ZINC000227882027 382842072 /nfs/dbraw/zinc/84/20/72/382842072.db2.gz IIBLQEIFGQNXGZ-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN C[C@H](O)CCCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000227993783 382843443 /nfs/dbraw/zinc/84/34/43/382843443.db2.gz LBKJCICGTOIVRO-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN COc1cc(NCC2(SC)CC2)c([N+](=O)[O-])cc1OC ZINC000227989674 382843498 /nfs/dbraw/zinc/84/34/98/382843498.db2.gz YNIDYRCFLIBVKQ-UHFFFAOYSA-N 0 0 298.364 2.920 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000533604543 382907275 /nfs/dbraw/zinc/90/72/75/382907275.db2.gz ZGHMZNDRDKMGGZ-LLVKDONJSA-N 0 0 280.324 2.619 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCCOC(C)C)c1C ZINC000577293192 382854422 /nfs/dbraw/zinc/85/44/22/382854422.db2.gz MNGTYKYKSOJOBC-UHFFFAOYSA-N 0 0 268.313 2.801 20 5 CFBDRN C[C@@H](C(=O)N1C[C@H](C)[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000577340645 382855836 /nfs/dbraw/zinc/85/58/36/382855836.db2.gz KJHKKOSIBQDHAX-GRYCIOLGSA-N 0 0 276.336 2.813 20 5 CFBDRN CCCC1(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000230150127 382856406 /nfs/dbraw/zinc/85/64/06/382856406.db2.gz RMXGLRRGHXOFGB-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN C[C@H](CO)CCC[NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000230161283 382856716 /nfs/dbraw/zinc/85/67/16/382856716.db2.gz XHFPWNGJQMBVAK-JTQLQIEISA-N 0 0 286.759 2.746 20 5 CFBDRN CSC[C@](C)(O)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000230198831 382856989 /nfs/dbraw/zinc/85/69/89/382856989.db2.gz QJQWHBNXROOERS-LLVKDONJSA-N 0 0 290.772 2.774 20 5 CFBDRN Cc1csc(=O)n1CCSc1cccc([N+](=O)[O-])c1 ZINC000337742078 382860211 /nfs/dbraw/zinc/86/02/11/382860211.db2.gz DLYKBDYJRQSQND-UHFFFAOYSA-N 0 0 296.373 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@@H](O)C2)cc1 ZINC000230909697 382863358 /nfs/dbraw/zinc/86/33/58/382863358.db2.gz WJUBYMQMEBBHFC-ZWNOBZJWSA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccc(Cl)s2)nc1 ZINC000230872841 382863372 /nfs/dbraw/zinc/86/33/72/382863372.db2.gz IQGAKIYGAOVGHB-UHFFFAOYSA-N 0 0 284.728 2.754 20 5 CFBDRN C[C@H](CCO)Sc1ncc([N+](=O)[O-])cc1Cl ZINC000230920478 382863710 /nfs/dbraw/zinc/86/37/10/382863710.db2.gz PMLAZVUAWBSQFG-ZCFIWIBFSA-N 0 0 262.718 2.506 20 5 CFBDRN Cc1noc(CCNc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000231900369 382867389 /nfs/dbraw/zinc/86/73/89/382867389.db2.gz UEPQVBKIBDNLRL-UHFFFAOYSA-N 0 0 282.687 2.594 20 5 CFBDRN COCC1(CCNC(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000577746400 382869609 /nfs/dbraw/zinc/86/96/09/382869609.db2.gz QUTDEWVGXCVCRF-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233451670 382871049 /nfs/dbraw/zinc/87/10/49/382871049.db2.gz NLGFVTYKBHVPQE-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CC[C@H](O)C2)c1[N+](=O)[O-] ZINC000233808802 382872098 /nfs/dbraw/zinc/87/20/98/382872098.db2.gz GIEVNDVWTRVCIF-MNOVXSKESA-N 0 0 280.324 2.566 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])cc(C)c2F)C1 ZINC000233941915 382872260 /nfs/dbraw/zinc/87/22/60/382872260.db2.gz DLRDLCXHRVFCMT-SNVBAGLBSA-N 0 0 280.299 2.914 20 5 CFBDRN CC[C@](C)(O)CNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000234116607 382872927 /nfs/dbraw/zinc/87/29/27/382872927.db2.gz JHDXYAKBDMSMNL-JTQLQIEISA-N 0 0 293.245 2.582 20 5 CFBDRN CCc1cnc(CNc2c([N+](=O)[O-])c(CC)nn2C)s1 ZINC000234257750 382873746 /nfs/dbraw/zinc/87/37/46/382873746.db2.gz OYQGWVDNOLJAJH-UHFFFAOYSA-N 0 0 295.368 2.522 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2(C(C)C)CC2)n1 ZINC000234577138 382874557 /nfs/dbraw/zinc/87/45/57/382874557.db2.gz DBTTZQWUIHYICA-UHFFFAOYSA-N 0 0 293.323 2.625 20 5 CFBDRN Cc1cn(CCCCOC(C)(C)C)nc1[N+](=O)[O-] ZINC000337938080 382874780 /nfs/dbraw/zinc/87/47/80/382874780.db2.gz LHRCRLYNFGGNCQ-UHFFFAOYSA-N 0 0 255.318 2.695 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H](C)C2CC2)c1F ZINC000235192418 382878144 /nfs/dbraw/zinc/87/81/44/382878144.db2.gz SWPWZULTGDZFMZ-MRVPVSSYSA-N 0 0 266.272 2.571 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000455203112 382878532 /nfs/dbraw/zinc/87/85/32/382878532.db2.gz XORCLLVTAJYJCS-DGCLKSJQSA-N 0 0 295.339 2.574 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@H]2C)c1F ZINC000235240515 382878698 /nfs/dbraw/zinc/87/86/98/382878698.db2.gz PYJBQBIIPCTZDW-SECBINFHSA-N 0 0 266.272 2.667 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@@H](F)C1 ZINC000578074035 382888156 /nfs/dbraw/zinc/88/81/56/382888156.db2.gz IEMXUOSFXRPLIS-SECBINFHSA-N 0 0 267.260 2.561 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCC2CCC2)c1F ZINC000235878868 382889208 /nfs/dbraw/zinc/88/92/08/382889208.db2.gz TUUPYXGGJBEVMK-UHFFFAOYSA-N 0 0 266.272 2.572 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000421668746 382890733 /nfs/dbraw/zinc/89/07/33/382890733.db2.gz ZXIHGDOOLCAABH-NEPJUHHUSA-N 0 0 292.335 2.591 20 5 CFBDRN CCc1nc(C(C)(C)NCc2cccc([N+](=O)[O-])c2)no1 ZINC000441220829 382901064 /nfs/dbraw/zinc/90/10/64/382901064.db2.gz BNFJNMOLVCXMKQ-UHFFFAOYSA-N 0 0 290.323 2.565 20 5 CFBDRN C[C@@H]1C[C@H](Sc2ncccc2[N+](=O)[O-])CCO1 ZINC000421844986 382943071 /nfs/dbraw/zinc/94/30/71/382943071.db2.gz PHJRPWKYPIDUBS-RKDXNWHRSA-N 0 0 254.311 2.649 20 5 CFBDRN CCCC1(CNC(=O)c2ccc([N+](=O)[O-])cc2OC)CC1 ZINC000495143459 382964552 /nfs/dbraw/zinc/96/45/52/382964552.db2.gz YCBIDQCDAWJHID-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC(C)(C)CF ZINC000421873039 382955944 /nfs/dbraw/zinc/95/59/44/382955944.db2.gz VWFCVPDYLBXGCT-UHFFFAOYSA-N 0 0 268.288 2.690 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)Cc1ccccn1 ZINC000338059645 382914350 /nfs/dbraw/zinc/91/43/50/382914350.db2.gz JVKVKTOSPSAEJL-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CCCc1[nH]ccc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000421752492 382915068 /nfs/dbraw/zinc/91/50/68/382915068.db2.gz SMBKJCDRZKOFPL-UHFFFAOYSA-N 0 0 287.319 2.805 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@H]1CF)c1cccc([N+](=O)[O-])c1 ZINC000578392303 382917032 /nfs/dbraw/zinc/91/70/32/382917032.db2.gz SGOVPOWEFNASFV-GWCFXTLKSA-N 0 0 280.299 2.659 20 5 CFBDRN C[C@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1cn[nH]c1 ZINC000494688567 382919671 /nfs/dbraw/zinc/91/96/71/382919671.db2.gz TYEUTLSMIVAVBM-QMMMGPOBSA-N 0 0 280.715 2.822 20 5 CFBDRN C[C@H]1OCCN(c2ccc3ncccc3c2[N+](=O)[O-])[C@@H]1C ZINC000534270750 382920063 /nfs/dbraw/zinc/92/00/63/382920063.db2.gz PJALIVWXACDDTA-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN Cc1nc(N[C@@H]2CC[C@@H](C)C[C@H]2C)ncc1[N+](=O)[O-] ZINC000578428200 382920247 /nfs/dbraw/zinc/92/02/47/382920247.db2.gz HNCDOGUEPGAAIZ-FXPVBKGRSA-N 0 0 264.329 2.930 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2cc(F)cc(Cl)c2)c1[N+](=O)[O-] ZINC000494736781 382924605 /nfs/dbraw/zinc/92/46/05/382924605.db2.gz DNXWCHPDPUOYQI-UHFFFAOYSA-N 0 0 298.661 2.671 20 5 CFBDRN Cc1ccc(CN2CCc3nc(C)ncc3C2)cc1[N+](=O)[O-] ZINC000534339585 382928323 /nfs/dbraw/zinc/92/83/23/382928323.db2.gz VDEHBZFMUCQCTK-UHFFFAOYSA-N 0 0 298.346 2.560 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)CCC(C)(C)O)cc1[N+](=O)[O-] ZINC000578592994 382934513 /nfs/dbraw/zinc/93/45/13/382934513.db2.gz HPBYIYJWCFPKHS-NSHDSACASA-N 0 0 294.351 2.632 20 5 CFBDRN Cc1ccc(NCCCOC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000338287338 382977099 /nfs/dbraw/zinc/97/70/99/382977099.db2.gz OQFBDNITSKLEOL-CYBMUJFWSA-N 0 0 294.351 2.758 20 5 CFBDRN COCCN(Cc1cncs1)c1ccccc1[N+](=O)[O-] ZINC000447815734 383011501 /nfs/dbraw/zinc/01/15/01/383011501.db2.gz GHCFXNBHNXSQDQ-UHFFFAOYSA-N 0 0 293.348 2.704 20 5 CFBDRN CCC1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000457286597 383012811 /nfs/dbraw/zinc/01/28/11/383012811.db2.gz YBNKRDQTDRJFQC-UHFFFAOYSA-N 0 0 282.727 2.659 20 5 CFBDRN O=C(NCCOCC1CCCC1)c1ccc([N+](=O)[O-])s1 ZINC000457143718 382970523 /nfs/dbraw/zinc/97/05/23/382970523.db2.gz CNMNTWGNYYKXTJ-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN CC1(C)CCC[C@]1(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000338733212 383032734 /nfs/dbraw/zinc/03/27/34/383032734.db2.gz CJDNPGZGDALRID-ZDUSSCGKSA-N 0 0 299.758 2.996 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1cc[nH]c(=O)c1 ZINC000338734095 383033017 /nfs/dbraw/zinc/03/30/17/383033017.db2.gz OXIFITONDMFRKV-SSDOTTSWSA-N 0 0 294.698 2.917 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1C[C@H]1c1ccccc1 ZINC000338768105 383043826 /nfs/dbraw/zinc/04/38/26/383043826.db2.gz FGRSMGNSYWQXKH-NEPJUHHUSA-N 0 0 272.308 2.544 20 5 CFBDRN CCC(C)(C)OCC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000457596364 383045576 /nfs/dbraw/zinc/04/55/76/383045576.db2.gz QKWLLMWGZXPOQZ-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN CCn1ccnc1CN[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000579918371 383066154 /nfs/dbraw/zinc/06/61/54/383066154.db2.gz LMGAMJUTZHDBCH-LBPRGKRZSA-N 0 0 288.351 2.970 20 5 CFBDRN CCOC1CC(C[N@@H+]2CCc3c(cccc3[N+](=O)[O-])C2)C1 ZINC000552068573 383068334 /nfs/dbraw/zinc/06/83/34/383068334.db2.gz URTUAAPXHYKJNB-UHFFFAOYSA-N 0 0 290.363 2.768 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000457314397 383020296 /nfs/dbraw/zinc/02/02/96/383020296.db2.gz YUJOQRPNKYXHJD-WFASDCNBSA-N 0 0 291.351 2.732 20 5 CFBDRN CC[C@@H](O)CCCNc1cccc(C)c1[N+](=O)[O-] ZINC000338672425 383027497 /nfs/dbraw/zinc/02/74/97/383027497.db2.gz CXEPPCOOCVLFGE-LLVKDONJSA-N 0 0 252.314 2.866 20 5 CFBDRN Cc1cccc(CN(C)c2ccc([N+](=O)[O-])cn2)c1 ZINC000339031334 383126026 /nfs/dbraw/zinc/12/60/26/383126026.db2.gz FTVXWZIKJHFGPK-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@](O)(C2CC2)C1 ZINC000596460741 383127247 /nfs/dbraw/zinc/12/72/47/383127247.db2.gz AECILDWNQBNYFN-OAHLLOKOSA-N 0 0 276.336 2.645 20 5 CFBDRN CN(C)C(=O)[C@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000609631405 383134629 /nfs/dbraw/zinc/13/46/29/383134629.db2.gz TTWHDFHAXXQTSD-UWVGGRQHSA-N 0 0 297.380 2.715 20 5 CFBDRN C[C@@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C[C@H]1C ZINC000339060002 383135546 /nfs/dbraw/zinc/13/55/46/383135546.db2.gz LPKMGJAQMIWKDS-GHMZBOCLSA-N 0 0 264.325 2.778 20 5 CFBDRN Cc1c([C@@H]2C[C@H]2Nc2cc(C)ccc2[N+](=O)[O-])cnn1C ZINC000339094332 383147350 /nfs/dbraw/zinc/14/73/50/383147350.db2.gz HOCAMUCABCFCAF-WCQYABFASA-N 0 0 286.335 2.913 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1(C2CCC2)CCC1 ZINC000458227134 383136328 /nfs/dbraw/zinc/13/63/28/383136328.db2.gz AKUPIBZQMWBGHL-UHFFFAOYSA-N 0 0 289.335 2.751 20 5 CFBDRN CCC1(c2ccccc2)CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000458232314 383137667 /nfs/dbraw/zinc/13/76/67/383137667.db2.gz RDXMHJHARWFVGQ-UHFFFAOYSA-N 0 0 299.330 2.727 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CC(C2CCC2)C1 ZINC000554523477 383139417 /nfs/dbraw/zinc/13/94/17/383139417.db2.gz ZIMIMLDORWJPTD-UHFFFAOYSA-N 0 0 289.335 2.536 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1ccncc1F)CC2 ZINC000449029114 383141415 /nfs/dbraw/zinc/14/14/15/383141415.db2.gz PXHGNULAWBKLOD-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])[C@H]1C ZINC000552885338 383093003 /nfs/dbraw/zinc/09/30/03/383093003.db2.gz LUIYTOFYTJHWPV-SCVCMEIPSA-N 0 0 291.351 2.930 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@H](C)[C@@H](C)C1 ZINC000584421257 383102147 /nfs/dbraw/zinc/10/21/47/383102147.db2.gz JJFVPNDXZVIGPA-UWVGGRQHSA-N 0 0 250.298 2.696 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000553567943 383114258 /nfs/dbraw/zinc/11/42/58/383114258.db2.gz SASOCRCEYPQNFV-MSRIBSCDSA-N 0 0 290.319 2.900 20 5 CFBDRN CC(C)c1ccc(CN2CC[C@@](F)(CO)C2)cc1[N+](=O)[O-] ZINC000339002537 383115332 /nfs/dbraw/zinc/11/53/32/383115332.db2.gz UAWUBBVTPAYCQC-HNNXBMFYSA-N 0 0 296.342 2.625 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2C[C@@H](OC(C)C)C2)cc1[N+](=O)[O-] ZINC000457853723 383118734 /nfs/dbraw/zinc/11/87/34/383118734.db2.gz MEBGGZTVMYAONL-BETUJISGSA-N 0 0 292.335 2.589 20 5 CFBDRN CO[C@H](Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)C1CC1 ZINC000424091986 383178183 /nfs/dbraw/zinc/17/81/83/383178183.db2.gz NZGQTUTYZJDVLB-OAHLLOKOSA-N 0 0 287.319 2.883 20 5 CFBDRN CC[C@@H](NC(=O)N1CC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000458498752 383198003 /nfs/dbraw/zinc/19/80/03/383198003.db2.gz JMNIBOINLIHHSP-WCQYABFASA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccsc1 ZINC000619758144 383148124 /nfs/dbraw/zinc/14/81/24/383148124.db2.gz VEGBZWPUPNJXIY-MRVPVSSYSA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000458270355 383148512 /nfs/dbraw/zinc/14/85/12/383148512.db2.gz DUAIULHOUXORSL-WXHSDQCUSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000458273364 383148921 /nfs/dbraw/zinc/14/89/21/383148921.db2.gz ICSDNOJEYHUBOX-SUZMYJTESA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000458293259 383154313 /nfs/dbraw/zinc/15/43/13/383154313.db2.gz ZQXCOLSZOYSWHL-ICCXJUOJSA-N 0 0 260.293 2.513 20 5 CFBDRN C[C@@H]1CC[C@@H]([N@H+](C)Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000339123468 383158294 /nfs/dbraw/zinc/15/82/94/383158294.db2.gz FYGHUAIBWMCBQO-ZYHUDNBSSA-N 0 0 264.325 2.921 20 5 CFBDRN C[C@@]1(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC=CCC1 ZINC000458318238 383161951 /nfs/dbraw/zinc/16/19/51/383161951.db2.gz RHMMFHOAPWHSBF-CQSZACIVSA-N 0 0 278.283 2.963 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)C[C@@H]1F)c1ccccc1[N+](=O)[O-] ZINC000424758158 383253079 /nfs/dbraw/zinc/25/30/79/383253079.db2.gz RSKRKQHWESYPJV-QWRKXWFKSA-N 0 0 266.272 2.520 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)CCCOC ZINC000567901673 383328372 /nfs/dbraw/zinc/32/83/72/383328372.db2.gz QXGMLQVPQGYRSU-JTQLQIEISA-N 0 0 295.339 2.638 20 5 CFBDRN CCO[C@@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C[C@H]1C ZINC000449492698 383330809 /nfs/dbraw/zinc/33/08/09/383330809.db2.gz JWUPWPFBIIZSAB-IAQYHMDHSA-N 0 0 294.351 2.547 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000425155999 383334812 /nfs/dbraw/zinc/33/48/12/383334812.db2.gz MTHIYXVTXNOJGW-ILWJIGKKSA-N 0 0 292.360 2.845 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000425159332 383335525 /nfs/dbraw/zinc/33/55/25/383335525.db2.gz QGBNOHVYHIOTSX-AKZRSSKFSA-N 0 0 280.711 2.777 20 5 CFBDRN O=C(NCCCC1CC1)NCc1ccccc1[N+](=O)[O-] ZINC000522906496 383344056 /nfs/dbraw/zinc/34/40/56/383344056.db2.gz UZKZXAWOCUPJRQ-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000568011491 383344337 /nfs/dbraw/zinc/34/43/37/383344337.db2.gz QKUQHAFEAJXGTH-SECBINFHSA-N 0 0 250.298 2.759 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1C[C@H]1C1CCC1 ZINC000424905118 383294558 /nfs/dbraw/zinc/29/45/58/383294558.db2.gz JGEOVFSBKPZHSD-ZFWWWQNUSA-N 0 0 288.347 2.690 20 5 CFBDRN CC1(C)C[C@@H](NCc2ncccn2)c2cc([N+](=O)[O-])ccc21 ZINC000521464237 383297389 /nfs/dbraw/zinc/29/73/89/383297389.db2.gz OOVIQXAGXRWKJY-CQSZACIVSA-N 0 0 298.346 2.897 20 5 CFBDRN C[C@H](c1cccs1)N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000339741938 383303649 /nfs/dbraw/zinc/30/36/49/383303649.db2.gz FHQKVKYJCSLYCC-SECBINFHSA-N 0 0 293.348 2.828 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000424984822 383304532 /nfs/dbraw/zinc/30/45/32/383304532.db2.gz UPHQESLIFZJJHZ-XHDPSFHLSA-N 0 0 299.330 2.995 20 5 CFBDRN COc1cc(C)nc(CSc2ncccc2[N+](=O)[O-])c1 ZINC000339745743 383305038 /nfs/dbraw/zinc/30/50/38/383305038.db2.gz ZEWYKMRXTJNWSK-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000567750038 383311710 /nfs/dbraw/zinc/31/17/10/383311710.db2.gz FHVHIPJSJOCTPK-ZYHUDNBSSA-N 0 0 291.351 2.706 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCC[C@@H](O)CC1 ZINC000339789009 383318484 /nfs/dbraw/zinc/31/84/84/383318484.db2.gz USWVLSVIFGNWRP-GFCCVEGCSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1c(CC(=O)N2CC(CC(F)F)C2)cccc1[N+](=O)[O-] ZINC000425074942 383318999 /nfs/dbraw/zinc/31/89/99/383318999.db2.gz JCQJDXXUBLUPSY-UHFFFAOYSA-N 0 0 298.289 2.559 20 5 CFBDRN CO[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)CC[C@H]1C ZINC000251843915 383397604 /nfs/dbraw/zinc/39/76/04/383397604.db2.gz MYRBSMMCCBUYBK-MWLCHTKSSA-N 0 0 270.354 2.513 20 5 CFBDRN CCn1cc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000568466546 383404061 /nfs/dbraw/zinc/40/40/61/383404061.db2.gz UKBRYIDIEZQDPB-JTQLQIEISA-N 0 0 288.307 2.553 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450440653 383412137 /nfs/dbraw/zinc/41/21/37/383412137.db2.gz PTIYRQXMHSCAPG-UWVGGRQHSA-N 0 0 275.308 2.714 20 5 CFBDRN CC[C@@H](CC(F)(F)F)Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000450440783 383412282 /nfs/dbraw/zinc/41/22/82/383412282.db2.gz RAGIGFZJYKFKGU-ZETCQYMHSA-N 0 0 278.234 2.836 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000340096469 383352628 /nfs/dbraw/zinc/35/26/28/383352628.db2.gz VNHMKGDMYMNJTD-NWDGAFQWSA-N 0 0 293.367 2.871 20 5 CFBDRN CCOC(=O)c1cc(N(C)CC2CC2)ccc1[N+](=O)[O-] ZINC000467802338 383355513 /nfs/dbraw/zinc/35/55/13/383355513.db2.gz DIWLCVLNCYXLQO-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N[C@@H]1CC12CC2 ZINC000425272422 383356226 /nfs/dbraw/zinc/35/62/26/383356226.db2.gz KRHDXNZPSSFLSU-MRVPVSSYSA-N 0 0 284.113 2.717 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@@H]1CCCC[C@H]1C ZINC000340108342 383357687 /nfs/dbraw/zinc/35/76/87/383357687.db2.gz HYHPKAMJKLDKMA-VXGBXAGGSA-N 0 0 293.367 2.974 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC(CC(F)F)C3)nc2c1 ZINC000425290088 383360087 /nfs/dbraw/zinc/36/00/87/383360087.db2.gz WWNJGVAEVJMKOB-UHFFFAOYSA-N 0 0 282.250 2.563 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@H]1CCCC[C@@H]1C ZINC000340129464 383361364 /nfs/dbraw/zinc/36/13/64/383361364.db2.gz DWJNWGUUKWBTKQ-CMPLNLGQSA-N 0 0 279.340 2.964 20 5 CFBDRN COC(=O)CSCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000523793535 383361634 /nfs/dbraw/zinc/36/16/34/383361634.db2.gz KXEFJOBDXXQYFL-UHFFFAOYSA-N 0 0 275.713 2.654 20 5 CFBDRN Cc1ccc(CN(C)c2ccncc2[N+](=O)[O-])cc1 ZINC000340150954 383363512 /nfs/dbraw/zinc/36/35/12/383363512.db2.gz JKOYDDYMFRTDGO-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ccc2ccccc2n1 ZINC000340150901 383363526 /nfs/dbraw/zinc/36/35/26/383363526.db2.gz FRNJMLDUNAJBSK-UHFFFAOYSA-N 0 0 280.287 2.572 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NC(C)(C)c1cccs1 ZINC000523955682 383364563 /nfs/dbraw/zinc/36/45/63/383364563.db2.gz DGWWWQBQWINWBV-UHFFFAOYSA-N 0 0 293.348 2.660 20 5 CFBDRN CCC(CC)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CC1 ZINC000524256834 383369426 /nfs/dbraw/zinc/36/94/26/383369426.db2.gz RRNVSPBOSGTLHV-UHFFFAOYSA-N 0 0 265.313 2.716 20 5 CFBDRN Cc1cc(Cc2noc(-c3ccc([N+](=O)[O-])cc3)n2)no1 ZINC000340182364 383372055 /nfs/dbraw/zinc/37/20/55/383372055.db2.gz NLABEYTXLRMDEJ-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN CCCC(CCC)Sc1ncc([N+](=O)[O-])c(N)n1 ZINC000524412264 383372540 /nfs/dbraw/zinc/37/25/40/383372540.db2.gz WMLVPCJJMRIHCL-UHFFFAOYSA-N 0 0 270.358 2.858 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CC=C(C(C)(C)C)CC1 ZINC000340190428 383375559 /nfs/dbraw/zinc/37/55/59/383375559.db2.gz FGGCBQRHURQVDY-UHFFFAOYSA-N 0 0 278.356 2.507 20 5 CFBDRN CC1CCC(C)(CNC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000526023716 383422753 /nfs/dbraw/zinc/42/27/53/383422753.db2.gz QRMREJBRJISZDH-UHFFFAOYSA-N 0 0 291.351 2.936 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC2CC(C)(F)C2)n1 ZINC000450583832 291826782 /nfs/dbraw/zinc/82/67/82/291826782.db2.gz VYSXMVMZNIRLTO-UHFFFAOYSA-N 0 0 253.277 2.909 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000450485191 383432005 /nfs/dbraw/zinc/43/20/05/383432005.db2.gz OHERGGBKIMSISJ-HBYGRHMLSA-N 0 0 290.319 2.521 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1Cl ZINC000340475748 383434342 /nfs/dbraw/zinc/43/43/42/383434342.db2.gz YGVRLEJJOGKYHB-UHFFFAOYSA-N 0 0 295.682 2.837 20 5 CFBDRN CC(C)Oc1nc(N2CCC(CF)CC2)ccc1[N+](=O)[O-] ZINC000569259269 383482331 /nfs/dbraw/zinc/48/23/31/383482331.db2.gz XTSGCYPLWSXKFU-UHFFFAOYSA-N 0 0 297.330 2.963 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCO[C@@H](C)C1 ZINC000569000631 383459323 /nfs/dbraw/zinc/45/93/23/383459323.db2.gz LKEYUOMASIEFJK-VHSXEESVSA-N 0 0 266.297 2.583 20 5 CFBDRN CC[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])C(F)F ZINC000450558426 383461606 /nfs/dbraw/zinc/46/16/06/383461606.db2.gz DNQOUZXSSPXPQK-RXMQYKEDSA-N 0 0 276.268 2.760 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)(C)C ZINC000569075394 383464370 /nfs/dbraw/zinc/46/43/70/383464370.db2.gz BQENVAOMGZBDCB-SNVBAGLBSA-N 0 0 281.312 2.522 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC(C)=C[C@@H](C)C2)c1 ZINC000485425843 383464737 /nfs/dbraw/zinc/46/47/37/383464737.db2.gz KETOUTSZGBLIMQ-SNVBAGLBSA-N 0 0 290.319 2.784 20 5 CFBDRN CC1(F)CC(Nc2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000450577867 383467652 /nfs/dbraw/zinc/46/76/52/383467652.db2.gz HZCQYXQCKVGCHY-UHFFFAOYSA-N 0 0 275.283 2.867 20 5 CFBDRN CC1(F)CC(Nc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000450581735 383470385 /nfs/dbraw/zinc/47/03/85/383470385.db2.gz QIGQRTWETPCDIO-UHFFFAOYSA-N 0 0 275.283 2.867 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC2(CC2)C1 ZINC000450585862 383471191 /nfs/dbraw/zinc/47/11/91/383471191.db2.gz WWCQWIOURUVVKM-UHFFFAOYSA-N 0 0 255.277 2.743 20 5 CFBDRN CCOC(=O)c1cc(NC[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000569163918 383473276 /nfs/dbraw/zinc/47/32/76/383473276.db2.gz QZFFJVVKNGEXPO-ZJUUUORDSA-N 0 0 278.308 2.839 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC[C@H]1CCc2ccccc21 ZINC000450595312 383474437 /nfs/dbraw/zinc/47/44/37/383474437.db2.gz KJDYZDCLNDLTAU-GFCCVEGCSA-N 0 0 286.335 2.860 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N1CC[C@H](F)C1 ZINC000450604098 383477905 /nfs/dbraw/zinc/47/79/05/383477905.db2.gz JILBEIKECDQTBX-VIFPVBQESA-N 0 0 291.282 2.700 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC(OC(F)F)C1 ZINC000450465936 383422261 /nfs/dbraw/zinc/42/22/61/383422261.db2.gz HIFLHLMZYVVVJD-UHFFFAOYSA-N 0 0 295.245 2.571 20 5 CFBDRN CC(C)(CNc1ncccc1[N+](=O)[O-])C1(O)CCCC1 ZINC000450910426 383545833 /nfs/dbraw/zinc/54/58/33/383545833.db2.gz AEDZFMGFKGDENY-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN CO[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@H]1C ZINC000450751531 383485650 /nfs/dbraw/zinc/48/56/50/383485650.db2.gz DULWFCCWQHNUOB-BXKDBHETSA-N 0 0 268.288 2.595 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]([C@H](CO)C1CC1)C1CC1 ZINC000450768400 383486872 /nfs/dbraw/zinc/48/68/72/383486872.db2.gz LPHCNPYJYKQMEC-RISCZKNCSA-N 0 0 294.326 2.943 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C[C@@H]1C ZINC000450774314 383487802 /nfs/dbraw/zinc/48/78/02/383487802.db2.gz OBCLTQPGHNRTNH-SMDDNHRTSA-N 0 0 264.325 2.764 20 5 CFBDRN CC(C)C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000569914832 383549605 /nfs/dbraw/zinc/54/96/05/383549605.db2.gz PTCRPOJUHWUIAK-WCQYABFASA-N 0 0 279.340 2.995 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CC23CCOCC3)c([N+](=O)[O-])c1 ZINC000450793322 383492797 /nfs/dbraw/zinc/49/27/97/383492797.db2.gz LIJGMZPROXKTCA-AWEZNQCLSA-N 0 0 290.319 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](C3CC3)[C@@H]2C2CC2)cn1 ZINC000450791844 383492863 /nfs/dbraw/zinc/49/28/63/383492863.db2.gz JKGWBAKMUHCQKJ-JSGCOSHPSA-N 0 0 259.309 2.615 20 5 CFBDRN C[C@@H]1C[C@@H](CN2CCc3c2cccc3[N+](=O)[O-])CCO1 ZINC000488110566 383495987 /nfs/dbraw/zinc/49/59/87/383495987.db2.gz MYFFUEXIZNFVIW-NEPJUHHUSA-N 0 0 276.336 2.772 20 5 CFBDRN Cc1ccc2c(c1)CCN(c1ccncc1[N+](=O)[O-])C2 ZINC000340872870 383497666 /nfs/dbraw/zinc/49/76/66/383497666.db2.gz PVMFLFCRILVGOU-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000569464556 383502719 /nfs/dbraw/zinc/50/27/19/383502719.db2.gz YNMSALCXNKKUOH-NOZJJQNGSA-N 0 0 270.304 2.943 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1CC[C@H](F)C1)CCN2 ZINC000340940172 383506990 /nfs/dbraw/zinc/50/69/90/383506990.db2.gz BUGROIONISKSKE-DTWKUNHWSA-N 0 0 265.288 2.865 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])cc2Cl)ncn1 ZINC000488259859 383509839 /nfs/dbraw/zinc/50/98/39/383509839.db2.gz CWIKYHKXCAWNAV-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CCn1ccnc1[C@H](C)Nc1c([N+](=O)[O-])c(C)nn1CC ZINC000450834775 383511655 /nfs/dbraw/zinc/51/16/55/383511655.db2.gz VMGDWGQERHYCFA-JTQLQIEISA-N 0 0 292.343 2.509 20 5 CFBDRN Cc1cc(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)ncn1 ZINC000488275620 383512227 /nfs/dbraw/zinc/51/22/27/383512227.db2.gz AOGFDFUYHQLAKS-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1[C@@H](C)C[C@@H]1C ZINC000335187969 291828003 /nfs/dbraw/zinc/82/80/03/291828003.db2.gz ATXYOKOGGHGHJS-QWRGUYRKSA-N 0 0 262.309 2.835 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000450854521 383522214 /nfs/dbraw/zinc/52/22/14/383522214.db2.gz CVPSIJURRHOVTH-SMDDNHRTSA-N 0 0 289.335 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2CC(F)(F)C2)cc1CO ZINC000450925188 383551370 /nfs/dbraw/zinc/55/13/70/383551370.db2.gz IDUXUGYGRNTEIH-UHFFFAOYSA-N 0 0 273.235 2.511 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000569727192 383531794 /nfs/dbraw/zinc/53/17/94/383531794.db2.gz KTZFMAIRAVRALJ-BDAKNGLRSA-N 0 0 298.368 2.513 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCC[C@@H]2CCOC2)n1 ZINC000450889500 383536604 /nfs/dbraw/zinc/53/66/04/383536604.db2.gz RAYUPMXXSRQFTA-GFCCVEGCSA-N 0 0 279.340 2.835 20 5 CFBDRN CCCc1nc(C)c(Cn2cc([N+](=O)[O-])c(C3CC3)n2)o1 ZINC000450901545 383543002 /nfs/dbraw/zinc/54/30/02/383543002.db2.gz JCOANWYBGDMQDX-UHFFFAOYSA-N 0 0 290.323 2.966 20 5 CFBDRN Cc1cc(Nc2cn(C)nc2C(C)C)ncc1[N+](=O)[O-] ZINC000280856218 195003514 /nfs/dbraw/zinc/00/35/14/195003514.db2.gz DGEPRKLFFBLIOZ-UHFFFAOYSA-N 0 0 275.312 2.899 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341126968 383575615 /nfs/dbraw/zinc/57/56/15/383575615.db2.gz MUKPJFHHWJCURT-LLVKDONJSA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1nsc(C)c1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000429898526 383577288 /nfs/dbraw/zinc/57/72/88/383577288.db2.gz WZDRRPWNIAWMCR-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1noc(OCc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000488810046 383578521 /nfs/dbraw/zinc/57/85/21/383578521.db2.gz PFEGJTJBMDSTLB-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN CC(C)O[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000570207845 383581939 /nfs/dbraw/zinc/58/19/39/383581939.db2.gz TWAGOKAMAYCJJW-LLVKDONJSA-N 0 0 270.354 2.656 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCSC[C@@H]1C ZINC000430220979 383590608 /nfs/dbraw/zinc/59/06/08/383590608.db2.gz RFOPIPGKZVOGDT-JTQLQIEISA-N 0 0 282.365 2.541 20 5 CFBDRN CCCCC[C@@H]1CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000489291489 383603662 /nfs/dbraw/zinc/60/36/62/383603662.db2.gz MBTZEMBSODQWKV-LLVKDONJSA-N 0 0 294.355 2.811 20 5 CFBDRN CCc1nc(CNC(=O)c2cccc([N+](=O)[O-])c2)cs1 ZINC000341075984 383555947 /nfs/dbraw/zinc/55/59/47/383555947.db2.gz ZGPVWBPHNHPLAD-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN COC[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2C)CC1 ZINC000450949493 383564778 /nfs/dbraw/zinc/56/47/78/383564778.db2.gz SSEVYNOGTFUEBL-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN CC(C)[N@@H+]1CC[C@H](N(C)c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000450954618 383566491 /nfs/dbraw/zinc/56/64/91/383566491.db2.gz NSBQZGLWTDDBBN-JTQLQIEISA-N 0 0 298.774 2.562 20 5 CFBDRN CC(C)C[C@H](C(=O)[O-])[N@H+](C)Cc1ccc([N+](=O)[O-])cc1 ZINC000570099936 383570868 /nfs/dbraw/zinc/57/08/68/383570868.db2.gz DJHOVVQKHDUODX-CYBMUJFWSA-N 0 0 280.324 2.526 20 5 CFBDRN Cc1ccc(C[N@H+]2CCC[C@@H](C(=O)[O-])[C@H]2C)cc1[N+](=O)[O-] ZINC000263017597 383649957 /nfs/dbraw/zinc/64/99/57/383649957.db2.gz DISSYQLHILYXSK-DGCLKSJQSA-N 0 0 292.335 2.588 20 5 CFBDRN CN(CC1CC1)c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000570958876 383652075 /nfs/dbraw/zinc/65/20/75/383652075.db2.gz RTSBGNICPQRNGA-UHFFFAOYSA-N 0 0 261.325 2.715 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000280908423 195023127 /nfs/dbraw/zinc/02/31/27/195023127.db2.gz GNUUUSYOTZKBGQ-HOSYDEDBSA-N 0 0 277.324 2.754 20 5 CFBDRN CN(C(=O)CCc1cccc([N+](=O)[O-])c1)[C@@H]1CC1(C)C ZINC000489400368 383614731 /nfs/dbraw/zinc/61/47/31/383614731.db2.gz WDQGNUFCXGMFQB-CYBMUJFWSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H](S[C@@H]1CCCNC1=O)c1cccc([N+](=O)[O-])c1 ZINC000489602225 383629939 /nfs/dbraw/zinc/62/99/39/383629939.db2.gz IBWLPUSNBBJRNI-BXKDBHETSA-N 0 0 280.349 2.668 20 5 CFBDRN CC(C)Oc1nc(S[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000570739198 383630904 /nfs/dbraw/zinc/63/09/04/383630904.db2.gz LPVHTTHSNXKMEF-SECBINFHSA-N 0 0 284.337 2.658 20 5 CFBDRN O=C(CSC1CC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000451525914 383635475 /nfs/dbraw/zinc/63/54/75/383635475.db2.gz BGEGOBARACKLEG-UHFFFAOYSA-N 0 0 270.285 2.568 20 5 CFBDRN Cc1ccc(-c2nc(C[C@H]3CCCO3)no2)cc1[N+](=O)[O-] ZINC000264561516 383755087 /nfs/dbraw/zinc/75/50/87/383755087.db2.gz AICHUUFGVKCUHQ-LLVKDONJSA-N 0 0 289.291 2.675 20 5 CFBDRN Cc1noc(C)c1CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000264570144 383756578 /nfs/dbraw/zinc/75/65/78/383756578.db2.gz WXOGQQPOWAYDED-UHFFFAOYSA-N 0 0 279.271 2.993 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H](C)CCC(C)C)c1[N+](=O)[O-] ZINC000264586344 383758497 /nfs/dbraw/zinc/75/84/97/383758497.db2.gz BTHCTBNNGFSNPS-SNVBAGLBSA-N 0 0 296.371 2.825 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]1C ZINC000434978218 383772760 /nfs/dbraw/zinc/77/27/60/383772760.db2.gz MISRIXKPBRZCBI-MXWKQRLJSA-N 0 0 279.340 2.725 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCCCCOC ZINC000280936330 195036536 /nfs/dbraw/zinc/03/65/36/195036536.db2.gz FIFFDHLJALMGES-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN CC(C)(C)OCCn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000571046453 383661677 /nfs/dbraw/zinc/66/16/77/383661677.db2.gz HPGHUQLHGOLXPN-UHFFFAOYSA-N 0 0 290.319 2.725 20 5 CFBDRN CC(C)n1ncnc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000280940425 195039277 /nfs/dbraw/zinc/03/92/77/195039277.db2.gz IJHAUWQNBRKCIE-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C1(C)C ZINC000571124675 383665646 /nfs/dbraw/zinc/66/56/46/383665646.db2.gz AZQDMVPGPDHZDJ-CHWSQXEVSA-N 0 0 291.351 2.788 20 5 CFBDRN COc1ccncc1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000431406281 383668175 /nfs/dbraw/zinc/66/81/75/383668175.db2.gz TTZZGSCSCNOLIG-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000436331768 383806132 /nfs/dbraw/zinc/80/61/32/383806132.db2.gz ODPHGTPKBYBTHY-WDEREUQCSA-N 0 0 295.339 2.606 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000436331765 383806278 /nfs/dbraw/zinc/80/62/78/383806278.db2.gz ODPHGTPKBYBTHY-QWRGUYRKSA-N 0 0 295.339 2.606 20 5 CFBDRN Cc1cc(-c2nc(C[C@H]3CCCO3)no2)cc([N+](=O)[O-])c1 ZINC000264958654 383807782 /nfs/dbraw/zinc/80/77/82/383807782.db2.gz CCLWICNRFGFNPV-GFCCVEGCSA-N 0 0 289.291 2.675 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCc1scnc1C ZINC000264978047 383810706 /nfs/dbraw/zinc/81/07/06/383810706.db2.gz GIBBYJKFHFJKRK-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1C2CCC1CC2 ZINC000531022379 383811312 /nfs/dbraw/zinc/81/13/12/383811312.db2.gz VWCUAWTVSYMCPO-UHFFFAOYSA-N 0 0 274.320 2.681 20 5 CFBDRN CCCc1nc(-c2ccc([N+](=O)[O-])c3c2CCCN3)no1 ZINC000453242087 383812682 /nfs/dbraw/zinc/81/26/82/383812682.db2.gz VHSSNSPLRQUWNS-UHFFFAOYSA-N 0 0 288.307 2.955 20 5 CFBDRN C[C@H]1CN(C(=O)NCc2cccc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000531079158 383816311 /nfs/dbraw/zinc/81/63/11/383816311.db2.gz IKVIJIUOTKIWJJ-LLVKDONJSA-N 0 0 291.351 2.925 20 5 CFBDRN COc1ccc(CNc2ncc([N+](=O)[O-])cc2Cl)nc1 ZINC000436437901 383818758 /nfs/dbraw/zinc/81/87/58/383818758.db2.gz VVNJCZMENDYVCS-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN COCC(C)(C)c1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000453265935 383823316 /nfs/dbraw/zinc/82/33/16/383823316.db2.gz XDJPKBTWJXMKJE-UHFFFAOYSA-N 0 0 291.307 2.877 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000264770613 383781268 /nfs/dbraw/zinc/78/12/68/383781268.db2.gz FZPZZIYFOXPVHH-NOZJJQNGSA-N 0 0 252.314 2.722 20 5 CFBDRN Cn1ncc2c1CCC[C@H]2Nc1cccc(F)c1[N+](=O)[O-] ZINC000264794952 383783273 /nfs/dbraw/zinc/78/32/73/383783273.db2.gz BHHRUGBNPJNVAS-LLVKDONJSA-N 0 0 290.298 2.957 20 5 CFBDRN Cc1c(NC(=O)c2ccn(C(C)C)n2)cccc1[N+](=O)[O-] ZINC000264877096 383795537 /nfs/dbraw/zinc/79/55/37/383795537.db2.gz IWPRPYGLJDGUPG-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCc2c(F)cccc21 ZINC000530895251 383802166 /nfs/dbraw/zinc/80/21/66/383802166.db2.gz DLFAFXDUYWOYLK-UHFFFAOYSA-N 0 0 290.250 2.920 20 5 CFBDRN Cc1cc(=O)n(C[C@@H](C)CC(C)C)cc1[N+](=O)[O-] ZINC000281013196 195069196 /nfs/dbraw/zinc/06/91/96/195069196.db2.gz OVFKUSAFKJGNOP-JTQLQIEISA-N 0 0 252.314 2.747 20 5 CFBDRN CCNc1nnc(Sc2nccc(C)c2[N+](=O)[O-])s1 ZINC000265185208 383855066 /nfs/dbraw/zinc/85/50/66/383855066.db2.gz JVSSIEUNNODUES-UHFFFAOYSA-N 0 0 297.365 2.733 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@H](c2cccnc2)C1 ZINC000573002868 383857529 /nfs/dbraw/zinc/85/75/29/383857529.db2.gz YNVNDGPRMXBGFB-ZDUSSCGKSA-N 0 0 297.314 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCNc2ccncc2Cl)cc1 ZINC000531408080 383863741 /nfs/dbraw/zinc/86/37/41/383863741.db2.gz NPFXYGGNSVTISG-UHFFFAOYSA-N 0 0 293.710 2.556 20 5 CFBDRN COC1([C@@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2)CCC1 ZINC000413803818 533013783 /nfs/dbraw/zinc/01/37/83/533013783.db2.gz XQODLSJTEOBNQQ-SNVBAGLBSA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2nc3ccccc3[nH]2)n1 ZINC000531694363 383906744 /nfs/dbraw/zinc/90/67/44/383906744.db2.gz WWAIYKUSVXSFFW-UHFFFAOYSA-N 0 0 297.318 2.811 20 5 CFBDRN CCC(C)(C)OCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000454139700 383912049 /nfs/dbraw/zinc/91/20/49/383912049.db2.gz PFWSSPVBASQSEN-UHFFFAOYSA-N 0 0 295.339 2.922 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000454142614 383914604 /nfs/dbraw/zinc/91/46/04/383914604.db2.gz IAZSGVBLYOEVHF-DGCLKSJQSA-N 0 0 295.339 2.574 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](CO)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000265437630 383915592 /nfs/dbraw/zinc/91/55/92/383915592.db2.gz XWVBRSWBANOHFX-ZDUSSCGKSA-N 0 0 280.324 2.616 20 5 CFBDRN COc1ccc(CNC(=O)[C@@H](C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000265324571 383895277 /nfs/dbraw/zinc/89/52/77/383895277.db2.gz VLRKBHMDNZHFOK-SNVBAGLBSA-N 0 0 294.351 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccsc3)no2)nc1 ZINC000531629526 383900640 /nfs/dbraw/zinc/90/06/40/383900640.db2.gz DCGWYXHQMOCRFB-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC2(C3CC3)CC2)c1 ZINC000532127468 383979523 /nfs/dbraw/zinc/97/95/23/383979523.db2.gz WKQMVZXSYRWBSI-UHFFFAOYSA-N 0 0 292.360 2.989 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCCCc2ccccc21 ZINC000532138394 383981807 /nfs/dbraw/zinc/98/18/07/383981807.db2.gz HTJCMEYEAMOGHF-UHFFFAOYSA-N 0 0 297.314 2.973 20 5 CFBDRN COCC1(NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)CC1 ZINC000532237498 383998596 /nfs/dbraw/zinc/99/85/96/383998596.db2.gz XRESYZXSMRPDLP-UHFFFAOYSA-N 0 0 293.323 2.512 20 5 CFBDRN O=C(Nc1ccc2ccccc2n1)c1ccc([N+](=O)[O-])cn1 ZINC000531878076 383936210 /nfs/dbraw/zinc/93/62/10/383936210.db2.gz QIDQQWABGDSDHC-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN CCOC[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000265572864 383947842 /nfs/dbraw/zinc/94/78/42/383947842.db2.gz VGTJKCLMPDNIOF-JTQLQIEISA-N 0 0 299.758 2.896 20 5 CFBDRN Cc1cc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c(C)n1C ZINC000265606089 383957170 /nfs/dbraw/zinc/95/71/70/383957170.db2.gz AXQUFDLXHNUQDV-UHFFFAOYSA-N 0 0 299.330 2.753 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000454276394 383975702 /nfs/dbraw/zinc/97/57/02/383975702.db2.gz JPPWCZCOTLNZDF-VIFPVBQESA-N 0 0 273.239 2.760 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000265853432 384015847 /nfs/dbraw/zinc/01/58/47/384015847.db2.gz QKZHQOWKVOAULW-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1ccnc(NC[C@](C)(O)c2ccsc2)c1[N+](=O)[O-] ZINC000265876615 384021469 /nfs/dbraw/zinc/02/14/69/384021469.db2.gz XGITVPAQXIXHKT-ZDUSSCGKSA-N 0 0 293.348 2.679 20 5 CFBDRN CCN(Cc1ccccc1C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532388376 384021602 /nfs/dbraw/zinc/02/16/02/384021602.db2.gz KXVOZQNMKARPGT-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)[C@H]1CCC[C@@H](C)C1 ZINC000454354836 384004908 /nfs/dbraw/zinc/00/49/08/384004908.db2.gz DMIYHSYCZUWPKX-VWYCJHECSA-N 0 0 279.340 2.868 20 5 CFBDRN CC(C)(C)C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000454355498 384005028 /nfs/dbraw/zinc/00/50/28/384005028.db2.gz FHXVTQYBQWXRCX-JTQLQIEISA-N 0 0 279.340 2.821 20 5 CFBDRN CC(C)[C@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000438601691 384026057 /nfs/dbraw/zinc/02/60/57/384026057.db2.gz VHAWLOWJMJQAGX-QMMMGPOBSA-N 0 0 293.245 2.630 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@H](Cc2ccccc2)C1 ZINC000266033943 384059474 /nfs/dbraw/zinc/05/94/74/384059474.db2.gz AKRWEPAGJFUULH-CYBMUJFWSA-N 0 0 299.330 2.628 20 5 CFBDRN CN(CCOc1cccc([N+](=O)[O-])c1)c1cccc(O)c1 ZINC000532711185 384062835 /nfs/dbraw/zinc/06/28/35/384062835.db2.gz ZVJQFTOCFSBJAI-UHFFFAOYSA-N 0 0 288.303 2.816 20 5 CFBDRN C[C@@H]1CC=C(C(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000454888949 384070037 /nfs/dbraw/zinc/07/00/37/384070037.db2.gz PPUFFJHJLLDHNJ-LLVKDONJSA-N 0 0 274.320 2.957 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CCc2ccncc2)c1 ZINC000266084248 384074302 /nfs/dbraw/zinc/07/43/02/384074302.db2.gz OIBSXYIIAHOBRN-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CCCCNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000266105441 384078888 /nfs/dbraw/zinc/07/88/88/384078888.db2.gz ITSQSWVQPQIYEG-UHFFFAOYSA-N 0 0 279.296 2.763 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000266105270 384079389 /nfs/dbraw/zinc/07/93/89/384079389.db2.gz RBDBJWQFXFLFDE-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN COc1cc(N2CCCCCC2)ccc1[N+](=O)[O-] ZINC000266159204 384098482 /nfs/dbraw/zinc/09/84/82/384098482.db2.gz WDWRNARPQKIBJE-UHFFFAOYSA-N 0 0 250.298 2.984 20 5 CFBDRN CC[C@H](F)Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000440591591 384109513 /nfs/dbraw/zinc/10/95/13/384109513.db2.gz QVRRWFNFDKEQNY-JTQLQIEISA-N 0 0 264.260 2.601 20 5 CFBDRN C[C@@H]1C[N@@H+](C2CC2)C[C@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000440598014 384110755 /nfs/dbraw/zinc/11/07/55/384110755.db2.gz MTGSIUXXVSQRMI-AMIZOPFISA-N 0 0 297.305 2.768 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1CC(F)(F)C1 ZINC000440603733 384112723 /nfs/dbraw/zinc/11/27/23/384112723.db2.gz FBXHEGCQNIKJSU-UHFFFAOYSA-N 0 0 286.234 2.587 20 5 CFBDRN CC(C)c1ccccc1N(C)C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000574545785 384114125 /nfs/dbraw/zinc/11/41/25/384114125.db2.gz TYQSRPXTOZNZAZ-UHFFFAOYSA-N 0 0 288.307 2.718 20 5 CFBDRN COCC1(CCNc2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000574568587 384116865 /nfs/dbraw/zinc/11/68/65/384116865.db2.gz SEPPEDASUIBPCO-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN COc1cc(NC[C@H]2CC[C@@H](C)O2)c([N+](=O)[O-])cc1F ZINC000413380743 533035212 /nfs/dbraw/zinc/03/52/12/533035212.db2.gz VEQKRSUETJYINI-RKDXNWHRSA-N 0 0 284.287 2.722 20 5 CFBDRN Cc1cc(NC(=O)NCC[C@H](C)F)ccc1[N+](=O)[O-] ZINC000455080381 384133872 /nfs/dbraw/zinc/13/38/72/384133872.db2.gz FISBRAAHQBIXEJ-VIFPVBQESA-N 0 0 269.276 2.773 20 5 CFBDRN Cc1nn(C)c(N[C@H]2C[C@H]2Cc2ccccc2)c1[N+](=O)[O-] ZINC000266219424 384119330 /nfs/dbraw/zinc/11/93/30/384119330.db2.gz HNNKXCYNIWYZJH-OLZOCXBDSA-N 0 0 286.335 2.680 20 5 CFBDRN CC(C)c1ncc(CN(C)c2ncccc2[N+](=O)[O-])cn1 ZINC000440652996 384119537 /nfs/dbraw/zinc/11/95/37/384119537.db2.gz ZOXFPFYAZWSHOM-UHFFFAOYSA-N 0 0 287.323 2.540 20 5 CFBDRN O=[N+]([O-])c1c(Nc2cn[nH]c2)ccc2ncccc21 ZINC000112073917 285079210 /nfs/dbraw/zinc/07/92/10/285079210.db2.gz ARDRZXLOXFCJRX-UHFFFAOYSA-N 0 0 255.237 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC3(CCOCC3)C2)cc1 ZINC000266253497 384128853 /nfs/dbraw/zinc/12/88/53/384128853.db2.gz NPPMOIQROVYTOV-UHFFFAOYSA-N 0 0 276.336 2.992 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@H](C)O[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000413532364 533035635 /nfs/dbraw/zinc/03/56/35/533035635.db2.gz NADGJJMTCBZJPZ-AEJSXWLSSA-N 0 0 298.314 2.968 20 5 CFBDRN CSCCN(C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000455094898 384139218 /nfs/dbraw/zinc/13/92/18/384139218.db2.gz PNDNMHGGOVAJFI-JTQLQIEISA-N 0 0 297.380 2.660 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])sc2ncn1CC1=CCCCC1 ZINC000574754926 384142503 /nfs/dbraw/zinc/14/25/03/384142503.db2.gz SVFMKFPMPUFFFM-UHFFFAOYSA-N 0 0 291.332 2.867 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@H]1CCOC1 ZINC000112759220 285086028 /nfs/dbraw/zinc/08/60/28/285086028.db2.gz KMPMCRWXRIKBME-QMMMGPOBSA-N 0 0 276.214 2.814 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@H](CO)CC1 ZINC000338843061 285089679 /nfs/dbraw/zinc/08/96/79/285089679.db2.gz QZHCLUBEXJIGSB-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000266523965 384191101 /nfs/dbraw/zinc/19/11/01/384191101.db2.gz FKXLGMAXHDEICZ-NSHDSACASA-N 0 0 266.322 2.737 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCCOCC1 ZINC000182995453 285092667 /nfs/dbraw/zinc/09/26/67/285092667.db2.gz FBUPQGQQBUDJHL-SECBINFHSA-N 0 0 271.704 2.624 20 5 CFBDRN CCC1(CNc2ncccc2[N+](=O)[O-])CCOCC1 ZINC000266752074 384233205 /nfs/dbraw/zinc/23/32/05/384233205.db2.gz SVNVYHYHFNOUFJ-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN Cc1cc(N(C)CCCOC(C)C)ncc1[N+](=O)[O-] ZINC000266806246 384240648 /nfs/dbraw/zinc/24/06/48/384240648.db2.gz DNDATCJEDCKKMN-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN CCOc1cc(CSCCOC)ccc1[N+](=O)[O-] ZINC000277184815 384226288 /nfs/dbraw/zinc/22/62/88/384226288.db2.gz ZPNISAZJVXBFLJ-UHFFFAOYSA-N 0 0 271.338 2.873 20 5 CFBDRN Nc1c(F)c(NCCCOCC2CC2)ccc1[N+](=O)[O-] ZINC000266857935 384248772 /nfs/dbraw/zinc/24/87/72/384248772.db2.gz NPHJVDZOHJBCOH-UHFFFAOYSA-N 0 0 283.303 2.545 20 5 CFBDRN C[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000266921040 384260527 /nfs/dbraw/zinc/26/05/27/384260527.db2.gz OZZUZMHHFYGYGG-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN CC[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000266933033 384264778 /nfs/dbraw/zinc/26/47/78/384264778.db2.gz UEIZFORYRCNZHP-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccccc2[N+](=O)[O-])C(C)(C)C1 ZINC000266948538 384267779 /nfs/dbraw/zinc/26/77/79/384267779.db2.gz YRTVZAQZRICKSJ-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1CSCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000277455167 384306915 /nfs/dbraw/zinc/30/69/15/384306915.db2.gz PVOFGCYBOJDZSW-QMMMGPOBSA-N 0 0 285.344 2.505 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCO[C@H]1C(C)C ZINC000267200683 384322607 /nfs/dbraw/zinc/32/26/07/384322607.db2.gz JIRNZCOKPVECPY-YPMHNXCESA-N 0 0 279.340 2.771 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cc(N2CCCC2)ncn1 ZINC000277494343 384319214 /nfs/dbraw/zinc/31/92/14/384319214.db2.gz QTTJGCDFRUBORS-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC(COC)CC1 ZINC000267018235 384279812 /nfs/dbraw/zinc/27/98/12/384279812.db2.gz JFPRYTZAXUTEPY-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN CCC[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000267037597 384283430 /nfs/dbraw/zinc/28/34/30/384283430.db2.gz MAXXBFMRCUEXLY-AWEZNQCLSA-N 0 0 282.340 2.704 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])cc1F)C[C@H]1CCCO1 ZINC000267036378 384283561 /nfs/dbraw/zinc/28/35/61/384283561.db2.gz NSQRXGIYMLOOPD-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1ccc(NC[C@@H](C)C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000267039894 384285324 /nfs/dbraw/zinc/28/53/24/384285324.db2.gz MLFYPONNXGUXSU-QWRGUYRKSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1nn(C)c(NC2CCC(F)(F)CC2)c1[N+](=O)[O-] ZINC000390039656 262086659 /nfs/dbraw/zinc/08/66/59/262086659.db2.gz JJSGOYCVERGXDW-UHFFFAOYSA-N 0 0 274.271 2.627 20 5 CFBDRN CCOC[C@H]1CCN(c2ccc([N+](=O)[O-])cc2COC)C1 ZINC000267075937 384293543 /nfs/dbraw/zinc/29/35/43/384293543.db2.gz JJZKEAFOWYDHHB-LBPRGKRZSA-N 0 0 294.351 2.604 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2CCSC2)s1 ZINC000288397923 285100205 /nfs/dbraw/zinc/10/02/05/285100205.db2.gz MQPWVWVIVRLAJE-ZCFIWIBFSA-N 0 0 282.350 2.517 20 5 CFBDRN CC(C)n1nnnc1SCc1ccc([N+](=O)[O-])cc1F ZINC000267078695 384295472 /nfs/dbraw/zinc/29/54/72/384295472.db2.gz UQMSSOHRSKUPEZ-UHFFFAOYSA-N 0 0 297.315 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC(F)(F)C(F)F)cc1 ZINC000277509123 384322018 /nfs/dbraw/zinc/32/20/18/384322018.db2.gz UCSBPUDSYANPMZ-UHFFFAOYSA-N 0 0 296.220 2.924 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000267291923 384350050 /nfs/dbraw/zinc/35/00/50/384350050.db2.gz VTIDJXGXDOAFTN-LBPRGKRZSA-N 0 0 294.376 2.783 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccccc3)no2)[nH]1 ZINC000349855655 285104147 /nfs/dbraw/zinc/10/41/47/285104147.db2.gz DDAFCROZDBWVAA-UHFFFAOYSA-N 0 0 256.221 2.640 20 5 CFBDRN CCC1(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000267229732 384330606 /nfs/dbraw/zinc/33/06/06/384330606.db2.gz YUGKUHZPHQLRAC-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN CCS[C@@H]1CCC[C@@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000267238352 384331554 /nfs/dbraw/zinc/33/15/54/384331554.db2.gz XGHBGPKKJXTPQE-NXEZZACHSA-N 0 0 284.385 2.805 20 5 CFBDRN C[C@H]1[C@@H](c2ccccc2)CCN1c1c([N+](=O)[O-])ncn1C ZINC000267525417 384408267 /nfs/dbraw/zinc/40/82/67/384408267.db2.gz HXDVVJVMTRMJDN-AAEUAGOBSA-N 0 0 286.335 2.711 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3cscn3)n2)cc1 ZINC000345715958 285106293 /nfs/dbraw/zinc/10/62/93/285106293.db2.gz WQMXNBIRQJGTCR-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCCSC1 ZINC000267393695 384378337 /nfs/dbraw/zinc/37/83/37/384378337.db2.gz XQJCYHPOXGQXLY-SNVBAGLBSA-N 0 0 295.364 2.920 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@@H]1C ZINC000267695524 384446482 /nfs/dbraw/zinc/44/64/82/384446482.db2.gz RCCOCTAEKSBIFR-IUCAKERBSA-N 0 0 265.269 2.595 20 5 CFBDRN CN(C)c1cccc(CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000344433843 220280987 /nfs/dbraw/zinc/28/09/87/220280987.db2.gz NGJADXRMTIJGRO-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)c1cccc(O)c1 ZINC000344433902 220281102 /nfs/dbraw/zinc/28/11/02/220281102.db2.gz NOIZUYBPBCTRAN-VIFPVBQESA-N 0 0 259.265 2.869 20 5 CFBDRN C[C@H]1[C@H](c2ccccc2)CCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000267683554 384442103 /nfs/dbraw/zinc/44/21/03/384442103.db2.gz MQXALAQEXCOPNH-WCQYABFASA-N 0 0 299.330 2.941 20 5 CFBDRN C[C@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CCO1 ZINC000278199530 384496615 /nfs/dbraw/zinc/49/66/15/384496615.db2.gz PLWUVVRPCMHROP-JGVFFNPUSA-N 0 0 295.320 2.989 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCCC(C)(C)O ZINC000352035940 220298359 /nfs/dbraw/zinc/29/83/59/220298359.db2.gz VGFWYWPQHUSMDH-UHFFFAOYSA-N 0 0 268.313 2.566 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000268000329 384507914 /nfs/dbraw/zinc/50/79/14/384507914.db2.gz BKAWWHHSFXSLFN-NSHDSACASA-N 0 0 280.324 2.618 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1Cl)[C@H]1CCCOC1 ZINC000352221449 220300082 /nfs/dbraw/zinc/30/00/82/220300082.db2.gz MDFGXFAYVNZPLM-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)C(C)(C)C ZINC000267916601 384489258 /nfs/dbraw/zinc/48/92/58/384489258.db2.gz HMMVIUVLUPJFSP-UHFFFAOYSA-N 0 0 278.308 2.765 20 5 CFBDRN COC(C)(C)CC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000278181241 384492601 /nfs/dbraw/zinc/49/26/01/384492601.db2.gz CWOUNFIFSLFRTQ-UHFFFAOYSA-N 0 0 288.250 2.627 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3ccccc3[C@@H]2CO)cc1 ZINC000268069350 384524061 /nfs/dbraw/zinc/52/40/61/384524061.db2.gz CBZSVALXUMBPAM-KRWDZBQOSA-N 0 0 298.342 2.687 20 5 CFBDRN CC[C@H](C)NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268082460 384526398 /nfs/dbraw/zinc/52/63/98/384526398.db2.gz PRTOFDKRMSCWJE-QMMMGPOBSA-N 0 0 285.731 2.846 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CCCC1 ZINC000268083321 384527253 /nfs/dbraw/zinc/52/72/53/384527253.db2.gz QCZYTAAOCRXIOS-UHFFFAOYSA-N 0 0 283.715 2.554 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000415034716 533076642 /nfs/dbraw/zinc/07/66/42/533076642.db2.gz CXRQHEQLEHBPSC-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@H](O)Cc3ccccc32)cc1 ZINC000278956896 384604330 /nfs/dbraw/zinc/60/43/30/384604330.db2.gz WLCVLXUDSKLJNR-OAHLLOKOSA-N 0 0 284.315 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](F)C2)c2ncccc12 ZINC000450605431 285128363 /nfs/dbraw/zinc/12/83/63/285128363.db2.gz ZNQHFBYHFMIYSI-SECBINFHSA-N 0 0 261.256 2.691 20 5 CFBDRN C[C@H](N(C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1(C)CC1 ZINC000279391854 384623239 /nfs/dbraw/zinc/62/32/39/384623239.db2.gz IYCVMTLSFFWILV-QMMMGPOBSA-N 0 0 295.314 2.577 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3OCCC[C@H]3C2)c(F)c1 ZINC000301707826 285128922 /nfs/dbraw/zinc/12/89/22/285128922.db2.gz GZVIFTOYNVBWSP-HZMBPMFUSA-N 0 0 280.299 2.739 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)O1 ZINC000268300287 384595295 /nfs/dbraw/zinc/59/52/95/384595295.db2.gz WSLDOYZLDUVXEH-JOYOIKCWSA-N 0 0 282.271 2.630 20 5 CFBDRN CCc1cncc(C(=O)NCCc2cccc([N+](=O)[O-])c2)c1 ZINC000279434261 384637309 /nfs/dbraw/zinc/63/73/09/384637309.db2.gz KWYQJOKQDHKQON-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCC(=O)[C@H]1C ZINC000279721388 384736930 /nfs/dbraw/zinc/73/69/30/384736930.db2.gz UVWKNDJGGDTWBR-QWRGUYRKSA-N 0 0 290.319 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COc3ccc(F)cc32)nc1 ZINC000341643991 285136875 /nfs/dbraw/zinc/13/68/75/285136875.db2.gz QWRDGZOKRAVAEB-NSHDSACASA-N 0 0 275.239 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCc3ccccc3C2)nc1 ZINC000048322093 285135298 /nfs/dbraw/zinc/13/52/98/285135298.db2.gz IMVFVNVXMBOGTO-CYBMUJFWSA-N 0 0 269.304 2.959 20 5 CFBDRN C[C@@H]1CCC[N@H+](C[C@H](O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000269674918 384829401 /nfs/dbraw/zinc/82/94/01/384829401.db2.gz VZGFXAWJLHNWRD-DOMZBBRYSA-N 0 0 278.352 2.750 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269555124 384788743 /nfs/dbraw/zinc/78/87/43/384788743.db2.gz YXQNAHXEEOTJLA-UWVGGRQHSA-N 0 0 296.371 2.996 20 5 CFBDRN C[C@@H](CNc1c(F)cc([N+](=O)[O-])cc1F)Cn1ccnc1 ZINC000269601657 384799486 /nfs/dbraw/zinc/79/94/86/384799486.db2.gz AQUQCNRDKGDPNE-VIFPVBQESA-N 0 0 296.277 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC2CCC2)c(F)c1 ZINC000280108431 384886663 /nfs/dbraw/zinc/88/66/63/384886663.db2.gz BNWYMZWVGBXUQH-JTQLQIEISA-N 0 0 268.288 2.697 20 5 CFBDRN COc1cc(OCc2c(C)noc2C)ccc1[N+](=O)[O-] ZINC000280007939 384847044 /nfs/dbraw/zinc/84/70/44/384847044.db2.gz STXPPLUWTFMXOS-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN CC(C)c1nn(C)cc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000280133579 384898240 /nfs/dbraw/zinc/89/82/40/384898240.db2.gz BGIZQNPYKISGJZ-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](CC)C1 ZINC000280143476 384901673 /nfs/dbraw/zinc/90/16/73/384901673.db2.gz REFHKZOVIWJEQS-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN CCN(c1c([N+](=O)[O-])nc(C)n1CC)C1CCCC1 ZINC000360952787 285143929 /nfs/dbraw/zinc/14/39/29/285143929.db2.gz FEVWVSGQPZQKDR-UHFFFAOYSA-N 0 0 266.345 2.889 20 5 CFBDRN COc1ccc(OC[C@H](O)CCC(C)C)c([N+](=O)[O-])c1 ZINC000280155871 384907913 /nfs/dbraw/zinc/90/79/13/384907913.db2.gz AQHZQKRXOGETTC-LLVKDONJSA-N 0 0 283.324 2.779 20 5 CFBDRN Cc1cc(N[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)ncc1[N+](=O)[O-] ZINC000269951967 384916932 /nfs/dbraw/zinc/91/69/32/384916932.db2.gz RTWXGGZCMMESFT-GDLCADMTSA-N 0 0 289.335 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)nc1 ZINC000270006388 384939275 /nfs/dbraw/zinc/93/92/75/384939275.db2.gz ZWEIKBXVKMOYBN-MRVWCRGKSA-N 0 0 289.335 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CCC[C@H]2F)c1 ZINC000450545565 285146855 /nfs/dbraw/zinc/14/68/55/285146855.db2.gz YSNNRXORZCULHE-OLZOCXBDSA-N 0 0 275.283 2.867 20 5 CFBDRN COC[C@H](Nc1ncc([N+](=O)[O-])cc1F)c1ccc(C)o1 ZINC000413172430 533101053 /nfs/dbraw/zinc/10/10/53/533101053.db2.gz BQBARXGVTOPCKI-NSHDSACASA-N 0 0 295.270 2.830 20 5 CFBDRN CC(=O)c1ccc(N[C@H](CO)CC2CCC2)c([N+](=O)[O-])c1 ZINC000280378825 384996880 /nfs/dbraw/zinc/99/68/80/384996880.db2.gz ZBFHKTIVWFUXFX-ZDUSSCGKSA-N 0 0 292.335 2.760 20 5 CFBDRN CCN(c1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000359953942 285146337 /nfs/dbraw/zinc/14/63/37/285146337.db2.gz ZNCUDSZAAHREMD-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@H]2CCOCO2)cc1 ZINC000270061715 384964400 /nfs/dbraw/zinc/96/44/00/384964400.db2.gz XBXKRPRADHDODJ-GFCCVEGCSA-N 0 0 269.322 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cc(NCC3CC3)ncn2)cc1 ZINC000270313585 385052858 /nfs/dbraw/zinc/05/28/58/385052858.db2.gz UJIZCWLVSUMBAE-UHFFFAOYSA-N 0 0 299.334 2.819 20 5 CFBDRN CC1=CCN([C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000270359341 385069511 /nfs/dbraw/zinc/06/95/11/385069511.db2.gz RFXKRUXKEWWDRZ-LBPRGKRZSA-N 0 0 289.335 2.574 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000270235652 385025285 /nfs/dbraw/zinc/02/52/85/385025285.db2.gz BHIBXXXCUUWAJR-CYBMUJFWSA-N 0 0 287.319 2.533 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2COc3ccccc32)s1 ZINC000289208595 197263070 /nfs/dbraw/zinc/26/30/70/197263070.db2.gz YCQFPLHDWOOVBB-MRVPVSSYSA-N 0 0 277.305 2.639 20 5 CFBDRN CC(=O)N1CCC[C@@H](CNc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000280504549 385039916 /nfs/dbraw/zinc/03/99/16/385039916.db2.gz POXLEOZKFXTXRO-ZDUSSCGKSA-N 0 0 291.351 2.574 20 5 CFBDRN CO[C@H](c1nc(-c2ccc([N+](=O)[O-])s2)no1)C1CC1 ZINC000289202205 197261696 /nfs/dbraw/zinc/26/16/96/197261696.db2.gz YKGXABYVTGBYPK-VIFPVBQESA-N 0 0 281.293 2.804 20 5 CFBDRN O=c1[nH]c(NC2CCCC2)nc2ccc([N+](=O)[O-])cc21 ZINC000280962701 285151773 /nfs/dbraw/zinc/15/17/73/285151773.db2.gz LDRKKAOVYLNRFT-UHFFFAOYSA-N 0 0 274.280 2.598 20 5 CFBDRN C[C@@H]1C[C@@H](CNC(=O)c2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000281422812 385196078 /nfs/dbraw/zinc/19/60/78/385196078.db2.gz XVJRSUIUHQKWER-ZSBIGDGJSA-N 0 0 292.335 2.528 20 5 CFBDRN CCc1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])cc2F)[nH]1 ZINC000270703842 385199173 /nfs/dbraw/zinc/19/91/73/385199173.db2.gz JGDDTQYLVGLJPX-SSDOTTSWSA-N 0 0 279.275 2.588 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2CC[C@H](O)CC2)c([N+](=O)[O-])c1 ZINC000280767339 385147563 /nfs/dbraw/zinc/14/75/63/385147563.db2.gz RIWRZCZGMFMGGQ-HAQNSBGRSA-N 0 0 292.335 2.903 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CC[C@@H](F)C1 ZINC000336262849 285154129 /nfs/dbraw/zinc/15/41/29/285154129.db2.gz FIOOBNWGTJMZFC-MRVPVSSYSA-N 0 0 258.680 2.792 20 5 CFBDRN CC1=CCCN([C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000280824116 385168884 /nfs/dbraw/zinc/16/88/84/385168884.db2.gz NUAOSCKIGNHCCO-GFCCVEGCSA-N 0 0 289.335 2.574 20 5 CFBDRN CCC(=O)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000270731779 385207434 /nfs/dbraw/zinc/20/74/34/385207434.db2.gz ARBIPKYBOMYGFX-ZDUSSCGKSA-N 0 0 290.319 2.569 20 5 CFBDRN CC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@@H](O)C(F)(F)F ZINC000270750589 385214173 /nfs/dbraw/zinc/21/41/73/385214173.db2.gz AJSBBJFECNBCKK-GMSGAONNSA-N 0 0 296.220 2.848 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1)[C@H](O)C(F)(F)F ZINC000270768493 385218542 /nfs/dbraw/zinc/21/85/42/385218542.db2.gz GJNCVCACQAWELI-ZJUUUORDSA-N 0 0 278.230 2.709 20 5 CFBDRN CCC1(CO)CCN(Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000270828869 385239105 /nfs/dbraw/zinc/23/91/05/385239105.db2.gz HGULDRLWVJTGEC-UHFFFAOYSA-N 0 0 296.342 2.718 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1O[C@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000282194967 385243732 /nfs/dbraw/zinc/24/37/32/385243732.db2.gz PMFQVWOFSXOFSW-BBGACYKPSA-N 0 0 292.335 2.586 20 5 CFBDRN CC[C@@]1(C)CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000282419307 385307000 /nfs/dbraw/zinc/30/70/00/385307000.db2.gz GNEVZEFDMQUZGP-AWEZNQCLSA-N 0 0 282.315 2.735 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000270894130 385260965 /nfs/dbraw/zinc/26/09/65/385260965.db2.gz QUZODWCNCKSINW-JTQLQIEISA-N 0 0 280.324 2.634 20 5 CFBDRN Cc1cc(NC[C@@H]2COc3ccccc32)ncc1[N+](=O)[O-] ZINC000289070187 197226997 /nfs/dbraw/zinc/22/69/97/197226997.db2.gz NJSRETPZWBHATP-LLVKDONJSA-N 0 0 285.303 2.886 20 5 CFBDRN CO[C@H](C)CCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282274948 385266963 /nfs/dbraw/zinc/26/69/63/385266963.db2.gz AUQXYSGHSRZOPT-SECBINFHSA-N 0 0 284.287 2.796 20 5 CFBDRN COc1cc(N(C)Cc2nccs2)c(F)cc1[N+](=O)[O-] ZINC000271057980 385322635 /nfs/dbraw/zinc/32/26/35/385322635.db2.gz BEZSRVGCHAVHBJ-UHFFFAOYSA-N 0 0 297.311 2.835 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCCC(F)(F)F)c1 ZINC000282288375 385272544 /nfs/dbraw/zinc/27/25/44/385272544.db2.gz VRAMGQNDIOQVKN-UHFFFAOYSA-N 0 0 263.219 2.931 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1ccc2c(c1)OCO2 ZINC000014738264 285160633 /nfs/dbraw/zinc/16/06/33/285160633.db2.gz PHQIZINPGPBDTN-UHFFFAOYSA-N 0 0 273.244 2.903 20 5 CFBDRN CCc1cccnc1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000270975574 385287621 /nfs/dbraw/zinc/28/76/21/385287621.db2.gz RBWYEIXVCMAYFB-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)CC[C@@H]1C ZINC000270978623 385289573 /nfs/dbraw/zinc/28/95/73/385289573.db2.gz RIHMPLHUGHRPNN-RYUDHWBXSA-N 0 0 276.336 2.642 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1C(F)(F)F)C(F)F ZINC000282368021 385295732 /nfs/dbraw/zinc/29/57/32/385295732.db2.gz BAYZGYUZEPJGGS-UHFFFAOYSA-N 0 0 299.151 2.827 20 5 CFBDRN CN(Cc1nccn1C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000270998507 385297864 /nfs/dbraw/zinc/29/78/64/385297864.db2.gz JPUYXSNSJVHRBH-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000282540523 385336946 /nfs/dbraw/zinc/33/69/46/385336946.db2.gz DEPMHEDZGWSNCQ-QWRGUYRKSA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000282551107 385339324 /nfs/dbraw/zinc/33/93/24/385339324.db2.gz DYGDFBXYSZBBMY-NEPJUHHUSA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000282586772 385346639 /nfs/dbraw/zinc/34/66/39/385346639.db2.gz HLXOMLUFITZRAV-GHMZBOCLSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@@H](C)C[C@@H]1C ZINC000282594809 385349036 /nfs/dbraw/zinc/34/90/36/385349036.db2.gz PZLFFDYEVQKGRD-COPLHBTASA-N 0 0 292.335 2.964 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1cc(C)on1 ZINC000282693950 385372767 /nfs/dbraw/zinc/37/27/67/385372767.db2.gz KMWNHLHINFVZKL-JTQLQIEISA-N 0 0 291.307 2.751 20 5 CFBDRN CC(C)[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ncc[nH]1 ZINC000282730063 385378120 /nfs/dbraw/zinc/37/81/20/385378120.db2.gz CJWIIUDAZKRQQP-JTQLQIEISA-N 0 0 294.336 2.507 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1ncnn1C(C)(C)C ZINC000282739414 385380019 /nfs/dbraw/zinc/38/00/19/385380019.db2.gz XVBXNEDBPHLWGZ-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN COc1cc(NC[C@@H](C)C[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000271058894 385324787 /nfs/dbraw/zinc/32/47/87/385324787.db2.gz BNXQFLZGBQGRSK-IUCAKERBSA-N 0 0 286.303 2.561 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000282489764 385325401 /nfs/dbraw/zinc/32/54/01/385325401.db2.gz QXEDJIJBFKPWSK-LLVKDONJSA-N 0 0 284.312 2.876 20 5 CFBDRN CCCc1cnc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000271915091 385400563 /nfs/dbraw/zinc/40/05/63/385400563.db2.gz GEUGFWIOQLQKTD-UHFFFAOYSA-N 0 0 280.309 2.584 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CCO1 ZINC000271932951 385404735 /nfs/dbraw/zinc/40/47/35/385404735.db2.gz XAHGMYWPVANYAK-MWLCHTKSSA-N 0 0 289.291 2.927 20 5 CFBDRN CON(CC1CCOCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000282893147 385406031 /nfs/dbraw/zinc/40/60/31/385406031.db2.gz DTDQSEJCGXHUDG-UHFFFAOYSA-N 0 0 284.287 2.528 20 5 CFBDRN CC(C)C[C@H]1COCCN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000283229251 385449324 /nfs/dbraw/zinc/44/93/24/385449324.db2.gz UBLHODPDHRICIO-ZDUSSCGKSA-N 0 0 296.342 2.981 20 5 CFBDRN Cc1cnc(N[C@@H](C)[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000272058134 385437168 /nfs/dbraw/zinc/43/71/68/385437168.db2.gz ZFMULJHRFADHRJ-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cc(NC(=O)COC2CCCC2)ccc1[N+](=O)[O-] ZINC000272205228 385473507 /nfs/dbraw/zinc/47/35/07/385473507.db2.gz JQMPPKZZCBEARB-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1O[C@H](C)C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000283553197 385475404 /nfs/dbraw/zinc/47/54/04/385475404.db2.gz PEMDUUDCIDJEJO-BBGACYKPSA-N 0 0 292.335 2.586 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(c3ccsc3)CC2)nc1 ZINC000275038070 285172347 /nfs/dbraw/zinc/17/23/47/285172347.db2.gz XMWVPTBNKBAZJX-UHFFFAOYSA-N 0 0 290.348 2.830 20 5 CFBDRN CC(C)[C@@H](O)CN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000283628839 385482415 /nfs/dbraw/zinc/48/24/15/385482415.db2.gz BFCLVPAIMAYRCU-ZDUSSCGKSA-N 0 0 286.759 2.697 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272150221 385460503 /nfs/dbraw/zinc/46/05/03/385460503.db2.gz NPWDQDYKAGUHBZ-SMDDNHRTSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000272161501 385463408 /nfs/dbraw/zinc/46/34/08/385463408.db2.gz FFDPKTLRNSCHDL-NXEZZACHSA-N 0 0 298.289 2.801 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1ncc([N+](=O)[O-])cc1Cl ZINC000272164849 385464500 /nfs/dbraw/zinc/46/45/00/385464500.db2.gz FTYXTIUEBLPBRZ-SKDRFNHKSA-N 0 0 299.758 2.631 20 5 CFBDRN C[C@H]1OCC[C@@H]1SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000283880084 385510268 /nfs/dbraw/zinc/51/02/68/385510268.db2.gz IHHWRHRSOLCGRE-PELKAZGASA-N 0 0 298.320 2.914 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ccccc3C2)s1 ZINC000190299451 285178755 /nfs/dbraw/zinc/17/87/55/285178755.db2.gz CPYSPLLEQUVVCE-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2(Cc3ccccc3)CCC2)nc1 ZINC000362663683 285180265 /nfs/dbraw/zinc/18/02/65/285180265.db2.gz ATAKZGFSEQDHLL-UHFFFAOYSA-N 0 0 284.319 2.962 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCSCC2)c(Cl)c1 ZINC000227650949 285180150 /nfs/dbraw/zinc/18/01/50/285180150.db2.gz VKIJRNVVOSHKOW-UHFFFAOYSA-N 0 0 273.745 2.951 20 5 CFBDRN Cc1cc(N[C@H](C)c2nnc3n2CCC3)ccc1[N+](=O)[O-] ZINC000272361596 385518872 /nfs/dbraw/zinc/51/88/72/385518872.db2.gz ZHQWLDQZVMLFGT-SNVBAGLBSA-N 0 0 287.323 2.614 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](c3cccc(F)c3)C2)nc1 ZINC000273606601 285177558 /nfs/dbraw/zinc/17/75/58/285177558.db2.gz KRTINEVLXHPNFW-NSHDSACASA-N 0 0 288.282 2.518 20 5 CFBDRN COCC[C@H]1CCCCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000376395393 285177599 /nfs/dbraw/zinc/17/75/99/285177599.db2.gz NIJSWFTUECMDMF-GFCCVEGCSA-N 0 0 293.367 2.820 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@@H]2F)c(Cl)c1 ZINC000338840836 285180909 /nfs/dbraw/zinc/18/09/09/285180909.db2.gz DYXVPHVQIIFDDW-DTWKUNHWSA-N 0 0 259.668 2.946 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)C(F)(F)F ZINC000288788666 197166747 /nfs/dbraw/zinc/16/67/47/197166747.db2.gz KYWKEKQMNFADDD-ZETCQYMHSA-N 0 0 291.229 2.976 20 5 CFBDRN Cc1noc([C@H]2CCCN2c2ccc([N+](=O)[O-])cc2F)n1 ZINC000286242617 385576010 /nfs/dbraw/zinc/57/60/10/385576010.db2.gz CZACAMAJNIGMEH-GFCCVEGCSA-N 0 0 292.270 2.767 20 5 CFBDRN C[C@H]1CCCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000272517899 385557673 /nfs/dbraw/zinc/55/76/73/385557673.db2.gz KWJBDWZISCURCF-JTQLQIEISA-N 0 0 298.289 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(O)CCC2)c2ncccc12 ZINC000286144561 385563939 /nfs/dbraw/zinc/56/39/39/385563939.db2.gz AQMCXSMTSBGYIG-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2COc3cccc(Cl)c32)nc1 ZINC000367291134 285183652 /nfs/dbraw/zinc/18/36/52/285183652.db2.gz QBMJQMIIVZKPGW-VIFPVBQESA-N 0 0 292.682 2.584 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2COc3cccc(F)c32)s1 ZINC000367236365 285183731 /nfs/dbraw/zinc/18/37/31/285183731.db2.gz FSLRIOIVLZKKIS-ZETCQYMHSA-N 0 0 281.268 2.736 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2c(F)c(F)cc(F)c2F)nc1 ZINC000354526159 285184176 /nfs/dbraw/zinc/18/41/76/285184176.db2.gz LPSFAJDEEOPSTB-UHFFFAOYSA-N 0 0 289.144 2.734 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@H](COc2ccccc2)C1 ZINC000272590764 385570879 /nfs/dbraw/zinc/57/08/79/385570879.db2.gz ZXLIGDHUOXRGMH-CYBMUJFWSA-N 0 0 299.330 2.895 20 5 CFBDRN C[C@H]1OCC[C@H]1Sc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286203061 385571032 /nfs/dbraw/zinc/57/10/32/385571032.db2.gz MJAFELUCXHIARF-HTRCEHHLSA-N 0 0 297.361 2.869 20 5 CFBDRN CCn1cc(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)cn1 ZINC000286215201 385573329 /nfs/dbraw/zinc/57/33/29/385573329.db2.gz BUGKYMIKFBGVPR-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1cc2n(n1)CCCC2 ZINC000286355692 385597245 /nfs/dbraw/zinc/59/72/45/385597245.db2.gz KNSXQBYHJIZBEQ-UHFFFAOYSA-N 0 0 258.281 2.871 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000286272574 385580907 /nfs/dbraw/zinc/58/09/07/385580907.db2.gz FTYFFXJPSJKNGZ-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCCN1c1ccc([N+](=O)[O-])cn1 ZINC000286330595 385592347 /nfs/dbraw/zinc/59/23/47/385592347.db2.gz LMHDMTFILGTCLQ-NWDGAFQWSA-N 0 0 279.340 2.510 20 5 CFBDRN CCC1(O)CCN(Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000272832779 385628260 /nfs/dbraw/zinc/62/82/60/385628260.db2.gz RGYXBRGNBACJFL-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN COC1CCN(Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000272843152 385630599 /nfs/dbraw/zinc/63/05/99/385630599.db2.gz UCIZINDVTAELQL-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000286493940 385633947 /nfs/dbraw/zinc/63/39/47/385633947.db2.gz VXSNLLSAODARKH-DTWKUNHWSA-N 0 0 285.731 2.728 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000286493937 385633961 /nfs/dbraw/zinc/63/39/61/385633961.db2.gz VXSNLLSAODARKH-BDAKNGLRSA-N 0 0 285.731 2.728 20 5 CFBDRN C/C=C(\C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272872400 385637835 /nfs/dbraw/zinc/63/78/35/385637835.db2.gz ZYHXCPZPHMGXJV-XBXARRHUSA-N 0 0 250.254 2.508 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1CCCCS1 ZINC000272876426 385638444 /nfs/dbraw/zinc/63/84/44/385638444.db2.gz TXDRUCDSXUZLJN-GFCCVEGCSA-N 0 0 281.333 2.924 20 5 CFBDRN O=[N+]([O-])c1cnc(NC(C2CCC2)C2CCC2)nc1 ZINC000286561705 385650553 /nfs/dbraw/zinc/65/05/53/385650553.db2.gz PPZZYUIJIRYGEF-UHFFFAOYSA-N 0 0 262.313 2.766 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCC1CCC1 ZINC000286594867 385659956 /nfs/dbraw/zinc/65/99/56/385659956.db2.gz SKAQOIQLWZNERX-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CCN(C)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000286635295 385672122 /nfs/dbraw/zinc/67/21/22/385672122.db2.gz NNEQVXWWEJTJBG-UHFFFAOYSA-N 0 0 279.340 2.877 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000286640424 385674166 /nfs/dbraw/zinc/67/41/66/385674166.db2.gz HUMLRPPJGCMGBC-LLVKDONJSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cccnc1OC ZINC000176257835 533142336 /nfs/dbraw/zinc/14/23/36/533142336.db2.gz YSNALXRRUKGRDG-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1c1noc(Cn2cc([N+](=O)[O-])cn2)n1 ZINC000274093359 385717270 /nfs/dbraw/zinc/71/72/70/385717270.db2.gz YPBYANTXLAXTTB-KOLCDFICSA-N 0 0 291.311 2.516 20 5 CFBDRN Cc1cccc(CCCNc2ccc(N)cc2[N+](=O)[O-])n1 ZINC000286704219 385691264 /nfs/dbraw/zinc/69/12/64/385691264.db2.gz YQVSOZIICQNOLK-UHFFFAOYSA-N 0 0 286.335 2.925 20 5 CFBDRN O=C(NC[C@@H]1CCCSC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000286741831 385699530 /nfs/dbraw/zinc/69/95/30/385699530.db2.gz IKKDRJKBGODTKC-VIFPVBQESA-N 0 0 298.339 2.607 20 5 CFBDRN O=C(NC(C1CCC1)C1CCC1)c1ccc([N+](=O)[O-])o1 ZINC000286744923 385700789 /nfs/dbraw/zinc/70/07/89/385700789.db2.gz NXLFIKQTRGZIKI-UHFFFAOYSA-N 0 0 278.308 2.886 20 5 CFBDRN COC(=O)C1(CNc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000286894623 385733492 /nfs/dbraw/zinc/73/34/92/385733492.db2.gz OUTHVRCVMHREIF-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN Cc1csc(=O)n1CCOc1ccc([N+](=O)[O-])c(C)c1 ZINC000286918098 385739504 /nfs/dbraw/zinc/73/95/04/385739504.db2.gz KNNNZHCGQVENSX-UHFFFAOYSA-N 0 0 294.332 2.514 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000274213565 385741359 /nfs/dbraw/zinc/74/13/59/385741359.db2.gz XFYKZBKLEPBSAX-GFCCVEGCSA-N 0 0 278.352 2.936 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000286937342 385745106 /nfs/dbraw/zinc/74/51/06/385745106.db2.gz DZDQLMUZUKLCSK-LLVKDONJSA-N 0 0 284.262 2.791 20 5 CFBDRN COCC1(CNc2ncc([N+](=O)[O-])cc2F)CCCC1 ZINC000413318657 533143164 /nfs/dbraw/zinc/14/31/64/533143164.db2.gz NRPUHINFXPEKHW-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN CCCc1nccn1Cc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000274258666 385750969 /nfs/dbraw/zinc/75/09/69/385750969.db2.gz ZYDVOYXVZDUHRJ-UHFFFAOYSA-N 0 0 293.298 2.940 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C[C@H](C)O1 ZINC000287295241 385820327 /nfs/dbraw/zinc/82/03/27/385820327.db2.gz LEFAXAJSGMSZDA-GWCFXTLKSA-N 0 0 292.335 2.801 20 5 CFBDRN COc1ccc(CNC(=O)[C@H]2C[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000274563484 385824244 /nfs/dbraw/zinc/82/42/44/385824244.db2.gz BSGYCKNBXWMCEH-NEPJUHHUSA-N 0 0 292.335 2.512 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000274576396 385826252 /nfs/dbraw/zinc/82/62/52/385826252.db2.gz APXFKOJMNULVDR-QWHCGFSZSA-N 0 0 276.336 2.546 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000274979461 385905941 /nfs/dbraw/zinc/90/59/41/385905941.db2.gz BNEDEUHEDDQFRW-DGCLKSJQSA-N 0 0 264.325 2.866 20 5 CFBDRN CC[C@]1(CO)CCCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000275019328 385913903 /nfs/dbraw/zinc/91/39/03/385913903.db2.gz LRNRVWYPQDKPJV-HNNXBMFYSA-N 0 0 294.351 2.592 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC(c2ccsc2)CC1 ZINC000275038033 385918230 /nfs/dbraw/zinc/91/82/30/385918230.db2.gz XLXPKKAHDMFAQD-UHFFFAOYSA-N 0 0 292.364 2.774 20 5 CFBDRN COc1cccc(NCC2([C@H](O)C(C)C)CC2)c1[N+](=O)[O-] ZINC000274756405 385865072 /nfs/dbraw/zinc/86/50/72/385865072.db2.gz QPHSGLVWSVLKDN-CQSZACIVSA-N 0 0 294.351 2.812 20 5 CFBDRN CC(C)(O)CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000274795974 385873707 /nfs/dbraw/zinc/87/37/07/385873707.db2.gz SYJFGJLPFHVPAU-UHFFFAOYSA-N 0 0 258.705 2.821 20 5 CFBDRN CO[C@@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC[C@H]1C ZINC000275798846 385980172 /nfs/dbraw/zinc/98/01/72/385980172.db2.gz CYNAXUQZIOGVBC-MEBBXXQBSA-N 0 0 292.335 2.659 20 5 CFBDRN COC(=O)CCCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288517289 197101509 /nfs/dbraw/zinc/10/15/09/197101509.db2.gz VPIDLTSLSDZUTF-UHFFFAOYSA-N 0 0 273.672 2.580 20 5 CFBDRN Cc1cc(C)c2c(c1)CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)CC2 ZINC000275221941 385959809 /nfs/dbraw/zinc/95/98/09/385959809.db2.gz CSIVRNVRWGNFSJ-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN CC(C)=CCNC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000276685755 386014994 /nfs/dbraw/zinc/01/49/94/386014994.db2.gz JBBJDEGOTPGXTF-UHFFFAOYSA-N 0 0 287.319 2.632 20 5 CFBDRN CC(C)=CCCNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000276701518 386018639 /nfs/dbraw/zinc/01/86/39/386018639.db2.gz NKUFOYASBYOUQU-UHFFFAOYSA-N 0 0 291.351 2.869 20 5 CFBDRN C[C@](O)(CNc1ncccc1[N+](=O)[O-])C1CCCCC1 ZINC000276813050 386051246 /nfs/dbraw/zinc/05/12/46/386051246.db2.gz SLFVUJQZQXLASH-AWEZNQCLSA-N 0 0 279.340 2.733 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(C)=C(C)C1 ZINC000288467558 197086315 /nfs/dbraw/zinc/08/63/15/197086315.db2.gz QQAAXMQZLGKUBV-UHFFFAOYSA-N 0 0 264.329 2.666 20 5 CFBDRN CCOc1cc(CN2CCO[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000276859463 386062499 /nfs/dbraw/zinc/06/24/99/386062499.db2.gz GEWGJFIZSLQYFK-NEPJUHHUSA-N 0 0 294.351 2.603 20 5 CFBDRN CCOc1cc(CS[C@@H](C)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000276872579 386066020 /nfs/dbraw/zinc/06/60/20/386066020.db2.gz HSQSWCIVEVNDNO-UWVGGRQHSA-N 0 0 285.365 2.996 20 5 CFBDRN CC[C@@H](C)CC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000276896510 386073995 /nfs/dbraw/zinc/07/39/95/386073995.db2.gz VDDMDPMSGSEKRT-LLVKDONJSA-N 0 0 264.325 2.690 20 5 CFBDRN CCOC1CC(CCNc2ccc([N+](=O)[O-])cn2)C1 ZINC000276926452 386082411 /nfs/dbraw/zinc/08/24/11/386082411.db2.gz PDSZGAPIHBQWOY-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1CCSCC1 ZINC000288284449 197043310 /nfs/dbraw/zinc/04/33/10/197043310.db2.gz BIPOUMNVFRQUPK-UHFFFAOYSA-N 0 0 284.385 2.675 20 5 CFBDRN CC[C@@H](C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288272770 197040581 /nfs/dbraw/zinc/04/05/81/197040581.db2.gz KHAIRZMKYCBTNX-LLVKDONJSA-N 0 0 264.325 2.642 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1S[C@H](C)[C@@H](C)O ZINC000288196462 197024530 /nfs/dbraw/zinc/02/45/30/197024530.db2.gz CGRDXAGYFKLNEX-RKDXNWHRSA-N 0 0 299.348 2.551 20 5 CFBDRN CCOC1CC(N(C)c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000288145806 197013626 /nfs/dbraw/zinc/01/36/26/197013626.db2.gz BQTLIIDSDOFTII-UHFFFAOYSA-N 0 0 295.295 2.507 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1noc2c1CCCC2 ZINC000288109737 197006384 /nfs/dbraw/zinc/00/63/84/197006384.db2.gz BOQMZXPRVCKWHN-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN Cc1cnc(N[C@H](C)[C@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000288097898 197004475 /nfs/dbraw/zinc/00/44/75/197004475.db2.gz APXGLDGRJGCASL-RISCZKNCSA-N 0 0 287.319 2.832 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCOC[C@H]1C ZINC000287918700 196968776 /nfs/dbraw/zinc/96/87/76/196968776.db2.gz WMUXRNICNGNBSK-NOZJJQNGSA-N 0 0 278.308 2.634 20 5 CFBDRN Nc1ccc(NC[C@H](O)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000287892845 196963217 /nfs/dbraw/zinc/96/32/17/196963217.db2.gz NPMIIEMBFVQBAG-AWEZNQCLSA-N 0 0 279.340 2.530 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H](C)CF ZINC000287551025 196882824 /nfs/dbraw/zinc/88/28/24/196882824.db2.gz LWTJMSMTNIGIJY-SECBINFHSA-N 0 0 269.276 2.691 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)C1CC1 ZINC000281242538 195163976 /nfs/dbraw/zinc/16/39/76/195163976.db2.gz FSLGIXIMQFUBGG-VIFPVBQESA-N 0 0 278.308 2.978 20 5 CFBDRN CCCc1nc(Cn2cc(C)c([N+](=O)[O-])n2)cs1 ZINC000281279448 195179120 /nfs/dbraw/zinc/17/91/20/195179120.db2.gz XAUZMYVWGCIQAR-UHFFFAOYSA-N 0 0 266.326 2.557 20 5 CFBDRN Cc1cc(N(C)[C@H]2CCSC2)c(F)cc1[N+](=O)[O-] ZINC000360055097 291928959 /nfs/dbraw/zinc/92/89/59/291928959.db2.gz UURGFQRGJSIRHM-VIFPVBQESA-N 0 0 270.329 2.984 20 5 CFBDRN CSCCCN(C)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000281407364 195229949 /nfs/dbraw/zinc/22/99/49/195229949.db2.gz WRQVMYYJCWIMSA-UHFFFAOYSA-N 0 0 296.392 2.657 20 5 CFBDRN Cc1cc(N2CCC[C@]23CCOC3)ccc1[N+](=O)[O-] ZINC000376422269 291936278 /nfs/dbraw/zinc/93/62/78/291936278.db2.gz BPSXOQYLGXMHSW-CQSZACIVSA-N 0 0 262.309 2.663 20 5 CFBDRN Nc1ccc(N[C@@H]2CCO[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000286870414 196723132 /nfs/dbraw/zinc/72/31/32/196723132.db2.gz NMHWXZMGTBYWSJ-BXUZGUMPSA-N 0 0 277.324 2.547 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H](C)OC)c([N+](=O)[O-])c1 ZINC000281493640 195263454 /nfs/dbraw/zinc/26/34/54/195263454.db2.gz OFFRWSHHXWIWMF-SECBINFHSA-N 0 0 266.297 2.634 20 5 CFBDRN Cc1cc(NC[C@@H](O)C2CCC2)c(F)cc1[N+](=O)[O-] ZINC000315669850 270293162 /nfs/dbraw/zinc/29/31/62/270293162.db2.gz KGRIGOFFTHVWPK-CYBMUJFWSA-N 0 0 268.288 2.615 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C)sn1 ZINC000170242018 533228288 /nfs/dbraw/zinc/22/82/88/533228288.db2.gz UYHGJOXMMCTPOG-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CCCN(C(=O)[C@@H](CC)OC)c1cccc([N+](=O)[O-])c1 ZINC000281659849 195326208 /nfs/dbraw/zinc/32/62/08/195326208.db2.gz CZMDCKQVSQCGLM-CYBMUJFWSA-N 0 0 280.324 2.763 20 5 CFBDRN CO[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@H](C)C1 ZINC000281925138 195436934 /nfs/dbraw/zinc/43/69/34/195436934.db2.gz SVJKNTIFMJFFDS-ZWNOBZJWSA-N 0 0 293.323 2.626 20 5 CFBDRN C[C@@](O)(COc1ccc(Cl)cc1[N+](=O)[O-])C(F)(F)F ZINC000282028988 195480095 /nfs/dbraw/zinc/48/00/95/195480095.db2.gz YBNGMNRCSOVRJW-SECBINFHSA-N 0 0 299.632 2.940 20 5 CFBDRN CO[C@H](Cn1cc([N+](=O)[O-])cn1)c1ccc(Cl)cc1 ZINC000282168692 195526322 /nfs/dbraw/zinc/52/63/22/195526322.db2.gz HTWYWHWMWXQYBW-GFCCVEGCSA-N 0 0 281.699 2.832 20 5 CFBDRN Cc1ccc(OCc2ncnn2C(C)(C)C)c([N+](=O)[O-])c1 ZINC000282188799 195531919 /nfs/dbraw/zinc/53/19/19/195531919.db2.gz KKRGCWXHUODLJW-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2CCOC3(CCC3)C2)c1 ZINC000282256809 195554447 /nfs/dbraw/zinc/55/44/47/195554447.db2.gz RRWOYWRNEOCCDB-LLVKDONJSA-N 0 0 277.324 2.691 20 5 CFBDRN CC(C)(C)n1ncnc1COc1cc(F)ccc1[N+](=O)[O-] ZINC000282316445 195573129 /nfs/dbraw/zinc/57/31/29/195573129.db2.gz ZBUFXPJWIYNTBX-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN CCc1ccc(C(=O)O[C@H]2CC[C@@H](OC)C2)cc1[N+](=O)[O-] ZINC000282732159 195693084 /nfs/dbraw/zinc/69/30/84/195693084.db2.gz SMXJNLYTFMNDMI-OLZOCXBDSA-N 0 0 293.319 2.882 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000282780102 195705573 /nfs/dbraw/zinc/70/55/73/195705573.db2.gz XOTYFYGOIHPWSB-JTQLQIEISA-N 0 0 281.337 2.610 20 5 CFBDRN CCc1nnc(CNc2c(C)c([N+](=O)[O-])ccc2F)o1 ZINC000283564212 195878559 /nfs/dbraw/zinc/87/85/59/195878559.db2.gz PZSHTLCFLHFVDK-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283346557 195843929 /nfs/dbraw/zinc/84/39/29/195843929.db2.gz CCXCQSVHMHUSSV-QMMMGPOBSA-N 0 0 283.303 2.625 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000174063251 533243230 /nfs/dbraw/zinc/24/32/30/533243230.db2.gz VOVVSHLTSODCHG-NWDGAFQWSA-N 0 0 299.330 2.971 20 5 CFBDRN COCCCCN(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000419017126 533253231 /nfs/dbraw/zinc/25/32/31/533253231.db2.gz QOCMRKGTRQJAPQ-UHFFFAOYSA-N 0 0 270.304 2.905 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])c3ncccc23)C1 ZINC000413275282 533293352 /nfs/dbraw/zinc/29/33/52/533293352.db2.gz BAHDYUQYILALTR-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2C)C12CCC2 ZINC000413439470 533538631 /nfs/dbraw/zinc/53/86/31/533538631.db2.gz JYOKTRGZHRODBX-VXGBXAGGSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(N)c2F)CS1 ZINC000413523924 533661889 /nfs/dbraw/zinc/66/18/89/533661889.db2.gz UYENYFAWNMGVHG-RNFRBKRXSA-N 0 0 271.317 2.622 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000341763874 130029027 /nfs/dbraw/zinc/02/90/27/130029027.db2.gz PHOZGDBUEYSJKC-NSHDSACASA-N 0 0 264.325 2.640 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000341886827 130112032 /nfs/dbraw/zinc/11/20/32/130112032.db2.gz GCBCRCYIXXXBJO-QWRGUYRKSA-N 0 0 290.319 2.563 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000341886826 130112320 /nfs/dbraw/zinc/11/23/20/130112320.db2.gz GCBCRCYIXXXBJO-MNOVXSKESA-N 0 0 290.319 2.563 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000341942803 130150597 /nfs/dbraw/zinc/15/05/97/130150597.db2.gz FOBLWVRFQVMUBS-AAEUAGOBSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)c2ccon2)c([N+](=O)[O-])c1 ZINC000341927967 130157384 /nfs/dbraw/zinc/15/73/84/130157384.db2.gz MFNSEGILHLYONC-MRVPVSSYSA-N 0 0 275.264 2.959 20 5 CFBDRN COC[C@H]1CCCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000450948302 285447301 /nfs/dbraw/zinc/44/73/01/285447301.db2.gz PDMYKFNEYUTJPL-LBPRGKRZSA-N 0 0 264.325 2.848 20 5 CFBDRN Cc1ccc(OC[C@H]2CC3(CCC3)C(=O)O2)c([N+](=O)[O-])c1 ZINC000191720690 130285033 /nfs/dbraw/zinc/28/50/33/130285033.db2.gz MJJLDWYFKBFCHX-LLVKDONJSA-N 0 0 291.303 2.768 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1Cc1nc(-c2cccc(C)c2)no1 ZINC000355544964 130313140 /nfs/dbraw/zinc/31/31/40/130313140.db2.gz JZBOEBXOIVBOMA-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=c1ccn(C[C@@H]2CCC3(CCCCC3)O2)cc1[N+](=O)[O-] ZINC000191831759 130313960 /nfs/dbraw/zinc/31/39/60/130313960.db2.gz SZEHRHZNUFODBO-LBPRGKRZSA-N 0 0 292.335 2.638 20 5 CFBDRN O=[N+]([O-])c1cnc(S[C@H]2CCCOC2)c(Cl)c1 ZINC000355571028 130314599 /nfs/dbraw/zinc/31/45/99/130314599.db2.gz XRPHGCNLMIPBPB-QMMMGPOBSA-N 0 0 274.729 2.914 20 5 CFBDRN Cc1cc(C(=O)N2CCS[C@@H](C)CC2)ccc1[N+](=O)[O-] ZINC000191937644 130333172 /nfs/dbraw/zinc/33/31/72/130333172.db2.gz PZJVOSJSVJDQNM-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(CCc2ccco2)n1 ZINC000355682958 130336209 /nfs/dbraw/zinc/33/62/09/130336209.db2.gz WVQTZIYBRYAVJI-UHFFFAOYSA-N 0 0 299.286 2.947 20 5 CFBDRN Cc1ccnc(-c2noc(Cc3cccc([N+](=O)[O-])c3)n2)c1 ZINC000355907564 130351455 /nfs/dbraw/zinc/35/14/55/130351455.db2.gz NUDNVMNRHWLOQH-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN CC[C@H](C)CC(=O)OCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000192102976 130372522 /nfs/dbraw/zinc/37/25/22/130372522.db2.gz BORIALKQYCUQGI-JTQLQIEISA-N 0 0 294.307 2.513 20 5 CFBDRN CCC(C)(C)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000192199569 130393820 /nfs/dbraw/zinc/39/38/20/130393820.db2.gz ADNAFSPLYOXZGX-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CCN(C(=O)COc1cccc([N+](=O)[O-])c1)[C@H](C)C(C)C ZINC000342280096 130412090 /nfs/dbraw/zinc/41/20/90/130412090.db2.gz LFJVRYNTEJHJPK-GFCCVEGCSA-N 0 0 294.351 2.867 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000342294972 130423576 /nfs/dbraw/zinc/42/35/76/130423576.db2.gz OQEZJYQRYTXWKW-VIFPVBQESA-N 0 0 284.287 2.734 20 5 CFBDRN CC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000357562109 130492815 /nfs/dbraw/zinc/49/28/15/130492815.db2.gz SCFFMFWORGWCOC-UHFFFAOYSA-N 0 0 282.727 2.707 20 5 CFBDRN CCC[C@H](CC)Sc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000357657101 130497924 /nfs/dbraw/zinc/49/79/24/130497924.db2.gz FKIAFSBWOAHXNN-QMMMGPOBSA-N 0 0 283.353 2.760 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc([C@@H]3CCCOC3)n2)c1 ZINC000359839053 130551165 /nfs/dbraw/zinc/55/11/65/130551165.db2.gz YPDCFPBCXZNNCF-MRVPVSSYSA-N 0 0 279.321 2.940 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCO[C@H]1CCCCO1 ZINC000193077912 130581275 /nfs/dbraw/zinc/58/12/75/130581275.db2.gz XADSQSSRPAMIQO-HNNXBMFYSA-N 0 0 292.335 2.501 20 5 CFBDRN CC(C)OCCCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000193497781 130627482 /nfs/dbraw/zinc/62/74/82/130627482.db2.gz SQKDUEVZTQRFEP-UHFFFAOYSA-N 0 0 267.329 2.794 20 5 CFBDRN C[C@H](CNc1cc(N)ccc1[N+](=O)[O-])c1nccs1 ZINC000193499228 130628517 /nfs/dbraw/zinc/62/85/17/130628517.db2.gz GLPJISOJOQTSCD-MRVPVSSYSA-N 0 0 278.337 2.849 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCO[C@H]1CCCCO1 ZINC000194714684 130711492 /nfs/dbraw/zinc/71/14/92/130711492.db2.gz MHDLVXLLIKWOJO-AWEZNQCLSA-N 0 0 297.307 2.525 20 5 CFBDRN CS[C@@H]1CCC[C@H](Nc2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000227360283 130842883 /nfs/dbraw/zinc/84/28/83/130842883.db2.gz LNNYBGRWYRWEDX-VHSXEESVSA-N 0 0 284.385 2.723 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCCC1OCCCO1 ZINC000230180681 130894536 /nfs/dbraw/zinc/89/45/36/130894536.db2.gz IBNVPSMCNRWNNV-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN CC(C)OCCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000230602808 130905062 /nfs/dbraw/zinc/90/50/62/130905062.db2.gz VLLBBVAQIXGGNE-UHFFFAOYSA-N 0 0 260.240 2.710 20 5 CFBDRN COC[C@]1(C)CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000294303996 285482144 /nfs/dbraw/zinc/48/21/44/285482144.db2.gz VGIHMFZXZZAGFC-OAHLLOKOSA-N 0 0 292.335 2.660 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2C)[C@H]1OC ZINC000252756463 131138331 /nfs/dbraw/zinc/13/83/31/131138331.db2.gz BSQJLNYZTFARLS-MGPQQGTHSA-N 0 0 280.324 2.508 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@H](C2CC2)C1 ZINC000288312792 291998037 /nfs/dbraw/zinc/99/80/37/291998037.db2.gz UAYXUALZGSAREZ-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)NC1(C)CCCC1 ZINC000049078662 291997366 /nfs/dbraw/zinc/99/73/66/291997366.db2.gz FISZLVQSTSDMLT-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1Cc2cccc(O)c2C1 ZINC000428660744 291998484 /nfs/dbraw/zinc/99/84/84/291998484.db2.gz OCQROPPBFVYXHA-NSHDSACASA-N 0 0 285.303 2.583 20 5 CFBDRN CCN(Cc1c(F)cccc1F)c1c([N+](=O)[O-])ncn1C ZINC000263650709 131301737 /nfs/dbraw/zinc/30/17/37/131301737.db2.gz BNQFUUUDOTXJBA-UHFFFAOYSA-N 0 0 296.277 2.633 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCCNc2ccc([N+](=O)[O-])cc2)C1 ZINC000263822256 131338947 /nfs/dbraw/zinc/33/89/47/131338947.db2.gz RBLBCOLCQVKWFP-GFCCVEGCSA-N 0 0 291.351 2.655 20 5 CFBDRN CO[C@@H](C)CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000263897742 131355727 /nfs/dbraw/zinc/35/57/27/131355727.db2.gz PMUDMULTQKOIGO-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1sc(C(=O)Nc2cc(C3CC3)[nH]n2)cc1[N+](=O)[O-] ZINC000264035679 131383842 /nfs/dbraw/zinc/38/38/42/131383842.db2.gz OFYUVMZHOHOUPV-UHFFFAOYSA-N 0 0 292.320 2.818 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cc(C2CC2)[nH]n1 ZINC000264058173 131388156 /nfs/dbraw/zinc/38/81/56/131388156.db2.gz VLMNSSVUUIYCOJ-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CCCN(CC(C)(C)O)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000264089119 131392778 /nfs/dbraw/zinc/39/27/78/131392778.db2.gz FOXBGBMMSRIOFD-UHFFFAOYSA-N 0 0 295.339 2.610 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@H]2CCC[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000264108999 131395738 /nfs/dbraw/zinc/39/57/38/131395738.db2.gz IUMDXCJWIBNUCJ-MNOVXSKESA-N 0 0 294.355 2.571 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@H]2CCC[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000264109001 131396260 /nfs/dbraw/zinc/39/62/60/131396260.db2.gz IUMDXCJWIBNUCJ-QWRGUYRKSA-N 0 0 294.355 2.571 20 5 CFBDRN Cc1noc(C)c1CCNc1ccc([N+](=O)[O-])cc1 ZINC000264546248 131468622 /nfs/dbraw/zinc/46/86/22/131468622.db2.gz QYEOKLULDVSQFK-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN CCCOCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264603091 131475955 /nfs/dbraw/zinc/47/59/55/131475955.db2.gz IFLGFXXFPXKMRN-UHFFFAOYSA-N 0 0 263.253 2.571 20 5 CFBDRN CN(C)c1nnc(SCc2ccccc2[N+](=O)[O-])s1 ZINC000264764312 131498816 /nfs/dbraw/zinc/49/88/16/131498816.db2.gz QORVKADNPDIBEX-UHFFFAOYSA-N 0 0 296.377 2.805 20 5 CFBDRN Cc1c(OC(=O)c2ccn(C(C)C)n2)cccc1[N+](=O)[O-] ZINC000264966097 131530380 /nfs/dbraw/zinc/53/03/80/131530380.db2.gz FMVXKGDQHAYDNQ-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](CCCO)c1ccccc1 ZINC000265815112 131641406 /nfs/dbraw/zinc/64/14/06/131641406.db2.gz GNYLKOMKFKJXRV-CYBMUJFWSA-N 0 0 287.319 2.916 20 5 CFBDRN C[C@@H](NCc1ncc(Cl)n1C)c1ccccc1[N+](=O)[O-] ZINC000266925672 131798951 /nfs/dbraw/zinc/79/89/51/131798951.db2.gz ZLKPRSKXUNYAJD-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1cnc(NC[C@]2(C)CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000267114494 131822949 /nfs/dbraw/zinc/82/29/49/131822949.db2.gz RYUXIRJWNLPCEL-JSGCOSHPSA-N 0 0 279.340 2.651 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CS[C@@H](C)C(C)=O ZINC000267183635 131831419 /nfs/dbraw/zinc/83/14/19/131831419.db2.gz NIGTZFWTRLHPIE-VIFPVBQESA-N 0 0 269.322 2.814 20 5 CFBDRN CC(C)[C@H]1OCCC[C@H]1CNc1ncccc1[N+](=O)[O-] ZINC000267648811 131896630 /nfs/dbraw/zinc/89/66/30/131896630.db2.gz LWOVOBWXIWARIV-WCQYABFASA-N 0 0 279.340 2.853 20 5 CFBDRN C[C@H]1CC/C(=C\C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000267837304 131924084 /nfs/dbraw/zinc/92/40/84/131924084.db2.gz GOXYYLITCUWMDL-GJSJWPQCSA-N 0 0 274.320 2.957 20 5 CFBDRN CN(CCOCC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000268037934 131949218 /nfs/dbraw/zinc/94/92/18/131949218.db2.gz MXVFUPCPDZYNDT-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN NC(=O)[C@@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000268449592 132011160 /nfs/dbraw/zinc/01/11/60/132011160.db2.gz ILWQQLCQGBQWDN-MWLCHTKSSA-N 0 0 297.742 2.704 20 5 CFBDRN Cc1nc(NCCCOC(C)C)ccc1[N+](=O)[O-] ZINC000268543005 132025148 /nfs/dbraw/zinc/02/51/48/132025148.db2.gz ZPRJYRJRPIRNGA-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN CCCN(CC(C)(C)O)c1ccccc1[N+](=O)[O-] ZINC000268586864 132031093 /nfs/dbraw/zinc/03/10/93/132031093.db2.gz KYINMRJJUMVJQF-UHFFFAOYSA-N 0 0 252.314 2.582 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1cc([N+](=O)[O-])ccc1OC ZINC000268744223 132059532 /nfs/dbraw/zinc/05/95/32/132059532.db2.gz RKUWJOVXZPQEII-AAEUAGOBSA-N 0 0 294.351 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCc3c[nH]nc32)c(F)c1 ZINC000268884685 132082815 /nfs/dbraw/zinc/08/28/15/132082815.db2.gz WYYNDSPMKHYXMW-GFCCVEGCSA-N 0 0 276.271 2.947 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2cc(C)ccc2[N+](=O)[O-])n[nH]1 ZINC000268935244 132090979 /nfs/dbraw/zinc/09/09/79/132090979.db2.gz DDPMFZULKUKFAP-JTQLQIEISA-N 0 0 274.324 2.978 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H](OCc2ccccn2)C1 ZINC000269165865 132123262 /nfs/dbraw/zinc/12/32/62/132123262.db2.gz PYUFTJFIVZZQKM-CQSZACIVSA-N 0 0 299.330 2.785 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000269175273 132125246 /nfs/dbraw/zinc/12/52/46/132125246.db2.gz SMLXMUHOVHXWSA-JTQLQIEISA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCOC(C)C ZINC000269298054 132151447 /nfs/dbraw/zinc/15/14/47/132151447.db2.gz SPHMOTAPLZRNAS-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1cnc(NCCCc2ccccn2)c([N+](=O)[O-])c1 ZINC000269360597 132160613 /nfs/dbraw/zinc/16/06/13/132160613.db2.gz JDAVNZMDURIWHR-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ncc(Cl)cc2[N+](=O)[O-])o1 ZINC000269406554 132166404 /nfs/dbraw/zinc/16/64/04/132166404.db2.gz WANAWDZIRHTNMO-SNVBAGLBSA-N 0 0 297.698 2.690 20 5 CFBDRN CC(C)CNC(=O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000007093641 393465547 /nfs/dbraw/zinc/46/55/47/393465547.db2.gz JSUHLLIYOBTEMW-UHFFFAOYSA-N 0 0 282.365 2.600 20 5 CFBDRN C[C@@H]1CN(C)c2ccccc2CN1c1ncccc1[N+](=O)[O-] ZINC000301555002 393474934 /nfs/dbraw/zinc/47/49/34/393474934.db2.gz IFQBSCBWESHBAH-GFCCVEGCSA-N 0 0 298.346 2.835 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000301992121 393485587 /nfs/dbraw/zinc/48/55/87/393485587.db2.gz QBQDCPADTOQJID-SNVBAGLBSA-N 0 0 290.298 2.841 20 5 CFBDRN CCOc1cccc(N2CCO[C@@](C)(CC)C2)c1[N+](=O)[O-] ZINC000302696110 393494109 /nfs/dbraw/zinc/49/41/09/393494109.db2.gz WIEMYMZZYNKVIR-HNNXBMFYSA-N 0 0 294.351 2.999 20 5 CFBDRN Cc1nc(N[C@@H]2COc3cccc(F)c32)ccc1[N+](=O)[O-] ZINC000374682298 393500765 /nfs/dbraw/zinc/50/07/65/393500765.db2.gz YBVYASCILXDSTP-SNVBAGLBSA-N 0 0 289.266 2.983 20 5 CFBDRN COCc1nc(CSc2ccc([N+](=O)[O-])cc2F)no1 ZINC000184518073 393529304 /nfs/dbraw/zinc/52/93/04/393529304.db2.gz UOPQWKUZSAPACO-UHFFFAOYSA-N 0 0 299.283 2.556 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1nccn1C ZINC000184664857 393530062 /nfs/dbraw/zinc/53/00/62/393530062.db2.gz JZFGFOQJSFNHLO-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN CC1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000037669409 393534357 /nfs/dbraw/zinc/53/43/57/393534357.db2.gz QANYAJRPFGKYRK-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@H](C)[C@@H](C)C2)c1 ZINC000167343860 292017600 /nfs/dbraw/zinc/01/76/00/292017600.db2.gz VHSXGOMLJMCUGO-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1cccc([N+](=O)[O-])c1C ZINC000330461082 393552717 /nfs/dbraw/zinc/55/27/17/393552717.db2.gz WMWAXYXWRXTFBK-SMDDNHRTSA-N 0 0 278.352 2.903 20 5 CFBDRN C[C@@H]1CCc2ccccc2[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000331940934 393560056 /nfs/dbraw/zinc/56/00/56/393560056.db2.gz MACWMKOUERBMLW-MEBBXXQBSA-N 0 0 299.330 2.976 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000187405711 393554172 /nfs/dbraw/zinc/55/41/72/393554172.db2.gz NJQPXRZXTQFUHJ-NOZJJQNGSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H]1CCSCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000190044651 393577345 /nfs/dbraw/zinc/57/73/45/393577345.db2.gz VUAJEENYURKBIF-MRVPVSSYSA-N 0 0 286.378 2.624 20 5 CFBDRN Cc1cc(C)c(C(=O)Cn2nccc2[N+](=O)[O-])c(C)c1 ZINC000048706181 393596172 /nfs/dbraw/zinc/59/61/72/393596172.db2.gz BGVXTHNPFLEVKX-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CCC(F)(F)C1 ZINC000334587021 393609570 /nfs/dbraw/zinc/60/95/70/393609570.db2.gz XSUUQHDCKYUFPX-VIFPVBQESA-N 0 0 272.251 2.500 20 5 CFBDRN CC(C)(NCc1cocn1)c1ccc([N+](=O)[O-])cc1 ZINC000192323308 393621687 /nfs/dbraw/zinc/62/16/87/393621687.db2.gz HOAOOLGUQQDSFZ-UHFFFAOYSA-N 0 0 261.281 2.608 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000334838517 393624479 /nfs/dbraw/zinc/62/44/79/393624479.db2.gz WLRADUVBFATLQH-LLVKDONJSA-N 0 0 280.299 2.902 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000411177219 393681547 /nfs/dbraw/zinc/68/15/47/393681547.db2.gz IORNYHNVNMWZNI-SNVBAGLBSA-N 0 0 292.335 2.910 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cccnc1 ZINC000192731484 393631379 /nfs/dbraw/zinc/63/13/79/393631379.db2.gz YUFZGGLGAFXQTA-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CSC[C@@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000066759757 393632412 /nfs/dbraw/zinc/63/24/12/393632412.db2.gz DXMFJCBSDYEHHF-ZJUUUORDSA-N 0 0 294.376 2.872 20 5 CFBDRN CC(C)N(C)c1cccc(Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000068764028 393634906 /nfs/dbraw/zinc/63/49/06/393634906.db2.gz CVNNGLFLPWUBLL-UHFFFAOYSA-N 0 0 289.339 2.917 20 5 CFBDRN Cc1noc2ncnc(Oc3cccc([N+](=O)[O-])c3)c12 ZINC000193379457 393649299 /nfs/dbraw/zinc/64/92/99/393649299.db2.gz TUCISKCJIQCFDN-UHFFFAOYSA-N 0 0 272.220 2.627 20 5 CFBDRN CO[C@@]1(C)C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000073275793 393651748 /nfs/dbraw/zinc/65/17/48/393651748.db2.gz HRWPJXSKRGKSKZ-DOMZBBRYSA-N 0 0 292.335 2.528 20 5 CFBDRN CCc1nnc(CSc2ccc([N+](=O)[O-])cc2F)[nH]1 ZINC000193510113 393654372 /nfs/dbraw/zinc/65/43/72/393654372.db2.gz IIKIZTBNVQGSNU-UHFFFAOYSA-N 0 0 282.300 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2nccs2)c1 ZINC000074523594 393658485 /nfs/dbraw/zinc/65/84/85/393658485.db2.gz RWYLOLHHHXAERA-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CCCC2)ccc1[N+](=O)[O-] ZINC000411126774 393670323 /nfs/dbraw/zinc/67/03/23/393670323.db2.gz ABIBFXLJYQAAJO-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CCN(CC)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411138810 393672766 /nfs/dbraw/zinc/67/27/66/393672766.db2.gz FHGHJHJBIRFZIS-QWHCGFSZSA-N 0 0 262.309 2.567 20 5 CFBDRN CC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000411143578 393674186 /nfs/dbraw/zinc/67/41/86/393674186.db2.gz RCYOKSQSBAKCAD-JTQLQIEISA-N 0 0 280.324 2.910 20 5 CFBDRN Cc1noc2ncnc(Oc3ccc([N+](=O)[O-])cc3)c12 ZINC000195505146 393741386 /nfs/dbraw/zinc/74/13/86/393741386.db2.gz YDYBTZWCYNKXTP-UHFFFAOYSA-N 0 0 272.220 2.627 20 5 CFBDRN COc1ccccc1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000195519365 393742965 /nfs/dbraw/zinc/74/29/65/393742965.db2.gz PLNICYYIMDFDSM-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000084205879 393747297 /nfs/dbraw/zinc/74/72/97/393747297.db2.gz IJNCIYYKLICEDB-UHFFFAOYSA-N 0 0 291.332 2.714 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCC1 ZINC000084256430 393747584 /nfs/dbraw/zinc/74/75/84/393747584.db2.gz QOAIXZHHHZVIST-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN CCC1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2N)CCC1 ZINC000084381878 393748179 /nfs/dbraw/zinc/74/81/79/393748179.db2.gz WNRDDZXXTRUHTJ-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000411833677 393752891 /nfs/dbraw/zinc/75/28/91/393752891.db2.gz JZUSRQBGGYWSRL-DDTOSNHZSA-N 0 0 274.320 2.567 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCc1ccccn1 ZINC000194430084 393694818 /nfs/dbraw/zinc/69/48/18/393694818.db2.gz JQDOHXDCDXLVEH-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000194577186 393706287 /nfs/dbraw/zinc/70/62/87/393706287.db2.gz BYLUQPGBPKSNMS-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1nn(C)c(C)c1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000078396590 393708135 /nfs/dbraw/zinc/70/81/35/393708135.db2.gz XACURQJVALUWCD-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CC(C)Oc1cc(C(=O)NC[C@@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000411423898 393710559 /nfs/dbraw/zinc/71/05/59/393710559.db2.gz OYFUNHSAWSYPKM-JQWIXIFHSA-N 0 0 292.335 2.768 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCc1nccn1C(F)F ZINC000194658213 393711807 /nfs/dbraw/zinc/71/18/07/393711807.db2.gz JRSANGNVUCFHKL-UHFFFAOYSA-N 0 0 286.263 2.874 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H](C)OC(C)(C)C1 ZINC000194835742 393719214 /nfs/dbraw/zinc/71/92/14/393719214.db2.gz HYVKYJBHUXHRAR-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000336176162 393721413 /nfs/dbraw/zinc/72/14/13/393721413.db2.gz FBQKIKSJJRZHNA-GFCCVEGCSA-N 0 0 262.309 2.713 20 5 CFBDRN CCN(CC)C(=O)CCCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000079915191 393721891 /nfs/dbraw/zinc/72/18/91/393721891.db2.gz QTOMFWONZKVASD-UHFFFAOYSA-N 0 0 293.367 2.964 20 5 CFBDRN Cc1c(NC(=O)CCc2cscn2)cccc1[N+](=O)[O-] ZINC000195062214 393729843 /nfs/dbraw/zinc/72/98/43/393729843.db2.gz MTTCRYPGJFVVAL-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN CCc1nn(C)cc1NCc1ccccc1[N+](=O)[O-] ZINC000222949204 393764220 /nfs/dbraw/zinc/76/42/20/393764220.db2.gz LNEJJNQPPXNYLZ-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN CO[C@H]1C[C@H](Nc2nc(C)ccc2[N+](=O)[O-])C1(C)C ZINC000223011862 393764962 /nfs/dbraw/zinc/76/49/62/393764962.db2.gz UPQPBJGGASXQHT-QWRGUYRKSA-N 0 0 265.313 2.524 20 5 CFBDRN CC[C@H](C)C(=O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000089692477 393768833 /nfs/dbraw/zinc/76/88/33/393768833.db2.gz DBBJTAKQZNTZIX-QMMMGPOBSA-N 0 0 255.245 2.728 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000226138676 393770553 /nfs/dbraw/zinc/77/05/53/393770553.db2.gz QTGKJCVKPZHMMY-UWVGGRQHSA-N 0 0 277.324 2.507 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000226138691 393770574 /nfs/dbraw/zinc/77/05/74/393770574.db2.gz QTGKJCVKPZHMMY-VHSXEESVSA-N 0 0 277.324 2.507 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H]1CCSC1 ZINC000228582415 393775044 /nfs/dbraw/zinc/77/50/44/393775044.db2.gz AQVAIMSPKHRJIV-SSDOTTSWSA-N 0 0 273.745 2.808 20 5 CFBDRN CCc1nn(C)c(N[C@@H](C)Cc2ccsc2)c1[N+](=O)[O-] ZINC000091562518 393784339 /nfs/dbraw/zinc/78/43/39/393784339.db2.gz OLEFZBHPRWDZQZ-VIFPVBQESA-N 0 0 294.380 2.995 20 5 CFBDRN COc1cccc(C(=O)NC[C@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000340082763 393836199 /nfs/dbraw/zinc/83/61/99/393836199.db2.gz GYINIUSKJVVSLO-GHMZBOCLSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1cccc(N(C)[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000338719903 393796295 /nfs/dbraw/zinc/79/62/95/393796295.db2.gz AXBYZEUVRHXWQV-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@@H](OC(C)C)C2)c1 ZINC000457889101 393797575 /nfs/dbraw/zinc/79/75/75/393797575.db2.gz TZMVZXWGKHKDLD-TXEJJXNPSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc(N2CCOC[C@H]2CC2CCC2)ncc1[N+](=O)[O-] ZINC000338832027 393802124 /nfs/dbraw/zinc/80/21/24/393802124.db2.gz UIWYFPOSFWCOBU-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000245454330 393805701 /nfs/dbraw/zinc/80/57/01/393805701.db2.gz HAQZZUMMRMCHKM-WDNDVIMCSA-N 0 0 274.320 2.647 20 5 CFBDRN CCOC[C@@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000458048750 393833353 /nfs/dbraw/zinc/83/33/53/393833353.db2.gz AJLGCQTYHSOPJB-AWEZNQCLSA-N 0 0 292.335 2.626 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)C1CC(C)(C)C1 ZINC000458209558 393871605 /nfs/dbraw/zinc/87/16/05/393871605.db2.gz PDGQKSYABVCXQO-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@H](NC(=O)c1c(N)cccc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000416275814 393894098 /nfs/dbraw/zinc/89/40/98/393894098.db2.gz LUKRQOZMISCLBZ-ONGXEEELSA-N 0 0 291.351 2.732 20 5 CFBDRN CO[C@@]1(C)C[C@H]([NH2+]Cc2cc([O-])ccc2[N+](=O)[O-])C1(C)C ZINC000344730713 393903241 /nfs/dbraw/zinc/90/32/41/393903241.db2.gz YPKPSWHCUPZLCX-ZFWWWQNUSA-N 0 0 294.351 2.594 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1N)C1(C)CCCC1 ZINC000428661850 393903651 /nfs/dbraw/zinc/90/36/51/393903651.db2.gz WEBDONKQHUWREG-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN Cc1c(-c2noc([C@@]3(C)C[C@@H]3F)n2)cccc1[N+](=O)[O-] ZINC000424362174 393840271 /nfs/dbraw/zinc/84/02/71/393840271.db2.gz NFHHWWKEKYJHKM-GWCFXTLKSA-N 0 0 277.255 2.953 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@@]2(C)C(C)C)c1 ZINC000458091375 393844082 /nfs/dbraw/zinc/84/40/82/393844082.db2.gz FTOUANBHDCSBJM-HIFRSBDPSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1c(C(=O)N[C@H]2C[C@@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000458103955 393847745 /nfs/dbraw/zinc/84/77/45/393847745.db2.gz CRIHROHJHCHUBV-WFASDCNBSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@]1(C)C(C)C ZINC000458152198 393860110 /nfs/dbraw/zinc/86/01/10/393860110.db2.gz RCFWGXNPCVBZOX-DZGCQCFKSA-N 0 0 292.335 2.768 20 5 CFBDRN C[C@@H]1COC[C@H](C)N1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119219261 393916391 /nfs/dbraw/zinc/91/63/91/393916391.db2.gz ZLEDZLJGJGMBBH-AOOOYVTPSA-N 0 0 284.743 2.857 20 5 CFBDRN CCOC(=O)c1ccnc(N2CCC[C@@H]2CC)c1[N+](=O)[O-] ZINC000348174470 393948584 /nfs/dbraw/zinc/94/85/84/393948584.db2.gz LGUGQLUCENCGHX-JTQLQIEISA-N 0 0 293.323 2.545 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c([O-])c2)C[C@@H](C)C1 ZINC000119408596 393919740 /nfs/dbraw/zinc/91/97/40/393919740.db2.gz HQULAICAOPQWFL-MNOVXSKESA-N 0 0 292.335 2.809 20 5 CFBDRN CC(C)c1ccccc1NC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000271069604 394017120 /nfs/dbraw/zinc/01/71/20/394017120.db2.gz XWYJNBLBLFCPFS-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H](CO)c1ccccc1 ZINC000487669038 394017944 /nfs/dbraw/zinc/01/79/44/394017944.db2.gz TWOXDGJYQOGPPO-LBPRGKRZSA-N 0 0 290.294 2.922 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@H]3CC[C@@H]2O3)ccc2ncccc21 ZINC000133479767 296496932 /nfs/dbraw/zinc/49/69/32/296496932.db2.gz UNEYXMDNDGREBK-FZQKWOKYSA-N 0 0 285.303 2.875 20 5 CFBDRN COCC1(C)CN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000487762439 394022813 /nfs/dbraw/zinc/02/28/13/394022813.db2.gz QUTMLHOONATSNT-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN O=C(Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)c1ccc[nH]1 ZINC000349160198 393963867 /nfs/dbraw/zinc/96/38/67/393963867.db2.gz OQFZLNXMHYWLFI-UHFFFAOYSA-N 0 0 296.286 2.669 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC(C)(C)OC[C@@H]1C ZINC000430234176 393971710 /nfs/dbraw/zinc/97/17/10/393971710.db2.gz VFYPATKJZPSOSW-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000122855636 393972609 /nfs/dbraw/zinc/97/26/09/393972609.db2.gz WHVGWCYMHUBVCG-VIFPVBQESA-N 0 0 281.312 2.866 20 5 CFBDRN CC1=C[C@@H](C)CN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000430269117 393976279 /nfs/dbraw/zinc/97/62/79/393976279.db2.gz MSRCKFRYQGROTO-SNVBAGLBSA-N 0 0 286.335 2.869 20 5 CFBDRN Cc1cc(N2CC[N@H+](C)[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000487047432 393980760 /nfs/dbraw/zinc/98/07/60/393980760.db2.gz OJYQIINWNUIVSW-OAHLLOKOSA-N 0 0 277.368 2.680 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)C[C@H](C)O1 ZINC000266327951 393981629 /nfs/dbraw/zinc/98/16/29/393981629.db2.gz GPFXMLYAHQTANQ-CMPLNLGQSA-N 0 0 280.324 2.607 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC(n2ccnc2)CC1 ZINC000266988618 393985434 /nfs/dbraw/zinc/98/54/34/393985434.db2.gz UFGOTDUPQYQPBB-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430410979 393986083 /nfs/dbraw/zinc/98/60/83/393986083.db2.gz DEADRWLREWXZLR-CMPLNLGQSA-N 0 0 294.351 2.833 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000267605335 393987892 /nfs/dbraw/zinc/98/78/92/393987892.db2.gz GAUGAYILKBAZDA-VIFPVBQESA-N 0 0 290.241 2.839 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(C)[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000430475116 393989105 /nfs/dbraw/zinc/98/91/05/393989105.db2.gz YSWBAESLWHEMNI-VHSXEESVSA-N 0 0 294.355 2.531 20 5 CFBDRN Cc1ccncc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000430516652 393990452 /nfs/dbraw/zinc/99/04/52/393990452.db2.gz AVOWZXVCSQXAQK-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN Cc1cnc(N2CC=C(C(F)(F)F)CC2)c([N+](=O)[O-])c1 ZINC000270104601 394009228 /nfs/dbraw/zinc/00/92/28/394009228.db2.gz CFYRUBZUCKIBAM-UHFFFAOYSA-N 0 0 287.241 2.997 20 5 CFBDRN Cc1noc(C)c1CCOc1cccc([N+](=O)[O-])c1 ZINC000126381300 394026732 /nfs/dbraw/zinc/02/67/32/394026732.db2.gz JFQTXMCQPKKTFX-UHFFFAOYSA-N 0 0 262.265 2.821 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432308066 394011688 /nfs/dbraw/zinc/01/16/88/394011688.db2.gz QFSDJGNMDIQTMC-IINYFYTJSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC000275886571 394047332 /nfs/dbraw/zinc/04/73/32/394047332.db2.gz LGXYITONNQRSPE-LLVKDONJSA-N 0 0 292.335 2.579 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCO[C@@H]2CCC[C@H]21 ZINC000276584896 394051234 /nfs/dbraw/zinc/05/12/34/394051234.db2.gz IXRJUXQRMDOJFV-UKRRQHHQSA-N 0 0 299.330 2.901 20 5 CFBDRN C[C@@H]1CCSCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000276844477 394052339 /nfs/dbraw/zinc/05/23/39/394052339.db2.gz QGIOQRVHRVMVGF-SECBINFHSA-N 0 0 297.336 2.835 20 5 CFBDRN Cc1nn(C)c(C(=O)N[C@@H](C)CCCC(C)C)c1[N+](=O)[O-] ZINC000127611694 394053163 /nfs/dbraw/zinc/05/31/63/394053163.db2.gz JRSBLMTXCFXTBV-JTQLQIEISA-N 0 0 296.371 2.581 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@](C)(O)C1 ZINC000277821777 394058602 /nfs/dbraw/zinc/05/86/02/394058602.db2.gz QMGWHRXHXIVYMT-LBPRGKRZSA-N 0 0 270.716 2.518 20 5 CFBDRN CO[C@H](CSCc1c([N+](=O)[O-])ncn1C)CC(C)C ZINC000419641437 394060313 /nfs/dbraw/zinc/06/03/13/394060313.db2.gz VVYUPXMLZYSXSC-JTQLQIEISA-N 0 0 287.385 2.623 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000279204043 394065822 /nfs/dbraw/zinc/06/58/22/394065822.db2.gz LLUBFDJPKURIFY-IUCAKERBSA-N 0 0 295.314 2.625 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000439158613 394103279 /nfs/dbraw/zinc/10/32/79/394103279.db2.gz PSZZPGROJZOVIB-RKDXNWHRSA-N 0 0 265.313 2.540 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@@H](F)C1 ZINC000492841691 394080240 /nfs/dbraw/zinc/08/02/40/394080240.db2.gz QAMXXDPUOMTXLA-KAOWFCBESA-N 0 0 278.283 2.615 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@H]1CCCSC1 ZINC000492845055 394081097 /nfs/dbraw/zinc/08/10/97/394081097.db2.gz YVXBCMQTSUZMML-SYTKJHMZSA-N 0 0 292.360 2.620 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](C)C1 ZINC000281976006 394104021 /nfs/dbraw/zinc/10/40/21/394104021.db2.gz OHKAULQQSQGTQR-SNVBAGLBSA-N 0 0 293.323 2.867 20 5 CFBDRN COC1(CC(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)CCC1 ZINC000281863925 394085774 /nfs/dbraw/zinc/08/57/74/394085774.db2.gz CDMBHECSOMBCBF-UHFFFAOYSA-N 0 0 296.298 2.940 20 5 CFBDRN CS[C@H](C)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000281868285 394086590 /nfs/dbraw/zinc/08/65/90/394086590.db2.gz CLCGXSIUHLDJPN-SSDOTTSWSA-N 0 0 272.301 2.732 20 5 CFBDRN Cc1cncc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)c1 ZINC000438934210 394088501 /nfs/dbraw/zinc/08/85/01/394088501.db2.gz PZXVTUHYBUUXEU-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492885545 394089382 /nfs/dbraw/zinc/08/93/82/394089382.db2.gz DFSAYCCILZFVOH-FWWRYZNZSA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@](C)(O)C(F)(F)F ZINC000281900100 394090631 /nfs/dbraw/zinc/09/06/31/394090631.db2.gz PDMCYZNIJMCETG-SNVBAGLBSA-N 0 0 279.214 2.595 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1C(F)F ZINC000492899588 394092888 /nfs/dbraw/zinc/09/28/88/394092888.db2.gz SXYJZHDZJOJYJQ-FZKGZDJFSA-N 0 0 296.273 2.864 20 5 CFBDRN CO[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000281925131 394095525 /nfs/dbraw/zinc/09/55/25/394095525.db2.gz SVJKNTIFMJFFDS-GXFFZTMASA-N 0 0 293.323 2.626 20 5 CFBDRN CC[C@H]1CCN1C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492917408 394097381 /nfs/dbraw/zinc/09/73/81/394097381.db2.gz CFHJRZYUGLULHY-DGMVEKRQSA-N 0 0 260.293 2.619 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358349960 394105294 /nfs/dbraw/zinc/10/52/94/394105294.db2.gz KMUVZYMTKQCSNE-SNVBAGLBSA-N 0 0 282.315 2.779 20 5 CFBDRN Cc1cc(OCC(=O)N(C)[C@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000281942907 394098555 /nfs/dbraw/zinc/09/85/55/394098555.db2.gz IVZLVSOMWDNPQM-GFCCVEGCSA-N 0 0 294.351 2.785 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H]2CC[C@H](CC)CC2)c1[N+](=O)[O-] ZINC000436891963 394031837 /nfs/dbraw/zinc/03/18/37/394031837.db2.gz IDOBTCIEGNEUDB-MGCOHNPYSA-N 0 0 294.355 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CC(C)(C)OC[C@@H]1C ZINC000488405911 394036849 /nfs/dbraw/zinc/03/68/49/394036849.db2.gz JVSAIXQFGDUPHK-LBPRGKRZSA-N 0 0 278.352 2.903 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000493199884 394177650 /nfs/dbraw/zinc/17/76/50/394177650.db2.gz DHYUKCBKERJUQU-HQZHTGGTSA-N 0 0 288.225 2.675 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000448740369 394178062 /nfs/dbraw/zinc/17/80/62/394178062.db2.gz TWIYHPTYUZACJD-GIPNMCIBSA-N 0 0 262.309 2.818 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000492952278 394106478 /nfs/dbraw/zinc/10/64/78/394106478.db2.gz GLAVNITWUXOOTP-DFTRNUNLSA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1cccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000439288329 394110111 /nfs/dbraw/zinc/11/01/11/394110111.db2.gz UBLCMHDYIBSOIO-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC(F)(F)C2)s1 ZINC000282014730 394110606 /nfs/dbraw/zinc/11/06/06/394110606.db2.gz VMDDXNWUPVKXDC-ZCFIWIBFSA-N 0 0 263.269 2.899 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000439717357 394128927 /nfs/dbraw/zinc/12/89/27/394128927.db2.gz UHFNSUKXZNABBC-ZJUUUORDSA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@H](C)C[C@@H](C)O)cc1[N+](=O)[O-] ZINC000439744654 394129359 /nfs/dbraw/zinc/12/93/59/394129359.db2.gz LSJKKMBKTIQWTQ-GHMZBOCLSA-N 0 0 294.351 2.607 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CC(CC(F)F)C1 ZINC000493056317 394135978 /nfs/dbraw/zinc/13/59/78/394135978.db2.gz SZKJTKRIPRXHAI-UTCJRWHESA-N 0 0 296.273 2.722 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CC[C@H]1C1CC1 ZINC000493214280 394180922 /nfs/dbraw/zinc/18/09/22/394180922.db2.gz FUEOZDGUJFZWLA-ZBKLQPJUSA-N 0 0 272.304 2.619 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ncccc2F)cc([N+](=O)[O-])c1 ZINC000131621591 394137441 /nfs/dbraw/zinc/13/74/41/394137441.db2.gz VFWWCGRAYNAWIE-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN C[C@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H]1C ZINC000444690544 394145504 /nfs/dbraw/zinc/14/55/04/394145504.db2.gz UCIGSZKSLCCAHY-WDEREUQCSA-N 0 0 288.351 2.949 20 5 CFBDRN CC(F)(F)CCNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000493102028 394148814 /nfs/dbraw/zinc/14/88/14/394148814.db2.gz ZUFIQLONDNJQDC-SREVYHEPSA-N 0 0 284.262 2.770 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCCCn2ccnc2)c1 ZINC000133775490 394182216 /nfs/dbraw/zinc/18/22/16/394182216.db2.gz OAFYZJMOLWPYTG-UHFFFAOYSA-N 0 0 290.323 2.692 20 5 CFBDRN COc1cc(C(=O)NCCC(C)(C)C)c([N+](=O)[O-])cc1F ZINC000448701952 394170625 /nfs/dbraw/zinc/17/06/25/394170625.db2.gz QXMVPEJNDKGALU-UHFFFAOYSA-N 0 0 298.314 2.909 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncnc3sc([N+](=O)[O-])cc32)CCO1 ZINC000286583292 394197473 /nfs/dbraw/zinc/19/74/73/394197473.db2.gz STLXVAGXBKQFGD-SFYZADRCSA-N 0 0 294.336 2.579 20 5 CFBDRN CN(Cc1cnccn1)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000493278380 394197622 /nfs/dbraw/zinc/19/76/22/394197622.db2.gz DUIPEDBNJLGJOB-UHFFFAOYSA-N 0 0 292.726 2.670 20 5 CFBDRN Cc1nc(N2CCC3(CCOCC3)CC2)ccc1[N+](=O)[O-] ZINC000286830550 394200278 /nfs/dbraw/zinc/20/02/78/394200278.db2.gz YBMHXCNOQXSDJV-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN CCCc1cc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])n[nH]1 ZINC000134752519 394204298 /nfs/dbraw/zinc/20/42/98/394204298.db2.gz NGXSZGPJHJUXSU-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN Cc1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)c(O)c1 ZINC000134794764 394204493 /nfs/dbraw/zinc/20/44/93/394204493.db2.gz FXZKGWYOBJOKIO-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C[N@H+](C)CCC1CC1 ZINC000135263025 394215116 /nfs/dbraw/zinc/21/51/16/394215116.db2.gz SEWCWNBHYIVYFZ-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN CCCN(CCC)C(=O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000135364697 394218750 /nfs/dbraw/zinc/21/87/50/394218750.db2.gz WFLLKSGOKLJFNV-UHFFFAOYSA-N 0 0 298.314 2.761 20 5 CFBDRN O=C(NCCc1ccc[nH]1)c1ccc([N+](=O)[O-])cc1Cl ZINC000135951301 394228438 /nfs/dbraw/zinc/22/84/38/394228438.db2.gz NCSKWHWDQLKXHX-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN Cc1ccc(C(=O)N(C(C)C)[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000291566383 394246562 /nfs/dbraw/zinc/24/65/62/394246562.db2.gz JIHSHRFZCRZVEI-CYBMUJFWSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(N1CCc2c1cccc2[N+](=O)[O-])C1(CCF)CC1 ZINC000291574183 394247636 /nfs/dbraw/zinc/24/76/36/394247636.db2.gz VVHQTMBJTGZVBJ-UHFFFAOYSA-N 0 0 278.283 2.624 20 5 CFBDRN CC[C@H](NC(=O)/C=C/c1ccccc1[N+](=O)[O-])C(F)F ZINC000493481743 394254190 /nfs/dbraw/zinc/25/41/90/394254190.db2.gz GLXJAJLUEGFIHB-JARNTUPDSA-N 0 0 284.262 2.768 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCCOCC1 ZINC000286078162 394188076 /nfs/dbraw/zinc/18/80/76/394188076.db2.gz BHXZNGSDZUSNJL-LLVKDONJSA-N 0 0 278.308 2.778 20 5 CFBDRN CCCCN(CC)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000134128294 394189616 /nfs/dbraw/zinc/18/96/16/394189616.db2.gz BAGAXLBSKRTNAN-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])nn1C ZINC000151122167 394260880 /nfs/dbraw/zinc/26/08/80/394260880.db2.gz RVDORNQWGMQFCU-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc(N[C@@H]2COc3cccc(F)c32)ncc1[N+](=O)[O-] ZINC000367783604 394262392 /nfs/dbraw/zinc/26/23/92/394262392.db2.gz MPYNNNUARDBBTL-SNVBAGLBSA-N 0 0 289.266 2.983 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000450739591 394331002 /nfs/dbraw/zinc/33/10/02/394331002.db2.gz RFMFSBNGTMLGOR-AAEUAGOBSA-N 0 0 279.340 2.853 20 5 CFBDRN CCO[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H]1C ZINC000450744787 394332887 /nfs/dbraw/zinc/33/28/87/394332887.db2.gz NUZBEMIJVBSRIS-CABZTGNLSA-N 0 0 299.758 2.895 20 5 CFBDRN CCO[C@H]1CCN(c2nccc(C)c2[N+](=O)[O-])C[C@@H]1C ZINC000450745079 394333311 /nfs/dbraw/zinc/33/33/11/394333311.db2.gz ONCROLADZSPUAI-RYUDHWBXSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@H]3CCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000295006427 394296115 /nfs/dbraw/zinc/29/61/15/394296115.db2.gz SIRAEWTUIZVZPZ-QWRGUYRKSA-N 0 0 276.340 2.708 20 5 CFBDRN Cc1cc(N2CC[C@@H](F)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000450604323 394297207 /nfs/dbraw/zinc/29/72/07/394297207.db2.gz KMFKISXYGVSVKM-SNVBAGLBSA-N 0 0 275.283 3.000 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nnc(C3CC3)s2)c1 ZINC000450618520 394300331 /nfs/dbraw/zinc/30/03/31/394300331.db2.gz FDIGXQJEHNVKSE-UHFFFAOYSA-N 0 0 277.305 2.903 20 5 CFBDRN CCC(=O)c1ccc(NCC2=CCOCC2)c([N+](=O)[O-])c1 ZINC000450624852 394301572 /nfs/dbraw/zinc/30/15/72/394301572.db2.gz PLMKNDQHAFSMOP-UHFFFAOYSA-N 0 0 290.319 2.946 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@@H]2c2ccncc2)ncc1[N+](=O)[O-] ZINC000295704066 394302855 /nfs/dbraw/zinc/30/28/55/394302855.db2.gz QKAIHGBESWKBGS-ZDUSSCGKSA-N 0 0 299.334 2.676 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000493664722 394303782 /nfs/dbraw/zinc/30/37/82/394303782.db2.gz SPWYRCRNHHRIEN-FWAPLPHYSA-N 0 0 260.293 2.523 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])NC[C@@H]1CC12CC2 ZINC000493672709 394305402 /nfs/dbraw/zinc/30/54/02/394305402.db2.gz IIJAUAXVRJZELB-FWEMWIAWSA-N 0 0 290.294 2.663 20 5 CFBDRN CCc1ncc(NC(=O)c2cccc([N+](=O)[O-])c2C)cn1 ZINC000155395698 394316412 /nfs/dbraw/zinc/31/64/12/394316412.db2.gz RCVIFTKDVNKYOG-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN Cc1cnc(N2C[C@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)c([N+](=O)[O-])c1 ZINC000450689931 394318451 /nfs/dbraw/zinc/31/84/51/394318451.db2.gz HUDBFFIQGCEAGS-DVZHBHJUSA-N 0 0 285.347 2.947 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)/C=C\C1CC1 ZINC000493817533 394322515 /nfs/dbraw/zinc/32/25/15/394322515.db2.gz HWKJZKARNDQZOG-DAXSKMNVSA-N 0 0 264.256 2.947 20 5 CFBDRN CCc1[nH]nc(NC(=O)c2cccc(C)c2[N+](=O)[O-])c1C ZINC000155819938 394323648 /nfs/dbraw/zinc/32/36/48/394323648.db2.gz APVLWJANCLFGQW-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN CCCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000156131295 394329210 /nfs/dbraw/zinc/32/92/10/394329210.db2.gz OPVLBJMFEQBTPE-UHFFFAOYSA-N 0 0 281.312 2.915 20 5 CFBDRN COc1cc(NCC2(CF)CCOCC2)ccc1[N+](=O)[O-] ZINC000450731995 394329479 /nfs/dbraw/zinc/32/94/79/394329479.db2.gz CLVGHDGNUZMENT-UHFFFAOYSA-N 0 0 298.314 2.782 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H]2CCCN(c3nncs3)C2)cc1 ZINC000450766972 394337980 /nfs/dbraw/zinc/33/79/80/394337980.db2.gz TYVQRXQRAYICEH-NSHDSACASA-N 0 0 290.348 2.830 20 5 CFBDRN Cc1cnc(N[C@H](C(C)C)[C@@H](CO)C(C)C)c([N+](=O)[O-])c1 ZINC000450773705 394338727 /nfs/dbraw/zinc/33/87/27/394338727.db2.gz RLTVZOFIJSGMFV-GXTWGEPZSA-N 0 0 295.383 2.999 20 5 CFBDRN CCOc1cc(N2CC[C@@H](OC)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000450772271 394338885 /nfs/dbraw/zinc/33/88/85/394338885.db2.gz ZTHVNRLQQZOODF-BXUZGUMPSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1nc(N[C@H]2C[C@H](C)n3ccnc32)ccc1[N+](=O)[O-] ZINC000450831727 394341305 /nfs/dbraw/zinc/34/13/05/394341305.db2.gz PVRIHSXDAISEIW-WPRPVWTQSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](O)C2)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000450923516 394343531 /nfs/dbraw/zinc/34/35/31/394343531.db2.gz QXJVESIZVPYMQT-OCAPTIKFSA-N 0 0 290.241 2.857 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@H]1C=CCCC1 ZINC000157741596 394349743 /nfs/dbraw/zinc/34/97/43/394349743.db2.gz GXVBZBDHJMSUBW-NHAQELONSA-N 0 0 272.304 2.833 20 5 CFBDRN Cc1cc(C)c(C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)[nH]1 ZINC000157867719 394350830 /nfs/dbraw/zinc/35/08/30/394350830.db2.gz USGJQMDHLZNAKA-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])N[C@H]1C=CCCC1 ZINC000157976304 394352944 /nfs/dbraw/zinc/35/29/44/394352944.db2.gz JWNRWBRLORAIFF-LBPRGKRZSA-N 0 0 290.319 2.589 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1Cc1ccc(F)cc1 ZINC000158503079 394357976 /nfs/dbraw/zinc/35/79/76/394357976.db2.gz DSFFAPUBCIWSGA-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN C[C@@]1(F)CCCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000451112948 394358280 /nfs/dbraw/zinc/35/82/80/394358280.db2.gz IZALEYXZVBAGRY-CQSZACIVSA-N 0 0 292.314 2.795 20 5 CFBDRN Cc1cnc(N[C@H]2CCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000160698452 394366800 /nfs/dbraw/zinc/36/68/00/394366800.db2.gz BOYWZBPDBNXNHY-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cc(-c2ccoc2)[nH]n1 ZINC000514463401 394369131 /nfs/dbraw/zinc/36/91/31/394369131.db2.gz OHCBZGPUICPESN-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN Cc1cccc(N2CC3(CCC3)[C@H]3COC[C@@H]32)c1[N+](=O)[O-] ZINC000518723161 394371406 /nfs/dbraw/zinc/37/14/06/394371406.db2.gz PRIRFGXWBFLCKC-JSGCOSHPSA-N 0 0 288.347 2.909 20 5 CFBDRN O=C(C1=CCCCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000525869881 394384049 /nfs/dbraw/zinc/38/40/49/394384049.db2.gz OJJMGTCCUCQDLB-UHFFFAOYSA-N 0 0 286.331 2.980 20 5 CFBDRN Cc1c(Cc2nc(C3(C)CC3)no2)cccc1[N+](=O)[O-] ZINC000453326815 394386061 /nfs/dbraw/zinc/38/60/61/394386061.db2.gz VNXUNDZOFDSDJH-UHFFFAOYSA-N 0 0 273.292 2.929 20 5 CFBDRN CC(C)(NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000536566670 394560931 /nfs/dbraw/zinc/56/09/31/394560931.db2.gz QSHRAPXRRAXUPO-UHFFFAOYSA-N 0 0 266.272 2.652 20 5 CFBDRN CCn1cc(CN(C)Cc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000536669140 394568029 /nfs/dbraw/zinc/56/80/29/394568029.db2.gz UQCRNEGKIAJGTC-UHFFFAOYSA-N 0 0 288.351 2.752 20 5 CFBDRN O=C1C[C@@H](c2cccc(Nc3ccc([N+](=O)[O-])cc3)c2)CN1 ZINC000539196011 394622924 /nfs/dbraw/zinc/62/29/24/394622924.db2.gz KOMDICKQTAZLET-GFCCVEGCSA-N 0 0 297.314 2.942 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCc2cccs2)nc1 ZINC000539864267 394637278 /nfs/dbraw/zinc/63/72/78/394637278.db2.gz OMARTKAUYZDEBE-UHFFFAOYSA-N 0 0 263.322 2.518 20 5 CFBDRN COc1ccc(NC(=O)NC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000542957545 394719133 /nfs/dbraw/zinc/71/91/33/394719133.db2.gz PHCDXBMXVZCRMA-UHFFFAOYSA-N 0 0 291.307 2.668 20 5 CFBDRN Cc1ccc([C@@H]2CCN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)cc1 ZINC000543366461 394728534 /nfs/dbraw/zinc/72/85/34/394728534.db2.gz NIKNUCUKFKANCX-CYBMUJFWSA-N 0 0 299.330 2.861 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(CNc2ccccc2[N+](=O)[O-])n1 ZINC000545064651 394778231 /nfs/dbraw/zinc/77/82/31/394778231.db2.gz PWCLXNIYALYMKC-RKDXNWHRSA-N 0 0 274.280 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccccc3F)no2)nc1 ZINC000546299207 394823601 /nfs/dbraw/zinc/82/36/01/394823601.db2.gz XHTDFBOMCDZUSC-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(C3Cc4ccccc4C3)no2)c1 ZINC000546378244 394824271 /nfs/dbraw/zinc/82/42/71/394824271.db2.gz YCNWNFAGPOQPHL-UHFFFAOYSA-N 0 0 296.286 2.855 20 5 CFBDRN CC(C)(C)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000545527627 394796611 /nfs/dbraw/zinc/79/66/11/394796611.db2.gz NIUOEZVILUQCTP-UHFFFAOYSA-N 0 0 261.281 2.866 20 5 CFBDRN Cc1cc(C(=O)N(C)c2cnccc2C)cc([N+](=O)[O-])c1 ZINC000545923269 394808196 /nfs/dbraw/zinc/80/81/96/394808196.db2.gz JWWVWTYQQIJOLJ-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CC(C)CCN(CCC(C)C)C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000547729667 394885459 /nfs/dbraw/zinc/88/54/59/394885459.db2.gz AANFWCBWGICXAG-UHFFFAOYSA-N 0 0 296.371 2.852 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(CCNc2ccccc2[N+](=O)[O-])n1 ZINC000546482772 394828214 /nfs/dbraw/zinc/82/82/14/394828214.db2.gz FAUWRDISBPQDAN-ZJUUUORDSA-N 0 0 288.307 2.756 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC1(O)Cc2ccccc2C1 ZINC000548614893 394940360 /nfs/dbraw/zinc/94/03/60/394940360.db2.gz SILZORFZFHFHKT-UHFFFAOYSA-N 0 0 298.342 2.845 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCO[C@H]2C2CC2)c(F)c1 ZINC000230308981 296500777 /nfs/dbraw/zinc/50/07/77/296500777.db2.gz KNSPUEBZJNHVIO-AAEUAGOBSA-N 0 0 284.262 2.852 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCCSCC1 ZINC000269354804 296499984 /nfs/dbraw/zinc/49/99/84/296499984.db2.gz HHEVQAQIXKOQRY-UHFFFAOYSA-N 0 0 273.745 2.587 20 5 CFBDRN CC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000557201638 395032867 /nfs/dbraw/zinc/03/28/67/395032867.db2.gz NAFNOZVPXKSQRZ-SNVBAGLBSA-N 0 0 295.339 2.830 20 5 CFBDRN CCn1cc(CN(C)c2c(F)cccc2[N+](=O)[O-])cn1 ZINC000557598055 395041374 /nfs/dbraw/zinc/04/13/74/395041374.db2.gz CFLMVXNYXIOZQJ-UHFFFAOYSA-N 0 0 278.287 2.587 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000557676742 395043904 /nfs/dbraw/zinc/04/39/04/395043904.db2.gz ZGEUIAKAFVVOGM-GFCCVEGCSA-N 0 0 282.315 2.985 20 5 CFBDRN CCNc1ccc(C(=O)N2C[C@H](C)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000091439200 285623167 /nfs/dbraw/zinc/62/31/67/285623167.db2.gz CHKFXMAUUQHWOR-QWRGUYRKSA-N 0 0 291.351 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1CC[C@@H](F)C1)CCN2 ZINC000340940199 296510232 /nfs/dbraw/zinc/51/02/32/296510232.db2.gz BUGROIONISKSKE-RKDXNWHRSA-N 0 0 265.288 2.865 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCC=C(F)C3)c21 ZINC000361672330 296512998 /nfs/dbraw/zinc/51/29/98/296512998.db2.gz XWINFDPHDWISPP-UHFFFAOYSA-N 0 0 274.255 2.602 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@]2(CCSC2)C1 ZINC000450902421 296517177 /nfs/dbraw/zinc/51/71/77/296517177.db2.gz KTSAQFOXXPIZOH-CYBMUJFWSA-N 0 0 279.365 2.713 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2cccc(F)c2)nc1 ZINC000302103383 296519832 /nfs/dbraw/zinc/51/98/32/296519832.db2.gz MCNJIZVMPBKSMU-CYBMUJFWSA-N 0 0 288.282 2.865 20 5 CFBDRN O=c1cc(C(F)(F)F)ccn1Cc1ccccc1[N+](=O)[O-] ZINC000192573715 296530383 /nfs/dbraw/zinc/53/03/83/296530383.db2.gz HOWDKZJJWMNCPV-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN Cc1ccc(CN2CCOC(C)(C)[C@H]2C)cc1[N+](=O)[O-] ZINC000353933166 292100711 /nfs/dbraw/zinc/10/07/11/292100711.db2.gz VBPIDJMZUIUPGD-GFCCVEGCSA-N 0 0 278.352 2.903 20 5 CFBDRN CO[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CC[C@@H]1C ZINC000341432526 285774216 /nfs/dbraw/zinc/77/42/16/285774216.db2.gz XESXGKLDITYREJ-SMDDNHRTSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])CC[C@@H]1C ZINC000286708029 285774219 /nfs/dbraw/zinc/77/42/19/285774219.db2.gz OUIPOOYRVKQRQP-JOYOIKCWSA-N 0 0 268.288 2.595 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])C1=CCC1 ZINC000355971682 155133793 /nfs/dbraw/zinc/13/37/93/155133793.db2.gz DGERUZMTWKUUBE-UHFFFAOYSA-N 0 0 280.711 2.627 20 5 CFBDRN CC(C)n1nccc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000119542319 397600771 /nfs/dbraw/zinc/60/07/71/397600771.db2.gz BPSYEQXLLZHELK-UHFFFAOYSA-N 0 0 274.280 2.625 20 5 CFBDRN Cc1noc2ncnc(Oc3cccc(C)c3[N+](=O)[O-])c12 ZINC000192510881 397611616 /nfs/dbraw/zinc/61/16/16/397611616.db2.gz RPROXOUQUFAJOO-UHFFFAOYSA-N 0 0 286.247 2.935 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2[C@H](C)CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000269488648 397630936 /nfs/dbraw/zinc/63/09/36/397630936.db2.gz XGISMYZTQWPCJI-AOOOYVTPSA-N 0 0 294.355 2.844 20 5 CFBDRN Cc1ccc(N2CCOC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000301896737 292135199 /nfs/dbraw/zinc/13/51/99/292135199.db2.gz QPBHPLSXMPNPLS-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN CO[C@@](C)([C@@H](C)Nc1ncc(C)cc1[N+](=O)[O-])C1CC1 ZINC000413510546 285904118 /nfs/dbraw/zinc/90/41/18/285904118.db2.gz FMPXVBAOSBMAKD-YGRLFVJLSA-N 0 0 279.340 2.914 20 5 CFBDRN CO[C@@]1(C)CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000359749031 285919319 /nfs/dbraw/zinc/91/93/19/285919319.db2.gz SPHFLDJEVNUFBR-AWEZNQCLSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1ccccc1-c1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000356076581 155156267 /nfs/dbraw/zinc/15/62/67/155156267.db2.gz NDVIAVIMCIZWTN-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000356265813 155201262 /nfs/dbraw/zinc/20/12/62/155201262.db2.gz YEAOOYOEIWUYJQ-RYUDHWBXSA-N 0 0 293.367 2.805 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCn2cncc2C1 ZINC000356279090 155207448 /nfs/dbraw/zinc/20/74/48/155207448.db2.gz IRDSMTLEVRSUTD-QPEQYQDCSA-N 0 0 298.346 2.710 20 5 CFBDRN CO[C@H]1CCN(c2ncc(C)cc2[N+](=O)[O-])CC1(C)C ZINC000295714962 286064721 /nfs/dbraw/zinc/06/47/21/286064721.db2.gz KNLSBOGPYYOWEQ-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN CO[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])CC[C@H]1C ZINC000275991181 286075174 /nfs/dbraw/zinc/07/51/74/286075174.db2.gz JUYMYCQUBLBPJD-SKDRFNHKSA-N 0 0 268.288 2.595 20 5 CFBDRN Cc1ccc([C@@H]2CCCCN2C(=O)[C@H]2C[C@@H]2[N+](=O)[O-])cc1 ZINC000361479594 292210142 /nfs/dbraw/zinc/21/01/42/292210142.db2.gz VLYIXHBUYWRSQP-KKUMJFAQSA-N 0 0 288.347 2.714 20 5 CFBDRN CO[C@](C)(CNc1nccc(C)c1[N+](=O)[O-])C1CC1 ZINC000292331673 286147220 /nfs/dbraw/zinc/14/72/20/286147220.db2.gz NCSQROGSPDZKMI-CYBMUJFWSA-N 0 0 265.313 2.525 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000280211488 286162073 /nfs/dbraw/zinc/16/20/73/286162073.db2.gz KFVKGMSOZQRFHH-CQSZACIVSA-N 0 0 264.325 2.990 20 5 CFBDRN C[C@@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000356443202 155267940 /nfs/dbraw/zinc/26/79/40/155267940.db2.gz ZISXPNVXRISDFD-LURJTMIESA-N 0 0 294.204 2.662 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000450965670 286202192 /nfs/dbraw/zinc/20/21/92/286202192.db2.gz FWGAJUMUQIKUDZ-RKDXNWHRSA-N 0 0 296.298 2.661 20 5 CFBDRN Cn1cc(/C=C\c2nc3cc([N+](=O)[O-])ccc3s2)nn1 ZINC000356538755 155296601 /nfs/dbraw/zinc/29/66/01/155296601.db2.gz JMOLTRGQZRTFND-DJWKRKHSSA-N 0 0 287.304 2.503 20 5 CFBDRN COc1cc(C(=O)N(C)[C@H](C)C2CCC2)ccc1[N+](=O)[O-] ZINC000356542226 155298662 /nfs/dbraw/zinc/29/86/62/155298662.db2.gz GNXPITQUQRNLDK-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000356563550 155303844 /nfs/dbraw/zinc/30/38/44/155303844.db2.gz MFBUFECDGTWRSL-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1cc(C)cc(-c2noc(Cn3ccc([N+](=O)[O-])n3)n2)c1 ZINC000356568390 155305603 /nfs/dbraw/zinc/30/56/03/155305603.db2.gz UHNIMIDAILTNMZ-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CCCN(CC)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000356619721 155318333 /nfs/dbraw/zinc/31/83/33/155318333.db2.gz FLBSGAYGCFREEK-UHFFFAOYSA-N 0 0 282.365 2.945 20 5 CFBDRN COc1ccc(C(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000416073411 286283986 /nfs/dbraw/zinc/28/39/86/286283986.db2.gz AIFOSARQKDIAIS-HBNTYKKESA-N 0 0 292.335 2.720 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000356735585 155345484 /nfs/dbraw/zinc/34/54/84/155345484.db2.gz UMXVXAMCAOYXNP-RNCFNFMXSA-N 0 0 287.319 2.533 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000356756601 155356072 /nfs/dbraw/zinc/35/60/72/155356072.db2.gz ODCYVZVHJKTSCI-KOLCDFICSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1c(C(=O)N[C@@H](C)CCC2CC2)cccc1[N+](=O)[O-] ZINC000356788374 155368036 /nfs/dbraw/zinc/36/80/36/155368036.db2.gz WYZCHZPGCUNSKM-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H]1C[C@H]1c1ccccc1 ZINC000359340561 292281967 /nfs/dbraw/zinc/28/19/67/292281967.db2.gz CELYYXUYEDUVAH-KGLIPLIRSA-N 0 0 284.315 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC3(CCC3)C2)c1 ZINC000270819950 292287277 /nfs/dbraw/zinc/28/72/77/292287277.db2.gz ROSWTOMQHXLNEZ-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCCC[C@H](C)C2)c1 ZINC000186439816 286380912 /nfs/dbraw/zinc/38/09/12/286380912.db2.gz WKCCAMDJXZSIAN-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@]3(C2)CCCOC3)c1 ZINC000343146087 292288819 /nfs/dbraw/zinc/28/88/19/292288819.db2.gz YGUOINBQUSKFMI-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC2(C(C)C)CC2)c1 ZINC000297860144 286381569 /nfs/dbraw/zinc/38/15/69/286381569.db2.gz SUYHAZHDCUUJPY-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)C(C)(C)C ZINC000335414946 292292095 /nfs/dbraw/zinc/29/20/95/292292095.db2.gz FUPFYLPWHIODLZ-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H]2CCCC[C@H]21 ZINC000367760321 292292827 /nfs/dbraw/zinc/29/28/27/292292827.db2.gz ZGCHUZBEKJIDJT-BXUZGUMPSA-N 0 0 274.320 2.918 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000356868631 155402183 /nfs/dbraw/zinc/40/21/83/155402183.db2.gz QEFWZONCOHYPJH-SECBINFHSA-N 0 0 294.355 2.702 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000356869672 155402680 /nfs/dbraw/zinc/40/26/80/155402680.db2.gz RRRXNRRUNVXGCB-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC=C(Cl)C1 ZINC000825339511 782008487 /nfs/dbraw/zinc/00/84/87/782008487.db2.gz UHXOTAPXWUXLMQ-UHFFFAOYSA-N 0 0 294.738 2.882 20 5 CFBDRN Cc1cc(N(C)C)ccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000343187910 154135931 /nfs/dbraw/zinc/13/59/31/154135931.db2.gz DWWAZZNZFLKWRU-UHFFFAOYSA-N 0 0 288.307 2.550 20 5 CFBDRN Cc1ccnc(N(C)CCc2ccccc2[N+](=O)[O-])c1 ZINC000343220096 154145970 /nfs/dbraw/zinc/14/59/70/154145970.db2.gz GZJIMIIKVWCWHX-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000343231161 154148527 /nfs/dbraw/zinc/14/85/27/154148527.db2.gz XWINZOYTAJBUFF-JQWIXIFHSA-N 0 0 278.308 2.777 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000343231162 154148863 /nfs/dbraw/zinc/14/88/63/154148863.db2.gz XWINZOYTAJBUFF-PWSUYJOCSA-N 0 0 278.308 2.777 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1ncnc2[nH]ccc21 ZINC000343252530 154156578 /nfs/dbraw/zinc/15/65/78/154156578.db2.gz RSCBMVFOVDDPKX-UHFFFAOYSA-N 0 0 297.318 2.545 20 5 CFBDRN Cn1ccnc1[C@@H](Nc1ccccc1[N+](=O)[O-])C1CC1 ZINC000343271783 154162489 /nfs/dbraw/zinc/16/24/89/154162489.db2.gz CJNQTWRMXBATOA-ZDUSSCGKSA-N 0 0 272.308 2.892 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000343290533 154168671 /nfs/dbraw/zinc/16/86/71/154168671.db2.gz OMULFIZHAJDQFY-GFCCVEGCSA-N 0 0 292.335 2.621 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ccc(N2CCCC2)cn1 ZINC000343366920 154186521 /nfs/dbraw/zinc/18/65/21/154186521.db2.gz GFWYAZYRXKMQAR-UHFFFAOYSA-N 0 0 298.346 2.624 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@H]2C[C@@H]2C(F)F)n1 ZINC000345527227 154195051 /nfs/dbraw/zinc/19/50/51/154195051.db2.gz MGUIEONJSDKVOS-IUCAKERBSA-N 0 0 295.245 2.937 20 5 CFBDRN Cc1sc(C(=O)NCCSC(C)C)cc1[N+](=O)[O-] ZINC000345530858 154196671 /nfs/dbraw/zinc/19/66/71/154196671.db2.gz MRIXDYDADXIPIM-UHFFFAOYSA-N 0 0 288.394 2.836 20 5 CFBDRN Cc1nnc(CSCc2cccc([N+](=O)[O-])c2)o1 ZINC000345533421 154197954 /nfs/dbraw/zinc/19/79/54/154197954.db2.gz CUCHDTMSNPXIOG-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CC[C@@H](F)C1 ZINC000345621113 154220934 /nfs/dbraw/zinc/22/09/34/154220934.db2.gz COMPOSMKOUSWQG-NEPJUHHUSA-N 0 0 280.299 2.534 20 5 CFBDRN CSC1(c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)CC1 ZINC000345649395 154230911 /nfs/dbraw/zinc/23/09/11/154230911.db2.gz NDGPSGMZUJLSFI-UHFFFAOYSA-N 0 0 292.320 2.579 20 5 CFBDRN CN(Cc1ncc[nH]1)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000345654828 154232505 /nfs/dbraw/zinc/23/25/05/154232505.db2.gz UUUMCPYHMXRMPV-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC[C@H](F)C2)cc([N+](=O)[O-])c1C ZINC000345653207 154232597 /nfs/dbraw/zinc/23/25/97/154232597.db2.gz XMMFODWZDJRLAV-QWRGUYRKSA-N 0 0 296.298 2.532 20 5 CFBDRN COc1cc(-c2nc(-c3cccnc3)no2)ccc1[N+](=O)[O-] ZINC000345674592 154238069 /nfs/dbraw/zinc/23/80/69/154238069.db2.gz ORHSNVJNKCIMEW-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(Cc3cncs3)n2)c1 ZINC000345685265 154238926 /nfs/dbraw/zinc/23/89/26/154238926.db2.gz CKMFECONQVWLDA-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN Cc1cc(C)n(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)n1 ZINC000345699057 154244192 /nfs/dbraw/zinc/24/41/92/154244192.db2.gz IETOUCUNUQIEQB-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN C[C@@H]1COCC[C@@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000345730515 154251972 /nfs/dbraw/zinc/25/19/72/154251972.db2.gz QLNXURJPCLSWRW-SKDRFNHKSA-N 0 0 289.291 2.785 20 5 CFBDRN CC[C@@H](CO)N(C)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000345828915 154276327 /nfs/dbraw/zinc/27/63/27/154276327.db2.gz IVKPERPPOGNJKR-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN C[C@@H](C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1cccnc1 ZINC000348006568 154285466 /nfs/dbraw/zinc/28/54/66/154285466.db2.gz KZZKCOHIQATMMG-SECBINFHSA-N 0 0 289.266 2.871 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348027229 154292500 /nfs/dbraw/zinc/29/25/00/154292500.db2.gz YTDUDRUIBWESRB-ZETCQYMHSA-N 0 0 272.301 2.672 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000348038050 154293782 /nfs/dbraw/zinc/29/37/82/154293782.db2.gz JOJBAYJHRGAJFC-PELKAZGASA-N 0 0 280.299 2.752 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1C)C(F)(F)F ZINC000348056373 154299676 /nfs/dbraw/zinc/29/96/76/154299676.db2.gz OFTHZXUZRSSCKI-JTQLQIEISA-N 0 0 290.241 2.974 20 5 CFBDRN O=[N+]([O-])c1cccc(Cn2ccc(-c3ccccn3)n2)c1 ZINC000348130366 154332218 /nfs/dbraw/zinc/33/22/18/154332218.db2.gz VGNOQMTUOOKZGA-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC[C@H](F)C1 ZINC000348138244 154336360 /nfs/dbraw/zinc/33/63/60/154336360.db2.gz HKROQFLPUIHHDP-DTWKUNHWSA-N 0 0 297.286 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@@H]1C(F)F ZINC000348153312 154341788 /nfs/dbraw/zinc/34/17/88/154341788.db2.gz XZCZTIWNIXMUAP-WCBMZHEXSA-N 0 0 285.250 2.678 20 5 CFBDRN Cc1cc(N[C@@H](CO)c2ccc(F)cc2)ncc1[N+](=O)[O-] ZINC000348166554 154346340 /nfs/dbraw/zinc/34/63/40/154346340.db2.gz GXVXVVNELDZFJX-LBPRGKRZSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cc(NC[C@@H]2CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000348171901 154347602 /nfs/dbraw/zinc/34/76/02/154347602.db2.gz WBNUNSAYKAGZME-ZDUSSCGKSA-N 0 0 283.331 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc(-n3ccnc3)c2)cn1 ZINC000348188025 154354787 /nfs/dbraw/zinc/35/47/87/154354787.db2.gz REYFTFNRVGLAEL-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN C[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000348238755 154374175 /nfs/dbraw/zinc/37/41/75/154374175.db2.gz ZGGXAOFNVUOWKB-ZUZCIYMTSA-N 0 0 294.326 2.733 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)c2cncs2)c1[N+](=O)[O-] ZINC000348249433 154379341 /nfs/dbraw/zinc/37/93/41/154379341.db2.gz RFEOJJNWKXZKIV-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1cncs1 ZINC000348269295 154388176 /nfs/dbraw/zinc/38/81/76/154388176.db2.gz DIHONBVBICFINU-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN C[C@]1(CNc2nc3ccccc3cc2[N+](=O)[O-])CCCO1 ZINC000352355977 154541844 /nfs/dbraw/zinc/54/18/44/154541844.db2.gz KKLJEISYLXLOEK-OAHLLOKOSA-N 0 0 287.319 2.546 20 5 CFBDRN CC[C@@H](O)CCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352360063 154542584 /nfs/dbraw/zinc/54/25/84/154542584.db2.gz LJMZBWOMQLAKMO-GFCCVEGCSA-N 0 0 289.335 2.528 20 5 CFBDRN Cc1ccc2c(c1)CCN2c1ccncc1[N+](=O)[O-] ZINC000361040998 292311239 /nfs/dbraw/zinc/31/12/39/292311239.db2.gz BOTIQHBCQJXRAL-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN CCCCCN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352620514 154630927 /nfs/dbraw/zinc/63/09/27/154630927.db2.gz FDGNCFWYUNJPOU-UHFFFAOYSA-N 0 0 264.325 2.979 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000354325312 154674990 /nfs/dbraw/zinc/67/49/90/154674990.db2.gz RUQCKZDMGWDWCC-NWDGAFQWSA-N 0 0 279.340 2.630 20 5 CFBDRN COc1cccc(NC[C@H]2CCC[C@H]2OC)c1[N+](=O)[O-] ZINC000346111985 286459196 /nfs/dbraw/zinc/45/91/96/286459196.db2.gz VGEGJPAMLAILGW-ZYHUDNBSSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cccc(N[C@H]2CCC[C@@H]3OCC[C@@H]32)c1[N+](=O)[O-] ZINC000185566790 286459323 /nfs/dbraw/zinc/45/93/23/286459323.db2.gz GPOYDXCRABRJQU-MDZLAQPJSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000354818143 154822644 /nfs/dbraw/zinc/82/26/44/154822644.db2.gz YVGZLHHHMUZFBO-MWLCHTKSSA-N 0 0 285.303 2.550 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000355407078 155002646 /nfs/dbraw/zinc/00/26/46/155002646.db2.gz DKQMPJLBCWDSRO-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CCc3ccccc32)c1=O ZINC000355457314 155013143 /nfs/dbraw/zinc/01/31/43/155013143.db2.gz HHKWJKSCAYOQLL-ZDUSSCGKSA-N 0 0 284.315 2.795 20 5 CFBDRN Cc1ccc(NCCCNC(=O)C2=CCC2)c([N+](=O)[O-])c1 ZINC000355584994 155045815 /nfs/dbraw/zinc/04/58/15/155045815.db2.gz YXOHIDIBYKYCIB-UHFFFAOYSA-N 0 0 289.335 2.542 20 5 CFBDRN Cc1cccc([C@@H](C)CNC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000355648161 155054056 /nfs/dbraw/zinc/05/40/56/155054056.db2.gz JOJGXDLPYKLWRP-NSHDSACASA-N 0 0 287.319 2.765 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(Cc2ccncc2)no1 ZINC000355802547 155090414 /nfs/dbraw/zinc/09/04/14/155090414.db2.gz WYPIVKIFUTVEQW-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCc1cccc(F)c1 ZINC000357009783 155465258 /nfs/dbraw/zinc/46/52/58/155465258.db2.gz DFBXXIBXKDTQPO-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1nc(C)cs1 ZINC000357788272 155537388 /nfs/dbraw/zinc/53/73/88/155537388.db2.gz CCEJXBDUNOBGMH-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CC[C@H](CNc1cc(C)c([N+](=O)[O-])cc1C(=O)OC)OC ZINC000357832285 155550983 /nfs/dbraw/zinc/55/09/83/155550983.db2.gz YDTAFGVOOSZYJI-SNVBAGLBSA-N 0 0 296.323 2.527 20 5 CFBDRN CC(C)=CCCNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000357880798 155564755 /nfs/dbraw/zinc/56/47/55/155564755.db2.gz QQJHFNIHGGBWER-UHFFFAOYSA-N 0 0 272.308 2.728 20 5 CFBDRN Cn1c(C(=O)N(CC2CC2)CC2CCC2)ccc1[N+](=O)[O-] ZINC000358386184 155745971 /nfs/dbraw/zinc/74/59/71/155745971.db2.gz WVPMJBABMBEDEC-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN CC(C)(C)CC[C@H](CO)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000358447581 155768806 /nfs/dbraw/zinc/76/88/06/155768806.db2.gz PRJLQHXTIMXBSN-LLVKDONJSA-N 0 0 294.351 2.512 20 5 CFBDRN Cc1ccsc1CCNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000358472913 155774343 /nfs/dbraw/zinc/77/43/43/155774343.db2.gz FZXIEKXPZAJENQ-UHFFFAOYSA-N 0 0 280.305 2.530 20 5 CFBDRN CCN(CC)c1nnc(-c2ccc([N+](=O)[O-])cc2)n1CC ZINC000358712569 155851207 /nfs/dbraw/zinc/85/12/07/155851207.db2.gz DVMSLMBFPJIHTL-UHFFFAOYSA-N 0 0 289.339 2.719 20 5 CFBDRN CCCN(CC)c1nc2sccn2c1[N+](=O)[O-] ZINC000359026684 155958751 /nfs/dbraw/zinc/95/87/51/155958751.db2.gz FBZJEVXGIQAPMR-UHFFFAOYSA-N 0 0 254.315 2.540 20 5 CFBDRN CC(C)[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)(C)O ZINC000359080382 155978278 /nfs/dbraw/zinc/97/82/78/155978278.db2.gz ITVMIUCGOBOLGW-GFCCVEGCSA-N 0 0 295.339 2.512 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2ccco2)n1 ZINC000359080740 155978686 /nfs/dbraw/zinc/97/86/86/155978686.db2.gz HOWNBFTVSKSJBW-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN CC(C)=CCNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000360155169 156036863 /nfs/dbraw/zinc/03/68/63/156036863.db2.gz XJAAEGONXXRNCW-UHFFFAOYSA-N 0 0 280.349 2.769 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(S[C@@H]2CCCOC2)c1 ZINC000360173855 156041892 /nfs/dbraw/zinc/04/18/92/156041892.db2.gz FTYOBWDPEZUAMN-SNVBAGLBSA-N 0 0 297.332 2.653 20 5 CFBDRN O=C(Nc1cccc2c1OCO2)c1csc([N+](=O)[O-])c1 ZINC000360195219 156048598 /nfs/dbraw/zinc/04/85/98/156048598.db2.gz PSRGPHRYEZXJSC-UHFFFAOYSA-N 0 0 292.272 2.637 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN(CC)[C@@H]1CCOC1 ZINC000361238963 156069133 /nfs/dbraw/zinc/06/91/33/156069133.db2.gz WLFDDXJYCOMJLO-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)Cc1ccncc1 ZINC000362739802 156077880 /nfs/dbraw/zinc/07/78/80/156077880.db2.gz FHOQVHYVCUSNPY-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN CC(C)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000362929245 156117958 /nfs/dbraw/zinc/11/79/58/156117958.db2.gz BMJPIELXYLAYIN-UHFFFAOYSA-N 0 0 290.241 2.886 20 5 CFBDRN CSc1cccc(C(=O)NC2CC(C)C2)c1[N+](=O)[O-] ZINC000362930306 156118522 /nfs/dbraw/zinc/11/85/22/156118522.db2.gz LETPRMVGFKGCKS-UHFFFAOYSA-N 0 0 280.349 2.845 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1c([N+](=O)[O-])nc(C)n1CC)OC ZINC000362976436 156134637 /nfs/dbraw/zinc/13/46/37/156134637.db2.gz KFOWVOMXLLYFIK-KOLCDFICSA-N 0 0 284.360 2.593 20 5 CFBDRN Cc1cc(F)ccc1CN(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000362985536 156137569 /nfs/dbraw/zinc/13/75/69/156137569.db2.gz DQKUEJRJZIXBLH-UHFFFAOYSA-N 0 0 291.282 2.643 20 5 CFBDRN CC1(O)C[C@H]2CC[C@@H](C1)N2c1c(F)cc([N+](=O)[O-])cc1F ZINC000363164358 156156164 /nfs/dbraw/zinc/15/61/64/156156164.db2.gz MMLBRFLEZOGSKX-ZAXAGGATSA-N 0 0 298.289 2.755 20 5 CFBDRN Cc1ccc([C@@H]2CCCCCN2C(=O)[C@@H]2C[C@@H]2[N+](=O)[O-])o1 ZINC000363415723 156176061 /nfs/dbraw/zinc/17/60/61/156176061.db2.gz JLXZCWMBGDCQPF-AGIUHOORSA-N 0 0 292.335 2.697 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]1c1ccccc1 ZINC000363874475 156201436 /nfs/dbraw/zinc/20/14/36/156201436.db2.gz JNBFMLUIPCAJJH-NHYWBVRUSA-N 0 0 297.314 2.823 20 5 CFBDRN COc1cc(N2CC[C@H](C3CC3)C2)c([N+](=O)[O-])cc1F ZINC000367046256 156271246 /nfs/dbraw/zinc/27/12/46/156271246.db2.gz VCKIHALDYIIGOE-JTQLQIEISA-N 0 0 280.299 2.979 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2ncc([N+](=O)[O-])s2)no1 ZINC000367154336 156290003 /nfs/dbraw/zinc/29/00/03/156290003.db2.gz SWRDGBNPFDAERX-SECBINFHSA-N 0 0 280.309 2.689 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1C[C@@H]1c1cccnc1 ZINC000367162778 156291932 /nfs/dbraw/zinc/29/19/32/156291932.db2.gz FQYYIQPBQBVFEL-YPMHNXCESA-N 0 0 255.277 2.958 20 5 CFBDRN CCc1nn(C)c(N2CC3(C2)CCCCC3)c1[N+](=O)[O-] ZINC000367290690 156307642 /nfs/dbraw/zinc/30/76/42/156307642.db2.gz LSDAWVZIVHLVST-UHFFFAOYSA-N 0 0 278.356 2.661 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@@H]3OCCC[C@H]23)ccc1[N+](=O)[O-] ZINC000367799856 156391855 /nfs/dbraw/zinc/39/18/55/156391855.db2.gz CEJCEEYOGHQUGS-KYOSRNDESA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@H](c2ccco2)C1 ZINC000368679887 156525541 /nfs/dbraw/zinc/52/55/41/156525541.db2.gz HPFGCPWTLUYFIG-JTQLQIEISA-N 0 0 259.265 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H]2c2cccnc2)n1 ZINC000370162172 156656197 /nfs/dbraw/zinc/65/61/97/156656197.db2.gz OAVOGSBFGUVXCG-NEPJUHHUSA-N 0 0 270.292 2.661 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC2CCC1CC2 ZINC000371387663 156771759 /nfs/dbraw/zinc/77/17/59/156771759.db2.gz JQJFCVGYJYAVID-UHFFFAOYSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1nn(C)c(N2C[C@@H]3CCCCCC[C@H]32)c1[N+](=O)[O-] ZINC000372004966 156839723 /nfs/dbraw/zinc/83/97/23/156839723.db2.gz XFFTUYFCAVYWFQ-NWDGAFQWSA-N 0 0 278.356 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H](CO)c3ccccc32)nc1 ZINC000373637896 157017013 /nfs/dbraw/zinc/01/70/13/157017013.db2.gz JUOPZQLTQHVZSX-HZMBPMFUSA-N 0 0 285.303 2.623 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](Oc2ccccc2)C1 ZINC000374214450 157083389 /nfs/dbraw/zinc/08/33/89/157083389.db2.gz XWYMKNCMLXDPSI-OAHLLOKOSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000374518642 157124364 /nfs/dbraw/zinc/12/43/64/157124364.db2.gz CSYZEXNRXHIMAD-UKRRQHHQSA-N 0 0 292.335 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2c2ncc[nH]2)cc1F ZINC000374919915 157174237 /nfs/dbraw/zinc/17/42/37/157174237.db2.gz WLXKQAWLDLCYPU-CYBMUJFWSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCCOCC1 ZINC000376121052 157325125 /nfs/dbraw/zinc/32/51/25/157325125.db2.gz YWLCNGIUHBXLDY-LBPRGKRZSA-N 0 0 292.335 2.967 20 5 CFBDRN Nc1ccc(N2CC[C@H](Cc3ccncc3)C2)c([N+](=O)[O-])c1 ZINC000376454404 157357869 /nfs/dbraw/zinc/35/78/69/157357869.db2.gz KQGLOUZDFBRSQW-CYBMUJFWSA-N 0 0 298.346 2.641 20 5 CFBDRN Cc1nn(C[C@H]2CCC3(CCCCC3)O2)cc1[N+](=O)[O-] ZINC000408257401 157389620 /nfs/dbraw/zinc/38/96/20/157389620.db2.gz PVMXRFHJMGUKTE-GFCCVEGCSA-N 0 0 279.340 2.982 20 5 CFBDRN COc1cccc(Cl)c1Cn1cc([N+](=O)[O-])cn1 ZINC000339115520 161580433 /nfs/dbraw/zinc/58/04/33/161580433.db2.gz CQKXCLUWGQBXLT-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCc1cnccn1 ZINC000340975732 161604243 /nfs/dbraw/zinc/60/42/43/161604243.db2.gz JVWWWNZBUPPYTD-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCCCS2)c1 ZINC000342652969 161679082 /nfs/dbraw/zinc/67/90/82/161679082.db2.gz JDFIEKYWKHSYIG-NSHDSACASA-N 0 0 294.376 2.919 20 5 CFBDRN COc1cc(NCC2([C@@H](O)C(C)C)CC2)ccc1[N+](=O)[O-] ZINC000342791798 161707915 /nfs/dbraw/zinc/70/79/15/161707915.db2.gz URVPTRKKXLHDOX-AWEZNQCLSA-N 0 0 294.351 2.812 20 5 CFBDRN C[C@H]1CCc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000342888549 161732225 /nfs/dbraw/zinc/73/22/25/161732225.db2.gz UZYYAUMBFNXZSM-BONVTDFDSA-N 0 0 299.330 2.976 20 5 CFBDRN CC(C)[C@H](O)C1(CNc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000342941349 161742053 /nfs/dbraw/zinc/74/20/53/161742053.db2.gz JNDQXJSWGWVHKY-ZDUSSCGKSA-N 0 0 282.315 2.943 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1noc(C2CC2)n1 ZINC000343423825 161761969 /nfs/dbraw/zinc/76/19/69/161761969.db2.gz HQJCBHILPKJETA-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCOC2CCC2)n1 ZINC000344140766 161953984 /nfs/dbraw/zinc/95/39/84/161953984.db2.gz PEMPEULALLLZIS-UHFFFAOYSA-N 0 0 266.297 2.555 20 5 CFBDRN O=C(NCc1cccc(F)c1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344191937 161970053 /nfs/dbraw/zinc/97/00/53/161970053.db2.gz VPXSBQBDAYOMMS-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ncc(-c2cccs2)o1 ZINC000344363512 162024277 /nfs/dbraw/zinc/02/42/77/162024277.db2.gz DJLAGEDSQUDIQV-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN COCCOc1cccc(Nc2ccc([N+](=O)[O-])nc2)c1 ZINC000344410665 162037433 /nfs/dbraw/zinc/03/74/33/162037433.db2.gz BUBLDDOCVUJSJP-UHFFFAOYSA-N 0 0 289.291 2.759 20 5 CFBDRN COc1cc(C)nc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000344440129 162044512 /nfs/dbraw/zinc/04/45/12/162044512.db2.gz UQHKSEQSYRSQKX-JTQLQIEISA-N 0 0 288.307 2.875 20 5 CFBDRN COCCN(CC(C)C)c1ccc(F)cc1[N+](=O)[O-] ZINC000448132320 292461903 /nfs/dbraw/zinc/46/19/03/292461903.db2.gz KDKCVMCYVDPDST-UHFFFAOYSA-N 0 0 270.304 2.843 20 5 CFBDRN COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C)ccn1 ZINC000344667701 162091962 /nfs/dbraw/zinc/09/19/62/162091962.db2.gz VDNVSKKRSJNPNV-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCc1cnccc1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000344671503 162094093 /nfs/dbraw/zinc/09/40/93/162094093.db2.gz MIJMBQYNSOUTCB-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN Cc1cc(C)n(CCSc2ncccc2[N+](=O)[O-])n1 ZINC000344728408 162110133 /nfs/dbraw/zinc/11/01/33/162110133.db2.gz VAHKJJIQYVEEBY-UHFFFAOYSA-N 0 0 278.337 2.595 20 5 CFBDRN CCC[C@@H](C)CC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000344920603 162147987 /nfs/dbraw/zinc/14/79/87/162147987.db2.gz LVVRALSWZSUQCQ-GFCCVEGCSA-N 0 0 293.367 2.949 20 5 CFBDRN CO[C@@H](CCNc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000344983349 162158185 /nfs/dbraw/zinc/15/81/85/162158185.db2.gz JJWLHRVIIHLVQA-JTQLQIEISA-N 0 0 278.230 2.974 20 5 CFBDRN CNC(=O)[C@@H]1CCC[C@@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000345168197 162191373 /nfs/dbraw/zinc/19/13/73/162191373.db2.gz ZRTHHZGDNFSQLI-ZJUUUORDSA-N 0 0 297.742 2.575 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000345252822 162212568 /nfs/dbraw/zinc/21/25/68/162212568.db2.gz GETIVMFUSAUQNK-YPMHNXCESA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)(C)c1cnc(COc2cccnc2[N+](=O)[O-])o1 ZINC000345286415 162217187 /nfs/dbraw/zinc/21/71/87/162217187.db2.gz OZDPREHIUWXVOP-UHFFFAOYSA-N 0 0 277.280 2.854 20 5 CFBDRN CN(CCc1ccncc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000345348530 162232619 /nfs/dbraw/zinc/23/26/19/162232619.db2.gz MJWDGVUUFFDYPL-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CC1(COc2ccc([N+](=O)[O-])cc2Cl)COC1 ZINC000345423615 162245237 /nfs/dbraw/zinc/24/52/37/162245237.db2.gz LIGLEVDCVYTLRD-UHFFFAOYSA-N 0 0 257.673 2.664 20 5 CFBDRN Cc1nc(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])c(C)o1 ZINC000345423114 162246134 /nfs/dbraw/zinc/24/61/34/162246134.db2.gz XRWWKASDSAAHTG-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@H](F)C1 ZINC000345579133 162264109 /nfs/dbraw/zinc/26/41/09/162264109.db2.gz BIESZNRTQQLGIC-VHSXEESVSA-N 0 0 296.298 2.614 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@@H](F)C2)c1 ZINC000345576525 162264255 /nfs/dbraw/zinc/26/42/55/162264255.db2.gz AQAUVCSJTTWYLH-BDAKNGLRSA-N 0 0 298.339 2.937 20 5 CFBDRN COc1cccc(-c2nc(CC3CC3)no2)c1[N+](=O)[O-] ZINC000345952790 162284075 /nfs/dbraw/zinc/28/40/75/162284075.db2.gz IFUWRJQCEUXQJY-UHFFFAOYSA-N 0 0 275.264 2.606 20 5 CFBDRN Cc1nccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)n1 ZINC000345973410 162290059 /nfs/dbraw/zinc/29/00/59/162290059.db2.gz ADITWUJRUYGBFG-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(-n2ccc(-c3nc(C4CC4)no3)n2)cc1 ZINC000345978179 162291308 /nfs/dbraw/zinc/29/13/08/162291308.db2.gz QEXMEAQLNPLOSM-UHFFFAOYSA-N 0 0 297.274 2.708 20 5 CFBDRN Cn1cc(-c2noc(/C=C/c3ccccc3[N+](=O)[O-])n2)cn1 ZINC000346077213 162313359 /nfs/dbraw/zinc/31/33/59/162313359.db2.gz RDIADSBKTPGHEN-VOTSOKGWSA-N 0 0 297.274 2.549 20 5 CFBDRN Cc1nc(NCCc2nc3ccccc3o2)ccc1[N+](=O)[O-] ZINC000346114819 162320212 /nfs/dbraw/zinc/32/02/12/162320212.db2.gz AOEGFANSWQKMPF-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN CC[N@@H+]1C[C@H](C)N(Cc2cccc([N+](=O)[O-])c2C)C[C@H]1C ZINC000346199426 162349920 /nfs/dbraw/zinc/34/99/20/162349920.db2.gz VRFKTNVCAKDOSS-OLZOCXBDSA-N 0 0 291.395 2.818 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)c1ccccc1F ZINC000346283631 162371559 /nfs/dbraw/zinc/37/15/59/162371559.db2.gz ZGYQOVLJWVKTJE-UHFFFAOYSA-N 0 0 278.239 2.994 20 5 CFBDRN O=C(NCc1c(F)cccc1Cl)c1cc([N+](=O)[O-])c[nH]1 ZINC000346313374 162378645 /nfs/dbraw/zinc/37/86/45/162378645.db2.gz PVLCVHFHVZCCAB-UHFFFAOYSA-N 0 0 297.673 2.645 20 5 CFBDRN CN(Cc1cnn(C)c1)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000346658823 162478235 /nfs/dbraw/zinc/47/82/35/162478235.db2.gz PWOVBTRVPJGSSO-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN C[C@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CO1 ZINC000347109952 162604625 /nfs/dbraw/zinc/60/46/25/162604625.db2.gz TVKZPCUFIPQSGC-BQBZGAKWSA-N 0 0 281.293 2.599 20 5 CFBDRN COC(=O)c1cncnc1/C=C/c1ccc(C)c([N+](=O)[O-])c1 ZINC000347481574 162703624 /nfs/dbraw/zinc/70/36/24/162703624.db2.gz JMRRHRDZDZBUQS-AATRIKPKSA-N 0 0 299.286 2.650 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H](C)CCC2CC2)c1[N+](=O)[O-] ZINC000347836082 162785272 /nfs/dbraw/zinc/78/52/72/162785272.db2.gz VSANDCBDHLXECA-SECBINFHSA-N 0 0 294.355 2.750 20 5 CFBDRN CC1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000347944631 162807167 /nfs/dbraw/zinc/80/71/67/162807167.db2.gz BFJBIFUFIOJQKS-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN CC1(CCNC(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000348446648 162852630 /nfs/dbraw/zinc/85/26/30/162852630.db2.gz UFJWQLLNAJTFLE-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN CCO[C@@H](CC(=O)NCc1ccccc1[N+](=O)[O-])C(C)C ZINC000348553700 162874577 /nfs/dbraw/zinc/87/45/77/162874577.db2.gz OMKAQCLQSWTILX-AWEZNQCLSA-N 0 0 294.351 2.662 20 5 CFBDRN CCNc1ccc(C(=O)NCCC2(C)CC2)cc1[N+](=O)[O-] ZINC000348706601 162888486 /nfs/dbraw/zinc/88/84/86/162888486.db2.gz OWZLQLWPSLRAKR-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccoc1 ZINC000349083024 162940283 /nfs/dbraw/zinc/94/02/83/162940283.db2.gz SBOYZTLTFZPABL-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(COc3ccccc3)no2)[nH]1 ZINC000349863605 163028223 /nfs/dbraw/zinc/02/82/23/163028223.db2.gz MADUAAJRPBGQSR-UHFFFAOYSA-N 0 0 286.247 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3C[C@@]34CCOC4)n2)cc1 ZINC000349890278 163033711 /nfs/dbraw/zinc/03/37/11/163033711.db2.gz KCRQCTUFDFDTQQ-BXUZGUMPSA-N 0 0 287.275 2.539 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCc1ccc(F)cn1 ZINC000349910009 163035676 /nfs/dbraw/zinc/03/56/76/163035676.db2.gz FQXSZOPFGQAEPL-UHFFFAOYSA-N 0 0 283.646 2.756 20 5 CFBDRN O=C(CCC(=O)c1ccccn1)Nc1cccc([N+](=O)[O-])c1 ZINC000350071331 163055446 /nfs/dbraw/zinc/05/54/46/163055446.db2.gz JDNNQWXWMYVQRB-UHFFFAOYSA-N 0 0 299.286 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNCc1ccc(F)cn1 ZINC000350075883 163056250 /nfs/dbraw/zinc/05/62/50/163056250.db2.gz MOTFVWPSMSQAPA-UHFFFAOYSA-N 0 0 275.283 2.727 20 5 CFBDRN Cc1noc([C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000350398638 163076428 /nfs/dbraw/zinc/07/64/28/163076428.db2.gz VETVDDQRMKSTGK-LURJTMIESA-N 0 0 251.217 2.577 20 5 CFBDRN CO[C@@](C)(c1noc(-c2ccccc2[N+](=O)[O-])n1)C1CC1 ZINC000350432597 163080297 /nfs/dbraw/zinc/08/02/97/163080297.db2.gz NFCRFSIQPZMMPV-CQSZACIVSA-N 0 0 289.291 2.916 20 5 CFBDRN Cc1occc1-c1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000350435195 163080305 /nfs/dbraw/zinc/08/03/05/163080305.db2.gz SFAJNNOEKZBPDR-UHFFFAOYSA-N 0 0 260.209 2.541 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(Cc2cncs2)no1 ZINC000350473582 163084867 /nfs/dbraw/zinc/08/48/67/163084867.db2.gz DPAHJVCTJZALTQ-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc(CO)c2)cc1[N+](=O)[O-] ZINC000350750843 163104048 /nfs/dbraw/zinc/10/40/48/163104048.db2.gz ZREDKLBGGDTAMP-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN Cc1nc(Oc2ccc3c(c2)CN(C)C3=O)ccc1[N+](=O)[O-] ZINC000351503869 163136129 /nfs/dbraw/zinc/13/61/29/163136129.db2.gz YBKUTCDCXBSTAT-UHFFFAOYSA-N 0 0 299.286 2.676 20 5 CFBDRN O=C(N[C@@H](c1ccccc1)C(F)F)c1cc([N+](=O)[O-])c[nH]1 ZINC000351513364 163137358 /nfs/dbraw/zinc/13/73/58/163137358.db2.gz RWJPAAQKBCQLKF-NSHDSACASA-N 0 0 295.245 2.659 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC(C)(C)C2)c1 ZINC000351566878 163148063 /nfs/dbraw/zinc/14/80/63/163148063.db2.gz GTNHMOAWTJSIDO-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(C(=O)NCC2CCCC2)ccc1[N+](=O)[O-] ZINC000351587743 163150479 /nfs/dbraw/zinc/15/04/79/163150479.db2.gz HLVXSDSJKTZZDC-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cn1c(-c2nc(CCC(C)(C)C)no2)ccc1[N+](=O)[O-] ZINC000351608781 163156532 /nfs/dbraw/zinc/15/65/32/163156532.db2.gz RECIOGJMBVHTAO-UHFFFAOYSA-N 0 0 278.312 2.962 20 5 CFBDRN O=C(NCc1ccco1)Nc1ccc([N+](=O)[O-])cc1F ZINC000351655108 163167695 /nfs/dbraw/zinc/16/76/95/163167695.db2.gz JEYBVSDBPOOACQ-UHFFFAOYSA-N 0 0 279.227 2.649 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351653375 163168556 /nfs/dbraw/zinc/16/85/56/163168556.db2.gz VNWGXRTYBKJMEL-UHFFFAOYSA-N 0 0 293.258 2.718 20 5 CFBDRN CCC(C)(C)C(=O)COc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000351676587 163171153 /nfs/dbraw/zinc/17/11/53/163171153.db2.gz ONNKXGANJCYKDQ-UHFFFAOYSA-N 0 0 280.324 2.991 20 5 CFBDRN CSc1ccc(C(=O)N2CCCCCO2)cc1[N+](=O)[O-] ZINC000351681004 163172804 /nfs/dbraw/zinc/17/28/04/163172804.db2.gz VIDAHHLUVKNBSI-UHFFFAOYSA-N 0 0 296.348 2.874 20 5 CFBDRN CSCCn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000351693440 163176376 /nfs/dbraw/zinc/17/63/76/163176376.db2.gz LLIPNBBCAOLHEC-UHFFFAOYSA-N 0 0 271.729 2.961 20 5 CFBDRN Cc1ncsc1COc1cccc([N+](=O)[O-])c1 ZINC000351693680 163176789 /nfs/dbraw/zinc/17/67/89/163176789.db2.gz JNFHLSXSRYINSL-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1ncsc1COc1ccccc1[N+](=O)[O-] ZINC000351698569 163177211 /nfs/dbraw/zinc/17/72/11/163177211.db2.gz WYMCENKNJMILRR-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1cnc(OCC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000351699126 163178794 /nfs/dbraw/zinc/17/87/94/163178794.db2.gz YWYBDGHLHQRWFJ-NSHDSACASA-N 0 0 266.297 2.636 20 5 CFBDRN CC(=O)c1ccc(NCCc2ccncc2)c([N+](=O)[O-])c1 ZINC000351851290 163203857 /nfs/dbraw/zinc/20/38/57/163203857.db2.gz LDGWQBXPPSCIJI-UHFFFAOYSA-N 0 0 285.303 2.847 20 5 CFBDRN Cc1ccc(-c2nc3ccc(O)cc3c(=O)[nH]2)cc1[N+](=O)[O-] ZINC000351864408 163206275 /nfs/dbraw/zinc/20/62/75/163206275.db2.gz XRDXPRLDZNUDQX-UHFFFAOYSA-N 0 0 297.270 2.925 20 5 CFBDRN COc1cc(COc2cccnc2C)ccc1[N+](=O)[O-] ZINC000351919124 163217932 /nfs/dbraw/zinc/21/79/32/163217932.db2.gz MNXMGBIAICAMRP-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1nccc(C)n1 ZINC000352034991 163218381 /nfs/dbraw/zinc/21/83/81/163218381.db2.gz KMAMOEINXQUBNQ-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000352827417 163245778 /nfs/dbraw/zinc/24/57/78/163245778.db2.gz KUMBVGVSVRRTTM-VIFPVBQESA-N 0 0 287.319 2.995 20 5 CFBDRN CC(C)OC(=O)c1ccc([N+](=O)[O-])cc1Br ZINC000352837366 163248076 /nfs/dbraw/zinc/24/80/76/163248076.db2.gz ZXJLWFZGYZMVTA-UHFFFAOYSA-N 0 0 288.097 2.923 20 5 CFBDRN CC[C@@H](O)CCCNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000352880143 163259280 /nfs/dbraw/zinc/25/92/80/163259280.db2.gz ZOFYMXISYSSYCD-GFCCVEGCSA-N 0 0 295.339 2.576 20 5 CFBDRN C[C@@H](CCn1cnc([N+](=O)[O-])c1)OCc1ccccc1 ZINC000352905068 163266432 /nfs/dbraw/zinc/26/64/32/163266432.db2.gz YDNHZAZZXHMSSM-LBPRGKRZSA-N 0 0 275.308 2.787 20 5 CFBDRN C[C@H](CCn1cnc([N+](=O)[O-])c1)OCc1ccccc1 ZINC000352905067 163266937 /nfs/dbraw/zinc/26/69/37/163266937.db2.gz YDNHZAZZXHMSSM-GFCCVEGCSA-N 0 0 275.308 2.787 20 5 CFBDRN CC(C)CC[C@H](NC(=O)c1cc([N+](=O)[O-])n[nH]1)C(C)(C)C ZINC000353065166 163300847 /nfs/dbraw/zinc/30/08/47/163300847.db2.gz PITDQHKYCBSFMH-NSHDSACASA-N 0 0 296.371 2.899 20 5 CFBDRN CCO[C@H]1COCC[C@@H]1Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000353267122 163333029 /nfs/dbraw/zinc/33/30/29/163333029.db2.gz OSWYCNIDVCWIHJ-JSGCOSHPSA-N 0 0 280.324 2.509 20 5 CFBDRN Cc1nnc([C@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])s1 ZINC000353273237 163335365 /nfs/dbraw/zinc/33/53/65/163335365.db2.gz MPAAADIIUXLXKQ-QMMMGPOBSA-N 0 0 293.352 2.940 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000353347296 163350383 /nfs/dbraw/zinc/35/03/83/163350383.db2.gz BYNOQPPKILIQDH-ZANVPECISA-N 0 0 280.324 2.903 20 5 CFBDRN CCC[C@@]1(NC(=O)Nc2cccc([N+](=O)[O-])c2)CCOC1 ZINC000353354263 163350719 /nfs/dbraw/zinc/35/07/19/163350719.db2.gz QOQYPIQOQVQZNE-CQSZACIVSA-N 0 0 293.323 2.676 20 5 CFBDRN Cc1ccc(NC(=O)C[C@H]2CCC(=O)[C@@H]2C)cc1[N+](=O)[O-] ZINC000353357323 163351833 /nfs/dbraw/zinc/35/18/33/163351833.db2.gz UVQQZIJOHMEZPR-GHMZBOCLSA-N 0 0 290.319 2.847 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@H+](C)CC1(O)CCC1 ZINC000353483506 163374735 /nfs/dbraw/zinc/37/47/35/163374735.db2.gz HSHIKTLBCXWVJY-NSHDSACASA-N 0 0 264.325 2.503 20 5 CFBDRN CC[C@@H]1CN(c2sccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000302013510 287215408 /nfs/dbraw/zinc/21/54/08/287215408.db2.gz KWUGHZGYSBDWHP-DTWKUNHWSA-N 0 0 256.327 2.660 20 5 CFBDRN COc1ccccc1CC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000005515073 170106750 /nfs/dbraw/zinc/10/67/50/170106750.db2.gz MZHVIULIPGAVME-UHFFFAOYSA-N 0 0 286.287 2.785 20 5 CFBDRN CCN(CC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000006205097 170182586 /nfs/dbraw/zinc/18/25/86/170182586.db2.gz QUIURGFAGBFJAH-UHFFFAOYSA-N 0 0 251.286 2.777 20 5 CFBDRN C[C@@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1CCC[C@@H](C)C1 ZINC000007384254 170281652 /nfs/dbraw/zinc/28/16/52/170281652.db2.gz MSRUMTVZHYJLEN-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1CCC(C)CC1 ZINC000007389341 170282354 /nfs/dbraw/zinc/28/23/54/170282354.db2.gz VKUIAGZXQILKBU-LBPRGKRZSA-N 0 0 291.351 2.654 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000007890945 170306386 /nfs/dbraw/zinc/30/63/86/170306386.db2.gz DUESOKFTIPUEQK-LBPRGKRZSA-N 0 0 294.351 2.915 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000007889783 170306439 /nfs/dbraw/zinc/30/64/39/170306439.db2.gz SLUSJVCUVDIDNE-LBPRGKRZSA-N 0 0 294.351 2.915 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1cccnc1[N+](=O)[O-] ZINC000007983372 170311746 /nfs/dbraw/zinc/31/17/46/170311746.db2.gz IFYUSCBOWOHLCT-UHFFFAOYSA-N 0 0 261.193 2.690 20 5 CFBDRN O=C(NCc1ccccc1)NCc1ccccc1[N+](=O)[O-] ZINC000013805561 170595981 /nfs/dbraw/zinc/59/59/81/170595981.db2.gz IAZPBCJVNLDDIU-UHFFFAOYSA-N 0 0 285.303 2.594 20 5 CFBDRN CCNc1ccc(C(=O)Nc2nccs2)cc1[N+](=O)[O-] ZINC000014461856 170634689 /nfs/dbraw/zinc/63/46/89/170634689.db2.gz ATFCSWPUZFWEDE-UHFFFAOYSA-N 0 0 292.320 2.735 20 5 CFBDRN CCCNC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000015394159 170664915 /nfs/dbraw/zinc/66/49/15/170664915.db2.gz XTHVSZRYLUYESL-QMMMGPOBSA-N 0 0 286.715 2.542 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284557020 196083550 /nfs/dbraw/zinc/08/35/50/196083550.db2.gz YBEWGEOGZHGKIW-VIFPVBQESA-N 0 0 297.330 2.824 20 5 CFBDRN COc1ccc(COc2ccc(C=O)cc2[N+](=O)[O-])cc1 ZINC000020362195 171160972 /nfs/dbraw/zinc/16/09/72/171160972.db2.gz NAQGHYPVYARKTF-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN CCC1CCC(NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000020448585 171207637 /nfs/dbraw/zinc/20/76/37/171207637.db2.gz MFOXKLCNBNGHAF-UHFFFAOYSA-N 0 0 266.297 2.886 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)N1CCCCCCC1 ZINC000020497582 171227227 /nfs/dbraw/zinc/22/72/27/171227227.db2.gz QBLSNSSQKFJDJX-UHFFFAOYSA-N 0 0 292.335 2.766 20 5 CFBDRN CN(C)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 ZINC000021768239 171321255 /nfs/dbraw/zinc/32/12/55/171321255.db2.gz ZTNVJWDMAJWWRK-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN Cc1ccc(CN(C)C(=O)Cc2ccc([N+](=O)[O-])cc2)o1 ZINC000022367185 171386229 /nfs/dbraw/zinc/38/62/29/171386229.db2.gz OAWDCFIBALSRJQ-UHFFFAOYSA-N 0 0 288.303 2.697 20 5 CFBDRN Cc1cccc(N2CCC[C@](C)(O)CC2)c1[N+](=O)[O-] ZINC000235925916 292559785 /nfs/dbraw/zinc/55/97/85/292559785.db2.gz KBSCLABDFZHYLX-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccsc1 ZINC000022589078 171393104 /nfs/dbraw/zinc/39/31/04/171393104.db2.gz VUQVZWLKDSXPHP-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccs1 ZINC000024537545 171436510 /nfs/dbraw/zinc/43/65/10/171436510.db2.gz OIPXGUZHEQXDNN-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])o2)cc1F ZINC000027169946 171509213 /nfs/dbraw/zinc/50/92/13/171509213.db2.gz AOFWQDTZFDCTFO-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN O=C(NCC1CCCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000031664602 171642559 /nfs/dbraw/zinc/64/25/59/171642559.db2.gz XHWTZPVUFYYJQH-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2nc3ccccc3n2C(F)F)cn1 ZINC000031804896 171648036 /nfs/dbraw/zinc/64/80/36/171648036.db2.gz AJFRQIWQDBAGRQ-UHFFFAOYSA-N 0 0 293.233 2.584 20 5 CFBDRN Cc1cccc(N2CC[C@@H]3OCCC[C@H]3C2)c1[N+](=O)[O-] ZINC000302488909 292560937 /nfs/dbraw/zinc/56/09/37/292560937.db2.gz JJUMJXSXODWGJY-JSGCOSHPSA-N 0 0 276.336 2.909 20 5 CFBDRN COCC1(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2F)CCC1 ZINC000284941129 196160671 /nfs/dbraw/zinc/16/06/71/196160671.db2.gz OCRWUQWTQDXLRM-UHFFFAOYSA-N 0 0 296.298 2.798 20 5 CFBDRN COc1ccc(CNc2ncccc2[N+](=O)[O-])cc1OC ZINC000035128072 171997606 /nfs/dbraw/zinc/99/76/06/171997606.db2.gz QEVJRGUJGVHGON-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN C[C@@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000035134865 172001567 /nfs/dbraw/zinc/00/15/67/172001567.db2.gz GBKBGKBGTNAFNF-SSDOTTSWSA-N 0 0 268.700 2.777 20 5 CFBDRN CCC(CC)Nc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000035452910 172205998 /nfs/dbraw/zinc/20/59/98/172205998.db2.gz DXQZQOUGEUJCHQ-UHFFFAOYSA-N 0 0 279.296 2.526 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286224 173092365 /nfs/dbraw/zinc/09/23/65/173092365.db2.gz ZCLZXESLGSCKFV-VIFPVBQESA-N 0 0 268.288 2.852 20 5 CFBDRN Cc1csc(NC(=O)c2cc([N+](=O)[O-])ccc2F)n1 ZINC000037286065 173092546 /nfs/dbraw/zinc/09/25/46/173092546.db2.gz MFJOVSSFAYZBGX-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CCC(C)(C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000285738399 196383963 /nfs/dbraw/zinc/38/39/63/196383963.db2.gz KRUKTFJWGGPGCN-UHFFFAOYSA-N 0 0 276.296 2.846 20 5 CFBDRN Cc1ccc(NC(=O)CNc2ccccc2)cc1[N+](=O)[O-] ZINC000037386585 173170264 /nfs/dbraw/zinc/17/02/64/173170264.db2.gz JQLBHHXOOHMNPN-UHFFFAOYSA-N 0 0 285.303 2.954 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cccnc2)c(F)c1 ZINC000037502077 173238800 /nfs/dbraw/zinc/23/88/00/173238800.db2.gz AQTKLRZWPIWCPJ-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN Cc1cnn(Cc2ccc(Cl)c([N+](=O)[O-])c2)c1 ZINC000037677249 173614552 /nfs/dbraw/zinc/61/45/52/173614552.db2.gz YPTDXRGFPZPTDC-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H]3CCCOC3)ccc2c1 ZINC000038046356 174054509 /nfs/dbraw/zinc/05/45/09/174054509.db2.gz ZSFVJFQZCKYWEC-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCCOC2)ccc2ncccc21 ZINC000038046340 174054775 /nfs/dbraw/zinc/05/47/75/174054775.db2.gz JSWONLRMVYHGIH-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN CC(C)C[C@H](CO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000040779515 174404380 /nfs/dbraw/zinc/40/43/80/174404380.db2.gz YVXJSBPLQDLIRU-LLVKDONJSA-N 0 0 289.335 2.962 20 5 CFBDRN Nc1ccc(C(=O)OCc2cccs2)cc1[N+](=O)[O-] ZINC000042316417 174683038 /nfs/dbraw/zinc/68/30/38/174683038.db2.gz LRTUGTUNKQJHRH-UHFFFAOYSA-N 0 0 278.289 2.596 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)n[nH]1 ZINC000042653703 174832954 /nfs/dbraw/zinc/83/29/54/174832954.db2.gz LTBXDLOAVILGLZ-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000042677806 174834047 /nfs/dbraw/zinc/83/40/47/174834047.db2.gz RIBPTJBHEMXOQG-YUMQZZPRSA-N 0 0 292.261 2.861 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000043147063 174981429 /nfs/dbraw/zinc/98/14/29/174981429.db2.gz LMCKIKYRRRGRDB-NXEZZACHSA-N 0 0 263.297 2.681 20 5 CFBDRN COC(=O)[C@H](CC(C)C)Nc1ccc([N+](=O)[O-])cc1F ZINC000043436351 175045320 /nfs/dbraw/zinc/04/53/20/175045320.db2.gz VFVIRVIBGIEZBG-LBPRGKRZSA-N 0 0 284.287 2.734 20 5 CFBDRN CCCCNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000043466890 175053027 /nfs/dbraw/zinc/05/30/27/175053027.db2.gz GESULNGMKLQOHG-UHFFFAOYSA-N 0 0 251.286 2.825 20 5 CFBDRN Cc1ccc(NC(=O)COC2CCCC2)cc1[N+](=O)[O-] ZINC000044332615 175163992 /nfs/dbraw/zinc/16/39/92/175163992.db2.gz MBQWEXNJADJLCM-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1csc([N+](=O)[O-])c1 ZINC000044409169 175171577 /nfs/dbraw/zinc/17/15/77/175171577.db2.gz YGDTVWFDHAHAPR-UHFFFAOYSA-N 0 0 266.322 2.575 20 5 CFBDRN Cc1cccc(CNC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000044407858 175171632 /nfs/dbraw/zinc/17/16/32/175171632.db2.gz VPCMEKVJFSICBS-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)sn1 ZINC000044453998 175176813 /nfs/dbraw/zinc/17/68/13/175176813.db2.gz KUYWDCKMAWMZCK-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cc([C@H](C)Nc2ncc([N+](=O)[O-])cn2)c(C)o1 ZINC000044730790 175240057 /nfs/dbraw/zinc/24/00/57/175240057.db2.gz PYLLFBDWTABEKT-QMMMGPOBSA-N 0 0 262.269 2.768 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCc1cccc(O)c1 ZINC000044747824 175243710 /nfs/dbraw/zinc/24/37/10/175243710.db2.gz HBVBVWSWQZIUFM-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Cc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)on1 ZINC000044986065 175284669 /nfs/dbraw/zinc/28/46/69/175284669.db2.gz MIMXUTJATUNABR-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCn2ccnc2)c(F)c1 ZINC000045108320 175308679 /nfs/dbraw/zinc/30/86/79/175308679.db2.gz XNLMDDUKDYFNBH-UHFFFAOYSA-N 0 0 278.287 2.823 20 5 CFBDRN O=C(Nc1nc(C2CC2)cs1)c1ccc([N+](=O)[O-])o1 ZINC000045527853 175381572 /nfs/dbraw/zinc/38/15/72/175381572.db2.gz LOVYSPBVAOLFCK-UHFFFAOYSA-N 0 0 279.277 2.774 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1N)c1ccccc1 ZINC000050699826 175518775 /nfs/dbraw/zinc/51/87/75/175518775.db2.gz ZMNHYPPOFYYSOW-UHFFFAOYSA-N 0 0 285.303 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOCC2CC2)c([N+](=O)[O-])c1 ZINC000061174443 175643187 /nfs/dbraw/zinc/64/31/87/175643187.db2.gz MYKIXTHCZQCPGW-UHFFFAOYSA-N 0 0 295.295 2.732 20 5 CFBDRN Cc1csc([C@H](C)Nc2ncccc2[N+](=O)[O-])n1 ZINC000068907193 175816797 /nfs/dbraw/zinc/81/67/97/175816797.db2.gz MWGGMIAGANVHTN-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN C[C@@H]1CCC[C@H]1Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000071208446 176081251 /nfs/dbraw/zinc/08/12/51/176081251.db2.gz JWUGLDRCTGNREK-MWLCHTKSSA-N 0 0 298.364 2.599 20 5 CFBDRN Cc1ncsc1CNCc1csc([N+](=O)[O-])c1 ZINC000071212974 176084901 /nfs/dbraw/zinc/08/49/01/176084901.db2.gz FSXKFADPBQAOJH-UHFFFAOYSA-N 0 0 269.351 2.711 20 5 CFBDRN CCOC(=O)CCCCCn1nc(C)c([N+](=O)[O-])c1C ZINC000071292643 176110935 /nfs/dbraw/zinc/11/09/35/176110935.db2.gz GEHFPQCMJXWQTP-UHFFFAOYSA-N 0 0 283.328 2.532 20 5 CFBDRN Cc1cccnc1CNc1ncc([N+](=O)[O-])cc1C ZINC000071344359 176130899 /nfs/dbraw/zinc/13/08/99/176130899.db2.gz ZZLMBBMUEDUMAT-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCc1ccccc1 ZINC000071526671 176194187 /nfs/dbraw/zinc/19/41/87/176194187.db2.gz QPJMENVKMDTREJ-UHFFFAOYSA-N 0 0 272.304 2.698 20 5 CFBDRN O=C(NCCCNc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000071934551 176302517 /nfs/dbraw/zinc/30/25/17/176302517.db2.gz CNPZXQJPHZTADH-UHFFFAOYSA-N 0 0 299.330 2.827 20 5 CFBDRN CO[C@]1(C)C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000073275786 176464833 /nfs/dbraw/zinc/46/48/33/176464833.db2.gz HRWPJXSKRGKSKZ-IUODEOHRSA-N 0 0 292.335 2.528 20 5 CFBDRN COc1cccc(NC[C@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC000286460138 196610735 /nfs/dbraw/zinc/61/07/35/196610735.db2.gz SRJANECBZVGUBG-GHMZBOCLSA-N 0 0 280.324 2.688 20 5 CFBDRN COc1ccc(NC(=O)CC2CCC2)c([N+](=O)[O-])c1 ZINC000074234793 176514051 /nfs/dbraw/zinc/51/40/51/176514051.db2.gz QFHPIYXHPJRAEF-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCOC1CCC1 ZINC000286517215 196628767 /nfs/dbraw/zinc/62/87/67/196628767.db2.gz JLAUPOAQRISAJJ-UHFFFAOYSA-N 0 0 255.245 2.682 20 5 CFBDRN Cc1ccnc(NCCSC(C)C)c1[N+](=O)[O-] ZINC000286526059 196632103 /nfs/dbraw/zinc/63/21/03/196632103.db2.gz UQNSAKMLCSPXFV-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H]1CCCC[C@@H]1O ZINC000077208355 177234971 /nfs/dbraw/zinc/23/49/71/177234971.db2.gz HQYOGUFWKUPLOZ-SKDRFNHKSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@@H](CCO)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000077232691 177236879 /nfs/dbraw/zinc/23/68/79/177236879.db2.gz VQHGGSWBNDIFDI-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CSC[C@H](C)NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000077348978 177255263 /nfs/dbraw/zinc/25/52/63/177255263.db2.gz NSRDCFWSGPHPLD-UWVGGRQHSA-N 0 0 297.380 2.707 20 5 CFBDRN CC1(C)CCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000077352339 177255718 /nfs/dbraw/zinc/25/57/18/177255718.db2.gz UCQBYOCAJMZFDJ-UHFFFAOYSA-N 0 0 254.311 2.671 20 5 CFBDRN COc1cc(NC[C@@H](O)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000078267006 177329170 /nfs/dbraw/zinc/32/91/70/177329170.db2.gz GUWNMABVCCTJIP-NSHDSACASA-N 0 0 282.340 2.812 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cn2ccccc2n1 ZINC000078480268 177344689 /nfs/dbraw/zinc/34/46/89/177344689.db2.gz RMNHDUMLIYTFKL-UHFFFAOYSA-N 0 0 299.286 2.830 20 5 CFBDRN Cc1ccncc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000078505137 177348259 /nfs/dbraw/zinc/34/82/59/177348259.db2.gz NTFHUCZBDHHBFA-QPJJXVBHSA-N 0 0 283.287 2.950 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])[C@H](O)c1ccc(F)cc1 ZINC000078620030 177360839 /nfs/dbraw/zinc/36/08/39/177360839.db2.gz KTHODQXDYZCZRB-ZANVPECISA-N 0 0 291.282 2.663 20 5 CFBDRN CCC[C@H](CCO)CNc1ccc([N+](=O)[O-])cc1 ZINC000078673357 177369526 /nfs/dbraw/zinc/36/95/26/177369526.db2.gz WTHWVTBBJQKUBW-LLVKDONJSA-N 0 0 252.314 2.805 20 5 CFBDRN CCO[C@H](C)c1noc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000078756294 177382146 /nfs/dbraw/zinc/38/21/46/177382146.db2.gz BHQQBGQUUXHQIP-SECBINFHSA-N 0 0 293.279 2.654 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCOc1ccccc1C ZINC000078838120 177396397 /nfs/dbraw/zinc/39/63/97/177396397.db2.gz IASLNPRCOSPACN-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN COC(=O)C[C@H](C)Sc1ccc([N+](=O)[O-])cc1F ZINC000078837044 177396619 /nfs/dbraw/zinc/39/66/19/177396619.db2.gz DNWPCHQYVPWGCS-ZETCQYMHSA-N 0 0 273.285 2.778 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000286606269 196654177 /nfs/dbraw/zinc/65/41/77/196654177.db2.gz FMTPCRYEDVNNSP-OQHXTRMZSA-N 0 0 274.320 2.913 20 5 CFBDRN CC[C@@H]1[C@@H](C)CC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330448862 287347618 /nfs/dbraw/zinc/34/76/18/287347618.db2.gz HHICJFKGFDRJTH-GXFFZTMASA-N 0 0 264.325 2.921 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCCCC1 ZINC000334262778 304479709 /nfs/dbraw/zinc/47/97/09/304479709.db2.gz JCHWEVHJMBOAOG-UHFFFAOYSA-N 0 0 273.292 2.702 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000336400899 287383684 /nfs/dbraw/zinc/38/36/84/287383684.db2.gz WZIGVKIZHGQYRG-GHMZBOCLSA-N 0 0 279.340 2.584 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000335306834 287383587 /nfs/dbraw/zinc/38/35/87/287383587.db2.gz UYTGCRGRDSSRFI-NXEZZACHSA-N 0 0 265.313 2.574 20 5 CFBDRN Cc1ncc(CNc2ccc3[nH]cc([N+](=O)[O-])c3n2)s1 ZINC001154794889 782023683 /nfs/dbraw/zinc/02/36/83/782023683.db2.gz MAVREISXFOOJIR-UHFFFAOYSA-N 0 0 289.320 2.848 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC2(CCC2)C1 ZINC000192683236 227264115 /nfs/dbraw/zinc/26/41/15/227264115.db2.gz CERMFMUKTQPONP-UHFFFAOYSA-N 0 0 264.329 2.500 20 5 CFBDRN CC/C=C(/C)C(=O)NCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC001099977392 782036926 /nfs/dbraw/zinc/03/69/26/782036926.db2.gz XWPHNEQUUYFPHX-XGICHPGQSA-N 0 0 291.351 2.504 20 5 CFBDRN C[C@@H]1C[C@H](CO)CN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000398805753 270323923 /nfs/dbraw/zinc/32/39/23/270323923.db2.gz PLEJCLLWHMIIBP-ZJUUUORDSA-N 0 0 284.743 2.703 20 5 CFBDRN O=C(NCC1CC1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000179400974 260046569 /nfs/dbraw/zinc/04/65/69/260046569.db2.gz BQXVTHDUDZCODG-UHFFFAOYSA-N 0 0 289.335 2.679 20 5 CFBDRN C[C@@H](N(C)C(=O)COc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000521339499 260081516 /nfs/dbraw/zinc/08/15/16/260081516.db2.gz MWEHANSWUUBTGK-LLVKDONJSA-N 0 0 294.351 2.867 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3ncccc23)CO1 ZINC000402194635 260246076 /nfs/dbraw/zinc/24/60/76/260246076.db2.gz FUAGMUFLEKKZEE-ZJUUUORDSA-N 0 0 273.292 2.732 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1ccc([N+](=O)[O-])cc1F ZINC000313092132 260246848 /nfs/dbraw/zinc/24/68/48/260246848.db2.gz ADWAAPVSRRCICS-JQWIXIFHSA-N 0 0 256.277 2.695 20 5 CFBDRN CC[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)[C@@H](O)CC ZINC000313097872 260248123 /nfs/dbraw/zinc/24/81/23/260248123.db2.gz DANCPTCAVIQSED-MNOVXSKESA-N 0 0 274.267 2.834 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000313097874 260248203 /nfs/dbraw/zinc/24/82/03/260248203.db2.gz DANCPTCAVIQSED-QWRGUYRKSA-N 0 0 274.267 2.834 20 5 CFBDRN CC[C@@H](Nc1ncnc2sc([N+](=O)[O-])cc21)[C@@H](O)CC ZINC000313098518 260248273 /nfs/dbraw/zinc/24/82/73/260248273.db2.gz FEFJQQORZDSPST-BDAKNGLRSA-N 0 0 296.352 2.561 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000313097870 260248325 /nfs/dbraw/zinc/24/83/25/260248325.db2.gz DANCPTCAVIQSED-GHMZBOCLSA-N 0 0 274.267 2.834 20 5 CFBDRN Cc1[nH]nc(NC(=O)C=Cc2ccccc2[N+](=O)[O-])c1C ZINC000313452601 260295350 /nfs/dbraw/zinc/29/53/50/260295350.db2.gz XLLVTRFMEHPIFX-FPLPWBNLSA-N 0 0 286.291 2.587 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@H]1C1CCCCC1 ZINC000525590610 260556795 /nfs/dbraw/zinc/55/67/95/260556795.db2.gz XEJIHTGLVJRWDL-STQMWFEESA-N 0 0 291.351 2.632 20 5 CFBDRN CCOc1cc(N[C@H]2CCC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000313790555 261520181 /nfs/dbraw/zinc/52/01/81/261520181.db2.gz JOTOSACUHLVGEU-NEPJUHHUSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2ccc([N+](=O)[O-])cc2[O-])c1 ZINC000185352449 262303672 /nfs/dbraw/zinc/30/36/72/262303672.db2.gz GXLWLXBWUDUWEY-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1cc(N2CC[C@@H](c3cccnc3)C2)ncc1[N+](=O)[O-] ZINC000519828855 265610636 /nfs/dbraw/zinc/61/06/36/265610636.db2.gz YPTRFAAQJVTNSZ-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])cn2C)c(C)n1 ZINC000520507691 265841652 /nfs/dbraw/zinc/84/16/52/265841652.db2.gz NGLGOAVEXOOHJJ-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000033182715 270224963 /nfs/dbraw/zinc/22/49/63/270224963.db2.gz ALWWHFIRGKISDW-JQWIXIFHSA-N 0 0 291.351 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCO)c(-c2cccs2)c1 ZINC000192847984 270235334 /nfs/dbraw/zinc/23/53/34/270235334.db2.gz AUTDJVBXDCAOBR-UHFFFAOYSA-N 0 0 265.290 2.694 20 5 CFBDRN Cc1cccc(C(=O)NCCOC2CCCC2)c1[N+](=O)[O-] ZINC000194287957 270243942 /nfs/dbraw/zinc/24/39/42/270243942.db2.gz DCANVYVKOQVBAA-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCC[C@H](CC)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000194905246 270248109 /nfs/dbraw/zinc/24/81/09/270248109.db2.gz USFNXMBBPQSSKC-NSHDSACASA-N 0 0 280.324 2.669 20 5 CFBDRN CCC(=O)c1ccc(NCC(F)F)c([N+](=O)[O-])c1 ZINC000280652590 270281383 /nfs/dbraw/zinc/28/13/83/270281383.db2.gz FSJJBVOMFZOMGB-UHFFFAOYSA-N 0 0 258.224 2.865 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@H](O)C1CCC1 ZINC000315651454 270292541 /nfs/dbraw/zinc/29/25/41/270292541.db2.gz BIMSZAIOEAGIQX-LLVKDONJSA-N 0 0 270.716 2.821 20 5 CFBDRN CCOc1cccc(NC[C@H](O)C2CCC2)c1[N+](=O)[O-] ZINC000315476749 270292584 /nfs/dbraw/zinc/29/25/84/270292584.db2.gz DCKCWYZOFVZBQV-LBPRGKRZSA-N 0 0 280.324 2.566 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H](O)C2CCC2)ccc2ncccc21 ZINC000315661154 270293389 /nfs/dbraw/zinc/29/33/89/270293389.db2.gz JDKNEYSJKMERKB-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)C2CCC2)c2ccncc21 ZINC000315657484 270293560 /nfs/dbraw/zinc/29/35/60/270293560.db2.gz IPUAGNDPKQGPNB-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@](C)(CNc1c(F)cccc1[N+](=O)[O-])OC ZINC000322802287 270296841 /nfs/dbraw/zinc/29/68/41/270296841.db2.gz QPXCFLPDLVJRFX-GFCCVEGCSA-N 0 0 256.277 2.961 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1CCCCC1(F)F ZINC000390842910 270306194 /nfs/dbraw/zinc/30/61/94/270306194.db2.gz DSXIHGWQWUKHSS-SECBINFHSA-N 0 0 271.267 2.649 20 5 CFBDRN Cc1nnc([C@@H](C)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)[nH]1 ZINC000392374636 270306472 /nfs/dbraw/zinc/30/64/72/270306472.db2.gz HYRMNTAVHLQGGL-VHSXEESVSA-N 0 0 289.339 2.742 20 5 CFBDRN Cc1cccc(N[C@H]2CC23CCOCC3)c1[N+](=O)[O-] ZINC000395658832 270312962 /nfs/dbraw/zinc/31/29/62/270312962.db2.gz XCKZAJRCGDXJSO-LBPRGKRZSA-N 0 0 262.309 2.884 20 5 CFBDRN O=[N+]([O-])c1c(NCCC2(CO)CC2)ccc2ncccc21 ZINC000395868975 270313715 /nfs/dbraw/zinc/31/37/15/270313715.db2.gz HGYKORSQSHPRKP-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN CC(C)CSCCN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1 ZINC000397251423 270316580 /nfs/dbraw/zinc/31/65/80/270316580.db2.gz VUNLOBIWTYUJCQ-UHFFFAOYSA-N 0 0 294.380 2.694 20 5 CFBDRN CCOc1cc(NC[C@@]2(C)CCOC2)ccc1[N+](=O)[O-] ZINC000397324531 270317128 /nfs/dbraw/zinc/31/71/28/270317128.db2.gz WHCGFKYWLLXOKI-CQSZACIVSA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1ncc(CNCc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000397874287 270320135 /nfs/dbraw/zinc/32/01/35/270320135.db2.gz JJEFRRHQSRTBAG-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN CCOc1cccc(NC[C@H]2CC[C@H](C)O2)c1[N+](=O)[O-] ZINC000397914400 270320355 /nfs/dbraw/zinc/32/03/55/270320355.db2.gz FXPQWILKIONWKG-WDEREUQCSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cccc(NC[C@@H]2CC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000397891208 270320370 /nfs/dbraw/zinc/32/03/70/270320370.db2.gz RTRMBKTXNIZZLH-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cccc(NC[C@H]2CC[C@H](C)O2)c1[N+](=O)[O-] ZINC000397891211 270320714 /nfs/dbraw/zinc/32/07/14/270320714.db2.gz RTRMBKTXNIZZLH-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@@H]1C[C@H](CO)CN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000398831913 270324040 /nfs/dbraw/zinc/32/40/40/270324040.db2.gz XXOXENCLGJSCPZ-ZJUUUORDSA-N 0 0 284.743 2.703 20 5 CFBDRN C[C@@H]1CC[C@H](O)CN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000399260851 270327586 /nfs/dbraw/zinc/32/75/86/270327586.db2.gz SXMAAULWNFSMKH-SKDRFNHKSA-N 0 0 284.743 2.593 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000399587392 270329811 /nfs/dbraw/zinc/32/98/11/270329811.db2.gz DPWOKOIKTLFZTM-AXFHLTTASA-N 0 0 291.351 2.753 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000438596984 270333974 /nfs/dbraw/zinc/33/39/74/270333974.db2.gz MBSMEWGOCSJWAW-ZWNOBZJWSA-N 0 0 291.351 2.928 20 5 CFBDRN C[C@@]12CCN(c3ncc([N+](=O)[O-])cc3F)C[C@@H]1C2(F)F ZINC000515044899 270361808 /nfs/dbraw/zinc/36/18/08/270361808.db2.gz VYQQLQDLEGIEFL-GXSJLCMTSA-N 0 0 287.241 2.610 20 5 CFBDRN C[C@@H](Cc1ccsc1)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000516131709 270377863 /nfs/dbraw/zinc/37/78/63/270377863.db2.gz GBBQZWAUCIXRQI-QMMMGPOBSA-N 0 0 280.305 2.610 20 5 CFBDRN CC[C@@H](C)Cn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000516290970 270380280 /nfs/dbraw/zinc/38/02/80/270380280.db2.gz DHRSRGJAGZDYLP-SNVBAGLBSA-N 0 0 260.293 2.956 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@H](CCO)CC1 ZINC000516938528 270392252 /nfs/dbraw/zinc/39/22/52/270392252.db2.gz ZKTFQQVKORWMFA-LBPRGKRZSA-N 0 0 294.351 2.592 20 5 CFBDRN CCCN(C(=O)CN(C)c1ccccc1[N+](=O)[O-])C(C)C ZINC000516978106 270393303 /nfs/dbraw/zinc/39/33/03/270393303.db2.gz BGKVFXWITLXLLH-UHFFFAOYSA-N 0 0 293.367 2.678 20 5 CFBDRN Cc1nn(C)c(NCc2ccc([C@H]3C[C@@H]3C)o2)c1[N+](=O)[O-] ZINC000522284605 270447550 /nfs/dbraw/zinc/44/75/50/270447550.db2.gz AHTOIKFPDNTPRC-KWQFWETISA-N 0 0 290.323 2.965 20 5 CFBDRN COc1cc(Oc2ccc3nccnc3n2)ccc1[N+](=O)[O-] ZINC000530484759 270795790 /nfs/dbraw/zinc/79/57/90/270795790.db2.gz WQJXOCXUZGSQPW-UHFFFAOYSA-N 0 0 298.258 2.734 20 5 CFBDRN Cc1ccc(NC[C@H](CO)Cc2ccco2)c([N+](=O)[O-])c1 ZINC000531333141 270831925 /nfs/dbraw/zinc/83/19/25/270831925.db2.gz KEGHNUKDYXDESI-GFCCVEGCSA-N 0 0 290.319 2.759 20 5 CFBDRN CCc1cnc(COc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000532907287 270870409 /nfs/dbraw/zinc/87/04/09/270870409.db2.gz MLWOQXMAXXPZFU-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN CO[C@@]1(C)C[C@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000301549723 274073909 /nfs/dbraw/zinc/07/39/09/274073909.db2.gz GLCWYSVDWGVRHQ-WFASDCNBSA-N 0 0 293.367 2.938 20 5 CFBDRN Cc1cn2c(n1)CC[C@H](Nc1ccccc1[N+](=O)[O-])C2 ZINC000301134558 274823841 /nfs/dbraw/zinc/82/38/41/274823841.db2.gz XUHXLOAIPCUQMD-NSHDSACASA-N 0 0 272.308 2.527 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCCSCC1 ZINC000360953004 275504723 /nfs/dbraw/zinc/50/47/23/275504723.db2.gz HJMWCDDOIGHURR-UHFFFAOYSA-N 0 0 280.349 2.741 20 5 CFBDRN CC(C)(C)OC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000089157486 275521266 /nfs/dbraw/zinc/52/12/66/275521266.db2.gz DSELMNCZUWQOPY-UHFFFAOYSA-N 0 0 264.281 2.892 20 5 CFBDRN CC(C)(CCNc1ccc(C(N)=O)cc1[N+](=O)[O-])C1CC1 ZINC000328496741 275547509 /nfs/dbraw/zinc/54/75/09/275547509.db2.gz QSWAYBABNSHPJR-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN CC(C)C[C@@H]1CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000273938739 275588620 /nfs/dbraw/zinc/58/86/20/275588620.db2.gz LFRYBNIGEKTVIG-NSHDSACASA-N 0 0 264.329 2.647 20 5 CFBDRN CC1(C)OCC[C@@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000296293421 275881594 /nfs/dbraw/zinc/88/15/94/275881594.db2.gz LZZOYDGZGHMCHX-JTQLQIEISA-N 0 0 272.251 2.852 20 5 CFBDRN CC1=CCN(c2ncnc3sc([N+](=O)[O-])cc32)CC1 ZINC000286482984 275917446 /nfs/dbraw/zinc/91/74/46/275917446.db2.gz LSQHFQNTWCSPHR-UHFFFAOYSA-N 0 0 276.321 2.756 20 5 CFBDRN CC(C)c1[nH]nc(-c2nc(-c3ccoc3)no2)c1[N+](=O)[O-] ZINC000277863884 276040263 /nfs/dbraw/zinc/04/02/63/276040263.db2.gz BHMXXIQUZFYUIH-UHFFFAOYSA-N 0 0 289.251 2.751 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000430463431 276040491 /nfs/dbraw/zinc/04/04/91/276040491.db2.gz BCFOBBGVSURMSQ-NXEZZACHSA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC[C@H](C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000269520371 276041103 /nfs/dbraw/zinc/04/11/03/276041103.db2.gz QFJGTEJVCMLCEA-UWVGGRQHSA-N 0 0 294.355 2.702 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000119593664 276144242 /nfs/dbraw/zinc/14/42/42/276144242.db2.gz QOGQNESIMZTEIA-LLVKDONJSA-N 0 0 260.293 2.530 20 5 CFBDRN CCC1(CO)CCN(c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000270673300 276219841 /nfs/dbraw/zinc/21/98/41/276219841.db2.gz AXKBIUBLIINEEN-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN CCC[C@@H]1CN(c2cc(OC)c(F)cc2[N+](=O)[O-])CCO1 ZINC000270256861 276276210 /nfs/dbraw/zinc/27/62/10/276276210.db2.gz NNYZQVGEPXJNFZ-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN CCOC[C@H]1CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000360990003 276334404 /nfs/dbraw/zinc/33/44/04/276334404.db2.gz IBQBJOBYGLAWNH-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN CC[C@@]1(C)CCCN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000297198348 276537473 /nfs/dbraw/zinc/53/74/73/276537473.db2.gz RGJQPKUGULQGES-ZDUSSCGKSA-N 0 0 264.329 2.710 20 5 CFBDRN CC[C@H]1CCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000292213808 276613697 /nfs/dbraw/zinc/61/36/97/276613697.db2.gz HPLCENDUJLYFIA-ZETCQYMHSA-N 0 0 264.310 2.588 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)CCS1 ZINC000266249762 276628155 /nfs/dbraw/zinc/62/81/55/276628155.db2.gz ZXIPXDHESWVFAS-NSHDSACASA-N 0 0 282.365 2.935 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000265254760 276650799 /nfs/dbraw/zinc/65/07/99/276650799.db2.gz BUDHKXLUZGYEGI-JTQLQIEISA-N 0 0 265.313 2.575 20 5 CFBDRN CC[C@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000264735655 276663518 /nfs/dbraw/zinc/66/35/18/276663518.db2.gz JQFHHUVKWLUJMA-GWCFXTLKSA-N 0 0 292.335 2.801 20 5 CFBDRN CC(=O)NCCCS[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000266305108 277032363 /nfs/dbraw/zinc/03/23/63/277032363.db2.gz BLLYZLBDVZPFEA-JTQLQIEISA-N 0 0 282.365 2.915 20 5 CFBDRN CNc1c(C(=O)Nc2cccc(C)n2)cccc1[N+](=O)[O-] ZINC000161407753 277042768 /nfs/dbraw/zinc/04/27/68/277042768.db2.gz MRIQBAJKZXRFER-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN COC1(C)CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000271708637 277182286 /nfs/dbraw/zinc/18/22/86/277182286.db2.gz PAWKBGVVOMQASQ-UHFFFAOYSA-N 0 0 295.295 2.508 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000264204338 277231669 /nfs/dbraw/zinc/23/16/69/277231669.db2.gz IAZYXSIBVHCURI-ZDUSSCGKSA-N 0 0 291.351 2.828 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)N2CC(F)C2)cc1[N+](=O)[O-] ZINC000334579374 277266064 /nfs/dbraw/zinc/26/60/64/277266064.db2.gz HMORFMMLNXUKLA-UHFFFAOYSA-N 0 0 280.299 2.686 20 5 CFBDRN CON(C)c1cc(C)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000292926165 277273471 /nfs/dbraw/zinc/27/34/71/277273471.db2.gz ZTGGUQAJZGMSPG-UHFFFAOYSA-N 0 0 264.203 2.920 20 5 CFBDRN CO[C@@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000368735416 277285776 /nfs/dbraw/zinc/28/57/76/277285776.db2.gz AWJJTAXCEKDTBA-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC000339689244 277293714 /nfs/dbraw/zinc/29/37/14/277293714.db2.gz UJDYFRZQKLTJLM-SECBINFHSA-N 0 0 290.241 2.839 20 5 CFBDRN CC(C)(NC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000294389985 277309238 /nfs/dbraw/zinc/30/92/38/277309238.db2.gz JPMUCSCBRUDQOI-UHFFFAOYSA-N 0 0 276.214 2.666 20 5 CFBDRN CO[C@@](C)(CNc1ccc([N+](=O)[O-])c(N)c1F)C1CC1 ZINC000292436163 277317425 /nfs/dbraw/zinc/31/74/25/277317425.db2.gz VJRGAHBVHYZTNJ-ZDUSSCGKSA-N 0 0 283.303 2.543 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000374644689 277337346 /nfs/dbraw/zinc/33/73/46/277337346.db2.gz HVJIKUHCQCWSQR-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](C)C2)c1 ZINC000301445273 277379923 /nfs/dbraw/zinc/37/99/23/277379923.db2.gz PJPXLNCYJPFVST-QMMMGPOBSA-N 0 0 254.261 2.589 20 5 CFBDRN COc1cc(N2C[C@H](C)[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000301616676 277382527 /nfs/dbraw/zinc/38/25/27/277382527.db2.gz AJIVPRWIRDRMAK-IUCAKERBSA-N 0 0 268.288 2.835 20 5 CFBDRN COc1ccc([C@H]2CCN(c3ccncc3[N+](=O)[O-])C2)cc1 ZINC000340152985 277430242 /nfs/dbraw/zinc/43/02/42/277430242.db2.gz QNGFAUFSOLSMMJ-ZDUSSCGKSA-N 0 0 299.330 2.992 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@@H]2C)c1 ZINC000136302585 277437820 /nfs/dbraw/zinc/43/78/20/277437820.db2.gz HVHJLAGIXAEKIL-ONGXEEELSA-N 0 0 278.308 2.982 20 5 CFBDRN COC1(c2ccccc2)CN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000376263811 277475062 /nfs/dbraw/zinc/47/50/62/277475062.db2.gz ZLHYTKBEMCNJMR-UHFFFAOYSA-N 0 0 299.330 2.660 20 5 CFBDRN COCCC1(C)CN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000289142644 277488518 /nfs/dbraw/zinc/48/85/18/277488518.db2.gz SIVVBLGOTIMBOE-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)CC[C@@H]1C ZINC000302603991 277666227 /nfs/dbraw/zinc/66/62/27/277666227.db2.gz ZFNMFMUOMNZTNE-IINYFYTJSA-N 0 0 264.325 2.764 20 5 CFBDRN COc1cc(N2CC[C@@H](C3CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000351499834 277826688 /nfs/dbraw/zinc/82/66/88/277826688.db2.gz CUGRBJCKLSPZRG-SNVBAGLBSA-N 0 0 280.299 2.979 20 5 CFBDRN C[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000301777450 277914038 /nfs/dbraw/zinc/91/40/38/277914038.db2.gz IACVCTRFDQLDDK-HTQZYQBOSA-N 0 0 256.252 2.965 20 5 CFBDRN CC(C)[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000485256923 277934257 /nfs/dbraw/zinc/93/42/57/277934257.db2.gz MEUHSISXVBTKHR-NEPJUHHUSA-N 0 0 293.367 2.878 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000269466831 278001903 /nfs/dbraw/zinc/00/19/03/278001903.db2.gz IIPJMVQWSGPFHR-SECBINFHSA-N 0 0 294.355 2.750 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H]2CC23CCCC3)c1[N+](=O)[O-] ZINC000430223084 278001948 /nfs/dbraw/zinc/00/19/48/278001948.db2.gz WZTMSCZEZPJKKK-SECBINFHSA-N 0 0 292.339 2.504 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000190507885 278025386 /nfs/dbraw/zinc/02/53/86/278025386.db2.gz MEEFGLRVLOZTQF-LLVKDONJSA-N 0 0 292.335 2.579 20 5 CFBDRN CC(C)c1ccc(CN2CCCOCC2)cc1[N+](=O)[O-] ZINC000370070548 278035673 /nfs/dbraw/zinc/03/56/73/278035673.db2.gz BJYZASJSGJLWIV-UHFFFAOYSA-N 0 0 278.352 2.941 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@H]1C[C@H](C)O ZINC000361076349 278250709 /nfs/dbraw/zinc/25/07/09/278250709.db2.gz XVHGPMAGAIYJKW-JQWIXIFHSA-N 0 0 292.335 2.537 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]2CCC[C@@H]21 ZINC000336556646 278320754 /nfs/dbraw/zinc/32/07/54/278320754.db2.gz ACERODWLMVNSGS-JQWIXIFHSA-N 0 0 277.324 2.574 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@H]1C1CC1 ZINC000291266393 278323211 /nfs/dbraw/zinc/32/32/11/278323211.db2.gz ZEBDMQXXLIAPFM-ZDUSSCGKSA-N 0 0 292.310 2.995 20 5 CFBDRN C[C@H]1CCCN1c1ncc(Br)cc1[N+](=O)[O-] ZINC000042376594 278346843 /nfs/dbraw/zinc/34/68/43/278346843.db2.gz OGBKPQXXVQDRMF-ZETCQYMHSA-N 0 0 286.129 2.741 20 5 CFBDRN CC1(C)COCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000163455224 278353665 /nfs/dbraw/zinc/35/36/65/278353665.db2.gz JZSFTLJAMZMVAA-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1cn[nH]c1 ZINC000222455620 278364737 /nfs/dbraw/zinc/36/47/37/278364737.db2.gz OKXHZSNRIBERSF-ZJUUUORDSA-N 0 0 260.297 2.730 20 5 CFBDRN CC1(C)C[C@H]1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000153142876 278391403 /nfs/dbraw/zinc/39/14/03/278391403.db2.gz JBDDILJIOWGZAV-LBPRGKRZSA-N 0 0 274.320 2.920 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000175412364 278535203 /nfs/dbraw/zinc/53/52/03/278535203.db2.gz ZNGUBYMMGKXNHD-NXEZZACHSA-N 0 0 263.297 2.857 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1ncc(Br)cc1[N+](=O)[O-] ZINC000309400449 278590854 /nfs/dbraw/zinc/59/08/54/278590854.db2.gz HFANTUWVZIGFMH-SVRRBLITSA-N 0 0 286.129 2.963 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCOC2(C)C)n1 ZINC000450636472 278665494 /nfs/dbraw/zinc/66/54/94/278665494.db2.gz DMBZKSQALXBAGV-JTQLQIEISA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1ccc(N2CC[C@](CO)(C(C)C)C2)c([N+](=O)[O-])c1 ZINC000377979242 278823945 /nfs/dbraw/zinc/82/39/45/278823945.db2.gz WQFGCPPUUOGAKK-HNNXBMFYSA-N 0 0 278.352 2.748 20 5 CFBDRN C[C@@H]1COCCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000362181176 278845904 /nfs/dbraw/zinc/84/59/04/278845904.db2.gz REDADDOHUAABEL-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000296898917 278852629 /nfs/dbraw/zinc/85/26/29/278852629.db2.gz YTSHKZZYCXDKNH-YGRLFVJLSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H](C)C2)c1 ZINC000157235306 278863527 /nfs/dbraw/zinc/86/35/27/278863527.db2.gz FNPVLZVBMUVVDO-ONGXEEELSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc(F)cn2)c1[N+](=O)[O-] ZINC000170676552 278912975 /nfs/dbraw/zinc/91/29/75/278912975.db2.gz NLWJECAZWZOEMA-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cccc(N[C@@H]2C[C@H]3OCCC[C@@H]23)c1[N+](=O)[O-] ZINC000302543212 278928420 /nfs/dbraw/zinc/92/84/20/278928420.db2.gz ICRGYDMHRXLWFI-CYZMBNFOSA-N 0 0 262.309 2.883 20 5 CFBDRN CCC[C@H]1CCCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000128004962 278952509 /nfs/dbraw/zinc/95/25/09/278952509.db2.gz NGTMNWWTUIUVPM-LBPRGKRZSA-N 0 0 291.351 2.972 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)Cc1ccco1 ZINC000336415969 279012921 /nfs/dbraw/zinc/01/29/21/279012921.db2.gz XWPCWICNKTWAGD-SNVBAGLBSA-N 0 0 286.287 2.708 20 5 CFBDRN Cc1cnc(N[C@H]2CCCc3c2cnn3C)c([N+](=O)[O-])c1 ZINC000266184537 279020647 /nfs/dbraw/zinc/02/06/47/279020647.db2.gz DFDNSRSHKCBOIU-NSHDSACASA-N 0 0 287.323 2.521 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000302169979 279023703 /nfs/dbraw/zinc/02/37/03/279023703.db2.gz UDETVXQETTVUFE-RNCFNFMXSA-N 0 0 270.292 2.730 20 5 CFBDRN C[C@@H]1OCCN(c2nccc3cc([N+](=O)[O-])ccc32)[C@H]1C ZINC000297494685 279041877 /nfs/dbraw/zinc/04/18/77/279041877.db2.gz MRGVFKHEUAOXNG-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000293951695 279080061 /nfs/dbraw/zinc/08/00/61/279080061.db2.gz FSBSAJUORAWINM-DTWKUNHWSA-N 0 0 274.276 2.821 20 5 CFBDRN C[C@@H]1[C@@H](Cc2ccccc2)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000273646405 279085236 /nfs/dbraw/zinc/08/52/36/279085236.db2.gz TVOHLZSGRQFZQV-TZMCWYRMSA-N 0 0 298.346 2.842 20 5 CFBDRN Cc1nc(N2CCC3(CCOCC3)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000363776800 279089554 /nfs/dbraw/zinc/08/95/54/279089554.db2.gz KAKYDKHNFMVOAD-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1nc(N2CCC[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000343973393 279090366 /nfs/dbraw/zinc/09/03/66/279090366.db2.gz QUFFOLSKSNRGOS-SECBINFHSA-N 0 0 257.240 2.532 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H]1CCCCC1(F)F ZINC000336257335 279158210 /nfs/dbraw/zinc/15/82/10/279158210.db2.gz FOMOFQTYJGNSGZ-VIFPVBQESA-N 0 0 259.256 2.925 20 5 CFBDRN C[C@@]1(F)CCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000294879565 279165104 /nfs/dbraw/zinc/16/51/04/279165104.db2.gz FVIXXAXJJLTPBS-CYBMUJFWSA-N 0 0 278.287 2.800 20 5 CFBDRN Cc1nn(C)c(N2CCc3cc(F)c(F)cc32)c1[N+](=O)[O-] ZINC000358882394 279199394 /nfs/dbraw/zinc/19/93/94/279199394.db2.gz ZAZJZPBLQBZMSW-UHFFFAOYSA-N 0 0 294.261 2.609 20 5 CFBDRN CC(C)C[C@H]1COCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153907470 279221984 /nfs/dbraw/zinc/22/19/84/279221984.db2.gz PCXKNSVBHMQSSZ-NSHDSACASA-N 0 0 299.758 2.895 20 5 CFBDRN Cc1noc([C@@H]2CCCN2c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000286520582 279255704 /nfs/dbraw/zinc/25/57/04/279255704.db2.gz UGVOIWZRCPAZAZ-NSHDSACASA-N 0 0 292.270 2.767 20 5 CFBDRN Cc1noc([C@H]2CCCN2c2cc(C)ccc2[N+](=O)[O-])n1 ZINC000286605663 279258137 /nfs/dbraw/zinc/25/81/37/279258137.db2.gz SVSNBAWKHUYSOS-GFCCVEGCSA-N 0 0 288.307 2.936 20 5 CFBDRN Cc1occc1CN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000414453986 279277285 /nfs/dbraw/zinc/27/72/85/279277285.db2.gz NSVSSOZXOOSEML-UHFFFAOYSA-N 0 0 288.303 2.891 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2CC[C@H]3CCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000358948078 279306208 /nfs/dbraw/zinc/30/62/08/279306208.db2.gz FLFHHPZVTHCDIF-GRYCIOLGSA-N 0 0 291.351 2.632 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1Cc2ccc(Cl)cc2C1 ZINC000413454902 279385052 /nfs/dbraw/zinc/38/50/52/279385052.db2.gz NPQGAZGRXQGODV-UHFFFAOYSA-N 0 0 278.699 2.502 20 5 CFBDRN C[C@H](Cn1ccnc1)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000050352175 279388470 /nfs/dbraw/zinc/38/84/70/279388470.db2.gz AAIZPQQSAKLTCH-SECBINFHSA-N 0 0 280.715 2.945 20 5 CFBDRN CCOCCCC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000078796366 279685787 /nfs/dbraw/zinc/68/57/87/279685787.db2.gz HZRMUQVMUSZKOB-UHFFFAOYSA-N 0 0 281.308 2.934 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c3cccnc32)CCC1=O ZINC000275427040 279882620 /nfs/dbraw/zinc/88/26/20/279882620.db2.gz USZUYEQFRSQLKB-JTQLQIEISA-N 0 0 285.303 2.558 20 5 CFBDRN CCO[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000301279782 279902366 /nfs/dbraw/zinc/90/23/66/279902366.db2.gz NQTBMAHEXGMJNE-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN C[C@H]1C[C@H](C)N(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000408454094 279989277 /nfs/dbraw/zinc/98/92/77/279989277.db2.gz TWPLJVHVKFMURF-IUCAKERBSA-N 0 0 260.297 2.706 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C1CC=CC1 ZINC000119558457 280023134 /nfs/dbraw/zinc/02/31/34/280023134.db2.gz WBUNJYIQHZMVCT-JTQLQIEISA-N 0 0 272.304 2.839 20 5 CFBDRN CCS[C@@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000331171258 280046747 /nfs/dbraw/zinc/04/67/47/280046747.db2.gz VLRJKIRMCCQIDC-ZYHUDNBSSA-N 0 0 297.380 2.717 20 5 CFBDRN C[C@H]1OCCN(c2ccc3cc([N+](=O)[O-])ccc3n2)[C@H]1C ZINC000268781791 280056840 /nfs/dbraw/zinc/05/68/40/280056840.db2.gz CLYKNMJRSRYPSS-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN Cc1c(NC(=O)N2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000299654226 280243625 /nfs/dbraw/zinc/24/36/25/280243625.db2.gz PENMCJLNMJNTLD-SECBINFHSA-N 0 0 263.297 2.777 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCCO[C@H]2C)cccc1[N+](=O)[O-] ZINC000190427134 280246537 /nfs/dbraw/zinc/24/65/37/280246537.db2.gz ZICVCXMXZGAAJG-QWRGUYRKSA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269329689 280302850 /nfs/dbraw/zinc/30/28/50/280302850.db2.gz ACDNZNOMWHIUNQ-ZJUUUORDSA-N 0 0 294.355 2.750 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000336382256 280333900 /nfs/dbraw/zinc/33/39/00/280333900.db2.gz GBYXUJZRADEQQV-CYBMUJFWSA-N 0 0 274.320 2.775 20 5 CFBDRN O=[N+]([O-])c1c(N2CCCC23CCC3)nc2sccn21 ZINC000366786315 280354052 /nfs/dbraw/zinc/35/40/52/280354052.db2.gz FFYWOWZHGCUHBB-UHFFFAOYSA-N 0 0 278.337 2.827 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCC[C@H]2c2nc[nH]n2)cs1 ZINC000331548442 280357651 /nfs/dbraw/zinc/35/76/51/280357651.db2.gz GOWZRTYXDRIYQV-JTQLQIEISA-N 0 0 293.352 2.502 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@@H](CO)CC1 ZINC000338843063 280358144 /nfs/dbraw/zinc/35/81/44/280358144.db2.gz QZHCLUBEXJIGSB-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC([C@@H]2CCOC2)C1 ZINC000366495151 280361374 /nfs/dbraw/zinc/36/13/74/280361374.db2.gz SBHFHFWSVQTGLD-GFCCVEGCSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(F)cn2)ccc1[N+](=O)[O-] ZINC000338955374 280368337 /nfs/dbraw/zinc/36/83/37/280368337.db2.gz NGKTVVIPILMVHY-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@@H]4CCC[C@H]43)nc2c1 ZINC000408458617 280371660 /nfs/dbraw/zinc/37/16/60/280371660.db2.gz URPZNZWRWYJAHO-TVQRCGJNSA-N 0 0 272.308 2.850 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1c2ccccc2C[C@@H]1O ZINC000341540880 280373017 /nfs/dbraw/zinc/37/30/17/280373017.db2.gz CCMZCTYUFQMVQW-KBPBESRZSA-N 0 0 288.278 2.804 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC[C@@H](F)C3)ccnc21 ZINC000450602819 280373559 /nfs/dbraw/zinc/37/35/59/280373559.db2.gz FDIBXPAUKYBQEZ-SECBINFHSA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC[C@]4(CCOC4)C3)ccnc21 ZINC000432324244 280373569 /nfs/dbraw/zinc/37/35/69/280373569.db2.gz UMZPDDHTLOEFIF-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3C[C@@H]4CCC[C@@H]4C3)c21 ZINC000408165801 280373902 /nfs/dbraw/zinc/37/39/02/280373902.db2.gz NYLLAMWFGYVJEV-PHIMTYICSA-N 0 0 284.319 2.774 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1S[C@@H]1CCOC1 ZINC000436376696 280378490 /nfs/dbraw/zinc/37/84/90/280378490.db2.gz JBNLXRODEIMROH-ZCFIWIBFSA-N 0 0 294.254 2.890 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC3(CCCC3)C2)nc1 ZINC000445661782 280378740 /nfs/dbraw/zinc/37/87/40/280378740.db2.gz LCRHCXLWHBXKQI-UHFFFAOYSA-N 0 0 262.313 2.545 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]3CCCC[C@H]32)nc1 ZINC000273644713 280379660 /nfs/dbraw/zinc/37/96/60/280379660.db2.gz SSXOHFFKSUFJLR-ZYHUDNBSSA-N 0 0 262.313 2.544 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@H](C(F)(F)F)C2)nc1 ZINC000273569962 280381681 /nfs/dbraw/zinc/38/16/81/280381681.db2.gz DAPUNGQHMIKEEN-JGVFFNPUSA-N 0 0 290.245 2.918 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCC2)c(Br)c1 ZINC000086482046 280381933 /nfs/dbraw/zinc/38/19/33/280381933.db2.gz GSPXNMZWKDLWLF-UHFFFAOYSA-N 0 0 272.102 2.717 20 5 CFBDRN Cc1cc(C)cc(-c2nc(-c3c([N+](=O)[O-])ncn3C)no2)c1 ZINC000445577796 280412449 /nfs/dbraw/zinc/41/24/49/280412449.db2.gz XBMWKOIWSVKKRB-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN CCCc1noc(CN(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000046599845 280425746 /nfs/dbraw/zinc/42/57/46/280425746.db2.gz MZZKTDIOHXJMFS-UHFFFAOYSA-N 0 0 290.323 2.562 20 5 CFBDRN CC[C@@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000264735656 280444337 /nfs/dbraw/zinc/44/43/37/280444337.db2.gz JQFHHUVKWLUJMA-GXFFZTMASA-N 0 0 292.335 2.801 20 5 CFBDRN CC[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000264735698 280444817 /nfs/dbraw/zinc/44/48/17/280444817.db2.gz JSKUUBHQCTXMOH-NXEZZACHSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000264743419 280446072 /nfs/dbraw/zinc/44/60/72/280446072.db2.gz KUONPLKWTXWVRP-GHMZBOCLSA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000057075560 280452031 /nfs/dbraw/zinc/45/20/31/280452031.db2.gz MHYPDPRYDFDMRB-SFYZADRCSA-N 0 0 256.327 2.821 20 5 CFBDRN Cc1ccc(CC(=O)N(C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000058070625 280455437 /nfs/dbraw/zinc/45/54/37/280455437.db2.gz NGPKRNGVXIAGJG-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN Cc1ccc(N[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000070352736 280484356 /nfs/dbraw/zinc/48/43/56/280484356.db2.gz UEKXSWQSMLBGJL-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN CC(=O)c1ccccc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000073100452 280491717 /nfs/dbraw/zinc/49/17/17/280491717.db2.gz JDAYOLKWBOFEGR-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc[nH]2)c1 ZINC000074122778 280499975 /nfs/dbraw/zinc/49/99/75/280499975.db2.gz XYWMLWPCPWQKIZ-UHFFFAOYSA-N 0 0 291.332 2.575 20 5 CFBDRN Cc1ncc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000076711046 280520929 /nfs/dbraw/zinc/52/09/29/280520929.db2.gz NSFPRIKVTRHLBR-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000077227308 280522855 /nfs/dbraw/zinc/52/28/55/280522855.db2.gz JPDMDQJLSXIIQC-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN O=C(c1ccsc1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148906 280527097 /nfs/dbraw/zinc/52/70/97/280527097.db2.gz CQWJSQUGQZHYCV-UHFFFAOYSA-N 0 0 274.301 2.859 20 5 CFBDRN CC(C)CN(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000078911042 280531960 /nfs/dbraw/zinc/53/19/60/280531960.db2.gz PUGDTKFVQHXVLK-UHFFFAOYSA-N 0 0 294.229 2.848 20 5 CFBDRN CC(C)(NC(=O)NCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000080082307 280537743 /nfs/dbraw/zinc/53/77/43/280537743.db2.gz NGZGEUPQBGCAFN-UHFFFAOYSA-N 0 0 291.351 2.929 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000080688737 280539885 /nfs/dbraw/zinc/53/98/85/280539885.db2.gz BHAZASKGEGOBJM-NWDGAFQWSA-N 0 0 293.367 2.948 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CSc3nncs3)nc2c1 ZINC000083090743 280547627 /nfs/dbraw/zinc/54/76/27/280547627.db2.gz DJYJIHXEEIEMRL-UHFFFAOYSA-N 0 0 294.317 2.880 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000084255720 280549944 /nfs/dbraw/zinc/54/99/44/280549944.db2.gz UHPKSXLSIAPZCI-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1ccccn1 ZINC000084775868 280552080 /nfs/dbraw/zinc/55/20/80/280552080.db2.gz SECRIJPKAKQSEL-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN CC(C)(C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231750 280558713 /nfs/dbraw/zinc/55/87/13/280558713.db2.gz BDYKPLBIRWYCEI-UHFFFAOYSA-N 0 0 276.336 2.916 20 5 CFBDRN COc1ccc(F)c(NC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000088225150 280558790 /nfs/dbraw/zinc/55/87/90/280558790.db2.gz ZQWKULVHHPEOTK-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN C/C=C/C=C/C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231136 280559178 /nfs/dbraw/zinc/55/91/78/280559178.db2.gz HMYBJAKOKJZYKM-MQQKCMAXSA-N 0 0 272.304 2.612 20 5 CFBDRN CCCCN(CCCO)c1ccc([N+](=O)[O-])c(OCC)c1 ZINC000089266023 280562129 /nfs/dbraw/zinc/56/21/29/280562129.db2.gz RFYVASAUMDDTHP-UHFFFAOYSA-N 0 0 296.367 2.982 20 5 CFBDRN CC[C@H](C)C(=O)COc1ccc(C)c([N+](=O)[O-])c1 ZINC000089692708 280564484 /nfs/dbraw/zinc/56/44/84/280564484.db2.gz HXDQCJSNSAREQR-VIFPVBQESA-N 0 0 251.282 2.897 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)cc1C ZINC000089997515 280566930 /nfs/dbraw/zinc/56/69/30/280566930.db2.gz PEKCWZRJXNIVJL-UHFFFAOYSA-N 0 0 288.259 2.951 20 5 CFBDRN Cc1cc(N2CC[C@H](O)c3ccccc3C2)ncc1[N+](=O)[O-] ZINC000375889206 280577301 /nfs/dbraw/zinc/57/73/01/280577301.db2.gz JIEAPYCMYLOYRV-HNNXBMFYSA-N 0 0 299.330 2.742 20 5 CFBDRN COc1cc(C(=O)Nc2ccccc2)ccc1[N+](=O)[O-] ZINC000113652475 280598066 /nfs/dbraw/zinc/59/80/66/280598066.db2.gz GCIPOLAGPBUILO-UHFFFAOYSA-N 0 0 272.260 2.856 20 5 CFBDRN Cc1nc(CN(C)Cc2c(Cl)cccc2[N+](=O)[O-])no1 ZINC000119813368 280610619 /nfs/dbraw/zinc/61/06/19/280610619.db2.gz VSJKTBVUQGNCFP-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000125929467 280647223 /nfs/dbraw/zinc/64/72/23/280647223.db2.gz QXTQMLOHRZFKCL-UKRRQHHQSA-N 0 0 276.336 2.690 20 5 CFBDRN CSCCCCNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000126183751 280650612 /nfs/dbraw/zinc/65/06/12/280650612.db2.gz PLEFYXRHCIZUSF-BQYQJAHWSA-N 0 0 294.376 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CC12CCCC2 ZINC000430211723 280658815 /nfs/dbraw/zinc/65/88/15/280658815.db2.gz JYRGXHMDCLCJHZ-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCc1ccc(O)cc1 ZINC000127243850 280662453 /nfs/dbraw/zinc/66/24/53/280662453.db2.gz FYLOGHSCJRSQNM-BJMVGYQFSA-N 0 0 298.298 2.630 20 5 CFBDRN O=C(Nc1cccc(O)c1F)c1ccc([N+](=O)[O-])s1 ZINC000128326526 280675919 /nfs/dbraw/zinc/67/59/19/280675919.db2.gz NMMXGCCIUSLZHC-UHFFFAOYSA-N 0 0 282.252 2.753 20 5 CFBDRN Cc1cnccc1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000129242343 280687756 /nfs/dbraw/zinc/68/77/56/280687756.db2.gz WYXCCEFYGAXDLZ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccn(Cc2ccc3ccccc3n2)c(=O)c1[N+](=O)[O-] ZINC000129915056 280696512 /nfs/dbraw/zinc/69/65/12/280696512.db2.gz YOBDEIRBPVPCGO-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1ccn(CCO[C@H]2CCCC[C@H]2C)c(=O)c1[N+](=O)[O-] ZINC000129941717 280697325 /nfs/dbraw/zinc/69/73/25/280697325.db2.gz QFVBBDFNCMWCDJ-YPMHNXCESA-N 0 0 294.351 2.660 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)c1cccn1C ZINC000131400054 280717579 /nfs/dbraw/zinc/71/75/79/280717579.db2.gz YDTQWTIBGAKHIT-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN Cc1ccc(-c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)o1 ZINC000355435513 280723929 /nfs/dbraw/zinc/72/39/29/280723929.db2.gz AQPXYEVWSVZWHZ-UHFFFAOYSA-N 0 0 286.247 2.795 20 5 CFBDRN C[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])[C@H](C)[C@H](C)O1 ZINC000408445753 288260966 /nfs/dbraw/zinc/26/09/66/288260966.db2.gz ZOESHDDLIWDOJN-BBBLOLIVSA-N 0 0 268.288 2.736 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2cn3ccccc3n2)c1 ZINC000135343922 280771434 /nfs/dbraw/zinc/77/14/34/280771434.db2.gz APTGSYUIXRQQQT-UHFFFAOYSA-N 0 0 287.250 2.961 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc([N+](=O)[O-])c2)oc1C ZINC000135657713 280776232 /nfs/dbraw/zinc/77/62/32/280776232.db2.gz WTFONOBDYJRSKN-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN Cc1cscc1NC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000151359304 280802541 /nfs/dbraw/zinc/80/25/41/280802541.db2.gz AYIUCBXISSGPQH-UHFFFAOYSA-N 0 0 294.336 2.535 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@H](O)Cc1ccccc1 ZINC000184775612 280830927 /nfs/dbraw/zinc/83/09/27/280830927.db2.gz CCZYTKZUEDWSOD-GFCCVEGCSA-N 0 0 291.278 2.716 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cc1F)N1CCCCC1=O ZINC000190295359 280886381 /nfs/dbraw/zinc/88/63/81/280886381.db2.gz XRZDHDOIZXQBEE-SNVBAGLBSA-N 0 0 295.314 2.547 20 5 CFBDRN CN(Cc1ccc(F)cc1)c1ncc([N+](=O)[O-])s1 ZINC000190302016 280886411 /nfs/dbraw/zinc/88/64/11/280886411.db2.gz QBTXAYQZZOJDHC-UHFFFAOYSA-N 0 0 267.285 2.827 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)N[C@H](C)C(C)C ZINC000192572227 280909614 /nfs/dbraw/zinc/90/96/14/280909614.db2.gz QGRNLKQHRVDXLJ-GFCCVEGCSA-N 0 0 293.367 2.784 20 5 CFBDRN CN(C(=O)CCNc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000193160509 280912049 /nfs/dbraw/zinc/91/20/49/280912049.db2.gz AQJUAHOHUAGLHP-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H](C)C(C)C ZINC000194743954 280926651 /nfs/dbraw/zinc/92/66/51/280926651.db2.gz OTGOAZADHNMQOK-LLVKDONJSA-N 0 0 264.325 2.925 20 5 CFBDRN O=[N+]([O-])c1cn(CCc2c(F)cccc2Cl)cn1 ZINC000194862880 280927232 /nfs/dbraw/zinc/92/72/32/280927232.db2.gz QDFZYBGASQFJHU-UHFFFAOYSA-N 0 0 269.663 2.827 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000194867361 280927704 /nfs/dbraw/zinc/92/77/04/280927704.db2.gz GUCUGSLBACUTJS-SNVBAGLBSA-N 0 0 280.324 2.912 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@H]2CCCC[C@@H]2O)c(F)c1 ZINC000227890756 280935906 /nfs/dbraw/zinc/93/59/06/280935906.db2.gz CNRPQSKEKVAOAM-PELKAZGASA-N 0 0 286.278 2.836 20 5 CFBDRN CNc1ccc(C(=O)NC[C@@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000230713976 280938336 /nfs/dbraw/zinc/93/83/36/280938336.db2.gz MZVOUMHYHNAXST-JQWIXIFHSA-N 0 0 291.351 2.803 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2C[C@@H](C)O[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000245590068 280955955 /nfs/dbraw/zinc/95/59/55/280955955.db2.gz KOFOVZDCBCOVTE-PEGIJTEDSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H](C)S1 ZINC000153854237 288278256 /nfs/dbraw/zinc/27/82/56/288278256.db2.gz YGKWIBHUDMROGW-OCAPTIKFSA-N 0 0 287.772 2.973 20 5 CFBDRN O=C([C@H]1[C@@H]2CCCC[C@@H]21)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000246995593 281002846 /nfs/dbraw/zinc/00/28/46/281002846.db2.gz KNZIZBJGUJMZDH-NHAGDIPZSA-N 0 0 286.331 2.920 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2Nc1ncc([N+](=O)[O-])cn1 ZINC000273674824 281059555 /nfs/dbraw/zinc/05/95/55/281059555.db2.gz YCVZVLVKOVFLHX-ZDUSSCGKSA-N 0 0 270.292 2.793 20 5 CFBDRN Cc1ccc2c(c1)CN(C(=O)c1ccc([N+](=O)[O-])o1)C2 ZINC000334534993 281067672 /nfs/dbraw/zinc/06/76/72/281067672.db2.gz FEJQBDHMXKTWHT-UHFFFAOYSA-N 0 0 272.260 2.652 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ccc([N+](=O)[O-])cn1)C2 ZINC000360266700 281073102 /nfs/dbraw/zinc/07/31/02/281073102.db2.gz MHFUYSFFWZBZFI-UHFFFAOYSA-N 0 0 255.277 2.818 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NCC1(C2CC2)CC1 ZINC000255000495 281083988 /nfs/dbraw/zinc/08/39/88/281083988.db2.gz SVMUDHPHVIWBGR-DAXSKMNVSA-N 0 0 286.331 2.914 20 5 CFBDRN CC1(C)CCN(C(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000279282230 281087175 /nfs/dbraw/zinc/08/71/75/281087175.db2.gz KPGUQRBRBQMHCS-UHFFFAOYSA-N 0 0 294.376 2.953 20 5 CFBDRN CN(CC(F)(F)F)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255286449 281091985 /nfs/dbraw/zinc/09/19/85/281091985.db2.gz WXPGRTYSJWCVMS-DAXSKMNVSA-N 0 0 288.225 2.629 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255418688 281098150 /nfs/dbraw/zinc/09/81/50/281098150.db2.gz YEYOVRATNWAKRG-FPLPWBNLSA-N 0 0 286.291 2.587 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)CCC2 ZINC000340998915 281098396 /nfs/dbraw/zinc/09/83/96/281098396.db2.gz SJJSSCBNPXSFDS-LBPRGKRZSA-N 0 0 299.334 2.595 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCc1cccc(O)c1 ZINC000255472224 281098588 /nfs/dbraw/zinc/09/85/88/281098588.db2.gz CSBNTCIHYPGMLO-TWGQIWQCSA-N 0 0 298.298 2.630 20 5 CFBDRN Cc1ccc(/C=C\C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)o1 ZINC000255869090 281109191 /nfs/dbraw/zinc/10/91/91/281109191.db2.gz FCJFJPFZKVEQMJ-YVMONPNESA-N 0 0 287.275 2.852 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC=C(F)C1 ZINC000274085730 281124642 /nfs/dbraw/zinc/12/46/42/281124642.db2.gz SDLBCZWKYAHPPP-UHFFFAOYSA-N 0 0 283.234 2.825 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000346229182 281172951 /nfs/dbraw/zinc/17/29/51/281172951.db2.gz ZZTXUGXQZYSQIG-LBPRGKRZSA-N 0 0 280.299 2.581 20 5 CFBDRN CC[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000264760511 281177537 /nfs/dbraw/zinc/17/75/37/281177537.db2.gz NLZAXTLSBOEQKY-KOLCDFICSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1cccc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)n1 ZINC000024933200 281195854 /nfs/dbraw/zinc/19/58/54/281195854.db2.gz QZPKPJNNTKPLET-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@@H](CCO)CCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000397813326 281202315 /nfs/dbraw/zinc/20/23/15/281202315.db2.gz DNAHDOMDBBLVFA-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN COc1cc(NC[C@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000397937916 281203195 /nfs/dbraw/zinc/20/31/95/281203195.db2.gz XJAGKEPWRSHTFX-GXSJLCMTSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncnc3sc([N+](=O)[O-])cc32)CS1 ZINC000397931773 281203320 /nfs/dbraw/zinc/20/33/20/281203320.db2.gz QEGRZSSVLANCTK-BQBZGAKWSA-N 0 0 296.377 2.905 20 5 CFBDRN COc1cccc(NC[C@@H]2CC[C@H](C)O2)c1[N+](=O)[O-] ZINC000397937076 281203410 /nfs/dbraw/zinc/20/34/10/281203410.db2.gz XHHKARLHFIEYDB-UWVGGRQHSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000398093701 281203498 /nfs/dbraw/zinc/20/34/98/281203498.db2.gz ZCLUPXXPXBIPNK-SCZZXKLOSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cc(NC[C@@H]2CC[C@H](C)O2)c(F)cc1[N+](=O)[O-] ZINC000397946824 281203632 /nfs/dbraw/zinc/20/36/32/281203632.db2.gz MWKWJBNFTJZERW-IUCAKERBSA-N 0 0 284.287 2.722 20 5 CFBDRN CCOc1cc(NC[C@@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000397963876 281203634 /nfs/dbraw/zinc/20/36/34/281203634.db2.gz WOVDLEKFECFONB-JQWIXIFHSA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@@H](CCC1CC1)N=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1 ZINC000398107462 281204588 /nfs/dbraw/zinc/20/45/88/281204588.db2.gz AJTNILKOMLFSKY-VIFPVBQESA-N 0 0 274.324 2.884 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000399709204 281211428 /nfs/dbraw/zinc/21/14/28/281211428.db2.gz YESXHEWLNGZQJX-OPRDCNLKSA-N 0 0 280.299 2.850 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000336433063 281227170 /nfs/dbraw/zinc/22/71/70/281227170.db2.gz XWRFCNDMPBKMRL-SCZZXKLOSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000425664960 281230703 /nfs/dbraw/zinc/23/07/03/281230703.db2.gz UDCZPQURMJRUKI-PEGIJTEDSA-N 0 0 275.308 2.823 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3ccc(O)cc3C2)n1 ZINC000433428030 281234494 /nfs/dbraw/zinc/23/44/94/281234494.db2.gz RVZMXLKLYQAHAK-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nccn2CC(F)(F)F)nc1 ZINC000439537407 281238456 /nfs/dbraw/zinc/23/84/56/281238456.db2.gz YWVASUDWLMWMMN-RQOWECAXSA-N 0 0 298.224 2.919 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000187668657 281261124 /nfs/dbraw/zinc/26/11/24/281261124.db2.gz AYANLMVIACBJPG-ONGXEEELSA-N 0 0 278.312 2.642 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000274840498 281274107 /nfs/dbraw/zinc/27/41/07/281274107.db2.gz VYTMHOHVMYSQEV-ZJUUUORDSA-N 0 0 294.355 2.702 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC1(CF)CCC1 ZINC000493143939 281280452 /nfs/dbraw/zinc/28/04/52/281280452.db2.gz CLICLCISHLATQY-DAXSKMNVSA-N 0 0 278.283 2.616 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCO[C@H](C2CCC2)C1 ZINC000515215771 281291815 /nfs/dbraw/zinc/29/18/15/281291815.db2.gz ALKHISHMRXKSRL-LBPRGKRZSA-N 0 0 297.742 2.649 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)c1cccc(F)c1 ZINC000515742372 281296706 /nfs/dbraw/zinc/29/67/06/281296706.db2.gz XCOLYBYDHQIOBG-UHFFFAOYSA-N 0 0 291.282 2.739 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CS[C@H](C)C1 ZINC000516785756 281306138 /nfs/dbraw/zinc/30/61/38/281306138.db2.gz VJBDFDIHYPUQBU-BDAKNGLRSA-N 0 0 268.338 2.909 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc(C)ccc2[N+](=O)[O-])o1 ZINC000525143441 281312168 /nfs/dbraw/zinc/31/21/68/281312168.db2.gz OXZVIUOBDFFOBR-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN CC[C@]1(C)CN(c2ccc(C)cc2[N+](=O)[O-])CCO1 ZINC000302658792 281323024 /nfs/dbraw/zinc/32/30/24/281323024.db2.gz LHDQQPYPIZJWKJ-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCCOC(C)(C)C ZINC000527339507 281329582 /nfs/dbraw/zinc/32/95/82/281329582.db2.gz IACRDKBITCQPLB-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN C[C@H](NC(=O)N1[C@H](C)C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000527456721 281331597 /nfs/dbraw/zinc/33/15/97/281331597.db2.gz PLRVAMBUGVYBEW-MXWKQRLJSA-N 0 0 277.324 2.848 20 5 CFBDRN C[C@H](NC(=O)N1CCC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000530630181 281426367 /nfs/dbraw/zinc/42/63/67/281426367.db2.gz GVBJBOHNAFMMMS-JTQLQIEISA-N 0 0 277.324 2.850 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])cn2C)CCCC1 ZINC000531608915 281435325 /nfs/dbraw/zinc/43/53/25/281435325.db2.gz PXFPLCBUMMAHIY-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN CCOc1ccc(C(=O)NC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000531606767 281435392 /nfs/dbraw/zinc/43/53/92/281435392.db2.gz QFVFZQAJRYTWBZ-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)c(C)c1 ZINC000531628406 281435934 /nfs/dbraw/zinc/43/59/34/281435934.db2.gz AFXLOEPYPNNXHX-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000531631445 281436288 /nfs/dbraw/zinc/43/62/88/281436288.db2.gz VFMVTXCVKKWUNK-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc(F)cc2[N+](=O)[O-])o1 ZINC000532323085 281451275 /nfs/dbraw/zinc/45/12/75/281451275.db2.gz PAUHUORTYBQGOH-UHFFFAOYSA-N 0 0 292.266 2.608 20 5 CFBDRN Cc1c(CC(=O)NC2(C3CC3)CC2)cccc1[N+](=O)[O-] ZINC000533496859 281468815 /nfs/dbraw/zinc/46/88/15/281468815.db2.gz BQZDMBUUOOEKAE-UHFFFAOYSA-N 0 0 274.320 2.505 20 5 CFBDRN Cc1ccnc(N[C@@H]2COCc3ccccc32)c1[N+](=O)[O-] ZINC000418993820 281473348 /nfs/dbraw/zinc/47/33/48/281473348.db2.gz LCUPJBBTNCXWOM-CYBMUJFWSA-N 0 0 285.303 2.982 20 5 CFBDRN Cc1ccncc1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000359708185 281481952 /nfs/dbraw/zinc/48/19/52/281481952.db2.gz QNSUJFCRAWOLGE-LLVKDONJSA-N 0 0 297.314 2.890 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000534388106 281486288 /nfs/dbraw/zinc/48/62/88/281486288.db2.gz MJHJCJGCGOCCPU-LLVKDONJSA-N 0 0 251.282 2.709 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000298670345 288331566 /nfs/dbraw/zinc/33/15/66/288331566.db2.gz GVINQBKFPDAWNA-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)(NC(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000535032786 281494808 /nfs/dbraw/zinc/49/48/08/281494808.db2.gz BJHDERMGJCMYON-UHFFFAOYSA-N 0 0 291.351 2.625 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000505600910 288332923 /nfs/dbraw/zinc/33/29/23/288332923.db2.gz BEJIRXKAZUXHQA-MNOVXSKESA-N 0 0 282.315 2.733 20 5 CFBDRN CCCc1csc(NC(=O)c2cc([N+](=O)[O-])cn2C)n1 ZINC000536611336 281523880 /nfs/dbraw/zinc/52/38/80/281523880.db2.gz SDERHSSXWVIHKZ-UHFFFAOYSA-N 0 0 294.336 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@H]2CCCC[C@H]2O)c1 ZINC000537570196 281539242 /nfs/dbraw/zinc/53/92/42/281539242.db2.gz VXUCGHRPQDOOSK-IUODEOHRSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@H](NC(=O)NC1(C2CC2)CC1)c1ccccc1[N+](=O)[O-] ZINC000538195265 281549742 /nfs/dbraw/zinc/54/97/42/281549742.db2.gz OWYMSCODBNGHAL-JTQLQIEISA-N 0 0 289.335 2.898 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)NCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000540643171 281588846 /nfs/dbraw/zinc/58/88/46/281588846.db2.gz ZLJDEHFEFKJAAX-RYUDHWBXSA-N 0 0 292.335 2.526 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H](C(C)C)C1 ZINC000361046087 281597027 /nfs/dbraw/zinc/59/70/27/281597027.db2.gz ZXEDUYHPIGAUBQ-NSHDSACASA-N 0 0 266.345 2.602 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(Cc3ccno3)c2c(Cl)c1 ZINC000541781200 281611487 /nfs/dbraw/zinc/61/14/87/281611487.db2.gz QIYCYMVUZQEKBO-UHFFFAOYSA-N 0 0 278.655 2.634 20 5 CFBDRN Cc1cncc(C(=O)N2c3ccc([N+](=O)[O-])cc3C[C@H]2C)c1 ZINC000175120577 281650006 /nfs/dbraw/zinc/65/00/06/281650006.db2.gz IROFNCFBRSSEFE-LLVKDONJSA-N 0 0 297.314 2.890 20 5 CFBDRN CC(C)(NC(=O)CSc1ccccc1[N+](=O)[O-])C1CC1 ZINC000544089063 281660769 /nfs/dbraw/zinc/66/07/69/281660769.db2.gz YCGFDVZIOQPBDW-UHFFFAOYSA-N 0 0 294.376 2.992 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC2(CCC2)C[C@H]1C ZINC000358915489 281667909 /nfs/dbraw/zinc/66/79/09/281667909.db2.gz OMQYZHBYMJOJSL-SNVBAGLBSA-N 0 0 278.356 2.889 20 5 CFBDRN Cc1ccccc1C[C@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000544842774 281674514 /nfs/dbraw/zinc/67/45/14/281674514.db2.gz PZPQJEBZTVIRCY-CQSZACIVSA-N 0 0 298.346 2.905 20 5 CFBDRN CC(C)CCc1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000544860038 281674763 /nfs/dbraw/zinc/67/47/63/281674763.db2.gz JWXHYZAHUXMGJW-UHFFFAOYSA-N 0 0 262.269 2.628 20 5 CFBDRN C[C@H](NC(C)(C)c1cnn(C)c1)c1ccccc1[N+](=O)[O-] ZINC000545293373 281684713 /nfs/dbraw/zinc/68/47/13/281684713.db2.gz UTPSJKDEBRIUDW-NSHDSACASA-N 0 0 288.351 2.914 20 5 CFBDRN CCSCc1noc(-c2ccc(NC)c([N+](=O)[O-])c2)n1 ZINC000545732645 281692724 /nfs/dbraw/zinc/69/27/24/281692724.db2.gz HGVJWCROSGAGGR-UHFFFAOYSA-N 0 0 294.336 2.940 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000360989563 288350479 /nfs/dbraw/zinc/35/04/79/288350479.db2.gz DFWQKQFNVVCRAO-ONGXEEELSA-N 0 0 266.345 2.744 20 5 CFBDRN COCCCCc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000546400305 281708487 /nfs/dbraw/zinc/70/84/87/281708487.db2.gz NJTVVNMGTCMBSF-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN C[C@H](c1cnn(C)c1)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000546938949 281723459 /nfs/dbraw/zinc/72/34/59/281723459.db2.gz JPROCVDWEVOCDQ-SECBINFHSA-N 0 0 299.290 2.530 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000547187100 281728609 /nfs/dbraw/zinc/72/86/09/281728609.db2.gz WTCVURKXYVERTH-HZGVNTEJSA-N 0 0 263.228 2.907 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C1CCOCC1 ZINC000547959499 281760775 /nfs/dbraw/zinc/76/07/75/281760775.db2.gz CJZHTWPOPSPCSU-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN Cn1cc(CNc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)nn1 ZINC000550983887 281831246 /nfs/dbraw/zinc/83/12/46/281831246.db2.gz XWWWERDTFHWGGY-UHFFFAOYSA-N 0 0 289.339 2.633 20 5 CFBDRN CC1CN(C(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)C1 ZINC000335202586 281842638 /nfs/dbraw/zinc/84/26/38/281842638.db2.gz FTCMNUJRQSBVKE-UHFFFAOYSA-N 0 0 288.225 2.706 20 5 CFBDRN Cc1nc(N2CC=C(C(F)(F)F)CC2)ccc1[N+](=O)[O-] ZINC000270212755 281920288 /nfs/dbraw/zinc/92/02/88/281920288.db2.gz LUSAKSRCDPKPBL-UHFFFAOYSA-N 0 0 287.241 2.997 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H](CO)C(C)C)c([N+](=O)[O-])c1 ZINC000557727325 281970438 /nfs/dbraw/zinc/97/04/38/281970438.db2.gz JZGRSRZANPBSHC-GFCCVEGCSA-N 0 0 294.351 2.864 20 5 CFBDRN CC[C@H]1CCN1C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000558163859 281977687 /nfs/dbraw/zinc/97/76/87/281977687.db2.gz XBYQFKMJVUVIIZ-PWSUYJOCSA-N 0 0 262.309 2.709 20 5 CFBDRN CCOc1cc(N[C@H]2C[C@H]2OCC)ccc1[N+](=O)[O-] ZINC000559815624 282010784 /nfs/dbraw/zinc/01/07/84/282010784.db2.gz CTIJGBKNGVRHKP-CMPLNLGQSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c([N+](=O)[O-])ncn2C)c2ccccc2O1 ZINC000296183310 288569212 /nfs/dbraw/zinc/56/92/12/288569212.db2.gz CZFBZDHCMBAQFM-MWLCHTKSSA-N 0 0 288.307 2.653 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000408191258 288796226 /nfs/dbraw/zinc/79/62/26/288796226.db2.gz GGIVOWFAPBPIKQ-BREBYQMCSA-N 0 0 277.324 2.716 20 5 CFBDRN COCC[C@H](NC(=O)c1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000338151203 292708094 /nfs/dbraw/zinc/70/80/94/292708094.db2.gz YXTRRFSPJZEBFL-ZDUSSCGKSA-N 0 0 294.351 2.776 20 5 CFBDRN C[C@H](C1CC1)N(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000298339261 289399244 /nfs/dbraw/zinc/39/92/44/289399244.db2.gz QBDAXHLMKWHRKQ-LLVKDONJSA-N 0 0 288.347 2.927 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000065759638 522693124 /nfs/dbraw/zinc/69/31/24/522693124.db2.gz NBDQUVFLGXAJJK-UHFFFAOYSA-N 0 0 294.204 2.758 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@@H](O)Cc1ccccc1 ZINC000153893738 289879738 /nfs/dbraw/zinc/87/97/38/289879738.db2.gz GLDWMUDHDORUPN-ZDUSSCGKSA-N 0 0 291.278 2.716 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCCC1(C)C ZINC000157130168 289949582 /nfs/dbraw/zinc/94/95/82/289949582.db2.gz DYXYLUQPRLAFPW-CYBMUJFWSA-N 0 0 291.351 2.997 20 5 CFBDRN CCc1onc(C)c1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158396823 289975334 /nfs/dbraw/zinc/97/53/34/289975334.db2.gz BHSXJWIFKKRODB-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000158441916 289975631 /nfs/dbraw/zinc/97/56/31/289975631.db2.gz IBLKSRJMULOWLD-SECBINFHSA-N 0 0 266.322 2.803 20 5 CFBDRN C[C@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C1CCCC1 ZINC000330448088 289981226 /nfs/dbraw/zinc/98/12/26/289981226.db2.gz GTTPYBZRGNWJGH-JTQLQIEISA-N 0 0 264.325 2.969 20 5 CFBDRN CN(Cc1ccnn1C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432173571 290031281 /nfs/dbraw/zinc/03/12/81/290031281.db2.gz DBUUJBRIIXKRCO-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@@H]2CCCC[C@H]12 ZINC000530917113 290033377 /nfs/dbraw/zinc/03/33/77/290033377.db2.gz NODUFUKLGAOPQW-IJLUTSLNSA-N 0 0 278.356 2.733 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000562390282 290040071 /nfs/dbraw/zinc/04/00/71/290040071.db2.gz OKRZQVXORYPJQP-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN C[C@H](C(=O)NC[C@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000562400340 290040339 /nfs/dbraw/zinc/04/03/39/290040339.db2.gz KJYRZHRQBVTMLE-CMPLNLGQSA-N 0 0 276.336 2.861 20 5 CFBDRN CC(=O)c1cc(COc2ccc([N+](=O)[O-])c(C)c2)on1 ZINC000562440273 290043257 /nfs/dbraw/zinc/04/32/57/290043257.db2.gz DTAYFKHQYMRZLA-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)ccn1 ZINC000562495165 290047986 /nfs/dbraw/zinc/04/79/86/290047986.db2.gz BOGBJMIZVGCWCX-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000562534617 290052605 /nfs/dbraw/zinc/05/26/05/290052605.db2.gz VENJVXINRYKLPW-CBAPKCEASA-N 0 0 291.229 2.598 20 5 CFBDRN CS[C@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000562723334 290071428 /nfs/dbraw/zinc/07/14/28/290071428.db2.gz XZNSHDHTRJLOCT-JTQLQIEISA-N 0 0 293.348 2.673 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CCC4(CCO4)CC3)c2c1 ZINC000562727617 290071820 /nfs/dbraw/zinc/07/18/20/290071820.db2.gz PVPPKVNGNJDJPL-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000563022662 290094412 /nfs/dbraw/zinc/09/44/12/290094412.db2.gz FPCMCQJNHRNZOI-UKRRQHHQSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1nc(N2CC[C@@H](c3cccnc3)C2)ccc1[N+](=O)[O-] ZINC000563052861 290098181 /nfs/dbraw/zinc/09/81/81/290098181.db2.gz FUGYWCCDZIGDPJ-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1cnc(N2CC[C@H](c3cccnc3)C2)c([N+](=O)[O-])c1 ZINC000563049617 290098213 /nfs/dbraw/zinc/09/82/13/290098213.db2.gz PHKAVRWTLWBJDD-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2[C@@H]2CCCOC2)c(F)c1 ZINC000563054182 290098938 /nfs/dbraw/zinc/09/89/38/290098938.db2.gz TWSPSWRSHYTHSJ-MFKMUULPSA-N 0 0 295.314 2.524 20 5 CFBDRN C[C@H]1CN(Cc2ccccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000563082040 290101719 /nfs/dbraw/zinc/10/17/19/290101719.db2.gz UMIHFQKLCZXUBW-LBPRGKRZSA-N 0 0 276.336 2.738 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(Cc2cnccn2)C2CC2)c1 ZINC000563089862 290102425 /nfs/dbraw/zinc/10/24/25/290102425.db2.gz AZOBUTIJQAQHDQ-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCC[C@@H]3OCC[C@H]32)c1[N+](=O)[O-] ZINC000268411609 292788888 /nfs/dbraw/zinc/78/88/88/292788888.db2.gz TVDBVLPYHUMBAM-TUAOUCFPSA-N 0 0 277.324 2.668 20 5 CFBDRN CCC(CC)(CO)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000563388468 290141995 /nfs/dbraw/zinc/14/19/95/290141995.db2.gz KKLWKGMLAGZOBN-NSHDSACASA-N 0 0 294.351 2.571 20 5 CFBDRN CCN(C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])[C@@H](C)C(C)C ZINC000565196718 290280259 /nfs/dbraw/zinc/28/02/59/290280259.db2.gz QDTSFMLWLSFIMG-JTQLQIEISA-N 0 0 296.371 2.948 20 5 CFBDRN CCc1nocc1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000565220621 290284388 /nfs/dbraw/zinc/28/43/88/290284388.db2.gz HJXUVWPELPGYRM-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN CC(C)Oc1nc(NCc2cccs2)ccc1[N+](=O)[O-] ZINC000565220926 290284433 /nfs/dbraw/zinc/28/44/33/290284433.db2.gz HXTHIAIXYHQNJQ-UHFFFAOYSA-N 0 0 293.348 2.873 20 5 CFBDRN COc1ccc(CSCc2ccccc2[N+](=O)[O-])nn1 ZINC000565319329 290294574 /nfs/dbraw/zinc/29/45/74/290294574.db2.gz SPIHMJUTJGMJBS-UHFFFAOYSA-N 0 0 291.332 2.827 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000565467959 290303207 /nfs/dbraw/zinc/30/32/07/290303207.db2.gz CFYNUKIVEHUZBM-NSHDSACASA-N 0 0 291.351 2.925 20 5 CFBDRN CCc1nc(CN(C)c2ncc([N+](=O)[O-])cc2F)cs1 ZINC000565585539 290311274 /nfs/dbraw/zinc/31/12/74/290311274.db2.gz FYCQTPLMSQMFPQ-UHFFFAOYSA-N 0 0 296.327 2.784 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCc2cccc(O)c21 ZINC000565590775 290311417 /nfs/dbraw/zinc/31/14/17/290311417.db2.gz CFDUNRRTNQHFIM-CYBMUJFWSA-N 0 0 298.298 2.969 20 5 CFBDRN C[C@H]1c2c(F)cccc2CCN1c1c([N+](=O)[O-])ncn1C ZINC000565982948 290338756 /nfs/dbraw/zinc/33/87/56/290338756.db2.gz VXMXIVMZHLVPGB-VIFPVBQESA-N 0 0 290.298 2.591 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(O[C@@H]2C[C@H](C)CCC2=O)c1C ZINC000567368051 290458991 /nfs/dbraw/zinc/45/89/91/290458991.db2.gz KHNIFDPVVLVOQT-AMIZOPFISA-N 0 0 278.308 2.743 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCC[C@@H](F)C1 ZINC000567767699 290493486 /nfs/dbraw/zinc/49/34/86/290493486.db2.gz VFMFQJVHCQAKSB-NXEZZACHSA-N 0 0 270.308 2.812 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1(CF)CCC1 ZINC000567927796 290505908 /nfs/dbraw/zinc/50/59/08/290505908.db2.gz PPTYEEPSIGZSLO-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2[C@@H]2CCCOC2)ncc1[N+](=O)[O-] ZINC000568624966 290562522 /nfs/dbraw/zinc/56/25/22/290562522.db2.gz DJEQESHXIOKDIX-CHWSQXEVSA-N 0 0 291.351 2.694 20 5 CFBDRN C[C@@H](CC(F)(F)F)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000569195126 290590166 /nfs/dbraw/zinc/59/01/66/290590166.db2.gz VMBYLNTUAOJXPQ-QMMMGPOBSA-N 0 0 290.241 2.800 20 5 CFBDRN CO[C@H]1CN(c2c(C)cccc2[N+](=O)[O-])CC[C@H]1C ZINC000302555965 294578282 /nfs/dbraw/zinc/57/82/82/294578282.db2.gz LKNKKLNQWNABIJ-MFKMUULPSA-N 0 0 264.325 2.764 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H](C)OC(C)(C)C2)c1 ZINC000264613449 295254562 /nfs/dbraw/zinc/25/45/62/295254562.db2.gz GGISDCHXHBBXHE-SNVBAGLBSA-N 0 0 280.324 2.607 20 5 CFBDRN C[C@@H]1COc2ccccc2CN1c1ncccc1[N+](=O)[O-] ZINC000272916624 297169163 /nfs/dbraw/zinc/16/91/63/297169163.db2.gz PLANCKWBJXARLC-LLVKDONJSA-N 0 0 285.303 2.777 20 5 CFBDRN C[C@@H]1C[C@@H](c2cccnc2)N(c2ccc([N+](=O)[O-])nc2)C1 ZINC000347883730 297297305 /nfs/dbraw/zinc/29/73/05/297297305.db2.gz VOTXLNJETHGSTE-RISCZKNCSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@H]1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000267603741 300105799 /nfs/dbraw/zinc/10/57/99/300105799.db2.gz FTJLAXQGIXEARV-TXEJJXNPSA-N 0 0 290.363 2.987 20 5 CFBDRN C[C@H]1CN(C(=O)c2c(N)cccc2[N+](=O)[O-])CC(C)(C)C1 ZINC000345422076 300182834 /nfs/dbraw/zinc/18/28/34/300182834.db2.gz MUGIQDAZYFYRGI-SNVBAGLBSA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCC[C@@H]2C[C@H](C)O)n1 ZINC000447815576 301203003 /nfs/dbraw/zinc/20/30/03/301203003.db2.gz GMPJNPPMLCIRHI-QWHCGFSZSA-N 0 0 293.367 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](n3cccn3)C2)c1 ZINC000447058433 301852355 /nfs/dbraw/zinc/85/23/55/301852355.db2.gz TXJLYGJSBPIGSB-CYBMUJFWSA-N 0 0 286.335 2.941 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC2(C1)CCCC2 ZINC000336459413 302037423 /nfs/dbraw/zinc/03/74/23/302037423.db2.gz GDDVGAVQPMKAFN-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1ccnc(N2CCC(F)(F)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000291076401 302269430 /nfs/dbraw/zinc/26/94/30/302269430.db2.gz HQEGIQWEBDDLQZ-VIFPVBQESA-N 0 0 271.267 2.780 20 5 CFBDRN Cc1cnc(N2CCOC[C@H]2CC(C)C)c([N+](=O)[O-])c1 ZINC000267995904 302351361 /nfs/dbraw/zinc/35/13/61/302351361.db2.gz AJCZOAFHKNGUER-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1nc(N2CCC[C@@H]3CCCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000296483488 302599275 /nfs/dbraw/zinc/59/92/75/302599275.db2.gz KRGAPVVRWZBONG-RYUDHWBXSA-N 0 0 276.340 2.852 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@H]1C1CCCCC1 ZINC000048565963 303020169 /nfs/dbraw/zinc/02/01/69/303020169.db2.gz OQUMXNLPHRVSFL-GFCCVEGCSA-N 0 0 278.356 2.877 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCCC12CCOCC2 ZINC000362395610 304799867 /nfs/dbraw/zinc/79/98/67/304799867.db2.gz JQNKIIWTSCTKCV-UHFFFAOYSA-N 0 0 297.742 2.793 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@@]23CCOC3)c(F)c1 ZINC000376427578 304800417 /nfs/dbraw/zinc/80/04/17/304800417.db2.gz MYUVSNMKAOFQKB-ZDUSSCGKSA-N 0 0 284.262 2.632 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCOC[C@H]1C1CC1 ZINC000276716599 304801528 /nfs/dbraw/zinc/80/15/28/304801528.db2.gz ZAODDEHTKWDQMJ-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H]2c2ccccc2)cn1 ZINC000450369373 304803249 /nfs/dbraw/zinc/80/32/49/304803249.db2.gz OQNQIISFNYHDMC-CQSZACIVSA-N 0 0 285.303 2.568 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000342803452 304806046 /nfs/dbraw/zinc/80/60/46/304806046.db2.gz YVCXTWBUWPWRJG-NEPJUHHUSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000439078498 304807791 /nfs/dbraw/zinc/80/77/91/304807791.db2.gz OETVZDBJNBDKLL-CHWSQXEVSA-N 0 0 276.336 2.848 20 5 CFBDRN Cc1ccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])cc1Cl ZINC000159402721 322322494 /nfs/dbraw/zinc/32/24/94/322322494.db2.gz RFJBQSOCEMXZOP-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC(C)CCNC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159739281 322327559 /nfs/dbraw/zinc/32/75/59/322327559.db2.gz GWCABDDWJXQVBI-JTQLQIEISA-N 0 0 297.380 2.633 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000160263677 322335634 /nfs/dbraw/zinc/33/56/34/322335634.db2.gz XOZNJSLYQNUHAA-IUODEOHRSA-N 0 0 274.320 2.920 20 5 CFBDRN COc1cc(C(=O)Nc2cccc(C)n2)ccc1[N+](=O)[O-] ZINC000160341862 322336919 /nfs/dbraw/zinc/33/69/19/322336919.db2.gz ACFLCQXBUAKZDR-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC(C)c1cccc(NC(=O)c2c([N+](=O)[O-])cnn2C)c1 ZINC000160338853 322337041 /nfs/dbraw/zinc/33/70/41/322337041.db2.gz SLQCZAOHEYMHND-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1nc(NC(=O)c2cc(C(C)C)on2)ccc1[N+](=O)[O-] ZINC000160546193 322339358 /nfs/dbraw/zinc/33/93/58/322339358.db2.gz BMAJOEBZEVHEGZ-UHFFFAOYSA-N 0 0 290.279 2.662 20 5 CFBDRN O=C(C[C@H]1C=CCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161158957 322348117 /nfs/dbraw/zinc/34/81/17/322348117.db2.gz LEIZAMIEAMHRNJ-LBPRGKRZSA-N 0 0 286.331 2.836 20 5 CFBDRN O=C([C@H]1CC=CCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161219306 322349468 /nfs/dbraw/zinc/34/94/68/322349468.db2.gz OAGINCZQYLEFTF-LBPRGKRZSA-N 0 0 286.331 2.836 20 5 CFBDRN C[C@@H](C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C1CC1 ZINC000161435579 322351782 /nfs/dbraw/zinc/35/17/82/322351782.db2.gz FNHPVUFJHNAHOA-SNVBAGLBSA-N 0 0 274.320 2.526 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161502657 322352800 /nfs/dbraw/zinc/35/28/00/322352800.db2.gz POLLEKHOBKYZBI-XJKSGUPXSA-N 0 0 288.347 2.916 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161502770 322352974 /nfs/dbraw/zinc/35/29/74/322352974.db2.gz POLLEKHOBKYZBI-BBRMVZONSA-N 0 0 288.347 2.916 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1[C@H](C)CC[C@@H]1C ZINC000161733830 322356502 /nfs/dbraw/zinc/35/65/02/322356502.db2.gz ZGVWXLLNNGGSDH-AOOOYVTPSA-N 0 0 278.308 2.616 20 5 CFBDRN O=C([C@H]1C[C@H]1C1CC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161984287 322359347 /nfs/dbraw/zinc/35/93/47/322359347.db2.gz SVXMGWNBKOOPPO-STQMWFEESA-N 0 0 286.331 2.920 20 5 CFBDRN CC1(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)CC1 ZINC000162239731 322362089 /nfs/dbraw/zinc/36/20/89/322362089.db2.gz PRWKFIHAIFIPTF-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(Oc3cccnc3)cc2N1 ZINC000170639325 322390902 /nfs/dbraw/zinc/39/09/02/322390902.db2.gz UOIVWLBUKNVXOM-UHFFFAOYSA-N 0 0 285.259 2.667 20 5 CFBDRN CN(Cc1cscn1)Cc1c(F)cccc1[N+](=O)[O-] ZINC000171634700 322410310 /nfs/dbraw/zinc/41/03/10/322410310.db2.gz BCRFFSKHLOLRQG-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC/C=C/c1ccccc1 ZINC000171993352 322418161 /nfs/dbraw/zinc/41/81/61/322418161.db2.gz BTUSURGDEKFPSS-VMPITWQZSA-N 0 0 297.314 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]cc(CCNc3ncccn3)c2c1 ZINC000569497358 322477783 /nfs/dbraw/zinc/47/77/83/322477783.db2.gz YZDFLIURBIVWFW-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Cn1cc([N+](=O)[O-])cc(F)c1=O ZINC000570529686 322537822 /nfs/dbraw/zinc/53/78/22/322537822.db2.gz XYSJRKSCAKTHAL-ZJUUUORDSA-N 0 0 268.288 2.722 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc([C@H]3C[C@H]3C)no2)c1 ZINC000570741044 322549450 /nfs/dbraw/zinc/54/94/50/322549450.db2.gz STZOKZDEFDUVST-APPZFPTMSA-N 0 0 275.264 2.777 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@@H](F)C1 ZINC000571167638 322572828 /nfs/dbraw/zinc/57/28/28/322572828.db2.gz SKIPOHINWQJZEN-NEPJUHHUSA-N 0 0 280.299 2.534 20 5 CFBDRN CC/C=C/CCn1cnc2sc([N+](=O)[O-])cc2c1=O ZINC000571917352 322600833 /nfs/dbraw/zinc/60/08/33/322600833.db2.gz AIQCGIWFJASTPY-ONEGZZNKSA-N 0 0 279.321 2.723 20 5 CFBDRN CC(C)(C(=O)NCCNc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000572867989 322635253 /nfs/dbraw/zinc/63/52/53/322635253.db2.gz IRPGUVOJPXBFDQ-UHFFFAOYSA-N 0 0 291.351 2.559 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCC(=O)N[C@@H](C)C(C)C ZINC000572927485 322636946 /nfs/dbraw/zinc/63/69/46/322636946.db2.gz PKXCOOAERNVNAF-NSHDSACASA-N 0 0 293.367 2.730 20 5 CFBDRN CC(C)Oc1nc(N[C@H]2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000572974922 322639528 /nfs/dbraw/zinc/63/95/28/322639528.db2.gz DLTKABUYLVMOMS-UWVGGRQHSA-N 0 0 283.303 2.501 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000573440786 322656394 /nfs/dbraw/zinc/65/63/94/322656394.db2.gz ZVDWMBHYWVOHLW-BDAKNGLRSA-N 0 0 270.308 2.583 20 5 CFBDRN CCO[C@@H]1C[C@@H]1NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000574266114 322686725 /nfs/dbraw/zinc/68/67/25/322686725.db2.gz QXVIDDZACBVTAI-WCQYABFASA-N 0 0 293.323 2.511 20 5 CFBDRN CC(C)CC1(C)CCN(C(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000574851600 322708343 /nfs/dbraw/zinc/70/83/43/322708343.db2.gz UPTLFJPKCINHKA-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN C[C@H]1C[C@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000575873672 322732681 /nfs/dbraw/zinc/73/26/81/322732681.db2.gz NHPADITWYOVRNZ-JGVFFNPUSA-N 0 0 290.245 2.552 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@@H]1CCCO1 ZINC000575925333 322734454 /nfs/dbraw/zinc/73/44/54/322734454.db2.gz KFMGVZGJDFAOGR-NSHDSACASA-N 0 0 293.323 2.534 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000176689281 323681798 /nfs/dbraw/zinc/68/17/98/323681798.db2.gz YFRUYBRIFDSPEZ-AOOOYVTPSA-N 0 0 263.297 3.000 20 5 CFBDRN C[C@H]1CN(C(=O)NCc2cccc([N+](=O)[O-])c2)CC[C@@H]1C ZINC000179305353 323741019 /nfs/dbraw/zinc/74/10/19/323741019.db2.gz HMYGXOAVENFKJA-RYUDHWBXSA-N 0 0 291.351 2.782 20 5 CFBDRN CCc1ccnc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000180239504 323776632 /nfs/dbraw/zinc/77/66/32/323776632.db2.gz ZHRDRCHFYSVXCD-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CN1CCc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc21 ZINC000181999169 323837773 /nfs/dbraw/zinc/83/77/73/323837773.db2.gz SODCQHDKQRFPBK-UHFFFAOYSA-N 0 0 297.314 2.839 20 5 CFBDRN CCc1ccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])cc1CC ZINC000182285600 323853496 /nfs/dbraw/zinc/85/34/96/323853496.db2.gz NNDLBKOHSIIQLI-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCCO[C@H]2CCCCO2)c1 ZINC000185065122 323958451 /nfs/dbraw/zinc/95/84/51/323958451.db2.gz CIXCVPRLJNZGLT-AWEZNQCLSA-N 0 0 281.308 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cncc(F)c2)c(F)c1 ZINC000185193880 323962088 /nfs/dbraw/zinc/96/20/88/323962088.db2.gz GXUAOFARDHPXCD-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN CC(C)C[C@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000185280371 323962642 /nfs/dbraw/zinc/96/26/42/323962642.db2.gz XSWLDOLDHOGTFC-NSHDSACASA-N 0 0 264.325 2.893 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H](O)Cc1ccccc1 ZINC000192477363 324060453 /nfs/dbraw/zinc/06/04/53/324060453.db2.gz FHQSALLDYNVYGT-ZDUSSCGKSA-N 0 0 273.288 2.577 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)C1CCCC1 ZINC000192858590 324066425 /nfs/dbraw/zinc/06/64/25/324066425.db2.gz CBUJCDNAKFTULA-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C1CCCCC1 ZINC000193581939 324073927 /nfs/dbraw/zinc/07/39/27/324073927.db2.gz BTEOHCIYYOWZLL-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN CNc1ccc(-c2nc(C(C)(C)OC)no2)cc1[N+](=O)[O-] ZINC000350492014 324220118 /nfs/dbraw/zinc/22/01/18/324220118.db2.gz SCXGNPALEWLZPW-UHFFFAOYSA-N 0 0 292.295 2.568 20 5 CFBDRN C[C@@H]1COCC[C@H]1c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000350601749 324242358 /nfs/dbraw/zinc/24/23/58/324242358.db2.gz SKNGPMNELSTMFI-BXKDBHETSA-N 0 0 289.291 2.785 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2cocn2)no1 ZINC000350870643 324272364 /nfs/dbraw/zinc/27/23/64/324272364.db2.gz DIXNINXHDXATEC-AATRIKPKSA-N 0 0 284.231 2.803 20 5 CFBDRN CCC1(c2noc(-c3c([N+](=O)[O-])cnn3C)n2)CCCC1 ZINC000351014264 324295525 /nfs/dbraw/zinc/29/55/25/324295525.db2.gz PMEBJSONYGSZSP-UHFFFAOYSA-N 0 0 291.311 2.600 20 5 CFBDRN Cc1cc(-c2noc(-c3ccc([N+](=O)[O-])n3C)n2)c(C)o1 ZINC000351055236 324300483 /nfs/dbraw/zinc/30/04/83/324300483.db2.gz DNVCGWXKXMMVOY-UHFFFAOYSA-N 0 0 288.263 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C/[C@H]3CCOC3)n2)s1 ZINC000351093706 324310510 /nfs/dbraw/zinc/31/05/10/324310510.db2.gz JZPVBSJINKMHRR-LKVYWMSASA-N 0 0 293.304 2.756 20 5 CFBDRN COC[C@H](C)Cc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351139921 324324109 /nfs/dbraw/zinc/32/41/09/324324109.db2.gz AXYVNBDJJJCWBR-SECBINFHSA-N 0 0 291.307 2.778 20 5 CFBDRN COC[C@@H](C)Cc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351140611 324324240 /nfs/dbraw/zinc/32/42/40/324324240.db2.gz BNLZXTKBINJUPX-JTQLQIEISA-N 0 0 291.307 2.778 20 5 CFBDRN CSCCCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351143251 324326073 /nfs/dbraw/zinc/32/60/73/324326073.db2.gz FWDYTHNLFLCFCW-UHFFFAOYSA-N 0 0 269.282 2.533 20 5 CFBDRN Cc1c(-c2noc([C@]3(C)CCCO3)n2)cccc1[N+](=O)[O-] ZINC000351145302 324326578 /nfs/dbraw/zinc/32/65/78/324326578.db2.gz JPCHBYUBDNVSOO-AWEZNQCLSA-N 0 0 289.291 2.979 20 5 CFBDRN CO[C@H]1CCC[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1 ZINC000351143943 324326608 /nfs/dbraw/zinc/32/66/08/324326608.db2.gz HPLFFGXRPBJYOQ-BDAKNGLRSA-N 0 0 293.279 2.910 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351145353 324326629 /nfs/dbraw/zinc/32/66/29/324326629.db2.gz JXFWPXQKGCNQLV-APPZFPTMSA-N 0 0 291.263 2.711 20 5 CFBDRN Cc1cc(-c2noc(CN(C)C(C)C)n2)cc([N+](=O)[O-])c1 ZINC000351146356 324327476 /nfs/dbraw/zinc/32/74/76/324327476.db2.gz MIYMJNMCOKFJKT-UHFFFAOYSA-N 0 0 290.323 2.793 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3C[C@@H]3C3CC3)n2)o1 ZINC000351147308 324327579 /nfs/dbraw/zinc/32/75/79/324327579.db2.gz OBILWPJJAHLVRH-HTQZYQBOSA-N 0 0 261.237 2.751 20 5 CFBDRN C[C@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCO1 ZINC000351146810 324327623 /nfs/dbraw/zinc/32/76/23/324327623.db2.gz NIVLMFPMPOLNNP-JGVFFNPUSA-N 0 0 279.252 2.520 20 5 CFBDRN CO[C@H](C)CCc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351147790 324328303 /nfs/dbraw/zinc/32/83/03/324328303.db2.gz OVLGTYUMRANYSQ-SNVBAGLBSA-N 0 0 291.307 2.921 20 5 CFBDRN CC(C)OCCCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351149341 324329186 /nfs/dbraw/zinc/32/91/86/324329186.db2.gz RODSJBWLUJKOGA-UHFFFAOYSA-N 0 0 281.268 2.595 20 5 CFBDRN CS[C@@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351152326 324330527 /nfs/dbraw/zinc/33/05/27/324330527.db2.gz WSPCXIFXABPCAM-YFKPBYRVSA-N 0 0 255.255 2.662 20 5 CFBDRN COc1ccc(-c2nc(C3(C)CC3)no2)cc1[N+](=O)[O-] ZINC000351170392 324337294 /nfs/dbraw/zinc/33/72/94/324337294.db2.gz VIZADJFFHPYQCS-UHFFFAOYSA-N 0 0 275.264 2.705 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3CC34CC4)n2)c1 ZINC000351279335 324369210 /nfs/dbraw/zinc/36/92/10/324369210.db2.gz DIWWWONQSLQMLQ-JTQLQIEISA-N 0 0 257.249 2.912 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)[C@H]1CC2CCC1CC2 ZINC000381801988 324423823 /nfs/dbraw/zinc/42/38/23/324423823.db2.gz CESPLAQEFYQZHS-WNYYMSAVSA-N 0 0 278.356 2.955 20 5 CFBDRN CCn1ccnc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000382426706 324428393 /nfs/dbraw/zinc/42/83/93/324428393.db2.gz UHIPBSGETBUMJI-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN C[C@H](CC(C)(C)C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000384516055 324448270 /nfs/dbraw/zinc/44/82/70/324448270.db2.gz IPYAICLGPYMKDI-SECBINFHSA-N 0 0 279.340 2.930 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cc1F)[C@H](C)O ZINC000386750209 324481977 /nfs/dbraw/zinc/48/19/77/324481977.db2.gz GIRKVNZRLJKULA-WPRPVWTQSA-N 0 0 256.277 2.614 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1CC12CCOCC2 ZINC000386892036 324483750 /nfs/dbraw/zinc/48/37/50/324483750.db2.gz KJHKCDKODMXRLF-LLVKDONJSA-N 0 0 266.272 2.715 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CC12CCOCC2 ZINC000386897757 324484099 /nfs/dbraw/zinc/48/40/99/324484099.db2.gz LITIQOCGRUDZNH-CYBMUJFWSA-N 0 0 262.309 2.884 20 5 CFBDRN COc1cc(N[C@@H]2CSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000397816921 324547980 /nfs/dbraw/zinc/54/79/80/324547980.db2.gz RWZQGYVPNPRVBR-WCBMZHEXSA-N 0 0 268.338 2.767 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H]2CC[C@H](O)C[C@H]2C1 ZINC000398764594 324551597 /nfs/dbraw/zinc/55/15/97/324551597.db2.gz ADAIJCJOHFXTKP-AVGNSLFASA-N 0 0 276.336 2.500 20 5 CFBDRN Cc1cc(N2C[C@@H]3CC[C@H](O)C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000398843351 324552412 /nfs/dbraw/zinc/55/24/12/324552412.db2.gz POWBHSFMOPUWGO-SCRDCRAPSA-N 0 0 276.336 2.500 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000576120141 324581273 /nfs/dbraw/zinc/58/12/73/324581273.db2.gz LKGLBPAWLMRAES-ADEWGFFLSA-N 0 0 289.335 2.555 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])nc1)[C@H]1CCc2ccccc2C1 ZINC000576548499 324629325 /nfs/dbraw/zinc/62/93/25/324629325.db2.gz VBTAIZXYZIGGNF-AWEZNQCLSA-N 0 0 283.331 2.984 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1N)[C@H](C)C(C)C ZINC000578051068 324811250 /nfs/dbraw/zinc/81/12/50/324811250.db2.gz UFBPCZPVHGXFJU-SNVBAGLBSA-N 0 0 279.340 2.684 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000578241031 324832295 /nfs/dbraw/zinc/83/22/95/324832295.db2.gz QIBKLZQIFYTQFO-MWLCHTKSSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](c3cccnc3)C2)c1[N+](=O)[O-] ZINC000578438326 324851725 /nfs/dbraw/zinc/85/17/25/324851725.db2.gz BWTUISXYMPEWSE-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN CC1(C)CC(NC(=O)c2c[nH]nc2[N+](=O)[O-])CC(C)(C)C1 ZINC000578790284 324890923 /nfs/dbraw/zinc/89/09/23/324890923.db2.gz IBAIQEMFFAGZIQ-UHFFFAOYSA-N 0 0 294.355 2.653 20 5 CFBDRN Cc1nc(N[C@H]2C[C@H](C)C[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000578962466 324905392 /nfs/dbraw/zinc/90/53/92/324905392.db2.gz BBHCWWUOVBXPCN-JZYVYDRUSA-N 0 0 264.329 2.930 20 5 CFBDRN CC(C)(NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000579220644 324931738 /nfs/dbraw/zinc/93/17/38/324931738.db2.gz GNUJRVFJAIBCFO-UHFFFAOYSA-N 0 0 298.289 2.720 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@H]1CCSC1)CCCC2 ZINC000579586703 324962862 /nfs/dbraw/zinc/96/28/62/324962862.db2.gz GQZWHQZWBQDIAR-JTQLQIEISA-N 0 0 279.365 2.786 20 5 CFBDRN CCOCCN(CC)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000580142702 325009604 /nfs/dbraw/zinc/00/96/04/325009604.db2.gz JLNKDVBUMBMWFE-GFCCVEGCSA-N 0 0 294.351 2.583 20 5 CFBDRN C[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000580438739 325035824 /nfs/dbraw/zinc/03/58/24/325035824.db2.gz BRAYTLPXRSQBOD-JTQLQIEISA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCS[C@H]3CCCC[C@H]32)cn1 ZINC000580522156 325042205 /nfs/dbraw/zinc/04/22/05/325042205.db2.gz QNLBEYDUAYHJAA-NEPJUHHUSA-N 0 0 279.365 2.854 20 5 CFBDRN Cc1cc(Sc2nccn2C)c(F)cc1[N+](=O)[O-] ZINC000580807624 325065207 /nfs/dbraw/zinc/06/52/07/325065207.db2.gz LNLVGCWRUOZGPX-UHFFFAOYSA-N 0 0 267.285 2.927 20 5 CFBDRN CCCN(CCC)c1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000581493834 325127032 /nfs/dbraw/zinc/12/70/32/325127032.db2.gz KTCBCQAQQAOECT-UHFFFAOYSA-N 0 0 295.339 2.711 20 5 CFBDRN O=C(NC1CCC(C(F)F)CC1)c1ccc([N+](=O)[O-])cn1 ZINC000581593020 325134947 /nfs/dbraw/zinc/13/49/47/325134947.db2.gz WAUJOCDTRFLCFG-UHFFFAOYSA-N 0 0 299.277 2.544 20 5 CFBDRN Cc1nc(NCC(C)(C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000581955617 325163785 /nfs/dbraw/zinc/16/37/85/325163785.db2.gz ASXZUDYXZWSWRN-UHFFFAOYSA-N 0 0 277.246 2.721 20 5 CFBDRN CC[C@@H](C)C[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(=O)OC ZINC000582000612 325167865 /nfs/dbraw/zinc/16/78/65/325167865.db2.gz TUWTXIBQMGTROH-MWLCHTKSSA-N 0 0 295.339 2.688 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H]2CCC[C@@H]21 ZINC000582262704 325190446 /nfs/dbraw/zinc/19/04/46/325190446.db2.gz MPAFALTZMLWZCG-RYUDHWBXSA-N 0 0 278.356 2.889 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCOC(C)(C)C1 ZINC000582312188 325194965 /nfs/dbraw/zinc/19/49/65/325194965.db2.gz NFCBFYFESQQFJR-JTQLQIEISA-N 0 0 280.324 2.973 20 5 CFBDRN COc1ccc(CC(=O)NCCC=C(C)C)cc1[N+](=O)[O-] ZINC000582395318 325201228 /nfs/dbraw/zinc/20/12/28/325201228.db2.gz OJRGVVXMZUEQAK-UHFFFAOYSA-N 0 0 292.335 2.618 20 5 CFBDRN Cc1csc(CSCc2c([N+](=O)[O-])ncn2C)n1 ZINC000582539994 325213078 /nfs/dbraw/zinc/21/30/78/325213078.db2.gz HYCNBISWGPBOAD-UHFFFAOYSA-N 0 0 284.366 2.527 20 5 CFBDRN CCn1cccc1CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000582765762 325231613 /nfs/dbraw/zinc/23/16/13/325231613.db2.gz MAMPVKRWKPFTNF-UHFFFAOYSA-N 0 0 285.347 2.975 20 5 CFBDRN COCC1(C)CCN(c2c(OC)cccc2[N+](=O)[O-])CC1 ZINC000583763601 325309394 /nfs/dbraw/zinc/30/93/94/325309394.db2.gz DMBJEAVWUXYWOQ-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000584060329 325327898 /nfs/dbraw/zinc/32/78/98/325327898.db2.gz YJDIVPKCKZZVTE-GFCCVEGCSA-N 0 0 294.326 2.833 20 5 CFBDRN CC(C)Oc1nc(N2CCCSCC2)ccc1[N+](=O)[O-] ZINC000584183012 325336933 /nfs/dbraw/zinc/33/69/33/325336933.db2.gz SBUMOSIAQVHTJK-UHFFFAOYSA-N 0 0 297.380 2.720 20 5 CFBDRN Cc1c(CNC(=O)N2CCC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000584236058 325340185 /nfs/dbraw/zinc/34/01/85/325340185.db2.gz QVFDJPKSFAOJBS-LBPRGKRZSA-N 0 0 295.314 2.547 20 5 CFBDRN CC1(C)CCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000584384731 329308128 /nfs/dbraw/zinc/30/81/28/329308128.db2.gz RLGUFHKREJLCOB-UHFFFAOYSA-N 0 0 266.326 2.683 20 5 CFBDRN CC(C)(C)OC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000382708889 330381961 /nfs/dbraw/zinc/38/19/61/330381961.db2.gz WFSXNLVKTRLYOH-UHFFFAOYSA-N 0 0 294.307 2.724 20 5 CFBDRN C[C@H]1CN(c2sccc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000829059997 782118920 /nfs/dbraw/zinc/11/89/20/782118920.db2.gz SYSHJKZOFJEIRB-ZETCQYMHSA-N 0 0 264.310 2.503 20 5 CFBDRN Cc1ccc(OCCCn2ccnc2[N+](=O)[O-])cc1C ZINC000829061685 782118626 /nfs/dbraw/zinc/11/86/26/782118626.db2.gz UUKTZKLVAUABMS-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN CC(C)CC[C@H](O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000360676783 519693683 /nfs/dbraw/zinc/69/36/83/519693683.db2.gz QXCJJKWKPIAMFS-LBPRGKRZSA-N 0 0 271.288 2.910 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(F)cc1)[N+](=O)[O-] ZINC000294317919 500601757 /nfs/dbraw/zinc/60/17/57/500601757.db2.gz ZJMGQIZSMSTKPW-UHFFFAOYSA-N 0 0 254.261 2.600 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000335784037 500645198 /nfs/dbraw/zinc/64/51/98/500645198.db2.gz UNCSCOKVLHNGBU-SECBINFHSA-N 0 0 278.283 2.772 20 5 CFBDRN CCOC[C@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000172081883 500703904 /nfs/dbraw/zinc/70/39/04/500703904.db2.gz BCVXZPUQRAKUDJ-JTQLQIEISA-N 0 0 298.364 2.545 20 5 CFBDRN CCc1cc(Oc2ccc([N+](=O)[O-])cc2OC)ncn1 ZINC000609585904 500744856 /nfs/dbraw/zinc/74/48/56/500744856.db2.gz WZWGNEOJRANEKB-UHFFFAOYSA-N 0 0 275.264 2.748 20 5 CFBDRN CCSCCCNc1nc(C)ccc1[N+](=O)[O-] ZINC000336702137 521705882 /nfs/dbraw/zinc/70/58/82/521705882.db2.gz LBZMMYCTYUJTTO-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000048866324 521817241 /nfs/dbraw/zinc/81/72/41/521817241.db2.gz OAGOAPKXPUZTTD-VHSXEESVSA-N 0 0 279.340 2.801 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000340119008 522040341 /nfs/dbraw/zinc/04/03/41/522040341.db2.gz DQLNPUHZOVBSBN-SNVBAGLBSA-N 0 0 282.365 2.944 20 5 CFBDRN COc1cc(CN(C)[C@@H]2CC2(C)C)c([N+](=O)[O-])cc1OC ZINC000426565215 533792080 /nfs/dbraw/zinc/79/20/80/533792080.db2.gz MJJRZKWTQPQHFY-CQSZACIVSA-N 0 0 294.351 2.842 20 5 CFBDRN Cc1cc(Cn2ccc3c2cccc3[N+](=O)[O-])ncn1 ZINC000427535928 533802627 /nfs/dbraw/zinc/80/26/27/533802627.db2.gz WZZOBZUVGXPFTN-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN CSC[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413300732 533813649 /nfs/dbraw/zinc/81/36/49/533813649.db2.gz JSNCLGINOMZXHA-VIFPVBQESA-N 0 0 285.344 2.708 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2)[C@@H](C)O1 ZINC000413541085 533876360 /nfs/dbraw/zinc/87/63/60/533876360.db2.gz VPAFBFPJBBEHAR-GMTAPVOTSA-N 0 0 250.298 2.820 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1Nc1cn[nH]c1 ZINC000427986030 533933894 /nfs/dbraw/zinc/93/38/94/533933894.db2.gz XUAMXZIZRADCEZ-UHFFFAOYSA-N 0 0 296.670 2.502 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000434942301 535769653 /nfs/dbraw/zinc/76/96/53/535769653.db2.gz JEPSUHVOPNGXBN-WPRPVWTQSA-N 0 0 287.747 2.852 20 5 CFBDRN C[C@@H](Cc1cccc(F)c1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000413439037 534242875 /nfs/dbraw/zinc/24/28/75/534242875.db2.gz AFOFMXLTRHGDKI-JTQLQIEISA-N 0 0 290.298 2.591 20 5 CFBDRN COC(=O)c1cccc(OCC=C(C)C)c1[N+](=O)[O-] ZINC000413016630 534279757 /nfs/dbraw/zinc/27/97/57/534279757.db2.gz MNHOLMUSQYZBRT-UHFFFAOYSA-N 0 0 265.265 2.726 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N(CC)CCOC ZINC000289908477 517852115 /nfs/dbraw/zinc/85/21/15/517852115.db2.gz UZXYDKUDRYGDRJ-UHFFFAOYSA-N 0 0 295.339 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C)nc2)c1 ZINC000154951166 534319821 /nfs/dbraw/zinc/31/98/21/534319821.db2.gz JKDZENZEYUVDBK-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(C)(C)OCC2CC2)n1 ZINC000413516610 534326240 /nfs/dbraw/zinc/32/62/40/534326240.db2.gz QHOODCQHLINLNK-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN CC(=O)c1cc(NCCc2ccccn2)ccc1[N+](=O)[O-] ZINC000339970187 518291358 /nfs/dbraw/zinc/29/13/58/518291358.db2.gz AHILJCMNQWURED-UHFFFAOYSA-N 0 0 285.303 2.847 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCC(C)(C)CO ZINC000295044329 518293513 /nfs/dbraw/zinc/29/35/13/518293513.db2.gz XANTVACHMSNPBM-UHFFFAOYSA-N 0 0 280.324 2.618 20 5 CFBDRN CC(=O)c1ccc(N(C)C[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000048553589 518342115 /nfs/dbraw/zinc/34/21/15/518342115.db2.gz JKRIPDLIWUPIQB-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1nc(CN(C)c2ncc([N+](=O)[O-])cc2F)cs1 ZINC000413080026 534348858 /nfs/dbraw/zinc/34/88/58/534348858.db2.gz CXTOGGWRZWBLJD-UHFFFAOYSA-N 0 0 282.300 2.530 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000049236106 518383834 /nfs/dbraw/zinc/38/38/34/518383834.db2.gz WFULXGYHFZWYRB-LBPRGKRZSA-N 0 0 278.308 2.778 20 5 CFBDRN CC(=O)c1ccc(Oc2ccc([N+](=O)[O-])nc2)cc1 ZINC000339961514 518400913 /nfs/dbraw/zinc/40/09/13/518400913.db2.gz BZJBZSGKHXCKDW-UHFFFAOYSA-N 0 0 258.233 2.985 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)C1CC1 ZINC000182350189 518442694 /nfs/dbraw/zinc/44/26/94/518442694.db2.gz FLOBJHBIVKQTAB-USKTWTLRSA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1ccnc(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)n1 ZINC000349157460 534394130 /nfs/dbraw/zinc/39/41/30/534394130.db2.gz GEMJUQJMYWRNIH-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN CC(C)(C)/C=C/C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000491892620 534402336 /nfs/dbraw/zinc/40/23/36/534402336.db2.gz GJBWYUUCUAJWOI-CMDGGOBGSA-N 0 0 291.351 2.725 20 5 CFBDRN CC(C)=CCNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000191290287 519435252 /nfs/dbraw/zinc/43/52/52/519435252.db2.gz IEMBBWHRGVAELV-NSHDSACASA-N 0 0 277.324 2.921 20 5 CFBDRN CC(C)OC(=O)Cc1nc2cc3ccccc3cc2c(=O)[nH]1 ZINC000077251950 519748845 /nfs/dbraw/zinc/74/88/45/519748845.db2.gz RCKNVVSAVMFKBV-UHFFFAOYSA-N 0 0 296.326 2.570 20 5 CFBDRN CC(C)O[C@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])C1(C)C ZINC000361608274 519777609 /nfs/dbraw/zinc/77/76/09/519777609.db2.gz FNBVALMQGICIQM-RYUDHWBXSA-N 0 0 279.340 2.994 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336176291 519825405 /nfs/dbraw/zinc/82/54/05/519825405.db2.gz VZLIDIOAJFDADP-JTQLQIEISA-N 0 0 280.299 2.852 20 5 CFBDRN CCCC1(CNC(=O)c2cccc([N+](=O)[O-])c2NC)CC1 ZINC000231524469 519891767 /nfs/dbraw/zinc/89/17/67/519891767.db2.gz BTFQSTHJYHRVHQ-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CCOCCCOc1ccc(CC)cc1[N+](=O)[O-] ZINC000126841152 519898887 /nfs/dbraw/zinc/89/88/87/519898887.db2.gz IPSYJUFKKFGTMF-UHFFFAOYSA-N 0 0 253.298 2.963 20 5 CFBDRN CCCCC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360526220 519911976 /nfs/dbraw/zinc/91/19/76/519911976.db2.gz SQFWSJZKNFMJNA-UHFFFAOYSA-N 0 0 250.298 2.710 20 5 CFBDRN CCN(C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1)C(C)C ZINC000339934550 519971567 /nfs/dbraw/zinc/97/15/67/519971567.db2.gz TURWSNZFSSLWJU-UHFFFAOYSA-N 0 0 295.339 2.543 20 5 CFBDRN CCOCCO[C@@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000338684769 520020087 /nfs/dbraw/zinc/02/00/87/520020087.db2.gz SLOVKNQEFUXHCC-CYBMUJFWSA-N 0 0 294.351 2.535 20 5 CFBDRN Cc1nc(NC(=O)Cc2cccs2)ccc1[N+](=O)[O-] ZINC000175674576 534464857 /nfs/dbraw/zinc/46/48/57/534464857.db2.gz IZQNYZFPVBYBOO-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CCCC1 ZINC000050702717 520073138 /nfs/dbraw/zinc/07/31/38/520073138.db2.gz LNYKWMIEAXFLKF-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN Cc1nc(NC(=O)[C@]2(C)CC=CCC2)ccc1[N+](=O)[O-] ZINC000410556121 534480955 /nfs/dbraw/zinc/48/09/55/534480955.db2.gz XZHFQSPWGDZMRF-CQSZACIVSA-N 0 0 275.308 2.983 20 5 CFBDRN CCNc1ccc(C(=O)NCC=C(C)C)cc1[N+](=O)[O-] ZINC000360787461 520286662 /nfs/dbraw/zinc/28/66/62/520286662.db2.gz OLUHLDXYXBFPGF-UHFFFAOYSA-N 0 0 277.324 2.723 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000078455843 520293464 /nfs/dbraw/zinc/29/34/64/520293464.db2.gz JOBPKOAZMAIZRT-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN CCO[C@@H](CCNc1c([N+](=O)[O-])c(C)nn1CC)C(C)C ZINC000192641557 520304608 /nfs/dbraw/zinc/30/46/08/520304608.db2.gz UALAFMUUCMCVDZ-LBPRGKRZSA-N 0 0 298.387 2.983 20 5 CFBDRN Cc1nc(NC(=O)c2ccc(F)cc2F)ccc1[N+](=O)[O-] ZINC000175670726 534483795 /nfs/dbraw/zinc/48/37/95/534483795.db2.gz NJMQOLRMXHEYFU-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC(C)(C)C1 ZINC000336042483 520450278 /nfs/dbraw/zinc/45/02/78/520450278.db2.gz RCYDSPFEHOKJIH-UHFFFAOYSA-N 0 0 279.340 2.821 20 5 CFBDRN CC1(C)CN(c2ccncc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000340883858 520495360 /nfs/dbraw/zinc/49/53/60/520495360.db2.gz WBPCRYUAKHQCNR-UHFFFAOYSA-N 0 0 291.351 2.918 20 5 CFBDRN Cc1nc(N[C@H]2C[C@@H](OC(C)C)C2)ccc1[N+](=O)[O-] ZINC000413384147 534498803 /nfs/dbraw/zinc/49/88/03/534498803.db2.gz PGHVVQYFQTYEDH-PHIMTYICSA-N 0 0 265.313 2.666 20 5 CFBDRN CC1(C)[C@@H](O)CCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000311749723 520634789 /nfs/dbraw/zinc/63/47/89/520634789.db2.gz URRAKYHYFIDNBC-LBPRGKRZSA-N 0 0 284.743 2.593 20 5 CFBDRN CCCCOCC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182445918 520744089 /nfs/dbraw/zinc/74/40/89/520744089.db2.gz SVZMSRDYFCAVQJ-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN CC1(NC(=O)Cc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000049222929 520756861 /nfs/dbraw/zinc/75/68/61/520756861.db2.gz ZSVSNZGTGZFZCA-UHFFFAOYSA-N 0 0 262.309 2.586 20 5 CFBDRN CCOc1cc(NC[C@@](C)(O)CC)ccc1[N+](=O)[O-] ZINC000087029677 520760772 /nfs/dbraw/zinc/76/07/72/520760772.db2.gz AKLIDPXNARPGDZ-ZDUSSCGKSA-N 0 0 268.313 2.566 20 5 CFBDRN CCOc1cc(NCCOCC2CC2)ccc1[N+](=O)[O-] ZINC000226570457 520761644 /nfs/dbraw/zinc/76/16/44/520761644.db2.gz JJYFANGFSWGNGF-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CCOc1cc(NCC[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000230102749 520761660 /nfs/dbraw/zinc/76/16/60/520761660.db2.gz SXUSPWFMJOIPBR-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN CCOc1cc(N[C@@H](CO)CC2CCC2)ccc1[N+](=O)[O-] ZINC000360094694 520764765 /nfs/dbraw/zinc/76/47/65/520764765.db2.gz XHMCGKJVMSGEED-CYBMUJFWSA-N 0 0 294.351 2.957 20 5 CFBDRN CCOc1cc(OCc2ccccn2)ccc1[N+](=O)[O-] ZINC000188583591 520771953 /nfs/dbraw/zinc/77/19/53/520771953.db2.gz ZLMRCMWKKYIGNK-UHFFFAOYSA-N 0 0 274.276 2.968 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1c(C)cccc1[N+](=O)[O-] ZINC000357458088 520820959 /nfs/dbraw/zinc/82/09/59/520820959.db2.gz XMFUBVLYOCUDJX-SNVBAGLBSA-N 0 0 280.324 2.904 20 5 CFBDRN CCOC(=O)C[C@H](C)N(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000360080653 520869343 /nfs/dbraw/zinc/86/93/43/520869343.db2.gz AHSQIHNPHNWCDW-JTQLQIEISA-N 0 0 298.314 2.820 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1c(C)cccc1[N+](=O)[O-] ZINC000156436150 521189738 /nfs/dbraw/zinc/18/97/38/521189738.db2.gz RTAGFZDFGLUVPU-SNVBAGLBSA-N 0 0 266.297 2.514 20 5 CFBDRN CCC[C@H](O)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000336793403 521190947 /nfs/dbraw/zinc/19/09/47/521190947.db2.gz WPLFVTMXNFVNCC-VIFPVBQESA-N 0 0 256.277 2.615 20 5 CFBDRN CCOC(=O)[C@H](C)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000049370481 521211557 /nfs/dbraw/zinc/21/15/57/521211557.db2.gz FWGLNMSONKWRTR-QWRGUYRKSA-N 0 0 280.324 2.505 20 5 CFBDRN CCC[C@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000362015919 521236475 /nfs/dbraw/zinc/23/64/75/521236475.db2.gz SAUKQYWLEJLSTB-UWVGGRQHSA-N 0 0 263.297 2.673 20 5 CFBDRN CCC[C@H]1C[C@@H]1Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000231562003 521240245 /nfs/dbraw/zinc/24/02/45/521240245.db2.gz BGJIFBKCXOGCFF-ONGXEEELSA-N 0 0 298.364 2.599 20 5 CFBDRN CCCC[C@H](Sc1ccncc1[N+](=O)[O-])C(=O)OC ZINC000340848216 521245901 /nfs/dbraw/zinc/24/59/01/521245901.db2.gz GPNIJBAKXWJPGM-NSHDSACASA-N 0 0 284.337 2.814 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H]2CC2(C)C)c1 ZINC000338458407 521276914 /nfs/dbraw/zinc/27/69/14/521276914.db2.gz KDQOUKXPQGQTCT-ZDUSSCGKSA-N 0 0 292.335 2.864 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@@H]2CC)c1 ZINC000338467800 521282013 /nfs/dbraw/zinc/28/20/13/521282013.db2.gz XJUNRCTUMDEQHQ-CABZTGNLSA-N 0 0 278.308 2.522 20 5 CFBDRN CCCc1nc(COc2ccc([N+](=O)[O-])cc2OC)no1 ZINC000067099445 521521083 /nfs/dbraw/zinc/52/10/83/521521083.db2.gz LYRKYAYWGHBYOV-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN CCC(CC)CNc1c([N+](=O)[O-])nc(C)n1CC ZINC000336793583 521533976 /nfs/dbraw/zinc/53/39/76/521533976.db2.gz XJMXCRHTNNTLNV-UHFFFAOYSA-N 0 0 254.334 2.968 20 5 CFBDRN CCC(CC)CNc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000166873481 521535149 /nfs/dbraw/zinc/53/51/49/521535149.db2.gz RLSBPGKQIFQZBV-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN CCCN(CCC)C(=O)COc1cccc([N+](=O)[O-])c1C ZINC000060807334 521636571 /nfs/dbraw/zinc/63/65/71/521636571.db2.gz YOHGWPMPRWNHIE-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN CCC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2NC)C1 ZINC000360906175 521682294 /nfs/dbraw/zinc/68/22/94/521682294.db2.gz JBFSGEOCAHSOPV-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN CCC1(CC)CC(=O)N(Cc2ccccc2[N+](=O)[O-])C1=O ZINC000078383100 521683717 /nfs/dbraw/zinc/68/37/17/521683717.db2.gz ZPRROQULKBSTPH-UHFFFAOYSA-N 0 0 290.319 2.660 20 5 CFBDRN CCSCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000079373970 521718077 /nfs/dbraw/zinc/71/80/77/521718077.db2.gz PWJUWIYDEKXQSG-UHFFFAOYSA-N 0 0 255.295 2.714 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000340013108 521827253 /nfs/dbraw/zinc/82/72/53/521827253.db2.gz HSHSJBJKSCONRS-WCBMZHEXSA-N 0 0 295.339 2.931 20 5 CFBDRN COc1cc(-c2nc(-c3ccco3)no2)ccc1[N+](=O)[O-] ZINC000340658459 521901884 /nfs/dbraw/zinc/90/18/84/521901884.db2.gz DAOCNPUULIOBID-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153759579 521905872 /nfs/dbraw/zinc/90/58/72/521905872.db2.gz UDBVVENUSOGJMM-UFBFGSQYSA-N 0 0 287.747 2.852 20 5 CFBDRN CC[C@@H](C)CC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000191857340 521929207 /nfs/dbraw/zinc/92/92/07/521929207.db2.gz PCMXSVFMOGKVOR-SNVBAGLBSA-N 0 0 250.298 2.994 20 5 CFBDRN CC[C@@H](C)CN(CC)c1c([N+](=O)[O-])nc(C)n1CC ZINC000360989444 521983855 /nfs/dbraw/zinc/98/38/55/521983855.db2.gz CTNKUBDJSHAFLJ-SNVBAGLBSA-N 0 0 268.361 2.992 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000080693422 522013395 /nfs/dbraw/zinc/01/33/95/522013395.db2.gz VRZMBJWARGNJBR-VXGBXAGGSA-N 0 0 294.351 2.915 20 5 CFBDRN CCc1cnc(NC(=O)Cc2cccc([N+](=O)[O-])c2)s1 ZINC000081232592 522048364 /nfs/dbraw/zinc/04/83/64/522048364.db2.gz GOQKSBOGZSDXSA-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN CC[C@H](C)n1ncc(NC(=O)c2ccc([N+](=O)[O-])o2)c1C ZINC000361308847 522081123 /nfs/dbraw/zinc/08/11/23/522081123.db2.gz HCABIVZYKNXHGT-QMMMGPOBSA-N 0 0 292.295 2.916 20 5 CFBDRN CC[C@@H](C)NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000182154135 522139473 /nfs/dbraw/zinc/13/94/73/522139473.db2.gz ZFHZFVWZUMUBIV-PBQZMEPESA-N 0 0 262.309 2.913 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N(C)CCCSC)c1 ZINC000312721405 522175588 /nfs/dbraw/zinc/17/55/88/522175588.db2.gz GMNGBIFSFCXTFV-UHFFFAOYSA-N 0 0 298.364 2.571 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H]2CCSC2)c1 ZINC000228579683 522176502 /nfs/dbraw/zinc/17/65/02/522176502.db2.gz XQVHNMMYDOAXRM-SECBINFHSA-N 0 0 296.348 2.546 20 5 CFBDRN CC[C@@H](C)NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049266867 522181936 /nfs/dbraw/zinc/18/19/36/522181936.db2.gz HDOPEKMXBPBZNM-ZJUUUORDSA-N 0 0 265.313 2.754 20 5 CFBDRN CN(C)c1cccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000055797307 522260395 /nfs/dbraw/zinc/26/03/95/522260395.db2.gz ULZDYFQUSOHSIF-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000057378812 522288999 /nfs/dbraw/zinc/28/89/99/522288999.db2.gz VQULYLKJRKFJAH-VXGBXAGGSA-N 0 0 294.351 2.915 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000057307551 522294687 /nfs/dbraw/zinc/29/46/87/522294687.db2.gz LINWHSPXKUNJRJ-RKDXNWHRSA-N 0 0 268.288 2.898 20 5 CFBDRN COC(=O)c1ccoc1COc1c(F)cccc1[N+](=O)[O-] ZINC000361672679 522303070 /nfs/dbraw/zinc/30/30/70/522303070.db2.gz ZEAGGLMYFBARKM-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000057304131 522359474 /nfs/dbraw/zinc/35/94/74/522359474.db2.gz JRSXZJSPPZAMHK-BDAKNGLRSA-N 0 0 290.323 2.636 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000302994837 522359548 /nfs/dbraw/zinc/35/95/48/522359548.db2.gz JVFKCACDRYYNTC-ZJUUUORDSA-N 0 0 250.298 2.759 20 5 CFBDRN COC[C@@H]1CCCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000364154898 522441846 /nfs/dbraw/zinc/44/18/46/522441846.db2.gz OEBNTTMCFIMIGK-SNVBAGLBSA-N 0 0 299.758 2.896 20 5 CFBDRN CNc1c(C(=O)NCC2(C)CCCC2)cccc1[N+](=O)[O-] ZINC000161486817 522537022 /nfs/dbraw/zinc/53/70/22/522537022.db2.gz BZAIGNMCBZATEI-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000181188613 522612688 /nfs/dbraw/zinc/61/26/88/522612688.db2.gz QRAQBOUFQALMQP-ZETCQYMHSA-N 0 0 274.367 2.528 20 5 CFBDRN COc1cc(N(C)Cc2cnccc2C)ccc1[N+](=O)[O-] ZINC000360103711 522623126 /nfs/dbraw/zinc/62/31/26/522623126.db2.gz WRRCYVSFODLLTJ-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CCc1nn(C)c(NCC(C)(C)C(C)C)c1[N+](=O)[O-] ZINC000218908644 522668384 /nfs/dbraw/zinc/66/83/84/522668384.db2.gz DNJLGVULFBYKMG-UHFFFAOYSA-N 0 0 268.361 2.985 20 5 CFBDRN CCc1nn(C)c(Nc2ccc3c(c2)COC3)c1[N+](=O)[O-] ZINC000361047517 522669671 /nfs/dbraw/zinc/66/96/71/522669671.db2.gz YPVYZHAPKKKCKM-UHFFFAOYSA-N 0 0 288.307 2.665 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@H](O)C2)c(F)cc1[N+](=O)[O-] ZINC000218862690 522746035 /nfs/dbraw/zinc/74/60/35/522746035.db2.gz MZRCDXSBJDJCED-UWVGGRQHSA-N 0 0 298.314 2.706 20 5 CFBDRN COc1cc(N[C@H](C)C[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000360976911 522763996 /nfs/dbraw/zinc/76/39/96/522763996.db2.gz YGLMPIVMECTSFV-ZYHUDNBSSA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)[C@@H]1CCCO1 ZINC000151864403 522790457 /nfs/dbraw/zinc/79/04/57/522790457.db2.gz DYRBVVGKLKDLMI-KGLIPLIRSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H](O)CCCNc1ccc([N+](=O)[O-])cc1F ZINC000361077939 522790858 /nfs/dbraw/zinc/79/08/58/522790858.db2.gz DTEGFTHTHXPSNB-JTQLQIEISA-N 0 0 256.277 2.697 20 5 CFBDRN COc1cc(OCCC(C)(C)OC)ccc1[N+](=O)[O-] ZINC000340706128 522891674 /nfs/dbraw/zinc/89/16/74/522891674.db2.gz RRYQCKXMKKISJU-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN CC[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])c1ncc[nH]1 ZINC000139797777 522968168 /nfs/dbraw/zinc/96/81/68/522968168.db2.gz XGFDDHGWZVLTFP-SSDOTTSWSA-N 0 0 292.324 2.590 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccco2)no1 ZINC000340659677 522973173 /nfs/dbraw/zinc/97/31/73/522973173.db2.gz CQQWAKSMZWBVEB-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CC[C@@H](C)C1 ZINC000156828302 522975473 /nfs/dbraw/zinc/97/54/73/522975473.db2.gz XJEXLRBRQYWBJO-ZJUUUORDSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CC[C@H](C)C1 ZINC000156828418 522976313 /nfs/dbraw/zinc/97/63/13/522976313.db2.gz XJEXLRBRQYWBJO-UWVGGRQHSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1ccc(CO)cc1 ZINC000168691747 522983632 /nfs/dbraw/zinc/98/36/32/522983632.db2.gz IQBUGENRACLTJS-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN CC[C@@H](O)CNc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000125103119 523008616 /nfs/dbraw/zinc/00/86/16/523008616.db2.gz PYZVBTZMKJGOHG-MRVPVSSYSA-N 0 0 278.230 2.796 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000157348209 523118972 /nfs/dbraw/zinc/11/89/72/523118972.db2.gz IFIFOPKNTJYLNB-WDEREUQCSA-N 0 0 291.351 2.876 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCc1ccc(F)cc1 ZINC000313830602 523143067 /nfs/dbraw/zinc/14/30/67/523143067.db2.gz NTMKHPQCZZDMAM-UHFFFAOYSA-N 0 0 292.314 2.913 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1CCCC1 ZINC000311094564 523143615 /nfs/dbraw/zinc/14/36/15/523143615.db2.gz QQHCCWOKEWFACK-UHFFFAOYSA-N 0 0 252.318 2.722 20 5 CFBDRN CC[C@@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000334669691 523145141 /nfs/dbraw/zinc/14/51/41/523145141.db2.gz QGHLDQXOIAWUGR-LLVKDONJSA-N 0 0 279.340 2.728 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1[C@H](C)[C@@H](OC)C1(C)C ZINC000361042818 523146528 /nfs/dbraw/zinc/14/65/28/523146528.db2.gz MLVCGLVQLNILJM-JMJZKYOTSA-N 0 0 296.371 2.591 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1C=CCCC1 ZINC000361044001 523147094 /nfs/dbraw/zinc/14/70/94/523147094.db2.gz QTQJMGUHKRFVMR-JTQLQIEISA-N 0 0 250.302 2.640 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccccc1 ZINC000309770193 523147344 /nfs/dbraw/zinc/14/73/44/523147344.db2.gz ATPFHFQPMFYPHY-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CN(Cc1cc[nH]n1)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000361025109 523192800 /nfs/dbraw/zinc/19/28/00/523192800.db2.gz JGAAJWLHPSMDQT-UHFFFAOYSA-N 0 0 298.249 2.556 20 5 CFBDRN COCCCSCCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000336976286 523440392 /nfs/dbraw/zinc/44/03/92/523440392.db2.gz WUGHZDUCOSDXJK-UHFFFAOYSA-N 0 0 298.364 2.693 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000313457109 523452779 /nfs/dbraw/zinc/45/27/79/523452779.db2.gz CTUNHXPRQWLKCZ-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN COCCC[C@@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000182527187 523454277 /nfs/dbraw/zinc/45/42/77/523454277.db2.gz KFXGCNIKOOJUQC-NEPJUHHUSA-N 0 0 294.351 2.835 20 5 CFBDRN CN(Cc1ccoc1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000048768698 523470623 /nfs/dbraw/zinc/47/06/23/523470623.db2.gz WMYHFRZWLXGCFU-UHFFFAOYSA-N 0 0 275.264 2.852 20 5 CFBDRN CCn1cccc(CNc2cccc(C)c2[N+](=O)[O-])c1=O ZINC000338708401 523498241 /nfs/dbraw/zinc/49/82/41/523498241.db2.gz PBVIPVVVOXUVKJ-UHFFFAOYSA-N 0 0 287.319 2.697 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)Cc1cccn1C ZINC000156938289 523528633 /nfs/dbraw/zinc/52/86/33/523528633.db2.gz ZNEJETUTEOTRIQ-UHFFFAOYSA-N 0 0 265.338 2.627 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000336042272 523538693 /nfs/dbraw/zinc/53/86/93/523538693.db2.gz RYAAVTOMWVFMAE-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])s1)Cc1ccccc1 ZINC000294965598 523542468 /nfs/dbraw/zinc/54/24/68/523542468.db2.gz NLUWROFDPGPMLC-LLVKDONJSA-N 0 0 293.348 2.721 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000370768488 523642598 /nfs/dbraw/zinc/64/25/98/523642598.db2.gz UABJZWYPLYJNFD-LBPRGKRZSA-N 0 0 290.319 2.618 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Sc1ccc([N+](=O)[O-])cn1 ZINC000338558537 523664448 /nfs/dbraw/zinc/66/44/48/523664448.db2.gz WKFHZFBMFBQXBG-NXEZZACHSA-N 0 0 254.311 2.649 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H](OC)C(C)(C)C ZINC000192640228 523668033 /nfs/dbraw/zinc/66/80/33/523668033.db2.gz INESNIDRWZNRRI-JTQLQIEISA-N 0 0 284.360 2.593 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000231683171 523701533 /nfs/dbraw/zinc/70/15/33/523701533.db2.gz WDTUFIJGFBBYEI-NXEZZACHSA-N 0 0 254.261 2.713 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000157348820 523729384 /nfs/dbraw/zinc/72/93/84/523729384.db2.gz WTCKVAYYXUVSNU-GXSJLCMTSA-N 0 0 278.308 2.522 20 5 CFBDRN CN1C(=O)c2ccccc2N[C@H]1c1ccc([N+](=O)[O-])s1 ZINC000180755204 523736943 /nfs/dbraw/zinc/73/69/43/523736943.db2.gz SBVOMNJGWWPVHM-GFCCVEGCSA-N 0 0 289.316 2.853 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000157348341 523740219 /nfs/dbraw/zinc/74/02/19/523740219.db2.gz WTCKVAYYXUVSNU-KOLCDFICSA-N 0 0 278.308 2.522 20 5 CFBDRN CCc1cc(Oc2cccc(C)c2[N+](=O)[O-])n2ncnc2n1 ZINC000339285290 523842247 /nfs/dbraw/zinc/84/22/47/523842247.db2.gz IDNWALHJNPMHPB-UHFFFAOYSA-N 0 0 299.290 2.696 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(C2CC2)ccn1 ZINC000428082448 534741644 /nfs/dbraw/zinc/74/16/44/534741644.db2.gz ZRLHVURKIKWKCU-UHFFFAOYSA-N 0 0 298.302 2.702 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000080692015 523897378 /nfs/dbraw/zinc/89/73/78/523897378.db2.gz GNTSSDJQBBOKFF-DTWKUNHWSA-N 0 0 297.330 2.871 20 5 CFBDRN CCc1ccc(CC)c(C(=O)Cn2cc([N+](=O)[O-])cn2)c1 ZINC000074251696 523911981 /nfs/dbraw/zinc/91/19/81/523911981.db2.gz MGKZOQDTUUYCCC-UHFFFAOYSA-N 0 0 287.319 2.799 20 5 CFBDRN CN(C(=O)[C@H]1[C@@H]2CCCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000246086151 523913931 /nfs/dbraw/zinc/91/39/31/523913931.db2.gz LPQRTLXJMCDVRU-WDNDVIMCSA-N 0 0 274.320 2.994 20 5 CFBDRN CN(C(=O)[C@]1(C)CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000335073266 523914293 /nfs/dbraw/zinc/91/42/93/523914293.db2.gz LKPZYSPYWJVISR-NSHDSACASA-N 0 0 270.235 2.603 20 5 CFBDRN CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CC1(C)C ZINC000336093203 523921998 /nfs/dbraw/zinc/92/19/98/523921998.db2.gz XDGABKGXEMGLOK-LLVKDONJSA-N 0 0 266.272 2.604 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@H]1CCC(C)(C)C1 ZINC000335749178 523922845 /nfs/dbraw/zinc/92/28/45/523922845.db2.gz XJIVKTJKDJDKHV-VIFPVBQESA-N 0 0 265.313 2.574 20 5 CFBDRN CO[C@H](C)c1nsc(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000312440316 523927304 /nfs/dbraw/zinc/92/73/04/523927304.db2.gz BLCMURHKQPKEGK-MRVPVSSYSA-N 0 0 294.336 2.766 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337765483 523994095 /nfs/dbraw/zinc/99/40/95/523994095.db2.gz SHOYIXQUCFJJFA-UWVGGRQHSA-N 0 0 282.365 2.990 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000231682559 524001404 /nfs/dbraw/zinc/00/14/04/524001404.db2.gz ZRABOGUDRRFBSO-APPZFPTMSA-N 0 0 271.704 2.623 20 5 CFBDRN CC[C@H](C)NC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000185922316 524001446 /nfs/dbraw/zinc/00/14/46/524001446.db2.gz GLFPFRZVTNYTJW-QMMMGPOBSA-N 0 0 268.338 2.845 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000231682814 524003395 /nfs/dbraw/zinc/00/33/95/524003395.db2.gz GTERTXLCOWLMCY-RYUDHWBXSA-N 0 0 250.298 2.883 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)CCOC1 ZINC000362346178 524057309 /nfs/dbraw/zinc/05/73/09/524057309.db2.gz TYOQGAAFNUARBK-CQSZACIVSA-N 0 0 278.308 2.522 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cncnc1C ZINC000362344330 524057340 /nfs/dbraw/zinc/05/73/40/524057340.db2.gz DKYKXOYYQQQDRK-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C)no1 ZINC000362345132 524057414 /nfs/dbraw/zinc/05/74/14/524057414.db2.gz LECWIMBAHWASJZ-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1cccc(C(N)=O)c1 ZINC000320169897 524057765 /nfs/dbraw/zinc/05/77/65/524057765.db2.gz NTKQWDRMZQBVFD-UHFFFAOYSA-N 0 0 299.330 2.868 20 5 CFBDRN COc1cccc([C@@H](C)Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000354524063 524092436 /nfs/dbraw/zinc/09/24/36/524092436.db2.gz RRXQZZNJXCBXNI-SECBINFHSA-N 0 0 274.280 2.567 20 5 CFBDRN COc1ccc(CNc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000158131042 524096520 /nfs/dbraw/zinc/09/65/20/524096520.db2.gz GIUBMIWAHJSOEJ-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])nc2)c(OC)c1 ZINC000070141402 524101022 /nfs/dbraw/zinc/10/10/22/524101022.db2.gz JLMISYHKIQERSU-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN COc1ccc(CNc2ncc([N+](=O)[O-])s2)c(OC)c1 ZINC000226772783 524108921 /nfs/dbraw/zinc/10/89/21/524108921.db2.gz CVCYYKNZJTWSAM-UHFFFAOYSA-N 0 0 295.320 2.681 20 5 CFBDRN COc1ccc(CSCC(=O)OC(C)C)cc1[N+](=O)[O-] ZINC000078544352 524123975 /nfs/dbraw/zinc/12/39/75/524123975.db2.gz RIVXVFDTSAMXSX-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050706137 524125284 /nfs/dbraw/zinc/12/52/84/524125284.db2.gz IKCLEGJDPZHKCU-GHMZBOCLSA-N 0 0 291.351 2.733 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2c([N+](=O)[O-])ncn2C)C1 ZINC000217923458 524140283 /nfs/dbraw/zinc/14/02/83/524140283.db2.gz AMRAKJTXCHHUFI-VHSXEESVSA-N 0 0 252.318 2.567 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000157094642 524154381 /nfs/dbraw/zinc/15/43/81/524154381.db2.gz VMBPXHHBNNUFTP-SKDRFNHKSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H]1CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000056265908 524199473 /nfs/dbraw/zinc/19/94/73/524199473.db2.gz HSCCBFAILZVETN-UWVGGRQHSA-N 0 0 266.297 2.744 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)C[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000333976774 524203031 /nfs/dbraw/zinc/20/30/31/524203031.db2.gz UFBXGYNAVXKTBN-NWDGAFQWSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2c([N+](=O)[O-])ncn2C)C1 ZINC000217923381 524296234 /nfs/dbraw/zinc/29/62/34/524296234.db2.gz AMRAKJTXCHHUFI-NXEZZACHSA-N 0 0 252.318 2.567 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000339519634 524325382 /nfs/dbraw/zinc/32/53/82/524325382.db2.gz RJCJFYKQVLCBTG-VXGBXAGGSA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000340034624 524327612 /nfs/dbraw/zinc/32/76/12/524327612.db2.gz WLZKMPCINQJZPJ-DGCLKSJQSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)C1 ZINC000334780949 524331441 /nfs/dbraw/zinc/33/14/41/524331441.db2.gz BNFCBDRKBJZYFC-WCQYABFASA-N 0 0 288.347 2.916 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H](CO)C2)n1 ZINC000340983199 524336061 /nfs/dbraw/zinc/33/60/61/524336061.db2.gz CJBLHDJGJBVPLZ-CHWSQXEVSA-N 0 0 293.367 2.817 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000362000759 524410837 /nfs/dbraw/zinc/41/08/37/524410837.db2.gz CVIHIEGVZVPKGL-ZETCQYMHSA-N 0 0 276.214 2.666 20 5 CFBDRN Cc1ccc(CN(CCO)c2ccc(F)cc2[N+](=O)[O-])o1 ZINC000360248769 524412102 /nfs/dbraw/zinc/41/21/02/524412102.db2.gz JONNHPGSBCSTML-UHFFFAOYSA-N 0 0 294.282 2.634 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000310903486 524425201 /nfs/dbraw/zinc/42/52/01/524425201.db2.gz FRIJYETWUFZVLZ-WRWORJQWSA-N 0 0 268.700 2.777 20 5 CFBDRN COc1ccccc1CCNc1ncc(C)cc1[N+](=O)[O-] ZINC000231136901 524425120 /nfs/dbraw/zinc/42/51/20/524425120.db2.gz GISOOAUJXJVFMD-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COc1ccccc1CN(C)c1ccc([N+](=O)[O-])nc1 ZINC000339953480 524437194 /nfs/dbraw/zinc/43/71/94/524437194.db2.gz SLWJJUVEFSZMPJ-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN C[C@@H](CCCO)CNc1ccc([N+](=O)[O-])cc1F ZINC000294872729 524458564 /nfs/dbraw/zinc/45/85/64/524458564.db2.gz DIXMHKUECKZVEF-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN COc1ccccc1CNc1ccc([N+](=O)[O-])nc1 ZINC000054614375 524492649 /nfs/dbraw/zinc/49/26/49/524492649.db2.gz JCVDBGKPOJACBI-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN COc1ccc(NC(=O)NCC2(C)CC2)cc1[N+](=O)[O-] ZINC000360395715 524563343 /nfs/dbraw/zinc/56/33/43/524563343.db2.gz OXWGWZMUQPDKFI-UHFFFAOYSA-N 0 0 279.296 2.525 20 5 CFBDRN Cc1cc(C)nc(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000049389909 524587957 /nfs/dbraw/zinc/58/79/57/524587957.db2.gz IXXPPUAEBMZWHW-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2N)C[C@H]1C ZINC000246091807 524612081 /nfs/dbraw/zinc/61/20/81/524612081.db2.gz JSJQAJMMWOHQOB-GMTAPVOTSA-N 0 0 291.351 2.732 20 5 CFBDRN COc1ccc(NC(=O)c2cccc(O)c2)cc1[N+](=O)[O-] ZINC000084831082 524644074 /nfs/dbraw/zinc/64/40/74/524644074.db2.gz OTORZKJWWHLHCJ-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN C[C@H](Cn1ccnc1)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000315399568 524795898 /nfs/dbraw/zinc/79/58/98/524795898.db2.gz UWNCDRCTQVTSQS-LLVKDONJSA-N 0 0 297.318 2.840 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336064913 524893301 /nfs/dbraw/zinc/89/33/01/524893301.db2.gz PQILRHZQUFTHJG-IUCAKERBSA-N 0 0 273.292 2.699 20 5 CFBDRN COc1cnc(NC(=O)c2cccc(C)c2[N+](=O)[O-])s1 ZINC000339168795 524905917 /nfs/dbraw/zinc/90/59/17/524905917.db2.gz DBIZZLGYACNOHE-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@@H]1CCC[C@H]1O ZINC000269945248 524906273 /nfs/dbraw/zinc/90/62/73/524906273.db2.gz GGIFHIQXWDABRK-WCQYABFASA-N 0 0 264.325 2.500 20 5 CFBDRN COc1cncc(CSc2ncccc2[N+](=O)[O-])c1 ZINC000361086976 524922928 /nfs/dbraw/zinc/92/29/28/524922928.db2.gz QFDMKJOJIYYCLJ-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2c(N)cccc2[N+](=O)[O-])c1 ZINC000181231051 524947436 /nfs/dbraw/zinc/94/74/36/524947436.db2.gz HYIBSQWRTZBHGO-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1ccc(N2CCC[C@@H]2c2ncon2)c([N+](=O)[O-])c1 ZINC000365041298 524955871 /nfs/dbraw/zinc/95/58/71/524955871.db2.gz WUEYZCQSTSIXCK-LLVKDONJSA-N 0 0 274.280 2.628 20 5 CFBDRN COc1ccc(OCc2noc(C(C)C)n2)c([N+](=O)[O-])c1 ZINC000067097060 524978501 /nfs/dbraw/zinc/97/85/01/524978501.db2.gz JZXPZGIZLFBZGE-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN Cc1ccccc1-c1nnn(Cc2ccccc2[N+](=O)[O-])n1 ZINC000058895266 525017605 /nfs/dbraw/zinc/01/76/05/525017605.db2.gz UGPDXBOUMDHSPT-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN Cc1cc(N(C)CC2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000360071034 525026390 /nfs/dbraw/zinc/02/63/90/525026390.db2.gz JGWLCPTXZFLTQN-UHFFFAOYSA-N 0 0 282.315 2.905 20 5 CFBDRN Cc1cc(N(C)CC2(O)CCCC2)c(F)cc1[N+](=O)[O-] ZINC000336843320 525026853 /nfs/dbraw/zinc/02/68/53/525026853.db2.gz WKZBVLYYRBHKNM-UHFFFAOYSA-N 0 0 282.315 2.784 20 5 CFBDRN C[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])CC(C)(C)O1 ZINC000049177144 525067627 /nfs/dbraw/zinc/06/76/27/525067627.db2.gz WZCKENGTXMEMKD-SECBINFHSA-N 0 0 268.288 2.738 20 5 CFBDRN COc1nn(C)cc1Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360066441 525095764 /nfs/dbraw/zinc/09/57/64/525095764.db2.gz POFNFYQDCXOYPD-UHFFFAOYSA-N 0 0 280.259 2.528 20 5 CFBDRN C[C@@H]1CSCCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000311242216 525171780 /nfs/dbraw/zinc/17/17/80/525171780.db2.gz XFZHGPIZKIXLRJ-SECBINFHSA-N 0 0 270.329 2.671 20 5 CFBDRN Cc1cc(NC(=O)N2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000336374983 525173845 /nfs/dbraw/zinc/17/38/45/525173845.db2.gz JOSOOJUIIZGXCH-UHFFFAOYSA-N 0 0 285.250 2.776 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H](C)c2cn[nH]c2)cc1[N+](=O)[O-] ZINC000339906285 525180184 /nfs/dbraw/zinc/18/01/84/525180184.db2.gz LMQVUSWXAVDJGA-SECBINFHSA-N 0 0 289.295 2.509 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000337875035 525182457 /nfs/dbraw/zinc/18/24/57/525182457.db2.gz QBGDQOYAWUWMID-SWPVVBRQSA-N 0 0 284.262 2.673 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000334177034 525183704 /nfs/dbraw/zinc/18/37/04/525183704.db2.gz CKTODAIUBRVOKZ-UWVGGRQHSA-N 0 0 281.287 2.915 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CSC2(C)C)ccc1[N+](=O)[O-] ZINC000338432480 525200853 /nfs/dbraw/zinc/20/08/53/525200853.db2.gz SFSIWHUMHPZKHH-LLVKDONJSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N)cc1 ZINC000050212563 525233614 /nfs/dbraw/zinc/23/36/14/525233614.db2.gz QZAVXWJDDSLTJR-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)nc1 ZINC000054127629 525240846 /nfs/dbraw/zinc/24/08/46/525240846.db2.gz FIZLIEXUHVDXMU-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc(NC(=O)c2ccncc2F)cc1[N+](=O)[O-] ZINC000078072629 525249873 /nfs/dbraw/zinc/24/98/73/525249873.db2.gz ODFVZKDGLKCZRV-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@@H]2OC(F)F)ncc1[N+](=O)[O-] ZINC000336903415 525295881 /nfs/dbraw/zinc/29/58/81/525295881.db2.gz RXZBMNNKSNELSE-SCZZXKLOSA-N 0 0 287.266 2.870 20 5 CFBDRN Cc1cc(N[C@H](C)CO)c([N+](=O)[O-])cc1C(F)(F)F ZINC000338641411 525300767 /nfs/dbraw/zinc/30/07/67/525300767.db2.gz JTOHKPRTKZIVEJ-SSDOTTSWSA-N 0 0 278.230 2.715 20 5 CFBDRN C[C@@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000360668559 525308902 /nfs/dbraw/zinc/30/89/02/525308902.db2.gz DMCMOPMDFOQPHY-RXMQYKEDSA-N 0 0 296.632 2.929 20 5 CFBDRN Cc1nn(C2CC(OC(C)(C)C)C2)c(C)c1[N+](=O)[O-] ZINC000418765400 534852200 /nfs/dbraw/zinc/85/22/00/534852200.db2.gz PINUNYNNWNVSHT-UHFFFAOYSA-N 0 0 267.329 2.927 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000167730973 525405384 /nfs/dbraw/zinc/40/53/84/525405384.db2.gz FVKQBIUTKJBKNF-VWYCJHECSA-N 0 0 291.351 2.684 20 5 CFBDRN CSCCCCCNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000188115428 525423928 /nfs/dbraw/zinc/42/39/28/525423928.db2.gz VZBAADFWNNCWGP-UHFFFAOYSA-N 0 0 297.380 2.561 20 5 CFBDRN Cc1cc(Sc2ccc(CO)cc2)ncc1[N+](=O)[O-] ZINC000130328485 525442838 /nfs/dbraw/zinc/44/28/38/525442838.db2.gz NIVXSAOIIGAGIA-UHFFFAOYSA-N 0 0 276.317 2.942 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC(C2CC2)C2CC2)c1 ZINC000067543993 525446483 /nfs/dbraw/zinc/44/64/83/525446483.db2.gz MVXKPYJGUJQOMH-UHFFFAOYSA-N 0 0 290.319 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCC[C@H]2C)c1 ZINC000340032335 525447680 /nfs/dbraw/zinc/44/76/80/525447680.db2.gz JKHSLJDMZNVYOV-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CC[C@H](F)C2)c1 ZINC000338722572 525453325 /nfs/dbraw/zinc/45/33/25/525453325.db2.gz CWTAFXLWYOVGSS-IUCAKERBSA-N 0 0 254.261 2.906 20 5 CFBDRN Cc1n[nH]cc1CCCNc1ccc([N+](=O)[O-])cc1 ZINC000054917859 525497822 /nfs/dbraw/zinc/49/78/22/525497822.db2.gz CIDXXRJQMRUHDU-UHFFFAOYSA-N 0 0 260.297 2.671 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1CCO[C@H]1C ZINC000334064164 525554548 /nfs/dbraw/zinc/55/45/48/525554548.db2.gz HCZZGSLZWOABAE-QWRGUYRKSA-N 0 0 293.323 2.511 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H]1C1CC1 ZINC000363476887 525559723 /nfs/dbraw/zinc/55/97/23/525559723.db2.gz ZCBRKXJVAPYUKS-AWEZNQCLSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CC[C@@H](C)O1 ZINC000128037925 525570000 /nfs/dbraw/zinc/57/00/00/525570000.db2.gz BNKNBAURUGWKFB-ZYHUDNBSSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H](C)Cn1ccnc1 ZINC000049236082 525570037 /nfs/dbraw/zinc/57/00/37/525570037.db2.gz SVAPBPRTPYSVIQ-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H](C)Cn1cccn1 ZINC000049077609 525570749 /nfs/dbraw/zinc/57/07/49/525570749.db2.gz YYGDDFVFRWURQY-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000230100103 525581248 /nfs/dbraw/zinc/58/12/48/525581248.db2.gz YEOFGRXCMCXTOI-QPUJVOFHSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1ccn(Cc2ccc(Cl)s2)c(=O)c1[N+](=O)[O-] ZINC000336357239 525605753 /nfs/dbraw/zinc/60/57/53/525605753.db2.gz WXEWJGKQPXQCGC-UHFFFAOYSA-N 0 0 284.724 2.828 20 5 CFBDRN Cc1c(C(=O)NCc2cccc(F)c2)cccc1[N+](=O)[O-] ZINC000046655225 525630113 /nfs/dbraw/zinc/63/01/13/525630113.db2.gz QKEKFINACWMQJK-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN COc1ccc2nc(-c3ccc(C)c([N+](=O)[O-])c3)[nH]c2n1 ZINC000340086708 525655419 /nfs/dbraw/zinc/65/54/19/525655419.db2.gz HJSKVZDDYVWESB-UHFFFAOYSA-N 0 0 284.275 2.850 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1cn[nH]c1 ZINC000229871584 525680883 /nfs/dbraw/zinc/68/08/83/525680883.db2.gz NSUSTRSVEOXXIQ-LURJTMIESA-N 0 0 267.676 2.539 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1 ZINC000049158713 525687917 /nfs/dbraw/zinc/68/79/17/525687917.db2.gz BZDFXLNFERNOEQ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccnc(NCCc2ccc(O)cc2)c1[N+](=O)[O-] ZINC000070496028 525694087 /nfs/dbraw/zinc/69/40/87/525694087.db2.gz GBSYRRAPHNTSQQ-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN Cc1c(CN[C@@H](C)c2cnccn2)cccc1[N+](=O)[O-] ZINC000231883844 525727916 /nfs/dbraw/zinc/72/79/16/525727916.db2.gz BBURICAPFIYDPI-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2ncccn2)cc1[N+](=O)[O-] ZINC000192162391 525736611 /nfs/dbraw/zinc/73/66/11/525736611.db2.gz FVWQVQOYJBQRGZ-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1ccoc1CNCc1ccc([N+](=O)[O-])cc1F ZINC000336818335 525805125 /nfs/dbraw/zinc/80/51/25/525805125.db2.gz VCAUAWRLLPZWOO-UHFFFAOYSA-N 0 0 264.256 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCCCOC(F)F)c2c1 ZINC000413276392 534884621 /nfs/dbraw/zinc/88/46/21/534884621.db2.gz CBCHENUIWZAXKK-UHFFFAOYSA-N 0 0 297.261 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@@H]3CC[C@@H](O)C3)c2c1 ZINC000413260138 534884740 /nfs/dbraw/zinc/88/47/40/534884740.db2.gz IXPDTPGNNDOOAA-ZWNOBZJWSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1ccsc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049401969 525823206 /nfs/dbraw/zinc/82/32/06/525823206.db2.gz NOTDDROCIVABQL-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@]3(CCSC3)C2)c(F)c1 ZINC000413320095 534888265 /nfs/dbraw/zinc/88/82/65/534888265.db2.gz PAUWATWUYXPVED-ZDUSSCGKSA-N 0 0 297.355 2.852 20 5 CFBDRN Cc1c(Oc2nccn(C(F)F)c2=O)cccc1[N+](=O)[O-] ZINC000360953974 525883607 /nfs/dbraw/zinc/88/36/07/525883607.db2.gz NVAPYILBBPICCK-UHFFFAOYSA-N 0 0 297.217 2.647 20 5 CFBDRN C[C@@]1(c2ccccc2)CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000335726834 525898577 /nfs/dbraw/zinc/89/85/77/525898577.db2.gz ALNJJWAUYJENKJ-MRXNPFEDSA-N 0 0 299.330 2.727 20 5 CFBDRN Cc1cn(Cc2cnc3ccccc3c2)nc1[N+](=O)[O-] ZINC000337942573 525912396 /nfs/dbraw/zinc/91/23/96/525912396.db2.gz NHHWIOLUXCJFEQ-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN Cc1c([C@H](C)Nc2ncc(C)cc2[N+](=O)[O-])cnn1C ZINC000078629793 525926743 /nfs/dbraw/zinc/92/67/43/525926743.db2.gz UUBLHQXYMIMAKP-VIFPVBQESA-N 0 0 275.312 2.513 20 5 CFBDRN C[C@@H](Sc1cccc([N+](=O)[O-])c1)C(=O)NC(C)(C)C ZINC000337739765 525990643 /nfs/dbraw/zinc/99/06/43/525990643.db2.gz CEOHZRMTOXUJMO-SECBINFHSA-N 0 0 282.365 2.990 20 5 CFBDRN Cc1c[nH]cc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000340987322 526010011 /nfs/dbraw/zinc/01/00/11/526010011.db2.gz PHCRVZIRGQIGPU-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1cnc(CNc2ccc([N+](=O)[O-])cc2F)o1 ZINC000080758902 526053368 /nfs/dbraw/zinc/05/33/68/526053368.db2.gz CXQMMNNNGNLEFD-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1ncccn1 ZINC000190387632 526057378 /nfs/dbraw/zinc/05/73/78/526057378.db2.gz KEDFWHKCFDOFJZ-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CC12CC2 ZINC000334985878 526058189 /nfs/dbraw/zinc/05/81/89/526058189.db2.gz GSJDGVLURSRAEX-SNVBAGLBSA-N 0 0 260.293 2.950 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2ccccc2)n1 ZINC000162591007 526059257 /nfs/dbraw/zinc/05/92/57/526059257.db2.gz NZSXRRCDSJQGMD-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)CC(=O)OC(C)(C)C)n1 ZINC000360868642 526061840 /nfs/dbraw/zinc/06/18/40/526061840.db2.gz ABYCMLXPSSRAEU-SNVBAGLBSA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccncc2C)n1 ZINC000189338692 526061887 /nfs/dbraw/zinc/06/18/87/526061887.db2.gz OSZXASLOFQYNNN-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](CO)C2CCCCC2)n1 ZINC000338765845 526061905 /nfs/dbraw/zinc/06/19/05/526061905.db2.gz IKOAYEIZRKANNB-CYBMUJFWSA-N 0 0 293.367 2.899 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@H](O)C2)c1 ZINC000088725559 526062294 /nfs/dbraw/zinc/06/22/94/526062294.db2.gz WHAICEHVNYZGLP-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)N2CCCCC2=O)c1 ZINC000192881357 526062508 /nfs/dbraw/zinc/06/25/08/526062508.db2.gz XNNHMINZFRUDRY-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN Cc1cnc(N(C)Cc2cnccc2C)c([N+](=O)[O-])c1 ZINC000360114302 526065410 /nfs/dbraw/zinc/06/54/10/526065410.db2.gz GHABQRGAIZBVNW-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2noc(C3CC3)n2)c1 ZINC000048988091 526065547 /nfs/dbraw/zinc/06/55/47/526065547.db2.gz JFGHJCOFPGBHII-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC=C(F)C1 ZINC000336537168 526068943 /nfs/dbraw/zinc/06/89/43/526068943.db2.gz VNMORMZRQDXPJP-UHFFFAOYSA-N 0 0 264.256 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCC(F)(F)F ZINC000067058382 526070012 /nfs/dbraw/zinc/07/00/12/526070012.db2.gz XZIBUGXTRZSTIW-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccco1 ZINC000049397827 526070048 /nfs/dbraw/zinc/07/00/48/526070048.db2.gz ZPDPVSAAAZLLQC-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H]2F)c1 ZINC000340846048 526080185 /nfs/dbraw/zinc/08/01/85/526080185.db2.gz KGBBTIRGZZCKPN-QWRGUYRKSA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2C)s1 ZINC000049398602 526088563 /nfs/dbraw/zinc/08/85/63/526088563.db2.gz VPKFMDVWJYPYLX-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@@](C)(F)C2)c1[N+](=O)[O-] ZINC000336084405 526089793 /nfs/dbraw/zinc/08/97/93/526089793.db2.gz QWBPBQQZQVRLSW-CYBMUJFWSA-N 0 0 298.339 2.891 20 5 CFBDRN Cc1cnc(NCc2cccc(O)c2)c([N+](=O)[O-])c1 ZINC000361264273 526093505 /nfs/dbraw/zinc/09/35/05/526093505.db2.gz YPQSSAQNTSCIPD-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN Cc1cnc(N[C@@H](C)CC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000361262351 526095715 /nfs/dbraw/zinc/09/57/15/526095715.db2.gz MOQPZONDTLNOGU-JTQLQIEISA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1cnc(SCC(=O)c2cccc([N+](=O)[O-])c2)nc1 ZINC000048771792 526098681 /nfs/dbraw/zinc/09/86/81/526098681.db2.gz OZKHHVUTNFYRNQ-UHFFFAOYSA-N 0 0 289.316 2.668 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CCC[C@@H]1F)c1ccc([N+](=O)[O-])cc1F ZINC000340847854 526103252 /nfs/dbraw/zinc/10/32/52/526103252.db2.gz VFMMRUOAESIOIH-LJUAHTATSA-N 0 0 298.289 2.844 20 5 CFBDRN Cc1cc(NCCC2C[C@@H](C)O[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000338485652 526112492 /nfs/dbraw/zinc/11/24/92/526112492.db2.gz VFBOPCILORXZRT-VXGBXAGGSA-N 0 0 293.367 2.726 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000335168963 526140269 /nfs/dbraw/zinc/14/02/69/526140269.db2.gz KQHPTSAMOPIKCF-MWLCHTKSSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc2c(c1)CN(C(=O)c1cccc([N+](=O)[O-])c1N)C2 ZINC000361333604 526160630 /nfs/dbraw/zinc/16/06/30/526160630.db2.gz CSQVHTMEWMBBDK-UHFFFAOYSA-N 0 0 297.314 2.641 20 5 CFBDRN Cc1cncc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)c1 ZINC000173019226 526183345 /nfs/dbraw/zinc/18/33/45/526183345.db2.gz XPFQFTVTUJTRLQ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000156831137 526204054 /nfs/dbraw/zinc/20/40/54/526204054.db2.gz JAENPYISULLOSU-JOYOIKCWSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000335226278 526222163 /nfs/dbraw/zinc/22/21/63/526222163.db2.gz XKUSZAGNSFMQHX-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2cccn2C)ccc1[N+](=O)[O-] ZINC000160352222 526224349 /nfs/dbraw/zinc/22/43/49/526224349.db2.gz YIACMROASCZUMX-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN Cc1cc(C(=O)N2CC3(C2)CCCC3)ccc1[N+](=O)[O-] ZINC000336115172 526236076 /nfs/dbraw/zinc/23/60/76/526236076.db2.gz CWKIOQFDDDXAFG-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1ccc2nc(CNc3nccc(C)c3[N+](=O)[O-])cn2c1 ZINC000360266709 526250102 /nfs/dbraw/zinc/25/01/02/526250102.db2.gz RWFAOHPDQZZBQG-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN C[C@H]1CCCC[C@H]1N(C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157286122 526260962 /nfs/dbraw/zinc/26/09/62/526260962.db2.gz NDYDEVOJFCGCLL-CMPLNLGQSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1cnn(CCSc2cccc([N+](=O)[O-])c2)c1 ZINC000340703093 526263810 /nfs/dbraw/zinc/26/38/10/526263810.db2.gz ICRVBJILDJRZGR-UHFFFAOYSA-N 0 0 263.322 2.892 20 5 CFBDRN Cc1nnc(COc2ccc([N+](=O)[O-])c(Cl)c2)o1 ZINC000049302831 526347200 /nfs/dbraw/zinc/34/72/00/526347200.db2.gz NLSDPSSYMMVUFG-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN CC(C)(C)OC(=O)CCCNc1ncc([N+](=O)[O-])cc1F ZINC000413178125 526355184 /nfs/dbraw/zinc/35/51/84/526355184.db2.gz HRSLUSFRFUXJKI-UHFFFAOYSA-N 0 0 299.302 2.663 20 5 CFBDRN Cc1csc(Sc2ccc([N+](=O)[O-])nc2)n1 ZINC000339954033 526398722 /nfs/dbraw/zinc/39/87/22/526398722.db2.gz DTKUQUSRZHKNTB-UHFFFAOYSA-N 0 0 253.308 2.906 20 5 CFBDRN CNC(=O)c1cnc(/C=C\c2cccc([N+](=O)[O-])c2)s1 ZINC000355368200 536438948 /nfs/dbraw/zinc/43/89/48/536438948.db2.gz MQYBZNHYVKBUEX-WAYWQWQTSA-N 0 0 289.316 2.581 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@H]1CC12CCCC2 ZINC000340353474 526420593 /nfs/dbraw/zinc/42/05/93/526420593.db2.gz MBJJCCHLKJFBBQ-CQSZACIVSA-N 0 0 288.347 2.834 20 5 CFBDRN O=C(NCCc1ccsc1)c1cc([N+](=O)[O-])ccc1F ZINC000049183800 526449201 /nfs/dbraw/zinc/44/92/01/526449201.db2.gz WIUAIIXNGALGPK-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN O=C([C@H]1C[C@@H]1C1CC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156586596 526561492 /nfs/dbraw/zinc/56/14/92/526561492.db2.gz JALLDSGCEXVNOL-CABCVRRESA-N 0 0 286.331 2.526 20 5 CFBDRN O=C(NC[C@H]1CCCCS1)c1ccc(F)cc1[N+](=O)[O-] ZINC000312321482 526592226 /nfs/dbraw/zinc/59/22/26/526592226.db2.gz XPMXOTCQZKWZLJ-SNVBAGLBSA-N 0 0 298.339 2.749 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1C[C@@H]2C[C@H]1CS2 ZINC000335136420 526609107 /nfs/dbraw/zinc/60/91/07/526609107.db2.gz BXJJVBGJCXJYGZ-QWRGUYRKSA-N 0 0 298.751 2.578 20 5 CFBDRN Cc1nc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)c(C)o1 ZINC000340564178 526623986 /nfs/dbraw/zinc/62/39/86/526623986.db2.gz SIWODNFVRSLRBV-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCC12CCCCC2 ZINC000334820298 526628374 /nfs/dbraw/zinc/62/83/74/526628374.db2.gz WWKSDNMBTVSMTP-UHFFFAOYSA-N 0 0 277.324 2.862 20 5 CFBDRN Cc1noc([C@@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000049240931 526649185 /nfs/dbraw/zinc/64/91/85/526649185.db2.gz YNWBKPKFMHGYBT-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1ccc[nH]1 ZINC000078642134 526715074 /nfs/dbraw/zinc/71/50/74/526715074.db2.gz OIKOUBIWWHEDCM-CQSZACIVSA-N 0 0 285.303 2.900 20 5 CFBDRN O=C(NCc1ccoc1)Nc1cccc([N+](=O)[O-])c1 ZINC000047688372 526798574 /nfs/dbraw/zinc/79/85/74/526798574.db2.gz XDNHQOUOQVCKPD-UHFFFAOYSA-N 0 0 261.237 2.510 20 5 CFBDRN O=C(NCc1cscn1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000119625340 526814697 /nfs/dbraw/zinc/81/46/97/526814697.db2.gz NWPHKMFKHOUHCD-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1nc(CN(C)[C@H](C)c2cc([N+](=O)[O-])ccc2C)no1 ZINC000183723534 526845953 /nfs/dbraw/zinc/84/59/53/526845953.db2.gz MIKDBUSHICOKCQ-SNVBAGLBSA-N 0 0 290.323 2.788 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@H](C3CC3)C2)cc1[N+](=O)[O-] ZINC000334207868 526872020 /nfs/dbraw/zinc/87/20/20/526872020.db2.gz DYQIEWJBRFHDJN-JTQLQIEISA-N 0 0 280.349 2.837 20 5 CFBDRN Cc1sc(C(=O)NC2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000334869747 526872215 /nfs/dbraw/zinc/87/22/15/526872215.db2.gz ZSCFAHVQFFXELI-UHFFFAOYSA-N 0 0 272.301 2.585 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000336389405 526882027 /nfs/dbraw/zinc/88/20/27/526882027.db2.gz XIDVBLMCAZNIHF-LLVKDONJSA-N 0 0 264.256 2.572 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000340791715 526903768 /nfs/dbraw/zinc/90/37/68/526903768.db2.gz ONLQZSRYCUFZAL-WDEREUQCSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1nc(COc2ccc([N+](=O)[O-])cc2)cs1 ZINC000069532844 526908800 /nfs/dbraw/zinc/90/88/00/526908800.db2.gz NDCOHCQCVFGNMG-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1nc(CSc2ccc([N+](=O)[O-])cc2)no1 ZINC000056246433 526916965 /nfs/dbraw/zinc/91/69/65/526916965.db2.gz KGKYUIOPYPHQGA-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN Cc1nc(N2CC(Cc3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000361065026 526960819 /nfs/dbraw/zinc/96/08/19/526960819.db2.gz DRWBDYJJACILIY-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000335078240 526977225 /nfs/dbraw/zinc/97/72/25/526977225.db2.gz LVMZYCGJFPZTFU-AYMMMOKOSA-N 0 0 280.711 2.777 20 5 CFBDRN Cc1nc(N[C@H](CO)CCC(C)(C)C)ccc1[N+](=O)[O-] ZINC000360243830 527001714 /nfs/dbraw/zinc/00/17/14/527001714.db2.gz XPFVIDOFXUGGDP-NSHDSACASA-N 0 0 281.356 2.897 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1F)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000335666945 527037118 /nfs/dbraw/zinc/03/71/18/527037118.db2.gz SKPGXMWTFFXDAL-NEPJUHHUSA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(CSc1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000313559598 527040888 /nfs/dbraw/zinc/04/08/88/527040888.db2.gz MEVDWZADTSHRQE-UHFFFAOYSA-N 0 0 262.290 2.898 20 5 CFBDRN Cn1c(C(=O)Nc2ccc(O)c(Cl)c2)ccc1[N+](=O)[O-] ZINC000082192675 527044002 /nfs/dbraw/zinc/04/40/02/527044002.db2.gz QCTJEAXROXSCJH-UHFFFAOYSA-N 0 0 295.682 2.545 20 5 CFBDRN O=C(Nc1cc(Br)cc([N+](=O)[O-])c1)C1CC1 ZINC000336029309 527101261 /nfs/dbraw/zinc/10/12/61/527101261.db2.gz RGGIPSMBZVWCIN-UHFFFAOYSA-N 0 0 285.097 2.706 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1C[C@H]2CCC[C@@H]21 ZINC000336055277 527124031 /nfs/dbraw/zinc/12/40/31/527124031.db2.gz GAOUCTYABRUYCI-PELKAZGASA-N 0 0 279.271 2.750 20 5 CFBDRN Cn1cc(CCn2ccc3c2cccc3[N+](=O)[O-])cn1 ZINC000230633513 527195712 /nfs/dbraw/zinc/19/57/12/527195712.db2.gz BHXXPCLHGNNXPL-UHFFFAOYSA-N 0 0 270.292 2.526 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC12CCC2 ZINC000336442355 527201362 /nfs/dbraw/zinc/20/13/62/527201362.db2.gz JDAUFPARUAUEQA-UHFFFAOYSA-N 0 0 299.330 2.774 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc2c(c1)COC2 ZINC000092615100 527245823 /nfs/dbraw/zinc/24/58/23/527245823.db2.gz NSWZFXBKIXKDHA-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@H](C2CC2)C1 ZINC000334839460 527261854 /nfs/dbraw/zinc/26/18/54/527261854.db2.gz DCMXVVUPQNLQMO-JTQLQIEISA-N 0 0 293.298 2.998 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC2(C1)CCCCC2 ZINC000378559729 527312533 /nfs/dbraw/zinc/31/25/33/527312533.db2.gz CRXCAWUSKVDMFK-UHFFFAOYSA-N 0 0 289.335 2.705 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCC[C@H](C)C1 ZINC000055483253 527312914 /nfs/dbraw/zinc/31/29/14/527312914.db2.gz JSFRTTRWBGWPLP-CMPLNLGQSA-N 0 0 291.351 2.854 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1CCC(C)(C)CC1 ZINC000128247135 527313356 /nfs/dbraw/zinc/31/33/56/527313356.db2.gz FFZCKLBKQWJLBW-UHFFFAOYSA-N 0 0 291.351 2.997 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000413381966 527316703 /nfs/dbraw/zinc/31/67/03/527316703.db2.gz XQEULTFYSOTAQB-JOYOIKCWSA-N 0 0 278.308 2.777 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)c2csnn2)c([N+](=O)[O-])c1 ZINC000413547497 527318467 /nfs/dbraw/zinc/31/84/67/527318467.db2.gz DMLIUZUIFGTNDL-ZETCQYMHSA-N 0 0 292.320 2.822 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc([C@@H]3CCCS3)no2)c1 ZINC000357067508 527323681 /nfs/dbraw/zinc/32/36/81/527323681.db2.gz CSXZZQIBEZDONI-QMMMGPOBSA-N 0 0 266.282 2.541 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC=C(C(C)(C)C)CC1 ZINC000334166581 527327095 /nfs/dbraw/zinc/32/70/95/527327095.db2.gz ALZXGJJVYWCLOR-UHFFFAOYSA-N 0 0 291.351 2.752 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCC1CCOCC1 ZINC000311429570 527337109 /nfs/dbraw/zinc/33/71/09/527337109.db2.gz QNZMCOMIAOUEQN-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCOC2)nc1-c1ccccc1 ZINC000341281402 527345851 /nfs/dbraw/zinc/34/58/51/527345851.db2.gz KUTCPPMIAKKOLS-LBPRGKRZSA-N 0 0 299.330 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2nc(C3CC3)ns2)cc1 ZINC000087641058 527347579 /nfs/dbraw/zinc/34/75/79/527347579.db2.gz GUAGBKIYHQBVDX-UHFFFAOYSA-N 0 0 290.348 2.978 20 5 CFBDRN Cc1nccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000354270902 527349251 /nfs/dbraw/zinc/34/92/51/527349251.db2.gz CEJDLVVVSDVEHT-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ncn(-c3ccccc3)n2)cc1 ZINC000191151474 527352172 /nfs/dbraw/zinc/35/21/72/527352172.db2.gz LNZBHEGNCOOJLI-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2CC2)c([N+](=O)[O-])c1 ZINC000088460010 527364479 /nfs/dbraw/zinc/36/44/79/527364479.db2.gz OUNMENHRAYYRHI-UHFFFAOYSA-N 0 0 251.242 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC2CC2)c2cccnc21 ZINC000236420760 527365349 /nfs/dbraw/zinc/36/53/49/527365349.db2.gz FIMRCDSOPRVHTP-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCc3ccccc3N2)nc1 ZINC000078495541 527366225 /nfs/dbraw/zinc/36/62/25/527366225.db2.gz ATTDROHHSBWKHH-GFCCVEGCSA-N 0 0 284.319 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@H]2OC(F)F)nc1 ZINC000336880738 527367902 /nfs/dbraw/zinc/36/79/02/527367902.db2.gz AEPMTTIRVYMOEY-VHSXEESVSA-N 0 0 287.266 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCF)c(C(F)(F)F)c1 ZINC000340680719 527370559 /nfs/dbraw/zinc/37/05/59/527370559.db2.gz XAPLPWQSJRUQEQ-UHFFFAOYSA-N 0 0 253.151 2.962 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1Cc2ccccc21 ZINC000336344584 527375163 /nfs/dbraw/zinc/37/51/63/527375163.db2.gz GROOQRYAPGFPPC-CQSZACIVSA-N 0 0 268.272 2.873 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1C[C@]12CCOC2 ZINC000366016032 527375650 /nfs/dbraw/zinc/37/56/50/527375650.db2.gz DSPYDCXQLGYEBB-OQPBUACISA-N 0 0 296.710 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCC2CCOCC2)nc1 ZINC000338557258 527377327 /nfs/dbraw/zinc/37/73/27/527377327.db2.gz MYMNNPZYMUDKRQ-UHFFFAOYSA-N 0 0 268.338 2.899 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@@H]2CC[C@H](O)C2)c1 ZINC000294968084 527380161 /nfs/dbraw/zinc/38/01/61/527380161.db2.gz LMUYZFNFHVGIGT-MFKMUULPSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1CCC[C@@H](CO)C1 ZINC000311580488 527402246 /nfs/dbraw/zinc/40/22/46/527402246.db2.gz WUXXHSHRVKCBNA-NWDGAFQWSA-N 0 0 264.325 2.805 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCC1CCOCC1 ZINC000338517492 527404552 /nfs/dbraw/zinc/40/45/52/527404552.db2.gz SIROIUCKAVLKQW-UHFFFAOYSA-N 0 0 251.282 2.790 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CC(C(F)(F)F)C2)s1 ZINC000340936791 527409317 /nfs/dbraw/zinc/40/93/17/527409317.db2.gz JKFXAUNCCYYTSE-UHFFFAOYSA-N 0 0 267.232 2.804 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(F)c(Cl)c2)nc1 ZINC000053158679 527411307 /nfs/dbraw/zinc/41/13/07/527411307.db2.gz NOAYRQUOVJYARS-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN O=[N+]([O-])c1cnn(CCOc2cccc(Cl)c2)c1 ZINC000067800390 527413941 /nfs/dbraw/zinc/41/39/41/527413941.db2.gz YCQUQCDTGHPJMI-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@H]2CCC3(CCCCC3)O2)c1 ZINC000191820501 527416468 /nfs/dbraw/zinc/41/64/68/527416468.db2.gz FBAXCDWHRLMPKK-GFCCVEGCSA-N 0 0 265.313 2.673 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCOc1ccccc1F ZINC000058815510 527417619 /nfs/dbraw/zinc/41/76/19/527417619.db2.gz ZVXCKFYEJAKCMC-UHFFFAOYSA-N 0 0 278.239 2.587 20 5 CFBDRN Nc1c(C(=O)NCCC2CCCCC2)cccc1[N+](=O)[O-] ZINC000157288591 527427133 /nfs/dbraw/zinc/42/71/33/527427133.db2.gz TXOUIXDUURMKDM-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN O=C(Nc1cnc2ccccc2n1)c1ccccc1[N+](=O)[O-] ZINC000083057362 527477863 /nfs/dbraw/zinc/47/78/63/527477863.db2.gz HHYFZBQESPAPOU-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1ccc2ccccc2c1 ZINC000192822052 527487004 /nfs/dbraw/zinc/48/70/04/527487004.db2.gz WCWNNSWVKWHWQM-UHFFFAOYSA-N 0 0 280.283 2.958 20 5 CFBDRN O=C(Nc1ncccc1Cl)c1csc([N+](=O)[O-])c1 ZINC000179871858 527522202 /nfs/dbraw/zinc/52/22/02/527522202.db2.gz HZSAEXBPOSRHPG-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN O=C(NCC(F)F)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000072929385 527556971 /nfs/dbraw/zinc/55/69/71/527556971.db2.gz CCCMXUZCIJAHNY-UHFFFAOYSA-N 0 0 279.630 2.635 20 5 CFBDRN Cn1nc2ccccc2c1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000361829872 527561323 /nfs/dbraw/zinc/56/13/23/527561323.db2.gz ORXMTQMSAITEFW-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN O=C(NCCCCF)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000361836031 527647308 /nfs/dbraw/zinc/64/73/08/527647308.db2.gz HJWKGQJGKWQLQW-UHFFFAOYSA-N 0 0 273.239 2.605 20 5 CFBDRN O=C(NCCCCF)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000360817992 527648686 /nfs/dbraw/zinc/64/86/86/527648686.db2.gz OGFJWJJNHVGIGQ-UHFFFAOYSA-N 0 0 279.271 2.556 20 5 CFBDRN Cc1nn(C)cc1CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000317322840 527693414 /nfs/dbraw/zinc/69/34/14/527693414.db2.gz ONCIXGUETNARIQ-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CC(C)CCCn1c(=O)onc1-c1ccc([N+](=O)[O-])cc1 ZINC000428057974 527706785 /nfs/dbraw/zinc/70/67/85/527706785.db2.gz WWQLPTDUAVGCRB-UHFFFAOYSA-N 0 0 291.307 2.848 20 5 CFBDRN CC(C)[C@H](O)CCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413167243 527730028 /nfs/dbraw/zinc/73/00/28/527730028.db2.gz VFSIYHBZBKJPSD-CQSZACIVSA-N 0 0 289.335 2.962 20 5 CFBDRN CCCN(C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000172495940 527806770 /nfs/dbraw/zinc/80/67/70/527806770.db2.gz RMNCCLRHSWJADW-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157283416 527842755 /nfs/dbraw/zinc/84/27/55/527842755.db2.gz PGEHDAIURZVQCG-JTQLQIEISA-N 0 0 279.340 2.732 20 5 CFBDRN CC(C)OCC(C)(C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000414001991 527904987 /nfs/dbraw/zinc/90/49/87/527904987.db2.gz RJAOYCFKILHCKA-UHFFFAOYSA-N 0 0 286.353 2.590 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1Nc1ncc([N+](=O)[O-])cc1F ZINC000413429248 527984705 /nfs/dbraw/zinc/98/47/05/527984705.db2.gz DAKMFJXYSISIEE-PWSUYJOCSA-N 0 0 253.277 2.975 20 5 CFBDRN CC(C)COCCCNc1ncc([N+](=O)[O-])cc1F ZINC000413033664 528094897 /nfs/dbraw/zinc/09/48/97/528094897.db2.gz MTYRMBILMLXWDC-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000411833245 528100009 /nfs/dbraw/zinc/10/00/09/528100009.db2.gz HXHCLKRXMIRGMR-LLVKDONJSA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)Oc1cc(C(=O)NCC2CCC2)ccc1[N+](=O)[O-] ZINC000411378228 528101987 /nfs/dbraw/zinc/10/19/87/528101987.db2.gz BUBFYJGDHUWQDZ-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000413388476 528106611 /nfs/dbraw/zinc/10/66/11/528106611.db2.gz RDPJWRVPZWWSTP-OTSSQURYSA-N 0 0 271.704 2.623 20 5 CFBDRN CCN(CC1CC1)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000130115762 528329256 /nfs/dbraw/zinc/32/92/56/528329256.db2.gz LBOBIWAATARHOM-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CCCCN(CC)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000160736789 528392746 /nfs/dbraw/zinc/39/27/46/528392746.db2.gz ZLGKJCDGZOAHFT-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN CCOc1ccc(C(=O)NC[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416067866 528401900 /nfs/dbraw/zinc/40/19/00/528401900.db2.gz JBXRWPNFWYINEI-NSHDSACASA-N 0 0 290.319 2.523 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H]2CCC[C@H]2F)cc1[N+](=O)[O-] ZINC000411012072 528430510 /nfs/dbraw/zinc/43/05/10/528430510.db2.gz DKHAHOPYOZIKAE-MNOVXSKESA-N 0 0 295.314 2.647 20 5 CFBDRN CCC(C)(C)OCCNc1ccc([N+](=O)[O-])nc1 ZINC000308516218 528594110 /nfs/dbraw/zinc/59/41/10/528594110.db2.gz YDWJUDGCVRSZGT-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN CCC(C)(C)OCCNc1ccc([N+](=O)[O-])c(N)c1F ZINC000413371743 528594180 /nfs/dbraw/zinc/59/41/80/528594180.db2.gz NTYMTBISSGPZEO-UHFFFAOYSA-N 0 0 285.319 2.933 20 5 CFBDRN CC(C)n1nccc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000022288711 528687684 /nfs/dbraw/zinc/68/76/84/528687684.db2.gz IZYRJABSCZBPBX-UHFFFAOYSA-N 0 0 274.280 2.625 20 5 CFBDRN CC(F)(F)CCCNc1ncc([N+](=O)[O-])cc1F ZINC000413336020 528724855 /nfs/dbraw/zinc/72/48/55/528724855.db2.gz UXNQGVQCMJCBMS-UHFFFAOYSA-N 0 0 263.219 2.976 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000128948325 528831402 /nfs/dbraw/zinc/83/14/02/528831402.db2.gz MYBAOWGJYGPVBK-LBPRGKRZSA-N 0 0 280.324 2.530 20 5 CFBDRN CC1(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000177577707 528853188 /nfs/dbraw/zinc/85/31/88/528853188.db2.gz DWXJGDJWGLZFIB-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CCC1(C(=O)NCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000176796927 529002391 /nfs/dbraw/zinc/00/23/91/529002391.db2.gz ORNGRAQHBNTESW-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN CC1(C)CC[C@@H](n2cc([N+](=O)[O-])c(C3CC3)n2)C1=O ZINC000413008071 529030352 /nfs/dbraw/zinc/03/03/52/529030352.db2.gz WJCAKROOIJJILW-SECBINFHSA-N 0 0 263.297 2.599 20 5 CFBDRN CCn1ccc(CNC/C=C/c2ccccc2[N+](=O)[O-])n1 ZINC000414479124 529170090 /nfs/dbraw/zinc/17/00/90/529170090.db2.gz HVXYPFGPSNSLRN-FNORWQNLSA-N 0 0 286.335 2.614 20 5 CFBDRN CC[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413095138 529218490 /nfs/dbraw/zinc/21/84/90/529218490.db2.gz PPBLUPRIYHLNRW-VIFPVBQESA-N 0 0 253.277 2.755 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CC(C)(C)C1 ZINC000457068505 534956889 /nfs/dbraw/zinc/95/68/89/534956889.db2.gz GHSLTLJOGUOVJJ-UHFFFAOYSA-N 0 0 279.340 2.962 20 5 CFBDRN CCCCCN(CCCOC)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000355968711 535029580 /nfs/dbraw/zinc/02/95/80/535029580.db2.gz YYZWHTNCDGNNRA-UHFFFAOYSA-N 0 0 297.355 2.592 20 5 CFBDRN CCCCCNC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000474094819 535074910 /nfs/dbraw/zinc/07/49/10/535074910.db2.gz HXWDTFXZPIAVMW-UHFFFAOYSA-N 0 0 266.297 2.523 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000341756462 535155651 /nfs/dbraw/zinc/15/56/51/535155651.db2.gz NCXWCDPTJZDXEA-LLVKDONJSA-N 0 0 279.340 2.510 20 5 CFBDRN CC(C)(NC(=O)/C=C\c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000492262050 535210844 /nfs/dbraw/zinc/21/08/44/535210844.db2.gz PDEBNGQMFIOHED-TWGQIWQCSA-N 0 0 274.320 2.913 20 5 CFBDRN CC(C)(NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000492316408 535211366 /nfs/dbraw/zinc/21/13/66/535211366.db2.gz HGAWKONLZFAMCC-YHYXMXQVSA-N 0 0 274.320 2.913 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000458116522 535286334 /nfs/dbraw/zinc/28/63/34/535286334.db2.gz QWDPDBQVACZTPD-DUFXMDAXSA-N 0 0 294.326 2.998 20 5 CFBDRN CCC(=O)c1ccc(Nc2cnn(C)c2C)c([N+](=O)[O-])c1 ZINC000359550462 535341785 /nfs/dbraw/zinc/34/17/85/535341785.db2.gz ZRGLFMIGHRVXMT-UHFFFAOYSA-N 0 0 288.307 2.973 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCC[C@H](C)F ZINC000455354353 535429275 /nfs/dbraw/zinc/42/92/75/535429275.db2.gz FHZSDEJAGQLCOX-VIFPVBQESA-N 0 0 299.302 2.863 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000459992832 535905840 /nfs/dbraw/zinc/90/58/40/535905840.db2.gz WRXHHVXIZSVHNX-OPQQBVKSSA-N 0 0 274.320 2.919 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])OC ZINC000452799333 535946984 /nfs/dbraw/zinc/94/69/84/535946984.db2.gz BOAPBCKKBCKKNO-GWCFXTLKSA-N 0 0 294.351 2.694 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000275051519 535951089 /nfs/dbraw/zinc/95/10/89/535951089.db2.gz SZKGMELBWAQMNJ-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000452521294 536074883 /nfs/dbraw/zinc/07/48/83/536074883.db2.gz RHDLCQJHMHWXKL-NWDGAFQWSA-N 0 0 291.351 2.854 20 5 CFBDRN CC[C@H](C)NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491290574 536150022 /nfs/dbraw/zinc/15/00/22/536150022.db2.gz FXZVDBNZKQULCC-PGBBXKAQSA-N 0 0 266.272 2.662 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C)n2)CCS1 ZINC000150952569 536161515 /nfs/dbraw/zinc/16/15/15/536161515.db2.gz QXHVJMYKYFRQSP-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN CN(c1ccnc2c1cccc2[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000432139789 536301002 /nfs/dbraw/zinc/30/10/02/536301002.db2.gz MXCOUBNCSNAQEI-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN COC[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000456940579 536502526 /nfs/dbraw/zinc/50/25/26/536502526.db2.gz PNUVIWGHGXHKNA-SNVBAGLBSA-N 0 0 298.364 2.606 20 5 CFBDRN COC[C@@H]1CCCCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000341983367 536743460 /nfs/dbraw/zinc/74/34/60/536743460.db2.gz MDKOTIBTWCKMND-CYBMUJFWSA-N 0 0 293.367 2.860 20 5 CFBDRN COCCC1(CNC(=O)c2csc([N+](=O)[O-])c2)CCC1 ZINC000268415039 536864275 /nfs/dbraw/zinc/86/42/75/536864275.db2.gz JFDZGYOAFNJIRC-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN COc1cc(-c2nc(C3CC3)no2)cc([N+](=O)[O-])c1OC ZINC000266629638 536867055 /nfs/dbraw/zinc/86/70/55/536867055.db2.gz VBQWZROBQRFGMK-UHFFFAOYSA-N 0 0 291.263 2.539 20 5 CFBDRN COc1ccncc1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000491366407 537120771 /nfs/dbraw/zinc/12/07/71/537120771.db2.gz LVJGTPFPYNYXBN-VOTSOKGWSA-N 0 0 299.286 2.650 20 5 CFBDRN COc1ccc(NC(=O)[C@]2(C)C=CCC2)cc1[N+](=O)[O-] ZINC000935323881 649897798 /nfs/dbraw/zinc/89/77/98/649897798.db2.gz GYOLTNYCXCLYQJ-CQSZACIVSA-N 0 0 276.292 2.898 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CCc1cccs1 ZINC000736411005 574049842 /nfs/dbraw/zinc/04/98/42/574049842.db2.gz VFOPDJDNUBJNLZ-UHFFFAOYSA-N 0 0 284.724 2.714 20 5 CFBDRN CCn1nccc1CNCc1cc([N+](=O)[O-])ccc1Cl ZINC000753851966 574051955 /nfs/dbraw/zinc/05/19/55/574051955.db2.gz DQSZFUIVGDXWOI-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN O=C1OCCN1CCCSCc1ccc([N+](=O)[O-])cc1 ZINC000753942688 574057860 /nfs/dbraw/zinc/05/78/60/574057860.db2.gz MDXLBVHUWKVCHQ-UHFFFAOYSA-N 0 0 296.348 2.670 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCC2CC2)c1 ZINC000744281137 574063672 /nfs/dbraw/zinc/06/36/72/574063672.db2.gz HMKGWXWWXDLSSY-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CO[C@@H](C)CCOC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000744307001 574065499 /nfs/dbraw/zinc/06/54/99/574065499.db2.gz WLLVSSHUOCCZLS-VIFPVBQESA-N 0 0 292.291 2.658 20 5 CFBDRN CO[C@H](C)CCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000744308450 574065505 /nfs/dbraw/zinc/06/55/05/574065505.db2.gz NZOJQWDESYMXOD-LLVKDONJSA-N 0 0 295.335 2.841 20 5 CFBDRN CC[C@H]1CCCN1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000727826224 574067348 /nfs/dbraw/zinc/06/73/48/574067348.db2.gz GKHZWOFFKNGLNB-NSHDSACASA-N 0 0 289.335 2.858 20 5 CFBDRN Cc1cc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)on1 ZINC000754110053 574073839 /nfs/dbraw/zinc/07/38/39/574073839.db2.gz RCGACARTCOPGKX-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN C[C@H](OC(=O)[C@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000754141762 574076896 /nfs/dbraw/zinc/07/68/96/574076896.db2.gz PKYNDFGWXOKDPK-IINYFYTJSA-N 0 0 279.292 2.626 20 5 CFBDRN COC[C@@H](C)CC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000756243875 574085785 /nfs/dbraw/zinc/08/57/85/574085785.db2.gz ODXNDQACVOVDMC-QWRGUYRKSA-N 0 0 281.308 2.872 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccn(C)c1 ZINC000754326999 574088270 /nfs/dbraw/zinc/08/82/70/574088270.db2.gz UATLWXLCNGXWET-FMIVXFBMSA-N 0 0 299.330 2.653 20 5 CFBDRN Cc1ccc(CC(=O)OCc2csc([N+](=O)[O-])c2)o1 ZINC000754334469 574088878 /nfs/dbraw/zinc/08/88/78/574088878.db2.gz ORZJPLFDRFJLOK-UHFFFAOYSA-N 0 0 281.289 2.844 20 5 CFBDRN Cc1ccc(CC(=O)OCc2ccc([N+](=O)[O-])cc2F)o1 ZINC000754330963 574089023 /nfs/dbraw/zinc/08/90/23/574089023.db2.gz UMYFYRDKELQPSS-UHFFFAOYSA-N 0 0 293.250 2.921 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ncc(C)cn1 ZINC000754361293 574090933 /nfs/dbraw/zinc/09/09/33/574090933.db2.gz SMSXTPIISDQKHH-XFFZJAGNSA-N 0 0 298.302 2.735 20 5 CFBDRN O=C(CCc1ccccn1)OCc1csc([N+](=O)[O-])c1 ZINC000744885194 574092199 /nfs/dbraw/zinc/09/21/99/574092199.db2.gz WQXNZCNOLGHQRT-UHFFFAOYSA-N 0 0 292.316 2.727 20 5 CFBDRN CCc1cnc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000744943972 574094401 /nfs/dbraw/zinc/09/44/01/574094401.db2.gz RMEKPOBWMZCSCO-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN C/C(=C\C(=O)Nc1ccnnc1C)c1ccc([N+](=O)[O-])cc1 ZINC000754460569 574096791 /nfs/dbraw/zinc/09/67/91/574096791.db2.gz MBPAAYFMEPEDHK-MDZDMXLPSA-N 0 0 298.302 2.735 20 5 CFBDRN O=C(CC[C@@H]1CCOC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000751247654 574099140 /nfs/dbraw/zinc/09/91/40/574099140.db2.gz HGVQXSHKECQUOD-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN CC[C@H](CCOC)OC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000754571021 574104057 /nfs/dbraw/zinc/10/40/57/574104057.db2.gz ACOYZBKNFYXUQQ-GFCCVEGCSA-N 0 0 281.308 2.875 20 5 CFBDRN C[C@H]1CN(c2nc3ccc([N+](=O)[O-])cc3s2)CCCO1 ZINC000731210474 574112686 /nfs/dbraw/zinc/11/26/86/574112686.db2.gz YHSDNTXQISRDSM-VIFPVBQESA-N 0 0 293.348 2.820 20 5 CFBDRN COC[C@H](C)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000731209540 574112760 /nfs/dbraw/zinc/11/27/60/574112760.db2.gz QEBJYMTXMYGZKR-MRVPVSSYSA-N 0 0 281.337 2.899 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000731208344 574112809 /nfs/dbraw/zinc/11/28/09/574112809.db2.gz BUOHRRQVBUCEMH-BDAKNGLRSA-N 0 0 293.348 2.818 20 5 CFBDRN CSC[C@H](C)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000731389331 574119559 /nfs/dbraw/zinc/11/95/59/574119559.db2.gz OFUHOKYBVBQSKA-JTQLQIEISA-N 0 0 299.348 2.516 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000384703083 574120249 /nfs/dbraw/zinc/12/02/49/574120249.db2.gz ZQZJUSRQZXXDRC-VIFPVBQESA-N 0 0 279.340 2.732 20 5 CFBDRN CO[C@@H]1CCC[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000731456995 574121480 /nfs/dbraw/zinc/12/14/80/574121480.db2.gz WSOCDVILEPAKOV-CHWSQXEVSA-N 0 0 297.282 2.848 20 5 CFBDRN C/C(=C/c1ccccc1)CN(C)Cn1cc([N+](=O)[O-])cn1 ZINC000754856774 574124923 /nfs/dbraw/zinc/12/49/23/574124923.db2.gz LYBNTAIWPUZJNM-JYRVWZFOSA-N 0 0 286.335 2.784 20 5 CFBDRN CC1CCC(COC(=O)c2cc([N+](=O)[O-])cnc2N)CC1 ZINC000754869139 574126225 /nfs/dbraw/zinc/12/62/25/574126225.db2.gz XJOPFSGVYXIFEX-UHFFFAOYSA-N 0 0 293.323 2.555 20 5 CFBDRN C[C@@H](OC(=O)c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000728860140 574126843 /nfs/dbraw/zinc/12/68/43/574126843.db2.gz LBVSJOUXLUSMLS-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCCS2)cc([N+](=O)[O-])c1 ZINC000745959615 574129237 /nfs/dbraw/zinc/12/92/37/574129237.db2.gz PKRXVDXUEUKNQS-GFCCVEGCSA-N 0 0 281.333 2.956 20 5 CFBDRN CCOCCOC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754983980 574130984 /nfs/dbraw/zinc/13/09/84/574130984.db2.gz CHSVEGRSYOULMW-UHFFFAOYSA-N 0 0 291.662 2.581 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755015848 574132493 /nfs/dbraw/zinc/13/24/93/574132493.db2.gz WLKVYQPZUPGHER-IQQGHNRFSA-N 0 0 277.276 2.519 20 5 CFBDRN CC[C@@H](OC)C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746072889 574132724 /nfs/dbraw/zinc/13/27/24/574132724.db2.gz KTFKWILUMAJTTR-LLVKDONJSA-N 0 0 287.699 2.716 20 5 CFBDRN CC(C)c1ocnc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000731904697 574133151 /nfs/dbraw/zinc/13/31/51/574133151.db2.gz RWIHNHPUSVJEEL-UHFFFAOYSA-N 0 0 275.264 2.959 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000742212498 574134113 /nfs/dbraw/zinc/13/41/13/574134113.db2.gz ROFDDMVEZKMRLQ-SNVBAGLBSA-N 0 0 292.364 2.774 20 5 CFBDRN CC(C)c1ocnc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000731974924 574139120 /nfs/dbraw/zinc/13/91/20/574139120.db2.gz KFKBZHCVJCRLAL-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN COc1cccc([C@H](O)CNc2ccc([N+](=O)[O-])s2)c1 ZINC000732049773 574141240 /nfs/dbraw/zinc/14/12/40/574141240.db2.gz DIVVXOISCKENHI-LLVKDONJSA-N 0 0 294.332 2.810 20 5 CFBDRN C[C@@H](CCC(C)(C)C)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000755144809 574142396 /nfs/dbraw/zinc/14/23/96/574142396.db2.gz UXCILKRQAPCCSE-NSHDSACASA-N 0 0 297.355 2.797 20 5 CFBDRN C[C@H](OC(=O)[C@@]1(C)CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000755201185 574146926 /nfs/dbraw/zinc/14/69/26/574146926.db2.gz YQBZTLZVRSAYBK-IINYFYTJSA-N 0 0 279.292 2.768 20 5 CFBDRN CCO[C@H](CC)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000755252026 574149600 /nfs/dbraw/zinc/14/96/00/574149600.db2.gz SBZMNUNEBNFQIZ-GFCCVEGCSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc(OC(=O)c2ccn(C(C)C)n2)cc1[N+](=O)[O-] ZINC000755252069 574150315 /nfs/dbraw/zinc/15/03/15/574150315.db2.gz VEIPYCISLXPQPT-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN Cc1nc2c(cnn2C)c(Oc2cccc(C)c2[N+](=O)[O-])n1 ZINC000755271070 574151286 /nfs/dbraw/zinc/15/12/86/574151286.db2.gz MEJSKFGKVFJVLP-UHFFFAOYSA-N 0 0 299.290 2.681 20 5 CFBDRN CN(Cc1cc[nH]n1)c1ccc([N+](=O)[O-])cc1Cl ZINC000732667178 574165056 /nfs/dbraw/zinc/16/50/56/574165056.db2.gz JVVFCAREXGJLGH-UHFFFAOYSA-N 0 0 266.688 2.608 20 5 CFBDRN CCOc1cc(COC(=O)C(C)(C)F)ccc1[N+](=O)[O-] ZINC000755548279 574168163 /nfs/dbraw/zinc/16/81/63/574168163.db2.gz DSYMSMYWMYWEIJ-UHFFFAOYSA-N 0 0 285.271 2.785 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCCC(C)(C)CC)n1 ZINC000755575444 574168773 /nfs/dbraw/zinc/16/87/73/574168773.db2.gz OWFDKAPWBMHYMM-UHFFFAOYSA-N 0 0 283.328 2.794 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCCCC(C)(C)C)n1 ZINC000755573240 574168823 /nfs/dbraw/zinc/16/88/23/574168823.db2.gz FNZPMDUDQLSBFM-UHFFFAOYSA-N 0 0 283.328 2.794 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000732797736 574172048 /nfs/dbraw/zinc/17/20/48/574172048.db2.gz SOFUPIMNASINFK-ZCRIDZFUSA-N 0 0 274.320 2.770 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1cncs1 ZINC000746941197 574172124 /nfs/dbraw/zinc/17/21/24/574172124.db2.gz KEWHHIMSKMCGKX-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN C[C@@H](OC(=O)[C@]1(C)CCOC1)c1ccccc1[N+](=O)[O-] ZINC000755628551 574173033 /nfs/dbraw/zinc/17/30/33/574173033.db2.gz FJZQOXBYQOGIDQ-QMTHXVAHSA-N 0 0 279.292 2.626 20 5 CFBDRN O=C(CC[C@H]1CCCO1)OCc1ccc([N+](=O)[O-])cc1F ZINC000746956547 574173123 /nfs/dbraw/zinc/17/31/23/574173123.db2.gz SEGTZWJCMKHOKT-GFCCVEGCSA-N 0 0 297.282 2.736 20 5 CFBDRN C[C@H](OC(=O)[C@@]1(C)CCOC1)c1ccccc1[N+](=O)[O-] ZINC000755628543 574173219 /nfs/dbraw/zinc/17/32/19/574173219.db2.gz FJZQOXBYQOGIDQ-HZMBPMFUSA-N 0 0 279.292 2.626 20 5 CFBDRN CC(C)SCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746958548 574173225 /nfs/dbraw/zinc/17/32/25/574173225.db2.gz XSFWBIUIQDDINT-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000729090232 574173642 /nfs/dbraw/zinc/17/36/42/574173642.db2.gz ZIKQRMSXDIGEHX-SCZZXKLOSA-N 0 0 274.276 2.889 20 5 CFBDRN Cc1cccc(C)c1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000738603244 574175350 /nfs/dbraw/zinc/17/53/50/574175350.db2.gz VPLZMDKKPOYMEX-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCCc1ccc[nH]1 ZINC000755655397 574175956 /nfs/dbraw/zinc/17/59/56/574175956.db2.gz CDJUNTHTYLKDET-VAWYXSNFSA-N 0 0 299.330 2.685 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccon1 ZINC000747151782 574182376 /nfs/dbraw/zinc/18/23/76/574182376.db2.gz ZQIBTHXRAHKUNL-UHFFFAOYSA-N 0 0 295.682 2.508 20 5 CFBDRN COCC[C@@H](C)OC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755747499 574184258 /nfs/dbraw/zinc/18/42/58/574184258.db2.gz LFRXLEBIRSSVJG-HCRIHEDKSA-N 0 0 293.319 2.966 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)Cc2ccoc2)c1 ZINC000747210224 574187504 /nfs/dbraw/zinc/18/75/04/574187504.db2.gz JJOCIOOUDGJXBW-UHFFFAOYSA-N 0 0 280.255 2.972 20 5 CFBDRN Cc1cc(NC[C@@H](O)C2CC2)c(Cl)cc1[N+](=O)[O-] ZINC000747216153 574187560 /nfs/dbraw/zinc/18/75/60/574187560.db2.gz MCYWXUFNMJIYHR-GFCCVEGCSA-N 0 0 270.716 2.739 20 5 CFBDRN Cc1cc(N2C[C@H]3CC[C@@H](C2)O3)c(Cl)cc1[N+](=O)[O-] ZINC000747223527 574187861 /nfs/dbraw/zinc/18/78/61/574187861.db2.gz HENTVDZBJUMSHB-AOOOYVTPSA-N 0 0 282.727 2.924 20 5 CFBDRN Cc1cc(N[C@@H]2CCC(=O)N(C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000747223774 574187886 /nfs/dbraw/zinc/18/78/86/574187886.db2.gz QADXIGOJPUDLBT-SECBINFHSA-N 0 0 297.742 2.589 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@@H]2C[C@]2(C)C(C)C)n1 ZINC000755855923 574192420 /nfs/dbraw/zinc/19/24/20/574192420.db2.gz JDOYKOAXJARLMB-IINYFYTJSA-N 0 0 295.339 2.650 20 5 CFBDRN O=C(OCCC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000756065611 574207350 /nfs/dbraw/zinc/20/73/50/574207350.db2.gz GUXMTICWKJPDPB-CYBMUJFWSA-N 0 0 279.292 2.711 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000733188438 574209278 /nfs/dbraw/zinc/20/92/78/574209278.db2.gz FDEUBCJJCKXKEL-VIFPVBQESA-N 0 0 299.290 2.723 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2cc(C)ccc2[N+](=O)[O-])o1 ZINC000756118302 574210473 /nfs/dbraw/zinc/21/04/73/574210473.db2.gz LVAGMHCORJRDBE-ZDUSSCGKSA-N 0 0 276.292 2.950 20 5 CFBDRN CC(C)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000756139840 574212897 /nfs/dbraw/zinc/21/28/97/574212897.db2.gz NXMUFLBSNQBANN-SNVBAGLBSA-N 0 0 279.296 2.985 20 5 CFBDRN Cc1ncoc1C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000747821507 574214196 /nfs/dbraw/zinc/21/41/96/574214196.db2.gz CEOLRBOLWMKAJH-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN O=C(C[C@@H]1CCOC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000739488000 574215392 /nfs/dbraw/zinc/21/53/92/574215392.db2.gz FFCBBRSHRIKIEB-QMMMGPOBSA-N 0 0 284.699 2.613 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc([N+](=O)[O-])n2C)cc1C ZINC000756210922 574217011 /nfs/dbraw/zinc/21/70/11/574217011.db2.gz CCOBKWNBDHTXFD-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN O=C(C[C@@H]1CCOC1)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000739576372 574219674 /nfs/dbraw/zinc/21/96/74/574219674.db2.gz MSHJVHGQWORGEM-VIFPVBQESA-N 0 0 299.710 2.718 20 5 CFBDRN C[C@@H](OC(=O)C[C@](C)(O)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000756318253 574225095 /nfs/dbraw/zinc/22/50/95/574225095.db2.gz ALPQVYWVBJVZGO-BMIGLBTASA-N 0 0 293.319 2.750 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000756338124 574226147 /nfs/dbraw/zinc/22/61/47/574226147.db2.gz VMEQDUWYNHCQKL-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])o1)c1cccnc1 ZINC000733384908 574227382 /nfs/dbraw/zinc/22/73/82/574227382.db2.gz JDWOQTMCAJQWRL-MRVPVSSYSA-N 0 0 262.221 2.501 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])O[C@@H]1C=CCCC1 ZINC000733384732 574227483 /nfs/dbraw/zinc/22/74/83/574227483.db2.gz ZHPDWURVIUIDKQ-GFCCVEGCSA-N 0 0 261.277 2.789 20 5 CFBDRN Cc1cccc(C(=O)OCc2ccncc2)c1[N+](=O)[O-] ZINC000729792111 574232396 /nfs/dbraw/zinc/23/23/96/574232396.db2.gz LOHCJOZMBNFCAY-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000756396035 574233578 /nfs/dbraw/zinc/23/35/78/574233578.db2.gz WGQRICVQVSWCMD-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC[C@H]1CCCC1=O ZINC000748179999 574235674 /nfs/dbraw/zinc/23/56/74/574235674.db2.gz FEYOEQODEYASTF-LLVKDONJSA-N 0 0 291.303 2.819 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000729896689 574236502 /nfs/dbraw/zinc/23/65/02/574236502.db2.gz YCSPRIVAHRFSMG-WDEREUQCSA-N 0 0 279.292 2.563 20 5 CFBDRN COc1ccc(CC(=O)O[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000733482567 574243048 /nfs/dbraw/zinc/24/30/48/574243048.db2.gz XWJRCSNJBNTKBF-GFCCVEGCSA-N 0 0 291.303 2.798 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCc1cscn1 ZINC000756527571 574243660 /nfs/dbraw/zinc/24/36/60/574243660.db2.gz LMGHRAVLYRHEMN-UHFFFAOYSA-N 0 0 292.316 2.727 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000733575337 574246060 /nfs/dbraw/zinc/24/60/60/574246060.db2.gz BECJWHPQKVKFDC-LLVKDONJSA-N 0 0 281.308 2.809 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000756559797 574247535 /nfs/dbraw/zinc/24/75/35/574247535.db2.gz NGYASNYRBKVBGZ-ZDUSSCGKSA-N 0 0 299.710 2.861 20 5 CFBDRN CC(C)(C(=O)OC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000740390109 574249581 /nfs/dbraw/zinc/24/95/81/574249581.db2.gz UFJLDSLBPLTGIK-ZDUSSCGKSA-N 0 0 293.319 2.595 20 5 CFBDRN CC(=O)CCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000740394943 574249682 /nfs/dbraw/zinc/24/96/82/574249682.db2.gz PYRHKOXIQREWBG-UHFFFAOYSA-N 0 0 293.319 2.785 20 5 CFBDRN Cc1occc1COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000733700989 574251722 /nfs/dbraw/zinc/25/17/22/574251722.db2.gz QSBWERIDAGWOKI-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCCS1 ZINC000745957707 574254554 /nfs/dbraw/zinc/25/45/54/574254554.db2.gz IIYSSDRADRDTDX-JTQLQIEISA-N 0 0 297.332 2.656 20 5 CFBDRN Cc1c(CC(=O)OCCCOC(C)C)cccc1[N+](=O)[O-] ZINC000748474832 574254609 /nfs/dbraw/zinc/25/46/09/574254609.db2.gz CFCHOLLKGYYUFZ-UHFFFAOYSA-N 0 0 295.335 2.804 20 5 CFBDRN C[C@@H](OC(=O)C[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000740541371 574254794 /nfs/dbraw/zinc/25/47/94/574254794.db2.gz HYFRLJKGADAPBF-GHMZBOCLSA-N 0 0 279.292 2.626 20 5 CFBDRN O=C(C=C1CCC1)OCc1csc([N+](=O)[O-])c1 ZINC000756723940 574259228 /nfs/dbraw/zinc/25/92/28/574259228.db2.gz IISCTBVAIOTWFQ-UHFFFAOYSA-N 0 0 253.279 2.810 20 5 CFBDRN Cc1c(C(=O)OC(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] ZINC000748946303 574274759 /nfs/dbraw/zinc/27/47/59/574274759.db2.gz FVMQUZOCUUVJMP-UHFFFAOYSA-N 0 0 282.252 2.685 20 5 CFBDRN C[Si](C)(C)COC(=O)c1csc([N+](=O)[O-])c1 ZINC000740956084 574275204 /nfs/dbraw/zinc/27/52/04/574275204.db2.gz QNJCJJOHPQFQGC-UHFFFAOYSA-N 0 0 259.359 2.691 20 5 CFBDRN Cc1cnc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000749055765 574277943 /nfs/dbraw/zinc/27/79/43/574277943.db2.gz MWKMVDBEQRVTCT-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@H](OC(=O)C[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000749056194 574277997 /nfs/dbraw/zinc/27/79/97/574277997.db2.gz NATOXNJAOXULGD-WDEREUQCSA-N 0 0 279.292 2.768 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCCSC2)nc2ccccn21 ZINC000749103059 574279766 /nfs/dbraw/zinc/27/97/66/574279766.db2.gz VWGJPFUBHMDATL-VIFPVBQESA-N 0 0 278.337 2.550 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C1CC=CC1 ZINC000741044516 574279865 /nfs/dbraw/zinc/27/98/65/574279865.db2.gz NTPWMKMMFUQHAR-UHFFFAOYSA-N 0 0 265.240 2.743 20 5 CFBDRN O=C(CCn1cccc1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000749132545 574281651 /nfs/dbraw/zinc/28/16/51/574281651.db2.gz PBGDFTGKQAWKAL-UHFFFAOYSA-N 0 0 295.245 2.703 20 5 CFBDRN C[C@H](CNC(=O)COc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000734335754 574282451 /nfs/dbraw/zinc/28/24/51/574282451.db2.gz HBPJDBQDVWBNLL-LLVKDONJSA-N 0 0 294.351 2.772 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ccccc2[N+](=O)[O-])o1 ZINC000741242603 574284409 /nfs/dbraw/zinc/28/44/09/574284409.db2.gz IZBNNRNLEKZMGF-LBPRGKRZSA-N 0 0 262.265 2.642 20 5 CFBDRN C[C@@H]1COCCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000749516162 574298934 /nfs/dbraw/zinc/29/89/34/574298934.db2.gz SXBDDWYODPVZSO-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@H]1COCCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000749516161 574299068 /nfs/dbraw/zinc/29/90/68/574299068.db2.gz SXBDDWYODPVZSO-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCCC(F)(F)F ZINC000741834440 574306110 /nfs/dbraw/zinc/30/61/10/574306110.db2.gz CJTVQZDTNBABAW-UHFFFAOYSA-N 0 0 277.198 2.633 20 5 CFBDRN CC[C@H](C)NC(=S)Nc1cc([N+](=O)[O-])ccc1C ZINC000749752436 574307135 /nfs/dbraw/zinc/30/71/35/574307135.db2.gz QQOGDOXHVGLJNX-VIFPVBQESA-N 0 0 267.354 2.988 20 5 CFBDRN CC[C@H](C)NC(=S)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000749766846 574308261 /nfs/dbraw/zinc/30/82/61/574308261.db2.gz XPBACVPODYAIDV-JTQLQIEISA-N 0 0 281.381 2.700 20 5 CFBDRN O=C(C=C1CCCC1)NCCc1ccccc1[N+](=O)[O-] ZINC000742007115 574313775 /nfs/dbraw/zinc/31/37/75/574313775.db2.gz DKJXKWHQLLMKPG-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN Cc1nn(C)c(C)c1CN(C)Cc1ccc([N+](=O)[O-])cc1 ZINC000749905431 574315360 /nfs/dbraw/zinc/31/53/60/574315360.db2.gz GSZQVNUVBHIPEB-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN CCc1ccc(COC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000735339857 574321522 /nfs/dbraw/zinc/32/15/22/574321522.db2.gz QDBVIDZTKMXQQW-UHFFFAOYSA-N 0 0 288.303 2.853 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@@H]3CCCC[C@H]3C2)nc2ccccn21 ZINC000750031206 574321932 /nfs/dbraw/zinc/32/19/32/574321932.db2.gz LYIBPDJWVFPGOT-RYUDHWBXSA-N 0 0 286.335 2.869 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750081195 574323126 /nfs/dbraw/zinc/32/31/26/574323126.db2.gz RYVQOAHWKGEAEV-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCCC1CC1 ZINC000744284999 574323484 /nfs/dbraw/zinc/32/34/84/574323484.db2.gz OYZMMQCKRPDVGQ-VMPITWQZSA-N 0 0 261.277 2.951 20 5 CFBDRN Cc1cccc2c1CCN(c1ccc([N+](=O)[O-])nc1)C2 ZINC000735487333 574326636 /nfs/dbraw/zinc/32/66/36/574326636.db2.gz JRNPMNLRDITIFK-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Oc1ccccc1F ZINC000755743091 574330363 /nfs/dbraw/zinc/33/03/63/574330363.db2.gz GGVANEILYBQTCB-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)C1CCOCC1 ZINC000735800912 574336302 /nfs/dbraw/zinc/33/63/02/574336302.db2.gz RBWAEKFHLXPOSB-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])OCC1CC=CC1 ZINC000750375757 574336514 /nfs/dbraw/zinc/33/65/14/574336514.db2.gz HBRQRQODDRSOTN-UHFFFAOYSA-N 0 0 291.303 2.873 20 5 CFBDRN COCCCC(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735800936 574336531 /nfs/dbraw/zinc/33/65/31/574336531.db2.gz SPQHTURLYHAEKT-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000742737852 574342048 /nfs/dbraw/zinc/34/20/48/574342048.db2.gz GFUIEONFSIISTM-QWRGUYRKSA-N 0 0 294.376 2.917 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1cc[nH]c1 ZINC000750491810 574343254 /nfs/dbraw/zinc/34/32/54/574343254.db2.gz XBAOFSQDVXHNOM-UHFFFAOYSA-N 0 0 280.667 2.933 20 5 CFBDRN C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000735962214 574343997 /nfs/dbraw/zinc/34/39/97/574343997.db2.gz FCYGBBZVXMAPMR-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN C[C@H](OC(=O)c1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000735962805 574344494 /nfs/dbraw/zinc/34/44/94/574344494.db2.gz RNEYTKBPKVYWOG-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN Cc1cccnc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000750519675 574344978 /nfs/dbraw/zinc/34/49/78/574344978.db2.gz UKTNMWVAYPRQRU-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN CC1(C(=O)OCCOc2ccccc2[N+](=O)[O-])CCC1 ZINC000750526973 574345678 /nfs/dbraw/zinc/34/56/78/574345678.db2.gz LAYJHXJGWPSCID-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccc([N+](=O)[O-])[nH]1)SC ZINC000742838748 574345667 /nfs/dbraw/zinc/34/56/67/574345667.db2.gz DSZBWVLGYSPFPY-UHFFFAOYSA-N 0 0 285.369 2.575 20 5 CFBDRN CCC[C@@H](C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000742882333 574347278 /nfs/dbraw/zinc/34/72/78/574347278.db2.gz QCRUGIQAIDRQOZ-LLVKDONJSA-N 0 0 281.308 2.953 20 5 CFBDRN Nc1ccc(OCc2ccccc2F)cc1[N+](=O)[O-] ZINC000750606118 574349210 /nfs/dbraw/zinc/34/92/10/574349210.db2.gz PYBCHGMTIQJRCL-UHFFFAOYSA-N 0 0 262.240 2.895 20 5 CFBDRN CC(C)(CC(=O)[O-])[NH2+]Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000736311144 574361581 /nfs/dbraw/zinc/36/15/81/574361581.db2.gz IXACHKOBAYRIAD-UHFFFAOYSA-N 0 0 286.715 2.591 20 5 CFBDRN C/C=C(\C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000750905999 574361727 /nfs/dbraw/zinc/36/17/27/574361727.db2.gz MHFZTTGAVHPIOR-FPYGCLRLSA-N 0 0 253.229 2.743 20 5 CFBDRN Cc1ccc(NC(=S)NCC(F)(F)F)cc1[N+](=O)[O-] ZINC000750975844 574365946 /nfs/dbraw/zinc/36/59/46/574365946.db2.gz VWGVBMVVEAUAAE-UHFFFAOYSA-N 0 0 293.270 2.752 20 5 CFBDRN CC[C@@H](C)N(C(=O)Cn1c(C)ncc1[N+](=O)[O-])[C@H](C)CC ZINC000743545392 574368549 /nfs/dbraw/zinc/36/85/49/574368549.db2.gz UBJDYDKXKRLKCF-GHMZBOCLSA-N 0 0 296.371 2.525 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2cnccn2)cc([N+](=O)[O-])c1 ZINC000751160450 574370618 /nfs/dbraw/zinc/37/06/18/574370618.db2.gz UMAYUPXLJDKAJK-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1cnccn1 ZINC000751166500 574370798 /nfs/dbraw/zinc/37/07/98/574370798.db2.gz FURLNLSZMUJVHJ-IBHLPDHGSA-N 0 0 299.286 2.702 20 5 CFBDRN Cc1ccc(OC(=O)C2CCC(=O)CC2)c([N+](=O)[O-])c1 ZINC000751219607 574372313 /nfs/dbraw/zinc/37/23/13/574372313.db2.gz SXEDEPUQGBVRHD-UHFFFAOYSA-N 0 0 277.276 2.568 20 5 CFBDRN O=C(O[C@H]1CCCCC1=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000725936769 574372504 /nfs/dbraw/zinc/37/25/04/574372504.db2.gz JGBOJRXOLIPVJO-LBPRGKRZSA-N 0 0 299.229 2.542 20 5 CFBDRN COc1cc(C(=O)OC/C=C\Cl)ccc1[N+](=O)[O-] ZINC000725944773 574374363 /nfs/dbraw/zinc/37/43/63/574374363.db2.gz BMGILPSRQLLNIP-DJWKRKHSSA-N 0 0 271.656 2.513 20 5 CFBDRN CCCN(CC(C)C)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000751596336 574379868 /nfs/dbraw/zinc/37/98/68/574379868.db2.gz NFDJBEGVMOTYTF-UHFFFAOYSA-N 0 0 293.367 2.994 20 5 CFBDRN O=C(CCOCC1CC1)OCc1csc([N+](=O)[O-])c1 ZINC000751720596 574381390 /nfs/dbraw/zinc/38/13/90/574381390.db2.gz UVDGAKFITZVIPQ-UHFFFAOYSA-N 0 0 285.321 2.516 20 5 CFBDRN CC(C)OCCCC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000751726230 574381481 /nfs/dbraw/zinc/38/14/81/574381481.db2.gz KRCLPAJWKKDQJL-UHFFFAOYSA-N 0 0 281.308 2.843 20 5 CFBDRN COc1ccc(COC(=O)[C@H]2C[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000751735030 574381669 /nfs/dbraw/zinc/38/16/69/574381669.db2.gz LHTUWUHXZRDWKJ-NEPJUHHUSA-N 0 0 291.303 2.693 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@H]1C[C@@H]1C1CC1 ZINC000751738398 574381765 /nfs/dbraw/zinc/38/17/65/574381765.db2.gz AHOZJZWIMPLZKP-NEPJUHHUSA-N 0 0 279.267 2.823 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000751796348 574383002 /nfs/dbraw/zinc/38/30/02/574383002.db2.gz MSCZKNINNGKFMF-VIFPVBQESA-N 0 0 267.256 2.823 20 5 CFBDRN C[C@@H]1CCCN1C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000751900773 574384551 /nfs/dbraw/zinc/38/45/51/574384551.db2.gz XLWRJQHLFAHQSH-SECBINFHSA-N 0 0 265.338 2.776 20 5 CFBDRN C[C@@H](C[C@@H](O)c1cccs1)Nc1ccc([N+](=O)[O-])nc1 ZINC000751943070 574385500 /nfs/dbraw/zinc/38/55/00/574385500.db2.gz SCZARYBVXJLREM-GXSJLCMTSA-N 0 0 293.348 2.975 20 5 CFBDRN C/C(=C/C(=O)OCc1ccno1)c1ccc([N+](=O)[O-])cc1 ZINC000752348783 574390573 /nfs/dbraw/zinc/39/05/73/574390573.db2.gz GURWLMUSUCLFDQ-NTMALXAHSA-N 0 0 288.259 2.730 20 5 CFBDRN C/C(=C\C(=O)NCc1ccc[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000752477052 574391686 /nfs/dbraw/zinc/39/16/86/574391686.db2.gz FTUNXBWZFVLREM-PKNBQFBNSA-N 0 0 285.303 2.643 20 5 CFBDRN Cc1ccc(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)o1 ZINC000752516750 574392234 /nfs/dbraw/zinc/39/22/34/574392234.db2.gz JHXWYTXZAKIHHL-UHFFFAOYSA-N 0 0 289.243 2.536 20 5 CFBDRN C/C(=C\C(=O)N1CC(C(F)F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000752578166 574392961 /nfs/dbraw/zinc/39/29/61/574392961.db2.gz KQQORCQSTXNQHQ-RMKNXTFCSA-N 0 0 296.273 2.722 20 5 CFBDRN CS/C=C\C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000752758556 574394746 /nfs/dbraw/zinc/39/47/46/574394746.db2.gz BIGVGEKJJQZIFI-HJWRWDBZSA-N 0 0 280.349 2.823 20 5 CFBDRN C[C@H]1COC(C)(C)CN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000753017256 574397554 /nfs/dbraw/zinc/39/75/54/574397554.db2.gz BEGBTGDXTRWUGZ-VIFPVBQESA-N 0 0 295.295 2.507 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC(C)(C)C1 ZINC000753025928 574397629 /nfs/dbraw/zinc/39/76/29/574397629.db2.gz DKCHCNDGHHPVNG-SNVBAGLBSA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1ccc(N2CC(C)(C)OC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000753024779 574397732 /nfs/dbraw/zinc/39/77/32/574397732.db2.gz SJLMYPXOPORBQS-NSHDSACASA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1ccc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000753481092 574402990 /nfs/dbraw/zinc/40/29/90/574402990.db2.gz OOLYXFFEENIDTH-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN O=C(CCc1ccoc1)OCc1csc([N+](=O)[O-])c1 ZINC000753496827 574403343 /nfs/dbraw/zinc/40/33/43/574403343.db2.gz SDYLVOQHVDZRNT-UHFFFAOYSA-N 0 0 281.289 2.925 20 5 CFBDRN C[C@@H]1CC/C(=C\C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000753596328 574405890 /nfs/dbraw/zinc/40/58/90/574405890.db2.gz MKGNZPHKHKCCEG-LWMMSDEHSA-N 0 0 274.320 2.957 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC3(CC(F)C3)C2)c(F)c1 ZINC000753816752 574410845 /nfs/dbraw/zinc/41/08/45/574410845.db2.gz XKSHGSGXCNHEBG-UHFFFAOYSA-N 0 0 272.226 2.811 20 5 CFBDRN CC(C)(C)NC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000900092379 620637000 /nfs/dbraw/zinc/63/70/00/620637000.db2.gz IYKZECFUJUULPJ-UHFFFAOYSA-N 0 0 274.679 2.916 20 5 CFBDRN C[C@@H]([NH2+]CCCCC(=O)[O-])c1ccc([N+](=O)[O-])cc1 ZINC000820141654 598171151 /nfs/dbraw/zinc/17/11/51/598171151.db2.gz GYQISFCDUMJQIO-SNVBAGLBSA-N 0 0 266.297 2.500 20 5 CFBDRN Cc1c([C@@H](C)[NH2+][C@@H](C(=O)[O-])C(C)C)cccc1[N+](=O)[O-] ZINC000820944626 598197455 /nfs/dbraw/zinc/19/74/55/598197455.db2.gz HRHKEFVGGLMWPD-ZWNOBZJWSA-N 0 0 280.324 2.663 20 5 CFBDRN C[C@@]([NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-])(C(=O)[O-])C1CC1 ZINC000827740932 601172094 /nfs/dbraw/zinc/17/20/94/601172094.db2.gz GBFCLHIGVJVGBP-ZDUSSCGKSA-N 0 0 298.726 2.591 20 5 CFBDRN O=C([C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCCCCO1 ZINC000935592168 649919979 /nfs/dbraw/zinc/91/99/79/649919979.db2.gz GMDOWKAGWCZKSE-KBPBESRZSA-N 0 0 290.319 2.642 20 5 CFBDRN CCC(CC)(CC(=O)[O-])[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000828804239 601261380 /nfs/dbraw/zinc/26/13/80/601261380.db2.gz JREQHCJOIRTXPJ-UHFFFAOYSA-N 0 0 280.324 2.718 20 5 CFBDRN Cc1c([C@@H](C)[NH2+]CC(C)(C)NC(=O)[O-])cccc1[N+](=O)[O-] ZINC000829859634 605107066 /nfs/dbraw/zinc/10/70/66/605107066.db2.gz PBQHYIIDDQFZMJ-SNVBAGLBSA-N 0 0 295.339 2.600 20 5 CFBDRN C[C@@]1(C(=O)[O-])CC[N@H+](Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000062934960 605212434 /nfs/dbraw/zinc/21/24/34/605212434.db2.gz NBOGYMZKURDZRC-CYBMUJFWSA-N 0 0 298.726 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+]CC[C@H](C)NC(=O)[O-] ZINC000833908014 605849392 /nfs/dbraw/zinc/84/93/92/605849392.db2.gz ZCUZITIRNLPCBL-QWRGUYRKSA-N 0 0 295.339 2.600 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCSc1ccccc1 ZINC000891577303 617673879 /nfs/dbraw/zinc/67/38/79/617673879.db2.gz BJNPGVFAXOVPLP-UHFFFAOYSA-N 0 0 278.337 2.533 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000812623292 617885065 /nfs/dbraw/zinc/88/50/65/617885065.db2.gz QKMKODQBECLTCT-VHSXEESVSA-N 0 0 299.298 2.696 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCCOC(C)C)c1C ZINC000888976807 618055854 /nfs/dbraw/zinc/05/58/54/618055854.db2.gz DMFVVIKDMWTPLP-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN Nc1ccc(C(=O)OCCc2cccs2)cc1[N+](=O)[O-] ZINC000049417285 617603631 /nfs/dbraw/zinc/60/36/31/617603631.db2.gz KPDKMBSRJZYLOC-UHFFFAOYSA-N 0 0 292.316 2.638 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)Oc1ccccc1F ZINC000070738899 617621331 /nfs/dbraw/zinc/62/13/31/617621331.db2.gz ZWWJEFKLGNWYLK-UHFFFAOYSA-N 0 0 291.234 2.718 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000932335528 617650449 /nfs/dbraw/zinc/65/04/49/617650449.db2.gz HYSJVCNOABNZFB-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ncsc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000051242614 617656747 /nfs/dbraw/zinc/65/67/47/617656747.db2.gz YBSHVRVGNNNJON-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC(Cc2ccoc2)C1 ZINC000890570641 617661954 /nfs/dbraw/zinc/66/19/54/617661954.db2.gz YZNJIGQETIZMCQ-UHFFFAOYSA-N 0 0 292.316 2.564 20 5 CFBDRN CCn1cc(CN(C)Cc2ccccc2[N+](=O)[O-])c(C)n1 ZINC000891620953 617689007 /nfs/dbraw/zinc/68/90/07/617689007.db2.gz MDRVKOWCIOEFFK-UHFFFAOYSA-N 0 0 288.351 2.752 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCCSc1ccccc1 ZINC000891685356 617710273 /nfs/dbraw/zinc/71/02/73/617710273.db2.gz OSCSZZZHBOUMTI-UHFFFAOYSA-N 0 0 292.364 2.923 20 5 CFBDRN O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1C/C=C\Cl ZINC000254984610 617714726 /nfs/dbraw/zinc/71/47/26/617714726.db2.gz FTGWNYUWRFDIQR-UQCOIBPSSA-N 0 0 291.694 2.571 20 5 CFBDRN O=C(OCc1cccnc1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000901182253 620758538 /nfs/dbraw/zinc/75/85/38/620758538.db2.gz IWBXVLGPBJNBMW-CABCVRRESA-N 0 0 298.298 2.837 20 5 CFBDRN CC(C)Oc1cccc(CNc2c([N+](=O)[O-])cnn2C)c1 ZINC000891895577 617809352 /nfs/dbraw/zinc/80/93/52/617809352.db2.gz BQDLZVKMXAKZLI-UHFFFAOYSA-N 0 0 290.323 2.728 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCOC3)CC2)cc1 ZINC000804687266 617811313 /nfs/dbraw/zinc/81/13/13/617811313.db2.gz QWWQSHGUELNSGP-UHFFFAOYSA-N 0 0 262.309 2.602 20 5 CFBDRN Cc1cc(N2CC[C@H]3C[C@H]32)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000804690109 617815939 /nfs/dbraw/zinc/81/59/39/617815939.db2.gz YQLVTFGNXIXHFV-GXTWGEPZSA-N 0 0 296.330 2.959 20 5 CFBDRN C[C@H](O)[C@H]1CCCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000120820735 617825146 /nfs/dbraw/zinc/82/51/46/617825146.db2.gz WYPWJCUEKXGLSY-QWRGUYRKSA-N 0 0 298.770 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCC[C@H]2CCO)cc1 ZINC000804705959 617830506 /nfs/dbraw/zinc/83/05/06/617830506.db2.gz KOFMQMWVDIQFGI-LBPRGKRZSA-N 0 0 264.325 2.726 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC=CC[C@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000890768244 617858858 /nfs/dbraw/zinc/85/88/58/617858858.db2.gz BLPOLJFKBGFRFB-ZYHUDNBSSA-N 0 0 289.335 2.570 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CC=CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000890768399 617859572 /nfs/dbraw/zinc/85/95/72/617859572.db2.gz HPVHGHHMZKEDMD-BXUZGUMPSA-N 0 0 288.347 2.917 20 5 CFBDRN CC(C)(C)Oc1ccc(C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860917477 617872280 /nfs/dbraw/zinc/87/22/80/617872280.db2.gz MIIWJLVTCVHJHM-UHFFFAOYSA-N 0 0 281.308 2.688 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc(C(F)F)cc1F ZINC000860922651 617873434 /nfs/dbraw/zinc/87/34/34/617873434.db2.gz WRVVJRRVIVXTPK-UHFFFAOYSA-N 0 0 277.198 2.587 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@@H]2C[C@@H]21 ZINC000804781912 617879992 /nfs/dbraw/zinc/87/99/92/617879992.db2.gz KQXFJBMFERHUFE-YGRLFVJLSA-N 0 0 262.309 2.730 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)[C@H]1CCCOC1 ZINC000804784645 617880629 /nfs/dbraw/zinc/88/06/29/617880629.db2.gz QNCRNNVOPBJEME-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN CC1(CNC(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000934066239 617884669 /nfs/dbraw/zinc/88/46/69/617884669.db2.gz MNAHCMXCYQJXFM-OLZOCXBDSA-N 0 0 274.320 2.615 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N(CC(C)(C)C)OC)c1 ZINC000804815687 617896480 /nfs/dbraw/zinc/89/64/80/617896480.db2.gz XXCWEZREPYMLPV-UHFFFAOYSA-N 0 0 296.323 2.795 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC2(C(F)(F)F)CC2)c1 ZINC000804815807 617897131 /nfs/dbraw/zinc/89/71/31/617897131.db2.gz VAPPTOVNICINSV-UHFFFAOYSA-N 0 0 275.230 2.931 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000932634443 617907483 /nfs/dbraw/zinc/90/74/83/617907483.db2.gz VUQYHTJCSZDDEB-LLVKDONJSA-N 0 0 294.326 2.829 20 5 CFBDRN CCO[C@@H]1CCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000891999364 617908001 /nfs/dbraw/zinc/90/80/01/617908001.db2.gz ZODFGHFYJWYXAP-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC(C)(C)O1 ZINC000892025285 617917273 /nfs/dbraw/zinc/91/72/73/617917273.db2.gz OUTOOOIQAORVGI-VIFPVBQESA-N 0 0 295.295 2.507 20 5 CFBDRN C[C@H](COC(=O)c1ccc2ccccc2c1)[N+](=O)[O-] ZINC000813101483 617923827 /nfs/dbraw/zinc/92/38/27/617923827.db2.gz BIVNSKDBKSNOGS-SNVBAGLBSA-N 0 0 259.261 2.662 20 5 CFBDRN C[C@H](CNC(=O)NC[C@@H]1C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000892061969 617932109 /nfs/dbraw/zinc/93/21/09/617932109.db2.gz WWUIZSCKZFQVLQ-TUAOUCFPSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@H](COC(=O)CCCc1ccccc1Cl)[N+](=O)[O-] ZINC000813109854 617934798 /nfs/dbraw/zinc/93/47/98/617934798.db2.gz PPWWQIINEXNWKU-SNVBAGLBSA-N 0 0 285.727 2.871 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804840752 617937185 /nfs/dbraw/zinc/93/71/85/617937185.db2.gz PCUWPBNGEPMOJM-YUMQZZPRSA-N 0 0 251.242 2.713 20 5 CFBDRN C[C@@H](COC(=O)C1(c2cccc(Cl)c2)CC1)[N+](=O)[O-] ZINC000813114033 617947535 /nfs/dbraw/zinc/94/75/35/617947535.db2.gz XVTRRGJORAVGDO-VIFPVBQESA-N 0 0 283.711 2.580 20 5 CFBDRN C[C@H](COC(=O)[C@@H](OC(C)(C)C)c1ccccc1)[N+](=O)[O-] ZINC000813115424 617953907 /nfs/dbraw/zinc/95/39/07/617953907.db2.gz RJINYAZZAKAPJR-YPMHNXCESA-N 0 0 295.335 2.751 20 5 CFBDRN COCC(C)(C)N(C)C(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000891145266 618017440 /nfs/dbraw/zinc/01/74/40/618017440.db2.gz NHVOPISGBSZGIP-UHFFFAOYSA-N 0 0 294.351 2.709 20 5 CFBDRN Cc1ccccc1[C@@H]1CCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000892250254 618022922 /nfs/dbraw/zinc/02/29/22/618022922.db2.gz DHISCSXEQKLKNR-GFCCVEGCSA-N 0 0 286.335 2.631 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H](O)c1ccccc1F ZINC000303038505 618058385 /nfs/dbraw/zinc/05/83/85/618058385.db2.gz KAARUSFUXYIOSS-CYBMUJFWSA-N 0 0 277.251 2.846 20 5 CFBDRN CCCCC1(NC(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000889529816 618064089 /nfs/dbraw/zinc/06/40/89/618064089.db2.gz ACYJIBRUICZHAH-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])nc1 ZINC000176689044 618066722 /nfs/dbraw/zinc/06/67/22/618066722.db2.gz MPPHBYLEKIJNMV-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC(C)(C)n1nnnc1S/C=C\c1ccc([N+](=O)[O-])o1 ZINC000255193796 618075454 /nfs/dbraw/zinc/07/54/54/618075454.db2.gz JIDJEQUVWAMDJE-SREVYHEPSA-N 0 0 295.324 2.692 20 5 CFBDRN COc1ccc(OC(=O)C[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000247899850 618081615 /nfs/dbraw/zinc/08/16/15/618081615.db2.gz QAQPLBAWSRNYEJ-JTQLQIEISA-N 0 0 277.276 2.865 20 5 CFBDRN O=C(OC[C@H]1CCCO1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000077110337 618085436 /nfs/dbraw/zinc/08/54/36/618085436.db2.gz ARGFHUOWPIBIJM-LLVKDONJSA-N 0 0 285.683 2.584 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CCCCC1 ZINC000050201167 618085717 /nfs/dbraw/zinc/08/57/17/618085717.db2.gz ZDGPLFCWIGIMOK-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(F)c1 ZINC000050212568 618093895 /nfs/dbraw/zinc/09/38/95/618093895.db2.gz PCZUAANTDLNXBH-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1CCC=CO1 ZINC000050268232 618105537 /nfs/dbraw/zinc/10/55/37/618105537.db2.gz XXOOSSLRFLNHMQ-JTQLQIEISA-N 0 0 252.245 2.839 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176750137 618109401 /nfs/dbraw/zinc/10/94/01/618109401.db2.gz YMNHQIBMGDSOJA-NEPJUHHUSA-N 0 0 261.277 2.855 20 5 CFBDRN CC(C)(C)CCCC(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000177783195 618111289 /nfs/dbraw/zinc/11/12/89/618111289.db2.gz PRLZJVXOIFCTQG-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN O=C(NCCOC1CCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000075194883 618115389 /nfs/dbraw/zinc/11/53/89/618115389.db2.gz NYELBFPANOWMFG-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CC[C@H](C)OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000160695786 618140627 /nfs/dbraw/zinc/14/06/27/618140627.db2.gz ZTVKXJBRAZIAKU-VIFPVBQESA-N 0 0 276.292 2.960 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)/C=C\C1CCCCCC1 ZINC000891345034 618141666 /nfs/dbraw/zinc/14/16/66/618141666.db2.gz RILUDLXKICWHEH-HJWRWDBZSA-N 0 0 292.339 2.793 20 5 CFBDRN CCCCC[C@@H](C)CC(=O)Nc1c([N+](=O)[O-])ncn1C ZINC000891346651 618141667 /nfs/dbraw/zinc/14/16/67/618141667.db2.gz BEWMIUPOJVNCGS-SNVBAGLBSA-N 0 0 282.344 2.873 20 5 CFBDRN CO[C@H](C)CNc1cccc(Br)c1[N+](=O)[O-] ZINC000309497492 618170864 /nfs/dbraw/zinc/17/08/64/618170864.db2.gz ZIRBCQOJSSJTQD-SSDOTTSWSA-N 0 0 289.129 2.804 20 5 CFBDRN CC1(C)CCN1C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933299430 618194059 /nfs/dbraw/zinc/19/40/59/618194059.db2.gz VGSKGUISCIMVAV-QWHCGFSZSA-N 0 0 274.320 2.709 20 5 CFBDRN CCc1nc(CNCc2cccc([N+](=O)[O-])c2)cs1 ZINC000052926312 618204455 /nfs/dbraw/zinc/20/44/55/618204455.db2.gz GUGLMXSBPBFYEP-UHFFFAOYSA-N 0 0 277.349 2.904 20 5 CFBDRN CN(Cc1cccc([N+](=O)[O-])c1Br)C1CC1 ZINC000234321967 618207667 /nfs/dbraw/zinc/20/76/67/618207667.db2.gz IBHKNDDVVHVEGI-UHFFFAOYSA-N 0 0 285.141 2.952 20 5 CFBDRN C/C=C/COC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 ZINC000136069716 618221104 /nfs/dbraw/zinc/22/11/04/618221104.db2.gz YNLQMLXOQVVYPK-NSCUHMNNSA-N 0 0 287.275 2.513 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1CCc2ccc(F)cc21 ZINC000892403000 618228244 /nfs/dbraw/zinc/22/82/44/618228244.db2.gz XCVSCUZCHCERNR-NSHDSACASA-N 0 0 276.271 2.567 20 5 CFBDRN CSCC(C)(C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000892417769 618234233 /nfs/dbraw/zinc/23/42/33/618234233.db2.gz ICBMGZSRAHHTRP-UHFFFAOYSA-N 0 0 297.380 2.536 20 5 CFBDRN C[C@@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000255633758 618236414 /nfs/dbraw/zinc/23/64/14/618236414.db2.gz JDQPXNDSNGHKLF-ZUYFITGHSA-N 0 0 261.277 2.950 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC(C2CC2)CC1 ZINC000893949730 618250856 /nfs/dbraw/zinc/25/08/56/618250856.db2.gz VXIYFZCFTGKPIV-UHFFFAOYSA-N 0 0 277.324 2.625 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC[C@@H](CSC)C1 ZINC000893965172 618253793 /nfs/dbraw/zinc/25/37/93/618253793.db2.gz KUHATARKBRASSO-SNVBAGLBSA-N 0 0 297.380 2.578 20 5 CFBDRN COCC(C)(C)CC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000840589032 618263492 /nfs/dbraw/zinc/26/34/92/618263492.db2.gz AOHPXTIUPONDBT-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N[C@@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000894039515 618283844 /nfs/dbraw/zinc/28/38/44/618283844.db2.gz LYSKGZJGVBDKIB-LURJTMIESA-N 0 0 292.669 2.940 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1C[C@@H](F)[C@@H](F)C1 ZINC000894047502 618288213 /nfs/dbraw/zinc/28/82/13/618288213.db2.gz LLKBFDWQKXYFBL-AOOOYVTPSA-N 0 0 297.236 2.778 20 5 CFBDRN CC(C)c1ccc(C(=O)N(C)c2ccon2)cc1[N+](=O)[O-] ZINC000892454513 618288301 /nfs/dbraw/zinc/28/83/01/618288301.db2.gz UXZFIIDNTHRQLI-UHFFFAOYSA-N 0 0 289.291 2.983 20 5 CFBDRN COC/C(C)=C\CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000901351166 620792576 /nfs/dbraw/zinc/79/25/76/620792576.db2.gz IHLQNCKKZLEHEF-UITAMQMPSA-N 0 0 254.261 2.739 20 5 CFBDRN CC[C@@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000892461281 618297961 /nfs/dbraw/zinc/29/79/61/618297961.db2.gz FVFGQYYLZKSMJJ-TVQRCGJNSA-N 0 0 295.295 2.507 20 5 CFBDRN Cc1cc(C(=O)Nc2cc(Cl)[nH]n2)ccc1[N+](=O)[O-] ZINC000749404359 618301152 /nfs/dbraw/zinc/30/11/52/618301152.db2.gz WHZPSRFUOAKJBA-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN COC/C(C)=C/CN1CCc2cc([N+](=O)[O-])ccc21 ZINC000901354607 620793578 /nfs/dbraw/zinc/79/35/78/620793578.db2.gz XTOCUQGPZDTSPV-VZUCSPMQSA-N 0 0 262.309 2.550 20 5 CFBDRN Cc1cn(C)nc1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000840689092 618306191 /nfs/dbraw/zinc/30/61/91/618306191.db2.gz RYPNBRDZTBXFCR-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@H]1CCC[C@H](C)C1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000893313397 618308778 /nfs/dbraw/zinc/30/87/78/618308778.db2.gz XMWZYWLPIPHLLZ-QWRGUYRKSA-N 0 0 295.339 2.549 20 5 CFBDRN Cn1ccc(C(=O)COc2ccc(Cl)c([N+](=O)[O-])c2)c1 ZINC000312553012 618316294 /nfs/dbraw/zinc/31/62/94/618316294.db2.gz KINIWELHBXHBBP-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN COCC1(CNc2ncc([N+](=O)[O-])cc2OC)CCCC1 ZINC000894120678 618353169 /nfs/dbraw/zinc/35/31/69/618353169.db2.gz YSVNVCOMNGNUIL-UHFFFAOYSA-N 0 0 295.339 2.617 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000177160144 618358695 /nfs/dbraw/zinc/35/86/95/618358695.db2.gz UCDBXNIOTKTWLN-NSHDSACASA-N 0 0 278.283 2.881 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)[C@@H](C)[C@@H](C)O1 ZINC000782403972 618385146 /nfs/dbraw/zinc/38/51/46/618385146.db2.gz QOCIGXZXYKKAGT-OUAUKWLOSA-N 0 0 250.298 2.597 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCOC(C)(C)C1 ZINC000894139917 618388057 /nfs/dbraw/zinc/38/80/57/618388057.db2.gz OVKLOYVTQXXOSQ-SNVBAGLBSA-N 0 0 295.339 2.616 20 5 CFBDRN CC(C)CO[C@H]1CCN(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000177399869 618416668 /nfs/dbraw/zinc/41/66/68/618416668.db2.gz BJSOIBMIYBNWME-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cc(CC2(O)CCC2)on1 ZINC000901393709 620803735 /nfs/dbraw/zinc/80/37/35/620803735.db2.gz BKVRLYAHKILCLN-UHFFFAOYSA-N 0 0 274.276 2.707 20 5 CFBDRN Cc1nnc(CCNc2c(C)ccc([N+](=O)[O-])c2C)o1 ZINC000901394040 620803858 /nfs/dbraw/zinc/80/38/58/620803858.db2.gz PWMSOIYOTDGDNE-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN Cc1ccc(SCCC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814035983 618434392 /nfs/dbraw/zinc/43/43/92/618434392.db2.gz DLWFAEYYDBHHJF-NSHDSACASA-N 0 0 283.349 2.686 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCc1cnccc1C(C)(C)C ZINC000894193008 618435565 /nfs/dbraw/zinc/43/55/65/618435565.db2.gz BFWOELQUCXRYQG-UHFFFAOYSA-N 0 0 289.339 2.633 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@H](C)CSc1ccccc1 ZINC000814041223 618440828 /nfs/dbraw/zinc/44/08/28/618440828.db2.gz QUDUCUJZWIRVLZ-MNOVXSKESA-N 0 0 283.349 2.623 20 5 CFBDRN COCC1CCC(Nc2ncc([N+](=O)[O-])cc2OC)CC1 ZINC000894200568 618441063 /nfs/dbraw/zinc/44/10/63/618441063.db2.gz CYGBNDQTAOIGAY-UHFFFAOYSA-N 0 0 295.339 2.616 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCCC1CCCCC1 ZINC000814040900 618441682 /nfs/dbraw/zinc/44/16/82/618441682.db2.gz MTJUMJZTQDIHFK-LLVKDONJSA-N 0 0 257.330 2.945 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)Nc2nccs2)c1F ZINC000235888844 618458736 /nfs/dbraw/zinc/45/87/36/618458736.db2.gz NRZJVXJCBYIACB-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1nc([C@@H]2CCCN2c2ccc([N+](=O)[O-])nc2)[nH]c1C ZINC000895196835 618461527 /nfs/dbraw/zinc/46/15/27/618461527.db2.gz NFDOOYXULMHSIN-LBPRGKRZSA-N 0 0 287.323 2.671 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC1(CC2CC2)CC1 ZINC000893355733 618463053 /nfs/dbraw/zinc/46/30/53/618463053.db2.gz DJNNVWUJBHDTKA-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1N1CC[C@H]2C[C@H]21 ZINC000856749121 618464169 /nfs/dbraw/zinc/46/41/69/618464169.db2.gz RNXKVUIWZKYRCN-OIBJUYFYSA-N 0 0 283.125 2.956 20 5 CFBDRN O=C(NC1CCC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000895204738 618470056 /nfs/dbraw/zinc/47/00/56/618470056.db2.gz LWWOIHDBAHSEHJ-UHFFFAOYSA-N 0 0 260.293 2.823 20 5 CFBDRN Cc1c(C(=O)OCc2ccncc2)cccc1[N+](=O)[O-] ZINC000726384971 618470240 /nfs/dbraw/zinc/47/02/40/618470240.db2.gz OOBQRDMOVGKORG-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1cc(OCCc2ccns2)ccc1[N+](=O)[O-] ZINC000877888290 618472753 /nfs/dbraw/zinc/47/27/53/618472753.db2.gz PZHNAIHFDNRIFJ-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN COc1cc(C(=O)NC2CCC=CCC2)ccc1[N+](=O)[O-] ZINC000895211696 618473838 /nfs/dbraw/zinc/47/38/38/618473838.db2.gz KXOUAPRQZKKCQD-UHFFFAOYSA-N 0 0 290.319 2.832 20 5 CFBDRN CC(C)Oc1ccc(Cn2cccc([N+](=O)[O-])c2=O)cc1 ZINC000726432144 618474957 /nfs/dbraw/zinc/47/49/57/618474957.db2.gz YNEXSBZTQQCQRX-UHFFFAOYSA-N 0 0 288.303 2.592 20 5 CFBDRN CN(CCCF)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000895293629 618516308 /nfs/dbraw/zinc/51/63/08/618516308.db2.gz IDDYJBSQBFHPNK-UHFFFAOYSA-N 0 0 290.241 2.505 20 5 CFBDRN CC1=NO[C@@H](CNCc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000895312206 618527585 /nfs/dbraw/zinc/52/75/85/618527585.db2.gz WJPAYVYUSKGXFQ-LLVKDONJSA-N 0 0 283.715 2.503 20 5 CFBDRN C[C@H]1CSC[C@@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000795136328 618553695 /nfs/dbraw/zinc/55/36/95/618553695.db2.gz MZZIUXLOCYUGQW-KWQFWETISA-N 0 0 297.405 2.633 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NC[C@]34C[C@H]3CCCC4)nn21 ZINC000895425836 618575494 /nfs/dbraw/zinc/57/54/94/618575494.db2.gz GDQHKKAISRVVGH-YGRLFVJLSA-N 0 0 287.323 2.630 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2nc3c(s2)CCC3)c(F)c1 ZINC000895459820 618596270 /nfs/dbraw/zinc/59/62/70/618596270.db2.gz WQSQSMZMUVLOKK-UHFFFAOYSA-N 0 0 294.311 2.686 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc(F)n2)ccc1[N+](=O)[O-] ZINC000162251349 618606649 /nfs/dbraw/zinc/60/66/49/618606649.db2.gz GTMYYOOCFIJOSP-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)(CCc1noc(C[C@H]2CC=CCC2)n1)[N+](=O)[O-] ZINC000924079371 618607168 /nfs/dbraw/zinc/60/71/68/618607168.db2.gz VQWDREWYXSVDKE-NSHDSACASA-N 0 0 279.340 2.956 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C2=COCCC2)cc1[N+](=O)[O-] ZINC000177857620 618609188 /nfs/dbraw/zinc/60/91/88/618609188.db2.gz DLOUHTNASQMGIR-NSHDSACASA-N 0 0 290.319 2.775 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NCC1(CO)CC1 ZINC000236470366 618624459 /nfs/dbraw/zinc/62/44/59/618624459.db2.gz SWJRYJOBJIHKSG-UHFFFAOYSA-N 0 0 274.679 2.572 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CSc2ccccc21 ZINC000892595792 618646983 /nfs/dbraw/zinc/64/69/83/618646983.db2.gz XSGPLLHULKLTCP-SECBINFHSA-N 0 0 276.321 2.587 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CC2(CC2)c2ccccc21 ZINC000892634236 618664790 /nfs/dbraw/zinc/66/47/90/618664790.db2.gz ZQMSECPOANETFX-UHFFFAOYSA-N 0 0 270.292 2.512 20 5 CFBDRN O=C(Nc1nccc2ccc([N+](=O)[O-])cc21)[C@@H]1CC12CC2 ZINC000892639228 618667081 /nfs/dbraw/zinc/66/70/81/618667081.db2.gz MFDVYNZITLBIMQ-LBPRGKRZSA-N 0 0 283.287 2.882 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892655277 618676990 /nfs/dbraw/zinc/67/69/90/618676990.db2.gz HAQVIBNMOFHKFP-GZMMTYOYSA-N 0 0 271.276 2.738 20 5 CFBDRN C[C@H]1C[C@@H](CNc2ccc(F)c([N+](=O)[O-])c2)CCO1 ZINC000783192710 618688665 /nfs/dbraw/zinc/68/86/65/618688665.db2.gz FIOIDQIMBSZYKP-UWVGGRQHSA-N 0 0 268.288 2.961 20 5 CFBDRN CC(C)(COC(=O)C1CC2(C1)CCCCC2)[N+](=O)[O-] ZINC000851129907 618689336 /nfs/dbraw/zinc/68/93/36/618689336.db2.gz MCKPYIOZRJIMTC-UHFFFAOYSA-N 0 0 269.341 2.945 20 5 CFBDRN CC[C@@H](C)[C@H](C)[NH2+]Cc1ccc(O)c(OC)c1[N+](=O)[O-] ZINC000892677228 618698989 /nfs/dbraw/zinc/69/89/89/618698989.db2.gz WSNQHRUNIPGLBK-ZJUUUORDSA-N 0 0 282.340 2.833 20 5 CFBDRN COCC1(CNc2cccc(F)c2[N+](=O)[O-])CCC1 ZINC000311518346 618713878 /nfs/dbraw/zinc/71/38/78/618713878.db2.gz IUBNLUDTWWUUMA-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN O=C(COC(=O)c1c(Cl)cccc1[N+](=O)[O-])CC1CC1 ZINC000783553024 618717285 /nfs/dbraw/zinc/71/72/85/618717285.db2.gz NJMWCKFFOPSSER-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN C[C@H](CNc1c([N+](=O)[O-])cnn1C)Sc1ccccc1 ZINC000892703192 618720913 /nfs/dbraw/zinc/72/09/13/618720913.db2.gz JTRFVFUAJMDFDX-SNVBAGLBSA-N 0 0 292.364 2.921 20 5 CFBDRN CN(C)c1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000103415562 618725986 /nfs/dbraw/zinc/72/59/86/618725986.db2.gz RVQILYOTKMEDAU-UHFFFAOYSA-N 0 0 289.291 2.530 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC2(CCCC2)C1 ZINC000892722636 618732434 /nfs/dbraw/zinc/73/24/34/618732434.db2.gz WHEQYAHINOFXGL-UHFFFAOYSA-N 0 0 277.324 2.769 20 5 CFBDRN CCOc1cc(NC(=O)C(C)(C)OCC)ccc1[N+](=O)[O-] ZINC000892741599 618737097 /nfs/dbraw/zinc/73/70/97/618737097.db2.gz NYFOMQOAYYLMIY-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN CCO[C@H](CCNc1c([N+](=O)[O-])cnn1C)C1CCCC1 ZINC000892777104 618744837 /nfs/dbraw/zinc/74/48/37/618744837.db2.gz AWOIAMOLVCAQIF-CYBMUJFWSA-N 0 0 296.371 2.726 20 5 CFBDRN COCc1ccc(Sc2c([N+](=O)[O-])cnn2C)cc1 ZINC000892779965 618745196 /nfs/dbraw/zinc/74/51/96/618745196.db2.gz YUGAJZWPCREGGR-UHFFFAOYSA-N 0 0 279.321 2.626 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1N1CCC2(CCC2)C1 ZINC000892902663 618766161 /nfs/dbraw/zinc/76/61/61/618766161.db2.gz ZULVHSJTIGLONG-UHFFFAOYSA-N 0 0 274.280 2.511 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CCC3(CCC3)C2)c1 ZINC000892900862 618766796 /nfs/dbraw/zinc/76/67/96/618766796.db2.gz NHCOOLNMWYVITH-UHFFFAOYSA-N 0 0 277.280 2.883 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CCC(F)(F)C1 ZINC000892938669 618773813 /nfs/dbraw/zinc/77/38/13/618773813.db2.gz QUVLDWQOGHDUHS-SSDOTTSWSA-N 0 0 273.239 2.598 20 5 CFBDRN COc1ccc(CNc2c([N+](=O)[O-])cnn2C)cc1Cl ZINC000892943896 618776932 /nfs/dbraw/zinc/77/69/32/618776932.db2.gz AMIRIWMBIBLZES-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@H]1CCO[C@H](C)C1 ZINC000893138470 618844922 /nfs/dbraw/zinc/84/49/22/618844922.db2.gz IJIXWXHXFGFXCH-MNOVXSKESA-N 0 0 295.339 2.616 20 5 CFBDRN Cc1cc(C(=O)OCC2(O)CCCCC2)cc([N+](=O)[O-])c1 ZINC000893166594 618857584 /nfs/dbraw/zinc/85/75/84/618857584.db2.gz RHLAIQGFNPRCJG-UHFFFAOYSA-N 0 0 293.319 2.755 20 5 CFBDRN CC[C@@H](C)CCC(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853917675 618883318 /nfs/dbraw/zinc/88/33/18/618883318.db2.gz UDBJJDKZFIBIIS-MRVPVSSYSA-N 0 0 270.285 2.761 20 5 CFBDRN CO[C@H](C)CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000195381896 618970895 /nfs/dbraw/zinc/97/08/95/618970895.db2.gz MBAWFDADZKINLX-GFCCVEGCSA-N 0 0 294.351 2.757 20 5 CFBDRN CC(C)(C)C(C)(C)NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000313237545 618977546 /nfs/dbraw/zinc/97/75/46/618977546.db2.gz USMHSGXQRYGGOP-UHFFFAOYSA-N 0 0 279.340 2.732 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@H](CF)C2)c1F ZINC000893414848 618982622 /nfs/dbraw/zinc/98/26/22/618982622.db2.gz DFFTZTQDQHIMJY-SNVBAGLBSA-N 0 0 298.289 2.864 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CCCC2(CCC2)O1)[N+](=O)[O-] ZINC000909357009 618997718 /nfs/dbraw/zinc/99/77/18/618997718.db2.gz GQEJEFGEVUNJPG-LBPRGKRZSA-N 0 0 299.367 2.857 20 5 CFBDRN COC[C@@H](COC(=O)c1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000893456766 619000236 /nfs/dbraw/zinc/00/02/36/619000236.db2.gz RBFQQOWWCDTSEJ-JTQLQIEISA-N 0 0 299.298 2.809 20 5 CFBDRN COC[C@@H](COC(=O)c1ccccc1[N+](=O)[O-])C(C)C ZINC000893456900 619000566 /nfs/dbraw/zinc/00/05/66/619000566.db2.gz SDCTZXSPDCESED-NSHDSACASA-N 0 0 281.308 2.670 20 5 CFBDRN C[C@H](OC(=O)C1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000066877527 619003490 /nfs/dbraw/zinc/00/34/90/619003490.db2.gz AMGIKKKLJXXTRB-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN O=[N+]([O-])c1cc(NC2CC(F)(F)C2)cc([N+](=O)[O-])c1 ZINC000893488975 619016879 /nfs/dbraw/zinc/01/68/79/619016879.db2.gz CPJUOVJXFMKHDZ-UHFFFAOYSA-N 0 0 273.195 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@@H]2CCSC2)cc([N+](=O)[O-])c1 ZINC000893574392 619076702 /nfs/dbraw/zinc/07/67/02/619076702.db2.gz YMTIFQQOPQGBNQ-QMMMGPOBSA-N 0 0 283.309 2.668 20 5 CFBDRN CCCCNC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000215173890 619079622 /nfs/dbraw/zinc/07/96/22/619079622.db2.gz HGZXNLUYFQJJOW-UHFFFAOYSA-N 0 0 255.249 2.656 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCCCCC1 ZINC000005864731 619100054 /nfs/dbraw/zinc/10/00/54/619100054.db2.gz NRXQHZIVONBQAT-UHFFFAOYSA-N 0 0 252.270 2.594 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000005635319 619139433 /nfs/dbraw/zinc/13/94/33/619139433.db2.gz PSNPIJLKCXITEI-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1cnn(C)c1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000840638816 619166368 /nfs/dbraw/zinc/16/63/68/619166368.db2.gz ZYDXCBHIRCKXCG-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCCC[C@H]12)c1ccc([N+](=O)[O-])cc1 ZINC000893698273 619166387 /nfs/dbraw/zinc/16/63/87/619166387.db2.gz XPYQSQSKHJLBEZ-FPMFFAJLSA-N 0 0 274.320 2.903 20 5 CFBDRN CCCCOC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000203798965 619184018 /nfs/dbraw/zinc/18/40/18/619184018.db2.gz UGXFKELGMSTZDY-UHFFFAOYSA-N 0 0 255.245 2.999 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC(C)(C)C(F)(F)F ZINC000893707757 619186682 /nfs/dbraw/zinc/18/66/82/619186682.db2.gz WFSXIKDVOLABAM-UHFFFAOYSA-N 0 0 293.245 2.999 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC2(C1)CCOCC2 ZINC000893937438 619248087 /nfs/dbraw/zinc/24/80/87/619248087.db2.gz GQCQCWWFJQDWMZ-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC2(C1)CCOCC2 ZINC000893938663 619248315 /nfs/dbraw/zinc/24/83/15/619248315.db2.gz QSQVEDSUQCWASC-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1cc(N2CC3(C2)CCOCC3)c([N+](=O)[O-])s1 ZINC000893940224 619248420 /nfs/dbraw/zinc/24/84/20/619248420.db2.gz FSSZSZCEIIEWRF-UHFFFAOYSA-N 0 0 268.338 2.582 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N(CC1CC1)CC1CC1 ZINC000070505255 625331505 /nfs/dbraw/zinc/33/15/05/625331505.db2.gz BPICPBFQFNWBDN-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CC[C@@H](C2CCC2)C1 ZINC000894227297 619271925 /nfs/dbraw/zinc/27/19/25/619271925.db2.gz RXBUMNVEXMRFLA-LLVKDONJSA-N 0 0 277.324 2.625 20 5 CFBDRN C[C@@H]1C[C@H]1c1ccc(CN(CC[N+](=O)[O-])C2CC2)o1 ZINC000791054426 619275433 /nfs/dbraw/zinc/27/54/33/619275433.db2.gz UYHYEILWLJWMBS-ZWNOBZJWSA-N 0 0 264.325 2.644 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)c1ccoc1 ZINC000016157710 619284714 /nfs/dbraw/zinc/28/47/14/619284714.db2.gz FVUBTZSWBWSMNR-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN CC(C)(C)OC(=O)NOCc1ccccc1[N+](=O)[O-] ZINC000205247429 619286674 /nfs/dbraw/zinc/28/66/74/619286674.db2.gz WMPQGCWRVRZWTN-UHFFFAOYSA-N 0 0 268.269 2.551 20 5 CFBDRN O=C(O[C@@H]1CCc2cc(Cl)ccc21)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840672521 619313239 /nfs/dbraw/zinc/31/32/39/619313239.db2.gz AUBAZATXZLGIGT-IJLUTSLNSA-N 0 0 281.695 2.536 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246851 619328370 /nfs/dbraw/zinc/32/83/70/619328370.db2.gz LPEJIDJGRFBKGI-VXGBXAGGSA-N 0 0 294.351 2.833 20 5 CFBDRN CC[C@H](C)CC(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000791496631 619329745 /nfs/dbraw/zinc/32/97/45/619329745.db2.gz CWNFHGJZDXSCJZ-JTQLQIEISA-N 0 0 279.292 2.757 20 5 CFBDRN O=C(OC/C=C\c1ccc(Cl)cc1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840673166 619332097 /nfs/dbraw/zinc/33/20/97/619332097.db2.gz IWIUENULDGQPOR-JZIZGNRHSA-N 0 0 281.695 2.562 20 5 CFBDRN O=C(O[C@@H]1CCc2c1cccc2Cl)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840673375 619334110 /nfs/dbraw/zinc/33/41/10/619334110.db2.gz MLCMRVYHUXXQAW-YUSALJHKSA-N 0 0 281.695 2.536 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H](C)C1CC1 ZINC000748139196 619342543 /nfs/dbraw/zinc/34/25/43/619342543.db2.gz GHMFCUUXMFKSJX-SECBINFHSA-N 0 0 279.292 2.693 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1C[C@@H](OC(C)(C)C)C1 ZINC000894356172 619347798 /nfs/dbraw/zinc/34/77/98/619347798.db2.gz MTXBSSNGDAVMDQ-JGZJWPJOSA-N 0 0 295.339 2.756 20 5 CFBDRN COc1cc(C(=O)OC[C@@H]2C[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000791788068 619352466 /nfs/dbraw/zinc/35/24/66/619352466.db2.gz PRMUHBBAXUVEAR-KWQFWETISA-N 0 0 279.292 2.725 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCC[C@H]1CC1(Cl)Cl ZINC000894407763 619372922 /nfs/dbraw/zinc/37/29/22/619372922.db2.gz BTBXHTUUGDFVEP-ZETCQYMHSA-N 0 0 293.154 2.714 20 5 CFBDRN Cc1cc(NCCN=S2(=O)CCCC2)ccc1[N+](=O)[O-] ZINC000894419177 619379652 /nfs/dbraw/zinc/37/96/52/619379652.db2.gz OYDHEUPNJYKBQB-UHFFFAOYSA-N 0 0 297.380 2.577 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC(C)(C)CC(F)(F)F ZINC000894659585 619440191 /nfs/dbraw/zinc/44/01/91/619440191.db2.gz WHEVUSVKWVEEFN-UHFFFAOYSA-N 0 0 280.250 2.719 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2cc(Cl)ccc2[N+](=O)[O-])[nH]1 ZINC000894773003 619460479 /nfs/dbraw/zinc/46/04/79/619460479.db2.gz UCHOPMPSWVRRTG-SSDOTTSWSA-N 0 0 295.730 2.526 20 5 CFBDRN Cc1c(C(=O)OCc2ccoc2)cccc1[N+](=O)[O-] ZINC000048868232 619478332 /nfs/dbraw/zinc/47/83/32/619478332.db2.gz BPDYBGLWZHRVDV-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN CCNc1cc(CNc2ncc(C)cc2[N+](=O)[O-])ccn1 ZINC000894869914 619492173 /nfs/dbraw/zinc/49/21/73/619492173.db2.gz GKINWKYSHNHIRZ-UHFFFAOYSA-N 0 0 287.323 2.737 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])c(SCCCO)cc1C ZINC000894872871 619492470 /nfs/dbraw/zinc/49/24/70/619492470.db2.gz LDLSKOGAMDSSNY-UHFFFAOYSA-N 0 0 299.348 2.554 20 5 CFBDRN Cc1cc(N2Cc3c[nH]nc3[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000894892628 619500814 /nfs/dbraw/zinc/50/08/14/619500814.db2.gz BFNWPMZQXFWZQO-SECBINFHSA-N 0 0 290.298 2.889 20 5 CFBDRN Cc1cc(N2Cc3c[nH]nc3[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000894893573 619500974 /nfs/dbraw/zinc/50/09/74/619500974.db2.gz FMBZNNKFPYOLBH-SNVBAGLBSA-N 0 0 272.308 2.750 20 5 CFBDRN Cc1ccc(N2Cc3c[nH]nc3[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000894893130 619501420 /nfs/dbraw/zinc/50/14/20/619501420.db2.gz DVOYBAWJSCYOKL-JTQLQIEISA-N 0 0 272.308 2.750 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1N1CCC(CCF)CC1 ZINC000894906515 619509882 /nfs/dbraw/zinc/50/98/82/619509882.db2.gz QENDSRGCUVXPGL-UHFFFAOYSA-N 0 0 294.286 2.707 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000894938835 619516159 /nfs/dbraw/zinc/51/61/59/619516159.db2.gz FCDPXSRLWUEDDC-RNCFNFMXSA-N 0 0 295.295 2.507 20 5 CFBDRN COC(=O)C[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])C[C@H]1C ZINC000895617472 619568694 /nfs/dbraw/zinc/56/86/94/619568694.db2.gz ACHLIMWAYVJQIV-VXGBXAGGSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)(C)OC[C@H]1CCCN1c1ccc([N+](=O)[O-])cn1 ZINC000895654913 619576618 /nfs/dbraw/zinc/57/66/18/619576618.db2.gz KVZHWMCOGRSPKJ-GFCCVEGCSA-N 0 0 279.340 2.774 20 5 CFBDRN CC(C)(C)OC[C@@H]1CCCN1c1ncc([N+](=O)[O-])s1 ZINC000895660220 619578141 /nfs/dbraw/zinc/57/81/41/619578141.db2.gz OIYNEWBCXZWDIZ-VIFPVBQESA-N 0 0 285.369 2.835 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN1c1ccsc1[N+](=O)[O-] ZINC000895719653 619590570 /nfs/dbraw/zinc/59/05/70/619590570.db2.gz UMDWSRMJTFPLAY-JTQLQIEISA-N 0 0 278.337 2.731 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](c1ccccn1)C1CC1 ZINC000895794865 619610237 /nfs/dbraw/zinc/61/02/37/619610237.db2.gz RAEVMJZFZZNGQW-ZDUSSCGKSA-N 0 0 270.292 2.948 20 5 CFBDRN C[C@H](COCC1CCCCC1)Nc1ncc([N+](=O)[O-])cn1 ZINC000895833308 619619373 /nfs/dbraw/zinc/61/93/73/619619373.db2.gz MMAUEUMOTHZZCF-LLVKDONJSA-N 0 0 294.355 2.782 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]1c1ncccn1 ZINC000895944420 619641809 /nfs/dbraw/zinc/64/18/09/619641809.db2.gz OAGMKJGLMWGEAL-GFCCVEGCSA-N 0 0 288.282 2.865 20 5 CFBDRN Cc1cc(CCNc2cccc(C)c2[N+](=O)[O-])nn1C ZINC000896025836 619655319 /nfs/dbraw/zinc/65/53/19/619655319.db2.gz SPOSUQXSOYLNSB-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN Cc1cc(NC[C@@H]2C=C[C@H]3C[C@@H]2CO3)ccc1[N+](=O)[O-] ZINC000896077986 619665105 /nfs/dbraw/zinc/66/51/05/619665105.db2.gz RTAFDNJUVGPAAY-SCRDCRAPSA-N 0 0 274.320 2.906 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H]1C[C@H]1C(F)(F)F ZINC000896088746 619667923 /nfs/dbraw/zinc/66/79/23/619667923.db2.gz XLVVYHPOPFZKSZ-POYBYMJQSA-N 0 0 291.229 2.609 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC2CC=CC2)n1 ZINC000896093415 619672152 /nfs/dbraw/zinc/67/21/52/619672152.db2.gz AHZQFNWQQPYGGI-UHFFFAOYSA-N 0 0 291.307 2.545 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])c(N)c2F)CCCCO1 ZINC000896184263 619688582 /nfs/dbraw/zinc/68/85/82/619688582.db2.gz JRUZSSHCAIWDGQ-CYBMUJFWSA-N 0 0 283.303 2.687 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CCCCO2)ncc1[N+](=O)[O-] ZINC000896184296 619688652 /nfs/dbraw/zinc/68/86/52/619688652.db2.gz KJOGZHWHKKXSII-CYBMUJFWSA-N 0 0 265.313 2.669 20 5 CFBDRN COc1cccc(NC[C@]2(C)CCCCO2)c1[N+](=O)[O-] ZINC000896184361 619688685 /nfs/dbraw/zinc/68/86/85/619688685.db2.gz LTOWDWPFAVFYIE-AWEZNQCLSA-N 0 0 280.324 2.975 20 5 CFBDRN CSCC1CCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000896210729 619694693 /nfs/dbraw/zinc/69/46/93/619694693.db2.gz PMGJGDFFGZNSKM-UHFFFAOYSA-N 0 0 285.344 2.708 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)CCS1 ZINC000896244097 619699548 /nfs/dbraw/zinc/69/95/48/619699548.db2.gz FIHBUWUHFLEKGT-IUCAKERBSA-N 0 0 253.327 2.686 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccnc(F)c2[N+](=O)[O-])CCS1 ZINC000896245095 619699780 /nfs/dbraw/zinc/69/97/80/619699780.db2.gz DRQDAVIKBAJHOM-JGVFFNPUSA-N 0 0 271.317 2.825 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)CCS1 ZINC000896244931 619699947 /nfs/dbraw/zinc/69/99/47/619699947.db2.gz KKVLSCJYBQPECS-DTWKUNHWSA-N 0 0 253.327 2.686 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC(F)(C2CC2)CC1 ZINC000896237029 619701951 /nfs/dbraw/zinc/70/19/51/619701951.db2.gz MXWSRIORNLBUCM-UHFFFAOYSA-N 0 0 265.288 2.708 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCSC3(CCC3)C2)nc1 ZINC000896254568 619703582 /nfs/dbraw/zinc/70/35/82/619703582.db2.gz RRNBZEKIOAUPTM-SECBINFHSA-N 0 0 280.353 2.615 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc3nonc3c2[N+](=O)[O-])CCS1 ZINC000896248927 619704592 /nfs/dbraw/zinc/70/45/92/619704592.db2.gz XMVQAPSAMBNAOA-YUMQZZPRSA-N 0 0 294.336 2.827 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000896249397 619705182 /nfs/dbraw/zinc/70/51/82/619705182.db2.gz ZPQSSNLROIBDQP-VHSXEESVSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1ccnc(N[C@H]2CCS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000896249396 619705337 /nfs/dbraw/zinc/70/53/37/619705337.db2.gz ZPQSSNLROIBDQP-UWVGGRQHSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](c2cnn(C)c2)C(C)C)n1 ZINC000896426164 619735638 /nfs/dbraw/zinc/73/56/38/619735638.db2.gz IONGJIRMVKNUMO-ZDUSSCGKSA-N 0 0 289.339 2.841 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cccc3c2OCC3)n1 ZINC000896487789 619744295 /nfs/dbraw/zinc/74/42/95/619744295.db2.gz CYSQSMLFBMEIAD-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN Cn1nc(CNc2cccc(F)c2[N+](=O)[O-])cc1C1CC1 ZINC000896530630 619753996 /nfs/dbraw/zinc/75/39/96/619753996.db2.gz GDKFTPQQEDMYLD-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN Cn1nc(CNc2ccc([N+](=O)[O-])s2)cc1C1CC1 ZINC000896532326 619756859 /nfs/dbraw/zinc/75/68/59/619756859.db2.gz UOCYLFGKTSRHDZ-UHFFFAOYSA-N 0 0 278.337 2.879 20 5 CFBDRN O=C(OC[C@@H]1CCCCO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000070668764 625371054 /nfs/dbraw/zinc/37/10/54/625371054.db2.gz UCZXCSHKHJURFS-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN O=[N+]([O-])c1cnc(N(CCc2ccccc2)C2CC2)nc1 ZINC000896647448 619778975 /nfs/dbraw/zinc/77/89/75/619778975.db2.gz LIHAQNPMGXDBIT-UHFFFAOYSA-N 0 0 284.319 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H](CO)C2)c(C(F)(F)F)c1 ZINC000896691633 619792133 /nfs/dbraw/zinc/79/21/33/619792133.db2.gz XXSLSDRVXYKJDF-ZKCHVHJHSA-N 0 0 290.241 2.796 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cc1nc(C2CSC2)no1 ZINC000896735174 619799737 /nfs/dbraw/zinc/79/97/37/619799737.db2.gz GQBUKYPLTCAIQJ-UHFFFAOYSA-N 0 0 295.295 2.538 20 5 CFBDRN CSC1(c2noc(-c3cccc([N+](=O)[O-])c3)n2)CC1 ZINC000896792629 619819609 /nfs/dbraw/zinc/81/96/09/619819609.db2.gz YOCHJIPTBYMQOB-UHFFFAOYSA-N 0 0 277.305 2.997 20 5 CFBDRN Cc1cncc(NC[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000896793477 619820016 /nfs/dbraw/zinc/82/00/16/619820016.db2.gz KKWVSCKNPQKVBY-JTQLQIEISA-N 0 0 272.308 2.909 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC[C@H]1CCCC[C@H]1O ZINC000896817302 619829672 /nfs/dbraw/zinc/82/96/72/619829672.db2.gz TZTANAJJYFETIP-BXUZGUMPSA-N 0 0 264.325 2.948 20 5 CFBDRN C[C@]12CN(c3ccc(N)cc3[N+](=O)[O-])C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000896899773 619871585 /nfs/dbraw/zinc/87/15/85/619871585.db2.gz ZCFTWGLLBBRUGP-NGOBNIPXSA-N 0 0 285.347 2.825 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(C(F)F)C2)c1C ZINC000897014565 619913175 /nfs/dbraw/zinc/91/31/75/619913175.db2.gz MKMSXSHDKXNDPR-UHFFFAOYSA-N 0 0 284.262 2.549 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC2CC3(C2)CO[C@H](C)C3)c1 ZINC000897045770 619918605 /nfs/dbraw/zinc/91/86/05/619918605.db2.gz OULDHSKNCLBJAR-RWWNRMGGSA-N 0 0 292.335 2.973 20 5 CFBDRN COc1cccc(NC2CC3(C2)CO[C@H](C)C3)c1[N+](=O)[O-] ZINC000897054125 619921145 /nfs/dbraw/zinc/92/11/45/619921145.db2.gz YJZQWLADYIEFGA-RWWNRMGGSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@@H](CNC(=O)N1CC=CCC1)c1ccccc1[N+](=O)[O-] ZINC000897060977 619922181 /nfs/dbraw/zinc/92/21/81/619922181.db2.gz DVNGFKMVSXMXPW-LBPRGKRZSA-N 0 0 289.335 2.670 20 5 CFBDRN C[C@@H](NC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000897062087 619923697 /nfs/dbraw/zinc/92/36/97/619923697.db2.gz PBNNGPAHLWZBEX-GHMZBOCLSA-N 0 0 291.351 2.796 20 5 CFBDRN CC1(C)C[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])CCS1 ZINC000897063671 619923726 /nfs/dbraw/zinc/92/37/26/619923726.db2.gz PBMLQPRKAKHTDU-MRVPVSSYSA-N 0 0 283.353 2.782 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CC34CC(O)C4)sc2c1 ZINC000897093099 619929190 /nfs/dbraw/zinc/92/91/90/619929190.db2.gz JXNQQPQIIAXVEP-SAVVLTDYSA-N 0 0 291.332 2.530 20 5 CFBDRN COc1c(C(=O)OC[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000796767324 619933713 /nfs/dbraw/zinc/93/37/13/619933713.db2.gz DMYMVCIJTYRHLQ-VIFPVBQESA-N 0 0 297.332 2.513 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ncc([N+](=O)[O-])c2ccccc21 ZINC000897140573 619938270 /nfs/dbraw/zinc/93/82/70/619938270.db2.gz YVJNYTQMNOFYCF-OCCSQVGLSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000897148935 619940095 /nfs/dbraw/zinc/94/00/95/619940095.db2.gz LNCWPCCUQWMJOD-NWDGAFQWSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1nccc2c1cccc2[N+](=O)[O-] ZINC000897144048 619940590 /nfs/dbraw/zinc/94/05/90/619940590.db2.gz HHOLDXAZTGHYBG-ZIAGYGMSSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000897143520 619940605 /nfs/dbraw/zinc/94/06/05/619940605.db2.gz CODPKGYYFNSZEI-PWSUYJOCSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000897147191 619941248 /nfs/dbraw/zinc/94/12/48/619941248.db2.gz HVOXETVEHYBRPM-CHWSQXEVSA-N 0 0 250.298 2.517 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897177009 619944889 /nfs/dbraw/zinc/94/48/89/619944889.db2.gz IMHKZVXGZHFXJL-QXFUBDJGSA-N 0 0 251.261 2.729 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2C[C@H]3CCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000897181833 619945936 /nfs/dbraw/zinc/94/59/36/619945936.db2.gz MNMHDGHHFKLGIR-SUZMYJTESA-N 0 0 289.335 2.555 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2C[C@@H]3CCC[C@H]23)c([N+](=O)[O-])c1 ZINC000897181835 619946073 /nfs/dbraw/zinc/94/60/73/619946073.db2.gz MNMHDGHHFKLGIR-XHVZSJERSA-N 0 0 289.335 2.555 20 5 CFBDRN CCOc1cccc(NC2CC(CCO)C2)c1[N+](=O)[O-] ZINC000897233856 619955565 /nfs/dbraw/zinc/95/55/65/619955565.db2.gz HIEWYKUHDFZLEI-UHFFFAOYSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCCC(C)(C)O1 ZINC000897253779 619960304 /nfs/dbraw/zinc/96/03/04/619960304.db2.gz HGTFNTKPUDFPNI-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@]12C[C@H]1COC21CCC1 ZINC000897260674 619961545 /nfs/dbraw/zinc/96/15/45/619961545.db2.gz KCKCIRPPKQUFFN-TVQRCGJNSA-N 0 0 293.298 2.500 20 5 CFBDRN CS[C@@H](C)[C@@H](C)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000797201017 619977193 /nfs/dbraw/zinc/97/71/93/619977193.db2.gz RTCAYBKZWPHEHU-BDAKNGLRSA-N 0 0 269.322 2.892 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCC(C)(F)F)c1 ZINC000797227655 619985829 /nfs/dbraw/zinc/98/58/29/619985829.db2.gz HJBNISNNNMLXPM-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCOC12CCCC2 ZINC000897322916 619992907 /nfs/dbraw/zinc/99/29/07/619992907.db2.gz SJYCCKIBBSHUBO-LLVKDONJSA-N 0 0 294.355 2.633 20 5 CFBDRN Cc1cc(CNc2ccc(F)c([N+](=O)[O-])c2)no1 ZINC000022250650 620010592 /nfs/dbraw/zinc/01/05/92/620010592.db2.gz JTLVJCKJMXBWMR-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN C[C@H](O)CCCOc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871694231 620054048 /nfs/dbraw/zinc/05/40/48/620054048.db2.gz IAZHYMHOFTVLIU-ZETCQYMHSA-N 0 0 277.679 2.927 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000928937370 620086793 /nfs/dbraw/zinc/08/67/93/620086793.db2.gz MFPUVJUTKGVXTC-BQYQJAHWSA-N 0 0 298.726 2.621 20 5 CFBDRN Cc1cc(C(=O)NC[C@@]2(C)CCCCO2)ccc1[N+](=O)[O-] ZINC000832519325 625397641 /nfs/dbraw/zinc/39/76/41/625397641.db2.gz IVSGGDBGMFQKGN-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN CC(C)C[C@H](C[NH2+]Cc1ccc([N+](=O)[O-])cc1F)C(=O)[O-] ZINC000263479344 620148776 /nfs/dbraw/zinc/14/87/76/620148776.db2.gz KHMFWGLFULVTQU-LLVKDONJSA-N 0 0 298.314 2.570 20 5 CFBDRN CC(C)NC(=O)c1ccc(NC/C=C/Cl)c([N+](=O)[O-])c1 ZINC000897507281 620196874 /nfs/dbraw/zinc/19/68/74/620196874.db2.gz AFPDIEHEBIJRAD-ZZXKWVIFSA-N 0 0 297.742 2.897 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCOC1CCSCC1 ZINC000897514674 620200442 /nfs/dbraw/zinc/20/04/42/620200442.db2.gz WMIFATBVZKBXEN-UHFFFAOYSA-N 0 0 288.394 2.980 20 5 CFBDRN CC1(C)CCC[C@@H](C(=O)OCCn2cc([N+](=O)[O-])cn2)C1 ZINC000179291521 620219156 /nfs/dbraw/zinc/21/91/56/620219156.db2.gz MDDXLKUGJDMTCS-LLVKDONJSA-N 0 0 295.339 2.551 20 5 CFBDRN CCc1oc2ccccc2c1CN(C)CC[N+](=O)[O-] ZINC000929096698 620232379 /nfs/dbraw/zinc/23/23/79/620232379.db2.gz IBHWQCVFWPSSAD-UHFFFAOYSA-N 0 0 262.309 2.704 20 5 CFBDRN CC[C@H](C)NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929103227 620235185 /nfs/dbraw/zinc/23/51/85/620235185.db2.gz CNJPDVNXHVOKNU-VIFPVBQESA-N 0 0 268.288 2.581 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929103307 620235497 /nfs/dbraw/zinc/23/54/97/620235497.db2.gz DZQCRQIKECGNNT-BIMULSAOSA-N 0 0 262.309 2.613 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCC1CC1 ZINC000785937189 620238419 /nfs/dbraw/zinc/23/84/19/620238419.db2.gz RMUVVWSQNYKGMN-UHFFFAOYSA-N 0 0 269.684 2.744 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000929117082 620240644 /nfs/dbraw/zinc/24/06/44/620240644.db2.gz ZPSNCNFPRUJREK-TVYUQYBPSA-N 0 0 275.308 2.905 20 5 CFBDRN CC(C)n1cc([C@@H](C)NCc2ccccc2[N+](=O)[O-])nn1 ZINC000925310584 620242996 /nfs/dbraw/zinc/24/29/96/620242996.db2.gz NHVICUUYSILBIV-LLVKDONJSA-N 0 0 289.339 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]([C@H]3CCOC3)C2)nc1 ZINC000897564578 620246838 /nfs/dbraw/zinc/24/68/38/620246838.db2.gz QMGGJZXXXXCLNW-OLZOCXBDSA-N 0 0 291.351 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)c1C ZINC000897594084 620254598 /nfs/dbraw/zinc/25/45/98/620254598.db2.gz NBWWTXDJFSIRHE-YABSGUDNSA-N 0 0 274.320 2.740 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cn1 ZINC000897597552 620254667 /nfs/dbraw/zinc/25/46/67/620254667.db2.gz NXZIMXQBKOLOCZ-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1ccc(C(=O)N2CCC=C(Cl)C2)cc1[N+](=O)[O-] ZINC000898186178 620349325 /nfs/dbraw/zinc/34/93/25/620349325.db2.gz LRRNEMMKASMALI-UHFFFAOYSA-N 0 0 280.711 2.872 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC=C(Cl)C1 ZINC000898190411 620350107 /nfs/dbraw/zinc/35/01/07/620350107.db2.gz XJUUYCHJHXZSGV-UHFFFAOYSA-N 0 0 266.684 2.563 20 5 CFBDRN Cc1c(C(=O)N2CCC=C(Cl)C2)cccc1[N+](=O)[O-] ZINC000898189937 620350123 /nfs/dbraw/zinc/35/01/23/620350123.db2.gz IGQQZKYGXWMMAA-UHFFFAOYSA-N 0 0 280.711 2.872 20 5 CFBDRN CC(C)c1ccc(CN2CC[C@H](O)[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000898194843 620351800 /nfs/dbraw/zinc/35/18/00/620351800.db2.gz PVAIEXZUZLUENU-ZFWWWQNUSA-N 0 0 296.342 2.623 20 5 CFBDRN Cc1sc(C(=O)Nc2ccnn2C2CC2)cc1[N+](=O)[O-] ZINC000889692294 620374590 /nfs/dbraw/zinc/37/45/90/620374590.db2.gz OGQBVSZBOYUDBO-UHFFFAOYSA-N 0 0 292.320 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3CSC[C@@H]3C2)s1 ZINC000866204764 620382749 /nfs/dbraw/zinc/38/27/49/620382749.db2.gz ZNGIICVGELHHMF-BDAKNGLRSA-N 0 0 270.379 2.846 20 5 CFBDRN Cc1cc(OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc(C)c1Cl ZINC000841054977 620421835 /nfs/dbraw/zinc/42/18/35/620421835.db2.gz JHDMSPRPUMVKRE-NXEZZACHSA-N 0 0 269.684 2.527 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ccnc(F)c1 ZINC000041039299 620427008 /nfs/dbraw/zinc/42/70/08/620427008.db2.gz BVJNRUTXVYSSMP-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000841067539 620452182 /nfs/dbraw/zinc/45/21/82/620452182.db2.gz BUHQKXOCFRMUQS-ZDUSSCGKSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC3(CC3(F)F)C2)c1C ZINC000898431766 620463242 /nfs/dbraw/zinc/46/32/42/620463242.db2.gz SSHLVQJHGUUGFK-UHFFFAOYSA-N 0 0 296.273 2.693 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)NC/C=C\Cl ZINC000899044626 620516297 /nfs/dbraw/zinc/51/62/97/620516297.db2.gz ZSVWMCANCYFZNP-YWEYNIOJSA-N 0 0 297.742 2.531 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2cccnc2C)c1C ZINC000899137516 620525176 /nfs/dbraw/zinc/52/51/76/620525176.db2.gz HMGVGMUIIMLFQA-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC(=O)c1ccc(Cl)c(Cl)c1OCC[N+](=O)[O-] ZINC000899231335 620533741 /nfs/dbraw/zinc/53/37/41/620533741.db2.gz QMVPTFZWMIQRAD-UHFFFAOYSA-N 0 0 278.091 2.852 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2F)C(=O)[C@@H]1C(C)C ZINC000899351513 620550724 /nfs/dbraw/zinc/55/07/24/620550724.db2.gz MNGZXMZDYAVVMQ-GFCCVEGCSA-N 0 0 279.271 2.729 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000899418620 620562286 /nfs/dbraw/zinc/56/22/86/620562286.db2.gz XOYBBHMQYMSSQB-PNZRWIHMSA-N 0 0 292.310 2.756 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)c1 ZINC000899416831 620566975 /nfs/dbraw/zinc/56/69/75/620566975.db2.gz SIGWTYZFOIPGBC-XQHKEYJVSA-N 0 0 288.347 2.925 20 5 CFBDRN CCCCNC(=S)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621082 620586816 /nfs/dbraw/zinc/58/68/16/620586816.db2.gz CJYGVXAPQGOZCH-LLVKDONJSA-N 0 0 295.408 2.963 20 5 CFBDRN CC(C)CNC(=S)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621176 620586863 /nfs/dbraw/zinc/58/68/63/620586863.db2.gz HNGDJWRTXULIRX-LLVKDONJSA-N 0 0 295.408 2.818 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Cn1cc(C2CCC2)nn1 ZINC000899727922 620594591 /nfs/dbraw/zinc/59/45/91/620594591.db2.gz MEKJWDPHIWJCBT-UHFFFAOYSA-N 0 0 288.307 2.511 20 5 CFBDRN C[C@H](CCc1ccccc1[N+](=O)[O-])[NH2+][C@H](C)[C@@H](C)C(=O)[O-] ZINC000901448932 620823988 /nfs/dbraw/zinc/82/39/88/620823988.db2.gz ONONFWMRJIEWSP-IJLUTSLNSA-N 0 0 294.351 2.615 20 5 CFBDRN COC/C(C)=C\COc1ccc([N+](=O)[O-])cc1OC ZINC000901481010 620827302 /nfs/dbraw/zinc/82/73/02/620827302.db2.gz LGEPYBBWCALJRD-POHAHGRESA-N 0 0 267.281 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[NH+]1CCC(C(=O)[O-])CC1 ZINC000901480087 620827321 /nfs/dbraw/zinc/82/73/21/620827321.db2.gz HASWWVXUYKOZBH-UHFFFAOYSA-N 0 0 292.335 2.508 20 5 CFBDRN Cc1cn(C)nc1COc1ccc(C)c([N+](=O)[O-])c1 ZINC000901526719 620845582 /nfs/dbraw/zinc/84/55/82/620845582.db2.gz DDBHKJUPLRJGJL-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN CCn1cc(COc2cc(C)c([N+](=O)[O-])cc2Cl)nn1 ZINC000901546642 620860958 /nfs/dbraw/zinc/86/09/58/620860958.db2.gz FIRVKCHLLGEESS-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CCCC2(CCC2)O1 ZINC000901549205 620861277 /nfs/dbraw/zinc/86/12/77/620861277.db2.gz PUXLSGIUBQZXMX-LBPRGKRZSA-N 0 0 292.335 2.557 20 5 CFBDRN COC/C(C)=C\COc1c(Cl)cncc1[N+](=O)[O-] ZINC000901549937 620862136 /nfs/dbraw/zinc/86/21/36/620862136.db2.gz QSEMXRJJZXAZEU-BAQGIRSFSA-N 0 0 272.688 2.615 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CSC2COC2)c(F)c1 ZINC000901635570 620876253 /nfs/dbraw/zinc/87/62/53/620876253.db2.gz ZVDBGFBOLXIVTI-UHFFFAOYSA-N 0 0 261.249 2.505 20 5 CFBDRN C[C@H](O)CCOc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000901656476 620883750 /nfs/dbraw/zinc/88/37/50/620883750.db2.gz YHEZWPICJVPHEB-ZETCQYMHSA-N 0 0 279.214 2.763 20 5 CFBDRN Cc1nnc(CCSCc2cccc([N+](=O)[O-])c2)o1 ZINC000901731967 620903609 /nfs/dbraw/zinc/90/36/09/620903609.db2.gz CYOLTUBXUNGBOJ-UHFFFAOYSA-N 0 0 279.321 2.762 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC[C@@H]1C[C@]12CCOC2 ZINC000902049193 620964033 /nfs/dbraw/zinc/96/40/33/620964033.db2.gz OLNQTFZPDHJUMA-HZMBPMFUSA-N 0 0 280.299 2.881 20 5 CFBDRN C[C@@H]([NH2+]CCC(C)(C)C(=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000902149134 620987425 /nfs/dbraw/zinc/98/74/25/620987425.db2.gz IWHWDWRHOCAPAT-SNVBAGLBSA-N 0 0 280.324 2.746 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1c2cc([N+](=O)[O-])ccc2oc1=O ZINC000902190294 620994800 /nfs/dbraw/zinc/99/48/00/620994800.db2.gz HCEWGFCSWFKJMT-BDAKNGLRSA-N 0 0 262.265 2.549 20 5 CFBDRN Cc1nc(SCc2cccnc2[N+](=O)[O-])oc1C ZINC000902200014 620996598 /nfs/dbraw/zinc/99/65/98/620996598.db2.gz GGGYEGFIIGAAQX-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN CCc1ncc(NCc2cccc([N+](=O)[O-])c2C)cn1 ZINC000902200528 620997032 /nfs/dbraw/zinc/99/70/32/620997032.db2.gz FPSCFOVSJATGNO-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@]23CCOC3)cc1F ZINC000902227591 621001914 /nfs/dbraw/zinc/00/19/14/621001914.db2.gz SYPVNYIPXQBLRB-ZANVPECISA-N 0 0 267.256 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(C[C@H]3C[C@]34CCOC4)c2)cc1 ZINC000902236970 621004270 /nfs/dbraw/zinc/00/42/70/621004270.db2.gz ARLOXKROQIZFFI-ZBFHGGJFSA-N 0 0 299.330 2.885 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CC[C@@H]1C[C@H]1C1CC1 ZINC000902265014 621017799 /nfs/dbraw/zinc/01/77/99/621017799.db2.gz UXYBCZHNPHGJRL-KOLCDFICSA-N 0 0 282.727 2.846 20 5 CFBDRN CCn1cnc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000902302344 621026929 /nfs/dbraw/zinc/02/69/29/621026929.db2.gz SJHUQIQTUBJOOZ-LBPRGKRZSA-N 0 0 288.351 2.970 20 5 CFBDRN Cc1c(OC(=O)c2cnc(C3CC3)o2)cccc1[N+](=O)[O-] ZINC000888298614 625462030 /nfs/dbraw/zinc/46/20/30/625462030.db2.gz QYTDSKRCZMDZMC-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN Cn1cc(CSCc2coc(C3CC3)n2)c([N+](=O)[O-])n1 ZINC000902433927 621078698 /nfs/dbraw/zinc/07/86/98/621078698.db2.gz CVKDEVGAJJYKKQ-UHFFFAOYSA-N 0 0 294.336 2.627 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSCc1cc2n(n1)CCC2 ZINC000902414369 621084474 /nfs/dbraw/zinc/08/44/74/621084474.db2.gz UHLFZDWKQUWHFY-UHFFFAOYSA-N 0 0 290.348 2.566 20 5 CFBDRN O=[N+]([O-])c1ncccc1Cn1cc(C2CCC2)cn1 ZINC000902489284 621113260 /nfs/dbraw/zinc/11/32/60/621113260.db2.gz NERPJHJDFXDTIE-UHFFFAOYSA-N 0 0 258.281 2.502 20 5 CFBDRN CCc1c(C)on(Cc2c(F)cc([N+](=O)[O-])cc2F)c1=O ZINC000902578783 621129362 /nfs/dbraw/zinc/12/93/62/621129362.db2.gz BQZDAOKLDLYDKW-UHFFFAOYSA-N 0 0 298.245 2.547 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1COCc1cn[nH]c1 ZINC000902588944 621132252 /nfs/dbraw/zinc/13/22/52/621132252.db2.gz WCLVJVWGQMSMKC-UHFFFAOYSA-N 0 0 291.307 2.822 20 5 CFBDRN CC[C@@H](COc1c(F)cc(F)cc1[N+](=O)[O-])OC ZINC000902697419 621149612 /nfs/dbraw/zinc/14/96/12/621149612.db2.gz YIGVALUGMQSZJA-QMMMGPOBSA-N 0 0 261.224 2.677 20 5 CFBDRN Cc1noc([C@H](C)OC(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000042651317 621223370 /nfs/dbraw/zinc/22/33/70/621223370.db2.gz PVUBBZAHYYGZIQ-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN COc1cc([C@@H](C)NCc2nccs2)cc([N+](=O)[O-])c1 ZINC000903073831 621251701 /nfs/dbraw/zinc/25/17/01/621251701.db2.gz LGGIXLFYIKUXKM-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN C[C@H](c1nc(-c2ccc([N+](=O)[O-])s2)no1)[C@@H]1CCCO1 ZINC000903669484 621413486 /nfs/dbraw/zinc/41/34/86/621413486.db2.gz DJKWDZRMMWMXQH-YUMQZZPRSA-N 0 0 295.320 2.989 20 5 CFBDRN Cc1nc(NC(=O)CCC2(C)CC2)ccc1[N+](=O)[O-] ZINC000903817784 621443784 /nfs/dbraw/zinc/44/37/84/621443784.db2.gz ILSYENUWEZJCQR-UHFFFAOYSA-N 0 0 263.297 2.817 20 5 CFBDRN CC(C)[N@@H+](Cc1ccc([N+](=O)[O-])cc1)C[C@@H]1C[C@H]1C(=O)[O-] ZINC000903887977 621459970 /nfs/dbraw/zinc/45/99/70/621459970.db2.gz LGKKOHCIHAJCNH-GXTWGEPZSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nc([C@@H](C)C(C)(C)C)no1 ZINC000904011416 621506505 /nfs/dbraw/zinc/50/65/05/621506505.db2.gz IMADQVSGPRQUMO-MRVPVSSYSA-N 0 0 293.327 2.681 20 5 CFBDRN CCO[C@H](c1noc(-c2ccc([N+](=O)[O-])n2C)n1)C(C)C ZINC000904120303 621527283 /nfs/dbraw/zinc/52/72/83/621527283.db2.gz WJOPFEDBGQEKLN-NSHDSACASA-N 0 0 294.311 2.717 20 5 CFBDRN CCCCN(O)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000904188924 621545527 /nfs/dbraw/zinc/54/55/27/621545527.db2.gz SQJNIKPBWAWJAR-JTQLQIEISA-N 0 0 281.312 2.857 20 5 CFBDRN CN1CCNc2cc(-c3cc([N+](=O)[O-])ccc3CO)ccc21 ZINC000904796310 621649497 /nfs/dbraw/zinc/64/94/97/621649497.db2.gz IKOUOFYWKPMSRU-UHFFFAOYSA-N 0 0 299.330 2.616 20 5 CFBDRN CCO[C@H]1[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2C)[C@@H]1C ZINC000905032228 621683752 /nfs/dbraw/zinc/68/37/52/621683752.db2.gz BGTUMPSTCBCPDN-ZWKOPEQDSA-N 0 0 278.308 2.513 20 5 CFBDRN CCCNC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000905082208 621691465 /nfs/dbraw/zinc/69/14/65/621691465.db2.gz UWLYYCNQULPPAK-UHFFFAOYSA-N 0 0 270.716 2.707 20 5 CFBDRN COc1ccccc1[C@H](NCC[N+](=O)[O-])c1ccccc1 ZINC000905101362 621699539 /nfs/dbraw/zinc/69/95/39/621699539.db2.gz KBHFDJSKHSZPIB-MRXNPFEDSA-N 0 0 286.331 2.651 20 5 CFBDRN CCCOc1ccc(NCC[N+](=O)[O-])cc1OCCC ZINC000905299019 621744999 /nfs/dbraw/zinc/74/49/99/621744999.db2.gz CFPBAHMWTOAEOB-UHFFFAOYSA-N 0 0 282.340 2.953 20 5 CFBDRN O=[N+]([O-])CCNc1cccc(OCCc2ccccc2)c1 ZINC000905308020 621747023 /nfs/dbraw/zinc/74/70/23/621747023.db2.gz KLWFVMQEAKNRFU-UHFFFAOYSA-N 0 0 286.331 2.997 20 5 CFBDRN O=[N+]([O-])CCNc1cccc(CCC(F)(F)F)c1 ZINC000905330905 621749182 /nfs/dbraw/zinc/74/91/82/621749182.db2.gz UDMFQUGNNAYSSZ-UHFFFAOYSA-N 0 0 262.231 2.870 20 5 CFBDRN CN(C(=O)OC(C)(C)C)c1ccc(NCC[N+](=O)[O-])cc1 ZINC000905387833 621753168 /nfs/dbraw/zinc/75/31/68/621753168.db2.gz YSEPPCQVDNUJNH-UHFFFAOYSA-N 0 0 295.339 2.747 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2COc3ccccc3C2)nc1 ZINC000026758520 621776156 /nfs/dbraw/zinc/77/61/56/621776156.db2.gz ZDBDTHGJKLCWPB-LLVKDONJSA-N 0 0 285.303 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N2CC[C@H](CF)C2)cc1 ZINC000905524912 621790249 /nfs/dbraw/zinc/79/02/49/621790249.db2.gz HYYOQAOYAZEKKO-SECBINFHSA-N 0 0 283.328 2.583 20 5 CFBDRN CC(C)(C)[C@H](CC(=O)[O-])[NH2+]Cc1csc([N+](=O)[O-])c1 ZINC000905790263 621862845 /nfs/dbraw/zinc/86/28/45/621862845.db2.gz PSQXHLTZVQRNNO-VIFPVBQESA-N 0 0 286.353 2.635 20 5 CFBDRN CC[C@@](O)(CSc1ccc([N+](=O)[O-])cn1)C(F)(F)F ZINC000905942189 621904809 /nfs/dbraw/zinc/90/48/09/621904809.db2.gz AOTBVTHYYXXMAO-SECBINFHSA-N 0 0 296.270 2.785 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)S(=O)(=O)C/C=C/Cl ZINC000906056490 621923453 /nfs/dbraw/zinc/92/34/53/621923453.db2.gz YXFRHYNYYGYWJN-BSPAPZMXSA-N 0 0 289.740 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnc([C@H]3CCCC[NH2+]3)nc2)cc1 ZINC000906171028 621943194 /nfs/dbraw/zinc/94/31/94/621943194.db2.gz CNGZBZSIPDZFEI-CQSZACIVSA-N 0 0 284.319 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC(C)(C)/C=C/Cl ZINC000906288220 621969677 /nfs/dbraw/zinc/96/96/77/621969677.db2.gz AAHCTXBCHDNTQJ-VOTSOKGWSA-N 0 0 298.726 2.864 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000906318180 621971389 /nfs/dbraw/zinc/97/13/89/621971389.db2.gz VKZZDBSIQXMCCL-COPLHBTASA-N 0 0 292.335 2.587 20 5 CFBDRN CC(C)(C)[S@@](C)(=O)=NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000906309768 621971939 /nfs/dbraw/zinc/97/19/39/621971939.db2.gz JCWUHRYVMWYVJF-HXUWFJFHSA-N 0 0 298.364 2.560 20 5 CFBDRN O=C(Nc1nccnc1C1CC1)c1ccc([N+](=O)[O-])s1 ZINC000906410467 621983910 /nfs/dbraw/zinc/98/39/10/621983910.db2.gz GVXOUZHSUWZNJB-UHFFFAOYSA-N 0 0 290.304 2.576 20 5 CFBDRN CC(C)N(C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1CC1 ZINC000906551629 622003990 /nfs/dbraw/zinc/00/39/90/622003990.db2.gz BKLCTHUPVAOHPI-UHFFFAOYSA-N 0 0 297.742 2.843 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000907228341 622101162 /nfs/dbraw/zinc/10/11/62/622101162.db2.gz ZHYIQCJYKCUGRP-SNVBAGLBSA-N 0 0 297.742 2.701 20 5 CFBDRN C[C@H](CNC(=O)OC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000907456639 622130172 /nfs/dbraw/zinc/13/01/72/622130172.db2.gz LDSLKMFUHZPTPK-SNVBAGLBSA-N 0 0 278.308 2.977 20 5 CFBDRN C/C(Cl)=C/C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000907694920 622153451 /nfs/dbraw/zinc/15/34/51/622153451.db2.gz IUBKUTQSFATJCZ-VURMDHGXSA-N 0 0 268.700 2.662 20 5 CFBDRN CC[C@H](O)[C@H](C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000908266874 622209730 /nfs/dbraw/zinc/20/97/30/622209730.db2.gz BGMDVWIFWGBEPL-JQWIXIFHSA-N 0 0 280.324 2.557 20 5 CFBDRN CCC/C=C/[C@@H](O)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000908269236 622210389 /nfs/dbraw/zinc/21/03/89/622210389.db2.gz SWUWDQIEKHOKFU-KTRBRXNASA-N 0 0 292.335 2.867 20 5 CFBDRN C/C(Cl)=C/C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000908511271 622241110 /nfs/dbraw/zinc/24/11/10/622241110.db2.gz AMYVSKFFLUSXFH-FUOZMLNRSA-N 0 0 268.700 2.915 20 5 CFBDRN CC/C=C\CNC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000908672734 622262470 /nfs/dbraw/zinc/26/24/70/622262470.db2.gz DEFMNMKBBLJBIQ-ARJAWSKDSA-N 0 0 283.715 2.526 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000908700509 622265256 /nfs/dbraw/zinc/26/52/56/622265256.db2.gz MVHSFOVLPVHGOI-IONNQARKSA-N 0 0 297.694 2.630 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000909017270 622300510 /nfs/dbraw/zinc/30/05/10/622300510.db2.gz DSDQKXPCCLSWOK-HQJQHLMTSA-N 0 0 297.694 2.630 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000909082264 622307539 /nfs/dbraw/zinc/30/75/39/622307539.db2.gz GAAHOGGUPJBXSI-UHFFFAOYSA-N 0 0 292.335 2.782 20 5 CFBDRN Cc1cc(C(=O)NCC2=CCCCC2)c(N)c([N+](=O)[O-])c1 ZINC000833343288 625560684 /nfs/dbraw/zinc/56/06/84/625560684.db2.gz JDUDUVFBAFKZJV-UHFFFAOYSA-N 0 0 289.335 2.716 20 5 CFBDRN CSCCOC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027147 622388019 /nfs/dbraw/zinc/38/80/19/622388019.db2.gz IGKZCXYZJKQLNK-RYUDHWBXSA-N 0 0 281.333 2.605 20 5 CFBDRN O=C(OCc1ccncc1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027343 622388022 /nfs/dbraw/zinc/38/80/22/622388022.db2.gz JWVUADPVASVEOH-CABCVRRESA-N 0 0 298.298 2.837 20 5 CFBDRN CSCCOC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027148 622388281 /nfs/dbraw/zinc/38/82/81/622388281.db2.gz IGKZCXYZJKQLNK-VXGBXAGGSA-N 0 0 281.333 2.605 20 5 CFBDRN CC(=O)CCCOC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028860 622388626 /nfs/dbraw/zinc/38/86/26/622388626.db2.gz VYEUAGGCRJXTJT-UONOGXRCSA-N 0 0 291.303 2.611 20 5 CFBDRN CC[C@H](C)CC(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475300 622522108 /nfs/dbraw/zinc/52/21/08/622522108.db2.gz UZCKLAFISNUFFV-QMMMGPOBSA-N 0 0 286.278 2.925 20 5 CFBDRN CC(C)(C)/C=C/C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819886463 622567141 /nfs/dbraw/zinc/56/71/41/622567141.db2.gz FGRSYCPGMXWKKZ-VOTSOKGWSA-N 0 0 263.297 2.718 20 5 CFBDRN Nc1ccc(NC(=O)c2ccc3cc[nH]c3c2)cc1[N+](=O)[O-] ZINC000819904844 622569399 /nfs/dbraw/zinc/56/93/99/622569399.db2.gz FNAGNANGDRCWNY-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN CCc1ccc(OC(=O)/C=C/[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000820080160 622608182 /nfs/dbraw/zinc/60/81/82/622608182.db2.gz VARDIVMXSFRWTJ-WMADIVHISA-N 0 0 291.303 2.798 20 5 CFBDRN CCc1ccc(OC(=O)/C=C/[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000820080159 622608736 /nfs/dbraw/zinc/60/87/36/622608736.db2.gz VARDIVMXSFRWTJ-WAFBPQNNSA-N 0 0 291.303 2.798 20 5 CFBDRN CO[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000820134794 622615937 /nfs/dbraw/zinc/61/59/37/622615937.db2.gz ZIWHKZIZWNSBAR-LBPRGKRZSA-N 0 0 299.710 2.830 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC000820474955 622683344 /nfs/dbraw/zinc/68/33/44/622683344.db2.gz IWMHTVKMKRHWRO-LBPRGKRZSA-N 0 0 299.710 2.830 20 5 CFBDRN CC/C=C(/C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000072008329 625587420 /nfs/dbraw/zinc/58/74/20/625587420.db2.gz AGHITGULQDRRSZ-WMZJFQQLSA-N 0 0 260.293 2.840 20 5 CFBDRN C=C=CCNc1c(Cl)cc([N+](=O)[O-])cc1C(=O)OC ZINC000820586834 622709164 /nfs/dbraw/zinc/70/91/64/622709164.db2.gz SRLVPBGATRNZLJ-UHFFFAOYSA-N 0 0 282.683 2.788 20 5 CFBDRN CN(Cc1cnn(C)c1)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000820606095 622714708 /nfs/dbraw/zinc/71/47/08/622714708.db2.gz YJVFQISTEVNQHP-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN CC1(C)CC(n2nnc(-c3ccccc3[N+](=O)[O-])n2)C1 ZINC000912453951 622719517 /nfs/dbraw/zinc/71/95/17/622719517.db2.gz JBKNXMYBYHIWPL-UHFFFAOYSA-N 0 0 273.296 2.609 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC=CC12CCCCC2 ZINC000912486706 622719819 /nfs/dbraw/zinc/71/98/19/622719819.db2.gz XZWIBEOPDCJJMR-UHFFFAOYSA-N 0 0 276.292 2.903 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CCSCC1 ZINC000820630085 622720553 /nfs/dbraw/zinc/72/05/53/622720553.db2.gz QWVMZUAXQWAGHB-UHFFFAOYSA-N 0 0 276.720 2.941 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCCO2)c([N+](=O)[O-])cc1C ZINC000820652148 622726416 /nfs/dbraw/zinc/72/64/16/622726416.db2.gz OANXSFBBRJPNFT-NSHDSACASA-N 0 0 280.324 2.527 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@@H]1C ZINC000820656647 622728440 /nfs/dbraw/zinc/72/84/40/622728440.db2.gz OHKFCCWOYDXJGZ-IUCAKERBSA-N 0 0 280.349 2.797 20 5 CFBDRN NC(=NOCc1ccc([N+](=O)[O-])c(F)c1)C1CCCC1 ZINC000820705922 622740659 /nfs/dbraw/zinc/74/06/59/622740659.db2.gz HUJOKDQHGYVRIW-UHFFFAOYSA-N 0 0 281.287 2.923 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1CCc2cccnc21 ZINC000820761396 622752191 /nfs/dbraw/zinc/75/21/91/622752191.db2.gz PLYZKFCHEZKWIF-CYBMUJFWSA-N 0 0 298.298 2.763 20 5 CFBDRN CC(F)(F)CCNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000820904903 622789265 /nfs/dbraw/zinc/78/92/65/622789265.db2.gz DAZCXNZGWXOSGB-VXGBXAGGSA-N 0 0 298.289 2.860 20 5 CFBDRN Cc1ncoc1-c1noc(-c2ccc([N+](=O)[O-])cc2C)n1 ZINC000820912082 622791163 /nfs/dbraw/zinc/79/11/63/622791163.db2.gz DHPSTSYZHYHNOV-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN C/C=C/CNC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000913165871 622843655 /nfs/dbraw/zinc/84/36/55/622843655.db2.gz CDSFVJYKLVKCCJ-NSCUHMNNSA-N 0 0 282.727 2.873 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])ccc2SC)CC1 ZINC000821105741 622848323 /nfs/dbraw/zinc/84/83/23/622848323.db2.gz RKSGDMOSSPLCFW-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN O=C(C=C1CCC1)OCCc1ccccc1[N+](=O)[O-] ZINC000151215280 622864788 /nfs/dbraw/zinc/86/47/88/622864788.db2.gz KBLSAVXIJNRCCS-UHFFFAOYSA-N 0 0 261.277 2.791 20 5 CFBDRN C[C@@H]1CCCN(C(=O)[C@@H]2CC2[N+](=O)[O-])c2ccccc21 ZINC000840418763 622865226 /nfs/dbraw/zinc/86/52/26/622865226.db2.gz POLIONGDKLSJCA-MPKXVKKWSA-N 0 0 274.320 2.582 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913385711 622891902 /nfs/dbraw/zinc/89/19/02/622891902.db2.gz BVPISRHAASYGKQ-SVRRBLITSA-N 0 0 286.690 2.660 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CCO[C@H](C)C1 ZINC000821496617 622933578 /nfs/dbraw/zinc/93/35/78/622933578.db2.gz FFNHNSOZBJWWDV-PWSUYJOCSA-N 0 0 292.335 2.509 20 5 CFBDRN CCOC[C@@H](C)[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000044690845 622939871 /nfs/dbraw/zinc/93/98/71/622939871.db2.gz NGTGOQYWTDJBMU-SECBINFHSA-N 0 0 272.732 2.763 20 5 CFBDRN CC(C)c1ccc(OC(=O)Cn2cc([N+](=O)[O-])cn2)cc1 ZINC000044669599 622939891 /nfs/dbraw/zinc/93/98/91/622939891.db2.gz JNKBDAQYWPHFII-UHFFFAOYSA-N 0 0 289.291 2.520 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)CC(C)(C)O)cccc1[N+](=O)[O-] ZINC000821592234 622951368 /nfs/dbraw/zinc/95/13/68/622951368.db2.gz XUWGWYIWHLJCEF-VIFPVBQESA-N 0 0 281.308 2.610 20 5 CFBDRN COc1c(C(=O)O[C@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000821832642 622987752 /nfs/dbraw/zinc/98/77/52/622987752.db2.gz GSISYMJFWHXCSD-NSHDSACASA-N 0 0 279.292 2.949 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H]1CCC1(C)C ZINC000821847043 622993019 /nfs/dbraw/zinc/99/30/19/622993019.db2.gz UJODVANYPSETDA-LBPRGKRZSA-N 0 0 279.292 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cn1cccnc1=S)CC2 ZINC000057101121 622995955 /nfs/dbraw/zinc/99/59/55/622995955.db2.gz UJGSEDZKGCQAOY-UHFFFAOYSA-N 0 0 288.332 2.541 20 5 CFBDRN Cc1cc(C(=O)OC[C@]2(C)C[C@@H]2F)ccc1[N+](=O)[O-] ZINC000822078522 623029638 /nfs/dbraw/zinc/02/96/38/623029638.db2.gz MFSXSIBGXGGFHW-AAEUAGOBSA-N 0 0 267.256 2.808 20 5 CFBDRN C[C@]1(COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000822080354 623030257 /nfs/dbraw/zinc/03/02/57/623030257.db2.gz UAMFRHZVSYYRRL-OWOXYTMYSA-N 0 0 279.267 2.899 20 5 CFBDRN CCOc1ccc(C(=O)OC[C@@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000822080620 623030677 /nfs/dbraw/zinc/03/06/77/623030677.db2.gz VCOQENCQUMLMKH-TZMCWYRMSA-N 0 0 297.282 2.898 20 5 CFBDRN Cc1c(C(=O)OC[C@@]2(C)C[C@@H]2F)cccc1[N+](=O)[O-] ZINC000822081287 623030941 /nfs/dbraw/zinc/03/09/41/623030941.db2.gz YCSDVPAYSZVYOQ-WCQYABFASA-N 0 0 267.256 2.808 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@]1(C)C[C@H]1F ZINC000822092699 623034352 /nfs/dbraw/zinc/03/43/52/623034352.db2.gz XTOZTOGVXBPSCW-YPMHNXCESA-N 0 0 283.255 2.508 20 5 CFBDRN C[C@@]1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@@H]1F ZINC000822109587 623038750 /nfs/dbraw/zinc/03/87/50/623038750.db2.gz IRAIDMDRBUWDJV-JQWIXIFHSA-N 0 0 271.219 2.639 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@]1(C)C[C@H]1F ZINC000822109353 623039073 /nfs/dbraw/zinc/03/90/73/623039073.db2.gz HTLASBBFZMEXOR-YPMHNXCESA-N 0 0 267.256 2.808 20 5 CFBDRN CCCCC[C@H](O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000822127604 623044531 /nfs/dbraw/zinc/04/45/31/623044531.db2.gz IFGPDFILXGYRRN-ZDUSSCGKSA-N 0 0 280.324 2.783 20 5 CFBDRN C[C@H](C(=O)OC[C@]1(C)C[C@@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000822136245 623046930 /nfs/dbraw/zinc/04/69/30/623046930.db2.gz BXUYWNICEPXQRH-WFBYXXMGSA-N 0 0 281.283 2.990 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@]1(C)C[C@@H]1F ZINC000822141053 623049331 /nfs/dbraw/zinc/04/93/31/623049331.db2.gz WRBPDNQWDZJDST-WCQYABFASA-N 0 0 283.255 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCc1ccncc1C ZINC000822169345 623054848 /nfs/dbraw/zinc/05/48/48/623054848.db2.gz AAIGPCTYEUBVQS-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)[C@@H](C)C1 ZINC000226217255 623062567 /nfs/dbraw/zinc/06/25/67/623062567.db2.gz ISNDOLBHGIFVPE-ISTVAULSSA-N 0 0 291.351 2.732 20 5 CFBDRN CCOc1cc(NC[C@H](O)CC(C)C)ccc1[N+](=O)[O-] ZINC000226570128 623082679 /nfs/dbraw/zinc/08/26/79/623082679.db2.gz RQIODFZHHWTKMM-GFCCVEGCSA-N 0 0 282.340 2.812 20 5 CFBDRN CC/C(C)=C/C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000822371864 623090765 /nfs/dbraw/zinc/09/07/65/623090765.db2.gz LYZWMSITBONBAC-CSKARUKUSA-N 0 0 260.293 2.840 20 5 CFBDRN CC(C)OCCOC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000168708182 623101227 /nfs/dbraw/zinc/10/12/27/623101227.db2.gz QDISSZZLXQWPFJ-UHFFFAOYSA-N 0 0 287.699 2.830 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000822443338 623104585 /nfs/dbraw/zinc/10/45/85/623104585.db2.gz SLFIZOBYOGFZOL-QWHCGFSZSA-N 0 0 294.326 2.827 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@H]1CCSC1 ZINC000822550839 623124126 /nfs/dbraw/zinc/12/41/26/623124126.db2.gz LHKCMCPKERMWGN-LLVKDONJSA-N 0 0 294.376 2.695 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1c(F)cccc1Cl ZINC000842315420 623133077 /nfs/dbraw/zinc/13/30/77/623133077.db2.gz FUMBJCBGLUGSBL-NYNCVSEMSA-N 0 0 287.674 2.749 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O)cc1 ZINC000060481738 623133679 /nfs/dbraw/zinc/13/36/79/623133679.db2.gz XZRAPJUDUNXZQQ-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CCCCC[C@H](O)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000822609167 623135320 /nfs/dbraw/zinc/13/53/20/623135320.db2.gz RRZWAIVCCNERPT-FZMZJTMJSA-N 0 0 294.351 2.713 20 5 CFBDRN CCCCC[C@@H](O)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000822609170 623135385 /nfs/dbraw/zinc/13/53/85/623135385.db2.gz RRZWAIVCCNERPT-SMDDNHRTSA-N 0 0 294.351 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCC2(O)CCCC2)c1 ZINC000087464163 623162916 /nfs/dbraw/zinc/16/29/16/623162916.db2.gz BKMAFRUIVXLFHA-UHFFFAOYSA-N 0 0 271.700 2.932 20 5 CFBDRN CC[C@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000060948257 623168546 /nfs/dbraw/zinc/16/85/46/623168546.db2.gz NEIJHZBMEIAGBW-JTQLQIEISA-N 0 0 251.282 2.727 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccoc1 ZINC000170719943 623177486 /nfs/dbraw/zinc/17/74/86/623177486.db2.gz PERBBICZSFPQEA-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000229356268 623199930 /nfs/dbraw/zinc/19/99/30/623199930.db2.gz UMTQXOFJIJHOSS-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000229505516 623208922 /nfs/dbraw/zinc/20/89/22/623208922.db2.gz UMPZCESYOHIQCG-GMTAPVOTSA-N 0 0 291.351 2.684 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2C)o1 ZINC000171329912 623217152 /nfs/dbraw/zinc/21/71/52/623217152.db2.gz YDEZNWXVSHRAIT-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2nc(Cl)ccc2Cl)cn1 ZINC000061638542 623225302 /nfs/dbraw/zinc/22/53/02/623225302.db2.gz CZHUQMUPUMIXQN-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)nc1 ZINC000171531206 623228406 /nfs/dbraw/zinc/22/84/06/623228406.db2.gz POSSBOOVONMJJA-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000823077461 623229490 /nfs/dbraw/zinc/22/94/90/623229490.db2.gz VNNCSVYMIVZIKY-LLVKDONJSA-N 0 0 294.351 2.709 20 5 CFBDRN O=C(O[C@@H]1CCOC1)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000171656875 623236011 /nfs/dbraw/zinc/23/60/11/623236011.db2.gz UFTLWRFZZRNLKP-SNVBAGLBSA-N 0 0 293.300 2.755 20 5 CFBDRN Cc1cccc2c1CC[C@H]2NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823128917 623241892 /nfs/dbraw/zinc/24/18/92/623241892.db2.gz LDVGDOYYLIMLOD-CQSZACIVSA-N 0 0 290.363 2.934 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000171932498 623249103 /nfs/dbraw/zinc/24/91/03/623249103.db2.gz HDQAQNAVVDZMOB-JTQLQIEISA-N 0 0 251.282 2.930 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2COc3ccccc32)c([N+](=O)[O-])c1 ZINC000172001301 623251516 /nfs/dbraw/zinc/25/15/16/623251516.db2.gz CWTIDYZYTBSPEE-LBPRGKRZSA-N 0 0 299.282 2.985 20 5 CFBDRN C[C@H]1OCC[C@]1(C)NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000230106153 623252568 /nfs/dbraw/zinc/25/25/68/623252568.db2.gz VTEUEXOEFQWHCX-OQPBUACISA-N 0 0 298.726 2.546 20 5 CFBDRN COCCCCCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000172086330 623260979 /nfs/dbraw/zinc/26/09/79/623260979.db2.gz INGYBQOUUOSLIF-UHFFFAOYSA-N 0 0 273.310 2.630 20 5 CFBDRN CCc1nc([C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])cs1 ZINC000823257025 623277120 /nfs/dbraw/zinc/27/71/20/623277120.db2.gz XVQOTVIPKGEKNK-SECBINFHSA-N 0 0 299.396 2.718 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823266671 623278952 /nfs/dbraw/zinc/27/89/52/623278952.db2.gz CJZOGGDMIWOGSB-ABAIWWIYSA-N 0 0 290.363 2.872 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N1CCCCC1 ZINC000172379919 623279044 /nfs/dbraw/zinc/27/90/44/623279044.db2.gz HPZCRDILUJLWKZ-UHFFFAOYSA-N 0 0 296.298 2.901 20 5 CFBDRN Cc1nn(C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000172756344 623305014 /nfs/dbraw/zinc/30/50/14/623305014.db2.gz YFKULTCSRWSMFJ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1ncc(COC(=O)Cc2cccc([N+](=O)[O-])c2)s1 ZINC000172858145 623314056 /nfs/dbraw/zinc/31/40/56/623314056.db2.gz MKXKKOAUCFCDES-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN O=C(NCCC(F)(F)F)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000063100699 623321664 /nfs/dbraw/zinc/32/16/64/623321664.db2.gz LXWDPCLRABVASQ-UHFFFAOYSA-N 0 0 296.632 2.930 20 5 CFBDRN O=C(NCCC(F)(F)F)c1cc([N+](=O)[O-])ccc1Cl ZINC000063100697 623321681 /nfs/dbraw/zinc/32/16/81/623321681.db2.gz MIJLTRVWXRCVOO-UHFFFAOYSA-N 0 0 296.632 2.930 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1C[C@H]1C1CC1 ZINC000823452815 623323421 /nfs/dbraw/zinc/32/34/21/623323421.db2.gz PNISYDLWBSRFRE-STQMWFEESA-N 0 0 288.347 2.988 20 5 CFBDRN Cc1nn(CN(C)CCc2cccs2)c(C)c1[N+](=O)[O-] ZINC000173404792 623347420 /nfs/dbraw/zinc/34/74/20/623347420.db2.gz XMNNTICWLOGOHA-UHFFFAOYSA-N 0 0 294.380 2.602 20 5 CFBDRN C[C@@H](C(=O)Oc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000173719900 623363440 /nfs/dbraw/zinc/36/34/40/623363440.db2.gz CCFICWOWLMJKSM-SNVBAGLBSA-N 0 0 272.260 2.699 20 5 CFBDRN CN(C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000823658939 623371738 /nfs/dbraw/zinc/37/17/38/623371738.db2.gz HOOYMBFFPZVYSQ-OLZOCXBDSA-N 0 0 276.336 2.955 20 5 CFBDRN CC[C@H](C)CCNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823920876 623419560 /nfs/dbraw/zinc/41/95/60/623419560.db2.gz GQUPKVPVKQGKNR-VIFPVBQESA-N 0 0 280.328 2.735 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCCC1 ZINC000823932544 623420416 /nfs/dbraw/zinc/42/04/16/623420416.db2.gz ALCJXKODKCQPQJ-SECBINFHSA-N 0 0 292.339 2.877 20 5 CFBDRN COCCCCCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000174514867 623425375 /nfs/dbraw/zinc/42/53/75/623425375.db2.gz QIJNWUORJXOCBU-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN COc1cc(C(=O)OCC2CCC2)ccc1[N+](=O)[O-] ZINC000174539545 623428815 /nfs/dbraw/zinc/42/88/15/623428815.db2.gz NWKJRIKANVEGBX-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823981559 623428979 /nfs/dbraw/zinc/42/89/79/623428979.db2.gz ZNXDIQAXUXICPR-AWEZNQCLSA-N 0 0 292.339 2.831 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823985958 623429102 /nfs/dbraw/zinc/42/91/02/623429102.db2.gz FRSMVKOVMJXAMY-SNVBAGLBSA-N 0 0 292.339 2.831 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823994580 623430145 /nfs/dbraw/zinc/43/01/45/623430145.db2.gz VITCHPJTGHQDDI-IUCAKERBSA-N 0 0 280.328 2.733 20 5 CFBDRN Nc1ccc(NC(=O)N2CCCCCC2)cc1[N+](=O)[O-] ZINC000824008347 623431539 /nfs/dbraw/zinc/43/15/39/623431539.db2.gz NLBWRYBPLLXDGY-UHFFFAOYSA-N 0 0 278.312 2.585 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OCc1ccsc1 ZINC000174628402 623443537 /nfs/dbraw/zinc/44/35/37/623443537.db2.gz QGUBAYRQYLYWCM-UHFFFAOYSA-N 0 0 293.300 2.779 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCC[C@@H](F)C1 ZINC000824260219 623479163 /nfs/dbraw/zinc/47/91/63/623479163.db2.gz UQYCIJKSRGBIIU-LLVKDONJSA-N 0 0 280.299 2.786 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2cncc(Cl)n2)c1 ZINC000758271711 623504921 /nfs/dbraw/zinc/50/49/21/623504921.db2.gz HGTBMWWQJBZCMK-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NC1CCC=CCC1 ZINC000925386914 623519385 /nfs/dbraw/zinc/51/93/85/623519385.db2.gz IIWKLZJUKZRIDS-UHFFFAOYSA-N 0 0 292.310 2.891 20 5 CFBDRN CCON(CC)C(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000913786436 623589030 /nfs/dbraw/zinc/58/90/30/623589030.db2.gz UYOIIQCTHAZLOA-UHFFFAOYSA-N 0 0 290.678 2.801 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC1CCCCCC1 ZINC000825304151 623666714 /nfs/dbraw/zinc/66/67/14/623666714.db2.gz ZWPMGKGIHGXTGF-UHFFFAOYSA-N 0 0 266.297 2.813 20 5 CFBDRN COC(C)(C)CCNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000825365549 623680811 /nfs/dbraw/zinc/68/08/11/623680811.db2.gz XRWQSTHWOXRMPC-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN C[C@H]1CCN1C(=O)c1c(Br)cccc1[N+](=O)[O-] ZINC000914152487 623714177 /nfs/dbraw/zinc/71/41/77/623714177.db2.gz CSQPCRHPNCYIFA-ZETCQYMHSA-N 0 0 299.124 2.592 20 5 CFBDRN Cc1ccc(CNC(=O)C2(C)CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000825634653 623727823 /nfs/dbraw/zinc/72/78/23/623727823.db2.gz TYGXFCBKOCMXJI-UHFFFAOYSA-N 0 0 298.289 2.955 20 5 CFBDRN CCC[C@H](OCC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825634969 623728608 /nfs/dbraw/zinc/72/86/08/623728608.db2.gz ZEGRKVDTFOHICQ-AWEZNQCLSA-N 0 0 294.351 2.725 20 5 CFBDRN Cc1ccc(CNC(=O)CCC(C)(F)F)cc1[N+](=O)[O-] ZINC000825655577 623732224 /nfs/dbraw/zinc/73/22/24/623732224.db2.gz RHONSUBHEDGHMS-UHFFFAOYSA-N 0 0 286.278 2.955 20 5 CFBDRN CC(C)(CCC(=O)NCC(C)(C)C(C)(F)F)[N+](=O)[O-] ZINC000825819605 623757620 /nfs/dbraw/zinc/75/76/20/623757620.db2.gz BJNPQLQQOBWOPG-UHFFFAOYSA-N 0 0 280.315 2.620 20 5 CFBDRN C[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1CCCC1 ZINC000825829430 623759012 /nfs/dbraw/zinc/75/90/12/623759012.db2.gz AGTBHUPDXMEALG-JTQLQIEISA-N 0 0 256.346 2.517 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000825898496 623768125 /nfs/dbraw/zinc/76/81/25/623768125.db2.gz BCEVVIVGEDOQGY-GFCCVEGCSA-N 0 0 270.373 2.861 20 5 CFBDRN CC(C)(CCC(=O)Nc1cc(C2CCCC2)[nH]n1)[N+](=O)[O-] ZINC000825915601 623769346 /nfs/dbraw/zinc/76/93/46/623769346.db2.gz VPRHEJHXTOZUOP-UHFFFAOYSA-N 0 0 294.355 2.841 20 5 CFBDRN C[C@@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])Cc1cccs1 ZINC000826086526 623791792 /nfs/dbraw/zinc/79/17/92/623791792.db2.gz WJYVVURJKVLWAM-LLVKDONJSA-N 0 0 298.408 2.878 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@H]1C1CCCCC1)[N+](=O)[O-] ZINC000826086217 623791974 /nfs/dbraw/zinc/79/19/74/623791974.db2.gz OWEVBAKEPVFELY-QWHCGFSZSA-N 0 0 282.384 2.907 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CC[C@H]2CCC[C@H]2C1)[N+](=O)[O-] ZINC000826113159 623794803 /nfs/dbraw/zinc/79/48/03/623794803.db2.gz QCZPCPSUDNGADO-FRRDWIJNSA-N 0 0 282.384 2.907 20 5 CFBDRN O=C(NOCC1CCC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000826627368 623825951 /nfs/dbraw/zinc/82/59/51/623825951.db2.gz HDUJNSKWGFIKQS-ZIAGYGMSSA-N 0 0 290.319 2.546 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000826670085 623836808 /nfs/dbraw/zinc/83/68/08/623836808.db2.gz DQVOXAMEXYBKLG-VHSXEESVSA-N 0 0 297.311 2.712 20 5 CFBDRN CSC(C)(C)CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000761972442 623844737 /nfs/dbraw/zinc/84/47/37/623844737.db2.gz QCJAJRGJFGQHKE-SNVBAGLBSA-N 0 0 296.392 2.956 20 5 CFBDRN CC(C)SCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000914461820 623869336 /nfs/dbraw/zinc/86/93/36/623869336.db2.gz YOIMCRYNAPQMOH-UHFFFAOYSA-N 0 0 269.322 2.780 20 5 CFBDRN C[C@H]1CC2(CN1c1ccc(N)cc1[N+](=O)[O-])CCOCC2 ZINC000826768100 623869358 /nfs/dbraw/zinc/86/93/58/623869358.db2.gz YUGDTWZBZUHTGB-NSHDSACASA-N 0 0 291.351 2.572 20 5 CFBDRN CC(C)SCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000914467713 623872072 /nfs/dbraw/zinc/87/20/72/623872072.db2.gz FZBOIQFNVQQJAW-UHFFFAOYSA-N 0 0 290.291 2.953 20 5 CFBDRN CS/C=C\C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000767648471 625682416 /nfs/dbraw/zinc/68/24/16/625682416.db2.gz HTNSAGFYVZFTNT-PLNGDYQASA-N 0 0 294.288 2.656 20 5 CFBDRN CC1CC(CNC(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000826864750 623897851 /nfs/dbraw/zinc/89/78/51/623897851.db2.gz WJCGVLIAAGDMND-FBDPFYNOSA-N 0 0 288.347 2.861 20 5 CFBDRN O=C(Nc1ccc(O)cc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000113710714 623906343 /nfs/dbraw/zinc/90/63/43/623906343.db2.gz XSDIJHMDDOLJAS-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN CC(C)(CCC(=O)Nc1cccc(OCCF)c1)[N+](=O)[O-] ZINC000826919197 623910107 /nfs/dbraw/zinc/91/01/07/623910107.db2.gz WDRSDYASNXWGBF-UHFFFAOYSA-N 0 0 298.314 2.809 20 5 CFBDRN C[C@@H](Cc1ccco1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000914744287 623931432 /nfs/dbraw/zinc/93/14/32/623931432.db2.gz IEVSRDAZQXXIDZ-QMMMGPOBSA-N 0 0 280.305 2.610 20 5 CFBDRN C[C@@H]1CCC[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000835099764 623945846 /nfs/dbraw/zinc/94/58/46/623945846.db2.gz MTPYKVKMOOHOBZ-MNOVXSKESA-N 0 0 257.330 2.944 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H](C1CC1)C(F)(F)F)[N+](=O)[O-] ZINC000835213327 623951089 /nfs/dbraw/zinc/95/10/89/623951089.db2.gz BQVAOZAZJAPYNZ-VIFPVBQESA-N 0 0 283.246 2.706 20 5 CFBDRN O=C(CC[C@@H]1CCCO1)OCc1ccccc1[N+](=O)[O-] ZINC000914969872 623966516 /nfs/dbraw/zinc/96/65/16/623966516.db2.gz FPPZGRKXRMUCFY-LBPRGKRZSA-N 0 0 279.292 2.597 20 5 CFBDRN Cc1nccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000764245413 623983796 /nfs/dbraw/zinc/98/37/96/623983796.db2.gz AANMZXVIWHSLEM-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC(C)(CCC(=O)N(CC(F)F)CC1CCC1)[N+](=O)[O-] ZINC000827501226 624018518 /nfs/dbraw/zinc/01/85/18/624018518.db2.gz KJWYFWCHCLEJKO-UHFFFAOYSA-N 0 0 292.326 2.716 20 5 CFBDRN O=C1c2cccc([N+](=O)[O-])c2C(=O)N1C1CC2(CCC2)C1 ZINC000915372729 624033717 /nfs/dbraw/zinc/03/37/17/624033717.db2.gz SZCQAZOVIFETEV-UHFFFAOYSA-N 0 0 286.287 2.524 20 5 CFBDRN O=C(CCOc1cccc([N+](=O)[O-])c1)OC/C=C/Cl ZINC000915449002 624044765 /nfs/dbraw/zinc/04/47/65/624044765.db2.gz VGIISMZUDKKCFY-QHHAFSJGSA-N 0 0 285.683 2.659 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000888278222 624053751 /nfs/dbraw/zinc/05/37/51/624053751.db2.gz MZRCOGVFWPOULU-SECBINFHSA-N 0 0 279.271 2.822 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCC(C)(C)C1)[N+](=O)[O-] ZINC000827681517 624063204 /nfs/dbraw/zinc/06/32/04/624063204.db2.gz ZQDBFUQYRVWKQM-SNVBAGLBSA-N 0 0 256.346 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCc2ccncc2)c1 ZINC000035368205 624074491 /nfs/dbraw/zinc/07/44/91/624074491.db2.gz SHHXXDZWNWOFMF-UHFFFAOYSA-N 0 0 277.711 2.933 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H]1CC[C@H](C2CC2)O1 ZINC000915603616 624083108 /nfs/dbraw/zinc/08/31/08/624083108.db2.gz OJPLMSVZYUVSEX-WCQYABFASA-N 0 0 263.293 2.931 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827751715 624085252 /nfs/dbraw/zinc/08/52/52/624085252.db2.gz IJLWMSDPAZDNLQ-QWRGUYRKSA-N 0 0 256.346 2.611 20 5 CFBDRN CC(=O)OCCSc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000915674120 624092151 /nfs/dbraw/zinc/09/21/51/624092151.db2.gz FFDXVAOMQDSGSD-UHFFFAOYSA-N 0 0 298.345 2.860 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2CC[C@@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000915865186 624134486 /nfs/dbraw/zinc/13/44/86/624134486.db2.gz DCGUHBBJMDSLFM-JSGCOSHPSA-N 0 0 291.303 2.744 20 5 CFBDRN O=Cc1ccc(OC[C@H]2CC[C@@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000915865187 624134677 /nfs/dbraw/zinc/13/46/77/624134677.db2.gz DCGUHBBJMDSLFM-OCCSQVGLSA-N 0 0 291.303 2.744 20 5 CFBDRN CSc1ccc(C(=O)OCC2CC2)cc1[N+](=O)[O-] ZINC000194248199 624142811 /nfs/dbraw/zinc/14/28/11/624142811.db2.gz GCUWDKLWIHNJMW-UHFFFAOYSA-N 0 0 267.306 2.884 20 5 CFBDRN COc1ccc(OC[C@@H]2CC[C@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000915921753 624188166 /nfs/dbraw/zinc/18/81/66/624188166.db2.gz PGPSUGWQRRGKJR-GXTWGEPZSA-N 0 0 293.319 2.940 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC[C@H](C2CC2)O1 ZINC000915930197 624190638 /nfs/dbraw/zinc/19/06/38/624190638.db2.gz TZUDUPRMAWZOHY-DZGCQCFKSA-N 0 0 291.303 2.744 20 5 CFBDRN CCC[C@H](CNc1cc(OC)cc(F)c1[N+](=O)[O-])OC ZINC000828379513 624236401 /nfs/dbraw/zinc/23/64/01/624236401.db2.gz JXDUGPODRBVWCR-SECBINFHSA-N 0 0 286.303 2.970 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000828420659 624243156 /nfs/dbraw/zinc/24/31/56/624243156.db2.gz MDFFXSFRVAILOR-APPZFPTMSA-N 0 0 299.714 2.547 20 5 CFBDRN CCc1onc(C)c1C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000916403455 624243360 /nfs/dbraw/zinc/24/33/60/624243360.db2.gz APWJVPJMBAIBOE-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN C[C@H](COCc1ccccc1)Cn1cnc([N+](=O)[O-])c1 ZINC000916558640 624255200 /nfs/dbraw/zinc/25/52/00/624255200.db2.gz OMZWQJDSXBDFLO-LBPRGKRZSA-N 0 0 275.308 2.644 20 5 CFBDRN Cc1ccc(C(=O)OCCC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000828519444 624261064 /nfs/dbraw/zinc/26/10/64/624261064.db2.gz DOSJCJCOZJUOQJ-LBPRGKRZSA-N 0 0 293.319 2.877 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000916586440 624261312 /nfs/dbraw/zinc/26/13/12/624261312.db2.gz YCXMMCVZLZXCCI-QWRGUYRKSA-N 0 0 277.324 2.503 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1ccc2[nH]cnc2c1 ZINC000916606544 624262705 /nfs/dbraw/zinc/26/27/05/624262705.db2.gz KPPKAZFZWGLAIE-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000916616373 624265144 /nfs/dbraw/zinc/26/51/44/624265144.db2.gz ZAGUEMUZNFEGSM-QWRGUYRKSA-N 0 0 277.324 2.503 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1c(C)cccc1[N+](=O)[O-])C(C)C ZINC000828575388 624271806 /nfs/dbraw/zinc/27/18/06/624271806.db2.gz HSPMNOPVGDCSHD-CYBMUJFWSA-N 0 0 279.292 2.674 20 5 CFBDRN Cc1c(OCc2noc3c2COCC3)cccc1[N+](=O)[O-] ZINC000916730839 624283103 /nfs/dbraw/zinc/28/31/03/624283103.db2.gz NCPSLIXABAWVOV-UHFFFAOYSA-N 0 0 290.275 2.543 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H](C)CC(C)(C)O)c1 ZINC000828712024 624289845 /nfs/dbraw/zinc/28/98/45/624289845.db2.gz WSHCQGAICICSQE-MRVPVSSYSA-N 0 0 286.303 2.704 20 5 CFBDRN CC(C)(CCC(=O)Nc1cccc2cc[nH]c21)[N+](=O)[O-] ZINC000828846308 624312485 /nfs/dbraw/zinc/31/24/85/624312485.db2.gz DUVRFJAZFYQUFZ-UHFFFAOYSA-N 0 0 275.308 2.942 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])ccc1Cl)C1(Cl)CC1 ZINC000917142104 624317213 /nfs/dbraw/zinc/31/72/13/624317213.db2.gz QICSXVVWRHWURV-UHFFFAOYSA-N 0 0 290.102 2.968 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H](C(C)=O)C(C)C)cc1[N+](=O)[O-] ZINC000828894407 624324140 /nfs/dbraw/zinc/32/41/40/624324140.db2.gz SXVRYRZHRFWYLG-CQSZACIVSA-N 0 0 293.319 2.928 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2C[C@@H]3C=C[C@H]2CC3)cc1[N+](=O)[O-] ZINC000917220256 624324354 /nfs/dbraw/zinc/32/43/54/624324354.db2.gz ALWVCXNKJFLHNF-AGIUHOORSA-N 0 0 274.320 2.667 20 5 CFBDRN CO[C@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000828903757 624326046 /nfs/dbraw/zinc/32/60/46/624326046.db2.gz JQCMNCYKVZFAND-PELKAZGASA-N 0 0 286.278 2.734 20 5 CFBDRN CC[C@@H](C)[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)OC ZINC000917346410 624333880 /nfs/dbraw/zinc/33/38/80/624333880.db2.gz BEFKZLHGSAPVSV-LDYMZIIASA-N 0 0 284.337 2.670 20 5 CFBDRN CC1=NO[C@H](CSCc2ccc([N+](=O)[O-])cc2)C1 ZINC000917351194 624334438 /nfs/dbraw/zinc/33/44/38/624334438.db2.gz TZPHKDIJBVGNEY-LBPRGKRZSA-N 0 0 266.322 2.993 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000828944607 624337148 /nfs/dbraw/zinc/33/71/48/624337148.db2.gz KPCRERBUMMYIGO-HZSPNIEDSA-N 0 0 286.331 2.757 20 5 CFBDRN CCCn1c(C)nnc1SCc1cccnc1[N+](=O)[O-] ZINC000917384748 624338207 /nfs/dbraw/zinc/33/82/07/624338207.db2.gz SKZOSTHZBRRMER-UHFFFAOYSA-N 0 0 293.352 2.592 20 5 CFBDRN Cc1occc1C1=N/C(=C/c2ccccc2[N+](=O)[O-])C(=O)O1 ZINC000917417315 624341529 /nfs/dbraw/zinc/34/15/29/624341529.db2.gz NMMGSJYJWQOBCN-XYOKQWHBSA-N 0 0 298.254 2.841 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])s1)C1CCCCC1 ZINC000828972933 624345432 /nfs/dbraw/zinc/34/54/32/624345432.db2.gz CPYYNPXOBNKHRB-UHFFFAOYSA-N 0 0 297.332 2.963 20 5 CFBDRN CC(C)CC(=O)OCOc1c(F)cccc1[N+](=O)[O-] ZINC000917477565 624345570 /nfs/dbraw/zinc/34/55/70/624345570.db2.gz PIUPZIALAOCUMF-UHFFFAOYSA-N 0 0 271.244 2.660 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cc([N+](=O)[O-])cc(C)c1F)C(C)C ZINC000829039064 624359607 /nfs/dbraw/zinc/35/96/07/624359607.db2.gz TUTNAEWSFASBSY-ZDUSSCGKSA-N 0 0 297.282 2.813 20 5 CFBDRN C[C@@]1(CCNc2ccncc2[N+](=O)[O-])CC1(Cl)Cl ZINC000829059047 624362899 /nfs/dbraw/zinc/36/28/99/624362899.db2.gz OKXGYMQFFIZIQP-SNVBAGLBSA-N 0 0 290.150 2.798 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@]1(C)CC1(Cl)Cl ZINC000829100113 624371350 /nfs/dbraw/zinc/37/13/50/624371350.db2.gz JOFNMQMBQMZIFI-QMMMGPOBSA-N 0 0 264.112 2.684 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000829149763 624385765 /nfs/dbraw/zinc/38/57/65/624385765.db2.gz IFCJHLPWGDJKQX-DFBGVHRSSA-N 0 0 286.331 2.781 20 5 CFBDRN Cc1ccccc1OS(=O)(=O)c1ccc([N+](=O)[O-])s1 ZINC000064240415 624388006 /nfs/dbraw/zinc/38/80/06/624388006.db2.gz DJUYJSLXSCIPLS-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN Cc1nn(C)cc1COc1cc([N+](=O)[O-])ccc1Cl ZINC000829251457 624407620 /nfs/dbraw/zinc/40/76/20/624407620.db2.gz CCXVEBMXQPQKGM-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1cc(C)c(OC(=O)/C=C/c2cnccn2)c([N+](=O)[O-])c1 ZINC000918147568 624422279 /nfs/dbraw/zinc/42/22/79/624422279.db2.gz HFUYLUKFTSTJMP-ONEGZZNKSA-N 0 0 299.286 2.620 20 5 CFBDRN Cc1cc(N(C)Cc2cccc(C(N)=O)c2)ccc1[N+](=O)[O-] ZINC000918185105 624425767 /nfs/dbraw/zinc/42/57/67/624425767.db2.gz XVLGZRHSFXXGGH-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN CC(C)Oc1ccccc1Cn1ccnc1[N+](=O)[O-] ZINC000918359913 624433666 /nfs/dbraw/zinc/43/36/66/624433666.db2.gz MWZFADZXXHGSSE-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN Cc1cccc(C)c1OCCCn1ccnc1[N+](=O)[O-] ZINC000918370483 624433786 /nfs/dbraw/zinc/43/37/86/624433786.db2.gz XMTQLZNQDXRDAQ-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN COc1cccc(SCCn2ccnc2[N+](=O)[O-])c1 ZINC000918386815 624435228 /nfs/dbraw/zinc/43/52/28/624435228.db2.gz LOQSADVGBKTGAD-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN CC(C)O[C@H](Cn1ccnc1[N+](=O)[O-])c1ccccc1 ZINC000918387975 624435370 /nfs/dbraw/zinc/43/53/70/624435370.db2.gz VLCPXLOYVIPMSV-CYBMUJFWSA-N 0 0 275.308 2.958 20 5 CFBDRN O=[N+]([O-])c1nccn1C1CC(Oc2ccccc2)C1 ZINC000918386094 624435542 /nfs/dbraw/zinc/43/55/42/624435542.db2.gz GNNMRUYCAWVXNE-UHFFFAOYSA-N 0 0 259.265 2.574 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@]3(CCSC3)C2)c1 ZINC000829454742 624436062 /nfs/dbraw/zinc/43/60/62/624436062.db2.gz WGXBMCCJZPVFCM-AWEZNQCLSA-N 0 0 293.392 2.901 20 5 CFBDRN CC/C=C\CCOC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000918507986 624444747 /nfs/dbraw/zinc/44/47/47/624444747.db2.gz STYUEQOHMFNKTR-ARJAWSKDSA-N 0 0 264.281 2.690 20 5 CFBDRN Cc1ccc(OC(=O)Cc2ccc(C)nc2)c([N+](=O)[O-])c1 ZINC000918557036 624450465 /nfs/dbraw/zinc/45/04/65/624450465.db2.gz SXWGJPJOTFPKHD-UHFFFAOYSA-N 0 0 286.287 2.755 20 5 CFBDRN Cc1nc(Cl)nn1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000918559895 624451348 /nfs/dbraw/zinc/45/13/48/624451348.db2.gz AHYNAAQXZJGXJM-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CCC/C=C/C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000918665255 624459982 /nfs/dbraw/zinc/45/99/82/624459982.db2.gz MLWIKCRWZIYQCS-AATRIKPKSA-N 0 0 278.308 2.576 20 5 CFBDRN CC(C)=CC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000918837252 624498592 /nfs/dbraw/zinc/49/85/92/624498592.db2.gz MSPAKYIZJFJFPM-UHFFFAOYSA-N 0 0 253.229 2.743 20 5 CFBDRN O=C(OCc1cc(F)ccc1F)c1ccc([N+](=O)[O-])o1 ZINC000918848326 624502512 /nfs/dbraw/zinc/50/25/12/624502512.db2.gz PTDJWBQLDDXQRD-UHFFFAOYSA-N 0 0 283.186 2.823 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000918862150 624504355 /nfs/dbraw/zinc/50/43/55/624504355.db2.gz YRVXVJBWHDBGMA-MBACFSSFSA-N 0 0 277.276 2.519 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)C1(C(F)F)CC1 ZINC000830014292 624599439 /nfs/dbraw/zinc/59/94/39/624599439.db2.gz WJYCZSLQFJBERT-UHFFFAOYSA-N 0 0 277.248 2.745 20 5 CFBDRN C/C=C/C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000919925992 624640553 /nfs/dbraw/zinc/64/05/53/624640553.db2.gz MNHNOLUDUMPTCW-SNAWJCMRSA-N 0 0 262.309 2.908 20 5 CFBDRN O=[N+]([O-])c1cnn(CN(C2CC2)[C@@H]2CCc3ccccc32)c1 ZINC000919943280 624646327 /nfs/dbraw/zinc/64/63/27/624646327.db2.gz MJDUHMOCARWWKA-MRXNPFEDSA-N 0 0 298.346 2.901 20 5 CFBDRN CCN(CCOC)c1ccc([N+](=O)[O-])cc1Cl ZINC000919964693 624651082 /nfs/dbraw/zinc/65/10/82/624651082.db2.gz CJGCUHZHDYCATR-UHFFFAOYSA-N 0 0 258.705 2.721 20 5 CFBDRN CCO[C@H](CC)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000919988725 624655292 /nfs/dbraw/zinc/65/52/92/624655292.db2.gz JAVYJYTYASARPV-LLVKDONJSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2ccc([N+](=O)[O-])cc2)nn1C ZINC000920159446 624687926 /nfs/dbraw/zinc/68/79/26/624687926.db2.gz XYZCEAWDJLQSPZ-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC2CCCC2)c1 ZINC000920324691 624712400 /nfs/dbraw/zinc/71/24/00/624712400.db2.gz JUFBKIHHASCIJZ-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CO[C@H](C)Cn1nc(-c2cccc([N+](=O)[O-])c2)nc1Cl ZINC000920412107 624720001 /nfs/dbraw/zinc/72/00/01/624720001.db2.gz MMUCAYUSZBEUTA-MRVPVSSYSA-N 0 0 296.714 2.542 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830370336 624724332 /nfs/dbraw/zinc/72/43/32/624724332.db2.gz WZTZYWNASXQIBL-MRVPVSSYSA-N 0 0 297.282 2.814 20 5 CFBDRN CCC(=O)CCCOC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830369260 624724644 /nfs/dbraw/zinc/72/46/44/624724644.db2.gz JFNRISHLGOTMSQ-UHFFFAOYSA-N 0 0 297.282 2.958 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C[C@H](C)C1CC1 ZINC000920438289 624728829 /nfs/dbraw/zinc/72/88/29/624728829.db2.gz YDODSPKLQOSOIW-JTQLQIEISA-N 0 0 292.335 2.656 20 5 CFBDRN CCO[C@H](CC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000920672298 624748324 /nfs/dbraw/zinc/74/83/24/624748324.db2.gz GFZHPLLUHCCGSC-SECBINFHSA-N 0 0 273.310 2.515 20 5 CFBDRN C[C@H](OCC1CC1)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000920781935 624766474 /nfs/dbraw/zinc/76/64/74/624766474.db2.gz MNEVDGLYLKPQHN-VIFPVBQESA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)Cc2ccco2)cc([N+](=O)[O-])c1 ZINC000920843413 624775703 /nfs/dbraw/zinc/77/57/03/624775703.db2.gz CXNKBWXXYUJECH-NSHDSACASA-N 0 0 288.303 2.857 20 5 CFBDRN Cc1cccc(C(=O)N2CC(OC(C)(C)C)C2)c1[N+](=O)[O-] ZINC000830551507 624778416 /nfs/dbraw/zinc/77/84/16/624778416.db2.gz YFAKYSHGBIRJPZ-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN C[N@H+](Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)(C)C ZINC000830560534 624779968 /nfs/dbraw/zinc/77/99/68/624779968.db2.gz GPGJOABVQNJVSL-UHFFFAOYSA-N 0 0 267.285 2.733 20 5 CFBDRN O=C(Nc1cc(-c2ccccc2)[nH]n1)c1ccc([N+](=O)[O-])o1 ZINC000920974681 624794747 /nfs/dbraw/zinc/79/47/47/624794747.db2.gz CGELNPOSXXHZDP-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc(-n2ccnc2)cc1 ZINC000921190067 624819726 /nfs/dbraw/zinc/81/97/26/624819726.db2.gz OSMZSZKYXIJAOB-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN C[C@@H](NC(=O)NC1CC(C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000921236507 624823848 /nfs/dbraw/zinc/82/38/48/624823848.db2.gz IZGZKEWPRYIQLE-SQLBVSGCSA-N 0 0 277.324 2.754 20 5 CFBDRN CCn1ccc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000830746567 624837603 /nfs/dbraw/zinc/83/76/03/624837603.db2.gz ZMYNFNIZBAUJJO-SNVBAGLBSA-N 0 0 289.291 2.729 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CCC(=O)C1 ZINC000830898136 624924271 /nfs/dbraw/zinc/92/42/71/624924271.db2.gz ZIULBALRYGOJSC-MRVPVSSYSA-N 0 0 297.694 2.661 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@H](C)OC(C)(C)C1 ZINC000175046666 624925509 /nfs/dbraw/zinc/92/55/09/624925509.db2.gz OJNVYYRJPCUIPH-NSHDSACASA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC(F)(F)C(F)F ZINC000175399159 624950465 /nfs/dbraw/zinc/95/04/65/624950465.db2.gz VYZIQTMISFQSLM-UHFFFAOYSA-N 0 0 295.188 2.960 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccon2)c1 ZINC000179529674 624966281 /nfs/dbraw/zinc/96/62/81/624966281.db2.gz IQERZQVJLQRYQO-UHFFFAOYSA-N 0 0 279.277 2.557 20 5 CFBDRN CCN(CC)C(=O)CN(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000067948610 624966756 /nfs/dbraw/zinc/96/67/56/624966756.db2.gz PKKODPYLOYIQCQ-UHFFFAOYSA-N 0 0 299.758 2.553 20 5 CFBDRN CC(C)=CC(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000068104460 624975095 /nfs/dbraw/zinc/97/50/95/624975095.db2.gz YDKWJQRVTGYHJR-UHFFFAOYSA-N 0 0 286.291 2.685 20 5 CFBDRN CC[C@@H](C)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000068348561 624990659 /nfs/dbraw/zinc/99/06/59/624990659.db2.gz GQWAMSWJJWJKNO-LLVKDONJSA-N 0 0 280.324 2.620 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)C[C@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000068510126 625004756 /nfs/dbraw/zinc/00/47/56/625004756.db2.gz YGMHEFRZZXQXNP-QWRGUYRKSA-N 0 0 291.351 2.604 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CO1 ZINC000831422058 625016900 /nfs/dbraw/zinc/01/69/00/625016900.db2.gz SNXZEXQNBCOHIL-VXNVDRBHSA-N 0 0 299.714 2.547 20 5 CFBDRN CCON(CC)C(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000831467437 625030894 /nfs/dbraw/zinc/03/08/94/625030894.db2.gz MKRCQZWPFXWCOC-UHFFFAOYSA-N 0 0 284.337 2.730 20 5 CFBDRN CCON(CC)C(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000831495067 625035351 /nfs/dbraw/zinc/03/53/51/625035351.db2.gz AGIBSFHNRBXBBX-UHFFFAOYSA-N 0 0 284.337 2.730 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCC1CCOCC1 ZINC000112153332 625067455 /nfs/dbraw/zinc/06/74/55/625067455.db2.gz ODXDUHUZIREMBE-ZZXKWVIFSA-N 0 0 291.303 2.578 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])C1CCC(F)(F)CC1 ZINC000832093448 625221737 /nfs/dbraw/zinc/22/17/37/625221737.db2.gz DVTBMGJBSWGQBZ-UHFFFAOYSA-N 0 0 292.326 2.858 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H](C)c2nccn2C)c1[N+](=O)[O-] ZINC000851581001 634444591 /nfs/dbraw/zinc/44/45/91/634444591.db2.gz NMDQNEWNHBHVKD-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCC1CCOCC1 ZINC000070165743 625276506 /nfs/dbraw/zinc/27/65/06/625276506.db2.gz QVWMEFNJNFNWIO-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)CCS1 ZINC000834644630 625752131 /nfs/dbraw/zinc/75/21/31/625752131.db2.gz TTYPKUXQLMAOJS-DTWKUNHWSA-N 0 0 298.339 2.748 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCc1ncccn1 ZINC000834646149 625753663 /nfs/dbraw/zinc/75/36/63/625753663.db2.gz ZAHQBSAOPKJYNE-UHFFFAOYSA-N 0 0 287.323 2.817 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCOC(C)(C)C ZINC000101706881 625849370 /nfs/dbraw/zinc/84/93/70/625849370.db2.gz YOOQPAHWGIPDJB-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN CCC[C@H](OC)c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000834779722 625855326 /nfs/dbraw/zinc/85/53/26/625855326.db2.gz SHCYMYMSCGQTIZ-VIFPVBQESA-N 0 0 271.317 2.545 20 5 CFBDRN Cc1cc(C(=O)OCC2CCCC2)c(N)c([N+](=O)[O-])c1 ZINC000112467080 625870175 /nfs/dbraw/zinc/87/01/75/625870175.db2.gz XUIOFYUDEBPNEZ-UHFFFAOYSA-N 0 0 278.308 2.832 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000768901448 625908694 /nfs/dbraw/zinc/90/86/94/625908694.db2.gz YZGXCICMYMUORB-SNVBAGLBSA-N 0 0 266.297 2.705 20 5 CFBDRN CCc1nc(CN[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)co1 ZINC000834899944 625915250 /nfs/dbraw/zinc/91/52/50/625915250.db2.gz RVOOOEJONXMGCU-VIFPVBQESA-N 0 0 290.323 2.578 20 5 CFBDRN CC(F)(F)CCNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840768825 625935753 /nfs/dbraw/zinc/93/57/53/625935753.db2.gz XZVXFUMVEJHMQV-UHFFFAOYSA-N 0 0 289.307 2.927 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1ccnn1C1CCC1 ZINC000921546430 625982069 /nfs/dbraw/zinc/98/20/69/625982069.db2.gz DNWYLMOQJPJJKT-UHFFFAOYSA-N 0 0 286.335 2.806 20 5 CFBDRN CCC1(CC(=O)Nc2cccc([N+](=O)[O-])c2C)COC1 ZINC000929224673 626001905 /nfs/dbraw/zinc/00/19/05/626001905.db2.gz BKYHWTZRANDRIY-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@@H](OC(=O)C[C@@](C)(O)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000756318290 626105735 /nfs/dbraw/zinc/10/57/35/626105735.db2.gz ALPQVYWVBJVZGO-MEBBXXQBSA-N 0 0 293.319 2.750 20 5 CFBDRN C[C@@H](Cc1ccco1)Nc1ncc([N+](=O)[O-])s1 ZINC000226770593 626401417 /nfs/dbraw/zinc/40/14/17/626401417.db2.gz HHAQLXLZTXYGQJ-ZETCQYMHSA-N 0 0 253.283 2.687 20 5 CFBDRN C[C@@H](NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CCC1 ZINC000866978817 626407754 /nfs/dbraw/zinc/40/77/54/626407754.db2.gz DFWDWEXQXITAPX-SECBINFHSA-N 0 0 280.299 2.581 20 5 CFBDRN Cc1c(CC(=O)OC2(C3CC3)CC2)cccc1[N+](=O)[O-] ZINC000788087093 626483431 /nfs/dbraw/zinc/48/34/31/626483431.db2.gz SUXMVGHVAPNRFD-UHFFFAOYSA-N 0 0 275.304 2.932 20 5 CFBDRN CC/C(C)=C\C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000838043668 626484582 /nfs/dbraw/zinc/48/45/82/626484582.db2.gz YFTBCKDIWDJEHH-KHPPLWFESA-N 0 0 279.292 2.873 20 5 CFBDRN CC/C(C)=C/C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000838043669 626484612 /nfs/dbraw/zinc/48/46/12/626484612.db2.gz YFTBCKDIWDJEHH-ZHACJKMWSA-N 0 0 279.292 2.873 20 5 CFBDRN Cc1c(OC(=O)c2cscn2)cccc1[N+](=O)[O-] ZINC000102995134 626485829 /nfs/dbraw/zinc/48/58/29/626485829.db2.gz LWIQFBQZCPPWGQ-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN CC[C@](C)(CO)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000838209110 626488651 /nfs/dbraw/zinc/48/86/51/626488651.db2.gz MDDNYNBLZSGOKH-IINYFYTJSA-N 0 0 281.308 2.608 20 5 CFBDRN COCC1(CC(=O)OCc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000842809130 626490416 /nfs/dbraw/zinc/49/04/16/626490416.db2.gz AAWYRTPHQJAZEZ-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN CCC[C@H](CC(C)C)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000838251087 626490739 /nfs/dbraw/zinc/49/07/39/626490739.db2.gz FFKQATNLOGUQEQ-GFCCVEGCSA-N 0 0 297.355 2.797 20 5 CFBDRN CC(C)C[C@@H](C)COC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838286061 626491775 /nfs/dbraw/zinc/49/17/75/626491775.db2.gz SHKGDSMODLNNEO-BXUZGUMPSA-N 0 0 295.335 2.854 20 5 CFBDRN CC/C=C/CCOC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283735 626491796 /nfs/dbraw/zinc/49/17/96/626491796.db2.gz IGGGAWBVYKMXDL-ITDFMYJTSA-N 0 0 279.292 2.528 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000838301422 626492134 /nfs/dbraw/zinc/49/21/34/626492134.db2.gz INUFQDVSCZYNPB-PWSUYJOCSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@H](O)C1CCC1 ZINC000838312195 626492480 /nfs/dbraw/zinc/49/24/80/626492480.db2.gz MTOAKQZDTQFDMB-QMTHXVAHSA-N 0 0 293.319 2.668 20 5 CFBDRN C[C@]1(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC=CCC1 ZINC000838318050 626493139 /nfs/dbraw/zinc/49/31/39/626493139.db2.gz MCYMHVXIMMZPRX-AWEZNQCLSA-N 0 0 293.298 2.545 20 5 CFBDRN C[C@H](C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000838390529 626495133 /nfs/dbraw/zinc/49/51/33/626495133.db2.gz GHISRMAECIHGMU-JTQLQIEISA-N 0 0 291.303 2.757 20 5 CFBDRN CC[C@@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ccncc1 ZINC000839003365 626519755 /nfs/dbraw/zinc/51/97/55/626519755.db2.gz FNCRFDCQYWSINH-CYBMUJFWSA-N 0 0 289.291 2.636 20 5 CFBDRN C[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccn(C)n1 ZINC000839192500 626530899 /nfs/dbraw/zinc/53/08/99/626530899.db2.gz UZMPHUWWLKABMK-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN CCC[C@@H](OC)C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000839225122 626531641 /nfs/dbraw/zinc/53/16/41/626531641.db2.gz MEEZFFRNFKJOJA-GFCCVEGCSA-N 0 0 281.308 2.932 20 5 CFBDRN CN(CC(F)F)C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000103399633 626532824 /nfs/dbraw/zinc/53/28/24/626532824.db2.gz KENCPAGNWVIABN-UHFFFAOYSA-N 0 0 278.642 2.585 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H](F)C(F)(F)F)cc1[N+](=O)[O-] ZINC000839396933 626535399 /nfs/dbraw/zinc/53/53/99/626535399.db2.gz ZILXDBUZAMZJMX-SECBINFHSA-N 0 0 295.188 2.847 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC[C@@H]2C[C@H]2C2CC2)c1F ZINC000839436373 626536460 /nfs/dbraw/zinc/53/64/60/626536460.db2.gz NMMPBDOBOOOAFQ-JQWIXIFHSA-N 0 0 292.310 2.818 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000839448532 626537125 /nfs/dbraw/zinc/53/71/25/626537125.db2.gz NZRYNFZFTYBZCA-WAAGHKOSSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H](c1cccc(Cl)c1)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839509966 626538311 /nfs/dbraw/zinc/53/83/11/626538311.db2.gz VJKNAEYJQYFNBX-GGZOMVNGSA-N 0 0 282.727 2.525 20 5 CFBDRN O=C1OCc2c1cccc2NCc1csc([N+](=O)[O-])c1 ZINC000809201554 626539619 /nfs/dbraw/zinc/53/96/19/626539619.db2.gz ZEOKMVKNCIFSKQ-UHFFFAOYSA-N 0 0 290.300 2.939 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756996753 626543244 /nfs/dbraw/zinc/54/32/44/626543244.db2.gz DFDWPKJNVQUQJM-OIBJUYFYSA-N 0 0 268.700 2.520 20 5 CFBDRN C[C@H](CC(C)(C)c1ccccc1)NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839584537 626547123 /nfs/dbraw/zinc/54/71/23/626547123.db2.gz IUYICQYKULSCRV-MRVWCRGKSA-N 0 0 290.363 2.524 20 5 CFBDRN CC[C@H](c1ccccc1)N(CC)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839602742 626547805 /nfs/dbraw/zinc/54/78/05/626547805.db2.gz UCJWDWDUENOKJH-MGPQQGTHSA-N 0 0 276.336 2.651 20 5 CFBDRN Cc1ccccc1[C@H](CC(C)C)NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839679457 626551963 /nfs/dbraw/zinc/55/19/63/626551963.db2.gz IZLIPWDTHIBEQF-QLFBSQMISA-N 0 0 290.363 2.864 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000839706697 626552530 /nfs/dbraw/zinc/55/25/30/626552530.db2.gz OWVMTNBKJNQBBN-LEWSCRJBSA-N 0 0 292.335 2.887 20 5 CFBDRN CC(C)Oc1cc(C(=O)NOC2CCC2)ccc1[N+](=O)[O-] ZINC000839777515 626555096 /nfs/dbraw/zinc/55/50/96/626555096.db2.gz STDIDMDCMDNENT-UHFFFAOYSA-N 0 0 294.307 2.596 20 5 CFBDRN CON(C(=O)c1ccc([N+](=O)[O-])s1)C1CCCC1 ZINC000757620446 626556586 /nfs/dbraw/zinc/55/65/86/626556586.db2.gz IDUOFSNMVJLWQF-UHFFFAOYSA-N 0 0 270.310 2.603 20 5 CFBDRN CON(C(=O)Cc1cccc([N+](=O)[O-])c1C)C1CCCC1 ZINC000757634325 626556793 /nfs/dbraw/zinc/55/67/93/626556793.db2.gz ZFBMTHPTKSJZBV-UHFFFAOYSA-N 0 0 292.335 2.778 20 5 CFBDRN CC1(CC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CC1 ZINC000757743745 626561725 /nfs/dbraw/zinc/56/17/25/626561725.db2.gz JGXHXWJJVWUEIE-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccnc(Cl)c2)cc1CO ZINC000757988030 626571741 /nfs/dbraw/zinc/57/17/41/626571741.db2.gz ZDUGOTCKMUECOT-UHFFFAOYSA-N 0 0 294.694 2.715 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)OCC1CCCC1 ZINC000758075760 626575619 /nfs/dbraw/zinc/57/56/19/626575619.db2.gz MAYAXMLNBAUHOB-UHFFFAOYSA-N 0 0 282.271 2.663 20 5 CFBDRN Nc1c(F)cccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000758071279 626575681 /nfs/dbraw/zinc/57/56/81/626575681.db2.gz UUUOPTFRXSQDPG-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC000758452929 626594500 /nfs/dbraw/zinc/59/45/00/626594500.db2.gz OSRZTISZUOGDFI-SECBINFHSA-N 0 0 280.299 2.818 20 5 CFBDRN COC(C)(C)CN(C)c1ccc([N+](=O)[O-])cc1C ZINC000758485086 626595629 /nfs/dbraw/zinc/59/56/29/626595629.db2.gz IOXUNIIHDVRSKU-UHFFFAOYSA-N 0 0 252.314 2.764 20 5 CFBDRN Cc1cc(O)ccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000758517179 626596696 /nfs/dbraw/zinc/59/66/96/626596696.db2.gz PNWYXBBTQNPZGT-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN CC1(CC(=O)OCc2csc([N+](=O)[O-])c2)CC1 ZINC000758833720 626611754 /nfs/dbraw/zinc/61/17/54/626611754.db2.gz ZIKCPPGZJZEJHM-UHFFFAOYSA-N 0 0 255.295 2.890 20 5 CFBDRN Nc1c(C(=O)OCC2CCCC2)cccc1[N+](=O)[O-] ZINC000758954032 626620414 /nfs/dbraw/zinc/62/04/14/626620414.db2.gz KBULHDQBYBRUED-UHFFFAOYSA-N 0 0 264.281 2.524 20 5 CFBDRN C[C@H](C[C@H](O)c1ccco1)Nc1cccnc1[N+](=O)[O-] ZINC000759246678 626639273 /nfs/dbraw/zinc/63/92/73/626639273.db2.gz MQBHNQYRZZAENV-KOLCDFICSA-N 0 0 277.280 2.507 20 5 CFBDRN CCC(=O)CCCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000759498029 626665719 /nfs/dbraw/zinc/66/57/19/626665719.db2.gz PBYWINVXUZXCJV-UHFFFAOYSA-N 0 0 271.294 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCOCC(C)C)c1 ZINC000759723634 626687408 /nfs/dbraw/zinc/68/74/08/626687408.db2.gz ZCZRGIBVLDQTEX-UHFFFAOYSA-N 0 0 281.308 2.733 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1CC12CCC2 ZINC000759790699 626691147 /nfs/dbraw/zinc/69/11/47/626691147.db2.gz PJWQDMYLSPTAGC-SECBINFHSA-N 0 0 264.256 2.863 20 5 CFBDRN CS[C@@H](C)CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000759836735 626693049 /nfs/dbraw/zinc/69/30/49/626693049.db2.gz KKWYTJWBYDDNRX-VIFPVBQESA-N 0 0 269.322 2.780 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1CC12CCC2 ZINC000759829224 626693898 /nfs/dbraw/zinc/69/38/98/626693898.db2.gz QVKICZZFZKDPFQ-LBPRGKRZSA-N 0 0 261.277 2.828 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC12CCC2 ZINC000759822940 626694193 /nfs/dbraw/zinc/69/41/93/626694193.db2.gz BQRDSVCXOBKBSK-LBPRGKRZSA-N 0 0 261.277 2.828 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1cscn1 ZINC000759847159 626696549 /nfs/dbraw/zinc/69/65/49/626696549.db2.gz FMNIUXJUFZXTGH-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC12CCC2 ZINC000759976984 626706437 /nfs/dbraw/zinc/70/64/37/626706437.db2.gz JZUNQUDFKMSDQB-ZDUSSCGKSA-N 0 0 275.304 2.871 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccnn1C(C)C ZINC000760021636 626710314 /nfs/dbraw/zinc/71/03/14/626710314.db2.gz DEUFVXWVHLOYKC-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN CCc1ocnc1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760023050 626710388 /nfs/dbraw/zinc/71/03/88/626710388.db2.gz SGLSORNOGQOGDX-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000805195806 626713315 /nfs/dbraw/zinc/71/33/15/626713315.db2.gz CTKBEJIELBNOJE-PELKAZGASA-N 0 0 297.742 2.846 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H](C)c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000760412528 626743120 /nfs/dbraw/zinc/74/31/20/626743120.db2.gz UMRFTNMVKQQTBR-JTQLQIEISA-N 0 0 287.319 2.976 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C1=CCCC1 ZINC000760421325 626744125 /nfs/dbraw/zinc/74/41/25/626744125.db2.gz QOPVHZZBAXNIEN-UHFFFAOYSA-N 0 0 265.240 2.887 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1ccc[nH]1 ZINC000760421076 626744282 /nfs/dbraw/zinc/74/42/82/626744282.db2.gz YOSHMMOFBQPWRV-DHHDDZJSSA-N 0 0 285.303 2.814 20 5 CFBDRN Cc1nonc1COc1c(Cl)cccc1[N+](=O)[O-] ZINC000760428989 626745230 /nfs/dbraw/zinc/74/52/30/626745230.db2.gz HGMIMMYWPDYFNR-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc[nH]2)c1 ZINC000760726215 626767298 /nfs/dbraw/zinc/76/72/98/626767298.db2.gz BZPWYSFYOQNUPI-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H](C)c2ccc[nH]2)c1[N+](=O)[O-] ZINC000760733142 626768252 /nfs/dbraw/zinc/76/82/52/626768252.db2.gz YALORLKPXRFJPZ-VIFPVBQESA-N 0 0 291.282 2.861 20 5 CFBDRN Cc1ncsc1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000760956672 626787881 /nfs/dbraw/zinc/78/78/81/626787881.db2.gz UMVJUJRJAKODFY-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccc[nH]1 ZINC000760961034 626788512 /nfs/dbraw/zinc/78/85/12/626788512.db2.gz OYMLSBMTMKVURQ-SECBINFHSA-N 0 0 298.302 2.895 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H](C(F)(F)F)C2)cc1 ZINC000761043079 626793046 /nfs/dbraw/zinc/79/30/46/626793046.db2.gz SZYLNAMLCULWHQ-SNVBAGLBSA-N 0 0 274.242 2.979 20 5 CFBDRN Cn1c(C(=O)OCc2ccccc2Cl)ccc1[N+](=O)[O-] ZINC000761051145 626794611 /nfs/dbraw/zinc/79/46/11/626794611.db2.gz VQALDVSRIGRGPV-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])c(Cl)c1)CC(F)F ZINC000761505965 626841579 /nfs/dbraw/zinc/84/15/79/626841579.db2.gz JRWCXPWSXLHONN-UHFFFAOYSA-N 0 0 264.659 2.945 20 5 CFBDRN COCCCCOC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000761737135 626856234 /nfs/dbraw/zinc/85/62/34/626856234.db2.gz RZZQVEVUWFPZND-UHFFFAOYSA-N 0 0 285.271 2.626 20 5 CFBDRN CCCCCCOC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000761982585 626877483 /nfs/dbraw/zinc/87/74/83/626877483.db2.gz WSXPUVKZFRUTHB-UHFFFAOYSA-N 0 0 252.270 2.727 20 5 CFBDRN CC(C)CCCOC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762066961 626886139 /nfs/dbraw/zinc/88/61/39/626886139.db2.gz DXVFFVRQEVGJKU-UHFFFAOYSA-N 0 0 252.270 2.583 20 5 CFBDRN CCOc1ccccc1OC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762065023 626886202 /nfs/dbraw/zinc/88/62/02/626886202.db2.gz QGFNGFZGMNGVFW-UHFFFAOYSA-N 0 0 288.259 2.608 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000762071013 626886580 /nfs/dbraw/zinc/88/65/80/626886580.db2.gz UUTQHLBASZUCRQ-KOLCDFICSA-N 0 0 281.333 2.952 20 5 CFBDRN CO[C@@H](C)Cc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000762071514 626886830 /nfs/dbraw/zinc/88/68/30/626886830.db2.gz MXHWZYZCFVILGU-VIFPVBQESA-N 0 0 277.280 2.531 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCSC2)cc([N+](=O)[O-])c1 ZINC000762151820 626897830 /nfs/dbraw/zinc/89/78/30/626897830.db2.gz RZBXREQDRPRNMD-SNVBAGLBSA-N 0 0 281.333 2.813 20 5 CFBDRN C[C@@H](C(=O)NOC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000762229587 626905633 /nfs/dbraw/zinc/90/56/33/626905633.db2.gz BIBFJSHXWFLKKF-SNVBAGLBSA-N 0 0 278.308 2.689 20 5 CFBDRN O=C(Nc1cccc(O)c1F)c1cc(F)ccc1[N+](=O)[O-] ZINC000762319289 626910256 /nfs/dbraw/zinc/91/02/56/626910256.db2.gz WHUUHHYTJLSXIG-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1CCC=CO1)c1cccc([N+](=O)[O-])c1 ZINC000762322473 626910457 /nfs/dbraw/zinc/91/04/57/626910457.db2.gz ZBFZWNMFCOUPIV-FZMZJTMJSA-N 0 0 290.319 2.507 20 5 CFBDRN CCC/C(C)=C\C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000762336923 626911391 /nfs/dbraw/zinc/91/13/91/626911391.db2.gz HCTGELPXSWXZNZ-HJWRWDBZSA-N 0 0 263.297 2.983 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1nc(Cl)ccc1Cl ZINC000762474609 626917951 /nfs/dbraw/zinc/91/79/51/626917951.db2.gz MJTANURQMGDPOP-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1ccnc(N(C)C)c1 ZINC000762476389 626918081 /nfs/dbraw/zinc/91/80/81/626918081.db2.gz ALLVESJVLDMSBU-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN C[C@H](O)C(C)(C)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000762568588 626924413 /nfs/dbraw/zinc/92/44/13/626924413.db2.gz NLHHNAZHTYANIL-ZETCQYMHSA-N 0 0 281.337 2.776 20 5 CFBDRN CC(=O)CCCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000762846126 626943885 /nfs/dbraw/zinc/94/38/85/626943885.db2.gz ZMQDQYKLPOAGAK-UHFFFAOYSA-N 0 0 265.265 2.511 20 5 CFBDRN COCCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000762995854 626954298 /nfs/dbraw/zinc/95/42/98/626954298.db2.gz CXBLTYXERVATTF-UHFFFAOYSA-N 0 0 299.298 2.591 20 5 CFBDRN CC(=O)c1c(N(C)C[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000763202863 626970309 /nfs/dbraw/zinc/97/03/09/626970309.db2.gz IXSRDPMRJGDSDY-GFCCVEGCSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@H](C(=O)OCCCCCCO)c1cccc([N+](=O)[O-])c1 ZINC000763405914 626986126 /nfs/dbraw/zinc/98/61/26/626986126.db2.gz NERLAPXQIBCYQH-LBPRGKRZSA-N 0 0 295.335 2.794 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763407442 626986364 /nfs/dbraw/zinc/98/63/64/626986364.db2.gz WLGIHTNUYUEVFH-ZJUUUORDSA-N 0 0 279.292 2.609 20 5 CFBDRN CC(C)[C@H](C)OC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000763552281 626997121 /nfs/dbraw/zinc/99/71/21/626997121.db2.gz CSQDNUYCQNDOPB-JTQLQIEISA-N 0 0 267.281 2.561 20 5 CFBDRN COC(=O)c1ccc(OC(=O)C(C)=C(C)C)cc1[N+](=O)[O-] ZINC000763709671 627007487 /nfs/dbraw/zinc/00/74/87/627007487.db2.gz REFPLJVDPNWECH-UHFFFAOYSA-N 0 0 293.275 2.643 20 5 CFBDRN C/C=C\COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763714150 627007726 /nfs/dbraw/zinc/00/77/26/627007726.db2.gz ARRGVZJIQJLBFR-IHWYPQMZSA-N 0 0 255.657 2.981 20 5 CFBDRN O=C(OCc1ccon1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763722570 627007769 /nfs/dbraw/zinc/00/77/69/627007769.db2.gz CQLIFBQTMJDNKS-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN CC(=O)CCCOC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763724562 627008372 /nfs/dbraw/zinc/00/83/72/627008372.db2.gz NWERIMXUBXTNHK-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC/C(Cl)=C\Cl ZINC000763986807 627029612 /nfs/dbraw/zinc/02/96/12/627029612.db2.gz MDKDECIOZGGQLH-RMKNXTFCSA-N 0 0 290.102 3.000 20 5 CFBDRN CC(C)COCCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764101856 627038261 /nfs/dbraw/zinc/03/82/61/627038261.db2.gz PGPDBGMEKVZBDA-GFCCVEGCSA-N 0 0 295.335 2.914 20 5 CFBDRN CC1(COC(=O)Cc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000764116298 627039068 /nfs/dbraw/zinc/03/90/68/627039068.db2.gz AZJVZEWLONRYMN-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN CS/C=C/C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000764232614 627046619 /nfs/dbraw/zinc/04/66/19/627046619.db2.gz JYXCDLVLDNJBAJ-CYNONHLPSA-N 0 0 278.333 2.749 20 5 CFBDRN CS/C=C\C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000764244672 627047699 /nfs/dbraw/zinc/04/76/99/627047699.db2.gz AKAAVDUBKSEGAH-PLNGDYQASA-N 0 0 271.269 2.654 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCC2(C)CC2)c1 ZINC000764247070 627047793 /nfs/dbraw/zinc/04/77/93/627047793.db2.gz OUIIZINJMGDDCP-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1C[C@]12CCOC2 ZINC000764759683 627091116 /nfs/dbraw/zinc/09/11/16/627091116.db2.gz LTODWVQKYSYOSS-RNCFNFMXSA-N 0 0 297.694 2.580 20 5 CFBDRN C[C@H](OC(=O)[C@H]1C[C@]12CCOC2)c1ccccc1[N+](=O)[O-] ZINC000764761725 627091119 /nfs/dbraw/zinc/09/11/19/627091119.db2.gz RFCXLNSRDKBEGQ-NVBFEUDRSA-N 0 0 291.303 2.626 20 5 CFBDRN CC(C)(O)CCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000765478027 627140867 /nfs/dbraw/zinc/14/08/67/627140867.db2.gz GDBCYMOKJYBGSK-UHFFFAOYSA-N 0 0 295.335 2.577 20 5 CFBDRN CNc1c(C(=O)OCCC2CC2)cccc1[N+](=O)[O-] ZINC000765514621 627144071 /nfs/dbraw/zinc/14/40/71/627144071.db2.gz FYRRQTRAZMCVTJ-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN C/C(=C/C(=O)OCCC(C)(C)O)c1ccc([N+](=O)[O-])cc1 ZINC000765509288 627144193 /nfs/dbraw/zinc/14/41/93/627144193.db2.gz SBMTVAJSGXZKQW-KHPPLWFESA-N 0 0 293.319 2.702 20 5 CFBDRN CCc1cc(C)nc(N2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000766087219 627176911 /nfs/dbraw/zinc/17/69/11/627176911.db2.gz BLBHCWQHXCTKAI-UHFFFAOYSA-N 0 0 298.346 2.818 20 5 CFBDRN COc1cc(COC(=O)/C=C/C2CC2)c([N+](=O)[O-])cc1F ZINC000766209656 627184377 /nfs/dbraw/zinc/18/43/77/627184377.db2.gz OIJBUYJKQBLGJB-SNAWJCMRSA-N 0 0 295.266 2.752 20 5 CFBDRN O=C(OCCCF)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000766224288 627185958 /nfs/dbraw/zinc/18/59/58/627185958.db2.gz UUAWVVOAMNVNES-UHFFFAOYSA-N 0 0 278.239 2.659 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCOC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000766398927 627199583 /nfs/dbraw/zinc/19/95/83/627199583.db2.gz KXNYBBGCTZELMS-COPLHBTASA-N 0 0 293.319 2.872 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(C(F)F)c2)c([N+](=O)[O-])c1 ZINC000766430204 627202718 /nfs/dbraw/zinc/20/27/18/627202718.db2.gz FOOKNXZFFYQKNQ-UHFFFAOYSA-N 0 0 299.193 2.838 20 5 CFBDRN COc1cccc(C(=O)NCCC2CCC2)c1[N+](=O)[O-] ZINC000766806597 627221189 /nfs/dbraw/zinc/22/11/89/627221189.db2.gz PTKSPYSIAIAAMJ-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CS[C@@H](C)CC(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000766916698 627226921 /nfs/dbraw/zinc/22/69/21/627226921.db2.gz CKUJBDQJPUZHAQ-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN Cc1nc(CCOC(=O)c2ccc([N+](=O)[O-])s2)cs1 ZINC000767479347 627254658 /nfs/dbraw/zinc/25/46/58/627254658.db2.gz BZIBWYZWGSTOMK-UHFFFAOYSA-N 0 0 298.345 2.821 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000767672293 627273234 /nfs/dbraw/zinc/27/32/34/627273234.db2.gz IYSZONORADMPRR-LLVKDONJSA-N 0 0 287.312 2.919 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000767687602 627274987 /nfs/dbraw/zinc/27/49/87/627274987.db2.gz YWDUKZLJRSBXHA-MRVPVSSYSA-N 0 0 275.351 2.841 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CC(C2CC2)C1 ZINC000936068471 649983088 /nfs/dbraw/zinc/98/30/88/649983088.db2.gz WGRGDLORRXNIQU-LSDHHAIUSA-N 0 0 286.331 2.567 20 5 CFBDRN O=C(OCc1ccc2c[nH]nc2c1)c1cccc([N+](=O)[O-])c1 ZINC000768796013 627374755 /nfs/dbraw/zinc/37/47/55/627374755.db2.gz MEEONVAXGFDDKH-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1c(CON=C(N)COC(C)(C)C)cccc1[N+](=O)[O-] ZINC000768934964 627388441 /nfs/dbraw/zinc/38/84/41/627388441.db2.gz QNCGBWZVIARHEJ-UHFFFAOYSA-N 0 0 295.339 2.717 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H](F)c1ccccc1 ZINC000768983137 627392485 /nfs/dbraw/zinc/39/24/85/627392485.db2.gz VRGYMTXDMRYHRE-AWEZNQCLSA-N 0 0 288.278 2.922 20 5 CFBDRN Cc1cc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)ns1 ZINC000769285934 627419314 /nfs/dbraw/zinc/41/93/14/627419314.db2.gz OGJKWTFIODFNKF-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN C[C@](CO)(Nc1nc2ccc([N+](=O)[O-])cc2s1)C1CC1 ZINC000770463179 627524139 /nfs/dbraw/zinc/52/41/39/627524139.db2.gz UFIWDNFGFREENC-CYBMUJFWSA-N 0 0 293.348 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCc3ncccc32)c1 ZINC000770672032 627539810 /nfs/dbraw/zinc/53/98/10/627539810.db2.gz SGHOGPUXDFBINB-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CO[C@H](C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-])C(C)C ZINC000770843233 627551300 /nfs/dbraw/zinc/55/13/00/627551300.db2.gz QABYANQBSOMKLL-GWCFXTLKSA-N 0 0 281.308 2.870 20 5 CFBDRN C[C@H]1CCC[C@H](COC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000770925895 627559299 /nfs/dbraw/zinc/55/92/99/627559299.db2.gz FVAYUJMVKPWGIF-QWRGUYRKSA-N 0 0 280.324 2.916 20 5 CFBDRN C[C@H]1CCC[C@@H](COC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000771005209 627568703 /nfs/dbraw/zinc/56/87/03/627568703.db2.gz JAAWLVFOIMNJQB-WDEREUQCSA-N 0 0 278.308 2.973 20 5 CFBDRN C[C@@H]1CCC[C@@H](COC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000771005199 627568726 /nfs/dbraw/zinc/56/87/26/627568726.db2.gz JAAWLVFOIMNJQB-GHMZBOCLSA-N 0 0 278.308 2.973 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2C[C@H]2C)c1 ZINC000771080996 627575637 /nfs/dbraw/zinc/57/56/37/627575637.db2.gz UYUBUSSIOUMFRT-HTQZYQBOSA-N 0 0 254.261 2.810 20 5 CFBDRN CCOc1cc(NCc2cc(CC)n[nH]2)ccc1[N+](=O)[O-] ZINC000771203550 627584179 /nfs/dbraw/zinc/58/41/79/627584179.db2.gz HFJCFYXVINVCPM-UHFFFAOYSA-N 0 0 290.323 2.891 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CC12CCC2 ZINC000771485814 627608254 /nfs/dbraw/zinc/60/82/54/627608254.db2.gz DWCYNINWXICZFV-NSHDSACASA-N 0 0 265.240 2.830 20 5 CFBDRN CCC1(O)CCC(Nc2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000771702478 627624460 /nfs/dbraw/zinc/62/44/60/627624460.db2.gz FRMWEUDXWDADFA-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1c1ccc([N+](=O)[O-])c2nonc21 ZINC000771691370 627624494 /nfs/dbraw/zinc/62/44/94/627624494.db2.gz UMVHSRAGFDKMLT-IUCAKERBSA-N 0 0 276.296 2.898 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000772055716 627668907 /nfs/dbraw/zinc/66/89/07/627668907.db2.gz GGWHPJCBZDXRER-MFKMUULPSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1cnc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])nc1 ZINC000772292525 627697906 /nfs/dbraw/zinc/69/79/06/627697906.db2.gz KPLNSYKYCPCJGS-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCc1nc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)co1 ZINC000772398657 627707405 /nfs/dbraw/zinc/70/74/05/627707405.db2.gz NMHPNOVBKFNEMW-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN CC(C)OC(=O)O[C@@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000772863188 627752201 /nfs/dbraw/zinc/75/22/01/627752201.db2.gz NOFNRPOULADNOO-MRVPVSSYSA-N 0 0 286.309 2.990 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1nccs1 ZINC000773506891 627830506 /nfs/dbraw/zinc/83/05/06/627830506.db2.gz WCEGTZDSUMTXOE-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CSCCOC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000773521738 627832994 /nfs/dbraw/zinc/83/29/94/627832994.db2.gz IPAWKCKDSBYVFF-UHFFFAOYSA-N 0 0 273.285 2.562 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@@H]1CC13CCC3)CC2 ZINC000773991215 627876553 /nfs/dbraw/zinc/87/65/53/627876553.db2.gz SEHFILJPUGWMRR-LBPRGKRZSA-N 0 0 286.331 2.983 20 5 CFBDRN Cc1ccncc1C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773991924 627876647 /nfs/dbraw/zinc/87/66/47/627876647.db2.gz ZMWMXRHYLQGABM-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CC(F)(F)F)CC2 ZINC000773989865 627876847 /nfs/dbraw/zinc/87/68/47/627876847.db2.gz JVMORNOWZSSWRI-UHFFFAOYSA-N 0 0 288.225 2.745 20 5 CFBDRN CCc1ccc(OC(=O)C2CCC(=O)CC2)c([N+](=O)[O-])c1 ZINC000774098692 627887298 /nfs/dbraw/zinc/88/72/98/627887298.db2.gz FDQGUWUTCONQDQ-UHFFFAOYSA-N 0 0 291.303 2.822 20 5 CFBDRN COc1cccc(C(=O)OC[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000774147458 627893899 /nfs/dbraw/zinc/89/38/99/627893899.db2.gz KXFVCZYZQSECNT-VIFPVBQESA-N 0 0 297.332 2.513 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1c[nH]nc1Cl ZINC000774227182 627905831 /nfs/dbraw/zinc/90/58/31/627905831.db2.gz YYPOCMMZXOLTAL-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1c[nH]nc1Cl ZINC000774229498 627906633 /nfs/dbraw/zinc/90/66/33/627906633.db2.gz NCZPSKRDWXMTKQ-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1ccc(C(=O)Nc2c[nH]nc2Cl)cc1[N+](=O)[O-] ZINC000774232533 627907269 /nfs/dbraw/zinc/90/72/69/627907269.db2.gz XCHIBUYAGKHZAF-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cc(NC2(CO)CCC2)c(Cl)cc1[N+](=O)[O-] ZINC000774536950 627936617 /nfs/dbraw/zinc/93/66/17/627936617.db2.gz QSFDYJXCQRKYNK-UHFFFAOYSA-N 0 0 270.716 2.884 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1cccc(F)c1[N+](=O)[O-] ZINC000774714722 627950862 /nfs/dbraw/zinc/95/08/62/627950862.db2.gz DHLQTKBEMUJBEY-BXKDBHETSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000774740399 627955171 /nfs/dbraw/zinc/95/51/71/627955171.db2.gz CPUIDRMYJNSLNH-GHMZBOCLSA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@@H](CC1CCCCC1)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000774806575 627965331 /nfs/dbraw/zinc/96/53/31/627965331.db2.gz FDQTZDBRWLFBPC-NSHDSACASA-N 0 0 295.339 2.693 20 5 CFBDRN CC(C)[C@H]1C[C@H]1COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000774851323 627971071 /nfs/dbraw/zinc/97/10/71/627971071.db2.gz QBPRGTCTMLNSDR-GXTWGEPZSA-N 0 0 277.320 2.973 20 5 CFBDRN CC(C)[C@@H]1CC[N@H+](Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000774914825 627979145 /nfs/dbraw/zinc/97/91/45/627979145.db2.gz RTKGTERKOIGGPE-LLVKDONJSA-N 0 0 293.323 2.981 20 5 CFBDRN CS/C=C/C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000775259043 628004474 /nfs/dbraw/zinc/00/44/74/628004474.db2.gz MXWNEYBKTGBZNS-FCZSHJHJSA-N 0 0 266.322 2.649 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2sccc2[N+](=O)[O-])o1 ZINC000775674661 628053183 /nfs/dbraw/zinc/05/31/83/628053183.db2.gz HYYDLKJHORUCHZ-VIFPVBQESA-N 0 0 268.294 2.703 20 5 CFBDRN COc1ccccc1OC[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776277211 628124933 /nfs/dbraw/zinc/12/49/33/628124933.db2.gz LTNQCGPWJVHNQN-CYBMUJFWSA-N 0 0 289.287 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC000776304749 628128801 /nfs/dbraw/zinc/12/88/01/628128801.db2.gz YUFPTLCULZAZOX-WDEREUQCSA-N 0 0 279.292 2.624 20 5 CFBDRN CCn1nccc1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000776314882 628131278 /nfs/dbraw/zinc/13/12/78/628131278.db2.gz UTKLWBDJMZQWNO-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000776406057 628144441 /nfs/dbraw/zinc/14/44/41/628144441.db2.gz FZVFNDYZAHDNKR-VHSXEESVSA-N 0 0 297.282 2.592 20 5 CFBDRN C/C=C\COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000805569405 628158858 /nfs/dbraw/zinc/15/88/58/628158858.db2.gz IIWYCUVHWMJFPQ-IHWYPQMZSA-N 0 0 260.249 2.809 20 5 CFBDRN Cc1cccc2c1N(C[C@@H](O)c1ccc([N+](=O)[O-])cc1)CC2 ZINC000776587372 628162284 /nfs/dbraw/zinc/16/22/84/628162284.db2.gz MXYJVQFPZYDRAE-MRXNPFEDSA-N 0 0 298.342 2.999 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776696673 628168347 /nfs/dbraw/zinc/16/83/47/628168347.db2.gz IJZBLKMAEDONAZ-WDNDVIMCSA-N 0 0 291.303 2.563 20 5 CFBDRN O=C(OCc1ccc(CF)cc1)c1ccc([N+](=O)[O-])cn1 ZINC000777111871 628201094 /nfs/dbraw/zinc/20/10/94/628201094.db2.gz YWGWNFTVZCNDFG-UHFFFAOYSA-N 0 0 290.250 2.816 20 5 CFBDRN Cc1cc(C(=O)OCCc2ccoc2)cc([N+](=O)[O-])c1 ZINC000777380010 628219527 /nfs/dbraw/zinc/21/95/27/628219527.db2.gz OOYOYDVZESQLAQ-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCC[C@@H]3CCO)sc2c1 ZINC000777821502 628254497 /nfs/dbraw/zinc/25/44/97/628254497.db2.gz CARNWQVHGNUWIF-SECBINFHSA-N 0 0 293.348 2.556 20 5 CFBDRN CCC(CC)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777880332 628260499 /nfs/dbraw/zinc/26/04/99/628260499.db2.gz DXSJHRSKFXSFKM-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NOC1CCCCC1 ZINC000777950585 628267201 /nfs/dbraw/zinc/26/72/01/628267201.db2.gz UBWOYUFFYAIZIF-UHFFFAOYSA-N 0 0 278.308 2.518 20 5 CFBDRN CNc1ccc(C(=O)NOC2CCCCC2)cc1[N+](=O)[O-] ZINC000777963584 628268090 /nfs/dbraw/zinc/26/80/90/628268090.db2.gz CXDCOYSXBOJFFX-UHFFFAOYSA-N 0 0 293.323 2.631 20 5 CFBDRN C[C@@H]1CCN(Cn2ncc3cc([N+](=O)[O-])ccc32)C[C@@H]1F ZINC000777962113 628268215 /nfs/dbraw/zinc/26/82/15/628268215.db2.gz BYPNAMJEWZVVSA-MFKMUULPSA-N 0 0 292.314 2.582 20 5 CFBDRN C[C@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@@H]1F ZINC000778002363 628271906 /nfs/dbraw/zinc/27/19/06/628271906.db2.gz ZNNSLSPKXYLBTR-WPRPVWTQSA-N 0 0 256.252 2.918 20 5 CFBDRN Cc1ncoc1C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000778087667 628276920 /nfs/dbraw/zinc/27/69/20/628276920.db2.gz KGQFUABCIZUNJN-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1ccc(COC(=O)c2c(N)cccc2[N+](=O)[O-])cc1 ZINC000778141980 628280298 /nfs/dbraw/zinc/28/02/98/628280298.db2.gz VRPFGCUBFADSNS-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN Cc1c(COC(=O)COC(C)(C)C)cccc1[N+](=O)[O-] ZINC000778152131 628280562 /nfs/dbraw/zinc/28/05/62/628280562.db2.gz JUMXSGMIQYWGBN-UHFFFAOYSA-N 0 0 281.308 2.762 20 5 CFBDRN C/C=C/COC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778164593 628282159 /nfs/dbraw/zinc/28/21/59/628282159.db2.gz BOOCLBNJWGKELY-NSCUHMNNSA-N 0 0 271.219 2.806 20 5 CFBDRN Cc1cc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)no1 ZINC000778221148 628288618 /nfs/dbraw/zinc/28/86/18/628288618.db2.gz JTWKTNOHHKFECV-UHFFFAOYSA-N 0 0 297.217 2.622 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000778380282 628302914 /nfs/dbraw/zinc/30/29/14/628302914.db2.gz VWYOVBPQFSBYFI-QWRGUYRKSA-N 0 0 279.292 2.567 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N1CC=CCC1 ZINC000778592266 628318780 /nfs/dbraw/zinc/31/87/80/628318780.db2.gz XTTLJXZROMSGIQ-UHFFFAOYSA-N 0 0 294.282 2.677 20 5 CFBDRN CC1(OC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)CCCC1 ZINC000778669512 628323055 /nfs/dbraw/zinc/32/30/55/628323055.db2.gz XUICNNURWLOIOY-UHFFFAOYSA-N 0 0 293.275 2.813 20 5 CFBDRN COc1cc(COC(=O)C=C(C)C)ccc1[N+](=O)[O-] ZINC000778740153 628328755 /nfs/dbraw/zinc/32/87/55/628328755.db2.gz DEOUVBTVKMIBFF-UHFFFAOYSA-N 0 0 265.265 2.613 20 5 CFBDRN CCO[C@H](C)C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778771735 628330932 /nfs/dbraw/zinc/33/09/32/628330932.db2.gz BUXWYYNHBOJWGZ-GHMZBOCLSA-N 0 0 281.308 2.932 20 5 CFBDRN COc1cc(COC(=O)CCC2CC2)ccc1[N+](=O)[O-] ZINC000778806125 628332973 /nfs/dbraw/zinc/33/29/73/628332973.db2.gz LCDSDLSRIGFGGC-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN COc1cc(COC(=O)[C@H]2C[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000778816640 628333976 /nfs/dbraw/zinc/33/39/76/628333976.db2.gz RZCCPLVNXLBPFL-NEPJUHHUSA-N 0 0 291.303 2.693 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1CCO[C@@H]1C ZINC000778839050 628335749 /nfs/dbraw/zinc/33/57/49/628335749.db2.gz HGQBHIWMNROKNY-MDZLAQPJSA-N 0 0 293.319 2.932 20 5 CFBDRN COc1cc(COC(=O)[C@H]2[C@@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000778845006 628336205 /nfs/dbraw/zinc/33/62/05/628336205.db2.gz ZWINCALKMCRXNX-YABSGUDNSA-N 0 0 291.303 2.693 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000778901116 628339262 /nfs/dbraw/zinc/33/92/62/628339262.db2.gz YKCDSXCDPZPZAX-QMMMGPOBSA-N 0 0 281.264 2.526 20 5 CFBDRN COCC1(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000779199241 628359472 /nfs/dbraw/zinc/35/94/72/628359472.db2.gz YEWRETSCFMFLRR-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN CCC(CC)OC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000779227878 628361779 /nfs/dbraw/zinc/36/17/79/628361779.db2.gz APJUAXHYPQSAQF-UHFFFAOYSA-N 0 0 281.264 2.669 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2CC[C@H](C)C2)c1 ZINC000779257234 628364922 /nfs/dbraw/zinc/36/49/22/628364922.db2.gz CEXIPNVWAJNTAI-GXSJLCMTSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)C1 ZINC000779261281 628365691 /nfs/dbraw/zinc/36/56/91/628365691.db2.gz YBLOZJOGMXHPOD-PSASIEDQSA-N 0 0 293.275 2.669 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc32)C1 ZINC000779263873 628366636 /nfs/dbraw/zinc/36/66/36/628366636.db2.gz OBPYLVLWHANSTG-WCBMZHEXSA-N 0 0 289.291 2.817 20 5 CFBDRN C[C@@H]1CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])n2C)[C@@H]1C ZINC000779273523 628368476 /nfs/dbraw/zinc/36/84/76/628368476.db2.gz HQOOQPQSLYJERS-CKYFFXLPSA-N 0 0 280.324 2.915 20 5 CFBDRN CC[C@H]1C[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000779293949 628370139 /nfs/dbraw/zinc/37/01/39/628370139.db2.gz CXLYKOSIXRLNPJ-QWRGUYRKSA-N 0 0 279.292 2.709 20 5 CFBDRN CC[C@H]1C[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000779294887 628370618 /nfs/dbraw/zinc/37/06/18/628370618.db2.gz AANRWBXLRZHYBX-STQMWFEESA-N 0 0 297.282 2.848 20 5 CFBDRN COCC1(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000779337153 628375018 /nfs/dbraw/zinc/37/50/18/628375018.db2.gz JURLOLPVVWAEBS-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000779470278 628384710 /nfs/dbraw/zinc/38/47/10/628384710.db2.gz STVYAUKRRXYHKS-GWCFXTLKSA-N 0 0 263.293 2.869 20 5 CFBDRN CCOC1CC(C(=O)OCc2cccc([N+](=O)[O-])c2C)C1 ZINC000805643052 628393948 /nfs/dbraw/zinc/39/39/48/628393948.db2.gz QBRDWGGBDUKMSJ-UHFFFAOYSA-N 0 0 293.319 2.762 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@@H]2CCCCO2)nc2ccccn21 ZINC000779945547 628420256 /nfs/dbraw/zinc/42/02/56/628420256.db2.gz BZGQPUWVWVBMHD-NSHDSACASA-N 0 0 290.323 2.614 20 5 CFBDRN Cc1cnc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c(C)c1 ZINC000779955236 628421225 /nfs/dbraw/zinc/42/12/25/628421225.db2.gz VEBAPUORASKTBM-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1cccc(OC(=O)c2ccc([N+](=O)[O-])n2C)c1F ZINC000780080122 628431031 /nfs/dbraw/zinc/43/10/31/628431031.db2.gz SHQGLPSNQHBLFW-UHFFFAOYSA-N 0 0 278.239 2.600 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)OCCCO ZINC000780282869 628450491 /nfs/dbraw/zinc/45/04/91/628450491.db2.gz NETHIUDUHNNPNJ-UHFFFAOYSA-N 0 0 299.348 2.636 20 5 CFBDRN O=C(O[C@@H]1C=CCC1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 ZINC000780559443 628477190 /nfs/dbraw/zinc/47/71/90/628477190.db2.gz UZKQEDMGYHRTDQ-GFCCVEGCSA-N 0 0 299.286 2.656 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC000781074298 628518732 /nfs/dbraw/zinc/51/87/32/628518732.db2.gz JPJHFCNHWBPKLT-ZDUSSCGKSA-N 0 0 290.319 2.580 20 5 CFBDRN COC1CCC(C(=O)OCc2cccc([N+](=O)[O-])c2)CC1 ZINC000781238879 628535077 /nfs/dbraw/zinc/53/50/77/628535077.db2.gz JBYOKIURTNREMC-UHFFFAOYSA-N 0 0 293.319 2.843 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000781614403 628565880 /nfs/dbraw/zinc/56/58/80/628565880.db2.gz FTPPWQAJCIPFCM-KWQFWETISA-N 0 0 280.299 2.961 20 5 CFBDRN COC1(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000781616019 628566870 /nfs/dbraw/zinc/56/68/70/628566870.db2.gz ZZQBOWPNZYTCKP-SNVBAGLBSA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@@H]1CCC[C@H](COC(=O)c2cc([N+](=O)[O-])cnc2N)C1 ZINC000781668362 628572127 /nfs/dbraw/zinc/57/21/27/628572127.db2.gz RHKFYHIBUITVBE-ZJUUUORDSA-N 0 0 293.323 2.555 20 5 CFBDRN COC1(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])CCC1 ZINC000781733297 628576448 /nfs/dbraw/zinc/57/64/48/628576448.db2.gz GVAKSTQBNZEJOL-UHFFFAOYSA-N 0 0 299.710 2.861 20 5 CFBDRN COC1(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)CCC1 ZINC000781841952 628585498 /nfs/dbraw/zinc/58/54/98/628585498.db2.gz YBCNPXKDXXQFLY-UHFFFAOYSA-N 0 0 299.710 2.861 20 5 CFBDRN Cc1cc(C(=O)NCC2CC(C)(C)C2)c(N)c([N+](=O)[O-])c1 ZINC000781846478 628585609 /nfs/dbraw/zinc/58/56/09/628585609.db2.gz VLEWLGLUJRGFAQ-UHFFFAOYSA-N 0 0 291.351 2.651 20 5 CFBDRN O=C(OCCc1cccc(F)c1F)c1ccc([N+](=O)[O-])o1 ZINC000781856935 628586637 /nfs/dbraw/zinc/58/66/37/628586637.db2.gz MLSWHYKMBRVIRC-UHFFFAOYSA-N 0 0 297.213 2.866 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000781922600 628591564 /nfs/dbraw/zinc/59/15/64/628591564.db2.gz JNJZZZIPSBEDGV-RXMQYKEDSA-N 0 0 299.333 2.734 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1nccs1 ZINC000781966267 628594157 /nfs/dbraw/zinc/59/41/57/628594157.db2.gz KACOYVDJFHMYJM-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CCNc1ccc(C(=O)O[C@@H](C)CCOC)cc1[N+](=O)[O-] ZINC000782007995 628596481 /nfs/dbraw/zinc/59/64/81/628596481.db2.gz KOOPZHBHVOUMHL-JTQLQIEISA-N 0 0 296.323 2.608 20 5 CFBDRN CCNc1ccc(C(=O)O[C@H](C)CCOC)cc1[N+](=O)[O-] ZINC000782007996 628596609 /nfs/dbraw/zinc/59/66/09/628596609.db2.gz KOOPZHBHVOUMHL-SNVBAGLBSA-N 0 0 296.323 2.608 20 5 CFBDRN COCc1cc(Oc2cc(Cl)ccc2[N+](=O)[O-])ncn1 ZINC000782136546 628606604 /nfs/dbraw/zinc/60/66/04/628606604.db2.gz SHFXTSNIXYZXAR-UHFFFAOYSA-N 0 0 295.682 2.977 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000782329438 628629197 /nfs/dbraw/zinc/62/91/97/628629197.db2.gz GXZDOQXRRCEGFL-GQCTYLIASA-N 0 0 290.250 2.819 20 5 CFBDRN CC(=O)c1ccc(N2C[C@H](C)O[C@@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000782403920 628635860 /nfs/dbraw/zinc/63/58/60/628635860.db2.gz INJHQJNUXFVUDC-NHCYSSNCSA-N 0 0 292.335 2.800 20 5 CFBDRN C[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])[C@@H](C)[C@@H](C)O1 ZINC000782410054 628636440 /nfs/dbraw/zinc/63/64/40/628636440.db2.gz NLXCCFUFEVDAEW-KXUCPTDWSA-N 0 0 268.288 2.736 20 5 CFBDRN CO[C@H](C)CCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000782422465 628637046 /nfs/dbraw/zinc/63/70/46/628637046.db2.gz VVKVJUVNIFTBTH-LLVKDONJSA-N 0 0 292.335 2.607 20 5 CFBDRN O=C(COC(=O)C1=CCCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000782456200 628639419 /nfs/dbraw/zinc/63/94/19/628639419.db2.gz IZRYHJGMGKUVDE-UHFFFAOYSA-N 0 0 289.287 2.821 20 5 CFBDRN CC1(C)CC[C@H](C(=O)OCc2cccc([N+](=O)[O-])c2)O1 ZINC000783016178 628669180 /nfs/dbraw/zinc/66/91/80/628669180.db2.gz SNCMKUZTMHLNES-GFCCVEGCSA-N 0 0 279.292 2.596 20 5 CFBDRN CCO[C@H](CC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783176096 628682015 /nfs/dbraw/zinc/68/20/15/628682015.db2.gz RQQUXKIZCAOGFC-GFCCVEGCSA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@H]1CCCCS1 ZINC000783226506 628689211 /nfs/dbraw/zinc/68/92/11/628689211.db2.gz ORIOZVNKKJQPBH-CYBMUJFWSA-N 0 0 295.360 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCSCCO)cc1F ZINC000783589792 628724635 /nfs/dbraw/zinc/72/46/35/628724635.db2.gz NIJDPCWWBBEYLM-UHFFFAOYSA-N 0 0 291.369 2.693 20 5 CFBDRN Cc1cc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)n[nH]1 ZINC000783636308 628729332 /nfs/dbraw/zinc/72/93/32/628729332.db2.gz NGTBYUYXXTZDIJ-UHFFFAOYSA-N 0 0 280.715 2.570 20 5 CFBDRN C[C@@H](OC(=O)CC1(O)CCCC1)c1ccccc1[N+](=O)[O-] ZINC000783722272 628737869 /nfs/dbraw/zinc/73/78/69/628737869.db2.gz DXFPGZCSAAOCBA-LLVKDONJSA-N 0 0 293.319 2.894 20 5 CFBDRN C/C(=C\C(=O)N[C@@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000783771080 628744192 /nfs/dbraw/zinc/74/41/92/628744192.db2.gz BDENUPJJYDCVMO-USKTWTLRSA-N 0 0 274.320 2.913 20 5 CFBDRN C/C(=C\C(=O)N(C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000783933268 628755895 /nfs/dbraw/zinc/75/58/95/628755895.db2.gz YXHBVBYPLMVBFM-MDZDMXLPSA-N 0 0 260.293 2.619 20 5 CFBDRN C[C@@H](OC(=O)c1cc[nH]c1)c1ccccc1[N+](=O)[O-] ZINC000784022598 628764887 /nfs/dbraw/zinc/76/48/87/628764887.db2.gz UFBUITZZQHQVGA-SECBINFHSA-N 0 0 260.249 2.841 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000784024800 628765847 /nfs/dbraw/zinc/76/58/47/628765847.db2.gz UCLMACJBKFKBQZ-YUMQZZPRSA-N 0 0 284.699 2.612 20 5 CFBDRN Cc1c(NC(=O)[C@@H](F)C(C)C)cccc1[N+](=O)[O-] ZINC000784024870 628765860 /nfs/dbraw/zinc/76/58/60/628765860.db2.gz WYZJALOMXJQCID-NSHDSACASA-N 0 0 254.261 2.836 20 5 CFBDRN CC[C@H](COC)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784031744 628766069 /nfs/dbraw/zinc/76/60/69/628766069.db2.gz YZUAYLNNFKQQLU-PRWOLLLXSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000784107314 628776951 /nfs/dbraw/zinc/77/69/51/628776951.db2.gz CZVMNIIBGYOUQZ-WPRPVWTQSA-N 0 0 299.710 2.716 20 5 CFBDRN CC(C)[C@H](F)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000784145354 628782991 /nfs/dbraw/zinc/78/29/91/628782991.db2.gz MHJHHJJSDFNFKO-CABZTGNLSA-N 0 0 268.288 2.766 20 5 CFBDRN Cc1cc(NC(=O)[C@H](F)C(C)C)ccc1[N+](=O)[O-] ZINC000784149861 628783978 /nfs/dbraw/zinc/78/39/78/628783978.db2.gz VPPWJTUIRJGPIT-LLVKDONJSA-N 0 0 254.261 2.836 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC[C@H]2CCOC2)c1 ZINC000784235675 628793766 /nfs/dbraw/zinc/79/37/66/628793766.db2.gz JIVGLKMUUNBRRO-VIFPVBQESA-N 0 0 284.287 2.581 20 5 CFBDRN CC1([C@@H]2CCCN(c3sccc3[N+](=O)[O-])C2)OCCO1 ZINC000784255299 628796047 /nfs/dbraw/zinc/79/60/47/628796047.db2.gz VHJXKDWXTVNVSJ-SNVBAGLBSA-N 0 0 298.364 2.636 20 5 CFBDRN CCC[C@@](C)(O)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000784281169 628799129 /nfs/dbraw/zinc/79/91/29/628799129.db2.gz GTVCLACSFVKZEM-QMTHXVAHSA-N 0 0 281.308 2.750 20 5 CFBDRN Cc1ccn(C)c1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000784293795 628800662 /nfs/dbraw/zinc/80/06/62/628800662.db2.gz GIASMCDHSPNFIL-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784341848 628807422 /nfs/dbraw/zinc/80/74/22/628807422.db2.gz YXQKPRFJOWOWMO-SECBINFHSA-N 0 0 267.256 2.823 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)nc1 ZINC000784349942 628808337 /nfs/dbraw/zinc/80/83/37/628808337.db2.gz HQIUWIPSYLOTSP-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC23CCC(CC2)C3)c1 ZINC000784357450 628809498 /nfs/dbraw/zinc/80/94/98/628809498.db2.gz GZJBBYDAIQUMIZ-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC=C(F)C1 ZINC000784367701 628811341 /nfs/dbraw/zinc/81/13/41/628811341.db2.gz PIWJRTFGTGBDAA-UHFFFAOYSA-N 0 0 266.272 2.805 20 5 CFBDRN COCCC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784582103 628828869 /nfs/dbraw/zinc/82/88/69/628828869.db2.gz IBYUTYYCUMUMBJ-JTQLQIEISA-N 0 0 299.298 2.840 20 5 CFBDRN COC(=O)CCCC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000784724393 628839053 /nfs/dbraw/zinc/83/90/53/628839053.db2.gz SUGQJQKXYPJCPC-JTQLQIEISA-N 0 0 295.291 2.542 20 5 CFBDRN CC(C)[C@](C)(O)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000784787263 628842292 /nfs/dbraw/zinc/84/22/92/628842292.db2.gz XFMBYHKUJUTFBD-HZMBPMFUSA-N 0 0 281.308 2.606 20 5 CFBDRN CO[C@@H](C)COC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784896395 628850228 /nfs/dbraw/zinc/85/02/28/628850228.db2.gz JCUIXZFQQDJVAW-IEHMKBBKSA-N 0 0 279.292 2.576 20 5 CFBDRN COC(C)(C)C(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784937470 628853543 /nfs/dbraw/zinc/85/35/43/628853543.db2.gz KHANAYRDYXIDDF-UHFFFAOYSA-N 0 0 299.348 2.655 20 5 CFBDRN COc1cc(COC(=O)/C=C\C(C)C)ccc1[N+](=O)[O-] ZINC000785062431 628863602 /nfs/dbraw/zinc/86/36/02/628863602.db2.gz ACOBCQYSICKXRI-DAXSKMNVSA-N 0 0 279.292 2.859 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OCCC1(O)CC1 ZINC000785695023 628906728 /nfs/dbraw/zinc/90/67/28/628906728.db2.gz FQJWAQBLLPMPNA-UHFFFAOYSA-N 0 0 299.710 2.628 20 5 CFBDRN C[C@H]1CC[C@H](C)N1CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000785727706 628907777 /nfs/dbraw/zinc/90/77/77/628907777.db2.gz GDKGNERDUCPCLC-UWVGGRQHSA-N 0 0 298.364 2.572 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000785778404 628913592 /nfs/dbraw/zinc/91/35/92/628913592.db2.gz VZDAOBJIUUYNSH-NXEZZACHSA-N 0 0 289.335 2.555 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1cccc(F)c1[N+](=O)[O-] ZINC000785836562 628916394 /nfs/dbraw/zinc/91/63/94/628916394.db2.gz XIIYBSVYCJDYDC-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000785911495 628922019 /nfs/dbraw/zinc/92/20/19/628922019.db2.gz FISCPXGPUOBCKG-ZDUSSCGKSA-N 0 0 293.319 2.638 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000785955583 628926773 /nfs/dbraw/zinc/92/67/73/628926773.db2.gz VLZZYMUUFXFVRR-QMMMGPOBSA-N 0 0 299.710 2.702 20 5 CFBDRN CCCc1nc(C(=O)OCc2csc([N+](=O)[O-])c2)co1 ZINC000786511434 628962880 /nfs/dbraw/zinc/96/28/80/628962880.db2.gz AZJODANRVAFDCF-UHFFFAOYSA-N 0 0 296.304 2.954 20 5 CFBDRN COC(=O)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)[C@@H]1C ZINC000786813769 628980529 /nfs/dbraw/zinc/98/05/29/628980529.db2.gz MRTCQKXOIJPOOI-SCZZXKLOSA-N 0 0 298.726 2.636 20 5 CFBDRN CC(C)(CCC(=O)NC[C@H]1CCC[C@H]1C1CC1)[N+](=O)[O-] ZINC000839810447 628989491 /nfs/dbraw/zinc/98/94/91/628989491.db2.gz WAKKARICQLVSBG-OLZOCXBDSA-N 0 0 282.384 2.764 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCC=CO1)c1ccccc1[N+](=O)[O-] ZINC000787035675 628989493 /nfs/dbraw/zinc/98/94/93/628989493.db2.gz OABDKHILNVJWOM-GWCFXTLKSA-N 0 0 277.276 2.892 20 5 CFBDRN CC(C)n1cnc(C(=O)OCc2ccccc2[N+](=O)[O-])c1 ZINC000787527847 629017193 /nfs/dbraw/zinc/01/71/93/629017193.db2.gz VPSUFBUMRANVBR-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000787720456 629029428 /nfs/dbraw/zinc/02/94/28/629029428.db2.gz RTFVVOQBEAHLEX-GDNZZTSVSA-N 0 0 296.273 2.601 20 5 CFBDRN CSC1(CNc2nc3ccccn3c2[N+](=O)[O-])CC1 ZINC000788557466 629081833 /nfs/dbraw/zinc/08/18/33/629081833.db2.gz WDBCKMVNRJEDIZ-UHFFFAOYSA-N 0 0 278.337 2.550 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@H](O)c1ccco1 ZINC000788835291 629103664 /nfs/dbraw/zinc/10/36/64/629103664.db2.gz WOIYDOBZQIHUIT-ZDUSSCGKSA-N 0 0 299.286 2.882 20 5 CFBDRN Cc1ccc(CNc2cc(Cl)n[nH]c2=O)cc1[N+](=O)[O-] ZINC000788864808 629105536 /nfs/dbraw/zinc/10/55/36/629105536.db2.gz CJSQSESWKHWMKG-UHFFFAOYSA-N 0 0 294.698 2.664 20 5 CFBDRN C[C@H]1CC[C@H](COC(=O)c2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000789000830 629115577 /nfs/dbraw/zinc/11/55/77/629115577.db2.gz QGVFHRLNBILQMP-WCBMZHEXSA-N 0 0 299.710 2.973 20 5 CFBDRN C[C@@H]1CC[C@@H](COC(=O)c2cc([N+](=O)[O-])ccc2Cl)O1 ZINC000789002151 629116374 /nfs/dbraw/zinc/11/63/74/629116374.db2.gz UNTFFBCZGZLYML-SCZZXKLOSA-N 0 0 299.710 2.973 20 5 CFBDRN C/C=C(\CC)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000789225994 629137314 /nfs/dbraw/zinc/13/73/14/629137314.db2.gz FJPCAGDFVMOUKC-XCVCLJGOSA-N 0 0 277.276 2.677 20 5 CFBDRN CCOC1CC(CC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000789398898 629153410 /nfs/dbraw/zinc/15/34/10/629153410.db2.gz FBBWGSVSAKHRFA-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN CSC1(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)CC1 ZINC000789614225 629174129 /nfs/dbraw/zinc/17/41/29/629174129.db2.gz NWXOLKULBIECML-UHFFFAOYSA-N 0 0 288.275 2.707 20 5 CFBDRN C[C@]1(C2CC2)CN(Cc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000852324954 634722715 /nfs/dbraw/zinc/72/27/15/634722715.db2.gz WIDWMEATRWLXJC-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])c1Cl ZINC000790987230 629277869 /nfs/dbraw/zinc/27/78/69/629277869.db2.gz PBBZQEFWCXDRJR-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN CN(CC[N+](=O)[O-])Cc1cc(Cl)cc(Cl)c1 ZINC000791053201 629284004 /nfs/dbraw/zinc/28/40/04/629284004.db2.gz JBAXRKMTNHBMLP-UHFFFAOYSA-N 0 0 263.124 2.702 20 5 CFBDRN NC(=O)c1ccc(CNc2cc(Cl)ccc2[N+](=O)[O-])o1 ZINC000791413020 629311417 /nfs/dbraw/zinc/31/14/17/629311417.db2.gz ITMDOWGQCAVKNQ-UHFFFAOYSA-N 0 0 295.682 2.552 20 5 CFBDRN CCCCC(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791496786 629321044 /nfs/dbraw/zinc/32/10/44/629321044.db2.gz FWZXRDVMOLUPGZ-UHFFFAOYSA-N 0 0 282.252 2.736 20 5 CFBDRN CO[C@H](C(=O)OCc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000791593455 629337714 /nfs/dbraw/zinc/33/77/14/629337714.db2.gz KOPKXXDJEYSPQG-LBPRGKRZSA-N 0 0 299.710 2.716 20 5 CFBDRN COc1cc(C(=O)OCCC2CC2)cc([N+](=O)[O-])c1C ZINC000791693404 629346571 /nfs/dbraw/zinc/34/65/71/629346571.db2.gz YTKJTVQRLUKZBR-UHFFFAOYSA-N 0 0 279.292 2.869 20 5 CFBDRN O=C(OCCCCCF)c1csc([N+](=O)[O-])c1 ZINC000791748591 629352354 /nfs/dbraw/zinc/35/23/54/629352354.db2.gz NLOYYTQLNYYMMT-UHFFFAOYSA-N 0 0 261.274 2.953 20 5 CFBDRN Cn1c(C(=O)Oc2ccc3cccnc3c2)ccc1[N+](=O)[O-] ZINC000791848185 629366223 /nfs/dbraw/zinc/36/62/23/629366223.db2.gz CVYOHTGWGOXVIY-UHFFFAOYSA-N 0 0 297.270 2.701 20 5 CFBDRN Cc1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c([N+](=O)[O-])c1 ZINC000835071276 629368981 /nfs/dbraw/zinc/36/89/81/629368981.db2.gz NWPIKQZCQUWMNC-UHFFFAOYSA-N 0 0 296.279 2.644 20 5 CFBDRN COc1cc(C(=O)OCCC(C)C)c([N+](=O)[O-])cc1F ZINC000835079573 629375383 /nfs/dbraw/zinc/37/53/83/629375383.db2.gz ZBKXTKMJVQLHEP-UHFFFAOYSA-N 0 0 285.271 2.945 20 5 CFBDRN COc1ccc(COC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000835082056 629379906 /nfs/dbraw/zinc/37/99/06/629379906.db2.gz FSMRWKAVGJZLJA-UHFFFAOYSA-N 0 0 281.308 2.574 20 5 CFBDRN O=C(CC1CCC1)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791965714 629383130 /nfs/dbraw/zinc/38/31/30/629383130.db2.gz JUAVTCKPBDDRNP-UHFFFAOYSA-N 0 0 294.263 2.736 20 5 CFBDRN CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H](C)O ZINC000792090165 629396632 /nfs/dbraw/zinc/39/66/32/629396632.db2.gz WPYLURLHUIILKK-SECBINFHSA-N 0 0 299.348 2.633 20 5 CFBDRN COc1ccc(OC(=O)Cc2ccc(C)o2)cc1[N+](=O)[O-] ZINC000792123680 629399994 /nfs/dbraw/zinc/39/99/94/629399994.db2.gz JXNUYVVNIWYPOV-UHFFFAOYSA-N 0 0 291.259 2.653 20 5 CFBDRN CC[C@H](C)OC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835099559 629401731 /nfs/dbraw/zinc/40/17/31/629401731.db2.gz ZRDHHEMBZSYJGK-JTQLQIEISA-N 0 0 279.292 2.899 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CCC(F)(F)CC1 ZINC000792810985 629454237 /nfs/dbraw/zinc/45/42/37/629454237.db2.gz YSUCYPDOAPGLOE-UHFFFAOYSA-N 0 0 299.277 2.536 20 5 CFBDRN CC(C)(C)SCCOC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835156205 629470969 /nfs/dbraw/zinc/47/09/69/629470969.db2.gz UAYVBZUOCWAGNK-UHFFFAOYSA-N 0 0 277.386 2.897 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CC1(Cl)Cl)[N+](=O)[O-] ZINC000835205371 629518975 /nfs/dbraw/zinc/51/89/75/629518975.db2.gz PSNYXSAHOUPDQA-SSDOTTSWSA-N 0 0 284.139 2.559 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000793434002 629546782 /nfs/dbraw/zinc/54/67/82/629546782.db2.gz UQUMXWHZBASVBN-VXNVDRBHSA-N 0 0 285.321 2.547 20 5 CFBDRN CC[C@@H]1CCN1Cn1nc(C)c2ccc([N+](=O)[O-])cc21 ZINC000793807556 629596432 /nfs/dbraw/zinc/59/64/32/629596432.db2.gz BBFKUTZNTCNNKB-LLVKDONJSA-N 0 0 274.324 2.695 20 5 CFBDRN Cc1cc(COC(=O)/C=C\[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000835287755 629599138 /nfs/dbraw/zinc/59/91/38/629599138.db2.gz SOANGJKENSFQEM-KTLBGCOUSA-N 0 0 291.303 2.539 20 5 CFBDRN CC/C(C)=C/C(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000835306220 629611721 /nfs/dbraw/zinc/61/17/21/629611721.db2.gz AOQPFFYCQXHKDY-VQHVLOKHSA-N 0 0 293.275 2.643 20 5 CFBDRN O=C(CSC1CC1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000835319865 629624275 /nfs/dbraw/zinc/62/42/75/629624275.db2.gz USUOHBAGCGLVCQ-UHFFFAOYSA-N 0 0 281.333 2.576 20 5 CFBDRN CC(C)(CCC(=O)O[C@H]1CC(C)(C)OC1(C)C)[N+](=O)[O-] ZINC000835331850 629636071 /nfs/dbraw/zinc/63/60/71/629636071.db2.gz IPAWTUWUXBRHHW-JTQLQIEISA-N 0 0 287.356 2.711 20 5 CFBDRN COCCN(CCF)[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000852480711 634756585 /nfs/dbraw/zinc/75/65/85/634756585.db2.gz AOQWJMLSIIJPGP-GFCCVEGCSA-N 0 0 284.331 2.882 20 5 CFBDRN COc1cc(C(=O)OCC(C)(C)F)c([N+](=O)[O-])cc1F ZINC000835335433 629638132 /nfs/dbraw/zinc/63/81/32/629638132.db2.gz MSAARCMEMWGFRP-UHFFFAOYSA-N 0 0 289.234 2.647 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C2CC2)C1 ZINC000794498563 629651190 /nfs/dbraw/zinc/65/11/90/629651190.db2.gz UWXKLQLPKPHQEU-FLIBITNWSA-N 0 0 286.331 2.867 20 5 CFBDRN C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1ncccc1F ZINC000835378101 629667558 /nfs/dbraw/zinc/66/75/58/629667558.db2.gz MVWCPKHNMXQVDL-SECBINFHSA-N 0 0 284.287 2.660 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1occ2c1CCC2 ZINC000794841629 629693232 /nfs/dbraw/zinc/69/32/32/629693232.db2.gz VGGNICDGOONKMK-UHFFFAOYSA-N 0 0 273.244 2.896 20 5 CFBDRN CC[C@@H](C)CONC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000794854699 629695466 /nfs/dbraw/zinc/69/54/66/629695466.db2.gz WFVUPESYANZBCL-GHMZBOCLSA-N 0 0 280.324 2.792 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)CC(C)(C)O ZINC000835420972 629707075 /nfs/dbraw/zinc/70/70/75/629707075.db2.gz HDXOPNIGIPMXJB-JTQLQIEISA-N 0 0 281.308 2.610 20 5 CFBDRN C[C@H](CC(C)(C)O)OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000835427489 629712724 /nfs/dbraw/zinc/71/27/24/629712724.db2.gz YDJZPSBRLALXRC-DHHDDZJSSA-N 0 0 293.319 2.701 20 5 CFBDRN Cc1nccc(CN2c3ccc([N+](=O)[O-])cc3C[C@H]2C)n1 ZINC000795050923 629730162 /nfs/dbraw/zinc/73/01/62/629730162.db2.gz OKOJDSQEUCYOEL-SNVBAGLBSA-N 0 0 284.319 2.644 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](CCO)C2CC2)c([N+](=O)[O-])c1 ZINC000795100719 629734155 /nfs/dbraw/zinc/73/41/55/629734155.db2.gz KXTNJXAZAVBWQV-LBPRGKRZSA-N 0 0 292.335 2.760 20 5 CFBDRN CCC(=O)c1ccc(N2CCO[C@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000795112696 629735250 /nfs/dbraw/zinc/73/52/50/629735250.db2.gz LBDXJKVYFYGUSO-GHMZBOCLSA-N 0 0 292.335 2.801 20 5 CFBDRN CCC(=O)c1ccc(N[C@](C)(CO)C2CC2)c([N+](=O)[O-])c1 ZINC000795113262 629736221 /nfs/dbraw/zinc/73/62/21/629736221.db2.gz ZBCQMSYSCQNRDP-OAHLLOKOSA-N 0 0 292.335 2.760 20 5 CFBDRN CCc1c2ccccc2oc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000835453300 629737613 /nfs/dbraw/zinc/73/76/13/629737613.db2.gz AAAHZCJICJBVHD-VIFPVBQESA-N 0 0 277.276 2.817 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1cccnc1F ZINC000795226342 629748527 /nfs/dbraw/zinc/74/85/27/629748527.db2.gz XZTZXOHTRVAPLK-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H]1CCC[C@H]1c1ccccc1 ZINC000835468100 629755469 /nfs/dbraw/zinc/75/54/69/629755469.db2.gz YNPQMODSRIOIAK-XBFCOCLRSA-N 0 0 277.320 2.779 20 5 CFBDRN COc1cc(N2CCC(F)(F)CC2)c(F)cc1[N+](=O)[O-] ZINC000795273025 629755915 /nfs/dbraw/zinc/75/59/15/629755915.db2.gz VCPLBNLUVBFKJC-UHFFFAOYSA-N 0 0 290.241 2.978 20 5 CFBDRN Cc1ccc(COC(=O)[C@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000835478361 629765032 /nfs/dbraw/zinc/76/50/32/629765032.db2.gz BEONIHYYLMDLNV-GFCCVEGCSA-N 0 0 269.272 2.941 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835480328 629769851 /nfs/dbraw/zinc/76/98/51/629769851.db2.gz IEJMXTYDPJZTGC-RYUDHWBXSA-N 0 0 295.335 2.865 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835483180 629773664 /nfs/dbraw/zinc/77/36/64/629773664.db2.gz DCSVVEBBJYQORY-SECBINFHSA-N 0 0 251.282 2.993 20 5 CFBDRN Cc1ccc(COC(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000835483319 629774904 /nfs/dbraw/zinc/77/49/04/629774904.db2.gz HECQXCXTAZNIIY-UHFFFAOYSA-N 0 0 251.282 2.993 20 5 CFBDRN Cc1ccc(COC(=O)[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000835485793 629776179 /nfs/dbraw/zinc/77/61/79/629776179.db2.gz QKWBERXWUSGVAI-SNVBAGLBSA-N 0 0 263.293 2.993 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000835485791 629776481 /nfs/dbraw/zinc/77/64/81/629776481.db2.gz QKWBERXWUSGVAI-JTQLQIEISA-N 0 0 263.293 2.993 20 5 CFBDRN COC(C)(C)COC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000835498235 629791087 /nfs/dbraw/zinc/79/10/87/629791087.db2.gz FRUAYVBZBRXFCI-LUAWRHEFSA-N 0 0 293.319 2.966 20 5 CFBDRN COc1cc(OCC2(O)CCCCC2)ccc1[N+](=O)[O-] ZINC000795542925 629797341 /nfs/dbraw/zinc/79/73/41/629797341.db2.gz KBIRSNIOTPCGOG-UHFFFAOYSA-N 0 0 281.308 2.677 20 5 CFBDRN CCC[C@H](COC(=O)c1ccc(OC)c([N+](=O)[O-])c1)OC ZINC000835536589 629816476 /nfs/dbraw/zinc/81/64/76/629816476.db2.gz FAXMHACRKQCSMS-LLVKDONJSA-N 0 0 297.307 2.575 20 5 CFBDRN CCC[C@H](COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000835537963 629819751 /nfs/dbraw/zinc/81/97/51/629819751.db2.gz NWALCCAKNQRTAC-DNGMOHDESA-N 0 0 293.319 2.966 20 5 CFBDRN CCC[C@H](COC(=O)c1ccc(C)c([N+](=O)[O-])c1)OC ZINC000835539640 629822262 /nfs/dbraw/zinc/82/22/62/629822262.db2.gz YSFSAKBUQUBYFN-GFCCVEGCSA-N 0 0 281.308 2.875 20 5 CFBDRN CCC[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1OC)OC ZINC000835546518 629833616 /nfs/dbraw/zinc/83/36/16/629833616.db2.gz UFWRKEZYERUTIN-NSHDSACASA-N 0 0 297.307 2.575 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000795998376 629860290 /nfs/dbraw/zinc/86/02/90/629860290.db2.gz GLDLNGGLGNHYPZ-FZMZJTMJSA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000796009316 629863099 /nfs/dbraw/zinc/86/30/99/629863099.db2.gz RULAVQYQVSNOAG-TVQRCGJNSA-N 0 0 299.298 2.838 20 5 CFBDRN O=C(CCC(F)F)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000796021325 629865366 /nfs/dbraw/zinc/86/53/66/629865366.db2.gz UJYADFMVMWGTKI-UHFFFAOYSA-N 0 0 289.234 2.562 20 5 CFBDRN CC/C=C\CCOC(=O)c1n[nH]c(CCC)c1[N+](=O)[O-] ZINC000796261638 629897318 /nfs/dbraw/zinc/89/73/18/629897318.db2.gz WYPOFBPLUKZHEZ-WAYWQWQTSA-N 0 0 281.312 2.784 20 5 CFBDRN CC[C@H](C)[C@H](COC(=O)c1ccccc1[N+](=O)[O-])OC ZINC000835644098 629911321 /nfs/dbraw/zinc/91/13/21/629911321.db2.gz PIVAORZPWINCFM-GWCFXTLKSA-N 0 0 281.308 2.813 20 5 CFBDRN CC[C@@](C)(OC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000796405817 629914343 /nfs/dbraw/zinc/91/43/43/629914343.db2.gz QEPRBDGKFJHZNL-CYBMUJFWSA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@]12COC[C@H]1CN(Cc1cc([N+](=O)[O-])ccc1Cl)C2 ZINC000852534546 634773262 /nfs/dbraw/zinc/77/32/62/634773262.db2.gz MMLMWVONCUPTAW-RISCZKNCSA-N 0 0 296.754 2.717 20 5 CFBDRN CC(C)(C(=O)OCCCCCO)c1ccccc1[N+](=O)[O-] ZINC000796440474 629918019 /nfs/dbraw/zinc/91/80/19/629918019.db2.gz AUHRTCGWKQKXFS-UHFFFAOYSA-N 0 0 295.335 2.578 20 5 CFBDRN COCC[C@@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796441739 629918481 /nfs/dbraw/zinc/91/84/81/629918481.db2.gz LFTOTVIECFYNPM-LLVKDONJSA-N 0 0 295.335 2.841 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC[C@@H](C)SC)c1 ZINC000835661209 629924428 /nfs/dbraw/zinc/92/44/28/629924428.db2.gz ACXIKNADWUQOLV-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN CSC[C@H](C)COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000835664835 629927452 /nfs/dbraw/zinc/92/74/52/629927452.db2.gz CAPDCUQBYARQEQ-SNVBAGLBSA-N 0 0 283.349 2.680 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000796532795 629931242 /nfs/dbraw/zinc/93/12/42/629931242.db2.gz GIFJKIXTLVEACV-UFBFGSQYSA-N 0 0 284.287 2.734 20 5 CFBDRN CSC[C@H](C)COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000835673627 629935529 /nfs/dbraw/zinc/93/55/29/629935529.db2.gz AKUHRUJMYQZGHW-SNVBAGLBSA-N 0 0 297.376 2.988 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H](C)CSC)c1 ZINC000835673935 629935738 /nfs/dbraw/zinc/93/57/38/629935738.db2.gz WAGQPYBJTZVZOH-SECBINFHSA-N 0 0 299.348 2.759 20 5 CFBDRN CS[C@@H](C)COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835677380 629940443 /nfs/dbraw/zinc/94/04/43/629940443.db2.gz OOZSUVODWVUGQY-QMMMGPOBSA-N 0 0 255.295 2.503 20 5 CFBDRN CCC[C@](C)(CC)C(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000796656775 629946421 /nfs/dbraw/zinc/94/64/21/629946421.db2.gz LHDWRYVOZDWTQE-AWEZNQCLSA-N 0 0 297.355 2.859 20 5 CFBDRN Cc1ccc(OC(=O)C[C@H]2CCC(=O)[C@H]2C)cc1[N+](=O)[O-] ZINC000796786024 629966852 /nfs/dbraw/zinc/96/68/52/629966852.db2.gz FJKKJAOFUAKIOR-WDEREUQCSA-N 0 0 291.303 2.814 20 5 CFBDRN COc1c(C(=O)OCC(C)(C)SC)cccc1[N+](=O)[O-] ZINC000796807130 629970056 /nfs/dbraw/zinc/97/00/56/629970056.db2.gz LJWJVGUCRLKIBM-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN COc1c(C(=O)OC2CC3(CC3)C2)cccc1[N+](=O)[O-] ZINC000835726415 629990594 /nfs/dbraw/zinc/99/05/94/629990594.db2.gz IELUPFAEJHHQNG-UHFFFAOYSA-N 0 0 277.276 2.703 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000852558460 634779582 /nfs/dbraw/zinc/77/95/82/634779582.db2.gz ALZDVTSAXAHJON-BXKDBHETSA-N 0 0 284.743 2.593 20 5 CFBDRN CC(C)(C(=O)O[C@H]1CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000796987805 629999977 /nfs/dbraw/zinc/99/99/77/629999977.db2.gz ZSUGMPZXAUZSMN-NSHDSACASA-N 0 0 293.319 2.595 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000797155781 630029869 /nfs/dbraw/zinc/02/98/69/630029869.db2.gz UWAHSLHYTSNJPO-ZIAGYGMSSA-N 0 0 293.319 2.638 20 5 CFBDRN COc1cccc(C(=O)O[C@H](C)[C@H](C)SC)c1[N+](=O)[O-] ZINC000797225280 630043416 /nfs/dbraw/zinc/04/34/16/630043416.db2.gz UYUHEBSXMMYKNL-BDAKNGLRSA-N 0 0 299.348 2.900 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000797356862 630060290 /nfs/dbraw/zinc/06/02/90/630060290.db2.gz IIAHJCDZTPFNPG-JTQLQIEISA-N 0 0 267.329 2.632 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H](C)CSC ZINC000797474208 630070849 /nfs/dbraw/zinc/07/08/49/630070849.db2.gz ASWJTFOLYBIRNS-SECBINFHSA-N 0 0 299.348 2.646 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1OC ZINC000797472181 630071013 /nfs/dbraw/zinc/07/10/13/630071013.db2.gz FCHAKFKTIZOAKS-SECBINFHSA-N 0 0 267.281 2.693 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H]1CC1(C)C ZINC000797476426 630071530 /nfs/dbraw/zinc/07/15/30/630071530.db2.gz PJYNLMRHMNLEMW-LLVKDONJSA-N 0 0 279.292 2.693 20 5 CFBDRN Cc1cc(OCC(=O)O[C@@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000797495615 630074040 /nfs/dbraw/zinc/07/40/40/630074040.db2.gz KHSHOVLRNOXUFH-GFCCVEGCSA-N 0 0 291.303 2.934 20 5 CFBDRN CSCC[C@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000835819729 630087201 /nfs/dbraw/zinc/08/72/01/630087201.db2.gz USHBMQXVGJOTLO-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN Cc1cc(C(=O)N2CC(C)=C[C@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000797777190 630102013 /nfs/dbraw/zinc/10/20/13/630102013.db2.gz VWHMEEDXVWGQCV-JTQLQIEISA-N 0 0 289.335 2.524 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000797777448 630102809 /nfs/dbraw/zinc/10/28/09/630102809.db2.gz HNDNTHSVJDBYOV-VIFPVBQESA-N 0 0 278.283 2.772 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)C12CCC2 ZINC000835884961 630133731 /nfs/dbraw/zinc/13/37/31/630133731.db2.gz NWDAPVCYFMELCO-STQMWFEESA-N 0 0 291.303 2.709 20 5 CFBDRN CC[C@@](C)(COC(=O)c1ccc(C)c([N+](=O)[O-])c1)OC ZINC000835958763 630179905 /nfs/dbraw/zinc/17/99/05/630179905.db2.gz VRFDEJRNCKAJQV-AWEZNQCLSA-N 0 0 281.308 2.875 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=S)NCC(C)C ZINC000798374186 630182798 /nfs/dbraw/zinc/18/27/98/630182798.db2.gz GQBAEGMGFXBPFG-UHFFFAOYSA-N 0 0 297.380 2.936 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)cc(F)c2[N+](=O)[O-])CCCO1 ZINC000798417786 630189314 /nfs/dbraw/zinc/18/93/14/630189314.db2.gz MZSUJGLUWORRJF-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@H]2C[C@H]2C1 ZINC000852633949 634793945 /nfs/dbraw/zinc/79/39/45/634793945.db2.gz DPBUTWLXEKKWMW-UWVGGRQHSA-N 0 0 250.273 2.576 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(=O)C1CC1 ZINC000798643139 630220726 /nfs/dbraw/zinc/22/07/26/630220726.db2.gz ADWVWFRSMNEXAA-SSDOTTSWSA-N 0 0 297.694 2.773 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1C(=O)OCCC1CCCCCC1 ZINC000798876709 630252383 /nfs/dbraw/zinc/25/23/83/630252383.db2.gz ZJGFYZQLHZIEPY-UHFFFAOYSA-N 0 0 295.339 2.846 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1csnn1 ZINC000836093667 630289282 /nfs/dbraw/zinc/28/92/82/630289282.db2.gz HRPLYMNTPAONDO-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1cc(C2CC2)no1 ZINC000799321420 630297475 /nfs/dbraw/zinc/29/74/75/630297475.db2.gz COFLZRHRUBPTMN-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN CC(C)CC1(COC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000799662253 630329217 /nfs/dbraw/zinc/32/92/17/630329217.db2.gz HBJXKAFGEKUTKO-UHFFFAOYSA-N 0 0 280.324 2.916 20 5 CFBDRN CSC1(COC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000799687676 630335773 /nfs/dbraw/zinc/33/57/73/630335773.db2.gz HTYUQGZCCFCGNQ-UHFFFAOYSA-N 0 0 267.306 2.647 20 5 CFBDRN COc1ccc(OC(=O)C(C)(C)CSC)c([N+](=O)[O-])c1 ZINC000799860101 630348896 /nfs/dbraw/zinc/34/88/96/630348896.db2.gz VIHNOCZOGIHVIM-UHFFFAOYSA-N 0 0 299.348 2.898 20 5 CFBDRN Cc1cc(Cl)nc(CNc2ncccc2[N+](=O)[O-])c1 ZINC000799965368 630356733 /nfs/dbraw/zinc/35/67/33/630356733.db2.gz ZVOHSWSTHNABMU-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000800394090 630378092 /nfs/dbraw/zinc/37/80/92/630378092.db2.gz XZYIYSGQAMWDFP-IMSIIYSGSA-N 0 0 293.319 2.876 20 5 CFBDRN CN(Cc1ccsc1)c1cc(N)ccc1[N+](=O)[O-] ZINC000800846845 630410693 /nfs/dbraw/zinc/41/06/93/630410693.db2.gz YDLYPQPEIKUYIN-UHFFFAOYSA-N 0 0 263.322 2.875 20 5 CFBDRN CCn1nc(C)c(CNc2cc(N)ccc2[N+](=O)[O-])c1C ZINC000800848803 630410959 /nfs/dbraw/zinc/41/09/59/630410959.db2.gz FACFATPYMDSHKH-UHFFFAOYSA-N 0 0 289.339 2.622 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCOC2CCCC2)c1 ZINC000800848627 630411076 /nfs/dbraw/zinc/41/10/76/630411076.db2.gz URWDYGMZFCYIPR-UHFFFAOYSA-N 0 0 265.313 2.548 20 5 CFBDRN Cc1ccc(CCNc2cc(N)ccc2[N+](=O)[O-])cn1 ZINC000800851853 630411077 /nfs/dbraw/zinc/41/10/77/630411077.db2.gz WVRPIWZHUMPEMP-UHFFFAOYSA-N 0 0 272.308 2.535 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC[C@H](O)c2ccccc2)c1 ZINC000800856818 630411632 /nfs/dbraw/zinc/41/16/32/630411632.db2.gz WDVUWWIOVJSNJA-HNNXBMFYSA-N 0 0 287.319 2.713 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCNc2ccccc2)c1 ZINC000800853375 630411658 /nfs/dbraw/zinc/41/16/58/630411658.db2.gz OVPBLMDOSHVCKL-UHFFFAOYSA-N 0 0 272.308 2.701 20 5 CFBDRN Cc1ccc(CN(C)c2cc(N)ccc2[N+](=O)[O-])nc1 ZINC000800869195 630412526 /nfs/dbraw/zinc/41/25/26/630412526.db2.gz CUIKXDGJZJSAGD-UHFFFAOYSA-N 0 0 272.308 2.517 20 5 CFBDRN Cc1nc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])co1 ZINC000801395914 630444380 /nfs/dbraw/zinc/44/43/80/630444380.db2.gz FHHRXOJPWZITFF-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCCCO1 ZINC000801675950 630465301 /nfs/dbraw/zinc/46/53/01/630465301.db2.gz DSYPNDANGDTHMR-UHFFFAOYSA-N 0 0 285.687 2.808 20 5 CFBDRN CC[N@H+](Cc1cc([N+](=O)[O-])ccc1Cl)[C@@H](C)C(=O)[O-] ZINC000852734166 634816814 /nfs/dbraw/zinc/81/68/14/634816814.db2.gz FMULOVWNYZDJEG-QMMMGPOBSA-N 0 0 286.715 2.543 20 5 CFBDRN Cc1c([C@@H]2C[C@H]2Nc2sccc2[N+](=O)[O-])cnn1C ZINC000802723223 630540872 /nfs/dbraw/zinc/54/08/72/630540872.db2.gz DUQQYXJPYDCSML-WCBMZHEXSA-N 0 0 278.337 2.666 20 5 CFBDRN C[C@@H](C(=O)O[C@@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000802901910 630562583 /nfs/dbraw/zinc/56/25/83/630562583.db2.gz MCLOQXNLUYMLQK-OTYXRUKQSA-N 0 0 297.282 2.560 20 5 CFBDRN C[C@H](C(=O)O[C@@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000802901913 630562650 /nfs/dbraw/zinc/56/26/50/630562650.db2.gz MCLOQXNLUYMLQK-XPTSAGLGSA-N 0 0 297.282 2.560 20 5 CFBDRN CSc1ccc(C(=O)OCCCCCO)cc1[N+](=O)[O-] ZINC000803217896 630590652 /nfs/dbraw/zinc/59/06/52/630590652.db2.gz GUGIIAHFIYWRMB-UHFFFAOYSA-N 0 0 299.348 2.636 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])OCCCCF ZINC000803292482 630599774 /nfs/dbraw/zinc/59/97/74/630599774.db2.gz RLLYOSIYDUEBMU-UHFFFAOYSA-N 0 0 285.271 2.657 20 5 CFBDRN Cc1cc(C(=O)OCCSC(C)C)c(N)c([N+](=O)[O-])c1 ZINC000803336429 630603167 /nfs/dbraw/zinc/60/31/67/630603167.db2.gz YJMDBYYRWVWZGM-UHFFFAOYSA-N 0 0 298.364 2.784 20 5 CFBDRN C/C=C(/C)C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803382839 630610022 /nfs/dbraw/zinc/61/00/22/630610022.db2.gz DJFOZRNJVLBFMX-CLTKARDFSA-N 0 0 271.219 2.882 20 5 CFBDRN O=C(Cc1ccco1)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803395480 630611893 /nfs/dbraw/zinc/61/18/93/630611893.db2.gz MBAIZFRAIMNMDA-UHFFFAOYSA-N 0 0 297.213 2.752 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OCCF ZINC000803435267 630617532 /nfs/dbraw/zinc/61/75/32/630617532.db2.gz ROZXGRJJERANLL-UHFFFAOYSA-N 0 0 261.636 2.683 20 5 CFBDRN CNc1c(C(=O)OCCSC(C)C)cccc1[N+](=O)[O-] ZINC000803438020 630617980 /nfs/dbraw/zinc/61/79/80/630617980.db2.gz ZKLCSEXWUUYXRU-UHFFFAOYSA-N 0 0 298.364 2.935 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCc2nccs2)c1F ZINC000803462103 630620441 /nfs/dbraw/zinc/62/04/41/630620441.db2.gz MHDWOAFUXONPJP-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1nc(C(=O)OCc2c(F)cc([N+](=O)[O-])cc2F)co1 ZINC000803525433 630627267 /nfs/dbraw/zinc/62/72/67/630627267.db2.gz PFYXOEHYIVAYFR-UHFFFAOYSA-N 0 0 298.201 2.526 20 5 CFBDRN Cc1c(C(=O)O[C@H]2CCC(C)(C)C2=O)cccc1[N+](=O)[O-] ZINC000840018191 630657501 /nfs/dbraw/zinc/65/75/01/630657501.db2.gz CISBTZQEXYHFIP-LBPRGKRZSA-N 0 0 291.303 2.818 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC[C@H]2C[C@H]21 ZINC000804299131 630669590 /nfs/dbraw/zinc/66/95/90/630669590.db2.gz WYUQFCQDFNLLIF-QPUJVOFHSA-N 0 0 280.711 2.873 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@H]2C[C@H]21 ZINC000804302867 630669908 /nfs/dbraw/zinc/66/99/08/630669908.db2.gz KPNYOXXEKFXXMQ-GZMMTYOYSA-N 0 0 280.711 2.873 20 5 CFBDRN C[C@H]1C[C@H](C)CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804315242 630670802 /nfs/dbraw/zinc/67/08/02/630670802.db2.gz IFQWTGWWWNVJJE-UWVGGRQHSA-N 0 0 279.296 2.985 20 5 CFBDRN NC(=O)c1cccc(Oc2c(F)cccc2[N+](=O)[O-])c1 ZINC000804347901 630674489 /nfs/dbraw/zinc/67/44/89/630674489.db2.gz SJQPSEQPGBKTTD-UHFFFAOYSA-N 0 0 276.223 2.625 20 5 CFBDRN CSc1cnc(NCCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000804371264 630676759 /nfs/dbraw/zinc/67/67/59/630676759.db2.gz DFRHPCLPFFMIEE-UHFFFAOYSA-N 0 0 290.348 2.761 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H](O)CC(C)C ZINC000804392862 630678783 /nfs/dbraw/zinc/67/87/83/630678783.db2.gz SLDUOVRRNUBXOJ-LBPRGKRZSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1cccnc1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804432114 630680713 /nfs/dbraw/zinc/68/07/13/630680713.db2.gz RLZKECOHUAPOJO-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804448834 630682547 /nfs/dbraw/zinc/68/25/47/630682547.db2.gz QUTZKLZAKZIJOJ-LBPRGKRZSA-N 0 0 297.311 2.712 20 5 CFBDRN CC1(C)C[C@@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804451637 630682552 /nfs/dbraw/zinc/68/25/52/630682552.db2.gz QKEFVRGRPSGCHP-MRVPVSSYSA-N 0 0 265.269 2.961 20 5 CFBDRN COC[C@@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000804483985 630686290 /nfs/dbraw/zinc/68/62/90/630686290.db2.gz KCOLAOYIEOSZJU-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1ncc(C)o1 ZINC000804525708 630689211 /nfs/dbraw/zinc/68/92/11/630689211.db2.gz MQPFREVMRLYLHZ-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC1CCC(C)(O)CC1 ZINC000804535649 630690888 /nfs/dbraw/zinc/69/08/88/630690888.db2.gz MYMJTGXITBRVRE-UHFFFAOYSA-N 0 0 292.335 2.903 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC[C@@H]1CCCS1 ZINC000804544690 630692225 /nfs/dbraw/zinc/69/22/25/630692225.db2.gz OWEPCOMVNARHPP-QMMMGPOBSA-N 0 0 283.309 2.811 20 5 CFBDRN Nc1ccc(NCCC[C@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000804561554 630693244 /nfs/dbraw/zinc/69/32/44/630693244.db2.gz KOUWUCGRURAKJU-IAQYHMDHSA-N 0 0 293.367 2.920 20 5 CFBDRN CN(Cc1ccc(O)cc1)c1ccc(N)cc1[N+](=O)[O-] ZINC000804579425 630756955 /nfs/dbraw/zinc/75/69/55/630756955.db2.gz VYEBAZATGDQUKV-UHFFFAOYSA-N 0 0 273.292 2.519 20 5 CFBDRN Nc1ccc(N2CCc3cccc(F)c3C2)c([N+](=O)[O-])c1 ZINC000804587443 630761640 /nfs/dbraw/zinc/76/16/40/630761640.db2.gz OOZIQILKDINXCK-UHFFFAOYSA-N 0 0 287.294 2.879 20 5 CFBDRN Nc1ccc(N2CCc3cc(F)ccc3C2)c([N+](=O)[O-])c1 ZINC000804588322 630762087 /nfs/dbraw/zinc/76/20/87/630762087.db2.gz SRTYVOFECQRFKE-UHFFFAOYSA-N 0 0 287.294 2.879 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)[C@H](F)C1 ZINC000804597179 630762642 /nfs/dbraw/zinc/76/26/42/630762642.db2.gz DVZJURUMRMYVGA-NOZJJQNGSA-N 0 0 280.299 2.982 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1nnc(C)s1 ZINC000804605656 630763948 /nfs/dbraw/zinc/76/39/48/630763948.db2.gz PPCAARFRESSIBQ-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN COC(=O)[C@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])[C@@H]1C ZINC000804646261 630768130 /nfs/dbraw/zinc/76/81/30/630768130.db2.gz VUXSKMKJHOPNHV-SCZZXKLOSA-N 0 0 298.726 2.636 20 5 CFBDRN C[C@H]1CSCCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804648468 630769039 /nfs/dbraw/zinc/76/90/39/630769039.db2.gz DSWQKRDWCDDXBQ-SECBINFHSA-N 0 0 297.336 2.692 20 5 CFBDRN Cn1ncnc1Sc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804648987 630769055 /nfs/dbraw/zinc/76/90/55/630769055.db2.gz NUQRFAKIQQOLBI-UHFFFAOYSA-N 0 0 270.701 2.528 20 5 CFBDRN CON(CC(C)(C)C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000806615738 630823326 /nfs/dbraw/zinc/82/33/26/630823326.db2.gz UBTITEDMTUSCFJ-UHFFFAOYSA-N 0 0 295.339 2.714 20 5 CFBDRN CC[C@@H](C)CONC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000836468969 630828588 /nfs/dbraw/zinc/82/85/88/630828588.db2.gz XVHAAGPIUZEXMV-MNOVXSKESA-N 0 0 295.339 2.933 20 5 CFBDRN CC(C)CCCOC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000040487074 649985106 /nfs/dbraw/zinc/98/51/06/649985106.db2.gz FYQVFPXYTAZRLW-UHFFFAOYSA-N 0 0 266.297 2.770 20 5 CFBDRN CC(C)COCCCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000040805092 649986616 /nfs/dbraw/zinc/98/66/16/649986616.db2.gz ZKCXSEWICMWGFB-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000836791761 630883560 /nfs/dbraw/zinc/88/35/60/630883560.db2.gz YFSWLDGNJGQFDJ-QWRGUYRKSA-N 0 0 291.351 2.733 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000836791760 630883751 /nfs/dbraw/zinc/88/37/51/630883751.db2.gz YFSWLDGNJGQFDJ-MNOVXSKESA-N 0 0 291.351 2.733 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1cc(C)on1 ZINC000807938114 630924397 /nfs/dbraw/zinc/92/43/97/630924397.db2.gz VEYSWJZIRCZKKP-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN O=[N+]([O-])c1cnccc1Oc1ccc(Cl)nc1 ZINC000807998138 630936034 /nfs/dbraw/zinc/93/60/34/630936034.db2.gz RNTWNVBXFWYWBA-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN CCc1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c(C)o1 ZINC000808012589 630941606 /nfs/dbraw/zinc/94/16/06/630941606.db2.gz RSKJUNURGVJDTC-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)c1nccs1 ZINC000808056629 630945486 /nfs/dbraw/zinc/94/54/86/630945486.db2.gz DDGGUWJLBYMALP-UHFFFAOYSA-N 0 0 298.707 2.966 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H]1CCOC[C@@H]1C ZINC000808071398 630947501 /nfs/dbraw/zinc/94/75/01/630947501.db2.gz YAZJNMKXWFIOFZ-JQWIXIFHSA-N 0 0 292.335 2.882 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2=CCCOC2)c1 ZINC000808097134 630950332 /nfs/dbraw/zinc/95/03/32/630950332.db2.gz RJMCZZPWWCAGND-UHFFFAOYSA-N 0 0 282.271 2.501 20 5 CFBDRN COc1ccc(CCOc2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000808256674 630972304 /nfs/dbraw/zinc/97/23/04/630972304.db2.gz NQIQPMXYDKUMRJ-UHFFFAOYSA-N 0 0 288.303 2.807 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000855364693 635519918 /nfs/dbraw/zinc/51/99/18/635519918.db2.gz BSDRESGBCQYSEF-MFKMUULPSA-N 0 0 294.351 2.569 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])o1)C(=O)C1CCCCC1 ZINC000837335196 631003059 /nfs/dbraw/zinc/00/30/59/631003059.db2.gz OHZUPNPCKXEQSM-SECBINFHSA-N 0 0 295.291 2.883 20 5 CFBDRN Cc1ccc(OC(=O)c2ocnc2C2CC2)cc1[N+](=O)[O-] ZINC000808631907 631020463 /nfs/dbraw/zinc/02/04/63/631020463.db2.gz WHQOBTSYJJEJPN-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN CCc1nc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])c(C)o1 ZINC000808644050 631022090 /nfs/dbraw/zinc/02/20/90/631022090.db2.gz BMXURMGOAHBANN-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CC/C(C)=C(\C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000808794191 631049141 /nfs/dbraw/zinc/04/91/41/631049141.db2.gz CJHLXWGDHVJXHY-MDZDMXLPSA-N 0 0 263.297 2.699 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2ccc(CC)c([N+](=O)[O-])c2)C1 ZINC000809116788 631085950 /nfs/dbraw/zinc/08/59/50/631085950.db2.gz ZDWBZJBBTORAOD-BETUJISGSA-N 0 0 293.319 2.882 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)O[C@@H]1CCOC1 ZINC000837636124 631093662 /nfs/dbraw/zinc/09/36/62/631093662.db2.gz JDBBDKFSRREOEY-SECBINFHSA-N 0 0 285.683 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(C(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000809338946 631107697 /nfs/dbraw/zinc/10/76/97/631107697.db2.gz OLWUJLWTEUWLBK-UHFFFAOYSA-N 0 0 291.185 2.502 20 5 CFBDRN CCC[C@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000837795937 631129067 /nfs/dbraw/zinc/12/90/67/631129067.db2.gz DVDVFFBNLJRVBV-LBPRGKRZSA-N 0 0 285.271 2.592 20 5 CFBDRN CCC[C@@H](OC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000837803385 631131340 /nfs/dbraw/zinc/13/13/40/631131340.db2.gz FEVSZYPDTGEHEE-GFCCVEGCSA-N 0 0 285.271 2.592 20 5 CFBDRN CCC[C@@H](OC)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000837804402 631131944 /nfs/dbraw/zinc/13/19/44/631131944.db2.gz JTYUDHOJRQTKCU-CYBMUJFWSA-N 0 0 281.308 2.762 20 5 CFBDRN CCC[C@H]1CCC[C@H]1C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000837832983 631139517 /nfs/dbraw/zinc/13/95/17/631139517.db2.gz TZKFBFHAVFMBAF-NWDGAFQWSA-N 0 0 295.339 2.551 20 5 CFBDRN Cc1ccc(C(=O)COC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000837923057 631151469 /nfs/dbraw/zinc/15/14/69/631151469.db2.gz JUSRUEWPNJPYOE-UHFFFAOYSA-N 0 0 299.348 2.618 20 5 CFBDRN C[C@H](COC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000837925854 631151522 /nfs/dbraw/zinc/15/15/22/631151522.db2.gz XTCXZIQDQYJXNA-SSDOTTSWSA-N 0 0 271.235 2.564 20 5 CFBDRN C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C(=O)c1ccccc1 ZINC000837924073 631151658 /nfs/dbraw/zinc/15/16/58/631151658.db2.gz PZITWEWYZLTHCB-LLVKDONJSA-N 0 0 293.319 2.637 20 5 CFBDRN Cc1nc(C)n(Cc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000041633685 649992218 /nfs/dbraw/zinc/99/22/18/649992218.db2.gz BMWJOVSRIQPYME-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000837974665 631155916 /nfs/dbraw/zinc/15/59/16/631155916.db2.gz WPJMWTNNWXAGAV-NXEZZACHSA-N 0 0 267.256 2.823 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000837979210 631156638 /nfs/dbraw/zinc/15/66/38/631156638.db2.gz COWYGJPRVPWHQA-SECBINFHSA-N 0 0 271.219 2.602 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000837978426 631156907 /nfs/dbraw/zinc/15/69/07/631156907.db2.gz HIIGFANQNDIJDI-ZWNOBZJWSA-N 0 0 279.292 2.563 20 5 CFBDRN COc1ccccc1[C@@H](O)CNc1ccccc1[N+](=O)[O-] ZINC000042109620 649995074 /nfs/dbraw/zinc/99/50/74/649995074.db2.gz OQTLTKFPEIPSIR-AWEZNQCLSA-N 0 0 288.303 2.749 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2cncs2)c([N+](=O)[O-])c1 ZINC000809793308 631289049 /nfs/dbraw/zinc/28/90/49/631289049.db2.gz CDSBOLHAJXRYSZ-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN CSCCNC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000809920466 631300870 /nfs/dbraw/zinc/30/08/70/631300870.db2.gz SKVZMSMOODENHO-UHFFFAOYSA-N 0 0 289.744 2.733 20 5 CFBDRN Cc1ncsc1COc1cc(C=O)ccc1[N+](=O)[O-] ZINC000809956232 631304504 /nfs/dbraw/zinc/30/45/04/631304504.db2.gz BELRIPQAJYIICY-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN CC[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cc(C)ccc1OC ZINC000841170391 631352494 /nfs/dbraw/zinc/35/24/94/631352494.db2.gz FEERVPNVKLWEIR-RAIGVLPGSA-N 0 0 293.319 2.663 20 5 CFBDRN O=C(OCCC1(O)CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000840196053 631354841 /nfs/dbraw/zinc/35/48/41/631354841.db2.gz HFMJTGZIECTOHS-UHFFFAOYSA-N 0 0 299.710 2.710 20 5 CFBDRN CCc1c(C(=O)Oc2cccc([N+](=O)[O-])c2)ccn1C ZINC000810524100 631381438 /nfs/dbraw/zinc/38/14/38/631381438.db2.gz PUPZFKYTUQTQQQ-UHFFFAOYSA-N 0 0 274.276 2.715 20 5 CFBDRN Cc1nc(COC(=O)c2cccc(C)c2[N+](=O)[O-])c(C)o1 ZINC000841342919 631390797 /nfs/dbraw/zinc/39/07/97/631390797.db2.gz QXFMSQHGLOFWCI-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000841458511 631408739 /nfs/dbraw/zinc/40/87/39/631408739.db2.gz AFVPMAJLHMJRCA-HBNTYKKESA-N 0 0 291.351 2.602 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CCCSC1 ZINC000810635772 631413714 /nfs/dbraw/zinc/41/37/14/631413714.db2.gz QVRBYYRALLQVAA-QMMMGPOBSA-N 0 0 285.296 2.783 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1cccnc1C ZINC000810889042 631470106 /nfs/dbraw/zinc/47/01/06/631470106.db2.gz LAZFQFITBAIGBP-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC[C@H](CNC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1)OC ZINC000810970601 631488613 /nfs/dbraw/zinc/48/86/13/631488613.db2.gz LSKJPGCNLOELFJ-RBJHHQRMSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@H]1CCCC[C@@H]1F ZINC000811052354 631505369 /nfs/dbraw/zinc/50/53/69/631505369.db2.gz LYARRFXDKMGFOC-STQMWFEESA-N 0 0 281.283 2.961 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])O[C@H]1CCCC[C@@H]1F ZINC000811057353 631506908 /nfs/dbraw/zinc/50/69/08/631506908.db2.gz KLEVXGYFZWIOOF-AAEUAGOBSA-N 0 0 281.283 2.961 20 5 CFBDRN COCC1(COC(=O)c2ccccc2[N+](=O)[O-])CCC1 ZINC000811058965 631508098 /nfs/dbraw/zinc/50/80/98/631508098.db2.gz KIAXAVYXMJCIGG-UHFFFAOYSA-N 0 0 279.292 2.568 20 5 CFBDRN CC[C@H](COC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)OC ZINC000842069474 631523139 /nfs/dbraw/zinc/52/31/39/631523139.db2.gz RRNJGIXUKSUWMV-LLVKDONJSA-N 0 0 292.291 2.658 20 5 CFBDRN CC[C@@H](COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)OC ZINC000842068487 631523405 /nfs/dbraw/zinc/52/34/05/631523405.db2.gz DQWVVDWYWBUJAV-JTQLQIEISA-N 0 0 292.291 2.658 20 5 CFBDRN CC[C@H](COC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)OC ZINC000842073376 631525177 /nfs/dbraw/zinc/52/51/77/631525177.db2.gz ZKYCEMLNCZOXPJ-SNVBAGLBSA-N 0 0 292.291 2.658 20 5 CFBDRN CC[C@@H](COC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1)OC ZINC000842089186 631534886 /nfs/dbraw/zinc/53/48/86/631534886.db2.gz BNMYVURYGRRZGB-FPAJZGIOSA-N 0 0 293.319 2.966 20 5 CFBDRN CC[C@H](COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000842091686 631535987 /nfs/dbraw/zinc/53/59/87/631535987.db2.gz GAWAQENFVGOBPQ-ZWNOBZJWSA-N 0 0 281.308 2.667 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])C1(CCF)CC1 ZINC000811341492 631554637 /nfs/dbraw/zinc/55/46/37/631554637.db2.gz XLJGANXFYHHPAN-UHFFFAOYSA-N 0 0 267.256 2.778 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1CCCSC1 ZINC000811411919 631561168 /nfs/dbraw/zinc/56/11/68/631561168.db2.gz LGEATAARFKZIRQ-JTQLQIEISA-N 0 0 299.323 2.920 20 5 CFBDRN O=C(OC1(c2cccc(F)c2Cl)CC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842348151 631600782 /nfs/dbraw/zinc/60/07/82/631600782.db2.gz IPRQXIQDVJEJNZ-GMSGAONNSA-N 0 0 299.685 2.677 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])c2ccccc12 ZINC000842362848 631606215 /nfs/dbraw/zinc/60/62/15/631606215.db2.gz ARCROABXXVHHMS-LLVKDONJSA-N 0 0 273.288 2.970 20 5 CFBDRN O=C(O[C@@H]1CCC[C@H]1c1ccc(F)cc1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842372242 631610830 /nfs/dbraw/zinc/61/08/30/631610830.db2.gz GLKZXNVGWCISJK-REWJHTLYSA-N 0 0 293.294 2.670 20 5 CFBDRN Nc1c(F)c(NCCc2ccccc2O)ccc1[N+](=O)[O-] ZINC000811773427 631622010 /nfs/dbraw/zinc/62/20/10/631622010.db2.gz IDCXVMUDLLHCGC-UHFFFAOYSA-N 0 0 291.282 2.676 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccn3c2[N+](=O)[O-])CCC1(F)F ZINC000811848897 631632072 /nfs/dbraw/zinc/63/20/72/631632072.db2.gz YUDNWOOYHIDINC-SECBINFHSA-N 0 0 296.277 2.724 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ncc3c(n2)CCCC3)cc1 ZINC000840248195 631683736 /nfs/dbraw/zinc/68/37/36/631683736.db2.gz GMRGEMSYXDOLGG-UHFFFAOYSA-N 0 0 298.346 2.918 20 5 CFBDRN Cc1nn(CN(C)CCC(C)(C)C)c(C)c1[N+](=O)[O-] ZINC000842630929 631686944 /nfs/dbraw/zinc/68/69/44/631686944.db2.gz IJDFAHJPSSQPPH-UHFFFAOYSA-N 0 0 268.361 2.734 20 5 CFBDRN O=[N+]([O-])c1c(F)c(F)c(OCC2CC2)c(F)c1F ZINC000842664671 631696104 /nfs/dbraw/zinc/69/61/04/631696104.db2.gz ZRHSPKQNJYTVSF-UHFFFAOYSA-N 0 0 265.162 2.940 20 5 CFBDRN CCc1ccc(OC(=O)C2(F)CC2)c([N+](=O)[O-])c1 ZINC000842719241 631716122 /nfs/dbraw/zinc/71/61/22/631716122.db2.gz XMAZBIRHDUMEIH-UHFFFAOYSA-N 0 0 253.229 2.565 20 5 CFBDRN Cn1ncc2ccc(CNc3cc(N)ccc3[N+](=O)[O-])cc21 ZINC000812477999 631723566 /nfs/dbraw/zinc/72/35/66/631723566.db2.gz LQQFWZJLAYVVGO-UHFFFAOYSA-N 0 0 297.318 2.676 20 5 CFBDRN CC(=O)c1ccc(NOCCOC(C)C)c([N+](=O)[O-])c1 ZINC000812489057 631725922 /nfs/dbraw/zinc/72/59/22/631725922.db2.gz RVQKDXVSKSVOAB-UHFFFAOYSA-N 0 0 282.296 2.566 20 5 CFBDRN O=C(OCCC1(O)CCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000840259721 631735106 /nfs/dbraw/zinc/73/51/06/631735106.db2.gz BKWOUYYVSZMKFT-UHFFFAOYSA-N 0 0 299.710 2.710 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000812633128 631748731 /nfs/dbraw/zinc/74/87/31/631748731.db2.gz WGMVVHLUIJNYCE-QWRGUYRKSA-N 0 0 295.335 2.865 20 5 CFBDRN C/C=C(/C)COC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000842853457 631766662 /nfs/dbraw/zinc/76/66/62/631766662.db2.gz XNSAACABNGJGBD-WTKPLQERSA-N 0 0 265.265 2.726 20 5 CFBDRN CSCC[C@@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000840266416 631768547 /nfs/dbraw/zinc/76/85/47/631768547.db2.gz GTBSQVMZQWJNNU-SSDOTTSWSA-N 0 0 259.306 2.682 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1cccc2c1CCC2 ZINC000842933634 631785312 /nfs/dbraw/zinc/78/53/12/631785312.db2.gz IJRIASQMNDFPNH-UHFFFAOYSA-N 0 0 286.287 2.641 20 5 CFBDRN COCCC[C@H](C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812811354 631788643 /nfs/dbraw/zinc/78/86/43/631788643.db2.gz SEIKXUBYNFWMAI-JTQLQIEISA-N 0 0 285.344 2.588 20 5 CFBDRN CC(C)(CCc1noc([C@H]2Cc3ccccc32)n1)[N+](=O)[O-] ZINC000812815508 631789277 /nfs/dbraw/zinc/78/92/77/631789277.db2.gz NZXHMHBZVKDFDE-LBPRGKRZSA-N 0 0 287.319 2.746 20 5 CFBDRN CCOC1(c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCCC1 ZINC000812814841 631789419 /nfs/dbraw/zinc/78/94/19/631789419.db2.gz QRCZXXSIAWFHCQ-UHFFFAOYSA-N 0 0 297.355 2.863 20 5 CFBDRN COC1CCC(c2nc(CCC(C)(C)[N+](=O)[O-])no2)CC1 ZINC000812815359 631789689 /nfs/dbraw/zinc/78/96/89/631789689.db2.gz KHNOIIVUYYVNDT-UHFFFAOYSA-N 0 0 297.355 2.730 20 5 CFBDRN CC(C)(CCc1noc([C@H]2CCCSC2)n1)[N+](=O)[O-] ZINC000812813224 631789830 /nfs/dbraw/zinc/78/98/30/631789830.db2.gz DYAUHKQNCNUJMU-VIFPVBQESA-N 0 0 285.369 2.668 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CCCS2)n1)[N+](=O)[O-] ZINC000812815942 631790319 /nfs/dbraw/zinc/79/03/19/631790319.db2.gz FUXRDGJYYQVKKQ-QMMMGPOBSA-N 0 0 271.342 2.626 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000812923472 631808149 /nfs/dbraw/zinc/80/81/49/631808149.db2.gz SBOKPTUIMDOMBA-ZJUUUORDSA-N 0 0 281.308 2.727 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)C1(CCF)CC1 ZINC000813099843 631837201 /nfs/dbraw/zinc/83/72/01/631837201.db2.gz FBQFRCSAXFKDCQ-UHFFFAOYSA-N 0 0 267.256 2.948 20 5 CFBDRN CCCCc1ccc(CC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813102517 631837819 /nfs/dbraw/zinc/83/78/19/631837819.db2.gz HKYCWSRERHMUOW-LBPRGKRZSA-N 0 0 279.336 2.780 20 5 CFBDRN C[C@@H](COC(=O)c1cc2cc(Cl)sc2[nH]1)[N+](=O)[O-] ZINC000813104816 631839855 /nfs/dbraw/zinc/83/98/55/631839855.db2.gz RXZJRNAHKRXGQI-YFKPBYRVSA-N 0 0 288.712 2.705 20 5 CFBDRN CCCCOc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813107814 631841356 /nfs/dbraw/zinc/84/13/56/631841356.db2.gz XCFUVJBLVJFLRP-LLVKDONJSA-N 0 0 281.308 2.688 20 5 CFBDRN C[C@H](COC(=O)/C=C/c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000813106831 631841444 /nfs/dbraw/zinc/84/14/44/631841444.db2.gz IMUPLRBSATZJGD-ILFKPUCNSA-N 0 0 269.684 2.562 20 5 CFBDRN C[C@H](COC(=O)C[C@H](C)c1cccc(F)c1)[N+](=O)[O-] ZINC000813106860 631841630 /nfs/dbraw/zinc/84/16/30/631841630.db2.gz IVHXUMAQYOBTDJ-VHSXEESVSA-N 0 0 269.272 2.528 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OC[C@H](C)[N+](=O)[O-])cc1C ZINC000813109875 631843092 /nfs/dbraw/zinc/84/30/92/631843092.db2.gz XFQOGSLXTILOCZ-VIFPVBQESA-N 0 0 271.700 2.779 20 5 CFBDRN C[C@H](COC(=O)C[C@H]1CCCCC1(C)C)[N+](=O)[O-] ZINC000813108605 631843371 /nfs/dbraw/zinc/84/33/71/631843371.db2.gz IETNEIMUJYVULM-GHMZBOCLSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCC[C@@H]2CCCC[C@H]12)[N+](=O)[O-] ZINC000813108907 631843473 /nfs/dbraw/zinc/84/34/73/631843473.db2.gz BVTOOQYTZZWKQI-MROQNXINSA-N 0 0 269.341 2.801 20 5 CFBDRN CCc1ccc([C@@H](C)C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813110952 631844547 /nfs/dbraw/zinc/84/45/47/631844547.db2.gz MUVKNWXHEZPWMI-GHMZBOCLSA-N 0 0 265.309 2.561 20 5 CFBDRN CCc1ccc([C@@H](C)C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813110955 631844755 /nfs/dbraw/zinc/84/47/55/631844755.db2.gz MUVKNWXHEZPWMI-WDEREUQCSA-N 0 0 265.309 2.561 20 5 CFBDRN C[C@H](COC(=O)c1cccc2ccsc21)[N+](=O)[O-] ZINC000813113658 631845104 /nfs/dbraw/zinc/84/51/04/631845104.db2.gz HLNHYXGABBUMPH-MRVPVSSYSA-N 0 0 265.290 2.723 20 5 CFBDRN C[C@H](COC(=O)C(C)(C)c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000813113911 631846502 /nfs/dbraw/zinc/84/65/02/631846502.db2.gz VZIUNUMDKYZILA-SECBINFHSA-N 0 0 285.727 2.826 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1(CCF)CC1)CC2 ZINC000813139706 631861388 /nfs/dbraw/zinc/86/13/88/631861388.db2.gz OSAHCROLGFPPEY-UHFFFAOYSA-N 0 0 292.310 2.932 20 5 CFBDRN CO[C@@](C)(COC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000813188321 631877885 /nfs/dbraw/zinc/87/78/85/631877885.db2.gz MQEIKODVGHMXMI-AWEZNQCLSA-N 0 0 279.292 2.567 20 5 CFBDRN Cc1ccc(-c2noc([C@@H](C)NC3CC3)n2)cc1[N+](=O)[O-] ZINC000843178854 631882253 /nfs/dbraw/zinc/88/22/53/631882253.db2.gz NNUSGULQWJAMNW-SECBINFHSA-N 0 0 288.307 2.766 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC1CC(C)C1 ZINC000813229718 631887155 /nfs/dbraw/zinc/88/71/55/631887155.db2.gz CMVJOFFWHYABKL-UHFFFAOYSA-N 0 0 265.265 2.559 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H](C)NC2CC2)n1 ZINC000843186863 631888911 /nfs/dbraw/zinc/88/89/11/631888911.db2.gz GFEFHHBVNIXPDZ-SECBINFHSA-N 0 0 288.307 2.766 20 5 CFBDRN C[C@@]1(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCOC1 ZINC000813247187 631890831 /nfs/dbraw/zinc/89/08/31/631890831.db2.gz ZIHWTTMDBNGPJV-GFCCVEGCSA-N 0 0 284.699 2.613 20 5 CFBDRN Cc1cc(-c2noc([C@H](N)CC(F)F)n2)cc([N+](=O)[O-])c1 ZINC000843240441 631911245 /nfs/dbraw/zinc/91/12/45/631911245.db2.gz WQWHKZXPPSHZRO-SECBINFHSA-N 0 0 298.249 2.608 20 5 CFBDRN C[C@H]1SCC[C@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000843290607 631920475 /nfs/dbraw/zinc/92/04/75/631920475.db2.gz NHKZZPZFFOBYOR-LDYMZIIASA-N 0 0 297.405 2.775 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1Oc1cccc[n+]1[O-] ZINC000813584571 631935315 /nfs/dbraw/zinc/93/53/15/631935315.db2.gz ACLCNKBFTHIBFE-UHFFFAOYSA-N 0 0 283.243 2.569 20 5 CFBDRN C[C@]12CCN(c3cc(N)ccc3[N+](=O)[O-])C[C@H]1C2(F)F ZINC000813610320 631941401 /nfs/dbraw/zinc/94/14/01/631941401.db2.gz PKSHTXLBVMVIHW-NEPJUHHUSA-N 0 0 283.278 2.659 20 5 CFBDRN CC[C@H](C)CONC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000813734042 631954943 /nfs/dbraw/zinc/95/49/43/631954943.db2.gz QAPYLQMPXGJEQM-JTQLQIEISA-N 0 0 295.339 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1(C(F)F)CC1 ZINC000843524048 631975866 /nfs/dbraw/zinc/97/58/66/631975866.db2.gz UTTOGINPXUANMB-UHFFFAOYSA-N 0 0 270.235 2.887 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc(F)cc(C(F)(F)F)c1 ZINC000814033863 631996634 /nfs/dbraw/zinc/99/66/34/631996634.db2.gz FJIMXEFGHARDBY-LURJTMIESA-N 0 0 295.188 2.667 20 5 CFBDRN CC[C@@H](Sc1ccccc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814034433 631996883 /nfs/dbraw/zinc/99/68/83/631996883.db2.gz IBQSGNDGEBCZRG-CMPLNLGQSA-N 0 0 283.349 2.766 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc2ccccc2s1 ZINC000814037814 631997864 /nfs/dbraw/zinc/99/78/64/631997864.db2.gz GZASOSLVRHTIEV-QMMMGPOBSA-N 0 0 265.290 2.723 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)C[N+](=O)[O-])nn1C1CCCCC1 ZINC000814037489 631998099 /nfs/dbraw/zinc/99/80/99/631998099.db2.gz YEQXGGGWXFEHDY-NSHDSACASA-N 0 0 295.339 2.519 20 5 CFBDRN CC(C)c1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814039796 631998639 /nfs/dbraw/zinc/99/86/39/631998639.db2.gz YAAINQKBDRJTNO-JTQLQIEISA-N 0 0 251.282 2.632 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(Cc2ccc(Cl)cc2)CC1 ZINC000814038665 631998792 /nfs/dbraw/zinc/99/87/92/631998792.db2.gz GCHAZMUZYNHHLB-JTQLQIEISA-N 0 0 297.738 2.871 20 5 CFBDRN Cc1cc(CCCC(=O)O[C@@H](C)C[N+](=O)[O-])c(C)s1 ZINC000814038517 631998928 /nfs/dbraw/zinc/99/89/28/631998928.db2.gz DHACGHMJHVPUKO-VIFPVBQESA-N 0 0 285.365 2.896 20 5 CFBDRN CCC[C@@H](C(=O)O[C@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814040753 631998956 /nfs/dbraw/zinc/99/89/56/631998956.db2.gz KTFFJYZLXOETRL-DGCLKSJQSA-N 0 0 265.309 2.779 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1(F)CC1 ZINC000843599454 631999307 /nfs/dbraw/zinc/99/93/07/631999307.db2.gz WLLDQCBUVTXWFX-UHFFFAOYSA-N 0 0 252.245 2.598 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc2ccccc2c1 ZINC000814042253 631999347 /nfs/dbraw/zinc/99/93/47/631999347.db2.gz UXGYVOAGYCMKQO-SNVBAGLBSA-N 0 0 259.261 2.662 20 5 CFBDRN CCC[C@H](C(=O)O[C@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814040755 631999527 /nfs/dbraw/zinc/99/95/27/631999527.db2.gz KTFFJYZLXOETRL-YPMHNXCESA-N 0 0 265.309 2.779 20 5 CFBDRN Cc1ccc2oc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc2c1 ZINC000814044938 632000117 /nfs/dbraw/zinc/00/01/17/632000117.db2.gz LQFVEGLFKUMWTO-VIFPVBQESA-N 0 0 263.249 2.563 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C\c1ccc(Cl)cc1 ZINC000814043003 632000288 /nfs/dbraw/zinc/00/02/88/632000288.db2.gz ACYNKVBCYFNDKZ-WYDVEAGSSA-N 0 0 269.684 2.562 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1csc2ccccc12 ZINC000814044767 632000402 /nfs/dbraw/zinc/00/04/02/632000402.db2.gz UEMYYKHVVWSEFQ-VIFPVBQESA-N 0 0 279.317 2.652 20 5 CFBDRN CC(C)[C@H](Cc1ccccc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814045140 632001071 /nfs/dbraw/zinc/00/10/71/632001071.db2.gz NMZJETCFHNEDBP-JSGCOSHPSA-N 0 0 279.336 2.710 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1ccc(Cl)c(Cl)c1 ZINC000814048293 632001105 /nfs/dbraw/zinc/00/11/05/632001105.db2.gz RRKJLNSZBFTIFL-SSDOTTSWSA-N 0 0 292.118 2.744 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCCc1ccccc1Cl ZINC000814046423 632001261 /nfs/dbraw/zinc/00/12/61/632001261.db2.gz KIOIQNMKAUUQIV-JTQLQIEISA-N 0 0 285.727 2.871 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCCc1ccccc1Cl ZINC000814046424 632001289 /nfs/dbraw/zinc/00/12/89/632001289.db2.gz KIOIQNMKAUUQIV-SNVBAGLBSA-N 0 0 285.727 2.871 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC000814047443 632001445 /nfs/dbraw/zinc/00/14/45/632001445.db2.gz MSKACIDUASNALT-JTQLQIEISA-N 0 0 274.276 2.504 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@H]1CCCC(C)(C)C1 ZINC000814045319 632001490 /nfs/dbraw/zinc/00/14/90/632001490.db2.gz PBGUALKZVHLFSM-GHMZBOCLSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])c(Cl)c1C ZINC000843636969 632011300 /nfs/dbraw/zinc/01/13/00/632011300.db2.gz PSDJEPQCSGUNRB-PSASIEDQSA-N 0 0 268.700 2.561 20 5 CFBDRN Cc1ncoc1COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000814098758 632012722 /nfs/dbraw/zinc/01/27/22/632012722.db2.gz ZJLGOCMNIXLUEN-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N(C)C(C)C ZINC000843763143 632050989 /nfs/dbraw/zinc/05/09/89/632050989.db2.gz FTOZWVWIRXBTCQ-UHFFFAOYSA-N 0 0 254.261 2.523 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1cc(Cl)ccn1 ZINC000814366155 632056352 /nfs/dbraw/zinc/05/63/52/632056352.db2.gz DZWPEODBISMXCT-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@@H]1C ZINC000843791634 632057101 /nfs/dbraw/zinc/05/71/01/632057101.db2.gz RVJLYHADBUFMAB-KWQFWETISA-N 0 0 280.299 2.961 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2)C1 ZINC000814384099 632058167 /nfs/dbraw/zinc/05/81/67/632058167.db2.gz BOTGPUWKABBHBV-CMPLNLGQSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@@H](C)C1 ZINC000843861383 632069090 /nfs/dbraw/zinc/06/90/90/632069090.db2.gz QQFKHAFMNPGFMR-SCZZXKLOSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000843884949 632070859 /nfs/dbraw/zinc/07/08/59/632070859.db2.gz VHCUDVRYLHSIBG-SKDRFNHKSA-N 0 0 278.283 2.667 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843893526 632073045 /nfs/dbraw/zinc/07/30/45/632073045.db2.gz OPYCHBDQMCINFZ-MRVPVSSYSA-N 0 0 284.287 2.750 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000814483262 632074957 /nfs/dbraw/zinc/07/49/57/632074957.db2.gz KBGYZJXARXJWLA-MRVPVSSYSA-N 0 0 284.287 2.755 20 5 CFBDRN CC/C(C)=C\C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000843963417 632084316 /nfs/dbraw/zinc/08/43/16/632084316.db2.gz CMKVJPHWGHHPFR-CLFYSBASSA-N 0 0 263.297 2.931 20 5 CFBDRN O=C(CCc1cncs1)OCc1csc([N+](=O)[O-])c1 ZINC000814589161 632096182 /nfs/dbraw/zinc/09/61/82/632096182.db2.gz MUIANHZVEXFAAU-UHFFFAOYSA-N 0 0 298.345 2.789 20 5 CFBDRN CCON(C(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000844078373 632109106 /nfs/dbraw/zinc/10/91/06/632109106.db2.gz UEWKABOWHMOKDY-UHFFFAOYSA-N 0 0 266.297 2.705 20 5 CFBDRN Cc1nnc(COc2cccc(Cl)c2[N+](=O)[O-])s1 ZINC000814774210 632120599 /nfs/dbraw/zinc/12/05/99/632120599.db2.gz KXXYTCWBHNEGIX-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CCOC(=O)/C=C\COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814774252 632120693 /nfs/dbraw/zinc/12/06/93/632120693.db2.gz LOGLRJRTZAXRNN-DAXSKMNVSA-N 0 0 285.683 2.746 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OCc1ccno1 ZINC000814773574 632121143 /nfs/dbraw/zinc/12/11/43/632121143.db2.gz BZKXRRJXUBOSJK-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN Cn1cccc1C(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814775789 632121979 /nfs/dbraw/zinc/12/19/79/632121979.db2.gz XEZAFAYHUXLAAF-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000840326655 632140713 /nfs/dbraw/zinc/14/07/13/632140713.db2.gz IOXLQRMVUJFEOS-WAAGHKOSSA-N 0 0 284.287 2.722 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1Oc1ncnc2n[nH]cc21 ZINC000814902450 632156597 /nfs/dbraw/zinc/15/65/97/632156597.db2.gz CHKWJLJDWOVACX-UHFFFAOYSA-N 0 0 291.654 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000844294216 632158586 /nfs/dbraw/zinc/15/85/86/632158586.db2.gz JRBORQVNEDRYSC-NWDGAFQWSA-N 0 0 291.303 2.945 20 5 CFBDRN CC(F)(F)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000814912783 632162328 /nfs/dbraw/zinc/16/23/28/632162328.db2.gz ASRUGLGLLIKWFX-UHFFFAOYSA-N 0 0 261.184 2.570 20 5 CFBDRN COC(=O)/C=C/c1ccc(NCC[C@@H](C)F)c([N+](=O)[O-])c1 ZINC000814915472 632163512 /nfs/dbraw/zinc/16/35/12/632163512.db2.gz WBMISFTWENVPGH-DFVUYQKZSA-N 0 0 296.298 2.941 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@H]1CCc2ccccc21 ZINC000844325110 632169986 /nfs/dbraw/zinc/16/99/86/632169986.db2.gz PNWWEKGEQFVYHP-AWEZNQCLSA-N 0 0 286.287 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@]3(CCCO3)[C@@H]2C2CC2)cc1 ZINC000814956852 632178902 /nfs/dbraw/zinc/17/89/02/632178902.db2.gz NDGUOUYNXAEQEE-LSDHHAIUSA-N 0 0 274.320 2.743 20 5 CFBDRN COC/C(C)=C/C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000844418825 632201885 /nfs/dbraw/zinc/20/18/85/632201885.db2.gz WHJQJVOQAWDDMI-PMDBQALLSA-N 0 0 292.335 2.673 20 5 CFBDRN COC1(CCOC(=O)c2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000815193383 632225935 /nfs/dbraw/zinc/22/59/35/632225935.db2.gz WNTAQURZKMKLCG-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000844489341 632229805 /nfs/dbraw/zinc/22/98/05/632229805.db2.gz IJFSAGLQRNFCNZ-BXKDBHETSA-N 0 0 280.299 2.581 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000815220506 632232069 /nfs/dbraw/zinc/23/20/69/632232069.db2.gz YWXDQVSAPBVRPD-DTWKUNHWSA-N 0 0 264.281 2.522 20 5 CFBDRN C[C@H](COC(=O)c1c([N+](=O)[O-])cnn1C)C1CCCCC1 ZINC000815224688 632233636 /nfs/dbraw/zinc/23/36/36/632233636.db2.gz DWDAYMAIKSEWJH-SNVBAGLBSA-N 0 0 295.339 2.702 20 5 CFBDRN COc1cc(N)c([N+](=O)[O-])cc1C(=O)O[C@@H]1CC[C@@H](C)C1 ZINC000815236691 632236669 /nfs/dbraw/zinc/23/66/69/632236669.db2.gz DQSMXGOQTNBNPU-RKDXNWHRSA-N 0 0 294.307 2.531 20 5 CFBDRN C[C@@H](OC(=O)c1cc(F)c[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000815393643 632267376 /nfs/dbraw/zinc/26/73/76/632267376.db2.gz FIBSSPQBSFAGLK-MRVPVSSYSA-N 0 0 278.239 2.980 20 5 CFBDRN Cc1nc(CN[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)cs1 ZINC000815561573 632289206 /nfs/dbraw/zinc/28/92/06/632289206.db2.gz JSKAADAUGUIXDA-MRVPVSSYSA-N 0 0 292.364 2.793 20 5 CFBDRN O=[N+]([O-])c1ccc2cnn(CN3CCCC(F)(F)C3)c2c1 ZINC000844717223 632289402 /nfs/dbraw/zinc/28/94/02/632289402.db2.gz XYLCUGNBUMYCLC-UHFFFAOYSA-N 0 0 296.277 2.633 20 5 CFBDRN C[C@H](NC1(C(F)F)CC1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000815622905 632299357 /nfs/dbraw/zinc/29/93/57/632299357.db2.gz IDUOETPBFWFIRE-ZETCQYMHSA-N 0 0 271.267 2.625 20 5 CFBDRN COc1ccc(OC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000815911901 632332018 /nfs/dbraw/zinc/33/20/18/632332018.db2.gz RVUZNJSOLFXSIG-KEODLESXSA-N 0 0 291.303 2.945 20 5 CFBDRN Cc1nc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c(C)o1 ZINC000815988194 632347374 /nfs/dbraw/zinc/34/73/74/632347374.db2.gz CSXOWENHCSPUKJ-UHFFFAOYSA-N 0 0 280.211 2.558 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1c[nH]c2cccc(Cl)c12 ZINC000816096831 632371741 /nfs/dbraw/zinc/37/17/41/632371741.db2.gz NFEAZJMIDKLRFA-MRVPVSSYSA-N 0 0 296.710 2.572 20 5 CFBDRN COC/C(C)=C/C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000845240419 632392188 /nfs/dbraw/zinc/39/21/88/632392188.db2.gz CVSJMTLARGSHJM-WEVVVXLNSA-N 0 0 299.710 2.884 20 5 CFBDRN C[C@@H](CC(=O)OCc1csc([N+](=O)[O-])c1)n1ccnc1 ZINC000845279214 632401020 /nfs/dbraw/zinc/40/10/20/632401020.db2.gz AUMDUBZQEREIPM-VIFPVBQESA-N 0 0 295.320 2.547 20 5 CFBDRN Cc1cc(COC(=O)c2ccccc2[N+](=O)[O-])co1 ZINC000816242598 632407506 /nfs/dbraw/zinc/40/75/06/632407506.db2.gz NTXWVTFTFDVGEI-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H](CCO)C1CC1 ZINC000845496542 632449850 /nfs/dbraw/zinc/44/98/50/632449850.db2.gz YQWSYPCARYNWCN-ZDUSSCGKSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)O[C@@H]1CCCN(c2cc(N)ccc2[N+](=O)[O-])C1 ZINC000845640753 632499555 /nfs/dbraw/zinc/49/95/55/632499555.db2.gz WOKAULWCTVPBTO-GFCCVEGCSA-N 0 0 279.340 2.571 20 5 CFBDRN Cc1nn(C)c(NCC[C@@]2(C)C[C@]2(F)Cl)c1[N+](=O)[O-] ZINC000845646241 632502684 /nfs/dbraw/zinc/50/26/84/632502684.db2.gz ABMZUCROTFPHFS-WDEREUQCSA-N 0 0 290.726 2.753 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@](C)(O)c1ccccc1 ZINC000845654793 632506448 /nfs/dbraw/zinc/50/64/48/632506448.db2.gz GYCCPFHIZOFFBJ-NHYWBVRUSA-N 0 0 287.319 2.698 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC([C@@H](C)CO)CC1 ZINC000845707318 632529681 /nfs/dbraw/zinc/52/96/81/632529681.db2.gz NUUKKGRTTOATAV-LBPRGKRZSA-N 0 0 278.352 2.748 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1C[C@H]2[C@@H](C1)C2(F)F ZINC000845753455 632552347 /nfs/dbraw/zinc/55/23/47/632552347.db2.gz NWNNJASTOAHDJL-KNVOCYPGSA-N 0 0 274.654 2.950 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1C[C@H]2[C@@H](C1)C2(F)F ZINC000845756464 632553458 /nfs/dbraw/zinc/55/34/58/632553458.db2.gz KVRKCSFLLXAXBH-PHIMTYICSA-N 0 0 291.257 2.844 20 5 CFBDRN COCCC1(c2noc(CCC(C)(C)[N+](=O)[O-])n2)CCC1 ZINC000817099931 632557876 /nfs/dbraw/zinc/55/78/76/632557876.db2.gz YQKQUEFSTGNMMQ-UHFFFAOYSA-N 0 0 297.355 2.516 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@]3(CC3(F)F)C2)n1 ZINC000845768793 632559083 /nfs/dbraw/zinc/55/90/83/632559083.db2.gz GOCCSRAPBXKPBN-NSHDSACASA-N 0 0 269.251 2.534 20 5 CFBDRN Cn1c(C(=O)OC[C@@H]2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000845773759 632560982 /nfs/dbraw/zinc/56/09/82/632560982.db2.gz RBRNTYLOZRCCPD-OUAUKWLOSA-N 0 0 278.308 2.526 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000817123689 632561328 /nfs/dbraw/zinc/56/13/28/632561328.db2.gz BHKXKTNINGICLF-QWRGUYRKSA-N 0 0 265.313 2.547 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@H](CCO)CC1 ZINC000817129364 632562777 /nfs/dbraw/zinc/56/27/77/632562777.db2.gz HVOAEAIHPITYBA-NSHDSACASA-N 0 0 282.315 2.723 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(=O)C1(C)CC1 ZINC000817238820 632580636 /nfs/dbraw/zinc/58/06/36/632580636.db2.gz MREUNOJZWZRLBV-UHFFFAOYSA-N 0 0 291.303 2.738 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817239104 632580790 /nfs/dbraw/zinc/58/07/90/632580790.db2.gz PLMIOIXGKISADN-LLVKDONJSA-N 0 0 281.308 2.793 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CC1(F)F ZINC000817250650 632585352 /nfs/dbraw/zinc/58/53/52/632585352.db2.gz VWKBQPNKNMJKLF-LLVKDONJSA-N 0 0 289.209 2.822 20 5 CFBDRN C[C@H]([C@@H](OC(=O)[C@H]1CC12CC2)c1ccccc1F)[N+](=O)[O-] ZINC000845882003 632589746 /nfs/dbraw/zinc/58/97/46/632589746.db2.gz PXKNVHUVAALRGP-IRUJWGPZSA-N 0 0 293.294 2.875 20 5 CFBDRN CC(C)OCC(=O)O[C@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845878232 632590074 /nfs/dbraw/zinc/59/00/74/632590074.db2.gz VLUJQWZJXFCLCD-HZMBPMFUSA-N 0 0 299.298 2.500 20 5 CFBDRN O=C(NOCCC1CC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000817399645 632620800 /nfs/dbraw/zinc/62/08/00/632620800.db2.gz UZWRIBIUMXHOEP-UHFFFAOYSA-N 0 0 290.275 2.803 20 5 CFBDRN COc1ccc(OC(=O)[C@]2(C)CC2(F)F)c([N+](=O)[O-])c1 ZINC000817424691 632629938 /nfs/dbraw/zinc/62/99/38/632629938.db2.gz BVLQNAXVXMQLMN-NSHDSACASA-N 0 0 287.218 2.554 20 5 CFBDRN CON(CC(C)(C)C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000846129900 632642853 /nfs/dbraw/zinc/64/28/53/632642853.db2.gz YKCLMMTZUVNDAM-UHFFFAOYSA-N 0 0 298.314 2.713 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@H](C2CCCC2)C1)[N+](=O)[O-] ZINC000817467304 632643094 /nfs/dbraw/zinc/64/30/94/632643094.db2.gz YPDIUBBRPTVALL-ZDUSSCGKSA-N 0 0 282.384 2.861 20 5 CFBDRN CCO[C@@H]1C[C@H]1COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817730966 632696304 /nfs/dbraw/zinc/69/63/04/632696304.db2.gz LBOGSMZBIJYYMJ-SMDDNHRTSA-N 0 0 293.319 2.793 20 5 CFBDRN C[C@H](COC(=O)C1(c2c(F)cccc2F)CCC1)[N+](=O)[O-] ZINC000817738816 632699522 /nfs/dbraw/zinc/69/95/22/632699522.db2.gz CGQSNLSGBAUDAJ-SECBINFHSA-N 0 0 299.273 2.595 20 5 CFBDRN C/C(=C/C(=O)N1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000846629975 632762591 /nfs/dbraw/zinc/76/25/91/632762591.db2.gz IPMLXCUOUJLYCH-FUWURINLSA-N 0 0 292.310 2.959 20 5 CFBDRN C/C(=C\C(=O)N1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000846629984 632763072 /nfs/dbraw/zinc/76/30/72/632763072.db2.gz IPMLXCUOUJLYCH-YGNAEDSMSA-N 0 0 292.310 2.959 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCC[C@H]1CC1(Cl)Cl ZINC000818058828 632793302 /nfs/dbraw/zinc/79/33/02/632793302.db2.gz FBQIBSACTQVMQE-ZETCQYMHSA-N 0 0 277.107 2.953 20 5 CFBDRN Cc1ccc([C@H](C)NCc2cnsn2)cc1[N+](=O)[O-] ZINC000846846227 632820143 /nfs/dbraw/zinc/82/01/43/632820143.db2.gz FRUHFJFMVRBMNR-VIFPVBQESA-N 0 0 278.337 2.606 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCC[C@H](C)F ZINC000818290431 632822506 /nfs/dbraw/zinc/82/25/06/632822506.db2.gz DNFJGOWLFCGHNF-USKTWTLRSA-N 0 0 280.299 2.862 20 5 CFBDRN Cc1ccc([C@H]2CCCCCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])o1 ZINC000840357537 632828072 /nfs/dbraw/zinc/82/80/72/632828072.db2.gz JLXZCWMBGDCQPF-JHJVBQTASA-N 0 0 292.335 2.697 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCO[C@@H](C2CCC2)C1 ZINC000840358985 632838207 /nfs/dbraw/zinc/83/82/07/632838207.db2.gz LQHLKHGXIYRIJM-CYBMUJFWSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCO[C@@H](C3CCC3)C2)c(F)c1 ZINC000840359463 632841079 /nfs/dbraw/zinc/84/10/79/632841079.db2.gz OLPIKLVCXKAWOR-CYBMUJFWSA-N 0 0 298.289 2.878 20 5 CFBDRN Cc1conc1COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885423792 632873635 /nfs/dbraw/zinc/87/36/35/632873635.db2.gz DDSPTXHMWXJFLC-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1noc([C@H](C)N(C)Cc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000847056351 632905476 /nfs/dbraw/zinc/90/54/76/632905476.db2.gz HRJCAHMHBFVASE-QMMMGPOBSA-N 0 0 294.286 2.618 20 5 CFBDRN CC(C)n1cc(OC(=O)c2ccc([N+](=O)[O-])s2)cn1 ZINC000847130000 632933412 /nfs/dbraw/zinc/93/34/12/632933412.db2.gz GCXHHTUBQBFHQB-UHFFFAOYSA-N 0 0 281.293 2.653 20 5 CFBDRN C[C@H](NC(=O)/C=C\[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000819129483 632977342 /nfs/dbraw/zinc/97/73/42/632977342.db2.gz JZPOOMKHLOQUBX-UIRLJRQTSA-N 0 0 290.319 2.507 20 5 CFBDRN COC1([C@H](C)Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCC1 ZINC000840374917 632989651 /nfs/dbraw/zinc/98/96/51/632989651.db2.gz CUBYSHSDLKFRCT-VIFPVBQESA-N 0 0 295.295 2.873 20 5 CFBDRN COc1cc(NC[C@H](O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000107039795 633026329 /nfs/dbraw/zinc/02/63/29/633026329.db2.gz CHSMAFXTNHZFMK-AWEZNQCLSA-N 0 0 288.303 2.749 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1CCCC(F)(F)[C@@H]1O ZINC000840378186 633042482 /nfs/dbraw/zinc/04/24/82/633042482.db2.gz CVGNGNUMAMBXOV-GXSJLCMTSA-N 0 0 290.241 2.695 20 5 CFBDRN Cn1cc(COC(=O)CCC2CCCCC2)c([N+](=O)[O-])n1 ZINC000847780921 633076072 /nfs/dbraw/zinc/07/60/72/633076072.db2.gz MHVOBKABOLFAPH-UHFFFAOYSA-N 0 0 295.339 2.732 20 5 CFBDRN CCCC(=CC(=O)OCc1cn(C)nc1[N+](=O)[O-])CCC ZINC000847783886 633076442 /nfs/dbraw/zinc/07/64/42/633076442.db2.gz IDSHAGHYMIKDJK-UHFFFAOYSA-N 0 0 295.339 2.898 20 5 CFBDRN CCCCC[C@H](C)CC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847784787 633076474 /nfs/dbraw/zinc/07/64/74/633076474.db2.gz WWEATCJUOUOJJV-NSHDSACASA-N 0 0 297.355 2.978 20 5 CFBDRN C[C@@H](OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1)C1CCCC1 ZINC000847801223 633079762 /nfs/dbraw/zinc/07/97/62/633079762.db2.gz HCXSQHQNHMTXRB-SNVBAGLBSA-N 0 0 295.339 2.648 20 5 CFBDRN O=C(OC[C@H]1CCc2cccnc21)c1cccc([N+](=O)[O-])c1 ZINC000847834415 633102977 /nfs/dbraw/zinc/10/29/77/633102977.db2.gz JDQDTGYZCNVSHY-CYBMUJFWSA-N 0 0 298.298 2.877 20 5 CFBDRN COC[C@@H](C)CCOC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000847874609 633122025 /nfs/dbraw/zinc/12/20/25/633122025.db2.gz KCOFPTNVXKUJCO-LBPRGKRZSA-N 0 0 295.335 2.743 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000847918335 633144493 /nfs/dbraw/zinc/14/44/93/633144493.db2.gz UAYNQMDWMZJYBR-XYPYZODXSA-N 0 0 279.292 2.628 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000847918471 633144506 /nfs/dbraw/zinc/14/45/06/633144506.db2.gz ZHOFFPYOZHXOQY-HAQNSBGRSA-N 0 0 279.292 2.628 20 5 CFBDRN O=C(OC[C@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])cn1 ZINC000847956392 633162296 /nfs/dbraw/zinc/16/22/96/633162296.db2.gz IYOKGTDSWJAAIU-HTAVTVPLSA-N 0 0 290.319 2.973 20 5 CFBDRN Cn1c(C(=O)OCC(C)(C)CC(F)F)ccc1[N+](=O)[O-] ZINC000847994046 633178064 /nfs/dbraw/zinc/17/80/64/633178064.db2.gz YEGKBLHVTGGBRO-UHFFFAOYSA-N 0 0 290.266 2.772 20 5 CFBDRN CCN(C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1)[C@H](C)C(C)C ZINC000848100532 633202847 /nfs/dbraw/zinc/20/28/47/633202847.db2.gz PDMLCAITOINIED-RISCZKNCSA-N 0 0 294.351 2.521 20 5 CFBDRN Nc1ccc(C(=O)N(C2CC2)C2CCCC2)cc1[N+](=O)[O-] ZINC000107592969 633258033 /nfs/dbraw/zinc/25/80/33/633258033.db2.gz VUYQIYSVKOYBMK-UHFFFAOYSA-N 0 0 289.335 2.724 20 5 CFBDRN CC/C=C\CCOC(=O)c1ccnc(NC)c1[N+](=O)[O-] ZINC000848791174 633343048 /nfs/dbraw/zinc/34/30/48/633343048.db2.gz MAUPSERGEOCMTE-PLNGDYQASA-N 0 0 279.296 2.545 20 5 CFBDRN CNc1nccc(C(=O)O[C@@H](C)CC(C)C)c1[N+](=O)[O-] ZINC000848789863 633343437 /nfs/dbraw/zinc/34/34/37/633343437.db2.gz HAEVZTLKDPKKAC-VIFPVBQESA-N 0 0 281.312 2.623 20 5 CFBDRN C[C@H](NC(=O)/C=C/[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000819544602 633364323 /nfs/dbraw/zinc/36/43/23/633364323.db2.gz SHMPXYFWKWWSBE-MXPGDACESA-N 0 0 290.319 2.507 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC12CC2 ZINC000819627171 633402396 /nfs/dbraw/zinc/40/23/96/633402396.db2.gz OYCBTVYMEYDJMO-FROQITRMSA-N 0 0 272.304 2.667 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000849186424 633581887 /nfs/dbraw/zinc/58/18/87/633581887.db2.gz QAAZLDLWPCYUQU-PWSUYJOCSA-N 0 0 290.319 2.936 20 5 CFBDRN C[C@H](NC(=S)NCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000849260549 633596397 /nfs/dbraw/zinc/59/63/97/633596397.db2.gz LCGZHHKZNHPGDQ-VIFPVBQESA-N 0 0 279.365 2.530 20 5 CFBDRN Cc1cc(CN2CCc3cc([N+](=O)[O-])ccc32)nc(C)n1 ZINC000849313357 633612971 /nfs/dbraw/zinc/61/29/71/633612971.db2.gz VOYAHOWZVCYOOT-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN Cc1nocc1CNc1c(C)c([N+](=O)[O-])ccc1F ZINC000849317664 633614904 /nfs/dbraw/zinc/61/49/04/633614904.db2.gz ZICAYTJEEJVLBS-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000849669458 633664843 /nfs/dbraw/zinc/66/48/43/633664843.db2.gz FEXZKAIFZOQSFR-ZDUSSCGKSA-N 0 0 279.292 2.567 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)c2c(Cl)cccc2[N+](=O)[O-])CO1 ZINC000849726493 633690646 /nfs/dbraw/zinc/69/06/46/633690646.db2.gz LOYVWHKCPQKBRE-MRVPVSSYSA-N 0 0 299.710 2.973 20 5 CFBDRN C/C=C(\C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849740275 633696769 /nfs/dbraw/zinc/69/67/69/633696769.db2.gz YCGMSVXUQWBYIS-FPYGCLRLSA-N 0 0 253.229 2.743 20 5 CFBDRN C/C(=C/C(=O)OC[C@H](O)C(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000849755922 633704639 /nfs/dbraw/zinc/70/46/39/633704639.db2.gz DQPVLVFOZJZQPA-MSKHEQNASA-N 0 0 293.319 2.558 20 5 CFBDRN CO[C@H](COC(=O)CCC(C)(C)[N+](=O)[O-])C1CCCC1 ZINC000849792298 633721730 /nfs/dbraw/zinc/72/17/30/633721730.db2.gz VZDWYNNBPHLBHV-GFCCVEGCSA-N 0 0 287.356 2.570 20 5 CFBDRN CCC(CC)COC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000849799929 633724888 /nfs/dbraw/zinc/72/48/88/633724888.db2.gz UOJHJAKMKQAWEC-ZDUSSCGKSA-N 0 0 281.308 2.608 20 5 CFBDRN CC1(C)OC[C@@H](CCSc2ccc([N+](=O)[O-])cn2)O1 ZINC000849888783 633752889 /nfs/dbraw/zinc/75/28/89/633752889.db2.gz SCWLDODIHRLNDT-SNVBAGLBSA-N 0 0 284.337 2.624 20 5 CFBDRN CC/C=C\CCn1cc([N+](=O)[O-])nc1Br ZINC000849991945 633786166 /nfs/dbraw/zinc/78/61/66/633786166.db2.gz HASZFJILGDNLMW-ARJAWSKDSA-N 0 0 274.118 2.910 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)C1CC2(CC2)C1 ZINC000850214636 633897342 /nfs/dbraw/zinc/89/73/42/633897342.db2.gz OHDLRSCPHQLLFN-UHFFFAOYSA-N 0 0 275.304 2.871 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Oc2cc([N+](=O)[O-])ccc2C)C1 ZINC000850770409 634084935 /nfs/dbraw/zinc/08/49/35/634084935.db2.gz QGFQBSMMHPKCBE-CMPLNLGQSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])C1CC2(CC2)C1 ZINC000850892704 634125884 /nfs/dbraw/zinc/12/58/84/634125884.db2.gz BYGFLFVRCPSJLR-UHFFFAOYSA-N 0 0 275.304 2.871 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000850985402 634166970 /nfs/dbraw/zinc/16/69/70/634166970.db2.gz HFEAFTMOYXRAHV-SNVBAGLBSA-N 0 0 279.292 2.945 20 5 CFBDRN C[C@H](COC(=O)[C@@]1(c2ccccc2)CC1(C)C)[N+](=O)[O-] ZINC000851035751 634189348 /nfs/dbraw/zinc/18/93/48/634189348.db2.gz AFOWVPGRTZRIDK-ABAIWWIYSA-N 0 0 277.320 2.563 20 5 CFBDRN CC1=CC[C@](C)(C(=O)OCc2cn(C)nc2[N+](=O)[O-])CC1 ZINC000851110869 634227577 /nfs/dbraw/zinc/22/75/77/634227577.db2.gz FUNKELBFJOEZSE-AWEZNQCLSA-N 0 0 293.323 2.508 20 5 CFBDRN CSCc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851122569 634234443 /nfs/dbraw/zinc/23/44/43/634234443.db2.gz GJGHSPDUDNDNEY-UHFFFAOYSA-N 0 0 283.349 2.762 20 5 CFBDRN Cc1ccc(C)c(OCCC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851123393 634234803 /nfs/dbraw/zinc/23/48/03/634234803.db2.gz CKKVTEZWIIBWDK-UHFFFAOYSA-N 0 0 295.335 2.671 20 5 CFBDRN CC(C)(COC(=O)c1coc(-c2ccccc2)n1)[N+](=O)[O-] ZINC000851125337 634235410 /nfs/dbraw/zinc/23/54/10/634235410.db2.gz ABRTWOYOVGTQIV-UHFFFAOYSA-N 0 0 290.275 2.554 20 5 CFBDRN CCc1ccsc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125181 634235420 /nfs/dbraw/zinc/23/54/20/634235420.db2.gz ACRUYTJOAQPYNB-UHFFFAOYSA-N 0 0 257.311 2.523 20 5 CFBDRN Cc1ccsc1[C@H]1C[C@@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125306 634235585 /nfs/dbraw/zinc/23/55/85/634235585.db2.gz BEFZZQPFJMJFBT-UWVGGRQHSA-N 0 0 283.349 2.759 20 5 CFBDRN Cc1cccc2[nH]cc(CC(=O)OCC(C)(C)[N+](=O)[O-])c21 ZINC000851125767 634235664 /nfs/dbraw/zinc/23/56/64/634235664.db2.gz IUMXMPWAMSCOPL-UHFFFAOYSA-N 0 0 290.319 2.617 20 5 CFBDRN Cc1cc(C)cc(OCCC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851124762 634235837 /nfs/dbraw/zinc/23/58/37/634235837.db2.gz KFKSQTAGBMKTRA-UHFFFAOYSA-N 0 0 295.335 2.671 20 5 CFBDRN COc1ccccc1/C(C)=C/C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125810 634235843 /nfs/dbraw/zinc/23/58/43/634235843.db2.gz JSPCIEDZOUDGOZ-PKNBQFBNSA-N 0 0 293.319 2.697 20 5 CFBDRN C[C@@H](CC(=O)OCC(C)(C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000851127020 634236797 /nfs/dbraw/zinc/23/67/97/634236797.db2.gz WLYRDOCYWFDTAO-JTQLQIEISA-N 0 0 283.299 2.918 20 5 CFBDRN CC(C)(COC(=O)CCCOc1ccccc1F)[N+](=O)[O-] ZINC000851126076 634236803 /nfs/dbraw/zinc/23/68/03/634236803.db2.gz LROGEBVCLNYOMK-UHFFFAOYSA-N 0 0 299.298 2.583 20 5 CFBDRN C/C(=C/C(=O)OCC(C)(C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000851126085 634236850 /nfs/dbraw/zinc/23/68/50/634236850.db2.gz MAKVQXKWSHDTLF-NTMALXAHSA-N 0 0 281.283 2.828 20 5 CFBDRN CC(C)(COC(=O)c1cc(F)c(Cl)cc1F)[N+](=O)[O-] ZINC000851127746 634237656 /nfs/dbraw/zinc/23/76/56/634237656.db2.gz UWJKNCXTUUYFLE-UHFFFAOYSA-N 0 0 293.653 2.830 20 5 CFBDRN Cc1nc2ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc2s1 ZINC000851127931 634237759 /nfs/dbraw/zinc/23/77/59/634237759.db2.gz BFSCXZVPYXKBEP-UHFFFAOYSA-N 0 0 294.332 2.817 20 5 CFBDRN CC(C)(COC(=O)[C@H]1C[C@H]1c1cccc(F)c1F)[N+](=O)[O-] ZINC000851127639 634237808 /nfs/dbraw/zinc/23/78/08/634237808.db2.gz ZWDLCEABTBTOCG-UWVGGRQHSA-N 0 0 299.273 2.667 20 5 CFBDRN CC[C@H]1CCCC[C@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129342 634238861 /nfs/dbraw/zinc/23/88/61/634238861.db2.gz JYRBCIYPIKOVTF-WDEREUQCSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@@]1(COC(=O)c2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000851265689 634300029 /nfs/dbraw/zinc/30/00/29/634300029.db2.gz AQAPLGGUDHTHLJ-NSHDSACASA-N 0 0 271.219 2.797 20 5 CFBDRN Cc1ncc(COC(=O)c2cc([N+](=O)[O-])c(C)cc2C)o1 ZINC000851445456 634363373 /nfs/dbraw/zinc/36/33/73/634363373.db2.gz YPXHQCIUNJOREG-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN COc1ccc(C(=O)OCC2CC(C)C2)cc1[N+](=O)[O-] ZINC000851461000 634373527 /nfs/dbraw/zinc/37/35/27/634373527.db2.gz GKZRVSHECGAWPL-UHFFFAOYSA-N 0 0 279.292 2.806 20 5 CFBDRN CC1CC(COC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000851462089 634375104 /nfs/dbraw/zinc/37/51/04/634375104.db2.gz GSNIWRJFDIXCRQ-UHFFFAOYSA-N 0 0 255.295 2.859 20 5 CFBDRN CC1CC(COC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000851462517 634375523 /nfs/dbraw/zinc/37/55/23/634375523.db2.gz YZVDDKXUSCOLGF-UHFFFAOYSA-N 0 0 278.308 2.596 20 5 CFBDRN CC12CC(C1)CN2Cc1cc(F)ccc1[N+](=O)[O-] ZINC000852786250 634835625 /nfs/dbraw/zinc/83/56/25/634835625.db2.gz UWFVGNWLHSBVGS-UHFFFAOYSA-N 0 0 250.273 2.718 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCCOC(F)F ZINC000853014497 634876131 /nfs/dbraw/zinc/87/61/31/634876131.db2.gz SSLWAHXVWMKHAN-UHFFFAOYSA-N 0 0 267.615 2.866 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2C[C@H]3C[C@H]3C2)cc([N+](=O)[O-])c1 ZINC000853021597 634878752 /nfs/dbraw/zinc/87/87/52/634878752.db2.gz LXRAUFNQVYAALJ-ILWJIGKKSA-N 0 0 278.264 2.928 20 5 CFBDRN Cc1noc(CNc2ccc(F)c([C@H](C)O)c2)c1[N+](=O)[O-] ZINC000853062277 634894024 /nfs/dbraw/zinc/89/40/24/634894024.db2.gz PCWCNOOTWGOJAC-QMMMGPOBSA-N 0 0 295.270 2.696 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1CCCOCC1 ZINC000853242244 634949415 /nfs/dbraw/zinc/94/94/15/634949415.db2.gz OADQXNVYSNCWKD-UHFFFAOYSA-N 0 0 272.251 2.759 20 5 CFBDRN COC(=O)N1CCC[C@@H](Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000853252673 634952398 /nfs/dbraw/zinc/95/23/98/634952398.db2.gz QZPLIRJEUKJDJC-SECBINFHSA-N 0 0 299.352 2.607 20 5 CFBDRN Cc1cnc(CNc2cc(C)sc2[N+](=O)[O-])o1 ZINC000853397684 634993924 /nfs/dbraw/zinc/99/39/24/634993924.db2.gz UIOSYTHHDZEZIS-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN COc1cc(N2CCOC3(CCC3)C2)c([N+](=O)[O-])cc1C ZINC000853464395 635007632 /nfs/dbraw/zinc/00/76/32/635007632.db2.gz SRSICUZQTIVCHY-UHFFFAOYSA-N 0 0 292.335 2.671 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@@H](OC)C2)c([N+](=O)[O-])cc1C ZINC000853516244 635024927 /nfs/dbraw/zinc/02/49/27/635024927.db2.gz SDTOWCXJAUNKKN-BMIGLBTASA-N 0 0 294.351 2.773 20 5 CFBDRN COc1cc(N2CCC[C@@H]3C[C@@H]32)c([N+](=O)[O-])cc1C ZINC000853612347 635049895 /nfs/dbraw/zinc/04/98/95/635049895.db2.gz HMERCNSINLNEOC-MNOVXSKESA-N 0 0 262.309 2.901 20 5 CFBDRN Cc1cc(CO)cc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000853649949 635060315 /nfs/dbraw/zinc/06/03/15/635060315.db2.gz IAAJZXVUEJBNCN-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1N1CC2(CC2)C1 ZINC000853651368 635061221 /nfs/dbraw/zinc/06/12/21/635061221.db2.gz AYGUNHQQNHKXRV-UHFFFAOYSA-N 0 0 283.125 2.958 20 5 CFBDRN CC1=NO[C@H](CNc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000853661753 635065673 /nfs/dbraw/zinc/06/56/73/635065673.db2.gz RUXWVATYTZTNRM-NSHDSACASA-N 0 0 286.291 2.720 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])cc1C(C)=O)C1CCC1 ZINC000853680893 635073065 /nfs/dbraw/zinc/07/30/65/635073065.db2.gz MFUWNLKKOHBPJV-HNNXBMFYSA-N 0 0 293.319 2.991 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1)[C@H](CO)Cc1ccccc1 ZINC000853743435 635091119 /nfs/dbraw/zinc/09/11/19/635091119.db2.gz PDFGEDZIDFQDJX-INIZCTEOSA-N 0 0 286.331 2.635 20 5 CFBDRN Cc1noc(CSCc2cnoc2C)c1[N+](=O)[O-] ZINC000853787320 635106176 /nfs/dbraw/zinc/10/61/76/635106176.db2.gz KGNQXDCFTBNFCG-UHFFFAOYSA-N 0 0 269.282 2.621 20 5 CFBDRN COC(=O)/C=C/c1ccc(N[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000853801007 635111533 /nfs/dbraw/zinc/11/15/33/635111533.db2.gz KOFNUVBHOZBNRY-CRALRDPISA-N 0 0 288.303 2.912 20 5 CFBDRN CCn1cc(CNc2cc(C)c([N+](=O)[O-])cc2Cl)nn1 ZINC000853822168 635118468 /nfs/dbraw/zinc/11/84/68/635118468.db2.gz WTGMLRAVTTUCAW-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1COC2(CCC2)C1 ZINC000853827216 635119953 /nfs/dbraw/zinc/11/99/53/635119953.db2.gz OOIXYIXRUSWFDS-LBPRGKRZSA-N 0 0 292.335 2.865 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](O)c3ccccc32)ncc1[N+](=O)[O-] ZINC000853837607 635123898 /nfs/dbraw/zinc/12/38/98/635123898.db2.gz HJZLFTCFVTXUNG-JSGCOSHPSA-N 0 0 285.303 2.889 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H](CO)CC1CC1 ZINC000853868327 635140139 /nfs/dbraw/zinc/14/01/39/635140139.db2.gz HUUOHGSFTZUPDY-LBPRGKRZSA-N 0 0 292.335 2.618 20 5 CFBDRN Cc1noc(COC(=O)c2cc(C)ccc2C)c1[N+](=O)[O-] ZINC000853882975 635145315 /nfs/dbraw/zinc/14/53/15/635145315.db2.gz AXQYBMIOPRDUBC-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=C(OCCOC(F)F)c1ccc([N+](=O)[O-])cc1Cl ZINC000853882681 635145638 /nfs/dbraw/zinc/14/56/38/635145638.db2.gz PRKHXFBBKJBVRX-UHFFFAOYSA-N 0 0 295.625 2.644 20 5 CFBDRN Cc1noc(COC(=O)/C=C2/CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000853903104 635153914 /nfs/dbraw/zinc/15/39/14/635153914.db2.gz GXXKSGUWOKEQNX-KZSPTFSBSA-N 0 0 280.280 2.681 20 5 CFBDRN Cc1noc(COC(=O)[C@H](C)CC(C)C)c1[N+](=O)[O-] ZINC000853911732 635159254 /nfs/dbraw/zinc/15/92/54/635159254.db2.gz UKWSONIRFZHIJQ-MRVPVSSYSA-N 0 0 270.285 2.617 20 5 CFBDRN Cc1noc(COC(=O)C[C@@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000853912189 635159724 /nfs/dbraw/zinc/15/97/24/635159724.db2.gz XNMITXFSHWAQEP-WPRPVWTQSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)c2cc[nH]c2C(C)C)c1[N+](=O)[O-] ZINC000853917027 635162070 /nfs/dbraw/zinc/16/20/70/635162070.db2.gz MJXMXMUBZQFHCQ-UHFFFAOYSA-N 0 0 293.279 2.700 20 5 CFBDRN Cc1cc(C(=O)OCc2onc(C)c2[N+](=O)[O-])cs1 ZINC000853918019 635162096 /nfs/dbraw/zinc/16/20/96/635162096.db2.gz XWXONURTWQYZTL-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN CC[C@H]1CCC[C@@H]1C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853920759 635164728 /nfs/dbraw/zinc/16/47/28/635164728.db2.gz GRDDETBXVLCBBG-UWVGGRQHSA-N 0 0 282.296 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3COC[C@@H]3C2)c(Cl)c1 ZINC000853933519 635169797 /nfs/dbraw/zinc/16/97/97/635169797.db2.gz FPKOLCXHLNHAHQ-UWVGGRQHSA-N 0 0 282.727 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@@H]2COC[C@@H]2C1 ZINC000853934905 635169902 /nfs/dbraw/zinc/16/99/02/635169902.db2.gz VOWHJGJAPPWGQB-ZJUUUORDSA-N 0 0 282.727 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCC1SCCS1)CCN2 ZINC000853939514 635171683 /nfs/dbraw/zinc/17/16/83/635171683.db2.gz CUHYLMRENDAJMB-UHFFFAOYSA-N 0 0 297.405 2.781 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@@](C)(C(F)F)O1 ZINC000853999860 635188576 /nfs/dbraw/zinc/18/85/76/635188576.db2.gz QLXHUVDEVDVWFY-ZANVPECISA-N 0 0 286.278 2.844 20 5 CFBDRN Cc1c(CN2CCCc3n[nH]cc3C2)cccc1[N+](=O)[O-] ZINC000854055145 635200823 /nfs/dbraw/zinc/20/08/23/635200823.db2.gz LBOYZXXELZXCML-UHFFFAOYSA-N 0 0 286.335 2.575 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CCC[C@@H]3C[C@@H]32)c1F ZINC000854422331 635302170 /nfs/dbraw/zinc/30/21/70/635302170.db2.gz WWZXMHYOMFIIIW-BDAKNGLRSA-N 0 0 296.273 2.806 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854494435 635316884 /nfs/dbraw/zinc/31/68/84/635316884.db2.gz BXLUNBACPHSCFR-PSASIEDQSA-N 0 0 263.297 2.552 20 5 CFBDRN CC[C@@H](CC(F)F)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496724 635317418 /nfs/dbraw/zinc/31/74/18/635317418.db2.gz PRGZPQPNIXMIRE-ZETCQYMHSA-N 0 0 287.266 2.797 20 5 CFBDRN CC(C)(C)OCCCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854495475 635317633 /nfs/dbraw/zinc/31/76/33/635317633.db2.gz NTWIXOOPTJWYOB-UHFFFAOYSA-N 0 0 295.339 2.711 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC=CCC1 ZINC000854496949 635317737 /nfs/dbraw/zinc/31/77/37/635317737.db2.gz VEGNFKCRSPTDQB-AWEZNQCLSA-N 0 0 275.308 2.862 20 5 CFBDRN C[C@@H]1CCCC[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496687 635317913 /nfs/dbraw/zinc/31/79/13/635317913.db2.gz OOYXJNIZQDPIGK-MWLCHTKSSA-N 0 0 277.324 2.942 20 5 CFBDRN Nc1ccc(NC(=O)C2CC3(CC3(F)F)C2)cc1[N+](=O)[O-] ZINC000854497860 635318613 /nfs/dbraw/zinc/31/86/13/635318613.db2.gz AQMBHWRAVYNMQK-UHFFFAOYSA-N 0 0 297.261 2.551 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000854678543 635363147 /nfs/dbraw/zinc/36/31/47/635363147.db2.gz FBCRNTPMSCIMEQ-SUHUHFCYSA-N 0 0 292.335 2.587 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000855145186 635468024 /nfs/dbraw/zinc/46/80/24/635468024.db2.gz KCNFCBLFURDXMA-QMMMGPOBSA-N 0 0 283.303 2.577 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000855365806 635520785 /nfs/dbraw/zinc/52/07/85/635520785.db2.gz QTCGKVXLPOGEJS-SNVBAGLBSA-N 0 0 258.362 2.763 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000855420350 635536190 /nfs/dbraw/zinc/53/61/90/635536190.db2.gz YSAUELGGCSVAAQ-JTQLQIEISA-N 0 0 278.333 2.765 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1C=CCC1 ZINC000855425216 635539330 /nfs/dbraw/zinc/53/93/30/635539330.db2.gz XCGDRJBTLNGKMZ-SNVBAGLBSA-N 0 0 280.711 2.626 20 5 CFBDRN CC[C@@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000855855805 635671156 /nfs/dbraw/zinc/67/11/56/635671156.db2.gz GSVPDLOYRRZSMD-AZWQULSGSA-N 0 0 274.320 2.913 20 5 CFBDRN CC(C)(C)C(F)(F)CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000856280902 635771899 /nfs/dbraw/zinc/77/18/99/635771899.db2.gz ZEJOOQLZBIIKSC-UHFFFAOYSA-N 0 0 280.315 2.620 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000856463248 635817159 /nfs/dbraw/zinc/81/71/59/635817159.db2.gz VXZMOXZLNHBJOA-QMMMGPOBSA-N 0 0 298.289 2.991 20 5 CFBDRN Cc1cc(C(=O)N(C)C[C@@H]2CC2(C)C)c(N)c([N+](=O)[O-])c1 ZINC000856462378 635817640 /nfs/dbraw/zinc/81/76/40/635817640.db2.gz MHAQTGBIYRTODR-JTQLQIEISA-N 0 0 291.351 2.604 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@@]3(CC=CCC3)C2)c1 ZINC000114445180 635835002 /nfs/dbraw/zinc/83/50/02/635835002.db2.gz PVRNDNLUPBQBDD-AWEZNQCLSA-N 0 0 276.340 2.571 20 5 CFBDRN COC(=O)c1ccnc(NC[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000856730158 635842959 /nfs/dbraw/zinc/84/29/59/635842959.db2.gz ITAKILZXNJVEBJ-VIFPVBQESA-N 0 0 295.339 2.871 20 5 CFBDRN CC1CN(c2cccc(Br)c2[N+](=O)[O-])C1 ZINC000856742245 635845617 /nfs/dbraw/zinc/84/56/17/635845617.db2.gz YMMWZLNYNBXSSM-UHFFFAOYSA-N 0 0 271.114 2.813 20 5 CFBDRN Nc1ccc(NCC[C@@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000856779167 635857683 /nfs/dbraw/zinc/85/76/83/635857683.db2.gz UZGJMHFADVZCNT-SSDOTTSWSA-N 0 0 257.240 2.634 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC[C@@H]1CC1(Cl)Cl ZINC000856784808 635858765 /nfs/dbraw/zinc/85/87/65/635858765.db2.gz KEADBOMEMHBMQC-SSDOTTSWSA-N 0 0 276.123 2.986 20 5 CFBDRN CC(C)(CCC(=O)N1CC(C)(c2ccccc2)C1)[N+](=O)[O-] ZINC000857350285 635969093 /nfs/dbraw/zinc/96/90/93/635969093.db2.gz ASGZIKSJJDFUQU-UHFFFAOYSA-N 0 0 290.363 2.622 20 5 CFBDRN CO[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)C1CCCC1 ZINC000857572309 635985616 /nfs/dbraw/zinc/98/56/16/635985616.db2.gz YDPBCROSEJVSDJ-ZDUSSCGKSA-N 0 0 296.298 2.878 20 5 CFBDRN CSC(C)(C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000857571472 635985641 /nfs/dbraw/zinc/98/56/41/635985641.db2.gz ISKXKPBOCFBKGG-UHFFFAOYSA-N 0 0 272.301 2.814 20 5 CFBDRN CSC(C)(C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000857598163 635991355 /nfs/dbraw/zinc/99/13/55/635991355.db2.gz RFSXIMVRBUFGTN-UHFFFAOYSA-N 0 0 273.285 2.781 20 5 CFBDRN CC(C)[C@H](C)C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857636205 635999540 /nfs/dbraw/zinc/99/95/40/635999540.db2.gz HUXMCQXEMNUGMH-QMMMGPOBSA-N 0 0 282.252 2.701 20 5 CFBDRN Cn1cc2c(n1)CCC[C@@H]2Nc1sccc1[N+](=O)[O-] ZINC000857898171 636054482 /nfs/dbraw/zinc/05/44/82/636054482.db2.gz GMTHCCXDQNGQEJ-VIFPVBQESA-N 0 0 278.337 2.879 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCc3cccnc32)n1 ZINC000857902107 636054980 /nfs/dbraw/zinc/05/49/80/636054980.db2.gz QIMQBYWUQYQMJW-LBPRGKRZSA-N 0 0 284.319 2.835 20 5 CFBDRN O=[N+]([O-])c1c(NOC[C@H]2CCOC2)ccc2cnccc21 ZINC000858510987 636279479 /nfs/dbraw/zinc/27/94/79/636279479.db2.gz NUQHBZHMTFSZRY-JTQLQIEISA-N 0 0 289.291 2.523 20 5 CFBDRN CCC(=O)c1ccc(NCCCOCOC)c([N+](=O)[O-])c1 ZINC000858606121 636306570 /nfs/dbraw/zinc/30/65/70/636306570.db2.gz HUGQQWGUPDHKNF-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN CCC[C@@H](CNc1cc(N)ccc1[N+](=O)[O-])OCC ZINC000858657038 636319170 /nfs/dbraw/zinc/31/91/70/636319170.db2.gz RLEXLVINCGBZGA-NSHDSACASA-N 0 0 267.329 2.794 20 5 CFBDRN C[C@@H]1CN(c2cc(N)ccc2[N+](=O)[O-])C[C@@H](C)C1(F)F ZINC000858664789 636320540 /nfs/dbraw/zinc/32/05/40/636320540.db2.gz NHIQEUBJAGRMDH-RKDXNWHRSA-N 0 0 285.294 2.905 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000858692002 636326646 /nfs/dbraw/zinc/32/66/46/636326646.db2.gz OLCYGNXAVRZRIP-SNVBAGLBSA-N 0 0 294.282 2.716 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000858734343 636335712 /nfs/dbraw/zinc/33/57/12/636335712.db2.gz PCTQVGKQNFINAP-JTQLQIEISA-N 0 0 294.282 2.798 20 5 CFBDRN CCC[C@@H](OCC)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000858774405 636342608 /nfs/dbraw/zinc/34/26/08/636342608.db2.gz UVQVYKXVOFFABJ-SNVBAGLBSA-N 0 0 285.344 2.935 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000214998520 650251453 /nfs/dbraw/zinc/25/14/53/650251453.db2.gz MIBKMBFPFXPSGR-NXEZZACHSA-N 0 0 295.314 2.642 20 5 CFBDRN Cc1cccnc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000159255618 650253401 /nfs/dbraw/zinc/25/34/01/650253401.db2.gz IRKTUHAXQSFVBQ-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)OCCF ZINC000859009288 636409975 /nfs/dbraw/zinc/40/99/75/636409975.db2.gz DATPQFXCIPBNQV-UHFFFAOYSA-N 0 0 284.287 2.613 20 5 CFBDRN CCCCOC(=O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000048103108 650254890 /nfs/dbraw/zinc/25/48/90/650254890.db2.gz QAHFOJZANQZLJZ-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN O=C(OCCF)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000859177607 636488269 /nfs/dbraw/zinc/48/82/69/636488269.db2.gz KHJQYUGLWSFBKF-LBPRGKRZSA-N 0 0 296.298 2.880 20 5 CFBDRN COC(=O)CCCCN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000859224884 636513138 /nfs/dbraw/zinc/51/31/38/636513138.db2.gz NQSFLSLGRYRACL-UHFFFAOYSA-N 0 0 292.335 2.609 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000859446272 636566678 /nfs/dbraw/zinc/56/66/78/636566678.db2.gz AWFXSNJLZWWSFC-ZJUUUORDSA-N 0 0 281.333 2.886 20 5 CFBDRN CO[C@@]1(C)C[C@H](OC(=O)c2ccccc2[N+](=O)[O-])C1(C)C ZINC000159577105 650267463 /nfs/dbraw/zinc/26/74/63/650267463.db2.gz OIRDGSSLZWKXNA-WFASDCNBSA-N 0 0 293.319 2.955 20 5 CFBDRN O=C(C=C1CCC1)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859591410 636613992 /nfs/dbraw/zinc/61/39/92/636613992.db2.gz CWKYSLOAHZHJDJ-UHFFFAOYSA-N 0 0 293.250 2.570 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@H]1c1cccs1 ZINC000048619770 650273174 /nfs/dbraw/zinc/27/31/74/650273174.db2.gz FYLLSDVBBJQBNZ-JTQLQIEISA-N 0 0 278.337 2.647 20 5 CFBDRN COC1CC(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000859846294 636722859 /nfs/dbraw/zinc/72/28/59/636722859.db2.gz OKZRCONSZMEIOC-UHFFFAOYSA-N 0 0 299.710 2.716 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2ccc(Cl)nc2Cl)c1 ZINC000194356575 636775255 /nfs/dbraw/zinc/77/52/55/636775255.db2.gz QGAXWPCRJWJGGP-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1C(=O)Nc1ccc2ccccc2c1 ZINC000159952430 650285301 /nfs/dbraw/zinc/28/53/01/650285301.db2.gz WIZTYHACNYSFJA-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000860177095 636814399 /nfs/dbraw/zinc/81/43/99/636814399.db2.gz VTLNENWFHFFAAV-VIFPVBQESA-N 0 0 280.280 2.515 20 5 CFBDRN C[C@@H](OC(=O)C12CC(C1)C2)c1cccc([N+](=O)[O-])c1 ZINC000860271456 636844751 /nfs/dbraw/zinc/84/47/51/636844751.db2.gz AOTFYZJUXHODNF-NAUIOFCNSA-N 0 0 261.277 2.999 20 5 CFBDRN CCC[C@@H](OCC)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000860606186 636925345 /nfs/dbraw/zinc/92/53/45/636925345.db2.gz FMOICDSIDBBQOC-CQSZACIVSA-N 0 0 295.335 2.886 20 5 CFBDRN C[C@H](COC(=O)Cc1coc2cc(Cl)ccc12)[N+](=O)[O-] ZINC000860777142 636968815 /nfs/dbraw/zinc/96/88/15/636968815.db2.gz LCRFMABIHRZOGD-MRVPVSSYSA-N 0 0 297.694 2.837 20 5 CFBDRN CCc1oc2ccccc2c1CC(=O)O[C@H](C)C[N+](=O)[O-] ZINC000860788244 636971964 /nfs/dbraw/zinc/97/19/64/636971964.db2.gz RFXSJQVLSWCFMH-SNVBAGLBSA-N 0 0 291.303 2.746 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cccc(C(F)F)c1F ZINC000860787394 636971974 /nfs/dbraw/zinc/97/19/74/636971974.db2.gz LDBQHWZCQXLJQG-ZCFIWIBFSA-N 0 0 277.198 2.585 20 5 CFBDRN CSc1ccc(/C=C/C(=O)O[C@H](C)C[N+](=O)[O-])s1 ZINC000860788890 636972625 /nfs/dbraw/zinc/97/26/25/636972625.db2.gz VXQZAOUFGFXKPS-RYEJSQLPSA-N 0 0 287.362 2.692 20 5 CFBDRN CC(C)(COC(=O)C1CCc2ccccc2CC1)[N+](=O)[O-] ZINC000860866458 636995321 /nfs/dbraw/zinc/99/53/21/636995321.db2.gz KJILNNQLWCDZTQ-UHFFFAOYSA-N 0 0 291.347 2.780 20 5 CFBDRN CC(C)CCCCOC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000860895584 637005354 /nfs/dbraw/zinc/00/53/54/637005354.db2.gz OUBKZIFLHCOOIU-UHFFFAOYSA-N 0 0 297.355 2.896 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1csc(-c2cccs2)n1 ZINC000860913770 637015199 /nfs/dbraw/zinc/01/51/99/637015199.db2.gz JBAZAMIXGWDTBG-UHFFFAOYSA-N 0 0 298.345 2.695 20 5 CFBDRN CSc1ccc(Cl)c(C(=O)OCCC[N+](=O)[O-])c1 ZINC000860912998 637015255 /nfs/dbraw/zinc/01/52/55/637015255.db2.gz KRDSLTAPHGLJGQ-UHFFFAOYSA-N 0 0 289.740 2.886 20 5 CFBDRN C[C@@H](CC(=O)OCCC[N+](=O)[O-])c1ccc(F)cc1 ZINC000860916545 637016032 /nfs/dbraw/zinc/01/60/32/637016032.db2.gz UJRRZLJVDLZZSY-JTQLQIEISA-N 0 0 269.272 2.529 20 5 CFBDRN CC1(C)CCC[C@@H](CC(=O)OCCC[N+](=O)[O-])C1 ZINC000860917899 637017257 /nfs/dbraw/zinc/01/72/57/637017257.db2.gz VUECKUPKOXUQGE-NSHDSACASA-N 0 0 257.330 2.803 20 5 CFBDRN CC(C)[C@H]1CC[C@H](C(=O)OCCC[N+](=O)[O-])CC1 ZINC000860917877 637017262 /nfs/dbraw/zinc/01/72/62/637017262.db2.gz VIVLGNFJNAGYJY-HAQNSBGRSA-N 0 0 257.330 2.659 20 5 CFBDRN CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)OCCC[N+](=O)[O-] ZINC000860918137 637017323 /nfs/dbraw/zinc/01/73/23/637017323.db2.gz YNOSSPLJDGILNB-UPJWGTAASA-N 0 0 287.356 2.570 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@H]1CCc2c1cccc2Cl ZINC000860918464 637017352 /nfs/dbraw/zinc/01/73/52/637017352.db2.gz BPVFJZCTQNWCNW-NSHDSACASA-N 0 0 283.711 2.580 20 5 CFBDRN CC(C)c1cccc(C(=O)OCCC[N+](=O)[O-])c1 ZINC000860921961 637017915 /nfs/dbraw/zinc/01/79/15/637017915.db2.gz BNVWTERBGFNUTQ-UHFFFAOYSA-N 0 0 251.282 2.634 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000861304867 637158395 /nfs/dbraw/zinc/15/83/95/637158395.db2.gz LWMPSDIFZDWGRF-FBIMIBRVSA-N 0 0 297.282 2.558 20 5 CFBDRN C[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000862441354 637380712 /nfs/dbraw/zinc/38/07/12/637380712.db2.gz UCBCCCQKIRPNNX-AOOOYVTPSA-N 0 0 292.326 2.572 20 5 CFBDRN CC[C@@H](C)c1cccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC000862486493 637400454 /nfs/dbraw/zinc/40/04/54/637400454.db2.gz FWIGCQAPJFQROD-OASPWFOLSA-N 0 0 262.309 2.804 20 5 CFBDRN Cc1nn(CN(CCC(C)C)C2CC2)c(C)c1[N+](=O)[O-] ZINC000075582110 650337688 /nfs/dbraw/zinc/33/76/88/650337688.db2.gz YRXLETKGWUWRMH-UHFFFAOYSA-N 0 0 280.372 2.876 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@@H]1CC=CCC1)[N+](=O)[O-] ZINC000862946281 637524174 /nfs/dbraw/zinc/52/41/74/637524174.db2.gz HSKZORMZEWFHCO-GFCCVEGCSA-N 0 0 268.357 2.685 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NCC2CC=CC2)cc1 ZINC000863209936 637590362 /nfs/dbraw/zinc/59/03/62/637590362.db2.gz RTDJLCCZWRYDCM-UHFFFAOYSA-N 0 0 277.349 2.847 20 5 CFBDRN C[C@@]1(C(=O)[O-])CCC[N@@H+]1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000863532073 637686440 /nfs/dbraw/zinc/68/64/40/637686440.db2.gz DHADNYJDNIYRCR-ZDUSSCGKSA-N 0 0 298.726 2.687 20 5 CFBDRN CCN[C@@H](C)c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000863663924 637735669 /nfs/dbraw/zinc/73/56/69/637735669.db2.gz NCRHJFYUOIPMNO-JTQLQIEISA-N 0 0 290.323 2.548 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Br)c1 ZINC000119636579 637771526 /nfs/dbraw/zinc/77/15/26/637771526.db2.gz AOPIUOCECABYPY-POYBYMJQSA-N 0 0 299.124 2.952 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Br)c1 ZINC000119636268 637771674 /nfs/dbraw/zinc/77/16/74/637771674.db2.gz AOPIUOCECABYPY-XPUUQOCRSA-N 0 0 299.124 2.952 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)C(C)C)c1[N+](=O)[O-] ZINC000119720335 637809723 /nfs/dbraw/zinc/80/97/23/637809723.db2.gz WTMQYCMLGRRIFS-UHFFFAOYSA-N 0 0 254.261 2.523 20 5 CFBDRN COc1cccc2c1CN(c1ccc(N)cc1[N+](=O)[O-])C2 ZINC000864021630 637835331 /nfs/dbraw/zinc/83/53/31/637835331.db2.gz BGJZWNQQNWXHEN-UHFFFAOYSA-N 0 0 285.303 2.706 20 5 CFBDRN CCOC1CC2(C[C@@H]2C(=O)Oc2cccc([N+](=O)[O-])c2)C1 ZINC000864622670 638049613 /nfs/dbraw/zinc/04/96/13/638049613.db2.gz DURUIRHUDGKVMB-JVWICGRDSA-N 0 0 291.303 2.705 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC000864690273 638068555 /nfs/dbraw/zinc/06/85/55/638068555.db2.gz OTSHQTPIBKKCJL-SECBINFHSA-N 0 0 280.299 2.913 20 5 CFBDRN C[C@@H](OC(=O)C1=COCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000076882635 650409235 /nfs/dbraw/zinc/40/92/35/650409235.db2.gz XCBNICFWKIFXOV-SNVBAGLBSA-N 0 0 277.276 2.893 20 5 CFBDRN Cc1ccc(CSC[C@@H]2COCCO2)cc1[N+](=O)[O-] ZINC000865284045 638256155 /nfs/dbraw/zinc/25/61/55/638256155.db2.gz ZBMNUCUFDQIQGP-LBPRGKRZSA-N 0 0 283.349 2.552 20 5 CFBDRN Cc1ccc(CSC[C@H]2COCCO2)cc1[N+](=O)[O-] ZINC000865284043 638256423 /nfs/dbraw/zinc/25/64/23/638256423.db2.gz ZBMNUCUFDQIQGP-GFCCVEGCSA-N 0 0 283.349 2.552 20 5 CFBDRN Cc1nn(C[C@@H]2CCC(C)(C)CO2)c(C)c1[N+](=O)[O-] ZINC000865333108 638270870 /nfs/dbraw/zinc/27/08/70/638270870.db2.gz RHOMHQPZPXQHEY-NSHDSACASA-N 0 0 267.329 2.613 20 5 CFBDRN COc1ccc(O[C@H](C)C(=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000865346641 638274928 /nfs/dbraw/zinc/27/49/28/638274928.db2.gz SVJYBZGLRDQZED-SECBINFHSA-N 0 0 281.308 2.986 20 5 CFBDRN CCCCCCn1nc([N+](=O)[O-])nc1Br ZINC000865389248 638302679 /nfs/dbraw/zinc/30/26/79/638302679.db2.gz OOFHVRFVDZGMEM-UHFFFAOYSA-N 0 0 277.122 2.529 20 5 CFBDRN CN(Cc1cnn(C)c1)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000865413517 638319935 /nfs/dbraw/zinc/31/99/35/638319935.db2.gz LQXJEIRHYJYIIR-UHFFFAOYSA-N 0 0 296.277 2.902 20 5 CFBDRN C[C@H]1CCCN(c2cc(F)c([N+](=O)[O-])cc2CO)CC1 ZINC000865478628 638366312 /nfs/dbraw/zinc/36/63/12/638366312.db2.gz KCQPQGNPLKFUKN-JTQLQIEISA-N 0 0 282.315 2.853 20 5 CFBDRN Cc1cnc(CNc2cc(F)c([N+](=O)[O-])cc2CO)s1 ZINC000865562142 638424072 /nfs/dbraw/zinc/42/40/72/638424072.db2.gz UZSINKVXUKONBN-UHFFFAOYSA-N 0 0 297.311 2.603 20 5 CFBDRN CCCn1cc(Nc2cc(F)c([N+](=O)[O-])cc2CO)cn1 ZINC000865563271 638424996 /nfs/dbraw/zinc/42/49/96/638424996.db2.gz HXCFSJHDPQQSJK-UHFFFAOYSA-N 0 0 294.286 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3CC[C@@H](C2)O3)c(C(F)F)c1 ZINC000865572861 638432447 /nfs/dbraw/zinc/43/24/47/638432447.db2.gz CPFJULZRYVYOSS-AOOOYVTPSA-N 0 0 284.262 2.900 20 5 CFBDRN CC(C)[C@@H]1CCN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865604253 638453600 /nfs/dbraw/zinc/45/36/00/638453600.db2.gz VDIQSJMMUCOUBC-SNVBAGLBSA-N 0 0 282.315 2.709 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCCC2CCC2)cc1F ZINC000865604096 638454028 /nfs/dbraw/zinc/45/40/28/638454028.db2.gz RKWIPSVNTVVGKA-UHFFFAOYSA-N 0 0 268.288 2.828 20 5 CFBDRN Cc1nc(CN(C)c2ccc([N+](=O)[O-])cc2C(F)F)no1 ZINC000865661241 638495426 /nfs/dbraw/zinc/49/54/26/638495426.db2.gz IWIUOEWZKWBXLU-UHFFFAOYSA-N 0 0 298.249 2.860 20 5 CFBDRN CC[C@]1(O)CCN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000865691098 638513802 /nfs/dbraw/zinc/51/38/02/638513802.db2.gz TXTNDFNZLORCNQ-ZDUSSCGKSA-N 0 0 286.278 2.884 20 5 CFBDRN C[C@H]1CC[C@@H](Nc2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000865708877 638527689 /nfs/dbraw/zinc/52/76/89/638527689.db2.gz DVDNQWXTGFTHSZ-NKWVEPMBSA-N 0 0 292.261 2.948 20 5 CFBDRN C[C@H]1CC[C@@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865709065 638528180 /nfs/dbraw/zinc/52/81/80/638528180.db2.gz MZINOLCZTKDIHE-WCBMZHEXSA-N 0 0 268.288 2.827 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000865708878 638528280 /nfs/dbraw/zinc/52/82/80/638528280.db2.gz DVDNQWXTGFTHSZ-RNFRBKRXSA-N 0 0 292.261 2.948 20 5 CFBDRN CC(C)C[C@@H]1CCCN(Cn2nccc2[N+](=O)[O-])C1 ZINC000120734986 638570469 /nfs/dbraw/zinc/57/04/69/638570469.db2.gz NTPRVDLNTXPXPP-LBPRGKRZSA-N 0 0 266.345 2.507 20 5 CFBDRN Cn1cc(C(F)F)c(CNCc2ccccc2[N+](=O)[O-])n1 ZINC000865810102 638586110 /nfs/dbraw/zinc/58/61/10/638586110.db2.gz JNVLWAGMRRYLFC-UHFFFAOYSA-N 0 0 296.277 2.556 20 5 CFBDRN CC[C@H](C)CONc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865826733 638595642 /nfs/dbraw/zinc/59/56/42/638595642.db2.gz AKIAMQWIFFOMAE-QMMMGPOBSA-N 0 0 272.276 2.616 20 5 CFBDRN CC1(CO)CN(c2c(Cl)c(Cl)ccc2[N+](=O)[O-])C1 ZINC000865857363 638618237 /nfs/dbraw/zinc/61/82/37/638618237.db2.gz YQHVUUXGARPKRL-UHFFFAOYSA-N 0 0 291.134 2.720 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CCC[C@@H]3C[C@@H]32)cc1F ZINC000865872751 638632026 /nfs/dbraw/zinc/63/20/26/638632026.db2.gz UFOASIXNYBMQDG-UBHAPETDSA-N 0 0 280.299 2.827 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865882094 638640798 /nfs/dbraw/zinc/64/07/98/638640798.db2.gz QOTZXYSPZUFMHA-SECBINFHSA-N 0 0 282.315 2.851 20 5 CFBDRN C[C@@H](CNc1cc(F)c([N+](=O)[O-])cc1CO)CC(F)F ZINC000865899325 638648970 /nfs/dbraw/zinc/64/89/70/638648970.db2.gz ZVDBGNMMTHKUTK-SSDOTTSWSA-N 0 0 292.257 2.929 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2C[C@@H]3CCCC[C@@H]32)cc1F ZINC000865919723 638657019 /nfs/dbraw/zinc/65/70/19/638657019.db2.gz INRHFSXQEYKMAP-CABZTGNLSA-N 0 0 280.299 2.605 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cc1F)C(OC)OC ZINC000865922610 638658345 /nfs/dbraw/zinc/65/83/45/638658345.db2.gz AIIMSAQCNKSVTK-SNVBAGLBSA-N 0 0 286.303 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]2C(F)(F)F)c(F)c1 ZINC000865922814 638658655 /nfs/dbraw/zinc/65/86/55/638658655.db2.gz CVSIEMVJCPYFGV-SECBINFHSA-N 0 0 264.178 2.875 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)C(OC)OC ZINC000865924248 638659922 /nfs/dbraw/zinc/65/99/22/638659922.db2.gz KCHNBRPGGQZNRZ-SNVBAGLBSA-N 0 0 272.276 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCN(CCF)C2)c(F)c1 ZINC000865927814 638661948 /nfs/dbraw/zinc/66/19/48/638661948.db2.gz INASWDQGXZARAW-JTQLQIEISA-N 0 0 285.294 2.580 20 5 CFBDRN CCC(F)(F)CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865968271 638681840 /nfs/dbraw/zinc/68/18/40/638681840.db2.gz BHCOEMVIUKUDBW-UHFFFAOYSA-N 0 0 278.230 2.683 20 5 CFBDRN CC(C)(F)CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000865986647 638687693 /nfs/dbraw/zinc/68/76/93/638687693.db2.gz HDSJDMCCOPPGRH-UHFFFAOYSA-N 0 0 297.286 2.711 20 5 CFBDRN Cc1cccc(N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)c1[N+](=O)[O-] ZINC000866043387 638708114 /nfs/dbraw/zinc/70/81/14/638708114.db2.gz JUNVOEVBHFZUOE-WCPYMQEGSA-N 0 0 274.320 2.739 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000866042946 638708382 /nfs/dbraw/zinc/70/83/82/638708382.db2.gz HHZPRXSJHHPLMW-DKCNOQQISA-N 0 0 284.287 2.720 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32 ZINC000866045672 638709933 /nfs/dbraw/zinc/70/99/33/638709933.db2.gz OLJUBHJRIIORAC-GSZDYRQJSA-N 0 0 274.320 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2 ZINC000866045673 638710143 /nfs/dbraw/zinc/71/01/43/638710143.db2.gz OLJUBHJRIIORAC-HHVGHTAVSA-N 0 0 274.320 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)c(F)c1 ZINC000866046784 638710727 /nfs/dbraw/zinc/71/07/27/638710727.db2.gz RQHUEDJDKZCKAJ-PXBLZKCCSA-N 0 0 278.283 2.569 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2CCCC[C@@H]2F)c1 ZINC000866046492 638710964 /nfs/dbraw/zinc/71/09/64/638710964.db2.gz ZWJCVCLIUSVBCB-VHSXEESVSA-N 0 0 253.277 2.870 20 5 CFBDRN CC[C@@]1(O)CCCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000866065385 638720397 /nfs/dbraw/zinc/72/03/97/638720397.db2.gz CKTFXLFRHSNWRI-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@]1(O)CCCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000866065384 638720539 /nfs/dbraw/zinc/72/05/39/638720539.db2.gz CKTFXLFRHSNWRI-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000866069172 638722717 /nfs/dbraw/zinc/72/27/17/638722717.db2.gz JRUPISRPVWVYLP-GXSJLCMTSA-N 0 0 268.288 2.738 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000866074154 638725323 /nfs/dbraw/zinc/72/53/23/638725323.db2.gz ZHYGWKUXCYCEGB-MFKMUULPSA-N 0 0 292.335 2.801 20 5 CFBDRN CS[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000866102214 638738982 /nfs/dbraw/zinc/73/89/82/638738982.db2.gz ICYAAPODDDMXBH-XWEPSHTISA-N 0 0 283.309 2.809 20 5 CFBDRN Nc1ccc(N[C@H](C2CCC2)[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000866108687 638741630 /nfs/dbraw/zinc/74/16/30/638741630.db2.gz UYAUYXGYURWAPQ-HUUCEWRRSA-N 0 0 291.351 2.937 20 5 CFBDRN CC/C=C(\F)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000866113662 638744023 /nfs/dbraw/zinc/74/40/23/638744023.db2.gz RAVWFWGDNBYCIO-WZUFQYTHSA-N 0 0 266.272 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](O)[C@H]3CCCC[C@@H]32)c(F)c1 ZINC000866117261 638744888 /nfs/dbraw/zinc/74/48/88/638744888.db2.gz JILAEXXJYFKVRK-WHOFXGATSA-N 0 0 294.326 2.864 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCC(C)(C)OC ZINC000866131231 638752436 /nfs/dbraw/zinc/75/24/36/638752436.db2.gz AWJXWNOTIFYPLC-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN Cc1ccnc(N[C@@H](C2CCC2)[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000866142949 638756626 /nfs/dbraw/zinc/75/66/26/638756626.db2.gz DKLOBPBNWZSQMR-OLZOCXBDSA-N 0 0 291.351 2.915 20 5 CFBDRN Cn1cc(C(F)F)c(CNc2ccsc2[N+](=O)[O-])n1 ZINC000866192786 638766842 /nfs/dbraw/zinc/76/68/42/638766842.db2.gz GFMAOZCGNJFEMF-UHFFFAOYSA-N 0 0 288.279 2.940 20 5 CFBDRN Cc1cc(NCc2nn(C)cc2C(F)F)ncc1[N+](=O)[O-] ZINC000866193799 638767189 /nfs/dbraw/zinc/76/71/89/638767189.db2.gz PVJGRMVCWXSTFB-UHFFFAOYSA-N 0 0 297.265 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3CSC[C@H]3C2)cc1 ZINC000866205187 638770027 /nfs/dbraw/zinc/77/00/27/638770027.db2.gz BQLNDTSYXKVQRK-WDEREUQCSA-N 0 0 264.350 2.784 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H]2CSC[C@@H]2C1 ZINC000866205911 638770104 /nfs/dbraw/zinc/77/01/04/638770104.db2.gz HYZAGASKSNFARW-UWVGGRQHSA-N 0 0 282.340 2.923 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866233060 638776156 /nfs/dbraw/zinc/77/61/56/638776156.db2.gz QYUSXUFJHQAGCM-MNOVXSKESA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])s1)c1ccnn1C ZINC000866250732 638780233 /nfs/dbraw/zinc/78/02/33/638780233.db2.gz CSIIRRUVVOZBGA-ZETCQYMHSA-N 0 0 252.299 2.563 20 5 CFBDRN C[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)c1ccnn1C ZINC000866250980 638780302 /nfs/dbraw/zinc/78/03/02/638780302.db2.gz HJZQLVXACPFTQM-SSDOTTSWSA-N 0 0 282.250 2.780 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1ccnn1C ZINC000866251973 638780559 /nfs/dbraw/zinc/78/05/59/638780559.db2.gz UGCHTLLYLAMTFN-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN CC(=O)c1cc(N[C@H](C)c2ccnn2C)ccc1[N+](=O)[O-] ZINC000866253094 638780986 /nfs/dbraw/zinc/78/09/86/638780986.db2.gz WBPXMIUYISEJJJ-SECBINFHSA-N 0 0 288.307 2.704 20 5 CFBDRN CC(C)(C)OC1CN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000866279397 638788225 /nfs/dbraw/zinc/78/82/25/638788225.db2.gz RPTGKQYVIYXLIM-UHFFFAOYSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCN(C)c3ncccc3C2)c1 ZINC000866293491 638790386 /nfs/dbraw/zinc/79/03/86/638790386.db2.gz PXMSAXABOQSGMX-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN CN1CCN(c2ccsc2[N+](=O)[O-])Cc2cccnc21 ZINC000866292838 638790474 /nfs/dbraw/zinc/79/04/74/638790474.db2.gz SUPFLYPVGPZLDV-UHFFFAOYSA-N 0 0 290.348 2.508 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])cc1COC ZINC000866300189 638791805 /nfs/dbraw/zinc/79/18/05/638791805.db2.gz SIXFMSYTPYDDHP-JQWIXIFHSA-N 0 0 282.340 2.703 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000866303209 638792699 /nfs/dbraw/zinc/79/26/99/638792699.db2.gz JOJCVCUKJSJFOC-MNOVXSKESA-N 0 0 297.355 2.738 20 5 CFBDRN CCC(CC)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000866486115 638829181 /nfs/dbraw/zinc/82/91/81/638829181.db2.gz YUKDSCPWWIBJDX-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000867785629 638897875 /nfs/dbraw/zinc/89/78/75/638897875.db2.gz RUPVGPVJYWCVDH-NSHDSACASA-N 0 0 291.351 2.845 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868333805 638938551 /nfs/dbraw/zinc/93/85/51/638938551.db2.gz OKFRAPQIXXKWGJ-NSHDSACASA-N 0 0 280.299 2.581 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC[C@H]1C(F)F ZINC000868602545 638960740 /nfs/dbraw/zinc/96/07/40/638960740.db2.gz ORGXCSBOABAQRK-QMMMGPOBSA-N 0 0 290.653 2.728 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1CCCC[C@H]1F ZINC000868873234 638987942 /nfs/dbraw/zinc/98/79/42/638987942.db2.gz MQYRSACRLFPGIS-ZYHUDNBSSA-N 0 0 298.289 2.673 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868896776 638989940 /nfs/dbraw/zinc/98/99/40/638989940.db2.gz IXJYBBCUBZOGKO-OUAUKWLOSA-N 0 0 294.326 2.779 20 5 CFBDRN CC[C@H](C)CCNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000869238039 639095220 /nfs/dbraw/zinc/09/52/20/639095220.db2.gz FGBSQNSGWHQKLJ-JTQLQIEISA-N 0 0 282.315 2.829 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869241617 639099745 /nfs/dbraw/zinc/09/97/45/639099745.db2.gz BHYIETWQKIHXLM-CYBMUJFWSA-N 0 0 286.331 2.851 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000869314166 639165266 /nfs/dbraw/zinc/16/52/66/639165266.db2.gz MPFKRJLZUUXOQH-LBPRGKRZSA-N 0 0 279.292 2.567 20 5 CFBDRN CC[C@@H](C)NC(=O)CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000122590611 639230830 /nfs/dbraw/zinc/23/08/30/639230830.db2.gz QWRZIUZDGJZWSB-SECBINFHSA-N 0 0 299.758 2.965 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@@H]2CCO[C@@H]2C)c1F ZINC000869483908 639254213 /nfs/dbraw/zinc/25/42/13/639254213.db2.gz DHFBOMJPGAHYSQ-ZJUUUORDSA-N 0 0 297.282 2.624 20 5 CFBDRN CCC[C@@H](C)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000078232527 650482833 /nfs/dbraw/zinc/48/28/33/650482833.db2.gz JSFQKLANDFMXSW-SECBINFHSA-N 0 0 267.281 2.945 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000869651925 639340679 /nfs/dbraw/zinc/34/06/79/639340679.db2.gz AVTAXYAZBQLZDB-OPRDCNLKSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])[C@H](C)O1 ZINC000869651926 639340887 /nfs/dbraw/zinc/34/08/87/639340887.db2.gz AVTAXYAZBQLZDB-UTLUCORTSA-N 0 0 297.282 2.591 20 5 CFBDRN CCOC(C)(C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000869767296 639392204 /nfs/dbraw/zinc/39/22/04/639392204.db2.gz HJFYXSCATMFGDO-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN CCOC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000869769175 639393485 /nfs/dbraw/zinc/39/34/85/639393485.db2.gz WXWUNUKZMLBKHI-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN CO[C@H](C)COC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869811670 639417367 /nfs/dbraw/zinc/41/73/67/639417367.db2.gz SNXCDVZJGGFPQA-LLVKDONJSA-N 0 0 293.319 2.595 20 5 CFBDRN CCn1ncc(C(=O)OCc2csc([N+](=O)[O-])c2)c1C ZINC000869845199 639426076 /nfs/dbraw/zinc/42/60/76/639426076.db2.gz CVHGSFIDKXHOAZ-UHFFFAOYSA-N 0 0 295.320 2.538 20 5 CFBDRN CCO[C@H](COC(=O)c1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000869897631 639458668 /nfs/dbraw/zinc/45/86/68/639458668.db2.gz ACOAGFZEVXIJRM-GFCCVEGCSA-N 0 0 297.282 2.706 20 5 CFBDRN C[C@@H](OC(=O)c1c(F)cccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000869899018 639460323 /nfs/dbraw/zinc/46/03/23/639460323.db2.gz RFDGCAOFSMSVSF-MRVPVSSYSA-N 0 0 297.282 2.894 20 5 CFBDRN C[C@H](OC(=O)C1(F)CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000870172664 639532875 /nfs/dbraw/zinc/53/28/75/639532875.db2.gz WZNCIFZESSJDEG-JTQLQIEISA-N 0 0 297.282 2.718 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000870314784 639595426 /nfs/dbraw/zinc/59/54/26/639595426.db2.gz SOUWHZFRHLNPOK-OHBODLIOSA-N 0 0 291.303 2.801 20 5 CFBDRN C[C@@H](COC(=O)CC(C)(C)c1ccc(F)cc1)[N+](=O)[O-] ZINC000870377899 639616223 /nfs/dbraw/zinc/61/62/23/639616223.db2.gz SNUGDXFJXXAISB-JTQLQIEISA-N 0 0 283.299 2.702 20 5 CFBDRN CC(C)(COC(=O)c1cscc1Cl)[N+](=O)[O-] ZINC000870467327 639652659 /nfs/dbraw/zinc/65/26/59/639652659.db2.gz DDSRJWCVSFRQAO-UHFFFAOYSA-N 0 0 263.702 2.614 20 5 CFBDRN Cc1cc(C)c(C(=O)OCC(C)(C)[N+](=O)[O-])s1 ZINC000870468765 639653570 /nfs/dbraw/zinc/65/35/70/639653570.db2.gz YLEVBIVFUBWSSF-UHFFFAOYSA-N 0 0 257.311 2.577 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCCC[C@@H]1C(F)(F)F)[N+](=O)[O-] ZINC000870467562 639653738 /nfs/dbraw/zinc/65/37/38/639653738.db2.gz HZVSMEUCVMSJRJ-BDAKNGLRSA-N 0 0 297.273 2.954 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC[C@@H]1F ZINC000870488651 639686132 /nfs/dbraw/zinc/68/61/32/639686132.db2.gz ZIKKPDAPVUSBNI-QWHCGFSZSA-N 0 0 280.299 2.534 20 5 CFBDRN CCCCCNC(=O)[C@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000078598186 650517779 /nfs/dbraw/zinc/51/77/79/650517779.db2.gz AZGSAEIOKNIUKV-JTQLQIEISA-N 0 0 298.314 2.808 20 5 CFBDRN CCC[C@@H](C)NC(=O)[C@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000078598208 650517814 /nfs/dbraw/zinc/51/78/14/650517814.db2.gz HDMCTSGQAKVNGH-ZJUUUORDSA-N 0 0 298.314 2.806 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000078672310 650522022 /nfs/dbraw/zinc/52/20/22/650522022.db2.gz VLQFBETWVLNSNU-JTQLQIEISA-N 0 0 277.324 2.582 20 5 CFBDRN Cc1[nH]ncc1C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700254 639777891 /nfs/dbraw/zinc/77/78/91/639777891.db2.gz FROKXHPNCKPSPE-UHFFFAOYSA-N 0 0 289.291 2.600 20 5 CFBDRN Cc1nocc1C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700231 639778278 /nfs/dbraw/zinc/77/82/78/639778278.db2.gz DUTUIDSTDCODCR-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C[C@@H]1CCCO1 ZINC000870700567 639778735 /nfs/dbraw/zinc/77/87/35/639778735.db2.gz XVHQLFJPBHYLQR-LBPRGKRZSA-N 0 0 293.319 2.824 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000870703031 639779932 /nfs/dbraw/zinc/77/99/32/639779932.db2.gz NAZHMKNCLZGGNW-ZYHUDNBSSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)OC1CCC1 ZINC000079169471 650566915 /nfs/dbraw/zinc/56/69/15/650566915.db2.gz NSRSWLFNFUYBAY-UHFFFAOYSA-N 0 0 267.306 2.783 20 5 CFBDRN CCCC(C)(C)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870828407 641090979 /nfs/dbraw/zinc/09/09/79/641090979.db2.gz QFIKCYUIBSIVLL-UHFFFAOYSA-N 0 0 295.339 2.864 20 5 CFBDRN Cc1ccc(C(=O)NCC[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000871024482 641157652 /nfs/dbraw/zinc/15/76/52/641157652.db2.gz RTTAPBFGHZZTFY-GFCCVEGCSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1cc(C(=O)NCC[C@@H]2CCCS2)cc([N+](=O)[O-])c1 ZINC000871028586 641161913 /nfs/dbraw/zinc/16/19/13/641161913.db2.gz HQNKVHGDEHDMJI-ZDUSSCGKSA-N 0 0 294.376 2.919 20 5 CFBDRN O=C(NCC[C@H]1CCCS1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000871030245 641163355 /nfs/dbraw/zinc/16/33/55/641163355.db2.gz JTKIPUKKSMHCQG-GFCCVEGCSA-N 0 0 298.339 2.749 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000871087303 641194630 /nfs/dbraw/zinc/19/46/30/641194630.db2.gz AXHVYWVURSDSMJ-XYPYZODXSA-N 0 0 280.349 2.527 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000871088219 641195672 /nfs/dbraw/zinc/19/56/72/641195672.db2.gz XBFMKTYIESSIBW-XYPYZODXSA-N 0 0 280.349 2.527 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)C1(C2CC2)CC1 ZINC000888554736 641213944 /nfs/dbraw/zinc/21/39/44/641213944.db2.gz YBCYWFAHDIBHGY-UHFFFAOYSA-N 0 0 264.256 2.863 20 5 CFBDRN Cc1cc(OCc2ncc(Cl)n2C)ccc1[N+](=O)[O-] ZINC000018963822 641322052 /nfs/dbraw/zinc/32/20/52/641322052.db2.gz WONNGMKMQQHAIR-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871514178 641336078 /nfs/dbraw/zinc/33/60/78/641336078.db2.gz FZVIIQUPISQEOA-BETUJISGSA-N 0 0 272.304 2.633 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000871637909 641377189 /nfs/dbraw/zinc/37/71/89/641377189.db2.gz QWZVEGAQMJJWOP-VZJVUDMVSA-N 0 0 291.303 2.744 20 5 CFBDRN CO[C@H]1C[C@@H](Cn2nc(C)c3cc([N+](=O)[O-])ccc32)C1 ZINC000871661112 641387894 /nfs/dbraw/zinc/38/78/94/641387894.db2.gz IJNZHWBXGOPYSY-KLPPZKSPSA-N 0 0 275.308 2.678 20 5 CFBDRN COC(=O)CCCOc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871694222 641408545 /nfs/dbraw/zinc/40/85/45/641408545.db2.gz HSDDZASKPOJBCE-UHFFFAOYSA-N 0 0 291.662 2.719 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H]2CCC[C@H]2OC)cc1[N+](=O)[O-] ZINC000080291724 650659019 /nfs/dbraw/zinc/65/90/19/650659019.db2.gz WGWZLKOXMRNDRT-ZIAGYGMSSA-N 0 0 293.319 2.882 20 5 CFBDRN CC[C@]1(C)OC(=O)N(Cc2ccc(C)c([N+](=O)[O-])c2)C1=O ZINC000871757407 641442405 /nfs/dbraw/zinc/44/24/05/641442405.db2.gz ZTKROXNLJRNGFR-AWEZNQCLSA-N 0 0 292.291 2.551 20 5 CFBDRN CCc1nnc(NCc2c(C)ccc([N+](=O)[O-])c2C)o1 ZINC000871864109 641488686 /nfs/dbraw/zinc/48/86/86/641488686.db2.gz SIMNVUITAGPHSA-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])C[C@@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000871875561 641493962 /nfs/dbraw/zinc/49/39/62/641493962.db2.gz LTLRRIQESQKAHD-OSMZGAPFSA-N 0 0 292.335 2.574 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[NH2+]CCCCCC(=O)[O-] ZINC000871877585 641494605 /nfs/dbraw/zinc/49/46/05/641494605.db2.gz NUGLXLCWQVFYAU-UHFFFAOYSA-N 0 0 294.351 2.946 20 5 CFBDRN O=C([O-])[C@H](CC1CC1)[NH2+]Cc1ccc([N+](=O)[O-])cc1Cl ZINC000871882492 641498395 /nfs/dbraw/zinc/49/83/95/641498395.db2.gz KKXMGKOZKCAORJ-LBPRGKRZSA-N 0 0 298.726 2.591 20 5 CFBDRN C[C@H](NCCOCC1CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000872035336 641567241 /nfs/dbraw/zinc/56/72/41/641567241.db2.gz LZMRPNJBBRXRFC-JTQLQIEISA-N 0 0 282.315 2.811 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC(F)F)c([N+](=O)[O-])c1 ZINC000193612587 650673319 /nfs/dbraw/zinc/67/33/19/650673319.db2.gz FOGXYFYZEQODFU-UHFFFAOYSA-N 0 0 291.210 2.544 20 5 CFBDRN COc1nn(C)cc1N[C@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872065428 641597422 /nfs/dbraw/zinc/59/74/22/641597422.db2.gz AXBQISVGYBZQON-MRVPVSSYSA-N 0 0 294.286 2.649 20 5 CFBDRN CO[C@@]1(C)C[C@H]1N[C@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872087824 641621166 /nfs/dbraw/zinc/62/11/66/641621166.db2.gz IGVMUGZPUPJCLG-DYLAAIIWSA-N 0 0 268.288 2.562 20 5 CFBDRN Cc1ccc(C[N@@H+]2CCC[C@](C)(C(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000872160825 641682805 /nfs/dbraw/zinc/68/28/05/641682805.db2.gz NBKQGFFKNYVQOL-HNNXBMFYSA-N 0 0 292.335 2.590 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCCCc2cccnc21 ZINC000872301736 641748988 /nfs/dbraw/zinc/74/89/88/641748988.db2.gz YHGZADGESFOUBO-UHFFFAOYSA-N 0 0 297.314 2.973 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CSCCS2)cccc1[N+](=O)[O-] ZINC000193678369 650684582 /nfs/dbraw/zinc/68/45/82/650684582.db2.gz GFVRGQSOTXKYTF-LLVKDONJSA-N 0 0 298.389 2.690 20 5 CFBDRN Cc1nn(CN2CC(C)(C)[C@H]2c2ccco2)cc1[N+](=O)[O-] ZINC000872634329 641882936 /nfs/dbraw/zinc/88/29/36/641882936.db2.gz OPVWHOYCQRSFHO-CYBMUJFWSA-N 0 0 290.323 2.733 20 5 CFBDRN COCC(C)(C)CCNc1cc(N)ccc1[N+](=O)[O-] ZINC000872685517 641895806 /nfs/dbraw/zinc/89/58/06/641895806.db2.gz NRWKKLSROKDROH-UHFFFAOYSA-N 0 0 267.329 2.652 20 5 CFBDRN Cc1ccnc(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1[N+](=O)[O-] ZINC000872697460 641901157 /nfs/dbraw/zinc/90/11/57/641901157.db2.gz MKPXHEMKACMLFC-SRVKXCTJSA-N 0 0 277.324 2.668 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)ccc1[N+](=O)[O-] ZINC000872698617 641903219 /nfs/dbraw/zinc/90/32/19/641903219.db2.gz IYBOFPIWILFVKG-AUTRQRHGSA-N 0 0 295.314 2.686 20 5 CFBDRN Cc1ccc(NCCC[C@@H]2CCOC2=O)c([N+](=O)[O-])c1 ZINC000872703620 641904545 /nfs/dbraw/zinc/90/45/45/641904545.db2.gz FWSPPRJOKVBGEB-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN COC(=O)CC[C@@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000872750160 641920628 /nfs/dbraw/zinc/92/06/28/641920628.db2.gz OEEAVUJFQNBBPP-LBPRGKRZSA-N 0 0 292.335 2.764 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])s2)C1 ZINC000872763675 641924009 /nfs/dbraw/zinc/92/40/09/641924009.db2.gz NATWFOLFHJRGEL-ZKCHVHJHSA-N 0 0 299.352 2.584 20 5 CFBDRN CCOC(=O)[C@H](C)C1CN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000872773370 641927507 /nfs/dbraw/zinc/92/75/07/641927507.db2.gz YQJWVIPSULMVEB-SECBINFHSA-N 0 0 298.364 2.600 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000872777011 641930318 /nfs/dbraw/zinc/93/03/18/641930318.db2.gz QPEKQJWOJPUXSR-IYXOAMITSA-N 0 0 295.314 2.686 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000872776295 641930346 /nfs/dbraw/zinc/93/03/46/641930346.db2.gz FTOFMSFLVNLIJT-XGTXGMFGSA-N 0 0 292.335 2.973 20 5 CFBDRN CCOc1cc(N2CC[C@H](C(C)(C)O)C2)ccc1[N+](=O)[O-] ZINC000872782875 641936982 /nfs/dbraw/zinc/93/69/82/641936982.db2.gz BPLUKKGTRIWEDU-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN CCc1ccc(OC(=O)C2(CCOC)CC2)c([N+](=O)[O-])c1 ZINC000124269036 641944149 /nfs/dbraw/zinc/94/41/49/641944149.db2.gz MHLKGROZFKIFJK-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN Cc1c(NCc2c(C)ccc([N+](=O)[O-])c2C)cnn1C ZINC000873099762 642012804 /nfs/dbraw/zinc/01/28/04/642012804.db2.gz CUSTVEWHVBYUOI-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN Cc1c(CNc2cnn(CCF)c2)cccc1[N+](=O)[O-] ZINC000873142888 642029832 /nfs/dbraw/zinc/02/98/32/642029832.db2.gz WLHIKAGFACIPAH-UHFFFAOYSA-N 0 0 278.287 2.681 20 5 CFBDRN O=C(OC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1ccc([N+](=O)[O-])s1 ZINC000873316082 642132684 /nfs/dbraw/zinc/13/26/84/642132684.db2.gz FSLPIDPWGYLBMU-LPEHRKFASA-N 0 0 297.332 2.771 20 5 CFBDRN CCNc1ccc(C(=O)O[C@H](CC)C(C)=O)cc1[N+](=O)[O-] ZINC000873317687 642133650 /nfs/dbraw/zinc/13/36/50/642133650.db2.gz BYAVRMKMSNMRIL-CYBMUJFWSA-N 0 0 294.307 2.551 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000873318543 642134229 /nfs/dbraw/zinc/13/42/29/642134229.db2.gz CTCVQWPPOSVLGI-JBYMYWKTSA-N 0 0 291.303 2.576 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000873317858 642134741 /nfs/dbraw/zinc/13/47/41/642134741.db2.gz DSVQKIPVYFOQNV-WAAGHKOSSA-N 0 0 299.710 2.830 20 5 CFBDRN CC[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873319646 642135612 /nfs/dbraw/zinc/13/56/12/642135612.db2.gz NAMNDYYLTYWNJO-XURPKSDJSA-N 0 0 277.276 2.519 20 5 CFBDRN O=C(OC[C@H]1CC2(CCC2)CO1)c1ccc([N+](=O)[O-])cc1 ZINC000873340432 642141733 /nfs/dbraw/zinc/14/17/33/642141733.db2.gz VZBGDRLKCVRXIK-CYBMUJFWSA-N 0 0 291.303 2.711 20 5 CFBDRN CC[C@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(C)=O ZINC000873362111 642154217 /nfs/dbraw/zinc/15/42/17/642154217.db2.gz UIJUXXYEHONELP-NSHDSACASA-N 0 0 285.683 2.773 20 5 CFBDRN CC[C@@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)=O ZINC000873383683 642170955 /nfs/dbraw/zinc/17/09/55/642170955.db2.gz GYADGSCVOTXHLL-TVQRCGJNSA-N 0 0 279.292 2.609 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2cc([N+](=O)[O-])cc(C)c2F)C1 ZINC000873385693 642172882 /nfs/dbraw/zinc/17/28/82/642172882.db2.gz ZIKXEKAGCFCSFX-JGZJWPJOSA-N 0 0 297.282 2.624 20 5 CFBDRN CC[C@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C(C)=O ZINC000873384995 642173018 /nfs/dbraw/zinc/17/30/18/642173018.db2.gz RENPDDQZEWONKF-LBPRGKRZSA-N 0 0 299.710 2.702 20 5 CFBDRN Cc1cc(COC(=O)c2c(C)ccc([N+](=O)[O-])c2C)on1 ZINC000873438307 642204650 /nfs/dbraw/zinc/20/46/50/642204650.db2.gz IPQSTAYPCWEKBT-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873437970 642205063 /nfs/dbraw/zinc/20/50/63/642205063.db2.gz BTJRVADAEYZAKU-VIFPVBQESA-N 0 0 293.319 2.984 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OC[C@@H]1CCCO1 ZINC000873438425 642205228 /nfs/dbraw/zinc/20/52/28/642205228.db2.gz MDUGPMBKNIYRAH-NSHDSACASA-N 0 0 279.292 2.547 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000194905052 650733221 /nfs/dbraw/zinc/73/32/21/650733221.db2.gz RVHAHNFLDTXOJS-LLVKDONJSA-N 0 0 293.367 2.969 20 5 CFBDRN COC[C@H](C)COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873520150 642232237 /nfs/dbraw/zinc/23/22/37/642232237.db2.gz LTOILACHILGEMZ-VIFPVBQESA-N 0 0 281.308 2.651 20 5 CFBDRN C[C@@H](COC(=O)c1sccc1C(F)F)[N+](=O)[O-] ZINC000873533455 642238534 /nfs/dbraw/zinc/23/85/34/642238534.db2.gz ZHHMXQFNVDMFPE-YFKPBYRVSA-N 0 0 265.237 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@H]1CCOC[C@H]1C ZINC000873536440 642239164 /nfs/dbraw/zinc/23/91/64/642239164.db2.gz KFLHBDFXDRTZBN-MFKMUULPSA-N 0 0 293.319 2.793 20 5 CFBDRN CC(C)OC1(C(=O)Nc2cccc([N+](=O)[O-])c2)CCC1 ZINC000873726960 642289667 /nfs/dbraw/zinc/28/96/67/642289667.db2.gz RJUIHEHEMDQGPC-UHFFFAOYSA-N 0 0 278.308 2.881 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2CCSCC2)c1C ZINC000888632053 642294548 /nfs/dbraw/zinc/29/45/48/642294548.db2.gz KUWBBPXXPGMKQA-UHFFFAOYSA-N 0 0 294.376 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)CC(C)C ZINC000873978624 642314722 /nfs/dbraw/zinc/31/47/22/642314722.db2.gz JQMAAOKBLKRGGW-UHFFFAOYSA-N 0 0 264.325 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1C[C@H]1C ZINC000874037259 642323454 /nfs/dbraw/zinc/32/34/54/642323454.db2.gz SRESLGNWWGFQPA-KOLCDFICSA-N 0 0 262.309 2.597 20 5 CFBDRN O=C(CCc1cscn1)OCc1cccc([N+](=O)[O-])c1 ZINC000195330377 650746829 /nfs/dbraw/zinc/74/68/29/650746829.db2.gz FDCABLIOCSEJFW-UHFFFAOYSA-N 0 0 292.316 2.727 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Oc1cc(F)cc(F)c1 ZINC000195394772 650751633 /nfs/dbraw/zinc/75/16/33/650751633.db2.gz BSIZKXWYBLDZPA-UHFFFAOYSA-N 0 0 294.213 2.796 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000874625649 642429295 /nfs/dbraw/zinc/42/92/95/642429295.db2.gz IQEDUUITFKWKSZ-JTNHKYCSSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CC12CCC2 ZINC000874774276 642475675 /nfs/dbraw/zinc/47/56/75/642475675.db2.gz IBTFJKGNJSPRSH-GFCCVEGCSA-N 0 0 274.320 2.884 20 5 CFBDRN COCC1(N(C)C(=O)c2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000874938724 642558890 /nfs/dbraw/zinc/55/88/90/642558890.db2.gz WXVGMVWLGLKAGM-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C12CC(C1)C2 ZINC000875054140 642581033 /nfs/dbraw/zinc/58/10/33/642581033.db2.gz IICYAEXBYWTXGJ-UHFFFAOYSA-N 0 0 266.684 2.987 20 5 CFBDRN C[C@]1(Br)C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000875054203 642581396 /nfs/dbraw/zinc/58/13/96/642581396.db2.gz JZENTEGXULFZDX-KOLCDFICSA-N 0 0 299.124 2.707 20 5 CFBDRN Cc1nsc(NC(=O)c2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000875380579 642615775 /nfs/dbraw/zinc/61/57/75/642615775.db2.gz DJSITSBSLMZNAQ-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CCO[C@@H](C(=O)Oc1c(C)cccc1[N+](=O)[O-])C1CC1 ZINC000875501665 642631034 /nfs/dbraw/zinc/63/10/34/642631034.db2.gz SMBUEKUCUJQZBL-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H]1CC(NC(=O)c2cc([N+](=O)[O-])ccc2N)C[C@H](C)C1 ZINC000084980803 650786803 /nfs/dbraw/zinc/78/68/03/650786803.db2.gz ILIDXYODLWVWPA-NXEZZACHSA-N 0 0 291.351 2.732 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCCC2(CC2)C1 ZINC000888698033 642771607 /nfs/dbraw/zinc/77/16/07/642771607.db2.gz HICJSJHHAGHOLD-JTQLQIEISA-N 0 0 289.335 2.630 20 5 CFBDRN Cc1ccc(CNC(=O)N(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000876168444 642784555 /nfs/dbraw/zinc/78/45/55/642784555.db2.gz TYBGNDROVHWPIL-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN Cc1ccc(CNC(=O)NC2(C)CC=CC2)cc1[N+](=O)[O-] ZINC000876169218 642784816 /nfs/dbraw/zinc/78/48/16/642784816.db2.gz PXSFAHQUKFOLIC-UHFFFAOYSA-N 0 0 289.335 2.811 20 5 CFBDRN O=C(OCCF)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000125844786 642810305 /nfs/dbraw/zinc/81/03/05/642810305.db2.gz BKWHNGQHUGEBPB-UHFFFAOYSA-N 0 0 296.279 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1C[C@@H](C)O[C@@H](C)C1 ZINC000876512290 642899944 /nfs/dbraw/zinc/89/99/44/642899944.db2.gz KSSICLUFCKGLHE-TXEJJXNPSA-N 0 0 278.352 2.821 20 5 CFBDRN CSCCCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000126199720 643032631 /nfs/dbraw/zinc/03/26/31/643032631.db2.gz ZGBGWLJFMNHYHZ-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN Cc1ccc2c(c1)C(=O)N[C@H](c1ccccc1[N+](=O)[O-])N2 ZINC000877863318 643611131 /nfs/dbraw/zinc/61/11/31/643611131.db2.gz GYHUBFXTPJKLOU-CQSZACIVSA-N 0 0 283.287 2.757 20 5 CFBDRN Cc1cc(OCCOC2CC2)c(F)cc1[N+](=O)[O-] ZINC000877881724 643620764 /nfs/dbraw/zinc/62/07/64/643620764.db2.gz NTUVFRFMXHGODL-UHFFFAOYSA-N 0 0 255.245 2.600 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2cc(C)nnc2C)c1 ZINC000877886030 643623497 /nfs/dbraw/zinc/62/34/97/643623497.db2.gz XQGYFVKBPJVDDT-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN COC[C@@H](Cn1cc([N+](=O)[O-])c(C2CC2)n1)C(C)C ZINC000877888678 643625393 /nfs/dbraw/zinc/62/53/93/643625393.db2.gz IQHGCCAQCFJVFR-LLVKDONJSA-N 0 0 267.329 2.587 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000126934193 643766526 /nfs/dbraw/zinc/76/65/26/643766526.db2.gz FDBDAFCYJYUHOR-JGVFFNPUSA-N 0 0 258.705 2.820 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC000878192974 643786581 /nfs/dbraw/zinc/78/65/81/643786581.db2.gz PMHXSLRBZVVJQL-KBPBESRZSA-N 0 0 288.347 2.989 20 5 CFBDRN O=[N+]([O-])c1ncccc1O[C@@H]1CCc2ccccc2C1 ZINC000127153275 643912330 /nfs/dbraw/zinc/91/23/30/643912330.db2.gz FVSICCFHIIBUNZ-CYBMUJFWSA-N 0 0 270.288 2.926 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(C)C ZINC000127258677 643975759 /nfs/dbraw/zinc/97/57/59/643975759.db2.gz KKDSVCMWBAZUHT-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@@H](CF)C2)ccc1[N+](=O)[O-] ZINC000879124071 644151447 /nfs/dbraw/zinc/15/14/47/644151447.db2.gz QVASIDMRGGEXCJ-JTQLQIEISA-N 0 0 281.287 2.727 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000879145344 644157824 /nfs/dbraw/zinc/15/78/24/644157824.db2.gz SUFCHTNLXFQHGE-LBPRGKRZSA-N 0 0 291.307 2.668 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)NC[C@@H](C)C(C)(C)C ZINC000879220387 644179111 /nfs/dbraw/zinc/17/91/11/644179111.db2.gz LTCPEOMYHLZHBA-SNVBAGLBSA-N 0 0 294.355 2.524 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])c(F)c1)OCC(F)(F)F ZINC000879518579 644300161 /nfs/dbraw/zinc/30/01/61/644300161.db2.gz KPROVLPGIBCCOH-UHFFFAOYSA-N 0 0 282.193 2.660 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ccc(Cl)cn1 ZINC000127805612 644300548 /nfs/dbraw/zinc/30/05/48/644300548.db2.gz RDGBNDPYDIBERH-UHFFFAOYSA-N 0 0 278.651 2.862 20 5 CFBDRN Cc1cccc(C2=CCN(Cn3cc([N+](=O)[O-])cn3)CC2)c1 ZINC000092295987 650917209 /nfs/dbraw/zinc/91/72/09/650917209.db2.gz YIXSGTRWRHGGEQ-UHFFFAOYSA-N 0 0 298.346 2.847 20 5 CFBDRN COc1cc(CN2CC[C@H](CF)C2)c([N+](=O)[O-])cc1F ZINC000880299201 644640132 /nfs/dbraw/zinc/64/01/32/644640132.db2.gz PYFVDJITLAMLBY-SECBINFHSA-N 0 0 286.278 2.534 20 5 CFBDRN CCC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 ZINC000094189000 650954564 /nfs/dbraw/zinc/95/45/64/650954564.db2.gz DTBCKRFNHPBGGA-UHFFFAOYSA-N 0 0 273.086 2.706 20 5 CFBDRN C/C=C\CNC(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000888830030 644810491 /nfs/dbraw/zinc/81/04/91/644810491.db2.gz PAKYPPFBWWDXSU-HYXAFXHYSA-N 0 0 279.296 2.691 20 5 CFBDRN CN(Cc1cccnc1)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094774304 650963106 /nfs/dbraw/zinc/96/31/06/650963106.db2.gz WNZMTAQZZSTSIU-UHFFFAOYSA-N 0 0 275.283 2.761 20 5 CFBDRN CCCC(=O)c1cn([C@@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC000881277426 644942232 /nfs/dbraw/zinc/94/22/32/644942232.db2.gz VEVBXCJCCDTKHE-JTQLQIEISA-N 0 0 288.307 2.778 20 5 CFBDRN CC[C@@H](O)c1cn([C@H](C)c2cc([N+](=O)[O-])ccc2C)nn1 ZINC000881365186 644962519 /nfs/dbraw/zinc/96/25/19/644962519.db2.gz OCPYVAAPHQKTAR-QMTHXVAHSA-N 0 0 290.323 2.547 20 5 CFBDRN Cc1ccc(CNC(=O)C[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000881435960 644973984 /nfs/dbraw/zinc/97/39/84/644973984.db2.gz NRBYNKQPHVSNQV-KBPBESRZSA-N 0 0 288.347 2.956 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H]1C ZINC000881481045 644984538 /nfs/dbraw/zinc/98/45/38/644984538.db2.gz GLRVRBNQAUTRHP-JUDLJHIGSA-N 0 0 260.293 2.619 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@@H](CF)C2)cc1[N+](=O)[O-] ZINC000881593473 645065894 /nfs/dbraw/zinc/06/58/94/645065894.db2.gz NRPHDTMMENBYEV-VIFPVBQESA-N 0 0 286.328 2.786 20 5 CFBDRN Cc1sc(C(=O)N2CCC(F)CC2)cc1[N+](=O)[O-] ZINC000881609838 645079482 /nfs/dbraw/zinc/07/94/82/645079482.db2.gz JRSBALYIKPUAND-UHFFFAOYSA-N 0 0 272.301 2.539 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@@H](CF)C2)c1[N+](=O)[O-] ZINC000881674151 645101304 /nfs/dbraw/zinc/10/13/04/645101304.db2.gz LMDVOAKSHKPMSV-VIFPVBQESA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@@H](CF)C1 ZINC000881677184 645103671 /nfs/dbraw/zinc/10/36/71/645103671.db2.gz NQRRMYMYAZGDGK-NSHDSACASA-N 0 0 280.299 2.643 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@H](CF)C1 ZINC000881677183 645103715 /nfs/dbraw/zinc/10/37/15/645103715.db2.gz NQRRMYMYAZGDGK-LLVKDONJSA-N 0 0 280.299 2.643 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000129223455 645124774 /nfs/dbraw/zinc/12/47/74/645124774.db2.gz BTGNPEQBAJISPQ-ONGXEEELSA-N 0 0 277.324 2.555 20 5 CFBDRN CC(=O)c1c(NCC[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000881787832 645128036 /nfs/dbraw/zinc/12/80/36/645128036.db2.gz QHHLQNCSQRHUAF-LLVKDONJSA-N 0 0 278.308 2.778 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1ncnc(C)c1C ZINC000881832899 645137048 /nfs/dbraw/zinc/13/70/48/645137048.db2.gz NOPJTZBMIMZHER-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CC[C@@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)C[C@@H](C)O1 ZINC000881966017 645164950 /nfs/dbraw/zinc/16/49/50/645164950.db2.gz RYAGWEUFQHDXGB-ZYHUDNBSSA-N 0 0 292.335 2.801 20 5 CFBDRN Cc1nccn1CCCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882014211 645176510 /nfs/dbraw/zinc/17/65/10/645176510.db2.gz GQYOFFWECVGLNS-UHFFFAOYSA-N 0 0 293.302 2.526 20 5 CFBDRN CC(C)Cn1ccnc1CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882014474 645176529 /nfs/dbraw/zinc/17/65/29/645176529.db2.gz FTPHZHLWEPPFPI-UHFFFAOYSA-N 0 0 293.302 2.594 20 5 CFBDRN CC(=O)c1c(NCCOC2CCC2)cccc1[N+](=O)[O-] ZINC000882029502 645182152 /nfs/dbraw/zinc/18/21/52/645182152.db2.gz JBAUQEDELOCJEK-UHFFFAOYSA-N 0 0 278.308 2.778 20 5 CFBDRN O=Cc1ccc(OC[C@H]2CCC3(CCC3)O2)c([N+](=O)[O-])c1 ZINC000882051220 645187542 /nfs/dbraw/zinc/18/75/42/645187542.db2.gz OTHFTGILJPFUSS-GFCCVEGCSA-N 0 0 291.303 2.888 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@@H]1CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882060211 645189551 /nfs/dbraw/zinc/18/95/51/645189551.db2.gz QFYDQAYJERIAIR-MFKMUULPSA-N 0 0 297.330 2.992 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCCOC(F)(F)F ZINC000882113386 645206722 /nfs/dbraw/zinc/20/67/22/645206722.db2.gz JHNIFCBUIBGWHZ-UHFFFAOYSA-N 0 0 295.213 2.909 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@@H]1CCC2(CCC2)O1 ZINC000882121523 645210202 /nfs/dbraw/zinc/21/02/02/645210202.db2.gz KNNMFGAAQQVQES-JTQLQIEISA-N 0 0 298.726 2.512 20 5 CFBDRN CC(C)C(=O)N(C)COc1cc([N+](=O)[O-])ccc1Cl ZINC000882134312 645215283 /nfs/dbraw/zinc/21/52/83/645215283.db2.gz LWGZOYUBUMLRFW-UHFFFAOYSA-N 0 0 286.715 2.699 20 5 CFBDRN Cc1nn(CC2CC(F)(F)C2)c2ncc([N+](=O)[O-])cc12 ZINC000882133422 645215372 /nfs/dbraw/zinc/21/53/72/645215372.db2.gz PGKRPWXKDSKTHW-UHFFFAOYSA-N 0 0 282.250 2.693 20 5 CFBDRN Cc1ccc(OCC[C@@H](O)C(C)C)cc1[N+](=O)[O-] ZINC000882147960 645219261 /nfs/dbraw/zinc/21/92/61/645219261.db2.gz HSNUBDOXFGKCQK-CYBMUJFWSA-N 0 0 253.298 2.689 20 5 CFBDRN CC(C)C(C)(C)SCc1cn(C)nc1[N+](=O)[O-] ZINC000882155756 645222500 /nfs/dbraw/zinc/22/25/00/645222500.db2.gz AXDRFPZMIDDYJA-UHFFFAOYSA-N 0 0 257.359 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCC3(CCC3)O2)cc1CO ZINC000882158054 645223448 /nfs/dbraw/zinc/22/34/48/645223448.db2.gz UGAYRWRVNUENFQ-CYBMUJFWSA-N 0 0 293.319 2.568 20 5 CFBDRN CO[C@H]1CCCN(c2cccc([N+](=O)[O-])c2C(C)=O)CC1 ZINC000882175817 645231782 /nfs/dbraw/zinc/23/17/82/645231782.db2.gz VUEACMOWTODQPF-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN CC1(C)CCC[C@@](O)(CNc2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882238265 645250056 /nfs/dbraw/zinc/25/00/56/645250056.db2.gz MGARDBDEXMXCMR-AWEZNQCLSA-N 0 0 297.330 2.872 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC([C@@H]2CCCO2)CC1 ZINC000882235884 645250314 /nfs/dbraw/zinc/25/03/14/645250314.db2.gz ASVUXPTZDYXMLC-LBPRGKRZSA-N 0 0 295.314 2.524 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2ncccc2C)c1 ZINC000096552574 650993704 /nfs/dbraw/zinc/99/37/04/650993704.db2.gz XWADFLMFOBBVJG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC[C@@H](C(F)F)C1 ZINC000882311112 645277718 /nfs/dbraw/zinc/27/77/18/645277718.db2.gz DPBHVMIZKOMCKD-SECBINFHSA-N 0 0 284.262 2.889 20 5 CFBDRN Cn1ccc(CNc2ccc([N+](=O)[O-])cc2C(F)F)n1 ZINC000212237772 645280375 /nfs/dbraw/zinc/28/03/75/645280375.db2.gz ISEHVFNPDBZIIG-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CCCCC1 ZINC000129578704 645280450 /nfs/dbraw/zinc/28/04/50/645280450.db2.gz FQINUMIFVKMGFJ-UHFFFAOYSA-N 0 0 268.700 2.874 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC1(C(F)(F)F)CC1 ZINC000882350446 645289358 /nfs/dbraw/zinc/28/93/58/645289358.db2.gz DQDMHNHWFGISTI-UHFFFAOYSA-N 0 0 279.193 2.883 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCC1(F)CCC1 ZINC000882369719 645292654 /nfs/dbraw/zinc/29/26/54/645292654.db2.gz JVUXVTZBKOASQM-UHFFFAOYSA-N 0 0 257.240 2.823 20 5 CFBDRN C[C@]12CCN(c3ccnc(F)c3[N+](=O)[O-])C[C@H]1C2(F)F ZINC000882390898 645297411 /nfs/dbraw/zinc/29/74/11/645297411.db2.gz UDLLIVNTCNNYFD-KCJUWKMLSA-N 0 0 287.241 2.610 20 5 CFBDRN CCc1nc(C)c(CNc2ccnc(F)c2[N+](=O)[O-])o1 ZINC000882397352 645298805 /nfs/dbraw/zinc/29/88/05/645298805.db2.gz SJCDJZCIIJGDMR-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1C[C@@H]2CCCC[C@@H]2C1 ZINC000882421406 645306629 /nfs/dbraw/zinc/30/66/29/645306629.db2.gz WIZMTZZTIGUEFJ-AOOOYVTPSA-N 0 0 265.288 2.755 20 5 CFBDRN COCC[C@H](C)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882452431 645321015 /nfs/dbraw/zinc/32/10/15/645321015.db2.gz OCDJSQITHYAXSD-JTQLQIEISA-N 0 0 280.324 2.882 20 5 CFBDRN CCn1ccc(CNc2cccc([N+](=O)[O-])c2C(C)=O)n1 ZINC000882454336 645321712 /nfs/dbraw/zinc/32/17/12/645321712.db2.gz YURFICJQIUNGNZ-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN C[C@H]1CC(C)(C)CN1c1ccnc(F)c1[N+](=O)[O-] ZINC000882462228 645326956 /nfs/dbraw/zinc/32/69/56/645326956.db2.gz QOTGUADXSJQCCQ-QMMMGPOBSA-N 0 0 253.277 2.754 20 5 CFBDRN CO[C@H](CNc1ccnc(F)c1[N+](=O)[O-])CC(C)C ZINC000882465764 645329122 /nfs/dbraw/zinc/32/91/22/645329122.db2.gz CRJDIMSXDCUJIB-VIFPVBQESA-N 0 0 271.292 2.602 20 5 CFBDRN CC(=O)c1c(N[C@H]2COC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000882616870 645390213 /nfs/dbraw/zinc/39/02/13/645390213.db2.gz OASPKGOGPGBUGI-LLVKDONJSA-N 0 0 290.319 2.921 20 5 CFBDRN CC(=O)c1c(NC[C@H](CO)CC2CC2)cccc1[N+](=O)[O-] ZINC000882622169 645391944 /nfs/dbraw/zinc/39/19/44/645391944.db2.gz JXFMCIFSJOZGTD-GFCCVEGCSA-N 0 0 292.335 2.618 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC[C@@H](C)O[C@@H](C)C1 ZINC000882622737 645392074 /nfs/dbraw/zinc/39/20/74/645392074.db2.gz VHHNIUKXJZHDKM-MNOVXSKESA-N 0 0 292.335 2.801 20 5 CFBDRN CC(=O)c1c(N[C@@H]2C[C@@H](O)C23CCC3)cccc1[N+](=O)[O-] ZINC000882622318 645392273 /nfs/dbraw/zinc/39/22/73/645392273.db2.gz MTMGFDKILSQBHM-CHWSQXEVSA-N 0 0 290.319 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CSC2)cc1OC(F)F ZINC000882625656 645393461 /nfs/dbraw/zinc/39/34/61/645393461.db2.gz LOFQCLJZCWYDKP-UHFFFAOYSA-N 0 0 276.264 2.724 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC[C@H]1CC1(F)F ZINC000882645855 645400097 /nfs/dbraw/zinc/40/00/97/645400097.db2.gz QSAFKGDKKTYXFB-LURJTMIESA-N 0 0 261.203 2.586 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H](C1CCC1)[C@@H]1CCCO1 ZINC000882688094 645410724 /nfs/dbraw/zinc/41/07/24/645410724.db2.gz BMCCDFQEYUDOAV-RYUDHWBXSA-N 0 0 295.314 2.889 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1C[C@H]1C1CCOCC1 ZINC000882695238 645414265 /nfs/dbraw/zinc/41/42/65/645414265.db2.gz SLZVRSLJWPPRNC-QWRGUYRKSA-N 0 0 295.314 2.604 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1CCCCO1 ZINC000129903298 645426087 /nfs/dbraw/zinc/42/60/87/645426087.db2.gz STLKMIAYIRAYGA-LBPRGKRZSA-N 0 0 299.710 2.861 20 5 CFBDRN C[C@@H](O)CSc1cccc(Br)c1[N+](=O)[O-] ZINC000882737547 645434590 /nfs/dbraw/zinc/43/45/90/645434590.db2.gz OMTWRJCBWBGYDT-ZCFIWIBFSA-N 0 0 292.154 2.830 20 5 CFBDRN CCOC(=O)c1ccnc(NC[C@H]2C=CCC2)c1[N+](=O)[O-] ZINC000882757331 645444656 /nfs/dbraw/zinc/44/46/56/645444656.db2.gz DQLTWWPPEPDFTD-JTQLQIEISA-N 0 0 291.307 2.545 20 5 CFBDRN COc1cc(NCCc2ccns2)c([N+](=O)[O-])cc1F ZINC000882761645 645446023 /nfs/dbraw/zinc/44/60/23/645446023.db2.gz HSHOTYWNJQQHHF-UHFFFAOYSA-N 0 0 297.311 2.854 20 5 CFBDRN CC1(C)CC[C@H](CNc2cc(N)ccc2[N+](=O)[O-])OC1 ZINC000882764373 645447928 /nfs/dbraw/zinc/44/79/28/645447928.db2.gz SFCJFKZTZLNLOQ-LLVKDONJSA-N 0 0 279.340 2.794 20 5 CFBDRN CO[C@H]1C[C@H](CNc2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000882798586 645460855 /nfs/dbraw/zinc/46/08/55/645460855.db2.gz UNJYHVBCNIJEFA-MJHDQNEOSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H](CF)C1 ZINC000882800721 645462036 /nfs/dbraw/zinc/46/20/36/645462036.db2.gz LBUHAFQLESPBJW-VIFPVBQESA-N 0 0 256.252 2.920 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](CF)C2)c([N+](=O)[O-])c1 ZINC000882800791 645463256 /nfs/dbraw/zinc/46/32/56/645463256.db2.gz OUKOENGAAVHROG-VIFPVBQESA-N 0 0 283.259 2.689 20 5 CFBDRN Cc1cc(N2CCC(F)CC2)c(F)cc1[N+](=O)[O-] ZINC000882805380 645465389 /nfs/dbraw/zinc/46/53/89/645465389.db2.gz CMRLWQMTDOCVFY-UHFFFAOYSA-N 0 0 256.252 2.981 20 5 CFBDRN COc1cc(N2CCC(F)CC2)c([N+](=O)[O-])cc1F ZINC000882806699 645465850 /nfs/dbraw/zinc/46/58/50/645465850.db2.gz NHJCMDIKMJAUON-UHFFFAOYSA-N 0 0 272.251 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@H](CF)C1 ZINC000882839209 645474894 /nfs/dbraw/zinc/47/48/94/645474894.db2.gz KABBJTCDAXGHBL-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@H](CF)C1 ZINC000882839492 645475217 /nfs/dbraw/zinc/47/52/17/645475217.db2.gz SPMVPUQLPPNUHP-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN CCOC(=O)c1cc(N2CC[C@@H](CF)C2)ccc1[N+](=O)[O-] ZINC000882840994 645476443 /nfs/dbraw/zinc/47/64/43/645476443.db2.gz JWHASXHMGKHLDU-JTQLQIEISA-N 0 0 296.298 2.567 20 5 CFBDRN CO[C@]1(C(F)(F)F)CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000882847184 645478722 /nfs/dbraw/zinc/47/87/22/645478722.db2.gz CUSLFPSHKPSDFZ-LLVKDONJSA-N 0 0 290.241 2.752 20 5 CFBDRN Cc1cnc(N2CC[C@H](c3nccs3)C2)c([N+](=O)[O-])c1 ZINC000882859638 645481113 /nfs/dbraw/zinc/48/11/13/645481113.db2.gz KCFDLKOMIDRAHD-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(CC3CCOCC3)C2)cc1 ZINC000882885254 645492133 /nfs/dbraw/zinc/49/21/33/645492133.db2.gz PZEZTVYNKDTZLE-UHFFFAOYSA-N 0 0 276.336 2.848 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000882905989 645504768 /nfs/dbraw/zinc/50/47/68/645504768.db2.gz DABYQRURYCCPLR-KLPPZKSPSA-N 0 0 278.308 2.634 20 5 CFBDRN C[C@@]1(CNc2ncccc2[N+](=O)[O-])OCCc2ccccc21 ZINC000882928983 645514841 /nfs/dbraw/zinc/51/48/41/645514841.db2.gz WTTGLMJAFQNWTE-INIZCTEOSA-N 0 0 299.330 2.890 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OC1CCC1 ZINC000130519559 645515244 /nfs/dbraw/zinc/51/52/44/645515244.db2.gz UVYYYKGQABSSEC-UHFFFAOYSA-N 0 0 274.276 2.714 20 5 CFBDRN O=C(c1cc2occc2[nH]1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000130931702 645595891 /nfs/dbraw/zinc/59/58/91/645595891.db2.gz OWLKBXCVIYROSY-UHFFFAOYSA-N 0 0 297.270 2.872 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000883153708 645629520 /nfs/dbraw/zinc/62/95/20/645629520.db2.gz LRYYNYFJUMEZOC-MNOVXSKESA-N 0 0 295.314 2.657 20 5 CFBDRN C[C@H](NC/C=C/c1ccccc1[N+](=O)[O-])C(F)F ZINC000883407162 645801998 /nfs/dbraw/zinc/80/19/98/645801998.db2.gz MVQPCLVUBYJJQX-DNQSNQRASA-N 0 0 256.252 2.851 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H](C)OCC2CC2)cc1[N+](=O)[O-] ZINC000131825050 645830547 /nfs/dbraw/zinc/83/05/47/645830547.db2.gz YIACDIYHDGOZCJ-SNVBAGLBSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H](O)[C@@H]1CCCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000305118179 651050132 /nfs/dbraw/zinc/05/01/32/651050132.db2.gz GEGLIJJYHRMNRX-GWCFXTLKSA-N 0 0 298.770 2.984 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000132244294 645900468 /nfs/dbraw/zinc/90/04/68/645900468.db2.gz QYRNHQMBZOANKB-WCBMZHEXSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1c(CNC(=O)NC[C@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000883598726 645903703 /nfs/dbraw/zinc/90/37/03/645903703.db2.gz NFPSHDOIDDEAHE-LBPRGKRZSA-N 0 0 289.335 2.669 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1ccccc1 ZINC000132449174 645918981 /nfs/dbraw/zinc/91/89/81/645918981.db2.gz CFQUTHBHPUVZHW-UHFFFAOYSA-N 0 0 261.208 2.953 20 5 CFBDRN O=C(Cc1cccs1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132525146 645929314 /nfs/dbraw/zinc/92/93/14/645929314.db2.gz BPVDQIMFOADXJP-UHFFFAOYSA-N 0 0 281.264 2.944 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1C[C@@H]1C1CC1 ZINC000132766847 645964292 /nfs/dbraw/zinc/96/42/92/645964292.db2.gz KXJGLRNOPAOGIW-VXGBXAGGSA-N 0 0 265.240 2.685 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC[C@@H]1C=CCC1 ZINC000883779163 645967456 /nfs/dbraw/zinc/96/74/56/645967456.db2.gz QHAYELSOGYFLJZ-GFCCVEGCSA-N 0 0 289.335 2.702 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@H](F)C(F)(F)F)c1[N+](=O)[O-] ZINC000800077280 646126996 /nfs/dbraw/zinc/12/69/96/646126996.db2.gz LAHGYRJEWQTIBA-MRVPVSSYSA-N 0 0 295.188 2.960 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CCSC1 ZINC000800150617 646157888 /nfs/dbraw/zinc/15/78/88/646157888.db2.gz WCRMENCYOHQWCW-QMMMGPOBSA-N 0 0 284.312 2.734 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)Cc1ccoc1 ZINC000800151829 646159218 /nfs/dbraw/zinc/15/92/18/646159218.db2.gz OJALJWZKRZZUJG-UHFFFAOYSA-N 0 0 278.239 2.817 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCC1CCC1 ZINC000133766370 646225865 /nfs/dbraw/zinc/22/58/65/646225865.db2.gz ASKRYXDSDSVWQD-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000134312789 646326089 /nfs/dbraw/zinc/32/60/89/646326089.db2.gz VAGVUWLWDKPJKI-ZETCQYMHSA-N 0 0 274.367 2.528 20 5 CFBDRN CSCCCCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000134828481 646390817 /nfs/dbraw/zinc/39/08/17/646390817.db2.gz JPOKDXIMMVHKGA-UHFFFAOYSA-N 0 0 282.325 2.686 20 5 CFBDRN C=C/C=C\CCOC(=O)c1n[nH]c(CCC)c1[N+](=O)[O-] ZINC000884485897 646391973 /nfs/dbraw/zinc/39/19/73/646391973.db2.gz JUAVPVNIVHNYNN-WAYWQWQTSA-N 0 0 279.296 2.560 20 5 CFBDRN C[C@@H](O)CCCNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884490405 646396240 /nfs/dbraw/zinc/39/62/40/646396240.db2.gz WDLQXFPERUHLHU-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CC(C)[C@H](CO)CNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884508749 646407784 /nfs/dbraw/zinc/40/77/84/646407784.db2.gz OAXWPVYEQDPUPZ-NSHDSACASA-N 0 0 289.335 2.819 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2C[C@@H](O)C2)cccc1C(F)(F)F ZINC000884524644 646420650 /nfs/dbraw/zinc/42/06/50/646420650.db2.gz CLUBYUQQBXELCV-KNVOCYPGSA-N 0 0 276.214 2.549 20 5 CFBDRN CC(C)(CC(=O)OCc1cccnc1[N+](=O)[O-])C1CC1 ZINC000884527520 646422573 /nfs/dbraw/zinc/42/25/73/646422573.db2.gz SXQGQCUQDQTZRT-UHFFFAOYSA-N 0 0 278.308 2.859 20 5 CFBDRN COC(OC)[C@H](C)Nc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884527111 646423012 /nfs/dbraw/zinc/42/30/12/646423012.db2.gz JLSOUWSZBCJTAX-VIFPVBQESA-N 0 0 291.307 2.562 20 5 CFBDRN C[C@H](CC(F)(F)F)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884546212 646439295 /nfs/dbraw/zinc/43/92/95/646439295.db2.gz DABVZKWJLOXQHU-SSDOTTSWSA-N 0 0 292.213 2.622 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(F)nc2)ccc1[N+](=O)[O-] ZINC000135052153 646450833 /nfs/dbraw/zinc/45/08/33/646450833.db2.gz XGFMDMPMDYPUBO-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H](O)C(F)F)c2ccccc21 ZINC000884570258 646458507 /nfs/dbraw/zinc/45/85/07/646458507.db2.gz LIAKZMHKCINXJZ-NSHDSACASA-N 0 0 297.261 2.571 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1C[C@@H]2C[C@@H]2C1 ZINC000884590941 646474838 /nfs/dbraw/zinc/47/48/38/646474838.db2.gz IRHMJWVXOUBQOZ-AOOOYVTPSA-N 0 0 255.277 2.599 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1cc(C2CC2)no1 ZINC000884637432 646498314 /nfs/dbraw/zinc/49/83/14/646498314.db2.gz NROMISAODPYYRV-UHFFFAOYSA-N 0 0 291.311 2.597 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCc1cc(C2CC2)no1 ZINC000884637611 646498758 /nfs/dbraw/zinc/49/87/58/646498758.db2.gz UQWOWMBPOINCIQ-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN Cc1cnc(NCc2cc(C3CC3)no2)c([N+](=O)[O-])c1 ZINC000884637951 646498826 /nfs/dbraw/zinc/49/88/26/646498826.db2.gz HALAIUZXZZHOKJ-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1C[C@@H]2CSC[C@@H]2C1 ZINC000884645859 646505462 /nfs/dbraw/zinc/50/54/62/646505462.db2.gz YGNQZGLPXITLFR-TXEJJXNPSA-N 0 0 294.376 2.540 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000884650134 646508299 /nfs/dbraw/zinc/50/82/99/646508299.db2.gz ZOGRRGKWXXUKPB-QCZKYFFMSA-N 0 0 261.325 2.881 20 5 CFBDRN CCC(CC)OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000135358607 646520900 /nfs/dbraw/zinc/52/09/00/646520900.db2.gz AZYVQAKWLRWTPE-UHFFFAOYSA-N 0 0 252.270 2.522 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@@H]1CC(C)(C)CO1 ZINC000884675624 646523404 /nfs/dbraw/zinc/52/34/04/646523404.db2.gz JMTQJPPCKHOEJX-LLVKDONJSA-N 0 0 296.371 2.737 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC3(CCC3)CO2)c(F)c1 ZINC000884681952 646527973 /nfs/dbraw/zinc/52/79/73/646527973.db2.gz KUNYVJIWOZGXQN-NSHDSACASA-N 0 0 295.314 2.890 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H]1CCC2(CCC2)CO1 ZINC000884682014 646528026 /nfs/dbraw/zinc/52/80/26/646528026.db2.gz MQFPLWPTNDNFLW-SNVBAGLBSA-N 0 0 295.314 2.890 20 5 CFBDRN CC(C)OC(=O)C1CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000884720158 646541391 /nfs/dbraw/zinc/54/13/91/646541391.db2.gz HCJXWBGAQSEQNW-UHFFFAOYSA-N 0 0 298.364 2.824 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000135500160 646541644 /nfs/dbraw/zinc/54/16/44/646541644.db2.gz FJQFRZDVFZFCQN-QMMMGPOBSA-N 0 0 286.715 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Oc2ncccc2[N+](=O)[O-])c1 ZINC000135529831 646548805 /nfs/dbraw/zinc/54/88/05/646548805.db2.gz INJXDRLTDZTJLC-UHFFFAOYSA-N 0 0 279.183 2.829 20 5 CFBDRN CN(CCCF)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000884857412 646560655 /nfs/dbraw/zinc/56/06/55/646560655.db2.gz WGQMEQSNUCCAQR-UHFFFAOYSA-N 0 0 263.272 2.939 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)OCCOC ZINC000135897884 646683616 /nfs/dbraw/zinc/68/36/16/646683616.db2.gz QAUCWUHAQOCHNK-UHFFFAOYSA-N 0 0 285.321 2.510 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCCCO2)c1C ZINC000885424680 646684921 /nfs/dbraw/zinc/68/49/21/646684921.db2.gz UPRMZHOHCGQBOJ-LBPRGKRZSA-N 0 0 293.319 2.938 20 5 CFBDRN CO[C@H](COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])C1CC1 ZINC000885424361 646684925 /nfs/dbraw/zinc/68/49/25/646684925.db2.gz OOFKKKXHUDATDX-CYBMUJFWSA-N 0 0 293.319 2.793 20 5 CFBDRN O=C(COC(=O)C1(C2CC2)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000885736795 646743356 /nfs/dbraw/zinc/74/33/56/646743356.db2.gz KKFLLNOJWVJZJE-UHFFFAOYSA-N 0 0 289.287 2.511 20 5 CFBDRN COC[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000885811142 646752399 /nfs/dbraw/zinc/75/23/99/646752399.db2.gz OIISVBGAHKHUSR-LBPRGKRZSA-N 0 0 294.351 2.552 20 5 CFBDRN C[C@@]1(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCCO1 ZINC000136344204 646765068 /nfs/dbraw/zinc/76/50/68/646765068.db2.gz PALTWGJIXFHGAV-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1cc([C@@H]2CCCN2Cc2cccnc2[N+](=O)[O-])no1 ZINC000885940395 646775352 /nfs/dbraw/zinc/77/53/52/646775352.db2.gz IFXFSOVRKATPOV-ZDUSSCGKSA-N 0 0 288.307 2.623 20 5 CFBDRN C[C@H]([N@H+](C)Cc1cccnc1[N+](=O)[O-])C(C)(C)C ZINC000885951608 646778522 /nfs/dbraw/zinc/77/85/22/646778522.db2.gz LOHBEPDSAJAWJH-JTQLQIEISA-N 0 0 251.330 2.856 20 5 CFBDRN COc1ccc(CNCc2cc(C)co2)cc1[N+](=O)[O-] ZINC000885951908 646780647 /nfs/dbraw/zinc/78/06/47/646780647.db2.gz RBSLKFCTKFQKLW-UHFFFAOYSA-N 0 0 276.292 2.795 20 5 CFBDRN Cc1c(C(=O)NC[C@]23C[C@H]2CCC3)cccc1[N+](=O)[O-] ZINC000886009412 646812690 /nfs/dbraw/zinc/81/26/90/646812690.db2.gz MEMURNRQIZFDAR-ABAIWWIYSA-N 0 0 274.320 2.823 20 5 CFBDRN CC(C)(CCC(=O)NCC1CCC=CCC1)[N+](=O)[O-] ZINC000886015310 646818120 /nfs/dbraw/zinc/81/81/20/646818120.db2.gz ASBXLVKQWSFFES-UHFFFAOYSA-N 0 0 268.357 2.685 20 5 CFBDRN CC[C@@](C)(NCc1cccnc1[N+](=O)[O-])C(F)(F)F ZINC000886073037 646854708 /nfs/dbraw/zinc/85/47/08/646854708.db2.gz PSNPTXIFFAAHOA-SNVBAGLBSA-N 0 0 277.246 2.810 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC[C@H]1c1ccncc1 ZINC000886090184 646862206 /nfs/dbraw/zinc/86/22/06/646862206.db2.gz RMJUBSSKBZYYAW-AWEZNQCLSA-N 0 0 284.319 2.722 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@@H]1C[C@]13CCOC3)CC2 ZINC000886105386 646875454 /nfs/dbraw/zinc/87/54/54/646875454.db2.gz YXQKJWPVOMTRIR-BBRMVZONSA-N 0 0 288.347 2.692 20 5 CFBDRN CC[C@H](NCc1cccnc1[N+](=O)[O-])c1c(C)noc1C ZINC000886127097 646884972 /nfs/dbraw/zinc/88/49/72/646884972.db2.gz BIJVGYOMGRWKBQ-LBPRGKRZSA-N 0 0 290.323 2.836 20 5 CFBDRN C[C@@H]1C[C@H](c2cccnc2)N(Cc2cccnc2[N+](=O)[O-])C1 ZINC000886134474 646888369 /nfs/dbraw/zinc/88/83/69/646888369.db2.gz SSRWLCSNCGWALN-IUODEOHRSA-N 0 0 298.346 2.968 20 5 CFBDRN CC(=O)[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000887266535 647255111 /nfs/dbraw/zinc/25/51/11/647255111.db2.gz VYZBYIGRHHMOKQ-MGCOHNPYSA-N 0 0 296.348 2.534 20 5 CFBDRN CC(C)(CNC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000887370186 647288072 /nfs/dbraw/zinc/28/80/72/647288072.db2.gz JCXBKFIZZIVECP-UHFFFAOYSA-N 0 0 294.326 2.829 20 5 CFBDRN CC(C)(CNC(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000887377029 647293100 /nfs/dbraw/zinc/29/31/00/647293100.db2.gz WQNRRWYWDQIPTJ-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000887399553 647302453 /nfs/dbraw/zinc/30/24/53/647302453.db2.gz XJWQBOJJTNTNOH-NDBYEHHHSA-N 0 0 288.347 2.861 20 5 CFBDRN C[C@H](CNC(=O)CC(C)(F)F)c1ccccc1[N+](=O)[O-] ZINC000887401241 647302919 /nfs/dbraw/zinc/30/29/19/647302919.db2.gz RRUPTRMWNWLQFD-SECBINFHSA-N 0 0 286.278 2.860 20 5 CFBDRN C[C@@H](CNC(=O)[C@H]1C[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000887401279 647302947 /nfs/dbraw/zinc/30/29/47/647302947.db2.gz SAWUJHPOBWPZLS-LSJOCFKGSA-N 0 0 298.289 2.716 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887401281 647303291 /nfs/dbraw/zinc/30/32/91/647303291.db2.gz SBWDXXFDIDUGGS-DMDPSCGWSA-N 0 0 276.336 2.861 20 5 CFBDRN C[C@H](CNC(=O)C1CC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000887402561 647303667 /nfs/dbraw/zinc/30/36/67/647303667.db2.gz FGORFJCLURRZSJ-SECBINFHSA-N 0 0 298.289 2.860 20 5 CFBDRN C[C@H](CNC(=O)Cc1ccco1)c1ccccc1[N+](=O)[O-] ZINC000887402427 647303687 /nfs/dbraw/zinc/30/36/87/647303687.db2.gz BYHQXNLKNMYQAG-LLVKDONJSA-N 0 0 288.303 2.650 20 5 CFBDRN C[C@@H](CNC(=O)[C@@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000887402929 647303832 /nfs/dbraw/zinc/30/38/32/647303832.db2.gz LLDGGHQMAVIPPS-QWRGUYRKSA-N 0 0 276.336 2.861 20 5 CFBDRN C/C=C(/C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403349 647304403 /nfs/dbraw/zinc/30/44/03/647304403.db2.gz URKVQSCENLDIHI-FGUAACIASA-N 0 0 262.309 2.781 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000887404704 647304473 /nfs/dbraw/zinc/30/44/73/647304473.db2.gz KFPDTHRYMRWXSI-LLVKDONJSA-N 0 0 299.330 2.832 20 5 CFBDRN CS[C@@H](C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404413 647304559 /nfs/dbraw/zinc/30/45/59/647304559.db2.gz DQYUBMCKXBYILY-UWVGGRQHSA-N 0 0 282.365 2.566 20 5 CFBDRN C/C=C/C=C/C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404984 647305359 /nfs/dbraw/zinc/30/53/59/647305359.db2.gz QJPBCGKMBABRKP-VXJJDLEASA-N 0 0 274.320 2.947 20 5 CFBDRN CC1(C)CO[C@@H](CC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000887730490 647401795 /nfs/dbraw/zinc/40/17/95/647401795.db2.gz SYIQCCUYUAMKTD-LBPRGKRZSA-N 0 0 278.308 2.739 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCOC2(CCC2)C1 ZINC000887732048 647402107 /nfs/dbraw/zinc/40/21/07/647402107.db2.gz FQDXHFIBLBGNST-NSHDSACASA-N 0 0 290.319 2.883 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)C1(C2CC2)CC1 ZINC000887732379 647402518 /nfs/dbraw/zinc/40/25/18/647402518.db2.gz RURPMQGXKLJUKT-UHFFFAOYSA-N 0 0 264.256 2.863 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC[C@H]1C1CCCC1 ZINC000889392485 647558345 /nfs/dbraw/zinc/55/83/45/647558345.db2.gz NZASSRCOLCJLRQ-AWEZNQCLSA-N 0 0 288.347 2.928 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)ccc1[N+](=O)[O-] ZINC000889589423 647607538 /nfs/dbraw/zinc/60/75/38/647607538.db2.gz CGBRXAQCPQVZKE-ZMLRMANQSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnn1C1CC1 ZINC000889691608 647653684 /nfs/dbraw/zinc/65/36/84/647653684.db2.gz LUJKBRFYJKEASB-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCO[C@H](C)C2)c1C ZINC000889838901 647678820 /nfs/dbraw/zinc/67/88/20/647678820.db2.gz JURDYPVKNSBJBO-PWSUYJOCSA-N 0 0 292.335 2.509 20 5 CFBDRN CCc1cc(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000889862871 647682480 /nfs/dbraw/zinc/68/24/80/647682480.db2.gz CAXUOOKQOVCJDA-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@H]1CCC(F)(F)C1)[N+](=O)[O-] ZINC000889998342 647721140 /nfs/dbraw/zinc/72/11/40/647721140.db2.gz IQXBZZOJVRLUDV-SNVBAGLBSA-N 0 0 292.326 2.764 20 5 CFBDRN CCCN(C(=O)[C@@H]1C[C@H](C)CO1)c1cccc([N+](=O)[O-])c1 ZINC000890409301 647794711 /nfs/dbraw/zinc/79/47/11/647794711.db2.gz COVDYJBSSFTKGW-FZMZJTMJSA-N 0 0 292.335 2.763 20 5 CFBDRN CCOC(=O)CN(C)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000113437822 647825369 /nfs/dbraw/zinc/82/53/69/647825369.db2.gz TUDZAKHFQYANJI-UHFFFAOYSA-N 0 0 288.250 2.532 20 5 CFBDRN CCCCCCNC(=O)COc1ccc(C)cc1[N+](=O)[O-] ZINC000008506320 647873448 /nfs/dbraw/zinc/87/34/48/647873448.db2.gz UJUSDXDVMHDWHI-UHFFFAOYSA-N 0 0 294.351 2.979 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])OC/C=C\Cl ZINC000923965358 647909279 /nfs/dbraw/zinc/90/92/79/647909279.db2.gz NGINZHNMVCEFKM-BHQIHCQQSA-N 0 0 287.674 2.962 20 5 CFBDRN CCS(=O)(CC)=NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000925884333 648355164 /nfs/dbraw/zinc/35/51/64/648355164.db2.gz HHPZSTTXGMUYTM-UHFFFAOYSA-N 0 0 298.364 2.562 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N=S2(=O)CCCC2)c1C ZINC000925935883 648369395 /nfs/dbraw/zinc/36/93/95/648369395.db2.gz FLVFMSIPAUWPBV-UHFFFAOYSA-N 0 0 296.348 2.614 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC000927320877 648755758 /nfs/dbraw/zinc/75/57/58/648755758.db2.gz UNHCEMAGKNFCAE-BLLLJJGKSA-N 0 0 298.298 2.602 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC[C@@H]1C[C@H]1C1CC1 ZINC000927423121 648774118 /nfs/dbraw/zinc/77/41/18/648774118.db2.gz MGWFOUAJGDFGPU-HIFRSBDPSA-N 0 0 288.347 2.690 20 5 CFBDRN C[C@@H](NC(=O)CCC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000928245325 648886768 /nfs/dbraw/zinc/88/67/68/648886768.db2.gz NJKFZXWYIVTNOE-MRVPVSSYSA-N 0 0 272.251 2.817 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928246345 648887613 /nfs/dbraw/zinc/88/76/13/648887613.db2.gz BHLPKCPCJTWGNF-MISXGVKJSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@@H](C)O[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000928246312 648887982 /nfs/dbraw/zinc/88/79/82/648887982.db2.gz AMNHDBPPJRHOJG-NJBDSQKTSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@@H](NC(=O)CC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928246613 648888282 /nfs/dbraw/zinc/88/82/82/648888282.db2.gz HFLREMCQSVQPTQ-BXUZGUMPSA-N 0 0 292.335 2.731 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000928938982 648968199 /nfs/dbraw/zinc/96/81/99/648968199.db2.gz BPDIEAWKIVQZIC-AATRIKPKSA-N 0 0 286.690 2.995 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)no1 ZINC000929460762 649053684 /nfs/dbraw/zinc/05/36/84/649053684.db2.gz CCOCLZBVPTZATR-RYUDHWBXSA-N 0 0 287.275 2.633 20 5 CFBDRN CC(C)(C)NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929776874 649117997 /nfs/dbraw/zinc/11/79/97/649117997.db2.gz ZKKDWWOPKFXLAR-UHFFFAOYSA-N 0 0 268.288 2.581 20 5 CFBDRN Cc1ccccc1[C@H](CC(C)C)[NH2+]CC[N+](=O)[O-] ZINC000930218116 649208741 /nfs/dbraw/zinc/20/87/41/649208741.db2.gz VVKXZXWJDYPVFH-AWEZNQCLSA-N 0 0 250.342 2.949 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000930732194 649317019 /nfs/dbraw/zinc/31/70/19/649317019.db2.gz BTKQLIHXSVARNV-SNVBAGLBSA-N 0 0 295.339 2.838 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000930882964 649342647 /nfs/dbraw/zinc/34/26/47/649342647.db2.gz NFPBGXZXFSKNFC-QWHCGFSZSA-N 0 0 293.323 2.544 20 5 CFBDRN COc1cc(CN2CC[C@H]2C(F)F)c([N+](=O)[O-])cc1F ZINC000931425722 649424185 /nfs/dbraw/zinc/42/41/85/649424185.db2.gz PUCCSGFLVWHKFC-VIFPVBQESA-N 0 0 290.241 2.582 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1Cc2c[nH]nc2[C@H](C)C1 ZINC000931452370 649430512 /nfs/dbraw/zinc/43/05/12/649430512.db2.gz JHOWYLQQKBYERK-GHMZBOCLSA-N 0 0 286.335 2.998 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCn3cccc3C2)c(F)c1 ZINC000932524659 649614437 /nfs/dbraw/zinc/61/44/37/649614437.db2.gz NHTOSFYYEDGNFC-UHFFFAOYSA-N 0 0 293.273 2.690 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCn2cccc2C1 ZINC000932529185 649615374 /nfs/dbraw/zinc/61/53/74/649615374.db2.gz JATKQVSMWQMNLA-GFCCVEGCSA-N 0 0 271.320 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@@H]2CC2CC2)cc1F ZINC000933208114 649679009 /nfs/dbraw/zinc/67/90/09/649679009.db2.gz HDQLSJWJYYMSPG-ZDUSSCGKSA-N 0 0 294.326 2.735 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000933368222 649689184 /nfs/dbraw/zinc/68/91/84/649689184.db2.gz LSRKGHNZOBOLOF-GHMZBOCLSA-N 0 0 294.326 2.923 20 5 CFBDRN CC1(CCC(=O)NCCc2ccccc2[N+](=O)[O-])CC1 ZINC000933622201 649707090 /nfs/dbraw/zinc/70/70/90/649707090.db2.gz BUHARTFHWWTGNK-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN O=[N+]([O-])CCN1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000933820958 649722986 /nfs/dbraw/zinc/72/29/86/649722986.db2.gz PXBXRLPDURNLQZ-UHFFFAOYSA-N 0 0 271.320 2.534 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000934108058 649750295 /nfs/dbraw/zinc/75/02/95/649750295.db2.gz ZBEVCHZMOWWOPI-YPMHNXCESA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1cccc(COC(=O)c2cc([N+](=O)[O-])ccc2N)c1 ZINC000016814338 651265674 /nfs/dbraw/zinc/26/56/74/651265674.db2.gz LFMWQOUQVMXVFC-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN COCCCC(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062078 651278599 /nfs/dbraw/zinc/27/85/99/651278599.db2.gz QQXWWFFWMPFUKJ-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN CC1(C)CC(=O)N(Cc2ccc(Cl)cc2[N+](=O)[O-])C1=O ZINC000116267798 651279105 /nfs/dbraw/zinc/27/91/05/651279105.db2.gz AXLPCWZPLVCZBC-UHFFFAOYSA-N 0 0 296.710 2.533 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)nc1 ZINC000119593206 651298971 /nfs/dbraw/zinc/29/89/71/651298971.db2.gz KMEZXQMIQYUNMV-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000119925830 651302016 /nfs/dbraw/zinc/30/20/16/651302016.db2.gz FQGHKRTZTANRNE-ZIAGYGMSSA-N 0 0 293.319 2.556 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H]1CCCOC1 ZINC000723856436 770690547 /nfs/dbraw/zinc/69/05/47/770690547.db2.gz AZKIVNOXERIOPP-ZYHUDNBSSA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@]1(CNC(=O)c2cccc([N+](=O)[O-])c2Cl)CCCO1 ZINC000160459589 778605388 /nfs/dbraw/zinc/60/53/88/778605388.db2.gz MUFIGYLHZSENNF-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cnc3ccccn23)c1 ZINC001204415801 769848352 /nfs/dbraw/zinc/84/83/52/769848352.db2.gz PBYPJMZYMAYYSS-UHFFFAOYSA-N 0 0 254.249 2.986 20 5 CFBDRN Nc1cc(N2CC[C@H](C3CCCCC3)C2)ncc1[N+](=O)[O-] ZINC001167067818 769903411 /nfs/dbraw/zinc/90/34/11/769903411.db2.gz KNVJQLCVTLWEON-LBPRGKRZSA-N 0 0 290.367 2.979 20 5 CFBDRN Cc1cc2c(cn1)CN(c1cc([N+](=O)[O-])cc(Cl)n1)C2 ZINC001167106853 770029457 /nfs/dbraw/zinc/02/94/57/770029457.db2.gz BMZISKCIASMLAA-UHFFFAOYSA-N 0 0 290.710 2.867 20 5 CFBDRN CCC(CC)N(CC)c1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC001167107285 770029806 /nfs/dbraw/zinc/02/98/06/770029806.db2.gz QTMHOYSKZCNLKW-UHFFFAOYSA-N 0 0 295.339 2.791 20 5 CFBDRN COc1cc(OC)c(Nc2cccc([N+](=O)[O-])c2)cn1 ZINC001204450346 770111704 /nfs/dbraw/zinc/11/17/04/770111704.db2.gz NTJQQPJJHXJLMU-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN CCN(CC(C)(C)O)c1ncc([N+](=O)[O-])c2ccccc21 ZINC001167160573 770116210 /nfs/dbraw/zinc/11/62/10/770116210.db2.gz ZWPLDLDKSKRHNR-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(N3CC[C@H]4OCC[C@@H]4C3)nc21 ZINC001167212444 770145590 /nfs/dbraw/zinc/14/55/90/770145590.db2.gz DUFQKRTZYQNVEG-TZMCWYRMSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N2CC[C@H]3OCC[C@H]3C2)nc1Cl ZINC001167217439 770151226 /nfs/dbraw/zinc/15/12/26/770151226.db2.gz ZEOLVAVUNGSRPM-GXSJLCMTSA-N 0 0 297.742 2.567 20 5 CFBDRN Nc1ccc(Nc2ccc(F)nc2F)cc1[N+](=O)[O-] ZINC001209935251 770165975 /nfs/dbraw/zinc/16/59/75/770165975.db2.gz RMHKNGWHVZCGFO-UHFFFAOYSA-N 0 0 266.207 2.594 20 5 CFBDRN COc1cc(CO)cc(Nc2cccc([N+](=O)[O-])c2)c1 ZINC001204476384 770168807 /nfs/dbraw/zinc/16/88/07/770168807.db2.gz LKYMQLADYMUOQC-UHFFFAOYSA-N 0 0 274.276 2.839 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1N[C@@H]1CCC(=O)N(C)C1 ZINC001167247042 770172605 /nfs/dbraw/zinc/17/26/05/770172605.db2.gz VCOQEUYPFBKLGJ-GFCCVEGCSA-N 0 0 291.351 2.553 20 5 CFBDRN CCn1cnc(Nc2cc([N+](=O)[O-])ccc2OC)c1 ZINC001210444428 770285650 /nfs/dbraw/zinc/28/56/50/770285650.db2.gz IRJGXBORHZKVGD-UHFFFAOYSA-N 0 0 262.269 2.563 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1cccc2c1CNC2=O ZINC001210445262 770285943 /nfs/dbraw/zinc/28/59/43/770285943.db2.gz WKAUYESTYUVBGO-UHFFFAOYSA-N 0 0 299.286 2.590 20 5 CFBDRN COC(=O)c1ncccc1Nc1ccc(F)cc1[N+](=O)[O-] ZINC001210950618 770404378 /nfs/dbraw/zinc/40/43/78/770404378.db2.gz ZBJLSIARWQIMDE-UHFFFAOYSA-N 0 0 291.238 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1ncc(F)cc1N ZINC001211286389 770476336 /nfs/dbraw/zinc/47/63/36/770476336.db2.gz SISAPKHGSQIBBW-UHFFFAOYSA-N 0 0 262.244 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1cccc(C(N)=O)c1 ZINC001211288203 770476456 /nfs/dbraw/zinc/47/64/56/770476456.db2.gz KBPSMJYVUMOOJY-UHFFFAOYSA-N 0 0 271.276 2.746 20 5 CFBDRN CN1C[C@@H](CCNc2c(Cl)cccc2[N+](=O)[O-])CC1=O ZINC000723398214 770563228 /nfs/dbraw/zinc/56/32/28/770563228.db2.gz UOTBFHHIDPNVBG-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN CN1C[C@@H](CCNc2cc(Cl)ccc2[N+](=O)[O-])CC1=O ZINC000723396681 770563281 /nfs/dbraw/zinc/56/32/81/770563281.db2.gz JHRTVLAPAMXAIM-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CC2)[C@H]2CCCOC2)nc1 ZINC000723847105 770684545 /nfs/dbraw/zinc/68/45/45/770684545.db2.gz XKDUACSDKLRKKS-FZMZJTMJSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@@H]1CCCOC1 ZINC000723878089 770702570 /nfs/dbraw/zinc/70/25/70/770702570.db2.gz ZIIWRTJJNHDGKU-RKDXNWHRSA-N 0 0 271.342 2.668 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1C ZINC000588445238 770705683 /nfs/dbraw/zinc/70/56/83/770705683.db2.gz FJYAESILIJVYFR-KMZIIWFSSA-N 0 0 260.293 2.523 20 5 CFBDRN CC[C@@H](Nc1c(Cl)cncc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723882588 770706961 /nfs/dbraw/zinc/70/69/61/770706961.db2.gz ZHLCZQGWGFFXEP-MWLCHTKSSA-N 0 0 299.758 2.682 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C(F)(F)F ZINC001161375055 770734095 /nfs/dbraw/zinc/73/40/95/770734095.db2.gz PXAQSZBDIAACFD-SSDOTTSWSA-N 0 0 267.182 2.882 20 5 CFBDRN Cc1cnc(COc2ccc(Cl)c([N+](=O)[O-])c2)cn1 ZINC000693535214 770799609 /nfs/dbraw/zinc/79/96/09/770799609.db2.gz QGLKVAFQKSQMBF-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CC(C)(CNc1ncnc2cc3ccccc3cc21)C(N)=O ZINC001167607704 770979437 /nfs/dbraw/zinc/97/94/37/770979437.db2.gz YUUJCKVOJBQSIX-UHFFFAOYSA-N 0 0 294.358 2.706 20 5 CFBDRN Cc1c(NCc2ccnc(N)c2)cc(F)cc1[N+](=O)[O-] ZINC001167617144 770993934 /nfs/dbraw/zinc/99/39/34/770993934.db2.gz HWJQOBSBFMMOGG-UHFFFAOYSA-N 0 0 276.271 2.632 20 5 CFBDRN C[C@@H](CC(=O)OC(C)(C)C)OCc1ccc([N+](=O)[O-])nc1 ZINC001224108576 775102180 /nfs/dbraw/zinc/10/21/80/775102180.db2.gz GTQFWPFEOOCAFX-JTQLQIEISA-N 0 0 296.323 2.627 20 5 CFBDRN C[C@H](OCc1ccc([N+](=O)[O-])nc1)c1ncccc1F ZINC001224113101 775103426 /nfs/dbraw/zinc/10/34/26/775103426.db2.gz HAFDDCKJRZONQX-VIFPVBQESA-N 0 0 277.255 2.802 20 5 CFBDRN CC1(C)CC(=O)CC[C@@H]1OCc1ccc([N+](=O)[O-])nc1 ZINC001224113441 775103900 /nfs/dbraw/zinc/10/39/00/775103900.db2.gz IORTUENKTRTRHE-LBPRGKRZSA-N 0 0 278.308 2.654 20 5 CFBDRN Cc1cnc(COC[C@@H]2CC[C@H](C)O2)c(C)c1[N+](=O)[O-] ZINC001224181887 775121591 /nfs/dbraw/zinc/12/15/91/775121591.db2.gz BVCWMQFXKTWJGM-JQWIXIFHSA-N 0 0 280.324 2.691 20 5 CFBDRN O=C1Nc2cc(Cl)c([N+](=O)[O-])cc2/C1=C\c1cocn1 ZINC000588578611 775128684 /nfs/dbraw/zinc/12/86/84/775128684.db2.gz DCXWRRUPFRWCLS-UNXLUWIOSA-N 0 0 291.650 2.729 20 5 CFBDRN CN(Cc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])c(N)n1 ZINC000167817952 775144447 /nfs/dbraw/zinc/14/44/47/775144447.db2.gz QYQAJBFILMATDZ-UHFFFAOYSA-N 0 0 292.726 2.862 20 5 CFBDRN Cc1c([C@@H](C)OCCc2ccc([N+](=O)[O-])cc2)cnn1C ZINC001224328520 775157655 /nfs/dbraw/zinc/15/76/55/775157655.db2.gz ONRYUVBJLCEKAS-GFCCVEGCSA-N 0 0 289.335 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO[C@H]2COc3cnccc32)cc1 ZINC001224333321 775160626 /nfs/dbraw/zinc/16/06/26/775160626.db2.gz FCJAHSRVCHDRGX-HNNXBMFYSA-N 0 0 286.287 2.683 20 5 CFBDRN COc1ccccc1CCCO[C@H](C[N+](=O)[O-])C1CC1 ZINC001224411697 775176898 /nfs/dbraw/zinc/17/68/98/775176898.db2.gz FSLFHQKRTSKTBT-OAHLLOKOSA-N 0 0 279.336 2.700 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@H](F)C2)ccc1Cl ZINC000689861971 775194419 /nfs/dbraw/zinc/19/44/19/775194419.db2.gz RQGWVWOWXVVHGM-VIFPVBQESA-N 0 0 258.680 2.792 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCCc1ccsc1)c1cccnc1 ZINC001224536070 775214498 /nfs/dbraw/zinc/21/44/98/775214498.db2.gz WZLWJJAXDKYMJL-CYBMUJFWSA-N 0 0 278.333 2.720 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@@H]1CC12CC(O)C2 ZINC000725002391 775229298 /nfs/dbraw/zinc/22/92/98/775229298.db2.gz VLNNQVNXTXRVGD-VPHNTROTSA-N 0 0 268.700 2.574 20 5 CFBDRN CCCCCO[C@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001224660468 775241245 /nfs/dbraw/zinc/24/12/45/775241245.db2.gz JHULIVNBSKYWIZ-CQSZACIVSA-N 0 0 281.308 2.940 20 5 CFBDRN Cc1ccccc1N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC001167675790 775266326 /nfs/dbraw/zinc/26/63/26/775266326.db2.gz WGGGPXODYUANBX-UHFFFAOYSA-N 0 0 259.265 2.508 20 5 CFBDRN CCC(=O)[C@H](CC)OCCOc1ccc([N+](=O)[O-])cc1 ZINC001224778034 775271104 /nfs/dbraw/zinc/27/11/04/775271104.db2.gz IMUQWZYTRHWDPC-AWEZNQCLSA-N 0 0 281.308 2.748 20 5 CFBDRN Cc1cccc(CCO[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001224869025 775294557 /nfs/dbraw/zinc/29/45/57/775294557.db2.gz QDAUHFDMJPQRHD-INIZCTEOSA-N 0 0 286.331 2.967 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2[nH]ccc2c1)c1cccnc1 ZINC001225270106 775386144 /nfs/dbraw/zinc/38/61/44/775386144.db2.gz PUHSZQGEFQVOMO-HNNXBMFYSA-N 0 0 283.287 2.960 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccc(Cl)cn1 ZINC001225274544 775387025 /nfs/dbraw/zinc/38/70/25/775387025.db2.gz GJNHZPRPHNHRPN-QMMMGPOBSA-N 0 0 296.714 2.616 20 5 CFBDRN C[C@@H](Oc1ccccc1C(N)=O)c1ccccc1[N+](=O)[O-] ZINC001225297233 775397452 /nfs/dbraw/zinc/39/74/52/775397452.db2.gz GLQMNBDEIIHKKN-SNVBAGLBSA-N 0 0 286.287 2.834 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cc(O)cc(O)c1)C2 ZINC001225367739 775431013 /nfs/dbraw/zinc/43/10/13/775431013.db2.gz LYAROSPITJQAGJ-CQSZACIVSA-N 0 0 287.271 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cnc(Cl)nc1)C2 ZINC001225377075 775435161 /nfs/dbraw/zinc/43/51/61/775435161.db2.gz BFIVVRVRPIZCIE-LLVKDONJSA-N 0 0 291.694 2.584 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCCC3(C2)OCCO3)ccc1F ZINC001225383432 775437265 /nfs/dbraw/zinc/43/72/65/775437265.db2.gz AGKWRVDXDFYLSV-NSHDSACASA-N 0 0 297.282 2.798 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2ccc(F)c([N+](=O)[O-])c2)CC1 ZINC001225384029 775437908 /nfs/dbraw/zinc/43/79/08/775437908.db2.gz JUSWHSTUJRLAFI-AOOOYVTPSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1OC1CC(F)(F)C1 ZINC001225430557 775453895 /nfs/dbraw/zinc/45/38/95/775453895.db2.gz DHYGYTHCYKYDCR-UHFFFAOYSA-N 0 0 264.615 2.820 20 5 CFBDRN Cc1c([C@H](C)Oc2c(Cl)nccc2[N+](=O)[O-])cnn1C ZINC001225430751 775454098 /nfs/dbraw/zinc/45/40/98/775454098.db2.gz DQTITBTZCUMPSC-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN CC(C)(C)N1CC(Oc2c(Cl)nccc2[N+](=O)[O-])C1 ZINC001225431732 775454302 /nfs/dbraw/zinc/45/43/02/775454302.db2.gz TZOWUDMZQLXGBD-UHFFFAOYSA-N 0 0 285.731 2.505 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc2sccc2c1)C1CC1 ZINC001225452257 775462476 /nfs/dbraw/zinc/46/24/76/775462476.db2.gz VLAZCLBZNMYMOY-LLVKDONJSA-N 0 0 264.306 2.730 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@H](Oc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC001225491598 775478499 /nfs/dbraw/zinc/47/84/99/775478499.db2.gz RNXZMGGLOIMTEW-LBPRGKRZSA-N 0 0 280.324 2.552 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225575121 775507759 /nfs/dbraw/zinc/50/77/59/775507759.db2.gz KMRKRKKTPCMNLU-JQWIXIFHSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H](Oc1cc(F)ccc1[N+](=O)[O-])c1cnccn1 ZINC001225577865 775509685 /nfs/dbraw/zinc/50/96/85/775509685.db2.gz JSSPZYGMLZXUIA-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2cc(F)ccc2[N+](=O)[O-])C1(C)C ZINC001225579481 775509786 /nfs/dbraw/zinc/50/97/86/775509786.db2.gz UINKBCLHCWATKG-CABZTGNLSA-N 0 0 297.282 2.700 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225578675 775510019 /nfs/dbraw/zinc/51/00/19/775510019.db2.gz OYDCSJHIMXPQJX-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1OC[C@H]1CCO1 ZINC001225607586 775522432 /nfs/dbraw/zinc/52/24/32/775522432.db2.gz SWJUKVDNZABBPA-SSDOTTSWSA-N 0 0 288.097 2.525 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc([N+](=O)[O-])ccc1OC ZINC001225615838 775525416 /nfs/dbraw/zinc/52/54/16/775525416.db2.gz LJAIYFVTJFHSEO-LLVKDONJSA-N 0 0 267.281 2.740 20 5 CFBDRN Cc1cnc(F)c([C@@H](C)Oc2cccnc2[N+](=O)[O-])c1 ZINC001225629580 775531916 /nfs/dbraw/zinc/53/19/16/775531916.db2.gz PWWDFUMYSXRZSM-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1cccc(C)c1[N+](=O)[O-] ZINC001225635366 775534792 /nfs/dbraw/zinc/53/47/92/775534792.db2.gz GEYVGBNKMQZFQS-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN C[C@@H](Oc1ncccc1O)c1ccccc1[N+](=O)[O-] ZINC001225654484 775544201 /nfs/dbraw/zinc/54/42/01/775544201.db2.gz HEGJSYKCRHERBN-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN Cc1cc(O[C@@H](C)c2ccncc2F)ncc1[N+](=O)[O-] ZINC001225659480 775544944 /nfs/dbraw/zinc/54/49/44/775544944.db2.gz UJNGAOVYBXSTBK-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN COC1=CC[C@@H](Oc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC001225658685 775545391 /nfs/dbraw/zinc/54/53/91/775545391.db2.gz JXELLSGQLZFKSX-LLVKDONJSA-N 0 0 264.281 2.760 20 5 CFBDRN Cc1cc(O[C@@H](C)c2ccccn2)ncc1[N+](=O)[O-] ZINC001225662912 775546562 /nfs/dbraw/zinc/54/65/62/775546562.db2.gz OTZDXJHJFKBLFP-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC(C)CC[C@@H](C)Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225665456 775547147 /nfs/dbraw/zinc/54/71/47/775547147.db2.gz AEHLMBGLCUJJTI-SECBINFHSA-N 0 0 254.286 2.899 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC001225667392 775549217 /nfs/dbraw/zinc/54/92/17/775549217.db2.gz RDRFLFZTULETLH-IUCAKERBSA-N 0 0 255.245 2.680 20 5 CFBDRN COc1cccc([C@@H](C)Oc2[nH]ccc(=O)c2[N+](=O)[O-])c1 ZINC001225668196 775549976 /nfs/dbraw/zinc/54/99/76/775549976.db2.gz ZVEAHKUMTHERNJ-SECBINFHSA-N 0 0 290.275 2.844 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(C)ccc1[N+](=O)[O-])OC ZINC001225681909 775557484 /nfs/dbraw/zinc/55/74/84/775557484.db2.gz GFOJGUSHVCRCEF-SNVBAGLBSA-N 0 0 269.297 2.680 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC001225713236 775569818 /nfs/dbraw/zinc/56/98/18/775569818.db2.gz RBOUHALLJAFVKW-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc(Cl)c1F)C1CC1 ZINC001225723143 775572532 /nfs/dbraw/zinc/57/25/32/775572532.db2.gz SXSHECIQFIIZMY-SNVBAGLBSA-N 0 0 259.664 2.913 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc2ccccc2cc1O)C1CC1 ZINC001225737934 775578896 /nfs/dbraw/zinc/57/88/96/775578896.db2.gz SHAXNSALMFHWBW-OAHLLOKOSA-N 0 0 273.288 2.980 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nccc2ccccc21)C1CC1 ZINC001225744211 775581662 /nfs/dbraw/zinc/58/16/62/775581662.db2.gz CSLHACFJBXNVQK-ZDUSSCGKSA-N 0 0 258.277 2.669 20 5 CFBDRN COc1ccc(O[C@H]2CCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC001225768567 775587243 /nfs/dbraw/zinc/58/72/43/775587243.db2.gz AHNTYGAANHRSRB-NSHDSACASA-N 0 0 281.308 2.940 20 5 CFBDRN Cc1c(C)c(O[C@@H](C[N+](=O)[O-])c2cccnc2)ccc1O ZINC001225776214 775589187 /nfs/dbraw/zinc/58/91/87/775589187.db2.gz AWTMIEXLSPTHER-HNNXBMFYSA-N 0 0 288.303 2.801 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cc(F)c(F)cc2[N+](=O)[O-])CCO1 ZINC001225778939 775590588 /nfs/dbraw/zinc/59/05/88/775590588.db2.gz LFZPQUONCMTFJD-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1cccc([N+](=O)[O-])c1F ZINC001225793838 775595969 /nfs/dbraw/zinc/59/59/69/775595969.db2.gz GBFOFPBVJPUWMK-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1cccc([N+](=O)[O-])c1F ZINC001225796447 775596087 /nfs/dbraw/zinc/59/60/87/775596087.db2.gz LDJIUCYIVAMRSP-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1cccc([N+](=O)[O-])c1F ZINC001225794081 775596252 /nfs/dbraw/zinc/59/62/52/775596252.db2.gz HTXJFDTWPAIXAJ-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN CCC[C@H](Oc1cccc([N+](=O)[O-])c1F)C(=O)OCC ZINC001225794383 775596321 /nfs/dbraw/zinc/59/63/21/775596321.db2.gz OYDUKHSFPZUASK-NSHDSACASA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@H](Oc1cccc([N+](=O)[O-])c1F)C1CCOCC1 ZINC001225794090 775596385 /nfs/dbraw/zinc/59/63/85/775596385.db2.gz HXCJOLLNMYYDIM-VIFPVBQESA-N 0 0 269.272 2.928 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(OC2CC(F)(F)C2)c1 ZINC001225803912 775598701 /nfs/dbraw/zinc/59/87/01/775598701.db2.gz KGXSRAKDFFQPSK-UHFFFAOYSA-N 0 0 271.219 2.974 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC001225803581 775598779 /nfs/dbraw/zinc/59/87/79/775598779.db2.gz FLYRRIPEURHZMA-ZSBIGDGJSA-N 0 0 261.277 2.975 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225807599 775599907 /nfs/dbraw/zinc/59/99/07/775599907.db2.gz XUMLEBDRTQQNNA-GFCCVEGCSA-N 0 0 295.291 2.518 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc([N+](=O)[O-])c1OC1CC=CC1 ZINC001225888463 775623705 /nfs/dbraw/zinc/62/37/05/775623705.db2.gz BUVZSKOGMNCUDU-UHFFFAOYSA-N 0 0 268.200 2.740 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc([N+](=O)[O-])c1OC[C@@H]1CCC=CO1 ZINC001225889202 775625493 /nfs/dbraw/zinc/62/54/93/775625493.db2.gz KCESVSNETMQPII-VIFPVBQESA-N 0 0 298.226 2.714 20 5 CFBDRN Cc1ccc(F)c(O[C@@H](C[N+](=O)[O-])C2CC2)c1F ZINC001225898373 775626823 /nfs/dbraw/zinc/62/68/23/775626823.db2.gz ZBJUQDVESPCISC-JTQLQIEISA-N 0 0 257.236 2.707 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225905549 775629560 /nfs/dbraw/zinc/62/95/60/775629560.db2.gz VREXFUCJIIWWTN-SECBINFHSA-N 0 0 257.261 2.928 20 5 CFBDRN CCOCC(COCC)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225905374 775629666 /nfs/dbraw/zinc/62/96/66/775629666.db2.gz RXFYBVMEEBJGRP-UHFFFAOYSA-N 0 0 287.287 2.554 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225904358 775629691 /nfs/dbraw/zinc/62/96/91/775629691.db2.gz BTNCMDXFFWBCRF-ZYHUDNBSSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H](Oc1ccc(F)cc1[N+](=O)[O-])C1CCOCC1 ZINC001225904970 775629833 /nfs/dbraw/zinc/62/98/33/775629833.db2.gz MRFJFNILKADRDP-VIFPVBQESA-N 0 0 269.272 2.928 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225912387 775632807 /nfs/dbraw/zinc/63/28/07/775632807.db2.gz IMLRFSBKBAHYPH-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(F)c(F)c(F)c1)c1cccnc1 ZINC001225911270 775632943 /nfs/dbraw/zinc/63/29/43/775632943.db2.gz MJBKQMUCSJDUEO-GFCCVEGCSA-N 0 0 298.220 2.896 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC001225915700 775634209 /nfs/dbraw/zinc/63/42/09/775634209.db2.gz LOHDADAWVBJKJC-ZJUUUORDSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cccc(F)c1F ZINC001225924372 775636493 /nfs/dbraw/zinc/63/64/93/775636493.db2.gz MHDMDKCIARPJIM-QMMMGPOBSA-N 0 0 297.261 2.845 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(F)cc(F)cc1F)c1cccnc1 ZINC001225928917 775637962 /nfs/dbraw/zinc/63/79/62/775637962.db2.gz BZBMHBRLPSPDIG-LBPRGKRZSA-N 0 0 298.220 2.896 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC001225942018 775642378 /nfs/dbraw/zinc/64/23/78/775642378.db2.gz LVCNMXIKDFWBSB-KOLCDFICSA-N 0 0 297.282 2.845 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1ccc([N+](=O)[O-])cc1F ZINC001225947081 775645165 /nfs/dbraw/zinc/64/51/65/775645165.db2.gz RTXGRQYIEBASOO-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(F)c1O[C@H]1CCn2ccnc21 ZINC001225958840 775649374 /nfs/dbraw/zinc/64/93/74/775649374.db2.gz GNTYVBOFKZMVAA-VIFPVBQESA-N 0 0 281.218 2.593 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2c(F)cccc2[N+](=O)[O-])C1(C)C ZINC001226009303 775666470 /nfs/dbraw/zinc/66/64/70/775666470.db2.gz KSOOHANOBXASRR-KWQFWETISA-N 0 0 297.282 2.700 20 5 CFBDRN Cc1ccnc(O[C@H]2CCCC(=O)C2(C)C)c1[N+](=O)[O-] ZINC001226120829 775706879 /nfs/dbraw/zinc/70/68/79/775706879.db2.gz XHIVGWIXAQCOHB-NSHDSACASA-N 0 0 278.308 2.825 20 5 CFBDRN Cc1ccnc(O[C@H]2Cc3ccncc3[C@H]2C)c1[N+](=O)[O-] ZINC001226121449 775707071 /nfs/dbraw/zinc/70/70/71/775707071.db2.gz NMQVFTZNDKDRLC-MFKMUULPSA-N 0 0 285.303 2.800 20 5 CFBDRN COC(=O)C1(Nc2cc(F)cc([N+](=O)[O-])c2C)CCCC1 ZINC001167714241 775707292 /nfs/dbraw/zinc/70/72/92/775707292.db2.gz GYEIMRRIJQLCPV-UHFFFAOYSA-N 0 0 296.298 2.940 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1OC[C@@H]1CCC=CO1 ZINC001226129786 775710114 /nfs/dbraw/zinc/71/01/14/775710114.db2.gz HEBNZMLQDZKSNN-VIFPVBQESA-N 0 0 270.672 2.715 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1O[C@@H]1COc2cnccc21 ZINC001226132349 775711753 /nfs/dbraw/zinc/71/17/53/775711753.db2.gz YNTJSTGVDBTDOF-LLVKDONJSA-N 0 0 293.666 2.551 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ncc(Cl)cc1[N+](=O)[O-] ZINC001226132339 775711974 /nfs/dbraw/zinc/71/19/74/775711974.db2.gz YJCBYEMXSPGTCK-JTQLQIEISA-N 0 0 272.688 2.780 20 5 CFBDRN CCC[C@H](Oc1ccc([N+](=O)[O-])cc1C(N)=O)C(C)C ZINC001226168900 775723838 /nfs/dbraw/zinc/72/38/38/775723838.db2.gz WTRQCVOSQHWJEZ-LBPRGKRZSA-N 0 0 280.324 2.897 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)[C@@H]1C ZINC001226172512 775724488 /nfs/dbraw/zinc/72/44/88/775724488.db2.gz LEBSLJYHSCRROU-GIPNMCIBSA-N 0 0 292.335 2.897 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])c(F)cc1F)c1ncccn1 ZINC001226186487 775729106 /nfs/dbraw/zinc/72/91/06/775729106.db2.gz CXWPSIXDRNZFNI-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CC[C@@H](COC)Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226190046 775730874 /nfs/dbraw/zinc/73/08/74/775730874.db2.gz JHYMZXVAHFFZAA-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN CC(C)[N@@H+]1CC[C@H](Oc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC001226191486 775732914 /nfs/dbraw/zinc/73/29/14/775732914.db2.gz NQRQXCLJVGSBCN-VIFPVBQESA-N 0 0 286.278 2.735 20 5 CFBDRN CC[C@@H](Oc1cc([N+](=O)[O-])c(F)cc1Cl)C(=O)OC ZINC001226199661 775735578 /nfs/dbraw/zinc/73/55/78/775735578.db2.gz XHDAINZYQNSSDT-SECBINFHSA-N 0 0 291.662 2.718 20 5 CFBDRN O=C1OCC[C@@H]1Oc1cc([N+](=O)[O-])c(Cl)cc1Cl ZINC001226203553 775737466 /nfs/dbraw/zinc/73/74/66/775737466.db2.gz ICNAXMVQGSDEQL-QMMMGPOBSA-N 0 0 292.074 2.596 20 5 CFBDRN CC1(C)CC(Nc2ccc([N+](=O)[O-])cn2)CC(C)(C)N1O ZINC001167717334 775742674 /nfs/dbraw/zinc/74/26/74/775742674.db2.gz YVOHORCBJINEDE-UHFFFAOYSA-N 0 0 294.355 2.813 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1cc([N+](=O)[O-])ccc1F ZINC001226266844 775763380 /nfs/dbraw/zinc/76/33/80/775763380.db2.gz ZSNYALUIMFLYTG-SNVBAGLBSA-N 0 0 285.271 2.845 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cc([N+](=O)[O-])ccc1F ZINC001226271208 775765345 /nfs/dbraw/zinc/76/53/45/775765345.db2.gz YPNPRFNKYSIRNN-NSHDSACASA-N 0 0 253.229 2.624 20 5 CFBDRN CCN1CCC[C@H](Oc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC001226293040 775770262 /nfs/dbraw/zinc/77/02/62/775770262.db2.gz RMPIEZYQXRVSSZ-ZDUSSCGKSA-N 0 0 292.335 2.661 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@@H]1CCc2cccnc21 ZINC001226334343 775782594 /nfs/dbraw/zinc/78/25/94/775782594.db2.gz SZGYVNACPAYSIP-GFCCVEGCSA-N 0 0 272.260 2.762 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1OC1Cc2ccccc2C1 ZINC001226336058 775783695 /nfs/dbraw/zinc/78/36/95/775783695.db2.gz MIWLKMVAGLOYAK-UHFFFAOYSA-N 0 0 271.272 2.847 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2C=CCC2)c(Br)c1 ZINC001226343753 775784922 /nfs/dbraw/zinc/78/49/22/775784922.db2.gz CSQTZGIXWNDJEC-QMMMGPOBSA-N 0 0 285.097 2.850 20 5 CFBDRN COC1=CC[C@@H](Oc2c(O)cccc2[N+](=O)[O-])CC1 ZINC001226340601 775785526 /nfs/dbraw/zinc/78/55/26/775785526.db2.gz OPGSDPHOXVFWQO-SNVBAGLBSA-N 0 0 265.265 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1O[C@@H]1COc2cnccc21 ZINC001226367993 775791912 /nfs/dbraw/zinc/79/19/12/775791912.db2.gz PWPWGUYKYPYALB-SNVBAGLBSA-N 0 0 293.666 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CCOC(C)(C)C2)c1 ZINC001226447906 775825215 /nfs/dbraw/zinc/82/52/15/775825215.db2.gz TYOUIGOBNUARND-LLVKDONJSA-N 0 0 281.308 2.940 20 5 CFBDRN O=c1[nH]ccc(NC[C@@]23C[C@@H]2CCCC3)c1[N+](=O)[O-] ZINC000695458371 775842692 /nfs/dbraw/zinc/84/26/92/775842692.db2.gz NOZIEONJMQPROK-TVQRCGJNSA-N 0 0 263.297 2.688 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H](C)c1cnccn1 ZINC001226531588 775862307 /nfs/dbraw/zinc/86/23/07/775862307.db2.gz LAZGIFAUTDXFSD-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226532517 775864158 /nfs/dbraw/zinc/86/41/58/775864158.db2.gz UYBDCWTWHNTGPM-JTQLQIEISA-N 0 0 295.291 2.518 20 5 CFBDRN COc1cc(O[C@@H](C)c2cccnc2)ncc1[N+](=O)[O-] ZINC001226576228 775879821 /nfs/dbraw/zinc/87/98/21/775879821.db2.gz NZUFMHDCXOTHJP-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN COc1cc(O[C@@H](C)c2ccncc2)ncc1[N+](=O)[O-] ZINC001226575793 775879858 /nfs/dbraw/zinc/87/98/58/775879858.db2.gz IBRHSKSZRSDBOI-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN COc1cc(O[C@H](C)c2ccccn2)ncc1[N+](=O)[O-] ZINC001226581119 775881116 /nfs/dbraw/zinc/88/11/16/775881116.db2.gz LJEGQEBMQMWOGX-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1ccnnc1O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001226643439 775900415 /nfs/dbraw/zinc/90/04/15/775900415.db2.gz DBFCSAMQCPLYQL-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])nc1)c1ncccc1F ZINC001226711132 775933060 /nfs/dbraw/zinc/93/30/60/775933060.db2.gz AFLOZQFJXCRKCW-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCc3ccccc3C2)cn1 ZINC001226711890 775933391 /nfs/dbraw/zinc/93/33/91/775933391.db2.gz IBISPQMUFJZUOT-ZDUSSCGKSA-N 0 0 270.288 2.926 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CCC(C(F)(F)F)CC2)nc1 ZINC001226732574 775941006 /nfs/dbraw/zinc/94/10/06/775941006.db2.gz WXYDMKXRQIPOJQ-UHFFFAOYSA-N 0 0 291.229 2.885 20 5 CFBDRN COc1ccc([C@H](C)Oc2ncc([N+](=O)[O-])cn2)c(F)c1 ZINC001226733208 775941857 /nfs/dbraw/zinc/94/18/57/775941857.db2.gz JXTGNMOFRIXAQS-QMMMGPOBSA-N 0 0 293.254 2.673 20 5 CFBDRN CCCC/C=C/[C@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733709 775943118 /nfs/dbraw/zinc/94/31/18/775943118.db2.gz SHSPJSUVUDHIFN-FGEFZZPRSA-N 0 0 251.286 2.899 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226749125 775945149 /nfs/dbraw/zinc/94/51/49/775945149.db2.gz QLRHGPZZFIGXCL-CPCISQLKSA-N 0 0 273.235 2.677 20 5 CFBDRN C[C@@H]1c2cnccc2C[C@@H]1Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226751400 775947242 /nfs/dbraw/zinc/94/72/42/775947242.db2.gz JYODSMBOXLEOKI-OQPBUACISA-N 0 0 289.266 2.631 20 5 CFBDRN COc1ccc([C@H](C)Oc2ncc([N+](=O)[O-])cc2C)cn1 ZINC001226762232 775952848 /nfs/dbraw/zinc/95/28/48/775952848.db2.gz RDRITKDITZSPFX-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H]1CCCC(=O)C1(C)C ZINC001226762779 775952906 /nfs/dbraw/zinc/95/29/06/775952906.db2.gz ABZBJYJBQGHXBP-LBPRGKRZSA-N 0 0 278.308 2.825 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226762920 775953209 /nfs/dbraw/zinc/95/32/09/775953209.db2.gz XZPIYVOJYTWKAT-SCZZXKLOSA-N 0 0 299.710 2.969 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H](C)c1ccncc1 ZINC001226761492 775953231 /nfs/dbraw/zinc/95/32/31/775953231.db2.gz IPSOQOGMUVGRSD-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@@H](Oc1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC001226764540 775954282 /nfs/dbraw/zinc/95/42/82/775954282.db2.gz KDEXKPGOJWFGOW-SNVBAGLBSA-N 0 0 251.282 2.789 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2Cc3ccccc3O2)c1 ZINC001226765610 775954447 /nfs/dbraw/zinc/95/44/47/775954447.db2.gz TUVWQYHCNJWFKI-CQSZACIVSA-N 0 0 271.272 2.977 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H]1CCCC[C@H]1F ZINC001226765724 775954608 /nfs/dbraw/zinc/95/46/08/775954608.db2.gz USZPFNHARWHMLX-GHMZBOCLSA-N 0 0 254.261 2.958 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1F)ncnc2OC1CCCC1 ZINC001226805512 775972176 /nfs/dbraw/zinc/97/21/76/775972176.db2.gz ZJFZAMAACRHCNN-UHFFFAOYSA-N 0 0 277.255 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1nc3ncccc3o1)C2 ZINC001226812317 775975401 /nfs/dbraw/zinc/97/54/01/775975401.db2.gz SAZATIRDALVICJ-LBPRGKRZSA-N 0 0 297.270 2.677 20 5 CFBDRN COc1cccc(NC2(C3CCOCC3)CC2)c1[N+](=O)[O-] ZINC001167743525 775976981 /nfs/dbraw/zinc/97/69/81/775976981.db2.gz IEVLZJYZKVZGEB-UHFFFAOYSA-N 0 0 292.335 2.975 20 5 CFBDRN Cc1cc(O[C@@H](C)c2ccccc2[N+](=O)[O-])n(C)n1 ZINC001226836427 775985188 /nfs/dbraw/zinc/98/51/88/775985188.db2.gz MNSWEDYXMAMPJW-JTQLQIEISA-N 0 0 261.281 2.777 20 5 CFBDRN Cc1cc(O[C@@H]2C=C(C)OC(=O)C2)ccc1[N+](=O)[O-] ZINC001226842595 775986881 /nfs/dbraw/zinc/98/68/81/775986881.db2.gz WVVQWIBWBNDULN-LLVKDONJSA-N 0 0 263.249 2.501 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1O[C@H]1CCCOC1 ZINC001226908078 776011941 /nfs/dbraw/zinc/01/19/41/776011941.db2.gz BWGMZUYTZKOOEG-ZETCQYMHSA-N 0 0 275.663 2.945 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1csc(Cl)n1 ZINC000692694480 776021488 /nfs/dbraw/zinc/02/14/88/776021488.db2.gz CMCKMKIFQMGURT-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H](C)c1ncccn1 ZINC001226968910 776038837 /nfs/dbraw/zinc/03/88/37/776038837.db2.gz JRNSPKULLLNXBI-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1c(C)cccc1[N+](=O)[O-] ZINC001226971983 776039923 /nfs/dbraw/zinc/03/99/23/776039923.db2.gz UUVSPDQKLCGKTF-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H]1CCCC2(C1)OCCO2 ZINC001226971310 776040058 /nfs/dbraw/zinc/04/00/58/776040058.db2.gz LSKSQYYTZXDXAO-LBPRGKRZSA-N 0 0 293.319 2.968 20 5 CFBDRN CC1=C(Oc2c(C)cccc2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001226971297 776040115 /nfs/dbraw/zinc/04/01/15/776040115.db2.gz LHKIXOYGKYVVCA-VIFPVBQESA-N 0 0 263.249 2.501 20 5 CFBDRN COc1cccc2sc(O[C@H](C[N+](=O)[O-])C3CC3)nc21 ZINC001226985066 776048268 /nfs/dbraw/zinc/04/82/68/776048268.db2.gz FXLOJCASDZJQBH-SNVBAGLBSA-N 0 0 294.332 2.739 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1OC[C@H]1CCO1 ZINC001226990643 776051656 /nfs/dbraw/zinc/05/16/56/776051656.db2.gz WXOVWHQNBYKYLU-MRVPVSSYSA-N 0 0 257.673 2.724 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC001226993021 776052990 /nfs/dbraw/zinc/05/29/90/776052990.db2.gz PKPIIGNJNFHAMD-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2C[C@H]3CC[C@@H]2C3)c1 ZINC001227044548 776070784 /nfs/dbraw/zinc/07/07/84/776070784.db2.gz ODBWHADXNRSKSV-OPQQBVKSSA-N 0 0 291.303 2.949 20 5 CFBDRN Cc1cn2c(n1)[C@H](Oc1nc(C)ccc1[N+](=O)[O-])CCC2 ZINC001227062838 776080860 /nfs/dbraw/zinc/08/08/60/776080860.db2.gz VJPJEEPAETUXKK-GFCCVEGCSA-N 0 0 288.307 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)C(=O)OCCC(C)C)n1 ZINC001227064239 776082410 /nfs/dbraw/zinc/08/24/10/776082410.db2.gz MGNPNXCOTIYWMH-LLVKDONJSA-N 0 0 296.323 2.655 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2[nH]c(=O)nc3ccc([N+](=O)[O-])cc32)C1 ZINC001227096336 776094974 /nfs/dbraw/zinc/09/49/74/776094974.db2.gz OUJSYMSUKCAICG-PSASIEDQSA-N 0 0 289.291 2.811 20 5 CFBDRN C[C@H]1CC[C@H](Oc2[nH]c(=O)nc3ccc([N+](=O)[O-])cc32)C1 ZINC001227096339 776095142 /nfs/dbraw/zinc/09/51/42/776095142.db2.gz OUJSYMSUKCAICG-WPRPVWTQSA-N 0 0 289.291 2.811 20 5 CFBDRN CC(C)C[C@H](C)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227108739 776099861 /nfs/dbraw/zinc/09/98/61/776099861.db2.gz OUFWEIUNHSNBHO-QMMMGPOBSA-N 0 0 269.257 2.711 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2CC3CCC2CC3)c([N+](=O)[O-])c1 ZINC001227109352 776101076 /nfs/dbraw/zinc/10/10/76/776101076.db2.gz XNQUPOBCNOCDCJ-SHVIVCPWSA-N 0 0 293.279 2.856 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CCCCC2)c([N+](=O)[O-])c1 ZINC001227109304 776102015 /nfs/dbraw/zinc/10/20/15/776102015.db2.gz VYHHCMDAUZOHKI-UHFFFAOYSA-N 0 0 267.241 2.610 20 5 CFBDRN CCC(CC)Oc1c(C(=O)OC)cccc1[N+](=O)[O-] ZINC001227121374 776106636 /nfs/dbraw/zinc/10/66/36/776106636.db2.gz ACWAUFGDMNWTIH-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN COc1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c(C)c1 ZINC001227138194 776111473 /nfs/dbraw/zinc/11/14/73/776111473.db2.gz XWCVKEBWRGTRQM-HNNXBMFYSA-N 0 0 288.303 2.795 20 5 CFBDRN COc1cccc(C)c1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001227164839 776121607 /nfs/dbraw/zinc/12/16/07/776121607.db2.gz MJKKOLJASPXUDG-CQSZACIVSA-N 0 0 288.303 2.795 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])cc1OC ZINC001227188129 776129039 /nfs/dbraw/zinc/12/90/39/776129039.db2.gz SBSCLCLHDTZNFE-NSHDSACASA-N 0 0 267.281 2.740 20 5 CFBDRN C[C@H](Oc1nc2ccc([N+](=O)[O-])cc2o1)C1CCOCC1 ZINC001227229179 776146967 /nfs/dbraw/zinc/14/69/67/776146967.db2.gz KUHVXUZNLKVWTO-VIFPVBQESA-N 0 0 292.291 2.930 20 5 CFBDRN Cc1cnc(OC2CCSCC2)c([N+](=O)[O-])c1 ZINC001227234525 776150079 /nfs/dbraw/zinc/15/00/79/776150079.db2.gz GNOXUYRROLLPJH-UHFFFAOYSA-N 0 0 254.311 2.573 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(Cl)nc1F)c1cccnc1 ZINC001227286168 776170300 /nfs/dbraw/zinc/17/03/00/776170300.db2.gz FYDDGTWCSZJVIB-SNVBAGLBSA-N 0 0 297.673 2.666 20 5 CFBDRN Cc1nc(Cl)nc(O[C@H]2C[C@H]3C=C[C@@H]2C3)c1[N+](=O)[O-] ZINC001227339925 776178454 /nfs/dbraw/zinc/17/84/54/776178454.db2.gz LXRUSGWCRWIQEZ-YIZRAAEISA-N 0 0 281.699 2.690 20 5 CFBDRN Cc1nc(Cl)nc(O[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC001227334654 776179225 /nfs/dbraw/zinc/17/92/25/776179225.db2.gz PCYUQBNNBIKFBH-XPUUQOCRSA-N 0 0 271.704 2.914 20 5 CFBDRN CC[C@H](C)Oc1ccc2c(c1)oc1cc(=O)ccc-1[n+]2[O-] ZINC001227448102 776206350 /nfs/dbraw/zinc/20/63/50/776206350.db2.gz AOZJMYCWZRPXTD-JTQLQIEISA-N 0 0 285.299 2.709 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2ccc(O)cc2c1)C1CC1 ZINC001227455280 776207452 /nfs/dbraw/zinc/20/74/52/776207452.db2.gz MDPRKBOEVOPNJF-HNNXBMFYSA-N 0 0 273.288 2.980 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2ccccc2[N+](=O)[O-])C1 ZINC001227479784 776210963 /nfs/dbraw/zinc/21/09/63/776210963.db2.gz KKBQTQLSYGIJGG-GHMZBOCLSA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC001227519976 776217547 /nfs/dbraw/zinc/21/75/47/776217547.db2.gz DZCZZNPLTMHNCA-JTQLQIEISA-N 0 0 251.282 2.789 20 5 CFBDRN CC1(C)[C@@H]2C[C@@H](Oc3ncc([N+](=O)[O-])c4nc[nH]c43)C[C@@H]21 ZINC001227691521 776259054 /nfs/dbraw/zinc/25/90/54/776259054.db2.gz PCBYKGXYZLZUJN-PSVAKVPMSA-N 0 0 288.307 2.680 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H]1CCC[N@@H+](C(C)C)C1 ZINC001227702375 776262597 /nfs/dbraw/zinc/26/25/97/776262597.db2.gz VWLRXIQDSLXBKJ-LBPRGKRZSA-N 0 0 294.351 2.855 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnccc1C(F)(F)F)C1CC1 ZINC001227709007 776263771 /nfs/dbraw/zinc/26/37/71/776263771.db2.gz BKSCTXPYWRQMSW-JTQLQIEISA-N 0 0 276.214 2.535 20 5 CFBDRN CC1(C)CC[C@@H](COc2nc3cc([N+](=O)[O-])ccc3[nH]2)O1 ZINC001227740865 776275879 /nfs/dbraw/zinc/27/58/79/776275879.db2.gz AXEYZXIJHIBUCI-JTQLQIEISA-N 0 0 291.307 2.808 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(OC3CCSCC3)nc2c1 ZINC001227746087 776277239 /nfs/dbraw/zinc/27/72/39/776277239.db2.gz QBKAZNMYIQGDNI-UHFFFAOYSA-N 0 0 279.321 2.746 20 5 CFBDRN CCCCOC(=O)[C@@H](C)OCc1cccc([N+](=O)[O-])c1 ZINC001222767977 776295413 /nfs/dbraw/zinc/29/54/13/776295413.db2.gz DULHBYSEWDJUGW-LLVKDONJSA-N 0 0 281.308 2.843 20 5 CFBDRN COC(=O)c1cccc(O[C@@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC001227891478 776318808 /nfs/dbraw/zinc/31/88/08/776318808.db2.gz ALVZNIDHICZZKQ-GXSJLCMTSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1cccc2c1N[C@H](c1ccccc1[N+](=O)[O-])NC2=O ZINC000027577976 776346044 /nfs/dbraw/zinc/34/60/44/776346044.db2.gz RUPSQCQKIFKOMU-AWEZNQCLSA-N 0 0 283.287 2.757 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@@H]2COCc3ccccc32)c1 ZINC001227986724 776350497 /nfs/dbraw/zinc/35/04/97/776350497.db2.gz CJSLHRHLEUUUIR-CQSZACIVSA-N 0 0 272.260 2.640 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@H]2COCc3ccccc32)c1 ZINC001227986723 776350680 /nfs/dbraw/zinc/35/06/80/776350680.db2.gz CJSLHRHLEUUUIR-AWEZNQCLSA-N 0 0 272.260 2.640 20 5 CFBDRN CC(C)C[C@@H](Oc1cncc([N+](=O)[O-])c1)C(=O)OC(C)C ZINC001227988175 776351158 /nfs/dbraw/zinc/35/11/58/776351158.db2.gz QAORGPIRMSFXNW-CYBMUJFWSA-N 0 0 296.323 2.735 20 5 CFBDRN C[C@H](Oc1cncc([N+](=O)[O-])c1)c1cccnc1F ZINC001227990263 776352295 /nfs/dbraw/zinc/35/22/95/776352295.db2.gz INBYMAAXPLEJQV-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CC1=C(Oc2c(Cl)cccc2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001228001115 776356417 /nfs/dbraw/zinc/35/64/17/776356417.db2.gz RVFDOPRMXCJLFE-SSDOTTSWSA-N 0 0 283.667 2.846 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cc([N+](=O)[O-])cc(F)c2F)CCO1 ZINC001228016923 776364177 /nfs/dbraw/zinc/36/41/77/776364177.db2.gz RPULUFSSGWYQJS-APPZFPTMSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cc([N+](=O)[O-])cc(F)c1F ZINC001228024769 776367299 /nfs/dbraw/zinc/36/72/99/776367299.db2.gz PFCJSRHLTVKBIO-SFYZADRCSA-N 0 0 273.235 2.677 20 5 CFBDRN CC(C)[N@@H+]1CC[C@@H](Oc2ccnc(Cl)c2[N+](=O)[O-])C1 ZINC001228058165 776379751 /nfs/dbraw/zinc/37/97/51/776379751.db2.gz RUOHVLGNPVGATE-SECBINFHSA-N 0 0 285.731 2.505 20 5 CFBDRN C[C@@H](Oc1nccc(=S)[nH]1)c1ccccc1[N+](=O)[O-] ZINC001228126574 776402084 /nfs/dbraw/zinc/40/20/84/776402084.db2.gz WDHZXEYAAFTQIF-MRVPVSSYSA-N 0 0 277.305 2.814 20 5 CFBDRN CC[C@@H](COc1ccc([N+](=O)[O-])c2cccnc12)OC ZINC001228139570 776406743 /nfs/dbraw/zinc/40/67/43/776406743.db2.gz SYEWDIPVJONTBQ-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN CCN1CC(Oc2cc(Cl)c([N+](=O)[O-])cc2Cl)C1 ZINC001228152464 776411156 /nfs/dbraw/zinc/41/11/56/776411156.db2.gz ADQVTBKGVQCXQK-UHFFFAOYSA-N 0 0 291.134 2.985 20 5 CFBDRN CCOCc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(OC)c1 ZINC001228169257 776415359 /nfs/dbraw/zinc/41/53/59/776415359.db2.gz DYOOKAWAGUZGRM-HNNXBMFYSA-N 0 0 295.335 2.666 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC[C@H]2COCO2)c(Cl)c1 ZINC001228198746 776420181 /nfs/dbraw/zinc/42/01/81/776420181.db2.gz PIXVMZOUPTXVJO-SSDOTTSWSA-N 0 0 294.090 2.653 20 5 CFBDRN Cc1cc(C)c(O[C@@H](C[N+](=O)[O-])C2CC2)c([N+](=O)[O-])c1 ZINC001228237915 776430846 /nfs/dbraw/zinc/43/08/46/776430846.db2.gz PWHLWVCJIOCODR-LBPRGKRZSA-N 0 0 280.280 2.646 20 5 CFBDRN O=c1nc2cc([N+](=O)[O-])ccc2c(OC2CCCCC2)[n-]1 ZINC001228242754 776431813 /nfs/dbraw/zinc/43/18/13/776431813.db2.gz RHIQHSSIDDUWDP-UHFFFAOYSA-N 0 0 289.291 2.955 20 5 CFBDRN CCOc1ccc(CO[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001223073433 776465430 /nfs/dbraw/zinc/46/54/30/776465430.db2.gz MFOUPBBHVCSWIX-CQSZACIVSA-N 0 0 265.309 2.657 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CO[C@@H]1C[C@H]2C[C@H]2C1 ZINC001223103566 776473341 /nfs/dbraw/zinc/47/33/41/776473341.db2.gz CDZJLRJOQGPZAL-DDFAGTSDSA-N 0 0 263.293 2.919 20 5 CFBDRN CO[C@@H](C)CCOc1c(C(C)=O)cc(C)cc1[N+](=O)[O-] ZINC001228452430 776494908 /nfs/dbraw/zinc/49/49/08/776494908.db2.gz JKCJPRXUCWPSJW-JTQLQIEISA-N 0 0 281.308 2.910 20 5 CFBDRN c1ccc2nc3c(cccc3OC[C@@H]3COCCO3)nc2c1 ZINC001228517576 776510497 /nfs/dbraw/zinc/51/04/97/776510497.db2.gz AJECCWXNBXGPGL-LBPRGKRZSA-N 0 0 296.326 2.577 20 5 CFBDRN Cc1nc(F)ccc1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228551406 776518095 /nfs/dbraw/zinc/51/80/95/776518095.db2.gz CSJHSJJFXXALOR-ZDUSSCGKSA-N 0 0 288.278 2.984 20 5 CFBDRN CC1=C(Oc2c(C)cc([N+](=O)[O-])cc2C)C(=O)O[C@@H]1C ZINC001228570641 776524563 /nfs/dbraw/zinc/52/45/63/776524563.db2.gz GHIAJMRNBKBDNZ-SNVBAGLBSA-N 0 0 277.276 2.810 20 5 CFBDRN COC(=O)C1CC(Oc2c(C)cc([N+](=O)[O-])cc2C)C1 ZINC001228572140 776525591 /nfs/dbraw/zinc/52/55/91/776525591.db2.gz XMHXFKFQZHWIJD-UHFFFAOYSA-N 0 0 279.292 2.542 20 5 CFBDRN CC(C)OCCOc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228610217 776538778 /nfs/dbraw/zinc/53/87/78/776538778.db2.gz RJHMGOHWTQKLEC-UHFFFAOYSA-N 0 0 261.224 2.677 20 5 CFBDRN Cc1ccccc1[C@@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228654916 776553190 /nfs/dbraw/zinc/55/31/90/776553190.db2.gz NVLWOGNBMGXEJX-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CC1(C)[C@@H]2CC[C@@]1(C)[C@H](Oc1ncncc1[N+](=O)[O-])C2 ZINC001228658536 776554809 /nfs/dbraw/zinc/55/48/09/776554809.db2.gz XQXKWIZAYATIBC-UDZFHETQSA-N 0 0 277.324 2.978 20 5 CFBDRN CC1(C)CCC[C@H](Oc2ncncc2[N+](=O)[O-])C1 ZINC001228656093 776555040 /nfs/dbraw/zinc/55/50/40/776555040.db2.gz ZBGPCHNZKPTYEA-VIFPVBQESA-N 0 0 251.286 2.732 20 5 CFBDRN O=[N+]([O-])c1cncnc1O[C@H](c1ccccc1)C1CC1 ZINC001228658563 776555109 /nfs/dbraw/zinc/55/51/09/776555109.db2.gz YGZCJJZJUPWKHG-CYBMUJFWSA-N 0 0 271.276 2.915 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CC[C@H](C)CC2)c1[N+](=O)[O-] ZINC001228685765 776568196 /nfs/dbraw/zinc/56/81/96/776568196.db2.gz KGMTUFJKKMOESU-CZMCAQCFSA-N 0 0 266.297 2.961 20 5 CFBDRN CC[C@H](C)[C@H](C)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228689566 776569467 /nfs/dbraw/zinc/56/94/67/776569467.db2.gz UHXISSDIDVDWQH-CBAPKCEASA-N 0 0 254.286 2.817 20 5 CFBDRN CC1=C(Oc2ccc([N+](=O)[O-])c(Cl)c2)C(=O)O[C@H]1C ZINC001228759006 776600385 /nfs/dbraw/zinc/60/03/85/776600385.db2.gz QDFIRMHLIWCVFP-ZETCQYMHSA-N 0 0 283.667 2.846 20 5 CFBDRN COC(=O)c1cc(O[C@H]2C[C@H]3C=C[C@@H]2C3)cc([N+](=O)[O-])c1 ZINC001228772494 776604736 /nfs/dbraw/zinc/60/47/36/776604736.db2.gz XDTHFXDIZIABSZ-RBZYPMLTSA-N 0 0 289.287 2.725 20 5 CFBDRN Cc1cc(O[C@@H](C)c2ncccn2)cc([N+](=O)[O-])c1 ZINC001228833480 776625942 /nfs/dbraw/zinc/62/59/42/776625942.db2.gz LUDJALGDZYWXJN-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@@H](COc1ccccc1)Oc1cnccc1[N+](=O)[O-] ZINC001228880306 776645015 /nfs/dbraw/zinc/64/50/15/776645015.db2.gz SUTGJYLILSWMGW-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN C[C@H](Oc1cnccc1[N+](=O)[O-])c1ncccc1F ZINC001228883888 776645366 /nfs/dbraw/zinc/64/53/66/776645366.db2.gz ZOSJRCMNKUEEQY-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H](Oc1cccc2c1CNC2=O)c1ccccc1[N+](=O)[O-] ZINC001228901830 776651150 /nfs/dbraw/zinc/65/11/50/776651150.db2.gz QVCBAJRUPSHYTH-JTQLQIEISA-N 0 0 298.298 2.978 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C ZINC001228919894 776657135 /nfs/dbraw/zinc/65/71/35/776657135.db2.gz BRCPCRKFXBAMBH-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2ccc([N+](=O)[O-])cc2C)C1(C)C ZINC001228920750 776657271 /nfs/dbraw/zinc/65/72/71/776657271.db2.gz IXGQFRLMYZJMED-AAEUAGOBSA-N 0 0 293.319 2.870 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C)OC ZINC001228916737 776657646 /nfs/dbraw/zinc/65/76/46/776657646.db2.gz ZLTCTVIFHFUAIZ-SNVBAGLBSA-N 0 0 269.297 2.680 20 5 CFBDRN CCOCC(COCC)Oc1ccc([N+](=O)[O-])cc1C ZINC001228915245 776657950 /nfs/dbraw/zinc/65/79/50/776657950.db2.gz AGSMXXTXFSLWGP-UHFFFAOYSA-N 0 0 283.324 2.724 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1C ZINC001228920720 776658067 /nfs/dbraw/zinc/65/80/67/776658067.db2.gz HPMVQGCWUVKEQZ-YPMHNXCESA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@H](C)c1cnccn1 ZINC001228921169 776658766 /nfs/dbraw/zinc/65/87/66/776658766.db2.gz KOIZJZAFOVMJBQ-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cccc(C(F)(F)F)c1OC[C@H]1CCO1 ZINC001228929526 776660517 /nfs/dbraw/zinc/66/05/17/776660517.db2.gz DLZFYIRTNKWLGI-SSDOTTSWSA-N 0 0 277.198 2.781 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1OCCOC(C)C ZINC001228970474 776672663 /nfs/dbraw/zinc/67/26/63/776672663.db2.gz IUJNNXWSNLAHBT-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN CC1CCC(Oc2cc(O)cc([O-])c2[N+](=O)[O-])CC1 ZINC001228969887 776672942 /nfs/dbraw/zinc/67/29/42/776672942.db2.gz DKUOHAJZWABAGL-UHFFFAOYSA-N 0 0 267.281 2.964 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@H]1CCO[C@H](C)C1 ZINC001228977322 776674156 /nfs/dbraw/zinc/67/41/56/776674156.db2.gz NIKVTZZPRWHPRI-KOLCDFICSA-N 0 0 279.292 2.744 20 5 CFBDRN CC[C@@H](COC)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228972276 776674302 /nfs/dbraw/zinc/67/43/02/776674302.db2.gz YDMDKIXYQIXKMG-JTQLQIEISA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1cc(NC=N)c([N+](=O)[O-])cc1Br ZINC001167868681 776687996 /nfs/dbraw/zinc/68/79/96/776687996.db2.gz YAWIVCPLHALTRM-UHFFFAOYSA-N 0 0 258.075 2.685 20 5 CFBDRN CCC[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(=O)OC ZINC001229056531 776702678 /nfs/dbraw/zinc/70/26/78/776702678.db2.gz PDQRFVRRNYRULD-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CC[C@H](C)C1 ZINC001229056884 776704348 /nfs/dbraw/zinc/70/43/48/776704348.db2.gz WTGRVALLJXOSCS-GXSJLCMTSA-N 0 0 279.292 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCO2)cc1Br ZINC001229082088 776710772 /nfs/dbraw/zinc/71/07/72/776710772.db2.gz ZUIDTSCFSDCMHB-MRVPVSSYSA-N 0 0 288.097 2.525 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(OC[C@H]2CCCCO2)cc1 ZINC001229114272 776723021 /nfs/dbraw/zinc/72/30/21/776723021.db2.gz IEFSBJDDVXOTGD-MYSGNRETSA-N 0 0 263.293 2.882 20 5 CFBDRN CO[C@H](C)CCOc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229117238 776724178 /nfs/dbraw/zinc/72/41/78/776724178.db2.gz ZWSSVOIJIQQYCL-MXMFLMJRSA-N 0 0 251.282 2.738 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ccc(/C=C/[N+](=O)[O-])cc2)CCO1 ZINC001229117219 776724350 /nfs/dbraw/zinc/72/43/50/776724350.db2.gz ZQFGJANGMMSEML-PFEQHQSHSA-N 0 0 263.293 2.880 20 5 CFBDRN CO[C@@H](C)CCOc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229117237 776724386 /nfs/dbraw/zinc/72/43/86/776724386.db2.gz ZWSSVOIJIQQYCL-DJYGCBNOSA-N 0 0 251.282 2.738 20 5 CFBDRN CO[C@@H](C)CCOc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229131647 776726882 /nfs/dbraw/zinc/72/68/82/776726882.db2.gz MHSBUJVMUZBSHK-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN CC[C@H](COC)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229139372 776730655 /nfs/dbraw/zinc/73/06/55/776730655.db2.gz YCKCHUCTBZMASB-SNVBAGLBSA-N 0 0 267.281 2.601 20 5 CFBDRN CC(=O)c1cccc(O[C@@H]2CCCCC2=O)c1[N+](=O)[O-] ZINC001229139312 776730950 /nfs/dbraw/zinc/73/09/50/776730950.db2.gz WSIDCCFUQAQVKT-GFCCVEGCSA-N 0 0 277.276 2.688 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(=O)CC ZINC001229178314 776745763 /nfs/dbraw/zinc/74/57/63/776745763.db2.gz UTPALJJLPCEJIA-VIFPVBQESA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1COc2cnccc21 ZINC001229202601 776750557 /nfs/dbraw/zinc/75/05/57/776750557.db2.gz ZQAVJKVZBPQOQB-CYBMUJFWSA-N 0 0 290.250 2.950 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229202131 776750911 /nfs/dbraw/zinc/75/09/11/776750911.db2.gz VOCFFBBHNSDVLL-LLVKDONJSA-N 0 0 285.271 2.763 20 5 CFBDRN COCc1ccccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001229253279 776765844 /nfs/dbraw/zinc/76/58/44/776765844.db2.gz WIFXJLQCXTVJFN-HNNXBMFYSA-N 0 0 288.303 2.625 20 5 CFBDRN CCO[C@H](C)COc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229344596 776784757 /nfs/dbraw/zinc/78/47/57/776784757.db2.gz BNIQHPOEIIMUHJ-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CC(C)OCCOc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229342554 776785198 /nfs/dbraw/zinc/78/51/98/776785198.db2.gz BUIOJJUFDNADFM-UHFFFAOYSA-N 0 0 276.292 2.947 20 5 CFBDRN CC(=O)c1cc(C)cc(C)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001229362631 776790873 /nfs/dbraw/zinc/79/08/73/776790873.db2.gz NRFKTJQBIAZCMQ-CQSZACIVSA-N 0 0 277.320 2.940 20 5 CFBDRN O=c1[nH]nc(O[C@@H]2C=CCCC2)c2cc([N+](=O)[O-])ccc12 ZINC001229364655 776791343 /nfs/dbraw/zinc/79/13/43/776791343.db2.gz KHUKYOLNILZPNX-SNVBAGLBSA-N 0 0 287.275 2.731 20 5 CFBDRN CCOC(=O)C1CC(Oc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC001229378209 776794838 /nfs/dbraw/zinc/79/48/38/776794838.db2.gz SYSHXSHOKLOMKI-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN CCC1CCC(Oc2cc[n+]([O-])cc2[N+](=O)[O-])CC1 ZINC001229452867 776817015 /nfs/dbraw/zinc/81/70/15/776817015.db2.gz XZHVNUUZQMAXMD-UHFFFAOYSA-N 0 0 266.297 2.576 20 5 CFBDRN CC1(C)[C@H]2CC[C@]1(C)[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])C2 ZINC001229452872 776817532 /nfs/dbraw/zinc/81/75/32/776817532.db2.gz YEQBVHDHWIEJPN-PSOPSSQASA-N 0 0 292.335 2.822 20 5 CFBDRN Cc1cc(O[C@H]2C(=O)OCC2(C)C)cc(C)c1[N+](=O)[O-] ZINC001229465280 776819930 /nfs/dbraw/zinc/81/99/30/776819930.db2.gz BJBBNYBHCIWXRG-LBPRGKRZSA-N 0 0 279.292 2.542 20 5 CFBDRN C[C@H](Oc1nc2cc([N+](=O)[O-])ccc2o1)c1ncccn1 ZINC001229484626 776827420 /nfs/dbraw/zinc/82/74/20/776827420.db2.gz OFICOZKFZKHXNS-QMMMGPOBSA-N 0 0 286.247 2.666 20 5 CFBDRN Cc1cc(O)c(OC[C@@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC001229750611 776887355 /nfs/dbraw/zinc/88/73/55/776887355.db2.gz OUGFSIRLXUGKHT-JTQLQIEISA-N 0 0 265.265 2.680 20 5 CFBDRN Cc1ccccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225219621 776896012 /nfs/dbraw/zinc/89/60/12/776896012.db2.gz UOQLKGUQAAQRQR-AWEZNQCLSA-N 0 0 258.277 2.787 20 5 CFBDRN COc1nc(C)cc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001229822386 776898332 /nfs/dbraw/zinc/89/83/32/776898332.db2.gz BXXSPIXIFQCPHB-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN Cc1cc2ccc(O[C@@H](C[N+](=O)[O-])C3CC3)cc2[nH]1 ZINC001229827639 776899473 /nfs/dbraw/zinc/89/94/73/776899473.db2.gz GHMXQAXHZWLZEH-AWEZNQCLSA-N 0 0 260.293 2.910 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2ccc([N+](=O)[O-])c(C)c2C)C1 ZINC001229881574 776909284 /nfs/dbraw/zinc/90/92/84/776909284.db2.gz ZGZBGTUBCVZLHM-WGRBQBNCSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@@H]1C(=O)OCC1(C)C ZINC001229881716 776909340 /nfs/dbraw/zinc/90/93/40/776909340.db2.gz GUMNCBYNTWBZOL-GFCCVEGCSA-N 0 0 279.292 2.542 20 5 CFBDRN COC(=O)C1(C)CC(Oc2ccc([N+](=O)[O-])c(C)c2C)C1 ZINC001229881573 776909830 /nfs/dbraw/zinc/90/98/30/776909830.db2.gz ZGZBGTUBCVZLHM-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2C=C(C)OC(=O)C2)cc1F ZINC001229895202 776913126 /nfs/dbraw/zinc/91/31/26/776913126.db2.gz QQWWDFXNKBYMDM-SECBINFHSA-N 0 0 281.239 2.640 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])nc1Cl)C1CCOCC1 ZINC001229915151 776917654 /nfs/dbraw/zinc/91/76/54/776917654.db2.gz KWUUGZQGZCPDIB-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN Cc1cc(=O)oc2c1ccc(OC1CCC1)c2[N+](=O)[O-] ZINC001229943629 776921248 /nfs/dbraw/zinc/92/12/48/776921248.db2.gz MVENHMHASLOKEK-UHFFFAOYSA-N 0 0 275.260 2.941 20 5 CFBDRN Cc1cc(=O)oc2c1ccc(OC1CC1)c2[N+](=O)[O-] ZINC001229947044 776921485 /nfs/dbraw/zinc/92/14/85/776921485.db2.gz VERZIJYCPURRPF-UHFFFAOYSA-N 0 0 261.233 2.551 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCc3nccn3C2)ccc1Cl ZINC001229964829 776925864 /nfs/dbraw/zinc/92/58/64/776925864.db2.gz WDLYTYFXNIEDLC-SNVBAGLBSA-N 0 0 293.710 2.839 20 5 CFBDRN CCOC(=O)[C@@H](Oc1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC001229963964 776925886 /nfs/dbraw/zinc/92/58/86/776925886.db2.gz MSRDSQSPSUYCNL-LBPRGKRZSA-N 0 0 299.710 2.969 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229963690 776925902 /nfs/dbraw/zinc/92/59/02/776925902.db2.gz LINQTUBHOLXPCK-WAAGHKOSSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2ccc(C)cc2[N+](=O)[O-])C1(C)C ZINC001230146660 776955232 /nfs/dbraw/zinc/95/52/32/776955232.db2.gz SXPBWYOWQRTABV-GWCFXTLKSA-N 0 0 293.319 2.870 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1ccc(C)cc1[N+](=O)[O-] ZINC001230145322 776955257 /nfs/dbraw/zinc/95/52/57/776955257.db2.gz DDUXFYLGEIGAAE-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCC(F)(F)C(F)F)c1 ZINC000311373226 776964061 /nfs/dbraw/zinc/96/40/61/776964061.db2.gz SFTAKHQITIGFIF-UHFFFAOYSA-N 0 0 281.161 2.687 20 5 CFBDRN O=[N+]([O-])c1ccccc1CS(=O)(=O)c1ccc(F)cc1 ZINC000084429024 776974627 /nfs/dbraw/zinc/97/46/27/776974627.db2.gz AENSIJRQMBKLSQ-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@@H](Oc2c(Cl)nccc2[N+](=O)[O-])C1 ZINC001225432282 776986301 /nfs/dbraw/zinc/98/63/01/776986301.db2.gz BMBHDORXFVKNLW-SNVBAGLBSA-N 0 0 299.758 2.895 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001225431058 776986375 /nfs/dbraw/zinc/98/63/75/776986375.db2.gz LDPWBJRXNBKWNC-RNLVFQAGSA-N 0 0 254.673 2.821 20 5 CFBDRN CCc1cccc(O)c1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001230371088 776987168 /nfs/dbraw/zinc/98/71/68/776987168.db2.gz BVWMBRWTCBRDPB-CQSZACIVSA-N 0 0 288.303 2.746 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2cc(C)c(C)c([N+](=O)[O-])c2)C1 ZINC001230471852 776996257 /nfs/dbraw/zinc/99/62/57/776996257.db2.gz SOOSNHQIMYMGMR-UMSPYCQHSA-N 0 0 279.292 2.542 20 5 CFBDRN CC(=O)N1CCC(Oc2cc(C)c(C)c([N+](=O)[O-])c2)CC1 ZINC001230471589 776996497 /nfs/dbraw/zinc/99/64/97/776996497.db2.gz LJCSPHGREOILKQ-UHFFFAOYSA-N 0 0 292.335 2.601 20 5 CFBDRN Cc1cc(O[C@@H]2CCOC[C@@H]2F)cc([N+](=O)[O-])c1C ZINC001230477939 776997409 /nfs/dbraw/zinc/99/74/09/776997409.db2.gz QLRBJNRDYOOCLX-WCQYABFASA-N 0 0 269.272 2.717 20 5 CFBDRN CC1=C(Oc2cc(C)c(C)c([N+](=O)[O-])c2)C(=O)O[C@H]1C ZINC001230477201 776997659 /nfs/dbraw/zinc/99/76/59/776997659.db2.gz JMAVLMCMDWFUSS-JTQLQIEISA-N 0 0 277.276 2.810 20 5 CFBDRN Cc1cc(O[C@H]2CCOC[C@H]2F)cc([N+](=O)[O-])c1C ZINC001230477940 776997713 /nfs/dbraw/zinc/99/77/13/776997713.db2.gz QLRBJNRDYOOCLX-YPMHNXCESA-N 0 0 269.272 2.717 20 5 CFBDRN CC[C@H](COc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-])OC ZINC001230493660 776999805 /nfs/dbraw/zinc/99/98/05/776999805.db2.gz IUBHDDSBLXAMDM-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN CCOC[C@@H](C)Oc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492699 776999942 /nfs/dbraw/zinc/99/99/42/776999942.db2.gz SUUYQYYNCUSEIT-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN C[C@@H](Oc1cnc(CF)nc1)c1ccccc1[N+](=O)[O-] ZINC001225539101 777008518 /nfs/dbraw/zinc/00/85/18/777008518.db2.gz GLGNFKNKCITYFC-SECBINFHSA-N 0 0 277.255 2.994 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@@H]1C=C(C)OC(=O)C1 ZINC001230723327 777050399 /nfs/dbraw/zinc/05/03/99/777050399.db2.gz INOIBZPDYAVDEI-LLVKDONJSA-N 0 0 277.276 2.810 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2[nH]ccc2c1F)C1CC1 ZINC001230771450 777060520 /nfs/dbraw/zinc/06/05/20/777060520.db2.gz DZLUARPWJJBGOG-GFCCVEGCSA-N 0 0 264.256 2.741 20 5 CFBDRN CC(=O)Cc1c(F)c(OC2CCC2)ccc1[N+](=O)[O-] ZINC001230794660 777066196 /nfs/dbraw/zinc/06/61/96/777066196.db2.gz QVHNLRBYBVSXSS-UHFFFAOYSA-N 0 0 267.256 2.797 20 5 CFBDRN O=C1CCC(Oc2ccc(Cl)nc2[N+](=O)[O-])CC1 ZINC001230871286 777078211 /nfs/dbraw/zinc/07/82/11/777078211.db2.gz BRNLBQGYZJOXDQ-UHFFFAOYSA-N 0 0 270.672 2.534 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1OC1CC(F)(F)C1 ZINC001230879123 777079738 /nfs/dbraw/zinc/07/97/38/777079738.db2.gz MQWRGJPQVAOLSK-UHFFFAOYSA-N 0 0 264.615 2.820 20 5 CFBDRN C[C@H]1C[C@H](Oc2ccc(Cl)nc2[N+](=O)[O-])CCO1 ZINC001230878761 777079941 /nfs/dbraw/zinc/07/99/41/777079941.db2.gz HYKRXOYVLZPWFI-JGVFFNPUSA-N 0 0 272.688 2.590 20 5 CFBDRN CCOC(=O)[C@H](Oc1cccc(Cl)c1[N+](=O)[O-])C1CC1 ZINC001230915150 777092583 /nfs/dbraw/zinc/09/25/83/777092583.db2.gz NLEZYMBRXIFJED-GFCCVEGCSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccc(C3CC3)nn1)C2 ZINC001231021860 777117166 /nfs/dbraw/zinc/11/71/66/777117166.db2.gz GGTRLFHEEDUPDC-CQSZACIVSA-N 0 0 297.314 2.808 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@@H]1C=C(C)OC(=O)C1 ZINC001231059670 777128732 /nfs/dbraw/zinc/12/87/32/777128732.db2.gz JIGOPDSLSQJEAU-LLVKDONJSA-N 0 0 277.276 2.810 20 5 CFBDRN C[C@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])c1cccnc1Cl ZINC001225667655 777157461 /nfs/dbraw/zinc/15/74/61/777157461.db2.gz VMUSVESLBWBOJP-ZETCQYMHSA-N 0 0 295.682 2.884 20 5 CFBDRN CCO[C@H](C)COc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231156253 777159543 /nfs/dbraw/zinc/15/95/43/777159543.db2.gz JLNAHHFHBVJZPE-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CCOC[C@@H](C)Oc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231155867 777159592 /nfs/dbraw/zinc/15/95/92/777159592.db2.gz FHTVKRVTSVSZJU-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN C[C@H](O)C(=O)Oc1ccc(-c2ccccc2[N+](=O)[O-])cc1 ZINC001231252839 777176676 /nfs/dbraw/zinc/17/66/76/777176676.db2.gz HMAQJTZAROCHMZ-JTQLQIEISA-N 0 0 287.271 2.548 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccc(N=O)cc1 ZINC001231288318 777185726 /nfs/dbraw/zinc/18/57/26/777185726.db2.gz GAMCJDKIWLYJFV-VIFPVBQESA-N 0 0 290.279 2.965 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@@H]1C=CCC1 ZINC000711761211 777234591 /nfs/dbraw/zinc/23/45/91/777234591.db2.gz SPWKSWTWZZEZEP-LLVKDONJSA-N 0 0 269.304 2.943 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)C[C@H]1CCCCC1(C)C ZINC001269827441 777254849 /nfs/dbraw/zinc/25/48/49/777254849.db2.gz HPXZERJIYMQAOP-SNVBAGLBSA-N 0 0 294.355 2.873 20 5 CFBDRN Cc1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc1F ZINC001225774868 777266644 /nfs/dbraw/zinc/26/66/44/777266644.db2.gz NMZAFFAQLONUQP-CQSZACIVSA-N 0 0 276.267 2.926 20 5 CFBDRN CN1CCc2c(NCC(F)(F)F)ccc([N+](=O)[O-])c2C1 ZINC001161632942 777320081 /nfs/dbraw/zinc/32/00/81/777320081.db2.gz UTADCUBLJVQYQI-UHFFFAOYSA-N 0 0 289.257 2.557 20 5 CFBDRN CCOC(=O)c1cccc([N+](=O)[O-])c1NCC(C)C ZINC001161648483 777418132 /nfs/dbraw/zinc/41/81/32/777418132.db2.gz JTZOAMAAYHSDAU-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(OC[C@H]2CCC=CO2)c1 ZINC001226265545 777490306 /nfs/dbraw/zinc/49/03/06/777490306.db2.gz KNBJIXNYLLUAOM-SNVBAGLBSA-N 0 0 253.229 2.805 20 5 CFBDRN CC(=O)c1ccc(O[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC001226295566 777498161 /nfs/dbraw/zinc/49/81/61/777498161.db2.gz WXEDFLGFNBPXRR-RNCFNFMXSA-N 0 0 279.292 2.601 20 5 CFBDRN CC(C)(C)CCNc1ncnc2[nH]cc([N+](=O)[O-])c21 ZINC001161673866 777508879 /nfs/dbraw/zinc/50/88/79/777508879.db2.gz PHCWGAACMZLOEF-UHFFFAOYSA-N 0 0 263.301 2.666 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1OC[C@H]1CCC=CO1 ZINC001226366328 777518495 /nfs/dbraw/zinc/51/84/95/777518495.db2.gz KJQQAPVMONTNQM-MRVPVSSYSA-N 0 0 270.672 2.715 20 5 CFBDRN CC[C@H](C)Nc1ccc([N+](=O)[O-])c(C)c1C(=O)OC ZINC001168003680 777567835 /nfs/dbraw/zinc/56/78/35/777567835.db2.gz PTJLRHWMJKUKQH-QMMMGPOBSA-N 0 0 266.297 2.900 20 5 CFBDRN CC[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)c1C(=O)OC ZINC001168003679 777568396 /nfs/dbraw/zinc/56/83/96/777568396.db2.gz PTJLRHWMJKUKQH-MRVPVSSYSA-N 0 0 266.297 2.900 20 5 CFBDRN C[C@@H](COC(C)(C)C)Oc1ccc([N+](=O)[O-])nc1 ZINC001226709657 777612936 /nfs/dbraw/zinc/61/29/36/777612936.db2.gz GAOIAXYPDJJJOE-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cn1)c1cccc(F)c1 ZINC001226731467 777627099 /nfs/dbraw/zinc/62/70/99/777627099.db2.gz NUBYYRJELQLYMM-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2c(c1)CCCC2)C1CC1 ZINC001226760114 777634485 /nfs/dbraw/zinc/63/44/85/777634485.db2.gz DLDCBMABPXZCID-HNNXBMFYSA-N 0 0 261.321 3.000 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H](C)c1cccnc1 ZINC001226762438 777636104 /nfs/dbraw/zinc/63/61/04/777636104.db2.gz UUAWFNSZCDNIMZ-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1ccc([N+](=O)[O-])c(C)c1 ZINC001226844562 777669900 /nfs/dbraw/zinc/66/99/00/777669900.db2.gz YSSFZRGILDQMDK-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H]1CCOC[C@H]1C ZINC001226970620 777712868 /nfs/dbraw/zinc/71/28/68/777712868.db2.gz ASMHZZLNOUVJLB-ZYHUDNBSSA-N 0 0 251.282 2.707 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1CCC=CO1 ZINC001227184951 777785292 /nfs/dbraw/zinc/78/52/92/777785292.db2.gz LRFBISBFRQWUSF-NSHDSACASA-N 0 0 265.265 2.675 20 5 CFBDRN COC(=O)c1ccc(O[C@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001227294598 777801889 /nfs/dbraw/zinc/80/18/89/777801889.db2.gz FPFUEGPLJCWYRH-ONGXEEELSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC001227337371 777816521 /nfs/dbraw/zinc/81/65/21/777816521.db2.gz JCVHTWJTFQRZTG-ZCFIWIBFSA-N 0 0 293.657 2.913 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc(Cl)n1)c1cccnc1 ZINC001227930878 777963420 /nfs/dbraw/zinc/96/34/20/777963420.db2.gz UGAMYPHFLFNSFA-JTQLQIEISA-N 0 0 279.683 2.527 20 5 CFBDRN CC(=O)c1cc(OC[C@@H]2CCC=CO2)ccc1[N+](=O)[O-] ZINC001233496684 777968357 /nfs/dbraw/zinc/96/83/57/777968357.db2.gz ZFKJKGPNBQYUMB-LBPRGKRZSA-N 0 0 277.276 2.869 20 5 CFBDRN CC(=O)c1cc(O[C@@H]2CCn3ccnc32)ccc1[N+](=O)[O-] ZINC001233496567 777968897 /nfs/dbraw/zinc/96/88/97/777968897.db2.gz WXVKBKWFAJRAML-CYBMUJFWSA-N 0 0 287.275 2.518 20 5 CFBDRN CC(=O)c1cc(OC[C@@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC001233496548 777969348 /nfs/dbraw/zinc/96/93/48/777969348.db2.gz WEGKDCJWDUGMGN-CABZTGNLSA-N 0 0 279.292 2.744 20 5 CFBDRN CC(=O)c1cc(O[C@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC001233501162 777972339 /nfs/dbraw/zinc/97/23/39/777972339.db2.gz XAJWAIVMGZTKJI-OTYXRUKQSA-N 0 0 279.292 2.601 20 5 CFBDRN CC[C@@H](COC)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233504144 777972463 /nfs/dbraw/zinc/97/24/63/777972463.db2.gz YYENJOHZWZPIPG-JTQLQIEISA-N 0 0 267.281 2.601 20 5 CFBDRN CC[C@H](COc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1)OC ZINC001233505898 777974020 /nfs/dbraw/zinc/97/40/20/777974020.db2.gz JOQFOHXEWBKEAL-SSDOTTSWSA-N 0 0 299.714 2.928 20 5 CFBDRN C[C@@H](Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-])C1CC1 ZINC001233573066 777996138 /nfs/dbraw/zinc/99/61/38/777996138.db2.gz LBTZKUFYWMWMFL-SSDOTTSWSA-N 0 0 252.226 2.680 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])cc(F)c1F)c1ncccn1 ZINC001228025465 777997201 /nfs/dbraw/zinc/99/72/01/777997201.db2.gz SIISUAXSMLBREN-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=C1CCC(Oc2cc(F)c([N+](=O)[O-])cc2F)CC1 ZINC001233597956 778006460 /nfs/dbraw/zinc/00/64/60/778006460.db2.gz RQDYSOYNCFEFCE-UHFFFAOYSA-N 0 0 271.219 2.764 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@H]2CCCCO2)cc1F ZINC001233599866 778006481 /nfs/dbraw/zinc/00/64/81/778006481.db2.gz NAISSHPVLDYSBF-MRVPVSSYSA-N 0 0 273.235 2.821 20 5 CFBDRN Cc1noc2cccc(O[C@H](C[N+](=O)[O-])C3CC3)c12 ZINC001233666541 778031717 /nfs/dbraw/zinc/03/17/17/778031717.db2.gz DNLLNJRXYGQXRQ-GFCCVEGCSA-N 0 0 262.265 2.570 20 5 CFBDRN COCCN(CCF)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000697075754 778040791 /nfs/dbraw/zinc/04/07/91/778040791.db2.gz SZMYFPAMJMAOOT-UHFFFAOYSA-N 0 0 290.722 2.666 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233698354 778044351 /nfs/dbraw/zinc/04/43/51/778044351.db2.gz NVNWJDYNWAEFJQ-WRWORJQWSA-N 0 0 273.235 2.677 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1 ZINC001233701793 778047982 /nfs/dbraw/zinc/04/79/82/778047982.db2.gz GXMCQQTXOFPNNE-VIFPVBQESA-N 0 0 284.268 2.697 20 5 CFBDRN CC(C)(C)N1CC(Oc2cc(Cl)cnc2[N+](=O)[O-])C1 ZINC001233713221 778051634 /nfs/dbraw/zinc/05/16/34/778051634.db2.gz PULAEKULMPNQQW-UHFFFAOYSA-N 0 0 285.731 2.505 20 5 CFBDRN Cc1c([C@H](C)Oc2cc(Cl)cnc2[N+](=O)[O-])cnn1C ZINC001233713634 778051973 /nfs/dbraw/zinc/05/19/73/778051973.db2.gz UWGGAZGSSWQSAF-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN O=C1CCC(Oc2cc(Cl)cnc2[N+](=O)[O-])CC1 ZINC001233712284 778052533 /nfs/dbraw/zinc/05/25/33/778052533.db2.gz FSHPCJGSDNBYJQ-UHFFFAOYSA-N 0 0 270.672 2.534 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nccc2c(F)cccc21)C1CC1 ZINC001233719886 778053886 /nfs/dbraw/zinc/05/38/86/778053886.db2.gz FOCBFBPMFCVZAL-CYBMUJFWSA-N 0 0 276.267 2.808 20 5 CFBDRN CCO[C@H](C)COc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC001233746204 778062541 /nfs/dbraw/zinc/06/25/41/778062541.db2.gz VEIMMVYSXPLAQI-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN CC(C)OCCOc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233778049 778074356 /nfs/dbraw/zinc/07/43/56/778074356.db2.gz FKKLCUAOKFJVMO-UHFFFAOYSA-N 0 0 276.292 2.947 20 5 CFBDRN CCOC[C@@H](C)Oc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233836083 778090002 /nfs/dbraw/zinc/09/00/02/778090002.db2.gz HIRAMMQAVAOODK-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CC(C)OCCOc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233835695 778091343 /nfs/dbraw/zinc/09/13/43/778091343.db2.gz CJIOFNURDMLNAD-UHFFFAOYSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(OC3CC4(COC4)C3)nc21 ZINC001233837465 778092819 /nfs/dbraw/zinc/09/28/19/778092819.db2.gz YMONUAMQARFADM-UHFFFAOYSA-N 0 0 286.287 2.701 20 5 CFBDRN CC(C)Oc1cncc(COc2ccnc([N+](=O)[O-])c2)c1 ZINC001233858812 778096730 /nfs/dbraw/zinc/09/67/30/778096730.db2.gz FJAXLSMCCNFRDX-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN O=[N+]([O-])c1cc(OC2CCC(F)(F)CC2)ccn1 ZINC001233858343 778097534 /nfs/dbraw/zinc/09/75/34/778097534.db2.gz UXPVOLNEDJMPJZ-UHFFFAOYSA-N 0 0 258.224 2.947 20 5 CFBDRN Cc1cccc(NCC2(F)CCOCC2)c1[N+](=O)[O-] ZINC001168123928 778100924 /nfs/dbraw/zinc/10/09/24/778100924.db2.gz LNXLWDRUOHOWAM-UHFFFAOYSA-N 0 0 268.288 2.834 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1NCC1(F)CCOCC1 ZINC001168125460 778108354 /nfs/dbraw/zinc/10/83/54/778108354.db2.gz ZKOYCYRWTNKUCC-UHFFFAOYSA-N 0 0 289.694 2.574 20 5 CFBDRN COC(=O)c1ccc(O[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC001234051795 778153920 /nfs/dbraw/zinc/15/39/20/778153920.db2.gz QIHIABAOMKJNKG-UWVGGRQHSA-N 0 0 279.292 2.949 20 5 CFBDRN CC[C@@H](C)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC001234053055 778154160 /nfs/dbraw/zinc/15/41/60/778154160.db2.gz WJLHCWVGTIZTML-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cc([N+](=O)[O-])c(C)cc2F)C1 ZINC001234059990 778155064 /nfs/dbraw/zinc/15/50/64/778155064.db2.gz MVTUOJJRDAXKGT-ZJUUUORDSA-N 0 0 297.282 2.763 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234062142 778156100 /nfs/dbraw/zinc/15/61/00/778156100.db2.gz YIVBEURCPQDRRC-SKDRFNHKSA-N 0 0 297.282 2.763 20 5 CFBDRN CC(C)(C)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cn1 ZINC001234176349 778180547 /nfs/dbraw/zinc/18/05/47/778180547.db2.gz GXARXTSNAZWTPO-LBPRGKRZSA-N 0 0 264.325 2.813 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC001228758930 778193706 /nfs/dbraw/zinc/19/37/06/778193706.db2.gz ODYQKWLHRLAJMP-NSHDSACASA-N 0 0 286.671 2.682 20 5 CFBDRN CCOC(=O)[C@H](Oc1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC001228922196 778208153 /nfs/dbraw/zinc/20/81/53/778208153.db2.gz WIBLEOIVOMQRSY-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN CC[C@@H](C)Oc1cc(N2CCOCC2)ccc1[N+](=O)[O-] ZINC001234360284 778214841 /nfs/dbraw/zinc/21/48/41/778214841.db2.gz PGOBFYCVMHSQIF-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cccc3cn(C)nc32)n1 ZINC001168199572 778246420 /nfs/dbraw/zinc/24/64/20/778246420.db2.gz SPMOFTSXZBVJCV-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ccccc2)nc1N[C@H]1C[C@@H](CO)C1 ZINC001168239124 778264899 /nfs/dbraw/zinc/26/48/99/778264899.db2.gz AIPHBXWDGRQOMI-BJHJDKERSA-N 0 0 299.330 2.840 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@H]2C[C@@H](CO)C2)cc(C(F)(F)F)c1 ZINC001168242845 778268259 /nfs/dbraw/zinc/26/82/59/778268259.db2.gz DUEHNEXSOSDIDX-OTSSQURYSA-N 0 0 290.241 2.796 20 5 CFBDRN CCc1cc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)no1 ZINC001234650922 778276801 /nfs/dbraw/zinc/27/68/01/778276801.db2.gz QGOZKSULEKDNGY-ZDUSSCGKSA-N 0 0 274.276 2.691 20 5 CFBDRN CC[C@@H](C)Oc1c(C(C)=O)ccc(NC(C)=O)c1[N+](=O)[O-] ZINC001234682866 778287735 /nfs/dbraw/zinc/28/77/35/778287735.db2.gz XXVZQKVXYGGHJD-MRVPVSSYSA-N 0 0 294.307 2.933 20 5 CFBDRN CCc1cnc(Nc2ccc(C)cc2[N+](=O)[O-])cn1 ZINC001214167644 778295147 /nfs/dbraw/zinc/29/51/47/778295147.db2.gz QVDCDCGCFRRKGI-UHFFFAOYSA-N 0 0 258.281 2.999 20 5 CFBDRN COc1nc(C)cnc1Nc1ccc(C)cc1[N+](=O)[O-] ZINC001214167656 778295268 /nfs/dbraw/zinc/29/52/68/778295268.db2.gz RVBRWVBWCKWDHI-UHFFFAOYSA-N 0 0 274.280 2.754 20 5 CFBDRN CC(C)[C@@H](C)Oc1ncnc2cc([N+](=O)[O-])ccc21 ZINC001229398865 778302463 /nfs/dbraw/zinc/30/24/63/778302463.db2.gz BVJMXNUONGSLOM-SECBINFHSA-N 0 0 261.281 2.961 20 5 CFBDRN Cc1ccc2c(c1O[C@H](C[N+](=O)[O-])C1CC1)CCCC2=O ZINC001234851601 778310574 /nfs/dbraw/zinc/31/05/74/778310574.db2.gz MRNONLJNXLHMDV-OAHLLOKOSA-N 0 0 289.331 2.948 20 5 CFBDRN CC(C)(C)c1ccc(NC[C@H]2COCCO2)c([N+](=O)[O-])c1 ZINC001168267720 778315832 /nfs/dbraw/zinc/31/58/32/778315832.db2.gz QGPMFFUKGXLENX-LBPRGKRZSA-N 0 0 294.351 2.720 20 5 CFBDRN CC[C@@H](Oc1cc(C)c([N+](=O)[O-])c(C)c1C)C(=O)OC ZINC001234871499 778318281 /nfs/dbraw/zinc/31/82/81/778318281.db2.gz JRFOXLIWFVWTLQ-LLVKDONJSA-N 0 0 281.308 2.850 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CCC[C@@H]2C)n1 ZINC001234880178 778320005 /nfs/dbraw/zinc/32/00/05/778320005.db2.gz YCNGBXRHISDAGA-WCBMZHEXSA-N 0 0 252.270 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)COC(C)(C)C)n1 ZINC001234878597 778320131 /nfs/dbraw/zinc/32/01/31/778320131.db2.gz IAWYFJWKJUFSQR-SECBINFHSA-N 0 0 284.312 2.581 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2C[C@@H]3CC[C@H]2C3)n1 ZINC001234882509 778321437 /nfs/dbraw/zinc/32/14/37/778321437.db2.gz ZDLFXJXKPNNCSB-WCABBAIRSA-N 0 0 264.281 2.566 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1c(C)nccc1[N+](=O)[O-] ZINC001234899458 778324626 /nfs/dbraw/zinc/32/46/26/778324626.db2.gz CMVWSMWDZVEFEG-LBPRGKRZSA-N 0 0 296.323 2.655 20 5 CFBDRN COC1=CC[C@H](Oc2c(C)nccc2[N+](=O)[O-])CC1 ZINC001234902825 778325117 /nfs/dbraw/zinc/32/51/17/778325117.db2.gz BIPHAUVMBPQYAD-NSHDSACASA-N 0 0 264.281 2.760 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NC1(CC)COC1 ZINC001168324071 778433391 /nfs/dbraw/zinc/43/33/91/778433391.db2.gz OZQXAVAOKOGMNQ-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NC[C@H]1C[C@@](C)(O)C1 ZINC001168346916 778444586 /nfs/dbraw/zinc/44/45/86/778444586.db2.gz XNCWWZNRRPPMBT-WJONMLJTSA-N 0 0 280.324 2.566 20 5 CFBDRN O=C(NC1CC2(CCC2)C1)c1cc([N+](=O)[O-])ccc1F ZINC000697697336 778452918 /nfs/dbraw/zinc/45/29/18/778452918.db2.gz VCFAUOVCMWLEBN-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN CCOC(=O)/C=C/CO[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001224345795 778479732 /nfs/dbraw/zinc/47/97/32/778479732.db2.gz ZGKXEJHUANTMOO-BWNDKRECSA-N 0 0 279.292 2.792 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ccc(NC3CSC3)nn2)c1 ZINC001168362279 778505026 /nfs/dbraw/zinc/50/50/26/778505026.db2.gz QUFJTFRQEFWGOT-UHFFFAOYSA-N 0 0 288.332 2.579 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C1CCC1 ZINC000160223631 778596156 /nfs/dbraw/zinc/59/61/56/778596156.db2.gz YABNRRZJPKWOQN-QMMMGPOBSA-N 0 0 266.272 2.652 20 5 CFBDRN CC1(O)CCN(c2ccc([N+](=O)[O-])cc2C(F)F)CC1 ZINC000160738886 778614236 /nfs/dbraw/zinc/61/42/36/778614236.db2.gz LGZSXCANSAMJRG-UHFFFAOYSA-N 0 0 286.278 2.884 20 5 CFBDRN CC(C)C(C)(C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000698016160 778628164 /nfs/dbraw/zinc/62/81/64/778628164.db2.gz DDCZUSJGBVKJIL-UHFFFAOYSA-N 0 0 268.288 2.898 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN[C@H](CO)c1ccccc1 ZINC001168383209 778756769 /nfs/dbraw/zinc/75/67/69/778756769.db2.gz QKELMWONXGHTFM-CQSZACIVSA-N 0 0 290.294 2.557 20 5 CFBDRN Cc1cc(F)ccc1OC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000165223404 778769360 /nfs/dbraw/zinc/76/93/60/778769360.db2.gz DBXIOGBANFJILA-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN Cc1ncc(CNc2cc([N+](=O)[O-])cc(F)c2C)cn1 ZINC001168392456 778780256 /nfs/dbraw/zinc/78/02/56/778780256.db2.gz YNPNTTJRUUWJLS-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN COC(=O)C1(CO[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC001224981286 778797879 /nfs/dbraw/zinc/79/78/79/778797879.db2.gz NPXMPBIEZDRWIG-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN Cc1cc(NC(=O)[C@@H](C)OCC2CC2)cc([N+](=O)[O-])c1 ZINC001121306847 779068920 /nfs/dbraw/zinc/06/89/20/779068920.db2.gz KBQFJIRNJFSQFE-SNVBAGLBSA-N 0 0 278.308 2.657 20 5 CFBDRN CCCOCCNc1cc(F)cc(F)c1[N+](=O)[O-] ZINC000714997627 779078884 /nfs/dbraw/zinc/07/88/84/779078884.db2.gz UBYDNVYDVZVDRQ-UHFFFAOYSA-N 0 0 260.240 2.712 20 5 CFBDRN CC1(C)C[C@H](CNc2ncnc3sc([N+](=O)[O-])cc32)O1 ZINC001168452352 779178666 /nfs/dbraw/zinc/17/86/66/779178666.db2.gz GJCVQVHVQDHQLX-SSDOTTSWSA-N 0 0 294.336 2.579 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1C1CC1 ZINC000176478772 779195825 /nfs/dbraw/zinc/19/58/25/779195825.db2.gz JMVGWKHWOUOFNW-OLZOCXBDSA-N 0 0 261.277 2.684 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1C1CC1 ZINC000176478798 779195925 /nfs/dbraw/zinc/19/59/25/779195925.db2.gz JMVGWKHWOUOFNW-STQMWFEESA-N 0 0 261.277 2.684 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@H]1C[C@H]1C1CC1 ZINC000176548127 779227323 /nfs/dbraw/zinc/22/73/23/779227323.db2.gz XQMWKRWXICVXIB-UWVGGRQHSA-N 0 0 264.256 2.719 20 5 CFBDRN CC(C)(F)CCNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000699252431 779227862 /nfs/dbraw/zinc/22/78/62/779227862.db2.gz XLQNKDYCAFCMBS-UHFFFAOYSA-N 0 0 290.241 2.741 20 5 CFBDRN CCC(=O)N(CC)CCNc1ccc([N+](=O)[O-])c(C)c1 ZINC001106649324 779330591 /nfs/dbraw/zinc/33/05/91/779330591.db2.gz NBWPULXSRGNKTM-UHFFFAOYSA-N 0 0 279.340 2.574 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])cc1F)C(=O)C(C)C ZINC001106681865 779337492 /nfs/dbraw/zinc/33/74/92/779337492.db2.gz KKTOTNDDCZTZAJ-UHFFFAOYSA-N 0 0 297.330 2.650 20 5 CFBDRN CC[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1CCC1 ZINC001123123995 779348561 /nfs/dbraw/zinc/34/85/61/779348561.db2.gz BDVHIOLROJJNOI-LLVKDONJSA-N 0 0 257.330 2.944 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccsn1)CC2 ZINC001127454628 779484809 /nfs/dbraw/zinc/48/48/09/779484809.db2.gz ZRYCUQNKVBGIRV-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN CN(C[C@@H](O)C1CC1)c1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000690193656 779502014 /nfs/dbraw/zinc/50/20/14/779502014.db2.gz JJVZZIIAWIGMRO-GFCCVEGCSA-N 0 0 286.278 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCc2cccnc21 ZINC001127586166 779572989 /nfs/dbraw/zinc/57/29/89/779572989.db2.gz LAOQAAXTXHVNCX-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN CCCC(=O)NC/C=C/CNc1ccc(C)cc1[N+](=O)[O-] ZINC001107009446 779738970 /nfs/dbraw/zinc/73/89/70/779738970.db2.gz GELZUPNPUFVWMR-SNAWJCMRSA-N 0 0 291.351 2.788 20 5 CFBDRN Cc1cc(NC/C=C\CNC(=O)C(C)C)ccc1[N+](=O)[O-] ZINC001107045632 779759656 /nfs/dbraw/zinc/75/96/56/779759656.db2.gz MCPGHRZTXDBRNF-PLNGDYQASA-N 0 0 291.351 2.644 20 5 CFBDRN COc1c(F)cc(N[C@@H](CO)C(C)(C)C)cc1[N+](=O)[O-] ZINC001168558248 779966375 /nfs/dbraw/zinc/96/63/75/779966375.db2.gz YOIIPFOXZNFZAT-NSHDSACASA-N 0 0 286.303 2.561 20 5 CFBDRN COc1ncnc(NC[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000094739329 780126753 /nfs/dbraw/zinc/12/67/53/780126753.db2.gz DAXWWRGRMUTULZ-ZJUUUORDSA-N 0 0 280.328 2.632 20 5 CFBDRN COc1ccc(N[C@@H](C)C(C)(C)C)nc1[N+](=O)[O-] ZINC001168682545 780320582 /nfs/dbraw/zinc/32/05/82/780320582.db2.gz TVKBBJHQAJNVFN-QMMMGPOBSA-N 0 0 253.302 2.845 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H](C)CCCC(C)(C)O ZINC001168692751 780347695 /nfs/dbraw/zinc/34/76/95/780347695.db2.gz JZBWYFSAPVJSMH-SNVBAGLBSA-N 0 0 297.355 2.740 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC001128279609 780378467 /nfs/dbraw/zinc/37/84/67/780378467.db2.gz FFZVGAYHKPIVNC-DGCLKSJQSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCC(F)F ZINC000132175643 780398766 /nfs/dbraw/zinc/39/87/66/780398766.db2.gz FEIKYSUDVYCHNT-SSDOTTSWSA-N 0 0 291.275 2.884 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@@H]3CC34CCC4)c2c1 ZINC000721298032 780462177 /nfs/dbraw/zinc/46/21/77/780462177.db2.gz JTZWKBHHYSGRFM-GFCCVEGCSA-N 0 0 270.292 2.893 20 5 CFBDRN COc1ccc(OC(=O)/C=C(/C)C2CC2)c([N+](=O)[O-])c1 ZINC000132718074 780593828 /nfs/dbraw/zinc/59/38/28/780593828.db2.gz NGKWFKOYPAINAX-CLFYSBASSA-N 0 0 277.276 2.865 20 5 CFBDRN COc1ccc(NC(C2CC2)C2CC2)nc1[N+](=O)[O-] ZINC001168742771 780598990 /nfs/dbraw/zinc/59/89/90/780598990.db2.gz QOVXVLWGDXFKFT-UHFFFAOYSA-N 0 0 263.297 2.599 20 5 CFBDRN CC(F)(F)CNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000700424819 780688669 /nfs/dbraw/zinc/68/86/69/780688669.db2.gz ZUHYBXNCLNJCGX-UHFFFAOYSA-N 0 0 278.642 2.633 20 5 CFBDRN CCO[C@H]1CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000701699649 780827602 /nfs/dbraw/zinc/82/76/02/780827602.db2.gz JNLRFZBEMQRGDU-NSHDSACASA-N 0 0 284.743 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CC2(F)F)c2nonc21 ZINC000701966577 780858479 /nfs/dbraw/zinc/85/84/79/780858479.db2.gz OUJFXEGWTKBQOP-ZCFIWIBFSA-N 0 0 284.222 2.588 20 5 CFBDRN C[C@H](F)CCNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000702572106 780935753 /nfs/dbraw/zinc/93/57/53/780935753.db2.gz YZGYJZCFGUTUGY-ZETCQYMHSA-N 0 0 274.679 2.726 20 5 CFBDRN CC1(O)CCC(Nc2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000703612290 781039685 /nfs/dbraw/zinc/03/96/85/781039685.db2.gz DDYVMXUYSMEVHJ-UHFFFAOYSA-N 0 0 285.731 2.749 20 5 CFBDRN O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CC(C(F)F)C1 ZINC000706474574 781178762 /nfs/dbraw/zinc/17/87/62/781178762.db2.gz KUPRRJCSBGFFFL-UHFFFAOYSA-N 0 0 290.653 2.585 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CC(C(F)F)C1 ZINC000706472097 781178944 /nfs/dbraw/zinc/17/89/44/781178944.db2.gz ANVPRPPHMSSGLG-UHFFFAOYSA-N 0 0 290.653 2.585 20 5 CFBDRN CC1(C)CCN1C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000706905802 781213541 /nfs/dbraw/zinc/21/35/41/781213541.db2.gz QJCDCSWWWATYMT-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1ccc(COC(=O)c2cncs2)cc1[N+](=O)[O-] ZINC001129247849 781242844 /nfs/dbraw/zinc/24/28/44/781242844.db2.gz UOSDKLXADDRECD-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC1(C)CO[C@H](COc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000708396101 781272303 /nfs/dbraw/zinc/27/23/03/781272303.db2.gz JJHPLPYFJWJKQE-JTQLQIEISA-N 0 0 269.272 2.928 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2cccc([N+](=O)[O-])c2C)C1 ZINC000708920496 781284612 /nfs/dbraw/zinc/28/46/12/781284612.db2.gz NYTZLBOYGDVOHY-PHIMTYICSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCCC3(CC3)C2)c1[N+](=O)[O-] ZINC000709966377 781324920 /nfs/dbraw/zinc/32/49/20/781324920.db2.gz KYJIZCWTTJHARR-SNVBAGLBSA-N 0 0 264.329 2.771 20 5 CFBDRN CC[C@@]1(O)CCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000710267191 781331599 /nfs/dbraw/zinc/33/15/99/781331599.db2.gz JQTQVBRBIKUXDZ-CQSZACIVSA-N 0 0 298.770 2.985 20 5 CFBDRN CC1(C)CC[C@H](CNc2cccnc2[N+](=O)[O-])OC1 ZINC000710713792 781335106 /nfs/dbraw/zinc/33/51/06/781335106.db2.gz OWSGNKCHJIPUQP-SNVBAGLBSA-N 0 0 265.313 2.607 20 5 CFBDRN CC1(C)CC[C@H](CNc2ncc([N+](=O)[O-])s2)OC1 ZINC000710647033 781346382 /nfs/dbraw/zinc/34/63/82/781346382.db2.gz AYGCAXCFQURALW-MRVPVSSYSA-N 0 0 271.342 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC3(CCC3)O2)cn1 ZINC000711495822 781446615 /nfs/dbraw/zinc/44/66/15/781446615.db2.gz MXMIJFLPIJOOJA-NSHDSACASA-N 0 0 263.297 2.503 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CC[C@H](CF)C1 ZINC000711510611 781450669 /nfs/dbraw/zinc/45/06/69/781450669.db2.gz VPNAJPQKIBRJAR-MRVPVSSYSA-N 0 0 286.690 2.680 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000711783048 781471652 /nfs/dbraw/zinc/47/16/52/781471652.db2.gz BKHWVKRGBAYRBG-SECBINFHSA-N 0 0 280.711 2.944 20 5 CFBDRN COC(C)(C)CCNc1cccc(F)c1[N+](=O)[O-] ZINC000711848235 781477689 /nfs/dbraw/zinc/47/76/89/781477689.db2.gz NKWQWAKMYQKINZ-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN COC(C)(C)CCNc1nccc(C)c1[N+](=O)[O-] ZINC000711849622 781479126 /nfs/dbraw/zinc/47/91/26/781479126.db2.gz WARFAUGDFOBWQX-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](C1CCC1)[C@H]1CCOC1 ZINC000711851246 781481504 /nfs/dbraw/zinc/48/15/04/781481504.db2.gz RWBGORVGXYTFSA-AAEUAGOBSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1cc(Cl)nc(N2CCC[C@@H](O)[C@H](C)C2)c1[N+](=O)[O-] ZINC001164217126 781688118 /nfs/dbraw/zinc/68/81/18/781688118.db2.gz ASPKCKQNYJVXEN-NXEZZACHSA-N 0 0 299.758 2.549 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N2CCC[C@H](O)[C@@H](C)C2)n1 ZINC001164219791 781694642 /nfs/dbraw/zinc/69/46/42/781694642.db2.gz XTBCJDZFEQOSOD-KWQFWETISA-N 0 0 299.758 2.549 20 5 CFBDRN Cc1cnc(N2CC[C@](C)(F)[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC001164327416 781705770 /nfs/dbraw/zinc/70/57/70/781705770.db2.gz OZYQDQOSIKGXRP-JQWIXIFHSA-N 0 0 271.267 2.575 20 5 CFBDRN COc1nc(N2CCC[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC001166608792 781765449 /nfs/dbraw/zinc/76/54/49/781765449.db2.gz AMZRHGAQEVKRBD-NXEZZACHSA-N 0 0 265.313 2.623 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1cc(C)c([N+](=O)[O-])cc1C ZINC001230722775 781869506 /nfs/dbraw/zinc/86/95/06/781869506.db2.gz AEAYSICOSCQLFD-LLVKDONJSA-N 0 0 279.292 2.708 20 5 CFBDRN CC(C)(O)CCSc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000594588911 349453097 /nfs/dbraw/zinc/45/30/97/349453097.db2.gz SDZAEPVPVAPESL-UHFFFAOYSA-N 0 0 293.348 2.791 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CC2)[C@H]2CCCCO2)cn1 ZINC000594590324 349453122 /nfs/dbraw/zinc/45/31/22/349453122.db2.gz KSHAFIVTBOSIIK-OCCSQVGLSA-N 0 0 277.324 2.749 20 5 CFBDRN COCC1(CNc2cc(OC)c([N+](=O)[O-])cc2F)CC1 ZINC000594592392 349453724 /nfs/dbraw/zinc/45/37/24/349453724.db2.gz MAJQCQUBZBZBDY-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN O=[N+]([O-])c1cc(/C=C\c2cc3n(n2)CCCC3)ccn1 ZINC000594539483 349443596 /nfs/dbraw/zinc/44/35/96/349443596.db2.gz XLPVEFQAPJZXOI-PLNGDYQASA-N 0 0 270.292 2.693 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](C)[C@H]1C ZINC000594553639 349446526 /nfs/dbraw/zinc/44/65/26/349446526.db2.gz MLOMIKKCRAPJLX-NXEZZACHSA-N 0 0 250.298 2.838 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](C)[C@H]1C ZINC000594553641 349446803 /nfs/dbraw/zinc/44/68/03/349446803.db2.gz MLOMIKKCRAPJLX-VHSXEESVSA-N 0 0 250.298 2.838 20 5 CFBDRN COC[C@H]1CCCCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000594571315 349450400 /nfs/dbraw/zinc/45/04/00/349450400.db2.gz UJWNSYQVVHXETJ-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN CC(C)Oc1nc(N2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000594578482 349450800 /nfs/dbraw/zinc/45/08/00/349450800.db2.gz HCOKFOCYONJEEW-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@]2(CC2(F)F)C1 ZINC000594587211 349453082 /nfs/dbraw/zinc/45/30/82/349453082.db2.gz VGKORYGSXPJBRZ-GFCCVEGCSA-N 0 0 284.262 2.839 20 5 CFBDRN C[C@H](CNc1ccsc1[N+](=O)[O-])N1C[C@@H](C)O[C@@H](C)C1 ZINC000594591778 349453799 /nfs/dbraw/zinc/45/37/99/349453799.db2.gz KGGAEAYAQYXELW-MXWKQRLJSA-N 0 0 299.396 2.566 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])C1 ZINC000594593777 349454508 /nfs/dbraw/zinc/45/45/08/349454508.db2.gz JZRQPNIXKWGQLW-MGCOHNPYSA-N 0 0 265.313 2.748 20 5 CFBDRN COc1c(C)cccc1CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000594596132 349455618 /nfs/dbraw/zinc/45/56/18/349455618.db2.gz MJUBKKLLOCBVPZ-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN Cc1nc(NC[C@@H]2CCC3(CCCC3)O2)ccc1[N+](=O)[O-] ZINC000594598241 349456161 /nfs/dbraw/zinc/45/61/61/349456161.db2.gz FUEUALOXKJGZGJ-LBPRGKRZSA-N 0 0 291.351 2.624 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCC3(CCCC3)O2)c1[N+](=O)[O-] ZINC000594600311 349456977 /nfs/dbraw/zinc/45/69/77/349456977.db2.gz PCBJCQWPQBKHTO-NSHDSACASA-N 0 0 294.355 2.540 20 5 CFBDRN COc1ccc2c(c1)CN(c1ncc(C)cc1[N+](=O)[O-])C2 ZINC000594603564 349459256 /nfs/dbraw/zinc/45/92/56/349459256.db2.gz JVFXJXYIXLDDQO-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NC(C1CC1)C1CC1 ZINC000067536224 346809115 /nfs/dbraw/zinc/80/91/15/346809115.db2.gz ONUNJQJUWSFFTN-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)CCC(C)C)c1 ZINC000067547301 346809355 /nfs/dbraw/zinc/80/93/55/346809355.db2.gz YPCBRTRUKNFJOA-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CC(C)(C)c1cn(Cc2ccc([N+](=O)[O-])cc2)nn1 ZINC000594652538 349468666 /nfs/dbraw/zinc/46/86/66/349468666.db2.gz RKXPBFOMDWDIEK-UHFFFAOYSA-N 0 0 260.297 2.532 20 5 CFBDRN Cc1ccc(OCc2cn(C(C)(C)C)nn2)c([N+](=O)[O-])c1 ZINC000594879841 349492549 /nfs/dbraw/zinc/49/25/49/349492549.db2.gz ANFDQBCCGRATHB-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1CCCC1CCC1 ZINC000594884069 349493063 /nfs/dbraw/zinc/49/30/63/349493063.db2.gz UADPINPXCLUQKJ-UHFFFAOYSA-N 0 0 287.319 2.885 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000594886507 349493497 /nfs/dbraw/zinc/49/34/97/349493497.db2.gz VDAUJTOHPLUWKI-CYBMUJFWSA-N 0 0 269.272 2.928 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1CCC[C@H]1C1CCC1 ZINC000124528353 187142096 /nfs/dbraw/zinc/14/20/96/187142096.db2.gz OQOZHHUVZGAEBG-LBPRGKRZSA-N 0 0 290.323 2.786 20 5 CFBDRN C[C@H](N[C@H]1C[C@@H](C)n2ncnc21)c1ccc([N+](=O)[O-])cc1 ZINC000594710118 349478546 /nfs/dbraw/zinc/47/85/46/349478546.db2.gz IKCSLIVOMGWNKV-NRUUGDAUSA-N 0 0 287.323 2.543 20 5 CFBDRN O=c1onc(-c2ccc([N+](=O)[O-])cc2)n1CCC1CCC1 ZINC000594891574 349495232 /nfs/dbraw/zinc/49/52/32/349495232.db2.gz OTWAZJOGCBGPDA-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN CC1(C)C[C@H](Sc2ccc([N+](=O)[O-])cn2)CO1 ZINC000594893328 349496129 /nfs/dbraw/zinc/49/61/29/349496129.db2.gz XSBMBCFWCAAHOL-VIFPVBQESA-N 0 0 254.311 2.649 20 5 CFBDRN COc1ccc([C@@H](C)Cn2cc([N+](=O)[O-])c(C)n2)cc1 ZINC000594897111 349498667 /nfs/dbraw/zinc/49/86/67/349498667.db2.gz GVBYZYKWSCYSHJ-JTQLQIEISA-N 0 0 275.308 2.912 20 5 CFBDRN CC(C)=CCCn1nc(-c2ccccc2[N+](=O)[O-])oc1=O ZINC000594899993 349499275 /nfs/dbraw/zinc/49/92/75/349499275.db2.gz KFXSCYWSPMQGOT-UHFFFAOYSA-N 0 0 289.291 2.768 20 5 CFBDRN O=[N+]([O-])c1cn(CC2CCC2)nc1-c1ccccn1 ZINC000594916173 349504815 /nfs/dbraw/zinc/50/48/15/349504815.db2.gz XPUARJKVAUEFTF-UHFFFAOYSA-N 0 0 258.281 2.653 20 5 CFBDRN CCCN(CC1CC1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067725334 346817042 /nfs/dbraw/zinc/81/70/42/346817042.db2.gz PSUWJNPKNWJTOB-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN CCN(C)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000124635174 187146308 /nfs/dbraw/zinc/14/63/08/187146308.db2.gz PRKFIPUBJGACFP-UHFFFAOYSA-N 0 0 264.325 2.984 20 5 CFBDRN COCCCCNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000127769311 187331833 /nfs/dbraw/zinc/33/18/33/187331833.db2.gz QBKUYVXIKYNLOV-UHFFFAOYSA-N 0 0 295.339 2.760 20 5 CFBDRN CN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000124781199 187154008 /nfs/dbraw/zinc/15/40/08/187154008.db2.gz IQZAWRRIIBKSPK-UHFFFAOYSA-N 0 0 285.303 2.625 20 5 CFBDRN CCOC1CC(N(C)C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000290087556 197590281 /nfs/dbraw/zinc/59/02/81/197590281.db2.gz CXQFCZCCZHRVDG-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)Nc1cnn(C)c1C ZINC000595027420 349555578 /nfs/dbraw/zinc/55/55/78/349555578.db2.gz NCDGDVROBACUBV-VIFPVBQESA-N 0 0 290.323 2.818 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000067813094 346820138 /nfs/dbraw/zinc/82/01/38/346820138.db2.gz DNJHJKBTGZZVJZ-NSHDSACASA-N 0 0 294.351 2.793 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000075289518 290628439 /nfs/dbraw/zinc/62/84/39/290628439.db2.gz YKKUTKHKKUNFIT-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN CN(Cc1ccco1)c1ccc(F)cc1[N+](=O)[O-] ZINC000067949369 346829044 /nfs/dbraw/zinc/82/90/44/346829044.db2.gz GZWGVVLRBFRIJJ-UHFFFAOYSA-N 0 0 250.229 2.963 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000068172156 346839389 /nfs/dbraw/zinc/83/93/89/346839389.db2.gz XBBXPVPRNFJBLS-NSHDSACASA-N 0 0 285.303 2.823 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000125325263 187179327 /nfs/dbraw/zinc/17/93/27/187179327.db2.gz IGOFBNYKYOEHSE-NSHDSACASA-N 0 0 268.338 2.983 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1Oc1ncccn1 ZINC000068125802 346836288 /nfs/dbraw/zinc/83/62/88/346836288.db2.gz UMBTTWMILIMKMR-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN CC1(C(=O)NCCOc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000068184985 346839713 /nfs/dbraw/zinc/83/97/13/346839713.db2.gz UQXVYMMGJJFLDF-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CCCc1[nH]nc(C(=O)Nc2cccc(C)c2)c1[N+](=O)[O-] ZINC000068231991 346841669 /nfs/dbraw/zinc/84/16/69/346841669.db2.gz YNPOEUYVFOFXIH-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595291354 349592767 /nfs/dbraw/zinc/59/27/67/349592767.db2.gz REFJKPWKMWSFPO-ZWNOBZJWSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1ccc(CN(Cc2onc(C)c2[N+](=O)[O-])C2CC2)o1 ZINC000595298286 349595760 /nfs/dbraw/zinc/59/57/60/349595760.db2.gz QRPRQTDVECNLOR-UHFFFAOYSA-N 0 0 291.307 2.957 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1C[N@@H+]1CCC[C@@H]2COCC[C@@H]21 ZINC000595337888 349605674 /nfs/dbraw/zinc/60/56/74/349605674.db2.gz CJWUYCYPDIPBKD-OCCSQVGLSA-N 0 0 294.326 2.735 20 5 CFBDRN Cc1noc(CN2CCS[C@H]3CCCC[C@@H]32)c1[N+](=O)[O-] ZINC000595339966 349605187 /nfs/dbraw/zinc/60/51/87/349605187.db2.gz SEELDXDBEOWSJL-JQWIXIFHSA-N 0 0 297.380 2.751 20 5 CFBDRN COc1ccccc1[C@H](C)NCc1onc(C)c1[N+](=O)[O-] ZINC000595407904 349618335 /nfs/dbraw/zinc/61/83/35/349618335.db2.gz GWJKVSCYHOCVEN-VIFPVBQESA-N 0 0 291.307 2.751 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269608034 190519801 /nfs/dbraw/zinc/51/98/01/190519801.db2.gz DBVKTQLHOJKTLS-RDDDGLTNSA-N 0 0 274.267 2.692 20 5 CFBDRN Cc1noc(CN[C@@H](C(C)(C)C)C(F)(F)F)c1[N+](=O)[O-] ZINC000595423256 349620626 /nfs/dbraw/zinc/62/06/26/349620626.db2.gz KRJSEIOHXAZIQN-VIFPVBQESA-N 0 0 295.261 2.958 20 5 CFBDRN CCc1nocc1CN1CCc2ccc([N+](=O)[O-])cc21 ZINC000595430772 349624449 /nfs/dbraw/zinc/62/44/49/349624449.db2.gz LNJCPJMWGSPETE-UHFFFAOYSA-N 0 0 273.292 2.708 20 5 CFBDRN CCc1nnc([C@@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])[nH]1 ZINC000595427539 349622149 /nfs/dbraw/zinc/62/21/49/349622149.db2.gz ZJUYNFWEVXBUQX-VHSXEESVSA-N 0 0 289.339 2.687 20 5 CFBDRN COC1(C)CCN(Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000595426393 349622318 /nfs/dbraw/zinc/62/23/18/349622318.db2.gz UVICOXONQGCPHU-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN CC(C)(F)CCNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000595452067 349630415 /nfs/dbraw/zinc/63/04/15/349630415.db2.gz HSBYYKDUKWOTHO-UHFFFAOYSA-N 0 0 283.303 2.532 20 5 CFBDRN CC[C@@H](C)CCNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000595453520 349630853 /nfs/dbraw/zinc/63/08/53/349630853.db2.gz PUXAUKIJJUIEHG-LLVKDONJSA-N 0 0 279.340 2.830 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000068779690 346869483 /nfs/dbraw/zinc/86/94/83/346869483.db2.gz WNNQUOLQKMSNFE-LLVKDONJSA-N 0 0 262.309 2.857 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000177143301 529411759 /nfs/dbraw/zinc/41/17/59/529411759.db2.gz DVFDAGTUIYJCCB-SWLSCSKDSA-N 0 0 276.336 2.989 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000595477499 349638615 /nfs/dbraw/zinc/63/86/15/349638615.db2.gz UQYCKPOMBMNYIU-GFCCVEGCSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1[C@H](C)CCC[C@@H]1C ZINC000193263856 282168082 /nfs/dbraw/zinc/16/80/82/282168082.db2.gz CFRGOWKUSOTQGX-XFLKPENQSA-N 0 0 291.351 2.853 20 5 CFBDRN CC[C@H](C)CCNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000595522862 349649734 /nfs/dbraw/zinc/64/97/34/349649734.db2.gz OXCNACNRCVYAQO-LBPRGKRZSA-N 0 0 293.367 2.873 20 5 CFBDRN COC[C@]1(C)CC[N@H+](Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595506756 349645568 /nfs/dbraw/zinc/64/55/68/349645568.db2.gz LLDJVHRRJJRQTI-CQSZACIVSA-N 0 0 282.315 2.592 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN(C1CC1)C1(CO)CCC1 ZINC000595533555 349651928 /nfs/dbraw/zinc/65/19/28/349651928.db2.gz LLSHXVUCJZQPIL-UHFFFAOYSA-N 0 0 294.326 2.613 20 5 CFBDRN COC1(CNc2ncc([N+](=O)[O-])cc2C)CCCC1 ZINC000294975264 199278977 /nfs/dbraw/zinc/27/89/77/199278977.db2.gz OWBUIIXVEQGEGE-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN CCN(Cc1occc1C)Cc1onc(C)c1[N+](=O)[O-] ZINC000595546732 349656570 /nfs/dbraw/zinc/65/65/70/349656570.db2.gz QNIGKAHFEYEUBP-UHFFFAOYSA-N 0 0 279.296 2.815 20 5 CFBDRN CC[C@@H](CCO)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190169469 529470083 /nfs/dbraw/zinc/47/00/83/529470083.db2.gz ISSJEOQDUSUOGC-LBPRGKRZSA-N 0 0 294.351 2.609 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000595564487 349661385 /nfs/dbraw/zinc/66/13/85/349661385.db2.gz QMKWOKPLEROZQE-GFCCVEGCSA-N 0 0 286.253 2.965 20 5 CFBDRN CC[C@H](NC(=O)NCCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000595574778 349663825 /nfs/dbraw/zinc/66/38/25/349663825.db2.gz PYJHPQBLJFDFAE-AWEZNQCLSA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000595581760 349665721 /nfs/dbraw/zinc/66/57/21/349665721.db2.gz OGWCNVQMQSWVFJ-SRVKXCTJSA-N 0 0 289.335 2.707 20 5 CFBDRN C[C@]1(C2CC2)CN(Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000595616819 349676980 /nfs/dbraw/zinc/67/69/80/349676980.db2.gz DEWGOCDWTKYVIQ-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000413444749 529473604 /nfs/dbraw/zinc/47/36/04/529473604.db2.gz GAMDCESHUGZWNF-NEPJUHHUSA-N 0 0 254.261 2.713 20 5 CFBDRN CC[C@@H](COc1ccc([N+](=O)[O-])c2ncccc12)OC ZINC000418964216 529522683 /nfs/dbraw/zinc/52/26/83/529522683.db2.gz MZQKOJXBMNLQGO-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000177051930 529535743 /nfs/dbraw/zinc/53/57/43/529535743.db2.gz MCRRENQKCBWOSG-YGRLFVJLSA-N 0 0 278.308 2.978 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1Cc1cccc([N+](=O)[O-])c1 ZINC000595674259 349697267 /nfs/dbraw/zinc/69/72/67/349697267.db2.gz JWQFENYMLCWHOG-GFCCVEGCSA-N 0 0 276.336 2.738 20 5 CFBDRN CC1(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)CCC1 ZINC000125993600 187210082 /nfs/dbraw/zinc/21/00/82/187210082.db2.gz SMAQIEUBHPQVHF-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000126000647 187210167 /nfs/dbraw/zinc/21/01/67/187210167.db2.gz RIIZIJOVYZBRKX-BXUZGUMPSA-N 0 0 292.335 2.650 20 5 CFBDRN Cc1nnc(CN(C)[C@H](C)c2cc([N+](=O)[O-])ccc2C)o1 ZINC000595698159 349707812 /nfs/dbraw/zinc/70/78/12/349707812.db2.gz DIQRIHBPYPNDSJ-SNVBAGLBSA-N 0 0 290.323 2.788 20 5 CFBDRN CN(CC1=CCSC1)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595887210 349762224 /nfs/dbraw/zinc/76/22/24/349762224.db2.gz BSQVLWXEYNASDY-UHFFFAOYSA-N 0 0 282.340 2.839 20 5 CFBDRN CN(CC1=CCSC1)Cc1ccccc1[N+](=O)[O-] ZINC000595889829 349762741 /nfs/dbraw/zinc/76/27/41/349762741.db2.gz XHRBIVYBQFHJSV-UHFFFAOYSA-N 0 0 264.350 2.700 20 5 CFBDRN CC1(C)CCCC[C@@H]1Cn1cccc([N+](=O)[O-])c1=O ZINC000596021095 349777209 /nfs/dbraw/zinc/77/72/09/349777209.db2.gz NMKWLZWYZKJTHB-LLVKDONJSA-N 0 0 264.325 2.973 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])[C@H]1C ZINC000596056567 349783903 /nfs/dbraw/zinc/78/39/03/349783903.db2.gz YMVLSMLPVPZRSW-KXUCPTDWSA-N 0 0 265.313 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@H]1CCC[C@@H]1O ZINC000269977740 190579101 /nfs/dbraw/zinc/57/91/01/190579101.db2.gz MOQDSWIKODDKJP-RISCZKNCSA-N 0 0 264.325 2.500 20 5 CFBDRN CN(CCCc1ccccc1)c1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596077325 349788263 /nfs/dbraw/zinc/78/82/63/349788263.db2.gz TWRMCAMJXMZJQH-UHFFFAOYSA-N 0 0 287.319 2.764 20 5 CFBDRN Cc1cc(Sc2nnnn2C(C)C)c([N+](=O)[O-])s1 ZINC000596062052 349784655 /nfs/dbraw/zinc/78/46/55/349784655.db2.gz STQGQEBRZRPMDF-UHFFFAOYSA-N 0 0 285.354 2.683 20 5 CFBDRN Cc1cc(NCCOCC(F)(F)F)c([N+](=O)[O-])s1 ZINC000596071383 349787528 /nfs/dbraw/zinc/78/75/28/349787528.db2.gz LXWFVIGMUADSOA-UHFFFAOYSA-N 0 0 284.259 2.956 20 5 CFBDRN COCCCN(C)c1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596092590 349792840 /nfs/dbraw/zinc/79/28/40/349792840.db2.gz CIGRNLAGTYDXPQ-UHFFFAOYSA-N 0 0 288.731 2.730 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1F)[C@@H](O)C(C)C ZINC000413550687 529676540 /nfs/dbraw/zinc/67/65/40/529676540.db2.gz XDRIGFXZTQSBIN-AAEUAGOBSA-N 0 0 270.304 2.941 20 5 CFBDRN CCC1(C)CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596106698 349795668 /nfs/dbraw/zinc/79/56/68/349795668.db2.gz UQIRZYJEVNBFTA-UHFFFAOYSA-N 0 0 265.313 2.712 20 5 CFBDRN CC[C@@](C)(O)CNc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596106735 349795729 /nfs/dbraw/zinc/79/57/29/349795729.db2.gz UVGJAOLSYGSPPD-GFCCVEGCSA-N 0 0 288.731 2.830 20 5 CFBDRN CC[C@](C)(OC)c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000290393527 197694606 /nfs/dbraw/zinc/69/46/06/197694606.db2.gz HAGRRWWJTCASEH-ZDUSSCGKSA-N 0 0 277.280 2.916 20 5 CFBDRN Cc1cnc(NC[C@]2(C)CCCS2)c([N+](=O)[O-])c1 ZINC000126430621 187239318 /nfs/dbraw/zinc/23/93/18/187239318.db2.gz IZXCROFEXYXBAB-LBPRGKRZSA-N 0 0 267.354 2.996 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000596124330 349800374 /nfs/dbraw/zinc/80/03/74/349800374.db2.gz VPRSMZKUWQRRAH-NOZJJQNGSA-N 0 0 282.340 2.731 20 5 CFBDRN C[C@H]1C[C@H](c2ccccc2)CN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596129962 349802016 /nfs/dbraw/zinc/80/20/16/349802016.db2.gz WOSSKGMLIXKGIZ-AAEUAGOBSA-N 0 0 298.346 2.954 20 5 CFBDRN Cc1ccsc1CN(C)c1ccc([N+](=O)[O-])nc1 ZINC000072602781 346932610 /nfs/dbraw/zinc/93/26/10/346932610.db2.gz DACYICSBTQPUOA-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1cc(NC[C@H](C)C[C@H](C)O)c([N+](=O)[O-])s1 ZINC000596135805 349803912 /nfs/dbraw/zinc/80/39/12/349803912.db2.gz MTKQIJCFTNXURW-SFYZADRCSA-N 0 0 258.343 2.784 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596138971 349805275 /nfs/dbraw/zinc/80/52/75/349805275.db2.gz PTASEGDFUUSFHW-DTWKUNHWSA-N 0 0 253.302 2.932 20 5 CFBDRN CSC(C)(C)CNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000126726762 187258659 /nfs/dbraw/zinc/25/86/59/187258659.db2.gz GKROAGOPLRIWHZ-UHFFFAOYSA-N 0 0 296.392 2.704 20 5 CFBDRN O=c1[nH]ccc(NCC(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000596142735 349806641 /nfs/dbraw/zinc/80/66/41/349806641.db2.gz TWZFBRDOSWMRSU-UHFFFAOYSA-N 0 0 263.297 2.544 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000273916045 192361584 /nfs/dbraw/zinc/36/15/84/192361584.db2.gz BAVOXDMJAXJSAC-VHSXEESVSA-N 0 0 266.297 2.594 20 5 CFBDRN CCO[C@H](CCNc1cc[nH]c(=O)c1[N+](=O)[O-])C(C)C ZINC000596146687 349808250 /nfs/dbraw/zinc/80/82/50/349808250.db2.gz HFDHCNZTGDVVLA-LLVKDONJSA-N 0 0 283.328 2.559 20 5 CFBDRN C[C@]1(O)CCN(c2ccc(C(F)(F)F)c([N+](=O)[O-])c2)C1 ZINC000596150714 349809836 /nfs/dbraw/zinc/80/98/36/349809836.db2.gz JVEJJQSFCRXZQA-NSHDSACASA-N 0 0 290.241 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCc1cccnc1 ZINC000072776857 346939508 /nfs/dbraw/zinc/93/95/08/346939508.db2.gz FBFOSUGPDXJATQ-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN C[C@H]1CCc2ccccc2N1Cc1c([N+](=O)[O-])ncn1C ZINC000332003724 136383580 /nfs/dbraw/zinc/38/35/80/136383580.db2.gz DWASPWFVUICMOC-NSHDSACASA-N 0 0 286.335 2.670 20 5 CFBDRN CCc1nnc(CNc2cc([N+](=O)[O-])ccc2CC)o1 ZINC000290471877 197720547 /nfs/dbraw/zinc/72/05/47/197720547.db2.gz AOPQFAXLTGKRTA-UHFFFAOYSA-N 0 0 276.296 2.715 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2[C@@H]3c4ccccc4C[C@H]23)c1[N+](=O)[O-] ZINC000596166127 349814780 /nfs/dbraw/zinc/81/47/80/349814780.db2.gz QBBRSLWTOFUHSM-YRGRVCCFSA-N 0 0 297.314 2.693 20 5 CFBDRN COc1ccc(N(C)C(=O)CCC(C)(C)[N+](=O)[O-])cc1F ZINC000294999836 199292775 /nfs/dbraw/zinc/29/27/75/199292775.db2.gz PWXZCMJRKMEUOC-UHFFFAOYSA-N 0 0 298.314 2.633 20 5 CFBDRN Cc1cc(N2CCC[C@@H]([C@H](C)O)C2)c([N+](=O)[O-])s1 ZINC000596169113 349815310 /nfs/dbraw/zinc/81/53/10/349815310.db2.gz FMRVTMIAAWZPBG-VHSXEESVSA-N 0 0 270.354 2.562 20 5 CFBDRN CCN(C)C(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000294999361 199293198 /nfs/dbraw/zinc/29/31/98/199293198.db2.gz ZTHZMDXXUZYWCZ-UHFFFAOYSA-N 0 0 256.689 2.649 20 5 CFBDRN CC(C)(CNc1cc[nH]c(=O)c1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000596162552 349813943 /nfs/dbraw/zinc/81/39/43/349813943.db2.gz MQVXUTYCUGPAQR-NSHDSACASA-N 0 0 295.339 2.703 20 5 CFBDRN C[C@]1(c2ccccc2)CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596166371 349815610 /nfs/dbraw/zinc/81/56/10/349815610.db2.gz XMWFCCIPJUIAKG-INIZCTEOSA-N 0 0 299.330 2.863 20 5 CFBDRN NC(=O)c1cc(NCCC2=CCCC2)ccc1[N+](=O)[O-] ZINC000596171256 349816076 /nfs/dbraw/zinc/81/60/76/349816076.db2.gz BOKMQOSYZUFZOH-UHFFFAOYSA-N 0 0 275.308 2.606 20 5 CFBDRN CCNC(=O)C(C)(C)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596169633 349816103 /nfs/dbraw/zinc/81/61/03/349816103.db2.gz JVARNOGNLIXAHC-UHFFFAOYSA-N 0 0 285.369 2.539 20 5 CFBDRN C[C@H](CCO)CCNc1ccc([N+](=O)[O-])cc1F ZINC000295025195 199305952 /nfs/dbraw/zinc/30/59/52/199305952.db2.gz VHVVDGQFHYCAGU-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN COc1cc(N[C@H](C)c2nc[nH]n2)c(Cl)cc1[N+](=O)[O-] ZINC000596177166 349817427 /nfs/dbraw/zinc/81/74/27/349817427.db2.gz ARTWUJKIQPEVSH-ZCFIWIBFSA-N 0 0 297.702 2.548 20 5 CFBDRN COC1(C)CN(c2ccc(C(F)(F)F)c([N+](=O)[O-])c2)C1 ZINC000596176500 349817734 /nfs/dbraw/zinc/81/77/34/349817734.db2.gz HLZJFTIQLGWUIQ-UHFFFAOYSA-N 0 0 290.241 2.839 20 5 CFBDRN CCc1ncsc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000157107291 529764373 /nfs/dbraw/zinc/76/43/73/529764373.db2.gz TUJVIVHKPNWBQC-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CCc1nn(C)c(NC2CCC(COC)CC2)c1[N+](=O)[O-] ZINC000413530038 529767519 /nfs/dbraw/zinc/76/75/19/529767519.db2.gz IFSCNMOVUUPAPI-UHFFFAOYSA-N 0 0 296.371 2.508 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2cc(C)sc2[N+](=O)[O-])[C@@H]1OC ZINC000596193073 349823024 /nfs/dbraw/zinc/82/30/24/349823024.db2.gz ZVMJXPOYLRUWPJ-GDPRMGEGSA-N 0 0 286.353 2.569 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2cc(C)sc2[N+](=O)[O-])[C@H]1OC ZINC000596193075 349823146 /nfs/dbraw/zinc/82/31/46/349823146.db2.gz ZVMJXPOYLRUWPJ-JMJZKYOTSA-N 0 0 286.353 2.569 20 5 CFBDRN CC[C@@H](CC(F)(F)F)Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596195109 349824013 /nfs/dbraw/zinc/82/40/13/349824013.db2.gz GSXWLIGQOMLOJK-LURJTMIESA-N 0 0 279.218 2.838 20 5 CFBDRN CC[C@H]1Cc2ccccc2CN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596197363 349824827 /nfs/dbraw/zinc/82/48/27/349824827.db2.gz UIYVLXVWLOAHKP-ZDUSSCGKSA-N 0 0 298.346 2.913 20 5 CFBDRN Cc1cc(NCc2nnc(C3CC3)o2)c([N+](=O)[O-])s1 ZINC000596199834 349825783 /nfs/dbraw/zinc/82/57/83/349825783.db2.gz JOSOVMMDHUYLBQ-UHFFFAOYSA-N 0 0 280.309 2.837 20 5 CFBDRN C[C@@H]1C[C@@H](O)CN1c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596205468 349826639 /nfs/dbraw/zinc/82/66/39/349826639.db2.gz IOKCHJCBUZMIAG-VXNVDRBHSA-N 0 0 290.241 2.573 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H](C)OC(C)(C)C1 ZINC000301150917 136423955 /nfs/dbraw/zinc/42/39/55/136423955.db2.gz DCXCPGHMOKGLTJ-LLVKDONJSA-N 0 0 264.325 2.907 20 5 CFBDRN CC1(C)C[C@@H](Nc2c(Cl)cncc2[N+](=O)[O-])C(C)(C)O1 ZINC000596182643 349819311 /nfs/dbraw/zinc/81/93/11/349819311.db2.gz DOKPNWIROQHERQ-SNVBAGLBSA-N 0 0 299.758 2.823 20 5 CFBDRN Cc1cc(N2C[C@H](C)O[C@@H](C3CC3)C2)c([N+](=O)[O-])s1 ZINC000596224056 349832969 /nfs/dbraw/zinc/83/29/69/349832969.db2.gz UATFTMMWNIJMQG-QPUJVOFHSA-N 0 0 282.365 2.968 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC1(C(F)(F)F)CC1 ZINC000596229553 349833806 /nfs/dbraw/zinc/83/38/06/349833806.db2.gz MTIHXUWSXVFZEU-UHFFFAOYSA-N 0 0 295.648 2.820 20 5 CFBDRN CC(C)CN(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000270306917 190643584 /nfs/dbraw/zinc/64/35/84/190643584.db2.gz XXGUANSMRKCIJD-UHFFFAOYSA-N 0 0 289.335 2.733 20 5 CFBDRN CC1(C)C(CNc2cc[nH]c(=O)c2[N+](=O)[O-])C1(C)C ZINC000596219410 349830012 /nfs/dbraw/zinc/83/00/12/349830012.db2.gz SHWITALVBFZARE-UHFFFAOYSA-N 0 0 265.313 2.790 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@H]1CCC(F)(F)C1 ZINC000596218934 349830045 /nfs/dbraw/zinc/83/00/45/349830045.db2.gz KZKKXUNJZMTRPK-ZETCQYMHSA-N 0 0 291.685 2.912 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596217702 349830373 /nfs/dbraw/zinc/83/03/73/349830373.db2.gz OTJUASWVMZHRNR-BDAKNGLRSA-N 0 0 251.286 2.544 20 5 CFBDRN CO[C@H](CNc1ncccc1[N+](=O)[O-])Cc1ccccc1 ZINC000295071441 199329639 /nfs/dbraw/zinc/32/96/39/199329639.db2.gz ZVRKGEQOBKCXPZ-ZDUSSCGKSA-N 0 0 287.319 2.659 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@@H](C(F)F)C1 ZINC000596221602 349832115 /nfs/dbraw/zinc/83/21/15/349832115.db2.gz ZPFYPFQTLTTZIC-ZCFIWIBFSA-N 0 0 277.658 2.735 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596221570 349832134 /nfs/dbraw/zinc/83/21/34/349832134.db2.gz YXIYIPUELNMEIY-ZJUUUORDSA-N 0 0 277.324 2.542 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1N1CC[C@H](F)C1 ZINC000596253441 349842228 /nfs/dbraw/zinc/84/22/28/349842228.db2.gz UGUKHGWKUKTTDQ-ZETCQYMHSA-N 0 0 289.104 2.906 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000596255717 349842713 /nfs/dbraw/zinc/84/27/13/349842713.db2.gz RCZVZTSVVCEFSE-QMMMGPOBSA-N 0 0 287.266 2.621 20 5 CFBDRN Cc1noc(COc2ccc3c(c2)CCC3)c1[N+](=O)[O-] ZINC000596236546 349835683 /nfs/dbraw/zinc/83/56/83/349835683.db2.gz ODYVSQXHVUUQII-UHFFFAOYSA-N 0 0 274.276 2.959 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCC1(F)CCC1 ZINC000596242968 349837974 /nfs/dbraw/zinc/83/79/74/349837974.db2.gz HJBKOJSJKARMBI-UHFFFAOYSA-N 0 0 273.695 2.759 20 5 CFBDRN CCOc1cc(N2C[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000596245813 349839125 /nfs/dbraw/zinc/83/91/25/349839125.db2.gz AMGZSICNCYJPSP-UWVGGRQHSA-N 0 0 250.298 2.838 20 5 CFBDRN CC(C)(CCNc1ccc([N+](=O)[O-])c(C(N)=O)c1)C1CC1 ZINC000596248261 349839734 /nfs/dbraw/zinc/83/97/34/349839734.db2.gz VGJVJUHWFCKQJO-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN Cc1ccnc(N2CCC=C(c3cccnc3)C2)c1[N+](=O)[O-] ZINC000596253016 349841221 /nfs/dbraw/zinc/84/12/21/349841221.db2.gz UYKGWYHJSLGFQT-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN Cc1cc(N[C@H](C)CCCCO)c([N+](=O)[O-])s1 ZINC000596251612 349841296 /nfs/dbraw/zinc/84/12/96/349841296.db2.gz ZCFNVQKGCPIRRU-MRVPVSSYSA-N 0 0 258.343 2.928 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000596258389 349843903 /nfs/dbraw/zinc/84/39/03/349843903.db2.gz NNXOEJNHTQWKFQ-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3ccncc3)C2)cn1 ZINC000596253640 349842134 /nfs/dbraw/zinc/84/21/34/349842134.db2.gz FCJSAKJIYRMIQH-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596269947 349848577 /nfs/dbraw/zinc/84/85/77/349848577.db2.gz IGVRRGIVDQKJGM-BXKDBHETSA-N 0 0 251.286 2.542 20 5 CFBDRN CO[C@H](CNc1c(Cl)cncc1[N+](=O)[O-])CC(C)C ZINC000596271467 349849303 /nfs/dbraw/zinc/84/93/03/349849303.db2.gz DADPAWJVNBTOPA-VIFPVBQESA-N 0 0 287.747 2.538 20 5 CFBDRN CC(C)(CNc1c(Cl)cncc1[N+](=O)[O-])OCC1CC1 ZINC000596271333 349849335 /nfs/dbraw/zinc/84/93/35/349849335.db2.gz NGHWPXQBTYJJCW-UHFFFAOYSA-N 0 0 299.758 2.682 20 5 CFBDRN CCOc1cc(N2CCC[C@H]([C@@H](C)O)C2)ccc1[N+](=O)[O-] ZINC000301791384 136439897 /nfs/dbraw/zinc/43/98/97/136439897.db2.gz RJMGSYDRIOKEOL-NEPJUHHUSA-N 0 0 294.351 2.591 20 5 CFBDRN COc1cccc(Cn2cc(C)c([N+](=O)[O-])n2)c1Cl ZINC000596280076 349851716 /nfs/dbraw/zinc/85/17/16/349851716.db2.gz ZWQDWJQHEULGFQ-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN CC[C@@H](O)CNc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596279660 349851405 /nfs/dbraw/zinc/85/14/05/349851405.db2.gz YWBOQNSIKBAPBJ-MRVPVSSYSA-N 0 0 278.230 2.796 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1ccc([N+](=O)[O-])cc1 ZINC000596259995 349844915 /nfs/dbraw/zinc/84/49/15/349844915.db2.gz CKISUHZXWCBIIV-UHFFFAOYSA-N 0 0 260.297 2.589 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000596263778 349847089 /nfs/dbraw/zinc/84/70/89/349847089.db2.gz GJOAUYKONRTUPC-PHIMTYICSA-N 0 0 280.324 2.891 20 5 CFBDRN CC[N@@H+]1CCCN(c2cc(C)ccc2[N+](=O)[O-])[C@H](C)C1 ZINC000596268558 349847789 /nfs/dbraw/zinc/84/77/89/349847789.db2.gz JWYONAOTVZSHJN-CYBMUJFWSA-N 0 0 277.368 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000596268245 349847941 /nfs/dbraw/zinc/84/79/41/349847941.db2.gz INVCHPWVXHVYEZ-UTUOFQBUSA-N 0 0 262.309 2.501 20 5 CFBDRN CC1(C)C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CO1 ZINC000596312807 349860913 /nfs/dbraw/zinc/86/09/13/349860913.db2.gz YIEFXORYZCNLIN-SECBINFHSA-N 0 0 277.324 2.542 20 5 CFBDRN COC1CC(CCNc2ccc([N+](=O)[O-])cc2F)C1 ZINC000596312767 349860654 /nfs/dbraw/zinc/86/06/54/349860654.db2.gz XBVUGADKMBYHDI-UHFFFAOYSA-N 0 0 268.288 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3C[C@@H]4CCCC[C@@H]43)c2c1 ZINC000596295351 349855420 /nfs/dbraw/zinc/85/54/20/349855420.db2.gz VCLUVVQFOCQCKG-HZMBPMFUSA-N 0 0 284.319 2.917 20 5 CFBDRN CCc1cnn(Cc2c(F)cc([N+](=O)[O-])cc2F)c1 ZINC000596303828 349857072 /nfs/dbraw/zinc/85/70/72/349857072.db2.gz DEWAXVDJQIHBSM-UHFFFAOYSA-N 0 0 267.235 2.680 20 5 CFBDRN Cc1noc(COc2cccc3c2OCCC3)c1[N+](=O)[O-] ZINC000596304897 349857212 /nfs/dbraw/zinc/85/72/12/349857212.db2.gz PQRCGOZTRGGVIY-UHFFFAOYSA-N 0 0 290.275 2.795 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000073336074 346971790 /nfs/dbraw/zinc/97/17/90/346971790.db2.gz OEXGNMWYZBFDTG-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN CO[C@@H](CNc1cc(C)c([N+](=O)[O-])cc1F)[C@@H]1CCOC1 ZINC000596318577 349862137 /nfs/dbraw/zinc/86/21/37/349862137.db2.gz OMNVRTSWFPDNKX-YGRLFVJLSA-N 0 0 298.314 2.506 20 5 CFBDRN CC[C@H](COCC1CC1)Nc1ccc([N+](=O)[O-])cn1 ZINC000596325612 349863998 /nfs/dbraw/zinc/86/39/98/349863998.db2.gz CEWMEQGQZVMIPC-LLVKDONJSA-N 0 0 265.313 2.607 20 5 CFBDRN Nc1ccc(N2CCC(c3ccco3)CC2)nc1[N+](=O)[O-] ZINC000596330517 349866102 /nfs/dbraw/zinc/86/61/02/349866102.db2.gz SOOXWWWFAREKGR-UHFFFAOYSA-N 0 0 288.307 2.549 20 5 CFBDRN CC(C)[C@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)C(C)(C)O ZINC000295082200 199335646 /nfs/dbraw/zinc/33/56/46/199335646.db2.gz RJFBJSOBRXDHKW-LBPRGKRZSA-N 0 0 292.339 2.679 20 5 CFBDRN Cc1noc(CSCc2noc(C3CC3)n2)c1[N+](=O)[O-] ZINC000596347202 349872505 /nfs/dbraw/zinc/87/25/05/349872505.db2.gz LDLVBVZVOGPWCP-UHFFFAOYSA-N 0 0 296.308 2.585 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000596333915 349867543 /nfs/dbraw/zinc/86/75/43/349867543.db2.gz DHMBAOITGNPLKU-ZDUSSCGKSA-N 0 0 297.742 2.649 20 5 CFBDRN CS[C@H](C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000128111990 187352220 /nfs/dbraw/zinc/35/22/20/187352220.db2.gz LDPFWCJDVKROIF-SNVBAGLBSA-N 0 0 294.376 2.621 20 5 CFBDRN C[C@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)C(F)(F)F ZINC000074238135 347004805 /nfs/dbraw/zinc/00/48/05/347004805.db2.gz VIASJNNNRDKLGU-SSDOTTSWSA-N 0 0 288.225 2.682 20 5 CFBDRN Cc1noc(CSCCOCC2CCC2)c1[N+](=O)[O-] ZINC000596370504 349880737 /nfs/dbraw/zinc/88/07/37/349880737.db2.gz CZZKNUZUJHWERQ-UHFFFAOYSA-N 0 0 286.353 2.941 20 5 CFBDRN C[S@](=O)[C@H]1CCC[C@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000596371934 349881237 /nfs/dbraw/zinc/88/12/37/349881237.db2.gz FMULRHLCPIVEDX-ZMFHDSSTSA-N 0 0 288.394 2.758 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000074234788 347003824 /nfs/dbraw/zinc/00/38/24/347003824.db2.gz UKKPSGANLNTYKT-ZCFIWIBFSA-N 0 0 292.213 2.740 20 5 CFBDRN O=C(/C=C/C1CC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000074235050 347003912 /nfs/dbraw/zinc/00/39/12/347003912.db2.gz JUAVZHLFZYHHNC-ZZXKWVIFSA-N 0 0 250.229 2.639 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])N[C@@H]1CC=CCC1 ZINC000074330167 347010036 /nfs/dbraw/zinc/01/00/36/347010036.db2.gz GSBQWKSTDCCXTP-CYBMUJFWSA-N 0 0 289.335 2.545 20 5 CFBDRN CN(CCc1cccs1)c1ncc([N+](=O)[O-])cc1F ZINC000413172604 529936536 /nfs/dbraw/zinc/93/65/36/529936536.db2.gz RCSBIMDGHMIKCB-UHFFFAOYSA-N 0 0 281.312 2.869 20 5 CFBDRN COc1ccc(CSCC[C@H](C)O)cc1[N+](=O)[O-] ZINC000596406540 349891757 /nfs/dbraw/zinc/89/17/57/349891757.db2.gz FJJAUWWPUSQMGD-VIFPVBQESA-N 0 0 271.338 2.608 20 5 CFBDRN Cc1ccc2c(c1)CN(c1cccnc1[N+](=O)[O-])CC2 ZINC000596410294 349893722 /nfs/dbraw/zinc/89/37/22/349893722.db2.gz QFHIVBHQOJSQJR-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN NC(=O)c1ccc(CSCC2CC=CC2)c([N+](=O)[O-])c1 ZINC000596412947 349894736 /nfs/dbraw/zinc/89/47/36/349894736.db2.gz OOSALUDTHXDSPV-UHFFFAOYSA-N 0 0 292.360 2.893 20 5 CFBDRN CC[C@@H](C)CCNc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000596416207 349896820 /nfs/dbraw/zinc/89/68/20/349896820.db2.gz QOZMWCDMHPOPAG-SECBINFHSA-N 0 0 281.312 2.625 20 5 CFBDRN CC(C)(C)Oc1cc(NC/C=C/CO)ccc1[N+](=O)[O-] ZINC000596424961 349898954 /nfs/dbraw/zinc/89/89/54/349898954.db2.gz VIZQRFBPFNRXKC-SNAWJCMRSA-N 0 0 280.324 2.733 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000074658674 347025228 /nfs/dbraw/zinc/02/52/28/347025228.db2.gz AQYYMHOGXICGSR-GFCCVEGCSA-N 0 0 276.336 2.928 20 5 CFBDRN C[C@@H](CO)[C@H]1CCCCN1c1ccccc1[N+](=O)[O-] ZINC000596432253 349901193 /nfs/dbraw/zinc/90/11/93/349901193.db2.gz DCPBIUVSLJQMHU-NWDGAFQWSA-N 0 0 264.325 2.582 20 5 CFBDRN COC(=O)c1cccc(Oc2cc(C)c([N+](=O)[O-])cn2)c1 ZINC000074725411 347028674 /nfs/dbraw/zinc/02/86/74/347028674.db2.gz FTLUEFVBGBFMAH-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN O=C(/C=C/C1CC1)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000074729860 347029606 /nfs/dbraw/zinc/02/96/06/347029606.db2.gz QMVKKYWAFPMQEJ-FPYGCLRLSA-N 0 0 298.302 2.685 20 5 CFBDRN Cc1cc(N(C)Cc2ccc(Cl)nc2)ncc1[N+](=O)[O-] ZINC000074739209 347029762 /nfs/dbraw/zinc/02/97/62/347029762.db2.gz QGCKSVSINTYXHL-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN CCOC[C@@H]1CCCN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000074751733 347030542 /nfs/dbraw/zinc/03/05/42/347030542.db2.gz ZIKDSQYGBCSSNP-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCO[C@@H]1CC1(F)F ZINC000596446468 349905556 /nfs/dbraw/zinc/90/55/56/349905556.db2.gz GRKJNEOCMXLYDE-SECBINFHSA-N 0 0 276.214 2.570 20 5 CFBDRN CCC(CC)CN(CC)c1ncc([N+](=O)[O-])cn1 ZINC000273190323 192069369 /nfs/dbraw/zinc/06/93/69/192069369.db2.gz PPGVHELMEWCGJF-UHFFFAOYSA-N 0 0 252.318 2.647 20 5 CFBDRN Cc1cc(NCCO[C@H]2CC2(F)F)ccc1[N+](=O)[O-] ZINC000596447992 349906139 /nfs/dbraw/zinc/90/61/39/349906139.db2.gz PLJVPCYJQZYFTC-NSHDSACASA-N 0 0 272.251 2.739 20 5 CFBDRN C[C@@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])C[C@@H](C)C1=O ZINC000596448389 349906181 /nfs/dbraw/zinc/90/61/81/349906181.db2.gz YDIPKYWTXJONMR-GHMZBOCLSA-N 0 0 299.330 2.804 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cccnc32)C[C@@H](C)C1=O ZINC000596448381 349906392 /nfs/dbraw/zinc/90/63/92/349906392.db2.gz XXLTVUAKGIKLHM-GHMZBOCLSA-N 0 0 299.330 2.804 20 5 CFBDRN Cc1ccc(CCNc2c([N+](=O)[O-])ncn2C)cc1C ZINC000596451742 349906429 /nfs/dbraw/zinc/90/64/29/349906429.db2.gz FVERWOXWELTDIV-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN COc1cc(N(C)CC2=CCCOC2)c([N+](=O)[O-])cc1F ZINC000596466122 349909224 /nfs/dbraw/zinc/90/92/24/349909224.db2.gz QWGVAHYOFSJAQO-UHFFFAOYSA-N 0 0 296.298 2.525 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)O1 ZINC000596471296 349910674 /nfs/dbraw/zinc/91/06/74/349910674.db2.gz ZECBWYILJDMWRO-ZWNOBZJWSA-N 0 0 293.323 2.674 20 5 CFBDRN C[C@H]1CCC[C@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)O1 ZINC000596470822 349909907 /nfs/dbraw/zinc/90/99/07/349909907.db2.gz QRPRQAKWXMDRDH-GXFFZTMASA-N 0 0 293.323 2.674 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)C ZINC000075062711 347052767 /nfs/dbraw/zinc/05/27/67/347052767.db2.gz BLAMOXYHJWJKON-GFCCVEGCSA-N 0 0 283.284 2.586 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000075065910 347053532 /nfs/dbraw/zinc/05/35/32/347053532.db2.gz QPWDLYUOCHSMOX-CMPLNLGQSA-N 0 0 291.351 2.579 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1c1nc2sccn2c1[N+](=O)[O-] ZINC000075065877 347053536 /nfs/dbraw/zinc/05/35/36/347053536.db2.gz KMTXXEDCGQPIDA-BDAKNGLRSA-N 0 0 280.353 2.929 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000596474852 349911934 /nfs/dbraw/zinc/91/19/34/349911934.db2.gz PLCQZJSANPTPSW-ZYHUDNBSSA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@]1(CCNc2ccc(C(N)=O)cc2[N+](=O)[O-])CC1(F)F ZINC000596475027 349912308 /nfs/dbraw/zinc/91/23/08/349912308.db2.gz FJRCMRZZKRQOJM-LBPRGKRZSA-N 0 0 299.277 2.541 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H](CCF)C1 ZINC000596480060 349914443 /nfs/dbraw/zinc/91/44/43/349914443.db2.gz YPNWIPBACMUZNS-JTQLQIEISA-N 0 0 253.277 2.566 20 5 CFBDRN Cc1cc(N2C[C@H]3COC[C@]3(C)C2)c(F)cc1[N+](=O)[O-] ZINC000596480280 349914491 /nfs/dbraw/zinc/91/44/91/349914491.db2.gz MFDYIMINUASBTI-HZMBPMFUSA-N 0 0 280.299 2.515 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1ccnc3cc([N+](=O)[O-])ccc31)C2 ZINC000596480879 349915166 /nfs/dbraw/zinc/91/51/66/349915166.db2.gz RIRKTGCEUYUUBY-MEDUHNTESA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](CCF)C2)c(F)c1 ZINC000596481473 349915172 /nfs/dbraw/zinc/91/51/72/349915172.db2.gz XLWCXHCQBOTVQO-VIFPVBQESA-N 0 0 271.267 2.705 20 5 CFBDRN CN(Cc1ccncc1)Cc1cccc([N+](=O)[O-])c1 ZINC000178505292 530011013 /nfs/dbraw/zinc/01/10/13/530011013.db2.gz VSCATSSCYJCZJE-UHFFFAOYSA-N 0 0 257.293 2.622 20 5 CFBDRN CN(Cc1nccs1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000428001615 530028933 /nfs/dbraw/zinc/02/89/33/530028933.db2.gz RFSLCSORPHCZHE-UHFFFAOYSA-N 0 0 289.320 2.564 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000596485117 349916237 /nfs/dbraw/zinc/91/62/37/349916237.db2.gz SXXGCCVKOGQFGE-ZDUSSCGKSA-N 0 0 263.297 2.905 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N(CCC1CC1)CC1CC1 ZINC000596487540 349917097 /nfs/dbraw/zinc/91/70/97/349917097.db2.gz GVXADFCXQCCETA-UHFFFAOYSA-N 0 0 277.324 2.575 20 5 CFBDRN Cc1nc(NCC[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000273214501 192076756 /nfs/dbraw/zinc/07/67/56/192076756.db2.gz ULFDJUIRMRAFNM-CMPLNLGQSA-N 0 0 279.340 2.915 20 5 CFBDRN CC(C)CCN(C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000075433143 347076805 /nfs/dbraw/zinc/07/68/05/347076805.db2.gz FFPOTWCBFKZZBG-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN COC(=O)C[C@H](CNc1ccsc1[N+](=O)[O-])C1CC1 ZINC000596490225 349918008 /nfs/dbraw/zinc/91/80/08/349918008.db2.gz IHJLUEZHDQIRRX-SECBINFHSA-N 0 0 284.337 2.658 20 5 CFBDRN CNC(=O)c1ccc(OCc2cccc([N+](=O)[O-])c2)cc1 ZINC000075480661 347079573 /nfs/dbraw/zinc/07/95/73/347079573.db2.gz XJEUJBXTMOKGTL-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN COC(=O)C[C@@H](CNc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000596491125 349918686 /nfs/dbraw/zinc/91/86/86/349918686.db2.gz PMPCVSKBPKAYGY-LBPRGKRZSA-N 0 0 292.335 2.904 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]([C@H]3CCCCO3)C2)nc1 ZINC000596501098 349921774 /nfs/dbraw/zinc/92/17/74/349921774.db2.gz BGVQKLCIDKAWJK-GXTWGEPZSA-N 0 0 291.351 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1cccnc1 ZINC000127353353 187299941 /nfs/dbraw/zinc/29/99/41/187299941.db2.gz KAGAHLFHJFPJLW-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2C[C@@H](O)C23CCC3)c(F)c1 ZINC000596506583 349923084 /nfs/dbraw/zinc/92/30/84/349923084.db2.gz IQEVNXSJAQJHRD-GHMZBOCLSA-N 0 0 284.262 2.588 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@@H](O)C23CCC3)c([N+](=O)[O-])c1 ZINC000596510128 349924712 /nfs/dbraw/zinc/92/47/12/349924712.db2.gz ODWQGRKLPGANTA-ZIAGYGMSSA-N 0 0 290.319 2.513 20 5 CFBDRN CCSC1(CNc2ccc([N+](=O)[O-])nc2)CC1 ZINC000596511960 349925498 /nfs/dbraw/zinc/92/54/98/349925498.db2.gz XBZNUSNSDXZJAR-UHFFFAOYSA-N 0 0 253.327 2.687 20 5 CFBDRN Cc1cc(NCC2CCC(O)CC2)c([N+](=O)[O-])s1 ZINC000596512970 349926099 /nfs/dbraw/zinc/92/60/99/349926099.db2.gz NJUTVDCHACSLDO-UHFFFAOYSA-N 0 0 270.354 2.928 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H](O)C23CCC3)c2ncccc12 ZINC000596513811 349926774 /nfs/dbraw/zinc/92/67/74/349926774.db2.gz WHGKBOZKLCZIDN-KGLIPLIRSA-N 0 0 299.330 2.858 20 5 CFBDRN C[C@@H]1COC2(CCCC2)CN1c1ccc([N+](=O)[O-])cn1 ZINC000596514460 349926833 /nfs/dbraw/zinc/92/68/33/349926833.db2.gz HRCHESUZXCMLFW-LLVKDONJSA-N 0 0 277.324 2.528 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](O)C23CCC3)c([N+](=O)[O-])s1 ZINC000596515505 349927276 /nfs/dbraw/zinc/92/72/76/349927276.db2.gz YWCGJLKZEKYTOO-UWVGGRQHSA-N 0 0 268.338 2.680 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@H](O)C23CCC3)c1[N+](=O)[O-] ZINC000596515531 349927415 /nfs/dbraw/zinc/92/74/15/349927415.db2.gz ZIMWQLQWEZMELZ-OLZOCXBDSA-N 0 0 292.335 2.709 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c(N)c1F)C1CCCC1 ZINC000596525996 349929809 /nfs/dbraw/zinc/92/98/09/349929809.db2.gz NVGVTNPVYSAUSC-LBPRGKRZSA-N 0 0 297.330 2.933 20 5 CFBDRN CC(C)(C)N1C[C@H](CNc2ccc([N+](=O)[O-])s2)CC1=O ZINC000596522644 349928821 /nfs/dbraw/zinc/92/88/21/349928821.db2.gz XOROSWSVVJWKOF-VIFPVBQESA-N 0 0 297.380 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3COC[C@H]3C2)c2ccncc21 ZINC000596528693 349931309 /nfs/dbraw/zinc/93/13/09/349931309.db2.gz ODIQXEKMNKMNPL-VXGBXAGGSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1ccnc(N2CCC(c3ccon3)CC2)c1[N+](=O)[O-] ZINC000596527897 349930536 /nfs/dbraw/zinc/93/05/36/349930536.db2.gz GHBHJGQZXJFOSH-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN Cc1occc1CN(C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000133214841 232210045 /nfs/dbraw/zinc/21/00/45/232210045.db2.gz YQZSBHMRLKJVPC-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000596539488 349934778 /nfs/dbraw/zinc/93/47/78/349934778.db2.gz BSURZSRBKQDAFQ-RNCFNFMXSA-N 0 0 284.743 2.703 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1[C@@H]2Cc3cc(F)ccc3[C@@H]21 ZINC000596540021 349934842 /nfs/dbraw/zinc/93/48/42/349934842.db2.gz NASKFUJXLOLCAQ-KWCYVHTRSA-N 0 0 285.278 2.879 20 5 CFBDRN Cc1cccc2c1CCC[C@H]2Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000596541957 349936821 /nfs/dbraw/zinc/93/68/21/349936821.db2.gz FBLNIHGARXAUKA-GFCCVEGCSA-N 0 0 299.334 2.595 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2c1ncc([N+](=O)[O-])s1 ZINC000596543678 349937145 /nfs/dbraw/zinc/93/71/45/349937145.db2.gz QADSNRZOAAKCKC-CBLAIPOGSA-N 0 0 253.327 2.819 20 5 CFBDRN C[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1nccn1C ZINC000596541412 349935939 /nfs/dbraw/zinc/93/59/39/349935939.db2.gz FUZJCZDBPJRANI-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN COc1cccc(NC[C@H]2CCOC2(C)C)c1[N+](=O)[O-] ZINC000596543438 349936489 /nfs/dbraw/zinc/93/64/89/349936489.db2.gz KQEINNGEHKXAOL-SNVBAGLBSA-N 0 0 280.324 2.830 20 5 CFBDRN COCC(C)(C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000155216893 232229657 /nfs/dbraw/zinc/22/96/57/232229657.db2.gz ODYVUTAXDMPNAA-LLVKDONJSA-N 0 0 294.351 2.753 20 5 CFBDRN CC1(C)CC(Nc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)C1 ZINC000596554159 349939847 /nfs/dbraw/zinc/93/98/47/349939847.db2.gz VAYDPUJRIUPZSK-UHFFFAOYSA-N 0 0 298.364 2.599 20 5 CFBDRN CN(CC1=CCSC1)c1sccc1[N+](=O)[O-] ZINC000596553839 349939883 /nfs/dbraw/zinc/93/98/83/349939883.db2.gz MZTLJGNYLZSCBZ-UHFFFAOYSA-N 0 0 256.352 2.766 20 5 CFBDRN COC(=O)c1cc(C)nc(NC2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000596552547 349939925 /nfs/dbraw/zinc/93/99/25/349939925.db2.gz NNHDIYIQZKIXPP-UHFFFAOYSA-N 0 0 293.323 2.685 20 5 CFBDRN Cc1ccccc1NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000173015881 232272176 /nfs/dbraw/zinc/27/21/76/232272176.db2.gz ZSKRIAGSMIDHQD-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN Cc1ccc(NC(=O)NCCC2CC2)cc1[N+](=O)[O-] ZINC000174188013 232290140 /nfs/dbraw/zinc/29/01/40/232290140.db2.gz MAARWIQVDFYHKP-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN C[C@@H](CCO)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000097443065 185605683 /nfs/dbraw/zinc/60/56/83/185605683.db2.gz VFSPBFOVCFPGGV-QMMMGPOBSA-N 0 0 258.705 2.679 20 5 CFBDRN COCc1nc(CNc2ccccc2[N+](=O)[O-])cs1 ZINC000290744735 197804526 /nfs/dbraw/zinc/80/45/26/197804526.db2.gz RWLIVRHJSMCUFZ-UHFFFAOYSA-N 0 0 279.321 2.810 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)NCc2ccccc2[N+](=O)[O-])O1 ZINC000175502097 232312630 /nfs/dbraw/zinc/31/26/30/232312630.db2.gz VQNYZFOCMZJDRD-YPMHNXCESA-N 0 0 292.335 2.559 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@H]1O ZINC000273268642 192093763 /nfs/dbraw/zinc/09/37/63/192093763.db2.gz JJBBCAFSVDMUAQ-VXGBXAGGSA-N 0 0 298.408 2.885 20 5 CFBDRN Cc1ccccc1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000175668895 232316784 /nfs/dbraw/zinc/31/67/84/232316784.db2.gz BKOXRDNPIQIIBL-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1nc(NC(=O)c2ccsc2)ccc1[N+](=O)[O-] ZINC000175673422 232317106 /nfs/dbraw/zinc/31/71/06/232317106.db2.gz OHEYLSUHZAZWQX-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Nc1c(C(=O)NCCCC2CCCC2)cccc1[N+](=O)[O-] ZINC000175906495 232322330 /nfs/dbraw/zinc/32/23/30/232322330.db2.gz POEOJYQUMXKKIA-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN Cc1ocnc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000176497704 232334770 /nfs/dbraw/zinc/33/47/70/232334770.db2.gz AQFDAIZAKDKEQH-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2C[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000176547779 232335015 /nfs/dbraw/zinc/33/50/15/232335015.db2.gz PRTFWVLFDPZHFF-NEPJUHHUSA-N 0 0 260.293 2.888 20 5 CFBDRN O=c1ccn(CCc2c(F)cccc2Cl)cc1[N+](=O)[O-] ZINC000176989307 232346211 /nfs/dbraw/zinc/34/62/11/232346211.db2.gz LWBJJQIWTBBNDD-UHFFFAOYSA-N 0 0 296.685 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](CC(F)(F)F)C2)s1 ZINC000596558564 349941878 /nfs/dbraw/zinc/94/18/78/349941878.db2.gz QTQACCMVRREWNC-ZETCQYMHSA-N 0 0 296.270 2.814 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)C[C@@H]1C ZINC000271020558 290773288 /nfs/dbraw/zinc/77/32/88/290773288.db2.gz UXODLQNRXYOHNM-QWRGUYRKSA-N 0 0 299.330 2.871 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000003190375 346207727 /nfs/dbraw/zinc/20/77/27/346207727.db2.gz PTVIFRYRNAOCOW-IUCAKERBSA-N 0 0 252.270 2.591 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000003190373 346207854 /nfs/dbraw/zinc/20/78/54/346207854.db2.gz PTVIFRYRNAOCOW-RKDXNWHRSA-N 0 0 252.270 2.591 20 5 CFBDRN C[C@@H](CCc1ccco1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000010449008 346227606 /nfs/dbraw/zinc/22/76/06/346227606.db2.gz RCUFGHSYWHKKKD-NSHDSACASA-N 0 0 288.303 2.939 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC000014317825 346233743 /nfs/dbraw/zinc/23/37/43/346233743.db2.gz AVHXKHMYQRXEOZ-SECBINFHSA-N 0 0 287.275 2.892 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2sccc2C1 ZINC000014324498 346234141 /nfs/dbraw/zinc/23/41/41/346234141.db2.gz NQMXGLLYYBWWDE-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN Cc1ccccc1CNC(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000007339819 346217577 /nfs/dbraw/zinc/21/75/77/346217577.db2.gz ISGFIXPDZGOFSK-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN Cc1ccccc1N1CCN(c2ncccc2[N+](=O)[O-])CC1 ZINC000007981247 346221197 /nfs/dbraw/zinc/22/11/97/346221197.db2.gz ZQDLSTTWDQMZFQ-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@H]2CCCC[C@@H]21 ZINC000008368155 346223975 /nfs/dbraw/zinc/22/39/75/346223975.db2.gz UQAPHNVXYQRFSK-MFKMUULPSA-N 0 0 277.324 2.718 20 5 CFBDRN CC12CC(C1)CN2c1ncnc2cccc([N+](=O)[O-])c21 ZINC000596574236 349945475 /nfs/dbraw/zinc/94/54/75/349945475.db2.gz GQWYMTZEHHHAPO-UHFFFAOYSA-N 0 0 270.292 2.527 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000020479257 346244178 /nfs/dbraw/zinc/24/41/78/346244178.db2.gz PFROEUNWTNOMNY-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1c1ccccc1 ZINC000022552141 346249730 /nfs/dbraw/zinc/24/97/30/346249730.db2.gz AYIOQWVJQMUJGQ-AWEZNQCLSA-N 0 0 299.330 2.911 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@@H]2c2ccncc2)nc1 ZINC000596576040 349946245 /nfs/dbraw/zinc/94/62/45/349946245.db2.gz YMIVNEFQPOKLHA-ZDUSSCGKSA-N 0 0 297.318 2.511 20 5 CFBDRN CN(C)c1ccccc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000023152074 346251387 /nfs/dbraw/zinc/25/13/87/346251387.db2.gz FEYYMDNTTJSWEQ-UHFFFAOYSA-N 0 0 285.303 2.913 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCO[C@@H](c2ccccc2)C1 ZINC000023888507 346253868 /nfs/dbraw/zinc/25/38/68/346253868.db2.gz OMLMWOAQBJBJDB-CQSZACIVSA-N 0 0 285.303 2.568 20 5 CFBDRN Cc1ccc(OCC(=O)c2ccn(C)c2)c([N+](=O)[O-])c1 ZINC000024733269 346256490 /nfs/dbraw/zinc/25/64/90/346256490.db2.gz AAJGOWYZUNSWPX-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN CCn1nccc1CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000596573047 349945291 /nfs/dbraw/zinc/94/52/91/349945291.db2.gz SCEVMYCNIZWIRM-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN Cc1ccsc1CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000025717199 346261328 /nfs/dbraw/zinc/26/13/28/346261328.db2.gz NVPDSWMBJKZQBE-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN Cc1cccc(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)c1 ZINC000026885063 346267317 /nfs/dbraw/zinc/26/73/17/346267317.db2.gz XIZOWIZUUVZXBH-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC1CC1 ZINC000027464880 346269285 /nfs/dbraw/zinc/26/92/85/346269285.db2.gz UYIUBOPBDHRXRM-VIFPVBQESA-N 0 0 280.349 2.602 20 5 CFBDRN CC(C)(C)CCNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000031760319 346297070 /nfs/dbraw/zinc/29/70/70/346297070.db2.gz OOHVJIAULRJRGQ-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCCSc1ccccc1 ZINC000030583504 346289021 /nfs/dbraw/zinc/28/90/21/346289021.db2.gz OVAWICWKFXBGEP-UHFFFAOYSA-N 0 0 292.364 2.923 20 5 CFBDRN Cc1ccc(CCNC(=O)Nc2cccc([N+](=O)[O-])c2)o1 ZINC000031099481 346291742 /nfs/dbraw/zinc/29/17/42/346291742.db2.gz GISMSNLOOTWIKD-UHFFFAOYSA-N 0 0 289.291 2.860 20 5 CFBDRN CO[C@@H]1CC[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000596594536 349951324 /nfs/dbraw/zinc/95/13/24/349951324.db2.gz YWAOGTBMPMXORX-HTQZYQBOSA-N 0 0 295.320 2.989 20 5 CFBDRN CCCCCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000035875150 346320602 /nfs/dbraw/zinc/32/06/02/346320602.db2.gz VBQWIXQJKCRRFX-UHFFFAOYSA-N 0 0 271.338 2.949 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCCCCC1 ZINC000042564708 346367340 /nfs/dbraw/zinc/36/73/40/346367340.db2.gz AZALJQXNHQYQRY-UHFFFAOYSA-N 0 0 254.311 2.673 20 5 CFBDRN Cc1c(OCC(=O)c2ccn(C)c2)cccc1[N+](=O)[O-] ZINC000037991123 346335875 /nfs/dbraw/zinc/33/58/75/346335875.db2.gz PESXTANVCSQYBQ-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])N1CCc2ccccc21 ZINC000042653783 346370001 /nfs/dbraw/zinc/37/00/01/346370001.db2.gz TVKBHARDPWHNIQ-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN CC(C)(C)CC(C)(C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000042705297 346370039 /nfs/dbraw/zinc/37/00/39/346370039.db2.gz NXKKTXAGMGORCJ-UHFFFAOYSA-N 0 0 267.329 2.868 20 5 CFBDRN CC(C)CCN(C(=O)c1ccc([N+](=O)[O-])o1)C1CC1 ZINC000042767864 346370569 /nfs/dbraw/zinc/37/05/69/346370569.db2.gz XQQPCWHILKLARN-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN C[C@@H](Nc1ccccc1[N+](=O)[O-])c1ccc2[nH]c(=O)[nH]c2c1 ZINC000042796891 346371109 /nfs/dbraw/zinc/37/11/09/346371109.db2.gz YDDCFNAONLESFU-SECBINFHSA-N 0 0 298.302 2.938 20 5 CFBDRN C[C@H](Nc1ccccc1[N+](=O)[O-])c1ccc2[nH]c(=O)[nH]c2c1 ZINC000042796887 346371139 /nfs/dbraw/zinc/37/11/39/346371139.db2.gz YDDCFNAONLESFU-VIFPVBQESA-N 0 0 298.302 2.938 20 5 CFBDRN CC[C@@H]1CN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)CCO1 ZINC000043149426 346380217 /nfs/dbraw/zinc/38/02/17/346380217.db2.gz WLAVWXQPLWVRAX-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1sc(C(=O)NCCCOC(C)C)cc1[N+](=O)[O-] ZINC000043732126 346386833 /nfs/dbraw/zinc/38/68/33/346386833.db2.gz CTRBBUPHUSGLCE-UHFFFAOYSA-N 0 0 286.353 2.510 20 5 CFBDRN CCc1noc([C@H](C)N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000043783823 346386940 /nfs/dbraw/zinc/38/69/40/346386940.db2.gz GIMHKCZTTZHCTL-JTQLQIEISA-N 0 0 290.323 2.733 20 5 CFBDRN CN(C)C(=O)c1ccc(CNc2ccc([N+](=O)[O-])cc2)cc1 ZINC000042633199 346369140 /nfs/dbraw/zinc/36/91/40/346369140.db2.gz ATOWGLSOFBRMSM-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)[C@@H]1CCc2ccccc21 ZINC000042638826 346369358 /nfs/dbraw/zinc/36/93/58/346369358.db2.gz FOLPUTSNKGQYRN-GFCCVEGCSA-N 0 0 286.287 2.947 20 5 CFBDRN COCc1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000044549577 346395116 /nfs/dbraw/zinc/39/51/16/346395116.db2.gz POIPHWSVTICRPF-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2ccncc2)cc1[N+](=O)[O-] ZINC000046329238 346425722 /nfs/dbraw/zinc/42/57/22/346425722.db2.gz LFLHAASXGFQUAU-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1cc(C)cc(C)c1 ZINC000047346063 346448797 /nfs/dbraw/zinc/44/87/97/346448797.db2.gz OCNZVXXGHXGTME-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000596627224 349961014 /nfs/dbraw/zinc/96/10/14/349961014.db2.gz WTWJWDOXIUUCNS-QWRGUYRKSA-N 0 0 275.308 2.777 20 5 CFBDRN Cc1ncc(-c2cc(C(F)(F)F)nn2C)cc1[N+](=O)[O-] ZINC000596629718 349962103 /nfs/dbraw/zinc/96/21/03/349962103.db2.gz GLZZZODNLRYENQ-UHFFFAOYSA-N 0 0 286.213 2.718 20 5 CFBDRN COCCOCc1cccc(-c2ccc([N+](=O)[O-])nc2)c1 ZINC000596637702 349964278 /nfs/dbraw/zinc/96/42/78/349964278.db2.gz NMDMVJJGOJJATI-UHFFFAOYSA-N 0 0 288.303 2.820 20 5 CFBDRN CCCOc1cncc(-c2cc(CO)cc([N+](=O)[O-])c2)c1 ZINC000596637397 349964516 /nfs/dbraw/zinc/96/45/16/349964516.db2.gz KJDHGNFIFKJUDF-UHFFFAOYSA-N 0 0 288.303 2.938 20 5 CFBDRN CN(Cc1cscn1)c1ccc([N+](=O)[O-])s1 ZINC000049056420 346478827 /nfs/dbraw/zinc/47/88/27/346478827.db2.gz FBMBNYHFRLSTGB-UHFFFAOYSA-N 0 0 255.324 2.749 20 5 CFBDRN CC(C)C[C@H](C[NH2+]Cc1ccccc1[N+](=O)[O-])CC(=O)[O-] ZINC000596658163 349970211 /nfs/dbraw/zinc/97/02/11/349970211.db2.gz YGKXGIILUSKQDH-LBPRGKRZSA-N 0 0 294.351 2.821 20 5 CFBDRN C[C@@H](CNc1ncccc1[N+](=O)[O-])N(C)c1ccccc1 ZINC000052182951 346516406 /nfs/dbraw/zinc/51/64/06/346516406.db2.gz OWIAQAOEQGNLGL-LBPRGKRZSA-N 0 0 286.335 2.927 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000075721884 347095281 /nfs/dbraw/zinc/09/52/81/347095281.db2.gz ZVJHBVHKWJCEEM-SNVBAGLBSA-N 0 0 290.298 2.841 20 5 CFBDRN CC(C)Oc1ccc(CNc2ncccc2[N+](=O)[O-])cn1 ZINC000052327071 346520666 /nfs/dbraw/zinc/52/06/66/346520666.db2.gz HLTOZLAPXDAXKB-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCSCC2)c2ccncc21 ZINC000052360982 346520804 /nfs/dbraw/zinc/52/08/04/346520804.db2.gz FRMUREKRLWYEBM-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN CCC1CCN(c2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000052489999 346522794 /nfs/dbraw/zinc/52/27/94/346522794.db2.gz AWHCPOBMSNWWKC-UHFFFAOYSA-N 0 0 280.353 2.930 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1nc(C2CC2)no1 ZINC000052473389 346522829 /nfs/dbraw/zinc/52/28/29/346522829.db2.gz OVRRJPZXLPTBOQ-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c(C)c1 ZINC000050823237 346498323 /nfs/dbraw/zinc/49/83/23/346498323.db2.gz QKLVOKSEAZGTPT-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCc1nccs1 ZINC000050953588 346499040 /nfs/dbraw/zinc/49/90/40/346499040.db2.gz SQSBEDQZTRIDAR-UHFFFAOYSA-N 0 0 255.324 2.767 20 5 CFBDRN CC(C)CCNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052604218 346525491 /nfs/dbraw/zinc/52/54/91/346525491.db2.gz ADYOZZMYCWZDTO-UHFFFAOYSA-N 0 0 275.308 2.852 20 5 CFBDRN Cc1nc(CNc2ncc([N+](=O)[O-])cc2C)cs1 ZINC000052812580 346528265 /nfs/dbraw/zinc/52/82/65/346528265.db2.gz TXSCQFNYMDZKAG-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2OC)CC1 ZINC000054612103 346573704 /nfs/dbraw/zinc/57/37/04/346573704.db2.gz WBOTZVKADPTVBZ-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000055455168 346589995 /nfs/dbraw/zinc/58/99/95/346589995.db2.gz AMPUMGJZLUIEKA-UHFFFAOYSA-N 0 0 299.330 2.995 20 5 CFBDRN Cc1ccccc1CC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797376 346596832 /nfs/dbraw/zinc/59/68/32/346596832.db2.gz ASCWJNWOAVTRER-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c(C)n1 ZINC000055796771 346596867 /nfs/dbraw/zinc/59/68/67/346596867.db2.gz RHWWDCHKBNPNCO-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CC(C)(C)n1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)cn1 ZINC000056058240 346603252 /nfs/dbraw/zinc/60/32/52/346603252.db2.gz YTBQQDKYIPMRLN-UHFFFAOYSA-N 0 0 288.307 2.799 20 5 CFBDRN Cc1cc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)no1 ZINC000056202471 346604784 /nfs/dbraw/zinc/60/47/84/346604784.db2.gz OPVUVPPCLGCPLT-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN CC(C)CCSCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000056415352 346608245 /nfs/dbraw/zinc/60/82/45/346608245.db2.gz LQYKLQUFFUIBGD-UHFFFAOYSA-N 0 0 296.392 2.990 20 5 CFBDRN CN(CC1CC1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000058527383 346645628 /nfs/dbraw/zinc/64/56/28/346645628.db2.gz AASXGWOAXIJCQA-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCc2ccc(F)cc21 ZINC000058740708 346649141 /nfs/dbraw/zinc/64/91/41/346649141.db2.gz SOLZKXGDZWSRAK-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN Cc1c(OCC(=O)N2CCCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000059012823 346655128 /nfs/dbraw/zinc/65/51/28/346655128.db2.gz MUPHMBPROCPJDU-NSHDSACASA-N 0 0 292.335 2.683 20 5 CFBDRN CCn1cc(Nc2ccc(C(C)=O)cc2[N+](=O)[O-])cn1 ZINC000059266248 346656012 /nfs/dbraw/zinc/65/60/12/346656012.db2.gz UXKCFNIIKWBEFL-UHFFFAOYSA-N 0 0 274.280 2.757 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC(C)CC2)cc1[N+](=O)[O-] ZINC000060500012 346666985 /nfs/dbraw/zinc/66/69/85/346666985.db2.gz APLQNFWMWJYVRF-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COCCCc1nc2cc3ccccc3cc2c(=O)[nH]1 ZINC000060639237 346670241 /nfs/dbraw/zinc/67/02/41/346670241.db2.gz NNBYTHPAZLIMLH-UHFFFAOYSA-N 0 0 268.316 2.655 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000057304477 346625097 /nfs/dbraw/zinc/62/50/97/346625097.db2.gz FAPXWZHRGMUEJY-MNOVXSKESA-N 0 0 280.324 2.524 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1CCCCO1 ZINC000057543979 346630703 /nfs/dbraw/zinc/63/07/03/346630703.db2.gz QKNJQIIHTQJCRS-NSHDSACASA-N 0 0 267.281 2.551 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@H]1CC(C)(C)C ZINC000057831272 346637965 /nfs/dbraw/zinc/63/79/65/346637965.db2.gz MSAFONBVFNKOAH-SNVBAGLBSA-N 0 0 266.345 2.733 20 5 CFBDRN Cc1cc(OCC(=O)N2CCCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000057947290 346640541 /nfs/dbraw/zinc/64/05/41/346640541.db2.gz JZSPXTYSAZSDST-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN CCCN(CC1CC1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000058167864 346642650 /nfs/dbraw/zinc/64/26/50/346642650.db2.gz PSYCJGFEZDWAFK-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])NCc1ccoc1 ZINC000058269187 346643414 /nfs/dbraw/zinc/64/34/14/346643414.db2.gz UTSJDLZYWCFTMJ-DUXPYHPUSA-N 0 0 290.250 2.657 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])c(N)n2)s1 ZINC000064916075 346728206 /nfs/dbraw/zinc/72/82/06/346728206.db2.gz HQDAUUWPNSGMKC-SSDOTTSWSA-N 0 0 279.325 2.510 20 5 CFBDRN CCN(C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000063926087 346713205 /nfs/dbraw/zinc/71/32/05/346713205.db2.gz GMYQDNAWSQMFMF-XBXARRHUSA-N 0 0 278.283 2.758 20 5 CFBDRN CNC(=O)c1ccc(N(C)CC2CCCC2)c([N+](=O)[O-])c1 ZINC000063992493 346714098 /nfs/dbraw/zinc/71/40/98/346714098.db2.gz RUUNIZSRIFZMFI-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1c(C)cccc1C ZINC000064332047 346717717 /nfs/dbraw/zinc/71/77/17/346717717.db2.gz ANUURCFGGKGYDD-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cccc(Br)c1 ZINC000064332105 346717879 /nfs/dbraw/zinc/71/78/79/346717879.db2.gz BTGAASZENQDGTD-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN CN(Cc1ccsc1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000061083528 346675588 /nfs/dbraw/zinc/67/55/88/346675588.db2.gz DVPVOUNQLNPFTF-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Cn1cc([N+](=O)[O-])ccc1=O ZINC000563441587 290152308 /nfs/dbraw/zinc/15/23/08/290152308.db2.gz YBWQAFDSNSKXJA-WDEREUQCSA-N 0 0 250.298 2.583 20 5 CFBDRN CC(C)n1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000066411976 346759355 /nfs/dbraw/zinc/75/93/55/346759355.db2.gz VLESVFRRLAPRKU-UHFFFAOYSA-N 0 0 274.280 2.625 20 5 CFBDRN CC(=O)Nc1cccc(CNc2ccncc2[N+](=O)[O-])c1 ZINC000066808883 346780801 /nfs/dbraw/zinc/78/08/01/346780801.db2.gz DYUFVGVCTLSOMO-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000066646286 346772596 /nfs/dbraw/zinc/77/25/96/346772596.db2.gz FIEUANBSOAOCGR-SECBINFHSA-N 0 0 275.308 2.947 20 5 CFBDRN CC(C)CN(C(=O)CNc1ccccc1[N+](=O)[O-])C(C)C ZINC000066645744 346773020 /nfs/dbraw/zinc/77/30/20/346773020.db2.gz FVULONKDQZPKHJ-UHFFFAOYSA-N 0 0 293.367 2.900 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccccc1 ZINC000066697303 346774129 /nfs/dbraw/zinc/77/41/29/346774129.db2.gz DHCKAZHIRJGPLF-GFCCVEGCSA-N 0 0 299.330 2.712 20 5 CFBDRN COc1ccc(CNc2ccncc2[N+](=O)[O-])cc1F ZINC000066808310 346779688 /nfs/dbraw/zinc/77/96/88/346779688.db2.gz GGOGTGJZRSGSPB-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN COc1ccc(OC)c(CNc2ccncc2[N+](=O)[O-])c1 ZINC000066808098 346779889 /nfs/dbraw/zinc/77/98/89/346779889.db2.gz IKDIIGWIHUJIDQ-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@H](C)S[C@@H](C)C1 ZINC000075893435 347107055 /nfs/dbraw/zinc/10/70/55/347107055.db2.gz JPRHLHQUUWILMC-QWRGUYRKSA-N 0 0 296.392 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]2(O)CCCc3ccccc32)nc1 ZINC000066859824 346782216 /nfs/dbraw/zinc/78/22/16/346782216.db2.gz JCYLKIFLXVLRQG-MRXNPFEDSA-N 0 0 299.330 2.626 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)C[C@@H](C2CC2)O1 ZINC000280156518 136649987 /nfs/dbraw/zinc/64/99/87/136649987.db2.gz CPAVBYOEYGGGDP-OTYXRUKQSA-N 0 0 280.299 2.738 20 5 CFBDRN COCCCN(C)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000291690691 232592712 /nfs/dbraw/zinc/59/27/12/232592712.db2.gz SJEDCDKMBVXOTQ-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1c1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000067502180 346804977 /nfs/dbraw/zinc/80/49/77/346804977.db2.gz OPWIDUIIXPYSRD-ZIAGYGMSSA-N 0 0 282.299 2.881 20 5 CFBDRN CCO[C@@H]1CCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000076399862 347136619 /nfs/dbraw/zinc/13/66/19/347136619.db2.gz KITNUCONISAYGU-LLVKDONJSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1ccc(CN(C)c2ncccc2[N+](=O)[O-])s1 ZINC000076290747 347132233 /nfs/dbraw/zinc/13/22/33/347132233.db2.gz BEYMETBCYMAGDH-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1cc(NC[C@@H](C)C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000077228401 347177649 /nfs/dbraw/zinc/17/76/49/347177649.db2.gz ZKRGNTDODYNOPL-ONGXEEELSA-N 0 0 252.314 2.722 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H](C)C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000077228749 347178151 /nfs/dbraw/zinc/17/81/51/347178151.db2.gz ROKKFVOMMVPHJX-UWVGGRQHSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@H]2c2ccccc2C)c1[N+](=O)[O-] ZINC000077230276 347178655 /nfs/dbraw/zinc/17/86/55/347178655.db2.gz AHJRYZBYQGLYJP-QWHCGFSZSA-N 0 0 286.335 2.913 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC3(CCCCC3)C2)nc1 ZINC000078423693 347251663 /nfs/dbraw/zinc/25/16/63/347251663.db2.gz HPOCTIJWOOKROI-UHFFFAOYSA-N 0 0 277.324 2.529 20 5 CFBDRN CCc1ccccc1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000077901980 347219294 /nfs/dbraw/zinc/21/92/94/347219294.db2.gz QLPMTPDNPYOWTD-UHFFFAOYSA-N 0 0 299.330 2.868 20 5 CFBDRN Cc1nn(C)c(Oc2cccc(-n3ccnc3)c2)c1[N+](=O)[O-] ZINC000077982092 347222517 /nfs/dbraw/zinc/22/25/17/347222517.db2.gz RZOWRQIESDBPAB-UHFFFAOYSA-N 0 0 299.290 2.615 20 5 CFBDRN Cc1nn(C)c(Oc2ccc(-n3cccn3)cc2)c1[N+](=O)[O-] ZINC000077985243 347222784 /nfs/dbraw/zinc/22/27/84/347222784.db2.gz XJQLTDVDBPENHS-UHFFFAOYSA-N 0 0 299.290 2.615 20 5 CFBDRN Cc1ccc(C[C@@H](C)N(C)c2c([N+](=O)[O-])ncn2C)cc1 ZINC000077989946 347223391 /nfs/dbraw/zinc/22/33/91/347223391.db2.gz VQIQKXIXKMSEDK-GFCCVEGCSA-N 0 0 288.351 2.704 20 5 CFBDRN C[C@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000078000537 347224080 /nfs/dbraw/zinc/22/40/80/347224080.db2.gz NTLYOVUIHQGWII-IUCAKERBSA-N 0 0 268.338 2.917 20 5 CFBDRN COc1ccccc1[C@H]1CCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000078021509 347225092 /nfs/dbraw/zinc/22/50/92/347225092.db2.gz VEYFTMBOCQINAW-LBPRGKRZSA-N 0 0 299.330 2.992 20 5 CFBDRN O=C(CCC1CC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148513 347230729 /nfs/dbraw/zinc/23/07/29/347230729.db2.gz HSUNHMIWMUOREQ-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CC(C)(CO)CCCNc1ccc([N+](=O)[O-])s1 ZINC000078303612 347239392 /nfs/dbraw/zinc/23/93/92/347239392.db2.gz SDYHCQCYMIOHIK-UHFFFAOYSA-N 0 0 258.343 2.867 20 5 CFBDRN COc1cc(NCCCC(C)(C)CO)ccc1[N+](=O)[O-] ZINC000078303648 347239537 /nfs/dbraw/zinc/23/95/37/347239537.db2.gz AYCAZNNXZMVCCC-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1ccccc1[N+](=O)[O-] ZINC000078339348 347243296 /nfs/dbraw/zinc/24/32/96/347243296.db2.gz MZVRRZRBWGXXNI-SNVBAGLBSA-N 0 0 252.314 2.804 20 5 CFBDRN COC(=O)[C@H](CNc1nccc(C)c1[N+](=O)[O-])CC(C)C ZINC000078360857 347246008 /nfs/dbraw/zinc/24/60/08/347246008.db2.gz INKSAZRYQLLCTC-NSHDSACASA-N 0 0 295.339 2.545 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)C12CCC2 ZINC000078360620 347246092 /nfs/dbraw/zinc/24/60/92/347246092.db2.gz BPDXRYBLVQWTRF-NWDGAFQWSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1ccccc1OCCO ZINC000078380460 347248308 /nfs/dbraw/zinc/24/83/08/347248308.db2.gz AMWSKUWWNVMQBF-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN O=[N+]([O-])c1cccc(COc2ccccc2OCCO)c1 ZINC000078380454 347248686 /nfs/dbraw/zinc/24/86/86/347248686.db2.gz BBBRCHNFMLKFCA-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN O=C(NCCc1ccco1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000079303143 347297454 /nfs/dbraw/zinc/29/74/54/347297454.db2.gz UFVNGOVABNECOJ-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@@H](C)S1 ZINC000078495088 347257374 /nfs/dbraw/zinc/25/73/74/347257374.db2.gz ICCICJBJLUUPLE-HTQZYQBOSA-N 0 0 258.368 2.987 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@@H](C)S1 ZINC000078495650 347257398 /nfs/dbraw/zinc/25/73/98/347257398.db2.gz WBDHAISOEFKEMP-NXEZZACHSA-N 0 0 252.339 2.925 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000078501165 347257803 /nfs/dbraw/zinc/25/78/03/347257803.db2.gz BTCHVNAZTKJSOW-JTQLQIEISA-N 0 0 266.322 2.715 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cn1)c1c(C)nn(C)c1C ZINC000078520215 347259752 /nfs/dbraw/zinc/25/97/52/347259752.db2.gz BPUVHJOYFXNQPL-LBPRGKRZSA-N 0 0 289.339 2.903 20 5 CFBDRN Cc1cccc(C)c1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000078543907 347260615 /nfs/dbraw/zinc/26/06/15/347260615.db2.gz SSFKDLKEFNZQME-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccc(F)cc1 ZINC000078548487 347261008 /nfs/dbraw/zinc/26/10/08/347261008.db2.gz UKEQHUPGCCUFKO-UHFFFAOYSA-N 0 0 277.255 2.729 20 5 CFBDRN Cc1cnc(N(C)Cc2cccs2)c([N+](=O)[O-])c1 ZINC000078625089 347265133 /nfs/dbraw/zinc/26/51/33/347265133.db2.gz SWPBIHLSUPIFQR-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1cnc(N[C@@H](C)COCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000078655296 347267207 /nfs/dbraw/zinc/26/72/07/347267207.db2.gz JUKRLAUSYILGIV-QMMMGPOBSA-N 0 0 293.245 2.678 20 5 CFBDRN Cc1cnc(N(C)[C@@H](C)c2cccnc2)c([N+](=O)[O-])c1 ZINC000078655558 347267495 /nfs/dbraw/zinc/26/74/95/347267495.db2.gz INOHDJJAXSJUSK-NSHDSACASA-N 0 0 272.308 2.891 20 5 CFBDRN CCCc1nc(Cn2nc(C)c([N+](=O)[O-])c2C)cs1 ZINC000078709922 347271359 /nfs/dbraw/zinc/27/13/59/347271359.db2.gz HLAKLINZYFIQQF-UHFFFAOYSA-N 0 0 280.353 2.865 20 5 CFBDRN CCOC(=O)CS[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000078928771 347279861 /nfs/dbraw/zinc/27/98/61/347279861.db2.gz YURFCQNKOBXNLI-SECBINFHSA-N 0 0 269.322 2.952 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1CCCC[C@H]1O ZINC000086016008 179215982 /nfs/dbraw/zinc/21/59/82/179215982.db2.gz BKQJZEYOMWUXAJ-GXFFZTMASA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1cc(N(C)Cc2ccncc2)ccc1[N+](=O)[O-] ZINC000079852871 347329088 /nfs/dbraw/zinc/32/90/88/347329088.db2.gz HPZBWCUJGXRFSN-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CCc1ccc(C(=O)NC2CC(C)C2)cc1[N+](=O)[O-] ZINC000080047460 347339835 /nfs/dbraw/zinc/33/98/35/347339835.db2.gz IMPCMFRYMJZXBH-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN C[C@@H]1C[C@@H]1c1ccc(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)o1 ZINC000079409344 347302694 /nfs/dbraw/zinc/30/26/94/347302694.db2.gz VSQSGHZWNDQTFW-KCJUWKMLSA-N 0 0 289.291 2.569 20 5 CFBDRN COc1c(C(=O)N2C[C@@H](C)CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000356225607 232666058 /nfs/dbraw/zinc/66/60/58/232666058.db2.gz PSVGMKCUTUPBQR-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(NCC(C1CC1)C1CC1)c1ccc([N+](=O)[O-])s1 ZINC000081244427 347408610 /nfs/dbraw/zinc/40/86/10/347408610.db2.gz MLHGHPXZXZLAAA-UHFFFAOYSA-N 0 0 280.349 2.822 20 5 CFBDRN Cc1cc(N2CCOC[C@@H]2C)c(Cl)cc1[N+](=O)[O-] ZINC000080553720 347365101 /nfs/dbraw/zinc/36/51/01/347365101.db2.gz BOBFBAPTJQUIIE-VIFPVBQESA-N 0 0 270.716 2.782 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](C)C[C@@H](C)O ZINC000080647148 347371716 /nfs/dbraw/zinc/37/17/16/347371716.db2.gz NAHYMSXDAOWQEG-MWLCHTKSSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](O)C(C)(C)C ZINC000080638652 347371840 /nfs/dbraw/zinc/37/18/40/347371840.db2.gz KFOMJJWJVIBHNC-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc(NCCOC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000080640824 347371913 /nfs/dbraw/zinc/37/19/13/347371913.db2.gz QOYQBSAMPQUTJE-GFCCVEGCSA-N 0 0 280.324 2.511 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC2(C1)CCOCC2 ZINC000080653366 347372752 /nfs/dbraw/zinc/37/27/52/347372752.db2.gz IAEUGHKLBGPZQP-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000080697335 347376500 /nfs/dbraw/zinc/37/65/00/347376500.db2.gz OWHVRJZQVRHRMT-CYBMUJFWSA-N 0 0 252.314 2.986 20 5 CFBDRN Cc1noc(C)c1COc1ccc(F)cc1[N+](=O)[O-] ZINC000102600220 185781929 /nfs/dbraw/zinc/78/19/29/185781929.db2.gz SVAGVZXCQLKHGH-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000080957367 347388421 /nfs/dbraw/zinc/38/84/21/347388421.db2.gz MTNAXEVNUVAWIQ-AOOOYVTPSA-N 0 0 280.349 2.561 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)Cc1cnccn1 ZINC000080966039 347391027 /nfs/dbraw/zinc/39/10/27/347391027.db2.gz BYQUSWPUVQZEQU-NSHDSACASA-N 0 0 272.308 2.578 20 5 CFBDRN CC(C)CCO[C@@H]1CCN(c2ccncc2[N+](=O)[O-])C1 ZINC000081016635 347396448 /nfs/dbraw/zinc/39/64/48/347396448.db2.gz KWALMFRNGQPPCV-GFCCVEGCSA-N 0 0 279.340 2.631 20 5 CFBDRN C[C@@H](Nc1ncnc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000081589194 347428054 /nfs/dbraw/zinc/42/80/54/347428054.db2.gz JMPCEKQRQIKOOG-MRVPVSSYSA-N 0 0 258.281 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCc3ccccn3)c2c1 ZINC000081589060 347428299 /nfs/dbraw/zinc/42/82/99/347428299.db2.gz HXOADOGXSAMEIN-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN CC1(C)C[C@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000081589735 347428378 /nfs/dbraw/zinc/42/83/78/347428378.db2.gz LLYZMUKFWPNZTO-LLVKDONJSA-N 0 0 258.281 2.748 20 5 CFBDRN Cc1ccc(NC[C@@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000086016118 347483983 /nfs/dbraw/zinc/48/39/83/347483983.db2.gz JCIPKQHZMLOIKJ-FZMZJTMJSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C1CCOCC1 ZINC000086167499 179242185 /nfs/dbraw/zinc/24/21/85/179242185.db2.gz JHKLJPACFFJZDG-UHFFFAOYSA-N 0 0 278.308 2.577 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2cccc3c2OCCO3)nc1 ZINC000084503446 347466835 /nfs/dbraw/zinc/46/68/35/347466835.db2.gz PETCAHURFKAPHY-SNAWJCMRSA-N 0 0 284.271 2.931 20 5 CFBDRN CN(C(=O)c1cncnc1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000410618083 232703290 /nfs/dbraw/zinc/70/32/90/232703290.db2.gz ZIEXKDIGNXOQRG-UHFFFAOYSA-N 0 0 298.302 2.539 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)Cn2ccnc2)c1 ZINC000088701475 347507121 /nfs/dbraw/zinc/50/71/21/347507121.db2.gz MVTMEWBLEQPBMM-LBPRGKRZSA-N 0 0 274.324 2.848 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Sc2nnnn2C(C)C)c1 ZINC000088698973 347507248 /nfs/dbraw/zinc/50/72/48/347507248.db2.gz VMMUGIQDWLSXSA-UHFFFAOYSA-N 0 0 279.325 2.622 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)C(=O)OC(C)C)c1 ZINC000088726184 347508700 /nfs/dbraw/zinc/50/87/00/347508700.db2.gz BXHQZQUEFFERJB-NSHDSACASA-N 0 0 280.324 2.903 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000270796631 190819050 /nfs/dbraw/zinc/81/90/50/190819050.db2.gz MUQROGMXUWOVTH-QMMMGPOBSA-N 0 0 268.700 2.730 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1c(O)cccc1F ZINC000089541213 347521053 /nfs/dbraw/zinc/52/10/53/347521053.db2.gz ACSLHXXWHGOCIV-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@]1(C)CC=CCC1 ZINC000411107401 232722626 /nfs/dbraw/zinc/72/26/26/232722626.db2.gz AGOWLVFARLYVMN-HNNXBMFYSA-N 0 0 290.319 2.832 20 5 CFBDRN CC(C)(O)CNc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090090166 347540857 /nfs/dbraw/zinc/54/08/57/347540857.db2.gz ZVJNOGCJYHDUGH-UHFFFAOYSA-N 0 0 287.319 2.840 20 5 CFBDRN CC[C@H](CSC)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000091022757 347567059 /nfs/dbraw/zinc/56/70/59/347567059.db2.gz YTKFZDFFRHIPIH-SECBINFHSA-N 0 0 255.343 2.568 20 5 CFBDRN CC[C@@H](CSC)N(C)c1cc(C)c([N+](=O)[O-])cn1 ZINC000091022784 347567183 /nfs/dbraw/zinc/56/71/83/347567183.db2.gz CUFCYHJYAAUHCQ-JTQLQIEISA-N 0 0 269.370 2.876 20 5 CFBDRN COc1cc(N(C)Cc2cc(C)on2)c([N+](=O)[O-])cc1F ZINC000091429188 347585097 /nfs/dbraw/zinc/58/50/97/347585097.db2.gz NAQUONACBHNEST-UHFFFAOYSA-N 0 0 295.270 2.675 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000091458046 347587378 /nfs/dbraw/zinc/58/73/78/347587378.db2.gz VMJWUCACCISKON-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC(CO)CC2)cc1 ZINC000091485433 347590704 /nfs/dbraw/zinc/59/07/04/347590704.db2.gz LZRLUQCKOGLNDH-UHFFFAOYSA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCc3c2cccc3O)cn1 ZINC000091485036 347590712 /nfs/dbraw/zinc/59/07/12/347590712.db2.gz QOVQTRUEGLWCHE-LBPRGKRZSA-N 0 0 271.276 2.795 20 5 CFBDRN Cc1cccn2cc(CNc3ncc([N+](=O)[O-])cc3C)nc12 ZINC000091628565 347594234 /nfs/dbraw/zinc/59/42/34/347594234.db2.gz JWSNRFSSUSIRAF-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN CCc1nn(C)c(N[C@H]2C[C@](C)(OC)C2(C)C)c1[N+](=O)[O-] ZINC000091730041 347596234 /nfs/dbraw/zinc/59/62/34/347596234.db2.gz YEDVOMXWTGPVKX-HZMBPMFUSA-N 0 0 296.371 2.506 20 5 CFBDRN CCc1nn(C)c(N2C[C@@H]3CCCC[C@H]3C2)c1[N+](=O)[O-] ZINC000091734446 347597512 /nfs/dbraw/zinc/59/75/12/347597512.db2.gz GNTLCSSWLUKNAW-QWRGUYRKSA-N 0 0 278.356 2.517 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000090451500 347549394 /nfs/dbraw/zinc/54/93/94/347549394.db2.gz OAFFUPZVYIHEDQ-WDEREUQCSA-N 0 0 294.351 2.976 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc[n+]2[O-])cc1OC(F)F ZINC000092582650 347633134 /nfs/dbraw/zinc/63/31/34/347633134.db2.gz JCCAGYIEXPCPKJ-UHFFFAOYSA-N 0 0 298.201 2.622 20 5 CFBDRN Cc1cc(Sc2nnc(N(C)C)s2)ccc1[N+](=O)[O-] ZINC000092652325 347636826 /nfs/dbraw/zinc/63/68/26/347636826.db2.gz WJANPTQLVAJPEC-UHFFFAOYSA-N 0 0 296.377 2.972 20 5 CFBDRN CCOc1cc(N[C@@H](COC)C2CC2)ccc1[N+](=O)[O-] ZINC000092687522 347640974 /nfs/dbraw/zinc/64/09/74/347640974.db2.gz VHMMZQYZDPLDDT-LBPRGKRZSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H](C)SC)c1 ZINC000092687805 347641031 /nfs/dbraw/zinc/64/10/31/347641031.db2.gz UOHWHCVOQVZMTK-SSDOTTSWSA-N 0 0 274.317 2.906 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000092689599 347641469 /nfs/dbraw/zinc/64/14/69/347641469.db2.gz ARRALWXTAWRDRX-SNVBAGLBSA-N 0 0 280.324 2.904 20 5 CFBDRN Cc1ccnc([C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)C2CC2)n1 ZINC000092692415 347641685 /nfs/dbraw/zinc/64/16/85/347641685.db2.gz YLAAVEBIFSOVRS-AWEZNQCLSA-N 0 0 299.334 2.960 20 5 CFBDRN C[C@@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])[C@@H]1C ZINC000092737156 347642970 /nfs/dbraw/zinc/64/29/70/347642970.db2.gz ZNGOZXWXLXSXPK-GHMZBOCLSA-N 0 0 264.325 2.921 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)C(=O)OC(C)(C)C ZINC000092943458 347653498 /nfs/dbraw/zinc/65/34/98/347653498.db2.gz YGLRKQHVLHTEIA-MRVPVSSYSA-N 0 0 284.287 2.876 20 5 CFBDRN CSCC[C@H](C)[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000093558549 347679840 /nfs/dbraw/zinc/67/98/40/347679840.db2.gz KUFUJOSMDBOFBF-JTQLQIEISA-N 0 0 284.381 2.874 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2csc(C)n2)c1 ZINC000094195408 347694301 /nfs/dbraw/zinc/69/43/01/347694301.db2.gz XVGVPKMOHXFECS-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H](O)C2CCCCC2)c(Cl)c1 ZINC000122795809 347817313 /nfs/dbraw/zinc/81/73/13/347817313.db2.gz LJNAJFSZWFZPMS-GFCCVEGCSA-N 0 0 299.758 2.996 20 5 CFBDRN O=C(NCc1ccco1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000127691853 347842876 /nfs/dbraw/zinc/84/28/76/347842876.db2.gz HXXUFIUHYCSKTD-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1coc(-c2ccccc2)n1 ZINC000128086944 347846909 /nfs/dbraw/zinc/84/69/09/347846909.db2.gz FSKSDODKTQNFLI-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CCCCS1 ZINC000128111883 347848014 /nfs/dbraw/zinc/84/80/14/347848014.db2.gz BEXUJWKNKZQGMD-SECBINFHSA-N 0 0 253.327 2.687 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NCCCC1CC1 ZINC000128119042 347848147 /nfs/dbraw/zinc/84/81/47/347848147.db2.gz HYVSZIPTLIQYFS-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN CC(C)(C)CCCNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000126571954 347835827 /nfs/dbraw/zinc/83/58/27/347835827.db2.gz QAAVMLCEQBMSCR-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129853938 347865337 /nfs/dbraw/zinc/86/53/37/347865337.db2.gz HWECAAQWAFSKJQ-IINYFYTJSA-N 0 0 274.320 2.742 20 5 CFBDRN CC(C)c1noc(-c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)n1 ZINC000130300817 347869428 /nfs/dbraw/zinc/86/94/28/347869428.db2.gz QJXBIXXBIGLEPX-UHFFFAOYSA-N 0 0 299.290 2.954 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1nccs1 ZINC000130888493 347875175 /nfs/dbraw/zinc/87/51/75/347875175.db2.gz NYLANFJXWAKKPA-NSHDSACASA-N 0 0 291.332 2.932 20 5 CFBDRN CCO[C@@H](C)c1nc(Cn2c(C)ncc2[N+](=O)[O-])cs1 ZINC000133452884 347891713 /nfs/dbraw/zinc/89/17/13/347891713.db2.gz CFJFNGHUBYUTPW-QMMMGPOBSA-N 0 0 296.352 2.702 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H]1C[C@@H]1c1ccccc1 ZINC000134403128 347898225 /nfs/dbraw/zinc/89/82/25/347898225.db2.gz RIAHKEAYLUVIFB-YPMHNXCESA-N 0 0 255.277 2.958 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccccc1Cl ZINC000138080620 347914768 /nfs/dbraw/zinc/91/47/68/347914768.db2.gz TUVDTHOHWKZVSP-UHFFFAOYSA-N 0 0 279.683 2.839 20 5 CFBDRN Cc1cc(C(=O)NCC2CCCC2)cc([N+](=O)[O-])c1 ZINC000139978316 347923495 /nfs/dbraw/zinc/92/34/95/347923495.db2.gz QSDKQUPMBNDTME-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1ccc(NC(=O)NC2CC=CC2)cc1[N+](=O)[O-] ZINC000155351470 347986286 /nfs/dbraw/zinc/98/62/86/347986286.db2.gz VLYLVYFBRKPVJQ-UHFFFAOYSA-N 0 0 261.281 2.743 20 5 CFBDRN CCn1nccc1CNc1ccc(C)cc1[N+](=O)[O-] ZINC000160046504 348015965 /nfs/dbraw/zinc/01/59/65/348015965.db2.gz DHQQWHGMMAYEFX-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN O=C(Nc1cccc2[nH]ccc21)c1ccc([N+](=O)[O-])o1 ZINC000171040200 348067956 /nfs/dbraw/zinc/06/79/56/348067956.db2.gz XBYASELKXFIZGX-UHFFFAOYSA-N 0 0 271.232 2.921 20 5 CFBDRN O=C(Nc1cnc(C2CC2)nc1)c1csc([N+](=O)[O-])c1 ZINC000171183377 348071439 /nfs/dbraw/zinc/07/14/39/348071439.db2.gz KMMYTJVJNCGAJX-UHFFFAOYSA-N 0 0 290.304 2.576 20 5 CFBDRN CSC[C@H](C)Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000167226402 348045177 /nfs/dbraw/zinc/04/51/77/348045177.db2.gz XDACTFNBUOEGOV-QMMMGPOBSA-N 0 0 278.337 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC3CCSCC3)c2c1 ZINC000167223870 348045356 /nfs/dbraw/zinc/04/53/56/348045356.db2.gz RVSMMTBTWPGUJC-UHFFFAOYSA-N 0 0 290.348 2.846 20 5 CFBDRN C[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000173025190 348106186 /nfs/dbraw/zinc/10/61/86/348106186.db2.gz QWKLPCDOZASPQD-SNVBAGLBSA-N 0 0 264.325 2.688 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000171877017 348083332 /nfs/dbraw/zinc/08/33/32/348083332.db2.gz WNRCDXADADNKJQ-JTQLQIEISA-N 0 0 280.324 2.722 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000171875094 348083370 /nfs/dbraw/zinc/08/33/70/348083370.db2.gz LPLGYZFJJJRXKX-JTQLQIEISA-N 0 0 250.298 2.713 20 5 CFBDRN CC(C)OCCCNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000208527450 348157404 /nfs/dbraw/zinc/15/74/04/348157404.db2.gz QCXJLRPNCXOBOI-FPLPWBNLSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)OCCCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000208923846 348157486 /nfs/dbraw/zinc/15/74/86/348157486.db2.gz QWXTZEJZLYJFRY-TWGQIWQCSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCCOCC1 ZINC000086871072 179364140 /nfs/dbraw/zinc/36/41/40/179364140.db2.gz QGQJFIUVVCQKRN-SNVBAGLBSA-N 0 0 254.261 2.715 20 5 CFBDRN CCOC(=O)CCCCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000128798823 187390243 /nfs/dbraw/zinc/39/02/43/187390243.db2.gz HMGSVZGMCYEVSL-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000226421612 348182526 /nfs/dbraw/zinc/18/25/26/348182526.db2.gz SQMLTGWGOFAYLE-NEPJUHHUSA-N 0 0 292.335 2.843 20 5 CFBDRN C[C@H]1CCC[C@H](Nc2c([N+](=O)[O-])ncn2C)CC1 ZINC000227539778 348187369 /nfs/dbraw/zinc/18/73/69/348187369.db2.gz LKBHNOFQFZKMNT-UWVGGRQHSA-N 0 0 252.318 2.709 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2c([N+](=O)[O-])ncn2C)CC1 ZINC000227539774 348187666 /nfs/dbraw/zinc/18/76/66/348187666.db2.gz LKBHNOFQFZKMNT-ZJUUUORDSA-N 0 0 252.318 2.709 20 5 CFBDRN CCOc1cccc(NCC2CCC(O)CC2)c1[N+](=O)[O-] ZINC000227705584 348190057 /nfs/dbraw/zinc/19/00/57/348190057.db2.gz ZUWDABGXVXFUOR-UHFFFAOYSA-N 0 0 294.351 2.957 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000227819789 348190910 /nfs/dbraw/zinc/19/09/10/348190910.db2.gz DBKLJWHYCRFZNM-GHMZBOCLSA-N 0 0 265.313 2.524 20 5 CFBDRN CCOC1CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CC1 ZINC000228258122 348194964 /nfs/dbraw/zinc/19/49/64/348194964.db2.gz GNQAPKAPCRADKA-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC1CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)CC1 ZINC000086967048 179369930 /nfs/dbraw/zinc/36/99/30/179369930.db2.gz INMHXHOKISAUCP-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCOC2)c1 ZINC000230104675 348204535 /nfs/dbraw/zinc/20/45/35/348204535.db2.gz VRHDPUMCKBYYCR-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@](C)(O)CC1 ZINC000230372965 348206067 /nfs/dbraw/zinc/20/60/67/348206067.db2.gz KYCRPCPPPHKGFY-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC1Cc2ccccc2C1 ZINC000231039098 348207912 /nfs/dbraw/zinc/20/79/12/348207912.db2.gz QOKRFKZPNCWJAR-UHFFFAOYSA-N 0 0 255.277 2.569 20 5 CFBDRN Cc1ncccc1CNCc1ccccc1[N+](=O)[O-] ZINC000237606805 348241438 /nfs/dbraw/zinc/24/14/38/348241438.db2.gz RWFNLDVNKDSGIU-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000245445802 348254103 /nfs/dbraw/zinc/25/41/03/348254103.db2.gz ZFMFRSCYDWYQKD-ITGUQSILSA-N 0 0 260.293 2.970 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2c([N+](=O)[O-])ncn2C)[C@H]1C ZINC000252222790 348295564 /nfs/dbraw/zinc/29/55/64/348295564.db2.gz ZMTDVDXAAAVGPC-KXUCPTDWSA-N 0 0 252.318 2.565 20 5 CFBDRN C[C@H](CC[S@](C)=O)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000128841251 187393427 /nfs/dbraw/zinc/39/34/27/187393427.db2.gz SAALFULCWIQCII-NTCNTBNZSA-N 0 0 290.772 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCCS3)no2)[nH]1 ZINC000274253085 348334656 /nfs/dbraw/zinc/33/46/56/348334656.db2.gz XGOXQLPSAGUUJA-ZETCQYMHSA-N 0 0 266.282 2.541 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2cccc(C)n2)c1 ZINC000281402230 348342521 /nfs/dbraw/zinc/34/25/21/348342521.db2.gz HCWAVQYBIIKPGQ-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1noc(C(C)(C)NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000284974721 348345690 /nfs/dbraw/zinc/34/56/90/348345690.db2.gz XTOGUJMBIJSXGE-UHFFFAOYSA-N 0 0 290.323 2.620 20 5 CFBDRN CS[C@@H](C)CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000304391058 348379200 /nfs/dbraw/zinc/37/92/00/348379200.db2.gz TUKZVYGJRPNYPC-LURJTMIESA-N 0 0 261.734 2.807 20 5 CFBDRN C[C@H](CCO)Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000304322084 348379518 /nfs/dbraw/zinc/37/95/18/348379518.db2.gz GCKXEHOIVZITPY-ZCFIWIBFSA-N 0 0 262.718 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cscn2)s1 ZINC000309514828 348406425 /nfs/dbraw/zinc/40/64/25/348406425.db2.gz QZVBWBIWFSMHSY-UHFFFAOYSA-N 0 0 255.324 2.767 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1c(Cl)cncc1[N+](=O)[O-] ZINC000310423118 348409775 /nfs/dbraw/zinc/40/97/75/348409775.db2.gz OZMRPCGRPZKIEJ-POYBYMJQSA-N 0 0 273.745 2.949 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCc1ccncc1 ZINC000310754634 348410143 /nfs/dbraw/zinc/41/01/43/348410143.db2.gz MVVUAJPQJYYKCJ-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1cnc(N[C@@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000310796457 348410314 /nfs/dbraw/zinc/41/03/14/348410314.db2.gz FSIDTCZCANZTAG-MRVPVSSYSA-N 0 0 257.240 2.898 20 5 CFBDRN C[C@H](Cc1ccsc1)Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000311484744 348412993 /nfs/dbraw/zinc/41/29/93/348412993.db2.gz AQSWFUVWMRSGIT-MRVPVSSYSA-N 0 0 278.337 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCCCCF)c2c1 ZINC000311537124 348413146 /nfs/dbraw/zinc/41/31/46/348413146.db2.gz GJQXERTVXFTJTC-UHFFFAOYSA-N 0 0 264.260 2.700 20 5 CFBDRN CCS[C@H]1CCC[C@@H]1Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000311619734 348413644 /nfs/dbraw/zinc/41/36/44/348413644.db2.gz HARPQEFSWBHXIL-UWVGGRQHSA-N 0 0 282.369 2.658 20 5 CFBDRN COc1cc(NC2CCOCC2)c([N+](=O)[O-])cc1C ZINC000311667823 348413822 /nfs/dbraw/zinc/41/38/22/348413822.db2.gz XWRYOJDXJQKCGZ-UHFFFAOYSA-N 0 0 266.297 2.503 20 5 CFBDRN Cc1cccc(CNc2ncnc3ccc([N+](=O)[O-])cc32)n1 ZINC000316063251 348431552 /nfs/dbraw/zinc/43/15/52/348431552.db2.gz JYRNDEBUCBQRDE-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN COCCCN(C)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413089382 233016720 /nfs/dbraw/zinc/01/67/20/233016720.db2.gz XETNEVLDSHQLNU-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H](C(C)C)C1CC1 ZINC000324307921 348439067 /nfs/dbraw/zinc/43/90/67/348439067.db2.gz VRJNPQDVMZJQHP-NSHDSACASA-N 0 0 266.345 2.966 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413149379 233024721 /nfs/dbraw/zinc/02/47/21/233024721.db2.gz BKLFIQUCAMVQOK-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@]12C[C@H]1CCC2 ZINC000596845428 349996452 /nfs/dbraw/zinc/99/64/52/349996452.db2.gz UIPRAWZAIRPNQK-YMTOWFKASA-N 0 0 291.307 2.668 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc(C[C@@H]3CCCO3)no2)c1 ZINC000349825373 348467857 /nfs/dbraw/zinc/46/78/57/348467857.db2.gz AMOHTMBMTCBUHD-NSHDSACASA-N 0 0 293.254 2.505 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc(C[C@H]3CCCO3)no2)c1 ZINC000349825372 348467929 /nfs/dbraw/zinc/46/79/29/348467929.db2.gz AMOHTMBMTCBUHD-LLVKDONJSA-N 0 0 293.254 2.505 20 5 CFBDRN CC1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CCOCC1 ZINC000349882498 348468639 /nfs/dbraw/zinc/46/86/39/348468639.db2.gz KNXKCPJIRMKCLB-UHFFFAOYSA-N 0 0 289.291 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C/[C@H]3CCCO3)n2)s1 ZINC000351322292 348492794 /nfs/dbraw/zinc/49/27/94/348492794.db2.gz LSFBDQWWQBJFDO-RYEJSQLPSA-N 0 0 293.304 2.899 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3(CF)CCC3)n2)o1 ZINC000351376150 348494490 /nfs/dbraw/zinc/49/44/90/348494490.db2.gz KDGBQGFYADEZLH-UHFFFAOYSA-N 0 0 267.216 2.629 20 5 CFBDRN C[C@]1(CNc2ncc(F)cc2[N+](=O)[O-])CCCS1 ZINC000401127504 348577524 /nfs/dbraw/zinc/57/75/24/348577524.db2.gz NGULNCSBQSICKT-LLVKDONJSA-N 0 0 271.317 2.827 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3cnccc23)CO1 ZINC000402223696 348580994 /nfs/dbraw/zinc/58/09/94/348580994.db2.gz RDZYGYYOVRDEAU-ZJUUUORDSA-N 0 0 273.292 2.732 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3cccnc23)CO1 ZINC000402233402 348581680 /nfs/dbraw/zinc/58/16/80/348581680.db2.gz VNFNYWWUMSCYJV-UWVGGRQHSA-N 0 0 273.292 2.732 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000403304472 348583798 /nfs/dbraw/zinc/58/37/98/348583798.db2.gz IPMKXIKUOZGIHX-ZMLRMANQSA-N 0 0 289.335 2.555 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1CC=CCC1 ZINC000273443469 192152085 /nfs/dbraw/zinc/15/20/85/192152085.db2.gz JUOXVDULPDILHJ-VIFPVBQESA-N 0 0 279.271 2.964 20 5 CFBDRN C[C@@H](NCc1ccns1)c1cccc([N+](=O)[O-])c1 ZINC000404457206 348592057 /nfs/dbraw/zinc/59/20/57/348592057.db2.gz WZOYQAWLJVGPHS-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN COCC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)c1ccco1 ZINC000413237171 233039938 /nfs/dbraw/zinc/03/99/38/233039938.db2.gz OMRIFIBBSRRMON-LLVKDONJSA-N 0 0 295.270 2.912 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC1(O)CCCCCC1 ZINC000400413074 348573486 /nfs/dbraw/zinc/57/34/86/348573486.db2.gz KPCJEUJXXPDMJO-UHFFFAOYSA-N 0 0 283.303 2.626 20 5 CFBDRN C[C@H](CCCO)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413326256 233053197 /nfs/dbraw/zinc/05/31/97/233053197.db2.gz LBXZOZGGDWPBKQ-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1ncsc1CCNc1ncc([N+](=O)[O-])cc1F ZINC000413308175 233050780 /nfs/dbraw/zinc/05/07/80/233050780.db2.gz CJZFHBRULZUALT-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@@H]1CCC2(CCCC2)O1 ZINC000584766413 348713127 /nfs/dbraw/zinc/71/31/27/348713127.db2.gz HMJSAWQTQKCCBF-NSHDSACASA-N 0 0 278.308 2.860 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CC[C@H](C)O1 ZINC000413371896 233061812 /nfs/dbraw/zinc/06/18/12/233061812.db2.gz FMIQFNLWAXSFGN-GXFFZTMASA-N 0 0 280.324 2.721 20 5 CFBDRN CSc1cccc(C(=O)N2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000584846769 348722734 /nfs/dbraw/zinc/72/27/34/348722734.db2.gz UAULVTHLURHKCO-VIFPVBQESA-N 0 0 298.339 2.891 20 5 CFBDRN CS[C@@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273474276 192164861 /nfs/dbraw/zinc/16/48/61/192164861.db2.gz PGKUZATYWVNZHB-ZETCQYMHSA-N 0 0 287.316 2.607 20 5 CFBDRN Cc1ccc(N2CCN(c3ccncc3[N+](=O)[O-])CC2)cc1 ZINC000584647083 348701340 /nfs/dbraw/zinc/70/13/40/348701340.db2.gz FXWQQPBDOGYTEZ-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN Nc1cc(NC2CCC3(CC3)CC2)cc(CO)c1[N+](=O)[O-] ZINC000588034090 348767361 /nfs/dbraw/zinc/76/73/61/348767361.db2.gz HYRQYJIFJLLJFK-UHFFFAOYSA-N 0 0 291.351 2.804 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCC4(CC4)C3)c21 ZINC000588040721 348767800 /nfs/dbraw/zinc/76/78/00/348767800.db2.gz MCWGTAXPGFWTSV-UHFFFAOYSA-N 0 0 270.292 2.528 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC2(C1)CC(F)(F)C2 ZINC000588040553 348767926 /nfs/dbraw/zinc/76/79/26/348767926.db2.gz CSKFIVIFRFWEEU-UHFFFAOYSA-N 0 0 289.669 2.879 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCC4(CC4)C3)c2c1 ZINC000588040810 348768184 /nfs/dbraw/zinc/76/81/84/348768184.db2.gz RGAZHFQFMOITDN-UHFFFAOYSA-N 0 0 270.292 2.528 20 5 CFBDRN CCOc1cccc(N2CCC[C@H]3C[C@H]32)c1[N+](=O)[O-] ZINC000588066665 348770018 /nfs/dbraw/zinc/77/00/18/348770018.db2.gz VESUISPUNFMNNA-CMPLNLGQSA-N 0 0 262.309 2.982 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1cnn2ccccc12 ZINC000588070539 348770473 /nfs/dbraw/zinc/77/04/73/348770473.db2.gz RKKFQXRZWNMQLY-UHFFFAOYSA-N 0 0 274.305 2.916 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1cnn2ccccc12 ZINC000588070523 348770636 /nfs/dbraw/zinc/77/06/36/348770636.db2.gz MMBGSRYMTRQRFA-UHFFFAOYSA-N 0 0 274.305 2.916 20 5 CFBDRN CO[C@@H]1[C@H]2C[C@H]3CN(c4ccc([N+](=O)[O-])s4)[C@H]1[C@H]3C2 ZINC000588068850 348770682 /nfs/dbraw/zinc/77/06/82/348770682.db2.gz HRWQBPZPXKYRDX-GOTDPSQWSA-N 0 0 280.349 2.516 20 5 CFBDRN O=C(N[C@@H]1CCCC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000588180728 348778391 /nfs/dbraw/zinc/77/83/91/348778391.db2.gz TWPCNIIABQBISD-SNVBAGLBSA-N 0 0 270.235 2.512 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCCC2(F)F)cccc1[N+](=O)[O-] ZINC000588179858 348778424 /nfs/dbraw/zinc/77/84/24/348778424.db2.gz AKNOAWFSVSTMSY-LLVKDONJSA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]2C[C@H]21 ZINC000588226789 348779526 /nfs/dbraw/zinc/77/95/26/348779526.db2.gz NQNZZDLIXPCUMB-GXFFZTMASA-N 0 0 275.308 2.919 20 5 CFBDRN COC1CCC(Nc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000413422234 233070210 /nfs/dbraw/zinc/07/02/10/233070210.db2.gz SGHBJIDJWZKFBH-UHFFFAOYSA-N 0 0 265.313 2.668 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CCCC1(F)F ZINC000588269267 348781863 /nfs/dbraw/zinc/78/18/63/348781863.db2.gz GQBFPUKOJRCRAT-LLVKDONJSA-N 0 0 299.277 2.582 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC1(F)F ZINC000588311619 348783484 /nfs/dbraw/zinc/78/34/84/348783484.db2.gz IBUXBKDDNPYVOM-LLVKDONJSA-N 0 0 299.277 2.582 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1cnccc1C ZINC000588822964 348796654 /nfs/dbraw/zinc/79/66/54/348796654.db2.gz HLSGCRSQWIZUNL-SNVBAGLBSA-N 0 0 289.339 2.996 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](C)C[C@@H](C)C1 ZINC000588822804 348796707 /nfs/dbraw/zinc/79/67/07/348796707.db2.gz AWNYVQIOAVKAQF-GHMZBOCLSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](c2ccco2)C1 ZINC000588816426 348796867 /nfs/dbraw/zinc/79/68/67/348796867.db2.gz ICROPMYBBYSCTO-LLVKDONJSA-N 0 0 290.323 2.707 20 5 CFBDRN Cc1nnc(CNc2ccc([N+](=O)[O-])s2)n1C1CC1 ZINC000588825672 348797724 /nfs/dbraw/zinc/79/77/24/348797724.db2.gz GWKCFQPFFKNQBA-UHFFFAOYSA-N 0 0 279.325 2.503 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000585745009 348741939 /nfs/dbraw/zinc/74/19/39/348741939.db2.gz FSAVBXHOFXCJLV-CKYFFXLPSA-N 0 0 277.324 2.672 20 5 CFBDRN CCc1cc(NC(=O)c2cc([N+](=O)[O-])cn2C)ccc1F ZINC000586825843 348756680 /nfs/dbraw/zinc/75/66/80/348756680.db2.gz ICTYLCMJBDDOKV-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN C[C@@H]1CCC[C@H](c2noc(Cn3cc([N+](=O)[O-])cn3)n2)C1 ZINC000273951759 192375572 /nfs/dbraw/zinc/37/55/72/192375572.db2.gz OETPERAWIAYLRG-ZJUUUORDSA-N 0 0 291.311 2.516 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H]2C[C@@H]21 ZINC000586892345 348759937 /nfs/dbraw/zinc/75/99/37/348759937.db2.gz VDAPXECMZMKJFQ-KCJUWKMLSA-N 0 0 280.711 2.873 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000586899772 348760576 /nfs/dbraw/zinc/76/05/76/348760576.db2.gz YYJMVWUHPCOYOM-SCZZXKLOSA-N 0 0 266.322 2.589 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587446727 348761771 /nfs/dbraw/zinc/76/17/71/348761771.db2.gz YSQNZELVOIUTHY-WDEREUQCSA-N 0 0 293.367 2.908 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)[C@H](C)C1 ZINC000587977688 348763439 /nfs/dbraw/zinc/76/34/39/348763439.db2.gz XQFYKRLYDDXOKG-GPCCPHFNSA-N 0 0 293.367 2.906 20 5 CFBDRN CC[C@@H]1CCCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)CC1 ZINC000587980252 348763939 /nfs/dbraw/zinc/76/39/39/348763939.db2.gz ASUHFDZINFRCFJ-LLVKDONJSA-N 0 0 293.367 2.686 20 5 CFBDRN CCC1(CC)CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587995527 348764751 /nfs/dbraw/zinc/76/47/51/348764751.db2.gz XRPRNVQWHGNSGW-UHFFFAOYSA-N 0 0 293.367 2.686 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@@H]1O ZINC000588012594 348765928 /nfs/dbraw/zinc/76/59/28/348765928.db2.gz ISHMIDVJNDGWIG-GWCFXTLKSA-N 0 0 296.342 2.967 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)C[C@@H]1F ZINC000588012977 348766161 /nfs/dbraw/zinc/76/61/61/348766161.db2.gz HQZLQJARZSKLKC-APPZFPTMSA-N 0 0 296.327 2.784 20 5 CFBDRN C[C@H]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)C[C@@H]1F ZINC000588012978 348766258 /nfs/dbraw/zinc/76/62/58/348766258.db2.gz HQZLQJARZSKLKC-CBAPKCEASA-N 0 0 296.327 2.784 20 5 CFBDRN CN(C(=O)[C@@H]1CC12CCCCC2)c1ccc([N+](=O)[O-])nc1 ZINC000588984083 348807223 /nfs/dbraw/zinc/80/72/23/348807223.db2.gz NTZAKAVQIYSQIC-LBPRGKRZSA-N 0 0 289.335 2.923 20 5 CFBDRN COCC[C@H](C)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000413488992 233082912 /nfs/dbraw/zinc/08/29/12/233082912.db2.gz GJLJIABISJRKBX-VIFPVBQESA-N 0 0 286.303 2.827 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC1(C(F)F)CCCC1 ZINC000589117298 348811394 /nfs/dbraw/zinc/81/13/94/348811394.db2.gz FJGHQNREMHYHCA-UHFFFAOYSA-N 0 0 298.289 2.831 20 5 CFBDRN CC1(C)[C@@H]2CN(c3c(Cl)cncc3[N+](=O)[O-])C[C@@H]21 ZINC000589112100 348811436 /nfs/dbraw/zinc/81/14/36/348811436.db2.gz UTSQJUBAATZTGO-OCAPTIKFSA-N 0 0 267.716 2.735 20 5 CFBDRN O=C(NC1(C(F)F)CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000589117113 348811670 /nfs/dbraw/zinc/81/16/70/348811670.db2.gz DWFYYFXRFBSYGK-UHFFFAOYSA-N 0 0 284.262 2.903 20 5 CFBDRN COCC[C@H](C)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000413498718 233084556 /nfs/dbraw/zinc/08/45/56/233084556.db2.gz XPWADHPUSVSIFR-JTQLQIEISA-N 0 0 268.313 2.688 20 5 CFBDRN COCC[C@@H](C)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000413496816 233084965 /nfs/dbraw/zinc/08/49/65/233084965.db2.gz VDOWECJQKCMMBY-LLVKDONJSA-N 0 0 252.314 2.988 20 5 CFBDRN CO[C@@](C)([C@H](C)Nc1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000413522156 233088022 /nfs/dbraw/zinc/08/80/22/233088022.db2.gz RUNPCSVDOBUEQC-ZANVPECISA-N 0 0 265.313 2.605 20 5 CFBDRN CO[C@@](C)([C@H](C)Nc1cc(C)c([N+](=O)[O-])cn1)C1CC1 ZINC000413522134 233088404 /nfs/dbraw/zinc/08/84/04/233088404.db2.gz RTZYCTDYXCHILR-HZMBPMFUSA-N 0 0 279.340 2.914 20 5 CFBDRN O=c1ccn(-c2ccccc2)n1Cc1cccc([N+](=O)[O-])c1 ZINC000589268028 348823497 /nfs/dbraw/zinc/82/34/97/348823497.db2.gz YSYHEZFDTAVZPF-UHFFFAOYSA-N 0 0 295.298 2.596 20 5 CFBDRN C[C@@H]1C[C@H](CNc2nc3sccn3c2[N+](=O)[O-])[C@H](C)O1 ZINC000413538964 233092465 /nfs/dbraw/zinc/09/24/65/233092465.db2.gz SVXYGKZMWHMMCQ-HRDYMLBCSA-N 0 0 296.352 2.529 20 5 CFBDRN CO[C@H]1C[C@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C12CCC2 ZINC000413435739 233073448 /nfs/dbraw/zinc/07/34/48/233073448.db2.gz FVIQAZLLQRXDLT-RYUDHWBXSA-N 0 0 291.351 2.976 20 5 CFBDRN C[C@@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)C1CCCC1 ZINC000589573854 348839708 /nfs/dbraw/zinc/83/97/08/348839708.db2.gz QZJHVQNYRHXSFO-SECBINFHSA-N 0 0 279.340 2.660 20 5 CFBDRN COc1cccc(N[C@@H](C)C[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000589584724 348840377 /nfs/dbraw/zinc/84/03/77/348840377.db2.gz NVGRHXZTMRQBJF-WDEREUQCSA-N 0 0 280.324 2.830 20 5 CFBDRN COC(=O)c1cc(N2CCC[C@H](F)C2)cc(C)c1[N+](=O)[O-] ZINC000589598485 348841000 /nfs/dbraw/zinc/84/10/00/348841000.db2.gz STUFKEZEAUGCKP-JTQLQIEISA-N 0 0 296.298 2.628 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000589608919 348842943 /nfs/dbraw/zinc/84/29/43/348842943.db2.gz NKCPLEHSLJUWAF-SECBINFHSA-N 0 0 298.755 2.958 20 5 CFBDRN Cc1cc(N2CCC[C@H](CO)[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000413595982 233101288 /nfs/dbraw/zinc/10/12/88/233101288.db2.gz QMKYXUUVMYXBJS-WDEREUQCSA-N 0 0 282.315 2.640 20 5 CFBDRN CO[C@@](C)(CNc1sccc1[N+](=O)[O-])C1CC1 ZINC000589692888 348851129 /nfs/dbraw/zinc/85/11/29/348851129.db2.gz DYXIOOWMHPRETN-NSHDSACASA-N 0 0 256.327 2.883 20 5 CFBDRN Cc1cc(CNc2cc(C)c([N+](=O)[O-])cc2F)ncn1 ZINC000589689850 348851458 /nfs/dbraw/zinc/85/14/58/348851458.db2.gz KHAGEWZMJRWEMB-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC(C2CC2)C1 ZINC000589724088 348853744 /nfs/dbraw/zinc/85/37/44/348853744.db2.gz GFAWEYAVXPTHFS-UHFFFAOYSA-N 0 0 280.711 2.730 20 5 CFBDRN CC(C)(C(=O)N1CC(C2CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000589725164 348853806 /nfs/dbraw/zinc/85/38/06/348853806.db2.gz YHNSQFQUMZQTQH-UHFFFAOYSA-N 0 0 288.347 2.741 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000589736851 348853893 /nfs/dbraw/zinc/85/38/93/348853893.db2.gz SVTCQJXGUUAZJF-NEPJUHHUSA-N 0 0 294.351 2.582 20 5 CFBDRN CCCCNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000589852704 348861249 /nfs/dbraw/zinc/86/12/49/348861249.db2.gz COMYHFIBAOCUAC-UHFFFAOYSA-N 0 0 279.340 2.929 20 5 CFBDRN CN(C[C@H](O)Cc1ccccc1)c1sccc1[N+](=O)[O-] ZINC000590063243 348872168 /nfs/dbraw/zinc/87/21/68/348872168.db2.gz HQTHCZSZFIOWGP-GFCCVEGCSA-N 0 0 292.360 2.696 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000413699808 233117614 /nfs/dbraw/zinc/11/76/14/233117614.db2.gz CSOBZQMCCGPGFL-MWLCHTKSSA-N 0 0 295.364 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC(F)(F)[C@@H]2O)cc1 ZINC000413584542 233099593 /nfs/dbraw/zinc/09/95/93/233099593.db2.gz SZZQLOMWBNDCNH-WDEREUQCSA-N 0 0 272.251 2.555 20 5 CFBDRN COC[C@@]1(C)CCN(c2sccc2[N+](=O)[O-])C1 ZINC000590709128 348932216 /nfs/dbraw/zinc/93/22/16/348932216.db2.gz IWUHWDJXDNEAGD-NSHDSACASA-N 0 0 256.327 2.519 20 5 CFBDRN CO[C@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@H]1C ZINC000590717593 348934885 /nfs/dbraw/zinc/93/48/85/348934885.db2.gz JSYHCYSDNDZQAK-SCZZXKLOSA-N 0 0 256.327 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CF)CCOCC2)s1 ZINC000590715470 348935006 /nfs/dbraw/zinc/93/50/06/348935006.db2.gz GEVSXTALLSJPFZ-UHFFFAOYSA-N 0 0 274.317 2.835 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2sccc2[N+](=O)[O-])c2nccn21 ZINC000590723722 348937054 /nfs/dbraw/zinc/93/70/54/348937054.db2.gz NPQBSXOCWHEZLB-HTQZYQBOSA-N 0 0 264.310 2.971 20 5 CFBDRN COc1cccc2c1CN(c1ncc([N+](=O)[O-])s1)CC2 ZINC000590722888 348937261 /nfs/dbraw/zinc/93/72/61/348937261.db2.gz FHMXCOPZHGAMLH-UHFFFAOYSA-N 0 0 291.332 2.623 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1ccc([N+](=O)[O-])s1)C1CC1 ZINC000590728781 348938047 /nfs/dbraw/zinc/93/80/47/348938047.db2.gz UGKYTGQLXINZJQ-HQJQHLMTSA-N 0 0 256.327 2.882 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000590737014 348939224 /nfs/dbraw/zinc/93/92/24/348939224.db2.gz MVQRNTRRZITZCK-WDEREUQCSA-N 0 0 293.367 2.684 20 5 CFBDRN CCn1nnc(C)c1CSc1ccc([N+](=O)[O-])cc1F ZINC000590736810 348939538 /nfs/dbraw/zinc/93/95/38/348939538.db2.gz NCWRWZYGTKHEAW-UHFFFAOYSA-N 0 0 296.327 2.946 20 5 CFBDRN Cc1cccc(C(=O)NC/C=C/c2cccnc2)c1[N+](=O)[O-] ZINC000590396995 348898392 /nfs/dbraw/zinc/89/83/92/348898392.db2.gz KTOBWTSBQKYBMU-QPJJXVBHSA-N 0 0 297.314 2.741 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC/C=C/c1cccnc1 ZINC000590397776 348898835 /nfs/dbraw/zinc/89/88/35/348898835.db2.gz YCNSQXKAPFNHGA-HWKANZROSA-N 0 0 297.314 2.741 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC(C2CC2)CC1 ZINC000590429401 348900630 /nfs/dbraw/zinc/90/06/30/348900630.db2.gz NNRNDYZGVURUHV-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN Nc1ccc(N2CCC[C@@H]2c2cccs2)nc1[N+](=O)[O-] ZINC000590569034 348910210 /nfs/dbraw/zinc/91/02/10/348910210.db2.gz HVWGPGKGSDYKDL-SNVBAGLBSA-N 0 0 290.348 2.975 20 5 CFBDRN CN(C[C@@H]1CCCOC1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000590578157 348910523 /nfs/dbraw/zinc/91/05/23/348910523.db2.gz LVYWBJHZPXNZMD-VIFPVBQESA-N 0 0 285.731 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H]2C(F)(F)F)cc1 ZINC000590599391 348913128 /nfs/dbraw/zinc/91/31/28/348913128.db2.gz GBSNFORXSVDFTO-SNVBAGLBSA-N 0 0 260.215 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC[C@@H]3C3CC3)nc2c1 ZINC000590688577 348928315 /nfs/dbraw/zinc/92/83/15/348928315.db2.gz PKCSKCTWWHXQBU-CYBMUJFWSA-N 0 0 272.308 2.850 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@@]3(CCOC3)C2)c1 ZINC000590693011 348929773 /nfs/dbraw/zinc/92/97/73/348929773.db2.gz DIWXNPNLRYWYGI-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1ccc(CN2CC3(CC(F)C3)C2)cc1[N+](=O)[O-] ZINC000590969273 348974368 /nfs/dbraw/zinc/97/43/68/348974368.db2.gz FHVSXJBOEQTDNR-UHFFFAOYSA-N 0 0 264.300 2.837 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC[C@@H](C2CCC2)C1 ZINC000591126673 348990483 /nfs/dbraw/zinc/99/04/83/348990483.db2.gz JUHHTFHUUDONNI-CQSZACIVSA-N 0 0 288.347 2.786 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000591148370 348992868 /nfs/dbraw/zinc/99/28/68/348992868.db2.gz BQQZAANGCKBXSZ-RKDXNWHRSA-N 0 0 253.277 2.754 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCC2(F)F)c(F)c1 ZINC000591160139 348994762 /nfs/dbraw/zinc/99/47/62/348994762.db2.gz VEYRZRQCCUDVQQ-QMMMGPOBSA-N 0 0 261.203 2.729 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]3C[C@@H]32)c(C(F)(F)F)c1 ZINC000591160382 348994806 /nfs/dbraw/zinc/99/48/06/348994806.db2.gz DTTOXVSWWWHTQE-XCBNKYQSSA-N 0 0 287.241 2.997 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2F)[C@H]1[C@H]1CCCO1 ZINC000591161088 348995595 /nfs/dbraw/zinc/99/55/95/348995595.db2.gz VXQPREUOZSPCRF-NEPJUHHUSA-N 0 0 295.314 2.523 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000591181313 348997858 /nfs/dbraw/zinc/99/78/58/348997858.db2.gz DEQUYFUARCEGBE-GXTWGEPZSA-N 0 0 279.340 2.516 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCO[C@@H](C2CCC2)C1 ZINC000591186035 348997938 /nfs/dbraw/zinc/99/79/38/348997938.db2.gz HLTZPGNHWGYYEI-LLVKDONJSA-N 0 0 268.338 2.662 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCC[C@@H]2COC[C@@H]21 ZINC000591183195 348998036 /nfs/dbraw/zinc/99/80/36/348998036.db2.gz LJSAYVMXBZVSOJ-DOMZBBRYSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@H]1CC(C)(C)CN1c1nc2sccn2c1[N+](=O)[O-] ZINC000591197176 348999144 /nfs/dbraw/zinc/99/91/44/348999144.db2.gz JUSXTOBHJHKLEH-QMMMGPOBSA-N 0 0 280.353 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC(F)(F)[C@@H]2O)s1 ZINC000591197842 348999151 /nfs/dbraw/zinc/99/91/51/348999151.db2.gz RVPZYPDZLPYMAB-IMTBSYHQSA-N 0 0 278.280 2.617 20 5 CFBDRN C[C@@H]1CCC[C@H](c2noc(Cn3ccc([N+](=O)[O-])n3)n2)C1 ZINC000273956527 192378961 /nfs/dbraw/zinc/37/89/61/192378961.db2.gz OPSFMWFRUJOTAC-ZJUUUORDSA-N 0 0 291.311 2.516 20 5 CFBDRN COC1(c2noc(-c3cc(C)cc([N+](=O)[O-])c3)n2)CCC1 ZINC000273963161 192379426 /nfs/dbraw/zinc/37/94/26/192379426.db2.gz JTQLKUAOQWGIAM-UHFFFAOYSA-N 0 0 289.291 2.979 20 5 CFBDRN CC1(C2(NC(=O)Cc3ccc([N+](=O)[O-])cc3)CC2)CC1 ZINC000590883448 348961791 /nfs/dbraw/zinc/96/17/91/348961791.db2.gz HFLWLSVHQKIANW-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN CC[C@]1(C(C)C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000590893973 348963144 /nfs/dbraw/zinc/96/31/44/348963144.db2.gz FMKDSJLJTCBJSE-GXTWGEPZSA-N 0 0 277.324 2.544 20 5 CFBDRN Nc1cc(NC[C@@H]2C[C@H]2C2CCC2)cc(CO)c1[N+](=O)[O-] ZINC000591827475 349048409 /nfs/dbraw/zinc/04/84/09/349048409.db2.gz OANRIHKKBOISLG-GWCFXTLKSA-N 0 0 291.351 2.517 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000591904899 349057271 /nfs/dbraw/zinc/05/72/71/349057271.db2.gz KJIQTVXWIXYNGX-JHJVBQTASA-N 0 0 289.335 2.583 20 5 CFBDRN CCCN(CC)c1nnc(-c2ccc([N+](=O)[O-])cc2)n1C ZINC000290821851 197830128 /nfs/dbraw/zinc/83/01/28/197830128.db2.gz TVJBAPIUHVBIKP-UHFFFAOYSA-N 0 0 289.339 2.627 20 5 CFBDRN Cc1sc(-c2nc([C@H]3CCCOC3)no2)cc1[N+](=O)[O-] ZINC000591652139 349036105 /nfs/dbraw/zinc/03/61/05/349036105.db2.gz VZSIWACVWDOPGD-QMMMGPOBSA-N 0 0 295.320 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCCOC3)no2)c(F)c1 ZINC000591652034 349036305 /nfs/dbraw/zinc/03/63/05/349036305.db2.gz AIROZGBKIUGIBK-MRVPVSSYSA-N 0 0 293.254 2.678 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])cc1N ZINC000591668276 349037481 /nfs/dbraw/zinc/03/74/81/349037481.db2.gz RBXNUBILOCWWOW-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1cccc(OC)c1[N+](=O)[O-] ZINC000591980636 349069014 /nfs/dbraw/zinc/06/90/14/349069014.db2.gz FTWFVZCSOGJIPC-QWRGUYRKSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1cccc(OC)c1[N+](=O)[O-] ZINC000591980637 349069024 /nfs/dbraw/zinc/06/90/24/349069024.db2.gz FTWFVZCSOGJIPC-WDEREUQCSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000591983681 349069726 /nfs/dbraw/zinc/06/97/26/349069726.db2.gz WSGBYVLFJMVSPG-JQWIXIFHSA-N 0 0 252.314 2.804 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000591984163 349069732 /nfs/dbraw/zinc/06/97/32/349069732.db2.gz YMJVTVZTZBHYLT-WPRPVWTQSA-N 0 0 287.747 2.852 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ncc([N+](=O)[O-])cc1C ZINC000591984106 349069864 /nfs/dbraw/zinc/06/98/64/349069864.db2.gz XJERSQMCZYJTPJ-ONGXEEELSA-N 0 0 267.329 2.507 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)C1 ZINC000591986950 349070479 /nfs/dbraw/zinc/07/04/79/349070479.db2.gz FBYXGMOTCDVECW-PHIMTYICSA-N 0 0 265.313 2.748 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)[C@@H]1SC ZINC000591990011 349071145 /nfs/dbraw/zinc/07/11/45/349071145.db2.gz BZLTUNKDDSIKMH-XWIASGKRSA-N 0 0 297.380 2.619 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1[C@H]1C=CCCC1 ZINC000592349352 349106905 /nfs/dbraw/zinc/10/69/05/349106905.db2.gz VTEUUMRFRIRXDP-VIFPVBQESA-N 0 0 260.249 2.784 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCC[C@H]1CCOC1 ZINC000592088056 349081635 /nfs/dbraw/zinc/08/16/35/349081635.db2.gz SXTVWYVUDAGFGD-LBPRGKRZSA-N 0 0 276.336 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CCS[C@H]1C ZINC000592248898 349103144 /nfs/dbraw/zinc/10/31/44/349103144.db2.gz DUQAXUABSATRLF-CABZTGNLSA-N 0 0 295.364 2.919 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000129426370 187429706 /nfs/dbraw/zinc/42/97/06/187429706.db2.gz UNYYWZVOIXDAAJ-LHLIQPBNSA-N 0 0 288.225 2.752 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC[C@@H](C(F)F)C1)CCCC2 ZINC000591939020 349062821 /nfs/dbraw/zinc/06/28/21/349062821.db2.gz BOVCSOHFEMKPJO-SNVBAGLBSA-N 0 0 297.305 2.960 20 5 CFBDRN O=c1c(Br)cc([N+](=O)[O-])cn1CC1=CCCC1 ZINC000592347351 349106333 /nfs/dbraw/zinc/10/63/33/349106333.db2.gz AYNBMXBYEAVNDO-UHFFFAOYSA-N 0 0 299.124 2.629 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2Cc3ccc(O)cc3C2)n1 ZINC000591950475 349064024 /nfs/dbraw/zinc/06/40/24/349064024.db2.gz JBZWNYPUHUNQPQ-UHFFFAOYSA-N 0 0 285.303 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](C)CC(F)F)c1 ZINC000592670892 349148681 /nfs/dbraw/zinc/14/86/81/349148681.db2.gz DASPNFQGAHIUFI-SECBINFHSA-N 0 0 286.278 2.924 20 5 CFBDRN CCC[C@@H](C)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000273971822 192384221 /nfs/dbraw/zinc/38/42/21/192384221.db2.gz OSEIPODFXJKXOY-SECBINFHSA-N 0 0 276.296 2.867 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593039364 349192395 /nfs/dbraw/zinc/19/23/95/349192395.db2.gz KBZRFLIIXKDFJB-ZJUUUORDSA-N 0 0 282.315 2.827 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCC[C@@H]1C1CCC1 ZINC000592924894 349177444 /nfs/dbraw/zinc/17/74/44/349177444.db2.gz UCKANNUVNYLZTG-GFCCVEGCSA-N 0 0 277.324 2.718 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593019148 349189149 /nfs/dbraw/zinc/18/91/49/349189149.db2.gz PGJMUEWQEQOICW-SECBINFHSA-N 0 0 280.299 2.533 20 5 CFBDRN CCN(CC(C)C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593028056 349189452 /nfs/dbraw/zinc/18/94/52/349189452.db2.gz BHIDWDJRZHSFBP-UHFFFAOYSA-N 0 0 282.315 2.781 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593023372 349189821 /nfs/dbraw/zinc/18/98/21/349189821.db2.gz RMPBDCAUZUNHCG-JTQLQIEISA-N 0 0 282.315 2.779 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000592696499 349153211 /nfs/dbraw/zinc/15/32/11/349153211.db2.gz CMQLLOZXKNQVFW-BJHJDKERSA-N 0 0 292.335 2.671 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593028528 349190760 /nfs/dbraw/zinc/19/07/60/349190760.db2.gz OUXCROFRDRCTKE-SNVBAGLBSA-N 0 0 282.315 2.923 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC1CCC(F)CC1 ZINC000592720128 349157444 /nfs/dbraw/zinc/15/74/44/349157444.db2.gz BMIXVUIIJICEHR-UHFFFAOYSA-N 0 0 294.326 2.782 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000592732592 349158627 /nfs/dbraw/zinc/15/86/27/349158627.db2.gz LHGMCJJIVMONNN-SDDRHHMPSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000592733497 349158702 /nfs/dbraw/zinc/15/87/02/349158702.db2.gz SWLQKDDDZBGZFE-GARJFASQSA-N 0 0 260.293 2.513 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2NC)O1 ZINC000592828612 349169283 /nfs/dbraw/zinc/16/92/83/349169283.db2.gz ZLYHWJCZHIIUIV-ZWNOBZJWSA-N 0 0 293.323 2.533 20 5 CFBDRN C[C@H](NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000593108824 349210167 /nfs/dbraw/zinc/21/01/67/349210167.db2.gz DHVKBDXDLXYUKX-VIFPVBQESA-N 0 0 280.299 2.581 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593106147 349210680 /nfs/dbraw/zinc/21/06/80/349210680.db2.gz YFICCBORHWTFPY-SNVBAGLBSA-N 0 0 282.315 2.827 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000593180876 349224686 /nfs/dbraw/zinc/22/46/86/349224686.db2.gz ZHVNGORHOSEPCD-XHVZSJERSA-N 0 0 292.310 2.581 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2cc3n(n2)CCCC3)c1 ZINC000593236497 349233452 /nfs/dbraw/zinc/23/34/52/349233452.db2.gz MQDSDZCPKRJTGC-UHFFFAOYSA-N 0 0 291.282 2.846 20 5 CFBDRN COc1cc(Cn2cc(C3CC3)cn2)c([N+](=O)[O-])cc1F ZINC000593236896 349233465 /nfs/dbraw/zinc/23/34/65/349233465.db2.gz GHTBCFFFNQHJQJ-UHFFFAOYSA-N 0 0 291.282 2.865 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000593257283 349236830 /nfs/dbraw/zinc/23/68/30/349236830.db2.gz BMCJNSROGLTYGR-SNVBAGLBSA-N 0 0 298.364 2.686 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCCC(F)(F)C2)c1 ZINC000593047907 349193554 /nfs/dbraw/zinc/19/35/54/349193554.db2.gz FMCBVJXHEFYFNT-UHFFFAOYSA-N 0 0 256.252 2.826 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)[C@@H](C)CO1 ZINC000593061735 349198133 /nfs/dbraw/zinc/19/81/33/349198133.db2.gz DHCLDVXYGCZNGW-SMDDNHRTSA-N 0 0 264.325 2.594 20 5 CFBDRN CC[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593061424 349198196 /nfs/dbraw/zinc/19/81/96/349198196.db2.gz APVNZYCTUOUVOD-MWLCHTKSSA-N 0 0 270.354 2.656 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)[C@H](C)CO1 ZINC000593064097 349199631 /nfs/dbraw/zinc/19/96/31/349199631.db2.gz WYDWGJNJPAYAST-YPMHNXCESA-N 0 0 294.351 2.603 20 5 CFBDRN CCN(Cc1c(F)cccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000593066375 349200506 /nfs/dbraw/zinc/20/05/06/349200506.db2.gz BXNUKLJFPSDDJP-NSHDSACASA-N 0 0 282.315 2.735 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593078600 349202913 /nfs/dbraw/zinc/20/29/13/349202913.db2.gz BPEMOLMZLMKAAW-MNOVXSKESA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])[C@H]1C ZINC000593081410 349204164 /nfs/dbraw/zinc/20/41/64/349204164.db2.gz CVESSBOMFHPPEJ-ZJUUUORDSA-N 0 0 280.299 2.533 20 5 CFBDRN CSCC1(CC(=O)Nc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000593086887 349204891 /nfs/dbraw/zinc/20/48/91/349204891.db2.gz JYTUWYHGPQNAEY-UHFFFAOYSA-N 0 0 295.364 2.770 20 5 CFBDRN C[C@@H](N(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1(C)CC1 ZINC000593086965 349204905 /nfs/dbraw/zinc/20/49/05/349204905.db2.gz CKQJTFWWGYWQBJ-SNVBAGLBSA-N 0 0 294.326 2.923 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593089564 349205785 /nfs/dbraw/zinc/20/57/85/349205785.db2.gz BWRXZNABIUBZRV-GWCFXTLKSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@]3(CC3(F)F)C2)n1 ZINC000593520672 349289386 /nfs/dbraw/zinc/28/93/86/349289386.db2.gz IWPZQEITVUDOBH-LBPRGKRZSA-N 0 0 283.278 2.842 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@]3(CC3(F)F)C2)c(Cl)c1 ZINC000593522243 349290076 /nfs/dbraw/zinc/29/00/76/349290076.db2.gz RFOXFRZDEWNKRH-SNVBAGLBSA-N 0 0 289.669 2.879 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@]3(CC3(F)F)C2)c(Cl)c1 ZINC000593522237 349290238 /nfs/dbraw/zinc/29/02/38/349290238.db2.gz RFOXFRZDEWNKRH-JTQLQIEISA-N 0 0 289.669 2.879 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC[C@@H]1C ZINC000593570566 349293769 /nfs/dbraw/zinc/29/37/69/349293769.db2.gz YRQUHKLNYGUXSP-QWRGUYRKSA-N 0 0 294.326 2.781 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCCC(F)(F)C1 ZINC000593329743 349248760 /nfs/dbraw/zinc/24/87/60/349248760.db2.gz CHKWIOMKWYYFRD-UHFFFAOYSA-N 0 0 299.277 2.536 20 5 CFBDRN CC[C@H]1CCCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593448049 349272424 /nfs/dbraw/zinc/27/24/24/349272424.db2.gz MGLWYEIQHJQCRE-LBPRGKRZSA-N 0 0 280.299 2.677 20 5 CFBDRN COCCCCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593454384 349274155 /nfs/dbraw/zinc/27/41/55/349274155.db2.gz FXQWAUDUFKDMIO-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN CCN(CC(C)(C)O)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461584 349275445 /nfs/dbraw/zinc/27/54/45/349275445.db2.gz MFBOOWHUSSBKQE-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN CC(C)C[C@@H](CO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463275 349275954 /nfs/dbraw/zinc/27/59/54/349275954.db2.gz RUUBZYLHCXDHLE-NSHDSACASA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H](CO)CCCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593464292 349276022 /nfs/dbraw/zinc/27/60/22/349276022.db2.gz DDUPVGBZRPLQBA-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593467364 349276722 /nfs/dbraw/zinc/27/67/22/349276722.db2.gz LMQYMEOIFWORDD-VHSXEESVSA-N 0 0 275.308 2.714 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593468820 349276776 /nfs/dbraw/zinc/27/67/76/349276776.db2.gz QPSWGYBGAYWWER-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@H](CCCO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593466363 349276839 /nfs/dbraw/zinc/27/68/39/349276839.db2.gz ZQCHEYGYLNCOGF-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CCO[C@H](C2CC2)C1 ZINC000593469732 349277150 /nfs/dbraw/zinc/27/71/50/349277150.db2.gz HAAXVSPVZMLUHP-INIZCTEOSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593474040 349277550 /nfs/dbraw/zinc/27/75/50/349277550.db2.gz YUOCLUPRLCUIFV-GXFFZTMASA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@H]1CC[C@@H](O)C1 ZINC000593474104 349278214 /nfs/dbraw/zinc/27/82/14/349278214.db2.gz DAMOTELGHMQGIK-CMPLNLGQSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@H](Nc1ccnc2cc([N+](=O)[O-])ccc21)[C@@H](C)O ZINC000593477541 349278663 /nfs/dbraw/zinc/27/86/63/349278663.db2.gz BXKSIDIGRGAIJX-SKDRFNHKSA-N 0 0 275.308 2.714 20 5 CFBDRN CC(C)O[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000593487862 349282324 /nfs/dbraw/zinc/28/23/24/349282324.db2.gz XWFXRYLWFAACOX-NSHDSACASA-N 0 0 283.303 2.523 20 5 CFBDRN Cc1cnc(N2CCCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000593487342 349282365 /nfs/dbraw/zinc/28/23/65/349282365.db2.gz SDDJOBWMAQPAHI-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN CC(C)O[C@@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000593487642 349282539 /nfs/dbraw/zinc/28/25/39/349282539.db2.gz UQGJCFSIGDYAAU-GFCCVEGCSA-N 0 0 264.325 2.989 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCCC(F)(F)C2)c1 ZINC000593487212 349282552 /nfs/dbraw/zinc/28/25/52/349282552.db2.gz QSRUJCVSQOZSQY-UHFFFAOYSA-N 0 0 272.251 2.839 20 5 CFBDRN CC[C@@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593490374 349283034 /nfs/dbraw/zinc/28/30/34/349283034.db2.gz CEEFFJHEPSRPCX-NWDGAFQWSA-N 0 0 279.340 2.610 20 5 CFBDRN CCOc1cc(N2C[C@@H](CC)OC[C@H]2C)ccc1[N+](=O)[O-] ZINC000593491175 349283038 /nfs/dbraw/zinc/28/30/38/349283038.db2.gz HKETXDWUAQISBJ-DGCLKSJQSA-N 0 0 294.351 2.997 20 5 CFBDRN CC[C@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])[C@H](C)CO1 ZINC000593490368 349283106 /nfs/dbraw/zinc/28/31/06/349283106.db2.gz CEEFFJHEPSRPCX-NEPJUHHUSA-N 0 0 279.340 2.610 20 5 CFBDRN CCOc1cc(N2C[C@@H](CC)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000593491177 349283142 /nfs/dbraw/zinc/28/31/42/349283142.db2.gz HKETXDWUAQISBJ-WCQYABFASA-N 0 0 294.351 2.997 20 5 CFBDRN CC[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593491132 349283333 /nfs/dbraw/zinc/28/33/33/349283333.db2.gz GUJBVYZIQQCJNL-WCBMZHEXSA-N 0 0 285.731 2.647 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1C[C@H]1CC(C)(C)C ZINC000593489324 349283363 /nfs/dbraw/zinc/28/33/63/349283363.db2.gz QIOMWFIAFGHUMG-DTWKUNHWSA-N 0 0 252.318 2.565 20 5 CFBDRN Cc1ccnc(N[C@H](C2CC2)C2CCOCC2)c1[N+](=O)[O-] ZINC000593492947 349283535 /nfs/dbraw/zinc/28/35/35/349283535.db2.gz SDVKSRBOHHACNJ-CYBMUJFWSA-N 0 0 291.351 2.915 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)[C@@H](C)CO1 ZINC000593492525 349283574 /nfs/dbraw/zinc/28/35/74/349283574.db2.gz OQHFNZZIOBTQJU-AAEUAGOBSA-N 0 0 264.325 2.907 20 5 CFBDRN CC[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)[C@@H](C)CO1 ZINC000593491896 349283667 /nfs/dbraw/zinc/28/36/67/349283667.db2.gz LNGKJYLZIIUBLR-WPRPVWTQSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1c1cc(C)c([N+](=O)[O-])cn1 ZINC000593493676 349283686 /nfs/dbraw/zinc/28/36/86/349283686.db2.gz IVNHDJLLIJAKDI-NEPJUHHUSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@@H]1CN(c2ccccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593494048 349284643 /nfs/dbraw/zinc/28/46/43/349284643.db2.gz YGHPLQXYEKGOKY-GHMZBOCLSA-N 0 0 250.298 2.599 20 5 CFBDRN CCN1C[C@@H](CNc2ccc([N+](=O)[O-])cc2Cl)CC1=O ZINC000593499039 349285265 /nfs/dbraw/zinc/28/52/65/349285265.db2.gz MENRGGBCZCTXLZ-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN COC(=O)[C@@H](CNc1sccc1[N+](=O)[O-])CC1CC1 ZINC000593496893 349285269 /nfs/dbraw/zinc/28/52/69/349285269.db2.gz CFKBJBJYBKXPAG-SECBINFHSA-N 0 0 284.337 2.658 20 5 CFBDRN C[C@@H](CO)C1CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000593505640 349286292 /nfs/dbraw/zinc/28/62/92/349286292.db2.gz MHPUHEWMRCGBOU-VIFPVBQESA-N 0 0 270.354 2.501 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(SCc2cnn(C)c2)c1 ZINC000593505907 349286622 /nfs/dbraw/zinc/28/66/22/349286622.db2.gz WDTQOICINBGGGZ-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CCF)c(C(F)(F)F)c1 ZINC000593507649 349287035 /nfs/dbraw/zinc/28/70/35/349287035.db2.gz BEXZJBPPPAXMHW-SSDOTTSWSA-N 0 0 296.220 2.746 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CCF)cc1C(F)(F)F ZINC000593508403 349287209 /nfs/dbraw/zinc/28/72/09/349287209.db2.gz DRMVJYYYLPUPTL-QMMMGPOBSA-N 0 0 296.220 2.746 20 5 CFBDRN COc1cc(N[C@@H](C)COCC2CC2)c(F)cc1[N+](=O)[O-] ZINC000593508332 349287262 /nfs/dbraw/zinc/28/72/62/349287262.db2.gz OABIQJCOULJKML-VIFPVBQESA-N 0 0 298.314 2.970 20 5 CFBDRN O=C(NC1CC2(CCC2)C1)c1ccccc1[N+](=O)[O-] ZINC000593883681 349332119 /nfs/dbraw/zinc/33/21/19/349332119.db2.gz GKEOUPRKMDAOIM-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN CNc1ccc(C(=O)NC2CC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000593885168 349332650 /nfs/dbraw/zinc/33/26/50/349332650.db2.gz NDYYCHKIJYPYAI-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](F)C1 ZINC000593920837 349335272 /nfs/dbraw/zinc/33/52/72/349335272.db2.gz PMHTWFYRWIQEHN-VIFPVBQESA-N 0 0 297.286 2.569 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](F)C1 ZINC000593920836 349335680 /nfs/dbraw/zinc/33/56/80/349335680.db2.gz PMHTWFYRWIQEHN-SECBINFHSA-N 0 0 297.286 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H](F)C2)c(F)c1 ZINC000593696481 349311710 /nfs/dbraw/zinc/31/17/10/349311710.db2.gz IFNZZVTUMUQVQA-SNVBAGLBSA-N 0 0 256.252 2.668 20 5 CFBDRN CCC1(C)CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593725830 349315347 /nfs/dbraw/zinc/31/53/47/349315347.db2.gz YJNZKXUKEAJRBE-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN CC(C)[C@@H]1CCC[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593732539 349316282 /nfs/dbraw/zinc/31/62/82/349316282.db2.gz MYCGTUYOJCFINS-ZDUSSCGKSA-N 0 0 292.335 2.913 20 5 CFBDRN CC(C)[C@@H](C)[N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593732200 349316436 /nfs/dbraw/zinc/31/64/36/349316436.db2.gz KHDAGBZMAXSYHN-SNVBAGLBSA-N 0 0 280.324 2.769 20 5 CFBDRN C[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C[C@H]1C ZINC000593737913 349317348 /nfs/dbraw/zinc/31/73/48/349317348.db2.gz ZQPXSFILPVRJCT-GHMZBOCLSA-N 0 0 292.335 2.771 20 5 CFBDRN C[C@H]1CC[C@H](C)[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593739284 349317853 /nfs/dbraw/zinc/31/78/53/349317853.db2.gz XUOAVFICKPMGFO-QWRGUYRKSA-N 0 0 292.335 2.913 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC12CCCC2 ZINC000593762533 349322748 /nfs/dbraw/zinc/32/27/48/349322748.db2.gz XXUZRRIFBYFCOI-UHFFFAOYSA-N 0 0 274.320 2.682 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000594178882 349390297 /nfs/dbraw/zinc/39/02/97/349390297.db2.gz DNHYJUXJVCKYOE-KGLIPLIRSA-N 0 0 292.335 2.672 20 5 CFBDRN CCN(Cc1c(F)cc([N+](=O)[O-])cc1F)c1cnn(C)c1 ZINC000594045158 349361821 /nfs/dbraw/zinc/36/18/21/349361821.db2.gz XKCKFLPYKBRLKZ-UHFFFAOYSA-N 0 0 296.277 2.633 20 5 CFBDRN COc1cc(C(=O)NC2(C)CC=CC2)cc([N+](=O)[O-])c1C ZINC000594073319 349369237 /nfs/dbraw/zinc/36/92/37/349369237.db2.gz QOEQJCHIIDLKRM-UHFFFAOYSA-N 0 0 290.319 2.750 20 5 CFBDRN CC1(NC(=O)CCOc2ccccc2[N+](=O)[O-])CC=CC1 ZINC000594075999 349369870 /nfs/dbraw/zinc/36/98/70/349369870.db2.gz ZWRJWHDBXCYSDD-UHFFFAOYSA-N 0 0 290.319 2.589 20 5 CFBDRN CCCN(C(=O)c1ccccc1[N+](=O)[O-])C(C)C ZINC000594095383 349376400 /nfs/dbraw/zinc/37/64/00/349376400.db2.gz VHVQNBQYGXKMDE-UHFFFAOYSA-N 0 0 250.298 2.855 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000594134002 349383049 /nfs/dbraw/zinc/38/30/49/349383049.db2.gz ALGRYWXCFBDDEQ-AULYBMBSSA-N 0 0 292.335 2.671 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)Cc2ccccn2)ccc1[N+](=O)[O-] ZINC000594139502 349385063 /nfs/dbraw/zinc/38/50/63/349385063.db2.gz GFYCXHRFSSQRSJ-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(C[NH2+][C@@H]2CO[C@H](C3CC3)C2)c(Cl)c1 ZINC000597087404 350028074 /nfs/dbraw/zinc/02/80/74/350028074.db2.gz ARQKBKADORFGKD-FZMZJTMJSA-N 0 0 296.754 2.905 20 5 CFBDRN CC1(NC(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2N)CCC1 ZINC000597099853 350030053 /nfs/dbraw/zinc/03/00/53/350030053.db2.gz FIAGDNYOFOWTIX-UHFFFAOYSA-N 0 0 298.730 2.895 20 5 CFBDRN CC(C)=CCNC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597096430 350030059 /nfs/dbraw/zinc/03/00/59/350030059.db2.gz KCXKKJKLCSOUHR-UHFFFAOYSA-N 0 0 298.730 2.918 20 5 CFBDRN CC(C)CNC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597095078 350030071 /nfs/dbraw/zinc/03/00/71/350030071.db2.gz WGKSKLZABABHBA-UHFFFAOYSA-N 0 0 286.719 2.608 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@@H](C2CCC2)C1 ZINC000414473739 233263179 /nfs/dbraw/zinc/26/31/79/233263179.db2.gz RAXDHYLGDLGSTJ-MRXNPFEDSA-N 0 0 290.363 2.904 20 5 CFBDRN O=C(CCCF)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000597479733 350102520 /nfs/dbraw/zinc/10/25/20/350102520.db2.gz OBJBUZGWSGBPNY-UHFFFAOYSA-N 0 0 288.706 2.657 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000597628184 350119182 /nfs/dbraw/zinc/11/91/82/350119182.db2.gz SDMCVZYAMISOBY-WDNDVIMCSA-N 0 0 288.347 2.642 20 5 CFBDRN COCC1(NC(=O)c2cc([N+](=O)[O-])ccc2C)CCCC1 ZINC000597883677 350147997 /nfs/dbraw/zinc/14/79/97/350147997.db2.gz MJWGOOCDBRKWHW-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@H](C(=O)N[C@]12C[C@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000597890338 350149631 /nfs/dbraw/zinc/14/96/31/350149631.db2.gz RNGHYKQEKKMRIJ-JVLSTEMRSA-N 0 0 274.320 2.757 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/c1nc([C@H]2CCCO2)no1 ZINC000274102234 192439154 /nfs/dbraw/zinc/43/91/54/192439154.db2.gz ICIDGXINFLNUTQ-ABZNLYFFSA-N 0 0 287.275 3.000 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000104610589 186010636 /nfs/dbraw/zinc/01/06/36/186010636.db2.gz KVOXBDPROQEJCF-GWCFXTLKSA-N 0 0 294.376 2.992 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@]12C[C@@H]1CCC2 ZINC000597890586 350150062 /nfs/dbraw/zinc/15/00/62/350150062.db2.gz UAUXUBAPFVFFAL-HZMBPMFUSA-N 0 0 260.293 2.576 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H]1C ZINC000104620435 186011406 /nfs/dbraw/zinc/01/14/06/186011406.db2.gz QKCAQKSAUNFJOZ-GXFFZTMASA-N 0 0 262.309 2.822 20 5 CFBDRN CCc1nn(C)cc1NCc1cccc([N+](=O)[O-])c1 ZINC000091070340 180093402 /nfs/dbraw/zinc/09/34/02/180093402.db2.gz PVECIVMMXOVMOY-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000597856935 350143725 /nfs/dbraw/zinc/14/37/25/350143725.db2.gz RHNVKTXEZNFJAV-LBPRGKRZSA-N 0 0 293.367 2.678 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000597856915 350143996 /nfs/dbraw/zinc/14/39/96/350143996.db2.gz QOSAALADJJCZNJ-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CO1 ZINC000597860306 350144447 /nfs/dbraw/zinc/14/44/47/350144447.db2.gz BZXOCKCTDLALTQ-SNVBAGLBSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NC[C@H]1C[C@H]1C ZINC000271253237 191029829 /nfs/dbraw/zinc/02/98/29/191029829.db2.gz LBLJNHLHWISERC-PRHODGIISA-N 0 0 276.336 2.906 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H](C)c1ccccn1 ZINC000597865252 350145258 /nfs/dbraw/zinc/14/52/58/350145258.db2.gz QSLIQPLMSOFSGQ-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](C)c2ccccn2)c1 ZINC000597863653 350145443 /nfs/dbraw/zinc/14/54/43/350145443.db2.gz JCHYGNDOGNZFQK-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN CC[C@@H](COCC1CC1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000597919764 350158171 /nfs/dbraw/zinc/15/81/71/350158171.db2.gz PWRMTRGVMANSKE-ZDUSSCGKSA-N 0 0 292.335 2.530 20 5 CFBDRN CC[C@@H](CSC)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000091220736 180113000 /nfs/dbraw/zinc/11/30/00/180113000.db2.gz XYNJBTCOIGLIJG-JTQLQIEISA-N 0 0 282.365 2.808 20 5 CFBDRN C[C@H]1C[C@@H](CNc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000271286734 191052351 /nfs/dbraw/zinc/05/23/51/191052351.db2.gz DHQJMZMLEAZNQL-UWVGGRQHSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000271297872 191058640 /nfs/dbraw/zinc/05/86/40/191058640.db2.gz GAXAAHSAGICYPL-UWVGGRQHSA-N 0 0 295.295 2.730 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000597974595 350168662 /nfs/dbraw/zinc/16/86/62/350168662.db2.gz AIHMDWKVDYHVBU-SECBINFHSA-N 0 0 290.323 2.637 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000597974959 350168683 /nfs/dbraw/zinc/16/86/83/350168683.db2.gz FFJJGBRKKWKVMD-SNVBAGLBSA-N 0 0 250.298 2.761 20 5 CFBDRN CC[C@@H](C)CCNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000597977242 350170027 /nfs/dbraw/zinc/17/00/27/350170027.db2.gz INXDWURJWQCEOD-LLVKDONJSA-N 0 0 278.352 2.998 20 5 CFBDRN Cc1ccncc1CCNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000597977348 350170042 /nfs/dbraw/zinc/17/00/42/350170042.db2.gz ICALMKJDWMIDPT-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@H]1C[C@H](CNc2nc3sccn3c2[N+](=O)[O-])CCO1 ZINC000271313945 191067388 /nfs/dbraw/zinc/06/73/88/191067388.db2.gz JFVWUKIFLFMDNK-DTWKUNHWSA-N 0 0 296.352 2.531 20 5 CFBDRN COc1cc(NC[C@H]2CCO[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000271312915 191068135 /nfs/dbraw/zinc/06/81/35/191068135.db2.gz IZERSNQZNINJJG-ZJUUUORDSA-N 0 0 298.314 2.970 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCO[C@H](C)C1 ZINC000271321293 191071930 /nfs/dbraw/zinc/07/19/30/191071930.db2.gz KFPZVIHWTKNPRU-NEPJUHHUSA-N 0 0 294.351 2.968 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1CCC[C@@H](C)O1 ZINC000598211944 350215392 /nfs/dbraw/zinc/21/53/92/350215392.db2.gz IHTCYXNQJUZCFC-YPMHNXCESA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H](C(=O)NC[C@@]1(C)CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000598214208 350215985 /nfs/dbraw/zinc/21/59/85/350215985.db2.gz HEKFVARRTVHTFO-NOZJJQNGSA-N 0 0 298.289 2.860 20 5 CFBDRN C[C@]1(CCNC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000598216275 350217085 /nfs/dbraw/zinc/21/70/85/350217085.db2.gz OURZQIJICKOIOK-ZDUSSCGKSA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1c(C(=O)NC[C@@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000598215700 350217131 /nfs/dbraw/zinc/21/71/31/350217131.db2.gz IREGYJFLCAKWDK-GFCCVEGCSA-N 0 0 284.262 2.678 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@@H](CCF)C1 ZINC000598219859 350218441 /nfs/dbraw/zinc/21/84/41/350218441.db2.gz LAOAIIHZEDJJEX-LBPRGKRZSA-N 0 0 294.326 2.736 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(OC)c1)C1CC1 ZINC000598232526 350221778 /nfs/dbraw/zinc/22/17/78/350221778.db2.gz GXQXDZWXGTXQKI-LLVKDONJSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000598233257 350221784 /nfs/dbraw/zinc/22/17/84/350221784.db2.gz MOGRAKGJFJMCQV-QMMMGPOBSA-N 0 0 254.311 2.575 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-])C1CC1 ZINC000598233956 350222393 /nfs/dbraw/zinc/22/23/93/350222393.db2.gz SOZNLIWQEHZHQH-LLVKDONJSA-N 0 0 296.298 2.661 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000091575844 180197245 /nfs/dbraw/zinc/19/72/45/180197245.db2.gz HIAAZKHFDXLZKW-VHSXEESVSA-N 0 0 266.345 2.881 20 5 CFBDRN C[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)CCC1(F)F ZINC000290931463 197863288 /nfs/dbraw/zinc/86/32/88/197863288.db2.gz NLWFSXSIWYLKJR-SSDOTTSWSA-N 0 0 290.291 2.774 20 5 CFBDRN CC(C)(F)CCNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000598198197 350212640 /nfs/dbraw/zinc/21/26/40/350212640.db2.gz HVVOSFFZUSITHG-UHFFFAOYSA-N 0 0 282.315 2.782 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCc1ccsc1 ZINC000091550285 180188834 /nfs/dbraw/zinc/18/88/34/180188834.db2.gz LPOFHUHQFGSDRL-UHFFFAOYSA-N 0 0 280.353 2.836 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CCC[C@@H](C)O1 ZINC000598211941 350215091 /nfs/dbraw/zinc/21/50/91/350215091.db2.gz IHTCYXNQJUZCFC-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@](C)(NC(=O)COc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598251407 350225420 /nfs/dbraw/zinc/22/54/20/350225420.db2.gz RDLBSUWRDWBIKH-OAHLLOKOSA-N 0 0 292.335 2.669 20 5 CFBDRN CCSC1(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000598336015 350238701 /nfs/dbraw/zinc/23/87/01/350238701.db2.gz SOJFEUBSFKYVMR-UHFFFAOYSA-N 0 0 298.339 2.749 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1(CC(C)C)CC1 ZINC000598401811 350256933 /nfs/dbraw/zinc/25/69/33/350256933.db2.gz DELGHLMIXQLWBF-UHFFFAOYSA-N 0 0 277.324 2.607 20 5 CFBDRN CC(C)CC1(NC(=O)COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000598401733 350257183 /nfs/dbraw/zinc/25/71/83/350257183.db2.gz AFURBEZBIQQYJZ-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)C1CCC(F)CC1 ZINC000598368231 350251337 /nfs/dbraw/zinc/25/13/37/350251337.db2.gz ZCSBXIBKPQCMMJ-XNWIYYODSA-N 0 0 284.287 2.834 20 5 CFBDRN CC(C)[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000598382058 350253808 /nfs/dbraw/zinc/25/38/08/350253808.db2.gz VWRLCMXESSSJOJ-NSHDSACASA-N 0 0 268.338 2.821 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000598384952 350254342 /nfs/dbraw/zinc/25/43/42/350254342.db2.gz GWMVTGBMOVYBOX-AWEZNQCLSA-N 0 0 294.376 2.992 20 5 CFBDRN CNc1ccc(C(=O)N[C@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598385931 350254595 /nfs/dbraw/zinc/25/45/95/350254595.db2.gz MZPKEJJMSGKBSB-CQSZACIVSA-N 0 0 277.324 2.555 20 5 CFBDRN CCOc1ccc(C(=O)N[C@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598387361 350255094 /nfs/dbraw/zinc/25/50/94/350255094.db2.gz ZJKCFMIBUAPUDJ-OAHLLOKOSA-N 0 0 292.335 2.912 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000598386537 350255356 /nfs/dbraw/zinc/25/53/56/350255356.db2.gz QDUORDPNOVRHSD-OAHLLOKOSA-N 0 0 276.336 2.832 20 5 CFBDRN COc1cccc(C(=O)N(C)C[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000598549843 350281371 /nfs/dbraw/zinc/28/13/71/350281371.db2.gz FUQCEOODZAYCLJ-SNVBAGLBSA-N 0 0 292.335 2.722 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000598564882 350282495 /nfs/dbraw/zinc/28/24/95/350282495.db2.gz LWEDUJVRPLPLGA-SECBINFHSA-N 0 0 280.299 2.852 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)C[C@H]2CC2(C)C)c1 ZINC000598566618 350283630 /nfs/dbraw/zinc/28/36/30/350283630.db2.gz YPIJJLJHRLDJHK-SNVBAGLBSA-N 0 0 292.335 2.722 20 5 CFBDRN CC(C)(C(=O)N1CC2CC1(C)C2)c1ccccc1[N+](=O)[O-] ZINC000598569494 350284048 /nfs/dbraw/zinc/28/40/48/350284048.db2.gz ARSFSTRMMXELHW-UHFFFAOYSA-N 0 0 288.347 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC3CC2(C)C3)c1 ZINC000598572649 350284825 /nfs/dbraw/zinc/28/48/25/350284825.db2.gz YPVQVZFUZWNWCG-UHFFFAOYSA-N 0 0 260.293 2.528 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc([N+](=O)[O-])o1 ZINC000598449117 350271008 /nfs/dbraw/zinc/27/10/08/350271008.db2.gz QPPFDPSRJKLBBW-PBINXNQUSA-N 0 0 264.281 2.591 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H]2C[C@@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000598630047 350297290 /nfs/dbraw/zinc/29/72/90/350297290.db2.gz SESKVZNKQLESNW-YGRLFVJLSA-N 0 0 293.323 2.623 20 5 CFBDRN Cc1ccnc(NCC[C@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000598639788 350299568 /nfs/dbraw/zinc/29/95/68/350299568.db2.gz VDETWGAEKWDGAA-QMMMGPOBSA-N 0 0 257.240 2.755 20 5 CFBDRN C[C@@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@H]1CO ZINC000598639773 350299757 /nfs/dbraw/zinc/29/97/57/350299757.db2.gz UVVFMKUVXSJCLG-ZJUUUORDSA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1ccnc([C@H](C)Nc2ncc([N+](=O)[O-])cc2C)n1 ZINC000598641741 350300040 /nfs/dbraw/zinc/30/00/40/350300040.db2.gz WFAZLMAOAIVAAK-JTQLQIEISA-N 0 0 273.296 2.570 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC(CC2CC2)C1 ZINC000598643569 350300577 /nfs/dbraw/zinc/30/05/77/350300577.db2.gz OPCMLSQLSPQGCJ-UHFFFAOYSA-N 0 0 250.273 2.970 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cncc(Cl)c2)nc1C1CC1 ZINC000598711010 350315140 /nfs/dbraw/zinc/31/51/40/350315140.db2.gz SOSGAFBBWYMCQI-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSC[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000598717729 350316679 /nfs/dbraw/zinc/31/66/79/350316679.db2.gz IJPDYWFNGRBRDF-UTUOFQBUSA-N 0 0 281.381 2.961 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1ccccc1[N+](=O)[O-] ZINC000598855058 350340592 /nfs/dbraw/zinc/34/05/92/350340592.db2.gz OWFIRTHRBLUOJX-SNVBAGLBSA-N 0 0 250.298 2.855 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000598856642 350341458 /nfs/dbraw/zinc/34/14/58/350341458.db2.gz ZFZPTTAEMLVZHB-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000598953582 350348451 /nfs/dbraw/zinc/34/84/51/350348451.db2.gz DOECQOIJGZUTPR-GFCCVEGCSA-N 0 0 266.297 2.739 20 5 CFBDRN C[C@@H](NC(=O)NCC1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000598972098 350351934 /nfs/dbraw/zinc/35/19/34/350351934.db2.gz MSXYZQUWIZAAGI-LLVKDONJSA-N 0 0 289.335 2.921 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CCOC2(CCC2)C1 ZINC000599063614 350368165 /nfs/dbraw/zinc/36/81/65/350368165.db2.gz FAQQRHHETSKLAI-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCC(F)(F)F ZINC000599026235 350361161 /nfs/dbraw/zinc/36/11/61/350361161.db2.gz DKTZODQOMAKZCU-UHFFFAOYSA-N 0 0 292.213 2.664 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCC(F)(F)F)CCCC2 ZINC000599028694 350361166 /nfs/dbraw/zinc/36/11/66/350361166.db2.gz VDSGWXXPDMXMNV-UHFFFAOYSA-N 0 0 275.230 2.843 20 5 CFBDRN Cc1c(CNC(=O)NCC2CC=CC2)cccc1[N+](=O)[O-] ZINC000599040297 350363559 /nfs/dbraw/zinc/36/35/59/350363559.db2.gz HCEPGNLFFMYOEY-UHFFFAOYSA-N 0 0 289.335 2.669 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599046403 350364300 /nfs/dbraw/zinc/36/43/00/350364300.db2.gz ITYDPMYOJVSALM-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC(C(F)F)C1 ZINC000599054918 350366509 /nfs/dbraw/zinc/36/65/09/350366509.db2.gz DGKSMJMSBKDSDX-UHFFFAOYSA-N 0 0 279.246 2.844 20 5 CFBDRN CC1CC(CNC(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000598778477 350328554 /nfs/dbraw/zinc/32/85/54/350328554.db2.gz RNIYILCTHMDFTD-UHFFFAOYSA-N 0 0 291.351 2.559 20 5 CFBDRN C[C@H](C(=O)NCC[C@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000598779055 350328610 /nfs/dbraw/zinc/32/86/10/350328610.db2.gz ACIGNJNJBWFTCG-ONGXEEELSA-N 0 0 298.289 2.860 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(CC3CC3)C2)c1 ZINC000598782380 350329115 /nfs/dbraw/zinc/32/91/15/350329115.db2.gz ZUPFCFQHLCFLLU-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1sc(C(=O)NCC[C@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000598781485 350329324 /nfs/dbraw/zinc/32/93/24/350329324.db2.gz IXMLSYCWWAOKHX-ZETCQYMHSA-N 0 0 290.291 2.740 20 5 CFBDRN Cc1cccc(C(=O)NCC[C@@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000598786075 350330140 /nfs/dbraw/zinc/33/01/40/350330140.db2.gz ZKIIJBFEVHMDSF-SECBINFHSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1ncsc1CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000092027220 180308596 /nfs/dbraw/zinc/30/85/96/180308596.db2.gz WAMDUFKMFKDWGW-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC1(C)C ZINC000598802311 350330393 /nfs/dbraw/zinc/33/03/93/350330393.db2.gz VDAACCXERZLJHJ-JTQLQIEISA-N 0 0 278.308 2.978 20 5 CFBDRN Cc1nc(NC(=O)[C@@H](C)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000598822926 350334383 /nfs/dbraw/zinc/33/43/83/350334383.db2.gz LOUSAYCXAQILBS-VIFPVBQESA-N 0 0 289.291 2.709 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@H](c3ccncc3)C2)n1 ZINC000599099872 350376117 /nfs/dbraw/zinc/37/61/17/350376117.db2.gz FVIJWMHHPIEQPM-AWEZNQCLSA-N 0 0 298.346 2.996 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2C[C@@H](O)C23CCC3)ccc2cnccc21 ZINC000599105921 350376634 /nfs/dbraw/zinc/37/66/34/350376634.db2.gz GNGHJXZBYAUIQZ-UONOGXRCSA-N 0 0 299.330 2.858 20 5 CFBDRN COc1cc(NCC[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000599117286 350377924 /nfs/dbraw/zinc/37/79/24/350377924.db2.gz QYJSXVGZOFVHMR-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2Cc3ccccc3CO2)cn1 ZINC000599123769 350380198 /nfs/dbraw/zinc/38/01/98/350380198.db2.gz JFRIRHOECFPGDK-AWEZNQCLSA-N 0 0 285.303 2.543 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1CCCN1CC(F)F ZINC000599134435 350381561 /nfs/dbraw/zinc/38/15/61/350381561.db2.gz WUXQDLVPVWJJCI-SNVBAGLBSA-N 0 0 285.294 2.736 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H]1CCCN1CC(F)F ZINC000599133183 350381848 /nfs/dbraw/zinc/38/18/48/350381848.db2.gz DDXAUOPJBJQSSI-MRVPVSSYSA-N 0 0 291.323 2.798 20 5 CFBDRN CC1(C)CC(=O)CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000599138310 350383021 /nfs/dbraw/zinc/38/30/21/350383021.db2.gz ZDBQBHLYYDQNCR-UHFFFAOYSA-N 0 0 280.299 2.929 20 5 CFBDRN CC(C)CC[C@@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000599137929 350383048 /nfs/dbraw/zinc/38/30/48/350383048.db2.gz UGIRWTHFVROHFE-VIFPVBQESA-N 0 0 258.343 2.865 20 5 CFBDRN Cc1cc(Nc2cnn(CCF)c2)c(F)cc1[N+](=O)[O-] ZINC000599142625 350383540 /nfs/dbraw/zinc/38/35/40/350383540.db2.gz YWYSDHAZCIGHHH-UHFFFAOYSA-N 0 0 282.250 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC2(C1)CCCO2 ZINC000599144950 350384086 /nfs/dbraw/zinc/38/40/86/350384086.db2.gz WLUGDGHHITTXDQ-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN CN(CC1(C)COC1)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000599144565 350384463 /nfs/dbraw/zinc/38/44/63/350384463.db2.gz HIYMPTYWOLTPQQ-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000599146887 350384746 /nfs/dbraw/zinc/38/47/46/350384746.db2.gz BELXIIZJVWBJIA-KGLIPLIRSA-N 0 0 278.308 2.777 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000599147168 350384829 /nfs/dbraw/zinc/38/48/29/350384829.db2.gz GFCCUCMSLXZFHZ-MFKMUULPSA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1nc(N2CC(Cc3ccco3)C2)ccc1[N+](=O)[O-] ZINC000599151895 350385573 /nfs/dbraw/zinc/38/55/73/350385573.db2.gz QXYZIMXORGOMMM-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1c1ccc([N+](=O)[O-])cn1 ZINC000599162769 350386773 /nfs/dbraw/zinc/38/67/73/350386773.db2.gz QARDOQVLOGLDGJ-MRVPVSSYSA-N 0 0 257.240 2.614 20 5 CFBDRN CCC(F)(F)C(C)(C)CNc1c([N+](=O)[O-])ncn1C ZINC000599156037 350386959 /nfs/dbraw/zinc/38/69/59/350386959.db2.gz REEKUYOMLZPPBF-UHFFFAOYSA-N 0 0 276.287 2.812 20 5 CFBDRN Cc1cnc(N[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000599163899 350387551 /nfs/dbraw/zinc/38/75/51/350387551.db2.gz HEBWEFJRBJSLPA-GMOBBJLQSA-N 0 0 265.313 2.522 20 5 CFBDRN Cc1ccnc(N[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)c1[N+](=O)[O-] ZINC000599165494 350387567 /nfs/dbraw/zinc/38/75/67/350387567.db2.gz RUZUZFYUFKCXKB-VLEAKVRGSA-N 0 0 265.313 2.522 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000599165516 350388106 /nfs/dbraw/zinc/38/81/06/350388106.db2.gz SQJMPKSIAPTVCQ-LITAXDCLSA-N 0 0 293.279 2.765 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2COC2)cc1C(F)(F)F ZINC000599175054 350389286 /nfs/dbraw/zinc/38/92/86/350389286.db2.gz BPVHREKGQAUWOG-UHFFFAOYSA-N 0 0 276.214 2.672 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2C[C@@H](O)C2)cc1C(F)(F)F ZINC000599175275 350389840 /nfs/dbraw/zinc/38/98/40/350389840.db2.gz WNROSNMDODEHCI-OTSSQURYSA-N 0 0 290.241 2.796 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000599178986 350390490 /nfs/dbraw/zinc/39/04/90/350390490.db2.gz DDKMIFSBGSDCPL-XHDPSFHLSA-N 0 0 299.330 2.544 20 5 CFBDRN C[C@H]1CC[C@H](c2noc(-c3cccc([N+](=O)[O-])c3)n2)O1 ZINC000599181001 350391024 /nfs/dbraw/zinc/39/10/24/350391024.db2.gz SHHGGEAFKTYFEH-GZMMTYOYSA-N 0 0 275.264 2.885 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000599180087 350391145 /nfs/dbraw/zinc/39/11/45/350391145.db2.gz JBRDQTVQIGUTDB-WCBMZHEXSA-N 0 0 254.311 2.636 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000599181999 350391291 /nfs/dbraw/zinc/39/12/91/350391291.db2.gz WACFYTCXVFBFHQ-FZMZJTMJSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000599181707 350391679 /nfs/dbraw/zinc/39/16/79/350391679.db2.gz SNOCUEBGDGOXDZ-IUODEOHRSA-N 0 0 299.330 2.544 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1(C(F)(F)F)CCCC1 ZINC000599183816 350392243 /nfs/dbraw/zinc/39/22/43/350392243.db2.gz AITWQKUEIWSWRN-UHFFFAOYSA-N 0 0 292.261 2.863 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2C[C@H](OC)C2)c([N+](=O)[O-])c1 ZINC000599196318 350394356 /nfs/dbraw/zinc/39/43/56/350394356.db2.gz ZDKLAJSIZQSUKJ-XYPYZODXSA-N 0 0 278.308 2.777 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000599200541 350395120 /nfs/dbraw/zinc/39/51/20/350395120.db2.gz ANZLMYOXUTYTBC-KXMPLOMGSA-N 0 0 262.313 2.567 20 5 CFBDRN Cc1cc(NC[C@H]2CCCC23CC3)ncc1[N+](=O)[O-] ZINC000599205087 350395822 /nfs/dbraw/zinc/39/58/22/350395822.db2.gz DBYQWJLFMSDSOF-LLVKDONJSA-N 0 0 261.325 2.712 20 5 CFBDRN C/C=C\C[C@H]1CCCN(c2c([N+](=O)[O-])ncn2C)C1 ZINC000599203522 350396037 /nfs/dbraw/zinc/39/60/37/350396037.db2.gz ZWDAOGBPMBTZES-BYCRGOAPSA-N 0 0 264.329 2.511 20 5 CFBDRN CCO[C@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000599744954 350489997 /nfs/dbraw/zinc/48/99/97/350489997.db2.gz UCADXMMWFPLSLP-HZMBPMFUSA-N 0 0 292.335 2.587 20 5 CFBDRN C/C=C\CNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000599774397 350492497 /nfs/dbraw/zinc/49/24/97/350492497.db2.gz RDSVTHWPNDGRDO-PLNGDYQASA-N 0 0 263.297 2.909 20 5 CFBDRN CC(C)CC[C@@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000599779572 350492593 /nfs/dbraw/zinc/49/25/93/350492593.db2.gz MMWPMDZPAWGPDU-LBPRGKRZSA-N 0 0 295.339 2.513 20 5 CFBDRN CCC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000291063108 197904978 /nfs/dbraw/zinc/90/49/78/197904978.db2.gz LHDRRTGXODITTG-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1c(NC(=O)NCC2CC=CC2)cccc1[N+](=O)[O-] ZINC000599702836 350486585 /nfs/dbraw/zinc/48/65/85/350486585.db2.gz UIUCXCCSHPZJCL-UHFFFAOYSA-N 0 0 275.308 2.991 20 5 CFBDRN C/C=C/CNC(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000599788187 350493319 /nfs/dbraw/zinc/49/33/19/350493319.db2.gz ADDJEGUVOHNXKZ-HWKANZROSA-N 0 0 279.296 2.691 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCc1ccccn1 ZINC000129749542 187451258 /nfs/dbraw/zinc/45/12/58/187451258.db2.gz UPEAUGMDUPZXTE-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN CC[C@@H](O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000107062532 186157882 /nfs/dbraw/zinc/15/78/82/186157882.db2.gz CEPMPFDMCNVOEG-SNVBAGLBSA-N 0 0 261.277 2.898 20 5 CFBDRN CC(=O)c1ccc(N(C)C[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000107064692 186159193 /nfs/dbraw/zinc/15/91/93/186159193.db2.gz IVDIXYATXMLNHZ-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccncc2C)cc1[N+](=O)[O-] ZINC000107291176 186166260 /nfs/dbraw/zinc/16/62/60/186166260.db2.gz WYMKGMCIYVHTHI-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CCC[C@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])OCC ZINC000600173198 350530282 /nfs/dbraw/zinc/53/02/82/350530282.db2.gz ANYCAIQYUNACID-GFCCVEGCSA-N 0 0 294.351 2.838 20 5 CFBDRN CCC[C@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)OCC ZINC000600173597 350530307 /nfs/dbraw/zinc/53/03/07/350530307.db2.gz FNKRZYLNXKIGNU-CYBMUJFWSA-N 0 0 294.351 2.838 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)OCC ZINC000600175130 350530686 /nfs/dbraw/zinc/53/06/86/350530686.db2.gz QCUNEMZKFHXGLT-ZDUSSCGKSA-N 0 0 298.314 2.669 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCCC[C@H]1CCO ZINC000107904859 186197249 /nfs/dbraw/zinc/19/72/49/186197249.db2.gz CKNUNJNPAUJARH-NSHDSACASA-N 0 0 298.770 2.985 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000600159930 350524466 /nfs/dbraw/zinc/52/44/66/350524466.db2.gz KZROYLRWWQYBBG-SNVBAGLBSA-N 0 0 294.355 2.573 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])C[C@@H]1CCCOC1 ZINC000107686507 186184536 /nfs/dbraw/zinc/18/45/36/186184536.db2.gz AKSWICUWFVMILF-NSHDSACASA-N 0 0 282.315 2.592 20 5 CFBDRN CCSCCn1cc([N+](=O)[O-])c(-c2ccc(F)cn2)n1 ZINC000600543973 350568480 /nfs/dbraw/zinc/56/84/80/350568480.db2.gz FHYXYVBZBBTWKE-UHFFFAOYSA-N 0 0 296.327 2.746 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCC(=O)NCC(C)(C)C ZINC000600565241 350571849 /nfs/dbraw/zinc/57/18/49/350571849.db2.gz QXZFCFCQJDUHAU-UHFFFAOYSA-N 0 0 293.367 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSC[C@@H]2CCOC2)cc1 ZINC000600568007 350571918 /nfs/dbraw/zinc/57/19/18/350571918.db2.gz GYVQKNJESFPCAV-LLVKDONJSA-N 0 0 283.349 2.743 20 5 CFBDRN C[C@H](O)CCCSCCOc1ccc([N+](=O)[O-])cc1 ZINC000600569395 350572664 /nfs/dbraw/zinc/57/26/64/350572664.db2.gz ODBVQBPIYHLKKA-NSHDSACASA-N 0 0 285.365 2.868 20 5 CFBDRN CCCCOCCCNc1ncc([N+](=O)[O-])cc1C ZINC000108349462 186212364 /nfs/dbraw/zinc/21/23/64/186212364.db2.gz WZNZOCVILOENMN-UHFFFAOYSA-N 0 0 267.329 2.917 20 5 CFBDRN CCC(NC(=O)c1cc([N+](=O)[O-])cn1C)(C1CC1)C1CC1 ZINC000600244490 350545089 /nfs/dbraw/zinc/54/50/89/350545089.db2.gz BXPQBVLQKDGVER-UHFFFAOYSA-N 0 0 291.351 2.632 20 5 CFBDRN CCC(F)(F)C(C)(C)CNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000600395348 350552839 /nfs/dbraw/zinc/55/28/39/350552839.db2.gz URCLDVVDOPBFQO-UHFFFAOYSA-N 0 0 289.282 2.724 20 5 CFBDRN C/C=C\CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000600491304 350558357 /nfs/dbraw/zinc/55/83/57/350558357.db2.gz MKFJZFKSFRJJEY-PLNGDYQASA-N 0 0 262.309 2.565 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])s2)C[C@H]2C[C@H]2C1 ZINC000600502246 350560286 /nfs/dbraw/zinc/56/02/86/350560286.db2.gz YFQBBQPIDRVCSA-WOFXILAISA-N 0 0 280.349 2.822 20 5 CFBDRN O=[N+]([O-])c1cnn(C2CC(Oc3ccccc3)C2)c1 ZINC000600517784 350562183 /nfs/dbraw/zinc/56/21/83/350562183.db2.gz YRFGQOBYRXPDHO-UHFFFAOYSA-N 0 0 259.265 2.574 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C1CC(Oc2ccccc2)C1 ZINC000600521525 350563012 /nfs/dbraw/zinc/56/30/12/350563012.db2.gz QOZNCJSWOPOLCM-UHFFFAOYSA-N 0 0 273.292 2.882 20 5 CFBDRN COC(=O)CCCCOc1cccc([N+](=O)[O-])c1C ZINC000600521076 350563218 /nfs/dbraw/zinc/56/32/18/350563218.db2.gz MRJBBDRDOYHKGF-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCC[C@H](C)CCCn1cccc([N+](=O)[O-])c1=O ZINC000600521960 350563229 /nfs/dbraw/zinc/56/32/29/350563229.db2.gz AQXOXVBXGNIJEN-NSHDSACASA-N 0 0 252.314 2.973 20 5 CFBDRN CC(=O)c1ccc(OCc2nc(C)oc2C)c([N+](=O)[O-])c1 ZINC000600530721 350565647 /nfs/dbraw/zinc/56/56/47/350565647.db2.gz CEWZOQINTYIZQG-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CCCOCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000600536113 350566779 /nfs/dbraw/zinc/56/67/79/350566779.db2.gz WXZICSONDONOGI-UHFFFAOYSA-N 0 0 261.281 2.842 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC000600536144 350567179 /nfs/dbraw/zinc/56/71/79/350567179.db2.gz JJRAHDNGAVXHFW-AEJSXWLSSA-N 0 0 280.711 2.622 20 5 CFBDRN CC(C)[C@H]1C[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000271389726 191112319 /nfs/dbraw/zinc/11/23/19/191112319.db2.gz QXZRXXMQRZPMGE-SMDDNHRTSA-N 0 0 292.335 2.528 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)SCCC(N)=O ZINC000600604539 350579166 /nfs/dbraw/zinc/57/91/66/350579166.db2.gz CBBWBJMFXNAUJG-VIFPVBQESA-N 0 0 268.338 2.573 20 5 CFBDRN COc1cc(Cn2ccnc2C2CC2)c([N+](=O)[O-])cc1F ZINC000600610083 350579570 /nfs/dbraw/zinc/57/95/70/350579570.db2.gz FQKUVUXOOIZYTE-UHFFFAOYSA-N 0 0 291.282 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cn1ccnc1C1CC1 ZINC000600611849 350580437 /nfs/dbraw/zinc/58/04/37/350580437.db2.gz VBVMEQAIROFYGX-UHFFFAOYSA-N 0 0 261.256 2.856 20 5 CFBDRN COc1ccc(OC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000108641417 186224888 /nfs/dbraw/zinc/22/48/88/186224888.db2.gz QAVXRJBWPFFXOU-UHFFFAOYSA-N 0 0 269.253 2.917 20 5 CFBDRN CCO[C@H](COc1ccc([N+](=O)[O-])cc1C(C)=O)C1CC1 ZINC000600673191 350594319 /nfs/dbraw/zinc/59/43/19/350594319.db2.gz XWIFWLTZQDNEFN-OAHLLOKOSA-N 0 0 293.319 2.991 20 5 CFBDRN C[C@H]1CC(C(=O)[O-])C[C@H](C)[NH+]1Cc1ccc([N+](=O)[O-])cc1 ZINC000600676489 350595534 /nfs/dbraw/zinc/59/55/34/350595534.db2.gz XRHAHPYJEMZZQD-QWRGUYRKSA-N 0 0 292.335 2.668 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000600812937 350616251 /nfs/dbraw/zinc/61/62/51/350616251.db2.gz VWQQPFAHEDKGQC-ZZKXABKFSA-N 0 0 289.335 2.808 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1cnc2n1CCCC2 ZINC000600846505 350619394 /nfs/dbraw/zinc/61/93/94/350619394.db2.gz ZHQVAYYRMPDSQS-UHFFFAOYSA-N 0 0 261.256 2.934 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCOC2)c2ccncc21 ZINC000109548139 186261968 /nfs/dbraw/zinc/26/19/68/186261968.db2.gz YGDFSTKPCJHKQU-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN CC[C@H](C)n1cc(-c2cc([N+](=O)[O-])ccc2CO)cn1 ZINC000600784275 350611284 /nfs/dbraw/zinc/61/12/84/350611284.db2.gz ZTLCTCLAKCVNAZ-JTQLQIEISA-N 0 0 275.308 2.922 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@H]1CCCC12CC2 ZINC000600800802 350614058 /nfs/dbraw/zinc/61/40/58/350614058.db2.gz DQABKKLOIXUJKO-CYBMUJFWSA-N 0 0 288.347 2.834 20 5 CFBDRN CCc1[nH]nc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1C ZINC000109893717 186279196 /nfs/dbraw/zinc/27/91/96/186279196.db2.gz REAMWCIMEIQEJQ-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN CN(CC1CC1)C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110231117 186288912 /nfs/dbraw/zinc/28/89/12/186288912.db2.gz RPWXQGFWASESRN-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN CC1(C)CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601031602 350647985 /nfs/dbraw/zinc/64/79/85/350647985.db2.gz LPUPARBYKXOEOI-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000110327716 186291160 /nfs/dbraw/zinc/29/11/60/186291160.db2.gz LVMLWRNCJLSONW-SECBINFHSA-N 0 0 295.295 2.621 20 5 CFBDRN Cn1nc2c(c1/C=C/c1ccnc([N+](=O)[O-])c1)CCCC2 ZINC000601043724 350649848 /nfs/dbraw/zinc/64/98/48/350649848.db2.gz HWJJPLRRHJSLPX-VOTSOKGWSA-N 0 0 284.319 2.773 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1c2ccccc2C[C@H]1O ZINC000110476779 186299081 /nfs/dbraw/zinc/29/90/81/186299081.db2.gz IKWDCTYWEDUQKU-CABCVRRESA-N 0 0 270.288 2.665 20 5 CFBDRN C[C@H]1OCC[C@@H]1COc1ccc([N+](=O)[O-])cc1F ZINC000601075824 350659633 /nfs/dbraw/zinc/65/96/33/350659633.db2.gz VQKPYZWNCUHRGZ-RKDXNWHRSA-N 0 0 255.245 2.538 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1COC1CCCCC1 ZINC000601079922 350661607 /nfs/dbraw/zinc/66/16/07/350661607.db2.gz GESJHHHSUNCLQC-UHFFFAOYSA-N 0 0 286.715 2.717 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cc(Cl)ccn2)nc1C1CC1 ZINC000601081546 350662312 /nfs/dbraw/zinc/66/23/12/350662312.db2.gz QKNUXSJSFRLHFV-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN CCCCC(=O)COc1c(F)cccc1[N+](=O)[O-] ZINC000601080904 350662453 /nfs/dbraw/zinc/66/24/53/350662453.db2.gz JHTBNAJAWICKPG-UHFFFAOYSA-N 0 0 255.245 2.872 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2nc(CC3CC3)no2)c1 ZINC000601081318 350662501 /nfs/dbraw/zinc/66/25/01/350662501.db2.gz VGVPCDPVPRGKRA-UHFFFAOYSA-N 0 0 293.254 2.648 20 5 CFBDRN CCOC(=O)CCSCCOc1ccccc1[N+](=O)[O-] ZINC000601095705 350666166 /nfs/dbraw/zinc/66/61/66/350666166.db2.gz GWMZBGNCUKROFO-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN Cc1ncc(CN(C)c2ncc(F)cc2[N+](=O)[O-])s1 ZINC000601105380 350669205 /nfs/dbraw/zinc/66/92/05/350669205.db2.gz FJOBNHMITVDRFJ-UHFFFAOYSA-N 0 0 282.300 2.530 20 5 CFBDRN CC[C@H]1CN(c2ccnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000601103269 350669220 /nfs/dbraw/zinc/66/92/20/350669220.db2.gz SJOFXXQVSRNNCJ-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](C)O[C@@H]2C)c([N+](=O)[O-])s1 ZINC000601223833 350702062 /nfs/dbraw/zinc/70/20/62/350702062.db2.gz OOFMMCPKJHVMJO-NBEYISGCSA-N 0 0 256.327 2.943 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](C)O[C@@H]2C)c([N+](=O)[O-])s1 ZINC000601223832 350702075 /nfs/dbraw/zinc/70/20/75/350702075.db2.gz OOFMMCPKJHVMJO-FTLITQJKSA-N 0 0 256.327 2.943 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000601223587 350702224 /nfs/dbraw/zinc/70/22/24/350702224.db2.gz HLJMHPNVXFFURV-GMOODISLSA-N 0 0 278.308 2.775 20 5 CFBDRN COCC1(C2CC2)CN(c2sccc2[N+](=O)[O-])C1 ZINC000601226693 350702626 /nfs/dbraw/zinc/70/26/26/350702626.db2.gz PRKPBZUTVWGOIX-UHFFFAOYSA-N 0 0 268.338 2.519 20 5 CFBDRN COCC1(C2CC2)CN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000601227849 350703629 /nfs/dbraw/zinc/70/36/29/350703629.db2.gz XJPYMKZOEDJLGC-UHFFFAOYSA-N 0 0 276.336 2.766 20 5 CFBDRN CCc1nn(C)c(NCc2cccc(F)c2F)c1[N+](=O)[O-] ZINC000601239142 350707205 /nfs/dbraw/zinc/70/72/05/350707205.db2.gz FJIGXMROWVULJM-UHFFFAOYSA-N 0 0 296.277 2.781 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC(C)(F)CC2)n1 ZINC000601246671 350708330 /nfs/dbraw/zinc/70/83/30/350708330.db2.gz QZNDTDCDLLAOPY-UHFFFAOYSA-N 0 0 267.304 2.935 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H](C1CCC1)[C@H]1CCCO1 ZINC000601250967 350709674 /nfs/dbraw/zinc/70/96/74/350709674.db2.gz QHVKUELOXSWRNJ-CHWSQXEVSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H](C1CCC1)[C@@H]1CCCO1 ZINC000601250971 350709718 /nfs/dbraw/zinc/70/97/18/350709718.db2.gz QHVKUELOXSWRNJ-QWHCGFSZSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCCn2nccc21 ZINC000601269187 350712987 /nfs/dbraw/zinc/71/29/87/350712987.db2.gz DKAZQKPDJIORTM-VIFPVBQESA-N 0 0 293.714 2.787 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1CCOC2(CCC2)C1 ZINC000601130659 350677364 /nfs/dbraw/zinc/67/73/64/350677364.db2.gz ANRQCTFVIONMAL-SNVBAGLBSA-N 0 0 281.287 2.643 20 5 CFBDRN CN(C)c1cncc(NCc2csc([N+](=O)[O-])c2)c1 ZINC000601141991 350680375 /nfs/dbraw/zinc/68/03/75/350680375.db2.gz UQYHSKZQGRBDMH-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN C[C@H]1C[C@@H](CCNc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601142332 350681264 /nfs/dbraw/zinc/68/12/64/350681264.db2.gz YLEIUNULRJBZCG-UWVGGRQHSA-N 0 0 283.303 2.746 20 5 CFBDRN CC(C)OC(=O)CCCNc1ccsc1[N+](=O)[O-] ZINC000601143178 350681318 /nfs/dbraw/zinc/68/13/18/350681318.db2.gz ARXNCCQQABVPRI-UHFFFAOYSA-N 0 0 272.326 2.800 20 5 CFBDRN C[C@@]1(CNc2ncc(F)cc2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000601143716 350681409 /nfs/dbraw/zinc/68/14/09/350681409.db2.gz JCZZUNRGFOIXNM-JSGCOSHPSA-N 0 0 295.314 2.746 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601158993 350684484 /nfs/dbraw/zinc/68/44/84/350684484.db2.gz AQAFHJZVRDNSQL-QMMMGPOBSA-N 0 0 266.272 2.510 20 5 CFBDRN C[C@@H]1CSC[C@@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601165755 350685760 /nfs/dbraw/zinc/68/57/60/350685760.db2.gz URCJGNZRGJLQNU-OTYXRUKQSA-N 0 0 289.360 2.728 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCCc2occc2C1 ZINC000601166932 350686455 /nfs/dbraw/zinc/68/64/55/350686455.db2.gz UVWCXQRONCCBCA-UHFFFAOYSA-N 0 0 277.255 2.675 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC(C2CC2)CC1 ZINC000601167901 350686755 /nfs/dbraw/zinc/68/67/55/350686755.db2.gz IKHRKOIYYHNESP-UHFFFAOYSA-N 0 0 265.288 2.755 20 5 CFBDRN CC1(C)C[C@H](CNc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601172550 350688733 /nfs/dbraw/zinc/68/87/33/350688733.db2.gz AEDFNJAGUTYXKD-SECBINFHSA-N 0 0 283.303 2.746 20 5 CFBDRN CCCc1ncc(CNc2ncc(F)cc2[N+](=O)[O-])o1 ZINC000601171901 350688907 /nfs/dbraw/zinc/68/89/07/350688907.db2.gz QXKKPLDZWOZLQQ-UHFFFAOYSA-N 0 0 280.259 2.682 20 5 CFBDRN Cc1ccnc([C@@H](C)Nc2ccsc2[N+](=O)[O-])n1 ZINC000601176557 350689377 /nfs/dbraw/zinc/68/93/77/350689377.db2.gz WXEFFJRCQCAAAK-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1n[nH]cc1CN(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000601181060 350690165 /nfs/dbraw/zinc/69/01/65/350690165.db2.gz DTVJKJLURMQKTL-UHFFFAOYSA-N 0 0 278.287 2.710 20 5 CFBDRN COCC1CCC(Nc2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601176840 350690343 /nfs/dbraw/zinc/69/03/43/350690343.db2.gz RKWPVUHOCHSYLQ-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN CCc1csc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)n1 ZINC000601181645 350690927 /nfs/dbraw/zinc/69/09/27/350690927.db2.gz KZECKVZUTMXSQJ-SSDOTTSWSA-N 0 0 281.341 2.520 20 5 CFBDRN C[C@@H]1CN(c2ncc(F)cc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000601185832 350691538 /nfs/dbraw/zinc/69/15/38/350691538.db2.gz VEKLWAUJMCLJRM-SNVBAGLBSA-N 0 0 295.314 2.667 20 5 CFBDRN COCC1CN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)C1 ZINC000601186340 350691765 /nfs/dbraw/zinc/69/17/65/350691765.db2.gz MSYVGBZIFYQKMQ-UHFFFAOYSA-N 0 0 299.330 2.739 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC(SC)CC2)c1 ZINC000601206994 350697469 /nfs/dbraw/zinc/69/74/69/350697469.db2.gz QLWQDMCGMNGJTR-UHFFFAOYSA-N 0 0 282.365 2.935 20 5 CFBDRN CCn1nc(C)c(CN(C)c2ncc([N+](=O)[O-])s2)c1C ZINC000601210048 350698045 /nfs/dbraw/zinc/69/80/45/350698045.db2.gz MQQLLROJHIDMPE-UHFFFAOYSA-N 0 0 295.368 2.521 20 5 CFBDRN CCC[C@H](CCO)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000601212638 350698819 /nfs/dbraw/zinc/69/88/19/350698819.db2.gz OEHCYAKZJPTNBS-LLVKDONJSA-N 0 0 282.340 2.957 20 5 CFBDRN CCC[C@H](CCO)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000601213025 350699518 /nfs/dbraw/zinc/69/95/18/350699518.db2.gz WYKODRBPHCAKCQ-LLVKDONJSA-N 0 0 252.314 2.866 20 5 CFBDRN CCC(C)(C)CCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000601218172 350700913 /nfs/dbraw/zinc/70/09/13/350700913.db2.gz TXUYXTYAEMNQDI-UHFFFAOYSA-N 0 0 279.340 2.932 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCC[C@H](O)C(F)F)c2c1 ZINC000601222109 350701442 /nfs/dbraw/zinc/70/14/42/350701442.db2.gz HEPUMCQMXOFPML-NSHDSACASA-N 0 0 297.261 2.571 20 5 CFBDRN CC1CC(CNC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601677023 350757930 /nfs/dbraw/zinc/75/79/30/350757930.db2.gz BPZVDOWSMDWJKP-UHFFFAOYSA-N 0 0 266.272 2.510 20 5 CFBDRN C[C@@H](C(=O)NCC(C)(C)F)c1ccc([N+](=O)[O-])cc1F ZINC000601687398 350759244 /nfs/dbraw/zinc/75/92/44/350759244.db2.gz DZJOGSFAINDOBN-MRVPVSSYSA-N 0 0 286.278 2.702 20 5 CFBDRN C[C@]1(CNC(=O)c2c(F)cccc2[N+](=O)[O-])C[C@H]2C[C@H]2C1 ZINC000601686868 350759305 /nfs/dbraw/zinc/75/93/05/350759305.db2.gz XFSHSLYHZBWOKB-RTUWITSCSA-N 0 0 292.310 2.900 20 5 CFBDRN CC(C)(F)CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000601688156 350760113 /nfs/dbraw/zinc/76/01/13/350760113.db2.gz JFHWRVGBBKXQDK-UHFFFAOYSA-N 0 0 279.271 2.554 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CCCC23CC3)cccc1[N+](=O)[O-] ZINC000601703903 350765091 /nfs/dbraw/zinc/76/50/91/350765091.db2.gz GIOOIWOGGQRLJQ-GFCCVEGCSA-N 0 0 290.319 2.666 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(C3(F)CCOCC3)n2)c1 ZINC000601354264 350725650 /nfs/dbraw/zinc/72/56/50/350725650.db2.gz LQYZEXYJKAGFPQ-UHFFFAOYSA-N 0 0 293.254 2.620 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C[C@@H]1F ZINC000601413958 350730876 /nfs/dbraw/zinc/73/08/76/350730876.db2.gz MHBYTUOEOBPEOU-SCZZXKLOSA-N 0 0 284.262 2.554 20 5 CFBDRN C[C@H]1CSCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601528130 350736926 /nfs/dbraw/zinc/73/69/26/350736926.db2.gz HGHILHQISAWUFX-SECBINFHSA-N 0 0 298.339 2.559 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCCC23CC3)cc1[N+](=O)[O-] ZINC000601699610 350764309 /nfs/dbraw/zinc/76/43/09/350764309.db2.gz ALRBTAWQMOCQJF-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN CCC(C)(C)CCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000601744662 350770691 /nfs/dbraw/zinc/77/06/91/350770691.db2.gz MNLVSILQADBINP-UHFFFAOYSA-N 0 0 265.313 2.546 20 5 CFBDRN CCC(C)(C)CCNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000601744458 350770709 /nfs/dbraw/zinc/77/07/09/350770709.db2.gz KRRHVHRTDQJUBC-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCCC2)c1ccc([N+](=O)[O-])cc1F ZINC000601776654 350775110 /nfs/dbraw/zinc/77/51/10/350775110.db2.gz QTFDNISTKDLXQY-YMTOWFKASA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1cc(C(=O)N2CCC(C)(F)CC2)ccc1[N+](=O)[O-] ZINC000601869796 350795643 /nfs/dbraw/zinc/79/56/43/350795643.db2.gz XZTMFMMCXCIXRB-UHFFFAOYSA-N 0 0 280.299 2.867 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000601875927 350796851 /nfs/dbraw/zinc/79/68/51/350796851.db2.gz ZFGUIDLCNOKSSE-JTQLQIEISA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]2C(F)(F)F)cc([N+](=O)[O-])c1 ZINC000601894942 350799174 /nfs/dbraw/zinc/79/91/74/350799174.db2.gz SVNMSWMGENWABC-NXEZZACHSA-N 0 0 288.225 2.584 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1ccc(Cl)cn1 ZINC000601913438 350800592 /nfs/dbraw/zinc/80/05/92/350800592.db2.gz QDPKTVUOKUPAMX-UHFFFAOYSA-N 0 0 298.755 2.940 20 5 CFBDRN CCOc1cccc(OCc2onc(C)c2[N+](=O)[O-])c1 ZINC000601925329 350803736 /nfs/dbraw/zinc/80/37/36/350803736.db2.gz KNXGECSNOJPXSN-UHFFFAOYSA-N 0 0 278.264 2.869 20 5 CFBDRN CCC1=NN(c2cccc([N+](=O)[O-])c2)C(=O)[C@@H]1C(C)C ZINC000601932481 350806220 /nfs/dbraw/zinc/80/62/20/350806220.db2.gz QODICPGAWPNTLD-CYBMUJFWSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1N1N=C2CC[C@H](C)[C@@H]2C1=O ZINC000601938024 350809284 /nfs/dbraw/zinc/80/92/84/350809284.db2.gz FPMGSCYYIBXJGK-SDBXPKJASA-N 0 0 273.292 2.652 20 5 CFBDRN CC[C@H]1C(=O)N(c2cccc([N+](=O)[O-])c2C)N=C1C ZINC000601939510 350810518 /nfs/dbraw/zinc/81/05/18/350810518.db2.gz LMIPJULKOOZADF-SNVBAGLBSA-N 0 0 261.281 2.652 20 5 CFBDRN CC(C)C1=NN(c2ccc([N+](=O)[O-])cc2F)C(=O)CC1 ZINC000601941761 350810967 /nfs/dbraw/zinc/81/09/67/350810967.db2.gz ZBXNFVCZLCUYHB-UHFFFAOYSA-N 0 0 279.271 2.873 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1CCC=CCC1 ZINC000602073064 350837599 /nfs/dbraw/zinc/83/75/99/350837599.db2.gz POXFABJGDSGPFP-UHFFFAOYSA-N 0 0 274.320 2.957 20 5 CFBDRN C[C@H](CCc1ccccc1[N+](=O)[O-])[NH2+]CCCCC(=O)[O-] ZINC000602064839 350837626 /nfs/dbraw/zinc/83/76/26/350837626.db2.gz JHXWXHPJOQRGNW-GFCCVEGCSA-N 0 0 294.351 2.760 20 5 CFBDRN Cc1c(C(=O)N2OC3CCC2CC3)cccc1[N+](=O)[O-] ZINC000602215016 350852669 /nfs/dbraw/zinc/85/26/69/350852669.db2.gz DWBDSTYGVFRBBC-UHFFFAOYSA-N 0 0 276.292 2.602 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@]12C[C@H]1CCCC2 ZINC000602240887 350855722 /nfs/dbraw/zinc/85/57/22/350855722.db2.gz CASLZJFASZNLNF-IUODEOHRSA-N 0 0 289.335 2.727 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000602282245 350858731 /nfs/dbraw/zinc/85/87/31/350858731.db2.gz YYSCOXFJDIFZTR-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)ccc1[N+](=O)[O-] ZINC000602302873 350861036 /nfs/dbraw/zinc/86/10/36/350861036.db2.gz PJBBBCAMOBFDEY-CKYFFXLPSA-N 0 0 278.308 2.655 20 5 CFBDRN CC[C@H](CC(=O)Nc1c([N+](=O)[O-])cnn1C)C(C)(C)C ZINC000602309444 350862549 /nfs/dbraw/zinc/86/25/49/350862549.db2.gz PWDIDPSVWCSGTO-SECBINFHSA-N 0 0 282.344 2.729 20 5 CFBDRN CCO[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1CC)C1CC1 ZINC000602339548 350866020 /nfs/dbraw/zinc/86/60/20/350866020.db2.gz AJCKBIIODSAPFL-AWEZNQCLSA-N 0 0 292.335 2.911 20 5 CFBDRN C/C=C/CNC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000602375341 350871516 /nfs/dbraw/zinc/87/15/16/350871516.db2.gz OCMLXKXAAHJSLW-SNAWJCMRSA-N 0 0 277.324 2.705 20 5 CFBDRN CC/C=C/CNCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602676476 350974057 /nfs/dbraw/zinc/97/40/57/350974057.db2.gz QMAITMDXWAPMBD-ONEGZZNKSA-N 0 0 261.281 2.792 20 5 CFBDRN CCC1(C)CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000602687830 350979893 /nfs/dbraw/zinc/97/98/93/350979893.db2.gz DMRDBRXOMICFSQ-UHFFFAOYSA-N 0 0 275.308 2.968 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(Cn3cnc4c3CCCC4)nc2c1 ZINC000602720417 350998782 /nfs/dbraw/zinc/99/87/82/350998782.db2.gz GDPRZAHQAJSVGK-UHFFFAOYSA-N 0 0 298.302 2.860 20 5 CFBDRN CC(C)c1ccc(CNCc2ncc[nH]2)cc1[N+](=O)[O-] ZINC000602729786 351003220 /nfs/dbraw/zinc/00/32/20/351003220.db2.gz CYYOMJBJMWTGRK-UHFFFAOYSA-N 0 0 274.324 2.731 20 5 CFBDRN C[C@@H](C1CCCCC1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000337781444 295819233 /nfs/dbraw/zinc/81/92/33/295819233.db2.gz BIVUOTZPZDHJIO-JTQLQIEISA-N 0 0 266.345 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cn(CC3CCC3)nn2)cc1 ZINC000603203678 351117978 /nfs/dbraw/zinc/11/79/78/351117978.db2.gz LSJJMBVJQGEZQD-UHFFFAOYSA-N 0 0 288.307 2.565 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])n1cc(C2CC2)nn1 ZINC000603212308 351118804 /nfs/dbraw/zinc/11/88/04/351118804.db2.gz SQPHRTJRBWOTEB-VIFPVBQESA-N 0 0 258.281 2.673 20 5 CFBDRN CC(C)(C)c1cn(Cc2ccc(F)cc2[N+](=O)[O-])nn1 ZINC000603224649 351120915 /nfs/dbraw/zinc/12/09/15/351120915.db2.gz TWHAZQARPZIZHH-UHFFFAOYSA-N 0 0 278.287 2.671 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)NC(C(C)C)C(C)C ZINC000602951198 351071865 /nfs/dbraw/zinc/07/18/65/351071865.db2.gz IBJQYWNWYJQPNC-UHFFFAOYSA-N 0 0 294.355 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCC(C)(C)F ZINC000602960240 351072706 /nfs/dbraw/zinc/07/27/06/351072706.db2.gz XYSPSQADQSRCNY-UHFFFAOYSA-N 0 0 269.276 2.773 20 5 CFBDRN CCOc1cc(CN(C)c2cc(C)[nH]n2)ccc1[N+](=O)[O-] ZINC000603014195 351090873 /nfs/dbraw/zinc/09/08/73/351090873.db2.gz SYVHDHALRJAENH-UHFFFAOYSA-N 0 0 290.323 2.661 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN[C@@H]1CCCn2nccc21 ZINC000603028870 351091809 /nfs/dbraw/zinc/09/18/09/351091809.db2.gz HEQUEBNCBNFNDL-GFCCVEGCSA-N 0 0 290.298 2.555 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN1OC2CCC1CC2 ZINC000603030110 351092501 /nfs/dbraw/zinc/09/25/01/351092501.db2.gz BPDFXMOVNWPSJV-UHFFFAOYSA-N 0 0 278.308 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCN2OC3CCC2CC3)cc1 ZINC000603031831 351092714 /nfs/dbraw/zinc/09/27/14/351092714.db2.gz KFLKULMKQFFYLF-UHFFFAOYSA-N 0 0 292.335 2.922 20 5 CFBDRN COc1ccc(CN2OC3CCC2CC3)cc1[N+](=O)[O-] ZINC000603030891 351092787 /nfs/dbraw/zinc/09/27/87/351092787.db2.gz HBUBKEPQPGEURW-UHFFFAOYSA-N 0 0 278.308 2.662 20 5 CFBDRN CCC[C@H]1C(=O)N(c2cccc([N+](=O)[O-])c2)N=C1C ZINC000603923719 351192231 /nfs/dbraw/zinc/19/22/31/351192231.db2.gz MNYQNNKLAROYGK-GFCCVEGCSA-N 0 0 261.281 2.734 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1cc(C2CC2)ncn1 ZINC000603377140 351150695 /nfs/dbraw/zinc/15/06/95/351150695.db2.gz YMOSSQBMKYCUJN-UHFFFAOYSA-N 0 0 298.346 2.941 20 5 CFBDRN CCc1nnc(Sc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000603417356 351160349 /nfs/dbraw/zinc/16/03/49/351160349.db2.gz HDMSNAUBERIVCX-UHFFFAOYSA-N 0 0 265.294 3.000 20 5 CFBDRN Cn1cc(COc2ccccc2OC(F)F)c([N+](=O)[O-])n1 ZINC000603419348 351160981 /nfs/dbraw/zinc/16/09/81/351160981.db2.gz CQSUMBWHMCZRCD-UHFFFAOYSA-N 0 0 299.233 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(CO)cc1 ZINC000603478379 351167117 /nfs/dbraw/zinc/16/71/17/351167117.db2.gz RHQLVONKXAWUAT-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN COc1ccc(NC(=O)c2cc(C)[nH]c2C)c([N+](=O)[O-])c1 ZINC000603616501 351175764 /nfs/dbraw/zinc/17/57/64/351175764.db2.gz LYRONWFRPFCNSR-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN Cc1cc(OCC(=O)N(C)CCC(C)C)ccc1[N+](=O)[O-] ZINC000603614570 351175923 /nfs/dbraw/zinc/17/59/23/351175923.db2.gz NSVBDHBKXIVYKL-UHFFFAOYSA-N 0 0 294.351 2.787 20 5 CFBDRN CCn1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cn1 ZINC000114225417 186612795 /nfs/dbraw/zinc/61/27/95/186612795.db2.gz NKUFMEGJIWZESI-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CC(=O)Nc1ccc(Oc2cccnc2[N+](=O)[O-])cc1 ZINC000603836824 351184050 /nfs/dbraw/zinc/18/40/50/351184050.db2.gz JIEBZBCZJOQUKF-UHFFFAOYSA-N 0 0 273.248 2.741 20 5 CFBDRN COC(=O)c1cccc(Oc2cccnc2[N+](=O)[O-])c1 ZINC000603837790 351184663 /nfs/dbraw/zinc/18/46/63/351184663.db2.gz FIJZKGDUSFZFDN-UHFFFAOYSA-N 0 0 274.232 2.569 20 5 CFBDRN Cc1cc(N2CCc3nc(C)ncc3C2)ccc1[N+](=O)[O-] ZINC000603856597 351187173 /nfs/dbraw/zinc/18/71/73/351187173.db2.gz KLQFPWMLGZHZML-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN Cc1cc(OCc2cn(C)nc2[N+](=O)[O-])ccc1Cl ZINC000603299122 351133482 /nfs/dbraw/zinc/13/34/82/351133482.db2.gz FFLNFHBUUDKRIB-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CSCCNC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000603916774 351191339 /nfs/dbraw/zinc/19/13/39/351191339.db2.gz ZWABWBHSYAJNRJ-UHFFFAOYSA-N 0 0 296.392 2.985 20 5 CFBDRN O=[N+]([O-])c1c(NC2CC=CC2)ncnc1NC1CC=CC1 ZINC000603310607 351136434 /nfs/dbraw/zinc/13/64/34/351136434.db2.gz IKCNCOZGAQEWQA-UHFFFAOYSA-N 0 0 287.323 2.646 20 5 CFBDRN CCC(F)(F)Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000603318015 351138203 /nfs/dbraw/zinc/13/82/03/351138203.db2.gz KZZQFWBUVOVZOO-UHFFFAOYSA-N 0 0 282.250 2.899 20 5 CFBDRN CC(C)(C)c1ccnc(NCCn2cc([N+](=O)[O-])cn2)c1 ZINC000603321432 351138853 /nfs/dbraw/zinc/13/88/53/351138853.db2.gz XVFRISYOXULVDU-UHFFFAOYSA-N 0 0 289.339 2.596 20 5 CFBDRN CCC[C@@H](C)NC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000604081782 351199430 /nfs/dbraw/zinc/19/94/30/351199430.db2.gz OHQBWKQEZDAVHD-SNVBAGLBSA-N 0 0 295.339 2.591 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]2CCCC[C@@H]12 ZINC000604101953 351200280 /nfs/dbraw/zinc/20/02/80/351200280.db2.gz DQTUCSQQNJUKIZ-MDZLAQPJSA-N 0 0 291.351 2.964 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H]1c1ccccn1 ZINC000604380187 351209466 /nfs/dbraw/zinc/20/94/66/351209466.db2.gz VLKKZOIRMDZAFO-LBPRGKRZSA-N 0 0 270.292 2.726 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H]2C)c1 ZINC000604397734 351210923 /nfs/dbraw/zinc/21/09/23/351210923.db2.gz FMIBORXKFDIPRL-QMMMGPOBSA-N 0 0 266.322 2.551 20 5 CFBDRN C[C@@H](CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000604401942 351211710 /nfs/dbraw/zinc/21/17/10/351211710.db2.gz DGJQVECIXFUDIS-NSHDSACASA-N 0 0 291.351 2.782 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1ccsc1[N+](=O)[O-] ZINC000604433296 351212261 /nfs/dbraw/zinc/21/22/61/351212261.db2.gz RXOFMVRWENZVCG-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1cc2c(nn1)CCC2 ZINC000604500409 351215466 /nfs/dbraw/zinc/21/54/66/351215466.db2.gz WJTPNBOMKNYMHQ-UHFFFAOYSA-N 0 0 284.319 2.510 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000604547316 351218667 /nfs/dbraw/zinc/21/86/67/351218667.db2.gz FFJTYKULHGKPIK-MRVPVSSYSA-N 0 0 289.291 2.942 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000271431121 191133581 /nfs/dbraw/zinc/13/35/81/191133581.db2.gz MYUDWQDFGSCJMW-NWDGAFQWSA-N 0 0 292.335 2.763 20 5 CFBDRN CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)c1ccncc1 ZINC000117665312 186754710 /nfs/dbraw/zinc/75/47/10/186754710.db2.gz CQYJKJUROFLCJW-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CCCn1c(C)nnc1COc1ccc([N+](=O)[O-])c(C)c1 ZINC000118965286 186791232 /nfs/dbraw/zinc/79/12/32/186791232.db2.gz QSOBHYXPNREYDC-UHFFFAOYSA-N 0 0 290.323 2.792 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCO[C@@H](c2ccccc2)C1 ZINC000609233469 351492852 /nfs/dbraw/zinc/49/28/52/351492852.db2.gz YMAVYWNWUHHKCT-OAHLLOKOSA-N 0 0 299.330 2.958 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Nc1ccncc1[N+](=O)[O-])CC2 ZINC000609288401 351494842 /nfs/dbraw/zinc/49/48/42/351494842.db2.gz RLMPAXQHCNLZBF-CYBMUJFWSA-N 0 0 269.304 2.820 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@@H](C)O1 ZINC000609513224 351505210 /nfs/dbraw/zinc/50/52/10/351505210.db2.gz QFXADRMRLAPFKP-RKDXNWHRSA-N 0 0 256.327 2.660 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1ncc[nH]1 ZINC000609536106 351506364 /nfs/dbraw/zinc/50/63/64/351506364.db2.gz KZGNXKBIRBGEIT-JTQLQIEISA-N 0 0 260.297 2.511 20 5 CFBDRN CC[C@@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])C1CCCCC1 ZINC000608783971 351470380 /nfs/dbraw/zinc/47/03/80/351470380.db2.gz WAJLRDNWAUHWQD-LLVKDONJSA-N 0 0 294.355 2.715 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1snnc1C ZINC000291387320 198008256 /nfs/dbraw/zinc/00/82/56/198008256.db2.gz GBMYZGZEVLMCCA-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN C[C@H](C[C@@H]1CCCO1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000608831583 351479137 /nfs/dbraw/zinc/47/91/37/351479137.db2.gz OVZVDGFVCPZBOU-BDAKNGLRSA-N 0 0 296.352 2.674 20 5 CFBDRN C[C@@H](C[C@H]1CCCO1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000608831584 351479182 /nfs/dbraw/zinc/47/91/82/351479182.db2.gz OVZVDGFVCPZBOU-DTWKUNHWSA-N 0 0 296.352 2.674 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cccc2[nH]ccc21 ZINC000608879974 351481049 /nfs/dbraw/zinc/48/10/49/351481049.db2.gz KIXABHIYXYBMEQ-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000119368896 186823165 /nfs/dbraw/zinc/82/31/65/186823165.db2.gz WZOGROLOGVMEPJ-LLVKDONJSA-N 0 0 291.351 2.625 20 5 CFBDRN COc1ccc2c(c1)CCCN(c1ccncc1[N+](=O)[O-])C2 ZINC000609132908 351485498 /nfs/dbraw/zinc/48/54/98/351485498.db2.gz SRTQSYZPZWNIFU-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN C[C@H](CCC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609868376 351524136 /nfs/dbraw/zinc/52/41/36/351524136.db2.gz PPWPMXOZALGZNG-SNVBAGLBSA-N 0 0 281.356 2.878 20 5 CFBDRN CCCC(O)(CCC)CNc1cccnc1[N+](=O)[O-] ZINC000609964071 351528911 /nfs/dbraw/zinc/52/89/11/351528911.db2.gz FCGNIHHHQYARRY-UHFFFAOYSA-N 0 0 267.329 2.733 20 5 CFBDRN C[C@@H]1CCN1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000610104861 351534864 /nfs/dbraw/zinc/53/48/64/351534864.db2.gz ZMRHLGPSYDAARI-GFCCVEGCSA-N 0 0 291.351 2.721 20 5 CFBDRN Cc1nn(Cc2cccc(N(C)C)c2)c(C)c1[N+](=O)[O-] ZINC000610165718 351536596 /nfs/dbraw/zinc/53/65/96/351536596.db2.gz HVWHOXRURMPTJT-UHFFFAOYSA-N 0 0 274.324 2.522 20 5 CFBDRN C[C@H](C(=O)NCCc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000610172724 351536606 /nfs/dbraw/zinc/53/66/06/351536606.db2.gz ZJHIJHPRQAKARY-NSHDSACASA-N 0 0 288.303 2.650 20 5 CFBDRN C[C@H](C(=O)NCc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000610171910 351536796 /nfs/dbraw/zinc/53/67/96/351536796.db2.gz KLKGPALXRLDPSP-JTQLQIEISA-N 0 0 274.276 2.608 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000610170608 351536855 /nfs/dbraw/zinc/53/68/55/351536855.db2.gz NGGDZQCGOBBVIW-NSHDSACASA-N 0 0 288.303 2.950 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000610225644 351541328 /nfs/dbraw/zinc/54/13/28/351541328.db2.gz UUIMQGLXEJHTNK-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](C)C2CC2)c1 ZINC000610225593 351541484 /nfs/dbraw/zinc/54/14/84/351541484.db2.gz OWVRDDVHDORBSO-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN C[C@H](C(=O)NCCc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000610237114 351542771 /nfs/dbraw/zinc/54/27/71/351542771.db2.gz IXTYTOJTRYBGJE-NSHDSACASA-N 0 0 288.303 2.650 20 5 CFBDRN COc1ccc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])nc1 ZINC000610244718 351543221 /nfs/dbraw/zinc/54/32/21/351543221.db2.gz IPBYEWKHTRXRFW-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CSC1(CNc2ccsc2[N+](=O)[O-])CCOCC1 ZINC000610282106 351548831 /nfs/dbraw/zinc/54/88/31/351548831.db2.gz ZRXDYHJPPADMFM-UHFFFAOYSA-N 0 0 288.394 2.980 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000610281095 351549395 /nfs/dbraw/zinc/54/93/95/351549395.db2.gz NYSFOGMEJODUIZ-GARJFASQSA-N 0 0 264.329 2.709 20 5 CFBDRN Cc1cnc(N2CCC[N@@H+](C(C)(C)C)CC2)c([N+](=O)[O-])c1 ZINC000610284192 351549430 /nfs/dbraw/zinc/54/94/30/351549430.db2.gz HODBBDOCSVHKNF-UHFFFAOYSA-N 0 0 292.383 2.609 20 5 CFBDRN Cc1ccc2[nH]c(CNc3cccnc3[N+](=O)[O-])nc2c1 ZINC000610412228 351564775 /nfs/dbraw/zinc/56/47/75/351564775.db2.gz UBXZREZDSLPVRT-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN COCc1ccc(SCCn2cc([N+](=O)[O-])cn2)cc1 ZINC000610480769 351569157 /nfs/dbraw/zinc/56/91/57/351569157.db2.gz VABRJMSRORDLIJ-UHFFFAOYSA-N 0 0 293.348 2.730 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])nc1)c1ccncc1 ZINC000610516857 351575474 /nfs/dbraw/zinc/57/54/74/351575474.db2.gz FOUFVPYCVWVESZ-SNVBAGLBSA-N 0 0 258.281 2.600 20 5 CFBDRN O=C(CCn1cccc1)Nc1ccc([N+](=O)[O-])cc1F ZINC000610512976 351575736 /nfs/dbraw/zinc/57/57/36/351575736.db2.gz NNYUPLDIHGEGOV-UHFFFAOYSA-N 0 0 277.255 2.564 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H](C)c1ccncc1 ZINC000610526799 351578971 /nfs/dbraw/zinc/57/89/71/351578971.db2.gz XRANMRITMNUPDR-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN CN(CCOCC1CC1)c1ccsc1[N+](=O)[O-] ZINC000610678805 351592329 /nfs/dbraw/zinc/59/23/29/351592329.db2.gz PIVOMXVSFMUJHF-UHFFFAOYSA-N 0 0 256.327 2.519 20 5 CFBDRN Cc1occc1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000610706778 351594818 /nfs/dbraw/zinc/59/48/18/351594818.db2.gz VOKQOSBYSSDIGT-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN CC(C)(C)c1nnc(CNc2cccnc2[N+](=O)[O-])s1 ZINC000610717102 351596374 /nfs/dbraw/zinc/59/63/74/351596374.db2.gz QQOHJVRHYLBFPQ-UHFFFAOYSA-N 0 0 293.352 2.751 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])o1)[C@H]1CCCCO1 ZINC000610718800 351597424 /nfs/dbraw/zinc/59/74/24/351597424.db2.gz MBZXJGKQETVTCL-LLVKDONJSA-N 0 0 296.323 2.513 20 5 CFBDRN C[C@@H](CC(=O)NCc1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000610723048 351597596 /nfs/dbraw/zinc/59/75/96/351597596.db2.gz ZUGRZJRSVFWFEK-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@H]1n1ccnc1 ZINC000610726399 351598170 /nfs/dbraw/zinc/59/81/70/351598170.db2.gz BIORGLMFKYYEJS-GHMZBOCLSA-N 0 0 292.364 2.940 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1ccccc1[N+](=O)[O-] ZINC000610734699 351599363 /nfs/dbraw/zinc/59/93/63/351599363.db2.gz GRCFQGLZYPBTGF-WDEREUQCSA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000610734842 351600377 /nfs/dbraw/zinc/60/03/77/351600377.db2.gz PWNXTKLBWMNDMN-ZJUUUORDSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000120009258 186868840 /nfs/dbraw/zinc/86/88/40/186868840.db2.gz UCHRQYQYDUXIGR-ZWNOBZJWSA-N 0 0 292.335 2.514 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)C1CCOCC1 ZINC000610755219 351604534 /nfs/dbraw/zinc/60/45/34/351604534.db2.gz SPMZETNRKUUOKP-LBPRGKRZSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])c(C)cc2C)nn1C ZINC000610855933 351614202 /nfs/dbraw/zinc/61/42/02/351614202.db2.gz RZWXPGPINLJCJJ-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])ccc2C)nn1C ZINC000610855924 351614300 /nfs/dbraw/zinc/61/43/00/351614300.db2.gz IJQQWWRIDVJXBX-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN C[C@@H](Nc1ncnc2nccnc21)c1cccc([N+](=O)[O-])c1 ZINC000611059668 351636707 /nfs/dbraw/zinc/63/67/07/351636707.db2.gz GDFQFZGTKBTVQQ-SECBINFHSA-N 0 0 296.290 2.501 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC2(CC(F)C2)C1 ZINC000611077731 351638393 /nfs/dbraw/zinc/63/83/93/351638393.db2.gz KPZKEGKYSOLVSP-UHFFFAOYSA-N 0 0 298.701 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(C(C)C)C2)c1 ZINC000611079351 351638476 /nfs/dbraw/zinc/63/84/76/351638476.db2.gz QOAFIYSMCOHZNB-UHFFFAOYSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1c(CC(=O)N2CC(C(C)C)C2)cccc1[N+](=O)[O-] ZINC000611079057 351638500 /nfs/dbraw/zinc/63/85/00/351638500.db2.gz IMEGEVLMQZQGSK-UHFFFAOYSA-N 0 0 276.336 2.560 20 5 CFBDRN Cc1ccc(N[C@H]2CC[C@@H](CO)CC2)c([N+](=O)[O-])c1 ZINC000611084158 351639331 /nfs/dbraw/zinc/63/93/31/351639331.db2.gz IWCKGJXISXRTFC-TXEJJXNPSA-N 0 0 264.325 2.866 20 5 CFBDRN CC(F)(F)CCC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000611163140 351645866 /nfs/dbraw/zinc/64/58/66/351645866.db2.gz GGJDCPUTHOFUNC-UHFFFAOYSA-N 0 0 286.278 2.689 20 5 CFBDRN COCC1(N(C)Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000611178685 351647535 /nfs/dbraw/zinc/64/75/35/351647535.db2.gz KRXRUHBMWXTLKZ-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cocn2)nc1-c1ccccc1 ZINC000611182195 351649737 /nfs/dbraw/zinc/64/97/37/351649737.db2.gz FBNPGKQUJDTLSG-UHFFFAOYSA-N 0 0 296.286 2.679 20 5 CFBDRN CC[N@@H+]1C[C@@H](C)N(c2ccc([N+](=O)[O-])s2)C[C@@H]1C ZINC000611222025 351652660 /nfs/dbraw/zinc/65/26/60/351652660.db2.gz HMAXENQELHFMEW-VHSXEESVSA-N 0 0 269.370 2.575 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CO)CC2CCCC2)c(Cl)c1 ZINC000611222249 351653354 /nfs/dbraw/zinc/65/33/54/351653354.db2.gz SUXWAHJVJLXDCP-JTQLQIEISA-N 0 0 299.758 2.996 20 5 CFBDRN Cc1c(Nc2cccnc2[N+](=O)[O-])cnn1CC(C)C ZINC000611228483 351653416 /nfs/dbraw/zinc/65/34/16/351653416.db2.gz IPNZYFAARUKFKN-UHFFFAOYSA-N 0 0 275.312 2.894 20 5 CFBDRN Cc1cnc(N[C@H](CO)CC2CCCC2)c([N+](=O)[O-])c1 ZINC000611222242 351653541 /nfs/dbraw/zinc/65/35/41/351653541.db2.gz OZQIGILNLSUJLV-LBPRGKRZSA-N 0 0 279.340 2.651 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@](C)(F)C2)c1 ZINC000611228388 351653643 /nfs/dbraw/zinc/65/36/43/351653643.db2.gz SHEGEPZABFVXTF-LBPRGKRZSA-N 0 0 254.261 2.542 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1(CCOC)CC1 ZINC000291501514 198038529 /nfs/dbraw/zinc/03/85/29/198038529.db2.gz VBXLPOTZJRCOTP-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC3(CCCC3)C2)cn1 ZINC000610856273 351614601 /nfs/dbraw/zinc/61/46/01/351614601.db2.gz IJWZELAKMAVBSC-LLVKDONJSA-N 0 0 277.324 2.894 20 5 CFBDRN CN(CCOC(C)(C)C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000610862622 351616795 /nfs/dbraw/zinc/61/67/95/351616795.db2.gz VWXOOUACSGYQFP-UHFFFAOYSA-N 0 0 298.314 2.621 20 5 CFBDRN O=[N+]([O-])c1cnn(CCNc2nccc3sccc32)c1 ZINC000610864615 351617370 /nfs/dbraw/zinc/61/73/70/351617370.db2.gz ARBPSQJRSIKNJB-UHFFFAOYSA-N 0 0 289.320 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC3(CCOCC3)C2)s1 ZINC000610864689 351617443 /nfs/dbraw/zinc/61/74/43/351617443.db2.gz FQWKSUMHOADZSH-JTQLQIEISA-N 0 0 298.364 2.796 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Oc2ncnc3c2CCC3)c1 ZINC000610915421 351621628 /nfs/dbraw/zinc/62/16/28/351621628.db2.gz OSAMWICXUIYFOQ-UHFFFAOYSA-N 0 0 275.239 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(N(CCO)CCc2ccccc2)s1 ZINC000610952996 351624230 /nfs/dbraw/zinc/62/42/30/351624230.db2.gz OURRHDDIEJDBTM-UHFFFAOYSA-N 0 0 292.360 2.698 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCOc1ncccc1F ZINC000610958625 351625326 /nfs/dbraw/zinc/62/53/26/351625326.db2.gz SVUHMUIKSMZIAM-UHFFFAOYSA-N 0 0 283.284 2.681 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1ncc(C)cc1[N+](=O)[O-] ZINC000611373606 351677496 /nfs/dbraw/zinc/67/74/96/351677496.db2.gz JPIRXXNATTXXPC-ZYHUDNBSSA-N 0 0 265.313 2.525 20 5 CFBDRN CC(=O)NC1CCC(Nc2sccc2[N+](=O)[O-])CC1 ZINC000611411998 351685137 /nfs/dbraw/zinc/68/51/37/351685137.db2.gz CRWZZFPCWJMXRH-UHFFFAOYSA-N 0 0 283.353 2.516 20 5 CFBDRN C[C@H]1CN(c2sccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000611412540 351685853 /nfs/dbraw/zinc/68/58/53/351685853.db2.gz UVEOPVNFPYRZIW-QMMMGPOBSA-N 0 0 256.327 2.660 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1sccc1[N+](=O)[O-] ZINC000611414349 351686725 /nfs/dbraw/zinc/68/67/25/351686725.db2.gz VOXRMCHTAHKZOT-SCZZXKLOSA-N 0 0 256.327 2.883 20 5 CFBDRN C[C@@H]1C[C@H](CNc2sccc2[N+](=O)[O-])CCO1 ZINC000611414080 351686887 /nfs/dbraw/zinc/68/68/87/351686887.db2.gz GUMGDETXVXPZSX-RKDXNWHRSA-N 0 0 256.327 2.883 20 5 CFBDRN CC(C)[C@@H](O)C1(CNc2ccsc2[N+](=O)[O-])CC1 ZINC000611415196 351687292 /nfs/dbraw/zinc/68/72/92/351687292.db2.gz VZNQGSCIAVJCKL-SNVBAGLBSA-N 0 0 270.354 2.865 20 5 CFBDRN C[C@@H](CCO)C1(CNc2cccnc2[N+](=O)[O-])CCC1 ZINC000611415108 351687565 /nfs/dbraw/zinc/68/75/65/351687565.db2.gz BJYMAHPZQUIPIE-NSHDSACASA-N 0 0 279.340 2.591 20 5 CFBDRN CC(C)[C@H](O)C1(CNc2ccc([N+](=O)[O-])s2)CC1 ZINC000611415128 351687573 /nfs/dbraw/zinc/68/75/73/351687573.db2.gz DMVMWAGZLGPASX-NSHDSACASA-N 0 0 270.354 2.865 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1ccsc1[N+](=O)[O-] ZINC000611494903 351697184 /nfs/dbraw/zinc/69/71/84/351697184.db2.gz RYFIRPDZOBULLM-MWLCHTKSSA-N 0 0 270.354 2.644 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000611495371 351697333 /nfs/dbraw/zinc/69/73/33/351697333.db2.gz SVEAEYYBHGGCBW-LDYMZIIASA-N 0 0 282.727 2.563 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)C[C@H]2CCCC[C@@H]2O)c1 ZINC000611495170 351697610 /nfs/dbraw/zinc/69/76/10/351697610.db2.gz HJKFEPROSRYSQF-ABAIWWIYSA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611497624 351697849 /nfs/dbraw/zinc/69/78/49/351697849.db2.gz XBBPLNAVYJHDPF-CABZTGNLSA-N 0 0 281.287 2.807 20 5 CFBDRN C[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000611501460 351698112 /nfs/dbraw/zinc/69/81/12/351698112.db2.gz CDMASHCWGSSWEQ-GXFFZTMASA-N 0 0 252.289 2.775 20 5 CFBDRN C[C@H]1CCN(Cc2ccccc2[N+](=O)[O-])C[C@@H]1F ZINC000611501496 351698163 /nfs/dbraw/zinc/69/81/63/351698163.db2.gz CZRMDESQXRVBLV-JQWIXIFHSA-N 0 0 252.289 2.775 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@@H](C)[C@H](F)C2)c1[N+](=O)[O-] ZINC000611506631 351701203 /nfs/dbraw/zinc/70/12/03/351701203.db2.gz PHULTZBLPJTUJO-BXKDBHETSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H](C)[C@H](F)C2)cc1[N+](=O)[O-] ZINC000611507228 351702029 /nfs/dbraw/zinc/70/20/29/351702029.db2.gz ZBSVZTVFKRZYHV-VXNVDRBHSA-N 0 0 286.328 2.785 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC=CCC1 ZINC000611513170 351702963 /nfs/dbraw/zinc/70/29/63/351702963.db2.gz NDQAWCJRQDOADF-LLVKDONJSA-N 0 0 292.360 2.864 20 5 CFBDRN Cc1cc(CN(C)c2sccc2[N+](=O)[O-])no1 ZINC000611289998 351664553 /nfs/dbraw/zinc/66/45/53/351664553.db2.gz POSNSMMWRDENPY-UHFFFAOYSA-N 0 0 253.283 2.589 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])s2)CCO1 ZINC000611298594 351665334 /nfs/dbraw/zinc/66/53/34/351665334.db2.gz CZQODUALUPGYJK-RKDXNWHRSA-N 0 0 256.327 2.883 20 5 CFBDRN C[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])s2)CCO1 ZINC000611298593 351665635 /nfs/dbraw/zinc/66/56/35/351665635.db2.gz CZQODUALUPGYJK-IUCAKERBSA-N 0 0 256.327 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2c3ccccc3C[C@H]2O)s1 ZINC000611369972 351675030 /nfs/dbraw/zinc/67/50/30/351675030.db2.gz DOMFPVXRVRRUJB-ZWNOBZJWSA-N 0 0 276.317 2.727 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCCCC2)s1 ZINC000611370580 351675136 /nfs/dbraw/zinc/67/51/36/351675136.db2.gz FWLHDGMUGVPXBV-UHFFFAOYSA-N 0 0 256.327 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3ncc[nH]3)C2)s1 ZINC000611370588 351675351 /nfs/dbraw/zinc/67/53/51/351675351.db2.gz JFLJREVZFADOFC-VIFPVBQESA-N 0 0 278.337 2.763 20 5 CFBDRN C[C@H]1OCC[C@H]1Sc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611711890 351727984 /nfs/dbraw/zinc/72/79/84/351727984.db2.gz BILIUSRSQSQIIV-PRHODGIISA-N 0 0 291.332 2.808 20 5 CFBDRN CCc1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])c(C)c2)[nH]1 ZINC000271444003 191140592 /nfs/dbraw/zinc/14/05/92/191140592.db2.gz XUUQXDXMHQXECA-SECBINFHSA-N 0 0 275.312 2.757 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCc2ccoc2C)c1 ZINC000611746221 351734474 /nfs/dbraw/zinc/73/44/74/351734474.db2.gz UFVAZKUQVNGTFG-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3c[nH]nc3C2)c(Cl)c1 ZINC000611792740 351740922 /nfs/dbraw/zinc/74/09/22/351740922.db2.gz OTKXLRGMWZSUNI-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN Cc1cn2c(n1)CN([C@@H](C)c1ccccc1[N+](=O)[O-])CC2 ZINC000611802216 351741976 /nfs/dbraw/zinc/74/19/76/351741976.db2.gz RNDVJVKAOGZCGV-LBPRGKRZSA-N 0 0 286.335 2.677 20 5 CFBDRN COc1ccc(NC(=O)N2CCC23CCC3)cc1[N+](=O)[O-] ZINC000611823687 351743998 /nfs/dbraw/zinc/74/39/98/351743998.db2.gz QLCGAYINPXJTQT-UHFFFAOYSA-N 0 0 291.307 2.764 20 5 CFBDRN CCc1nc(C)c(CNCc2ccc([N+](=O)[O-])cc2)o1 ZINC000291666219 198056378 /nfs/dbraw/zinc/05/63/78/198056378.db2.gz FSJOMSDJOIRJMC-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCC3CCCC3)c21 ZINC000611564866 351709291 /nfs/dbraw/zinc/70/92/91/351709291.db2.gz IWRJOSANDYAHAE-UHFFFAOYSA-N 0 0 272.308 2.562 20 5 CFBDRN Cc1nc(N2CCC[C@@H](OC(F)F)C2)ccc1[N+](=O)[O-] ZINC000611584183 351712738 /nfs/dbraw/zinc/71/27/38/351712738.db2.gz XAZMTTKBXIALPA-SECBINFHSA-N 0 0 287.266 2.506 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C1(C)C ZINC000611617166 351715154 /nfs/dbraw/zinc/71/51/54/351715154.db2.gz LXOLIIBXJAPRJG-GHMZBOCLSA-N 0 0 279.340 2.832 20 5 CFBDRN CCC(O)(CC)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616648 351715494 /nfs/dbraw/zinc/71/54/94/351715494.db2.gz JQFVXPHNRVJJMT-UHFFFAOYSA-N 0 0 267.329 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCO[C@@H](C)C2)n1 ZINC000611617404 351715516 /nfs/dbraw/zinc/71/55/16/351715516.db2.gz NHADJCWXGWVCEK-RYUDHWBXSA-N 0 0 279.340 2.834 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2(CO)CCCCC2)n1 ZINC000611616713 351715704 /nfs/dbraw/zinc/71/57/04/351715704.db2.gz GIYAYVSOXGXFKX-UHFFFAOYSA-N 0 0 293.367 2.961 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](CO)CC2CCCC2)n1 ZINC000611617363 351715708 /nfs/dbraw/zinc/71/57/08/351715708.db2.gz JAUGCKNWNNLNAU-ZDUSSCGKSA-N 0 0 293.367 2.960 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)C[C@H]2CCCC[C@H]2O)n1 ZINC000611617527 351715899 /nfs/dbraw/zinc/71/58/99/351715899.db2.gz HGWNBZXVPBXVBS-CHWSQXEVSA-N 0 0 293.367 2.594 20 5 CFBDRN CCc1nn(C)c(NCC[C@@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000612104273 351775420 /nfs/dbraw/zinc/77/54/20/351775420.db2.gz UQAKZDOJQMQQBC-GHMZBOCLSA-N 0 0 296.371 2.508 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000121331062 186966164 /nfs/dbraw/zinc/96/61/64/186966164.db2.gz JRAOZIDHVOKOOE-LLVKDONJSA-N 0 0 280.324 2.524 20 5 CFBDRN CCc1nnc(COc2ccc([N+](=O)[O-])cc2F)s1 ZINC000612018549 351762371 /nfs/dbraw/zinc/76/23/71/351762371.db2.gz CALUKKXRALRODL-UHFFFAOYSA-N 0 0 283.284 2.727 20 5 CFBDRN CCc1nnc(CN(C)Cc2ccccc2[N+](=O)[O-])s1 ZINC000612058454 351767675 /nfs/dbraw/zinc/76/76/75/351767675.db2.gz NOMIYBIXRLPMIM-UHFFFAOYSA-N 0 0 292.364 2.641 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@H]1O ZINC000612449318 351822135 /nfs/dbraw/zinc/82/21/35/351822135.db2.gz SSSZYGPSYTZQSR-MWLCHTKSSA-N 0 0 284.381 2.890 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2sccc2[N+](=O)[O-])C[C@@H]1O ZINC000612449173 351822147 /nfs/dbraw/zinc/82/21/47/351822147.db2.gz HHGZNFGJABEOSY-KOLCDFICSA-N 0 0 284.381 2.890 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@]2(C)CCCO)n1 ZINC000612449562 351822778 /nfs/dbraw/zinc/82/27/78/351822778.db2.gz JGWQKDNZLISFRJ-OAHLLOKOSA-N 0 0 293.367 2.738 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCc2ccco2)c1 ZINC000122270830 187023477 /nfs/dbraw/zinc/02/34/77/187023477.db2.gz JPBGRELMVKPOFB-UHFFFAOYSA-N 0 0 290.275 2.629 20 5 CFBDRN Cc1cc(C(=O)N(C)CCc2ccccn2)cc([N+](=O)[O-])c1 ZINC000123083807 187070667 /nfs/dbraw/zinc/07/06/67/187070667.db2.gz IGSNLWORFDXUMS-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN COCC(C)(C)CNc1cccc(F)c1[N+](=O)[O-] ZINC000291867150 198089818 /nfs/dbraw/zinc/08/98/18/198089818.db2.gz NHACYNAFAFZINO-UHFFFAOYSA-N 0 0 256.277 2.818 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C[C@H]1F ZINC000612616601 351844352 /nfs/dbraw/zinc/84/43/52/351844352.db2.gz PNWFQUZCPGAISR-GZMMTYOYSA-N 0 0 299.277 2.946 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2nccs2)c(Cl)c1 ZINC000122637357 187043206 /nfs/dbraw/zinc/04/32/06/187043206.db2.gz KUANRNOJRCLLPJ-UHFFFAOYSA-N 0 0 270.701 2.712 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)[C@H]2CCOC2)c1 ZINC000122889296 187057597 /nfs/dbraw/zinc/05/75/97/187057597.db2.gz LYWZOTHTZWRJAG-QWRGUYRKSA-N 0 0 250.298 2.740 20 5 CFBDRN C[C@@H]1CC(Nc2ccc([N+](=O)[O-])cc2)C[C@@H](C)O1 ZINC000122900649 187058785 /nfs/dbraw/zinc/05/87/85/187058785.db2.gz TXQJMZLHKTXKBO-NXEZZACHSA-N 0 0 250.298 2.963 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2sccc2[N+](=O)[O-])[C@]12CCCO2 ZINC000612664358 351849178 /nfs/dbraw/zinc/84/91/78/351849178.db2.gz IIJSGWFPRNYPPQ-NQBHXWOUSA-N 0 0 298.364 2.795 20 5 CFBDRN CCC1(C)CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000291759237 198082952 /nfs/dbraw/zinc/08/29/52/198082952.db2.gz CURJZFZBFARSGT-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN Cc1cccc(OCC(=O)N2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000124020867 187118952 /nfs/dbraw/zinc/11/89/52/187118952.db2.gz JGJXGWHGICAKJA-NSHDSACASA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2ccnc(C)n2)n1 ZINC000612936637 351879574 /nfs/dbraw/zinc/87/95/74/351879574.db2.gz WJFOVHQRDCKZTK-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CC(C)(C)C(=O)COc1c(F)cccc1[N+](=O)[O-] ZINC000124286113 187130772 /nfs/dbraw/zinc/13/07/72/187130772.db2.gz FLULDQPIDAZOKQ-UHFFFAOYSA-N 0 0 255.245 2.728 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCC3CC(F)(F)C3)nc2c1 ZINC000291910308 198102239 /nfs/dbraw/zinc/10/22/39/198102239.db2.gz QSXCEIFIBUMTAU-UHFFFAOYSA-N 0 0 282.250 2.928 20 5 CFBDRN C[C@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000123789835 187109095 /nfs/dbraw/zinc/10/90/95/187109095.db2.gz NKUKKWSFQAVRQD-VIFPVBQESA-N 0 0 287.319 2.533 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])C1(C)C ZINC000613284981 351932391 /nfs/dbraw/zinc/93/23/91/351932391.db2.gz DHMOQORNJPGKND-NEPJUHHUSA-N 0 0 279.340 2.994 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N(C)C)C1 ZINC000131259982 187552715 /nfs/dbraw/zinc/55/27/15/187552715.db2.gz INEBNHNOQHXLRZ-NSHDSACASA-N 0 0 291.351 2.533 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC=CCC1 ZINC000613191276 351912517 /nfs/dbraw/zinc/91/25/17/351912517.db2.gz BJOQENSVQPAKPW-AWEZNQCLSA-N 0 0 288.347 2.952 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC1(CO)CCCC1 ZINC000613704701 351999775 /nfs/dbraw/zinc/99/97/75/351999775.db2.gz ZPIFDUODXFLOSW-UHFFFAOYSA-N 0 0 256.327 2.621 20 5 CFBDRN CCOc1nc(C(=O)Nc2cccc([N+](=O)[O-])c2C)co1 ZINC000613865842 352018997 /nfs/dbraw/zinc/01/89/97/352018997.db2.gz LIZJBTJKDLMSGX-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1coc(C2CC2)n1 ZINC000614406066 352090778 /nfs/dbraw/zinc/09/07/78/352090778.db2.gz QNMMVRHLICZMDE-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN CC[C@]1(CO)CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000614528904 352101144 /nfs/dbraw/zinc/10/11/44/352101144.db2.gz PAFXZDXLOJIESZ-LBPRGKRZSA-N 0 0 270.354 2.645 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@H]4CCC[C@H]43)c2c1 ZINC000614528908 352101372 /nfs/dbraw/zinc/10/13/72/352101372.db2.gz PPVLCURUADMBFZ-QMTHXVAHSA-N 0 0 284.319 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@@H]4CCC[C@H]43)c2c1 ZINC000614528907 352101529 /nfs/dbraw/zinc/10/15/29/352101529.db2.gz PPVLCURUADMBFZ-IINYFYTJSA-N 0 0 284.319 2.917 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000614528826 352101603 /nfs/dbraw/zinc/10/16/03/352101603.db2.gz IEIBQTRHRBMDAJ-LBPRGKRZSA-N 0 0 270.354 2.645 20 5 CFBDRN CO[C@H]1CN(c2ccsc2[N+](=O)[O-])CC[C@@H]1C ZINC000615179179 352163333 /nfs/dbraw/zinc/16/33/33/352163333.db2.gz OZJALLANHGBGHO-WPRPVWTQSA-N 0 0 256.327 2.518 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CC=CCC1 ZINC000615206509 352167053 /nfs/dbraw/zinc/16/70/53/352167053.db2.gz WTGTVQOFMJCUMG-UHFFFAOYSA-N 0 0 280.711 2.959 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1ccc([N+](=O)[O-])s1 ZINC000615493757 352199381 /nfs/dbraw/zinc/19/93/81/352199381.db2.gz GISSGICZUHDIGQ-SSDOTTSWSA-N 0 0 266.326 2.871 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCCOC[C@H]1C ZINC000615037345 352146706 /nfs/dbraw/zinc/14/67/06/352146706.db2.gz CVHIEVXGESHJMO-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@H]1COCCN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000413195025 290951982 /nfs/dbraw/zinc/95/19/82/290951982.db2.gz BZPDRZLCTFXKLH-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN COC[C@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000615942842 352265187 /nfs/dbraw/zinc/26/51/87/352265187.db2.gz CEKOTYWZCYAFMJ-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@H]1COCCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000615943465 352265442 /nfs/dbraw/zinc/26/54/42/352265442.db2.gz MGCYLVZTOWESGJ-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN Cn1nc2c(c1CNc1ccccc1[N+](=O)[O-])CCCC2 ZINC000359967129 282809399 /nfs/dbraw/zinc/80/93/99/282809399.db2.gz UXQFSYLHYYDPPD-UHFFFAOYSA-N 0 0 286.335 2.819 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@@H](C2CC2)C1 ZINC000292018042 198136085 /nfs/dbraw/zinc/13/60/85/198136085.db2.gz DZRBDSQECBIVOB-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc(C)cc([N+](=O)[O-])c2)nc1 ZINC000616113649 352286155 /nfs/dbraw/zinc/28/61/55/352286155.db2.gz CRXWKZMDIGEPHO-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000616207380 352304798 /nfs/dbraw/zinc/30/47/98/352304798.db2.gz RSQGWNXAFJCTNT-VIFPVBQESA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000133335460 187677919 /nfs/dbraw/zinc/67/79/19/187677919.db2.gz JUUGVTUKRABNBZ-SMDDNHRTSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000271506094 191180161 /nfs/dbraw/zinc/18/01/61/191180161.db2.gz XXTZIAOPSWRVQF-DTWKUNHWSA-N 0 0 252.270 2.591 20 5 CFBDRN C[C@H]1CC[C@@H](Nc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])C1 ZINC000133267301 187675327 /nfs/dbraw/zinc/67/53/27/187675327.db2.gz IZDQEHZJRKGKSC-VHSXEESVSA-N 0 0 298.364 2.599 20 5 CFBDRN Cc1c([C@H](C)[NH2+][C@@H](C)CC[S@@](C)=O)cccc1[N+](=O)[O-] ZINC000271518420 191188154 /nfs/dbraw/zinc/18/81/54/191188154.db2.gz PXLIMXNEORYXQK-GXUQNELRSA-N 0 0 298.408 2.711 20 5 CFBDRN CC[C@]1(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCOC1 ZINC000616690202 352414736 /nfs/dbraw/zinc/41/47/36/352414736.db2.gz ONVLAQWBJPDFBM-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN CCOCCN(C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000271538702 191199970 /nfs/dbraw/zinc/19/99/70/191199970.db2.gz YLFZWYXMAKQTLB-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN CC[C@@]1(NC(=O)Nc2cc([N+](=O)[O-])ccc2C)CCOC1 ZINC000616745326 352426780 /nfs/dbraw/zinc/42/67/80/352426780.db2.gz GOIXMAYVLQICQO-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1nnc(CNc2cc([N+](=O)[O-])c(C)cc2C)s1 ZINC000271554644 191208920 /nfs/dbraw/zinc/20/89/20/191208920.db2.gz SOLRWFBDMKFVHA-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN CCOc1cc(CN2CCCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000617214916 352517800 /nfs/dbraw/zinc/51/78/00/352517800.db2.gz YHGFYLAPAALSCY-GFCCVEGCSA-N 0 0 294.351 2.604 20 5 CFBDRN C[C@@H]1CCN(c2sccc2[N+](=O)[O-])CCS1 ZINC000617221845 352520603 /nfs/dbraw/zinc/52/06/03/352520603.db2.gz KQWMLJWPFYGVPI-MRVPVSSYSA-N 0 0 258.368 2.988 20 5 CFBDRN C[C@@H](NC(=O)NCC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000271582906 191226751 /nfs/dbraw/zinc/22/67/51/191226751.db2.gz CSRRUEMAJHNAGY-SSDOTTSWSA-N 0 0 291.229 2.517 20 5 CFBDRN CC(C)c1cc(NC(=O)Cc2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000134795918 187773077 /nfs/dbraw/zinc/77/30/77/187773077.db2.gz MZLYZZJBXHQKBL-UHFFFAOYSA-N 0 0 288.307 2.623 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000617688834 352629327 /nfs/dbraw/zinc/62/93/27/352629327.db2.gz BYWUYDHLKYBRIQ-NWDGAFQWSA-N 0 0 299.330 2.971 20 5 CFBDRN Cc1occc1CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000617706966 352630299 /nfs/dbraw/zinc/63/02/99/352630299.db2.gz MPFLEYQAKJLJOQ-SNVBAGLBSA-N 0 0 288.303 2.916 20 5 CFBDRN CCN(CC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271602926 191236224 /nfs/dbraw/zinc/23/62/24/191236224.db2.gz AAZOEEKWSFNCHN-JTQLQIEISA-N 0 0 265.313 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=CCC2)nc1N1CC=CCC1 ZINC000617965147 352686252 /nfs/dbraw/zinc/68/62/52/352686252.db2.gz NHEIMRQRCUDUHH-UHFFFAOYSA-N 0 0 286.335 2.522 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000618174614 352730162 /nfs/dbraw/zinc/73/01/62/352730162.db2.gz WOOYVGICMLZIIS-QWRGUYRKSA-N 0 0 256.689 2.838 20 5 CFBDRN C[C@@H](NC(=O)c1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000618356912 352757824 /nfs/dbraw/zinc/75/78/24/352757824.db2.gz FPEVGELCDWEIAZ-MRVPVSSYSA-N 0 0 277.305 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@@H](NCc1cocn1)CCC2 ZINC000618573414 352814853 /nfs/dbraw/zinc/81/48/53/352814853.db2.gz NHRDSOXWVRRIGJ-AWEZNQCLSA-N 0 0 273.292 2.750 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000292163242 198187869 /nfs/dbraw/zinc/18/78/69/198187869.db2.gz UCGWQNRYQYAKGX-MRVPVSSYSA-N 0 0 286.715 2.956 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C)[C@H](F)C1 ZINC000618470838 352781335 /nfs/dbraw/zinc/78/13/35/352781335.db2.gz ZIMSLUJWEUICIN-GXSJLCMTSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2c(C)cccc2[N+](=O)[O-])nc1 ZINC000618472527 352782066 /nfs/dbraw/zinc/78/20/66/352782066.db2.gz IJHBILQECLMPNV-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CC(C)CONC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000138208119 187903558 /nfs/dbraw/zinc/90/35/58/187903558.db2.gz NIIPICIEENLHRF-SNVBAGLBSA-N 0 0 281.312 2.543 20 5 CFBDRN CCc1noc(CSc2ccc([N+](=O)[O-])cc2F)n1 ZINC000618964891 352921645 /nfs/dbraw/zinc/92/16/45/352921645.db2.gz MCTGDYVUKAKNAL-UHFFFAOYSA-N 0 0 283.284 2.972 20 5 CFBDRN Cc1ccc(NC(=O)N2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000618970986 352923726 /nfs/dbraw/zinc/92/37/26/352923726.db2.gz KPDBPIXCWOUDDR-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN C[C@]1(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCCO1 ZINC000292197227 198198394 /nfs/dbraw/zinc/19/83/94/198198394.db2.gz HGMHIORBCXTEAO-GFCCVEGCSA-N 0 0 284.699 2.756 20 5 CFBDRN CS[C@@H]1CCCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000151080097 187942377 /nfs/dbraw/zinc/94/23/77/187942377.db2.gz NUOZSPKKXZAHMV-CYBMUJFWSA-N 0 0 294.376 2.953 20 5 CFBDRN Cc1c(CNC(=O)CCC(F)F)cccc1[N+](=O)[O-] ZINC000619445773 353024751 /nfs/dbraw/zinc/02/47/51/353024751.db2.gz LSCHWPZIAPIXAJ-UHFFFAOYSA-N 0 0 272.251 2.565 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(F)(F)CC1 ZINC000619457604 353026368 /nfs/dbraw/zinc/02/63/68/353026368.db2.gz ZYORTWNEFQLRAT-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN COc1cc(Oc2cc3c(nn2)CCC3)ccc1[N+](=O)[O-] ZINC000619500746 353029522 /nfs/dbraw/zinc/02/95/22/353029522.db2.gz LKLPJHVUWUCOPO-UHFFFAOYSA-N 0 0 287.275 2.674 20 5 CFBDRN COC1(CNc2cccnc2[N+](=O)[O-])CCC(C)CC1 ZINC000619502788 353030369 /nfs/dbraw/zinc/03/03/69/353030369.db2.gz CUEJGIHRNVBCQX-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@H](Sc1cccnc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000619505938 353030414 /nfs/dbraw/zinc/03/04/14/353030414.db2.gz UWGPXIYNYYNQBO-QMMMGPOBSA-N 0 0 284.337 2.812 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC([C@@H]2CCOC2)C1 ZINC000619501767 353030469 /nfs/dbraw/zinc/03/04/69/353030469.db2.gz VIYIJGZWHDHFNL-LLVKDONJSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@@H](NC(=O)C1CCSCC1)c1ccccc1[N+](=O)[O-] ZINC000271654341 191262699 /nfs/dbraw/zinc/26/26/99/191262699.db2.gz FOZGQCXBUBWRFA-SNVBAGLBSA-N 0 0 294.376 2.915 20 5 CFBDRN CC(C)CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000619522363 353032087 /nfs/dbraw/zinc/03/20/87/353032087.db2.gz XBKSHFNXOQIFPR-NSHDSACASA-N 0 0 281.356 2.878 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CS[C@@H](C)CCO ZINC000619525666 353032433 /nfs/dbraw/zinc/03/24/33/353032433.db2.gz BDEHYXGNPSILJC-VIFPVBQESA-N 0 0 271.338 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCO)nc1-c1ccc(F)cc1 ZINC000619526970 353032772 /nfs/dbraw/zinc/03/27/72/353032772.db2.gz MUPDYVZDJFNFHM-UHFFFAOYSA-N 0 0 294.307 2.880 20 5 CFBDRN CCC[C@@H](C)NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000619754295 353063292 /nfs/dbraw/zinc/06/32/92/353063292.db2.gz WMBRJSJXRXZPGD-GFCCVEGCSA-N 0 0 293.367 2.967 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)CCC(F)F ZINC000619772850 353065331 /nfs/dbraw/zinc/06/53/31/353065331.db2.gz MMFJGZUEXKASHH-UHFFFAOYSA-N 0 0 286.278 2.641 20 5 CFBDRN C[C@H]1CC(CCNc2cccnc2[N+](=O)[O-])C[C@H](C)O1 ZINC000619793268 353066657 /nfs/dbraw/zinc/06/66/57/353066657.db2.gz IMEDEWYMJRSAOF-QWRGUYRKSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2cccnc2[N+](=O)[O-])C[C@H](C)O1 ZINC000619793269 353066696 /nfs/dbraw/zinc/06/66/96/353066696.db2.gz IMEDEWYMJRSAOF-ZSBIGDGJSA-N 0 0 279.340 2.995 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccn(C)c1C ZINC000619866074 353069232 /nfs/dbraw/zinc/06/92/32/353069232.db2.gz LFMIMPCOEOBHAM-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN CC(C)n1nccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000151174481 187949693 /nfs/dbraw/zinc/94/96/93/187949693.db2.gz ZERBOGTWSMCASJ-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H](CO)CC1CCCC1 ZINC000619589004 353038638 /nfs/dbraw/zinc/03/86/38/353038638.db2.gz RROINMVPCOXDIJ-GFCCVEGCSA-N 0 0 279.340 2.591 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])s2)CCCO1 ZINC000619589904 353038667 /nfs/dbraw/zinc/03/86/67/353038667.db2.gz MZLGYNAZIYTUFO-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H]1C ZINC000619607207 353039788 /nfs/dbraw/zinc/03/97/88/353039788.db2.gz IEMDCYNLPIYICU-WPRPVWTQSA-N 0 0 264.281 2.588 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCCc2ccccc2)s1 ZINC000619694419 353051857 /nfs/dbraw/zinc/05/18/57/353051857.db2.gz RLKDQCHTGRAPQD-UHFFFAOYSA-N 0 0 277.349 2.908 20 5 CFBDRN Cc1ccncc1[C@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000619697268 353052522 /nfs/dbraw/zinc/05/25/22/353052522.db2.gz WTSPWLQGRMTPRJ-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H]2C(F)(F)F)ncc1[N+](=O)[O-] ZINC000619698221 353053208 /nfs/dbraw/zinc/05/32/08/353053208.db2.gz MKHRSHDDZUZNRL-RNFRBKRXSA-N 0 0 261.203 2.661 20 5 CFBDRN Cc1ccc(N(C)Cc2nncn2C(C)C)c([N+](=O)[O-])c1 ZINC000292267908 198223866 /nfs/dbraw/zinc/22/38/66/198223866.db2.gz LJUHOCDZJCDBCY-UHFFFAOYSA-N 0 0 289.339 2.712 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151931168 187986425 /nfs/dbraw/zinc/98/64/25/187986425.db2.gz XZRIEDBJOKEPDV-FZMZJTMJSA-N 0 0 276.336 2.832 20 5 CFBDRN CCCC1(CNC(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000152104630 187996091 /nfs/dbraw/zinc/99/60/91/187996091.db2.gz QMVRRMXTYLRGEY-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CCC(C)(C)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000152247068 188004920 /nfs/dbraw/zinc/00/49/20/188004920.db2.gz ISCZUMJDAOFEHC-UHFFFAOYSA-N 0 0 264.325 2.832 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCCSC)c1 ZINC000153802445 188104633 /nfs/dbraw/zinc/10/46/33/188104633.db2.gz URTLFSOMJSFBFC-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCCC[C@H]1CO ZINC000153814320 188105336 /nfs/dbraw/zinc/10/53/36/188105336.db2.gz XGMBQDQTXXOGTC-WCBMZHEXSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1cc(NC[C@H](C)C[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292361663 198259029 /nfs/dbraw/zinc/25/90/29/198259029.db2.gz CTLSAMUVGJMGOV-SCZZXKLOSA-N 0 0 270.304 2.861 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C=C1CCC1 ZINC000153858089 188107955 /nfs/dbraw/zinc/10/79/55/188107955.db2.gz LFXHZBSEHXDPDW-UHFFFAOYSA-N 0 0 262.265 2.652 20 5 CFBDRN CC(F)(F)CCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000450834356 535206470 /nfs/dbraw/zinc/20/64/70/535206470.db2.gz UTHXACVZNBBEGF-UHFFFAOYSA-N 0 0 282.250 2.899 20 5 CFBDRN CO[C@](C)(c1nc(-c2cccc([N+](=O)[O-])c2)no1)C1CC1 ZINC000292387552 198268715 /nfs/dbraw/zinc/26/87/15/198268715.db2.gz DOBNZJAVIRCOOS-AWEZNQCLSA-N 0 0 289.291 2.916 20 5 CFBDRN Cc1nn(C)cc1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292356924 198257979 /nfs/dbraw/zinc/25/79/79/198257979.db2.gz CMBJAMPQCDDEIV-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCCSC ZINC000153826876 188106065 /nfs/dbraw/zinc/10/60/65/188106065.db2.gz TUTSDJFFEDVSNY-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN CC(F)(F)CNC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000493021116 535209613 /nfs/dbraw/zinc/20/96/13/535209613.db2.gz IYYMPWZXOGAUFB-HYXAFXHYSA-N 0 0 288.225 2.519 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2ncccc2[N+](=O)[O-])C12CCC2 ZINC000154890041 188167988 /nfs/dbraw/zinc/16/79/88/188167988.db2.gz HFTYZIMRNADGRF-QWHCGFSZSA-N 0 0 291.351 2.774 20 5 CFBDRN CS[C@H](C)CC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000154948509 188171515 /nfs/dbraw/zinc/17/15/15/188171515.db2.gz YOVZGVQSLZERTB-SECBINFHSA-N 0 0 268.338 2.983 20 5 CFBDRN CCc1nc(C)c(CNc2ccc([N+](=O)[O-])c(C)n2)o1 ZINC000292447243 198292058 /nfs/dbraw/zinc/29/20/58/198292058.db2.gz YURFVTYMOKJAPO-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN CCC1(C)CN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000292469456 198299504 /nfs/dbraw/zinc/29/95/04/198299504.db2.gz YOVRXTJVLVUBOP-UHFFFAOYSA-N 0 0 278.337 2.836 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)N2CCCO2)cc1[N+](=O)[O-] ZINC000155870177 188232987 /nfs/dbraw/zinc/23/29/87/188232987.db2.gz FGCCDEYLKMMRJI-UHFFFAOYSA-N 0 0 278.308 2.670 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)C[C@@H]1CCCO1 ZINC000156024461 188238788 /nfs/dbraw/zinc/23/87/88/188238788.db2.gz TVDNAZAJJGTOPB-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)C[C@H]1CCCO1 ZINC000156024568 188239345 /nfs/dbraw/zinc/23/93/45/188239345.db2.gz TVDNAZAJJGTOPB-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000156110713 188243013 /nfs/dbraw/zinc/24/30/13/188243013.db2.gz JYZLGRPJTDFZIM-QMMMGPOBSA-N 0 0 267.285 2.523 20 5 CFBDRN COC(=O)C[C@@H]1CCCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000292500934 198311611 /nfs/dbraw/zinc/31/16/11/198311611.db2.gz FSILFZHOOREZAM-JTQLQIEISA-N 0 0 296.298 2.574 20 5 CFBDRN CS[C@@H](CO)[C@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292508957 198314070 /nfs/dbraw/zinc/31/40/70/198314070.db2.gz GHBRCUXPFREKLH-UFBFGSQYSA-N 0 0 288.344 2.567 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])o1)Cc1cccs1 ZINC000156360407 188258007 /nfs/dbraw/zinc/25/80/07/188258007.db2.gz ZQCMZJAWCFITBM-SECBINFHSA-N 0 0 294.332 2.858 20 5 CFBDRN CCc1nn(C)c(NC[C@]2(C)CCCS2)c1[N+](=O)[O-] ZINC000155753762 188225209 /nfs/dbraw/zinc/22/52/09/188225209.db2.gz SQWXIVHWDRVWTH-LBPRGKRZSA-N 0 0 284.385 2.588 20 5 CFBDRN Cc1noc(C)c1CNc1c(F)cccc1[N+](=O)[O-] ZINC000156413265 188260804 /nfs/dbraw/zinc/26/08/04/188260804.db2.gz RAMKYQNDQBESHG-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN NC(=O)C1(CNc2c(Cl)cccc2[N+](=O)[O-])CCCC1 ZINC000292517900 198317807 /nfs/dbraw/zinc/31/78/07/198317807.db2.gz OVGIVCOYSJCNSC-UHFFFAOYSA-N 0 0 297.742 2.706 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1N)C1CCCCC1 ZINC000157286609 188308088 /nfs/dbraw/zinc/30/80/88/188308088.db2.gz DATPJGMMCMGXNE-UHFFFAOYSA-N 0 0 291.351 2.972 20 5 CFBDRN Cc1nn(C)c(C)c1OCc1cccc([N+](=O)[O-])c1 ZINC000157022159 188293654 /nfs/dbraw/zinc/29/36/54/188293654.db2.gz FEBHEKCITSCZHD-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1nn([C@H](C)c2nc(C(C)C)no2)c(C)c1[N+](=O)[O-] ZINC000157067226 188295013 /nfs/dbraw/zinc/29/50/13/188295013.db2.gz KATRLOUDKKRHMT-SECBINFHSA-N 0 0 279.300 2.524 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@@H](O)C2)c(F)cc1[N+](=O)[O-] ZINC000292576484 198338339 /nfs/dbraw/zinc/33/83/39/198338339.db2.gz KRESECSMKOQYGE-NXEZZACHSA-N 0 0 268.288 2.615 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000157814384 188340726 /nfs/dbraw/zinc/34/07/26/188340726.db2.gz QBNGEUKJACFSJB-GFCCVEGCSA-N 0 0 294.376 2.871 20 5 CFBDRN COC1(CCNc2cc(N)ccc2[N+](=O)[O-])CCC1 ZINC000292632920 198357704 /nfs/dbraw/zinc/35/77/04/198357704.db2.gz AGUMDGYQLCLKJT-UHFFFAOYSA-N 0 0 265.313 2.548 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC2(CCC2)C1 ZINC000157983906 188351115 /nfs/dbraw/zinc/35/11/15/188351115.db2.gz LBYRLEQDFODNDD-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN COC1(CCNc2c3c(ccc2[N+](=O)[O-])NCC3)CCC1 ZINC000292657374 198365153 /nfs/dbraw/zinc/36/51/53/198365153.db2.gz CFCRZWCEOGJAAR-UHFFFAOYSA-N 0 0 291.351 2.934 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])cn1)C(=O)OC(C)(C)C ZINC000271688974 191278097 /nfs/dbraw/zinc/27/80/97/191278097.db2.gz DQMDVFIRVXUCEG-LBPRGKRZSA-N 0 0 295.339 2.768 20 5 CFBDRN CCOC1(CNc2cc(N)ccc2[N+](=O)[O-])CCCC1 ZINC000292667266 198369321 /nfs/dbraw/zinc/36/93/21/198369321.db2.gz CUYIEZPRPHZVIX-UHFFFAOYSA-N 0 0 279.340 2.938 20 5 CFBDRN CN(C(=O)[C@H]1CC1(Cl)Cl)c1cccc([N+](=O)[O-])c1 ZINC000158518309 188380123 /nfs/dbraw/zinc/38/01/23/188380123.db2.gz KGXDFFPBVIURTM-SECBINFHSA-N 0 0 289.118 2.751 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCc1nccnc1C ZINC000292693848 198379138 /nfs/dbraw/zinc/37/91/38/198379138.db2.gz FOUFMBAOCQHWOJ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CCOC(=O)[C@@H](CC)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271695625 191280703 /nfs/dbraw/zinc/28/07/03/191280703.db2.gz GEMIKJLVUAXSFI-ZYHUDNBSSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H](C)Cn1ccnc1 ZINC000158662734 188388018 /nfs/dbraw/zinc/38/80/18/188388018.db2.gz YJXMSQDJAJJHAV-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN CO[C@@H](CNc1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000292737250 198393796 /nfs/dbraw/zinc/39/37/96/198393796.db2.gz IOIHFYQDWIBTAE-LBPRGKRZSA-N 0 0 250.298 2.740 20 5 CFBDRN O=C(COc1cccc(Cl)c1[N+](=O)[O-])C1CCC1 ZINC000292742409 198396646 /nfs/dbraw/zinc/39/66/46/198396646.db2.gz UXRDMLUFTQTREG-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN Cc1c(CC(=O)N[C@@H](C)c2ccccn2)cccc1[N+](=O)[O-] ZINC000159510028 188429345 /nfs/dbraw/zinc/42/93/45/188429345.db2.gz AGSWGKZAHNKHFE-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCCCO1 ZINC000160865130 188495465 /nfs/dbraw/zinc/49/54/65/188495465.db2.gz YFNNGXZJZRGAJQ-LBPRGKRZSA-N 0 0 278.308 2.719 20 5 CFBDRN COC(=O)c1ccc(Oc2ccc([N+](=O)[O-])c(C)n2)cc1 ZINC000161493758 188530730 /nfs/dbraw/zinc/53/07/30/188530730.db2.gz GOWUPXRLLDVFPD-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN Cc1nc(NC[C@]2(C)CCCS2)ccc1[N+](=O)[O-] ZINC000161572062 188535230 /nfs/dbraw/zinc/53/52/30/188535230.db2.gz IKUONAMCUSTPFQ-LBPRGKRZSA-N 0 0 267.354 2.996 20 5 CFBDRN Cc1c(C(=O)N2CCC[C@@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000295673608 199614951 /nfs/dbraw/zinc/61/49/51/199614951.db2.gz XJCYAFCSOJNVPC-CQSZACIVSA-N 0 0 280.299 2.867 20 5 CFBDRN C[C@H]1CSCCN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094774870 291020200 /nfs/dbraw/zinc/02/02/00/291020200.db2.gz SXLJZEOAKHYUDS-VIFPVBQESA-N 0 0 270.329 2.671 20 5 CFBDRN C[C@H]1CSCCN1Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000352064384 291020730 /nfs/dbraw/zinc/02/07/30/291020730.db2.gz XOWQJZXYEIBYPI-QMMMGPOBSA-N 0 0 288.319 2.810 20 5 CFBDRN C[C@H]1CSCCN1c1ccc([N+](=O)[O-])cc1F ZINC000172375004 291021923 /nfs/dbraw/zinc/02/19/23/291021923.db2.gz DNHCRVZKNWXSFW-QMMMGPOBSA-N 0 0 256.302 2.676 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000271750376 191305077 /nfs/dbraw/zinc/30/50/77/191305077.db2.gz KMXMJOQAMXTSOA-BXKDBHETSA-N 0 0 280.349 2.668 20 5 CFBDRN CN(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000172708883 188822256 /nfs/dbraw/zinc/82/22/56/188822256.db2.gz UIMPMFAKEOSEAU-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1CC1CCC1 ZINC000172920751 188834360 /nfs/dbraw/zinc/83/43/60/188834360.db2.gz ANLSHKAJTBNVDO-UHFFFAOYSA-N 0 0 285.303 2.619 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000173216068 188846577 /nfs/dbraw/zinc/84/65/77/188846577.db2.gz TWZALDPOSAKTFF-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NOC1CCCC1 ZINC000271812697 191342348 /nfs/dbraw/zinc/34/23/48/191342348.db2.gz OOELOTQDTRLJRF-UHFFFAOYSA-N 0 0 279.296 2.899 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2([C@H](O)C(C)C)CC2)n1 ZINC000271811644 191343431 /nfs/dbraw/zinc/34/34/31/191343431.db2.gz MEDIDRCZVATWEG-GFCCVEGCSA-N 0 0 279.340 2.507 20 5 CFBDRN Cc1ccnc(NCC2([C@H](C)CCO)CCC2)c1[N+](=O)[O-] ZINC000271811749 191343441 /nfs/dbraw/zinc/34/34/41/191343441.db2.gz MFOCJCSPZLZFBG-GFCCVEGCSA-N 0 0 293.367 2.899 20 5 CFBDRN CC(C)[C@H](O)C1(CNc2ccc([N+](=O)[O-])cc2)CC1 ZINC000271815436 191343760 /nfs/dbraw/zinc/34/37/60/191343760.db2.gz MQUBSXBOWJCIRJ-ZDUSSCGKSA-N 0 0 264.325 2.804 20 5 CFBDRN CC1=C(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CCCO1 ZINC000271819955 191346771 /nfs/dbraw/zinc/34/67/71/191346771.db2.gz PXRXJOQQIGUYAR-SNVBAGLBSA-N 0 0 290.319 2.856 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000271829439 191353739 /nfs/dbraw/zinc/35/37/39/191353739.db2.gz WTPNREZELVRMLG-GXSJLCMTSA-N 0 0 262.309 2.818 20 5 CFBDRN Cc1cnc(NCC2([C@H](O)C(C)C)CC2)c([N+](=O)[O-])c1 ZINC000271895430 191394930 /nfs/dbraw/zinc/39/49/30/191394930.db2.gz YAWJPEMJVZXMOE-GFCCVEGCSA-N 0 0 279.340 2.507 20 5 CFBDRN COc1ccc(CN[C@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC000272044233 191483792 /nfs/dbraw/zinc/48/37/92/191483792.db2.gz PDTBOFUFIQAEEU-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCC2=CCCCC2)c1 ZINC000295741340 199643274 /nfs/dbraw/zinc/64/32/74/199643274.db2.gz GCEHSXBXELKTNU-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN CC1CC(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000272304828 191644254 /nfs/dbraw/zinc/64/42/54/191644254.db2.gz HFQVKCRCBCAFJF-UHFFFAOYSA-N 0 0 284.262 2.601 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)[C@@H]2CCCCO2)c1 ZINC000272272718 191624853 /nfs/dbraw/zinc/62/48/53/191624853.db2.gz NPSXMBKOQMJTLX-FZMZJTMJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)[C@@H]2CCCCO2)c1 ZINC000272272720 191625820 /nfs/dbraw/zinc/62/58/20/191625820.db2.gz NPSXMBKOQMJTLX-RISCZKNCSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000459269522 535285700 /nfs/dbraw/zinc/28/57/00/535285700.db2.gz AWNAPHNKOVWUSK-NWDGAFQWSA-N 0 0 263.297 2.761 20 5 CFBDRN COc1ccc(NC(=O)NCC2(C)CCC2)cc1[N+](=O)[O-] ZINC000272821132 191933118 /nfs/dbraw/zinc/93/31/18/191933118.db2.gz LEZRQXVOIZHUHB-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN O=C([C@H]1CCCCS1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000272977263 192003557 /nfs/dbraw/zinc/00/35/57/192003557.db2.gz PJFXGVVKJKUASN-CYBMUJFWSA-N 0 0 292.360 2.770 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000273509677 192181841 /nfs/dbraw/zinc/18/18/41/192181841.db2.gz PXROZMVXZVJHBN-ZETCQYMHSA-N 0 0 279.325 2.631 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000174781657 188917764 /nfs/dbraw/zinc/91/77/64/188917764.db2.gz OUBMPAAJIPAXNA-JTQLQIEISA-N 0 0 280.349 2.562 20 5 CFBDRN CCN(C(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000273523901 192185331 /nfs/dbraw/zinc/18/53/31/192185331.db2.gz ZEWLKJJYAGZRFB-UHFFFAOYSA-N 0 0 267.260 2.750 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)OC ZINC000295827658 199681648 /nfs/dbraw/zinc/68/16/48/199681648.db2.gz UQMAMVBWKXHWLL-QMTHXVAHSA-N 0 0 294.351 2.694 20 5 CFBDRN C[C@H]1C[C@@H](c2ccccc2)CN1c1c([N+](=O)[O-])ncn1C ZINC000265204962 291091460 /nfs/dbraw/zinc/09/14/60/291091460.db2.gz KIQIHZWPOVFSPM-WCQYABFASA-N 0 0 286.335 2.711 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cn1)[C@@H](C)c1ccccc1 ZINC000273603667 192227042 /nfs/dbraw/zinc/22/70/42/192227042.db2.gz JZBLLKHYOUPZMO-GHMZBOCLSA-N 0 0 272.308 2.989 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)Cc1nccs1 ZINC000175072662 188931423 /nfs/dbraw/zinc/93/14/23/188931423.db2.gz APPLMVLQNGKJJH-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN C[C@H](c1cccs1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000273602627 192225446 /nfs/dbraw/zinc/22/54/46/192225446.db2.gz JUCVYGKBHRQOPM-MRVPVSSYSA-N 0 0 264.310 2.644 20 5 CFBDRN Cc1cccc(O[C@H](C)CNc2ncc([N+](=O)[O-])cn2)c1 ZINC000273616104 192231877 /nfs/dbraw/zinc/23/18/77/192231877.db2.gz NHJKCHQTKMUMNJ-LLVKDONJSA-N 0 0 288.307 2.573 20 5 CFBDRN Cc1ccc(OCCCNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000273676495 192258121 /nfs/dbraw/zinc/25/81/21/192258121.db2.gz YISLSJYGJKSXEQ-UHFFFAOYSA-N 0 0 288.307 2.574 20 5 CFBDRN COc1cc(-c2nc(CC3CC3)no2)c([N+](=O)[O-])cc1F ZINC000296014235 199752558 /nfs/dbraw/zinc/75/25/58/199752558.db2.gz UECIQXGIOSVXMP-UHFFFAOYSA-N 0 0 293.254 2.745 20 5 CFBDRN COC1(CCNC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000293883656 198810381 /nfs/dbraw/zinc/81/03/81/198810381.db2.gz KSWJIOYLNZWHRZ-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])nc2)c(C)c1 ZINC000568580574 290560157 /nfs/dbraw/zinc/56/01/57/290560157.db2.gz CFBJYUNKQHPHTG-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CC(C)C1(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000294021951 198874074 /nfs/dbraw/zinc/87/40/74/198874074.db2.gz VVTLEUZIONPATH-UHFFFAOYSA-N 0 0 298.289 2.991 20 5 CFBDRN Cc1nn([C@H](C)c2c(F)cccc2F)cc1[N+](=O)[O-] ZINC000294124912 198918972 /nfs/dbraw/zinc/91/89/72/198918972.db2.gz FAXIELZLCWELCS-MRVPVSSYSA-N 0 0 267.235 2.987 20 5 CFBDRN CC(C)(C)OC(=O)CSc1ncc([N+](=O)[O-])cc1F ZINC000569087937 290585405 /nfs/dbraw/zinc/58/54/05/290585405.db2.gz FZQXXKBYXNJFLD-UHFFFAOYSA-N 0 0 288.300 2.563 20 5 CFBDRN O=C(CCC(=O)N1CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000294260621 198973720 /nfs/dbraw/zinc/97/37/20/198973720.db2.gz QKRRALFIOKZRNF-UHFFFAOYSA-N 0 0 290.319 2.570 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000267631040 290595001 /nfs/dbraw/zinc/59/50/01/290595001.db2.gz JNHKMHYLFDASEY-YUMQZZPRSA-N 0 0 255.705 2.878 20 5 CFBDRN CC(C)=CCNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000506178387 535369319 /nfs/dbraw/zinc/36/93/19/535369319.db2.gz KCCWKMXKSVHAQO-UHFFFAOYSA-N 0 0 276.336 2.955 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ccc(OC)cc1 ZINC000294552103 199083439 /nfs/dbraw/zinc/08/34/39/199083439.db2.gz YNNHBLAJFAZXPZ-UHFFFAOYSA-N 0 0 294.351 2.884 20 5 CFBDRN CCC1(CC)CN(C(=O)c2ccc([N+](=O)[O-])cc2OC)C1 ZINC000294589645 199097509 /nfs/dbraw/zinc/09/75/09/199097509.db2.gz YRMNQZFBLDHPBI-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCN(C)C(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000294567741 199089715 /nfs/dbraw/zinc/08/97/15/199089715.db2.gz ZTPKZJSYMKJVBB-UHFFFAOYSA-N 0 0 276.214 2.706 20 5 CFBDRN CN(CC(C)(C)CO)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000296221477 199822360 /nfs/dbraw/zinc/82/23/60/199822360.db2.gz GVEWVYRAAOINKO-UHFFFAOYSA-N 0 0 289.335 2.598 20 5 CFBDRN C[C@@H](C1CC1)n1nccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294763003 199171528 /nfs/dbraw/zinc/17/15/28/199171528.db2.gz ZVDZNIDGMUKIRC-JTQLQIEISA-N 0 0 294.355 2.628 20 5 CFBDRN CCc1nc(C)c(C(=O)Oc2cc([N+](=O)[O-])ccc2C)o1 ZINC000294758809 199169875 /nfs/dbraw/zinc/16/98/75/199169875.db2.gz ZOSVOTOZMBDQNX-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN Cc1cccc(NCC[C@@H](C)CCO)c1[N+](=O)[O-] ZINC000294858560 199216830 /nfs/dbraw/zinc/21/68/30/199216830.db2.gz CXIVQOBWXUZASF-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN C[C@@H](CCO)CCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000294846985 199211234 /nfs/dbraw/zinc/21/12/34/199211234.db2.gz BPPZWWWOAJDPMZ-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC[C@H](C)CCO ZINC000294863635 199220367 /nfs/dbraw/zinc/22/03/67/199220367.db2.gz DIUDBRGIYVNDES-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN Cc1cc(NC[C@@H](C)CCCO)c(F)cc1[N+](=O)[O-] ZINC000294893437 199234785 /nfs/dbraw/zinc/23/47/85/199234785.db2.gz GJFOLFGKLIQHGC-VIFPVBQESA-N 0 0 270.304 2.863 20 5 CFBDRN CC[C@@H](COCC(F)(F)F)Nc1ccc([N+](=O)[O-])cn1 ZINC000294896817 199236867 /nfs/dbraw/zinc/23/68/67/199236867.db2.gz GUCGHEWAGSSVKC-QMMMGPOBSA-N 0 0 293.245 2.759 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1ccc([N+](=O)[O-])c(N)c1F)OC ZINC000294900024 199238673 /nfs/dbraw/zinc/23/86/73/199238673.db2.gz HAGLPSPAJZXTIH-LDYMZIIASA-N 0 0 285.319 2.789 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@H]1CCCCC1(F)F ZINC000294914752 199246423 /nfs/dbraw/zinc/24/64/23/199246423.db2.gz IPKZEYYJJIMJBD-SECBINFHSA-N 0 0 272.251 2.582 20 5 CFBDRN C[C@H]1CC[C@H](Nc2c(C(N)=O)cccc2[N+](=O)[O-])CC1 ZINC000420604460 236652579 /nfs/dbraw/zinc/65/25/79/236652579.db2.gz RPLKBEAJVJVAGX-MGCOHNPYSA-N 0 0 277.324 2.684 20 5 CFBDRN CC(C)(O)CCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294939444 199260968 /nfs/dbraw/zinc/26/09/68/199260968.db2.gz JQHMAKGCZHGSFZ-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1cc(NC(=O)[C@]2(C)CC2(F)F)ccc1[N+](=O)[O-] ZINC000421500848 236827663 /nfs/dbraw/zinc/82/76/63/236827663.db2.gz CHVRVUCRQGBHHJ-NSHDSACASA-N 0 0 270.235 2.887 20 5 CFBDRN CO[C@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000421509639 236829205 /nfs/dbraw/zinc/82/92/05/236829205.db2.gz SEURHQSBUPIKOQ-NEPJUHHUSA-N 0 0 292.335 2.509 20 5 CFBDRN C[C@@H]1CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])[C@@H]1CO ZINC000119516212 540467826 /nfs/dbraw/zinc/46/78/26/540467826.db2.gz BQRWVEBAFILFIR-QMTHXVAHSA-N 0 0 298.770 2.841 20 5 CFBDRN CC[C@H]1CCCN(c2ncc([N+](=O)[O-])c(C)n2)CC1 ZINC000297165817 200103194 /nfs/dbraw/zinc/10/31/94/200103194.db2.gz PDGFRFWIGAPSLQ-NSHDSACASA-N 0 0 264.329 2.710 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297248695 200125459 /nfs/dbraw/zinc/12/54/59/200125459.db2.gz VEVZFFGGTUKPLS-IINYFYTJSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@H](NC(=O)N1C[C@@H](C)[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000297271494 200130984 /nfs/dbraw/zinc/13/09/84/200130984.db2.gz KRMHCYJUNMGOQS-VWYCJHECSA-N 0 0 277.324 2.706 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2nc(-c3ccccc3)cs2)c1 ZINC000435802872 240355031 /nfs/dbraw/zinc/35/50/31/240355031.db2.gz ZWEMZWVBNHQIAL-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN CCOC1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000297451022 200194531 /nfs/dbraw/zinc/19/45/31/200194531.db2.gz MDFNHLBETJMGEE-UHFFFAOYSA-N 0 0 299.714 2.501 20 5 CFBDRN O=C([C@@H]1CC12CC2)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000335073811 296000510 /nfs/dbraw/zinc/00/05/10/296000510.db2.gz NKQJZUQXHGQZFK-NSHDSACASA-N 0 0 272.304 2.674 20 5 CFBDRN O=C([C@@H]1CC1(F)F)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000294822418 296000282 /nfs/dbraw/zinc/00/02/82/296000282.db2.gz DLXCJAWYZUMUBM-JTQLQIEISA-N 0 0 282.246 2.529 20 5 CFBDRN CC[C@H]1CCC[C@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000297582649 200233715 /nfs/dbraw/zinc/23/37/15/200233715.db2.gz SVWVQWQLLCASSP-VHSXEESVSA-N 0 0 250.302 2.684 20 5 CFBDRN COCC(C)(C)N(C)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000297636730 200248579 /nfs/dbraw/zinc/24/85/79/200248579.db2.gz AMANCMSVOLOYEN-UHFFFAOYSA-N 0 0 298.314 2.539 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCC[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000298152287 200346331 /nfs/dbraw/zinc/34/63/31/200346331.db2.gz CUSRPSRKZXZIRP-AAEUAGOBSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCCC(F)(F)F ZINC000298174737 200353415 /nfs/dbraw/zinc/35/34/15/200353415.db2.gz GBBXYLXQMQMDDD-UHFFFAOYSA-N 0 0 278.230 2.798 20 5 CFBDRN CCCCN(C1CC1)S(=O)(=O)c1ccccc1[N+](=O)[O-] ZINC000298283506 200383148 /nfs/dbraw/zinc/38/31/48/200383148.db2.gz OOXPMPIXFMYVPI-UHFFFAOYSA-N 0 0 298.364 2.548 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000298286484 200383781 /nfs/dbraw/zinc/38/37/81/200383781.db2.gz DHBPXKDUVSBVHJ-QMMMGPOBSA-N 0 0 268.338 2.837 20 5 CFBDRN C[C@@H]1CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000176983457 189018422 /nfs/dbraw/zinc/01/84/22/189018422.db2.gz LJDJYGARYZHSAT-MNOVXSKESA-N 0 0 294.376 2.944 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)[C@H]1C ZINC000177011728 189020334 /nfs/dbraw/zinc/02/03/34/189020334.db2.gz PSXIPNUUSHXHTQ-SFYZADRCSA-N 0 0 254.311 2.527 20 5 CFBDRN CN(C(=O)CC(C)(C)C1CC1)c1ccc([N+](=O)[O-])nc1 ZINC000298564068 200470905 /nfs/dbraw/zinc/47/09/05/200470905.db2.gz GRTSGUPRJDOKLL-UHFFFAOYSA-N 0 0 277.324 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H](CO)C2)c(F)c1 ZINC000298636275 200490083 /nfs/dbraw/zinc/49/00/83/200490083.db2.gz QZBDGCAZCHLMLP-ZJUUUORDSA-N 0 0 268.288 2.697 20 5 CFBDRN O=C1OCC[C@H]1CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000177561922 189057036 /nfs/dbraw/zinc/05/70/36/189057036.db2.gz YUXICXOCUQAYEC-MRVPVSSYSA-N 0 0 285.683 2.580 20 5 CFBDRN CCCOc1ccc(CNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000298705498 200510397 /nfs/dbraw/zinc/51/03/97/200510397.db2.gz IYZWMSJNUHTWJE-UHFFFAOYSA-N 0 0 290.323 2.729 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C(C)C)cc1[N+](=O)[O-] ZINC000177847978 189073824 /nfs/dbraw/zinc/07/38/24/189073824.db2.gz KAMYNBOAYLRRQT-SNVBAGLBSA-N 0 0 250.298 2.736 20 5 CFBDRN CCO[C@H](C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177861186 189074870 /nfs/dbraw/zinc/07/48/70/189074870.db2.gz RTPZEPHLGPLIGD-WDEREUQCSA-N 0 0 280.324 2.505 20 5 CFBDRN COC(=O)CCCCCCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000178016319 189085801 /nfs/dbraw/zinc/08/58/01/189085801.db2.gz ZCPIMRQIJODNLN-UHFFFAOYSA-N 0 0 295.339 2.834 20 5 CFBDRN CCS[C@@H]1CCC[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000178107752 189091032 /nfs/dbraw/zinc/09/10/32/189091032.db2.gz UFTGWVLUWZNQMB-WCQYABFASA-N 0 0 294.376 2.999 20 5 CFBDRN CCOCCCCNc1nc(C)ccc1[N+](=O)[O-] ZINC000178178316 189095710 /nfs/dbraw/zinc/09/57/10/189095710.db2.gz RSFSIBRZCIPLBZ-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN CC(C)[C@H](C)N(C(=O)c1cccc([N+](=O)[O-])c1N)C1CC1 ZINC000178178422 189095750 /nfs/dbraw/zinc/09/57/50/189095750.db2.gz BDTSNFWJWZCKDR-JTQLQIEISA-N 0 0 291.351 2.826 20 5 CFBDRN CCc1nc(CCNc2nc(C)ccc2[N+](=O)[O-])cs1 ZINC000178207279 189097504 /nfs/dbraw/zinc/09/75/04/189097504.db2.gz KMYJITLYBJRUGY-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN C/C(=C\C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000298876307 200554537 /nfs/dbraw/zinc/55/45/37/200554537.db2.gz WDJBEFJOEJQMMY-PKNBQFBNSA-N 0 0 274.320 2.910 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000178584282 189128545 /nfs/dbraw/zinc/12/85/45/189128545.db2.gz WXVTVNNHRMERBY-MNOVXSKESA-N 0 0 277.324 2.535 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cn(-c3ccccc3)nn2)cc1 ZINC000196020904 540795313 /nfs/dbraw/zinc/79/53/13/540795313.db2.gz PUWJUMOHRNKMNI-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C(C1CC1)C1CC1 ZINC000178729782 189141283 /nfs/dbraw/zinc/14/12/83/189141283.db2.gz FMXIKKUGLQXAMD-UHFFFAOYSA-N 0 0 290.319 2.978 20 5 CFBDRN C[C@H]1OCC[C@]1(C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000230100352 291237491 /nfs/dbraw/zinc/23/74/91/291237491.db2.gz GPIKMYUDOUTKSK-KRTXAFLBSA-N 0 0 272.251 2.852 20 5 CFBDRN CCCN(CC)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000299433453 200725420 /nfs/dbraw/zinc/72/54/20/200725420.db2.gz QWQGCJPNKIWFFA-UHFFFAOYSA-N 0 0 281.312 2.867 20 5 CFBDRN C[C@H]1CN(C(=O)NCc2ccc([N+](=O)[O-])cc2)CC[C@@H]1C ZINC000179300185 189184479 /nfs/dbraw/zinc/18/44/79/189184479.db2.gz BMWLWBLCEIQFHR-RYUDHWBXSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1ccc(CN2CCSC[C@H]2C)cc1[N+](=O)[O-] ZINC000179662790 189210717 /nfs/dbraw/zinc/21/07/17/189210717.db2.gz QPBXKAAHSXKRHO-LLVKDONJSA-N 0 0 266.366 2.841 20 5 CFBDRN C/C(=C\C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000179961735 189229705 /nfs/dbraw/zinc/22/97/05/189229705.db2.gz GXFXDSZWXYQZCR-SOFGYWHQSA-N 0 0 286.291 2.766 20 5 CFBDRN CCc1ccnc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)c1 ZINC000180136734 189238249 /nfs/dbraw/zinc/23/82/49/189238249.db2.gz YSJRILPUBGEIEO-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CC(C)Cn1ncnc1COc1ccc([N+](=O)[O-])cc1F ZINC000180036623 189233875 /nfs/dbraw/zinc/23/38/75/189233875.db2.gz BGISTCNQVSEHOU-UHFFFAOYSA-N 0 0 294.286 2.560 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCc2cccc(F)c2)c1=O ZINC000180099882 189237516 /nfs/dbraw/zinc/23/75/16/189237516.db2.gz BRACKGSOFGCNIQ-UHFFFAOYSA-N 0 0 290.294 2.837 20 5 CFBDRN CC(C)c1nc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000180421460 189254068 /nfs/dbraw/zinc/25/40/68/189254068.db2.gz QAJCGBPGTWYACK-JTQLQIEISA-N 0 0 290.323 2.952 20 5 CFBDRN COC[C@H](C)N(C)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000180448275 189255850 /nfs/dbraw/zinc/25/58/50/189255850.db2.gz GOQASKWZKRKCCF-NSHDSACASA-N 0 0 294.351 2.627 20 5 CFBDRN O=C1CCCCN1COc1ccc([N+](=O)[O-])cc1Cl ZINC000180476492 189257898 /nfs/dbraw/zinc/25/78/98/189257898.db2.gz OEZYWWKWQLUBTJ-UHFFFAOYSA-N 0 0 284.699 2.597 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1CC12CCCCC2 ZINC000180639961 189267211 /nfs/dbraw/zinc/26/72/11/189267211.db2.gz CPVIGHSTSABKIT-AWEZNQCLSA-N 0 0 288.347 2.976 20 5 CFBDRN CC[C@H](C)N(C(=O)Cn1cc([N+](=O)[O-])nc1C)[C@@H](C)CC ZINC000180518432 189259893 /nfs/dbraw/zinc/25/98/93/189259893.db2.gz HQYBEHSJFFDDBL-QWRGUYRKSA-N 0 0 296.371 2.525 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CC12CCCCC2 ZINC000180720636 189271909 /nfs/dbraw/zinc/27/19/09/189271909.db2.gz UEEROWYYYLARCN-CQSZACIVSA-N 0 0 288.347 2.976 20 5 CFBDRN CCCCCS(=O)(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000180672483 189269309 /nfs/dbraw/zinc/26/93/09/189269309.db2.gz HQTAKFSPVXFTFW-UHFFFAOYSA-N 0 0 271.338 2.700 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)c1ncccc1[N+](=O)[O-] ZINC000301253726 200953109 /nfs/dbraw/zinc/95/31/09/200953109.db2.gz JUEMSTJDQHGEAZ-JTQLQIEISA-N 0 0 258.281 2.582 20 5 CFBDRN CCC1CCC(NC(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000180913827 189283754 /nfs/dbraw/zinc/28/37/54/189283754.db2.gz RFYDWUISQILYJD-UHFFFAOYSA-N 0 0 291.351 2.876 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000118292798 291257750 /nfs/dbraw/zinc/25/77/50/291257750.db2.gz FJAZHITXAMTJRV-NXEZZACHSA-N 0 0 280.349 2.561 20 5 CFBDRN CCS[C@@H]1CCCCN(c2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000301226623 200943348 /nfs/dbraw/zinc/94/33/48/200943348.db2.gz GRYHJNMKEHJFFS-LLVKDONJSA-N 0 0 298.412 2.749 20 5 CFBDRN Cc1nn(C)c(Nc2cnn(-c3ccccc3)c2)c1[N+](=O)[O-] ZINC000301305283 200970623 /nfs/dbraw/zinc/97/06/23/200970623.db2.gz XVSZYHMWWKXCRL-UHFFFAOYSA-N 0 0 298.306 2.566 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000181115326 189288869 /nfs/dbraw/zinc/28/88/69/189288869.db2.gz KTVHGMKRUYGXNE-WDEREUQCSA-N 0 0 291.351 2.876 20 5 CFBDRN C[C@H]1SCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@@H]1C ZINC000265381068 291262194 /nfs/dbraw/zinc/26/21/94/291262194.db2.gz CPBZECMNUWNIRC-RKDXNWHRSA-N 0 0 297.336 2.833 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)C[C@@H]2CCCCO2)c1 ZINC000301355842 200985358 /nfs/dbraw/zinc/98/53/58/200985358.db2.gz OMONTSXMHXMEKX-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN Cc1ccc(NCC(=O)NC2CCCCC2)c([N+](=O)[O-])c1 ZINC000301449471 201012432 /nfs/dbraw/zinc/01/24/32/201012432.db2.gz RZVWWTOHHROPGG-UHFFFAOYSA-N 0 0 291.351 2.764 20 5 CFBDRN CNC(=O)c1cccc(CNc2ccc([N+](=O)[O-])cc2C)c1 ZINC000301452609 201014157 /nfs/dbraw/zinc/01/41/57/201014157.db2.gz USSXTUZFWOBXSF-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCC(C)(C)SC ZINC000301453010 201014831 /nfs/dbraw/zinc/01/48/31/201014831.db2.gz QVJSABBFTJMOJH-UHFFFAOYSA-N 0 0 297.380 2.508 20 5 CFBDRN Cc1ccc(N(C)C[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000301459017 201015647 /nfs/dbraw/zinc/01/56/47/201015647.db2.gz YEQFGYITKBDIKG-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1ccc(NCC[C@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000301463863 201017839 /nfs/dbraw/zinc/01/78/39/201017839.db2.gz GHXJWAQDZBHXRQ-ZDUSSCGKSA-N 0 0 252.314 2.722 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC(C)(C)OC ZINC000301482601 201024827 /nfs/dbraw/zinc/02/48/27/201024827.db2.gz TZZJBUYRGLTCKF-UHFFFAOYSA-N 0 0 268.313 2.578 20 5 CFBDRN CCOC[C@H]1CCN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000301484200 201024852 /nfs/dbraw/zinc/02/48/52/201024852.db2.gz GJYPLCJWXFZUDS-JTQLQIEISA-N 0 0 298.314 2.605 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H](O)c1ccccc1F ZINC000301549841 201063354 /nfs/dbraw/zinc/06/33/54/201063354.db2.gz VAXYCSJKKBUTFZ-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182703159 189331901 /nfs/dbraw/zinc/33/19/01/189331901.db2.gz QXTMRGPINXTSDU-SMDDNHRTSA-N 0 0 292.335 2.763 20 5 CFBDRN CSCCN(C)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000301572582 201074756 /nfs/dbraw/zinc/07/47/56/201074756.db2.gz OZBRWNPCITWXEH-UHFFFAOYSA-N 0 0 277.349 2.942 20 5 CFBDRN Cc1nc(NC[C@H](O)c2ccc(F)cc2)ccc1[N+](=O)[O-] ZINC000301634300 201107920 /nfs/dbraw/zinc/10/79/20/201107920.db2.gz KJAMITRWCUMMHQ-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H](Oc2ccncc2)C1 ZINC000301643293 201112279 /nfs/dbraw/zinc/11/22/79/201112279.db2.gz OGDSSZYHGWZDIS-OAHLLOKOSA-N 0 0 299.330 2.956 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCCCO2)c([N+](=O)[O-])cc1F ZINC000301616435 201096870 /nfs/dbraw/zinc/09/68/70/201096870.db2.gz JRJXLLZIXKGIRN-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CC[C@@H](CO)CC1 ZINC000301617812 201098737 /nfs/dbraw/zinc/09/87/37/201098737.db2.gz KSHOIQLZTUAQJM-PHIMTYICSA-N 0 0 250.298 2.558 20 5 CFBDRN CC(C)COC(=O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000182896789 189338728 /nfs/dbraw/zinc/33/87/28/189338728.db2.gz SNDKFQYNQGBKAG-UHFFFAOYSA-N 0 0 287.699 2.826 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000301659916 201121115 /nfs/dbraw/zinc/12/11/15/201121115.db2.gz MGWYCWTXBVFSRB-ZANVPECISA-N 0 0 267.329 2.507 20 5 CFBDRN CCCn1cc(Nc2ccc([N+](=O)[O-])c(C)n2)cn1 ZINC000301681840 201136464 /nfs/dbraw/zinc/13/64/64/201136464.db2.gz VJMJNPFQNYKPPZ-UHFFFAOYSA-N 0 0 261.285 2.648 20 5 CFBDRN CCCN(C)C(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000183389064 189357713 /nfs/dbraw/zinc/35/77/13/189357713.db2.gz OVDLSQFNNMRGHB-ZHACJKMWSA-N 0 0 262.309 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C)[C@@H](C)C(=O)OC(C)C ZINC000183381841 189357822 /nfs/dbraw/zinc/35/78/22/189357822.db2.gz UYFQPVYBKXPZBO-LBPRGKRZSA-N 0 0 294.351 2.675 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@@H](O)c2ccco2)c(F)c1 ZINC000301759671 201180893 /nfs/dbraw/zinc/18/08/93/201180893.db2.gz AVNQHZBPSZYUTE-SNVBAGLBSA-N 0 0 284.218 2.612 20 5 CFBDRN CC(C)OC(=O)CSc1c(F)cc([N+](=O)[O-])cc1F ZINC000301769273 201185516 /nfs/dbraw/zinc/18/55/16/201185516.db2.gz DXUDXOYYHULILK-UHFFFAOYSA-N 0 0 291.275 2.917 20 5 CFBDRN CCOC[C@H]1CCN(c2cccc(OCC)c2[N+](=O)[O-])C1 ZINC000184130179 189377017 /nfs/dbraw/zinc/37/70/17/189377017.db2.gz BIUDUCWEAKTUGM-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN CNc1ccc(Nc2ncc(Cl)cc2[N+](=O)[O-])cn1 ZINC000301745810 201171760 /nfs/dbraw/zinc/17/17/60/201171760.db2.gz OUNFOUZIHQUNFJ-UHFFFAOYSA-N 0 0 279.687 2.824 20 5 CFBDRN COCCSCc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000183860247 189369984 /nfs/dbraw/zinc/36/99/84/189369984.db2.gz QLNYUCWMMCYZDY-UHFFFAOYSA-N 0 0 275.301 2.622 20 5 CFBDRN CCOc1cc(N2CCC[C@@H]2C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000301786203 201196495 /nfs/dbraw/zinc/19/64/95/201196495.db2.gz WGNLTNVRLVDCLI-NWDGAFQWSA-N 0 0 294.351 2.733 20 5 CFBDRN COc1cc(CN2CCC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000184227253 189380022 /nfs/dbraw/zinc/38/00/22/189380022.db2.gz UESIBNUQNZAAKY-SECBINFHSA-N 0 0 268.288 2.727 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000425152642 238950559 /nfs/dbraw/zinc/95/05/59/238950559.db2.gz IGQXJMZAJQXION-ILWJIGKKSA-N 0 0 285.303 2.604 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC12CC2 ZINC000424988934 238919046 /nfs/dbraw/zinc/91/90/46/238919046.db2.gz PSVPYAAZMQZFQL-CABZTGNLSA-N 0 0 292.360 2.744 20 5 CFBDRN CCC[C@@H](NCC(F)(F)CO)c1cccc([N+](=O)[O-])c1 ZINC000184716091 189393431 /nfs/dbraw/zinc/39/34/31/189393431.db2.gz JBOVDCMUIXXEFO-GFCCVEGCSA-N 0 0 288.294 2.653 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ccc(C)cc1[N+](=O)[O-] ZINC000301825215 201221003 /nfs/dbraw/zinc/22/10/03/201221003.db2.gz OEOOLINENXZYRY-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cccc(Oc2ccc([N+](=O)[O-])cn2)c1[N+](=O)[O-] ZINC000301872789 201242325 /nfs/dbraw/zinc/24/23/25/201242325.db2.gz XJEHNMLOPOJBJX-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN CN(C)c1cccc(CNc2ccccc2[N+](=O)[O-])n1 ZINC000301878958 201245072 /nfs/dbraw/zinc/24/50/72/201245072.db2.gz ZGGUIRFQFLIFLI-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC2OCCO2)c2ccccc21 ZINC000184840979 189397260 /nfs/dbraw/zinc/39/72/60/189397260.db2.gz QOGNRFCWHMWFHO-UHFFFAOYSA-N 0 0 289.287 2.890 20 5 CFBDRN Cc1ccnc([C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C2CC2)n1 ZINC000301852257 201231849 /nfs/dbraw/zinc/23/18/49/201231849.db2.gz GFXRYCVOBNQAPR-CQSZACIVSA-N 0 0 299.334 2.960 20 5 CFBDRN Cc1c(CNc2ccc([N+](=O)[O-])c3cnccc23)cnn1C ZINC000301854213 201234520 /nfs/dbraw/zinc/23/45/20/201234520.db2.gz YFVFWLGOSWJHCU-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1cccc2[nH]c(CNc3ncccc3[N+](=O)[O-])nc21 ZINC000301868398 201239919 /nfs/dbraw/zinc/23/99/19/201239919.db2.gz GOVDDIYCZUBYPZ-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN CS(=O)(=O)c1cccc(N[C@@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000301869139 201240491 /nfs/dbraw/zinc/24/04/91/201240491.db2.gz GVOVGQPDAYYYNM-SNVBAGLBSA-N 0 0 296.348 2.519 20 5 CFBDRN CC[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@H]1CCCO1 ZINC000301871086 201240876 /nfs/dbraw/zinc/24/08/76/201240876.db2.gz WRFKTQJPPCTQLE-RKDXNWHRSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1nsc(Oc2cccc([N+](=O)[O-])c2C)n1 ZINC000301931327 201267039 /nfs/dbraw/zinc/26/70/39/201267039.db2.gz NUJJFCBMQDLORU-UHFFFAOYSA-N 0 0 251.267 2.855 20 5 CFBDRN COc1ccc(CNc2sccc2[N+](=O)[O-])cn1 ZINC000301937292 201267966 /nfs/dbraw/zinc/26/79/66/201267966.db2.gz QWXLCVGYGPLARH-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN Cc1ccc(CNc2ccc3ncc([N+](=O)[O-])n3n2)c(C)c1 ZINC000301947036 201272575 /nfs/dbraw/zinc/27/25/75/201272575.db2.gz XTFFVCVTHIOXOK-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN C[C@H]1CCCC[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000425562166 239102222 /nfs/dbraw/zinc/10/22/22/239102222.db2.gz BXDAZKQUCDNUES-JQWIXIFHSA-N 0 0 277.324 2.779 20 5 CFBDRN Cc1cccnc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000426086744 239164177 /nfs/dbraw/zinc/16/41/77/239164177.db2.gz VHVQEGKWDQNBSQ-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN O=[N+]([O-])c1c(NCC2(CO)CCC2)ccc2ncccc21 ZINC000302090139 201339346 /nfs/dbraw/zinc/33/93/46/201339346.db2.gz ATRHZPDPPQWGGZ-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN CCOc1cccc(NC[C@@H](O)c2ccco2)c1[N+](=O)[O-] ZINC000302093202 201339830 /nfs/dbraw/zinc/33/98/30/201339830.db2.gz HXUVFIDSGZZCEI-LLVKDONJSA-N 0 0 292.291 2.732 20 5 CFBDRN CC(C)(C)c1nc(NCc2ccc([N+](=O)[O-])cc2)no1 ZINC000302112209 201345342 /nfs/dbraw/zinc/34/53/42/201345342.db2.gz AWSUKJHVYVZKEI-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN CO[C@@H](C)c1cccc(Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000302147253 201365423 /nfs/dbraw/zinc/36/54/23/201365423.db2.gz INTVUOJXVLIXJN-VIFPVBQESA-N 0 0 274.280 2.836 20 5 CFBDRN C[C@@H](c1ccccc1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302157241 201371347 /nfs/dbraw/zinc/37/13/47/201371347.db2.gz NGBZHVASDHQWRI-JTQLQIEISA-N 0 0 258.281 2.582 20 5 CFBDRN COc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])cn2)cc1F ZINC000302138221 201359917 /nfs/dbraw/zinc/35/99/17/201359917.db2.gz CHXVHNSXCZDGAN-QMMMGPOBSA-N 0 0 292.270 2.706 20 5 CFBDRN CCN1CCc2ccc(Nc3ncc([N+](=O)[O-])cn3)cc21 ZINC000302139266 201359965 /nfs/dbraw/zinc/35/99/65/201359965.db2.gz CVSIOWVGSCXKGS-UHFFFAOYSA-N 0 0 285.307 2.511 20 5 CFBDRN COc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])cn2)cc1F ZINC000302138220 201360132 /nfs/dbraw/zinc/36/01/32/201360132.db2.gz CHXVHNSXCZDGAN-MRVPVSSYSA-N 0 0 292.270 2.706 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000186647267 189445525 /nfs/dbraw/zinc/44/55/25/189445525.db2.gz VEXOCIGLWGOKNW-STQMWFEESA-N 0 0 262.309 2.850 20 5 CFBDRN CCCCO[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cn2)C1(C)C ZINC000302161669 201373960 /nfs/dbraw/zinc/37/39/60/201373960.db2.gz PODQWOLXUDENCQ-NEPJUHHUSA-N 0 0 294.355 2.781 20 5 CFBDRN COC1CCC(CNc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000426883864 239272551 /nfs/dbraw/zinc/27/25/51/239272551.db2.gz VGVOXPSAXQUDDY-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN CC1(CCNc2ccc([N+](=O)[O-])cc2Cl)OCCO1 ZINC000302166592 201377033 /nfs/dbraw/zinc/37/70/33/201377033.db2.gz RQKVAKWJFZKRMN-UHFFFAOYSA-N 0 0 286.715 2.813 20 5 CFBDRN CC(C)N(C)c1cccc(Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000302172395 201380954 /nfs/dbraw/zinc/38/09/54/201380954.db2.gz VFGOJZKHUZSHFW-UHFFFAOYSA-N 0 0 287.323 2.973 20 5 CFBDRN C[C@H](Cc1cccs1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302175591 201382870 /nfs/dbraw/zinc/38/28/70/201382870.db2.gz WTWRMDZVRYCCRJ-SECBINFHSA-N 0 0 278.337 2.514 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@H]1CCC[C@]1(C)C(=O)[O-] ZINC000426732145 239254530 /nfs/dbraw/zinc/25/45/30/239254530.db2.gz RTQASVOQSBBDLY-ZFWWWQNUSA-N 0 0 292.335 2.636 20 5 CFBDRN CC1=CCN(CCNc2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000302179218 201384763 /nfs/dbraw/zinc/38/47/63/201384763.db2.gz XTJBXXDMMMUPJH-UHFFFAOYSA-N 0 0 296.758 2.707 20 5 CFBDRN CN(Cc1cc2ccccc2o1)c1ncc([N+](=O)[O-])cn1 ZINC000302179723 201385606 /nfs/dbraw/zinc/38/56/06/201385606.db2.gz YURRFKHQIAPLRB-UHFFFAOYSA-N 0 0 284.275 2.767 20 5 CFBDRN Cc1cccnc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000427415519 239307450 /nfs/dbraw/zinc/30/74/50/239307450.db2.gz QEHBUEYLICGRFL-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1ccc(Cn2ccc(C)c([N+](=O)[O-])c2=O)cc1C ZINC000428058882 239365650 /nfs/dbraw/zinc/36/56/50/239365650.db2.gz ZZYQOGXXDVPKII-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2Cc3cccc(O)c3C2)cc1 ZINC000428678229 239512220 /nfs/dbraw/zinc/51/22/20/239512220.db2.gz GTYFPTBRJYCQFO-LBPRGKRZSA-N 0 0 270.288 2.880 20 5 CFBDRN CCn1nccc1CN(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000429305725 239613691 /nfs/dbraw/zinc/61/36/91/239613691.db2.gz GNPNKMMYTKJMFL-UHFFFAOYSA-N 0 0 278.287 2.587 20 5 CFBDRN O=[N+]([O-])c1cc(NCC[C@H]2CCCO2)ccc1F ZINC000189580629 189538903 /nfs/dbraw/zinc/53/89/03/189538903.db2.gz BFZFHRCOLMSAQJ-SNVBAGLBSA-N 0 0 254.261 2.715 20 5 CFBDRN C[C@H](C[C@@H](O)c1ccccc1)Nc1ncc([N+](=O)[O-])s1 ZINC000189986441 189551185 /nfs/dbraw/zinc/55/11/85/189551185.db2.gz ZEJRLXPOGBNCNP-MWLCHTKSSA-N 0 0 293.348 2.975 20 5 CFBDRN COc1ccc(OCc2nnc(C(C)C)o2)cc1[N+](=O)[O-] ZINC000190187827 189556853 /nfs/dbraw/zinc/55/68/53/189556853.db2.gz JLGIMRZXWYZZDM-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN CCCCCCC(=O)COc1cccnc1[N+](=O)[O-] ZINC000190232944 189557817 /nfs/dbraw/zinc/55/78/17/189557817.db2.gz SHGYYWVLLCTEDS-UHFFFAOYSA-N 0 0 266.297 2.908 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCCO[C@H]2C)cc1[N+](=O)[O-] ZINC000190264135 189558812 /nfs/dbraw/zinc/55/88/12/189558812.db2.gz OXPHBJFFEDRBNN-JQWIXIFHSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1cccc(OC[C@H]2CC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000190677678 189571517 /nfs/dbraw/zinc/57/15/17/189571517.db2.gz CWAHANZMSIGOFB-GHMZBOCLSA-N 0 0 251.282 2.850 20 5 CFBDRN CCc1occc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000430396810 239756271 /nfs/dbraw/zinc/75/62/71/239756271.db2.gz UQEGLUIMRJMILA-UHFFFAOYSA-N 0 0 274.276 2.680 20 5 CFBDRN C[C@@H](CN(C)c1ncc([N+](=O)[O-])s1)c1nccs1 ZINC000192528786 189623859 /nfs/dbraw/zinc/62/38/59/189623859.db2.gz HFOOXHCUFCOLNF-ZETCQYMHSA-N 0 0 284.366 2.748 20 5 CFBDRN Cc1ccc(NC(=O)CCc2cncs2)cc1[N+](=O)[O-] ZINC000432050068 239961378 /nfs/dbraw/zinc/96/13/78/239961378.db2.gz XMQVJLYBLHYOEI-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN O=[N+]([O-])c1ncn(CCc2cccc(Cl)c2Cl)n1 ZINC000432532690 240019343 /nfs/dbraw/zinc/01/93/43/240019343.db2.gz USQPAHDINRMCOA-UHFFFAOYSA-N 0 0 287.106 2.736 20 5 CFBDRN CC(=O)Nc1ccc(CNc2ccccc2[N+](=O)[O-])cn1 ZINC000432846635 240057847 /nfs/dbraw/zinc/05/78/47/240057847.db2.gz KTQFNBFWLMIYNM-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN CCOc1cc(NCCC2CC(O)C2)ccc1[N+](=O)[O-] ZINC000432873937 240060590 /nfs/dbraw/zinc/06/05/90/240060590.db2.gz VFKAKLHBJRUAEF-UHFFFAOYSA-N 0 0 280.324 2.566 20 5 CFBDRN CCCN(C(=O)[C@H]1CC[C@@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000194122193 189654896 /nfs/dbraw/zinc/65/48/96/189654896.db2.gz WVRPEJFOOZRJDK-BXUZGUMPSA-N 0 0 292.335 2.905 20 5 CFBDRN Cc1csc(CCC(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000435643950 240334747 /nfs/dbraw/zinc/33/47/47/240334747.db2.gz GHJMACREGXBJON-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1nnc(C2CC2)o1 ZINC000275317906 192999595 /nfs/dbraw/zinc/99/95/95/192999595.db2.gz CYGQRALPOZVBAV-UHFFFAOYSA-N 0 0 295.686 2.516 20 5 CFBDRN Cc1sc(C(=O)N2C[C@H](C)O[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000438426400 240676824 /nfs/dbraw/zinc/67/68/24/240676824.db2.gz LTOWHDUKJRTFKS-YIZRAAEISA-N 0 0 298.364 2.603 20 5 CFBDRN C[C@@H](NC(=O)NOC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000271696204 296083852 /nfs/dbraw/zinc/08/38/52/296083852.db2.gz MPQXUVQNXZKEIK-SNVBAGLBSA-N 0 0 293.323 2.829 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000263563627 383681359 /nfs/dbraw/zinc/68/13/59/383681359.db2.gz DLNLGTSRLTWPKD-NWDGAFQWSA-N 0 0 292.335 2.761 20 5 CFBDRN Cc1cn2cc(Nc3ncc([N+](=O)[O-])s3)ccc2n1 ZINC000443525325 241072433 /nfs/dbraw/zinc/07/24/33/241072433.db2.gz YNJWWVCLFITWID-UHFFFAOYSA-N 0 0 275.293 2.751 20 5 CFBDRN Cc1ccc(C[N@H+]2CC[C@H](C)C[C@@H]2[C@@H](C)O)cc1[N+](=O)[O-] ZINC000443775003 241086819 /nfs/dbraw/zinc/08/68/19/241086819.db2.gz AYEGSESZYVYFNS-NORZTCDRSA-N 0 0 292.379 2.885 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000443864303 241091641 /nfs/dbraw/zinc/09/16/41/241091641.db2.gz ZNKRWZKKACQYEO-RXMQYKEDSA-N 0 0 280.177 2.871 20 5 CFBDRN CCC(CC)CN(CC)c1c([N+](=O)[O-])ncn1C ZINC000443892587 241094009 /nfs/dbraw/zinc/09/40/09/241094009.db2.gz XTLLOHYXGITBBM-UHFFFAOYSA-N 0 0 254.334 2.591 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000444143144 241118874 /nfs/dbraw/zinc/11/88/74/241118874.db2.gz LPLYGJVYBKPYLN-WCQYABFASA-N 0 0 291.351 2.925 20 5 CFBDRN CC(=O)N1CC[C@@H](Nc2c(C)cc([N+](=O)[O-])cc2Cl)C1 ZINC000444836916 241198036 /nfs/dbraw/zinc/19/80/36/241198036.db2.gz RMAUPSAEJWIJFZ-SNVBAGLBSA-N 0 0 297.742 2.589 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000444309440 241136008 /nfs/dbraw/zinc/13/60/08/241136008.db2.gz ACRAZMIFZRHKQB-CBAPKCEASA-N 0 0 268.338 2.883 20 5 CFBDRN O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)C1CCC1 ZINC000446068274 241268864 /nfs/dbraw/zinc/26/88/64/241268864.db2.gz HGHQPJQPZQRIHL-UHFFFAOYSA-N 0 0 285.303 2.828 20 5 CFBDRN CC[C@H]1CCCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000445781354 241238930 /nfs/dbraw/zinc/23/89/30/241238930.db2.gz KYNGKBNLRDIWFC-ZDUSSCGKSA-N 0 0 276.336 2.928 20 5 CFBDRN CCOC(=O)N1CC[C@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000302500440 201465681 /nfs/dbraw/zinc/46/56/81/201465681.db2.gz OGVJDXCMEOUDDD-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@H]1C[C@H](COc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000447312184 241367727 /nfs/dbraw/zinc/36/77/27/241367727.db2.gz LCIOLTXCRDKTDN-VHSXEESVSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)CC[C@H](C)O ZINC000302675768 201506741 /nfs/dbraw/zinc/50/67/41/201506741.db2.gz MRNNBMBWYPURAC-VIFPVBQESA-N 0 0 272.732 2.764 20 5 CFBDRN Cc1c(N[C@@H](C)Cc2ccccc2[N+](=O)[O-])cnn1C ZINC000449249778 241794366 /nfs/dbraw/zinc/79/43/66/241794366.db2.gz KFNDFSDTELBVFF-JTQLQIEISA-N 0 0 274.324 2.680 20 5 CFBDRN CCn1ccnc1[C@@H](C)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000449562967 242034399 /nfs/dbraw/zinc/03/43/99/242034399.db2.gz PRPHIBYWTXOZOE-GFCCVEGCSA-N 0 0 288.351 2.970 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\C[N@H+]1CC[C@@H](CCCO)C1 ZINC000449791798 242167968 /nfs/dbraw/zinc/16/79/68/242167968.db2.gz QIEWJSSNHQOTSS-NMNMAYGDSA-N 0 0 290.363 2.702 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@H]1CCCOC1 ZINC000450268337 242332847 /nfs/dbraw/zinc/33/28/47/242332847.db2.gz DEBIKQHWYQDAFG-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@@H]1CCCOC1 ZINC000450268340 242333506 /nfs/dbraw/zinc/33/35/06/242333506.db2.gz DEBIKQHWYQDAFG-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN COC[C@@H]1CCN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000450343292 242366470 /nfs/dbraw/zinc/36/64/70/242366470.db2.gz DANVYWIUJHFJKP-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CCN(CCO)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450210817 242309479 /nfs/dbraw/zinc/30/94/79/242309479.db2.gz LMHIZZPKKJXDOQ-UHFFFAOYSA-N 0 0 293.348 2.537 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@@H]1CCC[C@H]1O ZINC000450357113 242376158 /nfs/dbraw/zinc/37/61/58/242376158.db2.gz JNNOEFKGJZUHIA-IINYFYTJSA-N 0 0 287.319 2.716 20 5 CFBDRN CCS[C@@H]1CC[C@H](N(C)c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000450361452 242377579 /nfs/dbraw/zinc/37/75/79/242377579.db2.gz NZTZVZMYRZONJI-WDEREUQCSA-N 0 0 296.396 2.804 20 5 CFBDRN CCC1(CNc2ncc([N+](=O)[O-])c(C)n2)CCC1 ZINC000450380789 242386546 /nfs/dbraw/zinc/38/65/46/242386546.db2.gz SBDLCZCVUYOTLF-UHFFFAOYSA-N 0 0 250.302 2.685 20 5 CFBDRN Cc1coc(Sc2ccc([N+](=O)[O-])c(C)n2)n1 ZINC000302711417 201520530 /nfs/dbraw/zinc/52/05/30/201520530.db2.gz KVPBRVIPKAKYGM-UHFFFAOYSA-N 0 0 251.267 2.746 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2C[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000450499894 242456551 /nfs/dbraw/zinc/45/65/51/242456551.db2.gz JXLRFJJMHXPDTC-WCQYABFASA-N 0 0 290.319 2.521 20 5 CFBDRN CN(c1nccc2cc([N+](=O)[O-])ccc21)[C@@H]1CCCOC1 ZINC000450531236 242466632 /nfs/dbraw/zinc/46/66/32/242466632.db2.gz SGTJTCKKDXPMIE-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccnc(N2C[C@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)c1[N+](=O)[O-] ZINC000450688772 242544446 /nfs/dbraw/zinc/54/44/46/242544446.db2.gz FUNAXWQZLDYHOU-DVZHBHJUSA-N 0 0 285.347 2.947 20 5 CFBDRN C[C@@]12CN(c3ccc([N+](=O)[O-])nc3)C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000450691668 242546543 /nfs/dbraw/zinc/54/65/43/242546543.db2.gz LBUKTFNNADIICP-OICBVUGWSA-N 0 0 271.320 2.638 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)Cc2cnn(C)c2)c1 ZINC000450849343 242637594 /nfs/dbraw/zinc/63/75/94/242637594.db2.gz YSFMMYVXCNLQKI-NSHDSACASA-N 0 0 274.324 2.680 20 5 CFBDRN CCC1(CNc2cc(OC)c(F)cc2[N+](=O)[O-])COC1 ZINC000450850396 242637659 /nfs/dbraw/zinc/63/76/59/242637659.db2.gz ZDVBOKDNEIXRFE-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN C[C@H](Cc1cnn(C)c1)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000450837053 242631757 /nfs/dbraw/zinc/63/17/57/242631757.db2.gz CBOMDRBLECAXIG-MRVPVSSYSA-N 0 0 296.277 2.650 20 5 CFBDRN COCC1(CNc2ncc([N+](=O)[O-])cc2C)CCCC1 ZINC000450920062 242671705 /nfs/dbraw/zinc/67/17/05/242671705.db2.gz SJWDGTBNCYJORN-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCO)nc1-c1ccccc1 ZINC000302852693 201576740 /nfs/dbraw/zinc/57/67/40/201576740.db2.gz JMHVHDPGMQNKSH-UHFFFAOYSA-N 0 0 287.319 2.841 20 5 CFBDRN COC[C@@H]1CCCCN1Cc1cc([N+](=O)[O-])ccc1OC ZINC000452032009 243016327 /nfs/dbraw/zinc/01/63/27/243016327.db2.gz VJHOFTIIOZGLEM-AWEZNQCLSA-N 0 0 294.351 2.604 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1C[N@H+]1CC[C@@H](CCCO)C1 ZINC000452115703 243048909 /nfs/dbraw/zinc/04/89/09/243048909.db2.gz FSPXFQXYYNRRTJ-LLVKDONJSA-N 0 0 298.770 2.843 20 5 CFBDRN C[C@H](NC(=O)[C@H]1[C@H](C)C1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000452185443 243078277 /nfs/dbraw/zinc/07/82/77/243078277.db2.gz NLEJUAGAWXMVKG-DKCNOQQISA-N 0 0 284.262 2.673 20 5 CFBDRN O=C(NCCCC1CCOCC1)c1csc([N+](=O)[O-])c1 ZINC000452209410 243085833 /nfs/dbraw/zinc/08/58/33/243085833.db2.gz RYWJIPCXNVRENC-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](F)C1 ZINC000452363252 243143965 /nfs/dbraw/zinc/14/39/65/243143965.db2.gz AJCFFPKAHXKLCM-VIFPVBQESA-N 0 0 298.339 2.891 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21)C(C)(F)F ZINC000452454987 243177523 /nfs/dbraw/zinc/17/75/23/243177523.db2.gz FRWUOSSOCQLVLU-SSDOTTSWSA-N 0 0 297.261 2.850 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21)C(C)(F)F ZINC000452460871 243181641 /nfs/dbraw/zinc/18/16/41/243181641.db2.gz SGOWXEUOQVYDSZ-SSDOTTSWSA-N 0 0 297.261 2.850 20 5 CFBDRN C[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(C)(F)F ZINC000452467255 243186054 /nfs/dbraw/zinc/18/60/54/243186054.db2.gz WAZMMGCWIXWDAA-ZETCQYMHSA-N 0 0 297.261 2.850 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000452814893 243308328 /nfs/dbraw/zinc/30/83/28/243308328.db2.gz HYAJIAOZNOPXKK-MNOVXSKESA-N 0 0 279.340 2.869 20 5 CFBDRN O=C(NC[C@H]1CCCC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000452856494 243322021 /nfs/dbraw/zinc/32/20/21/243322021.db2.gz VVGYCBNEFHNXHF-SECBINFHSA-N 0 0 284.262 2.760 20 5 CFBDRN O=C(NC[C@@H]1CCCC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000452897551 243332187 /nfs/dbraw/zinc/33/21/87/243332187.db2.gz RNCSGTCRZKSNLM-JTQLQIEISA-N 0 0 284.262 2.760 20 5 CFBDRN Cc1o[nH]c(=NCc2ccc([N+](=O)[O-])cc2C)c1C ZINC000453051768 243376950 /nfs/dbraw/zinc/37/69/50/243376950.db2.gz LZRRITZKMHHDBE-UHFFFAOYSA-N 0 0 261.281 2.542 20 5 CFBDRN CCN(C(=O)c1[nH]nc(C)c1[N+](=O)[O-])[C@@H]1CCCC[C@H]1C ZINC000330600307 203023527 /nfs/dbraw/zinc/02/35/27/203023527.db2.gz HDRCRAGYZZHFNC-MWLCHTKSSA-N 0 0 294.355 2.667 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCO[C@@H](C)C1 ZINC000330674417 203104500 /nfs/dbraw/zinc/10/45/00/203104500.db2.gz GIOQPWBYVXMHOH-QWRGUYRKSA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@@H](O)CC(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456167251 244094361 /nfs/dbraw/zinc/09/43/61/244094361.db2.gz PTEUNQNCAYWEER-LLVKDONJSA-N 0 0 295.339 2.515 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCC1=O ZINC000330696349 203127072 /nfs/dbraw/zinc/12/70/72/203127072.db2.gz DKRVMQGXJNDUOZ-MNOVXSKESA-N 0 0 290.319 2.563 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000456267121 244120301 /nfs/dbraw/zinc/12/03/01/244120301.db2.gz DAHZCOBHFGHGHT-YPMHNXCESA-N 0 0 292.360 2.917 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000456325937 244139589 /nfs/dbraw/zinc/13/95/89/244139589.db2.gz IIAKDFKERQJULQ-JQWIXIFHSA-N 0 0 262.309 2.617 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2C[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000456321562 244139893 /nfs/dbraw/zinc/13/98/93/244139893.db2.gz DEHHCMYZHZQNCB-STQMWFEESA-N 0 0 276.336 2.925 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC[C@@H]1C[C@H]1C1CC1 ZINC000456884776 244318630 /nfs/dbraw/zinc/31/86/30/244318630.db2.gz SINHITUUSHSGGH-ZFWWWQNUSA-N 0 0 288.347 2.690 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000456950510 244335311 /nfs/dbraw/zinc/33/53/11/244335311.db2.gz VZELAMPWURNJAN-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)(C(=O)N1CCCCO1)c1ccc([N+](=O)[O-])cc1F ZINC000276088094 193210570 /nfs/dbraw/zinc/21/05/70/193210570.db2.gz VOAQITDNAOZDEF-UHFFFAOYSA-N 0 0 296.298 2.566 20 5 CFBDRN C[C@@]1(C(N)=O)CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000330818145 203273676 /nfs/dbraw/zinc/27/36/76/203273676.db2.gz CSWBCQKNYLQALF-LBPRGKRZSA-N 0 0 283.353 2.936 20 5 CFBDRN C[C@H]1CCN(C(=O)CSc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000330807222 203258192 /nfs/dbraw/zinc/25/81/92/203258192.db2.gz LLYASABZGSUOAN-QWRGUYRKSA-N 0 0 294.376 2.944 20 5 CFBDRN C[C@@H]1CCN(C(=O)CSc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000330807221 203258498 /nfs/dbraw/zinc/25/84/98/203258498.db2.gz LLYASABZGSUOAN-MNOVXSKESA-N 0 0 294.376 2.944 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCNC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330829319 203286087 /nfs/dbraw/zinc/28/60/87/203286087.db2.gz XESSBDCTAWFEGC-MNOVXSKESA-N 0 0 279.340 2.869 20 5 CFBDRN CCC(C)(C)OCC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000457406472 244526190 /nfs/dbraw/zinc/52/61/90/244526190.db2.gz AKOBNZNWWVVMAL-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(NOC[C@@H]2CCOC2)c2ccncc21 ZINC000276203972 193242008 /nfs/dbraw/zinc/24/20/08/193242008.db2.gz JEDCTVCMWIGUGS-SNVBAGLBSA-N 0 0 289.291 2.523 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2nccc(C)c2[N+](=O)[O-])C1 ZINC000230917788 189812147 /nfs/dbraw/zinc/81/21/47/189812147.db2.gz CEBVPVJQTYIBRQ-NXEZZACHSA-N 0 0 267.354 2.994 20 5 CFBDRN COCCCCCOc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC000116610922 376197061 /nfs/dbraw/zinc/19/70/61/376197061.db2.gz RVSIWHHIAIAXSQ-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CN(Cc1nccs1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000276453426 193336772 /nfs/dbraw/zinc/33/67/72/193336772.db2.gz MCMHBGVTBJJPMN-UHFFFAOYSA-N 0 0 290.348 2.656 20 5 CFBDRN Cc1cnc(COC(=O)CCC2CC2)c(C)c1[N+](=O)[O-] ZINC000276407417 193318986 /nfs/dbraw/zinc/31/89/86/193318986.db2.gz LRUZXHZNQDOFOQ-UHFFFAOYSA-N 0 0 278.308 2.840 20 5 CFBDRN CCN(CCOC)C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000276423045 193324335 /nfs/dbraw/zinc/32/43/35/193324335.db2.gz ODXCYZWRRIQLEB-UHFFFAOYSA-N 0 0 295.339 2.712 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CSC2(C)C)c1[N+](=O)[O-] ZINC000276465761 193343015 /nfs/dbraw/zinc/34/30/15/193343015.db2.gz JFYRRDRFCHOIRT-JTQLQIEISA-N 0 0 280.349 2.527 20 5 CFBDRN CC[C@](C)(CO)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276572801 193385845 /nfs/dbraw/zinc/38/58/45/193385845.db2.gz HQCQBBKOADZEJO-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN COC1CCC(NC(=O)c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000458040143 244744564 /nfs/dbraw/zinc/74/45/64/244744564.db2.gz LANZRXBWMYZCKN-UHFFFAOYSA-N 0 0 292.335 2.591 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@]2(C)C(C)C)c1 ZINC000458091376 244760773 /nfs/dbraw/zinc/76/07/73/244760773.db2.gz FTOUANBHDCSBJM-UKRRQHHQSA-N 0 0 292.335 2.768 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC1(C2CCC2)CCC1 ZINC000458231540 244807528 /nfs/dbraw/zinc/80/75/28/244807528.db2.gz FXTLSASEUDZSNJ-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000286751357 196695081 /nfs/dbraw/zinc/69/50/81/196695081.db2.gz OOXWJCJJANPJME-SBDDDAINSA-N 0 0 274.320 2.913 20 5 CFBDRN COC1CN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1 ZINC000459576030 245224661 /nfs/dbraw/zinc/22/46/61/245224661.db2.gz GETHQGZJDSBVCX-UHFFFAOYSA-N 0 0 288.303 2.685 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC(F)(F)C(F)(F)C2)cs1 ZINC000459677337 245269039 /nfs/dbraw/zinc/26/90/39/245269039.db2.gz OLYQKPAZNNRBSP-UHFFFAOYSA-N 0 0 284.234 2.743 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC(F)(F)C(F)(F)C2)c1 ZINC000459684265 245272546 /nfs/dbraw/zinc/27/25/46/245272546.db2.gz UNXWAFDYOFSTNR-UHFFFAOYSA-N 0 0 278.205 2.681 20 5 CFBDRN CN(C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000459895377 245342686 /nfs/dbraw/zinc/34/26/86/245342686.db2.gz GKSWYVMBCLRRGO-SUNKGSAMSA-N 0 0 274.320 2.994 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000459902478 245342698 /nfs/dbraw/zinc/34/26/98/245342698.db2.gz OZGKCAVDKDYHMC-COPLHBTASA-N 0 0 286.331 2.920 20 5 CFBDRN CCC[C@H](OC)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000459981690 245347107 /nfs/dbraw/zinc/34/71/07/245347107.db2.gz CJTGGVWIXOIQCJ-HZMBPMFUSA-N 0 0 292.335 2.688 20 5 CFBDRN O=C(N[C@H]1C[C@H](c2ccccc2)C1)c1ccc([N+](=O)[O-])cn1 ZINC000373888672 284262283 /nfs/dbraw/zinc/26/22/83/284262283.db2.gz ZTIFRVDATYFGFL-JOCQHMNTSA-N 0 0 297.314 2.666 20 5 CFBDRN O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC1 ZINC000291509690 296171533 /nfs/dbraw/zinc/17/15/33/296171533.db2.gz XBZMTILCXBXTIQ-UHFFFAOYSA-N 0 0 275.091 2.748 20 5 CFBDRN COC(=O)c1ccc(COc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000002534366 371733081 /nfs/dbraw/zinc/73/30/81/371733081.db2.gz CTEYMDPAKLBQJJ-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CC(C)NC(=O)c1ccc(N2CCCCC2)c([N+](=O)[O-])c1 ZINC000005392330 371880466 /nfs/dbraw/zinc/88/04/66/371880466.db2.gz VGBPSKGLNFRCOR-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCC(C)CC1 ZINC000007447154 371894740 /nfs/dbraw/zinc/89/47/40/371894740.db2.gz XSBQLLWSDDUGOD-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCSc2ccccc21 ZINC000008337133 371897604 /nfs/dbraw/zinc/89/76/04/371897604.db2.gz ZGWGGNDBYSQNEQ-JTQLQIEISA-N 0 0 290.348 2.977 20 5 CFBDRN CNc1snc(C)c1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000012459851 371965357 /nfs/dbraw/zinc/96/53/57/371965357.db2.gz HNHOGKNQWYTOEL-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccsc2)cc1[N+](=O)[O-] ZINC000013698153 372041983 /nfs/dbraw/zinc/04/19/83/372041983.db2.gz WYYNTZGYQSDYFG-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@H](CC(F)(F)F)C1 ZINC000277556995 193691624 /nfs/dbraw/zinc/69/16/24/193691624.db2.gz YHJBUJODNFKIKQ-QMMMGPOBSA-N 0 0 275.230 2.769 20 5 CFBDRN C[C@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000015133264 372080673 /nfs/dbraw/zinc/08/06/73/372080673.db2.gz KPNTWWKROODWJK-VIFPVBQESA-N 0 0 280.349 2.602 20 5 CFBDRN Cc1noc(COc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000023373485 372258221 /nfs/dbraw/zinc/25/82/21/372258221.db2.gz GLQQKFQNKRUXLV-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN CCCNc1nnc(Sc2ncccc2[N+](=O)[O-])s1 ZINC000023619921 372262802 /nfs/dbraw/zinc/26/28/02/372262802.db2.gz IURAENKBORRNCP-UHFFFAOYSA-N 0 0 297.365 2.814 20 5 CFBDRN Cc1ccc([C@@H]2NC(=O)c3ccccc3N2C)cc1[N+](=O)[O-] ZINC000024098617 372270872 /nfs/dbraw/zinc/27/08/72/372270872.db2.gz UZJLZECLXDSZJB-OAHLLOKOSA-N 0 0 297.314 2.782 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)C1CC1 ZINC000025771776 372308160 /nfs/dbraw/zinc/30/81/60/372308160.db2.gz OYNUNPUNOVTWDC-UWVGGRQHSA-N 0 0 294.376 2.990 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1ccc(Cl)s1 ZINC000026727254 372327972 /nfs/dbraw/zinc/32/79/72/372327972.db2.gz WDGOELJDHRHKCN-UHFFFAOYSA-N 0 0 272.717 2.655 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2csc(-c3ccco3)n2)cn1 ZINC000026982293 372333599 /nfs/dbraw/zinc/33/35/99/372333599.db2.gz MGPOLNMRYSCQAI-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccccn1 ZINC000028781698 372371107 /nfs/dbraw/zinc/37/11/07/372371107.db2.gz BZFPKRAIJKHWSF-MRVPVSSYSA-N 0 0 277.305 2.542 20 5 CFBDRN O=C(Nc1ccc2c[nH]nc2c1)c1cccc([N+](=O)[O-])c1 ZINC000029370972 372377942 /nfs/dbraw/zinc/37/79/42/372377942.db2.gz VQUYMAOCPXZELA-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1ccc(C(=O)NC(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000029382884 372379452 /nfs/dbraw/zinc/37/94/52/372379452.db2.gz IZVZGIRVKVOEQN-UHFFFAOYSA-N 0 0 274.320 2.822 20 5 CFBDRN CCCCCC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000029546364 372383243 /nfs/dbraw/zinc/38/32/43/372383243.db2.gz YTMBNCHEHIULHP-UHFFFAOYSA-N 0 0 279.340 2.703 20 5 CFBDRN CC(C)(C)n1nnnc1SCc1ccc([N+](=O)[O-])cc1 ZINC000029740210 372386224 /nfs/dbraw/zinc/38/62/24/372386224.db2.gz BCTUECNWBMPRNR-UHFFFAOYSA-N 0 0 293.352 2.629 20 5 CFBDRN Cc1cc(C)c(C(=O)Cn2cnc([N+](=O)[O-])c2)c(C)c1 ZINC000029749544 372386323 /nfs/dbraw/zinc/38/63/23/372386323.db2.gz FKWSKASLTIXGLI-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000032030746 372425107 /nfs/dbraw/zinc/42/51/07/372425107.db2.gz KKNKVWLVTDNOAK-VXGBXAGGSA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000032030747 372425731 /nfs/dbraw/zinc/42/57/31/372425731.db2.gz KKNKVWLVTDNOAK-NWDGAFQWSA-N 0 0 292.335 2.669 20 5 CFBDRN CCC(CC)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000033181089 372485461 /nfs/dbraw/zinc/48/54/61/372485461.db2.gz BRVPLOFIPSQDLR-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN O=C(CSc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000033783842 372500363 /nfs/dbraw/zinc/50/03/63/372500363.db2.gz ONNRTAJYHZUAMI-UHFFFAOYSA-N 0 0 274.301 2.965 20 5 CFBDRN O=C(CCc1ccccc1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000036136721 372561740 /nfs/dbraw/zinc/56/17/40/372561740.db2.gz ZAJODVGHWPSTMC-UHFFFAOYSA-N 0 0 298.342 2.886 20 5 CFBDRN Cn1cc(CNc2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000036971397 372618721 /nfs/dbraw/zinc/61/87/21/372618721.db2.gz CSGNBKGUMPXJFQ-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=c1[nH]c2cc(NCc3cccc([N+](=O)[O-])c3)ccc2o1 ZINC000037129894 372652714 /nfs/dbraw/zinc/65/27/14/372652714.db2.gz IBNZVVRBXLNJJR-UHFFFAOYSA-N 0 0 285.259 2.641 20 5 CFBDRN Nc1ccc(-c2nc(C3CCCC3)no2)cc1[N+](=O)[O-] ZINC000037756602 372700443 /nfs/dbraw/zinc/70/04/43/372700443.db2.gz GHJQYFFZVUEXII-UHFFFAOYSA-N 0 0 274.280 2.885 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1Cc2ccccc21 ZINC000037566349 372666704 /nfs/dbraw/zinc/66/67/04/372666704.db2.gz STZPNWQRMYGAGD-LLVKDONJSA-N 0 0 255.277 2.742 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669676 372688114 /nfs/dbraw/zinc/68/81/14/372688114.db2.gz KPTVCYNAJVKKAI-SECBINFHSA-N 0 0 268.288 2.898 20 5 CFBDRN Cc1cc(NCc2ccnc(C)n2)ccc1[N+](=O)[O-] ZINC000037999372 372757605 /nfs/dbraw/zinc/75/76/05/372757605.db2.gz NVJTUVPSCRCAKY-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cc(N2CCCC[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000040444229 372782249 /nfs/dbraw/zinc/78/22/49/372782249.db2.gz CALUGBGEDKNLAQ-GFCCVEGCSA-N 0 0 264.325 2.645 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCCC[C@@H]1CCO ZINC000040419701 372782731 /nfs/dbraw/zinc/78/27/31/372782731.db2.gz PRZTWXIYHJAPIW-LLVKDONJSA-N 0 0 284.743 2.990 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCc2nccs2)c1 ZINC000041013233 372801787 /nfs/dbraw/zinc/80/17/87/372801787.db2.gz OQXPMYDJVXSFHJ-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN CCCN(CC1CC1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000041958704 372866333 /nfs/dbraw/zinc/86/63/33/372866333.db2.gz DVGSEDUTMOQULC-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN COc1ccc(CSc2nccn2C)cc1[N+](=O)[O-] ZINC000042128238 372876312 /nfs/dbraw/zinc/87/63/12/372876312.db2.gz WSZJZSCDNBBLMG-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN CCOC(=O)CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000041676830 372856580 /nfs/dbraw/zinc/85/65/80/372856580.db2.gz LSHRXVNXLCASHM-UHFFFAOYSA-N 0 0 272.688 2.613 20 5 CFBDRN COc1c(C(=O)N[C@H]2CC[C@H](C)CC2)cccc1[N+](=O)[O-] ZINC000475774049 245588087 /nfs/dbraw/zinc/58/80/87/245588087.db2.gz VDFCFQMWZKKWNI-XYPYZODXSA-N 0 0 292.335 2.912 20 5 CFBDRN CCCCN(CC)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000041781771 372861787 /nfs/dbraw/zinc/86/17/87/372861787.db2.gz QZKLYFVAWXZVCW-UHFFFAOYSA-N 0 0 280.324 2.622 20 5 CFBDRN Cc1ccc(NC(=O)NCC2CCC2)cc1[N+](=O)[O-] ZINC000043147176 372979003 /nfs/dbraw/zinc/97/90/03/372979003.db2.gz BOCQJHYYCQCSMQ-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN CCN(C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000043147267 372979143 /nfs/dbraw/zinc/97/91/43/372979143.db2.gz HPNJGOULBLFHJH-UHFFFAOYSA-N 0 0 263.297 2.919 20 5 CFBDRN COC(=O)[C@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C[C@H]1C ZINC000276978588 284370797 /nfs/dbraw/zinc/37/07/97/284370797.db2.gz URSVQVAXQFEAHC-NEPJUHHUSA-N 0 0 292.335 2.539 20 5 CFBDRN CN(Cc1ccco1)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000045623332 373023549 /nfs/dbraw/zinc/02/35/49/373023549.db2.gz QKGWCCSLNIADBL-UHFFFAOYSA-N 0 0 289.291 2.529 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000045624382 373023759 /nfs/dbraw/zinc/02/37/59/373023759.db2.gz ZMRABULHPOEOSP-DGCLKSJQSA-N 0 0 291.351 2.973 20 5 CFBDRN O=[N+]([O-])c1ccccc1N(CCO)CC1CCCCC1 ZINC000486040458 245692678 /nfs/dbraw/zinc/69/26/78/245692678.db2.gz QTIWTZZIDGRPBU-UHFFFAOYSA-N 0 0 278.352 2.974 20 5 CFBDRN O=C(Nc1cccc(-n2ccnc2)c1)c1ccc([N+](=O)[O-])o1 ZINC000046105727 373043756 /nfs/dbraw/zinc/04/37/56/373043756.db2.gz AAFAWXWIEYASBW-UHFFFAOYSA-N 0 0 298.258 2.626 20 5 CFBDRN O=C(C[C@H]1C=CCC1)NCc1ccccc1[N+](=O)[O-] ZINC000046148652 373045916 /nfs/dbraw/zinc/04/59/16/373045916.db2.gz ZDJJJULTOKNMHA-NSHDSACASA-N 0 0 260.293 2.567 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000048321729 373161863 /nfs/dbraw/zinc/16/18/63/373161863.db2.gz DOMAZQVOBPGQLB-WPRPVWTQSA-N 0 0 295.314 2.625 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCC(F)(F)F)c1 ZINC000048429912 373181014 /nfs/dbraw/zinc/18/10/14/373181014.db2.gz MPUPRJLNNMQAHW-UHFFFAOYSA-N 0 0 294.254 2.609 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCC[C@H]1CO ZINC000048865396 373228769 /nfs/dbraw/zinc/22/87/69/373228769.db2.gz QBFBQUNBPFJYDH-VIFPVBQESA-N 0 0 290.241 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2cccc(F)n2)cc1 ZINC000048962396 373239585 /nfs/dbraw/zinc/23/95/85/373239585.db2.gz PKRHQCKDIZACCN-UHFFFAOYSA-N 0 0 276.271 2.653 20 5 CFBDRN Cc1cc(OCc2noc(C3CC3)n2)ccc1[N+](=O)[O-] ZINC000048988080 373246768 /nfs/dbraw/zinc/24/67/68/373246768.db2.gz GHTILDQTFXASDS-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN Cc1ccc(CC(=O)NCc2cccc([N+](=O)[O-])c2)s1 ZINC000049379583 373290084 /nfs/dbraw/zinc/29/00/84/373290084.db2.gz YKWXXVHQRLXDJM-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN C[C@@H](NC(=O)N[C@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000049241641 373280571 /nfs/dbraw/zinc/28/05/71/373280571.db2.gz IUEWELUFUSQHMN-NXEZZACHSA-N 0 0 277.324 2.754 20 5 CFBDRN CC[C@H](C(=O)[O-])[N@H+](C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000049964272 373338352 /nfs/dbraw/zinc/33/83/52/373338352.db2.gz LDWJUOADAYILAA-LLVKDONJSA-N 0 0 286.715 2.543 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000050069932 373348688 /nfs/dbraw/zinc/34/86/88/373348688.db2.gz IVYBOHKKBGCNMI-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000050696575 373380529 /nfs/dbraw/zinc/38/05/29/373380529.db2.gz ITLLZPCOLLZXSW-AOOOYVTPSA-N 0 0 277.324 2.580 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCOc1ccccc1 ZINC000052812776 373450405 /nfs/dbraw/zinc/45/04/05/373450405.db2.gz GQICUJUSGAUURG-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN CCCc1nc(CN(C)Cc2ccccc2[N+](=O)[O-])no1 ZINC000054989153 220200402 /nfs/dbraw/zinc/20/04/02/220200402.db2.gz NYBMEFSKCSSWCN-UHFFFAOYSA-N 0 0 290.323 2.562 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccccn1 ZINC000054150196 373500460 /nfs/dbraw/zinc/50/04/60/373500460.db2.gz RDGJDCIDRWEQCC-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN COCCSCCCN1CCc2c1cccc2[N+](=O)[O-] ZINC000488115918 245817493 /nfs/dbraw/zinc/81/74/93/245817493.db2.gz WUYXURDQZPNEKN-UHFFFAOYSA-N 0 0 296.392 2.727 20 5 CFBDRN CC[C@H]1CCCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000057005012 373577524 /nfs/dbraw/zinc/57/75/24/373577524.db2.gz MFDXGGIQWMGRHS-LBPRGKRZSA-N 0 0 291.351 2.926 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])Nc1ccccn1 ZINC000058256252 373637087 /nfs/dbraw/zinc/63/70/87/373637087.db2.gz RILLGSCMYRICSI-FNORWQNLSA-N 0 0 287.250 2.781 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000058288705 373640580 /nfs/dbraw/zinc/64/05/80/373640580.db2.gz FQTXDAKAHFZXHT-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN COc1cccc(N(C)Cc2cscn2)c1[N+](=O)[O-] ZINC000185243248 220223141 /nfs/dbraw/zinc/22/31/41/220223141.db2.gz ISMLZHLWTBINKQ-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000057648041 373607086 /nfs/dbraw/zinc/60/70/86/373607086.db2.gz FERIEFGUCRYTHX-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])OC[C@@H]1CCCCO1 ZINC000057967237 373618892 /nfs/dbraw/zinc/61/88/92/373618892.db2.gz CKSJYTCMJIWFAH-XEHSLEBBSA-N 0 0 291.303 2.720 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCCCCO ZINC000059538796 373680571 /nfs/dbraw/zinc/68/05/71/373680571.db2.gz CLKGPHWAILVDJN-UHFFFAOYSA-N 0 0 252.314 2.868 20 5 CFBDRN Cc1cc(NCCCCCCO)ccc1[N+](=O)[O-] ZINC000059538801 373681302 /nfs/dbraw/zinc/68/13/02/373681302.db2.gz DBJARHWUVXOAEN-UHFFFAOYSA-N 0 0 252.314 2.868 20 5 CFBDRN CC(C)CCC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000060849693 373706126 /nfs/dbraw/zinc/70/61/26/373706126.db2.gz QMNSPDGKHHBMLD-UHFFFAOYSA-N 0 0 250.298 2.994 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccccc1 ZINC000063447002 373804266 /nfs/dbraw/zinc/80/42/66/373804266.db2.gz XQXCFRDHPSTBRZ-UHFFFAOYSA-N 0 0 260.224 2.986 20 5 CFBDRN CN(CCOc1ccccc1[N+](=O)[O-])Cc1cscn1 ZINC000063592620 373810984 /nfs/dbraw/zinc/81/09/84/373810984.db2.gz GDJPMZWVFMSKJW-UHFFFAOYSA-N 0 0 293.348 2.562 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@H](C)OC(C)(C)C2)c1[N+](=O)[O-] ZINC000065610504 373895421 /nfs/dbraw/zinc/89/54/21/373895421.db2.gz RDILOPCSYDXLRM-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)CCNC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000065712156 373898333 /nfs/dbraw/zinc/89/83/33/373898333.db2.gz AVGPZPUMXSQMGA-UHFFFAOYSA-N 0 0 289.335 2.712 20 5 CFBDRN O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC1 ZINC000065086914 373843522 /nfs/dbraw/zinc/84/35/22/373843522.db2.gz GZFVIXXMJZLZEY-UHFFFAOYSA-N 0 0 289.316 2.564 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000065898586 373901479 /nfs/dbraw/zinc/90/14/79/373901479.db2.gz SNGBVDADUNWWCL-SNVBAGLBSA-N 0 0 298.364 2.606 20 5 CFBDRN COc1ccc(CN2C[C@H](C)OC(C)(C)C2)cc1[N+](=O)[O-] ZINC000065422876 373870875 /nfs/dbraw/zinc/87/08/75/373870875.db2.gz CFDMMGDGFWVCFM-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H](F)C2)c1 ZINC000336392392 220243680 /nfs/dbraw/zinc/24/36/80/220243680.db2.gz HHTIBVUSETUMCG-NXEZZACHSA-N 0 0 266.272 2.524 20 5 CFBDRN CC(C)CCCNC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000067014732 373967228 /nfs/dbraw/zinc/96/72/28/373967228.db2.gz WJIVTXAADHFDFD-UHFFFAOYSA-N 0 0 298.314 2.665 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H](C)c1nccs1 ZINC000289515874 197386479 /nfs/dbraw/zinc/38/64/79/197386479.db2.gz CQZRKCXMXJAIGZ-MRVPVSSYSA-N 0 0 295.368 2.792 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CC[C@@H](C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000070114115 374127751 /nfs/dbraw/zinc/12/77/51/374127751.db2.gz HKRHOKJDLRGWFT-WCABBAIRSA-N 0 0 266.345 2.873 20 5 CFBDRN CSc1cc(O)ccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000490220697 246001806 /nfs/dbraw/zinc/00/18/06/246001806.db2.gz CRYFXGKOFGPVPT-UHFFFAOYSA-N 0 0 293.304 2.603 20 5 CFBDRN Cc1cc(F)ccc1CN(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000490328748 246004188 /nfs/dbraw/zinc/00/41/88/246004188.db2.gz BUWTWOTZFRNARS-UHFFFAOYSA-N 0 0 291.282 2.643 20 5 CFBDRN CN(C(=O)Cc1ccccc1[N+](=O)[O-])C1(C)CCCC1 ZINC000490675668 246018933 /nfs/dbraw/zinc/01/89/33/246018933.db2.gz MTVSVKXYCYJSSV-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000490669810 246019014 /nfs/dbraw/zinc/01/90/14/246019014.db2.gz XLLNTPDATDQFOV-DWOQACPDSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000070360384 374170482 /nfs/dbraw/zinc/17/04/82/374170482.db2.gz MKRYHWZOUORHEY-BDAKNGLRSA-N 0 0 254.261 2.713 20 5 CFBDRN CN(C(=O)/C=C\c1cccc([N+](=O)[O-])c1)c1nccs1 ZINC000490577098 246014856 /nfs/dbraw/zinc/01/48/56/246014856.db2.gz PMNFOMOYWCUZGL-WAYWQWQTSA-N 0 0 289.316 2.728 20 5 CFBDRN CCCc1nccn1CC(=O)c1cccc([N+](=O)[O-])c1 ZINC000070424833 374173647 /nfs/dbraw/zinc/17/36/47/374173647.db2.gz IMDUCVXYLABSLL-UHFFFAOYSA-N 0 0 273.292 2.627 20 5 CFBDRN O=C(/C=C\c1cccnc1)Nc1cccc([N+](=O)[O-])c1 ZINC000491024228 246036227 /nfs/dbraw/zinc/03/62/27/246036227.db2.gz JSARQUVPBWPIES-SREVYHEPSA-N 0 0 269.260 2.642 20 5 CFBDRN Cc1noc(C)c1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491306077 246059052 /nfs/dbraw/zinc/05/90/52/246059052.db2.gz RFQXYQAWFRSHMI-YVMONPNESA-N 0 0 287.275 2.852 20 5 CFBDRN COc1cccnc1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000491350151 246063231 /nfs/dbraw/zinc/06/32/31/246063231.db2.gz JJTRBUXZTHLDFV-CMDGGOBGSA-N 0 0 299.286 2.650 20 5 CFBDRN CN(Cc1ccncc1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491236887 246052166 /nfs/dbraw/zinc/05/21/66/246052166.db2.gz MRVNFLATYCKFJU-DAXSKMNVSA-N 0 0 297.314 2.662 20 5 CFBDRN CC(C)(C)CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491250676 246054339 /nfs/dbraw/zinc/05/43/39/246054339.db2.gz TYXYDFZBAKMYTP-TWGQIWQCSA-N 0 0 262.309 2.770 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491985040 246146166 /nfs/dbraw/zinc/14/61/66/246146166.db2.gz SPWXTTZFYBFRFC-IVRGCMMQSA-N 0 0 278.283 2.756 20 5 CFBDRN Cc1cc(C(=O)Cn2cc([N+](=O)[O-])cn2)c(C)c(C)c1C ZINC000074061672 374404432 /nfs/dbraw/zinc/40/44/32/374404432.db2.gz JIZQRCQZEDBMGJ-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000073786772 374384624 /nfs/dbraw/zinc/38/46/24/374384624.db2.gz IFXFCLWVGWEOKD-WCQYABFASA-N 0 0 291.351 2.925 20 5 CFBDRN C/C=C/C=C\C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000492004745 246155138 /nfs/dbraw/zinc/15/51/38/246155138.db2.gz SYYHBPRLTGXEGW-IAROGAJJSA-N 0 0 250.229 2.805 20 5 CFBDRN Cc1cc(N(Cc2cccnc2)C2CC2)ncc1[N+](=O)[O-] ZINC000074742523 374435833 /nfs/dbraw/zinc/43/58/33/374435833.db2.gz HEJZFGYTUNTXMX-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CCn1cc(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])cn1 ZINC000074413956 374420419 /nfs/dbraw/zinc/42/04/19/374420419.db2.gz LMMBNYXOVMWWJJ-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000492090435 246182617 /nfs/dbraw/zinc/18/26/17/246182617.db2.gz ZSSYJYBDMGLHPY-JYBZGJHDSA-N 0 0 292.310 2.862 20 5 CFBDRN CSCCN(C)C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492111952 246191573 /nfs/dbraw/zinc/19/15/73/246191573.db2.gz SQRSERGUTPETKG-XQRVVYSFSA-N 0 0 298.339 2.569 20 5 CFBDRN CC[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000075542077 374491121 /nfs/dbraw/zinc/49/11/21/374491121.db2.gz UONOZHCZSGKTEY-GFCCVEGCSA-N 0 0 295.364 2.954 20 5 CFBDRN O=C(/C=C\C1CC1)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000492126311 246195347 /nfs/dbraw/zinc/19/53/47/246195347.db2.gz WLWXGVCTFZPEMZ-UTCJRWHESA-N 0 0 280.711 2.831 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])NC1(C2CC2)CC1 ZINC000492129113 246197728 /nfs/dbraw/zinc/19/77/28/246197728.db2.gz HBXXTVUELHKRSL-KXFIGUGUSA-N 0 0 290.294 2.806 20 5 CFBDRN CCO[C@@H](C)C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000075881905 374510519 /nfs/dbraw/zinc/51/05/19/374510519.db2.gz WSPDURFTUGOACD-JTQLQIEISA-N 0 0 267.281 2.542 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492347619 246265492 /nfs/dbraw/zinc/26/54/92/246265492.db2.gz YWBUZGLBPXAETF-MEJMFZKBSA-N 0 0 274.320 2.865 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CS[C@@H](C)[C@@H](C)O ZINC000079051077 374587857 /nfs/dbraw/zinc/58/78/57/374587857.db2.gz ZZZBAZIGRNGXRG-BDAKNGLRSA-N 0 0 271.338 2.606 20 5 CFBDRN CC[C@H](COC)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000079745243 374630190 /nfs/dbraw/zinc/63/01/90/374630190.db2.gz HXDGZFQALJPAIK-LLVKDONJSA-N 0 0 275.308 2.980 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC1(C(F)F)CC1 ZINC000492804402 246391864 /nfs/dbraw/zinc/39/18/64/246391864.db2.gz HSDLNEUAFPELNS-WAYWQWQTSA-N 0 0 282.246 2.522 20 5 CFBDRN CCN(C)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000079499017 374615674 /nfs/dbraw/zinc/61/56/74/374615674.db2.gz LOOMCGJXHQLWMK-UHFFFAOYSA-N 0 0 276.214 2.706 20 5 CFBDRN CC(=O)N1CCC(CNc2ccc([N+](=O)[O-])cc2C)CC1 ZINC000080612939 374663165 /nfs/dbraw/zinc/66/31/65/374663165.db2.gz IEVXUZUKMXGBTQ-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN Cc1noc(C)c1CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000080599577 374663297 /nfs/dbraw/zinc/66/32/97/374663297.db2.gz RMFGHYARNAHPLJ-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000080693164 374669210 /nfs/dbraw/zinc/66/92/10/374669210.db2.gz BPYFOSWOESLJAI-VXGBXAGGSA-N 0 0 294.351 2.915 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CCC[C@@H](F)C1 ZINC000493167751 246507497 /nfs/dbraw/zinc/50/74/97/246507497.db2.gz AUGRTWCDQDHKRX-ZHRWSRJISA-N 0 0 278.283 2.569 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@H]1CCC[C@@H]1O ZINC000089169582 374885121 /nfs/dbraw/zinc/88/51/21/374885121.db2.gz NFMKORUOLRKWQH-KCJUWKMLSA-N 0 0 270.716 2.821 20 5 CFBDRN CC(C)=CCNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493271192 246539991 /nfs/dbraw/zinc/53/99/91/246539991.db2.gz SNDFAMZIBPVYTM-SREVYHEPSA-N 0 0 260.293 2.690 20 5 CFBDRN O=C(NOC1CCCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000089265057 374892089 /nfs/dbraw/zinc/89/20/89/374892089.db2.gz OMYVEGBROPUXEX-UHFFFAOYSA-N 0 0 265.269 2.591 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC[C@@H]1C[C@H]1C1CC1 ZINC000493394355 246575758 /nfs/dbraw/zinc/57/57/58/246575758.db2.gz CMEHPSCJSCDZQH-BCAJBZKLSA-N 0 0 286.331 2.770 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1nc(C)cs1 ZINC000088522559 374850046 /nfs/dbraw/zinc/85/00/46/374850046.db2.gz YSQZJJNUEOKZPH-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN C[C@H](CCCO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000088503671 374850053 /nfs/dbraw/zinc/85/00/53/374850053.db2.gz CFSAZAFPQIYWJU-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN Cc1csc(CN2CCc3cc([N+](=O)[O-])ccc32)n1 ZINC000088522942 374851247 /nfs/dbraw/zinc/85/12/47/374851247.db2.gz PSBFTMHZEUKYCU-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCn2cccn2)c1 ZINC000088700482 374858787 /nfs/dbraw/zinc/85/87/87/374858787.db2.gz DPTOZVLCAGFUPB-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)C(=O)NC(C)(C)C)c1 ZINC000088714973 374860645 /nfs/dbraw/zinc/86/06/45/374860645.db2.gz LUMKLTAKSMAZEL-SNVBAGLBSA-N 0 0 279.340 2.618 20 5 CFBDRN CC(C)[C@@H](C)CC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089767611 374948231 /nfs/dbraw/zinc/94/82/31/374948231.db2.gz PGOIMWGWUKYOOE-LBPRGKRZSA-N 0 0 278.352 2.936 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000493417913 246583238 /nfs/dbraw/zinc/58/32/38/246583238.db2.gz JZZJEHNSHOVKGX-HYDMIIDASA-N 0 0 288.225 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCCSCC3)nc2c1 ZINC000089980654 374980308 /nfs/dbraw/zinc/98/03/08/374980308.db2.gz ZJUUGHZFQKZKDK-UHFFFAOYSA-N 0 0 293.348 2.675 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCOCc1ccccc1 ZINC000090469396 375016557 /nfs/dbraw/zinc/01/65/57/375016557.db2.gz WDBCUICHUYAVKK-UHFFFAOYSA-N 0 0 273.292 2.619 20 5 CFBDRN CCO[C@H](CCNc1c([N+](=O)[O-])c(CC)nn1C)C(C)C ZINC000091730677 375059796 /nfs/dbraw/zinc/05/97/96/375059796.db2.gz ASXXOHWWBHGKAW-GFCCVEGCSA-N 0 0 298.387 2.754 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCCC1 ZINC000139837042 296226764 /nfs/dbraw/zinc/22/67/64/296226764.db2.gz LBMFKRCEPZQJFR-UHFFFAOYSA-N 0 0 299.124 2.593 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@@H]2CCCC[C@@H]21 ZINC000072057042 296226271 /nfs/dbraw/zinc/22/62/71/296226271.db2.gz BCWRSCXDEGSSGY-FZMZJTMJSA-N 0 0 274.320 3.000 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccon2)c(Br)c1 ZINC000093297712 375203044 /nfs/dbraw/zinc/20/30/44/375203044.db2.gz IFJLCYFMUWUZIF-UHFFFAOYSA-N 0 0 298.096 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCc3ccon3)ccc2c1 ZINC000093297706 375204014 /nfs/dbraw/zinc/20/40/14/375204014.db2.gz XUBIGWGOZJQQJI-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCOCC12CCC2 ZINC000093509916 375260086 /nfs/dbraw/zinc/26/00/86/375260086.db2.gz XHNQJHGZOYVRIR-ZZXKWVIFSA-N 0 0 288.347 2.863 20 5 CFBDRN CCOC[C@H](C)NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000494777516 246814767 /nfs/dbraw/zinc/81/47/67/246814767.db2.gz LRBZOXOIULAUFT-NSHDSACASA-N 0 0 294.351 2.675 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC(F)(F)F ZINC000093921357 375331227 /nfs/dbraw/zinc/33/12/27/375331227.db2.gz CKDUVBQQQCEWHQ-UHFFFAOYSA-N 0 0 290.241 2.812 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000094957365 375397686 /nfs/dbraw/zinc/39/76/86/375397686.db2.gz QDAVVZMWALRCFE-NSHDSACASA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCC[C@H]2CCO)c1 ZINC000094663475 375372865 /nfs/dbraw/zinc/37/28/65/375372865.db2.gz ZHGKWHONAANVTB-LBPRGKRZSA-N 0 0 264.325 2.645 20 5 CFBDRN Cc1cscc1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000094883217 375387948 /nfs/dbraw/zinc/38/79/48/375387948.db2.gz PHKFABHOSMOFRM-UHFFFAOYSA-N 0 0 291.332 2.676 20 5 CFBDRN CCOC(=O)NOCc1cc([N+](=O)[O-])ccc1OC(C)C ZINC000496242119 246964293 /nfs/dbraw/zinc/96/42/93/246964293.db2.gz CWKLPSSTAUKXNJ-UHFFFAOYSA-N 0 0 298.295 2.560 20 5 CFBDRN C[C@H](CCO)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000097443146 375561596 /nfs/dbraw/zinc/56/15/96/375561596.db2.gz WOIFIDNVTGFWKJ-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@](C)(O)CCC(C)C ZINC000097596934 375570070 /nfs/dbraw/zinc/57/00/70/375570070.db2.gz NFJLUWSDAOPMGD-AWEZNQCLSA-N 0 0 281.356 2.897 20 5 CFBDRN CCCC[C@H](CCC)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000502371816 247111043 /nfs/dbraw/zinc/11/10/43/247111043.db2.gz KMJLVCFFGIYZQX-NSHDSACASA-N 0 0 291.355 2.830 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](CO)Cc1ccc(F)cc1 ZINC000499706566 247087278 /nfs/dbraw/zinc/08/72/78/247087278.db2.gz PFVLGLDQUJWENU-CYBMUJFWSA-N 0 0 290.294 2.749 20 5 CFBDRN Cc1ncc(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)cn1 ZINC000505552784 247141945 /nfs/dbraw/zinc/14/19/45/247141945.db2.gz DVHYIHZRONFKFW-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN Cc1cccc(OCc2cnc(C)nc2)c1[N+](=O)[O-] ZINC000505563811 247143014 /nfs/dbraw/zinc/14/30/14/247143014.db2.gz JGEBTZWKOIXCFF-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CC(C)Cc1noc(COc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000102599707 375682051 /nfs/dbraw/zinc/68/20/51/375682051.db2.gz SXNHVMVKEAWEEI-UHFFFAOYSA-N 0 0 295.270 2.894 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000431951526 383709107 /nfs/dbraw/zinc/70/91/07/383709107.db2.gz IBODNAKJYIOLCZ-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000105384712 375790457 /nfs/dbraw/zinc/79/04/57/375790457.db2.gz BAYYEUDVZXTBPY-BXKDBHETSA-N 0 0 262.309 2.822 20 5 CFBDRN CCOc1cc(N2CCCC[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000111052994 375834153 /nfs/dbraw/zinc/83/41/53/375834153.db2.gz CIXLZRBTQTXFEW-GFCCVEGCSA-N 0 0 294.351 2.735 20 5 CFBDRN O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1cc[nH]c1 ZINC000111588494 375856724 /nfs/dbraw/zinc/85/67/24/375856724.db2.gz CPKRCSGGHQQNTB-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN COCC[C@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000112013275 375877142 /nfs/dbraw/zinc/87/71/42/375877142.db2.gz FTAUFFZJXXYDDM-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CCOc1ccc(C(=O)N(CC)CC2CC2)cc1[N+](=O)[O-] ZINC000112181913 375888686 /nfs/dbraw/zinc/88/86/86/375888686.db2.gz KRESHSFWSGMUGT-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000112181130 375888962 /nfs/dbraw/zinc/88/89/62/375888962.db2.gz GVIYEJJKIMEMHR-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H]1CCCOC1 ZINC000112209116 375890848 /nfs/dbraw/zinc/89/08/48/375890848.db2.gz SXNGIYNIYQGPPZ-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000112603515 375936183 /nfs/dbraw/zinc/93/61/83/375936183.db2.gz LYRVDJBRWQNZGY-SSDOTTSWSA-N 0 0 254.311 2.575 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)CC2CC2)ccc1[N+](=O)[O-] ZINC000112607282 375937205 /nfs/dbraw/zinc/93/72/05/375937205.db2.gz HJAVXAAHECZPCZ-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN CC1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000112685796 375944430 /nfs/dbraw/zinc/94/44/30/375944430.db2.gz WZUAVHMEIBQGGL-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc(F)c2)cc1[N+](=O)[O-] ZINC000113935146 376043203 /nfs/dbraw/zinc/04/32/03/376043203.db2.gz DBTXKXFEDZHRQX-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN COC(=O)C[C@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000115188866 376112654 /nfs/dbraw/zinc/11/26/54/376112654.db2.gz OGMPFZWTEAYYJK-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN CSc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)nc1 ZINC000116239924 376173247 /nfs/dbraw/zinc/17/32/47/376173247.db2.gz HWZYZRJRHOTICN-UHFFFAOYSA-N 0 0 279.277 2.557 20 5 CFBDRN Cc1sc(C(=O)N(C)c2cccc(C)n2)cc1[N+](=O)[O-] ZINC000118666777 376308548 /nfs/dbraw/zinc/30/85/48/376308548.db2.gz PPBZPTMYOBIUSA-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN Cc1ccc(C(=O)N2CCS[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000118286269 376283216 /nfs/dbraw/zinc/28/32/16/376283216.db2.gz HTGVHRDCVAMHCI-WDEREUQCSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@@H]1C ZINC000118286744 376283226 /nfs/dbraw/zinc/28/32/26/376283226.db2.gz SZSFWRJOAYHJAP-RKDXNWHRSA-N 0 0 298.339 2.700 20 5 CFBDRN Cc1cccc(C(=O)N2CCS[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000118284703 376283742 /nfs/dbraw/zinc/28/37/42/376283742.db2.gz MVBLHPOWCSYTAJ-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN COc1cc(NCCc2nccs2)c(F)cc1[N+](=O)[O-] ZINC000119454490 376416891 /nfs/dbraw/zinc/41/68/91/376416891.db2.gz QFLSWDKLFIYTDX-UHFFFAOYSA-N 0 0 297.311 2.854 20 5 CFBDRN C[C@H](O)C1CCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000119101502 376354587 /nfs/dbraw/zinc/35/45/87/376354587.db2.gz WXJYODPHHCRRPJ-JTQLQIEISA-N 0 0 298.770 2.841 20 5 CFBDRN CC1CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000119801943 376476370 /nfs/dbraw/zinc/47/63/70/376476370.db2.gz SIKOHBATKVDFGW-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC[C@H](C)C2)c1 ZINC000136290808 284571229 /nfs/dbraw/zinc/57/12/29/284571229.db2.gz VTTWCWUQGQLOFO-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCCOC(C)C ZINC000119933075 376508689 /nfs/dbraw/zinc/50/86/89/376508689.db2.gz JHYJWWBYJVUZKL-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000120159960 376558538 /nfs/dbraw/zinc/55/85/38/376558538.db2.gz PHBMJPIEWLVIGO-GHMZBOCLSA-N 0 0 262.309 2.855 20 5 CFBDRN CSC[C@H](C)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000120063950 376538374 /nfs/dbraw/zinc/53/83/74/376538374.db2.gz PHMCTDDSMKOFHK-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@H]([C@@H](C)O)C1 ZINC000120118124 376549111 /nfs/dbraw/zinc/54/91/11/376549111.db2.gz QPJRWYCYJDIMIR-NEPJUHHUSA-N 0 0 264.325 2.500 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@H](C)C1 ZINC000120247507 376578840 /nfs/dbraw/zinc/57/88/40/376578840.db2.gz HOEAUBGLZYBVTM-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN COC(=O)CCNc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000120537233 376635710 /nfs/dbraw/zinc/63/57/10/376635710.db2.gz MLGRRIZPPINANM-UHFFFAOYSA-N 0 0 293.106 2.877 20 5 CFBDRN CCCCCOCC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000120798378 376693928 /nfs/dbraw/zinc/69/39/28/376693928.db2.gz OPRPOYLBWNZKRG-UHFFFAOYSA-N 0 0 296.323 2.749 20 5 CFBDRN CCCCCOCC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000120874220 376713374 /nfs/dbraw/zinc/71/33/74/376713374.db2.gz XSZZHQZKCPSFMZ-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCO[C@H](c3ccco3)C2)c1 ZINC000120892965 376717371 /nfs/dbraw/zinc/71/73/71/376717371.db2.gz PMNZHKQZHFRMFJ-HNNXBMFYSA-N 0 0 288.303 2.761 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCO[C@@H](c3ccco3)C2)c1 ZINC000120893106 376717807 /nfs/dbraw/zinc/71/78/07/376717807.db2.gz PMNZHKQZHFRMFJ-OAHLLOKOSA-N 0 0 288.303 2.761 20 5 CFBDRN CCCCOCC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000121062998 376754581 /nfs/dbraw/zinc/75/45/81/376754581.db2.gz QFANHJCWAICHIF-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(CC(C)C)C1CC1 ZINC000121208756 376782118 /nfs/dbraw/zinc/78/21/18/376782118.db2.gz HGEBJLORKVLTQQ-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C([C@H]1[C@@H]2CCCC[C@@H]21)N1CCc2c1cccc2[N+](=O)[O-] ZINC000266903727 284595755 /nfs/dbraw/zinc/59/57/55/284595755.db2.gz QFEBGLCUHJEYRV-HBYGRHMLSA-N 0 0 286.331 2.920 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CC=CCC2)cc([N+](=O)[O-])c1 ZINC000121593020 376866264 /nfs/dbraw/zinc/86/62/64/376866264.db2.gz QHEWNMDTZWMWQV-LBPRGKRZSA-N 0 0 274.320 2.989 20 5 CFBDRN COCCCCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000121686321 376883633 /nfs/dbraw/zinc/88/36/33/376883633.db2.gz XWSUOYBJPVAUNV-UHFFFAOYSA-N 0 0 260.240 2.712 20 5 CFBDRN CCCCNC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000121699677 376886809 /nfs/dbraw/zinc/88/68/09/376886809.db2.gz PFNRJCAVQRTQMQ-UHFFFAOYSA-N 0 0 275.308 2.535 20 5 CFBDRN Cc1csc(=O)n1CCOc1cccc(C)c1[N+](=O)[O-] ZINC000123978348 377002975 /nfs/dbraw/zinc/00/29/75/377002975.db2.gz YAGHWGWMNNMCSM-UHFFFAOYSA-N 0 0 294.332 2.514 20 5 CFBDRN Cc1cccn2cc(CNCc3cccc([N+](=O)[O-])c3)nc12 ZINC000124003791 377006400 /nfs/dbraw/zinc/00/64/00/377006400.db2.gz BORZMYSKMILBID-UHFFFAOYSA-N 0 0 296.330 2.841 20 5 CFBDRN COC(=O)c1ccc(COc2cccc(C)c2[N+](=O)[O-])o1 ZINC000124001221 377007276 /nfs/dbraw/zinc/00/72/76/377007276.db2.gz IDTPXRUCLDZXSJ-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1cccc(OCC(=O)N2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000124010102 377008162 /nfs/dbraw/zinc/00/81/62/377008162.db2.gz SWLJCBXVLUYHAU-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCC(F)F ZINC000125330660 377142200 /nfs/dbraw/zinc/14/22/00/377142200.db2.gz UDJABJCVUJSXKR-UHFFFAOYSA-N 0 0 253.208 2.820 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCCC[C@@H]21 ZINC000378093340 296254804 /nfs/dbraw/zinc/25/48/04/296254804.db2.gz XNCSALWUTCLINS-JSGCOSHPSA-N 0 0 274.320 3.000 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1F)N1CCC2(CCC2)C1 ZINC000375083731 296254825 /nfs/dbraw/zinc/25/48/25/296254825.db2.gz HWVJIZWPLDNGNH-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCN(CC(F)F)CC1 ZINC000127140236 377267464 /nfs/dbraw/zinc/26/74/64/377267464.db2.gz FZGFHVZSWQXBCH-LLVKDONJSA-N 0 0 299.321 2.539 20 5 CFBDRN CCOc1cc(NCc2cn(C)nc2C)ccc1[N+](=O)[O-] ZINC000125986354 377206176 /nfs/dbraw/zinc/20/61/76/377206176.db2.gz ONAJNMHDTVFFEG-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN C[C@@]1(O)CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000128440228 377337104 /nfs/dbraw/zinc/33/71/04/377337104.db2.gz QEDXCHUOTKNLOR-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000128444675 377337244 /nfs/dbraw/zinc/33/72/44/377337244.db2.gz KRJWAKKCCMNLPW-CMPLNLGQSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2ccc(F)cc2[N+](=O)[O-])O1 ZINC000127886518 377306387 /nfs/dbraw/zinc/30/63/87/377306387.db2.gz LHUPIEHSNFLXJT-SCZZXKLOSA-N 0 0 254.261 2.713 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000128997790 377370292 /nfs/dbraw/zinc/37/02/92/377370292.db2.gz PCYVTXQDFCVUIU-ZIAGYGMSSA-N 0 0 287.319 2.714 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@H](O)C2(C)C)ccc1[N+](=O)[O-] ZINC000129127933 377387581 /nfs/dbraw/zinc/38/75/81/377387581.db2.gz QZYAFKQUCLPBFX-OLZOCXBDSA-N 0 0 280.324 2.565 20 5 CFBDRN Cc1cc(=O)n(Cc2cccc3cccnc32)cc1[N+](=O)[O-] ZINC000128636690 377348325 /nfs/dbraw/zinc/34/83/25/377348325.db2.gz WQWXDHHEBDDAAA-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1cc2c(NCc3ccc([N+](=O)[O-])cc3)nccn2n1 ZINC000129189499 377396822 /nfs/dbraw/zinc/39/68/22/377396822.db2.gz RVKZPDHLIUYPLJ-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1C[C@H]2CC[C@@H]1O2 ZINC000129473414 377431572 /nfs/dbraw/zinc/43/15/72/377431572.db2.gz RNFGOYXQMLOAHU-MDZLAQPJSA-N 0 0 290.319 2.718 20 5 CFBDRN COC(=O)[C@@]1(C)CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000413593636 291667534 /nfs/dbraw/zinc/66/75/34/291667534.db2.gz NYYWDLRYDPFJKS-HNNXBMFYSA-N 0 0 292.335 2.683 20 5 CFBDRN CC[C@H](C)OCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000130071775 377508488 /nfs/dbraw/zinc/50/84/88/377508488.db2.gz ZFHIYXUBADPWAI-JTQLQIEISA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@@H](CCNc1ccc(Cl)cc1[N+](=O)[O-])[S@@](C)=O ZINC000130336543 377541434 /nfs/dbraw/zinc/54/14/34/377541434.db2.gz VYHRZDVLSIWYCP-ASCAZGACSA-N 0 0 290.772 2.817 20 5 CFBDRN CCC[C@@H]1CN(c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000130292937 377534830 /nfs/dbraw/zinc/53/48/30/377534830.db2.gz VAOHUFMNDXOJRR-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN COC(=O)[C@@]1(C)CN(c2cccc(C)c2[N+](=O)[O-])C[C@@H]1C ZINC000336903675 291675382 /nfs/dbraw/zinc/67/53/82/291675382.db2.gz SCVOLGJSRAMNER-NHYWBVRUSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C1 ZINC000130496965 377568160 /nfs/dbraw/zinc/56/81/60/377568160.db2.gz FBBRSAVPWDFXGE-QMMMGPOBSA-N 0 0 284.262 2.745 20 5 CFBDRN Cc1cc(C(=O)N2CC(C)(C)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000489528424 291682133 /nfs/dbraw/zinc/68/21/33/291682133.db2.gz HMFKZBIMGINJLG-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000456266489 284671351 /nfs/dbraw/zinc/67/13/51/284671351.db2.gz CTIOTHBPDBGHSD-MFKMUULPSA-N 0 0 292.360 2.917 20 5 CFBDRN Cc1ccc(C(=O)NCCc2ccncc2C)cc1[N+](=O)[O-] ZINC000131341732 377697742 /nfs/dbraw/zinc/69/77/42/377697742.db2.gz VRPZDZQDIKGSIE-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@@H]1CCN(C(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000131191105 377672353 /nfs/dbraw/zinc/67/23/53/377672353.db2.gz VUFJBDGCSOPMPB-GFCCVEGCSA-N 0 0 292.335 2.622 20 5 CFBDRN CCOC(=O)CCCOc1cccc(C)c1[N+](=O)[O-] ZINC000131195255 377675163 /nfs/dbraw/zinc/67/51/63/377675163.db2.gz BMWGCOMFRKRJJP-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN COc1cc(N2CCC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000131264607 377683993 /nfs/dbraw/zinc/68/39/93/377683993.db2.gz SCSVDDBOUVPESC-UHFFFAOYSA-N 0 0 262.309 2.984 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000264302284 383723113 /nfs/dbraw/zinc/72/31/13/383723113.db2.gz RTFBBEJVHFVURN-NOZJJQNGSA-N 0 0 287.319 2.995 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000132296367 377824590 /nfs/dbraw/zinc/82/45/90/377824590.db2.gz SWCLUKDVEBUWGI-OLZOCXBDSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])[C@H]1CCCO1 ZINC000132296564 377825822 /nfs/dbraw/zinc/82/58/22/377825822.db2.gz SWCLUKDVEBUWGI-QWHCGFSZSA-N 0 0 292.335 2.591 20 5 CFBDRN COc1cc(NCC2(CCO)CCC2)ccc1[N+](=O)[O-] ZINC000132695409 377867251 /nfs/dbraw/zinc/86/72/51/377867251.db2.gz IVEUNUCMNDCCIB-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCC(C)(C)C2)n1 ZINC000133004647 377894129 /nfs/dbraw/zinc/89/41/29/377894129.db2.gz JORRXKKGUDGQEX-SECBINFHSA-N 0 0 293.323 2.767 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000133001704 377894393 /nfs/dbraw/zinc/89/43/93/377894393.db2.gz NYGMSKXBZHACEY-ZOFUNIGCSA-N 0 0 268.700 2.980 20 5 CFBDRN CCC[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)CCO1 ZINC000132608804 377858027 /nfs/dbraw/zinc/85/80/27/377858027.db2.gz TWTBYNKZZXJYGW-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2ccc([N+](=O)[O-])cc2F)n[nH]1 ZINC000133512215 377935386 /nfs/dbraw/zinc/93/53/86/377935386.db2.gz UFNLPCMDFTZGKL-QMMMGPOBSA-N 0 0 278.287 2.809 20 5 CFBDRN C[C@@H](CO)Sc1c2ccccc2ncc1[N+](=O)[O-] ZINC000133095916 377903391 /nfs/dbraw/zinc/90/33/91/377903391.db2.gz HHDLVPLNFIUGKV-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@H]1C[C@@H](C)O ZINC000133155692 377909354 /nfs/dbraw/zinc/90/93/54/377909354.db2.gz IQBKZFJQXNRNDQ-NEPJUHHUSA-N 0 0 264.325 2.643 20 5 CFBDRN O=C(NC[C@H]1CCCS1)Nc1ccc([N+](=O)[O-])cc1 ZINC000134086222 377982026 /nfs/dbraw/zinc/98/20/26/377982026.db2.gz OGVWHVALWOPEJR-LLVKDONJSA-N 0 0 281.337 2.612 20 5 CFBDRN CSCC[C@@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000134322931 378001688 /nfs/dbraw/zinc/00/16/88/378001688.db2.gz YZFGYPLUODHEHC-LLVKDONJSA-N 0 0 296.392 2.737 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(CO)CCCC2)c1 ZINC000135110055 378083438 /nfs/dbraw/zinc/08/34/38/378083438.db2.gz NVWLVMXGJNKERW-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCCCCCO ZINC000134619461 378037995 /nfs/dbraw/zinc/03/79/95/378037995.db2.gz JBGSKWNGNPFJLV-UHFFFAOYSA-N 0 0 253.298 2.835 20 5 CFBDRN Cc1cc(C)n(CCCNc2nccc(C)c2[N+](=O)[O-])n1 ZINC000134679049 378042576 /nfs/dbraw/zinc/04/25/76/378042576.db2.gz XKKYWSMUYHZPOC-UHFFFAOYSA-N 0 0 289.339 2.614 20 5 CFBDRN COc1cccc(NCCc2ccccn2)c1[N+](=O)[O-] ZINC000134900243 378060973 /nfs/dbraw/zinc/06/09/73/378060973.db2.gz TYFFLEHXYKKXQB-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CCOc1cccc(NCc2cc(C)no2)c1[N+](=O)[O-] ZINC000134914922 378064294 /nfs/dbraw/zinc/06/42/94/378064294.db2.gz ULKPWZSPZWLYHA-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN CCOc1cccc(NCC2CCOCC2)c1[N+](=O)[O-] ZINC000134919350 378064333 /nfs/dbraw/zinc/06/43/33/378064333.db2.gz BXSOOZPWESRDCT-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000135300790 378113485 /nfs/dbraw/zinc/11/34/85/378113485.db2.gz SWSNIXPGEYGJBB-NXEZZACHSA-N 0 0 279.340 2.801 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NOCC(C)C)c1 ZINC000135322301 378117637 /nfs/dbraw/zinc/11/76/37/378117637.db2.gz DOPZOICJYUWZBJ-UHFFFAOYSA-N 0 0 284.337 2.634 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@H]1CCn2ccnc2C1 ZINC000432146248 383725548 /nfs/dbraw/zinc/72/55/48/383725548.db2.gz AAJPODNNSSFXQG-VIFPVBQESA-N 0 0 292.726 2.872 20 5 CFBDRN C[C@@H](C(=O)Nc1ccccc1[N+](=O)[O-])[N@H+](C)CCC1CC1 ZINC000135254316 378105664 /nfs/dbraw/zinc/10/56/64/378105664.db2.gz UERPCEJOHDGUTB-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1cc(C)n(CCCNc2ncc(C)cc2[N+](=O)[O-])n1 ZINC000135266570 378106646 /nfs/dbraw/zinc/10/66/46/378106646.db2.gz IFUSRJUMQJEMEB-UHFFFAOYSA-N 0 0 289.339 2.614 20 5 CFBDRN COC1(C)CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000271618280 284688130 /nfs/dbraw/zinc/68/81/30/284688130.db2.gz DIRCXMHTYKSJHC-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCc1ccncc1)CCN2 ZINC000278304154 193908563 /nfs/dbraw/zinc/90/85/63/193908563.db2.gz GWYOGOIJDQWEQP-UHFFFAOYSA-N 0 0 284.319 2.612 20 5 CFBDRN CC(C)N(C)C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149607530 378265017 /nfs/dbraw/zinc/26/50/17/378265017.db2.gz IFBSAPKXGNWVPR-UHFFFAOYSA-N 0 0 256.689 2.729 20 5 CFBDRN O=C(OC[C@H]1CCCCO1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149551215 378265941 /nfs/dbraw/zinc/26/59/41/378265941.db2.gz VGRQYDCJMGZGMS-SECBINFHSA-N 0 0 299.710 2.974 20 5 CFBDRN CCOc1cc(N[C@@H]2CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000150041006 378267433 /nfs/dbraw/zinc/26/74/33/378267433.db2.gz ZKPRIYCFHFGMSB-GXSJLCMTSA-N 0 0 266.297 2.583 20 5 CFBDRN CC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])c1ncc[nH]1 ZINC000139798345 378236074 /nfs/dbraw/zinc/23/60/74/378236074.db2.gz SZXZGJONIFJXQW-SECBINFHSA-N 0 0 261.285 2.585 20 5 CFBDRN O=C(NC1CCCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149091192 378262499 /nfs/dbraw/zinc/26/24/99/378262499.db2.gz WOYDFTJOLWBWEV-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCc2ccccc2C1 ZINC000151276390 378313583 /nfs/dbraw/zinc/31/35/83/378313583.db2.gz KWSFOUVFHLDPRM-UHFFFAOYSA-N 0 0 296.326 2.722 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151912436 378372867 /nfs/dbraw/zinc/37/28/67/378372867.db2.gz JPCBJQGWBBBTLA-LLVKDONJSA-N 0 0 262.309 2.538 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151931312 378373978 /nfs/dbraw/zinc/37/39/78/378373978.db2.gz XZRIEDBJOKEPDV-SMDDNHRTSA-N 0 0 276.336 2.832 20 5 CFBDRN O=C(c1ccoc1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000074017632 296276208 /nfs/dbraw/zinc/27/62/08/296276208.db2.gz CHRBMXJJNPDZOI-UHFFFAOYSA-N 0 0 272.260 2.781 20 5 CFBDRN CCCC1(CNC(=O)COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000152018729 378384900 /nfs/dbraw/zinc/38/49/00/378384900.db2.gz ZMPSERCGIXHMEY-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(CCC(F)(F)F)C2 ZINC000153075862 378472074 /nfs/dbraw/zinc/47/20/74/378472074.db2.gz DYPMFAPMDYACQC-UHFFFAOYSA-N 0 0 274.242 2.905 20 5 CFBDRN COc1ccc(CN2CCc3c(cccc3[N+](=O)[O-])C2)cn1 ZINC000153016486 378466678 /nfs/dbraw/zinc/46/66/78/378466678.db2.gz ORNYBCHOHKJQSH-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCCO1 ZINC000152642787 378434520 /nfs/dbraw/zinc/43/45/20/378434520.db2.gz URPSSQPWEMHDAL-UHFFFAOYSA-N 0 0 296.348 2.873 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000153748370 378507371 /nfs/dbraw/zinc/50/73/71/378507371.db2.gz PCAHSZSUKNYZOD-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000153866201 378518880 /nfs/dbraw/zinc/51/88/80/378518880.db2.gz MIKUKLBXTHZWPS-ZYHUDNBSSA-N 0 0 292.335 2.912 20 5 CFBDRN CN(CCC1CCCCC1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330419581 378550900 /nfs/dbraw/zinc/55/09/00/378550900.db2.gz YVSVIVIIFGOCDV-UHFFFAOYSA-N 0 0 279.340 2.965 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCn2cccc([N+](=O)[O-])c2=O)C1 ZINC000330431385 378553594 /nfs/dbraw/zinc/55/35/94/378553594.db2.gz IWLDUNXJMYHYJA-NEPJUHHUSA-N 0 0 264.325 2.973 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000330445051 378558034 /nfs/dbraw/zinc/55/80/34/378558034.db2.gz QTPHTSKIDOOWKB-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000330452143 378558779 /nfs/dbraw/zinc/55/87/79/378558779.db2.gz KIYAVLXGEOAEEM-YPMHNXCESA-N 0 0 294.351 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@H]2CCCC[C@@H]2O)nc1 ZINC000154488739 378570823 /nfs/dbraw/zinc/57/08/23/378570823.db2.gz KQZLSYGBPTWVLO-YPMHNXCESA-N 0 0 279.340 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@H]2CCCC[C@H]2O)nc1 ZINC000154488929 378571090 /nfs/dbraw/zinc/57/10/90/378571090.db2.gz KQZLSYGBPTWVLO-DGCLKSJQSA-N 0 0 279.340 2.733 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000330504966 378573552 /nfs/dbraw/zinc/57/35/52/378573552.db2.gz FQQIHBPERTZWRI-CQSZACIVSA-N 0 0 264.325 2.596 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330533482 378580718 /nfs/dbraw/zinc/58/07/18/378580718.db2.gz OCJLFEVRIXWZEX-RYUDHWBXSA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@]1(C)CCCS1 ZINC000154040563 378533740 /nfs/dbraw/zinc/53/37/40/378533740.db2.gz ANTYSNAKSTUNKD-AWEZNQCLSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1c(CC(=O)NC[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000331090381 378631134 /nfs/dbraw/zinc/63/11/34/378631134.db2.gz VOHDOFKSQRLCHH-YPMHNXCESA-N 0 0 290.363 2.998 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000331097503 378632988 /nfs/dbraw/zinc/63/29/88/378632988.db2.gz CXQKXHWGNLTOGS-MFKMUULPSA-N 0 0 294.376 2.976 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)c(C)n1 ZINC000154634410 378586387 /nfs/dbraw/zinc/58/63/87/378586387.db2.gz PWXLFBUODXHPNU-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000330991587 378609622 /nfs/dbraw/zinc/60/96/22/378609622.db2.gz SIVOMZSYXFZLSH-HNNXBMFYSA-N 0 0 292.335 2.622 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000331037408 378619255 /nfs/dbraw/zinc/61/92/55/378619255.db2.gz OEGWJNRWSPKVKQ-YGRLFVJLSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CO[C@@H](C)C1 ZINC000331268521 378660997 /nfs/dbraw/zinc/66/09/97/378660997.db2.gz SDBPYBBTXXDDIH-GXSJLCMTSA-N 0 0 278.308 2.575 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCC[C@@H]2c2nc[nH]n2)cs1 ZINC000331548447 378704269 /nfs/dbraw/zinc/70/42/69/378704269.db2.gz GOWZRTYXDRIYQV-SNVBAGLBSA-N 0 0 293.352 2.502 20 5 CFBDRN CCn1cc([C@H](C)NCc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000451888338 383731471 /nfs/dbraw/zinc/73/14/71/383731471.db2.gz ILWVHESQQNOVEU-JTQLQIEISA-N 0 0 292.314 2.801 20 5 CFBDRN CC[C@H]1CCN1Cc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000331990496 378718090 /nfs/dbraw/zinc/71/80/90/378718090.db2.gz PIPBDBLVMKCOCW-JTQLQIEISA-N 0 0 268.288 2.727 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000332129204 378728790 /nfs/dbraw/zinc/72/87/90/378728790.db2.gz JKCZQLZFUUCPAJ-APPZFPTMSA-N 0 0 268.700 2.634 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@@H](C3CC3)C2)n1 ZINC000160335837 378764059 /nfs/dbraw/zinc/76/40/59/378764059.db2.gz UHHLYJJAPKKCRB-WCQYABFASA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc(OCC(=O)N2CC[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000332476891 378768119 /nfs/dbraw/zinc/76/81/19/378768119.db2.gz OYBBOARQDMQKHX-ZDUSSCGKSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCc2cncnc2C1 ZINC000332510099 378770821 /nfs/dbraw/zinc/77/08/21/378770821.db2.gz HMZOCGHZXOYZPI-NSHDSACASA-N 0 0 284.319 2.504 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCc2cncnc2C1 ZINC000332510097 378771468 /nfs/dbraw/zinc/77/14/68/378771468.db2.gz HMZOCGHZXOYZPI-LLVKDONJSA-N 0 0 284.319 2.504 20 5 CFBDRN Cc1c(CC(=O)NC(C2CC2)C2CC2)cccc1[N+](=O)[O-] ZINC000160433652 378772260 /nfs/dbraw/zinc/77/22/60/378772260.db2.gz ZEUTZXYOPVZIDP-UHFFFAOYSA-N 0 0 288.347 2.751 20 5 CFBDRN CC(C)C[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000332616670 378781483 /nfs/dbraw/zinc/78/14/83/378781483.db2.gz DBPABHPKSBCBKQ-JTQLQIEISA-N 0 0 265.313 2.574 20 5 CFBDRN CC(C)OCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000160602417 378784759 /nfs/dbraw/zinc/78/47/59/378784759.db2.gz JHDSNPXKGQFLMD-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCc2ccccc2C1 ZINC000264366739 383731798 /nfs/dbraw/zinc/73/17/98/383731798.db2.gz AAIVWHZWGXHXNY-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN Cc1ccnc(CNc2nc(C)cc(C)c2[N+](=O)[O-])c1 ZINC000338763693 220254781 /nfs/dbraw/zinc/25/47/81/220254781.db2.gz XBJGBFKBGXFATH-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CC(C)(C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000161432317 378846496 /nfs/dbraw/zinc/84/64/96/378846496.db2.gz HRLRIIBFHZMEJP-VIFPVBQESA-N 0 0 270.304 2.943 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278522864 194026373 /nfs/dbraw/zinc/02/63/73/194026373.db2.gz ZDKLWZJJKUNBIR-SNVBAGLBSA-N 0 0 293.367 2.772 20 5 CFBDRN COCCCCC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161826815 378874074 /nfs/dbraw/zinc/87/40/74/378874074.db2.gz YIUWGIKVULEKED-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN CC(C)N(CC(F)F)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000161878131 378878883 /nfs/dbraw/zinc/87/88/83/378878883.db2.gz OLVMKYLDMJKVAU-UHFFFAOYSA-N 0 0 286.278 2.639 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCCC[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000278541978 194033942 /nfs/dbraw/zinc/03/39/42/194033942.db2.gz HJZFSNZJCPXTGM-NSHDSACASA-N 0 0 294.355 2.531 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000162604863 378920602 /nfs/dbraw/zinc/92/06/02/378920602.db2.gz HVRDZOBONONHPZ-MFKMUULPSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1cccc(C(=O)N2CC(C(F)(F)F)C2)c1[N+](=O)[O-] ZINC000334203800 378920840 /nfs/dbraw/zinc/92/08/40/378920840.db2.gz RHWMVHBOMFXZEB-UHFFFAOYSA-N 0 0 288.225 2.538 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000334231568 378956785 /nfs/dbraw/zinc/95/67/85/378956785.db2.gz LNPQWYOXRLWIOL-UWVGGRQHSA-N 0 0 287.319 2.947 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC(C2CCC2)C1 ZINC000334254861 378987415 /nfs/dbraw/zinc/98/74/15/378987415.db2.gz DUJTYSUQYBZBDX-UHFFFAOYSA-N 0 0 266.322 2.528 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@@H]3CCCC[C@H]32)ccc1[N+](=O)[O-] ZINC000334262332 378997851 /nfs/dbraw/zinc/99/78/51/378997851.db2.gz ZJOYIORJQXGIJS-NWDGAFQWSA-N 0 0 291.351 2.728 20 5 CFBDRN Cn1c(C(=O)N2CCCC23CCCCC3)ccc1[N+](=O)[O-] ZINC000334279187 379025244 /nfs/dbraw/zinc/02/52/44/379025244.db2.gz UZKLAFXIURKNAP-UHFFFAOYSA-N 0 0 291.351 2.872 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC12CCC2 ZINC000335281481 379020640 /nfs/dbraw/zinc/02/06/40/379020640.db2.gz QLUGPVXZXZNRFT-UHFFFAOYSA-N 0 0 264.256 2.503 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2CCC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000278652597 194084689 /nfs/dbraw/zinc/08/46/89/194084689.db2.gz BEFNVPQQRGQAJP-ZDUSSCGKSA-N 0 0 293.319 2.904 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000335290299 379032428 /nfs/dbraw/zinc/03/24/28/379032428.db2.gz XNWUWPUYXZUZNJ-GFCCVEGCSA-N 0 0 286.690 2.822 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@H](F)C1 ZINC000334291586 379043308 /nfs/dbraw/zinc/04/33/08/379043308.db2.gz MTKLPBMDVGKHLW-WCBMZHEXSA-N 0 0 267.260 2.607 20 5 CFBDRN C[C@@H](Nc1c2ccccc2ncc1[N+](=O)[O-])[C@H](C)CO ZINC000167220122 379068277 /nfs/dbraw/zinc/06/82/77/379068277.db2.gz ATCTWRXKBKWJBZ-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000167220024 379068766 /nfs/dbraw/zinc/06/87/66/379068766.db2.gz ATCTWRXKBKWJBZ-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000167237955 379068796 /nfs/dbraw/zinc/06/87/96/379068796.db2.gz JSNYDHPNRQJPEB-JGVFFNPUSA-N 0 0 258.705 2.677 20 5 CFBDRN Cc1cccc(NCc2ccc(C)n(C)c2=O)c1[N+](=O)[O-] ZINC000278723047 194122109 /nfs/dbraw/zinc/12/21/09/194122109.db2.gz KIMYESDYMVORQB-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000335340932 379122907 /nfs/dbraw/zinc/12/29/07/379122907.db2.gz UWKCOVOVMDVMEC-AOOOYVTPSA-N 0 0 295.364 2.953 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CC[C@H](F)C1 ZINC000335344456 379127754 /nfs/dbraw/zinc/12/77/54/379127754.db2.gz ASTRKTNPFJJXLK-JGVFFNPUSA-N 0 0 285.250 2.746 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335335461 379113383 /nfs/dbraw/zinc/11/33/83/379113383.db2.gz GFWQEPXPFUXBTQ-GJZGRUSLSA-N 0 0 288.347 2.772 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2nnc(C(C)C)o2)c1 ZINC000170697454 379144253 /nfs/dbraw/zinc/14/42/53/379144253.db2.gz NLUKAZITWKHLJP-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN Cc1ncc(CN(C)c2ncc([N+](=O)[O-])cc2C)s1 ZINC000170981903 379205632 /nfs/dbraw/zinc/20/56/32/379205632.db2.gz WLDCGSLNPPGSSQ-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000278821825 194170042 /nfs/dbraw/zinc/17/00/42/194170042.db2.gz PPRQFQQRKKNSMT-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1Cc2ccccc21 ZINC000334396550 379222723 /nfs/dbraw/zinc/22/27/23/379222723.db2.gz BZQONRZXCAGCQB-AWEZNQCLSA-N 0 0 268.272 2.873 20 5 CFBDRN Cc1sc(C(=O)N(C)[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000335390226 379207213 /nfs/dbraw/zinc/20/72/13/379207213.db2.gz UZBZNFLPZSDPSI-SNVBAGLBSA-N 0 0 268.338 2.835 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H](F)C2)c1 ZINC000335407532 379234779 /nfs/dbraw/zinc/23/47/79/379234779.db2.gz HARDYIVAAWGKJJ-GHMZBOCLSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(CO)CC3(CCC3)C2)n1 ZINC000278862680 194187660 /nfs/dbraw/zinc/18/76/60/194187660.db2.gz MXXLFDXQXRKUMN-UHFFFAOYSA-N 0 0 291.351 2.653 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000334406030 379243045 /nfs/dbraw/zinc/24/30/45/379243045.db2.gz JQVUBTTWVQONTA-PRHODGIISA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000334409231 379247336 /nfs/dbraw/zinc/24/73/36/379247336.db2.gz LWUZIPWJOGEEEI-QWRGUYRKSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC[C@@H](F)C1 ZINC000335416903 379254343 /nfs/dbraw/zinc/25/43/43/379254343.db2.gz ITNGNXIZOHIKTG-MNOVXSKESA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@@H](F)C1 ZINC000335418422 379258506 /nfs/dbraw/zinc/25/85/06/379258506.db2.gz NKNSICXGOTUGRX-MNOVXSKESA-N 0 0 280.299 2.914 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])cn2C)CC12CCC2 ZINC000334426902 379278521 /nfs/dbraw/zinc/27/85/21/379278521.db2.gz WJCQADRXWKLXSQ-LLVKDONJSA-N 0 0 291.351 2.586 20 5 CFBDRN CCCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000171486825 379320500 /nfs/dbraw/zinc/32/05/00/379320500.db2.gz MGKQMXMZXWKKAZ-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC[C@@H]2CCCC[C@@H]21 ZINC000335439111 379297087 /nfs/dbraw/zinc/29/70/87/379297087.db2.gz DWDJETBSNRUGBQ-JSGCOSHPSA-N 0 0 288.347 2.928 20 5 CFBDRN C[C@@H]1CCN(C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])C1 ZINC000334479430 379372319 /nfs/dbraw/zinc/37/23/19/379372319.db2.gz SUOFRQUYLRCTEU-LLVKDONJSA-N 0 0 276.336 2.741 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000334483562 379378321 /nfs/dbraw/zinc/37/83/21/379378321.db2.gz ZCNFFHZOUQJHIU-WDEREUQCSA-N 0 0 262.309 2.994 20 5 CFBDRN CC(C)[C@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000171927315 379441226 /nfs/dbraw/zinc/44/12/26/379441226.db2.gz VEABPDPNIFZGFW-JTQLQIEISA-N 0 0 250.298 2.503 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000171832035 379416472 /nfs/dbraw/zinc/41/64/72/379416472.db2.gz RMPFJYSXEPOYLU-NSHDSACASA-N 0 0 279.340 2.782 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000171874671 379424883 /nfs/dbraw/zinc/42/48/83/379424883.db2.gz PTYXGXBROCQXDO-JTQLQIEISA-N 0 0 298.314 2.617 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000171875217 379428153 /nfs/dbraw/zinc/42/81/53/379428153.db2.gz MZBNYBMVTNGRFN-NSHDSACASA-N 0 0 293.367 2.779 20 5 CFBDRN CN(C[C@H]1CCC[C@@H]1O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432324526 383737167 /nfs/dbraw/zinc/73/71/67/383737167.db2.gz WGNCFIKRVBOLAR-SKDRFNHKSA-N 0 0 284.743 2.845 20 5 CFBDRN O=C(c1cc[nH]c1)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334542329 379491662 /nfs/dbraw/zinc/49/16/62/379491662.db2.gz DFNAENSRKNLLQF-AWEZNQCLSA-N 0 0 299.330 2.943 20 5 CFBDRN C[C@@H](NC(=O)COc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000172100376 379494561 /nfs/dbraw/zinc/49/45/61/379494561.db2.gz IHAZKSUSFWAILO-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@H](NC(=O)COc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000172105526 379495397 /nfs/dbraw/zinc/49/53/97/379495397.db2.gz GWIVTKPGZIMMLJ-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000172481630 379578497 /nfs/dbraw/zinc/57/84/97/379578497.db2.gz HNPXRNANNAIQMK-LBPRGKRZSA-N 0 0 260.293 2.742 20 5 CFBDRN COc1ccc(CN2CCSC[C@@H]2C)cc1[N+](=O)[O-] ZINC000172590129 379601050 /nfs/dbraw/zinc/60/10/50/379601050.db2.gz AGIRGJOPOTXJTQ-JTQLQIEISA-N 0 0 282.365 2.541 20 5 CFBDRN CCCCCOCC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172420890 379564376 /nfs/dbraw/zinc/56/43/76/379564376.db2.gz GYNHTEGBZWAVTR-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN CC(=O)OCCCSc1ncc(Cl)cc1[N+](=O)[O-] ZINC000278999219 194246398 /nfs/dbraw/zinc/24/63/98/194246398.db2.gz FPZOGWZELHESMT-UHFFFAOYSA-N 0 0 290.728 2.689 20 5 CFBDRN Cc1cc(NC(=O)[C@]2(C)C[C@H]2F)ccc1[N+](=O)[O-] ZINC000335617014 379608419 /nfs/dbraw/zinc/60/84/19/379608419.db2.gz LLDPUADBBVYEOV-ZYHUDNBSSA-N 0 0 252.245 2.590 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CS[C@@H](C)C1 ZINC000335628033 379623790 /nfs/dbraw/zinc/62/37/90/379623790.db2.gz JQRSITCKGCBHES-UWVGGRQHSA-N 0 0 295.364 2.919 20 5 CFBDRN CC(C)N(C(=O)Cc1cccc([N+](=O)[O-])c1)C(C)C ZINC000172976205 379681100 /nfs/dbraw/zinc/68/11/00/379681100.db2.gz CAIYCKHJNVEZJF-UHFFFAOYSA-N 0 0 264.325 2.783 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCCOCC(C)C)c1 ZINC000172983519 379681373 /nfs/dbraw/zinc/68/13/73/379681373.db2.gz BXLKVHLLDJKOLB-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CC1=CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)CC1 ZINC000334672797 379745502 /nfs/dbraw/zinc/74/55/02/379745502.db2.gz JLQHYTGXKGEUEX-UHFFFAOYSA-N 0 0 285.303 2.868 20 5 CFBDRN COC[C@H](C)SCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000173895277 379795450 /nfs/dbraw/zinc/79/54/50/379795450.db2.gz ZXTSOZAMQOKIGA-VIFPVBQESA-N 0 0 299.348 2.729 20 5 CFBDRN Cc1ccn(Cc2ccc(Cl)cc2F)c(=O)c1[N+](=O)[O-] ZINC000335729599 379796107 /nfs/dbraw/zinc/79/61/07/379796107.db2.gz ZYECEPTXNBAEMQ-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CCOCCCCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000173914042 379799709 /nfs/dbraw/zinc/79/97/09/379799709.db2.gz LYKIZUARDAXBCH-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN CN(C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C1CCC1 ZINC000335734719 379803134 /nfs/dbraw/zinc/80/31/34/379803134.db2.gz ALRRATMUXHYJLY-UHFFFAOYSA-N 0 0 267.260 2.750 20 5 CFBDRN O=C(CCC(F)(F)F)N1CCc2c1cccc2[N+](=O)[O-] ZINC000173619985 379758714 /nfs/dbraw/zinc/75/87/14/379758714.db2.gz ZQKZKUOMXNTDPL-UHFFFAOYSA-N 0 0 288.225 2.826 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cc(F)ccc1Cl ZINC000335709963 379761193 /nfs/dbraw/zinc/76/11/93/379761193.db2.gz QCAYBHXHCUYUCF-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000335752883 379833094 /nfs/dbraw/zinc/83/30/94/379833094.db2.gz WYVROURBSPPPRR-GHMZBOCLSA-N 0 0 276.336 2.882 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)Nc3cccc([N+](=O)[O-])c3)C[C@@H]21 ZINC000174180337 379840528 /nfs/dbraw/zinc/84/05/28/379840528.db2.gz SCOKZSFKRBPXIE-TXEJJXNPSA-N 0 0 275.308 2.715 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@H](C(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000335758506 379842417 /nfs/dbraw/zinc/84/24/17/379842417.db2.gz YOQXAVZQQQPRGP-NSHDSACASA-N 0 0 293.367 2.832 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@H]2CCC[C@@H]21 ZINC000174290469 379859909 /nfs/dbraw/zinc/85/99/09/379859909.db2.gz IVXJUDZUMYNYEC-HIFRSBDPSA-N 0 0 288.347 2.928 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC12CCCC2 ZINC000334765290 379909357 /nfs/dbraw/zinc/90/93/57/379909357.db2.gz GDQLUXMVVIYFFW-CYBMUJFWSA-N 0 0 291.351 2.964 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000334803634 379924596 /nfs/dbraw/zinc/92/45/96/379924596.db2.gz LHEVZQXSSJCJTK-SNVBAGLBSA-N 0 0 285.303 2.525 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335783682 379881896 /nfs/dbraw/zinc/88/18/96/379881896.db2.gz NZEIHLZXYFCNOM-SECBINFHSA-N 0 0 266.272 2.606 20 5 CFBDRN Cc1cccc(C(=O)N(C)[C@@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000335785811 379885700 /nfs/dbraw/zinc/88/57/00/379885700.db2.gz LHBNWGIMQKHSRY-LLVKDONJSA-N 0 0 262.309 2.774 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000174939568 379965987 /nfs/dbraw/zinc/96/59/87/379965987.db2.gz ISWDQLRIRXBHAV-CYBMUJFWSA-N 0 0 276.336 2.832 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000174960673 379969859 /nfs/dbraw/zinc/96/98/59/379969859.db2.gz IQNWIGBPNANFPP-CYBMUJFWSA-N 0 0 292.335 2.669 20 5 CFBDRN COc1cc(NC[C@@H](O)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000174725297 379932399 /nfs/dbraw/zinc/93/23/99/379932399.db2.gz WIONQEXAUAMHPA-CQSZACIVSA-N 0 0 294.351 2.957 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)CCS1 ZINC000174765425 379936352 /nfs/dbraw/zinc/93/63/52/379936352.db2.gz HEEJQXSFXPYFNL-GFCCVEGCSA-N 0 0 294.376 2.871 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CCS1 ZINC000174767080 379936401 /nfs/dbraw/zinc/93/64/01/379936401.db2.gz QYUSMDRFQJEWPR-GFCCVEGCSA-N 0 0 294.376 2.871 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000288245352 197034902 /nfs/dbraw/zinc/03/49/02/197034902.db2.gz JCPYFTPJRAMANN-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN Cc1ccc(N2CCCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000175082488 379991693 /nfs/dbraw/zinc/99/16/93/379991693.db2.gz DSPSYIUFMZAFRK-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cnc(OCC(=O)c2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000175140454 380005106 /nfs/dbraw/zinc/00/51/06/380005106.db2.gz FBBCPPFYMQJQDE-UHFFFAOYSA-N 0 0 290.250 2.699 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000175167907 380011566 /nfs/dbraw/zinc/01/15/66/380011566.db2.gz QOMKXDLRGURETM-NEPJUHHUSA-N 0 0 291.351 2.925 20 5 CFBDRN CC(=O)[C@@H](C)S[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000175188734 380016162 /nfs/dbraw/zinc/01/61/62/380016162.db2.gz VWWMRUYFDGHYFB-ZJUUUORDSA-N 0 0 296.348 2.633 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000335878631 380039624 /nfs/dbraw/zinc/03/96/24/380039624.db2.gz JAZLAZOHQOGTNV-HTQZYQBOSA-N 0 0 268.700 2.871 20 5 CFBDRN Cc1cc(C(=O)N(C)C2CCCC2)c(N)c([N+](=O)[O-])c1 ZINC000175866846 380145789 /nfs/dbraw/zinc/14/57/89/380145789.db2.gz GGLGXNWGWAYUEM-UHFFFAOYSA-N 0 0 277.324 2.500 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCCC1CCOCC1 ZINC000279037000 194258905 /nfs/dbraw/zinc/25/89/05/194258905.db2.gz FLAWYYPDENNZSA-UHFFFAOYSA-N 0 0 266.297 2.575 20 5 CFBDRN C[C@@H](N(C)C(=O)c1cccc([N+](=O)[O-])c1)C1(C)CC1 ZINC000175597300 380096844 /nfs/dbraw/zinc/09/68/44/380096844.db2.gz ZCLLNQLJVMMRAC-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1ccc(O)c(NC(=O)Cc2cccc([N+](=O)[O-])c2)c1 ZINC000175608728 380098466 /nfs/dbraw/zinc/09/84/66/380098466.db2.gz YMXJJRSVDYHDEP-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000335261441 380099415 /nfs/dbraw/zinc/09/94/15/380099415.db2.gz JZSASNHJGZAELO-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@H](N(C)C(=O)Cc1ccccc1[N+](=O)[O-])C1(C)CC1 ZINC000175619813 380101648 /nfs/dbraw/zinc/10/16/48/380101648.db2.gz UBSPZMJZLLDHEV-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H](N(C)C(=O)c1ccc([N+](=O)[O-])s1)C1(C)CC1 ZINC000175630972 380103760 /nfs/dbraw/zinc/10/37/60/380103760.db2.gz AWBARUUVHCFIGY-MRVPVSSYSA-N 0 0 268.338 2.917 20 5 CFBDRN O=C(N[C@H]1CC[C@H](F)C1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000335957811 380161000 /nfs/dbraw/zinc/16/10/00/380161000.db2.gz YJKYYRANNAIGGG-IUCAKERBSA-N 0 0 286.690 2.869 20 5 CFBDRN CSc1cccc(C(=O)N2C[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000335972013 380178198 /nfs/dbraw/zinc/17/81/98/380178198.db2.gz QXQRZYOWFBDMQF-DTWKUNHWSA-N 0 0 280.349 2.797 20 5 CFBDRN Cc1cc(C)c(C(=O)NCCc2ccc([N+](=O)[O-])cc2)o1 ZINC000176130563 380183705 /nfs/dbraw/zinc/18/37/05/380183705.db2.gz JTFMNPUUPINKKH-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN Cc1cc(C)cc(N(C)CCn2ccc([N+](=O)[O-])n2)c1 ZINC000176214270 380197428 /nfs/dbraw/zinc/19/74/28/380197428.db2.gz PSNQUEMYUMOXBJ-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000336101780 380268568 /nfs/dbraw/zinc/26/85/68/380268568.db2.gz JITXOMWKVHNFAX-VIFPVBQESA-N 0 0 284.262 2.712 20 5 CFBDRN CC(C)C[C@@H](C)N(C)C(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000176821313 380275432 /nfs/dbraw/zinc/27/54/32/380275432.db2.gz MOLVXPWBIBJVMJ-GFCCVEGCSA-N 0 0 293.367 2.900 20 5 CFBDRN COc1ccc(CNc2ncccc2F)cc1[N+](=O)[O-] ZINC000176836005 380277760 /nfs/dbraw/zinc/27/77/60/380277760.db2.gz TVBUIJYVKAGMGH-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN O=C([C@H]1C[C@H]1C1CC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000177416369 380340530 /nfs/dbraw/zinc/34/05/30/380340530.db2.gz JMTOMZPEJWCBDD-RYUDHWBXSA-N 0 0 272.304 2.530 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000336445620 380348165 /nfs/dbraw/zinc/34/81/65/380348165.db2.gz HZTYSRKSDOBKKE-NXEZZACHSA-N 0 0 287.319 2.947 20 5 CFBDRN CCOc1cc(N2CCO[C@H](CC)C2)ccc1[N+](=O)[O-] ZINC000178281465 380430386 /nfs/dbraw/zinc/43/03/86/380430386.db2.gz VJPBBFILMCHEDR-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000178391559 380446948 /nfs/dbraw/zinc/44/69/48/380446948.db2.gz DPCCADIUPZYUOL-MRVPVSSYSA-N 0 0 264.281 2.588 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CC[C@H]2CCC[C@@H]21 ZINC000336548231 380450590 /nfs/dbraw/zinc/45/05/90/380450590.db2.gz UAHNQHWRTXLLQQ-YPMHNXCESA-N 0 0 289.335 2.679 20 5 CFBDRN O=C(N[C@H]1CCCC(F)(F)C1)c1ccc([N+](=O)[O-])s1 ZINC000336579899 380498223 /nfs/dbraw/zinc/49/82/23/380498223.db2.gz NYUCYJBHPYMBFA-ZETCQYMHSA-N 0 0 290.291 2.964 20 5 CFBDRN COCC1=CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 ZINC000162552666 284832491 /nfs/dbraw/zinc/83/24/91/284832491.db2.gz PGZJUHIMCAUONV-UHFFFAOYSA-N 0 0 290.319 2.580 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000178611031 380470631 /nfs/dbraw/zinc/47/06/31/380470631.db2.gz YMCOWSWKQKMOMN-WDEREUQCSA-N 0 0 277.324 2.535 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1[O-])N1C[C@@H]2CCCC[C@H]2C1 ZINC000179538355 380554733 /nfs/dbraw/zinc/55/47/33/380554733.db2.gz HMQYDWQNAHCAKN-QWRGUYRKSA-N 0 0 290.319 2.563 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1c([N+](=O)[O-])nc(C)n1CC ZINC000336794041 380641259 /nfs/dbraw/zinc/64/12/59/380641259.db2.gz ZKMHRIOSLXIUJP-BDAKNGLRSA-N 0 0 254.334 2.966 20 5 CFBDRN Cc1nc(C)c(CNc2ccc([N+](=O)[O-])nc2)s1 ZINC000336796651 380645121 /nfs/dbraw/zinc/64/51/21/380645121.db2.gz UVXHGONJXJXZLV-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1cnc(OCc2ccccc2N(C)C)c([N+](=O)[O-])c1 ZINC000179902378 380593043 /nfs/dbraw/zinc/59/30/43/380593043.db2.gz JKRHUFRLVJQPPB-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN Cc1nccn1CCCSc1ncccc1[N+](=O)[O-] ZINC000342711297 220276230 /nfs/dbraw/zinc/27/62/30/220276230.db2.gz WWHZIOJGUMMFKC-UHFFFAOYSA-N 0 0 278.337 2.677 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1cncn1C ZINC000336811800 380671712 /nfs/dbraw/zinc/67/17/12/380671712.db2.gz OOMDLSSUHDCFDT-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCC[C@H]1CCO ZINC000336811748 380671940 /nfs/dbraw/zinc/67/19/40/380671940.db2.gz OIPMBCCLQSNKDK-LBPRGKRZSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCCC2CCOCC2)c1 ZINC000336814799 380676236 /nfs/dbraw/zinc/67/62/36/380676236.db2.gz YCYHZNLQZFRJTC-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)CC(F)(F)F ZINC000336815246 380676601 /nfs/dbraw/zinc/67/66/01/380676601.db2.gz ZJOIMQYSQNUZIK-ZCFIWIBFSA-N 0 0 280.250 2.872 20 5 CFBDRN CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000180711352 380692984 /nfs/dbraw/zinc/69/29/84/380692984.db2.gz AUAJLCMSKJHCDC-UHFFFAOYSA-N 0 0 280.349 2.988 20 5 CFBDRN Cc1cc(NC(=O)c2c(N)cccc2[N+](=O)[O-])ccc1F ZINC000181037380 380728069 /nfs/dbraw/zinc/72/80/69/380728069.db2.gz HCVXDOGWRCMWTE-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CO[C@@H](C)CCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000181101596 380739846 /nfs/dbraw/zinc/73/98/46/380739846.db2.gz GHJNUZBBGNHRDV-QWRGUYRKSA-N 0 0 280.324 2.587 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCC1CCCC1 ZINC000279170327 194315766 /nfs/dbraw/zinc/31/57/66/194315766.db2.gz AASLJJVFFQOPSZ-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CC(C)CC(C)(C)CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000181172230 380751972 /nfs/dbraw/zinc/75/19/72/380751972.db2.gz OBTAUDPORSLIOC-UHFFFAOYSA-N 0 0 293.367 2.979 20 5 CFBDRN COC1(CNC(=O)c2cc(C)ccc2[N+](=O)[O-])CCCC1 ZINC000295344010 380800700 /nfs/dbraw/zinc/80/07/00/380800700.db2.gz MROZNXIYIIMQOM-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCOC1CC(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000287338684 380808095 /nfs/dbraw/zinc/80/80/95/380808095.db2.gz GTOBKETUZUROHC-UHFFFAOYSA-N 0 0 292.335 2.965 20 5 CFBDRN CC(C)(C)[S@](=O)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000287384094 380819007 /nfs/dbraw/zinc/81/90/07/380819007.db2.gz RGMRVOUJICHVSW-LJQANCHMSA-N 0 0 288.344 2.693 20 5 CFBDRN COCCCCC(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181290852 380770742 /nfs/dbraw/zinc/77/07/42/380770742.db2.gz KTZZWTOJFWHLCX-UHFFFAOYSA-N 0 0 281.308 2.763 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H](C)CC2CC2)cccc1[N+](=O)[O-] ZINC000358479915 380870934 /nfs/dbraw/zinc/87/09/34/380870934.db2.gz QEKQRWZWSLOAJW-JTQLQIEISA-N 0 0 291.351 2.891 20 5 CFBDRN COCCC(C)(C)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000353267063 284851667 /nfs/dbraw/zinc/85/16/67/284851667.db2.gz OLLKHCGGIKQVPB-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])C12CCC2 ZINC000287469634 380837109 /nfs/dbraw/zinc/83/71/09/380837109.db2.gz WUCVJTCPXHNECS-UONOGXRCSA-N 0 0 291.351 2.937 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCn2cnc3c2CCCC3)cc1 ZINC000295418259 380840857 /nfs/dbraw/zinc/84/08/57/380840857.db2.gz XWMUGRATBBSDDL-UHFFFAOYSA-N 0 0 287.319 2.749 20 5 CFBDRN COc1ccc(NC(=O)CCCOC(C)C)c([N+](=O)[O-])c1 ZINC000181627764 380846089 /nfs/dbraw/zinc/84/60/89/380846089.db2.gz VVUUUROPFHQBKU-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN CCOC(=O)[C@@H](NCc1ccccc1[N+](=O)[O-])[C@@H](C)CC ZINC000181648320 380853733 /nfs/dbraw/zinc/85/37/33/380853733.db2.gz MYWATFZJYZLJIZ-FZMZJTMJSA-N 0 0 294.351 2.662 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCOCC1CC1 ZINC000287520520 380854296 /nfs/dbraw/zinc/85/42/96/380854296.db2.gz VFXOAHBIBBKABP-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CC(C2CCC2)C1 ZINC000341269344 380884898 /nfs/dbraw/zinc/88/48/98/380884898.db2.gz CGOWHLPKKLRODF-UHFFFAOYSA-N 0 0 292.310 2.535 20 5 CFBDRN COc1ccncc1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000353846473 380897947 /nfs/dbraw/zinc/89/79/47/380897947.db2.gz NNYJHXBPKCJTTF-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1nn(Cc2nc(C(C)(C)C)cs2)cc1[N+](=O)[O-] ZINC000181825922 380908018 /nfs/dbraw/zinc/90/80/18/380908018.db2.gz MPHJKPSYZHVOLE-UHFFFAOYSA-N 0 0 280.353 2.902 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCSCC2)n1 ZINC000408171333 380916069 /nfs/dbraw/zinc/91/60/69/380916069.db2.gz HYDZODBQLIUPFU-UHFFFAOYSA-N 0 0 267.354 2.550 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCCCO2)n1 ZINC000408292103 380920353 /nfs/dbraw/zinc/92/03/53/380920353.db2.gz RAPSALPLPGZWLT-UHFFFAOYSA-N 0 0 251.286 2.529 20 5 CFBDRN CSCCCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000341303917 380920907 /nfs/dbraw/zinc/92/09/07/380920907.db2.gz ORVYSMOQJJXOBB-JTQLQIEISA-N 0 0 282.365 2.915 20 5 CFBDRN CN(C)c1cccc(CNC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000353876271 380921698 /nfs/dbraw/zinc/92/16/98/380921698.db2.gz XWMMGNSSXVKFKH-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC3(C2)CCCC3)n1 ZINC000408363623 380922526 /nfs/dbraw/zinc/92/25/26/380922526.db2.gz YPPPFTAZJFWIQF-UHFFFAOYSA-N 0 0 261.325 2.987 20 5 CFBDRN CC[C@H](C)CCc1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000347152477 380970883 /nfs/dbraw/zinc/97/08/83/380970883.db2.gz WEKWVLSOCTZDDG-QMMMGPOBSA-N 0 0 293.327 2.665 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCOC[C@H]1C ZINC000287918710 380972209 /nfs/dbraw/zinc/97/22/09/380972209.db2.gz WMUXRNICNGNBSK-RNCFNFMXSA-N 0 0 278.308 2.634 20 5 CFBDRN Cc1nn(C)c(NCc2cccc3cc[nH]c32)c1[N+](=O)[O-] ZINC000341369766 380972278 /nfs/dbraw/zinc/97/22/78/380972278.db2.gz LMZZXEOLSYALJX-UHFFFAOYSA-N 0 0 285.307 2.730 20 5 CFBDRN Cc1nscc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000182040192 380973891 /nfs/dbraw/zinc/97/38/91/380973891.db2.gz HLSMDVDFYCZIIZ-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC1 ZINC000279285893 194367390 /nfs/dbraw/zinc/36/73/90/194367390.db2.gz XLDHEUIIFZKRNG-SECBINFHSA-N 0 0 295.314 2.578 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NN2CCCCC2)cc1[N+](=O)[O-] ZINC000295550233 380933554 /nfs/dbraw/zinc/93/35/54/380933554.db2.gz PIIPJFNSSQFWPO-UHFFFAOYSA-N 0 0 297.742 2.687 20 5 CFBDRN CC(C)c1ccc(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000347096775 380934855 /nfs/dbraw/zinc/93/48/55/380934855.db2.gz SVHRWBKELVMIEO-UHFFFAOYSA-N 0 0 287.319 2.976 20 5 CFBDRN CC[C@@H]1CN(c2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000288433262 381013060 /nfs/dbraw/zinc/01/30/60/381013060.db2.gz OKRSDASQPPJICI-SNVBAGLBSA-N 0 0 267.354 2.509 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000182120342 380994604 /nfs/dbraw/zinc/99/46/04/380994604.db2.gz FAETXKNUUWJDRG-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCC(C)(C)C ZINC000279303362 194376564 /nfs/dbraw/zinc/37/65/64/194376564.db2.gz MIFCLRXALZBMLP-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN COc1ccc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000295659589 381002086 /nfs/dbraw/zinc/00/20/86/381002086.db2.gz BNYQNBFLNHZWJG-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000288063731 381003177 /nfs/dbraw/zinc/00/31/77/381003177.db2.gz YJFOHRXCSLVWAA-LLVKDONJSA-N 0 0 282.315 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CCCOC2)c1 ZINC000295666577 381006093 /nfs/dbraw/zinc/00/60/93/381006093.db2.gz JDEDSIWJEUENOX-NSHDSACASA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])n[nH]2)c1 ZINC000354056479 381049477 /nfs/dbraw/zinc/04/94/77/381049477.db2.gz INTDTHMKMYPMTA-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](CO)c1ccc(F)cc1 ZINC000341500687 381050941 /nfs/dbraw/zinc/05/09/41/381050941.db2.gz MTHLFJCKWHWOMY-ZDUSSCGKSA-N 0 0 276.267 2.879 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)NC1CCOCC1 ZINC000289575925 381053453 /nfs/dbraw/zinc/05/34/53/381053453.db2.gz HBLNJMHWINDGNW-UHFFFAOYSA-N 0 0 299.714 2.549 20 5 CFBDRN O=C(NCC1=CCCCC1)c1csc([N+](=O)[O-])c1 ZINC000295778214 381069205 /nfs/dbraw/zinc/06/92/05/381069205.db2.gz KTKLGLGEKSGVMK-UHFFFAOYSA-N 0 0 266.322 2.887 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC(CF)CC1 ZINC000295718501 381032674 /nfs/dbraw/zinc/03/26/74/381032674.db2.gz KKYVQLSNRWXLFB-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000182238422 381034071 /nfs/dbraw/zinc/03/40/71/381034071.db2.gz CFJONJZYJQHGCZ-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN CN(Cc1cc[nH]n1)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000341473725 381035568 /nfs/dbraw/zinc/03/55/68/381035568.db2.gz NBOZXVQVGQAMEK-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN CCCCN(CCCC)c1c([N+](=O)[O-])ncn1C ZINC000354035219 381036495 /nfs/dbraw/zinc/03/64/95/381036495.db2.gz KRDSILSWFQMYHB-UHFFFAOYSA-N 0 0 254.334 2.735 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000182238975 381037872 /nfs/dbraw/zinc/03/78/72/381037872.db2.gz CFVSTSLEWDJJOW-SECBINFHSA-N 0 0 284.287 2.735 20 5 CFBDRN Cc1cc(NC(=O)c2ccc3nccn3c2)ccc1[N+](=O)[O-] ZINC000182424297 381086631 /nfs/dbraw/zinc/08/66/31/381086631.db2.gz DCZGVGSZMATLRH-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1nnc(CNc2cccc(F)c2[N+](=O)[O-])n1C1CC1 ZINC000289656240 381087854 /nfs/dbraw/zinc/08/78/54/381087854.db2.gz ODBASWZMXRQGOG-UHFFFAOYSA-N 0 0 291.286 2.581 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H]1C ZINC000289656741 381088108 /nfs/dbraw/zinc/08/81/08/381088108.db2.gz DPCBWRBIPOJBRQ-LDYMZIIASA-N 0 0 263.297 2.687 20 5 CFBDRN O=c1ccn(Cc2c(F)cccc2Cl)cc1[N+](=O)[O-] ZINC000341560987 381088624 /nfs/dbraw/zinc/08/86/24/381088624.db2.gz REVQECQOOCCVCG-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000289661475 381090100 /nfs/dbraw/zinc/09/01/00/381090100.db2.gz XYKLKZHZORNARK-TXEJJXNPSA-N 0 0 294.738 2.944 20 5 CFBDRN Cc1ccnc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000341575104 381096875 /nfs/dbraw/zinc/09/68/75/381096875.db2.gz QSCPWYMOSJIBNL-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NCC1CCC1 ZINC000341574399 381096990 /nfs/dbraw/zinc/09/69/90/381096990.db2.gz OKRAJBXZZHKSOJ-UHFFFAOYSA-N 0 0 280.349 2.603 20 5 CFBDRN Cn1ccc2cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c21 ZINC000295849684 381113793 /nfs/dbraw/zinc/11/37/93/381113793.db2.gz BXYMRBDOGNREAP-UHFFFAOYSA-N 0 0 289.335 2.952 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCO[C@H](C)C1 ZINC000182588679 381114831 /nfs/dbraw/zinc/11/48/31/381114831.db2.gz GSBNKRVGIWKSDF-VXGBXAGGSA-N 0 0 264.325 2.685 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCO[C@H](C)C1 ZINC000182588697 381115016 /nfs/dbraw/zinc/11/50/16/381115016.db2.gz GSBNKRVGIWKSDF-NEPJUHHUSA-N 0 0 264.325 2.685 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccco1 ZINC000341603975 381118404 /nfs/dbraw/zinc/11/84/04/381118404.db2.gz FLQFQNGWBIVGPJ-UHFFFAOYSA-N 0 0 290.275 2.768 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc3nccn32)cc([N+](=O)[O-])c1 ZINC000358782730 381079626 /nfs/dbraw/zinc/07/96/26/381079626.db2.gz RQUUMUACJRJLOA-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CC1(C)C[C@@H](O)CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000295889507 381138579 /nfs/dbraw/zinc/13/85/79/381138579.db2.gz DNOBZXZNRHQSEG-NSHDSACASA-N 0 0 264.325 2.582 20 5 CFBDRN C[C@H]1CCCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000358886215 381139542 /nfs/dbraw/zinc/13/95/42/381139542.db2.gz YIECDTUHDLVKJG-NSHDSACASA-N 0 0 294.326 2.925 20 5 CFBDRN Cc1ccccc1CC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000358890009 381139812 /nfs/dbraw/zinc/13/98/12/381139812.db2.gz PDDDEPICRGGPAK-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])OC ZINC000295907684 381148433 /nfs/dbraw/zinc/14/84/33/381148433.db2.gz ZOQRSAPECZRJJR-FZMZJTMJSA-N 0 0 294.351 2.694 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)NCC1=CCCCC1 ZINC000295935560 381167910 /nfs/dbraw/zinc/16/79/10/381167910.db2.gz FRHNVQZIQMMGOT-UHFFFAOYSA-N 0 0 293.298 2.546 20 5 CFBDRN Cc1nc(N[C@@H]2COc3ccc(F)cc32)ccc1[N+](=O)[O-] ZINC000341660461 381154491 /nfs/dbraw/zinc/15/44/91/381154491.db2.gz CTTLHOFYVJMGEG-LLVKDONJSA-N 0 0 289.266 2.983 20 5 CFBDRN C[C@@H]1[C@H](CO)CCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000412092481 381157262 /nfs/dbraw/zinc/15/72/62/381157262.db2.gz RDHPJRVIZCHICZ-PWSUYJOCSA-N 0 0 298.770 2.841 20 5 CFBDRN CCCC1(CNc2ncnc3cccc([N+](=O)[O-])c32)CC1 ZINC000347402906 381126693 /nfs/dbraw/zinc/12/66/93/381126693.db2.gz GOSDZUICOPCKAZ-UHFFFAOYSA-N 0 0 286.335 2.952 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](C)C(F)(F)F)c1 ZINC000358940725 381166508 /nfs/dbraw/zinc/16/65/08/381166508.db2.gz WWXQXQAZGHISNK-MRVPVSSYSA-N 0 0 290.241 2.831 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Sc1cccc(O)c1 ZINC000289734915 381128877 /nfs/dbraw/zinc/12/88/77/381128877.db2.gz SYMPKMNEVKWWBV-UHFFFAOYSA-N 0 0 279.321 2.976 20 5 CFBDRN COc1cc(N2CC[C@H](O)CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000295942201 381170407 /nfs/dbraw/zinc/17/04/07/381170407.db2.gz IBCNFDIEGUEWAY-LBPRGKRZSA-N 0 0 294.351 2.591 20 5 CFBDRN CCc1nnc(NCc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000295947404 381173643 /nfs/dbraw/zinc/17/36/43/381173643.db2.gz LHNWBHPDVPMSQS-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN CO[C@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000289830764 381178337 /nfs/dbraw/zinc/17/83/37/381178337.db2.gz WVHATXBAEXJSAO-QMMMGPOBSA-N 0 0 299.714 2.501 20 5 CFBDRN CCCc1nc(-c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)co1 ZINC000347486641 381181147 /nfs/dbraw/zinc/18/11/47/381181147.db2.gz LDUNGDHQOCJVGT-UHFFFAOYSA-N 0 0 289.251 2.580 20 5 CFBDRN CCc1nc(C)cc(N[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000341726000 381192951 /nfs/dbraw/zinc/19/29/51/381192951.db2.gz GEUOXORFLRNQPT-LLVKDONJSA-N 0 0 286.335 2.851 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](C[C@H]3CCOC3)C2)s1 ZINC000369119294 381198272 /nfs/dbraw/zinc/19/82/72/381198272.db2.gz YAFWXZWBYIXKMQ-WDEREUQCSA-N 0 0 297.380 2.694 20 5 CFBDRN CS[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412201981 381209594 /nfs/dbraw/zinc/20/95/94/381209594.db2.gz DAXOAZMCRPDTGU-JIMOISOXSA-N 0 0 294.376 2.566 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H](C)Cn2ccnc2)n1 ZINC000359080717 381252436 /nfs/dbraw/zinc/25/24/36/381252436.db2.gz HKGWWCRGGGLRNK-SNVBAGLBSA-N 0 0 289.339 2.551 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC[C@@H]2CCCCO2)n1 ZINC000359080351 381252570 /nfs/dbraw/zinc/25/25/70/381252570.db2.gz FIGYGOYIRWJOTF-LBPRGKRZSA-N 0 0 279.340 2.978 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359083430 381255349 /nfs/dbraw/zinc/25/53/49/381255349.db2.gz OKHKGJQOVRSPQL-LLVKDONJSA-N 0 0 295.339 2.606 20 5 CFBDRN Cc1cnc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC000342295100 381255664 /nfs/dbraw/zinc/25/56/64/381255664.db2.gz HZNVLVMIEANSBF-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN CC[C@H]1CCN1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412364014 381270594 /nfs/dbraw/zinc/27/05/94/381270594.db2.gz PPDMHDYAMQDFBM-FPMFFAJLSA-N 0 0 274.320 2.709 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000412361802 381270602 /nfs/dbraw/zinc/27/06/02/381270602.db2.gz AMIHNONVNFKILL-JFUSQASVSA-N 0 0 298.289 2.858 20 5 CFBDRN CO[C@H](CNc1nc(C)cc(C)c1[N+](=O)[O-])C(C)C ZINC000359090532 381260888 /nfs/dbraw/zinc/26/08/88/381260888.db2.gz GDCHPAMLBKBUEW-LLVKDONJSA-N 0 0 267.329 2.690 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359094027 381262274 /nfs/dbraw/zinc/26/22/74/381262274.db2.gz KVCAUOIQJWSLIK-SKDRFNHKSA-N 0 0 281.356 2.816 20 5 CFBDRN CCn1cc([C@H](C)Nc2ncc(C)cc2[N+](=O)[O-])cn1 ZINC000296031244 381221882 /nfs/dbraw/zinc/22/18/82/381221882.db2.gz PDXQFPQAOFMFDA-JTQLQIEISA-N 0 0 275.312 2.688 20 5 CFBDRN Cn1nc(NCc2cccc([N+](=O)[O-])c2)cc1C1CC1 ZINC000289930031 381222439 /nfs/dbraw/zinc/22/24/39/381222439.db2.gz SZHNVKLCYYVQSE-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN CC[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1nccn1C ZINC000183179949 381228759 /nfs/dbraw/zinc/22/87/59/381228759.db2.gz WNSWFOKNRROVNV-CYBMUJFWSA-N 0 0 274.324 2.569 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(SCC(=O)OC(C)C)n1 ZINC000359105926 381269486 /nfs/dbraw/zinc/26/94/86/381269486.db2.gz XNQUWEVNEKRNNU-UHFFFAOYSA-N 0 0 284.337 2.650 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)CC(C)(C)O ZINC000296134086 381269496 /nfs/dbraw/zinc/26/94/96/381269496.db2.gz ILSVEKYRUPYBFA-JTQLQIEISA-N 0 0 295.339 2.574 20 5 CFBDRN C[C@H](C(=O)N1C[C@@H](C)[C@H](C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000347580454 381234694 /nfs/dbraw/zinc/23/46/94/381234694.db2.gz BUKPQTNEANFGDU-MXWKQRLJSA-N 0 0 294.326 2.952 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2cnns2)cc1[N+](=O)[O-] ZINC000290069063 381286530 /nfs/dbraw/zinc/28/65/30/381286530.db2.gz PWYKAZYGESAMPJ-SECBINFHSA-N 0 0 278.337 2.606 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288754486 197159481 /nfs/dbraw/zinc/15/94/81/197159481.db2.gz IJYQTDOHFFIBAL-FZMZJTMJSA-N 0 0 277.324 2.635 20 5 CFBDRN Cc1ncoc1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000354480997 381297192 /nfs/dbraw/zinc/29/71/92/381297192.db2.gz ULXDXRJTENMNOZ-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1CF ZINC000412374526 381276681 /nfs/dbraw/zinc/27/66/81/381276681.db2.gz XPSSVILCPNGXKI-HZSPNIEDSA-N 0 0 292.310 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2cccnc2)c1 ZINC000359124297 381278867 /nfs/dbraw/zinc/27/88/67/381278867.db2.gz UPVXWWQMOMPWKO-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN C[C@H](NC(=O)NC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000296260612 381323420 /nfs/dbraw/zinc/32/34/20/381323420.db2.gz SGMIPBZJZNLAQS-JTQLQIEISA-N 0 0 277.324 2.898 20 5 CFBDRN Cc1cc(Nc2ccc(N3CCC3=O)cc2)ncc1[N+](=O)[O-] ZINC000359285197 381327921 /nfs/dbraw/zinc/32/79/21/381327921.db2.gz GPFNDGRCLGXNKB-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN CCCN(CC)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000354565063 381342162 /nfs/dbraw/zinc/34/21/62/381342162.db2.gz ZKJAGWZDKATWAG-UHFFFAOYSA-N 0 0 282.365 2.945 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)CCCCO)c([N+](=O)[O-])c1 ZINC000296286044 381333181 /nfs/dbraw/zinc/33/31/81/381333181.db2.gz LLHCGKIOTYNXCJ-JTQLQIEISA-N 0 0 280.324 2.760 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC(CF)C1 ZINC000412515831 381335535 /nfs/dbraw/zinc/33/55/35/381335535.db2.gz GCCUNFOPJWYHMT-UHFFFAOYSA-N 0 0 298.339 2.748 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H](C)CCCCO ZINC000296302913 381339771 /nfs/dbraw/zinc/33/97/71/381339771.db2.gz MWBLOFXNMVHMME-LLVKDONJSA-N 0 0 282.340 2.704 20 5 CFBDRN CC1(C)CC(C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000354522403 381318240 /nfs/dbraw/zinc/31/82/40/381318240.db2.gz QTLWDLBCHKIQID-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1Cl)[C@H]1CCCOC1 ZINC000183948711 381345351 /nfs/dbraw/zinc/34/53/51/381345351.db2.gz RNDBYGUSZKRACQ-VIFPVBQESA-N 0 0 299.710 2.623 20 5 CFBDRN CC(C)=CCC[C@@H](C)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000296334867 381351506 /nfs/dbraw/zinc/35/15/06/381351506.db2.gz POMJUHGWVQXCDT-SNVBAGLBSA-N 0 0 292.339 2.636 20 5 CFBDRN Cc1ccc(C(=O)N(C)CC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000342476743 381352915 /nfs/dbraw/zinc/35/29/15/381352915.db2.gz PMLQLTGQPJDDCS-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cc(C(=O)N(C)CC[C@H]2CCCO2)cc([N+](=O)[O-])c1 ZINC000342480367 381354524 /nfs/dbraw/zinc/35/45/24/381354524.db2.gz UCBSBLIRDUTALM-CQSZACIVSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1c(C(=O)NCc2ccsc2)cccc1[N+](=O)[O-] ZINC000354592143 381356210 /nfs/dbraw/zinc/35/62/10/381356210.db2.gz IBRLCTZXNGYSRX-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@@H]2CCCCO2)cn1 ZINC000354599458 381361665 /nfs/dbraw/zinc/36/16/65/381361665.db2.gz RTXGHGAZBPHAAC-LBPRGKRZSA-N 0 0 265.313 2.751 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCc2occc2C1 ZINC000288865176 197181482 /nfs/dbraw/zinc/18/14/82/197181482.db2.gz KTTKRMAWRKBDIT-UHFFFAOYSA-N 0 0 290.323 2.665 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCC[C@H]1c1ccsc1 ZINC000370055522 381374966 /nfs/dbraw/zinc/37/49/66/381374966.db2.gz KCEKKOWBGANKOM-NSHDSACASA-N 0 0 292.364 2.727 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CCCC[C@H]1F ZINC000412699371 381408898 /nfs/dbraw/zinc/40/88/98/381408898.db2.gz ZDJVTMFIIUAKMF-VXGBXAGGSA-N 0 0 280.299 2.534 20 5 CFBDRN CCn1cc(CCOc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000347914118 381411542 /nfs/dbraw/zinc/41/15/42/381411542.db2.gz FQLMOFKQXWXGQH-UHFFFAOYSA-N 0 0 279.271 2.572 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000184412997 381413117 /nfs/dbraw/zinc/41/31/17/381413117.db2.gz FKCGSACWAMZDMX-SECBINFHSA-N 0 0 268.338 2.919 20 5 CFBDRN COc1cccc(F)c1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000184478702 381421221 /nfs/dbraw/zinc/42/12/21/381421221.db2.gz BQCPTGPHAKUSKU-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CS[C@H](C)CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347948657 381429011 /nfs/dbraw/zinc/42/90/11/381429011.db2.gz AASYHHQDYKVBTB-SSDOTTSWSA-N 0 0 272.301 2.814 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347952040 381430842 /nfs/dbraw/zinc/43/08/42/381430842.db2.gz FTTFVUBGLRTZHR-SNVBAGLBSA-N 0 0 252.245 2.719 20 5 CFBDRN CN(CC1CCCCC1)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000347953510 381432798 /nfs/dbraw/zinc/43/27/98/381432798.db2.gz QGXFOGLCEWEIOD-UHFFFAOYSA-N 0 0 279.340 2.586 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCC1=O ZINC000347959299 381434218 /nfs/dbraw/zinc/43/42/18/381434218.db2.gz OOIIBBXDLCAAFS-DTWKUNHWSA-N 0 0 294.282 2.678 20 5 CFBDRN CC(=O)NCc1ccc(Nc2ccc([N+](=O)[O-])cc2)cc1 ZINC000359495668 381434354 /nfs/dbraw/zinc/43/43/54/381434354.db2.gz KCYMPOXAEVECTE-UHFFFAOYSA-N 0 0 285.303 2.975 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347959699 381434744 /nfs/dbraw/zinc/43/47/44/381434744.db2.gz NCNZNOIDPFGMOT-SECBINFHSA-N 0 0 284.287 2.735 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CC[C@H](F)C1 ZINC000347962973 381435685 /nfs/dbraw/zinc/43/56/85/381435685.db2.gz IMNDKXJWEQUJCM-RYUDHWBXSA-N 0 0 295.314 2.627 20 5 CFBDRN O=C(NCCCCF)Nc1ccc([N+](=O)[O-])cc1F ZINC000359505286 381439147 /nfs/dbraw/zinc/43/91/47/381439147.db2.gz ABWXHGSCPKWWLO-UHFFFAOYSA-N 0 0 273.239 2.605 20 5 CFBDRN CC1(NC(=O)CCC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000296540134 381439878 /nfs/dbraw/zinc/43/98/78/381439878.db2.gz NTZZMFRYLROMHI-UHFFFAOYSA-N 0 0 290.319 2.617 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000412785209 381440971 /nfs/dbraw/zinc/44/09/71/381440971.db2.gz RTNZDFLKNVEHRU-SNVBAGLBSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1c(OC(=O)CSC(F)F)cccc1[N+](=O)[O-] ZINC000296492651 381420027 /nfs/dbraw/zinc/42/00/27/381420027.db2.gz JBJPUFSTVQOXMZ-UHFFFAOYSA-N 0 0 277.248 2.764 20 5 CFBDRN Cc1nc(SCC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC000184797874 381479482 /nfs/dbraw/zinc/47/94/82/381479482.db2.gz IHNYPEGKKSHOQU-UHFFFAOYSA-N 0 0 284.337 2.732 20 5 CFBDRN Cc1ccnc(SCC(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC000184796417 381479854 /nfs/dbraw/zinc/47/98/54/381479854.db2.gz QRPSPZZEPFDUII-UHFFFAOYSA-N 0 0 284.337 2.732 20 5 CFBDRN CC(C)(C(=O)NCCc1ccccc1[N+](=O)[O-])C(F)F ZINC000348292692 381483429 /nfs/dbraw/zinc/48/34/29/381483429.db2.gz LRHJKKPAMIMNJG-UHFFFAOYSA-N 0 0 286.278 2.545 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)C1(CCF)CC1 ZINC000290497249 381452391 /nfs/dbraw/zinc/45/23/91/381452391.db2.gz LXDZZQAXTBNFPP-UHFFFAOYSA-N 0 0 270.235 2.812 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@H]2CCC[C@H]2C(C)(C)C)c1[N+](=O)[O-] ZINC000412808054 381455439 /nfs/dbraw/zinc/45/54/39/381455439.db2.gz GKLBTBLRFOXJLM-ZJUUUORDSA-N 0 0 294.355 2.571 20 5 CFBDRN CSc1cccc(C(=O)N2CCC[C@@H]2CF)c1[N+](=O)[O-] ZINC000359547341 381462102 /nfs/dbraw/zinc/46/21/02/381462102.db2.gz RYLMTMHLXBSVSO-SECBINFHSA-N 0 0 298.339 2.891 20 5 CFBDRN Cc1cc(-c2noc(CCC(C)(C)[N+](=O)[O-])n2)c(C)o1 ZINC000296681684 381505186 /nfs/dbraw/zinc/50/51/86/381505186.db2.gz GNHXFASJFAMBLV-UHFFFAOYSA-N 0 0 279.296 2.934 20 5 CFBDRN CCOC(=O)[C@H](CC)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296713713 381516366 /nfs/dbraw/zinc/51/63/66/381516366.db2.gz MHDCTYWZLUQCRT-GWCFXTLKSA-N 0 0 280.324 2.587 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000342697333 381486567 /nfs/dbraw/zinc/48/65/67/381486567.db2.gz BVHXSVSMDKYFTE-VIFPVBQESA-N 0 0 284.287 2.735 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000290601926 381495899 /nfs/dbraw/zinc/49/58/99/381495899.db2.gz DUQXXQAATRUZAE-RNFRBKRXSA-N 0 0 260.240 2.708 20 5 CFBDRN CCC[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC000296664807 381497571 /nfs/dbraw/zinc/49/75/71/381497571.db2.gz FWVAMDXHDJNENO-SMDDNHRTSA-N 0 0 294.351 2.977 20 5 CFBDRN CCN(C(=O)c1csc([N+](=O)[O-])c1)C1CCC1 ZINC000348312022 381498819 /nfs/dbraw/zinc/49/88/19/381498819.db2.gz UTTHPTJGBGPISG-UHFFFAOYSA-N 0 0 254.311 2.671 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N(CC1CC1)CC1CC1 ZINC000359659502 381539167 /nfs/dbraw/zinc/53/91/67/381539167.db2.gz VWRQBAAKPMLVOL-UHFFFAOYSA-N 0 0 280.349 2.919 20 5 CFBDRN COc1cccc(N2CC(F)(F)C(F)(F)C2)c1[N+](=O)[O-] ZINC000413397320 381542079 /nfs/dbraw/zinc/54/20/79/381542079.db2.gz JXEYAROLYOPVFI-UHFFFAOYSA-N 0 0 294.204 2.694 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000342792508 381544129 /nfs/dbraw/zinc/54/41/29/381544129.db2.gz HBDSIFOQVGSVLA-ZWKOPEQDSA-N 0 0 279.340 2.770 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000354989241 381552653 /nfs/dbraw/zinc/55/26/53/381552653.db2.gz WVJAODQBYDLJOT-SNVBAGLBSA-N 0 0 280.349 2.985 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@@H](CC2CC2)C1 ZINC000359676851 381549115 /nfs/dbraw/zinc/54/91/15/381549115.db2.gz KTHXOGOPMBLOTN-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN Cc1cccc(CN(C)c2ccc([N+](=O)[O-])c(C)n2)n1 ZINC000348351793 381524841 /nfs/dbraw/zinc/52/48/41/381524841.db2.gz LSRJJXGTWLALKI-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN COC1CCN(c2nccc3ccc([N+](=O)[O-])cc32)CC1 ZINC000413042907 381533727 /nfs/dbraw/zinc/53/37/27/381533727.db2.gz ZJCHMNXNFCXUDH-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000371005313 381534111 /nfs/dbraw/zinc/53/41/11/381534111.db2.gz OEKKKJPQBUIFMW-WDEREUQCSA-N 0 0 280.299 2.597 20 5 CFBDRN COC1CCC(CNc2nccc(C)c2[N+](=O)[O-])CC1 ZINC000348364339 381534632 /nfs/dbraw/zinc/53/46/32/381534632.db2.gz MIJIPQDMCGAIOO-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN CN(Cc1ccnn1C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000348370100 381535319 /nfs/dbraw/zinc/53/53/19/381535319.db2.gz MELDWEZXQRYABI-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC1(F)F ZINC000297933604 381576353 /nfs/dbraw/zinc/57/63/53/381576353.db2.gz YQNLPKZNRFBDGX-VIFPVBQESA-N 0 0 270.235 2.751 20 5 CFBDRN Cc1cc(NC[C@H](C)Cn2ccnc2)c(F)cc1[N+](=O)[O-] ZINC000290872976 381582162 /nfs/dbraw/zinc/58/21/62/381582162.db2.gz BDMVOPWQCBUZFK-JTQLQIEISA-N 0 0 292.314 2.987 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])C[C@H]1C ZINC000342847779 381582836 /nfs/dbraw/zinc/58/28/36/381582836.db2.gz HEJPKFWOXJCNPU-GMTAPVOTSA-N 0 0 291.351 2.930 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCO[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000371136879 381554796 /nfs/dbraw/zinc/55/47/96/381554796.db2.gz QCVXWVYFXXBOCR-ZFWWWQNUSA-N 0 0 290.319 2.777 20 5 CFBDRN COc1cc(NCc2c([N+](=O)[O-])ncn2C)c(C)cc1C ZINC000359693273 381560772 /nfs/dbraw/zinc/56/07/72/381560772.db2.gz FCUYUTNEYGWTJU-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN CCOCCCCNc1cccc(OC)c1[N+](=O)[O-] ZINC000185207168 381565080 /nfs/dbraw/zinc/56/50/80/381565080.db2.gz SHOOZPLQKUEEKI-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN CC(C)(C)CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342883692 381600176 /nfs/dbraw/zinc/60/01/76/381600176.db2.gz KDQCTSNFOGOGJD-UHFFFAOYSA-N 0 0 275.308 2.852 20 5 CFBDRN Cc1ccc(CN2CCC[C@H]2c2ncon2)cc1[N+](=O)[O-] ZINC000371376840 381605273 /nfs/dbraw/zinc/60/52/73/381605273.db2.gz ZZCJTNSVWWANHW-LBPRGKRZSA-N 0 0 288.307 2.623 20 5 CFBDRN COc1ccc(C[N@@H+]2CC[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000342862372 381590131 /nfs/dbraw/zinc/59/01/31/381590131.db2.gz SIXDOTYIBYSEDG-LBPRGKRZSA-N 0 0 264.325 2.834 20 5 CFBDRN Cc1cc(N[C@@H](C)Cn2cccn2)c(F)cc1[N+](=O)[O-] ZINC000290902801 381590711 /nfs/dbraw/zinc/59/07/11/381590711.db2.gz FHSKLJHQCPWXAK-JTQLQIEISA-N 0 0 278.287 2.739 20 5 CFBDRN COCCCN(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000290907017 381592966 /nfs/dbraw/zinc/59/29/66/381592966.db2.gz FVZPGMDNEJQFEL-UHFFFAOYSA-N 0 0 256.277 2.515 20 5 CFBDRN Cc1cc(Sc2nnnn2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000290913579 381596434 /nfs/dbraw/zinc/59/64/34/381596434.db2.gz GRTCJQMLGNDJBN-UHFFFAOYSA-N 0 0 295.299 2.515 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC2CCC1CC2 ZINC000371388303 381610283 /nfs/dbraw/zinc/61/02/83/381610283.db2.gz MRHTZKGKPDRKQG-UHFFFAOYSA-N 0 0 266.322 2.671 20 5 CFBDRN CN(Cc1ccco1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342915942 381614136 /nfs/dbraw/zinc/61/41/36/381614136.db2.gz RZOJWZHWCVXZBM-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN CCO[C@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000348544409 381623219 /nfs/dbraw/zinc/62/32/19/381623219.db2.gz PERCPMXWEYHXLH-CQSZACIVSA-N 0 0 294.351 2.662 20 5 CFBDRN CC(C)[C@@H](O)C1(CNc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000342941343 381629193 /nfs/dbraw/zinc/62/91/93/381629193.db2.gz JNDQXJSWGWVHKY-CYBMUJFWSA-N 0 0 282.315 2.943 20 5 CFBDRN NC(=O)c1cccc(N[C@@H]2CC23CCCCC3)c1[N+](=O)[O-] ZINC000342935878 381625704 /nfs/dbraw/zinc/62/57/04/381625704.db2.gz UKQXFGBXRAQWDW-GFCCVEGCSA-N 0 0 289.335 2.828 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000279522164 194466053 /nfs/dbraw/zinc/46/60/53/194466053.db2.gz RBXOZTZAXNCNNH-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN CNc1cccnc1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359826743 381628123 /nfs/dbraw/zinc/62/81/23/381628123.db2.gz AMDBUUUXLRQQCR-UHFFFAOYSA-N 0 0 287.323 2.655 20 5 CFBDRN Cc1cc(N[C@@H](C)CO)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000359845547 381637925 /nfs/dbraw/zinc/63/79/25/381637925.db2.gz URPDXMYKJRTVRK-ZETCQYMHSA-N 0 0 278.230 2.715 20 5 CFBDRN C[C@H](O)CN(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000291015521 381631908 /nfs/dbraw/zinc/63/19/08/381631908.db2.gz BTOJOTWDMZXTNI-ZETCQYMHSA-N 0 0 293.150 2.714 20 5 CFBDRN Cc1cc(C(=O)NCCC2(C)CC2)cc([N+](=O)[O-])c1 ZINC000348632690 381648831 /nfs/dbraw/zinc/64/88/31/381648831.db2.gz BPDKKNYYBUEQBI-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000185459197 381638542 /nfs/dbraw/zinc/63/85/42/381638542.db2.gz UJWIFOFHNMOGMG-RISCZKNCSA-N 0 0 276.336 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CSC[C@@H]3CCCO3)nc2c1 ZINC000342987015 381638933 /nfs/dbraw/zinc/63/89/33/381638933.db2.gz CYWRBNLLQXSRBH-JTQLQIEISA-N 0 0 293.348 2.883 20 5 CFBDRN O=C(Nc1cccc2ccccc21)c1cc([N+](=O)[O-])n[nH]1 ZINC000348630016 381646563 /nfs/dbraw/zinc/64/65/63/381646563.db2.gz LUONBGVGCNBYHO-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(CC2CCC2)[C@H](C)CO)c1 ZINC000343032448 381655606 /nfs/dbraw/zinc/65/56/06/381655606.db2.gz GKUCKTIFLMOYEI-GFCCVEGCSA-N 0 0 278.352 2.891 20 5 CFBDRN COc1cc(Oc2ncc(Cl)cn2)ccc1[N+](=O)[O-] ZINC000359884732 381658589 /nfs/dbraw/zinc/65/85/89/381658589.db2.gz ZWOSDWFYFHCHKR-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN CN(C(=O)CSC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000298531898 381664627 /nfs/dbraw/zinc/66/46/27/381664627.db2.gz WELGDXKUHQPFEO-UHFFFAOYSA-N 0 0 294.254 2.811 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCCC2(CC2)C1 ZINC000185555706 381665013 /nfs/dbraw/zinc/66/50/13/381665013.db2.gz BNYFMPHNDWXGBP-UHFFFAOYSA-N 0 0 289.335 2.680 20 5 CFBDRN COc1cccc(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)c1[N+](=O)[O-] ZINC000185566770 381668886 /nfs/dbraw/zinc/66/88/86/381668886.db2.gz GPOYDXCRABRJQU-WZRBSPASSA-N 0 0 292.335 2.973 20 5 CFBDRN CC(=O)c1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c(F)c1 ZINC000298556297 381671988 /nfs/dbraw/zinc/67/19/88/381671988.db2.gz CWAMXLALCYDTIC-UHFFFAOYSA-N 0 0 297.282 2.769 20 5 CFBDRN CS[C@@H](C)CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000343436359 381708587 /nfs/dbraw/zinc/70/85/87/381708587.db2.gz CGWDAPMUVNEMBZ-JTQLQIEISA-N 0 0 296.392 2.740 20 5 CFBDRN C[C@]1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCCS1 ZINC000343440071 381710656 /nfs/dbraw/zinc/71/06/56/381710656.db2.gz BVYISOCHOMEODM-CYBMUJFWSA-N 0 0 298.339 2.749 20 5 CFBDRN C[C@H]1CCC[C@H](CCNC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000359990124 381715048 /nfs/dbraw/zinc/71/50/48/381715048.db2.gz CFCKOPXCODFMAO-NWDGAFQWSA-N 0 0 293.367 2.880 20 5 CFBDRN O=C(N[C@H](c1cccs1)C1CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000348793458 381715238 /nfs/dbraw/zinc/71/52/38/381715238.db2.gz PXGJHNLJUAJZHL-LBPRGKRZSA-N 0 0 291.332 2.866 20 5 CFBDRN Cc1cc(Nc2cc(C3CC3)n(C)n2)ncc1[N+](=O)[O-] ZINC000291145539 381682414 /nfs/dbraw/zinc/68/24/14/381682414.db2.gz OLUWQGUXTRORTI-UHFFFAOYSA-N 0 0 273.296 2.653 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@H](CO)C2)c(Cl)c1 ZINC000298600876 381686178 /nfs/dbraw/zinc/68/61/78/381686178.db2.gz NCAIUYSWILIPPX-IUCAKERBSA-N 0 0 285.731 2.606 20 5 CFBDRN CCc1ccc(C(=O)NCC2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000348746117 381693153 /nfs/dbraw/zinc/69/31/53/381693153.db2.gz LARZMQLDYUUSFO-UHFFFAOYSA-N 0 0 298.289 2.932 20 5 CFBDRN CCc1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])c(C)n1 ZINC000185659668 381695505 /nfs/dbraw/zinc/69/55/05/381695505.db2.gz HSTZWSXIQXBDET-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CCc1ccc([C@@H]2CCCCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])o1 ZINC000371765036 381696445 /nfs/dbraw/zinc/69/64/45/381696445.db2.gz JPANXBGNPMVFLT-FRRDWIJNSA-N 0 0 292.335 2.561 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@]1(CO)C[C@H]1c1ccccc1 ZINC000291185467 381699041 /nfs/dbraw/zinc/69/90/41/381699041.db2.gz SHLOFNCQXWRMOU-BBRMVZONSA-N 0 0 299.330 2.568 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@]1(CO)C[C@@H]1c1ccccc1 ZINC000291185481 381700101 /nfs/dbraw/zinc/70/01/01/381700101.db2.gz SHLOFNCQXWRMOU-CZUORRHYSA-N 0 0 299.330 2.568 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000359963620 381700541 /nfs/dbraw/zinc/70/05/41/381700541.db2.gz KVRFQTYUKXBLET-QMTHXVAHSA-N 0 0 278.308 2.978 20 5 CFBDRN C=Cc1ccc(CCNc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000359966770 381702559 /nfs/dbraw/zinc/70/25/59/381702559.db2.gz RPURNESXZQJISI-UHFFFAOYSA-N 0 0 286.335 2.934 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCc2sccc2C1 ZINC000298734061 381728454 /nfs/dbraw/zinc/72/84/54/381728454.db2.gz JWRPTHSLBSZFSQ-UHFFFAOYSA-N 0 0 294.357 2.916 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](Oc3ccccc3)C2)n1 ZINC000371978361 381729767 /nfs/dbraw/zinc/72/97/67/381729767.db2.gz KSQCAMRIBPMUMF-CQSZACIVSA-N 0 0 299.330 2.956 20 5 CFBDRN Cn1cc(NCc2ccc([N+](=O)[O-])cc2)c(C2CC2)n1 ZINC000291267386 381730271 /nfs/dbraw/zinc/73/02/71/381730271.db2.gz NOOHLQOVHPLYHC-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN C[C@@H]1CCC[C@H](c2ccccc2)N1C(=O)[C@H]1C[C@@H]1[N+](=O)[O-] ZINC000372016699 381735743 /nfs/dbraw/zinc/73/57/43/381735743.db2.gz HWRVGAYQTNHERK-BEAPCOKYSA-N 0 0 288.347 2.794 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000355299386 381736275 /nfs/dbraw/zinc/73/62/75/381736275.db2.gz XDVWSTIKJIBSOP-SFYZADRCSA-N 0 0 252.245 2.719 20 5 CFBDRN CCCCNC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000298756227 381739434 /nfs/dbraw/zinc/73/94/34/381739434.db2.gz YLINOCGWSZRVNP-SNVBAGLBSA-N 0 0 265.313 2.755 20 5 CFBDRN CSCCN(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000360048762 381750672 /nfs/dbraw/zinc/75/06/72/381750672.db2.gz XXMHBEKLYLAKHZ-UHFFFAOYSA-N 0 0 258.318 2.842 20 5 CFBDRN CCN(CC1CCC1)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000360056113 381754051 /nfs/dbraw/zinc/75/40/51/381754051.db2.gz QPKQFXPXGDHSGF-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN Cn1cc(NCc2csc([N+](=O)[O-])c2)c(C2CC2)n1 ZINC000291238388 381718710 /nfs/dbraw/zinc/71/87/10/381718710.db2.gz KZOMTXGYLNJFBG-UHFFFAOYSA-N 0 0 278.337 2.879 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000360010434 381725700 /nfs/dbraw/zinc/72/57/00/381725700.db2.gz NNHOKXKDGDGVAR-LURJTMIESA-N 0 0 292.213 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCO[C@H](C4CC4)C3)ccc2c1 ZINC000372262253 381781716 /nfs/dbraw/zinc/78/17/16/381781716.db2.gz QFBQRLRFPWRRRY-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN COc1cc(NC[C@@H]2CCOC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000343551806 381792373 /nfs/dbraw/zinc/79/23/73/381792373.db2.gz UWZHMCJPNBJFTG-UWVGGRQHSA-N 0 0 298.314 2.827 20 5 CFBDRN CSC[C@@H]1CCCN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000292251786 381796407 /nfs/dbraw/zinc/79/64/07/381796407.db2.gz KFDOVMAUJHXBOB-LLVKDONJSA-N 0 0 281.381 2.878 20 5 CFBDRN CCC(C)(C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000348903530 381760668 /nfs/dbraw/zinc/76/06/68/381760668.db2.gz YJRQAOLJBYDUKH-UHFFFAOYSA-N 0 0 282.315 2.923 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000298834418 381761592 /nfs/dbraw/zinc/76/15/92/381761592.db2.gz BPUUFEVJXGEQMG-JQWIXIFHSA-N 0 0 277.324 2.718 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)ccc1F ZINC000343511655 381762790 /nfs/dbraw/zinc/76/27/90/381762790.db2.gz AEYRANIODFBTSD-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1C[C@H](C)[C@@H](C)C1 ZINC000360984680 381799097 /nfs/dbraw/zinc/79/90/97/381799097.db2.gz KMBWEJSIMSOABS-UWVGGRQHSA-N 0 0 262.309 2.890 20 5 CFBDRN CCCCN(C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000298845609 381765555 /nfs/dbraw/zinc/76/55/55/381765555.db2.gz CJYVWTSVAVMGIE-UHFFFAOYSA-N 0 0 295.339 2.545 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC(C)(C)c1ccc(F)cc1 ZINC000343517380 381766928 /nfs/dbraw/zinc/76/69/28/381766928.db2.gz MTXJARODMGDNEN-UHFFFAOYSA-N 0 0 292.314 2.857 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCCCC1 ZINC000298868740 381770182 /nfs/dbraw/zinc/77/01/82/381770182.db2.gz JFEZRLWLQBJAAE-UHFFFAOYSA-N 0 0 265.313 2.718 20 5 CFBDRN CCC1CCC(N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000298892261 381776946 /nfs/dbraw/zinc/77/69/46/381776946.db2.gz RWFBUBWQVXRTOU-UHFFFAOYSA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ccncc1[N+](=O)[O-])CCO2 ZINC000343602992 381831674 /nfs/dbraw/zinc/83/16/74/381831674.db2.gz GWTMLAXGPJCISP-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN CCC1(C)CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000292803419 381842830 /nfs/dbraw/zinc/84/28/30/381842830.db2.gz KXAKOZLTYXIPQC-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CC[C@H](CC1CCCC1)C(=O)Nc1c([N+](=O)[O-])cnn1C ZINC000415450263 381845602 /nfs/dbraw/zinc/84/56/02/381845602.db2.gz JRGJCFKNWJXDIY-LLVKDONJSA-N 0 0 294.355 2.873 20 5 CFBDRN Cc1cc(N2CCC([C@@H](C)O)CC2)c(F)cc1[N+](=O)[O-] ZINC000292608010 381811049 /nfs/dbraw/zinc/81/10/49/381811049.db2.gz MTTXHQMWLVVJHO-SNVBAGLBSA-N 0 0 282.315 2.640 20 5 CFBDRN CCCC(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000292648091 381816425 /nfs/dbraw/zinc/81/64/25/381816425.db2.gz MEMKSEXFNSAZPL-UHFFFAOYSA-N 0 0 257.673 2.996 20 5 CFBDRN Cc1[nH]nc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)c1C ZINC000355977007 381818548 /nfs/dbraw/zinc/81/85/48/381818548.db2.gz ZVFYYZVCYZRACB-UHFFFAOYSA-N 0 0 299.290 2.960 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000299282584 381882529 /nfs/dbraw/zinc/88/25/29/381882529.db2.gz TYSAOVUVGYIFBE-SNVBAGLBSA-N 0 0 276.292 2.898 20 5 CFBDRN CC(C)(C)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186330804 381883504 /nfs/dbraw/zinc/88/35/04/381883504.db2.gz KJCGILPATYVHJR-UHFFFAOYSA-N 0 0 270.716 2.911 20 5 CFBDRN CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000349203843 381847976 /nfs/dbraw/zinc/84/79/76/381847976.db2.gz VJVBKEFLMAFEJU-ZJUUUORDSA-N 0 0 294.355 2.653 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000343621875 381849160 /nfs/dbraw/zinc/84/91/60/381849160.db2.gz KSNQRNUXAVYMDT-MNOVXSKESA-N 0 0 265.313 2.607 20 5 CFBDRN CCN(CCOC)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000299170016 381852308 /nfs/dbraw/zinc/85/23/08/381852308.db2.gz WRDDDDUJBYNYAL-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN Cc1cc(C)c(OC[C@@H](O)CCOC(C)C)c([N+](=O)[O-])c1 ZINC000349219029 381852826 /nfs/dbraw/zinc/85/28/26/381852826.db2.gz VJFNDCMSUGORAI-ZDUSSCGKSA-N 0 0 297.351 2.766 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc([N+](=O)[O-])cc1F)[N+](=O)[O-] ZINC000299177952 381853985 /nfs/dbraw/zinc/85/39/85/381853985.db2.gz PFDUNHVFNDSESE-UHFFFAOYSA-N 0 0 299.258 2.508 20 5 CFBDRN C[C@@H](C(=O)NCC[C@H](C)F)c1ccc([N+](=O)[O-])cc1F ZINC000356713971 381862529 /nfs/dbraw/zinc/86/25/29/381862529.db2.gz RYZASIGBKWHCMD-DTWKUNHWSA-N 0 0 286.278 2.702 20 5 CFBDRN Cn1c2ccc([N+](=O)[O-])cc2nc1-c1cnn2ccccc12 ZINC000356814646 381868702 /nfs/dbraw/zinc/86/87/02/381868702.db2.gz WYTJGPMDTCRFHI-UHFFFAOYSA-N 0 0 293.286 2.796 20 5 CFBDRN CCCCCOC1CN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000356869344 381874120 /nfs/dbraw/zinc/87/41/20/381874120.db2.gz UZOLYBFRZLMJNJ-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN CO[C@@H](CNc1nc2ccccc2cc1[N+](=O)[O-])C1CC1 ZINC000292916713 381900336 /nfs/dbraw/zinc/90/03/36/381900336.db2.gz XXYCRXCWPMGHFT-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCc2ccncc2)cc1[N+](=O)[O-] ZINC000299357774 381909161 /nfs/dbraw/zinc/90/91/61/381909161.db2.gz PAESKYLHLNHZEK-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CC[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1Cl)C(C)(C)O ZINC000292947777 381917927 /nfs/dbraw/zinc/91/79/27/381917927.db2.gz IKEGIXRLZGUFJJ-GFCCVEGCSA-N 0 0 286.759 2.887 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)c1ccc2c(c1)CCC=C2 ZINC000343751472 381923275 /nfs/dbraw/zinc/92/32/75/381923275.db2.gz PMVKRPBGIFTRKZ-UHFFFAOYSA-N 0 0 298.302 2.540 20 5 CFBDRN CCn1cnc2c1ncnc2Oc1ccc([N+](=O)[O-])cc1 ZINC000356954424 381923890 /nfs/dbraw/zinc/92/38/90/381923890.db2.gz CMGGQTDNVQEBJV-UHFFFAOYSA-N 0 0 285.263 2.547 20 5 CFBDRN CCC1(C)CN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000292968169 381927259 /nfs/dbraw/zinc/92/72/59/381927259.db2.gz WLWAUXROCRTLGV-CMDGGOBGSA-N 0 0 274.320 2.867 20 5 CFBDRN COc1ccc(OCCOCC2CCC2)c([N+](=O)[O-])c1 ZINC000416885706 381960364 /nfs/dbraw/zinc/96/03/64/381960364.db2.gz FSUKWPMJRHKWBK-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN CC[C@H](COc1cc(C)c([N+](=O)[O-])cc1F)OC ZINC000416908269 381966520 /nfs/dbraw/zinc/96/65/20/381966520.db2.gz NZLLXVFWSCMNQO-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN COc1cncc(CN2CCc3ccc([N+](=O)[O-])cc3C2)c1 ZINC000343768682 381933373 /nfs/dbraw/zinc/93/33/73/381933373.db2.gz YKLCBOINYIRBAZ-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccc4nc[nH]c4c3)no2)[nH]1 ZINC000356969899 381933894 /nfs/dbraw/zinc/93/38/94/381933894.db2.gz FSIUVKIMBKTRDP-UHFFFAOYSA-N 0 0 296.246 2.516 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000343772959 381934923 /nfs/dbraw/zinc/93/49/23/381934923.db2.gz JABAXRABLAICBF-CYBMUJFWSA-N 0 0 289.335 2.697 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)c1c(F)cncc1F ZINC000343799663 381947567 /nfs/dbraw/zinc/94/75/67/381947567.db2.gz ZZHVLOHVKMOXOV-ZETCQYMHSA-N 0 0 280.234 2.836 20 5 CFBDRN COc1cc(NCC[C@H]2CCOC2)c([N+](=O)[O-])cc1F ZINC000343877314 381998399 /nfs/dbraw/zinc/99/83/99/381998399.db2.gz ORVNBQLSJWAGQU-VIFPVBQESA-N 0 0 284.287 2.581 20 5 CFBDRN COc1ccc(COc2ccc(C)cc2[N+](=O)[O-])cn1 ZINC000362304438 381998909 /nfs/dbraw/zinc/99/89/09/381998909.db2.gz GTQTVWKESCJQIP-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN NC(=O)c1ccnc(Oc2cccc(Cl)c2)c1[N+](=O)[O-] ZINC000357085661 382003227 /nfs/dbraw/zinc/00/32/27/382003227.db2.gz LGIINJGSSPEGLF-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN CC(=O)c1cc(N[C@H]2C[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000343884241 382003367 /nfs/dbraw/zinc/00/33/67/382003367.db2.gz ZHIPVUWIJYOFRI-ZJUUUORDSA-N 0 0 270.235 2.863 20 5 CFBDRN Cc1cc(C)cc(NC(=O)c2c[nH]c(=O)c([N+](=O)[O-])c2)c1 ZINC000186776780 382011087 /nfs/dbraw/zinc/01/10/87/382011087.db2.gz KCIBNUBADVEIJC-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CS[C@H](C)[C@H](C)O ZINC000362338115 382012534 /nfs/dbraw/zinc/01/25/34/382012534.db2.gz BCKPGYISWGABTJ-DTWKUNHWSA-N 0 0 271.338 2.606 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSc1ncc[nH]1 ZINC000362338265 382014568 /nfs/dbraw/zinc/01/45/68/382014568.db2.gz CPEDECWESXQMNI-UHFFFAOYSA-N 0 0 265.294 2.619 20 5 CFBDRN CCCc1ccccc1NC(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC000299542346 381976458 /nfs/dbraw/zinc/97/64/58/381976458.db2.gz OTGLVEWFSZWYJV-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1ccc([N+](=O)[O-])cc1OC ZINC000362341445 382014694 /nfs/dbraw/zinc/01/46/94/382014694.db2.gz WJBMJWUXYJADQS-VIFPVBQESA-N 0 0 299.348 2.646 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000343854461 381983386 /nfs/dbraw/zinc/98/33/86/381983386.db2.gz KVWPLOQWEJCUPR-HTQZYQBOSA-N 0 0 258.224 2.669 20 5 CFBDRN COc1cc(N[C@H]2C[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000343854463 381983640 /nfs/dbraw/zinc/98/36/40/381983640.db2.gz KVWPLOQWEJCUPR-SFYZADRCSA-N 0 0 258.224 2.669 20 5 CFBDRN CCC(O)(CC)CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000299567411 381986259 /nfs/dbraw/zinc/98/62/59/381986259.db2.gz AIAVZLOPMRBJDP-UHFFFAOYSA-N 0 0 295.339 2.576 20 5 CFBDRN COc1ccc([C@H](C)Nc2ccncc2[N+](=O)[O-])cc1F ZINC000357047333 381987888 /nfs/dbraw/zinc/98/78/88/381987888.db2.gz WRNIXPUGFKTDJW-VIFPVBQESA-N 0 0 291.282 2.733 20 5 CFBDRN Nc1c(-c2nc(C3CCCC3)no2)cccc1[N+](=O)[O-] ZINC000417336256 382045295 /nfs/dbraw/zinc/04/52/95/382045295.db2.gz WPMICADYIBYPPV-UHFFFAOYSA-N 0 0 274.280 2.885 20 5 CFBDRN Cc1cc(CCNc2ncc([N+](=O)[O-])cc2Cl)on1 ZINC000343979615 382046370 /nfs/dbraw/zinc/04/63/70/382046370.db2.gz LVZHHKDTJWXJLO-UHFFFAOYSA-N 0 0 282.687 2.594 20 5 CFBDRN CC(C)C1(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000357188746 382047893 /nfs/dbraw/zinc/04/78/93/382047893.db2.gz NTLGVIRIXLECSH-UHFFFAOYSA-N 0 0 280.299 2.581 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000343985372 382049200 /nfs/dbraw/zinc/04/92/00/382049200.db2.gz NSGHRTMKABYTEX-FZMZJTMJSA-N 0 0 291.351 2.702 20 5 CFBDRN C[C@@H]1CN(CC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)[C@H]1C ZINC000293265265 382053534 /nfs/dbraw/zinc/05/35/34/382053534.db2.gz BOPWRDSJYPOHSS-BDAKNGLRSA-N 0 0 297.742 2.527 20 5 CFBDRN CC(C)[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000357216574 382062250 /nfs/dbraw/zinc/06/22/50/382062250.db2.gz YHGFIQUBNOMGTJ-CMPLNLGQSA-N 0 0 265.313 2.605 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1ccccn1 ZINC000301062506 382070241 /nfs/dbraw/zinc/07/02/41/382070241.db2.gz ADWZFYBIJYWFEY-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CC1(CNC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)CC1 ZINC000357224036 382065452 /nfs/dbraw/zinc/06/54/52/382065452.db2.gz ZSRIESOFHNODMV-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc2ccc(O)cc2c(=O)[nH]1 ZINC000351855470 382066776 /nfs/dbraw/zinc/06/67/76/382066776.db2.gz TXQUDYDCRBNEON-UHFFFAOYSA-N 0 0 297.270 2.925 20 5 CFBDRN CCCc1nccn1Cc1ccc([N+](=O)[O-])cc1OC ZINC000362345774 382017493 /nfs/dbraw/zinc/01/74/93/382017493.db2.gz IEPDTDRDVSHJKR-UHFFFAOYSA-N 0 0 275.308 2.801 20 5 CFBDRN Cc1cc2cc(Nc3ccncc3[N+](=O)[O-])ccc2[nH]1 ZINC000357128337 382020214 /nfs/dbraw/zinc/02/02/14/382020214.db2.gz VVBUWFYZMXKUSE-UHFFFAOYSA-N 0 0 268.276 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](C)C1 ZINC000299683940 382026542 /nfs/dbraw/zinc/02/65/42/382026542.db2.gz XDLFESYFKHXVSB-VIFPVBQESA-N 0 0 263.297 2.777 20 5 CFBDRN Cc1cnc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cn1 ZINC000343932454 382026453 /nfs/dbraw/zinc/02/64/53/382026453.db2.gz QLVRQIFCUDILIA-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN CN(CCCn1ccnc1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000293197423 382026982 /nfs/dbraw/zinc/02/69/82/382026982.db2.gz UKOCGNPFEVSTSB-UHFFFAOYSA-N 0 0 294.742 2.971 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1ncoc1C1CC1 ZINC000293202791 382027722 /nfs/dbraw/zinc/02/77/22/382027722.db2.gz ZGZVBJDYACRQKK-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN Cc1c(CNC(=O)C(C)(C)C2CC2)cccc1[N+](=O)[O-] ZINC000357162583 382033170 /nfs/dbraw/zinc/03/31/70/382033170.db2.gz INWIXTMIBAEYCB-UHFFFAOYSA-N 0 0 276.336 2.956 20 5 CFBDRN COCCCNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000357321413 382107004 /nfs/dbraw/zinc/10/70/04/382107004.db2.gz FULPEWWTBXYOQI-UHFFFAOYSA-N 0 0 276.239 2.645 20 5 CFBDRN COc1nc(C)cc(Oc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000357322820 382107714 /nfs/dbraw/zinc/10/77/14/382107714.db2.gz JJXXRTQVVJZVEP-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ccccc1[N+](=O)[O-] ZINC000301220648 382109080 /nfs/dbraw/zinc/10/90/80/382109080.db2.gz BFATUSVBBCOGRA-GXFFZTMASA-N 0 0 252.314 2.804 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2C[C@@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000301234093 382114258 /nfs/dbraw/zinc/11/42/58/382114258.db2.gz GUSMZNLCGNCKRV-CHWSQXEVSA-N 0 0 286.335 2.852 20 5 CFBDRN CC[C@@H](C)CN(C)c1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000301236208 382114420 /nfs/dbraw/zinc/11/44/20/382114420.db2.gz HSLJPEUYDRPBOL-SECBINFHSA-N 0 0 290.323 2.726 20 5 CFBDRN Cc1nc2[nH]ccc2c(NCCc2ccccc2[N+](=O)[O-])n1 ZINC000344080952 382117485 /nfs/dbraw/zinc/11/74/85/382117485.db2.gz UOBWPWOIHLRCMJ-UHFFFAOYSA-N 0 0 297.318 2.781 20 5 CFBDRN Cc1nn(C)c(Oc2ccc(F)cc2F)c1[N+](=O)[O-] ZINC000301242999 382118036 /nfs/dbraw/zinc/11/80/36/382118036.db2.gz AGQSKDRUKDBBLQ-UHFFFAOYSA-N 0 0 269.207 2.707 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C(C)(C)C)C1 ZINC000344083433 382118519 /nfs/dbraw/zinc/11/85/19/382118519.db2.gz FLDCSVWSVCGQNA-LLVKDONJSA-N 0 0 291.351 2.806 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCCCC1 ZINC000357232422 382070600 /nfs/dbraw/zinc/07/06/00/382070600.db2.gz IEYDZTAGUBNBPC-SECBINFHSA-N 0 0 265.313 2.622 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCCCC1 ZINC000357232424 382071042 /nfs/dbraw/zinc/07/10/42/382071042.db2.gz IEYDZTAGUBNBPC-VIFPVBQESA-N 0 0 265.313 2.622 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCCCC1 ZINC000357233416 382071283 /nfs/dbraw/zinc/07/12/83/382071283.db2.gz LXWCCNZMWWCCIF-VIFPVBQESA-N 0 0 265.313 2.622 20 5 CFBDRN COc1ccc([C@@H](O)CNc2ccc([N+](=O)[O-])cc2)cc1 ZINC000301079572 382076442 /nfs/dbraw/zinc/07/64/42/382076442.db2.gz JYLQFRLXWWKEGZ-HNNXBMFYSA-N 0 0 288.303 2.749 20 5 CFBDRN CN(C)c1ccc(Nc2ccc([N+](=O)[O-])cc2)cn1 ZINC000301092928 382078727 /nfs/dbraw/zinc/07/87/27/382078727.db2.gz SVFOLXUFFJQTHD-UHFFFAOYSA-N 0 0 258.281 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cn3c(n2)CCCC3)cc1 ZINC000301095045 382081335 /nfs/dbraw/zinc/08/13/35/382081335.db2.gz ZARDDNRCADCJNV-UHFFFAOYSA-N 0 0 286.335 2.782 20 5 CFBDRN C[C@H]1Cc2ccccc2N1CCNc1ncccc1[N+](=O)[O-] ZINC000301108624 382083062 /nfs/dbraw/zinc/08/30/62/382083062.db2.gz QOHNEFOSDMWJIT-LBPRGKRZSA-N 0 0 298.346 2.853 20 5 CFBDRN Nc1nc(Oc2ccc(Cl)cc2F)ncc1[N+](=O)[O-] ZINC000301149461 382093185 /nfs/dbraw/zinc/09/31/85/382093185.db2.gz JBKVEVJMEWDDGA-UHFFFAOYSA-N 0 0 284.634 2.552 20 5 CFBDRN CSCCCCCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000187411537 382177205 /nfs/dbraw/zinc/17/72/05/382177205.db2.gz LTWDBYFKGDLUCG-UHFFFAOYSA-N 0 0 282.365 2.858 20 5 CFBDRN COc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1 ZINC000344184438 382185913 /nfs/dbraw/zinc/18/59/13/382185913.db2.gz AMLUEFSMFFVARH-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1cnccc1CNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000187433863 382181335 /nfs/dbraw/zinc/18/13/35/382181335.db2.gz KMJSLVAPSXNJPA-AATRIKPKSA-N 0 0 297.314 2.628 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1c1nc2sccn2c1[N+](=O)[O-] ZINC000301611439 382183021 /nfs/dbraw/zinc/18/30/21/382183021.db2.gz DSJNOLMYLVJZES-HTQZYQBOSA-N 0 0 266.326 2.681 20 5 CFBDRN C[C@H]1CN(Cc2c(F)cc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000352015714 382129736 /nfs/dbraw/zinc/12/97/36/382129736.db2.gz RPGKZYYIGCPCOO-IUCAKERBSA-N 0 0 270.279 2.961 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301272473 382131505 /nfs/dbraw/zinc/13/15/05/382131505.db2.gz YADVKLCVCAHRMB-NOZJJQNGSA-N 0 0 270.304 2.943 20 5 CFBDRN Cc1ccnc(N(C)Cc2ccccc2)c1[N+](=O)[O-] ZINC000301276765 382133285 /nfs/dbraw/zinc/13/32/85/382133285.db2.gz JBWGNLGGHVZKPU-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN COc1cccc(N2CC[C@]3(C2)CCCOC3)c1[N+](=O)[O-] ZINC000376476737 382137227 /nfs/dbraw/zinc/13/72/27/382137227.db2.gz NKYHTDRKIWGBBK-HNNXBMFYSA-N 0 0 292.335 2.610 20 5 CFBDRN COc1ccc(Oc2ccc3nccnc3n2)c([N+](=O)[O-])c1 ZINC000301300781 382142021 /nfs/dbraw/zinc/14/20/21/382142021.db2.gz ZYCVAVJSZARIOY-UHFFFAOYSA-N 0 0 298.258 2.734 20 5 CFBDRN COc1cc(CCNc2ccccc2[N+](=O)[O-])ccc1O ZINC000301305263 382143824 /nfs/dbraw/zinc/14/38/24/382143824.db2.gz XVARWYHVOASHML-UHFFFAOYSA-N 0 0 288.303 2.964 20 5 CFBDRN Cc1ccnc(Oc2cccc(C=O)c2)c1[N+](=O)[O-] ZINC000301304065 382144054 /nfs/dbraw/zinc/14/40/54/382144054.db2.gz STRPYGAQZISQOH-UHFFFAOYSA-N 0 0 258.233 2.903 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1cnn(CC(F)F)c1 ZINC000301316085 382145621 /nfs/dbraw/zinc/14/56/21/382145621.db2.gz NBMPFGKOXHUEHV-UHFFFAOYSA-N 0 0 268.223 2.800 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(N(C)CC2CC2)cc1Cl ZINC000301325753 382148588 /nfs/dbraw/zinc/14/85/88/382148588.db2.gz AOOCSUXNBMREID-UHFFFAOYSA-N 0 0 298.726 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(CC(F)F)c2)c(F)c1 ZINC000301326357 382149639 /nfs/dbraw/zinc/14/96/39/382149639.db2.gz ZCJHKTYVSYFWTP-UHFFFAOYSA-N 0 0 286.213 2.939 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N1CC[C@H](C)C1 ZINC000301341857 382152008 /nfs/dbraw/zinc/15/20/08/382152008.db2.gz GRSCYKJOBYPZTK-VIFPVBQESA-N 0 0 296.298 2.757 20 5 CFBDRN CCc1cc(CNc2ccc([N+](=O)[O-])cc2F)on1 ZINC000301336512 382153201 /nfs/dbraw/zinc/15/32/01/382153201.db2.gz GPSIMXNIMGJSNY-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CC(C)(C)CC(=O)Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000344140890 382154347 /nfs/dbraw/zinc/15/43/47/382154347.db2.gz PSAYOQYOWNSRQX-UHFFFAOYSA-N 0 0 265.313 2.674 20 5 CFBDRN O=[N+]([O-])c1cccnc1N(C[C@H]1CCCO1)C1CCCC1 ZINC000301354598 382157569 /nfs/dbraw/zinc/15/75/69/382157569.db2.gz LQULQPQZCBMMLA-CYBMUJFWSA-N 0 0 291.351 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H](CCO)C3CC3)ccc2c1 ZINC000301368009 382159462 /nfs/dbraw/zinc/15/94/62/382159462.db2.gz RPLPAEVNEPGICQ-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H](C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C(C)(F)F ZINC000293554507 382171155 /nfs/dbraw/zinc/17/11/55/382171155.db2.gz UQPANIUOWYZLPG-LURJTMIESA-N 0 0 298.249 2.701 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000301455480 382171805 /nfs/dbraw/zinc/17/18/05/382171805.db2.gz WGCZMVJPDLMKNL-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2C)C[C@@H](C)O1 ZINC000301438257 382171851 /nfs/dbraw/zinc/17/18/51/382171851.db2.gz KYKVSKUOAKIJQL-YPMHNXCESA-N 0 0 264.325 2.907 20 5 CFBDRN CCCN(CC)c1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000357508882 382199538 /nfs/dbraw/zinc/19/95/38/382199538.db2.gz INFSDTJXXYZPRL-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN COC(=O)c1cc(NC[C@H]2CC23CC3)cc(C)c1[N+](=O)[O-] ZINC000418981792 382206068 /nfs/dbraw/zinc/20/60/68/382206068.db2.gz AKPTWSQPZSPWPU-SNVBAGLBSA-N 0 0 290.319 2.902 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCC2(C)CC2)c1 ZINC000357537965 382216200 /nfs/dbraw/zinc/21/62/00/382216200.db2.gz BBCBJOCDAGCKMP-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN Cc1ccc2c(c1)nc(CNc1ccc([N+](=O)[O-])cn1)n2C ZINC000302218387 382218221 /nfs/dbraw/zinc/21/82/21/382218221.db2.gz KUWJHWIBTIMZCP-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN C[C@@H]1CN(C(=O)CCCNc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000357482726 382187920 /nfs/dbraw/zinc/18/79/20/382187920.db2.gz JPDVRNQDPLZEMQ-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@@H]1CN(C(=O)CCCNc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000357482721 382188196 /nfs/dbraw/zinc/18/81/96/382188196.db2.gz JPDVRNQDPLZEMQ-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN COc1cc(N2CC[C@H]3OCCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000301708494 382188688 /nfs/dbraw/zinc/18/86/88/382188688.db2.gz HCZBNHACATYKNY-SMDDNHRTSA-N 0 0 292.335 2.609 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000187461154 382189374 /nfs/dbraw/zinc/18/93/74/382189374.db2.gz QGWLYXPZNLRYQM-JQWIXIFHSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@H](O)CCCNc1c(C)cccc1[N+](=O)[O-] ZINC000357486425 382190133 /nfs/dbraw/zinc/19/01/33/382190133.db2.gz NTTNATLCDNZKSL-NSHDSACASA-N 0 0 252.314 2.866 20 5 CFBDRN O=C(NCCc1ccccc1F)c1csc([N+](=O)[O-])c1 ZINC000344195085 382191756 /nfs/dbraw/zinc/19/17/56/382191756.db2.gz SVSNKTPISBHSDV-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN Cc1ccc(N2CCc3nc(N)sc3C2)c([N+](=O)[O-])c1 ZINC000301812166 382194288 /nfs/dbraw/zinc/19/42/88/382194288.db2.gz HIHMNJACRXUJQX-UHFFFAOYSA-N 0 0 290.348 2.505 20 5 CFBDRN Cc1ccc(NC(=O)NCC2(C)CC2)cc1[N+](=O)[O-] ZINC000357686451 382279151 /nfs/dbraw/zinc/27/91/51/382279151.db2.gz SIQRNCWLWIKJQW-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN Cc1ccc(-c2ccncc2)cc1NC(=O)[C@H]1CC1[N+](=O)[O-] ZINC000377514477 382282623 /nfs/dbraw/zinc/28/26/23/382282623.db2.gz ZNDRHBOSOYEALK-ZFWWWQNUSA-N 0 0 297.314 2.661 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000352367166 382292198 /nfs/dbraw/zinc/29/21/98/382292198.db2.gz LZKHBMPBTHKGAG-NWDGAFQWSA-N 0 0 287.319 2.544 20 5 CFBDRN Cc1c(NC(=O)NCC2(C)CC2)cccc1[N+](=O)[O-] ZINC000357703654 382286423 /nfs/dbraw/zinc/28/64/23/382286423.db2.gz CCMOSAYULAHUMF-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN CO[C@@H](CNc1nc2ccccc2cc1[N+](=O)[O-])C(C)C ZINC000352354858 382287073 /nfs/dbraw/zinc/28/70/73/382287073.db2.gz FEAOBNJQVKAPIX-AWEZNQCLSA-N 0 0 289.335 2.648 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1nccs1 ZINC000352359638 382288900 /nfs/dbraw/zinc/28/89/00/382288900.db2.gz IWLXNNVNOPECQA-UHFFFAOYSA-N 0 0 286.316 2.634 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCc3nc[nH]c3C2)c1 ZINC000357583542 382239740 /nfs/dbraw/zinc/23/97/40/382239740.db2.gz QOXOMBDULPXPBS-SNVBAGLBSA-N 0 0 272.308 2.596 20 5 CFBDRN CC(C)C[C@@H](CO)N(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000302406204 382246438 /nfs/dbraw/zinc/24/64/38/382246438.db2.gz LRXQWDSVOQJBLI-NSHDSACASA-N 0 0 270.304 2.577 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1SCc1c(F)cccc1F ZINC000357606911 382249074 /nfs/dbraw/zinc/24/90/74/382249074.db2.gz IBYNBBZBJGJHFL-UHFFFAOYSA-N 0 0 285.275 2.899 20 5 CFBDRN CN(CCc1ccncc1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302423803 382249745 /nfs/dbraw/zinc/24/97/45/382249745.db2.gz JPXFJGILEWGHBV-UHFFFAOYSA-N 0 0 298.346 2.637 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@H]2CCO)c(F)c1 ZINC000302461525 382251934 /nfs/dbraw/zinc/25/19/34/382251934.db2.gz RODVJTDFNMSPGE-CABZTGNLSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1cccc(N2CCC[C@H]([C@H](C)O)C2)c1[N+](=O)[O-] ZINC000302463336 382252039 /nfs/dbraw/zinc/25/20/39/382252039.db2.gz ARQIEBUZGWDJGF-RYUDHWBXSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cccc(N2CCC[C@H]([C@@H](C)O)C2)c1[N+](=O)[O-] ZINC000302463334 382252045 /nfs/dbraw/zinc/25/20/45/382252045.db2.gz ARQIEBUZGWDJGF-NEPJUHHUSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](OCC3CC3)C2)n1 ZINC000364031975 382252903 /nfs/dbraw/zinc/25/29/03/382252903.db2.gz DDMSHEUAKWKANQ-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN COCC1(C)CCN(c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000344290075 382253626 /nfs/dbraw/zinc/25/36/26/382253626.db2.gz LVVXMBDEHZYLMP-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN Cc1cccc(N(C)CCCn2ccnc2)c1[N+](=O)[O-] ZINC000302502639 382257753 /nfs/dbraw/zinc/25/77/53/382257753.db2.gz PEPGAXAEEXJRBC-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN Cc1cccc(N2CC[C@]3(CCOC3)C2)c1[N+](=O)[O-] ZINC000302507759 382259306 /nfs/dbraw/zinc/25/93/06/382259306.db2.gz RIOOVPBHQWOGFW-AWEZNQCLSA-N 0 0 262.309 2.520 20 5 CFBDRN CCN(C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)[C@H](C)CO ZINC000293741565 382259882 /nfs/dbraw/zinc/25/98/82/382259882.db2.gz VKHOLOSZVMENSD-LLVKDONJSA-N 0 0 294.351 2.561 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]3OCCC[C@H]23)c(F)cc1[N+](=O)[O-] ZINC000302514218 382260792 /nfs/dbraw/zinc/26/07/92/382260792.db2.gz ADXWYVWUYAWXRH-ZDSQKVDBSA-N 0 0 296.298 2.722 20 5 CFBDRN CCC[C@@H](CNc1nc(C)ccc1[N+](=O)[O-])OC ZINC000293746066 382261583 /nfs/dbraw/zinc/26/15/83/382261583.db2.gz SUGPUGFRTBSWQU-JTQLQIEISA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H]3OCCC[C@H]23)ccc1[N+](=O)[O-] ZINC000302531267 382263793 /nfs/dbraw/zinc/26/37/93/382263793.db2.gz FMRFXHIYNGXPBY-BZPMIXESSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1ncc2c(n1)CC[C@H](Nc1ccc([N+](=O)[O-])cc1)C2 ZINC000364114324 382265598 /nfs/dbraw/zinc/26/55/98/382265598.db2.gz ZZQDDTBNZVVGDG-ZDUSSCGKSA-N 0 0 284.319 2.663 20 5 CFBDRN COCCCc1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000357663576 382269770 /nfs/dbraw/zinc/26/97/70/382269770.db2.gz KMHIAOBKVPMRBQ-UHFFFAOYSA-N 0 0 267.310 2.625 20 5 CFBDRN COC(C)(C)CNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000187791633 382269718 /nfs/dbraw/zinc/26/97/18/382269718.db2.gz DRDXDTVBKISJET-UHFFFAOYSA-N 0 0 294.351 2.873 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CSc2ccccc21 ZINC000344629035 382270603 /nfs/dbraw/zinc/27/06/03/382270603.db2.gz PBKDPINJAFPZJK-VIFPVBQESA-N 0 0 276.321 2.587 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2C[C@@H]3OCCC[C@H]23)c(F)c1 ZINC000302568835 382270839 /nfs/dbraw/zinc/27/08/39/382270839.db2.gz PTMFHROPZRKAJV-FXAINCCUSA-N 0 0 284.262 2.852 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000302573663 382271094 /nfs/dbraw/zinc/27/10/94/382271094.db2.gz REPCDGVNTKLSJB-FXAINCCUSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3ccncn3)C2)cc1 ZINC000377432608 382271369 /nfs/dbraw/zinc/27/13/69/382271369.db2.gz OTCSCXHOPUCJEJ-LBPRGKRZSA-N 0 0 284.319 2.769 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2CO1 ZINC000377451248 382272222 /nfs/dbraw/zinc/27/22/22/382272222.db2.gz DYBQNSWUKUALTD-UHFFFAOYSA-N 0 0 298.298 2.611 20 5 CFBDRN Cc1cc2n[nH]cc2cc1Nc1ncccc1[N+](=O)[O-] ZINC000302728175 382304199 /nfs/dbraw/zinc/30/41/99/382304199.db2.gz QIHJHTFRSCTTSE-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000302752008 382310572 /nfs/dbraw/zinc/31/05/72/382310572.db2.gz HLTDCLHEVAENKA-NWDGAFQWSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H](CO)C1CC1 ZINC000357776415 382316380 /nfs/dbraw/zinc/31/63/80/382316380.db2.gz VIJVKKRBDPZYFQ-SECBINFHSA-N 0 0 270.716 2.679 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000302781091 382316705 /nfs/dbraw/zinc/31/67/05/382316705.db2.gz RSANIIWBCZZUER-DTWKUNHWSA-N 0 0 299.758 2.963 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H](C)[C@@H](C)CO ZINC000302799785 382321844 /nfs/dbraw/zinc/32/18/44/382321844.db2.gz BSMBXYFZVRHUPA-DTWKUNHWSA-N 0 0 272.732 2.985 20 5 CFBDRN CC(C)C(CNc1ccc2ncc([N+](=O)[O-])n2n1)C(C)C ZINC000302797423 382322003 /nfs/dbraw/zinc/32/20/03/382322003.db2.gz ANQFWYQOZYRTEG-UHFFFAOYSA-N 0 0 291.355 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC[C@H](c2cc[nH]n2)C1 ZINC000302819419 382325442 /nfs/dbraw/zinc/32/54/42/382325442.db2.gz OGAHSZUFOKPROW-JTQLQIEISA-N 0 0 290.298 2.841 20 5 CFBDRN CN(c1cc(F)ccc1[N+](=O)[O-])[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000302821072 382326123 /nfs/dbraw/zinc/32/61/23/382326123.db2.gz QCQLUCFVGMHHCY-LERXQTSPSA-N 0 0 294.326 2.984 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N(C)Cc1cc[nH]n1 ZINC000352426867 382327351 /nfs/dbraw/zinc/32/73/51/382327351.db2.gz ZBAFBRPKTCHKOM-LLVKDONJSA-N 0 0 274.324 2.819 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1ncc(C)s1 ZINC000302827535 382329752 /nfs/dbraw/zinc/32/97/52/382329752.db2.gz IALCKTSQSOQTKT-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN COCC1(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000352457317 382343451 /nfs/dbraw/zinc/34/34/51/382343451.db2.gz WCCKHTNSOSCFPF-LLVKDONJSA-N 0 0 292.335 2.507 20 5 CFBDRN C[C@@H]1CC[C@@](CO)([N@H+](C)Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000187918467 382295957 /nfs/dbraw/zinc/29/59/57/382295957.db2.gz GEDIOYFVSRSUAY-IAQYHMDHSA-N 0 0 296.342 2.717 20 5 CFBDRN CC(C)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293836028 382299384 /nfs/dbraw/zinc/29/93/84/382299384.db2.gz BQDQWCQIHCEQJB-UHFFFAOYSA-N 0 0 250.298 2.602 20 5 CFBDRN Cc1cccc(N(C)CC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000302720589 382300852 /nfs/dbraw/zinc/30/08/52/382300852.db2.gz PCCJFNNAAHWBFE-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)CCCO1 ZINC000294056170 382396399 /nfs/dbraw/zinc/39/63/99/382396399.db2.gz MMAOTPJRKAZNBE-AWEZNQCLSA-N 0 0 264.325 2.846 20 5 CFBDRN C[C@H]1CCC[C@@]1(O)CNc1ccccc1[N+](=O)[O-] ZINC000293961576 382355464 /nfs/dbraw/zinc/35/54/64/382355464.db2.gz HFXPTAGYNRXLGR-GXFFZTMASA-N 0 0 250.298 2.558 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1cn2ccnc2s1 ZINC000188171744 382360950 /nfs/dbraw/zinc/36/09/50/382360950.db2.gz MPEZFCZHZMHERA-UHFFFAOYSA-N 0 0 288.288 2.556 20 5 CFBDRN Cc1c(CNc2ccnc3ccncc32)cccc1[N+](=O)[O-] ZINC000357883737 382361529 /nfs/dbraw/zinc/36/15/29/382361529.db2.gz UEBUUDRYHQJRQU-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN Cc1cnc(COc2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000352563864 382396902 /nfs/dbraw/zinc/39/69/02/382396902.db2.gz UMIGIRLWYGPGJQ-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN C[C@H]1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000293994715 382369396 /nfs/dbraw/zinc/36/93/96/382369396.db2.gz HUWUOCJZPPRBCD-UWVGGRQHSA-N 0 0 294.326 2.878 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2OC[C@H]3CCC[C@@H]23)cc1[N+](=O)[O-] ZINC000377985413 382379870 /nfs/dbraw/zinc/37/98/70/382379870.db2.gz ACSCYNBABXMFDT-MPKXVKKWSA-N 0 0 290.319 2.657 20 5 CFBDRN CC[C@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000357936610 382381505 /nfs/dbraw/zinc/38/15/05/382381505.db2.gz LZBUDYFDSNPHEZ-NSHDSACASA-N 0 0 280.324 2.539 20 5 CFBDRN COCC1(CCNC(=O)c2csc([N+](=O)[O-])c2)CCC1 ZINC000294021664 382381709 /nfs/dbraw/zinc/38/17/09/382381709.db2.gz ZHOUYXCYCABSGH-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1C[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000294030762 382386806 /nfs/dbraw/zinc/38/68/06/382386806.db2.gz OFVHFEOSKHHUCV-CVEARBPZSA-N 0 0 288.347 2.738 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])N1CCCC12CCC2 ZINC000365628187 382425105 /nfs/dbraw/zinc/42/51/05/382425105.db2.gz QDUDEATZCKFVNY-UHFFFAOYSA-N 0 0 289.335 2.552 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(N)c2F)CCO1 ZINC000420615398 382427298 /nfs/dbraw/zinc/42/72/98/382427298.db2.gz DDXJXJZPQMEZNN-RKDXNWHRSA-N 0 0 283.303 2.686 20 5 CFBDRN CC[C@H]1C[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)CCO1 ZINC000420615561 382427611 /nfs/dbraw/zinc/42/76/11/382427611.db2.gz DOGRPRUTSZVQES-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)ccn1 ZINC000358046420 382427957 /nfs/dbraw/zinc/42/79/57/382427957.db2.gz IFIZZEUNKCLDEY-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@H]1CC[C@H](COc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000188533465 382430282 /nfs/dbraw/zinc/43/02/82/382430282.db2.gz LULFUUJMKAUSQQ-WCBMZHEXSA-N 0 0 255.245 2.680 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)c([N+](=O)[O-])cn2)C[C@@H](CC)O1 ZINC000420634180 382436431 /nfs/dbraw/zinc/43/64/31/382436431.db2.gz SVMJNHQEGXLUKB-VXGBXAGGSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@@H]1CN(c2nccc(C)c2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000420637717 382437742 /nfs/dbraw/zinc/43/77/42/382437742.db2.gz WLONZUPJWDQIJN-VXGBXAGGSA-N 0 0 279.340 2.692 20 5 CFBDRN CC(C)(CCC(=O)N1CCCc2ccccc21)[N+](=O)[O-] ZINC000294163590 382440558 /nfs/dbraw/zinc/44/05/58/382440558.db2.gz BRJKSCBJAWNLFI-UHFFFAOYSA-N 0 0 276.336 2.801 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)CC2CCCC2)cc1 ZINC000188647236 382448794 /nfs/dbraw/zinc/44/87/94/382448794.db2.gz SLTRLTXZFBWFGU-CYBMUJFWSA-N 0 0 265.309 2.915 20 5 CFBDRN CCOc1cc(OCc2cc(C)no2)ccc1[N+](=O)[O-] ZINC000188611517 382443251 /nfs/dbraw/zinc/44/32/51/382443251.db2.gz ZCPLOBVXDRTPEC-UHFFFAOYSA-N 0 0 278.264 2.869 20 5 CFBDRN CCc1cnccc1CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000352572610 382401195 /nfs/dbraw/zinc/40/11/95/382401195.db2.gz KDAMCBLOCRBBLL-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN C[C@H]1CCC[C@@H](Nc2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420591664 382415131 /nfs/dbraw/zinc/41/51/31/382415131.db2.gz XNAUCZXEEKUEJL-VHSXEESVSA-N 0 0 277.324 2.684 20 5 CFBDRN CC1(C)CC[C@@H](Nc2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420600752 382418618 /nfs/dbraw/zinc/41/86/18/382418618.db2.gz FUHPENYUWHCXKI-SECBINFHSA-N 0 0 277.324 2.684 20 5 CFBDRN CSc1ccc(C(=O)N2CCC[C@@H]2CF)cc1[N+](=O)[O-] ZINC000294184712 382450031 /nfs/dbraw/zinc/45/00/31/382450031.db2.gz UANUTKJGQCCYAL-SNVBAGLBSA-N 0 0 298.339 2.891 20 5 CFBDRN CC(C)[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000294188952 382452074 /nfs/dbraw/zinc/45/20/74/382452074.db2.gz SUESEMGZOXHCRB-LBPRGKRZSA-N 0 0 299.758 2.895 20 5 CFBDRN Cc1cccc(C(=O)N2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000378393360 382454495 /nfs/dbraw/zinc/45/44/95/382454495.db2.gz VPDUSQGVPKNQGU-UHFFFAOYSA-N 0 0 274.320 2.918 20 5 CFBDRN C[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000294198982 382455246 /nfs/dbraw/zinc/45/52/46/382455246.db2.gz HBTRGWPEHWOEAG-LLVKDONJSA-N 0 0 264.325 2.699 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1ncc([N+](=O)[O-])cc1C)OC ZINC000294236408 382472089 /nfs/dbraw/zinc/47/20/89/382472089.db2.gz OQWLEBIRGFSNHD-SKDRFNHKSA-N 0 0 267.329 2.771 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCOCC(F)(F)C(F)F)c1 ZINC000188732341 382465847 /nfs/dbraw/zinc/46/58/47/382465847.db2.gz PZKSSMXWWYXJMV-UHFFFAOYSA-N 0 0 297.204 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC(F)(F)C(F)F)cc1 ZINC000188730386 382466453 /nfs/dbraw/zinc/46/64/53/382466453.db2.gz GYUHHUBBOGNEAQ-UHFFFAOYSA-N 0 0 297.204 2.891 20 5 CFBDRN CCC1(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)CCC1 ZINC000188771865 382473545 /nfs/dbraw/zinc/47/35/45/382473545.db2.gz ZKVAEIRGQYBAFS-UHFFFAOYSA-N 0 0 292.335 2.974 20 5 CFBDRN O=C(NCc1cc2ccccc2[nH]1)c1ccc([N+](=O)[O-])o1 ZINC000346707312 382485535 /nfs/dbraw/zinc/48/55/35/382485535.db2.gz GZRIAXUCFBVJHP-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCCc2occc21)[N+](=O)[O-] ZINC000294272456 382487668 /nfs/dbraw/zinc/48/76/68/382487668.db2.gz HEMGHWGREWBFJH-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC[C@@H]2CCCO2)c1 ZINC000188836314 382488408 /nfs/dbraw/zinc/48/84/08/382488408.db2.gz BUWLUXPUEWBUEV-NSHDSACASA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1cc(OCC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000188836062 382488867 /nfs/dbraw/zinc/48/88/67/382488867.db2.gz UJNWWDBRMACVFI-LLVKDONJSA-N 0 0 251.282 2.851 20 5 CFBDRN CCC(=O)c1ccc(N2CCC[C@H](O)CC2)c([N+](=O)[O-])c1 ZINC000294307568 382503883 /nfs/dbraw/zinc/50/38/83/382503883.db2.gz YTIDSKHNJUXGMW-LBPRGKRZSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@H]2CCCO2)c(F)c1 ZINC000188961891 382512381 /nfs/dbraw/zinc/51/23/81/382512381.db2.gz SMXQELGFSQOGOX-SNVBAGLBSA-N 0 0 255.245 2.682 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H](C)CC2(C)C)cc1[N+](=O)[O-] ZINC000380566796 382514934 /nfs/dbraw/zinc/51/49/34/382514934.db2.gz PKNVATRTFVHMNM-SNVBAGLBSA-N 0 0 291.351 2.897 20 5 CFBDRN Cc1c(OCC[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000188971112 382515215 /nfs/dbraw/zinc/51/52/15/382515215.db2.gz CILCJWHZEKKBKN-NSHDSACASA-N 0 0 251.282 2.851 20 5 CFBDRN CC[C@@H](CNc1c2ccccc2ncc1[N+](=O)[O-])OC ZINC000312810531 382517407 /nfs/dbraw/zinc/51/74/07/382517407.db2.gz LMWMKQIHOABBSB-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN COC[C@]1(C)CCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000294940248 382544243 /nfs/dbraw/zinc/54/42/43/382544243.db2.gz LBQMKPBCMKFCOY-CQSZACIVSA-N 0 0 264.325 2.766 20 5 CFBDRN CCOCCN(CC)C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421460845 382545188 /nfs/dbraw/zinc/54/51/88/382545188.db2.gz QLGHPRMDOSQBHJ-UHFFFAOYSA-N 0 0 294.351 2.710 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H](C)CCCO ZINC000294948727 382546386 /nfs/dbraw/zinc/54/63/86/382546386.db2.gz LXNWLGBRZIOPHY-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC1(C)CC1 ZINC000421482031 382553451 /nfs/dbraw/zinc/55/34/51/382553451.db2.gz JWWPUFNXHRQUSG-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@H]2CC[C@H](O)C2)c1 ZINC000294968075 382557246 /nfs/dbraw/zinc/55/72/46/382557246.db2.gz LMUYZFNFHVGIGT-GWCFXTLKSA-N 0 0 287.319 2.716 20 5 CFBDRN COC[C@]1(C)CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000295024858 382563624 /nfs/dbraw/zinc/56/36/24/382563624.db2.gz UXGMVGKPQJCUOI-CYBMUJFWSA-N 0 0 268.288 2.597 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H](C)CC(C)(C)O ZINC000295046258 382565124 /nfs/dbraw/zinc/56/51/24/382565124.db2.gz TZBOAKKDCJDDFO-SNVBAGLBSA-N 0 0 252.314 2.865 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@H](C)C1 ZINC000421518114 382566835 /nfs/dbraw/zinc/56/68/35/382566835.db2.gz YNHIDCGQHBPPCO-TXEJJXNPSA-N 0 0 276.336 2.940 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421518298 382566919 /nfs/dbraw/zinc/56/69/19/382566919.db2.gz OJFHEZWULYYZQY-UHFFFAOYSA-N 0 0 286.278 2.939 20 5 CFBDRN COC1(CNc2ccc(N)cc2[N+](=O)[O-])CCCC1 ZINC000295057277 382569891 /nfs/dbraw/zinc/56/98/91/382569891.db2.gz YTBJDYJRYHZBLG-UHFFFAOYSA-N 0 0 265.313 2.548 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC1(C(F)F)CC1 ZINC000421535996 382571905 /nfs/dbraw/zinc/57/19/05/382571905.db2.gz DIJXKJWAGWNBTD-UHFFFAOYSA-N 0 0 284.262 2.739 20 5 CFBDRN CC[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000346923756 382573573 /nfs/dbraw/zinc/57/35/73/382573573.db2.gz QZBFDBDIYSTITH-ZCFIWIBFSA-N 0 0 282.243 2.727 20 5 CFBDRN CC(C)[C@H](NC(=O)c1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000346926568 382575180 /nfs/dbraw/zinc/57/51/80/382575180.db2.gz TYALVIMEXYFSSZ-QMMMGPOBSA-N 0 0 296.270 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSc2cccnn2)cc1 ZINC000358261862 382533768 /nfs/dbraw/zinc/53/37/68/382533768.db2.gz KIVYTTRNDYIIKT-UHFFFAOYSA-N 0 0 261.306 2.720 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000421433637 382535379 /nfs/dbraw/zinc/53/53/79/382535379.db2.gz VTFOOAZLDLJUQJ-AXFHLTTASA-N 0 0 260.293 2.970 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000294870438 382535500 /nfs/dbraw/zinc/53/55/00/382535500.db2.gz GVJPCNKXFFLOLV-WPRPVWTQSA-N 0 0 284.262 2.736 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000346952027 382583810 /nfs/dbraw/zinc/58/38/10/382583810.db2.gz OXIKNDNDZARFBV-MRVPVSSYSA-N 0 0 280.202 2.505 20 5 CFBDRN CC[C@H]1[C@H](CO)CCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000386260394 382584933 /nfs/dbraw/zinc/58/49/33/382584933.db2.gz KHZVPSVVBWACSR-AAEUAGOBSA-N 0 0 264.325 2.500 20 5 CFBDRN CC[C@@H]1[C@@H](CO)CCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000386241526 382585124 /nfs/dbraw/zinc/58/51/24/382585124.db2.gz HYSNTQUCWYUZDQ-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN CC[C@H](C)CNC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000421578660 382589244 /nfs/dbraw/zinc/58/92/44/382589244.db2.gz IWPWNWXSCMEMDR-NSHDSACASA-N 0 0 289.335 2.712 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCCOCC(F)F ZINC000189317462 382591149 /nfs/dbraw/zinc/59/11/49/382591149.db2.gz ZRYMYRKQNJIUTK-UHFFFAOYSA-N 0 0 281.642 2.909 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CC23CCOCC3)c(F)c1 ZINC000386915439 382593837 /nfs/dbraw/zinc/59/38/37/382593837.db2.gz DEGVKDQLFPZFTI-NSHDSACASA-N 0 0 284.262 2.854 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CC23CCCC3)cccc1[N+](=O)[O-] ZINC000387090077 382595859 /nfs/dbraw/zinc/59/58/59/382595859.db2.gz CVLRHSOMHOGBHW-GFCCVEGCSA-N 0 0 289.335 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@@H]2CCCO2)c1 ZINC000295259298 382601430 /nfs/dbraw/zinc/60/14/30/382601430.db2.gz HMBXIQQNFCSDPR-LBPRGKRZSA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC[C@@H]2CCCS2)s1 ZINC000388141287 382603476 /nfs/dbraw/zinc/60/34/76/382603476.db2.gz OHEGSOLBBJVFQV-ZETCQYMHSA-N 0 0 296.377 2.907 20 5 CFBDRN C[C@H](NC(=O)CC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000295275163 382605282 /nfs/dbraw/zinc/60/52/82/382605282.db2.gz HFLREMCQSVQPTQ-FZMZJTMJSA-N 0 0 292.335 2.731 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC[C@H](O)CC(F)(F)F ZINC000189412943 382605540 /nfs/dbraw/zinc/60/55/40/382605540.db2.gz HULHBRXZSGDLGH-ZCFIWIBFSA-N 0 0 299.632 2.940 20 5 CFBDRN Cn1cncc1CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000388391217 382605987 /nfs/dbraw/zinc/60/59/87/382605987.db2.gz CCEVMQZBMHMSSA-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN C[C@H](NC(=O)[C@H]1O[C@H](C)C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000295282969 382607021 /nfs/dbraw/zinc/60/70/21/382607021.db2.gz HVYYFWXRZLYCID-MIJXAVMKSA-N 0 0 292.335 2.586 20 5 CFBDRN COc1cc(NC(=O)c2cccc([N+](=O)[O-])c2)ccc1F ZINC000189466110 382613383 /nfs/dbraw/zinc/61/33/83/382613383.db2.gz BFQGCXFKGSWWLZ-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCCC1(O)CCC1 ZINC000397732396 382633948 /nfs/dbraw/zinc/63/39/48/382633948.db2.gz WUPFALVVPGMPTP-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1CCCCCC1 ZINC000389668839 382616523 /nfs/dbraw/zinc/61/65/23/382616523.db2.gz WCLAYQCRIKKRBS-UHFFFAOYSA-N 0 0 252.318 2.711 20 5 CFBDRN Nc1c(C(=O)Nc2cccc(C3CC3)n2)cccc1[N+](=O)[O-] ZINC000353719001 382616663 /nfs/dbraw/zinc/61/66/63/382616663.db2.gz ACKNGNAQSDDJHT-UHFFFAOYSA-N 0 0 298.302 2.702 20 5 CFBDRN CCC1(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000295330581 382618395 /nfs/dbraw/zinc/61/83/95/382618395.db2.gz AOLOXNGZAXJDCQ-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2cccs2)s1 ZINC000189681114 382635072 /nfs/dbraw/zinc/63/50/72/382635072.db2.gz OCDGHAZMJLAAPT-UHFFFAOYSA-N 0 0 255.324 2.767 20 5 CFBDRN COCC1(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)CC1 ZINC000353766072 382628622 /nfs/dbraw/zinc/62/86/22/382628622.db2.gz KXPZAMXFMFNPFX-UHFFFAOYSA-N 0 0 278.308 2.577 20 5 CFBDRN CC[C@@H]1[C@@H](CO)CCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000385908120 382579939 /nfs/dbraw/zinc/57/99/39/382579939.db2.gz HESUYKIWVZDXMJ-MWLCHTKSSA-N 0 0 284.743 2.845 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1CC[C@H](C)O1 ZINC000421562893 382581445 /nfs/dbraw/zinc/58/14/45/382581445.db2.gz YIIVSSSSDAONOV-RYUDHWBXSA-N 0 0 292.335 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CC[C@@H]1CCCO1)CC2 ZINC000189939695 382646317 /nfs/dbraw/zinc/64/63/17/382646317.db2.gz NNPQVUIKYYMULF-ZDUSSCGKSA-N 0 0 262.309 2.526 20 5 CFBDRN COC(=O)c1cnc(NC[C@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000190170153 382655644 /nfs/dbraw/zinc/65/56/44/382655644.db2.gz XFQDICPJOUCGHP-JTQLQIEISA-N 0 0 291.307 2.545 20 5 CFBDRN COc1cc(C(=O)Nc2cccnc2)cc([N+](=O)[O-])c1C ZINC000190310174 382661550 /nfs/dbraw/zinc/66/15/50/382661550.db2.gz QOZPLOQVLBGIPE-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cc(C(=O)NCCC(C)C)cc([N+](=O)[O-])c1C ZINC000190323582 382661772 /nfs/dbraw/zinc/66/17/72/382661772.db2.gz JEHPOQFAEAJQQD-UHFFFAOYSA-N 0 0 280.324 2.688 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](c3nccs3)C2)s1 ZINC000190322902 382661853 /nfs/dbraw/zinc/66/18/53/382661853.db2.gz VJLRHNKBCUHZGU-MRVPVSSYSA-N 0 0 296.377 2.892 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](c3nccs3)C2)s1 ZINC000190322920 382661972 /nfs/dbraw/zinc/66/19/72/382661972.db2.gz VJLRHNKBCUHZGU-QMMMGPOBSA-N 0 0 296.377 2.892 20 5 CFBDRN CC[C@](C)(CO)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190323718 382662025 /nfs/dbraw/zinc/66/20/25/382662025.db2.gz CGHCGYMEUFWATK-OAHLLOKOSA-N 0 0 294.351 2.609 20 5 CFBDRN Cc1cc([C@](C)(O)CNc2ncc([N+](=O)[O-])s2)c(C)o1 ZINC000190330404 382662324 /nfs/dbraw/zinc/66/23/24/382662324.db2.gz ZWCCKCFWLQMAMK-GFCCVEGCSA-N 0 0 297.336 2.581 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2ncc([N+](=O)[O-])s2)C1(C)C ZINC000190330031 382662399 /nfs/dbraw/zinc/66/23/99/382662399.db2.gz OINWTEOJTBMFDW-BDAKNGLRSA-N 0 0 285.369 2.691 20 5 CFBDRN CC(=O)c1ccc(CNc2ncc([N+](=O)[O-])s2)nc1C ZINC000190337838 382662923 /nfs/dbraw/zinc/66/29/23/382662923.db2.gz JDTSFUVPYULFHL-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCCO[C@@H]2C)cccc1[N+](=O)[O-] ZINC000190427092 382667595 /nfs/dbraw/zinc/66/75/95/382667595.db2.gz ZICVCXMXZGAAJG-MNOVXSKESA-N 0 0 278.308 2.657 20 5 CFBDRN CCC(CC)(CC)[S@](=O)CCn1cc([N+](=O)[O-])cn1 ZINC000190571480 382673642 /nfs/dbraw/zinc/67/36/42/382673642.db2.gz KSHBMLKGQMBTJN-LJQANCHMSA-N 0 0 287.385 2.509 20 5 CFBDRN C[C@@H]1CC[C@@H](COc2ccc([N+](=O)[O-])cc2F)O1 ZINC000190639575 382676124 /nfs/dbraw/zinc/67/61/24/382676124.db2.gz XHGHIOLILDVETG-SCZZXKLOSA-N 0 0 255.245 2.680 20 5 CFBDRN CC[C@@H](SC)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191017474 382688542 /nfs/dbraw/zinc/68/85/42/382688542.db2.gz KWWADJIAYABKBT-LLVKDONJSA-N 0 0 285.321 2.650 20 5 CFBDRN CC[C@H](SC)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191017462 382688651 /nfs/dbraw/zinc/68/86/51/382688651.db2.gz KWWADJIAYABKBT-NSHDSACASA-N 0 0 285.321 2.650 20 5 CFBDRN Cc1nc([C@H](C)OC(=O)c2cc([N+](=O)[O-])c(C)s2)no1 ZINC000191313105 382698289 /nfs/dbraw/zinc/69/82/89/382698289.db2.gz JFWYUDCAWBPFQW-YFKPBYRVSA-N 0 0 297.292 2.574 20 5 CFBDRN CO[C@@H]1CC[C@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000191746052 382702235 /nfs/dbraw/zinc/70/22/35/382702235.db2.gz UJGURNJOGZAYSY-VHSXEESVSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cc(=O)c(OCc2c(F)cccc2[N+](=O)[O-])co1 ZINC000191982923 382704008 /nfs/dbraw/zinc/70/40/08/382704008.db2.gz BICCBLBMHXKYQB-UHFFFAOYSA-N 0 0 279.223 2.575 20 5 CFBDRN C[C@@](O)(CCNc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000192540712 382709877 /nfs/dbraw/zinc/70/98/77/382709877.db2.gz LNVOFEFNNQXOCO-CYBMUJFWSA-N 0 0 293.348 2.761 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H](C)c1cccc(O)c1 ZINC000192556154 382710046 /nfs/dbraw/zinc/71/00/46/382710046.db2.gz UNFPUBXBSXOURF-VIFPVBQESA-N 0 0 290.323 2.998 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc(OC3COC3)cc2)s1 ZINC000192542814 382710118 /nfs/dbraw/zinc/71/01/18/382710118.db2.gz OEXAYQDZHSSSRZ-UHFFFAOYSA-N 0 0 293.304 2.573 20 5 CFBDRN CCOC1CC(CCNc2ncc([N+](=O)[O-])s2)C1 ZINC000192547578 382710126 /nfs/dbraw/zinc/71/01/26/382710126.db2.gz SRBOTSSCNQUGMC-UHFFFAOYSA-N 0 0 271.342 2.668 20 5 CFBDRN COc1cc(NCc2ncc(C)o2)c([N+](=O)[O-])cc1OC ZINC000192732891 382711584 /nfs/dbraw/zinc/71/15/84/382711584.db2.gz ZWHSENUBZPPCER-UHFFFAOYSA-N 0 0 293.279 2.521 20 5 CFBDRN COc1cccc(C(=O)N2CC[C@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000192837761 382712842 /nfs/dbraw/zinc/71/28/42/382712842.db2.gz XHPVSBHWUDHMRG-WDEREUQCSA-N 0 0 292.335 2.722 20 5 CFBDRN CCC(CC)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000193148785 382716394 /nfs/dbraw/zinc/71/63/94/382716394.db2.gz BXUZQAMOVXZCQH-UHFFFAOYSA-N 0 0 276.296 2.846 20 5 CFBDRN CCCCN(CCCC)C(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000193416924 382719632 /nfs/dbraw/zinc/71/96/32/382719632.db2.gz YYURZKFJBRMBIB-UHFFFAOYSA-N 0 0 295.339 2.738 20 5 CFBDRN C[C@@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@@H](C)S1 ZINC000575075998 382726551 /nfs/dbraw/zinc/72/65/51/382726551.db2.gz VDSFSPNYENIBSK-NXEZZACHSA-N 0 0 293.392 2.893 20 5 CFBDRN COc1cc(CNc2c(F)cccc2[N+](=O)[O-])ccn1 ZINC000336840144 382731237 /nfs/dbraw/zinc/73/12/37/382731237.db2.gz PRDOTISMXLAHDF-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN COc1ncccc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000336841329 382731527 /nfs/dbraw/zinc/73/15/27/382731527.db2.gz RNAKHZAFHUVOKX-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN COc1cccc(C(=O)N2C[C@H](C)C[C@H](C)C2)c1[N+](=O)[O-] ZINC000195310568 382766241 /nfs/dbraw/zinc/76/62/41/382766241.db2.gz CJYCLGMHKIODND-PHIMTYICSA-N 0 0 292.335 2.722 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCc2ccccc21 ZINC000195329935 382766576 /nfs/dbraw/zinc/76/65/76/382766576.db2.gz HWYPCUZIMJOAPT-UHFFFAOYSA-N 0 0 272.308 2.814 20 5 CFBDRN CCCCN(CCCC)C(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000195421268 382767390 /nfs/dbraw/zinc/76/73/90/382767390.db2.gz XHVWNWTZYOUTJG-UHFFFAOYSA-N 0 0 296.371 2.923 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](C(F)(F)F)C2)s1 ZINC000195505743 382768264 /nfs/dbraw/zinc/76/82/64/382768264.db2.gz WOJVWWKEXRINGC-ZCFIWIBFSA-N 0 0 281.259 2.830 20 5 CFBDRN CCSCCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337176114 382772013 /nfs/dbraw/zinc/77/20/13/382772013.db2.gz IPKOHGOLKWRMMB-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN C[C@H]1C[C@@H]([NH2+]Cc2cc([N+](=O)[O-])ccc2Cl)CCO1 ZINC000219903325 382794471 /nfs/dbraw/zinc/79/44/71/382794471.db2.gz SFYGNKJUARDCCE-ONGXEEELSA-N 0 0 284.743 2.905 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@H]3CCCC[C@@H]3O)ccnc21 ZINC000214747205 382776994 /nfs/dbraw/zinc/77/69/94/382776994.db2.gz NJZSXZLBQMASAX-JSGCOSHPSA-N 0 0 287.319 2.858 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2cnc3ccccc3c2)c1=O ZINC000337232811 382781539 /nfs/dbraw/zinc/78/15/39/382781539.db2.gz VVMUNMKBJYIZPJ-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN COc1cccc(NCc2ccc(F)cn2)c1[N+](=O)[O-] ZINC000337244384 382784291 /nfs/dbraw/zinc/78/42/91/382784291.db2.gz IHWSANOVPIOLDS-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000220152911 382795368 /nfs/dbraw/zinc/79/53/68/382795368.db2.gz KOGDBFAVCKCWHS-BDAKNGLRSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCO1 ZINC000220162766 382795401 /nfs/dbraw/zinc/79/54/01/382795401.db2.gz JTVKLXKFDPSWQY-IUCAKERBSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccnc(CNc2nc3sccn3c2[N+](=O)[O-])c1 ZINC000336896556 382738765 /nfs/dbraw/zinc/73/87/65/382738765.db2.gz NZSMAHCFKDGVEK-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN C[C@@H](NC(=O)N(C)CC(F)F)c1cccc([N+](=O)[O-])c1 ZINC000171743537 322411914 /nfs/dbraw/zinc/41/19/14/322411914.db2.gz XTEDAYCBQQBDKL-MRVPVSSYSA-N 0 0 287.266 2.562 20 5 CFBDRN CSC1(CNC(=O)c2ccccc2[N+](=O)[O-])CCC1 ZINC000194475507 382745117 /nfs/dbraw/zinc/74/51/17/382745117.db2.gz XMAZMXMFAVYUHQ-UHFFFAOYSA-N 0 0 280.349 2.610 20 5 CFBDRN CSC1(CNC(=O)c2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000194473625 382745242 /nfs/dbraw/zinc/74/52/42/382745242.db2.gz RHZOBHYOVJUBCT-UHFFFAOYSA-N 0 0 298.339 2.749 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000194611990 382749721 /nfs/dbraw/zinc/74/97/21/382749721.db2.gz MJSGZOWLLPWZNI-LBPRGKRZSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccc(NC(=O)NCc2nccs2)cc1[N+](=O)[O-] ZINC000336996342 382750283 /nfs/dbraw/zinc/75/02/83/382750283.db2.gz OIGLEGIGILRVJB-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CNC1(C(F)F)CC1 ZINC000337278437 382792726 /nfs/dbraw/zinc/79/27/26/382792726.db2.gz HMMRWJZVALPNJS-HYXAFXHYSA-N 0 0 268.263 2.995 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCO[C@@H]1CCCCO1 ZINC000194711874 382752630 /nfs/dbraw/zinc/75/26/30/382752630.db2.gz ORCLSRRGYJUYKT-CQSZACIVSA-N 0 0 297.307 2.525 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@]1(C)CCCS1 ZINC000194836047 382755454 /nfs/dbraw/zinc/75/54/54/382755454.db2.gz YKBMXRAUTUHWQV-CQSZACIVSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1CCCS1 ZINC000194829893 382755497 /nfs/dbraw/zinc/75/54/97/382755497.db2.gz YJXYYQDNQXEYIS-SNVBAGLBSA-N 0 0 280.349 2.529 20 5 CFBDRN CC(=O)c1cc(N(C)C[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000228260523 382846090 /nfs/dbraw/zinc/84/60/90/382846090.db2.gz NYRKMVJAARVUNB-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCSC2)c(Cl)c1 ZINC000228724071 382848750 /nfs/dbraw/zinc/84/87/50/382848750.db2.gz LTDFDJTYGHRWGN-SSDOTTSWSA-N 0 0 273.745 2.808 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CC[C@H](C)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000221430851 382799029 /nfs/dbraw/zinc/79/90/29/382799029.db2.gz XKSUYBMYFWLGDO-ATZCPNFKSA-N 0 0 266.345 2.873 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC1CCC1 ZINC000337329552 382803176 /nfs/dbraw/zinc/80/31/76/382803176.db2.gz ZTDDLBSWSIUJDY-MRVPVSSYSA-N 0 0 298.726 2.684 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1CCSC1 ZINC000224332358 382810239 /nfs/dbraw/zinc/81/02/39/382810239.db2.gz HSPYFSCGWBNVEA-QMMMGPOBSA-N 0 0 256.302 2.899 20 5 CFBDRN CC(C)C[C@@H](O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000224293504 382810400 /nfs/dbraw/zinc/81/04/00/382810400.db2.gz BZBZGOFDHQXOQW-LLVKDONJSA-N 0 0 289.335 2.962 20 5 CFBDRN CN(CCc1ccccc1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000337373381 382810976 /nfs/dbraw/zinc/81/09/76/382810976.db2.gz XXNXLLFQPKLFQV-UHFFFAOYSA-N 0 0 298.342 2.838 20 5 CFBDRN COCCC1(CNc2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000225366454 382814662 /nfs/dbraw/zinc/81/46/62/382814662.db2.gz IMDWJGBYTQFKMO-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ccccc1[N+](=O)[O-] ZINC000225748644 382820895 /nfs/dbraw/zinc/82/08/95/382820895.db2.gz NSFUAEXTNUXVDR-ZDUSSCGKSA-N 0 0 252.314 2.804 20 5 CFBDRN COc1ccccc1CCNc1nccc(C)c1[N+](=O)[O-] ZINC000227114932 382833931 /nfs/dbraw/zinc/83/39/31/382833931.db2.gz RQCXMMDMVIQFPU-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COc1cc(NCC2(OC)CCC2)c([N+](=O)[O-])cc1OC ZINC000227303791 382835098 /nfs/dbraw/zinc/83/50/98/382835098.db2.gz DSQZTVRCRSFJCH-UHFFFAOYSA-N 0 0 296.323 2.593 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000227523301 382837765 /nfs/dbraw/zinc/83/77/65/382837765.db2.gz CWDUPMNECSCRMI-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1c1ccccc1)c1csc([N+](=O)[O-])c1 ZINC000337544598 382839564 /nfs/dbraw/zinc/83/95/64/382839564.db2.gz KVENBALUXCJNTP-NWDGAFQWSA-N 0 0 288.328 2.942 20 5 CFBDRN CCOc1cccc(NCCC[C@@H](C)O)c1[N+](=O)[O-] ZINC000228000053 382843525 /nfs/dbraw/zinc/84/35/25/382843525.db2.gz MPZKRJZFFYDDPR-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2C)nc1 ZINC000338028549 382906831 /nfs/dbraw/zinc/90/68/31/382906831.db2.gz MDFKHYQRQOFFAW-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(C)(C)[C@@H]3COC[C@@H]32)c1 ZINC000578310882 382908399 /nfs/dbraw/zinc/90/83/99/382908399.db2.gz WVBCMZYSZOAUCW-RISCZKNCSA-N 0 0 276.336 2.764 20 5 CFBDRN CC(C)[C@H](CCO)Nc1ccc([N+](=O)[O-])cc1F ZINC000229941621 382854284 /nfs/dbraw/zinc/85/42/84/382854284.db2.gz JDCQHBOWNKYCJN-NSHDSACASA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC[C@@H](C)CO)c1 ZINC000230146971 382856366 /nfs/dbraw/zinc/85/63/66/382856366.db2.gz AGMVSKHDZGFTAL-LLVKDONJSA-N 0 0 252.314 2.724 20 5 CFBDRN CCCC1(CNc2c([N+](=O)[O-])c(C)nn2C)CC1 ZINC000230150195 382856381 /nfs/dbraw/zinc/85/63/81/382856381.db2.gz MLZNWFAFGKDSJN-UHFFFAOYSA-N 0 0 252.318 2.629 20 5 CFBDRN Cc1nc(NC[C@@H](O)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000230184989 382857048 /nfs/dbraw/zinc/85/70/48/382857048.db2.gz XGEJAHJHHLMHNL-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1nc(NCCCOCC(C)C)ccc1[N+](=O)[O-] ZINC000230182290 382857207 /nfs/dbraw/zinc/85/72/07/382857207.db2.gz QGKOXHAQJQDEQA-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CCOCC1 ZINC000230217067 382857483 /nfs/dbraw/zinc/85/74/83/382857483.db2.gz OKCBVYXUHIKGNS-SECBINFHSA-N 0 0 295.295 2.730 20 5 CFBDRN C[C@H](Nc1cccc(F)c1[N+](=O)[O-])C1CCOCC1 ZINC000230218005 382857507 /nfs/dbraw/zinc/85/75/07/382857507.db2.gz XZKGELTVOSFYAX-VIFPVBQESA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H]1C(F)F ZINC000337737573 382860193 /nfs/dbraw/zinc/86/01/93/382860193.db2.gz BCRJDFGBUPJBNB-IUCAKERBSA-N 0 0 270.235 2.743 20 5 CFBDRN COc1cc(N2CCO[C@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000413482015 532959295 /nfs/dbraw/zinc/95/92/95/532959295.db2.gz VBEGKIHPPQDWAB-HNNXBMFYSA-N 0 0 292.335 2.609 20 5 CFBDRN CCc1cnc(CNCc2ccccc2[N+](=O)[O-])s1 ZINC000230707206 382862704 /nfs/dbraw/zinc/86/27/04/382862704.db2.gz RJCOAXROVLCSBN-UHFFFAOYSA-N 0 0 277.349 2.904 20 5 CFBDRN Cc1cc(COc2c(Cl)cccc2[N+](=O)[O-])n(C)n1 ZINC000577530315 382862833 /nfs/dbraw/zinc/86/28/33/382862833.db2.gz GEBBAZWMAQCNDL-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCc2cc(F)ccc21 ZINC000337780680 382864067 /nfs/dbraw/zinc/86/40/67/382864067.db2.gz AUVSKZXXKLSPIR-UHFFFAOYSA-N 0 0 290.298 2.953 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000231684261 382866295 /nfs/dbraw/zinc/86/62/95/382866295.db2.gz PONYTXHVTQWLIP-DTWKUNHWSA-N 0 0 271.704 2.623 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000231685404 382866303 /nfs/dbraw/zinc/86/63/03/382866303.db2.gz NBRYPOZNPYEDTM-SCZZXKLOSA-N 0 0 254.261 2.713 20 5 CFBDRN COc1cc(N[C@H]2CC[C@@H](OC)C2)ccc1[N+](=O)[O-] ZINC000231684863 382866432 /nfs/dbraw/zinc/86/64/32/382866432.db2.gz JZCSXOLUCWKDBP-GXSJLCMTSA-N 0 0 266.297 2.583 20 5 CFBDRN CCN(C)C(=O)[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000231866610 382867408 /nfs/dbraw/zinc/86/74/08/382867408.db2.gz JKJWOEUNTMMBOP-QMMMGPOBSA-N 0 0 285.731 2.527 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)c1ccncc1F ZINC000337830642 382869788 /nfs/dbraw/zinc/86/97/88/382869788.db2.gz VSUFWMXPAHIZIW-QMMMGPOBSA-N 0 0 262.244 2.697 20 5 CFBDRN Nc1c(F)c(N[C@H](CO)CC2CCCC2)ccc1[N+](=O)[O-] ZINC000337837713 382870473 /nfs/dbraw/zinc/87/04/73/382870473.db2.gz YDNOBAVTVCTECQ-JTQLQIEISA-N 0 0 297.330 2.669 20 5 CFBDRN CCC(C)(C)CNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000233049790 382870926 /nfs/dbraw/zinc/87/09/26/382870926.db2.gz RGAIROZAIPWISH-UHFFFAOYSA-N 0 0 290.323 2.679 20 5 CFBDRN CCCC1(CNc2nc(C(=O)OC)ccc2[N+](=O)[O-])CC1 ZINC000233058254 382870975 /nfs/dbraw/zinc/87/09/75/382870975.db2.gz VYCOVXMJDXOPOJ-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CCOC[C@H](Nc1nc2sccn2c1[N+](=O)[O-])C(C)C ZINC000233805262 382871987 /nfs/dbraw/zinc/87/19/87/382871987.db2.gz DGHCFJGRQJZWTR-VIFPVBQESA-N 0 0 298.368 2.777 20 5 CFBDRN CCOC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])C(C)C ZINC000233770526 382872168 /nfs/dbraw/zinc/87/21/68/382872168.db2.gz CHUVGGCKVDHZCF-LLVKDONJSA-N 0 0 267.329 2.771 20 5 CFBDRN CN(CCCCCO)c1c(Cl)cccc1[N+](=O)[O-] ZINC000234268152 382873733 /nfs/dbraw/zinc/87/37/33/382873733.db2.gz DLYIKGIESMCBNO-UHFFFAOYSA-N 0 0 272.732 2.847 20 5 CFBDRN Cc1ccc(S(=O)(=O)NC2(C)CCCC2)c([N+](=O)[O-])c1 ZINC000337935541 382874303 /nfs/dbraw/zinc/87/43/03/382874303.db2.gz LWFZLQJVJPQTQI-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN Cc1cn(Cc2ncc(-c3cccs3)o2)nc1[N+](=O)[O-] ZINC000337935341 382874354 /nfs/dbraw/zinc/87/43/54/382874354.db2.gz GENSOYPJCRVLML-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN Cc1cn(Cc2nnc(-c3ccc(C)cc3)o2)nc1[N+](=O)[O-] ZINC000337942965 382875622 /nfs/dbraw/zinc/87/56/22/382875622.db2.gz QGDIJFRUMBWUTB-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CC[C@@H](C)CNC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000421584862 382876677 /nfs/dbraw/zinc/87/66/77/382876677.db2.gz BOLVVSDYIQANNE-MRVPVSSYSA-N 0 0 286.278 2.578 20 5 CFBDRN CC[C@@H](C)CNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000421585239 382876712 /nfs/dbraw/zinc/87/67/12/382876712.db2.gz CHXSRQMASKSWLI-SNVBAGLBSA-N 0 0 264.325 2.608 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C=Cc1c[nH]cn1 ZINC000493708013 382880074 /nfs/dbraw/zinc/88/00/74/382880074.db2.gz PTYBKQOKSMHIAP-ARJAWSKDSA-N 0 0 286.291 2.587 20 5 CFBDRN Cn1c(C(=O)NCC(C)(C)C2CCCC2)ccc1[N+](=O)[O-] ZINC000337957708 382880182 /nfs/dbraw/zinc/88/01/82/382880182.db2.gz URPRBDACLNCGGL-UHFFFAOYSA-N 0 0 293.367 2.880 20 5 CFBDRN C[C@H](NC(=O)c1cc([O-])ccc1[N+](=O)[O-])C1CCCC1 ZINC000235506247 382882264 /nfs/dbraw/zinc/88/22/64/382882264.db2.gz FWWDEQPLKNMMAL-VIFPVBQESA-N 0 0 278.308 2.609 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2cc(C)ccc2[N+](=O)[O-])o1 ZINC000533205200 382886326 /nfs/dbraw/zinc/88/63/26/382886326.db2.gz IBZOATIYXPTGRR-MRVPVSSYSA-N 0 0 262.269 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H]1CCCOC1 ZINC000533202212 382886528 /nfs/dbraw/zinc/88/65/28/382886528.db2.gz VMTJDCNLNOJMDE-NSHDSACASA-N 0 0 251.282 2.709 20 5 CFBDRN O=C(NOC1CCCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000236070305 382891870 /nfs/dbraw/zinc/89/18/70/382891870.db2.gz LOVDOTJPXKIMRD-UHFFFAOYSA-N 0 0 284.699 2.852 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)C1CC(OC(C)(C)C)C1 ZINC000421693288 382896743 /nfs/dbraw/zinc/89/67/43/382896743.db2.gz LOYJXPDLAJSECT-UHFFFAOYSA-N 0 0 296.323 2.606 20 5 CFBDRN CC[C@@H](F)CSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000442560455 382936685 /nfs/dbraw/zinc/93/66/85/382936685.db2.gz XJMWYFQBMCQMDI-SNVBAGLBSA-N 0 0 286.328 2.675 20 5 CFBDRN O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccco1 ZINC000578672775 382944505 /nfs/dbraw/zinc/94/45/05/382944505.db2.gz VJAZINAIXQDMDT-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN CC(C)N(C)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000280241216 194762715 /nfs/dbraw/zinc/76/27/15/194762715.db2.gz YGUJKWMTWRCBGH-UHFFFAOYSA-N 0 0 288.706 2.797 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Cc1ccccc1 ZINC000338142856 382933419 /nfs/dbraw/zinc/93/34/19/382933419.db2.gz MCBGLRHBZIAMNY-UHFFFAOYSA-N 0 0 298.342 2.838 20 5 CFBDRN COc1cc(N[C@@H](CO)CC2CCC2)ccc1[N+](=O)[O-] ZINC000280340138 194804980 /nfs/dbraw/zinc/80/49/80/194804980.db2.gz VNTFXKAPPRIKIR-GFCCVEGCSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1ccc(NCC[C@@H](O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000338292427 382979790 /nfs/dbraw/zinc/97/97/90/382979790.db2.gz BNLSHLDGVGFKJD-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H](C1CC1)C1CCC1 ZINC000457224994 382997086 /nfs/dbraw/zinc/99/70/86/382997086.db2.gz SDJSMDFKSUWMDT-CQSZACIVSA-N 0 0 289.335 2.607 20 5 CFBDRN CCc1cc(N)nc(SCc2cccc([N+](=O)[O-])c2)n1 ZINC000447787964 383005263 /nfs/dbraw/zinc/00/52/63/383005263.db2.gz SFPWQLCVJUPMNR-UHFFFAOYSA-N 0 0 290.348 2.652 20 5 CFBDRN Cc1cnc(NC[C@@H]2[N@H+](C)CCCC2(C)C)c([N+](=O)[O-])c1 ZINC000447808332 383009197 /nfs/dbraw/zinc/00/91/97/383009197.db2.gz WIPLEBMEPUZAPK-ZDUSSCGKSA-N 0 0 292.383 2.831 20 5 CFBDRN CC(=O)c1cc(NCC(C)(F)F)ccc1[N+](=O)[O-] ZINC000549571644 383010173 /nfs/dbraw/zinc/01/01/73/383010173.db2.gz KBNKHLFXPPUTID-UHFFFAOYSA-N 0 0 258.224 2.865 20 5 CFBDRN Cc1c(CNc2ccnc3cccnc32)cccc1[N+](=O)[O-] ZINC000338706719 383028478 /nfs/dbraw/zinc/02/84/78/383028478.db2.gz MEVBXGNXKFSUSM-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000457344734 383028826 /nfs/dbraw/zinc/02/88/26/383028826.db2.gz NUMTVWKBFZKGAS-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN CC1(C)CCC[C@@]1(O)CNc1ccccc1[N+](=O)[O-] ZINC000338733946 383033256 /nfs/dbraw/zinc/03/32/56/383033256.db2.gz MKKYMGLOOFWLIR-CQSZACIVSA-N 0 0 264.325 2.948 20 5 CFBDRN C[C@@H](F)CCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000338748324 383038317 /nfs/dbraw/zinc/03/83/17/383038317.db2.gz FNSXFGXDEPEPHS-ZCFIWIBFSA-N 0 0 270.289 2.760 20 5 CFBDRN Cc1nc(NCc2c(F)cc(F)cc2F)ccc1[N+](=O)[O-] ZINC000338771500 383045253 /nfs/dbraw/zinc/04/52/53/383045253.db2.gz GMYIXMGNKUFPGE-UHFFFAOYSA-N 0 0 297.236 2.750 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2c(F)cc(F)cc2F)s1 ZINC000338773583 383046180 /nfs/dbraw/zinc/04/61/80/383046180.db2.gz MLQHUSRHTSJWNY-UHFFFAOYSA-N 0 0 289.238 2.503 20 5 CFBDRN C[C@H](C(=O)NCCc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000457602195 383047483 /nfs/dbraw/zinc/04/74/83/383047483.db2.gz FBUUGCZKXFOXPZ-NSHDSACASA-N 0 0 276.336 2.690 20 5 CFBDRN NC(=O)C[C@@H](Nc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000338782665 383050043 /nfs/dbraw/zinc/05/00/43/383050043.db2.gz LZTWXSWOMGOCBP-CQSZACIVSA-N 0 0 285.303 2.623 20 5 CFBDRN Nc1c(F)c(N[C@H]2CCC[C@@H]2F)ccc1[N+](=O)[O-] ZINC000338824773 383061322 /nfs/dbraw/zinc/06/13/22/383061322.db2.gz QLSIXTRJSBAPEU-BQBZGAKWSA-N 0 0 257.240 2.619 20 5 CFBDRN Cc1cnc(N2CCOC[C@@H]2CC2CCC2)c([N+](=O)[O-])c1 ZINC000338828264 383061928 /nfs/dbraw/zinc/06/19/28/383061928.db2.gz GHXGAPLULDNKQS-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)OC(C)C ZINC000448548710 383066084 /nfs/dbraw/zinc/06/60/84/383066084.db2.gz WGMNHZAAENAYQZ-UHFFFAOYSA-N 0 0 296.323 2.746 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)nn1C(C)C ZINC000448555636 383068884 /nfs/dbraw/zinc/06/88/84/383068884.db2.gz BPGWDFGBMFUIBN-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN Cn1c(C(=O)Nc2cccc3[nH]ccc32)ccc1[N+](=O)[O-] ZINC000338871268 383072555 /nfs/dbraw/zinc/07/25/55/383072555.db2.gz DDIHAQFNGRVKCL-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCN1CCCC1=O ZINC000579482599 383020412 /nfs/dbraw/zinc/02/04/12/383020412.db2.gz ZBPLBYJUSTXPRL-UHFFFAOYSA-N 0 0 297.742 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)C2CCC2)c2ccncc21 ZINC000596324952 383125858 /nfs/dbraw/zinc/12/58/58/383125858.db2.gz NDFWLOJQQAHXEF-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000596436006 383126226 /nfs/dbraw/zinc/12/62/26/383126226.db2.gz HDJUWHZHNQDKBE-MGCOHNPYSA-N 0 0 288.307 2.517 20 5 CFBDRN Cc1cc(N2CCC[C@@](O)(C3CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000596461035 383127189 /nfs/dbraw/zinc/12/71/89/383127189.db2.gz BSESMNKDOQRRFG-HNNXBMFYSA-N 0 0 294.326 2.784 20 5 CFBDRN COc1ccc(CN(C)Cc2ccccn2)cc1[N+](=O)[O-] ZINC000338917686 383085308 /nfs/dbraw/zinc/08/53/08/383085308.db2.gz AFZUKAFXAHPMPF-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000458256696 383143881 /nfs/dbraw/zinc/14/38/81/383143881.db2.gz LSIYEHJWKXZEGN-DDTOSNHZSA-N 0 0 274.320 2.822 20 5 CFBDRN Nc1nc(N[C@@H]2CC[C@@H]3CCCC[C@H]3C2)ncc1[N+](=O)[O-] ZINC000338968046 383103950 /nfs/dbraw/zinc/10/39/50/383103950.db2.gz WIKKVSGQEGOVHZ-GARJFASQSA-N 0 0 291.355 2.568 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000246824939 383108663 /nfs/dbraw/zinc/10/86/63/383108663.db2.gz RRWXAAZICDIHHW-AULYBMBSSA-N 0 0 276.336 2.832 20 5 CFBDRN CC(C)SCCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000553704622 383115918 /nfs/dbraw/zinc/11/59/18/383115918.db2.gz GRHVOJSVNTXWOT-NSHDSACASA-N 0 0 296.392 2.956 20 5 CFBDRN CCn1c(NC(=O)c2ccc([N+](=O)[O-])[nH]2)nc2ccccc21 ZINC000339181696 383179795 /nfs/dbraw/zinc/17/97/95/383179795.db2.gz BPSDBBAWYOHHHZ-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000518288352 383195715 /nfs/dbraw/zinc/19/57/15/383195715.db2.gz IXGQQVXQTDSNOM-UHFFFAOYSA-N 0 0 292.266 2.608 20 5 CFBDRN Cc1cnc(N[C@@H]2C[C@@H]2c2cccnc2)c([N+](=O)[O-])c1 ZINC000339098374 383148065 /nfs/dbraw/zinc/14/80/65/383148065.db2.gz XZGSEPMPXHOLPO-VXGBXAGGSA-N 0 0 270.292 2.661 20 5 CFBDRN CN(Cc1ccoc1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000339103355 383150817 /nfs/dbraw/zinc/15/08/17/383150817.db2.gz PYTUCUXQGDIVKZ-UHFFFAOYSA-N 0 0 275.264 2.852 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000458280746 383151892 /nfs/dbraw/zinc/15/18/92/383151892.db2.gz OXQVRYUTECZRMT-KGYLQXTDSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1ccc(C=Cc2nc(C)cc(=O)[nH]2)cc1[N+](=O)[O-] ZINC000339115677 383154912 /nfs/dbraw/zinc/15/49/12/383154912.db2.gz QOTLDCAZKNCQJZ-GQCTYLIASA-N 0 0 287.275 2.578 20 5 CFBDRN CCC[C@H](NC(=O)c1cc([N+](=O)[O-])cnc1C)C1CCC1 ZINC000458312620 383161047 /nfs/dbraw/zinc/16/10/47/383161047.db2.gz SVGMBTXQLNVURG-AWEZNQCLSA-N 0 0 291.351 2.997 20 5 CFBDRN C[C@]1(NC(=O)CNc2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000458322945 383163582 /nfs/dbraw/zinc/16/35/82/383163582.db2.gz WQNBDAGFVLDVLL-HNNXBMFYSA-N 0 0 289.335 2.622 20 5 CFBDRN Cc1nn(C[C@H]2CCC3(CCCC3)O2)cc1[N+](=O)[O-] ZINC000567190709 383250989 /nfs/dbraw/zinc/25/09/89/383250989.db2.gz RZJJPPLGYLWCEE-LLVKDONJSA-N 0 0 265.313 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H]2CCC[C@@H]2F)c(F)c1 ZINC000339588532 383256287 /nfs/dbraw/zinc/25/62/87/383256287.db2.gz APXOHOYKPUXTLX-CMPLNLGQSA-N 0 0 256.252 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H](F)C2)c(Cl)c1 ZINC000449389743 383258245 /nfs/dbraw/zinc/25/82/45/383258245.db2.gz DUMNBGWNYCNDBA-VIFPVBQESA-N 0 0 258.680 2.792 20 5 CFBDRN Cc1ccnc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)n1 ZINC000339659408 383277802 /nfs/dbraw/zinc/27/78/02/383277802.db2.gz QCRAFIBZCQVBBT-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN C[C@@H](C(=O)N1C2CCC1CC2)c1cccc([N+](=O)[O-])c1 ZINC000519517906 383219719 /nfs/dbraw/zinc/21/97/19/383219719.db2.gz HZIALJQPBCPSOZ-QFWMXSHPSA-N 0 0 274.320 2.852 20 5 CFBDRN CC(C)CCCNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000248251504 383221363 /nfs/dbraw/zinc/22/13/63/383221363.db2.gz JBKZKDZSZAAXMU-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN CC[C@H](NCc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000449362844 383239363 /nfs/dbraw/zinc/23/93/63/383239363.db2.gz NSGMPSXTPPHMAK-JTQLQIEISA-N 0 0 262.231 2.867 20 5 CFBDRN CC[C@@H](NCc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000449362845 383240527 /nfs/dbraw/zinc/24/05/27/383240527.db2.gz NSGMPSXTPPHMAK-SNVBAGLBSA-N 0 0 262.231 2.867 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@@H](F)C1 ZINC000567106105 383240889 /nfs/dbraw/zinc/24/08/89/383240889.db2.gz BTVAVTUGTMOWQV-KOLCDFICSA-N 0 0 281.287 2.997 20 5 CFBDRN C[C@H]1CN(c2ccccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000567107306 383242159 /nfs/dbraw/zinc/24/21/59/383242159.db2.gz WYLLTFQQAYVUEZ-NSHDSACASA-N 0 0 262.309 2.743 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC2(CC2)C1 ZINC000449370958 383242976 /nfs/dbraw/zinc/24/29/76/383242976.db2.gz OQGBKDIYEBBUNY-UHFFFAOYSA-N 0 0 262.309 2.589 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000522240115 383333334 /nfs/dbraw/zinc/33/33/34/383333334.db2.gz IPQZPABEIRYIJC-AWEZNQCLSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000466156880 383334328 /nfs/dbraw/zinc/33/43/28/383334328.db2.gz HTLWZZUATCOXTL-NEPJUHHUSA-N 0 0 291.351 2.577 20 5 CFBDRN O=C(NC1(CF)CCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000567962842 383337280 /nfs/dbraw/zinc/33/72/80/383337280.db2.gz CNGYPZJIRDCDBR-UHFFFAOYSA-N 0 0 291.282 2.698 20 5 CFBDRN Cc1ccc(NC(=O)C[C@H](C)n2ccnc2)cc1[N+](=O)[O-] ZINC000567986444 383340243 /nfs/dbraw/zinc/34/02/43/383340243.db2.gz SAUFWGDLUAMDGM-NSHDSACASA-N 0 0 288.307 2.690 20 5 CFBDRN Cc1ccc(N[C@@H](CO)CC(F)(F)F)c([N+](=O)[O-])c1 ZINC000339701547 383291698 /nfs/dbraw/zinc/29/16/98/383291698.db2.gz OCQCKAQASUIVQO-MRVPVSSYSA-N 0 0 278.230 2.628 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@H]1C[C@H]1C1CCC1 ZINC000424894994 383292435 /nfs/dbraw/zinc/29/24/35/383292435.db2.gz KEVDECIDRHPFDS-KBPBESRZSA-N 0 0 288.347 2.690 20 5 CFBDRN CCOc1cccc(Cl)c1Cn1cnc([N+](=O)[O-])c1 ZINC000567627811 383295866 /nfs/dbraw/zinc/29/58/66/383295866.db2.gz AQBYSINBLPXGSV-UHFFFAOYSA-N 0 0 281.699 2.892 20 5 CFBDRN COc1cc(C)nc(COc2ccc([N+](=O)[O-])cc2)c1 ZINC000339741511 383303938 /nfs/dbraw/zinc/30/39/38/383303938.db2.gz CMQKEZRLIYOTMI-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000424982789 383304609 /nfs/dbraw/zinc/30/46/09/383304609.db2.gz OQBWDDLBNBDPPD-SWLSCSKDSA-N 0 0 299.330 2.995 20 5 CFBDRN C[C@@H](NC(=O)NCc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000339779117 383315495 /nfs/dbraw/zinc/31/54/95/383315495.db2.gz QMDWQIDLQJPHQU-SNVBAGLBSA-N 0 0 289.291 2.748 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CC2(CCC2)O1 ZINC000567810792 383318726 /nfs/dbraw/zinc/31/87/26/383318726.db2.gz HQSKJTNZDNJQFN-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1c(C(=O)N2CC(CC(F)F)C2)cccc1[N+](=O)[O-] ZINC000425094979 383323500 /nfs/dbraw/zinc/32/35/00/383323500.db2.gz VNWDQXLWFMUGAT-UHFFFAOYSA-N 0 0 284.262 2.630 20 5 CFBDRN CC1CCC(Cn2cc([N+](=O)[O-])cc(F)c2=O)CC1 ZINC000567860717 383324164 /nfs/dbraw/zinc/32/41/64/383324164.db2.gz YFNYSCHROKVIIA-UHFFFAOYSA-N 0 0 268.288 2.722 20 5 CFBDRN CC(C)C1CCC(NC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000478305857 383394621 /nfs/dbraw/zinc/39/46/21/383394621.db2.gz QCWDJLZBMBHXBZ-UHFFFAOYSA-N 0 0 293.367 2.878 20 5 CFBDRN CO[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)CC[C@@H]1C ZINC000251843913 383397343 /nfs/dbraw/zinc/39/73/43/383397343.db2.gz MYRBSMMCCBUYBK-GXSJLCMTSA-N 0 0 270.354 2.513 20 5 CFBDRN CC[C@@H](C)C[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C(=O)OC ZINC000568387901 383397655 /nfs/dbraw/zinc/39/76/55/383397655.db2.gz VMPKYXMOIZHSNL-LDYMZIIASA-N 0 0 299.302 2.519 20 5 CFBDRN COC[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000525222945 383400007 /nfs/dbraw/zinc/40/00/07/383400007.db2.gz WRJLFXWXYNIOGT-LBPRGKRZSA-N 0 0 295.339 2.696 20 5 CFBDRN Cc1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)ncn1 ZINC000525645906 383402077 /nfs/dbraw/zinc/40/20/77/383402077.db2.gz ORHSPRLUTQBMOF-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000525980918 383416161 /nfs/dbraw/zinc/41/61/61/383416161.db2.gz PKDSCSDAWCFDNB-DGCLKSJQSA-N 0 0 291.351 2.830 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000252212316 383405942 /nfs/dbraw/zinc/40/59/42/383405942.db2.gz YKOLJEFXLMPACP-IAZYJMLFSA-N 0 0 291.351 2.930 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000340086145 383351085 /nfs/dbraw/zinc/35/10/85/383351085.db2.gz YPVDGSVEWAWUKB-QWRGUYRKSA-N 0 0 262.309 2.761 20 5 CFBDRN CC(C)C[N@H+](CCC(=O)[O-])Cc1ccc([N+](=O)[O-])cc1 ZINC000568068295 383353765 /nfs/dbraw/zinc/35/37/65/383353765.db2.gz IAAFIDRLQGVVLE-UHFFFAOYSA-N 0 0 280.324 2.528 20 5 CFBDRN Cc1sc(C(=O)Nc2ccccc2O)cc1[N+](=O)[O-] ZINC000340100931 383353940 /nfs/dbraw/zinc/35/39/40/383353940.db2.gz OKIJIOYVTLWNPA-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N[C@H]1CC12CC2 ZINC000425272424 383356167 /nfs/dbraw/zinc/35/61/67/383356167.db2.gz KRHDXNZPSSFLSU-QMMMGPOBSA-N 0 0 284.113 2.717 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@H]1CCCC[C@H]1C ZINC000340108339 383357392 /nfs/dbraw/zinc/35/73/92/383357392.db2.gz HYHPKAMJKLDKMA-NEPJUHHUSA-N 0 0 293.367 2.974 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000470133380 383376178 /nfs/dbraw/zinc/37/61/78/383376178.db2.gz MREGEXWVGMPACP-ZSBIGDGJSA-N 0 0 279.271 2.654 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CC[C@H](F)C2)n1 ZINC000340912370 291827368 /nfs/dbraw/zinc/82/73/68/291827368.db2.gz JMUKCYSWYAHONL-UWVGGRQHSA-N 0 0 253.277 2.909 20 5 CFBDRN CN(c1c2ccccc2ncc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000487751524 383481899 /nfs/dbraw/zinc/48/18/99/383481899.db2.gz OJFZTJKRZDWUDG-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1nc(C)c(CNc2nc(C)cc(C)c2[N+](=O)[O-])o1 ZINC000450535975 383450916 /nfs/dbraw/zinc/45/09/16/383450916.db2.gz REXBQSHEAAKJEH-UHFFFAOYSA-N 0 0 276.296 2.824 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCO[C@H](C)C1 ZINC000569000632 383459125 /nfs/dbraw/zinc/45/91/25/383459125.db2.gz LKEYUOMASIEFJK-ZJUUUORDSA-N 0 0 266.297 2.583 20 5 CFBDRN Nc1c(F)c(NCCCC2CCOCC2)ccc1[N+](=O)[O-] ZINC000450562377 383462657 /nfs/dbraw/zinc/46/26/57/383462657.db2.gz VSGFTKYJLBQNPY-UHFFFAOYSA-N 0 0 297.330 2.935 20 5 CFBDRN CCCc1nc(C)c(Cn2nc(C)c([N+](=O)[O-])c2C)o1 ZINC000450564241 383463516 /nfs/dbraw/zinc/46/35/16/383463516.db2.gz MJIHQNFHDCABTM-UHFFFAOYSA-N 0 0 278.312 2.705 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000486477820 383472528 /nfs/dbraw/zinc/47/25/28/383472528.db2.gz IRPKNWDPMQZHRB-DIYOJNKTSA-N 0 0 253.689 2.854 20 5 CFBDRN CCOc1cc(N2CC[C@](C)(COC)C2)ccc1[N+](=O)[O-] ZINC000450606353 383477486 /nfs/dbraw/zinc/47/74/86/383477486.db2.gz TYPXRXKERXLOGR-HNNXBMFYSA-N 0 0 294.351 2.856 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCc3ncsc3C2)n1 ZINC000445665815 291826340 /nfs/dbraw/zinc/82/63/40/291826340.db2.gz RNPHVOPNXDJGNT-UHFFFAOYSA-N 0 0 290.348 2.626 20 5 CFBDRN CC(C)(C)C(C)(C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000480623704 383419007 /nfs/dbraw/zinc/41/90/07/383419007.db2.gz PCWPBOZBKHEWOG-UHFFFAOYSA-N 0 0 293.367 2.948 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)c1ccncc1Cl ZINC000340601545 383483999 /nfs/dbraw/zinc/48/39/99/383483999.db2.gz TWNMBRRBRIGSSR-UHFFFAOYSA-N 0 0 292.678 2.905 20 5 CFBDRN CC[C@H]1CN(C/C=C\c2ccccc2[N+](=O)[O-])CCO1 ZINC000255632765 383485226 /nfs/dbraw/zinc/48/52/26/383485226.db2.gz AXEFDWSDDWZFFN-VSKVDJIOSA-N 0 0 276.336 2.719 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1c(F)cccc1F ZINC000340610325 383486939 /nfs/dbraw/zinc/48/69/39/383486939.db2.gz RFVOUWQVPZPETP-ZETCQYMHSA-N 0 0 295.245 2.692 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000450784735 383490669 /nfs/dbraw/zinc/49/06/69/383490669.db2.gz KOJOPBBVBQIALC-TZMCWYRMSA-N 0 0 278.308 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](C3CC3)[C@@H]2C2CC2)nc1 ZINC000450802170 383495896 /nfs/dbraw/zinc/49/58/96/383495896.db2.gz YHBMSRVHVUCGAU-JSGCOSHPSA-N 0 0 259.309 2.615 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@H]2CCOC2)c(F)c1 ZINC000450804928 383496225 /nfs/dbraw/zinc/49/62/25/383496225.db2.gz BPOTWFBWPLBKSS-SECBINFHSA-N 0 0 255.245 2.539 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H]2C[C@@H](O)CC[C@H]2C1 ZINC000450809845 383498089 /nfs/dbraw/zinc/49/80/89/383498089.db2.gz XKCOKQOWMTYHSN-AGIUHOORSA-N 0 0 276.336 2.582 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000340884366 383498418 /nfs/dbraw/zinc/49/84/18/383498418.db2.gz VBGILXGCGNUHTA-RNFRBKRXSA-N 0 0 257.240 2.619 20 5 CFBDRN COc1cc([C@H](C)Nc2nc(C)ccc2[N+](=O)[O-])ccn1 ZINC000340908194 383499523 /nfs/dbraw/zinc/49/95/23/383499523.db2.gz YIGZTBNDSDARJQ-JTQLQIEISA-N 0 0 288.307 2.875 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ncn(CC3CC(F)(F)C3)n2)c1 ZINC000450816873 383501320 /nfs/dbraw/zinc/50/13/20/383501320.db2.gz CJSRXWHOMSCLOB-UHFFFAOYSA-N 0 0 294.261 2.899 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CC[C@H](F)C2)n1 ZINC000340912371 383502138 /nfs/dbraw/zinc/50/21/38/383502138.db2.gz JMUKCYSWYAHONL-VHSXEESVSA-N 0 0 253.277 2.909 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCC1(F)CCC1 ZINC000340914574 383503336 /nfs/dbraw/zinc/50/33/36/383503336.db2.gz NLARHHAACNQBNI-UHFFFAOYSA-N 0 0 270.308 2.814 20 5 CFBDRN CCC[C@H](O)CNc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000280810658 194984242 /nfs/dbraw/zinc/98/42/42/194984242.db2.gz WWTKXQRIJYRTCI-NSHDSACASA-N 0 0 280.324 2.760 20 5 CFBDRN CCn1ccnc1[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000450831004 383510416 /nfs/dbraw/zinc/51/04/16/383510416.db2.gz PAXPBRZGORCOQI-SNVBAGLBSA-N 0 0 275.312 2.688 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@@H]2OC)c(F)cc1[N+](=O)[O-] ZINC000340962356 383512142 /nfs/dbraw/zinc/51/21/42/383512142.db2.gz GCFGQRVDVUNLOD-ZANVPECISA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@H](CO)C2)n1 ZINC000340983672 383518341 /nfs/dbraw/zinc/51/83/41/383518341.db2.gz RCQFFSRDOROEFZ-RYUDHWBXSA-N 0 0 279.340 2.509 20 5 CFBDRN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000429314118 383518993 /nfs/dbraw/zinc/51/89/93/383518993.db2.gz YGSAXEQPSRSPMP-JGPRNRPPSA-N 0 0 298.770 2.982 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@@H]2OC)c([N+](=O)[O-])cc1F ZINC000340998101 383523002 /nfs/dbraw/zinc/52/30/02/383523002.db2.gz SDFIISPLLVBROX-RNCFNFMXSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1nn(C)cc1COc1cccc(C)c1[N+](=O)[O-] ZINC000450892598 383537956 /nfs/dbraw/zinc/53/79/56/383537956.db2.gz PBLPSNPXIFNYST-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1nn(C)cc1COc1ccc([N+](=O)[O-])cc1C ZINC000450892043 383538277 /nfs/dbraw/zinc/53/82/77/383538277.db2.gz MPGSFROUGNURDX-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Nc1cccc(CN2CCc3ccc([N+](=O)[O-])cc3C2)c1 ZINC000261659942 383539694 /nfs/dbraw/zinc/53/96/94/383539694.db2.gz IUSWSOSYBKGWJZ-UHFFFAOYSA-N 0 0 283.331 2.735 20 5 CFBDRN C[C@@H](O)CCCn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000450905666 383544260 /nfs/dbraw/zinc/54/42/60/383544260.db2.gz OPLCZOMMDXMSDR-MRVPVSSYSA-N 0 0 283.715 2.759 20 5 CFBDRN CC(=O)c1ccc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)cc1 ZINC000450905462 383544870 /nfs/dbraw/zinc/54/48/70/383544870.db2.gz PCCACJGCFGUIPU-UHFFFAOYSA-N 0 0 285.303 2.920 20 5 CFBDRN CNC(=O)CCSCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000570166702 383578267 /nfs/dbraw/zinc/57/82/67/383578267.db2.gz NDBLUHHGCUZBCF-UHFFFAOYSA-N 0 0 288.756 2.618 20 5 CFBDRN Cc1cc(NC[C@H]2C[C@@H]2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000489161076 383598326 /nfs/dbraw/zinc/59/83/26/383598326.db2.gz HSCVCVDIKRFQQO-GXFFZTMASA-N 0 0 298.346 2.850 20 5 CFBDRN CCc1nc(CNC(=O)c2ccccc2[N+](=O)[O-])cs1 ZINC000341069848 383553989 /nfs/dbraw/zinc/55/39/89/383553989.db2.gz PLPDTGATDBIODY-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN CC(F)(F)CCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000450930732 383555537 /nfs/dbraw/zinc/55/55/37/383555537.db2.gz XTKWWTJSKIMHMT-UHFFFAOYSA-N 0 0 261.224 2.511 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000488564913 383560767 /nfs/dbraw/zinc/56/07/67/383560767.db2.gz VSJAKPULMNENEC-DDFAGTSDSA-N 0 0 291.307 2.523 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000341097652 383564208 /nfs/dbraw/zinc/56/42/08/383564208.db2.gz YIDXBCVBYWSWRZ-WFASDCNBSA-N 0 0 288.347 2.856 20 5 CFBDRN Cc1ccoc1CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000488707620 383568069 /nfs/dbraw/zinc/56/80/69/383568069.db2.gz XKYWFFNIDQOXJL-UHFFFAOYSA-N 0 0 265.244 2.830 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1cccc(C)n1 ZINC000429803056 383569952 /nfs/dbraw/zinc/56/99/52/383569952.db2.gz PNVVPZOIBLKSBH-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000451534473 383637185 /nfs/dbraw/zinc/63/71/85/383637185.db2.gz OQXRKNOZKQNNHH-RDDDGLTNSA-N 0 0 252.245 2.719 20 5 CFBDRN CCCC[C@H]([NH2+]Cc1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000262722588 383637565 /nfs/dbraw/zinc/63/75/65/383637565.db2.gz WODIKQIQFODDMB-LBPRGKRZSA-N 0 0 280.324 2.636 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1Cc2ccccc2C1 ZINC000431176533 383637894 /nfs/dbraw/zinc/63/78/94/383637894.db2.gz UPDJDMAWKJDVDK-UHFFFAOYSA-N 0 0 282.299 2.948 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCn3ccnc3C2)c(Cl)c1 ZINC000489923045 383644075 /nfs/dbraw/zinc/64/40/75/383644075.db2.gz NEEWXSYCWYCWAK-SECBINFHSA-N 0 0 292.726 2.872 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000570894054 383644768 /nfs/dbraw/zinc/64/47/68/383644768.db2.gz MVJXOFWNZUSSBH-AAEUAGOBSA-N 0 0 273.292 2.732 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000489504675 383625849 /nfs/dbraw/zinc/62/58/49/383625849.db2.gz YLYBHNVXHFTJIJ-LBPRGKRZSA-N 0 0 252.289 2.964 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc([C@H]3C[C@@H]3C)no2)c1 ZINC000570741045 383631000 /nfs/dbraw/zinc/63/10/00/383631000.db2.gz STZOKZDEFDUVST-CBAPKCEASA-N 0 0 275.264 2.777 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000264545164 383753526 /nfs/dbraw/zinc/75/35/26/383753526.db2.gz NBQMFXNPWSLSPR-HAQNSBGRSA-N 0 0 292.335 2.669 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CC[C@H](C)CC2)cc1[N+](=O)[O-] ZINC000264575779 383757352 /nfs/dbraw/zinc/75/73/52/383757352.db2.gz VQVPNNJWIHBRAE-UMSPYCQHSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1noc(C)c1CCNc1ccc([N+](=O)[O-])cc1F ZINC000264579413 383758642 /nfs/dbraw/zinc/75/86/42/383758642.db2.gz YWQOMMXSIDZLHK-UHFFFAOYSA-N 0 0 279.271 2.993 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000264712923 383774913 /nfs/dbraw/zinc/77/49/13/383774913.db2.gz GQIZNVYCRRXAHG-GXSJLCMTSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC(C)(F)F ZINC000571138439 383666476 /nfs/dbraw/zinc/66/64/76/383666476.db2.gz GVIAQCNSYKETGI-UHFFFAOYSA-N 0 0 273.239 2.680 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)CC1(C)C ZINC000572676289 383805762 /nfs/dbraw/zinc/80/57/62/383805762.db2.gz VWKMZQVABZACEY-SECBINFHSA-N 0 0 266.345 2.744 20 5 CFBDRN Cc1c(-c2noc([C@H]3CCCOC3)n2)cccc1[N+](=O)[O-] ZINC000453244545 383814200 /nfs/dbraw/zinc/81/42/00/383814200.db2.gz AXBAOKPETUUFRY-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])cc2C)nc1 ZINC000436435708 383817286 /nfs/dbraw/zinc/81/72/86/383817286.db2.gz UJLYTOYKFJMVIT-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccnn2)cc1OC(F)F ZINC000436429047 383817386 /nfs/dbraw/zinc/81/73/86/383817386.db2.gz FXBQJGZXJYPJJN-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])cc2F)nc1 ZINC000436435062 383817619 /nfs/dbraw/zinc/81/76/19/383817619.db2.gz SHQZBZQJCMAVKZ-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC(C)Oc1nc(N2[C@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000572781578 383817968 /nfs/dbraw/zinc/81/79/68/383817968.db2.gz VCNIKXNIBZTVDP-NXEZZACHSA-N 0 0 265.313 2.764 20 5 CFBDRN Cc1c(CN2CCCc3n[nH]cc32)cccc1[N+](=O)[O-] ZINC000436495351 383825128 /nfs/dbraw/zinc/82/51/28/383825128.db2.gz XZWXIQPZBLQKCW-UHFFFAOYSA-N 0 0 272.308 2.579 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1CCSCC1 ZINC000264802184 383784375 /nfs/dbraw/zinc/78/43/75/383784375.db2.gz AGAVIMQGIHBGNP-UHFFFAOYSA-N 0 0 296.348 2.685 20 5 CFBDRN CC1(C)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000530848835 383797843 /nfs/dbraw/zinc/79/78/43/383797843.db2.gz OVIBBNPIXABMSQ-UHFFFAOYSA-N 0 0 282.727 2.802 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccccc2)CN1c1c([N+](=O)[O-])ncn1C ZINC000265204961 383859435 /nfs/dbraw/zinc/85/94/35/383859435.db2.gz KIQIHZWPOVFSPM-DGCLKSJQSA-N 0 0 286.335 2.711 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@](C)(O)c1ccccc1 ZINC000265273863 383880833 /nfs/dbraw/zinc/88/08/33/383880833.db2.gz XUMCSXZSXRWYML-HNNXBMFYSA-N 0 0 287.319 2.618 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1(C2(C)CC2)CC1 ZINC000573079550 383868247 /nfs/dbraw/zinc/86/82/47/383868247.db2.gz RUAJYDVSUPJCEA-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CSCCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000281000498 195065096 /nfs/dbraw/zinc/06/50/96/195065096.db2.gz AMNHOFSAKDUFHQ-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CO[C@H]([C@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000454137570 383910826 /nfs/dbraw/zinc/91/08/26/383910826.db2.gz MFFQPVTYKVNNQG-TVQRCGJNSA-N 0 0 293.323 2.530 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CCC[C@H]2F)cc1[N+](=O)[O-] ZINC000454150803 383917317 /nfs/dbraw/zinc/91/73/17/383917317.db2.gz ROOFMSGDIXQZLZ-MNOVXSKESA-N 0 0 281.287 2.915 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@@H](C)[C@H](C)O1 ZINC000437916638 383921193 /nfs/dbraw/zinc/92/11/93/383921193.db2.gz PUKGGSGSENQSIJ-VWYCJHECSA-N 0 0 293.323 2.624 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@H](C)[C@H](C)O1 ZINC000437916636 383921583 /nfs/dbraw/zinc/92/15/83/383921583.db2.gz PUKGGSGSENQSIJ-MXWKQRLJSA-N 0 0 293.323 2.624 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@H](C)[C@@H](C)O1 ZINC000437916635 383921689 /nfs/dbraw/zinc/92/16/89/383921689.db2.gz PUKGGSGSENQSIJ-GMTAPVOTSA-N 0 0 293.323 2.624 20 5 CFBDRN CC(C)n1ncnc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000281058165 195087180 /nfs/dbraw/zinc/08/71/80/195087180.db2.gz YGBRAZXWDCVGOD-UHFFFAOYSA-N 0 0 297.265 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCc3nc(C)ncc3C2)c1 ZINC000531531254 383882393 /nfs/dbraw/zinc/88/23/93/383882393.db2.gz VTWBJQYONCZBJE-CYBMUJFWSA-N 0 0 298.346 2.971 20 5 CFBDRN Cc1cn(Cc2noc(C3CCCCC3)n2)nc1[N+](=O)[O-] ZINC000281072535 195092168 /nfs/dbraw/zinc/09/21/68/195092168.db2.gz AQIOZIYCHWYLBB-UHFFFAOYSA-N 0 0 291.311 2.579 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1CCc1ccc2ccccc2c1 ZINC000438320271 383980105 /nfs/dbraw/zinc/98/01/05/383980105.db2.gz KGHSROHURYJGFN-UHFFFAOYSA-N 0 0 282.303 2.769 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]1C(C)C ZINC000454305899 383985426 /nfs/dbraw/zinc/98/54/26/383985426.db2.gz BMIGXDCKNKIIJU-WCQYABFASA-N 0 0 279.340 2.676 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)O[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000438376345 383996985 /nfs/dbraw/zinc/99/69/85/383996985.db2.gz JJXSPOMLGKNPJL-TUAOUCFPSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531880886 383937347 /nfs/dbraw/zinc/93/73/47/383937347.db2.gz UHDAYJJPLQKBGH-WDEREUQCSA-N 0 0 277.324 2.546 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000265549007 383943436 /nfs/dbraw/zinc/94/34/36/383943436.db2.gz JENSBAXTAQCMPE-VIFPVBQESA-N 0 0 282.727 2.659 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000265598294 383953297 /nfs/dbraw/zinc/95/32/97/383953297.db2.gz ZLOLEWVDWHMIOG-UHFFFAOYSA-N 0 0 295.295 2.764 20 5 CFBDRN CCC[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1F)OC ZINC000454273317 383972894 /nfs/dbraw/zinc/97/28/94/383972894.db2.gz JZAJVTIBFFBZOX-JTQLQIEISA-N 0 0 299.302 2.671 20 5 CFBDRN Cc1c(C(=O)NC2(C3CC3)CC2)cccc1[N+](=O)[O-] ZINC000532370924 384018040 /nfs/dbraw/zinc/01/80/40/384018040.db2.gz PBMXABFTDBNKRX-UHFFFAOYSA-N 0 0 260.293 2.576 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)[C@H]1C ZINC000532391580 384023449 /nfs/dbraw/zinc/02/34/49/384023449.db2.gz CJJTZOSLIISDJV-JFGNBEQYSA-N 0 0 277.324 2.544 20 5 CFBDRN Cc1c(F)cccc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532396983 384025453 /nfs/dbraw/zinc/02/54/53/384025453.db2.gz FIYUGVGUHQNFOX-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H]1CC ZINC000439880072 384046837 /nfs/dbraw/zinc/04/68/37/384046837.db2.gz IMRYEKBTPJRKKA-MWLCHTKSSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1C[C@@H](C)C[C@H]1C ZINC000532587788 384047744 /nfs/dbraw/zinc/04/77/44/384047744.db2.gz WTCALKTUOPNDEX-CMPLNLGQSA-N 0 0 291.351 2.572 20 5 CFBDRN C[C@H](CO)N(CC1CCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000439124461 384032405 /nfs/dbraw/zinc/03/24/05/384032405.db2.gz OUAWRNVGYOETAF-SNVBAGLBSA-N 0 0 282.315 2.721 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000439078966 384032456 /nfs/dbraw/zinc/03/24/56/384032456.db2.gz RTVZETPNDXBTQE-VXGBXAGGSA-N 0 0 294.326 2.987 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000454953827 384089901 /nfs/dbraw/zinc/08/99/01/384089901.db2.gz HTPXVUAHTLKLIO-NRUUGDAUSA-N 0 0 277.324 2.754 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000266019231 384055501 /nfs/dbraw/zinc/05/55/01/384055501.db2.gz AEETXAMRRNLUSI-MWLCHTKSSA-N 0 0 298.364 2.652 20 5 CFBDRN CCC(CC)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266070880 384070168 /nfs/dbraw/zinc/07/01/68/384070168.db2.gz TYJRIXOJRWPRRF-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(C[C@@H]3CCCOC3)c2)cc1 ZINC000532880340 384078307 /nfs/dbraw/zinc/07/83/07/384078307.db2.gz DQEPUGAPKNDCFK-LBPRGKRZSA-N 0 0 287.319 2.885 20 5 CFBDRN Cc1nc(NC(=O)c2c(F)cccc2F)ccc1[N+](=O)[O-] ZINC000440405301 384082293 /nfs/dbraw/zinc/08/22/93/384082293.db2.gz JOEMHEBQFVUERV-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN COc1cccc(N2CCC(OC(C)C)CC2)c1[N+](=O)[O-] ZINC000532898383 384082420 /nfs/dbraw/zinc/08/24/20/384082420.db2.gz SCWKOCYMRBGFGO-UHFFFAOYSA-N 0 0 294.351 2.997 20 5 CFBDRN C[C@H](CCO)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000266182804 384107613 /nfs/dbraw/zinc/10/76/13/384107613.db2.gz CVGWLEWUHSAUAF-MRVPVSSYSA-N 0 0 290.266 2.627 20 5 CFBDRN C[C@H]1CCCC[C@]1(C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000455031450 384118476 /nfs/dbraw/zinc/11/84/76/384118476.db2.gz HEYOFDAPVJBGOH-HZMBPMFUSA-N 0 0 292.339 2.502 20 5 CFBDRN C[C@@H](F)CCNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000455032916 384118498 /nfs/dbraw/zinc/11/84/98/384118498.db2.gz CIRAQNZYAZQBDC-LLVKDONJSA-N 0 0 297.330 2.527 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)C[C@H]1CCCC[C@H]1O ZINC000574530713 384112821 /nfs/dbraw/zinc/11/28/21/384112821.db2.gz DPBJSBYQHKGEOB-DGCLKSJQSA-N 0 0 294.351 2.591 20 5 CFBDRN CC[C@H]1CN(c2nc3sccn3c2[N+](=O)[O-])CCS1 ZINC000266246893 384127437 /nfs/dbraw/zinc/12/74/37/384127437.db2.gz ZGCZMHOFQIQLNY-QMMMGPOBSA-N 0 0 298.393 2.636 20 5 CFBDRN Cc1nccn1CCCCNc1ccc([N+](=O)[O-])cc1 ZINC000266260078 384130839 /nfs/dbraw/zinc/13/08/39/384130839.db2.gz OWAXFGWUQGLDMH-UHFFFAOYSA-N 0 0 274.324 2.992 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@@H](C)O[C@@H]2C)ccc1[N+](=O)[O-] ZINC000413528204 533035683 /nfs/dbraw/zinc/03/56/83/533035683.db2.gz GMJAQKKOESUPEP-MXWKQRLJSA-N 0 0 280.324 2.829 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)C[C@@H](C)O1 ZINC000266327955 384149430 /nfs/dbraw/zinc/14/94/30/384149430.db2.gz GPFXMLYAHQTANQ-PWSUYJOCSA-N 0 0 280.324 2.607 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@H]2CO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000574758724 384142192 /nfs/dbraw/zinc/14/21/92/384142192.db2.gz AXVQYZDJNOLWIS-CMPLNLGQSA-N 0 0 292.335 2.625 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC2(C1)CCOCC2 ZINC000266485834 384184909 /nfs/dbraw/zinc/18/49/09/384184909.db2.gz RFTOCOKRXYGBBW-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN CCOCCSCCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000266458223 384179080 /nfs/dbraw/zinc/17/90/80/384179080.db2.gz PUERXLZDTWQSFH-UHFFFAOYSA-N 0 0 298.364 2.693 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1C[C@@H](F)[C@@H](F)C1 ZINC000294916799 285090551 /nfs/dbraw/zinc/09/05/51/285090551.db2.gz GFHLVRMKJITGGN-OCAPTIKFSA-N 0 0 262.643 2.744 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000266536993 384194178 /nfs/dbraw/zinc/19/41/78/384194178.db2.gz ZQYYYBOWXHWXME-VIFPVBQESA-N 0 0 298.389 2.552 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000266774795 384235501 /nfs/dbraw/zinc/23/55/01/384235501.db2.gz KVVFDYAYQGUXFU-QWRGUYRKSA-N 0 0 274.324 2.740 20 5 CFBDRN C[C@H]1C[C@H]1CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000277151176 384215796 /nfs/dbraw/zinc/21/57/96/384215796.db2.gz RMGMMMKVYRGFRJ-UWVGGRQHSA-N 0 0 291.307 2.619 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](C)[C@H](C)C1 ZINC000277184284 384225006 /nfs/dbraw/zinc/22/50/06/384225006.db2.gz ANWAQUWVRNVEFK-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cn1)[C@H](CCO)c1ccccc1 ZINC000266854800 384246542 /nfs/dbraw/zinc/24/65/42/384246542.db2.gz MLTCHJUGTTYQLU-CQSZACIVSA-N 0 0 287.319 2.550 20 5 CFBDRN CCc1ccc(C(=O)NCc2ccc(C)nc2)cc1[N+](=O)[O-] ZINC000266877127 384251665 /nfs/dbraw/zinc/25/16/65/384251665.db2.gz MSZKWLYWFRUUDZ-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CO[C@H]1CCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000266910001 384258773 /nfs/dbraw/zinc/25/87/73/384258773.db2.gz LAFOLFMNLOGJGT-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC[C@@H]3CCC[C@H]32)s1 ZINC000408107996 285099594 /nfs/dbraw/zinc/09/95/94/285099594.db2.gz QLLDTCYIVSRSIJ-WCBMZHEXSA-N 0 0 290.348 2.978 20 5 CFBDRN C[C@@H]1CCCN1c1nc(N2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000277321440 384267142 /nfs/dbraw/zinc/26/71/42/384267142.db2.gz DGEXWGZEEIVQSQ-NWDGAFQWSA-N 0 0 290.367 2.967 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1cccc(F)c1[N+](=O)[O-] ZINC000277337022 384271278 /nfs/dbraw/zinc/27/12/78/384271278.db2.gz CSEOVJWXLBFFKL-WPRPVWTQSA-N 0 0 254.261 2.571 20 5 CFBDRN CCCN(CC(C)(C)O)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000267165788 384312717 /nfs/dbraw/zinc/31/27/17/384312717.db2.gz TUIYWSKTZCKNEN-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000267165444 384313247 /nfs/dbraw/zinc/31/32/47/384313247.db2.gz BANUNOPZTOHISO-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC2CCSCC2)s1 ZINC000286439977 285100224 /nfs/dbraw/zinc/10/02/24/285100224.db2.gz WMZMZKIVBHDZEN-UHFFFAOYSA-N 0 0 296.377 2.907 20 5 CFBDRN C[C@@H](NC(=O)NC1CC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000277438967 384302372 /nfs/dbraw/zinc/30/23/72/384302372.db2.gz IYGIDOFZTYOFAX-MRVPVSSYSA-N 0 0 299.277 2.753 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCCSC1 ZINC000267270574 384343027 /nfs/dbraw/zinc/34/30/27/384343027.db2.gz PYFBDEUEDKFTJM-SNVBAGLBSA-N 0 0 280.349 2.529 20 5 CFBDRN CCn1ccnc1CN[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000267284498 384347031 /nfs/dbraw/zinc/34/70/31/384347031.db2.gz PQRILWKGBUEMFX-LBPRGKRZSA-N 0 0 288.351 2.970 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCSC2)c1 ZINC000267303874 384354060 /nfs/dbraw/zinc/35/40/60/384354060.db2.gz YKVXMCHMPNNHQF-JTQLQIEISA-N 0 0 280.349 2.529 20 5 CFBDRN CCN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277660472 384368040 /nfs/dbraw/zinc/36/80/40/384368040.db2.gz WFMRSTLUBSCXAS-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CCc2ccncc2)c1 ZINC000267209786 384323867 /nfs/dbraw/zinc/32/38/67/384323867.db2.gz LNKNBUJGFACIJB-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000267478112 384401540 /nfs/dbraw/zinc/40/15/40/384401540.db2.gz FWZPJYYYYYBDID-YABSGUDNSA-N 0 0 290.319 2.978 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000267735802 384453959 /nfs/dbraw/zinc/45/39/59/384453959.db2.gz KQXNXSCAHYFMRO-NXEZZACHSA-N 0 0 263.297 2.715 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC1CCSCC1 ZINC000277993449 384455491 /nfs/dbraw/zinc/45/54/91/384455491.db2.gz NMOBJHLQAUIUJW-UHFFFAOYSA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1noc(CCNc2c(C)cc([N+](=O)[O-])cc2Cl)n1 ZINC000277887411 384433224 /nfs/dbraw/zinc/43/32/24/384433224.db2.gz WQYCCDAGEXDBLF-UHFFFAOYSA-N 0 0 296.714 2.903 20 5 CFBDRN CCO[C@@H](CNc1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000277928697 384442715 /nfs/dbraw/zinc/44/27/15/384442715.db2.gz QRRULVZGVXPXJH-ZDUSSCGKSA-N 0 0 288.307 2.575 20 5 CFBDRN CCc1nnc(CNCc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000289419202 197338520 /nfs/dbraw/zinc/33/85/20/197338520.db2.gz BGJLCTODRZKLLJ-UHFFFAOYSA-N 0 0 292.364 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCO3)n2)s1 ZINC000278082494 384471711 /nfs/dbraw/zinc/47/17/11/384471711.db2.gz GKECAHHNXODTNE-LURJTMIESA-N 0 0 267.266 2.558 20 5 CFBDRN C[C@H]1CSCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000278086062 384472004 /nfs/dbraw/zinc/47/20/04/384472004.db2.gz VCWVQQUKGHKLDW-SNVBAGLBSA-N 0 0 293.392 2.752 20 5 CFBDRN CCO[C@@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278094911 384473330 /nfs/dbraw/zinc/47/33/30/384473330.db2.gz HMXZEKXPXUOCEQ-NKWVEPMBSA-N 0 0 281.293 2.599 20 5 CFBDRN CCO[C@@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278094913 384473748 /nfs/dbraw/zinc/47/37/48/384473748.db2.gz HMXZEKXPXUOCEQ-RNFRBKRXSA-N 0 0 281.293 2.599 20 5 CFBDRN COCC(C)(C)CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000413486671 533069799 /nfs/dbraw/zinc/06/97/99/533069799.db2.gz YHCZNCYTHXQEEH-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CCSC1 ZINC000268021013 384511022 /nfs/dbraw/zinc/51/10/22/384511022.db2.gz YTIZPTHEBFKTQY-JTQLQIEISA-N 0 0 295.364 2.839 20 5 CFBDRN C[C@@H]1CCCN1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268108108 384534157 /nfs/dbraw/zinc/53/41/57/384534157.db2.gz OHXQESQKYBUIBN-SECBINFHSA-N 0 0 297.742 2.942 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)CO[C@@H]2CCOC2)c1 ZINC000268050884 384518760 /nfs/dbraw/zinc/51/87/60/384518760.db2.gz SQLLPCQCUCVCGK-NWDGAFQWSA-N 0 0 280.324 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)CO[C@H]2CCOC2)c1 ZINC000268050885 384519196 /nfs/dbraw/zinc/51/91/96/384519196.db2.gz SQLLPCQCUCVCGK-RYUDHWBXSA-N 0 0 280.324 2.509 20 5 CFBDRN COCCSCCCOc1ccc(F)cc1[N+](=O)[O-] ZINC000278297172 384521355 /nfs/dbraw/zinc/52/13/55/384521355.db2.gz RWUGUDIILAJGCO-UHFFFAOYSA-N 0 0 289.328 2.882 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000268096600 384530427 /nfs/dbraw/zinc/53/04/27/384530427.db2.gz AGSQSEDOHUUQBS-CHWSQXEVSA-N 0 0 278.308 2.515 20 5 CFBDRN CC1(CNc2c3c(ccc2[N+](=O)[O-])NCC3)CCOCC1 ZINC000278340285 384533520 /nfs/dbraw/zinc/53/35/20/384533520.db2.gz JAXDLYFEVWLAPW-UHFFFAOYSA-N 0 0 291.351 2.791 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCN2)cc1C(F)(F)F ZINC000289203098 285122353 /nfs/dbraw/zinc/12/23/53/285122353.db2.gz UZMNKPAAHFTGHZ-UHFFFAOYSA-N 0 0 275.230 2.718 20 5 CFBDRN CC1CC(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000268155446 384548254 /nfs/dbraw/zinc/54/82/54/384548254.db2.gz XHRAKVYNJAVETP-UHFFFAOYSA-N 0 0 297.742 2.846 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCO1 ZINC000278384513 384551791 /nfs/dbraw/zinc/55/17/91/384551791.db2.gz FLWHTYBASDMQEF-ZJUUUORDSA-N 0 0 290.323 2.698 20 5 CFBDRN Cc1cnccc1CCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278392363 384555953 /nfs/dbraw/zinc/55/59/53/384555953.db2.gz MGGHQFNQJXHKRK-UHFFFAOYSA-N 0 0 298.346 2.921 20 5 CFBDRN CS[C@H](C)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268180326 384556935 /nfs/dbraw/zinc/55/69/35/384556935.db2.gz CLVGHCIIPNZVII-SSDOTTSWSA-N 0 0 288.756 2.616 20 5 CFBDRN CCCC(=O)Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000289337897 197302199 /nfs/dbraw/zinc/30/21/99/197302199.db2.gz IVBQTOGXIYDPFM-UHFFFAOYSA-N 0 0 261.281 2.622 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1C[C@@H]2CCC[C@@H]2C1 ZINC000268137052 384541229 /nfs/dbraw/zinc/54/12/29/384541229.db2.gz OVRILZMVMNPGPM-PHIMTYICSA-N 0 0 299.330 2.948 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCn2cc(-n3cccc3)cn2)c1 ZINC000359948189 220317385 /nfs/dbraw/zinc/31/73/85/220317385.db2.gz YQAUUJVRHANPKF-UHFFFAOYSA-N 0 0 298.302 2.661 20 5 CFBDRN CC[C@@H]1CCC[C@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000268222087 384571204 /nfs/dbraw/zinc/57/12/04/384571204.db2.gz KCSRPMXGBQRSLQ-MWLCHTKSSA-N 0 0 277.324 2.684 20 5 CFBDRN C[C@H]1C[C@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278429840 384571151 /nfs/dbraw/zinc/57/11/51/384571151.db2.gz PLQCUGMGYVSSJJ-WDEREUQCSA-N 0 0 291.351 2.790 20 5 CFBDRN CCC(=CC(=O)NCCc1ccccc1[N+](=O)[O-])CC ZINC000268234529 384576374 /nfs/dbraw/zinc/57/63/74/384576374.db2.gz BYQQRHRPPGRTDS-UHFFFAOYSA-N 0 0 276.336 3.000 20 5 CFBDRN CCc1ccc(CCn2ccc(=O)c([N+](=O)[O-])c2)cc1 ZINC000268254796 384582768 /nfs/dbraw/zinc/58/27/68/384582768.db2.gz IESSRXDRXQPNBH-UHFFFAOYSA-N 0 0 272.304 2.562 20 5 CFBDRN CC(C)(CO)CCCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278459951 384583131 /nfs/dbraw/zinc/58/31/31/384583131.db2.gz SQUICISGLBATJY-UHFFFAOYSA-N 0 0 293.367 2.773 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CC[C@H](CO)CC1 ZINC000268261152 384584511 /nfs/dbraw/zinc/58/45/11/384584511.db2.gz KSHOIQLZTUAQJM-XYPYZODXSA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1c(CN2C[C@@H](C3CC3)O[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000279372229 384616122 /nfs/dbraw/zinc/61/61/22/384616122.db2.gz RZNGWJKZVFBCJI-ZBEGNZNMSA-N 0 0 290.363 2.903 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)N(C)Cc2ccccc2[N+](=O)[O-])O1 ZINC000268370544 384617236 /nfs/dbraw/zinc/61/72/36/384617236.db2.gz VZMGFTADCRPHKC-TZMCWYRMSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1nc(N2CCCC[C@H]2c2ncc[nH]2)ccc1[N+](=O)[O-] ZINC000268425527 384618304 /nfs/dbraw/zinc/61/83/04/384618304.db2.gz AALNOPTXJRQJMZ-LBPRGKRZSA-N 0 0 287.323 2.753 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2C)O1 ZINC000268326439 384602424 /nfs/dbraw/zinc/60/24/24/384602424.db2.gz SOPRDFTWYLZFKT-GXFFZTMASA-N 0 0 279.292 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3c(F)cccc3C2)nc1 ZINC000339790225 285131390 /nfs/dbraw/zinc/13/13/90/285131390.db2.gz ZOFWJINVAYOKRQ-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCCC2(C)CC2)c1 ZINC000279504706 384659740 /nfs/dbraw/zinc/65/97/40/384659740.db2.gz UCJGCVBHJKBURT-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1c(NC(=O)C[C@H]2CCC(=O)[C@H]2C)cccc1[N+](=O)[O-] ZINC000279612835 384695214 /nfs/dbraw/zinc/69/52/14/384695214.db2.gz JJBZEPWXOAKTFR-GXSJLCMTSA-N 0 0 290.319 2.847 20 5 CFBDRN CC(C)(C)[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000279674563 384717707 /nfs/dbraw/zinc/71/77/07/384717707.db2.gz DSFUSLRTNZFGIG-CQSZACIVSA-N 0 0 278.352 2.842 20 5 CFBDRN COc1cc(OCc2ccn(C(C)C)n2)ccc1[N+](=O)[O-] ZINC000279960087 384828063 /nfs/dbraw/zinc/82/80/63/384828063.db2.gz NXBCVZQLMRLQQX-UHFFFAOYSA-N 0 0 291.307 2.960 20 5 CFBDRN Cc1c(CC(=O)NCC2CCCC2)cccc1[N+](=O)[O-] ZINC000269610852 384803955 /nfs/dbraw/zinc/80/39/55/384803955.db2.gz KDOBVRNCMJXJSK-UHFFFAOYSA-N 0 0 276.336 2.752 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncncc2Cl)cc1 ZINC000302613976 285138076 /nfs/dbraw/zinc/13/80/76/285138076.db2.gz CHSSZLUNMBXCRJ-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN CC[C@@H](C)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000269715415 384847262 /nfs/dbraw/zinc/84/72/62/384847262.db2.gz RZVLCXXNDQGBQR-SECBINFHSA-N 0 0 277.280 2.960 20 5 CFBDRN CCN(c1ccc(C(=O)NC)cc1[N+](=O)[O-])C1CCCC1 ZINC000264917542 285145870 /nfs/dbraw/zinc/14/58/70/285145870.db2.gz LKUQFEXSNWDSPJ-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN CO[C@H](c1nc(-c2ccc([N+](=O)[O-])cc2)no1)C1CC1 ZINC000280269745 384953013 /nfs/dbraw/zinc/95/30/13/384953013.db2.gz KRUJZNIRXWYRMR-NSHDSACASA-N 0 0 275.264 2.742 20 5 CFBDRN CCc1nsc(Oc2ccc([N+](=O)[O-])c(OC)c2)n1 ZINC000280136961 384899347 /nfs/dbraw/zinc/89/93/47/384899347.db2.gz BPGHRMOTTCJEIA-UHFFFAOYSA-N 0 0 281.293 2.810 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000269910704 384901314 /nfs/dbraw/zinc/90/13/14/384901314.db2.gz VVRMDHDLQKAOFP-VXGBXAGGSA-N 0 0 264.325 2.643 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)C[C@H](C2CC2)O1 ZINC000280156502 384907046 /nfs/dbraw/zinc/90/70/46/384907046.db2.gz CPAVBYOEYGGGDP-LKFCYVNXSA-N 0 0 280.299 2.738 20 5 CFBDRN CC1=C(C)CN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000280156914 384907178 /nfs/dbraw/zinc/90/71/78/384907178.db2.gz DPVYLSLXGDOCQH-UHFFFAOYSA-N 0 0 266.322 2.839 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000280166940 384913260 /nfs/dbraw/zinc/91/32/60/384913260.db2.gz NSIDRKVXEYPRGL-JTQLQIEISA-N 0 0 294.376 2.640 20 5 CFBDRN CCOC(=O)CSc1ccc(C)cc1[N+](=O)[O-] ZINC000269979790 384929184 /nfs/dbraw/zinc/92/91/84/384929184.db2.gz ZYRAYXXWAKYWRH-UHFFFAOYSA-N 0 0 255.295 2.558 20 5 CFBDRN CC1=C(C)CN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000280259696 384948323 /nfs/dbraw/zinc/94/83/23/384948323.db2.gz PLIKYLHMZWVWQA-UHFFFAOYSA-N 0 0 260.293 2.777 20 5 CFBDRN CC[C@](C)(OC)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000280450276 385021731 /nfs/dbraw/zinc/02/17/31/385021731.db2.gz WFHLJNMTLQYGCC-AWEZNQCLSA-N 0 0 281.308 2.762 20 5 CFBDRN CC(C)(O)COc1ccc([N+](=O)[O-])cc1Br ZINC000270128420 384986588 /nfs/dbraw/zinc/98/65/88/384986588.db2.gz NUTGJWISTCBCDU-UHFFFAOYSA-N 0 0 290.113 2.507 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000270146966 384992609 /nfs/dbraw/zinc/99/26/09/384992609.db2.gz WADSZFAGEKVDSY-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN CC1=CCN(Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000270380166 385080073 /nfs/dbraw/zinc/08/00/73/385080073.db2.gz VXANAWBOHQECIZ-UHFFFAOYSA-N 0 0 250.273 2.886 20 5 CFBDRN Cc1cc(OCC(=O)N2CCCC2(C)C)ccc1[N+](=O)[O-] ZINC000280523524 385046603 /nfs/dbraw/zinc/04/66/03/385046603.db2.gz CLDHPDNGVVKWSJ-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN CN(C(=O)NCCc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000270459141 385111512 /nfs/dbraw/zinc/11/15/12/385111512.db2.gz GCSJXUIMFYFKTB-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H](C)COC)c([N+](=O)[O-])c1 ZINC000280707640 385121917 /nfs/dbraw/zinc/12/19/17/385121917.db2.gz KVWWKNDOUMDMKZ-JTQLQIEISA-N 0 0 280.324 2.882 20 5 CFBDRN CCC(=O)c1ccc(NCc2nccn2C)c([N+](=O)[O-])c1 ZINC000280748578 385139823 /nfs/dbraw/zinc/13/98/23/385139823.db2.gz PGPHHYYXRINFAT-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN CCC(=O)c1ccc(N(CC)CCOC)c([N+](=O)[O-])c1 ZINC000280635977 385093714 /nfs/dbraw/zinc/09/37/14/385093714.db2.gz CWOPQNPGACAXKL-UHFFFAOYSA-N 0 0 280.324 2.660 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H](C)CCO)c([N+](=O)[O-])c1 ZINC000280808992 385161820 /nfs/dbraw/zinc/16/18/20/385161820.db2.gz WSGGCULVWGCUKH-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN CC1=CCCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000280814765 385166222 /nfs/dbraw/zinc/16/62/22/385166222.db2.gz URUWXVIBJMANLB-UHFFFAOYSA-N 0 0 292.360 2.866 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@H]1CC[C@H](O)CC1 ZINC000253138347 285154841 /nfs/dbraw/zinc/15/48/41/285154841.db2.gz VEXDLHXWHAPBHQ-KYZUINATSA-N 0 0 270.716 2.964 20 5 CFBDRN C[C@@H](CO)N(CC1CCC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000280821372 385168465 /nfs/dbraw/zinc/16/84/65/385168465.db2.gz DYUBMJMMTZYRJY-VIFPVBQESA-N 0 0 299.758 2.631 20 5 CFBDRN CO[C@@H](C)CN(C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000289137107 197244243 /nfs/dbraw/zinc/24/42/43/197244243.db2.gz TZFSWVMTZIBROL-JTQLQIEISA-N 0 0 295.339 2.710 20 5 CFBDRN CCc1nnc([C@@H](C)Nc2cc(C)ccc2[N+](=O)[O-])[nH]1 ZINC000270639927 385173254 /nfs/dbraw/zinc/17/32/54/385173254.db2.gz HYMZTQYGHHXBST-SECBINFHSA-N 0 0 275.312 2.757 20 5 CFBDRN CC[C@@](C)(OC)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000280837501 385174579 /nfs/dbraw/zinc/17/45/79/385174579.db2.gz WRHNILSDASWZHC-CYBMUJFWSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@H](CF)NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000289131698 197241701 /nfs/dbraw/zinc/24/17/01/197241701.db2.gz XSJXLFBWDHRHKZ-SECBINFHSA-N 0 0 282.315 2.980 20 5 CFBDRN CC1(C(=O)NCCc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000270744536 385212208 /nfs/dbraw/zinc/21/22/08/385212208.db2.gz HSXJBNUTAGABFV-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@H](F)C1 ZINC000450606783 285158132 /nfs/dbraw/zinc/15/81/32/285158132.db2.gz VNBLMXVPYICLPV-VIFPVBQESA-N 0 0 261.256 2.691 20 5 CFBDRN Cn1cc2c(n1)CCC[C@@H]2Nc1ccc(F)cc1[N+](=O)[O-] ZINC000282152936 385228713 /nfs/dbraw/zinc/22/87/13/385228713.db2.gz CABDWKDRBKJGGA-NSHDSACASA-N 0 0 290.298 2.957 20 5 CFBDRN C[C@@H]1COc2ccccc2CN1c1ncc([N+](=O)[O-])s1 ZINC000281953721 385205787 /nfs/dbraw/zinc/20/57/87/385205787.db2.gz QBWAQXJWYOSQPL-SECBINFHSA-N 0 0 291.332 2.839 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3[C@@H]4CCC[C@@H]43)c21 ZINC000362856391 285159426 /nfs/dbraw/zinc/15/94/26/285159426.db2.gz RDVLKYZISZHPJG-WOFXILAISA-N 0 0 270.292 2.748 20 5 CFBDRN CON(CC1CCOCC1)[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000282462663 385317322 /nfs/dbraw/zinc/31/73/22/385317322.db2.gz KJHDGKFSLBYCJQ-LBPRGKRZSA-N 0 0 294.351 2.946 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCO[C@H]2CCCCO2)c1 ZINC000282484988 385324496 /nfs/dbraw/zinc/32/44/96/385324496.db2.gz TZPSQTFYHZDKAE-ZDUSSCGKSA-N 0 0 267.281 2.517 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCCc2nccs2)c1 ZINC000282282954 385269910 /nfs/dbraw/zinc/26/99/10/385269910.db2.gz VDDSPWHZIGLYRF-UHFFFAOYSA-N 0 0 278.337 2.678 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCc2ccc(O)cc2C1 ZINC000351963554 285160576 /nfs/dbraw/zinc/16/05/76/285160576.db2.gz FPUZJGRRIMDUHT-UHFFFAOYSA-N 0 0 284.315 2.859 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289092199 197232347 /nfs/dbraw/zinc/23/23/47/197232347.db2.gz QSFJJKYWDZJMOZ-KBPBESRZSA-N 0 0 290.363 2.888 20 5 CFBDRN CO[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)[C@H](C)C1 ZINC000282363755 385294370 /nfs/dbraw/zinc/29/43/70/385294370.db2.gz NMIWUJMQNUZKAI-MFKMUULPSA-N 0 0 282.315 2.733 20 5 CFBDRN COc1cc(NCC[C@H](C)OC)c(F)cc1[N+](=O)[O-] ZINC000271090703 385334533 /nfs/dbraw/zinc/33/45/33/385334533.db2.gz KUNMHJFRRUBMJH-QMMMGPOBSA-N 0 0 272.276 2.579 20 5 CFBDRN CS[C@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282567418 385343243 /nfs/dbraw/zinc/34/32/43/385343243.db2.gz YOFZXQYYCRIPSC-SSDOTTSWSA-N 0 0 272.301 2.732 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282567421 385343276 /nfs/dbraw/zinc/34/32/76/385343276.db2.gz YOFZXQYYCRIPSC-ZETCQYMHSA-N 0 0 272.301 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCCC1CCOCC1 ZINC000281181896 195139007 /nfs/dbraw/zinc/13/90/07/195139007.db2.gz AXSKUFXIFLGMDU-UHFFFAOYSA-N 0 0 294.351 2.662 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000282630292 385357861 /nfs/dbraw/zinc/35/78/61/385357861.db2.gz KLIIITIRDDCIMZ-VHSXEESVSA-N 0 0 299.710 2.973 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000282659833 385363986 /nfs/dbraw/zinc/36/39/86/385363986.db2.gz GHAWENFBASBFFW-TZMCWYRMSA-N 0 0 291.351 2.577 20 5 CFBDRN Cc1cc(=O)n(CCSC(C)(C)C)cc1[N+](=O)[O-] ZINC000282738799 385380412 /nfs/dbraw/zinc/38/04/12/385380412.db2.gz YRPBDDQFXSHZGT-UHFFFAOYSA-N 0 0 270.354 2.597 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)C2CCC2)c1 ZINC000282783956 385388169 /nfs/dbraw/zinc/38/81/69/385388169.db2.gz GGBMWNWEFMMUOZ-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1cccnc1Cl ZINC000289003743 197211389 /nfs/dbraw/zinc/21/13/89/197211389.db2.gz WQXMIFKXXRWWKY-UHFFFAOYSA-N 0 0 282.687 2.960 20 5 CFBDRN COc1ccc(CN(C)Cc2ccccc2[N+](=O)[O-])nc1 ZINC000271985460 385419084 /nfs/dbraw/zinc/41/90/84/385419084.db2.gz PBEANQFGOAFNJG-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN CN(C(=O)CCC(C)(C)C)c1ccc([N+](=O)[O-])nc1 ZINC000288990951 197207858 /nfs/dbraw/zinc/20/78/58/197207858.db2.gz ZWYWGOSSZNPFKU-UHFFFAOYSA-N 0 0 265.313 2.779 20 5 CFBDRN COC(C)(C)Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000288989923 197206951 /nfs/dbraw/zinc/20/69/51/197206951.db2.gz GCSVAKUIUONNAU-UHFFFAOYSA-N 0 0 283.309 2.674 20 5 CFBDRN CC[C@@H](C)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272066020 385439732 /nfs/dbraw/zinc/43/97/32/385439732.db2.gz AZZIYZHKZLWHLT-MRVPVSSYSA-N 0 0 272.251 2.601 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ocnc1C1CC1 ZINC000288964120 197201684 /nfs/dbraw/zinc/20/16/84/197201684.db2.gz WTAIIHWRKGJLQE-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN C[C@@H](NC(=O)COC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000272080583 385443391 /nfs/dbraw/zinc/44/33/91/385443391.db2.gz AUOHTVJBLHPREZ-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN CCCCN(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272109070 385449084 /nfs/dbraw/zinc/44/90/84/385449084.db2.gz NZJXNCGEIIONNF-UHFFFAOYSA-N 0 0 286.278 2.945 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1cccc(O)c1 ZINC000288980874 197205580 /nfs/dbraw/zinc/20/55/80/197205580.db2.gz VELIHWXOJJTTAQ-VIFPVBQESA-N 0 0 290.323 2.998 20 5 CFBDRN O=[N+]([O-])c1ccn(CCN2CCCCc3ccccc32)n1 ZINC000178720432 285168003 /nfs/dbraw/zinc/16/80/03/285168003.db2.gz OXRRBDSNXQDEKD-UHFFFAOYSA-N 0 0 286.335 2.634 20 5 CFBDRN Cc1nnc([C@H](C)NCc2ccc([N+](=O)[O-])c(F)c2)s1 ZINC000283082166 385433345 /nfs/dbraw/zinc/43/33/45/385433345.db2.gz KSQMPLHJFHMVIM-ZETCQYMHSA-N 0 0 296.327 2.745 20 5 CFBDRN CCCSCC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288972166 197203527 /nfs/dbraw/zinc/20/35/27/197203527.db2.gz FODNFHNOOQNKTG-UHFFFAOYSA-N 0 0 296.392 2.739 20 5 CFBDRN Cc1ccc(OC(=O)OC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000283518502 385471666 /nfs/dbraw/zinc/47/16/66/385471666.db2.gz LFBQVPSBXHWXFE-SNVBAGLBSA-N 0 0 281.264 2.598 20 5 CFBDRN COCCC[C@@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000272198040 385471761 /nfs/dbraw/zinc/47/17/61/385471761.db2.gz XGGPPDCJPVCAOM-SECBINFHSA-N 0 0 285.271 2.702 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1(C)CCC1 ZINC000283525686 385472655 /nfs/dbraw/zinc/47/26/55/385472655.db2.gz HHHDSTVKSVRVDH-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)Sc1cn[nH]n1 ZINC000272200358 385472839 /nfs/dbraw/zinc/47/28/39/385472839.db2.gz MJPMPOYUDOPOOU-QMMMGPOBSA-N 0 0 264.310 2.875 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N[C@@H]1CCSC1 ZINC000236111592 285171363 /nfs/dbraw/zinc/17/13/63/285171363.db2.gz AILGKHCJRMQANR-ZCFIWIBFSA-N 0 0 293.270 2.926 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](COC)c1ccco1 ZINC000288928925 197195089 /nfs/dbraw/zinc/19/50/89/197195089.db2.gz QJHBIEUEGWHGQA-JTQLQIEISA-N 0 0 294.311 2.512 20 5 CFBDRN CN(C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000272253087 385488859 /nfs/dbraw/zinc/48/88/59/385488859.db2.gz SYSAFMCVCDMCKZ-UHFFFAOYSA-N 0 0 284.262 2.698 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCO[C@@H](c3ccsc3)C2)s1 ZINC000192487270 285174887 /nfs/dbraw/zinc/17/48/87/285174887.db2.gz WWDKDOWAETZQEI-SECBINFHSA-N 0 0 297.361 2.691 20 5 CFBDRN COC[C@@H](NCc1ccc([N+](=O)[O-])cc1F)c1ccco1 ZINC000272303527 385503929 /nfs/dbraw/zinc/50/39/29/385503929.db2.gz NVKLEEFVORCWMU-CYBMUJFWSA-N 0 0 294.282 2.804 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000272340800 385514481 /nfs/dbraw/zinc/51/44/81/385514481.db2.gz OYMOIPRHVQTCIC-ZYHUDNBSSA-N 0 0 263.293 2.869 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3sccc3C2)c(F)c1 ZINC000413033828 285178729 /nfs/dbraw/zinc/17/87/29/285178729.db2.gz AERBTWDJPIMJRM-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN Cc1csc(CN[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)n1 ZINC000284164830 385542393 /nfs/dbraw/zinc/54/23/93/385542393.db2.gz QABRZXVKSTZVQQ-VIFPVBQESA-N 0 0 292.364 2.793 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]([C@H]3CCOC3)C2)c(Cl)c1 ZINC000371008212 285176095 /nfs/dbraw/zinc/17/60/95/285176095.db2.gz XMLLUHRDIZKFIQ-ZJUUUORDSA-N 0 0 297.742 2.506 20 5 CFBDRN Cc1noc(C)c1CN[C@H](C)c1ccc(N)c([N+](=O)[O-])c1 ZINC000283987422 385521495 /nfs/dbraw/zinc/52/14/95/385521495.db2.gz HDGITXMQPLFIMX-MRVPVSSYSA-N 0 0 290.323 2.633 20 5 CFBDRN CCc1nn(C)c(Oc2ccc(Cl)nc2)c1[N+](=O)[O-] ZINC000288868497 197182280 /nfs/dbraw/zinc/18/22/80/197182280.db2.gz LOFSBMULWACZOX-UHFFFAOYSA-N 0 0 282.687 2.731 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3OCCC[C@H]3C2)c(Cl)c1 ZINC000301722725 285177505 /nfs/dbraw/zinc/17/75/05/285177505.db2.gz NUGJCPBTTGXMLY-JOYOIKCWSA-N 0 0 297.742 2.649 20 5 CFBDRN COc1cc(S[C@@H]2CCCOC2)c([N+](=O)[O-])cc1OC ZINC000272398077 385528004 /nfs/dbraw/zinc/52/80/04/385528004.db2.gz ALFFCZVXCDZKBA-SECBINFHSA-N 0 0 299.348 2.883 20 5 CFBDRN C[C@@H]1CCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000272486425 385550252 /nfs/dbraw/zinc/55/02/52/385550252.db2.gz HHRSUBUVQJLZEA-SECBINFHSA-N 0 0 284.262 2.555 20 5 CFBDRN COCC1(CCNc2ncc([N+](=O)[O-])cc2F)CCC1 ZINC000413305270 533129895 /nfs/dbraw/zinc/12/98/95/533129895.db2.gz ZLQAIJXQHLWQNN-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCc3ccccc3OC2)s1 ZINC000367167072 285183031 /nfs/dbraw/zinc/18/30/31/285183031.db2.gz XSLBDMNHAVMIFY-JTQLQIEISA-N 0 0 291.332 2.857 20 5 CFBDRN O=[N+]([O-])c1cnc(Sc2ccc(F)cc2)nc1 ZINC000302166613 285184130 /nfs/dbraw/zinc/18/41/30/285184130.db2.gz RSDHZEKQQZPBGA-UHFFFAOYSA-N 0 0 251.242 2.675 20 5 CFBDRN Cc1nn(C)c(N2CCC=C(c3ccccc3)C2)c1[N+](=O)[O-] ZINC000286384742 385605150 /nfs/dbraw/zinc/60/51/50/385605150.db2.gz FACGOWBQIHWUGB-UHFFFAOYSA-N 0 0 298.346 2.930 20 5 CFBDRN CCN(CC)C(=O)CSc1c(F)cccc1[N+](=O)[O-] ZINC000286296082 385584563 /nfs/dbraw/zinc/58/45/63/385584563.db2.gz SGUYVCYHQJEEJE-UHFFFAOYSA-N 0 0 286.328 2.694 20 5 CFBDRN Cc1cc(COC(=O)C2SCCS2)ccc1[N+](=O)[O-] ZINC000272674962 385586265 /nfs/dbraw/zinc/58/62/65/385586265.db2.gz JQEAFBQVWPQKAF-UHFFFAOYSA-N 0 0 299.373 2.753 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000286417110 385613947 /nfs/dbraw/zinc/61/39/47/385613947.db2.gz POMNYLVVRXBMFO-GWCFXTLKSA-N 0 0 292.335 2.882 20 5 CFBDRN COc1ccc(OCC(=O)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000286425558 385617540 /nfs/dbraw/zinc/61/75/40/385617540.db2.gz DHWIUSQWVUOFBL-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000272819195 385625151 /nfs/dbraw/zinc/62/51/51/385625151.db2.gz OQTSIESDRJFQCR-QMMMGPOBSA-N 0 0 264.281 2.588 20 5 CFBDRN COc1ccc(NC(=O)NC2CC(C)C2)cc1[N+](=O)[O-] ZINC000272832003 385627875 /nfs/dbraw/zinc/62/78/75/385627875.db2.gz NBOKCUYVKDIENZ-UHFFFAOYSA-N 0 0 279.296 2.523 20 5 CFBDRN CC[N@@H+]1CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000272840105 385629807 /nfs/dbraw/zinc/62/98/07/385629807.db2.gz SRRTVCIAIZDFFX-UHFFFAOYSA-N 0 0 297.786 2.776 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@H]2CCCc3ccccc32)c1 ZINC000108954053 285189957 /nfs/dbraw/zinc/18/99/57/285189957.db2.gz PHUKIGUZKTVBNP-GFCCVEGCSA-N 0 0 257.293 2.911 20 5 CFBDRN CCC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)CCO1 ZINC000286501206 385635348 /nfs/dbraw/zinc/63/53/48/385635348.db2.gz MXMKWNWDILVXQR-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(=O)c1ccc(OCC2CCC(=O)CC2)c([N+](=O)[O-])c1 ZINC000286501043 385635449 /nfs/dbraw/zinc/63/54/49/385635449.db2.gz UGYDMGOJQLFXMW-UHFFFAOYSA-N 0 0 291.303 2.936 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1ccccc1[N+](=O)[O-] ZINC000286396553 385608074 /nfs/dbraw/zinc/60/80/74/385608074.db2.gz OBNSKONRNARADN-GHMZBOCLSA-N 0 0 250.298 2.679 20 5 CFBDRN CO[C@H](C)COc1ccc(Br)cc1[N+](=O)[O-] ZINC000272766849 385608851 /nfs/dbraw/zinc/60/88/51/385608851.db2.gz RYQCAJBZNBAOPU-SSDOTTSWSA-N 0 0 290.113 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCOC[C@@H]2C)c1 ZINC000286409004 385613082 /nfs/dbraw/zinc/61/30/82/385613082.db2.gz OVTUVDQEFNAKDT-RYUDHWBXSA-N 0 0 264.325 2.988 20 5 CFBDRN CC(C)[C@@H](Nc1ccc([N+](=O)[O-])cc1)c1nncn1C ZINC000286558516 385648882 /nfs/dbraw/zinc/64/88/82/385648882.db2.gz KKSSEVUXHDUZPI-GFCCVEGCSA-N 0 0 275.312 2.533 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000286606265 385663269 /nfs/dbraw/zinc/66/32/69/385663269.db2.gz FMTPCRYEDVNNSP-LJLILKBBSA-N 0 0 274.320 2.913 20 5 CFBDRN CC(C)SCCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000286655766 385678801 /nfs/dbraw/zinc/67/88/01/385678801.db2.gz ITUDHUUDXVGSRL-VMPITWQZSA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC[C@H]2C2CC2)c1 ZINC000273435677 385682649 /nfs/dbraw/zinc/68/26/49/385682649.db2.gz BOAOAYXKXKSKFF-AWEZNQCLSA-N 0 0 262.309 2.518 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1CCC[C@H]1CCO ZINC000286746501 385700895 /nfs/dbraw/zinc/70/08/95/385700895.db2.gz RHALTKUCOCRAOH-GXSJLCMTSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@H](NC(=O)OC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000286745166 385701433 /nfs/dbraw/zinc/70/14/33/385701433.db2.gz ULNHLDYFEJULMN-GWCFXTLKSA-N 0 0 294.307 2.561 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nc(CCC2CC2)no1 ZINC000274025404 385702526 /nfs/dbraw/zinc/70/25/26/385702526.db2.gz OCWOFBQCBNBPEG-UHFFFAOYSA-N 0 0 289.291 2.899 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@@H]1CCO ZINC000286799816 385713140 /nfs/dbraw/zinc/71/31/40/385713140.db2.gz WJXSVYCZOHECLF-RISCZKNCSA-N 0 0 292.335 2.760 20 5 CFBDRN CCCCOCCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000286888665 385732297 /nfs/dbraw/zinc/73/22/97/385732297.db2.gz IYVXFCVDXRAOOJ-UHFFFAOYSA-N 0 0 267.329 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nc([C@@H]3CCCO3)no2)cc1 ZINC000274183651 385735284 /nfs/dbraw/zinc/73/52/84/385735284.db2.gz PWHXBPFPDDMOGB-ZCRIDZFUSA-N 0 0 287.275 3.000 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc([C@@H]2CCCO2)no1 ZINC000274223968 385742519 /nfs/dbraw/zinc/74/25/19/385742519.db2.gz UOOUSFPDYJNVIE-NSHDSACASA-N 0 0 275.264 2.805 20 5 CFBDRN CCC1(CC)CN(C(=O)c2ccc([N+](=O)[O-])o2)CCS1 ZINC000274400001 385786443 /nfs/dbraw/zinc/78/64/43/385786443.db2.gz URYHNSRABYEWBD-UHFFFAOYSA-N 0 0 298.364 2.936 20 5 CFBDRN CCOC1CC(N(C)Cc2csc([N+](=O)[O-])c2)C1 ZINC000287082759 385776833 /nfs/dbraw/zinc/77/68/33/385776833.db2.gz WNIRROFTZYMEMF-UHFFFAOYSA-N 0 0 270.354 2.656 20 5 CFBDRN CCOC(=O)CC(C)(C)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000286834663 385719786 /nfs/dbraw/zinc/71/97/86/385719786.db2.gz XQUHLJUBEQWIRI-UHFFFAOYSA-N 0 0 297.355 2.778 20 5 CFBDRN CC(=O)c1cc(N(C)[C@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000286847891 385722795 /nfs/dbraw/zinc/72/27/95/385722795.db2.gz MEBNSMGKQYPRJE-ZDUSSCGKSA-N 0 0 294.351 2.641 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000274516880 385816594 /nfs/dbraw/zinc/81/65/94/385816594.db2.gz VWMGQGSIPSLBLD-AAOUONPWSA-N 0 0 250.298 2.642 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000287280477 385818048 /nfs/dbraw/zinc/81/80/48/385818048.db2.gz VEESOTPUNZVVFZ-TXEJJXNPSA-N 0 0 293.323 2.544 20 5 CFBDRN CCC[C@@](C)(O)CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000274570686 385825110 /nfs/dbraw/zinc/82/51/10/385825110.db2.gz AWQUMQOTUMWRLT-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000274890464 385891505 /nfs/dbraw/zinc/89/15/05/385891505.db2.gz LTANRZSLSMGHPO-UWVGGRQHSA-N 0 0 266.272 2.965 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCC[C@@H]2CCO)c([N+](=O)[O-])c1 ZINC000274972393 385904610 /nfs/dbraw/zinc/90/46/10/385904610.db2.gz ABAQANKJQRDGJU-YPMHNXCESA-N 0 0 292.335 2.760 20 5 CFBDRN O=C(C[C@H]1C=CCCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000275069611 385925723 /nfs/dbraw/zinc/92/57/23/385925723.db2.gz UKJZUGUUWNEHKA-ZDUSSCGKSA-N 0 0 288.347 3.000 20 5 CFBDRN CC(C)(O)CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000274779653 385869537 /nfs/dbraw/zinc/86/95/37/385869537.db2.gz OUYHNXGJFJPQIB-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN CC(C)(O)CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000274802042 385874576 /nfs/dbraw/zinc/87/45/76/385874576.db2.gz VLKFWDQGPLHKLT-UHFFFAOYSA-N 0 0 258.705 2.821 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cccnc32)CCC1=O ZINC000275427045 385976286 /nfs/dbraw/zinc/97/62/86/385976286.db2.gz USZUYEQFRSQLKB-SNVBAGLBSA-N 0 0 285.303 2.558 20 5 CFBDRN CCC[C@@H](CCO)CNc1c(F)cccc1[N+](=O)[O-] ZINC000288522909 197102302 /nfs/dbraw/zinc/10/23/02/197102302.db2.gz UAXIHULFDQPQMW-JTQLQIEISA-N 0 0 270.304 2.945 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276612103 385992040 /nfs/dbraw/zinc/99/20/40/385992040.db2.gz LUQGBTDLQRYMGG-QAVQXKDTSA-N 0 0 287.319 2.882 20 5 CFBDRN CC(C)=CCCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000276634575 385998463 /nfs/dbraw/zinc/99/84/63/385998463.db2.gz PBAGLEDDCZTHPT-UHFFFAOYSA-N 0 0 262.309 2.989 20 5 CFBDRN CCc1nnc(COc2ccc(Cl)c([N+](=O)[O-])c2)o1 ZINC000288513355 197100062 /nfs/dbraw/zinc/10/00/62/197100062.db2.gz VIZSUSUTCCSZBU-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN CC(C)=CCNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000276761251 386036927 /nfs/dbraw/zinc/03/69/27/386036927.db2.gz ALAKLFYQCWGHEP-NSHDSACASA-N 0 0 277.324 2.921 20 5 CFBDRN CC[C@@H](C)N(CCO)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000288448807 197081806 /nfs/dbraw/zinc/08/18/06/197081806.db2.gz JBWZJGUBSAQCRI-LLVKDONJSA-N 0 0 289.335 2.740 20 5 CFBDRN CC[C@H](C)CC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000276896515 386073366 /nfs/dbraw/zinc/07/33/66/386073366.db2.gz VDDMDPMSGSEKRT-NSHDSACASA-N 0 0 264.325 2.690 20 5 CFBDRN COC1(CC(=O)Oc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000276911089 386077233 /nfs/dbraw/zinc/07/72/33/386077233.db2.gz USPZXIWGSGMFGK-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN CCC(C)(C)CNC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000276966210 386094520 /nfs/dbraw/zinc/09/45/20/386094520.db2.gz DYQDZXZNDWBLNZ-UHFFFAOYSA-N 0 0 298.314 2.665 20 5 CFBDRN CCSCCCNc1c([N+](=O)[O-])nc(C)n1CC ZINC000288427358 197075254 /nfs/dbraw/zinc/07/52/54/197075254.db2.gz MZEDRRBPWFTYFN-UHFFFAOYSA-N 0 0 272.374 2.675 20 5 CFBDRN CC(=O)c1cc(N[C@@H](CCO)C(C)C)ccc1[N+](=O)[O-] ZINC000288378006 197063280 /nfs/dbraw/zinc/06/32/80/197063280.db2.gz LQROSLQADPWTER-ZDUSSCGKSA-N 0 0 280.324 2.616 20 5 CFBDRN CN(C)C(=O)CCCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000288411532 197070671 /nfs/dbraw/zinc/07/06/71/197070671.db2.gz LSYZHZBCCZDARZ-UHFFFAOYSA-N 0 0 285.731 2.529 20 5 CFBDRN CCc1nn(C)c(SCCC(F)(F)F)c1[N+](=O)[O-] ZINC000288318681 197050750 /nfs/dbraw/zinc/05/07/50/197050750.db2.gz CZZBBQHMSDEXKB-UHFFFAOYSA-N 0 0 283.275 2.935 20 5 CFBDRN CCOC1CC(N(C)c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000288178394 197020651 /nfs/dbraw/zinc/02/06/51/197020651.db2.gz DUJYYUQCFUEBTJ-UHFFFAOYSA-N 0 0 280.324 2.607 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccc(Cl)nc1 ZINC000288084503 197001110 /nfs/dbraw/zinc/00/11/10/197001110.db2.gz IBERRHLKFHLGPI-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN O=c1onc(-c2ccc([N+](=O)[O-])cc2)n1[C@H]1C=CCCC1 ZINC000171793387 285230006 /nfs/dbraw/zinc/23/00/06/285230006.db2.gz AUXFSUAWWBSVRT-NSHDSACASA-N 0 0 287.275 2.693 20 5 CFBDRN C[C@H](CF)Nc1ncc([N+](=O)[O-])cc1Br ZINC000288101948 197005532 /nfs/dbraw/zinc/00/55/32/197005532.db2.gz AWLAGPYGIWQYDY-RXMQYKEDSA-N 0 0 278.081 2.522 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000288063732 196996573 /nfs/dbraw/zinc/99/65/73/196996573.db2.gz YJFOHRXCSLVWAA-NSHDSACASA-N 0 0 282.315 2.985 20 5 CFBDRN CSCCCN(C)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000281267273 195174107 /nfs/dbraw/zinc/17/41/07/195174107.db2.gz MPDGJLCLZXNIFD-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN Cc1cc(N2CC(C(F)(F)F)C2)ccc1[N+](=O)[O-] ZINC000334587807 291930373 /nfs/dbraw/zinc/93/03/73/291930373.db2.gz JZTRJUWORFHGSF-UHFFFAOYSA-N 0 0 260.215 2.902 20 5 CFBDRN CC1(CCNc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])CC1 ZINC000281361948 195211735 /nfs/dbraw/zinc/21/17/35/195211735.db2.gz DCUWOGACOQHWDE-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)CSC ZINC000281323404 195196860 /nfs/dbraw/zinc/19/68/60/195196860.db2.gz KHBJHWHZGKSQNW-VIFPVBQESA-N 0 0 298.364 2.931 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287338407 196835950 /nfs/dbraw/zinc/83/59/50/196835950.db2.gz OTNKOOQIVZFMHG-SECBINFHSA-N 0 0 270.304 2.943 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CC(C)(C)OC ZINC000281408695 195229293 /nfs/dbraw/zinc/22/92/93/195229293.db2.gz PJACCWHOONOEIA-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN CCOCCOc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000287330737 196833873 /nfs/dbraw/zinc/83/38/73/196833873.db2.gz WBZFSZSZEXKTAF-UHFFFAOYSA-N 0 0 263.652 2.803 20 5 CFBDRN COc1ncc(C)cc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000173517363 533208838 /nfs/dbraw/zinc/20/88/38/533208838.db2.gz WYGHASXHGFTYLG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC[C@](C)(OC)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000281447967 195244231 /nfs/dbraw/zinc/24/42/31/195244231.db2.gz KQYPQULVUUEPBO-ABAIWWIYSA-N 0 0 294.351 2.896 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C)nc2)c1 ZINC000154645101 533214768 /nfs/dbraw/zinc/21/47/68/533214768.db2.gz BMIWAVPDBSTSEP-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(NC[C@@H]1CCCSC1)c1ccc([N+](=O)[O-])cc1F ZINC000286879888 196725307 /nfs/dbraw/zinc/72/53/07/196725307.db2.gz RZKBGHKYGKMNFN-VIFPVBQESA-N 0 0 298.339 2.607 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1C=CCCC1 ZINC000176834616 533227796 /nfs/dbraw/zinc/22/77/96/533227796.db2.gz LBTKKQHIJXJCED-JTQLQIEISA-N 0 0 291.307 2.834 20 5 CFBDRN Cc1ccc(C[C@H](C)Nc2ncc([N+](=O)[O-])cn2)s1 ZINC000080249502 177631285 /nfs/dbraw/zinc/63/12/85/177631285.db2.gz XJNVPBCWIIWNIC-QMMMGPOBSA-N 0 0 278.337 2.798 20 5 CFBDRN CCc1nn(C)c(NCC(CC)CC)c1[N+](=O)[O-] ZINC000094155863 184757372 /nfs/dbraw/zinc/75/73/72/184757372.db2.gz VIEFKRXIBKRJCD-UHFFFAOYSA-N 0 0 254.334 2.739 20 5 CFBDRN C[C@@]1(CNc2cccc(F)c2[N+](=O)[O-])CCCO1 ZINC000094804670 184869537 /nfs/dbraw/zinc/86/95/37/184869537.db2.gz IAXRSOWTMILHLW-LBPRGKRZSA-N 0 0 254.261 2.715 20 5 CFBDRN COC(=O)CC1(COc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000281518251 195272435 /nfs/dbraw/zinc/27/24/35/195272435.db2.gz UJMWIJUEWGLNTN-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN COC(=O)CC1(COc2ccc([N+](=O)[O-])cc2C)CC1 ZINC000281524571 195274411 /nfs/dbraw/zinc/27/44/11/195274411.db2.gz VCGNCKBOFRDSPO-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CCOCC1(C(=O)Oc2cccc([N+](=O)[O-])c2C)CC1 ZINC000281543243 195281986 /nfs/dbraw/zinc/28/19/86/195281986.db2.gz BJMHXOSWHXLODT-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@@H](Nc2ncc([N+](=O)[O-])s2)C1(C)C ZINC000281785073 195380997 /nfs/dbraw/zinc/38/09/97/195380997.db2.gz FALFZAXDLVXPHK-NBEYISGCSA-N 0 0 271.342 2.523 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)c([N+](=O)[O-])cc2F)CCCO1 ZINC000281826301 195398780 /nfs/dbraw/zinc/39/87/80/195398780.db2.gz KISCNHFETLNAMC-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC=C(F)C2)cc1F ZINC000283231083 195822595 /nfs/dbraw/zinc/82/25/95/195822595.db2.gz UDISQJGCMLASAU-UHFFFAOYSA-N 0 0 254.236 2.793 20 5 CFBDRN CCOCCN(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000283878172 195936263 /nfs/dbraw/zinc/93/62/63/195936263.db2.gz IOOPHBBLTPNLMS-UHFFFAOYSA-N 0 0 299.302 2.543 20 5 CFBDRN C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1cccc(O)c1 ZINC000171305486 533317869 /nfs/dbraw/zinc/31/78/69/533317869.db2.gz OIAQHRDPODJQHK-MRVPVSSYSA-N 0 0 292.316 2.853 20 5 CFBDRN C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1N)c1cccs1 ZINC000157302997 533299410 /nfs/dbraw/zinc/29/94/10/533299410.db2.gz DGYQPTKXHAEXIG-MRVPVSSYSA-N 0 0 291.332 2.730 20 5 CFBDRN CSCCC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000176703243 533530846 /nfs/dbraw/zinc/53/08/46/533530846.db2.gz RQYFFRBXEYCARD-UHFFFAOYSA-N 0 0 291.332 2.835 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)c1ccn(C)n1 ZINC000413467058 533557012 /nfs/dbraw/zinc/55/70/12/533557012.db2.gz YYIICDLRMTVERO-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN CCOc1ccc(CNc2ncc([N+](=O)[O-])cc2C)cc1 ZINC000341911660 130123971 /nfs/dbraw/zinc/12/39/71/130123971.db2.gz CQROMEWBOBBUOF-UHFFFAOYSA-N 0 0 287.319 2.731 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])nc2)CCO[C@H]1C1CC1 ZINC000341958052 130173222 /nfs/dbraw/zinc/17/32/22/130173222.db2.gz JTPUBLTUHAGWHB-KBPBESRZSA-N 0 0 277.324 2.607 20 5 CFBDRN CCC1(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCOCC1 ZINC000342056306 130226532 /nfs/dbraw/zinc/22/65/32/130226532.db2.gz JEOBSNHNNTVOKR-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000342071258 130235375 /nfs/dbraw/zinc/23/53/75/130235375.db2.gz SHXRNJXCAPZLRL-ISVAXAHUSA-N 0 0 298.289 2.720 20 5 CFBDRN O=[N+]([O-])c1cccc([C@@H](O)CSC[C@H]2CCCO2)c1 ZINC000191615587 130262870 /nfs/dbraw/zinc/26/28/70/130262870.db2.gz PFXYRNNIMIYRQY-OLZOCXBDSA-N 0 0 283.349 2.540 20 5 CFBDRN COC(=O)[C@@H](C)N(Cc1csc([N+](=O)[O-])c1)C1CCC1 ZINC000191652326 130270821 /nfs/dbraw/zinc/27/08/21/130270821.db2.gz WLXONFIZCLXTAX-SECBINFHSA-N 0 0 298.364 2.572 20 5 CFBDRN Cc1oc2ccccc2c1CNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000342122686 130278293 /nfs/dbraw/zinc/27/82/93/130278293.db2.gz WMBKACOWEUNVDT-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN Cc1cc(NC(=O)C2=CCC2)n(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000355352651 130286183 /nfs/dbraw/zinc/28/61/83/130286183.db2.gz PWRGWOOHBYCGOQ-UHFFFAOYSA-N 0 0 298.302 2.748 20 5 CFBDRN COc1c(C(=O)Nc2cnccc2C)cccc1[N+](=O)[O-] ZINC000355671989 130331497 /nfs/dbraw/zinc/33/14/97/130331497.db2.gz JNFPZICWIYNETD-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(Cc2cocn2)C2CC2)c1 ZINC000191927563 130332143 /nfs/dbraw/zinc/33/21/43/130332143.db2.gz KOWRSQNCJWHRHY-UHFFFAOYSA-N 0 0 273.292 2.747 20 5 CFBDRN CCCN(C(=O)c1cccc([N+](=O)[O-])c1OC)C1CC1 ZINC000355677518 130333124 /nfs/dbraw/zinc/33/31/24/130333124.db2.gz XAJHXAFKGZQGJA-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000191943841 130335876 /nfs/dbraw/zinc/33/58/76/130335876.db2.gz OJBXHPZCWNMIRE-JQWIXIFHSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(CCO)c1ccccc1 ZINC000191971102 130341893 /nfs/dbraw/zinc/34/18/93/130341893.db2.gz ZDDMOUXAGBUENY-UHFFFAOYSA-N 0 0 286.331 2.902 20 5 CFBDRN Cc1nc(NC(=O)CCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000355941248 130356073 /nfs/dbraw/zinc/35/60/73/130356073.db2.gz KWHSOFZZSJTJMQ-UHFFFAOYSA-N 0 0 291.229 2.969 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CCO[C@H]2C)cc1[N+](=O)[O-] ZINC000355945265 130357791 /nfs/dbraw/zinc/35/77/91/130357791.db2.gz OQXSRUIJELTMQQ-GVXVVHGQSA-N 0 0 292.335 2.505 20 5 CFBDRN Cc1ccc(OCc2ccnc(C)n2)cc1[N+](=O)[O-] ZINC000355969198 130362915 /nfs/dbraw/zinc/36/29/15/130362915.db2.gz KNKGOGMHWZGFBD-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ocnc1-c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000355970288 130363698 /nfs/dbraw/zinc/36/36/98/130363698.db2.gz CKHYWMULHMGACW-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@H](C)C1CCC1 ZINC000356557714 130389621 /nfs/dbraw/zinc/38/96/21/130389621.db2.gz CMOUFZACOACPRK-SNVBAGLBSA-N 0 0 277.324 2.559 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000356711892 130399936 /nfs/dbraw/zinc/39/99/36/130399936.db2.gz BOHVYBNOELQAQL-MRVPVSSYSA-N 0 0 279.271 2.554 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@H](Cc2ccccc2)C1 ZINC000357234251 130423277 /nfs/dbraw/zinc/42/32/77/130423277.db2.gz NTEODCWBBKZVJO-CYBMUJFWSA-N 0 0 299.330 2.628 20 5 CFBDRN Cc1c(Cc2noc(CC3CC3)n2)cccc1[N+](=O)[O-] ZINC000357313455 130437545 /nfs/dbraw/zinc/43/75/45/130437545.db2.gz JQJKDTZFWPAKHW-UHFFFAOYSA-N 0 0 273.292 2.830 20 5 CFBDRN CC[C@H](OC)c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000357333650 130444043 /nfs/dbraw/zinc/44/40/43/130444043.db2.gz UVJWFSUGKUOLFL-LBPRGKRZSA-N 0 0 291.307 2.975 20 5 CFBDRN Cc1ccnc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)c1 ZINC000357350624 130449495 /nfs/dbraw/zinc/44/94/95/130449495.db2.gz DXURZGNZPOHPRS-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN COC(C)(C)C[C@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000192466037 130453530 /nfs/dbraw/zinc/45/35/30/130453530.db2.gz DDBHXBVLXUQIQT-ZETCQYMHSA-N 0 0 259.331 2.667 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2ncc([N+](=O)[O-])s2)C1(C)C ZINC000192473389 130454914 /nfs/dbraw/zinc/45/49/14/130454914.db2.gz ZFOMCYFSVDMFRU-CPCISQLKSA-N 0 0 271.342 2.667 20 5 CFBDRN CCCc1noc(COc2nc(C)cc(C)c2[N+](=O)[O-])n1 ZINC000359655205 130527987 /nfs/dbraw/zinc/52/79/87/130527987.db2.gz ZDTWRCQPUWYZCK-UHFFFAOYSA-N 0 0 292.295 2.521 20 5 CFBDRN Cc1cc(N[C@@H](C)CCC(=O)OC(C)C)ncc1[N+](=O)[O-] ZINC000359881000 130557459 /nfs/dbraw/zinc/55/74/59/130557459.db2.gz UGJLDZKEVNOMMP-NSHDSACASA-N 0 0 295.339 2.830 20 5 CFBDRN COc1ccc(CN2CCC[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000353175878 130591891 /nfs/dbraw/zinc/59/18/91/130591891.db2.gz SOSAVKPTDAHHSN-SNVBAGLBSA-N 0 0 286.278 2.833 20 5 CFBDRN C[C@@H](CNc1cc(N)ccc1[N+](=O)[O-])c1nccs1 ZINC000193499211 130628691 /nfs/dbraw/zinc/62/86/91/130628691.db2.gz GLPJISOJOQTSCD-QMMMGPOBSA-N 0 0 278.337 2.849 20 5 CFBDRN Cc1cccc(NCCCn2cccn2)c1[N+](=O)[O-] ZINC000218834048 130771130 /nfs/dbraw/zinc/77/11/30/130771130.db2.gz YLTKQKDEZJRZRQ-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN COc1cc(NCCOCC2CC2)c(F)cc1[N+](=O)[O-] ZINC000218855509 130771178 /nfs/dbraw/zinc/77/11/78/130771178.db2.gz XDUFAQDPDMCTKJ-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN Cc1cccc(NCCOCC2CC2)c1[N+](=O)[O-] ZINC000218852497 130771285 /nfs/dbraw/zinc/77/12/85/130771285.db2.gz LAMIRPKCLRMPHZ-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCC[C@H]3CCCCO3)nc2c1 ZINC000219365505 130775914 /nfs/dbraw/zinc/77/59/14/130775914.db2.gz LSSADVSMVSNXPV-LLVKDONJSA-N 0 0 290.323 2.842 20 5 CFBDRN CO[C@@H](C)CNc1ccc([N+](=O)[O-])cc1Br ZINC000224330360 130800685 /nfs/dbraw/zinc/80/06/85/130800685.db2.gz YVMZGWHZKPMKEY-ZETCQYMHSA-N 0 0 289.129 2.804 20 5 CFBDRN Cc1nc(NC[C@@H](C)c2nccs2)ccc1[N+](=O)[O-] ZINC000230607467 130905279 /nfs/dbraw/zinc/90/52/79/130905279.db2.gz GJRZWXLCECMHIY-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN CC(C)OCCNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000232681798 130925804 /nfs/dbraw/zinc/92/58/04/130925804.db2.gz GDCDHZASQSCCCD-UHFFFAOYSA-N 0 0 293.245 2.846 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](C)[C@H]1C ZINC000177014932 291995361 /nfs/dbraw/zinc/99/53/61/291995361.db2.gz SEAOWVMTEPYGJF-MWLCHTKSSA-N 0 0 262.309 2.774 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000246410779 131094887 /nfs/dbraw/zinc/09/48/87/131094887.db2.gz GWOAZHZTBBYFIQ-MPKXVKKWSA-N 0 0 292.335 2.505 20 5 CFBDRN CC(C)CCC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000248246095 131112924 /nfs/dbraw/zinc/11/29/24/131112924.db2.gz GZKSJNCKOGLZRB-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN C[C@H](C1CC1)n1nccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000252012182 131132553 /nfs/dbraw/zinc/13/25/53/131132553.db2.gz SQGKMOBMNWHSNX-MRVPVSSYSA-N 0 0 290.279 2.608 20 5 CFBDRN C[C@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1CCC[C@H](C)C1 ZINC000252152533 131133857 /nfs/dbraw/zinc/13/38/57/131133857.db2.gz MSRUMTVZHYJLEN-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1cc(NCCCc2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000263697078 131311314 /nfs/dbraw/zinc/31/13/14/131311314.db2.gz DYWXNUQJGZEQNT-UHFFFAOYSA-N 0 0 274.324 2.681 20 5 CFBDRN CCCSCC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000263738097 131319481 /nfs/dbraw/zinc/31/94/81/131319481.db2.gz GGLYSPDTRDHFSI-UHFFFAOYSA-N 0 0 272.301 2.816 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000263800451 131333699 /nfs/dbraw/zinc/33/36/99/131333699.db2.gz LSVQGGJILOZDMD-SECBINFHSA-N 0 0 274.276 2.823 20 5 CFBDRN Cn1cc([C@H]2CCCN2Cc2csc([N+](=O)[O-])c2)cn1 ZINC000264062651 131388897 /nfs/dbraw/zinc/38/88/97/131388897.db2.gz KTASDDLOMQCBQN-GFCCVEGCSA-N 0 0 292.364 2.727 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000264108995 131396121 /nfs/dbraw/zinc/39/61/21/131396121.db2.gz IUMDXCJWIBNUCJ-GHMZBOCLSA-N 0 0 294.355 2.571 20 5 CFBDRN CC[C@@H](C)[C@H](C)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CC1 ZINC000264170585 131405721 /nfs/dbraw/zinc/40/57/21/131405721.db2.gz KPHJAQBFEMTADA-ZJUUUORDSA-N 0 0 279.340 2.962 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000264569333 131471268 /nfs/dbraw/zinc/47/12/68/131471268.db2.gz TYCLRCHAEPVLJK-AULYBMBSSA-N 0 0 276.336 2.832 20 5 CFBDRN COC(=O)c1cc(OCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000264805433 131503753 /nfs/dbraw/zinc/50/37/53/131503753.db2.gz RUQMWNQKYBAYDK-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN COc1ccccc1Sc1c([N+](=O)[O-])c(C)nn1C ZINC000265094277 131546438 /nfs/dbraw/zinc/54/64/38/131546438.db2.gz BLVZWWMTQVGSEX-UHFFFAOYSA-N 0 0 279.321 2.797 20 5 CFBDRN COC1CCC(N(C)C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000265250598 131567907 /nfs/dbraw/zinc/56/79/07/131567907.db2.gz ZKOZTVXKRRGYKZ-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN Cc1nc(C)c(CNc2ncccc2[N+](=O)[O-])s1 ZINC000266277725 131710929 /nfs/dbraw/zinc/71/09/29/131710929.db2.gz SNCIUUITDWMZPI-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])cn2)CCOCC1 ZINC000266751850 131772771 /nfs/dbraw/zinc/77/27/71/131772771.db2.gz SQBZEPJHPYPBMT-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CCN(CC(C)C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266827543 131784354 /nfs/dbraw/zinc/78/43/54/131784354.db2.gz RZPLQUSGVGZXPN-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN CCc1cnc(CN(C)c2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000267114508 131822925 /nfs/dbraw/zinc/82/29/25/131822925.db2.gz ZMXOBEGGFBZXNC-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN CCc1nsc(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000267395861 131865594 /nfs/dbraw/zinc/86/55/94/131865594.db2.gz OGMJPVZGRIZGHV-UHFFFAOYSA-N 0 0 278.337 2.645 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)O1 ZINC000268277583 131989070 /nfs/dbraw/zinc/98/90/70/131989070.db2.gz UZNAZWRBUBMTIC-BXKDBHETSA-N 0 0 282.271 2.630 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@@H]3OCC[C@H]32)ncc1[N+](=O)[O-] ZINC000268429075 132008530 /nfs/dbraw/zinc/00/85/30/132008530.db2.gz ZTZCVAAKZROJCK-LOWVWBTDSA-N 0 0 277.324 2.668 20 5 CFBDRN NC(=O)[C@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000268449589 132011387 /nfs/dbraw/zinc/01/13/87/132011387.db2.gz ILWQQLCQGBQWDN-GXSJLCMTSA-N 0 0 297.742 2.704 20 5 CFBDRN COc1cccc(CN(C)c2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000268513755 132020068 /nfs/dbraw/zinc/02/00/68/132020068.db2.gz STCLJNHMHKNXCY-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN Cc1nc(NC[C@H]2CCO[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000268533749 132023023 /nfs/dbraw/zinc/02/30/23/132023023.db2.gz XWUXDBMWFJJPKL-RISCZKNCSA-N 0 0 279.340 2.771 20 5 CFBDRN Cc1nc(NC[C@H]2CCO[C@@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000268533735 132023393 /nfs/dbraw/zinc/02/33/93/132023393.db2.gz XWUXDBMWFJJPKL-BXUZGUMPSA-N 0 0 279.340 2.771 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)CCCn1ccnc1 ZINC000268949327 132092622 /nfs/dbraw/zinc/09/26/22/132092622.db2.gz HRSHMBXWUJWMOA-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN CCC[C@@H](C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000269082310 132109159 /nfs/dbraw/zinc/10/91/59/132109159.db2.gz IXSQSBKJVIAVSG-ZYHUDNBSSA-N 0 0 279.340 2.964 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000269321513 132155018 /nfs/dbraw/zinc/15/50/18/132155018.db2.gz BMHRSXUCXJTSPL-JQWIXIFHSA-N 0 0 283.255 2.597 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3CCCCO3)n2)c1 ZINC000269344333 132158255 /nfs/dbraw/zinc/15/82/55/132158255.db2.gz CWXCQENHMFVMQB-NSHDSACASA-N 0 0 275.264 2.886 20 5 CFBDRN Cc1cc(N2C[C@@H](C)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000298741174 393457782 /nfs/dbraw/zinc/45/77/82/393457782.db2.gz YEXRTQQWFUGTAC-WDEREUQCSA-N 0 0 250.298 2.517 20 5 CFBDRN CN(Cc1nccn1C(F)F)c1sccc1[N+](=O)[O-] ZINC000301927591 393482715 /nfs/dbraw/zinc/48/27/15/393482715.db2.gz IEPFTHKGNPZJEK-UHFFFAOYSA-N 0 0 288.279 2.884 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000302883199 393495117 /nfs/dbraw/zinc/49/51/17/393495117.db2.gz KSCXEXVOHHQMKP-UWVGGRQHSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1ccc(CNc2nccc(C)c2[N+](=O)[O-])nc1C ZINC000314205999 393525435 /nfs/dbraw/zinc/52/54/35/393525435.db2.gz RXZVEZWKSVODQC-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CN(C)c1ccc(NCc2csc([N+](=O)[O-])c2)cn1 ZINC000037562855 393532677 /nfs/dbraw/zinc/53/26/77/393532677.db2.gz RXDARRDKZUMKSG-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])Cc1ccccc1 ZINC000038080660 393538878 /nfs/dbraw/zinc/53/88/78/393538878.db2.gz SGDIPBOGGGWQGB-UHFFFAOYSA-N 0 0 271.272 2.785 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000377891932 393538909 /nfs/dbraw/zinc/53/89/09/393538909.db2.gz CKHFXCKWOOKSSJ-COPLHBTASA-N 0 0 290.319 2.657 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@@H](C)[C@@H](C)C2)c1 ZINC000167343766 292017623 /nfs/dbraw/zinc/01/76/23/292017623.db2.gz VHSXGOMLJMCUGO-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN CCCCN(CCO)c1ccc([N+](=O)[O-])c(C)c1 ZINC000042493245 393553249 /nfs/dbraw/zinc/55/32/49/393553249.db2.gz YVLABWLTAOFFTF-UHFFFAOYSA-N 0 0 252.314 2.502 20 5 CFBDRN CCC(C)(C)[C@H]1CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000332647513 393562254 /nfs/dbraw/zinc/56/22/54/393562254.db2.gz BZXNPBHQGSOEFO-SNVBAGLBSA-N 0 0 294.355 2.667 20 5 CFBDRN CC(C)CCOCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000045108130 393570169 /nfs/dbraw/zinc/57/01/69/393570169.db2.gz RQTKNZWBBVSYKZ-UHFFFAOYSA-N 0 0 298.368 2.779 20 5 CFBDRN CCCCN(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000048688211 393593260 /nfs/dbraw/zinc/59/32/60/393593260.db2.gz IXTZZCGQNLRAGK-UHFFFAOYSA-N 0 0 265.313 2.536 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000334403460 393599102 /nfs/dbraw/zinc/59/91/02/393599102.db2.gz DWXYFBYKOITSSG-LBPRGKRZSA-N 0 0 274.320 2.775 20 5 CFBDRN CCOC1CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000048805751 393602080 /nfs/dbraw/zinc/60/20/80/393602080.db2.gz GMBCJXPNAGBXRN-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000048805832 393602816 /nfs/dbraw/zinc/60/28/16/393602816.db2.gz HWADPDMWXMGQRN-BDAKNGLRSA-N 0 0 265.313 2.540 20 5 CFBDRN CC(C)(C)n1cc(Nc2ncc([N+](=O)[O-])s2)cn1 ZINC000190322379 393580005 /nfs/dbraw/zinc/58/00/05/393580005.db2.gz OKUJDWKFYLOSNE-UHFFFAOYSA-N 0 0 267.314 2.746 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000374423150 296496011 /nfs/dbraw/zinc/49/60/11/296496011.db2.gz UZHMGDORMROETC-GHMZBOCLSA-N 0 0 280.299 2.597 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CSC[C@@H]1C ZINC000334286572 393588997 /nfs/dbraw/zinc/58/89/97/393588997.db2.gz HCRSSYNTJJOSAF-JOYOIKCWSA-N 0 0 295.364 2.776 20 5 CFBDRN Cc1ncsc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000048637687 393590938 /nfs/dbraw/zinc/59/09/38/393590938.db2.gz JDRDSHZWTSYYOY-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CCCN(C(=O)[C@@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000194123289 393679242 /nfs/dbraw/zinc/67/92/42/393679242.db2.gz URSMNSRSKHSRHG-GXFFZTMASA-N 0 0 262.309 2.994 20 5 CFBDRN CCO[C@@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000049776444 393615895 /nfs/dbraw/zinc/61/58/95/393615895.db2.gz YKOFOBBTHWSYEQ-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN COC(=O)Cc1cccc(Oc2ncc([N+](=O)[O-])s2)c1 ZINC000192452733 393624600 /nfs/dbraw/zinc/62/46/00/393624600.db2.gz SPOPLWLIPDWUIY-UHFFFAOYSA-N 0 0 294.288 2.559 20 5 CFBDRN C[C@H]1CC[C@@H](CN2CCc3ccc([N+](=O)[O-])cc3C2)O1 ZINC000408311258 393625682 /nfs/dbraw/zinc/62/56/82/393625682.db2.gz YJYCWXRORPYFQL-NHYWBVRUSA-N 0 0 276.336 2.520 20 5 CFBDRN C[C@H]1N(c2ncc(Cl)cc2[N+](=O)[O-])CCOC1(C)C ZINC000408401060 393626785 /nfs/dbraw/zinc/62/67/85/393626785.db2.gz ANEHNUDPBJPZQL-MRVPVSSYSA-N 0 0 285.731 2.647 20 5 CFBDRN CCO[C@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000192705112 393630064 /nfs/dbraw/zinc/63/00/64/393630064.db2.gz UMDBOYLIKXTGFJ-GWCFXTLKSA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1nc(NC(=O)[C@@H](C)C2CCC2)ccc1[N+](=O)[O-] ZINC000410552369 393630294 /nfs/dbraw/zinc/63/02/94/393630294.db2.gz ABEZSBJAAWUEHE-QMMMGPOBSA-N 0 0 263.297 2.673 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CC12CC2 ZINC000334985877 393631226 /nfs/dbraw/zinc/63/12/26/393631226.db2.gz GSJDGVLURSRAEX-JTQLQIEISA-N 0 0 260.293 2.950 20 5 CFBDRN CC(C)OC(C)(C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000410617499 393632604 /nfs/dbraw/zinc/63/26/04/393632604.db2.gz XIDAKEJQNFSWGU-UHFFFAOYSA-N 0 0 280.324 2.761 20 5 CFBDRN CC(C)CC(CC(C)C)C(=O)Nc1c([N+](=O)[O-])cnn1C ZINC000410723515 393636595 /nfs/dbraw/zinc/63/65/95/393636595.db2.gz SPDOENUZUPKRBI-UHFFFAOYSA-N 0 0 296.371 2.975 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)c1c(F)cccc1F ZINC000194221567 393683206 /nfs/dbraw/zinc/68/32/06/393683206.db2.gz MRIPXIRIZDAELL-UHFFFAOYSA-N 0 0 282.202 2.743 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)C2CCC2)c1 ZINC000411042373 393651387 /nfs/dbraw/zinc/65/13/87/393651387.db2.gz MAIFFCMJZSCVGU-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H](Sc1ccc([N+](=O)[O-])cc1F)C(=O)OC ZINC000193504612 393654169 /nfs/dbraw/zinc/65/41/69/393654169.db2.gz CSLBMYJLOSEOGA-VIFPVBQESA-N 0 0 273.285 2.778 20 5 CFBDRN CC(C)OC[C@H](O)CSc1ccc([N+](=O)[O-])cc1F ZINC000193513025 393654188 /nfs/dbraw/zinc/65/41/88/393654188.db2.gz DYYYSWOGEQDXSE-JTQLQIEISA-N 0 0 289.328 2.612 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCCOC(F)F ZINC000193617860 393657924 /nfs/dbraw/zinc/65/79/24/393657924.db2.gz PPJFLLDSYQYOHK-UHFFFAOYSA-N 0 0 264.203 2.775 20 5 CFBDRN CC1(C2(NC(=O)c3ccccc3[N+](=O)[O-])CC2)CC1 ZINC000411069176 393657994 /nfs/dbraw/zinc/65/79/94/393657994.db2.gz RGIJGVOBHGMQIB-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN COc1cc(NCCCOC(F)F)ccc1[N+](=O)[O-] ZINC000193620191 393659715 /nfs/dbraw/zinc/65/97/15/393659715.db2.gz GRDQQWVREWZZDY-UHFFFAOYSA-N 0 0 276.239 2.645 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000411087240 393662938 /nfs/dbraw/zinc/66/29/38/393662938.db2.gz OHEDRROZFHZFQN-NSHDSACASA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2Cc3ccccc32)c1[N+](=O)[O-] ZINC000194052447 393674567 /nfs/dbraw/zinc/67/45/67/393674567.db2.gz LAYMYVURDCWOCQ-ZDUSSCGKSA-N 0 0 296.326 2.973 20 5 CFBDRN COc1ccnc(N[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000194069499 393675588 /nfs/dbraw/zinc/67/55/88/393675588.db2.gz RSATXVVNFTUXIQ-SECBINFHSA-N 0 0 274.280 2.567 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOc2cccc(F)c2)s1 ZINC000195508568 393742333 /nfs/dbraw/zinc/74/23/33/393742333.db2.gz RFSVJTKISOLWNL-UHFFFAOYSA-N 0 0 283.284 2.681 20 5 CFBDRN C[C@H]1CCC[C@@]1(O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000336892485 393751855 /nfs/dbraw/zinc/75/18/55/393751855.db2.gz JRNBTDASUQQRFB-ISVAXAHUSA-N 0 0 286.278 2.836 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCCOC1 ZINC000086625230 393755462 /nfs/dbraw/zinc/75/54/62/393755462.db2.gz UIWWKDMCGOMQMI-LLVKDONJSA-N 0 0 278.308 2.577 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@H](C3CCC3)C2)c(F)c1 ZINC000411869854 393756900 /nfs/dbraw/zinc/75/69/00/393756900.db2.gz FQRSMKHAPJOKEP-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000194333838 393689835 /nfs/dbraw/zinc/68/98/35/393689835.db2.gz QRTXORZQMZOLHO-JTQLQIEISA-N 0 0 280.324 2.722 20 5 CFBDRN C=Cn1cc(C[NH2+]C(C)(C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000194356308 393690552 /nfs/dbraw/zinc/69/05/52/393690552.db2.gz FVSSEHCDEYHQNW-UHFFFAOYSA-N 0 0 286.335 2.917 20 5 CFBDRN Cc1ccncc1NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194600196 393707426 /nfs/dbraw/zinc/70/74/26/393707426.db2.gz QMIPGNHBUYOLNF-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(C)OC(=O)CCCNc1ccccc1[N+](=O)[O-] ZINC000078819463 393712206 /nfs/dbraw/zinc/71/22/06/393712206.db2.gz WBBPTFXPFKBUKF-UHFFFAOYSA-N 0 0 266.297 2.739 20 5 CFBDRN COc1cccc(C(=O)NCC2(C3CC3)CC2)c1[N+](=O)[O-] ZINC000194754563 393715842 /nfs/dbraw/zinc/71/58/42/393715842.db2.gz JHVSHFNMLPQJPQ-UHFFFAOYSA-N 0 0 290.319 2.523 20 5 CFBDRN CCC(C)(CC)NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000080261779 393724896 /nfs/dbraw/zinc/72/48/96/393724896.db2.gz YJJCUZJMGDODHO-UHFFFAOYSA-N 0 0 293.367 2.726 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1cnn(Cc2ccccn2)c1 ZINC000194977937 393726134 /nfs/dbraw/zinc/72/61/34/393726134.db2.gz MOIOHTIXWIYFBC-UHFFFAOYSA-N 0 0 295.302 2.978 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000336344515 393730222 /nfs/dbraw/zinc/73/02/22/393730222.db2.gz XRLUUMUUGIWVNF-KOLCDFICSA-N 0 0 262.309 2.774 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000222915885 393764168 /nfs/dbraw/zinc/76/41/68/393764168.db2.gz PFXWNKPHAGRXFI-VIFPVBQESA-N 0 0 283.303 2.625 20 5 CFBDRN C[C@H]1CN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000412368567 393767142 /nfs/dbraw/zinc/76/71/42/393767142.db2.gz VNSQTFYGFMPMPF-TXFQPVFDSA-N 0 0 274.320 2.565 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H]1CCSC1 ZINC000228585439 393774846 /nfs/dbraw/zinc/77/48/46/393774846.db2.gz VZJYDCZXQPFFQP-MRVPVSSYSA-N 0 0 256.302 2.899 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H]1CCSC1 ZINC000228582410 393774944 /nfs/dbraw/zinc/77/49/44/393774944.db2.gz AQVAIMSPKHRJIV-ZETCQYMHSA-N 0 0 273.745 2.808 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2ncccc2F)c1 ZINC000340079858 393835593 /nfs/dbraw/zinc/83/55/93/393835593.db2.gz VCPKVLXVBHMGKF-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN CNc1ccc(C(=O)NC[C@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000230713983 393779532 /nfs/dbraw/zinc/77/95/32/393779532.db2.gz MZVOUMHYHNAXST-ZYHUDNBSSA-N 0 0 291.351 2.803 20 5 CFBDRN Cc1cnc(NCc2cccc(C)n2)c([N+](=O)[O-])c1 ZINC000090692282 393780739 /nfs/dbraw/zinc/78/07/39/393780739.db2.gz MUQNDRRRTWNADD-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CC(C)[C@H](CCO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000231330261 393781195 /nfs/dbraw/zinc/78/11/95/393781195.db2.gz OGPARKDZZUXPBC-LBPRGKRZSA-N 0 0 289.335 2.962 20 5 CFBDRN COc1cccc(C(=O)NC[C@@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000340082767 393836124 /nfs/dbraw/zinc/83/61/24/393836124.db2.gz GYINIUSKJVVSLO-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)C2CCCCC2)cn1 ZINC000338765089 393798001 /nfs/dbraw/zinc/79/80/01/393798001.db2.gz ANRUXOHSWRMDDN-GFCCVEGCSA-N 0 0 279.340 2.591 20 5 CFBDRN Cn1ccnc1[C@@H](N[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000424320030 393826918 /nfs/dbraw/zinc/82/69/18/393826918.db2.gz IPASNMYXAWDFMY-KBPBESRZSA-N 0 0 298.346 2.560 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NC[C@@H]1CC12CC2 ZINC000416074562 393864414 /nfs/dbraw/zinc/86/44/14/393864414.db2.gz PPMDJCXUXKECLZ-NSHDSACASA-N 0 0 299.330 2.535 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NC[C@H]1CC12CC2 ZINC000416074561 393864618 /nfs/dbraw/zinc/86/46/18/393864618.db2.gz PPMDJCXUXKECLZ-LLVKDONJSA-N 0 0 299.330 2.535 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000424981930 393869104 /nfs/dbraw/zinc/86/91/04/393869104.db2.gz NKIAEQFPXNZGOY-QWHCGFSZSA-N 0 0 260.293 2.513 20 5 CFBDRN COC(=O)c1cc(NC(=O)CC2(C)CC2)cc([N+](=O)[O-])c1 ZINC000428736278 393910846 /nfs/dbraw/zinc/91/08/46/393910846.db2.gz HBIFPAWTWNVOJL-UHFFFAOYSA-N 0 0 292.291 2.510 20 5 CFBDRN C[C@H]1CSC[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000343610013 393888491 /nfs/dbraw/zinc/88/84/91/393888491.db2.gz MQIRZZCMYWMWSK-POYBYMJQSA-N 0 0 296.377 2.763 20 5 CFBDRN CC(C)N(Cc1c(Cl)cccc1[N+](=O)[O-])C[C@H](C)O ZINC000119014961 393912676 /nfs/dbraw/zinc/91/26/76/393912676.db2.gz VYMGFLHRWHNMGM-JTQLQIEISA-N 0 0 286.759 2.839 20 5 CFBDRN Cc1cc(C)cc(CCNc2cc(C)c([N+](=O)[O-])cn2)c1 ZINC000470863696 393901891 /nfs/dbraw/zinc/90/18/91/393901891.db2.gz IMWTWAXLQFZXHB-UHFFFAOYSA-N 0 0 285.347 2.992 20 5 CFBDRN Cc1cc(N[C@H]2Cc3cccc(O)c3C2)ncc1[N+](=O)[O-] ZINC000428674642 393905639 /nfs/dbraw/zinc/90/56/39/393905639.db2.gz XRXJUMMNJGYGIC-NSHDSACASA-N 0 0 285.303 2.583 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000345717999 393914091 /nfs/dbraw/zinc/91/40/91/393914091.db2.gz VNLMZFXLKATPBD-LLVKDONJSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](C)n1cccn1 ZINC000424520046 393849296 /nfs/dbraw/zinc/84/92/96/393849296.db2.gz BSWAHRVMSAMUIS-NSHDSACASA-N 0 0 288.307 2.690 20 5 CFBDRN C[C@@H](C(=O)NC[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000416040153 393852208 /nfs/dbraw/zinc/85/22/08/393852208.db2.gz BDVXUDLYXCXDDF-NXEZZACHSA-N 0 0 292.310 2.754 20 5 CFBDRN CO[C@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)CC(C)C ZINC000416042141 393852799 /nfs/dbraw/zinc/85/27/99/393852799.db2.gz LHKJPBFOUWUUPS-AWEZNQCLSA-N 0 0 294.351 2.694 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)CC(C)C ZINC000416042292 393852921 /nfs/dbraw/zinc/85/29/21/393852921.db2.gz LQYNGRCPYDCPBX-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000458129244 393854498 /nfs/dbraw/zinc/85/44/98/393854498.db2.gz FNHDZUKLVJIIOI-UKRRQHHQSA-N 0 0 292.335 2.524 20 5 CFBDRN CO[C@@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])CC(C)C ZINC000416047722 393854907 /nfs/dbraw/zinc/85/49/07/393854907.db2.gz VJSYVBKVVILTLW-GFCCVEGCSA-N 0 0 294.351 2.694 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])CC(C)C ZINC000416058022 393857482 /nfs/dbraw/zinc/85/74/82/393857482.db2.gz JSYONXJCLXUZAB-LLVKDONJSA-N 0 0 298.314 2.525 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000458148551 393859131 /nfs/dbraw/zinc/85/91/31/393859131.db2.gz OSUCPAJEHPDSTB-TZMCWYRMSA-N 0 0 262.309 2.759 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000458149595 393859167 /nfs/dbraw/zinc/85/91/67/393859167.db2.gz YHDKVXXYDZNUDN-CMPLNLGQSA-N 0 0 266.272 2.508 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000416063534 393859597 /nfs/dbraw/zinc/85/95/97/393859597.db2.gz GAXKFFOOBSHTAU-GVXVVHGQSA-N 0 0 290.363 2.949 20 5 CFBDRN CC[C@H]1COCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119132438 393914946 /nfs/dbraw/zinc/91/49/46/393914946.db2.gz VKYAPEHXZGGXED-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN O=C(NCCC1=CCCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000119366345 393918485 /nfs/dbraw/zinc/91/84/85/393918485.db2.gz NFLXOJNKEGQNOH-UHFFFAOYSA-N 0 0 289.335 2.894 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H]2CC[C@H](C)C2)c1 ZINC000429250136 393948089 /nfs/dbraw/zinc/94/80/89/393948089.db2.gz WSJLNRQSEYADTF-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CCC[C@@H](CO)N1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119498033 393920354 /nfs/dbraw/zinc/92/03/54/393920354.db2.gz MIFMGGYGCARDRE-MNOVXSKESA-N 0 0 298.770 2.984 20 5 CFBDRN Cc1nc(N2CCC[C@@H]([C@H]3CCOC3)C2)ccc1[N+](=O)[O-] ZINC000436431076 394021298 /nfs/dbraw/zinc/02/12/98/394021298.db2.gz KMLONYCHQWVSBA-OLZOCXBDSA-N 0 0 291.351 2.551 20 5 CFBDRN C[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CCS1 ZINC000121710161 393959496 /nfs/dbraw/zinc/95/94/96/393959496.db2.gz IUQJBOPWZKYIPH-SSDOTTSWSA-N 0 0 274.292 2.815 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1CC12CCCC2 ZINC000430230065 393970665 /nfs/dbraw/zinc/97/06/65/393970665.db2.gz GTWYICSFMUMWEX-ZDUSSCGKSA-N 0 0 274.320 2.586 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC23CCCC3)cc([N+](=O)[O-])c1 ZINC000430231256 393970814 /nfs/dbraw/zinc/97/08/14/393970814.db2.gz XVNDUSKRNWCYFG-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN C[C@H]1CCCN1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000486940151 393973659 /nfs/dbraw/zinc/97/36/59/393973659.db2.gz KMYUAMBESPHYOE-VIFPVBQESA-N 0 0 274.280 2.634 20 5 CFBDRN C[C@H]1CO[C@@H](c2ccccc2)CN1c1ccc([N+](=O)[O-])cn1 ZINC000267236243 393986172 /nfs/dbraw/zinc/98/61/72/393986172.db2.gz GNAGWABFCPGRGV-SWLSCSKDSA-N 0 0 299.330 2.956 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000430449296 393987784 /nfs/dbraw/zinc/98/77/84/393987784.db2.gz JKCOFTFMSVCZGC-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@H]2CCC(C)C)c1[N+](=O)[O-] ZINC000268967434 393997431 /nfs/dbraw/zinc/99/74/31/393997431.db2.gz BHMSQHSUOMBHTI-NSHDSACASA-N 0 0 294.355 2.667 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@H](C)CC2(C)C)c1[N+](=O)[O-] ZINC000269338445 394003862 /nfs/dbraw/zinc/00/38/62/394003862.db2.gz BVNQNUJWHYJWEC-SECBINFHSA-N 0 0 294.355 2.702 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432308067 394011429 /nfs/dbraw/zinc/01/14/29/394011429.db2.gz QFSDJGNMDIQTMC-QMTHXVAHSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cc(CNC(=O)c2ccc([N+](=O)[O-])o2)ccc1F ZINC000126352432 394026823 /nfs/dbraw/zinc/02/68/23/394026823.db2.gz XXRDEHFADLXIMC-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN O=C1CC[C@H](CSCc2cccc([N+](=O)[O-])c2)CCN1 ZINC000419397531 394037813 /nfs/dbraw/zinc/03/78/13/394037813.db2.gz VEOLOEHJQKOVMV-NSHDSACASA-N 0 0 294.376 2.744 20 5 CFBDRN COc1ccc(OCCc2c(C)noc2C)c([N+](=O)[O-])c1 ZINC000126901456 394039014 /nfs/dbraw/zinc/03/90/14/394039014.db2.gz RIZAEJVYUIWJLH-UHFFFAOYSA-N 0 0 292.291 2.830 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ccc(CNCCF)o2)c1 ZINC000437245804 394050913 /nfs/dbraw/zinc/05/09/13/394050913.db2.gz SAUBTGXGVUKDPJ-UHFFFAOYSA-N 0 0 264.256 2.914 20 5 CFBDRN CC1=C(C(=O)NCCNc2ccccc2[N+](=O)[O-])CCC1 ZINC000437296382 394052546 /nfs/dbraw/zinc/05/25/46/394052546.db2.gz XHLBSYUFVNDVGM-UHFFFAOYSA-N 0 0 289.335 2.623 20 5 CFBDRN Cc1cnc(CNc2nc(C)ccc2[N+](=O)[O-])s1 ZINC000127706432 394054612 /nfs/dbraw/zinc/05/46/12/394054612.db2.gz RCEPBJRMEURDHX-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000277453871 394056399 /nfs/dbraw/zinc/05/63/99/394056399.db2.gz KAFNSJXSYHQJLX-CMPLNLGQSA-N 0 0 250.298 2.740 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])c(OC)c2)CCC1 ZINC000281966491 394102648 /nfs/dbraw/zinc/10/26/48/394102648.db2.gz RBZFMCKVIDGZEG-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CC(C)c1ncc(NC(=O)c2ccc([N+](=O)[O-])s2)cn1 ZINC000279864103 394068807 /nfs/dbraw/zinc/06/88/07/394068807.db2.gz GBVBZEXOTDPWPP-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccccn1 ZINC000128582232 394072445 /nfs/dbraw/zinc/07/24/45/394072445.db2.gz WTUBCEGLZOGHOS-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN Cc1cccc(N2CCN(c3ccncc3[N+](=O)[O-])CC2)c1 ZINC000357035508 394083764 /nfs/dbraw/zinc/08/37/64/394083764.db2.gz FSULSVJTQFQDRQ-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CCCCOCC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000281861204 394085426 /nfs/dbraw/zinc/08/54/26/394085426.db2.gz BYNRKQFQTRXCBT-UHFFFAOYSA-N 0 0 284.287 2.798 20 5 CFBDRN C[C@H](CCO)C1(CNc2ncc([N+](=O)[O-])s2)CCC1 ZINC000281881214 394088096 /nfs/dbraw/zinc/08/80/96/394088096.db2.gz LLSXEMIIVZQARU-SECBINFHSA-N 0 0 285.369 2.652 20 5 CFBDRN Cc1cnc(N2CC3(CCC3(F)F)C2)c([N+](=O)[O-])c1 ZINC000357574760 394090343 /nfs/dbraw/zinc/09/03/43/394090343.db2.gz ACJJJGQEANFYKA-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN Cc1ccn(C[C@H](C)c2ccccc2)c(=O)c1[N+](=O)[O-] ZINC000129588165 394091263 /nfs/dbraw/zinc/09/12/63/394091263.db2.gz FVUJCJVGUXYELA-LBPRGKRZSA-N 0 0 272.304 2.869 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@@H]1CC[C@H](F)C1 ZINC000492892433 394091269 /nfs/dbraw/zinc/09/12/69/394091269.db2.gz LSQSSKRAPURAHZ-JZUADKBYSA-N 0 0 278.283 2.615 20 5 CFBDRN CSC1(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000492946632 394104839 /nfs/dbraw/zinc/10/48/39/394104839.db2.gz DKNQVIQEJZDTBP-DAXSKMNVSA-N 0 0 292.360 2.620 20 5 CFBDRN CC[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000281934607 394097286 /nfs/dbraw/zinc/09/72/86/394097286.db2.gz RTTOPIMEYJIRIS-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN Cn1ccnc1[C@@H](NC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000272484895 394029197 /nfs/dbraw/zinc/02/91/97/394029197.db2.gz CRCDUALEHJXPMK-AWEZNQCLSA-N 0 0 286.335 2.560 20 5 CFBDRN O=C(Cn1cc2ccccc2n1)Nc1ccc([N+](=O)[O-])cc1 ZINC000436861477 394029608 /nfs/dbraw/zinc/02/96/08/394029608.db2.gz BKTPWILNNNGDJO-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1c1ncc([N+](=O)[O-])cn1 ZINC000273649309 394035780 /nfs/dbraw/zinc/03/57/80/394035780.db2.gz UGDOCKZFSDTYQO-SCVCMEIPSA-N 0 0 262.313 2.542 20 5 CFBDRN CC[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000358415554 394106900 /nfs/dbraw/zinc/10/69/00/394106900.db2.gz AHOPYONVIBXAAL-ZDUSSCGKSA-N 0 0 282.315 2.827 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1 ZINC000439284806 394109926 /nfs/dbraw/zinc/10/99/26/394109926.db2.gz MVJOIFFSEGRENU-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CCC[C@@H](F)C1 ZINC000493208089 394179180 /nfs/dbraw/zinc/17/91/80/394179180.db2.gz ZVLAVXWFXUQIGN-QDZRJHCZSA-N 0 0 278.283 2.569 20 5 CFBDRN COCCCNC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000130539199 394113322 /nfs/dbraw/zinc/11/33/22/394113322.db2.gz ZOZVOCXBXLJHGZ-UHFFFAOYSA-N 0 0 294.351 2.659 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000493208508 394179570 /nfs/dbraw/zinc/17/95/70/394179570.db2.gz QEHAIBZLHVXOBC-CJTDFYCBSA-N 0 0 286.331 2.913 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])CCO1 ZINC000420615923 394120468 /nfs/dbraw/zinc/12/04/68/394120468.db2.gz FUFPALFPTLHMNA-VXGBXAGGSA-N 0 0 279.340 2.976 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2nccc(C)c2[N+](=O)[O-])CCO1 ZINC000420617564 394120509 /nfs/dbraw/zinc/12/05/09/394120509.db2.gz KDMHWNZHAFEPKU-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000282082977 394120841 /nfs/dbraw/zinc/12/08/41/394120841.db2.gz ZZTCWHYUECLJIY-VIFPVBQESA-N 0 0 288.298 2.874 20 5 CFBDRN CC[C@H](C)CONC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000492999920 394121524 /nfs/dbraw/zinc/12/15/24/394121524.db2.gz VSBFKAKPEGPJEE-STUBTGCMSA-N 0 0 296.298 2.841 20 5 CFBDRN CC[C@H](C)CONC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492999918 394121643 /nfs/dbraw/zinc/12/16/43/394121643.db2.gz VSBFKAKPEGPJEE-BXKUYDPTSA-N 0 0 296.298 2.841 20 5 CFBDRN C[C@@H](F)CCNC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000493019340 394126241 /nfs/dbraw/zinc/12/62/41/394126241.db2.gz FSSSLMSAPVZFIW-AZVKUDOFSA-N 0 0 284.262 2.611 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000439710844 394127954 /nfs/dbraw/zinc/12/79/54/394127954.db2.gz PBGNYHGCBBWJQT-BDAKNGLRSA-N 0 0 298.368 2.513 20 5 CFBDRN C[C@@H]1CSCCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000286021126 394180412 /nfs/dbraw/zinc/18/04/12/394180412.db2.gz ALPLPQXYAKUAQG-SSDOTTSWSA-N 0 0 296.377 2.541 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C1CC1 ZINC000493214277 394180602 /nfs/dbraw/zinc/18/06/02/394180602.db2.gz FUEOZDGUJFZWLA-BTKRWWFXSA-N 0 0 272.304 2.619 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CC(CC(F)F)C1 ZINC000493056318 394135906 /nfs/dbraw/zinc/13/59/06/394135906.db2.gz SZKJTKRIPRXHAI-ZZXKWVIFSA-N 0 0 296.273 2.722 20 5 CFBDRN CCOCCNC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000131904456 394142620 /nfs/dbraw/zinc/14/26/20/394142620.db2.gz HPYJFRPBDGWUSM-UHFFFAOYSA-N 0 0 294.351 2.659 20 5 CFBDRN Cc1cc(N2CCOC[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000360070973 394145453 /nfs/dbraw/zinc/14/54/53/394145453.db2.gz JBKLTDHSYZNHHR-SECBINFHSA-N 0 0 268.288 2.515 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@H](O)CC23CCC3)cs1 ZINC000420996057 394151140 /nfs/dbraw/zinc/15/11/40/394151140.db2.gz QBUMDDAQZIFUER-NSHDSACASA-N 0 0 282.365 2.536 20 5 CFBDRN CN(Cc1nc2cc([N+](=O)[O-])ccc2o1)Cc1cccnc1 ZINC000132496958 394156335 /nfs/dbraw/zinc/15/63/35/394156335.db2.gz VBFBYCRTMDILBO-UHFFFAOYSA-N 0 0 298.302 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@H]2C[C@@H](O)C2)cc1 ZINC000421219169 394182751 /nfs/dbraw/zinc/18/27/51/394182751.db2.gz NSJFIZKBWUZJDU-KLPPZKSPSA-N 0 0 253.323 2.599 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000421036680 394167115 /nfs/dbraw/zinc/16/71/15/394167115.db2.gz OCDHRZGYHFOXTL-YPMHNXCESA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)c1ccccn1 ZINC000134527020 394199098 /nfs/dbraw/zinc/19/90/98/394199098.db2.gz UMJLRUHSJVKWAJ-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CCOC[C@@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000287395113 394204358 /nfs/dbraw/zinc/20/43/58/394204358.db2.gz RYGFSPAQAGMNJF-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN C[C@H]1CCC[C@H]1Nc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000287913602 394209481 /nfs/dbraw/zinc/20/94/81/394209481.db2.gz OOIFDWCGKQAEMG-GZMMTYOYSA-N 0 0 288.307 2.844 20 5 CFBDRN Cc1cc(N2CCC[C@H](C3CC3)C2)ncc1[N+](=O)[O-] ZINC000288371298 394211763 /nfs/dbraw/zinc/21/17/63/394211763.db2.gz YPAKUOIOFIIMMJ-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1C[C@@H](CO)c2ccccc21 ZINC000364435079 394212691 /nfs/dbraw/zinc/21/26/91/394212691.db2.gz JVCYLKCXTKABBV-GWCFXTLKSA-N 0 0 285.303 2.623 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CC(CC(F)F)C1 ZINC000493351993 394219511 /nfs/dbraw/zinc/21/95/11/394219511.db2.gz LEWUAFLSBWFNTP-PLNGDYQASA-N 0 0 296.273 2.722 20 5 CFBDRN CN(C(=O)/C=C\c1cccc([N+](=O)[O-])c1)[C@H]1CC1(C)C ZINC000493361749 394222516 /nfs/dbraw/zinc/22/25/16/394222516.db2.gz YRCSMBMUJRGJOA-WSROAFLRSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3cccc(F)c3[N+](=O)[O-])C2)c1 ZINC000290889854 394235675 /nfs/dbraw/zinc/23/56/75/394235675.db2.gz TUKACAAQPGTKET-LLVKDONJSA-N 0 0 290.298 2.690 20 5 CFBDRN Cc1cc(N2CCSCC2)c(F)cc1[N+](=O)[O-] ZINC000290944082 394235776 /nfs/dbraw/zinc/23/57/76/394235776.db2.gz LCUWVDOMOQTLDE-UHFFFAOYSA-N 0 0 256.302 2.596 20 5 CFBDRN CO[C@H](C)[C@@H](C)NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000291474020 394239184 /nfs/dbraw/zinc/23/91/84/394239184.db2.gz QTEQKAOXTWNJEE-CZHKVUGUSA-N 0 0 292.335 2.538 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000291553863 394244136 /nfs/dbraw/zinc/24/41/36/394244136.db2.gz UNJKKVAHBCWEEW-LLVKDONJSA-N 0 0 295.339 2.792 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)oc1C ZINC000150697765 394249899 /nfs/dbraw/zinc/24/98/99/394249899.db2.gz QVRRPAVKTLKIFB-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CC[C@H]1CCN1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000291648675 394253620 /nfs/dbraw/zinc/25/36/20/394253620.db2.gz NJYFABIDFOLNEV-PWSUYJOCSA-N 0 0 277.324 2.850 20 5 CFBDRN C[C@@]1(c2nc(Cc3ccccc3[N+](=O)[O-])no2)CC1(F)F ZINC000421265946 394185089 /nfs/dbraw/zinc/18/50/89/394185089.db2.gz VDYSWINQHXWVQG-LBPRGKRZSA-N 0 0 295.245 2.865 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000286102051 394191752 /nfs/dbraw/zinc/19/17/52/394191752.db2.gz DAWZRASMYMUMQN-BXKDBHETSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000493501008 394261171 /nfs/dbraw/zinc/26/11/71/394261171.db2.gz VJDDUZLRGCROQE-NMTCXDENSA-N 0 0 284.262 2.768 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCc1ccc(F)cc1 ZINC000151856400 394263479 /nfs/dbraw/zinc/26/34/79/394263479.db2.gz MSSVOVSUPBNFLV-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN Cc1n[nH]cc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000291817747 394265557 /nfs/dbraw/zinc/26/55/57/394265557.db2.gz YVRXBWHTZOZLDL-UHFFFAOYSA-N 0 0 274.324 2.651 20 5 CFBDRN Cc1ccc(CNC(=O)Cc2cccc([N+](=O)[O-])c2)cc1 ZINC000151997032 394268423 /nfs/dbraw/zinc/26/84/23/394268423.db2.gz ZNTIGNQIRRVFFH-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCSC ZINC000152003838 394268449 /nfs/dbraw/zinc/26/84/49/394268449.db2.gz PZMTUUHABXSMNR-UHFFFAOYSA-N 0 0 256.327 2.516 20 5 CFBDRN CCC(=O)c1ccc(N2CC[C@H](O)C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000292431371 394273767 /nfs/dbraw/zinc/27/37/67/394273767.db2.gz XJIXWVDWFISVKJ-JQWIXIFHSA-N 0 0 292.335 2.537 20 5 CFBDRN C[C@H](CCO)Nc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000152291858 394274947 /nfs/dbraw/zinc/27/49/47/394274947.db2.gz WWJBHJJVEQPKEQ-SNVBAGLBSA-N 0 0 282.340 2.955 20 5 CFBDRN Cc1cc(N2CCCO[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000292743269 394276888 /nfs/dbraw/zinc/27/68/88/394276888.db2.gz VGBGQVFQWQWFLS-SNVBAGLBSA-N 0 0 268.288 2.658 20 5 CFBDRN CC(C)[C@@H]1C[C@H](CNc2ncccc2[N+](=O)[O-])CCO1 ZINC000450741888 394332138 /nfs/dbraw/zinc/33/21/38/394332138.db2.gz YRZUASPLAUHMJM-YPMHNXCESA-N 0 0 279.340 2.853 20 5 CFBDRN CCn1cc(NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000153782509 394292105 /nfs/dbraw/zinc/29/21/05/394292105.db2.gz DVOLUBBAPMHAOP-UHFFFAOYSA-N 0 0 289.295 2.764 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@@H](c2ccco2)C1 ZINC000370863656 394293687 /nfs/dbraw/zinc/29/36/87/394293687.db2.gz XJRSDYXICPHDSA-GFCCVEGCSA-N 0 0 286.287 2.818 20 5 CFBDRN Cc1cc(N2CCc3ncccc3C2)ccc1[N+](=O)[O-] ZINC000371004615 394297346 /nfs/dbraw/zinc/29/73/46/394297346.db2.gz LKUUCAJNNOJRJY-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000154722179 394300603 /nfs/dbraw/zinc/30/06/03/394300603.db2.gz NTAIZYAWTMSENA-NSHDSACASA-N 0 0 279.340 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nnc(C3CC3)[nH]2)c(Cl)c1 ZINC000450630739 394304135 /nfs/dbraw/zinc/30/41/35/394304135.db2.gz YBCJWSZLJSNTKA-UHFFFAOYSA-N 0 0 293.714 2.856 20 5 CFBDRN CCO/C=C\C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000493688658 394310304 /nfs/dbraw/zinc/31/03/04/394310304.db2.gz IJTUQICZRRUSHI-WAYWQWQTSA-N 0 0 264.281 2.700 20 5 CFBDRN Cc1nc(N[C@@H]2CCCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC000296625739 394310701 /nfs/dbraw/zinc/31/07/01/394310701.db2.gz VHYPAFQQFQNXGW-WCBMZHEXSA-N 0 0 250.302 2.684 20 5 CFBDRN Cc1nc(N2CCC[C@H](C(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000296719192 394311550 /nfs/dbraw/zinc/31/15/50/394311550.db2.gz HHMPBBOIDAZIHJ-NSHDSACASA-N 0 0 278.356 2.956 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CC[C@H]1C1CC1 ZINC000493695349 394311767 /nfs/dbraw/zinc/31/17/67/394311767.db2.gz SMALXXQWOAJNBQ-VSZOKUSCSA-N 0 0 272.304 2.619 20 5 CFBDRN O=C(/C=C/c1cncs1)Nc1cccc([N+](=O)[O-])c1 ZINC000493798252 394318320 /nfs/dbraw/zinc/31/83/20/394318320.db2.gz YEYLZFQEKDROPB-SNAWJCMRSA-N 0 0 275.289 2.703 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2nn(C)cc2Cl)n1 ZINC000450721230 394325248 /nfs/dbraw/zinc/32/52/48/394325248.db2.gz WEHRSUOWOIKNOV-UHFFFAOYSA-N 0 0 295.730 2.606 20 5 CFBDRN CCOc1cc(N2CC[C@H](OC)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000450772272 394338765 /nfs/dbraw/zinc/33/87/65/394338765.db2.gz ZTHVNRLQQZOODF-FZMZJTMJSA-N 0 0 294.351 2.855 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C[C@H]1C ZINC000450774313 394339322 /nfs/dbraw/zinc/33/93/22/394339322.db2.gz OBCLTQPGHNRTNH-RISCZKNCSA-N 0 0 264.325 2.764 20 5 CFBDRN Cc1cc(N[C@@H]([C@H](CO)C2CC2)C2CC2)ncc1[N+](=O)[O-] ZINC000450775362 394339595 /nfs/dbraw/zinc/33/95/95/394339595.db2.gz KIIQPEWOWUUYJH-IUODEOHRSA-N 0 0 291.351 2.507 20 5 CFBDRN C[C@H]1CCc2ccccc2N1CCn1cc([N+](=O)[O-])cn1 ZINC000157394435 394344648 /nfs/dbraw/zinc/34/46/48/394344648.db2.gz JUBHRMAWAXBHLE-LBPRGKRZSA-N 0 0 286.335 2.633 20 5 CFBDRN Cc1c(NC(=O)[C@@H](C)C2CCOCC2)cccc1[N+](=O)[O-] ZINC000158183352 394355505 /nfs/dbraw/zinc/35/55/05/394355505.db2.gz GXVHDTGBYBSTSU-JTQLQIEISA-N 0 0 292.335 2.904 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)SCC(=O)N(C)C ZINC000451086974 394356711 /nfs/dbraw/zinc/35/67/11/394356711.db2.gz WJPGKXQKHKAHHM-SNVBAGLBSA-N 0 0 282.365 2.786 20 5 CFBDRN Cc1oncc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000451103093 394357448 /nfs/dbraw/zinc/35/74/48/394357448.db2.gz MLTQNYNDHMJZQU-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@H]1C=CCCC1 ZINC000158503032 394358054 /nfs/dbraw/zinc/35/80/54/394358054.db2.gz JQRQHMZQIPNWKL-LXKVQUBZSA-N 0 0 272.304 2.833 20 5 CFBDRN CCC[C@@H](CC)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000158627424 394359386 /nfs/dbraw/zinc/35/93/86/394359386.db2.gz QCZNYMZEHCXZCX-SECBINFHSA-N 0 0 263.301 2.628 20 5 CFBDRN CC[C@]1(O)CCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000495192515 394362376 /nfs/dbraw/zinc/36/23/76/394362376.db2.gz LGSKGJPTEUAGGE-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@@H]1C1CCCCC1 ZINC000525590608 394382449 /nfs/dbraw/zinc/38/24/49/394382449.db2.gz XEJIHTGLVJRWDL-CHWSQXEVSA-N 0 0 291.351 2.632 20 5 CFBDRN Cc1nn(C[C@@H]2CCC3(CCCC3)O2)c(C)c1[N+](=O)[O-] ZINC000527309042 394385490 /nfs/dbraw/zinc/38/54/90/394385490.db2.gz WNQYJDGPVJEJPY-LBPRGKRZSA-N 0 0 279.340 2.900 20 5 CFBDRN CCc1ncc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000453350370 394388931 /nfs/dbraw/zinc/38/89/31/394388931.db2.gz GIBGRBGXAXSHAS-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000275071521 135103697 /nfs/dbraw/zinc/10/36/97/135103697.db2.gz ZQWLJPHKWYTPQR-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN C[C@H](C(=O)NCCNc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000457399854 394446851 /nfs/dbraw/zinc/44/68/51/394446851.db2.gz KXLFEYFIVVCPLZ-NSHDSACASA-N 0 0 291.351 2.559 20 5 CFBDRN CCC[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])C(=O)OCC ZINC000537036893 394575965 /nfs/dbraw/zinc/57/59/65/394575965.db2.gz COXQKKACOFTWHB-AAEUAGOBSA-N 0 0 294.351 2.977 20 5 CFBDRN CCc1ccccc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531887536 394530705 /nfs/dbraw/zinc/53/07/05/394530705.db2.gz FFZSKTAAUTUEHV-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN Cc1ccc(CCNc2nc(C)cc(C)c2[N+](=O)[O-])cn1 ZINC000541006099 394662896 /nfs/dbraw/zinc/66/28/96/394662896.db2.gz XBPSLPFQDWVFCM-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000538339216 394602389 /nfs/dbraw/zinc/60/23/89/394602389.db2.gz VFEJLURKTDNVBP-GFCCVEGCSA-N 0 0 277.324 2.507 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]2CCCC[C@@H]21 ZINC000542060001 394695229 /nfs/dbraw/zinc/69/52/29/394695229.db2.gz KVQNGYXDWGFNOA-MPKXVKKWSA-N 0 0 289.335 2.641 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccc(F)cc3)no2)nc1 ZINC000545543778 394798098 /nfs/dbraw/zinc/79/80/98/394798098.db2.gz XFMXLWDZLDBCGP-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN COc1ccc(-c2nc([C@@H]3C[C@@H]3C)no2)cc1[N+](=O)[O-] ZINC000545768125 394801613 /nfs/dbraw/zinc/80/16/13/394801613.db2.gz FRCWFTIXTLLORZ-IONNQARKSA-N 0 0 275.264 2.777 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(CCc2cccc([N+](=O)[O-])c2)n1 ZINC000545773884 394802394 /nfs/dbraw/zinc/80/23/94/394802394.db2.gz DJJLUJFFALZHJS-CABZTGNLSA-N 0 0 273.292 2.886 20 5 CFBDRN Cc1ccncc1N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000545950864 394810265 /nfs/dbraw/zinc/81/02/65/394810265.db2.gz YCZQCLBCUNVEPK-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2CCOCC2)c2cccnc21 ZINC000546726659 394842471 /nfs/dbraw/zinc/84/24/71/394842471.db2.gz JOGNMNDKEJLCSK-UHFFFAOYSA-N 0 0 288.303 2.948 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(COc2ccccc2[N+](=O)[O-])n1 ZINC000547186656 394854461 /nfs/dbraw/zinc/85/44/61/394854461.db2.gz QVZVFYITCOKQNC-RKDXNWHRSA-N 0 0 275.264 2.680 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCC2CC(C)(C)C2)c1 ZINC000548383835 394927016 /nfs/dbraw/zinc/92/70/16/394927016.db2.gz NVSBPTSBPPTDAL-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CC[C@]1(CO)CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000548614528 394940717 /nfs/dbraw/zinc/94/07/17/394940717.db2.gz UDNQYRPAVCZKNB-HNNXBMFYSA-N 0 0 293.367 2.596 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCCCC2CC2)c1 ZINC000554966873 394963636 /nfs/dbraw/zinc/96/36/36/394963636.db2.gz DYXNIFXAYZOVJT-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC[C@](C)(F)C1 ZINC000557619756 395041140 /nfs/dbraw/zinc/04/11/40/395041140.db2.gz SXLWGQJIHCOSEV-AWEZNQCLSA-N 0 0 296.298 2.628 20 5 CFBDRN C[C@H]1CC(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)C[C@H](C)C1 ZINC000596455528 395110468 /nfs/dbraw/zinc/11/04/68/395110468.db2.gz UNBPJFABTBKJKF-NXEZZACHSA-N 0 0 279.340 2.725 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCCO[C@@H](CF)C1 ZINC000413473685 304805178 /nfs/dbraw/zinc/80/51/78/304805178.db2.gz AFDXCFVETHZGCY-VIFPVBQESA-N 0 0 288.706 2.813 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@]2(C1)OCc1ccccc12 ZINC000302275015 296517766 /nfs/dbraw/zinc/51/77/66/296517766.db2.gz STPPEJMAZBMEKH-MRXNPFEDSA-N 0 0 297.314 2.626 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2cccc(F)c2C1 ZINC000301984574 296517846 /nfs/dbraw/zinc/51/78/46/296517846.db2.gz YRWFKVFYXUQFJC-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2cc(F)ccc21 ZINC000337818283 296517854 /nfs/dbraw/zinc/51/78/54/296517854.db2.gz PLLFDICJSGHBSO-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1COc2ccc(F)cc21 ZINC000341580023 296518004 /nfs/dbraw/zinc/51/80/04/296518004.db2.gz DZQNQESOYYKHML-SNVBAGLBSA-N 0 0 275.239 2.675 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccccc1 ZINC000355918509 155132757 /nfs/dbraw/zinc/13/27/57/155132757.db2.gz UWSRMUACSBVXNY-UHFFFAOYSA-N 0 0 284.315 2.910 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1C1CCCC1)CCCC2 ZINC000335345529 296527883 /nfs/dbraw/zinc/52/78/83/296527883.db2.gz LFKKCHNCRCTGOF-UHFFFAOYSA-N 0 0 262.309 2.750 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000342803455 304806023 /nfs/dbraw/zinc/80/60/23/304806023.db2.gz YVCXTWBUWPWRJG-VXGBXAGGSA-N 0 0 294.326 2.987 20 5 CFBDRN Cc1ccc(-c2noc(C3CCOCC3)n2)cc1[N+](=O)[O-] ZINC000355979781 155135763 /nfs/dbraw/zinc/13/57/63/155135763.db2.gz FKOOPKQTOMJWMQ-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@]23CCOC3)c(Cl)c1 ZINC000421009608 397571302 /nfs/dbraw/zinc/57/13/02/397571302.db2.gz BXJDQLHSSSNPEQ-CYBMUJFWSA-N 0 0 282.727 2.613 20 5 CFBDRN CC[C@H](O)CCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000135078761 397604009 /nfs/dbraw/zinc/60/40/09/397604009.db2.gz QVXZYYRXOODWCM-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@@H](O)CCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000135078600 397604058 /nfs/dbraw/zinc/60/40/58/397604058.db2.gz ZWFKCULQKSJUGF-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@@H](O)CCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000135080696 397604284 /nfs/dbraw/zinc/60/42/84/397604284.db2.gz UJHBCJHJQIFEED-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN Cc1cc(N2CCCC[C@@H]2c2nc[nH]n2)ccc1[N+](=O)[O-] ZINC000294909021 397633394 /nfs/dbraw/zinc/63/33/94/397633394.db2.gz IBXCRWRGQHZJQH-CYBMUJFWSA-N 0 0 287.323 2.753 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2ncccc2[N+](=O)[O-])C1(C)C ZINC000301438995 285926241 /nfs/dbraw/zinc/92/62/41/285926241.db2.gz UFFKVLSPGRLICC-MFKMUULPSA-N 0 0 265.313 2.605 20 5 CFBDRN Cc1ccc(OCc2ccnc(C)n2)c([N+](=O)[O-])c1 ZINC000356020283 155140234 /nfs/dbraw/zinc/14/02/34/155140234.db2.gz KIBYUFNESVFZMD-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc(C)c2[N+](=O)[O-])c(=O)[nH]1 ZINC000348131459 292159858 /nfs/dbraw/zinc/15/98/58/292159858.db2.gz GGHMZWXYOHOBBG-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CO[C@](C)(CNc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000312868820 286147174 /nfs/dbraw/zinc/14/71/74/286147174.db2.gz YMUKTFMTBPYFJZ-GFCCVEGCSA-N 0 0 296.352 2.531 20 5 CFBDRN COc1c(C(=O)N[C@@H]2C[C@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000458103950 286177941 /nfs/dbraw/zinc/17/79/41/286177941.db2.gz CRIHROHJHCHUBV-IUODEOHRSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000294163733 286193903 /nfs/dbraw/zinc/19/39/03/286193903.db2.gz BUYIONFAQXZYAF-SECBINFHSA-N 0 0 296.298 2.615 20 5 CFBDRN COc1cc(N2[C@H](C)CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000301878025 286231502 /nfs/dbraw/zinc/23/15/02/286231502.db2.gz HGAZEHLWWXXVKG-NXEZZACHSA-N 0 0 250.298 2.981 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1ncccc1Cl ZINC000356536580 155296823 /nfs/dbraw/zinc/29/68/23/155296823.db2.gz CKCWDLLQTUXZTO-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN COc1cc(C(=O)N(C)[C@@H](C)C2CCC2)ccc1[N+](=O)[O-] ZINC000356542224 155299138 /nfs/dbraw/zinc/29/91/38/155299138.db2.gz GNXPITQUQRNLDK-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CCOC1(CNC(=O)c2ccccc2[N+](=O)[O-])CCCC1 ZINC000356560485 155301775 /nfs/dbraw/zinc/30/17/75/155301775.db2.gz HBHCBOIJGXXISO-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN COc1c(C(=O)NCC2(C3CC3)CC2)cccc1[N+](=O)[O-] ZINC000356561285 155302148 /nfs/dbraw/zinc/30/21/48/155302148.db2.gz LJXXBKRZDITJHT-UHFFFAOYSA-N 0 0 290.319 2.523 20 5 CFBDRN C[C@H](F)CCNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000356727224 155342645 /nfs/dbraw/zinc/34/26/45/155342645.db2.gz LURWIHWEJCUCND-QMMMGPOBSA-N 0 0 279.271 2.554 20 5 CFBDRN CC[C@@H](O)CCCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000356743348 155349114 /nfs/dbraw/zinc/34/91/14/155349114.db2.gz NBWBEGGSOPZFKR-GFCCVEGCSA-N 0 0 252.314 2.866 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000187113413 299749808 /nfs/dbraw/zinc/74/98/08/299749808.db2.gz CONFWMXFUPMKPR-NSHDSACASA-N 0 0 262.309 2.857 20 5 CFBDRN Cc1ccc(-c2nc(Cc3ccon3)no2)cc1[N+](=O)[O-] ZINC000356795740 155371750 /nfs/dbraw/zinc/37/17/50/155371750.db2.gz ALTJPBNTOPHBNK-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Oc1ccc2c(c1)CN(C)C2=O ZINC000356796604 155371754 /nfs/dbraw/zinc/37/17/54/155371754.db2.gz QQRLCWQQLAOJCQ-UHFFFAOYSA-N 0 0 299.286 2.676 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC=C(F)C2)c1 ZINC000273039492 292281908 /nfs/dbraw/zinc/28/19/08/292281908.db2.gz FWJCWQATUFANQD-UHFFFAOYSA-N 0 0 264.256 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1C[C@@H]1c1ccccc1 ZINC000359340564 292281956 /nfs/dbraw/zinc/28/19/56/292281956.db2.gz CELYYXUYEDUVAH-UONOGXRCSA-N 0 0 284.315 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CC1CCCCC1 ZINC000276182738 292281451 /nfs/dbraw/zinc/28/14/51/292281451.db2.gz FQJDRKQKQUCWMW-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H](F)C2)c1 ZINC000335407535 292283305 /nfs/dbraw/zinc/28/33/05/292283305.db2.gz HARDYIVAAWGKJJ-WDEREUQCSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@]3(CCCCO3)C2)n1 ZINC000369342087 292286013 /nfs/dbraw/zinc/28/60/13/292286013.db2.gz HJFSAJASYFIKQS-HNNXBMFYSA-N 0 0 291.351 2.838 20 5 CFBDRN CC[C@]1(C)CN(c2nc(C)cc(C)c2[N+](=O)[O-])CCO1 ZINC000408435403 288450468 /nfs/dbraw/zinc/45/04/68/288450468.db2.gz NGMTWPKICJWZGH-CQSZACIVSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3cnn(C)c3)C2)c1 ZINC000268040789 292288093 /nfs/dbraw/zinc/28/80/93/292288093.db2.gz OGCSFXQMZHUNBR-GFCCVEGCSA-N 0 0 286.335 2.631 20 5 CFBDRN CCOc1cc(N2C[C@H](C)OC[C@@H]2CC)ccc1[N+](=O)[O-] ZINC000356846178 155393211 /nfs/dbraw/zinc/39/32/11/155393211.db2.gz YZISMXZWDXDPCM-RYUDHWBXSA-N 0 0 294.351 2.997 20 5 CFBDRN CCOc1cc(N2C[C@@H](C)OC[C@@H]2CC)ccc1[N+](=O)[O-] ZINC000356846174 155393298 /nfs/dbraw/zinc/39/32/98/155393298.db2.gz YZISMXZWDXDPCM-NEPJUHHUSA-N 0 0 294.351 2.997 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)C[C@@H]1C ZINC000336013132 292292862 /nfs/dbraw/zinc/29/28/62/292292862.db2.gz YBXAVDHTRCPYIK-ONGXEEELSA-N 0 0 262.309 2.774 20 5 CFBDRN COc1ccc2c(c1)CN(c1ccc(N)cc1[N+](=O)[O-])CC2 ZINC000288530325 286399908 /nfs/dbraw/zinc/39/99/08/286399908.db2.gz RSMSMKNUINWVIV-UHFFFAOYSA-N 0 0 299.330 2.748 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000343059745 154099266 /nfs/dbraw/zinc/09/92/66/154099266.db2.gz HPJDMKPABOTGBY-VHSXEESVSA-N 0 0 277.324 2.542 20 5 CFBDRN CCc1csc(NCCc2ccccc2[N+](=O)[O-])n1 ZINC000343108784 154115675 /nfs/dbraw/zinc/11/56/75/154115675.db2.gz ROKXKKUNAMJFJB-UHFFFAOYSA-N 0 0 277.349 2.690 20 5 CFBDRN COc1cc(NCc2cc(C)nc(C)n2)ccc1[N+](=O)[O-] ZINC000343143871 154124594 /nfs/dbraw/zinc/12/45/94/154124594.db2.gz YCANFSPPZNVERA-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN COc1cccc(NCc2cc(C)nc(C)n2)c1[N+](=O)[O-] ZINC000343152918 154127330 /nfs/dbraw/zinc/12/73/30/154127330.db2.gz XQMHEIFNFOBARG-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])c3cccnc23)n(C)n1 ZINC000343158995 154127925 /nfs/dbraw/zinc/12/79/25/154127925.db2.gz MVCLCUFGYXEMAZ-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=C(Nc1ccc2ncccc2c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000343197616 154140491 /nfs/dbraw/zinc/14/04/91/154140491.db2.gz KUHRSGZTHDRELN-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1sc(C(=O)Nc2ncccc2C)cc1[N+](=O)[O-] ZINC000343205085 154141463 /nfs/dbraw/zinc/14/14/63/154141463.db2.gz MNTUKOFENBZFJC-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN C[C@H](CO)Nc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000343241848 154153623 /nfs/dbraw/zinc/15/36/23/154153623.db2.gz IJELFGPORWQRIL-SECBINFHSA-N 0 0 268.313 2.565 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccncc2)no1 ZINC000345671701 154236382 /nfs/dbraw/zinc/23/63/82/154236382.db2.gz SOVATNDXPBJGJH-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@H]([NH2+]Cc2csc([N+](=O)[O-])c2)C1(C)C ZINC000345696637 154243432 /nfs/dbraw/zinc/24/34/32/154243432.db2.gz WDAMYDKADZDITC-KPXOXKRLSA-N 0 0 284.381 2.805 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(COC)no1 ZINC000345698810 154243963 /nfs/dbraw/zinc/24/39/63/154243963.db2.gz UMCQLYBEIRJWEJ-LLVKDONJSA-N 0 0 291.307 2.861 20 5 CFBDRN O=C(Nc1cc(Cl)c([O-])cc1F)c1cc([N+](=O)[O-])c[nH]1 ZINC000345699736 154244319 /nfs/dbraw/zinc/24/43/19/154244319.db2.gz LLCMFMGAVMAJCX-UHFFFAOYSA-N 0 0 299.645 2.673 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3cccs3)no2)c1 ZINC000345753894 154260056 /nfs/dbraw/zinc/26/00/56/154260056.db2.gz UULHJRRBGDYBFV-UHFFFAOYSA-N 0 0 262.250 2.701 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1C(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000345774358 154263841 /nfs/dbraw/zinc/26/38/41/154263841.db2.gz KQQIMVQQKFYCJU-YUMQZZPRSA-N 0 0 290.653 2.632 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000348010604 154287428 /nfs/dbraw/zinc/28/74/28/154287428.db2.gz NLBNNHXBRMPDMG-UHFFFAOYSA-N 0 0 292.222 2.782 20 5 CFBDRN COCCC(C)(C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348020897 154288627 /nfs/dbraw/zinc/28/86/27/154288627.db2.gz UQCYVQSCZVAYGQ-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN COc1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)cc1 ZINC000348027338 154291935 /nfs/dbraw/zinc/29/19/35/154291935.db2.gz YXKJPDABPUTMSE-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSc2cc(F)ccc2F)c1 ZINC000348170645 154347766 /nfs/dbraw/zinc/34/77/66/154347766.db2.gz PIIFJEYQMNUFBM-UHFFFAOYSA-N 0 0 285.275 2.862 20 5 CFBDRN CCc1cnccc1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000352581368 154618348 /nfs/dbraw/zinc/61/83/48/154618348.db2.gz UYGIEJLZLCVKBP-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN CC1(CNC(=O)c2c(N)cccc2[N+](=O)[O-])CCCCC1 ZINC000354554415 154744821 /nfs/dbraw/zinc/74/48/21/154744821.db2.gz QFGHCUHAZAXJST-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000354712407 154793969 /nfs/dbraw/zinc/79/39/69/154793969.db2.gz QMIZKSGMQKVYQP-COPLHBTASA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1c(Cn2cc(-n3cccc3)cn2)cccc1[N+](=O)[O-] ZINC000356974922 155448733 /nfs/dbraw/zinc/44/87/33/155448733.db2.gz HFBYLHUXUNSWLK-UHFFFAOYSA-N 0 0 282.303 2.939 20 5 CFBDRN COCCCCCSc1ncccc1[N+](=O)[O-] ZINC000116612007 292318341 /nfs/dbraw/zinc/31/83/41/292318341.db2.gz WWVQNDGMTRUWKS-UHFFFAOYSA-N 0 0 256.327 2.899 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(C[C@@H]3CCCCO3)n2)c1 ZINC000355549993 155037811 /nfs/dbraw/zinc/03/78/11/155037811.db2.gz XGYNQLUMYISSEY-LBPRGKRZSA-N 0 0 289.291 2.756 20 5 CFBDRN Cc1cc(Nc2ncc([N+](=O)[O-])c(N)n2)ccc1C1CC1 ZINC000355781769 155085448 /nfs/dbraw/zinc/08/54/48/155085448.db2.gz LAAUEYTVEXAHEJ-UHFFFAOYSA-N 0 0 285.307 2.727 20 5 CFBDRN Cc1cnc(NC[C@@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000355784367 155086627 /nfs/dbraw/zinc/08/66/27/155086627.db2.gz OWPXTAAXEIFGIP-SSDOTTSWSA-N 0 0 263.219 2.909 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2cccc(C)n2)cc([N+](=O)[O-])c1 ZINC000355840272 155099251 /nfs/dbraw/zinc/09/92/51/155099251.db2.gz IPJSBQLITLTBCS-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1cncc(-c2noc(Cc3cccc([N+](=O)[O-])c3)n2)c1 ZINC000355854832 155100108 /nfs/dbraw/zinc/10/01/08/155100108.db2.gz QMIHQDYPLFBOIT-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000358032016 155608616 /nfs/dbraw/zinc/60/86/16/155608616.db2.gz PUFBKDDUXHVYSZ-ZJUUUORDSA-N 0 0 280.299 2.900 20 5 CFBDRN COc1ncc(CSc2ccc([N+](=O)[O-])cc2)c(C)n1 ZINC000358449985 155768265 /nfs/dbraw/zinc/76/82/65/155768265.db2.gz GVTYAJHYOOWEDF-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC[C@@H]2CCCO2)c(F)c1 ZINC000358679173 155841069 /nfs/dbraw/zinc/84/10/69/155841069.db2.gz ATJIZRRIDXTJKQ-LBPRGKRZSA-N 0 0 298.314 2.732 20 5 CFBDRN COc1ccc(OCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000358975541 155936568 /nfs/dbraw/zinc/93/65/68/155936568.db2.gz AJDUWWWGZMDKMB-UHFFFAOYSA-N 0 0 265.187 2.935 20 5 CFBDRN CC[C@H](O)CCCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359100869 155987625 /nfs/dbraw/zinc/98/76/25/155987625.db2.gz YULYTWBYNDLPES-NSHDSACASA-N 0 0 267.329 2.570 20 5 CFBDRN Cn1cc(/C=C\c2ccc([N+](=O)[O-])cn2)c(C(F)F)n1 ZINC000359156851 156007441 /nfs/dbraw/zinc/00/74/41/156007441.db2.gz FCWZOVOUGQWDQY-IHWYPQMZSA-N 0 0 280.234 2.831 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@H]1C[C@@H]1c1ccccc1 ZINC000359174407 156014201 /nfs/dbraw/zinc/01/42/01/156014201.db2.gz IHXZNDGGUXTCMD-CHWSQXEVSA-N 0 0 270.288 2.560 20 5 CFBDRN CC1(C)C(NC(=O)CNc2ccccc2[N+](=O)[O-])C1(C)C ZINC000360205555 156050162 /nfs/dbraw/zinc/05/01/62/156050162.db2.gz BGSGLAXAQUJYEN-UHFFFAOYSA-N 0 0 291.351 2.558 20 5 CFBDRN CC(C)=CCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000361085123 156066421 /nfs/dbraw/zinc/06/64/21/156066421.db2.gz GGRUKLBLDGYFEQ-UHFFFAOYSA-N 0 0 258.281 2.820 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2ccc(F)cc2C)c1[N+](=O)[O-] ZINC000362954239 156125118 /nfs/dbraw/zinc/12/51/18/156125118.db2.gz IMRNNZRGTNIIEK-UHFFFAOYSA-N 0 0 292.314 2.721 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1nc2sccn2c1[N+](=O)[O-])OC ZINC000362977774 156134465 /nfs/dbraw/zinc/13/44/65/156134465.db2.gz UKXULVHLTXZRQI-RKDXNWHRSA-N 0 0 298.368 2.777 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](Oc3ccccc3)C2)c1[N+](=O)[O-] ZINC000363218673 156161857 /nfs/dbraw/zinc/16/18/57/156161857.db2.gz KZZHBAYBRUSOLM-CQSZACIVSA-N 0 0 299.330 2.956 20 5 CFBDRN CC(=O)c1ccc(N2CCC23CCOCC3)c([N+](=O)[O-])c1 ZINC000366798360 156241129 /nfs/dbraw/zinc/24/11/29/156241129.db2.gz RVJDHGSABITPDX-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN Cc1ncc(-c2ccccc2)cc1NC(=O)[C@H]1CC1[N+](=O)[O-] ZINC000366887750 156252000 /nfs/dbraw/zinc/25/20/00/156252000.db2.gz YNMJSZNPJKKXSM-ZFWWWQNUSA-N 0 0 297.314 2.661 20 5 CFBDRN Cc1ccnc(N[C@H]2C[C@@H]2c2cccnc2)c1[N+](=O)[O-] ZINC000367165753 156291175 /nfs/dbraw/zinc/29/11/75/156291175.db2.gz WDAYEQNGBJQBGS-NEPJUHHUSA-N 0 0 270.292 2.661 20 5 CFBDRN Nc1ccc(N2CC[C@@H](Oc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000367726047 156382912 /nfs/dbraw/zinc/38/29/12/156382912.db2.gz AGOCODDDBCCLSA-CQSZACIVSA-N 0 0 299.330 2.835 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1C[C@H]2CCCCCC[C@@H]21 ZINC000371212367 156749028 /nfs/dbraw/zinc/74/90/28/156749028.db2.gz JSDKAHAOWNBPCC-RISCZKNCSA-N 0 0 289.335 2.785 20 5 CFBDRN Cc1ccc(C(=O)NC23CCC(CC2)C3)cc1[N+](=O)[O-] ZINC000371747267 156817573 /nfs/dbraw/zinc/81/75/73/156817573.db2.gz PNDOBLHVFDXNRD-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCCOCC2)cccc1[N+](=O)[O-] ZINC000373905820 157045362 /nfs/dbraw/zinc/04/53/62/157045362.db2.gz BCEMHONVLWMRIX-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN CC1(C)CN(c2ncccc2[N+](=O)[O-])[C@@H]2CCC[C@H]2O1 ZINC000374135057 157074104 /nfs/dbraw/zinc/07/41/04/157074104.db2.gz BPAWVMSHPMIXMO-ZYHUDNBSSA-N 0 0 277.324 2.526 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(c3ccccn3)CC2)s1 ZINC000374489555 157121202 /nfs/dbraw/zinc/12/12/02/157121202.db2.gz SCQNDVSYCJJTCA-UHFFFAOYSA-N 0 0 290.348 2.830 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cn2)[C@H]2CCCO[C@H]21 ZINC000376491666 157363287 /nfs/dbraw/zinc/36/32/87/157363287.db2.gz MMTPTILNGRAWOP-RAIGVLPGSA-N 0 0 277.324 2.605 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H]1CCC2(CCCCC2)O1 ZINC000408257975 157389614 /nfs/dbraw/zinc/38/96/14/157389614.db2.gz WUWANRZQLFKSMH-LBPRGKRZSA-N 0 0 279.340 2.982 20 5 CFBDRN CC1(C)CCCCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000408444607 157399409 /nfs/dbraw/zinc/39/94/09/157399409.db2.gz UGCHYDVHRORKQJ-UHFFFAOYSA-N 0 0 289.339 2.654 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000048246191 161501459 /nfs/dbraw/zinc/50/14/59/161501459.db2.gz AHPGLSXFZMEJDX-SECBINFHSA-N 0 0 297.330 2.871 20 5 CFBDRN COC(=O)CCCSc1c(F)cccc1[N+](=O)[O-] ZINC000342502763 161640584 /nfs/dbraw/zinc/64/05/84/161640584.db2.gz CGBPTBAJYAKUAO-UHFFFAOYSA-N 0 0 273.285 2.779 20 5 CFBDRN CC[C@@H](C)n1nc(Nc2ccc([N+](=O)[O-])cn2)cc1C ZINC000342788218 161708466 /nfs/dbraw/zinc/70/84/66/161708466.db2.gz NSTXNTIYOICURQ-SECBINFHSA-N 0 0 275.312 2.631 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H](COC(F)F)C1 ZINC000343517761 161789335 /nfs/dbraw/zinc/78/93/35/161789335.db2.gz FMXAKLMIRRWOKX-SNVBAGLBSA-N 0 0 286.278 2.969 20 5 CFBDRN CCOC(=O)C[C@H](C)N(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000343611780 161818249 /nfs/dbraw/zinc/81/82/49/161818249.db2.gz YJCMZOXMYPYMCB-NSHDSACASA-N 0 0 280.324 2.681 20 5 CFBDRN COc1cccc(N[C@H]2C[C@@H]2C(F)F)c1[N+](=O)[O-] ZINC000343813960 161867284 /nfs/dbraw/zinc/86/72/84/161867284.db2.gz UOXMFJMQJAGUCT-XPUUQOCRSA-N 0 0 258.224 2.669 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000343884240 161884772 /nfs/dbraw/zinc/88/47/72/161884772.db2.gz ZHIPVUWIJYOFRI-VHSXEESVSA-N 0 0 270.235 2.863 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])nc1)Oc1ccccc1 ZINC000344410555 162037479 /nfs/dbraw/zinc/03/74/79/162037479.db2.gz BDDBHWJDDKLTHQ-NSHDSACASA-N 0 0 273.292 2.869 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc2[nH]cnc2c1 ZINC000344465411 162049729 /nfs/dbraw/zinc/04/97/29/162049729.db2.gz ORSJQCJVIPVCLN-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2ccc(F)cn2)c1 ZINC000344519152 162061089 /nfs/dbraw/zinc/06/10/89/162061089.db2.gz NVMVVGFLPBIRTL-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN Cc1csc(C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000344539287 162062439 /nfs/dbraw/zinc/06/24/39/162062439.db2.gz BDUBSWIZIZLZOY-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CC(=O)c1ccc(OCc2ccc(F)cn2)c([N+](=O)[O-])c1 ZINC000344531898 162063483 /nfs/dbraw/zinc/06/34/83/162063483.db2.gz ZMDABSBZFQGFEZ-UHFFFAOYSA-N 0 0 290.250 2.911 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCCOC1CCC1 ZINC000344583308 162071979 /nfs/dbraw/zinc/07/19/79/162071979.db2.gz CCIZBTCIEVNMMC-UHFFFAOYSA-N 0 0 272.688 2.591 20 5 CFBDRN Cc1nc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)cs1 ZINC000344652684 162090845 /nfs/dbraw/zinc/09/08/45/162090845.db2.gz FGEOUKOTSSKUKA-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cnc(OCCCc2ccncc2)c([N+](=O)[O-])c1 ZINC000344765154 162117725 /nfs/dbraw/zinc/11/77/25/162117725.db2.gz JGCYKPAHHGVZCQ-UHFFFAOYSA-N 0 0 273.292 2.705 20 5 CFBDRN Cn1c(C(=O)NCCC2CCCCC2)ccc1[N+](=O)[O-] ZINC000344819007 162128221 /nfs/dbraw/zinc/12/82/21/162128221.db2.gz AUWLQJBXZNXBCY-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN C[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)C1 ZINC000344988988 162158351 /nfs/dbraw/zinc/15/83/51/162158351.db2.gz VOAWHZCUGPIMSA-VHSXEESVSA-N 0 0 298.364 2.599 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)NCCNc2ccccc2[N+](=O)[O-])C1 ZINC000345061514 162171895 /nfs/dbraw/zinc/17/18/95/162171895.db2.gz UWNCAPJWSUUKRT-RYUDHWBXSA-N 0 0 291.351 2.559 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000345156616 162190378 /nfs/dbraw/zinc/19/03/78/162190378.db2.gz BNXOMOAEOXKLAX-WCQYABFASA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1csc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000345214359 162202803 /nfs/dbraw/zinc/20/28/03/162202803.db2.gz BTNNJVVBTUYDOH-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)NCCNc2ccc([N+](=O)[O-])cc2)C1 ZINC000345316726 162225228 /nfs/dbraw/zinc/22/52/28/162225228.db2.gz MGUZNDMSOBFVOU-NWDGAFQWSA-N 0 0 291.351 2.559 20 5 CFBDRN CSC1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000345341174 162231824 /nfs/dbraw/zinc/23/18/24/162231824.db2.gz LTZZHDOLLMQHRC-UHFFFAOYSA-N 0 0 298.339 2.749 20 5 CFBDRN C[C@H]1C[C@@H](NCc2cccc([N+](=O)[O-])c2)c2nccn21 ZINC000345350558 162233204 /nfs/dbraw/zinc/23/32/04/162233204.db2.gz HVLUYBYGFXLPMH-GXFFZTMASA-N 0 0 272.308 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccccn2)c1 ZINC000345412614 162242702 /nfs/dbraw/zinc/24/27/02/162242702.db2.gz NVFIXRIHTFMAPP-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN CC(C)CCc1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000345455194 162249094 /nfs/dbraw/zinc/24/90/94/162249094.db2.gz FELYBBDFSYWZDQ-UHFFFAOYSA-N 0 0 250.258 2.562 20 5 CFBDRN CCc1noc(-c2c[nH]c3cc([N+](=O)[O-])ccc23)n1 ZINC000345483626 162256054 /nfs/dbraw/zinc/25/60/54/162256054.db2.gz JVCAHLOPPWZKCT-UHFFFAOYSA-N 0 0 258.237 2.689 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000345925641 162277730 /nfs/dbraw/zinc/27/77/30/162277730.db2.gz KIFPSFDYAWICFP-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000345937590 162280998 /nfs/dbraw/zinc/28/09/98/162280998.db2.gz UEKPJGWAUZXOLI-VXGBXAGGSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ccc(-c2noc([C@]3(F)CCOC3)n2)cc1[N+](=O)[O-] ZINC000345955564 162285077 /nfs/dbraw/zinc/28/50/77/162285077.db2.gz MZJVDTFULABFFO-ZDUSSCGKSA-N 0 0 293.254 2.538 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000346109922 162320650 /nfs/dbraw/zinc/32/06/50/162320650.db2.gz VNHMTDLWEIGVEB-VXGBXAGGSA-N 0 0 295.314 2.593 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000346114226 162320963 /nfs/dbraw/zinc/32/09/63/162320963.db2.gz GALRNPALEFXLBW-LLVKDONJSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000346147767 162330646 /nfs/dbraw/zinc/33/06/46/162330646.db2.gz NUXWHBFVEIJOHL-LBPRGKRZSA-N 0 0 287.319 2.814 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000346232223 162359393 /nfs/dbraw/zinc/35/93/93/162359393.db2.gz IXMMIPCRCWYBPK-WDEREUQCSA-N 0 0 276.292 2.588 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000346232221 162360758 /nfs/dbraw/zinc/36/07/58/162360758.db2.gz IXMMIPCRCWYBPK-QWRGUYRKSA-N 0 0 276.292 2.588 20 5 CFBDRN CC(C)CCCSc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000346274325 162368637 /nfs/dbraw/zinc/36/86/37/162368637.db2.gz XSAFYVBUBBWXRJ-UHFFFAOYSA-N 0 0 283.353 2.617 20 5 CFBDRN C[C@@H](NCc1ccc(F)cn1)c1ccccc1[N+](=O)[O-] ZINC000346582983 162455236 /nfs/dbraw/zinc/45/52/36/162455236.db2.gz VFHMFVQDJSUBIQ-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN C[C@@H](CNC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccc(F)cc1 ZINC000347649616 162748608 /nfs/dbraw/zinc/74/86/08/162748608.db2.gz PWMLRKQECXLBFN-VIFPVBQESA-N 0 0 291.282 2.596 20 5 CFBDRN CCn1cc(CCOc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000347909071 162798788 /nfs/dbraw/zinc/79/87/88/162798788.db2.gz RMCRYYINZPIAFD-UHFFFAOYSA-N 0 0 279.271 2.572 20 5 CFBDRN CCc1ccc(C(=O)Nc2ccnn2CC)cc1[N+](=O)[O-] ZINC000348870150 162913890 /nfs/dbraw/zinc/91/38/90/162913890.db2.gz AYOYAQHKFXGTOR-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CCc1ccc(C(=O)NCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000349005182 162928569 /nfs/dbraw/zinc/92/85/69/162928569.db2.gz IFSVFLPNKRFIPQ-UHFFFAOYSA-N 0 0 290.241 2.839 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2C[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000349675810 163002315 /nfs/dbraw/zinc/00/23/15/163002315.db2.gz BJVVANCNRVAYAA-OLZOCXBDSA-N 0 0 274.320 2.566 20 5 CFBDRN CCCOCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000349863036 163027474 /nfs/dbraw/zinc/02/74/74/163027474.db2.gz CZHXUTGISUYFSY-UHFFFAOYSA-N 0 0 263.253 2.571 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3C[C@]34CCOC4)n2)c1 ZINC000349903998 163035262 /nfs/dbraw/zinc/03/52/62/163035262.db2.gz QIIGSZXGGPGBRE-FZMZJTMJSA-N 0 0 287.275 2.539 20 5 CFBDRN CC(C)c1nc(COc2c(Cl)cncc2[N+](=O)[O-])no1 ZINC000349919432 163036610 /nfs/dbraw/zinc/03/66/10/163036610.db2.gz FOQVCWJTRJNFAM-UHFFFAOYSA-N 0 0 298.686 2.729 20 5 CFBDRN Cc1cnc(COc2c(Cl)cncc2[N+](=O)[O-])o1 ZINC000349921508 163037819 /nfs/dbraw/zinc/03/78/19/163037819.db2.gz XXWLDNZTGWGOAW-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](C)CF ZINC000349918987 163037912 /nfs/dbraw/zinc/03/79/12/163037912.db2.gz WFMDKVXAKFOVEO-MRVPVSSYSA-N 0 0 269.276 2.691 20 5 CFBDRN CCCCOCCOc1c(Cl)cncc1[N+](=O)[O-] ZINC000349947947 163041068 /nfs/dbraw/zinc/04/10/68/163041068.db2.gz ORBMQSLBZAWQQE-UHFFFAOYSA-N 0 0 274.704 2.839 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3C[C@@]34CCOC4)n2)s1 ZINC000350265401 163069367 /nfs/dbraw/zinc/06/93/67/163069367.db2.gz WOXCIVKVSVJFEB-JMCQJSRRSA-N 0 0 293.304 2.600 20 5 CFBDRN CCC(O)(CC)CCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000350699135 163098567 /nfs/dbraw/zinc/09/85/67/163098567.db2.gz FZSHKIZUWBJVSM-UHFFFAOYSA-N 0 0 281.356 2.960 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000350787691 163106577 /nfs/dbraw/zinc/10/65/77/163106577.db2.gz QMSBPOBAPSBSQK-KCJUWKMLSA-N 0 0 263.297 2.823 20 5 CFBDRN CN(C(=O)c1ccnc(C2CC2)n1)c1ccc([N+](=O)[O-])cc1 ZINC000351510029 163137574 /nfs/dbraw/zinc/13/75/74/163137574.db2.gz LLPLXPGZUPSLJL-UHFFFAOYSA-N 0 0 298.302 2.539 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351604044 163156477 /nfs/dbraw/zinc/15/64/77/163156477.db2.gz CSSYUNBNUYKFBU-QMMMGPOBSA-N 0 0 281.287 2.902 20 5 CFBDRN O=C(NCCC(F)(F)F)Nc1ccc([N+](=O)[O-])cc1F ZINC000351620654 163158894 /nfs/dbraw/zinc/15/88/94/163158894.db2.gz OIPAOXVUIUUULL-UHFFFAOYSA-N 0 0 295.192 2.808 20 5 CFBDRN O=C(NCC1CCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000351656949 163168553 /nfs/dbraw/zinc/16/85/53/163168553.db2.gz WVJKHTROVFFGDY-UHFFFAOYSA-N 0 0 267.260 2.656 20 5 CFBDRN CCC(C)(C)C(=O)Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000351668352 163169306 /nfs/dbraw/zinc/16/93/06/163169306.db2.gz WHAOWCZKQMKNLT-UHFFFAOYSA-N 0 0 265.313 2.674 20 5 CFBDRN CC(=O)c1ccc(NC[C@@]2(C)CCOC2)c([N+](=O)[O-])c1 ZINC000351764761 163190762 /nfs/dbraw/zinc/19/07/62/163190762.db2.gz SLMDDDXEHYMMDX-CQSZACIVSA-N 0 0 278.308 2.636 20 5 CFBDRN CC(C)c1csc(NC(=O)c2ccc([N+](=O)[O-])n2C)n1 ZINC000351835154 163200180 /nfs/dbraw/zinc/20/01/80/163200180.db2.gz FRUAXLVDNHUNPP-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN COc1cc(COc2ccc(C)nc2)ccc1[N+](=O)[O-] ZINC000351912008 163215467 /nfs/dbraw/zinc/21/54/67/163215467.db2.gz CJHIMBQTCLSRGT-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2ccc([N+](=O)[O-])cc2C)n1 ZINC000352035120 163218438 /nfs/dbraw/zinc/21/84/38/163218438.db2.gz MIIFHNIXIDESOD-GQCTYLIASA-N 0 0 271.276 2.878 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000352880419 163260513 /nfs/dbraw/zinc/26/05/13/163260513.db2.gz AEPXKVCPBKXSAJ-PWSUYJOCSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@H]1OCC[C@H]1SCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000352901509 163266581 /nfs/dbraw/zinc/26/65/81/163266581.db2.gz MDIUSGIRFRQXMK-PRHODGIISA-N 0 0 293.348 2.882 20 5 CFBDRN COc1cccc(CN2CCc3ncc([N+](=O)[O-])cc3C2)c1 ZINC000352997348 163284963 /nfs/dbraw/zinc/28/49/63/163284963.db2.gz LYDMEHWGLAMLOY-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN Cn1ccnc1[C@H](NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000353040945 163294909 /nfs/dbraw/zinc/29/49/09/163294909.db2.gz WFJMYBUHUVQSPB-CQSZACIVSA-N 0 0 286.335 2.569 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000353080626 163303178 /nfs/dbraw/zinc/30/31/78/163303178.db2.gz FGBMLZRKGDLIDA-ZYHUDNBSSA-N 0 0 294.376 2.915 20 5 CFBDRN COC[C@@H](Cc1ccccc1)Nc1ncccc1[N+](=O)[O-] ZINC000353475694 163372367 /nfs/dbraw/zinc/37/23/67/163372367.db2.gz KCWJTKZTQFSRAY-CYBMUJFWSA-N 0 0 287.319 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(Cc3ccccn3)c2)cc1 ZINC000353708893 163432043 /nfs/dbraw/zinc/43/20/43/163432043.db2.gz ITJTVMXCRRFRKD-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCSC ZINC000353937084 163488696 /nfs/dbraw/zinc/48/86/96/163488696.db2.gz FOXOFZWVZNNFJG-UHFFFAOYSA-N 0 0 297.380 2.984 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000354142706 163528746 /nfs/dbraw/zinc/52/87/46/163528746.db2.gz RBIJDAIFYNOPFS-ZIAGYGMSSA-N 0 0 288.347 2.642 20 5 CFBDRN O=C(NCC1CCSCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000354242708 163544507 /nfs/dbraw/zinc/54/45/07/163544507.db2.gz YOMWMRSGPGHLAO-UHFFFAOYSA-N 0 0 298.339 2.607 20 5 CFBDRN COc1c(C(=O)N(C)[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000354304448 163553720 /nfs/dbraw/zinc/55/37/20/163553720.db2.gz WKYJCEZNCSZGPH-JTQLQIEISA-N 0 0 280.324 2.720 20 5 CFBDRN CC[C@@H]1CCCN(c2c(C(N)=O)cccc2[N+](=O)[O-])CC1 ZINC000420607731 287053653 /nfs/dbraw/zinc/05/36/53/287053653.db2.gz ZUKQAOFLALRBTC-LLVKDONJSA-N 0 0 291.351 2.710 20 5 CFBDRN CC[C@@H]1CCCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000342088192 287057612 /nfs/dbraw/zinc/05/76/12/287057612.db2.gz PWNGVCILOVHVEH-MRVPVSSYSA-N 0 0 278.337 2.978 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCN(CC(F)F)CC1 ZINC000266526950 287152621 /nfs/dbraw/zinc/15/26/21/287152621.db2.gz KROWFNDQIDKHMZ-NSHDSACASA-N 0 0 299.321 2.539 20 5 CFBDRN CC[C@@H]1CN(c2ccccc2[N+](=O)[O-])CCN1CC(F)F ZINC000301902247 287213421 /nfs/dbraw/zinc/21/34/21/287213421.db2.gz WHBHINYLDNZECS-LLVKDONJSA-N 0 0 299.321 2.761 20 5 CFBDRN Cc1nn([C@H](C)C(=O)Nc2ccccc2)c(C)c1[N+](=O)[O-] ZINC000005346628 170088153 /nfs/dbraw/zinc/08/81/53/170088153.db2.gz PGJWKUKKUCSXEQ-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN CC1CCC(NC(=O)COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000006124248 170178274 /nfs/dbraw/zinc/17/82/74/170178274.db2.gz NBQMFXNPWSLSPR-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN O=C(COc1ccc(Cl)cc1[N+](=O)[O-])NC1CCCC1 ZINC000006536970 170211385 /nfs/dbraw/zinc/21/13/85/170211385.db2.gz PDTYDQNTDOMTQQ-UHFFFAOYSA-N 0 0 298.726 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc[n+]2[O-])c(Cl)c1 ZINC000008063567 170317044 /nfs/dbraw/zinc/31/70/44/170317044.db2.gz LZVKTCKDHVELCO-UHFFFAOYSA-N 0 0 266.640 2.674 20 5 CFBDRN CNc1ccc(C(=O)N2CCCCCC2)cc1[N+](=O)[O-] ZINC000008181455 170323099 /nfs/dbraw/zinc/32/30/99/170323099.db2.gz IOHXPAYJHXJXIR-UHFFFAOYSA-N 0 0 277.324 2.653 20 5 CFBDRN Cn1c(Cl)cnc1COc1cc(F)ccc1[N+](=O)[O-] ZINC000014756140 170644406 /nfs/dbraw/zinc/64/44/06/170644406.db2.gz UNLJNAJEYCHURB-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN Cc1cc(C(=O)COc2cccnc2[N+](=O)[O-])c(C)s1 ZINC000020064423 170981970 /nfs/dbraw/zinc/98/19/70/170981970.db2.gz VRMHEQPNQYZSDG-UHFFFAOYSA-N 0 0 292.316 2.930 20 5 CFBDRN COc1ccc(C(=O)Nc2cccc(O)c2)cc1[N+](=O)[O-] ZINC000020211838 171075205 /nfs/dbraw/zinc/07/52/05/171075205.db2.gz YMFBMMNNEMYDET-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN O=Cc1ccc(OCCOc2ccccc2)c([N+](=O)[O-])c1 ZINC000020297848 171138041 /nfs/dbraw/zinc/13/80/41/171138041.db2.gz ISADSTXDGKCASQ-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1ccc(F)cc1 ZINC000020370232 171167891 /nfs/dbraw/zinc/16/78/91/171167891.db2.gz FQNMMKTZHYABKH-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN C[C@H](CCc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000020448755 171207722 /nfs/dbraw/zinc/20/77/22/171207722.db2.gz YEWXMIGUYJAVCJ-LLVKDONJSA-N 0 0 288.303 2.939 20 5 CFBDRN CCCN(CCC)c1nc2sccn2c1[N+](=O)[O-] ZINC000022156943 171362982 /nfs/dbraw/zinc/36/29/82/171362982.db2.gz HHHDFYJAQPGILQ-UHFFFAOYSA-N 0 0 268.342 2.930 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000274759995 287254169 /nfs/dbraw/zinc/25/41/69/287254169.db2.gz QOUCZKSLXOVIRE-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1ccccc1CN(C)c1ccc([N+](=O)[O-])cn1 ZINC000022801920 171399347 /nfs/dbraw/zinc/39/93/47/171399347.db2.gz NVHGKHKFRCGMPK-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1cccc(N2CCO[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000372596006 292560285 /nfs/dbraw/zinc/56/02/85/292560285.db2.gz RSKIWODVUODWGO-CYBMUJFWSA-N 0 0 262.309 2.518 20 5 CFBDRN COC(=O)/C=C/c1ccc(NCC(C)C)c([N+](=O)[O-])c1 ZINC000029067137 171585083 /nfs/dbraw/zinc/58/50/83/171585083.db2.gz HAYSLXWULDKULB-FNORWQNLSA-N 0 0 278.308 2.849 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000032032200 171688160 /nfs/dbraw/zinc/68/81/60/171688160.db2.gz KCAFFYGXGRBHPI-GHMZBOCLSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1cccc(N2CC[C@](CO)(C(C)C)C2)c1[N+](=O)[O-] ZINC000368174165 292561379 /nfs/dbraw/zinc/56/13/79/292561379.db2.gz DKBBXBYCOMHGHF-HNNXBMFYSA-N 0 0 278.352 2.748 20 5 CFBDRN CCOC1CC(COc2ccc(OC)c([N+](=O)[O-])c2)C1 ZINC000285034749 196182514 /nfs/dbraw/zinc/18/25/14/196182514.db2.gz DWNMFMRAYJIUEA-UHFFFAOYSA-N 0 0 281.308 2.797 20 5 CFBDRN CCC(C)(C)c1ccc(Cn2cnc([N+](=O)[O-])n2)cc1 ZINC000285039103 196183788 /nfs/dbraw/zinc/18/37/88/196183788.db2.gz FTJFSEGLLPBVPM-UHFFFAOYSA-N 0 0 274.324 2.922 20 5 CFBDRN COCC1(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCC1 ZINC000285062781 196188147 /nfs/dbraw/zinc/18/81/47/196188147.db2.gz DAYOOQDELSSWCY-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CCc1[nH]ccc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000285207943 196222246 /nfs/dbraw/zinc/22/22/46/196222246.db2.gz TVTRGFOFXPFGQF-JTQLQIEISA-N 0 0 287.319 2.976 20 5 CFBDRN CO[C@H](C)COc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000285237446 196228745 /nfs/dbraw/zinc/22/87/45/196228745.db2.gz QUKAPUQOZDDBDP-ZCFIWIBFSA-N 0 0 263.652 2.801 20 5 CFBDRN CCn1cc(N[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000035779951 172414160 /nfs/dbraw/zinc/41/41/60/172414160.db2.gz QCZQKCCXKKMDGM-SNVBAGLBSA-N 0 0 260.297 2.984 20 5 CFBDRN CC(C)[C@H](Nc1ncc([N+](=O)[O-])s1)c1ncc[nH]1 ZINC000285629378 196349275 /nfs/dbraw/zinc/34/92/75/196349275.db2.gz NEIMNZDPTVVUSX-QMMMGPOBSA-N 0 0 267.314 2.584 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286223 173092608 /nfs/dbraw/zinc/09/26/08/173092608.db2.gz ZCLZXESLGSCKFV-SECBINFHSA-N 0 0 268.288 2.852 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccccc1 ZINC000037386597 173169396 /nfs/dbraw/zinc/16/93/96/173169396.db2.gz ZVXJXZBBAQIYGH-UHFFFAOYSA-N 0 0 285.303 2.954 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2nc[nH]n2)ccc1Cl ZINC000037404363 173180243 /nfs/dbraw/zinc/18/02/43/173180243.db2.gz NUIQSRNCPHESNH-UHFFFAOYSA-N 0 0 270.701 2.659 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H](O)c1ccccc1 ZINC000037489362 173231003 /nfs/dbraw/zinc/23/10/03/173231003.db2.gz HQUBPVFUYSOCIF-CYBMUJFWSA-N 0 0 259.261 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)c2ccccc2)c(F)c1 ZINC000037696627 173621821 /nfs/dbraw/zinc/62/18/21/173621821.db2.gz LTIYCONRTSHUSF-ZDUSSCGKSA-N 0 0 277.251 2.846 20 5 CFBDRN CC[C@@H](C)NC(=O)CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000037999050 173961297 /nfs/dbraw/zinc/96/12/97/173961297.db2.gz RUHKCMPNMHFBJC-SECBINFHSA-N 0 0 299.758 2.965 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc(O)c2)cc1[N+](=O)[O-] ZINC000038032243 174028030 /nfs/dbraw/zinc/02/80/30/174028030.db2.gz OWUTZJMCBGRNBP-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CC(C)CCCNc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000038094031 174107753 /nfs/dbraw/zinc/10/77/53/174107753.db2.gz HDHCCJROWJGXCZ-UHFFFAOYSA-N 0 0 293.323 2.774 20 5 CFBDRN COc1ccc(C=O)c(OCc2ccccc2[N+](=O)[O-])c1 ZINC000040432921 174260845 /nfs/dbraw/zinc/26/08/45/174260845.db2.gz ZMBQEMYURPMGFF-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN Cc1ccc(CNCc2nccs2)cc1[N+](=O)[O-] ZINC000040444021 174264361 /nfs/dbraw/zinc/26/43/61/174264361.db2.gz DXNRSMRDTQSSCX-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN CCCCOCCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000040507560 174302791 /nfs/dbraw/zinc/30/27/91/174302791.db2.gz LYJPQKRFNGPSJK-UHFFFAOYSA-N 0 0 283.284 2.732 20 5 CFBDRN CC(C)=CCn1cc(Br)c([N+](=O)[O-])n1 ZINC000040517293 174307341 /nfs/dbraw/zinc/30/73/41/174307341.db2.gz NZRPRAFLJYRLFI-UHFFFAOYSA-N 0 0 260.091 2.520 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000040724334 174386537 /nfs/dbraw/zinc/38/65/37/174386537.db2.gz QXCAFINLPLLJDB-QMMMGPOBSA-N 0 0 268.700 2.730 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000040724328 174386620 /nfs/dbraw/zinc/38/66/20/174386620.db2.gz RMYBFOWWLGHELZ-MRVPVSSYSA-N 0 0 268.700 2.730 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000043043739 174955511 /nfs/dbraw/zinc/95/55/11/174955511.db2.gz CEYCYOSJVFAGCT-AAEUAGOBSA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1c(NC(=O)NCC2CCC2)cccc1[N+](=O)[O-] ZINC000043346234 175017315 /nfs/dbraw/zinc/01/73/15/175017315.db2.gz AZKQOKHCXUIUJB-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000043348305 175018713 /nfs/dbraw/zinc/01/87/13/175018713.db2.gz SLEDSPSNXWDVBK-QMMMGPOBSA-N 0 0 251.286 2.823 20 5 CFBDRN CO[C@H](CNc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC000043510812 175060000 /nfs/dbraw/zinc/06/00/00/175060000.db2.gz MNSQRQTWGPGAPI-CYBMUJFWSA-N 0 0 273.292 2.789 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)Nc1ccccc1[N+](=O)[O-] ZINC000044421027 175173688 /nfs/dbraw/zinc/17/36/88/175173688.db2.gz SJBJNKXTWUJJFJ-LLVKDONJSA-N 0 0 266.297 2.594 20 5 CFBDRN COC[C@@H](C)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000044477203 175178663 /nfs/dbraw/zinc/17/86/63/175178663.db2.gz LLMDYBJKNQJEHJ-QMMMGPOBSA-N 0 0 258.705 2.943 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])on1 ZINC000044985308 175283870 /nfs/dbraw/zinc/28/38/70/175283870.db2.gz KBGIURODTCQOKZ-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1ccccc1CS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000045280248 175350108 /nfs/dbraw/zinc/35/01/08/175350108.db2.gz PDQWHBZSLXZPTG-UHFFFAOYSA-N 0 0 291.328 2.877 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H](C)CC)cc1[N+](=O)[O-] ZINC000048931133 175454905 /nfs/dbraw/zinc/45/49/05/175454905.db2.gz BFRSIIFVGUUJQG-SECBINFHSA-N 0 0 265.313 2.555 20 5 CFBDRN CN(CC1CCCC1)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000058224012 175612755 /nfs/dbraw/zinc/61/27/55/175612755.db2.gz LBSAHTNIHIYOHO-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN CCCCCNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000070709787 176002726 /nfs/dbraw/zinc/00/27/26/176002726.db2.gz RJUJDKVNZWZZLO-UHFFFAOYSA-N 0 0 282.365 2.993 20 5 CFBDRN CC(C)(C)OC(=O)N1CC(Nc2ccccc2[N+](=O)[O-])C1 ZINC000071335640 176127135 /nfs/dbraw/zinc/12/71/35/176127135.db2.gz BJEYUMXLJRBSEH-UHFFFAOYSA-N 0 0 293.323 2.626 20 5 CFBDRN CCc1nc(Sc2ccc(F)cc2[N+](=O)[O-])n[nH]1 ZINC000071834326 176273360 /nfs/dbraw/zinc/27/33/60/176273360.db2.gz HJLSEZAHQIHYCL-UHFFFAOYSA-N 0 0 268.273 2.566 20 5 CFBDRN COC(=O)c1ccc([C@H](C)Oc2cccnc2[N+](=O)[O-])o1 ZINC000071878032 176286636 /nfs/dbraw/zinc/28/66/36/176286636.db2.gz ZGLYVKZUCVNVCP-QMMMGPOBSA-N 0 0 292.247 2.509 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000072644794 176420011 /nfs/dbraw/zinc/42/00/11/176420011.db2.gz UQNAPLZFANAIPW-RYUDHWBXSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@@H]1CCCN1CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000072874831 176438065 /nfs/dbraw/zinc/43/80/65/176438065.db2.gz CLFXWHWTIXMQOF-SECBINFHSA-N 0 0 297.742 2.671 20 5 CFBDRN CC(C)CSCC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000073159622 176457130 /nfs/dbraw/zinc/45/71/30/176457130.db2.gz HIUILQAMDSUHQD-UHFFFAOYSA-N 0 0 282.365 2.947 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)(C)C ZINC000073217748 176462027 /nfs/dbraw/zinc/46/20/27/176462027.db2.gz RGTQKERYBPDNBI-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCCC[C@@H]1CO ZINC000077209870 177235406 /nfs/dbraw/zinc/23/54/06/177235406.db2.gz HAIQHYZOUBEAHO-GHMZBOCLSA-N 0 0 250.298 2.558 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000077320818 177252272 /nfs/dbraw/zinc/25/22/72/177252272.db2.gz CPSMEELFRGEQQH-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@H]1CC=CCC1 ZINC000077572946 177282284 /nfs/dbraw/zinc/28/22/84/177282284.db2.gz WNIGELKECBLBNI-ZDUSSCGKSA-N 0 0 289.335 2.545 20 5 CFBDRN CCCCS(=O)(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000077767100 177299651 /nfs/dbraw/zinc/29/96/51/177299651.db2.gz XBVNQQWVLOLNRX-UHFFFAOYSA-N 0 0 271.338 2.618 20 5 CFBDRN CCCCN(CC)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000078633049 177362075 /nfs/dbraw/zinc/36/20/75/177362075.db2.gz KIXWUWVQHGFEOV-UHFFFAOYSA-N 0 0 280.324 2.622 20 5 CFBDRN CCC[C@H](CCO)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000078673049 177368682 /nfs/dbraw/zinc/36/86/82/177368682.db2.gz SLDUVKOTRLRMNX-SECBINFHSA-N 0 0 287.747 2.854 20 5 CFBDRN CCSCC[C@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000078675646 177369686 /nfs/dbraw/zinc/36/96/86/177369686.db2.gz NYOHFNAVMWWWBC-VIFPVBQESA-N 0 0 255.343 2.933 20 5 CFBDRN COC(=O)C(C)(C)CCNc1ccccc1[N+](=O)[O-] ZINC000286608302 196655274 /nfs/dbraw/zinc/65/52/74/196655274.db2.gz QAYCDAMSKHPJFS-UHFFFAOYSA-N 0 0 266.297 2.596 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000274991736 287436382 /nfs/dbraw/zinc/43/63/82/287436382.db2.gz DWHRJRHBCQZXFN-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NCCCCC(C)(C)C)n1 ZINC000191601332 227060622 /nfs/dbraw/zinc/06/06/22/227060622.db2.gz LSQDLWRNJFNQSA-UHFFFAOYSA-N 0 0 296.371 2.757 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H](C)CC2)n1 ZINC000191720578 227136821 /nfs/dbraw/zinc/13/68/21/227136821.db2.gz PIUOMTSRTDYENS-WDEREUQCSA-N 0 0 294.355 2.510 20 5 CFBDRN Cc1ccc(CN(C)c2ccc3ncc([N+](=O)[O-])n3n2)cc1 ZINC000301839788 227703251 /nfs/dbraw/zinc/70/32/51/227703251.db2.gz ONIHGLJUTPUQAE-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN Cc1cnccc1CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000192588419 228153462 /nfs/dbraw/zinc/15/34/62/228153462.db2.gz ITLUXWDQUHQBAL-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@@H]1C ZINC001154800404 782025151 /nfs/dbraw/zinc/02/51/51/782025151.db2.gz AABSSRWMIOSPTL-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1c(NC(=O)NC2CCSCC2)cccc1[N+](=O)[O-] ZINC000195445536 270253239 /nfs/dbraw/zinc/25/32/39/270253239.db2.gz FJSQYTOVKJNPAN-UHFFFAOYSA-N 0 0 295.364 2.920 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000425490741 533684686 /nfs/dbraw/zinc/68/46/86/533684686.db2.gz PLUMLNGBGAIZDU-CABZTGNLSA-N 0 0 275.308 2.508 20 5 CFBDRN CCc1ccc(C(=O)NC2(CF)CCC2)cc1[N+](=O)[O-] ZINC000517744112 260014764 /nfs/dbraw/zinc/01/47/64/260014764.db2.gz LLZXSPFCXVUTAB-UHFFFAOYSA-N 0 0 280.299 2.779 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCCN1c1ccc([N+](=O)[O-])nc1 ZINC000312758900 260198015 /nfs/dbraw/zinc/19/80/15/260198015.db2.gz CFJZFROTIVRZRL-NWDGAFQWSA-N 0 0 279.340 2.510 20 5 CFBDRN COCCc1ccccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000190002731 260245578 /nfs/dbraw/zinc/24/55/78/260245578.db2.gz KGHPREUYSFLLSL-UHFFFAOYSA-N 0 0 290.275 2.629 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000313111899 260249538 /nfs/dbraw/zinc/24/95/38/260249538.db2.gz YREQTQWQJKQNIF-GXFFZTMASA-N 0 0 252.314 2.865 20 5 CFBDRN CC1(C)COC[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000313120415 260250568 /nfs/dbraw/zinc/25/05/68/260250568.db2.gz KNILXVKDGSVBKE-NSHDSACASA-N 0 0 254.261 2.571 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000313124769 260251554 /nfs/dbraw/zinc/25/15/54/260251554.db2.gz XBXROHPXMHKMOE-WCQYABFASA-N 0 0 252.314 2.865 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000313169040 260255657 /nfs/dbraw/zinc/25/56/57/260255657.db2.gz LIKRIXNADUZUBH-JOYOIKCWSA-N 0 0 256.277 2.695 20 5 CFBDRN CSCCCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000078966047 260302469 /nfs/dbraw/zinc/30/24/69/260302469.db2.gz LNJYXUDOFNNCGB-UHFFFAOYSA-N 0 0 255.295 2.539 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCC(C)(F)F ZINC000390053947 262094126 /nfs/dbraw/zinc/09/41/26/262094126.db2.gz ZCICHOZKCOFKPG-UHFFFAOYSA-N 0 0 259.256 2.567 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCCC1(F)F ZINC000390861314 262355814 /nfs/dbraw/zinc/35/58/14/262355814.db2.gz KNICSAUGUFSPEY-SECBINFHSA-N 0 0 288.298 2.967 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CCCC1(F)F ZINC000390917144 262363841 /nfs/dbraw/zinc/36/38/41/262363841.db2.gz FFXGDRKZHWCECN-QMMMGPOBSA-N 0 0 257.240 2.837 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000264332199 262887824 /nfs/dbraw/zinc/88/78/24/262887824.db2.gz XGAVZLYEGDKQOY-NWDGAFQWSA-N 0 0 291.351 2.702 20 5 CFBDRN CC(C)(C)N1CC[C@H](Nc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000520401456 265797548 /nfs/dbraw/zinc/79/75/48/265797548.db2.gz KJPJHSWYLAZFNH-LBPRGKRZSA-N 0 0 295.314 2.545 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCCCO1 ZINC000514614881 266019136 /nfs/dbraw/zinc/01/91/36/266019136.db2.gz QJWICJYTPYCPEX-SNVBAGLBSA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCOCCC(C)C ZINC000195084875 270250225 /nfs/dbraw/zinc/25/02/25/270250225.db2.gz XQILUVBIAUUIAT-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1cc(Cl)nc(NC[C@@H](O)c2ccco2)c1[N+](=O)[O-] ZINC001154868899 782040892 /nfs/dbraw/zinc/04/08/92/782040892.db2.gz GDZUZNUFFMPJRQ-MRVPVSSYSA-N 0 0 297.698 2.690 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCC(C)(C)C ZINC000193522986 270238694 /nfs/dbraw/zinc/23/86/94/270238694.db2.gz PCHMVWBHQDUQOC-UHFFFAOYSA-N 0 0 281.312 2.771 20 5 CFBDRN COCCO[C@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000193553585 270239115 /nfs/dbraw/zinc/23/91/15/270239115.db2.gz AQLDDUBGTLBPAJ-ZDUSSCGKSA-N 0 0 294.351 2.535 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000194897373 270248157 /nfs/dbraw/zinc/24/81/57/270248157.db2.gz MEGNJVNTUHHPBC-NSHDSACASA-N 0 0 280.324 2.912 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000312263871 270289092 /nfs/dbraw/zinc/28/90/92/270289092.db2.gz LJOGAQXESLGWFN-JGVFFNPUSA-N 0 0 294.336 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)C2CCC2)c2ncccc12 ZINC000315484487 270292575 /nfs/dbraw/zinc/29/25/75/270292575.db2.gz BJHZGZRTPOJNJE-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@](C)(CNc1ncc([N+](=O)[O-])cc1C)OC ZINC000322644689 270296829 /nfs/dbraw/zinc/29/68/29/270296829.db2.gz VEKXISRUENXWSO-GFCCVEGCSA-N 0 0 253.302 2.525 20 5 CFBDRN CC[C@](C)(CNc1cccc(OC)c1[N+](=O)[O-])OC ZINC000322910628 270297483 /nfs/dbraw/zinc/29/74/83/270297483.db2.gz IRDHJVFBUQHVJZ-CYBMUJFWSA-N 0 0 268.313 2.830 20 5 CFBDRN C[C@H](NC(=O)N1CCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000334307032 270300308 /nfs/dbraw/zinc/30/03/08/270300308.db2.gz HEXKOQIFRHADLG-VIFPVBQESA-N 0 0 299.277 2.706 20 5 CFBDRN CC[C@H]1[C@@H](CO)CCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000395096555 270311234 /nfs/dbraw/zinc/31/12/34/270311234.db2.gz FIUUDHZSSMTXTC-PWSUYJOCSA-N 0 0 282.315 2.640 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@]1(C)C(C)C ZINC000397271212 270316403 /nfs/dbraw/zinc/31/64/03/270316403.db2.gz JHCXIRJXCUEPDT-ZWNOBZJWSA-N 0 0 266.345 2.966 20 5 CFBDRN C[C@@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1csnn1 ZINC000398501878 270322402 /nfs/dbraw/zinc/32/24/02/270322402.db2.gz ZVLGLFBAAYVAHI-SSDOTTSWSA-N 0 0 298.755 2.951 20 5 CFBDRN CC[C@@H](O)CCCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000398509885 270322602 /nfs/dbraw/zinc/32/26/02/270322602.db2.gz MBRUKWWEAKUYSO-SECBINFHSA-N 0 0 273.720 2.606 20 5 CFBDRN COC[C@H]1CCN1c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000432167625 270333659 /nfs/dbraw/zinc/33/36/59/270333659.db2.gz WZFMYWKWLPBDCU-SECBINFHSA-N 0 0 290.241 2.839 20 5 CFBDRN COc1cc(C)nc(Oc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000433440624 270333715 /nfs/dbraw/zinc/33/37/15/270333715.db2.gz TUWJLYCSSBCYKE-UHFFFAOYSA-N 0 0 279.227 2.633 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000515041123 270361507 /nfs/dbraw/zinc/36/15/07/270361507.db2.gz MOYFPSMHZQUFJF-QMTHXVAHSA-N 0 0 291.351 2.790 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2cc([N+](=O)[O-])cn2C)[C@@H]1C ZINC000516613277 270385414 /nfs/dbraw/zinc/38/54/14/270385414.db2.gz JOYOWCZMDADDCW-UTUOFQBUSA-N 0 0 293.367 2.735 20 5 CFBDRN CCCN(c1cccc([N+](=O)[O-])c1)S(=O)(=O)CC1CC1 ZINC000516949137 270392434 /nfs/dbraw/zinc/39/24/34/270392434.db2.gz YYPTWHXTZMQYGK-UHFFFAOYSA-N 0 0 298.364 2.551 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000525971908 270478275 /nfs/dbraw/zinc/47/82/75/270478275.db2.gz MEIMVDZLTSFACE-UWVGGRQHSA-N 0 0 275.308 2.978 20 5 CFBDRN O=C(NCc1cc(F)c(Cl)c([N+](=O)[O-])c1)C1CC=CC1 ZINC000827714724 782041964 /nfs/dbraw/zinc/04/19/64/782041964.db2.gz MTNJRGHFVCFCKO-UHFFFAOYSA-N 0 0 298.701 2.970 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1CC(C)(C)C1 ZINC000530531220 270798530 /nfs/dbraw/zinc/79/85/30/270798530.db2.gz XQMABEPOOVWVGH-UHFFFAOYSA-N 0 0 266.345 2.968 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531881263 270846136 /nfs/dbraw/zinc/84/61/36/270846136.db2.gz JWAJVWCLWNILLX-CQSZACIVSA-N 0 0 297.314 2.716 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cn1)c1cccc(F)c1 ZINC000532137575 270854147 /nfs/dbraw/zinc/85/41/47/270854147.db2.gz YOSFNOWGDIHRNV-UHFFFAOYSA-N 0 0 289.266 2.796 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000532370909 270858937 /nfs/dbraw/zinc/85/89/37/270858937.db2.gz KVSTXLVVHZHTOD-UHFFFAOYSA-N 0 0 280.711 2.921 20 5 CFBDRN Cc1ccc(CC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000532801369 270868076 /nfs/dbraw/zinc/86/80/76/270868076.db2.gz LQVHZMOUEYGXHY-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000356641791 287681953 /nfs/dbraw/zinc/68/19/53/287681953.db2.gz IQEDUUITFKWKSZ-MISXGVKJSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1cccc(N2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000128044235 274794254 /nfs/dbraw/zinc/79/42/54/274794254.db2.gz UMALFZRVKUCDKI-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1cn2c(n1)CC[C@@H](Nc1cccc(C)c1[N+](=O)[O-])C2 ZINC000302666527 274823376 /nfs/dbraw/zinc/82/33/76/274823376.db2.gz MSYQINWQVDIHAP-GFCCVEGCSA-N 0 0 286.335 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN([C@@H]1C=CCCC1)CCO2 ZINC000352622310 275037742 /nfs/dbraw/zinc/03/77/42/275037742.db2.gz ZUWDZRUATUCEGC-CYBMUJFWSA-N 0 0 274.320 2.898 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)CCO1 ZINC000279321201 275838743 /nfs/dbraw/zinc/83/87/43/275838743.db2.gz CMDRYTKPVJQTIK-NSHDSACASA-N 0 0 297.330 2.567 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@H]1O ZINC000408150860 275843256 /nfs/dbraw/zinc/84/32/56/275843256.db2.gz SGMTUBPXLKUIGZ-SMDDNHRTSA-N 0 0 296.342 2.967 20 5 CFBDRN CC1(C)C[C@H]1Nc1ncc(Br)cc1[N+](=O)[O-] ZINC000045135610 275870826 /nfs/dbraw/zinc/87/08/26/275870826.db2.gz XZPRISUTFAGKJO-MRVPVSSYSA-N 0 0 286.129 2.963 20 5 CFBDRN CC(C)CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000103377513 275873826 /nfs/dbraw/zinc/87/38/26/275873826.db2.gz BBVJBROMLOYYEZ-JTQLQIEISA-N 0 0 262.309 2.919 20 5 CFBDRN CC1(CNc2ccc(Cl)cc2[N+](=O)[O-])COC1 ZINC000226485734 275897896 /nfs/dbraw/zinc/89/78/96/275897896.db2.gz PLFQIRWCTUHKSA-UHFFFAOYSA-N 0 0 256.689 2.697 20 5 CFBDRN CC(C)C[C@H]1CCCCN1c1ncc([N+](=O)[O-])cn1 ZINC000273923734 275917731 /nfs/dbraw/zinc/91/77/31/275917731.db2.gz JWGYMHMYVQZCRU-LLVKDONJSA-N 0 0 264.329 2.790 20 5 CFBDRN CC(C)[C@@H]1N(c2ncc([N+](=O)[O-])cc2F)CC1(C)C ZINC000408471224 276005338 /nfs/dbraw/zinc/00/53/38/276005338.db2.gz SGJYTIIFTAIWDY-NSHDSACASA-N 0 0 267.304 3.000 20 5 CFBDRN CCCO[C@H]1CCCN(c2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000287205742 276019363 /nfs/dbraw/zinc/01/93/63/276019363.db2.gz IEJABJRJKHDLTJ-ZDUSSCGKSA-N 0 0 293.367 2.963 20 5 CFBDRN CC(C)[C@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000447691196 276028060 /nfs/dbraw/zinc/02/80/60/276028060.db2.gz PDAOUNFRUZNPJR-JTQLQIEISA-N 0 0 274.324 2.953 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000430463434 276040469 /nfs/dbraw/zinc/04/04/69/276040469.db2.gz BCFOBBGVSURMSQ-VHSXEESVSA-N 0 0 294.355 2.702 20 5 CFBDRN CC1=C(C)CN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000408472650 276177247 /nfs/dbraw/zinc/17/72/47/276177247.db2.gz WEXVKBNMQWVFCR-UHFFFAOYSA-N 0 0 251.261 2.675 20 5 CFBDRN CCC[C@H](C)[C@@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000274019418 276284135 /nfs/dbraw/zinc/28/41/35/276284135.db2.gz VWGPKMUZKHQZDR-JQWIXIFHSA-N 0 0 264.329 2.790 20 5 CFBDRN CCC[C@H](C)[C@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000274019415 276284290 /nfs/dbraw/zinc/28/42/90/276284290.db2.gz VWGPKMUZKHQZDR-CMPLNLGQSA-N 0 0 264.329 2.790 20 5 CFBDRN CC[C@]1(C)CN(c2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000276007681 276653595 /nfs/dbraw/zinc/65/35/95/276653595.db2.gz IFNFCQJPXTVJQJ-GFCCVEGCSA-N 0 0 285.731 2.649 20 5 CFBDRN CCn1nccc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000291650200 276725786 /nfs/dbraw/zinc/72/57/86/276725786.db2.gz COFCPIHYPIRBPB-UHFFFAOYSA-N 0 0 288.351 2.836 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cn1)[C@H]1CCc2ccccc2C1 ZINC000301260233 277002993 /nfs/dbraw/zinc/00/29/93/277002993.db2.gz GOLVAQVXCFWFKR-AWEZNQCLSA-N 0 0 283.331 2.984 20 5 CFBDRN COC(=O)[C@H](C)Sc1c2ccccc2ncc1[N+](=O)[O-] ZINC000487910598 277118287 /nfs/dbraw/zinc/11/82/87/277118287.db2.gz NVLRDCCWBLSBRE-QMMMGPOBSA-N 0 0 292.316 2.797 20 5 CFBDRN COCC(C)(C)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000291829633 277196884 /nfs/dbraw/zinc/19/68/84/277196884.db2.gz LDULNBSMUQCOAG-UHFFFAOYSA-N 0 0 279.340 2.647 20 5 CFBDRN COC[C@H]1CCCN(c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000450950029 277266351 /nfs/dbraw/zinc/26/63/51/277266351.db2.gz UMPDQZMCWLBCPY-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN CO[C@@H]1CCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000365532922 277285838 /nfs/dbraw/zinc/28/58/38/277285838.db2.gz KKPSUZHFRWWLHY-LLVKDONJSA-N 0 0 291.351 2.568 20 5 CFBDRN CNCc1noc(-c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n1 ZINC000414504589 277288296 /nfs/dbraw/zinc/28/82/96/277288296.db2.gz SNZZPHLNSFZYBS-UHFFFAOYSA-N 0 0 290.323 2.662 20 5 CFBDRN CO[C@@H]1CN(c2cc(C)c([N+](=O)[O-])cc2F)CC[C@@H]1C ZINC000360055575 277300023 /nfs/dbraw/zinc/30/00/23/277300023.db2.gz VWLGGZVLBLLQDJ-LKFCYVNXSA-N 0 0 282.315 2.904 20 5 CFBDRN CNc1c(C(=O)Nc2ccc(C)cn2)cccc1[N+](=O)[O-] ZINC000161408479 277301528 /nfs/dbraw/zinc/30/15/28/277301528.db2.gz NGDMOGRCSFCYCC-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN COC(=O)N1CCC(Nc2cccc(C)c2[N+](=O)[O-])CC1 ZINC000275450472 277333871 /nfs/dbraw/zinc/33/38/71/277333871.db2.gz LJPXWZZIJWIMHZ-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])c3ncccc23)C1 ZINC000413117921 277337364 /nfs/dbraw/zinc/33/73/64/277337364.db2.gz JYMNENVOTVDYNP-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)C1(NC(=O)CCNc2ccccc2[N+](=O)[O-])CC1 ZINC000293851832 277338027 /nfs/dbraw/zinc/33/80/27/277338027.db2.gz GFEIJECIYXRGBL-UHFFFAOYSA-N 0 0 291.351 2.702 20 5 CFBDRN CO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2C)CC1(C)C ZINC000295678588 277342025 /nfs/dbraw/zinc/34/20/25/277342025.db2.gz GVVIZHLWDBNJPU-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN CC(C)CC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000040630976 277343231 /nfs/dbraw/zinc/34/32/31/277343231.db2.gz LRJYORNKSUUYLR-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN COc1cc(N2CCC=C(F)C2)c([N+](=O)[O-])cc1F ZINC000278670715 277381285 /nfs/dbraw/zinc/38/12/85/277381285.db2.gz GBBZUBRVHOPYOT-UHFFFAOYSA-N 0 0 270.235 2.806 20 5 CFBDRN COc1cc(N2C[C@H](C)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301425075 277382536 /nfs/dbraw/zinc/38/25/36/277382536.db2.gz GJXQVWRIEZVZNS-UWVGGRQHSA-N 0 0 250.298 2.696 20 5 CFBDRN COC(=O)[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C[C@@H]1C ZINC000276962543 277396998 /nfs/dbraw/zinc/39/69/98/277396998.db2.gz SLOIYEUQRBLJGM-AAEUAGOBSA-N 0 0 292.335 2.539 20 5 CFBDRN COC(=O)c1cc(N2CC[C@H](C)C2)cc(C)c1[N+](=O)[O-] ZINC000268490502 277423484 /nfs/dbraw/zinc/42/34/84/277423484.db2.gz OEBBGKYDODGDKC-VIFPVBQESA-N 0 0 278.308 2.536 20 5 CFBDRN COCCC1(C)CN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000289158451 277488508 /nfs/dbraw/zinc/48/85/08/277488508.db2.gz UFBBUHRLULXVFT-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN COCCC1(CNc2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000278406208 277489345 /nfs/dbraw/zinc/48/93/45/277489345.db2.gz NIXQXOWORZEETG-UHFFFAOYSA-N 0 0 291.351 2.791 20 5 CFBDRN CS[C@@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000103375811 277516644 /nfs/dbraw/zinc/51/66/44/277516644.db2.gz NGODNUJVBZPFKZ-IUCAKERBSA-N 0 0 280.349 2.624 20 5 CFBDRN CC(C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190371147 277661215 /nfs/dbraw/zinc/66/12/15/277661215.db2.gz OVUTXPWISALYPL-UHFFFAOYSA-N 0 0 250.298 2.856 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301873365 277687889 /nfs/dbraw/zinc/68/78/89/277687889.db2.gz DQKOHWDRQIGNRT-NXEZZACHSA-N 0 0 275.312 2.628 20 5 CFBDRN CO[C@@](C)([C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1)C1CC1 ZINC000413521476 277698955 /nfs/dbraw/zinc/69/89/55/277698955.db2.gz QVJGHAXNJTTXHK-YGRLFVJLSA-N 0 0 279.340 2.914 20 5 CFBDRN CO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H]1C ZINC000450769559 277747187 /nfs/dbraw/zinc/74/71/87/277747187.db2.gz WLFDEMSVSQTLPZ-KCJUWKMLSA-N 0 0 285.731 2.504 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)C[C@H]2C)c1 ZINC000078008550 277936712 /nfs/dbraw/zinc/93/67/12/277936712.db2.gz RNRNNDDMULLBFK-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H]3CCC[C@H]3C2)c1 ZINC000268198359 277938173 /nfs/dbraw/zinc/93/81/73/277938173.db2.gz GDILOQUBWZYISF-QWRGUYRKSA-N 0 0 262.309 2.840 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000335717662 277943246 /nfs/dbraw/zinc/94/32/46/277943246.db2.gz RMCNPWWKIWLBPP-JTQLQIEISA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@@H]1COc2ccccc2N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000297523004 277949375 /nfs/dbraw/zinc/94/93/75/277949375.db2.gz ALIPIANTJPDTJR-LLVKDONJSA-N 0 0 292.335 2.636 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC[C@H](C)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000271026709 278000679 /nfs/dbraw/zinc/00/06/79/278000679.db2.gz ZVYBYBPSVOBYQA-UWVGGRQHSA-N 0 0 294.355 2.559 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000332734749 278000771 /nfs/dbraw/zinc/00/07/71/278000771.db2.gz XITUJYHDAFGZKK-JTQLQIEISA-N 0 0 294.355 2.559 20 5 CFBDRN COc1cccc2c1CCN(c1ccc([N+](=O)[O-])c(C)n1)C2 ZINC000302213816 278030866 /nfs/dbraw/zinc/03/08/66/278030866.db2.gz ZIFACYPPARCJRO-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)c2ccc([N+](=O)[O-])s2)[C@H]1C ZINC000332655778 278089960 /nfs/dbraw/zinc/08/99/60/278089960.db2.gz DWHSSYWDWNPKJL-HLTSFMKQSA-N 0 0 268.338 2.773 20 5 CFBDRN C[C@@]1(C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)CC1(F)F ZINC000335071068 278118384 /nfs/dbraw/zinc/11/83/84/278118384.db2.gz ZZHCWMFTJIOYJB-ZDUSSCGKSA-N 0 0 296.273 2.525 20 5 CFBDRN CS[C@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000134190075 278160907 /nfs/dbraw/zinc/16/09/07/278160907.db2.gz RMFOEOPXLCGFQN-VIFPVBQESA-N 0 0 284.337 2.546 20 5 CFBDRN C[C@@H](CCc1ccccc1[N+](=O)[O-])NC(CF)CF ZINC000449379469 278236611 /nfs/dbraw/zinc/23/66/11/278236611.db2.gz IJJTTWRNFWBMDC-JTQLQIEISA-N 0 0 272.295 2.813 20 5 CFBDRN CC1(C)CCC[C@H](n2cc(Cl)cc([N+](=O)[O-])c2=O)C1=O ZINC000413001364 278243708 /nfs/dbraw/zinc/24/37/08/278243708.db2.gz PIXQHSQHEFDEFZ-VIFPVBQESA-N 0 0 298.726 2.730 20 5 CFBDRN CC1(C)CC[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000310951936 278284831 /nfs/dbraw/zinc/28/48/31/278284831.db2.gz RHGJMHKWMDDFCH-SSDOTTSWSA-N 0 0 266.326 2.905 20 5 CFBDRN C[C@H]1CCC[C@H]1Nc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000071208271 278354977 /nfs/dbraw/zinc/35/49/77/278354977.db2.gz FMPMJLSQBORIFQ-DTWKUNHWSA-N 0 0 291.307 2.526 20 5 CFBDRN CC(C)(C)NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000292012828 278365941 /nfs/dbraw/zinc/36/59/41/278365941.db2.gz YYJBQHBYAVMLQB-UHFFFAOYSA-N 0 0 279.340 2.928 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000408466426 278371101 /nfs/dbraw/zinc/37/11/01/278371101.db2.gz JBKVFEZAXVICJF-DTWKUNHWSA-N 0 0 253.277 2.611 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)N2CCc3ccc([N+](=O)[O-])cc32)C1 ZINC000331672223 278376778 /nfs/dbraw/zinc/37/67/78/278376778.db2.gz ZCZXSLNPTDUJSV-CMPLNLGQSA-N 0 0 274.320 2.920 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)c1ccon1 ZINC000349231276 278379096 /nfs/dbraw/zinc/37/90/96/278379096.db2.gz ACMNSBQPEMHADX-NXEZZACHSA-N 0 0 261.281 2.995 20 5 CFBDRN CC(C)(C)OC(=O)N1CCCN1c1ccccc1[N+](=O)[O-] ZINC000301858511 278389589 /nfs/dbraw/zinc/38/95/89/278389589.db2.gz JMZBLGJJAJXKGB-UHFFFAOYSA-N 0 0 293.323 2.957 20 5 CFBDRN CC1(C)OCC[C@@H]1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000411034620 278413611 /nfs/dbraw/zinc/41/36/11/278413611.db2.gz OWRAWJFGUNKMNL-JTQLQIEISA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@@H]1C ZINC000408406398 278440617 /nfs/dbraw/zinc/44/06/17/278440617.db2.gz QNHQYOOZGRSKBP-UWVGGRQHSA-N 0 0 261.325 2.655 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000277620544 278461188 /nfs/dbraw/zinc/46/11/88/278461188.db2.gz MIQPYOZAJPUBAA-WRWORJQWSA-N 0 0 272.251 2.710 20 5 CFBDRN CC1=CCCN(c2ncc(Br)cc2[N+](=O)[O-])C1 ZINC000230878732 278495892 /nfs/dbraw/zinc/49/58/92/278495892.db2.gz XYMZVHNIAWMWFJ-UHFFFAOYSA-N 0 0 298.140 2.909 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000336607190 278506876 /nfs/dbraw/zinc/50/68/76/278506876.db2.gz BPZCDWBIYXSILV-VIFPVBQESA-N 0 0 278.283 2.772 20 5 CFBDRN C[C@H]1OCCN(c2ccc([N+](=O)[O-])c3ncccc23)[C@@H]1C ZINC000413189824 278516302 /nfs/dbraw/zinc/51/63/02/278516302.db2.gz AOVCHJQIGVFKGY-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1CCC[C@H]1Nc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000287913608 278524495 /nfs/dbraw/zinc/52/44/95/278524495.db2.gz OOIFDWCGKQAEMG-LDYMZIIASA-N 0 0 288.307 2.844 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@@H]1Nc1ncccc1[N+](=O)[O-] ZINC000377194891 278529593 /nfs/dbraw/zinc/52/95/93/278529593.db2.gz SXCYLGFWQMMEBL-NOZJJQNGSA-N 0 0 271.276 2.924 20 5 CFBDRN C[C@H]1SCCN(c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000301262123 278532183 /nfs/dbraw/zinc/53/21/83/278532183.db2.gz DDJDAIGVLIPCJO-VHSXEESVSA-N 0 0 252.339 2.925 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H]1C ZINC000301908686 278557992 /nfs/dbraw/zinc/55/79/92/278557992.db2.gz FDEYUVBNISKTEK-NXEZZACHSA-N 0 0 279.296 2.985 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000336375527 278625271 /nfs/dbraw/zinc/62/52/71/278625271.db2.gz JEADWDCGCNGSRB-SECBINFHSA-N 0 0 272.251 2.500 20 5 CFBDRN CC(C)C1(NC(=O)NCCc2ccccc2[N+](=O)[O-])CC1 ZINC000297953132 278702876 /nfs/dbraw/zinc/70/28/76/278702876.db2.gz MPQXYUGDGVNFID-UHFFFAOYSA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1cc(N2C[C@@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000049177157 278717561 /nfs/dbraw/zinc/71/75/61/278717561.db2.gz AZIJIMBFWQSYJS-LLVKDONJSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1cc(N2C[C@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000048543813 278718634 /nfs/dbraw/zinc/71/86/34/278718634.db2.gz PNYOGSBDXJQKPO-QWRGUYRKSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@](CO)(C(C)C)C1 ZINC000377975511 278746406 /nfs/dbraw/zinc/74/64/06/278746406.db2.gz GLVJSFUFLZBYGJ-HNNXBMFYSA-N 0 0 278.352 2.748 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCN(C)c2ccccc2C1 ZINC000264469712 278747371 /nfs/dbraw/zinc/74/73/71/278747371.db2.gz NCOMIDSLVRGGEG-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H](C)C2)c1 ZINC000157235172 278863118 /nfs/dbraw/zinc/86/31/18/278863118.db2.gz FNPVLZVBMUVVDO-GXSJLCMTSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCC1=O ZINC000341914308 278879718 /nfs/dbraw/zinc/87/97/18/278879718.db2.gz IIHKVQXTVZIHJO-BDAKNGLRSA-N 0 0 294.282 2.678 20 5 CFBDRN CCC[C@@H]1CN(c2ccccc2[N+](=O)[O-])CCO1 ZINC000270204450 278891143 /nfs/dbraw/zinc/89/11/43/278891143.db2.gz CVVYQJBPFZHGNE-LLVKDONJSA-N 0 0 250.298 2.600 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Nc1ncccc1[N+](=O)[O-])CO2 ZINC000301685867 278891868 /nfs/dbraw/zinc/89/18/68/278891868.db2.gz VMAOQWCSJLIFSG-LLVKDONJSA-N 0 0 271.276 2.844 20 5 CFBDRN Cc1cccc(N[C@@H]2CCCOCC2)c1[N+](=O)[O-] ZINC000188395171 278928230 /nfs/dbraw/zinc/92/82/30/278928230.db2.gz LXCLMJLHBZOYAL-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1ccccc1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000439104204 278950777 /nfs/dbraw/zinc/95/07/77/278950777.db2.gz AFDPSMUWENCNPQ-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000408102278 278953168 /nfs/dbraw/zinc/95/31/68/278953168.db2.gz DBMHZVJINDQZEI-JGVFFNPUSA-N 0 0 278.337 2.834 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)c1cncs1 ZINC000348935798 279012973 /nfs/dbraw/zinc/01/29/73/279012973.db2.gz MLTQNLLYERENAC-MRVPVSSYSA-N 0 0 289.316 2.643 20 5 CFBDRN Cc1cnc(N[C@H]2CCOC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000268967967 279020546 /nfs/dbraw/zinc/02/05/46/279020546.db2.gz NIIBRVPKLQTAME-NSHDSACASA-N 0 0 277.324 2.812 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000486961756 279080149 /nfs/dbraw/zinc/08/01/49/279080149.db2.gz BIAAIWOISODBRU-VHSXEESVSA-N 0 0 288.307 2.880 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@@H]2c2cccnc2)ncc1[N+](=O)[O-] ZINC000295682138 279088812 /nfs/dbraw/zinc/08/88/12/279088812.db2.gz MJLSIYIAZISZNC-ZDUSSCGKSA-N 0 0 299.334 2.676 20 5 CFBDRN Cc1nc(N2CCC[C@@]3(CCCOC3)C2)ccc1[N+](=O)[O-] ZINC000408279950 279090823 /nfs/dbraw/zinc/09/08/23/279090823.db2.gz DLPFRZJBAZKYRG-OAHLLOKOSA-N 0 0 291.351 2.695 20 5 CFBDRN C[C@@H]1[C@H](C(=O)N2c3ccc([N+](=O)[O-])cc3C[C@H]2C)C1(F)F ZINC000359043747 279096417 /nfs/dbraw/zinc/09/64/17/279096417.db2.gz OIWIZLVONXJUDY-DNSOKLHBSA-N 0 0 296.273 2.774 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000155979618 279106818 /nfs/dbraw/zinc/10/68/18/279106818.db2.gz UQEZNSGBZMOCOU-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@@]1(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)C[C@H]1F ZINC000335113693 279151787 /nfs/dbraw/zinc/15/17/87/279151787.db2.gz KESAMOBKOFVYKZ-TZMCWYRMSA-N 0 0 278.283 2.622 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H]1CCc2ccccc2C1 ZINC000331953433 279158188 /nfs/dbraw/zinc/15/81/88/279158188.db2.gz IVLPCNXETJSOKY-GFCCVEGCSA-N 0 0 271.320 2.905 20 5 CFBDRN Cc1nn(C)c(N2CC[C@]3(CC[C@H](C)C3)C2)c1[N+](=O)[O-] ZINC000302073379 279199200 /nfs/dbraw/zinc/19/92/00/279199200.db2.gz ZXVBMXNRKGVLCG-HZMBPMFUSA-N 0 0 278.356 2.653 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CC[C@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000085057267 279200566 /nfs/dbraw/zinc/20/05/66/279200566.db2.gz XKSUYBMYFWLGDO-IQJOONFLSA-N 0 0 266.345 2.873 20 5 CFBDRN C[C@H](Nc1nc2ccccc2cc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000276594718 279556312 /nfs/dbraw/zinc/55/63/12/279556312.db2.gz JVRMYPWUTQCXOB-CMPLNLGQSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000331798130 279692884 /nfs/dbraw/zinc/69/28/84/279692884.db2.gz UTXNPQAEERDWTH-GXSJLCMTSA-N 0 0 287.319 2.995 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@H]1F ZINC000492939637 279719799 /nfs/dbraw/zinc/71/97/99/279719799.db2.gz DXZDZVLQCYJPAS-RXFWDACYSA-N 0 0 278.283 2.615 20 5 CFBDRN C[C@H]1CCN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000450297401 279731645 /nfs/dbraw/zinc/73/16/45/279731645.db2.gz JFNRYNITHIPJSV-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN CCOC[C@@H]1CCCN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000301303610 279735104 /nfs/dbraw/zinc/73/51/04/279735104.db2.gz SISPOTNUSOBBOM-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN CCO[C@H]1CCCN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000301331976 279902288 /nfs/dbraw/zinc/90/22/88/279902288.db2.gz DXNQAEOVNUPJNJ-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330532981 279957768 /nfs/dbraw/zinc/95/77/68/279957768.db2.gz OCJLFEVRIXWZEX-NWDGAFQWSA-N 0 0 279.340 2.868 20 5 CFBDRN C[C@H]1OCCN(c2nc3ccccc3cc2[N+](=O)[O-])[C@H]1C ZINC000276628886 280056846 /nfs/dbraw/zinc/05/68/46/280056846.db2.gz NXVVKXNLWHSUDM-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000367407592 280085955 /nfs/dbraw/zinc/08/59/55/280085955.db2.gz HVWJOIJBJNETBW-BMIGLBTASA-N 0 0 298.298 2.847 20 5 CFBDRN C[C@H]1c2ccccc2CCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000264354827 280124997 /nfs/dbraw/zinc/12/49/97/280124997.db2.gz BDBPPSRPFMFAOD-JTQLQIEISA-N 0 0 285.303 2.682 20 5 CFBDRN O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1C[C@@H]2CCC[C@H]2C1 ZINC000154792083 280164873 /nfs/dbraw/zinc/16/48/73/280164873.db2.gz UWJUWZUYLJTTJB-IUCAKERBSA-N 0 0 296.273 2.745 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCc2cc(F)ccc21 ZINC000335904708 280167966 /nfs/dbraw/zinc/16/79/66/280167966.db2.gz IDFRCPAUSNLODS-UHFFFAOYSA-N 0 0 289.266 2.655 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCS[C@@H]2CCCC[C@@H]21 ZINC000175444029 280191871 /nfs/dbraw/zinc/19/18/71/280191871.db2.gz KNEIAELVZMRILX-GXSJLCMTSA-N 0 0 296.348 2.688 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC2(CCC2)[C@H]1C1CC1 ZINC000336451287 280191971 /nfs/dbraw/zinc/19/19/71/280191971.db2.gz RUAIWYQNCLBSRR-GFCCVEGCSA-N 0 0 276.292 2.593 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302205533 280269640 /nfs/dbraw/zinc/26/96/40/280269640.db2.gz DCCJWWIUMNOOCV-ZYHUDNBSSA-N 0 0 264.329 2.790 20 5 CFBDRN Cc1c[nH]nc1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000172317823 280284154 /nfs/dbraw/zinc/28/41/54/280284154.db2.gz LTKLTKMSDCHYII-UHFFFAOYSA-N 0 0 295.686 2.924 20 5 CFBDRN CC[C@H]1CCCN(c2c(C(N)=O)cccc2[N+](=O)[O-])CC1 ZINC000420607733 288218962 /nfs/dbraw/zinc/21/89/62/288218962.db2.gz ZUKQAOFLALRBTC-NSHDSACASA-N 0 0 291.351 2.710 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNc1c([N+](=O)[O-])ncn1C ZINC000450659336 280296155 /nfs/dbraw/zinc/29/61/55/280296155.db2.gz HFZLNIBLBWIWQX-NXEZZACHSA-N 0 0 252.318 2.567 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000172481751 280351642 /nfs/dbraw/zinc/35/16/42/280351642.db2.gz AZTHYDIECFVUEY-GFCCVEGCSA-N 0 0 260.293 2.742 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1C[C@@H]2CCC[C@@H]2C1 ZINC000148320162 280353540 /nfs/dbraw/zinc/35/35/40/280353540.db2.gz DGEMTWWYABJXSH-AOOOYVTPSA-N 0 0 250.273 2.970 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000335202522 280356802 /nfs/dbraw/zinc/35/68/02/280356802.db2.gz FYDRZOLAEXVCKC-KOLCDFICSA-N 0 0 280.349 2.527 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCSC1 ZINC000227286205 280359165 /nfs/dbraw/zinc/35/91/65/280359165.db2.gz AEZMBYUPBXEYME-ZETCQYMHSA-N 0 0 259.718 2.561 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@]3(C2)CCCOC3)c(F)c1 ZINC000376486378 280359507 /nfs/dbraw/zinc/35/95/07/280359507.db2.gz HKJWYCVBXMQDPO-CQSZACIVSA-N 0 0 298.289 2.880 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@H]2[C@@H]2CCCO2)nc1 ZINC000290687082 280365579 /nfs/dbraw/zinc/36/55/79/280365579.db2.gz LZGBWCWEYNUGTC-GXTWGEPZSA-N 0 0 289.335 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2nc3c([nH]2)CCC3)cc1 ZINC000488744636 280369684 /nfs/dbraw/zinc/36/96/84/280369684.db2.gz CMMQZYPUGBZJDO-UHFFFAOYSA-N 0 0 261.306 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3C[C@@H]4CCC[C@H]4C3)nc2c1 ZINC000277739501 280370001 /nfs/dbraw/zinc/37/00/01/280370001.db2.gz IKJUYMQRTRVMRT-UWVGGRQHSA-N 0 0 272.308 2.707 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC[C@H](O)CC1 ZINC000293945409 280372315 /nfs/dbraw/zinc/37/23/15/280372315.db2.gz GFFJHENLLNKDCY-VIFPVBQESA-N 0 0 270.716 2.599 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@H](c2ncc[nH]2)C1 ZINC000287730243 280372790 /nfs/dbraw/zinc/37/27/90/280372790.db2.gz GFAIFBBOPLCGPF-JTQLQIEISA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCO[C@@H](C2CC2)C1 ZINC000160435186 280375746 /nfs/dbraw/zinc/37/57/46/280375746.db2.gz HRSZXBUYZFUIMR-SMDDNHRTSA-N 0 0 262.309 2.964 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2ccc(F)cc2)nc1 ZINC000273637870 280379198 /nfs/dbraw/zinc/37/91/98/280379198.db2.gz RNROUUJWIXJYGI-CYBMUJFWSA-N 0 0 288.282 2.865 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000368118027 280380962 /nfs/dbraw/zinc/38/09/62/280380962.db2.gz HRDIJBDDWKOEAL-GFCCVEGCSA-N 0 0 290.710 2.990 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H](F)[C@@H](F)C2)c(C(F)(F)F)c1 ZINC000413312467 280381269 /nfs/dbraw/zinc/38/12/69/280381269.db2.gz JZODOXKWHSCELC-OCAPTIKFSA-N 0 0 297.183 2.505 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCOc3ccccc32)nc1 ZINC000273685608 280381921 /nfs/dbraw/zinc/38/19/21/280381921.db2.gz ZYPRDQOXJNSJTJ-GFCCVEGCSA-N 0 0 286.291 2.711 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CC23CC3)c(Br)c1 ZINC000425273888 280382212 /nfs/dbraw/zinc/38/22/12/280382212.db2.gz PMEYJRDGCALJFN-QMMMGPOBSA-N 0 0 284.113 2.717 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccnn1C ZINC000094079299 280398282 /nfs/dbraw/zinc/39/82/82/280398282.db2.gz HBYAUFTZASDQCW-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CN(Cc1ccccc1)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000045624715 280424747 /nfs/dbraw/zinc/42/47/47/280424747.db2.gz NRXFSPZYYUVLAG-UHFFFAOYSA-N 0 0 299.330 2.936 20 5 CFBDRN CSc1ccc(CNC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000056354313 280451003 /nfs/dbraw/zinc/45/10/03/280451003.db2.gz ZJTWTMIUIDTRFN-UHFFFAOYSA-N 0 0 292.316 2.840 20 5 CFBDRN CN(Cc1ccco1)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000056459192 280451009 /nfs/dbraw/zinc/45/10/09/280451009.db2.gz UIBWIPLJNZYYQB-UHFFFAOYSA-N 0 0 289.291 2.529 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCc2ccc(F)cc21 ZINC000058742464 280457087 /nfs/dbraw/zinc/45/70/87/280457087.db2.gz DBVVVIGWNZGQAS-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN CC(C)c1cc(NC(=O)c2ccc([N+](=O)[O-])cc2F)n[nH]1 ZINC000063111691 280463363 /nfs/dbraw/zinc/46/33/63/280463363.db2.gz ARDQBLSWJMBTEB-UHFFFAOYSA-N 0 0 292.270 2.833 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)NC[C@H]1CC=CCC1 ZINC000069108918 280479259 /nfs/dbraw/zinc/47/92/59/280479259.db2.gz WTTZSVRVGBFUFR-LBPRGKRZSA-N 0 0 289.335 2.750 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])CCO1 ZINC000070352666 280484152 /nfs/dbraw/zinc/48/41/52/280484152.db2.gz KACVZDFXYCQYQV-WDEREUQCSA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1cc(N[C@@H](C)c2nccs2)ncc1[N+](=O)[O-] ZINC000073000441 280490846 /nfs/dbraw/zinc/49/08/46/280490846.db2.gz LHQGUKYAHHGQQR-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1ncccc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000073354227 280493008 /nfs/dbraw/zinc/49/30/08/280493008.db2.gz VGYHQUVHAGJOKY-RMKNXTFCSA-N 0 0 283.287 2.950 20 5 CFBDRN CCN(CC)C(=O)CCCNc1ccc([N+](=O)[O-])cc1F ZINC000076776972 280520744 /nfs/dbraw/zinc/52/07/44/280520744.db2.gz ZPQVGSKYAQAZAI-UHFFFAOYSA-N 0 0 297.330 2.794 20 5 CFBDRN O=C(COc1ccccc1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148934 280527570 /nfs/dbraw/zinc/52/75/70/280527570.db2.gz NCYSPJQEKONQNY-UHFFFAOYSA-N 0 0 298.298 2.563 20 5 CFBDRN CC(C)CC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079625139 280535500 /nfs/dbraw/zinc/53/55/00/280535500.db2.gz ZRBQRWJYCOBFMU-UHFFFAOYSA-N 0 0 264.325 2.992 20 5 CFBDRN Cc1cncc(NC(=O)c2cccc([N+](=O)[O-])c2C)c1 ZINC000079579085 280535637 /nfs/dbraw/zinc/53/56/37/280535637.db2.gz GTTGORALCRPNBB-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC[C@@H](C)COc1ccc([N+](=O)[O-])c(C(=O)OC)c1 ZINC000088500414 280560766 /nfs/dbraw/zinc/56/07/66/280560766.db2.gz LSFRXYZUCSDILO-SECBINFHSA-N 0 0 267.281 2.806 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000089852766 280565970 /nfs/dbraw/zinc/56/59/70/280565970.db2.gz YSFBBDAHDFFXDW-UHFFFAOYSA-N 0 0 289.295 2.887 20 5 CFBDRN Cc1cc(N2CC[C@H](F)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000450604322 280572913 /nfs/dbraw/zinc/57/29/13/280572913.db2.gz KMFKISXYGVSVKM-JTQLQIEISA-N 0 0 275.283 3.000 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCSCC1 ZINC000094015637 280577852 /nfs/dbraw/zinc/57/78/52/280577852.db2.gz HBHFCQSUQNAYJT-UHFFFAOYSA-N 0 0 294.376 2.709 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000121710514 280623349 /nfs/dbraw/zinc/62/33/49/280623349.db2.gz QQXJYRGTMGJTIW-LLVKDONJSA-N 0 0 279.340 2.558 20 5 CFBDRN C[C@]1(CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCCO1 ZINC000123389454 280633122 /nfs/dbraw/zinc/63/31/22/280633122.db2.gz TVLAOVLYXJIGSP-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCc1c(C)noc1C ZINC000128044224 280672467 /nfs/dbraw/zinc/67/24/67/280672467.db2.gz MQXLPAORSMLSQW-UHFFFAOYSA-N 0 0 292.291 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cncnc1C ZINC000129349679 280689216 /nfs/dbraw/zinc/68/92/16/280689216.db2.gz OMNJCUPWGKVGTE-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129779333 280695289 /nfs/dbraw/zinc/69/52/89/280695289.db2.gz UNYJAAQDKPCBNO-PSASIEDQSA-N 0 0 271.704 2.623 20 5 CFBDRN O=c1cc(CSCc2ccc([N+](=O)[O-])cc2)occ1O ZINC000131063637 280712551 /nfs/dbraw/zinc/71/25/51/280712551.db2.gz GLGJIDXYVIGHII-UHFFFAOYSA-N 0 0 293.300 2.687 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)cc2[N+](=O)[O-])CCC1(F)F ZINC000291224823 288261377 /nfs/dbraw/zinc/26/13/77/288261377.db2.gz WHASSEAIBNMZDP-MRVPVSSYSA-N 0 0 271.267 2.659 20 5 CFBDRN CCCC(C)(C)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000151180082 280801081 /nfs/dbraw/zinc/80/10/81/280801081.db2.gz LRHKQNTUUVAWJT-UHFFFAOYSA-N 0 0 282.315 2.971 20 5 CFBDRN Cc1cccnc1/C=C/C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000184124919 280825567 /nfs/dbraw/zinc/82/55/67/280825567.db2.gz WSLGOQTUDVIGQI-CMDGGOBGSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1c(C(=O)NC[C@H]2CCC(C)(C)O2)cccc1[N+](=O)[O-] ZINC000187393269 280855886 /nfs/dbraw/zinc/85/58/86/280855886.db2.gz FJLSVRPGJUGBCC-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cnccc1CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000187455375 280856870 /nfs/dbraw/zinc/85/68/70/280856870.db2.gz HNWXTMSPNDQBBZ-QPJJXVBHSA-N 0 0 297.314 2.628 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000194823524 280927627 /nfs/dbraw/zinc/92/76/27/280927627.db2.gz YIJWTDVGGXVTCA-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN CC[C@H](NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])C(C)(C)C ZINC000433755025 280928889 /nfs/dbraw/zinc/92/88/89/280928889.db2.gz BALJIOMAXAOZGB-VIFPVBQESA-N 0 0 296.371 2.996 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cn2)[C@@H]1c1ccccc1 ZINC000273607536 288279460 /nfs/dbraw/zinc/27/94/60/288279460.db2.gz KYKNLFKTPPWKTK-MFKMUULPSA-N 0 0 270.292 2.582 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc2cccnc2c(=O)[nH]1 ZINC000491612072 281015729 /nfs/dbraw/zinc/01/57/29/281015729.db2.gz GBCNFMSNWSPBBT-UHFFFAOYSA-N 0 0 282.259 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCO[C@H]1C ZINC000190265280 281018244 /nfs/dbraw/zinc/01/82/44/281018244.db2.gz WGQSKTVYRFWNIH-CMPLNLGQSA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000335714473 281040285 /nfs/dbraw/zinc/04/02/85/281040285.db2.gz RXXDMUNGNAYFPE-JTQLQIEISA-N 0 0 265.313 2.718 20 5 CFBDRN Cc1ccc2c(c1)N(c1ccc([N+](=O)[O-])cn1)CC2 ZINC000301126287 281078296 /nfs/dbraw/zinc/07/82/96/281078296.db2.gz UEOFHWGHENAJHS-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)Nc1ccncc1F ZINC000255084243 281087218 /nfs/dbraw/zinc/08/72/18/281087218.db2.gz OITVRWDNMJXOAT-PLNGDYQASA-N 0 0 287.250 2.781 20 5 CFBDRN C[C@H]1CCCN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255441173 281098750 /nfs/dbraw/zinc/09/87/50/281098750.db2.gz QZAUHTKRSWYXHG-WDDYJBPVSA-N 0 0 260.293 2.619 20 5 CFBDRN CN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@@H]1CCSC1 ZINC000255684144 281105391 /nfs/dbraw/zinc/10/53/91/281105391.db2.gz FBXXNGZSADUEFC-LLPBQKLSSA-N 0 0 292.360 2.572 20 5 CFBDRN CC[C@H](OC)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000267918165 281115308 /nfs/dbraw/zinc/11/53/08/281115308.db2.gz IHRWCQGLUWEPQP-NSHDSACASA-N 0 0 266.297 2.575 20 5 CFBDRN CCC[C@H](O)C[NH2+][C@@H](C)c1cccc([N+](=O)[O-])c1C ZINC000268925589 281117715 /nfs/dbraw/zinc/11/77/15/281117715.db2.gz XUIJLHRWWNLPSS-RYUDHWBXSA-N 0 0 266.341 2.715 20 5 CFBDRN Cc1cccc(N[C@H](C)CCCCO)c1[N+](=O)[O-] ZINC000296230508 281142784 /nfs/dbraw/zinc/14/27/84/281142784.db2.gz HLQBTFDDNYMLSX-LLVKDONJSA-N 0 0 252.314 2.866 20 5 CFBDRN CC[C@H]1CN(c2cccc(OC)c2[N+](=O)[O-])CCCO1 ZINC000281726954 288301103 /nfs/dbraw/zinc/30/11/03/288301103.db2.gz CLSPLXAEPBZQME-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@@H](NC(=O)C1CCC(=O)CC1)c1ccccc1[N+](=O)[O-] ZINC000342058604 281168491 /nfs/dbraw/zinc/16/84/91/281168491.db2.gz HKEXFZLHRRQYSC-SNVBAGLBSA-N 0 0 290.319 2.531 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000346229181 281172952 /nfs/dbraw/zinc/17/29/52/281172952.db2.gz ZZTXUGXQZYSQIG-GFCCVEGCSA-N 0 0 280.299 2.581 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000347212204 281173851 /nfs/dbraw/zinc/17/38/51/281173851.db2.gz ZWFDXVGBWUCAMX-VXGBXAGGSA-N 0 0 291.351 2.830 20 5 CFBDRN Cc1cccc(NCCNc2ccc([N+](=O)[O-])cc2F)n1 ZINC000357887628 281181212 /nfs/dbraw/zinc/18/12/12/281181212.db2.gz JOARURPTKNETEM-UHFFFAOYSA-N 0 0 290.298 2.961 20 5 CFBDRN Cc1cc(C)c(CNCc2ccccc2[N+](=O)[O-])cn1 ZINC000396967865 281199035 /nfs/dbraw/zinc/19/90/35/281199035.db2.gz CZSHJJDJONDVPG-UHFFFAOYSA-N 0 0 271.320 2.896 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])nc1)CC(F)(F)F ZINC000397029732 281200602 /nfs/dbraw/zinc/20/06/02/281200602.db2.gz XBNSAHYNHWAMES-ZETCQYMHSA-N 0 0 263.219 2.990 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000398093691 281203341 /nfs/dbraw/zinc/20/33/41/281203341.db2.gz ZCLUPXXPXBIPNK-PSASIEDQSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cc(NC[C@H]2CC[C@@H](C)O2)c(F)cc1[N+](=O)[O-] ZINC000397946825 281203365 /nfs/dbraw/zinc/20/33/65/281203365.db2.gz MWKWJBNFTJZERW-RKDXNWHRSA-N 0 0 284.287 2.722 20 5 CFBDRN COc1cc(NC[C@@H]2CC[C@@H](C)O2)c(F)cc1[N+](=O)[O-] ZINC000397946821 281203559 /nfs/dbraw/zinc/20/35/59/281203559.db2.gz MWKWJBNFTJZERW-BDAKNGLRSA-N 0 0 284.287 2.722 20 5 CFBDRN COc1cc(N[C@@H](C)c2csnn2)ccc1[N+](=O)[O-] ZINC000398231016 281205772 /nfs/dbraw/zinc/20/57/72/281205772.db2.gz INYMSOLSBNSPGW-ZETCQYMHSA-N 0 0 280.309 2.628 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000299315743 281216113 /nfs/dbraw/zinc/21/61/13/281216113.db2.gz WSHMCUVFGZMSHO-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN COc1ccc(NC(=O)c2cc(C)sn2)c([N+](=O)[O-])c1 ZINC000435668610 281235843 /nfs/dbraw/zinc/23/58/43/281235843.db2.gz ATLAFKKGKBDODC-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CC[C@H]1C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000420643492 281239179 /nfs/dbraw/zinc/23/91/79/281239179.db2.gz UMMJSDAILPTVNN-MNOVXSKESA-N 0 0 291.351 2.932 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2CCO[C@@H](C)C2)n1 ZINC000447300767 281240495 /nfs/dbraw/zinc/24/04/95/281240495.db2.gz FGCRTTNNTHMQMC-NWDGAFQWSA-N 0 0 280.324 2.801 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2C[C@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000458111756 281254089 /nfs/dbraw/zinc/25/40/89/281254089.db2.gz GRBSMFKUZDGDLB-SWLSCSKDSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2C[C@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000458111754 281254095 /nfs/dbraw/zinc/25/40/95/281254095.db2.gz GRBSMFKUZDGDLB-IUODEOHRSA-N 0 0 292.335 2.768 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1c1ncc([N+](=O)[O-])cc1F ZINC000413241053 281267877 /nfs/dbraw/zinc/26/78/77/281267877.db2.gz XQFZEGYDDCZVKX-KWQFWETISA-N 0 0 253.277 2.754 20 5 CFBDRN COC1(C)CN(C/C(C)=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000459580089 281269542 /nfs/dbraw/zinc/26/95/42/281269542.db2.gz JRNKRNMGRVORSA-GHXNOFRVSA-N 0 0 276.336 2.719 20 5 CFBDRN CC[C@H]1[C@H](C)CC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330448863 281274620 /nfs/dbraw/zinc/27/46/20/281274620.db2.gz HHICJFKGFDRJTH-MFKMUULPSA-N 0 0 264.325 2.921 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(CC2CC2)C(C)C)c1 ZINC000516321090 281303101 /nfs/dbraw/zinc/30/31/01/281303101.db2.gz MRTSFIXRXVXOJK-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@]1(C)CCCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000181137366 281311303 /nfs/dbraw/zinc/31/13/03/281311303.db2.gz AKHVJFAEDHKQMV-OAHLLOKOSA-N 0 0 291.351 2.829 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000525360264 281316302 /nfs/dbraw/zinc/31/63/02/281316302.db2.gz FAIWVQQIPJWUNK-GHMZBOCLSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000527199477 281325912 /nfs/dbraw/zinc/32/59/12/281325912.db2.gz XNRKWNGDOUQEGP-MNOVXSKESA-N 0 0 277.324 2.688 20 5 CFBDRN CC[C@H]1COCCN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352359664 288326548 /nfs/dbraw/zinc/32/65/48/288326548.db2.gz JETZRFDYCICFHS-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])cn2)CCCC1 ZINC000532398116 281453397 /nfs/dbraw/zinc/45/33/97/281453397.db2.gz PVPGCTYKTLMJKZ-UHFFFAOYSA-N 0 0 277.324 2.690 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)c2nnc(C)o2)c([N+](=O)[O-])c1 ZINC000539805127 281575275 /nfs/dbraw/zinc/57/52/75/281575275.db2.gz XNTCKUNLQFFQAB-ZETCQYMHSA-N 0 0 290.279 2.662 20 5 CFBDRN COc1ccc(NC(=O)NC(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000541104439 281598867 /nfs/dbraw/zinc/59/88/67/281598867.db2.gz NTLWTDDWHAILTA-UHFFFAOYSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1ccc(NC(=O)CSC(C)C)cc1[N+](=O)[O-] ZINC000542000276 281617909 /nfs/dbraw/zinc/61/79/09/281617909.db2.gz LMNRQZSIALBYPT-UHFFFAOYSA-N 0 0 284.337 2.684 20 5 CFBDRN COc1ccc(NC(=O)N2C[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000543891969 281658580 /nfs/dbraw/zinc/65/85/80/281658580.db2.gz MAAXMZQOUIQWFO-VHSXEESVSA-N 0 0 293.323 2.866 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CC[C@@H](C)C1 ZINC000133252598 281669387 /nfs/dbraw/zinc/66/93/87/281669387.db2.gz STVGHTBRQKICRB-PSASIEDQSA-N 0 0 252.318 2.720 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCC1CCOCC1 ZINC000544845230 281674839 /nfs/dbraw/zinc/67/48/39/281674839.db2.gz XJPXLIRFUIKATA-UHFFFAOYSA-N 0 0 255.245 2.539 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(CN(C)c2ccccc2[N+](=O)[O-])n1 ZINC000545061876 281678312 /nfs/dbraw/zinc/67/83/12/281678312.db2.gz BBNAHMQTUVTKHF-NXEZZACHSA-N 0 0 288.307 2.738 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C)no1 ZINC000545251489 281683710 /nfs/dbraw/zinc/68/37/10/281683710.db2.gz OGDWDAXINTZBBN-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H](C)n2cccn2)n1 ZINC000545692703 281691974 /nfs/dbraw/zinc/69/19/74/281691974.db2.gz AWJRXVZPHLJLDW-JTQLQIEISA-N 0 0 299.290 2.759 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000547181267 281728066 /nfs/dbraw/zinc/72/80/66/281728066.db2.gz OFXIMTJUEWLEIH-GZMMTYOYSA-N 0 0 275.264 2.680 20 5 CFBDRN Cc1ccncc1N(C)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000547337043 281734000 /nfs/dbraw/zinc/73/40/00/281734000.db2.gz JOFNOZCRBAMMCF-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]2CCO)n1 ZINC000548293072 281776416 /nfs/dbraw/zinc/77/64/16/281776416.db2.gz SJXNXZVHGICZMA-VXGBXAGGSA-N 0 0 279.340 2.570 20 5 CFBDRN CCC[C@@H](NC(=O)c1c[nH]nc1[N+](=O)[O-])c1ccccc1 ZINC000548711917 281797726 /nfs/dbraw/zinc/79/77/26/281797726.db2.gz UCIFRAJHYPZZDM-GFCCVEGCSA-N 0 0 288.307 2.589 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1C2CCC1CC2 ZINC000548752133 281798728 /nfs/dbraw/zinc/79/87/28/281798728.db2.gz KBSSSIXTJKDRSE-UHFFFAOYSA-N 0 0 290.319 2.761 20 5 CFBDRN Cc1cc(C(=O)Nc2cnn(C(C)C)c2)cc([N+](=O)[O-])c1 ZINC000550446242 281826517 /nfs/dbraw/zinc/82/65/17/281826517.db2.gz XYFMBGGOINRECE-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CCCCCOC1CN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000555170866 281909915 /nfs/dbraw/zinc/90/99/15/281909915.db2.gz ZYEZLJGECFNQSJ-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1[C@@H](C)C[C@@H]1C ZINC000555682904 281919642 /nfs/dbraw/zinc/91/96/42/281919642.db2.gz GLDHKUZZFBKBSE-UWVGGRQHSA-N 0 0 250.298 2.586 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CCC4(CCO4)CC3)ccnc21 ZINC000555749775 281920905 /nfs/dbraw/zinc/92/09/05/281920905.db2.gz PRNAGOTVISNVFK-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN C[C@@H]1C[C@H]1Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000558520456 281984745 /nfs/dbraw/zinc/98/47/45/281984745.db2.gz UAOWAIQTRCAWBE-RQJHMYQMSA-N 0 0 265.294 2.905 20 5 CFBDRN CCc1ccc(C(=O)N2Cc3cccnc3C2)cc1[N+](=O)[O-] ZINC000559255855 282003890 /nfs/dbraw/zinc/00/38/90/282003890.db2.gz OYKSRPOWPFKMDB-UHFFFAOYSA-N 0 0 297.314 2.708 20 5 CFBDRN CCN(CC(F)F)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000559390259 282006247 /nfs/dbraw/zinc/00/62/47/282006247.db2.gz HFFQCUYTXLDTSP-SECBINFHSA-N 0 0 286.278 2.812 20 5 CFBDRN CCOc1cc(N[C@H]2C[C@@H]2OCC)ccc1[N+](=O)[O-] ZINC000559815625 282010249 /nfs/dbraw/zinc/01/02/49/282010249.db2.gz CTIJGBKNGVRHKP-JQWIXIFHSA-N 0 0 266.297 2.583 20 5 CFBDRN O=C(NCCC1(F)CCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000561514821 282056201 /nfs/dbraw/zinc/05/62/01/282056201.db2.gz QOMYUURBCXZYBJ-UHFFFAOYSA-N 0 0 295.314 2.676 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2ccccn2)cn1 ZINC000561804169 282061630 /nfs/dbraw/zinc/06/16/30/282061630.db2.gz HNVVYEOBJRUTAB-CYBMUJFWSA-N 0 0 270.292 2.726 20 5 CFBDRN C[C@@H]1C[C@@H]1Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000562104461 282066588 /nfs/dbraw/zinc/06/65/88/282066588.db2.gz VLUGOXYDFYSIMD-PSASIEDQSA-N 0 0 259.265 2.843 20 5 CFBDRN C[C@H](C(=O)N1C[C@H](C)[C@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000357546788 289318327 /nfs/dbraw/zinc/31/83/27/289318327.db2.gz PXUOQBJXAHJTHN-LPEHRKFASA-N 0 0 280.299 2.704 20 5 CFBDRN CN(Cc1ccco1)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000023685764 289821911 /nfs/dbraw/zinc/82/19/11/289821911.db2.gz SFODKOHMQLDJAH-BQYQJAHWSA-N 0 0 286.287 2.860 20 5 CFBDRN Cc1ccccc1CNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000043479392 289823412 /nfs/dbraw/zinc/82/34/12/289823412.db2.gz XXJFNHYRYVHMPN-UHFFFAOYSA-N 0 0 299.330 2.903 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1C[C@H]2CCC[C@@H]2C1 ZINC000152505871 289848820 /nfs/dbraw/zinc/84/88/20/289848820.db2.gz QGCFNXAKNNZEDX-NXEZZACHSA-N 0 0 278.283 2.606 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H](O)Cc1ccccc1 ZINC000154010870 289882548 /nfs/dbraw/zinc/88/25/48/289882548.db2.gz CRRZGVDGQHKLSD-OAHLLOKOSA-N 0 0 287.315 2.886 20 5 CFBDRN CC[C@H](C)OCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000155534852 289919925 /nfs/dbraw/zinc/91/99/25/289919925.db2.gz VZBOIBFUJPCYLY-MNOVXSKESA-N 0 0 292.335 2.688 20 5 CFBDRN CCc1[nH]nc(NC(=O)c2cccc([N+](=O)[O-])c2C)c1C ZINC000155758153 289925059 /nfs/dbraw/zinc/92/50/59/289925059.db2.gz RQUATBVTTGSSKG-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000157466141 289956322 /nfs/dbraw/zinc/95/63/22/289956322.db2.gz FSSJJQVQSPQFBH-NSHDSACASA-N 0 0 260.293 2.742 20 5 CFBDRN CN(C(=O)CC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000158099949 289969695 /nfs/dbraw/zinc/96/96/95/289969695.db2.gz CANAEGDUFACSSH-CYBMUJFWSA-N 0 0 278.308 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C=CCCC2)c1 ZINC000158392806 289974863 /nfs/dbraw/zinc/97/48/63/289974863.db2.gz ZDVWJLQRGNNFGR-NSHDSACASA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000158441760 289975838 /nfs/dbraw/zinc/97/58/38/289975838.db2.gz IBLKSRJMULOWLD-VIFPVBQESA-N 0 0 266.322 2.803 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])C12CCC2 ZINC000308354328 290012399 /nfs/dbraw/zinc/01/23/99/290012399.db2.gz XTHCTWRCGLXYTQ-OLZOCXBDSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCC2(C)C)c1 ZINC000538427092 290036811 /nfs/dbraw/zinc/03/68/11/290036811.db2.gz XVPTXMNLXQALBT-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN Cc1c(CC(=O)NC(C)(C)C(C)C)cccc1[N+](=O)[O-] ZINC000562494286 290048051 /nfs/dbraw/zinc/04/80/51/290048051.db2.gz NOZGGSBXDSAPIC-UHFFFAOYSA-N 0 0 278.352 2.997 20 5 CFBDRN CN1CCN(c2cc(N)ccc2[N+](=O)[O-])Cc2ccccc21 ZINC000367165061 290057531 /nfs/dbraw/zinc/05/75/31/290057531.db2.gz LOIHMKVEXGYCPA-UHFFFAOYSA-N 0 0 298.346 2.633 20 5 CFBDRN COC(C)(C)CN(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000563845727 290178313 /nfs/dbraw/zinc/17/83/13/290178313.db2.gz FSNAELDJPOISKK-UHFFFAOYSA-N 0 0 270.304 2.904 20 5 CFBDRN Cc1nnc([C@H](C)Nc2c(F)cccc2[N+](=O)[O-])o1 ZINC000563868541 290180222 /nfs/dbraw/zinc/18/02/22/290180222.db2.gz RNTRBSVMOOOGBP-LURJTMIESA-N 0 0 266.232 2.598 20 5 CFBDRN COC(C)(C)CCn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000564454231 290210185 /nfs/dbraw/zinc/21/01/85/290210185.db2.gz UNAKBBORPLQDIS-UHFFFAOYSA-N 0 0 290.319 2.725 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCC1(C)CCCCC1 ZINC000564510340 290212216 /nfs/dbraw/zinc/21/22/16/290212216.db2.gz LWULFOOZHDGENM-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCOC[C@H]1C1CCC1 ZINC000564565967 290215521 /nfs/dbraw/zinc/21/55/21/290215521.db2.gz STLJJWVOPCXPNW-LBPRGKRZSA-N 0 0 297.742 2.649 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H]3OCC[C@@H]3C2)c1 ZINC000564903351 290247321 /nfs/dbraw/zinc/24/73/21/290247321.db2.gz OAVBCCIFFMKIEP-RISCZKNCSA-N 0 0 262.309 2.518 20 5 CFBDRN CC(C)C[N@H+](CCC(=O)[O-])Cc1cccc([N+](=O)[O-])c1 ZINC000565103464 290268137 /nfs/dbraw/zinc/26/81/37/290268137.db2.gz HAHWKOZWKCYWKW-UHFFFAOYSA-N 0 0 280.324 2.528 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1(CO)CCCC1 ZINC000565230492 290286043 /nfs/dbraw/zinc/28/60/43/290286043.db2.gz ZGISSGDCBAGZDL-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nccc3c2cccc3[N+](=O)[O-])CO1 ZINC000565418677 290300481 /nfs/dbraw/zinc/30/04/81/290300481.db2.gz CBNXVIZNMKNRBI-NXEZZACHSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](OC(C)C)C1 ZINC000565659510 290315981 /nfs/dbraw/zinc/31/59/81/290315981.db2.gz KPSQXDZZZIEDBN-CYBMUJFWSA-N 0 0 292.335 2.543 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H](OC)C1(C)C ZINC000565666282 290317126 /nfs/dbraw/zinc/31/71/26/290317126.db2.gz CDDODRNPUFKJCM-NEPJUHHUSA-N 0 0 280.324 2.829 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC(C)(C)CCCO ZINC000567062224 290435954 /nfs/dbraw/zinc/43/59/54/290435954.db2.gz WQXLTOBJPJVELO-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN C[C@@H](C(=O)NCc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000567104324 290438930 /nfs/dbraw/zinc/43/89/30/290438930.db2.gz SQJIBZKPZCKJKF-SNVBAGLBSA-N 0 0 274.276 2.608 20 5 CFBDRN CC(C)[C@@H](CO)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000567746924 290490621 /nfs/dbraw/zinc/49/06/21/290490621.db2.gz NYHORZGWCQVJMI-GFCCVEGCSA-N 0 0 293.367 2.545 20 5 CFBDRN COCC1(CC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000567920780 290505705 /nfs/dbraw/zinc/50/57/05/290505705.db2.gz YWYLZRWWRWXSKG-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN CCC[C@@H](CC)S(=O)(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000568832685 290572129 /nfs/dbraw/zinc/57/21/29/290572129.db2.gz GRQYIAFMUFYDEG-GFCCVEGCSA-N 0 0 286.353 2.915 20 5 CFBDRN CO[C@H](Cn1nc(C)c2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000569212596 290591377 /nfs/dbraw/zinc/59/13/77/290591377.db2.gz VDXZXTMTGVHIQB-CQSZACIVSA-N 0 0 275.308 2.678 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])[C@H](C)[C@@H](C)O1 ZINC000446061114 297029258 /nfs/dbraw/zinc/02/92/58/297029258.db2.gz KNNSEKBKBVQKKV-GMTAPVOTSA-N 0 0 282.315 2.732 20 5 CFBDRN C[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])[C@H](C)[C@@H](C)O1 ZINC000408445755 297078750 /nfs/dbraw/zinc/07/87/50/297078750.db2.gz ZOESHDDLIWDOJN-OPRDCNLKSA-N 0 0 268.288 2.736 20 5 CFBDRN COc1c(C(=O)N(C(C)C)C(C)C)cccc1[N+](=O)[O-] ZINC000361676462 294884248 /nfs/dbraw/zinc/88/42/48/294884248.db2.gz YOTSVISMVZWZKC-UHFFFAOYSA-N 0 0 280.324 2.862 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@H](OC)C2)c([N+](=O)[O-])cc1F ZINC000275816081 294995072 /nfs/dbraw/zinc/99/50/72/294995072.db2.gz FDDAREJPPNRXFC-YMTOWFKASA-N 0 0 298.314 2.604 20 5 CFBDRN NC(=O)c1cccc(N[C@@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000431484650 295188878 /nfs/dbraw/zinc/18/88/78/295188878.db2.gz FHPUPILVVMGSSA-SRHKJQAYSA-N 0 0 289.335 2.684 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)c1 ZINC000416069817 295253622 /nfs/dbraw/zinc/25/36/22/295253622.db2.gz KLEWJHJJABITFY-DCAQKATOSA-N 0 0 292.335 2.720 20 5 CFBDRN COc1cccc(C(=O)N2CC(C)(C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000412767704 295283414 /nfs/dbraw/zinc/28/34/14/295283414.db2.gz PCOQMUASRLYYOK-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cccc(N2C[C@@H](C3CC3)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000280469580 295306536 /nfs/dbraw/zinc/30/65/36/295306536.db2.gz MVUDJJWWZCZYKM-HZMBPMFUSA-N 0 0 292.335 2.607 20 5 CFBDRN Nc1ccc(N2CCCC3(CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000287519167 295353066 /nfs/dbraw/zinc/35/30/66/295353066.db2.gz ZUDQMPUEHSAHAG-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN Nc1ccc(N2CCC[C@@H](OCC3CC3)C2)c([N+](=O)[O-])c1 ZINC000376431339 295353487 /nfs/dbraw/zinc/35/34/87/295353487.db2.gz ZVTKBHVDKHJIRA-CYBMUJFWSA-N 0 0 291.351 2.572 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000490668421 295395613 /nfs/dbraw/zinc/39/56/13/295395613.db2.gz VNYHKGPRFDZOFK-BJMVGYQFSA-N 0 0 272.304 2.761 20 5 CFBDRN C[C@@H]1C[C@@H](c2cccnc2)N(c2ccncc2[N+](=O)[O-])C1 ZINC000361045977 297297265 /nfs/dbraw/zinc/29/72/65/297297265.db2.gz NDLHMVRNRLDIIE-RISCZKNCSA-N 0 0 284.319 2.972 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@H]2CCC[C@@H]21 ZINC000174337080 304568665 /nfs/dbraw/zinc/56/86/65/304568665.db2.gz HXZKTUCJYLMYMG-YPMHNXCESA-N 0 0 274.320 3.000 20 5 CFBDRN C[C@H]1CCOCCN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000365069388 300008328 /nfs/dbraw/zinc/00/83/28/300008328.db2.gz HROPKOAEUICPLW-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000287856434 300295114 /nfs/dbraw/zinc/29/51/14/300295114.db2.gz SHWNGOTWFIAHIG-TVQRCGJNSA-N 0 0 280.299 2.738 20 5 CFBDRN C[C@H]1CSCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000277509488 300386325 /nfs/dbraw/zinc/38/63/25/300386325.db2.gz WGYZFLRGBHRCLC-SNVBAGLBSA-N 0 0 252.339 2.784 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@@H](C)O[C@@H](C3CC3)C2)n1 ZINC000359726811 301205320 /nfs/dbraw/zinc/20/53/20/301205320.db2.gz XVXNYCBVIPLBIX-DGCLKSJQSA-N 0 0 291.351 2.610 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@H](C)O[C@@H](C)[C@H]2C)n1 ZINC000408444131 301205879 /nfs/dbraw/zinc/20/58/79/301205879.db2.gz REORSCYOMWSUFX-TUAOUCFPSA-N 0 0 279.340 2.609 20 5 CFBDRN Cc1cc(C)c2c(c1)CN(c1c([N+](=O)[O-])ncn1C)CC2 ZINC000362687478 301208053 /nfs/dbraw/zinc/20/80/53/301208053.db2.gz BJFCFHNCZRABJQ-UHFFFAOYSA-N 0 0 286.335 2.508 20 5 CFBDRN Cc1cc(N2CCOC[C@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000290876307 301340052 /nfs/dbraw/zinc/34/00/52/301340052.db2.gz ADCDGZSDGDZGPF-AWEZNQCLSA-N 0 0 280.299 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2(C)C)c1 ZINC000187287418 301848230 /nfs/dbraw/zinc/84/82/30/301848230.db2.gz YKNKPRAUTIIOND-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2[C@H](C)CC[C@H]2C)c1 ZINC000335331390 301850050 /nfs/dbraw/zinc/85/00/50/301850050.db2.gz BZPSEQZLHDXYCV-GHMZBOCLSA-N 0 0 262.309 2.916 20 5 CFBDRN Cc1cnc(N2CCO[C@H](C(C)(C)C)C2)c([N+](=O)[O-])c1 ZINC000279485650 302351730 /nfs/dbraw/zinc/35/17/30/302351730.db2.gz OIPFCBLVBVJISS-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1cnc(NC(=O)c2c(C)cccc2[N+](=O)[O-])s1 ZINC000185858327 302362578 /nfs/dbraw/zinc/36/25/78/302362578.db2.gz IXIYPHCKANWIEB-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@H]2OCCC[C@H]2C1 ZINC000301698266 304797734 /nfs/dbraw/zinc/79/77/34/304797734.db2.gz CDIJNNPOTTUAJQ-GWCFXTLKSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@H]3CCC[C@H]32)cc1 ZINC000369695637 304803079 /nfs/dbraw/zinc/80/30/79/304803079.db2.gz IHVCEDXITLKNCA-KGLIPLIRSA-N 0 0 262.309 2.743 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC[C@@H](C2CCC2)C1 ZINC000291630866 304807907 /nfs/dbraw/zinc/80/79/07/304807907.db2.gz BLWMOLSQVKGRGA-ZDUSSCGKSA-N 0 0 276.336 2.848 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC[C@@H]1c1ccco1 ZINC000364326418 304807948 /nfs/dbraw/zinc/80/79/48/304807948.db2.gz PBNWUXSJJSDPBS-CYBMUJFWSA-N 0 0 274.276 2.766 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCS[C@@H]3CCCC[C@@H]32)c(F)c1 ZINC000413190124 304812216 /nfs/dbraw/zinc/81/22/16/304812216.db2.gz WYQUSHQXHIKYJM-NWDGAFQWSA-N 0 0 297.355 2.993 20 5 CFBDRN CC(C)CCCNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000159563428 322324701 /nfs/dbraw/zinc/32/47/01/322324701.db2.gz QXQRJKUZSWOJCP-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN CCCN(CCC)C(=O)CSc1ccc([N+](=O)[O-])cn1 ZINC000159659050 322326131 /nfs/dbraw/zinc/32/61/31/322326131.db2.gz HIQBFYFKZMJXLP-UHFFFAOYSA-N 0 0 297.380 2.731 20 5 CFBDRN O=C1CCC[C@H]1CCSc1ccc([N+](=O)[O-])cn1 ZINC000160096864 322332572 /nfs/dbraw/zinc/33/25/72/322332572.db2.gz GOXHEFLGTFEXJW-VIFPVBQESA-N 0 0 266.322 2.841 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000160264059 322335774 /nfs/dbraw/zinc/33/57/74/322335774.db2.gz XOZNJSLYQNUHAA-WFASDCNBSA-N 0 0 274.320 2.920 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000160263816 322335819 /nfs/dbraw/zinc/33/58/19/322335819.db2.gz XOZNJSLYQNUHAA-DOMZBBRYSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1ccoc1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161180250 322348547 /nfs/dbraw/zinc/34/85/47/322348547.db2.gz SPCSXLIJDPBQKL-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN O=C(CC1CCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161284351 322349808 /nfs/dbraw/zinc/34/98/08/322349808.db2.gz XCSIJKNYBKZWBL-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN O=C([C@H]1C[C@@H]1C1CC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161391806 322350744 /nfs/dbraw/zinc/35/07/44/322350744.db2.gz CYTGNDLSASNBNF-KGLIPLIRSA-N 0 0 286.331 2.526 20 5 CFBDRN O=C(c1ccccc1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161438880 322351888 /nfs/dbraw/zinc/35/18/88/322351888.db2.gz UFETXYRICMWEMU-UHFFFAOYSA-N 0 0 282.299 2.793 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1c(F)cccc1F ZINC000161631105 322354948 /nfs/dbraw/zinc/35/49/48/322354948.db2.gz ULPBVGLZAWHLPX-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000161691804 322355730 /nfs/dbraw/zinc/35/57/30/322355730.db2.gz SXQUGUPNSVUXOH-QWRGUYRKSA-N 0 0 262.309 2.537 20 5 CFBDRN O=C([C@@H]1C[C@H]1C1CC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161984206 322359461 /nfs/dbraw/zinc/35/94/61/322359461.db2.gz SVXMGWNBKOOPPO-QWHCGFSZSA-N 0 0 286.331 2.920 20 5 CFBDRN CN(C(=O)/C=C/c1cccc([N+](=O)[O-])c1)[C@H]1CCSC1 ZINC000162791590 322369322 /nfs/dbraw/zinc/36/93/22/322369322.db2.gz RUMRKKCBWMCJDS-GFUIURDCSA-N 0 0 292.360 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ncnc3ncccc32)cc1 ZINC000163360239 322375243 /nfs/dbraw/zinc/37/52/43/322375243.db2.gz CKOLQVANBPBONX-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cncc(F)c1 ZINC000164110548 322380343 /nfs/dbraw/zinc/38/03/43/322380343.db2.gz DVPPCUAVAWVOAE-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=C(/C=C/c1cccc(O)c1)NCc1ccccc1[N+](=O)[O-] ZINC000164256044 322381732 /nfs/dbraw/zinc/38/17/32/322381732.db2.gz DRRWDRBLPHWYGS-CMDGGOBGSA-N 0 0 298.298 2.630 20 5 CFBDRN Cc1cc2c([nH]c(-c3ccc([N+](=O)[O-])s3)nc2=O)s1 ZINC000165074223 322384324 /nfs/dbraw/zinc/38/43/24/322384324.db2.gz ZRERRENCNVBAET-UHFFFAOYSA-N 0 0 293.329 2.930 20 5 CFBDRN Cc1ncccc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000170988165 322397965 /nfs/dbraw/zinc/39/79/65/322397965.db2.gz SWRJQSBRQJHZHC-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1cnc(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)s1 ZINC000172710430 322428882 /nfs/dbraw/zinc/42/88/82/322428882.db2.gz DVBWIOQTYCITFF-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCc1ncc(CNc2c(OC)cccc2[N+](=O)[O-])o1 ZINC000569355578 322467503 /nfs/dbraw/zinc/46/75/03/322467503.db2.gz RCWNDKNXCNSPKV-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000569431247 322471780 /nfs/dbraw/zinc/47/17/80/322471780.db2.gz ITYXMDCDBCNEQM-MWLCHTKSSA-N 0 0 256.689 2.838 20 5 CFBDRN Cc1nsc(C)c1Cn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000570269885 322523373 /nfs/dbraw/zinc/52/33/73/322523373.db2.gz KSRLTEAWWOXPPZ-UHFFFAOYSA-N 0 0 299.739 2.532 20 5 CFBDRN Cc1cccc(N2CCO[C@@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000571221444 322575895 /nfs/dbraw/zinc/57/58/95/322575895.db2.gz MLKQGBOHLYEHKD-CQSZACIVSA-N 0 0 276.336 2.909 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000573149510 322646030 /nfs/dbraw/zinc/64/60/30/322646030.db2.gz POWKNODAXPGGGU-LLVKDONJSA-N 0 0 287.319 2.685 20 5 CFBDRN Cc1nc(N2CC[C@H](C)C(F)(F)C2)ccc1[N+](=O)[O-] ZINC000573421821 322655341 /nfs/dbraw/zinc/65/53/41/322655341.db2.gz ANVALSFIJVFQJX-QMMMGPOBSA-N 0 0 271.267 2.780 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]1C1CCC1 ZINC000574075615 322679907 /nfs/dbraw/zinc/67/99/07/322679907.db2.gz XQOJYAPJIQQUAT-UONOGXRCSA-N 0 0 289.335 2.583 20 5 CFBDRN CC(C)NC(=O)NCCSCc1ccc([N+](=O)[O-])cc1 ZINC000574081585 322680126 /nfs/dbraw/zinc/68/01/26/322680126.db2.gz FTEJKGUISMWWAN-UHFFFAOYSA-N 0 0 297.380 2.536 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H](C)c1ccon1 ZINC000574414410 322692308 /nfs/dbraw/zinc/69/23/08/322692308.db2.gz MMVCQFXPGBVMQU-QMMMGPOBSA-N 0 0 263.253 2.765 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@H]2CO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000574758730 322704893 /nfs/dbraw/zinc/70/48/93/322704893.db2.gz AXVQYZDJNOLWIS-ZYHUDNBSSA-N 0 0 292.335 2.625 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCC[C@H](F)C2)nc2sccn21 ZINC000575746423 322727885 /nfs/dbraw/zinc/72/78/85/322727885.db2.gz VQSUUNZEFJYOPZ-JGVFFNPUSA-N 0 0 284.316 2.997 20 5 CFBDRN Nc1c(C(=O)NC/C=C/c2ccccc2)cccc1[N+](=O)[O-] ZINC000176647334 323680162 /nfs/dbraw/zinc/68/01/62/323680162.db2.gz KDUOPCIGHPZBLF-VMPITWQZSA-N 0 0 297.314 2.620 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000178611019 323721225 /nfs/dbraw/zinc/72/12/25/323721225.db2.gz YMCOWSWKQKMOMN-GHMZBOCLSA-N 0 0 277.324 2.535 20 5 CFBDRN CCOC(=O)CCCCN1CCc2c1cccc2[N+](=O)[O-] ZINC000178948340 323728232 /nfs/dbraw/zinc/72/82/32/323728232.db2.gz PNYHILSUSPXNDZ-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN Cc1ccc(OCC2CCOCC2)cc1[N+](=O)[O-] ZINC000178991046 323728941 /nfs/dbraw/zinc/72/89/41/323728941.db2.gz RZVUSGZARWWWHC-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(NCCn2cccn2)c1 ZINC000179798473 323759131 /nfs/dbraw/zinc/75/91/31/323759131.db2.gz ZKJWLNSRDKGLRN-UHFFFAOYSA-N 0 0 298.249 2.505 20 5 CFBDRN CC1CCC(Cn2cc([N+](=O)[O-])ccc2=O)CC1 ZINC000185479834 323967062 /nfs/dbraw/zinc/96/70/62/323967062.db2.gz HGETWGXREMBUKK-UHFFFAOYSA-N 0 0 250.298 2.583 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCCC2(CC2)C1 ZINC000185627354 323968310 /nfs/dbraw/zinc/96/83/10/323968310.db2.gz UQAPYLCEWCTMPK-UHFFFAOYSA-N 0 0 289.335 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCC(C)(C)O2)n1 ZINC000189430733 324028505 /nfs/dbraw/zinc/02/85/05/324028505.db2.gz JHRTYKUYKJFBNP-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)c1ccccc1 ZINC000193581841 324074218 /nfs/dbraw/zinc/07/42/18/324074218.db2.gz ZRSAUQZYOJSDAF-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN C[C@@H]1C[C@@H]1Cc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000350398210 324213823 /nfs/dbraw/zinc/21/38/23/324213823.db2.gz LFODINSZDMOETL-MWLCHTKSSA-N 0 0 273.292 2.767 20 5 CFBDRN Cc1cc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)no1 ZINC000350591592 324239275 /nfs/dbraw/zinc/23/92/75/324239275.db2.gz DYYROVZTLUZOSC-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc(=O)[nH]c3)no2)cc([N+](=O)[O-])c1 ZINC000350807862 324260251 /nfs/dbraw/zinc/26/02/51/324260251.db2.gz XCPQDDRNWZLDHH-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccoc3)no2)s1 ZINC000350815589 324262545 /nfs/dbraw/zinc/26/25/45/324262545.db2.gz RQINPQXBAFWZEV-UHFFFAOYSA-N 0 0 263.234 2.966 20 5 CFBDRN CCC(=Cc1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1)CC ZINC000350935252 324285780 /nfs/dbraw/zinc/28/57/80/324285780.db2.gz WIKAPMFEHKLYOF-UHFFFAOYSA-N 0 0 291.311 2.890 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2CC2(F)F)n1 ZINC000351061357 324301990 /nfs/dbraw/zinc/30/19/90/324301990.db2.gz GMWIEPOJNNWEPF-QMMMGPOBSA-N 0 0 281.218 2.691 20 5 CFBDRN Cc1cc(-c2noc([C@H]3CO[C@@H](C)C3)n2)cc([N+](=O)[O-])c1 ZINC000351140603 324324152 /nfs/dbraw/zinc/32/41/52/324324152.db2.gz BNFYZIKQNILXEV-GXSJLCMTSA-N 0 0 289.291 2.846 20 5 CFBDRN Cc1c(-c2noc(C[C@H]3CCCO3)n2)cccc1[N+](=O)[O-] ZINC000351142768 324325833 /nfs/dbraw/zinc/32/58/33/324325833.db2.gz FNGMYFBWHMOVHW-SNVBAGLBSA-N 0 0 289.291 2.675 20 5 CFBDRN Cc1cc(-c2noc([C@H]3CCO[C@@H]3C)n2)cc([N+](=O)[O-])c1 ZINC000351142730 324326114 /nfs/dbraw/zinc/32/61/14/324326114.db2.gz FGLVJTVBBJORSM-SKDRFNHKSA-N 0 0 289.291 2.846 20 5 CFBDRN CO[C@@H]1CCC[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1 ZINC000351143944 324326920 /nfs/dbraw/zinc/32/69/20/324326920.db2.gz HPLFFGXRPBJYOQ-DTWKUNHWSA-N 0 0 293.279 2.910 20 5 CFBDRN CC[C@@H](C)[C@H](OC)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351148359 324328397 /nfs/dbraw/zinc/32/83/97/324328397.db2.gz PSJFKMGDLHZENS-XCBNKYQSSA-N 0 0 281.268 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCS3)n2)o1 ZINC000351151218 324329923 /nfs/dbraw/zinc/32/99/23/324329923.db2.gz UXOUEJCHEMONTJ-SSDOTTSWSA-N 0 0 267.266 2.806 20 5 CFBDRN CC1(C)CC[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351151176 324330240 /nfs/dbraw/zinc/33/02/40/324330240.db2.gz UMHRUOZSQXNTQG-MRVPVSSYSA-N 0 0 279.252 2.868 20 5 CFBDRN COCC1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCCC1 ZINC000351275540 324367938 /nfs/dbraw/zinc/36/79/38/324367938.db2.gz PLUKVXRMNMOCKS-UHFFFAOYSA-N 0 0 293.279 2.696 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CC1(F)F ZINC000351276630 324368128 /nfs/dbraw/zinc/36/81/28/324368128.db2.gz AFZBHNMNFDWBND-VIFPVBQESA-N 0 0 271.179 2.535 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCCCO1 ZINC000351277126 324368678 /nfs/dbraw/zinc/36/86/78/324368678.db2.gz PSDIVURQJXEZSG-LBPRGKRZSA-N 0 0 279.252 2.654 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCC2(C)C)c1 ZINC000380360787 324409862 /nfs/dbraw/zinc/40/98/62/324409862.db2.gz NLNXCGDQUOQEML-GFCCVEGCSA-N 0 0 278.308 2.982 20 5 CFBDRN CCOc1cc(NCc2snnc2C)ccc1[N+](=O)[O-] ZINC000384224867 324444551 /nfs/dbraw/zinc/44/45/51/324444551.db2.gz FWFHVEWJPVGXLP-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CC23CCOCC3)c1 ZINC000387156343 324488411 /nfs/dbraw/zinc/48/84/11/324488411.db2.gz QGEABDLVVQTBTE-CYBMUJFWSA-N 0 0 278.308 2.584 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](CO)C(C)C ZINC000394038418 324533019 /nfs/dbraw/zinc/53/30/19/324533019.db2.gz BMUAYCJZVPDNAF-NSHDSACASA-N 0 0 252.314 2.580 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])nc1)[C@@H]1CCc2ccccc2C1 ZINC000576548502 324629328 /nfs/dbraw/zinc/62/93/28/324629328.db2.gz VBTAIZXYZIGGNF-CQSZACIVSA-N 0 0 283.331 2.984 20 5 CFBDRN COC(C)(C)CN(C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000576773764 324658768 /nfs/dbraw/zinc/65/87/68/324658768.db2.gz YSSPIGOZIVEDBL-LLVKDONJSA-N 0 0 294.351 2.582 20 5 CFBDRN Cc1cnc(N2CCC[C@H]2[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000576819757 324663443 /nfs/dbraw/zinc/66/34/43/324663443.db2.gz MEMALHGRBFFRJM-STQMWFEESA-N 0 0 291.351 2.694 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)C[C@@H](C)C1 ZINC000576901447 324673433 /nfs/dbraw/zinc/67/34/33/324673433.db2.gz UQWDIHUSPINWAQ-NXEZZACHSA-N 0 0 266.345 2.602 20 5 CFBDRN CC[C@@H]1CCN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000576926111 324676208 /nfs/dbraw/zinc/67/62/08/324676208.db2.gz JHTDLBOZAYFZHV-VXGBXAGGSA-N 0 0 276.336 2.957 20 5 CFBDRN Cc1c(N[C@@H]2CCc3c2cccc3[N+](=O)[O-])cnn1C ZINC000577124084 324699931 /nfs/dbraw/zinc/69/99/31/324699931.db2.gz GJXBPFUMJGSUQW-GFCCVEGCSA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1ccc(NC(=O)N2CCCCCO2)cc1[N+](=O)[O-] ZINC000577136612 324701636 /nfs/dbraw/zinc/70/16/36/324701636.db2.gz IEKGOYMBAANLNB-UHFFFAOYSA-N 0 0 279.296 2.853 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])cc(Cl)c2ncn1CCC1CC1 ZINC000577177054 324706283 /nfs/dbraw/zinc/70/62/83/324706283.db2.gz AQAWDQDCLUFIGL-UHFFFAOYSA-N 0 0 293.710 2.758 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)Cc1cccc(C)n1 ZINC000577865886 324790270 /nfs/dbraw/zinc/79/02/70/324790270.db2.gz RLBSHBHSJWKYLC-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CC[C@@H](F)Cn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000578506440 324858579 /nfs/dbraw/zinc/85/85/79/324858579.db2.gz IWJSOVDMKZZOFH-SNVBAGLBSA-N 0 0 264.256 2.658 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCOC(C)(C)C)c1C ZINC000578787671 324889885 /nfs/dbraw/zinc/88/98/85/324889885.db2.gz XLEDJWMYOZEGNW-UHFFFAOYSA-N 0 0 268.313 2.801 20 5 CFBDRN O=C(Nc1cccc(C2CCC2)c1)c1c[nH]nc1[N+](=O)[O-] ZINC000578813706 324891401 /nfs/dbraw/zinc/89/14/01/324891401.db2.gz RSUZFXRIHCLAPP-UHFFFAOYSA-N 0 0 286.291 2.838 20 5 CFBDRN Cc1cc(C(=O)N2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)ccn1 ZINC000578833428 324893213 /nfs/dbraw/zinc/89/32/13/324893213.db2.gz MQNOUBGKPMZEDE-NSHDSACASA-N 0 0 297.314 2.890 20 5 CFBDRN C[C@@H]1CN(CCSc2cccc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000579259365 324936857 /nfs/dbraw/zinc/93/68/57/324936857.db2.gz SEYIPHGOZXQKES-VXGBXAGGSA-N 0 0 296.392 2.796 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccncc21)c1cccc([N+](=O)[O-])c1 ZINC000579547905 324958674 /nfs/dbraw/zinc/95/86/74/324958674.db2.gz JRLSMXMRPICZGP-NSHDSACASA-N 0 0 297.314 2.683 20 5 CFBDRN CCc1coc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000579850249 324985889 /nfs/dbraw/zinc/98/58/89/324985889.db2.gz RRURJCOKSXFTDV-VIFPVBQESA-N 0 0 289.291 2.887 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@@H](F)C1 ZINC000580716257 325058068 /nfs/dbraw/zinc/05/80/68/325058068.db2.gz IROLQUWOWYEDKK-NEPJUHHUSA-N 0 0 280.299 2.534 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CC[C@H](C)O1 ZINC000581022549 325083903 /nfs/dbraw/zinc/08/39/03/325083903.db2.gz ZCSTZNNOINOUEK-VHSXEESVSA-N 0 0 266.297 2.583 20 5 CFBDRN CCNc1ccc(C(=O)N2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000581436885 325121754 /nfs/dbraw/zinc/12/17/54/325121754.db2.gz IAMPTYZPHVJLME-NSHDSACASA-N 0 0 295.314 2.601 20 5 CFBDRN CCc1ccc(C(=O)NCC(C)(F)F)cc1[N+](=O)[O-] ZINC000581626402 325138159 /nfs/dbraw/zinc/13/81/59/325138159.db2.gz PBFVNRUSPDDYMN-UHFFFAOYSA-N 0 0 272.251 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCOC1CCCC1)CCN2 ZINC000581660134 325140297 /nfs/dbraw/zinc/14/02/97/325140297.db2.gz ZTRGMOPSBSUZBC-UHFFFAOYSA-N 0 0 291.351 2.934 20 5 CFBDRN CNc1ccc(-c2nc(C3(C)CC3)no2)cc1[N+](=O)[O-] ZINC000582468561 325207507 /nfs/dbraw/zinc/20/75/07/325207507.db2.gz FTVUMSABNOVRJV-UHFFFAOYSA-N 0 0 274.280 2.738 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC1C[C@@H](C)O[C@H](C)C1 ZINC000582677773 325225571 /nfs/dbraw/zinc/22/55/71/325225571.db2.gz VCTYPSNJTUCRNS-NXEZZACHSA-N 0 0 280.324 2.971 20 5 CFBDRN Cc1nc(N[C@@H](C)Cc2ccsc2)ncc1[N+](=O)[O-] ZINC000583065347 325257176 /nfs/dbraw/zinc/25/71/76/325257176.db2.gz KXHUDUGEOPPUHG-QMMMGPOBSA-N 0 0 278.337 2.798 20 5 CFBDRN CC(C)(O)CCSCCOc1ccc([N+](=O)[O-])cc1 ZINC000583213568 325269758 /nfs/dbraw/zinc/26/97/58/325269758.db2.gz LOPGFHFFOAFIHJ-UHFFFAOYSA-N 0 0 285.365 2.868 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000583447475 325288901 /nfs/dbraw/zinc/28/89/01/325288901.db2.gz GEGZPZBIEHACBH-LLVKDONJSA-N 0 0 296.298 2.568 20 5 CFBDRN C[C@H](C(=O)N(C)CCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000583789560 325310443 /nfs/dbraw/zinc/31/04/43/325310443.db2.gz KFSCMTXAMIWFKC-NSHDSACASA-N 0 0 276.336 2.957 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000583965972 325321598 /nfs/dbraw/zinc/32/15/98/325321598.db2.gz FSCUFHJTPKSRCP-GXSJLCMTSA-N 0 0 296.754 2.953 20 5 CFBDRN C[C@H](C(=O)NC1(C2CC2)CC1)c1cccc([N+](=O)[O-])c1 ZINC000584328367 325346223 /nfs/dbraw/zinc/34/62/23/325346223.db2.gz RJAGGMWCOGRIGE-JTQLQIEISA-N 0 0 274.320 2.757 20 5 CFBDRN O=c1[nH]c(Cc2ccon2)nc2cc3ccccc3cc21 ZINC000150715692 327362541 /nfs/dbraw/zinc/36/25/41/327362541.db2.gz RWKRLMRLOQYYPR-UHFFFAOYSA-N 0 0 277.283 2.655 20 5 CFBDRN O=C(NC1CCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000444009919 330809215 /nfs/dbraw/zinc/80/92/15/330809215.db2.gz NSAONTWTBRSQGI-UHFFFAOYSA-N 0 0 292.338 2.964 20 5 CFBDRN C[C@@H](O)CCNC(=O)c1cc2ccccc2c2cccnc12 ZINC000172342896 335102151 /nfs/dbraw/zinc/10/21/51/335102151.db2.gz IBAIARNKOLXBMZ-GFCCVEGCSA-N 0 0 294.354 2.889 20 5 CFBDRN Cc1cc(C(=O)NCc2ccnc(C)c2)cc([N+](=O)[O-])c1 ZINC001273352340 782123203 /nfs/dbraw/zinc/12/32/03/782123203.db2.gz MZWXPQJNEPFUED-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN C[C@@H]1COC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000829437416 782130242 /nfs/dbraw/zinc/13/02/42/782130242.db2.gz GLGLIDISXTXZLD-RNCFNFMXSA-N 0 0 273.292 2.590 20 5 CFBDRN C[C@H]1COC[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000829437548 782130332 /nfs/dbraw/zinc/13/03/32/782130332.db2.gz ICXVSQAFFNUUEN-ZANVPECISA-N 0 0 273.292 2.590 20 5 CFBDRN CCC[C@@H](CNc1ccc([N+](=O)[O-])c(OC)c1)OC ZINC000293793191 500669244 /nfs/dbraw/zinc/66/92/44/500669244.db2.gz XNVWHFQDHURXJN-NSHDSACASA-N 0 0 268.313 2.830 20 5 CFBDRN Cc1ccc(CCn2cc(Cl)cc([N+](=O)[O-])c2=O)cc1 ZINC000158567902 500970555 /nfs/dbraw/zinc/97/05/55/500970555.db2.gz OKBSOMLASKIPDZ-UHFFFAOYSA-N 0 0 292.722 2.961 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3CC[C@H](F)C3)cc2N1 ZINC000340871850 501078866 /nfs/dbraw/zinc/07/88/66/501078866.db2.gz IJDUVGPMMLLOIA-UWVGGRQHSA-N 0 0 293.298 2.782 20 5 CFBDRN CCC[C@](C)(O)CNc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000226570513 521294868 /nfs/dbraw/zinc/29/48/68/521294868.db2.gz UJGKFUKYRCSHET-AWEZNQCLSA-N 0 0 282.340 2.957 20 5 CFBDRN CCC[C@](C)(O)CNc1cccc(OCC)c1[N+](=O)[O-] ZINC000231037190 521296045 /nfs/dbraw/zinc/29/60/45/521296045.db2.gz JRIVUXGVAWIMTD-AWEZNQCLSA-N 0 0 282.340 2.957 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000353350409 522005935 /nfs/dbraw/zinc/00/59/35/522005935.db2.gz ULPFBRIUVNYQOO-CABZTGNLSA-N 0 0 266.297 2.594 20 5 CFBDRN Cc1c(CNC(=O)[C@@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000421510634 533862354 /nfs/dbraw/zinc/86/23/54/533862354.db2.gz UDOWDYXLBLJXRQ-GFCCVEGCSA-N 0 0 284.262 2.565 20 5 CFBDRN COC(C)(C)CNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000234602202 522495487 /nfs/dbraw/zinc/49/54/87/522495487.db2.gz YBBMEFYLKWYECN-UHFFFAOYSA-N 0 0 293.245 2.846 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000152776040 533891317 /nfs/dbraw/zinc/89/13/17/533891317.db2.gz QTTXBCZYXMDGPK-NOZJJQNGSA-N 0 0 280.299 2.581 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCc1ccco1 ZINC000429343093 533934017 /nfs/dbraw/zinc/93/40/17/533934017.db2.gz BKRGBKMBTJBNEV-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)[C@H](C)C1 ZINC000156021758 533954850 /nfs/dbraw/zinc/95/48/50/533954850.db2.gz FWMRHOGVOCBUDX-GHMZBOCLSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1cc(NCc2cccc(O)c2)ncc1[N+](=O)[O-] ZINC000173914180 533997002 /nfs/dbraw/zinc/99/70/02/533997002.db2.gz LVKFSTXLQLRNOI-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])c1ccn(C)n1 ZINC000413446230 534025695 /nfs/dbraw/zinc/02/56/95/534025695.db2.gz CIWICQUXNNLGBA-QMMMGPOBSA-N 0 0 264.260 2.641 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)CC(F)F)cc1[N+](=O)[O-] ZINC000413695716 534142079 /nfs/dbraw/zinc/14/20/79/534142079.db2.gz RLINMPATRATEJD-ZETCQYMHSA-N 0 0 288.250 2.833 20 5 CFBDRN COC(=O)c1cccc(OCCC(C)C)c1[N+](=O)[O-] ZINC000413019925 534279614 /nfs/dbraw/zinc/27/96/14/534279614.db2.gz WEPBPDQSGCXXGM-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN COC(=O)c1cccc(OCCC2CC2)c1[N+](=O)[O-] ZINC000413019766 534279631 /nfs/dbraw/zinc/27/96/31/534279631.db2.gz VNBWXSIZTGCZQU-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CC(C)(C(=O)N1CC[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000452986555 534316481 /nfs/dbraw/zinc/31/64/81/534316481.db2.gz IVYBTXTUEFUWIB-AWEZNQCLSA-N 0 0 288.347 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cncc(C)c2)c1 ZINC000176728081 534320159 /nfs/dbraw/zinc/32/01/59/534320159.db2.gz RCPSIWHERZOHPB-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000302852160 518014962 /nfs/dbraw/zinc/01/49/62/518014962.db2.gz JHEGWHHDFHTOQC-WDEREUQCSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@@H](C)O[C@H]2C)n1 ZINC000413544699 534327571 /nfs/dbraw/zinc/32/75/71/534327571.db2.gz YUAIAHNXAXNVBO-VWYCJHECSA-N 0 0 265.313 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)c2ccn(C)n2)c1 ZINC000413443481 534330031 /nfs/dbraw/zinc/33/00/31/534330031.db2.gz AXUCVVXIPITRRE-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(O)cc1O ZINC000192712957 534342780 /nfs/dbraw/zinc/34/27/80/534342780.db2.gz ICVUIHOEAPPAGW-UHFFFAOYSA-N 0 0 288.259 2.567 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1nc(C(F)F)no1 ZINC000424559314 534344968 /nfs/dbraw/zinc/34/49/68/534344968.db2.gz GLMJSHJWPUAGSU-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN CC(=O)c1cccc(NC(=O)c2c(N)cccc2[N+](=O)[O-])c1 ZINC000180586920 518442335 /nfs/dbraw/zinc/44/23/35/518442335.db2.gz CQNAMWZEGIVDRS-UHFFFAOYSA-N 0 0 299.286 2.632 20 5 CFBDRN CC(=O)c1ccccc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000174711813 518467442 /nfs/dbraw/zinc/46/74/42/518467442.db2.gz YWZOOSPMEHZWDJ-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN C/C=C/c1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2N)cc1 ZINC000360321146 518552367 /nfs/dbraw/zinc/55/23/67/518552367.db2.gz XWIVDJJWIFZRPF-NSCUHMNNSA-N 0 0 298.302 2.857 20 5 CFBDRN C/C=C/c1ccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])cc1 ZINC000360324055 518552855 /nfs/dbraw/zinc/55/28/55/518552855.db2.gz JJFNNEQDGIJKHO-NSCUHMNNSA-N 0 0 272.264 2.603 20 5 CFBDRN CC(C)(C)CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037783 518669534 /nfs/dbraw/zinc/66/95/34/518669534.db2.gz QDFNIUXQHOYVHL-UHFFFAOYSA-N 0 0 272.251 2.649 20 5 CFBDRN CC(C)C[C@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000360919367 519294950 /nfs/dbraw/zinc/29/49/50/519294950.db2.gz ORAWHMMSMJHSLS-LBPRGKRZSA-N 0 0 293.367 2.805 20 5 CFBDRN CC(C)N(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000172742892 519561443 /nfs/dbraw/zinc/56/14/43/519561443.db2.gz MRFGMOIOSSYGFN-UHFFFAOYSA-N 0 0 262.309 2.537 20 5 CFBDRN CC(C)CC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000193225075 519573650 /nfs/dbraw/zinc/57/36/50/519573650.db2.gz OLPSZKMAACLWMR-UHFFFAOYSA-N 0 0 250.298 2.599 20 5 CFBDRN CC(C)CCCNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000123764337 519640187 /nfs/dbraw/zinc/64/01/87/519640187.db2.gz PCKOWBVLGCOECS-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN CC(C)CC[C@@H](O)COc1ccc([N+](=O)[O-])cc1F ZINC000360687495 519684607 /nfs/dbraw/zinc/68/46/07/519684607.db2.gz CJPHZVGOIMZQAE-LLVKDONJSA-N 0 0 271.288 2.910 20 5 CFBDRN CC(C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000048922646 519709975 /nfs/dbraw/zinc/70/99/75/519709975.db2.gz YQUIPPJINDWFNR-UHFFFAOYSA-N 0 0 279.340 2.923 20 5 CFBDRN CC(=O)Nc1ccc(Oc2ncccc2[N+](=O)[O-])c(F)c1 ZINC000361176206 519729308 /nfs/dbraw/zinc/72/93/08/519729308.db2.gz HUXXNBGHRGFLRP-UHFFFAOYSA-N 0 0 291.238 2.880 20 5 CFBDRN CC(C)Oc1cccc(Cn2cccc([N+](=O)[O-])c2=O)c1 ZINC000195524356 519783350 /nfs/dbraw/zinc/78/33/50/519783350.db2.gz YOJGJKMAYLGAPM-UHFFFAOYSA-N 0 0 288.303 2.592 20 5 CFBDRN CC(C)[C@H](O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000125163728 519823836 /nfs/dbraw/zinc/82/38/36/519823836.db2.gz GZLJFHURPJQCGY-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN CC(C)c1cc(NCCc2ccccc2[N+](=O)[O-])ncn1 ZINC000361299198 519831026 /nfs/dbraw/zinc/83/10/26/519831026.db2.gz LPNNTCFHOKSPHD-UHFFFAOYSA-N 0 0 286.335 2.585 20 5 CFBDRN Cc1nc(N2C[C@H](O)C[C@@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000413498840 534450558 /nfs/dbraw/zinc/45/05/58/534450558.db2.gz HVVHDURZMZFVFA-UKRRQHHQSA-N 0 0 299.330 2.611 20 5 CFBDRN CCC1CN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000335113292 519860366 /nfs/dbraw/zinc/86/03/66/519860366.db2.gz MGEBSVKVMYWLAP-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN CCC1CN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000335109482 519860474 /nfs/dbraw/zinc/86/04/74/519860474.db2.gz HRJGABZOEZIQAH-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1nc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)sc1C ZINC000006255024 534464554 /nfs/dbraw/zinc/46/45/54/534464554.db2.gz KBFZTZZKGOTXJX-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN CCN(C(=O)c1csc([N+](=O)[O-])c1)c1cccnc1 ZINC000171502213 520124863 /nfs/dbraw/zinc/12/48/63/520124863.db2.gz BLIQYHSHEOYSKS-UHFFFAOYSA-N 0 0 277.305 2.718 20 5 CFBDRN CCCCCNC(=O)[C@@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418501 520212935 /nfs/dbraw/zinc/21/29/35/520212935.db2.gz SEUONXSYNIDVMY-SNVBAGLBSA-N 0 0 297.380 2.777 20 5 CFBDRN CCN(CC(C)C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000192454182 520405796 /nfs/dbraw/zinc/40/57/96/520405796.db2.gz KONFKJAPGZAEPF-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000182477572 520428586 /nfs/dbraw/zinc/42/85/86/520428586.db2.gz SRTBPTZQXRAKJN-UHFFFAOYSA-N 0 0 292.669 2.975 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC[C@@H]1CCCC1(F)F ZINC000420636418 534501418 /nfs/dbraw/zinc/50/14/18/534501418.db2.gz MJHAPVDRUMXPFL-QMMMGPOBSA-N 0 0 299.277 2.541 20 5 CFBDRN CCCSCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049249074 520557735 /nfs/dbraw/zinc/55/77/35/520557735.db2.gz WVBNNXUZWYRTLP-SNVBAGLBSA-N 0 0 282.365 2.915 20 5 CFBDRN CCCCNC(=O)c1cc(OCC)ccc1[N+](=O)[O-] ZINC000338414397 520683475 /nfs/dbraw/zinc/68/34/75/520683475.db2.gz YQXLNAUTVSADFO-UHFFFAOYSA-N 0 0 266.297 2.523 20 5 CFBDRN CCOc1cc(NCCC[C@@H](C)CO)ccc1[N+](=O)[O-] ZINC000230148274 520759150 /nfs/dbraw/zinc/75/91/50/520759150.db2.gz QKRNNVGNCCNJNK-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN CCOc1cc(SC[C@@H](C)CO)ccc1[N+](=O)[O-] ZINC000097459397 520772063 /nfs/dbraw/zinc/77/20/63/520772063.db2.gz CETGUPMYMAZMPG-VIFPVBQESA-N 0 0 271.338 2.714 20 5 CFBDRN CCC[C@@](C)(O)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000228850208 520939358 /nfs/dbraw/zinc/93/93/58/520939358.db2.gz QRVZHPONXHZDSY-CYBMUJFWSA-N 0 0 268.313 2.566 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1c(C)cccc1[N+](=O)[O-] ZINC000156436034 521005764 /nfs/dbraw/zinc/00/57/64/521005764.db2.gz RTAGFZDFGLUVPU-JTQLQIEISA-N 0 0 266.297 2.514 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1cc(C)ccc1C ZINC000157770127 521184527 /nfs/dbraw/zinc/18/45/27/521184527.db2.gz QIIBRBLWIDXABY-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN Cc1cnc(CCN(C)c2ncc([N+](=O)[O-])cc2F)s1 ZINC000413200985 534545992 /nfs/dbraw/zinc/54/59/92/534545992.db2.gz KORDXGVQRGAHDS-UHFFFAOYSA-N 0 0 296.327 2.573 20 5 CFBDRN CCC[C@H]1C[C@H]1Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000231562034 521252574 /nfs/dbraw/zinc/25/25/74/521252574.db2.gz BGJIFBKCXOGCFF-GXSJLCMTSA-N 0 0 298.364 2.599 20 5 CFBDRN CCOC(=O)[C@H](NCc1ccccc1[N+](=O)[O-])[C@@H](C)CC ZINC000181648352 521271738 /nfs/dbraw/zinc/27/17/38/521271738.db2.gz MYWATFZJYZLJIZ-SMDDNHRTSA-N 0 0 294.351 2.662 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CC2CC2)c1 ZINC000338524255 521280027 /nfs/dbraw/zinc/28/00/27/521280027.db2.gz PXIFNNITFGCIMW-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H]2F)c1 ZINC000340832771 521280135 /nfs/dbraw/zinc/28/01/35/521280135.db2.gz UMLXTZLAXVVOQT-NWDGAFQWSA-N 0 0 296.298 2.614 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@@H](F)C2)c1 ZINC000360359543 521280845 /nfs/dbraw/zinc/28/08/45/521280845.db2.gz IWNNYUNBZFTAHA-ZJUUUORDSA-N 0 0 296.298 2.614 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)OC(C)C)c1 ZINC000338547924 521282236 /nfs/dbraw/zinc/28/22/36/521282236.db2.gz XBTCKPCBMYNJKW-UHFFFAOYSA-N 0 0 253.254 2.559 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H](C)C[C@@H](C)CC)c1[N+](=O)[O-] ZINC000080679852 521329181 /nfs/dbraw/zinc/32/91/81/521329181.db2.gz VRUIDESXKFTERQ-VHSXEESVSA-N 0 0 296.371 2.825 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@@H](C)C1 ZINC000157340217 521426581 /nfs/dbraw/zinc/42/65/81/521426581.db2.gz GUNCZTLDPSSYSV-MNOVXSKESA-N 0 0 292.335 2.912 20 5 CFBDRN CCCN(CCC)C(=O)COc1ccc([N+](=O)[O-])cc1F ZINC000060807035 521636866 /nfs/dbraw/zinc/63/68/66/521636866.db2.gz ALIVUULOTQLFGM-UHFFFAOYSA-N 0 0 298.314 2.761 20 5 CFBDRN CCC(O)(CC)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000305835468 521658264 /nfs/dbraw/zinc/65/82/64/521658264.db2.gz PQVIABAWLKCLKY-UHFFFAOYSA-N 0 0 257.261 2.664 20 5 CFBDRN Cc1cccc(C(=O)N(C)CC(F)(F)F)c1[N+](=O)[O-] ZINC000192649343 534583326 /nfs/dbraw/zinc/58/33/26/534583326.db2.gz QVQGGRIMDRSBIQ-UHFFFAOYSA-N 0 0 276.214 2.538 20 5 CFBDRN CCSCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000338575608 521719659 /nfs/dbraw/zinc/71/96/59/521719659.db2.gz RCGDJPFYTYQEEM-UHFFFAOYSA-N 0 0 264.306 2.620 20 5 CFBDRN CCSCn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O ZINC000338547632 521719931 /nfs/dbraw/zinc/71/99/31/521719931.db2.gz XXGHYOJWDUYRAM-UHFFFAOYSA-N 0 0 291.332 2.529 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CF ZINC000360590629 521752405 /nfs/dbraw/zinc/75/24/05/521752405.db2.gz GIDLRELJBNONJD-QMMMGPOBSA-N 0 0 286.328 2.795 20 5 CFBDRN CNC(=O)c1ccc(NC[C@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000230434971 521848604 /nfs/dbraw/zinc/84/86/04/521848604.db2.gz DUAYTTWEXWOVQM-QWRGUYRKSA-N 0 0 291.351 2.803 20 5 CFBDRN CNC(=O)c1ccccc1NCCc1ccc([N+](=O)[O-])cc1 ZINC000184425310 521916910 /nfs/dbraw/zinc/91/69/10/521916910.db2.gz XRGLOYPEIHHTJJ-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000360293090 521980272 /nfs/dbraw/zinc/98/02/72/521980272.db2.gz IKPYBYYWARIFAJ-GFCCVEGCSA-N 0 0 293.367 2.901 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000354393863 521999056 /nfs/dbraw/zinc/99/90/56/521999056.db2.gz SPZAGCAJQGKVMP-FZMZJTMJSA-N 0 0 294.351 2.614 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000361837791 522019714 /nfs/dbraw/zinc/01/97/14/522019714.db2.gz XWSHBMZRILPDID-NXEZZACHSA-N 0 0 279.340 2.853 20 5 CFBDRN COc1cc(C(=O)NC[C@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000334070590 522122653 /nfs/dbraw/zinc/12/26/53/522122653.db2.gz MEJFLANXJFOLEE-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2(C)CC2)c1 ZINC000227956455 522176384 /nfs/dbraw/zinc/17/63/84/522176384.db2.gz ZOFKCFXMHPSMLN-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCc2occc2C)c1 ZINC000314640595 522176473 /nfs/dbraw/zinc/17/64/73/522176473.db2.gz UUMXXFSXTGYDGM-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC(C)(C)SC)c1 ZINC000167337684 522177096 /nfs/dbraw/zinc/17/70/96/522177096.db2.gz MUUDLHBYLYRKEM-UHFFFAOYSA-N 0 0 298.364 2.935 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000150833495 522289381 /nfs/dbraw/zinc/28/93/81/522289381.db2.gz XUDPMQVOEXVCHK-NXEZZACHSA-N 0 0 282.315 2.827 20 5 CFBDRN COc1cc(C)nc(CNCc2ccc([N+](=O)[O-])cc2)c1 ZINC000213660387 522290786 /nfs/dbraw/zinc/29/07/86/522290786.db2.gz VTSUIQVXZYFAOC-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN COC(=O)c1ccoc1COc1ccc([N+](=O)[O-])cc1C ZINC000339181840 522304063 /nfs/dbraw/zinc/30/40/63/522304063.db2.gz RYORGFAGXTZBMZ-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CNc1c(C(=O)N2CCC(C)CC2)cccc1[N+](=O)[O-] ZINC000161410639 522535217 /nfs/dbraw/zinc/53/52/17/522535217.db2.gz SMLHJXVASOIHEM-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN CNc1c(C(=O)NCCC2CCCC2)cccc1[N+](=O)[O-] ZINC000161445625 522537607 /nfs/dbraw/zinc/53/76/07/522537607.db2.gz GSXUNWGXNLAUFH-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CNc1c(C(=O)N2CC[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000360955833 522538494 /nfs/dbraw/zinc/53/84/94/522538494.db2.gz SVNCIENSGIQJOK-VHSXEESVSA-N 0 0 277.324 2.507 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000161421189 522539420 /nfs/dbraw/zinc/53/94/20/522539420.db2.gz NCDIXYXWVBPOND-CMPLNLGQSA-N 0 0 291.351 2.945 20 5 CFBDRN CNc1c(C(=O)NCc2ccccc2C)cccc1[N+](=O)[O-] ZINC000317788544 522540179 /nfs/dbraw/zinc/54/01/79/522540179.db2.gz ZGWCGIJKRZXVDT-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000161433868 522542896 /nfs/dbraw/zinc/54/28/96/522542896.db2.gz PPIUFUJAEFFSCK-QWRGUYRKSA-N 0 0 291.351 2.945 20 5 CFBDRN CC[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccncc1 ZINC000172878454 522550840 /nfs/dbraw/zinc/55/08/40/522550840.db2.gz LRYFBGASNQBJOK-NSHDSACASA-N 0 0 291.332 2.932 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000050770847 522585030 /nfs/dbraw/zinc/58/50/30/522585030.db2.gz JENYFRYAHNYCCX-MNOVXSKESA-N 0 0 291.351 2.897 20 5 CFBDRN CN(CC1CC1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000049224729 522627232 /nfs/dbraw/zinc/62/72/32/522627232.db2.gz YZZZFHZWXXMHQD-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)CF ZINC000360591203 522627236 /nfs/dbraw/zinc/62/72/36/522627236.db2.gz MEFIMWNGEMIZQL-JQWIXIFHSA-N 0 0 282.315 2.638 20 5 CFBDRN CC[C@@H](Cc1ccccc1)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000340622081 522634274 /nfs/dbraw/zinc/63/42/74/522634274.db2.gz PWJJPGCHBYRBKG-LBPRGKRZSA-N 0 0 287.319 2.674 20 5 CFBDRN CCc1nn(C)c(NCc2nc(C)c(C)s2)c1[N+](=O)[O-] ZINC000360997939 522670715 /nfs/dbraw/zinc/67/07/15/522670715.db2.gz YBKPETYLKYJIRB-UHFFFAOYSA-N 0 0 295.368 2.576 20 5 CFBDRN CCc1nn(C)c(Oc2ccc3nccnc3c2)c1[N+](=O)[O-] ZINC000361073653 522672536 /nfs/dbraw/zinc/67/25/36/522672536.db2.gz PMKBNCNWVXFUSX-UHFFFAOYSA-N 0 0 299.290 2.626 20 5 CFBDRN CN(CCC1CC1)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000156308140 522699577 /nfs/dbraw/zinc/69/95/77/522699577.db2.gz OVAXSXAFZBEKAS-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000078304839 522745566 /nfs/dbraw/zinc/74/55/66/522745566.db2.gz IZKLCRAUXLXVOI-JQWIXIFHSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230308582 522767113 /nfs/dbraw/zinc/76/71/13/522767113.db2.gz DQGNGABGHINDAI-FZMZJTMJSA-N 0 0 278.308 2.583 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000158338630 522793773 /nfs/dbraw/zinc/79/37/73/522793773.db2.gz CCQZEYMUZDDLFY-CQSZACIVSA-N 0 0 285.303 2.871 20 5 CFBDRN COC(=O)C1(N(C)Cc2ccccc2[N+](=O)[O-])CCCC1 ZINC000159182056 522837044 /nfs/dbraw/zinc/83/70/44/522837044.db2.gz XEQZCNLRJYLBBN-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN COCC1(CNc2c([N+](=O)[O-])c(C)nn2C)CCCCC1 ZINC000357774426 522905890 /nfs/dbraw/zinc/90/58/90/522905890.db2.gz KSNKJNMZSASZTG-UHFFFAOYSA-N 0 0 296.371 2.646 20 5 CFBDRN COc1cc([C@@H](C)Nc2ccc([N+](=O)[O-])nc2)ccn1 ZINC000340904872 522910959 /nfs/dbraw/zinc/91/09/59/522910959.db2.gz UUPMUUYZQPWJGF-SECBINFHSA-N 0 0 274.280 2.567 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCCCCF ZINC000361642534 522947716 /nfs/dbraw/zinc/94/77/16/522947716.db2.gz BTGOXUPVJANIFQ-UHFFFAOYSA-N 0 0 297.330 2.528 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1ncccc1F ZINC000337801103 522950445 /nfs/dbraw/zinc/95/04/45/522950445.db2.gz IEXYUHILWMCARK-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1ccc(C)cn1 ZINC000362339902 522977252 /nfs/dbraw/zinc/97/72/52/522977252.db2.gz OFAZVYQEGQPVIM-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](C(C)(C)C)C1 ZINC000361046932 523141097 /nfs/dbraw/zinc/14/10/97/523141097.db2.gz UCEONKFHADSOJP-LLVKDONJSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@H]1CCO[C@@H](C)C1 ZINC000361059541 523142859 /nfs/dbraw/zinc/14/28/59/523142859.db2.gz GNHXNTMQBWYHCU-JQWIXIFHSA-N 0 0 296.371 2.737 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC1CCCCC1 ZINC000311130558 523143264 /nfs/dbraw/zinc/14/32/64/523143264.db2.gz ZWRDIZXBXFZPON-UHFFFAOYSA-N 0 0 252.318 2.864 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)Cc1ccncc1 ZINC000360984224 523146927 /nfs/dbraw/zinc/14/69/27/523146927.db2.gz IOILSEKOIQSELW-JTQLQIEISA-N 0 0 289.339 2.558 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CCCCS1 ZINC000336812085 523147127 /nfs/dbraw/zinc/14/71/27/523147127.db2.gz QBCBEHDFCJLSCB-SNVBAGLBSA-N 0 0 284.385 2.817 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000334864534 523264085 /nfs/dbraw/zinc/26/40/85/523264085.db2.gz MYVCLAMLQZPCDO-SECBINFHSA-N 0 0 254.311 2.671 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000156456571 523296242 /nfs/dbraw/zinc/29/62/42/523296242.db2.gz ORXVCCQVXAZSGF-MFKMUULPSA-N 0 0 262.309 2.903 20 5 CFBDRN CN(Cc1cccc(Cl)c1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340419296 523303388 /nfs/dbraw/zinc/30/33/88/523303388.db2.gz KHNONXNVPMCNMZ-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN CO[C@@H](C)CCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000313276867 523401074 /nfs/dbraw/zinc/40/10/74/523401074.db2.gz CBDGKKCHJDLBJU-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN COCCC[C@@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000182508466 523464282 /nfs/dbraw/zinc/46/42/82/523464282.db2.gz BHFDQOUUARZRSR-LLVKDONJSA-N 0 0 281.308 2.871 20 5 CFBDRN CN(Cc1nc2ccccc2n1C)c1ccncc1[N+](=O)[O-] ZINC000360995885 523566083 /nfs/dbraw/zinc/56/60/83/523566083.db2.gz QDSHSAUBJSOXIJ-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000336049841 523569071 /nfs/dbraw/zinc/56/90/71/523569071.db2.gz IKLFMVOTSHNXRF-GFCCVEGCSA-N 0 0 268.338 2.919 20 5 CFBDRN CO[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000361806341 523675786 /nfs/dbraw/zinc/67/57/86/523675786.db2.gz ZNRVFQXNNGAUMX-SECBINFHSA-N 0 0 299.714 2.501 20 5 CFBDRN CC[C@@](C)(O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214059404 523676500 /nfs/dbraw/zinc/67/65/00/523676500.db2.gz CJRKSAMSNOQVCC-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336049693 523712824 /nfs/dbraw/zinc/71/28/24/523712824.db2.gz WLTYJBPAOKXXDI-AWEZNQCLSA-N 0 0 280.299 2.996 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000231683665 523720594 /nfs/dbraw/zinc/72/05/94/523720594.db2.gz MDLHQHPXISCRHA-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000231683396 523721332 /nfs/dbraw/zinc/72/13/32/523721332.db2.gz QWHDXHVKKYKXDJ-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN CCc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)n[nH]1 ZINC000193323691 523776585 /nfs/dbraw/zinc/77/65/85/523776585.db2.gz JIZLKRSYLOTIKF-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN CC[C@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000193233937 523821897 /nfs/dbraw/zinc/82/18/97/523821897.db2.gz HOYDTULOEAFEJE-JTQLQIEISA-N 0 0 250.298 2.599 20 5 CFBDRN CCc1ccc(-c2nc(-c3cnccn3)no2)cc1[N+](=O)[O-] ZINC000084508658 523860104 /nfs/dbraw/zinc/86/01/04/523860104.db2.gz MSKLRFXLBSLHNS-UHFFFAOYSA-N 0 0 297.274 2.664 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CCC[C@H]2F)cc1[N+](=O)[O-] ZINC000340784733 523895306 /nfs/dbraw/zinc/89/53/06/523895306.db2.gz OTEZRIXAVJLGMK-NEPJUHHUSA-N 0 0 280.299 2.778 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000158352500 523903021 /nfs/dbraw/zinc/90/30/21/523903021.db2.gz BCIUQRGTWRIJTD-CHWSQXEVSA-N 0 0 286.287 2.954 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)[C@@H]1CCC(C)(C)C1 ZINC000336076407 523938287 /nfs/dbraw/zinc/93/82/87/523938287.db2.gz NPXYCISOIGRFGH-SECBINFHSA-N 0 0 266.297 2.839 20 5 CFBDRN CN(C(=O)c1ccccc1[N+](=O)[O-])C1CC(C)(C)C1 ZINC000335128455 523948326 /nfs/dbraw/zinc/94/83/26/523948326.db2.gz CTHYEKXECQAYGU-UHFFFAOYSA-N 0 0 262.309 2.855 20 5 CFBDRN COCCOC[C@@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000357491982 524020672 /nfs/dbraw/zinc/02/06/72/524020672.db2.gz UKHAENJYIZMIGZ-SECBINFHSA-N 0 0 288.731 2.712 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000171855036 524088526 /nfs/dbraw/zinc/08/85/26/524088526.db2.gz NLIHNOMEFGOAEF-LLVKDONJSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@H](CCCO)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000295045916 524143151 /nfs/dbraw/zinc/14/31/51/524143151.db2.gz XCCPYRDACPICTP-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1cccc(C(=O)N(C)Cc2ccoc2)c1[N+](=O)[O-] ZINC000048820260 524162370 /nfs/dbraw/zinc/16/23/70/524162370.db2.gz JAMWIGDERBMHPZ-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000230695561 524162990 /nfs/dbraw/zinc/16/29/90/524162990.db2.gz KZZTWWYIPCARND-GXSJLCMTSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000336085090 524195980 /nfs/dbraw/zinc/19/59/80/524195980.db2.gz TVKXATHJIADXOL-SECBINFHSA-N 0 0 298.289 2.674 20 5 CFBDRN Cc1cccc(C(=O)NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000360529003 524198263 /nfs/dbraw/zinc/19/82/63/524198263.db2.gz NWMOMYYEEVVJHL-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000050707500 524200439 /nfs/dbraw/zinc/20/04/39/524200439.db2.gz PBQSQGKWBBHSKH-QWRGUYRKSA-N 0 0 291.351 2.828 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000336102421 524270174 /nfs/dbraw/zinc/27/01/74/524270174.db2.gz GYKIWRPTSCEYAD-VIFPVBQESA-N 0 0 284.262 2.712 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050696616 524276832 /nfs/dbraw/zinc/27/68/32/524276832.db2.gz CTPPRQIDTPPCEN-NXEZZACHSA-N 0 0 277.324 2.580 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCCSCC1 ZINC000335074817 524338312 /nfs/dbraw/zinc/33/83/12/524338312.db2.gz SAALKAGXGOOIKC-UHFFFAOYSA-N 0 0 294.376 2.791 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CS[C@H](C)C1 ZINC000335054649 524338452 /nfs/dbraw/zinc/33/84/52/524338452.db2.gz STNSSJOPNWZLAZ-GHMZBOCLSA-N 0 0 294.376 2.835 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000334764549 524360663 /nfs/dbraw/zinc/36/06/63/524360663.db2.gz XAGOTGZHDNKGFT-WCBMZHEXSA-N 0 0 268.338 2.917 20 5 CFBDRN C[C@H]1CC[C@@]2(CCN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)C1 ZINC000334334128 524377222 /nfs/dbraw/zinc/37/72/22/524377222.db2.gz WPNKDYFGXQPWJI-IINYFYTJSA-N 0 0 277.324 2.575 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2F)o1 ZINC000054057081 524381887 /nfs/dbraw/zinc/38/18/87/524381887.db2.gz IYELCNWZOIFKQK-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000075288138 524391394 /nfs/dbraw/zinc/39/13/94/524391394.db2.gz QWQHKLPEPOOBEW-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000336225518 524412095 /nfs/dbraw/zinc/41/20/95/524412095.db2.gz NPNCVWVBNVIVCU-JQWIXIFHSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)[C@H]1C ZINC000335986577 524451417 /nfs/dbraw/zinc/45/14/17/524451417.db2.gz PPSFRQBLEFVQBM-BDAKNGLRSA-N 0 0 298.289 2.672 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000157337615 524611916 /nfs/dbraw/zinc/61/19/16/524611916.db2.gz SHYMEDYGBCXQRC-PSASIEDQSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336448767 524712484 /nfs/dbraw/zinc/71/24/84/524712484.db2.gz JTXBLBPHAYFDIP-PSASIEDQSA-N 0 0 273.292 2.604 20 5 CFBDRN COc1ccc(Nc2ccc([N+](=O)[O-])nc2)cc1OC ZINC000339963339 524715756 /nfs/dbraw/zinc/71/57/56/524715756.db2.gz RTYOHAGPGVWUPH-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN Cc1cc(CNC(=O)Nc2cc([N+](=O)[O-])ccc2C)on1 ZINC000061733398 524738426 /nfs/dbraw/zinc/73/84/26/524738426.db2.gz XWCLOCREZBIRHV-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cc1ccc(Cn2cc([N+](=O)[O-])cc(Cl)c2=O)cc1 ZINC000336238181 524773529 /nfs/dbraw/zinc/77/35/29/524773529.db2.gz CBGDGCZKDYQERU-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN C[C@H](Cn1cccn1)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000315265841 524780955 /nfs/dbraw/zinc/78/09/55/524780955.db2.gz CXRCOILAFQIFQZ-LLVKDONJSA-N 0 0 297.318 2.840 20 5 CFBDRN C[C@H](F)CCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000338748322 524809643 /nfs/dbraw/zinc/80/96/43/524809643.db2.gz FNSXFGXDEPEPHS-LURJTMIESA-N 0 0 270.289 2.760 20 5 CFBDRN C[C@@H](C[C@@H]1CCCO1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000338715130 524848024 /nfs/dbraw/zinc/84/80/24/524848024.db2.gz FGSXYGNSAGVBFE-GWCFXTLKSA-N 0 0 293.323 2.674 20 5 CFBDRN C[C@H](Nc1ccncc1[N+](=O)[O-])c1ccc(Cl)cn1 ZINC000340893982 524873765 /nfs/dbraw/zinc/87/37/65/524873765.db2.gz FBBMYWRJLWQJTL-QMMMGPOBSA-N 0 0 278.699 2.633 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)Cc1cscn1 ZINC000194296983 524903052 /nfs/dbraw/zinc/90/30/52/524903052.db2.gz MPDUYVXLICXUFU-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1cc(Cn2ccc(C)c([N+](=O)[O-])c2=O)c(C)s1 ZINC000336053815 524922283 /nfs/dbraw/zinc/92/22/83/524922283.db2.gz GLONTZPFTMZGKG-UHFFFAOYSA-N 0 0 278.333 2.792 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000336031344 524952997 /nfs/dbraw/zinc/95/29/97/524952997.db2.gz RWSMPTKJYVPRRN-ONGXEEELSA-N 0 0 260.293 2.530 20 5 CFBDRN C[C@@H](Cn1ccnc1)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000050352173 524961114 /nfs/dbraw/zinc/96/11/14/524961114.db2.gz AAIZPQQSAKLTCH-VIFPVBQESA-N 0 0 280.715 2.945 20 5 CFBDRN COc1nc(C)cc(Oc2cccc([N+](=O)[O-])c2C)n1 ZINC000354515970 524964337 /nfs/dbraw/zinc/96/43/37/524964337.db2.gz YVTCRUYOJQWGQZ-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN CC(=O)c1cc(NC[C@](C)(O)C(C)C)ccc1[N+](=O)[O-] ZINC000342215090 534823642 /nfs/dbraw/zinc/82/36/42/534823642.db2.gz JTSIRVDZTQDMQD-AWEZNQCLSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1cc(N2CC(Cc3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000364995214 525052584 /nfs/dbraw/zinc/05/25/84/525052584.db2.gz OFFCONNYQNSWET-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)cc1O ZINC000070332511 525095259 /nfs/dbraw/zinc/09/52/59/525095259.db2.gz TXEOGRMVSNGSOZ-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)cc1O ZINC000135049795 525096287 /nfs/dbraw/zinc/09/62/87/525096287.db2.gz ZHIBCJAQOLYVAX-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN C[C@@H]1CSC[C@@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000334398038 525173534 /nfs/dbraw/zinc/17/35/34/525173534.db2.gz LSFZDVLBDQMYHR-HQJQHLMTSA-N 0 0 299.327 2.607 20 5 CFBDRN Cc1cc(NC(=O)NCCCCF)ccc1[N+](=O)[O-] ZINC000361705136 525189450 /nfs/dbraw/zinc/18/94/50/525189450.db2.gz ODDXQPXLUFDVLS-UHFFFAOYSA-N 0 0 269.276 2.774 20 5 CFBDRN Cc1ccccc1C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000055555631 525189790 /nfs/dbraw/zinc/18/97/90/525189790.db2.gz AULXVXNXMHOGSS-LLVKDONJSA-N 0 0 288.303 2.857 20 5 CFBDRN Cc1ccccc1Cc1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000340648037 525204736 /nfs/dbraw/zinc/20/47/36/525204736.db2.gz JGNJFVLFRUJXNT-UHFFFAOYSA-N 0 0 284.275 2.872 20 5 CFBDRN Cc1ccccc1Cc1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000340646636 525205564 /nfs/dbraw/zinc/20/55/64/525205564.db2.gz NQTQNFMMCOBGDG-UHFFFAOYSA-N 0 0 284.275 2.872 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000336064738 525216940 /nfs/dbraw/zinc/21/69/40/525216940.db2.gz CJGIDCWALSYDLH-NXEZZACHSA-N 0 0 287.319 2.628 20 5 CFBDRN C[C@]1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1(F)F ZINC000335046920 525217590 /nfs/dbraw/zinc/21/75/90/525217590.db2.gz JRBPIKNUAUJUQO-SNVBAGLBSA-N 0 0 274.198 2.718 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000362022584 525218099 /nfs/dbraw/zinc/21/80/99/525218099.db2.gz HOJPCNFSRIWMHF-SNVBAGLBSA-N 0 0 280.349 2.985 20 5 CFBDRN Cc1cc(NCC[C@H](O)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000360076750 525272917 /nfs/dbraw/zinc/27/29/17/525272917.db2.gz XYTKPVRULUQPAL-JTQLQIEISA-N 0 0 296.220 2.768 20 5 CFBDRN CS(=O)(=O)c1cccc(Oc2ccccc2[N+](=O)[O-])c1 ZINC000052332801 525273237 /nfs/dbraw/zinc/27/32/37/525273237.db2.gz UFPNFOMYIIPMES-UHFFFAOYSA-N 0 0 293.300 2.791 20 5 CFBDRN Cc1ccc(NCCCNC(=O)CC(C)C)c([N+](=O)[O-])c1 ZINC000156958434 525274816 /nfs/dbraw/zinc/27/48/16/525274816.db2.gz FAMWADAIKVIWOY-UHFFFAOYSA-N 0 0 293.367 2.868 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC[C@@H](CO)C2)ncc1[N+](=O)[O-] ZINC000312714396 525275864 /nfs/dbraw/zinc/27/58/64/525275864.db2.gz UAAWGNBMHPWTDJ-VXGBXAGGSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1cc(NCc2ccnc(C)n2)c(F)cc1[N+](=O)[O-] ZINC000336842793 525283210 /nfs/dbraw/zinc/28/32/10/525283210.db2.gz VLCUYPHQXAYUMD-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1cncs1 ZINC000338902301 525313082 /nfs/dbraw/zinc/31/30/82/525313082.db2.gz HZYPOHKOSSVTOU-SSDOTTSWSA-N 0 0 295.295 2.681 20 5 CFBDRN Cc1ccc(N[C@H](C)Cn2cccn2)c([N+](=O)[O-])c1 ZINC000049077618 525316296 /nfs/dbraw/zinc/31/62/96/525316296.db2.gz DQDQOPFRXSAJQX-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CCCCC1 ZINC000052345022 525330107 /nfs/dbraw/zinc/33/01/07/525330107.db2.gz HJFNHXDLNUGZGJ-SNVBAGLBSA-N 0 0 291.351 2.876 20 5 CFBDRN C[C@@H](NC(=O)c1ccncc1F)c1cccc([N+](=O)[O-])c1 ZINC000078086627 525365579 /nfs/dbraw/zinc/36/55/79/525365579.db2.gz UUYMOAWOCKANJY-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN Cc1ccc(OCCOc2ccccc2[N+](=O)[O-])nc1 ZINC000123566110 525424792 /nfs/dbraw/zinc/42/47/92/525424792.db2.gz XZYYJJAHCZJQCP-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCCC[C@H]2C)c1 ZINC000067297157 525446076 /nfs/dbraw/zinc/44/60/76/525446076.db2.gz ZHWVIZDBIZFTNV-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1ccc(OCc2nccc(C)n2)c([N+](=O)[O-])c1 ZINC000339308786 525449208 /nfs/dbraw/zinc/44/92/08/525449208.db2.gz JYIYJQKPRCCDPE-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H](O)CC(C)(C)C)c1 ZINC000230906814 525450979 /nfs/dbraw/zinc/45/09/79/525450979.db2.gz PBPFPNLVBMWKEQ-JTQLQIEISA-N 0 0 282.340 2.812 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2cnccc2C)c1 ZINC000338720543 525451066 /nfs/dbraw/zinc/45/10/66/525451066.db2.gz YCVRSUDSJWPNHC-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CCCOC(C)C)c1 ZINC000338672677 525451449 /nfs/dbraw/zinc/45/14/49/525451449.db2.gz JINXMQUYCCSPKM-UHFFFAOYSA-N 0 0 282.340 2.855 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@H](O)C2)c1 ZINC000230910095 525452060 /nfs/dbraw/zinc/45/20/60/525452060.db2.gz XWCNVZXQHBHTTL-QWRGUYRKSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cnn3ccccc23)c1 ZINC000338720482 525452100 /nfs/dbraw/zinc/45/21/00/525452100.db2.gz DKTIXZHGCRRPCW-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ccc(F)cn2)c1 ZINC000338682814 525454004 /nfs/dbraw/zinc/45/40/04/525454004.db2.gz QVSTZNZDGJOJDO-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC[C@H]1C ZINC000061197554 525456185 /nfs/dbraw/zinc/45/61/85/525456185.db2.gz JUIYDBVXEYSBRD-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCC1C[C@H](C)O[C@@H](C)C1 ZINC000338486054 525557303 /nfs/dbraw/zinc/55/73/03/525557303.db2.gz XOCOUMYMXWGIQJ-RYUDHWBXSA-N 0 0 293.367 2.726 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCCCCF ZINC000361703995 525569453 /nfs/dbraw/zinc/56/94/53/525569453.db2.gz CGKUIOUIJLVTCO-UHFFFAOYSA-N 0 0 269.276 2.774 20 5 CFBDRN Cc1ccnc(COc2ccc([N+](=O)[O-])cc2C)n1 ZINC000339198006 525653239 /nfs/dbraw/zinc/65/32/39/525653239.db2.gz GYASZYPVVTYUBK-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)c1ccncc1F ZINC000337863519 525661331 /nfs/dbraw/zinc/66/13/31/525661331.db2.gz NHLJCCXCYFIWND-QMMMGPOBSA-N 0 0 262.244 2.697 20 5 CFBDRN CSC[C@H](C)NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000340532007 525686695 /nfs/dbraw/zinc/68/66/95/525686695.db2.gz LAOOQNYPGGEHCO-JTQLQIEISA-N 0 0 296.392 2.740 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCC[C@@H](CO)C2)c1[N+](=O)[O-] ZINC000312532432 525693287 /nfs/dbraw/zinc/69/32/87/525693287.db2.gz VPTHOWAJNDXWEA-NWDGAFQWSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1ccnc(N[C@H]2C[C@H](CO)c3ccccc32)c1[N+](=O)[O-] ZINC000376998368 525701514 /nfs/dbraw/zinc/70/15/14/525701514.db2.gz TYUIUFUMXKGZBB-RISCZKNCSA-N 0 0 299.330 2.931 20 5 CFBDRN Cc1c(CNC(=O)[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000360523762 525718635 /nfs/dbraw/zinc/71/86/35/525718635.db2.gz BUTSUMPALPMOJY-JTQLQIEISA-N 0 0 262.309 2.566 20 5 CFBDRN C[C@@H](Nc1ccccc1[N+](=O)[O-])c1cc[nH]c(=O)c1 ZINC000338731779 525721277 /nfs/dbraw/zinc/72/12/77/525721277.db2.gz BXKMBKJAFDWLMN-SECBINFHSA-N 0 0 259.265 2.869 20 5 CFBDRN Cc1c(CSc2nccnc2N)cccc1[N+](=O)[O-] ZINC000235824665 525736733 /nfs/dbraw/zinc/73/67/33/525736733.db2.gz SZYDYOJUFFBKJM-UHFFFAOYSA-N 0 0 276.321 2.568 20 5 CFBDRN Cc1c(Cn2cnc3ccccc3c2=O)cccc1[N+](=O)[O-] ZINC000047368439 525791490 /nfs/dbraw/zinc/79/14/90/525791490.db2.gz UZNIAACDZWWENZ-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1c(NC(=O)C2=COCCC2)cccc1[N+](=O)[O-] ZINC000068317604 525831271 /nfs/dbraw/zinc/83/12/71/525831271.db2.gz LBEBSGZHMPRZCJ-UHFFFAOYSA-N 0 0 262.265 2.536 20 5 CFBDRN Cc1c(NC(=O)N(C[C@H](C)O)C(C)C)cccc1[N+](=O)[O-] ZINC000304756839 525840377 /nfs/dbraw/zinc/84/03/77/525840377.db2.gz ZYJSAIVSGBCQJO-JTQLQIEISA-N 0 0 295.339 2.526 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2ncccc2[N+](=O)[O-])C1 ZINC000078477199 525841879 /nfs/dbraw/zinc/84/18/79/525841879.db2.gz LVTMLIWRLWQWHR-RKDXNWHRSA-N 0 0 253.327 2.686 20 5 CFBDRN Cc1c(NC(=O)N2CC[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000336308056 525844063 /nfs/dbraw/zinc/84/40/63/525844063.db2.gz SWGMHSAHCBVKNI-CYBMUJFWSA-N 0 0 275.308 2.919 20 5 CFBDRN Cc1c(NCC(=O)N2CCC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000068834898 525868360 /nfs/dbraw/zinc/86/83/60/525868360.db2.gz VXEMSJTYIPZZQX-NSHDSACASA-N 0 0 291.351 2.574 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2C[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000337874875 525907443 /nfs/dbraw/zinc/90/74/43/525907443.db2.gz UBHKBHPISDRWGJ-GDPRMGEGSA-N 0 0 298.289 2.982 20 5 CFBDRN CSc1ccc(C(=O)N2[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000336064810 525962360 /nfs/dbraw/zinc/96/23/60/525962360.db2.gz IHNVKSGDGQLZTE-RKDXNWHRSA-N 0 0 280.349 2.940 20 5 CFBDRN Cc1ccc(C(=O)C(=O)N(C)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000073304046 526015067 /nfs/dbraw/zinc/01/50/67/526015067.db2.gz PYNBRVLWRRCVBA-UHFFFAOYSA-N 0 0 298.298 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cccnc2)c1 ZINC000172961943 526052933 /nfs/dbraw/zinc/05/29/33/526052933.db2.gz UTECYGRLYVVLJM-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C[C@H]1CCCO1 ZINC000086167396 526056037 /nfs/dbraw/zinc/05/60/37/526056037.db2.gz AHJXDRGGNUSMQD-LLVKDONJSA-N 0 0 278.308 2.719 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cnccc2C)c1 ZINC000172979831 526056157 /nfs/dbraw/zinc/05/61/57/526056157.db2.gz MCFVGURTYMNLLF-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccncc2C)c1 ZINC000173604032 526056438 /nfs/dbraw/zinc/05/64/38/526056438.db2.gz ZEHZMIHZVPROCR-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cnc(COc2cc(C)c([N+](=O)[O-])cc2F)nc1 ZINC000361006424 526057552 /nfs/dbraw/zinc/05/75/52/526057552.db2.gz FRRXVFNHXPKCGY-UHFFFAOYSA-N 0 0 277.255 2.720 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)c2cncs2)n1 ZINC000338484661 526062203 /nfs/dbraw/zinc/06/22/03/526062203.db2.gz RVDFPWZSNACNRE-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2Cc3ccccc3O2)n1 ZINC000128908253 526063009 /nfs/dbraw/zinc/06/30/09/526063009.db2.gz MBFGPCPTQGGCEX-LBPRGKRZSA-N 0 0 285.303 2.714 20 5 CFBDRN Cc1cnc(N(C)CCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000361265604 526065451 /nfs/dbraw/zinc/06/54/51/526065451.db2.gz OGOLSOUEJBKTQO-UHFFFAOYSA-N 0 0 263.219 2.687 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ncnc3[nH]ccc32)c1 ZINC000339242196 526066860 /nfs/dbraw/zinc/06/68/60/526066860.db2.gz ZTHAQYCMNDVJIF-UHFFFAOYSA-N 0 0 270.248 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1cscn1 ZINC000049377939 526069860 /nfs/dbraw/zinc/06/98/60/526069860.db2.gz IQOQWHCGCPAQMR-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCCCCF)c1 ZINC000360529737 526080520 /nfs/dbraw/zinc/08/05/20/526080520.db2.gz NGMJNJLHKPIFOQ-UHFFFAOYSA-N 0 0 286.328 2.796 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H]1C1CC1 ZINC000334704227 526080940 /nfs/dbraw/zinc/08/09/40/526080940.db2.gz YBOSCFCBBYXIMU-CYBMUJFWSA-N 0 0 275.308 2.919 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000334837375 526089867 /nfs/dbraw/zinc/08/98/67/526089867.db2.gz LESKYYNBMSGLLM-MRVPVSSYSA-N 0 0 284.312 2.501 20 5 CFBDRN Cc1cnc(N[C@H](CO)c2cccc(F)c2)c([N+](=O)[O-])c1 ZINC000361263574 526096938 /nfs/dbraw/zinc/09/69/38/526096938.db2.gz UKXQUUCRWWTUEA-GFCCVEGCSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cnc(N[C@H](CO)CCC(C)(C)C)c([N+](=O)[O-])c1 ZINC000360248155 526097076 /nfs/dbraw/zinc/09/70/76/526097076.db2.gz GFYCYCGKXWOGEL-NSHDSACASA-N 0 0 281.356 2.897 20 5 CFBDRN Cc1cc(NCCc2cccc3[nH]ccc32)ncc1[N+](=O)[O-] ZINC000336904161 526113185 /nfs/dbraw/zinc/11/31/85/526113185.db2.gz SICVKUGXCPEPKW-UHFFFAOYSA-N 0 0 296.330 2.856 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269368962 534914676 /nfs/dbraw/zinc/91/46/76/534914676.db2.gz LJMHBNBRTYLSJJ-SNVBAGLBSA-N 0 0 296.371 2.996 20 5 CFBDRN C[C@@H]1CC(CCNc2ncccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000338483332 526226868 /nfs/dbraw/zinc/22/68/68/526226868.db2.gz DEELTWQKBDIFRS-GHMZBOCLSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@H]1CC(NC(=O)c2c(N)cccc2[N+](=O)[O-])C[C@H](C)C1 ZINC000181113957 526228549 /nfs/dbraw/zinc/22/85/49/526228549.db2.gz RRIJPFKBENZEEF-NXEZZACHSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1cnccc1CNc1ncc([N+](=O)[O-])cc1C ZINC000228703760 526235726 /nfs/dbraw/zinc/23/57/26/526235726.db2.gz GVYBUWCDMBWUTI-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CC(C)(C)NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000413103314 526321286 /nfs/dbraw/zinc/32/12/86/526321286.db2.gz IUFUVGBTXMHPQW-NWDGAFQWSA-N 0 0 262.309 2.613 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCC[C@@H]1c1ccccc1Cl ZINC000363399446 526508741 /nfs/dbraw/zinc/50/87/41/526508741.db2.gz YTBVHIWHNPOPCE-RAIGVLPGSA-N 0 0 294.738 2.669 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC=C(F)C1 ZINC000334246777 526580558 /nfs/dbraw/zinc/58/05/58/526580558.db2.gz IUTSTWXRFQYVIK-UHFFFAOYSA-N 0 0 289.266 2.775 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2ccc([N+](=O)[O-])cc2)s1 ZINC000054648018 526704043 /nfs/dbraw/zinc/70/40/43/526704043.db2.gz XMJCZBRIDQAQOE-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1oc(-c2ccccc2)nc1Cn1cc([N+](=O)[O-])cn1 ZINC000079078441 526741181 /nfs/dbraw/zinc/74/11/81/526741181.db2.gz QRQNJYVODMNVBV-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN CC(C)(C)c1ccncc1CNc1ncc([N+](=O)[O-])s1 ZINC000413515811 526804260 /nfs/dbraw/zinc/80/42/60/526804260.db2.gz NHJABVBEENENHP-UHFFFAOYSA-N 0 0 292.364 2.778 20 5 CFBDRN Cc1nc(CN(C)Cc2ccc([N+](=O)[O-])cc2Cl)no1 ZINC000182460967 526840613 /nfs/dbraw/zinc/84/06/13/526840613.db2.gz QLSUPUFRRGXJPR-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H](C3CC3)C2)cc1[N+](=O)[O-] ZINC000334207876 526872528 /nfs/dbraw/zinc/87/25/28/526872528.db2.gz DYQIEWJBRFHDJN-SNVBAGLBSA-N 0 0 280.349 2.837 20 5 CFBDRN Cc1nc(N[C@H](C)c2cccnc2)ccc1[N+](=O)[O-] ZINC000161508074 527001354 /nfs/dbraw/zinc/00/13/54/527001354.db2.gz KQYNIYVWLHHDNK-SECBINFHSA-N 0 0 258.281 2.866 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]21 ZINC000336055280 527123531 /nfs/dbraw/zinc/12/35/31/527123531.db2.gz GAOUCTYABRUYCI-UFBFGSQYSA-N 0 0 279.271 2.750 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000413382678 527217682 /nfs/dbraw/zinc/21/76/82/527217682.db2.gz ZHXPTZFKZSKNOF-BXKDBHETSA-N 0 0 278.308 2.777 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1C[C@@H]1C(F)F ZINC000337945308 527261668 /nfs/dbraw/zinc/26/16/68/527261668.db2.gz JFMYECAIDAOCAS-NKWVEPMBSA-N 0 0 274.198 2.574 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1CC[C@@H](F)C1 ZINC000335344457 527262361 /nfs/dbraw/zinc/26/23/61/527262361.db2.gz ASTRKTNPFJJXLK-SFYZADRCSA-N 0 0 285.250 2.746 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Cl)cn1 ZINC000172689133 527271876 /nfs/dbraw/zinc/27/18/76/527271876.db2.gz AQAHYUZZDKRVKB-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C1CCCCC1 ZINC000125713862 527314914 /nfs/dbraw/zinc/31/49/14/527314914.db2.gz MYKDKOGAJLRNSR-JTQLQIEISA-N 0 0 291.351 2.997 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC[C@H]1C ZINC000048413023 527314930 /nfs/dbraw/zinc/31/49/30/527314930.db2.gz VBELZFUFZYGXMF-RNCFNFMXSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cnc2ccccc2c1 ZINC000338546398 527316076 /nfs/dbraw/zinc/31/60/76/527316076.db2.gz MBTKNLCVSDKQMI-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2C[C@@H]2C2CC2)nc2sccn21 ZINC000362373547 527322790 /nfs/dbraw/zinc/32/27/90/527322790.db2.gz MMMIHVYVNFHVRQ-SFYZADRCSA-N 0 0 264.310 2.514 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1C[C@H]1c1c(F)cccc1F ZINC000340892712 527324312 /nfs/dbraw/zinc/32/43/12/527324312.db2.gz FXBCGJOMVMMCGF-PRHODGIISA-N 0 0 291.257 2.658 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cc(F)c(F)c(F)c1 ZINC000336451277 527327851 /nfs/dbraw/zinc/32/78/51/527327851.db2.gz QNKLMUIVZAEVBW-UHFFFAOYSA-N 0 0 299.208 2.603 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H](c3cc[nH]n3)C2)cs1 ZINC000194272791 527329457 /nfs/dbraw/zinc/32/94/57/527329457.db2.gz YJZMTOYXCFAWAW-LLVKDONJSA-N 0 0 292.364 2.759 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCO[C@@H]1C1CC1 ZINC000230309181 527335657 /nfs/dbraw/zinc/33/56/57/527335657.db2.gz GSXUSDOQVNJVAU-GXSJLCMTSA-N 0 0 283.715 2.623 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H]1CCC[C@@H](CO)C1 ZINC000312595395 527335895 /nfs/dbraw/zinc/33/58/95/527335895.db2.gz FCNKXIQTAWYUIT-NXEZZACHSA-N 0 0 299.758 2.854 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1CCC[C@H](O)C1 ZINC000078304143 527337185 /nfs/dbraw/zinc/33/71/85/527337185.db2.gz PLLOFQMIZSQRDO-ONGXEEELSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(Cc3ccon3)c2c(Cl)c1 ZINC000340851646 527340990 /nfs/dbraw/zinc/34/09/90/527340990.db2.gz DRQGBDIJHBRTKN-UHFFFAOYSA-N 0 0 278.655 2.634 20 5 CFBDRN O=C(Nc1cccc(Cl)c1)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000187538569 527343542 /nfs/dbraw/zinc/34/35/42/527343542.db2.gz ACGYJDZGEIJXKU-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ccco2)c(F)c1 ZINC000310940031 527351802 /nfs/dbraw/zinc/35/18/02/527351802.db2.gz KXHAIYORYLEOJZ-UHFFFAOYSA-N 0 0 250.229 2.617 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@@H]1CCO[C@H]1C1CC1 ZINC000228723302 527358287 /nfs/dbraw/zinc/35/82/87/527358287.db2.gz BVGWGMKCPZNKJY-MFKMUULPSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCOC2)c(F)c1 ZINC000230102619 527365755 /nfs/dbraw/zinc/36/57/55/527365755.db2.gz MUZNHRLTNSQEQG-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(-c3ncc[nH]3)c2)cn1 ZINC000361058337 527366838 /nfs/dbraw/zinc/36/68/38/527366838.db2.gz BTIAYXLANBMMMJ-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ncoc1C1CC1 ZINC000338463785 527374680 /nfs/dbraw/zinc/37/46/80/527374680.db2.gz YAUQRABZJJXFIH-UHFFFAOYSA-N 0 0 273.248 2.713 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1CCCSC1 ZINC000334605901 527375469 /nfs/dbraw/zinc/37/54/69/527375469.db2.gz XLZOKZPOAGQMNF-VIFPVBQESA-N 0 0 266.322 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1ccc(CO)cc1)CC2 ZINC000305805498 527379159 /nfs/dbraw/zinc/37/91/59/527379159.db2.gz GAJXSWXPJIOEEV-UHFFFAOYSA-N 0 0 298.342 2.645 20 5 CFBDRN O=C(Nc1cccc2cccnc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000340424126 527385046 /nfs/dbraw/zinc/38/50/46/527385046.db2.gz IKJSZMMFNJWLRD-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@H]3CCC[C@@H]3O)ccnc21 ZINC000214766468 527395723 /nfs/dbraw/zinc/39/57/23/527395723.db2.gz LMYRQEAPPYTLDQ-YGRLFVJLSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSc1nncs1 ZINC000071960137 527404516 /nfs/dbraw/zinc/40/45/16/527404516.db2.gz KBOIMQOXEDCHEW-UHFFFAOYSA-N 0 0 283.334 2.617 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2CCc3ccccc3C2)cn1 ZINC000336366350 527408530 /nfs/dbraw/zinc/40/85/30/527408530.db2.gz DINHDKKQHBKMQX-LLVKDONJSA-N 0 0 257.293 2.596 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCc1cccnn1 ZINC000236411878 527412096 /nfs/dbraw/zinc/41/20/96/527412096.db2.gz OBZIYVRJYVTUDV-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@@H]2CCC3(CCCCC3)O2)c1 ZINC000191820485 527415575 /nfs/dbraw/zinc/41/55/75/527415575.db2.gz FBAXCDWHRLMPKK-LBPRGKRZSA-N 0 0 265.313 2.673 20 5 CFBDRN O=[N+]([O-])c1cnn(CCc2ccccc2Cl)c1 ZINC000077932052 527416336 /nfs/dbraw/zinc/41/63/36/527416336.db2.gz LZCWVZRZABYUKM-UHFFFAOYSA-N 0 0 251.673 2.687 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCO[C@@H](CF)C1 ZINC000414466420 527501538 /nfs/dbraw/zinc/50/15/38/527501538.db2.gz BOVRNPPVINCNSV-XCHKQJEOSA-N 0 0 294.326 2.668 20 5 CFBDRN O=C(NC1CCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000052210582 527501811 /nfs/dbraw/zinc/50/18/11/527501811.db2.gz HOLHMXFODPQZOJ-UHFFFAOYSA-N 0 0 254.673 2.531 20 5 CFBDRN CC(C)(C(=O)Nc1ccccn1)c1ccccc1[N+](=O)[O-] ZINC000429910611 527539729 /nfs/dbraw/zinc/53/97/29/527539729.db2.gz OVARZYRCZBYFLW-UHFFFAOYSA-N 0 0 285.303 2.906 20 5 CFBDRN O=C(NCC(F)F)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000073158039 527558283 /nfs/dbraw/zinc/55/82/83/527558283.db2.gz SFIYTMBSUNLXBV-UHFFFAOYSA-N 0 0 286.259 2.804 20 5 CFBDRN Cc1nn(C)c(NCCO[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000052280824 527678779 /nfs/dbraw/zinc/67/87/79/527678779.db2.gz OJYYRYOVCLYBOM-ZYHUDNBSSA-N 0 0 296.371 2.644 20 5 CFBDRN Cc1nn(C)c(N[C@H]2C[C@@H](OC(C)C)C2(C)C)c1[N+](=O)[O-] ZINC000361618072 527679804 /nfs/dbraw/zinc/67/98/04/527679804.db2.gz ZWOMVMARBXIAQR-WDEREUQCSA-N 0 0 296.371 2.641 20 5 CFBDRN CCO[C@@H](CCNc1ncc([N+](=O)[O-])cc1F)C(C)C ZINC000413173108 527731481 /nfs/dbraw/zinc/73/14/81/527731481.db2.gz CBMRYMRAXZSCLK-LBPRGKRZSA-N 0 0 285.319 2.992 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413253422 527841090 /nfs/dbraw/zinc/84/10/90/527841090.db2.gz UVRIWTKPCPGLJX-STQMWFEESA-N 0 0 287.319 2.714 20 5 CFBDRN CC(C)[C@H]1C[C@H](CNc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000413317535 527879930 /nfs/dbraw/zinc/87/99/30/527879930.db2.gz RAAZVLPEHMGRPN-ZWNOBZJWSA-N 0 0 297.330 2.992 20 5 CFBDRN CC(C)OCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000414420251 527901307 /nfs/dbraw/zinc/90/13/07/527901307.db2.gz WLQKGEWXBIJEIF-UHFFFAOYSA-N 0 0 295.339 2.920 20 5 CFBDRN CC(C)OCC(C)(C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000413996219 527902768 /nfs/dbraw/zinc/90/27/68/527902768.db2.gz GAQYRPIGMLMZTM-UHFFFAOYSA-N 0 0 298.314 2.667 20 5 CFBDRN CC1(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCOCC1 ZINC000130191693 528029260 /nfs/dbraw/zinc/02/92/60/528029260.db2.gz PDGFSGULZJFIDW-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CCC[C@H](O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413136728 528262691 /nfs/dbraw/zinc/26/26/91/528262691.db2.gz LGVMQOLZMUJVJL-LBPRGKRZSA-N 0 0 275.308 2.716 20 5 CFBDRN CC(C)C[C@@H](CCO)CNc1ncc([N+](=O)[O-])cc1F ZINC000413166352 528290532 /nfs/dbraw/zinc/29/05/32/528290532.db2.gz IHYFDHUUSPWRCA-SNVBAGLBSA-N 0 0 285.319 2.586 20 5 CFBDRN CCOc1cc(NCc2ccnc(C)n2)ccc1[N+](=O)[O-] ZINC000111047321 528329012 /nfs/dbraw/zinc/32/90/12/528329012.db2.gz LKWPAHNLQWRHER-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000428106592 528403868 /nfs/dbraw/zinc/40/38/68/528403868.db2.gz JVGMIGNOBNOPSH-GNXNZQSNSA-N 0 0 290.319 2.522 20 5 CFBDRN CCN(CCC1CC1)c1ncc([N+](=O)[O-])cc1F ZINC000413221855 528428902 /nfs/dbraw/zinc/42/89/02/528428902.db2.gz ADUTUDNKTGLPDB-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN CCOC1CC(CCNc2ncc([N+](=O)[O-])cc2F)C1 ZINC000413260771 528495249 /nfs/dbraw/zinc/49/52/49/528495249.db2.gz RFNPMNKZHYMEGQ-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN CCCCNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000132182899 528521730 /nfs/dbraw/zinc/52/17/30/528521730.db2.gz GJSDPACUDAHPON-UHFFFAOYSA-N 0 0 251.286 2.825 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC12CC2 ZINC000425674541 528614652 /nfs/dbraw/zinc/61/46/52/528614652.db2.gz PDHZCDVECRWYEL-GFCCVEGCSA-N 0 0 291.307 2.668 20 5 CFBDRN CCC(CC)CNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000104551798 528756958 /nfs/dbraw/zinc/75/69/58/528756958.db2.gz IADHYGBJPZGYQF-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN CCC(O)(CC)COc1cc(C)ccc1[N+](=O)[O-] ZINC000165225209 528976680 /nfs/dbraw/zinc/97/66/80/528976680.db2.gz CGMHZFOPFPWVRK-UHFFFAOYSA-N 0 0 253.298 2.833 20 5 CFBDRN CCn1ccc(CNc2c(C)cccc2[N+](=O)[O-])n1 ZINC000413499517 529175884 /nfs/dbraw/zinc/17/58/84/529175884.db2.gz YWVJYDJBFAFBSW-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CCSCCCNc1ncc([N+](=O)[O-])cc1F ZINC000413282176 529178795 /nfs/dbraw/zinc/17/87/95/529178795.db2.gz LSSSOOQSGPLABP-UHFFFAOYSA-N 0 0 259.306 2.684 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1)[C@@H](O)C(C)C ZINC000413548328 529210107 /nfs/dbraw/zinc/21/01/07/529210107.db2.gz UYUDXQGYQKFDEL-OLZOCXBDSA-N 0 0 252.314 2.802 20 5 CFBDRN CC(C)CCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000263776486 534990921 /nfs/dbraw/zinc/99/09/21/534990921.db2.gz NISRDFBLHDPYMO-UHFFFAOYSA-N 0 0 260.297 2.899 20 5 CFBDRN CCCCCOC1CN(c2ccccc2[N+](=O)[O-])C1 ZINC000433757943 535087674 /nfs/dbraw/zinc/08/76/74/535087674.db2.gz PYTYCIUPCZRKPV-UHFFFAOYSA-N 0 0 264.325 2.990 20 5 CFBDRN CCCCCOc1ccc([N+](=O)[O-])c(C(=O)OC)c1 ZINC000500864759 535093246 /nfs/dbraw/zinc/09/32/46/535093246.db2.gz QQWAESAPZJUPQK-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN CC(C)[C@@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000269331405 535143681 /nfs/dbraw/zinc/14/36/81/535143681.db2.gz FMTSQFLBCWCNFG-MRVPVSSYSA-N 0 0 255.245 2.931 20 5 CFBDRN CC(F)(F)CCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000452208371 535197319 /nfs/dbraw/zinc/19/73/19/535197319.db2.gz XXVRUHMCYGUSTP-UHFFFAOYSA-N 0 0 290.241 2.899 20 5 CFBDRN CCCN(C(=O)CCOCC)c1cccc([N+](=O)[O-])c1 ZINC000194136306 535201558 /nfs/dbraw/zinc/20/15/58/535201558.db2.gz LWMOOUZIJNBRKI-UHFFFAOYSA-N 0 0 280.324 2.764 20 5 CFBDRN CC(C)(NC(=O)/C=C\c1ccccc1[N+](=O)[O-])C1CC1 ZINC000492270860 535212854 /nfs/dbraw/zinc/21/28/54/535212854.db2.gz FDLUEAUDAOFERL-YFHOEESVSA-N 0 0 274.320 2.913 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])n1C)C(C)(C)C ZINC000444739978 535241361 /nfs/dbraw/zinc/24/13/61/535241361.db2.gz VIAOIPXOUGJQQO-UHFFFAOYSA-N 0 0 267.329 2.584 20 5 CFBDRN CCCN(CC(C)(C)O)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000267196577 535285970 /nfs/dbraw/zinc/28/59/70/535285970.db2.gz XOPYRIZCRBVJEB-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN CC(C)[C@@H]1[C@@H](C(C)C)CN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000456275002 535304654 /nfs/dbraw/zinc/30/46/54/535304654.db2.gz AKNBGDBKDPFPEW-ZWNOBZJWSA-N 0 0 279.340 2.676 20 5 CFBDRN CCOc1ccc2c(c1)CCN(c1ccc([N+](=O)[O-])nc1)C2 ZINC000433529247 535435783 /nfs/dbraw/zinc/43/57/83/535435783.db2.gz IBDGUALIJLRFSI-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1Nc1ncc([N+](=O)[O-])s1 ZINC000450943930 535745424 /nfs/dbraw/zinc/74/54/24/535745424.db2.gz XLVGZVKWHNVTTJ-RKDXNWHRSA-N 0 0 271.342 2.811 20 5 CFBDRN CCOC1CC(CCNc2nc(C)ccc2[N+](=O)[O-])C1 ZINC000191622740 535753348 /nfs/dbraw/zinc/75/33/48/535753348.db2.gz VILJTAUBPXWPAV-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000505634524 535842144 /nfs/dbraw/zinc/84/21/44/535842144.db2.gz HWFAUGJONAIITG-GXTWGEPZSA-N 0 0 278.352 2.903 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000459293120 535940623 /nfs/dbraw/zinc/94/06/23/535940623.db2.gz SZJINHGPHUQSEI-YPMHNXCESA-N 0 0 293.323 2.592 20 5 CFBDRN CC[C@H](O)CCCNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000357536915 535966414 /nfs/dbraw/zinc/96/64/14/535966414.db2.gz UIUVUCDZGOVCPP-LBPRGKRZSA-N 0 0 280.324 2.760 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450334401 536000493 /nfs/dbraw/zinc/00/04/93/536000493.db2.gz ZWSAIZLPKRBWFE-IINYFYTJSA-N 0 0 289.335 2.962 20 5 CFBDRN CCc1ccnc(CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000435589243 536013237 /nfs/dbraw/zinc/01/32/37/536013237.db2.gz PFPXONCRPRQHIP-UHFFFAOYSA-N 0 0 258.281 2.559 20 5 CFBDRN CCc1cnc(CN(C)c2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000268467256 536033639 /nfs/dbraw/zinc/03/36/39/536033639.db2.gz IMAIYYBDYOXTMA-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NC2(CC)CCCCC2)n1 ZINC000456231544 536092921 /nfs/dbraw/zinc/09/29/21/536092921.db2.gz NBESXMJNQJEUIL-UHFFFAOYSA-N 0 0 294.355 2.654 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] ZINC000264038130 536168770 /nfs/dbraw/zinc/16/87/70/536168770.db2.gz WYZJVJYFEBSODW-QMMMGPOBSA-N 0 0 298.364 2.854 20 5 CFBDRN CCc1nn(C)c(NC[C@@H](C)c2nccs2)c1[N+](=O)[O-] ZINC000230608661 536181442 /nfs/dbraw/zinc/18/14/42/536181442.db2.gz DEPZFQKGFSBBEL-MRVPVSSYSA-N 0 0 295.368 2.563 20 5 CFBDRN COC(=O)Cc1ccc(NCC2(C)CC2)c([N+](=O)[O-])c1 ZINC000450363828 536367006 /nfs/dbraw/zinc/36/70/06/536367006.db2.gz JXWVESVTOMOHMV-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN COC(=O)c1cc(NCCCSC)cc(C)c1[N+](=O)[O-] ZINC000268479386 536565810 /nfs/dbraw/zinc/56/58/10/536565810.db2.gz KNAYZKJQZMYCJG-UHFFFAOYSA-N 0 0 298.364 2.855 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000459900700 536623953 /nfs/dbraw/zinc/62/39/53/536623953.db2.gz MZBVXLKKVWOKPV-SMDDNHRTSA-N 0 0 292.335 2.763 20 5 CFBDRN COC1CCC(N(C)c2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000268474431 536733469 /nfs/dbraw/zinc/73/34/69/536733469.db2.gz JZSFLZRJFXBDIH-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000234796914 536764563 /nfs/dbraw/zinc/76/45/63/536764563.db2.gz GHCXRAJABANIJM-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@](C)(F)C1 ZINC000452192381 536950582 /nfs/dbraw/zinc/95/05/82/536950582.db2.gz GFEGXHKBIFYFTI-AWEZNQCLSA-N 0 0 296.298 2.568 20 5 CFBDRN COc1cccc(C(=O)NCC2=CCCCC2)c1[N+](=O)[O-] ZINC000452293558 537084738 /nfs/dbraw/zinc/08/47/38/537084738.db2.gz TVCGLHDKMWZZES-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN CC(C)C1CN(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935063351 649870498 /nfs/dbraw/zinc/87/04/98/649870498.db2.gz CABMLUCSJJFQOD-GJZGRUSLSA-N 0 0 288.347 2.813 20 5 CFBDRN CC(C)CCOC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 ZINC000727565113 574056179 /nfs/dbraw/zinc/05/61/79/574056179.db2.gz DWRCEZBTLQDAIQ-UHFFFAOYSA-N 0 0 295.291 2.569 20 5 CFBDRN CCOCCCCOC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000744205900 574061026 /nfs/dbraw/zinc/06/10/26/574061026.db2.gz WSOKHEUZLLPCOJ-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCc1ccsc1 ZINC000744236965 574061954 /nfs/dbraw/zinc/06/19/54/574061954.db2.gz VSODCTKPLKFKQG-UHFFFAOYSA-N 0 0 277.301 2.942 20 5 CFBDRN COC1(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)CCCC1 ZINC000754003635 574062715 /nfs/dbraw/zinc/06/27/15/574062715.db2.gz CYXBOJHRJHGQRM-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN CCCC[C@@H](CCC)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000730298502 574071281 /nfs/dbraw/zinc/07/12/81/574071281.db2.gz NMAGKBLFTIFCDK-LLVKDONJSA-N 0 0 283.328 2.693 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000727906588 574071816 /nfs/dbraw/zinc/07/18/16/574071816.db2.gz HVAFUQGTTDIAMK-JQWIXIFHSA-N 0 0 279.292 2.626 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCCCCCO)CC2 ZINC000754108224 574073434 /nfs/dbraw/zinc/07/34/34/574073434.db2.gz ARMIHGMNWYJCPX-UHFFFAOYSA-N 0 0 278.352 2.818 20 5 CFBDRN CCCC(=O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000738622375 574076614 /nfs/dbraw/zinc/07/66/14/574076614.db2.gz ODXTWQOFLLBKNL-UHFFFAOYSA-N 0 0 290.275 2.602 20 5 CFBDRN CCc1cnc(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000744484859 574077321 /nfs/dbraw/zinc/07/73/21/574077321.db2.gz MKCJJJUFZYEADV-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCC(=O)C1CCCC1 ZINC000744529476 574079409 /nfs/dbraw/zinc/07/94/09/574079409.db2.gz KOBZHWBAJUDLFH-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)o1 ZINC000754218063 574082742 /nfs/dbraw/zinc/08/27/42/574082742.db2.gz QJEUMAWSSPAZQS-UHFFFAOYSA-N 0 0 278.239 2.817 20 5 CFBDRN C[C@H](OC(=O)/C=C/c1cnccn1)c1cccc([N+](=O)[O-])c1 ZINC000728167108 574083485 /nfs/dbraw/zinc/08/34/85/574083485.db2.gz LKUASLAOIVXOIO-QRGHLMKCSA-N 0 0 299.286 2.702 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCc1cnccn1 ZINC000754290336 574086842 /nfs/dbraw/zinc/08/68/42/574086842.db2.gz FHDYHDWLFKTNCX-DHZHZOJOSA-N 0 0 299.286 2.532 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1ccc(C)cc1[N+](=O)[O-] ZINC000754066084 574087301 /nfs/dbraw/zinc/08/73/01/574087301.db2.gz SXYCKHCXAVDNNS-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CC(C)OCCOC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730643884 574090940 /nfs/dbraw/zinc/09/09/40/574090940.db2.gz UWJOYFOUOIEDLK-UHFFFAOYSA-N 0 0 287.699 2.830 20 5 CFBDRN C/C=C/COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730644028 574091161 /nfs/dbraw/zinc/09/11/61/574091161.db2.gz QGEOKMZUCVSXFU-NSCUHMNNSA-N 0 0 255.657 2.981 20 5 CFBDRN C[C@H]1COCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000754385257 574092564 /nfs/dbraw/zinc/09/25/64/574092564.db2.gz BUTDBNQRQALVHI-SNVBAGLBSA-N 0 0 284.743 2.717 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC(=O)C1CCCC1 ZINC000744916247 574093110 /nfs/dbraw/zinc/09/31/10/574093110.db2.gz XCMQFSPKUOBZIM-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN C[C@H](OC(=O)C1=COCCC1)c1cccc([N+](=O)[O-])c1 ZINC000730744697 574094612 /nfs/dbraw/zinc/09/46/12/574094612.db2.gz NTFXDQBLVSHJCG-JTQLQIEISA-N 0 0 277.276 2.893 20 5 CFBDRN CC(C)CONC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000754425827 574095279 /nfs/dbraw/zinc/09/52/79/574095279.db2.gz CBQXDVREDPVKOY-SECBINFHSA-N 0 0 284.287 2.541 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnnc1C ZINC000754461041 574097045 /nfs/dbraw/zinc/09/70/45/574097045.db2.gz UBGZUTBYHAWYRA-KTKRTIGZSA-N 0 0 298.302 2.735 20 5 CFBDRN CC(C)C(=O)COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000730844077 574099746 /nfs/dbraw/zinc/09/97/46/574099746.db2.gz JNEPSHGOUVCNAJ-UHFFFAOYSA-N 0 0 285.683 2.630 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000754571022 574104081 /nfs/dbraw/zinc/10/40/81/574104081.db2.gz ACOYZBKNFYXUQQ-LBPRGKRZSA-N 0 0 281.308 2.875 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000754587987 574106022 /nfs/dbraw/zinc/10/60/22/574106022.db2.gz YZPUBWMDWZCVHQ-LBPRGKRZSA-N 0 0 267.281 2.567 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CC1(Cl)Cl ZINC000731028595 574106868 /nfs/dbraw/zinc/10/68/68/574106868.db2.gz ZIGGVOXHNSBIRM-ZETCQYMHSA-N 0 0 276.123 2.904 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000754605577 574108367 /nfs/dbraw/zinc/10/83/67/574108367.db2.gz HBEGAMYDECUBTD-SECBINFHSA-N 0 0 299.348 2.899 20 5 CFBDRN C/C(=C\C(=O)NCCOC(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000754659834 574111151 /nfs/dbraw/zinc/11/11/51/574111151.db2.gz BVMZBXXLLBXNAU-ZRDIBKRKSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000754581132 574113857 /nfs/dbraw/zinc/11/38/57/574113857.db2.gz ZXDAPIKCROTVNW-MRZGDXHCSA-N 0 0 293.319 2.966 20 5 CFBDRN COc1ccc(COC(=O)/C=C/C2CC2)cc1[N+](=O)[O-] ZINC000745555699 574117081 /nfs/dbraw/zinc/11/70/81/574117081.db2.gz VVDCWOXXUXPRCG-FNORWQNLSA-N 0 0 277.276 2.613 20 5 CFBDRN Cc1cc(-c2nn[nH]n2)ccc1Oc1ccccc1[N+](=O)[O-] ZINC000737222079 574118762 /nfs/dbraw/zinc/11/87/62/574118762.db2.gz VLKRLXXKCIVEED-UHFFFAOYSA-N 0 0 297.274 2.876 20 5 CFBDRN O=C(CC1CCC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000745572765 574119071 /nfs/dbraw/zinc/11/90/71/574119071.db2.gz GRLDMFBHJPTNNR-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1cc(F)ccc1[N+](=O)[O-] ZINC000754798957 574121285 /nfs/dbraw/zinc/12/12/85/574121285.db2.gz GZXUCLXERFMJOJ-NSHDSACASA-N 0 0 297.282 2.850 20 5 CFBDRN COCC[C@@H](C)OC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000754610108 574121540 /nfs/dbraw/zinc/12/15/40/574121540.db2.gz SLWSQPXPGYCTHO-SNVBAGLBSA-N 0 0 299.348 2.655 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000754811151 574122585 /nfs/dbraw/zinc/12/25/85/574122585.db2.gz WQPGOLNGSCOADM-LLVKDONJSA-N 0 0 297.282 2.850 20 5 CFBDRN Cc1nc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)oc1C ZINC000731516752 574122731 /nfs/dbraw/zinc/12/27/31/574122731.db2.gz JAGSUEPPHHTRMD-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731545975 574123045 /nfs/dbraw/zinc/12/30/45/574123045.db2.gz BQSYOFAZNMJMGI-VXNVDRBHSA-N 0 0 253.229 2.547 20 5 CFBDRN CC/C=C\COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731548027 574123307 /nfs/dbraw/zinc/12/33/07/574123307.db2.gz SRMYOMZFMQUJDN-ARJAWSKDSA-N 0 0 253.229 2.857 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCNc2nccs2)cc1 ZINC000731663659 574126272 /nfs/dbraw/zinc/12/62/72/574126272.db2.gz MMLZKTMOQFVNBY-UHFFFAOYSA-N 0 0 265.294 2.542 20 5 CFBDRN COc1cc(C(=O)OC[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000745959532 574129282 /nfs/dbraw/zinc/12/92/82/574129282.db2.gz RCTCNDACWWAHIC-SNVBAGLBSA-N 0 0 297.332 2.656 20 5 CFBDRN O=C(C[C@H]1CCOC1)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746075940 574132769 /nfs/dbraw/zinc/13/27/69/574132769.db2.gz LPLRHCGSJCPZIB-SECBINFHSA-N 0 0 299.710 2.718 20 5 CFBDRN CCC(=O)COC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755025134 574133206 /nfs/dbraw/zinc/13/32/06/574133206.db2.gz PWBBNHBRCZFTGL-NTMALXAHSA-N 0 0 277.276 2.520 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ccc2nccn2c1 ZINC000731906976 574133355 /nfs/dbraw/zinc/13/33/55/574133355.db2.gz AMSQVLAGYRFABA-UHFFFAOYSA-N 0 0 297.270 2.600 20 5 CFBDRN C[C@H](C(=O)Oc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000741506685 574133731 /nfs/dbraw/zinc/13/37/31/574133731.db2.gz YZMZKXXAVUIPPQ-VIFPVBQESA-N 0 0 261.233 2.897 20 5 CFBDRN C[C@]1(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])CCOC1 ZINC000755115618 574139585 /nfs/dbraw/zinc/13/95/85/574139585.db2.gz LDGVUYUSXARXLL-ZDUSSCGKSA-N 0 0 299.710 2.718 20 5 CFBDRN Cc1nnc([C@H](C)NCc2ccc(Cl)c([N+](=O)[O-])c2)o1 ZINC000755150281 574142734 /nfs/dbraw/zinc/14/27/34/574142734.db2.gz KNLWEFLATHPMPI-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN Cc1occc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728987445 574144050 /nfs/dbraw/zinc/14/40/50/574144050.db2.gz GSKQQUBFFWHNBL-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1c1ccccc1 ZINC000746317052 574144699 /nfs/dbraw/zinc/14/46/99/574144699.db2.gz INCLFLDBFBMIRU-OAHLLOKOSA-N 0 0 297.314 2.764 20 5 CFBDRN Cc1ccc2c(c1)CCCN2C(=O)c1cc([N+](=O)[O-])cn1C ZINC000755189203 574145172 /nfs/dbraw/zinc/14/51/72/574145172.db2.gz HIBRSHLNPSGMOR-UHFFFAOYSA-N 0 0 299.330 2.835 20 5 CFBDRN CCc1cccc(OC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000755190888 574145302 /nfs/dbraw/zinc/14/53/02/574145302.db2.gz CWQAXQCGUBXFKH-UHFFFAOYSA-N 0 0 274.276 2.715 20 5 CFBDRN Cc1ccc(CC(=O)Oc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000755248807 574149706 /nfs/dbraw/zinc/14/97/06/574149706.db2.gz JIJHFSRREHESKH-UHFFFAOYSA-N 0 0 286.287 2.755 20 5 CFBDRN CCc1nnc([C@H](C)OC(=O)c2csc([N+](=O)[O-])c2)o1 ZINC000732327687 574152360 /nfs/dbraw/zinc/15/23/60/574152360.db2.gz QRKDMTVGTHXRSW-LURJTMIESA-N 0 0 297.292 2.520 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)CCCO1 ZINC000755325824 574154143 /nfs/dbraw/zinc/15/41/43/574154143.db2.gz RUEDSVYHPDENDF-CYBMUJFWSA-N 0 0 299.710 2.861 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)OCc1ccccc1[N+](=O)[O-] ZINC000732382981 574154500 /nfs/dbraw/zinc/15/45/00/574154500.db2.gz ZORNKPQOKFJTLA-ZDUSSCGKSA-N 0 0 293.319 2.987 20 5 CFBDRN CCCc1cc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)n[nH]1 ZINC000755356098 574156135 /nfs/dbraw/zinc/15/61/35/574156135.db2.gz FEOPJQOAIRDZSK-UHFFFAOYSA-N 0 0 289.291 2.798 20 5 CFBDRN Cc1c(OC(=O)c2ccnc(C3CC3)n2)cccc1[N+](=O)[O-] ZINC000732634619 574164353 /nfs/dbraw/zinc/16/43/53/574164353.db2.gz JEZAHHKXGWDADR-UHFFFAOYSA-N 0 0 299.286 2.790 20 5 CFBDRN CCCC(=O)OCc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000755508454 574166570 /nfs/dbraw/zinc/16/65/70/574166570.db2.gz SHAJYKHTCSQRGY-UHFFFAOYSA-N 0 0 267.281 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC2CCC2)c1 ZINC000755513912 574167168 /nfs/dbraw/zinc/16/71/68/574167168.db2.gz KIOLIHJJHNUMRR-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CCOc1cc(COC(=O)C2CCC2)ccc1[N+](=O)[O-] ZINC000755528121 574167739 /nfs/dbraw/zinc/16/77/39/574167739.db2.gz COCGNQKGQATJSA-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000746851519 574167998 /nfs/dbraw/zinc/16/79/98/574167998.db2.gz SSGAUUXQQCFYHP-NSHDSACASA-N 0 0 287.312 2.919 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746964833 574173591 /nfs/dbraw/zinc/17/35/91/574173591.db2.gz RDHWFFOJSDXDCP-SNVBAGLBSA-N 0 0 299.298 2.838 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000729090239 574173927 /nfs/dbraw/zinc/17/39/27/574173927.db2.gz ZIKQRMSXDIGEHX-WPRPVWTQSA-N 0 0 274.276 2.889 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2nccs2)cc1 ZINC000746994761 574175443 /nfs/dbraw/zinc/17/54/43/574175443.db2.gz NEZGJLFTNHBTFA-UHFFFAOYSA-N 0 0 264.310 2.575 20 5 CFBDRN COC[C@@H](C)OC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755677665 574177451 /nfs/dbraw/zinc/17/74/51/574177451.db2.gz GDZGRQALRLDJEV-HIJJYWJESA-N 0 0 279.292 2.576 20 5 CFBDRN CC[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755676580 574177552 /nfs/dbraw/zinc/17/75/52/574177552.db2.gz IANLRPGSSCGWDM-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN COCCCCCOC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755676358 574177611 /nfs/dbraw/zinc/17/76/11/574177611.db2.gz YUWSPLQDZLIUPW-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN COCC[C@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755744431 574183778 /nfs/dbraw/zinc/18/37/78/574183778.db2.gz BSDROPYQMCLRDV-UWVGGRQHSA-N 0 0 299.298 2.806 20 5 CFBDRN CC/C=C\COC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755762978 574185415 /nfs/dbraw/zinc/18/54/15/574185415.db2.gz PHPGVUQVFAUCFE-PLNGDYQASA-N 0 0 265.265 2.726 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000747312247 574190779 /nfs/dbraw/zinc/19/07/79/574190779.db2.gz AXZUFBYDUDWVKK-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN CCCC(=O)COC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000738593068 574197690 /nfs/dbraw/zinc/19/76/90/574197690.db2.gz NRPDCHDAIUBHQH-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN C[C@H](c1ccccn1)N(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000733188435 574209241 /nfs/dbraw/zinc/20/92/41/574209241.db2.gz FDEUBCJJCKXKEL-SECBINFHSA-N 0 0 299.290 2.723 20 5 CFBDRN CC1(Nc2nc3ccccn3c2[N+](=O)[O-])CCCC1 ZINC000733188505 574209506 /nfs/dbraw/zinc/20/95/06/574209506.db2.gz GVHOZGIIAMOETN-UHFFFAOYSA-N 0 0 260.297 2.987 20 5 CFBDRN CN(CC(F)F)c1ccc([N+](=O)[O-])cc1Cl ZINC000733190690 574209763 /nfs/dbraw/zinc/20/97/63/574209763.db2.gz YZYSXXNLPCNIQI-UHFFFAOYSA-N 0 0 250.632 2.950 20 5 CFBDRN COc1c(C)cnc(CNc2cccnc2[N+](=O)[O-])c1C ZINC000747810455 574213979 /nfs/dbraw/zinc/21/39/79/574213979.db2.gz RIAKHNUIDQEMBW-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1nn(C[C@H](O)c2ccc(F)cc2C)c(C)c1[N+](=O)[O-] ZINC000747820651 574214250 /nfs/dbraw/zinc/21/42/50/574214250.db2.gz GNWUUBPTAXFDKZ-ZDUSSCGKSA-N 0 0 293.298 2.589 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000733302608 574216218 /nfs/dbraw/zinc/21/62/18/574216218.db2.gz FZXIECCTCMUHDL-VIFPVBQESA-N 0 0 264.203 2.584 20 5 CFBDRN CC(C)(C)C[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000756360824 574229489 /nfs/dbraw/zinc/22/94/89/574229489.db2.gz ILGMOFSJIJKRNP-GFCCVEGCSA-N 0 0 280.324 2.574 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CC(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000748122314 574230587 /nfs/dbraw/zinc/23/05/87/574230587.db2.gz GLAICMWODSKQRC-NWDGAFQWSA-N 0 0 295.339 2.551 20 5 CFBDRN CCC[C@@H](C)OC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000748121031 574230606 /nfs/dbraw/zinc/23/06/06/574230606.db2.gz XKZGOEPDZWOZIQ-SNVBAGLBSA-N 0 0 281.308 2.878 20 5 CFBDRN O=C(/C=C/C1CC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000745556813 574232808 /nfs/dbraw/zinc/23/28/08/574232808.db2.gz BGUMHOQPMCTSIO-VOTSOKGWSA-N 0 0 265.240 2.743 20 5 CFBDRN CC[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000756396331 574233616 /nfs/dbraw/zinc/23/36/16/574233616.db2.gz ZAECQOQGOWBOHK-MRVPVSSYSA-N 0 0 255.245 2.937 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000733484138 574240953 /nfs/dbraw/zinc/24/09/53/574240953.db2.gz FCYKCTLLKQZDLB-SNVBAGLBSA-N 0 0 265.240 2.999 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)CCCO1 ZINC000755325825 574241811 /nfs/dbraw/zinc/24/18/11/574241811.db2.gz RUEDSVYHPDENDF-ZDUSSCGKSA-N 0 0 299.710 2.861 20 5 CFBDRN Cc1cc(C(=O)O[C@H]2C=CCCC2)c(N)c([N+](=O)[O-])c1 ZINC000733483269 574243252 /nfs/dbraw/zinc/24/32/52/574243252.db2.gz FHRCRPCWEOROAB-JTQLQIEISA-N 0 0 276.292 2.751 20 5 CFBDRN Cc1ocnc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000733523173 574244419 /nfs/dbraw/zinc/24/44/19/574244419.db2.gz HKXDDWCEFCTBNB-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000733575339 574246226 /nfs/dbraw/zinc/24/62/26/574246226.db2.gz BECJWHPQKVKFDC-NSHDSACASA-N 0 0 281.308 2.809 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(Oc2cccnc2[N+](=O)[O-])c1 ZINC000748308434 574246817 /nfs/dbraw/zinc/24/68/17/574246817.db2.gz RMOXJPWWKNGFTJ-UHFFFAOYSA-N 0 0 289.203 2.503 20 5 CFBDRN Cc1c(CC(=O)OCc2ccccn2)cccc1[N+](=O)[O-] ZINC000748477405 574254526 /nfs/dbraw/zinc/25/45/26/574254526.db2.gz ONCSLKMSYNLGAB-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN O=C(C[C@@H]1CCOC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000740540051 574254594 /nfs/dbraw/zinc/25/45/94/574254594.db2.gz XTTPTSVOKLZVIS-QMMMGPOBSA-N 0 0 285.683 2.580 20 5 CFBDRN C[C@H](OC(=O)C(=O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000733919604 574265674 /nfs/dbraw/zinc/26/56/74/574265674.db2.gz RQURIEFNWGCYDM-VIFPVBQESA-N 0 0 279.292 2.814 20 5 CFBDRN Cc1csc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])n1 ZINC000748801318 574267567 /nfs/dbraw/zinc/26/75/67/574267567.db2.gz KWADRUDQHMTURD-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CC(C)[C@H](O)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000740871126 574270844 /nfs/dbraw/zinc/27/08/44/574270844.db2.gz OWKAIRUHBSIZRO-SNVBAGLBSA-N 0 0 281.337 2.633 20 5 CFBDRN Cc1ncc(C(=O)OCc2csc([N+](=O)[O-])c2)s1 ZINC000748881639 574272533 /nfs/dbraw/zinc/27/25/33/574272533.db2.gz GLQGIMHEFQNJAQ-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN C[C@@H](OC(=O)C1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000749059165 574278470 /nfs/dbraw/zinc/27/84/70/574278470.db2.gz ZHCGULZPVDGMLN-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1ccncc1F ZINC000741182975 574283158 /nfs/dbraw/zinc/28/31/58/574283158.db2.gz MOFMGRYXYPBTJI-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1nn(C)cc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000741191173 574283683 /nfs/dbraw/zinc/28/36/83/574283683.db2.gz AUGXLGZOJUNRFQ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ccc([N+](=O)[O-])cc2F)o1 ZINC000741241814 574284417 /nfs/dbraw/zinc/28/44/17/574284417.db2.gz BKDYAHSSRXCWRT-LBPRGKRZSA-N 0 0 280.255 2.781 20 5 CFBDRN CC(C)COC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000749315725 574289149 /nfs/dbraw/zinc/28/91/49/574289149.db2.gz SIWVSQUZUYPCBV-UHFFFAOYSA-N 0 0 262.265 2.889 20 5 CFBDRN COCCN(CC(C)C)c1c(F)cc([N+](=O)[O-])cc1F ZINC000749322004 574289470 /nfs/dbraw/zinc/28/94/70/574289470.db2.gz VODZJOPKZIIXLS-UHFFFAOYSA-N 0 0 288.294 2.982 20 5 CFBDRN COC[C@@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000741504822 574294329 /nfs/dbraw/zinc/29/43/29/574294329.db2.gz UKPAECVDHDXKJO-GFCCVEGCSA-N 0 0 297.380 2.552 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@@H]2Nc1cccnc1[N+](=O)[O-] ZINC000734832026 574304690 /nfs/dbraw/zinc/30/46/90/574304690.db2.gz BXYRXMVKOWGCLU-LBPRGKRZSA-N 0 0 285.307 2.578 20 5 CFBDRN CCc1noc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000741831553 574305762 /nfs/dbraw/zinc/30/57/62/574305762.db2.gz SWSLDQWPQYTLFF-LURJTMIESA-N 0 0 297.292 2.520 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734889301 574306612 /nfs/dbraw/zinc/30/66/12/574306612.db2.gz DUXKGTIPLFCHSD-ZYHUDNBSSA-N 0 0 299.710 2.716 20 5 CFBDRN CC[C@@H](C)NC(=S)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000749766850 574308255 /nfs/dbraw/zinc/30/82/55/574308255.db2.gz XPBACVPODYAIDV-SNVBAGLBSA-N 0 0 281.381 2.700 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000741939074 574310836 /nfs/dbraw/zinc/31/08/36/574310836.db2.gz QJUYVTXCKKMKLZ-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN C/C=C\C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000741958266 574312118 /nfs/dbraw/zinc/31/21/18/574312118.db2.gz QODYUNKPGGKNSQ-ZRMMWKCHSA-N 0 0 278.333 2.745 20 5 CFBDRN O=C(/C=C/c1ccccc1)NCCc1ccccc1[N+](=O)[O-] ZINC000742007102 574313992 /nfs/dbraw/zinc/31/39/92/574313992.db2.gz CSNQKQANRGMXGJ-ZHACJKMWSA-N 0 0 296.326 2.967 20 5 CFBDRN CCC/C=C\C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000742139613 574316325 /nfs/dbraw/zinc/31/63/25/574316325.db2.gz XOCOORNEGCGMNU-WTKPLQERSA-N 0 0 262.309 2.610 20 5 CFBDRN CCC/C=C/C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000735207154 574316378 /nfs/dbraw/zinc/31/63/78/574316378.db2.gz OUXDVJAGVVLONQ-AATRIKPKSA-N 0 0 265.265 2.865 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CC[C@H]1c1ccc(Cl)cc1 ZINC000742172991 574317122 /nfs/dbraw/zinc/31/71/22/574317122.db2.gz FMRYOQODLTTWFI-LBPRGKRZSA-N 0 0 292.726 2.849 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCCC1CC1 ZINC000744285002 574323486 /nfs/dbraw/zinc/32/34/86/574323486.db2.gz OYZMMQCKRPDVGQ-YVMONPNESA-N 0 0 261.277 2.951 20 5 CFBDRN Cc1nccc(N(C)Cc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000742391701 574327010 /nfs/dbraw/zinc/32/70/10/574327010.db2.gz DPZLYFLOGXUMMO-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN CC1(C)CCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000742400806 574328267 /nfs/dbraw/zinc/32/82/67/574328267.db2.gz GSXSSCTZJMIHCD-UHFFFAOYSA-N 0 0 265.269 2.882 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCc2cscn2)c1 ZINC000750171890 574329256 /nfs/dbraw/zinc/32/92/56/574329256.db2.gz OSMJODCYAXMZGF-UHFFFAOYSA-N 0 0 297.311 2.854 20 5 CFBDRN C[C@H]1CN(c2nc3ccccn3c2[N+](=O)[O-])C[C@H](C)S1 ZINC000742420379 574329511 /nfs/dbraw/zinc/32/95/11/574329511.db2.gz BRTXSKWGDNUHCL-UWVGGRQHSA-N 0 0 292.364 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCCC3)C2)c2nonc21 ZINC000742426028 574329740 /nfs/dbraw/zinc/32/97/40/574329740.db2.gz NOTFUWOTSBJVIO-UHFFFAOYSA-N 0 0 288.307 2.902 20 5 CFBDRN Cc1ccc([C@H](C)C(=O)NCc2cccc([N+](=O)[O-])c2)o1 ZINC000742591015 574336021 /nfs/dbraw/zinc/33/60/21/574336021.db2.gz VWESRBKPCBGROO-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN CC1(COc2ccc([N+](=O)[O-])c(Cl)c2)COC1 ZINC000741853168 574336138 /nfs/dbraw/zinc/33/61/38/574336138.db2.gz QUQNVKQPEYKYEI-UHFFFAOYSA-N 0 0 257.673 2.664 20 5 CFBDRN Cc1nc(CNc2nc3ccc([N+](=O)[O-])cc3s2)no1 ZINC000735832467 574337414 /nfs/dbraw/zinc/33/74/14/574337414.db2.gz IPLQLJHOQZOVTG-UHFFFAOYSA-N 0 0 291.292 2.508 20 5 CFBDRN CCCn1cc(NC(=O)c2cccc(C)c2[N+](=O)[O-])cn1 ZINC000742720766 574341099 /nfs/dbraw/zinc/34/10/99/574341099.db2.gz DEZQRSPLPWKDCK-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000742742995 574342249 /nfs/dbraw/zinc/34/22/49/574342249.db2.gz JZSJMSPYVXJBEJ-SCZZXKLOSA-N 0 0 298.339 2.748 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])C1CCSCC1 ZINC000735933614 574342607 /nfs/dbraw/zinc/34/26/07/574342607.db2.gz UDFZDBVMFUTTDO-UHFFFAOYSA-N 0 0 281.333 2.781 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000736057378 574349100 /nfs/dbraw/zinc/34/91/00/574349100.db2.gz CIZUVNXZZWFYBN-MRVPVSSYSA-N 0 0 286.328 2.605 20 5 CFBDRN CN(CCOC(=O)C1(C)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000750695539 574351500 /nfs/dbraw/zinc/35/15/00/574351500.db2.gz ZAVGKZHWKORALD-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1cc(C(=O)OCCOC2CCC2)cc([N+](=O)[O-])c1 ZINC000750770182 574354069 /nfs/dbraw/zinc/35/40/69/574354069.db2.gz AJDLITRIYSPSGR-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCNc1ccccc1 ZINC000736293947 574360199 /nfs/dbraw/zinc/36/01/99/574360199.db2.gz CJEBXCLZZAIBHC-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN Cc1c(NC(=S)NCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000750972132 574365590 /nfs/dbraw/zinc/36/55/90/574365590.db2.gz BKVXUNQJTRSNKT-UHFFFAOYSA-N 0 0 293.270 2.752 20 5 CFBDRN CCOCCC(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000736416159 574367865 /nfs/dbraw/zinc/36/78/65/574367865.db2.gz GJAHKFRFKNLRMA-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN CC[C@H](C)N(C(=O)Cn1c(C)ncc1[N+](=O)[O-])[C@@H](C)CC ZINC000743545394 574368582 /nfs/dbraw/zinc/36/85/82/574368582.db2.gz UBJDYDKXKRLKCF-QWRGUYRKSA-N 0 0 296.371 2.525 20 5 CFBDRN O=C(Cc1ccccc1O)OCc1ccc([N+](=O)[O-])cc1 ZINC000725937543 574372500 /nfs/dbraw/zinc/37/25/00/574372500.db2.gz BXYLOAKZWTWNAZ-UHFFFAOYSA-N 0 0 287.271 2.586 20 5 CFBDRN O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccoc1 ZINC000751638352 574380542 /nfs/dbraw/zinc/38/05/42/574380542.db2.gz INDVJVJNNQTEBV-UHFFFAOYSA-N 0 0 288.215 2.686 20 5 CFBDRN O=C(CCC1CC1)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751665591 574380718 /nfs/dbraw/zinc/38/07/18/574380718.db2.gz WSOUDJWAUNUQLD-UHFFFAOYSA-N 0 0 290.275 2.969 20 5 CFBDRN O=C(/C=C/C1CC1)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751665168 574380753 /nfs/dbraw/zinc/38/07/53/574380753.db2.gz DXPNLRLSIOGRKP-ZZXKWVIFSA-N 0 0 288.259 2.745 20 5 CFBDRN O=C(CCOCC1CC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000751719190 574381346 /nfs/dbraw/zinc/38/13/46/574381346.db2.gz ADWICXFGRNZSIE-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@H]1C[C@H]1C1CC1 ZINC000751738402 574381773 /nfs/dbraw/zinc/38/17/73/574381773.db2.gz AHOZJZWIMPLZKP-RYUDHWBXSA-N 0 0 279.267 2.823 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000751798742 574383052 /nfs/dbraw/zinc/38/30/52/574383052.db2.gz VYYJZPVBTDODST-ZDUSSCGKSA-N 0 0 293.319 2.953 20 5 CFBDRN C/C(=C/C(=O)OCC(=O)C(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000752343030 574390532 /nfs/dbraw/zinc/39/05/32/574390532.db2.gz GWSYPCKRGYCJOK-FLIBITNWSA-N 0 0 291.303 2.766 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC/C=C/Cl)c1[N+](=O)[O-] ZINC000752552908 574392725 /nfs/dbraw/zinc/39/27/25/574392725.db2.gz GBJISDZNSYZFQC-NSCUHMNNSA-N 0 0 273.647 2.952 20 5 CFBDRN C/C(=C/C(=O)NCCc1ccc[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000752704942 574393771 /nfs/dbraw/zinc/39/37/71/574393771.db2.gz CJMLOZGHPRPKEC-QXMHVHEDSA-N 0 0 299.330 2.685 20 5 CFBDRN C/C(=C\C(=O)NCCc1ccc[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000752704947 574393825 /nfs/dbraw/zinc/39/38/25/574393825.db2.gz CJMLOZGHPRPKEC-VAWYXSNFSA-N 0 0 299.330 2.685 20 5 CFBDRN C[C@H]1COC(C)(C)CN1c1ccc([N+](=O)[O-])cc1 ZINC000752974936 574397077 /nfs/dbraw/zinc/39/70/77/574397077.db2.gz BEYSNHGRWFFNTO-JTQLQIEISA-N 0 0 250.298 2.599 20 5 CFBDRN O=C(NN1CCCc2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000753078536 574398176 /nfs/dbraw/zinc/39/81/76/574398176.db2.gz DGBKMHXWEOURBD-UHFFFAOYSA-N 0 0 297.314 2.692 20 5 CFBDRN C[C@H](N(C)c1ccc([N+](=O)[O-])c2nonc21)C1(C)CC1 ZINC000753119553 574398894 /nfs/dbraw/zinc/39/88/94/574398894.db2.gz RHUZBIYCQWOQEA-QMMMGPOBSA-N 0 0 276.296 2.756 20 5 CFBDRN O=C(CCc1ccoc1)OCc1ccccc1[N+](=O)[O-] ZINC000753496914 574403279 /nfs/dbraw/zinc/40/32/79/574403279.db2.gz ALGROHQVEJDBMO-UHFFFAOYSA-N 0 0 275.260 2.864 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C1CC(F)(F)C1 ZINC000753648883 574407614 /nfs/dbraw/zinc/40/76/14/574407614.db2.gz OISIFJDOYLBIAO-UHFFFAOYSA-N 0 0 289.209 2.822 20 5 CFBDRN Cc1c([C@H](C)[NH2+]CCCCC(=O)[O-])cccc1[N+](=O)[O-] ZINC000820940495 598171453 /nfs/dbraw/zinc/17/14/53/598171453.db2.gz NJNSWJWOCQQQKN-NSHDSACASA-N 0 0 280.324 2.809 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000900158840 620644868 /nfs/dbraw/zinc/64/48/68/620644868.db2.gz ZZFXRGZSLBZSOO-UHFFFAOYSA-N 0 0 292.335 2.559 20 5 CFBDRN C[C@]([NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-])(C(=O)[O-])C1CC1 ZINC000827740931 601172061 /nfs/dbraw/zinc/17/20/61/601172061.db2.gz GBFCLHIGVJVGBP-CYBMUJFWSA-N 0 0 298.726 2.591 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935701899 649931131 /nfs/dbraw/zinc/93/11/31/649931131.db2.gz MEMBBHUUICUXRS-SEBNEYGDSA-N 0 0 286.331 2.613 20 5 CFBDRN CCN(CCC[NH2+][C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000827130029 603622052 /nfs/dbraw/zinc/62/20/52/603622052.db2.gz PFJUPZCNKWBXSQ-NSHDSACASA-N 0 0 295.339 2.635 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+]CCCN(C)C(=O)[O-] ZINC000830428080 604263776 /nfs/dbraw/zinc/26/37/76/604263776.db2.gz REYQYIPUONTJKA-NSHDSACASA-N 0 0 295.339 2.554 20 5 CFBDRN CCC(F)(F)COc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000901699577 620897943 /nfs/dbraw/zinc/89/79/43/620897943.db2.gz LTSMFUDIVWRIDC-UHFFFAOYSA-N 0 0 289.234 2.790 20 5 CFBDRN CC(C)[N@H+](CC(=O)[O-])Cc1ccc([N+](=O)[O-])cc1Cl ZINC000049964247 605214093 /nfs/dbraw/zinc/21/40/93/605214093.db2.gz LMAFKKVIIJULJH-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[N@H+](C)CCN(C)C(=O)[O-] ZINC000833907566 605550866 /nfs/dbraw/zinc/55/08/66/605550866.db2.gz MPENVHLMLQTFHD-LLVKDONJSA-N 0 0 295.339 2.506 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSCCO[C@H]1CCOC1 ZINC000901833007 620925094 /nfs/dbraw/zinc/92/50/94/620925094.db2.gz MJLKWOLHVQCOOP-LBPRGKRZSA-N 0 0 283.349 2.634 20 5 CFBDRN CCCn1cc(Nc2nc(C)ccc2[N+](=O)[O-])cn1 ZINC000178240661 617704419 /nfs/dbraw/zinc/70/44/19/617704419.db2.gz UBYNVJLFQKVCDF-UHFFFAOYSA-N 0 0 261.285 2.648 20 5 CFBDRN CCc1ccnc(CNc2ccc(N)cc2[N+](=O)[O-])c1 ZINC000804682477 617804877 /nfs/dbraw/zinc/80/48/77/617804877.db2.gz JTGXPKFUFZIBLN-UHFFFAOYSA-N 0 0 272.308 2.747 20 5 CFBDRN O=C(Nc1noc2c1CCCC2)c1csc([N+](=O)[O-])c1 ZINC000900776184 620711292 /nfs/dbraw/zinc/71/12/92/620711292.db2.gz JPFJREYXZJKINI-UHFFFAOYSA-N 0 0 293.304 2.775 20 5 CFBDRN CSCC(C)(C)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000890874822 617932434 /nfs/dbraw/zinc/93/24/34/617932434.db2.gz JNECNBCAHHJDGE-UHFFFAOYSA-N 0 0 296.392 2.704 20 5 CFBDRN CSCC(C)(C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000890873724 617928979 /nfs/dbraw/zinc/92/89/79/617928979.db2.gz VZEKDWWYKYBIBK-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN Cc1ccccc1[C@@H](C)CC(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813115418 617953317 /nfs/dbraw/zinc/95/33/17/617953317.db2.gz RGJVVXXXPPVDIL-NWDGAFQWSA-N 0 0 265.309 2.697 20 5 CFBDRN Cn1cccc1C(=O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000049918381 618045426 /nfs/dbraw/zinc/04/54/26/618045426.db2.gz RVKOXTZDVKHPKL-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN O=C(NC/C=C\Cl)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000891216634 618049765 /nfs/dbraw/zinc/04/97/65/618049765.db2.gz VUOFFMFNKRJHCI-OXYQRJNWSA-N 0 0 280.711 2.567 20 5 CFBDRN C[C@@]1(C2CC2)COCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000934481860 618052382 /nfs/dbraw/zinc/05/23/82/618052382.db2.gz MDASRTPXKUYWMS-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN CC[C@H](C)CS(=O)(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000161335125 618069791 /nfs/dbraw/zinc/06/97/91/618069791.db2.gz FRUUHCYMNAPYRC-VIFPVBQESA-N 0 0 287.337 2.658 20 5 CFBDRN CCC[N@H+](C)CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000049480652 617605561 /nfs/dbraw/zinc/60/55/61/617605561.db2.gz ORTTZDGALNGYEN-UHFFFAOYSA-N 0 0 285.731 2.529 20 5 CFBDRN CCC[N@H+](C)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl ZINC000049480611 617605688 /nfs/dbraw/zinc/60/56/88/617605688.db2.gz IOIGBYGCMHLQCK-VIFPVBQESA-N 0 0 299.758 2.917 20 5 CFBDRN CC(C)[C@@H](O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000304198283 617611898 /nfs/dbraw/zinc/61/18/98/617611898.db2.gz GARSMHJVPVQTKS-JTQLQIEISA-N 0 0 259.689 2.644 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000891514643 617647991 /nfs/dbraw/zinc/64/79/91/617647991.db2.gz VZXCBJWIYWLZMH-TXEJJXNPSA-N 0 0 292.335 2.509 20 5 CFBDRN O=C(Cc1ccoc1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000120259234 617662252 /nfs/dbraw/zinc/66/22/52/617662252.db2.gz NTTFIGFQSFBTSS-UHFFFAOYSA-N 0 0 264.212 2.508 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)c2nccs2)c1[N+](=O)[O-] ZINC000175733638 617666936 /nfs/dbraw/zinc/66/69/36/617666936.db2.gz CSRNDQWZCFSFSH-UHFFFAOYSA-N 0 0 295.295 2.775 20 5 CFBDRN CCCOC(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000859129176 617680098 /nfs/dbraw/zinc/68/00/98/617680098.db2.gz YYGMVFFIMHCXTJ-UHFFFAOYSA-N 0 0 255.226 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CS[C@H](C)C2)c1C ZINC000891604602 617683545 /nfs/dbraw/zinc/68/35/45/617683545.db2.gz ZGUOLMRICBWZJE-MWLCHTKSSA-N 0 0 294.376 2.835 20 5 CFBDRN COCC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000120370631 617685443 /nfs/dbraw/zinc/68/54/43/617685443.db2.gz BPDYTPLNECYAFH-UHFFFAOYSA-N 0 0 286.715 2.859 20 5 CFBDRN Cc1cc(C)c(OC(=O)Cc2ccoc2)c([N+](=O)[O-])c1 ZINC000120434761 617691864 /nfs/dbraw/zinc/69/18/64/617691864.db2.gz MYQAOJFHIYDHCO-UHFFFAOYSA-N 0 0 275.260 2.953 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233279079 617726852 /nfs/dbraw/zinc/72/68/52/617726852.db2.gz HZFQULKDMZBEDK-SECBINFHSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1ccc(OC(=O)/C=C\c2cccnc2)c([N+](=O)[O-])c1 ZINC000255014030 617748038 /nfs/dbraw/zinc/74/80/38/617748038.db2.gz OSIJEPRQIGZQFM-ALCCZGGFSA-N 0 0 284.271 2.917 20 5 CFBDRN C[C@H]1C[C@H]1CNc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000891827365 617774588 /nfs/dbraw/zinc/77/45/88/617774588.db2.gz WXAMAWXJGNHPKD-YUMQZZPRSA-N 0 0 251.242 2.571 20 5 CFBDRN O=C(CC[C@H]1CCOC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000176117456 617791264 /nfs/dbraw/zinc/79/12/64/617791264.db2.gz HDLCTOFBLYAOQL-VIFPVBQESA-N 0 0 299.710 2.970 20 5 CFBDRN Cc1ccc(N2CC[C@@H](C(F)F)C2)c([N+](=O)[O-])c1 ZINC000804687940 617811262 /nfs/dbraw/zinc/81/12/62/617811262.db2.gz DJQPXVZKPZLTIU-SECBINFHSA-N 0 0 256.252 2.995 20 5 CFBDRN COCC1(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCC1 ZINC000804691454 617814931 /nfs/dbraw/zinc/81/49/31/617814931.db2.gz VNNOSFIFIWIYGP-UHFFFAOYSA-N 0 0 295.295 2.732 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000890771405 617870222 /nfs/dbraw/zinc/87/02/22/617870222.db2.gz DGNGNQRTASAXNI-ZWNOBZJWSA-N 0 0 260.293 2.679 20 5 CFBDRN CCN(CCSC)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000843748384 617898545 /nfs/dbraw/zinc/89/85/45/617898545.db2.gz XOJMFJJHOZBMDS-UHFFFAOYSA-N 0 0 286.328 2.559 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000812833884 617904375 /nfs/dbraw/zinc/90/43/75/617904375.db2.gz BKWQEPZREYOGLF-RKDXNWHRSA-N 0 0 284.287 2.591 20 5 CFBDRN CCON(C(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000844081622 617905271 /nfs/dbraw/zinc/90/52/71/617905271.db2.gz LKQRQNBCMHLARS-UHFFFAOYSA-N 0 0 266.297 2.705 20 5 CFBDRN Cn1c(Cl)cnc1COc1cccc([N+](=O)[O-])c1 ZINC000016224187 617906378 /nfs/dbraw/zinc/90/63/78/617906378.db2.gz IUHHBXGNRNRBSN-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000934133431 617914348 /nfs/dbraw/zinc/91/43/48/617914348.db2.gz YWSUBSBSVCUMLK-QWHCGFSZSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@H](CNC(=O)NCC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000892045872 617924436 /nfs/dbraw/zinc/92/44/36/617924436.db2.gz JJGGGAAJQQPERL-LLVKDONJSA-N 0 0 291.351 2.798 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(C(C)(C)C)cc1)[N+](=O)[O-] ZINC000813105064 617926199 /nfs/dbraw/zinc/92/61/99/617926199.db2.gz VDTCOESGVDOEFR-JTQLQIEISA-N 0 0 265.309 2.806 20 5 CFBDRN CC(C)(C)NC(=O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000109744359 617940745 /nfs/dbraw/zinc/94/07/45/617940745.db2.gz YKGJOJFFIYFNBL-UHFFFAOYSA-N 0 0 286.715 2.542 20 5 CFBDRN CC(C)CN(C)c1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000892087098 617942919 /nfs/dbraw/zinc/94/29/19/617942919.db2.gz ZJWYIGJSEOYKQQ-UHFFFAOYSA-N 0 0 289.335 2.539 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC[C@H]2CCCS2)c1F ZINC000235881896 618018515 /nfs/dbraw/zinc/01/85/15/618018515.db2.gz OICXBKGXXDQVNK-SNVBAGLBSA-N 0 0 298.339 2.668 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2c[nH]nn2)ccc1Cl ZINC000121797027 618035657 /nfs/dbraw/zinc/03/56/57/618035657.db2.gz GOAKUPPQMDZFFA-UHFFFAOYSA-N 0 0 270.701 2.659 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1SCCCO ZINC000309104409 618054842 /nfs/dbraw/zinc/05/48/42/618054842.db2.gz LAQMEVJIMXBQIX-UHFFFAOYSA-N 0 0 292.154 2.832 20 5 CFBDRN CSCCCC(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000127805345 618059514 /nfs/dbraw/zinc/05/95/14/618059514.db2.gz LTDSPLWCPNOGPH-UHFFFAOYSA-N 0 0 255.295 2.643 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])c1 ZINC000176689429 618067142 /nfs/dbraw/zinc/06/71/42/618067142.db2.gz DFTBYEQIMMBQAT-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC(C)OCCOC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000077110355 618085083 /nfs/dbraw/zinc/08/50/83/618085083.db2.gz LVYCYWPJFBPKOU-UHFFFAOYSA-N 0 0 287.699 2.830 20 5 CFBDRN O=C1CN(Cc2c3ccccc3cc3ccccc32)CCN1 ZINC000160499499 618098420 /nfs/dbraw/zinc/09/84/20/618098420.db2.gz ICVPKNREBALKOF-UHFFFAOYSA-N 0 0 290.366 2.925 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1CCC=CO1 ZINC000050268233 618105742 /nfs/dbraw/zinc/10/57/42/618105742.db2.gz XXOOSSLRFLNHMQ-SNVBAGLBSA-N 0 0 252.245 2.839 20 5 CFBDRN Cc1cnc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000061320427 618115634 /nfs/dbraw/zinc/11/56/34/618115634.db2.gz NVEYJQLWRHRJRB-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCCC1(O)CCC1 ZINC000849316374 618130738 /nfs/dbraw/zinc/13/07/38/618130738.db2.gz AYMCGHWBEQQIQI-UHFFFAOYSA-N 0 0 268.288 2.759 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000161544925 618133530 /nfs/dbraw/zinc/13/35/30/618133530.db2.gz ZEWUIVRMQNPVQN-RKDXNWHRSA-N 0 0 266.272 2.747 20 5 CFBDRN CCN(Cc1ccccc1Cl)Cn1cc([N+](=O)[O-])cn1 ZINC000077435811 618136549 /nfs/dbraw/zinc/13/65/49/618136549.db2.gz DMAXPQGKDXDKRV-UHFFFAOYSA-N 0 0 294.742 2.924 20 5 CFBDRN CCCCCC[C@H](C)C(=O)Nc1c([N+](=O)[O-])ncn1C ZINC000891348534 618142314 /nfs/dbraw/zinc/14/23/14/618142314.db2.gz PRQHTUWTKNLMNY-JTQLQIEISA-N 0 0 282.344 2.873 20 5 CFBDRN CCCCOC(=O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000070088941 618195078 /nfs/dbraw/zinc/19/50/78/618195078.db2.gz RBBZAPOBUDOGRO-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN O=C(OCC1CCOCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000168705273 618197600 /nfs/dbraw/zinc/19/76/00/618197600.db2.gz RHLIPDXMWZCLHM-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN CC1(C[S@@](=O)CCn2cc([N+](=O)[O-])cn2)CCCCC1 ZINC000840872269 618198558 /nfs/dbraw/zinc/19/85/58/618198558.db2.gz JGDVTDYJQZNNCA-FQEVSTJZSA-N 0 0 299.396 2.510 20 5 CFBDRN CCC[C@@H](CC)OC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000157343448 618204867 /nfs/dbraw/zinc/20/48/67/618204867.db2.gz IVYLGGFTUIQERS-LLVKDONJSA-N 0 0 283.328 2.612 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccc(Cl)nn2)c1 ZINC000052942815 618205346 /nfs/dbraw/zinc/20/53/46/618205346.db2.gz NTBHDKYUVQTWAR-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=C(NCCCC(F)(F)F)c1cc([N+](=O)[O-])ccc1F ZINC000097992674 618211694 /nfs/dbraw/zinc/21/16/94/618211694.db2.gz WBKSSAJBLYRKQC-UHFFFAOYSA-N 0 0 294.204 2.806 20 5 CFBDRN CC(C)CNC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000891448754 618212086 /nfs/dbraw/zinc/21/20/86/618212086.db2.gz CQWSIFXYBXRYCQ-LLVKDONJSA-N 0 0 279.340 2.654 20 5 CFBDRN CCCC[N@H+](CC)Cc1ccc(O)c(OC)c1[N+](=O)[O-] ZINC000891456671 618221675 /nfs/dbraw/zinc/22/16/75/618221675.db2.gz KDODOVWZPQOHJY-UHFFFAOYSA-N 0 0 282.340 2.931 20 5 CFBDRN CCc1cc(Oc2cccnc2[N+](=O)[O-])nc(CC)n1 ZINC000891459750 618221826 /nfs/dbraw/zinc/22/18/26/618221826.db2.gz LQVYFYPACDBXDR-UHFFFAOYSA-N 0 0 274.280 2.697 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000749053205 618255658 /nfs/dbraw/zinc/25/56/58/618255658.db2.gz DYWXGCUDZISCNC-XHVZSJERSA-N 0 0 279.292 2.624 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCc1cc(C2CC2)ccc1F ZINC000894019582 618271563 /nfs/dbraw/zinc/27/15/63/618271563.db2.gz UYRKNFFPQCPPFG-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1CC[C@H](F)C1 ZINC000894022871 618273726 /nfs/dbraw/zinc/27/37/26/618273726.db2.gz GUGYSWQOFIILRY-VIFPVBQESA-N 0 0 279.246 2.830 20 5 CFBDRN CO[C@@H]1C[C@H]1C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000924125268 618274265 /nfs/dbraw/zinc/27/42/65/618274265.db2.gz APKHFPVZXNNUFP-ZWKOPEQDSA-N 0 0 279.292 2.542 20 5 CFBDRN COCc1nccc(N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000895088139 618280392 /nfs/dbraw/zinc/28/03/92/618280392.db2.gz WWOAMHLQRSSNJS-SNVBAGLBSA-N 0 0 288.307 2.704 20 5 CFBDRN Cn1c2ccccc2c(N[C@@H]2CC23CCC3)c([N+](=O)[O-])c1=O ZINC000895091089 618283574 /nfs/dbraw/zinc/28/35/74/618283574.db2.gz SWOHLERLNBLMHR-GFCCVEGCSA-N 0 0 299.330 2.801 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000894042129 618285572 /nfs/dbraw/zinc/28/55/72/618285572.db2.gz IROQYBPOYZKZKX-RNFRBKRXSA-N 0 0 290.241 2.607 20 5 CFBDRN C[C@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(F)F ZINC000894048653 618289251 /nfs/dbraw/zinc/28/92/51/618289251.db2.gz ADMMASWKLSCMJH-YFKPBYRVSA-N 0 0 278.642 2.632 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CC(c2cccc(Cl)c2)C1 ZINC000894087761 618316436 /nfs/dbraw/zinc/31/64/36/618316436.db2.gz LOVMHUPJVIUFHI-UHFFFAOYSA-N 0 0 292.726 2.586 20 5 CFBDRN Cc1c(C(=O)O[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000103825279 618331241 /nfs/dbraw/zinc/33/12/41/618331241.db2.gz CMPOODHAQKUNEI-VIFPVBQESA-N 0 0 267.306 2.566 20 5 CFBDRN Cc1nn(/C=C\c2ccc([N+](=O)[O-])o2)c(C)c1[N+](=O)[O-] ZINC000214730115 618332384 /nfs/dbraw/zinc/33/23/84/618332384.db2.gz HWNTUNLRONDJLQ-WAYWQWQTSA-N 0 0 278.224 2.537 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])c(SC[C@H](C)O)cc1C ZINC000895122834 618335388 /nfs/dbraw/zinc/33/53/88/618335388.db2.gz BNLSENYMTVREQC-VIFPVBQESA-N 0 0 299.348 2.553 20 5 CFBDRN CCc1ccnc(C(=O)OCc2cccc([N+](=O)[O-])c2)c1 ZINC000179758138 618344559 /nfs/dbraw/zinc/34/45/59/618344559.db2.gz ISQOYDXSOPCNFP-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN Cc1cnc(COc2ccccc2[N+](=O)[O-])s1 ZINC000179729846 618344590 /nfs/dbraw/zinc/34/45/90/618344590.db2.gz GNWKKSATLACDDD-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@H](CCO)C1 ZINC000051895064 618349234 /nfs/dbraw/zinc/34/92/34/618349234.db2.gz BMFQPZAXZCXBFC-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN C[C@H](C[S@@](C)=O)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235487165 618352812 /nfs/dbraw/zinc/35/28/12/618352812.db2.gz DQHAKRHQZDYJCT-FWCARGHBSA-N 0 0 294.735 2.566 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2cc(F)c([N+](=O)[O-])cc2F)C1 ZINC000228627048 618354617 /nfs/dbraw/zinc/35/46/17/618354617.db2.gz AQVCKXLKCYPDTO-SECBINFHSA-N 0 0 298.289 2.991 20 5 CFBDRN CCCC(CCC)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000178746469 618360961 /nfs/dbraw/zinc/36/09/61/618360961.db2.gz JCQHGACYIKSUEL-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000228623901 618362091 /nfs/dbraw/zinc/36/20/91/618362091.db2.gz UCEMRVDYWICRFW-ZETCQYMHSA-N 0 0 272.251 2.791 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])ccc1Cl)c1ccc[nH]1 ZINC000177334084 618402145 /nfs/dbraw/zinc/40/21/45/618402145.db2.gz IPGICXKARHDQLB-UHFFFAOYSA-N 0 0 280.667 2.838 20 5 CFBDRN Cc1cn(C)nc1CNc1c(C)ccc([N+](=O)[O-])c1C ZINC000901392433 620803219 /nfs/dbraw/zinc/80/32/19/620803219.db2.gz KZCHXXOHMWLLAY-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN C[C@@H](O)CCCc1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901395193 620804190 /nfs/dbraw/zinc/80/41/90/620804190.db2.gz JNCANZHPKGVTBQ-SNVBAGLBSA-N 0 0 276.292 2.953 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000814042166 618441923 /nfs/dbraw/zinc/44/19/23/618441923.db2.gz HPTZBIYOUCEWIJ-XLPZGREQSA-N 0 0 289.740 2.713 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000814042165 618442226 /nfs/dbraw/zinc/44/22/26/618442226.db2.gz HPTZBIYOUCEWIJ-BWZBUEFSSA-N 0 0 289.740 2.713 20 5 CFBDRN CCOCCOCCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000877873934 618471165 /nfs/dbraw/zinc/47/11/65/618471165.db2.gz BEFDAFFOPYSHRP-UHFFFAOYSA-N 0 0 289.715 2.680 20 5 CFBDRN CC(C)=CCNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000935610066 618474059 /nfs/dbraw/zinc/47/40/59/618474059.db2.gz FZZNYCKDUPJVBI-UHFFFAOYSA-N 0 0 280.299 2.749 20 5 CFBDRN COc1c(C(=O)NC2CCC=CCC2)cccc1[N+](=O)[O-] ZINC000895217633 618480748 /nfs/dbraw/zinc/48/07/48/618480748.db2.gz YPMOPHSCAWARIT-UHFFFAOYSA-N 0 0 290.319 2.832 20 5 CFBDRN CC(C)[C@H](NCc1cccnc1[N+](=O)[O-])C(F)(F)F ZINC000901413494 620811449 /nfs/dbraw/zinc/81/14/49/620811449.db2.gz WIDDXIOZDDKTOY-VIFPVBQESA-N 0 0 277.246 2.666 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000928590497 618503028 /nfs/dbraw/zinc/50/30/28/618503028.db2.gz XVZXQCOBXYYIGI-BRNRAETOSA-N 0 0 292.335 2.538 20 5 CFBDRN C[C@H](C(=O)N(C)CCCF)c1cccc([N+](=O)[O-])c1 ZINC000895298139 618517274 /nfs/dbraw/zinc/51/72/74/618517274.db2.gz RLHZLXUSJBZXHG-JTQLQIEISA-N 0 0 268.288 2.516 20 5 CFBDRN CSc1cccc(C(=O)N(C)CCCF)c1[N+](=O)[O-] ZINC000895297655 618518759 /nfs/dbraw/zinc/51/87/59/618518759.db2.gz ZLVIUQOYUIUOKL-UHFFFAOYSA-N 0 0 286.328 2.748 20 5 CFBDRN CC1=NO[C@H](CNCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000895306350 618522403 /nfs/dbraw/zinc/52/24/03/618522403.db2.gz DQXGOHBJGNMCKR-NSHDSACASA-N 0 0 283.715 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)CCCF ZINC000895309820 618526007 /nfs/dbraw/zinc/52/60/07/618526007.db2.gz VJIDBRSMWKHDKK-UHFFFAOYSA-N 0 0 268.288 2.643 20 5 CFBDRN CC1=NO[C@H](CNCc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000895309856 618526340 /nfs/dbraw/zinc/52/63/40/618526340.db2.gz WAYQGWNJMOKUCT-NSHDSACASA-N 0 0 283.715 2.503 20 5 CFBDRN O=C(NC1(C2CC2)CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000895359874 618550352 /nfs/dbraw/zinc/55/03/52/618550352.db2.gz HSSURQMUPQGHOO-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3C[C@]3(c3ccccc3)C2)c(F)c1 ZINC000895391683 618564010 /nfs/dbraw/zinc/56/40/10/618564010.db2.gz JNFTYYWZKZWMMJ-BLLLJJGKSA-N 0 0 299.305 2.907 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC000895395157 618566360 /nfs/dbraw/zinc/56/63/60/618566360.db2.gz XMFGXQVUOHIQHP-XJKSGUPXSA-N 0 0 281.315 2.768 20 5 CFBDRN CCCC[C@H](CC)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000177767461 618605446 /nfs/dbraw/zinc/60/54/46/618605446.db2.gz ABCPKHHEQAIWTI-NSHDSACASA-N 0 0 283.328 2.551 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)Oc1cccc(F)c1 ZINC000066326691 618606252 /nfs/dbraw/zinc/60/62/52/618606252.db2.gz AMOWJBVDRKOQFF-UHFFFAOYSA-N 0 0 291.234 2.718 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(F)n2)c1 ZINC000162245563 618606394 /nfs/dbraw/zinc/60/63/94/618606394.db2.gz ZHBRRVDWJSIIAW-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cccnc1F ZINC000236139075 618606910 /nfs/dbraw/zinc/60/69/10/618606910.db2.gz WQMLLCBYPWRKCK-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CO[C@H](C)CCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255883009 618607023 /nfs/dbraw/zinc/60/70/23/618607023.db2.gz QDVLZYNLIXODPI-UIJKISKDSA-N 0 0 279.292 2.576 20 5 CFBDRN Cc1c(C(=O)OCC[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000177913583 618612681 /nfs/dbraw/zinc/61/26/81/618612681.db2.gz DVUVNSOOHZFWCK-LLVKDONJSA-N 0 0 279.292 2.629 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCc1cncc(F)c1 ZINC000236262286 618613638 /nfs/dbraw/zinc/61/36/38/618613638.db2.gz CUHZGEQIUDFZJW-UHFFFAOYSA-N 0 0 276.223 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC[C@H]1CCCO1 ZINC000177942183 618614477 /nfs/dbraw/zinc/61/44/77/618614477.db2.gz SHVYDOJZZMRBBW-GFCCVEGCSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nc3ccccc3n2C)n1 ZINC000178153388 618627605 /nfs/dbraw/zinc/62/76/05/618627605.db2.gz QJXMMHTWHFKPLV-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1cccnc1COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000180247662 618645588 /nfs/dbraw/zinc/64/55/88/618645588.db2.gz FIGVBGRGKLJFCJ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@H]1CCCO[C@@H]1C(C)(C)C ZINC000892600513 618648621 /nfs/dbraw/zinc/64/86/21/618648621.db2.gz SDNTZPBGTPFRLW-PWSUYJOCSA-N 0 0 296.371 2.582 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000310924298 618660076 /nfs/dbraw/zinc/66/00/76/618660076.db2.gz KGVSCRDJWNXCCN-IONNQARKSA-N 0 0 251.242 2.713 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892630994 618663513 /nfs/dbraw/zinc/66/35/13/618663513.db2.gz IBUOLUWEROMVQT-PRHODGIISA-N 0 0 271.276 2.738 20 5 CFBDRN O=C(Nc1nccc2cc([N+](=O)[O-])ccc21)C1CCC1 ZINC000892632355 618664292 /nfs/dbraw/zinc/66/42/92/618664292.db2.gz SUQAPYBPLGVMIL-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)CCSC ZINC000311124651 618685399 /nfs/dbraw/zinc/68/53/99/618685399.db2.gz YKQQUMSFPOECKT-MRVPVSSYSA-N 0 0 272.374 2.673 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000311186088 618692165 /nfs/dbraw/zinc/69/21/65/618692165.db2.gz AWRVJGAMLYIUKK-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN CCOC(=O)/C=C\COc1cc([N+](=O)[O-])ccc1Cl ZINC000311247401 618697935 /nfs/dbraw/zinc/69/79/35/618697935.db2.gz BRCVVWBXPGFSNQ-ARJAWSKDSA-N 0 0 285.683 2.746 20 5 CFBDRN COC(=O)/C(C)=C\COc1c(Cl)cccc1[N+](=O)[O-] ZINC000311274504 618700380 /nfs/dbraw/zinc/70/03/80/618700380.db2.gz LHEGMUWUHREKTL-VURMDHGXSA-N 0 0 285.683 2.746 20 5 CFBDRN CCCN(C)C(=O)c1c(F)c(C)cc([N+](=O)[O-])c1F ZINC000311500614 618713840 /nfs/dbraw/zinc/71/38/40/618713840.db2.gz WVQWUBPRUZANEY-UHFFFAOYSA-N 0 0 272.251 2.663 20 5 CFBDRN Cc1nccn1CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000311662871 618718423 /nfs/dbraw/zinc/71/84/23/618718423.db2.gz WTPMIVWBPDMWNO-UHFFFAOYSA-N 0 0 280.715 2.865 20 5 CFBDRN C[C@@H](CNc1c([N+](=O)[O-])cnn1C)Sc1ccccc1 ZINC000892703190 618720898 /nfs/dbraw/zinc/72/08/98/618720898.db2.gz JTRFVFUAJMDFDX-JTQLQIEISA-N 0 0 292.364 2.921 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2CO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000784025391 618733339 /nfs/dbraw/zinc/73/33/39/618733339.db2.gz BUQAEHJSDLMGOS-QWRGUYRKSA-N 0 0 279.292 2.542 20 5 CFBDRN CC[C@@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCS1 ZINC000892734323 618735047 /nfs/dbraw/zinc/73/50/47/618735047.db2.gz WEHGHLMPCMOMRT-GFCCVEGCSA-N 0 0 297.336 2.835 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H](C)CSC)ccc1[N+](=O)[O-] ZINC000892748426 618739250 /nfs/dbraw/zinc/73/92/50/618739250.db2.gz WMTUGOAGDPSRLG-VIFPVBQESA-N 0 0 298.364 2.931 20 5 CFBDRN CCOc1cc(NC(=O)/C=C\C2CC2)ccc1[N+](=O)[O-] ZINC000892747738 618739321 /nfs/dbraw/zinc/73/93/21/618739321.db2.gz YQQDGRHLVFYPTL-YVMONPNESA-N 0 0 276.292 2.898 20 5 CFBDRN CO[C@H](C)CCOC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000892755081 618741580 /nfs/dbraw/zinc/74/15/80/618741580.db2.gz FARMRPTUENHILD-SNVBAGLBSA-N 0 0 281.308 2.793 20 5 CFBDRN CSCCCCCCNC(=O)c1ccc([N+](=O)[O-])n1C ZINC000784148342 618750522 /nfs/dbraw/zinc/75/05/22/618750522.db2.gz VTDZAUOGXSXOIF-UHFFFAOYSA-N 0 0 299.396 2.587 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000784149854 618751322 /nfs/dbraw/zinc/75/13/22/618751322.db2.gz VIRAQWKZGWCZCP-WCBMZHEXSA-N 0 0 299.710 2.716 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000070286621 625294369 /nfs/dbraw/zinc/29/43/69/625294369.db2.gz YUSHPQOBRFNQGY-SNVBAGLBSA-N 0 0 279.340 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2CC(C)C2)c1C ZINC000893071334 618819593 /nfs/dbraw/zinc/81/95/93/618819593.db2.gz QJCJZOMTDZYMKU-UHFFFAOYSA-N 0 0 276.336 2.988 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC1(C)Cc2ccccc2C1 ZINC000893087014 618825136 /nfs/dbraw/zinc/82/51/36/618825136.db2.gz SBIRADKKEBCSDJ-UHFFFAOYSA-N 0 0 286.335 2.545 20 5 CFBDRN CCCOc1ccc(Nc2c([N+](=O)[O-])cnn2C)cc1 ZINC000893085022 618826349 /nfs/dbraw/zinc/82/63/49/618826349.db2.gz VUFHHHSCIADNDO-UHFFFAOYSA-N 0 0 276.296 2.861 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@@H](C)O[C@@H]2C)c1C ZINC000893129757 618841580 /nfs/dbraw/zinc/84/15/80/618841580.db2.gz BKMQQILJIDGKFB-YUSALJHKSA-N 0 0 292.335 2.507 20 5 CFBDRN CS[C@@H](CNc1c([N+](=O)[O-])cnn1C)C(C)(C)C ZINC000893132797 618842053 /nfs/dbraw/zinc/84/20/53/618842053.db2.gz SVILGDGFHBFOGJ-VIFPVBQESA-N 0 0 272.374 2.518 20 5 CFBDRN COC(=O)C1(COc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000853672366 618855062 /nfs/dbraw/zinc/85/50/62/618855062.db2.gz CMWHKFIHXCYEPB-UHFFFAOYSA-N 0 0 285.683 2.580 20 5 CFBDRN COc1ccc(OC(=O)c2ccccc2F)c([N+](=O)[O-])c1 ZINC000014785507 618867363 /nfs/dbraw/zinc/86/73/63/618867363.db2.gz ONEGTGJKZHAQOC-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN C=C/C=C\CCNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000893392438 618959418 /nfs/dbraw/zinc/95/94/18/618959418.db2.gz FHSSTFQNFAAMRU-ARJAWSKDSA-N 0 0 290.319 2.612 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H]2C(F)F)cc1F ZINC000931423925 618967515 /nfs/dbraw/zinc/96/75/15/618967515.db2.gz HVPFROPULYSWFG-SNVBAGLBSA-N 0 0 260.215 2.573 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)Cc1ccco1 ZINC000313583696 618997007 /nfs/dbraw/zinc/99/70/07/618997007.db2.gz YAPRRNODUYLMAR-SECBINFHSA-N 0 0 278.312 2.756 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@H]1CCC2(CCCCC2)O1 ZINC000893454432 618999433 /nfs/dbraw/zinc/99/94/33/618999433.db2.gz VGHFYWRVFLJRHX-LLVKDONJSA-N 0 0 294.355 2.622 20 5 CFBDRN COC[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000893458055 619001128 /nfs/dbraw/zinc/00/11/28/619001128.db2.gz ZFHZISYPQJUZRQ-LBPRGKRZSA-N 0 0 281.308 2.670 20 5 CFBDRN COCCCC(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000066878100 619003574 /nfs/dbraw/zinc/00/35/74/619003574.db2.gz RDAGOZWXHVLSNR-JTQLQIEISA-N 0 0 267.281 2.626 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CC12CCC2)[N+](=O)[O-] ZINC000893467921 619008362 /nfs/dbraw/zinc/00/83/62/619008362.db2.gz YBQSRPXDWCUNHI-JTQLQIEISA-N 0 0 255.314 2.555 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OC[C@@H]2CCOC2)c1 ZINC000093307930 619005362 /nfs/dbraw/zinc/00/53/62/619005362.db2.gz QZXPSZDDJATRDX-MRVPVSSYSA-N 0 0 257.673 2.664 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000070413522 625314269 /nfs/dbraw/zinc/31/42/69/625314269.db2.gz REXFVHAFVMXWNP-SNVBAGLBSA-N 0 0 277.324 2.582 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC000893660088 619148079 /nfs/dbraw/zinc/14/80/79/619148079.db2.gz TWGLAEKRMZKPJJ-UMSPYCQHSA-N 0 0 290.298 2.826 20 5 CFBDRN CSCCCN(C)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000893674842 619153087 /nfs/dbraw/zinc/15/30/87/619153087.db2.gz NJOROKZUUDKVSH-UHFFFAOYSA-N 0 0 285.325 2.692 20 5 CFBDRN CCCCC(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000005756019 619158747 /nfs/dbraw/zinc/15/87/47/619158747.db2.gz FMWJTOPSRAYOEA-SNVBAGLBSA-N 0 0 294.307 2.655 20 5 CFBDRN CC(C)(CCC(=O)N(Cc1ccoc1)C1CC1)[N+](=O)[O-] ZINC000889090230 619196570 /nfs/dbraw/zinc/19/65/70/619196570.db2.gz BXQLMXMVYIAAIE-UHFFFAOYSA-N 0 0 280.324 2.606 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC[C@@H](C2CC2)C1 ZINC000893886153 619236131 /nfs/dbraw/zinc/23/61/31/619236131.db2.gz SXNNHSPZTSLJDH-LLVKDONJSA-N 0 0 277.324 2.625 20 5 CFBDRN COCC(C)(C)CC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000841160755 619257952 /nfs/dbraw/zinc/25/79/52/619257952.db2.gz GCXJBZVUMOGPEY-UHFFFAOYSA-N 0 0 281.308 2.871 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccsc1 ZINC000791363495 619302509 /nfs/dbraw/zinc/30/25/09/619302509.db2.gz GVBINMSDWNWTCB-UHFFFAOYSA-N 0 0 281.268 2.630 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@@H]2C[C@H]3C[C@H]3C2)cc([N+](=O)[O-])c1 ZINC000894280156 619305260 /nfs/dbraw/zinc/30/52/60/619305260.db2.gz JKENENDLTDSELL-AYMMMOKOSA-N 0 0 263.253 2.713 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCO[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000928248264 619328247 /nfs/dbraw/zinc/32/82/47/619328247.db2.gz IPYCSPHTFHBERO-DMDPSCGWSA-N 0 0 292.335 2.587 20 5 CFBDRN CCC[C@@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000748101980 619335347 /nfs/dbraw/zinc/33/53/47/619335347.db2.gz GZYDLWPUMCYRNN-SNVBAGLBSA-N 0 0 251.282 2.869 20 5 CFBDRN C[C@@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000018165142 619369807 /nfs/dbraw/zinc/36/98/07/619369807.db2.gz ZJSPWQLBGKEZHR-MRVPVSSYSA-N 0 0 265.338 2.680 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H](C)c1ccccn1 ZINC000894477096 619396741 /nfs/dbraw/zinc/39/67/41/619396741.db2.gz MMZPLMGXHUXPKA-SNVBAGLBSA-N 0 0 288.307 2.609 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@@]1(C)CC1(F)F ZINC000894560846 619416331 /nfs/dbraw/zinc/41/63/31/619416331.db2.gz PNBLNTQKBABRQB-NSHDSACASA-N 0 0 287.266 2.846 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC[C@@H](CCF)C1 ZINC000894567438 619420534 /nfs/dbraw/zinc/42/05/34/619420534.db2.gz UDGNKMAWRLADBN-JTQLQIEISA-N 0 0 283.303 2.574 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CNC(CF)CF ZINC000894668394 619439003 /nfs/dbraw/zinc/43/90/03/619439003.db2.gz UCZVIWGYDKLAJM-UHFFFAOYSA-N 0 0 264.659 2.645 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000048805871 619473282 /nfs/dbraw/zinc/47/32/82/619473282.db2.gz JCCUQCLVSCLILO-YUMQZZPRSA-N 0 0 264.285 2.978 20 5 CFBDRN CCC1(C)CN(Cc2ccc(O)c(OC)c2[N+](=O)[O-])C1 ZINC000894841743 619480191 /nfs/dbraw/zinc/48/01/91/619480191.db2.gz ZESHTRRGGGFIGE-UHFFFAOYSA-N 0 0 280.324 2.541 20 5 CFBDRN COc1c(O)ccc(C[N@@H+]2C[C@H](C)[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000894958617 619516410 /nfs/dbraw/zinc/51/64/10/619516410.db2.gz QJYSXYSAYSXVRP-AXFHLTTASA-N 0 0 294.351 2.785 20 5 CFBDRN CCOc1cc(N2CC(OC(C)C)C2)ccc1[N+](=O)[O-] ZINC000894966228 619518445 /nfs/dbraw/zinc/51/84/45/619518445.db2.gz AYBHZALVUDBALL-UHFFFAOYSA-N 0 0 280.324 2.607 20 5 CFBDRN Cc1ccc(CNc2cccc([C@H](C)O)n2)cc1[N+](=O)[O-] ZINC000895011530 619525549 /nfs/dbraw/zinc/52/55/49/619525549.db2.gz DHHJCFABLDCNBV-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN COC(=O)C[C@@H](C)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000895035961 619530369 /nfs/dbraw/zinc/53/03/69/619530369.db2.gz HXZMUFFHEZMOSN-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN COC(=O)CC(C)(C)CNc1sccc1[N+](=O)[O-] ZINC000895506617 619542987 /nfs/dbraw/zinc/54/29/87/619542987.db2.gz RBWSDJUTIJNKGH-UHFFFAOYSA-N 0 0 272.326 2.658 20 5 CFBDRN COC(=O)CC1CC(Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000895500085 619543824 /nfs/dbraw/zinc/54/38/24/619543824.db2.gz HUEZZXRAYPHROI-UHFFFAOYSA-N 0 0 278.308 2.657 20 5 CFBDRN COC(=O)CC1CC(Nc2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000895498945 619543827 /nfs/dbraw/zinc/54/38/27/619543827.db2.gz KSAKEXVRTQIGEZ-UHFFFAOYSA-N 0 0 296.298 2.796 20 5 CFBDRN COC(=O)/C(C)=C/CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000895512842 619544870 /nfs/dbraw/zinc/54/48/70/619544870.db2.gz LFIYISOTCCZRKU-XBXARRHUSA-N 0 0 282.271 2.574 20 5 CFBDRN Cn1ccc([C@@H]2CCCN(c3ccsc3[N+](=O)[O-])C2)n1 ZINC000895635525 619573980 /nfs/dbraw/zinc/57/39/80/619573980.db2.gz MTNJGHOMVWWGKQ-SNVBAGLBSA-N 0 0 292.364 2.774 20 5 CFBDRN CC(C)(C)OC[C@@H]1CCCN1c1ncc([N+](=O)[O-])cc1F ZINC000895658780 619577690 /nfs/dbraw/zinc/57/76/90/619577690.db2.gz ZZXOAOQUHRPWDU-JTQLQIEISA-N 0 0 297.330 2.913 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)C(F)F ZINC000895724978 619592072 /nfs/dbraw/zinc/59/20/72/619592072.db2.gz JQHMIZMQGWFHPU-ZCFIWIBFSA-N 0 0 264.659 2.991 20 5 CFBDRN CCC(=O)c1ccc(N2CCO[C@@H](C)CC2)c([N+](=O)[O-])c1 ZINC000895760224 619601636 /nfs/dbraw/zinc/60/16/36/619601636.db2.gz GLTGLXHZXFHLQO-NSHDSACASA-N 0 0 292.335 2.803 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](c1ncccn1)C1CC1 ZINC000895779309 619605754 /nfs/dbraw/zinc/60/57/54/619605754.db2.gz FCMCMWWVOQRRAC-CYBMUJFWSA-N 0 0 270.292 2.948 20 5 CFBDRN CC[C@@H](CNc1cccnc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000895825557 619615728 /nfs/dbraw/zinc/61/57/28/619615728.db2.gz QUGHLMJGUXRPAE-JTQLQIEISA-N 0 0 295.339 2.770 20 5 CFBDRN CC[C@@H](CNc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)(C)C ZINC000895824856 619615735 /nfs/dbraw/zinc/61/57/35/619615735.db2.gz CMPFFBYGNGZLFU-JTQLQIEISA-N 0 0 295.339 2.770 20 5 CFBDRN CC(C)O[C@]1(CNc2ccc([N+](=O)[O-])cc2F)CCOC1 ZINC000895865812 619626080 /nfs/dbraw/zinc/62/60/80/619626080.db2.gz HUVHVAVNQHPPGU-AWEZNQCLSA-N 0 0 298.314 2.730 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@]1(OC(C)C)CCOC1 ZINC000895868250 619626491 /nfs/dbraw/zinc/62/64/91/619626491.db2.gz CLCMRRAXSORVBZ-OAHLLOKOSA-N 0 0 294.351 2.899 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2COC[C@H]2C)c([N+](=O)[O-])c1 ZINC000895907941 619632334 /nfs/dbraw/zinc/63/23/34/619632334.db2.gz FIMCIRAZFAGDGR-SKDRFNHKSA-N 0 0 278.308 2.634 20 5 CFBDRN CCCCOCCNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000895939864 619640590 /nfs/dbraw/zinc/64/05/90/619640590.db2.gz BIUHRIIOQLQXBR-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2C[C@H]2C(F)(F)F)ccc1[N+](=O)[O-] ZINC000896092474 619672091 /nfs/dbraw/zinc/67/20/91/619672091.db2.gz LEKOMYHINLLQSK-NTSWFWBYSA-N 0 0 293.220 2.926 20 5 CFBDRN CNC(=O)c1ccc(NCCC2CC=CC2)c([N+](=O)[O-])c1 ZINC000896096218 619673078 /nfs/dbraw/zinc/67/30/78/619673078.db2.gz XSFCZRNHNJORCS-UHFFFAOYSA-N 0 0 289.335 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC3(CCOCC3)O2)s1 ZINC000896174154 619686794 /nfs/dbraw/zinc/68/67/94/619686794.db2.gz MEBWIINHPFZXPW-JTQLQIEISA-N 0 0 298.364 2.796 20 5 CFBDRN C[C@@]1(CNc2nc3sccn3c2[N+](=O)[O-])CCCCO1 ZINC000896182992 619688205 /nfs/dbraw/zinc/68/82/05/619688205.db2.gz MAHDHSZYYZNXRD-LBPRGKRZSA-N 0 0 296.352 2.675 20 5 CFBDRN CCC[C@@H](O)CCNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000896186498 619690621 /nfs/dbraw/zinc/69/06/21/619690621.db2.gz JIOQZLMIRFWDRL-SECBINFHSA-N 0 0 286.303 2.706 20 5 CFBDRN CCC[C@H](O)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000896190984 619691040 /nfs/dbraw/zinc/69/10/40/619691040.db2.gz GQLQCRUUZFYMLH-JTQLQIEISA-N 0 0 256.277 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCn3nc(C4CC4)cc3C2)cc1 ZINC000896223191 619693935 /nfs/dbraw/zinc/69/39/35/619693935.db2.gz OPDLIUFYYIDVFD-UHFFFAOYSA-N 0 0 284.319 2.689 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCn2nc(C3CC3)cc2C1 ZINC000896219950 619696072 /nfs/dbraw/zinc/69/60/72/619696072.db2.gz JWVMSVVBKZCIFJ-UHFFFAOYSA-N 0 0 298.346 2.997 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCS[C@H](C)C2)c1[N+](=O)[O-] ZINC000896246731 619700738 /nfs/dbraw/zinc/70/07/38/619700738.db2.gz GDLFLTXJQNOPQR-BDAKNGLRSA-N 0 0 284.385 2.587 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCS[C@H](C)C2)c1[N+](=O)[O-] ZINC000896246737 619700931 /nfs/dbraw/zinc/70/09/31/619700931.db2.gz GDLFLTXJQNOPQR-RKDXNWHRSA-N 0 0 284.385 2.587 20 5 CFBDRN O=c1[nH]ccc(N[C@H]2CCSC3(CCC3)C2)c1[N+](=O)[O-] ZINC000896250434 619705658 /nfs/dbraw/zinc/70/56/58/619705658.db2.gz PAWRZQNZCRIULH-VIFPVBQESA-N 0 0 295.364 2.926 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(O)(C2CCC2)CC1 ZINC000896326638 619720013 /nfs/dbraw/zinc/72/00/13/619720013.db2.gz LWXMWLAHDPZLGU-UHFFFAOYSA-N 0 0 276.336 2.726 20 5 CFBDRN CC1(C)O[C@@H]2C[C@@H](Nc3sccc3[N+](=O)[O-])C[C@@H]2O1 ZINC000896358658 619724101 /nfs/dbraw/zinc/72/41/01/619724101.db2.gz GVZUDCRMZGCZPY-SLGCYQGISA-N 0 0 284.337 2.751 20 5 CFBDRN Cc1c(-c2noc(COC3CC3)n2)cccc1[N+](=O)[O-] ZINC000896388318 619727799 /nfs/dbraw/zinc/72/77/99/619727799.db2.gz BLZFWPJWVBRTOK-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN CCO[C@H]1CCN(c2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000896404811 619730161 /nfs/dbraw/zinc/73/01/61/619730161.db2.gz FVUIMDHYYYLIKL-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CC2)[C@@H]2CCCOC2)c(F)c1 ZINC000896435261 619733721 /nfs/dbraw/zinc/73/37/21/619733721.db2.gz KDKGOBGCJZFHBH-ZWNOBZJWSA-N 0 0 295.314 2.746 20 5 CFBDRN Cc1ccc(NCCNc2sccc2[N+](=O)[O-])nc1 ZINC000896456112 619741618 /nfs/dbraw/zinc/74/16/18/619741618.db2.gz PFESKJFGFAKZQJ-UHFFFAOYSA-N 0 0 278.337 2.884 20 5 CFBDRN Cc1cccc(NCCOC2CCOCC2)c1[N+](=O)[O-] ZINC000896462962 619742876 /nfs/dbraw/zinc/74/28/76/619742876.db2.gz IFHVRYYUSLGUFB-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN COC[C@H](CNc1ncc(C)cc1[N+](=O)[O-])C(C)C ZINC000896504217 619752010 /nfs/dbraw/zinc/75/20/10/619752010.db2.gz CVQZQEQEUKAWAP-NSHDSACASA-N 0 0 267.329 2.629 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1nc2c(s1)COCC2 ZINC000896540882 619758903 /nfs/dbraw/zinc/75/89/03/619758903.db2.gz RHQJPHNQWZRPIP-UHFFFAOYSA-N 0 0 297.361 2.798 20 5 CFBDRN O=c1[nH]ccc(NCC2CCC=CCC2)c1[N+](=O)[O-] ZINC000896549929 619760754 /nfs/dbraw/zinc/76/07/54/619760754.db2.gz PETJOYIRANUXQU-UHFFFAOYSA-N 0 0 263.297 2.854 20 5 CFBDRN COCC(C)(C)c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000896569203 619762406 /nfs/dbraw/zinc/76/24/06/619762406.db2.gz DXPOLCRKXAKRJJ-UHFFFAOYSA-N 0 0 277.280 2.569 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N[C@H]1C[C@H](CO)C1 ZINC000896691866 619791918 /nfs/dbraw/zinc/79/19/18/619791918.db2.gz QCCCUCOVYUIVBS-XWEPSHTISA-N 0 0 272.251 2.715 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](CO)C2)c(Cl)cc1[N+](=O)[O-] ZINC000896691763 619791927 /nfs/dbraw/zinc/79/19/27/619791927.db2.gz ZYRSFGXCXRLXIL-KYZUINATSA-N 0 0 270.716 2.739 20 5 CFBDRN Cc1ccnc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000896794976 619820395 /nfs/dbraw/zinc/82/03/95/619820395.db2.gz YKTPVPWJDVCFRA-SNVBAGLBSA-N 0 0 272.308 2.909 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1ccc2c[nH]nc2c1 ZINC000025786148 619904110 /nfs/dbraw/zinc/90/41/10/619904110.db2.gz SJCQFQOTOIVZMA-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2CCC[C@@H]2CO)c([N+](=O)[O-])c1 ZINC000896965119 619905903 /nfs/dbraw/zinc/90/59/03/619905903.db2.gz FAKODBXKFZBASD-VXGBXAGGSA-N 0 0 292.335 2.760 20 5 CFBDRN COC(=O)[C@@H]1C[C@@H]2C[C@H](Nc3ccsc3[N+](=O)[O-])[C@@H]2C1 ZINC000897010178 619914312 /nfs/dbraw/zinc/91/43/12/619914312.db2.gz HFHXSJQWKNKGNJ-CDLYGTGVSA-N 0 0 296.348 2.656 20 5 CFBDRN Cc1ccc(CSc2c([N+](=O)[O-])c(C)nn2C)o1 ZINC000897044456 619918370 /nfs/dbraw/zinc/91/83/70/619918370.db2.gz OOSDGNVURKFWNK-UHFFFAOYSA-N 0 0 267.310 2.830 20 5 CFBDRN CC1(C)C[C@H](Nc2ccc(N)c([N+](=O)[O-])n2)CCS1 ZINC000897064229 619924030 /nfs/dbraw/zinc/92/40/30/619924030.db2.gz SXXHRHTYIHBAPA-MRVPVSSYSA-N 0 0 282.369 2.658 20 5 CFBDRN CCCN(C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000897067955 619924600 /nfs/dbraw/zinc/92/46/00/619924600.db2.gz GBKIGMHPXXUIPB-LLVKDONJSA-N 0 0 279.340 2.750 20 5 CFBDRN C[C@@H](CNC(=O)NC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000897068674 619925066 /nfs/dbraw/zinc/92/50/66/619925066.db2.gz XCJANGLEKDZEJT-JTQLQIEISA-N 0 0 279.340 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c1 ZINC000897072262 619926190 /nfs/dbraw/zinc/92/61/90/619926190.db2.gz ICBYEZSTBHEMEU-SEBNEYGDSA-N 0 0 297.314 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)ccc2c1 ZINC000897078918 619929029 /nfs/dbraw/zinc/92/90/29/619929029.db2.gz YQUXNKKVSOAPSW-LWTNXATQSA-N 0 0 297.314 2.731 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000897142185 619938612 /nfs/dbraw/zinc/93/86/12/619938612.db2.gz HLKFFPHQPJUAHN-KBPBESRZSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1nccc2c1cccc2[N+](=O)[O-] ZINC000897144047 619940551 /nfs/dbraw/zinc/94/05/51/619940551.db2.gz HHOLDXAZTGHYBG-UONOGXRCSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000897144896 619940831 /nfs/dbraw/zinc/94/08/31/619940831.db2.gz NXHLEHZFMMJBRW-OCCSQVGLSA-N 0 0 287.319 2.757 20 5 CFBDRN CCOc1cccc(N(C)[C@@H]2CC[C@@H]2OC)c1[N+](=O)[O-] ZINC000897146998 619941262 /nfs/dbraw/zinc/94/12/62/619941262.db2.gz DVFBBXPVSWBUMZ-PWSUYJOCSA-N 0 0 280.324 2.607 20 5 CFBDRN CC(C)(C)c1coc(CNc2ncccc2[N+](=O)[O-])n1 ZINC000897173108 619944513 /nfs/dbraw/zinc/94/45/13/619944513.db2.gz IJOPKRVFXFDBSG-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN CC1(C)CCC[C@H](CNc2ccc([N+](=O)[O-])cn2)O1 ZINC000897253735 619960244 /nfs/dbraw/zinc/96/02/44/619960244.db2.gz GSQSHEMEFDFCEN-LLVKDONJSA-N 0 0 265.313 2.749 20 5 CFBDRN CC1(C)CCC[C@@H](CNc2ccc([N+](=O)[O-])cn2)O1 ZINC000897253736 619960299 /nfs/dbraw/zinc/96/02/99/619960299.db2.gz GSQSHEMEFDFCEN-NSHDSACASA-N 0 0 265.313 2.749 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cn2)CCN1c1ccccc1 ZINC000897272198 619963044 /nfs/dbraw/zinc/96/30/44/619963044.db2.gz ZQWWLNCPGJCPTM-ZDUSSCGKSA-N 0 0 298.346 2.705 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OCCC[C@H](C)O ZINC000909591239 619966933 /nfs/dbraw/zinc/96/69/33/619966933.db2.gz LLYONUKWEIGETN-VIFPVBQESA-N 0 0 299.348 2.635 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@@H](Cc2nccs2)C1 ZINC000897279171 619966992 /nfs/dbraw/zinc/96/69/92/619966992.db2.gz IGHZAGIHEXLWBS-JTQLQIEISA-N 0 0 290.348 2.515 20 5 CFBDRN COC[C@H](C)OC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000866922985 619974959 /nfs/dbraw/zinc/97/49/59/619974959.db2.gz LARSXTZMMAVIIB-WDEREUQCSA-N 0 0 296.323 2.725 20 5 CFBDRN COc1ccc(C(=O)O[C@H](C)[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000797198463 619976120 /nfs/dbraw/zinc/97/61/20/619976120.db2.gz UMEZBJVVAZJESE-RKDXNWHRSA-N 0 0 299.348 2.900 20 5 CFBDRN Cc1cnc(N[C@@H]2CCOC23CCCC3)c([N+](=O)[O-])c1 ZINC000897322637 619991889 /nfs/dbraw/zinc/99/18/89/619991889.db2.gz LJKKEGHOGVEWKT-GFCCVEGCSA-N 0 0 277.324 2.812 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1Cl)C1CCC1 ZINC000143740253 619999534 /nfs/dbraw/zinc/99/95/34/619999534.db2.gz IDRBWUGPBNYDIV-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000192897135 620056545 /nfs/dbraw/zinc/05/65/45/620056545.db2.gz AARDHVBGTGXMJO-ZJUUUORDSA-N 0 0 265.265 2.947 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1Nc1ccnc(F)c1[N+](=O)[O-] ZINC000897392563 620092869 /nfs/dbraw/zinc/09/28/69/620092869.db2.gz GGYSFHNLBFWPNC-RKDXNWHRSA-N 0 0 251.261 2.896 20 5 CFBDRN CCC(CC)(C[NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000263007585 620097063 /nfs/dbraw/zinc/09/70/63/620097063.db2.gz AYXRAQFIBPVGBR-UHFFFAOYSA-N 0 0 280.324 2.575 20 5 CFBDRN CCCNC(=S)Nc1cccc([N+](=O)[O-])c1C ZINC000006329687 620119615 /nfs/dbraw/zinc/11/96/15/620119615.db2.gz LGPYCEBSNDYQGA-UHFFFAOYSA-N 0 0 253.327 2.600 20 5 CFBDRN Cc1c(C(=O)NC[C@@]2(C)CCCCO2)cccc1[N+](=O)[O-] ZINC000832519988 625399606 /nfs/dbraw/zinc/39/96/06/625399606.db2.gz UEUOTPHJMKZSPI-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3COC[C@H]3C3CC3)ccc2c1 ZINC000897445280 620173476 /nfs/dbraw/zinc/17/34/76/620173476.db2.gz FEHGORMRQHZGSA-ZFWWWQNUSA-N 0 0 299.330 2.980 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1COC[C@@H]1C1CC1 ZINC000897452188 620174462 /nfs/dbraw/zinc/17/44/62/620174462.db2.gz UCGHIKSMKXXASG-OCCSQVGLSA-N 0 0 299.330 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2COC[C@H]2C2CC2)c1 ZINC000897452875 620174803 /nfs/dbraw/zinc/17/48/03/620174803.db2.gz YHEJOCCVFRBBEL-LSDHHAIUSA-N 0 0 299.330 2.980 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1sccc1[N+](=O)[O-])C2 ZINC000897492367 620189522 /nfs/dbraw/zinc/18/95/22/620189522.db2.gz WRBHEYDPAQCJCO-KCJUWKMLSA-N 0 0 270.379 2.846 20 5 CFBDRN CCCn1ccc(CNC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000897537683 620223062 /nfs/dbraw/zinc/22/30/62/620223062.db2.gz ILUXKVBTPWQCRK-UHFFFAOYSA-N 0 0 293.348 2.798 20 5 CFBDRN CC(C)(F)COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000785924375 620237952 /nfs/dbraw/zinc/23/79/52/620237952.db2.gz BRWMYYDNXJTBRY-UHFFFAOYSA-N 0 0 284.287 2.688 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@H]([C@@H]3CCOC3)C2)nc1 ZINC000897564579 620247369 /nfs/dbraw/zinc/24/73/69/620247369.db2.gz QMGGJZXXXXCLNW-QWHCGFSZSA-N 0 0 291.351 2.633 20 5 CFBDRN COC(=O)C[C@H](C)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000897673227 620271772 /nfs/dbraw/zinc/27/17/72/620271772.db2.gz HXZMUFFHEZMOSN-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)[C@@H]2C)c1C ZINC000897853110 620294625 /nfs/dbraw/zinc/29/46/25/620294625.db2.gz KJXUVLKJTXLMBJ-KOLCDFICSA-N 0 0 262.309 2.692 20 5 CFBDRN Nc1c(C(=O)N2CC3(CC3)C2)cc2ccccc2c1[N+](=O)[O-] ZINC000897871686 620295698 /nfs/dbraw/zinc/29/56/98/620295698.db2.gz FUXRHOOPXDQMFP-UHFFFAOYSA-N 0 0 297.314 2.566 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC(Cc3ccoc3)C2)cs1 ZINC000898160453 620340757 /nfs/dbraw/zinc/34/07/57/620340757.db2.gz GGXLIUWLFIVPAC-UHFFFAOYSA-N 0 0 278.333 2.924 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC=C(Cl)C1 ZINC000898191810 620350716 /nfs/dbraw/zinc/35/07/16/620350716.db2.gz VQQJTFKIBKHIKE-UHFFFAOYSA-N 0 0 284.674 2.703 20 5 CFBDRN CC[C@](C)(CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])OC ZINC000323020273 620397596 /nfs/dbraw/zinc/39/75/96/620397596.db2.gz JEEDMYUGSBEYPC-GFCCVEGCSA-N 0 0 283.284 2.730 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)c1[N+](=O)[O-] ZINC000889597217 620414740 /nfs/dbraw/zinc/41/47/40/620414740.db2.gz QEJHSBFUYGPQMB-SUZMYJTESA-N 0 0 292.310 2.961 20 5 CFBDRN C[C@@]1(O)CCCN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000163544073 620437473 /nfs/dbraw/zinc/43/74/73/620437473.db2.gz CHCDRNYINJINQK-CYBMUJFWSA-N 0 0 286.278 2.884 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNC/C=C/Cl ZINC000898435560 620464443 /nfs/dbraw/zinc/46/44/43/620464443.db2.gz JDEYCLQYIBYDEK-ZZXKWVIFSA-N 0 0 270.716 2.836 20 5 CFBDRN Cc1csc(-c2ccc(OCC[N+](=O)[O-])cc2)n1 ZINC000899230199 620533344 /nfs/dbraw/zinc/53/33/44/620533344.db2.gz FJCWZASUDSTWOO-UHFFFAOYSA-N 0 0 264.306 2.774 20 5 CFBDRN Cc1cc(F)cc(Br)c1OCC[N+](=O)[O-] ZINC000899231770 620533768 /nfs/dbraw/zinc/53/37/68/620533768.db2.gz GQVLJYRXOKUKDL-UHFFFAOYSA-N 0 0 278.077 2.552 20 5 CFBDRN CC(C)(C)OC(=O)Nc1ccc(OCC[N+](=O)[O-])cc1 ZINC000899231229 620533781 /nfs/dbraw/zinc/53/37/81/620533781.db2.gz OWJFPBXXMPVGRH-UHFFFAOYSA-N 0 0 282.296 2.689 20 5 CFBDRN O=[N+]([O-])CCOc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC000899232364 620534262 /nfs/dbraw/zinc/53/42/62/620534262.db2.gz MRIURADIOIIYTN-UHFFFAOYSA-N 0 0 281.051 2.557 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2C)C(=O)[C@H]1C(C)C ZINC000899351238 620550843 /nfs/dbraw/zinc/55/08/43/620550843.db2.gz DKDJQSQJNQNUFJ-ZDUSSCGKSA-N 0 0 275.308 2.898 20 5 CFBDRN C[C@H](CNC(=S)NC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000899621090 620586893 /nfs/dbraw/zinc/58/68/93/620586893.db2.gz CVTKXBGALBOYSV-SNVBAGLBSA-N 0 0 293.392 2.715 20 5 CFBDRN O=[N+]([O-])c1c(OCC2(O)CCC2)cccc1C(F)(F)F ZINC000899906801 620611693 /nfs/dbraw/zinc/61/16/93/620611693.db2.gz OUQKCOINYHMFRU-UHFFFAOYSA-N 0 0 291.225 2.907 20 5 CFBDRN CCC/C=C/[C@@H](O)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000899911328 620612214 /nfs/dbraw/zinc/61/22/14/620612214.db2.gz PIFKGFGJEYNTOS-URWSZGRFSA-N 0 0 278.308 2.559 20 5 CFBDRN CCc1nnc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000899911143 620612342 /nfs/dbraw/zinc/61/23/42/620612342.db2.gz ORPCQTLGHOCDHM-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN Cc1cc(CSC[C@@H](O)c2ccc([N+](=O)[O-])cc2)no1 ZINC000899913415 620612926 /nfs/dbraw/zinc/61/29/26/620612926.db2.gz BPXGQMSZLJPUTA-CYBMUJFWSA-N 0 0 294.332 2.858 20 5 CFBDRN Cc1cc(CSC[C@@H](O)c2cccc([N+](=O)[O-])c2)no1 ZINC000899914057 620613309 /nfs/dbraw/zinc/61/33/09/620613309.db2.gz JMAAXRREMHNXKI-CYBMUJFWSA-N 0 0 294.332 2.858 20 5 CFBDRN C[C@@H](NC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1)C1CC1 ZINC000899982084 620619126 /nfs/dbraw/zinc/61/91/26/620619126.db2.gz GAWDIIMTQAEUHZ-ZCFIWIBFSA-N 0 0 286.690 2.916 20 5 CFBDRN COC/C(C)=C/COc1ccc([N+](=O)[O-])cc1OC ZINC000901481011 620826947 /nfs/dbraw/zinc/82/69/47/620826947.db2.gz LGEPYBBWCALJRD-UXBLZVDNSA-N 0 0 267.281 2.575 20 5 CFBDRN C[C@H](CCc1ccccc1[N+](=O)[O-])[NH2+]CC(C)(C)C(=O)[O-] ZINC000901507549 620839920 /nfs/dbraw/zinc/83/99/20/620839920.db2.gz YKGQLZSKAHSKRI-LLVKDONJSA-N 0 0 294.351 2.616 20 5 CFBDRN COC/C(C)=C/COc1cccc(C)c1[N+](=O)[O-] ZINC000901528139 620845958 /nfs/dbraw/zinc/84/59/58/620845958.db2.gz JAMLYKSOYDLYQA-JXMROGBWSA-N 0 0 251.282 2.875 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000901532042 620847815 /nfs/dbraw/zinc/84/78/15/620847815.db2.gz VGFUKJNEMISMFP-HZMBPMFUSA-N 0 0 285.303 2.683 20 5 CFBDRN COC/C(C)=C\COc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000901533192 620848122 /nfs/dbraw/zinc/84/81/22/620848122.db2.gz KTHHYFPZENHTFB-UITAMQMPSA-N 0 0 266.297 2.578 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2C[C@@H]3COC[C@@H]3O2)c(F)c1 ZINC000901534778 620848419 /nfs/dbraw/zinc/84/84/19/620848419.db2.gz LFVVSLNZSYNLCW-QRTLGDNMSA-N 0 0 299.323 2.630 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2C[C@H]3COC[C@@H]3O2)c(F)c1 ZINC000901534776 620848580 /nfs/dbraw/zinc/84/85/80/620848580.db2.gz LFVVSLNZSYNLCW-PEXQALLHSA-N 0 0 299.323 2.630 20 5 CFBDRN Cc1cc(C)nc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)c1 ZINC000901536940 620849624 /nfs/dbraw/zinc/84/96/24/620849624.db2.gz WHAIKBNLDMYZSJ-UHFFFAOYSA-N 0 0 272.308 2.729 20 5 CFBDRN CC1(CC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)COC1 ZINC000901519220 620854920 /nfs/dbraw/zinc/85/49/20/620854920.db2.gz BMTYMNWFZLSSFV-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN Cc1cc(C)c(OC(=O)CC2(C)COC2)c([N+](=O)[O-])c1 ZINC000901571986 620866728 /nfs/dbraw/zinc/86/67/28/620866728.db2.gz FRANJEHMZANAEK-UHFFFAOYSA-N 0 0 279.292 2.544 20 5 CFBDRN CCC1(CC(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])COC1 ZINC000901572648 620867056 /nfs/dbraw/zinc/86/70/56/620867056.db2.gz LHPDHTQQLXWHCW-UHFFFAOYSA-N 0 0 293.319 2.934 20 5 CFBDRN CCC[N@H+](CC(=O)[O-])C/C(C)=C\c1cccc([N+](=O)[O-])c1 ZINC000901605136 620872220 /nfs/dbraw/zinc/87/22/20/620872220.db2.gz ISUCAHKGLWXGRU-WQLSENKSSA-N 0 0 292.335 2.795 20 5 CFBDRN CC1(c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)CC=CC1 ZINC000923950386 620873185 /nfs/dbraw/zinc/87/31/85/620873185.db2.gz KPWXUTXGHPQUAF-UHFFFAOYSA-N 0 0 286.291 2.571 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1OCC1CC=CC1)OCCO2 ZINC000901699563 620897868 /nfs/dbraw/zinc/89/78/68/620897868.db2.gz LCTYZPRHGJBMMQ-UHFFFAOYSA-N 0 0 277.276 2.711 20 5 CFBDRN O=C([O-])CC1([NH2+]C/C=C\c2ccccc2[N+](=O)[O-])CCC1 ZINC000901706728 620903282 /nfs/dbraw/zinc/90/32/82/620903282.db2.gz JKFWNUYCBAMIKP-UTCJRWHESA-N 0 0 290.319 2.595 20 5 CFBDRN Cc1ccc(C[NH2+][C@](C)(CC(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000901818968 620927234 /nfs/dbraw/zinc/92/72/34/620927234.db2.gz NNMONRFIKRJDBK-OAHLLOKOSA-N 0 0 294.351 2.882 20 5 CFBDRN COc1cc(CNc2cc([N+](=O)[O-])ccc2C)on1 ZINC000901923652 620935622 /nfs/dbraw/zinc/93/56/22/620935622.db2.gz WAOHWCQJAWWFJC-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NC[C@@H]2C[C@]23CCOC3)c1 ZINC000901969788 620947490 /nfs/dbraw/zinc/94/74/90/620947490.db2.gz OKMBFEMMRNYYSF-ZANVPECISA-N 0 0 266.272 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@@H+]1CCC[C@H]1CC(=O)[O-] ZINC000902008833 620956876 /nfs/dbraw/zinc/95/68/76/620956876.db2.gz XXGPUTPOJMQWCG-LBPRGKRZSA-N 0 0 292.335 2.651 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC[C@@H]1C[C@]12CCOC2 ZINC000902057560 620962574 /nfs/dbraw/zinc/96/25/74/620962574.db2.gz OCFLFNNLRDFQLQ-WFASDCNBSA-N 0 0 276.336 2.996 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC[C@@H]2C[C@H]2C2CC2)c1=O ZINC000902297049 621024455 /nfs/dbraw/zinc/02/44/55/621024455.db2.gz NAZMNBFLTVLYJY-YPMHNXCESA-N 0 0 262.309 2.501 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2C[C@]23CCOC3)c([N+](=O)[O-])c1 ZINC000902305473 621027930 /nfs/dbraw/zinc/02/79/30/621027930.db2.gz QQOIARGHUWCDOX-WFASDCNBSA-N 0 0 291.303 2.603 20 5 CFBDRN Cc1ccc(OC[C@@H]2C[C@@]23CCOC3)cc1[N+](=O)[O-] ZINC000902309385 621028719 /nfs/dbraw/zinc/02/87/19/621028719.db2.gz MEEWWJXGZIKYKP-SMDDNHRTSA-N 0 0 263.293 2.709 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@@H]1C[C@]12CCOC2 ZINC000902333715 621039397 /nfs/dbraw/zinc/03/93/97/621039397.db2.gz YTNPTRJFQKCYSV-ZANVPECISA-N 0 0 267.256 2.539 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSCC[C@H]1CCCO1 ZINC000902374096 621045591 /nfs/dbraw/zinc/04/55/91/621045591.db2.gz WCJFJHHIMDSTSR-LLVKDONJSA-N 0 0 268.338 2.792 20 5 CFBDRN C=C/C=C/CCSCCn1c(C)ncc1[N+](=O)[O-] ZINC000902450997 621092126 /nfs/dbraw/zinc/09/21/26/621092126.db2.gz ATVAMCUBBYJGNN-SNAWJCMRSA-N 0 0 267.354 2.965 20 5 CFBDRN C[C@H](SCCN1CCOC1=O)c1ccccc1[N+](=O)[O-] ZINC000902469225 621100545 /nfs/dbraw/zinc/10/05/45/621100545.db2.gz QFJGAZCIGHVDHP-JTQLQIEISA-N 0 0 296.348 2.841 20 5 CFBDRN CC(C)c1noc(COc2c(F)cc(F)cc2[N+](=O)[O-])n1 ZINC000902698395 621149787 /nfs/dbraw/zinc/14/97/87/621149787.db2.gz NPXCTKCBNBOXDG-UHFFFAOYSA-N 0 0 299.233 2.958 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OCC2OCCO2)cc1Cl ZINC000902834726 621187079 /nfs/dbraw/zinc/18/70/79/621187079.db2.gz JODYHEDGKTWSAR-UHFFFAOYSA-N 0 0 294.090 2.653 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000902899904 621204078 /nfs/dbraw/zinc/20/40/78/621204078.db2.gz XWQQLTUNNUVAKI-WRSRJMLGSA-N 0 0 275.308 2.761 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000071208589 625478643 /nfs/dbraw/zinc/47/86/43/625478643.db2.gz ZPCQDVLXKLNVEO-PELKAZGASA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@@H](c1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@@H]1CCCO1 ZINC000903315956 621329663 /nfs/dbraw/zinc/32/96/63/621329663.db2.gz AJJBYUUIFACFLM-SKDRFNHKSA-N 0 0 289.291 2.927 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)CC1(C)COC1 ZINC000903465259 621393762 /nfs/dbraw/zinc/39/37/62/621393762.db2.gz GCFUZDKHISDKCJ-UHFFFAOYSA-N 0 0 299.710 2.889 20 5 CFBDRN CC(C)N1CCC[C@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000904004079 621504756 /nfs/dbraw/zinc/50/47/56/621504756.db2.gz OPAPBNMZJMIUOW-ZDUSSCGKSA-N 0 0 296.298 2.512 20 5 CFBDRN Cc1ccccc1-c1noc(-c2cc([N+](=O)[O-])cnc2N)n1 ZINC000904563601 621610233 /nfs/dbraw/zinc/61/02/33/621610233.db2.gz GJNVBGCPIZIHNU-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000904813794 621646405 /nfs/dbraw/zinc/64/64/05/621646405.db2.gz WKISEGBMVVXHQJ-UHFFFAOYSA-N 0 0 289.291 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ccon2)c(Cl)c1 ZINC000148853815 621659709 /nfs/dbraw/zinc/65/97/09/621659709.db2.gz AXNLUMRJOZWTCQ-UHFFFAOYSA-N 0 0 267.672 2.526 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000905084042 621691952 /nfs/dbraw/zinc/69/19/52/621691952.db2.gz KKEPPGSSIQNRRA-OASPWFOLSA-N 0 0 278.308 2.513 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000832777260 625512554 /nfs/dbraw/zinc/51/25/54/625512554.db2.gz YMCGVEDOVZKNHA-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H]1C[C@@]12CCOC2 ZINC000905237537 621732274 /nfs/dbraw/zinc/73/22/74/621732274.db2.gz WGNCHRDIYLDTIE-SMDDNHRTSA-N 0 0 263.293 2.709 20 5 CFBDRN CN(CCCF)C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000905601072 621795887 /nfs/dbraw/zinc/79/58/87/621795887.db2.gz XWCOWAYGMRUDTJ-UHFFFAOYSA-N 0 0 271.317 2.583 20 5 CFBDRN Cc1ccc(C[NH2+][C@@H]2CC[C@H](CC(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000905815614 621874956 /nfs/dbraw/zinc/87/49/56/621874956.db2.gz QYZMCLYLAWUKSC-WCQYABFASA-N 0 0 292.335 2.636 20 5 CFBDRN CCC[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC000905852037 621888924 /nfs/dbraw/zinc/88/89/24/621888924.db2.gz FWVAMDXHDJNENO-BXUZGUMPSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@H](OC(=O)CC1(C)COC1)c1ccccc1[N+](=O)[O-] ZINC000906117676 621933088 /nfs/dbraw/zinc/93/30/88/621933088.db2.gz CJKFCLYMDNSJSH-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN CC(C)C1(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])COC1 ZINC000906120499 621933608 /nfs/dbraw/zinc/93/36/08/621933608.db2.gz SRQNYHXJTUMBIX-NSHDSACASA-N 0 0 293.319 2.872 20 5 CFBDRN O=C(NCc1cccnc1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149085270 621938088 /nfs/dbraw/zinc/93/80/88/621938088.db2.gz IOMJPRCHRRBGQP-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N=[S@](C)(=O)C(C)C ZINC000906188504 621948774 /nfs/dbraw/zinc/94/87/74/621948774.db2.gz QZSJONZSXIRUSP-HXUWFJFHSA-N 0 0 298.364 2.858 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N=[S@@](C)(=O)C(C)C)c1C ZINC000906189483 621949175 /nfs/dbraw/zinc/94/91/75/621949175.db2.gz YXFRGKLJKCASLK-FQEVSTJZSA-N 0 0 298.364 2.858 20 5 CFBDRN Cc1cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])no1 ZINC000149112039 621962981 /nfs/dbraw/zinc/96/29/81/621962981.db2.gz FVJSLVASOODTKO-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN O=C(NCCC1CC=CC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000906369238 621981607 /nfs/dbraw/zinc/98/16/07/621981607.db2.gz CWEALAPEQPSXPP-UHFFFAOYSA-N 0 0 296.273 2.959 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCCC2)c1ccc([N+](=O)[O-])s1 ZINC000927454887 622001116 /nfs/dbraw/zinc/00/11/16/622001116.db2.gz FCACVXQOVRXVKI-RNCFNFMXSA-N 0 0 280.349 2.966 20 5 CFBDRN CC(C)(CCC(=O)NC[C@@H]1CCCC1(C)C)[N+](=O)[O-] ZINC000832919830 625537808 /nfs/dbraw/zinc/53/78/08/625537808.db2.gz HQPPZFUEHNBGJL-NSHDSACASA-N 0 0 270.373 2.764 20 5 CFBDRN C[C@H](NC(=O)CCC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000833029747 625543344 /nfs/dbraw/zinc/54/33/44/625543344.db2.gz NJKFZXWYIVTNOE-QMMMGPOBSA-N 0 0 272.251 2.817 20 5 CFBDRN C[C@H](CNC(=O)OCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000907455929 622130098 /nfs/dbraw/zinc/13/00/98/622130098.db2.gz HGMTZZXORWXVAM-SNVBAGLBSA-N 0 0 278.308 2.835 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000907990098 622183861 /nfs/dbraw/zinc/18/38/61/622183861.db2.gz DLAZBUIBKHMGHJ-AOOOYVTPSA-N 0 0 295.314 2.642 20 5 CFBDRN C/C(Cl)=C/C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000908054301 622188405 /nfs/dbraw/zinc/18/84/05/622188405.db2.gz QLBXVTYLUIVVCY-NTMALXAHSA-N 0 0 282.727 2.738 20 5 CFBDRN CCC1(CC)CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000874628251 622200307 /nfs/dbraw/zinc/20/03/07/622200307.db2.gz MANMQUPSLZZXCG-UHFFFAOYSA-N 0 0 265.313 2.718 20 5 CFBDRN CC[C@@H](O)[C@@H](C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000908266879 622209767 /nfs/dbraw/zinc/20/97/67/622209767.db2.gz BGMDVWIFWGBEPL-ZYHUDNBSSA-N 0 0 280.324 2.557 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCC=CO1 ZINC000908277644 622211315 /nfs/dbraw/zinc/21/13/15/622211315.db2.gz CLZXMPMPALOSQP-CYBMUJFWSA-N 0 0 292.291 2.625 20 5 CFBDRN C[C@H](F)CCNC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000908428039 622228982 /nfs/dbraw/zinc/22/89/82/622228982.db2.gz OSPROLOHRNNNPQ-LURJTMIESA-N 0 0 292.669 2.865 20 5 CFBDRN O=C(c1cc(Cl)c(F)c([N+](=O)[O-])c1)N1CC2(CC2)C1 ZINC000908521488 622238502 /nfs/dbraw/zinc/23/85/02/622238502.db2.gz ZBWHDUCACRNEGK-UHFFFAOYSA-N 0 0 284.674 2.623 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000908512435 622241091 /nfs/dbraw/zinc/24/10/91/622241091.db2.gz MEOXJKABPUMIIL-JTQLQIEISA-N 0 0 292.335 2.777 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)C1SCCCS1 ZINC000841086254 622261566 /nfs/dbraw/zinc/26/15/66/622261566.db2.gz GQXMWZDQCZSOIX-UHFFFAOYSA-N 0 0 299.373 2.834 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000908700507 622265212 /nfs/dbraw/zinc/26/52/12/622265212.db2.gz MVHSFOVLPVHGOI-APPZFPTMSA-N 0 0 297.694 2.630 20 5 CFBDRN COC/C(C)=C/COC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000908764030 622273293 /nfs/dbraw/zinc/27/32/93/622273293.db2.gz PJNWUACQYATEMP-JXMROGBWSA-N 0 0 279.292 2.653 20 5 CFBDRN COC/C(C)=C/COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000908826537 622278830 /nfs/dbraw/zinc/27/88/30/622278830.db2.gz SQFIMEJIVHVHSJ-WEVVVXLNSA-N 0 0 299.710 2.998 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NC(=O)COC1CC1 ZINC000909020741 622301656 /nfs/dbraw/zinc/30/16/56/622301656.db2.gz NZHJFUNLRIFFLD-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN O=C(OCCCF)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027371 622388005 /nfs/dbraw/zinc/38/80/05/622388005.db2.gz KHISTKSSESQXIV-RYUDHWBXSA-N 0 0 267.256 2.601 20 5 CFBDRN O=C(OC/C=C\Cl)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910029574 622388794 /nfs/dbraw/zinc/38/87/94/622388794.db2.gz YTDDDPFJDPJNDM-YNNXIKFZSA-N 0 0 281.695 2.994 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC000911477232 622522102 /nfs/dbraw/zinc/52/21/02/622522102.db2.gz UHJIENDPBBZABF-RKDXNWHRSA-N 0 0 298.289 2.925 20 5 CFBDRN C[C@H]1CCC[C@@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911476667 622522258 /nfs/dbraw/zinc/52/22/58/622522258.db2.gz SEMJBXGSXYYYIC-WPRPVWTQSA-N 0 0 298.289 2.925 20 5 CFBDRN CC/C(C)=C\C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475442 622522318 /nfs/dbraw/zinc/52/23/18/622522318.db2.gz WRJDAJIUNBSRJT-YWEYNIOJSA-N 0 0 284.262 2.846 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@@H](C3CCC3)C2)c1 ZINC000840392357 622541354 /nfs/dbraw/zinc/54/13/54/622541354.db2.gz WAWBFQSOOIJFAX-LLVKDONJSA-N 0 0 261.325 2.803 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000911738620 622545621 /nfs/dbraw/zinc/54/56/21/622545621.db2.gz GELRTTUAJVJUBX-UHFFFAOYSA-N 0 0 266.373 2.544 20 5 CFBDRN Nc1ccc(NC(=O)c2cccc(F)c2)cc1[N+](=O)[O-] ZINC000819905100 622569146 /nfs/dbraw/zinc/56/91/46/622569146.db2.gz IXINVGMEMPNDGR-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN CCC[C@@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819905690 622569367 /nfs/dbraw/zinc/56/93/67/622569367.db2.gz TXPJDLPPNZVDTR-MRVPVSSYSA-N 0 0 251.286 2.552 20 5 CFBDRN CCC[C@@H](C)CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819915605 622570118 /nfs/dbraw/zinc/57/01/18/622570118.db2.gz AIXYWSVHLBFWMZ-SECBINFHSA-N 0 0 265.313 2.942 20 5 CFBDRN Cc1ccc(OC(=O)/C=C/[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000819947289 622579967 /nfs/dbraw/zinc/57/99/67/622579967.db2.gz YBLIRAPKXRBZLH-OKPNEXGHSA-N 0 0 277.276 2.544 20 5 CFBDRN CCC1(C)CN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000819969534 622587656 /nfs/dbraw/zinc/58/76/56/622587656.db2.gz NJOXXDWBRAOIKI-ZIAGYGMSSA-N 0 0 288.347 2.957 20 5 CFBDRN CCn1ccnc1SCCOc1ccccc1[N+](=O)[O-] ZINC000071961779 625582084 /nfs/dbraw/zinc/58/20/84/625582084.db2.gz ZNTBPXLNFJBPHO-UHFFFAOYSA-N 0 0 293.348 2.982 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NN1CCCC[C@@H]1C ZINC000912141167 622645050 /nfs/dbraw/zinc/64/50/50/622645050.db2.gz XKZYBVZSKLXOLB-VIFPVBQESA-N 0 0 295.314 2.562 20 5 CFBDRN O=C(NOCCC1CC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000820484627 622685855 /nfs/dbraw/zinc/68/58/55/622685855.db2.gz LVCDYRIJMMETAW-ZIAGYGMSSA-N 0 0 290.319 2.546 20 5 CFBDRN CCc1[nH]nc(C(=O)O[C@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000820538483 622698377 /nfs/dbraw/zinc/69/83/77/622698377.db2.gz IEFJGNFNTZGHLU-QMMMGPOBSA-N 0 0 281.312 2.616 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nnn(C2CC3(CCC3)C2)n1 ZINC000912455362 622719880 /nfs/dbraw/zinc/71/98/80/622719880.db2.gz UXIKEPIPQFJNHS-UHFFFAOYSA-N 0 0 285.307 2.754 20 5 CFBDRN CCc1ccccc1OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000912713271 622764964 /nfs/dbraw/zinc/76/49/64/622764964.db2.gz KJBJMMAQZKVWKX-UHFFFAOYSA-N 0 0 286.287 2.959 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C(F)F ZINC000820869350 622778022 /nfs/dbraw/zinc/77/80/22/622778022.db2.gz VRTSOMUGEVYGHW-IJLUTSLNSA-N 0 0 296.273 2.564 20 5 CFBDRN Cc1ncoc1-c1noc(-c2cc([N+](=O)[O-])ccc2C)n1 ZINC000820897080 622786005 /nfs/dbraw/zinc/78/60/05/622786005.db2.gz CHXCHVIOEPAXKK-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC=CC12CCCC2 ZINC000913199692 622851024 /nfs/dbraw/zinc/85/10/24/622851024.db2.gz UCWJTPNMOBUPIR-UHFFFAOYSA-N 0 0 278.333 2.981 20 5 CFBDRN Cc1ccc(C2CC2)c(C(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000821167824 622858935 /nfs/dbraw/zinc/85/89/35/622858935.db2.gz YOGYWRXADNACDN-SNVBAGLBSA-N 0 0 263.293 2.694 20 5 CFBDRN CC(C)(C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151199030 622860947 /nfs/dbraw/zinc/86/09/47/622860947.db2.gz KODZIVBYNBUGFU-UHFFFAOYSA-N 0 0 251.282 2.727 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C1CC1 ZINC000821179163 622862071 /nfs/dbraw/zinc/86/20/71/622862071.db2.gz JTQXSXJAYXAKOY-MRVPVSSYSA-N 0 0 280.349 2.845 20 5 CFBDRN CC[C@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151267878 622877035 /nfs/dbraw/zinc/87/70/35/622877035.db2.gz IXOKARYSUVFBBG-JTQLQIEISA-N 0 0 251.282 2.727 20 5 CFBDRN O=C(/C=C\[C@H]1CCCO1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000821404631 622915410 /nfs/dbraw/zinc/91/54/10/622915410.db2.gz PDLOTIMYCZQSIY-VLWUPBBWSA-N 0 0 295.266 2.512 20 5 CFBDRN Cc1nccc(CNc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000054790452 622920166 /nfs/dbraw/zinc/92/01/66/622920166.db2.gz IZOZDANBQNVYTG-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN C/C=C(/C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000156813022 622969747 /nfs/dbraw/zinc/96/97/47/622969747.db2.gz YAICZAGNPNXOHE-ZUYFITGHSA-N 0 0 260.293 2.839 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C1 ZINC000165118571 622981178 /nfs/dbraw/zinc/98/11/78/622981178.db2.gz DALNUOOLIXWVKK-YUMQZZPRSA-N 0 0 297.742 2.701 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000165118797 622981512 /nfs/dbraw/zinc/98/15/12/622981512.db2.gz DALNUOOLIXWVKK-JGVFFNPUSA-N 0 0 297.742 2.701 20 5 CFBDRN O=C(OCCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000157104264 622989671 /nfs/dbraw/zinc/98/96/71/622989671.db2.gz ULTPYWQVKVZBBB-UHFFFAOYSA-N 0 0 263.171 2.704 20 5 CFBDRN CC1(C)CC[C@@H]1OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000821842482 622991398 /nfs/dbraw/zinc/99/13/98/622991398.db2.gz QAYIHTRKMIAPOY-LBPRGKRZSA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@H](C1CC1)N(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000056935361 622992766 /nfs/dbraw/zinc/99/27/66/622992766.db2.gz LUYYISRPLTXIIM-SECBINFHSA-N 0 0 289.335 2.580 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)C1CCOCC1 ZINC000165629833 622994242 /nfs/dbraw/zinc/99/42/42/622994242.db2.gz JITGUXGSASNNSG-NSHDSACASA-N 0 0 293.319 2.871 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1ccc2nccn2c1 ZINC000057783193 623012306 /nfs/dbraw/zinc/01/23/06/623012306.db2.gz JRZRSOJLMDXGCW-UHFFFAOYSA-N 0 0 297.270 2.600 20 5 CFBDRN Cc1ccc(C(=O)OC[C@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000822080519 623030469 /nfs/dbraw/zinc/03/04/69/623030469.db2.gz UKZNZVBNKBUUER-YPMHNXCESA-N 0 0 267.256 2.808 20 5 CFBDRN CNc1ccc(C(=O)OC[C@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000822079641 623030877 /nfs/dbraw/zinc/03/08/77/623030877.db2.gz QTPQPIDMRZLSPF-AAEUAGOBSA-N 0 0 282.271 2.541 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@]1(C)C[C@@H]1F ZINC000822109348 623038374 /nfs/dbraw/zinc/03/83/74/623038374.db2.gz HTLASBBFZMEXOR-AAEUAGOBSA-N 0 0 267.256 2.808 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)COc1ccccc1 ZINC000045997395 623038578 /nfs/dbraw/zinc/03/85/78/623038578.db2.gz CBLPUKCAHZPLKY-UHFFFAOYSA-N 0 0 287.271 2.888 20 5 CFBDRN COCCC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000157607418 623041734 /nfs/dbraw/zinc/04/17/34/623041734.db2.gz QBAGXQNFAFSOTN-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CSC(F)F ZINC000822129151 623044735 /nfs/dbraw/zinc/04/47/35/623044735.db2.gz ZSLXKWJQXGPHFR-UHFFFAOYSA-N 0 0 276.264 2.798 20 5 CFBDRN COc1cc(C(=O)OC[C@]2(C)C[C@H]2F)cc([N+](=O)[O-])c1C ZINC000822142044 623049116 /nfs/dbraw/zinc/04/91/16/623049116.db2.gz ZOQRFBJMGIOQMY-OCCSQVGLSA-N 0 0 297.282 2.817 20 5 CFBDRN CC(C)(CCC(=O)NC1CCCCCC1)[N+](=O)[O-] ZINC000822176955 623055826 /nfs/dbraw/zinc/05/58/26/623055826.db2.gz ZDWDUTGNNPTOFD-UHFFFAOYSA-N 0 0 256.346 2.661 20 5 CFBDRN C/C=C/COC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 ZINC000046499414 623085383 /nfs/dbraw/zinc/08/53/83/623085383.db2.gz QUMMWBCXJBQMIG-NSCUHMNNSA-N 0 0 287.275 2.513 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822347148 623087632 /nfs/dbraw/zinc/08/76/32/623087632.db2.gz WIAHVFGSMGXGBE-LLVKDONJSA-N 0 0 258.362 2.763 20 5 CFBDRN CC(C)=CCNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000822374819 623090499 /nfs/dbraw/zinc/09/04/99/623090499.db2.gz HKKGHILACGWHES-UHFFFAOYSA-N 0 0 262.309 2.908 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2F)CC1 ZINC000226841294 623091862 /nfs/dbraw/zinc/09/18/62/623091862.db2.gz GAIPWHVEUYUKCK-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN Cc1cc(NC(=O)NOCC2CCC2)ccc1[N+](=O)[O-] ZINC000822400248 623096641 /nfs/dbraw/zinc/09/66/41/623096641.db2.gz BGGASNCPRZKFMP-UHFFFAOYSA-N 0 0 279.296 2.756 20 5 CFBDRN Cn1ccc(CCNc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000227240460 623101491 /nfs/dbraw/zinc/10/14/91/623101491.db2.gz FGVYZUIPULXPMM-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCSC(F)(F)F)cn1 ZINC000227526217 623112367 /nfs/dbraw/zinc/11/23/67/623112367.db2.gz DLPWWLKTMLXTQR-UHFFFAOYSA-N 0 0 267.232 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1[C@@H](C)C[C@@H]1C ZINC000822570820 623128909 /nfs/dbraw/zinc/12/89/09/623128909.db2.gz JOXWTJBQISSSNH-UWVGGRQHSA-N 0 0 262.309 2.835 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COc1cnn(C(C)C)c1 ZINC000228149386 623133767 /nfs/dbraw/zinc/13/37/67/623133767.db2.gz OTJKCLQOPRZRFT-UHFFFAOYSA-N 0 0 291.307 2.960 20 5 CFBDRN C[C@@H](Cc1ccsc1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822691379 623153176 /nfs/dbraw/zinc/15/31/76/623153176.db2.gz GUDCDABEXHSZKJ-JTQLQIEISA-N 0 0 284.381 2.631 20 5 CFBDRN CC(C)(CCC(=O)NC1(C)CCCCC1)[N+](=O)[O-] ZINC000822696762 623153939 /nfs/dbraw/zinc/15/39/39/623153939.db2.gz CVTGBLDHBNPGED-UHFFFAOYSA-N 0 0 256.346 2.661 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000060843520 623160204 /nfs/dbraw/zinc/16/02/04/623160204.db2.gz XWRFKEUHKFRZJA-UHFFFAOYSA-N 0 0 271.272 2.994 20 5 CFBDRN O=C(/C=C\[C@H]1CCCO1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000822739167 623164334 /nfs/dbraw/zinc/16/43/34/623164334.db2.gz AFZXGUPKXDUWCY-TUUFMJSCSA-N 0 0 298.245 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NOCCC1CC1 ZINC000823144752 623245143 /nfs/dbraw/zinc/24/51/43/623245143.db2.gz JLUGLZDGBPAFQD-UHFFFAOYSA-N 0 0 278.308 2.673 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCc2ccc(F)cc21)[N+](=O)[O-] ZINC000823156872 623246800 /nfs/dbraw/zinc/24/68/00/623246800.db2.gz MAXDLPBZMFQIHZ-ZDUSSCGKSA-N 0 0 294.326 2.765 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC000171909911 623247845 /nfs/dbraw/zinc/24/78/45/623247845.db2.gz LORUSSSNSSGYER-SECBINFHSA-N 0 0 267.281 2.801 20 5 CFBDRN C[C@H]1OCC[C@]1(C)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000230106702 623252149 /nfs/dbraw/zinc/25/21/49/623252149.db2.gz KAVFRECTQLGPSK-OQPBUACISA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000230105697 623252851 /nfs/dbraw/zinc/25/28/51/623252851.db2.gz VMSNLRYYKUYPPW-SDBXPKJASA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCC[C@H]1CCOC1 ZINC000230102587 623252985 /nfs/dbraw/zinc/25/29/85/623252985.db2.gz ZLTDUDBWMOZHKG-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000230154924 623256170 /nfs/dbraw/zinc/25/61/70/623256170.db2.gz CFKYAVYNMREZPR-ZANVPECISA-N 0 0 284.743 2.905 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N[C@H]1CC12CC2 ZINC000823286659 623284324 /nfs/dbraw/zinc/28/43/24/623284324.db2.gz FKVCCRQCGPPYEQ-NSHDSACASA-N 0 0 295.726 2.600 20 5 CFBDRN O=C(/C=C\[C@@H]1CCCO1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000823300426 623288794 /nfs/dbraw/zinc/28/87/94/623288794.db2.gz UECQKSYSRRSIKW-OAQKJQOQSA-N 0 0 296.710 2.922 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@@H](C)C1 ZINC000823319241 623292008 /nfs/dbraw/zinc/29/20/08/623292008.db2.gz DISTZDDOMWPLSA-GRYCIOLGSA-N 0 0 270.373 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN[C@H](CO)c1ccccc1 ZINC000063002520 623312375 /nfs/dbraw/zinc/31/23/75/623312375.db2.gz RDGNZUSGLHLAKA-CQSZACIVSA-N 0 0 290.294 2.557 20 5 CFBDRN CCSCCOC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000063236247 623334160 /nfs/dbraw/zinc/33/41/60/623334160.db2.gz KCOSBDMLMYTFBX-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN Cc1c[nH]nc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000231346566 623337538 /nfs/dbraw/zinc/33/75/38/623337538.db2.gz MEPDRHRMMYUHSY-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CCON(C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C(C)C ZINC000823602843 623357802 /nfs/dbraw/zinc/35/78/02/623357802.db2.gz JCODJNLDADOFIE-ZIAGYGMSSA-N 0 0 292.335 2.887 20 5 CFBDRN CN(C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000823658941 623371592 /nfs/dbraw/zinc/37/15/92/623371592.db2.gz HOOYMBFFPZVYSQ-STQMWFEESA-N 0 0 276.336 2.955 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)OCc2cccc([N+](=O)[O-])c2)O1 ZINC000174124725 623390022 /nfs/dbraw/zinc/39/00/22/623390022.db2.gz BMEPSSYPKAWGFO-SMDDNHRTSA-N 0 0 293.319 2.986 20 5 CFBDRN Cc1noc([C@H](C)OC(=O)c2cc(C)ccc2[N+](=O)[O-])n1 ZINC000174243699 623402103 /nfs/dbraw/zinc/40/21/03/623402103.db2.gz JMSLCDMGZTWULD-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN CSCCCOC(=O)c1cccc([N+](=O)[O-])c1C ZINC000174277438 623408260 /nfs/dbraw/zinc/40/82/60/623408260.db2.gz DYNUIYTYSSMJEE-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN Cc1cnc(COC(=O)c2cccc([N+](=O)[O-])c2C)o1 ZINC000174277419 623408377 /nfs/dbraw/zinc/40/83/77/623408377.db2.gz KFVBCEMTNVMUJQ-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CC(C)(C)OCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000063946849 623409207 /nfs/dbraw/zinc/40/92/07/623409207.db2.gz QZYWDEJPDLGUIU-UHFFFAOYSA-N 0 0 267.281 2.567 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)(C)C ZINC000823920826 623418719 /nfs/dbraw/zinc/41/87/19/623418719.db2.gz DYJKYADZZYMBOB-MRVPVSSYSA-N 0 0 280.328 2.733 20 5 CFBDRN CC[C@@](C)(NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000823920758 623418923 /nfs/dbraw/zinc/41/89/23/623418923.db2.gz BOJUJEXPGGLKTK-CQSZACIVSA-N 0 0 292.339 2.877 20 5 CFBDRN CN(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC(C)(C)C1 ZINC000823927332 623420398 /nfs/dbraw/zinc/42/03/98/623420398.db2.gz SWPJPYPATBIOIW-UHFFFAOYSA-N 0 0 292.339 2.829 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823972924 623428079 /nfs/dbraw/zinc/42/80/79/623428079.db2.gz BOEYILWYQKVDNX-JTQLQIEISA-N 0 0 292.339 2.877 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823994578 623429790 /nfs/dbraw/zinc/42/97/90/623429790.db2.gz VITCHPJTGHQDDI-BDAKNGLRSA-N 0 0 280.328 2.733 20 5 CFBDRN CN(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCCC1 ZINC000823988941 623430092 /nfs/dbraw/zinc/43/00/92/623430092.db2.gz ZWLAVXXIXCQBMQ-UHFFFAOYSA-N 0 0 278.312 2.583 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000824003115 623430905 /nfs/dbraw/zinc/43/09/05/623430905.db2.gz PLAHFHIONBSLEL-VHSXEESVSA-N 0 0 292.339 2.877 20 5 CFBDRN CCC[C@H](CC)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823998118 623430996 /nfs/dbraw/zinc/43/09/96/623430996.db2.gz KTNBBRZXPLPYCY-VIFPVBQESA-N 0 0 280.328 2.877 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000824003116 623430999 /nfs/dbraw/zinc/43/09/99/623430999.db2.gz PLAHFHIONBSLEL-ZJUUUORDSA-N 0 0 292.339 2.877 20 5 CFBDRN C[C@H]1CCCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824008102 623431568 /nfs/dbraw/zinc/43/15/68/623431568.db2.gz FGJLBQIYFULESK-VIFPVBQESA-N 0 0 278.312 2.583 20 5 CFBDRN CC1(C)CN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000311219820 623437818 /nfs/dbraw/zinc/43/78/18/623437818.db2.gz OUCVLRPXXMJJKT-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CCS[C@H]1C ZINC000824142678 623459625 /nfs/dbraw/zinc/45/96/25/623459625.db2.gz OUALYTJIERHJNV-QWRGUYRKSA-N 0 0 294.376 2.835 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCC[C@@H](F)C1 ZINC000824145999 623460290 /nfs/dbraw/zinc/46/02/90/623460290.db2.gz NZSSFLDDXIPJQW-KWCYVHTRSA-N 0 0 292.310 2.659 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCC[C@H](F)C1 ZINC000824145998 623460525 /nfs/dbraw/zinc/46/05/25/623460525.db2.gz NZSSFLDDXIPJQW-IACUBPJLSA-N 0 0 292.310 2.659 20 5 CFBDRN CCc1noc(C)c1COC(=O)c1csc([N+](=O)[O-])c1 ZINC000757997954 623498138 /nfs/dbraw/zinc/49/81/38/623498138.db2.gz GXIHIFARVWKLOA-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN Cc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)cn1 ZINC000030788421 623658535 /nfs/dbraw/zinc/65/85/35/623658535.db2.gz HEZVINVCNFTTQG-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cncc(F)c1 ZINC000152416989 623698366 /nfs/dbraw/zinc/69/83/66/623698366.db2.gz BXZGXTWSMXZXFJ-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1c(Br)cccc1[N+](=O)[O-] ZINC000914152486 623713910 /nfs/dbraw/zinc/71/39/10/623713910.db2.gz CSQPCRHPNCYIFA-SSDOTTSWSA-N 0 0 299.124 2.592 20 5 CFBDRN C[C@H]1CCN1C(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000914164269 623720280 /nfs/dbraw/zinc/72/02/80/623720280.db2.gz BHRZZXSJVHWJDI-VIFPVBQESA-N 0 0 282.727 2.802 20 5 CFBDRN Cc1ccc(CNC(=O)C(C)(C)C(F)F)cc1[N+](=O)[O-] ZINC000825641539 623729055 /nfs/dbraw/zinc/72/90/55/623729055.db2.gz YUYNYNYPHQAFTJ-UHFFFAOYSA-N 0 0 286.278 2.811 20 5 CFBDRN Cc1ccc(CNC(=O)C2(CCF)CC2)cc1[N+](=O)[O-] ZINC000825640859 623729393 /nfs/dbraw/zinc/72/93/93/623729393.db2.gz MGKUCZBLQZAFST-UHFFFAOYSA-N 0 0 280.299 2.659 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000825648593 623730811 /nfs/dbraw/zinc/73/08/11/623730811.db2.gz VFFJDZDVRXOOFG-GXFFZTMASA-N 0 0 276.336 2.956 20 5 CFBDRN COc1ccc(C(=O)NCCCCC2CC2)cc1[N+](=O)[O-] ZINC000825696393 623741953 /nfs/dbraw/zinc/74/19/53/623741953.db2.gz VQQAODOCZLXYML-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H](O)c2ccc(F)cc2F)c1 ZINC000152728907 623761199 /nfs/dbraw/zinc/76/11/99/623761199.db2.gz SQFDQBJNGXCDFS-CQSZACIVSA-N 0 0 295.241 2.985 20 5 CFBDRN CC(C)(NC(=O)CCC(C)(C)[N+](=O)[O-])c1cccs1 ZINC000826023402 623783763 /nfs/dbraw/zinc/78/37/63/623783763.db2.gz SRDITLOAQYDVSP-UHFFFAOYSA-N 0 0 284.381 2.935 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1C[C@@]1(C)c1ccccc1)[N+](=O)[O-] ZINC000826086118 623791702 /nfs/dbraw/zinc/79/17/02/623791702.db2.gz NROMOJALOJFXQC-BBRMVZONSA-N 0 0 290.363 2.668 20 5 CFBDRN CCCC[C@](C)(CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000826624511 623825341 /nfs/dbraw/zinc/82/53/41/623825341.db2.gz WLICBRISXLBODT-CYBMUJFWSA-N 0 0 297.311 2.856 20 5 CFBDRN CC12CC(C1)CN2C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000826783953 623873646 /nfs/dbraw/zinc/87/36/46/623873646.db2.gz RAPNHWGLHUFBDC-UHFFFAOYSA-N 0 0 292.310 2.677 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000826829282 623885895 /nfs/dbraw/zinc/88/58/95/623885895.db2.gz CHWDFJOOKMQIMY-FZMZJTMJSA-N 0 0 294.351 2.523 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826860348 623896389 /nfs/dbraw/zinc/89/63/89/623896389.db2.gz CBJHKYLHNCXRFI-WDEREUQCSA-N 0 0 256.346 2.517 20 5 CFBDRN CCCC1(CNC(=O)CCC(C)(C)[N+](=O)[O-])CCC1 ZINC000826881838 623902194 /nfs/dbraw/zinc/90/21/94/623902194.db2.gz CWZNLVRYRIAEGS-UHFFFAOYSA-N 0 0 270.373 2.909 20 5 CFBDRN Cc1sc(C(=O)OCC(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000914640237 623912580 /nfs/dbraw/zinc/91/25/80/623912580.db2.gz ZZKCTWIHEQTTRN-UHFFFAOYSA-N 0 0 285.321 2.737 20 5 CFBDRN Cc1nnc(CN(C)Cc2ccc([N+](=O)[O-])c(Cl)c2)o1 ZINC000827039133 623928386 /nfs/dbraw/zinc/92/83/86/623928386.db2.gz HVSQNTCFUVWETO-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CC2CCC1CC2)[N+](=O)[O-] ZINC000827059393 623934059 /nfs/dbraw/zinc/93/40/59/623934059.db2.gz IPOQOJIWLPTHEV-MCIGGMRASA-N 0 0 268.357 2.517 20 5 CFBDRN O=C(NCC1CC=CC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000827078441 623936868 /nfs/dbraw/zinc/93/68/68/623936868.db2.gz MRTRCRRAMVVCDX-HUUCEWRRSA-N 0 0 286.331 2.781 20 5 CFBDRN CCc1ccc(OC(=O)[C@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000835168675 623948178 /nfs/dbraw/zinc/94/81/78/623948178.db2.gz LCOOTTHSQIDFLW-MRVPVSSYSA-N 0 0 271.219 2.718 20 5 CFBDRN CO[C@]1(C)C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1(C)C ZINC000835239809 623954524 /nfs/dbraw/zinc/95/45/24/623954524.db2.gz LUTBRPHKZSVRNF-QMTHXVAHSA-N 0 0 287.356 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(C(Cl)=Cc3ncc[nH]3)nc2c1 ZINC000731157692 623959676 /nfs/dbraw/zinc/95/96/76/623959676.db2.gz ZTEKPTUHTUJOGI-SOFGYWHQSA-N 0 0 289.682 2.931 20 5 CFBDRN CC(C)(CCC(=O)NCC1(C2CC2)CCC1)[N+](=O)[O-] ZINC000827230954 623970396 /nfs/dbraw/zinc/97/03/96/623970396.db2.gz KWHXYEBBBNZCED-UHFFFAOYSA-N 0 0 268.357 2.518 20 5 CFBDRN CC(C)(CCC(=O)O[C@H]1CCCC1(C)C)[N+](=O)[O-] ZINC000835393299 623972396 /nfs/dbraw/zinc/97/23/96/623972396.db2.gz XHSDGCZGRDEDJE-JTQLQIEISA-N 0 0 257.330 2.944 20 5 CFBDRN CC(C)CSCCCNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827367945 623994853 /nfs/dbraw/zinc/99/48/53/623994853.db2.gz GMCUAFAOYOSRKF-UHFFFAOYSA-N 0 0 290.429 2.717 20 5 CFBDRN CCc1nnc(NCc2ccc([N+](=O)[O-])c(Cl)c2)o1 ZINC000834478489 625692160 /nfs/dbraw/zinc/69/21/60/625692160.db2.gz HAEUBFVUXIHVRS-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN CC(C)SCC(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000915387002 624036097 /nfs/dbraw/zinc/03/60/97/624036097.db2.gz XTSAFVGQQXMNDN-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CC12CCCCC2)[N+](=O)[O-] ZINC000827726066 624076792 /nfs/dbraw/zinc/07/67/92/624076792.db2.gz SPDHILZUNDFTPZ-NSHDSACASA-N 0 0 268.357 2.661 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1CC[C@@H](C2CC2)O1 ZINC000915587984 624081780 /nfs/dbraw/zinc/08/17/80/624081780.db2.gz LWEJFKJIIRPNOO-STQMWFEESA-N 0 0 293.319 2.940 20 5 CFBDRN CC(C)CC(=O)OCOc1cccc([N+](=O)[O-])c1 ZINC000915604432 624083072 /nfs/dbraw/zinc/08/30/72/624083072.db2.gz ZUFGCDUCRXCKCS-UHFFFAOYSA-N 0 0 253.254 2.520 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CC[C@H](C3CC3)O2)cc1 ZINC000915597875 624083087 /nfs/dbraw/zinc/08/30/87/624083087.db2.gz IXXBOEHJRNQVJB-UONOGXRCSA-N 0 0 263.293 2.931 20 5 CFBDRN CC[C@@H]1CCN(c2ccc(CC(=O)OC)cc2[N+](=O)[O-])C1 ZINC000827748125 624083726 /nfs/dbraw/zinc/08/37/26/624083726.db2.gz XJNMAGQUGBOZDP-LLVKDONJSA-N 0 0 292.335 2.547 20 5 CFBDRN Cc1c(NC(=O)CCC(C)(C)[N+](=O)[O-])cccc1N(C)C ZINC000827860921 624113699 /nfs/dbraw/zinc/11/36/99/624113699.db2.gz XLEIGHCRTSTVKR-UHFFFAOYSA-N 0 0 293.367 2.835 20 5 CFBDRN COc1ccc(OC(=O)CCC(C)(F)F)c([N+](=O)[O-])c1 ZINC000915812894 624116422 /nfs/dbraw/zinc/11/64/22/624116422.db2.gz TTYSZFZQVYGUAP-UHFFFAOYSA-N 0 0 289.234 2.944 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000915872819 624135945 /nfs/dbraw/zinc/13/59/45/624135945.db2.gz ZYLVRUMFYYYPGG-IUCAKERBSA-N 0 0 283.353 2.564 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000767669764 625702726 /nfs/dbraw/zinc/70/27/26/625702726.db2.gz KCNJPZAGJXVYSC-LBPRGKRZSA-N 0 0 299.348 2.788 20 5 CFBDRN CC(C)OCCON=c1[nH]ccc2c1cccc2[N+](=O)[O-] ZINC000828235966 624208559 /nfs/dbraw/zinc/20/85/59/624208559.db2.gz CEBXOZFRPBWDBB-UHFFFAOYSA-N 0 0 291.307 2.912 20 5 CFBDRN CCOc1cc(N[C@H]2CCn3ccnc32)ccc1[N+](=O)[O-] ZINC000828357253 624232851 /nfs/dbraw/zinc/23/28/51/624232851.db2.gz BQNLVDVHGKRULD-NSHDSACASA-N 0 0 288.307 2.747 20 5 CFBDRN CCc1cc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000888288245 624243794 /nfs/dbraw/zinc/24/37/94/624243794.db2.gz GPMDPQPBQVTXOP-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN Cc1ccc(OCC2(O)CCCCC2)cc1[N+](=O)[O-] ZINC000154061271 624257488 /nfs/dbraw/zinc/25/74/88/624257488.db2.gz IHJFZXANWDPDSI-UHFFFAOYSA-N 0 0 265.309 2.977 20 5 CFBDRN CCc1onc(C)c1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000916667018 624272629 /nfs/dbraw/zinc/27/26/29/624272629.db2.gz CLZSQQIVNMXRSX-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H]2C2CC2)c(F)c1 ZINC000828610540 624276742 /nfs/dbraw/zinc/27/67/42/624276742.db2.gz KSKGHJQIMPHSJH-LLVKDONJSA-N 0 0 254.236 2.862 20 5 CFBDRN Cc1c(OCC(=O)C2(Cl)CC2)cccc1[N+](=O)[O-] ZINC000916730520 624281405 /nfs/dbraw/zinc/28/14/05/624281405.db2.gz GWMQQYKNRMYKHJ-UHFFFAOYSA-N 0 0 269.684 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)c2ccccc2F)cc1F ZINC000154198303 624286006 /nfs/dbraw/zinc/28/60/06/624286006.db2.gz BDMPNWDROJXCAF-AWEZNQCLSA-N 0 0 295.241 2.985 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cc([N+](=O)[O-])c(C)s1)C(C)C ZINC000828696766 624287343 /nfs/dbraw/zinc/28/73/43/624287343.db2.gz SYVWWXNPIVUVJF-NSHDSACASA-N 0 0 285.321 2.735 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cc([N+](=O)[O-])c(C)s1)C(C)C ZINC000828696765 624287395 /nfs/dbraw/zinc/28/73/95/624287395.db2.gz SYVWWXNPIVUVJF-LLVKDONJSA-N 0 0 285.321 2.735 20 5 CFBDRN Cc1ccc(N2CC(C(=O)OC(C)(C)C)C2)c([N+](=O)[O-])c1 ZINC000828744257 624294968 /nfs/dbraw/zinc/29/49/68/624294968.db2.gz OXIPHJBJTKQAEF-UHFFFAOYSA-N 0 0 292.335 2.681 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000828767733 624297632 /nfs/dbraw/zinc/29/76/32/624297632.db2.gz XMFREQLHMRTPPB-GFCCVEGCSA-N 0 0 283.255 2.504 20 5 CFBDRN C[C@]1(CNc2ncccc2[N+](=O)[O-])CC1(Cl)Cl ZINC000828791519 624301803 /nfs/dbraw/zinc/30/18/03/624301803.db2.gz VXAQTUMPIUSFFW-SECBINFHSA-N 0 0 276.123 2.986 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H](O)c1cccc(Cl)c1 ZINC000917063119 624304544 /nfs/dbraw/zinc/30/45/44/624304544.db2.gz BGDHPMNUNGFITR-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(CCF)[C@H]1CC[C@H](O)CC1 ZINC000828813292 624305460 /nfs/dbraw/zinc/30/54/60/624305460.db2.gz WXIDRAZYKLMVIN-HDJSIYSDSA-N 0 0 296.342 2.670 20 5 CFBDRN CO[C@H](C)CCOC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000174835930 624313974 /nfs/dbraw/zinc/31/39/74/624313974.db2.gz LGHZQRIELMXTKT-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN CO[C@@H](C)CCOC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000174835922 624314099 /nfs/dbraw/zinc/31/40/99/624314099.db2.gz LGHZQRIELMXTKT-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN COC(=O)c1ccc(/C=C\c2cccc([N+](=O)[O-])c2)nc1 ZINC000917146540 624318387 /nfs/dbraw/zinc/31/83/87/624318387.db2.gz QDBOKQFPWCYOPU-ALCCZGGFSA-N 0 0 284.271 2.947 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](OC)[C@@H](C)C1 ZINC000828903433 624325949 /nfs/dbraw/zinc/32/59/49/624325949.db2.gz GSLDGLKGKNUTCV-XHDPSFHLSA-N 0 0 294.351 2.602 20 5 CFBDRN CO[C@H]1CCN(c2cccc(F)c2[N+](=O)[O-])CC1(C)C ZINC000828911137 624328868 /nfs/dbraw/zinc/32/88/68/624328868.db2.gz KYRCZWHPGFJEDR-LBPRGKRZSA-N 0 0 282.315 2.985 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CC[C@@H]1C[C@H]1C1CC1 ZINC000917321661 624332944 /nfs/dbraw/zinc/33/29/44/624332944.db2.gz PSVVXDQEGQWFLY-KOLCDFICSA-N 0 0 282.727 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2C[C@@]23CCOC3)nc1 ZINC000917347113 624333629 /nfs/dbraw/zinc/33/36/29/624333629.db2.gz JPFRCSNXBHMYGQ-BXKDBHETSA-N 0 0 266.322 2.509 20 5 CFBDRN Cc1cc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)no1 ZINC000033316288 624339769 /nfs/dbraw/zinc/33/97/69/624339769.db2.gz JNIZIUKLBHOELH-DAXSKMNVSA-N 0 0 273.248 2.543 20 5 CFBDRN O=C1N[C@H](c2cccc([N+](=O)[O-])c2)Nc2cc(F)ccc21 ZINC000917499629 624347213 /nfs/dbraw/zinc/34/72/13/624347213.db2.gz KIMAQLPIYVXVQZ-CYBMUJFWSA-N 0 0 287.250 2.588 20 5 CFBDRN O=C(Oc1ccccc1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000917508152 624350207 /nfs/dbraw/zinc/35/02/07/624350207.db2.gz KYGLEHUHSRMAIX-UHFFFAOYSA-N 0 0 287.227 2.543 20 5 CFBDRN NC(=O)c1ccc(N2CCCCCCCC2)c([N+](=O)[O-])c1 ZINC000829077752 624367540 /nfs/dbraw/zinc/36/75/40/624367540.db2.gz NOCQNYGYQHZMBB-UHFFFAOYSA-N 0 0 291.351 2.854 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)OCC(C)C ZINC000917680023 624377284 /nfs/dbraw/zinc/37/72/84/624377284.db2.gz ZTBPOZFYAXIRCD-LLVKDONJSA-N 0 0 281.308 2.870 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nc3ccccn3c2[N+](=O)[O-])c2nccn21 ZINC000829126623 624377959 /nfs/dbraw/zinc/37/79/59/624377959.db2.gz IKNPOVDGZPGRMH-ZJUUUORDSA-N 0 0 298.306 2.557 20 5 CFBDRN CC(C)CC(=O)OCOc1c(Cl)cncc1[N+](=O)[O-] ZINC000917710774 624378462 /nfs/dbraw/zinc/37/84/62/624378462.db2.gz ONELJMYIMSVBBY-UHFFFAOYSA-N 0 0 288.687 2.569 20 5 CFBDRN C[C@H]1CCC[C@H](C2CN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)C1 ZINC000829139624 624384468 /nfs/dbraw/zinc/38/44/68/624384468.db2.gz REOUCCCFXVDPFZ-QWRGUYRKSA-N 0 0 291.351 2.821 20 5 CFBDRN C[C@@H]1CCN1C(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000829144102 624385212 /nfs/dbraw/zinc/38/52/12/624385212.db2.gz BLQMYZLTGMMPAV-SECBINFHSA-N 0 0 282.727 2.802 20 5 CFBDRN CCc1nc(CNc2cc(OC)c([N+](=O)[O-])cc2F)co1 ZINC000829183617 624393720 /nfs/dbraw/zinc/39/37/20/624393720.db2.gz HEWJXOOWBSHMIW-UHFFFAOYSA-N 0 0 295.270 2.905 20 5 CFBDRN C=C/C=C/CCNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000829186569 624394237 /nfs/dbraw/zinc/39/42/37/624394237.db2.gz OHFMSAMKDPJFCS-LRPXVYOUSA-N 0 0 286.331 2.947 20 5 CFBDRN O=C([C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCC(F)CC1 ZINC000829198866 624396712 /nfs/dbraw/zinc/39/67/12/624396712.db2.gz DGLSKBKCYAOSSI-KBPBESRZSA-N 0 0 292.310 2.659 20 5 CFBDRN CC(C)(CO)CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000918151682 624423000 /nfs/dbraw/zinc/42/30/00/624423000.db2.gz PKGFIKOGCXQSKK-UHFFFAOYSA-N 0 0 285.365 2.725 20 5 CFBDRN Cc1ccc2c(c1)OCCN2C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000154667105 624426640 /nfs/dbraw/zinc/42/66/40/624426640.db2.gz SEGYEZKKILFHTN-UHFFFAOYSA-N 0 0 298.298 2.942 20 5 CFBDRN Cc1nc(Cn2ccnc2[N+](=O)[O-])sc1C(C)(C)C ZINC000918396233 624434047 /nfs/dbraw/zinc/43/40/47/624434047.db2.gz HCRRSIZGCZWFSL-UHFFFAOYSA-N 0 0 280.353 2.902 20 5 CFBDRN CCn1nc(C)c(CSc2ccc([N+](=O)[O-])cc2F)n1 ZINC000829448725 624435160 /nfs/dbraw/zinc/43/51/60/624435160.db2.gz CJJSBFYLBPSAKY-UHFFFAOYSA-N 0 0 296.327 2.946 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCCOC1CCCC1 ZINC000918515804 624446227 /nfs/dbraw/zinc/44/62/27/624446227.db2.gz HRZBWQNXJDZTDS-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN Cc1cc(C(=O)OCc2csc([N+](=O)[O-])c2)c(C)n1C ZINC000918841110 624498194 /nfs/dbraw/zinc/49/81/94/624498194.db2.gz RTEJGSRWSGJRJU-UHFFFAOYSA-N 0 0 294.332 2.969 20 5 CFBDRN O=C(CC[C@H]1CCCO1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000919094092 624522765 /nfs/dbraw/zinc/52/27/65/624522765.db2.gz UGQYTIFHWCTZBT-CQSZACIVSA-N 0 0 293.319 2.640 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCS[C@H](C)C1 ZINC000829873247 624574688 /nfs/dbraw/zinc/57/46/88/624574688.db2.gz JNJPMIKOBRJYCY-MRVPVSSYSA-N 0 0 298.339 2.620 20 5 CFBDRN CC[C@H](C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1F ZINC000829883428 624577371 /nfs/dbraw/zinc/57/73/71/624577371.db2.gz MNWYZJLRNYQDCL-ZETCQYMHSA-N 0 0 273.235 2.867 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)nn1C ZINC000919587911 624587642 /nfs/dbraw/zinc/58/76/42/624587642.db2.gz UJUIWGOKTMZICM-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000919702050 624600163 /nfs/dbraw/zinc/60/01/63/624600163.db2.gz LIKZSMVWXUPTLY-QMMMGPOBSA-N 0 0 279.321 2.784 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000919702049 624600254 /nfs/dbraw/zinc/60/02/54/624600254.db2.gz LIKZSMVWXUPTLY-MRVPVSSYSA-N 0 0 279.321 2.784 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])cn2C)s1 ZINC000919703532 624602489 /nfs/dbraw/zinc/60/24/89/624602489.db2.gz AALNUPOYTQQPDS-VIFPVBQESA-N 0 0 293.348 2.794 20 5 CFBDRN Cc1occc1CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000919723404 624606619 /nfs/dbraw/zinc/60/66/19/624606619.db2.gz JUQNPXYPVSRYDN-UHFFFAOYSA-N 0 0 274.236 2.645 20 5 CFBDRN Cc1ccc(SCCn2cc([N+](=O)[O-])ccc2=O)s1 ZINC000830052458 624610663 /nfs/dbraw/zinc/61/06/63/624610663.db2.gz LWYKVFHDUFZNPT-UHFFFAOYSA-N 0 0 296.373 2.919 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000830200351 624664361 /nfs/dbraw/zinc/66/43/61/624664361.db2.gz FHEZYDBKCGNNRD-WOPDTQHZSA-N 0 0 270.373 2.715 20 5 CFBDRN C[C@H](CNC(=S)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000920080707 624671584 /nfs/dbraw/zinc/67/15/84/624671584.db2.gz HWAIOAMPEFOQNX-SECBINFHSA-N 0 0 279.365 2.927 20 5 CFBDRN C[N@H+](Cc1ccccc1[N+](=O)[O-])CC1(O)CCCCC1 ZINC000920091523 624674929 /nfs/dbraw/zinc/67/49/29/624674929.db2.gz CHJKVASNLBKCHK-UHFFFAOYSA-N 0 0 278.352 2.722 20 5 CFBDRN CCC/C(C)=C\C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000920222870 624700831 /nfs/dbraw/zinc/70/08/31/624700831.db2.gz UYXUGYSWUNOVHV-LUAWRHEFSA-N 0 0 262.309 2.957 20 5 CFBDRN C[C@@H](CO)COc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC000920250020 624701842 /nfs/dbraw/zinc/70/18/42/624701842.db2.gz CIYLWEIABGPPOB-LURJTMIESA-N 0 0 280.107 2.909 20 5 CFBDRN CC[C@@H](C)n1ncc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1C ZINC000830327100 624709932 /nfs/dbraw/zinc/70/99/32/624709932.db2.gz OQOURTKMQLLNHU-SNVBAGLBSA-N 0 0 296.371 2.937 20 5 CFBDRN C[C@H](OC(=O)C1(F)CC1)c1ccccc1[N+](=O)[O-] ZINC000830755563 624844323 /nfs/dbraw/zinc/84/43/23/624844323.db2.gz RHJKCQWBUBSIDB-QMMMGPOBSA-N 0 0 253.229 2.701 20 5 CFBDRN CCCC[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000233024834 624916914 /nfs/dbraw/zinc/91/69/14/624916914.db2.gz KWVIDYNUXNJZLA-LBPRGKRZSA-N 0 0 280.324 2.636 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)[C@H]1CCC(=O)C1 ZINC000830902026 624925467 /nfs/dbraw/zinc/92/54/67/624925467.db2.gz YUWUWMVPJWZTEZ-QMMMGPOBSA-N 0 0 297.694 2.661 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCC(=O)C1)c1cccc([N+](=O)[O-])c1 ZINC000830921790 624928941 /nfs/dbraw/zinc/92/89/41/624928941.db2.gz LCHDVVALAOEDJR-MWLCHTKSSA-N 0 0 277.276 2.568 20 5 CFBDRN CSC(C)(C)CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000175507506 624954684 /nfs/dbraw/zinc/95/46/84/624954684.db2.gz MEDHZBGWZKDJFQ-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN CC(C)(CCC(=O)NCC1CCC(F)(F)CC1)[N+](=O)[O-] ZINC000831313742 624987722 /nfs/dbraw/zinc/98/77/22/624987722.db2.gz APMDUAVNTGGFSK-UHFFFAOYSA-N 0 0 292.326 2.764 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])C1(C)CCCC1 ZINC000831334118 624991379 /nfs/dbraw/zinc/99/13/79/624991379.db2.gz UNGNCTVJGFRYID-UHFFFAOYSA-N 0 0 256.346 2.613 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000068499822 625003792 /nfs/dbraw/zinc/00/37/92/625003792.db2.gz GRYDKKNYXSHXFT-JTQLQIEISA-N 0 0 297.307 2.714 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)c1nccn1C ZINC000851581904 634444177 /nfs/dbraw/zinc/44/41/77/634444177.db2.gz WBAYWQCINMTNGX-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)[C@H](C)C1 ZINC000070116909 625269672 /nfs/dbraw/zinc/26/96/72/625269672.db2.gz XQOPUBNWBHGWTL-GIPNMCIBSA-N 0 0 291.351 2.732 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000832314524 625275301 /nfs/dbraw/zinc/27/53/01/625275301.db2.gz STZOURSOBHVZJD-UHFFFAOYSA-N 0 0 258.362 2.717 20 5 CFBDRN O=C(NCc1cscn1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000070168258 625279046 /nfs/dbraw/zinc/27/90/46/625279046.db2.gz LZMAJNVGBXSBOF-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN COc1cccc(NC[C@@H](O)c2ccsc2)c1[N+](=O)[O-] ZINC000185457082 625729232 /nfs/dbraw/zinc/72/92/32/625729232.db2.gz HEUDOBFYLVTHGC-LLVKDONJSA-N 0 0 294.332 2.810 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCS1 ZINC000834670373 625773931 /nfs/dbraw/zinc/77/39/31/625773931.db2.gz GZIFXRBXLYBUTD-KWQFWETISA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1nn(C)cc1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000073288672 625820419 /nfs/dbraw/zinc/82/04/19/625820419.db2.gz AULGIVLMSUANSB-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CSC(F)(F)F)n2)o1 ZINC000834841654 625897686 /nfs/dbraw/zinc/89/76/86/625897686.db2.gz YAQFBSNWCSKDHZ-UHFFFAOYSA-N 0 0 295.198 2.991 20 5 CFBDRN Cc1cc(-c2noc(C3(O)CCCC3)n2)cc([N+](=O)[O-])c1 ZINC000834851017 625901629 /nfs/dbraw/zinc/90/16/29/625901629.db2.gz RZUATKMKGQBGNP-UHFFFAOYSA-N 0 0 289.291 2.715 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])c(C)n1 ZINC000094665034 626111655 /nfs/dbraw/zinc/11/16/55/626111655.db2.gz DWNDNADXKXAKHC-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1c(CN[C@@H](c2ncccn2)C2CC2)cccc1[N+](=O)[O-] ZINC000922577953 626314895 /nfs/dbraw/zinc/31/48/95/626314895.db2.gz WRYZLWVUWKTQBW-OAHLLOKOSA-N 0 0 298.346 2.934 20 5 CFBDRN Cc1ccc(CN[C@H](c2ncccn2)C2CC2)cc1[N+](=O)[O-] ZINC000922581333 626316581 /nfs/dbraw/zinc/31/65/81/626316581.db2.gz HYPLMCDRFNPUDR-HNNXBMFYSA-N 0 0 298.346 2.934 20 5 CFBDRN Cc1ccc(C(=O)Nc2cccc(F)n2)cc1[N+](=O)[O-] ZINC000162221965 626398873 /nfs/dbraw/zinc/39/88/73/626398873.db2.gz NOYVNPAYGNRKQZ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cc(C(=O)OC2CCCC2)c(N)c([N+](=O)[O-])c1 ZINC000163202073 626405448 /nfs/dbraw/zinc/40/54/48/626405448.db2.gz WYJCBBWAPWVBME-UHFFFAOYSA-N 0 0 264.281 2.585 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000228626080 626408603 /nfs/dbraw/zinc/40/86/03/626408603.db2.gz GMACEIFBXIGHLD-QMMMGPOBSA-N 0 0 286.278 2.895 20 5 CFBDRN Cc1noc(COC(=O)C2(C3CC3)CCC2)c1[N+](=O)[O-] ZINC000923335918 626467058 /nfs/dbraw/zinc/46/70/58/626467058.db2.gz AGIBFFZLTSVWTK-UHFFFAOYSA-N 0 0 280.280 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=NOC[C@H]3CCOC3)sc2c1 ZINC000788082486 626480943 /nfs/dbraw/zinc/48/09/43/626480943.db2.gz GRDYLMRLMJJQRD-QMMMGPOBSA-N 0 0 295.320 2.585 20 5 CFBDRN CCC(O)(CC)CCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000788082726 626481152 /nfs/dbraw/zinc/48/11/52/626481152.db2.gz XGQUDTRQOXBSIZ-UHFFFAOYSA-N 0 0 292.339 2.596 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cscn1 ZINC000102992260 626485796 /nfs/dbraw/zinc/48/57/96/626485796.db2.gz PPNQTZMJWWFEOI-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN O=C(CC1(O)CCC1)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000838212047 626488905 /nfs/dbraw/zinc/48/89/05/626488905.db2.gz JDMXQNSHPYZARG-UHFFFAOYSA-N 0 0 299.710 2.597 20 5 CFBDRN CC/C=C/CCOC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283736 626491826 /nfs/dbraw/zinc/49/18/26/626491826.db2.gz IGGGAWBVYKMXDL-OOPCZODUSA-N 0 0 279.292 2.528 20 5 CFBDRN COC[C@@H](C)OC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000838345885 626492847 /nfs/dbraw/zinc/49/28/47/626492847.db2.gz SPUKRDFKONNARO-MRVPVSSYSA-N 0 0 287.699 2.748 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)O[C@H]2CCOC2)cc1Cl ZINC000838345727 626492892 /nfs/dbraw/zinc/49/28/92/626492892.db2.gz QSLHGJBAZVXPRV-QMMMGPOBSA-N 0 0 285.683 2.502 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)OCC(=O)C2CC2)cc1Cl ZINC000838346423 626492903 /nfs/dbraw/zinc/49/29/03/626492903.db2.gz UCJAJQXEKNJHJR-UHFFFAOYSA-N 0 0 297.694 2.693 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)C1CCC1 ZINC000838785188 626506518 /nfs/dbraw/zinc/50/65/18/626506518.db2.gz DKUYATWMALKJRR-SECBINFHSA-N 0 0 279.292 2.945 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000838869374 626512208 /nfs/dbraw/zinc/51/22/08/626512208.db2.gz VUSUZRQTUKDBFV-SECBINFHSA-N 0 0 279.292 2.945 20 5 CFBDRN C[C@@H](NCc1ccc(Cl)c([N+](=O)[O-])c1)c1ccn(C)n1 ZINC000839193119 626530974 /nfs/dbraw/zinc/53/09/74/626530974.db2.gz YPXGCHICCKAJMX-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN CCCCOCCOC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000103331254 626531528 /nfs/dbraw/zinc/53/15/28/626531528.db2.gz CKHCJMPBZSNMAV-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN CCCc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2)no1 ZINC000839218992 626532494 /nfs/dbraw/zinc/53/24/94/626532494.db2.gz VGLYMCQGFZMTIR-UHFFFAOYSA-N 0 0 290.275 2.892 20 5 CFBDRN CCCCc1cnc(NC(=O)c2ccc([N+](=O)[O-])o2)nc1 ZINC000839431193 626536208 /nfs/dbraw/zinc/53/62/08/626536208.db2.gz ONQGRIFGKYSMNB-UHFFFAOYSA-N 0 0 290.279 2.573 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@]2(C)CC=CCC2)c1 ZINC000839453265 626537384 /nfs/dbraw/zinc/53/73/84/626537384.db2.gz OOPIEVIDQBGJKQ-HNNXBMFYSA-N 0 0 290.319 2.832 20 5 CFBDRN CC(C)(C)C[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000839526183 626538095 /nfs/dbraw/zinc/53/80/95/626538095.db2.gz CUVJJVSVGAIVSG-HZSPNIEDSA-N 0 0 290.363 2.945 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2c(F)cc([N+](=O)[O-])cc2F)o1 ZINC000749319969 626540637 /nfs/dbraw/zinc/54/06/37/626540637.db2.gz GWMDJPDLWNPGSE-NSHDSACASA-N 0 0 298.245 2.920 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000749320883 626540647 /nfs/dbraw/zinc/54/06/47/626540647.db2.gz CEFMIKAVSYHLGY-DTWKUNHWSA-N 0 0 286.278 2.877 20 5 CFBDRN C[C@H]1N(c2c(F)cc([N+](=O)[O-])cc2F)CCOC1(C)C ZINC000749321959 626540662 /nfs/dbraw/zinc/54/06/62/626540662.db2.gz SVNGQUCVVHHHMS-MRVPVSSYSA-N 0 0 286.278 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)C2CC2)cc1C(F)(F)F ZINC000756949279 626542471 /nfs/dbraw/zinc/54/24/71/626542471.db2.gz AVQJYDUFNIBDNZ-SNVBAGLBSA-N 0 0 290.241 2.796 20 5 CFBDRN CC(C)(C)OCCCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000756943744 626542554 /nfs/dbraw/zinc/54/25/54/626542554.db2.gz IIXKBBDBJSCCKS-UHFFFAOYSA-N 0 0 281.308 2.991 20 5 CFBDRN Cc1cc(CNCc2cc([N+](=O)[O-])ccc2Cl)ncn1 ZINC000756991818 626543122 /nfs/dbraw/zinc/54/31/22/626543122.db2.gz YAXUAMCZLWSULH-UHFFFAOYSA-N 0 0 292.726 2.636 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1ccccn1 ZINC000756993715 626543229 /nfs/dbraw/zinc/54/32/29/626543229.db2.gz XUASVZWQHXIGAV-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CCC[C@@H](C)NC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757006787 626543296 /nfs/dbraw/zinc/54/32/96/626543296.db2.gz YUEHPPWPEFGUGZ-LLVKDONJSA-N 0 0 291.351 2.851 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCc2cccc([N+](=O)[O-])c2C)O1 ZINC000757119985 626545394 /nfs/dbraw/zinc/54/53/94/626545394.db2.gz HBAFOWSLBGPIFY-JSGCOSHPSA-N 0 0 293.319 2.904 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCc2ccccc2[N+](=O)[O-])O1 ZINC000757128306 626545593 /nfs/dbraw/zinc/54/55/93/626545593.db2.gz VVGFMZRLIIQMEV-DGCLKSJQSA-N 0 0 279.292 2.596 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000867016855 626550704 /nfs/dbraw/zinc/55/07/04/626550704.db2.gz WVINYXPWPYWTMZ-VPOLOUISSA-N 0 0 279.340 2.817 20 5 CFBDRN CCC[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])C1CCCCC1 ZINC000839645716 626550762 /nfs/dbraw/zinc/55/07/62/626550762.db2.gz DLMUOSSZDZUGBK-JHJVBQTASA-N 0 0 268.357 2.517 20 5 CFBDRN CS[C@@H](C)CC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000757430327 626551758 /nfs/dbraw/zinc/55/17/58/626551758.db2.gz KNPOGCJQXMDPTC-QMMMGPOBSA-N 0 0 287.312 2.919 20 5 CFBDRN C[C@H](CCC1CCCCC1)NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839683490 626552260 /nfs/dbraw/zinc/55/22/60/626552260.db2.gz NSDUJOYVZVKZHH-RAIGVLPGSA-N 0 0 268.357 2.517 20 5 CFBDRN CC[C@H](Cc1ccccc1)N(CC)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839704116 626552507 /nfs/dbraw/zinc/55/25/07/626552507.db2.gz NMQUZDQFIHLJCL-RBSFLKMASA-N 0 0 290.363 2.521 20 5 CFBDRN O=C(C=C1CCCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757611738 626556334 /nfs/dbraw/zinc/55/63/34/626556334.db2.gz INSHBVRVSKUFSE-UHFFFAOYSA-N 0 0 286.331 2.980 20 5 CFBDRN CON(C(=O)c1cccc(C)c1[N+](=O)[O-])C1CCCC1 ZINC000757637764 626556908 /nfs/dbraw/zinc/55/69/08/626556908.db2.gz NLNKMQTUZFYVHS-UHFFFAOYSA-N 0 0 278.308 2.849 20 5 CFBDRN C[C@@H](OC(=O)c1csc([N+](=O)[O-])c1)c1ccncc1 ZINC000757692956 626558534 /nfs/dbraw/zinc/55/85/34/626558534.db2.gz VBQFPCHSVQQTKV-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000757744513 626561775 /nfs/dbraw/zinc/56/17/75/626561775.db2.gz KZYAJZUGNMSEDL-JTQLQIEISA-N 0 0 287.337 2.800 20 5 CFBDRN CC[C@@]1(C)CCN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000758485022 626595379 /nfs/dbraw/zinc/59/53/79/626595379.db2.gz HHJJUSARUFSCMV-AWEZNQCLSA-N 0 0 274.324 2.869 20 5 CFBDRN CC1(CC(=O)OCCOc2ccc([N+](=O)[O-])cc2)CC1 ZINC000758811298 626609446 /nfs/dbraw/zinc/60/94/46/626609446.db2.gz WBJPUTOTSGYGEJ-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN COc1cc(COC(=O)CC2(C)CC2)c([N+](=O)[O-])cc1F ZINC000758828354 626611278 /nfs/dbraw/zinc/61/12/78/626611278.db2.gz NNKTVEYSEAVGIL-UHFFFAOYSA-N 0 0 297.282 2.976 20 5 CFBDRN Cc1cccc(COC(=O)c2cccc([N+](=O)[O-])c2N)c1 ZINC000758956574 626620080 /nfs/dbraw/zinc/62/00/80/626620080.db2.gz HIIAOSMRHUBDIQ-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000759433486 626658265 /nfs/dbraw/zinc/65/82/65/626658265.db2.gz IYSNRIRRTUKQFZ-UHFFFAOYSA-N 0 0 271.294 2.572 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000759439765 626659126 /nfs/dbraw/zinc/65/91/26/626659126.db2.gz CDSXRTVPQDACAF-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CC(C)COCCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000759691743 626684129 /nfs/dbraw/zinc/68/41/29/626684129.db2.gz KVHHDVNDXMLUQD-UHFFFAOYSA-N 0 0 285.271 2.563 20 5 CFBDRN C[C@H](CC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000759790561 626691367 /nfs/dbraw/zinc/69/13/67/626691367.db2.gz SORZZTHIROQNDI-MRVPVSSYSA-N 0 0 288.307 2.846 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@@H]1CC12CCC2 ZINC000759826949 626694272 /nfs/dbraw/zinc/69/42/72/626694272.db2.gz CARKFZGJZIAPTL-NSHDSACASA-N 0 0 279.267 2.967 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CC23CCC3)c([N+](=O)[O-])c1 ZINC000759835773 626694724 /nfs/dbraw/zinc/69/47/24/626694724.db2.gz VPLKOGPKHVJLLV-SNVBAGLBSA-N 0 0 277.276 2.699 20 5 CFBDRN C[C@@H](CC(=O)NCCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000759870051 626698798 /nfs/dbraw/zinc/69/87/98/626698798.db2.gz LQVVEFNCZNKCDV-NSHDSACASA-N 0 0 276.336 2.690 20 5 CFBDRN O=C(NOCC(F)F)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000759970358 626705360 /nfs/dbraw/zinc/70/53/60/626705360.db2.gz SQLFPRMYNOAEHO-UHFFFAOYSA-N 0 0 295.629 2.566 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)[C@H]1CC12CCC2 ZINC000759983192 626706920 /nfs/dbraw/zinc/70/69/20/626706920.db2.gz IHXJYZQFNJOUOJ-CYBMUJFWSA-N 0 0 291.303 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H]1CC12CCC2 ZINC000759990138 626707341 /nfs/dbraw/zinc/70/73/41/626707341.db2.gz JAZIAWNZGKYKNB-LBPRGKRZSA-N 0 0 291.303 2.837 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1C[C@H]1C1CC1 ZINC000760015730 626709677 /nfs/dbraw/zinc/70/96/77/626709677.db2.gz HNRPMPZGPUVOIM-QWRGUYRKSA-N 0 0 261.277 2.855 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CCSC1 ZINC000760015736 626709835 /nfs/dbraw/zinc/70/98/35/626709835.db2.gz HYGRMEQPPQURMG-SECBINFHSA-N 0 0 267.306 2.562 20 5 CFBDRN CC[C@@H](C)OCC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760022747 626710162 /nfs/dbraw/zinc/71/01/62/626710162.db2.gz PYQSPNGRLOMKCY-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccn(C(C)C)n1 ZINC000760022067 626710180 /nfs/dbraw/zinc/71/01/80/626710180.db2.gz GJDRVGXBGCLZBW-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN CC[C@H](SC)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760022206 626710367 /nfs/dbraw/zinc/71/03/67/626710367.db2.gz IPOBRCBMUGGMNJ-JTQLQIEISA-N 0 0 269.322 2.950 20 5 CFBDRN CCc1onc(C)c1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000760081478 626712857 /nfs/dbraw/zinc/71/28/57/626712857.db2.gz YKLRTWVZFJDZFL-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN CC(C)CC1CCN(Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000760398082 626742740 /nfs/dbraw/zinc/74/27/40/626742740.db2.gz IHNFFDZGCNSCPC-UHFFFAOYSA-N 0 0 266.345 2.507 20 5 CFBDRN CC(C)CC1CCN(C(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000760941150 626786193 /nfs/dbraw/zinc/78/61/93/626786193.db2.gz OCTBYSNHILKRCV-UHFFFAOYSA-N 0 0 293.367 2.832 20 5 CFBDRN Cc1ncsc1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000760955809 626787960 /nfs/dbraw/zinc/78/79/60/626787960.db2.gz LEJFEMBMZCNQTQ-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN C[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc[nH]1 ZINC000760955658 626788122 /nfs/dbraw/zinc/78/81/22/626788122.db2.gz YSUNSUXYIBGAFH-SECBINFHSA-N 0 0 298.302 2.895 20 5 CFBDRN Cc1ccccc1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000761061660 626795958 /nfs/dbraw/zinc/79/59/58/626795958.db2.gz NIXOLLUQWJZLDT-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000761062738 626796079 /nfs/dbraw/zinc/79/60/79/626796079.db2.gz ZBXTZJQUZVZYNH-JTQLQIEISA-N 0 0 274.276 2.851 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CC[C@@H]2C[C@@H]21 ZINC000761094541 626802404 /nfs/dbraw/zinc/80/24/04/626802404.db2.gz PMAIZWNUEPMWOJ-RISCZKNCSA-N 0 0 276.336 2.976 20 5 CFBDRN O=C(O[C@@H]1CCCOC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000761322145 626823735 /nfs/dbraw/zinc/82/37/35/626823735.db2.gz PNMWBOPEPDGNQI-LLVKDONJSA-N 0 0 285.683 2.584 20 5 CFBDRN CC1(C(=O)OCCCOc2ccc([N+](=O)[O-])cc2)CC1 ZINC000761440650 626835782 /nfs/dbraw/zinc/83/57/82/626835782.db2.gz DIBVEHAHESCJRQ-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccccc2[N+](=O)[O-])CCC1=O ZINC000761456459 626836661 /nfs/dbraw/zinc/83/66/61/626836661.db2.gz IBTIAXZMNUVXJE-MNOVXSKESA-N 0 0 291.303 2.643 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)Cc2ccccc21 ZINC000761835628 626862059 /nfs/dbraw/zinc/86/20/59/626862059.db2.gz LYFPQNNLWDRLRD-NSHDSACASA-N 0 0 297.314 2.749 20 5 CFBDRN CCC[C@H](C)c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000761850711 626864150 /nfs/dbraw/zinc/86/41/50/626864150.db2.gz DPWDANSQMXKGHJ-ZETCQYMHSA-N 0 0 250.258 2.877 20 5 CFBDRN Cc1ccccc1COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000761984525 626877218 /nfs/dbraw/zinc/87/72/18/626877218.db2.gz YUNQHHSZSAOWAF-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])cn2)cc1C ZINC000762013082 626880489 /nfs/dbraw/zinc/88/04/89/626880489.db2.gz FZSPSSCVTSOHSC-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762069074 626886801 /nfs/dbraw/zinc/88/68/01/626886801.db2.gz KVYKCWGYSHIRIG-VIFPVBQESA-N 0 0 252.270 2.581 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762072218 626886812 /nfs/dbraw/zinc/88/68/12/626886812.db2.gz DOBCKTUHGILUJX-MNOVXSKESA-N 0 0 276.292 2.749 20 5 CFBDRN CCC(CC)OC(=O)c1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000762110905 626892803 /nfs/dbraw/zinc/89/28/03/626892803.db2.gz QZYIILWIGKYWFO-UHFFFAOYSA-N 0 0 295.291 2.727 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCSC2)c1 ZINC000762129482 626894920 /nfs/dbraw/zinc/89/49/20/626894920.db2.gz DGTFULLCJZMLGT-VIFPVBQESA-N 0 0 297.332 2.513 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCSC2)c1 ZINC000762140772 626895861 /nfs/dbraw/zinc/89/58/61/626895861.db2.gz VDHHMKVSDFKDEU-JTQLQIEISA-N 0 0 281.333 2.813 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H]1CCSC1 ZINC000762146615 626897159 /nfs/dbraw/zinc/89/71/59/626897159.db2.gz HTEHCKXZSGQNDG-SNVBAGLBSA-N 0 0 281.333 2.813 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OC[C@H]1CCSC1 ZINC000762149784 626898119 /nfs/dbraw/zinc/89/81/19/626898119.db2.gz QBPZFIHXSCPPFC-MJRJWQSSSA-N 0 0 293.344 2.904 20 5 CFBDRN O=C(OCc1ccc2c(c1)COC2)c1cccc([N+](=O)[O-])c1 ZINC000762165559 626899630 /nfs/dbraw/zinc/89/96/30/626899630.db2.gz OAKLGJUTWMOQIY-UHFFFAOYSA-N 0 0 299.282 2.982 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cn2)cnc1Cl ZINC000762232300 626905613 /nfs/dbraw/zinc/90/56/13/626905613.db2.gz KBQQHBFRRCOJKK-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000762270799 626908821 /nfs/dbraw/zinc/90/88/21/626908821.db2.gz UVHTVDJMJFDBKK-SNVBAGLBSA-N 0 0 281.333 2.870 20 5 CFBDRN Cc1c(Cc2noc(-c3cocn3)n2)cccc1[N+](=O)[O-] ZINC000762321846 626910267 /nfs/dbraw/zinc/91/02/67/626910267.db2.gz SRNDZQRNSLHXKI-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN C[C@@H](Cc1nc(Cc2ccc([N+](=O)[O-])cn2)no1)C1CC1 ZINC000762327334 626911010 /nfs/dbraw/zinc/91/10/10/626911010.db2.gz XNZWGEUSMCGACZ-VIFPVBQESA-N 0 0 288.307 2.552 20 5 CFBDRN Cc1ccc([C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)o1 ZINC000762336969 626911517 /nfs/dbraw/zinc/91/15/17/626911517.db2.gz IJDHOURUTNBFLR-SECBINFHSA-N 0 0 289.291 2.942 20 5 CFBDRN Cc1ccncc1COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000762356099 626911973 /nfs/dbraw/zinc/91/19/73/626911973.db2.gz ZRZCWTCZLRCXKC-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC[C@@H]3SCCS[C@@H]23)cn1 ZINC000762559824 626923642 /nfs/dbraw/zinc/92/36/42/626923642.db2.gz KQLKFUJGQTZPCE-SCVCMEIPSA-N 0 0 297.405 2.781 20 5 CFBDRN CCOc1ccc(CN2CCc3cc([N+](=O)[O-])ccc32)nc1 ZINC000762780443 626936736 /nfs/dbraw/zinc/93/67/36/626936736.db2.gz DJTAWKZNQZFNKU-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN CNc1ccc(C(=O)OCCCCC(C)=O)cc1[N+](=O)[O-] ZINC000762816103 626940334 /nfs/dbraw/zinc/94/03/34/626940334.db2.gz TZPFHNCPZQOWAZ-UHFFFAOYSA-N 0 0 294.307 2.553 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCCCC(C)=O)c1 ZINC000762874349 626946315 /nfs/dbraw/zinc/94/63/15/626946315.db2.gz RFCOXOKVNZIAHU-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CO[C@H](C)COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000762988245 626953998 /nfs/dbraw/zinc/95/39/98/626953998.db2.gz ICWKAKRHHSUZNJ-SECBINFHSA-N 0 0 299.298 2.590 20 5 CFBDRN O=C(NCCC1CCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000763012223 626955253 /nfs/dbraw/zinc/95/52/53/626955253.db2.gz VDWGIVWFNLTDNG-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1C/C(Cl)=C\Cl ZINC000763172203 626968219 /nfs/dbraw/zinc/96/82/19/626968219.db2.gz XZSQJYLWPJADJS-GQCTYLIASA-N 0 0 289.074 2.822 20 5 CFBDRN CCCc1nc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)co1 ZINC000763189012 626969230 /nfs/dbraw/zinc/96/92/30/626969230.db2.gz GNVXUORCMRHIFH-UHFFFAOYSA-N 0 0 293.254 2.927 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccccn2)c(Cl)c1 ZINC000763203434 626970231 /nfs/dbraw/zinc/97/02/31/626970231.db2.gz DBFJFUQUEBOJSU-ZDUSSCGKSA-N 0 0 293.710 2.789 20 5 CFBDRN Cc1cc(=O)[nH]c(CSCc2ccc([N+](=O)[O-])cc2)n1 ZINC000763208364 626970606 /nfs/dbraw/zinc/97/06/06/626970606.db2.gz LXYGSXFMESCQIM-UHFFFAOYSA-N 0 0 291.332 2.832 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@@H]1CC1(Cl)Cl ZINC000763262822 626974181 /nfs/dbraw/zinc/97/41/81/626974181.db2.gz OOFUICBKNTULSQ-YFKPBYRVSA-N 0 0 297.525 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(C(=S)NC2CC2)C2CC2)cc1 ZINC000763305134 626978123 /nfs/dbraw/zinc/97/81/23/626978123.db2.gz MSCJHWZDCWJPFA-UHFFFAOYSA-N 0 0 291.376 2.596 20 5 CFBDRN C[C@@H](C(=O)OCc1cscn1)c1cccc([N+](=O)[O-])c1 ZINC000763404908 626985743 /nfs/dbraw/zinc/98/57/43/626985743.db2.gz QGGACIUWVLFWQZ-SECBINFHSA-N 0 0 292.316 2.898 20 5 CFBDRN C[C@H](C(=O)OCc1cscn1)c1cccc([N+](=O)[O-])c1 ZINC000763404913 626985894 /nfs/dbraw/zinc/98/58/94/626985894.db2.gz QGGACIUWVLFWQZ-VIFPVBQESA-N 0 0 292.316 2.898 20 5 CFBDRN CC(C)OCCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763407375 626986480 /nfs/dbraw/zinc/98/64/80/626986480.db2.gz ZNEHSWCBAICXRF-NSHDSACASA-N 0 0 281.308 2.667 20 5 CFBDRN C[C@@H](OC(=O)c1ccn(C)c1)c1ccccc1[N+](=O)[O-] ZINC000763493139 626991635 /nfs/dbraw/zinc/99/16/35/626991635.db2.gz QGPPIJQDISEEQO-SNVBAGLBSA-N 0 0 274.276 2.851 20 5 CFBDRN Cn1ccc(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)c1 ZINC000763514231 626993684 /nfs/dbraw/zinc/99/36/84/626993684.db2.gz AOAOXBXASXASJL-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN COC(=O)c1ccc(OC(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000763609920 627001349 /nfs/dbraw/zinc/00/13/49/627001349.db2.gz FAXULJHSUUXFQS-UHFFFAOYSA-N 0 0 297.263 2.695 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC/C(Cl)=C\Cl ZINC000763845274 627016916 /nfs/dbraw/zinc/01/69/16/627016916.db2.gz MBMMUMCPDIVJHB-RMKNXTFCSA-N 0 0 290.102 3.000 20 5 CFBDRN CCNc1ccc(C(=O)OCC2CC2)cc1[N+](=O)[O-] ZINC000763863630 627018766 /nfs/dbraw/zinc/01/87/66/627018766.db2.gz WFLHDEGKFHZURR-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)OC/C(Cl)=C/Cl ZINC000763908913 627021546 /nfs/dbraw/zinc/02/15/46/627021546.db2.gz LXAPQZVRDJTKFM-XQRVVYSFSA-N 0 0 291.090 2.653 20 5 CFBDRN C[C@@H](C(=O)OC[C@]1(C)CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000764014295 627032141 /nfs/dbraw/zinc/03/21/41/627032141.db2.gz XEOYMCAIQJSLGC-ABAIWWIYSA-N 0 0 293.319 2.811 20 5 CFBDRN CO[C@@H](C)CCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764014085 627032189 /nfs/dbraw/zinc/03/21/89/627032189.db2.gz GCOGJVOWWLZWMY-QWRGUYRKSA-N 0 0 281.308 2.667 20 5 CFBDRN C[C@@H](C(=O)O[C@@H](C)C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000764083165 627036376 /nfs/dbraw/zinc/03/63/76/627036376.db2.gz FQHCOJVXCDSSFN-SFYZADRCSA-N 0 0 273.235 2.895 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1noc(C2CC2)n1 ZINC000764171921 627043626 /nfs/dbraw/zinc/04/36/26/627043626.db2.gz FKAGOYAJKUQXRT-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C1CC1 ZINC000764399573 627056758 /nfs/dbraw/zinc/05/67/58/627056758.db2.gz GLCAFVPDTQARRP-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN Cn1ccc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c1 ZINC000764408017 627057920 /nfs/dbraw/zinc/05/79/20/627057920.db2.gz LQJZFWYZCZHZOJ-UHFFFAOYSA-N 0 0 279.683 2.839 20 5 CFBDRN C[C@@H](OC(=O)[C@]1(C)CCCO1)c1ccccc1[N+](=O)[O-] ZINC000764597179 627074399 /nfs/dbraw/zinc/07/43/99/627074399.db2.gz LTCBXXPLXQRNJP-YGRLFVJLSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)C[C@H]2CCOC2)n1 ZINC000765525502 627145249 /nfs/dbraw/zinc/14/52/49/627145249.db2.gz IJVWQIIAXFMNJW-GHMZBOCLSA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)no1 ZINC000765547709 627146611 /nfs/dbraw/zinc/14/66/11/627146611.db2.gz NIIHIUIDGVFRIZ-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN COc1cc(CON=C(N)CC(C)C)c([N+](=O)[O-])cc1F ZINC000766069971 627175577 /nfs/dbraw/zinc/17/55/77/627175577.db2.gz QOOXEQVIALEQJN-UHFFFAOYSA-N 0 0 299.302 2.787 20 5 CFBDRN COc1cc(COC(=O)C2CCCC2)c([N+](=O)[O-])cc1F ZINC000766167185 627181764 /nfs/dbraw/zinc/18/17/64/627181764.db2.gz XNGRVUGMLVRBJN-UHFFFAOYSA-N 0 0 297.282 2.976 20 5 CFBDRN COc1cc(COC(=O)[C@H]2CC2(C)C)c([N+](=O)[O-])cc1F ZINC000766214239 627184941 /nfs/dbraw/zinc/18/49/41/627184941.db2.gz UFPLCQVFWNFPGW-SECBINFHSA-N 0 0 297.282 2.832 20 5 CFBDRN CCc1cccnc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000766285190 627191237 /nfs/dbraw/zinc/19/12/37/627191237.db2.gz RBOFZJHWVOAHBO-UHFFFAOYSA-N 0 0 272.260 2.771 20 5 CFBDRN CS[C@@H](C)CC(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000766916346 627226901 /nfs/dbraw/zinc/22/69/01/627226901.db2.gz HUUAGVOUEVDAJB-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN CC(C)c1cnc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)o1 ZINC000767060011 627233336 /nfs/dbraw/zinc/23/33/36/627233336.db2.gz NQONTDKIOIILGF-UHFFFAOYSA-N 0 0 276.296 2.828 20 5 CFBDRN CCNc1ccc(C(=O)OCCCF)cc1[N+](=O)[O-] ZINC000767256685 627240906 /nfs/dbraw/zinc/24/09/06/627240906.db2.gz WLWDZFIZRMTFND-UHFFFAOYSA-N 0 0 270.260 2.543 20 5 CFBDRN O=C(OCCCF)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000767255053 627241154 /nfs/dbraw/zinc/24/11/54/627241154.db2.gz LHQWCADAXUDHHY-UHFFFAOYSA-N 0 0 261.636 2.765 20 5 CFBDRN O=C(CCN(Cc1ccccc1[N+](=O)[O-])C1CC1)C1CC1 ZINC000767443011 627252565 /nfs/dbraw/zinc/25/25/65/627252565.db2.gz OIQKAWXPFUDAPZ-UHFFFAOYSA-N 0 0 288.347 2.928 20 5 CFBDRN CC1(C)CN(Cn2cc([N+](=O)[O-])cn2)CC(C)(C)C1 ZINC000767517217 627257293 /nfs/dbraw/zinc/25/72/93/627257293.db2.gz YDZLIXNRYYCSME-UHFFFAOYSA-N 0 0 266.345 2.507 20 5 CFBDRN CS/C=C/C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000767649261 627268259 /nfs/dbraw/zinc/26/82/59/627268259.db2.gz DESWATQIBXOVBJ-NSCUHMNNSA-N 0 0 259.308 2.576 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCCOC1CCC1 ZINC000805356194 627300464 /nfs/dbraw/zinc/30/04/64/627300464.db2.gz YSGFAFINXYWMOQ-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1cc(OC(=O)c2ccc([N+](=O)[O-])n2C)ccc1F ZINC000768226209 627326944 /nfs/dbraw/zinc/32/69/44/627326944.db2.gz HRNSLDGQLVYSGY-UHFFFAOYSA-N 0 0 278.239 2.600 20 5 CFBDRN Cc1cc(C(=O)OCc2csc([N+](=O)[O-])c2)ns1 ZINC000769308050 627421761 /nfs/dbraw/zinc/42/17/61/627421761.db2.gz UCOBSSQKSNLNSH-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C[C@@H]1CCCOC1 ZINC000769849150 627481054 /nfs/dbraw/zinc/48/10/54/627481054.db2.gz BWJUIILFXAZFMH-RYUDHWBXSA-N 0 0 276.336 2.772 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000769888617 627485754 /nfs/dbraw/zinc/48/57/54/627485754.db2.gz NBTSPOONULLAST-NSHDSACASA-N 0 0 279.292 2.654 20 5 CFBDRN Cn1ncc(NCc2ccc([N+](=O)[O-])cc2)c1C1CC1 ZINC000769926646 627488345 /nfs/dbraw/zinc/48/83/45/627488345.db2.gz XMKDGFCZTJJWJV-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)CC1(O)CCC1 ZINC000770126396 627504148 /nfs/dbraw/zinc/50/41/48/627504148.db2.gz AWXRDBHRSGJYFV-UHFFFAOYSA-N 0 0 284.743 2.908 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCc2ncccc21 ZINC000770230029 627508990 /nfs/dbraw/zinc/50/89/90/627508990.db2.gz SYFQFTHDAHTDJR-YVMONPNESA-N 0 0 295.298 2.592 20 5 CFBDRN Cc1c(C(=O)N2CCc3ncccc32)cccc1[N+](=O)[O-] ZINC000770327911 627513511 /nfs/dbraw/zinc/51/35/11/627513511.db2.gz NHBYARINBYOVJT-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2F)o1 ZINC000770953261 627563741 /nfs/dbraw/zinc/56/37/41/627563741.db2.gz BPUXYDWFMHEICC-MRVPVSSYSA-N 0 0 294.238 2.948 20 5 CFBDRN Cc1nn(C)c(C(=O)OC[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000770959470 627564151 /nfs/dbraw/zinc/56/41/51/627564151.db2.gz DGLAWCCACYISKI-KOLCDFICSA-N 0 0 295.339 2.620 20 5 CFBDRN Cc1nn(C)c(C(=O)OC[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000770959473 627564251 /nfs/dbraw/zinc/56/42/51/627564251.db2.gz DGLAWCCACYISKI-MWLCHTKSSA-N 0 0 295.339 2.620 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C[C@@H]1C ZINC000770997556 627567934 /nfs/dbraw/zinc/56/79/34/627567934.db2.gz XAPFXBZXYFRENF-OUAUKWLOSA-N 0 0 280.324 2.915 20 5 CFBDRN Cn1c(Cl)cnc1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000771141899 627581106 /nfs/dbraw/zinc/58/11/06/627581106.db2.gz BMJZPGDUOREPJZ-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN C[C@@H]1[C@@H](C)N(c2ccc([N+](=O)[O-])cc2Cl)CCN1C ZINC000771290218 627590713 /nfs/dbraw/zinc/59/07/13/627590713.db2.gz SUTWQZOALRVRLT-NXEZZACHSA-N 0 0 283.759 2.777 20 5 CFBDRN COc1cc(NCc2cc([N+](=O)[O-])ccc2Cl)ncn1 ZINC000772116984 627674637 /nfs/dbraw/zinc/67/46/37/627674637.db2.gz YAKOYUJTXBYQQS-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000772145398 627679719 /nfs/dbraw/zinc/67/97/19/627679719.db2.gz QXVWIFLVMHEEFA-LBPRGKRZSA-N 0 0 299.710 2.861 20 5 CFBDRN C[C@H]1CCCCN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000772213498 627686652 /nfs/dbraw/zinc/68/66/52/627686652.db2.gz RFUAOFMOATXBHM-NSHDSACASA-N 0 0 274.324 2.869 20 5 CFBDRN Cc1ccc(COC(=O)c2c(C)cccc2[N+](=O)[O-])nc1 ZINC000772245855 627690917 /nfs/dbraw/zinc/69/09/17/627690917.db2.gz PLFJFNHLWNLWED-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000772320070 627701003 /nfs/dbraw/zinc/70/10/03/627701003.db2.gz ZVTSWXDZMNRVQL-MNOVXSKESA-N 0 0 294.376 2.917 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000772496056 627714797 /nfs/dbraw/zinc/71/47/97/627714797.db2.gz VYTOQFDSENIUKX-KGLIPLIRSA-N 0 0 277.320 2.973 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1nccs1)CC2 ZINC000772517487 627717646 /nfs/dbraw/zinc/71/76/46/627717646.db2.gz KBWUCBKZYDGSRL-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN CON(C)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000772578598 627722651 /nfs/dbraw/zinc/72/26/51/627722651.db2.gz MVXZEGJTHWVJLP-UHFFFAOYSA-N 0 0 293.245 2.519 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000772899731 627757398 /nfs/dbraw/zinc/75/73/98/627757398.db2.gz VVTGTDNOCDMZEE-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1nnc(CNc2ccc(F)c([N+](=O)[O-])c2)s1 ZINC000773191186 627793644 /nfs/dbraw/zinc/79/36/44/627793644.db2.gz PAYFDQWBKWWHCA-UHFFFAOYSA-N 0 0 268.273 2.506 20 5 CFBDRN CC1(OCC(=O)OCc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000773204618 627795011 /nfs/dbraw/zinc/79/50/11/627795011.db2.gz QZMDJQCLWOMRHV-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H]1COC2(CCCC2)O1 ZINC000773237251 627797565 /nfs/dbraw/zinc/79/75/65/627797565.db2.gz KURKUSZGJKRUBS-VIFPVBQESA-N 0 0 284.337 2.754 20 5 CFBDRN Cc1ccc(S(=O)(=O)Oc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000773283988 627801811 /nfs/dbraw/zinc/80/18/11/627801811.db2.gz SDKXGQQLZPSBHN-UHFFFAOYSA-N 0 0 297.288 2.572 20 5 CFBDRN CC(=O)c1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)o1 ZINC000773684314 627847706 /nfs/dbraw/zinc/84/77/06/627847706.db2.gz SZIHPKLAWLFKES-UHFFFAOYSA-N 0 0 289.243 2.747 20 5 CFBDRN Cc1ccncc1[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000773938159 627871324 /nfs/dbraw/zinc/87/13/24/627871324.db2.gz JEKGQZZGRCAMNT-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@@H]1C[C@H]1C1CC1)CC2 ZINC000773991322 627876927 /nfs/dbraw/zinc/87/69/27/627876927.db2.gz WEWNNFCULGYQPF-QWHCGFSZSA-N 0 0 286.331 2.838 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1=CCCC1)CC2 ZINC000773995977 627877529 /nfs/dbraw/zinc/87/75/29/627877529.db2.gz HHWZYWIQCLFPTC-UHFFFAOYSA-N 0 0 272.304 2.903 20 5 CFBDRN CCn1nccc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000774019138 627879642 /nfs/dbraw/zinc/87/96/42/627879642.db2.gz YZUGKHIXIJSXNL-SNVBAGLBSA-N 0 0 289.291 2.729 20 5 CFBDRN CC(=O)c1ccc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)o1 ZINC000774055411 627882593 /nfs/dbraw/zinc/88/25/93/627882593.db2.gz OADBVMYIXQAOJM-UHFFFAOYSA-N 0 0 289.243 2.918 20 5 CFBDRN COc1cccc(C(=O)OC[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000774147457 627894298 /nfs/dbraw/zinc/89/42/98/627894298.db2.gz KXFVCZYZQSECNT-SECBINFHSA-N 0 0 297.332 2.513 20 5 CFBDRN C[C@@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1ccc[nH]1 ZINC000774675118 627947372 /nfs/dbraw/zinc/94/73/72/627947372.db2.gz ISWVGMQYZPSUFB-MRVPVSSYSA-N 0 0 271.280 2.972 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000774847029 627970237 /nfs/dbraw/zinc/97/02/37/627970237.db2.gz FRLGFDSIUMLQIF-FZMZJTMJSA-N 0 0 293.319 2.809 20 5 CFBDRN O=[N+]([O-])c1cnccc1SC1CCC2(CC1)OCCO2 ZINC000775198694 627999487 /nfs/dbraw/zinc/99/94/87/627999487.db2.gz JUQQFEYBVIETTJ-UHFFFAOYSA-N 0 0 296.348 2.768 20 5 CFBDRN CSC(C)(C)COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000775314745 628008901 /nfs/dbraw/zinc/00/89/01/628008901.db2.gz ZMBDBKKVLRSMBN-UHFFFAOYSA-N 0 0 269.322 2.893 20 5 CFBDRN CSC(C)(C)COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000775330445 628010255 /nfs/dbraw/zinc/01/02/55/628010255.db2.gz RMTPKTKQPLKYJE-UHFFFAOYSA-N 0 0 283.349 2.822 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCC1=O ZINC000775494596 628031428 /nfs/dbraw/zinc/03/14/28/628031428.db2.gz XLHNJHCIOVLLBI-IUCAKERBSA-N 0 0 295.266 2.645 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H](O)c1ccccc1 ZINC000775664619 628051876 /nfs/dbraw/zinc/05/18/76/628051876.db2.gz NKWDYNAVOZPTNU-LLVKDONJSA-N 0 0 264.306 2.802 20 5 CFBDRN CC(C)(C)OC(=O)NCCNc1sccc1[N+](=O)[O-] ZINC000775669914 628052927 /nfs/dbraw/zinc/05/29/27/628052927.db2.gz FBXVYGRIHTWOLB-UHFFFAOYSA-N 0 0 287.341 2.593 20 5 CFBDRN CCc1cc(CNc2sccc2[N+](=O)[O-])[nH]n1 ZINC000775677445 628053954 /nfs/dbraw/zinc/05/39/54/628053954.db2.gz YXKOQEXMJCQJSP-UHFFFAOYSA-N 0 0 252.299 2.554 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H]1COC2(CCCC2)O1 ZINC000775683036 628054657 /nfs/dbraw/zinc/05/46/57/628054657.db2.gz PRPZKTBXEUENRA-SECBINFHSA-N 0 0 284.337 2.754 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCCCCCO)c1 ZINC000775698085 628056526 /nfs/dbraw/zinc/05/65/26/628056526.db2.gz NFLLHBAKQCBFKA-UHFFFAOYSA-N 0 0 286.303 2.707 20 5 CFBDRN Cc1cnc(SC[C@H](O)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000776274826 628125273 /nfs/dbraw/zinc/12/52/73/628125273.db2.gz BUKPJEBQMUEVRW-LBPRGKRZSA-N 0 0 291.332 2.519 20 5 CFBDRN Cc1cccc(C(=O)OCCCCCCO)c1[N+](=O)[O-] ZINC000776355198 628135251 /nfs/dbraw/zinc/13/52/51/628135251.db2.gz RXMLGIBJSRQZDH-UHFFFAOYSA-N 0 0 281.308 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCCCCCO)c1 ZINC000776388825 628141429 /nfs/dbraw/zinc/14/14/29/628141429.db2.gz BOIBHIYUVKAALF-UHFFFAOYSA-N 0 0 281.308 2.613 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000776409479 628144965 /nfs/dbraw/zinc/14/49/65/628144965.db2.gz QZESNTJEMBJDJA-CMPLNLGQSA-N 0 0 293.319 2.762 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000776409485 628145321 /nfs/dbraw/zinc/14/53/21/628145321.db2.gz QZESNTJEMBJDJA-ZYHUDNBSSA-N 0 0 293.319 2.762 20 5 CFBDRN CN(C[C@@H](O)c1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1 ZINC000776578177 628159622 /nfs/dbraw/zinc/15/96/22/628159622.db2.gz DYIKHOJYXWVOKY-OAHLLOKOSA-N 0 0 290.294 2.904 20 5 CFBDRN CC(C)N(CC(F)F)C[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776587036 628162368 /nfs/dbraw/zinc/16/23/68/628162368.db2.gz SAIDOXTYIVGUEG-GFCCVEGCSA-N 0 0 288.294 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC(F)(F)C1 ZINC000776608701 628164853 /nfs/dbraw/zinc/16/48/53/628164853.db2.gz FIXQBLFBORRLQK-UHFFFAOYSA-N 0 0 260.215 2.575 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776696517 628168361 /nfs/dbraw/zinc/16/83/61/628168361.db2.gz UOTIYLDRQFKGJO-WDNDVIMCSA-N 0 0 291.303 2.563 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1coc(C2CC2)n1 ZINC000776875503 628183586 /nfs/dbraw/zinc/18/35/86/628183586.db2.gz ZQUJUPGCJIGFBR-UHFFFAOYSA-N 0 0 292.222 2.819 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000777138447 628203053 /nfs/dbraw/zinc/20/30/53/628203053.db2.gz VBNAXOBLBNBZAT-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CS/C=C\C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000777131947 628203192 /nfs/dbraw/zinc/20/31/92/628203192.db2.gz URONZYSCXCUYFV-WAYWQWQTSA-N 0 0 252.295 2.718 20 5 CFBDRN CC[C@@]1(O)CCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000777839699 628255560 /nfs/dbraw/zinc/25/55/60/628255560.db2.gz DJSVURXOBGKNKB-GFCCVEGCSA-N 0 0 270.716 2.599 20 5 CFBDRN C[C@@H]1CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000777923525 628265611 /nfs/dbraw/zinc/26/56/11/628265611.db2.gz GZRKAERAALDYFV-BXKDBHETSA-N 0 0 297.355 2.972 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@@H]1F ZINC000778000615 628271979 /nfs/dbraw/zinc/27/19/79/628271979.db2.gz QXXWRSVJFRAABU-SCZZXKLOSA-N 0 0 283.259 2.687 20 5 CFBDRN CC(C)[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000778008082 628272585 /nfs/dbraw/zinc/27/25/85/628272585.db2.gz AWBKONVIAJIRQR-LBPRGKRZSA-N 0 0 286.278 2.734 20 5 CFBDRN O=C(Cc1ccon1)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000778093696 628277086 /nfs/dbraw/zinc/27/70/86/628277086.db2.gz OEKKUSWCIRYGNP-UHFFFAOYSA-N 0 0 296.666 2.522 20 5 CFBDRN C[C@H](COC(=O)c1c(N)cccc1[N+](=O)[O-])C(F)(F)F ZINC000778139392 628280372 /nfs/dbraw/zinc/28/03/72/628280372.db2.gz CJIITXNQZXLTTG-ZCFIWIBFSA-N 0 0 292.213 2.532 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)OCCc1cccs1 ZINC000778145067 628280415 /nfs/dbraw/zinc/28/04/15/628280415.db2.gz NLARQVJPMMDVBE-UHFFFAOYSA-N 0 0 292.316 2.638 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778273558 628293791 /nfs/dbraw/zinc/29/37/91/628293791.db2.gz PVHQERCYMLACHL-JTQLQIEISA-N 0 0 298.289 2.849 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000778288845 628294883 /nfs/dbraw/zinc/29/48/83/628294883.db2.gz YYODKMOFJDDACP-UWVGGRQHSA-N 0 0 298.289 2.944 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C[C@@H]1F ZINC000778472257 628309912 /nfs/dbraw/zinc/30/99/12/628309912.db2.gz WQWXDAXQSHHEKI-KWQFWETISA-N 0 0 284.262 2.554 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C[C@H]1F ZINC000778472251 628310208 /nfs/dbraw/zinc/31/02/08/628310208.db2.gz WQWXDAXQSHHEKI-GZMMTYOYSA-N 0 0 284.262 2.554 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(N2CC=CCC2)cc1Cl ZINC000778591410 628318771 /nfs/dbraw/zinc/31/87/71/628318771.db2.gz CNQBWABATRGXGR-UHFFFAOYSA-N 0 0 296.710 2.801 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)C1CCOCC1 ZINC000778760854 628330673 /nfs/dbraw/zinc/33/06/73/628330673.db2.gz DYCPBXMKPZUQHO-NSHDSACASA-N 0 0 293.319 2.934 20 5 CFBDRN CCC1(C(=O)OCc2csc([N+](=O)[O-])c2)CCOCC1 ZINC000778880807 628338313 /nfs/dbraw/zinc/33/83/13/628338313.db2.gz HKIJEXGUQIRCRM-UHFFFAOYSA-N 0 0 299.348 2.906 20 5 CFBDRN CC(C)(C)COC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000779205917 628360035 /nfs/dbraw/zinc/36/00/35/628360035.db2.gz YLFSUMVOGYBYLG-UHFFFAOYSA-N 0 0 281.264 2.526 20 5 CFBDRN COc1cc(C(=O)O[C@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000779260640 628365310 /nfs/dbraw/zinc/36/53/10/628365310.db2.gz VMTXEAMDMBQAOU-KOLCDFICSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000779261688 628365627 /nfs/dbraw/zinc/36/56/27/628365627.db2.gz GSEIMCMXJHHDLU-ZWNOBZJWSA-N 0 0 279.292 2.705 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCc1c(F)cccc1F ZINC000779264406 628366541 /nfs/dbraw/zinc/36/65/41/628366541.db2.gz DGVAFLPLWPZFFL-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)Oc2ccc3ccccc3c2)n1 ZINC000779712883 628394871 /nfs/dbraw/zinc/39/48/71/628394871.db2.gz NDQOYPBKSXTKIJ-UHFFFAOYSA-N 0 0 297.270 2.701 20 5 CFBDRN CSC1(C(=O)Oc2cccc([N+](=O)[O-])c2)CCC1 ZINC000779797549 628407472 /nfs/dbraw/zinc/40/74/72/628407472.db2.gz JHEZEFDINWTKMT-UHFFFAOYSA-N 0 0 267.306 2.786 20 5 CFBDRN CC/C(C)=C(/C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000779855974 628414390 /nfs/dbraw/zinc/41/43/90/628414390.db2.gz YHCOBFCCWNZFHR-KHPPLWFESA-N 0 0 292.335 2.966 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@H]1/C=C/c1ccccc1 ZINC000779889302 628416399 /nfs/dbraw/zinc/41/63/99/628416399.db2.gz PLMCTRRNNMVLRL-HVHJFMEUSA-N 0 0 298.346 2.927 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@@H]2/C=C\c2ccccc2)c1 ZINC000779888503 628416445 /nfs/dbraw/zinc/41/64/45/628416445.db2.gz MAGQGRMLYWODQG-WHLNPGIBSA-N 0 0 298.346 2.927 20 5 CFBDRN Cc1nc2c(s1)[C@@H](Nc1ccncc1[N+](=O)[O-])CCC2 ZINC000779918279 628418257 /nfs/dbraw/zinc/41/82/57/628418257.db2.gz AXBOMXMCHIFADY-NSHDSACASA-N 0 0 290.348 2.666 20 5 CFBDRN O=C(OCC1CCCC1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780066739 628429911 /nfs/dbraw/zinc/42/99/11/628429911.db2.gz CKVRXIUQTLXVEP-UHFFFAOYSA-N 0 0 265.265 2.647 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2cc(O)cc([N+](=O)[O-])c2)o1 ZINC000780076515 628430953 /nfs/dbraw/zinc/43/09/53/628430953.db2.gz RBRIWARXOYLOBT-MRVPVSSYSA-N 0 0 292.247 2.515 20 5 CFBDRN O=C(O[C@H]1C=CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000780554268 628475687 /nfs/dbraw/zinc/47/56/87/628475687.db2.gz PCNBYYWBJCJDLO-NSHDSACASA-N 0 0 251.213 2.609 20 5 CFBDRN CS/C=C\C(=O)OCCOc1ccc([N+](=O)[O-])cc1C ZINC000780636061 628483785 /nfs/dbraw/zinc/48/37/85/628483785.db2.gz HVVSVQNPJXHYDZ-YVMONPNESA-N 0 0 297.332 2.702 20 5 CFBDRN CS[C@@H](C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1C ZINC000780638286 628483981 /nfs/dbraw/zinc/48/39/81/628483981.db2.gz XVNDMSBXFMASBT-JTQLQIEISA-N 0 0 299.348 2.577 20 5 CFBDRN COCCCN(C)c1ccc([N+](=O)[O-])cc1OC(F)F ZINC000780912409 628504061 /nfs/dbraw/zinc/50/40/61/628504061.db2.gz XGOUKNIBHUKGPJ-UHFFFAOYSA-N 0 0 290.266 2.669 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cccc(O)c1)CC2 ZINC000781013549 628512621 /nfs/dbraw/zinc/51/26/21/628512621.db2.gz MVEAPJDHIJAOJP-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN CC(C)(C)CCCOC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000781196124 628532100 /nfs/dbraw/zinc/53/21/00/628532100.db2.gz VSABBXKNJHVCLX-UHFFFAOYSA-N 0 0 266.297 2.973 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N(C)C)C1 ZINC000781623114 628567706 /nfs/dbraw/zinc/56/77/06/628567706.db2.gz RWNXRULHMFDPMT-QWRGUYRKSA-N 0 0 291.351 2.579 20 5 CFBDRN COC1(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])CCC1 ZINC000781734909 628576371 /nfs/dbraw/zinc/57/63/71/628576371.db2.gz PZVSTWBVHFUQEP-UHFFFAOYSA-N 0 0 299.710 2.861 20 5 CFBDRN COC1(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000781850413 628586243 /nfs/dbraw/zinc/58/62/43/628586243.db2.gz KSGFYPOCLVLLPD-UHFFFAOYSA-N 0 0 279.292 2.516 20 5 CFBDRN CSC1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000781966053 628594086 /nfs/dbraw/zinc/59/40/86/628594086.db2.gz GMFDDBCMQPICKQ-UHFFFAOYSA-N 0 0 284.312 2.958 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000782006565 628596676 /nfs/dbraw/zinc/59/66/76/628596676.db2.gz LJAOKCMQCQHHPO-ZETCQYMHSA-N 0 0 293.304 2.673 20 5 CFBDRN Cc1ccc(F)cc1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000782244725 628618883 /nfs/dbraw/zinc/61/88/83/628618883.db2.gz JVCMZIATXVJWRA-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN C[C@H](OC(=O)C1(O)CCCCC1)c1ccccc1[N+](=O)[O-] ZINC000782643730 628651633 /nfs/dbraw/zinc/65/16/33/628651633.db2.gz YYPZMPGLYGPDGI-NSHDSACASA-N 0 0 293.319 2.894 20 5 CFBDRN CC(C)(C)C[C@@H](O)CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000805727798 628652223 /nfs/dbraw/zinc/65/22/23/628652223.db2.gz HOMIDHMVINMFJY-ZDUSSCGKSA-N 0 0 295.335 2.825 20 5 CFBDRN O=C(CC[C@@H]1CCCO1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782728300 628657248 /nfs/dbraw/zinc/65/72/48/628657248.db2.gz ARGXGZUFCWILSB-NSHDSACASA-N 0 0 297.282 2.736 20 5 CFBDRN C[C@H](OC(=O)C1(O)CCCC1)c1ccccc1[N+](=O)[O-] ZINC000782858173 628660328 /nfs/dbraw/zinc/66/03/28/628660328.db2.gz QJNIYMPKZPYSHB-JTQLQIEISA-N 0 0 279.292 2.504 20 5 CFBDRN O=C(COC1CCCC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783041913 628671328 /nfs/dbraw/zinc/67/13/28/628671328.db2.gz SKXIISKTNJGLAR-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN C/C(=C\C(=O)NCc1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000783692595 628735119 /nfs/dbraw/zinc/73/51/19/628735119.db2.gz HEEZDKZFWXXXNW-ZRDIBKRKSA-N 0 0 297.314 2.710 20 5 CFBDRN C/C(=C/C(=O)N[C@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000783771077 628744245 /nfs/dbraw/zinc/74/42/45/628744245.db2.gz BDENUPJJYDCVMO-DWOQACPDSA-N 0 0 274.320 2.913 20 5 CFBDRN C/C(=C\C(=O)N[C@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000783771079 628744311 /nfs/dbraw/zinc/74/43/11/628744311.db2.gz BDENUPJJYDCVMO-PBQZMEPESA-N 0 0 274.320 2.913 20 5 CFBDRN C/C(=C/C(=O)NCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000783876406 628752869 /nfs/dbraw/zinc/75/28/69/628752869.db2.gz HTAAPXDGFHNUOO-VURMDHGXSA-N 0 0 288.225 2.677 20 5 CFBDRN C/C(=C\C(=O)NCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000783921620 628755409 /nfs/dbraw/zinc/75/54/09/628755409.db2.gz IDXXBUFWULIAOT-CSKARUKUSA-N 0 0 260.293 2.524 20 5 CFBDRN CCC1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000784011983 628762688 /nfs/dbraw/zinc/76/26/88/628762688.db2.gz DPVSMWIPVLIDCX-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN Cc1cccnc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784019856 628764475 /nfs/dbraw/zinc/76/44/75/628764475.db2.gz WJDFNRUAEDKSDT-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784085649 628774299 /nfs/dbraw/zinc/77/42/99/628774299.db2.gz XGCAQXYMHANCNX-NSHDSACASA-N 0 0 297.282 2.736 20 5 CFBDRN CC(C)c1ccc(C(=O)NCc2ccon2)cc1[N+](=O)[O-] ZINC000784130549 628780759 /nfs/dbraw/zinc/78/07/59/628780759.db2.gz NPJOEVYMAHEYJQ-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCCCS2)nc2ccccn21 ZINC000784173735 628788189 /nfs/dbraw/zinc/78/81/89/628788189.db2.gz YTLZCXRRFXBVJK-JTQLQIEISA-N 0 0 292.364 2.940 20 5 CFBDRN CC1([C@H]2CCCN(c3sccc3[N+](=O)[O-])C2)OCCO1 ZINC000784255298 628795815 /nfs/dbraw/zinc/79/58/15/628795815.db2.gz VHJXKDWXTVNVSJ-JTQLQIEISA-N 0 0 298.364 2.636 20 5 CFBDRN CC1([C@H]2CCCN(c3ccc([N+](=O)[O-])s3)C2)OCCO1 ZINC000784255169 628796023 /nfs/dbraw/zinc/79/60/23/628796023.db2.gz QNTRZDGRFRRCAT-JTQLQIEISA-N 0 0 298.364 2.636 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000784311839 628803879 /nfs/dbraw/zinc/80/38/79/628803879.db2.gz UTAVZQIPGWLUMF-GFCCVEGCSA-N 0 0 296.254 2.773 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784341849 628807591 /nfs/dbraw/zinc/80/75/91/628807591.db2.gz YXQKPRFJOWOWMO-VIFPVBQESA-N 0 0 267.256 2.823 20 5 CFBDRN COCC[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000784434885 628816701 /nfs/dbraw/zinc/81/67/01/628816701.db2.gz HBWOYTMWJPRPKN-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN CC(=O)c1ccc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])o1 ZINC000784449070 628817755 /nfs/dbraw/zinc/81/77/55/628817755.db2.gz XMHTYWYDNDBSNN-UHFFFAOYSA-N 0 0 289.243 2.918 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])CO1 ZINC000784501662 628822420 /nfs/dbraw/zinc/82/24/20/628822420.db2.gz NBGQKWDJZHUPGW-HTQZYQBOSA-N 0 0 285.683 2.579 20 5 CFBDRN C/C(=C/C(=O)NC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000784653734 628834547 /nfs/dbraw/zinc/83/45/47/628834547.db2.gz FSMBABOEEBSSIH-KTKRTIGZSA-N 0 0 260.293 2.667 20 5 CFBDRN Cc1cc(SCCSCCO)ccc1[N+](=O)[O-] ZINC000784686851 628837134 /nfs/dbraw/zinc/83/71/34/628837134.db2.gz ISKNYZVUAFFPLS-UHFFFAOYSA-N 0 0 273.379 2.721 20 5 CFBDRN C/C(=C/C(=O)NOC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000784692222 628837612 /nfs/dbraw/zinc/83/76/12/628837612.db2.gz QXXUOJDSMPRKED-KHPPLWFESA-N 0 0 290.319 2.989 20 5 CFBDRN C/C(=C/C(=O)N1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000784693116 628837790 /nfs/dbraw/zinc/83/77/90/628837790.db2.gz ZXNGXQFNMSKPJE-NTMALXAHSA-N 0 0 296.273 2.866 20 5 CFBDRN COC[C@@H](C)OC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784871925 628847365 /nfs/dbraw/zinc/84/73/65/628847365.db2.gz OXIUUAAHYIOJEX-HIJJYWJESA-N 0 0 279.292 2.576 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000784947457 628854321 /nfs/dbraw/zinc/85/43/21/628854321.db2.gz CFPXWXDWCRSSBJ-CYBMUJFWSA-N 0 0 279.292 2.711 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC1CCC2(CC1)OCCO2 ZINC000785038037 628861319 /nfs/dbraw/zinc/86/13/19/628861319.db2.gz ZVNFHNAELWEUQN-UHFFFAOYSA-N 0 0 296.348 2.768 20 5 CFBDRN COc1cc(COC(=O)/C=C\C(C)C)c([N+](=O)[O-])cc1F ZINC000785062139 628863629 /nfs/dbraw/zinc/86/36/29/628863629.db2.gz YEXKYVBISBIWCN-PLNGDYQASA-N 0 0 297.282 2.998 20 5 CFBDRN CC(C)/C=C/C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000785069377 628864117 /nfs/dbraw/zinc/86/41/17/628864117.db2.gz ZRWQQNPPTRMXLZ-FPYGCLRLSA-N 0 0 279.292 2.729 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CCC(C)(C)O2)cccc1[N+](=O)[O-] ZINC000785233488 628872166 /nfs/dbraw/zinc/87/21/66/628872166.db2.gz PZTYAWCZFMWSRZ-ZDUSSCGKSA-N 0 0 293.319 2.904 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)OCCc2ccc([N+](=O)[O-])cc2)O1 ZINC000785269435 628874501 /nfs/dbraw/zinc/87/45/01/628874501.db2.gz ZLPWNMOLVZBUMG-ZDUSSCGKSA-N 0 0 293.319 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OCCC1(O)CC1 ZINC000785454446 628884370 /nfs/dbraw/zinc/88/43/70/628884370.db2.gz OTTANCMOHHMTFF-UHFFFAOYSA-N 0 0 257.673 2.542 20 5 CFBDRN Cc1[nH]ncc1C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000785579104 628894882 /nfs/dbraw/zinc/89/48/82/628894882.db2.gz YTJQYPKPQXHAOB-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN Cc1[nH]ncc1C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000785589349 628896561 /nfs/dbraw/zinc/89/65/61/628896561.db2.gz NRPDSQHTRHMJDK-VIFPVBQESA-N 0 0 275.264 2.544 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1ccc(F)nc1 ZINC000785632582 628899967 /nfs/dbraw/zinc/89/99/67/628899967.db2.gz UQCSWXBKCNEVTK-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000785903386 628920857 /nfs/dbraw/zinc/92/08/57/628920857.db2.gz CCSJOSPWXGDVID-LBPRGKRZSA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1cccc(C(=O)OCC(C)(C)F)c1[N+](=O)[O-] ZINC000785919375 628920899 /nfs/dbraw/zinc/92/08/99/628920899.db2.gz LBXSVGQZFVQTBI-UHFFFAOYSA-N 0 0 255.245 2.808 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OC[C@@H]1CCCO1 ZINC000785938089 628925409 /nfs/dbraw/zinc/92/54/09/628925409.db2.gz ZDZUZYWEFXLDFJ-NSHDSACASA-N 0 0 299.710 2.513 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)cnn1 ZINC000786392667 628953478 /nfs/dbraw/zinc/95/34/78/628953478.db2.gz IFSKNHSJNYWXCL-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@H](OC(=O)CCNc1ccccc1[N+](=O)[O-])C(F)F ZINC000786507853 628962634 /nfs/dbraw/zinc/96/26/34/628962634.db2.gz BPVKCJXCSPZNDV-QMMMGPOBSA-N 0 0 288.250 2.594 20 5 CFBDRN C[C@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000786518010 628964303 /nfs/dbraw/zinc/96/43/03/628964303.db2.gz NGUWSDFFZXXLJU-ZETCQYMHSA-N 0 0 291.275 2.884 20 5 CFBDRN Nc1ccc(NCc2cccc3c2OCO3)c([N+](=O)[O-])c1 ZINC000786582436 628969866 /nfs/dbraw/zinc/96/98/66/628969866.db2.gz JQDVJBISGATBQD-UHFFFAOYSA-N 0 0 287.275 2.518 20 5 CFBDRN Nc1ccc(N2CCc3sccc3C2)c([N+](=O)[O-])c1 ZINC000786582858 628970053 /nfs/dbraw/zinc/97/00/53/628970053.db2.gz ZHIZLDZOBKJFGO-UHFFFAOYSA-N 0 0 275.333 2.801 20 5 CFBDRN Cc1cnc(CC(=O)OCc2csc([N+](=O)[O-])c2)s1 ZINC000787020320 628988843 /nfs/dbraw/zinc/98/88/43/628988843.db2.gz YPYKYVGSQSNELK-UHFFFAOYSA-N 0 0 298.345 2.707 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CCC=CO1 ZINC000787030748 628989158 /nfs/dbraw/zinc/98/91/58/628989158.db2.gz JLOAGUTWMHBBCV-LBPRGKRZSA-N 0 0 297.694 2.984 20 5 CFBDRN COC(C)(C)CC(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000787122658 628994437 /nfs/dbraw/zinc/99/44/37/628994437.db2.gz FHWHWQWGVNGLDU-UHFFFAOYSA-N 0 0 281.308 2.762 20 5 CFBDRN CC(C)[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000787307524 629006198 /nfs/dbraw/zinc/00/61/98/629006198.db2.gz MBBUYXHIYSIQDV-LLVKDONJSA-N 0 0 291.351 2.685 20 5 CFBDRN C/C=C\COC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000787941209 629043562 /nfs/dbraw/zinc/04/35/62/629043562.db2.gz YCCRYAVUTYCTHV-ARJAWSKDSA-N 0 0 253.229 2.775 20 5 CFBDRN Cc1cc(N[C@H](C)c2ncnn2C)c(Cl)cc1[N+](=O)[O-] ZINC000788862789 629105574 /nfs/dbraw/zinc/10/55/74/629105574.db2.gz DTQANWOGTUJNLG-MRVPVSSYSA-N 0 0 295.730 2.858 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@@H]2CC[C@H](C)O2)c1[N+](=O)[O-] ZINC000789068304 629122873 /nfs/dbraw/zinc/12/28/73/629122873.db2.gz YFPPGBJHCUNKCU-ONGXEEELSA-N 0 0 297.282 2.767 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@H]2CC[C@H](C)O2)c1[N+](=O)[O-] ZINC000789068295 629123193 /nfs/dbraw/zinc/12/31/93/629123193.db2.gz YFPPGBJHCUNKCU-GXSJLCMTSA-N 0 0 297.282 2.767 20 5 CFBDRN C[C@@H]1CC[C@H](COC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)O1 ZINC000789079758 629124160 /nfs/dbraw/zinc/12/41/60/629124160.db2.gz RVLSMOTYXRKRFT-PSASIEDQSA-N 0 0 299.710 2.973 20 5 CFBDRN CN(Cc1c[nH]cn1)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000852287098 634711017 /nfs/dbraw/zinc/71/10/17/634711017.db2.gz SWKRJYLQLDLUOW-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN C/C=C(\CC)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000789361450 629150984 /nfs/dbraw/zinc/15/09/84/629150984.db2.gz CDEXYEYELXVUSC-UUILKARUSA-N 0 0 292.335 2.931 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC=C(c3ccccc3)C2)c1 ZINC000789539135 629164167 /nfs/dbraw/zinc/16/41/67/629164167.db2.gz FDSFJAIPQLUXCT-UHFFFAOYSA-N 0 0 284.319 2.538 20 5 CFBDRN Cc1ccc(OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cn1 ZINC000789589768 629169646 /nfs/dbraw/zinc/16/96/46/629169646.db2.gz GMSZFCQKUIRASI-UHFFFAOYSA-N 0 0 289.247 2.785 20 5 CFBDRN CCOc1ccc(C(=O)OCC2(F)CC2)cc1[N+](=O)[O-] ZINC000789591256 629169933 /nfs/dbraw/zinc/16/99/33/629169933.db2.gz OSCYIIZKEIXVDC-UHFFFAOYSA-N 0 0 283.255 2.652 20 5 CFBDRN C[C@@H](COC(=O)CCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000789611380 629173104 /nfs/dbraw/zinc/17/31/04/629173104.db2.gz HJJKKGTZXHXNSH-NSHDSACASA-N 0 0 292.335 2.986 20 5 CFBDRN C[C@@H](COC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)C1CC1 ZINC000789611870 629174175 /nfs/dbraw/zinc/17/41/75/629174175.db2.gz RLZMABFWQNJPAQ-QMMMGPOBSA-N 0 0 293.275 2.526 20 5 CFBDRN Cn1ncc(NCCc2ccc([N+](=O)[O-])cc2)c1C1CC1 ZINC000789669044 629179991 /nfs/dbraw/zinc/17/99/91/629179991.db2.gz IVEDNSZSUCZHGI-UHFFFAOYSA-N 0 0 286.335 2.860 20 5 CFBDRN CCn1c(C)nnc1S/C=C/c1ccc([N+](=O)[O-])o1 ZINC000789915644 629196335 /nfs/dbraw/zinc/19/63/35/629196335.db2.gz LCRUZBSCBYVWBM-VOTSOKGWSA-N 0 0 280.309 2.871 20 5 CFBDRN CC(C)OC(=O)CS/C=C\c1ccc([N+](=O)[O-])o1 ZINC000789923225 629197360 /nfs/dbraw/zinc/19/73/60/629197360.db2.gz XLZDWYDGKDTNGK-WAYWQWQTSA-N 0 0 271.294 2.843 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\Sc2nccn3ccnc23)o1 ZINC000789968064 629200942 /nfs/dbraw/zinc/20/09/42/629200942.db2.gz NOXULITZHCQZOL-BAQGIRSFSA-N 0 0 288.288 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\SCCSCCO)o1 ZINC000789983048 629201560 /nfs/dbraw/zinc/20/15/60/629201560.db2.gz SOMXXAIIXYHSQU-HYXAFXHYSA-N 0 0 275.351 2.617 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/SCCSCCO)o1 ZINC000789983047 629201650 /nfs/dbraw/zinc/20/16/50/629201650.db2.gz SOMXXAIIXYHSQU-HWKANZROSA-N 0 0 275.351 2.617 20 5 CFBDRN COC(=O)c1cnc(NC[C@@H](C)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000790767096 629261312 /nfs/dbraw/zinc/26/13/12/629261312.db2.gz FQTIIOTXVAWRBD-SECBINFHSA-N 0 0 295.339 2.871 20 5 CFBDRN CC1(COC(=O)CCc2cccc([N+](=O)[O-])c2)CC1 ZINC000790803748 629264037 /nfs/dbraw/zinc/26/40/37/629264037.db2.gz URFRKIFVAKMTIB-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN COc1cccc(C(=O)OCC2(C)CC2)c1[N+](=O)[O-] ZINC000790808787 629264991 /nfs/dbraw/zinc/26/49/91/629264991.db2.gz RSKKZZSKTARJGQ-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN COc1ccc(C(=O)OCC2=CCCC2)cc1[N+](=O)[O-] ZINC000790828557 629268189 /nfs/dbraw/zinc/26/81/89/629268189.db2.gz FPXIZFAUURUYNI-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccon1 ZINC000790912930 629271189 /nfs/dbraw/zinc/27/11/89/629271189.db2.gz YYPDZNUCGHFZKQ-UHFFFAOYSA-N 0 0 299.645 2.557 20 5 CFBDRN O=[N+]([O-])CCN(Cc1ccccc1C(F)(F)F)C1CC1 ZINC000791053826 629284306 /nfs/dbraw/zinc/28/43/06/629284306.db2.gz QKHUDWCRSUWFOY-UHFFFAOYSA-N 0 0 288.269 2.947 20 5 CFBDRN Cn1ncc(NCc2ccccc2[N+](=O)[O-])c1C1CC1 ZINC000791414309 629311687 /nfs/dbraw/zinc/31/16/87/629311687.db2.gz WMPADOYABSNAJF-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791509658 629324765 /nfs/dbraw/zinc/32/47/65/629324765.db2.gz SBQQQFAYMXAXSM-IAROGAJJSA-N 0 0 292.247 2.679 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCCC1CCC1 ZINC000791641486 629341600 /nfs/dbraw/zinc/34/16/00/629341600.db2.gz UOWNKYJRVYMYMR-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Nc1c(C(=O)OCCCCCF)cc(F)cc1[N+](=O)[O-] ZINC000791751884 629352893 /nfs/dbraw/zinc/35/28/93/629352893.db2.gz AWGNSILNXAYCQK-UHFFFAOYSA-N 0 0 288.250 2.613 20 5 CFBDRN CC/C=C/COC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000835090699 629390327 /nfs/dbraw/zinc/39/03/27/629390327.db2.gz KFUUHDZBPBAVEJ-SNAWJCMRSA-N 0 0 283.255 2.866 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000792120462 629399482 /nfs/dbraw/zinc/39/94/82/629399482.db2.gz GQQAWNZEEOAPDC-ZJUUUORDSA-N 0 0 279.292 2.945 20 5 CFBDRN COc1ccc(OC(=O)[C@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000792125887 629400172 /nfs/dbraw/zinc/40/01/72/629400172.db2.gz ZVRZZCBWMWEEKB-LLVKDONJSA-N 0 0 271.244 2.503 20 5 CFBDRN C/C=C(\CC)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000792168470 629405024 /nfs/dbraw/zinc/40/50/24/629405024.db2.gz HDIGBGAHIZUOSL-YCRREMRBSA-N 0 0 294.263 2.903 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000835106863 629411510 /nfs/dbraw/zinc/41/15/10/629411510.db2.gz LOIFWMQPNWKJKL-XVKPBYJWSA-N 0 0 271.219 2.854 20 5 CFBDRN CC(C)(CCC(=O)OCCc1cccs1)[N+](=O)[O-] ZINC000835111949 629416324 /nfs/dbraw/zinc/41/63/24/629416324.db2.gz OWJCFYQLZCQFAW-UHFFFAOYSA-N 0 0 271.338 2.669 20 5 CFBDRN COc1ccc(OC(=O)C(C)=C(C)C)cc1[N+](=O)[O-] ZINC000792590259 629436533 /nfs/dbraw/zinc/43/65/33/629436533.db2.gz MIHASEVUQJKPNH-UHFFFAOYSA-N 0 0 265.265 2.865 20 5 CFBDRN CC(C)(NC(=O)c1cc(F)ccc1[N+](=O)[O-])C(F)F ZINC000792595077 629437176 /nfs/dbraw/zinc/43/71/76/629437176.db2.gz WHDWUAWUGSOAFA-UHFFFAOYSA-N 0 0 276.214 2.507 20 5 CFBDRN COCC1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000792693585 629445124 /nfs/dbraw/zinc/44/51/24/629445124.db2.gz KXRMSHAJCCQNFO-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1nn(CN(C)Cc2ccc(F)cc2C)cc1[N+](=O)[O-] ZINC000792713755 629446315 /nfs/dbraw/zinc/44/63/15/629446315.db2.gz YYIVAUMWIBXSTJ-UHFFFAOYSA-N 0 0 292.314 2.637 20 5 CFBDRN CC(C)(CCC(=O)OCCc1ccc(F)cc1)[N+](=O)[O-] ZINC000835152170 629466233 /nfs/dbraw/zinc/46/62/33/629466233.db2.gz OWHJBOFEJVFTLZ-UHFFFAOYSA-N 0 0 283.299 2.747 20 5 CFBDRN CCCCCCNC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000792933006 629467413 /nfs/dbraw/zinc/46/74/13/629467413.db2.gz UQDHIDXSRZRHNI-UHFFFAOYSA-N 0 0 280.324 2.914 20 5 CFBDRN COCc1cccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000835155263 629469023 /nfs/dbraw/zinc/46/90/23/629469023.db2.gz GHLPXQLAZPBSTF-UHFFFAOYSA-N 0 0 281.308 2.574 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CC1(F)F ZINC000835170748 629486645 /nfs/dbraw/zinc/48/66/45/629486645.db2.gz ZIHRNTGBRQANRX-SSDOTTSWSA-N 0 0 291.637 2.947 20 5 CFBDRN CNc1c(C(=O)OC[C@@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000793161093 629495148 /nfs/dbraw/zinc/49/51/48/629495148.db2.gz HONKQIAWUYAGRQ-VIFPVBQESA-N 0 0 296.348 2.689 20 5 CFBDRN Cc1ccoc1CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000793283610 629520163 /nfs/dbraw/zinc/52/01/63/629520163.db2.gz YJMCZUOABLLEPB-UHFFFAOYSA-N 0 0 291.332 2.983 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@H]2C=CCCCCC2)n1 ZINC000793398756 629538331 /nfs/dbraw/zinc/53/83/31/629538331.db2.gz LPPYDNLUMQXRTA-NSHDSACASA-N 0 0 293.323 2.857 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CCC(F)F)CC2 ZINC000793768884 629592843 /nfs/dbraw/zinc/59/28/43/629592843.db2.gz LBVFHRSJXBITSZ-UHFFFAOYSA-N 0 0 284.262 2.838 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C2CC2)ccn1 ZINC000794102899 629613726 /nfs/dbraw/zinc/61/37/26/629613726.db2.gz ZTKQALRSASUUQW-UHFFFAOYSA-N 0 0 298.302 2.702 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@H]1CCCSC1 ZINC000806050054 629637169 /nfs/dbraw/zinc/63/71/69/629637169.db2.gz RYTGNASJSFLUND-LLVKDONJSA-N 0 0 295.360 2.824 20 5 CFBDRN Cc1nccc(COc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000794426314 629645442 /nfs/dbraw/zinc/64/54/42/629645442.db2.gz HBBCSCTVCYELTE-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN COc1ccc(OC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000835348034 629647450 /nfs/dbraw/zinc/64/74/50/629647450.db2.gz HXVGBXCTKSHPSO-SCVCMEIPSA-N 0 0 291.303 2.945 20 5 CFBDRN Cc1nnc(CN(C)Cc2ccc(Cl)c([N+](=O)[O-])c2)o1 ZINC000852471480 634754534 /nfs/dbraw/zinc/75/45/34/634754534.db2.gz RXLZTIACZWOYIJ-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN CC(C)(CCC(=O)OCC1CC(C)(C)C1)[N+](=O)[O-] ZINC000835404317 629694647 /nfs/dbraw/zinc/69/46/47/629694647.db2.gz GEPNLGGTQWBGNB-UHFFFAOYSA-N 0 0 257.330 2.801 20 5 CFBDRN CCOc1ccc(C(=O)NOC[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000794869633 629697404 /nfs/dbraw/zinc/69/74/04/629697404.db2.gz IWBRSVYJZMFOME-JTQLQIEISA-N 0 0 296.323 2.701 20 5 CFBDRN CCC(=O)c1ccc(N2CC(C)(COC)C2)c([N+](=O)[O-])c1 ZINC000795172408 629741564 /nfs/dbraw/zinc/74/15/64/629741564.db2.gz SMJSFKSTFYKLRJ-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1ccc(N2C[C@@H](C)O[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000795189898 629743112 /nfs/dbraw/zinc/74/31/12/629743112.db2.gz NTXYTJVNYHDQPW-ABAIWWIYSA-N 0 0 276.336 2.907 20 5 CFBDRN Cc1ncc(CNc2ccc(Cl)c([N+](=O)[O-])c2)cn1 ZINC000795253181 629752651 /nfs/dbraw/zinc/75/26/51/629752651.db2.gz SRIKNAIZMPCZAL-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCC[C@@H](O)C1 ZINC000795269367 629755411 /nfs/dbraw/zinc/75/54/11/629755411.db2.gz AHSQZSINFMDQED-VXGBXAGGSA-N 0 0 293.319 2.611 20 5 CFBDRN COCC1(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000835479817 629766218 /nfs/dbraw/zinc/76/62/18/629766218.db2.gz KLTLSERAVRSFOB-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835479485 629766702 /nfs/dbraw/zinc/76/67/02/629766702.db2.gz DVBUWFPHGUROJG-VXGBXAGGSA-N 0 0 263.293 2.993 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCC[C@@H](O)C2)cc([N+](=O)[O-])c1 ZINC000795355153 629766453 /nfs/dbraw/zinc/76/64/53/629766453.db2.gz MVCOSCYUSVSAKV-SMDDNHRTSA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H]1CCC[C@H](O)C1 ZINC000795372291 629768285 /nfs/dbraw/zinc/76/82/85/629768285.db2.gz URJKWBGLZNWDNW-YPMHNXCESA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1ccc(COC(=O)C2CC=CC2)cc1[N+](=O)[O-] ZINC000835482994 629774474 /nfs/dbraw/zinc/77/44/74/629774474.db2.gz ASCJAJQFFIMOGM-UHFFFAOYSA-N 0 0 261.277 2.913 20 5 CFBDRN Cc1ccc(COC(=O)[C@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000835483033 629775206 /nfs/dbraw/zinc/77/52/06/629775206.db2.gz CDJQJMXNMGKUSK-AWEZNQCLSA-N 0 0 279.292 2.516 20 5 CFBDRN CCCCOCC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835485855 629776942 /nfs/dbraw/zinc/77/69/42/629776942.db2.gz RGFOFXZHMMZGHZ-UHFFFAOYSA-N 0 0 281.308 2.763 20 5 CFBDRN C[C@@H](O)CCCOC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000795574158 629803704 /nfs/dbraw/zinc/80/37/04/629803704.db2.gz RKGGKWYUCVTQRR-MRVPVSSYSA-N 0 0 287.699 2.566 20 5 CFBDRN O=C(OCCc1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000795716215 629826340 /nfs/dbraw/zinc/82/63/40/629826340.db2.gz GRYMTRBWZGLPSU-UHFFFAOYSA-N 0 0 261.233 2.587 20 5 CFBDRN CCC[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1OC)OC ZINC000835542746 629829066 /nfs/dbraw/zinc/82/90/66/629829066.db2.gz ZRHSDTICMAMBDY-LLVKDONJSA-N 0 0 297.307 2.575 20 5 CFBDRN O=C(C[C@H]1CCCOC1)OCc1csc([N+](=O)[O-])c1 ZINC000795914676 629849739 /nfs/dbraw/zinc/84/97/39/629849739.db2.gz XSWYCUJSXNMTCT-SECBINFHSA-N 0 0 285.321 2.516 20 5 CFBDRN CC(C)CN(C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000796011560 629863355 /nfs/dbraw/zinc/86/33/55/629863355.db2.gz LRTJQTGFWWCBNW-UHFFFAOYSA-N 0 0 293.367 2.777 20 5 CFBDRN COc1ccc(COC(=O)CCC(F)F)cc1[N+](=O)[O-] ZINC000796025220 629865150 /nfs/dbraw/zinc/86/51/50/629865150.db2.gz SJTNMUZNALVOIG-UHFFFAOYSA-N 0 0 289.234 2.692 20 5 CFBDRN O=C(C[C@@H]1CCCC[C@H]1O)OCc1csc([N+](=O)[O-])c1 ZINC000796132110 629881288 /nfs/dbraw/zinc/88/12/88/629881288.db2.gz LZOUDXQRYMCQMI-WDEREUQCSA-N 0 0 299.348 2.641 20 5 CFBDRN C[C@@H]1C(=O)CC[C@@H]1CC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000796238838 629894704 /nfs/dbraw/zinc/89/47/04/629894704.db2.gz OUMRTJACZCXGBQ-CMPLNLGQSA-N 0 0 291.303 2.643 20 5 CFBDRN CC[C@@H](C)COC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000796251506 629894915 /nfs/dbraw/zinc/89/49/15/629894915.db2.gz GABIERSTQMFDBM-MRVPVSSYSA-N 0 0 269.301 2.644 20 5 CFBDRN CCCc1[nH]nc(C(=O)OCCC(C)(C)C)c1[N+](=O)[O-] ZINC000796261753 629897008 /nfs/dbraw/zinc/89/70/08/629897008.db2.gz QCAJKVXYMNRNQG-UHFFFAOYSA-N 0 0 283.328 2.863 20 5 CFBDRN CCCc1[nH]nc(C(=O)OCCCC(C)C)c1[N+](=O)[O-] ZINC000796266405 629897042 /nfs/dbraw/zinc/89/70/42/629897042.db2.gz KFPXHKUBDCVSKC-UHFFFAOYSA-N 0 0 283.328 2.863 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796306198 629901629 /nfs/dbraw/zinc/90/16/29/629901629.db2.gz IMMDQMRQGOXNBG-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN CC(C)(O)CCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796439397 629917881 /nfs/dbraw/zinc/91/78/81/629917881.db2.gz JAGZPVKQDQEWCE-UHFFFAOYSA-N 0 0 295.335 2.577 20 5 CFBDRN CON(Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)CC(C)(C)C ZINC000796471932 629923092 /nfs/dbraw/zinc/92/30/92/629923092.db2.gz REFYOXXFZIFJTN-UHFFFAOYSA-N 0 0 292.339 2.881 20 5 CFBDRN CS[C@@H](C)CCOC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000835662942 629925216 /nfs/dbraw/zinc/92/52/16/629925216.db2.gz IMJBXGHUAMXFLM-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1CCC(F)(F)C1 ZINC000796509747 629927631 /nfs/dbraw/zinc/92/76/31/629927631.db2.gz FIMMAXQLUNHESJ-MRVPVSSYSA-N 0 0 271.219 2.936 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H](C)CSC ZINC000835670151 629934303 /nfs/dbraw/zinc/93/43/03/629934303.db2.gz NUTUCKOYJOTPCR-SECBINFHSA-N 0 0 299.348 2.759 20 5 CFBDRN CCCCOCCOC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796649197 629945813 /nfs/dbraw/zinc/94/58/13/629945813.db2.gz PHFRUSDJDYZKBV-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN Cc1ccc(OC(=O)C[C@H]2CCC(=O)[C@H]2C)c([N+](=O)[O-])c1 ZINC000796648603 629945913 /nfs/dbraw/zinc/94/59/13/629945913.db2.gz CVMIKCCEOSAASC-WDEREUQCSA-N 0 0 291.303 2.814 20 5 CFBDRN CS[C@H](C)COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000835682252 629947884 /nfs/dbraw/zinc/94/78/84/629947884.db2.gz YNPAXIMQXXQZGJ-SECBINFHSA-N 0 0 269.322 2.812 20 5 CFBDRN C[C@H]1C(=O)CC[C@@H]1CC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000796777730 629964691 /nfs/dbraw/zinc/96/46/91/629964691.db2.gz PCURMBQYTWUCQG-RKDXNWHRSA-N 0 0 295.266 2.645 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@@H](C)CC(C)(C)C ZINC000835707733 629972332 /nfs/dbraw/zinc/97/23/32/629972332.db2.gz XSDSIDYTCBHXDP-JTQLQIEISA-N 0 0 297.355 2.858 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCCC2=CCCCC2)n1 ZINC000835721830 629984028 /nfs/dbraw/zinc/98/40/28/629984028.db2.gz WALKZLIQEFZWAV-UHFFFAOYSA-N 0 0 293.323 2.859 20 5 CFBDRN Cc1nn(C)c(C(=O)OCCC2=CCCCC2)c1[N+](=O)[O-] ZINC000835722627 629985051 /nfs/dbraw/zinc/98/50/51/629985051.db2.gz LCQFORSYMAEUNM-UHFFFAOYSA-N 0 0 293.323 2.684 20 5 CFBDRN CN(CC(=O)OC1CC2(CC2)C1)c1ccccc1[N+](=O)[O-] ZINC000835726417 629991405 /nfs/dbraw/zinc/99/14/05/629991405.db2.gz QSYULWIEUUTISA-UHFFFAOYSA-N 0 0 290.319 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCc2nccs2)c1 ZINC000796947843 629994067 /nfs/dbraw/zinc/99/40/67/629994067.db2.gz IBJRKGRAVVFADC-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN Cc1c(CC(=O)OCC2CC=CC2)cccc1[N+](=O)[O-] ZINC000797167263 630030922 /nfs/dbraw/zinc/03/09/22/630030922.db2.gz NMEYPWDMQUHVMZ-UHFFFAOYSA-N 0 0 275.304 2.955 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)[C@H](C)SC)ccc1[N+](=O)[O-] ZINC000797217445 630041825 /nfs/dbraw/zinc/04/18/25/630041825.db2.gz ZNBRGXXSODSRLZ-BDAKNGLRSA-N 0 0 299.348 2.900 20 5 CFBDRN COc1cccc(C(=O)O[C@@H](C)[C@@H](C)SC)c1[N+](=O)[O-] ZINC000797225281 630043271 /nfs/dbraw/zinc/04/32/71/630043271.db2.gz UYUHEBSXMMYKNL-DTWKUNHWSA-N 0 0 299.348 2.900 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC(F)(F)C2)c2nonc21 ZINC000797437100 630066036 /nfs/dbraw/zinc/06/60/36/630066036.db2.gz GHFPELSPHBNTSF-ZETCQYMHSA-N 0 0 298.249 2.978 20 5 CFBDRN CC(C)CN(C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000797452411 630068347 /nfs/dbraw/zinc/06/83/47/630068347.db2.gz IWENPJBFIGNLJP-UHFFFAOYSA-N 0 0 291.351 2.661 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)c1c[nH]cc1C ZINC000797473613 630071053 /nfs/dbraw/zinc/07/10/53/630071053.db2.gz YJTJFLOUZCLXCO-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C1(SC)CC1 ZINC000797476959 630071441 /nfs/dbraw/zinc/07/14/41/630071441.db2.gz BAAFMBMDGHFYQT-UHFFFAOYSA-N 0 0 297.332 2.542 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)/C=C/C(C)C ZINC000797477168 630071496 /nfs/dbraw/zinc/07/14/96/630071496.db2.gz FOFKIOLOXUACOO-QPJJXVBHSA-N 0 0 279.292 2.859 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H](F)C(C)C ZINC000797477536 630071518 /nfs/dbraw/zinc/07/15/18/630071518.db2.gz PKMWXBZXOAUSPZ-GFCCVEGCSA-N 0 0 285.271 2.641 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)CCC(F)F ZINC000797477485 630071653 /nfs/dbraw/zinc/07/16/53/630071653.db2.gz NAOSLGRRQDYCLJ-UHFFFAOYSA-N 0 0 289.234 2.692 20 5 CFBDRN C/C(=C/C(=O)N[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000797579028 630083383 /nfs/dbraw/zinc/08/33/83/630083383.db2.gz QJQUZCQXKGZTSI-RLKNZVFVSA-N 0 0 286.331 2.913 20 5 CFBDRN CNc1ccc(C(=O)O[C@@H](C)CCSC)cc1[N+](=O)[O-] ZINC000835820319 630087524 /nfs/dbraw/zinc/08/75/24/630087524.db2.gz XNUCPYYARJMCAC-VIFPVBQESA-N 0 0 298.364 2.935 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)CCSC ZINC000835821981 630090276 /nfs/dbraw/zinc/09/02/76/630090276.db2.gz RNYKKQHLJVCZNQ-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)c1[nH]ccc1C ZINC000797907694 630122465 /nfs/dbraw/zinc/12/24/65/630122465.db2.gz QUPRVSNZNIYDOJ-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN COc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)ccc1O ZINC000798097690 630150314 /nfs/dbraw/zinc/15/03/14/630150314.db2.gz RKPNNSKFAXGBNB-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cccc([N+](=O)[O-])c1NC)OC ZINC000835958875 630179923 /nfs/dbraw/zinc/17/99/23/630179923.db2.gz DUZVWFFYKGPGML-AWEZNQCLSA-N 0 0 296.323 2.608 20 5 CFBDRN C/C=C\C(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000798542074 630203484 /nfs/dbraw/zinc/20/34/84/630203484.db2.gz FZIAWUVHWWWXTJ-HYXAFXHYSA-N 0 0 250.254 2.508 20 5 CFBDRN C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)C1CC1 ZINC000798568004 630208153 /nfs/dbraw/zinc/20/81/53/630208153.db2.gz LIZAWOYIEOLKNY-SSDOTTSWSA-N 0 0 297.694 2.773 20 5 CFBDRN C[C@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(=O)C1CC1 ZINC000798631716 630218673 /nfs/dbraw/zinc/21/86/73/630218673.db2.gz XRSNHRIFGCYWLT-ZETCQYMHSA-N 0 0 297.694 2.773 20 5 CFBDRN C[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)C1CC1 ZINC000798657461 630224484 /nfs/dbraw/zinc/22/44/84/630224484.db2.gz ORSUEHPYKPGJQD-UWVGGRQHSA-N 0 0 291.303 2.609 20 5 CFBDRN O=C(OC[C@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cn1 ZINC000798921055 630261558 /nfs/dbraw/zinc/26/15/58/630261558.db2.gz QIJSMEZTVDYQOG-QMMMGPOBSA-N 0 0 286.234 2.582 20 5 CFBDRN O=C(OC[C@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])o1 ZINC000798919575 630261663 /nfs/dbraw/zinc/26/16/63/630261663.db2.gz HBCGRXYEADPUKD-ZETCQYMHSA-N 0 0 275.207 2.780 20 5 CFBDRN CC1(C)CCC[C@@H]1OC(=O)c1ccc([N+](=O)[O-])o1 ZINC000799453904 630309972 /nfs/dbraw/zinc/30/99/72/630309972.db2.gz BSSRFLVBVXEFSG-VIFPVBQESA-N 0 0 253.254 2.923 20 5 CFBDRN CC(C)(C(=O)O[C@@H]1CCCC1(C)C)n1cc([N+](=O)[O-])cn1 ZINC000799482723 630313506 /nfs/dbraw/zinc/31/35/06/630313506.db2.gz HUWFWQVHIGLQLX-LLVKDONJSA-N 0 0 295.339 2.648 20 5 CFBDRN CC(C)C1(COC(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000799671014 630332352 /nfs/dbraw/zinc/33/23/52/630332352.db2.gz KQLYACVYPKXHJA-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN CC(C)C1(COC(=O)C(C)(C)n2cc([N+](=O)[O-])cn2)CC1 ZINC000799672047 630332629 /nfs/dbraw/zinc/33/26/29/630332629.db2.gz ZHVIHWQIIBRVOU-UHFFFAOYSA-N 0 0 295.339 2.506 20 5 CFBDRN CSC1(COC(=O)c2cccc([N+](=O)[O-])c2C)CC1 ZINC000799682955 630334314 /nfs/dbraw/zinc/33/43/14/630334314.db2.gz JSTWGULGFPQOTL-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)c2csnn2)cc1[N+](=O)[O-] ZINC000836099249 630349605 /nfs/dbraw/zinc/34/96/05/630349605.db2.gz GRDIQHXOYBEYRA-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN CN(CCc1ccccc1F)c1ncc([N+](=O)[O-])s1 ZINC000799937047 630355216 /nfs/dbraw/zinc/35/52/16/630355216.db2.gz GMNKRFJGJUVWST-UHFFFAOYSA-N 0 0 281.312 2.869 20 5 CFBDRN COCC1(CNc2cc(OC)cc(F)c2[N+](=O)[O-])CCC1 ZINC000799964228 630356866 /nfs/dbraw/zinc/35/68/66/630356866.db2.gz WTFMCQNZDYZWJK-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000800297112 630368207 /nfs/dbraw/zinc/36/82/07/630368207.db2.gz BQIGNNJYVLRFHO-RWEMILLDSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)O1 ZINC000800300394 630368952 /nfs/dbraw/zinc/36/89/52/630368952.db2.gz PVSJIVULYWCPGY-KKFJDGPESA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])O1 ZINC000800303456 630369361 /nfs/dbraw/zinc/36/93/61/630369361.db2.gz AZCZKTHTFOUPTQ-KKFJDGPESA-N 0 0 297.282 2.591 20 5 CFBDRN Cc1cc(C)nc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)c1 ZINC000800818324 630408461 /nfs/dbraw/zinc/40/84/61/630408461.db2.gz RYAJARGRWFOZHL-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2CCc3c2cccc3O)c1 ZINC000800856863 630411547 /nfs/dbraw/zinc/41/15/47/630411547.db2.gz WWXXKGJBFLYIEP-GFCCVEGCSA-N 0 0 285.303 2.982 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000800854459 630411595 /nfs/dbraw/zinc/41/15/95/630411595.db2.gz GNLHMOKVHOWEHN-ZJUUUORDSA-N 0 0 267.354 2.873 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCc3c(F)cccc3C2)c1 ZINC000800861496 630412259 /nfs/dbraw/zinc/41/22/59/630412259.db2.gz OSEHDRHGDSSLOP-UHFFFAOYSA-N 0 0 287.294 2.879 20 5 CFBDRN CC1(C)CCC[C@](O)(CNc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000800869228 630412796 /nfs/dbraw/zinc/41/27/96/630412796.db2.gz FBWNFNYMJSVHBT-OAHLLOKOSA-N 0 0 293.367 2.920 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCCOC(F)F)c1 ZINC000800883430 630413861 /nfs/dbraw/zinc/41/38/61/630413861.db2.gz JYQSGHZWYYIPES-UHFFFAOYSA-N 0 0 294.229 2.784 20 5 CFBDRN Cc1cc(C(=O)Nc2nccn2C2CC2)ccc1[N+](=O)[O-] ZINC000839932057 630431133 /nfs/dbraw/zinc/43/11/33/630431133.db2.gz HDBTZQBUFKYIKI-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])o1)c1ccccc1 ZINC000801810165 630471657 /nfs/dbraw/zinc/47/16/57/630471657.db2.gz FCGGPLQZQIENAQ-LBPRGKRZSA-N 0 0 291.259 2.732 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000801935601 630491377 /nfs/dbraw/zinc/49/13/77/630491377.db2.gz HVTSVQFFOPFPBK-QMMMGPOBSA-N 0 0 295.314 2.625 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)C1=CCOCC1 ZINC000802087260 630501680 /nfs/dbraw/zinc/50/16/80/630501680.db2.gz KMTVYJKOBYIZTC-UHFFFAOYSA-N 0 0 297.694 2.638 20 5 CFBDRN CC1(C)CC(COC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000802294064 630524626 /nfs/dbraw/zinc/52/46/26/630524626.db2.gz LNBCWDXLAVXCKZ-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOCC23CC3)cc1Cl ZINC000802658468 630536679 /nfs/dbraw/zinc/53/66/79/630536679.db2.gz DCKVJZVSIKPTBU-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@@H]1CCCCS1 ZINC000802920886 630565197 /nfs/dbraw/zinc/56/51/97/630565197.db2.gz MZNPKXXFIDJOJP-ZDUSSCGKSA-N 0 0 295.360 2.966 20 5 CFBDRN C[C@@H](CC1CCOCC1)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000803010892 630577434 /nfs/dbraw/zinc/57/74/34/630577434.db2.gz QYDJTEFVQTUROD-NSHDSACASA-N 0 0 293.319 2.957 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000803029485 630579532 /nfs/dbraw/zinc/57/95/32/630579532.db2.gz LEWKBSKBQHVNLS-QKCSRTOESA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1cc(C(=O)OCC2CCC(=O)CC2)ccc1[N+](=O)[O-] ZINC000803227514 630591682 /nfs/dbraw/zinc/59/16/82/630591682.db2.gz JWAZCMUHLIWXKG-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCCCF ZINC000803240789 630593689 /nfs/dbraw/zinc/59/36/89/630593689.db2.gz BKEGMCFXHHUFAO-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCCCCF ZINC000803245767 630594803 /nfs/dbraw/zinc/59/48/03/630594803.db2.gz GRLXQUOYEPYAIG-UHFFFAOYSA-N 0 0 255.245 2.810 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)c1ccoc1 ZINC000803266392 630596729 /nfs/dbraw/zinc/59/67/29/630596729.db2.gz QXOULNIABHQDDM-UHFFFAOYSA-N 0 0 283.186 2.823 20 5 CFBDRN COCCCCOC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000803413163 630614815 /nfs/dbraw/zinc/61/48/15/630614815.db2.gz GVMSZAKWDNLKCU-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN O=C(OCCCCF)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000803423813 630616546 /nfs/dbraw/zinc/61/65/46/630616546.db2.gz XAAWMOCRRGCUCX-UHFFFAOYSA-N 0 0 291.225 2.980 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@@H]2CC[C@H](C)O2)c1F ZINC000803458829 630619882 /nfs/dbraw/zinc/61/98/82/630619882.db2.gz NSNPSEMRXRMTNW-ONGXEEELSA-N 0 0 297.282 2.767 20 5 CFBDRN CCCC(=O)COC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803461047 630620295 /nfs/dbraw/zinc/62/02/95/630620295.db2.gz TZKUIXDLBSQJOX-UHFFFAOYSA-N 0 0 283.255 2.568 20 5 CFBDRN CC(F)(F)CC(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803470208 630620898 /nfs/dbraw/zinc/62/08/98/630620898.db2.gz XUEIHKSSQBBWRT-UHFFFAOYSA-N 0 0 295.188 2.962 20 5 CFBDRN CC1(C)CCC[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])C1=O ZINC000840003554 630622249 /nfs/dbraw/zinc/62/22/49/630622249.db2.gz JLYYDJGLSXYZNX-GFCCVEGCSA-N 0 0 291.303 2.899 20 5 CFBDRN CC[C@@H](CO)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000803617923 630631134 /nfs/dbraw/zinc/63/11/34/630631134.db2.gz ZTIVZBDTSPYXQI-JTQLQIEISA-N 0 0 294.351 2.849 20 5 CFBDRN O=C(Nc1ccc(F)cn1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000112667903 635399341 /nfs/dbraw/zinc/39/93/41/635399341.db2.gz VUPJGHOADKDPPU-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804315241 630670893 /nfs/dbraw/zinc/67/08/93/630670893.db2.gz IFQWTGWWWNVJJE-NXEZZACHSA-N 0 0 279.296 2.985 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1Oc1cccnc1 ZINC000804329491 630672515 /nfs/dbraw/zinc/67/25/15/630672515.db2.gz MBAGOZPEAUWEPA-UHFFFAOYSA-N 0 0 261.193 2.690 20 5 CFBDRN Nc1ccc(NC[C@H]2Cc3ccccc3O2)c([N+](=O)[O-])c1 ZINC000804345856 630674334 /nfs/dbraw/zinc/67/43/34/630674334.db2.gz NUENTRHVNLOLAP-GFCCVEGCSA-N 0 0 285.303 2.593 20 5 CFBDRN CCO[C@@H]1CCCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804377974 630677226 /nfs/dbraw/zinc/67/72/26/630677226.db2.gz WMWWPQWRTDKNGA-SNVBAGLBSA-N 0 0 295.295 2.508 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H](O)CC(C)C ZINC000804392861 630678938 /nfs/dbraw/zinc/67/89/38/630678938.db2.gz SLDUOVRRNUBXOJ-GFCCVEGCSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(=O)c1cc(NCCOC2CCC2)ccc1[N+](=O)[O-] ZINC000804521444 630689306 /nfs/dbraw/zinc/68/93/06/630689306.db2.gz RTZKTTBJXDIZRL-UHFFFAOYSA-N 0 0 278.308 2.778 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1Oc1ccc(F)nc1 ZINC000804564139 630693014 /nfs/dbraw/zinc/69/30/14/630693014.db2.gz MYEXMTNARXOFOF-UHFFFAOYSA-N 0 0 279.183 2.829 20 5 CFBDRN CNC(=O)[C@H]1CCC[C@@H]1Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804586938 630761792 /nfs/dbraw/zinc/76/17/92/630761792.db2.gz SCXCQMPESROZEI-UWVGGRQHSA-N 0 0 297.742 2.575 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@@H]1C ZINC000804587317 630761861 /nfs/dbraw/zinc/76/18/61/630761861.db2.gz KYTCQGUICFYRJO-ZJUUUORDSA-N 0 0 279.296 2.985 20 5 CFBDRN Nc1ccc(N2CCC(c3cccnc3)CC2)c([N+](=O)[O-])c1 ZINC000804588678 630761963 /nfs/dbraw/zinc/76/19/63/630761963.db2.gz NPISFAAWXFGYRU-UHFFFAOYSA-N 0 0 298.346 2.956 20 5 CFBDRN Cc1nnc(CNc2ccc(Cl)c([N+](=O)[O-])c2)o1 ZINC000836209660 630772126 /nfs/dbraw/zinc/77/21/26/630772126.db2.gz DKRJXVODGTYDFQ-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN CSCCNC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000836231829 630776418 /nfs/dbraw/zinc/77/64/18/630776418.db2.gz XEVWJYMKOPKBLB-UHFFFAOYSA-N 0 0 288.756 2.649 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NOCCC1CC1 ZINC000836523624 630839877 /nfs/dbraw/zinc/83/98/77/630839877.db2.gz LKTNKELKQXRHLN-UHFFFAOYSA-N 0 0 279.296 2.756 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CC[C@H](F)C1 ZINC000836598598 630857140 /nfs/dbraw/zinc/85/71/40/630857140.db2.gz CAPKSLHLNZREMB-ZETCQYMHSA-N 0 0 287.678 2.824 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CCCCO2)cc1Cl ZINC000836783114 630881388 /nfs/dbraw/zinc/88/13/88/630881388.db2.gz DEIJVMJHDODIGN-UHFFFAOYSA-N 0 0 284.699 2.724 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CC(C)C2)cc1Cl ZINC000836785554 630882857 /nfs/dbraw/zinc/88/28/57/630882857.db2.gz QPJZCBVMQKPRCL-UHFFFAOYSA-N 0 0 268.700 2.649 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])OC/C=C\Cl ZINC000807519119 630891395 /nfs/dbraw/zinc/89/13/95/630891395.db2.gz WSXIEZOAMZYFGU-UTCJRWHESA-N 0 0 287.724 2.983 20 5 CFBDRN C/C(=C\C(=O)N1C[C@@H](F)[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000836820259 630891612 /nfs/dbraw/zinc/89/16/12/630891612.db2.gz UJMGSPDEHKRXAH-DNMVVKLTSA-N 0 0 296.273 2.517 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCCCCCCC2)c1[N+](=O)[O-] ZINC000836926129 630920187 /nfs/dbraw/zinc/92/01/87/630920187.db2.gz ZKSNURXQSBEAMR-UHFFFAOYSA-N 0 0 294.355 2.677 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H](O)CC(C)C ZINC000807950951 630925679 /nfs/dbraw/zinc/92/56/79/630925679.db2.gz ZWHCFCJEKVSPPQ-LBPRGKRZSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1cccc(F)n1 ZINC000808003065 630938489 /nfs/dbraw/zinc/93/84/89/630938489.db2.gz SBLQAAFFJBQQBL-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CC[C@H](O)CC1 ZINC000808005560 630940099 /nfs/dbraw/zinc/94/00/99/630940099.db2.gz HHGAWOCYRFDNCS-UMSPYCQHSA-N 0 0 278.308 2.513 20 5 CFBDRN Cc1ccc(OC(=O)c2ocnc2C2CC2)c([N+](=O)[O-])c1 ZINC000808021710 630942857 /nfs/dbraw/zinc/94/28/57/630942857.db2.gz CCYPGPIWCXZLIW-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN COc1cc(Oc2ccncc2[N+](=O)[O-])ccc1[N+](=O)[O-] ZINC000808056128 630945458 /nfs/dbraw/zinc/94/54/58/630945458.db2.gz DMMQFTFQYBDZKU-UHFFFAOYSA-N 0 0 291.219 2.699 20 5 CFBDRN Nc1ccc(NCCC2(F)CCC2)c([N+](=O)[O-])c1 ZINC000808075791 630948352 /nfs/dbraw/zinc/94/83/52/630948352.db2.gz AZZXSFREVDHTBH-UHFFFAOYSA-N 0 0 253.277 2.871 20 5 CFBDRN CC(C)=CCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000808514257 631006096 /nfs/dbraw/zinc/00/60/96/631006096.db2.gz YAUFMTNTXATLJB-UHFFFAOYSA-N 0 0 253.229 2.857 20 5 CFBDRN CC(C)=CCOC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000808525321 631007578 /nfs/dbraw/zinc/00/75/78/631007578.db2.gz ZWABQMDACXAFAU-UHFFFAOYSA-N 0 0 271.219 2.996 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1cc(F)ccc1[N+](=O)[O-] ZINC000837476271 631042701 /nfs/dbraw/zinc/04/27/01/631042701.db2.gz UOTIAKLSZKQWEA-UHFFFAOYSA-N 0 0 289.209 2.936 20 5 CFBDRN CN(C(=O)[C@@H](F)c1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000808794069 631049563 /nfs/dbraw/zinc/04/95/63/631049563.db2.gz FRFVOBLEGOEVFL-ZDUSSCGKSA-N 0 0 289.266 2.663 20 5 CFBDRN Cc1cnc(CNc2c(C)c([N+](=O)[O-])ccc2F)nc1 ZINC000809189903 631094833 /nfs/dbraw/zinc/09/48/33/631094833.db2.gz SDPLKQMCBLYGAM-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN O=C1OCc2c1cccc2NCc1ccccc1[N+](=O)[O-] ZINC000809201556 631096764 /nfs/dbraw/zinc/09/67/64/631096764.db2.gz ZIOAEYDKESIEOG-UHFFFAOYSA-N 0 0 284.271 2.877 20 5 CFBDRN CC(C)[C@H](F)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000809235760 631102646 /nfs/dbraw/zinc/10/26/46/631102646.db2.gz OKOWQWIDHYUERR-JTQLQIEISA-N 0 0 280.259 2.894 20 5 CFBDRN CC(=O)N1CC[C@H](CNc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000809339594 631108041 /nfs/dbraw/zinc/10/80/41/631108041.db2.gz ADVFLMZYONYSFS-SNVBAGLBSA-N 0 0 297.742 2.529 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H](O)c1ccccc1 ZINC000809342063 631108084 /nfs/dbraw/zinc/10/80/84/631108084.db2.gz BKZFIWDPTPBPSD-ZDUSSCGKSA-N 0 0 288.303 2.749 20 5 CFBDRN Cc1nn(C[N@@H+]2CCC[C@@H]2C2CCC2)c(C)c1[N+](=O)[O-] ZINC000102886087 631115818 /nfs/dbraw/zinc/11/58/18/631115818.db2.gz DYHXXRMMZFMLGT-CYBMUJFWSA-N 0 0 278.356 2.630 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1cnc(C2CC2)nc1 ZINC000837887948 631147821 /nfs/dbraw/zinc/14/78/21/631147821.db2.gz AJMCXDNXTVJGPT-UHFFFAOYSA-N 0 0 299.286 2.619 20 5 CFBDRN CCOc1ccccc1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837924091 631151486 /nfs/dbraw/zinc/15/14/86/631151486.db2.gz QKAVUSBBZBQFIQ-UHFFFAOYSA-N 0 0 295.335 2.964 20 5 CFBDRN CC(C)(CCC(=O)OCC(=O)C1CCCCCC1)[N+](=O)[O-] ZINC000837925626 631151565 /nfs/dbraw/zinc/15/15/65/631151565.db2.gz QUZIJACMJYYQGT-UHFFFAOYSA-N 0 0 299.367 2.905 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1cc([N+](=O)[O-])ccc1OC ZINC000837983364 631157910 /nfs/dbraw/zinc/15/79/10/631157910.db2.gz WYWCAEWCNWSXJJ-BXKDBHETSA-N 0 0 279.292 2.693 20 5 CFBDRN O=C(OCc1ccncc1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840655674 631173299 /nfs/dbraw/zinc/17/32/99/631173299.db2.gz GVMNARYSNYFSFI-LSDHHAIUSA-N 0 0 298.298 2.837 20 5 CFBDRN COCCCCOC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840656444 631173526 /nfs/dbraw/zinc/17/35/26/631173526.db2.gz LKZAXDYGQPYOQJ-UONOGXRCSA-N 0 0 293.319 2.668 20 5 CFBDRN COCC[C@H](C)OC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840656073 631173546 /nfs/dbraw/zinc/17/35/46/631173546.db2.gz JNAKUUMRCXHCTQ-LEWSCRJBSA-N 0 0 293.319 2.667 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840656614 631173726 /nfs/dbraw/zinc/17/37/26/631173726.db2.gz WJBDJTFZVQNCOM-TVYUQYBPSA-N 0 0 291.303 2.609 20 5 CFBDRN Cc1cn(C)nc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000840689839 631174781 /nfs/dbraw/zinc/17/47/81/631174781.db2.gz IHTWOWOYZIBUDN-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2Cc3ccncc3C2)c1 ZINC000809565149 631236380 /nfs/dbraw/zinc/23/63/80/631236380.db2.gz VIIISVZASJOGPR-UHFFFAOYSA-N 0 0 255.277 2.506 20 5 CFBDRN Nc1ccc(C(=O)Oc2cccc(F)c2)cc1[N+](=O)[O-] ZINC000042630344 649999683 /nfs/dbraw/zinc/99/96/83/649999683.db2.gz STVYWZUUBNKVCB-UHFFFAOYSA-N 0 0 276.223 2.535 20 5 CFBDRN CCN(Cn1cc([N+](=O)[O-])c(C)n1)C1CC(C)(C)C1 ZINC000840184681 631286959 /nfs/dbraw/zinc/28/69/59/631286959.db2.gz RXKUVFYOGVFGLZ-UHFFFAOYSA-N 0 0 266.345 2.568 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1cncs1 ZINC000809785581 631289280 /nfs/dbraw/zinc/28/92/80/631289280.db2.gz YSZMKDMLPKENKA-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CC1CN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000809912877 631299846 /nfs/dbraw/zinc/29/98/46/631299846.db2.gz NDLDKHMFLRAZKM-UHFFFAOYSA-N 0 0 269.688 2.732 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C1SCCCS1 ZINC000841001916 631300324 /nfs/dbraw/zinc/30/03/24/631300324.db2.gz ZKQLRWLLUPVGOC-UHFFFAOYSA-N 0 0 285.346 2.696 20 5 CFBDRN CCO/C=C/C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000841015343 631307109 /nfs/dbraw/zinc/30/71/09/631307109.db2.gz DCXNEOSZWXLZBI-AATRIKPKSA-N 0 0 265.265 2.667 20 5 CFBDRN CC[C@H](O)c1nccn1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000810002797 631313165 /nfs/dbraw/zinc/31/31/65/631313165.db2.gz AJDLCDNHNOUUMV-LBPRGKRZSA-N 0 0 295.726 2.936 20 5 CFBDRN O=Cc1cccc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)c1 ZINC000759373922 631366876 /nfs/dbraw/zinc/36/68/76/631366876.db2.gz UPQZHROMGMLZBJ-UHFFFAOYSA-N 0 0 299.282 2.807 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000841336822 631388294 /nfs/dbraw/zinc/38/82/94/631388294.db2.gz RCQXFZXWQCZUOR-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN CCc1c(C(=O)OCc2cccc([N+](=O)[O-])c2)ccn1C ZINC000810558250 631391150 /nfs/dbraw/zinc/39/11/50/631391150.db2.gz HKUBWZDEUOPSRC-UHFFFAOYSA-N 0 0 288.303 2.853 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1CCCSC1 ZINC000810556430 631391242 /nfs/dbraw/zinc/39/12/42/631391242.db2.gz LXNSDLMEJCNVCQ-VIFPVBQESA-N 0 0 299.323 2.920 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N)[C@H]1CC1(C)C ZINC000841449191 631405818 /nfs/dbraw/zinc/40/58/18/631405818.db2.gz BHIQTPULWHTHHY-YPMHNXCESA-N 0 0 291.351 2.732 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OCCC1(O)CCC1 ZINC000840209839 631446238 /nfs/dbraw/zinc/44/62/38/631446238.db2.gz DXQUGFNGJKQFLY-UHFFFAOYSA-N 0 0 271.700 2.932 20 5 CFBDRN Cc1ncccc1COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000810890067 631469983 /nfs/dbraw/zinc/46/99/83/631469983.db2.gz PQKRSUMCAOLWHE-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN CC[C@@H](CNC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1)OC ZINC000810970600 631488385 /nfs/dbraw/zinc/48/83/85/631488385.db2.gz LSKJPGCNLOELFJ-MARXPDLDSA-N 0 0 292.335 2.539 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000811032736 631501865 /nfs/dbraw/zinc/50/18/65/631501865.db2.gz HRBJNAGOAPIYIQ-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN Cc1ncccc1COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000811086366 631513606 /nfs/dbraw/zinc/51/36/06/631513606.db2.gz DYRDKCNHQMYAHY-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC[C@H](COC(=O)c1cc(Cl)ccc1[N+](=O)[O-])OC ZINC000842056365 631516523 /nfs/dbraw/zinc/51/65/23/631516523.db2.gz YVCAICXSGSAZCZ-SECBINFHSA-N 0 0 287.699 2.830 20 5 CFBDRN CC[C@H](COC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000842090164 631535231 /nfs/dbraw/zinc/53/52/31/631535231.db2.gz SFDUPEMHQVTPEQ-RBJHHQRMSA-N 0 0 293.319 2.966 20 5 CFBDRN CC[C@@H](COC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000842090162 631535549 /nfs/dbraw/zinc/53/55/49/631535549.db2.gz SFDUPEMHQVTPEQ-MARXPDLDSA-N 0 0 293.319 2.966 20 5 CFBDRN CC[C@@H](COC(=O)c1cc([N+](=O)[O-])cc(C)c1F)OC ZINC000842092850 631536118 /nfs/dbraw/zinc/53/61/18/631536118.db2.gz MYBNNGHGGHIGFX-JTQLQIEISA-N 0 0 285.271 2.624 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1=O ZINC000840228570 631536190 /nfs/dbraw/zinc/53/61/90/631536190.db2.gz QGBILUDMOGVMCW-LLVKDONJSA-N 0 0 295.266 2.648 20 5 CFBDRN CCC(CC)(CO)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000842106417 631543503 /nfs/dbraw/zinc/54/35/03/631543503.db2.gz QHSHGYNKJFFZDA-LLVKDONJSA-N 0 0 295.335 2.998 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000842115903 631547454 /nfs/dbraw/zinc/54/74/54/631547454.db2.gz KOAFKETUJSKXFV-ZDUSSCGKSA-N 0 0 299.298 2.838 20 5 CFBDRN Cc1ccc(O)cc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000811313430 631550130 /nfs/dbraw/zinc/55/01/30/631550130.db2.gz MSDTYIQLGMKZNJ-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN Cc1ccc(CN2CC(O)(c3ccccc3)C2)cc1[N+](=O)[O-] ZINC000811508920 631573451 /nfs/dbraw/zinc/57/34/51/631573451.db2.gz LCGBWPXXNNJBSW-UHFFFAOYSA-N 0 0 298.342 2.607 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842296971 631581707 /nfs/dbraw/zinc/58/17/07/631581707.db2.gz ZKOKJRXJWPQLFH-PCGAWMICSA-N 0 0 275.304 2.898 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842296972 631581796 /nfs/dbraw/zinc/58/17/96/631581796.db2.gz ZKOKJRXJWPQLFH-QIRAZROLSA-N 0 0 275.304 2.898 20 5 CFBDRN COCC(C)(C)CC(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000842319791 631590920 /nfs/dbraw/zinc/59/09/20/631590920.db2.gz CIYIGFSTONDIHO-UHFFFAOYSA-N 0 0 295.335 2.743 20 5 CFBDRN CC(C)Oc1cc(C(=O)OC2CC2)ccc1[N+](=O)[O-] ZINC000842342002 631598558 /nfs/dbraw/zinc/59/85/58/631598558.db2.gz UVRNEEJFURBOPM-UHFFFAOYSA-N 0 0 265.265 2.701 20 5 CFBDRN Cc1cc(OS(=O)(=O)CCC(C)C)ccc1[N+](=O)[O-] ZINC000104733538 631600890 /nfs/dbraw/zinc/60/08/90/631600890.db2.gz GBISCQQHQMSEPV-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN CC[C@H](CNc1cc(OC)cc(F)c1[N+](=O)[O-])OC ZINC000811864378 631634228 /nfs/dbraw/zinc/63/42/28/631634228.db2.gz YKVCNEMWHNETML-MRVPVSSYSA-N 0 0 272.276 2.579 20 5 CFBDRN Cc1cc(Cl)ccc1Cc1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000842497612 631646038 /nfs/dbraw/zinc/64/60/38/631646038.db2.gz FCRFPGAHIIAJSC-GHMZBOCLSA-N 0 0 293.710 2.755 20 5 CFBDRN CC(C)[C@](C)(O)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000842496994 631646137 /nfs/dbraw/zinc/64/61/37/631646137.db2.gz KACXCTJNFOPNBK-NSHDSACASA-N 0 0 283.309 2.570 20 5 CFBDRN CC(F)(F)c1cccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)c1 ZINC000842508306 631650006 /nfs/dbraw/zinc/65/00/06/631650006.db2.gz OQPCHCSGVUJYMU-NXEZZACHSA-N 0 0 295.245 2.981 20 5 CFBDRN NC(CC1CC1)=NOCc1ccc([N+](=O)[O-])c(F)c1 ZINC000842649592 631691989 /nfs/dbraw/zinc/69/19/89/631691989.db2.gz OSJHVIZFMXJQNP-UHFFFAOYSA-N 0 0 267.260 2.532 20 5 CFBDRN Cc1cc(N[C@H](CCO)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000812459778 631722039 /nfs/dbraw/zinc/72/20/39/631722039.db2.gz FZZLUUIAOOZORO-LLVKDONJSA-N 0 0 268.288 2.615 20 5 CFBDRN Cc1cc(NC2COC(C)(C)OC2)c(F)cc1[N+](=O)[O-] ZINC000812465199 631722461 /nfs/dbraw/zinc/72/24/61/631722461.db2.gz ONHSRKPNIPOABI-UHFFFAOYSA-N 0 0 284.287 2.606 20 5 CFBDRN O=C(OCCSc1ccc([N+](=O)[O-])cc1)C1(F)CC1 ZINC000842754656 631729703 /nfs/dbraw/zinc/72/97/03/631729703.db2.gz RXZSWJMPKQTYBO-UHFFFAOYSA-N 0 0 285.296 2.732 20 5 CFBDRN Cc1ccc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)n1C ZINC000155568503 650026209 /nfs/dbraw/zinc/02/62/09/650026209.db2.gz GRNWRVCBDOBZEM-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000812621917 631746030 /nfs/dbraw/zinc/74/60/30/631746030.db2.gz DFAMTANDUYEGBL-NWDGAFQWSA-N 0 0 295.335 2.599 20 5 CFBDRN C/C=C(/C)COC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000842848015 631763542 /nfs/dbraw/zinc/76/35/42/631763542.db2.gz FIWCDKMJWHYJJG-WTKPLQERSA-N 0 0 265.265 2.726 20 5 CFBDRN CC(C)(CCc1noc([C@H]2C[C@@H]2C2CC2)n1)[N+](=O)[O-] ZINC000812811801 631788386 /nfs/dbraw/zinc/78/83/86/631788386.db2.gz KAVSOYIXKXBYNU-ZJUUUORDSA-N 0 0 265.313 2.571 20 5 CFBDRN CCO[C@@H](Cc1nc(CCC(C)(C)[N+](=O)[O-])no1)C(C)C ZINC000812814922 631789389 /nfs/dbraw/zinc/78/93/89/631789389.db2.gz UYXFPFPGQDSNMQ-NSHDSACASA-N 0 0 299.371 2.661 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812814033 631789654 /nfs/dbraw/zinc/78/96/54/631789654.db2.gz UFEINXBMTVMUCB-MWLCHTKSSA-N 0 0 295.339 2.530 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CCO)c2cccs2)c(F)c1 ZINC000840269113 631789727 /nfs/dbraw/zinc/78/97/27/631789727.db2.gz XJCAFIYDXREJHT-SNVBAGLBSA-N 0 0 297.311 2.726 20 5 CFBDRN CC(C)c1ocnc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812813579 631789784 /nfs/dbraw/zinc/78/97/84/631789784.db2.gz LHFSFRXBULKDJM-UHFFFAOYSA-N 0 0 294.311 2.836 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000813091262 631833078 /nfs/dbraw/zinc/83/30/78/631833078.db2.gz XJOQCPYUNRUXAZ-MWLCHTKSSA-N 0 0 279.292 2.945 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H](C)[N+](=O)[O-])c(C)n1C(C)C ZINC000813100147 631836978 /nfs/dbraw/zinc/83/69/78/631836978.db2.gz NYVMESYKYJUARD-SNVBAGLBSA-N 0 0 268.313 2.508 20 5 CFBDRN C[C@@H](COC(=O)CC(C)(C)c1ccccc1)[N+](=O)[O-] ZINC000813100341 631837030 /nfs/dbraw/zinc/83/70/30/631837030.db2.gz RBDVTNPIIULXES-NSHDSACASA-N 0 0 265.309 2.563 20 5 CFBDRN C[C@H](COC(=O)c1cc2cccc(Cl)c2o1)[N+](=O)[O-] ZINC000813105134 631839801 /nfs/dbraw/zinc/83/98/01/631839801.db2.gz WCCGICKQBSKRIH-SSDOTTSWSA-N 0 0 283.667 2.908 20 5 CFBDRN CC[C@@H](C(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000813104240 631839961 /nfs/dbraw/zinc/83/99/61/631839961.db2.gz KQHHGGFWHNILRP-JOYOIKCWSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@H](COC(=O)c1coc(C2CCCCC2)n1)[N+](=O)[O-] ZINC000813105148 631840330 /nfs/dbraw/zinc/84/03/30/631840330.db2.gz WGGKOZHQSSRJFN-SECBINFHSA-N 0 0 282.296 2.544 20 5 CFBDRN CCC[C@@H](C(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813107067 631841240 /nfs/dbraw/zinc/84/12/40/631841240.db2.gz LNKILTNSIVCEPC-WCQYABFASA-N 0 0 265.309 2.779 20 5 CFBDRN Cc1oc(-c2cccs2)nc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813105695 631841430 /nfs/dbraw/zinc/84/14/30/631841430.db2.gz RWJQOULKRKEKEE-ZETCQYMHSA-N 0 0 296.304 2.534 20 5 CFBDRN C[C@@H](COC(=O)CCSc1ccc(F)cc1)[N+](=O)[O-] ZINC000813110462 631842641 /nfs/dbraw/zinc/84/26/41/631842641.db2.gz BDKASJPDUCZONS-VIFPVBQESA-N 0 0 287.312 2.516 20 5 CFBDRN C[C@H](COC(=O)CCSc1ccc(F)cc1)[N+](=O)[O-] ZINC000813110461 631842898 /nfs/dbraw/zinc/84/28/98/631842898.db2.gz BDKASJPDUCZONS-SECBINFHSA-N 0 0 287.312 2.516 20 5 CFBDRN COc1cc2ccccc2cc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813108503 631843069 /nfs/dbraw/zinc/84/30/69/631843069.db2.gz ZCBSWVJDDFTIFR-SNVBAGLBSA-N 0 0 289.287 2.670 20 5 CFBDRN CCCCOc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813107816 631843602 /nfs/dbraw/zinc/84/36/02/631843602.db2.gz XCFUVJBLVJFLRP-NSHDSACASA-N 0 0 281.308 2.688 20 5 CFBDRN C[C@@H](CC(=O)OC[C@H](C)[N+](=O)[O-])C1CCCCC1 ZINC000813110813 631844201 /nfs/dbraw/zinc/84/42/01/631844201.db2.gz JZEYZKVRRDPJTB-QWRGUYRKSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@@H](COC(=O)c1cc2cc(Cl)ccc2o1)[N+](=O)[O-] ZINC000813115042 631845715 /nfs/dbraw/zinc/84/57/15/631845715.db2.gz ZQIGWFVQUONMLJ-ZETCQYMHSA-N 0 0 283.667 2.908 20 5 CFBDRN Cc1nc(C(C)C)oc1COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000813219994 631883761 /nfs/dbraw/zinc/88/37/61/631883761.db2.gz MWFAJSURCXKGIG-UHFFFAOYSA-N 0 0 294.263 2.965 20 5 CFBDRN CC1CC(OC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)C1 ZINC000813229478 631887034 /nfs/dbraw/zinc/88/70/34/631887034.db2.gz XRSMANISAGLZRD-UHFFFAOYSA-N 0 0 288.303 2.891 20 5 CFBDRN COc1ccc(C(=O)OC2CC(C)C2)cc1[N+](=O)[O-] ZINC000813231663 631888018 /nfs/dbraw/zinc/88/80/18/631888018.db2.gz QGWYJFZRBWNAID-UHFFFAOYSA-N 0 0 265.265 2.559 20 5 CFBDRN CN(C)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000813403508 631918006 /nfs/dbraw/zinc/91/80/06/631918006.db2.gz BQJTYGVIJWGIEJ-UHFFFAOYSA-N 0 0 263.080 2.603 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCC[C@H]1CCOC1 ZINC000843300348 631922151 /nfs/dbraw/zinc/92/21/51/631922151.db2.gz KBSXCIBZWBTULG-NSHDSACASA-N 0 0 279.340 2.865 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC[C@@H]1CCO[C@H](C)C1 ZINC000843301094 631922348 /nfs/dbraw/zinc/92/23/48/631922348.db2.gz SYASFKDFIJLWDP-GHMZBOCLSA-N 0 0 279.340 2.864 20 5 CFBDRN CCn1ccc(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])n1 ZINC000843519923 631974223 /nfs/dbraw/zinc/97/42/23/631974223.db2.gz NJPXQHCQWCDKCW-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN O=C(N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1)C1(F)CC1 ZINC000843557248 631986150 /nfs/dbraw/zinc/98/61/50/631986150.db2.gz PRJSFQOTTDIKJW-NSHDSACASA-N 0 0 296.323 2.671 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@@H]1CCCCC1(C)C ZINC000814036715 631997880 /nfs/dbraw/zinc/99/78/80/631997880.db2.gz JRELPDLOCKFSMP-QWRGUYRKSA-N 0 0 257.330 2.801 20 5 CFBDRN CCc1ccc2occ(C(=O)O[C@@H](C)C[N+](=O)[O-])c2c1 ZINC000814037218 631998036 /nfs/dbraw/zinc/99/80/36/631998036.db2.gz ULFMWMOLKZHIBP-VIFPVBQESA-N 0 0 277.276 2.817 20 5 CFBDRN CC(C)c1ccc(CCC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814037947 631998095 /nfs/dbraw/zinc/99/80/95/631998095.db2.gz JSIIDGGZWXVFHV-GFCCVEGCSA-N 0 0 279.336 2.951 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(C(C)(C)C)s1 ZINC000814037830 631998134 /nfs/dbraw/zinc/99/81/34/631998134.db2.gz HIMLUPDSDWGPOM-QMMMGPOBSA-N 0 0 271.338 2.868 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@@H](C)C1CCCCC1 ZINC000814036353 631998148 /nfs/dbraw/zinc/99/81/48/631998148.db2.gz WGAYHHQVQOCXFA-GHMZBOCLSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1ccc2c(CCC(=O)O[C@H](C)C[N+](=O)[O-])c[nH]c2c1 ZINC000814038675 631998608 /nfs/dbraw/zinc/99/86/08/631998608.db2.gz SJAWKFUJGDXWBC-LLVKDONJSA-N 0 0 290.319 2.617 20 5 CFBDRN Cc1ccc(C(=O)CCCC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814038743 631998734 /nfs/dbraw/zinc/99/87/34/631998734.db2.gz GYGQTGNBPJILCL-LBPRGKRZSA-N 0 0 293.319 2.556 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@H](C)c1ccc(Cl)s1 ZINC000814038897 631998830 /nfs/dbraw/zinc/99/88/30/631998830.db2.gz VALTXUGRLGYOEM-NKWVEPMBSA-N 0 0 277.729 2.713 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000814040312 631998842 /nfs/dbraw/zinc/99/88/42/631998842.db2.gz FOOYCAQSZFNJEY-VGMNWLOBSA-N 0 0 283.246 2.564 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccco2)s1 ZINC000814041632 631999360 /nfs/dbraw/zinc/99/93/60/631999360.db2.gz RCMZMYJVQVUPJP-MRVPVSSYSA-N 0 0 281.289 2.830 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCC[C@H]2CCCC[C@@H]21 ZINC000814041683 631999463 /nfs/dbraw/zinc/99/94/63/631999463.db2.gz RYLYBHVHMREFFG-FVCCEPFGSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C\Sc1ccccc1 ZINC000814041917 631999655 /nfs/dbraw/zinc/99/96/55/631999655.db2.gz SWOZTGWQDMRJTL-GQYWMQPJSA-N 0 0 267.306 2.501 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1-n1cccc1 ZINC000814043227 632000532 /nfs/dbraw/zinc/00/05/32/632000532.db2.gz UZIUSHJZSRBSGO-LBPRGKRZSA-N 0 0 288.303 2.608 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1c(Cl)c2ccccc2n1C ZINC000814044288 632000630 /nfs/dbraw/zinc/00/06/30/632000630.db2.gz OTPOAMKTHIPRBH-MRVPVSSYSA-N 0 0 296.710 2.654 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1cccc(F)c1 ZINC000814044275 632000673 /nfs/dbraw/zinc/00/06/73/632000673.db2.gz OOXWYOMMCJEORJ-UWVGGRQHSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc(Cl)ccc1Cl ZINC000814047315 632001033 /nfs/dbraw/zinc/00/10/33/632001033.db2.gz SOFZBOPDZUJCIW-LURJTMIESA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1coc(C2CCCCC2)n1 ZINC000814047758 632001049 /nfs/dbraw/zinc/00/10/49/632001049.db2.gz XLQHXIVTIDHTKT-SECBINFHSA-N 0 0 282.296 2.544 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1c(Cl)cccc1Cl ZINC000814046362 632001092 /nfs/dbraw/zinc/00/10/92/632001092.db2.gz JHBPLXJRGMYSHM-SSDOTTSWSA-N 0 0 292.118 2.744 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H](C)CCc1cccc(F)c1 ZINC000814045178 632001123 /nfs/dbraw/zinc/00/11/23/632001123.db2.gz YKCIJZSTAOCVFX-QWRGUYRKSA-N 0 0 283.299 2.603 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(C(F)(F)F)c(F)c1 ZINC000814045702 632001411 /nfs/dbraw/zinc/00/14/11/632001411.db2.gz XRZIIMCYZSUHNT-ZCFIWIBFSA-N 0 0 295.188 2.667 20 5 CFBDRN CC[C@@H](C(=O)O[C@H](C)C[N+](=O)[O-])c1ccc(F)cc1 ZINC000814048680 632001730 /nfs/dbraw/zinc/00/17/30/632001730.db2.gz MOROIPZFSZMHAB-BXKDBHETSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc2cccc(Cl)c2o1 ZINC000814049032 632001959 /nfs/dbraw/zinc/00/19/59/632001959.db2.gz PVLLSJUZILGHNT-SSDOTTSWSA-N 0 0 283.667 2.908 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2NC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000843665670 632015177 /nfs/dbraw/zinc/01/51/77/632015177.db2.gz BSKCFFRAYSFCLV-UONOGXRCSA-N 0 0 290.363 2.803 20 5 CFBDRN O=C(NC1(c2cccc(Cl)c2)CCC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000843719410 632039418 /nfs/dbraw/zinc/03/94/18/632039418.db2.gz AQWVMNSBQCERKL-VXGBXAGGSA-N 0 0 294.738 2.501 20 5 CFBDRN CN(C[C@H](O)Cc1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000814262119 632048377 /nfs/dbraw/zinc/04/83/77/632048377.db2.gz LJKIHOFEIABNKS-MRXNPFEDSA-N 0 0 286.331 2.635 20 5 CFBDRN CCC(CC)NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843750662 632049344 /nfs/dbraw/zinc/04/93/44/632049344.db2.gz QRFXHXBDPPVSQF-UHFFFAOYSA-N 0 0 268.288 2.961 20 5 CFBDRN CON1CCC(N(C)c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000840318583 632055331 /nfs/dbraw/zinc/05/53/31/632055331.db2.gz AXFUOACNYQGLHV-UHFFFAOYSA-N 0 0 299.758 2.710 20 5 CFBDRN CS[C@H](C)CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843836113 632064566 /nfs/dbraw/zinc/06/45/66/632064566.db2.gz PJVFMJYLSSUIRR-SSDOTTSWSA-N 0 0 286.328 2.524 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)[C@@H](F)C1 ZINC000843848551 632066705 /nfs/dbraw/zinc/06/67/05/632066705.db2.gz GAKKAEFSVYIJSR-KCJUWKMLSA-N 0 0 298.289 2.862 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)[C@H](F)C1 ZINC000843848553 632066793 /nfs/dbraw/zinc/06/67/93/632066793.db2.gz GAKKAEFSVYIJSR-LDYMZIIASA-N 0 0 298.289 2.862 20 5 CFBDRN CS[C@@H]1CCN(C(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000843899814 632074691 /nfs/dbraw/zinc/07/46/91/632074691.db2.gz XXLGWJGFKYRFSV-SECBINFHSA-N 0 0 298.339 2.620 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)C1 ZINC000814560875 632092198 /nfs/dbraw/zinc/09/21/98/632092198.db2.gz GLXYFUHXBLHUDH-TZMCWYRMSA-N 0 0 293.319 2.843 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C(C)C)C2CC2)c(N)c([N+](=O)[O-])c1 ZINC000856126662 635733445 /nfs/dbraw/zinc/73/34/45/635733445.db2.gz ZFHXCCIDHFWTFN-CQSZACIVSA-N 0 0 291.351 2.650 20 5 CFBDRN CCCCCCNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000844272400 632150573 /nfs/dbraw/zinc/15/05/73/632150573.db2.gz OOYGMJABDWGIEH-UHFFFAOYSA-N 0 0 282.315 2.973 20 5 CFBDRN Cc1nn(C)c(Oc2cccc(C=O)c2Cl)c1[N+](=O)[O-] ZINC000814903335 632156591 /nfs/dbraw/zinc/15/65/91/632156591.db2.gz DWHLAWJULJBOPX-UHFFFAOYSA-N 0 0 295.682 2.895 20 5 CFBDRN COC(=O)/C=C\c1ccc(NCC[C@@H](C)F)c([N+](=O)[O-])c1 ZINC000814915471 632163707 /nfs/dbraw/zinc/16/37/07/632163707.db2.gz WBMISFTWENVPGH-AYYIZTPMSA-N 0 0 296.298 2.941 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000840327821 632186483 /nfs/dbraw/zinc/18/64/83/632186483.db2.gz TVXDMNYVKIPNMC-KLPPZKSPSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@@H]1CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)[C@@H]1C ZINC000815162061 632220883 /nfs/dbraw/zinc/22/08/83/632220883.db2.gz CBTMVOSHVNJDKR-RKDXNWHRSA-N 0 0 261.281 2.576 20 5 CFBDRN COC1(CCOC(=O)c2ccc([N+](=O)[O-])s2)CCC1 ZINC000815170690 632222011 /nfs/dbraw/zinc/22/20/11/632222011.db2.gz XFHFRXDCKPTNRN-UHFFFAOYSA-N 0 0 285.321 2.772 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2cc(O)cc([N+](=O)[O-])c2)C1 ZINC000815218791 632232086 /nfs/dbraw/zinc/23/20/86/632232086.db2.gz FTLQUNCUCRXZIH-PELKAZGASA-N 0 0 265.265 2.646 20 5 CFBDRN COC/C(C)=C/C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000844544403 632242424 /nfs/dbraw/zinc/24/24/24/632242424.db2.gz PTYWYFPRPLGWDS-PKNBQFBNSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000815404679 632268186 /nfs/dbraw/zinc/26/81/86/632268186.db2.gz SQLRDQGUFQWKIG-SECBINFHSA-N 0 0 299.710 2.892 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc(F)c[nH]1)CC2 ZINC000815942042 632338012 /nfs/dbraw/zinc/33/80/12/632338012.db2.gz WVDHNTZEYORIRE-UHFFFAOYSA-N 0 0 289.266 2.573 20 5 CFBDRN Cc1ccc(OC(=O)CCc2cncs2)cc1[N+](=O)[O-] ZINC000815994872 632349580 /nfs/dbraw/zinc/34/95/80/632349580.db2.gz NFSOABWNRPAKEH-UHFFFAOYSA-N 0 0 292.316 2.898 20 5 CFBDRN Cc1nc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)c(C)o1 ZINC000815995060 632349925 /nfs/dbraw/zinc/34/99/25/632349925.db2.gz UWSFKLUSNBOOIZ-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C(F)=C\C1CCCCC1 ZINC000816097052 632371687 /nfs/dbraw/zinc/37/16/87/632371687.db2.gz SPNUAUBNFHSPDI-MXFUOJGSSA-N 0 0 259.277 2.629 20 5 CFBDRN COc1c(C(=O)OCc2occc2C)cccc1[N+](=O)[O-] ZINC000816103154 632373848 /nfs/dbraw/zinc/37/38/48/632373848.db2.gz NHULDRKWCWODHS-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COC/C(C)=C\C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000845240416 632392342 /nfs/dbraw/zinc/39/23/42/632392342.db2.gz CVSJMTLARGSHJM-UITAMQMPSA-N 0 0 299.710 2.884 20 5 CFBDRN COC/C(C)=C/C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000845245816 632394204 /nfs/dbraw/zinc/39/42/04/632394204.db2.gz LNJXREYWRIBCCJ-JXMROGBWSA-N 0 0 279.292 2.539 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000816225446 632398999 /nfs/dbraw/zinc/39/89/99/632398999.db2.gz SPEVCHDEXJNQRF-GBIKHYSHSA-N 0 0 280.324 2.915 20 5 CFBDRN CO[C@@H](COC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000816375713 632433296 /nfs/dbraw/zinc/43/32/96/632433296.db2.gz INWWDEQZXONVDD-JTQLQIEISA-N 0 0 285.321 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CC1(F)F ZINC000816419541 632450142 /nfs/dbraw/zinc/45/01/42/632450142.db2.gz SMLXJILWWLLJKE-MRVPVSSYSA-N 0 0 271.219 2.715 20 5 CFBDRN CCOCCN(C)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000845511399 632453447 /nfs/dbraw/zinc/45/34/47/632453447.db2.gz OSRGTNLYULAHJI-UHFFFAOYSA-N 0 0 276.695 2.860 20 5 CFBDRN Cc1ccc(COC(=O)COc2cccc([N+](=O)[O-])c2)o1 ZINC000816430568 632455605 /nfs/dbraw/zinc/45/56/05/632455605.db2.gz YPKSZMRZMRCEOU-UHFFFAOYSA-N 0 0 291.259 2.618 20 5 CFBDRN CC[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)[C@H](C)CO1 ZINC000845662592 632509679 /nfs/dbraw/zinc/50/96/79/632509679.db2.gz XYLYNVNCTNWBRS-PSASIEDQSA-N 0 0 286.278 2.877 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)[C@@H](C)CO1 ZINC000845661366 632510143 /nfs/dbraw/zinc/51/01/43/632510143.db2.gz MBSSBQMRAYBNKY-ONGXEEELSA-N 0 0 268.288 2.738 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H](O)CC2)cc1Cl ZINC000816667554 632510254 /nfs/dbraw/zinc/51/02/54/632510254.db2.gz PSYFXPBNEJCDMA-LLVKDONJSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@@H](CO)C1CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000845707276 632529820 /nfs/dbraw/zinc/52/98/20/632529820.db2.gz GKNCXKSONSKYJR-JTQLQIEISA-N 0 0 282.315 2.579 20 5 CFBDRN COC1CCC(Nc2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000840334162 632557858 /nfs/dbraw/zinc/55/78/58/632557858.db2.gz HSCMDZGFVOHUPP-UHFFFAOYSA-N 0 0 265.313 2.547 20 5 CFBDRN COC1CCC(Nc2nc3ccccn3c2[N+](=O)[O-])CC1 ZINC000840335306 632560150 /nfs/dbraw/zinc/56/01/50/632560150.db2.gz OUAKEAZQHWFOGK-UHFFFAOYSA-N 0 0 290.323 2.612 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000817125800 632561969 /nfs/dbraw/zinc/56/19/69/632561969.db2.gz OKPWALFACNLTKH-GHMZBOCLSA-N 0 0 265.313 2.547 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)CC1 ZINC000817215975 632573252 /nfs/dbraw/zinc/57/32/52/632573252.db2.gz DWFLZZFGHGMOOK-XYPYZODXSA-N 0 0 291.351 2.876 20 5 CFBDRN CC(C)(C)C(C)(C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000817219648 632574534 /nfs/dbraw/zinc/57/45/34/632574534.db2.gz GPHBBBIVOORKHZ-UHFFFAOYSA-N 0 0 297.330 2.871 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817237978 632579682 /nfs/dbraw/zinc/57/96/82/632579682.db2.gz DDKTVBNYDLJJDD-SECBINFHSA-N 0 0 293.319 2.984 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000817252663 632587093 /nfs/dbraw/zinc/58/70/93/632587093.db2.gz YBPJOMFJYMMRAE-LLVKDONJSA-N 0 0 271.219 2.683 20 5 CFBDRN CC(C)C(=O)O[C@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845872770 632589088 /nfs/dbraw/zinc/58/90/88/632589088.db2.gz NYDBCKZVBDWCQI-SKDRFNHKSA-N 0 0 269.272 2.731 20 5 CFBDRN COCCCC(=O)O[C@@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845878076 632589787 /nfs/dbraw/zinc/58/97/87/632589787.db2.gz TVDLASNROYIKKB-QMTHXVAHSA-N 0 0 299.298 2.502 20 5 CFBDRN CS/C=C/C(=O)O[C@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845878043 632589990 /nfs/dbraw/zinc/58/99/90/632589990.db2.gz QIXHBPMATCDATM-YDZXTLIHSA-N 0 0 299.323 2.952 20 5 CFBDRN O=C(NOCCC1CC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000817413466 632625353 /nfs/dbraw/zinc/62/53/53/632625353.db2.gz UFDRCOHDVOCMSG-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN Cc1c(OC(=O)[C@@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000817428594 632631686 /nfs/dbraw/zinc/63/16/86/632631686.db2.gz PXLKAWWASCPVAK-LLVKDONJSA-N 0 0 271.219 2.854 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000846111342 632634364 /nfs/dbraw/zinc/63/43/64/632634364.db2.gz LRRLJYHXYCTKOL-OIWRZFCRSA-N 0 0 280.274 2.805 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCc2ncccc21 ZINC000817440147 632635323 /nfs/dbraw/zinc/63/53/23/632635323.db2.gz HPXPWRCHAYLKJJ-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@]2(CC2(F)F)C1 ZINC000846570302 632744798 /nfs/dbraw/zinc/74/47/98/632744798.db2.gz LNGJIEHVKRGIRA-ZDUSSCGKSA-N 0 0 296.273 2.856 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](O)C[C@H]2c2ccccc2)cc1 ZINC000840361898 632852959 /nfs/dbraw/zinc/85/29/59/632852959.db2.gz LFOHYGVPZBNWOU-HOTGVXAUSA-N 0 0 284.315 2.907 20 5 CFBDRN COC(=O)c1ccnc(NC[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000856730157 635843599 /nfs/dbraw/zinc/84/35/99/635843599.db2.gz ITAKILZXNJVEBJ-SECBINFHSA-N 0 0 295.339 2.871 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H](C)O1 ZINC000840367308 632898202 /nfs/dbraw/zinc/89/82/02/632898202.db2.gz HYPBTYVXEZYUSZ-UTLUCORTSA-N 0 0 295.295 2.728 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000840370593 632925169 /nfs/dbraw/zinc/92/51/69/632925169.db2.gz XWZRCLPYVQYZLR-AEJSXWLSSA-N 0 0 298.314 2.968 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C\[C@@H]1CCCO1 ZINC000819003825 632927946 /nfs/dbraw/zinc/92/79/46/632927946.db2.gz ZFWZECULLMASFA-FJOGCWAESA-N 0 0 290.319 2.885 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C/[C@@H]1CCCO1 ZINC000819003829 632928374 /nfs/dbraw/zinc/92/83/74/632928374.db2.gz ZFWZECULLMASFA-FYJFLYSWSA-N 0 0 290.319 2.885 20 5 CFBDRN Cc1cc(N[C@@H]2CCNC(=O)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000840374190 632980949 /nfs/dbraw/zinc/98/09/49/632980949.db2.gz KTMCXEDMYJBEFE-VIFPVBQESA-N 0 0 297.742 2.637 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000840375110 632992974 /nfs/dbraw/zinc/99/29/74/632992974.db2.gz HXIOBQYONRIWJW-SNVBAGLBSA-N 0 0 274.324 2.867 20 5 CFBDRN CCCC(CCC)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847784151 633076181 /nfs/dbraw/zinc/07/61/81/633076181.db2.gz PFCXWCCTCLIBRG-UHFFFAOYSA-N 0 0 283.328 2.588 20 5 CFBDRN C[C@H](CC(=O)OCc1cn(C)nc1[N+](=O)[O-])CC(C)(C)C ZINC000847781891 633076277 /nfs/dbraw/zinc/07/62/77/633076277.db2.gz JSSSFJOZBPRAFG-SNVBAGLBSA-N 0 0 297.355 2.834 20 5 CFBDRN CCC(CC)(CC)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847784947 633076446 /nfs/dbraw/zinc/07/64/46/633076446.db2.gz ZKYCOOFFHJIHGD-UHFFFAOYSA-N 0 0 283.328 2.588 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])o1)C(=O)c1ccccc1 ZINC000006176137 633107824 /nfs/dbraw/zinc/10/78/24/633107824.db2.gz DETZHUDXEDGPAT-SECBINFHSA-N 0 0 289.243 2.616 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000847921522 633146981 /nfs/dbraw/zinc/14/69/81/633146981.db2.gz URSQAEPQJZHFJM-HAQNSBGRSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1cc(N[C@@H](C)C(=O)NC(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000840382497 633149696 /nfs/dbraw/zinc/14/96/96/633149696.db2.gz RAUFGGMVRGSUCJ-VIFPVBQESA-N 0 0 299.758 2.882 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cnc(Cl)c(F)c2)nc1C1CC1 ZINC000848434964 633259377 /nfs/dbraw/zinc/25/93/77/633259377.db2.gz OSKQWNHQKDPJHH-UHFFFAOYSA-N 0 0 296.689 2.905 20 5 CFBDRN CCC(CC)[C@H](O)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000848664655 633313066 /nfs/dbraw/zinc/31/30/66/633313066.db2.gz ZEYMHELAMZJHGE-GFCCVEGCSA-N 0 0 282.340 2.812 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC1CCC(C)(O)CC1 ZINC000848667957 633314154 /nfs/dbraw/zinc/31/41/54/633314154.db2.gz PVJDCXUUTCXGPP-UHFFFAOYSA-N 0 0 280.324 2.709 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(SCCC(C)(C)O)c1 ZINC000848741907 633331620 /nfs/dbraw/zinc/33/16/20/633331620.db2.gz XDGOYOIKUVDTSM-UHFFFAOYSA-N 0 0 289.328 2.996 20 5 CFBDRN Cc1noc(CN(C)c2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000848786557 633343086 /nfs/dbraw/zinc/34/30/86/633343086.db2.gz BAJVROYLQCCANV-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN C[C@H]1[C@@H](CO)CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000840388176 633389637 /nfs/dbraw/zinc/38/96/37/633389637.db2.gz QQOSNVTXHSXWGK-VHSXEESVSA-N 0 0 284.743 2.845 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCC2CC(C)C2)c1F ZINC000857736291 636018737 /nfs/dbraw/zinc/01/87/37/636018737.db2.gz JXQRMUJFYMNIKG-UHFFFAOYSA-N 0 0 298.289 2.957 20 5 CFBDRN CC(C)(C)OC(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849417200 633632373 /nfs/dbraw/zinc/63/23/73/633632373.db2.gz LOXHSPMSVPQZQZ-UHFFFAOYSA-N 0 0 284.224 2.817 20 5 CFBDRN CO[C@H](COC(=O)c1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000849627746 633645553 /nfs/dbraw/zinc/64/55/53/633645553.db2.gz IIEXSJOPNVSZCJ-CQSZACIVSA-N 0 0 293.319 2.957 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000849629837 633646324 /nfs/dbraw/zinc/64/63/24/633646324.db2.gz PEWOBPDOKFCSCJ-SECBINFHSA-N 0 0 299.710 2.973 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CO1 ZINC000849632469 633648910 /nfs/dbraw/zinc/64/89/10/633648910.db2.gz IVXODLGALOTOLR-OQHXTRMZSA-N 0 0 291.303 2.719 20 5 CFBDRN CC(C)=CCCOC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000849665123 633662236 /nfs/dbraw/zinc/66/22/36/633662236.db2.gz LHENXIBMDKZTTC-UHFFFAOYSA-N 0 0 264.281 2.811 20 5 CFBDRN CC(C)OCCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849682333 633672694 /nfs/dbraw/zinc/67/26/94/633672694.db2.gz ILXQRVZGYHYNJH-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(OCCC1CCC1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849692769 633676833 /nfs/dbraw/zinc/67/68/33/633676833.db2.gz MWVOQRZAOAZERJ-UHFFFAOYSA-N 0 0 294.263 2.850 20 5 CFBDRN O=C(OCC1CC=CC1)c1cc([N+](=O)[O-])ccc1F ZINC000849697223 633678721 /nfs/dbraw/zinc/67/87/21/633678721.db2.gz PFJFOJJESBYDCN-UHFFFAOYSA-N 0 0 265.240 2.857 20 5 CFBDRN Cc1nn(C)c(C(=O)OC[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000849713022 633684493 /nfs/dbraw/zinc/68/44/93/633684493.db2.gz UYSMCIGBEXUPOC-KOLCDFICSA-N 0 0 295.339 2.620 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000849724015 633689796 /nfs/dbraw/zinc/68/97/96/633689796.db2.gz IBHOOGHCUPNRQX-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])c(F)c1)C1CCC1 ZINC000849724344 633689891 /nfs/dbraw/zinc/68/98/91/633689891.db2.gz LOFRWQLGQVWLOV-CYBMUJFWSA-N 0 0 297.282 2.706 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849746799 633700888 /nfs/dbraw/zinc/70/08/88/633700888.db2.gz VGLKANYFNJKZSW-JTQLQIEISA-N 0 0 267.256 2.823 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OC[C@H](O)C(C)C ZINC000849756871 633705195 /nfs/dbraw/zinc/70/51/95/633705195.db2.gz LALYMPSFOHWKTO-MSKHEQNASA-N 0 0 293.319 2.558 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849779325 633715293 /nfs/dbraw/zinc/71/52/93/633715293.db2.gz DXZIOJOATCDSSP-ZJUUUORDSA-N 0 0 299.298 2.696 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849792478 633721956 /nfs/dbraw/zinc/72/19/56/633721956.db2.gz AWVOSWCZEYIBQT-LDYMZIIASA-N 0 0 267.256 2.823 20 5 CFBDRN CC(C)O[C@@]1(COc2cc(F)ccc2[N+](=O)[O-])CCOC1 ZINC000849857427 633744727 /nfs/dbraw/zinc/74/47/27/633744727.db2.gz MVDILDXJXZAXHQ-AWEZNQCLSA-N 0 0 299.298 2.697 20 5 CFBDRN CC(C)SCCn1cc([N+](=O)[O-])nc1Br ZINC000849993473 633786116 /nfs/dbraw/zinc/78/61/16/633786116.db2.gz XDVSIRMIVDFTFX-UHFFFAOYSA-N 0 0 294.174 2.695 20 5 CFBDRN CCCCCn1cc([N+](=O)[O-])nc1Br ZINC000849991565 633786223 /nfs/dbraw/zinc/78/62/23/633786223.db2.gz QOLVBPGQMXWIOF-UHFFFAOYSA-N 0 0 262.107 2.744 20 5 CFBDRN CC/C=C/CCn1cc([N+](=O)[O-])nc1Br ZINC000849991946 633786266 /nfs/dbraw/zinc/78/62/66/633786266.db2.gz HASZFJILGDNLMW-ONEGZZNKSA-N 0 0 274.118 2.910 20 5 CFBDRN C[C@@H](CC(=O)OCc1ccccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000850078329 633826476 /nfs/dbraw/zinc/82/64/76/633826476.db2.gz WJMSDYARAPQYGO-FZMZJTMJSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@H](CC(=O)OCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCO1 ZINC000850080572 633827376 /nfs/dbraw/zinc/82/73/76/633827376.db2.gz SGNWWERIJQBVOG-RISCZKNCSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@@H]1CO[C@H](C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000885573930 633887667 /nfs/dbraw/zinc/88/76/67/633887667.db2.gz BKTNIUBULKTURY-UFBFGSQYSA-N 0 0 299.710 2.716 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C1CC2(CC2)C1 ZINC000850215069 633898878 /nfs/dbraw/zinc/89/88/78/633898878.db2.gz MZLDUHKGEMPDCJ-UHFFFAOYSA-N 0 0 279.267 2.967 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850264281 633917471 /nfs/dbraw/zinc/91/74/71/633917471.db2.gz YANGILHKFBPBDI-GDNZZTSVSA-N 0 0 291.303 2.563 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@H]1C[C@H](O)c2ccccc21 ZINC000850368840 633958594 /nfs/dbraw/zinc/95/85/94/633958594.db2.gz FISYXUBYCKAOHA-HOCLYGCPSA-N 0 0 284.315 2.863 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)C1CC2(CC2)C1 ZINC000850901357 634129816 /nfs/dbraw/zinc/12/98/16/634129816.db2.gz OZHRSMBYMWGXKQ-UHFFFAOYSA-N 0 0 265.240 2.830 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000850984599 634167243 /nfs/dbraw/zinc/16/72/43/634167243.db2.gz IIJJKICXJJWRTI-MVWJERBFSA-N 0 0 291.303 2.945 20 5 CFBDRN C[C@@H](COC(=O)[C@@]1(c2ccccc2)CC1(C)C)[N+](=O)[O-] ZINC000851035753 634188899 /nfs/dbraw/zinc/18/88/99/634188899.db2.gz AFOWVPGRTZRIDK-NHYWBVRUSA-N 0 0 277.320 2.563 20 5 CFBDRN C[C@H](COC(=O)[C@H]1CCC[C@H]1Cc1ccccc1)[N+](=O)[O-] ZINC000851037349 634190612 /nfs/dbraw/zinc/19/06/12/634190612.db2.gz UGAHPLKRAOXGSY-SNPRPXQTSA-N 0 0 291.347 2.854 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2C(=O)O[C@H](C)C[N+](=O)[O-])cc1C ZINC000851045533 634194454 /nfs/dbraw/zinc/19/44/54/634194454.db2.gz LHNUIVXMEOWBOG-KWCYVHTRSA-N 0 0 277.320 2.615 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCC[C@H]1Cc1ccccc1 ZINC000851046327 634194769 /nfs/dbraw/zinc/19/47/69/634194769.db2.gz PKIZYAMGXFTXOG-VHDGCEQUSA-N 0 0 291.347 2.854 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@]1(c2ccccc2)CC1(C)C ZINC000851046503 634194922 /nfs/dbraw/zinc/19/49/22/634194922.db2.gz QXVOOKIUPDNPPN-IAQYHMDHSA-N 0 0 277.320 2.563 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccn(C)c1-c1ccccc1 ZINC000851046511 634195366 /nfs/dbraw/zinc/19/53/66/634195366.db2.gz QXZNUMZVQHDJPW-LLVKDONJSA-N 0 0 288.303 2.514 20 5 CFBDRN CO[C@H](C(=O)OCc1ccc(C)c([N+](=O)[O-])c1)C1CCC1 ZINC000851054564 634197883 /nfs/dbraw/zinc/19/78/83/634197883.db2.gz PPQLYHIOEXFAMI-AWEZNQCLSA-N 0 0 293.319 2.762 20 5 CFBDRN CC1=CC[C@@](C)(C(=O)OCc2cn(C)nc2[N+](=O)[O-])CC1 ZINC000851110871 634227375 /nfs/dbraw/zinc/22/73/75/634227375.db2.gz FUNKELBFJOEZSE-CQSZACIVSA-N 0 0 293.323 2.508 20 5 CFBDRN Cn1c(Cl)c(Cl)cc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851123526 634234560 /nfs/dbraw/zinc/23/45/60/634234560.db2.gz FDKCJECKQSIHPA-UHFFFAOYSA-N 0 0 295.122 2.544 20 5 CFBDRN CC(C)(COC(=O)/C=C\c1ccccc1Cl)[N+](=O)[O-] ZINC000851122920 634234665 /nfs/dbraw/zinc/23/46/65/634234665.db2.gz LKFYAOVOGAHLGD-FPLPWBNLSA-N 0 0 283.711 2.952 20 5 CFBDRN Cc1ccc(SCC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851122696 634234794 /nfs/dbraw/zinc/23/47/94/634234794.db2.gz IFFTUKOQJOQJLU-UHFFFAOYSA-N 0 0 283.349 2.686 20 5 CFBDRN CC(C)(COC(=O)/C=C\C1CCCCC1)[N+](=O)[O-] ZINC000851126013 634236460 /nfs/dbraw/zinc/23/64/60/634236460.db2.gz KQRFGYYVQGSCNX-HJWRWDBZSA-N 0 0 255.314 2.721 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1SCCc2ccccc21)[N+](=O)[O-] ZINC000851126241 634236483 /nfs/dbraw/zinc/23/64/83/634236483.db2.gz LTYJERBMXDYJHQ-GFCCVEGCSA-N 0 0 295.360 2.616 20 5 CFBDRN CC(C)(COC(=O)c1cnc2ccc(F)cc2c1)[N+](=O)[O-] ZINC000851127768 634237781 /nfs/dbraw/zinc/23/77/81/634237781.db2.gz VRCGMYVOVMOATM-UHFFFAOYSA-N 0 0 292.266 2.586 20 5 CFBDRN CC(C)(COC(=O)c1sccc1OC(F)F)[N+](=O)[O-] ZINC000851127090 634237825 /nfs/dbraw/zinc/23/78/25/634237825.db2.gz SKKYFTCIQXRWIR-UHFFFAOYSA-N 0 0 295.263 2.562 20 5 CFBDRN Cc1ccc2nc(C(=O)OCC(C)(C)[N+](=O)[O-])ccc2c1 ZINC000851129243 634238496 /nfs/dbraw/zinc/23/84/96/634238496.db2.gz LHUWJZCTAAFKGK-UHFFFAOYSA-N 0 0 288.303 2.755 20 5 CFBDRN CC(C)(COC(=O)Cc1coc2ccccc12)[N+](=O)[O-] ZINC000851128689 634238568 /nfs/dbraw/zinc/23/85/68/634238568.db2.gz CCSQPXHFKONOHY-UHFFFAOYSA-N 0 0 277.276 2.574 20 5 CFBDRN CC[C@H](CC(F)(F)F)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129435 634238579 /nfs/dbraw/zinc/23/85/79/634238579.db2.gz MZTVLNZUSXQARK-SSDOTTSWSA-N 0 0 271.235 2.564 20 5 CFBDRN CC(C)(COC(=O)c1cc(Cl)nc(C2CC2)c1)[N+](=O)[O-] ZINC000851129303 634238723 /nfs/dbraw/zinc/23/87/23/634238723.db2.gz HMCZRWSXBQUFCX-UHFFFAOYSA-N 0 0 298.726 2.825 20 5 CFBDRN CC(C)(COC(=O)c1cc2ccncc2s1)[N+](=O)[O-] ZINC000851130108 634239595 /nfs/dbraw/zinc/23/95/95/634239595.db2.gz WTJHHVBGOFMMQN-UHFFFAOYSA-N 0 0 280.305 2.508 20 5 CFBDRN Cn1cc(C(=O)OCC(C)(C)[N+](=O)[O-])c2cc(F)ccc21 ZINC000851130360 634239645 /nfs/dbraw/zinc/23/96/45/634239645.db2.gz UOEPSLIHMUOGME-UHFFFAOYSA-N 0 0 294.282 2.530 20 5 CFBDRN CSc1cccc(C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851130142 634239738 /nfs/dbraw/zinc/23/97/38/634239738.db2.gz XKUBAILCHPTPQA-UHFFFAOYSA-N 0 0 269.322 2.621 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851130471 634239781 /nfs/dbraw/zinc/23/97/81/634239781.db2.gz TWSLYPIKZHQMBT-QWHCGFSZSA-N 0 0 277.320 2.697 20 5 CFBDRN C[C@@H](Cc1ccsc1)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000851146055 634247981 /nfs/dbraw/zinc/24/79/81/634247981.db2.gz SJFSXGSHZTWLBI-VIFPVBQESA-N 0 0 294.332 2.783 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@H]1C[C@H]2C[C@H]2C1 ZINC000851415384 634358600 /nfs/dbraw/zinc/35/86/00/634358600.db2.gz MNSDCVPROWJDMD-UOJUARBOSA-N 0 0 275.304 2.727 20 5 CFBDRN COc1cccc(C(=O)OC[C@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000851417333 634359188 /nfs/dbraw/zinc/35/91/88/634359188.db2.gz WYLQRTLQJBNHFH-RTCCRHLQSA-N 0 0 291.303 2.806 20 5 CFBDRN COc1ccc(CC(=O)OCC2CC(C)C2)cc1[N+](=O)[O-] ZINC000851461300 634374216 /nfs/dbraw/zinc/37/42/16/634374216.db2.gz CODKWBWOFSXKRE-UHFFFAOYSA-N 0 0 293.319 2.735 20 5 CFBDRN COc1cc(NC[C@H](O)c2ccco2)c([N+](=O)[O-])cc1C ZINC000853155344 634920811 /nfs/dbraw/zinc/92/08/11/634920811.db2.gz LKNUXUNBXSDWRM-LBPRGKRZSA-N 0 0 292.291 2.650 20 5 CFBDRN CC1(C)CCCC[C@@H]1COC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000853159149 634921301 /nfs/dbraw/zinc/92/13/01/634921301.db2.gz CHAMLLQAHSAYQQ-LLVKDONJSA-N 0 0 295.339 2.551 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2COC[C@H]2C)c1 ZINC000853193713 634930399 /nfs/dbraw/zinc/93/03/99/634930399.db2.gz XRRPKZQLQLMDOL-PRHODGIISA-N 0 0 297.332 2.508 20 5 CFBDRN Cc1cc(NC[C@@H](O)CC(C)C)c([N+](=O)[O-])s1 ZINC000853240992 634949398 /nfs/dbraw/zinc/94/93/98/634949398.db2.gz PCSGQKSPJLYSBW-VIFPVBQESA-N 0 0 258.343 2.784 20 5 CFBDRN COCC1CCN(c2cc(OC)c(C)cc2[N+](=O)[O-])CC1 ZINC000853321918 634967807 /nfs/dbraw/zinc/96/78/07/634967807.db2.gz UYVRNKUCVRUNQK-UHFFFAOYSA-N 0 0 294.351 2.775 20 5 CFBDRN CCC1(O)CCN(c2cc(OC)c(C)cc2[N+](=O)[O-])CC1 ZINC000853382709 634989069 /nfs/dbraw/zinc/98/90/69/634989069.db2.gz DBOAACXUVFUEAC-UHFFFAOYSA-N 0 0 294.351 2.653 20 5 CFBDRN COc1cc(N2CCO[C@@H](C3CC3)C2)c([N+](=O)[O-])cc1C ZINC000853486849 635013787 /nfs/dbraw/zinc/01/37/87/635013787.db2.gz YYBSTJBZWGVSIT-OAHLLOKOSA-N 0 0 292.335 2.527 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@H](OC)C2)c([N+](=O)[O-])cc1C ZINC000853516247 635024703 /nfs/dbraw/zinc/02/47/03/635024703.db2.gz SDTOWCXJAUNKKN-ZUZCIYMTSA-N 0 0 294.351 2.773 20 5 CFBDRN Cc1nn(CN2CCC(c3ccco3)CC2)cc1[N+](=O)[O-] ZINC000853526910 635028391 /nfs/dbraw/zinc/02/83/91/635028391.db2.gz CJWXMRMWQCVEDS-UHFFFAOYSA-N 0 0 290.323 2.530 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC(F)(F)CC1 ZINC000853564154 635037103 /nfs/dbraw/zinc/03/71/03/635037103.db2.gz KTBCBAYHDRFMSY-UHFFFAOYSA-N 0 0 277.658 2.879 20 5 CFBDRN CC1(CCCCOc2ccccc2[N+](=O)[O-])OCCO1 ZINC000853635869 635055161 /nfs/dbraw/zinc/05/51/61/635055161.db2.gz LLTQOPFDHCXLRL-UHFFFAOYSA-N 0 0 281.308 2.907 20 5 CFBDRN CC1=NO[C@@H](CNc2ccc([N+](=O)[O-])c3cnccc23)C1 ZINC000853660651 635064683 /nfs/dbraw/zinc/06/46/83/635064683.db2.gz JMEPBQWNILHCNZ-SNVBAGLBSA-N 0 0 286.291 2.720 20 5 CFBDRN CC1=NO[C@H](CNc2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000853661145 635065895 /nfs/dbraw/zinc/06/58/95/635065895.db2.gz JTYXVFHZLKYHFP-LBPRGKRZSA-N 0 0 286.291 2.720 20 5 CFBDRN CO[C@H](Cn1ccc2c1cccc2[N+](=O)[O-])[C@H]1CCOC1 ZINC000853668459 635068351 /nfs/dbraw/zinc/06/83/51/635068351.db2.gz NSUKMZBUZCHLLM-XHDPSFHLSA-N 0 0 290.319 2.601 20 5 CFBDRN COC(OC)[C@@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000853680171 635072558 /nfs/dbraw/zinc/07/25/58/635072558.db2.gz AFSQIILXLURSMB-SECBINFHSA-N 0 0 291.307 2.562 20 5 CFBDRN C[C@H]1C[C@@H]1C(N)=NOCc1c(F)cc([N+](=O)[O-])cc1F ZINC000853731786 635087763 /nfs/dbraw/zinc/08/77/63/635087763.db2.gz RCXVVTQYPSCZPI-XPUUQOCRSA-N 0 0 285.250 2.527 20 5 CFBDRN COC1CC(CCNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000853748658 635092255 /nfs/dbraw/zinc/09/22/55/635092255.db2.gz PYOGHMKTCSLCNM-UHFFFAOYSA-N 0 0 295.295 2.730 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCC2CC(OC)C2)c1 ZINC000853748571 635092845 /nfs/dbraw/zinc/09/28/45/635092845.db2.gz HEFIMFBVQBETEA-UHFFFAOYSA-N 0 0 298.314 2.970 20 5 CFBDRN CC[C@H](C)CCNc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000853790545 635107911 /nfs/dbraw/zinc/10/79/11/635107911.db2.gz SCQHGVDDXSQYDR-VIFPVBQESA-N 0 0 293.323 2.774 20 5 CFBDRN O=C(NC1CC1)c1ccc(N[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000853801309 635111553 /nfs/dbraw/zinc/11/15/53/635111553.db2.gz UTEURGJEPDAIAB-LLVKDONJSA-N 0 0 287.319 2.618 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(c1ccc([N+](=O)[O-])cc1F)C2 ZINC000853812873 635113949 /nfs/dbraw/zinc/11/39/49/635113949.db2.gz QABOIXKWPPTJDE-OKILXGFUSA-N 0 0 280.299 2.597 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cc(OC)c(OC)cc2[N+](=O)[O-])C1 ZINC000853811285 635114355 /nfs/dbraw/zinc/11/43/55/635114355.db2.gz ZVMFKOTXUVNMSW-MGCOHNPYSA-N 0 0 296.323 2.591 20 5 CFBDRN Cc1nnc(CN(C)c2c(C)cc([N+](=O)[O-])cc2Cl)o1 ZINC000853814666 635115557 /nfs/dbraw/zinc/11/55/57/635115557.db2.gz AKFCDRGHFXMGGA-UHFFFAOYSA-N 0 0 296.714 2.884 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1C=CCC1 ZINC000853825458 635119047 /nfs/dbraw/zinc/11/90/47/635119047.db2.gz BSZBUZVGZLAMRD-MRVPVSSYSA-N 0 0 265.244 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@](O)(C2CC2)C1 ZINC000853833474 635121829 /nfs/dbraw/zinc/12/18/29/635121829.db2.gz ICQJWESFNJZLHH-CQSZACIVSA-N 0 0 296.754 2.990 20 5 CFBDRN CC[C@@H](O)CNc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000853840480 635125990 /nfs/dbraw/zinc/12/59/90/635125990.db2.gz ZZJDBTHYZAKKQY-MRVPVSSYSA-N 0 0 258.705 2.739 20 5 CFBDRN Cc1cc(NC[C@]23OCCC[C@H]2C3(F)F)ncc1[N+](=O)[O-] ZINC000853847449 635130441 /nfs/dbraw/zinc/13/04/41/635130441.db2.gz OFJUEKZWNYMCPN-PWSUYJOCSA-N 0 0 299.277 2.524 20 5 CFBDRN Cc1noc(COC(=O)CCC2CCCC2)c1[N+](=O)[O-] ZINC000853883371 635145054 /nfs/dbraw/zinc/14/50/54/635145054.db2.gz JDJSUIJWXIFILX-UHFFFAOYSA-N 0 0 282.296 2.905 20 5 CFBDRN CC1(C)[C@H](CNc2nc3ccccn3c2[N+](=O)[O-])C1(F)F ZINC000853904549 635154975 /nfs/dbraw/zinc/15/49/75/635154975.db2.gz RWPOUDYBKTYCQV-QMMMGPOBSA-N 0 0 296.277 2.946 20 5 CFBDRN Cc1noc(COC(=O)C2=CCCCCC2)c1[N+](=O)[O-] ZINC000853910997 635158901 /nfs/dbraw/zinc/15/89/01/635158901.db2.gz ZQYDJPJXLDVAJT-UHFFFAOYSA-N 0 0 280.280 2.825 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000853918328 635162111 /nfs/dbraw/zinc/16/21/11/635162111.db2.gz HHNYGAVMTWDESE-ILDUYXDCSA-N 0 0 294.307 2.761 20 5 CFBDRN Cc1noc(COC(=O)CCCC2CC2)c1[N+](=O)[O-] ZINC000853918792 635163688 /nfs/dbraw/zinc/16/36/88/635163688.db2.gz PITSWHMVFCAEAU-UHFFFAOYSA-N 0 0 268.269 2.515 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)c1[N+](=O)[O-] ZINC000853924019 635166607 /nfs/dbraw/zinc/16/66/07/635166607.db2.gz JJYGZEXZWOIVSX-OUAUKWLOSA-N 0 0 292.291 2.537 20 5 CFBDRN CCCC[C@](C)(F)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853926942 635166732 /nfs/dbraw/zinc/16/67/32/635166732.db2.gz UXHNXYVMVMBKHR-LBPRGKRZSA-N 0 0 288.275 2.853 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCOC2(C)C)c1 ZINC000853966247 635179693 /nfs/dbraw/zinc/17/96/93/635179693.db2.gz QUEXVLZMKHRKOB-SECBINFHSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NOCc1ccccc1 ZINC000111771335 635180214 /nfs/dbraw/zinc/18/02/14/635180214.db2.gz OCJIKADWHSMUFP-UHFFFAOYSA-N 0 0 286.287 2.765 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H](C)c2nccn2C)c1 ZINC000853967913 635181275 /nfs/dbraw/zinc/18/12/75/635181275.db2.gz XPURLPHADXPFQR-MRVPVSSYSA-N 0 0 294.286 2.649 20 5 CFBDRN CC(=O)c1ccc(N2CC3CC2(C)C3)c([N+](=O)[O-])c1 ZINC000853996890 635186987 /nfs/dbraw/zinc/18/69/87/635186987.db2.gz FJYSAYGARHIODQ-UHFFFAOYSA-N 0 0 260.293 2.786 20 5 CFBDRN CC1CCC(O)(CNc2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000853996254 635187506 /nfs/dbraw/zinc/18/75/06/635187506.db2.gz NRERNBOHPDMAOC-UHFFFAOYSA-N 0 0 279.340 2.530 20 5 CFBDRN CCn1nccc1CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000853999679 635189201 /nfs/dbraw/zinc/18/92/01/635189201.db2.gz OGFWNNNPBCJSNM-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN CC(C)(CCc1noc([C@H]2C[C@H]3C[C@H]3C2)n1)[N+](=O)[O-] ZINC000854061091 635201505 /nfs/dbraw/zinc/20/15/05/635201505.db2.gz WCAJTASIWMTGOJ-MYJAWHEDSA-N 0 0 265.313 2.571 20 5 CFBDRN CC(C)(CCc1nc(-c2cncc(Cl)c2)no1)[N+](=O)[O-] ZINC000854067747 635203877 /nfs/dbraw/zinc/20/38/77/635203877.db2.gz DIDJTRRYISUDLX-UHFFFAOYSA-N 0 0 296.714 2.773 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(C[C@@H]2C[C@H]2c2ccccc2)no1 ZINC000854115993 635214625 /nfs/dbraw/zinc/21/46/25/635214625.db2.gz UYPDZPXPGQEQMQ-WUHRBBMRSA-N 0 0 285.303 2.548 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000111975968 635238959 /nfs/dbraw/zinc/23/89/59/635238959.db2.gz QXWHMNICBXISQA-CHWSQXEVSA-N 0 0 279.292 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(CO)c(-c2ccc3c(c2)CC[C@H]3O)c1 ZINC000854187223 635247541 /nfs/dbraw/zinc/24/75/41/635247541.db2.gz IZRRPBZZKUSWQF-MRXNPFEDSA-N 0 0 285.299 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ccc3c(c2)OCCC[C@@H]3O)cn1 ZINC000854189286 635249016 /nfs/dbraw/zinc/24/90/16/635249016.db2.gz KEXVXPFEZAMNNE-ZDUSSCGKSA-N 0 0 286.287 2.863 20 5 CFBDRN CC1(C)CC(CC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854495275 635317135 /nfs/dbraw/zinc/31/71/35/635317135.db2.gz KZRMWVMDIIGUSC-UHFFFAOYSA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@H]1CCCC[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496685 635317399 /nfs/dbraw/zinc/31/73/99/635317399.db2.gz OOYXJNIZQDPIGK-GXSJLCMTSA-N 0 0 277.324 2.942 20 5 CFBDRN CC(F)(F)CCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496709 635317779 /nfs/dbraw/zinc/31/77/79/635317779.db2.gz PJFHROFFEOESSF-UHFFFAOYSA-N 0 0 273.239 2.551 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2CC23CCCC3)cc1[N+](=O)[O-] ZINC000854496205 635317982 /nfs/dbraw/zinc/31/79/82/635317982.db2.gz BLHDCTBTPFMKEU-JTQLQIEISA-N 0 0 275.308 2.696 20 5 CFBDRN Nc1ccc(NC(=O)C=C2CCSCC2)cc1[N+](=O)[O-] ZINC000854497173 635318433 /nfs/dbraw/zinc/31/84/33/635318433.db2.gz KAEHEBJXIQPVPQ-UHFFFAOYSA-N 0 0 293.348 2.569 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497304 635318451 /nfs/dbraw/zinc/31/84/51/635318451.db2.gz MZDSBVZBZZCDRD-BDAKNGLRSA-N 0 0 263.297 2.552 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H](O)C(C)(C)C ZINC000854511377 635321402 /nfs/dbraw/zinc/32/14/02/635321402.db2.gz SNHYUPFWQNPCQG-GFCCVEGCSA-N 0 0 280.324 2.557 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000855418730 635535468 /nfs/dbraw/zinc/53/54/68/635535468.db2.gz HCYIJJLRHGQMTA-LLVKDONJSA-N 0 0 287.319 2.618 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000855429094 635542093 /nfs/dbraw/zinc/54/20/93/635542093.db2.gz XLWGCAODSXEJJN-GFCCVEGCSA-N 0 0 260.293 2.606 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1C=CCC1 ZINC000855430131 635542693 /nfs/dbraw/zinc/54/26/93/635542693.db2.gz BEKVLTFKFYYXJO-OEYXZAGESA-N 0 0 272.304 2.833 20 5 CFBDRN C/C(=C\C(=O)N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000855430842 635543284 /nfs/dbraw/zinc/54/32/84/635543284.db2.gz LUJQACSCSGBVIB-OCHBPSSRSA-N 0 0 272.304 2.833 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000855430668 635543420 /nfs/dbraw/zinc/54/34/20/635543420.db2.gz HLYBSNXGBPKLIK-ZJUUUORDSA-N 0 0 278.283 2.672 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@@H]1C=CCC1 ZINC000855434590 635547146 /nfs/dbraw/zinc/54/71/46/635547146.db2.gz ZKMZJANRWGAYLX-SECBINFHSA-N 0 0 298.701 2.765 20 5 CFBDRN O=C(N[C@H]1C=CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000855435426 635548289 /nfs/dbraw/zinc/54/82/89/635548289.db2.gz FCIYFVOJMJTJCO-FPMFFAJLSA-N 0 0 272.304 2.533 20 5 CFBDRN Cc1c(C(=O)OCCCOC(C)C)cccc1[N+](=O)[O-] ZINC000113466296 635587047 /nfs/dbraw/zinc/58/70/47/635587047.db2.gz ZIOPYNODXDBETE-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN C[C@@]1(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000855801551 635654536 /nfs/dbraw/zinc/65/45/36/635654536.db2.gz QMBNIGDZIQVXOI-IRBQFCFKSA-N 0 0 296.273 2.770 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)NCC1=CCCC1 ZINC000856097879 635723781 /nfs/dbraw/zinc/72/37/81/635723781.db2.gz SBUJWLXLYCXKSL-UHFFFAOYSA-N 0 0 289.335 2.501 20 5 CFBDRN CCc1ccc(C(=O)NOCC2CCC2)cc1[N+](=O)[O-] ZINC000856348350 635791243 /nfs/dbraw/zinc/79/12/43/635791243.db2.gz WNQZRSBKJKGKGP-UHFFFAOYSA-N 0 0 278.308 2.619 20 5 CFBDRN Cc1cc(F)cc(C(=O)NOCC2CCC2)c1[N+](=O)[O-] ZINC000856348414 635791268 /nfs/dbraw/zinc/79/12/68/635791268.db2.gz YMWNNBLHNQPCSQ-UHFFFAOYSA-N 0 0 282.271 2.504 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NOCC1CCC1 ZINC000856349484 635792370 /nfs/dbraw/zinc/79/23/70/635792370.db2.gz GAZAUOYBAMPKQO-UHFFFAOYSA-N 0 0 292.335 2.982 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000856462867 635817340 /nfs/dbraw/zinc/81/73/40/635817340.db2.gz RSPDPIBROPCRQF-SECBINFHSA-N 0 0 280.299 2.852 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000856511409 635829217 /nfs/dbraw/zinc/82/92/17/635829217.db2.gz YDRFRYSTOKSVOI-UHFFFAOYSA-N 0 0 280.711 2.873 20 5 CFBDRN C[C@H](c1ccco1)c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000857087968 635928087 /nfs/dbraw/zinc/92/80/87/635928087.db2.gz PDTHZGMQHVLZKE-SECBINFHSA-N 0 0 279.296 2.802 20 5 CFBDRN CSC(C)(C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000857579120 635986840 /nfs/dbraw/zinc/98/68/40/635986840.db2.gz ZXJZDHGOSJOKJI-UHFFFAOYSA-N 0 0 269.322 2.950 20 5 CFBDRN CC(C)[C@@H](C)C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857636204 635999821 /nfs/dbraw/zinc/99/98/21/635999821.db2.gz HUXMCQXEMNUGMH-MRVPVSSYSA-N 0 0 282.252 2.701 20 5 CFBDRN CC(=O)c1ccc2ccccc2c1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000857649226 636002097 /nfs/dbraw/zinc/00/20/97/636002097.db2.gz QJMHUIUUWGWRKB-ZIAGYGMSSA-N 0 0 298.298 2.646 20 5 CFBDRN CC[C@](C)(NC(=O)c1cc(OC)ccc1[N+](=O)[O-])C1CC1 ZINC000857653362 636003016 /nfs/dbraw/zinc/00/30/16/636003016.db2.gz FGPVOGDOCZGQSH-HNNXBMFYSA-N 0 0 292.335 2.912 20 5 CFBDRN CCO/C=C\C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000857667489 636006297 /nfs/dbraw/zinc/00/62/97/636006297.db2.gz YNMKUJCOCXMFNF-NTMALXAHSA-N 0 0 290.319 2.814 20 5 CFBDRN Cc1cnc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cn1 ZINC000047220961 650228337 /nfs/dbraw/zinc/22/83/37/650228337.db2.gz VOOXWYPENKVWGP-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@@]1(Br)C[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000858097345 636124298 /nfs/dbraw/zinc/12/42/98/636124298.db2.gz JKVDWCMFFQUHDK-MWLCHTKSSA-N 0 0 299.124 2.707 20 5 CFBDRN CCOCCCC(=O)Oc1ccc(CC)cc1[N+](=O)[O-] ZINC000115927317 636153231 /nfs/dbraw/zinc/15/32/31/636153231.db2.gz ALBRPTQMQZNBMM-UHFFFAOYSA-N 0 0 281.308 2.879 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2F)CCS1 ZINC000158733322 650235668 /nfs/dbraw/zinc/23/56/68/650235668.db2.gz IQHHADHXBVMUOR-UHFFFAOYSA-N 0 0 298.339 2.702 20 5 CFBDRN COc1cccnc1N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000858459193 636252014 /nfs/dbraw/zinc/25/20/14/636252014.db2.gz RLHVQRLGMPZUGP-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN O=[N+]([O-])c1c(NOC[C@@H]2CCOC2)ccc2cnccc21 ZINC000858510988 636279293 /nfs/dbraw/zinc/27/92/93/636279293.db2.gz NUQHBZHMTFSZRY-SNVBAGLBSA-N 0 0 289.291 2.523 20 5 CFBDRN CC1=NO[C@H](CNc2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000858559610 636293098 /nfs/dbraw/zinc/29/30/98/636293098.db2.gz LOBJWQPQGZLMCO-NSHDSACASA-N 0 0 286.291 2.720 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC[C@H]2CCCOC2)c1 ZINC000858652821 636316907 /nfs/dbraw/zinc/31/69/07/636316907.db2.gz BGZWYRVWCGWKRL-SNVBAGLBSA-N 0 0 298.314 2.971 20 5 CFBDRN CCC[C@@H](CNc1ccc([N+](=O)[O-])c2nonc21)OCC ZINC000858656469 636317951 /nfs/dbraw/zinc/31/79/51/636317951.db2.gz GBWIDPXDKKQLBY-VIFPVBQESA-N 0 0 294.311 2.748 20 5 CFBDRN CCC[C@H](CNc1ccc(N)cc1[N+](=O)[O-])OCC ZINC000858656669 636318016 /nfs/dbraw/zinc/31/80/16/636318016.db2.gz MOKNLIMNMAWMQS-LLVKDONJSA-N 0 0 267.329 2.794 20 5 CFBDRN C[C@H]1CN(c2cc(N)ccc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000858664786 636320138 /nfs/dbraw/zinc/32/01/38/636320138.db2.gz NHIQEUBJAGRMDH-IUCAKERBSA-N 0 0 285.294 2.905 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000858689609 636326123 /nfs/dbraw/zinc/32/61/23/636326123.db2.gz HTTFYQSJIFMGEH-NSHDSACASA-N 0 0 276.292 2.577 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000858692294 636327098 /nfs/dbraw/zinc/32/70/98/636327098.db2.gz OMIDCAFEIRDPPR-LLVKDONJSA-N 0 0 276.292 2.577 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000858777130 636344011 /nfs/dbraw/zinc/34/40/11/636344011.db2.gz FXERXXKDTRBUGP-APOZVJGGSA-N 0 0 295.295 2.727 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3ccc(O)cc3)C2)n1 ZINC000858837179 636353144 /nfs/dbraw/zinc/35/31/44/636353144.db2.gz MKBJTEMROWBOCI-CYBMUJFWSA-N 0 0 299.330 2.998 20 5 CFBDRN CN(OCC(F)(F)F)c1c(Cl)cncc1[N+](=O)[O-] ZINC000858869813 636365030 /nfs/dbraw/zinc/36/50/30/636365030.db2.gz NZBNVYAKVJMAPL-UHFFFAOYSA-N 0 0 285.609 2.573 20 5 CFBDRN CC(C)CCOC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000858921468 636384591 /nfs/dbraw/zinc/38/45/91/636384591.db2.gz ISUOKCJUBABGRA-UHFFFAOYSA-N 0 0 266.297 2.867 20 5 CFBDRN CCCOCCOC(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000859128474 636467229 /nfs/dbraw/zinc/46/72/29/636467229.db2.gz NAHATWVXHDAEOS-UHFFFAOYSA-N 0 0 299.279 2.545 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000859446271 636566480 /nfs/dbraw/zinc/56/64/80/636566480.db2.gz AWFXSNJLZWWSFC-VHSXEESVSA-N 0 0 281.333 2.886 20 5 CFBDRN CCO[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000859483392 636579447 /nfs/dbraw/zinc/57/94/47/636579447.db2.gz XZQNOPATLYPMHP-ZDUSSCGKSA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000859584365 636610299 /nfs/dbraw/zinc/61/02/99/636610299.db2.gz QOCBVIXJXNUACK-RNFRBKRXSA-N 0 0 271.219 2.686 20 5 CFBDRN Cc1noc(C)c1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000048556486 650271316 /nfs/dbraw/zinc/27/13/16/650271316.db2.gz MUVUUIDRMGWKNC-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@H]2C[C@@H]2C)c1F ZINC000859652490 636639174 /nfs/dbraw/zinc/63/91/74/636639174.db2.gz WZUMVTDJTWIQRD-IONNQARKSA-N 0 0 267.256 2.855 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@@H]2C[C@H]2C)c1F ZINC000859652488 636639607 /nfs/dbraw/zinc/63/96/07/636639607.db2.gz WZUMVTDJTWIQRD-APPZFPTMSA-N 0 0 267.256 2.855 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000859692412 636654699 /nfs/dbraw/zinc/65/46/99/636654699.db2.gz VGUYJXGYZLSSCU-YUMQZZPRSA-N 0 0 285.246 2.615 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000859766144 636685005 /nfs/dbraw/zinc/68/50/05/636685005.db2.gz PEGSVNXVAXTZNE-LBPRGKRZSA-N 0 0 299.298 2.574 20 5 CFBDRN C[C@@H](O)CCOC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859811818 636703728 /nfs/dbraw/zinc/70/37/28/636703728.db2.gz WCTVBHHZQGMWJO-SNVBAGLBSA-N 0 0 289.287 2.676 20 5 CFBDRN CCC[C@H](OCC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000859840543 636721118 /nfs/dbraw/zinc/72/11/18/636721118.db2.gz XRPQCRUZRLYYLD-ZDUSSCGKSA-N 0 0 299.298 2.982 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@H]1CCCCO1 ZINC000194498044 636784486 /nfs/dbraw/zinc/78/44/86/636784486.db2.gz PFLVQNUTTVSNJL-LLVKDONJSA-N 0 0 279.292 2.629 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])Cn1cc(Cl)cn1 ZINC000194546173 636789278 /nfs/dbraw/zinc/78/92/78/636789278.db2.gz JZPGLANCIONDCW-UHFFFAOYSA-N 0 0 280.715 2.534 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000860140571 636800419 /nfs/dbraw/zinc/80/04/19/636800419.db2.gz GFNUJXFYUCARBB-DYNIEEOBSA-N 0 0 293.319 2.698 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1nnc(C2CC2)o1 ZINC000048987493 650284488 /nfs/dbraw/zinc/28/44/88/650284488.db2.gz QGQVEXRDKPYSKZ-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN CCc1nocc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000860435089 636884072 /nfs/dbraw/zinc/88/40/72/636884072.db2.gz ISKIMWFBXTXZJU-UHFFFAOYSA-N 0 0 282.277 2.564 20 5 CFBDRN CCO[C@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000860615210 636928177 /nfs/dbraw/zinc/92/81/77/636928177.db2.gz RPHQLAVSGOSRLZ-LBPRGKRZSA-N 0 0 285.271 2.700 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000860627893 636931960 /nfs/dbraw/zinc/93/19/60/636931960.db2.gz KSOQNLHSJWRHIP-ZHPDPMBESA-N 0 0 293.319 2.868 20 5 CFBDRN C[C@H](COC(=O)c1ccsc1C(F)(F)F)[N+](=O)[O-] ZINC000860777340 636969129 /nfs/dbraw/zinc/96/91/29/636969129.db2.gz NQQSGQZOVCQGAF-RXMQYKEDSA-N 0 0 283.227 2.589 20 5 CFBDRN C[C@@H](COC(=O)c1cc(C2CC2)[nH]c1C1CC1)[N+](=O)[O-] ZINC000860777430 636969916 /nfs/dbraw/zinc/96/99/16/636969916.db2.gz PWWWOVGBWUPJFM-QMMMGPOBSA-N 0 0 278.308 2.592 20 5 CFBDRN CCc1oc2ccccc2c1CC(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000860777662 636969946 /nfs/dbraw/zinc/96/99/46/636969946.db2.gz SHDZSWLOQMQYEB-SNVBAGLBSA-N 0 0 291.303 2.746 20 5 CFBDRN Cc1ccc(SCCC(=O)OCCC[N+](=O)[O-])cc1C ZINC000860913577 637015352 /nfs/dbraw/zinc/01/53/52/637015352.db2.gz GCLFLOYHDVIDJA-UHFFFAOYSA-N 0 0 297.376 2.996 20 5 CFBDRN CC[C@H](C)n1ncc(C(=O)OCCC[N+](=O)[O-])c1C1CC1 ZINC000860916823 637016109 /nfs/dbraw/zinc/01/61/09/637016109.db2.gz AWQWPLJZIOTJTI-JTQLQIEISA-N 0 0 295.339 2.555 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OCCC[N+](=O)[O-])cc1C ZINC000860920307 637017069 /nfs/dbraw/zinc/01/70/69/637017069.db2.gz XKOPUAPFSYNPKL-UHFFFAOYSA-N 0 0 271.700 2.780 20 5 CFBDRN C[C@@H](CCCc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860920375 637017164 /nfs/dbraw/zinc/01/71/64/637017164.db2.gz YYSXNRFHIIVQNL-ZDUSSCGKSA-N 0 0 279.336 2.855 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc(C(F)(F)F)c(F)c1 ZINC000860921171 637017765 /nfs/dbraw/zinc/01/77/65/637017765.db2.gz OWXPBJQDRSZARX-UHFFFAOYSA-N 0 0 295.188 2.668 20 5 CFBDRN Cn1ccc(C(=O)OCCC[N+](=O)[O-])c1-c1ccccc1 ZINC000860922081 637017926 /nfs/dbraw/zinc/01/79/26/637017926.db2.gz GXFREHUNZRCPIZ-UHFFFAOYSA-N 0 0 288.303 2.516 20 5 CFBDRN CC[C@@H](C(=O)OCCC[N+](=O)[O-])c1ccc(F)cc1 ZINC000860921712 637018159 /nfs/dbraw/zinc/01/81/59/637018159.db2.gz VMFNLDMNCLSFKS-GFCCVEGCSA-N 0 0 269.272 2.529 20 5 CFBDRN O=C(OC1CCC(F)(F)CC1)c1ccc([N+](=O)[O-])cn1 ZINC000860972054 637035616 /nfs/dbraw/zinc/03/56/16/637035616.db2.gz VBCLEVZRZLWOOY-UHFFFAOYSA-N 0 0 286.234 2.725 20 5 CFBDRN CN(Cc1cc[nH]n1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000117882825 637038163 /nfs/dbraw/zinc/03/81/63/637038163.db2.gz CWZCRIGGPAXEFE-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN Cc1cc(C(=O)OC[C@@]2(C)CCCOC2)ccc1[N+](=O)[O-] ZINC000861037452 637050492 /nfs/dbraw/zinc/05/04/92/637050492.db2.gz XZDYGUFVJXPDAM-HNNXBMFYSA-N 0 0 293.319 2.877 20 5 CFBDRN O=C(Oc1cc(Br)ccc1[N+](=O)[O-])C1CC1 ZINC000861178199 637103847 /nfs/dbraw/zinc/10/38/47/637103847.db2.gz DYIQALODJUPNID-UHFFFAOYSA-N 0 0 286.081 2.673 20 5 CFBDRN O=C(CCn1cc([N+](=O)[O-])cn1)O[C@H]1CCC=CCCC1 ZINC000861230962 637127182 /nfs/dbraw/zinc/12/71/82/637127182.db2.gz MZMLVPPJPNAPPZ-ZDUSSCGKSA-N 0 0 293.323 2.614 20 5 CFBDRN O=C(OCc1cnc(C2CC2)o1)c1ccc([N+](=O)[O-])cc1 ZINC000861371901 637178402 /nfs/dbraw/zinc/17/84/02/637178402.db2.gz MGMAQLSXXQRAKR-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN O=C(O[C@@H]1CCCc2ccc(Cl)cc21)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000861402724 637190871 /nfs/dbraw/zinc/19/08/71/637190871.db2.gz FFIIDFMQXLNUHT-JHJVBQTASA-N 0 0 295.722 2.926 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1cnccn1 ZINC000118296766 637200163 /nfs/dbraw/zinc/20/01/63/637200163.db2.gz CXXNJOQSNGVDRQ-UHFFFAOYSA-N 0 0 293.666 2.566 20 5 CFBDRN CCO[C@@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000861848326 637245151 /nfs/dbraw/zinc/24/51/51/637245151.db2.gz JFXPDISYHREFEZ-SMDDNHRTSA-N 0 0 294.351 2.833 20 5 CFBDRN O=C(c1cc(F)c([N+](=O)[O-])cc1F)N(C1CC1)C1CC1 ZINC000862852275 637507713 /nfs/dbraw/zinc/50/77/13/637507713.db2.gz IBPCNYYCCOYOEY-UHFFFAOYSA-N 0 0 282.246 2.640 20 5 CFBDRN CC(C)[C@@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c2ccccc21 ZINC000862961969 637527956 /nfs/dbraw/zinc/52/79/56/637527956.db2.gz FSWCTFAIDOPRGY-LJISPDSOSA-N 0 0 288.347 2.652 20 5 CFBDRN O=C(COc1cccnc1Cl)c1cccc([N+](=O)[O-])c1 ZINC000119333834 637590805 /nfs/dbraw/zinc/59/08/05/637590805.db2.gz CNZRQMXTCIGIMO-UHFFFAOYSA-N 0 0 292.678 2.905 20 5 CFBDRN C[C@@H]1C[C@H]1COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000863347865 637635318 /nfs/dbraw/zinc/63/53/18/637635318.db2.gz URIZXFSYYWDFHS-SFYZADRCSA-N 0 0 252.226 2.538 20 5 CFBDRN Cc1ccccc1SC[C@H](C)Cn1ncc([N+](=O)[O-])n1 ZINC000863372688 637643924 /nfs/dbraw/zinc/64/39/24/637643924.db2.gz ZFHDOHGNYKOTKS-SNVBAGLBSA-N 0 0 292.364 2.923 20 5 CFBDRN Cc1cc(OCCn2ncc([N+](=O)[O-])n2)ccc1C(C)C ZINC000863374778 637644196 /nfs/dbraw/zinc/64/41/96/637644196.db2.gz FDRIEIAZXJAKDY-UHFFFAOYSA-N 0 0 290.323 2.697 20 5 CFBDRN CCN[C@H](C)c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000863663925 637735310 /nfs/dbraw/zinc/73/53/10/637735310.db2.gz NCRHJFYUOIPMNO-SNVBAGLBSA-N 0 0 290.323 2.548 20 5 CFBDRN Cn1c(Cl)cnc1COc1ccccc1[N+](=O)[O-] ZINC000014754240 637739104 /nfs/dbraw/zinc/73/91/04/637739104.db2.gz ZKWGKKZMORGRDH-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2csc(O[C@H]3CCOC3)n2)cc1 ZINC000863975813 637827871 /nfs/dbraw/zinc/82/78/71/637827871.db2.gz KBBGQVIYKDTYHV-NSHDSACASA-N 0 0 292.316 2.886 20 5 CFBDRN Cc1c(CNc2cccc3c2CNC3=O)cccc1[N+](=O)[O-] ZINC000864027980 637836739 /nfs/dbraw/zinc/83/67/39/637836739.db2.gz IXERORBUDHBQCG-UHFFFAOYSA-N 0 0 297.314 2.759 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)C2CCC2)c1[N+](=O)[O-] ZINC000119883378 637900994 /nfs/dbraw/zinc/90/09/94/637900994.db2.gz CSAZTCDHOHNGAK-UHFFFAOYSA-N 0 0 266.272 2.667 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000864728018 638078044 /nfs/dbraw/zinc/07/80/44/638078044.db2.gz HKJGUILRGHJVGS-HYNSBDGHSA-N 0 0 286.331 2.776 20 5 CFBDRN CCOC(COc1ccc([N+](=O)[O-])cc1C)OCC ZINC000120253317 638106906 /nfs/dbraw/zinc/10/69/06/638106906.db2.gz CTUUXYQUPKCLDF-UHFFFAOYSA-N 0 0 269.297 2.681 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@]12C[C@H]1CCCC2 ZINC000864906495 638120978 /nfs/dbraw/zinc/12/09/78/638120978.db2.gz PRIOPUYWNQAFDX-IUODEOHRSA-N 0 0 274.320 2.791 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000865027697 638164331 /nfs/dbraw/zinc/16/43/31/638164331.db2.gz APAOJORZAYQXTE-HYNSBDGHSA-N 0 0 274.320 2.503 20 5 CFBDRN CN(CC1CCCC1)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865050150 638174284 /nfs/dbraw/zinc/17/42/84/638174284.db2.gz RSSAUHHKURQQMD-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN CCCC(C)(C)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865065207 638177489 /nfs/dbraw/zinc/17/74/89/638177489.db2.gz HLHJYPSMHANMIX-UHFFFAOYSA-N 0 0 282.315 2.971 20 5 CFBDRN CC1(C)CC[C@@H](CSCCn2cc([N+](=O)[O-])cn2)OC1 ZINC000865287843 638257817 /nfs/dbraw/zinc/25/78/17/638257817.db2.gz MVMGWEUUZSMJGC-LBPRGKRZSA-N 0 0 299.396 2.730 20 5 CFBDRN COc1ccc(OC(=O)CCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000076837429 650406333 /nfs/dbraw/zinc/40/63/33/650406333.db2.gz FRMSRIAJQPWFMK-UHFFFAOYSA-N 0 0 293.197 2.851 20 5 CFBDRN C[C@@H](Oc1cccc([N+](=O)[O-])c1)C(=O)C(C)(C)C ZINC000865342149 638273453 /nfs/dbraw/zinc/27/34/53/638273453.db2.gz FSGMAOPWBPSCKH-SECBINFHSA-N 0 0 251.282 2.977 20 5 CFBDRN O=[N+]([O-])c1ccc(OCOC2CCOCC2)c(Cl)c1 ZINC000865342684 638274398 /nfs/dbraw/zinc/27/43/98/638274398.db2.gz UTRCMGFNNLBXSX-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN Cc1ccc(CO[C@H](C)Cc2ncc[nH]2)cc1[N+](=O)[O-] ZINC000865362414 638283882 /nfs/dbraw/zinc/28/38/82/638283882.db2.gz UTORIUFBLVYHDH-LLVKDONJSA-N 0 0 275.308 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc(OCOC2CCCCC2)cc1CO ZINC000865364723 638286015 /nfs/dbraw/zinc/28/60/15/638286015.db2.gz NGKINWLUYIECDQ-UHFFFAOYSA-N 0 0 281.308 2.773 20 5 CFBDRN CC1(C)CC[C@@H](Cn2cc([N+](=O)[O-])c(C3CC3)n2)OC1 ZINC000865363919 638286252 /nfs/dbraw/zinc/28/62/52/638286252.db2.gz YKTJCGAYDMOBQP-NSHDSACASA-N 0 0 279.340 2.874 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)C(=O)C(C)(C)C)c1 ZINC000865370712 638289571 /nfs/dbraw/zinc/28/95/71/638289571.db2.gz VRZILFZCHATTEV-SECBINFHSA-N 0 0 281.308 2.986 20 5 CFBDRN CCOC(=O)CCSCc1ccc([N+](=O)[O-])c(F)c1 ZINC000865378721 638296981 /nfs/dbraw/zinc/29/69/81/638296981.db2.gz ZHCDUSAAXVHGAW-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN CCC(C)(C)CCn1nc([N+](=O)[O-])nc1Br ZINC000865389691 638302573 /nfs/dbraw/zinc/30/25/73/638302573.db2.gz VPMXTBUUQYQGBS-UHFFFAOYSA-N 0 0 291.149 2.775 20 5 CFBDRN CCc1nc(C)cn1Cc1c(F)cccc1[N+](=O)[O-] ZINC000865392683 638304098 /nfs/dbraw/zinc/30/40/98/638304098.db2.gz OHLHQLRTOLZQPF-UHFFFAOYSA-N 0 0 263.272 2.850 20 5 CFBDRN COc1ccc(C(=O)OCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000120524267 638309934 /nfs/dbraw/zinc/30/99/34/638309934.db2.gz GNRYLEYYIHUMAN-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN CC1(C)C[C@H]1CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865536782 638405064 /nfs/dbraw/zinc/40/50/64/638405064.db2.gz KVLNOKKPVWUTCC-VIFPVBQESA-N 0 0 268.288 2.684 20 5 CFBDRN C[C@H](CC(N)=O)Nc1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000865551470 638416841 /nfs/dbraw/zinc/41/68/41/638416841.db2.gz ARNPZEVCEPWUIS-RXMQYKEDSA-N 0 0 292.122 2.577 20 5 CFBDRN C[C@@H]1COCCN(c2ccnc3ccc([N+](=O)[O-])cc32)C1 ZINC000865640111 638481578 /nfs/dbraw/zinc/48/15/78/638481578.db2.gz WSIKUUWBXFEMSL-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@@H](O)c1ccc(N2CCc3c(cccc3[N+](=O)[O-])C2)nc1 ZINC000865644891 638484493 /nfs/dbraw/zinc/48/44/93/638484493.db2.gz YAKAUPGQKOVJRX-LLVKDONJSA-N 0 0 299.330 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCC[C@H]2CCCCO2)cc1F ZINC000865666403 638499214 /nfs/dbraw/zinc/49/92/14/638499214.db2.gz GUGKPEFXYBHJNA-LLVKDONJSA-N 0 0 298.314 2.597 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@H]2CC23CCCC3)cc1F ZINC000865727119 638539514 /nfs/dbraw/zinc/53/95/14/638539514.db2.gz OWGKVKNXBLFIFG-ZDUSSCGKSA-N 0 0 280.299 2.971 20 5 CFBDRN CC1=C[C@@H](C)CN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865752409 638552058 /nfs/dbraw/zinc/55/20/58/638552058.db2.gz UUODNDUUQREAOY-SECBINFHSA-N 0 0 280.299 2.629 20 5 CFBDRN CCc1ncc(C[NH2+]Cc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000865788039 638574497 /nfs/dbraw/zinc/57/44/97/638574497.db2.gz XIOWVFUUMQVXSC-UHFFFAOYSA-N 0 0 286.335 2.545 20 5 CFBDRN CC[C@@H](C)CONc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865826732 638595429 /nfs/dbraw/zinc/59/54/29/638595429.db2.gz AKIAMQWIFFOMAE-MRVPVSSYSA-N 0 0 272.276 2.616 20 5 CFBDRN COC1(CNc2cc(F)c([N+](=O)[O-])cc2CO)CCCC1 ZINC000865839002 638605054 /nfs/dbraw/zinc/60/50/54/638605054.db2.gz GGRZGMVOIXZPOJ-UHFFFAOYSA-N 0 0 298.314 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1NC[C@@H]1COCO1 ZINC000865871581 638629270 /nfs/dbraw/zinc/62/92/70/638629270.db2.gz DFIYHLSTOSKNEC-ZCFIWIBFSA-N 0 0 293.106 2.686 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2C[C@H]2c2ccco2)cc1F ZINC000865880453 638639790 /nfs/dbraw/zinc/63/97/90/638639790.db2.gz LYJGBEDPJYQQQD-BXKDBHETSA-N 0 0 292.266 2.787 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2C[C@H]2C2CCC2)cc1F ZINC000865888986 638644710 /nfs/dbraw/zinc/64/47/10/638644710.db2.gz ALARANKTFSEMHF-GXFFZTMASA-N 0 0 280.299 2.827 20 5 CFBDRN CC[C@H](Nc1cc(C)ccc1[N+](=O)[O-])C(OC)OC ZINC000865923753 638659721 /nfs/dbraw/zinc/65/97/21/638659721.db2.gz VJEHDFISYLENMD-JTQLQIEISA-N 0 0 268.313 2.713 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)Cc2ccns2)c1 ZINC000865937523 638667335 /nfs/dbraw/zinc/66/73/35/638667335.db2.gz UNBYPDWMVVVHMC-UHFFFAOYSA-N 0 0 297.311 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)c(F)c1 ZINC000866046783 638710808 /nfs/dbraw/zinc/71/08/08/638710808.db2.gz RQHUEDJDKZCKAJ-LIHPDXLKSA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1ccc(N2CCO[C@@H](C(F)F)CC2)c([N+](=O)[O-])c1 ZINC000866064137 638719341 /nfs/dbraw/zinc/71/93/41/638719341.db2.gz HWNUCCKXLSHRCM-GFCCVEGCSA-N 0 0 286.278 2.764 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@H](C(F)F)CC1 ZINC000866064315 638719407 /nfs/dbraw/zinc/71/94/07/638719407.db2.gz NETPJGJHLUJXNP-LBPRGKRZSA-N 0 0 286.278 2.764 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000866068928 638721414 /nfs/dbraw/zinc/72/14/14/638721414.db2.gz FDLOTZMJBGEINS-GWCFXTLKSA-N 0 0 292.335 2.801 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])cc2)C1 ZINC000866070116 638722353 /nfs/dbraw/zinc/72/23/53/638722353.db2.gz TWVSNMPORSQJQN-ZWNOBZJWSA-N 0 0 250.298 2.599 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])cc2)C1 ZINC000866070113 638722494 /nfs/dbraw/zinc/72/24/94/638722494.db2.gz TWVSNMPORSQJQN-GXFFZTMASA-N 0 0 250.298 2.599 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000866072601 638724586 /nfs/dbraw/zinc/72/45/86/638724586.db2.gz UPTFASIBKWITNQ-KOLCDFICSA-N 0 0 268.288 2.738 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCCS2)c1 ZINC000866091507 638732738 /nfs/dbraw/zinc/73/27/38/638732738.db2.gz KGENJSPIVMHFKC-JTQLQIEISA-N 0 0 267.354 2.875 20 5 CFBDRN CS[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2C)C1 ZINC000866100947 638738042 /nfs/dbraw/zinc/73/80/42/638738042.db2.gz BPFYDBBPNGHSGY-CZMCAQCFSA-N 0 0 253.327 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](O)[C@@H]3CCCC[C@H]32)cc1 ZINC000866117452 638745067 /nfs/dbraw/zinc/74/50/67/638745067.db2.gz OAUISCAVGIKFOU-KFWWJZLASA-N 0 0 276.336 2.725 20 5 CFBDRN CCOC(CN(CC)c1ccc([N+](=O)[O-])c(C)n1)OCC ZINC000866127290 638750283 /nfs/dbraw/zinc/75/02/83/638750283.db2.gz CSWTYRVOQGNEDZ-UHFFFAOYSA-N 0 0 297.355 2.524 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC3(CO2)CCOCC3)cc1 ZINC000866178211 638764298 /nfs/dbraw/zinc/76/42/98/638764298.db2.gz OZLVDXCQHFTQKQ-AWEZNQCLSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1cc(NC[C@@H]2c3ccccc3CN2C)ncc1[N+](=O)[O-] ZINC000866194823 638767089 /nfs/dbraw/zinc/76/70/89/638767089.db2.gz KKCPFMVEYDZPKV-OAHLLOKOSA-N 0 0 298.346 2.897 20 5 CFBDRN CCOc1cc(N2C[C@H](O)CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000866227333 638774200 /nfs/dbraw/zinc/77/42/00/638774200.db2.gz SZNCVPSEHLVHDU-GFCCVEGCSA-N 0 0 294.351 2.591 20 5 CFBDRN Cc1nn(C)c(N2CC([C@@H]3CCC[C@H](C)C3)C2)c1[N+](=O)[O-] ZINC000866254500 638781463 /nfs/dbraw/zinc/78/14/63/638781463.db2.gz PLRIGERJGJEXBU-CMPLNLGQSA-N 0 0 292.383 2.899 20 5 CFBDRN COC(=O)C[C@@H](C)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000866262376 638783384 /nfs/dbraw/zinc/78/33/84/638783384.db2.gz WDWREZHXBFFBQC-SSDOTTSWSA-N 0 0 295.320 2.568 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1C[C@H]1C1CCOCC1 ZINC000866272831 638786334 /nfs/dbraw/zinc/78/63/34/638786334.db2.gz VTWKQMDXJLROOW-GXSJLCMTSA-N 0 0 268.338 2.883 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1C[C@H]1C1CCOCC1 ZINC000866272743 638786370 /nfs/dbraw/zinc/78/63/70/638786370.db2.gz SFRNVZWPQQQROK-CMPLNLGQSA-N 0 0 280.299 2.961 20 5 CFBDRN CC(C)(C)OC1CN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000866278916 638787956 /nfs/dbraw/zinc/78/79/56/638787956.db2.gz CCTNZHZCZBDSRT-UHFFFAOYSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000866298771 638791212 /nfs/dbraw/zinc/79/12/12/638791212.db2.gz CIJKMLIKBJVHSN-BXKDBHETSA-N 0 0 280.324 2.759 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC(C)CC2)cc1[N+](=O)[O-] ZINC000867785627 638897767 /nfs/dbraw/zinc/89/77/67/638897767.db2.gz RTQZMWKHUBWZKO-UHFFFAOYSA-N 0 0 291.351 2.845 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000868525462 638952997 /nfs/dbraw/zinc/95/29/97/638952997.db2.gz UULZWMDQIGXBDV-ZWNOBZJWSA-N 0 0 291.351 2.891 20 5 CFBDRN C/C(=C\C(=O)N1CC[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000868604653 638960674 /nfs/dbraw/zinc/96/06/74/638960674.db2.gz VPGPGSXNTSPBRP-IDVQTMNDSA-N 0 0 296.273 2.864 20 5 CFBDRN CC1(C2(NC(=O)Cc3cccc(F)c3[N+](=O)[O-])CC2)CC1 ZINC000868761564 638976145 /nfs/dbraw/zinc/97/61/45/638976145.db2.gz NUVWFUGMXIIWIO-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN Cc1ccc(CNC(=O)N2C[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000869052749 639015415 /nfs/dbraw/zinc/01/54/15/639015415.db2.gz DIQJIMDKJPKLIH-CMPLNLGQSA-N 0 0 291.351 2.843 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000869375962 639201828 /nfs/dbraw/zinc/20/18/28/639201828.db2.gz NAOLLWPLBOUHRM-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN C[C@H]1OCC[C@H]1COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000869387037 639207524 /nfs/dbraw/zinc/20/75/24/639207524.db2.gz CDJZFPMQMLQQPG-BDAKNGLRSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@@H](COC(=O)c1ccc([N+](=O)[O-])cn1)C(C)(C)C ZINC000869436365 639230386 /nfs/dbraw/zinc/23/03/86/639230386.db2.gz FSEQXXJRFUVJGT-VIFPVBQESA-N 0 0 266.297 2.829 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC000869504764 639264491 /nfs/dbraw/zinc/26/44/91/639264491.db2.gz IRYZYRDPAIAQSC-ZDUSSCGKSA-N 0 0 277.276 2.639 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CCC(C)(C)CO1)[N+](=O)[O-] ZINC000869529586 639281162 /nfs/dbraw/zinc/28/11/62/639281162.db2.gz ABNKHDZWCNMEEC-NSHDSACASA-N 0 0 287.356 2.570 20 5 CFBDRN Cc1cc(C(=O)NCC[C@H]2CCSC2)cc([N+](=O)[O-])c1 ZINC000869608276 639320158 /nfs/dbraw/zinc/32/01/58/639320158.db2.gz SIYSNEOTSATQSP-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1cc(F)c([N+](=O)[O-])cc1F ZINC000869749098 639379990 /nfs/dbraw/zinc/37/99/90/639379990.db2.gz QBBVFFJNCNTPGE-GFCCVEGCSA-N 0 0 296.273 2.936 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869812069 639417660 /nfs/dbraw/zinc/41/76/60/639417660.db2.gz OPESOTJLKYFQMV-NSHDSACASA-N 0 0 291.303 2.537 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000869897556 639458717 /nfs/dbraw/zinc/45/87/17/639458717.db2.gz YKDOXZCCRSZNJX-VIFPVBQESA-N 0 0 265.240 2.999 20 5 CFBDRN Cc1c(Cn2c(Cl)cccc2=O)cccc1[N+](=O)[O-] ZINC000122848238 639477108 /nfs/dbraw/zinc/47/71/08/639477108.db2.gz KUSKLXNUNTZJBZ-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)c([N+](=O)[O-])c1 ZINC000870205550 639543759 /nfs/dbraw/zinc/54/37/59/639543759.db2.gz QSPUYKUPGSWKPV-HOSYDEDBSA-N 0 0 293.319 2.876 20 5 CFBDRN CCC(C)(C)CCNC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000870293219 639585252 /nfs/dbraw/zinc/58/52/52/639585252.db2.gz IHWYGEHVEHKIDP-ZDUSSCGKSA-N 0 0 294.351 2.571 20 5 CFBDRN COc1ccc2cc(C(=O)OC[C@H](C)[N+](=O)[O-])sc2c1 ZINC000870377975 639616100 /nfs/dbraw/zinc/61/61/00/639616100.db2.gz SXEYNFLTVUSMAI-QMMMGPOBSA-N 0 0 295.316 2.732 20 5 CFBDRN CCCc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])s1 ZINC000870377585 639616317 /nfs/dbraw/zinc/61/63/17/639616317.db2.gz QZBTYYGHCPQPPC-MRVPVSSYSA-N 0 0 257.311 2.523 20 5 CFBDRN CCc1ccc(F)cc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000870467990 639653890 /nfs/dbraw/zinc/65/38/90/639653890.db2.gz NLWLABLXPMWZKO-UHFFFAOYSA-N 0 0 269.272 2.600 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000870576667 639731421 /nfs/dbraw/zinc/73/14/21/639731421.db2.gz LOLIXPYHYDLWQE-PHIMTYICSA-N 0 0 281.333 2.954 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000870577903 639731996 /nfs/dbraw/zinc/73/19/96/639731996.db2.gz BZYAIGNEDWVBKD-AOOOYVTPSA-N 0 0 281.333 2.954 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@H]1CCCOC1 ZINC000870699935 639777811 /nfs/dbraw/zinc/77/78/11/639777811.db2.gz NSTCLSIXAYJWAX-LBPRGKRZSA-N 0 0 293.319 2.681 20 5 CFBDRN Cc1ocnc1C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870699989 639777878 /nfs/dbraw/zinc/77/78/78/639777878.db2.gz PMXYOIKXCQGMCF-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@H]1CCCCO1 ZINC000870701463 639778897 /nfs/dbraw/zinc/77/88/97/639778897.db2.gz UPWRARYKTOISBW-AWEZNQCLSA-N 0 0 293.319 2.824 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000870705425 639781676 /nfs/dbraw/zinc/78/16/76/639781676.db2.gz LXDYSBWDAUTSLY-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(C)c(OC(=O)C[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000078796386 650533768 /nfs/dbraw/zinc/53/37/68/650533768.db2.gz GNUWQAFFBFIRNM-NSHDSACASA-N 0 0 279.292 2.686 20 5 CFBDRN CCc1cnc(COc2cc(C=O)ccc2[N+](=O)[O-])o1 ZINC000078966063 650551011 /nfs/dbraw/zinc/55/10/11/650551011.db2.gz YQLDRBSTYPEZCD-UHFFFAOYSA-N 0 0 276.248 2.537 20 5 CFBDRN O=C(OC1CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000079170854 650567012 /nfs/dbraw/zinc/56/70/12/650567012.db2.gz WZMNTZYREYQWAP-UHFFFAOYSA-N 0 0 255.657 2.958 20 5 CFBDRN Cc1nccc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000079795314 650619953 /nfs/dbraw/zinc/61/99/53/650619953.db2.gz MWROGXJSPVMDTH-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN O=C(CC(F)(F)F)OCc1ccc([N+](=O)[O-])cc1 ZINC000193030904 650618489 /nfs/dbraw/zinc/61/84/89/650618489.db2.gz YLZGORGHXPUITA-UHFFFAOYSA-N 0 0 263.171 2.590 20 5 CFBDRN CCCC[C@H](C)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870828984 641090705 /nfs/dbraw/zinc/09/07/05/641090705.db2.gz YZKNQIYJHHGCLX-ZBOXLXRLSA-N 0 0 295.339 2.864 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC[C@@H]1CCCS1 ZINC000871035413 641167505 /nfs/dbraw/zinc/16/75/05/641167505.db2.gz QOFKRBCGNDUIMI-NSHDSACASA-N 0 0 294.376 2.919 20 5 CFBDRN O=C(CC(F)(F)C(F)F)Nc1ccc([N+](=O)[O-])cc1F ZINC000888554471 641208766 /nfs/dbraw/zinc/20/87/66/641208766.db2.gz OMGJIKWUXAZTQA-UHFFFAOYSA-N 0 0 298.167 2.963 20 5 CFBDRN CC1(C)CO[C@H](CC(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000888554256 641208774 /nfs/dbraw/zinc/20/87/74/641208774.db2.gz IABVLKXONRHEDS-SNVBAGLBSA-N 0 0 296.298 2.878 20 5 CFBDRN CC[C@H](C)NC(=O)CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000193273183 650640496 /nfs/dbraw/zinc/64/04/96/650640496.db2.gz LQPGHFLMCHXEKV-QMMMGPOBSA-N 0 0 285.731 2.575 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871516024 641336745 /nfs/dbraw/zinc/33/67/45/641336745.db2.gz UWGIYEARBHOGRH-AOOOYVTPSA-N 0 0 278.333 2.695 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871515860 641337448 /nfs/dbraw/zinc/33/74/48/641337448.db2.gz RRFXHRWDQRGIPK-OKILXGFUSA-N 0 0 286.331 2.562 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000871630654 641375106 /nfs/dbraw/zinc/37/51/06/641375106.db2.gz NATKZUQEAQJECA-GVXVVHGQSA-N 0 0 263.293 2.931 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CC3(CCC3)CO2)cc1 ZINC000871630757 641375299 /nfs/dbraw/zinc/37/52/99/641375299.db2.gz QQUHSCYTSQRLBJ-CYBMUJFWSA-N 0 0 263.293 2.933 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000871637908 641376896 /nfs/dbraw/zinc/37/68/96/641376896.db2.gz QWZVEGAQMJJWOP-RIEGTJTDSA-N 0 0 291.303 2.744 20 5 CFBDRN CO[C@H]1C[C@@H](COc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000871639432 641378421 /nfs/dbraw/zinc/37/84/21/641378421.db2.gz OIZWOJCZOQRENK-KLPPZKSPSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2C[C@H]3CCC[C@H]3O2)n1 ZINC000871665340 641389806 /nfs/dbraw/zinc/38/98/06/641389806.db2.gz HMEKJKSECKWMJS-FRRDWIJNSA-N 0 0 292.335 2.943 20 5 CFBDRN COc1cc(OC[C@@H]2C[C@@H]3CCC[C@@H]3O2)ccc1[N+](=O)[O-] ZINC000871667826 641392851 /nfs/dbraw/zinc/39/28/51/641392851.db2.gz DPCISUKQLFGJMH-JKOKRWQUSA-N 0 0 293.319 2.940 20 5 CFBDRN CO[C@H]1C[C@@H](Cn2cc(-c3ccccc3[N+](=O)[O-])cn2)C1 ZINC000871677086 641398321 /nfs/dbraw/zinc/39/83/21/641398321.db2.gz UZKSCEQKEJOJTP-BJHJDKERSA-N 0 0 287.319 2.883 20 5 CFBDRN O=[N+]([O-])c1nc(Br)ccc1OCCC1CC1 ZINC000871693481 641408386 /nfs/dbraw/zinc/40/83/86/641408386.db2.gz ASYNIZILZNEMFO-UHFFFAOYSA-N 0 0 287.113 2.931 20 5 CFBDRN CC(C)C(=O)COc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871695072 641409436 /nfs/dbraw/zinc/40/94/36/641409436.db2.gz YDOWIWTZGIEAPZ-UHFFFAOYSA-N 0 0 275.663 2.991 20 5 CFBDRN Cc1ccc(CN2C(=O)SC(C)(C)C2=O)cc1[N+](=O)[O-] ZINC000871736658 641430225 /nfs/dbraw/zinc/43/02/25/641430225.db2.gz SXBYGNLEEPVGIP-UHFFFAOYSA-N 0 0 294.332 2.877 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Cn1cc(C2CC2)cn1 ZINC000871758674 641442191 /nfs/dbraw/zinc/44/21/91/641442191.db2.gz HYFARONQKLOWPV-UHFFFAOYSA-N 0 0 273.292 2.726 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC[C@H]1C[C@@H](OC)C1 ZINC000871835279 641473735 /nfs/dbraw/zinc/47/37/35/641473735.db2.gz KOUDPZIGYOWBJM-KLPPZKSPSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNc1nncs1 ZINC000871862234 641487495 /nfs/dbraw/zinc/48/74/95/641487495.db2.gz VMHYFCSUWQUCDU-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN C[C@@H](NCc1ccn(C)c1)c1c(F)cccc1[N+](=O)[O-] ZINC000872040656 641574175 /nfs/dbraw/zinc/57/41/75/641574175.db2.gz MOWOPKYTHPAUAO-SNVBAGLBSA-N 0 0 277.299 2.923 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cn[nH]c1)c1c(F)cccc1[N+](=O)[O-] ZINC000872050979 641585280 /nfs/dbraw/zinc/58/52/80/641585280.db2.gz GQIQTVICQGVGBU-DTWKUNHWSA-N 0 0 278.287 2.869 20 5 CFBDRN C[C@@H](N[C@@H]1CCn2ccnc21)c1c(F)cccc1[N+](=O)[O-] ZINC000872075145 641607110 /nfs/dbraw/zinc/60/71/10/641607110.db2.gz OUXVWFATLWLPPX-MWLCHTKSSA-N 0 0 290.298 2.726 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccncc1F ZINC000124151039 641859032 /nfs/dbraw/zinc/85/90/32/641859032.db2.gz OXDKHHMXYSWAGY-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC(C)(O)[C@@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000872674089 641892779 /nfs/dbraw/zinc/89/27/79/641892779.db2.gz HSNMTELRCVIOFP-SECBINFHSA-N 0 0 270.354 2.644 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000124210160 641910050 /nfs/dbraw/zinc/91/00/50/641910050.db2.gz HRAMAPWDYIJLKS-VIFPVBQESA-N 0 0 267.281 2.806 20 5 CFBDRN C[C@]1(C(F)(F)F)CCN(c2cc(N)ccc2[N+](=O)[O-])C1 ZINC000872729635 641915392 /nfs/dbraw/zinc/91/53/92/641915392.db2.gz ZDZVKDRRBCCJJC-NSHDSACASA-N 0 0 289.257 2.956 20 5 CFBDRN Cc1csc([C@H]2CCN(c3ccc([N+](=O)[O-])nc3)C2)n1 ZINC000872735008 641916494 /nfs/dbraw/zinc/91/64/94/641916494.db2.gz DMNZEUIWKAJIIJ-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN Cc1csc([C@@H]2CCN(c3ncc([N+](=O)[O-])s3)C2)n1 ZINC000872737755 641918239 /nfs/dbraw/zinc/91/82/39/641918239.db2.gz XSAAKTPADQHIOX-MRVPVSSYSA-N 0 0 296.377 2.810 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@@H](O)c1ccccc1 ZINC000872774163 641928890 /nfs/dbraw/zinc/92/88/90/641928890.db2.gz DZPPNQJAZYYKQM-HIFRSBDPSA-N 0 0 287.319 2.914 20 5 CFBDRN CCn1ncc(Nc2cc(C)c([N+](=O)[O-])cn2)c1C ZINC000872778172 641931373 /nfs/dbraw/zinc/93/13/73/641931373.db2.gz BYMBOLSSXFKDGK-UHFFFAOYSA-N 0 0 261.285 2.567 20 5 CFBDRN Cc1nonc1CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000872777837 641931419 /nfs/dbraw/zinc/93/14/19/641931419.db2.gz QZXZDXVHKPHKDG-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN CCOc1cc(N2CC[C@@H](C(C)(C)O)C2)ccc1[N+](=O)[O-] ZINC000872782874 641937385 /nfs/dbraw/zinc/93/73/85/641937385.db2.gz BPLUKKGTRIWEDU-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN CCc1cc(NCc2cccc([N+](=O)[O-])c2C)n(C)n1 ZINC000873075307 642005411 /nfs/dbraw/zinc/00/54/11/642005411.db2.gz UZMIKAUDGIDVPI-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CCc1nn(C)c(NC[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000124392947 642010110 /nfs/dbraw/zinc/01/01/10/642010110.db2.gz GPRRYWWKYWEYGW-VIFPVBQESA-N 0 0 268.361 2.985 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000873093832 642011462 /nfs/dbraw/zinc/01/14/62/642011462.db2.gz VNTODRHOPVANIE-ZDUSSCGKSA-N 0 0 289.335 2.645 20 5 CFBDRN CCc1ncc(CNCc2cccc([N+](=O)[O-])c2C)o1 ZINC000873128998 642020745 /nfs/dbraw/zinc/02/07/45/642020745.db2.gz DALDLWTZWPLUIH-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873437969 642205018 /nfs/dbraw/zinc/20/50/18/642205018.db2.gz BTJRVADAEYZAKU-SECBINFHSA-N 0 0 293.319 2.984 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000873528167 642235467 /nfs/dbraw/zinc/23/54/67/642235467.db2.gz CQNOZHXCXBUTFQ-NEPJUHHUSA-N 0 0 293.319 2.936 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000873549093 642244245 /nfs/dbraw/zinc/24/42/45/642244245.db2.gz RVRUCCQQLSBUTJ-XYPYZODXSA-N 0 0 279.292 2.546 20 5 CFBDRN C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)[C@H]1CC12CC2 ZINC000873625478 642277001 /nfs/dbraw/zinc/27/70/01/642277001.db2.gz LBZUXDWWHZHIHM-ZWNOBZJWSA-N 0 0 275.304 2.869 20 5 CFBDRN C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)[C@@H]1CC12CC2 ZINC000873625477 642277520 /nfs/dbraw/zinc/27/75/20/642277520.db2.gz LBZUXDWWHZHIHM-MFKMUULPSA-N 0 0 275.304 2.869 20 5 CFBDRN COc1ccc(C(=O)O[C@H](C)[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000873630585 642280915 /nfs/dbraw/zinc/28/09/15/642280915.db2.gz IIUFURUEJNEGHL-KOLCDFICSA-N 0 0 291.303 2.949 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC(C)C ZINC000873741645 642291650 /nfs/dbraw/zinc/29/16/50/642291650.db2.gz DCICELCXGLDWKO-UHFFFAOYSA-N 0 0 250.298 2.597 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCCOC(C)C ZINC000873783619 642294458 /nfs/dbraw/zinc/29/44/58/642294458.db2.gz CDJQFLNMFDVKOB-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN CO[C@H](C)CC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000195352972 650748555 /nfs/dbraw/zinc/74/85/55/650748555.db2.gz ZWOAUHMIICQZCW-SNVBAGLBSA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2cc(C)cc([N+](=O)[O-])c2)o1 ZINC000195353525 650748645 /nfs/dbraw/zinc/74/86/45/650748645.db2.gz PSEJFQSTCDJTJD-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000874625394 642428137 /nfs/dbraw/zinc/42/81/37/642428137.db2.gz MKZXNOGPCBQQAJ-UTUOFQBUSA-N 0 0 294.351 2.691 20 5 CFBDRN Cc1cnccc1CC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000874625716 642429360 /nfs/dbraw/zinc/42/93/60/642429360.db2.gz UXFGYJOCPYJFOG-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N2CCCC2(CC)CC)n1 ZINC000874629216 642431055 /nfs/dbraw/zinc/43/10/55/642431055.db2.gz AIXLYKMOHRHSNR-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN C[C@@H](OC(=O)COc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000083148573 650759426 /nfs/dbraw/zinc/75/94/26/650759426.db2.gz LZPGEQOKXFSYTH-SNVBAGLBSA-N 0 0 279.292 2.705 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1CC12CCC2 ZINC000874792631 642492598 /nfs/dbraw/zinc/49/25/98/642492598.db2.gz YZEIDAGTENFKDF-LLPBQKLSSA-N 0 0 272.304 2.667 20 5 CFBDRN CCC[C@H](OCC)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000875053970 642581387 /nfs/dbraw/zinc/58/13/87/642581387.db2.gz CZFDEKHTMNYARC-LBPRGKRZSA-N 0 0 284.287 2.878 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1[C@H](C)O[C@H](C)[C@H]1C ZINC000875500976 642630799 /nfs/dbraw/zinc/63/07/99/642630799.db2.gz JIZQEFHODIDTLR-DCQANWLSSA-N 0 0 293.319 2.868 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C12CC(C1)C2 ZINC000875674359 642662956 /nfs/dbraw/zinc/66/29/56/642662956.db2.gz HVMMUGIFSRZNML-UHFFFAOYSA-N 0 0 264.256 2.781 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000085067600 650786594 /nfs/dbraw/zinc/78/65/94/650786594.db2.gz AXUYBPKKJLWWJK-GHMZBOCLSA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1ccc(CNC(=O)NC2(C(C)C)CC2)cc1[N+](=O)[O-] ZINC000876168904 642784394 /nfs/dbraw/zinc/78/43/94/642784394.db2.gz FLTNDIAUBHUZAQ-UHFFFAOYSA-N 0 0 291.351 2.891 20 5 CFBDRN O=C(N[C@H]1CCCC2(CC2)C1)c1ccc([N+](=O)[O-])o1 ZINC000888708473 642791707 /nfs/dbraw/zinc/79/17/07/642791707.db2.gz IRJNZRFABYXEPP-VIFPVBQESA-N 0 0 264.281 2.640 20 5 CFBDRN O=C(N[C@@H]1CCCC2(CC2)C1)c1ccc([N+](=O)[O-])o1 ZINC000888708472 642792027 /nfs/dbraw/zinc/79/20/27/642792027.db2.gz IRJNZRFABYXEPP-SECBINFHSA-N 0 0 264.281 2.640 20 5 CFBDRN CCc1ccc(OC(=O)c2ccnn2CC)c([N+](=O)[O-])c1 ZINC000125893522 642845338 /nfs/dbraw/zinc/84/53/38/642845338.db2.gz XYPAFDYDDLJLCX-UHFFFAOYSA-N 0 0 289.291 2.593 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000876428614 642866710 /nfs/dbraw/zinc/86/67/10/642866710.db2.gz YVHNXIQMXUJBIL-LBPRGKRZSA-N 0 0 275.308 2.967 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000876481410 642884494 /nfs/dbraw/zinc/88/44/94/642884494.db2.gz ABKVSWXTHZNCOI-CYBMUJFWSA-N 0 0 289.335 2.699 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H]1CCCCO1 ZINC000126136149 642991688 /nfs/dbraw/zinc/99/16/88/642991688.db2.gz UWDWXEWBTSTEIJ-NSHDSACASA-N 0 0 284.699 2.756 20 5 CFBDRN Cc1csc(COc2ccc([N+](=O)[O-])cc2C=O)n1 ZINC000088509147 650814021 /nfs/dbraw/zinc/81/40/21/650814021.db2.gz PUXGCWGOXMJLOV-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN Cc1ccc2c(c1)N[C@@H](c1ccccc1[N+](=O)[O-])NC2=O ZINC000877864554 643612478 /nfs/dbraw/zinc/61/24/78/643612478.db2.gz RNNMRVWNJQEZTK-CQSZACIVSA-N 0 0 283.287 2.757 20 5 CFBDRN Cc1ccc2c(c1)N[C@@H](c1csc([N+](=O)[O-])c1)NC2=O ZINC000877865194 643613351 /nfs/dbraw/zinc/61/33/51/643613351.db2.gz XPPQOZXJCZUSCH-GFCCVEGCSA-N 0 0 289.316 2.819 20 5 CFBDRN Cc1nnc(CCOc2cc(Cl)ccc2[N+](=O)[O-])o1 ZINC000877877008 643616579 /nfs/dbraw/zinc/61/65/79/643616579.db2.gz LOBVUCAXWOPADN-UHFFFAOYSA-N 0 0 283.671 2.561 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CCOC3CC3)c2)c1 ZINC000877879981 643619792 /nfs/dbraw/zinc/61/97/92/643619792.db2.gz XUKGMSBVDAURAP-UHFFFAOYSA-N 0 0 273.292 2.637 20 5 CFBDRN Cc1c(OCCc2ccns2)cccc1[N+](=O)[O-] ZINC000877887389 643624322 /nfs/dbraw/zinc/62/43/22/643624322.db2.gz KHHXVIVPKSPFCV-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN Cc1c(NC(=O)NC[C@@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000877952621 643661179 /nfs/dbraw/zinc/66/11/79/643661179.db2.gz RPSKLQGHOGCSSY-LLVKDONJSA-N 0 0 275.308 2.991 20 5 CFBDRN COc1cccc(C(=O)Oc2cccc([N+](=O)[O-])c2)c1F ZINC000090092434 650864278 /nfs/dbraw/zinc/86/42/78/650864278.db2.gz UFMHOPSQCJVRSW-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN Cc1ccc(N(C)Cc2cn(C)nc2[N+](=O)[O-])cc1Cl ZINC000878246055 643806182 /nfs/dbraw/zinc/80/61/82/643806182.db2.gz IYKXFYJVVHCWQN-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC[C@@H]1c1cn[nH]c1 ZINC000878879143 644059098 /nfs/dbraw/zinc/05/90/98/644059098.db2.gz RZEUIGFPGJJSHO-CYBMUJFWSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC12CCC2 ZINC000879228436 644182225 /nfs/dbraw/zinc/18/22/25/644182225.db2.gz HPCNTCJUINNHGC-LBPRGKRZSA-N 0 0 275.308 2.967 20 5 CFBDRN O=C(OCCCc1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000247333914 650905058 /nfs/dbraw/zinc/90/50/58/650905058.db2.gz PYPARYJHSRCZSX-UHFFFAOYSA-N 0 0 286.287 2.779 20 5 CFBDRN Cc1noc(C)c1CCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000128014806 644433587 /nfs/dbraw/zinc/43/35/87/644433587.db2.gz ILXQZELVLHTPHG-UHFFFAOYSA-N 0 0 290.275 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1C[NH2+][C@@H]1CCC[C@]12CCCO2 ZINC000880510973 644744116 /nfs/dbraw/zinc/74/41/16/644744116.db2.gz HXVJMCYMPVXHCU-CABCVRRESA-N 0 0 294.326 2.925 20 5 CFBDRN COCCNC(=O)Nc1cc([N+](=O)[O-])ccc1C(C)(C)C ZINC000880706284 644811657 /nfs/dbraw/zinc/81/16/57/644811657.db2.gz GKXCEVVTTPJCIA-UHFFFAOYSA-N 0 0 295.339 2.660 20 5 CFBDRN CCOc1cc(NC(=O)N[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000888831123 644812853 /nfs/dbraw/zinc/81/28/53/644812853.db2.gz GOTPAGBIZZPNCT-GFCCVEGCSA-N 0 0 291.307 2.668 20 5 CFBDRN CCOc1cc(NC(=O)NCC(C)C)ccc1[N+](=O)[O-] ZINC000888836238 644835021 /nfs/dbraw/zinc/83/50/21/644835021.db2.gz QKPMGKDFXQTRMU-UHFFFAOYSA-N 0 0 281.312 2.771 20 5 CFBDRN COC1CCC(OC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000128766451 644904458 /nfs/dbraw/zinc/90/44/58/644904458.db2.gz NEUVXGJJNJWVRS-UHFFFAOYSA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000881490978 644990375 /nfs/dbraw/zinc/99/03/75/644990375.db2.gz UMJKXNHUJGGRIP-LLVKDONJSA-N 0 0 260.293 2.599 20 5 CFBDRN CC1(C)CC[C@@H](CNC(=O)c2cccc([N+](=O)[O-])c2)OC1 ZINC000881507733 645005630 /nfs/dbraw/zinc/00/56/30/645005630.db2.gz PMSKABMRMLTXGI-ZDUSSCGKSA-N 0 0 292.335 2.530 20 5 CFBDRN CC1(C)CC[C@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)OC1 ZINC000881508638 645006125 /nfs/dbraw/zinc/00/61/25/645006125.db2.gz DRRYFGROHCQOSJ-CYBMUJFWSA-N 0 0 292.335 2.530 20 5 CFBDRN C=C/C=C\CCNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000881563741 645042526 /nfs/dbraw/zinc/04/25/26/645042526.db2.gz OBOQPFGQINIXEF-ARJAWSKDSA-N 0 0 289.335 2.645 20 5 CFBDRN C=C/C=C/CCNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000881566282 645045500 /nfs/dbraw/zinc/04/55/00/645045500.db2.gz XEPUMVOLECKMOG-SNAWJCMRSA-N 0 0 274.320 2.694 20 5 CFBDRN Cc1c(CC(=O)N2CCC[C@@H](CF)C2)cccc1[N+](=O)[O-] ZINC000881600404 645071050 /nfs/dbraw/zinc/07/10/50/645071050.db2.gz RTGWHENNYJMTKM-LBPRGKRZSA-N 0 0 294.326 2.654 20 5 CFBDRN Cc1noc(C)c1COc1ccc([N+](=O)[O-])cc1C=O ZINC000024725936 645088290 /nfs/dbraw/zinc/08/82/90/645088290.db2.gz VZRAOYVRNHWLRI-UHFFFAOYSA-N 0 0 276.248 2.591 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](CF)C2)c1 ZINC000881665340 645093825 /nfs/dbraw/zinc/09/38/25/645093825.db2.gz ZJDMFIBSASPIKT-VIFPVBQESA-N 0 0 298.339 2.748 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@H](CF)C1 ZINC000881665749 645094272 /nfs/dbraw/zinc/09/42/72/645094272.db2.gz LZOVECIQGSIWRW-SECBINFHSA-N 0 0 292.266 2.773 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000881672455 645099945 /nfs/dbraw/zinc/09/99/45/645099945.db2.gz AGCOHIHDBJBFBA-GHMZBOCLSA-N 0 0 280.299 2.516 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](CF)C1 ZINC000881675926 645102751 /nfs/dbraw/zinc/10/27/51/645102751.db2.gz BPJMILIWLGCCED-YKWSONSWSA-N 0 0 292.310 2.816 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCOC1CCCCC1 ZINC000881834046 645137252 /nfs/dbraw/zinc/13/72/52/645137252.db2.gz IYJQVPOXQMQZTK-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN CC(C)(C)c1nnc(NCCc2ccc([N+](=O)[O-])cc2)o1 ZINC000881838269 645139154 /nfs/dbraw/zinc/13/91/54/645139154.db2.gz LHYAIGYKSZUBRT-UHFFFAOYSA-N 0 0 290.323 2.930 20 5 CFBDRN CC(=O)c1c(NC[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000881845649 645140284 /nfs/dbraw/zinc/14/02/84/645140284.db2.gz GMWQVBGRQOXTCG-NSHDSACASA-N 0 0 278.308 2.778 20 5 CFBDRN CC(=O)c1c(NCC2CCOCC2)cccc1[N+](=O)[O-] ZINC000881875109 645145839 /nfs/dbraw/zinc/14/58/39/645145839.db2.gz QFJCHBAETYBGCV-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN CO[C@@H](C)CCNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881905168 645150472 /nfs/dbraw/zinc/15/04/72/645150472.db2.gz OILCMHZWQUDPRG-VIFPVBQESA-N 0 0 266.297 2.634 20 5 CFBDRN CC(=O)c1c(NC[C@@]2(C)CCOC2)cccc1[N+](=O)[O-] ZINC000881980171 645167385 /nfs/dbraw/zinc/16/73/85/645167385.db2.gz CHEYYNYTQABCOA-CQSZACIVSA-N 0 0 278.308 2.636 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H](O)c2ccccc2)c1 ZINC000096470405 650992646 /nfs/dbraw/zinc/99/26/46/650992646.db2.gz NJSATYDSXALLQQ-OAHLLOKOSA-N 0 0 288.303 2.749 20 5 CFBDRN CC(=O)c1c(NCC(C)(C)CCO)cccc1[N+](=O)[O-] ZINC000882037285 645184345 /nfs/dbraw/zinc/18/43/45/645184345.db2.gz BQSFTFZQBZOMAK-UHFFFAOYSA-N 0 0 280.324 2.618 20 5 CFBDRN CC1(C)C[C@H](COc2cccnc2[N+](=O)[O-])C(C)(C)O1 ZINC000882051811 645187664 /nfs/dbraw/zinc/18/76/64/645187664.db2.gz XFAXEYBVUUFKHI-SNVBAGLBSA-N 0 0 280.324 2.962 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CCC2(CCC2)O1 ZINC000882052936 645188712 /nfs/dbraw/zinc/18/87/12/645188712.db2.gz QHACFDIUDDWRGB-ZDUSSCGKSA-N 0 0 291.303 2.888 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@H]1CCC2(CCC2)O1 ZINC000882121524 645210151 /nfs/dbraw/zinc/21/01/51/645210151.db2.gz KNNMFGAAQQVQES-SNVBAGLBSA-N 0 0 298.726 2.512 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@@H]1CCC2(CCC2)O1 ZINC000882145665 645218547 /nfs/dbraw/zinc/21/85/47/645218547.db2.gz QVNUELCGDJDMCO-JTQLQIEISA-N 0 0 298.726 2.512 20 5 CFBDRN CC(=O)c1c(N(C)CC2CCOCC2)cccc1[N+](=O)[O-] ZINC000882149118 645219269 /nfs/dbraw/zinc/21/92/69/645219269.db2.gz RHTCQBFZDFHUEG-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1cccc(OCC[C@@H](O)C(C)C)c1[N+](=O)[O-] ZINC000882148983 645219413 /nfs/dbraw/zinc/21/94/13/645219413.db2.gz OPPSKZIXINTMNA-LLVKDONJSA-N 0 0 253.298 2.689 20 5 CFBDRN CC(C)[C@H](O)CCOc1c(F)cccc1[N+](=O)[O-] ZINC000882155502 645222742 /nfs/dbraw/zinc/22/27/42/645222742.db2.gz IMQJBNILGOROHT-LLVKDONJSA-N 0 0 257.261 2.520 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccnc(F)c2[N+](=O)[O-])C(C)(C)O1 ZINC000882165525 645226915 /nfs/dbraw/zinc/22/69/15/645226915.db2.gz AQSBNBNZLHBBRM-SECBINFHSA-N 0 0 283.303 2.887 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCO[C@@H](C(C)C)C1 ZINC000882167038 645227285 /nfs/dbraw/zinc/22/72/85/645227285.db2.gz VNLSIKANEMBKLI-CQSZACIVSA-N 0 0 292.335 2.659 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC[C@@H](C)[C@H](F)C1 ZINC000882165568 645227490 /nfs/dbraw/zinc/22/74/90/645227490.db2.gz BGRQRCXLDMZYSV-MWLCHTKSSA-N 0 0 280.299 2.982 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCC[C@@H]1CCCCO1 ZINC000882186941 645238345 /nfs/dbraw/zinc/23/83/45/645238345.db2.gz DZLRZHHWNHKPPM-JTQLQIEISA-N 0 0 283.303 2.890 20 5 CFBDRN COCC[C@@H]1CCCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882196188 645242116 /nfs/dbraw/zinc/24/21/16/645242116.db2.gz GEURLNQVKXQSAG-NSHDSACASA-N 0 0 297.330 2.772 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129596590 645283508 /nfs/dbraw/zinc/28/35/08/645283508.db2.gz GRSJARUCQOZRHV-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN CCc1nnc(SCc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000882326454 645284612 /nfs/dbraw/zinc/28/46/12/645284612.db2.gz KKDZGTVSJPBXHZ-UHFFFAOYSA-N 0 0 283.284 2.972 20 5 CFBDRN CCOC1CC2(C1)CCN(c1ccnc(F)c1[N+](=O)[O-])C2 ZINC000882354378 645290294 /nfs/dbraw/zinc/29/02/94/645290294.db2.gz ZOUMMPLUKHCQEC-UHFFFAOYSA-N 0 0 295.314 2.524 20 5 CFBDRN CC(=O)c1c(NCc2snnc2C)cccc1[N+](=O)[O-] ZINC000882394303 645297684 /nfs/dbraw/zinc/29/76/84/645297684.db2.gz BURWNHBIORENMJ-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CSC[C@@H]1CCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882395514 645298491 /nfs/dbraw/zinc/29/84/91/645298491.db2.gz CYDBRSWZVFYDEN-SECBINFHSA-N 0 0 285.344 2.708 20 5 CFBDRN CSC[C@H]1CCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882395515 645299068 /nfs/dbraw/zinc/29/90/68/645299068.db2.gz CYDBRSWZVFYDEN-VIFPVBQESA-N 0 0 285.344 2.708 20 5 CFBDRN CC(=O)c1c(NC[C@@H](C)CCCO)cccc1[N+](=O)[O-] ZINC000882407846 645302104 /nfs/dbraw/zinc/30/21/04/645302104.db2.gz DYNUYPGPLACISW-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H]1CCOC2(CCC2)C1 ZINC000882416999 645305152 /nfs/dbraw/zinc/30/51/52/645305152.db2.gz NYMCOECAHXVKOZ-JTQLQIEISA-N 0 0 295.314 2.890 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1CCCC1(F)F ZINC000882418805 645306120 /nfs/dbraw/zinc/30/61/20/645306120.db2.gz NQYFJONWAKHASM-ZETCQYMHSA-N 0 0 275.230 2.976 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)[C@H]1CCCOC1 ZINC000882445209 645318377 /nfs/dbraw/zinc/31/83/77/645318377.db2.gz DUBVKKQGQYIOMQ-VHSXEESVSA-N 0 0 298.364 2.591 20 5 CFBDRN CC[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000882448413 645320281 /nfs/dbraw/zinc/32/02/81/645320281.db2.gz OLHDSXZYKNPWAT-GXSJLCMTSA-N 0 0 298.364 2.591 20 5 CFBDRN COCC1CCC(Nc2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000882458872 645323889 /nfs/dbraw/zinc/32/38/89/645323889.db2.gz FRNVCYDZCDHBET-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC[C@H]2CC[C@@H](C1)S2 ZINC000882650954 645400514 /nfs/dbraw/zinc/40/05/14/645400514.db2.gz LCDWSKGWESXPIN-BDAKNGLRSA-N 0 0 283.328 2.603 20 5 CFBDRN CC(=O)c1c(N[C@@H]2C[C@@H](C)O[C@@H]2C)cccc1[N+](=O)[O-] ZINC000882681244 645408626 /nfs/dbraw/zinc/40/86/26/645408626.db2.gz MEXMWCDMLHRXGK-HLUHBDAQSA-N 0 0 278.308 2.775 20 5 CFBDRN CC[C@@]1(O)CCCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882682757 645409372 /nfs/dbraw/zinc/40/93/72/645409372.db2.gz LRAXVZWKCHQICZ-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H](O)CSc1nccc2ccc([N+](=O)[O-])cc21 ZINC000882737231 645436173 /nfs/dbraw/zinc/43/61/73/645436173.db2.gz DMZKIBFYOHLZLT-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN C[C@@H](O)CSc1nc2ccccc2cc1[N+](=O)[O-] ZINC000882737481 645436434 /nfs/dbraw/zinc/43/64/34/645436434.db2.gz MOKYGDFPNOEYLZ-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@H](CF)C1 ZINC000882802668 645464016 /nfs/dbraw/zinc/46/40/16/645464016.db2.gz LHOIQOXZPUDEIW-SNVBAGLBSA-N 0 0 268.288 2.789 20 5 CFBDRN COc1cccc(N2CCC(F)CC2)c1[N+](=O)[O-] ZINC000882805930 645464856 /nfs/dbraw/zinc/46/48/56/645464856.db2.gz CKVOIWJUISJWSA-UHFFFAOYSA-N 0 0 254.261 2.542 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@@H](CF)C1 ZINC000882804226 645465424 /nfs/dbraw/zinc/46/54/24/645465424.db2.gz XPPJYMDBOXELOB-NSHDSACASA-N 0 0 280.299 2.983 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](CF)C1 ZINC000882840659 645476366 /nfs/dbraw/zinc/47/63/66/645476366.db2.gz AXGUUNCSOWZJQC-SNVBAGLBSA-N 0 0 268.288 2.537 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@@H](CF)C1 ZINC000882841160 645476414 /nfs/dbraw/zinc/47/64/14/645476414.db2.gz NYGDYTZXQLETAH-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN CO[C@@]1(C(F)(F)F)CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000882847185 645478391 /nfs/dbraw/zinc/47/83/91/645478391.db2.gz CUSLFPSHKPSDFZ-NSHDSACASA-N 0 0 290.241 2.752 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](c3nccs3)C2)c1[N+](=O)[O-] ZINC000882860055 645480538 /nfs/dbraw/zinc/48/05/38/645480538.db2.gz SAIFWADPOIKYAS-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN Cc1nnc(COc2cc(F)ccc2[N+](=O)[O-])n1C1CC1 ZINC000130470748 645507019 /nfs/dbraw/zinc/50/70/19/645507019.db2.gz NNLSJFRAOGTHHO-UHFFFAOYSA-N 0 0 292.270 2.548 20 5 CFBDRN Cc1cc(N2CCC3(CCCCO3)CC2)ncc1[N+](=O)[O-] ZINC000882949939 645524438 /nfs/dbraw/zinc/52/44/38/645524438.db2.gz NWBNETOPFBMIIL-UHFFFAOYSA-N 0 0 291.351 2.838 20 5 CFBDRN Cc1cnc(N2CCC3(CCCCO3)CC2)c([N+](=O)[O-])c1 ZINC000882950683 645524781 /nfs/dbraw/zinc/52/47/81/645524781.db2.gz WCJHZKQPPKXCPG-UHFFFAOYSA-N 0 0 291.351 2.838 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CCC2(CCC2)O1 ZINC000882972960 645535760 /nfs/dbraw/zinc/53/57/60/645535760.db2.gz CQFUANCGXAMAHQ-LLVKDONJSA-N 0 0 294.355 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1CC(C)(C)OC1(C)C ZINC000882988230 645545166 /nfs/dbraw/zinc/54/51/66/645545166.db2.gz HCGMFBVDAPLREE-NSHDSACASA-N 0 0 294.351 2.659 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC1CCC2(COC2)CC1 ZINC000882995216 645551728 /nfs/dbraw/zinc/55/17/28/645551728.db2.gz MVMVJEHBWBTQTG-UHFFFAOYSA-N 0 0 292.335 2.975 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C)C1CCC1 ZINC000130740474 645562285 /nfs/dbraw/zinc/56/22/85/645562285.db2.gz XACUEZDFJPUQSG-SNVBAGLBSA-N 0 0 291.351 2.579 20 5 CFBDRN CCC[C@H](C)[C@@H]1CCC[N@@H+]1Cn1cc([N+](=O)[O-])cn1 ZINC000130787132 645570368 /nfs/dbraw/zinc/57/03/68/645570368.db2.gz ILIVNWQHJIYPDE-AAEUAGOBSA-N 0 0 266.345 2.649 20 5 CFBDRN CC[C@H](C)COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000131278153 645681223 /nfs/dbraw/zinc/68/12/23/645681223.db2.gz SRFDRZDGWXREDA-QMMMGPOBSA-N 0 0 255.245 2.937 20 5 CFBDRN C[C@@H](N[C@@H](C)Cc1ccc(F)cc1[N+](=O)[O-])C(F)F ZINC000883400092 645796099 /nfs/dbraw/zinc/79/60/99/645796099.db2.gz DEPSZFKYCOONJJ-JGVFFNPUSA-N 0 0 276.258 2.908 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccnc(F)c1F ZINC000097865020 651045738 /nfs/dbraw/zinc/04/57/38/651045738.db2.gz IGZNJYDIQNKNGX-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN C[C@@H](O)[C@H]1CCCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000305118184 651050085 /nfs/dbraw/zinc/05/00/85/651050085.db2.gz GEGLIJJYHRMNRX-ZWNOBZJWSA-N 0 0 298.770 2.984 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000132238318 645897356 /nfs/dbraw/zinc/89/73/56/645897356.db2.gz JYYBKIKTAOPJDY-RKDXNWHRSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000132243687 645900131 /nfs/dbraw/zinc/90/01/31/645900131.db2.gz QYRNHQMBZOANKB-SCZZXKLOSA-N 0 0 266.272 2.652 20 5 CFBDRN O=c1ccn(Cc2c(F)cccc2[N+](=O)[O-])c(Cl)c1 ZINC000132439008 645916953 /nfs/dbraw/zinc/91/69/53/645916953.db2.gz LRQDZNXIDISVLA-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN Cc1cccc(C(=O)NCCC2CCC2)c1[N+](=O)[O-] ZINC000133480442 646097606 /nfs/dbraw/zinc/09/76/06/646097606.db2.gz PAHRTACTBOVFBF-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000800085196 646131099 /nfs/dbraw/zinc/13/10/99/646131099.db2.gz VXULMOZRCMNYIG-MRVPVSSYSA-N 0 0 281.161 2.652 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000800143436 646154701 /nfs/dbraw/zinc/15/47/01/646154701.db2.gz CRDCCJGCQXZDDP-QMMMGPOBSA-N 0 0 299.151 2.791 20 5 CFBDRN CCn1cc(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)cn1 ZINC000800151668 646158892 /nfs/dbraw/zinc/15/88/92/646158892.db2.gz QCRKCHDODJWQNE-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN Cc1ocnc1C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800151933 646159379 /nfs/dbraw/zinc/15/93/79/646159379.db2.gz UDIBUWSVTQLPQF-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN C[C@@H](NC(=O)N(C)CCCF)c1cccc([N+](=O)[O-])c1 ZINC000884085832 646206208 /nfs/dbraw/zinc/20/62/08/646206208.db2.gz FTGUDCPGHRENAG-SNVBAGLBSA-N 0 0 283.303 2.657 20 5 CFBDRN Nc1cccc(-c2noc(-c3ccccc3[N+](=O)[O-])n2)c1 ZINC000134650686 646377511 /nfs/dbraw/zinc/37/75/11/646377511.db2.gz LOOCDMXVCWNVRC-UHFFFAOYSA-N 0 0 282.259 2.894 20 5 CFBDRN Cc1c[nH]nc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000134687832 646379132 /nfs/dbraw/zinc/37/91/32/646379132.db2.gz SZBONPJWWPFTRC-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC[C@@H](CNc1ncc([N+](=O)[O-])c2ccccc21)OC ZINC000884511969 646410410 /nfs/dbraw/zinc/41/04/10/646410410.db2.gz VDEVKADDOGXUAZ-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CC[C@H]1CCC[C@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884531613 646426673 /nfs/dbraw/zinc/42/66/73/646426673.db2.gz QQQJUPOMJIBPNM-CMPLNLGQSA-N 0 0 278.308 2.859 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1ncc([N+](=O)[O-])c3ccccc31)C2 ZINC000884557358 646447818 /nfs/dbraw/zinc/44/78/18/646447818.db2.gz NAHRHVAKMSZIOP-ZBEGNZNMSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2C[C@H](O)C2)cccc1C(F)(F)F ZINC000884560605 646450704 /nfs/dbraw/zinc/45/07/04/646450704.db2.gz CLUBYUQQBXELCV-LJGSYFOKSA-N 0 0 276.214 2.549 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(F)nc1 ZINC000135101919 646467321 /nfs/dbraw/zinc/46/73/21/646467321.db2.gz HXMLKUBYWPRHRO-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@@H]1c1ccccc1 ZINC000884641376 646502480 /nfs/dbraw/zinc/50/24/80/646502480.db2.gz IFXJHYIHFSAANF-AAEUAGOBSA-N 0 0 286.335 2.916 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1C[C@@H]2CSC[C@@H]2C1 ZINC000884646077 646505732 /nfs/dbraw/zinc/50/57/32/646505732.db2.gz HAWQQTHWVXIFQF-DTORHVGOSA-N 0 0 268.313 2.533 20 5 CFBDRN Cc1cc(N2C[C@@H]3CC[C@H](O)[C@@H]3C2)c(Cl)cc1[N+](=O)[O-] ZINC000884648420 646506409 /nfs/dbraw/zinc/50/64/09/646506409.db2.gz VFQXJJPFYLTKDS-RBZYPMLTSA-N 0 0 296.754 2.764 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2CC3(CCC3)CO2)ccc1[N+](=O)[O-] ZINC000884661888 646514876 /nfs/dbraw/zinc/51/48/76/646514876.db2.gz CNTVDXQMNZGYOG-VIFPVBQESA-N 0 0 295.314 2.687 20 5 CFBDRN CCC(CC)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000135334014 646518533 /nfs/dbraw/zinc/51/85/33/646518533.db2.gz NEUHLYNOTMEGIA-UHFFFAOYSA-N 0 0 267.281 2.705 20 5 CFBDRN CC1(C)CO[C@@H](CCNc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000884675928 646523525 /nfs/dbraw/zinc/52/35/25/646523525.db2.gz VNTXMWGMGJOKRI-NSHDSACASA-N 0 0 279.340 2.794 20 5 CFBDRN C[C@@H]1C[C@]2(CC[C@@H](CNc3sccc3[N+](=O)[O-])O2)CO1 ZINC000884696155 646531377 /nfs/dbraw/zinc/53/13/77/646531377.db2.gz JDSDBCJRVLYODW-GBIKHYSHSA-N 0 0 298.364 2.795 20 5 CFBDRN C[C@@H]1C[C@@]2(CC[C@H](CNc3ccccc3[N+](=O)[O-])O2)CO1 ZINC000884698638 646532631 /nfs/dbraw/zinc/53/26/31/646532631.db2.gz QJLVUHOSSFOJOZ-JMSVASOKSA-N 0 0 292.335 2.733 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H]([C@H]2CCCO2)C1 ZINC000884730732 646543236 /nfs/dbraw/zinc/54/32/36/646543236.db2.gz MWVFRQLBBVMYSL-GXFFZTMASA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000884730505 646543753 /nfs/dbraw/zinc/54/37/53/646543753.db2.gz JUNFYPWDMIOYIJ-JSGCOSHPSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cccc(N2CC[C@H]([C@H]3CCCO3)C2)c1[N+](=O)[O-] ZINC000884732205 646545233 /nfs/dbraw/zinc/54/52/33/646545233.db2.gz LLVMGQYQZPTQGX-GXTWGEPZSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cccc(N2CC[C@@H]([C@H]3CCCO3)C2)c1[N+](=O)[O-] ZINC000884732208 646545304 /nfs/dbraw/zinc/54/53/04/646545304.db2.gz LLVMGQYQZPTQGX-TZMCWYRMSA-N 0 0 276.336 2.909 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000884731725 646545337 /nfs/dbraw/zinc/54/53/37/646545337.db2.gz DTSVTRAILARXEL-CABZTGNLSA-N 0 0 297.742 2.649 20 5 CFBDRN COc1cc(N2CC[C@@H]([C@@H]3CCCO3)C2)ccc1[N+](=O)[O-] ZINC000884732577 646545423 /nfs/dbraw/zinc/54/54/23/646545423.db2.gz QDDJCHNWFBHOCB-RISCZKNCSA-N 0 0 292.335 2.609 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@@H]3CCCO3)C2)c([N+](=O)[O-])s1 ZINC000884739035 646546953 /nfs/dbraw/zinc/54/69/53/646546953.db2.gz HQHSUVCLZXXCGV-JQWIXIFHSA-N 0 0 282.365 2.970 20 5 CFBDRN COC[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000884790974 646551095 /nfs/dbraw/zinc/55/10/95/646551095.db2.gz CYPNNPPOVCQWJY-GXTWGEPZSA-N 0 0 294.351 2.757 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)[C@@H]1CCCOC1 ZINC000884793243 646552254 /nfs/dbraw/zinc/55/22/54/646552254.db2.gz UYUZAUSNCHGCPR-TZMCWYRMSA-N 0 0 294.351 2.757 20 5 CFBDRN CC[C@H]1CCC[C@]1(C)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000885059123 646594247 /nfs/dbraw/zinc/59/42/47/646594247.db2.gz HYVGGRHQTIZZRM-FZMZJTMJSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@@H]1CCC[C@]1(C)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000885062020 646597216 /nfs/dbraw/zinc/59/72/16/646597216.db2.gz RGDZOXACUJJNBH-RISCZKNCSA-N 0 0 295.339 2.588 20 5 CFBDRN Cc1cnc(COC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])o1 ZINC000885423990 646684815 /nfs/dbraw/zinc/68/48/15/646684815.db2.gz IQLMQYVETHSREC-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN C[C@H]1CO[C@@H](C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000885577454 646711006 /nfs/dbraw/zinc/71/10/06/646711006.db2.gz XLBRWMRASKTGJF-PRHODGIISA-N 0 0 299.710 2.716 20 5 CFBDRN CC(C)COC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 ZINC000136072104 646736950 /nfs/dbraw/zinc/73/69/50/646736950.db2.gz PFCLSUXBYRDSIF-UHFFFAOYSA-N 0 0 289.291 2.593 20 5 CFBDRN CC[C@@H](C)OC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 ZINC000136101661 646739957 /nfs/dbraw/zinc/73/99/57/646739957.db2.gz GXPAFRAQRSGKGH-SNVBAGLBSA-N 0 0 289.291 2.736 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)C1(C2CC2)CC1 ZINC000885735011 646742569 /nfs/dbraw/zinc/74/25/69/646742569.db2.gz VMNINVFAGQCDCA-UHFFFAOYSA-N 0 0 261.277 2.828 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@]12C[C@H]1CCC2 ZINC000886004842 646809179 /nfs/dbraw/zinc/80/91/79/646809179.db2.gz PZEGHUNPEOEQBI-ABAIWWIYSA-N 0 0 274.320 2.823 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN(Cc1ccccn1)C1CC1 ZINC000886102764 646872949 /nfs/dbraw/zinc/87/29/49/646872949.db2.gz PRQVHGRQSXWZBB-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN Cc1ccc2c(c1)CCN2Cc1cccnc1[N+](=O)[O-] ZINC000886105286 646874588 /nfs/dbraw/zinc/87/45/88/646874588.db2.gz WONUMQSITKTMJV-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN C[C@@H]1CN(Cc2cccnc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000886215211 646924798 /nfs/dbraw/zinc/92/47/98/646924798.db2.gz GDAGSNCCCPBCPM-GFCCVEGCSA-N 0 0 299.330 2.773 20 5 CFBDRN CCCCOC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000137574341 646958225 /nfs/dbraw/zinc/95/82/25/646958225.db2.gz BZDDGGUJMIVIOL-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN O=[N+]([O-])c1c(N2CCc3ccccc32)nc2ccccn21 ZINC000137630698 646965639 /nfs/dbraw/zinc/96/56/39/646965639.db2.gz FXIMUWAPDHFZMW-UHFFFAOYSA-N 0 0 280.287 2.937 20 5 CFBDRN O=[N+]([O-])c1c(NCCOc2ccccc2)nc2ccccn21 ZINC000137648649 646968725 /nfs/dbraw/zinc/96/87/25/646968725.db2.gz TYSULRPBJDYLAF-UHFFFAOYSA-N 0 0 298.302 2.733 20 5 CFBDRN C[C@@H](C(=O)N[C@]12C[C@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000887034759 647193203 /nfs/dbraw/zinc/19/32/03/647193203.db2.gz RNGHYKQEKKMRIJ-IXPVHAAZSA-N 0 0 274.320 2.757 20 5 CFBDRN CNc1ccc(C(=O)NCC(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000887382009 647295506 /nfs/dbraw/zinc/29/55/06/647295506.db2.gz PSSBEXFTLWSFIK-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN CC(C)[C@H](F)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887400700 647303094 /nfs/dbraw/zinc/30/30/94/647303094.db2.gz HVEORJKGVSVJTD-MFKMUULPSA-N 0 0 282.315 2.809 20 5 CFBDRN C[C@@H](CNC(=O)Cc1ccco1)c1ccccc1[N+](=O)[O-] ZINC000887402428 647303779 /nfs/dbraw/zinc/30/37/79/647303779.db2.gz BYHQXNLKNMYQAG-NSHDSACASA-N 0 0 288.303 2.650 20 5 CFBDRN C[C@H](CNC(=O)C(C)(C)F)c1ccccc1[N+](=O)[O-] ZINC000887403425 647304459 /nfs/dbraw/zinc/30/44/59/647304459.db2.gz WGDNCRTVEMMHIZ-SECBINFHSA-N 0 0 268.288 2.563 20 5 CFBDRN CS[C@H](C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404414 647304547 /nfs/dbraw/zinc/30/45/47/647304547.db2.gz DQYUBMCKXBYILY-VHSXEESVSA-N 0 0 282.365 2.566 20 5 CFBDRN CS[C@@H](C)CC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403296 647304573 /nfs/dbraw/zinc/30/45/73/647304573.db2.gz SWVGKHQIQBZOQD-MNOVXSKESA-N 0 0 296.392 2.956 20 5 CFBDRN C[C@@H](CNC(=O)[C@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000887403502 647304800 /nfs/dbraw/zinc/30/48/00/647304800.db2.gz XZUDFVRXGPYITL-GXFFZTMASA-N 0 0 294.376 2.710 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1CCOC2(CCC2)C1 ZINC000887599120 647367853 /nfs/dbraw/zinc/36/78/53/647367853.db2.gz UQLKGBOZKJOYCN-LLVKDONJSA-N 0 0 291.303 2.850 20 5 CFBDRN Cc1nnc(NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])s1 ZINC000887656410 647382539 /nfs/dbraw/zinc/38/25/39/647382539.db2.gz RCGBYKFROFEEJL-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CC1(C)CO[C@@H](CC(=O)Nc2cc([N+](=O)[O-])ccc2F)C1 ZINC000887730528 647401910 /nfs/dbraw/zinc/40/19/10/647401910.db2.gz VHCGIRHVMICABJ-JTQLQIEISA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C2CC2)c1C ZINC000887762395 647408042 /nfs/dbraw/zinc/40/80/42/647408042.db2.gz XBKRNOMLERTECF-SNVBAGLBSA-N 0 0 262.309 2.740 20 5 CFBDRN CCCOC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000302677276 647413109 /nfs/dbraw/zinc/41/31/09/647413109.db2.gz OBNAPMJRDPGGAJ-UHFFFAOYSA-N 0 0 252.270 2.539 20 5 CFBDRN CCCCC1(NC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000889533859 647594371 /nfs/dbraw/zinc/59/43/71/647594371.db2.gz BASSDMBQDVJNNW-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000889584205 647604467 /nfs/dbraw/zinc/60/44/67/647604467.db2.gz KJLSVXSMSZVADE-ZVWUFJHRSA-N 0 0 299.330 2.995 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1ccccc1[N+](=O)[O-] ZINC000889584802 647604910 /nfs/dbraw/zinc/60/49/10/647604910.db2.gz OQGZAVJUFGQADM-JBLDHEPKSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889611759 647616508 /nfs/dbraw/zinc/61/65/08/647616508.db2.gz LQRCXQIVDKSOOZ-JBLDHEPKSA-N 0 0 292.310 2.961 20 5 CFBDRN CC1(C)CO[C@H](CC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000889639723 647629854 /nfs/dbraw/zinc/62/98/54/647629854.db2.gz OLVOFNACVKCQNS-GFCCVEGCSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H]2CCSC2)c1C ZINC000889718324 647660219 /nfs/dbraw/zinc/66/02/19/647660219.db2.gz BNDOZICUIRXGAM-NSHDSACASA-N 0 0 294.376 2.789 20 5 CFBDRN C[C@H](NC(=O)C1(C2CC2)CC1)c1ccccc1[N+](=O)[O-] ZINC000889863682 647682726 /nfs/dbraw/zinc/68/27/26/647682726.db2.gz KTORQUOKNNCQGA-JTQLQIEISA-N 0 0 274.320 2.962 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])c(O)c1 ZINC000005225454 647845665 /nfs/dbraw/zinc/84/56/65/647845665.db2.gz PLFGYOCYCJQBQX-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1cccc(O)c1 ZINC000005754855 647851676 /nfs/dbraw/zinc/85/16/76/647851676.db2.gz SECQUTFMOWVEQK-UHFFFAOYSA-N 0 0 273.244 2.657 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc(N2CCCC2)cc1 ZINC000008337008 647872856 /nfs/dbraw/zinc/87/28/56/647872856.db2.gz LZZSNWAADBPWSA-UHFFFAOYSA-N 0 0 287.323 2.672 20 5 CFBDRN Cc1cc(COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)on1 ZINC000008527437 647873509 /nfs/dbraw/zinc/87/35/09/647873509.db2.gz GLWBGPAATLCLDC-QPJJXVBHSA-N 0 0 288.259 2.648 20 5 CFBDRN CCCCC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000010529878 647878760 /nfs/dbraw/zinc/87/87/60/647878760.db2.gz JTONCTJRFSVZAK-SNVBAGLBSA-N 0 0 294.307 2.655 20 5 CFBDRN COc1c(C=O)cccc1OCc1ccccc1[N+](=O)[O-] ZINC000011054278 647880766 /nfs/dbraw/zinc/88/07/66/647880766.db2.gz PBGGRGSRZQUEDR-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000924036531 647914956 /nfs/dbraw/zinc/91/49/56/647914956.db2.gz QSKUDOYDZLXGSM-QCZKYFFMSA-N 0 0 292.310 2.756 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000924035944 647915187 /nfs/dbraw/zinc/91/51/87/647915187.db2.gz QAETYECXYKGFSE-VOAKCMCISA-N 0 0 288.347 2.925 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC000924035946 647915288 /nfs/dbraw/zinc/91/52/88/647915288.db2.gz QAETYECXYKGFSE-XQHKEYJVSA-N 0 0 288.347 2.925 20 5 CFBDRN Cc1noc(COC(=O)C[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000924250906 647948398 /nfs/dbraw/zinc/94/83/98/647948398.db2.gz OJSBLRLQMQDQBZ-JTQLQIEISA-N 0 0 280.280 2.681 20 5 CFBDRN CCC1(NC(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000925245420 648140711 /nfs/dbraw/zinc/14/07/11/648140711.db2.gz ZNZVEOURWNTFQJ-SNVBAGLBSA-N 0 0 277.324 2.898 20 5 CFBDRN CC[S@@](C)(=O)=NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000925829587 648336614 /nfs/dbraw/zinc/33/66/14/648336614.db2.gz PFUWCECYWGVWGE-GDCKJWNLSA-N 0 0 296.348 2.642 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000927493544 648791392 /nfs/dbraw/zinc/79/13/92/648791392.db2.gz NPRLHZQURSXSND-OPQQBVKSSA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)ccn1C ZINC000928245072 648886646 /nfs/dbraw/zinc/88/66/46/648886646.db2.gz JGPPDJMDQZRNFC-SNVBAGLBSA-N 0 0 287.319 2.733 20 5 CFBDRN CCO[C@@H](C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000928246203 648887301 /nfs/dbraw/zinc/88/73/01/648887301.db2.gz CQAQFXKKMKNDCC-QMTHXVAHSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H](NC(=O)[C@@]1(C)CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928245495 648887578 /nfs/dbraw/zinc/88/75/78/648887578.db2.gz RMSLBUTXMJYAKX-IAQYHMDHSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H](NC(=O)[C@]1(C)CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928245494 648887963 /nfs/dbraw/zinc/88/79/63/648887963.db2.gz RMSLBUTXMJYAKX-ABAIWWIYSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H](NC(=O)/C=C\C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928248290 648888236 /nfs/dbraw/zinc/88/82/36/648888236.db2.gz JHJRCZABSOBPTE-ZUYFITGHSA-N 0 0 260.293 2.738 20 5 CFBDRN CSC[C@@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928248256 648888399 /nfs/dbraw/zinc/88/83/99/648888399.db2.gz IKDUPQFIHMZUCT-NXEZZACHSA-N 0 0 282.365 2.771 20 5 CFBDRN CC(C)CC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247239 648888442 /nfs/dbraw/zinc/88/84/42/648888442.db2.gz RRMGFOHMIYFKFJ-SNVBAGLBSA-N 0 0 250.298 2.818 20 5 CFBDRN O=C(N[C@@H](c1ccccn1)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928607646 648932596 /nfs/dbraw/zinc/93/25/96/648932596.db2.gz PYFDUAUOVUWBQO-OAHLLOKOSA-N 0 0 297.314 2.871 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CCCCC1 ZINC000929101103 648979139 /nfs/dbraw/zinc/97/91/39/648979139.db2.gz VQCWCJKWRILPIL-UHFFFAOYSA-N 0 0 280.299 2.679 20 5 CFBDRN CSCC(C)(C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000929117571 648982889 /nfs/dbraw/zinc/98/28/89/648982889.db2.gz WJSFDNIFOYWCEB-UHFFFAOYSA-N 0 0 283.353 2.858 20 5 CFBDRN Cc1cc(CCC(=O)Nc2cc([N+](=O)[O-])ccc2C)no1 ZINC000929132003 648986126 /nfs/dbraw/zinc/98/61/26/648986126.db2.gz AZEWEWNLXOUDNR-UHFFFAOYSA-N 0 0 289.291 2.771 20 5 CFBDRN CCc1ncc(CN(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000929165783 648993355 /nfs/dbraw/zinc/99/33/55/648993355.db2.gz WKOFVGMYDWVQSX-UHFFFAOYSA-N 0 0 275.308 2.777 20 5 CFBDRN CC(C)CN(CC[N+](=O)[O-])Cc1ccccc1F ZINC000929400605 649044879 /nfs/dbraw/zinc/04/48/79/649044879.db2.gz DCPUGKISEBNQEJ-UHFFFAOYSA-N 0 0 254.305 2.560 20 5 CFBDRN Cc1ccc([C@H]2C[C@@H](C)CCN2CC[N+](=O)[O-])o1 ZINC000929760271 649114380 /nfs/dbraw/zinc/11/43/80/649114380.db2.gz QRQIFFZFEHSSAB-CMPLNLGQSA-N 0 0 252.314 2.638 20 5 CFBDRN O=[N+]([O-])CCN1CCc2c(Cl)cc(Cl)cc2C1 ZINC000929851102 649139441 /nfs/dbraw/zinc/13/94/41/649139441.db2.gz ZJDJRIHWNLOUSL-UHFFFAOYSA-N 0 0 275.135 2.628 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C(C)C ZINC000929922715 649150124 /nfs/dbraw/zinc/15/01/24/649150124.db2.gz QIGZVIXSSWMIOS-UHFFFAOYSA-N 0 0 268.338 2.797 20 5 CFBDRN CCc1ncc(CN2CCc3c(cccc3[N+](=O)[O-])C2)o1 ZINC000930099210 649184182 /nfs/dbraw/zinc/18/41/82/649184182.db2.gz JSBYKYSRESDDBW-UHFFFAOYSA-N 0 0 287.319 2.704 20 5 CFBDRN Cc1cc(C)cc(C2=CCN(CC[N+](=O)[O-])CC2)c1 ZINC000930166037 649196461 /nfs/dbraw/zinc/19/64/61/649196461.db2.gz JHCDTXKCKBZZPM-UHFFFAOYSA-N 0 0 260.337 2.669 20 5 CFBDRN CCC[C@@H](NCC[N+](=O)[O-])c1ccc(F)cc1F ZINC000930233478 649211216 /nfs/dbraw/zinc/21/12/16/649211216.db2.gz RAJSJORLHGNZQC-GFCCVEGCSA-N 0 0 258.268 2.672 20 5 CFBDRN O=[N+]([O-])CCN1CC=C(c2cccc(Cl)c2)CC1 ZINC000930284806 649221759 /nfs/dbraw/zinc/22/17/59/649221759.db2.gz DTQACQXASBVPIO-UHFFFAOYSA-N 0 0 266.728 2.706 20 5 CFBDRN O=[N+]([O-])CCN1CCC(F)(c2ccc(Cl)cc2)CC1 ZINC000930310450 649227658 /nfs/dbraw/zinc/22/76/58/649227658.db2.gz ZAPSAMBELHUUHI-UHFFFAOYSA-N 0 0 286.734 2.877 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C1(C)CC=CC1 ZINC000930314017 649228415 /nfs/dbraw/zinc/22/84/15/649228415.db2.gz IGWFPYHIXJMSEO-UHFFFAOYSA-N 0 0 290.319 2.576 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000930732193 649317029 /nfs/dbraw/zinc/31/70/29/649317029.db2.gz BTKQLIHXSVARNV-JTQLQIEISA-N 0 0 295.339 2.838 20 5 CFBDRN CC1(C)CO[C@@H](c2cccc(Cl)c2)CN1CC[N+](=O)[O-] ZINC000931017623 649365555 /nfs/dbraw/zinc/36/55/55/649365555.db2.gz IWXSOESIMHZSFT-CYBMUJFWSA-N 0 0 298.770 2.769 20 5 CFBDRN CCCCNc1ccc(/C=C/C(=O)OC)cc1[N+](=O)[O-] ZINC000931270451 649400116 /nfs/dbraw/zinc/40/01/16/649400116.db2.gz XVDWCJLUGMTQMZ-SOFGYWHQSA-N 0 0 278.308 2.993 20 5 CFBDRN C[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000931466507 649435037 /nfs/dbraw/zinc/43/50/37/649435037.db2.gz KGZBSXYJISUTIP-VIFPVBQESA-N 0 0 290.298 2.576 20 5 CFBDRN CCCc1noc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000932181751 649560525 /nfs/dbraw/zinc/56/05/25/649560525.db2.gz CDNKCMGQQALTQM-SNVBAGLBSA-N 0 0 290.323 2.781 20 5 CFBDRN Cc1noc(CN[C@@H](c2ccccn2)C2CC2)c1[N+](=O)[O-] ZINC000932385984 649590444 /nfs/dbraw/zinc/59/04/44/649590444.db2.gz MVZHQDXBBSGIHI-CYBMUJFWSA-N 0 0 288.307 2.527 20 5 CFBDRN CN(C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000932652146 649634620 /nfs/dbraw/zinc/63/46/20/649634620.db2.gz WCRODGAVKCXLCZ-GJZGRUSLSA-N 0 0 297.314 2.756 20 5 CFBDRN C[C@@H](NCc1csc([N+](=O)[O-])c1)c1cncs1 ZINC000934197476 649759015 /nfs/dbraw/zinc/75/90/15/649759015.db2.gz DMDGKTOTLLKKJP-SSDOTTSWSA-N 0 0 269.351 2.964 20 5 CFBDRN CC[C@@H]1CCN(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000934466596 649789456 /nfs/dbraw/zinc/78/94/56/649789456.db2.gz JMNBUYBXHPHKFH-DFBGVHRSSA-N 0 0 288.347 2.957 20 5 CFBDRN Cc1cccc(OS(=O)(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000016089793 651238358 /nfs/dbraw/zinc/23/83/58/651238358.db2.gz GSNKDRDBHUDJHP-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN O=[N+]([O-])c1cccc(OS(=O)(=O)c2cccc(F)c2)c1 ZINC000016091756 651238761 /nfs/dbraw/zinc/23/87/61/651238761.db2.gz JOUSMFMANWQJFO-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN Cc1nc(C)n(Cc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000115965618 651277977 /nfs/dbraw/zinc/27/79/77/651277977.db2.gz YMXOXRORDJQGTF-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CCO[C@H](C)C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062113 651278077 /nfs/dbraw/zinc/27/80/77/651278077.db2.gz CILFVOQUGISDBZ-MRVPVSSYSA-N 0 0 287.699 2.716 20 5 CFBDRN CC(C)C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116063287 651278490 /nfs/dbraw/zinc/27/84/90/651278490.db2.gz KDTOPTZKGVZHCB-UHFFFAOYSA-N 0 0 257.673 2.947 20 5 CFBDRN CCO[C@@H](C)C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062112 651278564 /nfs/dbraw/zinc/27/85/64/651278564.db2.gz CILFVOQUGISDBZ-QMMMGPOBSA-N 0 0 287.699 2.716 20 5 CFBDRN Cc1c([N+](=O)[O-])cc[n+]([O-])c1NC[C@H]1CCCC[C@@H]1C ZINC001155075937 782203854 /nfs/dbraw/zinc/20/38/54/782203854.db2.gz BCSLPMOXVZZPNH-CMPLNLGQSA-N 0 0 279.340 2.775 20 5 CFBDRN CC(=O)N[C@H]1CC[C@H](CNc2ccc([N+](=O)[O-])cc2)CC1 ZINC001066414604 782272838 /nfs/dbraw/zinc/27/28/38/782272838.db2.gz PVFHFGZPQKSTDM-MQMHXKEQSA-N 0 0 291.351 2.702 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2ccnn2Cc2cccnc2)c1 ZINC001204416302 769849645 /nfs/dbraw/zinc/84/96/45/769849645.db2.gz UWQDMFASMWVNLQ-UHFFFAOYSA-N 0 0 295.302 2.978 20 5 CFBDRN CSCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000254925401 769912033 /nfs/dbraw/zinc/91/20/33/769912033.db2.gz OLAKKYSNKPUVST-DAXSKMNVSA-N 0 0 267.306 2.514 20 5 CFBDRN CCc1nocc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC001266385063 770057005 /nfs/dbraw/zinc/05/70/05/770057005.db2.gz DXDBOVSZOOOTPI-UHFFFAOYSA-N 0 0 280.211 2.504 20 5 CFBDRN Cc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccsn1 ZINC000705831531 770111445 /nfs/dbraw/zinc/11/14/45/770111445.db2.gz RKRMYAZQHQNOHE-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CCN(CC(C)(C)O)c1ccc2c(cccc2[N+](=O)[O-])n1 ZINC001167160369 770114419 /nfs/dbraw/zinc/11/44/19/770114419.db2.gz SHWYOTNQFOKSBZ-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN C[C@@H]1CO[C@@H]2CN(c3nccc4cc([N+](=O)[O-])ccc43)C[C@H]12 ZINC001167171653 770121562 /nfs/dbraw/zinc/12/15/62/770121562.db2.gz LLZMNOVZKLOIDM-VCTAVGKDSA-N 0 0 299.330 2.614 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2ccc(CCO)cc2)c1 ZINC001204457169 770126465 /nfs/dbraw/zinc/12/64/65/770126465.db2.gz ULPABCMOIJMYNC-UHFFFAOYSA-N 0 0 258.277 2.873 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N2CC[C@@H]3OCC[C@@H]3C2)n1 ZINC001167215580 770147740 /nfs/dbraw/zinc/14/77/40/770147740.db2.gz PUTOFAMDEYXKAN-KOLCDFICSA-N 0 0 297.742 2.567 20 5 CFBDRN Cc1cccc(C(N)=O)c1Nc1cccc([N+](=O)[O-])c1 ZINC001204477794 770177691 /nfs/dbraw/zinc/17/76/91/770177691.db2.gz VZHZGKQKHCETAG-UHFFFAOYSA-N 0 0 271.276 2.746 20 5 CFBDRN COc1ncc(Nc2cncc(Cl)c2)cc1[N+](=O)[O-] ZINC001210137533 770216296 /nfs/dbraw/zinc/21/62/96/770216296.db2.gz YSKOXSKZIVVTKS-UHFFFAOYSA-N 0 0 280.671 2.790 20 5 CFBDRN Cn1ccc(Nc2c(N)c3cccnc3c3ncccc32)n1 ZINC001210354119 770266817 /nfs/dbraw/zinc/26/68/17/770266817.db2.gz WMUJSMBEBYBYCR-UHFFFAOYSA-N 0 0 290.330 2.842 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1cccnc1OC ZINC001210443356 770285144 /nfs/dbraw/zinc/28/51/44/770285144.db2.gz CTAUCCPGUUEAJJ-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN O=C1CC(Nc2cc3ccccc3c([N+](=O)[O-])c2)C1 ZINC001167347939 770349734 /nfs/dbraw/zinc/34/97/34/770349734.db2.gz JNJWVGGGOMUYBY-UHFFFAOYSA-N 0 0 256.261 2.891 20 5 CFBDRN COC(=O)c1ccc(Nc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC001210950570 770403642 /nfs/dbraw/zinc/40/36/42/770403642.db2.gz YJNXVVGCIZPBMZ-UHFFFAOYSA-N 0 0 291.238 2.659 20 5 CFBDRN Cc1ccc(O)c(Nc2ccc(F)c([N+](=O)[O-])c2)n1 ZINC001211190351 770448142 /nfs/dbraw/zinc/44/81/42/770448142.db2.gz SKRSNVKWFHTYAE-UHFFFAOYSA-N 0 0 263.228 2.887 20 5 CFBDRN Cc1nnc2ccc(Nc3ccc(F)c([N+](=O)[O-])c3)cn12 ZINC001211191285 770448208 /nfs/dbraw/zinc/44/82/08/770448208.db2.gz RLMZJLRLPVZRII-UHFFFAOYSA-N 0 0 287.254 2.829 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-n1cnc2cccc(N)c21 ZINC001211288898 770476928 /nfs/dbraw/zinc/47/69/28/770476928.db2.gz CHCCOSLUNNBLOM-UHFFFAOYSA-N 0 0 268.276 2.824 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCC(F)(F)F ZINC000126466784 770541667 /nfs/dbraw/zinc/54/16/67/770541667.db2.gz XOKCLLJFPCLFSK-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN C[C@H](OC(=O)/C=C\c1ccncn1)c1ccc([N+](=O)[O-])cc1 ZINC000588437344 770680809 /nfs/dbraw/zinc/68/08/09/770680809.db2.gz WHDYYEKBZQXRKP-IBHLPDHGSA-N 0 0 299.286 2.702 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1C)[C@H]1CCCOC1 ZINC000723871927 770698061 /nfs/dbraw/zinc/69/80/61/770698061.db2.gz YIHQUUNGPLYIES-WCQYABFASA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])s1)[C@H]1CCCOC1 ZINC000723878088 770702967 /nfs/dbraw/zinc/70/29/67/770702967.db2.gz ZIIWRTJJNHDGKU-IUCAKERBSA-N 0 0 271.342 2.668 20 5 CFBDRN C=COCCOC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000588448373 770726806 /nfs/dbraw/zinc/72/68/06/770726806.db2.gz HKNMQQGRPSVWJA-ZHACJKMWSA-N 0 0 277.276 2.701 20 5 CFBDRN Cc1nc(NC(=O)/C=C\c2ccco2)ccc1[N+](=O)[O-] ZINC000255436157 770816948 /nfs/dbraw/zinc/81/69/48/770816948.db2.gz AMFQTWZYMFQVFW-DAXSKMNVSA-N 0 0 273.248 2.543 20 5 CFBDRN Nc1cc(CNc2ccc3cc([N+](=O)[O-])ccc3n2)ccn1 ZINC001167614458 770990236 /nfs/dbraw/zinc/99/02/36/770990236.db2.gz SDOGATWVVIVBTO-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN Nc1cc(CNc2nccc3c2cccc3[N+](=O)[O-])ccn1 ZINC001167614698 770990508 /nfs/dbraw/zinc/99/05/08/770990508.db2.gz OODYKHFPRQFTOA-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN CC(=O)CNc1ccc(C(C)(C)C)cc1[N+](=O)[O-] ZINC001167630885 773199536 /nfs/dbraw/zinc/19/95/36/773199536.db2.gz QSPDGNSQMZHGGO-UHFFFAOYSA-N 0 0 250.298 2.893 20 5 CFBDRN O=[N+]([O-])c1ccc(COC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC001224095764 775100835 /nfs/dbraw/zinc/10/08/35/775100835.db2.gz GFPFMQZIBQCWCG-GFCCVEGCSA-N 0 0 296.279 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(COC2CCC2)c([N+](=O)[O-])c1 ZINC001224094968 775101237 /nfs/dbraw/zinc/10/12/37/775101237.db2.gz IBBWMEKXRQOQCA-UHFFFAOYSA-N 0 0 252.226 2.572 20 5 CFBDRN CCC[C@@H](CC(=O)OCC)OCc1ccc([N+](=O)[O-])nc1 ZINC001224108875 775101989 /nfs/dbraw/zinc/10/19/89/775101989.db2.gz MDFBSEMPSXJGTP-LBPRGKRZSA-N 0 0 296.323 2.628 20 5 CFBDRN C[C@@H](OCc1ccc([N+](=O)[O-])nc1)c1cccnc1 ZINC001224108716 775102400 /nfs/dbraw/zinc/10/24/00/775102400.db2.gz JWALTUGBMNGGSD-SNVBAGLBSA-N 0 0 259.265 2.663 20 5 CFBDRN C[C@@H](OCc1ccc([N+](=O)[O-])nc1)c1ccncc1F ZINC001224115966 775104704 /nfs/dbraw/zinc/10/47/04/775104704.db2.gz XNWLQBJLFHLDQJ-SECBINFHSA-N 0 0 277.255 2.802 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2N)C1 ZINC000721527690 775129313 /nfs/dbraw/zinc/12/93/13/775129313.db2.gz FJPALHGXGBOPFM-PSASIEDQSA-N 0 0 264.281 2.522 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC(F)(F)CC1 ZINC000404840413 775145893 /nfs/dbraw/zinc/14/58/93/775145893.db2.gz OJARVARKRSEFIF-UHFFFAOYSA-N 0 0 288.225 2.605 20 5 CFBDRN CCC(=O)[C@H](CC)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224331710 775159595 /nfs/dbraw/zinc/15/95/95/775159595.db2.gz RSNIHMDYJILSEG-AWEZNQCLSA-N 0 0 265.309 2.912 20 5 CFBDRN CCO[C@@H](C)COCCc1ccc([N+](=O)[O-])cc1 ZINC001224334265 775161156 /nfs/dbraw/zinc/16/11/56/775161156.db2.gz UVIJTBGAZDXGKB-NSHDSACASA-N 0 0 253.298 2.579 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCC1SCCS1 ZINC000693812921 775179782 /nfs/dbraw/zinc/17/97/82/775179782.db2.gz FZOLXFZOSVWFCX-UHFFFAOYSA-N 0 0 271.367 2.598 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](OCCc1ccccn1)C2 ZINC001224447392 775189761 /nfs/dbraw/zinc/18/97/61/775189761.db2.gz WPXBKQJRZGKSIE-INIZCTEOSA-N 0 0 284.315 2.716 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](OCCC2CC2)C1 ZINC001224761196 775268513 /nfs/dbraw/zinc/26/85/13/775268513.db2.gz JKGBEXIRNYLEFY-ZDUSSCGKSA-N 0 0 276.336 2.990 20 5 CFBDRN CC1(C)C[C@@H](OCCOc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001224777554 775270976 /nfs/dbraw/zinc/27/09/76/775270976.db2.gz AFSLYQVOXYUWJR-AWEZNQCLSA-N 0 0 295.335 2.948 20 5 CFBDRN C[C@H](OCCOc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC001224777281 775271086 /nfs/dbraw/zinc/27/10/86/775271086.db2.gz QYZXJOWSZWAYQK-JTQLQIEISA-N 0 0 251.282 2.789 20 5 CFBDRN COCCOCCCO[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001224897050 775301764 /nfs/dbraw/zinc/30/17/64/775301764.db2.gz CJIWXPULDVGOMO-GFCCVEGCSA-N 0 0 283.324 2.726 20 5 CFBDRN CC(=O)N1CC[C@@H](CO[C@@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC001225011280 775334762 /nfs/dbraw/zinc/33/47/62/775334762.db2.gz JCGTXCOQKAKYAZ-WCQYABFASA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccccc1 ZINC001225197348 775363663 /nfs/dbraw/zinc/36/36/63/775363663.db2.gz LCJKVWOSTBHQPA-SNVBAGLBSA-N 0 0 261.281 2.567 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(Cl)cn1)c1cccnc1 ZINC001225274820 775387747 /nfs/dbraw/zinc/38/77/47/775387747.db2.gz OJLUJNXXGPHOBQ-LLVKDONJSA-N 0 0 279.683 2.527 20 5 CFBDRN C[C@@H](Oc1ccncc1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001225291380 775395329 /nfs/dbraw/zinc/39/53/29/775395329.db2.gz UDCRWJFDENZJGR-SECBINFHSA-N 0 0 288.259 2.859 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccccc1-c1ccno1)C1CC1 ZINC001225357459 775425340 /nfs/dbraw/zinc/42/53/40/775425340.db2.gz GKWAYYCHPVMRKF-CQSZACIVSA-N 0 0 274.276 2.776 20 5 CFBDRN O=C1CCc2ccc(O[C@H](C[N+](=O)[O-])c3cccnc3)cc21 ZINC001225359031 775427271 /nfs/dbraw/zinc/42/72/71/775427271.db2.gz QTBJTTINZXPDQX-MRXNPFEDSA-N 0 0 298.298 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2COc3cnccc32)ccc1F ZINC001225383971 775437895 /nfs/dbraw/zinc/43/78/95/775437895.db2.gz JMRUOZWXOKXYAF-ZDUSSCGKSA-N 0 0 276.223 2.641 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000177773971 775445388 /nfs/dbraw/zinc/44/53/88/775445388.db2.gz SBKQTWOIJUFPAW-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN C[C@@H](Oc1c(Cl)nccc1[N+](=O)[O-])c1ncccn1 ZINC001225430531 775453950 /nfs/dbraw/zinc/45/39/50/775453950.db2.gz CGFKXVDTHNUEET-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1OC1CCSCC1 ZINC001225431042 775454310 /nfs/dbraw/zinc/45/43/10/775454310.db2.gz KVXVWXZFKYEMEV-UHFFFAOYSA-N 0 0 274.729 2.918 20 5 CFBDRN CCc1ncccc1O[C@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001225455851 775462758 /nfs/dbraw/zinc/46/27/58/775462758.db2.gz HIWYCACQZMQBJV-SNVBAGLBSA-N 0 0 290.323 2.525 20 5 CFBDRN C[C@H](COC(C)(C)C)Oc1cc([O-])ccc1[N+](=O)[O-] ZINC001225488508 775476671 /nfs/dbraw/zinc/47/66/71/775476671.db2.gz HAMQMERCJLRQQG-SECBINFHSA-N 0 0 269.297 2.883 20 5 CFBDRN CC(C)(C)[NH+]1CCC(Oc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC001225494058 775480390 /nfs/dbraw/zinc/48/03/90/775480390.db2.gz KVPLYIVHNBWFDF-UHFFFAOYSA-N 0 0 294.351 2.942 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cccnc1Cl ZINC001225588641 775514198 /nfs/dbraw/zinc/51/41/98/775514198.db2.gz QWIDTOYZHTZDJT-MRVPVSSYSA-N 0 0 296.714 2.616 20 5 CFBDRN CO[C@H](C)CCOc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225602166 775519442 /nfs/dbraw/zinc/51/94/42/775519442.db2.gz VIGBZDPKSGMPFX-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H](C)c1cnn(C)c1C ZINC001225612112 775522601 /nfs/dbraw/zinc/52/26/01/775522601.db2.gz BPPHBOUKBGSKDF-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN CC/C=C\CCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cn1 ZINC001225630608 775531523 /nfs/dbraw/zinc/53/15/23/775531523.db2.gz DOYOHDUDXATXSH-WYGGZMRJSA-N 0 0 294.307 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCc3ccccc3C2)nc1 ZINC001225630719 775531708 /nfs/dbraw/zinc/53/17/08/775531708.db2.gz FESMLVOVSRFQSA-CQSZACIVSA-N 0 0 270.288 2.926 20 5 CFBDRN O=[N+]([O-])c1ncccc1O[C@H](c1cccnc1)C1CC1 ZINC001225629155 775532249 /nfs/dbraw/zinc/53/22/49/775532249.db2.gz FUTMJINRFPZEST-ZDUSSCGKSA-N 0 0 271.276 2.915 20 5 CFBDRN CCCCOC[C@H](C)Oc1ccc([N+](=O)[O-])cn1 ZINC001225634448 775533140 /nfs/dbraw/zinc/53/31/40/775533140.db2.gz XJJNILOCBFRLGF-JTQLQIEISA-N 0 0 254.286 2.574 20 5 CFBDRN C[C@@H](Oc1ncccc1O)c1cccc([N+](=O)[O-])c1 ZINC001225654811 775544297 /nfs/dbraw/zinc/54/42/97/775544297.db2.gz KDGWYMGDSBRQSC-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN Cc1cc(O[C@@H]2CCC(F)(F)C2)ncc1[N+](=O)[O-] ZINC001225659901 775545800 /nfs/dbraw/zinc/54/58/00/775545800.db2.gz ZRKHLRUEAQMAEJ-MRVPVSSYSA-N 0 0 258.224 2.865 20 5 CFBDRN O=c1cc[nH]c(O[C@H]2CCCc3cccnc32)c1[N+](=O)[O-] ZINC001225662247 775546967 /nfs/dbraw/zinc/54/69/67/775546967.db2.gz RVTGVIAXIUMGDX-NSHDSACASA-N 0 0 287.275 2.547 20 5 CFBDRN CC[C@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])C(C)(C)C ZINC001225667336 775549286 /nfs/dbraw/zinc/54/92/86/775549286.db2.gz RGYLENRSVAZFCA-VIFPVBQESA-N 0 0 254.286 2.899 20 5 CFBDRN C[C@@H]1CCC[C@H](C)[C@H]1Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225668179 775550212 /nfs/dbraw/zinc/55/02/12/775550212.db2.gz ZPVRVTIBRRPHLY-GDGBQDQQSA-N 0 0 266.297 2.899 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2cccc(F)c2[N+](=O)[O-])C1(C)C ZINC001225667999 775550752 /nfs/dbraw/zinc/55/07/52/775550752.db2.gz XKCZANVNCXIQHA-KWQFWETISA-N 0 0 297.282 2.700 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225667995 775550873 /nfs/dbraw/zinc/55/08/73/775550873.db2.gz XQHXQIIBIIQGOP-SCZZXKLOSA-N 0 0 252.270 2.653 20 5 CFBDRN C[C@H](Oc1cccc(F)c1[N+](=O)[O-])c1cnccn1 ZINC001225668359 775551133 /nfs/dbraw/zinc/55/11/33/775551133.db2.gz ZAAJFCBRHRRREQ-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C1 ZINC001225668146 775551179 /nfs/dbraw/zinc/55/11/79/775551179.db2.gz YXTMOLXQOVOYRJ-FXPVBKGRSA-N 0 0 266.297 2.899 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1cc(C)ccc1[N+](=O)[O-] ZINC001225681026 775556595 /nfs/dbraw/zinc/55/65/95/775556595.db2.gz JAYWNDFDWMAPTM-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(C[C@H](C)Oc1cc(C)ccc1[N+](=O)[O-])OC ZINC001225681907 775557582 /nfs/dbraw/zinc/55/75/82/775557582.db2.gz GFOJGUSHVCRCEF-JTQLQIEISA-N 0 0 269.297 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)c2cnccn2)c1 ZINC001225683033 775557613 /nfs/dbraw/zinc/55/76/13/775557613.db2.gz PLSGOWXWIPXOPE-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1c(O[C@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC001225689890 775559577 /nfs/dbraw/zinc/55/95/77/775559577.db2.gz QMFNNDKSDAHRAU-KOLCDFICSA-N 0 0 251.282 2.850 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1cccc([N+](=O)[O-])c1C ZINC001225690178 775560124 /nfs/dbraw/zinc/56/01/24/775560124.db2.gz SNWWEYDIEJQUOA-GHMZBOCLSA-N 0 0 283.324 2.722 20 5 CFBDRN CCOCC(COCC)Oc1cccc([N+](=O)[O-])c1C ZINC001225690474 775560239 /nfs/dbraw/zinc/56/02/39/775560239.db2.gz YSUQMMQBJHJPDL-UHFFFAOYSA-N 0 0 283.324 2.724 20 5 CFBDRN Cc1c(O[C@H]2CCOC[C@H]2C)cccc1[N+](=O)[O-] ZINC001225693256 775560761 /nfs/dbraw/zinc/56/07/61/775560761.db2.gz RVBWCDIIFCDICQ-SKDRFNHKSA-N 0 0 251.282 2.707 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cccc([N+](=O)[O-])c2C)C1 ZINC001225693405 775561001 /nfs/dbraw/zinc/56/10/01/775561001.db2.gz VHXUUEAFKKRMTM-WJONMLJTSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1c(O[C@@H]2CCCC3(C2)OCCO3)cccc1[N+](=O)[O-] ZINC001225693170 775561525 /nfs/dbraw/zinc/56/15/25/775561525.db2.gz QAVNSIXOBFUOBK-GFCCVEGCSA-N 0 0 293.319 2.968 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cc(F)c(F)cc2[N+](=O)[O-])O1 ZINC001225774632 775588602 /nfs/dbraw/zinc/58/86/02/775588602.db2.gz BEKWFEHISFCRNZ-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@@H](Oc1cc(F)c(F)cc1[N+](=O)[O-])c1ncccn1 ZINC001225777140 775589471 /nfs/dbraw/zinc/58/94/71/775589471.db2.gz PXGQDYDTYLAVTE-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H](Oc1cc(F)c(F)cc1[N+](=O)[O-])c1cncnc1 ZINC001225777917 775590952 /nfs/dbraw/zinc/59/09/52/775590952.db2.gz CUGPEVAISBFSSU-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CCOC[C@@H](C)Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225777990 775591091 /nfs/dbraw/zinc/59/10/91/775591091.db2.gz FLVZOWLUMULVLP-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225789749 775593220 /nfs/dbraw/zinc/59/32/20/775593220.db2.gz WLTKBOOSTWDSSE-SECBINFHSA-N 0 0 287.699 2.969 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225788389 775593246 /nfs/dbraw/zinc/59/32/46/775593246.db2.gz MKYCREZPSOJMGO-KTLJEJPDSA-N 0 0 299.710 2.969 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225786313 775593599 /nfs/dbraw/zinc/59/35/99/775593599.db2.gz QTWSSSFAPRIYLE-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN CC1(C)OC[C@H](COc2cccc([N+](=O)[O-])c2Cl)O1 ZINC001225786373 775593690 /nfs/dbraw/zinc/59/36/90/775593690.db2.gz RRRMAFKAJZRABI-QMMMGPOBSA-N 0 0 287.699 2.779 20 5 CFBDRN C[C@@H](Oc1cccc([N+](=O)[O-])c1F)c1cnccn1 ZINC001225795218 775595956 /nfs/dbraw/zinc/59/59/56/775595956.db2.gz DGEMMHCCKPAHIP-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2cccc([N+](=O)[O-])c2F)C1 ZINC001225795809 775596167 /nfs/dbraw/zinc/59/61/67/775596167.db2.gz HTKNHHYRKQWPQS-UWVGGRQHSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2cccc([N+](=O)[O-])c2F)CC1 ZINC001225796939 775596444 /nfs/dbraw/zinc/59/64/44/775596444.db2.gz OEYAUIPCCJYRMV-MGCOHNPYSA-N 0 0 297.282 2.845 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H](C)c2cnccn2)c1 ZINC001225806549 775600253 /nfs/dbraw/zinc/60/02/53/775600253.db2.gz RXIXKWXAZRPMQC-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN CC[C@H](C)Oc1c([N+](=O)[O-])cc(F)cc1[N+](=O)[O-] ZINC001225888825 775623485 /nfs/dbraw/zinc/62/34/85/775623485.db2.gz HCKUOPSWHKDPOB-LURJTMIESA-N 0 0 258.205 2.819 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc([N+](=O)[O-])c1O[C@H]1C=CCC1 ZINC001225893726 775625279 /nfs/dbraw/zinc/62/52/79/775625279.db2.gz AHOOOXZELXUYAM-QMMMGPOBSA-N 0 0 268.200 2.740 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2ccc(F)cc2[N+](=O)[O-])C1 ZINC001225904416 775629359 /nfs/dbraw/zinc/62/93/59/775629359.db2.gz CVGXNQABGPMURI-ONGXEEELSA-N 0 0 297.282 2.845 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225908253 775631019 /nfs/dbraw/zinc/63/10/19/775631019.db2.gz ZQYISNOLRSAVFD-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(F)c1)c1ncccn1 ZINC001225912916 775632447 /nfs/dbraw/zinc/63/24/47/775632447.db2.gz PGUGDVFYOJDJGD-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225912723 775632624 /nfs/dbraw/zinc/63/26/24/775632624.db2.gz MTHNRVZFMFLBTF-MFKMUULPSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(F)c1)c1cncnc1 ZINC001225916437 775633949 /nfs/dbraw/zinc/63/39/49/775633949.db2.gz RHXJMVMPHGFFJQ-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1ccc([N+](=O)[O-])cc1F ZINC001225941228 775642529 /nfs/dbraw/zinc/64/25/29/775642529.db2.gz DTTGVRXZCMAVOO-ZYHUDNBSSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc([N+](=O)[O-])cc1F)OC ZINC001225943015 775643492 /nfs/dbraw/zinc/64/34/92/775643492.db2.gz WEYCIYHPXQPMFS-MRVPVSSYSA-N 0 0 273.260 2.510 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1F ZINC001225947432 775645232 /nfs/dbraw/zinc/64/52/32/775645232.db2.gz XNJXATBTRLZTFG-KWQFWETISA-N 0 0 255.245 2.538 20 5 CFBDRN CC[C@@H](COc1c(F)c(F)ccc1[N+](=O)[O-])OC ZINC001225963885 775650157 /nfs/dbraw/zinc/65/01/57/775650157.db2.gz UFMQXFNLYSVJSE-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@H](CON)Oc1ccc(-c2ccc([N+](=O)[O-])cc2)cc1 ZINC001225974568 775653129 /nfs/dbraw/zinc/65/31/29/775653129.db2.gz ZACKPTGCDKLXBA-LLVKDONJSA-N 0 0 288.303 2.919 20 5 CFBDRN O=C1OCC[C@@H]1Oc1ccc(-c2ccc([N+](=O)[O-])cc2)cc1 ZINC001225973782 775653340 /nfs/dbraw/zinc/65/33/40/775653340.db2.gz RSBSGPASUMWJKN-HNNXBMFYSA-N 0 0 299.282 2.956 20 5 CFBDRN COC(C[C@@H](C)Oc1c(F)cccc1[N+](=O)[O-])OC ZINC001226006832 775664917 /nfs/dbraw/zinc/66/49/17/775664917.db2.gz AJDUEAHGKBJTMN-MRVPVSSYSA-N 0 0 273.260 2.510 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226008750 775665750 /nfs/dbraw/zinc/66/57/50/775665750.db2.gz HKCRDAFLBASTKD-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@H](Oc1c(F)cccc1[N+](=O)[O-])C1CCOCC1 ZINC001226008214 775665853 /nfs/dbraw/zinc/66/58/53/775665853.db2.gz YSEHVUTWTQTLCQ-VIFPVBQESA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@H](Oc1c(F)cccc1[N+](=O)[O-])c1ncccn1 ZINC001226007372 775666385 /nfs/dbraw/zinc/66/63/85/775666385.db2.gz NFTLXOCWEAXLAT-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC001226009331 775666526 /nfs/dbraw/zinc/66/65/26/775666526.db2.gz KXYUYHBGIOSUAT-UWVGGRQHSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H](Oc1c(F)cccc1[N+](=O)[O-])c1cncnc1 ZINC001226010194 775667162 /nfs/dbraw/zinc/66/71/62/775667162.db2.gz RELWPEUMKHBUTE-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)C1 ZINC001226061208 775685714 /nfs/dbraw/zinc/68/57/14/775685714.db2.gz HCEBOXAIJLWFOP-GXSJLCMTSA-N 0 0 299.348 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cnc3[nH]ccc3c1)C2 ZINC001226093521 775696134 /nfs/dbraw/zinc/69/61/34/775696134.db2.gz XHOLSAUBCJKWHZ-AWEZNQCLSA-N 0 0 295.298 2.969 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(F)cnc1Cl)c1cccnc1 ZINC001226108971 775702253 /nfs/dbraw/zinc/70/22/53/775702253.db2.gz IYLNVHHTTJCHQC-LLVKDONJSA-N 0 0 297.673 2.666 20 5 CFBDRN Cc1ccnc(O[C@@H](C)c2ncccc2F)c1[N+](=O)[O-] ZINC001226118891 775706255 /nfs/dbraw/zinc/70/62/55/775706255.db2.gz MOJBZDAPODTDJA-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN COC(=O)C1(Nc2nc(Cl)ccc2[N+](=O)[O-])CCCC1 ZINC001167714991 775717131 /nfs/dbraw/zinc/71/71/31/775717131.db2.gz ODYVWLWGCKWUQB-UHFFFAOYSA-N 0 0 299.714 2.541 20 5 CFBDRN C[C@H]1CC(Oc2ccc([N+](=O)[O-])cc2C(N)=O)C[C@H](C)C1 ZINC001226167191 775723895 /nfs/dbraw/zinc/72/38/95/775723895.db2.gz GSFRSEWPARYCEX-NXEZZACHSA-N 0 0 292.335 2.897 20 5 CFBDRN C[C@H]1CCC[C@H](C)C1Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226171684 775724761 /nfs/dbraw/zinc/72/47/61/775724761.db2.gz FMOSBPFIMRUEEP-UWVGGRQHSA-N 0 0 292.335 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cccnc1F)C2 ZINC001226185341 775728897 /nfs/dbraw/zinc/72/88/97/775728897.db2.gz RTECVPVCMQEKHO-LBPRGKRZSA-N 0 0 274.251 2.675 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ncc([N+](=O)[O-])cc2Cl)=C1C ZINC001226256280 775758162 /nfs/dbraw/zinc/75/81/62/775758162.db2.gz RVWKLKIORNPPDR-SECBINFHSA-N 0 0 298.682 2.631 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1cc([N+](=O)[O-])ccc1F ZINC001226265221 775762439 /nfs/dbraw/zinc/76/24/39/775762439.db2.gz GDDYFWCABYKXKX-JQWIXIFHSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1F)C1CCOCC1 ZINC001226266324 775762450 /nfs/dbraw/zinc/76/24/50/775762450.db2.gz SIULKMMPGFGMTM-SECBINFHSA-N 0 0 269.272 2.928 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1F ZINC001226265189 775762494 /nfs/dbraw/zinc/76/24/94/775762494.db2.gz FEONNRADFHJZAG-SECBINFHSA-N 0 0 257.261 2.928 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cc([N+](=O)[O-])ccc1F ZINC001226266858 775762559 /nfs/dbraw/zinc/76/25/59/775762559.db2.gz ACLRWSWGTFXYOA-LDYMZIIASA-N 0 0 255.245 2.538 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1F ZINC001226269491 775762968 /nfs/dbraw/zinc/76/29/68/775762968.db2.gz GUAFAHACODBZMC-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cc([N+](=O)[O-])ccc2F)CCO1 ZINC001226271110 775763313 /nfs/dbraw/zinc/76/33/13/775763313.db2.gz XRTQMZZQHGEGFD-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(O[C@@H]2COc3cnccc32)c1 ZINC001226271178 775764973 /nfs/dbraw/zinc/76/49/73/775764973.db2.gz YKZFUGNWMFOJFQ-CYBMUJFWSA-N 0 0 276.223 2.641 20 5 CFBDRN CCO[C@@H](C)COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226295073 775771477 /nfs/dbraw/zinc/77/14/77/775771477.db2.gz PCFHNWOZEDHESE-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN CCCCOC[C@@H](C)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226334292 775782133 /nfs/dbraw/zinc/78/21/33/775782133.db2.gz SVIYYLMLSUTWOO-SNVBAGLBSA-N 0 0 269.297 2.884 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@H]1CCc2cccnc21 ZINC001226334346 775782544 /nfs/dbraw/zinc/78/25/44/775782544.db2.gz SZGYVNACPAYSIP-LBPRGKRZSA-N 0 0 272.260 2.762 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1OC[C@@H]1Cc2ccccc2O1 ZINC001226336974 775784100 /nfs/dbraw/zinc/78/41/00/775784100.db2.gz UNJHWUZRFANNFD-NSHDSACASA-N 0 0 287.271 2.683 20 5 CFBDRN COC(=O)c1cc(OC)c(O[C@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC001226362388 775790673 /nfs/dbraw/zinc/79/06/73/775790673.db2.gz RILVSXSZLBPEQA-MRVPVSSYSA-N 0 0 295.291 2.567 20 5 CFBDRN Cc1c([C@H](C)Oc2nc(Cl)ccc2[N+](=O)[O-])cnn1C ZINC001226365263 775791715 /nfs/dbraw/zinc/79/17/15/775791715.db2.gz BKAJIUNGXCCRFP-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C)C2CC2)c(OC)cc1[N+](=O)[O-] ZINC001226441773 775822983 /nfs/dbraw/zinc/82/29/83/775822983.db2.gz ULYQSOVOFQOQCK-QMMMGPOBSA-N 0 0 295.291 2.567 20 5 CFBDRN CCN1CC(Oc2ccc([N+](=O)[O-])c3ccccc23)C1 ZINC001226510086 775853403 /nfs/dbraw/zinc/85/34/03/775853403.db2.gz XHQBZXJQKQMKCG-UHFFFAOYSA-N 0 0 272.304 2.831 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOC2)c2ccccc21 ZINC001226509513 775853658 /nfs/dbraw/zinc/85/36/58/775853658.db2.gz SHFQSGNHTBUQGX-SNVBAGLBSA-N 0 0 259.261 2.916 20 5 CFBDRN Cc1nc(O[C@H](C)c2cccc([N+](=O)[O-])c2)cc(=O)[nH]1 ZINC001226512902 775855283 /nfs/dbraw/zinc/85/52/83/775855283.db2.gz WKOVNSHTYGUCGV-MRVPVSSYSA-N 0 0 275.264 2.539 20 5 CFBDRN C[C@H](CON)Oc1ccc2c(c1)oc(=O)c1ccccc21 ZINC001226515910 775856740 /nfs/dbraw/zinc/85/67/40/775856740.db2.gz WVOUURAEBHXXIU-SNVBAGLBSA-N 0 0 285.299 2.604 20 5 CFBDRN COc1cc(O[C@@H](C)COC(C)(C)C)ncc1[N+](=O)[O-] ZINC001226575311 775879674 /nfs/dbraw/zinc/87/96/74/775879674.db2.gz BFUUJFZORZTDED-VIFPVBQESA-N 0 0 284.312 2.581 20 5 CFBDRN COc1cc(O[C@@H](C)c2nccs2)ncc1[N+](=O)[O-] ZINC001226580116 775881405 /nfs/dbraw/zinc/88/14/05/775881405.db2.gz XWFNJLZNIDVIIN-ZETCQYMHSA-N 0 0 281.293 2.595 20 5 CFBDRN CC[C@@H](C)[C@H](C)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226581508 775881485 /nfs/dbraw/zinc/88/14/85/775881485.db2.gz SKGQNTNRILIOJO-BDAKNGLRSA-N 0 0 254.286 2.812 20 5 CFBDRN CC1(C)CC(=O)CC[C@@H]1Oc1ccc([N+](=O)[O-])nc1 ZINC001226710217 775932111 /nfs/dbraw/zinc/93/21/11/775932111.db2.gz PDHZDSKOXGIVNT-NSHDSACASA-N 0 0 264.281 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCOc3ccccc32)cn1 ZINC001226710623 775934208 /nfs/dbraw/zinc/93/42/08/775934208.db2.gz UBHQQIWWLVYYKJ-ZDUSSCGKSA-N 0 0 272.260 2.892 20 5 CFBDRN CCC[C@@H](Oc1ncc([N+](=O)[O-])cn1)[C@@H](C)CC ZINC001226733400 775941244 /nfs/dbraw/zinc/94/12/44/775941244.db2.gz OSTSNQXLCYPLHX-GXSJLCMTSA-N 0 0 253.302 2.978 20 5 CFBDRN COC(C[C@@H](C)Oc1c(F)cc([N+](=O)[O-])cc1F)OC ZINC001226743623 775943820 /nfs/dbraw/zinc/94/38/20/775943820.db2.gz DWPXWPWGICIJKD-SSDOTTSWSA-N 0 0 291.250 2.649 20 5 CFBDRN C[C@@H](Oc1ncc(F)cc1[N+](=O)[O-])c1cccnc1 ZINC001226752056 775947937 /nfs/dbraw/zinc/94/79/37/775947937.db2.gz WWYBCJZHZPTSLJ-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@@H]1CCC(F)(F)C1 ZINC001226753483 775948618 /nfs/dbraw/zinc/94/86/18/775948618.db2.gz FQGYDGIKDMNJHV-SSDOTTSWSA-N 0 0 262.187 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOC[C@H]2F)c(Cl)c1 ZINC001226760248 775951397 /nfs/dbraw/zinc/95/13/97/775951397.db2.gz GSUNIVHQDCLYAF-MWLCHTKSSA-N 0 0 275.663 2.754 20 5 CFBDRN CCCCOC[C@@H](C)Oc1ncc([N+](=O)[O-])cc1C ZINC001226762507 775952548 /nfs/dbraw/zinc/95/25/48/775952548.db2.gz WWACLICZLYGKCD-LLVKDONJSA-N 0 0 268.313 2.882 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1cccc([N+](=O)[O-])c1 ZINC001226763654 775952732 /nfs/dbraw/zinc/95/27/32/775952732.db2.gz YTIWZGPKVQXSKK-LLVKDONJSA-N 0 0 267.281 2.705 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1cccc([N+](=O)[O-])c1 ZINC001226763656 775952762 /nfs/dbraw/zinc/95/27/62/775952762.db2.gz YTIWZGPKVQXSKK-NSHDSACASA-N 0 0 267.281 2.705 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1OC[C@@H]1Cc2ccccc2O1 ZINC001226762289 775952787 /nfs/dbraw/zinc/95/27/87/775952787.db2.gz TTXVBVOAWUQJAD-ZDUSSCGKSA-N 0 0 286.287 2.681 20 5 CFBDRN CC1=C[C@@H](Oc2ccc([N+](=O)[O-])cc2Cl)CC(=O)O1 ZINC001226762936 775952857 /nfs/dbraw/zinc/95/28/57/775952857.db2.gz YNEQXPBATLABAW-SECBINFHSA-N 0 0 283.667 2.846 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2cccc([N+](=O)[O-])c2)CC1 ZINC001226768723 775955569 /nfs/dbraw/zinc/95/55/69/775955569.db2.gz RBLGZQGQUMFZIK-KLPPZKSPSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1c(F)cccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001226774946 775957391 /nfs/dbraw/zinc/95/73/91/775957391.db2.gz OBWZWJVZMKTBEM-AWEZNQCLSA-N 0 0 276.267 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@@H]2CCOC[C@@H]2F)c1 ZINC001226804763 775972248 /nfs/dbraw/zinc/97/22/48/775972248.db2.gz OMAXFDJMOATCDI-VHSXEESVSA-N 0 0 275.663 2.754 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC001226810283 775973184 /nfs/dbraw/zinc/97/31/84/775973184.db2.gz MBPOCOCKXSXXRG-LLVKDONJSA-N 0 0 286.671 2.682 20 5 CFBDRN C[C@H](O)CCCC(=O)Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226809545 775973218 /nfs/dbraw/zinc/97/32/18/775973218.db2.gz DOKMGPJNNGIUQX-QMMMGPOBSA-N 0 0 287.699 2.705 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1F)ncnc2O[C@@H]1C=CCC1 ZINC001226808283 775973246 /nfs/dbraw/zinc/97/32/46/775973246.db2.gz SNVXMFFEDOGMBQ-MRVPVSSYSA-N 0 0 275.239 2.775 20 5 CFBDRN Cc1cnc(NC2(C3CCOCC3)CC2)cc1[N+](=O)[O-] ZINC001167743812 775984754 /nfs/dbraw/zinc/98/47/54/775984754.db2.gz PRVJXRZTSNAUSF-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN Cc1cc(O[C@@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC001226844611 775988715 /nfs/dbraw/zinc/98/87/15/775988715.db2.gz ZYLHZGXDILQBHN-GXFFZTMASA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1O[C@@H]1CCOC[C@@H]1F ZINC001226907925 776012292 /nfs/dbraw/zinc/01/22/92/776012292.db2.gz YQRSNFJAJLXJLZ-WCBMZHEXSA-N 0 0 293.653 2.893 20 5 CFBDRN CC(=O)[C@@H](C)Oc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC001226908572 776013716 /nfs/dbraw/zinc/01/37/16/776013716.db2.gz KJHNXOQTPOZTGO-ZCFIWIBFSA-N 0 0 261.636 2.744 20 5 CFBDRN O=[N+]([O-])c1cnc(OC[C@H]2CCC=CO2)cc1Cl ZINC001226916403 776016700 /nfs/dbraw/zinc/01/67/00/776016700.db2.gz CBKMKFXCWJOVSC-MRVPVSSYSA-N 0 0 270.672 2.715 20 5 CFBDRN CCOC(=O)[C@@H](Oc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC001226953119 776032583 /nfs/dbraw/zinc/03/25/83/776032583.db2.gz SBLKXPDOGLTPGG-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc(C)c([N+](=O)[O-])c2)=C1C ZINC001226951359 776032593 /nfs/dbraw/zinc/03/25/93/776032593.db2.gz ZGLDMFHRTOBCCM-LBPRGKRZSA-N 0 0 277.276 2.891 20 5 CFBDRN CC(=O)[C@@H](C)Oc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC001226963895 776037006 /nfs/dbraw/zinc/03/70/06/776037006.db2.gz JLBPCFNLNPNNFT-SSDOTTSWSA-N 0 0 277.198 2.970 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2c(C)cccc2[N+](=O)[O-])C1 ZINC001226972653 776039587 /nfs/dbraw/zinc/03/95/87/776039587.db2.gz YOYXVOIZOGUGGA-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H]1COc2cnccc21 ZINC001226972786 776039621 /nfs/dbraw/zinc/03/96/21/776039621.db2.gz ZKTNIQDEHIAHCG-ZDUSSCGKSA-N 0 0 272.260 2.811 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(F)ccc1Cl)C1CC1 ZINC001226978979 776044686 /nfs/dbraw/zinc/04/46/86/776044686.db2.gz CJDSTVFJIVHARK-NSHDSACASA-N 0 0 259.664 2.913 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1OC[C@@H]1CCO1 ZINC001226990646 776051823 /nfs/dbraw/zinc/05/18/23/776051823.db2.gz WXOVWHQNBYKYLU-QMMMGPOBSA-N 0 0 257.673 2.724 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227108103 776100130 /nfs/dbraw/zinc/10/01/30/776100130.db2.gz KBFKIDLMNYSMJG-KWQFWETISA-N 0 0 281.268 2.856 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1C=CCCC1 ZINC001227122742 776106123 /nfs/dbraw/zinc/10/61/23/776106123.db2.gz TZIMHHCFDUVGKN-SNVBAGLBSA-N 0 0 277.276 2.869 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H](C)C1CCC1 ZINC001227122565 776106230 /nfs/dbraw/zinc/10/62/30/776106230.db2.gz RFDMAYQNVRJLHQ-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN CCC[C@@H](C)Oc1c(C(=O)OC)cccc1[N+](=O)[O-] ZINC001227122895 776106315 /nfs/dbraw/zinc/10/63/15/776106315.db2.gz WOPNGJBMKGATPK-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(Cl)cc1OC1COC1 ZINC001227131053 776109725 /nfs/dbraw/zinc/10/97/25/776109725.db2.gz WODFFXOWDNRTTE-UHFFFAOYSA-N 0 0 264.064 2.679 20 5 CFBDRN CCN1CC(Oc2c3ccccc3ccc2[N+](=O)[O-])C1 ZINC001227210393 776139248 /nfs/dbraw/zinc/13/92/48/776139248.db2.gz HCRPDSSNMZMONW-UHFFFAOYSA-N 0 0 272.304 2.831 20 5 CFBDRN O=[N+]([O-])c1ccc2ccccc2c1OC[C@H]1COCCO1 ZINC001227213831 776140369 /nfs/dbraw/zinc/14/03/69/776140369.db2.gz BSJARGQELGPDMJ-GFCCVEGCSA-N 0 0 289.287 2.542 20 5 CFBDRN COC1=CC[C@@H](Oc2ccc(C)nc2[N+](=O)[O-])CC1 ZINC001227223694 776144050 /nfs/dbraw/zinc/14/40/50/776144050.db2.gz NXVHFCRWQMEVQP-LLVKDONJSA-N 0 0 264.281 2.760 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2nccs2)c([N+](=O)[O-])n1 ZINC001227224449 776145651 /nfs/dbraw/zinc/14/56/51/776145651.db2.gz VNOGGHVVCQLMRD-QMMMGPOBSA-N 0 0 265.294 2.895 20 5 CFBDRN C[C@@H](Oc1nc2ccc([N+](=O)[O-])cc2o1)C1CCOCC1 ZINC001227229176 776146908 /nfs/dbraw/zinc/14/69/08/776146908.db2.gz KUHVXUZNLKVWTO-SECBINFHSA-N 0 0 292.291 2.930 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227232185 776148627 /nfs/dbraw/zinc/14/86/27/776148627.db2.gz NDELDIQZENJIRY-KWQFWETISA-N 0 0 278.264 2.540 20 5 CFBDRN CC(=O)c1cccc(Cl)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001227305627 776176150 /nfs/dbraw/zinc/17/61/50/776176150.db2.gz LNCMBZSEWLYHIN-GFCCVEGCSA-N 0 0 283.711 2.977 20 5 CFBDRN Cc1nc(Cl)nc(O[C@H]2C=CCCC2)c1[N+](=O)[O-] ZINC001227336485 776178869 /nfs/dbraw/zinc/17/88/69/776178869.db2.gz CEWAFBPALHQSMQ-QMMMGPOBSA-N 0 0 269.688 2.834 20 5 CFBDRN COC1=CC[C@@H](Oc2nc(Cl)nc(C)c2[N+](=O)[O-])CC1 ZINC001227338294 776178950 /nfs/dbraw/zinc/17/89/50/776178950.db2.gz PSDZIHWKMILVQY-SECBINFHSA-N 0 0 299.714 2.808 20 5 CFBDRN Cc1nc(Cl)nc(O[C@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC001227334044 776179117 /nfs/dbraw/zinc/17/91/17/776179117.db2.gz CJCUUEPFGHPYEF-MRVPVSSYSA-N 0 0 294.698 2.882 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC001227337106 776179210 /nfs/dbraw/zinc/17/92/10/776179210.db2.gz DQKSNURFBKKLLR-RNLVFQAGSA-N 0 0 269.688 2.524 20 5 CFBDRN C[C@H](Oc1[nH]c(=S)ncc1F)c1cccc([N+](=O)[O-])c1 ZINC001227386904 776190790 /nfs/dbraw/zinc/19/07/90/776190790.db2.gz QCCNFXSAMZYIKE-ZETCQYMHSA-N 0 0 295.295 2.953 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cc(C)cc(O)c1 ZINC001227471947 776211333 /nfs/dbraw/zinc/21/13/33/776211333.db2.gz MIFWRMZTBZKTSB-SNVBAGLBSA-N 0 0 291.307 2.581 20 5 CFBDRN CCOC(=O)N1CCC[C@H](Oc2ccccc2[N+](=O)[O-])C1 ZINC001227480339 776211362 /nfs/dbraw/zinc/21/13/62/776211362.db2.gz LRYKOFUQPDNHRQ-NSHDSACASA-N 0 0 294.307 2.595 20 5 CFBDRN CC1(C)OC[C@H](CCOc2ccccc2[N+](=O)[O-])O1 ZINC001227480457 776212383 /nfs/dbraw/zinc/21/23/83/776212383.db2.gz NPIGPRWOJPOSIM-JTQLQIEISA-N 0 0 267.281 2.515 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])cc2)=C1C ZINC001227521330 776218929 /nfs/dbraw/zinc/21/89/29/776218929.db2.gz PVBAUPFBJVXWBU-NSHDSACASA-N 0 0 263.249 2.583 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H](C)c1cncnc1 ZINC001227701993 776261987 /nfs/dbraw/zinc/26/19/87/776261987.db2.gz RNBFISXYEVCSNT-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H]1COc2cnccc21 ZINC001227700363 776262444 /nfs/dbraw/zinc/26/24/44/776262444.db2.gz QPGCGMFOSOHQDM-CYBMUJFWSA-N 0 0 288.259 2.511 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC001227742539 776275409 /nfs/dbraw/zinc/27/54/09/776275409.db2.gz ARIZMAZDBPAKBV-STQMWFEESA-N 0 0 291.307 2.808 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(O[C@@H]3C[C@H]4C[C@H]4C3)nc2c1 ZINC001227746770 776278442 /nfs/dbraw/zinc/27/84/42/776278442.db2.gz UJQPYEDQPZVKNE-DIYOJNKTSA-N 0 0 259.265 2.648 20 5 CFBDRN CCCOC[C@H](C)Oc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC001227746781 776278782 /nfs/dbraw/zinc/27/87/82/776278782.db2.gz ULCJKNYZTBCLDU-VIFPVBQESA-N 0 0 279.296 2.665 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2SCCS2)cc1 ZINC000692833718 776362615 /nfs/dbraw/zinc/36/26/15/776362615.db2.gz OINJGLSXIUGXLV-UHFFFAOYSA-N 0 0 256.352 2.813 20 5 CFBDRN COC(=O)c1cccc(O[C@@H](C)C2CCC2)c1[N+](=O)[O-] ZINC001227891566 776319173 /nfs/dbraw/zinc/31/91/73/776319173.db2.gz BXPGKPVMJZFQGV-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2ccc3c(c2)CCO3)cnc1F ZINC001167781916 776335503 /nfs/dbraw/zinc/33/55/03/776335503.db2.gz NVGHXZQYNVDYDN-UHFFFAOYSA-N 0 0 289.266 2.676 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cn3ccccc3n1)C2 ZINC001227945383 776336565 /nfs/dbraw/zinc/33/65/65/776336565.db2.gz KOFGZCHCNWTPBM-AWEZNQCLSA-N 0 0 295.298 2.789 20 5 CFBDRN Cc1ccc([C@H](C)Oc2cncc([N+](=O)[O-])c2)cn1 ZINC001227986595 776350467 /nfs/dbraw/zinc/35/04/67/776350467.db2.gz BDXNHMQIBYPQCA-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@@H](COCc1ccccc1)Oc1cncc([N+](=O)[O-])c1 ZINC001227988079 776351257 /nfs/dbraw/zinc/35/12/57/776351257.db2.gz NFAMWEWIMPHCLI-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN COc1ccc([C@@H](C)Oc2cncc([N+](=O)[O-])c2)cn1 ZINC001227987892 776351371 /nfs/dbraw/zinc/35/13/71/776351371.db2.gz LORVUDCOZPXSSD-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN C[C@@H](Oc1cncc([N+](=O)[O-])c1)c1cccnc1F ZINC001227990262 776351866 /nfs/dbraw/zinc/35/18/66/776351866.db2.gz INBYMAAXPLEJQV-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CC/C=C\CCOC(=O)[C@@H](C)Oc1cncc([N+](=O)[O-])c1 ZINC001227988744 776352405 /nfs/dbraw/zinc/35/24/05/776352405.db2.gz WQZUJACGLXGPAB-DOGVGXBMSA-N 0 0 294.307 2.657 20 5 CFBDRN C[C@H](O)CCCC(=O)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228000514 776355845 /nfs/dbraw/zinc/35/58/45/776355845.db2.gz MBCXJAVENBGEQU-QMMMGPOBSA-N 0 0 287.699 2.705 20 5 CFBDRN CCOC(=O)C1CC(Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228002916 776356326 /nfs/dbraw/zinc/35/63/26/776356326.db2.gz SNSAXNPWZGGKRJ-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228000244 776356802 /nfs/dbraw/zinc/35/68/02/776356802.db2.gz KCWQRJKHMODAJR-KCJUWKMLSA-N 0 0 299.710 2.969 20 5 CFBDRN CC[C@@H](COc1cc([N+](=O)[O-])cc(F)c1F)OC ZINC001228015291 776364473 /nfs/dbraw/zinc/36/44/73/776364473.db2.gz BWPUDZDKHIRWEW-QMMMGPOBSA-N 0 0 261.224 2.677 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2nccc(Cl)c2[N+](=O)[O-])=C1C ZINC001228014793 776364654 /nfs/dbraw/zinc/36/46/54/776364654.db2.gz PDLYUHVLZWBMQW-QMMMGPOBSA-N 0 0 298.682 2.631 20 5 CFBDRN Cc1cc(=O)cc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)[nH]1 ZINC001228124752 776402053 /nfs/dbraw/zinc/40/20/53/776402053.db2.gz SXRKKWIITZDYHH-CQSZACIVSA-N 0 0 286.287 2.550 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(NCc2ccc(N)nc2C)c1F ZINC001167786955 776404095 /nfs/dbraw/zinc/40/40/95/776404095.db2.gz LXEPJKFZCHQFLQ-UHFFFAOYSA-N 0 0 290.298 2.940 20 5 CFBDRN CCC(CC)[C@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228141832 776406540 /nfs/dbraw/zinc/40/65/40/776406540.db2.gz VJRMDTNXEVUXMU-VIFPVBQESA-N 0 0 269.301 2.684 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2nc[nH]c(=O)c2[N+](=O)[O-])cc1 ZINC001228141654 776406576 /nfs/dbraw/zinc/40/65/76/776406576.db2.gz STJMGSFGBSNINU-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN O=c1[nH]cnc(O[C@@H](c2ccccc2)C2CC2)c1[N+](=O)[O-] ZINC001228142233 776408049 /nfs/dbraw/zinc/40/80/49/776408049.db2.gz BPQFUTZIWZUCTP-LBPRGKRZSA-N 0 0 287.275 2.621 20 5 CFBDRN C[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1c(F)cccc1F ZINC001228143899 776408273 /nfs/dbraw/zinc/40/82/73/776408273.db2.gz QYVNJUJNEJYEBG-ZCFIWIBFSA-N 0 0 297.217 2.509 20 5 CFBDRN CCC[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C(C)(C)C ZINC001228142224 776408511 /nfs/dbraw/zinc/40/85/11/776408511.db2.gz BKAXYANUCCGVTB-MRVPVSSYSA-N 0 0 269.301 2.684 20 5 CFBDRN O=c1[nH]cnc(O[C@@H]2CC[C@H]3CCCC[C@H]3C2)c1[N+](=O)[O-] ZINC001228141856 776408556 /nfs/dbraw/zinc/40/85/56/776408556.db2.gz VXQUKNVOZLSPPP-OUAUKWLOSA-N 0 0 293.323 2.828 20 5 CFBDRN C[C@H]1C[C@@H](Oc2nc[nH]c(=O)c2[N+](=O)[O-])CC(C)(C)C1 ZINC001228144516 776410116 /nfs/dbraw/zinc/41/01/16/776410116.db2.gz VFVNEJBNXLTREX-DTWKUNHWSA-N 0 0 281.312 2.684 20 5 CFBDRN Cc1c(C)c(O[C@@H](C)c2ccccc2[N+](=O)[O-])n[nH]c1=O ZINC001228154003 776411931 /nfs/dbraw/zinc/41/19/31/776411931.db2.gz IKDLBYGVFAVNKI-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2[nH]ccc21)c1cccnc1 ZINC001228183607 776417305 /nfs/dbraw/zinc/41/73/05/776417305.db2.gz ZOROBLKJBXLAGS-HNNXBMFYSA-N 0 0 283.287 2.960 20 5 CFBDRN C[C@@H](CON)Oc1ccc2c(c1)oc1ccccc1c2=O ZINC001228184613 776417892 /nfs/dbraw/zinc/41/78/92/776417892.db2.gz VIJIIRXGGVMAMB-JTQLQIEISA-N 0 0 285.299 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(O[C@H]3CCOC[C@@H]3F)sc2c1 ZINC001228210455 776422606 /nfs/dbraw/zinc/42/26/06/776422606.db2.gz GJXDNCBULJUSTM-WPRPVWTQSA-N 0 0 298.295 2.710 20 5 CFBDRN Cc1cc(C)c(O[C@H]2COC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001228230593 776429237 /nfs/dbraw/zinc/42/92/37/776429237.db2.gz STMKODSSIGVBGM-JQWIXIFHSA-N 0 0 251.282 2.625 20 5 CFBDRN CCOC(=O)C1CC(Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC001228230348 776429468 /nfs/dbraw/zinc/42/94/68/776429468.db2.gz QNYZIYNBUNMHKI-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2[nH]c(=O)nc3cc([N+](=O)[O-])ccc32)C1 ZINC001228243458 776432233 /nfs/dbraw/zinc/43/22/33/776432233.db2.gz YPYYMOVTZBPYOP-WCBMZHEXSA-N 0 0 289.291 2.811 20 5 CFBDRN CC1=C(Oc2cc(Cl)cc([N+](=O)[O-])c2)C(=O)O[C@H]1C ZINC001228257111 776435769 /nfs/dbraw/zinc/43/57/69/776435769.db2.gz GGZGTCJQJXQAMP-ZETCQYMHSA-N 0 0 283.667 2.846 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228258179 776436136 /nfs/dbraw/zinc/43/61/36/776436136.db2.gz SFIWNFKIDLKZLX-KCJUWKMLSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228255862 776436210 /nfs/dbraw/zinc/43/62/10/776436210.db2.gz NKGBNLCPTBCNHV-CPCISQLKSA-N 0 0 257.673 2.662 20 5 CFBDRN Cc1cnc(NC[C@@H]2CC[C@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000722331618 776476352 /nfs/dbraw/zinc/47/63/52/776476352.db2.gz CKBPYFCITINFAX-WCQYABFASA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1c(O[C@@H](C)c2ccccc2[N+](=O)[O-])c(=O)ccn1C ZINC001228434910 776490160 /nfs/dbraw/zinc/49/01/60/776490160.db2.gz ISAZQFIFAKHMHW-NSHDSACASA-N 0 0 288.303 2.742 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1c(C)cc([N+](=O)[O-])cc1C ZINC001228571718 776525355 /nfs/dbraw/zinc/52/53/55/776525355.db2.gz RECWOUYGRKBKSG-SNVBAGLBSA-N 0 0 267.281 2.542 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2c(C)cc([N+](=O)[O-])cc2C)C1 ZINC001228571511 776526014 /nfs/dbraw/zinc/52/60/14/776526014.db2.gz QBFVWGVIGFHVQV-BJHJDKERSA-N 0 0 293.319 2.932 20 5 CFBDRN CC[C@H](C)[C@H](C)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604858 776536984 /nfs/dbraw/zinc/53/69/84/776536984.db2.gz XEMUIZSMQOVSIF-YUMQZZPRSA-N 0 0 281.312 2.781 20 5 CFBDRN CCC(CC)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604390 776537477 /nfs/dbraw/zinc/53/74/77/776537477.db2.gz QXAPTSFZORRLDG-UHFFFAOYSA-N 0 0 267.285 2.535 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(F)cc1O[C@@H]1CCn2ccnc21 ZINC001228610211 776538523 /nfs/dbraw/zinc/53/85/23/776538523.db2.gz RGZUDZKOHMJWTQ-SECBINFHSA-N 0 0 281.218 2.593 20 5 CFBDRN C[C@H](Oc1ncncc1[N+](=O)[O-])c1cccs1 ZINC001228658180 776554787 /nfs/dbraw/zinc/55/47/87/776554787.db2.gz UIQJOBVLUXQMQR-ZETCQYMHSA-N 0 0 251.267 2.586 20 5 CFBDRN C[C@H](Oc1ncncc1[N+](=O)[O-])c1cccnc1Cl ZINC001228657314 776554903 /nfs/dbraw/zinc/55/49/03/776554903.db2.gz MYNVHWGZQZLENH-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ncncc2[N+](=O)[O-])CC(C)(C)C1 ZINC001228655941 776555077 /nfs/dbraw/zinc/55/50/77/776555077.db2.gz XSWBTICSWBVHOR-NXEZZACHSA-N 0 0 265.313 2.978 20 5 CFBDRN CCC[C@@H](C)[C@@H](CC)Oc1ncncc1[N+](=O)[O-] ZINC001228659290 776556152 /nfs/dbraw/zinc/55/61/52/776556152.db2.gz IIHMTDKTCJIMFQ-MWLCHTKSSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1cc2[nH]c(OC[C@@H]3CC[C@H](C)O3)nc2cc1[N+](=O)[O-] ZINC001228672411 776562545 /nfs/dbraw/zinc/56/25/45/776562545.db2.gz STWKBIJCEBXMLP-UWVGGRQHSA-N 0 0 291.307 2.726 20 5 CFBDRN Cc1cc2[nH]c(O[C@@H]3CCCCC3=O)nc2cc1[N+](=O)[O-] ZINC001228676519 776563306 /nfs/dbraw/zinc/56/33/06/776563306.db2.gz IQKMBVHQFJSYGN-CYBMUJFWSA-N 0 0 289.291 2.670 20 5 CFBDRN CC[C@@H](COC)Oc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228676259 776563581 /nfs/dbraw/zinc/56/35/81/776563581.db2.gz XVNABYCYDUIDRH-VIFPVBQESA-N 0 0 279.296 2.583 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC001228684982 776567980 /nfs/dbraw/zinc/56/79/80/776567980.db2.gz COZQOZANWHEVPV-PSASIEDQSA-N 0 0 266.297 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2CCCC[C@@H]2F)c1[N+](=O)[O-] ZINC001228689704 776569375 /nfs/dbraw/zinc/56/93/75/776569375.db2.gz WUDQDZJQDVXWBU-DTWKUNHWSA-N 0 0 270.260 2.663 20 5 CFBDRN CCCOC[C@H](C)Oc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC001228770259 776604800 /nfs/dbraw/zinc/60/48/00/776604800.db2.gz IOZYBQWLVDOERO-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1cc(O[C@H]2C=C(C)OC(=O)C2)cc([N+](=O)[O-])c1 ZINC001228833895 776626070 /nfs/dbraw/zinc/62/60/70/776626070.db2.gz UIPPNKKSTDDOKX-LBPRGKRZSA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1cc(OC[C@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC001228833907 776626095 /nfs/dbraw/zinc/62/60/95/776626095.db2.gz URFLVWJFTAIGJM-GFCCVEGCSA-N 0 0 251.282 2.851 20 5 CFBDRN CCOC(=O)[C@H](Oc1cc(C)cc([N+](=O)[O-])c1)C1CC1 ZINC001228839920 776629288 /nfs/dbraw/zinc/62/92/88/776629288.db2.gz ZQLLGDYFXYBWRA-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ncnc(C)c1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228850522 776633547 /nfs/dbraw/zinc/63/35/47/776633547.db2.gz LLERUKMDUOLYDD-CQSZACIVSA-N 0 0 285.303 2.548 20 5 CFBDRN CC(C)C[C@@H](Oc1cnccc1[N+](=O)[O-])C(=O)OC(C)C ZINC001228879509 776643717 /nfs/dbraw/zinc/64/37/17/776643717.db2.gz HKDQEPPKWGARMN-GFCCVEGCSA-N 0 0 296.323 2.735 20 5 CFBDRN CCCCOC[C@H](C)Oc1cnccc1[N+](=O)[O-] ZINC001228879019 776643885 /nfs/dbraw/zinc/64/38/85/776643885.db2.gz BBPXKUATACXOPL-JTQLQIEISA-N 0 0 254.286 2.574 20 5 CFBDRN COc1ccc([C@@H](C)Oc2cnccc2[N+](=O)[O-])cn1 ZINC001228880170 776645240 /nfs/dbraw/zinc/64/52/40/776645240.db2.gz QIZLEQZFLJJSBP-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1cnccc1[N+](=O)[O-] ZINC001228883159 776645421 /nfs/dbraw/zinc/64/54/21/776645421.db2.gz UDUGSCNYSLXXPS-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc2cc[nH]c2cc1F)C1CC1 ZINC001228886816 776646156 /nfs/dbraw/zinc/64/61/56/776646156.db2.gz AZZHIBCRHGFYKU-ZDUSSCGKSA-N 0 0 264.256 2.741 20 5 CFBDRN C[C@@H](Oc1cccc2c1CNC2=O)c1ccccc1[N+](=O)[O-] ZINC001228901834 776651352 /nfs/dbraw/zinc/65/13/52/776651352.db2.gz QVCBAJRUPSHYTH-SNVBAGLBSA-N 0 0 298.298 2.978 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ccc([N+](=O)[O-])c(Cl)n1 ZINC001228936576 776661889 /nfs/dbraw/zinc/66/18/89/776661889.db2.gz VSCSUAOECFZXCO-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])c(Cl)n1 ZINC001228934425 776662078 /nfs/dbraw/zinc/66/20/78/776662078.db2.gz PCYOKMJKBPVUMW-VIFPVBQESA-N 0 0 272.688 2.780 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2COc3cnccc32)nc1Cl ZINC001228938020 776663103 /nfs/dbraw/zinc/66/31/03/776663103.db2.gz MABKXKZOBVYENP-SNVBAGLBSA-N 0 0 293.666 2.551 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ccc([N+](=O)[O-])c(Cl)n2)CCO1 ZINC001228937508 776663467 /nfs/dbraw/zinc/66/34/67/776663467.db2.gz GKFQZDNABSAXDT-HTQZYQBOSA-N 0 0 272.688 2.590 20 5 CFBDRN CC(C)C[C@H](C)Oc1cc(O)cc([O-])c1[N+](=O)[O-] ZINC001228969944 776672389 /nfs/dbraw/zinc/67/23/89/776672389.db2.gz DXTMNNXLSYRAKO-QMMMGPOBSA-N 0 0 255.270 2.819 20 5 CFBDRN N=CNc1c(Br)cc(F)cc1[N+](=O)[O-] ZINC001167866063 776682499 /nfs/dbraw/zinc/68/24/99/776682499.db2.gz YRJGBUCWMIXJPP-UHFFFAOYSA-N 0 0 262.038 2.515 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1OC1CCSCC1 ZINC001229056615 776702997 /nfs/dbraw/zinc/70/29/97/776702997.db2.gz RKUWXWSBMRXONW-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001229059639 776704267 /nfs/dbraw/zinc/70/42/67/776704267.db2.gz TWJQKTOJUOXBCH-IMSIIYSGSA-N 0 0 289.287 2.725 20 5 CFBDRN C[C@@H](Oc1cccoc1=O)c1ccccc1[N+](=O)[O-] ZINC001229088409 776713447 /nfs/dbraw/zinc/71/34/47/776713447.db2.gz MDWALZZQWNJJLY-SECBINFHSA-N 0 0 261.233 2.688 20 5 CFBDRN Cn1ccc2cc(O[C@H](C[N+](=O)[O-])C3CC3)ccc21 ZINC001229113770 776722590 /nfs/dbraw/zinc/72/25/90/776722590.db2.gz BYXBZFFBZQDMMO-CQSZACIVSA-N 0 0 260.293 2.612 20 5 CFBDRN C[C@@H](Oc1ccc(/C=C/[N+](=O)[O-])cc1)c1ncccn1 ZINC001229120037 776726035 /nfs/dbraw/zinc/72/60/35/776726035.db2.gz VCGYOHQAJBVHRV-PFEDMVJOSA-N 0 0 271.276 2.864 20 5 CFBDRN CC(=O)c1cccc(O[C@H](C)C2CCOCC2)c1[N+](=O)[O-] ZINC001229132308 776729048 /nfs/dbraw/zinc/72/90/48/776729048.db2.gz RYASQHGZBORFJG-LLVKDONJSA-N 0 0 293.319 2.991 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OC1CN(C(C)C)C1 ZINC001229177709 776743818 /nfs/dbraw/zinc/74/38/18/776743818.db2.gz KVNYLCRMLPNYOT-UHFFFAOYSA-N 0 0 292.335 2.659 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COCc1cccc(C)c1[N+](=O)[O-] ZINC001223665869 776744878 /nfs/dbraw/zinc/74/48/78/776744878.db2.gz ZSXSZJQXLJAIOP-OLZOCXBDSA-N 0 0 297.351 2.860 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H](CC)COC ZINC001229180838 776745709 /nfs/dbraw/zinc/74/57/09/776745709.db2.gz ZEPRWIKPUBFSLV-NSHDSACASA-N 0 0 281.308 2.991 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1CCO[C@H](C)C1 ZINC001229202570 776750841 /nfs/dbraw/zinc/75/08/41/776750841.db2.gz YNEYSNINANZCCX-KOLCDFICSA-N 0 0 269.272 2.989 20 5 CFBDRN CC[C@@H](COc1c(C)cc(F)cc1[N+](=O)[O-])OC ZINC001229201808 776751212 /nfs/dbraw/zinc/75/12/12/776751212.db2.gz SJIFNRHBUGWRQE-JTQLQIEISA-N 0 0 257.261 2.846 20 5 CFBDRN CCOC[C@@H](C)Oc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229344326 776785028 /nfs/dbraw/zinc/78/50/28/776785028.db2.gz WVWJSOBLEVHCJG-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(O[C@@H]2CCOC[C@H]2F)c1 ZINC001229345882 776786703 /nfs/dbraw/zinc/78/67/03/776786703.db2.gz JDKGRHYJABODSC-VXGBXAGGSA-N 0 0 292.266 2.649 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(O[C@H]2CCOC[C@@H]2F)c1 ZINC001229345879 776787082 /nfs/dbraw/zinc/78/70/82/776787082.db2.gz JDKGRHYJABODSC-RYUDHWBXSA-N 0 0 292.266 2.649 20 5 CFBDRN CCC(CC)Oc1n[nH]c(=O)c2ccc([N+](=O)[O-])cc21 ZINC001229363799 776791421 /nfs/dbraw/zinc/79/14/21/776791421.db2.gz GXYBOPZXCDSTPN-UHFFFAOYSA-N 0 0 277.280 2.811 20 5 CFBDRN CC1=C(Oc2ccc(Cl)cc2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001229376186 776794900 /nfs/dbraw/zinc/79/49/00/776794900.db2.gz GGDUPMGQRFNNDZ-ZETCQYMHSA-N 0 0 283.667 2.846 20 5 CFBDRN CCOC(=O)[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC001229380842 776795542 /nfs/dbraw/zinc/79/55/42/776795542.db2.gz PRSSCXYCWMKMGT-GFCCVEGCSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1O)c1nccs1 ZINC001229396471 776799134 /nfs/dbraw/zinc/79/91/34/776799134.db2.gz UTCKNBAKSDJUEW-SSDOTTSWSA-N 0 0 266.278 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)ncnc2OC1CCSCC1 ZINC001229400098 776801391 /nfs/dbraw/zinc/80/13/91/776801391.db2.gz QWJAXCJNQPEQFF-UHFFFAOYSA-N 0 0 291.332 2.812 20 5 CFBDRN O=[N+]([O-])c1c[n+]([O-])ccc1O[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC001229452166 776817572 /nfs/dbraw/zinc/81/75/72/776817572.db2.gz MSLKYUWLVSSFEG-FRRDWIJNSA-N 0 0 292.335 2.966 20 5 CFBDRN CCC[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])C(C)(C)C ZINC001229452262 776817765 /nfs/dbraw/zinc/81/77/65/776817765.db2.gz OIVZSHCNDCCDNY-GFCCVEGCSA-N 0 0 268.313 2.822 20 5 CFBDRN CC[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])C1CCCCC1 ZINC001229456944 776820090 /nfs/dbraw/zinc/82/00/90/776820090.db2.gz ZQHDQFKXGIKCEK-CYBMUJFWSA-N 0 0 280.324 2.966 20 5 CFBDRN Cc1cc(O[C@H]2CCc3nccn3C2)cc(C)c1[N+](=O)[O-] ZINC001229470908 776821228 /nfs/dbraw/zinc/82/12/28/776821228.db2.gz VMOMQWMVFHIZJC-LBPRGKRZSA-N 0 0 287.319 2.802 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(C)c([N+](=O)[O-])c(C)c1 ZINC001229469574 776821497 /nfs/dbraw/zinc/82/14/97/776821497.db2.gz HWACMYZSPMHYAD-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1cc(OC2CC3(COC3)C2)cc(C)c1[N+](=O)[O-] ZINC001229469576 776822110 /nfs/dbraw/zinc/82/21/10/776822110.db2.gz HYHUUAUGNYUDFH-UHFFFAOYSA-N 0 0 263.293 2.769 20 5 CFBDRN CCO[C@H](C)COc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229492679 776827994 /nfs/dbraw/zinc/82/79/94/776827994.db2.gz ZMAHCWXHSKTVOA-MRVPVSSYSA-N 0 0 266.253 2.540 20 5 CFBDRN O=[N+]([O-])c1ncc(Br)cc1OC1CCC1 ZINC001229562221 776848225 /nfs/dbraw/zinc/84/82/25/776848225.db2.gz DEMFYVJQTLWUBB-UHFFFAOYSA-N 0 0 273.086 2.684 20 5 CFBDRN Cc1cc([O-])c(O[C@H]2CCC[N@@H+](C(C)C)C2)cc1[N+](=O)[O-] ZINC001229755693 776889037 /nfs/dbraw/zinc/88/90/37/776889037.db2.gz ZMLBQNSNADDBOF-LBPRGKRZSA-N 0 0 294.351 2.860 20 5 CFBDRN CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])c(C)c2C)CC1 ZINC001229882366 776909263 /nfs/dbraw/zinc/90/92/63/776909263.db2.gz NGUYQEJLVXPBFH-UHFFFAOYSA-N 0 0 292.335 2.601 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@@H]1CCn2ccnc21 ZINC001229883213 776909915 /nfs/dbraw/zinc/90/99/15/776909915.db2.gz UHSKBDYPGIVRQQ-CYBMUJFWSA-N 0 0 273.292 2.932 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])c(C)c2C)C1 ZINC001229883579 776910830 /nfs/dbraw/zinc/91/08/30/776910830.db2.gz WJGXBQOSYRIPEP-PHIMTYICSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cccc(C)c1 ZINC001225242242 776916303 /nfs/dbraw/zinc/91/63/03/776916303.db2.gz QLWSTENQBIPGIM-NSHDSACASA-N 0 0 275.308 2.876 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCC=CO2)c(Cl)n1 ZINC001229915251 776917096 /nfs/dbraw/zinc/91/70/96/776917096.db2.gz MIYVNAYKADICIO-QMMMGPOBSA-N 0 0 270.672 2.715 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc([N+](=O)[O-])nc1Cl ZINC001229914718 776917667 /nfs/dbraw/zinc/91/76/67/776917667.db2.gz DYURBISEPBBELF-QMMMGPOBSA-N 0 0 274.704 2.837 20 5 CFBDRN C[C@H](CON)Oc1ccc([N+](=O)[O-])c(Cl)c1Cl ZINC001230039006 776948060 /nfs/dbraw/zinc/94/80/60/776948060.db2.gz NPFPFYRKJXPKBV-RXMQYKEDSA-N 0 0 281.095 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2COCOC2)c(Cl)c1Cl ZINC001230040067 776948549 /nfs/dbraw/zinc/94/85/49/776948549.db2.gz KBLUWGLUXZQCIH-UHFFFAOYSA-N 0 0 294.090 2.653 20 5 CFBDRN C[C@@H](Oc1ccc2c(c1)CNC2=O)c1ccccc1[N+](=O)[O-] ZINC001230044953 776949689 /nfs/dbraw/zinc/94/96/89/776949689.db2.gz UEGCYFVVOIINNY-SNVBAGLBSA-N 0 0 298.298 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cc(F)ccc1O)C2 ZINC001230290031 776973524 /nfs/dbraw/zinc/97/35/24/776973524.db2.gz CBEWMIDNUMMNHK-CYBMUJFWSA-N 0 0 289.262 2.986 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1OC1CC(F)(F)C1 ZINC001230312302 776977646 /nfs/dbraw/zinc/97/76/46/776977646.db2.gz GESIQEACECMWML-UHFFFAOYSA-N 0 0 274.179 2.680 20 5 CFBDRN COC1=CC[C@@H](Oc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC001230319802 776979549 /nfs/dbraw/zinc/97/95/49/776979549.db2.gz YMIDKUNWKVWXFF-SNVBAGLBSA-N 0 0 294.263 2.965 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1OC[C@@H]1CCO1 ZINC001230326163 776980009 /nfs/dbraw/zinc/98/00/09/776980009.db2.gz IOQAVLLXKSFFRS-ZETCQYMHSA-N 0 0 288.097 2.525 20 5 CFBDRN C[C@H]1CC[C@@H](COc2c(Cl)nccc2[N+](=O)[O-])O1 ZINC001225434399 776986325 /nfs/dbraw/zinc/98/63/25/776986325.db2.gz YPQJPEIMTRLPGN-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cc(C)c(C)c([N+](=O)[O-])c1 ZINC001230476854 776996647 /nfs/dbraw/zinc/99/66/47/776996647.db2.gz FQWKKTUXOCKXII-CYBMUJFWSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])C2CC2)cc([N+](=O)[O-])c1C ZINC001230477685 776997526 /nfs/dbraw/zinc/99/75/26/776997526.db2.gz OUWWLZOWOVYGOB-ZDUSSCGKSA-N 0 0 280.280 2.646 20 5 CFBDRN Cc1ccc2ncc(O[C@H](C[N+](=O)[O-])C3CC3)cc2c1 ZINC001230526183 777004203 /nfs/dbraw/zinc/00/42/03/777004203.db2.gz SYWFVVSLJYOREU-OAHLLOKOSA-N 0 0 272.304 2.977 20 5 CFBDRN CCOc1cccc(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001230555240 777011193 /nfs/dbraw/zinc/01/11/93/777011193.db2.gz JBSDHAMWBDSQKF-ZDUSSCGKSA-N 0 0 251.282 2.519 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1OC1CCSCC1 ZINC001230595098 777022061 /nfs/dbraw/zinc/02/20/61/777022061.db2.gz JFSPAGMWVJGPNW-UHFFFAOYSA-N 0 0 279.321 2.746 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001230601547 777023540 /nfs/dbraw/zinc/02/35/40/777023540.db2.gz OAXHXDFGQOKQLE-RWEMILLDSA-N 0 0 271.276 2.815 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccccc1-c1ncc[nH]1)C1CC1 ZINC001230609595 777026559 /nfs/dbraw/zinc/02/65/59/777026559.db2.gz NMOFGDHIQSHRNI-ZDUSSCGKSA-N 0 0 273.292 2.511 20 5 CFBDRN COC(C[C@H](C)Oc1cc(C)c([N+](=O)[O-])cc1C)OC ZINC001230723321 777050719 /nfs/dbraw/zinc/05/07/19/777050719.db2.gz IEHHTPKDFOOEPZ-NSHDSACASA-N 0 0 283.324 2.988 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC[C@@H]1CCCO1 ZINC001230723522 777051274 /nfs/dbraw/zinc/05/12/74/777051274.db2.gz KRXYLUIBADSPDY-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN CN1CC[C@H](Oc2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 ZINC001230768305 777058787 /nfs/dbraw/zinc/05/87/87/777058787.db2.gz JMGQMDOWDRQZLT-QMMMGPOBSA-N 0 0 291.134 2.985 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1OC[C@@H]1COCO1 ZINC001230767779 777058802 /nfs/dbraw/zinc/05/88/02/777058802.db2.gz CIFBAVGAFTXVOW-ZETCQYMHSA-N 0 0 294.090 2.653 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)nc(Cl)c1)C1CC1 ZINC001225603791 777075889 /nfs/dbraw/zinc/07/58/89/777075889.db2.gz FSPLYTSBNLOVLI-MRVPVSSYSA-N 0 0 277.107 2.823 20 5 CFBDRN CC(C)(C)N1CC(Oc2ccc(Cl)nc2[N+](=O)[O-])C1 ZINC001230872320 777078444 /nfs/dbraw/zinc/07/84/44/777078444.db2.gz LYIUITWADRIBDR-UHFFFAOYSA-N 0 0 285.731 2.505 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1OC[C@@H]1CCCCO1 ZINC001230878553 777079745 /nfs/dbraw/zinc/07/97/45/777079745.db2.gz GBSWFGIBWZNEBI-QMMMGPOBSA-N 0 0 272.688 2.591 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nccc1OC[C@@H]1CCCCO1 ZINC000710631567 777089865 /nfs/dbraw/zinc/08/98/65/777089865.db2.gz NOPUDXQTFOVJPC-QMMMGPOBSA-N 0 0 272.688 2.591 20 5 CFBDRN CC1(C)COC(=O)[C@@H]1Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230916815 777092798 /nfs/dbraw/zinc/09/27/98/777092798.db2.gz DMMQJQDCOQKQQU-JTQLQIEISA-N 0 0 285.683 2.579 20 5 CFBDRN CC(=O)c1cc(Cl)cc([N+](=O)[O-])c1O[C@H]1COC[C@@H]1C ZINC001230928988 777094797 /nfs/dbraw/zinc/09/47/97/777094797.db2.gz PTOUJYZFYJAXKQ-MADCSZMMSA-N 0 0 299.710 2.865 20 5 CFBDRN CC(=O)c1cc(Cl)cc([N+](=O)[O-])c1O[C@H](C)C(C)=O ZINC001230929957 777096904 /nfs/dbraw/zinc/09/69/04/777096904.db2.gz GHSPIVRUBUBKIV-MRVPVSSYSA-N 0 0 285.683 2.807 20 5 CFBDRN Cc1cccc(O[C@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC001225636500 777114297 /nfs/dbraw/zinc/11/42/97/777114297.db2.gz XQZLHNICAZZQRN-QWRGUYRKSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(-c2cnco2)cc1)C1CC1 ZINC001231049827 777124894 /nfs/dbraw/zinc/12/48/94/777124894.db2.gz FDTKMENROORQMQ-AWEZNQCLSA-N 0 0 274.276 2.776 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@H]1CCn2ccnc21 ZINC001231059860 777128654 /nfs/dbraw/zinc/12/86/54/777128654.db2.gz KNICRRFTSZPFLQ-NSHDSACASA-N 0 0 273.292 2.932 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C[C@@H]1C ZINC001225666364 777155829 /nfs/dbraw/zinc/15/58/29/777155829.db2.gz HJWGPSUCMAYUQW-UTLUCORTSA-N 0 0 266.297 2.899 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231158991 777160696 /nfs/dbraw/zinc/16/06/96/777160696.db2.gz QSOGOUPOHRLLPA-ZANVPECISA-N 0 0 274.276 2.557 20 5 CFBDRN Cc1cccc2nc(O[C@@H](C[N+](=O)[O-])C3CC3)oc21 ZINC001231162336 777161757 /nfs/dbraw/zinc/16/17/57/777161757.db2.gz SQHJFWUMFUVKGT-NSHDSACASA-N 0 0 262.265 2.570 20 5 CFBDRN CN(C)c1ccc(N=O)c(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001231287076 777184842 /nfs/dbraw/zinc/18/48/42/777184842.db2.gz NKUIQPLZFZDLPF-CYBMUJFWSA-N 0 0 279.296 2.585 20 5 CFBDRN Cc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(F)c1F ZINC001225943874 777344183 /nfs/dbraw/zinc/34/41/83/777344183.db2.gz DGTFHPRCWBUTFN-SNVBAGLBSA-N 0 0 257.236 2.707 20 5 CFBDRN C/C(=C\C(=O)N(C)c1ccc([N+](=O)[O-])nc1)c1ccco1 ZINC001270303341 777351792 /nfs/dbraw/zinc/35/17/92/777351792.db2.gz NJKAGYNKNJSQCO-CSKARUKUSA-N 0 0 287.275 2.649 20 5 CFBDRN COc1nc(C)c(NCC(C)(C)C)cc1[N+](=O)[O-] ZINC001161642313 777364083 /nfs/dbraw/zinc/36/40/83/777364083.db2.gz WUBBEHXVVSYYSH-UHFFFAOYSA-N 0 0 253.302 2.765 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226007712 777375922 /nfs/dbraw/zinc/37/59/22/777375922.db2.gz SFLLLQAWOSLSPY-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN CC(C)CNc1nccc(Br)c1[N+](=O)[O-] ZINC001161651348 777429006 /nfs/dbraw/zinc/42/90/06/777429006.db2.gz WZCHOIPJAAXGCK-UHFFFAOYSA-N 0 0 274.118 2.820 20 5 CFBDRN c1cnc2c(c1)ccc1ccc(CN3CCC34COC4)nc12 ZINC001232223216 777480442 /nfs/dbraw/zinc/48/04/42/777480442.db2.gz MHFBFWPPGKJDLZ-UHFFFAOYSA-N 0 0 291.354 2.758 20 5 CFBDRN COc1cc(N[C@H]2COC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000688295688 777500693 /nfs/dbraw/zinc/50/06/93/777500693.db2.gz AOGRZYFWGBJBMR-LLVKDONJSA-N 0 0 278.308 2.727 20 5 CFBDRN COC1CCC(Oc2c(O)cccc2[N+](=O)[O-])CC1 ZINC001226333727 777511914 /nfs/dbraw/zinc/51/19/14/777511914.db2.gz NJWMEMUVQJGISW-UHFFFAOYSA-N 0 0 267.281 2.637 20 5 CFBDRN COC(=O)c1ncc([N+](=O)[O-])c(C)c1NCCC(C)(C)C ZINC001161677438 777524664 /nfs/dbraw/zinc/52/46/64/777524664.db2.gz QRYYDCGUEITQKS-UHFFFAOYSA-N 0 0 295.339 2.933 20 5 CFBDRN CC[C@@H](C)Nc1ccc(NC(C)=O)c([N+](=O)[O-])c1 ZINC001168001555 777554167 /nfs/dbraw/zinc/55/41/67/777554167.db2.gz YVGCCPZXUVYVDQ-MRVPVSSYSA-N 0 0 251.286 2.764 20 5 CFBDRN CC[C@H](C)Nc1cc2c(c([N+](=O)[O-])c1)N(C(C)=O)CC2 ZINC001168002864 777565685 /nfs/dbraw/zinc/56/56/85/777565685.db2.gz DPSNURFQKIFYRW-VIFPVBQESA-N 0 0 277.324 2.714 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2cnccc2c1)C1CC1 ZINC001226575971 777579035 /nfs/dbraw/zinc/57/90/35/777579035.db2.gz KXPRQIHTNSANBO-AWEZNQCLSA-N 0 0 258.277 2.669 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2cnccc2c1)C1CC1 ZINC001226575993 777579045 /nfs/dbraw/zinc/57/90/45/777579045.db2.gz KXPRQIHTNSANBO-CQSZACIVSA-N 0 0 258.277 2.669 20 5 CFBDRN CC(C)C[C@H](Oc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)C ZINC001226709264 777613386 /nfs/dbraw/zinc/61/33/86/777613386.db2.gz HBMBUPGGPHXPLV-LBPRGKRZSA-N 0 0 296.323 2.735 20 5 CFBDRN CCC[C@H](C)[C@H](CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226732834 777627636 /nfs/dbraw/zinc/62/76/36/777627636.db2.gz AAJLTIUMFXHESO-ONGXEEELSA-N 0 0 253.302 2.978 20 5 CFBDRN C[C@@H](Oc1c(F)cc([N+](=O)[O-])cc1F)c1cncnc1 ZINC001226743673 777632408 /nfs/dbraw/zinc/63/24/08/777632408.db2.gz GLYYTNAFJMKXHA-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H](Oc1ncc(F)cc1[N+](=O)[O-])c1ccccn1 ZINC001226751717 777633642 /nfs/dbraw/zinc/63/36/42/777633642.db2.gz PVQPDQMFXDWIGI-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN COCC(COC)Oc1c(C)ccc([N+](=O)[O-])c1Cl ZINC001226987710 777720522 /nfs/dbraw/zinc/72/05/22/777720522.db2.gz CGJIIUULQFVLMT-UHFFFAOYSA-N 0 0 289.715 2.597 20 5 CFBDRN COC(=O)c1ccc(O[C@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC001227294228 777801865 /nfs/dbraw/zinc/80/18/65/777801865.db2.gz AAWFRVCNAQMRHO-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN CCOC(=O)N1CCC(Oc2ccccc2[N+](=O)[O-])CC1 ZINC001227481317 777846663 /nfs/dbraw/zinc/84/66/63/777846663.db2.gz SIAVFHSDOWTQIF-UHFFFAOYSA-N 0 0 294.307 2.595 20 5 CFBDRN CC1(C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1(C)C ZINC000714017050 777889750 /nfs/dbraw/zinc/88/97/50/777889750.db2.gz KCGRVCPOCQIYBI-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN O=[N+]([O-])c1cc2nc(O[C@H]3CCCOC3)[nH]c2cc1Cl ZINC001233497285 777969396 /nfs/dbraw/zinc/96/93/96/777969396.db2.gz GHDCTFSMUIYGJI-ZETCQYMHSA-N 0 0 297.698 2.682 20 5 CFBDRN CC[C@H](COC)Oc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233497849 777971045 /nfs/dbraw/zinc/97/10/45/777971045.db2.gz IPPRRPLSNQPPPC-SSDOTTSWSA-N 0 0 299.714 2.928 20 5 CFBDRN CC(=O)c1cc(O[C@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC001233501164 777972440 /nfs/dbraw/zinc/97/24/40/777972440.db2.gz XAJWAIVMGZTKJI-XPTSAGLGSA-N 0 0 279.292 2.601 20 5 CFBDRN O=[N+]([O-])c1cc2nc(OC3CC3)[nH]c2cc1Cl ZINC001233501411 777972527 /nfs/dbraw/zinc/97/25/27/777972527.db2.gz ZRCYEXLHLYWSNG-UHFFFAOYSA-N 0 0 253.645 2.666 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)c1ccncc1 ZINC001233549148 777986478 /nfs/dbraw/zinc/98/64/78/777986478.db2.gz CECPLCKOYKJZFC-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1c(C)ccnc1[N+](=O)[O-] ZINC001233553374 777989553 /nfs/dbraw/zinc/98/95/53/777989553.db2.gz JYPMCCGRSISHJQ-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H]1CCCC[C@H]1F ZINC001233557292 777990638 /nfs/dbraw/zinc/99/06/38/777990638.db2.gz SEMVNDGLZQBCSW-NXEZZACHSA-N 0 0 254.261 2.958 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2C[C@H]3C=C[C@@H]2C3)c1[N+](=O)[O-] ZINC001233575946 777996859 /nfs/dbraw/zinc/99/68/59/777996859.db2.gz VJWHLTCETOQHQS-SBMIAAHKSA-N 0 0 276.248 2.846 20 5 CFBDRN CC(C)(CNc1ccc(N)c([N+](=O)[O-])n1)C(C)(F)F ZINC000697025990 777999905 /nfs/dbraw/zinc/99/99/05/777999905.db2.gz LZUZNKXZSDTLFH-UHFFFAOYSA-N 0 0 274.271 2.665 20 5 CFBDRN COC(=O)C1CC(Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228256229 778032725 /nfs/dbraw/zinc/03/27/25/778032725.db2.gz SEKWVFVHTCLINB-UHFFFAOYSA-N 0 0 285.683 2.579 20 5 CFBDRN C[C@H]1C[C@@H](Oc2c(F)cc(F)cc2[N+](=O)[O-])CCO1 ZINC001233698019 778045561 /nfs/dbraw/zinc/04/55/61/778045561.db2.gz DYLIBSUCOVPHJL-CBAPKCEASA-N 0 0 273.235 2.819 20 5 CFBDRN CC1(C)C[C@@H](Oc2ccc([N+](=O)[O-])c([N+](=O)[O-])c2)CCO1 ZINC001233703392 778048504 /nfs/dbraw/zinc/04/85/04/778048504.db2.gz WBQJGSVNFJXYOX-JTQLQIEISA-N 0 0 296.279 2.839 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nccc2c(F)cccc21)C1CC1 ZINC001233719887 778053022 /nfs/dbraw/zinc/05/30/22/778053022.db2.gz FOCBFBPMFCVZAL-ZDUSSCGKSA-N 0 0 276.267 2.808 20 5 CFBDRN C[C@@H](Oc1cc(F)c([N+](=O)[O-])c(F)c1)c1ncccn1 ZINC001233746563 778062452 /nfs/dbraw/zinc/06/24/52/778062452.db2.gz CHTBXNSXEVRGEB-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@H](Oc1cc(F)c([N+](=O)[O-])c(F)c1)c1cnccn1 ZINC001233753369 778063810 /nfs/dbraw/zinc/06/38/10/778063810.db2.gz LCQPWMABSOUKOG-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cc(F)c([N+](=O)[O-])c(F)c2)CCO1 ZINC001233753868 778064677 /nfs/dbraw/zinc/06/46/77/778064677.db2.gz POQMCGIAFZHNKK-HTQZYQBOSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])c(F)c2F)CCO1 ZINC001233767734 778069240 /nfs/dbraw/zinc/06/92/40/778069240.db2.gz AAIDXPIAOVHSOH-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN CCc1ccc(OC[C@@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000302449598 778074924 /nfs/dbraw/zinc/07/49/24/778074924.db2.gz VCCBZJHHLKZWBB-GFCCVEGCSA-N 0 0 253.298 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1OC1CC2(COC2)C1 ZINC001233779948 778075478 /nfs/dbraw/zinc/07/54/78/778075478.db2.gz UXOXUDWNSHPATA-UHFFFAOYSA-N 0 0 286.287 2.701 20 5 CFBDRN CO[C@H](C)CCOc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233835883 778091228 /nfs/dbraw/zinc/09/12/28/778091228.db2.gz FRVYLBQPWDXTSB-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CC(C)N1CC(Oc2ccc3cccc([N+](=O)[O-])c3n2)C1 ZINC001233836721 778092532 /nfs/dbraw/zinc/09/25/32/778092532.db2.gz SEYNSCCJLSBQOA-UHFFFAOYSA-N 0 0 287.319 2.614 20 5 CFBDRN CC(C)C[C@H](Oc1ccnc([N+](=O)[O-])c1)C(=O)OC(C)C ZINC001233858386 778096868 /nfs/dbraw/zinc/09/68/68/778096868.db2.gz WBGBHYCKYGTLAA-LBPRGKRZSA-N 0 0 296.323 2.735 20 5 CFBDRN C[C@H](Oc1ccnc([N+](=O)[O-])c1)c1cnc2ccccn21 ZINC001233854854 778097004 /nfs/dbraw/zinc/09/70/04/778097004.db2.gz JTYAQNJWLWJKBV-JTQLQIEISA-N 0 0 284.275 2.778 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCOc3ccccc32)ccn1 ZINC001233856435 778097650 /nfs/dbraw/zinc/09/76/50/778097650.db2.gz CTKVHFSSMJBPPE-ZDUSSCGKSA-N 0 0 272.260 2.892 20 5 CFBDRN CCCCOC[C@H](C)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858606 778097890 /nfs/dbraw/zinc/09/78/90/778097890.db2.gz WJEXJEISTWZUCW-JTQLQIEISA-N 0 0 254.286 2.574 20 5 CFBDRN C[C@H](COc1ccnc([N+](=O)[O-])c1)Oc1ccccc1 ZINC001233860187 778099084 /nfs/dbraw/zinc/09/90/84/778099084.db2.gz WPWUVLPSUGNEQN-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN C[C@@H](Oc1ccnc([N+](=O)[O-])c1)c1nccs1 ZINC001233859460 778099170 /nfs/dbraw/zinc/09/91/70/778099170.db2.gz MNSOBWLRBNVGCY-SSDOTTSWSA-N 0 0 251.267 2.586 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(NCC2(F)CCOCC2)c1F ZINC001168130181 778123134 /nfs/dbraw/zinc/12/31/34/778123134.db2.gz WFENMANNOFJAQD-UHFFFAOYSA-N 0 0 286.278 2.973 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1O[C@@H](C)C(C)C ZINC001233988686 778131640 /nfs/dbraw/zinc/13/16/40/778131640.db2.gz WRZNWJMWCSJPJP-NSHDSACASA-N 0 0 267.325 2.938 20 5 CFBDRN CCCNc1ccc([N+](=O)[O-])c(C)c1C(=O)OC ZINC001168136317 778135812 /nfs/dbraw/zinc/13/58/12/778135812.db2.gz BMWHAEHIRPYMAH-UHFFFAOYSA-N 0 0 252.270 2.512 20 5 CFBDRN COC(=O)c1ccc(OC2CCSCC2)cc1[N+](=O)[O-] ZINC001234053408 778153939 /nfs/dbraw/zinc/15/39/39/778153939.db2.gz YIRSGUXZZANYBB-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN COC(=O)c1ccc(O[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC001234051307 778153950 /nfs/dbraw/zinc/15/39/50/778153950.db2.gz OPYKHHSEADGKMY-ZCFIWIBFSA-N 0 0 293.197 2.711 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC001234060795 778155082 /nfs/dbraw/zinc/15/50/82/778155082.db2.gz QYOSDILJKMLMOG-VHSXEESVSA-N 0 0 269.272 2.989 20 5 CFBDRN O=C1CC(Oc2ccnc3c2ccc2cccnc23)C1 ZINC001234087859 778161959 /nfs/dbraw/zinc/16/19/59/778161959.db2.gz RYMROLMCWFIJND-UHFFFAOYSA-N 0 0 264.284 2.893 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC2(c3ccccn3)CC2)n1 ZINC001168149072 778163093 /nfs/dbraw/zinc/16/30/93/778163093.db2.gz LUWZRRPFXPRRJZ-UHFFFAOYSA-N 0 0 270.292 2.794 20 5 CFBDRN CCn1c(C)nnc1O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001234093606 778163343 /nfs/dbraw/zinc/16/33/43/778163343.db2.gz PMVSHFCGYOQBHZ-VIFPVBQESA-N 0 0 276.296 2.655 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2ncncc2[N+](=O)[O-])cc1 ZINC001228655381 778178986 /nfs/dbraw/zinc/17/89/86/778178986.db2.gz RLWXEARYUQKRGZ-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1Cl ZINC001234220468 778188143 /nfs/dbraw/zinc/18/81/43/778188143.db2.gz DAQXCRHWOPUSJO-LBPRGKRZSA-N 0 0 271.700 2.783 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001228758757 778193635 /nfs/dbraw/zinc/19/36/35/778193635.db2.gz JZWHLLXOZBUABU-SKDRFNHKSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])CC(F)F ZINC000697362842 778197080 /nfs/dbraw/zinc/19/70/80/778197080.db2.gz KHFAMMUCZKRCRW-ZETCQYMHSA-N 0 0 290.241 2.755 20 5 CFBDRN CCCCCNC(=O)c1cc([N+](=O)[O-])c(F)cc1C ZINC000302482692 778214496 /nfs/dbraw/zinc/21/44/96/778214496.db2.gz SOJWTDGVVPIZCW-UHFFFAOYSA-N 0 0 268.288 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC2)cc1O[C@H]1C=CCC1 ZINC001234360808 778214662 /nfs/dbraw/zinc/21/46/62/778214662.db2.gz VKHSVRDHAVDPEJ-ZDUSSCGKSA-N 0 0 290.319 2.529 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000697434015 778217769 /nfs/dbraw/zinc/21/77/69/778217769.db2.gz WUTXMWSJAIQTOD-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN COc1ccnnc1O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001234415932 778220401 /nfs/dbraw/zinc/22/04/01/778220401.db2.gz JIQFSIJHYGRNAE-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1cccc2cnc(NC[C@H]3C[C@H](F)C3)nc21 ZINC001168236739 778260960 /nfs/dbraw/zinc/26/09/60/778260960.db2.gz DXTKPMDMEDRAKF-CZMCAQCFSA-N 0 0 276.271 2.698 20 5 CFBDRN O=[N+]([O-])c1c2nsnc2ccc1NC[C@H]1C[C@H](F)C1 ZINC001168238361 778264849 /nfs/dbraw/zinc/26/48/49/778264849.db2.gz XZUZDSOIJHTPMZ-LJGSYFOKSA-N 0 0 282.300 2.760 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC[C@H]2C[C@H](F)C2)s1 ZINC001168236991 778265958 /nfs/dbraw/zinc/26/59/58/778265958.db2.gz ISRQHARILOAMSK-LJGSYFOKSA-N 0 0 282.300 2.760 20 5 CFBDRN CC(=O)c1cccc(O[C@@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC001229138971 778272350 /nfs/dbraw/zinc/27/23/50/778272350.db2.gz RRFBZBSOQRHDLA-MWLCHTKSSA-N 0 0 279.292 2.744 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)C2CCCC2)n1 ZINC001234880301 778320196 /nfs/dbraw/zinc/32/01/96/778320196.db2.gz APXGRPOHDWDPSP-VIFPVBQESA-N 0 0 266.297 2.956 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)c1ccccn1 ZINC001234899372 778324061 /nfs/dbraw/zinc/32/40/61/778324061.db2.gz BPTFISFLWIAHSD-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H](C)C(F)(F)F ZINC001234903176 778325289 /nfs/dbraw/zinc/32/52/89/778325289.db2.gz JYCXZULWKPBHTF-ZCFIWIBFSA-N 0 0 250.176 2.628 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H]1CCCC[C@@H]1F ZINC001234905006 778326801 /nfs/dbraw/zinc/32/68/01/778326801.db2.gz KTGGTPGFJVKIPP-GXSJLCMTSA-N 0 0 254.261 2.958 20 5 CFBDRN CCOc1cc(O[C@H](C[N+](=O)[O-])C2CC2)c(Cl)cn1 ZINC001234929312 778331235 /nfs/dbraw/zinc/33/12/35/778331235.db2.gz CGZTXCYYKUPMKM-LLVKDONJSA-N 0 0 286.715 2.568 20 5 CFBDRN CCC(=O)COC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC001204863727 778345492 /nfs/dbraw/zinc/34/54/92/778345492.db2.gz SJQRUQWQAIARHL-UHFFFAOYSA-N 0 0 292.335 2.559 20 5 CFBDRN Cc1nc(Cl)nc(N2CCC[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000300485411 778351025 /nfs/dbraw/zinc/35/10/25/778351025.db2.gz GJPYYZJGLKVWGR-IONNQARKSA-N 0 0 284.747 2.971 20 5 CFBDRN O=c1ccc(CNc2ccc3cnccc3c2[N+](=O)[O-])c[nH]1 ZINC001168303036 778417355 /nfs/dbraw/zinc/41/73/55/778417355.db2.gz DIEURIWRAZYKPN-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN CCC1(Nc2ccc3cc([N+](=O)[O-])ccc3n2)COC1 ZINC001168316974 778428248 /nfs/dbraw/zinc/42/82/48/778428248.db2.gz VYYXHDHPSLHDRP-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN CCC1(Nc2ncc(C(C)(C)C)cc2[N+](=O)[O-])COC1 ZINC001168316222 778429204 /nfs/dbraw/zinc/42/92/04/778429204.db2.gz CWEFOAUTMVELNS-UHFFFAOYSA-N 0 0 279.340 2.878 20 5 CFBDRN C[C@H](OCc1ccc([N+](=O)[O-])nc1)c1cccnc1F ZINC001224112895 778447288 /nfs/dbraw/zinc/44/72/88/778447288.db2.gz FHJLDSRULOECQO-VIFPVBQESA-N 0 0 277.255 2.802 20 5 CFBDRN Cc1cnc(CO[C@@H]2CCO[C@H](C)C2)c(C)c1[N+](=O)[O-] ZINC001224185959 778454812 /nfs/dbraw/zinc/45/48/12/778454812.db2.gz VBFZJGWYOLUIKJ-ZYHUDNBSSA-N 0 0 280.324 2.691 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000697809958 778467630 /nfs/dbraw/zinc/46/76/30/778467630.db2.gz IILPMPWUUKPGMT-JGPRNRPPSA-N 0 0 261.277 2.832 20 5 CFBDRN CCn1cc(NC(=O)c2cccc([N+](=O)[O-])c2Cl)cn1 ZINC000160375253 778602095 /nfs/dbraw/zinc/60/20/95/778602095.db2.gz AGDCJKKWNGLHIM-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN Cc1nc(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)oc1C ZINC000128729826 778602258 /nfs/dbraw/zinc/60/22/58/778602258.db2.gz JVQZQYVXCSIOBK-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=[N+]([O-])c1cccnc1-c1nc(-c2cccc(F)c2)no1 ZINC001213200113 778743664 /nfs/dbraw/zinc/74/36/64/778743664.db2.gz POFFWBYHTCFYIE-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN Cc1ncc(CNc2cc([N+](=O)[O-])cc3cc[nH]c32)cn1 ZINC001168386954 778763543 /nfs/dbraw/zinc/76/35/43/778763543.db2.gz KYYCXAQWBCIFDW-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN Cc1ncc(CNc2cc(F)cc([N+](=O)[O-])c2C)cn1 ZINC001168387165 778765643 /nfs/dbraw/zinc/76/56/43/778765643.db2.gz WIXGCMWUZLHFCI-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN CCOCCOCCO[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001225032188 778820513 /nfs/dbraw/zinc/82/05/13/778820513.db2.gz KPYUFAOBBVHNEN-LBPRGKRZSA-N 0 0 283.324 2.726 20 5 CFBDRN Cc1cccc(N[C@@H]2CC[C@H]([S@@](C)=O)C2)c1[N+](=O)[O-] ZINC001120970239 779014359 /nfs/dbraw/zinc/01/43/59/779014359.db2.gz RBBRQDXHUQQIKQ-RMDKCXRXSA-N 0 0 282.365 2.615 20 5 CFBDRN O=C1CCC(Oc2c(F)cc(F)cc2[N+](=O)[O-])CC1 ZINC001233694867 779072278 /nfs/dbraw/zinc/07/22/78/779072278.db2.gz ILMNHYSOCFVKAW-UHFFFAOYSA-N 0 0 271.219 2.764 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OC[C@H]1CCCCO1 ZINC001233698090 779073400 /nfs/dbraw/zinc/07/34/00/779073400.db2.gz GXSMOVRDCCNAFG-SECBINFHSA-N 0 0 273.235 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000698887183 779081249 /nfs/dbraw/zinc/08/12/49/779081249.db2.gz OEJGSAMBLPDDJW-ONGXEEELSA-N 0 0 277.280 2.961 20 5 CFBDRN COc1cc(NC[C@@H]2CC(C)(C)O2)cc([N+](=O)[O-])c1C ZINC001168457537 779182745 /nfs/dbraw/zinc/18/27/45/779182745.db2.gz YMCWNFCPCFNJFR-NSHDSACASA-N 0 0 280.324 2.891 20 5 CFBDRN COc1cccc(NC[C@H]2CC(C)(C)O2)c1[N+](=O)[O-] ZINC001168456408 779184045 /nfs/dbraw/zinc/18/40/45/779184045.db2.gz NINZIQSQTVWGTJ-SECBINFHSA-N 0 0 266.297 2.583 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000699209728 779219948 /nfs/dbraw/zinc/21/99/48/779219948.db2.gz SWPICTHROLRUDI-RYUDHWBXSA-N 0 0 260.293 2.644 20 5 CFBDRN CN(C[C@@H](O)C1CC1)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000690184214 779228456 /nfs/dbraw/zinc/22/84/56/779228456.db2.gz HVPRFDLAWWPZMT-GFCCVEGCSA-N 0 0 288.706 2.594 20 5 CFBDRN CCN(CCNc1cc(C)ccc1[N+](=O)[O-])C(=O)C1CC1 ZINC001106651291 779330683 /nfs/dbraw/zinc/33/06/83/779330683.db2.gz ILWHXBJIQANYOX-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])c(C)c1)C(=O)C1CC1 ZINC001106651403 779331753 /nfs/dbraw/zinc/33/17/53/779331753.db2.gz KDIGZPJIQTWGDN-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN CCN(CCNc1ccccc1[N+](=O)[O-])C(=O)CC(C)C ZINC001106662078 779333535 /nfs/dbraw/zinc/33/35/35/779333535.db2.gz ATKDOOBRFFDEOA-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)Cc2ccno2)cc([N+](=O)[O-])c1 ZINC001123461431 779414231 /nfs/dbraw/zinc/41/42/31/779414231.db2.gz KSURAIHDYXGOFU-JTQLQIEISA-N 0 0 290.275 2.679 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ncc1NC1(c2cccnn2)CC1 ZINC001168512168 779425822 /nfs/dbraw/zinc/42/58/22/779425822.db2.gz BDCMVPLRWOHVSL-UHFFFAOYSA-N 0 0 291.698 2.534 20 5 CFBDRN Cc1c(COC(=O)CSC(F)F)cccc1[N+](=O)[O-] ZINC000918986496 779486475 /nfs/dbraw/zinc/48/64/75/779486475.db2.gz OCQYZJWWVVAOTC-UHFFFAOYSA-N 0 0 291.275 2.902 20 5 CFBDRN C/C=C(\C)C(=O)NC/C=C\CNc1ccccc1[N+](=O)[O-] ZINC001107101638 779776201 /nfs/dbraw/zinc/77/62/01/779776201.db2.gz VTYPIQHWRAINKI-UWONXNGWSA-N 0 0 289.335 2.645 20 5 CFBDRN CC/C=C(\C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000213728237 779954647 /nfs/dbraw/zinc/95/46/47/779954647.db2.gz AGHITGULQDRRSZ-ONNFQVAWSA-N 0 0 260.293 2.840 20 5 CFBDRN CC(C)OCCCNc1ccc2c([N+](=O)[O-])c[nH]c2n1 ZINC001168675928 780266173 /nfs/dbraw/zinc/26/61/73/780266173.db2.gz CCGWAGSCVLCGSL-UHFFFAOYSA-N 0 0 278.312 2.698 20 5 CFBDRN CCN(C)c1nc(Cl)nc2ccc([N+](=O)[O-])cc21 ZINC000169229342 780314646 /nfs/dbraw/zinc/31/46/46/780314646.db2.gz LXNZORHHTZLPGY-UHFFFAOYSA-N 0 0 266.688 2.648 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1cc([N+](=O)[O-])c(C)c[n+]1[O-] ZINC001168693284 780347743 /nfs/dbraw/zinc/34/77/43/780347743.db2.gz IVRBKHZJWLLVFB-ONGXEEELSA-N 0 0 267.329 2.773 20 5 CFBDRN CC[C@@H](C)c1nnc(-c2ccc(N)c([N+](=O)[O-])c2)o1 ZINC001117212243 780397280 /nfs/dbraw/zinc/39/72/80/780397280.db2.gz MNLZKXBPWTXDAG-SSDOTTSWSA-N 0 0 262.269 2.741 20 5 CFBDRN CC(C)(C)c1nnc(Cc2cccc([N+](=O)[O-])c2)o1 ZINC001117253074 780416089 /nfs/dbraw/zinc/41/60/89/780416089.db2.gz AAHBOGMZIMHFQB-UHFFFAOYSA-N 0 0 261.281 2.866 20 5 CFBDRN Cc1c(F)cccc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC001128454192 780450416 /nfs/dbraw/zinc/45/04/16/780450416.db2.gz LEHMEFVMJBVNQC-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN Cc1cc(O)c(C)c(C)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001229772077 780469369 /nfs/dbraw/zinc/46/93/69/780469369.db2.gz VSSHMMUQNLSHSG-ZDUSSCGKSA-N 0 0 265.309 2.752 20 5 CFBDRN O=C(/C=C/C1CCOCC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132689565 780592986 /nfs/dbraw/zinc/59/29/86/780592986.db2.gz UVDSLCRNWGVVFX-OWOJBTEDSA-N 0 0 295.266 2.622 20 5 CFBDRN Cc1cc(C(=O)OCC2CCC(O)CC2)ccc1[N+](=O)[O-] ZINC001117698774 780595840 /nfs/dbraw/zinc/59/58/40/780595840.db2.gz PVADAPKCXCWUKJ-UHFFFAOYSA-N 0 0 293.319 2.611 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C[C@@H]1CO ZINC000700379466 780683621 /nfs/dbraw/zinc/68/36/21/780683621.db2.gz WPYANCQKMZRRPS-ZYHUDNBSSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@H]1CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@@H]1CO ZINC000700426670 780688839 /nfs/dbraw/zinc/68/88/39/780688839.db2.gz OATKVTSPEQDTFP-CMPLNLGQSA-N 0 0 298.770 2.699 20 5 CFBDRN CCc1coc(NC(=O)c2cc([N+](=O)[O-])c(F)cc2C)n1 ZINC001128788516 780843759 /nfs/dbraw/zinc/84/37/59/780843759.db2.gz ANHAFJCXJHQRBY-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CC2(F)F)c2nonc21 ZINC000701966576 780859222 /nfs/dbraw/zinc/85/92/22/780859222.db2.gz OUJFXEGWTKBQOP-LURJTMIESA-N 0 0 284.222 2.588 20 5 CFBDRN O=C(NCC[C@@H]1CC1(F)F)c1cc(F)ccc1[N+](=O)[O-] ZINC000701992873 780860246 /nfs/dbraw/zinc/86/02/46/780860246.db2.gz HKVLTNDUGXOUNX-SSDOTTSWSA-N 0 0 288.225 2.509 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1OCC(=O)OC(C)C ZINC001229881650 780968499 /nfs/dbraw/zinc/96/84/99/780968499.db2.gz FBALFQFUCUHVEM-UHFFFAOYSA-N 0 0 267.281 2.542 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1cnc([N+](=O)[O-])cn1 ZINC001162526389 781033184 /nfs/dbraw/zinc/03/31/84/781033184.db2.gz PFISRLBNBDCGEA-VHSXEESVSA-N 0 0 250.302 2.623 20 5 CFBDRN COc1cc(NC2CCC(C)(O)CC2)ccc1[N+](=O)[O-] ZINC000703623556 781040359 /nfs/dbraw/zinc/04/03/59/781040359.db2.gz PORPYITWVVYINB-UHFFFAOYSA-N 0 0 280.324 2.709 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229895193 781053598 /nfs/dbraw/zinc/05/35/98/781053598.db2.gz QJNARUOBPPYIFO-VIFPVBQESA-N 0 0 285.271 2.763 20 5 CFBDRN Cc1c(F)cnc(NC(=N)C(C)(C)C)c1[N+](=O)[O-] ZINC001162657862 781087303 /nfs/dbraw/zinc/08/73/03/781087303.db2.gz RVBHIVSFBOUHPT-UHFFFAOYSA-N 0 0 254.265 2.873 20 5 CFBDRN O=C(NCC[C@@H]1CCSC1)c1cc([N+](=O)[O-])ccc1F ZINC000704939561 781126932 /nfs/dbraw/zinc/12/69/32/781126932.db2.gz HTNGFNPGYDPRJT-SECBINFHSA-N 0 0 298.339 2.607 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2C[C@H](C)[C@@H]2C)c1F ZINC000706947236 781218715 /nfs/dbraw/zinc/21/87/15/781218715.db2.gz ZCQSPRFKBWGROF-YUMQZZPRSA-N 0 0 284.262 2.662 20 5 CFBDRN C[C@H](Nc1cccc(F)c1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000707220431 781233728 /nfs/dbraw/zinc/23/37/28/781233728.db2.gz NFGASIVTPMCGIP-QMMMGPOBSA-N 0 0 284.287 2.876 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc(F)nc2)cc1[N+](=O)[O-] ZINC001129247253 781237097 /nfs/dbraw/zinc/23/70/97/781237097.db2.gz FYKJEWDNSDXEET-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1COC2(CCC2)C1 ZINC000711117774 781358084 /nfs/dbraw/zinc/35/80/84/781358084.db2.gz IRPNNFSDMVPGJC-SECBINFHSA-N 0 0 266.272 2.857 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@H](CF)C1 ZINC000711489241 781445454 /nfs/dbraw/zinc/44/54/54/781445454.db2.gz JWMBTMFHPPETAA-SECBINFHSA-N 0 0 284.262 2.556 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCC2(CCC2)O1 ZINC000711517348 781451938 /nfs/dbraw/zinc/45/19/38/781451938.db2.gz AUVHFLAYFXZXBO-LBPRGKRZSA-N 0 0 277.324 2.812 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000711783686 781472313 /nfs/dbraw/zinc/47/23/13/781472313.db2.gz FOXPAEHNRIWKSH-SECBINFHSA-N 0 0 280.711 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CCC2)[C@H]2CCOC2)nc1 ZINC000711840270 781475919 /nfs/dbraw/zinc/47/59/19/781475919.db2.gz DKMPKQZXBSPDPS-SMDDNHRTSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1cncc(CCNc2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC001163739003 781564626 /nfs/dbraw/zinc/56/46/26/781564626.db2.gz DSCGEYFKZAZEPY-UHFFFAOYSA-N 0 0 294.261 2.626 20 5 CFBDRN Cc1c(C)c(N2CC3(C2)CC(F)(F)C3)ncc1[N+](=O)[O-] ZINC001163746082 781565163 /nfs/dbraw/zinc/56/51/63/781565163.db2.gz ZCZVRSXRQIFFLY-UHFFFAOYSA-N 0 0 283.278 2.842 20 5 CFBDRN O=[N+]([O-])c1ccnc(N2CC[C@](CF)(C(F)(F)F)C2)c1 ZINC001164264757 781704844 /nfs/dbraw/zinc/70/48/44/781704844.db2.gz JHDOKPKQYRTWBS-SNVBAGLBSA-N 0 0 293.220 2.718 20 5 CFBDRN Cc1ccc(CN(C)c2cccc([N+](=O)[O-])n2)cc1 ZINC001166536294 781760303 /nfs/dbraw/zinc/76/03/03/781760303.db2.gz NUCJWYDHLYKYGY-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1c1cc([N+](=O)[O-])cc(Cl)[n+]1[O-] ZINC001166614452 781766919 /nfs/dbraw/zinc/76/69/19/781766919.db2.gz YFAOECCBXBBNLJ-DTWKUNHWSA-N 0 0 285.731 2.506 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCOC[C@@H]2F)ccc1Cl ZINC001229964473 781835222 /nfs/dbraw/zinc/83/52/22/781835222.db2.gz QHIPBPNLRXUMSL-ONGXEEELSA-N 0 0 275.663 2.754 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2COC(C)(C)C2)c1C ZINC001119913180 781874411 /nfs/dbraw/zinc/87/44/11/781874411.db2.gz AZDSPKXDDNNNEA-NSHDSACASA-N 0 0 292.335 2.509 20 5 CFBDRN Cc1ncncc1[C@H](C)NCc1ccccc1[N+](=O)[O-] ZINC000594503527 349433273 /nfs/dbraw/zinc/43/32/73/349433273.db2.gz XRCCUDBNGUIZFQ-JTQLQIEISA-N 0 0 272.308 2.544 20 5 CFBDRN O=C([O-])C[N@H+](Cc1ccc([N+](=O)[O-])cc1Cl)C1CCC1 ZINC000594539931 349443430 /nfs/dbraw/zinc/44/34/30/349443430.db2.gz KIJRZOOYPXOIOE-UHFFFAOYSA-N 0 0 298.726 2.687 20 5 CFBDRN O=C([O-])C[N@H+](C/C=C\c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000594540415 349443657 /nfs/dbraw/zinc/44/36/57/349443657.db2.gz YAMSBKGEHLYUHR-XQRVVYSFSA-N 0 0 290.319 2.547 20 5 CFBDRN CC[C@]1(C)CN(c2c(OC)cccc2[N+](=O)[O-])CCO1 ZINC000594572565 349449940 /nfs/dbraw/zinc/44/99/40/349449940.db2.gz NOMIIWBXPCQFSO-CQSZACIVSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CC[C@@H](OC)C1 ZINC000594572210 349450259 /nfs/dbraw/zinc/45/02/59/349450259.db2.gz RUDDNTPQBKBIOF-VHSXEESVSA-N 0 0 266.297 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC4(CC4(F)F)C3)c2c1 ZINC000594590339 349453039 /nfs/dbraw/zinc/45/30/39/349453039.db2.gz XPSZJIMYIHNXNR-UHFFFAOYSA-N 0 0 291.257 2.988 20 5 CFBDRN C[C@@H]1CN(c2ncccc2[N+](=O)[O-])CC2(CCCCC2)O1 ZINC000594597761 349456352 /nfs/dbraw/zinc/45/63/52/349456352.db2.gz AJGCMPFFQAALTC-GFCCVEGCSA-N 0 0 291.351 2.918 20 5 CFBDRN C[C@@H](CNc1ccccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000594597190 349456382 /nfs/dbraw/zinc/45/63/82/349456382.db2.gz ZDFSQDRUIVGWTM-JTQLQIEISA-N 0 0 280.324 2.985 20 5 CFBDRN COC[C@@H](CC(C)(C)C)Nc1ccc([N+](=O)[O-])nc1 ZINC000594599116 349457177 /nfs/dbraw/zinc/45/71/77/349457177.db2.gz KYRMVGBQNQVHGY-LLVKDONJSA-N 0 0 267.329 2.853 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@H]1CC1CCCC1 ZINC000594600388 349457828 /nfs/dbraw/zinc/45/78/28/349457828.db2.gz QFLVBUCKLRQGTC-GFCCVEGCSA-N 0 0 278.356 2.877 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC2(CC2(F)F)C1 ZINC000594608550 349461579 /nfs/dbraw/zinc/46/15/79/349461579.db2.gz BBUCLANWCOAZNU-UHFFFAOYSA-N 0 0 298.289 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(CC3(F)F)C2)c(F)c1 ZINC000594609053 349461682 /nfs/dbraw/zinc/46/16/82/349461682.db2.gz NMLLILMYOHESBT-UHFFFAOYSA-N 0 0 272.226 2.575 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)CCOC ZINC000289962571 197544229 /nfs/dbraw/zinc/54/42/29/197544229.db2.gz YKVVTEKHJUFFOY-SNVBAGLBSA-N 0 0 295.339 2.704 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1-n1cc(C2CC2)nn1 ZINC000594652348 349468106 /nfs/dbraw/zinc/46/81/06/349468106.db2.gz KUESLZKQKVQNHC-UHFFFAOYSA-N 0 0 264.672 2.706 20 5 CFBDRN CC(C)(C)n1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000594651771 349468353 /nfs/dbraw/zinc/46/83/53/349468353.db2.gz HATNKJOPYQNRCE-UHFFFAOYSA-N 0 0 276.296 2.520 20 5 CFBDRN CO[C@@H](COc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000594878631 349491362 /nfs/dbraw/zinc/49/13/62/349491362.db2.gz HSQUYVCHWDFDQP-ZDUSSCGKSA-N 0 0 251.282 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@]2(OC(C)C)CCOC2)c1 ZINC000594881026 349492526 /nfs/dbraw/zinc/49/25/26/349492526.db2.gz UIJJYANJQFLQGD-HNNXBMFYSA-N 0 0 295.335 2.866 20 5 CFBDRN COc1ccc([C@H](C)Cn2nccc2[N+](=O)[O-])cc1 ZINC000594885500 349493043 /nfs/dbraw/zinc/49/30/43/349493043.db2.gz GASVKJOMVXKIFM-SNVBAGLBSA-N 0 0 261.281 2.604 20 5 CFBDRN Cn1ncc(C2CC2)c1/C=C/c1ccc([N+](=O)[O-])cn1 ZINC000594696205 349475607 /nfs/dbraw/zinc/47/56/07/349475607.db2.gz LPTDSGJENFVODD-FNORWQNLSA-N 0 0 270.292 2.771 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCO[C@@H]1CC1(F)F ZINC000594705155 349477147 /nfs/dbraw/zinc/47/71/47/349477147.db2.gz VGGIBJZMOAHVTR-GFCCVEGCSA-N 0 0 286.278 2.993 20 5 CFBDRN CO[C@H](CSCc1ccc([N+](=O)[O-])cc1)[C@H]1CCOC1 ZINC000594892615 349495969 /nfs/dbraw/zinc/49/59/69/349495969.db2.gz BSBLHVCSQXVVTO-GXTWGEPZSA-N 0 0 297.376 2.880 20 5 CFBDRN CO[C@@H](Cn1cc([N+](=O)[O-])cc(C)c1=O)C1CCCCC1 ZINC000594893294 349496009 /nfs/dbraw/zinc/49/60/09/349496009.db2.gz WUWHXSSOGWVXCM-AWEZNQCLSA-N 0 0 294.351 2.660 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000594892194 349496037 /nfs/dbraw/zinc/49/60/37/349496037.db2.gz RFYBWZHYHNSASH-GRYCIOLGSA-N 0 0 262.309 2.501 20 5 CFBDRN CC(C)(C)n1cc(CSc2ccc([N+](=O)[O-])cn2)nn1 ZINC000594893048 349496353 /nfs/dbraw/zinc/49/63/53/349496353.db2.gz NOXUASYTSDGRDV-UHFFFAOYSA-N 0 0 293.352 2.629 20 5 CFBDRN CC[C@H](CC(F)F)Cn1c(C)c([N+](=O)[O-])ccc1=O ZINC000594897149 349498538 /nfs/dbraw/zinc/49/85/38/349498538.db2.gz IGOBUDXDANTXHT-SECBINFHSA-N 0 0 274.267 2.746 20 5 CFBDRN CCC(CC)Cn1cnc2sc([N+](=O)[O-])cc2c1=O ZINC000594897644 349498611 /nfs/dbraw/zinc/49/86/11/349498611.db2.gz RHADOBGTKDXCEP-UHFFFAOYSA-N 0 0 281.337 2.802 20 5 CFBDRN COC(=O)c1cccc(OCCC2CCC2)c1[N+](=O)[O-] ZINC000594903387 349499519 /nfs/dbraw/zinc/49/95/19/349499519.db2.gz AWZLONCQRSTDCS-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCc2ccc(CO)cc2)c1C ZINC000594902669 349499575 /nfs/dbraw/zinc/49/95/75/349499575.db2.gz VBNVFFCDWCGODQ-UHFFFAOYSA-N 0 0 288.303 2.678 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000124574528 187144312 /nfs/dbraw/zinc/14/43/12/187144312.db2.gz HZAIBXHXSSZCGO-SNVBAGLBSA-N 0 0 278.312 2.644 20 5 CFBDRN CSCCCn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000594915538 349504766 /nfs/dbraw/zinc/50/47/66/349504766.db2.gz SSYYMFIZHXLMQZ-UHFFFAOYSA-N 0 0 278.337 2.606 20 5 CFBDRN COc1ccc(CC(=O)N2CCCCCC2)cc1[N+](=O)[O-] ZINC000067785495 346818506 /nfs/dbraw/zinc/81/85/06/346818506.db2.gz HUHMCZWCVYWQCS-UHFFFAOYSA-N 0 0 292.335 2.549 20 5 CFBDRN C[C@H]1CCSCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000190054397 290612871 /nfs/dbraw/zinc/61/28/71/290612871.db2.gz MWXDCRORJPOFTQ-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN CCOc1cccc(N(C)C[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000273849565 192333879 /nfs/dbraw/zinc/33/38/79/192333879.db2.gz JPNBNMKTPITOHE-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN C[C@@H](Cn1cccn1)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124756876 187152442 /nfs/dbraw/zinc/15/24/42/187152442.db2.gz YIBFQXLAHNXIAP-VIFPVBQESA-N 0 0 280.715 2.945 20 5 CFBDRN COCCC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000125017024 187166359 /nfs/dbraw/zinc/16/63/59/187166359.db2.gz DAEDZQLGLPROAA-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN C[C@]1(O)CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000125031835 187166594 /nfs/dbraw/zinc/16/65/94/187166594.db2.gz JTHFJOVAIKXESS-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN CO[C@@H](C)c1noc(CNc2cccc([N+](=O)[O-])c2C)n1 ZINC000083115366 178338259 /nfs/dbraw/zinc/33/82/59/178338259.db2.gz CPBIAFBNAIVGDL-VIFPVBQESA-N 0 0 292.295 2.606 20 5 CFBDRN CC[C@@H](SC)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000125122316 187170821 /nfs/dbraw/zinc/17/08/21/187170821.db2.gz DSJQDMBGEQZHRH-LLVKDONJSA-N 0 0 285.321 2.650 20 5 CFBDRN CC(C)[C@@H](O)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000125190199 187174059 /nfs/dbraw/zinc/17/40/59/187174059.db2.gz BDDMXPGSUKFIDF-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN CCOC1CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000067914546 346827492 /nfs/dbraw/zinc/82/74/92/346827492.db2.gz PMFPLTSZNIZCCF-UHFFFAOYSA-N 0 0 256.327 2.662 20 5 CFBDRN COC(=O)CCSc1ccc([N+](=O)[O-])cc1Cl ZINC000068045538 346832787 /nfs/dbraw/zinc/83/27/87/346832787.db2.gz QBGGKNPCKZQMPB-UHFFFAOYSA-N 0 0 275.713 2.903 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2sccc2Br)cn1 ZINC000068138992 346837256 /nfs/dbraw/zinc/83/72/56/346837256.db2.gz OHBRCCGFJPCREE-UHFFFAOYSA-N 0 0 288.126 2.664 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000075287746 290628350 /nfs/dbraw/zinc/62/83/50/290628350.db2.gz GPMCKDBTOPMFSL-QWRGUYRKSA-N 0 0 262.309 2.855 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])[C@H]1COC(C)(C)C1 ZINC000595288288 349590862 /nfs/dbraw/zinc/59/08/62/349590862.db2.gz NCCHMEAIRHORIJ-GFCCVEGCSA-N 0 0 264.325 2.594 20 5 CFBDRN CC(C)OC(=O)[C@H](C)N(C)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595349591 349608693 /nfs/dbraw/zinc/60/86/93/349608693.db2.gz ZTIAEDVDZNVAGD-JTQLQIEISA-N 0 0 298.314 2.506 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@H](CC)O1 ZINC000421947008 529381052 /nfs/dbraw/zinc/38/10/52/529381052.db2.gz HISSWMUBMPSXOL-AOOOYVTPSA-N 0 0 298.364 2.686 20 5 CFBDRN C[N@H+](Cc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCSC1 ZINC000595391778 349615115 /nfs/dbraw/zinc/61/51/15/349615115.db2.gz AVOKOWBFMPSDGG-LLVKDONJSA-N 0 0 270.329 2.671 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)C[C@H](CC)O1 ZINC000421946662 529383626 /nfs/dbraw/zinc/38/36/26/529383626.db2.gz HAYDAQFGSICVDR-PHIMTYICSA-N 0 0 298.364 2.686 20 5 CFBDRN Cc1nc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000595413719 349619167 /nfs/dbraw/zinc/61/91/67/349619167.db2.gz GEYMQBDHMQTNRH-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN CC[C@H](NCc1onc(C)c1[N+](=O)[O-])c1nccs1 ZINC000595433709 349624776 /nfs/dbraw/zinc/62/47/76/349624776.db2.gz ZVTGFYIXSUHLHD-QMMMGPOBSA-N 0 0 282.325 2.589 20 5 CFBDRN Cc1noc(CN[C@@H]2CCCO[C@H]2CC(C)C)c1[N+](=O)[O-] ZINC000595440734 349626970 /nfs/dbraw/zinc/62/69/70/349626970.db2.gz GQGAVAFWZHNEKK-NEPJUHHUSA-N 0 0 297.355 2.575 20 5 CFBDRN Cc1noc(CN[C@H]2CCCO[C@@H]2CC(C)C)c1[N+](=O)[O-] ZINC000595440735 349626647 /nfs/dbraw/zinc/62/66/47/349626647.db2.gz GQGAVAFWZHNEKK-NWDGAFQWSA-N 0 0 297.355 2.575 20 5 CFBDRN Cc1cc(NCC[C@H]2CCO[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000273112503 192046599 /nfs/dbraw/zinc/04/65/99/192046599.db2.gz GKZZWQPPTSJBQP-NEPJUHHUSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@]1(CO)CCCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595449282 349629593 /nfs/dbraw/zinc/62/95/93/349629593.db2.gz OOTOOPSIHCXHBO-HNNXBMFYSA-N 0 0 296.342 2.718 20 5 CFBDRN Cc1noc(C[N@@H+]2C[C@H](C)C[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000595456782 349632561 /nfs/dbraw/zinc/63/25/61/349632561.db2.gz GFGWTOHOHCCTOT-KKZNHRDASA-N 0 0 267.329 2.758 20 5 CFBDRN CCN(Cc1onc(C)c1[N+](=O)[O-])c1cccc(O)c1 ZINC000595458082 349632755 /nfs/dbraw/zinc/63/27/55/349632755.db2.gz PYLWSVFAOUPXKB-UHFFFAOYSA-N 0 0 277.280 2.623 20 5 CFBDRN Cc1nc(CN(C)CCc2ccccc2[N+](=O)[O-])c(C)o1 ZINC000595485789 349640293 /nfs/dbraw/zinc/64/02/93/349640293.db2.gz XXPAQRMKJLSIOV-UHFFFAOYSA-N 0 0 289.335 2.874 20 5 CFBDRN Cc1noc(CN(C2CCC2)C2CCC2)c1[N+](=O)[O-] ZINC000595511839 349647625 /nfs/dbraw/zinc/64/76/25/349647625.db2.gz RSSDWTMZPJUSBK-UHFFFAOYSA-N 0 0 265.313 2.798 20 5 CFBDRN CC1(C)[C@H]([NH2+]Cc2ccc(F)cc2[N+](=O)[O-])[C@@H]2CCO[C@H]21 ZINC000595513543 349648464 /nfs/dbraw/zinc/64/84/64/349648464.db2.gz VPYZFPIYWKVTQE-IACUBPJLSA-N 0 0 294.326 2.637 20 5 CFBDRN CCC1([NH2+]Cc2onc(C)c2[N+](=O)[O-])CCCC1 ZINC000595514427 349648643 /nfs/dbraw/zinc/64/86/43/349648643.db2.gz CMODCLPUMCMGEX-UHFFFAOYSA-N 0 0 253.302 2.704 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])NCC1=CCCC1 ZINC000595521372 349649728 /nfs/dbraw/zinc/64/97/28/349649728.db2.gz CFKLLUBLNFWRQU-UHFFFAOYSA-N 0 0 289.335 2.547 20 5 CFBDRN Cc1noc(CN2CCC(C3CC3)CC2)c1[N+](=O)[O-] ZINC000595502130 349643555 /nfs/dbraw/zinc/64/35/55/349643555.db2.gz PLWGQVUCFNRCKC-UHFFFAOYSA-N 0 0 265.313 2.513 20 5 CFBDRN Cc1noc(Cn2cnc3c2C[C@H](C)[C@H](C)C3)c1[N+](=O)[O-] ZINC000595510089 349646985 /nfs/dbraw/zinc/64/69/85/349646985.db2.gz JZJCLOHUGBLDOC-BDAKNGLRSA-N 0 0 290.323 2.507 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@H](O)CC12CCC2 ZINC000595544526 349655656 /nfs/dbraw/zinc/65/56/56/349655656.db2.gz ASIBRNHQAKYCPC-ZDUSSCGKSA-N 0 0 294.326 2.613 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000595568415 349663039 /nfs/dbraw/zinc/66/30/39/349663039.db2.gz OBDDKPSURPBDHD-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN O=C(N[C@H]1CCc2ccccc2C1)c1cccc([N+](=O)[O-])c1 ZINC000069321039 346888823 /nfs/dbraw/zinc/88/88/23/346888823.db2.gz TVBSXQHPNGJWNI-HNNXBMFYSA-N 0 0 296.326 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N(C)Cc1c[nH]cn1 ZINC000595593676 349667723 /nfs/dbraw/zinc/66/77/23/349667723.db2.gz YBVDOBMPQKRQTP-NSHDSACASA-N 0 0 274.324 2.819 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H](C)C2CCCCC2)c1[N+](=O)[O-] ZINC000175344907 529468221 /nfs/dbraw/zinc/46/82/21/529468221.db2.gz GBJMWSVNOQMKPD-VIFPVBQESA-N 0 0 294.355 2.579 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000413459315 529468627 /nfs/dbraw/zinc/46/86/27/529468627.db2.gz XEUGATFJAQJXDZ-KGLIPLIRSA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@]1(C2CC2)CN(Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000595616330 349677204 /nfs/dbraw/zinc/67/72/04/349677204.db2.gz AZTVFSFMNDBCAV-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN C[C@]1(C2CC2)CN(Cc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000595617684 349678630 /nfs/dbraw/zinc/67/86/30/349678630.db2.gz IOECBKUBBNBAOG-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CC[C@@H](CNc1ncc([N+](=O)[O-])cc1C(F)(F)F)OC ZINC000413307050 529497539 /nfs/dbraw/zinc/49/75/39/529497539.db2.gz GNKYVBICSVHTAX-QMMMGPOBSA-N 0 0 293.245 2.846 20 5 CFBDRN CC[C@@H](SC)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000125923870 187205086 /nfs/dbraw/zinc/20/50/86/187205086.db2.gz BICQQVCLCYKTJK-LLVKDONJSA-N 0 0 268.338 2.699 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN[C@H](C)c1cscn1 ZINC000595667252 349694592 /nfs/dbraw/zinc/69/45/92/349694592.db2.gz DZVHVMXJNRSLOT-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1Cc1csc([N+](=O)[O-])c1 ZINC000595674098 349697216 /nfs/dbraw/zinc/69/72/16/349697216.db2.gz IRICXOLBBVXASO-JTQLQIEISA-N 0 0 282.365 2.800 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CCC[C@H](CCF)C1 ZINC000595755776 349723878 /nfs/dbraw/zinc/72/38/78/349723878.db2.gz QDYHPTPIYTVAOM-LLVKDONJSA-N 0 0 282.315 2.872 20 5 CFBDRN CC(C)(C)C[N@H+](CC(=O)[O-])Cc1ccc([N+](=O)[O-])cc1F ZINC000595886883 349761710 /nfs/dbraw/zinc/76/17/10/349761710.db2.gz PAYQONABLTUWMJ-UHFFFAOYSA-N 0 0 298.314 2.667 20 5 CFBDRN CCc1ccc(C(=O)Nc2ccc(F)cn2)cc1[N+](=O)[O-] ZINC000173380978 529610373 /nfs/dbraw/zinc/61/03/73/529610373.db2.gz LFDQDIGUTOVWMM-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN COC(=O)c1ccc(OCCCOC(C)C)c([N+](=O)[O-])c1 ZINC000126156518 187220607 /nfs/dbraw/zinc/22/06/07/187220607.db2.gz GRKKGVHUDMTWJP-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(C[C@@H]3C[C@H]4C[C@H]4C3)n2)cc1 ZINC000596021848 349777059 /nfs/dbraw/zinc/77/70/59/349777059.db2.gz OGUPEZCVXZKYNS-LMKPVCQUSA-N 0 0 284.319 2.899 20 5 CFBDRN CC1(C)CCCC[C@@H]1Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000596021808 349777130 /nfs/dbraw/zinc/77/71/30/349777130.db2.gz NXBKTSWNZFEBNU-LLVKDONJSA-N 0 0 264.325 2.973 20 5 CFBDRN COc1ccccc1NCc1onc(C)c1[N+](=O)[O-] ZINC000596029338 349777675 /nfs/dbraw/zinc/77/76/75/349777675.db2.gz YORLEDPXJVYNLT-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN Cc1noc(CNc2ccc(F)c(C)c2)c1[N+](=O)[O-] ZINC000596030848 349778545 /nfs/dbraw/zinc/77/85/45/349778545.db2.gz ODXSEGVYTKGYPS-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CCc1ccc(CN(C)c2cc[nH]c(=O)c2[N+](=O)[O-])cc1 ZINC000596050873 349782053 /nfs/dbraw/zinc/78/20/53/349782053.db2.gz RMIXQIOJMHXTRX-UHFFFAOYSA-N 0 0 287.319 2.894 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)[C@H]1C ZINC000596054294 349782683 /nfs/dbraw/zinc/78/26/83/349782683.db2.gz CXBFFVGWSXVTGB-GBIKHYSHSA-N 0 0 291.351 2.930 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])c(C(N)=O)c1)C1CCCCC1 ZINC000596055438 349782910 /nfs/dbraw/zinc/78/29/10/349782910.db2.gz KRVCSRAIRKPZNJ-UHFFFAOYSA-N 0 0 291.351 2.853 20 5 CFBDRN C[C@H](CCc1ccco1)Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596059094 349783650 /nfs/dbraw/zinc/78/36/50/349783650.db2.gz UYPMINRNCLPZLP-SECBINFHSA-N 0 0 277.280 2.722 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])[C@@H]1C ZINC000596056566 349783662 /nfs/dbraw/zinc/78/36/62/349783662.db2.gz YMVLSMLPVPZRSW-BBBLOLIVSA-N 0 0 265.313 2.932 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1OCCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596077944 349789007 /nfs/dbraw/zinc/78/90/07/349789007.db2.gz WXONUHOOPOYVPI-PWSUYJOCSA-N 0 0 295.339 2.703 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596091438 349792294 /nfs/dbraw/zinc/79/22/94/349792294.db2.gz ZPOBFYNHKCMERW-VHSXEESVSA-N 0 0 265.313 2.934 20 5 CFBDRN CCc1cnc(CNc2ncc([N+](=O)[O-])cc2F)s1 ZINC000413179771 529654768 /nfs/dbraw/zinc/65/47/68/529654768.db2.gz ZKACEOXKRPKSLT-UHFFFAOYSA-N 0 0 282.300 2.760 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596091434 349792099 /nfs/dbraw/zinc/79/20/99/349792099.db2.gz ZPOBFYNHKCMERW-NXEZZACHSA-N 0 0 265.313 2.934 20 5 CFBDRN CC[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000412373719 529656352 /nfs/dbraw/zinc/65/63/52/529656352.db2.gz NACFLYSKAMAKSN-QJPTWQEYSA-N 0 0 298.289 2.858 20 5 CFBDRN NC(=O)c1cc(NCCC2CCCC2)ccc1[N+](=O)[O-] ZINC000596071254 349787465 /nfs/dbraw/zinc/78/74/65/349787465.db2.gz NPUFTSBNOLNGRQ-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN CC[C@H](C)CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000421586177 529666056 /nfs/dbraw/zinc/66/60/56/529666056.db2.gz DVRVBMQLICQRAO-UWVGGRQHSA-N 0 0 282.315 3.000 20 5 CFBDRN CC[C@H](C)CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000421582748 529666686 /nfs/dbraw/zinc/66/66/86/529666686.db2.gz VMDFHWPHMFGJFE-VIFPVBQESA-N 0 0 250.298 2.679 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1N)[C@@H]1CC1(C)C ZINC000416280789 529673728 /nfs/dbraw/zinc/67/37/28/529673728.db2.gz ZLBRHDQZGPAXQL-WDEREUQCSA-N 0 0 291.351 2.732 20 5 CFBDRN CC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413549159 529676259 /nfs/dbraw/zinc/67/62/59/529676259.db2.gz VPLJBPNRGYMDEH-GWCFXTLKSA-N 0 0 270.304 2.941 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])c(C)n1)[C@@H]1CC1(C)C ZINC000418999894 529681278 /nfs/dbraw/zinc/68/12/78/529681278.db2.gz RGSREOMDMAWFNZ-UWVGGRQHSA-N 0 0 264.329 2.930 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])c(C)n1)[C@H]1CC1(C)C ZINC000418999896 529681426 /nfs/dbraw/zinc/68/14/26/529681426.db2.gz RGSREOMDMAWFNZ-ZJUUUORDSA-N 0 0 264.329 2.930 20 5 CFBDRN Cc1ccc(CN(C)c2ccc(N)c([N+](=O)[O-])n2)s1 ZINC000596110104 349796096 /nfs/dbraw/zinc/79/60/96/349796096.db2.gz IXBXRXKDXZLQQT-UHFFFAOYSA-N 0 0 278.337 2.578 20 5 CFBDRN C[C@@H](CCCO)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000294979115 199281585 /nfs/dbraw/zinc/28/15/85/199281585.db2.gz OZGQXULDNMHCQM-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN CCC(CC)CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596112553 349797372 /nfs/dbraw/zinc/79/73/72/349797372.db2.gz ACTPITYEYHRLEJ-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN CC[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000596118990 349798614 /nfs/dbraw/zinc/79/86/14/349798614.db2.gz HPPXWFPIRGMJOS-RKDXNWHRSA-N 0 0 285.731 2.647 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC000596120813 349799032 /nfs/dbraw/zinc/79/90/32/349799032.db2.gz SVXKZRPIOGSCOP-NSHDSACASA-N 0 0 285.303 2.837 20 5 CFBDRN Cc1cc(NC[C@](C)(O)C(C)C)c([N+](=O)[O-])s1 ZINC000596119724 349799080 /nfs/dbraw/zinc/79/90/80/349799080.db2.gz AQNGFNMMTQDDJW-NSHDSACASA-N 0 0 258.343 2.784 20 5 CFBDRN COc1cc(NCC2(O)CCC2)c(Cl)cc1[N+](=O)[O-] ZINC000596124164 349800398 /nfs/dbraw/zinc/80/03/98/349800398.db2.gz VLUHLVBFZUSCNT-UHFFFAOYSA-N 0 0 286.715 2.584 20 5 CFBDRN CS[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000294985896 199284768 /nfs/dbraw/zinc/28/47/68/199284768.db2.gz MPJREUWPGHNCNS-LLVKDONJSA-N 0 0 252.339 2.845 20 5 CFBDRN CC(C)[C@@H]1CCCCN1c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596130373 349802261 /nfs/dbraw/zinc/80/22/61/349802261.db2.gz DBSFMDWXLFCUTJ-ZDUSSCGKSA-N 0 0 291.351 2.709 20 5 CFBDRN CCN(Cc1cnn(C)c1)[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000072597111 346931367 /nfs/dbraw/zinc/93/13/67/346931367.db2.gz NHCZRXTYETZTJC-GFCCVEGCSA-N 0 0 288.351 2.911 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCCOCC(F)(F)F ZINC000126587647 187249420 /nfs/dbraw/zinc/24/94/20/187249420.db2.gz ZMIFYSMCPNCUEX-UHFFFAOYSA-N 0 0 279.214 2.943 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCc3ccccc3C2)c1[N+](=O)[O-] ZINC000596132262 349803088 /nfs/dbraw/zinc/80/30/88/349803088.db2.gz WCZWACKXAOPGBO-LLVKDONJSA-N 0 0 299.330 2.912 20 5 CFBDRN CS[C@@H]1CCC[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596132295 349803128 /nfs/dbraw/zinc/80/31/28/349803128.db2.gz WUSKXXQLEGRMDP-RKDXNWHRSA-N 0 0 283.353 2.782 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C(F)(F)F)C2)c([N+](=O)[O-])s1 ZINC000596134505 349804061 /nfs/dbraw/zinc/80/40/61/349804061.db2.gz KPCWZRHYEPDICF-MRVPVSSYSA-N 0 0 296.270 2.732 20 5 CFBDRN CCOC(=O)[C@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000273916035 192361061 /nfs/dbraw/zinc/36/10/61/192361061.db2.gz BAVOXDMJAXJSAC-NXEZZACHSA-N 0 0 266.297 2.594 20 5 CFBDRN CCSCC[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596145048 349807914 /nfs/dbraw/zinc/80/79/14/349807914.db2.gz PVHWMGYWMGKCGG-SECBINFHSA-N 0 0 297.380 2.637 20 5 CFBDRN CC[C@@]1(C)CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596141527 349806421 /nfs/dbraw/zinc/80/64/21/349806421.db2.gz LRMHCIQRKZGKCY-ZDUSSCGKSA-N 0 0 265.313 2.712 20 5 CFBDRN CC[C@]1(C)CCCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596141968 349806554 /nfs/dbraw/zinc/80/65/54/349806554.db2.gz NLVZSUNRXKZIKA-OAHLLOKOSA-N 0 0 291.351 2.710 20 5 CFBDRN CC[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCS1 ZINC000596145040 349808217 /nfs/dbraw/zinc/80/82/17/349808217.db2.gz PKHHCHCGHZICHX-MRVPVSSYSA-N 0 0 287.772 2.975 20 5 CFBDRN C[C@@H](c1cccc(O)c1)N(C)c1ncc([N+](=O)[O-])s1 ZINC000596147827 349808879 /nfs/dbraw/zinc/80/88/79/349808879.db2.gz XCVDKQBGYYCFBO-QMMMGPOBSA-N 0 0 279.321 2.954 20 5 CFBDRN COc1cc(N[C@H](C)CCCO)c(Cl)cc1[N+](=O)[O-] ZINC000596156467 349812103 /nfs/dbraw/zinc/81/21/03/349812103.db2.gz HUTOSKINWNLMOQ-MRVPVSSYSA-N 0 0 288.731 2.830 20 5 CFBDRN NC(=O)c1cc(N[C@H]2CCCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000596166208 349815157 /nfs/dbraw/zinc/81/51/57/349815157.db2.gz RKLLOHADUMNRHQ-VIFPVBQESA-N 0 0 299.277 2.684 20 5 CFBDRN CC/C=C/CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000295035856 199312745 /nfs/dbraw/zinc/31/27/45/199312745.db2.gz SHRZVPJUZXYWIE-ONEGZZNKSA-N 0 0 264.310 2.978 20 5 CFBDRN CCc1nn(C)c(N2Cc3cccc(F)c3C2)c1[N+](=O)[O-] ZINC000413428706 529767521 /nfs/dbraw/zinc/76/75/21/529767521.db2.gz CDMOAPILEGWUAY-UHFFFAOYSA-N 0 0 290.298 2.550 20 5 CFBDRN C[C@H]1CC[C@]2(CCN(c3ccc(N)c([N+](=O)[O-])n3)C2)C1 ZINC000596190636 349822560 /nfs/dbraw/zinc/82/25/60/349822560.db2.gz VELKPKFTCBJSSZ-HZMBPMFUSA-N 0 0 276.340 2.589 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCC[C@H]1CCCCO1 ZINC000596192415 349823431 /nfs/dbraw/zinc/82/34/31/349823431.db2.gz RNTOCGGRHOLEIV-SNVBAGLBSA-N 0 0 299.758 2.826 20 5 CFBDRN CC[C@]1(C)CN(c2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596202132 349825812 /nfs/dbraw/zinc/82/58/12/349825812.db2.gz BIUBRLIWYDFAOQ-GFCCVEGCSA-N 0 0 285.731 2.649 20 5 CFBDRN COc1cc(NC[C@@H](C)OC)c(Cl)cc1[N+](=O)[O-] ZINC000596205074 349826345 /nfs/dbraw/zinc/82/63/45/349826345.db2.gz YXNXVLKFDLJXFT-SSDOTTSWSA-N 0 0 274.704 2.704 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1S[C@@H]1CCOC1 ZINC000596204959 349826489 /nfs/dbraw/zinc/82/64/89/349826489.db2.gz DRJCRJQNKYALEO-ZCFIWIBFSA-N 0 0 260.702 2.524 20 5 CFBDRN CC1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])Cc2ccccc2C1 ZINC000596181825 349819329 /nfs/dbraw/zinc/81/93/29/349819329.db2.gz KCJVFHQGAFDBIN-UHFFFAOYSA-N 0 0 299.330 2.912 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC2(CC2)C1 ZINC000596221422 349832249 /nfs/dbraw/zinc/83/22/49/349832249.db2.gz WNBFYYYJLHFPRX-UHFFFAOYSA-N 0 0 253.689 2.634 20 5 CFBDRN CC(=O)N1CCC[C@H](CNc2cc(C)sc2[N+](=O)[O-])C1 ZINC000596226822 349834044 /nfs/dbraw/zinc/83/40/44/349834044.db2.gz YSQLCTMEOAEDKM-LLVKDONJSA-N 0 0 297.380 2.635 20 5 CFBDRN Cc1cc(N(C)[C@@H]2CCCOC2)c([N+](=O)[O-])s1 ZINC000596229373 349834049 /nfs/dbraw/zinc/83/40/49/349834049.db2.gz AABSTLSPYFGWHT-SECBINFHSA-N 0 0 256.327 2.580 20 5 CFBDRN Cc1cc(NC[C@@H](CO)C(C)C)c([N+](=O)[O-])s1 ZINC000596231102 349834614 /nfs/dbraw/zinc/83/46/14/349834614.db2.gz IIKHBTFVARVKBZ-VIFPVBQESA-N 0 0 258.343 2.641 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000596210737 349827747 /nfs/dbraw/zinc/82/77/47/349827747.db2.gz NSJLHUMGUHYHGP-CQSZACIVSA-N 0 0 277.324 2.583 20 5 CFBDRN Cc1cc(N[C@H](C)c2nnc(C)[nH]2)c([N+](=O)[O-])s1 ZINC000596233113 349834865 /nfs/dbraw/zinc/83/48/65/349834865.db2.gz XRKGEZLJHNLFBG-ZCFIWIBFSA-N 0 0 267.314 2.564 20 5 CFBDRN O=C1CCC[C@@H](CNc2ccc(C(F)F)cc2[N+](=O)[O-])N1 ZINC000596214031 349828503 /nfs/dbraw/zinc/82/85/03/349828503.db2.gz ASBJZSYZBOBOQL-VIFPVBQESA-N 0 0 299.277 2.613 20 5 CFBDRN COc1cc(NCCC[C@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000596215511 349828787 /nfs/dbraw/zinc/82/87/87/349828787.db2.gz VGYUNJBEOUNUJM-QMMMGPOBSA-N 0 0 288.731 2.830 20 5 CFBDRN CC(C)[C@@H]1C[C@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596255864 349842801 /nfs/dbraw/zinc/84/28/01/349842801.db2.gz ZUVNIMWIEABTBL-PWSUYJOCSA-N 0 0 295.339 2.559 20 5 CFBDRN Cc1cccc(CN(C)c2cc[nH]c(=O)c2[N+](=O)[O-])c1C ZINC000596237109 349835759 /nfs/dbraw/zinc/83/57/59/349835759.db2.gz CKGFHXQBIOWARN-UHFFFAOYSA-N 0 0 287.319 2.949 20 5 CFBDRN COCCc1ccc(OCc2onc(C)c2[N+](=O)[O-])cc1 ZINC000596239236 349836500 /nfs/dbraw/zinc/83/65/00/349836500.db2.gz DIEDCDMXGLCMCN-UHFFFAOYSA-N 0 0 292.291 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn(CC(C)C)c2)n1 ZINC000128021385 187346381 /nfs/dbraw/zinc/34/63/81/187346381.db2.gz DZZIJFPOLMSEEZ-UHFFFAOYSA-N 0 0 275.312 2.894 20 5 CFBDRN CCC[C@]1(CO)CCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596237991 349836698 /nfs/dbraw/zinc/83/66/98/349836698.db2.gz CQSPIHLTYRDIDI-ZDUSSCGKSA-N 0 0 284.381 2.954 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccc3c(c2)OCCO3)cc1 ZINC000073020430 346955542 /nfs/dbraw/zinc/95/55/42/346955542.db2.gz GSIXGPYPWXPXCQ-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN C[C@@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])[C@@H]1C ZINC000596247618 349839591 /nfs/dbraw/zinc/83/95/91/349839591.db2.gz KISROZLMJPURGZ-NXEZZACHSA-N 0 0 257.293 2.988 20 5 CFBDRN C[C@H]1CN(c2ncc(Br)cc2[N+](=O)[O-])[C@H]1C ZINC000596248343 349839673 /nfs/dbraw/zinc/83/96/73/349839673.db2.gz MHTXKBPPRQEAOU-BQBZGAKWSA-N 0 0 286.129 2.597 20 5 CFBDRN Cc1cc(NCC2=CCOCC2)c([N+](=O)[O-])s1 ZINC000596249237 349840239 /nfs/dbraw/zinc/84/02/39/349840239.db2.gz DULFXXJMMUHLLY-UHFFFAOYSA-N 0 0 254.311 2.723 20 5 CFBDRN CC(F)(F)CCCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596254369 349841889 /nfs/dbraw/zinc/84/18/89/349841889.db2.gz BWUBLLPHRXXTTH-UHFFFAOYSA-N 0 0 287.266 2.541 20 5 CFBDRN NC(=O)CC1(CNc2ccc(C(F)F)cc2[N+](=O)[O-])CC1 ZINC000596278054 349850657 /nfs/dbraw/zinc/85/06/57/349850657.db2.gz JEDPCOIQGQIXHR-UHFFFAOYSA-N 0 0 299.277 2.600 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@@H](CO)C2)c([N+](=O)[O-])s1 ZINC000596279486 349851515 /nfs/dbraw/zinc/85/15/15/349851515.db2.gz QVTQZVPYPSTYBY-NXEZZACHSA-N 0 0 270.354 2.928 20 5 CFBDRN CC[C@@H](CO)N(C)c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596260134 349844559 /nfs/dbraw/zinc/84/45/59/349844559.db2.gz XYFBFZXNNHETQG-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCO[C@H]2CC2(F)F)c1 ZINC000596260994 349845579 /nfs/dbraw/zinc/84/55/79/349845579.db2.gz NTLNVBVNZYINMJ-JTQLQIEISA-N 0 0 277.198 2.537 20 5 CFBDRN C[C@H](CC(C)(C)C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596260979 349845750 /nfs/dbraw/zinc/84/57/50/349845750.db2.gz NCORIGWWIMQCNG-SECBINFHSA-N 0 0 279.340 2.930 20 5 CFBDRN C[C@@]1(CNc2ccc(C(F)F)cc2[N+](=O)[O-])CCC(=O)N1 ZINC000596261051 349845834 /nfs/dbraw/zinc/84/58/34/349845834.db2.gz PWNYATJUTJOIRJ-ZDUSSCGKSA-N 0 0 299.277 2.613 20 5 CFBDRN NC(=O)[C@@H]1CC[C@H](Nc2ccc(C(F)F)cc2[N+](=O)[O-])C1 ZINC000596261887 349846270 /nfs/dbraw/zinc/84/62/70/349846270.db2.gz YSCMVMHEFSSQDQ-BDAKNGLRSA-N 0 0 299.277 2.598 20 5 CFBDRN CCCN1C[C@@H](CNc2cc(C)sc2[N+](=O)[O-])CC1=O ZINC000596279801 349851605 /nfs/dbraw/zinc/85/16/05/349851605.db2.gz PYCGKCJMZKZNHA-SNVBAGLBSA-N 0 0 297.380 2.635 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCC1CC(OC)C1 ZINC000596309530 349860134 /nfs/dbraw/zinc/86/01/34/349860134.db2.gz TZHUYGYHFJAZGO-UHFFFAOYSA-N 0 0 294.351 2.968 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H](C)c1ccccn1 ZINC000596310978 349860201 /nfs/dbraw/zinc/86/02/01/349860201.db2.gz BLUMRPHWWQDUNI-SNVBAGLBSA-N 0 0 289.339 2.730 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](Nc2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596288153 349853545 /nfs/dbraw/zinc/85/35/45/349853545.db2.gz BKHRUIRBDBSSFZ-DTORHVGOSA-N 0 0 299.758 2.823 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@]2(CC2(F)F)C1 ZINC000596290693 349854307 /nfs/dbraw/zinc/85/43/07/349854307.db2.gz BMIONXDLLAAGAY-JTQLQIEISA-N 0 0 289.669 2.879 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCC3(CCCC3)O2)c1[N+](=O)[O-] ZINC000596296031 349855683 /nfs/dbraw/zinc/85/56/83/349855683.db2.gz DPOIHNZHYPTLMY-JTQLQIEISA-N 0 0 293.323 2.599 20 5 CFBDRN CC(C)c1ncn(CCc2ccc([N+](=O)[O-])cc2)n1 ZINC000596301776 349857313 /nfs/dbraw/zinc/85/73/13/349857313.db2.gz LEBSZIXTELROMS-UHFFFAOYSA-N 0 0 260.297 2.552 20 5 CFBDRN COc1cc(Cn2cnc(C(C)C)n2)c([N+](=O)[O-])cc1F ZINC000596301485 349857381 /nfs/dbraw/zinc/85/73/81/349857381.db2.gz GWGYCGUUZCWMEY-UHFFFAOYSA-N 0 0 294.286 2.506 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H](c2ccccn2)C1 ZINC000596306440 349857694 /nfs/dbraw/zinc/85/76/94/349857694.db2.gz QLWMDGVNFFKSLE-GFCCVEGCSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](c3ccccn3)C2)s1 ZINC000596306923 349857801 /nfs/dbraw/zinc/85/78/01/349857801.db2.gz UFYUYQBNOURTJP-JTQLQIEISA-N 0 0 290.348 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3ccccn3)C2)cn1 ZINC000596306868 349858370 /nfs/dbraw/zinc/85/83/70/349858370.db2.gz SSZHBJLNJCOXKY-LBPRGKRZSA-N 0 0 284.319 2.769 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000073331891 346970917 /nfs/dbraw/zinc/97/09/17/346970917.db2.gz LRUTVIVXARKVCQ-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cccnc1CCCNc1ncccc1[N+](=O)[O-] ZINC000295080030 199334446 /nfs/dbraw/zinc/33/44/46/199334446.db2.gz XPMCJLJKTPBCTG-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@]23C[C@@H]2CCC3)c1[N+](=O)[O-] ZINC000596318191 349862254 /nfs/dbraw/zinc/86/22/54/349862254.db2.gz ZGMZAUCFLOSIIJ-XPTSAGLGSA-N 0 0 291.307 2.521 20 5 CFBDRN COCC1(Nc2nc(C)cc(C)c2[N+](=O)[O-])CCCC1 ZINC000596317153 349862266 /nfs/dbraw/zinc/86/22/66/349862266.db2.gz PCWZLLTXEVMEOI-UHFFFAOYSA-N 0 0 279.340 2.978 20 5 CFBDRN O=[N+]([O-])c1c(N[C@]23C[C@H]2CCC3)nc2sccn21 ZINC000596316838 349862278 /nfs/dbraw/zinc/86/22/78/349862278.db2.gz MVTPLZYDSNHRLP-RDDDGLTNSA-N 0 0 264.310 2.659 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000073336256 346971961 /nfs/dbraw/zinc/97/19/61/346971961.db2.gz QTIDNATXCXERGK-JQWIXIFHSA-N 0 0 292.335 2.864 20 5 CFBDRN COCC1(Nc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000596318037 349862321 /nfs/dbraw/zinc/86/23/21/349862321.db2.gz XJTLKKGFNFHWHC-UHFFFAOYSA-N 0 0 250.298 2.966 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H](CO)C1CCC1 ZINC000596322452 349862646 /nfs/dbraw/zinc/86/26/46/349862646.db2.gz ARXGGQBUQNMPHI-ZDUSSCGKSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000073337225 346972648 /nfs/dbraw/zinc/97/26/48/346972648.db2.gz YTWKSOBVKQXLDN-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN CC[C@@H](COCC1CC1)Nc1ncc([N+](=O)[O-])cc1F ZINC000596329665 349866150 /nfs/dbraw/zinc/86/61/50/349866150.db2.gz YSJVHRRXRGDIAO-JTQLQIEISA-N 0 0 283.303 2.746 20 5 CFBDRN COC[C@@H](CCNc1ccc(Cl)cc1[N+](=O)[O-])OC ZINC000596330338 349866195 /nfs/dbraw/zinc/86/61/95/349866195.db2.gz OGCBDBSBLYKWHP-SNVBAGLBSA-N 0 0 288.731 2.712 20 5 CFBDRN Cc1noc([C@@H](C)SCc2onc(C)c2[N+](=O)[O-])n1 ZINC000596336813 349869230 /nfs/dbraw/zinc/86/92/30/349869230.db2.gz RYROWGCORZQFJN-ZCFIWIBFSA-N 0 0 284.297 2.577 20 5 CFBDRN COC1CCN(c2ccc([N+](=O)[O-])c3cccnc32)CC1 ZINC000073877507 346981911 /nfs/dbraw/zinc/98/19/11/346981911.db2.gz RPORNUZQQBVIFY-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H]1C[C@H](Nc2c(F)cc([N+](=O)[O-])cc2F)c2ncnn21 ZINC000596342901 349871301 /nfs/dbraw/zinc/87/13/01/349871301.db2.gz IDUGGBGCYCQPFN-WKEGUHRASA-N 0 0 295.249 2.582 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H](C)n3ncnc32)c1 ZINC000596346147 349871616 /nfs/dbraw/zinc/87/16/16/349871616.db2.gz PCTKRGRAMGDPSJ-KOLCDFICSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](C)n3ncnc32)c(F)cc1[N+](=O)[O-] ZINC000596345421 349871753 /nfs/dbraw/zinc/87/17/53/349871753.db2.gz KCKURVSEDWVJGR-KWQFWETISA-N 0 0 291.286 2.752 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H](C)n3ncnc32)c1 ZINC000596346148 349871785 /nfs/dbraw/zinc/87/17/85/349871785.db2.gz PCTKRGRAMGDPSJ-MWLCHTKSSA-N 0 0 273.296 2.613 20 5 CFBDRN COc1cc(CSCc2onc(C)c2[N+](=O)[O-])ccn1 ZINC000596367911 349880090 /nfs/dbraw/zinc/88/00/90/349880090.db2.gz VZXVFSBTZFSXEH-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN C[S@](=O)[C@@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000596376657 349883730 /nfs/dbraw/zinc/88/37/30/349883730.db2.gz WPWHSJZRHWQLMQ-ROXHKKKXSA-N 0 0 288.394 2.758 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@]1(C)C(C)C ZINC000413442231 529932996 /nfs/dbraw/zinc/93/29/96/529932996.db2.gz ULYREZJZWBEWFD-HIFRSBDPSA-N 0 0 291.351 2.801 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCCC[C@H]2F)c([N+](=O)[O-])c1 ZINC000413526893 529942906 /nfs/dbraw/zinc/94/29/06/529942906.db2.gz CWEKRYDIKJIKNG-GHMZBOCLSA-N 0 0 295.314 2.647 20 5 CFBDRN C[C@H](O)CCSCc1c(F)cc([N+](=O)[O-])cc1F ZINC000596406074 349891220 /nfs/dbraw/zinc/89/12/20/349891220.db2.gz CQKXOZPFZSXFDH-ZETCQYMHSA-N 0 0 277.292 2.877 20 5 CFBDRN Cc1ccc2c(c1)CN(c1cc[nH]c(=O)c1[N+](=O)[O-])CC2 ZINC000596410884 349893914 /nfs/dbraw/zinc/89/39/14/349893914.db2.gz TWJSCTUSNRLFLG-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(NC/C=C\CO)c(C(F)(F)F)c1 ZINC000596422633 349898929 /nfs/dbraw/zinc/89/89/29/349898929.db2.gz UHTMLVSABAHOBM-UPHRSURJSA-N 0 0 276.214 2.574 20 5 CFBDRN Cc1cnc(N[C@@H](C)c2cscn2)c([N+](=O)[O-])c1 ZINC000596430089 349900455 /nfs/dbraw/zinc/90/04/55/349900455.db2.gz GPUCCDWNEGWEDY-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN C[C@H](CO)[C@@H]1CCCCN1c1ccccc1[N+](=O)[O-] ZINC000596432252 349901161 /nfs/dbraw/zinc/90/11/61/349901161.db2.gz DCPBIUVSLJQMHU-NEPJUHHUSA-N 0 0 264.325 2.582 20 5 CFBDRN CC[C@H](c1ccncc1)N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000128206206 187357349 /nfs/dbraw/zinc/35/73/49/187357349.db2.gz AUBSIHWBKLJQCX-LLVKDONJSA-N 0 0 289.291 2.806 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCC[C@@H]2[C@@H](C)CO)n1 ZINC000596434112 349901854 /nfs/dbraw/zinc/90/18/54/349901854.db2.gz IXXQPQOTMQITCU-WCQYABFASA-N 0 0 293.367 2.594 20 5 CFBDRN COc1ccc(CN(C)c2cc(C)c([N+](=O)[O-])cn2)cc1 ZINC000074725472 347028834 /nfs/dbraw/zinc/02/88/34/347028834.db2.gz WEBYBSSZTAAQAK-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN COc1cccc(CN(C)c2cc(C)c([N+](=O)[O-])cn2)c1 ZINC000074725489 347028997 /nfs/dbraw/zinc/02/89/97/347028997.db2.gz KTTWSAASKVBNLJ-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN Cc1cc(N2CCc3sccc3C2)ncc1[N+](=O)[O-] ZINC000074738800 347029825 /nfs/dbraw/zinc/02/98/25/347029825.db2.gz QREXNWDAEKYTAC-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1ncsc1CN(C)c1cc(C)c([N+](=O)[O-])cn1 ZINC000074749730 347030536 /nfs/dbraw/zinc/03/05/36/347030536.db2.gz PHBXCAYSTVSOBO-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1cc(Nc2cnn(C(C)(C)C)c2)ncc1[N+](=O)[O-] ZINC000074744279 347030696 /nfs/dbraw/zinc/03/06/96/347030696.db2.gz IYKMFMRIYWWULZ-UHFFFAOYSA-N 0 0 275.312 2.993 20 5 CFBDRN COC1CC(CCNC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000596441479 349903699 /nfs/dbraw/zinc/90/36/99/349903699.db2.gz OOVHGHCRTYOSRA-UHFFFAOYSA-N 0 0 293.323 2.531 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(c1ccc(F)cc1[N+](=O)[O-])C2 ZINC000596444027 349905197 /nfs/dbraw/zinc/90/51/97/349905197.db2.gz SXBRPOGJVCJPTC-OKILXGFUSA-N 0 0 280.299 2.597 20 5 CFBDRN CCn1cc(CNc2c(C)cc([N+](=O)[O-])cc2Cl)nn1 ZINC000596450561 349906159 /nfs/dbraw/zinc/90/61/59/349906159.db2.gz OORMOCYQDICZGO-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN CCSCC[C@H](C)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000074770732 347032429 /nfs/dbraw/zinc/03/24/29/347032429.db2.gz KQLJVPZYGJSREG-JTQLQIEISA-N 0 0 269.370 2.958 20 5 CFBDRN C[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)[C@@H]1CCO ZINC000596442214 349904552 /nfs/dbraw/zinc/90/45/52/349904552.db2.gz JMRRTBDSGVIPCT-BXKDBHETSA-N 0 0 299.758 2.631 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCO[C@@H]1CC1(F)F ZINC000596448545 349906234 /nfs/dbraw/zinc/90/62/34/349906234.db2.gz UDLMSTRVMLZPFQ-SNVBAGLBSA-N 0 0 272.251 2.739 20 5 CFBDRN Cc1cc(N2C[C@@H](C)C(=O)[C@H](C)C2)c([N+](=O)[O-])s1 ZINC000596448435 349906409 /nfs/dbraw/zinc/90/64/09/349906409.db2.gz ZOLOIZSDLBIDMW-HTQZYQBOSA-N 0 0 268.338 2.626 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])C[C@H](C)C1O ZINC000596463732 349908612 /nfs/dbraw/zinc/90/86/12/349908612.db2.gz PMLKAJYOYRVSNP-IUCAKERBSA-N 0 0 284.743 2.701 20 5 CFBDRN C[C@H]1CCC[C@@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)O1 ZINC000596471291 349910626 /nfs/dbraw/zinc/91/06/26/349910626.db2.gz ZECBWYILJDMWRO-GWCFXTLKSA-N 0 0 293.323 2.674 20 5 CFBDRN CO[C@@H](CNc1ncccc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000075056044 347051539 /nfs/dbraw/zinc/05/15/39/347051539.db2.gz IELAZAHAOLWKIV-ZDUSSCGKSA-N 0 0 291.282 2.929 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2nc3sccn3c2[N+](=O)[O-])O1 ZINC000596473054 349910991 /nfs/dbraw/zinc/91/09/91/349910991.db2.gz FMVIDQSNLSVFEY-IUCAKERBSA-N 0 0 296.352 2.674 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2ccc([N+](=O)[O-])cc2)O1 ZINC000596473070 349911339 /nfs/dbraw/zinc/91/13/39/349911339.db2.gz FRSJVUUXVBNFDI-ZWNOBZJWSA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1cc(NCC[C@]2(C)CC2(F)F)ncc1[N+](=O)[O-] ZINC000596474703 349911945 /nfs/dbraw/zinc/91/19/45/349911945.db2.gz BARNYUKCLVNMCJ-LLVKDONJSA-N 0 0 271.267 2.567 20 5 CFBDRN CCc1nn(C)c(NCC[C@]2(C)CC2(F)F)c1[N+](=O)[O-] ZINC000596475671 349912289 /nfs/dbraw/zinc/91/22/89/349912289.db2.gz KWCUQJCZUVOWST-LLVKDONJSA-N 0 0 288.298 2.738 20 5 CFBDRN C[C@]1(CNc2nc3sccn3c2[N+](=O)[O-])CC1(F)F ZINC000596475758 349912406 /nfs/dbraw/zinc/91/24/06/349912406.db2.gz CXOBWQWDPPDDPV-SECBINFHSA-N 0 0 288.279 2.761 20 5 CFBDRN CCC1(C)CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])CC1 ZINC000420587153 282346066 /nfs/dbraw/zinc/34/60/66/282346066.db2.gz PXVAFUTYUAIZIQ-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1ccc(Cl)cc1[N+](=O)[O-])C2 ZINC000596477931 349913808 /nfs/dbraw/zinc/91/38/08/349913808.db2.gz FGEZJJFZORQLLQ-TVQRCGJNSA-N 0 0 282.727 2.721 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1nccc3cc([N+](=O)[O-])ccc31)C2 ZINC000596477489 349912964 /nfs/dbraw/zinc/91/29/64/349912964.db2.gz DHSJPQHZFDLBQA-WBMJQRKESA-N 0 0 299.330 2.616 20 5 CFBDRN CNC(=O)c1ccc(NCCCC2CCC2)c([N+](=O)[O-])c1 ZINC000596477417 349913274 /nfs/dbraw/zinc/91/32/74/349913274.db2.gz ZTQVOOIOTOFVPP-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@]1(C)CC1(F)F ZINC000596479550 349914549 /nfs/dbraw/zinc/91/45/49/349914549.db2.gz SOTUANONGKPSME-LLVKDONJSA-N 0 0 288.298 2.967 20 5 CFBDRN Cc1cc(N2C[C@@H]3COC[C@]3(C)C2)c(F)cc1[N+](=O)[O-] ZINC000596480286 349914674 /nfs/dbraw/zinc/91/46/74/349914674.db2.gz MFDYIMINUASBTI-YGRLFVJLSA-N 0 0 280.299 2.515 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000426721573 530004282 /nfs/dbraw/zinc/00/42/82/530004282.db2.gz CEBZKESDRDAGFV-IMRBUKKESA-N 0 0 289.335 2.535 20 5 CFBDRN CN(Cc1ccncc1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000427999026 530011111 /nfs/dbraw/zinc/01/11/11/530011111.db2.gz XVCCNOXVETVIFC-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1ccc([N+](=O)[O-])c3cccnc31)C2 ZINC000596481526 349915366 /nfs/dbraw/zinc/91/53/66/349915366.db2.gz BRILMGABJRPSFB-ZBEGNZNMSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1ccc(CC2CN(c3ccc([N+](=O)[O-])nc3)C2)cc1 ZINC000596486080 349916519 /nfs/dbraw/zinc/91/65/19/349916519.db2.gz SMMAYNCGQIUFNN-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN COC(=O)C[C@@H](CNc1ccc([N+](=O)[O-])s1)C1CC1 ZINC000596488984 349918223 /nfs/dbraw/zinc/91/82/23/349918223.db2.gz ASKOWZWDRXASCO-VIFPVBQESA-N 0 0 284.337 2.658 20 5 CFBDRN Cc1cc(N2CC[C@@H](C)O[C@@H](C)C2)c([N+](=O)[O-])s1 ZINC000596493176 349918667 /nfs/dbraw/zinc/91/86/67/349918667.db2.gz HEAHLNYYTNUDBF-BDAKNGLRSA-N 0 0 270.354 2.968 20 5 CFBDRN COC(=O)C[C@H](CNc1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000596492302 349918996 /nfs/dbraw/zinc/91/89/96/349918996.db2.gz OYEQCIFVPXQCCI-GFCCVEGCSA-N 0 0 292.335 2.904 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@H](CO)CC2CC2)c(F)c1 ZINC000596493341 349919794 /nfs/dbraw/zinc/91/97/94/349919794.db2.gz MZURDZFESXBSEL-SECBINFHSA-N 0 0 286.278 2.694 20 5 CFBDRN Cc1cccc(NC[C@@H](CO)CC2CC2)c1[N+](=O)[O-] ZINC000596493644 349919546 /nfs/dbraw/zinc/91/95/46/349919546.db2.gz YZVBZXUTFWSQMG-LBPRGKRZSA-N 0 0 264.325 2.724 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000075543064 347083691 /nfs/dbraw/zinc/08/36/91/347083691.db2.gz VTLWPCQTBVPDIP-NXEZZACHSA-N 0 0 263.297 2.715 20 5 CFBDRN CC1(C)C[C@]1(NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1 ZINC000596499225 349921104 /nfs/dbraw/zinc/92/11/04/349921104.db2.gz QANIPUWWAQXVSE-INIZCTEOSA-N 0 0 299.330 2.978 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1C[C@@H](O)C12CCC2 ZINC000596500213 349921915 /nfs/dbraw/zinc/92/19/15/349921915.db2.gz AJCDMMQEWHDEKF-QWHCGFSZSA-N 0 0 262.309 2.619 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2C[C@@H](O)C23CCC3)c([N+](=O)[O-])c1 ZINC000596510127 349924786 /nfs/dbraw/zinc/92/47/86/349924786.db2.gz ODWQGRKLPGANTA-UONOGXRCSA-N 0 0 290.319 2.513 20 5 CFBDRN CCOc1cc(N[C@H]2C[C@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596510212 349924805 /nfs/dbraw/zinc/92/48/05/349924805.db2.gz OWJGHCDJSNPQRM-KBPBESRZSA-N 0 0 292.335 2.709 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000596507382 349923819 /nfs/dbraw/zinc/92/38/19/349923819.db2.gz XNLPTXXSDNHEHA-JSGCOSHPSA-N 0 0 291.351 2.775 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC[C@H]2C[C@H]2C1 ZINC000596508938 349923865 /nfs/dbraw/zinc/92/38/65/349923865.db2.gz GDHGCVQDVKNNGU-QWRGUYRKSA-N 0 0 290.319 2.536 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2C[C@@H](O)C23CCC3)ccc2ncccc21 ZINC000596514646 349926745 /nfs/dbraw/zinc/92/67/45/349926745.db2.gz XMKAQQBKXJHDLN-UONOGXRCSA-N 0 0 299.330 2.858 20 5 CFBDRN COC(=O)Cc1ccc(NCC2=CCCC2)c([N+](=O)[O-])c1 ZINC000596517403 349927808 /nfs/dbraw/zinc/92/78/08/349927808.db2.gz HKAVNHQPQRVWJV-UHFFFAOYSA-N 0 0 290.319 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](Oc3ccccc3)C2)cn1 ZINC000596525323 349929725 /nfs/dbraw/zinc/92/97/25/349929725.db2.gz NJXFNZWHFYRVDB-CQSZACIVSA-N 0 0 285.303 2.648 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@@H](Oc2ccccc2)C1 ZINC000596526277 349929833 /nfs/dbraw/zinc/92/98/33/349929833.db2.gz YYEMKMQALLXQKM-CYBMUJFWSA-N 0 0 285.303 2.648 20 5 CFBDRN C[C@@H](O)C1(Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 ZINC000596524244 349930164 /nfs/dbraw/zinc/93/01/64/349930164.db2.gz UMWNBJLTRMLYRB-SSDOTTSWSA-N 0 0 290.241 2.939 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H](OC)C1CCCC1 ZINC000596528154 349931342 /nfs/dbraw/zinc/93/13/42/349931342.db2.gz MDDLWBGEIMDTPR-GFCCVEGCSA-N 0 0 296.371 2.737 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@H]4COC[C@H]4C3)c2c1 ZINC000596526416 349930489 /nfs/dbraw/zinc/93/04/89/349930489.db2.gz DQKGZHNAJGJQSS-QWHCGFSZSA-N 0 0 299.330 2.616 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000596539333 349934673 /nfs/dbraw/zinc/93/46/73/349934673.db2.gz IZCRTUUFIUGIMH-MNOVXSKESA-N 0 0 256.689 2.838 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000596538856 349934971 /nfs/dbraw/zinc/93/49/71/349934971.db2.gz HPXCDBVPOGNGJF-OCCSQVGLSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1nc(NCC(F)(F)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000596543393 349936342 /nfs/dbraw/zinc/93/63/42/349936342.db2.gz STVXGTNAKSZQHO-UHFFFAOYSA-N 0 0 273.283 2.813 20 5 CFBDRN Cc1nn(C)c(NCC(F)(F)C(C)(C)C)c1[N+](=O)[O-] ZINC000596542665 349936414 /nfs/dbraw/zinc/93/64/14/349936414.db2.gz NQVNCYZMSMGXPB-UHFFFAOYSA-N 0 0 276.287 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@@H]3Cc4cc(F)ccc4[C@@H]32)cn1 ZINC000596543628 349937580 /nfs/dbraw/zinc/93/75/80/349937580.db2.gz MJQGCXHSWBPWHL-VHDGCEQUSA-N 0 0 285.278 2.879 20 5 CFBDRN Cc1c(Cl)cnn1Cc1ccc([N+](=O)[O-])cc1F ZINC000158583852 232241754 /nfs/dbraw/zinc/24/17/54/232241754.db2.gz BDDQPZFXGIROTK-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN O=C(NCc1ccc(F)cc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000158910635 232242690 /nfs/dbraw/zinc/24/26/90/232242690.db2.gz ZFXMUSQNCSAIBD-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](O)CNc1cccnc1[N+](=O)[O-] ZINC000596548943 349938791 /nfs/dbraw/zinc/93/87/91/349938791.db2.gz WCQBYUVEMIPNKP-BXUZGUMPSA-N 0 0 287.319 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)CC(F)F ZINC000162572508 232255226 /nfs/dbraw/zinc/25/52/26/232255226.db2.gz HHYBIXDBGDQSKA-UHFFFAOYSA-N 0 0 273.239 2.632 20 5 CFBDRN Cc1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)nc1 ZINC000161021547 232249903 /nfs/dbraw/zinc/24/99/03/232249903.db2.gz MDDNOMYYWYIAKQ-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1N[C@H]1CCN(C(C)C)C1=O ZINC000290724331 197798842 /nfs/dbraw/zinc/79/88/42/197798842.db2.gz ZJJDSOTYSVXUDY-ZDUSSCGKSA-N 0 0 291.351 2.578 20 5 CFBDRN COC(=O)C1CCN([C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000075618070 347088196 /nfs/dbraw/zinc/08/81/96/347088196.db2.gz FDJOONDHNWZTBY-NSHDSACASA-N 0 0 292.335 2.541 20 5 CFBDRN CC(=O)c1cc(N(C)CC2=CCSC2)ccc1[N+](=O)[O-] ZINC000596554522 349939856 /nfs/dbraw/zinc/93/98/56/349939856.db2.gz SEJKOUWUKJGXGK-UHFFFAOYSA-N 0 0 292.360 2.907 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1C[C@H](OC(C)(C)C)C1 ZINC000596552990 349939887 /nfs/dbraw/zinc/93/98/87/349939887.db2.gz XNWZCNSVCQYAAB-XYPYZODXSA-N 0 0 296.371 2.878 20 5 CFBDRN CCOC(=O)[C@]1(C)CCC[C@@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000596552909 349939947 /nfs/dbraw/zinc/93/99/47/349939947.db2.gz OEJANUGRYFWFCD-SMDDNHRTSA-N 0 0 293.323 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@](C)(F)C2)c1 ZINC000295555779 199561625 /nfs/dbraw/zinc/56/16/25/199561625.db2.gz KWRKUEQCDZAIFK-CQSZACIVSA-N 0 0 280.299 2.867 20 5 CFBDRN COc1ccc(C)nc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000174804670 232300343 /nfs/dbraw/zinc/30/03/43/232300343.db2.gz FGWXZFJYJBBBFV-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cccc(CNc2ccc([N+](=O)[O-])c(C)n2)c1C ZINC000596554709 349940841 /nfs/dbraw/zinc/94/08/41/349940841.db2.gz ZOZYICXEJGXDOZ-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN CCOC(=O)[C@@]1(C)CCC[C@H]1Nc1ncc([N+](=O)[O-])s1 ZINC000596558172 349941323 /nfs/dbraw/zinc/94/13/23/349941323.db2.gz XTVUSCZDLKDMFW-PELKAZGASA-N 0 0 299.352 2.585 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2ccc(CO)o2)cc1[N+](=O)[O-] ZINC000175059039 232304688 /nfs/dbraw/zinc/30/46/88/232304688.db2.gz NNGSSOMYNAPSDU-LLVKDONJSA-N 0 0 290.319 2.839 20 5 CFBDRN Cc1cncc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000176586110 232336279 /nfs/dbraw/zinc/33/62/79/232336279.db2.gz PSLQPZWAZPTXQI-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CC[N@@H+]1Cc1ccccc1 ZINC000596558896 349941728 /nfs/dbraw/zinc/94/17/28/349941728.db2.gz UKUXJDRHXLVUHE-AWEZNQCLSA-N 0 0 298.346 2.676 20 5 CFBDRN CCCCS(=O)(=O)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC000127556283 187315998 /nfs/dbraw/zinc/31/59/98/187315998.db2.gz ZTIHEDFJUGVLHH-UHFFFAOYSA-N 0 0 293.728 2.757 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000177839684 232370363 /nfs/dbraw/zinc/37/03/63/232370363.db2.gz DBMTUEUMEFYYAX-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1nc(N[C@H](c2ccccc2)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000596563965 349942268 /nfs/dbraw/zinc/94/22/68/349942268.db2.gz AGWYXHRACIBYFD-ABAIWWIYSA-N 0 0 287.319 2.832 20 5 CFBDRN Cc1cccc(C(=O)NCc2cccs2)c1[N+](=O)[O-] ZINC000006259345 346214113 /nfs/dbraw/zinc/21/41/13/346214113.db2.gz HLEWYIWNKWZTGS-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2)cc1F ZINC000012487201 346231273 /nfs/dbraw/zinc/23/12/73/346231273.db2.gz QJARUWFQZRGKHU-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CCC[C@H](OC)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000295564141 199565636 /nfs/dbraw/zinc/56/56/36/199565636.db2.gz ZXROGXDKGWHIEF-ZDUSSCGKSA-N 0 0 294.351 2.761 20 5 CFBDRN Cc1c(NCC(=O)NC2CCCCC2)cccc1[N+](=O)[O-] ZINC000015124438 346234526 /nfs/dbraw/zinc/23/45/26/346234526.db2.gz SQGUICDBJBCPFU-UHFFFAOYSA-N 0 0 291.351 2.764 20 5 CFBDRN Cc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1F ZINC000008317308 346223192 /nfs/dbraw/zinc/22/31/92/346223192.db2.gz XYOCSNNXCXZEPE-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN Cc1c(NC(=O)c2cn3ccccc3n2)cccc1[N+](=O)[O-] ZINC000008281574 346223482 /nfs/dbraw/zinc/22/34/82/346223482.db2.gz RWSCLTWBQFXYAC-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000185536335 232416720 /nfs/dbraw/zinc/41/67/20/232416720.db2.gz FWRZSFABRYIWAU-ZYHUDNBSSA-N 0 0 264.325 2.891 20 5 CFBDRN COc1cc(N2CC3CC2(C)C3)c([N+](=O)[O-])cc1F ZINC000596574846 349945551 /nfs/dbraw/zinc/94/55/51/349945551.db2.gz MSELPXGDWXSCLI-UHFFFAOYSA-N 0 0 266.272 2.731 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1COc2ccccc2C1 ZINC000016959442 346239604 /nfs/dbraw/zinc/23/96/04/346239604.db2.gz DBTYDFOQUGNCOJ-LBPRGKRZSA-N 0 0 298.298 2.785 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)CCO1 ZINC000023888133 346253846 /nfs/dbraw/zinc/25/38/46/346253846.db2.gz DYKYDGFORZRTJO-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)(C)c1noc(COc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000024044427 346253850 /nfs/dbraw/zinc/25/38/50/346253850.db2.gz NOUTXUYULNWVTP-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN CN(Cc1ccncc1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000029874775 346284233 /nfs/dbraw/zinc/28/42/33/346284233.db2.gz VAEDNUBMQDXNBI-UHFFFAOYSA-N 0 0 288.263 2.534 20 5 CFBDRN CSc1ccc(NC(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000026939226 346266744 /nfs/dbraw/zinc/26/67/44/346266744.db2.gz SIPLRBOGNNZXNU-UHFFFAOYSA-N 0 0 291.332 2.908 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000027770988 346272479 /nfs/dbraw/zinc/27/24/79/346272479.db2.gz YWHJWIZQWZPVPB-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN CS[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000031702070 346296326 /nfs/dbraw/zinc/29/63/26/346296326.db2.gz BPDKPMLCRKRDGN-MRVPVSSYSA-N 0 0 254.311 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc(F)cc(F)c2)nc1 ZINC000030517294 346288358 /nfs/dbraw/zinc/28/83/58/346288358.db2.gz BUCVQFXUUFSOEY-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CCC/C=C/c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000596592954 349950876 /nfs/dbraw/zinc/95/08/76/349950876.db2.gz KWIKKQRRKYFHLX-VOTSOKGWSA-N 0 0 277.284 2.500 20 5 CFBDRN Cc1cccc([C@@H]2CCN(c3ncc([N+](=O)[O-])c(C)n3)C2)c1 ZINC000295143059 199369809 /nfs/dbraw/zinc/36/98/09/199369809.db2.gz ZTHIONSUYQUXQC-CQSZACIVSA-N 0 0 298.346 2.996 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000042565572 346367673 /nfs/dbraw/zinc/36/76/73/346367673.db2.gz ZDYBBUDQMUVVSY-QMMMGPOBSA-N 0 0 254.311 2.528 20 5 CFBDRN Cc1nsc(N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n1 ZINC000270693427 190775129 /nfs/dbraw/zinc/77/51/29/190775129.db2.gz GLKBSPCXGUSNNC-UHFFFAOYSA-N 0 0 290.348 2.924 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000596601249 349953588 /nfs/dbraw/zinc/95/35/88/349953588.db2.gz QGVSCFFAEPXHSK-VXNVDRBHSA-N 0 0 293.279 2.909 20 5 CFBDRN CCCc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)n[nH]1 ZINC000044006762 346388335 /nfs/dbraw/zinc/38/83/35/346388335.db2.gz AKTYPXLPZBLYCF-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@H]1CCc2ccccc21 ZINC000042626387 346368338 /nfs/dbraw/zinc/36/83/38/346368338.db2.gz IBMNSNFXUWHPOC-AWEZNQCLSA-N 0 0 285.303 2.682 20 5 CFBDRN CCCc1noc(CNc2cccc([N+](=O)[O-])c2C)n1 ZINC000042627514 346369275 /nfs/dbraw/zinc/36/92/75/346369275.db2.gz UQDVMKOZKDPQBN-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN O=C(Cc1cc(C2CC2)no1)Nc1cccc([N+](=O)[O-])c1 ZINC000596618800 349957621 /nfs/dbraw/zinc/95/76/21/349957621.db2.gz AYFUVDDONDYJHA-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN CC(C)C[C@@H](C)N(C)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000045087883 346403630 /nfs/dbraw/zinc/40/36/30/346403630.db2.gz TXZALMQQDUAMPP-GFCCVEGCSA-N 0 0 294.351 2.867 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@@H]1CC1(Cl)Cl ZINC000098072582 185682310 /nfs/dbraw/zinc/68/23/10/185682310.db2.gz JQDRBHNENRWNEU-LURJTMIESA-N 0 0 293.081 2.866 20 5 CFBDRN COc1ccccc1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000046899588 346437195 /nfs/dbraw/zinc/43/71/95/346437195.db2.gz SQOWQDOCVHWSNJ-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN CC(C)COCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000046918604 346437927 /nfs/dbraw/zinc/43/79/27/346437927.db2.gz CCWTWMVFRQYLTJ-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN Cc1ncc(-c2ccc(N3CCCC3)nc2)cc1[N+](=O)[O-] ZINC000596627748 349960983 /nfs/dbraw/zinc/96/09/83/349960983.db2.gz HAGGVCZNMRNVMM-UHFFFAOYSA-N 0 0 284.319 2.960 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@]12C[C@H]1CCC2 ZINC000596626461 349961041 /nfs/dbraw/zinc/96/10/41/349961041.db2.gz NTDHYQSKLCLKIV-YMTOWFKASA-N 0 0 291.307 2.668 20 5 CFBDRN Cc1ccc(NC(=O)N[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000596626273 349961060 /nfs/dbraw/zinc/96/10/60/349961060.db2.gz KOVFUDYFWIHZMY-QMTHXVAHSA-N 0 0 275.308 2.967 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H](C)OCC(C)C)cc1[N+](=O)[O-] ZINC000047382575 346450030 /nfs/dbraw/zinc/45/00/30/346450030.db2.gz MPUKLFDHVRWYSP-LLVKDONJSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1sc(C(=O)Nc2ccnn2C(C)C)cc1[N+](=O)[O-] ZINC000047435740 346451985 /nfs/dbraw/zinc/45/19/85/346451985.db2.gz NGGSALHJPVODEN-UHFFFAOYSA-N 0 0 294.336 2.994 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000596631920 349963041 /nfs/dbraw/zinc/96/30/41/349963041.db2.gz PUKQHJVFIKNVRH-WDEREUQCSA-N 0 0 278.308 2.657 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCOc2ccccc21 ZINC000048502056 346474441 /nfs/dbraw/zinc/47/44/41/346474441.db2.gz HVGSGCFRWAYDAR-UHFFFAOYSA-N 0 0 290.300 2.696 20 5 CFBDRN O=C(NCCNc1ccsc1[N+](=O)[O-])c1cccs1 ZINC000049057208 346479043 /nfs/dbraw/zinc/47/90/43/346479043.db2.gz PBTOXJAKBMSGNO-UHFFFAOYSA-N 0 0 297.361 2.560 20 5 CFBDRN CC(C)[C@H]1CCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000049099742 346479823 /nfs/dbraw/zinc/47/98/23/346479823.db2.gz VIGLIFWXOGVPMR-SECBINFHSA-N 0 0 280.353 2.929 20 5 CFBDRN CCCCCNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000049176954 346479846 /nfs/dbraw/zinc/47/98/46/346479846.db2.gz YRBMLVGXZGUVSL-UHFFFAOYSA-N 0 0 265.313 2.584 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@H]1c1cccc(F)c1 ZINC000052510622 346523762 /nfs/dbraw/zinc/52/37/62/346523762.db2.gz JOJYAIDXYWCODJ-GFCCVEGCSA-N 0 0 290.298 2.809 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+](CC(=O)[O-])CC(C)(C)C ZINC000596658166 349970387 /nfs/dbraw/zinc/97/03/87/349970387.db2.gz YILARGBVUQFNSP-UHFFFAOYSA-N 0 0 294.351 2.836 20 5 CFBDRN CCNC(=O)c1ccc(CNc2ccc([N+](=O)[O-])cc2)cc1 ZINC000052190492 346517337 /nfs/dbraw/zinc/51/73/37/346517337.db2.gz DZJOOJSXDSBHGS-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H](c2ncc[nH]2)C1 ZINC000075721882 347095317 /nfs/dbraw/zinc/09/53/17/347095317.db2.gz ZVJHBVHKWJCEEM-JTQLQIEISA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000075721907 347095463 /nfs/dbraw/zinc/09/54/63/347095463.db2.gz SSTTUVMVOXOVOU-LLVKDONJSA-N 0 0 272.308 2.702 20 5 CFBDRN COC(=O)Nc1ccc(Nc2ccc([N+](=O)[O-])cn2)cc1 ZINC000052428374 346520991 /nfs/dbraw/zinc/52/09/91/346520991.db2.gz MTEWJBWHKMPVQK-UHFFFAOYSA-N 0 0 288.263 2.912 20 5 CFBDRN COc1ccc(-c2cc([N+](=O)[O-])ccc2CCO)c(C)n1 ZINC000596647107 349966866 /nfs/dbraw/zinc/96/68/66/349966866.db2.gz ANQSJPDYBWRSMG-UHFFFAOYSA-N 0 0 288.303 2.509 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCC2)c1cc([N+](=O)[O-])c[nH]1 ZINC000051208520 346500752 /nfs/dbraw/zinc/50/07/52/346500752.db2.gz SEERAOFIXHNDIO-UHFFFAOYSA-N 0 0 271.276 2.664 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000053215886 346540849 /nfs/dbraw/zinc/54/08/49/346540849.db2.gz QSTRQPLKRZNJML-LLVKDONJSA-N 0 0 264.325 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCN(Cc3ccco3)CC2)cc1 ZINC000053215927 346541074 /nfs/dbraw/zinc/54/10/74/346541074.db2.gz HAWCBBYMTUVBOM-UHFFFAOYSA-N 0 0 287.319 2.510 20 5 CFBDRN CNC(=O)c1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000053548330 346549497 /nfs/dbraw/zinc/54/94/97/346549497.db2.gz ZZQOUBNAVSUKTM-UHFFFAOYSA-N 0 0 290.250 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)C1CC1 ZINC000085633678 179108647 /nfs/dbraw/zinc/10/86/47/179108647.db2.gz WHOPYTYSUOFWSQ-UHFFFAOYSA-N 0 0 263.297 2.838 20 5 CFBDRN CCOCCCCNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000055497457 346591004 /nfs/dbraw/zinc/59/10/04/346591004.db2.gz MLCRNDSYUJJNLZ-KTKRTIGZSA-N 0 0 292.335 2.541 20 5 CFBDRN O=C(CCc1ccccc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797285 346596827 /nfs/dbraw/zinc/59/68/27/346596827.db2.gz PJIJAEYTHBLLTJ-UHFFFAOYSA-N 0 0 284.315 2.844 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000056331582 346606751 /nfs/dbraw/zinc/60/67/51/346606751.db2.gz OSXQDFJSOBPKSE-VIFPVBQESA-N 0 0 290.279 2.774 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1cccs1 ZINC000056353572 346607631 /nfs/dbraw/zinc/60/76/31/346607631.db2.gz UQOTZMUWKSGTLM-SECBINFHSA-N 0 0 279.321 2.866 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000056400576 346608229 /nfs/dbraw/zinc/60/82/29/346608229.db2.gz KEJRGSLROJHHQL-UHFFFAOYSA-N 0 0 299.330 2.995 20 5 CFBDRN CC[C@@H](C)OCC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161957183 136551932 /nfs/dbraw/zinc/55/19/32/136551932.db2.gz KYQKKQRWRCYVDD-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)N1CCCC[C@@H]1C ZINC000059248241 346656142 /nfs/dbraw/zinc/65/61/42/346656142.db2.gz GEFXGZHSKBNNNC-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)C[C@@H](C)C2)c1 ZINC000060063687 346659464 /nfs/dbraw/zinc/65/94/64/346659464.db2.gz WAFJVGABULUWEN-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccccc2CO)c(F)c1 ZINC000060212994 346661283 /nfs/dbraw/zinc/66/12/83/346661283.db2.gz OCGRPVYIOKKMLJ-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN COc1ccc([C@@H]2CCN(c3ncccc3[N+](=O)[O-])C2)cc1 ZINC000060338979 346662370 /nfs/dbraw/zinc/66/23/70/346662370.db2.gz GSYBOHWKEKAVMN-CYBMUJFWSA-N 0 0 299.330 2.992 20 5 CFBDRN COc1ccc([C@H]2CCN(c3ccc([N+](=O)[O-])cn3)C2)cc1 ZINC000060338978 346662569 /nfs/dbraw/zinc/66/25/69/346662569.db2.gz YOLHOCRMEJAHMP-ZDUSSCGKSA-N 0 0 299.330 2.992 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@H](Nc2ccccc2)C1 ZINC000060369854 346663751 /nfs/dbraw/zinc/66/37/51/346663751.db2.gz XOLBSAUJEXVKMH-ZDUSSCGKSA-N 0 0 284.319 2.681 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1ccc(Cl)cc1 ZINC000060801644 346671385 /nfs/dbraw/zinc/67/13/85/346671385.db2.gz RLCPZIAYUHUHRA-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000057304471 346625024 /nfs/dbraw/zinc/62/50/24/346625024.db2.gz FAPXWZHRGMUEJY-GHMZBOCLSA-N 0 0 280.324 2.524 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000057965963 346640832 /nfs/dbraw/zinc/64/08/32/346640832.db2.gz XXPOQVSOYIJUFC-GFCCVEGCSA-N 0 0 279.292 2.629 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000063814304 346711378 /nfs/dbraw/zinc/71/13/78/346711378.db2.gz UEUNUHVWFLLANE-AWEZNQCLSA-N 0 0 292.335 2.621 20 5 CFBDRN CC(C)OCc1cccc(Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000063859306 346712739 /nfs/dbraw/zinc/71/27/39/346712739.db2.gz OJDGCLGOFPVQDW-UHFFFAOYSA-N 0 0 290.323 2.997 20 5 CFBDRN C[C@H](COCC(F)(F)F)Nc1ccccc1[N+](=O)[O-] ZINC000064114623 346714862 /nfs/dbraw/zinc/71/48/62/346714862.db2.gz TVEBCGWAPUWINS-MRVPVSSYSA-N 0 0 278.230 2.974 20 5 CFBDRN CCCCc1cc(NC(=O)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000064078444 346715021 /nfs/dbraw/zinc/71/50/21/346715021.db2.gz IJBVAYDFFFMAJL-UHFFFAOYSA-N 0 0 288.307 2.913 20 5 CFBDRN CCc1nocc1COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000563439073 290151629 /nfs/dbraw/zinc/15/16/29/290151629.db2.gz KAIGNBAINSIOEN-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nc2ccccc2s1 ZINC000064331938 346717868 /nfs/dbraw/zinc/71/78/68/346717868.db2.gz MYCCMARNZVKVKA-UHFFFAOYSA-N 0 0 274.305 2.758 20 5 CFBDRN CNc1ccc(C(=O)N2CCCC2(C)C)cc1[N+](=O)[O-] ZINC000063063597 346695349 /nfs/dbraw/zinc/69/53/49/346695349.db2.gz NVPKKIIIEONVDZ-UHFFFAOYSA-N 0 0 277.324 2.651 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000063448436 346700854 /nfs/dbraw/zinc/70/08/54/346700854.db2.gz KUHNPRFBVLKFNH-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN Cc1ccc(C[C@H](C)Nc2c([N+](=O)[O-])ncn2C)c(C)c1 ZINC000066468145 346762805 /nfs/dbraw/zinc/76/28/05/346762805.db2.gz UJPDKTZYZLVPGT-LBPRGKRZSA-N 0 0 288.351 2.988 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc(N2CCCC2)nc1 ZINC000066797641 346779984 /nfs/dbraw/zinc/77/99/84/346779984.db2.gz CUTGXACAHFXEIS-UHFFFAOYSA-N 0 0 285.307 2.729 20 5 CFBDRN COc1cc(N2CCO[C@@H](C(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000279055529 136646371 /nfs/dbraw/zinc/64/63/71/136646371.db2.gz AKCZLGABVVOPHG-CQSZACIVSA-N 0 0 294.351 2.855 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(F)cc1F ZINC000067072117 346790583 /nfs/dbraw/zinc/79/05/83/346790583.db2.gz LUXPRTDNNMIVBB-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccccn1 ZINC000067207610 346795050 /nfs/dbraw/zinc/79/50/50/346795050.db2.gz WLARNAOHBCDOSB-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1C[C@H]1c1ccccc1 ZINC000067503273 346805788 /nfs/dbraw/zinc/80/57/88/346805788.db2.gz OVUWCOMXACHCLI-GJZGRUSLSA-N 0 0 296.326 2.810 20 5 CFBDRN CC(=O)Nc1cccc(CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000076748690 347151297 /nfs/dbraw/zinc/15/12/97/347151297.db2.gz CVMLZPLSFGRGHO-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOc2ccccc2C1 ZINC000076874421 347158693 /nfs/dbraw/zinc/15/86/93/347158693.db2.gz IFJVLLJZZDUCLL-UHFFFAOYSA-N 0 0 270.288 2.994 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077619509 347203605 /nfs/dbraw/zinc/20/36/05/347203605.db2.gz PEJNTRNFOWMWFC-UHFFFAOYSA-N 0 0 294.204 2.758 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H](CCO)c1ccccc1 ZINC000077231587 347178619 /nfs/dbraw/zinc/17/86/19/347178619.db2.gz QGQZOHMYZNGVCR-CYBMUJFWSA-N 0 0 287.319 2.568 20 5 CFBDRN COC(C)(C)C[C@@H](C)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000078401934 347250302 /nfs/dbraw/zinc/25/03/02/347250302.db2.gz SMJNEGVNIBMCOH-MRVPVSSYSA-N 0 0 298.368 2.920 20 5 CFBDRN CCNC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 ZINC000078238332 347233635 /nfs/dbraw/zinc/23/36/35/347233635.db2.gz BEMMWOYJMYMQRH-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN COc1cc(N[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000078302943 347239526 /nfs/dbraw/zinc/23/95/26/347239526.db2.gz FWORMPPGIJISGN-HONMWMINSA-N 0 0 292.335 2.829 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)c2cnn(C)c2)c([N+](=O)[O-])c1 ZINC000078306920 347240045 /nfs/dbraw/zinc/24/00/45/347240045.db2.gz LJMQLGHFBKGKFO-SECBINFHSA-N 0 0 288.307 2.704 20 5 CFBDRN COC[C@@H](Nc1ccc(C(C)=O)cc1[N+](=O)[O-])C(C)C ZINC000078358942 347245830 /nfs/dbraw/zinc/24/58/30/347245830.db2.gz YOESCRUNHVKYEW-CYBMUJFWSA-N 0 0 280.324 2.880 20 5 CFBDRN C[C@@H](CNc1c([N+](=O)[O-])ncn1C)Cc1cccs1 ZINC000078377679 347248482 /nfs/dbraw/zinc/24/84/82/347248482.db2.gz CFSSATXRDWGRDE-SECBINFHSA-N 0 0 280.353 2.681 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000079322689 347298441 /nfs/dbraw/zinc/29/84/41/347298441.db2.gz RVGOUFXQAOPZQB-VXGBXAGGSA-N 0 0 291.351 2.559 20 5 CFBDRN CCC[C@H]1C[C@H]1C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000079320581 347298464 /nfs/dbraw/zinc/29/84/64/347298464.db2.gz VGGCSUAHJOAXOG-SMDDNHRTSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1cnc(Nc2ccc3c(c2)OCCO3)c([N+](=O)[O-])c1 ZINC000078624167 347265448 /nfs/dbraw/zinc/26/54/48/347265448.db2.gz CMPBURYMEHHHFI-UHFFFAOYSA-N 0 0 287.275 2.813 20 5 CFBDRN Cc1cnc(Sc2nnc(C(C)C)n2C)c([N+](=O)[O-])c1 ZINC000078657589 347268232 /nfs/dbraw/zinc/26/82/32/347268232.db2.gz HRULZNHYEUYIII-UHFFFAOYSA-N 0 0 293.352 2.701 20 5 CFBDRN Cc1cnc(NC[C@](C)(O)c2ccsc2)c([N+](=O)[O-])c1 ZINC000078667279 347268984 /nfs/dbraw/zinc/26/89/84/347268984.db2.gz JOWGHKBPZLTZTL-ZDUSSCGKSA-N 0 0 293.348 2.679 20 5 CFBDRN Cc1cnc(N2CCS[C@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000078665148 347268993 /nfs/dbraw/zinc/26/89/93/347268993.db2.gz FSBCKQHTFHYHLN-VHSXEESVSA-N 0 0 267.354 2.628 20 5 CFBDRN Cc1cc(N(C)Cc2nnc3ccccn32)ccc1[N+](=O)[O-] ZINC000078679983 347270549 /nfs/dbraw/zinc/27/05/49/347270549.db2.gz OSBYANUFMFSMDP-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000078977996 347283180 /nfs/dbraw/zinc/28/31/80/347283180.db2.gz CBLNHIODTJIMAC-VIFPVBQESA-N 0 0 298.302 2.938 20 5 CFBDRN Cc1ccn2cc(CNc3ccc([N+](=O)[O-])nc3)nc2c1 ZINC000079860969 347329000 /nfs/dbraw/zinc/32/90/00/347329000.db2.gz XJSWXYNZYIKMOM-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC1CC(NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000080039172 347338370 /nfs/dbraw/zinc/33/83/70/347338370.db2.gz UUBVQCQCQDZMAC-AATRIKPKSA-N 0 0 260.293 2.523 20 5 CFBDRN CC1CC(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000080055426 347341523 /nfs/dbraw/zinc/34/15/23/347341523.db2.gz GLPBIMMENXDCCS-UHFFFAOYSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@H]1C[C@H]1c1ccc(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)o1 ZINC000079409359 347302206 /nfs/dbraw/zinc/30/22/06/347302206.db2.gz VSQSGHZWNDQTFW-GZMMTYOYSA-N 0 0 289.291 2.569 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C(F)(F)F ZINC000079450325 347304942 /nfs/dbraw/zinc/30/49/42/347304942.db2.gz BBJMWNRTEGOZNJ-SSDOTTSWSA-N 0 0 288.225 2.682 20 5 CFBDRN C[C@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])C(F)(F)F ZINC000080392115 347356883 /nfs/dbraw/zinc/35/68/83/347356883.db2.gz ZEEPVYCIFYEVHW-SSDOTTSWSA-N 0 0 288.225 2.682 20 5 CFBDRN CC(C)CCN(C)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000079499373 347307424 /nfs/dbraw/zinc/30/74/24/347307424.db2.gz HTQRVIYVNVFGCA-UHFFFAOYSA-N 0 0 293.367 2.536 20 5 CFBDRN CCOc1cc(NC(=O)N2CC=CCC2)ccc1[N+](=O)[O-] ZINC000823366379 781927090 /nfs/dbraw/zinc/92/70/90/781927090.db2.gz USMLTLWNUDALLF-UHFFFAOYSA-N 0 0 291.307 2.787 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)Cc2ccncc2)c1 ZINC000080543876 347365163 /nfs/dbraw/zinc/36/51/63/347365163.db2.gz GUKPKWXWVQJNCE-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN CCN1CCN(c2cc(C)c([N+](=O)[O-])cc2Cl)CC1 ZINC000080554437 347365492 /nfs/dbraw/zinc/36/54/92/347365492.db2.gz PGMWRJLUNAVIHV-UHFFFAOYSA-N 0 0 283.759 2.699 20 5 CFBDRN Cc1cc(Nc2ccc3c(c2)CC(=O)N3)ncc1[N+](=O)[O-] ZINC000080605469 347368829 /nfs/dbraw/zinc/36/88/29/347368829.db2.gz IMBVLUJJMVWMSY-UHFFFAOYSA-N 0 0 284.275 2.536 20 5 CFBDRN Cc1cc(NCC2(CCO)CCCC2)ncc1[N+](=O)[O-] ZINC000080606088 347369704 /nfs/dbraw/zinc/36/97/04/347369704.db2.gz XNZPAURULYWWKT-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN Cc1ccc(NC[C@@](C)(O)c2ccco2)c([N+](=O)[O-])c1 ZINC000080649780 347371870 /nfs/dbraw/zinc/37/18/70/347371870.db2.gz NJBJMOPZCFMDHO-CQSZACIVSA-N 0 0 276.292 2.816 20 5 CFBDRN O=C(CCC(F)(F)F)NCCc1ccccc1[N+](=O)[O-] ZINC000080659598 347373296 /nfs/dbraw/zinc/37/32/96/347373296.db2.gz KMFVMXXJQVXPHY-UHFFFAOYSA-N 0 0 290.241 2.596 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)Cc2nccs2)c1 ZINC000080669383 347373349 /nfs/dbraw/zinc/37/33/49/347373349.db2.gz IGRSYJJZSPVCAW-UHFFFAOYSA-N 0 0 297.311 2.835 20 5 CFBDRN CC(C)(C)N1C[C@@H](Nc2cccc(F)c2[N+](=O)[O-])CC1=O ZINC000080674607 347373498 /nfs/dbraw/zinc/37/34/98/347373498.db2.gz RDYZZGLKQLMJAN-VIFPVBQESA-N 0 0 295.314 2.545 20 5 CFBDRN CC(C)c1nncn1CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000080759281 347378783 /nfs/dbraw/zinc/37/87/83/347378783.db2.gz PQFZPTSZPRXBQZ-UHFFFAOYSA-N 0 0 293.302 2.561 20 5 CFBDRN C[C@H]1CCCCN1C(=O)COc1ccc(F)cc1[N+](=O)[O-] ZINC000102600109 185782331 /nfs/dbraw/zinc/78/23/31/185782331.db2.gz DKEVFIWQGDPUCW-JTQLQIEISA-N 0 0 296.298 2.514 20 5 CFBDRN CCCc1noc(COc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000102599679 185782623 /nfs/dbraw/zinc/78/26/23/185782623.db2.gz YMXIFAAUJQCBQW-UHFFFAOYSA-N 0 0 281.243 2.648 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@H](C)S1 ZINC000080961330 347389817 /nfs/dbraw/zinc/38/98/17/347389817.db2.gz AONAFAPSZCLMKH-OCAPTIKFSA-N 0 0 286.378 2.622 20 5 CFBDRN CC1(C)C[C@@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000081589732 347428214 /nfs/dbraw/zinc/42/82/14/347428214.db2.gz LLYZMUKFWPNZTO-NSHDSACASA-N 0 0 258.281 2.748 20 5 CFBDRN Cc1noc(C)c1N(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000081602755 347428898 /nfs/dbraw/zinc/42/88/98/347428898.db2.gz WKXVFOURPKGEQK-UHFFFAOYSA-N 0 0 279.271 2.975 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H](C)n1cccn1 ZINC000086168104 347483954 /nfs/dbraw/zinc/48/39/54/347483954.db2.gz MZFSFLZJKHXVNE-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN Cc1cc(NC[C@H]2CCCC(F)(F)C2)ncc1[N+](=O)[O-] ZINC000409642228 232694402 /nfs/dbraw/zinc/69/44/02/232694402.db2.gz RVWNFDXVXMTOHC-JTQLQIEISA-N 0 0 285.294 2.958 20 5 CFBDRN CC(C)CN(C)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087083203 347492258 /nfs/dbraw/zinc/49/22/58/347492258.db2.gz QEDBRYJBOSLUKX-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN Nc1ccc(C(=O)N2CCC[C@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000102875031 185794964 /nfs/dbraw/zinc/79/49/64/185794964.db2.gz ZLNIJLUZBURUKH-ZDUSSCGKSA-N 0 0 289.335 2.582 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1cc(C)ccc1[N+](=O)[O-] ZINC000088702911 347507339 /nfs/dbraw/zinc/50/73/39/347507339.db2.gz ZNQVKAZRVKAATR-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN CCn1c(C)nnc1Sc1cc(C)ccc1[N+](=O)[O-] ZINC000088718195 347508037 /nfs/dbraw/zinc/50/80/37/347508037.db2.gz WJKVURUURZJPAK-UHFFFAOYSA-N 0 0 278.337 2.974 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOC[C@H]2CCOC2)c1 ZINC000088725206 347508552 /nfs/dbraw/zinc/50/85/52/347508552.db2.gz SVCMMAGWHXPERX-CYBMUJFWSA-N 0 0 294.351 2.758 20 5 CFBDRN CN(CCOCC1CC1)c1ccc([N+](=O)[O-])s1 ZINC000088761797 347509795 /nfs/dbraw/zinc/50/97/95/347509795.db2.gz UMEROVGKKVGVHE-UHFFFAOYSA-N 0 0 256.327 2.519 20 5 CFBDRN C[C@H](CCCO)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000088758190 347509909 /nfs/dbraw/zinc/50/99/09/347509909.db2.gz HYSJYQSYIMXKQT-MRVPVSSYSA-N 0 0 290.266 2.769 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000270796630 190818764 /nfs/dbraw/zinc/81/87/64/190818764.db2.gz MUQROGMXUWOVTH-MRVPVSSYSA-N 0 0 268.700 2.730 20 5 CFBDRN C[C@@H](O)CCNc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090093046 347541624 /nfs/dbraw/zinc/54/16/24/347541624.db2.gz BUCDKNBQTGLUQM-LLVKDONJSA-N 0 0 287.319 2.840 20 5 CFBDRN C[C@H]([N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-])C1(C)CC1 ZINC000091900222 347602179 /nfs/dbraw/zinc/60/21/79/347602179.db2.gz HCTQQNUZJUWRSC-JTQLQIEISA-N 0 0 264.325 2.921 20 5 CFBDRN CC(C)Oc1cc(NCc2cccc([N+](=O)[O-])c2)ncn1 ZINC000090793845 347557602 /nfs/dbraw/zinc/55/76/02/347557602.db2.gz JMIXIZVHPJEHAV-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN Cc1cc(CN(C)c2ccc([N+](=O)[O-])cc2C)no1 ZINC000091429094 347585735 /nfs/dbraw/zinc/58/57/35/347585735.db2.gz HUFFCFXYRNIBTC-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])cc2)cc1O ZINC000091506037 347591149 /nfs/dbraw/zinc/59/11/49/347591149.db2.gz BOTOABTZDZZONV-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCO[C@H]1C ZINC000092309093 347618283 /nfs/dbraw/zinc/61/82/83/347618283.db2.gz XQLNUEUEHZTWHA-QWRGUYRKSA-N 0 0 278.308 2.575 20 5 CFBDRN CCN(CC(F)F)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000273308431 192107621 /nfs/dbraw/zinc/10/76/21/192107621.db2.gz MZOJVSGMSNUQPB-UHFFFAOYSA-N 0 0 275.211 2.594 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cn2ccsc2n1 ZINC000092583719 347632883 /nfs/dbraw/zinc/63/28/83/347632883.db2.gz JLSBYBYYESGFDC-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN CCOc1cc(N2CCC[C@H]2COC)ccc1[N+](=O)[O-] ZINC000092665604 347638265 /nfs/dbraw/zinc/63/82/65/347638265.db2.gz TYUBYKOEQMCQND-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2ccc([N+](=O)[O-])c(C)c2)n[nH]1 ZINC000092685768 347640507 /nfs/dbraw/zinc/64/05/07/347640507.db2.gz TZCUQOBWRHWLPX-JTQLQIEISA-N 0 0 274.324 2.978 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1S[C@H]1CCO[C@@H]1C ZINC000092688262 347641051 /nfs/dbraw/zinc/64/10/51/347641051.db2.gz BBLNKPMCBWOIJI-SCZZXKLOSA-N 0 0 254.311 2.568 20 5 CFBDRN Cc1ocnc1CNc1cccc(F)c1[N+](=O)[O-] ZINC000092689798 347641452 /nfs/dbraw/zinc/64/14/52/347641452.db2.gz QFJFJGCWTLBHJL-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN C[C@]1(Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)CCOC1 ZINC000092688756 347641473 /nfs/dbraw/zinc/64/14/73/347641473.db2.gz RUULMMGMOOJGAC-LBPRGKRZSA-N 0 0 288.250 2.787 20 5 CFBDRN Cc1ocnc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000092692287 347641733 /nfs/dbraw/zinc/64/17/33/347641733.db2.gz KACGEBPUZUJRJB-UHFFFAOYSA-N 0 0 269.207 2.782 20 5 CFBDRN C[C@H]1C[N@@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CC[C@@H]1C ZINC000093480766 347674968 /nfs/dbraw/zinc/67/49/68/347674968.db2.gz LPKMGJAQMIWKDS-QWRGUYRKSA-N 0 0 264.325 2.778 20 5 CFBDRN CCc1ccc(OCC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000115200419 347777521 /nfs/dbraw/zinc/77/75/21/347777521.db2.gz SPMDBXFBRAJTQR-UHFFFAOYSA-N 0 0 281.308 2.878 20 5 CFBDRN CCc1noc(CSCc2ccccc2[N+](=O)[O-])n1 ZINC000105630320 347729557 /nfs/dbraw/zinc/72/95/57/347729557.db2.gz XEKZMMIGCCRQNJ-UHFFFAOYSA-N 0 0 279.321 2.974 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000125824275 347829858 /nfs/dbraw/zinc/82/98/58/347829858.db2.gz DKEYJPQWONPQJV-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN CCOC(=O)CC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000118343109 347797038 /nfs/dbraw/zinc/79/70/38/347797038.db2.gz SLSSGSRZWDCPLJ-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN CCCCOCCSc1ncccc1[N+](=O)[O-] ZINC000120427416 347807205 /nfs/dbraw/zinc/80/72/05/347807205.db2.gz AFYUUYVCTJGYSC-UHFFFAOYSA-N 0 0 256.327 2.899 20 5 CFBDRN Cc1cncc(C[NH2+]Cc2ccc([N+](=O)[O-])cc2)c1 ZINC000123224167 347818949 /nfs/dbraw/zinc/81/89/49/347818949.db2.gz XTMBYPVUISBIFN-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000127267190 347840363 /nfs/dbraw/zinc/84/03/63/347840363.db2.gz VPDGGMVAVMFRTL-WCQYABFASA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1cccc(C(=O)NCCCC2CC2)c1[N+](=O)[O-] ZINC000128120208 347848313 /nfs/dbraw/zinc/84/83/13/347848313.db2.gz TZHIRYVKSRTHME-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN O=C(NCCc1ccco1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000128354710 347850471 /nfs/dbraw/zinc/85/04/71/347850471.db2.gz VBXXNJVPRSALQH-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN COc1ccc(NC(=O)CCCSC)c([N+](=O)[O-])c1 ZINC000128392790 347851165 /nfs/dbraw/zinc/85/11/65/347851165.db2.gz ARZDSYSQIDZQHI-UHFFFAOYSA-N 0 0 284.337 2.685 20 5 CFBDRN CC(C)(CO)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000128905758 347855024 /nfs/dbraw/zinc/85/50/24/347855024.db2.gz WDTOETARSTYGTM-UHFFFAOYSA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1cccc(C(=O)N(C)Cc2ccccn2)c1[N+](=O)[O-] ZINC000130013368 347866682 /nfs/dbraw/zinc/86/66/82/347866682.db2.gz QJSIVQBXYRTUAN-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CC(C)c1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000130497545 347871755 /nfs/dbraw/zinc/87/17/55/347871755.db2.gz SRIYBTNPTIDYPA-UHFFFAOYSA-N 0 0 251.217 2.907 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCOC(C)C ZINC000086613601 179310814 /nfs/dbraw/zinc/31/08/14/179310814.db2.gz XEMYJEBXWPSWFW-UHFFFAOYSA-N 0 0 280.324 2.965 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000129301007 347860268 /nfs/dbraw/zinc/86/02/68/347860268.db2.gz JLERNWUSTQUOAU-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H]1OCC[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000134266945 347896022 /nfs/dbraw/zinc/89/60/22/347896022.db2.gz LGOSNMFYIJEHBA-KOLCDFICSA-N 0 0 273.292 2.732 20 5 CFBDRN CN(C(=O)Cc1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000136338092 347910042 /nfs/dbraw/zinc/91/00/42/347910042.db2.gz KULAKWWVUBAGGN-UHFFFAOYSA-N 0 0 270.288 2.800 20 5 CFBDRN O=[N+]([O-])c1ccn(-c2ncc(C(F)(F)F)cc2Cl)n1 ZINC000138940750 347918058 /nfs/dbraw/zinc/91/80/58/347918058.db2.gz QZTGZRRQKJYKOD-UHFFFAOYSA-N 0 0 292.604 2.848 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000153243374 347972869 /nfs/dbraw/zinc/97/28/69/347972869.db2.gz YHSULLXOFLGFEH-FZMZJTMJSA-N 0 0 276.336 2.690 20 5 CFBDRN COC(=O)c1cc(COc2ccccc2[N+](=O)[O-])c(C)o1 ZINC000144748811 347941745 /nfs/dbraw/zinc/94/17/45/347941745.db2.gz CVSHDMOJFMKDPN-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H](C)C2)c1 ZINC000146665139 347945884 /nfs/dbraw/zinc/94/58/84/347945884.db2.gz DDKRBVIBOKCDNF-WDEREUQCSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](Nc1c(F)cccc1[N+](=O)[O-])c1nccn1C ZINC000156428693 347994462 /nfs/dbraw/zinc/99/44/62/347994462.db2.gz URESIBAJQJINPX-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161900099 348027183 /nfs/dbraw/zinc/02/71/83/348027183.db2.gz QMXTWQINOWLXAP-GXSJLCMTSA-N 0 0 260.293 2.530 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC2CC=CC2)c1 ZINC000154147081 347978175 /nfs/dbraw/zinc/97/81/75/347978175.db2.gz VPNMRLZVDUGEPV-UHFFFAOYSA-N 0 0 278.333 2.765 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cccnc1C ZINC000170987626 348066630 /nfs/dbraw/zinc/06/66/30/348066630.db2.gz HKDZGZGTZKRZDU-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CNc1c(C(=O)N[C@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000163206476 348032820 /nfs/dbraw/zinc/03/28/20/348032820.db2.gz NJOLCYWYRDMCIL-SECBINFHSA-N 0 0 277.324 2.555 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1CSCCO ZINC000164844589 348037673 /nfs/dbraw/zinc/03/76/73/348037673.db2.gz QCQXYPKTNFSQJD-UHFFFAOYSA-N 0 0 292.154 2.583 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@@H]1C ZINC000172645985 348100802 /nfs/dbraw/zinc/10/08/02/348100802.db2.gz WABOGMKZWFUBSP-RKDXNWHRSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1cnc(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)s1 ZINC000172709138 348101901 /nfs/dbraw/zinc/10/19/01/348101901.db2.gz HGADTXXPXVQPSC-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=C(CCc1ccccn1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172423245 348093714 /nfs/dbraw/zinc/09/37/14/348093714.db2.gz HVSUXRXVTULEPE-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H](C)C(C)C)c1[N+](=O)[O-] ZINC000172452097 348095165 /nfs/dbraw/zinc/09/51/65/348095165.db2.gz MCNYQNZYTXUNPL-LLVKDONJSA-N 0 0 264.325 2.925 20 5 CFBDRN O=C(Nc1ccsc1)c1csc([N+](=O)[O-])c1 ZINC000172499280 348097422 /nfs/dbraw/zinc/09/74/22/348097422.db2.gz YPOJFFNFJBHPKL-UHFFFAOYSA-N 0 0 254.292 2.970 20 5 CFBDRN Cc1c(NC(=O)/C=C\c2cccnc2)cccc1[N+](=O)[O-] ZINC000215888805 348163163 /nfs/dbraw/zinc/16/31/63/348163163.db2.gz ZZVGJIHXLDNTQF-FPLPWBNLSA-N 0 0 283.287 2.950 20 5 CFBDRN COc1ccc(NCCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000234980955 348223739 /nfs/dbraw/zinc/22/37/39/348223739.db2.gz XNRVDBDGNQLOGB-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2c([N+](=O)[O-])ncn2C)CC1 ZINC000227539767 348187566 /nfs/dbraw/zinc/18/75/66/348187566.db2.gz LKBHNOFQFZKMNT-NXEZZACHSA-N 0 0 252.318 2.709 20 5 CFBDRN CC(=O)c1cc(N2CCC=C(C)C2)ccc1[N+](=O)[O-] ZINC000230813805 348207003 /nfs/dbraw/zinc/20/70/03/348207003.db2.gz XGGFZUDOQUBNPM-UHFFFAOYSA-N 0 0 260.293 2.954 20 5 CFBDRN Cc1cc(NCC(F)(F)C(F)F)ncc1[N+](=O)[O-] ZINC000230713135 348207203 /nfs/dbraw/zinc/20/72/03/348207203.db2.gz TVFAFYYVWZADQI-UHFFFAOYSA-N 0 0 267.182 2.611 20 5 CFBDRN CC[C@](C)(O)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000087029673 179382429 /nfs/dbraw/zinc/38/24/29/179382429.db2.gz SYDIPIPLFCNPOV-NSHDSACASA-N 0 0 258.705 2.821 20 5 CFBDRN O=C(C=C1CCC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000087060610 179389536 /nfs/dbraw/zinc/38/95/36/179389536.db2.gz YULCYAJHXYPYNC-UHFFFAOYSA-N 0 0 250.229 2.783 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCOCC12CCC2 ZINC000255542048 348304084 /nfs/dbraw/zinc/30/40/84/348304084.db2.gz XHNQJHGZOYVRIR-UTCJRWHESA-N 0 0 288.347 2.863 20 5 CFBDRN Cc1cc(NCCc2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000266234534 348323842 /nfs/dbraw/zinc/32/38/42/348323842.db2.gz KEMIESIWGGBTJB-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC[C@H]1CCCO1 ZINC000306107385 348383592 /nfs/dbraw/zinc/38/35/92/348383592.db2.gz TVTKRLIANNZKBY-MRVPVSSYSA-N 0 0 271.704 2.624 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@H]1CCC[C@H](O)C1 ZINC000291819928 348355143 /nfs/dbraw/zinc/35/51/43/348355143.db2.gz ZFRQLFUBPVDIGI-UWVGGRQHSA-N 0 0 268.338 2.633 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@@H]1CCC[C@H](O)C1 ZINC000291819932 348355207 /nfs/dbraw/zinc/35/52/07/348355207.db2.gz ZFRQLFUBPVDIGI-ZJUUUORDSA-N 0 0 268.338 2.633 20 5 CFBDRN CC[C@H](CCO)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000128888972 187396557 /nfs/dbraw/zinc/39/65/57/187396557.db2.gz LNASOKNBBVNMLC-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCS[C@@H]2C)c1[N+](=O)[O-] ZINC000310453041 348409731 /nfs/dbraw/zinc/40/97/31/348409731.db2.gz CBHQWHLKADOEGQ-RKDXNWHRSA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCS[C@H]1C ZINC000310526083 348409816 /nfs/dbraw/zinc/40/98/16/348409816.db2.gz XXMDOBPKGLNKIM-WCBMZHEXSA-N 0 0 253.327 2.604 20 5 CFBDRN CO[C@@H](C)CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000310853170 348410804 /nfs/dbraw/zinc/41/08/04/348410804.db2.gz MHFIHGDMYAUSAW-ZETCQYMHSA-N 0 0 260.240 2.979 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])cc1C ZINC000312498986 348419004 /nfs/dbraw/zinc/41/90/04/348419004.db2.gz QIFNBUHRHUVXMK-MNOVXSKESA-N 0 0 280.324 2.891 20 5 CFBDRN CC(C)(C)OCCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000308528648 348404154 /nfs/dbraw/zinc/40/41/54/348404154.db2.gz LHYHYLFLEUYVLG-UHFFFAOYSA-N 0 0 296.352 2.827 20 5 CFBDRN COC[C@@H](C)CNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413088229 233016640 /nfs/dbraw/zinc/01/66/40/233016640.db2.gz WVLJHLUFCDMDHD-ZETCQYMHSA-N 0 0 293.245 2.703 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C(C)C)C1CC1 ZINC000324289635 348438754 /nfs/dbraw/zinc/43/87/54/348438754.db2.gz RRJBRUQUSMGPDN-NSHDSACASA-N 0 0 266.345 2.966 20 5 CFBDRN CN(CC(F)(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273380192 192132880 /nfs/dbraw/zinc/13/28/80/192132880.db2.gz ICFUCAZBEBFKAL-UHFFFAOYSA-N 0 0 295.192 2.760 20 5 CFBDRN CNC(=O)c1ccc(N[C@H](C(C)C)C2CC2)c([N+](=O)[O-])c1 ZINC000324320184 348439893 /nfs/dbraw/zinc/43/98/93/348439893.db2.gz YCMJNJMUCCHQCG-CQSZACIVSA-N 0 0 291.351 2.801 20 5 CFBDRN Nc1ccc(N[C@@H]2CCc3ccccc3C2)nc1[N+](=O)[O-] ZINC000313841814 348423818 /nfs/dbraw/zinc/42/38/18/348423818.db2.gz FZAQQVDDPJJNMN-GFCCVEGCSA-N 0 0 284.319 2.541 20 5 CFBDRN COc1cc(NCC2(CO)CCCC2)c([N+](=O)[O-])cc1C ZINC000314441297 348425762 /nfs/dbraw/zinc/42/57/62/348425762.db2.gz KMEKQCXQKGFPSO-UHFFFAOYSA-N 0 0 294.351 2.876 20 5 CFBDRN CC1(C)OCC[C@@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000328256745 348440502 /nfs/dbraw/zinc/44/05/02/348440502.db2.gz QJBZHPGZGPECAG-LBPRGKRZSA-N 0 0 288.307 2.517 20 5 CFBDRN CC1(C)C[C@H](c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)CCO1 ZINC000351195522 348487636 /nfs/dbraw/zinc/48/76/36/348487636.db2.gz UGFUCBMQYIVJKO-MRVPVSSYSA-N 0 0 292.295 2.646 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000596846741 349996629 /nfs/dbraw/zinc/99/66/29/349996629.db2.gz SOOJXNZQPMVCDH-FTYKPCCVSA-N 0 0 274.320 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C/[C@@H]3CCCO3)n2)s1 ZINC000351322295 348492656 /nfs/dbraw/zinc/49/26/56/348492656.db2.gz LSFBDQWWQBJFDO-WGAJWPLOSA-N 0 0 293.304 2.899 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000596868849 349999547 /nfs/dbraw/zinc/99/95/47/349999547.db2.gz NMFTUQBMXNCXGK-BNOWGMLFSA-N 0 0 274.320 2.647 20 5 CFBDRN COCCSc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413161706 233026400 /nfs/dbraw/zinc/02/64/00/233026400.db2.gz BYFFIESEDMQHIT-UHFFFAOYSA-N 0 0 282.243 2.747 20 5 CFBDRN CC(C)OCCCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000401161373 348577318 /nfs/dbraw/zinc/57/73/18/348577318.db2.gz LIUQPRGVYPPPQI-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3cnccc23)CO1 ZINC000402223695 348580950 /nfs/dbraw/zinc/58/09/50/348580950.db2.gz RDZYGYYOVRDEAU-VHSXEESVSA-N 0 0 273.292 2.732 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000403331098 348584398 /nfs/dbraw/zinc/58/43/98/348584398.db2.gz CMKUWLPVTGPUMZ-FXPVBKGRSA-N 0 0 278.283 2.652 20 5 CFBDRN C[C@H](F)CCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413297472 233048691 /nfs/dbraw/zinc/04/86/91/233048691.db2.gz LOCFSJFCIUIPHU-VIFPVBQESA-N 0 0 263.272 2.725 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCSC2)c(F)c1 ZINC000413303380 233049639 /nfs/dbraw/zinc/04/96/39/233049639.db2.gz QACNUIHJTOVSMQ-QMMMGPOBSA-N 0 0 271.317 2.684 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)O1 ZINC000413372851 233061920 /nfs/dbraw/zinc/06/19/20/233061920.db2.gz GEOLYPAZNAKANO-ZJUUUORDSA-N 0 0 277.324 2.542 20 5 CFBDRN CCc1cc(N)nc(SCc2ccccc2[N+](=O)[O-])n1 ZINC000584700478 348706219 /nfs/dbraw/zinc/70/62/19/348706219.db2.gz VKOBAHXYUSSLDX-UHFFFAOYSA-N 0 0 290.348 2.652 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@H]2c2ccccc2F)c1[N+](=O)[O-] ZINC000413410251 233068762 /nfs/dbraw/zinc/06/87/62/233068762.db2.gz PJRUKLOQQIQRBR-CMPLNLGQSA-N 0 0 290.298 2.744 20 5 CFBDRN C[C@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)c1ccsc1 ZINC000588043188 348768734 /nfs/dbraw/zinc/76/87/34/348768734.db2.gz VRRXVUCPQQOCMJ-QMMMGPOBSA-N 0 0 293.348 2.904 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC(C2CCOCC2)C1 ZINC000588042341 348768852 /nfs/dbraw/zinc/76/88/52/348768852.db2.gz MLRZBWRJBGNGOE-UHFFFAOYSA-N 0 0 280.299 2.597 20 5 CFBDRN COc1cc(N2CCC[C@H]3C[C@H]32)c([N+](=O)[O-])cc1F ZINC000588065832 348769469 /nfs/dbraw/zinc/76/94/69/348769469.db2.gz DFOMPZFVJMDGRO-WCBMZHEXSA-N 0 0 266.272 2.731 20 5 CFBDRN COC1CCC(Nc2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000413417075 233069814 /nfs/dbraw/zinc/06/98/14/233069814.db2.gz KEWNXYMQQUUKNX-UHFFFAOYSA-N 0 0 279.340 2.976 20 5 CFBDRN O=C(N[C@H]1CCCC1(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000588179910 348778127 /nfs/dbraw/zinc/77/81/27/348778127.db2.gz CLYCLYHKFJYUNG-JTQLQIEISA-N 0 0 288.225 2.652 20 5 CFBDRN O=C(N[C@@H]1CCCC1(F)F)c1csc([N+](=O)[O-])c1 ZINC000588180217 348778227 /nfs/dbraw/zinc/77/82/27/348778227.db2.gz NBYWYSVIRWPLSN-SSDOTTSWSA-N 0 0 276.264 2.574 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000588682004 348791787 /nfs/dbraw/zinc/79/17/87/348791787.db2.gz XTHSLTAOPZNIBD-ZWNOBZJWSA-N 0 0 289.335 2.677 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1cc(C(C)C)no1 ZINC000588819202 348797014 /nfs/dbraw/zinc/79/70/14/348797014.db2.gz ZXVOGLNOZBCTGF-UHFFFAOYSA-N 0 0 293.327 2.843 20 5 CFBDRN CCc1nn(C)c(N2CCc3c2cccc3F)c1[N+](=O)[O-] ZINC000588827441 348797640 /nfs/dbraw/zinc/79/76/40/348797640.db2.gz UOOCYFIWCIZECO-UHFFFAOYSA-N 0 0 290.298 2.724 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@@H]2C[C@@H]21 ZINC000588840242 348798312 /nfs/dbraw/zinc/79/83/12/348798312.db2.gz VGBWBDPSHQQJLM-MFKMUULPSA-N 0 0 260.293 2.786 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1Cc2cccnc2C1 ZINC000585716516 348740023 /nfs/dbraw/zinc/74/00/23/348740023.db2.gz DMRQBOKKPRQLRS-UHFFFAOYSA-N 0 0 273.267 2.645 20 5 CFBDRN Cc1cc(CN[C@H](C)c2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000585729237 348741303 /nfs/dbraw/zinc/74/13/03/348741303.db2.gz VROBJWRAALVELN-LLVKDONJSA-N 0 0 286.335 2.852 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(-c2nc(Cc3cccnc3)no2)c1 ZINC000585731196 348741395 /nfs/dbraw/zinc/74/13/95/348741395.db2.gz MWGFKOJFJPVDHD-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1cc(Br)cc([N+](=O)[O-])c1 ZINC000585750420 348742567 /nfs/dbraw/zinc/74/25/67/348742567.db2.gz LBBQZADGLDHPNY-LHLIQPBNSA-N 0 0 299.124 2.952 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@@H]2C[C@@H]21 ZINC000586897447 348759860 /nfs/dbraw/zinc/75/98/60/348759860.db2.gz AWNZTSBCFYFISV-RNCFNFMXSA-N 0 0 285.303 2.701 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC[C@H]2C[C@H]21 ZINC000586897393 348759941 /nfs/dbraw/zinc/75/99/41/348759941.db2.gz AFWLLBGDJXUSOI-XHDPSFHLSA-N 0 0 299.330 2.560 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000586892838 348759950 /nfs/dbraw/zinc/75/99/50/348759950.db2.gz WPYWUDVHTDWCGC-GXFFZTMASA-N 0 0 260.293 2.528 20 5 CFBDRN C[C@@H]1CCC[C@@H](c2noc(Cn3cc([N+](=O)[O-])cn3)n2)C1 ZINC000273951749 192376023 /nfs/dbraw/zinc/37/60/23/192376023.db2.gz OETPERAWIAYLRG-NXEZZACHSA-N 0 0 291.311 2.516 20 5 CFBDRN CCC(CC)CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587881888 348763211 /nfs/dbraw/zinc/76/32/11/348763211.db2.gz GTASCXDEFUBKBS-UHFFFAOYSA-N 0 0 267.329 2.517 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC[C@@H]1C ZINC000587988865 348763762 /nfs/dbraw/zinc/76/37/62/348763762.db2.gz BETTXSWTUOTZGM-JTQLQIEISA-N 0 0 278.308 2.679 20 5 CFBDRN CCC[C@H]1CCCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587996379 348764776 /nfs/dbraw/zinc/76/47/76/348764776.db2.gz XQZGIEVPORDUJK-NSHDSACASA-N 0 0 293.367 2.686 20 5 CFBDRN CC[C@@H](C)N(C)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000587996362 348764808 /nfs/dbraw/zinc/76/48/08/348764808.db2.gz QMLYOXNFIXGJLD-SSDOTTSWSA-N 0 0 266.326 2.834 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000588003606 348765341 /nfs/dbraw/zinc/76/53/41/348765341.db2.gz YXCFARAICYEYDR-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN C[C@H](CCC1CC1)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000588019943 348766688 /nfs/dbraw/zinc/76/66/88/348766688.db2.gz XNHLVLBDNKEVJM-SECBINFHSA-N 0 0 279.340 2.660 20 5 CFBDRN C[C@H](C(=O)N(C)c1ccc([N+](=O)[O-])nc1)C1CCCC1 ZINC000588984645 348807336 /nfs/dbraw/zinc/80/73/36/348807336.db2.gz IFKMDLWEMCPEOS-JTQLQIEISA-N 0 0 277.324 2.779 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@@H](O)C2CC2)ccc1C(F)(F)F ZINC000589114099 348811716 /nfs/dbraw/zinc/81/17/16/348811716.db2.gz ONSTWSJKRWGQRO-LLVKDONJSA-N 0 0 290.241 2.796 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@H](O)C2CC2)ccc1C(F)(F)F ZINC000589114101 348811724 /nfs/dbraw/zinc/81/17/24/348811724.db2.gz ONSTWSJKRWGQRO-NSHDSACASA-N 0 0 290.241 2.796 20 5 CFBDRN C[C@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CS1 ZINC000413507250 233086629 /nfs/dbraw/zinc/08/66/29/233086629.db2.gz CADIFAAMCDGJNS-DTWKUNHWSA-N 0 0 279.365 2.869 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncnc3cccc([N+](=O)[O-])c32)CS1 ZINC000413517119 233087654 /nfs/dbraw/zinc/08/76/54/233087654.db2.gz MDSCLUXXAVPKRY-IUCAKERBSA-N 0 0 290.348 2.844 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)[C@H](C)O1 ZINC000413526535 233089286 /nfs/dbraw/zinc/08/92/86/233089286.db2.gz BWXWGQOPJJORPP-VWYCJHECSA-N 0 0 291.351 2.788 20 5 CFBDRN CCC[C@@H](C)c1cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)n[nH]1 ZINC000589576740 348839455 /nfs/dbraw/zinc/83/94/55/348839455.db2.gz OJVSNQOWECUGRF-MRVPVSSYSA-N 0 0 291.311 2.802 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000589584858 348840089 /nfs/dbraw/zinc/84/00/89/348840089.db2.gz PPEJUQJBWFURSF-BDAKNGLRSA-N 0 0 283.303 2.543 20 5 CFBDRN COc1cc(N[C@H](C)C[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000589585491 348840191 /nfs/dbraw/zinc/84/01/91/348840191.db2.gz YPYNMHXQCLPSHZ-NXEZZACHSA-N 0 0 298.314 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCOC3)CC2)s1 ZINC000589598220 348841286 /nfs/dbraw/zinc/84/12/86/348841286.db2.gz BDJPIDSFFMNIFT-UHFFFAOYSA-N 0 0 268.338 2.663 20 5 CFBDRN CN(C)c1cccnc1CNc1sccc1[N+](=O)[O-] ZINC000589603757 348841963 /nfs/dbraw/zinc/84/19/63/348841963.db2.gz JUUKONKJZSGQAK-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN Cc1nnc([C@H](C)Nc2cc(C)c([N+](=O)[O-])cc2F)o1 ZINC000589689707 348851221 /nfs/dbraw/zinc/85/12/21/348851221.db2.gz OIPHRYCIZMHBTJ-ZETCQYMHSA-N 0 0 280.259 2.907 20 5 CFBDRN Cc1cc(N[C@@H](CO)CC2CC2)c(F)cc1[N+](=O)[O-] ZINC000589689988 348851484 /nfs/dbraw/zinc/85/14/84/348851484.db2.gz QMHGJFJYUZABBB-SNVBAGLBSA-N 0 0 268.288 2.615 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC(C2CC2)C1 ZINC000589695787 348852174 /nfs/dbraw/zinc/85/21/74/348852174.db2.gz WFIVKMSMSXVVAR-UHFFFAOYSA-N 0 0 269.304 2.989 20 5 CFBDRN CSc1ccc(C(=O)N2CC(C3CC3)C2)cc1[N+](=O)[O-] ZINC000589725071 348853579 /nfs/dbraw/zinc/85/35/79/348853579.db2.gz NMGGIUKWGPNEDW-UHFFFAOYSA-N 0 0 292.360 2.799 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@H]2C[C@H]21)c1ccccc1[N+](=O)[O-] ZINC000590038629 348870896 /nfs/dbraw/zinc/87/08/96/348870896.db2.gz PLZXVJAKUKKVNQ-SMDDNHRTSA-N 0 0 288.347 2.883 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])s1 ZINC000590062814 348872572 /nfs/dbraw/zinc/87/25/72/348872572.db2.gz SYKFFFPYHZENOZ-SECBINFHSA-N 0 0 285.369 2.621 20 5 CFBDRN Nc1cc(NCC2=CCCCC2)cc(CO)c1[N+](=O)[O-] ZINC000590707042 348932569 /nfs/dbraw/zinc/93/25/69/348932569.db2.gz RTQLGMOHULZDDG-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN CO[C@H]1CCN(c2sccc2[N+](=O)[O-])C[C@H]1C ZINC000590717612 348934901 /nfs/dbraw/zinc/93/49/01/348934901.db2.gz OKBJWMDZRMXJCO-SCZZXKLOSA-N 0 0 256.327 2.518 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CC12CCOCC2 ZINC000590722447 348936096 /nfs/dbraw/zinc/93/60/96/348936096.db2.gz HFGYMOXAXZRHON-VIFPVBQESA-N 0 0 254.311 2.637 20 5 CFBDRN COc1cccc2c1CN(c1cc(C)c([N+](=O)[O-])cn1)CC2 ZINC000590723209 348936951 /nfs/dbraw/zinc/93/69/51/348936951.db2.gz WAYZFRXCTSWAIO-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@]2(CCOC2)C1 ZINC000590730203 348938712 /nfs/dbraw/zinc/93/87/12/348938712.db2.gz IXRWJSKVKKSQTR-LBPRGKRZSA-N 0 0 268.338 2.663 20 5 CFBDRN CCCc1cc(-c2nc(-c3ccc([N+](=O)[O-])o3)no2)n[nH]1 ZINC000590778852 348948078 /nfs/dbraw/zinc/94/80/78/348948078.db2.gz LCXRNXXUQJHIOW-UHFFFAOYSA-N 0 0 289.251 2.580 20 5 CFBDRN Cc1ccc(C(=O)NC/C=C\c2cccnc2)cc1[N+](=O)[O-] ZINC000590397353 348897963 /nfs/dbraw/zinc/89/79/63/348897963.db2.gz QKXSCFCQQDGXIR-HYXAFXHYSA-N 0 0 297.314 2.741 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cccc(=O)[nH]1 ZINC000590548712 348908647 /nfs/dbraw/zinc/90/86/47/348908647.db2.gz BVPHJFGPJRICHS-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1nc(C(C)C)oc1CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000590567970 348908954 /nfs/dbraw/zinc/90/89/54/348908954.db2.gz KISFMLIIMXBLRB-UHFFFAOYSA-N 0 0 290.323 2.752 20 5 CFBDRN Cc1cc(C(=O)NC/C=C/c2cccnc2)cc([N+](=O)[O-])c1 ZINC000590565102 348909149 /nfs/dbraw/zinc/90/91/49/348909149.db2.gz ODFNYKKRVUFIIP-HWKANZROSA-N 0 0 297.314 2.741 20 5 CFBDRN COC(=O)c1ccnc(N(C)C2CCCCC2)c1[N+](=O)[O-] ZINC000590568568 348909775 /nfs/dbraw/zinc/90/97/75/348909775.db2.gz FZCPRAVVEGDWAB-UHFFFAOYSA-N 0 0 293.323 2.545 20 5 CFBDRN COC(=O)c1c(Cl)c(Nc2cn[nH]c2)ccc1[N+](=O)[O-] ZINC000590572206 348909973 /nfs/dbraw/zinc/90/99/73/348909973.db2.gz XRRDSCUFMWFZHW-UHFFFAOYSA-N 0 0 296.670 2.502 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H]1C(F)F ZINC000590607649 348915023 /nfs/dbraw/zinc/91/50/23/348915023.db2.gz MNTQRJOOLHRJTG-SNVBAGLBSA-N 0 0 285.250 2.775 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1cccc([N+](=O)[O-])c1C)CC2 ZINC000590610660 348915747 /nfs/dbraw/zinc/91/57/47/348915747.db2.gz YDQHSUNAJCPSMD-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN COc1cc(C(=O)N(C)CCC(C)C)c([N+](=O)[O-])cc1F ZINC000590621561 348916522 /nfs/dbraw/zinc/91/65/22/348916522.db2.gz YZUWKWOXBPLITI-UHFFFAOYSA-N 0 0 298.314 2.861 20 5 CFBDRN CN(C)c1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000590645821 348920448 /nfs/dbraw/zinc/92/04/48/348920448.db2.gz UELRQADQPBCSJO-UHFFFAOYSA-N 0 0 299.330 2.937 20 5 CFBDRN Cc1nc(N2CCC[C@H](C(C)C)CC2)ncc1[N+](=O)[O-] ZINC000590689915 348928702 /nfs/dbraw/zinc/92/87/02/348928702.db2.gz JDUIYQANEQIESD-LBPRGKRZSA-N 0 0 278.356 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(CNc4ccccc43)C2)cn1 ZINC000590700619 348931054 /nfs/dbraw/zinc/93/10/54/348931054.db2.gz ARXPTIJXLLQUJB-INIZCTEOSA-N 0 0 296.330 2.563 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@@H](O)C2(C)C)ccc1[N+](=O)[O-] ZINC000129128357 187412624 /nfs/dbraw/zinc/41/26/24/187412624.db2.gz QZYAFKQUCLPBFX-CHWSQXEVSA-N 0 0 280.324 2.565 20 5 CFBDRN CC(C)(CC(F)F)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000591036473 348981263 /nfs/dbraw/zinc/98/12/63/348981263.db2.gz YNLAOLBUEFPGIC-UHFFFAOYSA-N 0 0 286.278 2.892 20 5 CFBDRN CCC[C@H](O)CSc1ccc([N+](=O)[O-])cc1F ZINC000591128877 348991423 /nfs/dbraw/zinc/99/14/23/348991423.db2.gz BFKNQVVUHJIPOF-VIFPVBQESA-N 0 0 259.302 2.987 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1CC[C@@H](CO)CC1 ZINC000591149574 348993136 /nfs/dbraw/zinc/99/31/36/348993136.db2.gz JMQHMINRZRQTRX-AOOOYVTPSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]2c2ncc[nH]2)c(F)c1 ZINC000591150291 348993196 /nfs/dbraw/zinc/99/31/96/348993196.db2.gz WGQAOPJTELZJDO-LLVKDONJSA-N 0 0 291.286 2.584 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2F)[C@H]1[C@@H]1CCCO1 ZINC000591161090 348995677 /nfs/dbraw/zinc/99/56/77/348995677.db2.gz VXQPREUOZSPCRF-RYUDHWBXSA-N 0 0 295.314 2.523 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(CCC3)[C@H]3COC[C@@H]32)c1 ZINC000591173919 348996480 /nfs/dbraw/zinc/99/64/80/348996480.db2.gz JVNCNXRQOIRIPR-WFASDCNBSA-N 0 0 288.347 2.909 20 5 CFBDRN CC(C)[C@@H]1N(c2ccc([N+](=O)[O-])nc2)CC12CCOCC2 ZINC000591195663 348999148 /nfs/dbraw/zinc/99/91/48/348999148.db2.gz FBRFTBRUQVFSNQ-AWEZNQCLSA-N 0 0 291.351 2.631 20 5 CFBDRN CCc1nn(C)c(N2CC(C)(C)C[C@H]2C)c1[N+](=O)[O-] ZINC000591197177 348999215 /nfs/dbraw/zinc/99/92/15/348999215.db2.gz JXZNUEHMEUWSOL-SECBINFHSA-N 0 0 266.345 2.516 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1nnc(C2CC2)s1 ZINC000591198556 348999778 /nfs/dbraw/zinc/99/97/78/348999778.db2.gz SYLMRKXJBOPDPL-UHFFFAOYSA-N 0 0 282.350 2.997 20 5 CFBDRN CC(=O)C(C)(C)CCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000590778887 348948273 /nfs/dbraw/zinc/94/82/73/348948273.db2.gz CJBMINKKDUUDNB-UHFFFAOYSA-N 0 0 293.279 2.786 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]1C1CC1)c1ccc([N+](=O)[O-])o1 ZINC000413887519 233139076 /nfs/dbraw/zinc/13/90/76/233139076.db2.gz WPJGIJYKKSBUHY-QWRGUYRKSA-N 0 0 278.308 2.744 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](C3CCC3)C2)c(F)c1 ZINC000591204091 349000080 /nfs/dbraw/zinc/00/00/80/349000080.db2.gz CKJYUOLBFATNPI-SNVBAGLBSA-N 0 0 265.288 2.755 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](C2CCC2)C1 ZINC000591204508 349000771 /nfs/dbraw/zinc/00/07/71/349000771.db2.gz XUZFFWDUKUFEPS-GFCCVEGCSA-N 0 0 278.356 2.746 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000591903908 349057107 /nfs/dbraw/zinc/05/71/07/349057107.db2.gz ZGXGVOKMTQUFPK-RAIGVLPGSA-N 0 0 289.335 2.583 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c(N)c2F)[C@H](C)C1 ZINC000591830355 349049289 /nfs/dbraw/zinc/04/92/89/349049289.db2.gz IRCQSWUYSIIGMF-RKDXNWHRSA-N 0 0 267.304 2.941 20 5 CFBDRN C[C@@H]1[C@H](C)CN(c2nc3sccn3c2[N+](=O)[O-])[C@H]1C ZINC000591368993 349012517 /nfs/dbraw/zinc/01/25/17/349012517.db2.gz HDRMPRKMYRPOCC-HLTSFMKQSA-N 0 0 280.353 2.785 20 5 CFBDRN C[C@H]1[C@@H](C)N(c2nc3sccn3c2[N+](=O)[O-])C[C@H]1C ZINC000591368995 349013076 /nfs/dbraw/zinc/01/30/76/349013076.db2.gz HDRMPRKMYRPOCC-IWSPIJDZSA-N 0 0 280.353 2.785 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC(C)(C)C1 ZINC000591533144 349029122 /nfs/dbraw/zinc/02/91/22/349029122.db2.gz BVAKEOVYGKUXNM-UHFFFAOYSA-N 0 0 262.309 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C\[C@H]3CCCO3)n2)cc1 ZINC000591651104 349036052 /nfs/dbraw/zinc/03/60/52/349036052.db2.gz NRNYIRYOLUTKRD-QDZRJHCZSA-N 0 0 287.275 2.837 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000591980753 349069031 /nfs/dbraw/zinc/06/90/31/349069031.db2.gz HTUFBTKJKAAFIL-DTWKUNHWSA-N 0 0 285.319 2.525 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ncc([N+](=O)[O-])cc1C ZINC000591983721 349069703 /nfs/dbraw/zinc/06/97/03/349069703.db2.gz XJERSQMCZYJTPJ-GXSJLCMTSA-N 0 0 267.329 2.507 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])s2)C1 ZINC000591988235 349070516 /nfs/dbraw/zinc/07/05/16/349070516.db2.gz QGZCECSTSFQPML-OCAPTIKFSA-N 0 0 271.342 2.809 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])nc2)CC2(CCCC2)O1 ZINC000592002755 349073482 /nfs/dbraw/zinc/07/34/82/349073482.db2.gz WATSHZVYWGNEGH-LLVKDONJSA-N 0 0 277.324 2.528 20 5 CFBDRN CC(C)SCCn1cnc2sc([N+](=O)[O-])cc2c1=O ZINC000592350400 349107064 /nfs/dbraw/zinc/10/70/64/349107064.db2.gz TULWGKVJUFRSPS-UHFFFAOYSA-N 0 0 299.377 2.508 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CCS[C@H]2C)cc1[N+](=O)[O-] ZINC000592123720 349087167 /nfs/dbraw/zinc/08/71/67/349087167.db2.gz YPKSDVCIUCCZQH-GXSJLCMTSA-N 0 0 295.364 2.919 20 5 CFBDRN CCC[C@H](C)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000273971824 192383403 /nfs/dbraw/zinc/38/34/03/192383403.db2.gz OSEIPODFXJKXOY-VIFPVBQESA-N 0 0 276.296 2.867 20 5 CFBDRN CC[C@H](C)C[C@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000592252179 349104221 /nfs/dbraw/zinc/10/42/21/349104221.db2.gz VTVKVTHIDXQOQI-CMPLNLGQSA-N 0 0 295.339 2.513 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCC[C@@H]1CCOC1)CCCC2 ZINC000591930007 349061461 /nfs/dbraw/zinc/06/14/61/349061461.db2.gz GHQODNUXVZXWLV-LLVKDONJSA-N 0 0 291.351 2.707 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCC[C@H]1CCOC1)CCCC2 ZINC000591930008 349061664 /nfs/dbraw/zinc/06/16/64/349061664.db2.gz GHQODNUXVZXWLV-NSHDSACASA-N 0 0 291.351 2.707 20 5 CFBDRN CCOC(=O)Cc1ccc(N[C@@H]2CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000591944586 349063690 /nfs/dbraw/zinc/06/36/90/349063690.db2.gz RBESHIZIKLFUAX-ZYHUDNBSSA-N 0 0 292.335 2.911 20 5 CFBDRN Cc1nn(CCc2c(F)cccc2F)c(C)c1[N+](=O)[O-] ZINC000592347322 349106372 /nfs/dbraw/zinc/10/63/72/349106372.db2.gz VOTPOOCPBAQTAR-UHFFFAOYSA-N 0 0 281.262 2.929 20 5 CFBDRN C[C@H](CNc1ncnc2cccc([N+](=O)[O-])c21)CC(F)F ZINC000591961342 349065513 /nfs/dbraw/zinc/06/55/13/349065513.db2.gz FFMSYMLSWCGFLO-QMMMGPOBSA-N 0 0 296.277 2.663 20 5 CFBDRN CCc1nn(C)c(NC[C@H](C)CC(F)F)c1[N+](=O)[O-] ZINC000591961164 349065529 /nfs/dbraw/zinc/06/55/29/349065529.db2.gz AQILRKXNSFGOGC-SSDOTTSWSA-N 0 0 276.287 2.594 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(C)(CC(F)F)C1 ZINC000591972504 349067553 /nfs/dbraw/zinc/06/75/53/349067553.db2.gz QPBHGVFBWFRRNV-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN COC(=O)[C@H](CC(C)(C)C)Nc1ccc([N+](=O)[O-])cc1 ZINC000591972459 349067735 /nfs/dbraw/zinc/06/77/35/349067735.db2.gz PBEDWBLKQAEPIX-LBPRGKRZSA-N 0 0 280.324 2.985 20 5 CFBDRN CC[C@H](CNc1cc(C)c([N+](=O)[O-])cc1F)C(=O)OC ZINC000591976117 349068285 /nfs/dbraw/zinc/06/82/85/349068285.db2.gz RDZUNFMXHBKTSJ-SECBINFHSA-N 0 0 284.287 2.653 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000592666763 349147828 /nfs/dbraw/zinc/14/78/28/349147828.db2.gz LXTGHNWQRGHELK-RYUDHWBXSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](C)CC(F)F)c1 ZINC000592670893 349148435 /nfs/dbraw/zinc/14/84/35/349148435.db2.gz DASPNFQGAHIUFI-VIFPVBQESA-N 0 0 286.278 2.924 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H](C)CC(F)F ZINC000592671830 349148731 /nfs/dbraw/zinc/14/87/31/349148731.db2.gz JBUWVKXGCGIWQY-QMMMGPOBSA-N 0 0 286.278 2.924 20 5 CFBDRN Cc1ccccc1C1(NC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000592672840 349149366 /nfs/dbraw/zinc/14/93/66/349149366.db2.gz RQZPFYQDOSJMOC-UHFFFAOYSA-N 0 0 297.314 2.717 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCC[C@H]1C1CCC1 ZINC000592465207 349125715 /nfs/dbraw/zinc/12/57/15/349125715.db2.gz UADRNBNBMHPBBV-ZDUSSCGKSA-N 0 0 277.324 2.718 20 5 CFBDRN CCCCNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273976199 192385720 /nfs/dbraw/zinc/38/57/20/192385720.db2.gz YBYLTMZETYTRQY-UHFFFAOYSA-N 0 0 282.315 2.928 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593039363 349192001 /nfs/dbraw/zinc/19/20/01/349192001.db2.gz KBZRFLIIXKDFJB-VHSXEESVSA-N 0 0 282.315 2.827 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000592696538 349153099 /nfs/dbraw/zinc/15/30/99/349153099.db2.gz DCSTYBQQQZBFQQ-DTORHVGOSA-N 0 0 298.364 2.732 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000592733573 349158531 /nfs/dbraw/zinc/15/85/31/349158531.db2.gz WPSUTQKJRARNHF-RAIGVLPGSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)c1[N+](=O)[O-] ZINC000592732571 349158616 /nfs/dbraw/zinc/15/86/16/349158616.db2.gz KJYKOLAGOQKFIR-IJLUTSLNSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCS[C@H]1C ZINC000592736005 349159585 /nfs/dbraw/zinc/15/95/85/349159585.db2.gz PBLKPBZBBZDCRJ-CABZTGNLSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)c1 ZINC000592735246 349159642 /nfs/dbraw/zinc/15/96/42/349159642.db2.gz MDYLHTGOCAWRPW-IJLUTSLNSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCS[C@@H]1C ZINC000592736009 349159834 /nfs/dbraw/zinc/15/98/34/349159834.db2.gz PBLKPBZBBZDCRJ-SKDRFNHKSA-N 0 0 280.349 2.527 20 5 CFBDRN CC1(CC(F)F)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000592834776 349170345 /nfs/dbraw/zinc/17/03/45/349170345.db2.gz IHAWWRUXEVTRCZ-UHFFFAOYSA-N 0 0 298.289 2.641 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273996450 192394733 /nfs/dbraw/zinc/39/47/33/192394733.db2.gz YKRSCTJDUCAPEW-UWVGGRQHSA-N 0 0 294.326 2.784 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC[C@]2(CC2(F)F)C1 ZINC000593114475 349212030 /nfs/dbraw/zinc/21/20/30/349212030.db2.gz CDELGUJFVWFGHW-ZDUSSCGKSA-N 0 0 298.289 2.835 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(CCC2CC2)no1 ZINC000274020887 192405532 /nfs/dbraw/zinc/40/55/32/192405532.db2.gz NRPUMQUJFIXFEN-UHFFFAOYSA-N 0 0 259.265 2.987 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCCC(F)(F)C1 ZINC000593132470 349218151 /nfs/dbraw/zinc/21/81/51/349218151.db2.gz RWMIEOLGCHXJAF-UHFFFAOYSA-N 0 0 285.250 2.858 20 5 CFBDRN CC1(C2(NC(=O)Cc3ccc(F)cc3[N+](=O)[O-])CC2)CC1 ZINC000593169851 349222285 /nfs/dbraw/zinc/22/22/85/349222285.db2.gz PTLBVNPIWFAMFN-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000593174667 349223139 /nfs/dbraw/zinc/22/31/39/349223139.db2.gz KQBJCAGWQJSCGL-VWYCJHECSA-N 0 0 294.326 2.779 20 5 CFBDRN C[C@@]1(NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC=CCC1 ZINC000593172859 349223466 /nfs/dbraw/zinc/22/34/66/349223466.db2.gz LZWJZMHAJYXHED-OAHLLOKOSA-N 0 0 292.310 2.891 20 5 CFBDRN C[C@@H](COCC1CC1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000593182518 349224718 /nfs/dbraw/zinc/22/47/18/349224718.db2.gz RKLMHTRPYUKGEM-JTQLQIEISA-N 0 0 293.323 2.531 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(CSC[C@@H](C)OC)c1 ZINC000593192491 349226385 /nfs/dbraw/zinc/22/63/85/349226385.db2.gz ZTVRPYKAJQCKCX-SECBINFHSA-N 0 0 299.348 2.650 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCn1cc(C2CC2)cn1 ZINC000593237363 349233493 /nfs/dbraw/zinc/23/34/93/349233493.db2.gz KWQDQJRDNKAVQV-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN Cc1sc(C(=O)N2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000593236959 349233527 /nfs/dbraw/zinc/23/35/27/349233527.db2.gz GZYCBRJVWFXZPV-UHFFFAOYSA-N 0 0 290.291 2.836 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000593254805 349236188 /nfs/dbraw/zinc/23/61/88/349236188.db2.gz PDCWTXDLGDSMOP-JOYOIKCWSA-N 0 0 277.324 2.544 20 5 CFBDRN CC[C@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)[C@@H](CC)CO1 ZINC000593256020 349236224 /nfs/dbraw/zinc/23/62/24/349236224.db2.gz BMTDPMYXTBZPLL-QWRGUYRKSA-N 0 0 298.364 2.686 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC000593038786 349192703 /nfs/dbraw/zinc/19/27/03/349192703.db2.gz KTVCZANXPJVURW-OSAQELSMSA-N 0 0 286.331 2.813 20 5 CFBDRN COc1cc(CN2CCCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000593053531 349195320 /nfs/dbraw/zinc/19/53/20/349195320.db2.gz WRFTWWGAXNRZCW-UHFFFAOYSA-N 0 0 286.278 2.835 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1Cc1ccccc1[N+](=O)[O-] ZINC000593056811 349196507 /nfs/dbraw/zinc/19/65/07/349196507.db2.gz PRRFMOAOBMNFSI-ZDUSSCGKSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)[C@@H](C)CO1 ZINC000593064493 349199498 /nfs/dbraw/zinc/19/94/98/349199498.db2.gz ZHQKNLCAEZDNOH-FZMZJTMJSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2C)[C@H](C)CO1 ZINC000593063285 349199703 /nfs/dbraw/zinc/19/97/03/349199703.db2.gz LFSXCKXKYGSGLX-BXUZGUMPSA-N 0 0 278.352 2.903 20 5 CFBDRN CCC1(CNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593081557 349203948 /nfs/dbraw/zinc/20/39/48/349203948.db2.gz SGYAYIQKTVQSLN-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN CCCC1(CNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593090191 349205771 /nfs/dbraw/zinc/20/57/71/349205771.db2.gz SOFOORCWNFTLEW-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN Cc1cc(N[C@@H](CO)CCF)c2cccc([N+](=O)[O-])c2n1 ZINC000593510643 349287899 /nfs/dbraw/zinc/28/78/99/349287899.db2.gz XPPCJCQXNCKDST-SNVBAGLBSA-N 0 0 293.298 2.584 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H](CO)CCF ZINC000593510386 349287905 /nfs/dbraw/zinc/28/79/05/349287905.db2.gz VRYHYJQZQITANW-QMMMGPOBSA-N 0 0 276.695 2.689 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593586840 349295466 /nfs/dbraw/zinc/29/54/66/349295466.db2.gz BSGROPBMHTVLCM-LBPRGKRZSA-N 0 0 280.299 2.533 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)[C@H](C)CO1 ZINC000593285010 349241519 /nfs/dbraw/zinc/24/15/19/349241519.db2.gz XSYRWJSNMSOHHQ-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)[C@H](C)CO1 ZINC000593283807 349241586 /nfs/dbraw/zinc/24/15/86/349241586.db2.gz QJKYIXSHZANHFC-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1C)[C@@H]1CCCOC1 ZINC000593297255 349243368 /nfs/dbraw/zinc/24/33/68/349243368.db2.gz XURCMADGEDRTNW-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@]2(CC2(F)F)C1 ZINC000593357729 349252265 /nfs/dbraw/zinc/25/22/65/349252265.db2.gz FJUWDYIIJOVEDL-ZDUSSCGKSA-N 0 0 296.273 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCC1CCOCC1 ZINC000593459261 349274896 /nfs/dbraw/zinc/27/48/96/349274896.db2.gz YKYDHWDPQRMXQF-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H]1CCCOC1 ZINC000593461641 349275287 /nfs/dbraw/zinc/27/52/87/349275287.db2.gz SMQCJIZOLCBZTP-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN CC(C)[C@H](O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461324 349275418 /nfs/dbraw/zinc/27/54/18/349275418.db2.gz JUWGUKYUWDMMQB-CQSZACIVSA-N 0 0 275.308 2.572 20 5 CFBDRN CO[C@H]1CCCN(c2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000593460364 349275450 /nfs/dbraw/zinc/27/54/50/349275450.db2.gz AGDDTTRAPFIVHZ-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H](Nc1ccnc2cc([N+](=O)[O-])ccc21)[C@@H]1CCOC1 ZINC000593464173 349275939 /nfs/dbraw/zinc/27/59/39/349275939.db2.gz DSRHHNQAHFSSFO-GHMZBOCLSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H](CO)CCCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593464293 349275987 /nfs/dbraw/zinc/27/59/87/349275987.db2.gz DDUPVGBZRPLQBA-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@]1(CNc2ccnc3cc([N+](=O)[O-])ccc32)CCOC1 ZINC000593462615 349276150 /nfs/dbraw/zinc/27/61/50/349276150.db2.gz FDVANDHJOUGPPX-HNNXBMFYSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@H]1CCC[C@@H]1O ZINC000593466582 349276753 /nfs/dbraw/zinc/27/67/53/349276753.db2.gz YWSOEHZLYKHSBE-BMIGLBTASA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)OCCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593469463 349277465 /nfs/dbraw/zinc/27/74/65/349277465.db2.gz WFMCSYRQOMJEDI-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCCC(F)(F)C3)c2c1 ZINC000593487189 349282271 /nfs/dbraw/zinc/28/22/71/349282271.db2.gz OGABNTXQHZHFSF-UHFFFAOYSA-N 0 0 294.261 2.774 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H](C1CC1)C1CCOCC1 ZINC000593490723 349283310 /nfs/dbraw/zinc/28/33/10/349283310.db2.gz DZXCQUKKZQKEOK-ZDUSSCGKSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1c1ccccc1[N+](=O)[O-] ZINC000593493645 349283886 /nfs/dbraw/zinc/28/38/86/349283886.db2.gz IFUUVCKIQKGMIP-LLVKDONJSA-N 0 0 264.325 2.989 20 5 CFBDRN CC[C@@H]1CN(c2c([N+](=O)[O-])nc(C)n2CC)[C@H](CC)CO1 ZINC000593495263 349284326 /nfs/dbraw/zinc/28/43/26/349284326.db2.gz VGPBAGPNZPRLLW-VXGBXAGGSA-N 0 0 296.371 2.513 20 5 CFBDRN C[C@H](COCC1CC1)Nc1ccccc1[N+](=O)[O-] ZINC000593506112 349286545 /nfs/dbraw/zinc/28/65/45/349286545.db2.gz NTTNHESSABDAHE-SNVBAGLBSA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@@H](COCC1CC1)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000593509185 349287248 /nfs/dbraw/zinc/28/72/48/349287248.db2.gz UGHYLQJPRPIHIG-QMMMGPOBSA-N 0 0 285.731 2.870 20 5 CFBDRN CC(C)(C)n1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000593948312 349338558 /nfs/dbraw/zinc/33/85/58/349338558.db2.gz JCFUQVCKOXULSW-UHFFFAOYSA-N 0 0 288.307 2.799 20 5 CFBDRN CCO[C@H](C)c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000274035569 192412930 /nfs/dbraw/zinc/41/29/30/192412930.db2.gz UMQCDPQBYMCJCV-SNVBAGLBSA-N 0 0 291.307 2.975 20 5 CFBDRN O=C([O-])c1ccc([N+](=O)[O-])c(C[NH+]2C[C@H]3CCC[C@@H]3C2)c1 ZINC000593737829 349317338 /nfs/dbraw/zinc/31/73/38/349317338.db2.gz WGKODVUKWUOULM-VXGBXAGGSA-N 0 0 290.319 2.525 20 5 CFBDRN Cc1c(C[N@@H+](C)[C@@H](CC(C)C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000593746493 349319843 /nfs/dbraw/zinc/31/98/43/349319843.db2.gz QCYLDKTVDRAIKD-AWEZNQCLSA-N 0 0 294.351 2.834 20 5 CFBDRN COc1cccc(C(=O)N2CCC23CCCC3)c1[N+](=O)[O-] ZINC000593760654 349321785 /nfs/dbraw/zinc/32/17/85/349321785.db2.gz YYMIRPGRWIEDHX-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN CC1(NC(=O)NCCc2ccccc2[N+](=O)[O-])CC=CC1 ZINC000594232563 349397785 /nfs/dbraw/zinc/39/77/85/349397785.db2.gz RHZVNXUERXHCFY-UHFFFAOYSA-N 0 0 289.335 2.545 20 5 CFBDRN CC[C@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000594040519 349360052 /nfs/dbraw/zinc/36/00/52/349360052.db2.gz GNBZMXBXPRPJLQ-DZGCQCFKSA-N 0 0 294.351 2.738 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC2(CC2(F)F)C1 ZINC000594059908 349367411 /nfs/dbraw/zinc/36/74/11/349367411.db2.gz XVILPJKGUXNTFW-UHFFFAOYSA-N 0 0 297.261 2.776 20 5 CFBDRN C[C@@H](C(=O)NC1(C)CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000594072271 349369185 /nfs/dbraw/zinc/36/91/85/349369185.db2.gz HFDNPMUOAVLREB-LLVKDONJSA-N 0 0 274.320 2.923 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCCc2cccnc21 ZINC000594072986 349369272 /nfs/dbraw/zinc/36/92/72/349369272.db2.gz YAIQXVJAORTGJN-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2(C)CC=CC2)c1 ZINC000594074882 349369801 /nfs/dbraw/zinc/36/98/01/349369801.db2.gz KDNAWQYWVAQBDD-UHFFFAOYSA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)Cc1ccccn1 ZINC000594138788 349384184 /nfs/dbraw/zinc/38/41/84/349384184.db2.gz BXFDUTYSUVXPCH-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2NCc1cscn1 ZINC000594493037 349428998 /nfs/dbraw/zinc/42/89/98/349428998.db2.gz DQTUTAKAAAJPRN-GFCCVEGCSA-N 0 0 275.333 2.828 20 5 CFBDRN COc1ncccc1CN[C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000594495451 349430283 /nfs/dbraw/zinc/43/02/83/349430283.db2.gz YEGVHQGWBCUVBN-CQSZACIVSA-N 0 0 299.330 2.776 20 5 CFBDRN CCCCc1nc(CCNc2ccccc2[N+](=O)[O-])no1 ZINC000274073308 192427803 /nfs/dbraw/zinc/42/78/03/192427803.db2.gz WZWVCPATUPSWNC-UHFFFAOYSA-N 0 0 290.323 2.975 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597092494 350029288 /nfs/dbraw/zinc/02/92/88/350029288.db2.gz NIAPUPGUWXKLNI-POYBYMJQSA-N 0 0 298.730 2.750 20 5 CFBDRN CCN(C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N)C1CC1 ZINC000597095366 350030164 /nfs/dbraw/zinc/03/01/64/350030164.db2.gz ULWMZCBDDWKMGP-UHFFFAOYSA-N 0 0 298.730 2.847 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000597273240 350077717 /nfs/dbraw/zinc/07/77/17/350077717.db2.gz MGDHLOLUJLZFDQ-LLVKDONJSA-N 0 0 274.320 2.920 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000597477467 350101854 /nfs/dbraw/zinc/10/18/54/350101854.db2.gz PCRQJIOXVPZAGK-GRYCIOLGSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)c([N+](=O)[O-])c1 ZINC000597539050 350110081 /nfs/dbraw/zinc/11/00/81/350110081.db2.gz SNFXQQAQEZNIBV-MVWJERBFSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000597555671 350110584 /nfs/dbraw/zinc/11/05/84/350110584.db2.gz QPGHPMDPCWPYHC-GFCCVEGCSA-N 0 0 276.336 2.956 20 5 CFBDRN COCCN(C)c1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000090556130 180003646 /nfs/dbraw/zinc/00/36/46/180003646.db2.gz KFDGLMGPBDSHIN-UHFFFAOYSA-N 0 0 278.230 2.696 20 5 CFBDRN Cc1ncc(CNc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000090692124 180024405 /nfs/dbraw/zinc/02/44/05/180024405.db2.gz JIQLVVGNYQUIQZ-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@@H](C)c1csnn1 ZINC000414533168 233284245 /nfs/dbraw/zinc/28/42/45/233284245.db2.gz BDVQSBPOYHRQGB-VIFPVBQESA-N 0 0 278.337 2.606 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1CC2(CC2)C1 ZINC000597627121 350118531 /nfs/dbraw/zinc/11/85/31/350118531.db2.gz KLRRIUPTACIYBJ-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN COc1cc(C(=O)Nc2cnccc2C)ccc1[N+](=O)[O-] ZINC000104455332 186007005 /nfs/dbraw/zinc/00/70/05/186007005.db2.gz CDBAQPHYNFIKIA-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1sc(C(=O)N[C@@]23C[C@@H]2CCC3)cc1[N+](=O)[O-] ZINC000597882860 350147876 /nfs/dbraw/zinc/14/78/76/350147876.db2.gz LBDPTXXBXULFAJ-UFBFGSQYSA-N 0 0 266.322 2.637 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@]12C[C@H]1CCC2 ZINC000597891825 350150376 /nfs/dbraw/zinc/15/03/76/350150376.db2.gz YRXWVHFEGLYAMT-QMTHXVAHSA-N 0 0 294.738 2.850 20 5 CFBDRN CCc1nn(C)cc1NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000091070345 180093412 /nfs/dbraw/zinc/09/34/12/180093412.db2.gz DGTODOWIVRUSJL-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000597854746 350143241 /nfs/dbraw/zinc/14/32/41/350143241.db2.gz GYFLPOCRBHHWPX-SECBINFHSA-N 0 0 268.288 2.995 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NC[C@H]1C[C@@H]1C ZINC000271253240 191029411 /nfs/dbraw/zinc/02/94/11/191029411.db2.gz LBLJNHLHWISERC-QPUJVOFHSA-N 0 0 276.336 2.906 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000597856914 350143768 /nfs/dbraw/zinc/14/37/68/350143768.db2.gz QOSAALADJJCZNJ-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000597856934 350144009 /nfs/dbraw/zinc/14/40/09/350144009.db2.gz RHNVKTXEZNFJAV-GFCCVEGCSA-N 0 0 293.367 2.678 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H](C)c2ccccn2)cc1[N+](=O)[O-] ZINC000597863097 350145381 /nfs/dbraw/zinc/14/53/81/350145381.db2.gz GDUVQTXWEVQBBR-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN O=C(c1c[nH]nc1[N+](=O)[O-])N1CCCC2(CCCC2)CC1 ZINC000597956234 350163229 /nfs/dbraw/zinc/16/32/29/350163229.db2.gz ULABFTZVJGJXAW-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN Cc1c(OC(=O)C2SCCS2)cccc1[N+](=O)[O-] ZINC000271273215 191042375 /nfs/dbraw/zinc/04/23/75/191042375.db2.gz NRFNJIHQKABMAU-UHFFFAOYSA-N 0 0 285.346 2.615 20 5 CFBDRN CC[C@@H](C)CCNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000597977180 350170337 /nfs/dbraw/zinc/17/03/37/350170337.db2.gz HRQBTOUKVMPSNO-SNVBAGLBSA-N 0 0 282.315 2.829 20 5 CFBDRN Cc1cc(NC[C@H]2CCO[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000271296015 191057375 /nfs/dbraw/zinc/05/73/75/191057375.db2.gz FRJUPRTZOFASLN-MNOVXSKESA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000271297873 191057895 /nfs/dbraw/zinc/05/78/95/191057895.db2.gz GAXAAHSAGICYPL-VHSXEESVSA-N 0 0 295.295 2.730 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000271297871 191058923 /nfs/dbraw/zinc/05/89/23/191058923.db2.gz GAXAAHSAGICYPL-NXEZZACHSA-N 0 0 295.295 2.730 20 5 CFBDRN CC[C@@H](C)CCNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000597975317 350168651 /nfs/dbraw/zinc/16/86/51/350168651.db2.gz IUKZIYITMMXPBS-LLVKDONJSA-N 0 0 264.325 2.690 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000597976673 350169265 /nfs/dbraw/zinc/16/92/65/350169265.db2.gz WASIVHZGPDNPEF-SNVBAGLBSA-N 0 0 250.298 2.761 20 5 CFBDRN Cc1cc(N[C@@H](CO)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000271311191 191066596 /nfs/dbraw/zinc/06/65/96/191066596.db2.gz MMCBYXISIAFRFD-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2nc3sccn3c2[N+](=O)[O-])CCO1 ZINC000271313941 191067540 /nfs/dbraw/zinc/06/75/40/191067540.db2.gz JFVWUKIFLFMDNK-BDAKNGLRSA-N 0 0 296.352 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@@H]2CO)cc1 ZINC000091484261 180167421 /nfs/dbraw/zinc/16/74/21/180167421.db2.gz JDJKDCTYLGFKBM-MFKMUULPSA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@H]2CO)cc1 ZINC000091484262 180167449 /nfs/dbraw/zinc/16/74/49/180167449.db2.gz JDJKDCTYLGFKBM-GXFFZTMASA-N 0 0 250.298 2.558 20 5 CFBDRN COc1cc(NC[C@@H]2CCO[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000271312905 191068545 /nfs/dbraw/zinc/06/85/45/191068545.db2.gz IZERSNQZNINJJG-NXEZZACHSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1CCC[C@H](C)O1 ZINC000598211407 350215332 /nfs/dbraw/zinc/21/53/32/350215332.db2.gz FCHHTVKHUSDWRO-NWDGAFQWSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H](CSC)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000091617807 180208588 /nfs/dbraw/zinc/20/85/88/180208588.db2.gz QYIOCLBJUYMVFT-LLVKDONJSA-N 0 0 297.380 2.536 20 5 CFBDRN CCc1nn(C)c(NCc2cccc(OC)c2)c1[N+](=O)[O-] ZINC000091559448 180191387 /nfs/dbraw/zinc/19/13/87/180191387.db2.gz LRAOCIVDJLHIJQ-UHFFFAOYSA-N 0 0 290.323 2.511 20 5 CFBDRN CCc1nn(C)c(NCCCC(C)C)c1[N+](=O)[O-] ZINC000091561864 180191866 /nfs/dbraw/zinc/19/18/66/180191866.db2.gz DHFJYADUVWJELG-UHFFFAOYSA-N 0 0 254.334 2.739 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCC[C@@H](C)O2)c1 ZINC000598214647 350215857 /nfs/dbraw/zinc/21/58/57/350215857.db2.gz URVKEEHEPMXIIK-NEPJUHHUSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCC[C@@H](C)O2)cc([N+](=O)[O-])c1 ZINC000598215181 350216674 /nfs/dbraw/zinc/21/66/74/350216674.db2.gz WIAAJFMRTPCPLL-BXUZGUMPSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])NCCCC1CCC1 ZINC000598215929 350216926 /nfs/dbraw/zinc/21/69/26/350216926.db2.gz NYXDCGHUMGETGU-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN Nc1c(C(=O)NCCCC2CCC2)cc(F)cc1[N+](=O)[O-] ZINC000598215848 350217159 /nfs/dbraw/zinc/21/71/59/350217159.db2.gz LUQQFTOTXKRPDA-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@H](CCF)C1 ZINC000598218856 350218158 /nfs/dbraw/zinc/21/81/58/350218158.db2.gz FMNUQEJWAGNHBG-SNVBAGLBSA-N 0 0 298.289 2.946 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@H](CCF)C1 ZINC000598219305 350218369 /nfs/dbraw/zinc/21/83/69/350218369.db2.gz GUVMEQVEJIULKN-LLVKDONJSA-N 0 0 280.299 2.807 20 5 CFBDRN Cc1ccc(C(=O)NC[C@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000598218578 350218413 /nfs/dbraw/zinc/21/84/13/350218413.db2.gz MDKVEOJXUCDMDQ-LBPRGKRZSA-N 0 0 284.262 2.678 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1)C1CC1 ZINC000598231853 350220961 /nfs/dbraw/zinc/22/09/61/350220961.db2.gz BKZFKEAYURFPBF-GFCCVEGCSA-N 0 0 292.335 2.830 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000598232540 350221662 /nfs/dbraw/zinc/22/16/62/350221662.db2.gz HDJZEAYKADSSPR-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(OC)c([N+](=O)[O-])c1)C1CC1 ZINC000598234966 350222441 /nfs/dbraw/zinc/22/24/41/350222441.db2.gz YPJKWVOCNQLFTJ-LLVKDONJSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000105177073 186044437 /nfs/dbraw/zinc/04/44/37/186044437.db2.gz WITZYOKYFRLAAE-SMDDNHRTSA-N 0 0 291.351 2.625 20 5 CFBDRN CCn1ncc(C)c1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000598200533 350212620 /nfs/dbraw/zinc/21/26/20/350212620.db2.gz YLSCKOMDKLUPOF-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]2C[C@H]21)c1cccc([N+](=O)[O-])c1 ZINC000598208301 350213811 /nfs/dbraw/zinc/21/38/11/350213811.db2.gz LUAGDLSQBISXJS-VHRBIJSZSA-N 0 0 274.320 2.761 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000598295224 350228238 /nfs/dbraw/zinc/22/82/38/350228238.db2.gz CFBMWHKYSYNMIS-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000290943632 197866552 /nfs/dbraw/zinc/86/65/52/197866552.db2.gz MAVSCZDWIYUFBS-VIFPVBQESA-N 0 0 254.286 2.839 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000598300051 350229211 /nfs/dbraw/zinc/22/92/11/350229211.db2.gz YQUZUHQVWCGCLK-GHMZBOCLSA-N 0 0 279.340 2.584 20 5 CFBDRN CCSC1(CNC(=O)c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000598328251 350235336 /nfs/dbraw/zinc/23/53/36/350235336.db2.gz KBFWXXBWUIAGKK-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN CC(C)(C(=O)N1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000598330782 350236919 /nfs/dbraw/zinc/23/69/19/350236919.db2.gz NEXMBUZTMJWLSU-RYUDHWBXSA-N 0 0 288.347 2.741 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2C(C)(C)C2(F)F)cc([N+](=O)[O-])c1 ZINC000598340980 350240986 /nfs/dbraw/zinc/24/09/86/350240986.db2.gz MUIAJGDLJZFYGI-NSHDSACASA-N 0 0 298.289 2.924 20 5 CFBDRN CC[C@@](C)(NC(=O)c1ccc([N+](=O)[O-])c(OC)c1)C1CC1 ZINC000598248688 350224355 /nfs/dbraw/zinc/22/43/55/350224355.db2.gz CTOHTFIIYJUEID-OAHLLOKOSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@](C)(NC(=O)c1cc([N+](=O)[O-])cnc1C)C1CC1 ZINC000598250664 350224493 /nfs/dbraw/zinc/22/44/93/350224493.db2.gz NKBYYCOMBJAJDI-AWEZNQCLSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@](C)(NC(=O)COc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598249690 350224515 /nfs/dbraw/zinc/22/45/15/350224515.db2.gz IKHYZYGGXXYVCI-OAHLLOKOSA-N 0 0 292.335 2.669 20 5 CFBDRN CCc1nn(C)c(N2CCc3ccsc3C2)c1[N+](=O)[O-] ZINC000271340070 191084001 /nfs/dbraw/zinc/08/40/01/191084001.db2.gz FWDBAQPIVZMWQO-UHFFFAOYSA-N 0 0 292.364 2.515 20 5 CFBDRN COc1cc(C(=O)NC2(CC(C)C)CC2)ccc1[N+](=O)[O-] ZINC000598402643 350256963 /nfs/dbraw/zinc/25/69/63/350256963.db2.gz LAPXJCPTYNJYBZ-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC1(CC(C)C)CC1 ZINC000598404032 350257990 /nfs/dbraw/zinc/25/79/90/350257990.db2.gz QHQNNCCKNVTSQU-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)C1CCC(F)CC1 ZINC000598368230 350251506 /nfs/dbraw/zinc/25/15/06/350251506.db2.gz ZCSBXIBKPQCMMJ-IDKOKCKLSA-N 0 0 284.287 2.834 20 5 CFBDRN Cc1cc(OCC(=O)NCC2=CCCC2)ccc1[N+](=O)[O-] ZINC000598377627 350252298 /nfs/dbraw/zinc/25/22/98/350252298.db2.gz XULYOOGJQYNZDZ-UHFFFAOYSA-N 0 0 290.319 2.509 20 5 CFBDRN Cc1cc(C(=O)NCC2=CCCC2)ccc1[N+](=O)[O-] ZINC000598380073 350253115 /nfs/dbraw/zinc/25/31/15/350253115.db2.gz ZHTLIOVYFUXJIJ-UHFFFAOYSA-N 0 0 260.293 2.743 20 5 CFBDRN COc1ccc(C(=O)N[C@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598384562 350254670 /nfs/dbraw/zinc/25/46/70/350254670.db2.gz CNMFPDJGMMAUGS-CQSZACIVSA-N 0 0 278.308 2.522 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000598386915 350255161 /nfs/dbraw/zinc/25/51/61/350255161.db2.gz UKXHNIGGMXHYRR-CQSZACIVSA-N 0 0 280.299 2.581 20 5 CFBDRN CO[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000598395344 350255997 /nfs/dbraw/zinc/25/59/97/350255997.db2.gz QJRSADRLWQGRRY-AWEZNQCLSA-N 0 0 292.335 2.530 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000598566256 350283694 /nfs/dbraw/zinc/28/36/94/350283694.db2.gz UUDQKCRIWRKNCI-NSHDSACASA-N 0 0 262.309 2.713 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000598572186 350284113 /nfs/dbraw/zinc/28/41/13/350284113.db2.gz RMZWPUSWAYIUFV-UHFFFAOYSA-N 0 0 297.314 2.768 20 5 CFBDRN O=C(NCCCc1ccco1)c1csc([N+](=O)[O-])c1 ZINC000598436354 350268314 /nfs/dbraw/zinc/26/83/14/350268314.db2.gz NHKIPYINDJTRDR-UHFFFAOYSA-N 0 0 280.305 2.612 20 5 CFBDRN Cc1c(C(=O)N(C)CC2=CCSC2)cccc1[N+](=O)[O-] ZINC000598515343 350279341 /nfs/dbraw/zinc/27/93/41/350279341.db2.gz WWBFLQGAKYVAHQ-UHFFFAOYSA-N 0 0 292.360 2.648 20 5 CFBDRN Cc1cnc(NCC[C@@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000598637953 350298836 /nfs/dbraw/zinc/29/88/36/350298836.db2.gz MJFGQIGPDRLLRL-MRVPVSSYSA-N 0 0 257.240 2.755 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@@H]1CC1(F)F ZINC000598640179 350299814 /nfs/dbraw/zinc/29/98/14/350299814.db2.gz VRTHFVPNSPGSMS-MRVPVSSYSA-N 0 0 274.271 2.577 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC(CC2CC2)C1 ZINC000598732679 350322524 /nfs/dbraw/zinc/32/25/24/350322524.db2.gz NCPOLVHOGBQDGX-UHFFFAOYSA-N 0 0 293.298 2.998 20 5 CFBDRN CC1CC(CNC(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000598732551 350322658 /nfs/dbraw/zinc/32/26/58/350322658.db2.gz LZNWGSDVIXKPNP-UHFFFAOYSA-N 0 0 281.287 2.902 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](OC)C1CCC1 ZINC000598845344 350338850 /nfs/dbraw/zinc/33/88/50/350338850.db2.gz SVZCMGXUFYECCZ-AWEZNQCLSA-N 0 0 292.335 2.911 20 5 CFBDRN Cc1ccc(NC(=O)c2cnn(C3CC3)c2)cc1[N+](=O)[O-] ZINC000598953501 350348307 /nfs/dbraw/zinc/34/83/07/350348307.db2.gz CEORTBSOQGPQQR-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN C/C=C\CNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000598971740 350351944 /nfs/dbraw/zinc/35/19/44/350351944.db2.gz COLFSWBNEHWTRS-XOULXFPDSA-N 0 0 263.297 2.531 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599042916 350363884 /nfs/dbraw/zinc/36/38/84/350363884.db2.gz JJYGINULDPOWHO-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN CC1(CNc2ccc3cnccc3c2[N+](=O)[O-])COC1 ZINC000599044323 350364608 /nfs/dbraw/zinc/36/46/08/350364608.db2.gz ONVSSFHGMJKTJQ-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599067085 350368751 /nfs/dbraw/zinc/36/87/51/350368751.db2.gz XTOQSSHQAHURLZ-ZJUUUORDSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1C[C@H]2CC[C@@H](C1)O2 ZINC000599055405 350366529 /nfs/dbraw/zinc/36/65/29/350366529.db2.gz QGTYNAIAHJRTGD-TXEJJXNPSA-N 0 0 285.303 2.511 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC(CC2CC2)C1 ZINC000598780305 350328566 /nfs/dbraw/zinc/32/85/66/350328566.db2.gz AMOJZKNHYXEVPV-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN CCc1ccc(C(=O)NCC[C@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000598783689 350329975 /nfs/dbraw/zinc/32/99/75/350329975.db2.gz QVLIXUQFTHTSIQ-NSHDSACASA-N 0 0 298.289 2.932 20 5 CFBDRN C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCO1 ZINC000598802909 350330684 /nfs/dbraw/zinc/33/06/84/350330684.db2.gz JJZAYCPPVCWFPN-ZWNOBZJWSA-N 0 0 278.308 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc([C@H]([NH2+]CC2COC2)c2ccccc2)c1 ZINC000599080067 350371627 /nfs/dbraw/zinc/37/16/27/350371627.db2.gz JULRFVHUTOGTKE-QGZVFWFLSA-N 0 0 298.342 2.920 20 5 CFBDRN C[C@@H](CC(=O)NCc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000599083777 350373453 /nfs/dbraw/zinc/37/34/53/350373453.db2.gz MPYBXWGYPOBEBE-QMMMGPOBSA-N 0 0 290.241 2.800 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@H]2c2cn[nH]c2)n1 ZINC000599098930 350375934 /nfs/dbraw/zinc/37/59/34/350375934.db2.gz PIQNEBGUWHDVPO-LBPRGKRZSA-N 0 0 287.323 2.671 20 5 CFBDRN Cc1nc(N2CC[C@@H](c3ccncc3)C2)ccc1[N+](=O)[O-] ZINC000599104974 350376508 /nfs/dbraw/zinc/37/65/08/350376508.db2.gz XHSJTYQXXZUSOT-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN COc1cccc(NCC[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000599116337 350377971 /nfs/dbraw/zinc/37/79/71/350377971.db2.gz GXUISAPVHPROHY-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCCOC2)c(F)c1 ZINC000599116688 350378015 /nfs/dbraw/zinc/37/80/15/350378015.db2.gz JXMBDEPXXKRIOP-SNVBAGLBSA-N 0 0 268.288 2.963 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000599115585 350378160 /nfs/dbraw/zinc/37/81/60/350378160.db2.gz NVEZOUIGTIKAMM-OUAUKWLOSA-N 0 0 289.335 2.542 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCc1ccns1)CCCC2 ZINC000599118539 350378481 /nfs/dbraw/zinc/37/84/81/350378481.db2.gz JEYDLPQYTZUDNP-UHFFFAOYSA-N 0 0 290.348 2.937 20 5 CFBDRN CCC[C@@H](CNc1cccnc1[N+](=O)[O-])OCC ZINC000599118927 350378938 /nfs/dbraw/zinc/37/89/38/350378938.db2.gz MJBRXQCQSJBVPN-JTQLQIEISA-N 0 0 253.302 2.607 20 5 CFBDRN CCC[C@H](CNc1ncc([N+](=O)[O-])cc1C)OCC ZINC000599119301 350379415 /nfs/dbraw/zinc/37/94/15/350379415.db2.gz PPWWCDSTKXBIAT-GFCCVEGCSA-N 0 0 267.329 2.915 20 5 CFBDRN COCCC1CCN(c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000599121282 350379735 /nfs/dbraw/zinc/37/97/35/350379735.db2.gz SCAWYTYKCPEOAO-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN CC1(C)C[C@@H](Sc2nc3sccn3c2[N+](=O)[O-])CO1 ZINC000599125202 350380498 /nfs/dbraw/zinc/38/04/98/350380498.db2.gz MAPHVGRKMRHELG-SSDOTTSWSA-N 0 0 299.377 2.964 20 5 CFBDRN Cc1cc(N2CC[C@H]3CC[C@@H](C2)S3)ncc1[N+](=O)[O-] ZINC000599134696 350381494 /nfs/dbraw/zinc/38/14/94/350381494.db2.gz NQFYZMWOWOMLHR-MNOVXSKESA-N 0 0 279.365 2.773 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](CO)CCC(C)C)n1 ZINC000599138341 350383076 /nfs/dbraw/zinc/38/30/76/350383076.db2.gz ZVYPRCAZFKLWBJ-LBPRGKRZSA-N 0 0 281.356 2.816 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@]23C[C@H]2COC3(C)C)c1 ZINC000599142711 350383649 /nfs/dbraw/zinc/38/36/49/350383649.db2.gz JQOVJDPAGCORAC-IINYFYTJSA-N 0 0 262.309 2.883 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCC1CC=CC1 ZINC000599140778 350383771 /nfs/dbraw/zinc/38/37/71/350383771.db2.gz GVGGUSFMPQAINP-UHFFFAOYSA-N 0 0 289.335 2.702 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1c(OC)cccc1[N+](=O)[O-] ZINC000599147878 350384752 /nfs/dbraw/zinc/38/47/52/350384752.db2.gz ROJGOGYJTXBTEQ-YPMHNXCESA-N 0 0 266.297 2.583 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000599147346 350384798 /nfs/dbraw/zinc/38/47/98/350384798.db2.gz LFIAIPHYUCTCRB-OLZOCXBDSA-N 0 0 250.298 2.883 20 5 CFBDRN CN(CC1(C)COC1)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000599145657 350384801 /nfs/dbraw/zinc/38/48/01/350384801.db2.gz ZDPOWPPPUQVDSO-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000599146942 350384955 /nfs/dbraw/zinc/38/49/55/350384955.db2.gz FEGHLKYTBKXNCG-PELKAZGASA-N 0 0 294.336 2.579 20 5 CFBDRN CCC(F)(F)CNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000599147708 350385060 /nfs/dbraw/zinc/38/50/60/350385060.db2.gz CUKLJWZCXSTJBD-UHFFFAOYSA-N 0 0 298.249 2.701 20 5 CFBDRN CCC(F)(F)CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000599148647 350385483 /nfs/dbraw/zinc/38/54/83/350385483.db2.gz JJMLXSYARSQOJM-UHFFFAOYSA-N 0 0 263.219 2.773 20 5 CFBDRN CCC(F)(F)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000599149530 350385639 /nfs/dbraw/zinc/38/56/39/350385639.db2.gz OOOSNUZZXVLVPP-UHFFFAOYSA-N 0 0 276.268 2.761 20 5 CFBDRN CC(C)c1c[nH]c(Sc2ccc([N+](=O)[O-])nc2)n1 ZINC000599152844 350386462 /nfs/dbraw/zinc/38/64/62/350386462.db2.gz RYQKBNJQDPNGSM-UHFFFAOYSA-N 0 0 264.310 2.988 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000599163901 350387464 /nfs/dbraw/zinc/38/74/64/350387464.db2.gz HEBWEFJRBJSLPA-WDCWCFNPSA-N 0 0 265.313 2.522 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1ccccc1[N+](=O)[O-] ZINC000599164793 350387528 /nfs/dbraw/zinc/38/75/28/350387528.db2.gz NKIFHEGJKWBBNW-QISWUMQESA-N 0 0 250.298 2.819 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000599163946 350387614 /nfs/dbraw/zinc/38/76/14/350387614.db2.gz IFTYHXQNEUXSAC-VXFNFUGZSA-N 0 0 290.323 2.695 20 5 CFBDRN Cc1cccc(N2C[C@@H]3CCC[C@]3(CO)C2)c1[N+](=O)[O-] ZINC000599174251 350389304 /nfs/dbraw/zinc/38/93/04/350389304.db2.gz OJYZFESDVLHIBA-SWLSCSKDSA-N 0 0 276.336 2.502 20 5 CFBDRN Cc1ccccc1CCNc1ccc([N+](=O)[O-])nc1 ZINC000105672900 186068425 /nfs/dbraw/zinc/06/84/25/186068425.db2.gz SXKWCAXPAVXJAA-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN CCOc1cc(N[C@@H]2CO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179338 350390366 /nfs/dbraw/zinc/39/03/66/350390366.db2.gz DQXVEAMIEDTXLS-GXTWGEPZSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CO[C@@H](C3CC3)C2)c(F)c1 ZINC000599181129 350390945 /nfs/dbraw/zinc/39/09/45/350390945.db2.gz QHDQETYETVZPOJ-QPUJVOFHSA-N 0 0 284.262 2.852 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000599180055 350391092 /nfs/dbraw/zinc/39/10/92/350391092.db2.gz IPIWOAPIYNKQGV-ZWNOBZJWSA-N 0 0 278.308 2.583 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CO[C@H](C3CC3)C2)n1 ZINC000599181734 350391616 /nfs/dbraw/zinc/39/16/16/350391616.db2.gz SWBFNKNKLBVMHA-NEPJUHHUSA-N 0 0 277.324 2.586 20 5 CFBDRN CCC(O)(CC)CN(C)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000599192354 350393370 /nfs/dbraw/zinc/39/33/70/350393370.db2.gz TVCBTUWDDHUILR-UHFFFAOYSA-N 0 0 281.356 2.594 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000599191804 350393456 /nfs/dbraw/zinc/39/34/56/350393456.db2.gz OQUNRZDNJZGXSY-UHFFFAOYSA-N 0 0 282.340 2.591 20 5 CFBDRN CCC(O)(CC)CN(C)c1c(F)cccc1[N+](=O)[O-] ZINC000599191811 350393499 /nfs/dbraw/zinc/39/34/99/350393499.db2.gz OSLGMHZXXNAVIQ-UHFFFAOYSA-N 0 0 270.304 2.721 20 5 CFBDRN C/C=C/C[C@H]1CCCN(c2c([N+](=O)[O-])ncn2C)C1 ZINC000599203526 350395902 /nfs/dbraw/zinc/39/59/02/350395902.db2.gz ZWDAOGBPMBTZES-UFFNRZRYSA-N 0 0 264.329 2.511 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000599205037 350396005 /nfs/dbraw/zinc/39/60/05/350396005.db2.gz ASIKJYSPNCXPPG-PHIMTYICSA-N 0 0 250.298 2.740 20 5 CFBDRN O=Cc1ccc(OCc2cnoc2C2CC2)c([N+](=O)[O-])c1 ZINC000271371728 191102927 /nfs/dbraw/zinc/10/29/27/191102927.db2.gz LIGMZUXKMQZNLJ-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN CC(C)OC(=O)[C@H](C)N(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000129731664 187450251 /nfs/dbraw/zinc/45/02/51/187450251.db2.gz WRLWVHBAWXOOAQ-JTQLQIEISA-N 0 0 298.314 2.506 20 5 CFBDRN Cc1c(CN(C)CC(F)(F)F)cccc1[N+](=O)[O-] ZINC000106443007 186117684 /nfs/dbraw/zinc/11/76/84/186117684.db2.gz OGAKWTCQDXJCDH-UHFFFAOYSA-N 0 0 262.231 2.897 20 5 CFBDRN CC(C)CC[C@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000599697506 350485270 /nfs/dbraw/zinc/48/52/70/350485270.db2.gz ZYMKKMHSSRWZOL-GFCCVEGCSA-N 0 0 295.339 2.513 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000599693718 350485288 /nfs/dbraw/zinc/48/52/88/350485288.db2.gz CERZASZISXOHPR-QISWUMQESA-N 0 0 293.323 2.528 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000599694869 350485426 /nfs/dbraw/zinc/48/54/26/350485426.db2.gz HXNUPDCJJHFBNU-OCCSQVGLSA-N 0 0 293.323 2.592 20 5 CFBDRN CO[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000599701485 350486496 /nfs/dbraw/zinc/48/64/96/350486496.db2.gz IYYZUNSIAXHSOV-XWEPSHTISA-N 0 0 299.714 2.547 20 5 CFBDRN Cc1cnccc1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000107292635 186167483 /nfs/dbraw/zinc/16/74/83/186167483.db2.gz RRAGBKPFTXRLLR-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1c[nH]c(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)n1 ZINC000600113513 350512762 /nfs/dbraw/zinc/51/27/62/350512762.db2.gz ZQFVDTARIPIJHS-UHFFFAOYSA-N 0 0 286.335 2.791 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2cccc([N+](=O)[O-])c2)CCC1(F)F ZINC000291086758 197912389 /nfs/dbraw/zinc/91/23/89/197912389.db2.gz ZYFDYDRGJDTGDU-SNVBAGLBSA-N 0 0 298.289 2.641 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCC1CC=CC1 ZINC000599780695 350493326 /nfs/dbraw/zinc/49/33/26/350493326.db2.gz SBFKUNQSAJRVME-UHFFFAOYSA-N 0 0 291.307 2.691 20 5 CFBDRN CCC[C@H](OCC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000599821254 350495307 /nfs/dbraw/zinc/49/53/07/350495307.db2.gz ZBJZUDGJHAFJLT-AWEZNQCLSA-N 0 0 294.351 2.725 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C12CC(C1)C2 ZINC000599958600 350501293 /nfs/dbraw/zinc/50/12/93/350501293.db2.gz SDMWMFRQZPJTPD-UHFFFAOYSA-N 0 0 260.293 2.950 20 5 CFBDRN CCC[C@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])OCC ZINC000600174244 350531143 /nfs/dbraw/zinc/53/11/43/350531143.db2.gz JQHSJIHKDGAADD-LLVKDONJSA-N 0 0 298.314 2.669 20 5 CFBDRN CO[C@@](C)([C@H](C)NC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000415942195 233517799 /nfs/dbraw/zinc/51/77/99/233517799.db2.gz IPKZGVJJPKSOJN-BONVTDFDSA-N 0 0 292.335 2.528 20 5 CFBDRN Cc1nc(COc2c(Cl)cncc2[N+](=O)[O-])c(C)o1 ZINC000600551148 350569443 /nfs/dbraw/zinc/56/94/43/350569443.db2.gz HWXHBJMIDSGUBE-UHFFFAOYSA-N 0 0 283.671 2.827 20 5 CFBDRN C[C@H]1C[C@@H]1Cn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000600563090 350571134 /nfs/dbraw/zinc/57/11/34/350571134.db2.gz JYIDDFXLIRQZSX-VHSXEESVSA-N 0 0 258.281 2.509 20 5 CFBDRN CCCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cn2)CC1 ZINC000108351213 186212531 /nfs/dbraw/zinc/21/25/31/186212531.db2.gz UNUOFPRHBYCEGK-CYBMUJFWSA-N 0 0 279.340 2.775 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCC1CC=CC1 ZINC000600224963 350543666 /nfs/dbraw/zinc/54/36/66/350543666.db2.gz TVKIDWMLSTXMTK-UHFFFAOYSA-N 0 0 294.738 2.873 20 5 CFBDRN Cc1c(C(=O)N(C2CC2)C2CC2)cccc1[N+](=O)[O-] ZINC000600364250 350550647 /nfs/dbraw/zinc/55/06/47/350550647.db2.gz FCBVCOVRVNNSQW-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN CCC(F)(F)CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000600380202 350552280 /nfs/dbraw/zinc/55/22/80/350552280.db2.gz QSBRKTRMKFKLEB-NWDGAFQWSA-N 0 0 298.289 2.860 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000600446496 350556607 /nfs/dbraw/zinc/55/66/07/350556607.db2.gz NBXNJZYNJKPNSD-MEUQOTJWSA-N 0 0 298.364 2.506 20 5 CFBDRN C[C@H](CN(C)C(=O)c1c[nH]nc1[N+](=O)[O-])C1CCCCC1 ZINC000600477717 350558043 /nfs/dbraw/zinc/55/80/43/350558043.db2.gz YCECLSODLLOAPD-SNVBAGLBSA-N 0 0 294.355 2.606 20 5 CFBDRN C/C=C\CNC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000600489422 350558350 /nfs/dbraw/zinc/55/83/50/350558350.db2.gz GFFZDHQPRLDWSZ-IHWYPQMZSA-N 0 0 286.234 2.502 20 5 CFBDRN C/C=C/CNC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000600490449 350558548 /nfs/dbraw/zinc/55/85/48/350558548.db2.gz IAWZGEHAMABLTH-ONEGZZNKSA-N 0 0 266.322 2.623 20 5 CFBDRN C/C=C/CNC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000600491504 350558949 /nfs/dbraw/zinc/55/89/49/350558949.db2.gz LDNDSOHEAYUQCB-FSIBCCDJSA-N 0 0 280.349 2.768 20 5 CFBDRN C/C=C/CNC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000600491390 350559253 /nfs/dbraw/zinc/55/92/53/350559253.db2.gz OKPFWEZIENNFOA-NSCUHMNNSA-N 0 0 288.225 2.920 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1nc(C)oc1C ZINC000600507955 350560332 /nfs/dbraw/zinc/56/03/32/350560332.db2.gz DSPYXSURXGEWID-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN Cc1ccc(OCCCc2cnoc2)c([N+](=O)[O-])c1 ZINC000600513280 350561043 /nfs/dbraw/zinc/56/10/43/350561043.db2.gz OFBIAABQLOJFOK-UHFFFAOYSA-N 0 0 262.265 2.903 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1nc(C)oc1C ZINC000600523348 350563142 /nfs/dbraw/zinc/56/31/42/350563142.db2.gz PSLDIEXSSKYILC-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN CCCOCn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000600525822 350564288 /nfs/dbraw/zinc/56/42/88/350564288.db2.gz LIVAREVRNFIVPB-UHFFFAOYSA-N 0 0 261.281 2.842 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCn1ccnc1C1CC1 ZINC000600612271 350580449 /nfs/dbraw/zinc/58/04/49/350580449.db2.gz ZZZBMMWOWFELRS-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCC1(O)CCCC1 ZINC000108644321 186225094 /nfs/dbraw/zinc/22/50/94/186225094.db2.gz IIBLIPGPRICHOL-UHFFFAOYSA-N 0 0 270.716 2.965 20 5 CFBDRN CC(C)(C)OC(=O)Oc1cc(C=O)ccc1[N+](=O)[O-] ZINC000108649507 186225319 /nfs/dbraw/zinc/22/53/19/186225319.db2.gz LUDATHOVTZTNTN-UHFFFAOYSA-N 0 0 267.237 2.721 20 5 CFBDRN Cc1cn(-c2cccc([N+](=O)[O-])c2)c(=O)n1C[C@@H]1C[C@@H]1C ZINC000600673733 350594900 /nfs/dbraw/zinc/59/49/00/350594900.db2.gz IBVWOCIKLHUUOW-JQWIXIFHSA-N 0 0 287.319 2.512 20 5 CFBDRN Cc1noc(COc2ccc(Cl)c(CO)c2)c1[N+](=O)[O-] ZINC000600674830 350595059 /nfs/dbraw/zinc/59/50/59/350595059.db2.gz JAAAYOFYISLKLZ-UHFFFAOYSA-N 0 0 298.682 2.616 20 5 CFBDRN Cc1cn(Cc2cccnc2C(F)(F)F)nc1[N+](=O)[O-] ZINC000600674862 350595114 /nfs/dbraw/zinc/59/51/14/350595114.db2.gz OZUPUFNEMGXHQT-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)[O-])C[C@H](C)[N@H+]1Cc1ccccc1[N+](=O)[O-] ZINC000600676659 350595586 /nfs/dbraw/zinc/59/55/86/350595586.db2.gz ZFVIIIRIFYMJBU-PTEHBNRSSA-N 0 0 292.335 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCCOCC(F)(F)F ZINC000108943186 186236774 /nfs/dbraw/zinc/23/67/74/186236774.db2.gz YSAJETCBXUIDHJ-UHFFFAOYSA-N 0 0 278.230 2.894 20 5 CFBDRN COc1ccc(-c2nc(C(C)(C)C)no2)cc1[N+](=O)[O-] ZINC000109523370 186258985 /nfs/dbraw/zinc/25/89/85/186258985.db2.gz LUTQZUYYQFVMBH-UHFFFAOYSA-N 0 0 277.280 2.951 20 5 CFBDRN CCc1nc(COc2cc(Cl)ccc2[N+](=O)[O-])no1 ZINC000109744027 186271070 /nfs/dbraw/zinc/27/10/70/186271070.db2.gz XZHWTHINTFVNID-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CCC(F)(F)F)c2)cn1 ZINC000600789991 350612879 /nfs/dbraw/zinc/61/28/79/350612879.db2.gz VYKVEVNXXPOWLX-UHFFFAOYSA-N 0 0 286.213 2.806 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000600981503 350641080 /nfs/dbraw/zinc/64/10/80/350641080.db2.gz AZMIEXQGJHGANV-UHFFFAOYSA-N 0 0 264.256 2.501 20 5 CFBDRN O=C(NCC1CC1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600982542 350641099 /nfs/dbraw/zinc/64/10/99/350641099.db2.gz BQOXHACKMXEEIE-UHFFFAOYSA-N 0 0 274.320 2.543 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600998567 350643378 /nfs/dbraw/zinc/64/33/78/350643378.db2.gz XTFTWVWBSLMLRL-RYUDHWBXSA-N 0 0 288.347 2.789 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601016174 350645907 /nfs/dbraw/zinc/64/59/07/350645907.db2.gz UYFMCCJJUIKMPN-BDAKNGLRSA-N 0 0 268.288 2.898 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601017478 350645955 /nfs/dbraw/zinc/64/59/55/350645955.db2.gz JESARUPJJKGTOX-JTQLQIEISA-N 0 0 278.283 2.820 20 5 CFBDRN COc1cc(C(=O)N(C(C)C)C(C)C)ccc1[N+](=O)[O-] ZINC000110294390 186290410 /nfs/dbraw/zinc/29/04/10/186290410.db2.gz HEGAHKZBEXGPGK-UHFFFAOYSA-N 0 0 280.324 2.862 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N1CCC(C)(C)C1 ZINC000601119570 350673560 /nfs/dbraw/zinc/67/35/60/350673560.db2.gz BZCYGHGZNWXIBZ-UHFFFAOYSA-N 0 0 292.261 2.583 20 5 CFBDRN CC(C)Cn1cc(Nc2ncc(F)cc2[N+](=O)[O-])cn1 ZINC000601120827 350673904 /nfs/dbraw/zinc/67/39/04/350673904.db2.gz OBBUQOBPBASCIX-UHFFFAOYSA-N 0 0 279.275 2.725 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC(C(F)(F)F)CC1 ZINC000601120546 350674029 /nfs/dbraw/zinc/67/40/29/350674029.db2.gz KGQUQJFGWLVEBS-UHFFFAOYSA-N 0 0 293.220 2.908 20 5 CFBDRN Cc1ccc(CNc2cncc(N(C)C)c2)cc1[N+](=O)[O-] ZINC000601063479 350656903 /nfs/dbraw/zinc/65/69/03/350656903.db2.gz BQPSPWBMZCSIOA-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN COc1ccc(OC[C@@H]2CC(C)(C)CO2)c([N+](=O)[O-])c1 ZINC000601071851 350658094 /nfs/dbraw/zinc/65/80/94/350658094.db2.gz BCMLOEBHUZLQER-NSHDSACASA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1ccc(OCc2nc(CC3CC3)no2)c([N+](=O)[O-])c1 ZINC000601074220 350659152 /nfs/dbraw/zinc/65/91/52/350659152.db2.gz MECRVKYERSULOI-UHFFFAOYSA-N 0 0 289.291 2.818 20 5 CFBDRN Cc1c(OC[C@@H]2CCO[C@@H]2C)cccc1[N+](=O)[O-] ZINC000601075412 350659719 /nfs/dbraw/zinc/65/97/19/350659719.db2.gz AYAFWHVDJXFLFP-MNOVXSKESA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ccc(OCc2nc(CC3CC3)no2)cc1[N+](=O)[O-] ZINC000601080638 350661754 /nfs/dbraw/zinc/66/17/54/350661754.db2.gz NTIFWVXCBUQJHX-UHFFFAOYSA-N 0 0 289.291 2.818 20 5 CFBDRN CC1(C)CO[C@H](COc2c(F)cccc2[N+](=O)[O-])C1 ZINC000601082198 350662112 /nfs/dbraw/zinc/66/21/12/350662112.db2.gz ZGMRIYFNRIVTML-VIFPVBQESA-N 0 0 269.272 2.928 20 5 CFBDRN CC1(C)CC(n2nc(-c3ccc([N+](=O)[O-])cc3)oc2=O)C1 ZINC000601081583 350662130 /nfs/dbraw/zinc/66/21/30/350662130.db2.gz XXIGPVUGMPJNPA-UHFFFAOYSA-N 0 0 289.291 2.773 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCO[C@H]2C)cc1[N+](=O)[O-] ZINC000601080995 350662219 /nfs/dbraw/zinc/66/22/19/350662219.db2.gz RPLSROMCMHZLAQ-QWRGUYRKSA-N 0 0 251.282 2.707 20 5 CFBDRN CCOC(=O)CCSCc1c(F)cccc1[N+](=O)[O-] ZINC000601095467 350666451 /nfs/dbraw/zinc/66/64/51/350666451.db2.gz DKLNNVOTYMWBFW-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN CCOC(=O)CCSCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000601096127 350666810 /nfs/dbraw/zinc/66/68/10/350666810.db2.gz POCFSROKJRYZOA-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN C[C@H]1CN(c2ccnc3ccc([N+](=O)[O-])cc32)CCCO1 ZINC000601110960 350671715 /nfs/dbraw/zinc/67/17/15/350671715.db2.gz MIAWBVYJEFAACP-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H](C)O[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000601223559 350702127 /nfs/dbraw/zinc/70/21/27/350702127.db2.gz GEAHXOQZTBTNQG-NQMVMOMDSA-N 0 0 284.287 2.720 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000601223690 350702137 /nfs/dbraw/zinc/70/21/37/350702137.db2.gz LACRQEANVPPZDX-KXUCPTDWSA-N 0 0 266.297 2.581 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000601223689 350702266 /nfs/dbraw/zinc/70/22/66/350702266.db2.gz LACRQEANVPPZDX-IVZWLZJFSA-N 0 0 266.297 2.581 20 5 CFBDRN COCC1(C2CC2)CN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000601226097 350702741 /nfs/dbraw/zinc/70/27/41/350702741.db2.gz KSIWJQMTCMGGHA-UHFFFAOYSA-N 0 0 297.742 2.506 20 5 CFBDRN COCC1(C2CC2)CN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000601225333 350702923 /nfs/dbraw/zinc/70/29/23/350702923.db2.gz FXECEZOARJNPON-UHFFFAOYSA-N 0 0 276.336 2.766 20 5 CFBDRN Cc1ncc([C@H](C)Nc2ccc([N+](=O)[O-])nc2)s1 ZINC000601228818 350703472 /nfs/dbraw/zinc/70/34/72/350703472.db2.gz WVZNPXXXTKLMMO-ZETCQYMHSA-N 0 0 264.310 2.928 20 5 CFBDRN CCOc1cc(NC2(CO)CCCC2)ccc1[N+](=O)[O-] ZINC000111049750 186348264 /nfs/dbraw/zinc/34/82/64/186348264.db2.gz UXKFIZNZBLMCDG-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN Cc1cccc(N2CCO[C@H](C(F)F)CC2)c1[N+](=O)[O-] ZINC000601230451 350703992 /nfs/dbraw/zinc/70/39/92/350703992.db2.gz CHCGGUSHJHDPGR-NSHDSACASA-N 0 0 286.278 2.764 20 5 CFBDRN CCOc1cc(N[C@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000111049602 186348283 /nfs/dbraw/zinc/34/82/83/186348283.db2.gz JMYDZDIQXZOQBL-WCQYABFASA-N 0 0 280.324 2.709 20 5 CFBDRN CC[C@@]1(O)CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000601232856 350704755 /nfs/dbraw/zinc/70/47/55/350704755.db2.gz GXSLHARECUNLLY-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN Cc1cc(N[C@H](C)[C@@H](O)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000601237698 350707191 /nfs/dbraw/zinc/70/71/91/350707191.db2.gz KMFYNNNBAJBBFI-GMSGAONNSA-N 0 0 278.230 2.627 20 5 CFBDRN CCOc1cc(NC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000111048760 186348598 /nfs/dbraw/zinc/34/85/98/186348598.db2.gz INLHDLFITWTZRE-GFCCVEGCSA-N 0 0 280.324 2.975 20 5 CFBDRN CCOc1cc(N[C@@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000111049595 186348655 /nfs/dbraw/zinc/34/86/55/186348655.db2.gz JMYDZDIQXZOQBL-YPMHNXCESA-N 0 0 280.324 2.709 20 5 CFBDRN O=C(NCc1ccc[nH]1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601257152 350710507 /nfs/dbraw/zinc/71/05/07/350710507.db2.gz HECJUNLCNFZTGF-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2OC3CCC2CC3)c(F)c1 ZINC000601273371 350714739 /nfs/dbraw/zinc/71/47/39/350714739.db2.gz UAIBSAODESCWNZ-UHFFFAOYSA-N 0 0 270.235 2.936 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@H]1CCOC2(CCC2)C1 ZINC000601130657 350677242 /nfs/dbraw/zinc/67/72/42/350677242.db2.gz ANRQCTFVIONMAL-JTQLQIEISA-N 0 0 281.287 2.643 20 5 CFBDRN C[C@@](CO)([NH2+]CC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000601150300 350682822 /nfs/dbraw/zinc/68/28/22/350682822.db2.gz VARJQSJEMCMHMQ-HNNXBMFYSA-N 0 0 278.352 2.582 20 5 CFBDRN C[C@](CO)([NH2+]C[C@@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000601150522 350683040 /nfs/dbraw/zinc/68/30/40/350683040.db2.gz YSZIGOUDWRHEQU-CZUORRHYSA-N 0 0 290.363 2.748 20 5 CFBDRN C[C@](CO)([NH2+]CC1CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000601150517 350683143 /nfs/dbraw/zinc/68/31/43/350683143.db2.gz YRMQUFPMEUVONB-MRXNPFEDSA-N 0 0 292.379 2.972 20 5 CFBDRN COCC(C)(C)CCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000601163886 350686065 /nfs/dbraw/zinc/68/60/65/350686065.db2.gz SFZMZXDMVWBOKT-UHFFFAOYSA-N 0 0 285.319 2.994 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC1(C(F)(F)F)CC1 ZINC000601164889 350686174 /nfs/dbraw/zinc/68/61/74/350686174.db2.gz HWDGPWUOEXGTQS-UHFFFAOYSA-N 0 0 279.193 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@H](C2CC2)C1 ZINC000601166752 350686591 /nfs/dbraw/zinc/68/65/91/350686591.db2.gz QXKLDCRLVHDXED-JTQLQIEISA-N 0 0 265.288 2.755 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1ccsc1[N+](=O)[O-] ZINC000601171444 350688035 /nfs/dbraw/zinc/68/80/35/350688035.db2.gz MCNAZCNOYBGREM-UHFFFAOYSA-N 0 0 266.326 2.651 20 5 CFBDRN CC1(CC(F)F)CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601181597 350690829 /nfs/dbraw/zinc/69/08/29/350690829.db2.gz NCWSBOKNJMVKDP-UHFFFAOYSA-N 0 0 275.230 2.610 20 5 CFBDRN Cc1n[nH]cc1CN(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000601181250 350690848 /nfs/dbraw/zinc/69/08/48/350690848.db2.gz HLWZNGDPTWQFAB-UHFFFAOYSA-N 0 0 260.297 2.571 20 5 CFBDRN CCc1csc([C@H](C)Nc2ncc([N+](=O)[O-])cn2)n1 ZINC000601181320 350690939 /nfs/dbraw/zinc/69/09/39/350690939.db2.gz FYZJJLQFQDMCFN-ZETCQYMHSA-N 0 0 279.325 2.577 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@@H]1C[C@H]1c1cccnc1 ZINC000601192685 350693726 /nfs/dbraw/zinc/69/37/26/350693726.db2.gz YIXKEXFIJDUBBH-JQWIXIFHSA-N 0 0 288.282 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@@H]4COC[C@@H]4C3)c2c1 ZINC000601195736 350694069 /nfs/dbraw/zinc/69/40/69/350694069.db2.gz BCUYCBIJRXFBOQ-NEPJUHHUSA-N 0 0 299.330 2.616 20 5 CFBDRN CO[C@H](CNc1ncc(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000601196381 350694271 /nfs/dbraw/zinc/69/42/71/350694271.db2.gz IXUPTOQBRRUJCV-GFCCVEGCSA-N 0 0 283.303 2.746 20 5 CFBDRN CC(C)(CNc1ccccc1[N+](=O)[O-])n1ccnc1 ZINC000601197426 350694495 /nfs/dbraw/zinc/69/44/95/350694495.db2.gz AGWLTXKRZDONOF-UHFFFAOYSA-N 0 0 260.297 2.639 20 5 CFBDRN CCC[C@@H](CNc1ncc(F)cc1[N+](=O)[O-])OCC ZINC000601202215 350696396 /nfs/dbraw/zinc/69/63/96/350696396.db2.gz YWFWATKQQXHWKK-JTQLQIEISA-N 0 0 271.292 2.746 20 5 CFBDRN CCC[C@H](CCO)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000601211930 350698643 /nfs/dbraw/zinc/69/86/43/350698643.db2.gz ALMLDCBVXHUCTO-LLVKDONJSA-N 0 0 280.324 2.760 20 5 CFBDRN O=C(Nc1nc2ccccc2s1)c1ccc([N+](=O)[O-])[nH]1 ZINC000349258992 304016203 /nfs/dbraw/zinc/01/62/03/304016203.db2.gz CRPHAACQWNZOIF-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN CCC[C@H](CCO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000601211709 350699170 /nfs/dbraw/zinc/69/91/70/350699170.db2.gz JZCCOJUTVFBPKT-MRVPVSSYSA-N 0 0 274.267 2.836 20 5 CFBDRN COC(=O)C(C)(C)CSc1nccc(C)c1[N+](=O)[O-] ZINC000601213134 350699646 /nfs/dbraw/zinc/69/96/46/350699646.db2.gz YJIWWGVBCPILHH-UHFFFAOYSA-N 0 0 284.337 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3cccnc3)C2)nc1 ZINC000601216929 350700280 /nfs/dbraw/zinc/70/02/80/350700280.db2.gz IXSSIRZXTYQCHA-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1COC2(CCCC2)O1 ZINC000271403747 191118011 /nfs/dbraw/zinc/11/80/11/191118011.db2.gz WCACEJKDQOHPNW-NSHDSACASA-N 0 0 278.308 2.692 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000271406610 191119826 /nfs/dbraw/zinc/11/98/26/191119826.db2.gz JOLJOXBWWPLYJT-AAEUAGOBSA-N 0 0 276.336 2.927 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CC[C@@H]1C(F)F ZINC000601606099 350746513 /nfs/dbraw/zinc/74/65/13/350746513.db2.gz RJFWJXHDLMKJKH-MRVPVSSYSA-N 0 0 290.653 2.728 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC[C@@H]1C(F)F ZINC000601607712 350746585 /nfs/dbraw/zinc/74/65/85/350746585.db2.gz WZPNTPFPUAALTJ-SECBINFHSA-N 0 0 290.653 2.728 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@H]1C(F)F ZINC000601607058 350746779 /nfs/dbraw/zinc/74/67/79/350746779.db2.gz VCNYBAYMWRRKLY-JTQLQIEISA-N 0 0 295.245 2.556 20 5 CFBDRN O=C(NCC[C@H]1CC1(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000601677313 350757909 /nfs/dbraw/zinc/75/79/09/350757909.db2.gz FCRXFIDFQHHRJO-ZETCQYMHSA-N 0 0 288.225 2.509 20 5 CFBDRN CCOc1cc(NCC2CCOCC2)ccc1[N+](=O)[O-] ZINC000111165649 186363535 /nfs/dbraw/zinc/36/35/35/186363535.db2.gz SFXRGQGYFAFXLG-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CC(C)(F)CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000601687455 350759289 /nfs/dbraw/zinc/75/92/89/350759289.db2.gz CBUAXQKSBRSBDO-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN CSC1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601687466 350759335 /nfs/dbraw/zinc/75/93/35/350759335.db2.gz CNFBAKAHTPYYJK-UHFFFAOYSA-N 0 0 298.339 2.702 20 5 CFBDRN CSC1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000601695143 350762320 /nfs/dbraw/zinc/76/23/20/350762320.db2.gz HBLYXIOIWVJUFY-UHFFFAOYSA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1noc(C2(c3ccc([N+](=O)[O-])cc3)CCC2)n1 ZINC000601352360 350725710 /nfs/dbraw/zinc/72/57/10/350725710.db2.gz BQKGIJOCEIOGBE-UHFFFAOYSA-N 0 0 259.265 2.756 20 5 CFBDRN C[C@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C1CCC1 ZINC000601372802 350727742 /nfs/dbraw/zinc/72/77/42/350727742.db2.gz JCFRUZXYUJUZFQ-QMMMGPOBSA-N 0 0 266.272 2.652 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1csc([N+](=O)[O-])c1 ZINC000601704301 350765194 /nfs/dbraw/zinc/76/51/94/350765194.db2.gz JPCYBPJHGUOFGG-VIFPVBQESA-N 0 0 266.322 2.719 20 5 CFBDRN O=C(N1CC=CCC1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601415319 350731639 /nfs/dbraw/zinc/73/16/39/350731639.db2.gz SRSQYMKNKOXOOJ-UHFFFAOYSA-N 0 0 286.331 2.805 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC(F)(F)CC1 ZINC000601549192 350738788 /nfs/dbraw/zinc/73/87/88/350738788.db2.gz WPJHGLLRVAYPFK-UHFFFAOYSA-N 0 0 288.225 2.605 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000601557300 350739621 /nfs/dbraw/zinc/73/96/21/350739621.db2.gz ZBBZNAMHVRTQIY-NSHDSACASA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1C[C@@H](C)O[C@H]1C ZINC000601584010 350742399 /nfs/dbraw/zinc/74/23/99/350742399.db2.gz AXNPXEIOHRLDLD-ADEWGFFLSA-N 0 0 292.335 2.964 20 5 CFBDRN O=C(N[C@H]1CCCC1(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000601584973 350743014 /nfs/dbraw/zinc/74/30/14/350743014.db2.gz YJRGGMQKZORZBH-VIFPVBQESA-N 0 0 288.225 2.652 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc(COC2CCC2)no1 ZINC000601963471 350820193 /nfs/dbraw/zinc/82/01/93/350820193.db2.gz BXELCFTUVSIMIW-UHFFFAOYSA-N 0 0 293.254 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(COC3CCC3)no2)cc1 ZINC000601965448 350820955 /nfs/dbraw/zinc/82/09/55/350820955.db2.gz SVQZVIOCXQGEHX-UHFFFAOYSA-N 0 0 275.264 2.714 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1CCCC12CC2 ZINC000601706779 350765555 /nfs/dbraw/zinc/76/55/55/350765555.db2.gz ZBLXAHVPBPOUGP-ZDUSSCGKSA-N 0 0 274.320 2.586 20 5 CFBDRN COc1cc(NC[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000271408584 191120893 /nfs/dbraw/zinc/12/08/93/191120893.db2.gz SNOAFLMNJLPHGU-WDEREUQCSA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])[C@@H](C)O1 ZINC000601762195 350772748 /nfs/dbraw/zinc/77/27/48/350772748.db2.gz RRISUVVUDWRSFD-SOCHQFKDSA-N 0 0 298.726 2.544 20 5 CFBDRN Cc1ncc([C@H](C)NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000601773149 350774205 /nfs/dbraw/zinc/77/42/05/350774205.db2.gz NGPUCUHMQDOXMC-LURJTMIESA-N 0 0 297.361 2.912 20 5 CFBDRN COc1ccc(C(=O)N[C@]23C[C@H]2CCCC3)cc1[N+](=O)[O-] ZINC000601775688 350774525 /nfs/dbraw/zinc/77/45/25/350774525.db2.gz OSSDHOYSXQVBKT-IAQYHMDHSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@]12C[C@H]1CCCC2 ZINC000601776623 350775094 /nfs/dbraw/zinc/77/50/94/350775094.db2.gz QNYKKLCURGPJRU-IAQYHMDHSA-N 0 0 292.310 2.725 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000601870264 350795384 /nfs/dbraw/zinc/79/53/84/350795384.db2.gz NOMBHDYCMDXICR-NSHDSACASA-N 0 0 291.351 2.702 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000601869847 350795470 /nfs/dbraw/zinc/79/54/70/350795470.db2.gz LTTWKHRXAAEMCR-MRVPVSSYSA-N 0 0 295.314 2.625 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@@H](C2CCC2)CC1 ZINC000601892972 350799214 /nfs/dbraw/zinc/79/92/14/350799214.db2.gz IYROZSIDSFAMJS-GFCCVEGCSA-N 0 0 291.351 2.965 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2C[C@H]2C(F)(F)F)c1[N+](=O)[O-] ZINC000601891035 350799406 /nfs/dbraw/zinc/79/94/06/350799406.db2.gz AYCGYEAPKJXMRZ-RKDXNWHRSA-N 0 0 288.225 2.584 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1cccc(C)c1F ZINC000601911296 350800036 /nfs/dbraw/zinc/80/00/36/350800036.db2.gz DVXUMDBWIRTICN-UHFFFAOYSA-N 0 0 279.271 2.626 20 5 CFBDRN Cc1cc(OCCc2ccnn2C)c(Cl)cc1[N+](=O)[O-] ZINC000601911426 350800041 /nfs/dbraw/zinc/80/00/41/350800041.db2.gz GHXWPWVHCBIUMY-UHFFFAOYSA-N 0 0 295.726 2.912 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSC[C@H]1CCO[C@@H](C)C1 ZINC000601917359 350801098 /nfs/dbraw/zinc/80/10/98/350801098.db2.gz IAUDAUDLRRSBHH-JQWIXIFHSA-N 0 0 299.396 2.648 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000601921670 350802930 /nfs/dbraw/zinc/80/29/30/350802930.db2.gz DRXHGZAZSAOVHM-WDEREUQCSA-N 0 0 260.293 2.975 20 5 CFBDRN O=C1C[C@@H]2CCCCC2=NN1c1ccc([N+](=O)[O-])cc1 ZINC000601933658 350806879 /nfs/dbraw/zinc/80/68/79/350806879.db2.gz FFPXCDWYBCOGOW-JTQLQIEISA-N 0 0 273.292 2.878 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1N1N=C(C)C[C@H](C)C1=O ZINC000601937108 350809517 /nfs/dbraw/zinc/80/95/17/350809517.db2.gz BTTNACSOYFZCEN-QMMMGPOBSA-N 0 0 261.281 2.652 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1N=C2CCC[C@@H]2CC1=O ZINC000601941428 350811362 /nfs/dbraw/zinc/81/13/62/350811362.db2.gz BBHYBEYLOFXZCR-SNVBAGLBSA-N 0 0 273.292 2.796 20 5 CFBDRN COC[C@H](C)n1nc(C)cc1-c1ccc([N+](=O)[O-])cc1 ZINC000601943166 350812025 /nfs/dbraw/zinc/81/20/25/350812025.db2.gz BHCYDXSWAFCJET-NSHDSACASA-N 0 0 275.308 2.974 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1N=C2CC[C@H](C)[C@@H]2C1=O ZINC000601944233 350812628 /nfs/dbraw/zinc/81/26/28/350812628.db2.gz XJZMGVKKWXMARR-SDBXPKJASA-N 0 0 273.292 2.652 20 5 CFBDRN C[C@]1(C2CCCCC2)CCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000602214835 350852718 /nfs/dbraw/zinc/85/27/18/350852718.db2.gz LTUDXSCNPKPANH-CQSZACIVSA-N 0 0 292.339 2.503 20 5 CFBDRN C[C@]1(C2CCCCC2)CCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000602214856 350852893 /nfs/dbraw/zinc/85/28/93/350852893.db2.gz MDDJHBRDACXXSI-CQSZACIVSA-N 0 0 292.339 2.503 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N(C)C[C@@H](C)C(C)(C)C)n1 ZINC000602218109 350853413 /nfs/dbraw/zinc/85/34/13/350853413.db2.gz KZGJAHPLRISRBF-SNVBAGLBSA-N 0 0 296.371 2.565 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000602227849 350854228 /nfs/dbraw/zinc/85/42/28/350854228.db2.gz GANKYLQQAJSVPU-BSTOTGJRSA-N 0 0 278.308 2.593 20 5 CFBDRN CCO[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C(C)C ZINC000602234264 350855222 /nfs/dbraw/zinc/85/52/22/350855222.db2.gz PFZXLQKGPJWWLA-AWEZNQCLSA-N 0 0 292.335 2.545 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000602249816 350855616 /nfs/dbraw/zinc/85/56/16/350855616.db2.gz NCZCZTIDDJIOME-LOKDSWTASA-N 0 0 296.298 2.732 20 5 CFBDRN Cc1cccc(C(=O)N(C)[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC000602241610 350855885 /nfs/dbraw/zinc/85/58/85/350855885.db2.gz KKZOFQROTGASKK-SNVBAGLBSA-N 0 0 262.309 2.774 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000602246481 350855888 /nfs/dbraw/zinc/85/58/88/350855888.db2.gz ORDFEHLKIZAZMF-SECBINFHSA-N 0 0 268.288 2.995 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000602282243 350858396 /nfs/dbraw/zinc/85/83/96/350858396.db2.gz YYSCOXFJDIFZTR-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1c(CNC(=O)N(C2CC2)C2CC2)cccc1[N+](=O)[O-] ZINC000602303523 350861704 /nfs/dbraw/zinc/86/17/04/350861704.db2.gz PMDIMIYYTNSYCA-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN Cc1nn(C)c(NCc2cc(F)ccc2F)c1[N+](=O)[O-] ZINC000111235093 186373641 /nfs/dbraw/zinc/37/36/41/186373641.db2.gz BYQGILHQPKAPOQ-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN CC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2C)C[C@H](C)O1 ZINC000111273153 186384849 /nfs/dbraw/zinc/38/48/49/186384849.db2.gz PJGZETOIVFOVJW-FZMZJTMJSA-N 0 0 278.352 2.903 20 5 CFBDRN COC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602385248 350872235 /nfs/dbraw/zinc/87/22/35/350872235.db2.gz UPTMAJKCEKGFHS-UHFFFAOYSA-N 0 0 289.291 2.507 20 5 CFBDRN Cn1cc(CN2CCC[C@@H]2c2cccs2)c([N+](=O)[O-])n1 ZINC000602435745 350881454 /nfs/dbraw/zinc/88/14/54/350881454.db2.gz TVQAURCEFGYZTC-LLVKDONJSA-N 0 0 292.364 2.727 20 5 CFBDRN Cn1cc(CN(Cc2cccs2)C2CC2)c([N+](=O)[O-])n1 ZINC000602439453 350883860 /nfs/dbraw/zinc/88/38/60/350883860.db2.gz GBQOGQCFLOZURR-UHFFFAOYSA-N 0 0 292.364 2.554 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN1C[C@@H](C)c2ccccc21 ZINC000602764828 351017055 /nfs/dbraw/zinc/01/70/55/351017055.db2.gz ZHUWDVAQGFSLAJ-LLVKDONJSA-N 0 0 286.335 2.723 20 5 CFBDRN Cn1cc(CN2CCC[C@H]2c2ccsc2)c([N+](=O)[O-])n1 ZINC000602763499 351017217 /nfs/dbraw/zinc/01/72/17/351017217.db2.gz PDWHLKBQYDBGFL-LBPRGKRZSA-N 0 0 292.364 2.727 20 5 CFBDRN C[C@@H](NCc1cn(C)nc1[N+](=O)[O-])c1ccccc1Cl ZINC000602782627 351023401 /nfs/dbraw/zinc/02/34/01/351023401.db2.gz IPODBBBBRSCOPS-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC1CC1 ZINC000112606483 186508912 /nfs/dbraw/zinc/50/89/12/186508912.db2.gz VMMLTUIJERGNQV-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC[N@H+]1CC=C(C(C)(C)C)CC1 ZINC000602795924 351028561 /nfs/dbraw/zinc/02/85/61/351028561.db2.gz PBUMOJVTPUPAMK-UHFFFAOYSA-N 0 0 292.383 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1ccns1)CC2 ZINC000602808211 351033816 /nfs/dbraw/zinc/03/38/16/351033816.db2.gz DIYYBCUYVFKQLJ-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN Cc1ccc(Cl)cc1N(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602830311 351039212 /nfs/dbraw/zinc/03/92/12/351039212.db2.gz CLISVCKJMWXRQS-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2c2cn[nH]c2)c(F)c1 ZINC000602883897 351057225 /nfs/dbraw/zinc/05/72/25/351057225.db2.gz YSKWHFQJXJOSAY-AWEZNQCLSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)C(F)F ZINC000602960280 351072412 /nfs/dbraw/zinc/07/24/12/351072412.db2.gz ZBFXKRZOZRQNTC-SSDOTTSWSA-N 0 0 273.239 2.678 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)NC1CCCCCC1 ZINC000602959961 351072443 /nfs/dbraw/zinc/07/24/43/351072443.db2.gz VBLUSBMJXUKZOL-UHFFFAOYSA-N 0 0 292.339 2.564 20 5 CFBDRN CC[C@]1(O)CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000602981399 351082209 /nfs/dbraw/zinc/08/22/09/351082209.db2.gz CKCKYOQLAUBBFJ-AWEZNQCLSA-N 0 0 298.770 2.985 20 5 CFBDRN CN(C(=O)[C@@H]1COc2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000113018760 186550248 /nfs/dbraw/zinc/55/02/48/186550248.db2.gz ZNXMLGVYCSALTP-CQSZACIVSA-N 0 0 298.298 2.734 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1OC2CCC1CC2 ZINC000603031950 351092495 /nfs/dbraw/zinc/09/24/95/351092495.db2.gz PWQTVHXTBKZGCM-UHFFFAOYSA-N 0 0 266.272 2.792 20 5 CFBDRN CCc1nnc(SCCOc2cccc([N+](=O)[O-])c2)o1 ZINC000603371678 351149470 /nfs/dbraw/zinc/14/94/70/351149470.db2.gz VQWUCKQPPMJCRE-UHFFFAOYSA-N 0 0 295.320 2.711 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC2CSC2)c(F)c1 ZINC000603415086 351160145 /nfs/dbraw/zinc/16/01/45/351160145.db2.gz ZYZQQONAUHEASZ-UHFFFAOYSA-N 0 0 260.265 2.648 20 5 CFBDRN Cn1cc(COc2cccc(C3CC3)c2)c([N+](=O)[O-])n1 ZINC000603424209 351161777 /nfs/dbraw/zinc/16/17/77/351161777.db2.gz SVPLJDWBWIGLJD-UHFFFAOYSA-N 0 0 273.292 2.785 20 5 CFBDRN CC(F)(F)CCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000603732549 351179954 /nfs/dbraw/zinc/17/99/54/351179954.db2.gz DOZCCYUUFYYBDB-UHFFFAOYSA-N 0 0 258.224 2.969 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CCC(C)(F)F ZINC000603732547 351180093 /nfs/dbraw/zinc/18/00/93/351180093.db2.gz DOPMOSCAKXFYJQ-UHFFFAOYSA-N 0 0 288.250 2.977 20 5 CFBDRN C[C@@H](Nc1cccnc1[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC000603846911 351185521 /nfs/dbraw/zinc/18/55/21/351185521.db2.gz JVPBZBKTCFOISH-SECBINFHSA-N 0 0 287.275 2.892 20 5 CFBDRN C[C@H]1CCCC[C@@H]1OCCNc1cccnc1[N+](=O)[O-] ZINC000603860364 351187483 /nfs/dbraw/zinc/18/74/83/351187483.db2.gz FDSQVZGUXBMWTH-AAEUAGOBSA-N 0 0 279.340 2.997 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]1C ZINC000603265422 351127301 /nfs/dbraw/zinc/12/73/01/351127301.db2.gz GMDQCLCDAZZQCJ-SECBINFHSA-N 0 0 280.349 2.941 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000603268414 351128242 /nfs/dbraw/zinc/12/82/42/351128242.db2.gz ZYZNLTYAZADFBX-SSDOTTSWSA-N 0 0 288.225 2.848 20 5 CFBDRN Cc1nn(C[C@H]2C[C@H]3C=C[C@@H]2C3)c2ncc([N+](=O)[O-])cc12 ZINC000603317720 351138230 /nfs/dbraw/zinc/13/82/30/351138230.db2.gz BLMSOYQRQGWERF-QJPTWQEYSA-N 0 0 284.319 2.860 20 5 CFBDRN CCC(F)(F)Cn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000603340853 351143499 /nfs/dbraw/zinc/14/34/99/351143499.db2.gz ARGAYAIWUWHADX-UHFFFAOYSA-N 0 0 286.278 2.681 20 5 CFBDRN C[C@H](Cn1ccc(N)c([N+](=O)[O-])c1=O)C1CCCCC1 ZINC000603345668 351144432 /nfs/dbraw/zinc/14/44/32/351144432.db2.gz VXJFNVZAQYQIJI-SNVBAGLBSA-N 0 0 279.340 2.555 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])c(CO)c1)CC(C)C ZINC000416910934 233633908 /nfs/dbraw/zinc/63/39/08/233633908.db2.gz SHMNNWUWXVGMIS-CYBMUJFWSA-N 0 0 283.324 2.527 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000604427924 351212182 /nfs/dbraw/zinc/21/21/82/351212182.db2.gz LUEBGQFQMKDFKH-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1ccsc1[N+](=O)[O-] ZINC000604433297 351212241 /nfs/dbraw/zinc/21/22/41/351212241.db2.gz RXOFMVRWENZVCG-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000604562256 351219907 /nfs/dbraw/zinc/21/99/07/351219907.db2.gz WZEQEJQQQDTHFY-SNVBAGLBSA-N 0 0 250.298 2.822 20 5 CFBDRN CS[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000117220077 186741093 /nfs/dbraw/zinc/74/10/93/186741093.db2.gz IXFWZIQUDIKOBZ-DGCLKSJQSA-N 0 0 294.376 2.999 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCc1ccco1 ZINC000117559181 186752082 /nfs/dbraw/zinc/75/20/82/186752082.db2.gz QWNHHPZMKUKJQK-UHFFFAOYSA-N 0 0 294.694 2.700 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCS[C@@H](C)[C@H]1C ZINC000118283296 186768442 /nfs/dbraw/zinc/76/84/42/186768442.db2.gz WUHXYRMIXJIIEP-MNOVXSKESA-N 0 0 294.376 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@@H](c3ccccc3)C2)nc1 ZINC000609232689 351492672 /nfs/dbraw/zinc/49/26/72/351492672.db2.gz FBDKBBXEYGEHCH-OAHLLOKOSA-N 0 0 299.330 2.958 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CCc2ccc(F)cc21 ZINC000609288208 351495058 /nfs/dbraw/zinc/49/50/58/351495058.db2.gz HJJXLSSICWDMBA-GFCCVEGCSA-N 0 0 273.267 2.650 20 5 CFBDRN CN(CCN(C)c1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000609354016 351498357 /nfs/dbraw/zinc/49/83/57/351498357.db2.gz GQZIHNHJSTXAAJ-UHFFFAOYSA-N 0 0 286.335 2.562 20 5 CFBDRN CCO[C@@H]1CCCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000609495114 351504519 /nfs/dbraw/zinc/50/45/19/351504519.db2.gz UVFZWCMWXGGXER-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000609505731 351504621 /nfs/dbraw/zinc/50/46/21/351504621.db2.gz HYTOKIZABDVQQY-NSHDSACASA-N 0 0 294.351 2.650 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2nccc(N3CCCC3)n2)c1 ZINC000609586284 351510937 /nfs/dbraw/zinc/51/09/37/351510937.db2.gz CRGFXEIZUNHTDO-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN C[C@H](C[C@H]1CCCO1)Nc1ccc([N+](=O)[O-])cc1 ZINC000608831532 351479091 /nfs/dbraw/zinc/47/90/91/351479091.db2.gz JTZPCXFPLXTTCZ-ZWNOBZJWSA-N 0 0 250.298 2.964 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@H]1CCCC[C@H]1C ZINC000608947835 351482801 /nfs/dbraw/zinc/48/28/01/351482801.db2.gz ZULAWIGKOVOHKG-YPMHNXCESA-N 0 0 293.367 2.974 20 5 CFBDRN CCN(CC(C)C)C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000609010560 351484012 /nfs/dbraw/zinc/48/40/12/351484012.db2.gz HVQAYHYOWLQAML-UHFFFAOYSA-N 0 0 294.351 2.650 20 5 CFBDRN C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)n1cccc1 ZINC000609152285 351488527 /nfs/dbraw/zinc/48/85/27/351488527.db2.gz UFCAUUTYOMLKJW-LLVKDONJSA-N 0 0 273.292 2.986 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CSCCO ZINC000609220830 351491524 /nfs/dbraw/zinc/49/15/24/351491524.db2.gz KWWJWHPOBVZNFL-UHFFFAOYSA-N 0 0 271.338 2.608 20 5 CFBDRN O=c1[nH]c(-c2ccc([N+](=O)[O-])cc2)nc2cccc(F)c12 ZINC000609224194 351491730 /nfs/dbraw/zinc/49/17/30/351491730.db2.gz SWXDCQJDDVJKOQ-UHFFFAOYSA-N 0 0 285.234 2.637 20 5 CFBDRN C[C@@H](c1ccccc1)[C@@H](CO)Nc1ccc([N+](=O)[O-])nc1 ZINC000609802490 351521247 /nfs/dbraw/zinc/52/12/47/351521247.db2.gz YXTCHDLVLJLBMG-SMDDNHRTSA-N 0 0 287.319 2.566 20 5 CFBDRN O=C(Nc1c(O)cccc1F)c1ccc([N+](=O)[O-])cc1F ZINC000609851111 351522752 /nfs/dbraw/zinc/52/27/52/351522752.db2.gz BMEZUQLLJGZNBS-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000609880178 351526050 /nfs/dbraw/zinc/52/60/50/351526050.db2.gz AQXJDKGEVZBJNK-WOPDTQHZSA-N 0 0 291.351 2.727 20 5 CFBDRN C[C@H](CCO)SCCCOc1ccc([N+](=O)[O-])cc1 ZINC000610206424 351539217 /nfs/dbraw/zinc/53/92/17/351539217.db2.gz VRVSFAKVCBSYME-LLVKDONJSA-N 0 0 285.365 2.868 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@@H](C)C[C@@H]1C ZINC000291427642 198019440 /nfs/dbraw/zinc/01/94/40/198019440.db2.gz LIWZOKGZNWJZLD-PKFCDNJMSA-N 0 0 292.335 2.909 20 5 CFBDRN C[C@@H](C(=O)NCCc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000610237113 351542662 /nfs/dbraw/zinc/54/26/62/351542662.db2.gz IXTYTOJTRYBGJE-LLVKDONJSA-N 0 0 288.303 2.650 20 5 CFBDRN Nc1ncc([N+](=O)[O-])cc1-c1nc(C2CCCCC2)no1 ZINC000417322738 233686503 /nfs/dbraw/zinc/68/65/03/233686503.db2.gz FXUJBNOCUYIBLK-UHFFFAOYSA-N 0 0 289.295 2.670 20 5 CFBDRN CC(=O)Nc1ccccc1CNc1cccnc1[N+](=O)[O-] ZINC000610280540 351548267 /nfs/dbraw/zinc/54/82/67/351548267.db2.gz WYGCUAZVQWSRQT-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN Cc1ccnc(Nc2cnn(CC3CC3)c2)c1[N+](=O)[O-] ZINC000610285060 351550042 /nfs/dbraw/zinc/55/00/42/351550042.db2.gz KPQAOYHTKSWLKV-UHFFFAOYSA-N 0 0 273.296 2.648 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2cnn(CC3CC3)c2)c(Cl)c1 ZINC000610285105 351550278 /nfs/dbraw/zinc/55/02/78/351550278.db2.gz ZODFCNAHJIDQKN-UHFFFAOYSA-N 0 0 293.714 2.993 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])s2)CCCO1 ZINC000609765134 351518725 /nfs/dbraw/zinc/51/87/25/351518725.db2.gz KBMHLNQHABTCQJ-UHFFFAOYSA-N 0 0 256.327 2.662 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000120160114 186879386 /nfs/dbraw/zinc/87/93/86/186879386.db2.gz PHBMJPIEWLVIGO-WDEREUQCSA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1noc(C)c1N(C)CCOc1ccccc1[N+](=O)[O-] ZINC000610433774 351567261 /nfs/dbraw/zinc/56/72/61/351567261.db2.gz ZINTYDKKBFXDFW-UHFFFAOYSA-N 0 0 291.307 2.715 20 5 CFBDRN Cc1cccn2cc(CCNc3cccnc3[N+](=O)[O-])nc12 ZINC000610468922 351567956 /nfs/dbraw/zinc/56/79/56/351567956.db2.gz WRAPDCPZWACCSQ-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN CN(CCc1ccncc1)c1ccc([N+](=O)[O-])s1 ZINC000610517328 351576684 /nfs/dbraw/zinc/57/66/84/351576684.db2.gz VEKNTSMZYMFKQS-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)c2ccncc2)ccc1[N+](=O)[O-] ZINC000610526541 351579066 /nfs/dbraw/zinc/57/90/66/351579066.db2.gz OKDFRCCJBRXETA-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN CSc1ccncc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000610545620 351581227 /nfs/dbraw/zinc/58/12/27/351581227.db2.gz QPUXJXJRRNMLHI-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN COC(C)(C)CNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000119819977 186853918 /nfs/dbraw/zinc/85/39/18/186853918.db2.gz XUTIEUCLJQVQDH-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN CCC[N@H+](CC)Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000610580935 351583872 /nfs/dbraw/zinc/58/38/72/351583872.db2.gz FJNGWUHEKUDUGA-UHFFFAOYSA-N 0 0 263.297 2.968 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCO[C@@H](c2ccco2)C1 ZINC000610656502 351588244 /nfs/dbraw/zinc/58/82/44/351588244.db2.gz ZYZOMQPCWIJDSB-LLVKDONJSA-N 0 0 280.305 2.827 20 5 CFBDRN CC(C)(C)OCCCn1c2cc([N+](=O)[O-])ccc2oc1=O ZINC000610681598 351592696 /nfs/dbraw/zinc/59/26/96/351592696.db2.gz KBYZUVAWVLUWFU-UHFFFAOYSA-N 0 0 294.307 2.708 20 5 CFBDRN COc1cc(N2C[C@@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000610685230 351593819 /nfs/dbraw/zinc/59/38/19/351593819.db2.gz WWCIJBNWROAGLK-VHSXEESVSA-N 0 0 250.298 2.838 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ccc2c[nH]nc2c1 ZINC000610709273 351595724 /nfs/dbraw/zinc/59/57/24/351595724.db2.gz GUYIYTLPLJMHKJ-UHFFFAOYSA-N 0 0 256.221 2.658 20 5 CFBDRN COc1cc(NC[C@]2(C)CCOC2)c([N+](=O)[O-])cc1F ZINC000610715672 351596280 /nfs/dbraw/zinc/59/62/80/351596280.db2.gz YZWPRDYGVMYCGQ-ZDUSSCGKSA-N 0 0 284.287 2.581 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCO[C@@H](c2ccsc2)C1 ZINC000610717040 351596390 /nfs/dbraw/zinc/59/63/90/351596390.db2.gz ZMIMCFJKXAKNLR-GFCCVEGCSA-N 0 0 291.332 2.629 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])o1)[C@@H]1CCCCO1 ZINC000610718801 351597324 /nfs/dbraw/zinc/59/73/24/351597324.db2.gz MBZXJGKQETVTCL-NSHDSACASA-N 0 0 296.323 2.513 20 5 CFBDRN O=c1ccn(CCC2CCCCC2)cc1[N+](=O)[O-] ZINC000610725982 351598730 /nfs/dbraw/zinc/59/87/30/351598730.db2.gz PKPYFVVEHALHOU-UHFFFAOYSA-N 0 0 250.298 2.727 20 5 CFBDRN Cc1ccnc(N[C@H](C)C[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000610734723 351599353 /nfs/dbraw/zinc/59/93/53/351599353.db2.gz HNYORWHNDIBMTK-GHMZBOCLSA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCO[C@@H](C(C)C)C1 ZINC000271437798 191138120 /nfs/dbraw/zinc/13/81/20/191138120.db2.gz NTQRJQJKWZPGPQ-WCQYABFASA-N 0 0 279.340 2.914 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000610734840 351600347 /nfs/dbraw/zinc/60/03/47/351600347.db2.gz PWNXTKLBWMNDMN-UWVGGRQHSA-N 0 0 268.288 2.961 20 5 CFBDRN CN(C(=O)[C@@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000610748596 351603101 /nfs/dbraw/zinc/60/31/01/351603101.db2.gz MTXKKJLRTQPQRJ-LBPRGKRZSA-N 0 0 260.293 2.748 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CC23CCC3)c([N+](=O)[O-])c1 ZINC000610748555 351603213 /nfs/dbraw/zinc/60/32/13/351603213.db2.gz GVHOEGWBNPCUDV-SNVBAGLBSA-N 0 0 276.292 2.732 20 5 CFBDRN Cc1cncc(C)c1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000610753975 351604362 /nfs/dbraw/zinc/60/43/62/351604362.db2.gz RYAIULAFZYFBCD-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@@H]1CCOC1 ZINC000130364868 187488340 /nfs/dbraw/zinc/48/83/40/187488340.db2.gz HFOQCOPZLIAVJU-JTQLQIEISA-N 0 0 273.292 2.591 20 5 CFBDRN Cc1cccc2c1CCN2C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000610771423 351606290 /nfs/dbraw/zinc/60/62/90/351606290.db2.gz OBLYKMOPMKJVAD-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1nc(NC(=O)[C@@H](C)c2ccco2)ccc1[N+](=O)[O-] ZINC000610774719 351607417 /nfs/dbraw/zinc/60/74/17/351607417.db2.gz CZWVIGCMSYHDIX-QMMMGPOBSA-N 0 0 275.264 2.633 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000610807012 351610589 /nfs/dbraw/zinc/61/05/89/351610589.db2.gz XGNADEICEFIIFZ-RNCFNFMXSA-N 0 0 267.329 2.507 20 5 CFBDRN CCC[C@@H]1[C@@H](C)CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000610299832 351553252 /nfs/dbraw/zinc/55/32/52/351553252.db2.gz JRWAJDAAOLDJKV-WCQYABFASA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1cnc(N[C@@H]2CCCc3c[nH]nc32)c([N+](=O)[O-])c1 ZINC000610809088 351610786 /nfs/dbraw/zinc/61/07/86/351610786.db2.gz GBOVMEJIXWDVLP-SNVBAGLBSA-N 0 0 273.296 2.511 20 5 CFBDRN CCC[C@H](C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000610346422 351556887 /nfs/dbraw/zinc/55/68/87/351556887.db2.gz LDIFREDESCGRMJ-NSHDSACASA-N 0 0 279.340 2.625 20 5 CFBDRN C[C@H](Nc1ncnc2nccnc21)c1cccc([N+](=O)[O-])c1 ZINC000611059669 351636786 /nfs/dbraw/zinc/63/67/86/351636786.db2.gz GDFQFZGTKBTVQQ-VIFPVBQESA-N 0 0 296.290 2.501 20 5 CFBDRN O=c1ccccn1CCSCc1ccc([N+](=O)[O-])cc1 ZINC000611081041 351639243 /nfs/dbraw/zinc/63/92/43/351639243.db2.gz KKHMYOSBCFFHLX-UHFFFAOYSA-N 0 0 290.344 2.690 20 5 CFBDRN CC(=O)c1ccc(NCc2cc(C)ncn2)c([N+](=O)[O-])c1 ZINC000611133000 351643554 /nfs/dbraw/zinc/64/35/54/351643554.db2.gz UKXNYZAWRGGUKX-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN Cc1cnc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000611143514 351645129 /nfs/dbraw/zinc/64/51/29/351645129.db2.gz BRLVMJIYJWGGGC-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCOCC2)nc1-c1ccccc1 ZINC000611181744 351649746 /nfs/dbraw/zinc/64/97/46/351649746.db2.gz FWYNDWRNGJRDES-UHFFFAOYSA-N 0 0 299.330 2.884 20 5 CFBDRN COC[C@H]1CCCN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000611203796 351651784 /nfs/dbraw/zinc/65/17/84/351651784.db2.gz QCXQNPUTDGVAJE-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CN(CC1CCOCC1)c1ccsc1[N+](=O)[O-] ZINC000611242716 351656181 /nfs/dbraw/zinc/65/61/81/351656181.db2.gz KPWSLBSBNCJGLV-UHFFFAOYSA-N 0 0 256.327 2.519 20 5 CFBDRN COc1cc(N2CC[C@](C)(F)C2)c(F)cc1[N+](=O)[O-] ZINC000611256453 351659348 /nfs/dbraw/zinc/65/93/48/351659348.db2.gz MBSCEXHPADSVHJ-LBPRGKRZSA-N 0 0 272.251 2.681 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)CCOC(C)(C)C ZINC000610859953 351616059 /nfs/dbraw/zinc/61/60/59/351616059.db2.gz XAAIIVVFABGUIC-UHFFFAOYSA-N 0 0 296.367 2.850 20 5 CFBDRN Cc1cccc2nc(CNc3cccnc3[N+](=O)[O-])n(C)c21 ZINC000610864438 351616485 /nfs/dbraw/zinc/61/64/85/351616485.db2.gz JYJYRRHKEYNZDA-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC3(CCOCC3)C2)s1 ZINC000610864690 351617433 /nfs/dbraw/zinc/61/74/33/351617433.db2.gz FQWKSUMHOADZSH-SNVBAGLBSA-N 0 0 298.364 2.796 20 5 CFBDRN COC(=O)C1(CNc2ccc([N+](=O)[O-])s2)CCCC1 ZINC000610953008 351624274 /nfs/dbraw/zinc/62/42/74/351624274.db2.gz PSGRYZRJNXVNNE-UHFFFAOYSA-N 0 0 284.337 2.802 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])s2)cn1 ZINC000610970169 351626816 /nfs/dbraw/zinc/62/68/16/351626816.db2.gz RAPCTXBPJKLPCT-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@@H](COc2ccccc2)C1 ZINC000611540942 351706133 /nfs/dbraw/zinc/70/61/33/351706133.db2.gz SXCFYRFMMNIWIM-CYBMUJFWSA-N 0 0 299.330 2.895 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000611373692 351677035 /nfs/dbraw/zinc/67/70/35/351677035.db2.gz QPSFDKXMHYTLCM-KWQFWETISA-N 0 0 285.731 2.870 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000611373687 351677038 /nfs/dbraw/zinc/67/70/38/351677038.db2.gz QPRBZEOEWHIFCH-RNCFNFMXSA-N 0 0 268.288 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(C(F)F)C2)c2ncccc12 ZINC000611398611 351682453 /nfs/dbraw/zinc/68/24/53/351682453.db2.gz MBNURJJLEPIOHW-UHFFFAOYSA-N 0 0 279.246 2.844 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1sccc1[N+](=O)[O-] ZINC000611412093 351685906 /nfs/dbraw/zinc/68/59/06/351685906.db2.gz RKTLHPLAMNOXNB-QMMMGPOBSA-N 0 0 258.343 2.865 20 5 CFBDRN Cc1cc(N(C)[C@H]2CCOC2)c2cccc([N+](=O)[O-])c2n1 ZINC000611444713 351691193 /nfs/dbraw/zinc/69/11/93/351691193.db2.gz UPUABWCOVDNCGK-NSHDSACASA-N 0 0 287.319 2.677 20 5 CFBDRN O=C(C1=CCC1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000611487489 351696829 /nfs/dbraw/zinc/69/68/29/351696829.db2.gz MQZNEXJNHWYSMA-UHFFFAOYSA-N 0 0 272.304 2.806 20 5 CFBDRN Cc1ccc(OC(F)F)c(Cn2nccc2[N+](=O)[O-])c1 ZINC000291596046 198046100 /nfs/dbraw/zinc/04/61/00/198046100.db2.gz GPIBYQZVMNJYBZ-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000611494737 351697655 /nfs/dbraw/zinc/69/76/55/351697655.db2.gz HLODZRVFXBWYRR-SECBINFHSA-N 0 0 256.327 2.518 20 5 CFBDRN C[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@@H]1F ZINC000611502204 351699038 /nfs/dbraw/zinc/69/90/38/351699038.db2.gz PHJJZLGGSCVZSV-SKDRFNHKSA-N 0 0 270.279 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](C)[C@H](F)C1 ZINC000611507137 351701099 /nfs/dbraw/zinc/70/10/99/351701099.db2.gz YHIUZGXXIUNUON-NOZJJQNGSA-N 0 0 280.299 2.723 20 5 CFBDRN O=[N+]([O-])c1sc(N2CC=CCC2)nc1N1CC=CCC1 ZINC000611509968 351701920 /nfs/dbraw/zinc/70/19/20/351701920.db2.gz RDIDVBQCLHCABF-UHFFFAOYSA-N 0 0 292.364 2.584 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC=CCC1 ZINC000611513171 351702448 /nfs/dbraw/zinc/70/24/48/351702448.db2.gz NDQAWCJRQDOADF-NSHDSACASA-N 0 0 292.360 2.864 20 5 CFBDRN O=C(NC1CC=CC1)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000611514770 351702968 /nfs/dbraw/zinc/70/29/68/351702968.db2.gz DCOXHEKCOATMGB-UHFFFAOYSA-N 0 0 298.245 2.645 20 5 CFBDRN Cc1ccc(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)cc1 ZINC000611525014 351704360 /nfs/dbraw/zinc/70/43/60/351704360.db2.gz AYBVJFJNLJMNDK-UHFFFAOYSA-N 0 0 287.319 2.590 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCOc2ccccc21 ZINC000611526644 351704656 /nfs/dbraw/zinc/70/46/56/351704656.db2.gz AFBLZAJKMSUCNU-UHFFFAOYSA-N 0 0 288.259 2.617 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCCOC[C@H]1CCOC1 ZINC000611290168 351664407 /nfs/dbraw/zinc/66/44/07/351664407.db2.gz PCYZMGXUJPHSJC-SNVBAGLBSA-N 0 0 286.353 2.511 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@H](c2cc[nH]n2)C1 ZINC000611289962 351664555 /nfs/dbraw/zinc/66/45/55/351664555.db2.gz IFBGNHQQNDZNTH-VIFPVBQESA-N 0 0 278.337 2.763 20 5 CFBDRN Cc1ccncc1[C@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000611300144 351666219 /nfs/dbraw/zinc/66/62/19/351666219.db2.gz QEBNNXFVNPIEFY-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN CCC1(O)CCC(Nc2nccc(C)c2[N+](=O)[O-])CC1 ZINC000611306268 351667159 /nfs/dbraw/zinc/66/71/59/351667159.db2.gz DYRAUBGDYRWOIH-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN CC[C@@H]1CCN(C(=O)CSc2ccccc2[N+](=O)[O-])C1 ZINC000120719290 186920002 /nfs/dbraw/zinc/92/00/02/186920002.db2.gz LYPWWFQDNMDLAZ-LLVKDONJSA-N 0 0 294.376 2.945 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1ccsc1[N+](=O)[O-] ZINC000611373355 351676097 /nfs/dbraw/zinc/67/60/97/351676097.db2.gz OHZNJQSKOWYJCF-WPRPVWTQSA-N 0 0 256.327 2.883 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000611373360 351676376 /nfs/dbraw/zinc/67/63/76/351676376.db2.gz QCXGYWZHCGORPV-IUCAKERBSA-N 0 0 296.352 2.531 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)C[C@@H]2CCCC[C@@H]2O)n1 ZINC000611617530 351716262 /nfs/dbraw/zinc/71/62/62/351716262.db2.gz HGWNBZXVPBXVBS-STQMWFEESA-N 0 0 293.367 2.594 20 5 CFBDRN C[C@H](C1CC1)N(C)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611700492 351725549 /nfs/dbraw/zinc/72/55/49/351725549.db2.gz HHHINYSUQJOUHR-SECBINFHSA-N 0 0 272.308 2.773 20 5 CFBDRN CC(C)CN(C)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611706883 351727113 /nfs/dbraw/zinc/72/71/13/351727113.db2.gz CEXCDIHUSRSQME-UHFFFAOYSA-N 0 0 260.297 2.630 20 5 CFBDRN CO[C@H]1CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000611931089 351751848 /nfs/dbraw/zinc/75/18/48/351751848.db2.gz DUWZDZFCAYSJCT-LBPRGKRZSA-N 0 0 279.340 2.612 20 5 CFBDRN CO[C@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000611931478 351751919 /nfs/dbraw/zinc/75/19/19/351751919.db2.gz ZOFQRIRMEMQWRB-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN COC[C@](C)(NCc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000611717306 351729756 /nfs/dbraw/zinc/72/97/56/351729756.db2.gz IVIJEZDZFYYODP-NSHDSACASA-N 0 0 292.257 2.652 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Sc1c(F)cc(F)cc1F ZINC000048608546 282776417 /nfs/dbraw/zinc/77/64/17/282776417.db2.gz BYIFCTCPSSJMFX-UHFFFAOYSA-N 0 0 289.238 2.897 20 5 CFBDRN COc1ccc(NC(=O)c2cc(C)[nH]c2C)cc1[N+](=O)[O-] ZINC000611777653 351739000 /nfs/dbraw/zinc/73/90/00/351739000.db2.gz OJKYLKPJJNHKKH-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN Cc1cnc(N2CCC[C@H](OC(F)F)C2)c([N+](=O)[O-])c1 ZINC000611583887 351712663 /nfs/dbraw/zinc/71/26/63/351712663.db2.gz OZVSODKTWBRQIY-VIFPVBQESA-N 0 0 287.266 2.506 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCC[C@]2(C)CO)n1 ZINC000611616951 351715114 /nfs/dbraw/zinc/71/51/14/351715114.db2.gz YCLTXKYXWHEXMR-SMDDNHRTSA-N 0 0 279.340 2.570 20 5 CFBDRN CCO[C@@H]1CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000611616871 351715660 /nfs/dbraw/zinc/71/56/60/351715660.db2.gz RONKDQRTOGOPFU-GFCCVEGCSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@H](OCC(C)C)C2)n1 ZINC000611617239 351715750 /nfs/dbraw/zinc/71/57/50/351715750.db2.gz UJYNHVVBYAKXDZ-ZDUSSCGKSA-N 0 0 293.367 2.858 20 5 CFBDRN CCc1nn(C)c(NCC[C@@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000612104279 351775432 /nfs/dbraw/zinc/77/54/32/351775432.db2.gz UQAKZDOJQMQQBC-WDEREUQCSA-N 0 0 296.371 2.508 20 5 CFBDRN CCc1nnc(COc2ccc([N+](=O)[O-])c(C)c2)s1 ZINC000612013358 351761499 /nfs/dbraw/zinc/76/14/99/351761499.db2.gz HKMNUOHMQPIIAB-UHFFFAOYSA-N 0 0 279.321 2.896 20 5 CFBDRN CCc1nnc(COc2ccc([N+](=O)[O-])cc2C)s1 ZINC000612024031 351762755 /nfs/dbraw/zinc/76/27/55/351762755.db2.gz NRBWMZBKYPCMTD-UHFFFAOYSA-N 0 0 279.321 2.896 20 5 CFBDRN C[C@@H]1CN(c2ccc3ncc([N+](=O)[O-])n3n2)CC(C)(C)C1 ZINC000612099879 351774464 /nfs/dbraw/zinc/77/44/64/351774464.db2.gz QYRHICVKVYLIMA-JTQLQIEISA-N 0 0 289.339 2.510 20 5 CFBDRN C[C@H]1CCCN1c1ncnc2cccc([N+](=O)[O-])c21 ZINC000612446515 351822170 /nfs/dbraw/zinc/82/21/70/351822170.db2.gz SXVKSVMXIUPWTI-VIFPVBQESA-N 0 0 258.281 2.527 20 5 CFBDRN CN(CC1CCC1)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000612444358 351822187 /nfs/dbraw/zinc/82/21/87/351822187.db2.gz OWZFGBUYMFXMKQ-UHFFFAOYSA-N 0 0 272.308 2.774 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2sccc2[N+](=O)[O-])C[C@@H]1O ZINC000612449175 351822280 /nfs/dbraw/zinc/82/22/80/351822280.db2.gz HHGZNFGJABEOSY-ONGXEEELSA-N 0 0 284.381 2.890 20 5 CFBDRN CC1(O)CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000122042487 187011913 /nfs/dbraw/zinc/01/19/13/187011913.db2.gz HIAMUAFDXHTFNP-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCS2)c([N+](=O)[O-])c1 ZINC000122094185 187014904 /nfs/dbraw/zinc/01/49/04/187014904.db2.gz UNQZQEGSZJTKSO-SECBINFHSA-N 0 0 283.309 2.811 20 5 CFBDRN CCCCC[C@H](C)CC(=O)NCCn1cc([N+](=O)[O-])cn1 ZINC000122195297 187020509 /nfs/dbraw/zinc/02/05/09/187020509.db2.gz XJMNWQFSIWFWLL-LBPRGKRZSA-N 0 0 296.371 2.514 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)c(C)nn1 ZINC000612393382 351814060 /nfs/dbraw/zinc/81/40/60/351814060.db2.gz CPLGVPJPHQJPPP-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN O=C(CSc1ccncc1)Nc1ccccc1[N+](=O)[O-] ZINC000121724541 186991896 /nfs/dbraw/zinc/99/18/96/186991896.db2.gz QPGKZKWGCVRKHZ-UHFFFAOYSA-N 0 0 289.316 2.721 20 5 CFBDRN C[C@H]1CCCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000122384970 187029932 /nfs/dbraw/zinc/02/99/32/187029932.db2.gz COHBQJFIJMGHJL-JTQLQIEISA-N 0 0 280.299 2.677 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC[C@@H]3CCC[C@H]3C2)nc1 ZINC000612785871 351861831 /nfs/dbraw/zinc/86/18/31/351861831.db2.gz WTHHWFHDNZYGSW-GARJFASQSA-N 0 0 262.313 2.766 20 5 CFBDRN Cc1cc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)ccn1 ZINC000122556190 187038274 /nfs/dbraw/zinc/03/82/74/187038274.db2.gz YOVWPEDJOVNYJK-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN CC[C@@H](C)CS(=O)(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000122673950 187045354 /nfs/dbraw/zinc/04/53/54/187045354.db2.gz SQQRGUGGUPGLCP-SECBINFHSA-N 0 0 287.337 2.658 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1OCc1ccon1 ZINC000122814781 187053433 /nfs/dbraw/zinc/05/34/33/187053433.db2.gz KFQOLZCKRXUHPX-UHFFFAOYSA-N 0 0 299.080 2.924 20 5 CFBDRN CCOc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000612778865 351861863 /nfs/dbraw/zinc/86/18/63/351861863.db2.gz SZJPHBZNOCVTTD-JTQLQIEISA-N 0 0 288.307 2.957 20 5 CFBDRN Cn1ccnc1CNc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000124017598 187118543 /nfs/dbraw/zinc/11/85/43/187118543.db2.gz RTBWLGOXDIKVPT-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc([C@H]3CC34CCC4)n2)nc1 ZINC000612968641 351882391 /nfs/dbraw/zinc/88/23/91/351882391.db2.gz SHAJCSBUDIUKOJ-LLVKDONJSA-N 0 0 286.291 2.621 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC2(CCC2)[C@H]1C1CC1 ZINC000291888675 198096665 /nfs/dbraw/zinc/09/66/65/198096665.db2.gz JJCZNEOZQGDLQZ-CQSZACIVSA-N 0 0 286.331 3.000 20 5 CFBDRN COc1ccc(COc2c(C)nn(C)c2C)cc1[N+](=O)[O-] ZINC000123251423 187080111 /nfs/dbraw/zinc/08/01/11/187080111.db2.gz FNIIMPHKRZXILS-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCCCCO ZINC000123384225 187088353 /nfs/dbraw/zinc/08/83/53/187088353.db2.gz CNPDLGWQOBELMX-UHFFFAOYSA-N 0 0 252.314 2.868 20 5 CFBDRN Cc1cc(N2CCOc3ncccc3C2)ccc1[N+](=O)[O-] ZINC000613073231 351896035 /nfs/dbraw/zinc/89/60/35/351896035.db2.gz RGRVSRMQYQZBDH-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN COC(=O)c1ccc(OCCCSC)cc1[N+](=O)[O-] ZINC000613110373 351898967 /nfs/dbraw/zinc/89/89/67/351898967.db2.gz XXUXOTGSKHMFIT-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC=CCC1 ZINC000613191278 351912393 /nfs/dbraw/zinc/91/23/93/351912393.db2.gz BJOQENSVQPAKPW-CQSZACIVSA-N 0 0 288.347 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CCCC2)s1 ZINC000613703982 351999556 /nfs/dbraw/zinc/99/95/56/351999556.db2.gz ILSSTOWQIYPSFV-UHFFFAOYSA-N 0 0 256.327 2.621 20 5 CFBDRN CC(C)=C[C@@H]1[C@H](C(=O)Nc2c([N+](=O)[O-])cnn2C)C1(C)C ZINC000613849119 352017059 /nfs/dbraw/zinc/01/70/59/352017059.db2.gz FTDUDMJLDLVIOK-MWLCHTKSSA-N 0 0 292.339 2.505 20 5 CFBDRN CCO[C@H]1CCCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000613875041 352020294 /nfs/dbraw/zinc/02/02/94/352020294.db2.gz ZMZQDXMNKYJRKS-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN CSc1cccc(C(=O)N2CC=CCC2)c1[N+](=O)[O-] ZINC000614419523 352092660 /nfs/dbraw/zinc/09/26/60/352092660.db2.gz RISWHOUGYNGMPJ-UHFFFAOYSA-N 0 0 278.333 2.719 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1CCC[C@@H]1CCO ZINC000614534257 352102763 /nfs/dbraw/zinc/10/27/63/352102763.db2.gz XWGGLOUIDDCCQX-RKDXNWHRSA-N 0 0 256.327 2.619 20 5 CFBDRN COc1ccc(C(=O)N2CC[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000614672468 352109950 /nfs/dbraw/zinc/10/99/50/352109950.db2.gz SZKFOLUVGGWAJA-PWSUYJOCSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000614672507 352110325 /nfs/dbraw/zinc/11/03/25/352110325.db2.gz WKPZBPOGFWRBLS-PWSUYJOCSA-N 0 0 290.319 2.618 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000615267847 352174934 /nfs/dbraw/zinc/17/49/34/352174934.db2.gz NXMRUKUTTJGMCC-UHFFFAOYSA-N 0 0 284.262 2.809 20 5 CFBDRN COc1ccc(CN(C)c2cccc(C)c2[N+](=O)[O-])cn1 ZINC000614977224 352138467 /nfs/dbraw/zinc/13/84/67/352138467.db2.gz IFFGGEUWBCEKOR-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CC1(C)CCC[C@](O)(CNc2cccnc2[N+](=O)[O-])C1 ZINC000614980184 352139251 /nfs/dbraw/zinc/13/92/51/352139251.db2.gz HKKYJLMFQXATAM-CQSZACIVSA-N 0 0 279.340 2.733 20 5 CFBDRN COC[C@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000615037349 352146624 /nfs/dbraw/zinc/14/66/24/352146624.db2.gz FTNAHDDNIAULJN-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2[C@@H]3Cc4ccccc4[C@H]23)c1[N+](=O)[O-] ZINC000132455481 187628952 /nfs/dbraw/zinc/62/89/52/187628952.db2.gz BDECLKHFIFVWIC-MJBXVCDLSA-N 0 0 298.346 2.635 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000271480235 191164636 /nfs/dbraw/zinc/16/46/36/191164636.db2.gz SIPBSKBLVWCBPM-WCQYABFASA-N 0 0 276.336 2.927 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCOCC2(CC2)C1 ZINC000616061863 352280158 /nfs/dbraw/zinc/28/01/58/352280158.db2.gz DRVXPIHUIZKZNI-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000132798944 187648495 /nfs/dbraw/zinc/64/84/95/187648495.db2.gz VZGZJLQDNHXONJ-KGLIPLIRSA-N 0 0 292.335 2.591 20 5 CFBDRN COc1cc(CNc2ccc([N+](=O)[O-])c(C)n2)ccc1O ZINC000133066677 187663208 /nfs/dbraw/zinc/66/32/08/187663208.db2.gz VNZDXRSMDYDZBO-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN CCOCCN(C)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000292049784 198145202 /nfs/dbraw/zinc/14/52/02/198145202.db2.gz VVXQHWICOSZMAJ-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN Cc1nc(N(C)C(C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000133897263 187714006 /nfs/dbraw/zinc/71/40/06/187714006.db2.gz HTEXAAIKLNTQRV-UHFFFAOYSA-N 0 0 261.325 2.923 20 5 CFBDRN O=C(Nc1cccc2cnncc21)c1cccc([N+](=O)[O-])c1 ZINC000617146769 352502392 /nfs/dbraw/zinc/50/23/92/352502392.db2.gz OEROWQSXAXXCGR-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN O=C(Nc1cccc2cnncc21)c1ccccc1[N+](=O)[O-] ZINC000617146939 352502710 /nfs/dbraw/zinc/50/27/10/352502710.db2.gz WLUNCEOCGJYOGG-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN C[C@H]1CCN(c2sccc2[N+](=O)[O-])CCS1 ZINC000617221850 352520501 /nfs/dbraw/zinc/52/05/01/352520501.db2.gz KQWMLJWPFYGVPI-QMMMGPOBSA-N 0 0 258.368 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCC(=O)C[C@H]1C ZINC000134368222 187743437 /nfs/dbraw/zinc/74/34/37/187743437.db2.gz XYESPDBYLBVZRH-MFKMUULPSA-N 0 0 290.319 2.847 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1ccc([N+](=O)[O-])s1 ZINC000617458939 352566586 /nfs/dbraw/zinc/56/65/86/352566586.db2.gz ZHCCZCQNVJMZFI-MRVPVSSYSA-N 0 0 272.326 2.658 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)C1CCC1 ZINC000135045261 187791675 /nfs/dbraw/zinc/79/16/75/187791675.db2.gz XQPFJDPSIUXLFA-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN CCCCNC(=O)[C@@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271592663 191229772 /nfs/dbraw/zinc/22/97/72/191229772.db2.gz FZTKDVADZJMIJE-VXGBXAGGSA-N 0 0 293.367 2.550 20 5 CFBDRN CCOc1cccc(NC[C@H](CC)CCO)c1[N+](=O)[O-] ZINC000134926930 187782701 /nfs/dbraw/zinc/78/27/01/187782701.db2.gz NYHZUNYDQOLXLH-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN1CCC=C(F)C1 ZINC000271572609 191221163 /nfs/dbraw/zinc/22/11/63/191221163.db2.gz BZWKHHBZKLMSMD-UHFFFAOYSA-N 0 0 266.272 2.533 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000617687331 352628579 /nfs/dbraw/zinc/62/85/79/352628579.db2.gz XUBOYNVHSLTCHA-NEPJUHHUSA-N 0 0 276.336 2.957 20 5 CFBDRN COc1cccc(C(=O)N[C@@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000618123947 352719315 /nfs/dbraw/zinc/71/93/15/352719315.db2.gz DTHIOUYTLMNDPI-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN CC(C)(C)OCCCn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000618146994 352723047 /nfs/dbraw/zinc/72/30/47/352723047.db2.gz QYFMTYACGXAHDQ-UHFFFAOYSA-N 0 0 267.329 2.874 20 5 CFBDRN C[C@@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@H]1F ZINC000618159491 352725425 /nfs/dbraw/zinc/72/54/25/352725425.db2.gz MAKKKNRIJAWWHW-MWLCHTKSSA-N 0 0 279.315 2.747 20 5 CFBDRN C[C@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@H]1F ZINC000618159489 352725577 /nfs/dbraw/zinc/72/55/77/352725577.db2.gz MAKKKNRIJAWWHW-GXSJLCMTSA-N 0 0 279.315 2.747 20 5 CFBDRN CC(C)(C)C(=O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000135352357 187822976 /nfs/dbraw/zinc/82/29/76/187822976.db2.gz WJWHLIJPVGZBPS-UHFFFAOYSA-N 0 0 255.245 2.728 20 5 CFBDRN C[C@H]1CCCCN1C(=O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000135369465 187824800 /nfs/dbraw/zinc/82/48/00/187824800.db2.gz NWVVHXLZSDCEEV-JTQLQIEISA-N 0 0 296.298 2.514 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@]2(CNc3ccccc32)C1 ZINC000618166275 352729196 /nfs/dbraw/zinc/72/91/96/352729196.db2.gz KJBMGMFGRVUTHA-INIZCTEOSA-N 0 0 296.330 2.563 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])s2)nc1 ZINC000618171326 352730017 /nfs/dbraw/zinc/73/00/17/352730017.db2.gz BDSFSUAURUUESN-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN C[C@@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@@H]1F ZINC000618304589 352751750 /nfs/dbraw/zinc/75/17/50/352751750.db2.gz YRMQQYYYZAOHAN-KOLCDFICSA-N 0 0 292.314 2.651 20 5 CFBDRN O=C(c1nccs1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000618461418 352780749 /nfs/dbraw/zinc/78/07/49/352780749.db2.gz AALJJEQILWZEQH-UHFFFAOYSA-N 0 0 289.316 2.644 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000292158035 198185034 /nfs/dbraw/zinc/18/50/34/198185034.db2.gz SUCJWOSRNCQGMV-SECBINFHSA-N 0 0 266.297 2.611 20 5 CFBDRN O=C1CCCN1CCCSc1ccc([N+](=O)[O-])cc1F ZINC000618965385 352921233 /nfs/dbraw/zinc/92/12/33/352921233.db2.gz YSDRTASUCZCQDB-UHFFFAOYSA-N 0 0 298.339 2.839 20 5 CFBDRN COCC(C)(C)N(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000292214621 198204092 /nfs/dbraw/zinc/20/40/92/198204092.db2.gz ULUUQQIWKOREEH-UHFFFAOYSA-N 0 0 270.304 2.591 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000618981447 352926891 /nfs/dbraw/zinc/92/68/91/352926891.db2.gz SQSQXNSATHOXGW-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000150823387 187929047 /nfs/dbraw/zinc/92/90/47/187929047.db2.gz HMIQKDVSLJLXFL-PHIMTYICSA-N 0 0 275.308 2.859 20 5 CFBDRN C[C@@H]1C[C@H](C)N1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000619285285 352989749 /nfs/dbraw/zinc/98/97/49/352989749.db2.gz XNGCZBSHUOKEQJ-DTORHVGOSA-N 0 0 261.281 2.719 20 5 CFBDRN CC1(C)CN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000619147068 352965783 /nfs/dbraw/zinc/96/57/83/352965783.db2.gz UXSQISHDPYWYRI-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC(C)(C)C(F)F ZINC000619421697 353019493 /nfs/dbraw/zinc/01/94/93/353019493.db2.gz AJTQVLYCFIXERH-UHFFFAOYSA-N 0 0 272.251 2.677 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CC(C)(F)C1 ZINC000619419713 353019590 /nfs/dbraw/zinc/01/95/90/353019590.db2.gz YJUJNIWZUNLVSN-UHFFFAOYSA-N 0 0 286.690 2.741 20 5 CFBDRN Cc1sc(C(=O)NC(C)(C)C(F)F)cc1[N+](=O)[O-] ZINC000619422020 353020398 /nfs/dbraw/zinc/02/03/98/353020398.db2.gz SNTBBTYUYRYJPI-UHFFFAOYSA-N 0 0 278.280 2.738 20 5 CFBDRN COc1c(C(=O)N2CCC[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000619434603 353023039 /nfs/dbraw/zinc/02/30/39/353023039.db2.gz CRMWVEOBAFHHMU-GFCCVEGCSA-N 0 0 290.319 2.618 20 5 CFBDRN Cc1cc(N(CC2CCC2)[C@@H](C)CO)ccc1[N+](=O)[O-] ZINC000619569964 353036750 /nfs/dbraw/zinc/03/67/50/353036750.db2.gz BOTYFWYPODVSET-LBPRGKRZSA-N 0 0 278.352 2.891 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)Cc1ccsc1 ZINC000619474425 353028454 /nfs/dbraw/zinc/02/84/54/353028454.db2.gz KWYOXTWTDMIGTB-UHFFFAOYSA-N 0 0 280.353 2.818 20 5 CFBDRN Cc1ccncc1CN(C)c1sccc1[N+](=O)[O-] ZINC000619503613 353030424 /nfs/dbraw/zinc/03/04/24/353030424.db2.gz CNLAFSADNPSSBS-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1c[nH]cn1 ZINC000619510790 353030758 /nfs/dbraw/zinc/03/07/58/353030758.db2.gz URQJEORYUIAXRB-SNVBAGLBSA-N 0 0 260.297 2.511 20 5 CFBDRN O=[N+]([O-])c1cnn(CCN2CCCCc3sccc32)c1 ZINC000619553863 353035822 /nfs/dbraw/zinc/03/58/22/353035822.db2.gz IUOAWDDZPVAOPF-UHFFFAOYSA-N 0 0 292.364 2.696 20 5 CFBDRN COC(=O)c1ccc(OCCSC(C)C)cc1[N+](=O)[O-] ZINC000619744270 353062900 /nfs/dbraw/zinc/06/29/00/353062900.db2.gz OPUNJMXDBQAGEV-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@H](OC(F)F)C1 ZINC000619815319 353067402 /nfs/dbraw/zinc/06/74/02/353067402.db2.gz MKIHBKAEUKIGBP-QMMMGPOBSA-N 0 0 290.241 2.547 20 5 CFBDRN C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ncccc1F ZINC000619574401 353037516 /nfs/dbraw/zinc/03/75/16/353037516.db2.gz WDCBUBBJLDMPIS-SSDOTTSWSA-N 0 0 295.295 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CC(C)(C)C2)c1 ZINC000619584089 353038126 /nfs/dbraw/zinc/03/81/26/353038126.db2.gz WYMAEPKMSBGCIX-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN CC[C@@H]1CN(c2ccsc2[N+](=O)[O-])CCCO1 ZINC000619589987 353038788 /nfs/dbraw/zinc/03/87/88/353038788.db2.gz ICFINRNNUHJMER-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN CC[C@H](C)CONC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000292265207 198222988 /nfs/dbraw/zinc/22/29/88/198222988.db2.gz RRMYQRMOXGRHCC-VIFPVBQESA-N 0 0 266.297 2.611 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC(C2CCOCC2)C1 ZINC000619699441 353053015 /nfs/dbraw/zinc/05/30/15/353053015.db2.gz XSGKNSLNNHTOMH-UHFFFAOYSA-N 0 0 268.338 2.519 20 5 CFBDRN CS[C@@H](C)CC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000152001999 187991081 /nfs/dbraw/zinc/99/10/81/187991081.db2.gz RKSSMSOJLSHROI-VIFPVBQESA-N 0 0 268.338 2.699 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@@H]2CCC[C@@H]21 ZINC000273505001 192178229 /nfs/dbraw/zinc/17/82/29/192178229.db2.gz ZLLUKFCTVHLOGG-LSDHHAIUSA-N 0 0 276.336 2.657 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)NCC1(C2CC2)CC1 ZINC000152709508 188037389 /nfs/dbraw/zinc/03/73/89/188037389.db2.gz IDXKVCVEMCTVJJ-UHFFFAOYSA-N 0 0 289.335 2.584 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000292336805 198249468 /nfs/dbraw/zinc/24/94/68/198249468.db2.gz BEIIITGVUDGCED-NSHDSACASA-N 0 0 282.315 2.905 20 5 CFBDRN Cc1cc(NCc2ncnn2C(C)C)c(F)cc1[N+](=O)[O-] ZINC000292345036 198253699 /nfs/dbraw/zinc/25/36/99/198253699.db2.gz BPROJDOUKIVPAD-UHFFFAOYSA-N 0 0 293.302 2.827 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000153658387 188095679 /nfs/dbraw/zinc/09/56/79/188095679.db2.gz ILSHLBJDYYHPNG-LLVKDONJSA-N 0 0 295.364 2.920 20 5 CFBDRN COc1ccc(OCCCSC)c([N+](=O)[O-])c1 ZINC000153703291 188098094 /nfs/dbraw/zinc/09/80/94/188098094.db2.gz VPCZZMQQSFBRKO-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN Cc1noc(C)c1CCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153737236 188100139 /nfs/dbraw/zinc/10/01/39/188100139.db2.gz CHPLTRQJELMBGE-UHFFFAOYSA-N 0 0 296.714 2.903 20 5 CFBDRN CCCCCN(CCO)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000153766925 188102932 /nfs/dbraw/zinc/10/29/32/188102932.db2.gz KZIQBGBGRPDQBR-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN C[C@@H](O)C1CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000153899750 188110796 /nfs/dbraw/zinc/11/07/96/188110796.db2.gz HUCWNMPSWKOGAR-SNVBAGLBSA-N 0 0 298.770 2.841 20 5 CFBDRN COc1ccncc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000292393228 198270480 /nfs/dbraw/zinc/27/04/80/198270480.db2.gz IKSGGPSPKDQTRH-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2C[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292350435 198255311 /nfs/dbraw/zinc/25/53/11/198255311.db2.gz BXIBBVHXKOMYHL-WDEREUQCSA-N 0 0 282.315 2.782 20 5 CFBDRN CO[C@H](C)CN(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000292356860 198257196 /nfs/dbraw/zinc/25/71/96/198257196.db2.gz CKQJZGQAFHXUBJ-SECBINFHSA-N 0 0 256.277 2.513 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC2(C)C)c([N+](=O)[O-])c1 ZINC000154126046 188124562 /nfs/dbraw/zinc/12/45/62/188124562.db2.gz XKPIOWZGNANXMG-VIFPVBQESA-N 0 0 264.281 2.588 20 5 CFBDRN CCN(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)C(C)C ZINC000154755343 188160026 /nfs/dbraw/zinc/16/00/26/188160026.db2.gz UOIMSHMHZBARIS-UHFFFAOYSA-N 0 0 272.251 2.744 20 5 CFBDRN COCCC1(C(=O)Nc2cccc([N+](=O)[O-])c2)CCC1 ZINC000155270885 188195159 /nfs/dbraw/zinc/19/51/59/188195159.db2.gz PIYNQGOINWEYMX-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000154979162 188174644 /nfs/dbraw/zinc/17/46/44/188174644.db2.gz CCIGNAOULDHTJV-NWDGAFQWSA-N 0 0 276.336 2.784 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000155156095 188187587 /nfs/dbraw/zinc/18/75/87/188187587.db2.gz QAJCYKPTCQNNKP-SNVBAGLBSA-N 0 0 266.297 2.840 20 5 CFBDRN CS(=O)(=O)c1cc(SCC2CC2)ccc1[N+](=O)[O-] ZINC000155672900 188220111 /nfs/dbraw/zinc/22/01/11/188220111.db2.gz UIYHFEWHOMHFON-UHFFFAOYSA-N 0 0 287.362 2.500 20 5 CFBDRN CS[C@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000155354888 188200794 /nfs/dbraw/zinc/20/07/94/188200794.db2.gz WRFGBHUVJMULGN-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN CCCCN(CCO)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000156013376 188238685 /nfs/dbraw/zinc/23/86/85/188238685.db2.gz IVGXWAIEHQJYCI-UHFFFAOYSA-N 0 0 295.339 2.530 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(C)(C)C1 ZINC000156145602 188245484 /nfs/dbraw/zinc/24/54/84/188245484.db2.gz WXBZGLUQIZBRLI-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CN(CCC1CC1)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000156197454 188248393 /nfs/dbraw/zinc/24/83/93/188248393.db2.gz ZIGPUKNETZNZNU-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN C[C@H]1C[C@H](Nc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000156434248 188261976 /nfs/dbraw/zinc/26/19/76/188261976.db2.gz NDRWNYQWPHWHRG-DTWKUNHWSA-N 0 0 254.261 2.713 20 5 CFBDRN CC1(NC(=O)c2cccc([N+](=O)[O-])c2N)CCCCC1 ZINC000157318903 188310073 /nfs/dbraw/zinc/31/00/73/188310073.db2.gz QBQPLFDSNLDXTG-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1=C(C)OCCC1 ZINC000157380686 188313901 /nfs/dbraw/zinc/31/39/01/188313901.db2.gz YDSKTTGUGUGADX-UHFFFAOYSA-N 0 0 276.292 2.926 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@H](O)C2)c(F)cc1[N+](=O)[O-] ZINC000292576514 198337919 /nfs/dbraw/zinc/33/79/19/198337919.db2.gz KRESECSMKOQYGE-ZJUUUORDSA-N 0 0 268.288 2.615 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@H](O)C2)c(F)cc1[N+](=O)[O-] ZINC000292576494 198338017 /nfs/dbraw/zinc/33/80/17/198338017.db2.gz KRESECSMKOQYGE-UWVGGRQHSA-N 0 0 268.288 2.615 20 5 CFBDRN CN(CCc1nccs1)c1ccc([N+](=O)[O-])cc1F ZINC000157801338 188339439 /nfs/dbraw/zinc/33/94/39/188339439.db2.gz SZSOYXDQTSYHBH-UHFFFAOYSA-N 0 0 281.312 2.869 20 5 CFBDRN C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000292584812 198341437 /nfs/dbraw/zinc/34/14/37/198341437.db2.gz DRJUNZSCABTSLW-ZETCQYMHSA-N 0 0 258.224 2.825 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC12CCC2 ZINC000292595789 198345317 /nfs/dbraw/zinc/34/53/17/198345317.db2.gz ZMTUOCGKGVGWPU-GFCCVEGCSA-N 0 0 277.324 2.574 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292583741 198340878 /nfs/dbraw/zinc/34/08/78/198340878.db2.gz LEVOHNPVMDMUJW-FSIBCCDJSA-N 0 0 268.288 2.781 20 5 CFBDRN Cc1ccc(NCCO[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000292625238 198355699 /nfs/dbraw/zinc/35/56/99/198355699.db2.gz NSSHIXZBUWNPDQ-CQSZACIVSA-N 0 0 280.324 2.858 20 5 CFBDRN Cc1nn(C)c(Cl)c1COc1ncc(C)cc1[N+](=O)[O-] ZINC000158000817 188351644 /nfs/dbraw/zinc/35/16/44/188351644.db2.gz OZVUMZAZUKWBRV-UHFFFAOYSA-N 0 0 296.714 2.573 20 5 CFBDRN Cc1ccc(C(=O)N2CCC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000157994562 188351705 /nfs/dbraw/zinc/35/17/05/188351705.db2.gz RLSOSJTUSCRPKS-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CN(C(=O)CC[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000158536751 188380444 /nfs/dbraw/zinc/38/04/44/188380444.db2.gz IXDNKUHTIIFNPD-AWEZNQCLSA-N 0 0 292.335 2.907 20 5 CFBDRN COC1(CCNc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000292696813 198379408 /nfs/dbraw/zinc/37/94/08/198379408.db2.gz FWFQKQLOWUFUSY-UHFFFAOYSA-N 0 0 250.298 2.966 20 5 CFBDRN Cc1cc(NCCOCC(F)F)c(F)cc1[N+](=O)[O-] ZINC000292718286 198387777 /nfs/dbraw/zinc/38/77/77/198387777.db2.gz TYLQEYNSDQTHGF-UHFFFAOYSA-N 0 0 278.230 2.736 20 5 CFBDRN CCc1nc(C(C)C)ccc1Cn1nccc1[N+](=O)[O-] ZINC000292768332 198405066 /nfs/dbraw/zinc/40/50/66/198405066.db2.gz XHJCZDKMMKMMHS-UHFFFAOYSA-N 0 0 274.324 2.920 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000159334821 188421104 /nfs/dbraw/zinc/42/11/04/188421104.db2.gz BKADFTYTGOSXCL-BXKDBHETSA-N 0 0 262.309 2.818 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000160089109 188454440 /nfs/dbraw/zinc/45/44/40/188454440.db2.gz JAWADDMBYWVRCP-UHFFFAOYSA-N 0 0 278.283 2.654 20 5 CFBDRN CC(C)(C)OCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000308528761 260387739 /nfs/dbraw/zinc/38/77/39/260387739.db2.gz LTIMIMNVEUCSMT-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCCCO1 ZINC000160865253 188495426 /nfs/dbraw/zinc/49/54/26/188495426.db2.gz YFNNGXZJZRGAJQ-GFCCVEGCSA-N 0 0 278.308 2.719 20 5 CFBDRN Cc1cc(N(C)C[C@H](C)O)c([N+](=O)[O-])cc1C(F)(F)F ZINC000293058730 198511786 /nfs/dbraw/zinc/51/17/86/198511786.db2.gz MARRCHNHVGCWPT-QMMMGPOBSA-N 0 0 292.257 2.739 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000293150801 198541537 /nfs/dbraw/zinc/54/15/37/198541537.db2.gz SKHMIVRHSDNPQM-ZIAGYGMSSA-N 0 0 293.319 2.843 20 5 CFBDRN CC(C)(C)C[C@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000161454590 188528436 /nfs/dbraw/zinc/52/84/36/188528436.db2.gz FBYLUZFMMVODPU-SECBINFHSA-N 0 0 270.304 2.943 20 5 CFBDRN CCCn1c(C)nnc1CNc1cccc([N+](=O)[O-])c1C ZINC000161578749 188535326 /nfs/dbraw/zinc/53/53/26/188535326.db2.gz KDKWRXABFGGTEE-UHFFFAOYSA-N 0 0 289.339 2.825 20 5 CFBDRN CCCCOC(=O)CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271724704 191291380 /nfs/dbraw/zinc/29/13/80/191291380.db2.gz LRLYOGSFTHCEDV-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN CC(C)(C)C[C@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000162995393 188596897 /nfs/dbraw/zinc/59/68/97/188596897.db2.gz NGEKYDSGCWCZEB-LLVKDONJSA-N 0 0 295.339 2.513 20 5 CFBDRN CC[C@@H](SC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271735848 191297635 /nfs/dbraw/zinc/29/76/35/191297635.db2.gz HNSJYAMRTKOFNN-BXKDBHETSA-N 0 0 282.365 2.914 20 5 CFBDRN C[C@H](NC(=O)CCc1ccco1)c1ccccc1[N+](=O)[O-] ZINC000271736996 191298367 /nfs/dbraw/zinc/29/83/67/191298367.db2.gz HTXNUBFUUCQWEL-NSHDSACASA-N 0 0 288.303 2.998 20 5 CFBDRN O=C(NCCC1=CCCC1)NCc1ccccc1[N+](=O)[O-] ZINC000163830588 188616203 /nfs/dbraw/zinc/61/62/03/188616203.db2.gz SNESUTAKZYLZSE-UHFFFAOYSA-N 0 0 289.335 2.894 20 5 CFBDRN CCO[C@@H](C)C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163874504 188617256 /nfs/dbraw/zinc/61/72/56/188617256.db2.gz RWMRQJLDLGZVPQ-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)OC ZINC000295318824 199456774 /nfs/dbraw/zinc/45/67/74/199456774.db2.gz AVNGNVXRWOBLIO-YGRLFVJLSA-N 0 0 294.351 2.694 20 5 CFBDRN CCCCc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])n[nH]1 ZINC000295307971 199452226 /nfs/dbraw/zinc/45/22/26/199452226.db2.gz RQCMIJKYIMRUEU-UHFFFAOYSA-N 0 0 282.344 2.526 20 5 CFBDRN CC(C)[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000271747632 191303797 /nfs/dbraw/zinc/30/37/97/191303797.db2.gz YLXAFGTZOJARER-NXEZZACHSA-N 0 0 298.364 2.590 20 5 CFBDRN C[C@@H](NC(=O)CC1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000271747607 191303391 /nfs/dbraw/zinc/30/33/91/191303391.db2.gz JYVXVSQBPFPNJN-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC000171912374 188767045 /nfs/dbraw/zinc/76/70/45/188767045.db2.gz OELSXUAPUYIRKA-VIFPVBQESA-N 0 0 266.297 2.834 20 5 CFBDRN Cc1ccnc(NCC2([C@@H](O)C(C)C)CC2)c1[N+](=O)[O-] ZINC000271840059 191361183 /nfs/dbraw/zinc/36/11/83/191361183.db2.gz QDJVUFRTGHGFJM-LBPRGKRZSA-N 0 0 279.340 2.507 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000271827583 191351860 /nfs/dbraw/zinc/35/18/60/191351860.db2.gz DPQFZNGOYDIUTD-NEPJUHHUSA-N 0 0 293.319 2.932 20 5 CFBDRN C[C@]1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CCCOC1 ZINC000272614675 191824009 /nfs/dbraw/zinc/82/40/09/191824009.db2.gz IIRWIFKUHHEPHF-AWEZNQCLSA-N 0 0 289.291 2.713 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272715974 191880206 /nfs/dbraw/zinc/88/02/06/191880206.db2.gz LUFAOXADDVXORU-ZJUUUORDSA-N 0 0 278.312 2.690 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000272704293 191874365 /nfs/dbraw/zinc/87/43/65/191874365.db2.gz WTPLVCBJHJHJAE-NXEZZACHSA-N 0 0 279.340 2.732 20 5 CFBDRN COc1ccc(NC(=O)CC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000272844733 191942901 /nfs/dbraw/zinc/94/29/01/191942901.db2.gz UNCUSITUAPHFBD-LLVKDONJSA-N 0 0 294.307 2.501 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NOCc1ccccc1 ZINC000211845850 540222129 /nfs/dbraw/zinc/22/21/29/540222129.db2.gz KLWGINHHJIPUFA-KTKRTIGZSA-N 0 0 298.298 2.856 20 5 CFBDRN C[C@H]1C[C@@H](CCNc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000273025313 192023368 /nfs/dbraw/zinc/02/33/68/192023368.db2.gz CLRYNEKWOJIKQO-QWRGUYRKSA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000174781647 188917743 /nfs/dbraw/zinc/91/77/43/188917743.db2.gz OUBMPAAJIPAXNA-SNVBAGLBSA-N 0 0 280.349 2.562 20 5 CFBDRN Cc1ccc(N[C@H](C)c2nnc3n2CCC3)c([N+](=O)[O-])c1 ZINC000273530076 192189096 /nfs/dbraw/zinc/18/90/96/192189096.db2.gz YOSASFXQGDHIPP-SNVBAGLBSA-N 0 0 287.323 2.614 20 5 CFBDRN CO[C@H](C)CCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000274237363 192498566 /nfs/dbraw/zinc/49/85/66/192498566.db2.gz FTJJRMMIFFXYOM-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc(OCC3CC3)cc2)nc1 ZINC000273586109 192217838 /nfs/dbraw/zinc/21/78/38/192217838.db2.gz GMQPETMLDCCXMP-UHFFFAOYSA-N 0 0 286.291 2.917 20 5 CFBDRN Cc1ccccc1[C@H](C)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000273605674 192226057 /nfs/dbraw/zinc/22/60/57/192226057.db2.gz KNCDRTLKWNOZFK-NSHDSACASA-N 0 0 272.308 2.891 20 5 CFBDRN CC(C)Oc1ccccc1CNc1ncc([N+](=O)[O-])cn1 ZINC000273604878 192227186 /nfs/dbraw/zinc/22/71/86/192227186.db2.gz KFZDOEXOIADAIH-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN Cc1ccccc1C[C@@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000273620249 192232646 /nfs/dbraw/zinc/23/26/46/192232646.db2.gz OJHAFDFPNYMJMC-LLVKDONJSA-N 0 0 272.308 2.736 20 5 CFBDRN CCCC1(CNc2ncc([N+](=O)[O-])cn2)CCC1 ZINC000273691171 192262918 /nfs/dbraw/zinc/26/29/18/192262918.db2.gz BJHNTQAVFUGSBN-UHFFFAOYSA-N 0 0 250.302 2.767 20 5 CFBDRN Cc1cc(Cc2noc([C@@H]3CCCO3)n2)ccc1[N+](=O)[O-] ZINC000273790103 192309748 /nfs/dbraw/zinc/30/97/48/192309748.db2.gz ARUXZUQJNVCQIZ-LBPRGKRZSA-N 0 0 289.291 2.729 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1c1noc(Cn2ccc([N+](=O)[O-])n2)n1 ZINC000273808333 192314871 /nfs/dbraw/zinc/31/48/71/192314871.db2.gz IISXAPSEKFJSQI-ZJUUUORDSA-N 0 0 291.311 2.516 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc(C)cc1[N+](=O)[O-] ZINC000274535638 192640096 /nfs/dbraw/zinc/64/00/96/192640096.db2.gz ZQJLTAJFHUIBNR-PGLGOXFNSA-N 0 0 250.298 2.642 20 5 CFBDRN C[C@@H](N(C)C(=O)COc1cccc([N+](=O)[O-])c1)C1(C)CC1 ZINC000175613055 188959368 /nfs/dbraw/zinc/95/93/68/188959368.db2.gz VMKUBYWDJOILTD-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN CS[C@H](C)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294086192 198901712 /nfs/dbraw/zinc/90/17/12/198901712.db2.gz LSNRUMKUJIZCPG-SECBINFHSA-N 0 0 282.365 2.698 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC[C@H]1CF ZINC000294217367 198955302 /nfs/dbraw/zinc/95/53/02/198955302.db2.gz WAZKZQQUUHSNGF-VIFPVBQESA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1nc2cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc2o1 ZINC000294362203 199012178 /nfs/dbraw/zinc/01/21/78/199012178.db2.gz JUDKFVAQZMGCGQ-UHFFFAOYSA-N 0 0 291.307 2.910 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)[C@H]1C ZINC000294363155 199013514 /nfs/dbraw/zinc/01/35/14/199013514.db2.gz XFLLXVYCCVHDRR-UWVGGRQHSA-N 0 0 289.335 2.650 20 5 CFBDRN O=C(CCC(=O)c1cccc([N+](=O)[O-])c1)NC1CCCC1 ZINC000294420081 199033025 /nfs/dbraw/zinc/03/30/25/199033025.db2.gz QUCKPGNTDGDKQZ-UHFFFAOYSA-N 0 0 290.319 2.617 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C(C)(F)F ZINC000294447709 199043674 /nfs/dbraw/zinc/04/36/74/199043674.db2.gz FSOAFWWIVPCTNF-UHFFFAOYSA-N 0 0 286.278 2.987 20 5 CFBDRN CC(C)(CCC(=O)Nc1nc(C2CC2)cs1)[N+](=O)[O-] ZINC000294454529 199046453 /nfs/dbraw/zinc/04/64/53/199046453.db2.gz HSUYSUJWJXLESW-UHFFFAOYSA-N 0 0 283.353 2.795 20 5 CFBDRN CCC1(CC)CN(C(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])C1 ZINC000294517280 199069560 /nfs/dbraw/zinc/06/95/60/199069560.db2.gz UDCLJBDSIHIBTK-UHFFFAOYSA-N 0 0 294.355 2.704 20 5 CFBDRN COc1cc(F)ccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294538445 199077059 /nfs/dbraw/zinc/07/70/59/199077059.db2.gz XMYXPQBAJJQYCI-UHFFFAOYSA-N 0 0 284.287 2.608 20 5 CFBDRN CCN(Cc1ccccc1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294567045 199089278 /nfs/dbraw/zinc/08/92/78/199089278.db2.gz VCWWSUIJXQNLBG-UHFFFAOYSA-N 0 0 278.352 2.871 20 5 CFBDRN CC(C)Oc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cn1 ZINC000294623052 199110982 /nfs/dbraw/zinc/11/09/82/199110982.db2.gz XZLKCWVDURAMDD-UHFFFAOYSA-N 0 0 295.339 2.643 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CC[C@@H](CO)C2)c1 ZINC000296228297 199824763 /nfs/dbraw/zinc/82/47/63/199824763.db2.gz HJLHDXJKXBEDRA-PWSUYJOCSA-N 0 0 287.319 2.716 20 5 CFBDRN CCC[C@@H](OC)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000450121233 535402709 /nfs/dbraw/zinc/40/27/09/535402709.db2.gz VGNMIAJIKKHKFH-CYBMUJFWSA-N 0 0 294.351 2.761 20 5 CFBDRN CCn1ccnc1[C@@H](C)NCc1ccc([N+](=O)[O-])cc1 ZINC000294706094 199144209 /nfs/dbraw/zinc/14/42/09/199144209.db2.gz BDHMVRNOMYCADQ-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN COc1cc(N[C@@H](C)C(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC000418903874 236410937 /nfs/dbraw/zinc/41/09/37/236410937.db2.gz SHMPAZQQTXZESS-VIFPVBQESA-N 0 0 296.323 2.746 20 5 CFBDRN Cc1cccc(N[C@H](C)CC(C)(C)O)c1[N+](=O)[O-] ZINC000294866930 199222604 /nfs/dbraw/zinc/22/26/04/199222604.db2.gz ATBJYLFGHRXQBT-SNVBAGLBSA-N 0 0 252.314 2.865 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F)C(C)C ZINC000294884091 199230003 /nfs/dbraw/zinc/23/00/03/199230003.db2.gz QHBUCPPDDHRLTJ-UHFFFAOYSA-N 0 0 297.330 2.670 20 5 CFBDRN COc1cc(N[C@@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000296344480 199859987 /nfs/dbraw/zinc/85/99/87/199859987.db2.gz QOHLQOWQQWVSGU-GFCCVEGCSA-N 0 0 266.297 2.583 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CSC[C@H]2C[C@@H](O)C2)c(F)c1 ZINC000421222610 236760692 /nfs/dbraw/zinc/76/06/92/236760692.db2.gz YLNVQVDASDDPJB-OTSSQURYSA-N 0 0 289.303 2.877 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)CC(C)C ZINC000421435559 236813260 /nfs/dbraw/zinc/81/32/60/236813260.db2.gz OESUHHKMOHNQIT-UHFFFAOYSA-N 0 0 264.325 2.940 20 5 CFBDRN CCC1(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000296458328 199898760 /nfs/dbraw/zinc/89/87/60/199898760.db2.gz ZRSFOUGZRMVSPX-UHFFFAOYSA-N 0 0 282.727 2.850 20 5 CFBDRN Cc1nc(NCCO[C@@H]2CCCC[C@H]2C)ncc1[N+](=O)[O-] ZINC000296552449 199929798 /nfs/dbraw/zinc/92/97/98/199929798.db2.gz OXTCYTJEJULKFX-ZWNOBZJWSA-N 0 0 294.355 2.700 20 5 CFBDRN Cc1cc(F)c(NC(=O)N(C)C(C)C)cc1[N+](=O)[O-] ZINC000296718166 199977576 /nfs/dbraw/zinc/97/75/76/199977576.db2.gz LRCWLNHSBDAMMZ-UHFFFAOYSA-N 0 0 269.276 2.914 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H]2c2ccc(F)cc2)ncc1[N+](=O)[O-] ZINC000296721394 199978423 /nfs/dbraw/zinc/97/84/23/199978423.db2.gz HNAHECKTKDNEMY-NWDGAFQWSA-N 0 0 288.282 2.800 20 5 CFBDRN Cc1cc(F)c(NC(=O)N2CCCCO2)cc1[N+](=O)[O-] ZINC000296801726 200002347 /nfs/dbraw/zinc/00/23/47/200002347.db2.gz QUZPDEDQMYEHBQ-UHFFFAOYSA-N 0 0 283.259 2.602 20 5 CFBDRN Cc1nc(S[C@H](C)C(=O)OC(C)(C)C)ncc1[N+](=O)[O-] ZINC000296835407 200010984 /nfs/dbraw/zinc/01/09/84/200010984.db2.gz SFWVWKSRNLXCNF-MRVPVSSYSA-N 0 0 299.352 2.516 20 5 CFBDRN CC(C)n1ccnc1Sc1ccc([N+](=O)[O-])cn1 ZINC000296901598 200030369 /nfs/dbraw/zinc/03/03/69/200030369.db2.gz OJGVENPCOXYOLE-UHFFFAOYSA-N 0 0 264.310 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)CCCCO ZINC000296945592 200042566 /nfs/dbraw/zinc/04/25/66/200042566.db2.gz QVHPOSYTRXUHCH-LLVKDONJSA-N 0 0 295.339 2.576 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2CC2(F)F)ccc1[N+](=O)[O-] ZINC000296970023 200049154 /nfs/dbraw/zinc/04/91/54/200049154.db2.gz OQNLTTGNJNCACV-VIFPVBQESA-N 0 0 271.219 2.602 20 5 CFBDRN Cc1nc(N2[C@H](C)C[C@@H]3CCCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000296974239 200050408 /nfs/dbraw/zinc/05/04/08/200050408.db2.gz DIQQBTIROUKULF-USWWRNFRSA-N 0 0 276.340 2.851 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@@H]2CCO)cc1 ZINC000275024789 192881392 /nfs/dbraw/zinc/88/13/92/192881392.db2.gz MXUQMODGYDLUSF-ZWNOBZJWSA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCC[C@H]1CCO ZINC000275026115 192881488 /nfs/dbraw/zinc/88/14/88/192881488.db2.gz NEMUVHGRPAKXCK-GXSJLCMTSA-N 0 0 268.288 2.697 20 5 CFBDRN CCN(CC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000297004682 200059932 /nfs/dbraw/zinc/05/99/32/200059932.db2.gz JQFAZTBBSVOWFK-JTQLQIEISA-N 0 0 265.313 2.707 20 5 CFBDRN COCC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000297010974 200061238 /nfs/dbraw/zinc/06/12/38/200061238.db2.gz ZRBVOWJZNXGVAE-UHFFFAOYSA-N 0 0 295.339 2.697 20 5 CFBDRN CS[C@H]1CCC[C@@H](Nc2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000297094120 200083844 /nfs/dbraw/zinc/08/38/44/200083844.db2.gz KSQPYNAPSIXFKY-ZJUUUORDSA-N 0 0 282.369 2.779 20 5 CFBDRN CC/C=C/CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000297114540 200088797 /nfs/dbraw/zinc/08/87/97/200088797.db2.gz ZXPOQEFCEWKJRA-ONEGZZNKSA-N 0 0 268.700 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(CCCCNc2nncs2)cc1 ZINC000297198314 200111254 /nfs/dbraw/zinc/11/12/54/200111254.db2.gz RGAMENJLBAWTPU-UHFFFAOYSA-N 0 0 278.337 2.881 20 5 CFBDRN Cc1cnc([C@@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)s1 ZINC000297350489 200158411 /nfs/dbraw/zinc/15/84/11/200158411.db2.gz BLDPPPMZOFRRQO-MRVPVSSYSA-N 0 0 279.325 2.631 20 5 CFBDRN CS[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000297378942 200169285 /nfs/dbraw/zinc/16/92/85/200169285.db2.gz GEFRDEZTLDLBGJ-SNVBAGLBSA-N 0 0 295.364 2.872 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CCOC2(C)C)cccc1[N+](=O)[O-] ZINC000297481893 200206178 /nfs/dbraw/zinc/20/61/78/200206178.db2.gz OTUSIZAHFAWKPC-LBPRGKRZSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1nc(N[C@@H]2CCOC3(CCCC3)C2)ncc1[N+](=O)[O-] ZINC000297562323 200228235 /nfs/dbraw/zinc/22/82/35/200228235.db2.gz ROWBNTIXPVAWLG-LLVKDONJSA-N 0 0 292.339 2.597 20 5 CFBDRN COc1nccc(CNc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000297526749 200219377 /nfs/dbraw/zinc/21/93/77/200219377.db2.gz DIJNHZMDDKNBRY-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H]2CC)c1 ZINC000297642563 200250352 /nfs/dbraw/zinc/25/03/52/200250352.db2.gz BJEWSRXRORRDRZ-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000297795886 200282361 /nfs/dbraw/zinc/28/23/61/200282361.db2.gz ISFQVEAYJDHZLF-UHFFFAOYSA-N 0 0 284.287 2.661 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(F)c(F)c1F)[N+](=O)[O-] ZINC000297759410 200275798 /nfs/dbraw/zinc/27/57/98/200275798.db2.gz GPQKTLJQDHYXOB-UHFFFAOYSA-N 0 0 290.241 2.878 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C(C)(F)F ZINC000297762873 200276868 /nfs/dbraw/zinc/27/68/68/200276868.db2.gz IXFIHOALLAEITD-ZCFIWIBFSA-N 0 0 276.214 2.964 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000297867059 200295875 /nfs/dbraw/zinc/29/58/75/200295875.db2.gz TVBYZQJDMKNXRC-GFCCVEGCSA-N 0 0 284.287 2.796 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000297885853 200298835 /nfs/dbraw/zinc/29/88/35/200298835.db2.gz QWZGFKXWXMAQBV-MRVPVSSYSA-N 0 0 271.219 2.772 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N(C)CCF)cc1[N+](=O)[O-] ZINC000298068553 200326698 /nfs/dbraw/zinc/32/66/98/200326698.db2.gz WHYZVHQUNDKMIA-SNVBAGLBSA-N 0 0 283.303 2.575 20 5 CFBDRN Cc1sc(C(=O)NCC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000298226051 200365514 /nfs/dbraw/zinc/36/55/14/200365514.db2.gz GQULGOVYMVXXOK-UHFFFAOYSA-N 0 0 290.291 2.740 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@@H]1C ZINC000177058076 189024640 /nfs/dbraw/zinc/02/46/40/189024640.db2.gz KPTSJWJSUKZPQX-RKDXNWHRSA-N 0 0 266.272 2.604 20 5 CFBDRN CO[C@H](C)c1noc(COc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000177208088 189036749 /nfs/dbraw/zinc/03/67/49/189036749.db2.gz RMADPXGUDSCEKG-SECBINFHSA-N 0 0 293.279 2.573 20 5 CFBDRN CC(C)C1(CNC(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000177396379 189047812 /nfs/dbraw/zinc/04/78/12/189047812.db2.gz GPSQIJDTMQTDQL-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2c(C)cccc2[N+](=O)[O-])[C@@H]1OC ZINC000275041065 192890463 /nfs/dbraw/zinc/89/04/63/192890463.db2.gz YINLHUQNMQNMEP-SUHUHFCYSA-N 0 0 280.324 2.508 20 5 CFBDRN O=C1OCC[C@@H]1CCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000177581732 189058628 /nfs/dbraw/zinc/05/86/28/189058628.db2.gz FWIWKTILZIGWPB-QMMMGPOBSA-N 0 0 285.683 2.580 20 5 CFBDRN CCC(=CC(=O)NCc1cc([N+](=O)[O-])ccc1OC)CC ZINC000177650247 189061587 /nfs/dbraw/zinc/06/15/87/189061587.db2.gz PMLSSEMRXHINEZ-UHFFFAOYSA-N 0 0 292.335 2.966 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000298755634 200525546 /nfs/dbraw/zinc/52/55/46/200525546.db2.gz PPCWVPFIHLCTML-SSDOTTSWSA-N 0 0 254.311 2.527 20 5 CFBDRN CCS[C@H]1CCC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000178107882 189091266 /nfs/dbraw/zinc/09/12/66/189091266.db2.gz UFTGWVLUWZNQMB-YPMHNXCESA-N 0 0 294.376 2.999 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N(C)C)[C@@H]1C ZINC000178170557 189094952 /nfs/dbraw/zinc/09/49/52/189094952.db2.gz HESPBJLWDRJJRA-GHMZBOCLSA-N 0 0 291.351 2.531 20 5 CFBDRN CC1CCC(N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000298888218 200556899 /nfs/dbraw/zinc/55/68/99/200556899.db2.gz TWNQMJFKAWTESQ-UHFFFAOYSA-N 0 0 265.313 2.574 20 5 CFBDRN CC[C@H](C)C(=O)COc1cc(OC)ccc1[N+](=O)[O-] ZINC000224850972 540759432 /nfs/dbraw/zinc/75/94/32/540759432.db2.gz XPDNDEHCDIABJT-VIFPVBQESA-N 0 0 267.281 2.597 20 5 CFBDRN Cc1ncc(COc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000178283476 189102527 /nfs/dbraw/zinc/10/25/27/189102527.db2.gz DIDSBZOIOUENPW-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN COc1cccc(CSCCn2ccc([N+](=O)[O-])n2)c1 ZINC000178509320 189122272 /nfs/dbraw/zinc/12/22/72/189122272.db2.gz DPPCNQHUDXXREU-UHFFFAOYSA-N 0 0 293.348 2.733 20 5 CFBDRN Cc1cc(C(=O)NCCCc2ccccn2)cc([N+](=O)[O-])c1 ZINC000178607725 189130559 /nfs/dbraw/zinc/13/05/59/189130559.db2.gz MMYXLPFAOMMNEP-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCCc1ccccn1 ZINC000178618273 189131794 /nfs/dbraw/zinc/13/17/94/189131794.db2.gz MGXVOYREZGZLPI-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC1(C)C ZINC000299181732 200633493 /nfs/dbraw/zinc/63/34/93/200633493.db2.gz ZTENFSOXAFAPAN-CABZTGNLSA-N 0 0 294.376 2.990 20 5 CFBDRN COC(=O)C[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000178850135 189150313 /nfs/dbraw/zinc/15/03/13/189150313.db2.gz QHHQLTUIXFLWHG-SECBINFHSA-N 0 0 298.726 2.780 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000178837627 189149585 /nfs/dbraw/zinc/14/95/85/189149585.db2.gz LVFNCZXXAHBIIO-VIFPVBQESA-N 0 0 287.747 2.852 20 5 CFBDRN COCCC1(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000178918582 189154590 /nfs/dbraw/zinc/15/45/90/189154590.db2.gz NWKJTNFEIZSDDH-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000179300208 189184138 /nfs/dbraw/zinc/18/41/38/189184138.db2.gz BMWLWBLCEIQFHR-NWDGAFQWSA-N 0 0 291.351 2.782 20 5 CFBDRN COc1cccc(C(=O)Nc2cnc(C)s2)c1[N+](=O)[O-] ZINC000435907771 240368696 /nfs/dbraw/zinc/36/86/96/240368696.db2.gz QQYRQNAWSITBDI-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1nc(N2C[C@@H]3CC=CC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000301659975 540932066 /nfs/dbraw/zinc/93/20/66/540932066.db2.gz MKGBYUNOAJISBG-TXEJJXNPSA-N 0 0 259.309 2.701 20 5 CFBDRN CC(C)[C@@H](C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000179963661 189229636 /nfs/dbraw/zinc/22/96/36/189229636.db2.gz HJDARACBIAVWDF-MRVPVSSYSA-N 0 0 276.296 2.702 20 5 CFBDRN Cc1n[nH]cc1CN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000179788579 189219952 /nfs/dbraw/zinc/21/99/52/189219952.db2.gz KMPVCQSLAKCQRN-UHFFFAOYSA-N 0 0 294.742 2.912 20 5 CFBDRN Cc1nnc(Sc2ccc([N+](=O)[O-])cc2)s1 ZINC000301059031 200902807 /nfs/dbraw/zinc/90/28/07/200902807.db2.gz PNGPDUNKNQDHLM-UHFFFAOYSA-N 0 0 253.308 2.906 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1F ZINC000301086889 200911100 /nfs/dbraw/zinc/91/11/00/200911100.db2.gz MKORKBAJDUBDLD-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN CO[C@@H](C)CCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000180888667 189281605 /nfs/dbraw/zinc/28/16/05/189281605.db2.gz YVBPVPFXBSNUNK-ZETCQYMHSA-N 0 0 288.250 2.627 20 5 CFBDRN Cc1nn(C)c(Nc2cc(C)cc(C)c2)c1[N+](=O)[O-] ZINC000301267425 200957502 /nfs/dbraw/zinc/95/75/02/200957502.db2.gz IRKUKGSOJUEROF-UHFFFAOYSA-N 0 0 260.297 2.997 20 5 CFBDRN C[C@@]1(CO)CCC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000301369623 200988564 /nfs/dbraw/zinc/98/85/64/200988564.db2.gz RVYYSILZQSRTGO-OLZOCXBDSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])cc1C ZINC000301425378 201003063 /nfs/dbraw/zinc/00/30/63/201003063.db2.gz BXHSLBTXQSDVNK-NOZJJQNGSA-N 0 0 252.314 2.722 20 5 CFBDRN CCc1cnc(CCNc2ncccc2[N+](=O)[O-])s1 ZINC000301453470 201015245 /nfs/dbraw/zinc/01/52/45/201015245.db2.gz GRYJMLMWEUOIHV-UHFFFAOYSA-N 0 0 278.337 2.663 20 5 CFBDRN CNc1nc(CNc2ncc([N+](=O)[O-])cc2Cl)cs1 ZINC000301486534 201026025 /nfs/dbraw/zinc/02/60/25/201026025.db2.gz XQUWPYOQZGOGME-UHFFFAOYSA-N 0 0 299.743 2.754 20 5 CFBDRN C[C@@]1(CNc2ccccc2[N+](=O)[O-])CCCC[C@H]1O ZINC000301498095 201031530 /nfs/dbraw/zinc/03/15/30/201031530.db2.gz VIHBCICUPKJIMU-KGLIPLIRSA-N 0 0 264.325 2.948 20 5 CFBDRN O=[N+]([O-])c1cccnc1Oc1ccc2c[nH]nc2c1 ZINC000301512104 201039652 /nfs/dbraw/zinc/03/96/52/201039652.db2.gz DKFOYRDXWIAKKQ-UHFFFAOYSA-N 0 0 256.221 2.658 20 5 CFBDRN CCOc1cc(N(C)C2CCC2)ccc1[N+](=O)[O-] ZINC000301513600 201040033 /nfs/dbraw/zinc/04/00/33/201040033.db2.gz CDCIXZPUDUAMAB-UHFFFAOYSA-N 0 0 250.298 2.982 20 5 CFBDRN Nc1c(F)c(N2CCc3sccc3C2)ccc1[N+](=O)[O-] ZINC000348743105 283652771 /nfs/dbraw/zinc/65/27/71/283652771.db2.gz NFBSPPYQPMMLPH-UHFFFAOYSA-N 0 0 293.323 2.940 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182703178 189332223 /nfs/dbraw/zinc/33/22/23/189332223.db2.gz QXTMRGPINXTSDU-FZMZJTMJSA-N 0 0 292.335 2.763 20 5 CFBDRN CCCN(CC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])cn1 ZINC000182758453 189333822 /nfs/dbraw/zinc/33/38/22/189333822.db2.gz MBWCGXQXVYKXPF-UHFFFAOYSA-N 0 0 295.339 2.548 20 5 CFBDRN CC[C@@H](C)N(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301625892 201104483 /nfs/dbraw/zinc/10/44/83/201104483.db2.gz PZFDQULMXWYILM-MRVPVSSYSA-N 0 0 253.258 2.738 20 5 CFBDRN Cc1ccnc(N[C@@H](C)C[C@H](O)c2ccco2)c1[N+](=O)[O-] ZINC000301647667 201113162 /nfs/dbraw/zinc/11/31/62/201113162.db2.gz QHCMQADYIZQOJZ-QWRGUYRKSA-N 0 0 291.307 2.815 20 5 CFBDRN C[C@@H](C[C@H](O)c1ccco1)Nc1ncccc1[N+](=O)[O-] ZINC000301629554 201105552 /nfs/dbraw/zinc/10/55/52/201105552.db2.gz IETWNVCUKIRWEU-ONGXEEELSA-N 0 0 277.280 2.507 20 5 CFBDRN C[C@H](C[C@@H](O)c1ccco1)Nc1ncccc1[N+](=O)[O-] ZINC000301629553 201105916 /nfs/dbraw/zinc/10/59/16/201105916.db2.gz IETWNVCUKIRWEU-MWLCHTKSSA-N 0 0 277.280 2.507 20 5 CFBDRN C[C@@H](C[C@H](O)c1ccco1)Nc1ccc([N+](=O)[O-])cn1 ZINC000301647033 201113306 /nfs/dbraw/zinc/11/33/06/201113306.db2.gz PWQCVCZQHYAJAE-ONGXEEELSA-N 0 0 277.280 2.507 20 5 CFBDRN COc1cc(NCCCSC)c([N+](=O)[O-])cc1F ZINC000301641258 201109150 /nfs/dbraw/zinc/10/91/50/201109150.db2.gz NLNDAXNMEXOFQK-UHFFFAOYSA-N 0 0 274.317 2.908 20 5 CFBDRN CCOC(=O)C[C@@H](C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000301640585 201109774 /nfs/dbraw/zinc/10/97/74/201109774.db2.gz GIVVFYULSAGQOM-SNVBAGLBSA-N 0 0 266.297 2.657 20 5 CFBDRN CC(C)(C)CC(C)(C)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301642993 201111116 /nfs/dbraw/zinc/11/11/16/201111116.db2.gz ZPBVBARBUMRCRV-UHFFFAOYSA-N 0 0 267.333 2.594 20 5 CFBDRN COc1cc(N(C)Cc2cccnc2)c([N+](=O)[O-])cc1F ZINC000301609612 201092205 /nfs/dbraw/zinc/09/22/05/201092205.db2.gz BKMONPCPWMCGLE-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN CC[C@@H](C)N(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000301610011 201093393 /nfs/dbraw/zinc/09/33/93/201093393.db2.gz BWAJVXYOUDIPLD-SSDOTTSWSA-N 0 0 254.315 2.539 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCCCO2)c([N+](=O)[O-])cc1F ZINC000301616437 201096755 /nfs/dbraw/zinc/09/67/55/201096755.db2.gz JRJXLLZIXKGIRN-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN COc1cc(NCC[C@H](O)C(C)C)c([N+](=O)[O-])cc1F ZINC000301625336 201101002 /nfs/dbraw/zinc/10/10/02/201101002.db2.gz GHXFUYJCARXTGA-LBPRGKRZSA-N 0 0 286.303 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ccc(Cl)cn2)nc1 ZINC000301654451 201119316 /nfs/dbraw/zinc/11/93/16/201119316.db2.gz KTUGEGFVSUGOMZ-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCC(=O)C[C@@H]1C ZINC000183155377 189348292 /nfs/dbraw/zinc/34/82/92/189348292.db2.gz JGPPZBUCRDUNEW-GWCFXTLKSA-N 0 0 291.303 2.814 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Nc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000301679574 201133962 /nfs/dbraw/zinc/13/39/62/201133962.db2.gz RHNLNFICNMDFFL-KOLCDFICSA-N 0 0 296.298 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ncccc2Cl)nc1 ZINC000301691365 201141773 /nfs/dbraw/zinc/14/17/73/201141773.db2.gz YRXDVQOXLZWZBC-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN CC(C)c1noc(CN2CCc3c2cccc3[N+](=O)[O-])n1 ZINC000183622178 189364308 /nfs/dbraw/zinc/36/43/08/189364308.db2.gz HNVMWFWMEXJMNA-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN CCCC1(CNC(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000183624149 189364723 /nfs/dbraw/zinc/36/47/23/189364723.db2.gz DNIGNKNORWQGCR-UHFFFAOYSA-N 0 0 278.312 2.692 20 5 CFBDRN COC(=O)c1cc(NCC(C)(C)OC)cc(C)c1[N+](=O)[O-] ZINC000301714285 201155013 /nfs/dbraw/zinc/15/50/13/201155013.db2.gz ZIIRGCQEOVPXNL-UHFFFAOYSA-N 0 0 296.323 2.527 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C)Cc1ccncc1 ZINC000183737294 189367349 /nfs/dbraw/zinc/36/73/49/189367349.db2.gz XLXCVHVXIICTGP-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN CCOc1cccc(NC[C@@H](C)C[C@H](C)O)c1[N+](=O)[O-] ZINC000184121601 189376874 /nfs/dbraw/zinc/37/68/74/189376874.db2.gz WKWDGQAIMOBLMK-QWRGUYRKSA-N 0 0 282.340 2.812 20 5 CFBDRN C[C@H](C1CC1)N(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CC1 ZINC000184328754 189382977 /nfs/dbraw/zinc/38/29/77/189382977.db2.gz GKDSPWQXOJLWIT-SECBINFHSA-N 0 0 289.335 2.580 20 5 CFBDRN C[C@@H](CNc1c(F)cc([N+](=O)[O-])cc1F)Cn1cccn1 ZINC000301795868 201202822 /nfs/dbraw/zinc/20/28/22/201202822.db2.gz QJSOFWJMSLSXPU-VIFPVBQESA-N 0 0 296.277 2.818 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1)[C@H](O)Cc1ccccc1 ZINC000301801446 201206889 /nfs/dbraw/zinc/20/68/89/201206889.db2.gz WNHDYTJJDFMWLI-MLGOLLRUSA-N 0 0 286.331 2.999 20 5 CFBDRN CN(Cc1ccncc1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000301808290 201210659 /nfs/dbraw/zinc/21/06/59/201210659.db2.gz XBWRTEKDRSXURS-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN CN(C[C@H]1CCC[C@H]1O)c1ccc([N+](=O)[O-])cc1Cl ZINC000301817057 201213693 /nfs/dbraw/zinc/21/36/93/201213693.db2.gz FAZNGSAAEQUILA-NOZJJQNGSA-N 0 0 284.743 2.845 20 5 CFBDRN Cc1ccccc1CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301851301 201231116 /nfs/dbraw/zinc/23/11/16/201231116.db2.gz WZGRHLFPRTZAKD-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC(C)(O)[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000301903757 201254991 /nfs/dbraw/zinc/25/49/91/201254991.db2.gz PFGPBBXNDYWIOG-GFCCVEGCSA-N 0 0 284.743 2.988 20 5 CFBDRN COc1ccc([C@@H](O)CNc2sccc2[N+](=O)[O-])cc1 ZINC000301931878 201266699 /nfs/dbraw/zinc/26/66/99/201266699.db2.gz MAUSBFWFLFBPNC-LBPRGKRZSA-N 0 0 294.332 2.810 20 5 CFBDRN COc1cc(CNc2sccc2[N+](=O)[O-])ccn1 ZINC000301933882 201268361 /nfs/dbraw/zinc/26/83/61/201268361.db2.gz NTXXCXLQOMOMKH-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN CNC(=O)c1ccc(CNc2sccc2[N+](=O)[O-])cc1 ZINC000301940612 201270464 /nfs/dbraw/zinc/27/04/64/201270464.db2.gz USFCMLKZMHHLFS-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN Cc1cccc(CNc2ccc3ncc([N+](=O)[O-])n3n2)c1 ZINC000301940376 201270932 /nfs/dbraw/zinc/27/09/32/201270932.db2.gz UEDGTRHQVHHWMY-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN COc1cc(N(C)CC2CCOCC2)c([N+](=O)[O-])cc1F ZINC000301959692 201278593 /nfs/dbraw/zinc/27/85/93/201278593.db2.gz LWLXKNYQIQUIRP-UHFFFAOYSA-N 0 0 298.314 2.605 20 5 CFBDRN C[C@H](c1cnn(C)c1)N(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000301982766 201286714 /nfs/dbraw/zinc/28/67/14/201286714.db2.gz ALIAHGMSTFNMBP-MRVPVSSYSA-N 0 0 295.730 2.574 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1CC12CC2 ZINC000425502279 239083180 /nfs/dbraw/zinc/08/31/80/239083180.db2.gz HNVSOOZORFXZEU-NSHDSACASA-N 0 0 295.726 2.600 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1CC12CC2 ZINC000425502277 239083268 /nfs/dbraw/zinc/08/32/68/239083268.db2.gz HNVSOOZORFXZEU-LLVKDONJSA-N 0 0 295.726 2.600 20 5 CFBDRN COc1cc(Oc2cccnc2)c([N+](=O)[O-])cc1OC ZINC000301950228 201274038 /nfs/dbraw/zinc/27/40/38/201274038.db2.gz ZJFUXTQCJVWWFK-UHFFFAOYSA-N 0 0 276.248 2.799 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000185745034 189420808 /nfs/dbraw/zinc/42/08/08/189420808.db2.gz BLCRTQISQCZEIZ-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN Cn1cc(CNc2ccc([N+](=O)[O-])cc2OC(F)F)cn1 ZINC000302054004 201322252 /nfs/dbraw/zinc/32/22/52/201322252.db2.gz POZRVPWDVSDTMR-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN Cc1ccc(NC(=O)c2ncccc2C)cc1[N+](=O)[O-] ZINC000426079364 239164945 /nfs/dbraw/zinc/16/49/45/239164945.db2.gz PEQJLYYGQFYQGP-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCc1ccc[nH]1 ZINC000302076544 201334649 /nfs/dbraw/zinc/33/46/49/201334649.db2.gz WLQJBMJRSCVLCD-UHFFFAOYSA-N 0 0 266.688 2.626 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1ccc(F)cc1[N+](=O)[O-] ZINC000302088050 201338207 /nfs/dbraw/zinc/33/82/07/201338207.db2.gz ZXCHKTBNBCDLIX-YGRLFVJLSA-N 0 0 282.315 2.721 20 5 CFBDRN COc1cccc(F)c1[C@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000302102008 201341010 /nfs/dbraw/zinc/34/10/10/201341010.db2.gz LPJHKJFSLAMCGI-QMMMGPOBSA-N 0 0 292.270 2.706 20 5 CFBDRN Cc1nc2ccccn2c1COc1ncc(C)cc1[N+](=O)[O-] ZINC000426585689 239242259 /nfs/dbraw/zinc/24/22/59/239242259.db2.gz GTROMSFSXDHTCN-UHFFFAOYSA-N 0 0 298.302 2.833 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cn2)C1(CC)CC ZINC000302156396 201370685 /nfs/dbraw/zinc/37/06/85/201370685.db2.gz MVMQUSXPZOSVSZ-NEPJUHHUSA-N 0 0 294.355 2.781 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCc2cccc(F)c2)nc1 ZINC000302139263 201359288 /nfs/dbraw/zinc/35/92/88/201359288.db2.gz CVLOCPUKOUXMNL-UHFFFAOYSA-N 0 0 276.271 2.569 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H]1C(C)C ZINC000186641622 189445427 /nfs/dbraw/zinc/44/54/27/189445427.db2.gz ZOTBFQICUYZIMU-MNOVXSKESA-N 0 0 278.308 2.834 20 5 CFBDRN Cc1cccc([C@H](C)CNc2ncc([N+](=O)[O-])cn2)c1 ZINC000302166545 201377515 /nfs/dbraw/zinc/37/75/15/201377515.db2.gz RNFJDJNWFORXSH-LLVKDONJSA-N 0 0 272.308 2.909 20 5 CFBDRN Cc1ccc2c(CCNc3ncc([N+](=O)[O-])cn3)c[nH]c2c1 ZINC000302164664 201377605 /nfs/dbraw/zinc/37/76/05/201377605.db2.gz QSLWFWIVCFYTBJ-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN CCN(Cc1c(F)cccc1F)c1ncc([N+](=O)[O-])cn1 ZINC000302172018 201381335 /nfs/dbraw/zinc/38/13/35/201381335.db2.gz VDHWFKFGSBKHBN-UHFFFAOYSA-N 0 0 294.261 2.690 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@@H]1CCC[C@@]1(C)C(=O)[O-] ZINC000426732144 239255291 /nfs/dbraw/zinc/25/52/91/239255291.db2.gz RTQASVOQSBBDLY-UKRRQHHQSA-N 0 0 292.335 2.636 20 5 CFBDRN CC(C)OC1CCC(Nc2ncc([N+](=O)[O-])cn2)CC1 ZINC000302207798 201398123 /nfs/dbraw/zinc/39/81/23/201398123.db2.gz FFRTYXITUGLVNX-UHFFFAOYSA-N 0 0 280.328 2.533 20 5 CFBDRN CC(=O)CCCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000187727166 189474657 /nfs/dbraw/zinc/47/46/57/189474657.db2.gz GMNBOHBZNMXXFI-GFCCVEGCSA-N 0 0 292.335 2.840 20 5 CFBDRN CC(C)c1ccc(C(=O)NCC(F)F)cc1[N+](=O)[O-] ZINC000187808250 189478087 /nfs/dbraw/zinc/47/80/87/189478087.db2.gz YOZRYMONOBYGRJ-UHFFFAOYSA-N 0 0 272.251 2.713 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC2(CCC2)C(=O)O1 ZINC000188130298 189491156 /nfs/dbraw/zinc/49/11/56/189491156.db2.gz NLHLNFDXXLKPGW-LBPRGKRZSA-N 0 0 291.303 2.768 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1c1ccc([N+](=O)[O-])nc1 ZINC000359859260 291298402 /nfs/dbraw/zinc/29/84/02/291298402.db2.gz UIGYCYVHGSGVEB-IONNQARKSA-N 0 0 275.230 2.767 20 5 CFBDRN Cc1cc(OCC[C@@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000189140047 189524521 /nfs/dbraw/zinc/52/45/21/189524521.db2.gz FWWKUKMARYSYAB-JTQLQIEISA-N 0 0 269.272 2.990 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCOC3(CCCC3)C2)s1 ZINC000190337056 189560793 /nfs/dbraw/zinc/56/07/93/189560793.db2.gz QRIHPRSGLBWLGE-SECBINFHSA-N 0 0 283.353 2.955 20 5 CFBDRN COCCC[C@H](C)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191016769 189580542 /nfs/dbraw/zinc/58/05/42/189580542.db2.gz GABPBMRWBLGRBH-JTQLQIEISA-N 0 0 297.307 2.572 20 5 CFBDRN CC(C)(C)C(C)(C)CNc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000431489038 239900901 /nfs/dbraw/zinc/90/09/01/239900901.db2.gz GBHQAZRTBBUJQT-UHFFFAOYSA-N 0 0 294.355 2.573 20 5 CFBDRN C[C@H](CN(C)c1ncc([N+](=O)[O-])s1)c1nccs1 ZINC000192528801 189624257 /nfs/dbraw/zinc/62/42/57/189624257.db2.gz HFOOXHCUFCOLNF-SSDOTTSWSA-N 0 0 284.366 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CC3(CCC3)C2)cc1 ZINC000432829473 240051629 /nfs/dbraw/zinc/05/16/29/240051629.db2.gz AXACEJFQBFZPMH-UHFFFAOYSA-N 0 0 276.336 2.950 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCC1CC(O)C1 ZINC000432858935 240056728 /nfs/dbraw/zinc/05/67/28/240056728.db2.gz LWDNANJLAMYJKC-UHFFFAOYSA-N 0 0 270.716 2.821 20 5 CFBDRN C/C=C\C[C@H](NCc1cccc([N+](=O)[O-])c1)C(=O)OCC ZINC000432917079 240067252 /nfs/dbraw/zinc/06/72/52/240067252.db2.gz ZFKHSUMKCHRRLJ-MFBWXBCUSA-N 0 0 292.335 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](CC(F)(F)F)C2)cn1 ZINC000433792980 240176617 /nfs/dbraw/zinc/17/66/17/240176617.db2.gz QDJDWLGFXMGZSS-QMMMGPOBSA-N 0 0 275.230 2.769 20 5 CFBDRN Cc1cccc(C(=O)NCC(C)(C)C)c1[N+](=O)[O-] ZINC000194273674 189657150 /nfs/dbraw/zinc/65/71/50/189657150.db2.gz QIAGLXRAMLVSDA-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN Cc1c(Nc2c([N+](=O)[O-])ncn2C)cnn1-c1ccccc1 ZINC000434956986 240280354 /nfs/dbraw/zinc/28/03/54/240280354.db2.gz XOUHGXDBSWNVBF-UHFFFAOYSA-N 0 0 298.306 2.566 20 5 CFBDRN COc1cc(C(=O)N2CC(C)=C[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000436024996 240380404 /nfs/dbraw/zinc/38/04/04/240380404.db2.gz FVQSYMCTWGHORY-SNVBAGLBSA-N 0 0 290.319 2.642 20 5 CFBDRN CCc1cncc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000436273469 240420362 /nfs/dbraw/zinc/42/03/62/240420362.db2.gz JEJUYKRGCSSKPP-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN Cc1cccc(N[C@H]2CCN(c3ccccn3)C2)c1[N+](=O)[O-] ZINC000275387662 193018434 /nfs/dbraw/zinc/01/84/34/193018434.db2.gz CEASUZMHCPCMOO-ZDUSSCGKSA-N 0 0 298.346 2.989 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000275458020 193046261 /nfs/dbraw/zinc/04/62/61/193046261.db2.gz YMCBXDCJCNGMJN-LLVKDONJSA-N 0 0 291.351 2.568 20 5 CFBDRN COc1cccc(N[C@@H]2CCC[C@H]2CCO)c1[N+](=O)[O-] ZINC000302417141 201445176 /nfs/dbraw/zinc/44/51/76/201445176.db2.gz HZMDHAKGXOFSMK-WDEREUQCSA-N 0 0 280.324 2.566 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000439711708 240789644 /nfs/dbraw/zinc/78/96/44/240789644.db2.gz CWPPRCQEEUMNEH-GHMZBOCLSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CCO[C@H](C)C1 ZINC000275834772 193142151 /nfs/dbraw/zinc/14/21/51/193142151.db2.gz YQYVSAODNQASLL-GHMZBOCLSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)c1cc(F)c(C)cc1F ZINC000440843601 240893501 /nfs/dbraw/zinc/89/35/01/240893501.db2.gz HEDFQHSXNWVEPN-UHFFFAOYSA-N 0 0 295.245 2.569 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1Cc2ccccc2CO1 ZINC000377172656 283880623 /nfs/dbraw/zinc/88/06/23/283880623.db2.gz WOFFMHQHZHOHLU-UHFFFAOYSA-N 0 0 298.298 2.611 20 5 CFBDRN CSc1ccc(F)cc1CNc1ncc([N+](=O)[O-])s1 ZINC000443514251 241070436 /nfs/dbraw/zinc/07/04/36/241070436.db2.gz YVAVDASCJQQYEW-UHFFFAOYSA-N 0 0 299.352 2.946 20 5 CFBDRN Cc1cncc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000443808022 241088774 /nfs/dbraw/zinc/08/87/74/241088774.db2.gz XLPSAJRNYNWVFS-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN O=C(COc1ccsc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000443459761 241063634 /nfs/dbraw/zinc/06/36/34/241063634.db2.gz GTTYPCMTMUHZAJ-UHFFFAOYSA-N 0 0 278.289 2.674 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000444471908 241144375 /nfs/dbraw/zinc/14/43/75/241144375.db2.gz ZHLFIZWCNYCEJY-VHSXEESVSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000444470183 241144606 /nfs/dbraw/zinc/14/46/06/241144606.db2.gz VTLBTCYKOCSRHC-ZJUUUORDSA-N 0 0 287.319 2.995 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC12CCC2 ZINC000444922685 241201434 /nfs/dbraw/zinc/20/14/34/241201434.db2.gz NWRLYTZUEHCIGE-UHFFFAOYSA-N 0 0 291.307 2.764 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000444316768 241136060 /nfs/dbraw/zinc/13/60/60/241136060.db2.gz KHCOFVVRZWDKFN-NWDGAFQWSA-N 0 0 291.351 2.702 20 5 CFBDRN Cn1cncc1-c1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1 ZINC000445567503 241223338 /nfs/dbraw/zinc/22/33/38/241223338.db2.gz RQIIPBRXWCAQDK-DAXSKMNVSA-N 0 0 297.274 2.549 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C\c2nc(-c3cocn3)no2)c1 ZINC000445564685 241224578 /nfs/dbraw/zinc/22/45/78/241224578.db2.gz QIKDJDOVXUWSCK-PLNGDYQASA-N 0 0 284.231 2.803 20 5 CFBDRN Cc1cc(OCC(=O)c2nccs2)ccc1[N+](=O)[O-] ZINC000447285882 241362184 /nfs/dbraw/zinc/36/21/84/241362184.db2.gz HHVPTAHLHXNXBX-UHFFFAOYSA-N 0 0 278.289 2.621 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H]1CCO[C@@H](C)C1 ZINC000447286378 241362384 /nfs/dbraw/zinc/36/23/84/241362384.db2.gz FUOJUWFZWKEWEN-QWRGUYRKSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1cc(N(C)CC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000302611405 201491235 /nfs/dbraw/zinc/49/12/35/201491235.db2.gz BVEQMLAXYKHPDX-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@@H]1C[C@@H](COc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000447312185 241367602 /nfs/dbraw/zinc/36/76/02/241367602.db2.gz LCIOLTXCRDKTDN-ZJUUUORDSA-N 0 0 269.272 2.928 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H]1CCO[C@@H](C)C1 ZINC000447326869 241370023 /nfs/dbraw/zinc/37/00/23/241370023.db2.gz QWNSZKBBHFDLPT-CMPLNLGQSA-N 0 0 293.319 2.991 20 5 CFBDRN COC(=O)c1cnc(SCC=C(C)C)c([N+](=O)[O-])c1 ZINC000447692794 241399445 /nfs/dbraw/zinc/39/94/45/241399445.db2.gz IPMZSPIGKXHMDL-UHFFFAOYSA-N 0 0 282.321 2.835 20 5 CFBDRN C[C@@]1(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CC=CCC1 ZINC000448774875 241549623 /nfs/dbraw/zinc/54/96/23/241549623.db2.gz NYAPOAGNUXUAPC-MRXNPFEDSA-N 0 0 288.347 3.000 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000449574255 242041744 /nfs/dbraw/zinc/04/17/44/242041744.db2.gz UEUVJTYJYCQBSL-BXUZGUMPSA-N 0 0 286.335 2.895 20 5 CFBDRN CCn1ccnc1[C@@H](C)NCc1ccc([N+](=O)[O-])cc1F ZINC000449563143 242035342 /nfs/dbraw/zinc/03/53/42/242035342.db2.gz RTOOJQUSDPIDIK-SNVBAGLBSA-N 0 0 292.314 2.801 20 5 CFBDRN C[C@@]1(C(=O)NCCc2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000450018207 242246553 /nfs/dbraw/zinc/24/65/53/242246553.db2.gz QZDVFVICYBJZDQ-MRXNPFEDSA-N 0 0 288.347 3.000 20 5 CFBDRN COC[C@@H](C)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450273806 242335905 /nfs/dbraw/zinc/33/59/05/242335905.db2.gz DPDDKFNNFLKTDE-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450352003 242371238 /nfs/dbraw/zinc/37/12/38/242371238.db2.gz VCQYKODUHAFYNW-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@@H]1CCCO1 ZINC000450208115 242306469 /nfs/dbraw/zinc/30/64/69/242306469.db2.gz KGHHTNNRIAWBQP-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN CO[C@]1(C)C[C@H](N(C)c2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000450363286 242378943 /nfs/dbraw/zinc/37/89/43/242378943.db2.gz KASQQIYUVJLTED-SMDDNHRTSA-N 0 0 279.340 2.630 20 5 CFBDRN COCCN(c1ncc(C)cc1[N+](=O)[O-])[C@H]1CC[C@H](C)C1 ZINC000302708303 201519005 /nfs/dbraw/zinc/51/90/05/201519005.db2.gz JWSKTZBFDQHIRA-AAEUAGOBSA-N 0 0 293.367 2.940 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@@H](C)CCO ZINC000450564987 242480790 /nfs/dbraw/zinc/48/07/90/242480790.db2.gz NKYQKSYMTUVZIX-LLVKDONJSA-N 0 0 282.340 2.562 20 5 CFBDRN COc1cc(N(C)CC[C@@H]2CCCO2)c([N+](=O)[O-])cc1F ZINC000302723689 201526099 /nfs/dbraw/zinc/52/60/99/201526099.db2.gz QSCYNQWXAVNCBO-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(N)c1F)[C@H](CO)CC(C)(C)C ZINC000450832935 242630469 /nfs/dbraw/zinc/63/04/69/242630469.db2.gz JHRULKAGYSMUMP-VIFPVBQESA-N 0 0 299.346 2.549 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])cc2F)COC1 ZINC000450840726 242632668 /nfs/dbraw/zinc/63/26/68/242632668.db2.gz AASJLIKQAGLZRE-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000450932246 242675520 /nfs/dbraw/zinc/67/55/20/242675520.db2.gz CCXINSAULKDYEM-CHWSQXEVSA-N 0 0 250.298 2.964 20 5 CFBDRN COC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000450948976 242684310 /nfs/dbraw/zinc/68/43/10/242684310.db2.gz RHSAJUGDIRQFPZ-JTQLQIEISA-N 0 0 297.330 2.569 20 5 CFBDRN CCOc1cccc(N2CC[C@H](CCCO)C2)c1[N+](=O)[O-] ZINC000450957819 242688092 /nfs/dbraw/zinc/68/80/92/242688092.db2.gz HYIMCPDSNFLKQL-LBPRGKRZSA-N 0 0 294.351 2.592 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000452182388 243077572 /nfs/dbraw/zinc/07/75/72/243077572.db2.gz LMRBUDRUTLFJAU-HERUPUMHSA-N 0 0 274.320 2.818 20 5 CFBDRN C[C@H](NC(=O)C[C@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000452182731 243077964 /nfs/dbraw/zinc/07/79/64/243077964.db2.gz IRJNCFFCROQXAZ-JFGNBEQYSA-N 0 0 262.309 2.818 20 5 CFBDRN CC[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)F ZINC000452248362 243098490 /nfs/dbraw/zinc/09/84/90/243098490.db2.gz QHWPYXJCGQTGAT-VIFPVBQESA-N 0 0 276.214 2.507 20 5 CFBDRN CC(C)C[C@@]1(C)CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000452506087 243200543 /nfs/dbraw/zinc/20/05/43/243200543.db2.gz AGRROQXBTOWQPE-OAHLLOKOSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C(C)(F)F)cc([N+](=O)[O-])c1 ZINC000452464353 243184262 /nfs/dbraw/zinc/18/42/62/243184262.db2.gz OKZRCFFTLHYWFX-QMMMGPOBSA-N 0 0 272.251 2.677 20 5 CFBDRN CC/C=C/CNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000452612699 243245668 /nfs/dbraw/zinc/24/56/68/243245668.db2.gz LMELRSXJNRYNPG-ZZXKWVIFSA-N 0 0 280.349 2.769 20 5 CFBDRN CC[C@@H](C)N[C@@H](c1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000453061245 243383508 /nfs/dbraw/zinc/38/35/08/243383508.db2.gz SLEJRLBDKJXHQY-BXUZGUMPSA-N 0 0 288.351 2.806 20 5 CFBDRN CCn1nnc(C)c1CNc1c(C)ccc([N+](=O)[O-])c1C ZINC000453091823 243397574 /nfs/dbraw/zinc/39/75/74/243397574.db2.gz MWCTVOFOEPDJDM-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN Cc1nn(C[C@H](O)[C@@H](C)c2ccccc2)c(C)c1[N+](=O)[O-] ZINC000453021891 243365466 /nfs/dbraw/zinc/36/54/66/243365466.db2.gz RHUUTEINCIMPIB-HZMBPMFUSA-N 0 0 289.335 2.573 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000454291932 243678121 /nfs/dbraw/zinc/67/81/21/243678121.db2.gz GTIKFWKYYSJGDF-ZETCQYMHSA-N 0 0 273.239 2.760 20 5 CFBDRN CC(C)CN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000330630040 203058437 /nfs/dbraw/zinc/05/84/37/203058437.db2.gz CDWPUJRQLLTUFU-UHFFFAOYSA-N 0 0 281.356 2.688 20 5 CFBDRN CC[C@@H](O)[C@H](CC)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000455084836 243888011 /nfs/dbraw/zinc/88/80/11/243888011.db2.gz PLHXIMWTBTVENK-WCQYABFASA-N 0 0 295.339 2.574 20 5 CFBDRN Cc1cc(NC(=O)NC[C@@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000455088838 243889737 /nfs/dbraw/zinc/88/97/37/243889737.db2.gz LMLUMBJDIWQVFU-PWSUYJOCSA-N 0 0 293.323 2.592 20 5 CFBDRN CCC(CC)Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000276039311 193196044 /nfs/dbraw/zinc/19/60/44/193196044.db2.gz FXSDPTSGWSGOFJ-UHFFFAOYSA-N 0 0 286.353 2.599 20 5 CFBDRN CCC[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C(C)(C)C ZINC000456114166 244080184 /nfs/dbraw/zinc/08/01/84/244080184.db2.gz NYORQDTXZFKQPC-JTQLQIEISA-N 0 0 267.329 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@H]2C(C)C)c1 ZINC000456305836 244134337 /nfs/dbraw/zinc/13/43/37/244134337.db2.gz XPORDGCEVOGQCN-RYUDHWBXSA-N 0 0 276.336 2.925 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000456266485 244120739 /nfs/dbraw/zinc/12/07/39/244120739.db2.gz CTIOTHBPDBGHSD-GWCFXTLKSA-N 0 0 292.360 2.917 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2C[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000456328023 244141983 /nfs/dbraw/zinc/14/19/83/244141983.db2.gz KBFVUVOXCABXQV-AAEUAGOBSA-N 0 0 276.336 2.925 20 5 CFBDRN CO[C@@H]([C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000456606014 244240747 /nfs/dbraw/zinc/24/07/47/244240747.db2.gz SVZZLIJXDRRREG-KRTXAFLBSA-N 0 0 298.364 2.508 20 5 CFBDRN Cc1cc(C)c(CNC(=O)c2cc([N+](=O)[O-])ccc2C)cn1 ZINC000456865562 244310702 /nfs/dbraw/zinc/31/07/02/244310702.db2.gz TXAKVUKCJAWLSO-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CCC[C@H]1CCCC[C@@H]1CNC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000456843164 244301589 /nfs/dbraw/zinc/30/15/89/244301589.db2.gz GXXSSGIJWOJHNT-WDEREUQCSA-N 0 0 294.355 2.654 20 5 CFBDRN O=C(NCCC1CC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000457213616 244438490 /nfs/dbraw/zinc/43/84/90/244438490.db2.gz KXXOUCODIICJFB-UHFFFAOYSA-N 0 0 284.262 2.760 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000230170190 189806805 /nfs/dbraw/zinc/80/68/05/189806805.db2.gz WFASBSGUUWUZFH-GZMMTYOYSA-N 0 0 295.314 2.625 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000457442690 244548344 /nfs/dbraw/zinc/54/83/44/244548344.db2.gz ALUFIUULHXKUAF-XHDPSFHLSA-N 0 0 292.335 2.731 20 5 CFBDRN CN(CCCCO)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000276173092 193233170 /nfs/dbraw/zinc/23/31/70/193233170.db2.gz CXXCWLPXSKAFNY-UHFFFAOYSA-N 0 0 292.257 2.822 20 5 CFBDRN CCc1ccc(OC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000330896140 203365348 /nfs/dbraw/zinc/36/53/48/203365348.db2.gz GQDFCYNZVYBQCF-NSHDSACASA-N 0 0 251.282 2.573 20 5 CFBDRN CCc1cccc(Cn2c(C)ccc([N+](=O)[O-])c2=O)c1 ZINC000276293789 193274854 /nfs/dbraw/zinc/27/48/54/193274854.db2.gz YYXOOZXWHIALOS-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN CCS[C@H]1CC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330927972 203395704 /nfs/dbraw/zinc/39/57/04/203395704.db2.gz KZKUQPJHGNTNNZ-KOLCDFICSA-N 0 0 297.380 2.669 20 5 CFBDRN CN(Cc1cscn1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000276316854 193285418 /nfs/dbraw/zinc/28/54/18/193285418.db2.gz SXQAAPNSFFAHGA-UHFFFAOYSA-N 0 0 290.348 2.656 20 5 CFBDRN C[C@@]1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCCCO1 ZINC000457775876 244665356 /nfs/dbraw/zinc/66/53/56/244665356.db2.gz OWWBZWCJYYDSQY-ZDUSSCGKSA-N 0 0 282.271 2.632 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000330960471 203421232 /nfs/dbraw/zinc/42/12/32/203421232.db2.gz ASSUOKVPTZQHJZ-CQSZACIVSA-N 0 0 295.314 2.578 20 5 CFBDRN Cc1nc(N[C@@H]2CSC2(C)C)ccc1[N+](=O)[O-] ZINC000276507950 193361127 /nfs/dbraw/zinc/36/11/27/193361127.db2.gz SGFLTURYFYTVKE-SECBINFHSA-N 0 0 253.327 2.604 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCC1(O)CCCC1 ZINC000276557714 193379833 /nfs/dbraw/zinc/37/98/33/193379833.db2.gz FZCAJEFKNJXJPL-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN COCCCCCOc1ccc([N+](=O)[O-])cc1OC ZINC000116612302 376198799 /nfs/dbraw/zinc/19/87/99/376198799.db2.gz DKINXNSXAWJUJO-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276648927 193414202 /nfs/dbraw/zinc/41/42/02/193414202.db2.gz QOLJTBYUKVWZPB-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@H]1CCC[C@@H]1O ZINC000276595562 193393998 /nfs/dbraw/zinc/39/39/98/193393998.db2.gz JZUKQBGFEWBYOP-RISCZKNCSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1c(CC(=O)NC[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000331090379 203533775 /nfs/dbraw/zinc/53/37/75/203533775.db2.gz VOHDOFKSQRLCHH-DGCLKSJQSA-N 0 0 290.363 2.998 20 5 CFBDRN CCOC1CC(CCNc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000276924853 193502120 /nfs/dbraw/zinc/50/21/20/193502120.db2.gz OYSXSMYXOZZZLJ-UHFFFAOYSA-N 0 0 297.330 2.933 20 5 CFBDRN C[C@H](NC(=O)N1C[C@@H]2CCC[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000458884701 244991928 /nfs/dbraw/zinc/99/19/28/244991928.db2.gz FTAKYVBNXMUEJA-VHRBIJSZSA-N 0 0 289.335 2.850 20 5 CFBDRN Cc1ccc(C[NH2+][C@H](CC(=O)[O-])C(C)(C)C)cc1[N+](=O)[O-] ZINC000277463477 193661114 /nfs/dbraw/zinc/66/11/14/193661114.db2.gz VSNDODUBNWDBQP-CYBMUJFWSA-N 0 0 294.351 2.882 20 5 CFBDRN Cc1ccc(CN2CC(Oc3ccc([N+](=O)[O-])cc3)C2)o1 ZINC000459623378 245247503 /nfs/dbraw/zinc/24/75/03/245247503.db2.gz RNLPGIBVPVSDPH-UHFFFAOYSA-N 0 0 288.303 2.759 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC3(CCC3)[C@H]3COC[C@@H]32)cs1 ZINC000459725502 245285320 /nfs/dbraw/zinc/28/53/20/245285320.db2.gz QAACJRAKHAZUFF-RYUDHWBXSA-N 0 0 294.376 2.657 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)C1CCC1 ZINC000459896881 245343787 /nfs/dbraw/zinc/34/37/87/245343787.db2.gz XXLMJVOKZSKUJQ-VIFPVBQESA-N 0 0 278.308 2.978 20 5 CFBDRN Cc1c(C(=O)N2C[C@H](C)O[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000353736583 291517765 /nfs/dbraw/zinc/51/77/65/291517765.db2.gz XUCCKTFUJBBYQY-ZMLRMANQSA-N 0 0 292.335 2.541 20 5 CFBDRN CN(CC1CCC1)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000331894568 204273742 /nfs/dbraw/zinc/27/37/42/204273742.db2.gz QGQOVQVTPKYMAA-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000000500139 371631840 /nfs/dbraw/zinc/63/18/40/371631840.db2.gz UUZURYMZVJMUKG-HUUCEWRRSA-N 0 0 282.299 2.881 20 5 CFBDRN CC(C)C[C@H](C)Cc1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000332360043 204628917 /nfs/dbraw/zinc/62/89/17/204628917.db2.gz JFGVAEZWUAOYSL-VIFPVBQESA-N 0 0 293.327 2.603 20 5 CFBDRN Cc1cc(C(=O)n2cccc/c2=N\C(C)C)ccc1[N+](=O)[O-] ZINC000011374274 371919656 /nfs/dbraw/zinc/91/96/56/371919656.db2.gz XCELNKPCYHOZAQ-BMRADRMJSA-N 0 0 299.330 2.702 20 5 CFBDRN Cc1cccnc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000013208103 372020147 /nfs/dbraw/zinc/02/01/47/372020147.db2.gz JTHZGILPBQERNM-RMKNXTFCSA-N 0 0 283.287 2.950 20 5 CFBDRN CN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CCCCC1 ZINC000017356729 372134578 /nfs/dbraw/zinc/13/45/78/372134578.db2.gz KCLQFLUBXKISGZ-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN CCOc1ncccc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000019161076 372149315 /nfs/dbraw/zinc/14/93/15/372149315.db2.gz VXXPLDLKKUOKDA-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN O=C(Nc1ccc(=O)[nH]c1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000359625564 284315099 /nfs/dbraw/zinc/31/50/99/284315099.db2.gz SIDOOYXJMAUACU-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN COc1ccc(NCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000020035068 372221628 /nfs/dbraw/zinc/22/16/28/372221628.db2.gz WKGQXVBOCPGEIE-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN COc1cc(F)ccc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000025309554 372295217 /nfs/dbraw/zinc/29/52/17/372295217.db2.gz YCDNITCJKPZWKZ-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1Cc2ccccc2O1 ZINC000024970275 372285290 /nfs/dbraw/zinc/28/52/90/372285290.db2.gz HBSOCLYZJXICST-CQSZACIVSA-N 0 0 284.271 2.537 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1c(C)noc1C ZINC000025908202 372309091 /nfs/dbraw/zinc/30/90/91/372309091.db2.gz NIQPFBZFAISXSK-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN COc1ccc(OCc2cccnc2)c([N+](=O)[O-])c1 ZINC000027779427 372349353 /nfs/dbraw/zinc/34/93/53/372349353.db2.gz QBAIDCKAAMCHAJ-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN Cc1ccccc1Cn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000028006124 372354883 /nfs/dbraw/zinc/35/48/83/372354883.db2.gz QZFIZMPWEGASDT-UHFFFAOYSA-N 0 0 284.271 2.859 20 5 CFBDRN CCCCNC(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-] ZINC000029513922 372381701 /nfs/dbraw/zinc/38/17/01/372381701.db2.gz GRLJQBLKSHKOPE-UHFFFAOYSA-N 0 0 296.323 2.532 20 5 CFBDRN CC(=O)c1ccc(N(C)Cc2ccncc2)c([N+](=O)[O-])c1 ZINC000029874779 372387202 /nfs/dbraw/zinc/38/72/02/372387202.db2.gz UMNVIJHUOZMDPZ-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)o1 ZINC000029891100 372387227 /nfs/dbraw/zinc/38/72/27/372387227.db2.gz OBBYDMIFGVHXOW-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN CN(Cc1cccs1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000031518685 372412516 /nfs/dbraw/zinc/41/25/16/372412516.db2.gz VGGWHTUBERYXOK-UHFFFAOYSA-N 0 0 266.278 2.522 20 5 CFBDRN CCC(C)(C)[C@@H]1CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000332647509 204854888 /nfs/dbraw/zinc/85/48/88/204854888.db2.gz BZXNPBHQGSOEFO-JTQLQIEISA-N 0 0 294.355 2.667 20 5 CFBDRN COCc1ccccc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000031885694 372422373 /nfs/dbraw/zinc/42/23/73/372422373.db2.gz WKDGVIXXCYHERP-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN CC(C)C[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000332682759 204893393 /nfs/dbraw/zinc/89/33/93/204893393.db2.gz SUKJQHWUHVUDAB-SNVBAGLBSA-N 0 0 266.297 2.839 20 5 CFBDRN CCOCCCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000035084587 372537146 /nfs/dbraw/zinc/53/71/46/372537146.db2.gz AHKOKUDJCNPADO-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCSCC1 ZINC000033299996 372490221 /nfs/dbraw/zinc/49/02/21/372490221.db2.gz ZENCZLBDXHWVRN-HYXAFXHYSA-N 0 0 264.350 2.657 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1 ZINC000034472805 372508598 /nfs/dbraw/zinc/50/85/98/372508598.db2.gz CVSSHYJDWDORCU-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN CC[C@@H]1CCCCN1CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000036834385 372600392 /nfs/dbraw/zinc/60/03/92/372600392.db2.gz IOHXPFYTNQUHDC-GFCCVEGCSA-N 0 0 291.351 2.798 20 5 CFBDRN Cc1ccccc1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000036136653 372562801 /nfs/dbraw/zinc/56/28/01/372562801.db2.gz LRIDAGNJEKIGEN-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN CC(=O)c1ccc(OCCN2CCCCC2)c([N+](=O)[O-])c1 ZINC000036225579 372567930 /nfs/dbraw/zinc/56/79/30/372567930.db2.gz PRCHFSDABCDPTR-UHFFFAOYSA-N 0 0 292.335 2.662 20 5 CFBDRN COC(=O)[C@H](CC(C)C)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000036980188 372620028 /nfs/dbraw/zinc/62/00/28/372620028.db2.gz QMVYENUZJSRZDL-FZMZJTMJSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000037911603 372735089 /nfs/dbraw/zinc/73/50/89/372735089.db2.gz YOPCIFJXYCYEDW-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1ncc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])s1 ZINC000040998341 372802152 /nfs/dbraw/zinc/80/21/52/372802152.db2.gz HNXSGGPULAQPST-UHFFFAOYSA-N 0 0 294.292 2.880 20 5 CFBDRN CCN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CCCCC1 ZINC000041867512 372864075 /nfs/dbraw/zinc/86/40/75/372864075.db2.gz WEELHZUFQFOKQV-UHFFFAOYSA-N 0 0 291.351 2.972 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCCN1c1ncc([N+](=O)[O-])cc1F ZINC000413283095 296191004 /nfs/dbraw/zinc/19/10/04/296191004.db2.gz RMIARVWMYUUGCY-MNOVXSKESA-N 0 0 297.330 2.649 20 5 CFBDRN C[C@H](Cn1ccnc1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000042375590 372915784 /nfs/dbraw/zinc/91/57/84/372915784.db2.gz PWDIBVPOVYFKAA-SECBINFHSA-N 0 0 280.715 2.945 20 5 CFBDRN COc1cc(C(=O)OC2CCCC2)c([N+](=O)[O-])cc1OC ZINC000042485520 372928360 /nfs/dbraw/zinc/92/83/60/372928360.db2.gz DLSWJWPGOLYOJM-UHFFFAOYSA-N 0 0 295.291 2.711 20 5 CFBDRN CCCC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000042918765 372966366 /nfs/dbraw/zinc/96/63/66/372966366.db2.gz DGFCLVBXTQJDGR-UHFFFAOYSA-N 0 0 274.223 2.935 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1C[C@@H]1C ZINC000043148944 372979067 /nfs/dbraw/zinc/97/90/67/372979067.db2.gz KSGMKKSPWYISDS-UWVGGRQHSA-N 0 0 263.297 2.681 20 5 CFBDRN CCN(C(=O)Nc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000043148995 372979985 /nfs/dbraw/zinc/97/99/85/372979985.db2.gz YWEFQTZDVVTOSY-UHFFFAOYSA-N 0 0 263.297 2.919 20 5 CFBDRN C[C@@H](CF)NC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000289847077 197504564 /nfs/dbraw/zinc/50/45/64/197504564.db2.gz XQENOSLTLJDWFJ-LURJTMIESA-N 0 0 275.667 2.728 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1 ZINC000045820392 373034290 /nfs/dbraw/zinc/03/42/90/373034290.db2.gz BCJVWUCZUMDUJO-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1cccs1 ZINC000046146899 373045604 /nfs/dbraw/zinc/04/56/04/373045604.db2.gz KDUSVULJQYEZAZ-UHFFFAOYSA-N 0 0 262.290 2.586 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCOC2(CCCCC2)C1 ZINC000486910225 245702862 /nfs/dbraw/zinc/70/28/62/245702862.db2.gz PAHSEVYYDDLLPV-LLVKDONJSA-N 0 0 294.355 2.622 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccoc1 ZINC000047687104 373114040 /nfs/dbraw/zinc/11/40/40/373114040.db2.gz QPCWERYTRCHLLL-UHFFFAOYSA-N 0 0 291.263 2.518 20 5 CFBDRN Cc1cnc(NC(=O)NCc2ccccc2[N+](=O)[O-])s1 ZINC000047720314 373115980 /nfs/dbraw/zinc/11/59/80/373115980.db2.gz GZYZJSFXCSVYQU-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN CCCCCNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048297707 373158386 /nfs/dbraw/zinc/15/83/86/373158386.db2.gz OCOSJYPQFQYRGG-UHFFFAOYSA-N 0 0 275.308 2.996 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000047873261 373124062 /nfs/dbraw/zinc/12/40/62/373124062.db2.gz CPIBSASHTCMWBN-SNVBAGLBSA-N 0 0 296.298 2.513 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)C1CC1 ZINC000048477084 373189338 /nfs/dbraw/zinc/18/93/38/373189338.db2.gz GGRROWPFZGPUSO-MRVPVSSYSA-N 0 0 279.296 2.523 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1cccc(Cl)c1 ZINC000048545200 373196540 /nfs/dbraw/zinc/19/65/40/373196540.db2.gz WDYUMFDOWIYTJN-ZETCQYMHSA-N 0 0 293.714 2.794 20 5 CFBDRN Cc1nn(C)c(NCc2ccc(F)c(C)c2)c1[N+](=O)[O-] ZINC000048554361 373198940 /nfs/dbraw/zinc/19/89/40/373198940.db2.gz BPXNAAYRDDEUSK-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN CN(Cc1cnn(C)c1)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000048971992 373241756 /nfs/dbraw/zinc/24/17/56/373241756.db2.gz IWQSKEZTMXDPOJ-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CC[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)C(C)C ZINC000048977768 373243713 /nfs/dbraw/zinc/24/37/13/373243713.db2.gz IHFSUBHWAYHFOS-SECBINFHSA-N 0 0 256.327 2.821 20 5 CFBDRN CCCCN(CCO)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000049011852 373250255 /nfs/dbraw/zinc/25/02/55/373250255.db2.gz XQAUBYQDQOPQNT-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN CCC[C@@H](C)NC(=O)[C@@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418521 373295785 /nfs/dbraw/zinc/29/57/85/373295785.db2.gz LFESLFDSWVFTCZ-NXEZZACHSA-N 0 0 297.380 2.775 20 5 CFBDRN O=C(Nc1ccsc1)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000118678254 284387533 /nfs/dbraw/zinc/38/75/33/284387533.db2.gz OGMDLEBRVDPTAX-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN CCCC(=O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000050185468 373361334 /nfs/dbraw/zinc/36/13/34/373361334.db2.gz QGHMODFCBNQHOA-UHFFFAOYSA-N 0 0 257.673 2.996 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000050374990 373373075 /nfs/dbraw/zinc/37/30/75/373373075.db2.gz QCLLICVOSROLFM-VXGBXAGGSA-N 0 0 254.261 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCC[C@H](CCO)C1 ZINC000051916437 373418963 /nfs/dbraw/zinc/41/89/63/373418963.db2.gz ZKWFNAZPGXBPBV-LLVKDONJSA-N 0 0 298.770 2.843 20 5 CFBDRN CCn1ccnc1CNc1ccc([N+](=O)[O-])cc1F ZINC000051924225 373421080 /nfs/dbraw/zinc/42/10/80/373421080.db2.gz NCROKBHJYATBNA-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1CCCC[C@H]1O ZINC000052007275 373428747 /nfs/dbraw/zinc/42/87/47/373428747.db2.gz JVSGGVWQYGECHI-ZYHUDNBSSA-N 0 0 270.716 2.964 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000050565161 373381284 /nfs/dbraw/zinc/38/12/84/373381284.db2.gz BWNASQTWNCXVJT-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cccc(CNCc2ccccc2[N+](=O)[O-])n1 ZINC000051574754 373400553 /nfs/dbraw/zinc/40/05/53/373400553.db2.gz PXRSTZDZWUHSLO-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCOCCC(C)C ZINC000052812839 373451779 /nfs/dbraw/zinc/45/17/79/373451779.db2.gz CUSMCPPKGXIBMT-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)N1CCCCCC1 ZINC000054645266 373528190 /nfs/dbraw/zinc/52/81/90/373528190.db2.gz SKGNQQIHRRSTCH-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1ccnc(C)n1 ZINC000053734510 373486245 /nfs/dbraw/zinc/48/62/45/373486245.db2.gz QZLJFZXIRABJLJ-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1ccc(OCc2cc(C)ncn2)c([N+](=O)[O-])c1 ZINC000488253479 245828166 /nfs/dbraw/zinc/82/81/66/245828166.db2.gz VVDWWOXLNQHTMK-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000057005985 373578231 /nfs/dbraw/zinc/57/82/31/373578231.db2.gz HAPJHDOUVKUHPZ-SMDDNHRTSA-N 0 0 291.351 2.973 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000057071742 373578405 /nfs/dbraw/zinc/57/84/05/373578405.db2.gz IWDVIXPLJYZCEJ-MNOVXSKESA-N 0 0 264.325 2.688 20 5 CFBDRN O=C(OC[C@@H]1CCCO1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000057974499 373619898 /nfs/dbraw/zinc/61/98/98/373619898.db2.gz QACLHMGGHNHTCO-VIFPVBQESA-N 0 0 285.683 2.584 20 5 CFBDRN CCCCOCCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000059010770 373676928 /nfs/dbraw/zinc/67/69/28/373676928.db2.gz HLMFZLZDFFLYNQ-UHFFFAOYSA-N 0 0 280.324 2.531 20 5 CFBDRN Cn1c2ccc([N+](=O)[O-])cc2nc1-c1ccncc1 ZINC000059352939 373681491 /nfs/dbraw/zinc/68/14/91/373681491.db2.gz HUQUAURECNLSAQ-UHFFFAOYSA-N 0 0 254.249 2.544 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000060249712 373690520 /nfs/dbraw/zinc/69/05/20/373690520.db2.gz PUEOVJZZXPVWGP-SNVBAGLBSA-N 0 0 250.298 2.759 20 5 CFBDRN O=[N+]([O-])c1ccccc1Sc1nc2c([nH]1)CCC2 ZINC000488755838 245899465 /nfs/dbraw/zinc/89/94/65/245899465.db2.gz YOQGCPGLZSMSPK-UHFFFAOYSA-N 0 0 261.306 2.958 20 5 CFBDRN CCC(O)(CC)CNc1cccc(F)c1[N+](=O)[O-] ZINC000063992639 373817626 /nfs/dbraw/zinc/81/76/26/373817626.db2.gz IOKUKXBWNIBZPF-UHFFFAOYSA-N 0 0 256.277 2.697 20 5 CFBDRN CSC[C@H]1CCCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000488964245 245915969 /nfs/dbraw/zinc/91/59/69/245915969.db2.gz YSDXWTUAYQPQKV-JTQLQIEISA-N 0 0 267.354 2.569 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H](CO)Cc1ccccc1 ZINC000063218634 373798808 /nfs/dbraw/zinc/79/88/08/373798808.db2.gz TVKKGZWEUGDWIJ-GFCCVEGCSA-N 0 0 290.294 2.749 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@@H]1CCCCO1 ZINC000065693209 373897466 /nfs/dbraw/zinc/89/74/66/373897466.db2.gz KAJILNDNLGGUMN-VIFPVBQESA-N 0 0 254.311 2.651 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000066756205 373949199 /nfs/dbraw/zinc/94/91/99/373949199.db2.gz BLWMEVLGMCDUPA-SECBINFHSA-N 0 0 268.338 2.841 20 5 CFBDRN CC(C)CN(C)c1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000065945708 373904763 /nfs/dbraw/zinc/90/47/63/373904763.db2.gz QYTMAFHETZNEPY-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CC(C)(C)NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000066327192 373925300 /nfs/dbraw/zinc/92/53/00/373925300.db2.gz UPABQJYUOLAZCR-UHFFFAOYSA-N 0 0 277.324 2.697 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)[C@H]1CC1(C)C ZINC000489375236 245945135 /nfs/dbraw/zinc/94/51/35/245945135.db2.gz GYLNAFSVGBRAIU-LBPRGKRZSA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1sc(C(=O)N2CC(C)(C)OC[C@@H]2C)cc1[N+](=O)[O-] ZINC000489473111 245956339 /nfs/dbraw/zinc/95/63/39/245956339.db2.gz IDJSVSNSVJONFK-QMMMGPOBSA-N 0 0 298.364 2.604 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CCn2ccnc2C1 ZINC000489898379 245982165 /nfs/dbraw/zinc/98/21/65/245982165.db2.gz OLYZZUBVHVCUEC-NSHDSACASA-N 0 0 272.308 2.527 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCOC1CCCCC1 ZINC000070167571 374133763 /nfs/dbraw/zinc/13/37/63/374133763.db2.gz AOJUJNYKOXGXJG-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000069747753 374093291 /nfs/dbraw/zinc/09/32/91/374093291.db2.gz QDYBPXFWKLEEKA-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN CN(Cc1ccncc1)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000490823527 246025519 /nfs/dbraw/zinc/02/55/19/246025519.db2.gz QVVHTJAZOWQKBI-SREVYHEPSA-N 0 0 297.314 2.662 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000070231851 374151381 /nfs/dbraw/zinc/15/13/81/374151381.db2.gz GPMVMTZNHGKTCQ-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000490552227 246012033 /nfs/dbraw/zinc/01/20/33/246012033.db2.gz ODIJZZCTUTVMED-GULOHRGCSA-N 0 0 274.320 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1N[C@H]1CCOC1 ZINC000070967152 374209312 /nfs/dbraw/zinc/20/93/12/374209312.db2.gz KHJBQGSODYVPNW-QMMMGPOBSA-N 0 0 287.113 2.558 20 5 CFBDRN C[C@H](N[C@@H](C)c1cnccn1)c1cccc([N+](=O)[O-])c1 ZINC000070479244 374187250 /nfs/dbraw/zinc/18/72/50/374187250.db2.gz OYCCOTVUMVZFKH-QWRGUYRKSA-N 0 0 272.308 2.797 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])Nc1cccnc1 ZINC000491302989 246060084 /nfs/dbraw/zinc/06/00/84/246060084.db2.gz GCLMVDJVECONDH-XQRVVYSFSA-N 0 0 287.250 2.781 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000072645245 374272591 /nfs/dbraw/zinc/27/25/91/374272591.db2.gz CFIMOVWKKQEORJ-LBPRGKRZSA-N 0 0 292.335 2.765 20 5 CFBDRN C[C@H](NC(=O)CCn1cccc1)c1cccc([N+](=O)[O-])c1 ZINC000072986882 374312892 /nfs/dbraw/zinc/31/28/92/374312892.db2.gz QWNAAHIIYRLZCS-LBPRGKRZSA-N 0 0 287.319 2.664 20 5 CFBDRN CSC[C@H](C)NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491880773 246110224 /nfs/dbraw/zinc/11/02/24/246110224.db2.gz FDRRVAMMHMVQLX-RNEXMXFGSA-N 0 0 298.339 2.615 20 5 CFBDRN CC(C)C[C@H]1CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000073187387 374327847 /nfs/dbraw/zinc/32/78/47/374327847.db2.gz CKJNVPRGBTVIQB-LLVKDONJSA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000491924758 246124654 /nfs/dbraw/zinc/12/46/54/246124654.db2.gz KKKVHZXHFJCXQP-WZIXFXTNSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\C1CCOCC1 ZINC000491886710 246110768 /nfs/dbraw/zinc/11/07/68/246110768.db2.gz SLJMAZYIRYCQTH-HYXAFXHYSA-N 0 0 290.319 2.825 20 5 CFBDRN CCCc1noc(COc2ccc(C)cc2[N+](=O)[O-])n1 ZINC000073717778 374375663 /nfs/dbraw/zinc/37/56/63/374375663.db2.gz RVIGGEOBVGQARF-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)Nc2ccc([N+](=O)[O-])cc2)O1 ZINC000074235231 374411764 /nfs/dbraw/zinc/41/17/64/374411764.db2.gz ZLZFFBGPYZJMJB-ZWNOBZJWSA-N 0 0 278.308 2.881 20 5 CFBDRN CCC[C@@H](CCO)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074768664 374436037 /nfs/dbraw/zinc/43/60/37/374436037.db2.gz XWSQYXJCJXDXKO-NSHDSACASA-N 0 0 267.329 2.509 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@H]1C=CCCC1 ZINC000492108123 246189195 /nfs/dbraw/zinc/18/91/95/246189195.db2.gz JQRQHMZQIPNWKL-XPSMFNQNSA-N 0 0 272.304 2.833 20 5 CFBDRN CC1CC(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000492074508 246177138 /nfs/dbraw/zinc/17/71/38/246177138.db2.gz UUBVQCQCQDZMAC-WAYWQWQTSA-N 0 0 260.293 2.523 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1C=CCCC1 ZINC000492133401 246197600 /nfs/dbraw/zinc/19/76/00/246197600.db2.gz BNJOAXQMQOHSFO-FNOHHLHBSA-N 0 0 290.294 2.972 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000075288041 374473326 /nfs/dbraw/zinc/47/33/26/374473326.db2.gz BHGZARANYMAOOW-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000075279255 374473736 /nfs/dbraw/zinc/47/37/36/374473736.db2.gz JSDKSMGULWWRSL-GHMZBOCLSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000075287654 374473901 /nfs/dbraw/zinc/47/39/01/374473901.db2.gz GRDXFQFUIZRTDJ-RYUDHWBXSA-N 0 0 276.336 2.784 20 5 CFBDRN CC[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)CCS1 ZINC000076072594 374530586 /nfs/dbraw/zinc/53/05/86/374530586.db2.gz SHORHSMSTHKYED-ZDUSSCGKSA-N 0 0 296.392 2.931 20 5 CFBDRN O=C(/C=C\C1CCC1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000492287806 246247160 /nfs/dbraw/zinc/24/71/60/246247160.db2.gz MTOQRLAWDRQHJN-DAXSKMNVSA-N 0 0 286.291 2.766 20 5 CFBDRN Cc1ccc(/C=C/C(=O)N(C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000492413436 246284459 /nfs/dbraw/zinc/28/44/59/246284459.db2.gz HEOFXRNNSKFBTK-CMDGGOBGSA-N 0 0 297.314 2.974 20 5 CFBDRN Cc1ccc(/C=C\C(=O)NCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000492379716 246273659 /nfs/dbraw/zinc/27/36/59/246273659.db2.gz LNRQTQQVPVJWOL-TWGQIWQCSA-N 0 0 297.314 2.628 20 5 CFBDRN COc1ccc(NC(=O)/C=C/c2ccncc2)cc1[N+](=O)[O-] ZINC000492490748 246307933 /nfs/dbraw/zinc/30/79/33/246307933.db2.gz IOIDNBJQTJNCQC-GORDUTHDSA-N 0 0 299.286 2.650 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000079054019 374589021 /nfs/dbraw/zinc/58/90/21/374589021.db2.gz BBJAQXUFAXRYKI-NSHDSACASA-N 0 0 291.351 2.829 20 5 CFBDRN C[C@@H](O)[C@@H](C)SCCc1ccc([N+](=O)[O-])cc1 ZINC000079040584 374585763 /nfs/dbraw/zinc/58/57/63/374585763.db2.gz UBPWJXGPMCHTEJ-NXEZZACHSA-N 0 0 255.339 2.640 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492798597 246389191 /nfs/dbraw/zinc/38/91/91/246389191.db2.gz UGOXXABULRTVKP-BAXLLTQGSA-N 0 0 278.283 2.662 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CC[C@H](F)C1 ZINC000492799587 246389744 /nfs/dbraw/zinc/38/97/44/246389744.db2.gz MUZUIAMOBZROQE-ILOJOSQTSA-N 0 0 296.273 2.754 20 5 CFBDRN CC[C@H]1CCN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492852033 246405145 /nfs/dbraw/zinc/40/51/45/246405145.db2.gz KLXWNFCDIZAMOY-JECSTDCCSA-N 0 0 260.293 2.619 20 5 CFBDRN CC(C)=CCNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492952058 246435443 /nfs/dbraw/zinc/43/54/43/246435443.db2.gz MUJCCPXCRSKENF-FPLPWBNLSA-N 0 0 260.293 2.690 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1[C@@H]2CCCC[C@H]12 ZINC000080817718 374674073 /nfs/dbraw/zinc/67/40/73/374674073.db2.gz ZFMFRSCYDWYQKD-FUNVUKJBSA-N 0 0 260.293 2.970 20 5 CFBDRN CC(C)C1(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1 ZINC000492974966 246443258 /nfs/dbraw/zinc/44/32/58/246443258.db2.gz SLXOKVWGCHDQIN-VMPITWQZSA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1ccnc(CNC(=O)/C=C/c2ccccc2[N+](=O)[O-])c1 ZINC000493088646 246484268 /nfs/dbraw/zinc/48/42/68/246484268.db2.gz HTDDTQLLQNFLRL-VOTSOKGWSA-N 0 0 297.314 2.628 20 5 CFBDRN CCc1ccc(C(=O)NCc2ccco2)cc1[N+](=O)[O-] ZINC000081808565 374717525 /nfs/dbraw/zinc/71/75/25/374717525.db2.gz DOYCPZRMYAQREI-UHFFFAOYSA-N 0 0 274.276 2.680 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000493040257 246467007 /nfs/dbraw/zinc/46/70/07/246467007.db2.gz QPELLYCJABHADR-FPVBZRHZSA-N 0 0 290.294 2.662 20 5 CFBDRN CSCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000083407770 374742130 /nfs/dbraw/zinc/74/21/30/374742130.db2.gz NMVPTCREQHZRJA-UHFFFAOYSA-N 0 0 263.322 2.918 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(F)cn1 ZINC000087139267 374807562 /nfs/dbraw/zinc/80/75/62/374807562.db2.gz NWAQYHTWEWIEER-UHFFFAOYSA-N 0 0 289.266 2.700 20 5 CFBDRN Cc1cccc(N(C)C(=O)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000087301398 374813151 /nfs/dbraw/zinc/81/31/51/374813151.db2.gz ULGPGMCLRXOUBP-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN CCC1(CNC(=O)CCc2cccc([N+](=O)[O-])c2)CC1 ZINC000087371248 374818473 /nfs/dbraw/zinc/81/84/73/374818473.db2.gz NBQPWSHABZVJHP-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CCC[C@@H](F)C1 ZINC000493208086 246520993 /nfs/dbraw/zinc/52/09/93/246520993.db2.gz ZVLAVXWFXUQIGN-ABZNLYFFSA-N 0 0 278.283 2.569 20 5 CFBDRN C[C@@H]1CC(Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000084439065 374775577 /nfs/dbraw/zinc/77/55/77/374775577.db2.gz YKOLJEFXLMPACP-UWVGGRQHSA-N 0 0 291.351 2.930 20 5 CFBDRN CCOc1cc(N(C)CCOCC2CC2)ccc1[N+](=O)[O-] ZINC000088761773 374861989 /nfs/dbraw/zinc/86/19/89/374861989.db2.gz QGLSMDCJCYMOPD-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN C[C@H]1CCC[C@H]1Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000089620237 374929977 /nfs/dbraw/zinc/92/99/77/374929977.db2.gz MDXCGFUKYKPKMQ-VHSXEESVSA-N 0 0 298.364 2.599 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/c1ccncn1 ZINC000493490302 246604783 /nfs/dbraw/zinc/60/47/83/246604783.db2.gz PEBZVZCYIXYCTN-GQCTYLIASA-N 0 0 298.302 2.599 20 5 CFBDRN CCC1CN(C(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000493517334 246612364 /nfs/dbraw/zinc/61/23/64/246612364.db2.gz HIWXSHRWKYMIOC-GQCTYLIASA-N 0 0 278.283 2.616 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@H]1CF ZINC000493521414 246613205 /nfs/dbraw/zinc/61/32/05/246613205.db2.gz NBLJQLTXSZUDTB-FUEXJSSKSA-N 0 0 296.273 2.708 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc([C@H]2CCCO2)no1 ZINC000571562401 383705214 /nfs/dbraw/zinc/70/52/14/383705214.db2.gz IATAZXPOTOOGAE-LLVKDONJSA-N 0 0 291.263 2.505 20 5 CFBDRN CC[C@](C)(CNC(=O)/C=C/c1cccc([N+](=O)[O-])c1)OC ZINC000493632148 246648733 /nfs/dbraw/zinc/64/87/33/246648733.db2.gz VIADRZSVJMHBIH-XVJNWHFHSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@H](NC(=O)[C@H]1CC[C@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000090466932 375016948 /nfs/dbraw/zinc/01/69/48/375016948.db2.gz CNNMJTDYLZDSGZ-LEWSCRJBSA-N 0 0 292.335 2.730 20 5 CFBDRN Cc1noc(C)c1CNc1ncc(C)cc1[N+](=O)[O-] ZINC000090824863 375033927 /nfs/dbraw/zinc/03/39/27/375033927.db2.gz UQMGMGOZUVBABK-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCSCC1 ZINC000264185079 383705894 /nfs/dbraw/zinc/70/58/94/383705894.db2.gz KXNFNXROLMUWHN-UHFFFAOYSA-N 0 0 296.392 2.931 20 5 CFBDRN CCc1nn(C)c(NC[C@@H](C)C(C)C)c1[N+](=O)[O-] ZINC000091561704 375053371 /nfs/dbraw/zinc/05/33/71/375053371.db2.gz NGTIWWXWDAXUPS-SECBINFHSA-N 0 0 254.334 2.595 20 5 CFBDRN O=C(/C=C\C1CCCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000493863376 246731053 /nfs/dbraw/zinc/73/10/53/246731053.db2.gz WZSQSFBHZDNJFK-YFHOEESVSA-N 0 0 274.320 2.957 20 5 CFBDRN CC1(C)C(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])C1(C)C ZINC000092817491 375160248 /nfs/dbraw/zinc/16/02/48/375160248.db2.gz BDIXPADABWZPGQ-UHFFFAOYSA-N 0 0 291.351 2.788 20 5 CFBDRN CCN(CC(C)(C)O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000092970461 375170309 /nfs/dbraw/zinc/17/03/09/375170309.db2.gz JDKSYABUTUNPHB-UHFFFAOYSA-N 0 0 272.732 2.845 20 5 CFBDRN CCN(Cc1c(Cl)cccc1[N+](=O)[O-])CC(C)(C)O ZINC000092982169 375172307 /nfs/dbraw/zinc/17/23/07/375172307.db2.gz JPTDVJFTTQTJJS-UHFFFAOYSA-N 0 0 286.759 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OC[C@H]2CCCO2)c1 ZINC000093307924 375206061 /nfs/dbraw/zinc/20/60/61/375206061.db2.gz YWFXXSHMRNMYMC-SECBINFHSA-N 0 0 257.673 2.806 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H](F)C1 ZINC000571578465 383706513 /nfs/dbraw/zinc/70/65/13/383706513.db2.gz NRLVMHKYDWLJRZ-VIFPVBQESA-N 0 0 297.286 2.569 20 5 CFBDRN CC[C@H](CCO)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000094957410 375397981 /nfs/dbraw/zinc/39/79/81/375397981.db2.gz OHFAHWJPYRSAEI-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000342990511 284526887 /nfs/dbraw/zinc/52/68/87/284526887.db2.gz DMAIVQUDYHHKKJ-SCZZXKLOSA-N 0 0 293.323 2.685 20 5 CFBDRN CC(C)C[C@H](C)CN1C(=O)c2cccc([N+](=O)[O-])c2C1=O ZINC000499907838 247091663 /nfs/dbraw/zinc/09/16/63/247091663.db2.gz LFTLEMCJUKHARA-JTQLQIEISA-N 0 0 290.319 2.873 20 5 CFBDRN CCc1nc(CSCc2cccc([N+](=O)[O-])c2)no1 ZINC000101584277 375644885 /nfs/dbraw/zinc/64/48/85/375644885.db2.gz HHBJERDTMHGOCE-UHFFFAOYSA-N 0 0 279.321 2.974 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H](n3cccn3)C2)cs1 ZINC000102409620 375672516 /nfs/dbraw/zinc/67/25/16/375672516.db2.gz IZBWRDBAYBDFTA-GFCCVEGCSA-N 0 0 292.364 2.690 20 5 CFBDRN CC(C)[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000505063623 247131707 /nfs/dbraw/zinc/13/17/07/247131707.db2.gz RMXXHMAQSMVNJS-VXGBXAGGSA-N 0 0 293.367 2.878 20 5 CFBDRN O=C([C@@H]1Cc2ccccc21)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000353671434 284532346 /nfs/dbraw/zinc/53/23/46/284532346.db2.gz BDEWAEQXBOHVFU-OAHLLOKOSA-N 0 0 294.310 2.824 20 5 CFBDRN CCCc1ccccc1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000505338636 247136540 /nfs/dbraw/zinc/13/65/40/247136540.db2.gz QAXFHUWVXVTZFR-UHFFFAOYSA-N 0 0 274.280 2.523 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN(CCOC)C1CC1 ZINC000507157255 247167361 /nfs/dbraw/zinc/16/73/61/247167361.db2.gz WDYVLCLUGXJDAY-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1nccc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)n1 ZINC000507244263 247170394 /nfs/dbraw/zinc/17/03/94/247170394.db2.gz QVXAYGNLMFEGAM-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN CC[C@@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000103376398 375735982 /nfs/dbraw/zinc/73/59/82/375735982.db2.gz NXPWYYKNZLULKN-NXEZZACHSA-N 0 0 262.309 2.919 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC000513178850 247219969 /nfs/dbraw/zinc/21/99/69/247219969.db2.gz ROOKKUKZAKLITN-SNVBAGLBSA-N 0 0 275.283 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncnc3ccccc32)cc1CO ZINC000571617730 383710045 /nfs/dbraw/zinc/71/00/45/383710045.db2.gz GOKHNUSRBUHHEZ-UHFFFAOYSA-N 0 0 297.270 2.823 20 5 CFBDRN COc1ncccc1CNc1c(C)cccc1[N+](=O)[O-] ZINC000112209008 375890117 /nfs/dbraw/zinc/89/01/17/375890117.db2.gz SKODWMNFKNHHSK-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])CC(F)(F)F ZINC000112346739 375910059 /nfs/dbraw/zinc/91/00/59/375910059.db2.gz NMMKSKFSBKBPNB-UHFFFAOYSA-N 0 0 266.194 2.728 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1CCCCC1 ZINC000111771312 375868534 /nfs/dbraw/zinc/86/85/34/375868534.db2.gz LWXBGRCAZDKTBC-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN CCOC(=O)C(C)(C)N(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000112356850 375912275 /nfs/dbraw/zinc/91/22/75/375912275.db2.gz RAJPUQJVUZOFOQ-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1COc2ccccc21 ZINC000113010088 375986178 /nfs/dbraw/zinc/98/61/78/375986178.db2.gz GIOONVGYQLJXNW-ZDUSSCGKSA-N 0 0 285.255 2.676 20 5 CFBDRN CCc1noc([C@@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000114748842 376085902 /nfs/dbraw/zinc/08/59/02/376085902.db2.gz IUPSSZJNUHWCGV-VHSXEESVSA-N 0 0 290.323 2.952 20 5 CFBDRN COC(=O)[C@H](C)CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000115192993 376114474 /nfs/dbraw/zinc/11/44/74/376114474.db2.gz IGGAULIBVISQQZ-SNVBAGLBSA-N 0 0 299.348 2.516 20 5 CFBDRN COC(=O)[C@H](C)CSCc1c(F)cccc1[N+](=O)[O-] ZINC000115196070 376114490 /nfs/dbraw/zinc/11/44/90/376114490.db2.gz XXKJOLXVBOUJPS-MRVPVSSYSA-N 0 0 287.312 2.776 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CSc1nc[nH]n1 ZINC000115963650 376155717 /nfs/dbraw/zinc/15/57/17/376155717.db2.gz PCZWELONUKGUCI-UHFFFAOYSA-N 0 0 270.701 2.659 20 5 CFBDRN CCc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)n(C)n1 ZINC000116078919 376159780 /nfs/dbraw/zinc/15/97/80/376159780.db2.gz JKUBVFFISKDFBE-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN CN(Cc1ccc(Cl)cc1[N+](=O)[O-])C[C@H]1CCCO1 ZINC000116276215 376175726 /nfs/dbraw/zinc/17/57/26/376175726.db2.gz XOVVWIQGRLKDFB-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1cc(Cn2nccc2[N+](=O)[O-])c2ccccc2n1 ZINC000117551534 376247200 /nfs/dbraw/zinc/24/72/00/376247200.db2.gz QLIDSZAOSZBNNZ-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN CCC[C@H]1CCC(=O)N(Cc2ccccc2[N+](=O)[O-])C1=O ZINC000118967991 376330070 /nfs/dbraw/zinc/33/00/70/376330070.db2.gz RMEWNRJGVJEOLK-NSHDSACASA-N 0 0 290.319 2.660 20 5 CFBDRN CCCn1c(C)nnc1COc1ccc(C)cc1[N+](=O)[O-] ZINC000118983958 376332011 /nfs/dbraw/zinc/33/20/11/376332011.db2.gz OXFWDVDVEOVMKG-UHFFFAOYSA-N 0 0 290.323 2.792 20 5 CFBDRN Cc1cccc(C(=O)N2CCS[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000118284705 376283315 /nfs/dbraw/zinc/28/33/15/376283315.db2.gz MVBLHPOWCSYTAJ-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000118292796 376285939 /nfs/dbraw/zinc/28/59/39/376285939.db2.gz FJAZHITXAMTJRV-ZJUUUORDSA-N 0 0 280.349 2.561 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1(C)CCOCC1 ZINC000119156514 376363863 /nfs/dbraw/zinc/36/38/63/376363863.db2.gz YKNHSNXAHCGRHO-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN O=C(C(C1CC1)C1CC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000119913320 376504239 /nfs/dbraw/zinc/50/42/39/376504239.db2.gz OIHHOCVFOIKOJN-UHFFFAOYSA-N 0 0 286.331 2.920 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1CC1(Cl)Cl ZINC000120133944 376554551 /nfs/dbraw/zinc/55/45/51/376554551.db2.gz PERNEQVDIKQSPF-MRVPVSSYSA-N 0 0 276.075 2.694 20 5 CFBDRN CSC[C@H](C)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000120006681 376526862 /nfs/dbraw/zinc/52/68/62/376526862.db2.gz UEDADKIPPKGFSI-QMMMGPOBSA-N 0 0 293.348 2.557 20 5 CFBDRN O=C(NCCc1ccsc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000120498835 376626819 /nfs/dbraw/zinc/62/68/19/376626819.db2.gz JCSPCWIUVQPWBO-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN CC(=O)c1ccc(OCC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000121185789 376776785 /nfs/dbraw/zinc/77/67/85/376776785.db2.gz BYZMHAFYBGQJQM-UHFFFAOYSA-N 0 0 295.291 2.518 20 5 CFBDRN O=C(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)C1CC1 ZINC000121522876 376851447 /nfs/dbraw/zinc/85/14/47/376851447.db2.gz GYCVKSPERTVGEI-UHFFFAOYSA-N 0 0 279.683 2.577 20 5 CFBDRN CCCCOCCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000121529389 376853065 /nfs/dbraw/zinc/85/30/65/376853065.db2.gz CBAFHGMPWOCAMQ-UHFFFAOYSA-N 0 0 257.261 2.929 20 5 CFBDRN Cc1c(C(=O)NCC(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] ZINC000121640728 376875627 /nfs/dbraw/zinc/87/56/27/376875627.db2.gz PZTBMFGTVIGSOF-UHFFFAOYSA-N 0 0 295.295 2.506 20 5 CFBDRN Cc1cc(C(=O)N2CCOc3ccccc32)cc([N+](=O)[O-])c1 ZINC000121985289 376918875 /nfs/dbraw/zinc/91/88/75/376918875.db2.gz IYDGXFDLTOSKIA-UHFFFAOYSA-N 0 0 298.298 2.942 20 5 CFBDRN COCC(C)(C)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000123177184 376949595 /nfs/dbraw/zinc/94/95/95/376949595.db2.gz CXBCJDMOVVYUIC-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN CCOc1ccc(C(=O)NC2(C)CCC2)cc1[N+](=O)[O-] ZINC000125711552 377187458 /nfs/dbraw/zinc/18/74/58/377187458.db2.gz PJYCWDGNAXMHBL-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN CC(C)OC(=O)CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000127463640 377284446 /nfs/dbraw/zinc/28/44/46/377284446.db2.gz WCFDFLNAMDHJGC-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000127765011 377301617 /nfs/dbraw/zinc/30/16/17/377301617.db2.gz OQSYAKHFTSVLFJ-OWCLPIDISA-N 0 0 294.310 2.663 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000129037916 377375019 /nfs/dbraw/zinc/37/50/19/377375019.db2.gz JHNYVNHNAHPECV-LBPRGKRZSA-N 0 0 294.351 2.838 20 5 CFBDRN CCOc1cc(N[C@H]2C[C@@H](O)C2(C)C)ccc1[N+](=O)[O-] ZINC000129128571 377387465 /nfs/dbraw/zinc/38/74/65/377387465.db2.gz QZYAFKQUCLPBFX-QWHCGFSZSA-N 0 0 280.324 2.565 20 5 CFBDRN CS[C@H](C)CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000129450259 377430485 /nfs/dbraw/zinc/43/04/85/377430485.db2.gz YDCGNCDMNZAWLF-MRVPVSSYSA-N 0 0 293.348 2.557 20 5 CFBDRN CCOCCCNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000286857514 196720915 /nfs/dbraw/zinc/72/09/15/196720915.db2.gz GYBLVMAMMLIKPF-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN Cc1c(CN(C)[C@H](C)C(=O)OC(C)C)cccc1[N+](=O)[O-] ZINC000129690386 377463150 /nfs/dbraw/zinc/46/31/50/377463150.db2.gz DWHTXIHZUHELAK-GFCCVEGCSA-N 0 0 294.351 2.675 20 5 CFBDRN CCC(CC)(CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129782286 377471597 /nfs/dbraw/zinc/47/15/97/377471597.db2.gz YPJPVBFJWSSEDJ-UHFFFAOYSA-N 0 0 273.720 2.606 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC000129880674 377483556 /nfs/dbraw/zinc/48/35/56/377483556.db2.gz DTMNBZJIQOKVEV-CYBMUJFWSA-N 0 0 274.320 2.671 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@H]1CCCO1 ZINC000130352635 377543355 /nfs/dbraw/zinc/54/33/55/377543355.db2.gz VNOHDOJBTPTJTH-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN COCCCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130373583 377547559 /nfs/dbraw/zinc/54/75/59/377547559.db2.gz JGXWNWNFVMMPMG-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@H]1CCC[C@@H]1O ZINC000130398168 377551261 /nfs/dbraw/zinc/55/12/61/377551261.db2.gz NROBOWLFKZDIBC-YGRLFVJLSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCS1 ZINC000130477626 377562765 /nfs/dbraw/zinc/56/27/65/377562765.db2.gz NPPVCROADJPLEN-LLVKDONJSA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1cc(C(=O)N(C)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000335408994 291677966 /nfs/dbraw/zinc/67/79/66/291677966.db2.gz JFBGZWQITPZRBM-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H]2CCC[C@@H]21 ZINC000174315958 284669108 /nfs/dbraw/zinc/66/91/08/284669108.db2.gz AKZOSIXZZWARQI-UWVGGRQHSA-N 0 0 264.281 2.593 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1Cc2cccc(Cl)c2C1 ZINC000334262967 284670817 /nfs/dbraw/zinc/67/08/17/284670817.db2.gz JJADHMVGQQQOOK-UHFFFAOYSA-N 0 0 292.678 2.997 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N1CCC2(CCCCC2)CC1 ZINC000130998635 377643997 /nfs/dbraw/zinc/64/39/97/377643997.db2.gz SXUQJTDUFFUYSA-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000131197817 377674391 /nfs/dbraw/zinc/67/43/91/377674391.db2.gz ZNSVQRRVUKHFIZ-LLVKDONJSA-N 0 0 298.314 2.669 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])NCCC1=CCCC1 ZINC000131520510 377721864 /nfs/dbraw/zinc/72/18/64/377721864.db2.gz OKUXWWCQLHJDPO-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN CCCCCNC(=O)COc1ccc(C)c([N+](=O)[O-])c1 ZINC000131561811 377729866 /nfs/dbraw/zinc/72/98/66/377729866.db2.gz CFBSJCNATDITGD-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN CCC[C@H]1CN(CCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000131577790 377732362 /nfs/dbraw/zinc/73/23/62/377732362.db2.gz PMHYEHVJLOKCPN-HNNXBMFYSA-N 0 0 278.352 2.638 20 5 CFBDRN Cc1cccnc1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000131974782 377785621 /nfs/dbraw/zinc/78/56/21/377785621.db2.gz HISNOYBVJSGRLP-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)[C@H](C)C2)c1 ZINC000131946929 377781220 /nfs/dbraw/zinc/78/12/20/377781220.db2.gz LEKINJKUNCGJKS-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000131850619 377766717 /nfs/dbraw/zinc/76/67/17/377766717.db2.gz MFZGHGWTIDVTQK-GHMZBOCLSA-N 0 0 262.309 2.713 20 5 CFBDRN CCC[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)CCO1 ZINC000132608972 377858165 /nfs/dbraw/zinc/85/81/65/377858165.db2.gz TWTBYNKZZXJYGW-AWEZNQCLSA-N 0 0 294.351 2.604 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000133334898 377921384 /nfs/dbraw/zinc/92/13/84/377921384.db2.gz JUUGVTUKRABNBZ-RISCZKNCSA-N 0 0 276.336 2.832 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cc1 ZINC000133726214 377952433 /nfs/dbraw/zinc/95/24/33/377952433.db2.gz SYTWLXCKVNMWMJ-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN Cc1ccc(N[C@H](C)CO[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000133727239 377953201 /nfs/dbraw/zinc/95/32/01/377953201.db2.gz SPKYQBXNPQBHMW-NEPJUHHUSA-N 0 0 280.324 2.509 20 5 CFBDRN C[C@H](CO)Sc1c2ccccc2ncc1[N+](=O)[O-] ZINC000133096089 377903069 /nfs/dbraw/zinc/90/30/69/377903069.db2.gz HHDLVPLNFIUGKV-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN CSCC[C@@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000134401296 378010640 /nfs/dbraw/zinc/01/06/40/378010640.db2.gz QJJRKONMNBOPQE-SNVBAGLBSA-N 0 0 282.365 2.808 20 5 CFBDRN CC[C@@H](CCO)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000134440127 378014583 /nfs/dbraw/zinc/01/45/83/378014583.db2.gz KXEWYSNOPATIAH-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CCCNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000133881647 377964689 /nfs/dbraw/zinc/96/46/89/377964689.db2.gz DFEDHOQZOIGTTG-UHFFFAOYSA-N 0 0 251.286 2.743 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134035800 377978464 /nfs/dbraw/zinc/97/84/64/377978464.db2.gz CKVMUDYGFPSWLE-UHFFFAOYSA-N 0 0 296.273 2.791 20 5 CFBDRN CCOc1cccc(N[C@H](C)Cn2cccn2)c1[N+](=O)[O-] ZINC000134910179 378061473 /nfs/dbraw/zinc/06/14/73/378061473.db2.gz NUYGFCMIPQOUCW-LLVKDONJSA-N 0 0 290.323 2.691 20 5 CFBDRN COc1cccc(NC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000134913657 378061599 /nfs/dbraw/zinc/06/15/99/378061599.db2.gz VFCAMDQEOCDFAB-SNVBAGLBSA-N 0 0 266.297 2.584 20 5 CFBDRN CCCCOCCNc1cccc(OC)c1[N+](=O)[O-] ZINC000134909000 378061818 /nfs/dbraw/zinc/06/18/18/378061818.db2.gz JWWJPDVTMGBTTD-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN CCC[C@H]1SCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000135026963 378075131 /nfs/dbraw/zinc/07/51/31/378075131.db2.gz JIRMSOLZQQRAPZ-SECBINFHSA-N 0 0 286.378 2.972 20 5 CFBDRN CCC(CC)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000134484136 378020421 /nfs/dbraw/zinc/02/04/21/378020421.db2.gz UFGCDHRZNIIDSL-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CNC(=O)c1ccc(NCC(C)(C)SC)c([N+](=O)[O-])c1 ZINC000135362271 378123381 /nfs/dbraw/zinc/12/33/81/378123381.db2.gz KAQNAIUMOJCXLE-UHFFFAOYSA-N 0 0 297.380 2.508 20 5 CFBDRN CSC(C)(C)CNc1ncc([N+](=O)[O-])cc1C ZINC000135362629 378123433 /nfs/dbraw/zinc/12/34/33/378123433.db2.gz KZVDTHPDHCDEEW-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN CCCc1noc(COc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000135357549 378123738 /nfs/dbraw/zinc/12/37/38/378123738.db2.gz YVJKINSNOSYRSA-UHFFFAOYSA-N 0 0 281.243 2.648 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1nccs1)CC2 ZINC000135142881 378089416 /nfs/dbraw/zinc/08/94/16/378089416.db2.gz JGXZUHOUCGLURU-UHFFFAOYSA-N 0 0 275.333 2.610 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2c1c(F)ccc2F ZINC000432168423 383727402 /nfs/dbraw/zinc/72/74/02/383727402.db2.gz WLGXPVZKPOVABG-UHFFFAOYSA-N 0 0 277.230 2.962 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000137062078 378216398 /nfs/dbraw/zinc/21/63/98/378216398.db2.gz RJDRVNQRKVLJNU-NSHDSACASA-N 0 0 291.351 2.829 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000137062032 378216746 /nfs/dbraw/zinc/21/67/46/378216746.db2.gz RJDRVNQRKVLJNU-LLVKDONJSA-N 0 0 291.351 2.829 20 5 CFBDRN CC1(C)CCC[C@H](Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000150210921 378268601 /nfs/dbraw/zinc/26/86/01/378268601.db2.gz PLYTUEFBIPEMNP-VIFPVBQESA-N 0 0 250.302 2.766 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCC[C@@H](O)C(F)(F)F ZINC000432163498 383727487 /nfs/dbraw/zinc/72/74/87/383727487.db2.gz QRPIBZVNZRBEGO-MRVPVSSYSA-N 0 0 298.648 2.973 20 5 CFBDRN CS(=O)(=O)c1cccc(NCC2CCCC2)c1[N+](=O)[O-] ZINC000137962987 378229545 /nfs/dbraw/zinc/22/95/45/378229545.db2.gz ATBJJTCOGREXGG-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN Cc1cccc(C)c1NC(=O)[C@@H](C)n1cc([N+](=O)[O-])cn1 ZINC000144725318 378248299 /nfs/dbraw/zinc/24/82/99/378248299.db2.gz ILKNUAJBAIQNDW-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN Cc1ccc([C@H](C)NCc2ccon2)cc1[N+](=O)[O-] ZINC000148683186 378259618 /nfs/dbraw/zinc/25/96/18/378259618.db2.gz OQYSYYDJGZNKKS-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN CC1(C)[C@H](Nc2cc(F)ccc2[N+](=O)[O-])[C@H]2CCO[C@@H]21 ZINC000148948704 378261995 /nfs/dbraw/zinc/26/19/95/378261995.db2.gz CEPIRTXMMRNFOA-WQAKAFBOSA-N 0 0 280.299 2.959 20 5 CFBDRN CN(CC(F)(F)F)C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149173955 378262307 /nfs/dbraw/zinc/26/23/07/378262307.db2.gz BVLDGTFFVXKPKI-UHFFFAOYSA-N 0 0 296.632 2.883 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCCCC1 ZINC000151265421 378311807 /nfs/dbraw/zinc/31/18/07/378311807.db2.gz BADYDJZPULZOSH-UHFFFAOYSA-N 0 0 262.309 2.540 20 5 CFBDRN CSCCC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152224454 378403400 /nfs/dbraw/zinc/40/34/00/378403400.db2.gz CKYBMTRIDYKJSW-UHFFFAOYSA-N 0 0 294.376 2.839 20 5 CFBDRN CC(C)[C@@H](C)S(=O)(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151977668 378379973 /nfs/dbraw/zinc/37/99/73/378379973.db2.gz PPKJDARXQCLBDU-SNVBAGLBSA-N 0 0 271.338 2.554 20 5 CFBDRN Cc1c(C[N@@H+]2CC[C@H]3OCCC[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000152918100 378459402 /nfs/dbraw/zinc/45/94/02/378459402.db2.gz FLULUTIBLLVLRF-GDBMZVCRSA-N 0 0 290.363 2.904 20 5 CFBDRN Cc1c(C(=O)N2C[C@@H]3CCC[C@H]3C2)cccc1[N+](=O)[O-] ZINC000152555812 378427988 /nfs/dbraw/zinc/42/79/88/378427988.db2.gz WBQPEGGFQVCYLW-RYUDHWBXSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@H](C)CC2)c(N)c([N+](=O)[O-])c1 ZINC000104871654 291697474 /nfs/dbraw/zinc/69/74/74/291697474.db2.gz JCEUHGLRHOGPET-JTQLQIEISA-N 0 0 291.351 2.748 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC1(CCO)CCC1 ZINC000154178180 378545796 /nfs/dbraw/zinc/54/57/96/378545796.db2.gz NFJQIBWXDMHKBR-UHFFFAOYSA-N 0 0 285.731 2.608 20 5 CFBDRN C[C@@H]1CCC[C@H](CCn2cccc([N+](=O)[O-])c2=O)C1 ZINC000330431388 378553674 /nfs/dbraw/zinc/55/36/74/378553674.db2.gz IWLDUNXJMYHYJA-VXGBXAGGSA-N 0 0 264.325 2.973 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(CC1=CCCOC1)C1CC1 ZINC000154318184 378556867 /nfs/dbraw/zinc/55/68/67/378556867.db2.gz HSFYLOQJWWZBAF-UHFFFAOYSA-N 0 0 288.347 2.906 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@H]1CCCC[C@@H]1C ZINC000330479374 378566500 /nfs/dbraw/zinc/56/65/00/378566500.db2.gz NURHGCZNAOGNQM-GWCFXTLKSA-N 0 0 279.340 2.964 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000330503455 378572992 /nfs/dbraw/zinc/57/29/92/378572992.db2.gz CQTSMUHNDGPCNY-HNNXBMFYSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCC(=O)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000330518739 378576679 /nfs/dbraw/zinc/57/66/79/378576679.db2.gz JVHKZNBJMYRHSL-MNOVXSKESA-N 0 0 290.319 2.847 20 5 CFBDRN CCOc1ccc(C(=O)Nc2nocc2C)cc1[N+](=O)[O-] ZINC000154524415 378577005 /nfs/dbraw/zinc/57/70/05/378577005.db2.gz QKPWUABRKZHGDN-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN CSCC[C@H](CO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000154574194 378580975 /nfs/dbraw/zinc/58/09/75/378580975.db2.gz WDKUXLDLMQYULN-SECBINFHSA-N 0 0 290.772 2.774 20 5 CFBDRN Cc1ccc(OC[C@@H](O)Cc2ccccc2)cc1[N+](=O)[O-] ZINC000154058662 378536077 /nfs/dbraw/zinc/53/60/77/378536077.db2.gz CLJDBGPQIXZGLV-AWEZNQCLSA-N 0 0 287.315 2.886 20 5 CFBDRN COc1c(C(=O)N2CCC[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000331073174 378626780 /nfs/dbraw/zinc/62/67/80/378626780.db2.gz UBWXYMOOJSTKIU-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CCNc1ccc(C(=O)N2CC[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000331099172 378633156 /nfs/dbraw/zinc/63/31/56/378633156.db2.gz AKPCMDGGQFBHNN-WDEREUQCSA-N 0 0 291.351 2.897 20 5 CFBDRN COc1ccc(CN2CC(C)(C)OC[C@@H]2C)cc1[N+](=O)[O-] ZINC000331114108 378635859 /nfs/dbraw/zinc/63/58/59/378635859.db2.gz MJWASNPFKPPRRH-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@@]1(C)COCCN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000330624409 378591568 /nfs/dbraw/zinc/59/15/68/378591568.db2.gz GGMVUJBJKBHICC-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(CC1(O)CCCCC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000330993015 378612036 /nfs/dbraw/zinc/61/20/36/378612036.db2.gz BNFDHOLJMULZGS-UHFFFAOYSA-N 0 0 296.298 2.758 20 5 CFBDRN COc1c(C(=O)N2CC[C@@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000331041462 378621058 /nfs/dbraw/zinc/62/10/58/378621058.db2.gz DOGQQINRXWXFSB-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000331334944 378671919 /nfs/dbraw/zinc/67/19/19/378671919.db2.gz XJAZLFHAFUZDQH-VXGBXAGGSA-N 0 0 276.336 2.784 20 5 CFBDRN CCC(C)(C)NC(=O)[C@@H](C(C)C)n1cc([N+](=O)[O-])c(C)n1 ZINC000331336444 378671993 /nfs/dbraw/zinc/67/19/93/378671993.db2.gz QKWWKUYDSBTEHO-GFCCVEGCSA-N 0 0 296.371 2.602 20 5 CFBDRN CC[C@H](C)[C@H](C)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC1 ZINC000331460367 378690204 /nfs/dbraw/zinc/69/02/04/378690204.db2.gz IFPHUSPUJCSHMX-UWVGGRQHSA-N 0 0 279.340 2.962 20 5 CFBDRN CCN(CCc1nccs1)c1ccc([N+](=O)[O-])cn1 ZINC000159244273 378696081 /nfs/dbraw/zinc/69/60/81/378696081.db2.gz UXSWZDWRMVDFIJ-UHFFFAOYSA-N 0 0 278.337 2.515 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000160066510 378746950 /nfs/dbraw/zinc/74/69/50/378746950.db2.gz ASEPHGWNRCSFOU-UHFFFAOYSA-N 0 0 278.283 2.654 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCCO1 ZINC000160077423 378747679 /nfs/dbraw/zinc/74/76/79/378747679.db2.gz YDIRWIZJSYIPDC-ZDUSSCGKSA-N 0 0 278.308 2.719 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCCc2ccccc2)c1 ZINC000160168623 378753522 /nfs/dbraw/zinc/75/35/22/378753522.db2.gz MSSMICIFMQBPEI-UHFFFAOYSA-N 0 0 277.349 2.767 20 5 CFBDRN COc1cc(NC[C@H]2C[C@@H]2C)c([N+](=O)[O-])cc1OC ZINC000159408024 378707081 /nfs/dbraw/zinc/70/70/81/378707081.db2.gz ALTUGZWNDOYDCJ-DTWKUNHWSA-N 0 0 266.297 2.680 20 5 CFBDRN CC(C)CCCCCCNC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000159670172 378723316 /nfs/dbraw/zinc/72/33/16/378723316.db2.gz JVYYHIQITPWVBL-UHFFFAOYSA-N 0 0 282.344 2.654 20 5 CFBDRN COc1cc(NCCCOCC2CC2)c(F)cc1[N+](=O)[O-] ZINC000159745140 378727601 /nfs/dbraw/zinc/72/76/01/378727601.db2.gz YRCRKUUQDIYUNG-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN C[C@H](C(=O)NC1CC(C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000332441252 378763328 /nfs/dbraw/zinc/76/33/28/378763328.db2.gz CIVVTSOVZZHVOP-KYHHOPLUSA-N 0 0 280.299 2.752 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000160652066 378789800 /nfs/dbraw/zinc/78/98/00/378789800.db2.gz QAARCOTYSMYWKA-VIFPVBQESA-N 0 0 295.295 2.873 20 5 CFBDRN CCCCN(C)C(=O)CSc1ncc([N+](=O)[O-])cc1C ZINC000160697253 378791087 /nfs/dbraw/zinc/79/10/87/378791087.db2.gz BDPDTYNXEHHWHU-UHFFFAOYSA-N 0 0 297.380 2.649 20 5 CFBDRN Cc1nc(C(C)C)oc1Cn1nc(C)c([N+](=O)[O-])c1C ZINC000332705452 378792679 /nfs/dbraw/zinc/79/26/79/378792679.db2.gz XWXIESTYFQVYPW-UHFFFAOYSA-N 0 0 278.312 2.876 20 5 CFBDRN CCC[C@@H](C)CC(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332731660 378795648 /nfs/dbraw/zinc/79/56/48/378795648.db2.gz RPCJXBQJOATDHC-LLVKDONJSA-N 0 0 291.351 2.701 20 5 CFBDRN CCn1cc([C@@H](C)NCc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000451888339 383731945 /nfs/dbraw/zinc/73/19/45/383731945.db2.gz ILWVHESQQNOVEU-SNVBAGLBSA-N 0 0 292.314 2.801 20 5 CFBDRN Nc1nc(N[C@@H]2CCCc3sccc32)ncc1[N+](=O)[O-] ZINC000161063473 378818478 /nfs/dbraw/zinc/81/84/78/378818478.db2.gz PFSMYLDXFQOJNM-MRVPVSSYSA-N 0 0 291.336 2.518 20 5 CFBDRN CCN(CC(C)C)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000161169412 378827962 /nfs/dbraw/zinc/82/79/62/378827962.db2.gz OFHUYCLIRJLMBH-UHFFFAOYSA-N 0 0 278.352 2.950 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161454039 378847499 /nfs/dbraw/zinc/84/74/99/378847499.db2.gz JPBIQAUEUCEBBV-ZJUUUORDSA-N 0 0 279.340 2.801 20 5 CFBDRN Cc1nc(NCCc2ccccc2)ccc1[N+](=O)[O-] ZINC000161490950 378851052 /nfs/dbraw/zinc/85/10/52/378851052.db2.gz VLIHZTODSBUHLU-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN CNc1c(C(=O)N2CCC[C@H](C)CC2)cccc1[N+](=O)[O-] ZINC000161485515 378851093 /nfs/dbraw/zinc/85/10/93/378851093.db2.gz GEJTTXCDUFNIIN-NSHDSACASA-N 0 0 291.351 2.899 20 5 CFBDRN Cc1nc(NCc2cccc([N+](=O)[O-])c2)ccc1[N+](=O)[O-] ZINC000161538587 378854640 /nfs/dbraw/zinc/85/46/40/378854640.db2.gz SOHBHPVKTLRVEM-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000334190702 378904136 /nfs/dbraw/zinc/90/41/36/378904136.db2.gz ICERPQVAECYYOM-WDEREUQCSA-N 0 0 266.272 2.524 20 5 CFBDRN CN(C(=O)/C=C/c1ccccc1[N+](=O)[O-])[C@@H]1CCSC1 ZINC000162878201 378939942 /nfs/dbraw/zinc/93/99/42/378939942.db2.gz KWTGOGVIIWMGLY-NNNHXZLVSA-N 0 0 292.360 2.572 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc32)C1 ZINC000334194363 378909488 /nfs/dbraw/zinc/90/94/88/378909488.db2.gz JUFCTLUUPYZPSL-CQSZACIVSA-N 0 0 291.282 2.650 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC[C@@H]1c1cc[nH]n1 ZINC000278529180 194029349 /nfs/dbraw/zinc/02/93/49/194029349.db2.gz DWGBTORYOGXRPR-CQSZACIVSA-N 0 0 272.308 2.655 20 5 CFBDRN CCCCN(C(=O)c1[nH]nc(CC)c1[N+](=O)[O-])[C@H](C)CC ZINC000278564537 194046699 /nfs/dbraw/zinc/04/66/99/194046699.db2.gz JRUGIMOKTNKGTF-SNVBAGLBSA-N 0 0 296.371 2.921 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CCC(C)(C)O1 ZINC000278586921 194057351 /nfs/dbraw/zinc/05/73/51/194057351.db2.gz SRAOAAFIRHAUSQ-ZDUSSCGKSA-N 0 0 292.335 2.511 20 5 CFBDRN CCO[C@H](C)C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163874615 378995062 /nfs/dbraw/zinc/99/50/62/378995062.db2.gz RWMRQJLDLGZVPQ-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cc1F)[N@@H+]1CCC[C@@H](C)C1 ZINC000571861371 383733336 /nfs/dbraw/zinc/73/33/36/383733336.db2.gz TYSAIISPUMUPAC-MNOVXSKESA-N 0 0 296.346 2.661 20 5 CFBDRN CC[C@@](C)(O)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000166797065 379063281 /nfs/dbraw/zinc/06/32/81/379063281.db2.gz LERABLQJKUSBPZ-LLVKDONJSA-N 0 0 258.705 2.821 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000167220220 379068876 /nfs/dbraw/zinc/06/88/76/379068876.db2.gz ATCTWRXKBKWJBZ-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000334321503 379088972 /nfs/dbraw/zinc/08/89/72/379088972.db2.gz IDBGFZSWHQEMOM-NXEZZACHSA-N 0 0 281.287 2.915 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CC(C)C2)cc1[N+](=O)[O-] ZINC000334321152 379089871 /nfs/dbraw/zinc/08/98/71/379089871.db2.gz WOOWVBQLZJQPOX-UHFFFAOYSA-N 0 0 262.309 2.810 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@H](C2CC2)C1 ZINC000335317763 379077553 /nfs/dbraw/zinc/07/75/53/379077553.db2.gz SWKGVMINNLPDBZ-VIFPVBQESA-N 0 0 266.322 2.528 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1ncnn1C(C)C ZINC000278747780 194136332 /nfs/dbraw/zinc/13/63/32/194136332.db2.gz QUCCFDZZANWKHF-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN C[C@@H]1CCCC[C@H]1N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000334346341 379131335 /nfs/dbraw/zinc/13/13/35/379131335.db2.gz QATREUIBLDINFQ-GHMZBOCLSA-N 0 0 279.340 2.584 20 5 CFBDRN Cn1c(C(=O)Nc2cc(F)cc(F)c2F)ccc1[N+](=O)[O-] ZINC000334371615 379177451 /nfs/dbraw/zinc/17/74/51/379177451.db2.gz QBQKCOZUHYFYTQ-UHFFFAOYSA-N 0 0 299.208 2.603 20 5 CFBDRN C[C@@H](O)CCCNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000278803592 194161566 /nfs/dbraw/zinc/16/15/66/194161566.db2.gz HUWFSIBAOKLYPW-MRVPVSSYSA-N 0 0 290.266 2.769 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC[C@H](C2CC2)C1 ZINC000334378591 379191269 /nfs/dbraw/zinc/19/12/69/379191269.db2.gz GTBHPULBMXCFRW-NSHDSACASA-N 0 0 275.308 2.859 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)S[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000334380176 379193955 /nfs/dbraw/zinc/19/39/55/379193955.db2.gz IKDCBPQCDZNWBJ-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN CSC[C@@H](CCO)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000432315108 383735551 /nfs/dbraw/zinc/73/55/51/383735551.db2.gz SWTSNVLIEKFDEH-MRVPVSSYSA-N 0 0 290.772 2.774 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCc2ccccc2C1 ZINC000334395684 379224022 /nfs/dbraw/zinc/22/40/22/379224022.db2.gz BWTACNZSHMFIBC-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cc(C)cc(C)c2)c1[N+](=O)[O-] ZINC000171367379 379290472 /nfs/dbraw/zinc/29/04/72/379290472.db2.gz HOYCXIFOWVWIKK-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN CC(C)(C)OCCOc1ccc(F)cc1[N+](=O)[O-] ZINC000171233884 379258448 /nfs/dbraw/zinc/25/84/48/379258448.db2.gz VCQMQJWZEXWDCF-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]2CCCC[C@H]21 ZINC000334415500 379259169 /nfs/dbraw/zinc/25/91/69/379259169.db2.gz PSERSLMGWKNLQU-DGCLKSJQSA-N 0 0 291.351 2.728 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000171398823 379298567 /nfs/dbraw/zinc/29/85/67/379298567.db2.gz PZOPZKUJKTWAJQ-SNVBAGLBSA-N 0 0 296.371 2.827 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000171398590 379299271 /nfs/dbraw/zinc/29/92/71/379299271.db2.gz HWKBNXWAZFKVBT-SECBINFHSA-N 0 0 282.344 2.579 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CC(F)(F)F ZINC000171446367 379310079 /nfs/dbraw/zinc/31/00/79/379310079.db2.gz DQDQGCKNLUHMOK-ZETCQYMHSA-N 0 0 288.225 2.825 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000171832326 379416704 /nfs/dbraw/zinc/41/67/04/379416704.db2.gz OOVVGQLOENGENM-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN CN(C(=O)NCc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000172021161 379473700 /nfs/dbraw/zinc/47/37/00/379473700.db2.gz CUZRNIKDTBRDJW-UHFFFAOYSA-N 0 0 277.324 2.679 20 5 CFBDRN Cc1ccnc(NCc2ccc([C@H](C)O)cc2)c1[N+](=O)[O-] ZINC000172277917 379530103 /nfs/dbraw/zinc/53/01/03/379530103.db2.gz ZTFKBCNMKVEESJ-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN COc1ccc(OCc2scnc2C)cc1[N+](=O)[O-] ZINC000289447810 197352340 /nfs/dbraw/zinc/35/23/40/197352340.db2.gz UNIBFSNIXDUXRZ-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN COC(C)(C)C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000172361772 379548594 /nfs/dbraw/zinc/54/85/94/379548594.db2.gz WHRNXYKCNPGILU-UHFFFAOYSA-N 0 0 287.699 2.887 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000172481632 379578940 /nfs/dbraw/zinc/57/89/40/379578940.db2.gz HNPXRNANNAIQMK-GFCCVEGCSA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC(F)(F)C1 ZINC000335598637 379584392 /nfs/dbraw/zinc/58/43/92/379584392.db2.gz QCPJBHZUXLNXMW-UHFFFAOYSA-N 0 0 284.262 2.693 20 5 CFBDRN C[C@H]1CSCCN1C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000172522409 379588132 /nfs/dbraw/zinc/58/81/32/379588132.db2.gz YMKJLKNINKMRDF-QRGHLMKCSA-N 0 0 292.360 2.572 20 5 CFBDRN C[C@@H]1CSCCN1Cc1ccccc1[N+](=O)[O-] ZINC000172592709 379601089 /nfs/dbraw/zinc/60/10/89/379601089.db2.gz MWHIENIYPRNHEU-SNVBAGLBSA-N 0 0 252.339 2.532 20 5 CFBDRN C[C@]1(F)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000334574876 379558937 /nfs/dbraw/zinc/55/89/37/379558937.db2.gz OQNDWGCCLJHBFT-LBPRGKRZSA-N 0 0 285.250 2.700 20 5 CFBDRN Cc1ccnc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000172408839 379560583 /nfs/dbraw/zinc/56/05/83/379560583.db2.gz KZERDQPMZCFAGS-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN C[C@H]1CSCCN1Cc1csc([N+](=O)[O-])c1 ZINC000172595289 379603905 /nfs/dbraw/zinc/60/39/05/379603905.db2.gz GCCSSNYQVUIYEU-QMMMGPOBSA-N 0 0 258.368 2.594 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172420842 379565143 /nfs/dbraw/zinc/56/51/43/379565143.db2.gz BITPCBAUYZIMND-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1ccc(O)c(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c1 ZINC000172421165 379565269 /nfs/dbraw/zinc/56/52/69/379565269.db2.gz VLAZKOPIDNCORE-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1N1CC(CF)C1 ZINC000334581331 379569541 /nfs/dbraw/zinc/56/95/41/379569541.db2.gz QHZBXFJATVNHSG-UHFFFAOYSA-N 0 0 289.104 2.763 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000172444387 379569724 /nfs/dbraw/zinc/56/97/24/379569724.db2.gz QHNZHCMOCJRMCS-NSHDSACASA-N 0 0 264.325 2.546 20 5 CFBDRN C[C@]1(CNc2c(F)cccc2[N+](=O)[O-])CCOC1 ZINC000342130404 220269633 /nfs/dbraw/zinc/26/96/33/220269633.db2.gz OXJGKTNCIQFRMK-GFCCVEGCSA-N 0 0 254.261 2.572 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000172642339 379612616 /nfs/dbraw/zinc/61/26/16/379612616.db2.gz FZGVRNILPHGPMO-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cnc(NCc2ccc([C@H](C)O)cc2)c([N+](=O)[O-])c1 ZINC000172807715 379652223 /nfs/dbraw/zinc/65/22/23/379652223.db2.gz ULSHRANDSCRQEP-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN CC(C)(C)c1nc(CNc2cccc([N+](=O)[O-])c2)no1 ZINC000172846368 379656766 /nfs/dbraw/zinc/65/67/66/379656766.db2.gz SAZNBHXHBCNBBX-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN CC(C)[C@H](C)SCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000172972053 379678767 /nfs/dbraw/zinc/67/87/67/379678767.db2.gz SSNCKDLSFRKYEJ-VIFPVBQESA-N 0 0 282.365 2.971 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000335682069 379714072 /nfs/dbraw/zinc/71/40/72/379714072.db2.gz BBRPTWZABKMGJA-SNVBAGLBSA-N 0 0 279.340 2.821 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@@H]21 ZINC000335696684 379738923 /nfs/dbraw/zinc/73/89/23/379738923.db2.gz UUBKCXULSIFZJP-JSGCOSHPSA-N 0 0 274.320 2.538 20 5 CFBDRN CCc1ccc(C(=O)NCCCOC(C)C)cc1[N+](=O)[O-] ZINC000173423535 379739563 /nfs/dbraw/zinc/73/95/63/379739563.db2.gz YWAOAOMTKGUYSN-UHFFFAOYSA-N 0 0 294.351 2.702 20 5 CFBDRN CCc1ccc(C(=O)N[C@H](C)CSC)cc1[N+](=O)[O-] ZINC000173445528 379740662 /nfs/dbraw/zinc/74/06/62/379740662.db2.gz CWKZNXPCIJGTNO-SECBINFHSA-N 0 0 282.365 2.639 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1C1CCC1 ZINC000173498517 379744718 /nfs/dbraw/zinc/74/47/18/379744718.db2.gz ZOJSQAPIEMPJBE-OAHLLOKOSA-N 0 0 288.347 2.928 20 5 CFBDRN CCc1ccc(C(=O)N2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000173491637 379744780 /nfs/dbraw/zinc/74/47/80/379744780.db2.gz UFYPHLVGKFRCAM-SNVBAGLBSA-N 0 0 262.309 2.782 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000335704455 379754012 /nfs/dbraw/zinc/75/40/12/379754012.db2.gz AVSRSIHTDGXJOW-QMMMGPOBSA-N 0 0 254.311 2.671 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCC[C@H]1F ZINC000334701496 379791098 /nfs/dbraw/zinc/79/10/98/379791098.db2.gz GSVVWWQVMSYIMC-MNOVXSKESA-N 0 0 281.287 2.915 20 5 CFBDRN CC(C)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 ZINC000173645992 379762631 /nfs/dbraw/zinc/76/26/31/379762631.db2.gz VRBMTYISMFWQSJ-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@@H]1C1CC1 ZINC000334686234 379766417 /nfs/dbraw/zinc/76/64/17/379766417.db2.gz FFMDMPYDTUWNKY-CYBMUJFWSA-N 0 0 275.308 2.919 20 5 CFBDRN Cn1c(C(=O)N2CC3(CC3)c3ccccc32)ccc1[N+](=O)[O-] ZINC000334686519 379766455 /nfs/dbraw/zinc/76/64/55/379766455.db2.gz ZAQYDMIIGRYPEG-UHFFFAOYSA-N 0 0 297.314 2.625 20 5 CFBDRN CCOCCSCCOc1cccc([N+](=O)[O-])c1 ZINC000173753177 379775441 /nfs/dbraw/zinc/77/54/41/379775441.db2.gz XKHGLAVPJAXOSB-UHFFFAOYSA-N 0 0 271.338 2.743 20 5 CFBDRN CCCC[C@@H](CCC)CNC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000571942548 383740505 /nfs/dbraw/zinc/74/05/05/383740505.db2.gz LTDUKMOCPGTQBF-SNVBAGLBSA-N 0 0 282.344 2.654 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(C)(C)C1 ZINC000174122502 379831672 /nfs/dbraw/zinc/83/16/72/379831672.db2.gz BPLDMJPOXKNHIZ-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CC[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCS1 ZINC000174178084 379839952 /nfs/dbraw/zinc/83/99/52/379839952.db2.gz ARBYHDBEQNHRBM-GFCCVEGCSA-N 0 0 295.364 2.954 20 5 CFBDRN CSc1cccc(C(=O)N2[C@@H](C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000335768366 379857307 /nfs/dbraw/zinc/85/73/07/379857307.db2.gz CRCCACMHXBMWTH-IUCAKERBSA-N 0 0 280.349 2.940 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1[C@@H]2CCCC[C@H]12 ZINC000174008133 379813279 /nfs/dbraw/zinc/81/32/79/379813279.db2.gz SGKIRSRZQUZGTM-ONXXMXGDSA-N 0 0 274.320 2.647 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@@H]1C1CC1 ZINC000334768359 379914895 /nfs/dbraw/zinc/91/48/95/379914895.db2.gz IIHNVLCBQOEQHD-LLVKDONJSA-N 0 0 280.711 2.873 20 5 CFBDRN CCC1(C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334753030 379884942 /nfs/dbraw/zinc/88/49/42/379884942.db2.gz OMHCEYAUVVPLIX-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1Cc1cc(Cl)ccc1F ZINC000335796140 379902883 /nfs/dbraw/zinc/90/28/83/379902883.db2.gz SRIYSLORNMMNQC-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN Cc1cccc(NC(=O)c2csc([N+](=O)[O-])c2)c1O ZINC000174983016 379973249 /nfs/dbraw/zinc/97/32/49/379973249.db2.gz YGSARULTKNDWBT-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC(c2ccccc2)CC1 ZINC000335813090 379930544 /nfs/dbraw/zinc/93/05/44/379930544.db2.gz VPAJUFDVAZOINB-UHFFFAOYSA-N 0 0 299.330 2.943 20 5 CFBDRN CCOCCCCNc1ccc([N+](=O)[O-])cc1COC ZINC000175025823 379980799 /nfs/dbraw/zinc/98/07/99/379980799.db2.gz IWQSRYHXCCLCCZ-UHFFFAOYSA-N 0 0 282.340 2.970 20 5 CFBDRN Cn1c(C(=O)N2CCC(C)(C3CC3)CC2)ccc1[N+](=O)[O-] ZINC000335825891 379952877 /nfs/dbraw/zinc/95/28/77/379952877.db2.gz QWFXGOIQJKXWLY-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)[C@H]1CC1(C)C ZINC000335849190 379991059 /nfs/dbraw/zinc/99/10/59/379991059.db2.gz GZUKVXZUUANTBC-NSHDSACASA-N 0 0 262.309 2.774 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)NCC1(C)CCC1 ZINC000175116921 379999286 /nfs/dbraw/zinc/99/92/86/379999286.db2.gz OIUPPWSCWYBIQA-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000335215798 380021458 /nfs/dbraw/zinc/02/14/58/380021458.db2.gz SHJFYBPXDKQCBK-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN O=C(CCC1CCC1)NCCNc1ccccc1[N+](=O)[O-] ZINC000175397780 380057463 /nfs/dbraw/zinc/05/74/63/380057463.db2.gz GJQOTKWVAGZACW-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000335903074 380080554 /nfs/dbraw/zinc/08/05/54/380080554.db2.gz CRKGLSVFFIXAAD-CYBMUJFWSA-N 0 0 299.330 2.911 20 5 CFBDRN CC(C)C1(CNC(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000175592696 380095778 /nfs/dbraw/zinc/09/57/78/380095778.db2.gz WHDUIGVYJDEBHV-UHFFFAOYSA-N 0 0 291.351 2.830 20 5 CFBDRN CCC(C)(C)NC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000175605107 380097765 /nfs/dbraw/zinc/09/77/65/380097765.db2.gz JGWCKZGMAQPENO-UHFFFAOYSA-N 0 0 281.312 2.914 20 5 CFBDRN CC(=O)CS[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000176748255 380269147 /nfs/dbraw/zinc/26/91/47/380269147.db2.gz QYMAZDAHKAFEDQ-SNVBAGLBSA-N 0 0 296.348 2.552 20 5 CFBDRN CC[C@@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000176368762 380222464 /nfs/dbraw/zinc/22/24/64/380222464.db2.gz HCGQAFBOKAOADF-LLVKDONJSA-N 0 0 277.324 2.536 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)C[C@H]1C ZINC000336013131 380236935 /nfs/dbraw/zinc/23/69/35/380236935.db2.gz YBXAVDHTRCPYIK-MWLCHTKSSA-N 0 0 262.309 2.774 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@H]2C1 ZINC000176461638 380240128 /nfs/dbraw/zinc/24/01/28/380240128.db2.gz LXDSWQSVGIMRKH-STQMWFEESA-N 0 0 289.335 2.536 20 5 CFBDRN CC(C)c1ccc(C(=O)NCCC[C@H](C)O)cc1[N+](=O)[O-] ZINC000279070561 194272409 /nfs/dbraw/zinc/27/24/09/194272409.db2.gz GCWWZTIVOZMHTO-NSHDSACASA-N 0 0 294.351 2.609 20 5 CFBDRN CCCCNC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000279078891 194274606 /nfs/dbraw/zinc/27/46/06/194274606.db2.gz GXJBMDLZIGZQTB-UHFFFAOYSA-N 0 0 288.706 2.846 20 5 CFBDRN Cc1c(CC(=O)N2CC3(C2)CCCC3)cccc1[N+](=O)[O-] ZINC000336515582 380395049 /nfs/dbraw/zinc/39/50/49/380395049.db2.gz LYMFOPJREFQDTR-UHFFFAOYSA-N 0 0 288.347 2.848 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nccn2CC(C)C)n1 ZINC000178236779 380425297 /nfs/dbraw/zinc/42/52/97/380425297.db2.gz HWCSNLHICVWNBC-UHFFFAOYSA-N 0 0 289.339 2.763 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC12CCC2 ZINC000336539062 380436828 /nfs/dbraw/zinc/43/68/28/380436828.db2.gz IYWBVULYFXWPRC-UHFFFAOYSA-N 0 0 299.330 2.705 20 5 CFBDRN CCc1oc(C(=O)NCc2cccc([N+](=O)[O-])c2)cc1C ZINC000177985508 380400034 /nfs/dbraw/zinc/40/00/34/380400034.db2.gz GATWZNWNCICODZ-UHFFFAOYSA-N 0 0 288.303 2.989 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2nc3ccccc3[nH]2)n1 ZINC000178153486 380415007 /nfs/dbraw/zinc/41/50/07/380415007.db2.gz NXIXXNYINOSPNZ-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000336578863 380495477 /nfs/dbraw/zinc/49/54/77/380495477.db2.gz RLWXIKVDJOPNOU-HTQZYQBOSA-N 0 0 254.311 2.527 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000336578866 380495684 /nfs/dbraw/zinc/49/56/84/380495684.db2.gz RLWXIKVDJOPNOU-YUMQZZPRSA-N 0 0 254.311 2.527 20 5 CFBDRN O=C(N[C@@H]1CC12CCCC2)c1ccc(F)cc1[N+](=O)[O-] ZINC000336582777 380501405 /nfs/dbraw/zinc/50/14/05/380501405.db2.gz CJQNYMSZPPLOSL-GFCCVEGCSA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H]2C(C)C)cc([N+](=O)[O-])c1 ZINC000336594672 380520575 /nfs/dbraw/zinc/52/05/75/380520575.db2.gz RQXYNAYVKGEWJW-ZDUSSCGKSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc(C(=O)N2CC(C)=C[C@H](C)C2)cc1[N+](=O)[O-] ZINC000336606229 380537779 /nfs/dbraw/zinc/53/77/79/380537779.db2.gz KCTMLXLKKGNYDH-JTQLQIEISA-N 0 0 274.320 2.941 20 5 CFBDRN CC1(C)CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336618233 380557131 /nfs/dbraw/zinc/55/71/31/380557131.db2.gz AVDYMQOLFMKWED-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@@]2(CC=CCC2)C1 ZINC000336633082 380581057 /nfs/dbraw/zinc/58/10/57/380581057.db2.gz FSVHCWOGCHOGKA-HNNXBMFYSA-N 0 0 289.335 2.885 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000279152641 194306895 /nfs/dbraw/zinc/30/68/95/194306895.db2.gz BDWQXCZQNCTSGJ-SNVBAGLBSA-N 0 0 280.349 2.962 20 5 CFBDRN COCC1(CNc2ccccc2[N+](=O)[O-])CCC1 ZINC000336795545 380642726 /nfs/dbraw/zinc/64/27/26/380642726.db2.gz LINQNTCEFMJZJT-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN CCCCCNC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159620508 322325015 /nfs/dbraw/zinc/32/50/15/322325015.db2.gz RVKMOQHFDOQIHJ-SNVBAGLBSA-N 0 0 297.380 2.777 20 5 CFBDRN CN(CCC1CC1)C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000342710522 220276312 /nfs/dbraw/zinc/27/63/12/220276312.db2.gz AJPYPAYUYOWHOA-UHFFFAOYSA-N 0 0 298.289 2.674 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2CCOCC2)c(Cl)c1 ZINC000336809791 380669295 /nfs/dbraw/zinc/66/92/95/380669295.db2.gz GHQLRRUUOGCYCN-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(Cl)cc1)n1cc([N+](=O)[O-])cn1 ZINC000180509268 380669380 /nfs/dbraw/zinc/66/93/80/380669380.db2.gz CXLVXTZYBREJCK-QMMMGPOBSA-N 0 0 294.698 2.644 20 5 CFBDRN Cc1ccccc1-c1noc([C@H](C)n2cc([N+](=O)[O-])cn2)n1 ZINC000180508546 380669727 /nfs/dbraw/zinc/66/97/27/380669727.db2.gz RPFKUDPVFMZIQO-JTQLQIEISA-N 0 0 299.290 2.759 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC2CCOCC2)n1 ZINC000336810681 380670092 /nfs/dbraw/zinc/67/00/92/380670092.db2.gz IXKSIPMYPKPPKB-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1nc(C)c(CNc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000336817288 380679273 /nfs/dbraw/zinc/67/92/73/380679273.db2.gz POJJRHGQZHCBTM-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])cc1O ZINC000180669009 380688139 /nfs/dbraw/zinc/68/81/39/380688139.db2.gz GFUPOAIHRBKGOX-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN O=C1CCCCN1COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288469262 197086785 /nfs/dbraw/zinc/08/67/85/197086785.db2.gz QTDNRUMHMKJZHQ-UHFFFAOYSA-N 0 0 284.699 2.597 20 5 CFBDRN Cc1cc(NC(=O)NC[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000279157071 194310543 /nfs/dbraw/zinc/31/05/43/194310543.db2.gz CEPYUYOUPIPCDN-SNVBAGLBSA-N 0 0 295.364 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2Cc3ccccc3[C@H]2O)cc1 ZINC000180435137 380660982 /nfs/dbraw/zinc/66/09/82/380660982.db2.gz UAROHFFWISKSLO-LSDHHAIUSA-N 0 0 270.288 2.665 20 5 CFBDRN CC(=O)c1ccc(NCc2cccc([N+](=O)[O-])c2)nc1 ZINC000181000150 380722249 /nfs/dbraw/zinc/72/22/49/380722249.db2.gz IHVDNLQJDYXYGC-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN COCCC(C)(C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295393267 380825891 /nfs/dbraw/zinc/82/58/91/380825891.db2.gz QSKUAWKGPRSRET-NSHDSACASA-N 0 0 294.351 2.835 20 5 CFBDRN Cc1cccc(C(=O)NCc2cccc(F)c2)c1[N+](=O)[O-] ZINC000353803732 380865679 /nfs/dbraw/zinc/86/56/79/380865679.db2.gz XXJRMBZTLPOQIT-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CC1=C(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CCCO1 ZINC000295464435 380871397 /nfs/dbraw/zinc/87/13/97/380871397.db2.gz XSSAXEIBJXEABK-JTQLQIEISA-N 0 0 290.319 2.856 20 5 CFBDRN Cc1cccc(OCCOCC2CC2)c1[N+](=O)[O-] ZINC000181728722 380876331 /nfs/dbraw/zinc/87/63/31/380876331.db2.gz NWDVXBUUBSVZRS-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000279204047 194332109 /nfs/dbraw/zinc/33/21/09/194332109.db2.gz LLUBFDJPKURIFY-RKDXNWHRSA-N 0 0 295.314 2.625 20 5 CFBDRN Cc1ncsc1CN(C)c1ccc(N)cc1[N+](=O)[O-] ZINC000287469658 380837686 /nfs/dbraw/zinc/83/76/86/380837686.db2.gz SIPLBTFNVFMZAM-UHFFFAOYSA-N 0 0 278.337 2.578 20 5 CFBDRN Cc1ccc(OCCOc2ccc([N+](=O)[O-])cc2)cn1 ZINC000181609614 380840691 /nfs/dbraw/zinc/84/06/91/380840691.db2.gz GETGWDKASAROEW-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccc(F)cc1Cl ZINC000341229673 380846175 /nfs/dbraw/zinc/84/61/75/380846175.db2.gz ZCAPKLRAUPWEKJ-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC[C@H]1CCCCO1 ZINC000181622844 380846303 /nfs/dbraw/zinc/84/63/03/380846303.db2.gz OIMURLAOIJLGAA-CYBMUJFWSA-N 0 0 292.335 2.592 20 5 CFBDRN COc1cc(C(=O)N(C)CCC2CC2)c([N+](=O)[O-])cc1F ZINC000295440271 380857481 /nfs/dbraw/zinc/85/74/81/380857481.db2.gz MXWZMVYVOLBVFN-UHFFFAOYSA-N 0 0 296.298 2.615 20 5 CFBDRN COc1cccc(NCCC[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000402141908 380883015 /nfs/dbraw/zinc/88/30/15/380883015.db2.gz MAUUUDAIKCTKLX-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1cnc(CNCc2ccc([N+](=O)[O-])cc2Cl)n1C ZINC000341287675 380902562 /nfs/dbraw/zinc/90/25/62/380902562.db2.gz PQZKLIAVUKQORT-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN CCCOC(=O)[C@@H](C)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181819035 380904750 /nfs/dbraw/zinc/90/47/50/380904750.db2.gz KKGUVHTWIXDBMM-NWDGAFQWSA-N 0 0 294.351 2.896 20 5 CFBDRN Cc1nn(Cc2ncc(Cl)cc2Cl)cc1[N+](=O)[O-] ZINC000181820140 380904823 /nfs/dbraw/zinc/90/48/23/380904823.db2.gz FFIVOHUPBFMKSL-UHFFFAOYSA-N 0 0 287.106 2.850 20 5 CFBDRN Cc1nn(Cc2noc(-c3ccccc3C)n2)cc1[N+](=O)[O-] ZINC000181829846 380907783 /nfs/dbraw/zinc/90/77/83/380907783.db2.gz NCRCTISPPBDDKQ-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN COc1cccc(CCCn2cc([N+](=O)[O-])c(C)n2)c1 ZINC000181835377 380909785 /nfs/dbraw/zinc/90/97/85/380909785.db2.gz VEPYCAKZHPQSDM-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN Cc1nn(CCCCC(=O)OC(C)(C)C)c(C)c1[N+](=O)[O-] ZINC000181834535 380910563 /nfs/dbraw/zinc/91/05/63/380910563.db2.gz PZRCXJLTGALCDS-UHFFFAOYSA-N 0 0 297.355 2.920 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@H](F)C2)n1 ZINC000408107513 380914173 /nfs/dbraw/zinc/91/41/73/380914173.db2.gz OIFXXFWZUSTXEZ-JTQLQIEISA-N 0 0 253.277 2.545 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCSC(C)(C)C2)n1 ZINC000408172145 380915520 /nfs/dbraw/zinc/91/55/20/380915520.db2.gz SHIGSLPSSCWRNO-UHFFFAOYSA-N 0 0 281.381 2.938 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@H](C(C)C)CC2)c1[N+](=O)[O-] ZINC000408170008 380915690 /nfs/dbraw/zinc/91/56/90/380915690.db2.gz RGKFXOSXNNZMLN-NSHDSACASA-N 0 0 294.355 2.525 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCO[C@H]3CCCC[C@H]32)n1 ZINC000408171863 380915841 /nfs/dbraw/zinc/91/58/41/380915841.db2.gz OQSBLLBQDKGRMF-OLZOCXBDSA-N 0 0 291.351 2.754 20 5 CFBDRN O=C(Cc1ccco1)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000341303672 380920101 /nfs/dbraw/zinc/92/01/01/380920101.db2.gz QKOOWDULNLAXEL-UHFFFAOYSA-N 0 0 294.694 2.700 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)CC1(C)C ZINC000408283668 380920235 /nfs/dbraw/zinc/92/02/35/380920235.db2.gz NEQUDTKUIGICKJ-VIFPVBQESA-N 0 0 277.324 2.559 20 5 CFBDRN CO[C@@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])[C@H](C)C1 ZINC000408422159 380923013 /nfs/dbraw/zinc/92/30/13/380923013.db2.gz FRBITINZUAVTGY-VXGBXAGGSA-N 0 0 279.340 2.610 20 5 CFBDRN Cc1ccc(Cl)c(NCc2c([N+](=O)[O-])ncn2C)c1 ZINC000353880639 380925239 /nfs/dbraw/zinc/92/52/39/380925239.db2.gz ZJUMHSVQJJRBFL-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCc2ccc(Cl)cc2C1 ZINC000341366917 380967494 /nfs/dbraw/zinc/96/74/94/380967494.db2.gz CWICVGOGTWQPEI-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN Cc1nc(NCc2cccc3cc[nH]c32)ccc1[N+](=O)[O-] ZINC000341367345 380969483 /nfs/dbraw/zinc/96/94/83/380969483.db2.gz HOTFEZHVFYBYOD-UHFFFAOYSA-N 0 0 282.303 2.814 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@@H](C)CC(C)C)n2)n1C ZINC000347159906 380975801 /nfs/dbraw/zinc/97/58/01/380975801.db2.gz OFNVYXVNNBTYMC-QMMMGPOBSA-N 0 0 293.327 2.836 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2ncccc2C)n1 ZINC000295624296 380981357 /nfs/dbraw/zinc/98/13/57/380981357.db2.gz AOMXNIYYVRAPGJ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN C[C@@H](Nc1cccc(C(N)=O)c1[N+](=O)[O-])c1cccs1 ZINC000341339451 380947044 /nfs/dbraw/zinc/94/70/44/380947044.db2.gz COAFBEACDTZBCU-MRVPVSSYSA-N 0 0 291.332 2.928 20 5 CFBDRN CO[C@H]1CCCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000287820032 380947128 /nfs/dbraw/zinc/94/71/28/380947128.db2.gz KFBLIFUUPFBBTD-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN CCN(C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000347249105 381028488 /nfs/dbraw/zinc/02/84/88/381028488.db2.gz CNQFHOKHVPHYMZ-UHFFFAOYSA-N 0 0 269.276 2.996 20 5 CFBDRN COCCC1(C)CN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000289197022 381028793 /nfs/dbraw/zinc/02/87/93/381028793.db2.gz XDEMANTYKRCBPB-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000279293082 194372087 /nfs/dbraw/zinc/37/20/87/194372087.db2.gz YKUUOOZCLSKRAS-VIFPVBQESA-N 0 0 295.314 2.578 20 5 CFBDRN CCc1nn(C)c(Oc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000288036373 380996953 /nfs/dbraw/zinc/99/69/53/380996953.db2.gz ATTZSVZSCQTFRM-UHFFFAOYSA-N 0 0 265.244 2.822 20 5 CFBDRN Cc1cc(CNc2ncc([N+](=O)[O-])c(C)n2)cc(C)c1O ZINC000295653938 380997367 /nfs/dbraw/zinc/99/73/67/380997367.db2.gz ZLXLHEZWMYSWIT-UHFFFAOYSA-N 0 0 288.307 2.628 20 5 CFBDRN Cc1cccnc1CCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000295658821 381001451 /nfs/dbraw/zinc/00/14/51/381001451.db2.gz DUSHJJZUVWONGC-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@]1(C)CCO[C@H]1C1CC1 ZINC000368367298 381001790 /nfs/dbraw/zinc/00/17/90/381001790.db2.gz OCMIKDPLCSLZPD-DZGCQCFKSA-N 0 0 291.351 2.915 20 5 CFBDRN C[C@H]1CN(c2ncnc3sc([N+](=O)[O-])cc32)C[C@@H]1C ZINC000288186934 381007183 /nfs/dbraw/zinc/00/71/83/381007183.db2.gz BVFGDAILMJXIPT-YUMQZZPRSA-N 0 0 278.337 2.692 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCc2ccccc21 ZINC000347282568 381046276 /nfs/dbraw/zinc/04/62/76/381046276.db2.gz BDCWMNQSYXBPAF-ZDUSSCGKSA-N 0 0 283.331 2.862 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@]1(C)CCOC1 ZINC000354091389 381073764 /nfs/dbraw/zinc/07/37/64/381073764.db2.gz LEDPXBXQAAXFDB-CYBMUJFWSA-N 0 0 250.298 2.742 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@@H](CO)c3ccccc32)s1 ZINC000368520447 381053941 /nfs/dbraw/zinc/05/39/41/381053941.db2.gz VFURUQCSYKOSLU-KWQFWETISA-N 0 0 291.332 2.684 20 5 CFBDRN Cc1nc(-c2noc(-c3cc(C)cc([N+](=O)[O-])c3)n2)co1 ZINC000347297126 381054650 /nfs/dbraw/zinc/05/46/50/381054650.db2.gz GYZOJPLKVAITCP-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN COc1cccc(-c2noc(CCC(C)(C)[N+](=O)[O-])n2)c1 ZINC000295758349 381057495 /nfs/dbraw/zinc/05/74/95/381057495.db2.gz ANWFVZKCZNFINS-UHFFFAOYSA-N 0 0 291.307 2.733 20 5 CFBDRN COCCC[C@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000182307515 381057800 /nfs/dbraw/zinc/05/78/00/381057800.db2.gz UAAYJOVATVSCSC-NSHDSACASA-N 0 0 292.335 2.547 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC(CC)CC ZINC000279325975 194386290 /nfs/dbraw/zinc/38/62/90/194386290.db2.gz GQFWVKPIOGSWID-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(CF)CC2)c1 ZINC000295761740 381059506 /nfs/dbraw/zinc/05/95/06/381059506.db2.gz OIDTVXGXWFHUKS-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN CC(C)CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347313334 381064225 /nfs/dbraw/zinc/06/42/25/381064225.db2.gz ZAQLNMJRFVAJFX-UHFFFAOYSA-N 0 0 255.249 2.511 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)c1C ZINC000358763102 381066129 /nfs/dbraw/zinc/06/61/29/381066129.db2.gz AYHWEBAONGPQIU-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN Cc1cccc(CCCn2ccc(=O)c([N+](=O)[O-])c2)c1 ZINC000182349998 381072057 /nfs/dbraw/zinc/07/20/57/381072057.db2.gz KRJKOMOSLYOLAN-UHFFFAOYSA-N 0 0 272.304 2.698 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000182238706 381033831 /nfs/dbraw/zinc/03/38/31/381033831.db2.gz BNIWRVGHZUKMLU-LLVKDONJSA-N 0 0 280.324 2.904 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000182238815 381037265 /nfs/dbraw/zinc/03/72/65/381037265.db2.gz QAJNGRDRSCNQDB-NSHDSACASA-N 0 0 280.324 2.904 20 5 CFBDRN CC[C@H]1CCCC[C@H]1CNC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000295737347 381044918 /nfs/dbraw/zinc/04/49/18/381044918.db2.gz MYXPHWGCNZIZQU-QWRGUYRKSA-N 0 0 294.355 2.573 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@H]1C ZINC000279346575 194394346 /nfs/dbraw/zinc/39/43/46/194394346.db2.gz QEQNNONCNLTGTB-MNOVXSKESA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)COC(C)(C)C ZINC000182461598 381094390 /nfs/dbraw/zinc/09/43/90/381094390.db2.gz KZKNQEPQDUHOOY-JTQLQIEISA-N 0 0 292.335 2.688 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COc3ccc(F)cc32)nc1 ZINC000341643990 381147673 /nfs/dbraw/zinc/14/76/73/381147673.db2.gz QWRDGZOKRAVAEB-LLVKDONJSA-N 0 0 275.239 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1cn3ccccc3n1)CC2 ZINC000182773653 381153303 /nfs/dbraw/zinc/15/33/03/381153303.db2.gz RFCPILXQSWQVDH-UHFFFAOYSA-N 0 0 294.314 2.805 20 5 CFBDRN Cc1ccc(CC[C@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)o1 ZINC000358931733 381162745 /nfs/dbraw/zinc/16/27/45/381162745.db2.gz DJOBEPXJLQTOJJ-VIFPVBQESA-N 0 0 292.291 2.840 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccoc1 ZINC000341617116 381128756 /nfs/dbraw/zinc/12/87/56/381128756.db2.gz QLXWEVZNKDVXLY-UHFFFAOYSA-N 0 0 290.275 2.768 20 5 CFBDRN CC(C)CN(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C(C)C ZINC000279356620 194398443 /nfs/dbraw/zinc/39/84/43/194398443.db2.gz FRVKEMIGERHPTH-UHFFFAOYSA-N 0 0 297.330 2.823 20 5 CFBDRN CCC1(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)CC1 ZINC000295881708 381134410 /nfs/dbraw/zinc/13/44/10/381134410.db2.gz MBTPNWLTCBTELX-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN CC[C@H]1CCN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000347468419 381170951 /nfs/dbraw/zinc/17/09/51/381170951.db2.gz WHMZFEMVCREQOS-JTQLQIEISA-N 0 0 272.308 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CCCCC2(F)F)c1=O ZINC000295946259 381172120 /nfs/dbraw/zinc/17/21/20/381172120.db2.gz IHEBDRKGWSWRFE-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1-c1nc(CC2(C)CCCC2)no1 ZINC000347476806 381177201 /nfs/dbraw/zinc/17/72/01/381177201.db2.gz XYASBFAUVTVUBK-UHFFFAOYSA-N 0 0 291.311 2.501 20 5 CFBDRN COCCCCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177835854 284869485 /nfs/dbraw/zinc/86/94/85/284869485.db2.gz VFSWGFODYWCATM-LBPRGKRZSA-N 0 0 294.351 2.897 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412137272 381178667 /nfs/dbraw/zinc/17/86/67/381178667.db2.gz XKGXRPSDAWZRGC-AEGPPILISA-N 0 0 286.331 2.923 20 5 CFBDRN C[C@H](CC(F)F)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000412151001 381184050 /nfs/dbraw/zinc/18/40/50/381184050.db2.gz YDBIVOBVXYRQRH-SECBINFHSA-N 0 0 286.278 2.545 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CCC2CC2)c1 ZINC000347492564 381184500 /nfs/dbraw/zinc/18/45/00/381184500.db2.gz DXGPBSWAVAISIG-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccc(Cc2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)s1 ZINC000347496479 381187936 /nfs/dbraw/zinc/18/79/36/381187936.db2.gz ZIELBGHXMPQLPJ-UHFFFAOYSA-N 0 0 290.304 2.934 20 5 CFBDRN Cc1ccc(N2CC[C@@H](O)CC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000295976041 381194714 /nfs/dbraw/zinc/19/47/14/381194714.db2.gz KSXIFYBFCWRVDW-GFCCVEGCSA-N 0 0 278.352 2.891 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358994715 381202131 /nfs/dbraw/zinc/20/21/31/381202131.db2.gz RDPUTGNSDHBGFE-YGRLFVJLSA-N 0 0 294.326 2.923 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1(C)C ZINC000296007446 381208231 /nfs/dbraw/zinc/20/82/31/381208231.db2.gz KXSMEROQMPWYDP-SNVBAGLBSA-N 0 0 298.364 2.543 20 5 CFBDRN COC1(CNc2nc(C)cc(C)c2[N+](=O)[O-])CCC1 ZINC000359085838 381256985 /nfs/dbraw/zinc/25/69/85/381256985.db2.gz UDVMCDUYAMXESY-UHFFFAOYSA-N 0 0 265.313 2.588 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)Cc2cscn2)n1 ZINC000359091238 381259804 /nfs/dbraw/zinc/25/98/04/381259804.db2.gz GUXJNMGRKBTVQC-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2ccc([N+](=O)[O-])cc2F)o1 ZINC000347623746 381260988 /nfs/dbraw/zinc/26/09/88/381260988.db2.gz HDBNNSGTCUKTAY-UHFFFAOYSA-N 0 0 294.238 2.600 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ccc(F)cn2)n1 ZINC000359095894 381262552 /nfs/dbraw/zinc/26/25/52/381262552.db2.gz KPHZHMQMUDIGFA-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN CC1(C)CN(Cc2cccc([N+](=O)[O-])c2)[C@@H]1[C@H]1CCCO1 ZINC000289933036 381222820 /nfs/dbraw/zinc/22/28/20/381222820.db2.gz VHBICFSDSBXKQN-HUUCEWRRSA-N 0 0 290.363 2.984 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000183180440 381228989 /nfs/dbraw/zinc/22/89/89/381228989.db2.gz FYTCFALJCUJPAI-QWRGUYRKSA-N 0 0 264.325 2.893 20 5 CFBDRN CC(C)N(C)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000342270803 381238865 /nfs/dbraw/zinc/23/88/65/381238865.db2.gz BXAJNJUUMCQXEJ-UHFFFAOYSA-N 0 0 268.338 2.554 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2noc(C3CC3)n2)c(F)c1F ZINC000296072186 381244228 /nfs/dbraw/zinc/24/42/28/381244228.db2.gz VKCJVYDQWKPPDN-UHFFFAOYSA-N 0 0 297.217 2.712 20 5 CFBDRN CCOCC(C)(C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000296079413 381245413 /nfs/dbraw/zinc/24/54/13/381245413.db2.gz DHAAYJXWVVMXCK-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H](C)CCCCO)cc1[N+](=O)[O-] ZINC000296201520 381298815 /nfs/dbraw/zinc/29/88/15/381298815.db2.gz NIFLTCDFOZZLBN-LLVKDONJSA-N 0 0 295.339 2.576 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000359229650 381299188 /nfs/dbraw/zinc/29/91/88/381299188.db2.gz RBHATSLZMLFWAH-WPRPVWTQSA-N 0 0 298.364 2.604 20 5 CFBDRN O=C(NC/C=C/c1ccccc1)Nc1ccncc1[N+](=O)[O-] ZINC000183633571 381299651 /nfs/dbraw/zinc/29/96/51/381299651.db2.gz VHMKPTWRKHGUNC-QPJJXVBHSA-N 0 0 298.302 2.825 20 5 CFBDRN CC1(C)OCC[C@H]1Nc1cccc(F)c1[N+](=O)[O-] ZINC000296219616 381306767 /nfs/dbraw/zinc/30/67/67/381306767.db2.gz GPAOPWRUQKXQNH-SNVBAGLBSA-N 0 0 254.261 2.713 20 5 CFBDRN CCC1(NC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)CC1 ZINC000412379274 381279541 /nfs/dbraw/zinc/27/95/41/381279541.db2.gz VXTDYRZRQRBFRT-QWHCGFSZSA-N 0 0 274.320 2.757 20 5 CFBDRN CC(C)(CF)NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000354455271 381281724 /nfs/dbraw/zinc/28/17/24/381281724.db2.gz YQGVIHJGJGMGSX-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)OC ZINC000296168257 381283411 /nfs/dbraw/zinc/28/34/11/381283411.db2.gz LALPMCUMOXKVFZ-MFKMUULPSA-N 0 0 295.339 2.777 20 5 CFBDRN COCc1nc(C)cc(Oc2ccccc2[N+](=O)[O-])n1 ZINC000342339744 381285128 /nfs/dbraw/zinc/28/51/28/381285128.db2.gz PMXHOYSIMLOFLV-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN CC(C)(NC(=O)c1cc(F)c[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000342341527 381285268 /nfs/dbraw/zinc/28/52/68/381285268.db2.gz USEHBVROJKOBCV-UHFFFAOYSA-N 0 0 291.282 2.727 20 5 CFBDRN CC[C@@H](C)N1CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000296169415 381285482 /nfs/dbraw/zinc/28/54/82/381285482.db2.gz ZKHYHDWDQUZXHG-SNVBAGLBSA-N 0 0 298.774 2.564 20 5 CFBDRN CC/C=C/CNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000296274397 381327763 /nfs/dbraw/zinc/32/77/63/381327763.db2.gz IPGYWCAXEFOQCT-SGUJLRQBSA-N 0 0 277.324 2.921 20 5 CFBDRN CCC1(NC(=O)CCOc2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000296279292 381329932 /nfs/dbraw/zinc/32/99/32/381329932.db2.gz QAQMLOLMBGMHGG-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1ccc2[nH]c(CNc3ccc([N+](=O)[O-])nc3)nc2c1 ZINC000342433919 381330806 /nfs/dbraw/zinc/33/08/06/381330806.db2.gz FWSREOWRXFBXGC-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000359294206 381332628 /nfs/dbraw/zinc/33/26/28/381332628.db2.gz BGFPRJMTZOKWKK-MFKMUULPSA-N 0 0 291.351 2.891 20 5 CFBDRN COc1cc(N[C@@H](C)CCCCO)c([N+](=O)[O-])cc1OC ZINC000296233132 381311615 /nfs/dbraw/zinc/31/16/15/381311615.db2.gz HRYSRFBWFDLUTM-JTQLQIEISA-N 0 0 298.339 2.575 20 5 CFBDRN Cc1c(C(=O)N(C)CC[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000342461531 381344307 /nfs/dbraw/zinc/34/43/07/381344307.db2.gz HAFULMJVPJCRSI-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1C[C@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000354574213 381346165 /nfs/dbraw/zinc/34/61/65/381346165.db2.gz UYTFTLQAASNYGO-PSASIEDQSA-N 0 0 298.289 2.642 20 5 CFBDRN O=C(COc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000183961502 381346920 /nfs/dbraw/zinc/34/69/20/381346920.db2.gz XRTOTDHSYKZIRE-SECBINFHSA-N 0 0 299.710 2.623 20 5 CFBDRN C[C@H]1CCCCCN1S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000354630780 381375048 /nfs/dbraw/zinc/37/50/48/381375048.db2.gz WCXJYEFEMWIGOK-NSHDSACASA-N 0 0 298.364 2.548 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@H](C2CC2)C1 ZINC000290375026 381403939 /nfs/dbraw/zinc/40/39/39/381403939.db2.gz ATFQIBCGPJJHJE-JTQLQIEISA-N 0 0 280.349 2.919 20 5 CFBDRN Cc1nc(N[C@@H](C)CCc2ccco2)ncc1[N+](=O)[O-] ZINC000296469202 381409546 /nfs/dbraw/zinc/40/95/46/381409546.db2.gz JVDXVJAIPXBIDO-VIFPVBQESA-N 0 0 276.296 2.719 20 5 CFBDRN C[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1)CC(F)(F)F ZINC000412645456 381380878 /nfs/dbraw/zinc/38/08/78/381380878.db2.gz ANTLFXWMXXQRMO-MRVPVSSYSA-N 0 0 290.241 2.913 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCc1ccc(F)cc1Cl ZINC000354642824 381382691 /nfs/dbraw/zinc/38/26/91/381382691.db2.gz DXMYUNXVKIBXDN-UHFFFAOYSA-N 0 0 298.705 2.775 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)CC(F)(F)F ZINC000412651632 381383902 /nfs/dbraw/zinc/38/39/02/381383902.db2.gz ICEXSVBRDQAEDZ-MRVPVSSYSA-N 0 0 290.241 2.913 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@H]1CC[C@@H](CO)C1 ZINC000296414363 381384435 /nfs/dbraw/zinc/38/44/35/381384435.db2.gz WXJBSRIYHZFYBV-BDAKNGLRSA-N 0 0 270.716 2.821 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCO[C@H](c2ccco2)C1 ZINC000342541412 381385690 /nfs/dbraw/zinc/38/56/90/381385690.db2.gz DNZKRJGGFDKFPQ-ZDUSSCGKSA-N 0 0 292.266 2.905 20 5 CFBDRN CCCCC[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])nn1C ZINC000359476906 381425654 /nfs/dbraw/zinc/42/56/54/381425654.db2.gz DRBUQBHTIJDEJZ-LLVKDONJSA-N 0 0 294.355 2.513 20 5 CFBDRN Cc1nnccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000347944491 381427594 /nfs/dbraw/zinc/42/75/94/381427594.db2.gz XLADFHBCXCXPHF-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CS[C@@H](C)CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347948658 381428678 /nfs/dbraw/zinc/42/86/78/381428678.db2.gz AASYHHQDYKVBTB-ZETCQYMHSA-N 0 0 272.301 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)c2ccc(F)cc2)cc1 ZINC000359487415 381431151 /nfs/dbraw/zinc/43/11/51/381431151.db2.gz LOOMYLMNXWVCHM-CQSZACIVSA-N 0 0 276.267 2.879 20 5 CFBDRN CCOc1ccc(CNC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000347959376 381434472 /nfs/dbraw/zinc/43/44/72/381434472.db2.gz ZNHRFEOHMKWVBG-UHFFFAOYSA-N 0 0 290.275 2.517 20 5 CFBDRN CC(C)[C@H]1OCC[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347962573 381436082 /nfs/dbraw/zinc/43/60/82/381436082.db2.gz SHPXDVUWBKENCK-CHWSQXEVSA-N 0 0 296.298 2.734 20 5 CFBDRN Cc1ccnc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000347963495 381437566 /nfs/dbraw/zinc/43/75/66/381437566.db2.gz CTWLADKMOAULBJ-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN O=C(COc1ccccc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347974167 381439625 /nfs/dbraw/zinc/43/96/25/381439625.db2.gz HADNPVJPZRJONP-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](C)COC)c([N+](=O)[O-])c1 ZINC000359563810 381473375 /nfs/dbraw/zinc/47/33/75/381473375.db2.gz IKYKAYGURRETHF-VIFPVBQESA-N 0 0 266.297 2.634 20 5 CFBDRN Cc1nc(N[C@H](C)c2cccs2)ncc1[N+](=O)[O-] ZINC000296570844 381454348 /nfs/dbraw/zinc/45/43/48/381454348.db2.gz QKCQOMWAGAXLKR-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN O=C(NC[C@H]1CCCCS1)c1ccccc1[N+](=O)[O-] ZINC000342663339 381465014 /nfs/dbraw/zinc/46/50/14/381465014.db2.gz PHMBITLDZASNRB-SNVBAGLBSA-N 0 0 280.349 2.610 20 5 CFBDRN CC[C@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000354815984 381466546 /nfs/dbraw/zinc/46/65/46/381466546.db2.gz FGFDDPNWUPHJQE-ZCFIWIBFSA-N 0 0 280.202 2.649 20 5 CFBDRN CC(C)c1nnc(CNc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000359616321 381510241 /nfs/dbraw/zinc/51/02/41/381510241.db2.gz BOLKVNZDGMBKAB-UHFFFAOYSA-N 0 0 280.259 2.852 20 5 CFBDRN Cc1ccc([C@H](C)Nc2nnc(C)c(C)n2)cc1[N+](=O)[O-] ZINC000359619391 381511550 /nfs/dbraw/zinc/51/15/50/381511550.db2.gz NVNQKOYULJLMKG-NSHDSACASA-N 0 0 287.323 2.878 20 5 CFBDRN C[C@H]1C[C@@H](CNc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000359623670 381516064 /nfs/dbraw/zinc/51/60/64/381516064.db2.gz VWINDDQJUCSHTL-UWVGGRQHSA-N 0 0 268.288 2.961 20 5 CFBDRN CC1=CCN(c2nnc(-c3cccc([N+](=O)[O-])c3)n2C)CC1 ZINC000290670070 381516923 /nfs/dbraw/zinc/51/69/23/381516923.db2.gz GGTVPCXMTNZTRA-UHFFFAOYSA-N 0 0 299.334 2.547 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC[C@@](O)(C2CC2)C1 ZINC000370674376 381488646 /nfs/dbraw/zinc/48/86/46/381488646.db2.gz UYIRWRFXLQQLTJ-AWEZNQCLSA-N 0 0 296.754 2.595 20 5 CFBDRN CCCc1noc(CNc2cc([N+](=O)[O-])c(C)cc2F)n1 ZINC000296660769 381494711 /nfs/dbraw/zinc/49/47/11/381494711.db2.gz FEEHYRXXEDCBTB-UHFFFAOYSA-N 0 0 294.286 2.990 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000354869982 381497875 /nfs/dbraw/zinc/49/78/75/381497875.db2.gz QUJKQTWICAHNFI-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1c1ccco1)c1csc([N+](=O)[O-])c1 ZINC000412924994 381498913 /nfs/dbraw/zinc/49/89/13/381498913.db2.gz KTHHEOMJABSARZ-RKDXNWHRSA-N 0 0 278.289 2.535 20 5 CFBDRN CCOc1cccc(NC[C@H](CC)OC)c1[N+](=O)[O-] ZINC000290762265 381540887 /nfs/dbraw/zinc/54/08/87/381540887.db2.gz UMLBTTHCYZPCQA-JTQLQIEISA-N 0 0 268.313 2.830 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)NN1CCCCC1 ZINC000296793947 381543251 /nfs/dbraw/zinc/54/32/51/381543251.db2.gz QGYZBEHCBAZMTM-UHFFFAOYSA-N 0 0 298.730 2.771 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000348349006 381522464 /nfs/dbraw/zinc/52/24/64/381522464.db2.gz KYYYVGLPZSASSD-ONGXEEELSA-N 0 0 267.329 2.507 20 5 CFBDRN CCC[C@@H](C)C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359642607 381528416 /nfs/dbraw/zinc/52/84/16/381528416.db2.gz WTODYDVQFRDKDV-LLVKDONJSA-N 0 0 292.335 2.752 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC2CCCC2)cc1CO ZINC000413001941 381532588 /nfs/dbraw/zinc/53/25/88/381532588.db2.gz DSCFFKJSZXEEGA-UHFFFAOYSA-N 0 0 295.335 2.673 20 5 CFBDRN CC[C@@H](CNc1cc(C(F)(F)F)ncc1[N+](=O)[O-])OC ZINC000290804820 381557197 /nfs/dbraw/zinc/55/71/97/381557197.db2.gz ZAJUGWLTRDTEJW-ZETCQYMHSA-N 0 0 293.245 2.846 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1C[C@H]2CCCCC[C@H]21 ZINC000371172795 381561589 /nfs/dbraw/zinc/56/15/89/381561589.db2.gz CMHZWYGTCUENNY-UKRRQHHQSA-N 0 0 288.347 2.928 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000298389150 381604116 /nfs/dbraw/zinc/60/41/16/381604116.db2.gz GMJFCPWZAHAJJV-JTQLQIEISA-N 0 0 250.298 2.855 20 5 CFBDRN CC1=CC[N@H+](CCNc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000342858281 381588032 /nfs/dbraw/zinc/58/80/32/381588032.db2.gz XWOVIPVVRIMFKA-UHFFFAOYSA-N 0 0 279.315 2.798 20 5 CFBDRN COc1cccc(NCCc2c(C)noc2C)c1[N+](=O)[O-] ZINC000185386570 381618703 /nfs/dbraw/zinc/61/87/03/381618703.db2.gz MCRCSHFLNCDPKB-UHFFFAOYSA-N 0 0 291.307 2.863 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1c(F)cccc1[N+](=O)[O-] ZINC000342928287 381621444 /nfs/dbraw/zinc/62/14/44/381621444.db2.gz BXQORSOIUFGGHR-CABZTGNLSA-N 0 0 268.288 2.961 20 5 CFBDRN COC(=O)[C@@H](C)Sc1cc(C)c([N+](=O)[O-])cc1F ZINC000290991804 381622724 /nfs/dbraw/zinc/62/27/24/381622724.db2.gz RWUBYKPHYJXAKS-SSDOTTSWSA-N 0 0 273.285 2.696 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCC1(C)CC1 ZINC000359842931 381636161 /nfs/dbraw/zinc/63/61/61/381636161.db2.gz HKRZNJQLJYQWTL-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000342942889 381630396 /nfs/dbraw/zinc/63/03/96/381630396.db2.gz CXAVEJWKDVBQDY-UISBYWKRSA-N 0 0 266.272 2.713 20 5 CFBDRN CCCC1(C(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000348554828 381632108 /nfs/dbraw/zinc/63/21/08/381632108.db2.gz SXMCCUIUSNXQDK-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN CCC1(C)CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000291030979 381639774 /nfs/dbraw/zinc/63/97/74/381639774.db2.gz GVFPVCYVXQSYBG-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCCCC[C@@H]1CCO ZINC000343061721 381662275 /nfs/dbraw/zinc/66/22/75/381662275.db2.gz LYPMDGIGDWFMLG-GFCCVEGCSA-N 0 0 264.325 2.726 20 5 CFBDRN O=[N+]([O-])c1c(NCc2ncccc2O)ccc2ncccc21 ZINC000359899878 381666666 /nfs/dbraw/zinc/66/66/66/381666666.db2.gz MTGDHRKCFZIVPJ-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC=C(c2ccco2)C1 ZINC000343244552 381670088 /nfs/dbraw/zinc/67/00/88/381670088.db2.gz DAVBGLVVZMSYAJ-UHFFFAOYSA-N 0 0 271.276 2.877 20 5 CFBDRN CCc1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c(C)n1 ZINC000185701107 381710160 /nfs/dbraw/zinc/71/01/60/381710160.db2.gz RUHTZQMEUPXKKH-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN Cc1nc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c(C)s1 ZINC000291137248 381681239 /nfs/dbraw/zinc/68/12/39/381681239.db2.gz XHVHTEHBPIXMSX-UHFFFAOYSA-N 0 0 296.352 2.798 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1CCC[C@@H](CO)C1 ZINC000298587793 381682243 /nfs/dbraw/zinc/68/22/43/381682243.db2.gz LZOPDJVADLTSET-ZJUUUORDSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1c(CC(=O)N2CCC[C@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000343395487 381684364 /nfs/dbraw/zinc/68/43/64/381684364.db2.gz HZJOVHUFKNMNFA-LBPRGKRZSA-N 0 0 298.289 2.702 20 5 CFBDRN C[C@@H](CC(=O)NCc1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000359931297 381684996 /nfs/dbraw/zinc/68/49/96/381684996.db2.gz XZIGEWBBELPHFF-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1(CCF)CC1 ZINC000291163883 381691200 /nfs/dbraw/zinc/69/12/00/381691200.db2.gz YVVLONVKOLCUTQ-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN Cc1cc(OCC2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000185658835 381695673 /nfs/dbraw/zinc/69/56/73/381695673.db2.gz MLGUVPXHDMOIMD-UHFFFAOYSA-N 0 0 269.272 2.848 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](c1ncc[nH]1)C(C)C ZINC000291184894 381698965 /nfs/dbraw/zinc/69/89/65/381698965.db2.gz SFDKYPUDVFQRTA-JTQLQIEISA-N 0 0 292.343 2.652 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCO[C@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000343422585 381701054 /nfs/dbraw/zinc/70/10/54/381701054.db2.gz FOZILSQDJFHTPY-QWRGUYRKSA-N 0 0 296.371 2.642 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCC[C@H](CO)C1 ZINC000298657518 381701273 /nfs/dbraw/zinc/70/12/73/381701273.db2.gz SRDHXHQXPSQQGX-WDEREUQCSA-N 0 0 250.298 2.558 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000185678634 381704681 /nfs/dbraw/zinc/70/46/81/381704681.db2.gz WVWOLTSIGJEBRT-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN COc1ccc(NC(=O)c2ccoc2C)cc1[N+](=O)[O-] ZINC000359971227 381704782 /nfs/dbraw/zinc/70/47/82/381704782.db2.gz RTOKIZQLLBEHJK-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN COCCN(Cc1ccccn1)c1ccccc1[N+](=O)[O-] ZINC000298731780 381728190 /nfs/dbraw/zinc/72/81/90/381728190.db2.gz NQJXHFCLGGFUHR-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN CC(C)N(Cc1csc([N+](=O)[O-])c1)[C@@H]1CCOC1 ZINC000291267364 381729084 /nfs/dbraw/zinc/72/90/84/381729084.db2.gz NOIRCDZRGSWBEK-LLVKDONJSA-N 0 0 270.354 2.656 20 5 CFBDRN Cc1cc(C(=O)NC2Cc3ccccc3C2)cc([N+](=O)[O-])c1 ZINC000185770031 381729199 /nfs/dbraw/zinc/72/91/99/381729199.db2.gz NMOIVFNKTRDZLB-UHFFFAOYSA-N 0 0 296.326 2.800 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]2(CO)C[C@@H]2c2ccccc2)nc1 ZINC000291271991 381730553 /nfs/dbraw/zinc/73/05/53/381730553.db2.gz ZUMAFQUMRRWFKH-ZBFHGGJFSA-N 0 0 299.330 2.568 20 5 CFBDRN CCC[C@@H]1C[C@@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000360025272 381734380 /nfs/dbraw/zinc/73/43/80/381734380.db2.gz QKHFBXVCDYQQNV-MFKMUULPSA-N 0 0 292.335 2.656 20 5 CFBDRN CC/C=C/CCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000185816145 381743869 /nfs/dbraw/zinc/74/38/69/381743869.db2.gz NOVKDCVQMQKAFY-ONEGZZNKSA-N 0 0 262.265 2.859 20 5 CFBDRN Cc1cccnc1N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000348806650 381718475 /nfs/dbraw/zinc/71/84/75/381718475.db2.gz WJAJYHNJVUPFCT-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)Sc1ncnn1C ZINC000185738751 381719826 /nfs/dbraw/zinc/71/98/26/381719826.db2.gz RRYIPVODJWDIDC-VIFPVBQESA-N 0 0 278.337 2.885 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCO[C@@H](C4CC4)C3)ccc2c1 ZINC000372262254 381781876 /nfs/dbraw/zinc/78/18/76/381781876.db2.gz QFBQRLRFPWRRRY-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN CSc1cccc(C(=O)NCC(C)C)c1[N+](=O)[O-] ZINC000185945025 381784612 /nfs/dbraw/zinc/78/46/12/381784612.db2.gz DLZBYTFVOVMQEB-UHFFFAOYSA-N 0 0 268.338 2.703 20 5 CFBDRN C[C@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])C[C@H](C)O1 ZINC000291584437 381766615 /nfs/dbraw/zinc/76/66/15/381766615.db2.gz GPLFMEQZNNWKSD-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN CCOC1(C)CCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000291656715 381768205 /nfs/dbraw/zinc/76/82/05/381768205.db2.gz CJSIVGIEUXZJOR-UHFFFAOYSA-N 0 0 297.330 2.712 20 5 CFBDRN CCOc1cc(N(C)[C@@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000185881493 381768092 /nfs/dbraw/zinc/76/80/92/381768092.db2.gz NEWIOFFDJQFCAH-CYBMUJFWSA-N 0 0 296.367 2.837 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1ccc(C(F)F)cc1 ZINC000185887335 381768990 /nfs/dbraw/zinc/76/89/90/381768990.db2.gz BJHIOWCIBLBJCM-UHFFFAOYSA-N 0 0 280.230 2.742 20 5 CFBDRN CCCc1csc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000348931216 381770293 /nfs/dbraw/zinc/77/02/93/381770293.db2.gz PBZSCMWCVRHGTO-UHFFFAOYSA-N 0 0 280.309 2.584 20 5 CFBDRN CO[C@@](C)(CNc1nccc(C)c1[N+](=O)[O-])C1CC1 ZINC000292331677 381799521 /nfs/dbraw/zinc/79/95/21/381799521.db2.gz NCSQROGSPDZKMI-ZDUSSCGKSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000343525371 381772401 /nfs/dbraw/zinc/77/24/01/381772401.db2.gz WZDQMMXWODCPGG-IUCAKERBSA-N 0 0 285.731 2.728 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000343525370 381772575 /nfs/dbraw/zinc/77/25/75/381772575.db2.gz WZDQMMXWODCPGG-DTWKUNHWSA-N 0 0 285.731 2.728 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000343525372 381773765 /nfs/dbraw/zinc/77/37/65/381773765.db2.gz WZDQMMXWODCPGG-RKDXNWHRSA-N 0 0 285.731 2.728 20 5 CFBDRN COCC(C)(C)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000291838266 381774212 /nfs/dbraw/zinc/77/42/12/381774212.db2.gz LQWSIGJUPQHGGC-UHFFFAOYSA-N 0 0 280.324 2.882 20 5 CFBDRN Cc1[nH]nc2ncc(NCc3cccc([N+](=O)[O-])c3)cc12 ZINC000185910796 381775284 /nfs/dbraw/zinc/77/52/84/381775284.db2.gz KHSXVPFPDKBNBA-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN CCN(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(C)C ZINC000299093165 381821311 /nfs/dbraw/zinc/82/13/11/381821311.db2.gz USRDGCHGGSCZIZ-UHFFFAOYSA-N 0 0 275.308 2.947 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000299099360 381823432 /nfs/dbraw/zinc/82/34/32/381823432.db2.gz HWLVZJSRZWWONY-CYBMUJFWSA-N 0 0 291.351 2.702 20 5 CFBDRN Cn1c(-c2nc(Cc3cccs3)no2)ccc1[N+](=O)[O-] ZINC000356086306 381824580 /nfs/dbraw/zinc/82/45/80/381824580.db2.gz JOHMCYZKBBECDC-UHFFFAOYSA-N 0 0 290.304 2.636 20 5 CFBDRN CSC[C@@H](O)COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000349111325 381825602 /nfs/dbraw/zinc/82/56/02/381825602.db2.gz WOJWSMFVSNTVDI-QMMMGPOBSA-N 0 0 291.756 2.659 20 5 CFBDRN CCOC(=O)C[C@@H](C)N(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000343598595 381827684 /nfs/dbraw/zinc/82/76/84/381827684.db2.gz DHMWEWAKSWDGFJ-SNVBAGLBSA-N 0 0 298.314 2.507 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000299117092 381828418 /nfs/dbraw/zinc/82/84/18/381828418.db2.gz DKSAEVZMEJJSKC-GFCCVEGCSA-N 0 0 285.303 2.649 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000299117097 381828686 /nfs/dbraw/zinc/82/86/86/381828686.db2.gz DKSAEVZMEJJSKC-LBPRGKRZSA-N 0 0 285.303 2.649 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000299115095 381828904 /nfs/dbraw/zinc/82/89/04/381828904.db2.gz ZTQHTCDUFZTDRV-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN O=C(NCC1Cc2ccccc2C1)c1ccccc1[N+](=O)[O-] ZINC000343610191 381838487 /nfs/dbraw/zinc/83/84/87/381838487.db2.gz SUNPUTQZTOUOLM-UHFFFAOYSA-N 0 0 296.326 2.740 20 5 CFBDRN O=C(NCC1Cc2ccccc2C1)c1ccc([N+](=O)[O-])cc1 ZINC000343613119 381842522 /nfs/dbraw/zinc/84/25/22/381842522.db2.gz XDEXVVZDDNBYKN-UHFFFAOYSA-N 0 0 296.326 2.740 20 5 CFBDRN CC(C)n1nnnc1SCc1c(F)cccc1[N+](=O)[O-] ZINC000349040064 381801661 /nfs/dbraw/zinc/80/16/61/381801661.db2.gz RAWPBNGFDYHDRB-UHFFFAOYSA-N 0 0 297.315 2.594 20 5 CFBDRN CC(C)(NC(=O)C1=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000355762513 381803302 /nfs/dbraw/zinc/80/33/02/381803302.db2.gz UEHFTBBKJIJMSA-UHFFFAOYSA-N 0 0 260.293 2.666 20 5 CFBDRN CC(C)N(Cc1c([N+](=O)[O-])ncn1C)Cc1ccccc1 ZINC000355805668 381806966 /nfs/dbraw/zinc/80/69/66/381806966.db2.gz VMOIVCBAYBMAEB-UHFFFAOYSA-N 0 0 288.351 2.739 20 5 CFBDRN CSC1(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000355867536 381809942 /nfs/dbraw/zinc/80/99/42/381809942.db2.gz MQBWCMFGAZLTPC-VIFPVBQESA-N 0 0 280.349 2.668 20 5 CFBDRN Cc1ncsc1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000355939604 381815312 /nfs/dbraw/zinc/81/53/12/381815312.db2.gz AZSOAWGEBMPTAO-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000299080810 381817889 /nfs/dbraw/zinc/81/78/89/381817889.db2.gz ABXUJDYFJOSENZ-LBPRGKRZSA-N 0 0 293.367 2.823 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@@H](C)[C@H]1C ZINC000416081198 381876964 /nfs/dbraw/zinc/87/69/64/381876964.db2.gz QHCUGMMBTJAIPH-VWYCJHECSA-N 0 0 262.309 2.711 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCCO1 ZINC000186347740 381887191 /nfs/dbraw/zinc/88/71/91/381887191.db2.gz GMJBFENFHDYCQE-UHFFFAOYSA-N 0 0 278.289 2.587 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000299164868 381847727 /nfs/dbraw/zinc/84/77/27/381847727.db2.gz UGWUYLMUHRACII-SNVBAGLBSA-N 0 0 268.700 2.777 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CSC[C@H]2C)c([N+](=O)[O-])c1 ZINC000343632552 381855622 /nfs/dbraw/zinc/85/56/22/381855622.db2.gz OSQGXZBNFKFGQR-PELKAZGASA-N 0 0 280.349 2.961 20 5 CFBDRN Cc1ncc(CNc2ccc(Cl)cc2[N+](=O)[O-])c(=O)[nH]1 ZINC000349263232 381870854 /nfs/dbraw/zinc/87/08/54/381870854.db2.gz DTBRBYSVRDRBMA-UHFFFAOYSA-N 0 0 294.698 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)CC2CCCCC2)cc1 ZINC000299326828 381898049 /nfs/dbraw/zinc/89/80/49/381898049.db2.gz NDWVBTBVTAWGNQ-UHFFFAOYSA-N 0 0 283.349 2.949 20 5 CFBDRN CN(Cc1cccn1C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000349344359 381899311 /nfs/dbraw/zinc/89/93/11/381899311.db2.gz SHQSFVMATNCVMX-UHFFFAOYSA-N 0 0 288.307 2.597 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000299334988 381899687 /nfs/dbraw/zinc/89/96/87/381899687.db2.gz BDMVOHSBTBLXCW-ZJUUUORDSA-N 0 0 298.314 2.664 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC(C(F)F)CC1 ZINC000362090244 381904215 /nfs/dbraw/zinc/90/42/15/381904215.db2.gz MJZWHVKZLBMGNT-UHFFFAOYSA-N 0 0 298.289 2.641 20 5 CFBDRN Cc1ccc(C[C@H](C)NC(=O)c2cc([N+](=O)[O-])c[nH]2)s1 ZINC000349358124 381906405 /nfs/dbraw/zinc/90/64/05/381906405.db2.gz XMSGWLRHTKPZKS-QMMMGPOBSA-N 0 0 293.348 2.654 20 5 CFBDRN O=C(c1occ2c1CCC2)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000349368462 381912733 /nfs/dbraw/zinc/91/27/33/381912733.db2.gz RFKCTNXBAYJPNS-UHFFFAOYSA-N 0 0 298.298 2.879 20 5 CFBDRN Cc1ccc([C@@H](C)CNC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000349374088 381913897 /nfs/dbraw/zinc/91/38/97/381913897.db2.gz YDGYSOUXJBEJMC-NSHDSACASA-N 0 0 287.319 2.765 20 5 CFBDRN CCCN(CC)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000299375171 381915833 /nfs/dbraw/zinc/91/58/33/381915833.db2.gz UNZXORAMMWNNBI-UHFFFAOYSA-N 0 0 289.335 2.808 20 5 CFBDRN Cc1cc(NC(=O)C[C@H]2C[C@H]2C)c2cc([N+](=O)[O-])ccc2n1 ZINC000362114611 381916902 /nfs/dbraw/zinc/91/69/02/381916902.db2.gz USBMDYPLNWGGMZ-MWLCHTKSSA-N 0 0 299.330 2.858 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])o2)[C@@H]1C ZINC000362128327 381922381 /nfs/dbraw/zinc/92/23/81/381922381.db2.gz FEUQKZUNKVTXDE-GMTAPVOTSA-N 0 0 280.324 2.990 20 5 CFBDRN CN(Cc1ccoc1)Cc1c(F)cccc1[N+](=O)[O-] ZINC000349448873 381937824 /nfs/dbraw/zinc/93/78/24/381937824.db2.gz RQNPRXRIKDKSCJ-UHFFFAOYSA-N 0 0 264.256 2.959 20 5 CFBDRN CCC[C@H](NC(=O)c1cc([N+](=O)[O-])n[nH]1)c1ccccc1 ZINC000349478363 381943217 /nfs/dbraw/zinc/94/32/17/381943217.db2.gz VJQRPWQTLWJWKF-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000343789930 381945110 /nfs/dbraw/zinc/94/51/10/381945110.db2.gz BZYUCUCOLHCJHW-SNVBAGLBSA-N 0 0 289.339 2.510 20 5 CFBDRN CC1(C)CC[C@H]1Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000343800791 381950171 /nfs/dbraw/zinc/95/01/71/381950171.db2.gz ZYSHAPSTQWGKIH-SECBINFHSA-N 0 0 253.277 2.917 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1C[C@@H]1C ZINC000362191713 381954781 /nfs/dbraw/zinc/95/47/81/381954781.db2.gz REIMTEIKZNEYRR-VHSXEESVSA-N 0 0 278.308 2.978 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1C[C@H]1C(F)F ZINC000343873429 381996658 /nfs/dbraw/zinc/99/66/58/381996658.db2.gz UESCOADSWHVAPB-KOLCDFICSA-N 0 0 272.251 2.807 20 5 CFBDRN Cc1ccccc1C(C)(C)[NH2+]CCn1ccc([N+](=O)[O-])n1 ZINC000293133336 382001749 /nfs/dbraw/zinc/00/17/49/382001749.db2.gz ZXOQMWZUJHVXKE-UHFFFAOYSA-N 0 0 288.351 2.625 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)Nc1ccc([N+](=O)[O-])cc1F ZINC000417125747 382003747 /nfs/dbraw/zinc/00/37/47/382003747.db2.gz BYICINVABJHPLA-MRVPVSSYSA-N 0 0 279.271 2.656 20 5 CFBDRN CC(C)SCCNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000343852078 381983315 /nfs/dbraw/zinc/98/33/15/381983315.db2.gz KBVUPGVNPXNYIA-UHFFFAOYSA-N 0 0 292.364 2.513 20 5 CFBDRN COc1cc(NCCOCC2CC2)c([N+](=O)[O-])cc1F ZINC000343857591 381984242 /nfs/dbraw/zinc/98/42/42/381984242.db2.gz URPMWNSDASIODR-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN C[C@H](O)CN(Cc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000299565010 381985111 /nfs/dbraw/zinc/98/51/11/381985111.db2.gz AOXPHWXLQZZPED-ZDUSSCGKSA-N 0 0 286.331 2.982 20 5 CFBDRN CCOC(C)(C)CNc1ccc([N+](=O)[O-])cc1COC ZINC000186789061 382015254 /nfs/dbraw/zinc/01/52/54/382015254.db2.gz UDGUEEXMBRTDRJ-UHFFFAOYSA-N 0 0 282.340 2.968 20 5 CFBDRN COCC[C@@H](C)CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000417062098 381994228 /nfs/dbraw/zinc/99/42/28/381994228.db2.gz GLQTTXQTQHDEMS-SECBINFHSA-N 0 0 299.302 2.528 20 5 CFBDRN Cc1cc(CNc2c(Cl)cccc2[N+](=O)[O-])n(C)n1 ZINC000293247005 382046865 /nfs/dbraw/zinc/04/68/65/382046865.db2.gz WLNNOPGNANRHRP-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cc1ccnc(C)c1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000362397390 382050465 /nfs/dbraw/zinc/05/04/65/382050465.db2.gz QEWSWDBBRPULPE-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cc(CCNc2ncc(Cl)cc2[N+](=O)[O-])on1 ZINC000343985774 382050701 /nfs/dbraw/zinc/05/07/01/382050701.db2.gz MOBQCDMNUUDCMN-UHFFFAOYSA-N 0 0 282.687 2.594 20 5 CFBDRN COC[C@@H]1CCCCN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000375139800 382069433 /nfs/dbraw/zinc/06/94/33/382069433.db2.gz KDFNFCXZXJYKPX-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@@H](C)C1CC1 ZINC000299663388 382019452 /nfs/dbraw/zinc/01/94/52/382019452.db2.gz QWBIXVUGGHPYLT-JTQLQIEISA-N 0 0 262.309 2.774 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2C(F)F)c(Cl)c1 ZINC000343948574 382033602 /nfs/dbraw/zinc/03/36/02/382033602.db2.gz IDQTZURHRQGCQH-MRVPVSSYSA-N 0 0 277.658 2.877 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@@H]2C2CC2)nc2sccn21 ZINC000362373545 382033613 /nfs/dbraw/zinc/03/36/13/382033613.db2.gz MMMIHVYVNFHVRQ-HTQZYQBOSA-N 0 0 264.310 2.514 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3C[C@@H]3C3CC3)c21 ZINC000362374382 382034602 /nfs/dbraw/zinc/03/46/02/382034602.db2.gz ACWMSPATWGIDBF-KOLCDFICSA-N 0 0 270.292 2.748 20 5 CFBDRN Cc1cccc(Sc2ncc([N+](=O)[O-])cc2C(N)=O)c1 ZINC000301057080 382068646 /nfs/dbraw/zinc/06/86/46/382068646.db2.gz AAGXRZFEVYTNBE-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN Cc1ccnc(CNC(=O)c2cccc([N+](=O)[O-])c2C)c1 ZINC000357351536 382128108 /nfs/dbraw/zinc/12/81/08/382128108.db2.gz HHEJBVDKQQOZHL-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(/C=C\c3ccco3)n2)nc1 ZINC000357330911 382111890 /nfs/dbraw/zinc/11/18/90/382111890.db2.gz QKYDEGLTKFEMCC-WAYWQWQTSA-N 0 0 298.258 2.727 20 5 CFBDRN O=Cc1ccc(Oc2ccc3nccnc3n2)c([N+](=O)[O-])c1 ZINC000301229328 382112554 /nfs/dbraw/zinc/11/25/54/382112554.db2.gz DOHBTEJVKVHFRS-UHFFFAOYSA-N 0 0 296.242 2.538 20 5 CFBDRN C[C@@H]1N(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCOC1(C)C ZINC000357335802 382115669 /nfs/dbraw/zinc/11/56/69/382115669.db2.gz NIAQSFZNNGCMOH-JTQLQIEISA-N 0 0 293.323 2.626 20 5 CFBDRN CN(Cc1ccco1)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000357337027 382117878 /nfs/dbraw/zinc/11/78/78/382117878.db2.gz NHJQUQGFXIPKHN-UHFFFAOYSA-N 0 0 284.275 2.767 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301249270 382120561 /nfs/dbraw/zinc/12/05/61/382120561.db2.gz RFEIJMPNYXFLCN-PRHODGIISA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1nnc(Sc2nccc(C)c2[N+](=O)[O-])s1 ZINC000301263769 382128839 /nfs/dbraw/zinc/12/88/39/382128839.db2.gz GAHTZQFGAPLMIV-UHFFFAOYSA-N 0 0 268.323 2.609 20 5 CFBDRN CN(Cc1cccnc1)c1ncc([N+](=O)[O-])cc1Cl ZINC000301251748 382122332 /nfs/dbraw/zinc/12/23/32/382122332.db2.gz BSFZXGVEHXXMFE-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN C[C@@H]1CN(CC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])[C@@H]1C ZINC000293307611 382071907 /nfs/dbraw/zinc/07/19/07/382071907.db2.gz FHSBKACUHMGNLF-RKDXNWHRSA-N 0 0 297.742 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc[n+]2[O-])c2ncccc12 ZINC000301082391 382077256 /nfs/dbraw/zinc/07/72/56/382077256.db2.gz OIXLTIMHOCJSKW-UHFFFAOYSA-N 0 0 283.243 2.569 20 5 CFBDRN Cc1ccnc(NCCN(C)c2ccccc2)c1[N+](=O)[O-] ZINC000301262679 382126345 /nfs/dbraw/zinc/12/63/45/382126345.db2.gz FTZXJWAZEJUECI-UHFFFAOYSA-N 0 0 286.335 2.847 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1Oc1ncnc2n[nH]cc21 ZINC000301091437 382079057 /nfs/dbraw/zinc/07/90/57/382079057.db2.gz RZRIKEWXUFZQIM-UHFFFAOYSA-N 0 0 291.654 2.707 20 5 CFBDRN Cc1cc(Oc2cccnc2[N+](=O)[O-])ccc1[N+](=O)[O-] ZINC000301088731 382079465 /nfs/dbraw/zinc/07/94/65/382079465.db2.gz LFIYSQKGJFPMNW-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN Cc1cc(NC[C@H](C)Cn2cccn2)ccc1[N+](=O)[O-] ZINC000301098966 382081211 /nfs/dbraw/zinc/08/12/11/382081211.db2.gz NPQHYPPVTMDUQE-NSHDSACASA-N 0 0 274.324 2.848 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cc1)Cn1cccn1 ZINC000301108376 382082649 /nfs/dbraw/zinc/08/26/49/382082649.db2.gz QRIOBKPWRQXLAC-NSHDSACASA-N 0 0 260.297 2.540 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1ccc([N+](=O)[O-])cc1F ZINC000301107417 382083419 /nfs/dbraw/zinc/08/34/19/382083419.db2.gz WIMOBDCLUNYFSR-UHFFFAOYSA-N 0 0 292.314 2.739 20 5 CFBDRN CC1(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)CCCCC1 ZINC000357269663 382084310 /nfs/dbraw/zinc/08/43/10/382084310.db2.gz CKKAZUMBGDFOJF-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN Cc1nc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c(C)o1 ZINC000293337641 382084395 /nfs/dbraw/zinc/08/43/95/382084395.db2.gz KWFJZMPXVZDTDP-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN Cc1cc(C)n([C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000357294075 382092970 /nfs/dbraw/zinc/09/29/70/382092970.db2.gz IDBQLHPXHTYCHT-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN Nc1nc(N[C@@H](c2ccccc2)C2CCC2)ncc1[N+](=O)[O-] ZINC000301164576 382096438 /nfs/dbraw/zinc/09/64/38/382096438.db2.gz CGCWJGMUNSBORB-ZDUSSCGKSA-N 0 0 299.334 2.920 20 5 CFBDRN Cc1nnsc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000293368705 382097273 /nfs/dbraw/zinc/09/72/73/382097273.db2.gz HTBLSBCVRVSRGF-MRVPVSSYSA-N 0 0 278.337 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H](O)Cc1ccccc1 ZINC000301172876 382098304 /nfs/dbraw/zinc/09/83/04/382098304.db2.gz FPHLUSDTQDHFIF-CYBMUJFWSA-N 0 0 290.294 2.749 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCOC(C)(C)C1 ZINC000301171429 382098368 /nfs/dbraw/zinc/09/83/68/382098368.db2.gz KDTLKEGWUDAWJB-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN CCCCN(CC)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344176432 382181467 /nfs/dbraw/zinc/18/14/67/382181467.db2.gz HCAVQGZITMKERR-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN C[C@H]1CC[C@H](C)N1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301623053 382182425 /nfs/dbraw/zinc/18/24/25/382182425.db2.gz OKJMFOFHDTYVAQ-IUCAKERBSA-N 0 0 265.269 2.880 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C=CCCC2)nc2sccn21 ZINC000301633196 382182600 /nfs/dbraw/zinc/18/26/00/382182600.db2.gz VATIOSXOZYMHRG-MRVPVSSYSA-N 0 0 264.310 2.825 20 5 CFBDRN Cc1nn(C)c(N2CCc3c2cccc3Cl)c1[N+](=O)[O-] ZINC000301611858 382182620 /nfs/dbraw/zinc/18/26/20/382182620.db2.gz RUHJSKLEOSRLBD-UHFFFAOYSA-N 0 0 292.726 2.984 20 5 CFBDRN C[C@@H]1CCCN1Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000352016337 382131846 /nfs/dbraw/zinc/13/18/46/382131846.db2.gz WPUKABQCVCQCSQ-MRVPVSSYSA-N 0 0 256.252 2.857 20 5 CFBDRN C[C@H]1CCCN1Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000352016338 382132462 /nfs/dbraw/zinc/13/24/62/382132462.db2.gz WPUKABQCVCQCSQ-QMMMGPOBSA-N 0 0 256.252 2.857 20 5 CFBDRN O=C(C[C@@H]1C=CCCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000187222628 382133438 /nfs/dbraw/zinc/13/34/38/382133438.db2.gz QTXUEFREDPGGDA-GFCCVEGCSA-N 0 0 274.320 2.957 20 5 CFBDRN Cc1ccnc(NCCc2ccc3c(c2)CCO3)c1[N+](=O)[O-] ZINC000301276792 382133649 /nfs/dbraw/zinc/13/36/49/382133649.db2.gz JDDDZJKIILTOHW-UHFFFAOYSA-N 0 0 299.330 2.888 20 5 CFBDRN CN(C)c1ccc(Nc2ncc([N+](=O)[O-])cc2Cl)cn1 ZINC000301280308 382135538 /nfs/dbraw/zinc/13/55/38/382135538.db2.gz NYORJTGSBQIGEB-UHFFFAOYSA-N 0 0 293.714 2.848 20 5 CFBDRN CCc1cc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])on1 ZINC000301331665 382150844 /nfs/dbraw/zinc/15/08/44/382150844.db2.gz DSIYTRQQLSHYHK-UHFFFAOYSA-N 0 0 292.251 2.666 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N1CC[C@@H](C)C1 ZINC000301341856 382152351 /nfs/dbraw/zinc/15/23/51/382152351.db2.gz GRSCYKJOBYPZTK-SECBINFHSA-N 0 0 296.298 2.757 20 5 CFBDRN C[C@]1(CO)CCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000301346044 382154878 /nfs/dbraw/zinc/15/48/78/382154878.db2.gz IAEGWHWMWJFQDP-QWHCGFSZSA-N 0 0 250.298 2.558 20 5 CFBDRN COc1cc(CCNc2ncccc2[N+](=O)[O-])ccc1C ZINC000301374451 382161785 /nfs/dbraw/zinc/16/17/85/382161785.db2.gz VEWDVBSQDFGEQE-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1ccnc(N2C[C@@H]3CC=CC[C@@H]3C2)c1[N+](=O)[O-] ZINC000301410243 382170515 /nfs/dbraw/zinc/17/05/15/382170515.db2.gz JTDAKUQSCOYENT-TXEJJXNPSA-N 0 0 259.309 2.701 20 5 CFBDRN CO[C@]1(C)C[C@H](N(C)c2ncccc2[N+](=O)[O-])C1(C)C ZINC000301430134 382171126 /nfs/dbraw/zinc/17/11/26/382171126.db2.gz JIUFIGOXFFYFKE-SMDDNHRTSA-N 0 0 279.340 2.630 20 5 CFBDRN Cc1cccc(C(=O)Nc2cccc3nccn32)c1[N+](=O)[O-] ZINC000357441131 382172491 /nfs/dbraw/zinc/17/24/91/382172491.db2.gz PTDIVSMFISCDEU-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CCO1 ZINC000301855390 382197542 /nfs/dbraw/zinc/19/75/42/382197542.db2.gz CSDBNWBDPHNHPZ-LLVKDONJSA-N 0 0 294.351 2.997 20 5 CFBDRN CC[C@H](O)CCCNc1ccc([N+](=O)[O-])cc1C ZINC000357517542 382203018 /nfs/dbraw/zinc/20/30/18/382203018.db2.gz UGITZSQURFATSZ-LBPRGKRZSA-N 0 0 252.314 2.866 20 5 CFBDRN O=C(Nc1ccc(Cl)cc1)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000187538771 382205719 /nfs/dbraw/zinc/20/57/19/382205719.db2.gz VEQFYKBRJYFVBW-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@H]1CC12CC2 ZINC000418979819 382205914 /nfs/dbraw/zinc/20/59/14/382205914.db2.gz NXPRUHUJGZRQIV-LLVKDONJSA-N 0 0 269.304 2.777 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC[C@H]2CC23CC3)s1 ZINC000418985294 382208038 /nfs/dbraw/zinc/20/80/38/382208038.db2.gz WGFJHXBQINLJMD-SSDOTTSWSA-N 0 0 276.321 2.812 20 5 CFBDRN Cc1cc(C)c(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)[nH]1 ZINC000352178314 382209096 /nfs/dbraw/zinc/20/90/96/382209096.db2.gz LSFXBRPHMORFGD-UHFFFAOYSA-N 0 0 277.255 2.931 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NC[C@@H]3CC34CC4)cc2N1 ZINC000418997608 382210843 /nfs/dbraw/zinc/21/08/43/382210843.db2.gz UQLXZGZWTBVHAM-JTQLQIEISA-N 0 0 287.319 2.692 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])c(C)n1)[C@@H]1CC1(C)C ZINC000418999895 382212697 /nfs/dbraw/zinc/21/26/97/382212697.db2.gz RGSREOMDMAWFNZ-VHSXEESVSA-N 0 0 264.329 2.930 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])c(C)n1)[C@H]1CC1(C)C ZINC000418999888 382212748 /nfs/dbraw/zinc/21/27/48/382212748.db2.gz RGSREOMDMAWFNZ-NXEZZACHSA-N 0 0 264.329 2.930 20 5 CFBDRN C/C=C/c1ccc(Nc2nccc(C(N)=O)c2[N+](=O)[O-])cc1 ZINC000357535065 382212877 /nfs/dbraw/zinc/21/28/77/382212877.db2.gz OOFIJURVMONNGK-NSCUHMNNSA-N 0 0 298.302 2.865 20 5 CFBDRN CCC[C@@H](CNc1nc2cc([N+](=O)[O-])ccc2[nH]1)OC ZINC000293650138 382215135 /nfs/dbraw/zinc/21/51/35/382215135.db2.gz KLLRNDSHPOHESO-JTQLQIEISA-N 0 0 278.312 2.698 20 5 CFBDRN COCCCCN(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000419018869 382217974 /nfs/dbraw/zinc/21/79/74/382217974.db2.gz UINZREFXBSOTKR-UHFFFAOYSA-N 0 0 252.314 2.766 20 5 CFBDRN CC(C)(C(=O)NCC1(C)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000357558544 382224707 /nfs/dbraw/zinc/22/47/07/382224707.db2.gz JLSQUSDEMDWOOV-UHFFFAOYSA-N 0 0 276.336 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1NC[C@@H]1CCc2nccn2C1 ZINC000377143684 382225860 /nfs/dbraw/zinc/22/58/60/382225860.db2.gz VXQFUBWKILASOR-JTQLQIEISA-N 0 0 290.298 2.605 20 5 CFBDRN Cc1ccnc(NCc2ccc3cc[nH]c3n2)c1[N+](=O)[O-] ZINC000357560512 382226193 /nfs/dbraw/zinc/22/61/93/382226193.db2.gz SGKZGGIJSGKMQG-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN CCOC(=O)N1CC[C@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000302242151 382228281 /nfs/dbraw/zinc/22/82/81/382228281.db2.gz ITPKSBOXROBNQI-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC1(CO)CCCC1 ZINC000302252919 382229908 /nfs/dbraw/zinc/22/99/08/382229908.db2.gz NMUYKSCHDFKRLK-UHFFFAOYSA-N 0 0 294.351 2.706 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC3(CCC3(F)F)C2)n1 ZINC000357575737 382235345 /nfs/dbraw/zinc/23/53/45/382235345.db2.gz AWAXROITNWLUPV-UHFFFAOYSA-N 0 0 283.278 2.842 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000352131978 382187405 /nfs/dbraw/zinc/18/74/05/382187405.db2.gz XOXSVAPNPRXQDR-VIFPVBQESA-N 0 0 294.355 2.579 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344190364 382189178 /nfs/dbraw/zinc/18/91/78/382189178.db2.gz REWSLYUEXXWKSW-IUCAKERBSA-N 0 0 268.288 2.898 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccccn1 ZINC000344193717 382189679 /nfs/dbraw/zinc/18/96/79/382189679.db2.gz ZPZASSVYFQIPLJ-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN COC1(COc2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000418924518 382194360 /nfs/dbraw/zinc/19/43/60/382194360.db2.gz PEURWGBNDFZWPV-UHFFFAOYSA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccn(C)c1 ZINC000357684696 382277064 /nfs/dbraw/zinc/27/70/64/382277064.db2.gz CECPZZOOIRNTNN-UHFFFAOYSA-N 0 0 288.307 2.563 20 5 CFBDRN CO[C@@H](C)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000302619545 382278255 /nfs/dbraw/zinc/27/82/55/382278255.db2.gz DGPLBXNQWYLSLG-ZETCQYMHSA-N 0 0 276.239 2.643 20 5 CFBDRN CCn1ccc(CN(C)c2nccc(C)c2[N+](=O)[O-])c1 ZINC000302646335 382282027 /nfs/dbraw/zinc/28/20/27/382282027.db2.gz ATDAWBNRUYUFMV-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN Cc1ccc(-c2ccncc2)cc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000377514476 382282458 /nfs/dbraw/zinc/28/24/58/382282458.db2.gz ZNDRHBOSOYEALK-UKRRQHHQSA-N 0 0 297.314 2.661 20 5 CFBDRN CC[C@H](Nc1nc2ccccc2cc1[N+](=O)[O-])c1ncc[nH]1 ZINC000357695936 382283477 /nfs/dbraw/zinc/28/34/77/382283477.db2.gz JPKGYCHDVKYZLS-NSHDSACASA-N 0 0 297.318 2.851 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCC1CCC1 ZINC000352355567 382287256 /nfs/dbraw/zinc/28/72/56/382287256.db2.gz IJLNWCWNDLBLJH-UHFFFAOYSA-N 0 0 257.293 2.777 20 5 CFBDRN CSC[C@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352360971 382288789 /nfs/dbraw/zinc/28/87/89/382288789.db2.gz PIBBIXXSGJIIIQ-VIFPVBQESA-N 0 0 277.349 2.728 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCCO[C@@H]2CCC[C@H]21 ZINC000363692567 382241417 /nfs/dbraw/zinc/24/14/17/382241417.db2.gz NUNJPKPSMKCEOC-HUUCEWRRSA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1cnc(OC[C@H]2CCC(C)(C)O2)c([N+](=O)[O-])c1 ZINC000187691720 382250259 /nfs/dbraw/zinc/25/02/59/382250259.db2.gz KUFJDAYJLSBLDQ-SNVBAGLBSA-N 0 0 266.297 2.635 20 5 CFBDRN C[C@@H](C1CC1)n1cc(Nc2ccc([N+](=O)[O-])cn2)cn1 ZINC000302438661 382250824 /nfs/dbraw/zinc/25/08/24/382250824.db2.gz PYOATDAODUAMRX-VIFPVBQESA-N 0 0 273.296 2.901 20 5 CFBDRN CCOc1cc(Oc2ccc(C)[n+]([O-])c2)ccc1[N+](=O)[O-] ZINC000302479454 382255073 /nfs/dbraw/zinc/25/50/73/382255073.db2.gz WANGTMOOIGGZIA-UHFFFAOYSA-N 0 0 290.275 2.728 20 5 CFBDRN Cn1nc(Nc2c(F)cc([N+](=O)[O-])cc2F)cc1C1CC1 ZINC000357621852 382255543 /nfs/dbraw/zinc/25/55/43/382255543.db2.gz LXAZWGLDBKZHCK-UHFFFAOYSA-N 0 0 294.261 2.649 20 5 CFBDRN O=C(Nc1cccc2c1CCO2)c1ccc([N+](=O)[O-])cc1 ZINC000357714454 382290804 /nfs/dbraw/zinc/29/08/04/382290804.db2.gz WBKDSOYUDFJAEF-UHFFFAOYSA-N 0 0 284.271 2.782 20 5 CFBDRN COc1ccc(F)cc1CNc1ccc([N+](=O)[O-])cn1 ZINC000302492469 382257648 /nfs/dbraw/zinc/25/76/48/382257648.db2.gz IXKATDJERZLTFZ-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CCOC(=O)N1CC[C@@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000302500439 382258086 /nfs/dbraw/zinc/25/80/86/382258086.db2.gz OGVJDXCMEOUDDD-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN COc1cccc(NC[C@@H]2CCC(C)(C)O2)c1[N+](=O)[O-] ZINC000302491647 382258406 /nfs/dbraw/zinc/25/84/06/382258406.db2.gz ZVFKSNFQKBPVLV-JTQLQIEISA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1ccc(-c2nc(Cn3cc([N+](=O)[O-])cn3)co2)cc1 ZINC000352277829 382259601 /nfs/dbraw/zinc/25/96/01/382259601.db2.gz GWGGSIKTVCTLJV-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000302522164 382262297 /nfs/dbraw/zinc/26/22/97/382262297.db2.gz JDYIMDDZPWRONH-ZJUUUORDSA-N 0 0 293.323 2.767 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NOC[C@H](C)CC)c1 ZINC000293748161 382263084 /nfs/dbraw/zinc/26/30/84/382263084.db2.gz OVTCLPIBRSFDIK-SNVBAGLBSA-N 0 0 296.323 2.701 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000302534022 382263702 /nfs/dbraw/zinc/26/37/02/382263702.db2.gz GCJCIFQCLNTDPF-IRUJWGPZSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000302534027 382263827 /nfs/dbraw/zinc/26/38/27/382263827.db2.gz GCJCIFQCLNTDPF-UFGOTCBOSA-N 0 0 266.272 2.713 20 5 CFBDRN COc1cc(C(=O)NC2(C(C)C)CC2)ccc1[N+](=O)[O-] ZINC000293816878 382291231 /nfs/dbraw/zinc/29/12/31/382291231.db2.gz CORKDHXARZTADX-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCNc1ncncc1Cl ZINC000302554860 382268612 /nfs/dbraw/zinc/26/86/12/382268612.db2.gz LAEKMRKVKDDJLF-UHFFFAOYSA-N 0 0 293.714 2.562 20 5 CFBDRN Cc1c(C(=O)NC[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000352306333 382268788 /nfs/dbraw/zinc/26/87/88/382268788.db2.gz WPCFZCFZKGKNJA-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCCOCC1 ZINC000377466431 382273305 /nfs/dbraw/zinc/27/33/05/382273305.db2.gz YOXLOPNAORFLAC-UHFFFAOYSA-N 0 0 299.714 2.502 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(C3CC3)cc2)nc1 ZINC000302735722 382306702 /nfs/dbraw/zinc/30/67/02/382306702.db2.gz WNHICLMMFSJEOP-UHFFFAOYSA-N 0 0 270.292 2.874 20 5 CFBDRN CC(=O)c1ccc(N[C@H](CO)C2CCCC2)c([N+](=O)[O-])c1 ZINC000357775364 382314889 /nfs/dbraw/zinc/31/48/89/382314889.db2.gz PCQXRCAXZSGKGN-CQSZACIVSA-N 0 0 292.335 2.760 20 5 CFBDRN COC(=O)c1cnc(N[C@@H]2CCC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000302788971 382319572 /nfs/dbraw/zinc/31/95/72/382319572.db2.gz XTNABKVBQQMKGA-GXSJLCMTSA-N 0 0 293.323 2.767 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CC[C@H](OC)C1 ZINC000302793522 382320625 /nfs/dbraw/zinc/32/06/25/382320625.db2.gz ZVOBBLWMGQWJRL-YPMHNXCESA-N 0 0 280.324 2.721 20 5 CFBDRN Cc1nnsc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1C ZINC000293888267 382323489 /nfs/dbraw/zinc/32/34/89/382323489.db2.gz ZAHVPCIMSNSAFR-VIFPVBQESA-N 0 0 292.364 2.914 20 5 CFBDRN Cc1cc(N2CCCO[C@@H](C(C)C)C2)ncc1[N+](=O)[O-] ZINC000293887744 382323732 /nfs/dbraw/zinc/32/37/32/382323732.db2.gz BSSVELPRWMWSFH-CYBMUJFWSA-N 0 0 279.340 2.550 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000293899938 382328099 /nfs/dbraw/zinc/32/80/99/382328099.db2.gz FTGCAFNGHBKSHE-LLVKDONJSA-N 0 0 287.319 2.630 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCc1ncccn1 ZINC000188078195 382339324 /nfs/dbraw/zinc/33/93/24/382339324.db2.gz KFITVHLJDKMSRZ-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302879023 382342326 /nfs/dbraw/zinc/34/23/26/382342326.db2.gz HJQAKMGFIUWWQO-MEBBXXQBSA-N 0 0 293.367 2.772 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000352457047 382342904 /nfs/dbraw/zinc/34/29/04/382342904.db2.gz SUBLATSCPZZZQI-VIFPVBQESA-N 0 0 263.297 2.762 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000352455926 382343270 /nfs/dbraw/zinc/34/32/70/382343270.db2.gz JXQPXRFCEHEMNN-SECBINFHSA-N 0 0 263.297 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@H]1CCC[C@H](O)C1)CCN2 ZINC000302884118 382343495 /nfs/dbraw/zinc/34/34/95/382343495.db2.gz LLTHBUPKIHDNHR-QWRGUYRKSA-N 0 0 291.351 2.526 20 5 CFBDRN COCCN(c1cc(C)c([N+](=O)[O-])cn1)[C@@H]1CC[C@@H](C)C1 ZINC000302702311 382296375 /nfs/dbraw/zinc/29/63/75/382296375.db2.gz IKGWOMBGFJTQSE-DGCLKSJQSA-N 0 0 293.367 2.940 20 5 CFBDRN CN(Cc1cscn1)c1ncc([N+](=O)[O-])cc1Cl ZINC000346402918 382349467 /nfs/dbraw/zinc/34/94/67/382349467.db2.gz PANYZSULOLTSEB-UHFFFAOYSA-N 0 0 284.728 2.736 20 5 CFBDRN CC(C)(C)CCCCNC(=O)c1cc([N+](=O)[O-])c[nH]c1=O ZINC000303247312 382353642 /nfs/dbraw/zinc/35/36/42/382353642.db2.gz MAJQADRSITZSGG-UHFFFAOYSA-N 0 0 295.339 2.642 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CCNC(=O)c1ccc([N+](=O)[O-])n1C ZINC000357869937 382357616 /nfs/dbraw/zinc/35/76/16/382357616.db2.gz YFWGJVFRIDRVQV-VXGBXAGGSA-N 0 0 293.367 2.880 20 5 CFBDRN Cc1nccnc1[C@@H](C)NCc1ccc([N+](=O)[O-])cc1 ZINC000188171025 382360590 /nfs/dbraw/zinc/36/05/90/382360590.db2.gz KZFAJCZNSWCVFY-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000357885797 382362087 /nfs/dbraw/zinc/36/20/87/382362087.db2.gz WGFRUCYGOQKKNR-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN O=C(NCCc1ccccc1Cl)c1cc([N+](=O)[O-])c[nH]1 ZINC000346462309 382372592 /nfs/dbraw/zinc/37/25/92/382372592.db2.gz IWYSBGRRYPMMIP-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN CC(C)[C@@]1(CO)CCN(c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000377977145 382377686 /nfs/dbraw/zinc/37/76/86/382377686.db2.gz LYIPDBGSCJDFNG-AWEZNQCLSA-N 0 0 282.315 2.579 20 5 CFBDRN CC(C)C(C)(C)CNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420608327 382423166 /nfs/dbraw/zinc/42/31/66/382423166.db2.gz CZUOASNTCOIHCW-UHFFFAOYSA-N 0 0 279.340 2.788 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCC(C)(F)F ZINC000294121137 382423649 /nfs/dbraw/zinc/42/36/49/382423649.db2.gz DREFEYPBCACLKJ-UHFFFAOYSA-N 0 0 262.260 2.577 20 5 CFBDRN COc1ccc(NC(=O)c2cnccc2C)c([N+](=O)[O-])c1 ZINC000358051786 382430910 /nfs/dbraw/zinc/43/09/10/382430910.db2.gz RTNAINRSTIIHDA-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CCC1CC1 ZINC000346597431 382431053 /nfs/dbraw/zinc/43/10/53/382431053.db2.gz PWYOVIKUMJKMIF-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000378316169 382433177 /nfs/dbraw/zinc/43/31/77/382433177.db2.gz WFMQYHLVQBWIJX-CQSZACIVSA-N 0 0 288.347 2.704 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCCOCC1CC1)CCN2 ZINC000188552159 382433621 /nfs/dbraw/zinc/43/36/21/382433621.db2.gz RHPLPJGYJXOILJ-UHFFFAOYSA-N 0 0 291.351 2.791 20 5 CFBDRN CC[C@@H]1CN(c2c([N+](=O)[O-])nc(C)n2CC)C[C@H](CC)O1 ZINC000420638266 382439678 /nfs/dbraw/zinc/43/96/78/382439678.db2.gz WXBPNSHZRJDQDG-TXEJJXNPSA-N 0 0 296.371 2.513 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420640741 382441247 /nfs/dbraw/zinc/44/12/47/382441247.db2.gz RTLDYHBEBBGTIL-MWLCHTKSSA-N 0 0 277.324 2.540 20 5 CFBDRN CCc1ccc(C(=O)NC(C)(C)CCOC)cc1[N+](=O)[O-] ZINC000352592975 382408531 /nfs/dbraw/zinc/40/85/31/382408531.db2.gz LHIWCBHMWQGONS-UHFFFAOYSA-N 0 0 294.351 2.702 20 5 CFBDRN CN(CC1CCCCC1)c1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420590683 382414319 /nfs/dbraw/zinc/41/43/19/382414319.db2.gz WBBLCXNXZWPLHL-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN CC(C)C(C)(C)CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000188475578 382420672 /nfs/dbraw/zinc/42/06/72/382420672.db2.gz MTWCMAXVLHCMBR-UHFFFAOYSA-N 0 0 279.340 2.788 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000378403178 382455827 /nfs/dbraw/zinc/45/58/27/382455827.db2.gz NNBXUWKCHDXLRL-OLZOCXBDSA-N 0 0 291.351 2.633 20 5 CFBDRN COc1ccccc1[C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294209318 382461010 /nfs/dbraw/zinc/46/10/10/382461010.db2.gz CCUZCLHHSMTFHA-LLVKDONJSA-N 0 0 294.351 2.708 20 5 CFBDRN Cc1[nH]ccc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294228042 382468263 /nfs/dbraw/zinc/46/82/63/382468263.db2.gz VGVGWAWIQUIKPD-UHFFFAOYSA-N 0 0 287.319 2.896 20 5 CFBDRN Cc1ccnc(N2CC(Cc3ccccc3)C2)c1[N+](=O)[O-] ZINC000378490898 382472748 /nfs/dbraw/zinc/47/27/48/382472748.db2.gz DNTXKDFGQRQLNA-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000294243575 382474289 /nfs/dbraw/zinc/47/42/89/382474289.db2.gz ZYZCMUGIZJLFRT-LLVKDONJSA-N 0 0 278.308 2.536 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000358160693 382476797 /nfs/dbraw/zinc/47/67/97/382476797.db2.gz MOIHLTXOGDEMOG-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCCc2occc21)[N+](=O)[O-] ZINC000294272466 382488043 /nfs/dbraw/zinc/48/80/43/382488043.db2.gz HEMGHWGREWBFJH-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@@H]1COCC[C@H]1[NH2+]Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000311511283 382506423 /nfs/dbraw/zinc/50/64/23/382506423.db2.gz SJWXVRBVFWTKLV-BXKDBHETSA-N 0 0 284.743 2.763 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN1CCC[C@H]1C(F)F ZINC000353173792 382512409 /nfs/dbraw/zinc/51/24/09/382512409.db2.gz PIOHHIJDPRRILR-NSHDSACASA-N 0 0 286.278 2.703 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2C(F)F)cc1 ZINC000353173090 382512561 /nfs/dbraw/zinc/51/25/61/382512561.db2.gz OFPLJFXKTWWHIM-NSHDSACASA-N 0 0 256.252 2.824 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC(C)C ZINC000421416734 382528682 /nfs/dbraw/zinc/52/86/82/382528682.db2.gz NWYFETBFCOLTKW-UHFFFAOYSA-N 0 0 250.298 2.597 20 5 CFBDRN Cc1ccc(OCC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000189110744 382545103 /nfs/dbraw/zinc/54/51/03/382545103.db2.gz XGKDYRSAABRYLK-NSHDSACASA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000421482290 382553471 /nfs/dbraw/zinc/55/34/71/382553471.db2.gz KDUIITXVXRDZNA-CMPLNLGQSA-N 0 0 274.320 2.881 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000421482202 382553629 /nfs/dbraw/zinc/55/36/29/382553629.db2.gz QRMSWOSZXZOCRX-MGPQQGTHSA-N 0 0 288.347 2.690 20 5 CFBDRN CC[C@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000358295943 382554021 /nfs/dbraw/zinc/55/40/21/382554021.db2.gz RYWDGFIRAJMHAL-VIFPVBQESA-N 0 0 265.313 2.501 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@](C)(COC)C1 ZINC000294968573 382557103 /nfs/dbraw/zinc/55/71/03/382557103.db2.gz NSTNKGSHWXYVEA-OAHLLOKOSA-N 0 0 294.351 2.604 20 5 CFBDRN COc1cc(N[C@@H](C)CC(C)(C)O)c(F)cc1[N+](=O)[O-] ZINC000384811858 382558160 /nfs/dbraw/zinc/55/81/60/382558160.db2.gz CLPPGBGGDIYQFL-QMMMGPOBSA-N 0 0 286.303 2.704 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000421498213 382559500 /nfs/dbraw/zinc/55/95/00/382559500.db2.gz SQCDQLVWBLUYHZ-MGPQQGTHSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000421500589 382560464 /nfs/dbraw/zinc/56/04/64/382560464.db2.gz DMNRPVOXFANUPX-SCRDCRAPSA-N 0 0 288.347 2.956 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@]1(C)CCO[C@H]1C ZINC000421505101 382562116 /nfs/dbraw/zinc/56/21/16/382562116.db2.gz HSKANBVOFMYBDM-XHDPSFHLSA-N 0 0 292.335 2.509 20 5 CFBDRN C[C@@H]1[C@H](O)CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000295012807 382562255 /nfs/dbraw/zinc/56/22/55/382562255.db2.gz PNVRTVHTCJBBOO-PRHODGIISA-N 0 0 270.716 2.598 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1OC ZINC000346905394 382567387 /nfs/dbraw/zinc/56/73/87/382567387.db2.gz ZGRBGTFVBOFZGR-UHFFFAOYSA-N 0 0 289.291 2.598 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC1(C)CCOCC1 ZINC000421529426 382570297 /nfs/dbraw/zinc/57/02/97/382570297.db2.gz SSFWPKHEOBHOCH-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000358255646 382531566 /nfs/dbraw/zinc/53/15/66/382531566.db2.gz AHNMGCQCLPFCKX-GWCFXTLKSA-N 0 0 291.351 2.928 20 5 CFBDRN COCCC(C)(C)Nc1ccc([N+](=O)[O-])cc1F ZINC000353270262 382533378 /nfs/dbraw/zinc/53/33/78/382533378.db2.gz WHNUGHRAXYRZMO-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN C[C@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000295219156 382589482 /nfs/dbraw/zinc/58/94/82/382589482.db2.gz AHQWBICCYWMNBW-OLRMPSLUSA-N 0 0 274.320 2.818 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358390693 382598484 /nfs/dbraw/zinc/59/84/84/382598484.db2.gz HJWIWSYMXRBFPD-AWEZNQCLSA-N 0 0 294.326 2.923 20 5 CFBDRN CCC1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000358394194 382599572 /nfs/dbraw/zinc/59/95/72/382599572.db2.gz LVPGQIUGYURLCY-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN COc1ccc(CN[C@@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000389450631 382613854 /nfs/dbraw/zinc/61/38/54/382613854.db2.gz SGJPYHCTKRWMKI-QMMMGPOBSA-N 0 0 274.267 2.737 20 5 CFBDRN CN(Cc1c(Cl)cnn1C)Cc1ccccc1[N+](=O)[O-] ZINC000189667000 382634049 /nfs/dbraw/zinc/63/40/49/382634049.db2.gz DLPNREIMQKWLGG-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CCOc1cc(NCc2nnc(C)s2)ccc1[N+](=O)[O-] ZINC000391894305 382621728 /nfs/dbraw/zinc/62/17/28/382621728.db2.gz GFVQUZXQRXLBGN-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN Cc1cccc(CN(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000353746118 382623485 /nfs/dbraw/zinc/62/34/85/382623485.db2.gz LFBRNJLICGPZDE-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN Cc1cccc(CN(C)Cc2cccc([N+](=O)[O-])c2)n1 ZINC000353747914 382624358 /nfs/dbraw/zinc/62/43/58/382624358.db2.gz BSVOVWDRJWHXJK-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CC[C@H]1CCCO1 ZINC000189586267 382627331 /nfs/dbraw/zinc/62/73/31/382627331.db2.gz TXFSINZJSWUAAI-CYBMUJFWSA-N 0 0 262.309 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CC[C@@H]1CCCO1 ZINC000189586242 382627333 /nfs/dbraw/zinc/62/73/33/382627333.db2.gz TXFSINZJSWUAAI-ZDUSSCGKSA-N 0 0 262.309 2.526 20 5 CFBDRN COCCCCN(C)C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421563234 382581506 /nfs/dbraw/zinc/58/15/06/382581506.db2.gz UQNCMEMPMFOZNJ-UHFFFAOYSA-N 0 0 294.351 2.710 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2nnc(C(F)F)o2)c1 ZINC000189288901 382582530 /nfs/dbraw/zinc/58/25/30/382582530.db2.gz MQQLPXKNYVUCHI-UHFFFAOYSA-N 0 0 270.195 2.528 20 5 CFBDRN CO[C@@H]1CC[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000189785092 382640880 /nfs/dbraw/zinc/64/08/80/382640880.db2.gz FRIPGYDMAZKOLV-CMPLNLGQSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2ccc(Cl)c(F)c2)c1=O ZINC000189862754 382643164 /nfs/dbraw/zinc/64/31/64/382643164.db2.gz MREOKLKFCLRVBT-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN C[C@@H]1CSCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000190441395 382668257 /nfs/dbraw/zinc/66/82/57/382668257.db2.gz RLBGDSGYVBPSAT-VIFPVBQESA-N 0 0 272.395 2.841 20 5 CFBDRN C[C@H]1CC[C@@H](COc2c(F)cccc2[N+](=O)[O-])O1 ZINC000190684882 382677719 /nfs/dbraw/zinc/67/77/19/382677719.db2.gz CJNHEDYQBMBPNM-IUCAKERBSA-N 0 0 255.245 2.680 20 5 CFBDRN CCOC(=O)[C@@H](C)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000190832126 382681907 /nfs/dbraw/zinc/68/19/07/382681907.db2.gz AEPRYYNIQYNMJH-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN CC[C@@H](C)CC(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191023111 382688867 /nfs/dbraw/zinc/68/88/67/382688867.db2.gz VHCQMPQTNXCRSY-SECBINFHSA-N 0 0 267.281 2.945 20 5 CFBDRN Cc1cnc([C@H](C)Nc2ncc([N+](=O)[O-])s2)s1 ZINC000189689420 382635684 /nfs/dbraw/zinc/63/56/84/382635684.db2.gz DSPUYKXVFBAHPU-LURJTMIESA-N 0 0 270.339 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)C1CC1 ZINC000191340075 382698720 /nfs/dbraw/zinc/69/87/20/382698720.db2.gz LNVWPOOULOMOGO-UHFFFAOYSA-N 0 0 263.297 2.838 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000191354075 382698994 /nfs/dbraw/zinc/69/89/94/382698994.db2.gz GKMGSOGHZWSJKZ-MRVPVSSYSA-N 0 0 266.297 2.696 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCC(F)F ZINC000191411328 382699941 /nfs/dbraw/zinc/69/99/41/382699941.db2.gz XAKFQYBQNHIMOY-UHFFFAOYSA-N 0 0 273.239 2.598 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2cc(C)cc(C)c2)n1 ZINC000191452991 382700688 /nfs/dbraw/zinc/70/06/88/382700688.db2.gz MXGUTOHVFVUJKT-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCC[C@H]1CCCCN1C(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000191708463 382702127 /nfs/dbraw/zinc/70/21/27/382702127.db2.gz GNKXODUDQCDGCV-NSHDSACASA-N 0 0 294.355 2.606 20 5 CFBDRN Cc1c(NC(=O)c2ncc(F)cc2F)cccc1[N+](=O)[O-] ZINC000192083955 382704645 /nfs/dbraw/zinc/70/46/45/382704645.db2.gz GFYRCWJIEBNJAB-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CCCC[C@@H](COC)Nc1ncc([N+](=O)[O-])s1 ZINC000192459005 382709122 /nfs/dbraw/zinc/70/91/22/382709122.db2.gz JFVZPIHVFRGIAN-QMMMGPOBSA-N 0 0 259.331 2.668 20 5 CFBDRN C[S@](=O)Cc1cccc(Nc2ncc([N+](=O)[O-])s2)c1 ZINC000192456660 382709176 /nfs/dbraw/zinc/70/91/76/382709176.db2.gz DUOPNXPXFRKZCK-IBGZPJMESA-N 0 0 297.361 2.673 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])s1)Oc1ccccc1 ZINC000192455360 382709214 /nfs/dbraw/zinc/70/92/14/382709214.db2.gz HSGZTWJMOGQEBX-SECBINFHSA-N 0 0 279.321 2.931 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC[C@H]1CCCCO1 ZINC000192458197 382709215 /nfs/dbraw/zinc/70/92/15/382709215.db2.gz IDQPTQPBHQKOHD-GFCCVEGCSA-N 0 0 292.335 2.592 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@H]1CNc1ncc([N+](=O)[O-])s1 ZINC000192478749 382709378 /nfs/dbraw/zinc/70/93/78/382709378.db2.gz FHQJRWNUTJLTPE-ONGXEEELSA-N 0 0 285.369 2.914 20 5 CFBDRN CC(C)[C@H]1OCCC[C@H]1CNc1ncc([N+](=O)[O-])s1 ZINC000192478735 382709496 /nfs/dbraw/zinc/70/94/96/382709496.db2.gz FHQJRWNUTJLTPE-GXSJLCMTSA-N 0 0 285.369 2.914 20 5 CFBDRN CC1(C)C[C@@H](Nc2ncc([N+](=O)[O-])s2)C(C)(C)O1 ZINC000192523667 382709636 /nfs/dbraw/zinc/70/96/36/382709636.db2.gz GWUXAJVNQJFFMM-SSDOTTSWSA-N 0 0 271.342 2.809 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(Cl)cn2)s1 ZINC000192521091 382709735 /nfs/dbraw/zinc/70/97/35/382709735.db2.gz SKNVKMJSFTXVSN-UHFFFAOYSA-N 0 0 270.701 2.712 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)N1CCCCC1 ZINC000192897164 382713503 /nfs/dbraw/zinc/71/35/03/382713503.db2.gz JTFMZHDAORMMGR-UHFFFAOYSA-N 0 0 291.351 2.636 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000193114781 382715946 /nfs/dbraw/zinc/71/59/46/382715946.db2.gz WWNXBKFBFKETCN-VIFPVBQESA-N 0 0 268.338 2.902 20 5 CFBDRN Cc1cc(NC(=O)NCC(C)C)ccc1[N+](=O)[O-] ZINC000193520862 382720655 /nfs/dbraw/zinc/72/06/55/382720655.db2.gz FHVCLMLXDYKEQD-UHFFFAOYSA-N 0 0 251.286 2.681 20 5 CFBDRN Cc1nn(C)cc1CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000336859614 382736261 /nfs/dbraw/zinc/73/62/61/382736261.db2.gz JHCXAKUQVOVGEH-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)C2CC2)c1 ZINC000575078557 382726649 /nfs/dbraw/zinc/72/66/49/382726649.db2.gz KVRQVMMJXHXANT-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN CCOc1cccc(NC[C@](C)(O)C2CC2)c1[N+](=O)[O-] ZINC000336832311 382726965 /nfs/dbraw/zinc/72/69/65/382726965.db2.gz LMMSDSPDALHWRO-AWEZNQCLSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC000193868249 382727537 /nfs/dbraw/zinc/72/75/37/382727537.db2.gz RTNMFUJZUSWPTO-JQWIXIFHSA-N 0 0 278.308 2.514 20 5 CFBDRN O=C(Nc1ccc2c[nH]nc2c1)c1ccc([N+](=O)[O-])s1 ZINC000194004104 382732026 /nfs/dbraw/zinc/73/20/26/382732026.db2.gz ZFRWDKYQHAXOLU-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN C[C@H]1CC[C@H](CN2CCc3ccc([N+](=O)[O-])cc32)O1 ZINC000191095089 382691010 /nfs/dbraw/zinc/69/10/10/382691010.db2.gz QUFRZCCSUSKXMC-GXFFZTMASA-N 0 0 262.309 2.525 20 5 CFBDRN C[C@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CCOCC1 ZINC000219362733 382793773 /nfs/dbraw/zinc/79/37/73/382793773.db2.gz JRHCYKJHIROLLD-VIFPVBQESA-N 0 0 290.323 2.698 20 5 CFBDRN Cc1ccccc1OCCNc1ncc([N+](=O)[O-])s1 ZINC000195510310 382768616 /nfs/dbraw/zinc/76/86/16/382768616.db2.gz ZCEJQJARXLAKER-UHFFFAOYSA-N 0 0 279.321 2.851 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCO1 ZINC000220162898 382795292 /nfs/dbraw/zinc/79/52/92/382795292.db2.gz JTVKLXKFDPSWQY-DTWKUNHWSA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCCC[C@@H]1OC(F)F ZINC000336928953 382743266 /nfs/dbraw/zinc/74/32/66/382743266.db2.gz ZKIBXKOOJXYMKE-WPRPVWTQSA-N 0 0 287.266 2.952 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2CCC(F)(F)CC2)s1 ZINC000336938833 382744305 /nfs/dbraw/zinc/74/43/05/382744305.db2.gz WKFMYWLZNJAWEX-UHFFFAOYSA-N 0 0 277.296 2.711 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CCc1ccncc1 ZINC000194495836 382745973 /nfs/dbraw/zinc/74/59/73/382745973.db2.gz BWAPMBCXDNAEHA-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN C[C@H]1C[C@@H]1c1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000194589934 382749289 /nfs/dbraw/zinc/74/92/89/382749289.db2.gz PSYIBELSLLEMIY-KWQFWETISA-N 0 0 286.291 2.694 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194734394 382753102 /nfs/dbraw/zinc/75/31/02/382753102.db2.gz VJBMXHKSFXCYNS-LBPRGKRZSA-N 0 0 294.351 2.838 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000194922208 382757903 /nfs/dbraw/zinc/75/79/03/382757903.db2.gz IVNXOXXXDJJSQR-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN C[C@H](CO)CCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000228311822 382846402 /nfs/dbraw/zinc/84/64/02/382846402.db2.gz LSYBWCCKRRLDDI-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1C2CCC1CC2 ZINC000575740127 382797469 /nfs/dbraw/zinc/79/74/69/382797469.db2.gz YOSAIRSVCDRUAF-UHFFFAOYSA-N 0 0 274.320 2.979 20 5 CFBDRN C[C@@H](CO)CSc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000576032977 382808006 /nfs/dbraw/zinc/80/80/06/382808006.db2.gz CJSLOCWDWKMFBQ-VIFPVBQESA-N 0 0 282.365 2.589 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)NC1CCC1 ZINC000223971479 382808400 /nfs/dbraw/zinc/80/84/00/382808400.db2.gz DWWLKUWODSXKQT-UHFFFAOYSA-N 0 0 269.688 2.922 20 5 CFBDRN CC1(C)C[C@H](NCc2cc[nH]n2)c2cc([N+](=O)[O-])ccc21 ZINC000576180442 382813351 /nfs/dbraw/zinc/81/33/51/382813351.db2.gz UBMLYEDTWTUWCE-AWEZNQCLSA-N 0 0 286.335 2.830 20 5 CFBDRN COc1cc(N[C@H](C)[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000225815810 382821868 /nfs/dbraw/zinc/82/18/68/382821868.db2.gz XRFITMHMSZFGGA-BDAKNGLRSA-N 0 0 284.287 2.579 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000226146644 382824882 /nfs/dbraw/zinc/82/48/82/382824882.db2.gz YYSGFJGVLPUBAE-SFYZADRCSA-N 0 0 258.705 2.677 20 5 CFBDRN CCOc1cc(NC[C@@H]2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000226571705 382829927 /nfs/dbraw/zinc/82/99/27/382829927.db2.gz SKHPRCYHMCXNRX-DGCLKSJQSA-N 0 0 294.351 2.957 20 5 CFBDRN CCOc1cc(N2CCC([C@@H](C)O)CC2)ccc1[N+](=O)[O-] ZINC000226570471 382830155 /nfs/dbraw/zinc/83/01/55/382830155.db2.gz KAKYTEJVYBQEBG-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN CS[C@@H](CO)[C@@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000227058907 382832659 /nfs/dbraw/zinc/83/26/59/382832659.db2.gz SKSZXDAHSVFGBB-HQJQHLMTSA-N 0 0 290.772 2.773 20 5 CFBDRN CC(C)Oc1nc(Sc2ncco2)ccc1[N+](=O)[O-] ZINC000576717276 382833938 /nfs/dbraw/zinc/83/39/38/382833938.db2.gz FORPOTMTALPVAM-UHFFFAOYSA-N 0 0 281.293 2.916 20 5 CFBDRN Cc1nc(NCC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000227315914 382835369 /nfs/dbraw/zinc/83/53/69/382835369.db2.gz IJXUCIZMKDTRCE-NSHDSACASA-N 0 0 265.313 2.669 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@]2(O)CCCC[C@H]2C1 ZINC000227377087 382836772 /nfs/dbraw/zinc/83/67/72/382836772.db2.gz VRWVYTCQMQVMFK-XHDPSFHLSA-N 0 0 294.326 2.865 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CO)c2ccccc2)c(Cl)c1 ZINC000227649301 382839050 /nfs/dbraw/zinc/83/90/50/382839050.db2.gz AXKKGMOYIUSYHH-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@H]1CC[C@H](O)C1 ZINC000227670710 382839260 /nfs/dbraw/zinc/83/92/60/382839260.db2.gz DGIXJHZZGVYAIW-QWRGUYRKSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1c(CNC(=O)[C@@H](C)OCC(C)C)cccc1[N+](=O)[O-] ZINC000576882029 382839795 /nfs/dbraw/zinc/83/97/95/382839795.db2.gz GPBFTCTWXORLLQ-GFCCVEGCSA-N 0 0 294.351 2.581 20 5 CFBDRN CCOCCCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227887370 382841976 /nfs/dbraw/zinc/84/19/76/382841976.db2.gz JXNXUVUMYRDBAZ-UHFFFAOYSA-N 0 0 260.240 2.712 20 5 CFBDRN C[C@@H](CO)CSc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890999 382842136 /nfs/dbraw/zinc/84/21/36/382842136.db2.gz QQXLHAYKEPEHGD-LURJTMIESA-N 0 0 263.265 2.594 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC2(O)CCCCC2)c(F)c1 ZINC000227889486 382842186 /nfs/dbraw/zinc/84/21/86/382842186.db2.gz NTXXAGJPEZFLAE-UHFFFAOYSA-N 0 0 286.278 2.980 20 5 CFBDRN Cc1cc(N[C@@H](CCO)C(C)C)ccc1[N+](=O)[O-] ZINC000229941856 382854457 /nfs/dbraw/zinc/85/44/57/382854457.db2.gz PDBFGPJAEQCEEZ-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN COc1ccc([C@H]2CCN(c3ccc([N+](=O)[O-])nc3)C2)cc1 ZINC000577321028 382854861 /nfs/dbraw/zinc/85/48/61/382854861.db2.gz LCSZUJNXYUAACK-ZDUSSCGKSA-N 0 0 299.330 2.992 20 5 CFBDRN CCC[C@@H](CCO)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000230185830 382856995 /nfs/dbraw/zinc/85/69/95/382856995.db2.gz WZDNEUZZLQOWEW-NSHDSACASA-N 0 0 267.329 2.509 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000230185637 382857123 /nfs/dbraw/zinc/85/71/23/382857123.db2.gz CHYVIFBSVADWFA-NXEZZACHSA-N 0 0 267.354 2.994 20 5 CFBDRN COc1cc(N[C@@H](C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC000230218090 382857514 /nfs/dbraw/zinc/85/75/14/382857514.db2.gz LIOGZRLGFLOQAG-JTQLQIEISA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)C1CCOCC1 ZINC000230217936 382857519 /nfs/dbraw/zinc/85/75/19/382857519.db2.gz HZELGEIFHCCLOY-MRVPVSSYSA-N 0 0 285.731 2.870 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@](C)(O)CC2)ccc1[N+](=O)[O-] ZINC000230356344 382859592 /nfs/dbraw/zinc/85/95/92/382859592.db2.gz PBVOWOQRQVCUNE-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@H](O)COc1cc([N+](=O)[O-])ccc1Br ZINC000230415883 382860995 /nfs/dbraw/zinc/86/09/95/382860995.db2.gz NQIRHLSWYKKKCS-QMMMGPOBSA-N 0 0 290.113 2.507 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2C)[C@@H](C)C1 ZINC000337756065 382861993 /nfs/dbraw/zinc/86/19/93/382861993.db2.gz XHPXVVCOTWNJAA-CMPLNLGQSA-N 0 0 292.335 2.543 20 5 CFBDRN COC(=O)[C@H](Sc1cccc([N+](=O)[O-])c1)C(C)C ZINC000337764201 382862917 /nfs/dbraw/zinc/86/29/17/382862917.db2.gz RHUXRNDWNBCBNE-LLVKDONJSA-N 0 0 269.322 2.885 20 5 CFBDRN CCC[C@](C)(O)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000230905332 382863390 /nfs/dbraw/zinc/86/33/90/382863390.db2.gz RCUQENRTDSQXIB-ZDUSSCGKSA-N 0 0 268.313 2.566 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2C[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000337778790 382864164 /nfs/dbraw/zinc/86/41/64/382864164.db2.gz ZQAJMIOAQAPEPG-BDAKNGLRSA-N 0 0 270.235 2.743 20 5 CFBDRN Cc1cnc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000231639291 382865542 /nfs/dbraw/zinc/86/55/42/382865542.db2.gz YZZXHTAQSOWBKB-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN CCc1cccnc1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000337794378 382865714 /nfs/dbraw/zinc/86/57/14/382865714.db2.gz VHVUEVIZSDAKLR-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC(F)F)c2ccncc21 ZINC000231678114 382866232 /nfs/dbraw/zinc/86/62/32/382866232.db2.gz AGKCXCVKZZBULV-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC(F)F)c(Cl)c1 ZINC000231678218 382866389 /nfs/dbraw/zinc/86/63/89/382866389.db2.gz KMNBANCMQZOYML-UHFFFAOYSA-N 0 0 280.658 2.942 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](C)CC)c1 ZINC000421586563 382876861 /nfs/dbraw/zinc/87/68/61/382876861.db2.gz FKMVMAMOYNSUCH-SNVBAGLBSA-N 0 0 280.324 2.769 20 5 CFBDRN CC[C@H](C)CNC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000421586399 382877031 /nfs/dbraw/zinc/87/70/31/382877031.db2.gz FBILOBYXUATFDS-VIFPVBQESA-N 0 0 280.324 2.688 20 5 CFBDRN C[C@@H](O)[C@@H](C)SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000235295142 382878789 /nfs/dbraw/zinc/87/87/89/382878789.db2.gz KUNJECDKWSOTIC-HTQZYQBOSA-N 0 0 286.309 2.506 20 5 CFBDRN Cc1ccc(-c2noc(-c3cc([N+](=O)[O-])cn3C)n2)cc1 ZINC000533088248 382879576 /nfs/dbraw/zinc/87/95/76/382879576.db2.gz BUBHAQKXSWRUIF-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN CCc1oncc1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000337962663 382881364 /nfs/dbraw/zinc/88/13/64/382881364.db2.gz IESUXYFNELXJFG-UHFFFAOYSA-N 0 0 276.252 2.789 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000421662043 382888262 /nfs/dbraw/zinc/88/82/62/382888262.db2.gz JPHWNULJLOFXFK-NEPJUHHUSA-N 0 0 292.335 2.591 20 5 CFBDRN CCC1(NC(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000493743025 382889566 /nfs/dbraw/zinc/88/95/66/382889566.db2.gz UVTPAMBULHUOQB-GQCTYLIASA-N 0 0 278.283 2.806 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2c[nH]c(=O)c(C)c2)c1 ZINC000533838304 382911764 /nfs/dbraw/zinc/91/17/64/382911764.db2.gz MNESEHCPYCIXDT-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@@H](F)CCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000455324325 382896852 /nfs/dbraw/zinc/89/68/52/382896852.db2.gz DSWBGOVGROJWAZ-SSDOTTSWSA-N 0 0 273.239 2.604 20 5 CFBDRN CC/C=C\CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000455335644 382897920 /nfs/dbraw/zinc/89/79/20/382897920.db2.gz OWVFQALXYXSSCO-ARJAWSKDSA-N 0 0 267.260 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCc1ccsc1 ZINC000338004112 382898253 /nfs/dbraw/zinc/89/82/53/382898253.db2.gz XDRBYOAOQBKALN-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000493781267 382902708 /nfs/dbraw/zinc/90/27/08/382902708.db2.gz DZUBWHLGGZIJSL-CVUOLCHNSA-N 0 0 286.331 2.913 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1ncoc1-c1ccccc1 ZINC000442723320 382949547 /nfs/dbraw/zinc/94/95/47/382949547.db2.gz SBDZATNICZKTAV-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN CCn1cc(CN(C)c2cc(C)c([N+](=O)[O-])cc2F)cn1 ZINC000578827205 382957522 /nfs/dbraw/zinc/95/75/22/382957522.db2.gz BSPJUDSBOVULQL-UHFFFAOYSA-N 0 0 292.314 2.895 20 5 CFBDRN CCCN(C(=O)[C@@H]1CCO[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000441476695 382917298 /nfs/dbraw/zinc/91/72/98/382917298.db2.gz LEOGPZVTPBKSSR-SMDDNHRTSA-N 0 0 292.335 2.763 20 5 CFBDRN CCc1cc(Oc2ccc([N+](=O)[O-])c(CO)c2)nc(C)n1 ZINC000578479679 382924230 /nfs/dbraw/zinc/92/42/30/382924230.db2.gz SKCDZXQFANGZQU-UHFFFAOYSA-N 0 0 289.291 2.540 20 5 CFBDRN Cc1ccccc1-c1cnc(Cn2nccc2[N+](=O)[O-])o1 ZINC000534527962 382932949 /nfs/dbraw/zinc/93/29/49/382932949.db2.gz YFBHARRXLDRSOJ-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN CC[C@]1(O)CCN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000495249156 382975171 /nfs/dbraw/zinc/97/51/71/382975171.db2.gz QOPPYKZTNDQPHF-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN O=C(NCC1CCC(F)(F)CC1)c1ccc([N+](=O)[O-])cn1 ZINC000548783865 382982758 /nfs/dbraw/zinc/98/27/58/382982758.db2.gz POGRUWIBMOKZLM-UHFFFAOYSA-N 0 0 299.277 2.545 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H](O)CCC(C)C ZINC000280285761 194782259 /nfs/dbraw/zinc/78/22/59/194782259.db2.gz MEFWRZOGPVDPCB-GFCCVEGCSA-N 0 0 283.324 2.779 20 5 CFBDRN CCSCCCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000548839458 382986091 /nfs/dbraw/zinc/98/60/91/382986091.db2.gz PVZNKKJZMSCBBI-LLVKDONJSA-N 0 0 296.392 2.958 20 5 CFBDRN CC(C)(C)n1ncnc1Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000549013835 382995406 /nfs/dbraw/zinc/99/54/06/382995406.db2.gz XEYLPYYOQWFOMS-UHFFFAOYSA-N 0 0 299.334 2.944 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NC[C@@H]1CCCOC1)CCCC2 ZINC000579231049 382995436 /nfs/dbraw/zinc/99/54/36/382995436.db2.gz MWISKCKIRPFJBY-NSHDSACASA-N 0 0 291.351 2.707 20 5 CFBDRN Cc1cnc(Nc2cccc(N3CCC3=O)c2)c([N+](=O)[O-])c1 ZINC000447801336 383007681 /nfs/dbraw/zinc/00/76/81/383007681.db2.gz BRYCWQMBQSDPKE-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCSC1 ZINC000447802031 383007994 /nfs/dbraw/zinc/00/79/94/383007994.db2.gz GRPKIPSKQLTUGE-SNVBAGLBSA-N 0 0 282.365 2.906 20 5 CFBDRN Cc1nc(Nc2cccc(N3CCC3=O)c2)ccc1[N+](=O)[O-] ZINC000447803556 383008812 /nfs/dbraw/zinc/00/88/12/383008812.db2.gz AWWMAMMUUAEAHK-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN C[N@@H+]1CCCC(C)(C)[C@@H]1CNc1ncccc1[N+](=O)[O-] ZINC000447805419 383010306 /nfs/dbraw/zinc/01/03/06/383010306.db2.gz JCKVTTAZHTWENC-LBPRGKRZSA-N 0 0 278.356 2.522 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@@H]1C(F)F ZINC000421906046 382967706 /nfs/dbraw/zinc/96/77/06/382967706.db2.gz KMTUTYVQIUGXKB-UWVGGRQHSA-N 0 0 284.262 2.595 20 5 CFBDRN CC1(CC(F)(F)F)CN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000457141417 382969877 /nfs/dbraw/zinc/96/98/77/382969877.db2.gz KEFJZNCKHPMLMB-UHFFFAOYSA-N 0 0 292.213 2.602 20 5 CFBDRN COc1ncccc1CCNc1cccc(C)c1[N+](=O)[O-] ZINC000338728386 383030867 /nfs/dbraw/zinc/03/08/67/383030867.db2.gz PSQNVOZGGWTCEC-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC1(COC)CCC1 ZINC000338735592 383033919 /nfs/dbraw/zinc/03/39/19/383033919.db2.gz DSVNKHIBPHVIKR-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN CCOc1cc(N2CC(C(F)(F)F)C2)ccc1[N+](=O)[O-] ZINC000579772826 383050399 /nfs/dbraw/zinc/05/03/99/383050399.db2.gz NESGKBYHIYQNAB-UHFFFAOYSA-N 0 0 290.241 2.992 20 5 CFBDRN CCOCC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000289757969 197472956 /nfs/dbraw/zinc/47/29/56/197472956.db2.gz MXEBFFMHROVKPE-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN COC(=O)c1csc(Sc2ccc([N+](=O)[O-])cc2)n1 ZINC000338814464 383059180 /nfs/dbraw/zinc/05/91/80/383059180.db2.gz NRQVIBQTIUMADZ-UHFFFAOYSA-N 0 0 296.329 2.989 20 5 CFBDRN Cc1ccc(NC(=O)c2cnc(C3CC3)nc2)cc1[N+](=O)[O-] ZINC000448558804 383070215 /nfs/dbraw/zinc/07/02/15/383070215.db2.gz POUSKZNSBGMDGF-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CC(C)(C)[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000447852114 383019181 /nfs/dbraw/zinc/01/91/81/383019181.db2.gz YFBKDDSWWNVPTA-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000592004508 383122188 /nfs/dbraw/zinc/12/21/88/383122188.db2.gz WFHKSYSSXJMYFS-DTWKUNHWSA-N 0 0 290.348 2.844 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000596436040 383126184 /nfs/dbraw/zinc/12/61/84/383126184.db2.gz IFWSSPPBXNNWMJ-XYPYZODXSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1cc(N2CCCC[C@H]2[C@H](C)CO)ccc1[N+](=O)[O-] ZINC000596435238 383126561 /nfs/dbraw/zinc/12/65/61/383126561.db2.gz LUONAANHPSGANN-OCCSQVGLSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1cncs1 ZINC000338919063 383086213 /nfs/dbraw/zinc/08/62/13/383086213.db2.gz MYZCKSCGJGNCOA-ZETCQYMHSA-N 0 0 295.295 2.681 20 5 CFBDRN CN(C)c1ccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)cc1 ZINC000338935586 383091233 /nfs/dbraw/zinc/09/12/33/383091233.db2.gz YAHWDKVUMCWGHU-UHFFFAOYSA-N 0 0 299.330 2.937 20 5 CFBDRN COC(=O)[C@@H](CNc1ccc([N+](=O)[O-])cc1)CC1CC1 ZINC000584355183 383097354 /nfs/dbraw/zinc/09/73/54/383097354.db2.gz MSWXAONQLYCMSS-LLVKDONJSA-N 0 0 278.308 2.596 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])OCC1CC1 ZINC000496405851 383097594 /nfs/dbraw/zinc/09/75/94/383097594.db2.gz LMFACYJYIVWZRX-UHFFFAOYSA-N 0 0 298.726 2.927 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(c1cccnn1)C1CC1 ZINC000553175204 383100271 /nfs/dbraw/zinc/10/02/71/383100271.db2.gz XDBFRCFEILHIRN-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN O=C(OC1CCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000496494150 383109243 /nfs/dbraw/zinc/10/92/43/383109243.db2.gz VEJHXRFUGCLJMB-UHFFFAOYSA-N 0 0 262.265 2.646 20 5 CFBDRN C[C@H]1[C@H](C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])C1(F)F ZINC000553713487 383116421 /nfs/dbraw/zinc/11/64/21/383116421.db2.gz AUBPTTDOJTUETC-GZMMTYOYSA-N 0 0 298.289 2.847 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000424084580 383176742 /nfs/dbraw/zinc/17/67/42/383176742.db2.gz BWNHMFKCOMEBSP-CYBMUJFWSA-N 0 0 251.282 2.707 20 5 CFBDRN CO[C@H](COc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000424096603 383179182 /nfs/dbraw/zinc/17/91/82/383179182.db2.gz ZYWVWHMALDTYLP-CYBMUJFWSA-N 0 0 251.282 2.707 20 5 CFBDRN CCCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000517583293 383185875 /nfs/dbraw/zinc/18/58/75/383185875.db2.gz IXESWBOECHBPFL-UHFFFAOYSA-N 0 0 264.325 2.784 20 5 CFBDRN CCO[C@H](CC)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000566607289 383193480 /nfs/dbraw/zinc/19/34/80/383193480.db2.gz JSPZYUOSIBZHLL-CYBMUJFWSA-N 0 0 294.351 2.761 20 5 CFBDRN Cc1c(CN2CCO[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000247976662 383203761 /nfs/dbraw/zinc/20/37/61/383203761.db2.gz HWRDDZKKQBQMAO-VXGBXAGGSA-N 0 0 264.325 2.512 20 5 CFBDRN CC[C@@H](C)[C@H](C)N(C(=O)c1cc([N+](=O)[O-])cn1C)C1CC1 ZINC000519115876 383210695 /nfs/dbraw/zinc/21/06/95/383210695.db2.gz VBDMZHSOHDCCGT-MNOVXSKESA-N 0 0 293.367 2.973 20 5 CFBDRN COc1ccc(C(=O)N2CCC(C)(C)CC2)cc1[N+](=O)[O-] ZINC000339149054 383166466 /nfs/dbraw/zinc/16/64/66/383166466.db2.gz RJIZIYUBDAKDOC-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cc(CN[C@H]2CCC[C@@H]2F)c([N+](=O)[O-])cc1OC ZINC000339587717 383256207 /nfs/dbraw/zinc/25/62/07/383256207.db2.gz SDSNHNVQPQDRTF-QWRGUYRKSA-N 0 0 298.314 2.592 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@H]1C(C)C ZINC000567445221 383276126 /nfs/dbraw/zinc/27/61/26/383276126.db2.gz KXLRRJNTQZZOEE-JSGCOSHPSA-N 0 0 291.351 2.742 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CC[C@H](C)CC1 ZINC000520828701 383276672 /nfs/dbraw/zinc/27/66/72/383276672.db2.gz RSEYKGBFLBACSL-XYPYZODXSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1cc(N2CC[C@@H](O)CC23CCC3)c(F)cc1[N+](=O)[O-] ZINC000519533549 383221965 /nfs/dbraw/zinc/22/19/65/383221965.db2.gz VUUWDKZIFJZBNX-LLVKDONJSA-N 0 0 294.326 2.926 20 5 CFBDRN CC1(C)[C@@H]2CN(c3c(F)cccc3[N+](=O)[O-])C[C@@H]21 ZINC000566931189 383227072 /nfs/dbraw/zinc/22/70/72/383227072.db2.gz LORVQLFJRCQVPW-DTORHVGOSA-N 0 0 250.273 2.826 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000339519631 383230036 /nfs/dbraw/zinc/23/00/36/383230036.db2.gz RJCJFYKQVLCBTG-NEPJUHHUSA-N 0 0 292.335 2.526 20 5 CFBDRN COc1ccc(C(=O)NC[C@@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000339519538 383230168 /nfs/dbraw/zinc/23/01/68/383230168.db2.gz SMNDDXMKVFEMOX-PWSUYJOCSA-N 0 0 292.335 2.769 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC=C(c2ccccn2)C1 ZINC000519722599 383232763 /nfs/dbraw/zinc/23/27/63/383232763.db2.gz JZZWOZFAQGJUEX-UHFFFAOYSA-N 0 0 299.286 2.512 20 5 CFBDRN O=C(CSc1ncccc1[N+](=O)[O-])c1ccc(O)cc1 ZINC000520934636 383280006 /nfs/dbraw/zinc/28/00/06/383280006.db2.gz DRHQQCUJEHALES-UHFFFAOYSA-N 0 0 290.300 2.670 20 5 CFBDRN C[C@@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)CCCO1 ZINC000519807885 383237789 /nfs/dbraw/zinc/23/77/89/383237789.db2.gz GJNWRWJETQXQSL-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CN2CC3(CC3)C2)c1 ZINC000449369058 383242372 /nfs/dbraw/zinc/24/23/72/383242372.db2.gz AFFZDBRXSCFTGC-UHFFFAOYSA-N 0 0 284.262 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H]2C2CC2)c(F)c1 ZINC000449425901 383286110 /nfs/dbraw/zinc/28/61/10/383286110.db2.gz JHIWUULIXONIRI-ZDUSSCGKSA-N 0 0 250.273 2.718 20 5 CFBDRN COc1cc(C)nc(CSc2ccc([N+](=O)[O-])cn2)c1 ZINC000339689435 383288804 /nfs/dbraw/zinc/28/88/04/383288804.db2.gz YLCZDSYTBIMESY-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)c2cn[nH]c2)c([N+](=O)[O-])c1 ZINC000339701748 383291343 /nfs/dbraw/zinc/29/13/43/383291343.db2.gz LFUJGEGRVYNLJC-MRVPVSSYSA-N 0 0 274.280 2.694 20 5 CFBDRN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000248837829 383296389 /nfs/dbraw/zinc/29/63/89/383296389.db2.gz OIZYFOAYNDHTDF-DDFAGTSDSA-N 0 0 298.770 2.982 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1csc([N+](=O)[O-])c1 ZINC000424984782 383304238 /nfs/dbraw/zinc/30/42/38/383304238.db2.gz UBRLKBCIWIKVFI-VHSXEESVSA-N 0 0 266.322 2.575 20 5 CFBDRN CCc1nc(CN(C)c2ncc([N+](=O)[O-])cc2C)cs1 ZINC000521729133 383307617 /nfs/dbraw/zinc/30/76/17/383307617.db2.gz CMJLWPFTZLCSOP-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN COc1c(C(=O)N2CC[C@@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000425037162 383313109 /nfs/dbraw/zinc/31/31/09/383313109.db2.gz QQIWGUJWHIZHCR-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCC[C@@H](O)CC1 ZINC000339780252 383315837 /nfs/dbraw/zinc/31/58/37/383315837.db2.gz QWFXNKIBPGGAOF-SNVBAGLBSA-N 0 0 284.743 2.595 20 5 CFBDRN CC(C)C[N@H+](CCC(=O)[O-])Cc1ccccc1[N+](=O)[O-] ZINC000522007249 383322114 /nfs/dbraw/zinc/32/21/14/383322114.db2.gz BFYOYSLGWYNIGI-UHFFFAOYSA-N 0 0 280.324 2.528 20 5 CFBDRN C[C@@H]1COCCN(c2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000450402964 383394712 /nfs/dbraw/zinc/39/47/12/383394712.db2.gz JYNQJWXQJDYMSJ-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000340266234 383396611 /nfs/dbraw/zinc/39/66/11/383396611.db2.gz WMIPLBWIUZFVCT-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CSCCCOc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000568416217 383401183 /nfs/dbraw/zinc/40/11/83/383401183.db2.gz QFNPQYMSKYMLJI-UHFFFAOYSA-N 0 0 256.327 2.739 20 5 CFBDRN CC1=CCN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000568466012 383404012 /nfs/dbraw/zinc/40/40/12/383404012.db2.gz BNBZZETVTDEXAN-GFCCVEGCSA-N 0 0 274.320 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@@H+]2CCSC[C@@H]2C2CC2)cc1 ZINC000449528763 383353799 /nfs/dbraw/zinc/35/37/99/383353799.db2.gz RTAYDZVLICIFAT-CQSZACIVSA-N 0 0 278.377 2.922 20 5 CFBDRN CC(C)(O)CCSc1ncnc2cccc([N+](=O)[O-])c21 ZINC000568531340 383413606 /nfs/dbraw/zinc/41/36/06/383413606.db2.gz BFEWMGAQCMLVOE-UHFFFAOYSA-N 0 0 293.348 2.791 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1cc(-c2ccccc2)no1 ZINC000340150960 383363333 /nfs/dbraw/zinc/36/33/33/383363333.db2.gz JTTPMUBHSLUQHO-UHFFFAOYSA-N 0 0 296.286 2.679 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1ccc2ccccc2n1 ZINC000340154017 383364395 /nfs/dbraw/zinc/36/43/95/383364395.db2.gz DKXMSZJPYZZYPR-UHFFFAOYSA-N 0 0 294.314 2.615 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@@H](O)Cc1ccccc1 ZINC000340167368 383366077 /nfs/dbraw/zinc/36/60/77/383366077.db2.gz JQMJOBDDDFVMQA-AWEZNQCLSA-N 0 0 287.315 2.886 20 5 CFBDRN Cc1noc(C)c1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000524336607 383370382 /nfs/dbraw/zinc/37/03/82/383370382.db2.gz OPNDTBQEVOJDKJ-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cc1c(CNC(=O)N2C[C@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000480052352 383414295 /nfs/dbraw/zinc/41/42/95/383414295.db2.gz DEKVWLBBRIXODR-MNOVXSKESA-N 0 0 291.351 2.843 20 5 CFBDRN CCC[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)C1CCCCC1 ZINC000524494966 383373615 /nfs/dbraw/zinc/37/36/15/383373615.db2.gz UXRNYEKMWVXIAI-LLVKDONJSA-N 0 0 293.371 2.958 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCSCC[C@H]2C)n1 ZINC000357949279 291825702 /nfs/dbraw/zinc/82/57/02/291825702.db2.gz UPXWSMXCDLYYBH-LLVKDONJSA-N 0 0 281.381 2.938 20 5 CFBDRN CC[C@H](C)c1nnc([C@H](C)Nc2ccc([N+](=O)[O-])nc2)[nH]1 ZINC000450478758 383427174 /nfs/dbraw/zinc/42/71/74/383427174.db2.gz IWLFITHFWFBIBD-IUCAKERBSA-N 0 0 290.327 2.795 20 5 CFBDRN COCC[C@H]1CCCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000568731370 383430955 /nfs/dbraw/zinc/43/09/55/383430955.db2.gz IZRIQIQKUBAYAY-LLVKDONJSA-N 0 0 297.330 2.772 20 5 CFBDRN O=C(Nc1ccc(F)c(Cl)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000340472915 383431421 /nfs/dbraw/zinc/43/14/21/383431421.db2.gz GEJLPXBJZPPXGR-UHFFFAOYSA-N 0 0 283.646 2.968 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000340481585 383437595 /nfs/dbraw/zinc/43/75/95/383437595.db2.gz RLJRQKZGYKNNIC-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CC[C@H](C)CC2)c([N+](=O)[O-])c1 ZINC000252961744 383439614 /nfs/dbraw/zinc/43/96/14/383439614.db2.gz ONSJVPHAFUKFSE-UMSPYCQHSA-N 0 0 291.351 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2CC[C@@H](F)C2)c1 ZINC000450534296 383449995 /nfs/dbraw/zinc/44/99/95/383449995.db2.gz DPKBQEVWCAQOEN-GHMZBOCLSA-N 0 0 275.283 2.867 20 5 CFBDRN CC[C@H](CNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)OC ZINC000289918014 197528260 /nfs/dbraw/zinc/52/82/60/197528260.db2.gz VBAAAAYZOIKOGD-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CSc2ccccc21 ZINC000450557181 383460305 /nfs/dbraw/zinc/46/03/05/383460305.db2.gz HVTZEPMMADDPIN-SECBINFHSA-N 0 0 290.348 2.630 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCCc2c(F)cccc21 ZINC000450562243 383462255 /nfs/dbraw/zinc/46/22/55/383462255.db2.gz QVVAGFDYKNNUKS-GFCCVEGCSA-N 0 0 290.298 2.957 20 5 CFBDRN CCc1nc(C)cc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000450562414 383462644 /nfs/dbraw/zinc/46/26/44/383462644.db2.gz REXJCFHHCFWZBD-NSHDSACASA-N 0 0 286.335 2.851 20 5 CFBDRN CC(C)N(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340540321 383464735 /nfs/dbraw/zinc/46/47/35/383464735.db2.gz CBEPGUPVUOJAAW-UHFFFAOYSA-N 0 0 287.319 2.974 20 5 CFBDRN CC(C)CCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340538006 383464837 /nfs/dbraw/zinc/46/48/37/383464837.db2.gz OIMXDSXQZRKGOZ-JTQLQIEISA-N 0 0 267.329 2.868 20 5 CFBDRN COc1ccc(CNc2c(OC)cccc2[N+](=O)[O-])cn1 ZINC000569170231 383473741 /nfs/dbraw/zinc/47/37/41/383473741.db2.gz PUMHRIOWCUMIKW-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CSCCN(C)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000340576466 383475194 /nfs/dbraw/zinc/47/51/94/383475194.db2.gz HZOKJDDPDKHVGC-UHFFFAOYSA-N 0 0 276.308 2.668 20 5 CFBDRN CCC[C@@H](Nc1nccc(C(N)=O)c1[N+](=O)[O-])C(C)(C)C ZINC000450713237 383483631 /nfs/dbraw/zinc/48/36/31/383483631.db2.gz WOYJZQOZXFPKGB-SNVBAGLBSA-N 0 0 294.355 2.715 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc(F)cc1F ZINC000340426245 383417987 /nfs/dbraw/zinc/41/79/87/383417987.db2.gz OXPWSCOVLMZRAM-SSDOTTSWSA-N 0 0 295.245 2.692 20 5 CFBDRN C/C=C\CS(=O)(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000255550877 383484170 /nfs/dbraw/zinc/48/41/70/383484170.db2.gz ZGIPCYSDFCPUJF-XOULXFPDSA-N 0 0 269.322 2.647 20 5 CFBDRN COc1cc([C@@H](C)Nc2nc(C)ccc2[N+](=O)[O-])ccn1 ZINC000340908195 383499246 /nfs/dbraw/zinc/49/92/46/383499246.db2.gz YIGZTBNDSDARJQ-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CC12CCCC2 ZINC000340902904 383499628 /nfs/dbraw/zinc/49/96/28/383499628.db2.gz KIZLXDCSLKDQGB-SNVBAGLBSA-N 0 0 264.329 2.864 20 5 CFBDRN CC(C)(C(=O)NCCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000340909161 383500424 /nfs/dbraw/zinc/50/04/24/383500424.db2.gz NFIDWWBOLWCJIZ-UHFFFAOYSA-N 0 0 276.336 2.789 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC(c2cccc(Cl)c2)C1 ZINC000450816383 383501640 /nfs/dbraw/zinc/50/16/40/383501640.db2.gz BRWGHLKJJPNTLP-UHFFFAOYSA-N 0 0 292.726 2.586 20 5 CFBDRN C[C@@H](O)CCCn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000450819681 383502166 /nfs/dbraw/zinc/50/21/66/383502166.db2.gz GWOFELKPFSKONT-LLVKDONJSA-N 0 0 275.308 2.619 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@@H](CC(F)(F)F)C1 ZINC000340934021 383507643 /nfs/dbraw/zinc/50/76/43/383507643.db2.gz UVTOZYHRRCQZPV-QMMMGPOBSA-N 0 0 275.230 2.769 20 5 CFBDRN CC1(C)CCCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000569544731 383510369 /nfs/dbraw/zinc/51/03/69/383510369.db2.gz PHZXCEOSBBCOII-UHFFFAOYSA-N 0 0 260.297 2.850 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)[C@H](CO)CC(C)(C)C)n1 ZINC000450830861 383510891 /nfs/dbraw/zinc/51/08/91/383510891.db2.gz FEJZREUIMTVSOM-LBPRGKRZSA-N 0 0 295.383 2.840 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1cn(C(C)C)nn1 ZINC000488276029 383512143 /nfs/dbraw/zinc/51/21/43/383512143.db2.gz CHWZUVUXWBKZKT-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H](C)Cc1cnn(C)c1 ZINC000450839005 383514827 /nfs/dbraw/zinc/51/48/27/383514827.db2.gz GGGOTSFECPOKMG-LLVKDONJSA-N 0 0 274.324 2.680 20 5 CFBDRN CCC(=O)c1ccc(NCC[C@H](O)CC)c([N+](=O)[O-])c1 ZINC000280787314 194973686 /nfs/dbraw/zinc/97/36/86/194973686.db2.gz UFCMQYKQLNGTER-LLVKDONJSA-N 0 0 280.324 2.760 20 5 CFBDRN C[C@H](O)CCOc1ccc([N+](=O)[O-])cc1Br ZINC000450842446 383517178 /nfs/dbraw/zinc/51/71/78/383517178.db2.gz FYGISLGFTASRIB-ZETCQYMHSA-N 0 0 290.113 2.507 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000450859188 383525622 /nfs/dbraw/zinc/52/56/22/383525622.db2.gz MOUYBZYHTCWPAB-MFKMUULPSA-N 0 0 279.340 2.832 20 5 CFBDRN CCN(c1cc(C)ccc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000569776924 383536092 /nfs/dbraw/zinc/53/60/92/383536092.db2.gz YACUQDRDSHEQEK-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN O=[N+]([O-])c1cn(CC2CC(F)(F)C2)nc1C1CC1 ZINC000450899446 383541805 /nfs/dbraw/zinc/54/18/05/383541805.db2.gz DULSZYKYDCROGE-UHFFFAOYSA-N 0 0 257.240 2.714 20 5 CFBDRN Cc1cc(OCC(=O)N2[C@H](C)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000430790801 383601876 /nfs/dbraw/zinc/60/18/76/383601876.db2.gz AZVSGMKWIAAVLB-TXEJJXNPSA-N 0 0 292.335 2.681 20 5 CFBDRN Cc1cc(OCC(=O)N(C)[C@@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000430829159 383604545 /nfs/dbraw/zinc/60/45/45/383604545.db2.gz DSENUPDGBCPDRH-CYBMUJFWSA-N 0 0 292.335 2.539 20 5 CFBDRN COC[C@@H]1CCCN(c2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000450938828 383559333 /nfs/dbraw/zinc/55/93/33/383559333.db2.gz BHWQQQJVAAVNAR-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Cn1cc(-n2cccc2)cn1 ZINC000429808746 383571338 /nfs/dbraw/zinc/57/13/38/383571338.db2.gz XWRCICIAEGQYJH-UHFFFAOYSA-N 0 0 298.302 2.639 20 5 CFBDRN CCO[C@H]1CC[N@H+](CCc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000451526280 383634949 /nfs/dbraw/zinc/63/49/49/383634949.db2.gz GMUONAHAAKBJKQ-CJNGLKHVSA-N 0 0 292.379 2.884 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000431150056 383634994 /nfs/dbraw/zinc/63/49/94/383634994.db2.gz FCDICQVJORSYAQ-CMPLNLGQSA-N 0 0 280.711 2.777 20 5 CFBDRN Cc1nn(C)c(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1C ZINC000264526783 383751094 /nfs/dbraw/zinc/75/10/94/383751094.db2.gz QSVUZSQVPTYWFF-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(C[C@@H]2CCCO2)no1 ZINC000264562827 383755356 /nfs/dbraw/zinc/75/53/56/383755356.db2.gz ARXPVKMULFDVHT-NSHDSACASA-N 0 0 289.291 2.675 20 5 CFBDRN Cc1cccc(-c2nc(CC3CC3)no2)c1[N+](=O)[O-] ZINC000572121686 383756515 /nfs/dbraw/zinc/75/65/15/383756515.db2.gz KAFBATBETINNRD-UHFFFAOYSA-N 0 0 259.265 2.906 20 5 CFBDRN CCCO[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000264574363 383757339 /nfs/dbraw/zinc/75/73/39/383757339.db2.gz VJXRAPIXVYSBNS-NSHDSACASA-N 0 0 296.323 2.609 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CCOc2ccccc21 ZINC000264587277 383758433 /nfs/dbraw/zinc/75/84/33/383758433.db2.gz XQGLEFXXGMIBGL-LLVKDONJSA-N 0 0 285.303 2.968 20 5 CFBDRN COCc1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 ZINC000264637029 383766611 /nfs/dbraw/zinc/76/66/11/383766611.db2.gz UQCLEYQZXXMKOK-MRVPVSSYSA-N 0 0 295.320 2.978 20 5 CFBDRN CC(C)Oc1nc(S[C@@H](C)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000572303725 383771803 /nfs/dbraw/zinc/77/18/03/383771803.db2.gz VPEJTNIVVIBIAU-BDAKNGLRSA-N 0 0 286.353 2.638 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC12CCC2 ZINC000434955471 383772025 /nfs/dbraw/zinc/77/20/25/383772025.db2.gz PUZAYYNCLGPTOZ-UHFFFAOYSA-N 0 0 253.689 2.776 20 5 CFBDRN CCc1nc([C@H](C)Nc2c([N+](=O)[O-])ncn2C)cs1 ZINC000264710846 383774473 /nfs/dbraw/zinc/77/44/73/383774473.db2.gz GIFRRPWWXMGNDR-ZETCQYMHSA-N 0 0 281.341 2.520 20 5 CFBDRN O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCCC1 ZINC000435820689 383780293 /nfs/dbraw/zinc/78/02/93/383780293.db2.gz XGZIGXYFXKPENB-UHFFFAOYSA-N 0 0 292.338 2.917 20 5 CFBDRN CC(C)c1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000263430483 383666046 /nfs/dbraw/zinc/66/60/46/383666046.db2.gz RZKLLRWKVSKVGF-LBPRGKRZSA-N 0 0 292.335 2.625 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2Cl)[C@@H]2COC[C@@H]21 ZINC000571136436 383666373 /nfs/dbraw/zinc/66/63/73/383666373.db2.gz UNLKIHSJBHPHSQ-GXSJLCMTSA-N 0 0 297.742 2.504 20 5 CFBDRN Cc1ccc(C[NH2+]C[C@@H](CC(C)C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000263508106 383674407 /nfs/dbraw/zinc/67/44/07/383674407.db2.gz WMJCWZINIUYOTD-CYBMUJFWSA-N 0 0 294.351 2.740 20 5 CFBDRN Cc1c(-c2noc(CCOC(C)C)n2)cccc1[N+](=O)[O-] ZINC000453251522 383816306 /nfs/dbraw/zinc/81/63/06/383816306.db2.gz HZOIYRZNTDKJGB-UHFFFAOYSA-N 0 0 291.307 2.921 20 5 CFBDRN COCC1(CC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000572603716 383798056 /nfs/dbraw/zinc/79/80/56/383798056.db2.gz ZLSYLGOGHOSNFT-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CCCCCN(CCO)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000264903088 383798121 /nfs/dbraw/zinc/79/81/21/383798121.db2.gz ZKMMBUURLVGYPK-UHFFFAOYSA-N 0 0 295.339 2.611 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])cn1C)C(C)(C)C ZINC000531260756 383840548 /nfs/dbraw/zinc/84/05/48/383840548.db2.gz LNAQRNQXZXHZIQ-UHFFFAOYSA-N 0 0 267.329 2.584 20 5 CFBDRN Cc1cc(N[C@H]2CCN(C(C)(C)C)C2=O)ccc1[N+](=O)[O-] ZINC000573087145 383868238 /nfs/dbraw/zinc/86/82/38/383868238.db2.gz UYGNTOYJBHNAGZ-LBPRGKRZSA-N 0 0 291.351 2.715 20 5 CFBDRN CC(C)(C)[S@@](=O)CCNc1ccc([N+](=O)[O-])cc1 ZINC000265232614 383869920 /nfs/dbraw/zinc/86/99/20/383869920.db2.gz CKDDOALIJMBTQT-SFHVURJKSA-N 0 0 270.354 2.554 20 5 CFBDRN C[C@@H](C(=O)NCc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000265258200 383876725 /nfs/dbraw/zinc/87/67/25/383876725.db2.gz LILTYXNAORTICR-JTQLQIEISA-N 0 0 264.325 2.893 20 5 CFBDRN Cc1nonc1CN(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000265101186 383832519 /nfs/dbraw/zinc/83/25/19/383832519.db2.gz DYJQQMIVBXHBSW-UHFFFAOYSA-N 0 0 290.323 2.697 20 5 CFBDRN CC[C@@H](O)[C@H](CC)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000454136715 383911037 /nfs/dbraw/zinc/91/10/37/383911037.db2.gz AFTQRQAKWUVYQN-WCQYABFASA-N 0 0 295.339 2.574 20 5 CFBDRN CC[C@H](OC)C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000265428736 383913408 /nfs/dbraw/zinc/91/34/08/383913408.db2.gz QYLWPXKJMZHLEU-JTQLQIEISA-N 0 0 287.699 2.887 20 5 CFBDRN COc1cc(NCc2cc(C)on2)c([N+](=O)[O-])cc1F ZINC000531821592 383928154 /nfs/dbraw/zinc/92/81/54/383928154.db2.gz LQVDIZJZVFIXDT-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000265481657 383929355 /nfs/dbraw/zinc/92/93/55/383929355.db2.gz DFRFFDVIFUPUHS-SSDOTTSWSA-N 0 0 295.730 2.858 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000531536387 383882741 /nfs/dbraw/zinc/88/27/41/383882741.db2.gz DDQBEIFMZHQCAY-GXTWGEPZSA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1ccccc1[C@H](O)CNc1nccc(C)c1[N+](=O)[O-] ZINC000265292060 383887053 /nfs/dbraw/zinc/88/70/53/383887053.db2.gz YHKMLIBVYPWVGC-CYBMUJFWSA-N 0 0 287.319 2.752 20 5 CFBDRN CCOc1ccc(Oc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000265316349 383894039 /nfs/dbraw/zinc/89/40/39/383894039.db2.gz RLRZLFHBQQGQOS-UHFFFAOYSA-N 0 0 277.280 2.828 20 5 CFBDRN Cc1cccc([C@H](C)CNC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000531629107 383899666 /nfs/dbraw/zinc/89/96/66/383899666.db2.gz PENUAGBOVNUIPX-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN Cc1cc(C)cc(CCNC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000531629053 383899849 /nfs/dbraw/zinc/89/98/49/383899849.db2.gz PIWQUCNTDLJIQS-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cn1)[C@H]1CCc2ccccc21 ZINC000531633655 383902164 /nfs/dbraw/zinc/90/21/64/383902164.db2.gz QCGDNRWFOYSFLA-HNNXBMFYSA-N 0 0 297.314 2.749 20 5 CFBDRN COCC1(CC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)CC1 ZINC000573848796 384001929 /nfs/dbraw/zinc/00/19/29/384001929.db2.gz HPRCMYSTDKYLEM-UHFFFAOYSA-N 0 0 292.335 2.967 20 5 CFBDRN CC(C)=CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000454288248 383978363 /nfs/dbraw/zinc/97/83/63/383978363.db2.gz PSVNLHILMRXMNW-SNVBAGLBSA-N 0 0 265.313 2.788 20 5 CFBDRN Cc1cc(C)cc(NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000532137690 383982445 /nfs/dbraw/zinc/98/24/45/383982445.db2.gz PSSCEYOEDQOZDO-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC[C@](C)(CNC(=O)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000454299150 383982578 /nfs/dbraw/zinc/98/25/78/383982578.db2.gz GJSKKWWTWBMZLB-CYBMUJFWSA-N 0 0 281.312 2.531 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000265538818 383940524 /nfs/dbraw/zinc/94/05/24/383940524.db2.gz RCDZIWYURDDFNK-UHFFFAOYSA-N 0 0 256.327 2.917 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000438087929 383946179 /nfs/dbraw/zinc/94/61/79/383946179.db2.gz ZZNMZOHUAHXMKS-PSASIEDQSA-N 0 0 280.349 2.845 20 5 CFBDRN CCc1cnc(CN2CCc3ccc([N+](=O)[O-])cc3C2)o1 ZINC000532038690 383964127 /nfs/dbraw/zinc/96/41/27/383964127.db2.gz YIJHSNCEQOMKGF-UHFFFAOYSA-N 0 0 287.319 2.704 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H](O)[C@H](C2CCCC2)C1 ZINC000573932659 384017074 /nfs/dbraw/zinc/01/70/74/384017074.db2.gz XGTVBFNBWBDKTI-XJKSGUPXSA-N 0 0 290.363 2.972 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1CCC[C@@H]1F)c1ccccc1[N+](=O)[O-] ZINC000454753280 384020804 /nfs/dbraw/zinc/02/08/04/384020804.db2.gz FDXRCTYIMZBGAI-ADEWGFFLSA-N 0 0 295.314 2.846 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1CCC[C@@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000454366811 384010047 /nfs/dbraw/zinc/01/00/47/384010047.db2.gz QJHQWEASCKHBKW-JIMOISOXSA-N 0 0 295.314 2.846 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@H]3OCCC[C@@H]23)n1 ZINC000438884072 384027665 /nfs/dbraw/zinc/02/76/65/384027665.db2.gz PCFRIGPHJKLHPW-QJPTWQEYSA-N 0 0 277.324 2.586 20 5 CFBDRN CC1(C)[C@H](Nc2cccc(F)c2[N+](=O)[O-])[C@H]2CCO[C@H]21 ZINC000265953075 384036490 /nfs/dbraw/zinc/03/64/90/384036490.db2.gz HRGPKURFGNDDQN-BZHVJNSISA-N 0 0 280.299 2.959 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC1CCC1 ZINC000265968261 384037454 /nfs/dbraw/zinc/03/74/54/384037454.db2.gz AKEPMTRSLMMSIL-UHFFFAOYSA-N 0 0 250.298 2.953 20 5 CFBDRN CCC[N@H+](Cc1ccccc1[N+](=O)[O-])CC(C)(C)O ZINC000265979047 384041881 /nfs/dbraw/zinc/04/18/81/384041881.db2.gz APKXEVFJQMNDOW-UHFFFAOYSA-N 0 0 266.341 2.578 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000266021221 384056760 /nfs/dbraw/zinc/05/67/60/384056760.db2.gz CGQWPDGWMHANRS-LLVKDONJSA-N 0 0 294.351 2.837 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCc1ccccn1 ZINC000266021660 384056947 /nfs/dbraw/zinc/05/69/47/384056947.db2.gz QALBEALESHQVSS-UHFFFAOYSA-N 0 0 287.319 2.791 20 5 CFBDRN CC(F)(F)CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000574306389 384077417 /nfs/dbraw/zinc/07/74/17/384077417.db2.gz VDXJQJDGCAXFDG-UHFFFAOYSA-N 0 0 286.278 2.644 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1occc1C ZINC000266169233 384102541 /nfs/dbraw/zinc/10/25/41/384102541.db2.gz BRRSXRUFSZVUTQ-UHFFFAOYSA-N 0 0 276.292 2.795 20 5 CFBDRN COC(=O)CC1(COc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000440591903 384109437 /nfs/dbraw/zinc/10/94/37/384109437.db2.gz CSIJSIUGUADBKW-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000266196429 384112568 /nfs/dbraw/zinc/11/25/68/384112568.db2.gz CKUKZFSCYBBJML-KWQFWETISA-N 0 0 292.295 2.501 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC(C)(C)C[C@@H](C)O)c1 ZINC000266252060 384128996 /nfs/dbraw/zinc/12/89/96/384128996.db2.gz NGSGIHJXBLLHGG-SNVBAGLBSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)C[C@@H](C)O1 ZINC000266327957 384150003 /nfs/dbraw/zinc/15/00/03/384150003.db2.gz GPFXMLYAHQTANQ-ZYHUDNBSSA-N 0 0 280.324 2.607 20 5 CFBDRN Cc1cccc(C(=O)N2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000266332878 384151561 /nfs/dbraw/zinc/15/15/61/384151561.db2.gz XZSDNPFKJOQPKF-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CCC[C@H]1[C@@H](C)CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000266294070 384138654 /nfs/dbraw/zinc/13/86/54/384138654.db2.gz BFTGIYCSEAHMNH-JQWIXIFHSA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1cc(NC[C@](C)(O)c2ccco2)ccc1[N+](=O)[O-] ZINC000266306808 384142048 /nfs/dbraw/zinc/14/20/48/384142048.db2.gz ZMUAXBUMOKZCPJ-AWEZNQCLSA-N 0 0 276.292 2.816 20 5 CFBDRN O=C1OCCN1c1cc([N+](=O)[O-])ccc1NC1CCC1 ZINC000266427065 384172490 /nfs/dbraw/zinc/17/24/90/384172490.db2.gz TUIOTTWWMCIZOD-UHFFFAOYSA-N 0 0 277.280 2.516 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@H]1c1ncon1 ZINC000372722989 285089636 /nfs/dbraw/zinc/08/96/36/285089636.db2.gz XNAYVOOJWKSRHN-JTQLQIEISA-N 0 0 294.698 2.973 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1Sc1nnnn1C1CC1 ZINC000266466119 384181028 /nfs/dbraw/zinc/18/10/28/384181028.db2.gz RQVBKGXEOLJJHJ-UHFFFAOYSA-N 0 0 297.727 2.721 20 5 CFBDRN COC(=O)[C@@H]1CCC[N@@H+]([C@@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000266564313 384199102 /nfs/dbraw/zinc/19/91/02/384199102.db2.gz ZCNXVFKUZNSZGK-NWDGAFQWSA-N 0 0 292.335 2.541 20 5 CFBDRN CCC1(O)CCN(Cc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000266568815 384199934 /nfs/dbraw/zinc/19/99/34/384199934.db2.gz CCRVHJQSUQSSET-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000266631811 384211490 /nfs/dbraw/zinc/21/14/90/384211490.db2.gz XASKKMFNTIXCAY-CABZTGNLSA-N 0 0 280.349 2.668 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CC=CCC1 ZINC000277140636 384213205 /nfs/dbraw/zinc/21/32/05/384213205.db2.gz USINOHBCPSHAMP-NSHDSACASA-N 0 0 290.319 2.690 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc(-c3ccncc3)no2)c1 ZINC000349863220 285094437 /nfs/dbraw/zinc/09/44/37/285094437.db2.gz YWVLVCDVKFFWOG-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC(CC(F)F)C1 ZINC000425287445 285095208 /nfs/dbraw/zinc/09/52/08/285095208.db2.gz RRCDNZGYTJXLPM-UHFFFAOYSA-N 0 0 260.215 2.825 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@@H](C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000277179570 384223720 /nfs/dbraw/zinc/22/37/20/384223720.db2.gz VKLFXOGQHPJADZ-SECBINFHSA-N 0 0 296.371 2.819 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2COC)C[C@@H](C)O1 ZINC000267001977 384276869 /nfs/dbraw/zinc/27/68/69/384276869.db2.gz FRUATRGFWUAEKH-RISCZKNCSA-N 0 0 294.351 2.745 20 5 CFBDRN O=[N+]([O-])c1cccc(C[N@@H+]2CCC[C@H](c3ncc[nH]3)C2)c1 ZINC000266887260 384254438 /nfs/dbraw/zinc/25/44/38/384254438.db2.gz BSIOIYAEVUALTQ-ZDUSSCGKSA-N 0 0 286.335 2.698 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC[C@H]2C2CC2)s1 ZINC000450650305 285099665 /nfs/dbraw/zinc/09/96/65/285099665.db2.gz ZELMWGADPVISAH-VIFPVBQESA-N 0 0 276.321 2.588 20 5 CFBDRN CC(=O)NC1CCC(Nc2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000266937881 384266332 /nfs/dbraw/zinc/26/63/32/384266332.db2.gz AHFBXMXFWYONRT-UHFFFAOYSA-N 0 0 291.351 2.762 20 5 CFBDRN C[C@@H]1C[N@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C(C)(C)C1 ZINC000266960967 384269376 /nfs/dbraw/zinc/26/93/76/384269376.db2.gz XOIPPGWRWSJJGQ-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN Nc1c(F)c(NC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000277244699 384242276 /nfs/dbraw/zinc/24/22/76/384242276.db2.gz VAEBPBCNCCMIKU-UHFFFAOYSA-N 0 0 261.203 2.526 20 5 CFBDRN CCC(CC)(CO)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000267186108 384318182 /nfs/dbraw/zinc/31/81/82/384318182.db2.gz LIYCGDHNUUUQQF-UHFFFAOYSA-N 0 0 295.339 2.576 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000267017875 384280458 /nfs/dbraw/zinc/28/04/58/384280458.db2.gz JSMBQHUVYUUVPX-GXFFZTMASA-N 0 0 282.315 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@H]3CCCC[C@H]32)c(F)c1 ZINC000267037808 384284080 /nfs/dbraw/zinc/28/40/80/384284080.db2.gz OEMHKYPXNQQZOB-CABCVRRESA-N 0 0 294.326 2.877 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2CCC[C@@H]2F)s1 ZINC000338824846 285100232 /nfs/dbraw/zinc/10/02/32/285100232.db2.gz QZYTZBLJGQDUHH-JGVFFNPUSA-N 0 0 282.300 2.902 20 5 CFBDRN Cc1cc(CN(C)Cc2ccc([N+](=O)[O-])cc2F)no1 ZINC000267079727 384295517 /nfs/dbraw/zinc/29/55/17/384295517.db2.gz XTIGTPYGXSULRH-UHFFFAOYSA-N 0 0 279.271 2.662 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@](C)(O)c1ccco1 ZINC000267102906 384300814 /nfs/dbraw/zinc/30/08/14/384300814.db2.gz WZILXWQGVNTBBO-AWEZNQCLSA-N 0 0 276.292 2.816 20 5 CFBDRN CCc1ccccc1CCn1cc([N+](=O)[O-])c(C)n1 ZINC000277557765 384336457 /nfs/dbraw/zinc/33/64/57/384336457.db2.gz IIZVCVVSPZRUCJ-UHFFFAOYSA-N 0 0 259.309 2.905 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000267291921 384350898 /nfs/dbraw/zinc/35/08/98/384350898.db2.gz VTIDJXGXDOAFTN-GFCCVEGCSA-N 0 0 294.376 2.783 20 5 CFBDRN Cc1ccc(N[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC000277620510 384356519 /nfs/dbraw/zinc/35/65/19/384356519.db2.gz MIKWXJSCJLSQCS-MNOVXSKESA-N 0 0 250.298 2.740 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000277638514 384361283 /nfs/dbraw/zinc/36/12/83/384361283.db2.gz NLJRZSGQJXJJMM-CABZTGNLSA-N 0 0 278.308 2.634 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC000277638517 384361353 /nfs/dbraw/zinc/36/13/53/384361353.db2.gz NLJRZSGQJXJJMM-SKDRFNHKSA-N 0 0 278.308 2.634 20 5 CFBDRN CCOCC[C@@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000277515601 384323976 /nfs/dbraw/zinc/32/39/76/384323976.db2.gz NMZAHMYTOYPXCY-CYBMUJFWSA-N 0 0 285.365 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H]3CCC[C@@H]32)c2ccncc21 ZINC000267218630 384327615 /nfs/dbraw/zinc/32/76/15/384327615.db2.gz CNWDXXMUJRWQSF-JKSUJKDBSA-N 0 0 299.330 2.901 20 5 CFBDRN CCc1nnc(Oc2ccc([N+](=O)[O-])cc2)nc1CC ZINC000277753713 384395067 /nfs/dbraw/zinc/39/50/67/384395067.db2.gz JQDYFTRERJGXFY-UHFFFAOYSA-N 0 0 274.280 2.697 20 5 CFBDRN CCC[C@@]1(CO)CCC[N@@H+]1Cc1ccccc1[N+](=O)[O-] ZINC000277787004 384405137 /nfs/dbraw/zinc/40/51/37/384405137.db2.gz OIQJWRPZKKOECM-HNNXBMFYSA-N 0 0 278.352 2.722 20 5 CFBDRN CC(C)NC(=O)c1ccc(N(C)C2CCC2)c([N+](=O)[O-])c1 ZINC000267526663 384408190 /nfs/dbraw/zinc/40/81/90/384408190.db2.gz OHVLVMSPMKKHJO-UHFFFAOYSA-N 0 0 291.351 2.722 20 5 CFBDRN CCc1ccccc1CCn1cc([N+](=O)[O-])ccc1=O ZINC000277817540 384414134 /nfs/dbraw/zinc/41/41/34/384414134.db2.gz XPFZPDSBSSAJOY-UHFFFAOYSA-N 0 0 272.304 2.562 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)COC(C)C)cc1[N+](=O)[O-] ZINC000267719567 384451529 /nfs/dbraw/zinc/45/15/29/384451529.db2.gz YHAIEROFJNSMQU-NSHDSACASA-N 0 0 280.324 2.505 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@H]1C ZINC000277993350 384455643 /nfs/dbraw/zinc/45/56/43/384455643.db2.gz NJDPXABDPFEOBK-MWLCHTKSSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2cc(C)c([N+](=O)[O-])cn2)cc1 ZINC000277873474 384429635 /nfs/dbraw/zinc/42/96/35/384429635.db2.gz VLDAFBHCRVPKHW-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN C[C@@H]1CCCN1C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000267643328 384432575 /nfs/dbraw/zinc/43/25/75/384432575.db2.gz BBOCJMRDGIYVTC-LLVKDONJSA-N 0 0 287.319 2.560 20 5 CFBDRN CO[C@@H](C)c1nc(CNc2ccc([N+](=O)[O-])cn2)cs1 ZINC000267817526 384472788 /nfs/dbraw/zinc/47/27/88/384472788.db2.gz WCMDLHWLAKOUJV-QMMMGPOBSA-N 0 0 294.336 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC[C@@H]3CCCO3)n2)s1 ZINC000278107585 384476511 /nfs/dbraw/zinc/47/65/11/384476511.db2.gz INJXRTYPRQDTDI-QMMMGPOBSA-N 0 0 295.320 2.818 20 5 CFBDRN O=C(C=C1CCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000267983830 384503063 /nfs/dbraw/zinc/50/30/63/384503063.db2.gz XGQZPUWAEIEXCB-UHFFFAOYSA-N 0 0 250.229 2.783 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CCC(C)(C)O2)cccc1[N+](=O)[O-] ZINC000278226425 384504239 /nfs/dbraw/zinc/50/42/39/384504239.db2.gz JBDSRNMTUXIXGD-LBPRGKRZSA-N 0 0 279.292 2.766 20 5 CFBDRN CO[C@H](C)CCNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000268000384 384508127 /nfs/dbraw/zinc/50/81/27/384508127.db2.gz UDVLQGAUYYRIGE-SNVBAGLBSA-N 0 0 295.339 2.758 20 5 CFBDRN C[C@@H]1CC/C(=C\C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000267931965 384492487 /nfs/dbraw/zinc/49/24/87/384492487.db2.gz FRPRKWKLYDEGLH-RVROTUBLSA-N 0 0 288.347 3.000 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CC3)C2)c2ccncc21 ZINC000450580357 285120372 /nfs/dbraw/zinc/12/03/72/285120372.db2.gz MBJCJHIUMHTGTA-UHFFFAOYSA-N 0 0 255.277 2.743 20 5 CFBDRN CC(C)N(CC1CCC1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000278297065 384521485 /nfs/dbraw/zinc/52/14/85/384521485.db2.gz BMWOGYNLOREDER-UHFFFAOYSA-N 0 0 265.313 2.574 20 5 CFBDRN O=C(NCC1CCCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000268090106 384528270 /nfs/dbraw/zinc/52/82/70/384528270.db2.gz APZDJRSBPJIAHR-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1C[C@H]2CCC[C@@H]2C1 ZINC000268098978 384531981 /nfs/dbraw/zinc/53/19/81/384531981.db2.gz FERUGSSZGXVBDV-VXGBXAGGSA-N 0 0 299.330 2.948 20 5 CFBDRN CS[C@@H](C)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268180333 384556903 /nfs/dbraw/zinc/55/69/03/384556903.db2.gz CLVGHCIIPNZVII-ZETCQYMHSA-N 0 0 288.756 2.616 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)C1CCC1 ZINC000268203564 384563215 /nfs/dbraw/zinc/56/32/15/384563215.db2.gz GUNXRKYNAVLGLD-UHFFFAOYSA-N 0 0 268.700 2.665 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Oc2cc([N+](=O)[O-])ccc2C)O1 ZINC000268217713 384568819 /nfs/dbraw/zinc/56/88/19/384568819.db2.gz CMSBHHOJUBKJJC-VXGBXAGGSA-N 0 0 279.292 2.766 20 5 CFBDRN CC(C)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268227849 384573455 /nfs/dbraw/zinc/57/34/55/384573455.db2.gz LEBFEQHORJNOLX-UHFFFAOYSA-N 0 0 256.689 2.520 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)[C@H]1CCCCO1 ZINC000278438958 384573380 /nfs/dbraw/zinc/57/33/80/384573380.db2.gz DXGLQQSNICLXGE-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1F)C1CCC(CO)CC1 ZINC000268237984 384575935 /nfs/dbraw/zinc/57/59/35/384575935.db2.gz CNIKEDRPJFRUMG-UHFFFAOYSA-N 0 0 282.315 2.721 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000289308947 197292381 /nfs/dbraw/zinc/29/23/81/197292381.db2.gz WDJPCJURTSHRNP-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CO[C@H](C)CCNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000278459984 384583196 /nfs/dbraw/zinc/58/31/96/384583196.db2.gz SRBQVZDCUXJDKR-SSDOTTSWSA-N 0 0 293.245 2.846 20 5 CFBDRN Cc1cnc(N2CC[C@@H](OCC(C)C)C2)c([N+](=O)[O-])c1 ZINC000268269775 384585422 /nfs/dbraw/zinc/58/54/22/384585422.db2.gz NCQQHLRHDMSNED-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN CC(C)(O)CONc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000278469821 384586995 /nfs/dbraw/zinc/58/69/95/384586995.db2.gz CRWKQUPKZMMKGB-UHFFFAOYSA-N 0 0 294.229 2.728 20 5 CFBDRN COC[C@H]1CCCN(c2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000268205896 384565092 /nfs/dbraw/zinc/56/50/92/384565092.db2.gz PGJYHVUJTZZHHY-JTQLQIEISA-N 0 0 298.314 2.605 20 5 CFBDRN CCn1cc(CNCc2cc([N+](=O)[O-])ccc2Cl)cn1 ZINC000268323865 384602918 /nfs/dbraw/zinc/60/29/18/384602918.db2.gz LPSAOXXBKYZSBD-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCSCC1 ZINC000279355618 384613163 /nfs/dbraw/zinc/61/31/63/384613163.db2.gz JVOZUFDCNZPIEO-UHFFFAOYSA-N 0 0 258.730 2.801 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000268361108 384613859 /nfs/dbraw/zinc/61/38/59/384613859.db2.gz CLTURQUFLCXREO-BZPMIXESSA-N 0 0 292.335 2.973 20 5 CFBDRN CC1(C)CCN(C(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000279414869 384629399 /nfs/dbraw/zinc/62/93/99/384629399.db2.gz XMCLCNSBGFWMGC-UHFFFAOYSA-N 0 0 294.376 2.953 20 5 CFBDRN COCCN(Cc1ccco1)c1ncc(C)cc1[N+](=O)[O-] ZINC000268299216 384593320 /nfs/dbraw/zinc/59/33/20/384593320.db2.gz GQVDENCQPFSWKC-UHFFFAOYSA-N 0 0 291.307 2.544 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCOC3(CCCC3)C2)c1 ZINC000268882535 384683667 /nfs/dbraw/zinc/68/36/67/384683667.db2.gz WNQPLKJMBRCZQA-UHFFFAOYSA-N 0 0 292.335 2.753 20 5 CFBDRN COc1cc(NC[C@H]2CCSC2)c([N+](=O)[O-])cc1F ZINC000279632690 384700379 /nfs/dbraw/zinc/70/03/79/384700379.db2.gz ZIUQOYQGPCKYEM-MRVPVSSYSA-N 0 0 286.328 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCc3ccccc3C2)nc1 ZINC000048322091 285136643 /nfs/dbraw/zinc/13/66/43/285136643.db2.gz IMVFVNVXMBOGTO-ZDUSSCGKSA-N 0 0 269.304 2.959 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCc3ccccc3OC2)nc1 ZINC000367165123 285136887 /nfs/dbraw/zinc/13/68/87/285136887.db2.gz MHTXZZOFIOMVQM-LBPRGKRZSA-N 0 0 285.303 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CCC[C@@H]3F)ccc2c1 ZINC000338826369 285139335 /nfs/dbraw/zinc/13/93/35/285139335.db2.gz DCZKUGSWRWPDFG-WCQYABFASA-N 0 0 275.283 2.867 20 5 CFBDRN Cc1c(CC(=O)NCCC2(C)CC2)cccc1[N+](=O)[O-] ZINC000279872294 384793171 /nfs/dbraw/zinc/79/31/71/384793171.db2.gz CADSSBUNDIRFLA-UHFFFAOYSA-N 0 0 276.336 2.752 20 5 CFBDRN C[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)c1cnn(C)c1 ZINC000269606877 384802095 /nfs/dbraw/zinc/80/20/95/384802095.db2.gz CMYIWNIQCWBRRX-SSDOTTSWSA-N 0 0 282.250 2.780 20 5 CFBDRN COCC1CCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000269623572 384808092 /nfs/dbraw/zinc/80/80/92/384808092.db2.gz JANXZVPMNFIOAP-UHFFFAOYSA-N 0 0 286.278 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncc(Cl)cn2)cc1 ZINC000359003795 285138012 /nfs/dbraw/zinc/13/80/12/285138012.db2.gz OGKBCRXIABWTTE-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2(C3CC3)CC2)c1 ZINC000269810702 384872439 /nfs/dbraw/zinc/87/24/39/384872439.db2.gz NCTOBFAALKOYPS-UHFFFAOYSA-N 0 0 274.320 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(Nc3cc4n(n3)CCCC4)nc2c1 ZINC000295640734 285141404 /nfs/dbraw/zinc/14/14/04/285141404.db2.gz DOQIEJWHKKTFCA-UHFFFAOYSA-N 0 0 298.306 2.748 20 5 CFBDRN CCC(=O)c1ccc(NC(C)(C)[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000280037924 384858668 /nfs/dbraw/zinc/85/86/68/384858668.db2.gz AOOWXQTTWFMCQQ-SECBINFHSA-N 0 0 280.324 2.759 20 5 CFBDRN COc1cc(OCc2nc(C)c(C)o2)ccc1[N+](=O)[O-] ZINC000280036208 384859286 /nfs/dbraw/zinc/85/92/86/384859286.db2.gz WKUWWWCFPUUDLW-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN CCOCCO[C@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000269748655 384860907 /nfs/dbraw/zinc/86/09/07/384860907.db2.gz SIZXJXMWIBBNAR-ZDUSSCGKSA-N 0 0 294.351 2.535 20 5 CFBDRN CC(C)(C(=O)Nc1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000280271893 384955143 /nfs/dbraw/zinc/95/51/43/384955143.db2.gz CVKLAIUWXXPSBP-UHFFFAOYSA-N 0 0 292.335 2.986 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)[C@H]1C ZINC000280276961 384956993 /nfs/dbraw/zinc/95/69/93/384956993.db2.gz DIDNVPILFQQENG-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@H]3CCO[C@H]3C23CCC3)cc1 ZINC000269882896 384892102 /nfs/dbraw/zinc/89/21/02/384892102.db2.gz AMSOWRWUGDFIFS-MGPQQGTHSA-N 0 0 274.320 2.964 20 5 CFBDRN Cc1cc(S[C@@H]2CCO[C@@H]2C)ncc1[N+](=O)[O-] ZINC000269900363 384897719 /nfs/dbraw/zinc/89/77/19/384897719.db2.gz FOVGKOUVCHFSFL-PSASIEDQSA-N 0 0 254.311 2.568 20 5 CFBDRN COc1ccc(OC[C@@H](O)CCC(C)C)c([N+](=O)[O-])c1 ZINC000280155873 384907469 /nfs/dbraw/zinc/90/74/69/384907469.db2.gz AQHZQKRXOGETTC-NSHDSACASA-N 0 0 283.324 2.779 20 5 CFBDRN CSC1(CNC(=O)c2cc(C)ccc2[N+](=O)[O-])CCC1 ZINC000280239614 384940403 /nfs/dbraw/zinc/94/04/03/384940403.db2.gz YJKLHYAKOICYRA-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN CN(c1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CCSC1 ZINC000270156102 384997236 /nfs/dbraw/zinc/99/72/36/384997236.db2.gz IRIYGHKDJZRLMY-ZETCQYMHSA-N 0 0 274.292 2.815 20 5 CFBDRN O=C(NC[C@H]1CCC(F)(F)C1)c1csc([N+](=O)[O-])c1 ZINC000280435197 385014892 /nfs/dbraw/zinc/01/48/92/385014892.db2.gz BORMKMGYDGRLLU-ZETCQYMHSA-N 0 0 290.291 2.822 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000280547412 385054818 /nfs/dbraw/zinc/05/48/18/385054818.db2.gz NMDPYDGFIKEXPE-SNVBAGLBSA-N 0 0 279.340 2.821 20 5 CFBDRN Cc1cnc(N(Cc2cccc([N+](=O)[O-])c2)C2CC2)nc1 ZINC000270338937 385061017 /nfs/dbraw/zinc/06/10/17/385061017.db2.gz YYWZJILQOQSHLU-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000270347356 385064384 /nfs/dbraw/zinc/06/43/84/385064384.db2.gz NHDNBADPBCYOPX-SSDOTTSWSA-N 0 0 272.326 2.767 20 5 CFBDRN CC1(C)C(CNC(=O)c2c(N)cccc2[N+](=O)[O-])C1(C)C ZINC000280572650 385068074 /nfs/dbraw/zinc/06/80/74/385068074.db2.gz OZRJGICWQIFMDP-UHFFFAOYSA-N 0 0 291.351 2.589 20 5 CFBDRN CC1=CCN([C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])CC1 ZINC000270373000 385076820 /nfs/dbraw/zinc/07/68/20/385076820.db2.gz UOQNLQDRGSBJTQ-LBPRGKRZSA-N 0 0 289.335 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@@H](F)C3)ccc2c1 ZINC000450603311 285149902 /nfs/dbraw/zinc/14/99/02/285149902.db2.gz SSCCVQJLZQCMRB-SNVBAGLBSA-N 0 0 261.256 2.691 20 5 CFBDRN CO[C@@H](C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000280504626 385039683 /nfs/dbraw/zinc/03/96/83/385039683.db2.gz RAXWRNYXSQYRMQ-QMTHXVAHSA-N 0 0 292.335 2.505 20 5 CFBDRN CCC(=O)c1ccc(NCC2(C)COC2)c([N+](=O)[O-])c1 ZINC000280756054 385141553 /nfs/dbraw/zinc/14/15/53/385141553.db2.gz QDKZTGJZTGUMGN-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1CCC(F)(F)C1 ZINC000280700977 385118412 /nfs/dbraw/zinc/11/84/12/385118412.db2.gz LLKMZIVHCDURCV-LLVKDONJSA-N 0 0 298.289 2.689 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000280666378 385107426 /nfs/dbraw/zinc/10/74/26/385107426.db2.gz HGCVEORCTPJIAD-WFASDCNBSA-N 0 0 292.335 2.903 20 5 CFBDRN CN(C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])C1CC1 ZINC000281014485 385182106 /nfs/dbraw/zinc/18/21/06/385182106.db2.gz SQDMDGGDCJOWBX-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCCC1 ZINC000281128768 385185306 /nfs/dbraw/zinc/18/53/06/385185306.db2.gz IZCOCMGCAGHIEU-UHFFFAOYSA-N 0 0 297.314 2.893 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCO[C@@H](C2CC2)C1 ZINC000494656920 285154099 /nfs/dbraw/zinc/15/40/99/285154099.db2.gz XRHASCIRYRAJAM-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN COc1ccc(CN2CCC=C(C)C2)cc1[N+](=O)[O-] ZINC000280790302 385154537 /nfs/dbraw/zinc/15/45/37/385154537.db2.gz JMYARPZCKYONCS-UHFFFAOYSA-N 0 0 262.309 2.755 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](C)[C@H](C)CO)c([N+](=O)[O-])c1 ZINC000280814107 385164487 /nfs/dbraw/zinc/16/44/87/385164487.db2.gz XFXFLYQGAJVBPR-NXEZZACHSA-N 0 0 280.324 2.616 20 5 CFBDRN COCCC1(C)CN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000289133074 197243216 /nfs/dbraw/zinc/24/32/16/197243216.db2.gz RQZITDDPIQCNFQ-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1cnc(NCCNc2ccccc2[N+](=O)[O-])nc1C ZINC000270662369 385180938 /nfs/dbraw/zinc/18/09/38/385180938.db2.gz CWQQSKGGZFCUIJ-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(C2)CCCCC3)s1 ZINC000282012755 385206412 /nfs/dbraw/zinc/20/64/12/385206412.db2.gz VGKYRONFWNZZPP-UHFFFAOYSA-N 0 0 253.327 2.822 20 5 CFBDRN Cc1nc(N[C@H](C)c2nnc(C(C)C)[nH]2)ccc1[N+](=O)[O-] ZINC000270726191 385206511 /nfs/dbraw/zinc/20/65/11/385206511.db2.gz POYLEQXQYGYYSE-SECBINFHSA-N 0 0 290.327 2.713 20 5 CFBDRN CCc1occc1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000282090033 385209054 /nfs/dbraw/zinc/20/90/54/385209054.db2.gz XAXDEERITOCWEJ-UHFFFAOYSA-N 0 0 288.303 2.723 20 5 CFBDRN CCOCC(C)(C)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000289102442 197234918 /nfs/dbraw/zinc/23/49/18/197234918.db2.gz PQCUGIIMAJJQDG-UHFFFAOYSA-N 0 0 267.329 2.652 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)C[C@H](C2CC2)O1 ZINC000282470252 385320700 /nfs/dbraw/zinc/32/07/00/385320700.db2.gz KQLPTNMIYVRLEG-MEBBXXQBSA-N 0 0 294.326 2.733 20 5 CFBDRN CC[C@@H](SC)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289087642 197230865 /nfs/dbraw/zinc/23/08/65/197230865.db2.gz QFRPFEHEFPCBAG-CYBMUJFWSA-N 0 0 296.392 2.737 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000271081937 385331006 /nfs/dbraw/zinc/33/10/06/385331006.db2.gz JHEWODUHEXOTJF-QPUJVOFHSA-N 0 0 286.303 2.561 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2C)C1 ZINC000282577419 385345283 /nfs/dbraw/zinc/34/52/83/385345283.db2.gz GSGYLRJWFMLJRG-QWHCGFSZSA-N 0 0 293.319 2.556 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2O[C@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000282583463 385346654 /nfs/dbraw/zinc/34/66/54/385346654.db2.gz PIDDJROAXVWOQF-JRKPZEMJSA-N 0 0 278.308 2.655 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000282620105 385354325 /nfs/dbraw/zinc/35/43/25/385354325.db2.gz JWWDULODXGNTJF-RXFWDACYSA-N 0 0 291.303 2.719 20 5 CFBDRN CCOCCC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000283010010 385423903 /nfs/dbraw/zinc/42/39/03/385423903.db2.gz LLSJOUHCRDJLRD-UHFFFAOYSA-N 0 0 272.688 2.613 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H]2C)c1 ZINC000282847648 385398624 /nfs/dbraw/zinc/39/86/24/385398624.db2.gz NKKBKFHXAUBGNI-GWCFXTLKSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@](C)(O)C(F)(F)F ZINC000271923221 385403036 /nfs/dbraw/zinc/40/30/36/385403036.db2.gz FEOVDUDIEDFQFM-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCCc2c[nH]nc21 ZINC000268839890 285164628 /nfs/dbraw/zinc/16/46/28/285164628.db2.gz OMJFEYNXURLRIQ-NSHDSACASA-N 0 0 258.281 2.807 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NOC1CCCC1 ZINC000272062041 385438188 /nfs/dbraw/zinc/43/81/88/385438188.db2.gz CKWYMRHOWYOJGE-UHFFFAOYSA-N 0 0 298.726 2.781 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC=C(F)C1 ZINC000272098142 385447185 /nfs/dbraw/zinc/44/71/85/385447185.db2.gz MCHFSKHSEALFJV-UHFFFAOYSA-N 0 0 284.674 2.948 20 5 CFBDRN Cc1cn(Cc2nc(C(F)(F)F)cs2)nc1[N+](=O)[O-] ZINC000281190275 195141415 /nfs/dbraw/zinc/14/14/15/195141415.db2.gz OICGKMUKLGSBOX-UHFFFAOYSA-N 0 0 292.242 2.623 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000272185740 385468538 /nfs/dbraw/zinc/46/85/38/385468538.db2.gz GNIGGWJSQFJBDB-MNOVXSKESA-N 0 0 278.308 2.657 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N[C@H]1CCCOC1 ZINC000236111899 285171278 /nfs/dbraw/zinc/17/12/78/285171278.db2.gz QHJYUZQXVKZTTI-ZETCQYMHSA-N 0 0 291.229 2.600 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@H]2[C@@H]2CCCO2)s1 ZINC000370672804 285171775 /nfs/dbraw/zinc/17/17/75/285171775.db2.gz HTEOIQMNUQWQNV-GXSJLCMTSA-N 0 0 295.364 2.589 20 5 CFBDRN O=[N+]([O-])c1ccnn1C[C@@H]1CCCc2ccccc21 ZINC000108954128 285170351 /nfs/dbraw/zinc/17/03/51/285170351.db2.gz DSRGSCIVDSUZHY-LBPRGKRZSA-N 0 0 257.293 2.911 20 5 CFBDRN C[C@H]1CCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000272250554 385487784 /nfs/dbraw/zinc/48/77/84/385487784.db2.gz SPLNYEQAIURGMP-UWVGGRQHSA-N 0 0 298.289 2.944 20 5 CFBDRN CCC1(CNC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000272260088 385491526 /nfs/dbraw/zinc/49/15/26/385491526.db2.gz UXSVKKYKOPXRQV-UHFFFAOYSA-N 0 0 298.289 2.993 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[N@@H+]1CCC[C@@H](CO)C1 ZINC000272262116 385491799 /nfs/dbraw/zinc/49/17/99/385491799.db2.gz BUAIHJLMYVFXBI-CHWSQXEVSA-N 0 0 278.352 2.669 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@@H]2C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000283739959 385494820 /nfs/dbraw/zinc/49/48/20/385494820.db2.gz IZSSSQKSEFMBOW-CMPLNLGQSA-N 0 0 292.335 2.537 20 5 CFBDRN COCC1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCC1 ZINC000283760827 385496857 /nfs/dbraw/zinc/49/68/57/385496857.db2.gz BKILESHJRQSIAH-UHFFFAOYSA-N 0 0 289.291 2.713 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC3(CCCC3)C2)c(Cl)c1 ZINC000268807445 285175098 /nfs/dbraw/zinc/17/50/98/285175098.db2.gz GCWXQBIXFASZHK-UHFFFAOYSA-N 0 0 297.742 2.793 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000272319043 385508726 /nfs/dbraw/zinc/50/87/26/385508726.db2.gz KMXUZGDTYINQJE-QWRGUYRKSA-N 0 0 279.292 2.705 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3cc(Cl)ccc3C2)nc1 ZINC000302738425 285178256 /nfs/dbraw/zinc/17/82/56/285178256.db2.gz XZSJSJHJUHMXPD-UHFFFAOYSA-N 0 0 290.710 2.601 20 5 CFBDRN COCC1(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000284123487 385537614 /nfs/dbraw/zinc/53/76/14/385537614.db2.gz HJLODFVOVIFBEW-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN CCC1(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCOCC1 ZINC000272468735 385544906 /nfs/dbraw/zinc/54/49/06/385544906.db2.gz PUKUYMKSVVGFCU-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCSCC(C)C ZINC000288790669 197166848 /nfs/dbraw/zinc/16/68/48/197166848.db2.gz FWSRYOVOPMSMRJ-UHFFFAOYSA-N 0 0 286.401 2.921 20 5 CFBDRN Cc1ccccc1[C@H](C)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000272580848 385567770 /nfs/dbraw/zinc/56/77/70/385567770.db2.gz PROYUTBXDNVWHY-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CCOCCCCNc1c(F)cccc1[N+](=O)[O-] ZINC000286367920 385599952 /nfs/dbraw/zinc/59/99/52/385599952.db2.gz MBAWWXXVJJOOQJ-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H]1CCOC[C@@H]1C ZINC000286262435 385579479 /nfs/dbraw/zinc/57/94/79/385579479.db2.gz FSEQNKSEBQXDGC-RYUDHWBXSA-N 0 0 264.325 2.988 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272798491 385619677 /nfs/dbraw/zinc/61/96/77/385619677.db2.gz KTLPKICCBIJZGN-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN C[C@H]1C[C@@H](CO)CCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000288737260 197155812 /nfs/dbraw/zinc/15/58/12/197155812.db2.gz FLTCOLNJUZNOHK-QWRGUYRKSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CCCCS2)ccc1[N+](=O)[O-] ZINC000272818118 385625118 /nfs/dbraw/zinc/62/51/18/385625118.db2.gz FGXKBCNAQSFRBR-JTQLQIEISA-N 0 0 281.337 2.522 20 5 CFBDRN CC[C@@H](C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272826337 385626511 /nfs/dbraw/zinc/62/65/11/385626511.db2.gz QBPRDXLLJSHRQJ-MRVPVSSYSA-N 0 0 252.270 2.588 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1COC2(CCCC2)O1 ZINC000286496408 385636027 /nfs/dbraw/zinc/63/60/27/385636027.db2.gz MOBDXHRFXXABNL-SNVBAGLBSA-N 0 0 296.298 2.832 20 5 CFBDRN COc1ccc(C(=O)N(CC(C)(C)C)OC)cc1[N+](=O)[O-] ZINC000286544396 385645101 /nfs/dbraw/zinc/64/51/01/385645101.db2.gz PRZKNSRIWKOGTK-UHFFFAOYSA-N 0 0 296.323 2.653 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])cc1F)c1nncn1C ZINC000286554059 385648219 /nfs/dbraw/zinc/64/82/19/385648219.db2.gz JXODHMNUIGWEAC-LBPRGKRZSA-N 0 0 293.302 2.672 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(CCCO)CC2)c(Cl)c1 ZINC000272926591 385655472 /nfs/dbraw/zinc/65/54/72/385655472.db2.gz RJBVELZKYXUCBN-UHFFFAOYSA-N 0 0 299.758 2.632 20 5 CFBDRN Cc1cccc(CCCNc2ncc([N+](=O)[O-])s2)n1 ZINC000286667811 385681753 /nfs/dbraw/zinc/68/17/53/385681753.db2.gz VWQIQYZYEWWDHO-UHFFFAOYSA-N 0 0 278.337 2.799 20 5 CFBDRN Cc1c(CC(=O)N[C@@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000288644229 197135039 /nfs/dbraw/zinc/13/50/39/197135039.db2.gz QSGJRRPSHYFINZ-QMMMGPOBSA-N 0 0 290.241 2.513 20 5 CFBDRN CCOc1cccc(N2CCC[C@@H](OC)C2)c1[N+](=O)[O-] ZINC000273877721 385695620 /nfs/dbraw/zinc/69/56/20/385695620.db2.gz QBIFJJVDDMQTMM-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN CCCc1occc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288671872 197141588 /nfs/dbraw/zinc/14/15/88/197141588.db2.gz CHDLEDRQEBNDMG-UHFFFAOYSA-N 0 0 289.291 2.812 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCC1(O)CCC1 ZINC000286764352 385705172 /nfs/dbraw/zinc/70/51/72/385705172.db2.gz QAXFEICXRULSQQ-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN COCC1(CNc2cccc(F)c2[N+](=O)[O-])CCOCC1 ZINC000291860200 533142686 /nfs/dbraw/zinc/14/26/86/533142686.db2.gz MZAOXZQTAXONJD-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@@H]1CCO ZINC000286799810 385712987 /nfs/dbraw/zinc/71/29/87/385712987.db2.gz WJXSVYCZOHECLF-BXUZGUMPSA-N 0 0 292.335 2.760 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000286622153 385667575 /nfs/dbraw/zinc/66/75/75/385667575.db2.gz GMZLQRCHUFOWBK-GFCCVEGCSA-N 0 0 294.376 2.992 20 5 CFBDRN CC(C)c1nnc(CNc2c(F)cccc2[N+](=O)[O-])n1C ZINC000286628976 385671085 /nfs/dbraw/zinc/67/10/85/385671085.db2.gz WMUCANXBFJFLJC-UHFFFAOYSA-N 0 0 293.302 2.598 20 5 CFBDRN COc1cc(CS[C@H](C)[C@@H](C)O)c([N+](=O)[O-])cc1F ZINC000274192146 385737172 /nfs/dbraw/zinc/73/71/72/385737172.db2.gz FJWATCSKVHUWHR-HTQZYQBOSA-N 0 0 289.328 2.745 20 5 CFBDRN C[C@@H](CF)NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000286971917 385754133 /nfs/dbraw/zinc/75/41/33/385754133.db2.gz NWIZQBLTGJIFBE-LURJTMIESA-N 0 0 275.667 2.728 20 5 CFBDRN CCc1cnc(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])o1 ZINC000274659941 385843380 /nfs/dbraw/zinc/84/33/80/385843380.db2.gz IYBMKHSFUUYMBU-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN COc1cccc(NCCc2ccncc2C)c1[N+](=O)[O-] ZINC000274680900 385847981 /nfs/dbraw/zinc/84/79/81/385847981.db2.gz IDJRQCOALDJSBW-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCC[C@H]1CCO ZINC000275016885 385914162 /nfs/dbraw/zinc/91/41/62/385914162.db2.gz KXGPSZDHQYKTGL-WDEREUQCSA-N 0 0 250.298 2.558 20 5 CFBDRN CCOc1cccc(NCCC(C)(C)O)c1[N+](=O)[O-] ZINC000274802330 385874629 /nfs/dbraw/zinc/87/46/29/385874629.db2.gz VOFPSUDKYBUTGW-UHFFFAOYSA-N 0 0 268.313 2.566 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000274827998 385879324 /nfs/dbraw/zinc/87/93/24/385879324.db2.gz SZSDQFHMLMPYDH-FZMZJTMJSA-N 0 0 276.336 2.784 20 5 CFBDRN CCC1(CNc2ccc(N)cc2[N+](=O)[O-])CCOCC1 ZINC000288536115 197105982 /nfs/dbraw/zinc/10/59/82/197105982.db2.gz UVPHYTIQTIRGRA-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN CN(C(=O)C(C)(C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000288515200 197099938 /nfs/dbraw/zinc/09/99/38/197099938.db2.gz CHLSOTVTQIVXGX-UHFFFAOYSA-N 0 0 262.309 2.994 20 5 CFBDRN CC(C)=CCCNc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000276672797 386011846 /nfs/dbraw/zinc/01/18/46/386011846.db2.gz DUASHFKWRGRGTL-UHFFFAOYSA-N 0 0 298.364 2.767 20 5 CFBDRN CC(C)=CCNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000276697052 386017535 /nfs/dbraw/zinc/01/75/35/386017535.db2.gz HUOAWFRXWGMPBU-UHFFFAOYSA-N 0 0 289.335 2.865 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c(N)c2F)CCS1 ZINC000276987573 386101344 /nfs/dbraw/zinc/10/13/44/386101344.db2.gz ZIRAMQVYJFYHFU-QMMMGPOBSA-N 0 0 285.344 2.648 20 5 CFBDRN CC(F)(F)CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000277001109 386104938 /nfs/dbraw/zinc/10/49/38/386104938.db2.gz JVHHHITVIUGCHO-UHFFFAOYSA-N 0 0 270.235 2.529 20 5 CFBDRN Cc1ccnc(SC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000276890588 386072483 /nfs/dbraw/zinc/07/24/83/386072483.db2.gz KDKDWVLYXYAWRK-SECBINFHSA-N 0 0 254.311 2.569 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)[C@H](C)C2)cc([N+](=O)[O-])c1C ZINC000276919699 386080353 /nfs/dbraw/zinc/08/03/53/386080353.db2.gz QPRGVOKGUWSTEZ-AOOOYVTPSA-N 0 0 292.335 2.640 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2=CCCOC2)c2ccncc21 ZINC000288419730 197073659 /nfs/dbraw/zinc/07/36/59/197073659.db2.gz HCCMMMFOJGKYHS-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN CCOC1CC(N(C)C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000288353958 197056883 /nfs/dbraw/zinc/05/68/83/197056883.db2.gz PZGKMJRTIUCDAI-UHFFFAOYSA-N 0 0 298.364 2.604 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(COc3ccccc3)C2)s1 ZINC000288337620 197054399 /nfs/dbraw/zinc/05/43/99/197054399.db2.gz WCPBTAFKHPFSFU-UHFFFAOYSA-N 0 0 291.332 2.567 20 5 CFBDRN Cc1cc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])ccn1 ZINC000288300983 197047320 /nfs/dbraw/zinc/04/73/20/197047320.db2.gz LNUCZWZPUVBCSN-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccccc1F ZINC000288230543 197032441 /nfs/dbraw/zinc/03/24/41/197032441.db2.gz WISSUBVFSJWLKX-UHFFFAOYSA-N 0 0 278.287 2.871 20 5 CFBDRN CCc1nn(C)c(N2CCc3ccccc32)c1[N+](=O)[O-] ZINC000288166037 197017574 /nfs/dbraw/zinc/01/75/74/197017574.db2.gz PUNPBEUFICTOCC-UHFFFAOYSA-N 0 0 272.308 2.585 20 5 CFBDRN CCC1(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])CC1 ZINC000288184100 197021425 /nfs/dbraw/zinc/02/14/25/197021425.db2.gz GBJBDHYUNDPZCC-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1cccs1 ZINC000288086328 197001685 /nfs/dbraw/zinc/00/16/85/197001685.db2.gz IIPJPDQLZRZPSO-UHFFFAOYSA-N 0 0 266.326 2.793 20 5 CFBDRN CCC[C@]1(NC(=O)c2cc([N+](=O)[O-])c(C)s2)CCOC1 ZINC000287884488 196962250 /nfs/dbraw/zinc/96/22/50/196962250.db2.gz OFVKWMFXIFDLDK-ZDUSSCGKSA-N 0 0 298.364 2.654 20 5 CFBDRN CCC[C@@]1(NC(=O)c2ccc([N+](=O)[O-])cc2C)CCOC1 ZINC000287646635 196908874 /nfs/dbraw/zinc/90/88/74/196908874.db2.gz AUQRLJZRXHTYRI-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN CC[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)CCCO1 ZINC000281237057 195162351 /nfs/dbraw/zinc/16/23/51/195162351.db2.gz LKGPKROBQWEUDU-LLVKDONJSA-N 0 0 270.354 2.657 20 5 CFBDRN CCCN(C)C(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000281304887 195190070 /nfs/dbraw/zinc/19/00/70/195190070.db2.gz RQQPYXVMYZPQQF-UHFFFAOYSA-N 0 0 280.324 2.541 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2C[C@H](O)C2(C)C)c([N+](=O)[O-])c1 ZINC000281326376 195197289 /nfs/dbraw/zinc/19/72/89/195197289.db2.gz AIBUCQSMIMXRSV-KGLIPLIRSA-N 0 0 292.335 2.759 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000425490743 533209747 /nfs/dbraw/zinc/20/97/47/533209747.db2.gz PLUMLNGBGAIZDU-SKDRFNHKSA-N 0 0 275.308 2.508 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/C1CC1 ZINC000281423762 195235482 /nfs/dbraw/zinc/23/54/82/195235482.db2.gz QHOIUTZRJLQFCB-VMPITWQZSA-N 0 0 276.292 2.898 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CCSC ZINC000281481106 195258180 /nfs/dbraw/zinc/25/81/80/195258180.db2.gz URXSLOPATVHVJJ-UHFFFAOYSA-N 0 0 284.337 2.685 20 5 CFBDRN COCCC1(CNc2ncc([N+](=O)[O-])cc2F)CCC1 ZINC000413191694 533212712 /nfs/dbraw/zinc/21/27/12/533212712.db2.gz LTOZAEBBUAVVMU-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000426256904 533215447 /nfs/dbraw/zinc/21/54/47/533215447.db2.gz QCHOPYBFWSHPJP-WUWHUORYSA-N 0 0 289.335 2.754 20 5 CFBDRN Cc1cc(N2CCOC[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000360070974 291937576 /nfs/dbraw/zinc/93/75/76/291937576.db2.gz JBKLTDHSYZNHHR-VIFPVBQESA-N 0 0 268.288 2.515 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC1(C)C ZINC000286819925 196711729 /nfs/dbraw/zinc/71/17/29/196711729.db2.gz UYTSFTBINNBPQS-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN COC(=O)CC1(COc2cccc(C)c2[N+](=O)[O-])CC1 ZINC000281493422 195263638 /nfs/dbraw/zinc/26/36/38/195263638.db2.gz QONDDMURTAFRCE-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN COC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000284434921 285303631 /nfs/dbraw/zinc/30/36/31/285303631.db2.gz DKACJUJMOVQCJG-LBPRGKRZSA-N 0 0 294.351 2.533 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1ccccn1 ZINC000093900610 184741132 /nfs/dbraw/zinc/74/11/32/184741132.db2.gz WHHXPPZRCOUVEW-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000094957409 184904044 /nfs/dbraw/zinc/90/40/44/184904044.db2.gz OHFAHWJPYRSAEI-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN COCCCCCOc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC000413019053 533234556 /nfs/dbraw/zinc/23/45/56/533234556.db2.gz SIVOROAOYMYGAO-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN C[S@@](=O)C1(CNc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000281807229 195389937 /nfs/dbraw/zinc/38/99/37/195389937.db2.gz VSVITUBBCQPDFV-GOSISDBHSA-N 0 0 288.756 2.571 20 5 CFBDRN C[C@](O)(COc1ccc(Cl)cc1[N+](=O)[O-])C(F)(F)F ZINC000282028991 195479889 /nfs/dbraw/zinc/47/98/89/195479889.db2.gz YBNGMNRCSOVRJW-VIFPVBQESA-N 0 0 299.632 2.940 20 5 CFBDRN Cc1cc(C)cc([C@H](O)CNc2ncccc2[N+](=O)[O-])c1 ZINC000282151138 195521991 /nfs/dbraw/zinc/52/19/91/195521991.db2.gz BTWGZDJQLSCRGY-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN CC(C)SCCOc1ccc([N+](=O)[O-])cc1C=O ZINC000282224199 195543130 /nfs/dbraw/zinc/54/31/30/195543130.db2.gz OGUDFHZWZHDRRQ-UHFFFAOYSA-N 0 0 269.322 2.928 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@@H](C)C2)c1 ZINC000282753998 195699237 /nfs/dbraw/zinc/69/92/37/195699237.db2.gz BPJJBJCYLBJKMR-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN CCSCCCNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000282805007 195712544 /nfs/dbraw/zinc/71/25/44/195712544.db2.gz YSVBQPIMBGATES-UHFFFAOYSA-N 0 0 288.394 2.838 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000284019884 195963588 /nfs/dbraw/zinc/96/35/88/195963588.db2.gz QMAOHEQSVRRFPK-TZMCWYRMSA-N 0 0 288.347 2.856 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000411179271 533256022 /nfs/dbraw/zinc/25/60/22/533256022.db2.gz NXGBPGQFZUNTST-BIGNPOOSSA-N 0 0 274.320 2.613 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000174063263 533292435 /nfs/dbraw/zinc/29/24/35/533292435.db2.gz VOVVSHLTSODCHG-NEPJUHHUSA-N 0 0 299.330 2.971 20 5 CFBDRN CO[C@](C)([C@@H](C)Nc1ccc([N+](=O)[O-])nc1)C1CC1 ZINC000413516991 533348427 /nfs/dbraw/zinc/34/84/27/533348427.db2.gz LUVJMFTYULJYIB-NOZJJQNGSA-N 0 0 265.313 2.605 20 5 CFBDRN C[C@@H](CC(=O)OC(C)(C)C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413144242 533455910 /nfs/dbraw/zinc/45/59/10/533455910.db2.gz XMQYKGDXCUQAEN-QMMMGPOBSA-N 0 0 299.302 2.661 20 5 CFBDRN COc1cccc(C(=O)NCc2cccs2)c1[N+](=O)[O-] ZINC000193824224 533412444 /nfs/dbraw/zinc/41/24/44/533412444.db2.gz PKKNVWOCZFZOAJ-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN C[C@@H](O)C1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000116280084 533582646 /nfs/dbraw/zinc/58/26/46/533582646.db2.gz IPOQHAPFPJVKBA-SNVBAGLBSA-N 0 0 298.770 2.841 20 5 CFBDRN COc1cc(C(=O)NCc2ccccc2)ccc1[N+](=O)[O-] ZINC000132660451 533542692 /nfs/dbraw/zinc/54/26/92/533542692.db2.gz YDXUWNXECMKARP-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN Cc1[nH]ccc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000175405212 533642815 /nfs/dbraw/zinc/64/28/15/533642815.db2.gz SYAIVDNMZFGKIO-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN C[C@@H](NC(=O)CCOCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000341773450 130010718 /nfs/dbraw/zinc/01/07/18/130010718.db2.gz DSOSLZIHPAJYIT-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)c2cc[nH]n2)cc1[N+](=O)[O-] ZINC000341783357 130044875 /nfs/dbraw/zinc/04/48/75/130044875.db2.gz NNCCWDKDWHDSCV-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])c1ccon1 ZINC000341921760 130131897 /nfs/dbraw/zinc/13/18/97/130131897.db2.gz ULRUSQUJMOQANC-ZETCQYMHSA-N 0 0 251.217 2.895 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000342016688 130200393 /nfs/dbraw/zinc/20/03/93/130200393.db2.gz LLHBOYQXABPVTQ-MWLCHTKSSA-N 0 0 298.364 2.510 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000342071259 130235532 /nfs/dbraw/zinc/23/55/32/130235532.db2.gz SHXRNJXCAPZLRL-OQPBUACISA-N 0 0 298.289 2.720 20 5 CFBDRN C[C@@H](Sc1cn[nH]n1)c1ccccc1[N+](=O)[O-] ZINC000342078892 130240402 /nfs/dbraw/zinc/24/04/02/130240402.db2.gz SFWGCUNSCNNGEM-SSDOTTSWSA-N 0 0 250.283 2.566 20 5 CFBDRN Cc1cc(NC(=O)c2ccccc2[N+](=O)[O-])cn2ccnc12 ZINC000355435723 130305499 /nfs/dbraw/zinc/30/54/99/130305499.db2.gz UPXZRSDHPKPOSG-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN C[C@@]1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)C[C@H]2CC[C@@H]1O2 ZINC000191851390 130317739 /nfs/dbraw/zinc/31/77/39/130317739.db2.gz JQJODMRHQCCWJJ-LJWDBELGSA-N 0 0 294.282 2.630 20 5 CFBDRN CC(C)=CCNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000191856255 130319292 /nfs/dbraw/zinc/31/92/92/130319292.db2.gz BRFKWHDKFZNDNO-UHFFFAOYSA-N 0 0 268.700 2.944 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000191948880 130337735 /nfs/dbraw/zinc/33/77/35/130337735.db2.gz DNNVTNPGOOITJE-JTQLQIEISA-N 0 0 280.349 2.562 20 5 CFBDRN Cc1cccc(OCc2ccnc(C)n2)c1[N+](=O)[O-] ZINC000355944638 130358424 /nfs/dbraw/zinc/35/84/24/130358424.db2.gz GIGQPRCJHIGVGQ-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccsc1 ZINC000355961255 130361184 /nfs/dbraw/zinc/36/11/84/130361184.db2.gz GWXSEGLFOHQWPC-UHFFFAOYSA-N 0 0 290.344 2.971 20 5 CFBDRN CC(C)c1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)on1 ZINC000355967578 130363504 /nfs/dbraw/zinc/36/35/04/130363504.db2.gz VZZPEBSAJHIJIJ-UHFFFAOYSA-N 0 0 275.264 2.959 20 5 CFBDRN CCc1cc(NC(=O)c2ccc([N+](=O)[O-])n2C)ccc1F ZINC000355966559 130363689 /nfs/dbraw/zinc/36/36/89/130363689.db2.gz OTOWPNFAUWMUFI-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000192161372 130385519 /nfs/dbraw/zinc/38/55/19/130385519.db2.gz WEWFPECHNBMFTN-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN CCC1(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000357331909 130442867 /nfs/dbraw/zinc/44/28/67/130442867.db2.gz CJFLAJZRYFLNIF-UHFFFAOYSA-N 0 0 266.272 2.796 20 5 CFBDRN Cc1ccnc(CNC(=O)c2cc(C)ccc2[N+](=O)[O-])c1 ZINC000357406926 130462060 /nfs/dbraw/zinc/46/20/60/130462060.db2.gz SGXVMMDFHNWHAY-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1sc(C(=O)NCc2cc(C)ccn2)cc1[N+](=O)[O-] ZINC000357415243 130463309 /nfs/dbraw/zinc/46/33/09/130463309.db2.gz ZNBRECQSXSUEAM-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1ccc(NC(=O)N[C@]2(C)CCCOC2)cc1[N+](=O)[O-] ZINC000357409168 130463711 /nfs/dbraw/zinc/46/37/11/130463711.db2.gz NNBJXLKSXULGAP-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN O=C(Nc1cccc2c1CCO2)c1ccccc1[N+](=O)[O-] ZINC000357673980 130498549 /nfs/dbraw/zinc/49/85/49/130498549.db2.gz MWMROPJQNLCSSZ-UHFFFAOYSA-N 0 0 284.271 2.782 20 5 CFBDRN COC(=O)c1cnc(N[C@H]2CCC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000357686431 130499365 /nfs/dbraw/zinc/49/93/65/130499365.db2.gz SGCDBMWXNZRQDX-JTQLQIEISA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCOC2CCCC2)c1 ZINC000359237136 130502893 /nfs/dbraw/zinc/50/28/93/130502893.db2.gz QHDFZCMYWULVNX-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@@H]1CF)c1ccc([N+](=O)[O-])cc1F ZINC000359564966 130521897 /nfs/dbraw/zinc/52/18/97/130521897.db2.gz GVSDNHKBAJVDPH-GXSJLCMTSA-N 0 0 298.289 2.798 20 5 CFBDRN COc1ccc(NC(=O)c2cncc(C)c2)cc1[N+](=O)[O-] ZINC000359994278 130572972 /nfs/dbraw/zinc/57/29/72/130572972.db2.gz VFFVHZXPMHCEQE-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000353150546 130586475 /nfs/dbraw/zinc/58/64/75/130586475.db2.gz JJOAMLXSTWGKCO-VIFPVBQESA-N 0 0 287.319 2.995 20 5 CFBDRN CS[C@H](CO)[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000227058929 130834706 /nfs/dbraw/zinc/83/47/06/130834706.db2.gz SKSZXDAHSVFGBB-WRWORJQWSA-N 0 0 290.772 2.773 20 5 CFBDRN COc1cc(NCC2(OC)CCC2)c(F)cc1[N+](=O)[O-] ZINC000227305787 130840241 /nfs/dbraw/zinc/84/02/41/130840241.db2.gz OUHZJQSBSLCFCY-UHFFFAOYSA-N 0 0 284.287 2.724 20 5 CFBDRN CCC[C@](C)(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000227652187 130848620 /nfs/dbraw/zinc/84/86/20/130848620.db2.gz KGCGURZZZNZWAY-NSHDSACASA-N 0 0 273.720 2.606 20 5 CFBDRN C[C@H](O)CCCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000227993903 130859420 /nfs/dbraw/zinc/85/94/20/130859420.db2.gz YIILKQKUSGGVIA-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CS[C@H](CO)[C@@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000230198870 130894771 /nfs/dbraw/zinc/89/47/71/130894771.db2.gz YMMABJCVOZVINU-RDDDGLTNSA-N 0 0 290.772 2.773 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H]1CC[C@H](O)C1 ZINC000236580135 130959872 /nfs/dbraw/zinc/95/98/72/130959872.db2.gz UEDSYTSHBLUBRI-WPRPVWTQSA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1c(C(=O)N(C(C)C)C2CC2)cccc1[N+](=O)[O-] ZINC000248006481 131110022 /nfs/dbraw/zinc/11/00/22/131110022.db2.gz VWHHJQJNYVAKKO-UHFFFAOYSA-N 0 0 262.309 2.916 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCO[C@@H](C(C)(C)C)C1 ZINC000447816858 291997981 /nfs/dbraw/zinc/99/79/81/291997981.db2.gz MYSXBRJQTYCKES-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1nn(CCC(=O)OC2CCCCC2)c(C)c1[N+](=O)[O-] ZINC000263658022 131303015 /nfs/dbraw/zinc/30/30/15/131303015.db2.gz IVTRDVVQBOQYLU-UHFFFAOYSA-N 0 0 295.339 2.674 20 5 CFBDRN CCC(C)(C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000263683479 131308528 /nfs/dbraw/zinc/30/85/28/131308528.db2.gz APNDQCDFFVPIMY-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN CC(=O)NC1CCC(Nc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000263685711 131308897 /nfs/dbraw/zinc/30/88/97/131308897.db2.gz JADITWKYLBPPOT-UHFFFAOYSA-N 0 0 291.351 2.762 20 5 CFBDRN CCC(C)(C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000263715207 131314670 /nfs/dbraw/zinc/31/46/70/131314670.db2.gz GOJPTNBSCNUANF-UHFFFAOYSA-N 0 0 280.324 2.656 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1N1CCC1 ZINC000263779152 131329284 /nfs/dbraw/zinc/32/92/84/131329284.db2.gz VCPUIPBGYGYXKO-UHFFFAOYSA-N 0 0 257.087 2.567 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1C1CCC1 ZINC000264000002 131376526 /nfs/dbraw/zinc/37/65/26/131376526.db2.gz RHJDYZYNZYJLKY-OAHLLOKOSA-N 0 0 288.347 2.928 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)[C@@H](CO)c1ccccc1 ZINC000264014022 131379223 /nfs/dbraw/zinc/37/92/23/131379223.db2.gz KAPCYLCTUIEKDQ-ZDUSSCGKSA-N 0 0 292.360 2.822 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000264627804 131479184 /nfs/dbraw/zinc/47/91/84/131479184.db2.gz XJTLRENNLWRNQQ-UWVGGRQHSA-N 0 0 294.355 2.579 20 5 CFBDRN COC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000264917547 131522447 /nfs/dbraw/zinc/52/24/47/131522447.db2.gz LLEWISDVBDBOCZ-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1C[C@@H]2CCC[C@@H]2C1 ZINC000268241453 285493962 /nfs/dbraw/zinc/49/39/62/285493962.db2.gz NNWUILFGYVRCPY-TXEJJXNPSA-N 0 0 276.336 2.978 20 5 CFBDRN NC(=O)C1(CNc2ccc([N+](=O)[O-])cc2Cl)CCCC1 ZINC000265195580 131561276 /nfs/dbraw/zinc/56/12/76/131561276.db2.gz IHTJDDSRBKQQDE-UHFFFAOYSA-N 0 0 297.742 2.706 20 5 CFBDRN CCN(c1ncccc1[N+](=O)[O-])[C@@H](C)c1cccnc1 ZINC000265206319 131562980 /nfs/dbraw/zinc/56/29/80/131562980.db2.gz KRZHFTZSRVCNMT-NSHDSACASA-N 0 0 272.308 2.972 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000266205346 131700713 /nfs/dbraw/zinc/70/07/13/131700713.db2.gz FESSETZLDUEXCK-YUMQZZPRSA-N 0 0 290.266 2.625 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H](OC)C(C)C)c1 ZINC000266737929 131771527 /nfs/dbraw/zinc/77/15/27/131771527.db2.gz PKTPVPADBIHEAJ-CYBMUJFWSA-N 0 0 268.313 2.686 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCCSC1 ZINC000267270572 131845739 /nfs/dbraw/zinc/84/57/39/131845739.db2.gz PYFBDEUEDKFTJM-JTQLQIEISA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000267268434 131846392 /nfs/dbraw/zinc/84/63/92/131846392.db2.gz PNNYBSJOUTYHOA-NSHDSACASA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)CC2CC2)cc1[N+](=O)[O-] ZINC000267649621 131896739 /nfs/dbraw/zinc/89/67/39/131896739.db2.gz KSUJMWYGYSOCCQ-SNVBAGLBSA-N 0 0 262.309 2.881 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000267766235 131914619 /nfs/dbraw/zinc/91/46/19/131914619.db2.gz RVSZQYUPEJVTQM-SNVBAGLBSA-N 0 0 292.335 2.696 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@@H]1C[C@@H]1C ZINC000267956947 131938486 /nfs/dbraw/zinc/93/84/86/131938486.db2.gz KLSXUVLVARTYFC-ONGXEEELSA-N 0 0 277.324 2.989 20 5 CFBDRN COC(=O)CCCSc1ccc(F)cc1[N+](=O)[O-] ZINC000268052406 131951326 /nfs/dbraw/zinc/95/13/26/131951326.db2.gz UIGUXLJIVKKAMU-UHFFFAOYSA-N 0 0 273.285 2.779 20 5 CFBDRN COCCC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000268447099 132010897 /nfs/dbraw/zinc/01/08/97/132010897.db2.gz UQCNOTXSKFXPKC-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN COCCC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000268458498 132012307 /nfs/dbraw/zinc/01/23/07/132012307.db2.gz YUBJSMCVEAMVJA-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN Cc1occc1CN(C)c1ccc([N+](=O)[O-])c(C)n1 ZINC000268481313 132015150 /nfs/dbraw/zinc/01/51/50/132015150.db2.gz LOAKMUKOZLMGFT-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000268490370 132017387 /nfs/dbraw/zinc/01/73/87/132017387.db2.gz NMURDDVDDNESMJ-MRVPVSSYSA-N 0 0 290.323 2.946 20 5 CFBDRN Cc1nc(N2CCS[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000268498458 132018798 /nfs/dbraw/zinc/01/87/98/132018798.db2.gz PTFWBSQVGWNAPC-ZJUUUORDSA-N 0 0 267.354 2.628 20 5 CFBDRN Cc1nc(N(C)CCC2CCOCC2)ccc1[N+](=O)[O-] ZINC000268526345 132022121 /nfs/dbraw/zinc/02/21/21/132022121.db2.gz WANQAJYXMWBNIT-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN COc1ccc(CN[C@@H](C)c2cncs2)cc1[N+](=O)[O-] ZINC000268877727 132081547 /nfs/dbraw/zinc/08/15/47/132081547.db2.gz ZDJTVIOAIXQJTQ-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2cc(C)ccc2[N+](=O)[O-])o1 ZINC000269067793 132107054 /nfs/dbraw/zinc/10/70/54/132107054.db2.gz SFQFRLPBPICJFB-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN CC[C@@H](NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])C(C)C ZINC000269320795 132155016 /nfs/dbraw/zinc/15/50/16/132155016.db2.gz BKXQFFZRHBQPNI-SECBINFHSA-N 0 0 282.344 2.606 20 5 CFBDRN CON(Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])CC(C)(C)C ZINC000285587286 285527306 /nfs/dbraw/zinc/52/73/06/285527306.db2.gz UHSONOQPGZHZMC-UHFFFAOYSA-N 0 0 297.311 2.913 20 5 CFBDRN C[C@H]1COc2ccccc2N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000297523005 393446618 /nfs/dbraw/zinc/44/66/18/393446618.db2.gz ALIPIANTJPDTJR-NSHDSACASA-N 0 0 292.335 2.636 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H]1CC ZINC000297660318 393446763 /nfs/dbraw/zinc/44/67/63/393446763.db2.gz CMVHFTPSHLHVSG-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN CCc1cc(N(C)Cc2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000180426915 393481984 /nfs/dbraw/zinc/48/19/84/393481984.db2.gz QMPBOSPZIYNZMN-UHFFFAOYSA-N 0 0 286.335 2.892 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000178672627 393448002 /nfs/dbraw/zinc/44/80/02/393448002.db2.gz GPPJUNSKJCHZND-LLVKDONJSA-N 0 0 277.324 2.703 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC[C@@H](O)C(C)C ZINC000301473955 393471572 /nfs/dbraw/zinc/47/15/72/393471572.db2.gz MMHCCGBFCYCYKR-CYBMUJFWSA-N 0 0 252.314 2.722 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000025130737 393491859 /nfs/dbraw/zinc/49/18/59/393491859.db2.gz WCFGUIVAFZIUFR-VHSXEESVSA-N 0 0 282.365 2.990 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)CC1(O)CCCCCC1 ZINC000302419634 393491967 /nfs/dbraw/zinc/49/19/67/393491967.db2.gz MSASUYJYLQCCSI-UHFFFAOYSA-N 0 0 293.367 2.820 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H](c2nccn2C)C1 ZINC000378264388 393544093 /nfs/dbraw/zinc/54/40/93/393544093.db2.gz CJDLMRADRSGCON-GFCCVEGCSA-N 0 0 286.335 2.631 20 5 CFBDRN C[C@H]1CSC[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000306086933 393505330 /nfs/dbraw/zinc/50/53/30/393505330.db2.gz HDEBIQXWEWKXIG-XVKPBYJWSA-N 0 0 256.302 2.897 20 5 CFBDRN COc1ccccc1CNCc1csc([N+](=O)[O-])c1 ZINC000037562416 393531865 /nfs/dbraw/zinc/53/18/65/393531865.db2.gz MBJYVNXYRIXISN-UHFFFAOYSA-N 0 0 278.333 2.955 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)N2CCc3c2cccc3[N+](=O)[O-])C1 ZINC000331578438 393556908 /nfs/dbraw/zinc/55/69/08/393556908.db2.gz LHJVOWKIJFSPGF-GHMZBOCLSA-N 0 0 274.320 2.920 20 5 CFBDRN C[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccccn1 ZINC000044312779 393564612 /nfs/dbraw/zinc/56/46/12/393564612.db2.gz IDCCCQWCNUWKTB-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN Cc1cnc([C@H](C)N2CCc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC000332542696 393561872 /nfs/dbraw/zinc/56/18/72/393561872.db2.gz LLITVIPGHFISJS-LBPRGKRZSA-N 0 0 298.346 2.813 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])C1 ZINC000332725239 393563246 /nfs/dbraw/zinc/56/32/46/393563246.db2.gz TXVUXZPFGHXWAS-AWEZNQCLSA-N 0 0 294.355 2.704 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ncncc1Cl ZINC000189655244 393574982 /nfs/dbraw/zinc/57/49/82/393574982.db2.gz UCOJWZOCKJYECK-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN CC1CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)CC1 ZINC000334440324 393601189 /nfs/dbraw/zinc/60/11/89/393601189.db2.gz WOAGRQNNDFYAEL-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@]2(C1)CCCOC2 ZINC000376428253 296495969 /nfs/dbraw/zinc/49/59/69/296495969.db2.gz QFMBMVUPTZSUIR-AWEZNQCLSA-N 0 0 280.299 2.741 20 5 CFBDRN Cc1ncsc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000046575816 393580219 /nfs/dbraw/zinc/58/02/19/393580219.db2.gz BMRAUVJRHHNESO-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@H](C2CC2)C1 ZINC000367046687 296496020 /nfs/dbraw/zinc/49/60/20/296496020.db2.gz YEFBBWKQGBNEMD-SNVBAGLBSA-N 0 0 250.273 2.970 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000194142261 393679525 /nfs/dbraw/zinc/67/95/25/393679525.db2.gz QGJXDXSDUPSDFW-VHSXEESVSA-N 0 0 280.324 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H]2c2ccccc2)cn1 ZINC000049776423 393616430 /nfs/dbraw/zinc/61/64/30/393616430.db2.gz GCEKCWZBQGYNLU-OLZOCXBDSA-N 0 0 255.277 2.958 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000411179272 393681469 /nfs/dbraw/zinc/68/14/69/393681469.db2.gz NXGBPGQFZUNTST-QCZZGDTMSA-N 0 0 274.320 2.613 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])s2)C[C@H](C)C1 ZINC000192506716 393624848 /nfs/dbraw/zinc/62/48/48/393624848.db2.gz FUQKYPZGRNIQGE-DTWKUNHWSA-N 0 0 255.343 2.924 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c(N3CC[C@H](C)C3)n2)C1 ZINC000408323812 393626640 /nfs/dbraw/zinc/62/66/40/393626640.db2.gz CWEUAPHPOJUNEE-NEPJUHHUSA-N 0 0 290.367 2.682 20 5 CFBDRN CCCC(C)(C)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000194190903 393681837 /nfs/dbraw/zinc/68/18/37/393681837.db2.gz JEZLAISKSKTVDZ-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCCC[C@H](C)C1 ZINC000192781140 393632867 /nfs/dbraw/zinc/63/28/67/393632867.db2.gz SSEXPVYGOSNINM-JTQLQIEISA-N 0 0 266.345 2.746 20 5 CFBDRN COc1ccc(Oc2cc(C)nc(C)n2)c([N+](=O)[O-])c1 ZINC000193094286 393641141 /nfs/dbraw/zinc/64/11/41/393641141.db2.gz KJAPZBCAFPPHHW-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN CCNc1ccc(C(=O)N2CC[C@H]2CC)cc1[N+](=O)[O-] ZINC000410940921 393646503 /nfs/dbraw/zinc/64/65/03/393646503.db2.gz UNNHHMCPDDBZQU-LLVKDONJSA-N 0 0 277.324 2.651 20 5 CFBDRN Cn1ccnc1CSc1ccc([N+](=O)[O-])cc1F ZINC000193507578 393654269 /nfs/dbraw/zinc/65/42/69/393654269.db2.gz BWMOXQQXAHZQQU-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCCOC(F)F ZINC000193612522 393658454 /nfs/dbraw/zinc/65/84/54/393658454.db2.gz KNDWLJXFFNHCBV-UHFFFAOYSA-N 0 0 290.266 2.782 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC1(C2(C)CC2)CC1 ZINC000411076309 393660043 /nfs/dbraw/zinc/66/00/43/393660043.db2.gz ZHSVKUUMPRUHJE-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1nccs1 ZINC000074548532 393660617 /nfs/dbraw/zinc/66/06/17/393660617.db2.gz MLHVMCVCFUHPCB-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN COC[C@H]1CCCCN1C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000411082526 393662297 /nfs/dbraw/zinc/66/22/97/393662297.db2.gz OXOGFNYJKSDFKK-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN CCCCCNC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000193750018 393662720 /nfs/dbraw/zinc/66/27/20/393662720.db2.gz KKJFLPGYCOPVBN-UHFFFAOYSA-N 0 0 266.297 2.523 20 5 CFBDRN COc1cccc(C(=O)NCc2ccsc2)c1[N+](=O)[O-] ZINC000194020450 393672299 /nfs/dbraw/zinc/67/22/99/393672299.db2.gz VKTGHRHLOPRYKC-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN Cc1nc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c(C)s1 ZINC000082264951 393739187 /nfs/dbraw/zinc/73/91/87/393739187.db2.gz KZMAHJNYZUVCLD-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CCn1cc(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)cn1 ZINC000195493739 393741629 /nfs/dbraw/zinc/74/16/29/393741629.db2.gz CXXUVYQCPJJCIS-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN O=C(NC1CC1)c1ccc(NC2CCCC2)c([N+](=O)[O-])c1 ZINC000198351574 393746937 /nfs/dbraw/zinc/74/69/37/393746937.db2.gz IJNZADFUNDSEKO-UHFFFAOYSA-N 0 0 289.335 2.842 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cscn1 ZINC000086167366 393753413 /nfs/dbraw/zinc/75/34/13/393753413.db2.gz OKMMILJIFYLSAU-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCCC[C@H]1O ZINC000086015548 393753538 /nfs/dbraw/zinc/75/35/38/393753538.db2.gz NNMWTKUGYHWZGC-SMDDNHRTSA-N 0 0 264.325 2.866 20 5 CFBDRN Nc1c(C(=O)NCCC2CCCC2)cc(F)cc1[N+](=O)[O-] ZINC000194283121 393687085 /nfs/dbraw/zinc/68/70/85/393687085.db2.gz DMUCMMIMPIZTII-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCC2CCCC2)c1 ZINC000076423779 393688062 /nfs/dbraw/zinc/68/80/62/393688062.db2.gz ISAFFRHYLSNEBE-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000335731264 393695709 /nfs/dbraw/zinc/69/57/09/393695709.db2.gz IKHFDZYZXDUNPA-ZDUSSCGKSA-N 0 0 281.287 2.869 20 5 CFBDRN CCC1(CNC(=O)NCCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000078359514 393706869 /nfs/dbraw/zinc/70/68/69/393706869.db2.gz JGQHLEFWDDIIDD-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000335965885 393711904 /nfs/dbraw/zinc/71/19/04/393711904.db2.gz GWYVPHLUCBJCGM-QWRGUYRKSA-N 0 0 279.340 2.584 20 5 CFBDRN COc1cccc(C(=O)N2CCCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000194913400 393723298 /nfs/dbraw/zinc/72/32/98/393723298.db2.gz FJNSMVICKDGJGB-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000080688742 393728281 /nfs/dbraw/zinc/72/82/81/393728281.db2.gz BHAZASKGEGOBJM-RYUDHWBXSA-N 0 0 293.367 2.948 20 5 CFBDRN Cc1c(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])ccn1C ZINC000195101367 393730435 /nfs/dbraw/zinc/73/04/35/393730435.db2.gz BNYMFMUIURDGKI-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1ccc(F)cc1 ZINC000089767887 393769518 /nfs/dbraw/zinc/76/95/18/393769518.db2.gz KXMIHWNFKAVWKZ-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN Cn1nccc1CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000231039967 393780074 /nfs/dbraw/zinc/78/00/74/393780074.db2.gz JFQDOMOCYKMNOG-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000457918381 393801494 /nfs/dbraw/zinc/80/14/94/393801494.db2.gz LSDQCGANIISIGO-DZGCQCFKSA-N 0 0 291.351 2.829 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000457918384 393801582 /nfs/dbraw/zinc/80/15/82/393801582.db2.gz LSDQCGANIISIGO-ZFWWWQNUSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1cnc(N2CC[C@@H](CC3CC3)C2)c([N+](=O)[O-])c1 ZINC000338814906 393801693 /nfs/dbraw/zinc/80/16/93/393801693.db2.gz XUEITQXHOIBTJG-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)nc1C ZINC000457979055 393814871 /nfs/dbraw/zinc/81/48/71/393814871.db2.gz DGIYGIAMHVMWRD-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000416082685 393868359 /nfs/dbraw/zinc/86/83/59/393868359.db2.gz ZHILHJJFNOEGNF-SNVBAGLBSA-N 0 0 297.314 2.673 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000416083328 393868674 /nfs/dbraw/zinc/86/86/74/393868674.db2.gz UNJYHTFJCVBDFJ-MXWKQRLJSA-N 0 0 262.309 2.711 20 5 CFBDRN C[C@@H]1CCc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000342888547 393873149 /nfs/dbraw/zinc/87/31/49/393873149.db2.gz UZYYAUMBFNXZSM-BMIGLBTASA-N 0 0 299.330 2.976 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ncccc2Cl)cn1 ZINC000471734374 393911173 /nfs/dbraw/zinc/91/11/73/393911173.db2.gz FPONNHVCNIMDLW-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN COc1c(C(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000416119269 393874434 /nfs/dbraw/zinc/87/44/34/393874434.db2.gz SERBLUGVJZMGMZ-DCAQKATOSA-N 0 0 292.335 2.720 20 5 CFBDRN CN(CC[C@@H]1CCCO1)c1c(F)cccc1[N+](=O)[O-] ZINC000342967696 393875742 /nfs/dbraw/zinc/87/57/42/393875742.db2.gz XDWNPRQDAZPSBF-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@@H](C)[C@H]1C ZINC000248596956 393911561 /nfs/dbraw/zinc/91/15/61/393911561.db2.gz IJBQJDQSCOVNJA-NEPJUHHUSA-N 0 0 264.325 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@H](C)OC[C@H]1C ZINC000248582901 393911870 /nfs/dbraw/zinc/91/18/70/393911870.db2.gz FPNGNKYXIHUHBY-NEPJUHHUSA-N 0 0 264.325 2.512 20 5 CFBDRN CC(C)[C@@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000470697878 393900902 /nfs/dbraw/zinc/90/09/02/393900902.db2.gz BKWOBBMTJRPGIQ-MRVPVSSYSA-N 0 0 254.261 2.965 20 5 CFBDRN CO[C@@]1(C)C[C@@H]([NH2+]Cc2cc([O-])ccc2[N+](=O)[O-])C1(C)C ZINC000344730711 393903253 /nfs/dbraw/zinc/90/32/53/393903253.db2.gz YPKPSWHCUPZLCX-HIFRSBDPSA-N 0 0 294.351 2.594 20 5 CFBDRN Cc1c(-c2noc([C@]3(C)C[C@@H]3F)n2)cccc1[N+](=O)[O-] ZINC000424362175 393840072 /nfs/dbraw/zinc/84/00/72/393840072.db2.gz NFHHWWKEKYJHKM-GXFFZTMASA-N 0 0 277.255 2.953 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2C(C)C)c1 ZINC000458099967 393846899 /nfs/dbraw/zinc/84/68/99/393846899.db2.gz FXEGTNGDOCYQJR-WCQYABFASA-N 0 0 292.335 2.768 20 5 CFBDRN COC1CCC(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000458109261 393849139 /nfs/dbraw/zinc/84/91/39/393849139.db2.gz YZFUJEUDZBYTBI-UHFFFAOYSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000458136534 393856352 /nfs/dbraw/zinc/85/63/52/393856352.db2.gz YHKGFBJLHOZYCL-WCQYABFASA-N 0 0 291.351 2.558 20 5 CFBDRN CC(=O)c1ccc(OCc2cc(C)on2)c([N+](=O)[O-])c1 ZINC000119103929 393914942 /nfs/dbraw/zinc/91/49/42/393914942.db2.gz WRDABFZYHOILTP-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ccccc1[N+](=O)[O-] ZINC000301220650 413074841 /nfs/dbraw/zinc/07/48/41/413074841.db2.gz BFATUSVBBCOGRA-ZWNOBZJWSA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000429229244 393946511 /nfs/dbraw/zinc/94/65/11/393946511.db2.gz TXYYBTUMNZGVBA-CMPLNLGQSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])nn1C ZINC000429262335 393949656 /nfs/dbraw/zinc/94/96/56/393949656.db2.gz UDCFSQPTPFYMBR-UHFFFAOYSA-N 0 0 288.351 2.662 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@H]1CC[C@H](C)C1 ZINC000429258650 393949663 /nfs/dbraw/zinc/94/96/63/393949663.db2.gz VPGQKWSXVBKVRM-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccsc1[C@H](CO)Nc1ncccc1[N+](=O)[O-] ZINC000487622174 394016057 /nfs/dbraw/zinc/01/60/57/394016057.db2.gz BRKCTMBEWSTYLQ-VIFPVBQESA-N 0 0 279.321 2.505 20 5 CFBDRN O=c1c2ccccc2n(CCC2CC2)cc1[N+](=O)[O-] ZINC000487879499 394027217 /nfs/dbraw/zinc/02/72/17/394027217.db2.gz QLICHBLTIXFFAL-UHFFFAOYSA-N 0 0 258.277 2.710 20 5 CFBDRN CSCCCCNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000125985385 394018311 /nfs/dbraw/zinc/01/83/11/394018311.db2.gz YCSDDBPUISGNCX-CMDGGOBGSA-N 0 0 294.376 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(C[C@H](O)C1CCCCC1)CC2 ZINC000475316537 393952934 /nfs/dbraw/zinc/95/29/34/393952934.db2.gz BHQVJDKYMIDLIU-INIZCTEOSA-N 0 0 290.363 2.899 20 5 CFBDRN COc1c(C(=O)N[C@H](C)CC(C)C)cccc1[N+](=O)[O-] ZINC000476447082 393960325 /nfs/dbraw/zinc/96/03/25/393960325.db2.gz KDPASDFRFRUGDB-SNVBAGLBSA-N 0 0 280.324 2.768 20 5 CFBDRN COc1c(C(=O)N[C@@H](C)CC(C)C)cccc1[N+](=O)[O-] ZINC000476447081 393960368 /nfs/dbraw/zinc/96/03/68/393960368.db2.gz KDPASDFRFRUGDB-JTQLQIEISA-N 0 0 280.324 2.768 20 5 CFBDRN COc1c(C(=O)NCCC=C(C)C)cccc1[N+](=O)[O-] ZINC000477026615 393963633 /nfs/dbraw/zinc/96/36/33/393963633.db2.gz WQVSGIIMINFTIW-UHFFFAOYSA-N 0 0 278.308 2.690 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1ccc([N+](=O)[O-])cc1OC ZINC000430209525 393969158 /nfs/dbraw/zinc/96/91/58/393969158.db2.gz RJRDZKWGXNFHJQ-DGCLKSJQSA-N 0 0 294.351 2.603 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCOC(C)(C)[C@H]1C ZINC000430229970 393970440 /nfs/dbraw/zinc/97/04/40/393970440.db2.gz WYFNOHRBNOIXSJ-LLVKDONJSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1ccc([N+](=O)[O-])cc1OC ZINC000430229431 393970445 /nfs/dbraw/zinc/97/04/45/393970445.db2.gz VJXCSOVIRKDMHS-HNNXBMFYSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1cc(N2CCC3(C2)CCOCC3)ccc1[N+](=O)[O-] ZINC000266450389 393982256 /nfs/dbraw/zinc/98/22/56/393982256.db2.gz JEUOXIJXDLLOJK-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN C[C@H](CCO)C1(CNc2ccc([N+](=O)[O-])cn2)CCC1 ZINC000271896703 394024873 /nfs/dbraw/zinc/02/48/73/394024873.db2.gz YKQCNGKXHOBSGY-LLVKDONJSA-N 0 0 279.340 2.591 20 5 CFBDRN CNc1c(C(=O)N(C)[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000430450429 393987562 /nfs/dbraw/zinc/98/75/62/393987562.db2.gz AWNCASQHZUCNFB-MNOVXSKESA-N 0 0 291.351 2.897 20 5 CFBDRN Cc1cc(OCC(=O)NC[C@@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000430675910 394003526 /nfs/dbraw/zinc/00/35/26/394003526.db2.gz AUJIUJQUHUOMMX-GFCCVEGCSA-N 0 0 294.351 2.690 20 5 CFBDRN Cc1cc(N[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)ncc1[N+](=O)[O-] ZINC000269951972 394008394 /nfs/dbraw/zinc/00/83/94/394008394.db2.gz RTWXGGZCMMESFT-HONMWMINSA-N 0 0 289.335 2.668 20 5 CFBDRN COCc1nc(C)cc(Oc2cccc(C)c2[N+](=O)[O-])n1 ZINC000270136955 394010737 /nfs/dbraw/zinc/01/07/37/394010737.db2.gz UJQCSUXRDLWEJE-UHFFFAOYSA-N 0 0 289.291 2.940 20 5 CFBDRN CN(Cc1ncc[nH]1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432305336 394011704 /nfs/dbraw/zinc/01/17/04/394011704.db2.gz AZHNMVRCRGMREX-UHFFFAOYSA-N 0 0 266.688 2.608 20 5 CFBDRN Cc1ccsc1[C@@H](CO)Nc1ccc([N+](=O)[O-])cn1 ZINC000487616378 394015746 /nfs/dbraw/zinc/01/57/46/394015746.db2.gz LCKXUHMYECJCOP-SNVBAGLBSA-N 0 0 279.321 2.505 20 5 CFBDRN CCOC1(C)CCN(c2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000488470018 394038011 /nfs/dbraw/zinc/03/80/11/394038011.db2.gz ZYHXEPXUQFDPFQ-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000277301507 394054923 /nfs/dbraw/zinc/05/49/23/394054923.db2.gz AUMFWJYGDTVJQN-GWCFXTLKSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1C[C@@H](C)O[C@H](C)C1 ZINC000127798180 394056608 /nfs/dbraw/zinc/05/66/08/394056608.db2.gz YQHXCDVQYTZJMY-GHMZBOCLSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCOC[C@H]1C ZINC000277758267 394057830 /nfs/dbraw/zinc/05/78/30/394057830.db2.gz VIFUJXREZYPNHO-ZWNOBZJWSA-N 0 0 250.298 2.740 20 5 CFBDRN CC[C@H](O)CCCNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000437587526 394061428 /nfs/dbraw/zinc/06/14/28/394061428.db2.gz GLDIPYXGGAAJJL-NSHDSACASA-N 0 0 295.339 2.576 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000437726409 394071661 /nfs/dbraw/zinc/07/16/61/394071661.db2.gz OONOUWRVRYPNKF-QWRGUYRKSA-N 0 0 295.339 2.513 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NOCC(C)C ZINC000281974198 394103510 /nfs/dbraw/zinc/10/35/10/394103510.db2.gz OFRIEFZPGIQWOC-UHFFFAOYSA-N 0 0 297.311 2.703 20 5 CFBDRN Cc1nc(C(F)F)n(CCOc2cccc([N+](=O)[O-])c2)n1 ZINC000439190710 394104123 /nfs/dbraw/zinc/10/41/23/394104123.db2.gz LFKXABQMDLMBKE-UHFFFAOYSA-N 0 0 298.249 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@](C)(O)C(F)(F)F ZINC000281844886 394082882 /nfs/dbraw/zinc/08/28/82/394082882.db2.gz LSBXHNFMOCXTBV-JTQLQIEISA-N 0 0 279.214 2.595 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000281854316 394084624 /nfs/dbraw/zinc/08/46/24/394084624.db2.gz BJSNOAFDRQNZRS-ZETCQYMHSA-N 0 0 286.328 2.980 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)[C@@H](O)c1cccc(F)c1 ZINC000281862058 394084908 /nfs/dbraw/zinc/08/49/08/394084908.db2.gz KHDKJSFXDJVNFX-WRWORJQWSA-N 0 0 297.311 2.724 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)[C@H](O)c1cccc(F)c1 ZINC000281862048 394085171 /nfs/dbraw/zinc/08/51/71/394085171.db2.gz KHDKJSFXDJVNFX-CPCISQLKSA-N 0 0 297.311 2.724 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]3OCCC[C@H]23)c1 ZINC000438894414 394086301 /nfs/dbraw/zinc/08/63/01/394086301.db2.gz JQVRCAQXCHGPLM-JTNHKYCSSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1nn(C[C@H](C)C(F)(F)F)c(C)c1[N+](=O)[O-] ZINC000439041908 394095759 /nfs/dbraw/zinc/09/57/59/394095759.db2.gz LXKQMNPWSVFDSY-YFKPBYRVSA-N 0 0 251.208 2.607 20 5 CFBDRN Cc1nc(N[C@@H]2COCc3ccccc32)ccc1[N+](=O)[O-] ZINC000418980523 394032393 /nfs/dbraw/zinc/03/23/93/394032393.db2.gz ACGIYMYTNAJIPV-CYBMUJFWSA-N 0 0 285.303 2.982 20 5 CFBDRN Cc1cc(N2CCOC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000273494797 394034670 /nfs/dbraw/zinc/03/46/70/394034670.db2.gz QGVIPOPUPMWPPB-CQSZACIVSA-N 0 0 262.309 2.518 20 5 CFBDRN CC(C)CC[C@@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000273999599 394037098 /nfs/dbraw/zinc/03/70/98/394037098.db2.gz SVQFAKXIOTUCNA-NSHDSACASA-N 0 0 264.329 2.790 20 5 CFBDRN C[C@@H](O)CCOc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000439278216 394109163 /nfs/dbraw/zinc/10/91/63/394109163.db2.gz PJVJRHMUVHTJRC-SSDOTTSWSA-N 0 0 279.214 2.763 20 5 CFBDRN CN(C(=O)CCc1cccs1)c1ccc([N+](=O)[O-])nc1 ZINC000439287972 394109909 /nfs/dbraw/zinc/10/99/09/394109909.db2.gz SGQXXRCTLVBOQC-UHFFFAOYSA-N 0 0 291.332 2.647 20 5 CFBDRN CN(C(=O)c1ccc(F)cc1F)c1ccc([N+](=O)[O-])nc1 ZINC000439303192 394111406 /nfs/dbraw/zinc/11/14/06/394111406.db2.gz WPPAFZTVGIDSSI-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000282023603 394111999 /nfs/dbraw/zinc/11/19/99/394111999.db2.gz QSENZLRXJQCLMI-QMMMGPOBSA-N 0 0 288.298 2.738 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccn(C)c1)c1ccccc1[N+](=O)[O-] ZINC000493208711 394179581 /nfs/dbraw/zinc/17/95/81/394179581.db2.gz QKJHEBNROWHIIJ-QDZRJHCZSA-N 0 0 299.330 2.824 20 5 CFBDRN CC(C)CSCCNc1ncc([N+](=O)[O-])s1 ZINC000282073457 394120131 /nfs/dbraw/zinc/12/01/31/394120131.db2.gz ZFBDKPAORWFZTQ-UHFFFAOYSA-N 0 0 261.372 2.852 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1N[C@@H]1CC12CCCCC2 ZINC000420611763 394120197 /nfs/dbraw/zinc/12/01/97/394120197.db2.gz NOLYRTSYHGIBBW-GFCCVEGCSA-N 0 0 289.335 2.828 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000439711973 394127982 /nfs/dbraw/zinc/12/79/82/394127982.db2.gz CWPPRCQEEUMNEH-WDEREUQCSA-N 0 0 282.340 2.812 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000493040240 394131548 /nfs/dbraw/zinc/13/15/48/394131548.db2.gz QMSWRHSYEBTJDK-MVXGLJCLSA-N 0 0 272.304 2.523 20 5 CFBDRN O=C(/C=C\C1CCCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000493053172 394135056 /nfs/dbraw/zinc/13/50/56/394135056.db2.gz QOQAQUAIIKRTTQ-HJWRWDBZSA-N 0 0 274.320 2.957 20 5 CFBDRN CC[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420957793 394140762 /nfs/dbraw/zinc/14/07/62/394140762.db2.gz ZXTTYLMKDLPJND-OKILXGFUSA-N 0 0 278.352 2.984 20 5 CFBDRN CCC[C@]1(NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCOC1 ZINC000285801379 394148245 /nfs/dbraw/zinc/14/82/45/394148245.db2.gz ULEGLNDAUDKJHK-AWEZNQCLSA-N 0 0 293.323 2.676 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1Cc2ccccc2CO1 ZINC000360409388 394150710 /nfs/dbraw/zinc/15/07/10/394150710.db2.gz VXIHUTFVSZHWHA-UHFFFAOYSA-N 0 0 298.298 2.991 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000447805985 394152709 /nfs/dbraw/zinc/15/27/09/394152709.db2.gz LBOVCTZQWXZIBC-ZDUSSCGKSA-N 0 0 282.315 2.985 20 5 CFBDRN COCC1(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCC1 ZINC000285839426 394154039 /nfs/dbraw/zinc/15/40/39/394154039.db2.gz WBLCKDAZFGJJEO-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CCC1(C)CN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000493124305 394156325 /nfs/dbraw/zinc/15/63/25/394156325.db2.gz WLWAUXROCRTLGV-HJWRWDBZSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](F)C1 ZINC000493162819 394166085 /nfs/dbraw/zinc/16/60/85/394166085.db2.gz SVRSHVYBJFHJMA-FZKGZDJFSA-N 0 0 278.283 2.569 20 5 CFBDRN CCC[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000286512959 394197481 /nfs/dbraw/zinc/19/74/81/394197481.db2.gz NQGKDBSOZYBYQV-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN CC1(C)C[C@@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286533032 394197774 /nfs/dbraw/zinc/19/77/74/394197774.db2.gz YHHUDICIEKKYRU-ZETCQYMHSA-N 0 0 264.310 2.810 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC(CF)CC1 ZINC000493325469 394210937 /nfs/dbraw/zinc/21/09/37/394210937.db2.gz SFXHBXLWTWUBIC-UTCJRWHESA-N 0 0 292.310 2.816 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](CO)c3ccccc32)ncc1[N+](=O)[O-] ZINC000364443521 394213581 /nfs/dbraw/zinc/21/35/81/394213581.db2.gz UHPFVIWLDLHOLB-RISCZKNCSA-N 0 0 299.330 2.931 20 5 CFBDRN CC(F)(F)CCNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000493352839 394219304 /nfs/dbraw/zinc/21/93/04/394219304.db2.gz NILQAWXZUYLVTO-AATRIKPKSA-N 0 0 284.262 2.770 20 5 CFBDRN C/C=C/C=C\C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000493374409 394225901 /nfs/dbraw/zinc/22/59/01/394225901.db2.gz WPMVHDOMTCSGJP-BEHOXYOFSA-N 0 0 260.293 2.652 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC1CC(F)(F)C1 ZINC000493400333 394232226 /nfs/dbraw/zinc/23/22/26/394232226.db2.gz KZUOMSWKZVNDOI-PLNGDYQASA-N 0 0 282.246 2.522 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000493406112 394234966 /nfs/dbraw/zinc/23/49/66/394234966.db2.gz YYJABTODYYPDOV-BSNUQXBGSA-N 0 0 272.304 2.523 20 5 CFBDRN CCc1nc(C)c(CNCc2ccccc2[N+](=O)[O-])o1 ZINC000291785699 394259558 /nfs/dbraw/zinc/25/95/58/394259558.db2.gz VNQHZIDDSIOCAL-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN CO[C@](C)(C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000291641740 394251620 /nfs/dbraw/zinc/25/16/20/394251620.db2.gz GHANCSDXITZLLU-AWEZNQCLSA-N 0 0 278.308 2.657 20 5 CFBDRN CCOC1(C)CCN(c2nccc(C)c2[N+](=O)[O-])CC1 ZINC000291681283 394254235 /nfs/dbraw/zinc/25/42/35/394254235.db2.gz FIGSSHYWYKOWNO-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN CN(CC(F)(F)F)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000133903137 394184569 /nfs/dbraw/zinc/18/45/69/394184569.db2.gz OMVZXERPCAWOIH-UHFFFAOYSA-N 0 0 277.202 2.621 20 5 CFBDRN CC[C@@H](C)CONC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000493232226 394185979 /nfs/dbraw/zinc/18/59/79/394185979.db2.gz WYCVTMJPYINDHJ-WSKFYRRCSA-N 0 0 278.308 2.702 20 5 CFBDRN Cc1c(Cl)c(CNCc2ccccc2[N+](=O)[O-])nn1C ZINC000421335038 394190037 /nfs/dbraw/zinc/19/00/37/394190037.db2.gz RGSVTFSJQKIIRN-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCCc1cccnc1 ZINC000134314018 394194265 /nfs/dbraw/zinc/19/42/65/394194265.db2.gz BCJRBHRRUGJMFL-UHFFFAOYSA-N 0 0 261.306 2.720 20 5 CFBDRN Cc1c(CNC(=O)/C=C/c2ccc[nH]2)cccc1[N+](=O)[O-] ZINC000493528795 394270354 /nfs/dbraw/zinc/27/03/54/394270354.db2.gz UGKNXHFOWYBAFD-BQYQJAHWSA-N 0 0 285.303 2.561 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C)[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000292641010 394276212 /nfs/dbraw/zinc/27/62/12/394276212.db2.gz OSOXQDIYNZPAEN-ZJUUUORDSA-N 0 0 268.288 2.656 20 5 CFBDRN CS[C@H]1CCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000493557598 394277201 /nfs/dbraw/zinc/27/72/01/394277201.db2.gz PXGJHJTXCNUAFD-DGMVEKRQSA-N 0 0 292.360 2.572 20 5 CFBDRN CCO[C@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])C[C@@H]1C ZINC000450744337 394332905 /nfs/dbraw/zinc/33/29/05/394332905.db2.gz MFIHEVMVZUCAFD-AAEUAGOBSA-N 0 0 293.367 2.858 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153759345 394291471 /nfs/dbraw/zinc/29/14/71/394291471.db2.gz UDBVVENUSOGJMM-QPUJVOFHSA-N 0 0 287.747 2.852 20 5 CFBDRN CC(C)OC(C)(C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000450407822 394291727 /nfs/dbraw/zinc/29/17/27/394291727.db2.gz JFONLWYHSCIBLW-NSHDSACASA-N 0 0 294.351 2.976 20 5 CFBDRN CCO[C@H]1CCN(c2nc(C)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000450748186 394333913 /nfs/dbraw/zinc/33/39/13/394333913.db2.gz VXBMBGWTWMATRZ-GWCFXTLKSA-N 0 0 279.340 2.550 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC[C@@H]2c2ccccc2)nc1 ZINC000450618405 394300124 /nfs/dbraw/zinc/30/01/24/394300124.db2.gz VMWFHWJYKKIUQQ-DZGCQCFKSA-N 0 0 298.346 2.803 20 5 CFBDRN Cc1cccc(C(=O)N2CCC[C@@](C)(F)C2)c1[N+](=O)[O-] ZINC000295670862 394302094 /nfs/dbraw/zinc/30/20/94/394302094.db2.gz XDAGSNAPEQKBPP-CQSZACIVSA-N 0 0 280.299 2.867 20 5 CFBDRN CO[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000371729220 394302230 /nfs/dbraw/zinc/30/22/30/394302230.db2.gz ZDXGTYLQUAMJBQ-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN CCO/C=C/C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000493688651 394310610 /nfs/dbraw/zinc/31/06/10/394310610.db2.gz IJTUQICZRRUSHI-AATRIKPKSA-N 0 0 264.281 2.700 20 5 CFBDRN CC(C)CCC(=O)Cn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000155500362 394317538 /nfs/dbraw/zinc/31/75/38/394317538.db2.gz MREJBRORRDABII-UHFFFAOYSA-N 0 0 292.291 2.508 20 5 CFBDRN CC(C)C[C@@H](C)[N@@H+]1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000450687849 394317842 /nfs/dbraw/zinc/31/78/42/394317842.db2.gz BLJLCAKDIVVQMB-LLVKDONJSA-N 0 0 263.341 2.783 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000450688339 394318039 /nfs/dbraw/zinc/31/80/39/394318039.db2.gz WKMDLEVYGJKNJP-BZPMIXESSA-N 0 0 291.351 2.510 20 5 CFBDRN CC[C@](C)(CNc1ccc([N+](=O)[O-])c(N)c1F)OC ZINC000450708881 394322764 /nfs/dbraw/zinc/32/27/64/394322764.db2.gz DIDOCBDAWABACJ-GFCCVEGCSA-N 0 0 271.292 2.543 20 5 CFBDRN CC[C@@](C)(CNc1ccc([N+](=O)[O-])cc1COC)OC ZINC000450716086 394323933 /nfs/dbraw/zinc/32/39/33/394323933.db2.gz OJAFDVASVKIHKJ-AWEZNQCLSA-N 0 0 282.340 2.968 20 5 CFBDRN Cc1nnc(N2CCC[C@H](c3ccc([N+](=O)[O-])cc3)C2)o1 ZINC000450761836 394336715 /nfs/dbraw/zinc/33/67/15/394336715.db2.gz PCWWDWUPXVTMEC-LBPRGKRZSA-N 0 0 288.307 2.670 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@@H]2CCCC[C@@H]2C1 ZINC000450765333 394337371 /nfs/dbraw/zinc/33/73/71/394337371.db2.gz AUCDQTGFWZYKQE-TXEJJXNPSA-N 0 0 278.356 2.746 20 5 CFBDRN Cc1cnc(N[C@@H]2C[C@H](C)n3ccnc32)c([N+](=O)[O-])c1 ZINC000450821826 394341032 /nfs/dbraw/zinc/34/10/32/394341032.db2.gz ALHXALOENRPNRT-VHSXEESVSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000157466287 394345818 /nfs/dbraw/zinc/34/58/18/394345818.db2.gz FSSJJQVQSPQFBH-LLVKDONJSA-N 0 0 260.293 2.742 20 5 CFBDRN CN(C)C(=O)CSCc1ccc([N+](=O)[O-])cc1Cl ZINC000451082970 394356418 /nfs/dbraw/zinc/35/64/18/394356418.db2.gz OVZXZAQULKTJKZ-UHFFFAOYSA-N 0 0 288.756 2.570 20 5 CFBDRN Cc1c(C(=O)N[C@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC000158500659 394358116 /nfs/dbraw/zinc/35/81/16/394358116.db2.gz HWJGFPVSJAOLRY-NSHDSACASA-N 0 0 260.293 2.742 20 5 CFBDRN C[C@]1(F)CCCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000451112945 394358384 /nfs/dbraw/zinc/35/83/84/394358384.db2.gz IZALEYXZVBAGRY-AWEZNQCLSA-N 0 0 292.314 2.795 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC[C@@H](C)C1 ZINC000494592523 394359197 /nfs/dbraw/zinc/35/91/97/394359197.db2.gz SPTRBABQSCYCEZ-MNOVXSKESA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1cn[nH]c1 ZINC000494633394 394361228 /nfs/dbraw/zinc/36/12/28/394361228.db2.gz AVEFJZAFDUBQMO-MRVPVSSYSA-N 0 0 280.715 2.822 20 5 CFBDRN COC(=O)[C@@H](C)Sc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000519648175 394373738 /nfs/dbraw/zinc/37/37/38/394373738.db2.gz YUBOZWWPFLGCHU-MRVPVSSYSA-N 0 0 296.348 2.522 20 5 CFBDRN CC(C)(C)[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000526037330 394384399 /nfs/dbraw/zinc/38/43/99/394384399.db2.gz OYZUXLVSXQCZKH-NSHDSACASA-N 0 0 291.351 2.888 20 5 CFBDRN C[C@@H]1CCCC[C@@]1(C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000454386161 394406661 /nfs/dbraw/zinc/40/66/61/394406661.db2.gz XENCBVHSZGULJG-NOZJJQNGSA-N 0 0 265.313 2.622 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000457442688 394457926 /nfs/dbraw/zinc/45/79/26/394457926.db2.gz ALUFIUULHXKUAF-NHYWBVRUSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1cc(N[C@H]2CC[C@H](CO)CC2)ccc1[N+](=O)[O-] ZINC000538928482 394618945 /nfs/dbraw/zinc/61/89/45/394618945.db2.gz QQRRTZCCFRZEBU-HAQNSBGRSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@H](C(=O)NC1(C2CC2)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000541598179 394678639 /nfs/dbraw/zinc/67/86/39/394678639.db2.gz CQKYJOUGUXQPMR-VIFPVBQESA-N 0 0 292.310 2.896 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@H]2CCCC[C@H]12 ZINC000542060004 394694863 /nfs/dbraw/zinc/69/48/63/394694863.db2.gz KVQNGYXDWGFNOA-QKCSRTOESA-N 0 0 289.335 2.641 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000544004871 394747947 /nfs/dbraw/zinc/74/79/47/394747947.db2.gz JOAIDUPAWYGUCS-YPMHNXCESA-N 0 0 291.351 2.830 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCc3ccc(F)cc32)nc1 ZINC000544843927 394772141 /nfs/dbraw/zinc/77/21/41/394772141.db2.gz AIWGYOGZNRZUBQ-LBPRGKRZSA-N 0 0 274.255 2.623 20 5 CFBDRN C[C@@H](c1noc(-c2cc([N+](=O)[O-])cn2C)n1)c1ccncc1 ZINC000545102074 394779058 /nfs/dbraw/zinc/77/90/58/394779058.db2.gz WUNHPNYGTJORTM-SECBINFHSA-N 0 0 299.290 2.530 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@H](C)OC ZINC000545251120 394786088 /nfs/dbraw/zinc/78/60/88/394786088.db2.gz BWADEUAJFVSBSV-JTQLQIEISA-N 0 0 296.323 2.747 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3Cc4ccccc43)no2)nc1 ZINC000545579960 394797972 /nfs/dbraw/zinc/79/79/72/394797972.db2.gz IJMYZIWMPWVWPD-GFCCVEGCSA-N 0 0 294.270 2.728 20 5 CFBDRN CO[C@@H](C)CCc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000545692953 394799736 /nfs/dbraw/zinc/79/97/36/394799736.db2.gz IHUFBPGTGYETAM-JTQLQIEISA-N 0 0 291.307 2.921 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@H]1CCCOC1 ZINC000546621323 394833998 /nfs/dbraw/zinc/83/39/98/394833998.db2.gz ICQLEQVLDZBXGH-VIFPVBQESA-N 0 0 255.245 2.539 20 5 CFBDRN C[C@H](c1noc(-c2ccc([N+](=O)[O-])cn2)n1)c1ccncc1 ZINC000547214330 394855709 /nfs/dbraw/zinc/85/57/09/394855709.db2.gz BYYBFHVFHRNTJS-VIFPVBQESA-N 0 0 297.274 2.587 20 5 CFBDRN Cc1cc(OCCOC[C@@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000547821051 394893067 /nfs/dbraw/zinc/89/30/67/394893067.db2.gz XXHIGRKJYUUKRJ-NSHDSACASA-N 0 0 299.298 2.617 20 5 CFBDRN O=C(NCCCC1CC1)NCCc1ccccc1[N+](=O)[O-] ZINC000548092722 394908838 /nfs/dbraw/zinc/90/88/38/394908838.db2.gz KUDLDEUGERYLJW-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN COc1ccc(CN2[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000548097651 394909632 /nfs/dbraw/zinc/90/96/32/394909632.db2.gz OSEYAUJSFHHFGB-AOOOYVTPSA-N 0 0 250.298 2.586 20 5 CFBDRN Cc1cnc(N2CCC[C@H](OCC3CC3)C2)c([N+](=O)[O-])c1 ZINC000548288831 394921020 /nfs/dbraw/zinc/92/10/20/394921020.db2.gz XGVKQNAECVFTAB-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN CCN(CC)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000554709750 394956771 /nfs/dbraw/zinc/95/67/71/394956771.db2.gz GDLBAXXIBVWOOA-UHFFFAOYSA-N 0 0 279.340 2.531 20 5 CFBDRN C[C@H]1C[C@H](C)N1Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000548753769 394950825 /nfs/dbraw/zinc/95/08/25/394950825.db2.gz LMBUYJSRYBOCRI-UWVGGRQHSA-N 0 0 288.307 2.628 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@@H]3CCOC3)C2)c(F)cc1[N+](=O)[O-] ZINC000555222096 394967996 /nfs/dbraw/zinc/96/79/96/394967996.db2.gz BKXMOVCKOHIUMV-NWDGAFQWSA-N 0 0 294.326 2.905 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H]([C@H]3CCOC3)C2)c(F)c1 ZINC000366634492 296500769 /nfs/dbraw/zinc/50/07/69/296500769.db2.gz FLQVYHUKHZWERO-UWVGGRQHSA-N 0 0 298.289 2.736 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H](C)OC(C)(C)C1 ZINC000558311739 395057525 /nfs/dbraw/zinc/05/75/25/395057525.db2.gz VSLPWAPCZDYXIT-SNVBAGLBSA-N 0 0 280.324 2.607 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(Cc1cnccn1)C1CC1 ZINC000579563962 395097771 /nfs/dbraw/zinc/09/77/71/395097771.db2.gz JBUYBONLVCJEOW-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN C[C@@H](C(=O)NCC1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000557324158 395034859 /nfs/dbraw/zinc/03/48/59/395034859.db2.gz MQNJXFKDYUCZEM-SECBINFHSA-N 0 0 298.289 2.860 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1ccccc1CO ZINC000557507664 395037635 /nfs/dbraw/zinc/03/76/35/395037635.db2.gz YIIWFFIUTMPNHR-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCC[C@@]12CCOC2 ZINC000367434998 296502251 /nfs/dbraw/zinc/50/22/51/296502251.db2.gz LGKPCYSEWWJPPJ-INIZCTEOSA-N 0 0 299.330 2.902 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000286309659 304797500 /nfs/dbraw/zinc/79/75/00/304797500.db2.gz FCDPGMPTWLOSDD-YPMHNXCESA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC(CF)CC1 ZINC000294908409 296511329 /nfs/dbraw/zinc/51/13/29/296511329.db2.gz FNIXNSAIMJQEPB-UHFFFAOYSA-N 0 0 256.252 2.920 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@@H]3CCCOC3)ccnc21 ZINC000214748700 296512991 /nfs/dbraw/zinc/51/29/91/296512991.db2.gz ZRTPBMREODALNL-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN COC(C)(C)CC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000278651573 292052458 /nfs/dbraw/zinc/05/24/58/292052458.db2.gz DTCHLRVCLZUPLM-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2c1cccc2F ZINC000302168023 296517840 /nfs/dbraw/zinc/51/78/40/296517840.db2.gz SIGBWVYSCLTARZ-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)Cc1ccccc1 ZINC000355921544 155132955 /nfs/dbraw/zinc/13/29/55/155132955.db2.gz FAVAGDODBWBXSW-UHFFFAOYSA-N 0 0 298.342 2.838 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCCc2occc2C1 ZINC000341046036 296521908 /nfs/dbraw/zinc/52/19/08/296521908.db2.gz FSMNUNAMBNGWSN-UHFFFAOYSA-N 0 0 259.265 2.536 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000358708628 292065883 /nfs/dbraw/zinc/06/58/83/292065883.db2.gz QFTQISBQKQOHRA-LLVKDONJSA-N 0 0 294.351 2.837 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194759927 292075409 /nfs/dbraw/zinc/07/54/09/292075409.db2.gz MCQUGHIXSDVFRD-NSHDSACASA-N 0 0 294.351 2.837 20 5 CFBDRN COC(C)(C)C[C@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000266189665 292076869 /nfs/dbraw/zinc/07/68/69/292076869.db2.gz BHOUVGYHPMZKIV-VIFPVBQESA-N 0 0 253.302 2.605 20 5 CFBDRN Cc1ccc(C)c(Cn2c(C)c([N+](=O)[O-])ccc2=O)c1 ZINC000294411148 292084236 /nfs/dbraw/zinc/08/42/36/292084236.db2.gz NUUJUIKJRKJYNA-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN COC1(CC(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CCC1 ZINC000276890410 292105991 /nfs/dbraw/zinc/10/59/91/292105991.db2.gz UIZGBAZVNOPZNZ-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN COc1cc(OCC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000355933665 155133474 /nfs/dbraw/zinc/13/34/74/155133474.db2.gz RFMZSWZCIWGHNS-NSHDSACASA-N 0 0 281.308 2.941 20 5 CFBDRN Cc1ccc(-c2noc([C@@H]3CCO[C@H]3C)n2)cc1[N+](=O)[O-] ZINC000355982511 155136457 /nfs/dbraw/zinc/13/64/57/155136457.db2.gz JDAAKWVFWZFCCP-GXSJLCMTSA-N 0 0 289.291 2.846 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1ccnc3ccc([N+](=O)[O-])cc31)C2 ZINC000601195127 397587374 /nfs/dbraw/zinc/58/73/74/397587374.db2.gz DWLXUNYVJUUMED-ZBEGNZNMSA-N 0 0 299.330 2.616 20 5 CFBDRN CCOC(=O)c1cc(N2C[C@@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000301663530 397638998 /nfs/dbraw/zinc/63/89/98/397638998.db2.gz YSNOCRNAXYIUOH-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc(N2CC[C@H]3OCC[C@H]3C2)c([N+](=O)[O-])c1 ZINC000368470158 292139378 /nfs/dbraw/zinc/13/93/78/292139378.db2.gz KYGFKWXRLLRITL-SMDDNHRTSA-N 0 0 262.309 2.518 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000280461716 285919352 /nfs/dbraw/zinc/91/93/52/285919352.db2.gz MDMQZBQTKOOCRX-LBPRGKRZSA-N 0 0 285.731 2.649 20 5 CFBDRN Cc1ccc(N[C@@H]2C[C@H]3OCCC[C@@H]23)c([N+](=O)[O-])c1 ZINC000302568283 292161969 /nfs/dbraw/zinc/16/19/69/292161969.db2.gz PLMLXVPEWSLECR-ZKYQVNSYSA-N 0 0 262.309 2.883 20 5 CFBDRN CO[C@H](CNc1c(C)cccc1[N+](=O)[O-])C(C)C ZINC000337230687 286015329 /nfs/dbraw/zinc/01/53/29/286015329.db2.gz IPXMDMUKCXQZJW-GFCCVEGCSA-N 0 0 252.314 2.986 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)OC ZINC000356231660 155188904 /nfs/dbraw/zinc/18/89/04/155188904.db2.gz XHVZHQLHARTOTI-UHFFFAOYSA-N 0 0 255.295 2.884 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000356265811 155201889 /nfs/dbraw/zinc/20/18/89/155201889.db2.gz YEAOOYOEIWUYJQ-NWDGAFQWSA-N 0 0 293.367 2.805 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000356379178 155245020 /nfs/dbraw/zinc/24/50/20/155245020.db2.gz AXUHKENGSQTUNY-YFKPBYRVSA-N 0 0 282.243 2.585 20 5 CFBDRN Cc1c(C(=O)NC[C@@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000356391208 155250218 /nfs/dbraw/zinc/25/02/18/155250218.db2.gz KFUQMXHCQVEGMM-SSDOTTSWSA-N 0 0 290.241 2.831 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@H](OC)C2)c([N+](=O)[O-])cc1F ZINC000275816068 286230477 /nfs/dbraw/zinc/23/04/77/286230477.db2.gz FDDAREJPPNRXFC-LKFCYVNXSA-N 0 0 298.314 2.604 20 5 CFBDRN Cc1cc(OCc2cccc(C(N)=O)c2)ccc1[N+](=O)[O-] ZINC000356565939 155303779 /nfs/dbraw/zinc/30/37/79/155303779.db2.gz DDNCRVFWDXPPFZ-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000356569035 155305086 /nfs/dbraw/zinc/30/50/86/155305086.db2.gz STBYSPSWINHBMQ-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H]2C)c1 ZINC000356719449 155338884 /nfs/dbraw/zinc/33/88/84/155338884.db2.gz BGWIXKTZAYZTNF-GZMMTYOYSA-N 0 0 280.349 2.845 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC[C@H]1C ZINC000356718172 155339322 /nfs/dbraw/zinc/33/93/22/155339322.db2.gz AAKUZVKTTHZRDO-GBIKHYSHSA-N 0 0 294.376 2.990 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000356730995 155343813 /nfs/dbraw/zinc/34/38/13/155343813.db2.gz JILRLSHDUFNQFT-NOZJJQNGSA-N 0 0 296.323 2.603 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000356751376 155352030 /nfs/dbraw/zinc/35/20/30/155352030.db2.gz SYAVNJNXPXZGBT-CABZTGNLSA-N 0 0 289.335 2.697 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H]2CC[C@H]2C)c1 ZINC000356756976 155356529 /nfs/dbraw/zinc/35/65/29/155356529.db2.gz OTNOLKXFBCAEKY-VXGBXAGGSA-N 0 0 292.335 2.587 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000356769222 155361452 /nfs/dbraw/zinc/36/14/52/155361452.db2.gz XXPRYORRZAPBEX-WCBMZHEXSA-N 0 0 280.349 2.845 20 5 CFBDRN C[C@H](NCc1c([N+](=O)[O-])ncn1C)c1ccc(Cl)cc1 ZINC000356797528 155371634 /nfs/dbraw/zinc/37/16/34/155371634.db2.gz FRZXONFXTOKCET-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN COc1ccc(Oc2ncncc2Cl)c([N+](=O)[O-])c1 ZINC000302713972 286347143 /nfs/dbraw/zinc/34/71/43/286347143.db2.gz MGNGGKUGLYUOPA-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1Cc1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000356807804 155374974 /nfs/dbraw/zinc/37/49/74/155374974.db2.gz MJDKRBJFVAUBIY-GOEBONIOSA-N 0 0 296.326 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCS[C@H](C)CC2)c1 ZINC000335214914 292282308 /nfs/dbraw/zinc/28/23/08/292282308.db2.gz LAAQDUKJWXSJKS-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCSCC[C@H]2C)c1 ZINC000192355180 292282425 /nfs/dbraw/zinc/28/24/25/292282425.db2.gz UGUWZRSJKIHBAJ-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000355368211 292284013 /nfs/dbraw/zinc/28/40/13/292284013.db2.gz MSMQTDJATKXCKB-SUHUHFCYSA-N 0 0 290.319 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1O[C@H](C)C[C@@H]1C ZINC000282723663 292284018 /nfs/dbraw/zinc/28/40/18/292284018.db2.gz ZFNXEDVZQRUGOE-IMSIIYSGSA-N 0 0 292.335 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC([C@@H]3CCOC3)CC2)n1 ZINC000368345850 292284780 /nfs/dbraw/zinc/28/47/80/292284780.db2.gz CXNRGPDBWDCQCJ-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCSC[C@H](C)C2)c1 ZINC000277161452 286382650 /nfs/dbraw/zinc/38/26/50/286382650.db2.gz GUCYGINVTBKECW-SNVBAGLBSA-N 0 0 282.365 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H]3CCCC[C@H]3C2)n1 ZINC000178251417 292289622 /nfs/dbraw/zinc/28/96/22/292289622.db2.gz GDABAAJEEVICQZ-RYUDHWBXSA-N 0 0 261.325 2.925 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2(C)C)c1 ZINC000187287338 286381109 /nfs/dbraw/zinc/38/11/09/286381109.db2.gz JZFSBXMNLXCPGZ-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3ncsc3C2)c1 ZINC000445668729 292289208 /nfs/dbraw/zinc/28/92/08/292289208.db2.gz PXIVYONMNUKWOB-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1c(Nc2ccc([N+](=O)[O-])c3cccnc23)cnn1C ZINC000356855852 155396974 /nfs/dbraw/zinc/39/69/74/155396974.db2.gz LILJJXKIWQPIMM-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000343071951 154103981 /nfs/dbraw/zinc/10/39/81/154103981.db2.gz QYJPQQKFRGFBKU-VHSXEESVSA-N 0 0 277.324 2.542 20 5 CFBDRN CCCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000343130374 154121727 /nfs/dbraw/zinc/12/17/27/154121727.db2.gz ROUIEYNRNALQKS-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN CCOc1cc(NCc2cc(C)nn2C)ccc1[N+](=O)[O-] ZINC000343152853 154126833 /nfs/dbraw/zinc/12/68/33/154126833.db2.gz IVBBUZCTZJUQHP-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C)n2)c1 ZINC000343175221 154132660 /nfs/dbraw/zinc/13/26/60/154132660.db2.gz FQQQWYGWCHKJTA-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1c(CNc2ccnc3c2cnn3C)cccc1[N+](=O)[O-] ZINC000343220662 154146029 /nfs/dbraw/zinc/14/60/29/154146029.db2.gz YYMFBSUSIYFERE-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](c2nncn2C)C(C)C)c1 ZINC000343232981 154150280 /nfs/dbraw/zinc/15/02/80/154150280.db2.gz GLFORSRXXCUVQL-ZDUSSCGKSA-N 0 0 289.339 2.841 20 5 CFBDRN O=[N+]([O-])c1cccc(S(=O)(=O)Cc2ccccc2F)c1 ZINC000343289602 154168453 /nfs/dbraw/zinc/16/84/53/154168453.db2.gz LZAVCPMCLKSZSD-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2C[C@@H]2C(F)F)n1 ZINC000345527226 154195006 /nfs/dbraw/zinc/19/50/06/154195006.db2.gz MGUIEONJSDKVOS-DTWKUNHWSA-N 0 0 295.245 2.937 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@H](F)C1 ZINC000345621114 154221638 /nfs/dbraw/zinc/22/16/38/154221638.db2.gz COMPOSMKOUSWQG-NWDGAFQWSA-N 0 0 280.299 2.534 20 5 CFBDRN C[C@@H]1COCC[C@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000345730512 154251883 /nfs/dbraw/zinc/25/18/83/154251883.db2.gz QLNXURJPCLSWRW-BXKDBHETSA-N 0 0 289.291 2.785 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC2(C)C)ccc1[N+](=O)[O-] ZINC000345766035 154262396 /nfs/dbraw/zinc/26/23/96/154262396.db2.gz YNDODWRITKTCNP-LBPRGKRZSA-N 0 0 278.308 2.522 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000345774348 154263336 /nfs/dbraw/zinc/26/33/36/154263336.db2.gz KQQIMVQQKFYCJU-HTQZYQBOSA-N 0 0 290.653 2.632 20 5 CFBDRN Cc1ccncc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000345795987 154268619 /nfs/dbraw/zinc/26/86/19/154268619.db2.gz HEMQYAFWEMOIOX-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000345812773 154272688 /nfs/dbraw/zinc/27/26/88/154272688.db2.gz YZFNYDICIFPUOX-BDAKNGLRSA-N 0 0 298.289 2.847 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)C1CCCC1 ZINC000348008194 154285976 /nfs/dbraw/zinc/28/59/76/154285976.db2.gz MBNQKRQZHJIDFY-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN C[C@H]1[C@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1(F)F ZINC000348022359 154290131 /nfs/dbraw/zinc/29/01/31/154290131.db2.gz VTEFKVWFQQAPIT-SSDLBLMSSA-N 0 0 274.198 2.574 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2C[C@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000348058672 154301411 /nfs/dbraw/zinc/30/14/11/154301411.db2.gz AAKXWSINSCZELD-VXNVDRBHSA-N 0 0 285.250 2.678 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c(=O)[nH]1 ZINC000348107540 154322621 /nfs/dbraw/zinc/32/26/21/154322621.db2.gz IUTATVWEUZHMLE-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1C[C@H]1C(F)F ZINC000348153311 154342147 /nfs/dbraw/zinc/34/21/47/154342147.db2.gz XZCZTIWNIXMUAP-SCZZXKLOSA-N 0 0 285.250 2.678 20 5 CFBDRN CCC1CCC(N(C)c2c([N+](=O)[O-])ncn2C)CC1 ZINC000348167557 154346100 /nfs/dbraw/zinc/34/61/00/154346100.db2.gz KADXRTYPJATHDH-UHFFFAOYSA-N 0 0 266.345 2.733 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)c2cncs2)cc1[N+](=O)[O-] ZINC000348239846 154375887 /nfs/dbraw/zinc/37/58/87/154375887.db2.gz ABNDFOOKSUBKLT-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@@]1(CNc2nc3ccccc3cc2[N+](=O)[O-])CCCO1 ZINC000352355976 154541824 /nfs/dbraw/zinc/54/18/24/154541824.db2.gz KKLJEISYLXLOEK-HNNXBMFYSA-N 0 0 287.319 2.546 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCc1cc2ccccc2o1 ZINC000352364595 154543208 /nfs/dbraw/zinc/54/32/08/154543208.db2.gz GLDLYZNRZHWTDZ-UHFFFAOYSA-N 0 0 286.291 2.729 20 5 CFBDRN CSC[C@@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352360970 154543595 /nfs/dbraw/zinc/54/35/95/154543595.db2.gz PIBBIXXSGJIIIQ-SECBINFHSA-N 0 0 277.349 2.728 20 5 CFBDRN Cc1cc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])ccc1O ZINC000354742643 154803721 /nfs/dbraw/zinc/80/37/21/154803721.db2.gz PGQTURWEVHGTAD-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000355410554 155003398 /nfs/dbraw/zinc/00/33/98/155003398.db2.gz GTVRMMCEKQPDFV-QMTHXVAHSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2cc(C)ccc2Cl)c1[N+](=O)[O-] ZINC000355469651 155015368 /nfs/dbraw/zinc/01/53/68/155015368.db2.gz UNRGPXXEKFTIDH-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(-c3ccncc3F)n2)c1 ZINC000355543157 155036508 /nfs/dbraw/zinc/03/65/08/155036508.db2.gz DONCFJPUINTODQ-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN Cc1cccc([C@@H](C)CNc2c([N+](=O)[O-])ncn2C)c1 ZINC000355736628 155071599 /nfs/dbraw/zinc/07/15/99/155071599.db2.gz AVGYMVSMHZZYSU-NSHDSACASA-N 0 0 274.324 2.852 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000355853252 155100835 /nfs/dbraw/zinc/10/08/35/155100835.db2.gz NDEQILANEWFKAH-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000357034153 155478440 /nfs/dbraw/zinc/47/84/40/155478440.db2.gz CNTUJCALUUMZEM-YGRLFVJLSA-N 0 0 294.351 2.581 20 5 CFBDRN NC(=O)c1cccc(Sc2c(F)cccc2[N+](=O)[O-])c1 ZINC000357779984 155534250 /nfs/dbraw/zinc/53/42/50/155534250.db2.gz HKTIIXDSPUQYEO-UHFFFAOYSA-N 0 0 292.291 2.984 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H](C)C(=O)OC(C)C)n1 ZINC000359079969 155979026 /nfs/dbraw/zinc/97/90/26/155979026.db2.gz CSRRKKPDERQTOZ-JTQLQIEISA-N 0 0 295.339 2.606 20 5 CFBDRN C[C@H](Sc1nccnc1N)c1ccccc1[N+](=O)[O-] ZINC000359167637 156010687 /nfs/dbraw/zinc/01/06/87/156010687.db2.gz AGMLQPQIDYYODD-QMMMGPOBSA-N 0 0 276.321 2.820 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CSCC1CC1 ZINC000359180214 156016126 /nfs/dbraw/zinc/01/61/26/156016126.db2.gz UWIPXGIIZPIMQQ-UHFFFAOYSA-N 0 0 280.349 2.985 20 5 CFBDRN CSc1cccc(C(=O)NCC2(C)CC2)c1[N+](=O)[O-] ZINC000362816895 156092155 /nfs/dbraw/zinc/09/21/55/156092155.db2.gz GHEWGAPRTHITAB-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN CSc1cccc(C(=O)NC[C@@H]2C[C@@H]2C)c1[N+](=O)[O-] ZINC000362842504 156097172 /nfs/dbraw/zinc/09/71/72/156097172.db2.gz ZBOPTLKOBIDVTE-IUCAKERBSA-N 0 0 280.349 2.703 20 5 CFBDRN CSc1cccc(C(=O)NC[C@H]2C[C@H]2C)c1[N+](=O)[O-] ZINC000362842505 156097233 /nfs/dbraw/zinc/09/72/33/156097233.db2.gz ZBOPTLKOBIDVTE-RKDXNWHRSA-N 0 0 280.349 2.703 20 5 CFBDRN Cc1c(CC(=O)N2CCC[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000363164984 156156068 /nfs/dbraw/zinc/15/60/68/156156068.db2.gz XBQUMEIRLUHZFP-OAHLLOKOSA-N 0 0 288.347 2.847 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCc2ncccc2C1 ZINC000363618459 156189227 /nfs/dbraw/zinc/18/92/27/156189227.db2.gz IIQKGTPRHVPFKL-UHFFFAOYSA-N 0 0 298.302 2.580 20 5 CFBDRN COc1cc(N2CCCO[C@@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000369698474 156608946 /nfs/dbraw/zinc/60/89/46/156608946.db2.gz XYSHEEXCFXXXLK-TZMCWYRMSA-N 0 0 292.335 2.751 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000360391369 522653071 /nfs/dbraw/zinc/65/30/71/522653071.db2.gz GOJJFKPPHVQZFW-WDEREUQCSA-N 0 0 280.324 2.760 20 5 CFBDRN Cc1ccnc(NC[C@]2(C)CCO[C@@H]2C2CC2)c1[N+](=O)[O-] ZINC000372807463 156924738 /nfs/dbraw/zinc/92/47/38/156924738.db2.gz YRLYWJOZEMSEHA-HIFRSBDPSA-N 0 0 291.351 2.915 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H](C2CC2)O1 ZINC000373668989 157019702 /nfs/dbraw/zinc/01/97/02/157019702.db2.gz ALBJBYXGNFRMOB-PELKAZGASA-N 0 0 297.742 2.647 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H](C2CC2)O1 ZINC000373668993 157019705 /nfs/dbraw/zinc/01/97/05/157019705.db2.gz ALBJBYXGNFRMOB-QPUJVOFHSA-N 0 0 297.742 2.647 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H]1C1CC1 ZINC000373799676 157032717 /nfs/dbraw/zinc/03/27/17/157032717.db2.gz JHZOXLCYSOGQMS-ZDUSSCGKSA-N 0 0 290.319 2.618 20 5 CFBDRN Cc1ccc(N2CC[C@H](Nc3ccc([N+](=O)[O-])cn3)C2)cc1 ZINC000374224240 157084719 /nfs/dbraw/zinc/08/47/19/157084719.db2.gz SAFNRZNIYMEEIT-ZDUSSCGKSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1ccc(CNc2cnc3c(c2)COCC3)cc1[N+](=O)[O-] ZINC000375037649 157186342 /nfs/dbraw/zinc/18/63/42/157186342.db2.gz JJNLTNWYIMAJRA-UHFFFAOYSA-N 0 0 299.330 2.983 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])s2)CCO[C@H]1C1CC1 ZINC000375597141 157258404 /nfs/dbraw/zinc/25/84/04/157258404.db2.gz JUBJMTCPBBTGMC-JQWIXIFHSA-N 0 0 283.353 2.668 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cn2)[C@H]2CCCO[C@@H]21 ZINC000376491667 157362920 /nfs/dbraw/zinc/36/29/20/157362920.db2.gz MMTPTILNGRAWOP-RTXFEEFZSA-N 0 0 277.324 2.605 20 5 CFBDRN CC1CCC(C)(NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000407974315 157373556 /nfs/dbraw/zinc/37/35/56/157373556.db2.gz DBCVSFIYHRNVEH-UHFFFAOYSA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@@]3(CCOC3)C2)c1 ZINC000408091430 157378395 /nfs/dbraw/zinc/37/83/95/157378395.db2.gz WZDUTZZIPQCTIR-WFASDCNBSA-N 0 0 292.335 2.653 20 5 CFBDRN Nc1c(C(=O)Nc2ccccc2)cc(F)cc1[N+](=O)[O-] ZINC000048244210 161500785 /nfs/dbraw/zinc/50/07/85/161500785.db2.gz NJXVBSMMBVFJGQ-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN O=C(NC[C@@H]1CCCCS1)c1cccc([N+](=O)[O-])c1 ZINC000342640020 161676982 /nfs/dbraw/zinc/67/69/82/161676982.db2.gz OZUGDZYDQHWVGY-LBPRGKRZSA-N 0 0 280.349 2.610 20 5 CFBDRN C[C@H](CNc1c(F)cccc1[N+](=O)[O-])N1CCCCC1=O ZINC000342962061 161745390 /nfs/dbraw/zinc/74/53/90/161745390.db2.gz RTSYRZFMWJYVDJ-SNVBAGLBSA-N 0 0 295.314 2.547 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1N1CC[C@@H](CO)C1 ZINC000342964247 161746177 /nfs/dbraw/zinc/74/61/77/161746177.db2.gz IFIBBYNWYSQTMH-SSDOTTSWSA-N 0 0 291.134 2.720 20 5 CFBDRN Cc1c([C@@H]2C[C@@H]2Nc2c(F)cccc2[N+](=O)[O-])cnn1C ZINC000343033917 161752009 /nfs/dbraw/zinc/75/20/09/161752009.db2.gz LBZHQILBAULHBI-CABZTGNLSA-N 0 0 290.298 2.744 20 5 CFBDRN C[C@@](CO)(CNc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000343801996 161864393 /nfs/dbraw/zinc/86/43/93/161864393.db2.gz HBHGZKZVJCPPPG-INIZCTEOSA-N 0 0 286.331 2.957 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc([N+](=O)[O-])c3cnccc23)[nH]1 ZINC000343935695 161898215 /nfs/dbraw/zinc/89/82/15/161898215.db2.gz CKWGJHTZMAQDPE-QMMMGPOBSA-N 0 0 298.306 2.743 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C[C@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000344373210 162025996 /nfs/dbraw/zinc/02/59/96/162025996.db2.gz KDTPSMXQZYXBGN-WHGOUJPWSA-N 0 0 299.277 2.609 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1C[C@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000344373211 162026846 /nfs/dbraw/zinc/02/68/46/162026846.db2.gz KDTPSMXQZYXBGN-XROYCOCOSA-N 0 0 299.277 2.609 20 5 CFBDRN COc1cc(C)nc(Oc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000344422792 162039219 /nfs/dbraw/zinc/03/92/19/162039219.db2.gz CCQJBSNXIMDTFQ-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ccc(F)c(F)c2)cn1 ZINC000344446306 162046872 /nfs/dbraw/zinc/04/68/72/162046872.db2.gz ZUHZOAQSOPZMFX-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1ccc(F)cn1 ZINC000344493404 162054099 /nfs/dbraw/zinc/05/40/99/162054099.db2.gz FWZZQJAEXWMPTI-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN C[C@H](Sc1cccc([N+](=O)[O-])c1)c1nncn1C ZINC000344579686 162071053 /nfs/dbraw/zinc/07/10/53/162071053.db2.gz NAYKVBGTCVIPKJ-QMMMGPOBSA-N 0 0 264.310 2.577 20 5 CFBDRN Cc1nc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)cs1 ZINC000344650941 162091037 /nfs/dbraw/zinc/09/10/37/162091037.db2.gz BJNWSDXZFLPOGA-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN CSc1cccc(NC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000344708344 162105056 /nfs/dbraw/zinc/10/50/56/162105056.db2.gz VIEUBNXGQNELRI-UHFFFAOYSA-N 0 0 291.332 2.908 20 5 CFBDRN CO[C@]1(C)C[C@@H]([NH2+]Cc2cc([O-])ccc2[N+](=O)[O-])C1(C)C ZINC000344730712 162110532 /nfs/dbraw/zinc/11/05/32/162110532.db2.gz YPKPSWHCUPZLCX-UKRRQHHQSA-N 0 0 294.351 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccncc1 ZINC000344780124 162120778 /nfs/dbraw/zinc/12/07/78/162120778.db2.gz YFIHVWNWXVVJNG-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CO[C@@H](CC[NH2+]Cc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000344805102 162126587 /nfs/dbraw/zinc/12/65/87/162126587.db2.gz DCCBSGCNZSRDSN-NSHDSACASA-N 0 0 292.257 2.652 20 5 CFBDRN Cc1nccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000344891153 162142607 /nfs/dbraw/zinc/14/26/07/162142607.db2.gz JOKCEQJXEQESHV-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@]1(C)CCO[C@@H]1C ZINC000344920771 162146660 /nfs/dbraw/zinc/14/66/60/162146660.db2.gz SGWAQPPDWIRIJO-YGRLFVJLSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000344924761 162149165 /nfs/dbraw/zinc/14/91/65/162149165.db2.gz ZQBYGMXRGLYHGX-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN Cc1cc(C(=O)Nc2cnn(C(F)F)c2)ccc1[N+](=O)[O-] ZINC000345186951 162196603 /nfs/dbraw/zinc/19/66/03/162196603.db2.gz OBITYWAUOZCQQN-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN Cc1cnc(CNc2cccc([N+](=O)[O-])c2C)nc1 ZINC000345311545 162224905 /nfs/dbraw/zinc/22/49/05/162224905.db2.gz GQCMJCOJJBAABK-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)NCCNc2ccc([N+](=O)[O-])cc2)C1 ZINC000345316731 162225504 /nfs/dbraw/zinc/22/55/04/162225504.db2.gz MGUZNDMSOBFVOU-VXGBXAGGSA-N 0 0 291.351 2.559 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)c2nccn21 ZINC000345350628 162233399 /nfs/dbraw/zinc/23/33/99/162233399.db2.gz IGIJJWQMJVTUQM-JOYOIKCWSA-N 0 0 258.281 2.909 20 5 CFBDRN CC1(COc2ccc(Cl)cc2[N+](=O)[O-])COC1 ZINC000345479736 162256193 /nfs/dbraw/zinc/25/61/93/162256193.db2.gz HRKOMAPWWBSVAC-UHFFFAOYSA-N 0 0 257.673 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nc(-c3ncccn3)no2)cc1 ZINC000346041911 162305090 /nfs/dbraw/zinc/30/50/90/162305090.db2.gz BTWKHEJVSKTRJY-DAXSKMNVSA-N 0 0 295.258 2.605 20 5 CFBDRN Cn1cc(-c2noc(/C=C\c3cccc([N+](=O)[O-])c3)n2)cn1 ZINC000346061244 162309381 /nfs/dbraw/zinc/30/93/81/162309381.db2.gz UCFKQUOTLBMYHO-WAYWQWQTSA-N 0 0 297.274 2.549 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000346168117 162339534 /nfs/dbraw/zinc/33/95/34/162339534.db2.gz BUUTXZFEFQDYEN-SNVBAGLBSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000346232579 162359885 /nfs/dbraw/zinc/35/98/85/162359885.db2.gz KPYCLWBHPKASQW-ZDUSSCGKSA-N 0 0 291.351 2.891 20 5 CFBDRN O=C(NCc1ccc(F)cc1F)c1cccc([N+](=O)[O-])c1 ZINC000346346609 162389540 /nfs/dbraw/zinc/38/95/40/162389540.db2.gz IMROJYGYOZLUFP-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN CC(C)(C)c1ncn(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000346356128 162391587 /nfs/dbraw/zinc/39/15/87/162391587.db2.gz WARJLGSVPDRXHA-UHFFFAOYSA-N 0 0 260.297 2.532 20 5 CFBDRN CSc1ccc(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000346968306 162567298 /nfs/dbraw/zinc/56/72/98/162567298.db2.gz XKXKHDRJKWONBR-UHFFFAOYSA-N 0 0 291.332 2.575 20 5 CFBDRN CN(Cc1ccoc1)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347320481 162652071 /nfs/dbraw/zinc/65/20/71/162652071.db2.gz XDEVCEGLQWZKAY-UHFFFAOYSA-N 0 0 293.254 2.991 20 5 CFBDRN COc1ncc(COc2cc([N+](=O)[O-])ccc2C)c(C)n1 ZINC000347746277 162767169 /nfs/dbraw/zinc/76/71/69/162767169.db2.gz VOVDKDIETBEMIO-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN Cc1nc(Cn2cc(Cl)cc([N+](=O)[O-])c2=O)c(C)s1 ZINC000347764037 162770608 /nfs/dbraw/zinc/77/06/08/162770608.db2.gz IHLNIQVUMRYHQJ-UHFFFAOYSA-N 0 0 299.739 2.532 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCC2CCC(=O)CC2)n1 ZINC000347941464 162806182 /nfs/dbraw/zinc/80/61/82/162806182.db2.gz XQFUBHSRJFTANJ-UHFFFAOYSA-N 0 0 278.308 2.745 20 5 CFBDRN CC[C@@H](C)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000348496359 162862711 /nfs/dbraw/zinc/86/27/11/162862711.db2.gz QOAVZDVUBWNTRK-PWSUYJOCSA-N 0 0 279.340 2.964 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N(C)[C@H]1CC1(C)C ZINC000348513690 162863252 /nfs/dbraw/zinc/86/32/52/162863252.db2.gz YSFIPMSXYIOKFO-LBPRGKRZSA-N 0 0 292.335 2.864 20 5 CFBDRN CCn1ncnc1COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000349914193 163035986 /nfs/dbraw/zinc/03/59/86/163035986.db2.gz NFOHLAXIXBDMFU-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN CC[C@H](C)C(=O)COc1c(Cl)cncc1[N+](=O)[O-] ZINC000349949611 163041696 /nfs/dbraw/zinc/04/16/96/163041696.db2.gz RVVOESBNMPAMMU-ZETCQYMHSA-N 0 0 272.688 2.637 20 5 CFBDRN COCC[N@H+](Cc1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000350006076 163050573 /nfs/dbraw/zinc/05/05/73/163050573.db2.gz FTXZTZJXFVTCPB-UHFFFAOYSA-N 0 0 264.325 2.514 20 5 CFBDRN Cc1ccc(CN(C)Cc2ccccn2)cc1[N+](=O)[O-] ZINC000350395253 163075389 /nfs/dbraw/zinc/07/53/89/163075389.db2.gz SSQRTTIFHHWPBX-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN Cc1occc1-c1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000350445013 163082515 /nfs/dbraw/zinc/08/25/15/163082515.db2.gz ZEBYLTVNBYLEJL-UHFFFAOYSA-N 0 0 274.236 2.552 20 5 CFBDRN Cc1c(Cc2nc(-c3cccnc3)no2)cccc1[N+](=O)[O-] ZINC000350452594 163082807 /nfs/dbraw/zinc/08/28/07/163082807.db2.gz GUPQCCNKGLKMNO-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN CN(CCCCO)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000350670593 163097084 /nfs/dbraw/zinc/09/70/84/163097084.db2.gz SOHGSIFQDFVANO-UHFFFAOYSA-N 0 0 292.257 2.822 20 5 CFBDRN COc1ccc(CNC(=O)C2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000350665795 163098061 /nfs/dbraw/zinc/09/80/61/163098061.db2.gz ZLSYRAAVUKJQPW-UHFFFAOYSA-N 0 0 292.335 2.656 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000350678281 163098126 /nfs/dbraw/zinc/09/81/26/163098126.db2.gz UKEYUDJZSKVYOJ-WPRPVWTQSA-N 0 0 279.296 2.523 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000350689318 163098539 /nfs/dbraw/zinc/09/85/39/163098539.db2.gz GCLXTBAXLAKNCQ-IUCAKERBSA-N 0 0 297.286 2.616 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1cccc(Cl)c1 ZINC000350733228 163103254 /nfs/dbraw/zinc/10/32/54/163103254.db2.gz YTWXHIYSKJJUSY-UHFFFAOYSA-N 0 0 294.742 2.971 20 5 CFBDRN Cc1cccc(C(=O)N(C)Cc2cccn2C)c1[N+](=O)[O-] ZINC000351012730 163117044 /nfs/dbraw/zinc/11/70/44/163117044.db2.gz LAMQZNUBYSFXOR-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC[C@H]1C ZINC000351146065 163120588 /nfs/dbraw/zinc/12/05/88/163120588.db2.gz PDBPODGKRFVLDC-SCZZXKLOSA-N 0 0 279.296 2.523 20 5 CFBDRN Cc1ccnc(Oc2ccc3c(c2)CN(C)C3=O)c1[N+](=O)[O-] ZINC000351499417 163135758 /nfs/dbraw/zinc/13/57/58/163135758.db2.gz BGBUTRJMQXXJCB-UHFFFAOYSA-N 0 0 299.286 2.676 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000351570502 163149130 /nfs/dbraw/zinc/14/91/30/163149130.db2.gz WPENCPCEGFKUKZ-SECBINFHSA-N 0 0 268.338 2.965 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000351570647 163149573 /nfs/dbraw/zinc/14/95/73/163149573.db2.gz ZJDHUCMSASDYES-GFCCVEGCSA-N 0 0 276.336 2.832 20 5 CFBDRN O=[N+]([O-])c1cccc(OCC[C@H]2CCCCO2)c1 ZINC000351644721 163165930 /nfs/dbraw/zinc/16/59/30/163165930.db2.gz AVPNANHFYVYFBJ-GFCCVEGCSA-N 0 0 251.282 2.933 20 5 CFBDRN O=C(Nc1cccnc1)Nc1ccc([N+](=O)[O-])cc1F ZINC000351652664 163168528 /nfs/dbraw/zinc/16/85/28/163168528.db2.gz SGJHJUBKBSRALZ-UHFFFAOYSA-N 0 0 276.227 2.773 20 5 CFBDRN Cc1ncsc1NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000351668966 163170568 /nfs/dbraw/zinc/17/05/68/163170568.db2.gz FJRWKNYJNAVXDJ-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1ncsc1COc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000351676603 163171143 /nfs/dbraw/zinc/17/11/43/163171143.db2.gz ORBRRAOZGVTAEM-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN COC(=O)C[C@@H](C)SCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000351825930 163200540 /nfs/dbraw/zinc/20/05/40/163200540.db2.gz CBNBPLHHXJVWEV-SECBINFHSA-N 0 0 299.348 2.788 20 5 CFBDRN COc1cc(Cn2cc(-n3cccc3)cn2)ccc1[N+](=O)[O-] ZINC000351903468 163213075 /nfs/dbraw/zinc/21/30/75/163213075.db2.gz LZSWOOPLCLAJOQ-UHFFFAOYSA-N 0 0 298.302 2.639 20 5 CFBDRN COc1cc(CN2CC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000352767004 163231205 /nfs/dbraw/zinc/23/12/05/163231205.db2.gz FAJNNXIIMVYBQE-ZDUSSCGKSA-N 0 0 268.288 2.537 20 5 CFBDRN CCO[C@H]1COCC[C@@H]1Nc1ccc(C)cc1[N+](=O)[O-] ZINC000353267490 163332402 /nfs/dbraw/zinc/33/24/02/163332402.db2.gz PQVHJEHMYZZRGD-JSGCOSHPSA-N 0 0 280.324 2.509 20 5 CFBDRN CCCCN(CC)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000353303912 163341716 /nfs/dbraw/zinc/34/17/16/163341716.db2.gz ITBNEIHKTGXGTL-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CC[C@H](N[C@H](C)c1ncnn1C)c1cccc([N+](=O)[O-])c1 ZINC000353338486 163347996 /nfs/dbraw/zinc/34/79/96/163347996.db2.gz UWBWWYIENDFKBU-MFKMUULPSA-N 0 0 289.339 2.525 20 5 CFBDRN Cn1ccnc1[C@@H](NC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000353383795 163354936 /nfs/dbraw/zinc/35/49/36/163354936.db2.gz GKWYNGPMCYYSIZ-AWEZNQCLSA-N 0 0 286.335 2.560 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000353410232 163359062 /nfs/dbraw/zinc/35/90/62/163359062.db2.gz BVQRZJLMOZQAQM-MWLCHTKSSA-N 0 0 287.319 2.995 20 5 CFBDRN CC1CCC(O)(CN2CCc3c2cccc3[N+](=O)[O-])CC1 ZINC000353547845 163389995 /nfs/dbraw/zinc/38/99/95/163389995.db2.gz KVENYEVMFVWONI-UHFFFAOYSA-N 0 0 290.363 2.899 20 5 CFBDRN CN(CC1(c2ccccc2)CC1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000353573701 163397339 /nfs/dbraw/zinc/39/73/39/163397339.db2.gz NGRZFIPGWRCLEL-UHFFFAOYSA-N 0 0 299.330 2.727 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)O[C@@H](C)[C@H]1C ZINC000353718746 163433124 /nfs/dbraw/zinc/43/31/24/163433124.db2.gz JCXWXRIULKHQJF-TUAOUCFPSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1ccc(CN(C)Cc2ccc[nH]2)cc1[N+](=O)[O-] ZINC000354032764 163509991 /nfs/dbraw/zinc/50/99/91/163509991.db2.gz DKKQKRNFWHWERN-UHFFFAOYSA-N 0 0 259.309 2.863 20 5 CFBDRN CC[C@@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@H](C)O1 ZINC000278407745 287212092 /nfs/dbraw/zinc/21/20/92/287212092.db2.gz NKBVXIZWSYHSIS-WDEREUQCSA-N 0 0 291.351 2.567 20 5 CFBDRN CC[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])C[C@H](C)[S@]1=O ZINC000286269206 287212473 /nfs/dbraw/zinc/21/24/73/287212473.db2.gz HEYAHVIOYIXLSR-ZBUHCNDUSA-N 0 0 296.392 2.639 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000003190370 169866634 /nfs/dbraw/zinc/86/66/34/169866634.db2.gz PTVIFRYRNAOCOW-DTORHVGOSA-N 0 0 252.270 2.591 20 5 CFBDRN CCOC1(C(=O)NCc2ccccc2[N+](=O)[O-])CCCC1 ZINC000284183074 195998367 /nfs/dbraw/zinc/99/83/67/195998367.db2.gz GSMFAXRLCNBWJK-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284193528 196000605 /nfs/dbraw/zinc/00/06/05/196000605.db2.gz ZCNIOULTCNLQSN-DTWKUNHWSA-N 0 0 295.314 2.577 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000284247882 196011779 /nfs/dbraw/zinc/01/17/79/196011779.db2.gz PPUIUTDSVDHUCB-UHFFFAOYSA-N 0 0 290.241 2.770 20 5 CFBDRN COCC1(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000284249392 196012733 /nfs/dbraw/zinc/01/27/33/196012733.db2.gz HZQBXJMQWYRVEM-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN O=[N+]([O-])c1nn(CCCCCF)cc1Br ZINC000284248944 196012871 /nfs/dbraw/zinc/01/28/71/196012871.db2.gz OHQGWXFSJWMPFV-UHFFFAOYSA-N 0 0 280.097 2.694 20 5 CFBDRN CC(C)N(C(=O)COc1ccccc1[N+](=O)[O-])C(C)C ZINC000005727220 170133972 /nfs/dbraw/zinc/13/39/72/170133972.db2.gz FFTRQXBAFDCDSY-UHFFFAOYSA-N 0 0 280.324 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)N2CCCCCCC2)cc1 ZINC000005864061 170148215 /nfs/dbraw/zinc/14/82/15/170148215.db2.gz CEQQMJTWMCMBIG-UHFFFAOYSA-N 0 0 298.364 2.550 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000006061083 170173317 /nfs/dbraw/zinc/17/33/17/170173317.db2.gz DTFADNIQGLHSCF-RISCZKNCSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1noc(C)c1COc1cc(F)ccc1[N+](=O)[O-] ZINC000007005396 170261749 /nfs/dbraw/zinc/26/17/49/170261749.db2.gz ZBTCMPBDUCURRA-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN Cc1cc(N)nc(SCc2ccccc2[N+](=O)[O-])n1 ZINC000007048499 170264218 /nfs/dbraw/zinc/26/42/18/170264218.db2.gz XGZAMODAHNNFSW-UHFFFAOYSA-N 0 0 276.321 2.568 20 5 CFBDRN CCC(=O)c1ccc(Oc2c([N+](=O)[O-])ncn2C)cc1 ZINC000007124470 170268023 /nfs/dbraw/zinc/26/80/23/170268023.db2.gz WLLHFHXIOGUDGO-UHFFFAOYSA-N 0 0 275.264 2.713 20 5 CFBDRN CC(C)OCCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000008318179 170330638 /nfs/dbraw/zinc/33/06/38/170330638.db2.gz VWSBNZNZAFUDSL-UHFFFAOYSA-N 0 0 284.341 2.531 20 5 CFBDRN CSc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000008376159 170333843 /nfs/dbraw/zinc/33/38/43/170333843.db2.gz UJSNMJZSZGCPFZ-UHFFFAOYSA-N 0 0 264.310 2.794 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000014194173 170623796 /nfs/dbraw/zinc/62/37/96/170623796.db2.gz YZIVAZDXXYFFPP-RYUDHWBXSA-N 0 0 291.351 2.796 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000330653973 287243514 /nfs/dbraw/zinc/24/35/14/287243514.db2.gz OETCRNVZLLRTKN-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN CCNc1ccc(C(=O)Nc2cccnc2)cc1[N+](=O)[O-] ZINC000015436160 170666681 /nfs/dbraw/zinc/66/66/81/170666681.db2.gz COGNEMZUKIOQDP-UHFFFAOYSA-N 0 0 286.291 2.674 20 5 CFBDRN O=C(NCC(F)(F)F)c1cc([N+](=O)[O-])ccc1Cl ZINC000019485481 170812074 /nfs/dbraw/zinc/81/20/74/170812074.db2.gz YRQWHFQPBIOLRP-UHFFFAOYSA-N 0 0 282.605 2.540 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000187097818 287254672 /nfs/dbraw/zinc/25/46/72/287254672.db2.gz QXFZMSSHYIAXLX-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1ccc2c(c1)OCCO2 ZINC000025829119 171466838 /nfs/dbraw/zinc/46/68/38/171466838.db2.gz YOPLBTZVGAYCAS-UHFFFAOYSA-N 0 0 286.287 2.978 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000268050844 287257338 /nfs/dbraw/zinc/25/73/38/287257338.db2.gz SMVIHIPWBWUPKC-NXEZZACHSA-N 0 0 295.295 2.507 20 5 CFBDRN Cc1ccc(C(=O)N2CCn3cccc3[C@@H]2C)cc1[N+](=O)[O-] ZINC000029378255 171595816 /nfs/dbraw/zinc/59/58/16/171595816.db2.gz TWMFIUHESXRWII-LBPRGKRZSA-N 0 0 299.330 2.922 20 5 CFBDRN COC(=O)[C@H](N[C@H](C)c1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000284882048 196149468 /nfs/dbraw/zinc/14/94/68/196149468.db2.gz FLAKWZRUWKUFFP-QMTHXVAHSA-N 0 0 292.335 2.505 20 5 CFBDRN COC(=O)c1cc(CNc2ccccc2[N+](=O)[O-])oc1C ZINC000035252135 172082103 /nfs/dbraw/zinc/08/21/03/172082103.db2.gz NYJLQJUJLVGVLY-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN CCOCCOc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000285079027 196192300 /nfs/dbraw/zinc/19/23/00/196192300.db2.gz HJJHOLFZUUQXOZ-UHFFFAOYSA-N 0 0 263.652 2.803 20 5 CFBDRN C[C@@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000285226805 196226435 /nfs/dbraw/zinc/22/64/35/196226435.db2.gz XSDBYCZBIGQICW-LLVKDONJSA-N 0 0 291.351 2.577 20 5 CFBDRN Cc1c(COc2ccccc2CO)cccc1[N+](=O)[O-] ZINC000035649551 172326210 /nfs/dbraw/zinc/32/62/10/172326210.db2.gz FKVIDRWWUAVENG-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN Cn1cc(NCc2cc([N+](=O)[O-])ccc2Cl)cn1 ZINC000035737399 172390385 /nfs/dbraw/zinc/39/03/85/172390385.db2.gz BHCZBGXFAXIUBU-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN COC(=O)C[C@H](C)Sc1ccccc1[N+](=O)[O-] ZINC000035741131 172394636 /nfs/dbraw/zinc/39/46/36/172394636.db2.gz VHXRUMSYYWORFV-QMMMGPOBSA-N 0 0 255.295 2.639 20 5 CFBDRN COC(=O)C[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000035741127 172394948 /nfs/dbraw/zinc/39/49/48/172394948.db2.gz QNVMHJLTCFJFHG-QMMMGPOBSA-N 0 0 255.295 2.639 20 5 CFBDRN O=[N+]([O-])c1c(NCc2ccccc2)nc2ccccn21 ZINC000035976880 172426459 /nfs/dbraw/zinc/42/64/59/172426459.db2.gz URPOLCHGBLSZFC-UHFFFAOYSA-N 0 0 268.276 2.855 20 5 CFBDRN CC(C)[C@@H](Nc1ncc([N+](=O)[O-])s1)c1ncc[nH]1 ZINC000285629368 196348843 /nfs/dbraw/zinc/34/88/43/196348843.db2.gz NEIMNZDPTVVUSX-MRVPVSSYSA-N 0 0 267.314 2.584 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cn3ccccc3n2)cc1 ZINC000037487199 173230241 /nfs/dbraw/zinc/23/02/41/173230241.db2.gz UJFBAILZEXAWJT-UHFFFAOYSA-N 0 0 282.303 2.532 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H](O)c1ccccc1 ZINC000037489363 173231005 /nfs/dbraw/zinc/23/10/05/173231005.db2.gz HQUBPVFUYSOCIF-ZDUSSCGKSA-N 0 0 259.261 2.707 20 5 CFBDRN C[C@@H](NCc1csc([N+](=O)[O-])c1)c1ccccn1 ZINC000037562566 173272771 /nfs/dbraw/zinc/27/27/71/173272771.db2.gz PGUGOBZDGCJXER-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN CN(CC1CC1)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000038000549 173963400 /nfs/dbraw/zinc/96/34/00/173963400.db2.gz UPUWZCRTZYXEKR-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN O=C(NCc1cccc(O)c1)Nc1cccc([N+](=O)[O-])c1 ZINC000038032344 174027966 /nfs/dbraw/zinc/02/79/66/174027966.db2.gz PFEUDATUMPJOBX-UHFFFAOYSA-N 0 0 287.275 2.622 20 5 CFBDRN Cc1ccc(NCC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000038097476 174111151 /nfs/dbraw/zinc/11/11/51/174111151.db2.gz LDCGLSFAZKMAMK-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN Nc1ccc(C(=O)OC2CCCCC2)cc1[N+](=O)[O-] ZINC000040430318 174259963 /nfs/dbraw/zinc/25/99/63/174259963.db2.gz KSWOOUJZRBGYAV-UHFFFAOYSA-N 0 0 264.281 2.667 20 5 CFBDRN C[C@H](NCc1cscn1)c1cccc([N+](=O)[O-])c1 ZINC000040505341 174301613 /nfs/dbraw/zinc/30/16/13/174301613.db2.gz XYPYDVRMFLEISE-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN CCCCNc1ncc(Br)cc1[N+](=O)[O-] ZINC000040713350 174381582 /nfs/dbraw/zinc/38/15/82/174381582.db2.gz JCPUBSFRODLBIM-UHFFFAOYSA-N 0 0 274.118 2.964 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1NCC1CCC1 ZINC000040713373 174381683 /nfs/dbraw/zinc/38/16/83/174381683.db2.gz RCUIOPXCZIATBT-UHFFFAOYSA-N 0 0 286.129 2.964 20 5 CFBDRN Cc1cc(CN(C)c2c(F)cccc2[N+](=O)[O-])no1 ZINC000286189067 196520432 /nfs/dbraw/zinc/52/04/32/196520432.db2.gz QTDHYCVBUZWOJX-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN C[C@H]1OCC[C@@H]1Sc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286203075 196525573 /nfs/dbraw/zinc/52/55/73/196525573.db2.gz MJAFELUCXHIARF-SVRRBLITSA-N 0 0 297.361 2.869 20 5 CFBDRN CNC(=O)c1ccccc1OCc1ccccc1[N+](=O)[O-] ZINC000042120518 174638945 /nfs/dbraw/zinc/63/89/45/174638945.db2.gz JPMUNVKMGUAXIY-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)Nc1ccc([N+](=O)[O-])cc1F ZINC000043436353 175045265 /nfs/dbraw/zinc/04/52/65/175045265.db2.gz VFVIRVIBGIEZBG-GFCCVEGCSA-N 0 0 284.287 2.734 20 5 CFBDRN CCCCNC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000043466570 175052794 /nfs/dbraw/zinc/05/27/94/175052794.db2.gz RMVUJMBBIGHWNH-UHFFFAOYSA-N 0 0 267.285 2.525 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCc1ccsc1 ZINC000043770850 175105373 /nfs/dbraw/zinc/10/53/73/175105373.db2.gz FFECPUUIMLJRKU-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN CCc1noc([C@@H](C)N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000043783821 175105889 /nfs/dbraw/zinc/10/58/89/175105889.db2.gz GIMHKCZTTZHCTL-SNVBAGLBSA-N 0 0 290.323 2.733 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000044365656 175167762 /nfs/dbraw/zinc/16/77/62/175167762.db2.gz DZNVUYDEWJLVFN-JTQLQIEISA-N 0 0 268.700 2.777 20 5 CFBDRN COC[C@H](C)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000044477217 175178738 /nfs/dbraw/zinc/17/87/38/175178738.db2.gz BKRLNFRPZUOCNQ-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN CC(C)CCN(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000044488033 175180371 /nfs/dbraw/zinc/18/03/71/175180371.db2.gz VQUZVUYDKACTHF-UHFFFAOYSA-N 0 0 256.327 2.774 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420577688 287298865 /nfs/dbraw/zinc/29/88/65/287298865.db2.gz BADMCJNYDODMTA-KOLCDFICSA-N 0 0 277.324 2.684 20 5 CFBDRN CC(C)NC(=O)CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000045026326 175292748 /nfs/dbraw/zinc/29/27/48/175292748.db2.gz GJWSMHQIOPOSKX-UHFFFAOYSA-N 0 0 285.731 2.575 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000071208634 176081253 /nfs/dbraw/zinc/08/12/53/176081253.db2.gz ZMECBBCMKZOOIW-CPCISQLKSA-N 0 0 284.262 2.791 20 5 CFBDRN Cc1cccnc1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000071344454 176130808 /nfs/dbraw/zinc/13/08/08/176130808.db2.gz AXZUWNYABICBFM-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN Cc1cc(C)cc(NCc2ccc(C(N)=O)cc2[N+](=O)[O-])c1 ZINC000071813454 176268898 /nfs/dbraw/zinc/26/88/98/176268898.db2.gz YWVCFHZGBDYDNM-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000072545115 176411751 /nfs/dbraw/zinc/41/17/51/176411751.db2.gz OIGZKPJFQODTPO-MNOVXSKESA-N 0 0 262.309 2.855 20 5 CFBDRN CCOC(COc1c(F)cccc1[N+](=O)[O-])OCC ZINC000075914386 176828903 /nfs/dbraw/zinc/82/89/03/176828903.db2.gz XYXVUJIXNLMHEE-UHFFFAOYSA-N 0 0 273.260 2.512 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC=CCC1 ZINC000077177958 177230695 /nfs/dbraw/zinc/23/06/95/177230695.db2.gz ROAPAXQYGNPVEH-SNVBAGLBSA-N 0 0 291.307 2.834 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@@H]2CO)c(F)c1 ZINC000077209938 177235442 /nfs/dbraw/zinc/23/54/42/177235442.db2.gz IODHOHYEZXOZRH-BXKDBHETSA-N 0 0 268.288 2.697 20 5 CFBDRN CC1(C)CCCN1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000077519351 177277779 /nfs/dbraw/zinc/27/77/79/177277779.db2.gz VOUMYFBHMWYCHW-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@H](C)C1 ZINC000078004296 177316797 /nfs/dbraw/zinc/31/67/97/177316797.db2.gz UMFBAGODXVHHEO-RKDXNWHRSA-N 0 0 268.338 2.917 20 5 CFBDRN CC(C)[C@H](C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148818 177323885 /nfs/dbraw/zinc/32/38/85/177323885.db2.gz QHRNMUSLNHCXSZ-JTQLQIEISA-N 0 0 262.309 2.776 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC(=O)N1CCCCCC1 ZINC000078245365 177328030 /nfs/dbraw/zinc/32/80/30/177328030.db2.gz SKNNIWMTAZNSJH-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN CCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000078271337 177329462 /nfs/dbraw/zinc/32/94/62/177329462.db2.gz SKWDAVCIYQGAMT-UHFFFAOYSA-N 0 0 251.286 2.905 20 5 CFBDRN CCSCC[C@@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000078675644 177369874 /nfs/dbraw/zinc/36/98/74/177369874.db2.gz NYOHFNAVMWWWBC-SECBINFHSA-N 0 0 255.343 2.933 20 5 CFBDRN COC(=O)[C@@H](C)S[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000078695149 177372654 /nfs/dbraw/zinc/37/26/54/177372654.db2.gz UQYWNHNUKQRTPB-RKDXNWHRSA-N 0 0 269.322 2.951 20 5 CFBDRN CNC(=O)c1cccc(COc2ccc([N+](=O)[O-])cc2)c1 ZINC000078702017 177373594 /nfs/dbraw/zinc/37/35/94/177373594.db2.gz LLBDNGCHKDTLKO-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN Cc1nn(C)c(Sc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000078727409 177377031 /nfs/dbraw/zinc/37/70/31/177377031.db2.gz WLRZEMKZTZPUPK-UHFFFAOYSA-N 0 0 267.285 2.927 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000077304055 287340043 /nfs/dbraw/zinc/34/00/43/287340043.db2.gz FUTOJOZHKLQMCU-SECBINFHSA-N 0 0 266.272 2.606 20 5 CFBDRN COc1cc(C)nc(Oc2ccc([N+](=O)[O-])cc2OC)n1 ZINC000344431650 225073116 /nfs/dbraw/zinc/07/31/16/225073116.db2.gz KKUYLGSIRIWNLR-UHFFFAOYSA-N 0 0 291.263 2.503 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000274840493 287362311 /nfs/dbraw/zinc/36/23/11/287362311.db2.gz VYTMHOHVMYSQEV-NXEZZACHSA-N 0 0 294.355 2.702 20 5 CFBDRN Cc1ccc(N[C@H]2CCC[C@]2(C)CO)c([N+](=O)[O-])c1 ZINC000301474266 227552099 /nfs/dbraw/zinc/55/20/99/227552099.db2.gz MSQQZACPIDPWLN-UONOGXRCSA-N 0 0 264.325 2.866 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@H](C2CC2)C1 ZINC000292018043 304498738 /nfs/dbraw/zinc/49/87/38/304498738.db2.gz DZRBDSQECBIVOB-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000195331226 270252424 /nfs/dbraw/zinc/25/24/24/270252424.db2.gz VTCJPTOQHCHSQD-NSHDSACASA-N 0 0 280.324 2.912 20 5 CFBDRN CCOc1cc(N[C@@H](CC)[C@H](O)CC)ccc1[N+](=O)[O-] ZINC000313133992 260252561 /nfs/dbraw/zinc/25/25/61/260252561.db2.gz DLFAUKMAUHPDTA-WCQYABFASA-N 0 0 282.340 2.955 20 5 CFBDRN CC1(C)C[N@H+](Cc2cccc([N+](=O)[O-])c2)CCS1 ZINC000083418038 260349697 /nfs/dbraw/zinc/34/96/97/260349697.db2.gz WQXFIMBZTJFFKV-UHFFFAOYSA-N 0 0 266.366 2.922 20 5 CFBDRN CC(C)CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000083794874 260356345 /nfs/dbraw/zinc/35/63/45/260356345.db2.gz DYNZTLYVWCLIHD-UHFFFAOYSA-N 0 0 256.689 2.634 20 5 CFBDRN CCCCNc1nc(NCCCC)c([N+](=O)[O-])s1 ZINC000518348737 260380887 /nfs/dbraw/zinc/38/08/87/260380887.db2.gz SKIMNKGBUOQCBR-UHFFFAOYSA-N 0 0 272.374 2.897 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CC1CCC1 ZINC000518380253 260393137 /nfs/dbraw/zinc/39/31/37/260393137.db2.gz XGWJAFCGXGCTQE-UHFFFAOYSA-N 0 0 263.297 2.765 20 5 CFBDRN CCOc1cc(N[C@H](C)[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000092657510 260515262 /nfs/dbraw/zinc/51/52/62/260515262.db2.gz LGTICGFLTSUGFJ-GHMZBOCLSA-N 0 0 280.324 2.830 20 5 CFBDRN CC(=O)CSc1nc2cc3ccccc3cc2c(=O)n1C ZINC000007168392 261291591 /nfs/dbraw/zinc/29/15/91/261291591.db2.gz GFHWXTJNRAAEMR-UHFFFAOYSA-N 0 0 298.367 2.768 20 5 CFBDRN NC(=O)c1ccc(NC[C@@H]2CCCC2(F)F)c([N+](=O)[O-])c1 ZINC000390868034 262356385 /nfs/dbraw/zinc/35/63/85/262356385.db2.gz ONYFXADKXXRIFC-VIFPVBQESA-N 0 0 299.277 2.541 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCCC1(F)F ZINC000390891353 262359259 /nfs/dbraw/zinc/35/92/59/262359259.db2.gz ZGFJIZDUJNACFV-VIFPVBQESA-N 0 0 271.267 2.567 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC2(C1)CCCCC2 ZINC000272759574 263008540 /nfs/dbraw/zinc/00/85/40/263008540.db2.gz PXPKEIWDEAOMTE-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN O=[N+]([O-])c1cccc(CCN=c2[nH]c3ccccc3o2)c1 ZINC000340080519 263067303 /nfs/dbraw/zinc/06/73/03/263067303.db2.gz HSSGAMFNOQOBRM-UHFFFAOYSA-N 0 0 283.287 2.813 20 5 CFBDRN CC(C)(C)C[C@H](O)CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000291505271 263123799 /nfs/dbraw/zinc/12/37/99/263123799.db2.gz WBXAMXQYZVWUQG-GFCCVEGCSA-N 0 0 280.324 2.721 20 5 CFBDRN C[C@H](N[C@@H](C)c1cnccn1)c1ccc([N+](=O)[O-])cc1 ZINC000296814527 263196835 /nfs/dbraw/zinc/19/68/35/263196835.db2.gz VDKFBLWCZOOMDH-QWRGUYRKSA-N 0 0 272.308 2.797 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccc(Cl)cc1F ZINC000187821654 263269592 /nfs/dbraw/zinc/26/95/92/263269592.db2.gz YHHZHFAKKANGDR-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H](C)C2CCCC2)c([N+](=O)[O-])c1 ZINC000096554719 265373661 /nfs/dbraw/zinc/37/36/61/265373661.db2.gz WWEYNYZSNUIFEO-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1cnc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000519741332 265570627 /nfs/dbraw/zinc/57/06/27/265570627.db2.gz YJMBMAAKDQMQGY-VIFPVBQESA-N 0 0 275.264 2.633 20 5 CFBDRN C[C@@H](C(=O)NN1CCCC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000827647353 782039679 /nfs/dbraw/zinc/03/96/79/782039679.db2.gz DBYHKONHWLCIJE-VXGBXAGGSA-N 0 0 291.351 2.604 20 5 CFBDRN CC(C)c1ccc(C(=O)NCCCCCO)cc1[N+](=O)[O-] ZINC000193278030 270236689 /nfs/dbraw/zinc/23/66/89/270236689.db2.gz HNDQLTWMTVRYME-UHFFFAOYSA-N 0 0 294.351 2.611 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCC(C)C ZINC000193513038 270238479 /nfs/dbraw/zinc/23/84/79/270238479.db2.gz WSTBQFASSFERQB-UHFFFAOYSA-N 0 0 251.286 2.681 20 5 CFBDRN CC1(NS(=O)(=O)c2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000193824405 270240234 /nfs/dbraw/zinc/24/02/34/270240234.db2.gz QKKCADTVVVIYPU-UHFFFAOYSA-N 0 0 298.364 2.596 20 5 CFBDRN CCOc1cc(N[C@@H](CCO)C(C)C)ccc1[N+](=O)[O-] ZINC000226571842 270257062 /nfs/dbraw/zinc/25/70/62/270257062.db2.gz IDSBHUKKMIQZFT-LBPRGKRZSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@](C)(CNc1ncc([N+](=O)[O-])cc1Cl)OC ZINC000322944069 270297672 /nfs/dbraw/zinc/29/76/72/270297672.db2.gz QCZANPVQZVTRBH-LLVKDONJSA-N 0 0 273.720 2.870 20 5 CFBDRN NC(=O)c1ccc(N[C@@H](C2CC2)C2CCC2)c([N+](=O)[O-])c1 ZINC000324974348 270298324 /nfs/dbraw/zinc/29/83/24/270298324.db2.gz OZPHPDAGBBTPTC-CQSZACIVSA-N 0 0 289.335 2.684 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCCCC1(F)F ZINC000391026055 270306160 /nfs/dbraw/zinc/30/61/60/270306160.db2.gz SFMUEAOBOFDUNV-JTQLQIEISA-N 0 0 285.294 2.958 20 5 CFBDRN Cc1nnc([C@H](C)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)[nH]1 ZINC000392374639 270306931 /nfs/dbraw/zinc/30/69/31/270306931.db2.gz HYRMNTAVHLQGGL-ZJUUUORDSA-N 0 0 289.339 2.742 20 5 CFBDRN Cc1nn(C)c(NCC(C)(C)C2CCC2)c1[N+](=O)[O-] ZINC000395442643 270312732 /nfs/dbraw/zinc/31/27/32/270312732.db2.gz KTUKGSJVSHUTNK-UHFFFAOYSA-N 0 0 266.345 2.875 20 5 CFBDRN CC[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])[C@H](C)O ZINC000395432997 270313089 /nfs/dbraw/zinc/31/30/89/270313089.db2.gz NJVTYABUSOHLCV-IONNQARKSA-N 0 0 258.705 2.820 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1C[C@]1(C)C(C)C ZINC000397271206 270316951 /nfs/dbraw/zinc/31/69/51/270316951.db2.gz JHCXIRJXCUEPDT-GXFFZTMASA-N 0 0 266.345 2.966 20 5 CFBDRN COc1cccc(N[C@@H]2CS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000397777434 270319374 /nfs/dbraw/zinc/31/93/74/270319374.db2.gz NPQIAZYVNIMFSV-IUCAKERBSA-N 0 0 268.338 2.909 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CS[C@H](C)C2)c1 ZINC000397754859 270319459 /nfs/dbraw/zinc/31/94/59/270319459.db2.gz FUOPXOTZGGMQRP-SCZZXKLOSA-N 0 0 296.348 2.687 20 5 CFBDRN COc1cccc(N[C@H]2CS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000397777433 270319593 /nfs/dbraw/zinc/31/95/93/270319593.db2.gz NPQIAZYVNIMFSV-DTWKUNHWSA-N 0 0 268.338 2.909 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H]1CC[C@H](C)O1 ZINC000397865016 270320013 /nfs/dbraw/zinc/32/00/13/270320013.db2.gz FXOYVBVXJXZSSL-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c3ccccc3ncc2[N+](=O)[O-])CS1 ZINC000397996162 270321559 /nfs/dbraw/zinc/32/15/59/270321559.db2.gz QHFJRNJUGXOWNJ-ZJUUUORDSA-N 0 0 289.360 2.871 20 5 CFBDRN CC[C@H](O)CCCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000398509886 270322686 /nfs/dbraw/zinc/32/26/86/270322686.db2.gz MBRUKWWEAKUYSO-VIFPVBQESA-N 0 0 273.720 2.606 20 5 CFBDRN C[C@H]1C[C@H](CO)CN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000398831908 270324521 /nfs/dbraw/zinc/32/45/21/270324521.db2.gz XXOXENCLGJSCPZ-UWVGGRQHSA-N 0 0 284.743 2.703 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000399163501 270326546 /nfs/dbraw/zinc/32/65/46/270326546.db2.gz MNBCBWDROIKECP-JQWIXIFHSA-N 0 0 291.351 2.897 20 5 CFBDRN C[C@@H]1CC[C@@H](O)CN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000399260846 270327825 /nfs/dbraw/zinc/32/78/25/270327825.db2.gz SXMAAULWNFSMKH-BXKDBHETSA-N 0 0 284.743 2.593 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](F)C2)c1 ZINC000516577792 270384630 /nfs/dbraw/zinc/38/46/30/270384630.db2.gz NZGRTXWFTSPIFT-JTQLQIEISA-N 0 0 296.298 2.568 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1(OC)CCCC1 ZINC000516877564 270389642 /nfs/dbraw/zinc/38/96/42/270389642.db2.gz HUGSYFQIQRYSQK-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2c[nH]cn2)c(Br)c1 ZINC000519612212 270415587 /nfs/dbraw/zinc/41/55/87/270415587.db2.gz LCHNPOYNHRKVOI-UHFFFAOYSA-N 0 0 283.085 2.824 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1C2CCC1CC2 ZINC000521840255 270435036 /nfs/dbraw/zinc/43/50/36/270435036.db2.gz JKHSVPLNDKNMQQ-UHFFFAOYSA-N 0 0 264.256 2.501 20 5 CFBDRN CC(C)C[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000522156961 270445369 /nfs/dbraw/zinc/44/53/69/270445369.db2.gz NATIGJSENRDKCE-LLVKDONJSA-N 0 0 279.340 2.584 20 5 CFBDRN C[C@@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000524542118 270463361 /nfs/dbraw/zinc/46/33/61/270463361.db2.gz CFLKTRSOAUKNDX-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN CC1(C)CCN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000530849292 270812034 /nfs/dbraw/zinc/81/20/34/270812034.db2.gz NADYGWNXLQFFRR-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN CCC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000531881872 270846460 /nfs/dbraw/zinc/84/64/60/270846460.db2.gz PJEBRGBXUXASEX-UHFFFAOYSA-N 0 0 277.324 2.642 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000343759116 287715846 /nfs/dbraw/zinc/71/58/46/287715846.db2.gz HJGNQZYLMRFQDQ-BDAKNGLRSA-N 0 0 258.281 2.748 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000301454546 287736758 /nfs/dbraw/zinc/73/67/58/287736758.db2.gz RLUNREDNIJHCDD-BDAKNGLRSA-N 0 0 280.353 2.929 20 5 CFBDRN C[C@@H]1c2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000335913921 274446440 /nfs/dbraw/zinc/44/64/40/274446440.db2.gz ISGSQUHZIIEIHQ-SNVBAGLBSA-N 0 0 285.303 2.650 20 5 CFBDRN Cn1c(-c2nc(-c3ccc(F)cc3)no2)ccc1[N+](=O)[O-] ZINC000349858994 274885858 /nfs/dbraw/zinc/88/58/58/274885858.db2.gz JAXQVTAAFXBLQB-UHFFFAOYSA-N 0 0 288.238 2.789 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ncc([N+](=O)[O-])cn2)[C@@H](C)C1 ZINC000226190820 287795116 /nfs/dbraw/zinc/79/51/16/287795116.db2.gz PZVFNAPVDGJDAI-YWVKMMECSA-N 0 0 250.302 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)Cc2ccc(F)cc2)cc1 ZINC000045349291 275037260 /nfs/dbraw/zinc/03/72/60/275037260.db2.gz YIJBIQYZHFSGEG-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCCSCC1 ZINC000061569845 275502035 /nfs/dbraw/zinc/50/20/35/275502035.db2.gz OZHDUEMQOOLDBW-UHFFFAOYSA-N 0 0 280.349 2.741 20 5 CFBDRN CC(C)C[C@H]1CCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301990803 275600890 /nfs/dbraw/zinc/60/08/90/275600890.db2.gz MLTNAJKIJARRDV-LLVKDONJSA-N 0 0 289.339 2.510 20 5 CFBDRN CC(C)[C@@H]1CCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000450815868 275680002 /nfs/dbraw/zinc/68/00/02/275680002.db2.gz UKURLNZDEIJAOT-VIFPVBQESA-N 0 0 278.337 2.834 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CCSCC2)cc1[N+](=O)[O-] ZINC000189046645 275733039 /nfs/dbraw/zinc/73/30/39/275733039.db2.gz YVAWHKCAKTWCOS-UHFFFAOYSA-N 0 0 294.376 2.907 20 5 CFBDRN CC1(Nc2ncnc3sc([N+](=O)[O-])cc32)CCC1 ZINC000286099842 275899730 /nfs/dbraw/zinc/89/97/30/275899730.db2.gz CXLQXWQJKWDLDM-UHFFFAOYSA-N 0 0 264.310 2.954 20 5 CFBDRN CC1(C)CC(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)C1 ZINC000361147097 276099993 /nfs/dbraw/zinc/09/99/93/276099993.db2.gz RGLMULRCOWQGHW-UHFFFAOYSA-N 0 0 274.320 2.920 20 5 CFBDRN CC1(C)CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000266182789 276113884 /nfs/dbraw/zinc/11/38/84/276113884.db2.gz CSXPXNRLYIJCRN-UHFFFAOYSA-N 0 0 265.269 2.739 20 5 CFBDRN CCN(CCOC)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000347352499 276133522 /nfs/dbraw/zinc/13/35/22/276133522.db2.gz YKWRFARFNBKDKJ-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN CC1=CCN(c2c(Br)cncc2[N+](=O)[O-])CC1 ZINC000271008858 276182967 /nfs/dbraw/zinc/18/29/67/276182967.db2.gz XMKYBNDWNOKXON-UHFFFAOYSA-N 0 0 298.140 2.909 20 5 CFBDRN CC1=C[C@H](C)CN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000362374401 276185123 /nfs/dbraw/zinc/18/51/23/276185123.db2.gz AKPBBBPCWWXBDP-QMMMGPOBSA-N 0 0 265.288 2.719 20 5 CFBDRN CC1CCC(Nc2ccc3ncc([N+](=O)[O-])n3n2)CC1 ZINC000301843487 276191301 /nfs/dbraw/zinc/19/13/01/276191301.db2.gz RKTUSMZEETYDDV-UHFFFAOYSA-N 0 0 275.312 2.628 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000450940959 276467809 /nfs/dbraw/zinc/46/78/09/276467809.db2.gz OQPSAOBPMFZUDW-VXGBXAGGSA-N 0 0 279.340 2.976 20 5 CFBDRN CC[C@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000103376395 276551210 /nfs/dbraw/zinc/55/12/10/276551210.db2.gz NXPWYYKNZLULKN-UWVGGRQHSA-N 0 0 262.309 2.919 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000264790771 276628375 /nfs/dbraw/zinc/62/83/75/276628375.db2.gz SJMXCDDJZXMBHJ-ONGXEEELSA-N 0 0 295.295 2.507 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000479597195 276655676 /nfs/dbraw/zinc/65/56/76/276655676.db2.gz YVFIPGOOHNSFKC-MGCOHNPYSA-N 0 0 265.313 2.622 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])CCO1 ZINC000420624014 276669114 /nfs/dbraw/zinc/66/91/14/276669114.db2.gz WAUFLCJNPFDEDL-IUCAKERBSA-N 0 0 296.352 2.674 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000413456430 276718452 /nfs/dbraw/zinc/71/84/52/276718452.db2.gz KMSUGSATSFTADJ-VWYCJHECSA-N 0 0 264.329 2.720 20 5 CFBDRN CN(C)c1cccnc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000357619892 276889959 /nfs/dbraw/zinc/88/99/59/276889959.db2.gz SLGGEFMMSXDSBM-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN COC(=O)Cc1ccc(N2CC[C@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000297383852 277069941 /nfs/dbraw/zinc/06/99/41/277069941.db2.gz DPGUWMPAMDCMET-QWRGUYRKSA-N 0 0 292.335 2.545 20 5 CFBDRN COC(=O)Cc1ccc(N2CCCCCC2)c([N+](=O)[O-])c1 ZINC000295526191 277069966 /nfs/dbraw/zinc/06/99/66/277069966.db2.gz SJZLFDJCDSMPTB-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN CC(=O)OC1CCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000157523714 277093063 /nfs/dbraw/zinc/09/30/63/277093063.db2.gz YBWJDQOJXPQFQU-UHFFFAOYSA-N 0 0 298.726 2.780 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@@H](C)[C@@H]2C)c1 ZINC000302149208 277162025 /nfs/dbraw/zinc/16/20/25/277162025.db2.gz HHLWKXZGVGOGSC-ZJUUUORDSA-N 0 0 278.308 2.616 20 5 CFBDRN COCC1(CNc2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000311816170 277199718 /nfs/dbraw/zinc/19/97/18/277199718.db2.gz MLJQILSPZLCMMU-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN CC(C)(C)OC1CCN(c2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000287654155 277211876 /nfs/dbraw/zinc/21/18/76/277211876.db2.gz BECCMHHYQXQLBA-UHFFFAOYSA-N 0 0 293.367 2.961 20 5 CFBDRN CC(C)(C)[C@H]1CCCC[C@@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000135120550 277254793 /nfs/dbraw/zinc/25/47/93/277254793.db2.gz LFUCRUDDHVQISL-UWVGGRQHSA-N 0 0 294.355 2.653 20 5 CFBDRN CC(C)(C)[C@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000270805903 277262179 /nfs/dbraw/zinc/26/21/79/277262179.db2.gz VPXVCOSXLYIADY-CMPLNLGQSA-N 0 0 279.340 2.995 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@H](Nc2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000364992750 277315379 /nfs/dbraw/zinc/31/53/79/277315379.db2.gz DZLTTXATIFGEQD-TVYUQYBPSA-N 0 0 277.324 2.605 20 5 CFBDRN COc1cc(CN2C[C@H](C)[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000293546568 277377546 /nfs/dbraw/zinc/37/75/46/277377546.db2.gz YPYGIYWBRVJEPG-IUCAKERBSA-N 0 0 268.288 2.583 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](C(C)C)C1 ZINC000494703819 277389326 /nfs/dbraw/zinc/38/93/26/277389326.db2.gz OQGVVCGRZJRKQB-LLVKDONJSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@@H](O)C1CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000225740175 277635957 /nfs/dbraw/zinc/63/59/57/277635957.db2.gz RWRHZAJMEWGOFD-SECBINFHSA-N 0 0 284.743 2.845 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000231683429 277661971 /nfs/dbraw/zinc/66/19/71/277661971.db2.gz PWFOAIIMOIGGIG-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)[C@@H]1C ZINC000157277344 277729808 /nfs/dbraw/zinc/72/98/08/277729808.db2.gz UKAQOKCLGNXZRF-FOGDFJRCSA-N 0 0 291.351 2.732 20 5 CFBDRN COc1cc(-c2noc(-c3cccc([N+](=O)[O-])c3)n2)ccn1 ZINC000073339093 277794066 /nfs/dbraw/zinc/79/40/66/277794066.db2.gz BVQFWKHIRYQNBF-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000157570181 289958263 /nfs/dbraw/zinc/95/82/63/289958263.db2.gz RTCPEHUOLIPUNL-LBPRGKRZSA-N 0 0 260.293 2.742 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H]1C[C@@H](C)CCC1=O ZINC000450609931 277939961 /nfs/dbraw/zinc/93/99/61/277939961.db2.gz FPXQTQGBYXXZFS-ZANVPECISA-N 0 0 279.292 2.740 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000332734751 278000419 /nfs/dbraw/zinc/00/04/19/278000419.db2.gz XITUJYHDAFGZKK-SNVBAGLBSA-N 0 0 294.355 2.559 20 5 CFBDRN COc1cccc(N[C@@H]2CSC2(C)C)c1[N+](=O)[O-] ZINC000282852301 278018062 /nfs/dbraw/zinc/01/80/62/278018062.db2.gz NXUNTELLDXEIQF-SNVBAGLBSA-N 0 0 268.338 2.909 20 5 CFBDRN CS[C@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000103375805 278158472 /nfs/dbraw/zinc/15/84/72/278158472.db2.gz NGODNUJVBZPFKZ-RKDXNWHRSA-N 0 0 280.349 2.624 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301873366 278336191 /nfs/dbraw/zinc/33/61/91/278336191.db2.gz DQKOHWDRQIGNRT-UWVGGRQHSA-N 0 0 275.312 2.628 20 5 CFBDRN C[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000408472923 278344538 /nfs/dbraw/zinc/34/45/38/278344538.db2.gz XUFXFZJHUIOCDU-VIFPVBQESA-N 0 0 253.277 2.755 20 5 CFBDRN C[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])CCS1 ZINC000276886814 278371145 /nfs/dbraw/zinc/37/11/45/278371145.db2.gz JNYBVCYEVOCBOD-QMMMGPOBSA-N 0 0 287.772 2.975 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000119912990 278371407 /nfs/dbraw/zinc/37/14/07/278371407.db2.gz OKKCGASOZLKMFS-LLVKDONJSA-N 0 0 260.293 2.530 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1cnccn1 ZINC000271862095 278379436 /nfs/dbraw/zinc/37/94/36/278379436.db2.gz ZRTDJXOPJAAXLW-GHMZBOCLSA-N 0 0 272.308 2.797 20 5 CFBDRN C[C@H]1CN(c2nccc3cc([N+](=O)[O-])ccc32)CCCO1 ZINC000297302093 278447466 /nfs/dbraw/zinc/44/74/66/278447466.db2.gz YOBRIZONIUGMDV-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H]1CO[C@@H](c2ccccc2)CN1c1ccc([N+](=O)[O-])nc1 ZINC000359534375 278462191 /nfs/dbraw/zinc/46/21/91/278462191.db2.gz RNUAMRXQQASKLL-SWLSCSKDSA-N 0 0 299.330 2.956 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000220078729 278482903 /nfs/dbraw/zinc/48/29/03/278482903.db2.gz WBWPFSAITOQGGS-CBAPKCEASA-N 0 0 271.704 2.623 20 5 CFBDRN C[C@H]1C[C@H](C)CN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000022158223 278490284 /nfs/dbraw/zinc/49/02/84/278490284.db2.gz NYOUZNCPAVVGTH-IUCAKERBSA-N 0 0 280.353 2.786 20 5 CFBDRN CC1CCC(C)(NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000133621087 278516778 /nfs/dbraw/zinc/51/67/78/278516778.db2.gz MGKHSOMXCGCTCV-UHFFFAOYSA-N 0 0 266.297 2.886 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@@H]1C ZINC000176976519 278541559 /nfs/dbraw/zinc/54/15/59/278541559.db2.gz GUJDAHMBPUUJFE-HTQZYQBOSA-N 0 0 254.311 2.527 20 5 CFBDRN C[C@]1(CNc2ncccc2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000364917300 278562809 /nfs/dbraw/zinc/56/28/09/278562809.db2.gz SRGTVSWRCDQJFM-GXTWGEPZSA-N 0 0 277.324 2.607 20 5 CFBDRN C[C@@H]1CCc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000342888551 278622377 /nfs/dbraw/zinc/62/23/77/278622377.db2.gz UZYYAUMBFNXZSM-MEBBXXQBSA-N 0 0 299.330 2.976 20 5 CFBDRN Cc1cc(N2CCC(F)(F)C2)c(F)cc1[N+](=O)[O-] ZINC000360065804 278707012 /nfs/dbraw/zinc/70/70/12/278707012.db2.gz LCSKSLXZLSNFRR-UHFFFAOYSA-N 0 0 260.215 2.888 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H]2CCCC[C@H]21 ZINC000365809832 278745754 /nfs/dbraw/zinc/74/57/54/278745754.db2.gz MLSHXAYRNIQCKI-BXUZGUMPSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC[C@@H]1C ZINC000049375890 278865773 /nfs/dbraw/zinc/86/57/73/278865773.db2.gz RMWAHGNASBBBFA-NSHDSACASA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000367987664 278866401 /nfs/dbraw/zinc/86/64/01/278866401.db2.gz OOTVINFWDXIGSH-VHRBIJSZSA-N 0 0 291.303 2.624 20 5 CFBDRN Cc1cccc(N2CCCN(CC(F)F)CC2)c1[N+](=O)[O-] ZINC000302519075 278922007 /nfs/dbraw/zinc/92/20/07/278922007.db2.gz WRCDRTZUGDNRQV-UHFFFAOYSA-N 0 0 299.321 2.680 20 5 CFBDRN CCC[C@H]1CN(c2ccc(C)cc2[N+](=O)[O-])CCO1 ZINC000301832066 278957419 /nfs/dbraw/zinc/95/74/19/278957419.db2.gz IVHGGPKNQXCLML-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cnc(N[C@@H]2CCC[C@H]3OCC[C@H]32)c([N+](=O)[O-])c1 ZINC000268401155 279020341 /nfs/dbraw/zinc/02/03/41/279020341.db2.gz PUPZAMNFUVJYGW-DMDPSCGWSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000347112425 279021012 /nfs/dbraw/zinc/02/10/12/279021012.db2.gz ZNDDGJWFDXKHCN-MEBBXXQBSA-N 0 0 299.330 2.597 20 5 CFBDRN Cc1cncc(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)c1 ZINC000269943124 279023912 /nfs/dbraw/zinc/02/39/12/279023912.db2.gz NVPIBHDXSTYBBW-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1nc(N2CCOC[C@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000292348399 279092249 /nfs/dbraw/zinc/09/22/49/279092249.db2.gz QOWQLDXQVFZOJI-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2)cs1 ZINC000338133349 279100552 /nfs/dbraw/zinc/10/05/52/279100552.db2.gz WSFHIFRJPGRJKH-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN C[C@@]1(F)CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000408048609 279166215 /nfs/dbraw/zinc/16/62/15/279166215.db2.gz OMRSUOFQVSDEGF-SNVBAGLBSA-N 0 0 259.668 2.582 20 5 CFBDRN Cc1nn(C)c(C)c1OCc1ccccc1[N+](=O)[O-] ZINC000157014941 279193952 /nfs/dbraw/zinc/19/39/52/279193952.db2.gz BHJMZCGCBYENAL-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000312044698 279200606 /nfs/dbraw/zinc/20/06/06/279200606.db2.gz UHELWSCXOIOQQT-VQXHTEKXSA-N 0 0 264.329 2.627 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2CCCC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000343953729 279306129 /nfs/dbraw/zinc/30/61/29/279306129.db2.gz ACAMLYSRIHVRNO-SNVBAGLBSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1nccn1C ZINC000453251338 279498775 /nfs/dbraw/zinc/49/87/75/279498775.db2.gz ZSYHHZJSOSANMR-QWRGUYRKSA-N 0 0 274.324 2.740 20 5 CFBDRN CCO[C@@H]1CCN(c2nccc(C)c2[N+](=O)[O-])C[C@H]1C ZINC000450745081 279784451 /nfs/dbraw/zinc/78/44/51/279784451.db2.gz ONCROLADZSPUAI-VXGBXAGGSA-N 0 0 279.340 2.550 20 5 CFBDRN O=C(N1CCc2cc([N+](=O)[O-])ccc21)[C@@]12C[C@@H]1CCC2 ZINC000421417228 279810244 /nfs/dbraw/zinc/81/02/44/279810244.db2.gz BNUJIYPHKMYKEY-NHYWBVRUSA-N 0 0 272.304 2.674 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c3nonc32)CCC1(F)F ZINC000291064172 279882972 /nfs/dbraw/zinc/88/29/72/279882972.db2.gz GDPZUBMFKVLODI-ZETCQYMHSA-N 0 0 298.249 2.613 20 5 CFBDRN C[C@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CCC1(F)F ZINC000291114895 279889038 /nfs/dbraw/zinc/88/90/38/279889038.db2.gz LTVNDEQADXSQPR-QMMMGPOBSA-N 0 0 296.277 2.953 20 5 CFBDRN CCO[C@H]1CCN(c2nccc(C)c2[N+](=O)[O-])C[C@H]1C ZINC000450745075 279906181 /nfs/dbraw/zinc/90/61/81/279906181.db2.gz ONCROLADZSPUAI-NEPJUHHUSA-N 0 0 279.340 2.550 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413258740 279918614 /nfs/dbraw/zinc/91/86/14/279918614.db2.gz FRHBUXGMQIAYFM-HZMBPMFUSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000298744827 280019740 /nfs/dbraw/zinc/01/97/40/280019740.db2.gz DHXQTZMDBNTLKR-WPRPVWTQSA-N 0 0 274.276 2.727 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C1=CCC1 ZINC000355406294 280022843 /nfs/dbraw/zinc/02/28/43/280022843.db2.gz WLCUZCQOTCSKHS-VIFPVBQESA-N 0 0 258.277 2.593 20 5 CFBDRN C[C@H]1Cc2ccccc2CN1c1ccc([N+](=O)[O-])nc1 ZINC000450446190 280028584 /nfs/dbraw/zinc/02/85/84/280028584.db2.gz MGZMPRCHHUHHAQ-NSHDSACASA-N 0 0 269.304 2.941 20 5 CFBDRN CCS[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000175270853 280058033 /nfs/dbraw/zinc/05/80/33/280058033.db2.gz YNGZCMZQYXWNOR-KOLCDFICSA-N 0 0 298.364 2.982 20 5 CFBDRN C[C@H]1c2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000335913920 280128335 /nfs/dbraw/zinc/12/83/35/280128335.db2.gz ISGSQUHZIIEIHQ-JTQLQIEISA-N 0 0 285.303 2.650 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@@H]1C1CC1 ZINC000370768613 280192341 /nfs/dbraw/zinc/19/23/41/280192341.db2.gz VAHDNNWLTAKMFS-SECBINFHSA-N 0 0 266.322 2.671 20 5 CFBDRN C[C@@H]1C[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C(C)(C)C1 ZINC000330457493 288211401 /nfs/dbraw/zinc/21/14/01/288211401.db2.gz QLHCKIRBPOVQKU-JTQLQIEISA-N 0 0 264.325 2.921 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CC[C@H](F)C2)nc2sccn21 ZINC000340905757 280354750 /nfs/dbraw/zinc/35/47/50/280354750.db2.gz CTBNMUFLPLNSRH-BQBZGAKWSA-N 0 0 270.289 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCCC[C@@H]1CO ZINC000050445174 280357603 /nfs/dbraw/zinc/35/76/03/280357603.db2.gz DOBJDVQFVDKKQM-GFCCVEGCSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@H]2OCC[C@H]2C1 ZINC000376035004 280358485 /nfs/dbraw/zinc/35/84/85/280358485.db2.gz OZKIORNEKNTLEG-TVQRCGJNSA-N 0 0 282.727 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H](C2CC2)C1 ZINC000374319400 280358778 /nfs/dbraw/zinc/35/87/78/280358778.db2.gz YCZCNFVOJSAXJU-SECBINFHSA-N 0 0 267.716 2.880 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCC(F)(F)F ZINC000352355192 280361241 /nfs/dbraw/zinc/36/12/41/280361241.db2.gz GYWLREOURMOYFX-UHFFFAOYSA-N 0 0 285.225 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@H]2C2CC2)c(Cl)c1 ZINC000182381033 280364028 /nfs/dbraw/zinc/36/40/28/280364028.db2.gz OAYRLKNYROEGQQ-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@H]2OCC[C@H]2C1 ZINC000376422168 280364988 /nfs/dbraw/zinc/36/49/88/280364988.db2.gz AYVSELKVKSAXIY-TVQRCGJNSA-N 0 0 282.727 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CC3)C2)c2ncccc12 ZINC000450586282 280365755 /nfs/dbraw/zinc/36/57/55/280365755.db2.gz XNHKHGSRKONCJM-UHFFFAOYSA-N 0 0 255.277 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(C2)CCCOC3)cc1 ZINC000376488708 280367706 /nfs/dbraw/zinc/36/77/06/280367706.db2.gz SINGUEQHOIKFCG-CQSZACIVSA-N 0 0 262.309 2.602 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(O)CCCC[C@H]3C2)cc1 ZINC000227373003 280367758 /nfs/dbraw/zinc/36/77/58/280367758.db2.gz VMRRBYKGLRCDNF-SWLSCSKDSA-N 0 0 276.336 2.726 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@H]4CCC[C@@H]43)nc2c1 ZINC000408458616 280371843 /nfs/dbraw/zinc/37/18/43/280371843.db2.gz URPZNZWRWYJAHO-RNCFNFMXSA-N 0 0 272.308 2.850 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCSCC1 ZINC000278519031 280377676 /nfs/dbraw/zinc/37/76/76/280377676.db2.gz YTYPHGHWIYXELA-UHFFFAOYSA-N 0 0 293.270 2.562 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1C[C@H]2CCC[C@H]21 ZINC000436383480 280377766 /nfs/dbraw/zinc/37/77/66/280377766.db2.gz DJZTYISNJHUQAY-HTQZYQBOSA-N 0 0 287.241 2.997 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N[C@@H]1CC12CC2 ZINC000425271151 280378169 /nfs/dbraw/zinc/37/81/69/280378169.db2.gz HJPSUIMZXCAJBP-SECBINFHSA-N 0 0 273.214 2.973 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@]3(CCCOC3)C2)c(F)c1 ZINC000408468116 280379580 /nfs/dbraw/zinc/37/95/80/280379580.db2.gz MSIDXWHMRPOJET-CQSZACIVSA-N 0 0 295.314 2.526 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCOCC2)c(C(F)(F)F)c1 ZINC000413072858 280381840 /nfs/dbraw/zinc/38/18/40/280381840.db2.gz PHUWRWZVESYDHM-UHFFFAOYSA-N 0 0 291.229 2.600 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])NCCC1CCCC1 ZINC000044705198 280423452 /nfs/dbraw/zinc/42/34/52/280423452.db2.gz NDXSNCCOQBWVJY-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN Cc1cc(NCCNc2ccccn2)ccc1[N+](=O)[O-] ZINC000048545996 280431084 /nfs/dbraw/zinc/43/10/84/280431084.db2.gz LEHHSDMXRWUYMB-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H](C)CCC(C)C ZINC000054486242 280449382 /nfs/dbraw/zinc/44/93/82/280449382.db2.gz OPXVGHXYNNAKGI-SNVBAGLBSA-N 0 0 279.340 2.853 20 5 CFBDRN CCOC(=O)COc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000057812611 280454995 /nfs/dbraw/zinc/45/49/95/280454995.db2.gz LXEQNTLNKKHNKK-UHFFFAOYSA-N 0 0 293.197 2.556 20 5 CFBDRN COc1ncccc1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000067054165 280470637 /nfs/dbraw/zinc/47/06/37/280470637.db2.gz LONVVLNRSKZHLQ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCC(CC)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067722548 280472683 /nfs/dbraw/zinc/47/26/83/280472683.db2.gz WSMWOLGXCIAFGD-UHFFFAOYSA-N 0 0 265.313 2.583 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000070352865 280484389 /nfs/dbraw/zinc/48/43/89/280484389.db2.gz AMLNEIJECLWGQU-AAEUAGOBSA-N 0 0 250.298 2.964 20 5 CFBDRN CCOCCSCCOc1ccccc1[N+](=O)[O-] ZINC000079376729 280534275 /nfs/dbraw/zinc/53/42/75/280534275.db2.gz BDISDCKUWFYQOX-UHFFFAOYSA-N 0 0 271.338 2.743 20 5 CFBDRN COc1cc(CNc2cc(C)c([N+](=O)[O-])cn2)ccc1O ZINC000080598080 280540319 /nfs/dbraw/zinc/54/03/19/280540319.db2.gz HFMSQLFVJOSAIL-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN CCCCN(CCCO)c1ccc(F)cc1[N+](=O)[O-] ZINC000089266044 280562079 /nfs/dbraw/zinc/56/20/79/280562079.db2.gz HOWBIBDHGUYKQD-UHFFFAOYSA-N 0 0 270.304 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCCn2ccnc2)c1 ZINC000094659600 280578837 /nfs/dbraw/zinc/57/88/37/280578837.db2.gz VJUOWPBWUMSPSL-UHFFFAOYSA-N 0 0 274.324 2.992 20 5 CFBDRN Cc1cc(N2C[C@@H](C)O[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000290930587 280583120 /nfs/dbraw/zinc/58/31/20/280583120.db2.gz JAJBTIHHZYYRBK-AOOOYVTPSA-N 0 0 268.288 2.656 20 5 CFBDRN COC(=O)c1ccccc1COc1cccc([N+](=O)[O-])c1 ZINC000108861463 280590996 /nfs/dbraw/zinc/59/09/96/280590996.db2.gz AQPSHWDUXYDHEX-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CCC[C@@H](CC)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000110310428 280592267 /nfs/dbraw/zinc/59/22/67/280592267.db2.gz FHWRVSYBJINWPQ-LLVKDONJSA-N 0 0 279.340 2.945 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC(C)C ZINC000121754369 280623560 /nfs/dbraw/zinc/62/35/60/280623560.db2.gz NYSPFAIOMTZRQR-JTQLQIEISA-N 0 0 280.324 2.768 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)CC1CC1 ZINC000122988560 280630656 /nfs/dbraw/zinc/63/06/56/280630656.db2.gz CFHWDZMGRQORLR-SECBINFHSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1cc(N[C@H]2CCOC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000311922403 280632656 /nfs/dbraw/zinc/63/26/56/280632656.db2.gz FDXPXVSLBUYSDN-ONGXEEELSA-N 0 0 268.288 2.879 20 5 CFBDRN Cc1sc(C(=O)NC2C[C@H](C)O[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000126191227 280650661 /nfs/dbraw/zinc/65/06/61/280650661.db2.gz DQGFTFDAEHROQO-YUMQZZPRSA-N 0 0 298.364 2.651 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@]2(C1)CCCOC2 ZINC000376479205 280661937 /nfs/dbraw/zinc/66/19/37/280661937.db2.gz XBTCPYGYYZYEMZ-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@]1(C)CCO[C@@H]1C ZINC000446485537 280662782 /nfs/dbraw/zinc/66/27/82/280662782.db2.gz QIFCADVPKAQMCI-QMTHXVAHSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1c(CC(=O)N2[C@H](C)CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000129915356 280696515 /nfs/dbraw/zinc/69/65/15/280696515.db2.gz KPFXCKWWPMRRKO-GHMZBOCLSA-N 0 0 276.336 2.845 20 5 CFBDRN Cn1ccnc1COc1c(Cl)cccc1[N+](=O)[O-] ZINC000130657602 280707060 /nfs/dbraw/zinc/70/70/60/280707060.db2.gz FFXAEZCUJAQYEF-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN CN(CC1CC1)C(=O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000131278428 280715113 /nfs/dbraw/zinc/71/51/13/280715113.db2.gz JEIFAKGXBWEKBP-UHFFFAOYSA-N 0 0 294.376 2.696 20 5 CFBDRN COCCOCCCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000133962775 280751626 /nfs/dbraw/zinc/75/16/26/280751626.db2.gz WCLXZIKFMFMKSR-UHFFFAOYSA-N 0 0 286.303 2.589 20 5 CFBDRN O=C(NCCc1ccc[nH]1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000135947522 280778877 /nfs/dbraw/zinc/77/88/77/280778877.db2.gz MQELHAFCPCVUSS-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)C1CC=CC1 ZINC000186320665 280845222 /nfs/dbraw/zinc/84/52/22/280845222.db2.gz CWGKHAWJPJCAAC-UHFFFAOYSA-N 0 0 280.711 2.831 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)C2CCCCC2)cc1 ZINC000191360258 280899026 /nfs/dbraw/zinc/89/90/26/280899026.db2.gz KOGUKFKZECASPH-AWEZNQCLSA-N 0 0 265.309 2.915 20 5 CFBDRN CCc1onc(C)c1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000193436731 280913871 /nfs/dbraw/zinc/91/38/71/280913871.db2.gz IOMOOMNPBDZEGS-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Cc1cc(NC(=O)N(C)[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000193660174 280916088 /nfs/dbraw/zinc/91/60/88/280916088.db2.gz WYYPZHPFVSLINC-LLVKDONJSA-N 0 0 295.364 2.872 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCCOCC(F)(F)F ZINC000194657308 280924789 /nfs/dbraw/zinc/92/47/89/280924789.db2.gz SXOIWEVVAAEXHN-UHFFFAOYSA-N 0 0 282.243 2.661 20 5 CFBDRN CNc1ccc(C(=O)NC[C@@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000230713982 280937981 /nfs/dbraw/zinc/93/79/81/280937981.db2.gz MZVOUMHYHNAXST-PWSUYJOCSA-N 0 0 291.351 2.803 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H](C)[C@H](C)O1 ZINC000408442584 288278315 /nfs/dbraw/zinc/27/83/15/288278315.db2.gz JVKNTJYONULTJL-HLTSFMKQSA-N 0 0 285.731 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(CO)(c3ccccc3)C2)c1 ZINC000413560124 281010054 /nfs/dbraw/zinc/01/00/54/281010054.db2.gz ZHAIXSLEGGENSJ-UHFFFAOYSA-N 0 0 298.342 2.654 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCSC2)n1 ZINC000128633835 281014782 /nfs/dbraw/zinc/01/47/82/281014782.db2.gz NVLPHTXXSYUUMN-SECBINFHSA-N 0 0 253.327 2.606 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2C)C[C@@H](C)O1 ZINC000248700737 281031468 /nfs/dbraw/zinc/03/14/68/281031468.db2.gz ZNNUWSQFSWRITI-DOMZBBRYSA-N 0 0 278.352 2.903 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]2C1 ZINC000254961972 281082431 /nfs/dbraw/zinc/08/24/31/281082431.db2.gz OUZIQFGCZYNTGP-DDRUTMOTSA-N 0 0 286.331 2.867 20 5 CFBDRN Cc1cncc(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c1 ZINC000255139723 281088484 /nfs/dbraw/zinc/08/84/84/281088484.db2.gz MVVBMGHZMXSRAT-DAXSKMNVSA-N 0 0 297.314 2.628 20 5 CFBDRN C[C@@H]1CSCCN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255266576 281091818 /nfs/dbraw/zinc/09/18/18/281091818.db2.gz YGDVJJOXDUBNCY-MEQVVJDKSA-N 0 0 292.360 2.572 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(F)cn1 ZINC000255368843 281095690 /nfs/dbraw/zinc/09/56/90/281095690.db2.gz PCZPRKKCQGUNHD-BAQGIRSFSA-N 0 0 287.250 2.781 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000255375661 281096518 /nfs/dbraw/zinc/09/65/18/281096518.db2.gz QFAVYAAYLGRTJY-PKJDGDQJSA-N 0 0 274.320 2.865 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000271859384 281106856 /nfs/dbraw/zinc/10/68/56/281106856.db2.gz XKKIXYMHEWJTFO-ZJUUUORDSA-N 0 0 294.355 2.844 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000274742937 281125031 /nfs/dbraw/zinc/12/50/31/281125031.db2.gz CYYUFJMMGFDUSH-BXKDBHETSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cc(Cn2cc(C)c([N+](=O)[O-])n2)c(C)s1 ZINC000282312459 281131375 /nfs/dbraw/zinc/13/13/75/281131375.db2.gz SOMLUBOTBGYDIJ-UHFFFAOYSA-N 0 0 251.311 2.826 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(CO)c1 ZINC000314489743 281156010 /nfs/dbraw/zinc/15/60/10/281156010.db2.gz RCHJKVBBFOUGKF-TWGQIWQCSA-N 0 0 298.298 2.739 20 5 CFBDRN CC[C@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@H](C)O1 ZINC000278407744 281176041 /nfs/dbraw/zinc/17/60/41/281176041.db2.gz NKBVXIZWSYHSIS-QWRGUYRKSA-N 0 0 291.351 2.567 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2COC)CCCO1 ZINC000281957892 281177412 /nfs/dbraw/zinc/17/74/12/281177412.db2.gz UDBCIAAIZGRBRQ-AWEZNQCLSA-N 0 0 294.351 2.747 20 5 CFBDRN CC[C@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000408172381 281180346 /nfs/dbraw/zinc/18/03/46/281180346.db2.gz VSNPJNKIZSGSCK-RYUDHWBXSA-N 0 0 279.340 2.610 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC2(O)CCC2)c1 ZINC000396784011 281197326 /nfs/dbraw/zinc/19/73/26/281197326.db2.gz LPXTYVBCSGQRIA-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CS[C@H](C)C2)n1 ZINC000397956709 281203334 /nfs/dbraw/zinc/20/33/34/281203334.db2.gz FKAQSLWCMTUVMY-RKDXNWHRSA-N 0 0 253.327 2.604 20 5 CFBDRN C[C@@H]1C[C@H](CO)CN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000398728745 281208161 /nfs/dbraw/zinc/20/81/61/281208161.db2.gz IGSWPDYOFYWVCR-MNOVXSKESA-N 0 0 298.770 2.699 20 5 CFBDRN CC[C@H]1COCCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000360069514 281210236 /nfs/dbraw/zinc/21/02/36/281210236.db2.gz FACKEMXQTRDXBO-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC[C@H]1F ZINC000412678588 281213586 /nfs/dbraw/zinc/21/35/86/281213586.db2.gz ZIKKPDAPVUSBNI-CHWSQXEVSA-N 0 0 280.299 2.534 20 5 CFBDRN Cc1c(N[C@H]2CCN(C(C)(C)C)C2=O)cccc1[N+](=O)[O-] ZINC000424576455 281228216 /nfs/dbraw/zinc/22/82/16/281228216.db2.gz ZCOMAUOPTOFDIV-LBPRGKRZSA-N 0 0 291.351 2.715 20 5 CFBDRN Cc1cccc(N2CC[C@@H](c3nccn3C)C2)c1[N+](=O)[O-] ZINC000364603510 281229171 /nfs/dbraw/zinc/22/91/71/281229171.db2.gz FRENCCOIJLJCFN-GFCCVEGCSA-N 0 0 286.335 2.631 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)C[C@H](C)O1 ZINC000430238758 281232656 /nfs/dbraw/zinc/23/26/56/281232656.db2.gz RFEJYNQGWFSDAN-FZMZJTMJSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CC23CCCC3)cccc1[N+](=O)[O-] ZINC000430237302 281232699 /nfs/dbraw/zinc/23/26/99/281232699.db2.gz DRHSUBDACMGAAJ-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1cn2nc(Oc3cc(F)cc([N+](=O)[O-])c3)sc2n1 ZINC000433432158 281234434 /nfs/dbraw/zinc/23/44/34/281234434.db2.gz FCSNVQUGQCQZDU-UHFFFAOYSA-N 0 0 294.267 2.939 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2C[C@@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000458111757 281254024 /nfs/dbraw/zinc/25/40/24/281254024.db2.gz GRBSMFKUZDGDLB-WFASDCNBSA-N 0 0 292.335 2.768 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000331083364 281264742 /nfs/dbraw/zinc/26/47/42/281264742.db2.gz YQRKJBZICBHBLG-JQWIXIFHSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000302337783 281274572 /nfs/dbraw/zinc/27/45/72/281274572.db2.gz BFAFBHWTZOFCJW-BDAKNGLRSA-N 0 0 280.353 2.929 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000491925039 281276410 /nfs/dbraw/zinc/27/64/10/281276410.db2.gz LKOMILHHGVCNOY-PBWNMSGQSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1cc(NC(=O)C=Cc2ccccc2[N+](=O)[O-])c(C)cn1 ZINC000492324047 281278392 /nfs/dbraw/zinc/27/83/92/281278392.db2.gz WUJPJMBRFHWXLA-FPLPWBNLSA-N 0 0 297.314 2.680 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCO[C@H](C2CCC2)C1 ZINC000515433039 281293268 /nfs/dbraw/zinc/29/32/68/281293268.db2.gz PWTHGBUNFPCOCM-AWEZNQCLSA-N 0 0 292.335 2.609 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@H]2CCC[C@@H]2C1 ZINC000515707379 281296644 /nfs/dbraw/zinc/29/66/44/281296644.db2.gz GLZNTGOQGLZFPR-GHMZBOCLSA-N 0 0 262.309 2.840 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000527034277 281324260 /nfs/dbraw/zinc/32/42/60/281324260.db2.gz DLMLTDWIPMXPRH-BXUZGUMPSA-N 0 0 278.308 2.777 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000527034279 281324458 /nfs/dbraw/zinc/32/44/58/281324458.db2.gz DLMLTDWIPMXPRH-RISCZKNCSA-N 0 0 278.308 2.777 20 5 CFBDRN CC[C@]1(C)COCCN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000330624410 281329148 /nfs/dbraw/zinc/32/91/48/281329148.db2.gz GGMVUJBJKBHICC-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@H](C(=O)N1[C@H](C)C[C@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000527471405 281332172 /nfs/dbraw/zinc/33/21/72/281332172.db2.gz VEMISNUGWQBODM-BBBLOLIVSA-N 0 0 280.299 2.847 20 5 CFBDRN CC[C@H]1COCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000302789055 288325978 /nfs/dbraw/zinc/32/59/78/288325978.db2.gz XSYCBUQWPXAQQK-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN CN(CCOc1ccc([N+](=O)[O-])cc1)c1cccc(O)c1 ZINC000533518483 281469471 /nfs/dbraw/zinc/46/94/71/281469471.db2.gz JQAONEBZBBDKGY-UHFFFAOYSA-N 0 0 288.303 2.816 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1ncc([N+](=O)[O-])cc1Cl ZINC000268061265 288329775 /nfs/dbraw/zinc/32/97/75/288329775.db2.gz YRRAEALYRLCTBS-IUCAKERBSA-N 0 0 285.731 2.647 20 5 CFBDRN COc1c(C(=O)NC[C@@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000533742879 281474389 /nfs/dbraw/zinc/47/43/89/281474389.db2.gz MRFXKESUQPRZMR-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1ccnc(N[C@H]2C[C@@H](C)n3ccnc32)c1[N+](=O)[O-] ZINC000450827487 281474627 /nfs/dbraw/zinc/47/46/27/281474627.db2.gz IADIZCRIHBSROA-ZJUUUORDSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C)c(C)cn1 ZINC000535034669 281494670 /nfs/dbraw/zinc/49/46/70/281494670.db2.gz GJNPVUMKKXUGNN-UHFFFAOYSA-N 0 0 285.303 2.589 20 5 CFBDRN Cc1c(CC(=O)NC(C)(C)C2CC2)cccc1[N+](=O)[O-] ZINC000535029803 281494695 /nfs/dbraw/zinc/49/46/95/281494695.db2.gz AXPXBWCNLJHOAY-UHFFFAOYSA-N 0 0 276.336 2.751 20 5 CFBDRN Cc1c(C(=O)NC(C)(C)C2CC2)cccc1[N+](=O)[O-] ZINC000535743089 281506462 /nfs/dbraw/zinc/50/64/62/281506462.db2.gz CNWBLUVHMNLYAZ-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cnc(NC2C[C@@H](C)O[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000535763926 281508703 /nfs/dbraw/zinc/50/87/03/281508703.db2.gz SEHLDQVFYDQUEM-NXEZZACHSA-N 0 0 265.313 2.666 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359107164 288335857 /nfs/dbraw/zinc/33/58/57/288335857.db2.gz YZEANZBBBAXWHT-NEPJUHHUSA-N 0 0 279.340 2.610 20 5 CFBDRN CCc1nn(C)c(NCCCc2cccs2)c1[N+](=O)[O-] ZINC000538788049 281560861 /nfs/dbraw/zinc/56/08/61/281560861.db2.gz HGKFGBAZRDZUTC-UHFFFAOYSA-N 0 0 294.380 2.997 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc([N+](=O)[O-])c2)ccc1O ZINC000541857312 281613411 /nfs/dbraw/zinc/61/34/11/281613411.db2.gz LCSIWXQCSFXOCL-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CCc1cccnc1-c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000544973193 281676065 /nfs/dbraw/zinc/67/60/65/281676065.db2.gz NVDAQKRMVZVABD-UHFFFAOYSA-N 0 0 297.274 2.664 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000546476290 281709650 /nfs/dbraw/zinc/70/96/50/281709650.db2.gz YKXOADTZIOOLEC-RITPCOANSA-N 0 0 251.267 2.830 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000546480456 281710132 /nfs/dbraw/zinc/71/01/32/281710132.db2.gz VBABVHULDLFWPD-GZMMTYOYSA-N 0 0 275.264 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCOC2)c2cccnc21 ZINC000546726741 281718338 /nfs/dbraw/zinc/71/83/38/281718338.db2.gz JCEFKHPTAPLITQ-NSHDSACASA-N 0 0 288.303 2.948 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2ccc3ncccc3c2)c1=O ZINC000547434527 281738783 /nfs/dbraw/zinc/73/87/83/281738783.db2.gz SJUMHLOTANHKBF-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1[C@H](C)C[C@H]1C ZINC000547770570 281754490 /nfs/dbraw/zinc/75/44/90/281754490.db2.gz QZMDWPOIZMICGL-NXEZZACHSA-N 0 0 250.298 2.586 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000547807830 281755658 /nfs/dbraw/zinc/75/56/58/281755658.db2.gz QGTNVHCLYYARPD-ZIAGYGMSSA-N 0 0 288.347 2.772 20 5 CFBDRN CC1(C)CC(CNC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000548712921 281797767 /nfs/dbraw/zinc/79/77/67/281797767.db2.gz NICJCUBVAQYBIA-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN COc1cc(N2CCC3(CCO3)CC2)c(F)cc1[N+](=O)[O-] ZINC000548819261 281803323 /nfs/dbraw/zinc/80/33/23/281803323.db2.gz NQSAHJKKVFXDJW-UHFFFAOYSA-N 0 0 296.298 2.502 20 5 CFBDRN CN1CCC[C@@H](CSCc2ccc([N+](=O)[O-])cc2)C1=O ZINC000548908197 281807390 /nfs/dbraw/zinc/80/73/90/281807390.db2.gz IODOILJEOFYMLG-LBPRGKRZSA-N 0 0 294.376 2.696 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000555222063 281911017 /nfs/dbraw/zinc/91/10/17/281911017.db2.gz QSPODOPZUSTXRQ-ZYHUDNBSSA-N 0 0 254.261 2.632 20 5 CFBDRN Cc1ccccc1[C@H](CO)Nc1ncc([N+](=O)[O-])s1 ZINC000555960041 281924310 /nfs/dbraw/zinc/92/43/10/281924310.db2.gz OWUGBANDDXAJQZ-JTQLQIEISA-N 0 0 279.321 2.505 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1Cc2cccnc2C1 ZINC000556086147 281927138 /nfs/dbraw/zinc/92/71/38/281927138.db2.gz ANPNMUCAEMJAMT-UHFFFAOYSA-N 0 0 255.277 2.506 20 5 CFBDRN Cc1ccc(CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1C ZINC000558178964 281977430 /nfs/dbraw/zinc/97/74/30/281977430.db2.gz AWADQAWSYQWYSE-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN COc1cc(C(=O)N2C3CCC2CC3)cc([N+](=O)[O-])c1C ZINC000558719595 281994691 /nfs/dbraw/zinc/99/46/91/281994691.db2.gz OKOZMRSKCJMWGH-UHFFFAOYSA-N 0 0 290.319 2.679 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNCC(C)(F)F ZINC000559323765 282005265 /nfs/dbraw/zinc/00/52/65/282005265.db2.gz JSMSZVXXWAADOH-UHFFFAOYSA-N 0 0 274.267 2.738 20 5 CFBDRN Cc1nsc(C)c1Cn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000559629334 282007857 /nfs/dbraw/zinc/00/78/57/282007857.db2.gz RFPHOAHBXYMNAU-UHFFFAOYSA-N 0 0 299.739 2.532 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NC1CCC1 ZINC000561209598 282049523 /nfs/dbraw/zinc/04/95/23/282049523.db2.gz MBLDUOFFGOVRCS-UHFFFAOYSA-N 0 0 264.281 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccnn2)c(Br)c1 ZINC000561211401 282049617 /nfs/dbraw/zinc/04/96/17/282049617.db2.gz XAIDXVVIDWLAGZ-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccnn2)c2cccnc21 ZINC000561294114 282052006 /nfs/dbraw/zinc/05/20/06/282052006.db2.gz ADCARVQTOUYGTH-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN CC[C@]1(C)CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000276101910 288450599 /nfs/dbraw/zinc/45/05/99/288450599.db2.gz YDEDYKWTTNGRIJ-CYBMUJFWSA-N 0 0 268.288 2.739 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CC(C)(C)OC1(C)C ZINC000192747317 288757520 /nfs/dbraw/zinc/75/75/20/288757520.db2.gz YOLALCJGMGWCAF-JTQLQIEISA-N 0 0 296.371 2.878 20 5 CFBDRN C[C@@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCCC[C@@H]1O ZINC000193464326 289175766 /nfs/dbraw/zinc/17/57/66/289175766.db2.gz LJUPTYQKXQFJRF-KBPBESRZSA-N 0 0 279.340 2.530 20 5 CFBDRN C[C@@]1(F)CCCN(c2cc(N)ccc2[N+](=O)[O-])C1 ZINC000294892836 289182641 /nfs/dbraw/zinc/18/26/41/289182641.db2.gz HFUBIHPSSHDQGO-GFCCVEGCSA-N 0 0 253.277 2.505 20 5 CFBDRN C[C@@]12CN(c3ccncc3[N+](=O)[O-])C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000450685868 289230602 /nfs/dbraw/zinc/23/06/02/289230602.db2.gz BSUORSCSYQXZCQ-ZAZJYDDPSA-N 0 0 271.320 2.638 20 5 CFBDRN CN(c1c2ccccc2ncc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000487751528 289783466 /nfs/dbraw/zinc/78/34/66/289783466.db2.gz OJFZTJKRZDWUDG-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CS[C@@H](C)CNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000153970922 289881523 /nfs/dbraw/zinc/88/15/23/289881523.db2.gz OELDMDMURZLMEE-VHSXEESVSA-N 0 0 297.380 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cncc(F)c1 ZINC000154608456 289899143 /nfs/dbraw/zinc/89/91/43/289899143.db2.gz XIXZHAPMNXFBFT-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1ccc(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])cn1 ZINC000154680208 289900888 /nfs/dbraw/zinc/90/08/88/289900888.db2.gz OWXASAIMYDEALG-VQHVLOKHSA-N 0 0 283.287 2.950 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OC[C@@H](O)Cc2ccccc2)c1 ZINC000155276512 289914779 /nfs/dbraw/zinc/91/47/79/289914779.db2.gz VQYUGELISXTLNG-AWEZNQCLSA-N 0 0 291.278 2.716 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCCn1ccnc1 ZINC000157238261 289951543 /nfs/dbraw/zinc/95/15/43/289951543.db2.gz VLKQYWDODDDCEE-UHFFFAOYSA-N 0 0 274.324 2.992 20 5 CFBDRN O=C(NC1CCCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000157878047 289964405 /nfs/dbraw/zinc/96/44/05/289964405.db2.gz PBYKFRQOJPZLAD-UHFFFAOYSA-N 0 0 289.335 2.605 20 5 CFBDRN Cc1c(CC(=O)N2CCCCCC2)cccc1[N+](=O)[O-] ZINC000158078139 289969283 /nfs/dbraw/zinc/96/92/83/289969283.db2.gz JOYYCEMKIGDPEE-UHFFFAOYSA-N 0 0 276.336 2.848 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@H]2CCCC[C@@H]12 ZINC000530917117 290033421 /nfs/dbraw/zinc/03/34/21/290033421.db2.gz NODUFUKLGAOPQW-WOPDTQHZSA-N 0 0 278.356 2.733 20 5 CFBDRN CCCC1CCC(N(C)C(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000549763484 290037525 /nfs/dbraw/zinc/03/75/25/290037525.db2.gz IEFRCWITNFSBLG-UHFFFAOYSA-N 0 0 294.355 2.749 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000562400502 290040372 /nfs/dbraw/zinc/04/03/72/290040372.db2.gz GTRPSUHOIXOFID-DGCLKSJQSA-N 0 0 274.320 2.923 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000563038395 290096404 /nfs/dbraw/zinc/09/64/04/290096404.db2.gz MEVKVJQARKSMDA-GHMZBOCLSA-N 0 0 293.367 2.688 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000563038401 290096909 /nfs/dbraw/zinc/09/69/09/290096909.db2.gz MEVKVJQARKSMDA-WDEREUQCSA-N 0 0 293.367 2.688 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000563046770 290097612 /nfs/dbraw/zinc/09/76/12/290097612.db2.gz HOCPYFNNCZPLIZ-YUMQZZPRSA-N 0 0 299.714 2.547 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000563046761 290097770 /nfs/dbraw/zinc/09/77/70/290097770.db2.gz HOCPYFNNCZPLIZ-HTQZYQBOSA-N 0 0 299.714 2.547 20 5 CFBDRN CCN(C)c1nnc(-c2ccc([N+](=O)[O-])cc2)n1C1CC1 ZINC000563308500 290131687 /nfs/dbraw/zinc/13/16/87/290131687.db2.gz SKZOVAUZOVAAHO-UHFFFAOYSA-N 0 0 287.323 2.644 20 5 CFBDRN Cc1c(NC(=O)NC2(CF)CCC2)cccc1[N+](=O)[O-] ZINC000563534542 290158500 /nfs/dbraw/zinc/15/85/00/290158500.db2.gz QUCAYSLTGYQSFQ-UHFFFAOYSA-N 0 0 281.287 2.917 20 5 CFBDRN COC1(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CCCC1 ZINC000564025629 290189184 /nfs/dbraw/zinc/18/91/84/290189184.db2.gz PORBRPPFRUSMBE-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN CC(C)OCCn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000564226026 290198977 /nfs/dbraw/zinc/19/89/77/290198977.db2.gz OTYLWHGXYBGJQD-UHFFFAOYSA-N 0 0 275.308 2.883 20 5 CFBDRN Cc1nc(C(F)(F)F)nn1Cc1ccccc1[N+](=O)[O-] ZINC000564350798 290205453 /nfs/dbraw/zinc/20/54/53/290205453.db2.gz OWIVGNUAPXKOKM-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN CC[C@@H](CSC)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000564721078 290226483 /nfs/dbraw/zinc/22/64/83/290226483.db2.gz OPGGXKDTUPGWBE-JQWIXIFHSA-N 0 0 296.392 2.956 20 5 CFBDRN CC[C@@H](C)CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000564778412 290231997 /nfs/dbraw/zinc/23/19/97/290231997.db2.gz KLSMACIGHLCHAD-LLVKDONJSA-N 0 0 276.336 2.916 20 5 CFBDRN Cc1cccc(Cn2c(=O)c([N+](=O)[O-])cc3c2CCCC3)c1 ZINC000564958386 290252743 /nfs/dbraw/zinc/25/27/43/290252743.db2.gz HPPDSJRMNWYXTB-UHFFFAOYSA-N 0 0 298.342 2.992 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1(CCO)CCCC1 ZINC000565221902 290284611 /nfs/dbraw/zinc/28/46/11/290284611.db2.gz OEPUMSJGFHUVPT-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@H](F)C1 ZINC000565418831 290300232 /nfs/dbraw/zinc/30/02/32/290300232.db2.gz HCTRUFLBQCWPQZ-UWVGGRQHSA-N 0 0 295.314 2.647 20 5 CFBDRN C[C@H](c1nc(-c2ncccn2)no1)c1cccc([N+](=O)[O-])c1 ZINC000565506033 290306195 /nfs/dbraw/zinc/30/61/95/290306195.db2.gz YWJWCUUBZNAWHK-VIFPVBQESA-N 0 0 297.274 2.587 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H]1[C@@H]1CCCOC1 ZINC000565889220 290332402 /nfs/dbraw/zinc/33/24/02/290332402.db2.gz GOCRJTCAZMPIFC-OLZOCXBDSA-N 0 0 276.336 2.990 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])cn2)[C@H]1C ZINC000565985636 290338753 /nfs/dbraw/zinc/33/87/53/290338753.db2.gz JBUYPUWLOVLPJJ-GRYCIOLGSA-N 0 0 291.351 2.792 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](OC(C)C)C2)c1 ZINC000566265649 290364891 /nfs/dbraw/zinc/36/48/91/290364891.db2.gz LTNDTBRPNRXZHG-GFCCVEGCSA-N 0 0 292.335 2.543 20 5 CFBDRN COCCCCn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000566472256 290389635 /nfs/dbraw/zinc/38/96/35/290389635.db2.gz DIOQJDJANBAEOR-UHFFFAOYSA-N 0 0 275.308 2.885 20 5 CFBDRN CC[C@H]1CN(c2c(OC)cccc2[N+](=O)[O-])CCS1 ZINC000566479233 290392660 /nfs/dbraw/zinc/39/26/60/290392660.db2.gz NSIPVFYYASZXKI-JTQLQIEISA-N 0 0 282.365 2.935 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@H](F)C1 ZINC000566977010 290430965 /nfs/dbraw/zinc/43/09/65/290430965.db2.gz QNVIAMMDBZTBGI-VIFPVBQESA-N 0 0 267.260 2.561 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC[C@@H]2CCCCO2)c1 ZINC000567109850 290439311 /nfs/dbraw/zinc/43/93/11/290439311.db2.gz CNIHORQSTJPYMK-NSHDSACASA-N 0 0 281.308 2.941 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000567983273 290510872 /nfs/dbraw/zinc/51/08/72/290510872.db2.gz IWTARANCZYTTLI-LLVKDONJSA-N 0 0 288.303 2.950 20 5 CFBDRN COC(=O)[C@@H](C)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000568664845 290565389 /nfs/dbraw/zinc/56/53/89/290565389.db2.gz UMPMRMSQSDURKW-SSDOTTSWSA-N 0 0 273.285 2.529 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCOC3(CCC3)C2)c(F)c1 ZINC000569209372 290590981 /nfs/dbraw/zinc/59/09/81/290590981.db2.gz BQCIMASFCLOKQV-VIFPVBQESA-N 0 0 281.287 2.643 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCSC[C@H](C)C1 ZINC000302727083 293301089 /nfs/dbraw/zinc/30/10/89/293301089.db2.gz OHKODFVHIOUBKZ-LLVKDONJSA-N 0 0 296.392 2.931 20 5 CFBDRN Cc1nn(C)c(N2CCc3cc(Cl)ccc32)c1[N+](=O)[O-] ZINC000343271716 293743582 /nfs/dbraw/zinc/74/35/82/293743582.db2.gz BORXIUVLTSMRCU-UHFFFAOYSA-N 0 0 292.726 2.984 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000370760389 294070199 /nfs/dbraw/zinc/07/01/99/294070199.db2.gz QWKXSRAGHASDBX-SNVBAGLBSA-N 0 0 280.349 2.979 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000353895674 294250566 /nfs/dbraw/zinc/25/05/66/294250566.db2.gz LKLUNTCFMSVHQV-GFCCVEGCSA-N 0 0 294.351 2.789 20 5 CFBDRN Cn1ccnc1[C@@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000375946497 294418020 /nfs/dbraw/zinc/41/80/20/294418020.db2.gz HRILEXVQUPOBIK-GFCCVEGCSA-N 0 0 286.335 2.712 20 5 CFBDRN COc1c(C(=O)N2[C@H](C)CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000331052381 294886895 /nfs/dbraw/zinc/88/68/95/294886895.db2.gz JCPUSZLJZYONLA-NXEZZACHSA-N 0 0 278.308 2.616 20 5 CFBDRN COc1cc(C(=O)N2[C@H](C)CC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000410564143 294938616 /nfs/dbraw/zinc/93/86/16/294938616.db2.gz UWBGOMPHWQNQAD-DTORHVGOSA-N 0 0 296.298 2.756 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC1CCC(F)(F)CC1 ZINC000420618677 295189999 /nfs/dbraw/zinc/18/99/99/295189999.db2.gz XGIVJHZUZBXFIO-UHFFFAOYSA-N 0 0 299.277 2.684 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@H](C)[C@H]2C)c1 ZINC000416069818 295253686 /nfs/dbraw/zinc/25/36/86/295253686.db2.gz KLEWJHJJABITFY-GARJFASQSA-N 0 0 292.335 2.720 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)c1 ZINC000416069819 295253737 /nfs/dbraw/zinc/25/37/37/295253737.db2.gz KLEWJHJJABITFY-HBNTYKKESA-N 0 0 292.335 2.720 20 5 CFBDRN COc1cccc(C(=O)Nc2nc(C)cs2)c1[N+](=O)[O-] ZINC000193783967 295288814 /nfs/dbraw/zinc/28/88/14/295288814.db2.gz XRVCJFHSSFYXQU-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Nc1ccc(N2CCC3(CCCC3)C2)c([N+](=O)[O-])c1 ZINC000367450604 295353120 /nfs/dbraw/zinc/35/31/20/295353120.db2.gz KQXLOJQOSBOTAD-UHFFFAOYSA-N 0 0 261.325 2.948 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CCCSCC1 ZINC000491261242 295406454 /nfs/dbraw/zinc/40/64/54/295406454.db2.gz FWCDNDIDRIQCLZ-WAYWQWQTSA-N 0 0 292.360 2.574 20 5 CFBDRN C[C@@H]1N(Cc2c(F)cccc2[N+](=O)[O-])CCOC1(C)C ZINC000357015967 297517423 /nfs/dbraw/zinc/51/74/23/297517423.db2.gz PKKHXNUIYRMSJI-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@@]1(C(=O)[O-])CCCC[C@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000426726782 297876104 /nfs/dbraw/zinc/87/61/04/297876104.db2.gz LFGGDVCSBWIIHS-UKRRQHHQSA-N 0 0 292.335 2.718 20 5 CFBDRN C[C@H]1COC(C)(C)CN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000488242118 300333249 /nfs/dbraw/zinc/33/32/49/300333249.db2.gz GLWFLHBFHJKQQY-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@H]1N(Cc2c(F)cccc2[N+](=O)[O-])CCOC1(C)C ZINC000357015969 300561044 /nfs/dbraw/zinc/56/10/44/300561044.db2.gz PKKHXNUIYRMSJI-SNVBAGLBSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1c(C(=O)N2CC[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000177092279 300930226 /nfs/dbraw/zinc/93/02/26/300930226.db2.gz HMVJSTAPKVKJPL-GXSJLCMTSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1nccn1C ZINC000413977758 301202829 /nfs/dbraw/zinc/20/28/29/301202829.db2.gz UXNYJFDDACALOE-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1cc(N[C@H](C)CC(=O)[O-])c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000122157922 301364837 /nfs/dbraw/zinc/36/48/37/301364837.db2.gz QFQKBLTUGRNBDF-SECBINFHSA-N 0 0 289.291 2.727 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H]1C1CC1 ZINC000334689887 302038202 /nfs/dbraw/zinc/03/82/02/302038202.db2.gz NNSKSKVIYZLVLG-NSHDSACASA-N 0 0 260.293 2.528 20 5 CFBDRN Cc1ccnc(N2CCC[C@@H]([C@@H]3CCOC3)C2)c1[N+](=O)[O-] ZINC000439085239 302270804 /nfs/dbraw/zinc/27/08/04/302270804.db2.gz LWZXLADLKVXQJB-CHWSQXEVSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](O)c3ccccc3C2)c1[N+](=O)[O-] ZINC000375892465 302273838 /nfs/dbraw/zinc/27/38/38/302273838.db2.gz UXEMWYGHDIUPEP-CQSZACIVSA-N 0 0 299.330 2.742 20 5 CFBDRN Cc1cnc(N2CCOC[C@@H](C3CCC3)C2)c([N+](=O)[O-])c1 ZINC000292414727 302351120 /nfs/dbraw/zinc/35/11/20/302351120.db2.gz VYIFSHLZWSEFQX-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Nc1ccc(N2CCCC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000367417762 303495840 /nfs/dbraw/zinc/49/58/40/303495840.db2.gz IROFMURSSDOGLU-UHFFFAOYSA-N 0 0 261.325 2.948 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC[C@@H]2CCC[C@H]21 ZINC000334210735 303657128 /nfs/dbraw/zinc/65/71/28/303657128.db2.gz OGNJKRRZQIPONT-WCQYABFASA-N 0 0 274.320 2.538 20 5 CFBDRN CCC[C@H](C)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159712630 322327590 /nfs/dbraw/zinc/32/75/90/322327590.db2.gz YVGPHPZQQGMFAN-UWVGGRQHSA-N 0 0 297.380 2.775 20 5 CFBDRN CC(C)c1ccc(NC(=O)c2c([N+](=O)[O-])cnn2C)cc1 ZINC000160013622 322331552 /nfs/dbraw/zinc/33/15/52/322331552.db2.gz FPJZZALVLCNSCK-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000160263945 322335822 /nfs/dbraw/zinc/33/58/22/322335822.db2.gz XOZNJSLYQNUHAA-SWLSCSKDSA-N 0 0 274.320 2.920 20 5 CFBDRN CC(C)CCNC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161133586 322347557 /nfs/dbraw/zinc/34/75/57/322347557.db2.gz DCHQZNQWWARQBX-UHFFFAOYSA-N 0 0 291.351 2.709 20 5 CFBDRN CC[C@@H](SC)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161418390 322351524 /nfs/dbraw/zinc/35/15/24/322351524.db2.gz QPPOFSXNIIMVER-CYBMUJFWSA-N 0 0 294.376 2.621 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000161610869 322354433 /nfs/dbraw/zinc/35/44/33/322354433.db2.gz WWNYHZUIXGSSCE-RYUDHWBXSA-N 0 0 291.351 2.796 20 5 CFBDRN Cc1cc(C(=O)N2[C@H](C)CC[C@H]2C)cc([N+](=O)[O-])c1 ZINC000162395019 322364417 /nfs/dbraw/zinc/36/44/17/322364417.db2.gz AGUCDTHIQGGQFO-GHMZBOCLSA-N 0 0 262.309 2.916 20 5 CFBDRN COc1ccc(CCNc2ccc([N+](=O)[O-])cn2)cc1F ZINC000170869259 322395454 /nfs/dbraw/zinc/39/54/54/322395454.db2.gz XZAWYMMRTXFMTC-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN Cc1cnccc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000170957164 322396577 /nfs/dbraw/zinc/39/65/77/322396577.db2.gz YEYQUFFZHZBPGS-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)CCS1 ZINC000171580985 322409486 /nfs/dbraw/zinc/40/94/86/322409486.db2.gz OJKIZKZFTVYTDJ-MVIFTORASA-N 0 0 292.360 2.572 20 5 CFBDRN COc1cc(NCc2c(Cl)cccc2[N+](=O)[O-])nn1C ZINC000312252601 322435955 /nfs/dbraw/zinc/43/59/55/322435955.db2.gz KNANRPRTKCSAHG-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCc2nn(C)cc2Cl)c1C ZINC000569502395 322478398 /nfs/dbraw/zinc/47/83/98/322478398.db2.gz KYLHNBMTDDMXJN-UHFFFAOYSA-N 0 0 296.714 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=CC2)cc1C(F)(F)F ZINC000569853810 322501067 /nfs/dbraw/zinc/50/10/67/322501067.db2.gz JXVRRCROCNKWNT-UHFFFAOYSA-N 0 0 258.199 2.990 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2c1ccc2ncccc2c1[N+](=O)[O-] ZINC000570726905 322548423 /nfs/dbraw/zinc/54/84/23/322548423.db2.gz NIYCYTFREMXEFB-PHIMTYICSA-N 0 0 297.314 2.843 20 5 CFBDRN Cc1ccncc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000570867290 322556710 /nfs/dbraw/zinc/55/67/10/322556710.db2.gz JNJHYGTZCORLEJ-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCc2nc(C(C)C)no2)c1C ZINC000571938495 322601304 /nfs/dbraw/zinc/60/13/04/322601304.db2.gz CIOIFXTUIRJUHY-UHFFFAOYSA-N 0 0 292.295 2.692 20 5 CFBDRN Cc1cnccc1CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000572183208 322610060 /nfs/dbraw/zinc/61/00/60/322610060.db2.gz IJOUVWJFNCMLPR-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1CCC[C@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000572397220 322617588 /nfs/dbraw/zinc/61/75/88/322617588.db2.gz OFAREUUXZDPTCG-WQAKAFBOSA-N 0 0 280.299 2.705 20 5 CFBDRN CSC[C@@H](C)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000572425519 322618875 /nfs/dbraw/zinc/61/88/75/322618875.db2.gz JFGBTEGUJRHDBY-NXEZZACHSA-N 0 0 282.365 2.566 20 5 CFBDRN CC(C)CN(C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000572664272 322627524 /nfs/dbraw/zinc/62/75/24/322627524.db2.gz MGUZTHPQNMBOSC-LLVKDONJSA-N 0 0 264.325 2.813 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)c(C)cn1 ZINC000574068195 322679777 /nfs/dbraw/zinc/67/97/77/322679777.db2.gz ACGDQYQZZXCIEJ-UHFFFAOYSA-N 0 0 299.330 2.898 20 5 CFBDRN COc1ccc(CC(=O)N[C@H](C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000574162610 322683239 /nfs/dbraw/zinc/68/32/39/322683239.db2.gz AHADYQZDVUQTGN-SNVBAGLBSA-N 0 0 294.351 2.697 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000574660535 322701264 /nfs/dbraw/zinc/70/12/64/322701264.db2.gz RALBMXDZPSQWDB-CABZTGNLSA-N 0 0 283.303 2.744 20 5 CFBDRN CC(C)N(C(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000176014366 323672266 /nfs/dbraw/zinc/67/22/66/323672266.db2.gz XKZSJYVPFULAMO-UHFFFAOYSA-N 0 0 277.324 2.677 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)c1csc([N+](=O)[O-])c1 ZINC000176924591 323686115 /nfs/dbraw/zinc/68/61/15/323686115.db2.gz GNVHWEHZDKHHSE-YUMQZZPRSA-N 0 0 254.311 2.669 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1SCC(=O)OC(C)(C)C ZINC000184796311 323953128 /nfs/dbraw/zinc/95/31/28/323953128.db2.gz YENVNOIZLQDODL-UHFFFAOYSA-N 0 0 284.337 2.732 20 5 CFBDRN CSc1cccc(C(=O)N2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000186626713 323984160 /nfs/dbraw/zinc/98/41/60/323984160.db2.gz JTVFHVJOTBICKA-VIFPVBQESA-N 0 0 280.349 2.941 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1ccc(Cl)cc1F ZINC000187726768 324001627 /nfs/dbraw/zinc/00/16/27/324001627.db2.gz AIVOXFJGXDJJDI-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC(F)F)c(Cl)c1 ZINC000189159770 324025790 /nfs/dbraw/zinc/02/57/90/324025790.db2.gz OWOLVTFNBCFEOV-UHFFFAOYSA-N 0 0 281.642 2.909 20 5 CFBDRN CC[C@H](C)CC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000190417293 324040611 /nfs/dbraw/zinc/04/06/11/324040611.db2.gz IYEZTSGMZPMEHG-JTQLQIEISA-N 0 0 250.298 2.647 20 5 CFBDRN CCC[C@H](C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000193585079 324073964 /nfs/dbraw/zinc/07/39/64/324073964.db2.gz MBVFMFOYJBUILU-JTQLQIEISA-N 0 0 280.324 2.656 20 5 CFBDRN Cc1cc(NC(=O)NCc2ccoc2)ccc1[N+](=O)[O-] ZINC000193729641 324075712 /nfs/dbraw/zinc/07/57/12/324075712.db2.gz KHAVZMUXXRYVGH-UHFFFAOYSA-N 0 0 275.264 2.818 20 5 CFBDRN CN(C)c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1 ZINC000350536866 324225994 /nfs/dbraw/zinc/22/59/94/324225994.db2.gz LXWHIWDHKOTSHX-UHFFFAOYSA-N 0 0 290.304 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3cncs3)n2)cc1 ZINC000350544080 324227593 /nfs/dbraw/zinc/22/75/93/324227593.db2.gz SYFLTNHTQQKXGY-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc([C@H]3CCC(F)(F)C3)no2)c1 ZINC000350582896 324237635 /nfs/dbraw/zinc/23/76/35/324237635.db2.gz PIQOVHMKQAGZCB-LURJTMIESA-N 0 0 284.222 2.876 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(-c3ccoc3)no2)c1 ZINC000350836267 324265330 /nfs/dbraw/zinc/26/53/30/324265330.db2.gz GWIRIWNKMPIRQQ-UHFFFAOYSA-N 0 0 257.205 2.905 20 5 CFBDRN Cc1cc(-c2nc([C@@H]3CCCOC3)no2)ccc1[N+](=O)[O-] ZINC000350863340 324271598 /nfs/dbraw/zinc/27/15/98/324271598.db2.gz YZMYRNIYGUADBN-LLVKDONJSA-N 0 0 289.291 2.847 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc([C@@H]3CCCOC3)no2)c1 ZINC000350876212 324273679 /nfs/dbraw/zinc/27/36/79/324273679.db2.gz YJVYBTZHHIGMNU-MRVPVSSYSA-N 0 0 293.254 2.678 20 5 CFBDRN Cn1cncc1-c1noc(/C=C/c2cccc([N+](=O)[O-])c2)n1 ZINC000350876486 324274759 /nfs/dbraw/zinc/27/47/59/324274759.db2.gz IKXDNQVNHVDUMN-AATRIKPKSA-N 0 0 297.274 2.549 20 5 CFBDRN C[C@@H]1CC/C(=C\c2nc(-c3c([N+](=O)[O-])ncn3C)no2)C1 ZINC000350903168 324279481 /nfs/dbraw/zinc/27/94/81/324279481.db2.gz QPUNCBRYCMQKRI-GTUWVTDSSA-N 0 0 289.295 2.582 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@H](C)C(C)(C)C)n2)n1C ZINC000350905987 324279939 /nfs/dbraw/zinc/27/99/39/324279939.db2.gz DECWIVJTNQMBPE-ZETCQYMHSA-N 0 0 293.327 2.836 20 5 CFBDRN C[C@H](c1nc(-c2c([N+](=O)[O-])ncn2C)no1)C(C)(C)C ZINC000350907236 324281053 /nfs/dbraw/zinc/28/10/53/324281053.db2.gz GYZKBGJVLIFGEI-SSDOTTSWSA-N 0 0 279.300 2.528 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccc(Cl)o3)no2)c1 ZINC000350919036 324282477 /nfs/dbraw/zinc/28/24/77/324282477.db2.gz HHPCDZAYWTVIOW-UHFFFAOYSA-N 0 0 280.627 2.886 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351144264 324326561 /nfs/dbraw/zinc/32/65/61/324326561.db2.gz HQPBWWKJDOSJDK-DTWKUNHWSA-N 0 0 293.279 2.910 20 5 CFBDRN CO[C@H]1CCCC[C@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351144262 324326726 /nfs/dbraw/zinc/32/67/26/324326726.db2.gz HQPBWWKJDOSJDK-BDAKNGLRSA-N 0 0 293.279 2.910 20 5 CFBDRN Cc1c(-c2noc([C@H]3CO[C@@H](C)C3)n2)cccc1[N+](=O)[O-] ZINC000351146856 324327408 /nfs/dbraw/zinc/32/74/08/324327408.db2.gz NNNHRTRQVWHIST-WCBMZHEXSA-N 0 0 289.291 2.846 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCO1 ZINC000351146811 324327639 /nfs/dbraw/zinc/32/76/39/324327639.db2.gz NIVLMFPMPOLNNP-SFYZADRCSA-N 0 0 279.252 2.520 20 5 CFBDRN CO[C@@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C(C)C ZINC000351148888 324328343 /nfs/dbraw/zinc/32/83/43/324328343.db2.gz QWQQHRUKKHVXIV-SECBINFHSA-N 0 0 267.241 2.581 20 5 CFBDRN CCCCCOCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351151808 324329934 /nfs/dbraw/zinc/32/99/34/324329934.db2.gz VPVYYWYUZDQABC-UHFFFAOYSA-N 0 0 281.268 2.945 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351152867 324330791 /nfs/dbraw/zinc/33/07/91/324330791.db2.gz XGUVTSXWCNMIDR-YUMQZZPRSA-N 0 0 263.253 2.997 20 5 CFBDRN CC1(c2noc(COc3ccc([N+](=O)[O-])cc3)n2)CC1 ZINC000351163973 324334789 /nfs/dbraw/zinc/33/47/89/324334789.db2.gz NCSCWGFRIZCNOI-UHFFFAOYSA-N 0 0 275.264 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CC3CC(F)(F)C3)no2)[nH]1 ZINC000351183494 324340874 /nfs/dbraw/zinc/34/08/74/324340874.db2.gz KQLIQOOARFRUNL-UHFFFAOYSA-N 0 0 284.222 2.561 20 5 CFBDRN Cc1ccc(-c2noc([C@@H]3CCC(=O)C3)n2)cc1[N+](=O)[O-] ZINC000351340802 324388330 /nfs/dbraw/zinc/38/83/30/324388330.db2.gz SNHGNBVZCNIZLY-SNVBAGLBSA-N 0 0 287.275 2.790 20 5 CFBDRN O=C1CC[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000351362505 324391109 /nfs/dbraw/zinc/39/11/09/324391109.db2.gz GLBQDTDSWXRJEK-ZCFIWIBFSA-N 0 0 279.277 2.543 20 5 CFBDRN Cc1ccc(N[C@@H]2CCOC2(C)C)c([N+](=O)[O-])c1 ZINC000385632766 324463864 /nfs/dbraw/zinc/46/38/64/324463864.db2.gz SREBRTKIDVLQIS-GFCCVEGCSA-N 0 0 250.298 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H](CO)C1CCCCC1 ZINC000386291279 324476305 /nfs/dbraw/zinc/47/63/05/324476305.db2.gz SWOIQUVWLWSJDC-NSHDSACASA-N 0 0 299.758 2.996 20 5 CFBDRN Cc1cc(N[C@@H]2CC23CCOCC3)ccc1[N+](=O)[O-] ZINC000386908371 324484151 /nfs/dbraw/zinc/48/41/51/324484151.db2.gz MXXXSSDERXDBFC-CYBMUJFWSA-N 0 0 262.309 2.884 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CC12CCOCC2 ZINC000386919652 324484155 /nfs/dbraw/zinc/48/41/55/324484155.db2.gz DSFGOQJNGOLXIM-SNVBAGLBSA-N 0 0 283.715 2.624 20 5 CFBDRN NC(=O)c1ccc(NC2CCC(F)(F)CC2)c([N+](=O)[O-])c1 ZINC000390027924 324522512 /nfs/dbraw/zinc/52/25/12/324522512.db2.gz WYJZRGBXTMAGFR-UHFFFAOYSA-N 0 0 299.277 2.684 20 5 CFBDRN Cc1cc(N2C[C@@H]3CC[C@@H](O)C[C@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000398696548 324551406 /nfs/dbraw/zinc/55/14/06/324551406.db2.gz KNINEEMYIVQQGM-SDDRHHMPSA-N 0 0 294.326 2.640 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCS[C@@H](C)CC1 ZINC000575935005 324558838 /nfs/dbraw/zinc/55/88/38/324558838.db2.gz BDDHKPKEWZCXJB-JTQLQIEISA-N 0 0 282.365 2.935 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC2(OC)CCC2)c1 ZINC000576518037 324625587 /nfs/dbraw/zinc/62/55/87/324625587.db2.gz YVANVEIWAMJQJX-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN CCc1coc(NC(=O)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000576533392 324628193 /nfs/dbraw/zinc/62/81/93/324628193.db2.gz PXIPRPJBFSRBDR-UHFFFAOYSA-N 0 0 279.227 2.537 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000576588245 324633974 /nfs/dbraw/zinc/63/39/74/324633974.db2.gz PRTZVQMTSQWJBP-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN COCC1(CCNc2c(OC)cccc2[N+](=O)[O-])CC1 ZINC000577388301 324732039 /nfs/dbraw/zinc/73/20/39/324732039.db2.gz HEJRPXPRQLWKHC-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC2(CCO)CCCC2)n1 ZINC000577586107 324754450 /nfs/dbraw/zinc/75/44/50/324754450.db2.gz WQSYIBVFRBCQCG-UHFFFAOYSA-N 0 0 279.340 2.714 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])cnc1C)C(C)C ZINC000577646267 324763358 /nfs/dbraw/zinc/76/33/58/324763358.db2.gz JTRLNTDWQZXONR-UHFFFAOYSA-N 0 0 265.313 2.559 20 5 CFBDRN Cc1ccnc(N2CC[C@H](c3cccnc3)C2)c1[N+](=O)[O-] ZINC000578438328 324851690 /nfs/dbraw/zinc/85/16/90/324851690.db2.gz BWTUISXYMPEWSE-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN C[C@@H](O)[C@@H](C)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000578523589 324861550 /nfs/dbraw/zinc/86/15/50/324861550.db2.gz IBLAUQFXOAYAPR-HTQZYQBOSA-N 0 0 259.302 2.736 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1[C@H]1C=CCCC1)CCCC2 ZINC000579237332 324933935 /nfs/dbraw/zinc/93/39/35/324933935.db2.gz GEBHKPYIOLFRQS-LBPRGKRZSA-N 0 0 274.320 2.917 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)C(C)(F)F ZINC000579596998 324964239 /nfs/dbraw/zinc/96/42/39/324964239.db2.gz ULJKXZXIXFMPDU-ZETCQYMHSA-N 0 0 287.266 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc(C(C)(C)C)no2)c1 ZINC000580329220 325026468 /nfs/dbraw/zinc/02/64/68/325026468.db2.gz PKHWZCZZFYJLIC-UHFFFAOYSA-N 0 0 277.280 2.951 20 5 CFBDRN C[C@H](CCCCO)Nc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000580558107 325046562 /nfs/dbraw/zinc/04/65/62/325046562.db2.gz STCJQNKXSKWYAU-LLVKDONJSA-N 0 0 293.367 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2c[nH]cn2)c2ncccc12 ZINC000580692853 325055967 /nfs/dbraw/zinc/05/59/67/325055967.db2.gz XNQYSOIXXUVBFD-UHFFFAOYSA-N 0 0 255.237 2.610 20 5 CFBDRN Cc1n[nH]cc1Nc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000580770657 325062088 /nfs/dbraw/zinc/06/20/88/325062088.db2.gz FMMGOGYGMZZZQI-UHFFFAOYSA-N 0 0 273.296 2.644 20 5 CFBDRN CCCN(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000580926806 325074881 /nfs/dbraw/zinc/07/48/81/325074881.db2.gz RRKAPWXACCCPBU-JTQLQIEISA-N 0 0 250.298 2.567 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC2(OC)CCCC2)c1 ZINC000581547612 325130937 /nfs/dbraw/zinc/13/09/37/325130937.db2.gz MACGPXDWPUYCIB-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2NC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000581608663 325136531 /nfs/dbraw/zinc/13/65/31/325136531.db2.gz BAELSDDXOYUZNJ-QWHCGFSZSA-N 0 0 299.330 2.528 20 5 CFBDRN CC(C)(O)CCSc1c(F)cccc1[N+](=O)[O-] ZINC000581954002 325163590 /nfs/dbraw/zinc/16/35/90/325163590.db2.gz GLCGQASTXGXZID-UHFFFAOYSA-N 0 0 259.302 2.987 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC2(CCC2)[C@@H]2COC[C@H]21 ZINC000581989901 325166741 /nfs/dbraw/zinc/16/67/41/325166741.db2.gz DSPFGZUXGPODFW-BXUZGUMPSA-N 0 0 274.320 2.600 20 5 CFBDRN CC(C)[C@H](C)N(C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000582042594 325171270 /nfs/dbraw/zinc/17/12/70/325171270.db2.gz HZWADPLXUJOREW-VIFPVBQESA-N 0 0 262.313 2.952 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)C(F)(F)F ZINC000582124658 325178828 /nfs/dbraw/zinc/17/88/28/325178828.db2.gz NJERSQABHGCPCY-LURJTMIESA-N 0 0 291.229 2.773 20 5 CFBDRN Cc1cncc(CNC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000582759793 325231145 /nfs/dbraw/zinc/23/11/45/325231145.db2.gz GGXSUFMFVGQKHI-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000583066204 325257602 /nfs/dbraw/zinc/25/76/02/325257602.db2.gz BZLGOKNLFKGIPF-HZMBPMFUSA-N 0 0 274.320 2.709 20 5 CFBDRN CCCC[C@@H](CCC)CNC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000583210372 325269062 /nfs/dbraw/zinc/26/90/62/325269062.db2.gz SUURTRQSQBMERA-SNVBAGLBSA-N 0 0 282.344 2.654 20 5 CFBDRN CC1(C2(NC(=O)c3ccc([N+](=O)[O-])cc3)CC2)CC1 ZINC000583484271 325290745 /nfs/dbraw/zinc/29/07/45/325290745.db2.gz LSPFUAFEHKYIGI-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@H](c2ccncc2)C1 ZINC000583761021 325309307 /nfs/dbraw/zinc/30/93/07/325309307.db2.gz DCWCNFKNBDEOJS-ZDUSSCGKSA-N 0 0 299.330 2.685 20 5 CFBDRN CCOCCCCNc1c(OC)cccc1[N+](=O)[O-] ZINC000584004124 325324670 /nfs/dbraw/zinc/32/46/70/325324670.db2.gz AILLDNSRFKGRCO-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000584242552 325340919 /nfs/dbraw/zinc/34/09/19/325340919.db2.gz XYODGAVBSNXGHP-HZMBPMFUSA-N 0 0 292.335 2.607 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C1(CCF)CC1 ZINC000584413595 329462046 /nfs/dbraw/zinc/46/20/46/329462046.db2.gz ZNZUBQCYOCJAKD-UHFFFAOYSA-N 0 0 281.287 2.715 20 5 CFBDRN CC(C)(CO)CSc1ccc([N+](=O)[O-])cc1F ZINC000828662316 782101506 /nfs/dbraw/zinc/10/15/06/782101506.db2.gz TZEZFWOFRLSZHC-UHFFFAOYSA-N 0 0 259.302 2.845 20 5 CFBDRN CC1(O)CCC(Nc2ccc([N+](=O)[O-])s2)CC1 ZINC000749281089 574287840 /nfs/dbraw/zinc/28/78/40/574287840.db2.gz BQYIFSVYZXVUTA-UHFFFAOYSA-N 0 0 256.327 2.762 20 5 CFBDRN Cc1cc(NCCOCC2CC2)ccc1[N+](=O)[O-] ZINC000224510309 500963259 /nfs/dbraw/zinc/96/32/59/500963259.db2.gz DUPKNADXTPIRMG-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1ccc(N[C@@H](C)C(=O)NC(C)(C)C)c([N+](=O)[O-])c1 ZINC000134834919 500974991 /nfs/dbraw/zinc/97/49/91/500974991.db2.gz JOBGTSKXYMDQPG-JTQLQIEISA-N 0 0 279.340 2.618 20 5 CFBDRN C[C@@H](O)CSCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000413014783 533720592 /nfs/dbraw/zinc/72/05/92/533720592.db2.gz JDIUWWGYOYBZMW-SSDOTTSWSA-N 0 0 261.730 2.862 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CC2(C)C)c([N+](=O)[O-])c1 ZINC000413501291 533763550 /nfs/dbraw/zinc/76/35/50/533763550.db2.gz LLHXLHGGSUJOQT-LBPRGKRZSA-N 0 0 278.308 2.521 20 5 CFBDRN Cc1ccc(CN(C)c2ncc([N+](=O)[O-])cc2F)o1 ZINC000413023190 533881537 /nfs/dbraw/zinc/88/15/37/533881537.db2.gz IMSODPZSCVKRMS-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1NCC1CC1 ZINC000427992379 533933387 /nfs/dbraw/zinc/93/33/87/533933387.db2.gz LWVRVYBOIHSFAG-UHFFFAOYSA-N 0 0 284.699 2.857 20 5 CFBDRN Cc1cc(O)ccc1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000190172293 534012775 /nfs/dbraw/zinc/01/27/75/534012775.db2.gz JLSGYYHIJQNWFU-UHFFFAOYSA-N 0 0 288.263 2.648 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cn1)c1nc2c(s1)CCC2 ZINC000413391444 534033505 /nfs/dbraw/zinc/03/35/05/534033505.db2.gz GIFJHKVURLJADW-ZETCQYMHSA-N 0 0 291.336 2.503 20 5 CFBDRN Cc1ccc(C[NH2+]C(C)(C)C[C@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000417639681 534053387 /nfs/dbraw/zinc/05/33/87/534053387.db2.gz TZSXQZVMMKRNKZ-NSHDSACASA-N 0 0 294.351 2.882 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)CC(F)F)cc1[N+](=O)[O-] ZINC000413695714 534157219 /nfs/dbraw/zinc/15/72/19/534157219.db2.gz RLINMPATRATEJD-SSDOTTSWSA-N 0 0 288.250 2.833 20 5 CFBDRN COc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)c(C)n1 ZINC000177656065 534177057 /nfs/dbraw/zinc/17/70/57/534177057.db2.gz NVPHUDQRYMFDQN-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCC(F)(F)[C@H]2O)c1 ZINC000413577514 534329478 /nfs/dbraw/zinc/32/94/78/534329478.db2.gz NDOSMNRMFGAFAB-SKDRFNHKSA-N 0 0 286.278 2.864 20 5 CFBDRN Cc1nc(N[C@H]2C[C@]2(F)c2ccccc2)ncc1[N+](=O)[O-] ZINC000425272140 518192717 /nfs/dbraw/zinc/19/27/17/518192717.db2.gz KFMSSMVVUWZZPO-JSGCOSHPSA-N 0 0 288.282 2.742 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1[C@@H](C)CCC1(C)C ZINC000411084504 518193279 /nfs/dbraw/zinc/19/32/79/518193279.db2.gz JGEBLJAFSPPVBJ-VIFPVBQESA-N 0 0 277.324 2.701 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)c2cn[nH]c2)c([N+](=O)[O-])c1 ZINC000339701750 518388664 /nfs/dbraw/zinc/38/86/64/518388664.db2.gz LFUJGEGRVYNLJC-QMMMGPOBSA-N 0 0 274.280 2.694 20 5 CFBDRN CC(=O)c1ccc(OCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000052154827 518394216 /nfs/dbraw/zinc/39/42/16/518394216.db2.gz JULJAVANBYVONF-UHFFFAOYSA-N 0 0 279.292 2.603 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)CCOCC1CC1 ZINC000361062869 518410816 /nfs/dbraw/zinc/41/08/16/518410816.db2.gz NTVIPFAZHHLCMG-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN CC=Cc1ccc(NC(=O)c2c[nH]c(=O)c([N+](=O)[O-])c2)cc1 ZINC000360324080 518567412 /nfs/dbraw/zinc/56/74/12/518567412.db2.gz JLISVLYDUYKPEH-IHWYPQMZSA-N 0 0 299.286 2.981 20 5 CFBDRN CC(C)(C)CCNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000049423399 518648338 /nfs/dbraw/zinc/64/83/38/518648338.db2.gz IYFBBTSTNXIDON-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN CC(C)(C)[C@H]1OCCC[C@H]1CNc1ccncc1[N+](=O)[O-] ZINC000360991465 518985324 /nfs/dbraw/zinc/98/53/24/518985324.db2.gz SZYLLNBJGGUQIC-FZMZJTMJSA-N 0 0 293.367 2.665 20 5 CFBDRN CC(C)=CCNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000191290303 519435237 /nfs/dbraw/zinc/43/52/37/519435237.db2.gz IEMBBWHRGVAELV-LLVKDONJSA-N 0 0 277.324 2.921 20 5 CFBDRN CC(C)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079631487 519477145 /nfs/dbraw/zinc/47/71/45/519477145.db2.gz BFANMRIXEAHUOD-UHFFFAOYSA-N 0 0 250.298 2.602 20 5 CFBDRN CC(C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000070193940 519606827 /nfs/dbraw/zinc/60/68/27/519606827.db2.gz KPIPRMORQGWBRC-UHFFFAOYSA-N 0 0 256.689 2.729 20 5 CFBDRN CC(C)CC[C@@H](O)COc1ccc([N+](=O)[O-])cc1 ZINC000360677137 519684571 /nfs/dbraw/zinc/68/45/71/519684571.db2.gz VRESFAPGNJPJOO-GFCCVEGCSA-N 0 0 253.298 2.771 20 5 CFBDRN CC(C)NC(=O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000151732005 519709135 /nfs/dbraw/zinc/70/91/35/519709135.db2.gz DIHQVRSTFCNRQP-UHFFFAOYSA-N 0 0 288.303 2.651 20 5 CFBDRN CC(C)OCCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000160600868 519768400 /nfs/dbraw/zinc/76/84/00/519768400.db2.gz VQSPIBFUELWNJN-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)CSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000072992026 519769771 /nfs/dbraw/zinc/76/97/71/519769771.db2.gz PIYBBPCBLNWFOE-UHFFFAOYSA-N 0 0 268.338 2.583 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000336176570 519825512 /nfs/dbraw/zinc/82/55/12/519825512.db2.gz GFJSRFRKUDRWNC-NSHDSACASA-N 0 0 262.309 2.713 20 5 CFBDRN CCC1CN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000334502167 519859940 /nfs/dbraw/zinc/85/99/40/519859940.db2.gz QFBDJRBPCHAOTL-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN CCOCCNc1cc(Br)ccc1[N+](=O)[O-] ZINC000083730502 519968389 /nfs/dbraw/zinc/96/83/89/519968389.db2.gz LSDCPPAZMIVOBQ-UHFFFAOYSA-N 0 0 289.129 2.806 20 5 CFBDRN Cc1nc(NC(=O)CCc2cccs2)ccc1[N+](=O)[O-] ZINC000175674820 534461763 /nfs/dbraw/zinc/46/17/63/534461763.db2.gz CWLKNOQAQOHZKH-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@@H](C)c1cccnc1 ZINC000360050899 520071674 /nfs/dbraw/zinc/07/16/74/520071674.db2.gz BYHDYTGJDAKPRM-JTQLQIEISA-N 0 0 288.307 2.541 20 5 CFBDRN CCOC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000191464708 520085283 /nfs/dbraw/zinc/08/52/83/520085283.db2.gz MHNJIHZDDSNNFQ-CYBMUJFWSA-N 0 0 295.339 2.777 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000074019504 520101587 /nfs/dbraw/zinc/10/15/87/520101587.db2.gz BMOUPVJEGWXWEM-UHFFFAOYSA-N 0 0 271.276 2.657 20 5 CFBDRN CC(C)n1nccc1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054430285 520125709 /nfs/dbraw/zinc/12/57/09/520125709.db2.gz RFBZOONNBGOVFO-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN CCNc1ccc(C(=O)N(CC)C(C)C)cc1[N+](=O)[O-] ZINC000048443052 520281732 /nfs/dbraw/zinc/28/17/32/520281732.db2.gz ZONWXNTVYXCJFR-UHFFFAOYSA-N 0 0 279.340 2.897 20 5 CFBDRN CCNc1ccc(C(=O)N(CC)CC2CC2)cc1[N+](=O)[O-] ZINC000069264806 520281953 /nfs/dbraw/zinc/28/19/53/520281953.db2.gz HPJOSCHPMJGHBV-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000162498095 520406933 /nfs/dbraw/zinc/40/69/33/520406933.db2.gz IBNCFGXEMRMYCN-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000162549457 520407662 /nfs/dbraw/zinc/40/76/62/520407662.db2.gz JCRWAECNSQJXKR-NSHDSACASA-N 0 0 262.309 2.903 20 5 CFBDRN CC1(C)CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCCO1 ZINC000312859003 520479912 /nfs/dbraw/zinc/47/99/12/520479912.db2.gz RMYIEXQVSNOUGB-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1nc(N[C@H](C)CC(C)(C)C)ncc1[N+](=O)[O-] ZINC000413359559 534496871 /nfs/dbraw/zinc/49/68/71/534496871.db2.gz XTNTZSIFGHVSKI-MRVPVSSYSA-N 0 0 252.318 2.930 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCC1(C2CC2)CCC1 ZINC000420611845 534502106 /nfs/dbraw/zinc/50/21/06/534502106.db2.gz NURWBWASRVXKSF-UHFFFAOYSA-N 0 0 289.335 2.686 20 5 CFBDRN CC1(C)SC[C@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000338438855 520625535 /nfs/dbraw/zinc/62/55/35/520625535.db2.gz JUTWYWVBOZSQKV-SNVBAGLBSA-N 0 0 299.327 2.749 20 5 CFBDRN CCOC(=O)CCCNc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000083924079 520659892 /nfs/dbraw/zinc/65/98/92/520659892.db2.gz OLVBKMAFMQNMII-UHFFFAOYSA-N 0 0 296.323 2.749 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161446670 520687336 /nfs/dbraw/zinc/68/73/36/520687336.db2.gz XPBKQYFULFOJEL-SNVBAGLBSA-N 0 0 279.340 2.945 20 5 CFBDRN CCOc1cc(N2CCC(C)(OC)CC2)ccc1[N+](=O)[O-] ZINC000340902512 520748321 /nfs/dbraw/zinc/74/83/21/520748321.db2.gz QIKMSFRFQSSNIR-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN CCOc1cc(N[C@H](C)CCOC)ccc1[N+](=O)[O-] ZINC000081717445 520763350 /nfs/dbraw/zinc/76/33/50/520763350.db2.gz RKVOXFRDKMJXPE-SNVBAGLBSA-N 0 0 268.313 2.830 20 5 CFBDRN CC1(NC(=O)c2csc([N+](=O)[O-])c2)CCCC1 ZINC000049124148 520766055 /nfs/dbraw/zinc/76/60/55/520766055.db2.gz IPRDZNTVMWQRCO-UHFFFAOYSA-N 0 0 254.311 2.719 20 5 CFBDRN CCOc1cc(OCCOCC2CC2)ccc1[N+](=O)[O-] ZINC000188612558 520771630 /nfs/dbraw/zinc/77/16/30/520771630.db2.gz QDVSZLHPXFJKMU-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN CCOC(=O)C[C@@H](C)Sc1ncc(C)cc1[N+](=O)[O-] ZINC000361264233 520839566 /nfs/dbraw/zinc/83/95/66/520839566.db2.gz YFQJRJXNYFQXLJ-SECBINFHSA-N 0 0 284.337 2.732 20 5 CFBDRN CCOc1ccc(C(=O)NCCC2CC2)cc1[N+](=O)[O-] ZINC000174663470 520906896 /nfs/dbraw/zinc/90/68/96/520906896.db2.gz PFHLPGNJCPIYFP-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CC1CCC(CNC(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000183578557 520921527 /nfs/dbraw/zinc/92/15/27/520921527.db2.gz CRVAKJVUVOBXFW-UHFFFAOYSA-N 0 0 292.339 2.938 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161446778 521081606 /nfs/dbraw/zinc/08/16/06/521081606.db2.gz XPBKQYFULFOJEL-JTQLQIEISA-N 0 0 279.340 2.945 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000063704056 521226826 /nfs/dbraw/zinc/22/68/26/521226826.db2.gz OWJRTYOKEZUIFK-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CCCC[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1C)C(=O)[O-] ZINC000262703980 521239903 /nfs/dbraw/zinc/23/99/03/521239903.db2.gz LWCPKXSFJKXOEA-ZDUSSCGKSA-N 0 0 280.324 2.636 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCCCCF ZINC000361846163 521282037 /nfs/dbraw/zinc/28/20/37/521282037.db2.gz YNKNURIBNCOCPT-UHFFFAOYSA-N 0 0 299.302 2.865 20 5 CFBDRN CCC[C@](C)(O)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000228767062 521295059 /nfs/dbraw/zinc/29/50/59/521295059.db2.gz OPSLGENKOAZLGP-ZDUSSCGKSA-N 0 0 252.314 2.866 20 5 CFBDRN CCOc1cccc(NC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000055767760 521397558 /nfs/dbraw/zinc/39/75/58/521397558.db2.gz SKZIJTMTQCLWNV-UHFFFAOYSA-N 0 0 276.248 2.839 20 5 CFBDRN CCCCn1nccc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000078802663 521412117 /nfs/dbraw/zinc/41/21/17/521412117.db2.gz ZOHUIGSOXNBIJT-UHFFFAOYSA-N 0 0 288.307 2.844 20 5 CFBDRN CCOC(=O)c1cc(Nc2ccc([N+](=O)[O-])cc2)cn1C ZINC000340747601 521446105 /nfs/dbraw/zinc/44/61/05/521446105.db2.gz WTAMNEITWWPVFM-UHFFFAOYSA-N 0 0 289.291 2.854 20 5 CFBDRN CCC(CC)NC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000048465719 521583978 /nfs/dbraw/zinc/58/39/78/521583978.db2.gz FGNBILXLOTUJKA-UHFFFAOYSA-N 0 0 281.312 2.914 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161452977 521624999 /nfs/dbraw/zinc/62/49/99/521624999.db2.gz RJPWHVUUHBYUGV-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CCC(O)(CC)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000063992736 521658173 /nfs/dbraw/zinc/65/81/73/521658173.db2.gz SQJNAEQXBIHUEW-UHFFFAOYSA-N 0 0 256.277 2.697 20 5 CFBDRN CNC(=O)c1cccc(CNc2cccc([N+](=O)[O-])c2C)c1 ZINC000072951624 521890725 /nfs/dbraw/zinc/89/07/25/521890725.db2.gz MJDWRLNNFVDKBG-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CCc1cccnc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000337799125 521969230 /nfs/dbraw/zinc/96/92/30/521969230.db2.gz XXRWLMGMYMQWOE-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000341175982 522039051 /nfs/dbraw/zinc/03/90/51/522039051.db2.gz FTIUNDONYOUKGH-MRVPVSSYSA-N 0 0 286.278 2.672 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000370761111 522073928 /nfs/dbraw/zinc/07/39/28/522073928.db2.gz UZKHBXUJVBYYBA-GFCCVEGCSA-N 0 0 290.319 2.618 20 5 CFBDRN CC[C@H](C)n1ncc(Nc2ccncc2[N+](=O)[O-])c1C ZINC000340857945 522076421 /nfs/dbraw/zinc/07/64/21/522076421.db2.gz PCYCWORSZDAZAV-VIFPVBQESA-N 0 0 275.312 2.631 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC2CCC2)c1 ZINC000167340627 522176194 /nfs/dbraw/zinc/17/61/94/522176194.db2.gz OIRWMOICADBUND-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H](C)SC)c1 ZINC000167342177 522176919 /nfs/dbraw/zinc/17/69/19/522176919.db2.gz REABCLGMEQTJFB-MRVPVSSYSA-N 0 0 284.337 2.545 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361715456 522281350 /nfs/dbraw/zinc/28/13/50/522281350.db2.gz ANOJBRNCWBDAAD-BDAKNGLRSA-N 0 0 284.287 2.591 20 5 CFBDRN CN(C)c1ccccc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000044488629 522298740 /nfs/dbraw/zinc/29/87/40/522298740.db2.gz BZVKFOQXBJIRIH-UHFFFAOYSA-N 0 0 291.332 2.975 20 5 CFBDRN COC(=O)c1occc1COc1cc([N+](=O)[O-])ccc1C ZINC000078961782 522417382 /nfs/dbraw/zinc/41/73/82/522417382.db2.gz BMNPVOYNYGAZON-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CC[C@@H](C)n1ncc(Nc2ccncc2[N+](=O)[O-])c1C ZINC000340857944 522457747 /nfs/dbraw/zinc/45/77/47/522457747.db2.gz PCYCWORSZDAZAV-SECBINFHSA-N 0 0 275.312 2.631 20 5 CFBDRN COc1cc(CNc2cccc(CO)c2)ccc1[N+](=O)[O-] ZINC000305837608 522494699 /nfs/dbraw/zinc/49/46/99/522494699.db2.gz QDJHNDJQAFGHCM-UHFFFAOYSA-N 0 0 288.303 2.708 20 5 CFBDRN CNc1c(C(=O)N2CCCCCC2)cccc1[N+](=O)[O-] ZINC000161416899 522534592 /nfs/dbraw/zinc/53/45/92/522534592.db2.gz URZVKEHHVVUEEU-UHFFFAOYSA-N 0 0 277.324 2.653 20 5 CFBDRN CNc1c(C(=O)NCCC2=CCCC2)cccc1[N+](=O)[O-] ZINC000360935622 522537221 /nfs/dbraw/zinc/53/72/21/522537221.db2.gz BVEHNODGUPYTEF-UHFFFAOYSA-N 0 0 289.335 2.867 20 5 CFBDRN CNc1c(C(=O)NC(C2CC2)C2CC2)cccc1[N+](=O)[O-] ZINC000161470646 522537733 /nfs/dbraw/zinc/53/77/33/522537733.db2.gz RTBZHTCRBDVKPR-UHFFFAOYSA-N 0 0 289.335 2.555 20 5 CFBDRN CNc1c(C(=O)N[C@@H](C)CC2CC2)cccc1[N+](=O)[O-] ZINC000161490623 522539251 /nfs/dbraw/zinc/53/92/51/522539251.db2.gz ALJUNNIKMFGULB-VIFPVBQESA-N 0 0 277.324 2.555 20 5 CFBDRN CNc1c(C(=O)N[C@H](C)CC2CC2)cccc1[N+](=O)[O-] ZINC000161490750 522540802 /nfs/dbraw/zinc/54/08/02/522540802.db2.gz ALJUNNIKMFGULB-SECBINFHSA-N 0 0 277.324 2.555 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181204937 522613403 /nfs/dbraw/zinc/61/34/03/522613403.db2.gz JWBLUROHAVPYIC-NSHDSACASA-N 0 0 282.365 2.775 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000361328954 522660721 /nfs/dbraw/zinc/66/07/21/522660721.db2.gz TYOHLUPGUDBGNG-QWRGUYRKSA-N 0 0 280.324 2.760 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361715462 522661077 /nfs/dbraw/zinc/66/10/77/522661077.db2.gz ANOJBRNCWBDAAD-IUCAKERBSA-N 0 0 284.287 2.591 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000065759154 522689973 /nfs/dbraw/zinc/68/99/73/522689973.db2.gz PISKXDNHTGSAQE-UHFFFAOYSA-N 0 0 290.241 2.548 20 5 CFBDRN COc1cc(NCC2CCC2)c(F)cc1[N+](=O)[O-] ZINC000218842617 522740374 /nfs/dbraw/zinc/74/03/74/522740374.db2.gz WWYGUXCSSRLNDI-UHFFFAOYSA-N 0 0 254.261 2.955 20 5 CFBDRN CCc1nnc(CSc2cccc([N+](=O)[O-])c2)o1 ZINC000337754243 522753071 /nfs/dbraw/zinc/75/30/71/522753071.db2.gz KUKJQZCTAKWGLJ-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN COc1cc(NCc2oc(C)nc2C)c(F)cc1[N+](=O)[O-] ZINC000314421093 522754133 /nfs/dbraw/zinc/75/41/33/522754133.db2.gz AMGJYGSLCQDCCW-UHFFFAOYSA-N 0 0 295.270 2.959 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCC[C@H]1OC ZINC000340945085 522939799 /nfs/dbraw/zinc/93/97/99/522939799.db2.gz CFAGUTHFOJMYEJ-IAQYHMDHSA-N 0 0 294.351 2.968 20 5 CFBDRN COc1cc([C@H](C)Nc2ccc([N+](=O)[O-])cn2)ccn1 ZINC000340932683 522950356 /nfs/dbraw/zinc/95/03/56/522950356.db2.gz FBPXWFNBIZKJPR-VIFPVBQESA-N 0 0 274.280 2.567 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCC1(OC)CCC1 ZINC000338552256 522982395 /nfs/dbraw/zinc/98/23/95/522982395.db2.gz VHJDJDJLSXHXME-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN CC[C@@H](O)CNc1ccc([N+](=O)[O-])cc1Br ZINC000164111191 523008268 /nfs/dbraw/zinc/00/82/68/523008268.db2.gz MVTNZIGQHYEADF-MRVPVSSYSA-N 0 0 289.129 2.540 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000339206412 523043483 /nfs/dbraw/zinc/04/34/83/523043483.db2.gz POSPMZHEGVMMAP-FZMZJTMJSA-N 0 0 291.351 2.997 20 5 CFBDRN COCc1ccccc1CNc1ccc([N+](=O)[O-])nc1 ZINC000055476706 523105885 /nfs/dbraw/zinc/10/58/85/523105885.db2.gz BOAFHBMPEGBDLR-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C)=C[C@H](C)C1 ZINC000340936935 523140611 /nfs/dbraw/zinc/14/06/11/523140611.db2.gz XMBRVCVKMUGLPF-VIFPVBQESA-N 0 0 264.329 2.522 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC(CC)(CC)CCO ZINC000360990017 523143978 /nfs/dbraw/zinc/14/39/78/523143978.db2.gz IIFYIVRSHRXDKS-UHFFFAOYSA-N 0 0 298.387 2.720 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](CO)CCC(C)(C)C ZINC000340898234 523146589 /nfs/dbraw/zinc/14/65/89/523146589.db2.gz JIQPYUWQMRZWCR-LLVKDONJSA-N 0 0 298.387 2.719 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1ccc2c[nH]nc2c1 ZINC000360996784 523147239 /nfs/dbraw/zinc/14/72/39/523147239.db2.gz TZOUBCOZIHNORS-UHFFFAOYSA-N 0 0 287.279 2.788 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2NC)C1 ZINC000162174843 523161825 /nfs/dbraw/zinc/16/18/25/523161825.db2.gz RJCGVZDZGVFSFZ-JTQLQIEISA-N 0 0 277.324 2.509 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157289706 523221889 /nfs/dbraw/zinc/22/18/89/523221889.db2.gz UQMKLRBNWBSYFU-ZYHUDNBSSA-N 0 0 291.351 2.876 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000336357705 523261590 /nfs/dbraw/zinc/26/15/90/523261590.db2.gz UUQVRFYQDBMJKP-GFCCVEGCSA-N 0 0 262.309 2.918 20 5 CFBDRN CO[C@@H](C)CCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181636320 523380877 /nfs/dbraw/zinc/38/08/77/523380877.db2.gz FKHPOQBKMSAYOI-RYUDHWBXSA-N 0 0 294.351 2.896 20 5 CFBDRN CO[C@@H](C)CCC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000181113497 523388757 /nfs/dbraw/zinc/38/87/57/523388757.db2.gz APXZSKFNHUYLNB-VIFPVBQESA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000428083334 534705534 /nfs/dbraw/zinc/70/55/34/534705534.db2.gz JEMGRELABIMWHN-YABSGUDNSA-N 0 0 294.738 2.705 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ccc(F)cn1 ZINC000339970467 523392093 /nfs/dbraw/zinc/39/20/93/523392093.db2.gz IFCQETYUPYEKRA-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN COCCC[C@@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000182148273 523463292 /nfs/dbraw/zinc/46/32/92/523463292.db2.gz USWGDSSGHSFLCG-SNVBAGLBSA-N 0 0 267.281 2.563 20 5 CFBDRN CC[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000269408046 523473169 /nfs/dbraw/zinc/47/31/69/523473169.db2.gz WNMLSJASXLEPRJ-PSASIEDQSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336049694 523565561 /nfs/dbraw/zinc/56/55/61/523565561.db2.gz WLTYJBPAOKXXDI-CQSZACIVSA-N 0 0 280.299 2.996 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000337749527 523569371 /nfs/dbraw/zinc/56/93/71/523569371.db2.gz PIGLGOMRTNTNRH-UONOGXRCSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000335582568 523577411 /nfs/dbraw/zinc/57/74/11/523577411.db2.gz XJRBUXHBYVZCMB-BXKDBHETSA-N 0 0 260.293 2.530 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000334514036 523577982 /nfs/dbraw/zinc/57/79/82/523577982.db2.gz OZKOPFZIPWJYBJ-BXKDBHETSA-N 0 0 260.293 2.530 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000361006884 523620720 /nfs/dbraw/zinc/62/07/20/523620720.db2.gz MVKHNUMXYYLRKH-MWLCHTKSSA-N 0 0 266.345 2.744 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000340884833 523653107 /nfs/dbraw/zinc/65/31/07/523653107.db2.gz OLBLYXTYOKXYCF-GXFFZTMASA-N 0 0 293.323 2.531 20 5 CFBDRN CN(c1ccccc1[N+](=O)[O-])[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000156755507 523656533 /nfs/dbraw/zinc/65/65/33/523656533.db2.gz YWKKRIJEAKZIBU-HONMWMINSA-N 0 0 276.336 2.845 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@](C)(OC)CC1 ZINC000360121495 523665224 /nfs/dbraw/zinc/66/52/24/523665224.db2.gz IKXPBKXFBOYKMM-AWEZNQCLSA-N 0 0 296.371 2.515 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2cccc(F)c2[N+](=O)[O-])C1 ZINC000231683546 523701620 /nfs/dbraw/zinc/70/16/20/523701620.db2.gz PYKQYTIZLYRQRF-RKDXNWHRSA-N 0 0 254.261 2.713 20 5 CFBDRN COc1ccc(C(=O)NC[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000334071002 523710832 /nfs/dbraw/zinc/71/08/32/523710832.db2.gz VMGZNNGHVQXRNS-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@H](Nc2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000364992746 523788035 /nfs/dbraw/zinc/78/80/35/523788035.db2.gz DZLTTXATIFGEQD-JIMOISOXSA-N 0 0 277.324 2.605 20 5 CFBDRN CCc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)n[nH]1 ZINC000049138902 523818690 /nfs/dbraw/zinc/81/86/90/523818690.db2.gz SOTNNCTUCFPPAB-UHFFFAOYSA-N 0 0 294.698 2.786 20 5 CFBDRN CN(C(=O)[C@@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000336036896 523896966 /nfs/dbraw/zinc/89/69/66/523896966.db2.gz AFFGMVYWXRDHDM-SNVBAGLBSA-N 0 0 280.349 2.701 20 5 CFBDRN COC(=O)[C@H](CNc1ncc(C)cc1[N+](=O)[O-])CC(C)C ZINC000361264088 523904918 /nfs/dbraw/zinc/90/49/18/523904918.db2.gz XXFPLIUPPHBBQR-NSHDSACASA-N 0 0 295.339 2.545 20 5 CFBDRN CN(C(=O)[C@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000336036895 523908459 /nfs/dbraw/zinc/90/84/59/523908459.db2.gz AFFGMVYWXRDHDM-JTQLQIEISA-N 0 0 280.349 2.701 20 5 CFBDRN CN(C(=O)[C@H]1[C@@H]2CCCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000246448386 523913919 /nfs/dbraw/zinc/91/39/19/523913919.db2.gz FBYFBQMNPIWLBJ-WDNDVIMCSA-N 0 0 274.320 2.994 20 5 CFBDRN CO[C@H](C)c1nsc(Oc2ccccc2[N+](=O)[O-])n1 ZINC000337920189 523928831 /nfs/dbraw/zinc/92/88/31/523928831.db2.gz YIISJLRGSMOFKT-SSDOTTSWSA-N 0 0 281.293 2.946 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])s1)C1CC(C)(C)C1 ZINC000335128433 523937926 /nfs/dbraw/zinc/93/79/26/523937926.db2.gz CFKRTHQJKZFAEP-UHFFFAOYSA-N 0 0 268.338 2.917 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)[C@H]1CCC(C)(C)C1 ZINC000336076408 523938336 /nfs/dbraw/zinc/93/83/36/523938336.db2.gz NPXYCISOIGRFGH-VIFPVBQESA-N 0 0 266.297 2.839 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000231683670 524003374 /nfs/dbraw/zinc/00/33/74/524003374.db2.gz MDLHQHPXISCRHA-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN CCc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000340426995 524045068 /nfs/dbraw/zinc/04/50/68/524045068.db2.gz YQQVTAKNYAMNEQ-JTQLQIEISA-N 0 0 287.319 2.976 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](C)COC ZINC000362345721 524057422 /nfs/dbraw/zinc/05/74/22/524057422.db2.gz PGUIYTGWMSNNBU-SNVBAGLBSA-N 0 0 280.324 2.768 20 5 CFBDRN CNC(=O)C[C@H](Nc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000360286541 524075822 /nfs/dbraw/zinc/07/58/22/524075822.db2.gz PYAYFBBZGPJVOI-HNNXBMFYSA-N 0 0 299.330 2.884 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)C(F)(F)F)cc([N+](=O)[O-])c1 ZINC000356421169 524087530 /nfs/dbraw/zinc/08/75/30/524087530.db2.gz GHCREMBEJBOJOC-MRVPVSSYSA-N 0 0 290.241 2.831 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc([N+](=O)[O-])c2C)co1 ZINC000360526582 524108327 /nfs/dbraw/zinc/10/83/27/524108327.db2.gz FXJCDZHRBSXZPL-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000173183395 524115671 /nfs/dbraw/zinc/11/56/71/524115671.db2.gz MQKYDNCBNXLLPG-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1ccc(C)c(OCCCn2cnc([N+](=O)[O-])c2)c1 ZINC000074343524 524175787 /nfs/dbraw/zinc/17/57/87/524175787.db2.gz GCZJDJVROISLLC-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000049275293 524194254 /nfs/dbraw/zinc/19/42/54/524194254.db2.gz DXRGBVNUBOQJEG-GFCCVEGCSA-N 0 0 274.320 2.989 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CC23CCCC3)c1[N+](=O)[O-] ZINC000336401787 524206050 /nfs/dbraw/zinc/20/60/50/524206050.db2.gz PSMPXSBRLFNXCF-GFCCVEGCSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1cc(C)c(C(=O)Cn2cc([N+](=O)[O-])cn2)cc1C ZINC000055359043 524220411 /nfs/dbraw/zinc/22/04/11/524220411.db2.gz SBNHTAFBPXZSQM-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN C[C@H]1CCC[C@]1(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000327991591 524227450 /nfs/dbraw/zinc/22/74/50/524227450.db2.gz LMZNFWHJJQBLMA-ZANVPECISA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000339793460 524327390 /nfs/dbraw/zinc/32/73/90/524327390.db2.gz ZRAANEDEXTYSFE-NXEZZACHSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000340034696 524329882 /nfs/dbraw/zinc/32/98/82/524329882.db2.gz ZPWGCNACGMGCGX-ZYHUDNBSSA-N 0 0 262.309 2.761 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@H]1F ZINC000335066254 524337395 /nfs/dbraw/zinc/33/73/95/524337395.db2.gz BGSKEXMIIWSHEI-NEPJUHHUSA-N 0 0 280.299 2.832 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000157038730 524365192 /nfs/dbraw/zinc/36/51/92/524365192.db2.gz XDDKZJZHFZMDGL-WDEREUQCSA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@@H]1CCC[C@H](CCNC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000360372233 524373603 /nfs/dbraw/zinc/37/36/03/524373603.db2.gz MGBUWNLGGOTVAH-GHMZBOCLSA-N 0 0 279.340 2.869 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)C1 ZINC000334780947 524381454 /nfs/dbraw/zinc/38/14/54/524381454.db2.gz BNFCBDRKBJZYFC-AAEUAGOBSA-N 0 0 288.347 2.916 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CC[C@@H]1C ZINC000336231338 524523922 /nfs/dbraw/zinc/52/39/22/524523922.db2.gz ZPIHJSXRKLXVLA-UWVGGRQHSA-N 0 0 280.299 2.852 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000361339850 524596899 /nfs/dbraw/zinc/59/68/99/524596899.db2.gz VJBRSNKXRGDUMX-SECBINFHSA-N 0 0 296.348 2.685 20 5 CFBDRN Cc1cccc(NC(=O)CNc2ccccc2[N+](=O)[O-])c1 ZINC000067703868 524598476 /nfs/dbraw/zinc/59/84/76/524598476.db2.gz HRRVUMKTESUSHK-UHFFFAOYSA-N 0 0 285.303 2.954 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)CSC)c([N+](=O)[O-])c1 ZINC000066756183 524606161 /nfs/dbraw/zinc/60/61/61/524606161.db2.gz QGERGCYSUKVHSA-MRVPVSSYSA-N 0 0 284.337 2.541 20 5 CFBDRN Cc1cc(CC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)no1 ZINC000172089734 524630757 /nfs/dbraw/zinc/63/07/57/524630757.db2.gz RHODZTDVDKONMM-UHFFFAOYSA-N 0 0 289.291 2.689 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])n2C)c(C)c1 ZINC000339686963 524637783 /nfs/dbraw/zinc/63/77/83/524637783.db2.gz NNXQZMREWLDBLK-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000167359211 524640068 /nfs/dbraw/zinc/64/00/68/524640068.db2.gz RTKYHQUWCPTVBR-ZJUUUORDSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1cc(CCNc2cccc(C)c2[N+](=O)[O-])on1 ZINC000338727613 524645177 /nfs/dbraw/zinc/64/51/77/524645177.db2.gz VPNGIRIFXGKQSE-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN Cc1cccc(NC[C@@H]2CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000218859124 524678677 /nfs/dbraw/zinc/67/86/77/524678677.db2.gz IDMZKXBCVKTSSL-WCQYABFASA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000336225519 524682711 /nfs/dbraw/zinc/68/27/11/524682711.db2.gz NPNCVWVBNVIVCU-PWSUYJOCSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000157038295 524691208 /nfs/dbraw/zinc/69/12/08/524691208.db2.gz XDDKZJZHFZMDGL-MNOVXSKESA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@@H](CO)CCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000230147366 524771066 /nfs/dbraw/zinc/77/10/66/524771066.db2.gz ULAMECCQFKUFNT-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H](Cn1ccnc1)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000049236058 524793825 /nfs/dbraw/zinc/79/38/25/524793825.db2.gz URVORFLOZMNFAF-SECBINFHSA-N 0 0 280.715 2.945 20 5 CFBDRN C[C@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000334170271 524829638 /nfs/dbraw/zinc/82/96/38/524829638.db2.gz BGJLORDXMBEUHD-WCQYABFASA-N 0 0 299.330 2.941 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)[C@@H]1c1ccccc1 ZINC000334908156 524867626 /nfs/dbraw/zinc/86/76/26/524867626.db2.gz HICPRLLPUQPBFW-ABAIWWIYSA-N 0 0 299.330 2.767 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000335683604 524891933 /nfs/dbraw/zinc/89/19/33/524891933.db2.gz KOJWJEXZKPXVBT-QWRGUYRKSA-N 0 0 287.319 2.559 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C2CC2)C1 ZINC000335163945 524904976 /nfs/dbraw/zinc/90/49/76/524904976.db2.gz SFTQKIGCATUZCT-LBPRGKRZSA-N 0 0 274.320 2.775 20 5 CFBDRN COc1ccc(OCCOCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000066409000 524964336 /nfs/dbraw/zinc/96/43/36/524964336.db2.gz CCSZWAICMALPPW-UHFFFAOYSA-N 0 0 295.213 2.561 20 5 CFBDRN C[C@@H](Cn1ncc([N+](=O)[O-])c1N)C1CCCCC1 ZINC000310883432 524971653 /nfs/dbraw/zinc/97/16/53/524971653.db2.gz SCAKMSCPFWKAOX-VIFPVBQESA-N 0 0 252.318 2.590 20 5 CFBDRN COc1ccc(Oc2cc(C)nc(OC)n2)c([N+](=O)[O-])c1 ZINC000354525672 524991723 /nfs/dbraw/zinc/99/17/23/524991723.db2.gz IADGYWWODYISGY-UHFFFAOYSA-N 0 0 291.263 2.503 20 5 CFBDRN Cc1cc(N(C)Cc2cscn2)ccc1[N+](=O)[O-] ZINC000049056414 525029617 /nfs/dbraw/zinc/02/96/17/525029617.db2.gz KDDJCOYDPSHCLG-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN C[C@H]1OCC[C@@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235596589 525038267 /nfs/dbraw/zinc/03/82/67/525038267.db2.gz NXOOXRPQILACRV-RQJHMYQMSA-N 0 0 291.229 2.598 20 5 CFBDRN Cc1cc(NC(=O)C2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000336594551 525116547 /nfs/dbraw/zinc/11/65/47/525116547.db2.gz GXRGNLSQDFRHHE-UHFFFAOYSA-N 0 0 270.235 2.887 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@](C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000334814267 525177933 /nfs/dbraw/zinc/17/79/33/525177933.db2.gz ZPWQFXRQYOMKRT-QMTHXVAHSA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1cc(NC(=O)N2[C@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000336465286 525185930 /nfs/dbraw/zinc/18/59/30/525185930.db2.gz DSTKPBKXJRZZGD-AOOOYVTPSA-N 0 0 263.297 2.918 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])n2C)c(C)cc1O ZINC000235893744 525248864 /nfs/dbraw/zinc/24/88/64/525248864.db2.gz WIBFOXZVQXUDKC-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CS1 ZINC000334889674 525257316 /nfs/dbraw/zinc/25/73/16/525257316.db2.gz FXYZOXRFBLPTQL-GMSGAONNSA-N 0 0 299.327 2.749 20 5 CFBDRN C[C@]1(CNc2ncc(Cl)cc2[N+](=O)[O-])CCCC[C@H]1O ZINC000153898623 525273872 /nfs/dbraw/zinc/27/38/72/525273872.db2.gz RMLJZYDNDFUWFS-DGCLKSJQSA-N 0 0 299.758 2.996 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230307219 525297953 /nfs/dbraw/zinc/29/79/53/525297953.db2.gz WSZNUPKQVHDFKH-OCCSQVGLSA-N 0 0 262.309 2.883 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1c(Br)cncc1[N+](=O)[O-] ZINC000227536985 525313924 /nfs/dbraw/zinc/31/39/24/525313924.db2.gz ZICXBBOMNDZQEF-RNFRBKRXSA-N 0 0 286.129 2.820 20 5 CFBDRN Cc1cc(O)ccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000080357270 525334431 /nfs/dbraw/zinc/33/44/31/525334431.db2.gz XUULTVBHOKJIGF-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000336410709 525393378 /nfs/dbraw/zinc/39/33/78/525393378.db2.gz BHXWZPLFZDVSJX-DTORHVGOSA-N 0 0 282.727 2.800 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H](C)Cn2cccn2)c1 ZINC000338647343 525451307 /nfs/dbraw/zinc/45/13/07/525451307.db2.gz FSFCHPXOAARQHO-NSHDSACASA-N 0 0 290.323 2.548 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H](C)C1 ZINC000157166684 525456175 /nfs/dbraw/zinc/45/61/75/525456175.db2.gz URYRKVJRYRNVKC-VHSXEESVSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1cn2ccccc2n1 ZINC000078500393 525470503 /nfs/dbraw/zinc/47/05/03/525470503.db2.gz UUGMXHFCYVMTTC-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN CSCCCNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000070231930 525475976 /nfs/dbraw/zinc/47/59/76/525475976.db2.gz CMZXFEWCXANKQY-UHFFFAOYSA-N 0 0 288.756 2.731 20 5 CFBDRN Cc1cccnc1C1(CNc2ncccc2[N+](=O)[O-])CC1 ZINC000366081682 525510289 /nfs/dbraw/zinc/51/02/89/525510289.db2.gz FRUGPBFEHQQNLP-UHFFFAOYSA-N 0 0 284.319 2.837 20 5 CFBDRN Cc1c(C(=O)N2CC(C3CCC3)C2)cccc1[N+](=O)[O-] ZINC000335828074 525566595 /nfs/dbraw/zinc/56/65/95/525566595.db2.gz ZNJJXJFQOFBKKI-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCCCO1 ZINC000052684477 525570940 /nfs/dbraw/zinc/57/09/40/525570940.db2.gz LYEBREGDBUOSII-LBPRGKRZSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCO[C@@H]2CCCC[C@H]2C)c1=O ZINC000155871431 525572170 /nfs/dbraw/zinc/57/21/70/525572170.db2.gz LCCOHSBFDWQIFK-BXUZGUMPSA-N 0 0 294.351 2.660 20 5 CFBDRN Cc1ccn(C[C@@H]2CCC(F)(F)C2)c(=O)c1[N+](=O)[O-] ZINC000336260748 525600701 /nfs/dbraw/zinc/60/07/01/525600701.db2.gz YAAXQDHTIBDQIZ-SECBINFHSA-N 0 0 272.251 2.500 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182424447 525614353 /nfs/dbraw/zinc/61/43/53/525614353.db2.gz COZQRGXPNQQTDP-SECBINFHSA-N 0 0 268.338 2.841 20 5 CFBDRN Cc1ccnc(COc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000339305347 525654022 /nfs/dbraw/zinc/65/40/22/525654022.db2.gz QNFAYOUJOQKQSF-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1cc2n[nH]cc2cc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000360444819 525666270 /nfs/dbraw/zinc/66/62/70/525666270.db2.gz POOKKDCXIHOJOT-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN C[C@H](Nc1nc2sccn2c1[N+](=O)[O-])C1CCC1 ZINC000159984807 525679305 /nfs/dbraw/zinc/67/93/05/525679305.db2.gz SRRAWRNMRQQXEC-ZETCQYMHSA-N 0 0 266.326 2.905 20 5 CFBDRN Cc1c(CN2C[C@@H](C)O[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000051114780 525709000 /nfs/dbraw/zinc/70/90/00/525709000.db2.gz ZRBZJVOKKCIRAW-PHIMTYICSA-N 0 0 264.325 2.512 20 5 CFBDRN Cc1c(CNC(=O)CCC2CC2)cccc1[N+](=O)[O-] ZINC000360540105 525710753 /nfs/dbraw/zinc/71/07/53/525710753.db2.gz KXXLBXZHVWJRTP-UHFFFAOYSA-N 0 0 262.309 2.710 20 5 CFBDRN Cc1c(Cc2nc(-c3ccccn3)no2)cccc1[N+](=O)[O-] ZINC000160879587 525740150 /nfs/dbraw/zinc/74/01/50/525740150.db2.gz MHSZVTULAKSTNU-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(Cc3nccs3)c2)cc1 ZINC000161323256 534881057 /nfs/dbraw/zinc/88/10/57/534881057.db2.gz VTJUAKVMYGCZJQ-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=NCCC3CC(F)(F)C3)[nH]c2c1 ZINC000413341152 534882791 /nfs/dbraw/zinc/88/27/91/534882791.db2.gz SOGXZQWZHJBZDY-UHFFFAOYSA-N 0 0 296.277 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@H](O)C3CCC3)c2c1 ZINC000413314932 534884989 /nfs/dbraw/zinc/88/49/89/534884989.db2.gz JKXHLTFJIXPOKT-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCC3=CCCOC3)c2c1 ZINC000413303035 534885400 /nfs/dbraw/zinc/88/54/00/534885400.db2.gz PUFBLIINDWCOID-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])cc2C)C1 ZINC000078476771 525841206 /nfs/dbraw/zinc/84/12/06/525841206.db2.gz SOORFWCCUUNPOT-MWLCHTKSSA-N 0 0 267.354 2.994 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2ncc(C)cc2[N+](=O)[O-])C1 ZINC000078670273 525843752 /nfs/dbraw/zinc/84/37/52/525843752.db2.gz RNKWVMDJQGODLW-VHSXEESVSA-N 0 0 267.354 2.994 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H](C3CC3)[C@@H]2C2CC2)c(F)c1 ZINC000413315408 534888676 /nfs/dbraw/zinc/88/86/76/534888676.db2.gz KNJKVWTZDVXPHA-AAEUAGOBSA-N 0 0 277.299 2.754 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCCOCC1CCC1 ZINC000416908161 534889202 /nfs/dbraw/zinc/88/92/02/534889202.db2.gz CVJGHLBOKDSSCA-UHFFFAOYSA-N 0 0 286.715 2.839 20 5 CFBDRN CS[C@H](C)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355691572 525887457 /nfs/dbraw/zinc/88/74/57/525887457.db2.gz USNFEYAWEMGZIX-MRVPVSSYSA-N 0 0 279.321 2.993 20 5 CFBDRN Cc1c([C@@H](C)Nc2ccccc2[N+](=O)[O-])cnn1C ZINC000171972906 525906470 /nfs/dbraw/zinc/90/64/70/525906470.db2.gz UUJVCOZOKSIYGH-SECBINFHSA-N 0 0 260.297 2.810 20 5 CFBDRN Cc1cn(Cc2ccccc2Cl)nc1[N+](=O)[O-] ZINC000337942638 525911784 /nfs/dbraw/zinc/91/17/84/525911784.db2.gz TXHYLUAZIXEWHU-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN CSc1ccc(CCNc2ccncc2[N+](=O)[O-])cc1 ZINC000340850189 525982130 /nfs/dbraw/zinc/98/21/30/525982130.db2.gz IBASQNLURSMVFQ-UHFFFAOYSA-N 0 0 289.360 2.788 20 5 CFBDRN CCCC[C@@H](COC)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000268500036 534899090 /nfs/dbraw/zinc/89/90/90/534899090.db2.gz PTYUERMGKBAEFI-NSHDSACASA-N 0 0 267.329 2.915 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)c2ccccn2)c1 ZINC000172829363 526052586 /nfs/dbraw/zinc/05/25/86/526052586.db2.gz XUUFVLLZQGKYPP-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1cnc(COc2cccc([N+](=O)[O-])c2C)nc1 ZINC000361086139 526060006 /nfs/dbraw/zinc/06/00/06/526060006.db2.gz MOQXREDYSSYLRE-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)c2cncs2)n1 ZINC000338484662 526062101 /nfs/dbraw/zinc/06/21/01/526062101.db2.gz RVDFPWZSNACNRE-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1cnc(Cn2ncc3cc([N+](=O)[O-])cc(Cl)c32)o1 ZINC000340865031 526064306 /nfs/dbraw/zinc/06/43/06/526064306.db2.gz RBGWVPFUVOCPKV-UHFFFAOYSA-N 0 0 292.682 2.943 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CC1(C)C ZINC000336464722 526069828 /nfs/dbraw/zinc/06/98/28/526069828.db2.gz ODVXMNUAHBBMDO-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC(F)(F)F ZINC000361999732 526073997 /nfs/dbraw/zinc/07/39/97/526073997.db2.gz BFRWSESWFIQYSB-QMMMGPOBSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1O ZINC000049398498 526074055 /nfs/dbraw/zinc/07/40/55/526074055.db2.gz NWWCFNKLKZBZHL-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@](C)(F)C1 ZINC000334169759 526080813 /nfs/dbraw/zinc/08/08/13/526080813.db2.gz ABKOINQRTBNTTQ-CYBMUJFWSA-N 0 0 281.287 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1ccc(CO)cc1 ZINC000168690979 526085298 /nfs/dbraw/zinc/08/52/98/526085298.db2.gz UGXZMDIFNZPHCD-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCCOCC(F)F ZINC000340671820 526085609 /nfs/dbraw/zinc/08/56/09/526085609.db2.gz SMORBRBCYJGCIV-UHFFFAOYSA-N 0 0 261.224 2.564 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)COC(C)(C)C ZINC000182508567 526085764 /nfs/dbraw/zinc/08/57/64/526085764.db2.gz SUMPKKJAOWJSLG-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1cnc(N[C@H](C)c2cccnc2)c([N+](=O)[O-])c1 ZINC000090692004 526096465 /nfs/dbraw/zinc/09/64/65/526096465.db2.gz FOGFDAFUHJIMLD-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1cnc(OCCc2ccccc2)c([N+](=O)[O-])c1 ZINC000175134940 526099944 /nfs/dbraw/zinc/09/99/44/526099944.db2.gz RMRNHLYYBLBJFW-UHFFFAOYSA-N 0 0 258.277 2.920 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CCC[C@@H]1F)c1ccc([N+](=O)[O-])cc1F ZINC000340848640 526118903 /nfs/dbraw/zinc/11/89/03/526118903.db2.gz VFMMRUOAESIOIH-QWRKXWFKSA-N 0 0 298.289 2.844 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000068172200 526120027 /nfs/dbraw/zinc/12/00/27/526120027.db2.gz MEGXIGSIESLCKS-NSHDSACASA-N 0 0 285.303 2.823 20 5 CFBDRN Cc1ccc(C(=O)NCCCCOC(C)C)cc1[N+](=O)[O-] ZINC000182375455 526160268 /nfs/dbraw/zinc/16/02/68/526160268.db2.gz AHILXUAAIZRSOW-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN COc1cccc(NC[C@@H]2CCC[C@H](CO)C2)c1[N+](=O)[O-] ZINC000314637733 526207502 /nfs/dbraw/zinc/20/75/02/526207502.db2.gz MILNJBWDETUQTJ-NEPJUHHUSA-N 0 0 294.351 2.814 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000362022717 526208520 /nfs/dbraw/zinc/20/85/20/526208520.db2.gz VHITYDNFFZKXAZ-MRVPVSSYSA-N 0 0 290.241 2.974 20 5 CFBDRN COc1cccc(NC[C@H]2CCC[C@@H](CO)C2)c1[N+](=O)[O-] ZINC000314637735 526210746 /nfs/dbraw/zinc/21/07/46/526210746.db2.gz MILNJBWDETUQTJ-NWDGAFQWSA-N 0 0 294.351 2.814 20 5 CFBDRN Cc1cc(C(=O)N2CC3(C2)CCCC3)cc([N+](=O)[O-])c1 ZINC000336110723 526236211 /nfs/dbraw/zinc/23/62/11/526236211.db2.gz HPMRZUCLJAFQMT-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157321566 526254447 /nfs/dbraw/zinc/25/44/47/526254447.db2.gz NBNDLYXUMFHLLJ-WDEREUQCSA-N 0 0 291.351 2.733 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000362021811 526258712 /nfs/dbraw/zinc/25/87/12/526258712.db2.gz SJFOCXBLXRXHRD-SSDOTTSWSA-N 0 0 276.214 2.666 20 5 CFBDRN CCC(CC)(CCO)CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000268566963 534916815 /nfs/dbraw/zinc/91/68/15/534916815.db2.gz ITOFYAPKHCKIRX-UHFFFAOYSA-N 0 0 294.351 2.513 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C1 ZINC000050697761 526266584 /nfs/dbraw/zinc/26/65/84/526266584.db2.gz VCXKUEZVDOQJNP-QMMMGPOBSA-N 0 0 297.742 2.703 20 5 CFBDRN O=C(C1CC1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000335163380 526286486 /nfs/dbraw/zinc/28/64/86/526286486.db2.gz MNBWEGKRAWVPFL-CYBMUJFWSA-N 0 0 278.333 2.579 20 5 CFBDRN Cc1csc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000049156091 526393569 /nfs/dbraw/zinc/39/35/69/526393569.db2.gz VIIZNROTOIDDSG-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1csc([C@@H](C)Nc2ccc([N+](=O)[O-])nc2)n1 ZINC000079617855 526414556 /nfs/dbraw/zinc/41/45/56/526414556.db2.gz MICCMXZSBNATAV-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1ccccc1[N+](=O)[O-] ZINC000049275209 526502110 /nfs/dbraw/zinc/50/21/10/526502110.db2.gz FFGSFKFRPMLSHY-LLVKDONJSA-N 0 0 260.293 2.681 20 5 CFBDRN O=C([C@@H]1C[C@@H]2C=C[C@H]1C2)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231470 526505283 /nfs/dbraw/zinc/50/52/83/526505283.db2.gz OORJUBHNCCBPKN-PVXIVEMSSA-N 0 0 298.342 2.692 20 5 CFBDRN O=C([C@H]1CCC(F)(F)C1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000336089810 526533251 /nfs/dbraw/zinc/53/32/51/526533251.db2.gz ORNAOKKYGUGXHK-VIFPVBQESA-N 0 0 296.273 2.919 20 5 CFBDRN Cc1noc(C)c1Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000076107139 526540332 /nfs/dbraw/zinc/54/03/32/526540332.db2.gz AIQIGSRHAXYOGY-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1csc([N+](=O)[O-])c1 ZINC000049295184 526588191 /nfs/dbraw/zinc/58/81/91/526588191.db2.gz XBOIUEJWPVKHGT-VIFPVBQESA-N 0 0 266.322 2.742 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@H]2C1 ZINC000335809583 526620338 /nfs/dbraw/zinc/62/03/38/526620338.db2.gz AAPUMWMJOQLGNH-QWRGUYRKSA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(CCc1ccsc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000048737282 526633463 /nfs/dbraw/zinc/63/34/63/526633463.db2.gz BKTLLCUVDUFTKJ-UHFFFAOYSA-N 0 0 290.344 2.905 20 5 CFBDRN Cc1noc(OCc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000361149720 526642129 /nfs/dbraw/zinc/64/21/29/526642129.db2.gz SCFZJYAEZWETGK-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC(C(F)F)CC1 ZINC000336220458 526717759 /nfs/dbraw/zinc/71/77/59/526717759.db2.gz AMCGGPDHRUKGMG-UHFFFAOYSA-N 0 0 290.291 2.774 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccccc1 ZINC000049395983 526724928 /nfs/dbraw/zinc/72/49/28/526724928.db2.gz OZISUARCNSDGNS-UHFFFAOYSA-N 0 0 271.276 2.917 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCCC[C@H]1C(F)F ZINC000335001027 526778464 /nfs/dbraw/zinc/77/84/64/526778464.db2.gz WMVQWMVQIFNVFP-NSHDSACASA-N 0 0 284.262 2.855 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC(C(F)F)CC1 ZINC000336455367 526779027 /nfs/dbraw/zinc/77/90/27/526779027.db2.gz MUHHQZHDBZHIMJ-UHFFFAOYSA-N 0 0 284.262 2.712 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CS[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000335212445 526872865 /nfs/dbraw/zinc/87/28/65/526872865.db2.gz DYOMJGFTXDUOOK-POYBYMJQSA-N 0 0 286.378 2.589 20 5 CFBDRN NC(=O)C[C@H](Nc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000338782663 526908525 /nfs/dbraw/zinc/90/85/25/526908525.db2.gz LZTWXSWOMGOCBP-AWEZNQCLSA-N 0 0 285.303 2.623 20 5 CFBDRN Cc1nc(NC(=O)Cc2cccc([N+](=O)[O-])c2)sc1C ZINC000172685738 526979226 /nfs/dbraw/zinc/97/92/26/526979226.db2.gz COTMJYRPZDRZSN-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN Cc1nc(NC(=O)c2cccc([N+](=O)[O-])c2)cs1 ZINC000338134397 526993769 /nfs/dbraw/zinc/99/37/69/526993769.db2.gz BGOPUIMKJHQCEA-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3C[C@@H]3C3CC3)cc2N1 ZINC000362365103 526998743 /nfs/dbraw/zinc/99/87/43/526998743.db2.gz MSHPXORCSQVYPH-ZYHUDNBSSA-N 0 0 287.319 2.690 20 5 CFBDRN O=C(CSc1cccc([N+](=O)[O-])c1)Nc1nccs1 ZINC000337915451 527038684 /nfs/dbraw/zinc/03/86/84/527038684.db2.gz OXPLRELNGBXKIP-UHFFFAOYSA-N 0 0 295.345 2.782 20 5 CFBDRN O=C(N[C@H]1CCc2cc(F)ccc21)c1ccc([N+](=O)[O-])o1 ZINC000056314507 527071407 /nfs/dbraw/zinc/07/14/07/527071407.db2.gz LBOSZNOYARALEC-NSHDSACASA-N 0 0 290.250 2.744 20 5 CFBDRN NC(=O)c1cccc(Cn2ccc3c2cccc3[N+](=O)[O-])c1 ZINC000317456238 527083581 /nfs/dbraw/zinc/08/35/81/527083581.db2.gz ZZWKUMSZWMITJG-UHFFFAOYSA-N 0 0 295.298 2.697 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)C1CCSCC1 ZINC000334916129 527122450 /nfs/dbraw/zinc/12/24/50/527122450.db2.gz JTVHTNDHOASDBZ-UHFFFAOYSA-N 0 0 284.312 2.816 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)NC1CC(F)(F)C1 ZINC000336409687 527124643 /nfs/dbraw/zinc/12/46/43/527124643.db2.gz ONMAIRZMUOGFFX-UHFFFAOYSA-N 0 0 289.213 2.653 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccncc1F ZINC000229552542 527124822 /nfs/dbraw/zinc/12/48/22/527124822.db2.gz RCDDGZDRTMDPDI-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=C(Nc1ccc(-c2ncc[nH]2)cc1)c1ccc([N+](=O)[O-])o1 ZINC000162695521 527141447 /nfs/dbraw/zinc/14/14/47/527141447.db2.gz WKXOSQYVQLQCLF-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN Cn1cc(CCSc2cccc([N+](=O)[O-])c2)cn1 ZINC000337919778 527195093 /nfs/dbraw/zinc/19/50/93/527195093.db2.gz XWBQZEIPJMBOJA-UHFFFAOYSA-N 0 0 263.322 2.663 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000413382681 527215496 /nfs/dbraw/zinc/21/54/96/527215496.db2.gz ZHXPTZFKZSKNOF-SKDRFNHKSA-N 0 0 278.308 2.777 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC1(c2ccccc2)CC1 ZINC000338646752 527245678 /nfs/dbraw/zinc/24/56/78/527245678.db2.gz HSIIYTJTESRHHG-UHFFFAOYSA-N 0 0 296.326 2.943 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NC[C@H]1CC=CCC1 ZINC000150940510 527246874 /nfs/dbraw/zinc/24/68/74/527246874.db2.gz FHUXWCWHJTYQSB-NSHDSACASA-N 0 0 292.310 2.749 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NC[C@@H]1CC=CCC1 ZINC000150940544 527247268 /nfs/dbraw/zinc/24/72/68/527247268.db2.gz FHUXWCWHJTYQSB-LLVKDONJSA-N 0 0 292.310 2.749 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCSC1 ZINC000336028033 527261396 /nfs/dbraw/zinc/26/13/96/527261396.db2.gz UXMXTSDKURJQNH-MRVPVSSYSA-N 0 0 284.312 2.816 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@@H](C2CC2)C1 ZINC000334839465 527261944 /nfs/dbraw/zinc/26/19/44/527261944.db2.gz DCMXVVUPQNLQMO-SNVBAGLBSA-N 0 0 293.298 2.998 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H]1C[C@H]1C(F)F ZINC000337945311 527262093 /nfs/dbraw/zinc/26/20/93/527262093.db2.gz JFMYECAIDAOCAS-RQJHMYQMSA-N 0 0 274.198 2.574 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccncc1F ZINC000226219711 527263614 /nfs/dbraw/zinc/26/36/14/527263614.db2.gz BFVLPHKOPHIZGZ-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@H](C2CC2)C1 ZINC000378126479 527293952 /nfs/dbraw/zinc/29/39/52/527293952.db2.gz IJINKFZPURVORO-AWEZNQCLSA-N 0 0 288.347 2.786 20 5 CFBDRN O=C(Cc1cccs1)NCCc1ccccc1[N+](=O)[O-] ZINC000338389974 527303148 /nfs/dbraw/zinc/30/31/48/527303148.db2.gz VHXGTCICYFMFAR-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000413381964 527316297 /nfs/dbraw/zinc/31/62/97/527316297.db2.gz XQEULTFYSOTAQB-CABZTGNLSA-N 0 0 278.308 2.777 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1ccc2[nH]ccc2c1 ZINC000340868040 527323794 /nfs/dbraw/zinc/32/37/94/527323794.db2.gz BMFKFRVJDMXETB-UHFFFAOYSA-N 0 0 282.303 2.548 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1CCCC[C@@H]1O ZINC000052007272 527333896 /nfs/dbraw/zinc/33/38/96/527333896.db2.gz JVSGGVWQYGECHI-JQWIXIFHSA-N 0 0 270.716 2.964 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC[C@@H]1CCOC1 ZINC000230104834 527336686 /nfs/dbraw/zinc/33/66/86/527336686.db2.gz IVIYONAWKAWZGX-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCc2cccnc2)s1 ZINC000312325927 527340608 /nfs/dbraw/zinc/34/06/08/527340608.db2.gz YOTBYVJCYOKNAO-UHFFFAOYSA-N 0 0 287.304 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3nccc4[nH]ccc43)no2)[nH]1 ZINC000340691193 527342283 /nfs/dbraw/zinc/34/22/83/527342283.db2.gz QQJGYCDIEOOPCQ-UHFFFAOYSA-N 0 0 296.246 2.516 20 5 CFBDRN O=C(Nc1cccc(Cl)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000334819902 527343817 /nfs/dbraw/zinc/34/38/17/527343817.db2.gz LEUQQNYIVRVMJQ-UHFFFAOYSA-N 0 0 265.656 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC23CCOCC3)cc1 ZINC000364313414 527360502 /nfs/dbraw/zinc/36/05/02/527360502.db2.gz XYVTVPVGLJEOCZ-UHFFFAOYSA-N 0 0 262.309 2.744 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@H](CO)C2)c(Cl)c1 ZINC000371061696 527361329 /nfs/dbraw/zinc/36/13/29/527361329.db2.gz HCEKMJNBPAZMAW-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CCO)CC2)c2cccnc21 ZINC000097818776 527363264 /nfs/dbraw/zinc/36/32/64/527363264.db2.gz GGOQLRKRBATLKT-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCc2nc3cccnc3[nH]2)cc1 ZINC000339968117 527364001 /nfs/dbraw/zinc/36/40/01/527364001.db2.gz RFSDUSLSXCSZKW-UHFFFAOYSA-N 0 0 297.318 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCSCC2)cn1 ZINC000134762704 527364459 /nfs/dbraw/zinc/36/44/59/527364459.db2.gz URILLTWDYLZXTB-UHFFFAOYSA-N 0 0 253.327 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CCO)c2ccccc2)cn1 ZINC000354327292 527364990 /nfs/dbraw/zinc/36/49/90/527364990.db2.gz NJTWBUMNXBYWBM-CYBMUJFWSA-N 0 0 287.319 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCOC2)c2cccnc21 ZINC000236420897 527365810 /nfs/dbraw/zinc/36/58/10/527365810.db2.gz GHDCRZCPXVYCAK-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(CO)c2)c(F)c1 ZINC000071354664 527367267 /nfs/dbraw/zinc/36/72/67/527367267.db2.gz SXOFSWOWZCEIFP-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN Cc1ncccc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000073348256 527367577 /nfs/dbraw/zinc/36/75/77/527367577.db2.gz XJJZRLFXOSUFPW-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1c1ccco1 ZINC000049412325 527374693 /nfs/dbraw/zinc/37/46/93/527374693.db2.gz ZEUQRTXYARCWKB-VXGBXAGGSA-N 0 0 272.260 2.930 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000366045044 527374870 /nfs/dbraw/zinc/37/48/70/527374870.db2.gz ZRRFFPSSRMUSCN-NYRNMGCKSA-N 0 0 296.710 2.612 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC3(CCO)CC3)ccc2c1 ZINC000157245645 527379763 /nfs/dbraw/zinc/37/97/63/527379763.db2.gz IHWAQDJVSMQJDN-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccn(-c3ccccc3)n2)c1 ZINC000360347705 527385810 /nfs/dbraw/zinc/38/58/10/527385810.db2.gz GZAPIFDJHGMJFZ-UHFFFAOYSA-N 0 0 294.314 2.815 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC[C@H]1CCOC1 ZINC000310814388 527389147 /nfs/dbraw/zinc/38/91/47/527389147.db2.gz BUUJMDQOFXZBNG-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1cnn2ccccc12 ZINC000132427938 527389491 /nfs/dbraw/zinc/38/94/91/527389491.db2.gz CPQKVAIDCSMCMI-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCC1(O)CCCC1 ZINC000218217681 527389500 /nfs/dbraw/zinc/38/95/00/527389500.db2.gz DBCRQDHZHYEHKJ-UHFFFAOYSA-N 0 0 271.700 2.932 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCC1CCOCC1 ZINC000311446877 527389870 /nfs/dbraw/zinc/38/98/70/527389870.db2.gz XSKADESYPPFNQY-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCc1ccco1 ZINC000310980330 527389886 /nfs/dbraw/zinc/38/98/86/527389886.db2.gz IYRBCDCIKOBCPF-UHFFFAOYSA-N 0 0 250.229 2.982 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCCCCCO)ccnc21 ZINC000229141872 527396409 /nfs/dbraw/zinc/39/64/09/527396409.db2.gz YXDHLQMCXPYYHE-UHFFFAOYSA-N 0 0 275.308 2.718 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@@]1(CO)CCc2ccccc21 ZINC000305234632 527401030 /nfs/dbraw/zinc/40/10/30/527401030.db2.gz NCUTXJZSZZQVGS-QGZVFWFLSA-N 0 0 298.342 2.518 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H](O)c1ccsc1 ZINC000218908378 527402852 /nfs/dbraw/zinc/40/28/52/527402852.db2.gz XXBVYOJKSZUNOS-LBPRGKRZSA-N 0 0 264.306 2.802 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1COc2ccccc21 ZINC000341058789 527405254 /nfs/dbraw/zinc/40/52/54/527405254.db2.gz YITRGOVWNBOKHO-SNVBAGLBSA-N 0 0 271.276 2.578 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CCc2ccccc2N1 ZINC000078495546 527407027 /nfs/dbraw/zinc/40/70/27/527407027.db2.gz YPXUSFVKDWDPKK-GFCCVEGCSA-N 0 0 284.319 2.829 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ncc(-c3cccc(F)c3)o2)cn1 ZINC000177233384 527408425 /nfs/dbraw/zinc/40/84/25/527408425.db2.gz SWIRPDOPEMSLDH-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@@H]1CCCCS1 ZINC000158369619 527412580 /nfs/dbraw/zinc/41/25/80/527412580.db2.gz XNVHBLVVYULRLV-VIFPVBQESA-N 0 0 253.327 2.687 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSc2ncccc2Cl)c1 ZINC000153777238 527415347 /nfs/dbraw/zinc/41/53/47/527415347.db2.gz RWFGTNCOHFUHTD-UHFFFAOYSA-N 0 0 284.728 2.632 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2ncc(-c3ccccc3F)o2)c1 ZINC000150871522 527415514 /nfs/dbraw/zinc/41/55/14/527415514.db2.gz QHWCAIFIYJORSW-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN Nc1c(F)c(N[C@H]2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000340884360 527433432 /nfs/dbraw/zinc/43/34/32/527433432.db2.gz VBGILXGCGNUHTA-BQBZGAKWSA-N 0 0 257.240 2.619 20 5 CFBDRN O=C(NC1CCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000070073341 527504095 /nfs/dbraw/zinc/50/40/95/527504095.db2.gz CXVBFYBIZRDFMO-UHFFFAOYSA-N 0 0 254.673 2.531 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H]2CCCCC[C@H]21 ZINC000364980788 527520689 /nfs/dbraw/zinc/52/06/89/527520689.db2.gz MBBCNSIMJWTRKO-CMPLNLGQSA-N 0 0 289.335 2.582 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000424981808 527534025 /nfs/dbraw/zinc/53/40/25/527534025.db2.gz ZPCXNPYVCATCBO-LBPRGKRZSA-N 0 0 292.310 2.680 20 5 CFBDRN O=C(NCC12CCC(CC1)C2)c1cccc([N+](=O)[O-])c1 ZINC000339856731 527586430 /nfs/dbraw/zinc/58/64/30/527586430.db2.gz AAVLQCFLQHLIPP-UHFFFAOYSA-N 0 0 274.320 2.905 20 5 CFBDRN O=C(NCC1CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000172412271 527604241 /nfs/dbraw/zinc/60/42/41/527604241.db2.gz CMSUSSXTKFWYNM-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN Cn1nccc1CSCc1cccc([N+](=O)[O-])c1 ZINC000091026955 527614814 /nfs/dbraw/zinc/61/48/14/527614814.db2.gz UHIXJBMPBHINOQ-UHFFFAOYSA-N 0 0 263.322 2.762 20 5 CFBDRN O=C([O-])[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000050509151 527654650 /nfs/dbraw/zinc/65/46/50/527654650.db2.gz GNIDRHUWYCCBMQ-AWEZNQCLSA-N 0 0 286.287 2.510 20 5 CFBDRN Cc1nn(C)c(NCCO[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000052280822 527679172 /nfs/dbraw/zinc/67/91/72/527679172.db2.gz OJYYRYOVCLYBOM-CMPLNLGQSA-N 0 0 296.371 2.644 20 5 CFBDRN CC(C)CC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000175344689 527679694 /nfs/dbraw/zinc/67/96/94/527679694.db2.gz JAOPBNKYIYUNRF-UHFFFAOYSA-N 0 0 268.313 2.990 20 5 CFBDRN CC(C)CCCN1C(=O)c2cccc([N+](=O)[O-])c2C1=O ZINC000428063630 527703484 /nfs/dbraw/zinc/70/34/84/527703484.db2.gz MJKYEPHGQCMILH-UHFFFAOYSA-N 0 0 276.292 2.627 20 5 CFBDRN CCC1(CNc2nccc3ccc([N+](=O)[O-])cc32)COC1 ZINC000413317316 527756350 /nfs/dbraw/zinc/75/63/50/527756350.db2.gz MPZMCSRBPHBEEV-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CC(C)OC(=O)CC[C@@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413277648 527790063 /nfs/dbraw/zinc/79/00/63/527790063.db2.gz ZZRVBLOXNAIGIX-SECBINFHSA-N 0 0 299.302 2.661 20 5 CFBDRN CC1(C)[C@H](Nc2nccc3ccc([N+](=O)[O-])cc32)C[C@@H]1O ZINC000413253420 527878369 /nfs/dbraw/zinc/87/83/69/527878369.db2.gz UVRIWTKPCPGLJX-OLZOCXBDSA-N 0 0 287.319 2.714 20 5 CFBDRN CC1(CNC(=O)CCNc2ccccc2[N+](=O)[O-])CCC1 ZINC000175078771 527940396 /nfs/dbraw/zinc/94/03/96/527940396.db2.gz MSCKSKPQPHLQGM-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1c(C)cccc1[N+](=O)[O-] ZINC000431481615 528015926 /nfs/dbraw/zinc/01/59/26/528015926.db2.gz HHPRAIZKKGORDG-LBPRGKRZSA-N 0 0 293.367 2.868 20 5 CFBDRN CC(C)c1ccccc1N(C)C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000426378098 528190356 /nfs/dbraw/zinc/19/03/56/528190356.db2.gz OUDFKWNWTYUGOE-UHFFFAOYSA-N 0 0 288.307 2.718 20 5 CFBDRN CC(C)CSCCNc1ncc([N+](=O)[O-])cc1F ZINC000413214476 528226252 /nfs/dbraw/zinc/22/62/52/528226252.db2.gz VQFVZAUMYZLZBD-UHFFFAOYSA-N 0 0 273.333 2.930 20 5 CFBDRN CCCCN(CCOC)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153745653 528440344 /nfs/dbraw/zinc/44/03/44/528440344.db2.gz STIHKTMIUCYAJP-UHFFFAOYSA-N 0 0 287.747 2.896 20 5 CFBDRN CC(C)c1noc(CCCNc2ncc([N+](=O)[O-])s2)n1 ZINC000195511202 528497790 /nfs/dbraw/zinc/49/77/90/528497790.db2.gz MMISYLJGCZFGPT-UHFFFAOYSA-N 0 0 297.340 2.602 20 5 CFBDRN CC(C)c1noc(CSc2ccc([N+](=O)[O-])cn2)n1 ZINC000178753858 528503070 /nfs/dbraw/zinc/50/30/70/528503070.db2.gz WPMDDBQJOJNBMP-UHFFFAOYSA-N 0 0 280.309 2.789 20 5 CFBDRN CCC(C)(C)OCCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000308512289 528593512 /nfs/dbraw/zinc/59/35/12/528593512.db2.gz KRVWZMPHBGSOLY-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN CCN(Cc1occc1C)c1ccc([N+](=O)[O-])nc1 ZINC000413556437 528918480 /nfs/dbraw/zinc/91/84/80/528918480.db2.gz QDGIPUBOSOXPGB-UHFFFAOYSA-N 0 0 261.281 2.918 20 5 CFBDRN CCC1(C(=O)NCCNc2ccccc2[N+](=O)[O-])CCC1 ZINC000176572737 528996040 /nfs/dbraw/zinc/99/60/40/528996040.db2.gz OKFWJKQZVTYCEP-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CCN(C(=O)Nc1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000105777958 529024562 /nfs/dbraw/zinc/02/45/62/529024562.db2.gz GDCXYWNDEAERRQ-UHFFFAOYSA-N 0 0 263.297 2.919 20 5 CFBDRN CC1(C)CN(C(=O)c2csc([N+](=O)[O-])c2)CC(C)(C)O1 ZINC000188991459 529110833 /nfs/dbraw/zinc/11/08/33/529110833.db2.gz CXAJWNNBNJAXFS-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN CCn1ccc(CNc2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000413489730 529175870 /nfs/dbraw/zinc/17/58/70/529175870.db2.gz HJOFQWNIHFVPKN-UHFFFAOYSA-N 0 0 282.250 2.702 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157352925 529336736 /nfs/dbraw/zinc/33/67/36/529336736.db2.gz WASAUEDZUUHXOP-ZJUUUORDSA-N 0 0 279.340 2.732 20 5 CFBDRN CC/C=C\CNC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000452617387 535247500 /nfs/dbraw/zinc/24/75/00/535247500.db2.gz XCLUFKLIHMNFED-ARJAWSKDSA-N 0 0 287.319 2.632 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCC[C@@H]2C(C)C)c1[N+](=O)[O-] ZINC000269449458 535321130 /nfs/dbraw/zinc/32/11/30/535321130.db2.gz QXSIGMYPOCAEGT-SNVBAGLBSA-N 0 0 294.355 2.702 20 5 CFBDRN CCOCC(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000341782715 535420441 /nfs/dbraw/zinc/42/04/41/535420441.db2.gz JQBIRLOCUJYTCP-UHFFFAOYSA-N 0 0 280.324 2.867 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000463134081 535481392 /nfs/dbraw/zinc/48/13/92/535481392.db2.gz HQJGHHIQEXLDEU-MWLCHTKSSA-N 0 0 278.308 2.978 20 5 CFBDRN CCC[C@H](C)[C@@H]1CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000268999552 535655931 /nfs/dbraw/zinc/65/59/31/535655931.db2.gz TXYBKJZKMJPHIM-ONGXEEELSA-N 0 0 294.355 2.667 20 5 CFBDRN CCOCc1cccc(Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000263747341 535720110 /nfs/dbraw/zinc/72/01/10/535720110.db2.gz DZNQBJFZOVTLJL-UHFFFAOYSA-N 0 0 276.296 2.608 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1C)[C@@H](O)CC ZINC000454210625 535796748 /nfs/dbraw/zinc/79/67/48/535796748.db2.gz ZYIFBWWBHFCMNY-MFKMUULPSA-N 0 0 295.339 2.574 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000453001269 535822585 /nfs/dbraw/zinc/82/25/85/535822585.db2.gz PJZWUVRKXHHJMP-ZJUUUORDSA-N 0 0 266.297 2.744 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)C(F)F ZINC000452252412 535867389 /nfs/dbraw/zinc/86/73/89/535867389.db2.gz VIUUTDIMXLQJRY-JTQLQIEISA-N 0 0 272.251 2.677 20 5 CFBDRN CC[C@H](NC(=O)c1ocnc1C)c1cccc([N+](=O)[O-])c1 ZINC000487829314 535891819 /nfs/dbraw/zinc/89/18/19/535891819.db2.gz AVSADOFIOLGDFL-LBPRGKRZSA-N 0 0 289.291 2.772 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000452761522 535916005 /nfs/dbraw/zinc/91/60/05/535916005.db2.gz AUXBPAFCZKBQQN-MNOVXSKESA-N 0 0 262.309 2.679 20 5 CFBDRN CN(C(=O)/C=C/C1CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000493116331 535931121 /nfs/dbraw/zinc/93/11/21/535931121.db2.gz BCSLOUDHFCQLQB-RMKNXTFCSA-N 0 0 275.308 2.699 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H](CC)C2CCCC2)c1[N+](=O)[O-] ZINC000456665357 536006189 /nfs/dbraw/zinc/00/61/89/536006189.db2.gz RZVDZBWBPBPXDR-SNVBAGLBSA-N 0 0 294.355 2.579 20 5 CFBDRN CCc1ccnc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])c1 ZINC000437733973 536010991 /nfs/dbraw/zinc/01/09/91/536010991.db2.gz HTMCTFXATJRTLE-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CN(Cc1cscn1)c1c(F)cccc1[N+](=O)[O-] ZINC000341818077 536486038 /nfs/dbraw/zinc/48/60/38/536486038.db2.gz GOMMLCOANSYUSV-UHFFFAOYSA-N 0 0 267.285 2.827 20 5 CFBDRN COc1cc(N2CCC=C(C)C2)c(F)cc1[N+](=O)[O-] ZINC000280722455 536826079 /nfs/dbraw/zinc/82/60/79/536826079.db2.gz IZOXVJDMNLUWDN-UHFFFAOYSA-N 0 0 266.272 2.899 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1CC1(C)C ZINC000444902448 536887032 /nfs/dbraw/zinc/88/70/32/536887032.db2.gz MASTYUVVXNXRIR-SECBINFHSA-N 0 0 293.323 2.771 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H](C)C(C)C ZINC000341771863 536951045 /nfs/dbraw/zinc/95/10/45/536951045.db2.gz GLVVTIBGICBPSL-JTQLQIEISA-N 0 0 280.324 2.720 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CC23CCCC3)c1[N+](=O)[O-] ZINC000444405219 537085889 /nfs/dbraw/zinc/08/58/89/537085889.db2.gz YCXCLWLXNRLUAS-GFCCVEGCSA-N 0 0 290.319 2.666 20 5 CFBDRN COc1cccc(C(=O)N[C@H](C)CCC2CC2)c1[N+](=O)[O-] ZINC000436014525 537087586 /nfs/dbraw/zinc/08/75/86/537087586.db2.gz FHDJHSJHCFKTSO-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CC1=CCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000935084379 649872770 /nfs/dbraw/zinc/87/27/70/649872770.db2.gz VENYOYMDVYSHRT-UHFFFAOYSA-N 0 0 292.310 2.845 20 5 CFBDRN CC1(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)CC=CC1 ZINC000935099949 649874992 /nfs/dbraw/zinc/87/49/92/649874992.db2.gz OQBONAKAJJOFOF-UHFFFAOYSA-N 0 0 286.331 2.836 20 5 CFBDRN Cc1cnc(C(=O)NCCc2cccc([N+](=O)[O-])c2)cc1C ZINC000935116660 649877204 /nfs/dbraw/zinc/87/72/04/649877204.db2.gz CDBMBZTYHZKEJT-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000935128398 649877992 /nfs/dbraw/zinc/87/79/92/649877992.db2.gz HJIROATULLTSCY-GDLCADMTSA-N 0 0 299.330 2.904 20 5 CFBDRN CCN(CC(F)F)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935370535 649902273 /nfs/dbraw/zinc/90/22/73/649902273.db2.gz PQGMSPQEAVJMJK-VXGBXAGGSA-N 0 0 298.289 2.812 20 5 CFBDRN C[C@@H]1CC(OC(=O)c2cc([N+](=O)[O-])cn2C)C[C@@H](C)C1 ZINC000744212881 574061452 /nfs/dbraw/zinc/06/14/52/574061452.db2.gz NBXXGNBVKNPYDX-UWVGGRQHSA-N 0 0 280.324 2.915 20 5 CFBDRN CSc1ccc(C(=O)O[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000727772776 574064345 /nfs/dbraw/zinc/06/43/45/574064345.db2.gz NSTCAGXGKBBAOZ-SECBINFHSA-N 0 0 299.373 2.979 20 5 CFBDRN COc1cc(C(=O)OCCC2CC2)c([N+](=O)[O-])cc1OC ZINC000744287119 574064811 /nfs/dbraw/zinc/06/48/11/574064811.db2.gz SJHRJBIKUZEVEJ-UHFFFAOYSA-N 0 0 295.291 2.569 20 5 CFBDRN Cc1csc(COC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000744311477 574066072 /nfs/dbraw/zinc/06/60/72/574066072.db2.gz NIPVSSJXUDLKCN-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1csc(COC(=O)Cc2ccccc2[N+](=O)[O-])n1 ZINC000744312836 574066485 /nfs/dbraw/zinc/06/64/85/574066485.db2.gz GWWQCAKLDNCFIH-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN CCC(C)(C)CCOC(=O)c1ccc([N+](=O)[O-])n1C ZINC000744340478 574069191 /nfs/dbraw/zinc/06/91/91/574069191.db2.gz SCWBKHDPXISVKQ-UHFFFAOYSA-N 0 0 268.313 2.916 20 5 CFBDRN Cc1cnc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)cn1 ZINC000754109847 574073920 /nfs/dbraw/zinc/07/39/20/574073920.db2.gz PNDGWAMHAQXCFZ-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCC(=O)C2CCC2)c1[N+](=O)[O-] ZINC000754112855 574073993 /nfs/dbraw/zinc/07/39/93/574073993.db2.gz DOTQCQAYDWGBSZ-UHFFFAOYSA-N 0 0 295.266 2.568 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)C1CC(F)(F)C1 ZINC000754121896 574075696 /nfs/dbraw/zinc/07/56/96/574075696.db2.gz MYFIWTWWHZNOGX-UHFFFAOYSA-N 0 0 285.246 2.726 20 5 CFBDRN Cc1c(C(=O)OCC(=O)C2CCCC2)cccc1[N+](=O)[O-] ZINC000744495146 574078395 /nfs/dbraw/zinc/07/83/95/574078395.db2.gz GCZZAZMSZMBACG-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@]1(C)CCOC1 ZINC000754288690 574087044 /nfs/dbraw/zinc/08/70/44/574087044.db2.gz BTPXTQRMQONLRR-CYBMUJFWSA-N 0 0 299.710 2.889 20 5 CFBDRN Cc1ccc(CC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000754348494 574090198 /nfs/dbraw/zinc/09/01/98/574090198.db2.gz HASSSAGVARHAKF-UHFFFAOYSA-N 0 0 279.223 2.783 20 5 CFBDRN O=C(CC[C@H]1CCOC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000751247648 574099013 /nfs/dbraw/zinc/09/90/13/574099013.db2.gz HGVQXSHKECQUOD-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)C(C)C)c1 ZINC000730845498 574100438 /nfs/dbraw/zinc/10/04/38/574100438.db2.gz YVCSULNNBKCRJL-UHFFFAOYSA-N 0 0 297.332 2.699 20 5 CFBDRN CC[C@H](CCOC)OC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000754581810 574104849 /nfs/dbraw/zinc/10/48/49/574104849.db2.gz NHBLWNYSJGGLDI-GFCCVEGCSA-N 0 0 285.271 2.706 20 5 CFBDRN NC(Cc1cccs1)=NOCc1ccccc1[N+](=O)[O-] ZINC000731029522 574106951 /nfs/dbraw/zinc/10/69/51/574106951.db2.gz VRVKYNGTMGPGRR-UHFFFAOYSA-N 0 0 291.332 2.897 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000754591751 574107057 /nfs/dbraw/zinc/10/70/57/574107057.db2.gz UYTOQRSGVYTANT-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN COCCCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000728767341 574107263 /nfs/dbraw/zinc/10/72/63/574107263.db2.gz FGNVHEFXRDARBD-UHFFFAOYSA-N 0 0 293.275 2.924 20 5 CFBDRN COCC[C@H](C)OC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000754605580 574108430 /nfs/dbraw/zinc/10/84/30/574108430.db2.gz HBEGAMYDECUBTD-VIFPVBQESA-N 0 0 299.348 2.899 20 5 CFBDRN Cc1ncccc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000731093817 574108973 /nfs/dbraw/zinc/10/89/73/574108973.db2.gz BWLONIXCWQFUCC-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1Cc2ccccc21 ZINC000745376053 574111376 /nfs/dbraw/zinc/11/13/76/574111376.db2.gz JQMWPDSMVPZSOG-CQSZACIVSA-N 0 0 283.283 2.978 20 5 CFBDRN Cc1nnc(CNc2nc3ccc([N+](=O)[O-])cc3s2)o1 ZINC000731206581 574112724 /nfs/dbraw/zinc/11/27/24/574112724.db2.gz MYEPEBVPGWKVBD-UHFFFAOYSA-N 0 0 291.292 2.508 20 5 CFBDRN Cc1cncc(C(=O)OCc2csc([N+](=O)[O-])c2)c1 ZINC000745508629 574114399 /nfs/dbraw/zinc/11/43/99/574114399.db2.gz XBDMFYIJWBRZDX-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC(C)=CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000728810939 574115499 /nfs/dbraw/zinc/11/54/99/574115499.db2.gz XVNHTGGOIYMXCT-SNVBAGLBSA-N 0 0 260.293 2.839 20 5 CFBDRN Cc1c(COC(=O)/C=C/C2CC2)cccc1[N+](=O)[O-] ZINC000745552006 574116176 /nfs/dbraw/zinc/11/61/76/574116176.db2.gz BZHIOZFOVDZPRX-BQYQJAHWSA-N 0 0 261.277 2.913 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000731393261 574119006 /nfs/dbraw/zinc/11/90/06/574119006.db2.gz YPCKLODVNRWSAC-SECBINFHSA-N 0 0 283.349 2.946 20 5 CFBDRN CC1CCC(COC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000754771489 574119524 /nfs/dbraw/zinc/11/95/24/574119524.db2.gz XLQLPOQTUNDYBC-UHFFFAOYSA-N 0 0 280.324 2.916 20 5 CFBDRN Nc1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])c(F)c1 ZINC000754955856 574129211 /nfs/dbraw/zinc/12/92/11/574129211.db2.gz LNZWPFJLHISGPH-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN CO[C@@H](C)COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754988527 574130929 /nfs/dbraw/zinc/13/09/29/574130929.db2.gz NZAKYAYHENJEAL-LURJTMIESA-N 0 0 291.662 2.579 20 5 CFBDRN CSCCOC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754981625 574130974 /nfs/dbraw/zinc/13/09/74/574130974.db2.gz IVOVBSZNIALPPK-UHFFFAOYSA-N 0 0 293.703 2.907 20 5 CFBDRN CCc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000746047019 574132350 /nfs/dbraw/zinc/13/23/50/574132350.db2.gz IJOQQPXOIRNWSZ-JTQLQIEISA-N 0 0 274.324 2.731 20 5 CFBDRN CC(C)c1ocnc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000731982515 574138372 /nfs/dbraw/zinc/13/83/72/574138372.db2.gz QXCGOUNFXVHCLE-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN Cc1cc(C)c(OC(=O)Cc2cccnc2)c([N+](=O)[O-])c1 ZINC000731963618 574138539 /nfs/dbraw/zinc/13/85/39/574138539.db2.gz PJNQEAGFTMFVCW-UHFFFAOYSA-N 0 0 286.287 2.755 20 5 CFBDRN Cc1cc(F)ccc1OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000755124205 574140691 /nfs/dbraw/zinc/14/06/91/574140691.db2.gz HEKOCVVEOIPWBM-UHFFFAOYSA-N 0 0 290.250 2.844 20 5 CFBDRN COC[C@@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000755283271 574151715 /nfs/dbraw/zinc/15/17/15/574151715.db2.gz VLEMBFWISGCPCY-QMMMGPOBSA-N 0 0 286.715 2.859 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OS(=O)(=O)C1CCCCC1 ZINC000755288877 574152193 /nfs/dbraw/zinc/15/21/93/574152193.db2.gz YXSNUQWVORWIJJ-UHFFFAOYSA-N 0 0 299.348 2.945 20 5 CFBDRN CCC/C=C/C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000737870982 574153868 /nfs/dbraw/zinc/15/38/68/574153868.db2.gz QSHINOAUUGLRCB-XBXARRHUSA-N 0 0 260.293 2.840 20 5 CFBDRN CC[C@H](NC(=O)C1(CC)COC1)c1cccc([N+](=O)[O-])c1 ZINC000755377943 574157957 /nfs/dbraw/zinc/15/79/57/574157957.db2.gz AGFRLVGTDWXKCW-ZDUSSCGKSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ccc(COC(=O)c2cc([N+](=O)[O-])cn2C)s1 ZINC000755404473 574160061 /nfs/dbraw/zinc/16/00/61/574160061.db2.gz SSVHMOOBQQHMCF-UHFFFAOYSA-N 0 0 280.305 2.660 20 5 CFBDRN CC[C@@H]1COCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746671364 574161854 /nfs/dbraw/zinc/16/18/54/574161854.db2.gz JWJOUAQAAONMOR-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1sc(C(=O)OCC2CCOCC2)cc1[N+](=O)[O-] ZINC000732596689 574162684 /nfs/dbraw/zinc/16/26/84/574162684.db2.gz FOSFFGLZBVZSDT-UHFFFAOYSA-N 0 0 285.321 2.548 20 5 CFBDRN O=C(/C=C\C1CCOCC1)OCc1ccc([N+](=O)[O-])cc1 ZINC000732627029 574163610 /nfs/dbraw/zinc/16/36/10/574163610.db2.gz DWZKZBLEOYLOSG-UTCJRWHESA-N 0 0 291.303 2.621 20 5 CFBDRN CCOc1cc(COC(=O)[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000755510466 574166478 /nfs/dbraw/zinc/16/64/78/574166478.db2.gz LGORIQHTFIKGMW-MWLCHTKSSA-N 0 0 279.292 2.693 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000732724715 574168982 /nfs/dbraw/zinc/16/89/82/574168982.db2.gz HKLVPJJNIDSKTK-SECBINFHSA-N 0 0 279.365 2.927 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)CCSC ZINC000729076828 574169219 /nfs/dbraw/zinc/16/92/19/574169219.db2.gz KMGLKWAZVDYGSJ-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000729087888 574172644 /nfs/dbraw/zinc/17/26/44/574172644.db2.gz UJZXVFWMYSTQBO-PWSUYJOCSA-N 0 0 288.303 2.749 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746973252 574173603 /nfs/dbraw/zinc/17/36/03/574173603.db2.gz OKBIGEPRFQBFDI-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN O=C(CC1CCOCC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000746988243 574174510 /nfs/dbraw/zinc/17/45/10/574174510.db2.gz ZZTILJIHXTVQIC-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1cccc(C)c1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000738603326 574175758 /nfs/dbraw/zinc/17/57/58/574175758.db2.gz VTLRCOQGVYOQEE-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN COCC[C@H](C)OC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755747500 574184215 /nfs/dbraw/zinc/18/42/15/574184215.db2.gz LFRXLEBIRSSVJG-IIANPFDCSA-N 0 0 293.319 2.966 20 5 CFBDRN CCCCOCCNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000747212340 574187639 /nfs/dbraw/zinc/18/76/39/574187639.db2.gz NEZITUKPRBEPOM-UHFFFAOYSA-N 0 0 286.303 2.971 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCC1CCC1 ZINC000755834289 574191179 /nfs/dbraw/zinc/19/11/79/574191179.db2.gz IGUSURMOPNPFKL-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H]1CC[C@@H](C)C1 ZINC000755835088 574191300 /nfs/dbraw/zinc/19/13/00/574191300.db2.gz VQCYFMOINXQKBQ-MWLCHTKSSA-N 0 0 279.292 2.949 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC=C(C)C ZINC000755835826 574191495 /nfs/dbraw/zinc/19/14/95/574191495.db2.gz DYHSIBONBZFETE-UHFFFAOYSA-N 0 0 265.265 2.726 20 5 CFBDRN O=C(NCc1ccon1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000747534424 574200741 /nfs/dbraw/zinc/20/07/41/574200741.db2.gz CCTMSMRTSDVIMU-UHFFFAOYSA-N 0 0 296.670 2.558 20 5 CFBDRN C[C@H](c1ccccn1)N(C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000733188691 574209502 /nfs/dbraw/zinc/20/95/02/574209502.db2.gz LBLNZDVNONATBO-LLVKDONJSA-N 0 0 297.318 2.835 20 5 CFBDRN CC[C@H](CCO)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000756123277 574211250 /nfs/dbraw/zinc/21/12/50/574211250.db2.gz ZYTUSBPPFOYWFG-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN Cc1noc(C)c1CCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000756145420 574213599 /nfs/dbraw/zinc/21/35/99/574213599.db2.gz LQVDHRUZLOMWKO-UHFFFAOYSA-N 0 0 296.304 2.661 20 5 CFBDRN Cc1ncoc1C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000747821506 574214184 /nfs/dbraw/zinc/21/41/84/574214184.db2.gz CEOLRBOLWMKAJH-SECBINFHSA-N 0 0 276.248 2.809 20 5 CFBDRN C[C@@H](OC(=O)Cn1ccc([N+](=O)[O-])n1)C1CCCCCC1 ZINC000756166504 574214782 /nfs/dbraw/zinc/21/47/82/574214782.db2.gz PPCDPFRSBCBUSX-LLVKDONJSA-N 0 0 295.339 2.693 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c(C)c1)C(F)(F)F ZINC000733302847 574216161 /nfs/dbraw/zinc/21/61/61/574216161.db2.gz SYGKEQOILQFPKM-SNVBAGLBSA-N 0 0 278.230 2.892 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000733302657 574216264 /nfs/dbraw/zinc/21/62/64/574216264.db2.gz KBBQGABZTBBVMA-RXMQYKEDSA-N 0 0 270.232 2.646 20 5 CFBDRN O=C(C[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000739552252 574218515 /nfs/dbraw/zinc/21/85/15/574218515.db2.gz TWWDACCRSDIANK-MRVPVSSYSA-N 0 0 284.699 2.613 20 5 CFBDRN Cc1cc(C(=O)NCC2CCCCC2)c(N)c([N+](=O)[O-])c1 ZINC000729509760 574221247 /nfs/dbraw/zinc/22/12/47/574221247.db2.gz FSNMNUURCLJCEW-UHFFFAOYSA-N 0 0 291.351 2.796 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)C[NH2+]CC(C)(C)C(=O)[O-] ZINC000756307975 574224094 /nfs/dbraw/zinc/22/40/94/574224094.db2.gz RIPZTLFOYWBGHG-XFFZJAGNSA-N 0 0 292.335 2.699 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C[C@H]1CCOC1 ZINC000739734054 574224198 /nfs/dbraw/zinc/22/41/98/574224198.db2.gz SMJCAEUCHCBIKA-SECBINFHSA-N 0 0 298.726 2.922 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000756348632 574227586 /nfs/dbraw/zinc/22/75/86/574227586.db2.gz ZFEWBRKIVUJREP-QMMMGPOBSA-N 0 0 281.264 2.526 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000756352172 574228061 /nfs/dbraw/zinc/22/80/61/574228061.db2.gz JYJHCSPZDGZBOH-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C(F)(F)F)C2)c(F)c1 ZINC000739897790 574228195 /nfs/dbraw/zinc/22/81/95/574228195.db2.gz RSHCRADUFXVQLW-JTQLQIEISA-N 0 0 294.204 2.501 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000748109927 574229744 /nfs/dbraw/zinc/22/97/44/574229744.db2.gz WLKOTEFOZNYGJW-QMMMGPOBSA-N 0 0 281.264 2.669 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000748109926 574229752 /nfs/dbraw/zinc/22/97/52/574229752.db2.gz WLKOTEFOZNYGJW-MRVPVSSYSA-N 0 0 281.264 2.669 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 ZINC000748119799 574230132 /nfs/dbraw/zinc/23/01/32/574230132.db2.gz LHSWQMHKLGJOCR-SECBINFHSA-N 0 0 295.291 2.711 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 ZINC000748119800 574230164 /nfs/dbraw/zinc/23/01/64/574230164.db2.gz LHSWQMHKLGJOCR-VIFPVBQESA-N 0 0 295.291 2.711 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000756396749 574233688 /nfs/dbraw/zinc/23/36/88/574233688.db2.gz KSMAAEIPBCWXJA-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN Cc1ocnc1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000729892718 574235755 /nfs/dbraw/zinc/23/57/55/574235755.db2.gz IEZDUJKOCBPJLU-QMMMGPOBSA-N 0 0 276.248 2.809 20 5 CFBDRN CC/C=C(\C)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000729895317 574235937 /nfs/dbraw/zinc/23/59/37/574235937.db2.gz XCCUBOFIYOPFFY-LFYBBSHMSA-N 0 0 292.335 2.931 20 5 CFBDRN CC/C=C/CCOC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000729892472 574235944 /nfs/dbraw/zinc/23/59/44/574235944.db2.gz XHJVXTQQBZHGKL-SNAWJCMRSA-N 0 0 264.281 2.811 20 5 CFBDRN Cc1nc(C)c(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000748205477 574239811 /nfs/dbraw/zinc/23/98/11/574239811.db2.gz GKLZBFBRTWECTI-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN C[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000748237892 574241975 /nfs/dbraw/zinc/24/19/75/574241975.db2.gz QJACOESXRGTRDY-SSDOTTSWSA-N 0 0 277.198 2.950 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000733577901 574246439 /nfs/dbraw/zinc/24/64/39/574246439.db2.gz KRNBBKUUYUZTIP-NSHDSACASA-N 0 0 281.308 2.809 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000733581517 574248024 /nfs/dbraw/zinc/24/80/24/574248024.db2.gz VUTOJIUQCIUIHB-LLVKDONJSA-N 0 0 281.308 2.809 20 5 CFBDRN CCOCCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000740561413 574256003 /nfs/dbraw/zinc/25/60/03/574256003.db2.gz FSGDFNGEJWJIEV-UHFFFAOYSA-N 0 0 295.335 2.842 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000740870653 574271764 /nfs/dbraw/zinc/27/17/64/574271764.db2.gz HMFYKPXRCUGXNZ-NXEZZACHSA-N 0 0 289.335 2.714 20 5 CFBDRN C/C=C\COC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C ZINC000748948014 574274791 /nfs/dbraw/zinc/27/47/91/574274791.db2.gz OQURUVGXSFELQK-PLNGDYQASA-N 0 0 294.263 2.853 20 5 CFBDRN CC1(C)CCC[C@H](COC(=O)Cn2ccc([N+](=O)[O-])n2)C1 ZINC000749009656 574276360 /nfs/dbraw/zinc/27/63/60/574276360.db2.gz FKYVWGIXMBZEIP-NSHDSACASA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@@H](OC(=O)CC1(O)CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000740981979 574276958 /nfs/dbraw/zinc/27/69/58/574276958.db2.gz VFHQGSMJKOKYLT-LLVKDONJSA-N 0 0 293.319 2.894 20 5 CFBDRN CCc1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])n[nH]1 ZINC000749058054 574278139 /nfs/dbraw/zinc/27/81/39/574278139.db2.gz WEHYVNIXSNRIJT-SECBINFHSA-N 0 0 289.291 2.798 20 5 CFBDRN C[C@H](OC(=O)C1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000749059160 574278690 /nfs/dbraw/zinc/27/86/90/574278690.db2.gz ZHCGULZPVDGMLN-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)C1CC=CC1 ZINC000741042655 574279528 /nfs/dbraw/zinc/27/95/28/574279528.db2.gz WUGWSKZXOMVOIN-UHFFFAOYSA-N 0 0 253.279 2.666 20 5 CFBDRN COC(=O)/C=C/c1ccc(NCCC2CC2)c([N+](=O)[O-])c1 ZINC000742422811 574280634 /nfs/dbraw/zinc/28/06/34/574280634.db2.gz IVFLDYMZPYONRZ-FNORWQNLSA-N 0 0 290.319 2.993 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H](CCO)C2CC2)ccc2ncccc21 ZINC000741243336 574284444 /nfs/dbraw/zinc/28/44/44/574284444.db2.gz XPHNRXONXKXXKI-GFCCVEGCSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1ccnc(NC[C@H]2COc3ccccc3C2)c1[N+](=O)[O-] ZINC000734481312 574288429 /nfs/dbraw/zinc/28/84/29/574288429.db2.gz OTGTWVPEJFKVJZ-LBPRGKRZSA-N 0 0 299.330 2.961 20 5 CFBDRN CNc1ccc(C(=O)OCC2CCC2)cc1[N+](=O)[O-] ZINC000741830509 574305783 /nfs/dbraw/zinc/30/57/83/574305783.db2.gz ZBYJZMMGMGXSFC-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN O=C(OCC(F)(F)C(F)F)c1ccc([N+](=O)[O-])s1 ZINC000741829996 574305822 /nfs/dbraw/zinc/30/58/22/574305822.db2.gz KQAOGWDCPZETBD-UHFFFAOYSA-N 0 0 287.190 2.714 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC2CCCCCCC2)c1[N+](=O)[O-] ZINC000749734940 574306247 /nfs/dbraw/zinc/30/62/47/574306247.db2.gz UKBWUNZNPYMKJZ-UHFFFAOYSA-N 0 0 294.355 2.717 20 5 CFBDRN CC[C@H](OC)C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734894589 574307012 /nfs/dbraw/zinc/30/70/12/574307012.db2.gz IXWHRQFEPMBSJP-NSHDSACASA-N 0 0 287.699 2.716 20 5 CFBDRN CC[C@H](C)NC(=S)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000749763471 574307809 /nfs/dbraw/zinc/30/78/09/574307809.db2.gz GUTKTKALJGWLBU-VHSXEESVSA-N 0 0 281.381 2.918 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1Cc2ccccc21 ZINC000741886190 574309070 /nfs/dbraw/zinc/30/90/70/574309070.db2.gz AKJDVDDGRHQOSZ-CYBMUJFWSA-N 0 0 299.282 2.849 20 5 CFBDRN COc1ccc(OC(=O)c2cnccc2C)c([N+](=O)[O-])c1 ZINC000741885236 574309148 /nfs/dbraw/zinc/30/91/48/574309148.db2.gz XEFKIUPPGYRSOV-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN O=C(/C=C/c1ccco1)NCCc1ccccc1[N+](=O)[O-] ZINC000742007671 574313900 /nfs/dbraw/zinc/31/39/00/574313900.db2.gz PNIYKPNACIOPKY-BQYQJAHWSA-N 0 0 286.287 2.560 20 5 CFBDRN Cc1cc(C(=O)OC2([C@H]3CCOC3)CC2)cc([N+](=O)[O-])c1 ZINC000744162215 574317175 /nfs/dbraw/zinc/31/71/75/574317175.db2.gz LZPSCXXVPMJYDO-LBPRGKRZSA-N 0 0 291.303 2.629 20 5 CFBDRN CNc1ccc(C(=O)OCCC2CC2)cc1[N+](=O)[O-] ZINC000744284295 574323473 /nfs/dbraw/zinc/32/34/73/574323473.db2.gz HVNARJSSWKIEDF-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN Cc1ccc(CCOC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000735401821 574323844 /nfs/dbraw/zinc/32/38/44/574323844.db2.gz UAHYXCDQKGCVES-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(-n3ccnc3)cc2)cn1 ZINC000735486640 574326717 /nfs/dbraw/zinc/32/67/17/574326717.db2.gz FTXGYISOMJDJJS-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN COc1c(C)cnc(CNc2ccc([N+](=O)[O-])nc2)c1C ZINC000735486656 574326742 /nfs/dbraw/zinc/32/67/42/574326742.db2.gz GINOHZSLWKXJBD-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN C[C@@](O)(CNc1ccsc1[N+](=O)[O-])c1ccco1 ZINC000742414335 574329066 /nfs/dbraw/zinc/32/90/66/574329066.db2.gz LQLPXDCYKONMAG-LLVKDONJSA-N 0 0 268.294 2.569 20 5 CFBDRN C[C@@H](Cc1ccccc1)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000750410836 574338611 /nfs/dbraw/zinc/33/86/11/574338611.db2.gz JDIPNUIKVXTNOF-NSHDSACASA-N 0 0 288.303 2.721 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000742746671 574342792 /nfs/dbraw/zinc/34/27/92/574342792.db2.gz ZADYYJOEMCGIDR-YPMHNXCESA-N 0 0 294.376 2.917 20 5 CFBDRN Cc1[nH]ccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000750527710 574345502 /nfs/dbraw/zinc/34/55/02/574345502.db2.gz VENQWMAXGBVHNX-UHFFFAOYSA-N 0 0 260.249 2.588 20 5 CFBDRN CC(C)SCC(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000742876020 574346844 /nfs/dbraw/zinc/34/68/44/574346844.db2.gz SEKGIOOYWUJWTA-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN O=C(OCC(F)F)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750536080 574346962 /nfs/dbraw/zinc/34/69/62/574346962.db2.gz PDADEKBKIOPPJM-UHFFFAOYSA-N 0 0 265.599 2.670 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000736057379 574349287 /nfs/dbraw/zinc/34/92/87/574349287.db2.gz CIZUVNXZZWFYBN-QMMMGPOBSA-N 0 0 286.328 2.605 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)COC(C)C ZINC000736159017 574352673 /nfs/dbraw/zinc/35/26/73/574352673.db2.gz QSXRBHNZRQLBQH-UHFFFAOYSA-N 0 0 286.715 2.920 20 5 CFBDRN Cc1c(NC(=O)NCCOC2CCC2)cccc1[N+](=O)[O-] ZINC000743070255 574353253 /nfs/dbraw/zinc/35/32/53/574353253.db2.gz DNLQPYQDZPWDGR-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN O=C(OCCOC1CCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000750770970 574354424 /nfs/dbraw/zinc/35/44/24/574354424.db2.gz JQSJDWYZJBIKHO-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN CSCC[C@H](C)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000736299772 574360826 /nfs/dbraw/zinc/36/08/26/574360826.db2.gz VLJDTLUGKUOASZ-VIFPVBQESA-N 0 0 280.353 2.796 20 5 CFBDRN O=C(O[C@@H]1CCCCC1=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000725936768 574372501 /nfs/dbraw/zinc/37/25/01/574372501.db2.gz JGBOJRXOLIPVJO-GFCCVEGCSA-N 0 0 299.229 2.542 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751626607 574380267 /nfs/dbraw/zinc/38/02/67/574380267.db2.gz BXVCETNZMUUPLZ-IAROGAJJSA-N 0 0 288.259 2.912 20 5 CFBDRN CC(C)CC(=O)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000751661651 574380756 /nfs/dbraw/zinc/38/07/56/574380756.db2.gz DDJKZRTTWCLVMM-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN O=C(CC[C@H]1CCOC1)OCc1csc([N+](=O)[O-])c1 ZINC000751703754 574381182 /nfs/dbraw/zinc/38/11/82/574381182.db2.gz LUMFRRBXDYLBBB-VIFPVBQESA-N 0 0 285.321 2.516 20 5 CFBDRN COc1ccc(COC(=O)[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000751802097 574383150 /nfs/dbraw/zinc/38/31/50/574383150.db2.gz NYUXLNQTPSMSGD-SNVBAGLBSA-N 0 0 279.292 2.693 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@@H]2C)c1 ZINC000751960852 574385776 /nfs/dbraw/zinc/38/57/76/574385776.db2.gz NDUFKVMXVHPJJT-QMMMGPOBSA-N 0 0 254.261 2.731 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])cc2C(=O)NC2CC2)CC1 ZINC000751967277 574386034 /nfs/dbraw/zinc/38/60/34/574386034.db2.gz ITELMKNGOJQFMT-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN COc1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2C)n1 ZINC000752279749 574389832 /nfs/dbraw/zinc/38/98/32/574389832.db2.gz CNOAKQDXASKUGG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000752355276 574390523 /nfs/dbraw/zinc/39/05/23/574390523.db2.gz PJUWACCYVOVPHR-ANYFNZRUSA-N 0 0 277.276 2.519 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCC2CCC2)c1[N+](=O)[O-] ZINC000752558967 574392708 /nfs/dbraw/zinc/39/27/08/574392708.db2.gz YLOAFTVRZPPESQ-UHFFFAOYSA-N 0 0 267.256 2.999 20 5 CFBDRN Cc1c(C(=O)Nc2cccc3nonc32)cccc1[N+](=O)[O-] ZINC000752950011 574396896 /nfs/dbraw/zinc/39/68/96/574396896.db2.gz MFMXDTDAHYUEIW-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN C[C@H]1COC(C)(C)CN1c1ccc([N+](=O)[O-])cc1F ZINC000752986925 574397216 /nfs/dbraw/zinc/39/72/16/574397216.db2.gz XVAVRRPUILKTLY-VIFPVBQESA-N 0 0 268.288 2.738 20 5 CFBDRN CCc1nc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)co1 ZINC000753505130 574403523 /nfs/dbraw/zinc/40/35/23/574403523.db2.gz YJBKJQJRLZKKSK-UHFFFAOYSA-N 0 0 280.211 2.504 20 5 CFBDRN Cc1ccc([C@H](C)OC(=O)c2ccn(C)n2)cc1[N+](=O)[O-] ZINC000753681776 574408103 /nfs/dbraw/zinc/40/81/03/574408103.db2.gz VMDGOCFEWLLOOA-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CC1CN(C(=O)CCc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000935667317 649927893 /nfs/dbraw/zinc/92/78/93/649927893.db2.gz PUSOHHISEAZDNS-UHFFFAOYSA-N 0 0 282.727 2.659 20 5 CFBDRN C[C@@]1([NH2+]Cc2ccc([N+](=O)[O-])cc2)CCCC[C@@H]1C(=O)[O-] ZINC000833571621 604818080 /nfs/dbraw/zinc/81/80/80/604818080.db2.gz QXDKJYVMHSXGAO-UKRRQHHQSA-N 0 0 292.335 2.718 20 5 CFBDRN C[C@@]1(c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)C=CCC1 ZINC000923949600 620694990 /nfs/dbraw/zinc/69/49/90/620694990.db2.gz CXZOJIXDEKBKAS-CQSZACIVSA-N 0 0 286.291 2.571 20 5 CFBDRN CC(C)[C@@](C)(CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000901819112 620927160 /nfs/dbraw/zinc/92/71/60/620927160.db2.gz OWDMAUKPSDXBES-CQSZACIVSA-N 0 0 280.324 2.574 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)cc1[N+](=O)[O-] ZINC000936024695 649976145 /nfs/dbraw/zinc/97/61/45/649976145.db2.gz PHAUCBHDNNZYFR-ZMLRMANQSA-N 0 0 289.335 2.942 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000234005773 617686042 /nfs/dbraw/zinc/68/60/42/617686042.db2.gz ILOFNIBJSKWGGU-QMMMGPOBSA-N 0 0 255.245 2.998 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C[C@H]1C ZINC000246947644 617806034 /nfs/dbraw/zinc/80/60/34/617806034.db2.gz ORRAPGMAHSCOJQ-CKYFFXLPSA-N 0 0 291.351 2.732 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000812623293 617884771 /nfs/dbraw/zinc/88/47/71/617884771.db2.gz QKMKODQBECLTCT-ZJUUUORDSA-N 0 0 299.298 2.696 20 5 CFBDRN C[C@@H]1CSCCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000934297741 617990606 /nfs/dbraw/zinc/99/06/06/617990606.db2.gz KOFKPOVBAVQDRI-SNVBAGLBSA-N 0 0 282.365 2.628 20 5 CFBDRN O=C(N[C@H](c1ccncc1)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000890923674 617948868 /nfs/dbraw/zinc/94/88/68/617948868.db2.gz MSBRXIMRALLCIG-HNNXBMFYSA-N 0 0 297.314 2.871 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1ccon1 ZINC000120156751 617623631 /nfs/dbraw/zinc/62/36/31/617623631.db2.gz WECFVYUEYXJLFC-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCC[C@@H]1CCOC1 ZINC000230102583 617633750 /nfs/dbraw/zinc/63/37/50/617633750.db2.gz ZLTDUDBWMOZHKG-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN CC1(C)CCC(C(=O)OCCn2cc([N+](=O)[O-])cn2)CC1 ZINC000178217268 617693871 /nfs/dbraw/zinc/69/38/71/617693871.db2.gz CMPRXCLKBBEBDY-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN Cn1c2ccccc2c(NCC2CCC2)c([N+](=O)[O-])c1=O ZINC000891775896 617746093 /nfs/dbraw/zinc/74/60/93/617746093.db2.gz UYFKOBNNTTUFOU-UHFFFAOYSA-N 0 0 287.319 2.659 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H](C)CCSC)cc1[N+](=O)[O-] ZINC000134824802 617764818 /nfs/dbraw/zinc/76/48/18/617764818.db2.gz FSISAPLUCGJVKQ-VIFPVBQESA-N 0 0 297.380 2.508 20 5 CFBDRN C[C@@H]1CCCN1C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933815996 617784800 /nfs/dbraw/zinc/78/48/00/617784800.db2.gz ULEOMADRELGGIG-SWHYSGLUSA-N 0 0 274.320 2.709 20 5 CFBDRN COCCCCNc1c2cc(F)ccc2ncc1[N+](=O)[O-] ZINC000891850763 617785013 /nfs/dbraw/zinc/78/50/13/617785013.db2.gz WQBQXJPGOVAWBJ-UHFFFAOYSA-N 0 0 293.298 2.543 20 5 CFBDRN C/C=C(\C)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000176112329 617787690 /nfs/dbraw/zinc/78/76/90/617787690.db2.gz NAXZOHBCDQBILN-XCVCLJGOSA-N 0 0 260.293 2.840 20 5 CFBDRN CN(C(=O)c1ccnc(F)c1F)c1ccc([N+](=O)[O-])cc1 ZINC000097865024 617791399 /nfs/dbraw/zinc/79/13/99/617791399.db2.gz PAAKWPMZOBUXTB-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000804670877 617792167 /nfs/dbraw/zinc/79/21/67/617792167.db2.gz CVNCIHJPPBVYLB-VROVMSAKSA-N 0 0 263.253 2.713 20 5 CFBDRN CCOc1ccc(C(=O)O[C@H](C)CC)cc1[N+](=O)[O-] ZINC000121610242 617792447 /nfs/dbraw/zinc/79/24/47/617792447.db2.gz XTRIBQKFZNZVRS-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CCC(F)(F)CC1 ZINC000804681346 617801448 /nfs/dbraw/zinc/80/14/48/617801448.db2.gz KUDMCNMFEFGVGP-UHFFFAOYSA-N 0 0 287.222 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCOC3)CC2)c(F)c1 ZINC000804686986 617811412 /nfs/dbraw/zinc/81/14/12/617811412.db2.gz AYRYWUWZTZHTPT-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC3(CC3)C2)c(F)c1 ZINC000804688892 617811966 /nfs/dbraw/zinc/81/19/66/617811966.db2.gz QWNTWTAIYKANSS-UHFFFAOYSA-N 0 0 254.236 2.863 20 5 CFBDRN C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1cscn1 ZINC000847817650 617825194 /nfs/dbraw/zinc/82/51/94/617825194.db2.gz KUUQZZKMLAXKGT-QMMMGPOBSA-N 0 0 272.326 2.583 20 5 CFBDRN CCC1CCN(c2ncc([N+](=O)[O-])cc2OC)CC1 ZINC000891916517 617825213 /nfs/dbraw/zinc/82/52/13/617825213.db2.gz CNFGJWACQLWZPD-UHFFFAOYSA-N 0 0 265.313 2.625 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000890765880 617852072 /nfs/dbraw/zinc/85/20/72/617852072.db2.gz SIWVXLIQROWWLX-ZWNOBZJWSA-N 0 0 292.310 2.747 20 5 CFBDRN CC[C@@H](C)OC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000121647450 617857800 /nfs/dbraw/zinc/85/78/00/617857800.db2.gz NXDZHVBZMWQMNP-SNVBAGLBSA-N 0 0 266.297 2.739 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000890768804 617860292 /nfs/dbraw/zinc/86/02/92/617860292.db2.gz PDCIZDGROXABQA-BXKDBHETSA-N 0 0 278.283 2.818 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000890769547 617863067 /nfs/dbraw/zinc/86/30/67/617863067.db2.gz ZGIJPNCZYBWNQC-BXUZGUMPSA-N 0 0 274.320 2.608 20 5 CFBDRN O=C(/C=C/C1CCCCCC1)OCCC[N+](=O)[O-] ZINC000860918972 617871592 /nfs/dbraw/zinc/87/15/92/617871592.db2.gz JATKRWLPDBEIGA-CMDGGOBGSA-N 0 0 255.314 2.723 20 5 CFBDRN Cc1ccncc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000176225611 617882055 /nfs/dbraw/zinc/88/20/55/617882055.db2.gz IWQZTAYGUAFICA-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC1(CNC(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000934066243 617885837 /nfs/dbraw/zinc/88/58/37/617885837.db2.gz MNAHCMXCYQJXFM-STQMWFEESA-N 0 0 274.320 2.615 20 5 CFBDRN C[C@H](O)c1cc(NC(=O)c2ccc([N+](=O)[O-])o2)ccc1F ZINC000804803963 617889819 /nfs/dbraw/zinc/88/98/19/617889819.db2.gz VBBBNJRVDGBGLX-ZETCQYMHSA-N 0 0 294.238 2.633 20 5 CFBDRN Cc1ccc([C@H](O)[C@@H](C)Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000804811303 617894706 /nfs/dbraw/zinc/89/47/06/617894706.db2.gz SCSYUWXTXCELBL-IAQYHMDHSA-N 0 0 287.319 2.832 20 5 CFBDRN COC(=O)N1CCC(CNc2sccc2[N+](=O)[O-])CC1 ZINC000804822506 617900086 /nfs/dbraw/zinc/90/00/86/617900086.db2.gz VSHYYBXFSQXTFG-UHFFFAOYSA-N 0 0 299.352 2.547 20 5 CFBDRN Cc1cc(C)nc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000812813681 617902556 /nfs/dbraw/zinc/90/25/56/617902556.db2.gz AAWCACDOIFIMOS-UHFFFAOYSA-N 0 0 290.323 2.736 20 5 CFBDRN Cc1[nH]c2c(cccc2C(=O)OC[C@H](C)[N+](=O)[O-])c1C ZINC000813104522 617924844 /nfs/dbraw/zinc/92/48/44/617924844.db2.gz DHWPSLAEBUPHLF-QMMMGPOBSA-N 0 0 276.292 2.607 20 5 CFBDRN Cc1ccccc1C[C@@H](C)Nc1c([N+](=O)[O-])cnn1C ZINC000892062399 617932636 /nfs/dbraw/zinc/93/26/36/617932636.db2.gz ZHBGOXUIRRNGQI-LLVKDONJSA-N 0 0 274.324 2.680 20 5 CFBDRN CCSc1ccccc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813110728 617936981 /nfs/dbraw/zinc/93/69/81/617936981.db2.gz IFZBMCZBMCRLJP-VIFPVBQESA-N 0 0 269.322 2.621 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])c(Cl)c1C ZINC000813111313 617937136 /nfs/dbraw/zinc/93/71/36/617937136.db2.gz RERSINQPSFEXPW-MRVPVSSYSA-N 0 0 271.700 2.779 20 5 CFBDRN CC(C)[C@@H](C(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813112370 617941356 /nfs/dbraw/zinc/94/13/56/617941356.db2.gz GCXKYLDAAMSMJM-WCQYABFASA-N 0 0 265.309 2.635 20 5 CFBDRN CC(C)[C@H]1CC[C@H](C(=O)OC[C@H](C)[N+](=O)[O-])CC1 ZINC000813112541 617942341 /nfs/dbraw/zinc/94/23/41/617942341.db2.gz IAOHNSHZYGOBRO-SRVKXCTJSA-N 0 0 257.330 2.657 20 5 CFBDRN Cc1nn(C)c(Cl)c1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000014206842 617945546 /nfs/dbraw/zinc/94/55/46/617945546.db2.gz VZQNGPUCSULVEG-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C[C@H](COC(=O)CCSCc1ccccc1)[N+](=O)[O-] ZINC000813114810 617952105 /nfs/dbraw/zinc/95/21/05/617952105.db2.gz HDQUVCZMUHKRQV-LLVKDONJSA-N 0 0 283.349 2.518 20 5 CFBDRN C[C@H](NC(=O)NC/C=C\Cl)c1cccc([N+](=O)[O-])c1 ZINC000892110827 617956332 /nfs/dbraw/zinc/95/63/32/617956332.db2.gz GOTLVXBEXYBJMY-RWIWAZIYSA-N 0 0 283.715 2.708 20 5 CFBDRN C[C@H](CCNc1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000892195757 617995305 /nfs/dbraw/zinc/99/53/05/617995305.db2.gz ACKUHMPGKMTTAY-LLVKDONJSA-N 0 0 274.324 2.934 20 5 CFBDRN Cc1ccc(C(=O)N=[S@@](C)(=O)C(C)C)cc1[N+](=O)[O-] ZINC000926069972 618007960 /nfs/dbraw/zinc/00/79/60/618007960.db2.gz CYDVAQGRZSTKAE-IBGZPJMESA-N 0 0 284.337 2.550 20 5 CFBDRN CC(C)[C@H](CO)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000804851619 618014673 /nfs/dbraw/zinc/01/46/73/618014673.db2.gz JCOYADFZXWXQJW-VIFPVBQESA-N 0 0 295.364 2.881 20 5 CFBDRN CC1(C)CC[C@@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000804852567 618021961 /nfs/dbraw/zinc/02/19/61/618021961.db2.gz BYHILIDNNXXEQG-VIFPVBQESA-N 0 0 260.297 2.843 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)/C=C\c1cccnc1 ZINC000255164363 618025723 /nfs/dbraw/zinc/02/57/23/618025723.db2.gz FGCOGRWISMZXLS-ALCCZGGFSA-N 0 0 284.271 2.917 20 5 CFBDRN CSCCOC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000121801445 618038124 /nfs/dbraw/zinc/03/81/24/618038124.db2.gz RCOSGTZJIVAQCT-UHFFFAOYSA-N 0 0 287.362 2.593 20 5 CFBDRN C[C@@]1(C2CC2)COCCN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000934477381 618051111 /nfs/dbraw/zinc/05/11/11/618051111.db2.gz DOWOCAGZEVZNBV-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN O=C([O-])[C@H]1CCCC[N@@H+]1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000049964237 618054527 /nfs/dbraw/zinc/05/45/27/618054527.db2.gz ZRZDGMBGECYEEH-GFCCVEGCSA-N 0 0 298.726 2.687 20 5 CFBDRN Cc1noc(C)c1CN(C)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000051705822 618055338 /nfs/dbraw/zinc/05/53/38/618055338.db2.gz GBRVPAUDQJUMGV-UHFFFAOYSA-N 0 0 293.298 2.971 20 5 CFBDRN CC(=O)C1CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000158636886 618072256 /nfs/dbraw/zinc/07/22/56/618072256.db2.gz MMNDJIRTOBPPRQ-UHFFFAOYSA-N 0 0 262.309 2.709 20 5 CFBDRN C[C@H]1CC[C@H](C)N1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000161390776 618097052 /nfs/dbraw/zinc/09/70/52/618097052.db2.gz INDWFFFGHMPGNO-UWVGGRQHSA-N 0 0 289.335 2.857 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCCCCCF ZINC000310764663 618103717 /nfs/dbraw/zinc/10/37/17/618103717.db2.gz QOXSDTDLUIRSNJ-UHFFFAOYSA-N 0 0 255.245 2.926 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@H]1CC[C@@H](C)C1 ZINC000779258278 618117550 /nfs/dbraw/zinc/11/75/50/618117550.db2.gz LRBGDHYPVMETEX-KCJUWKMLSA-N 0 0 264.281 2.644 20 5 CFBDRN CC/C=C\CCOC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000255226120 618125008 /nfs/dbraw/zinc/12/50/08/618125008.db2.gz AALVJSAIHNFQAT-ARJAWSKDSA-N 0 0 279.292 2.873 20 5 CFBDRN Cc1cccc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)n1 ZINC000248054610 618136800 /nfs/dbraw/zinc/13/68/00/618136800.db2.gz BRNNBZIYBOFYFC-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@H](CC(=O)Nc1c([N+](=O)[O-])ncn1C)CC(C)(C)C ZINC000891347729 618141894 /nfs/dbraw/zinc/14/18/94/618141894.db2.gz KTHIJPMBTRMUTB-SECBINFHSA-N 0 0 282.344 2.729 20 5 CFBDRN CC(C)C[C@@H](C)C[C@@H](C)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000781486479 618150189 /nfs/dbraw/zinc/15/01/89/618150189.db2.gz WLCYYJIBELAHJP-GHMZBOCLSA-N 0 0 297.355 2.946 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000161690643 618155730 /nfs/dbraw/zinc/15/57/30/618155730.db2.gz WXCYDSMIOKPUIM-IUCAKERBSA-N 0 0 293.279 2.516 20 5 CFBDRN COC1(COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCC1 ZINC000811168707 618155771 /nfs/dbraw/zinc/15/57/71/618155771.db2.gz POBACOFIYYHOGJ-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CC1(Cl)Cl ZINC000309514843 618177573 /nfs/dbraw/zinc/17/75/73/618177573.db2.gz RADSQFFZQYBHEO-LURJTMIESA-N 0 0 262.096 2.596 20 5 CFBDRN CC1(C)CCN1C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933299433 618193996 /nfs/dbraw/zinc/19/39/96/618193996.db2.gz VGSKGUISCIMVAV-STQMWFEESA-N 0 0 274.320 2.709 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000047868475 618202660 /nfs/dbraw/zinc/20/26/60/618202660.db2.gz XDKDNXUOIVPWDE-MFKMUULPSA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1ccc(N[C@H](C)c2ccnn2C)c([N+](=O)[O-])c1 ZINC000235209887 618209094 /nfs/dbraw/zinc/20/90/94/618209094.db2.gz OCMPUTKEVWCGNE-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN O=Cc1c[nH]nc1C=Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000255615267 618231294 /nfs/dbraw/zinc/23/12/94/618231294.db2.gz RZINHKYWAVFTPM-RQOWECAXSA-N 0 0 277.667 2.954 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1C[C@@H]1C1CC1 ZINC000176940454 618232083 /nfs/dbraw/zinc/23/20/83/618232083.db2.gz AFYAJAZNECGNGJ-OLZOCXBDSA-N 0 0 261.277 2.684 20 5 CFBDRN C[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000255633756 618236389 /nfs/dbraw/zinc/23/63/89/618236389.db2.gz JDQPXNDSNGHKLF-FWAPLPHYSA-N 0 0 261.277 2.950 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])on1 ZINC000749058691 618257033 /nfs/dbraw/zinc/25/70/33/618257033.db2.gz PXHQUHSZTHUEIZ-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1C[C@H](c2ccc(F)cc2)C1 ZINC000893973618 618257191 /nfs/dbraw/zinc/25/71/91/618257191.db2.gz LFNAARTZIGIAKI-UMSPYCQHSA-N 0 0 290.298 2.826 20 5 CFBDRN C/C(Cl)=C\C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000901317452 620790578 /nfs/dbraw/zinc/79/05/78/620790578.db2.gz KSDCEYOGYBVZGN-RMKNXTFCSA-N 0 0 280.711 2.935 20 5 CFBDRN COCC(C)(C)CC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000840589073 618263341 /nfs/dbraw/zinc/26/33/41/618263341.db2.gz AWZILSQMEJFLSY-UHFFFAOYSA-N 0 0 281.308 2.701 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)CCF ZINC000893299442 618278118 /nfs/dbraw/zinc/27/81/18/618278118.db2.gz ILXJNSNFLCQHAI-UHFFFAOYSA-N 0 0 255.245 2.614 20 5 CFBDRN Cc1nscc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000179494655 618280036 /nfs/dbraw/zinc/28/00/36/618280036.db2.gz FMFZAMGDIKYHPE-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN C[C@@H]1CCC[C@H](C)[C@H]1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000893313398 618308601 /nfs/dbraw/zinc/30/86/01/618308601.db2.gz XMWZYWLPIPHLLZ-YABSGUDNSA-N 0 0 295.339 2.549 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c2ccccc12 ZINC000841252126 618315327 /nfs/dbraw/zinc/31/53/27/618315327.db2.gz RNDVOCWBDQRJLG-CHWSQXEVSA-N 0 0 271.272 2.719 20 5 CFBDRN O=C(O[C@@H]1CCSC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000103824909 618331215 /nfs/dbraw/zinc/33/12/15/618331215.db2.gz ZPSQTRYVRNFMFV-MRVPVSSYSA-N 0 0 287.724 2.911 20 5 CFBDRN C[C@@H](O)CSc1c2cc(F)ccc2ncc1[N+](=O)[O-] ZINC000895123269 618336211 /nfs/dbraw/zinc/33/62/11/618336211.db2.gz DTOWVEILJTYLSL-SSDOTTSWSA-N 0 0 282.296 2.755 20 5 CFBDRN Cc1cnc(COc2ccc([N+](=O)[O-])cc2C=O)s1 ZINC000179736770 618342956 /nfs/dbraw/zinc/34/29/56/618342956.db2.gz ONOBBDJRYWNKGG-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN CC1(CC(=O)OCCn2cc([N+](=O)[O-])cn2)CCCCC1 ZINC000178769468 618365274 /nfs/dbraw/zinc/36/52/74/618365274.db2.gz DMGZBWAJXNCISZ-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN CCc1ccc(C(=O)OC[C@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000177241572 618380278 /nfs/dbraw/zinc/38/02/78/618380278.db2.gz HGEFRJAQSFLYAV-HNNXBMFYSA-N 0 0 293.319 2.883 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)cn1 ZINC000142173696 618411033 /nfs/dbraw/zinc/41/10/33/618411033.db2.gz SWMZAFOMUXIFNO-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@H](O)CCCc1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901395191 620804280 /nfs/dbraw/zinc/80/42/80/620804280.db2.gz JNCANZHPKGVTBQ-JTQLQIEISA-N 0 0 276.292 2.953 20 5 CFBDRN CC(C)CCO[C@@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000066013352 618423796 /nfs/dbraw/zinc/42/37/96/618423796.db2.gz VMQHLCIZTIPQKP-NSHDSACASA-N 0 0 281.308 2.951 20 5 CFBDRN CCc1ccc(C(=O)OCc2ncc(C)o2)cc1[N+](=O)[O-] ZINC000177439483 618424593 /nfs/dbraw/zinc/42/45/93/618424593.db2.gz KAQSNWIMNBYRRE-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN C[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)[C@@H](C)[C@H](C)O1 ZINC000782409662 618428795 /nfs/dbraw/zinc/42/87/95/618428795.db2.gz DSYQDDQEZGVMFG-VGMNWLOBSA-N 0 0 286.278 2.875 20 5 CFBDRN C[C@H]1C[C@@H](Nc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CS1 ZINC000894194397 618435320 /nfs/dbraw/zinc/43/53/20/618435320.db2.gz KGQYABZRTGQGSI-IONNQARKSA-N 0 0 283.309 2.809 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](OC(C)(C)C)c1ccccc1 ZINC000814039381 618439164 /nfs/dbraw/zinc/43/91/64/618439164.db2.gz OPOWHFKWLRSPAQ-YPMHNXCESA-N 0 0 295.335 2.751 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(Cl)c(Cl)c1 ZINC000814038264 618439634 /nfs/dbraw/zinc/43/96/34/618439634.db2.gz AJEWCRDMYMXMEA-ZCFIWIBFSA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@H](OC(C)(C)C)c1ccccc1 ZINC000814039380 618439688 /nfs/dbraw/zinc/43/96/88/618439688.db2.gz OPOWHFKWLRSPAQ-WCQYABFASA-N 0 0 295.335 2.751 20 5 CFBDRN CCCc1cc(C(=O)O[C@H](C)C[N+](=O)[O-])sc1C ZINC000814041182 618441025 /nfs/dbraw/zinc/44/10/25/618441025.db2.gz PUFMNPXDGXLDQR-MRVPVSSYSA-N 0 0 271.338 2.831 20 5 CFBDRN CCc1ccc(C(=O)OCCCCCCO)cc1[N+](=O)[O-] ZINC000776390144 618442395 /nfs/dbraw/zinc/44/23/95/618442395.db2.gz DIAVJHUDLIIEQP-UHFFFAOYSA-N 0 0 295.335 2.867 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)C1(C(F)(F)F)CC1 ZINC000726137088 618442973 /nfs/dbraw/zinc/44/29/73/618442973.db2.gz AIVVGLJDRFQNIQ-UHFFFAOYSA-N 0 0 289.209 2.981 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C\c1ccccc1Cl ZINC000814045070 618444161 /nfs/dbraw/zinc/44/41/61/618444161.db2.gz MJUUIGCKPHFAHO-NMTCXDENSA-N 0 0 269.684 2.562 20 5 CFBDRN Nc1ccc(C(=O)OCCc2ccsc2)cc1[N+](=O)[O-] ZINC000726337414 618463773 /nfs/dbraw/zinc/46/37/73/618463773.db2.gz YPFQSJLTTFJIBN-UHFFFAOYSA-N 0 0 292.316 2.638 20 5 CFBDRN Cc1cc(C2=N/C(=C\c3ccoc3)C(=O)O2)ccc1[N+](=O)[O-] ZINC000727288860 618487420 /nfs/dbraw/zinc/48/74/20/618487420.db2.gz CBOJVDSRXOXQOF-GHXNOFRVSA-N 0 0 298.254 2.841 20 5 CFBDRN C[C@H](O)CSc1c2ccccc2ncc1[N+](=O)[O-] ZINC000882738195 618492504 /nfs/dbraw/zinc/49/25/04/618492504.db2.gz MTINPNLZFOCMGJ-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN CN(CCCF)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000895281531 618506942 /nfs/dbraw/zinc/50/69/42/618506942.db2.gz DHLNOJUNEGSUIN-UHFFFAOYSA-N 0 0 286.328 2.505 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)CCCF ZINC000895295029 618514112 /nfs/dbraw/zinc/51/41/12/618514112.db2.gz YMNBUHWYMKUATB-UHFFFAOYSA-N 0 0 282.315 2.952 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Sc1cccc(CO)c1 ZINC000895317775 618531111 /nfs/dbraw/zinc/53/11/11/618531111.db2.gz MEPIZTIJXDGQQR-UHFFFAOYSA-N 0 0 293.348 2.763 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1nn(C)cc1C ZINC000901425570 620815038 /nfs/dbraw/zinc/81/50/38/620815038.db2.gz VQBZXOFHSXIYSB-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN Cc1c(C(=O)NC2(C3CC3)CCC2)cccc1[N+](=O)[O-] ZINC000895366771 618552135 /nfs/dbraw/zinc/55/21/35/618552135.db2.gz VMBMWLZXWUSURJ-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1nc([C@@H]2CCN(c3ccc([N+](=O)[O-])cc3C)C2)no1 ZINC000895404461 618574867 /nfs/dbraw/zinc/57/48/67/618574867.db2.gz KHNOOUYNPUPBEP-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN NC(=O)c1ccc(NC[C@]23C[C@H]2CCCC3)c([N+](=O)[O-])c1 ZINC000895426316 618576178 /nfs/dbraw/zinc/57/61/78/618576178.db2.gz IDLGXBHRDMPWNU-ABAIWWIYSA-N 0 0 289.335 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC[C@H]3C(F)F)nc2c1 ZINC000895432435 618580147 /nfs/dbraw/zinc/58/01/47/618580147.db2.gz ZZPCDYZRPFCCTJ-VIFPVBQESA-N 0 0 283.234 2.575 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1cc(N(C)C)ccn1 ZINC000895466904 618600942 /nfs/dbraw/zinc/60/09/42/618600942.db2.gz XULOMVGGVIFGOJ-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCc1ccc(F)cc1Cl ZINC000892521836 618606800 /nfs/dbraw/zinc/60/68/00/618606800.db2.gz HQYUPCMISHPYBP-UHFFFAOYSA-N 0 0 298.705 2.775 20 5 CFBDRN O=C(OC[C@H]1Cc2ccccc2O1)c1ccccc1[N+](=O)[O-] ZINC000177820813 618607019 /nfs/dbraw/zinc/60/70/19/618607019.db2.gz UBCJMSLCTAMQBO-GFCCVEGCSA-N 0 0 299.282 2.755 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@H]1CCCOC1 ZINC000236187070 618610153 /nfs/dbraw/zinc/61/01/53/618610153.db2.gz TWDSVZBMHYPUDK-ZETCQYMHSA-N 0 0 274.679 2.978 20 5 CFBDRN CO[C@@H](C)CC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177868406 618610212 /nfs/dbraw/zinc/61/02/12/618610212.db2.gz JTIVXXMPQJJDNQ-WDEREUQCSA-N 0 0 280.324 2.505 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC[C@@H]1CCC[C@H]1O ZINC000236542734 618631778 /nfs/dbraw/zinc/63/17/78/618631778.db2.gz WEIBRBYSXVFLDX-JVXZTZIISA-N 0 0 288.706 2.960 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC[C@@H](O)C1CC1 ZINC000236545853 618631822 /nfs/dbraw/zinc/63/18/22/618631822.db2.gz STTKLGZLQFANRS-LLVKDONJSA-N 0 0 274.679 2.570 20 5 CFBDRN CCc1ccc(Oc2ccnc(COC)n2)c([N+](=O)[O-])c1 ZINC000892564125 618634582 /nfs/dbraw/zinc/63/45/82/618634582.db2.gz NXBJWUYIDQKXAE-UHFFFAOYSA-N 0 0 289.291 2.886 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000310924295 618660066 /nfs/dbraw/zinc/66/00/66/618660066.db2.gz KGVSCRDJWNXCCN-CBAPKCEASA-N 0 0 251.242 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(OCCn2cccn2)ccc1Cl ZINC000310947773 618662167 /nfs/dbraw/zinc/66/21/67/618662167.db2.gz MWJBVXPRTVRTRD-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN CC(C)OCCCN(C)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000892642126 618668221 /nfs/dbraw/zinc/66/82/21/618668221.db2.gz MJQULTWKXNNHFV-UHFFFAOYSA-N 0 0 297.311 2.754 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892652759 618676245 /nfs/dbraw/zinc/67/62/45/618676245.db2.gz HAQVIBNMOFHKFP-LDYMZIIASA-N 0 0 271.276 2.738 20 5 CFBDRN Cc1cnn(C)c1NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000892688301 618711181 /nfs/dbraw/zinc/71/11/81/618711181.db2.gz ARACICRXDQWRNC-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1ccc(CC[C@@H](C)Nc2c([N+](=O)[O-])cnn2C)o1 ZINC000892694106 618714773 /nfs/dbraw/zinc/71/47/73/618714773.db2.gz ICAVOZVGIIQFHH-SECBINFHSA-N 0 0 278.312 2.663 20 5 CFBDRN C[C@@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C[C@@H](C)S1 ZINC000892698237 618716869 /nfs/dbraw/zinc/71/68/69/618716869.db2.gz ZIGBBIDZPWDAOO-RKDXNWHRSA-N 0 0 297.336 2.833 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCS[C@H](C(C)C)C1 ZINC000892731823 618734619 /nfs/dbraw/zinc/73/46/19/618734619.db2.gz NLJJUWRHWIHJPU-LBPRGKRZSA-N 0 0 297.380 2.576 20 5 CFBDRN CCOc1cc(NC(=O)/C=C/SC)ccc1[N+](=O)[O-] ZINC000892746878 618739919 /nfs/dbraw/zinc/73/99/19/618739919.db2.gz ORBYQDRUVLZKEH-VOTSOKGWSA-N 0 0 282.321 2.809 20 5 CFBDRN CCOc1cc(NC(=O)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000892747418 618740050 /nfs/dbraw/zinc/74/00/50/618740050.db2.gz QHTWNTHXBZXGDP-UHFFFAOYSA-N 0 0 292.213 2.884 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OS(=O)(=O)CC1CCCC1 ZINC000178301438 618742413 /nfs/dbraw/zinc/74/24/13/618742413.db2.gz ABHOZGKVDHCGES-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN COCCN(CCF)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000852485579 618776239 /nfs/dbraw/zinc/77/62/39/618776239.db2.gz WMCQXFCOAUHCBH-NSHDSACASA-N 0 0 270.304 2.574 20 5 CFBDRN Cc1cccc(C)c1CNc1c([N+](=O)[O-])cnn1C ZINC000892943912 618776773 /nfs/dbraw/zinc/77/67/73/618776773.db2.gz AWQCGVOMHMVODL-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CC(C)CSCCCNc1c([N+](=O)[O-])cnn1C ZINC000892986160 618790683 /nfs/dbraw/zinc/79/06/83/618790683.db2.gz UHSZUIVKMLMLIA-UHFFFAOYSA-N 0 0 272.374 2.520 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000167714135 618794562 /nfs/dbraw/zinc/79/45/62/618794562.db2.gz UMPZCESYOHIQCG-VWYCJHECSA-N 0 0 291.351 2.684 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CCC=C(F)C2)c1 ZINC000893103707 618833641 /nfs/dbraw/zinc/83/36/41/618833641.db2.gz SBEYILIIHQZPTI-UHFFFAOYSA-N 0 0 267.216 2.567 20 5 CFBDRN CO[C@@H]1CCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000893127449 618839493 /nfs/dbraw/zinc/83/94/93/618839493.db2.gz OKXJILREXNNVIX-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1cccc(C(=O)OCC2(O)CCCCC2)c1[N+](=O)[O-] ZINC000893163581 618854646 /nfs/dbraw/zinc/85/46/46/618854646.db2.gz AQSJRDATHSLSIJ-UHFFFAOYSA-N 0 0 293.319 2.755 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CC(C)(C)CC(C)(C)C1 ZINC000893213615 618886741 /nfs/dbraw/zinc/88/67/41/618886741.db2.gz IFBLSOKZKINLLQ-UHFFFAOYSA-N 0 0 266.345 2.591 20 5 CFBDRN O=C(Nc1ccc(O)cc1F)c1ccccc1[N+](=O)[O-] ZINC000070333124 625301194 /nfs/dbraw/zinc/30/11/94/625301194.db2.gz JMHJZWIFIRHLRT-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN Cc1nonc1[C@@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000925696219 618948302 /nfs/dbraw/zinc/94/83/02/618948302.db2.gz YHOVHDJIXKVTGD-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000931424462 618967953 /nfs/dbraw/zinc/96/79/53/618967953.db2.gz HFBMVMPXYTZDSI-HONMWMINSA-N 0 0 274.320 2.567 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(F)(F)C1 ZINC000313294414 618979533 /nfs/dbraw/zinc/97/95/33/618979533.db2.gz CPFIPYPUPFHQFL-UHFFFAOYSA-N 0 0 270.235 2.643 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC(F)(F)C2)cc1F ZINC000313312652 618980028 /nfs/dbraw/zinc/98/00/28/618980028.db2.gz PWUOQQIODGPKRB-UHFFFAOYSA-N 0 0 260.215 2.575 20 5 CFBDRN COC[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000893455720 618999905 /nfs/dbraw/zinc/99/99/05/618999905.db2.gz SQNMJQBJNYSEOW-LBPRGKRZSA-N 0 0 281.308 2.670 20 5 CFBDRN COC[C@H](COC(=O)c1csc([N+](=O)[O-])c1)C(C)C ZINC000893456093 618999925 /nfs/dbraw/zinc/99/99/25/618999925.db2.gz MQMSQAQTCAWRLS-SNVBAGLBSA-N 0 0 287.337 2.732 20 5 CFBDRN C/C(=C\C(=O)N1CC[C@@H](CF)C1)c1ccc([N+](=O)[O-])cc1 ZINC000893494082 619019437 /nfs/dbraw/zinc/01/94/37/619019437.db2.gz NOSLMANTBYVXJM-OBIHZWKSSA-N 0 0 292.310 2.816 20 5 CFBDRN C[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1)[C@H]1CCCCO1 ZINC000893504423 619023664 /nfs/dbraw/zinc/02/36/64/619023664.db2.gz ZQPCHSFVKSNBAY-BXUZGUMPSA-N 0 0 293.319 2.957 20 5 CFBDRN CSc1ccccc1CN(C)c1c([N+](=O)[O-])cnn1C ZINC000893591987 619082543 /nfs/dbraw/zinc/08/25/43/619082543.db2.gz JOKGYSJTDWXDRE-UHFFFAOYSA-N 0 0 292.364 2.687 20 5 CFBDRN O=[N+]([O-])c1cc(OCc2ccno2)ccc1Cl ZINC000310145957 619091157 /nfs/dbraw/zinc/09/11/57/619091157.db2.gz BWAIRBZTVIAVLF-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN CCN(CC)C(=O)c1c(F)c(C)cc([N+](=O)[O-])c1F ZINC000312004685 619096093 /nfs/dbraw/zinc/09/60/93/619096093.db2.gz VFGMIYIWWURDRL-UHFFFAOYSA-N 0 0 272.251 2.663 20 5 CFBDRN Cn1nccc1CCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000312037774 619099123 /nfs/dbraw/zinc/09/91/23/619099123.db2.gz ZUHRDKMEUIHYTB-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN CSC(C)(C)CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000075364759 619110287 /nfs/dbraw/zinc/11/02/87/619110287.db2.gz AHLINNLFDSQUPV-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OC[C@]12C[C@H]1CCC2 ZINC000893627341 619114974 /nfs/dbraw/zinc/11/49/74/619114974.db2.gz UYYKOFWEYAHACG-ABAIWWIYSA-N 0 0 291.303 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(CS(=O)(=O)c2cccc(F)c2)cc1 ZINC000094626813 619132611 /nfs/dbraw/zinc/13/26/11/619132611.db2.gz KOHKXXVCWAMITP-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN COc1ncccc1COc1cc(F)ccc1[N+](=O)[O-] ZINC000094822825 619138336 /nfs/dbraw/zinc/13/83/36/619138336.db2.gz TVNVWAMLCGEZJC-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN C/C=C/C[C@H](CO)[NH2+]Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000893661876 619148905 /nfs/dbraw/zinc/14/89/05/619148905.db2.gz TZXTZTFBIBYMLS-QAVQXKDTSA-N 0 0 284.743 2.665 20 5 CFBDRN CC[S@@](C)(=O)=NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000925826617 619155094 /nfs/dbraw/zinc/15/50/94/619155094.db2.gz ONIOFCMJJHVRGY-HXUWFJFHSA-N 0 0 298.364 2.976 20 5 CFBDRN COC(C)(C)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000234570686 619188074 /nfs/dbraw/zinc/18/80/74/619188074.db2.gz OKVIHQLTFRHMCJ-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN CCCOC(=O)[C@H](C)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000932180003 619204018 /nfs/dbraw/zinc/20/40/18/619204018.db2.gz OJZXLVNRGFNMGL-MNOVXSKESA-N 0 0 280.324 2.587 20 5 CFBDRN CCCCOC(=O)[C@@H](C)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000932181115 619204236 /nfs/dbraw/zinc/20/42/36/619204236.db2.gz ZNVYOQBFDLWDAE-VXGBXAGGSA-N 0 0 294.351 2.977 20 5 CFBDRN CC[C@H](NC(=O)c1ccccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000893863258 619230613 /nfs/dbraw/zinc/23/06/13/619230613.db2.gz DWHUQYCYYCCWOB-AAEUAGOBSA-N 0 0 292.335 2.530 20 5 CFBDRN Cc1cc(NCc2cc(C)[nH]c(=O)c2)c([N+](=O)[O-])s1 ZINC000894237529 619274952 /nfs/dbraw/zinc/27/49/52/619274952.db2.gz DUGVMOSFKAMRFX-UHFFFAOYSA-N 0 0 279.321 2.986 20 5 CFBDRN C[C@H](CCSc1ccccc1)OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840671551 619304272 /nfs/dbraw/zinc/30/42/72/619304272.db2.gz WTWTZECFNMOBDC-RAIGVLPGSA-N 0 0 295.360 2.766 20 5 CFBDRN C[C@@H](CCSc1ccccc1)OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840671546 619304326 /nfs/dbraw/zinc/30/43/26/619304326.db2.gz WTWTZECFNMOBDC-CYZMBNFOSA-N 0 0 295.360 2.766 20 5 CFBDRN C[C@@H](NC(=O)CCOCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928246718 619328341 /nfs/dbraw/zinc/32/83/41/619328341.db2.gz BZRCTJUFMGMLDN-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN CCc1csc([C@H](C)Nc2c([N+](=O)[O-])cnn2C)n1 ZINC000894341018 619340750 /nfs/dbraw/zinc/34/07/50/619340750.db2.gz KQZVEIJSWCZQFM-ZETCQYMHSA-N 0 0 281.341 2.520 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H](C)CC(F)F ZINC000894347523 619344016 /nfs/dbraw/zinc/34/40/16/619344016.db2.gz QAOCKXIHJISEDE-ZETCQYMHSA-N 0 0 275.255 2.702 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1Nc1c([N+](=O)[O-])cnn1C ZINC000894384639 619361446 /nfs/dbraw/zinc/36/14/46/619361446.db2.gz IBBCVXNGBCNLIP-NWDGAFQWSA-N 0 0 272.308 2.605 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H](C)c1ccccn1 ZINC000894477095 619396634 /nfs/dbraw/zinc/39/66/34/619396634.db2.gz MMZPLMGXHUXPKA-JTQLQIEISA-N 0 0 288.307 2.609 20 5 CFBDRN COc1ccc(OC(=O)C[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000792117345 619419670 /nfs/dbraw/zinc/41/96/70/619419670.db2.gz GHXKFVHAKICAGU-VIFPVBQESA-N 0 0 279.292 2.945 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC[C@H](CCF)C1 ZINC000894567439 619420681 /nfs/dbraw/zinc/42/06/81/619420681.db2.gz UDGNKMAWRLADBN-SNVBAGLBSA-N 0 0 283.303 2.574 20 5 CFBDRN C[C@@H]1CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000894577231 619422883 /nfs/dbraw/zinc/42/28/83/619422883.db2.gz TZKUTAQWNXDIQN-ZJUUUORDSA-N 0 0 295.295 2.507 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000164254927 619431311 /nfs/dbraw/zinc/43/13/11/619431311.db2.gz DZVPDVOTISXQOC-BDAKNGLRSA-N 0 0 266.272 2.604 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC1CC(C)(C)C1 ZINC000894639931 619434104 /nfs/dbraw/zinc/43/41/04/619434104.db2.gz VZZIZBHWZGESRZ-UHFFFAOYSA-N 0 0 251.286 2.599 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)(F)C1 ZINC000792523541 619475677 /nfs/dbraw/zinc/47/56/77/619475677.db2.gz ATUONWGSVBGYGQ-JXMROGBWSA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2[C@@H](C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000792531091 619477996 /nfs/dbraw/zinc/47/79/96/619477996.db2.gz NBKFEWMODUAWGH-IUCAKERBSA-N 0 0 266.272 2.665 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC(c2ccccn2)C1 ZINC000894844860 619480915 /nfs/dbraw/zinc/48/09/15/619480915.db2.gz ACHIZFUZTRVWEH-UHFFFAOYSA-N 0 0 261.306 2.655 20 5 CFBDRN Cc1ccc(N2Cc3c[nH]nc3[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000894893131 619501539 /nfs/dbraw/zinc/50/15/39/619501539.db2.gz DVOYBAWJSCYOKL-SNVBAGLBSA-N 0 0 272.308 2.750 20 5 CFBDRN C[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)Cc2c[nH]nc21 ZINC000894896329 619502903 /nfs/dbraw/zinc/50/29/03/619502903.db2.gz URZVMHYTLSVNJQ-ZETCQYMHSA-N 0 0 294.261 2.720 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)cccc1[N+](=O)[O-] ZINC000889603648 619510139 /nfs/dbraw/zinc/51/01/39/619510139.db2.gz ROLQCASNUHWQRB-JBLDHEPKSA-N 0 0 289.335 2.555 20 5 CFBDRN C[C@H]1CNc2c(Nc3ccc([N+](=O)[O-])cc3)cnn2C1 ZINC000894952618 619517737 /nfs/dbraw/zinc/51/77/37/619517737.db2.gz BFGDKNMTIPDJAM-VIFPVBQESA-N 0 0 273.296 2.597 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC1CCC2(CC2)CC1 ZINC000894979228 619520977 /nfs/dbraw/zinc/52/09/77/619520977.db2.gz SZGMUHRFASGUNL-UHFFFAOYSA-N 0 0 264.329 2.711 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2C[C@@H]3CC=CC[C@@H]3C2)c1 ZINC000895009574 619525335 /nfs/dbraw/zinc/52/53/35/619525335.db2.gz FDAHSDGBNZSBMC-PHIMTYICSA-N 0 0 289.291 2.905 20 5 CFBDRN COC(=O)CC(C)(C)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000895506407 619543054 /nfs/dbraw/zinc/54/30/54/619543054.db2.gz OLZHUEGNPGANGZ-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN CCOc1cc(NC/C=C(\C)C(=O)OC)ccc1[N+](=O)[O-] ZINC000895515197 619545255 /nfs/dbraw/zinc/54/52/55/619545255.db2.gz ZHQXNIMQFWIOJW-JXMROGBWSA-N 0 0 294.307 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCN3CCSC[C@H]23)s1 ZINC000895572119 619556893 /nfs/dbraw/zinc/55/68/93/619556893.db2.gz SKCSIEYESODLPI-VHSXEESVSA-N 0 0 299.421 2.648 20 5 CFBDRN Cn1ccc([C@@H]2CCCN(c3ccc([N+](=O)[O-])s3)C2)n1 ZINC000895636409 619574005 /nfs/dbraw/zinc/57/40/05/619574005.db2.gz UNFLDNIEBOCVNP-SNVBAGLBSA-N 0 0 292.364 2.774 20 5 CFBDRN Cc1cc(CO)cc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000895667319 619581702 /nfs/dbraw/zinc/58/17/02/619581702.db2.gz IAAJZXVUEJBNCN-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN COCc1nccc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000895667264 619581715 /nfs/dbraw/zinc/58/17/15/619581715.db2.gz FPBHTMPBJGDQHU-SNVBAGLBSA-N 0 0 288.307 2.704 20 5 CFBDRN Cn1nccc1[C@H]1CCCN1c1c(F)cccc1[N+](=O)[O-] ZINC000895718000 619589914 /nfs/dbraw/zinc/58/99/14/619589914.db2.gz CMESZKDYWAELTC-GFCCVEGCSA-N 0 0 290.298 2.809 20 5 CFBDRN CCOc1cc(N2CCO[C@H](C)CC2)ccc1[N+](=O)[O-] ZINC000895757778 619600773 /nfs/dbraw/zinc/60/07/73/619600773.db2.gz DRJZVQRJAFZFSU-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c3cccnc32)CCO1 ZINC000895759199 619601055 /nfs/dbraw/zinc/60/10/55/619601055.db2.gz YZDPVRMVZWUZFW-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCOc1cccc(N2CCO[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000895759370 619601158 /nfs/dbraw/zinc/60/11/58/619601158.db2.gz DRBVQKPQMRZSCK-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@H]1CCN(c2ccc3ncccc3c2[N+](=O)[O-])CCO1 ZINC000895758353 619601176 /nfs/dbraw/zinc/60/11/76/619601176.db2.gz HGKZKJHTLXSPHO-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H](C)CC2)c1 ZINC000895761461 619601507 /nfs/dbraw/zinc/60/15/07/619601507.db2.gz YQTWNRIKUVAKMG-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN C[C@H]1CCN(c2ccnc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000895763131 619602275 /nfs/dbraw/zinc/60/22/75/619602275.db2.gz ZVDPEUXQPMYHIO-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(OC(C)C)CCOC2)c1 ZINC000895870406 619624865 /nfs/dbraw/zinc/62/48/65/619624865.db2.gz YPSHWIUGOHDJKE-OAHLLOKOSA-N 0 0 294.351 2.899 20 5 CFBDRN C[C@@H]1COC[C@H]1Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000895905210 619630285 /nfs/dbraw/zinc/63/02/85/619630285.db2.gz JVYNSOBCNZLQAA-GMSGAONNSA-N 0 0 279.321 2.651 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2ncccn2)cc1 ZINC000895941661 619640709 /nfs/dbraw/zinc/64/07/09/619640709.db2.gz CTVQTJKDQYCEGF-CYBMUJFWSA-N 0 0 270.292 2.726 20 5 CFBDRN C[C@@H]1CO[C@@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)C1 ZINC000895958645 619644865 /nfs/dbraw/zinc/64/48/65/619644865.db2.gz RMWOOYYGVOGIBL-JOYOIKCWSA-N 0 0 289.291 2.666 20 5 CFBDRN CC(C)(c1nc(C2CCCC2)no1)n1cc([N+](=O)[O-])cn1 ZINC000895995707 619652480 /nfs/dbraw/zinc/65/24/80/619652480.db2.gz XOUHXQRQIUIYFE-UHFFFAOYSA-N 0 0 291.311 2.615 20 5 CFBDRN Cc1cc(CCNc2ccc([N+](=O)[O-])cc2Cl)nn1C ZINC000896027073 619658254 /nfs/dbraw/zinc/65/82/54/619658254.db2.gz GRYMCQVLGTVGOK-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN C[C@H]1Cc2cc(CNc3cccnc3[N+](=O)[O-])ccc2O1 ZINC000896042721 619662205 /nfs/dbraw/zinc/66/22/05/619662205.db2.gz FFNRGYQUWZDMGB-JTQLQIEISA-N 0 0 285.303 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCC3(CCC3)O2)cn1 ZINC000896169223 619685625 /nfs/dbraw/zinc/68/56/25/619685625.db2.gz CVZBCVODXREZNM-LBPRGKRZSA-N 0 0 277.324 2.894 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCC3(CCC3)O2)nc1 ZINC000896168818 619687461 /nfs/dbraw/zinc/68/74/61/619687461.db2.gz XWYWEUDZCFGFIE-LBPRGKRZSA-N 0 0 277.324 2.894 20 5 CFBDRN COc1cc(NC[C@@]2(C)CCCCO2)ccc1[N+](=O)[O-] ZINC000896185311 619690000 /nfs/dbraw/zinc/69/00/00/619690000.db2.gz XWFLVAZJMRZMSC-CQSZACIVSA-N 0 0 280.324 2.975 20 5 CFBDRN CCC[C@H](O)CCNc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000896188150 619690945 /nfs/dbraw/zinc/69/09/45/619690945.db2.gz ZTHRMIAOSSDHCY-JTQLQIEISA-N 0 0 298.339 2.575 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCn2nc(C3CC3)cc2C1 ZINC000896223172 619693884 /nfs/dbraw/zinc/69/38/84/619693884.db2.gz OFKAVSBXWUYYEO-UHFFFAOYSA-N 0 0 284.319 2.689 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)CCS1 ZINC000896244096 619699420 /nfs/dbraw/zinc/69/94/20/619699420.db2.gz FIHBUWUHFLEKGT-DTWKUNHWSA-N 0 0 253.327 2.686 20 5 CFBDRN C[C@@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])CCS1 ZINC000896244777 619699772 /nfs/dbraw/zinc/69/97/72/619699772.db2.gz IXMGNQPHBKDJSO-RKDXNWHRSA-N 0 0 253.327 2.686 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])CCS1 ZINC000896244769 619699781 /nfs/dbraw/zinc/69/97/81/619699781.db2.gz IXMGNQPHBKDJSO-BDAKNGLRSA-N 0 0 253.327 2.686 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCS[C@H](C)C1 ZINC000896246613 619700673 /nfs/dbraw/zinc/70/06/73/619700673.db2.gz QAFJAVAWPTXFAU-ZJUUUORDSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc3nonc3c2[N+](=O)[O-])CCS1 ZINC000896248922 619704818 /nfs/dbraw/zinc/70/48/18/619704818.db2.gz XMVQAPSAMBNAOA-HTQZYQBOSA-N 0 0 294.336 2.827 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCS[C@@H](C)C2)n1 ZINC000896251592 619706016 /nfs/dbraw/zinc/70/60/16/619706016.db2.gz ZIZLFXNCRKTHMX-UWVGGRQHSA-N 0 0 267.354 2.994 20 5 CFBDRN CCOC(=O)[C@@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000896267138 619707212 /nfs/dbraw/zinc/70/72/12/619707212.db2.gz XOGBOTIJAPKTHL-SECBINFHSA-N 0 0 298.726 2.638 20 5 CFBDRN CCOc1cc(N2CCCC(=O)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000896335657 619718604 /nfs/dbraw/zinc/71/86/04/619718604.db2.gz IVTVWLMBVPLEIO-JTQLQIEISA-N 0 0 278.308 2.551 20 5 CFBDRN Cc1cc(N2CC(=O)CC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000896340548 619719898 /nfs/dbraw/zinc/71/98/98/619719898.db2.gz YQIGCOBICWUSKK-SECBINFHSA-N 0 0 266.272 2.600 20 5 CFBDRN CCO[C@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000896405328 619730341 /nfs/dbraw/zinc/73/03/41/619730341.db2.gz SJFZOAYJRRSUHP-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CC1(C)C[C@@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])C(C)(C)O1 ZINC000896518750 619754971 /nfs/dbraw/zinc/75/49/71/619754971.db2.gz WAODRGQTYXVNOJ-VIFPVBQESA-N 0 0 295.339 2.701 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CC(C)(C)OC2(C)C)c1[N+](=O)[O-] ZINC000896516945 619755103 /nfs/dbraw/zinc/75/51/03/619755103.db2.gz TXIQLSXEAGWIHS-SNVBAGLBSA-N 0 0 296.371 2.642 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](C3CCOCC3)C2)s1 ZINC000896589093 619769694 /nfs/dbraw/zinc/76/96/94/619769694.db2.gz MIYCMKGFZIXYEU-LLVKDONJSA-N 0 0 297.380 2.694 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC[C@H]2CC2CC2)c(Cl)c1 ZINC000896638117 619780359 /nfs/dbraw/zinc/78/03/59/619780359.db2.gz OQGNPUHSMBXNPL-LLVKDONJSA-N 0 0 297.742 2.649 20 5 CFBDRN CNc1c(C(=O)N[C@H](C)CCSC)cccc1[N+](=O)[O-] ZINC000163199131 619787043 /nfs/dbraw/zinc/78/70/43/619787043.db2.gz MLROTQUMIWKBSU-SECBINFHSA-N 0 0 297.380 2.508 20 5 CFBDRN CC(C)(C)Oc1cc(N[C@H]2C[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000896690368 619789027 /nfs/dbraw/zinc/78/90/27/619789027.db2.gz OQHPRBDBBKEHRD-UMSPYCQHSA-N 0 0 294.351 2.955 20 5 CFBDRN CNc1ccc(-c2nc(C3CSC3)no2)cc1[N+](=O)[O-] ZINC000896718627 619794121 /nfs/dbraw/zinc/79/41/21/619794121.db2.gz DNWFZNMTOOQRRR-UHFFFAOYSA-N 0 0 292.320 2.517 20 5 CFBDRN Cc1cc(N(C)Cc2cccc(=O)[nH]2)c([N+](=O)[O-])s1 ZINC000896732677 619799349 /nfs/dbraw/zinc/79/93/49/619799349.db2.gz LXQGBVQBEMUFCQ-UHFFFAOYSA-N 0 0 279.321 2.702 20 5 CFBDRN Cc1nn(C)c(NCC[C@@H]2CCC(C)(C)CO2)c1[N+](=O)[O-] ZINC000896789808 619818467 /nfs/dbraw/zinc/81/84/67/619818467.db2.gz LUQGKVWILOGQFY-NSHDSACASA-N 0 0 296.371 2.644 20 5 CFBDRN COc1c(Cl)cccc1CNc1c([N+](=O)[O-])cnn1C ZINC000896789379 619818650 /nfs/dbraw/zinc/81/86/50/619818650.db2.gz HPKCURYGVJIEQU-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1nccnc1NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000896792966 619820059 /nfs/dbraw/zinc/82/00/59/619820059.db2.gz CMMMAQXFSLPCBK-JTQLQIEISA-N 0 0 272.308 2.909 20 5 CFBDRN C[C@]12CN(c3ncccc3[N+](=O)[O-])C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000896900355 619871388 /nfs/dbraw/zinc/87/13/88/619871388.db2.gz GMYPRXBIAVLCQE-OZTPJHRESA-N 0 0 271.320 2.638 20 5 CFBDRN COC(=O)[C@H]1C[C@@H]2C[C@H](Nc3sccc3[N+](=O)[O-])[C@@H]2C1 ZINC000897012451 619912954 /nfs/dbraw/zinc/91/29/54/619912954.db2.gz BGEJCNLYWJEZQN-RGOKHQFPSA-N 0 0 296.348 2.656 20 5 CFBDRN COC(=O)[C@H]1C[C@@H]2C[C@H](Nc3ccsc3[N+](=O)[O-])[C@@H]2C1 ZINC000897010179 619914204 /nfs/dbraw/zinc/91/42/04/619914204.db2.gz HFHXSJQWKNKGNJ-LOKLDPHHSA-N 0 0 296.348 2.656 20 5 CFBDRN C[C@H](CNC(=O)N1CC=CCC1)c1ccccc1[N+](=O)[O-] ZINC000897060975 619922439 /nfs/dbraw/zinc/92/24/39/619922439.db2.gz DVNGFKMVSXMXPW-GFCCVEGCSA-N 0 0 289.335 2.670 20 5 CFBDRN C[C@@H](CNC(=O)N(C)CC1CC1)c1ccccc1[N+](=O)[O-] ZINC000897071358 619925708 /nfs/dbraw/zinc/92/57/08/619925708.db2.gz BBQJKULYJVZXOW-NSHDSACASA-N 0 0 291.351 2.750 20 5 CFBDRN Cc1ccnc(Nc2cnn(C3CCC3)c2)c1[N+](=O)[O-] ZINC000897102736 619933358 /nfs/dbraw/zinc/93/33/58/619933358.db2.gz LAZDCFQCNQUXSL-UHFFFAOYSA-N 0 0 273.296 2.963 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000897149133 619940197 /nfs/dbraw/zinc/94/01/97/619940197.db2.gz PCHOINTVPHLBQR-CABCVRRESA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000897149134 619940232 /nfs/dbraw/zinc/94/02/32/619940232.db2.gz PCHOINTVPHLBQR-GJZGRUSLSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000897145644 619940959 /nfs/dbraw/zinc/94/09/59/619940959.db2.gz SWAOZWSXRPPMBN-OCCSQVGLSA-N 0 0 287.319 2.757 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]2C2CCCC2)c(F)c1 ZINC000897155653 619941730 /nfs/dbraw/zinc/94/17/30/619941730.db2.gz DVANBCZLSJLFLL-LBPRGKRZSA-N 0 0 265.288 2.898 20 5 CFBDRN Cc1cnc(N[C@@H](C)Cc2cccnc2)c([N+](=O)[O-])c1 ZINC000897193863 619948557 /nfs/dbraw/zinc/94/85/57/619948557.db2.gz DZTQFTDVIXLGKT-NSHDSACASA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1cnc(N[C@H](C)Cc2cccnc2)c([N+](=O)[O-])c1 ZINC000897193862 619948671 /nfs/dbraw/zinc/94/86/71/619948671.db2.gz DZTQFTDVIXLGKT-LLVKDONJSA-N 0 0 272.308 2.736 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC1CC(CCO)C1 ZINC000897233658 619955148 /nfs/dbraw/zinc/95/51/48/619955148.db2.gz YLRLCTMNVBJQON-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cnc(NC[C@]23C[C@H]2COC32CCC2)c([N+](=O)[O-])c1 ZINC000897265402 619962018 /nfs/dbraw/zinc/96/20/18/619962018.db2.gz FNJYBAZTIJJAIH-SMDDNHRTSA-N 0 0 289.335 2.669 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cn2)CCN1c1ccccc1 ZINC000897272197 619963267 /nfs/dbraw/zinc/96/32/67/619963267.db2.gz ZQWWLNCPGJCPTM-CYBMUJFWSA-N 0 0 298.346 2.705 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1C[C@@H](Cc2ccccc2)[C@H](O)C1 ZINC000897342485 620004620 /nfs/dbraw/zinc/00/46/20/620004620.db2.gz XPGICBWBBAZLKZ-RHSMWYFYSA-N 0 0 298.342 2.635 20 5 CFBDRN Cc1nc(N2CC(Cc3ccoc3)C2)ccc1[N+](=O)[O-] ZINC000897350866 620013606 /nfs/dbraw/zinc/01/36/06/620013606.db2.gz MJZFIADSSHTESJ-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000925247033 620067256 /nfs/dbraw/zinc/06/72/56/620067256.db2.gz PMXVLLHFAFAHCV-PWSUYJOCSA-N 0 0 295.314 2.799 20 5 CFBDRN COC/C=C\c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000866938397 620073678 /nfs/dbraw/zinc/07/36/78/620073678.db2.gz TUFXXUYHEWUXRN-ARJAWSKDSA-N 0 0 289.291 2.537 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](C)[C@@H]1C ZINC000888111182 620102174 /nfs/dbraw/zinc/10/21/74/620102174.db2.gz FHCXRCBBPPOQTO-UWVGGRQHSA-N 0 0 293.323 2.866 20 5 CFBDRN O=C(OCc1cccnc1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840654851 620114314 /nfs/dbraw/zinc/11/43/14/620114314.db2.gz APJWFCIJPDWDGY-LSDHHAIUSA-N 0 0 298.298 2.837 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000889577877 620126612 /nfs/dbraw/zinc/12/66/12/620126612.db2.gz DPPNEEZDPXRSFZ-KGYLQXTDSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)cc1[N+](=O)[O-] ZINC000889580767 620140654 /nfs/dbraw/zinc/14/06/54/620140654.db2.gz MFLJHLCZTNWNSA-MVWJERBFSA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1csc([N+](=O)[O-])c1 ZINC000889587976 620169616 /nfs/dbraw/zinc/16/96/16/620169616.db2.gz SFRHRRSKTLXHBE-FKTZTGRPSA-N 0 0 266.322 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COC[C@H]2C2CC2)c2ccncc21 ZINC000897442321 620172418 /nfs/dbraw/zinc/17/24/18/620172418.db2.gz UFFZEKQULNLFAW-ZFWWWQNUSA-N 0 0 299.330 2.980 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2COC[C@@H]2C2CC2)c(F)c1 ZINC000897445251 620173487 /nfs/dbraw/zinc/17/34/87/620173487.db2.gz DMOFRSFZYDXIDZ-SKDRFNHKSA-N 0 0 284.262 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3COC[C@@H]3C3CC3)ccc2c1 ZINC000897445279 620173556 /nfs/dbraw/zinc/17/35/56/620173556.db2.gz FEHGORMRQHZGSA-UKRRQHHQSA-N 0 0 299.330 2.980 20 5 CFBDRN Cc1cn[nH]c1C[NH2+]C[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000897463759 620177190 /nfs/dbraw/zinc/17/71/90/620177190.db2.gz ILQPNDQXDQIDJM-SNVBAGLBSA-N 0 0 274.324 2.520 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1ccsc1[N+](=O)[O-])C2 ZINC000897487199 620187617 /nfs/dbraw/zinc/18/76/17/620187617.db2.gz WCDPPTMZNBTNFE-KCJUWKMLSA-N 0 0 270.379 2.846 20 5 CFBDRN O=C(Oc1cccc(Cl)c1Cl)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841003064 620188939 /nfs/dbraw/zinc/18/89/39/620188939.db2.gz LHCMMXAKYAWLIR-IYSWYEEDSA-N 0 0 276.075 2.564 20 5 CFBDRN Cc1cc(NC(=O)c2ccccc2[N+](=O)[O-])ccc1O ZINC000148570545 620201029 /nfs/dbraw/zinc/20/10/29/620201029.db2.gz WHVNVXYYUQDXFL-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CCC[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000179155010 620205231 /nfs/dbraw/zinc/20/52/31/620205231.db2.gz UFXZYNQMCYFTSD-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN Cn1c(Cl)cnc1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000110049168 620215656 /nfs/dbraw/zinc/21/56/56/620215656.db2.gz HUBWJXWCXJRJPM-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN CC[C@H](C)c1ccccc1OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841011773 620232413 /nfs/dbraw/zinc/23/24/13/620232413.db2.gz VKQKZKAVKDJUCM-MVWJERBFSA-N 0 0 263.293 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@@H](C)CC1 ZINC000929118653 620241116 /nfs/dbraw/zinc/24/11/16/620241116.db2.gz RDZGMHDMVSNIHJ-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(F)F ZINC000786513556 620249944 /nfs/dbraw/zinc/24/99/44/620249944.db2.gz IQSKXFZEQYZFII-LURJTMIESA-N 0 0 284.218 2.887 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC=C(Cl)C1 ZINC000898189693 620350138 /nfs/dbraw/zinc/35/01/38/620350138.db2.gz ASQCJNBLTGMGDH-UHFFFAOYSA-N 0 0 266.684 2.563 20 5 CFBDRN Cc1ccc(OCc2cnc(Cl)cn2)c([N+](=O)[O-])c1 ZINC000231290340 620375322 /nfs/dbraw/zinc/37/53/22/620375322.db2.gz QJZFSJLSSLPFDI-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000889596641 620412724 /nfs/dbraw/zinc/41/27/24/620412724.db2.gz MPVSOLWHKJPREH-BPLDGKMQSA-N 0 0 288.347 2.832 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)c1[N+](=O)[O-] ZINC000889597219 620414868 /nfs/dbraw/zinc/41/48/68/620414868.db2.gz QEJHSBFUYGPQMB-XHVZSJERSA-N 0 0 292.310 2.961 20 5 CFBDRN O=C(NC1CCCC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929196440 620415437 /nfs/dbraw/zinc/41/54/37/620415437.db2.gz ATICPFUANWOAQG-KGLIPLIRSA-N 0 0 274.320 2.757 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H](C)OCC2CC2)c([N+](=O)[O-])c1 ZINC000064781632 620440500 /nfs/dbraw/zinc/44/05/00/620440500.db2.gz GBKCXMTXAVDMID-LLVKDONJSA-N 0 0 293.319 2.932 20 5 CFBDRN O=[N+]([O-])CCOc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000899230846 620533672 /nfs/dbraw/zinc/53/36/72/620533672.db2.gz MABCMCPQAWMHDE-UHFFFAOYSA-N 0 0 281.051 2.557 20 5 CFBDRN O=[N+]([O-])CCOc1ccc(Cl)c(Br)c1 ZINC000899232452 620534075 /nfs/dbraw/zinc/53/40/75/620534075.db2.gz OLXAGODFJBBUCY-UHFFFAOYSA-N 0 0 280.505 2.758 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1-n1[nH]c(CC2CC2)cc1=O ZINC000899350390 620550173 /nfs/dbraw/zinc/55/01/73/620550173.db2.gz YFSQCCUZRXKFNK-UHFFFAOYSA-N 0 0 273.292 2.796 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2C)C(=O)[C@@H]1C(C)C ZINC000899351237 620550565 /nfs/dbraw/zinc/55/05/65/620550565.db2.gz DKDJQSQJNQNUFJ-CYBMUJFWSA-N 0 0 275.308 2.898 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000899419087 620562398 /nfs/dbraw/zinc/56/23/98/620562398.db2.gz WKXWRUYMZCEOKY-RSLMWUCJSA-N 0 0 274.320 2.617 20 5 CFBDRN CNC(=S)Nc1cc([N+](=O)[O-])cc(C(F)(F)F)c1C ZINC000899597109 620585577 /nfs/dbraw/zinc/58/55/77/620585577.db2.gz GNZCEPJZANXSKH-UHFFFAOYSA-N 0 0 293.270 2.838 20 5 CFBDRN CN(CCCF)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000899818743 620604568 /nfs/dbraw/zinc/60/45/68/620604568.db2.gz RXEQTGDEBGXTTO-UHFFFAOYSA-N 0 0 274.679 2.680 20 5 CFBDRN COc1ccc(-n2ccnc2SCC[N+](=O)[O-])c(C)c1 ZINC000899881915 620608860 /nfs/dbraw/zinc/60/88/60/620608860.db2.gz UUNBKYNQDOICOE-UHFFFAOYSA-N 0 0 293.348 2.558 20 5 CFBDRN Cc1ccc2sc(SCC[N+](=O)[O-])nc2c1 ZINC000899893737 620610900 /nfs/dbraw/zinc/61/09/00/620610900.db2.gz MWFURGLQHNRRQR-UHFFFAOYSA-N 0 0 254.336 2.974 20 5 CFBDRN CC(C)[C@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000901518460 620854184 /nfs/dbraw/zinc/85/41/84/620854184.db2.gz QDOMDIVACIYEII-BXUZGUMPSA-N 0 0 292.335 2.985 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000901519090 620854687 /nfs/dbraw/zinc/85/46/87/620854687.db2.gz WOMKRWYPIFCIAK-CQSZACIVSA-N 0 0 291.307 2.705 20 5 CFBDRN COC/C(C)=C\Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000901519505 620854803 /nfs/dbraw/zinc/85/48/03/620854803.db2.gz HYPCSLLOKFVHCF-POHAHGRESA-N 0 0 275.308 2.846 20 5 CFBDRN CC[C@H](C)C[C@H]([NH2+]Cc1csc([N+](=O)[O-])c1)C(=O)[O-] ZINC000901675038 620881953 /nfs/dbraw/zinc/88/19/53/620881953.db2.gz QVZZVRHWILVLPR-WPRPVWTQSA-N 0 0 286.353 2.635 20 5 CFBDRN O=C(COc1cccc(C(F)(F)F)c1[N+](=O)[O-])C1CC1 ZINC000901653606 620883290 /nfs/dbraw/zinc/88/32/90/620883290.db2.gz LPFGCXVXIHDPSP-UHFFFAOYSA-N 0 0 289.209 2.972 20 5 CFBDRN CC[C@@H](C)C[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000901672141 620889295 /nfs/dbraw/zinc/88/92/95/620889295.db2.gz DXMCTIPYRXPSOG-MFKMUULPSA-N 0 0 294.351 2.882 20 5 CFBDRN CCCCC[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000901724238 620901143 /nfs/dbraw/zinc/90/11/43/620901143.db2.gz PHNZQJFWDLEZEM-CYBMUJFWSA-N 0 0 280.324 2.718 20 5 CFBDRN CCn1cc(CCNc2cc([N+](=O)[O-])ccc2C)cn1 ZINC000901924151 620943086 /nfs/dbraw/zinc/94/30/86/620943086.db2.gz ZPTMNIAPNKZWAE-UHFFFAOYSA-N 0 0 274.324 2.774 20 5 CFBDRN COc1cc(CNc2cc([N+](=O)[O-])c(C)cc2C)on1 ZINC000901928999 620943868 /nfs/dbraw/zinc/94/38/68/620943868.db2.gz PBKLRXOPWMPGHG-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN O=C([O-])C[C@@H]1CCC[N@@H+]1C/C=C\c1ccccc1[N+](=O)[O-] ZINC000902010215 620957288 /nfs/dbraw/zinc/95/72/88/620957288.db2.gz SONXOLXIVNOLGZ-RJNRQISESA-N 0 0 290.319 2.547 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1nncs1 ZINC000902055998 620966198 /nfs/dbraw/zinc/96/61/98/620966198.db2.gz HDIVTTOICMVMCW-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN C[C@H]([NH2+]CCC(C)(C)C(=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000902149132 620987240 /nfs/dbraw/zinc/98/72/40/620987240.db2.gz IWHWDWRHOCAPAT-JTQLQIEISA-N 0 0 280.324 2.746 20 5 CFBDRN CCc1ncc(NCc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000902206075 620998182 /nfs/dbraw/zinc/99/81/82/620998182.db2.gz XVPIPFIGVRRGNF-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN Cc1nsc(C)c1COc1ccc(N)c([N+](=O)[O-])c1 ZINC000902235818 621003648 /nfs/dbraw/zinc/00/36/48/621003648.db2.gz OGTSKLAKBIHQKO-UHFFFAOYSA-N 0 0 279.321 2.829 20 5 CFBDRN Cc1ccc(CNc2c(C)ccn(C)c2=O)cc1[N+](=O)[O-] ZINC000902256641 621006825 /nfs/dbraw/zinc/00/68/25/621006825.db2.gz UFQHHUHFZXSVKM-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2C[C@H]1C[C@]12CCOC2 ZINC000902258844 621008232 /nfs/dbraw/zinc/00/82/32/621008232.db2.gz MQSCUDRITDKNAX-ABAIWWIYSA-N 0 0 272.304 2.976 20 5 CFBDRN CCCc1noc(CSCc2cccnc2[N+](=O)[O-])n1 ZINC000902296910 621024723 /nfs/dbraw/zinc/02/47/23/621024723.db2.gz LYMYSBOYEJTWKQ-UHFFFAOYSA-N 0 0 294.336 2.759 20 5 CFBDRN Cc1cc(OC[C@H]2C[C@@]23CCOC3)c(F)cc1[N+](=O)[O-] ZINC000902341162 621032433 /nfs/dbraw/zinc/03/24/33/621032433.db2.gz BGLAUCWTBWAXEE-QMTHXVAHSA-N 0 0 281.283 2.848 20 5 CFBDRN CCc1noc(C)c1CSCc1cn(C)nc1[N+](=O)[O-] ZINC000902343623 621033342 /nfs/dbraw/zinc/03/33/42/621033342.db2.gz ZUAUOYRIXOSBMV-UHFFFAOYSA-N 0 0 296.352 2.621 20 5 CFBDRN CCc1nc(C)ccc1OCc1cccnc1[N+](=O)[O-] ZINC000902355387 621050548 /nfs/dbraw/zinc/05/05/48/621050548.db2.gz RZHPAUSMFWFVQX-UHFFFAOYSA-N 0 0 273.292 2.835 20 5 CFBDRN CC[C@@H]1C[C@H]1COc1ccc([N+](=O)[O-])c(CO)c1 ZINC000902358452 621051788 /nfs/dbraw/zinc/05/17/88/621051788.db2.gz HBHWZBYRXVVARQ-KOLCDFICSA-N 0 0 251.282 2.512 20 5 CFBDRN Cc1cc(C(F)(F)F)nn1Cc1cccnc1[N+](=O)[O-] ZINC000902407019 621055770 /nfs/dbraw/zinc/05/57/70/621055770.db2.gz XARVWNPNJJYYMR-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN C=C/C=C/CCSCCn1cc([N+](=O)[O-])cn1 ZINC000902450200 621091642 /nfs/dbraw/zinc/09/16/42/621091642.db2.gz HKKDJJKZNPIUJJ-ONEGZZNKSA-N 0 0 253.327 2.657 20 5 CFBDRN Cc1nn(Cc2cccnc2[N+](=O)[O-])cc1C(F)(F)F ZINC000902467231 621099154 /nfs/dbraw/zinc/09/91/54/621099154.db2.gz UWJWJULLBPOOBR-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN C[C@@H](SCCN1CCOC1=O)c1cccc([N+](=O)[O-])c1 ZINC000902469720 621101148 /nfs/dbraw/zinc/10/11/48/621101148.db2.gz UQWGUFFUIGCLGN-SNVBAGLBSA-N 0 0 296.348 2.841 20 5 CFBDRN CC(F)(F)CCCSCCn1cc([N+](=O)[O-])cn1 ZINC000902573509 621128281 /nfs/dbraw/zinc/12/82/81/621128281.db2.gz XWFDJLZWYGQYQO-UHFFFAOYSA-N 0 0 279.312 2.960 20 5 CFBDRN CCc1c(C)on(Cc2ccc([N+](=O)[O-])cc2Cl)c1=O ZINC000902579545 621129746 /nfs/dbraw/zinc/12/97/46/621129746.db2.gz NESYZIQUDUNZBU-UHFFFAOYSA-N 0 0 296.710 2.922 20 5 CFBDRN CN(C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000902693531 621152827 /nfs/dbraw/zinc/15/28/27/621152827.db2.gz HIKQUKPZNVBABR-KBPBESRZSA-N 0 0 297.314 2.756 20 5 CFBDRN COC/C(C)=C/Cn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000902749886 621164346 /nfs/dbraw/zinc/16/43/46/621164346.db2.gz YUFBRZFSXBGVGY-VZUCSPMQSA-N 0 0 288.303 2.502 20 5 CFBDRN COC/C(C)=C\Cn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000902754257 621165284 /nfs/dbraw/zinc/16/52/84/621165284.db2.gz YQWKQQXDDYTUGQ-WZUFQYTHSA-N 0 0 288.303 2.502 20 5 CFBDRN C[C@@H](N[C@@H]1CCn2ccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000903287328 621318332 /nfs/dbraw/zinc/31/83/32/621318332.db2.gz NXZGUIUNGVLBSU-ZWNOBZJWSA-N 0 0 272.308 2.587 20 5 CFBDRN Cc1cc(COC(=O)[C@H](C)[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000904286531 621567703 /nfs/dbraw/zinc/56/77/03/621567703.db2.gz OVXDKJYMWRUIAU-RISCZKNCSA-N 0 0 293.319 2.762 20 5 CFBDRN Nc1c(-c2nc(-c3ccsc3)no2)cccc1[N+](=O)[O-] ZINC000904299276 621568217 /nfs/dbraw/zinc/56/82/17/621568217.db2.gz XUCXMINRTRWZMG-UHFFFAOYSA-N 0 0 288.288 2.956 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cc1nc(-c2ccco2)no1 ZINC000904757482 621630910 /nfs/dbraw/zinc/63/09/10/621630910.db2.gz OMAPNFJUUJKZDD-UHFFFAOYSA-N 0 0 289.222 2.968 20 5 CFBDRN O=c1sccn1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000071350950 625504427 /nfs/dbraw/zinc/50/44/27/625504427.db2.gz NULZQGWRJZUZTC-UHFFFAOYSA-N 0 0 270.697 2.520 20 5 CFBDRN CC(C)c1nn(C)cc1-c1cc([N+](=O)[O-])ccc1CO ZINC000904795964 621648614 /nfs/dbraw/zinc/64/86/14/621648614.db2.gz FBDBVRYXLIXKPT-UHFFFAOYSA-N 0 0 275.308 2.611 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc3c(s2)COCC3)cc1 ZINC000904795918 621648992 /nfs/dbraw/zinc/64/89/92/621648992.db2.gz CMGRJDVZULYACW-UHFFFAOYSA-N 0 0 262.290 2.791 20 5 CFBDRN Cc1c2c(ccc1-c1cc(CO)cc([N+](=O)[O-])c1)OCC2 ZINC000904858479 621652563 /nfs/dbraw/zinc/65/25/63/621652563.db2.gz JATJMENDOLQLJJ-UHFFFAOYSA-N 0 0 285.299 2.997 20 5 CFBDRN COc1ccc(OC(=O)C2(C)CC=CC2)cc1[N+](=O)[O-] ZINC000904852598 621659848 /nfs/dbraw/zinc/65/98/48/621659848.db2.gz RSXZZTSFLZTINF-UHFFFAOYSA-N 0 0 277.276 2.865 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1-c1nc(-c2ccncn2)no1 ZINC000904863866 621664169 /nfs/dbraw/zinc/66/41/69/621664169.db2.gz WINCWRNRFUCSMP-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cn1ccc(-c2noc(/C=C\c3ccc([N+](=O)[O-])cc3)n2)n1 ZINC000904871895 621666386 /nfs/dbraw/zinc/66/63/86/621666386.db2.gz WXRWLWJDQNWDGH-DAXSKMNVSA-N 0 0 297.274 2.549 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000832771709 625511882 /nfs/dbraw/zinc/51/18/82/625511882.db2.gz YIKIUHWFUYTEFQ-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCOCC1CCC1 ZINC000905104614 621700563 /nfs/dbraw/zinc/70/05/63/621700563.db2.gz VGJKROKRNVLDMT-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1ccc(CNCC[N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000905158714 621705960 /nfs/dbraw/zinc/70/59/60/621705960.db2.gz HXUASYPBCDPRMJ-UHFFFAOYSA-N 0 0 266.341 2.539 20 5 CFBDRN Cc1ccc(OC[C@@H]2C[C@@]23CCOC3)c([N+](=O)[O-])c1 ZINC000905231340 621721862 /nfs/dbraw/zinc/72/18/62/621721862.db2.gz JPBOINOAMUOJOE-SMDDNHRTSA-N 0 0 263.293 2.709 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000832767420 625510062 /nfs/dbraw/zinc/51/00/62/625510062.db2.gz HRUGALLKKAFMSO-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000832771309 625510335 /nfs/dbraw/zinc/51/03/35/625510335.db2.gz KCSSJZJMXABTQK-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN O=[N+]([O-])CCNc1cc(F)c(N2CCCCC2)c(F)c1 ZINC000905331352 621749972 /nfs/dbraw/zinc/74/99/72/621749972.db2.gz SDRSBYVRCSCZDU-UHFFFAOYSA-N 0 0 285.294 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N2CC[C@@H](CF)C2)cc1 ZINC000905524913 621790433 /nfs/dbraw/zinc/79/04/33/621790433.db2.gz HYYOQAOYAZEKKO-VIFPVBQESA-N 0 0 283.328 2.583 20 5 CFBDRN O=[N+]([O-])CCNCc1ccccc1SC(F)(F)F ZINC000905698904 621829849 /nfs/dbraw/zinc/82/98/49/621829849.db2.gz QIAHWQSPSVVZAY-UHFFFAOYSA-N 0 0 280.271 2.665 20 5 CFBDRN Cc1noc([C@@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000905852897 621888765 /nfs/dbraw/zinc/88/87/65/621888765.db2.gz OBKREYNRMPWVEV-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN CCN(C)C(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000906036378 621920513 /nfs/dbraw/zinc/92/05/13/621920513.db2.gz IWJLFBJPAWMBBX-UHFFFAOYSA-N 0 0 270.716 2.659 20 5 CFBDRN CCCn1nc(C)c(-c2ccc([N+](=O)[O-])nc2)c1C ZINC000906170291 621942986 /nfs/dbraw/zinc/94/29/86/621942986.db2.gz CVBBEYVQZPJALV-UHFFFAOYSA-N 0 0 260.297 2.880 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000906237857 621962958 /nfs/dbraw/zinc/96/29/58/621962958.db2.gz MPSJFMPZVZDEHZ-SECBINFHSA-N 0 0 298.314 2.586 20 5 CFBDRN CC(C)(C)[S@](C)(=O)=NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000906309582 621971936 /nfs/dbraw/zinc/97/19/36/621971936.db2.gz SLQNABIOXVIFEI-IBGZPJMESA-N 0 0 284.337 2.631 20 5 CFBDRN Cc1c(C(=O)N=[S@](C)(=O)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000906310133 621971947 /nfs/dbraw/zinc/97/19/47/621971947.db2.gz MLRIJWXZGKYMFO-HXUWFJFHSA-N 0 0 298.364 2.940 20 5 CFBDRN CC(C)(C)[S@@](C)(=O)=NC(=O)c1csc([N+](=O)[O-])c1 ZINC000906316128 621972852 /nfs/dbraw/zinc/97/28/52/621972852.db2.gz MKYSJMOZCWKXJH-GOSISDBHSA-N 0 0 290.366 2.693 20 5 CFBDRN Nc1ccc(N2CCc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000071600504 625532688 /nfs/dbraw/zinc/53/26/88/625532688.db2.gz ZVGVSJWMEVXTEI-UHFFFAOYSA-N 0 0 269.304 2.740 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000907221552 622099906 /nfs/dbraw/zinc/09/99/06/622099906.db2.gz ROBLMHPAQLSCTB-COPLHBTASA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H](OCC1CC1)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000833029809 625543445 /nfs/dbraw/zinc/54/34/45/625543445.db2.gz OOHIQDULSTXXQY-QWRGUYRKSA-N 0 0 292.335 2.587 20 5 CFBDRN CC1(F)CN(C(=O)c2cc(Cl)c(F)c([N+](=O)[O-])c2)C1 ZINC000907863405 622167814 /nfs/dbraw/zinc/16/78/14/622167814.db2.gz MWOOIYHQUZLUHA-UHFFFAOYSA-N 0 0 290.653 2.571 20 5 CFBDRN C/C(Cl)=C\C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000908054299 622188163 /nfs/dbraw/zinc/18/81/63/622188163.db2.gz QLBXVTYLUIVVCY-CSKARUKUSA-N 0 0 282.727 2.738 20 5 CFBDRN CCN(CC(C)=O)C(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000908392344 622226207 /nfs/dbraw/zinc/22/62/07/622226207.db2.gz PWDRBBSYPWXQIH-UHFFFAOYSA-N 0 0 298.726 2.608 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000027461303 622233454 /nfs/dbraw/zinc/23/34/54/622233454.db2.gz NGLCZQXRXHKECB-MRVPVSSYSA-N 0 0 295.295 2.730 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000908511299 622240976 /nfs/dbraw/zinc/24/09/76/622240976.db2.gz BHLPKCPCJTWGNF-COPLHBTASA-N 0 0 292.335 2.587 20 5 CFBDRN O=C(CCF)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000908969772 622291464 /nfs/dbraw/zinc/29/14/64/622291464.db2.gz VXMAZFVPLPLOCK-GFCCVEGCSA-N 0 0 280.299 2.660 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000909017876 622300905 /nfs/dbraw/zinc/30/09/05/622300905.db2.gz HUGURLMIWJLHIJ-OQFOIZHKSA-N 0 0 299.710 2.998 20 5 CFBDRN CCSCCOC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026965 622387897 /nfs/dbraw/zinc/38/78/97/622387897.db2.gz GVLVUCDIYHUJSB-STQMWFEESA-N 0 0 295.360 2.995 20 5 CFBDRN CC[C@H](OC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C(C)=O ZINC000910025675 622388163 /nfs/dbraw/zinc/38/81/63/622388163.db2.gz XXWRGKMIQYAGOP-MJBXVCDLSA-N 0 0 291.303 2.609 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027809 622388221 /nfs/dbraw/zinc/38/82/21/622388221.db2.gz NSZPRGCAQLOVMP-TVYUQYBPSA-N 0 0 291.303 2.609 20 5 CFBDRN C/C=C\COC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026946 622388300 /nfs/dbraw/zinc/38/83/00/622388300.db2.gz GRQZKEGAOILXOT-GPRRCUJPSA-N 0 0 261.277 2.818 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@H]2C)c1[N+](=O)[O-] ZINC000910075741 622402724 /nfs/dbraw/zinc/40/27/24/622402724.db2.gz JIBUATBNLBOJJP-GRLWKWRFSA-N 0 0 294.307 2.617 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NC(CF)CF)cc1[N+](=O)[O-] ZINC000833419272 625565518 /nfs/dbraw/zinc/56/55/18/625565518.db2.gz QGABCUZQVUXTKJ-UHFFFAOYSA-N 0 0 292.669 2.594 20 5 CFBDRN CC[C@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000910357586 622435004 /nfs/dbraw/zinc/43/50/04/622435004.db2.gz NDTJTRDQLFSAEU-AWEZNQCLSA-N 0 0 299.330 2.800 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000174951130 622447572 /nfs/dbraw/zinc/44/75/72/622447572.db2.gz ZDQPMQIRPAZXDM-LURJTMIESA-N 0 0 293.197 2.711 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)s1 ZINC000819909928 622570364 /nfs/dbraw/zinc/57/03/64/622570364.db2.gz LVTGHLBKCOCXTE-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN C[C@H]1CCC[C@H](C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000819919334 622571257 /nfs/dbraw/zinc/57/12/57/622571257.db2.gz FCODUNQJKAGKIT-UWVGGRQHSA-N 0 0 277.324 2.942 20 5 CFBDRN CO[C@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)CC(C)C ZINC000842073951 622572810 /nfs/dbraw/zinc/57/28/10/622572810.db2.gz AZSHHQSEYYSEJQ-ZDUSSCGKSA-N 0 0 299.298 2.952 20 5 CFBDRN CCn1nc(C)c(CNc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000819933885 622576485 /nfs/dbraw/zinc/57/64/85/622576485.db2.gz DILRSWITGHOREY-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CC23CC3)c1 ZINC000819941081 622578988 /nfs/dbraw/zinc/57/89/88/622578988.db2.gz GKSKQKPYHIHYTA-SNVBAGLBSA-N 0 0 252.245 2.707 20 5 CFBDRN O=C(/C=C/[C@@H]1CCCO1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000819964016 622586153 /nfs/dbraw/zinc/58/61/53/622586153.db2.gz JYAAZIGUVISWCR-RWCYGVJQSA-N 0 0 297.694 2.889 20 5 CFBDRN CSC[C@H](C)NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000820116207 622611308 /nfs/dbraw/zinc/61/13/08/622611308.db2.gz POXUMMUWPZNDBN-VIFPVBQESA-N 0 0 282.365 2.693 20 5 CFBDRN CO[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000820134793 622616016 /nfs/dbraw/zinc/61/60/16/622616016.db2.gz ZIWHKZIZWNSBAR-GFCCVEGCSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@@H]1CCCCN1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000912149290 622650345 /nfs/dbraw/zinc/65/03/45/622650345.db2.gz VMEYNLZFKCGKFU-SECBINFHSA-N 0 0 297.742 2.767 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000820441129 622676211 /nfs/dbraw/zinc/67/62/11/622676211.db2.gz PVXGORBORJZADB-SNVBAGLBSA-N 0 0 264.281 2.725 20 5 CFBDRN CO[C@H](CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C)C(C)C ZINC000820473283 622683594 /nfs/dbraw/zinc/68/35/94/622683594.db2.gz ZGNISTFQDXWSRW-CYBMUJFWSA-N 0 0 294.351 2.612 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NOCCC1CC1 ZINC000820484321 622686035 /nfs/dbraw/zinc/68/60/35/622686035.db2.gz HYWBNCWCCDBAIO-UHFFFAOYSA-N 0 0 296.348 2.778 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OCCOC2CC2)c(Cl)c1 ZINC000912364025 622700091 /nfs/dbraw/zinc/70/00/91/622700091.db2.gz DLRGKKJUTLIQMO-UHFFFAOYSA-N 0 0 275.663 2.945 20 5 CFBDRN Cc1cc(COC(=O)/C=C/[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000820555860 622701884 /nfs/dbraw/zinc/70/18/84/622701884.db2.gz ALZHCQILZFUJNE-VUDGCMKMSA-N 0 0 291.303 2.682 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000912388244 622703064 /nfs/dbraw/zinc/70/30/64/622703064.db2.gz JXLJPGCLEZYRDR-MRVPVSSYSA-N 0 0 284.674 2.836 20 5 CFBDRN COC(=O)CC1(CNc2ncc([N+](=O)[O-])s2)CCCC1 ZINC000912463527 622715595 /nfs/dbraw/zinc/71/55/95/622715595.db2.gz OURGOSVQNAKXIJ-UHFFFAOYSA-N 0 0 299.352 2.587 20 5 CFBDRN C[C@H](F)CCNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000820631502 622720078 /nfs/dbraw/zinc/72/00/78/622720078.db2.gz PXAQKLMNBLZJPV-ZWKOPEQDSA-N 0 0 280.299 2.563 20 5 CFBDRN COc1cc(N2C[C@@H](C)OC(C)(C)C2)c([N+](=O)[O-])cc1C ZINC000820641139 622722913 /nfs/dbraw/zinc/72/29/13/622722913.db2.gz ZKEZQAWXGPSEMN-LLVKDONJSA-N 0 0 294.351 2.916 20 5 CFBDRN C[C@@H]1CN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000820651681 622726438 /nfs/dbraw/zinc/72/64/38/622726438.db2.gz TUJBCLZYNCZACC-RDBQEKCUSA-N 0 0 274.320 2.565 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC=CC12CCCCC2 ZINC000912478352 622726694 /nfs/dbraw/zinc/72/66/94/622726694.db2.gz PZUPUHOUYKYVHS-UHFFFAOYSA-N 0 0 275.308 2.638 20 5 CFBDRN CC(C)(CCC(=O)OCC[C@H]1CC1(Cl)Cl)[N+](=O)[O-] ZINC000820718558 622742924 /nfs/dbraw/zinc/74/29/24/622742924.db2.gz MKZSOOMTSSNBPX-QMMMGPOBSA-N 0 0 298.166 2.949 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@]1(C)CCCO1 ZINC000820745981 622749165 /nfs/dbraw/zinc/74/91/65/622749165.db2.gz NRXGWGIHGLBIJT-OAHLLOKOSA-N 0 0 292.335 2.511 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCc2cccnc21 ZINC000820765970 622753146 /nfs/dbraw/zinc/75/31/46/622753146.db2.gz JQSSVPAVCQMJBO-CQSZACIVSA-N 0 0 298.298 2.763 20 5 CFBDRN Nc1ccc(C(=O)N2CC[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000072057038 625594252 /nfs/dbraw/zinc/59/42/52/625594252.db2.gz BPVHWWGMSUYSIM-GWCFXTLKSA-N 0 0 289.335 2.582 20 5 CFBDRN COc1ccc(/C=C(\C)c2ncccn2)cc1[N+](=O)[O-] ZINC000821017494 622821474 /nfs/dbraw/zinc/82/14/74/622821474.db2.gz IJEFAAHVIKUVOO-CSKARUKUSA-N 0 0 271.276 2.954 20 5 CFBDRN COc1ccc(C(=O)OCC(C)(C)C)cc1[N+](=O)[O-] ZINC000072079308 625598065 /nfs/dbraw/zinc/59/80/65/625598065.db2.gz LWZGLJYYADAXHK-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](F)C1 ZINC000821038011 622828630 /nfs/dbraw/zinc/82/86/30/622828630.db2.gz OLWABGAIXKVHMG-MRVPVSSYSA-N 0 0 284.312 2.501 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC=CC12CCCC2 ZINC000913194568 622848486 /nfs/dbraw/zinc/84/84/86/622848486.db2.gz MDHTUFGOSFQSDB-UHFFFAOYSA-N 0 0 272.304 2.920 20 5 CFBDRN C[C@H](C(=O)OCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000151257808 622875766 /nfs/dbraw/zinc/87/57/66/622875766.db2.gz YBGGPONADLWPHP-JTQLQIEISA-N 0 0 263.293 2.727 20 5 CFBDRN Cc1cc(C(=O)N2CC(c3ccccn3)C2)ccc1[N+](=O)[O-] ZINC000913371663 622882606 /nfs/dbraw/zinc/88/26/06/622882606.db2.gz SJJKBDLPPMWYFN-UHFFFAOYSA-N 0 0 297.314 2.538 20 5 CFBDRN Cc1ccc(COC(=O)/C=C\[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000821273882 622882962 /nfs/dbraw/zinc/88/29/62/622882962.db2.gz LGVUZVVXCJBORR-FWWRYZNZSA-N 0 0 291.303 2.682 20 5 CFBDRN Cc1cccc(C(=O)N2CC(c3ccccn3)C2)c1[N+](=O)[O-] ZINC000913372928 622883177 /nfs/dbraw/zinc/88/31/77/622883177.db2.gz PNGZUWAUSHRKCX-UHFFFAOYSA-N 0 0 297.314 2.538 20 5 CFBDRN O=C(NCc1cc(F)c(Cl)c([N+](=O)[O-])c1)C1(F)CC1 ZINC000913385662 622892043 /nfs/dbraw/zinc/89/20/43/622892043.db2.gz GVMFLFXFHFOMBC-UHFFFAOYSA-N 0 0 290.653 2.506 20 5 CFBDRN O=C(NCc1cc(F)c(Cl)c([N+](=O)[O-])c1)C1CCC1 ZINC000913385981 622892241 /nfs/dbraw/zinc/89/22/41/622892241.db2.gz FKHPPPWRZDULHQ-UHFFFAOYSA-N 0 0 286.690 2.804 20 5 CFBDRN C[C@]1(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCCOC1 ZINC000221705409 622923763 /nfs/dbraw/zinc/92/37/63/622923763.db2.gz ATTYZIWDSPRUMR-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@@]1(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCCOC1 ZINC000221705354 622923791 /nfs/dbraw/zinc/92/37/91/622923791.db2.gz ATTYZIWDSPRUMR-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN COCc1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2)cc1 ZINC000044998314 622953349 /nfs/dbraw/zinc/95/33/49/622953349.db2.gz MKAKJGXHWFXNJG-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)C(F)F ZINC000821676372 622961223 /nfs/dbraw/zinc/96/12/23/622961223.db2.gz RALIQBLRQWFVGI-UHFFFAOYSA-N 0 0 284.140 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\Sc2cccc[n+]2[O-])o1 ZINC000821689461 622962645 /nfs/dbraw/zinc/96/26/45/622962645.db2.gz WUZGXTFLHGWUDJ-VURMDHGXSA-N 0 0 264.262 2.584 20 5 CFBDRN CC(C)CCOCCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000045108616 622965236 /nfs/dbraw/zinc/96/52/36/622965236.db2.gz UAYGSSVRXULPKL-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2CCC2(C)C)c1 ZINC000821843381 622991468 /nfs/dbraw/zinc/99/14/68/622991468.db2.gz VCUSJLAFEJQELQ-GFCCVEGCSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@]1(COC(=O)CSc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000822077466 623028332 /nfs/dbraw/zinc/02/83/32/623028332.db2.gz GCUBZYKJQMRRQI-WCQYABFASA-N 0 0 299.323 2.978 20 5 CFBDRN C[C@@]1(COC(=O)CSc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000822077467 623028961 /nfs/dbraw/zinc/02/89/61/623028961.db2.gz GCUBZYKJQMRRQI-YPMHNXCESA-N 0 0 299.323 2.978 20 5 CFBDRN C[C@H]1Cc2ccccc2N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000045863786 623030201 /nfs/dbraw/zinc/03/02/01/623030201.db2.gz DGCQKEIMTQHQRB-JTQLQIEISA-N 0 0 297.314 2.768 20 5 CFBDRN COc1ccc(C(=O)OC[C@@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000822081274 623030652 /nfs/dbraw/zinc/03/06/52/623030652.db2.gz YACJVFIADRZGOY-DGCLKSJQSA-N 0 0 283.255 2.508 20 5 CFBDRN C[C@@]1(COC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000822152258 623051716 /nfs/dbraw/zinc/05/17/16/623051716.db2.gz VVOVCJATEDTPLC-JLNYLFASSA-N 0 0 293.294 2.990 20 5 CFBDRN Cc1nnc(NCc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000225939952 623052620 /nfs/dbraw/zinc/05/26/20/623052620.db2.gz FMLVZCXJTBHXIM-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cnc(Cl)cn1 ZINC000226550572 623082262 /nfs/dbraw/zinc/08/22/62/623082262.db2.gz AKHWJCWLSZBATE-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Nc1ccc(C(=O)NCCC[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000834341202 625623877 /nfs/dbraw/zinc/62/38/77/625623877.db2.gz CSLUWJWFVHXHJO-LLVKDONJSA-N 0 0 289.335 2.653 20 5 CFBDRN O=C(/C=C/[C@@H]1CCCO1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000822640187 623141213 /nfs/dbraw/zinc/14/12/13/623141213.db2.gz NHUIXTWFGFDXDT-RWCYGVJQSA-N 0 0 296.710 2.922 20 5 CFBDRN CN(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CCCC1 ZINC000228623936 623154157 /nfs/dbraw/zinc/15/41/57/623154157.db2.gz SFMOCXIVXWXYSQ-UHFFFAOYSA-N 0 0 284.262 2.888 20 5 CFBDRN Cc1ncccc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000228626531 623154706 /nfs/dbraw/zinc/15/47/06/623154706.db2.gz ZPSVRPPKYNPQGR-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2(O)CCCC2)cc1Cl ZINC000087464146 623162927 /nfs/dbraw/zinc/16/29/27/623162927.db2.gz GYKZAGOCCIAVKP-UHFFFAOYSA-N 0 0 271.700 2.932 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1cc([N+](=O)[O-])ccc1F ZINC000228832452 623164232 /nfs/dbraw/zinc/16/42/32/623164232.db2.gz GULYQNXSLBVIQV-UHFFFAOYSA-N 0 0 278.283 2.654 20 5 CFBDRN CCN(CC(C)C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000170639573 623170179 /nfs/dbraw/zinc/17/01/79/623170179.db2.gz ZRXBAHTVXVBZEP-UHFFFAOYSA-N 0 0 291.351 2.962 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1CN1CC[C@@H]2C[C@@H]21 ZINC000822790540 623175774 /nfs/dbraw/zinc/17/57/74/623175774.db2.gz HZRBHIIBBLKYCT-KCJUWKMLSA-N 0 0 297.152 2.952 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OC1CCCCCC1 ZINC000061109982 623184145 /nfs/dbraw/zinc/18/41/45/623184145.db2.gz PHHQJADIBFGNBZ-UHFFFAOYSA-N 0 0 295.339 2.756 20 5 CFBDRN Cc1ncccc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000170988421 623197323 /nfs/dbraw/zinc/19/73/23/623197323.db2.gz PCRRHGMYHDFDIN-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CSC1(CNC(=O)c2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000229419384 623202944 /nfs/dbraw/zinc/20/29/44/623202944.db2.gz FNOHBBITOPEUKN-UHFFFAOYSA-N 0 0 298.339 2.749 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccnn1C1CCCC1)[N+](=O)[O-] ZINC000823000124 623217283 /nfs/dbraw/zinc/21/72/83/623217283.db2.gz WAZLQXJMFZUVID-UHFFFAOYSA-N 0 0 294.355 2.772 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OCCC1CCCC1 ZINC000171344363 623217512 /nfs/dbraw/zinc/21/75/12/623217512.db2.gz YXTUPMUFQNWXKH-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN CCCCCC[C@@H](C)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000171491308 623224248 /nfs/dbraw/zinc/22/42/48/623224248.db2.gz WBNKYFWWLMBPKV-LLVKDONJSA-N 0 0 283.328 2.693 20 5 CFBDRN Cc1ncsc1COC(=O)c1csc([N+](=O)[O-])c1 ZINC000171798446 623243987 /nfs/dbraw/zinc/24/39/87/623243987.db2.gz DWNWOJKTLGGPMJ-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN C[C@H]1OCC[C@]1(C)NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000230105702 623252053 /nfs/dbraw/zinc/25/20/53/623252053.db2.gz VMSNLRYYKUYPPW-OQPBUACISA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000230106692 623252336 /nfs/dbraw/zinc/25/23/36/623252336.db2.gz KAVFRECTQLGPSK-AMIZOPFISA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)c(OCc2ccno2)c1 ZINC000230401821 623275110 /nfs/dbraw/zinc/27/51/10/623275110.db2.gz KIDNRTHRRMLHGR-UHFFFAOYSA-N 0 0 299.080 2.924 20 5 CFBDRN CC(C)(CCC(=O)NC[C@H]1CCc2ccccc21)[N+](=O)[O-] ZINC000823244750 623275342 /nfs/dbraw/zinc/27/53/42/623275342.db2.gz WQGJPQPWKHPGQX-CYBMUJFWSA-N 0 0 290.363 2.668 20 5 CFBDRN CCSCCOC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000062697783 623288360 /nfs/dbraw/zinc/28/83/60/623288360.db2.gz VSXBKGVLLKNXMU-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN[C@@H](CO)c1ccccc1 ZINC000063002521 623312767 /nfs/dbraw/zinc/31/27/67/623312767.db2.gz RDGNZUSGLHLAKA-AWEZNQCLSA-N 0 0 290.294 2.557 20 5 CFBDRN CCOc1cccc(NC[C@@H](O)CC(C)C)c1[N+](=O)[O-] ZINC000231036962 623319925 /nfs/dbraw/zinc/31/99/25/623319925.db2.gz YEQMYKUOCHQZOI-NSHDSACASA-N 0 0 282.340 2.812 20 5 CFBDRN CN(C)c1cccc(COC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000173171290 623335099 /nfs/dbraw/zinc/33/50/99/623335099.db2.gz BJNVJGWVYJIVST-UHFFFAOYSA-N 0 0 290.275 2.611 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CS[C@@H](C)C1 ZINC000823582156 623352583 /nfs/dbraw/zinc/35/25/83/623352583.db2.gz QMSNKLBJNGIVFQ-ONGXEEELSA-N 0 0 294.376 2.835 20 5 CFBDRN CCON(C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C(C)C ZINC000823602841 623357901 /nfs/dbraw/zinc/35/79/01/623357901.db2.gz JCODJNLDADOFIE-KGLIPLIRSA-N 0 0 292.335 2.887 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2cc([N+](=O)[O-])ccc2F)o1 ZINC000174172200 623395793 /nfs/dbraw/zinc/39/57/93/623395793.db2.gz RBNOJWIDIPDVMF-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN O=C(/C=C\[C@H]1CCCO1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000823814741 623400120 /nfs/dbraw/zinc/40/01/20/623400120.db2.gz PEAVMXLDTCLSQW-ATJFRQLMSA-N 0 0 296.710 2.922 20 5 CFBDRN CC(C)(NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCC1 ZINC000823933476 623420554 /nfs/dbraw/zinc/42/05/54/623420554.db2.gz QXAXCJUJRNCROZ-UHFFFAOYSA-N 0 0 292.339 2.877 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823974920 623428121 /nfs/dbraw/zinc/42/81/21/623428121.db2.gz WTRRAZRQIHSXGU-VHSXEESVSA-N 0 0 292.339 2.829 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823979755 623428311 /nfs/dbraw/zinc/42/83/11/623428311.db2.gz BIRBPOYBJJDXCV-CABZTGNLSA-N 0 0 292.339 2.877 20 5 CFBDRN CC(C)C1(CNC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000823980871 623429186 /nfs/dbraw/zinc/42/91/86/623429186.db2.gz SDHTVPXAGOMEKJ-UHFFFAOYSA-N 0 0 292.339 2.735 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823994666 623429860 /nfs/dbraw/zinc/42/98/60/623429860.db2.gz XREZLTOMFNZSCO-SNVBAGLBSA-N 0 0 278.312 2.583 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824003144 623430835 /nfs/dbraw/zinc/43/08/35/623430835.db2.gz QHKSFZKXUDQDAG-VIFPVBQESA-N 0 0 280.328 2.685 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824009024 623431557 /nfs/dbraw/zinc/43/15/57/623431557.db2.gz ZPOVIESFHXXCQW-BXKDBHETSA-N 0 0 292.339 2.877 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824009026 623431830 /nfs/dbraw/zinc/43/18/30/623431830.db2.gz ZPOVIESFHXXCQW-JOYOIKCWSA-N 0 0 292.339 2.877 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCC1)c1cc([N+](=O)[O-])ccc1F ZINC000824021510 623434100 /nfs/dbraw/zinc/43/41/00/623434100.db2.gz JDPRZZUCAZZLAF-JQWIXIFHSA-N 0 0 292.310 2.900 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000088312283 623450367 /nfs/dbraw/zinc/45/03/67/623450367.db2.gz UHHLSFMOWMUKND-NXEZZACHSA-N 0 0 279.340 2.732 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCC[C@@H](F)C1 ZINC000824146000 623460084 /nfs/dbraw/zinc/46/00/84/623460084.db2.gz NZSSFLDDXIPJQW-MRVWCRGKSA-N 0 0 292.310 2.659 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])nc2)CC1(Cl)Cl ZINC000828798501 623497336 /nfs/dbraw/zinc/49/73/36/623497336.db2.gz LAXHSDPIQMCCJP-SECBINFHSA-N 0 0 276.123 2.986 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC[C@@H](F)C1 ZINC000824572263 623526274 /nfs/dbraw/zinc/52/62/74/623526274.db2.gz WWYFXBYNTGYCSJ-SNVBAGLBSA-N 0 0 258.680 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@H+]2CC[C@@H](CCCO)C2)cc1Cl ZINC000825336974 623675291 /nfs/dbraw/zinc/67/52/91/623675291.db2.gz BLXPGRQDRZXOKU-LLVKDONJSA-N 0 0 298.770 2.843 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ccc2c[nH]nc2c1 ZINC000825394606 623686208 /nfs/dbraw/zinc/68/62/08/623686208.db2.gz JDDYNSZZBARUGF-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000825633134 623727500 /nfs/dbraw/zinc/72/75/00/623727500.db2.gz BGMSPRGWUCAXDE-SCUASFONSA-N 0 0 288.347 2.812 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)c2ccccn2)cc1[N+](=O)[O-] ZINC000825640476 623728414 /nfs/dbraw/zinc/72/84/14/623728414.db2.gz GKIHQHZPSMRQCI-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN O=C(NCc1ccccn1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152524286 623728828 /nfs/dbraw/zinc/72/88/28/623728828.db2.gz RSDHLBKLQOHARX-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN Cc1ccc(CNC(=O)C(F)=C2CCC2)cc1[N+](=O)[O-] ZINC000825640897 623729250 /nfs/dbraw/zinc/72/92/50/623729250.db2.gz NFEOTVKXJOUDHY-UHFFFAOYSA-N 0 0 278.283 2.927 20 5 CFBDRN Cc1ccc(CC(=O)NCc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000825648525 623730384 /nfs/dbraw/zinc/73/03/84/623730384.db2.gz UADBXUDXQIMLIY-UHFFFAOYSA-N 0 0 288.303 2.664 20 5 CFBDRN CCC(C)(C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825655186 623731317 /nfs/dbraw/zinc/73/13/17/623731317.db2.gz LFRPFEJAOQTRPP-UHFFFAOYSA-N 0 0 264.325 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000825648734 623731428 /nfs/dbraw/zinc/73/14/28/623731428.db2.gz ZXRAGYLJVSSLNJ-NSHDSACASA-N 0 0 262.309 2.566 20 5 CFBDRN COc1ccccc1OC[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000152703054 623756886 /nfs/dbraw/zinc/75/68/86/623756886.db2.gz VUEVXZDFZOVPDP-ZDUSSCGKSA-N 0 0 289.287 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc([C@@H](O)COc2cccc(F)c2)c1 ZINC000152722052 623759895 /nfs/dbraw/zinc/75/98/95/623759895.db2.gz FIHKWMHNLVURRP-AWEZNQCLSA-N 0 0 277.251 2.846 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H](O)c2ccc(F)cc2F)c1 ZINC000152728830 623760820 /nfs/dbraw/zinc/76/08/20/623760820.db2.gz SQFDQBJNGXCDFS-AWEZNQCLSA-N 0 0 295.241 2.985 20 5 CFBDRN COc1ccc(OC[C@@H](O)c2cccc([N+](=O)[O-])c2)cc1 ZINC000152741980 623760925 /nfs/dbraw/zinc/76/09/25/623760925.db2.gz JENVIYNOGDZJDZ-OAHLLOKOSA-N 0 0 289.287 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H](O)c2ccccc2F)c1 ZINC000152738520 623762589 /nfs/dbraw/zinc/76/25/89/623762589.db2.gz TXGYHILAHCWEHJ-CQSZACIVSA-N 0 0 277.251 2.846 20 5 CFBDRN CC(C)(CCC(=O)NC1(c2cccc(F)c2)CC1)[N+](=O)[O-] ZINC000826000587 623779827 /nfs/dbraw/zinc/77/98/27/623779827.db2.gz DCPIRXICJZZXJD-UHFFFAOYSA-N 0 0 294.326 2.767 20 5 CFBDRN COc1ccc(OC(=O)CCC(C)C)c([N+](=O)[O-])c1 ZINC000072503359 625676388 /nfs/dbraw/zinc/67/63/88/625676388.db2.gz VPKFZLUZLQIEOZ-UHFFFAOYSA-N 0 0 267.281 2.945 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1C[C@H]1C1CCCCC1)[N+](=O)[O-] ZINC000826086218 623791838 /nfs/dbraw/zinc/79/18/38/623791838.db2.gz OWEVBAKEPVFELY-STQMWFEESA-N 0 0 282.384 2.907 20 5 CFBDRN CC(C)C[C@H]1CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826548904 623810552 /nfs/dbraw/zinc/81/05/52/623810552.db2.gz ZPIORFGWYVJHMT-GFCCVEGCSA-N 0 0 270.373 2.717 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ccc2c(c1)OCO2 ZINC000072508483 625679047 /nfs/dbraw/zinc/67/90/47/625679047.db2.gz GZMYLPQJBHYCHC-UHFFFAOYSA-N 0 0 287.227 2.543 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1nc(C)ccc1[N+](=O)[O-] ZINC000826670738 623836933 /nfs/dbraw/zinc/83/69/33/623836933.db2.gz XETPYWKHIVJYKG-ONGXEEELSA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H]2C2CCC2)cc1 ZINC000826692486 623845716 /nfs/dbraw/zinc/84/57/16/623845716.db2.gz IEFOMOPHQSBIRO-AWEZNQCLSA-N 0 0 262.309 2.600 20 5 CFBDRN CC(C)SCC(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000914456933 623865853 /nfs/dbraw/zinc/86/58/53/623865853.db2.gz ILWQNOIDWUSTOU-UHFFFAOYSA-N 0 0 255.295 2.642 20 5 CFBDRN COc1ccc(OC(=O)CSC(C)C)c([N+](=O)[O-])c1 ZINC000914462885 623869150 /nfs/dbraw/zinc/86/91/50/623869150.db2.gz GJGOEXRPILTTKQ-UHFFFAOYSA-N 0 0 285.321 2.650 20 5 CFBDRN O=C(O[C@@H]1COc2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000153179483 623870606 /nfs/dbraw/zinc/87/06/06/623870606.db2.gz IXPWQTGKSFTNON-CQSZACIVSA-N 0 0 285.255 2.885 20 5 CFBDRN CC12CC(C1)CN2C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000826782666 623874234 /nfs/dbraw/zinc/87/42/34/623874234.db2.gz ABYKLBPSHJDAKQ-STOOLFAPSA-N 0 0 286.331 2.709 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2)CC2(CCC2)O1 ZINC000826790985 623876499 /nfs/dbraw/zinc/87/64/99/623876499.db2.gz KHNQOXVQPBSWHX-NSHDSACASA-N 0 0 262.309 2.743 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000826829283 623885300 /nfs/dbraw/zinc/88/53/00/623885300.db2.gz CHWDFJOOKMQIMY-RISCZKNCSA-N 0 0 294.351 2.523 20 5 CFBDRN CC(C)CC1(CNC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000826847469 623890928 /nfs/dbraw/zinc/89/09/28/623890928.db2.gz CJWRNHMMVVQQRX-UHFFFAOYSA-N 0 0 270.373 2.764 20 5 CFBDRN CCN(CCSC)c1cccc(F)c1[N+](=O)[O-] ZINC000826852090 623893396 /nfs/dbraw/zinc/89/33/96/623893396.db2.gz UIQGXFYPZCXMNJ-UHFFFAOYSA-N 0 0 258.318 2.923 20 5 CFBDRN CC(C)(CCC(=O)NCC1(C)CCCCC1)[N+](=O)[O-] ZINC000826865388 623897239 /nfs/dbraw/zinc/89/72/39/623897239.db2.gz NOOOZNUZGFIPIN-UHFFFAOYSA-N 0 0 270.373 2.909 20 5 CFBDRN CC(C)C[N@H+](CCC(=O)[O-])Cc1ccc([N+](=O)[O-])cc1F ZINC000826876050 623900179 /nfs/dbraw/zinc/90/01/79/623900179.db2.gz PGRSLUPAWDJKTG-UHFFFAOYSA-N 0 0 298.314 2.667 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)[C@@H](C)CO1 ZINC000914571062 623901549 /nfs/dbraw/zinc/90/15/49/623901549.db2.gz IXDOIIAFABONBT-IUCAKERBSA-N 0 0 270.716 2.862 20 5 CFBDRN CC(C)[C@@H]1CCCN(Cn2nccc2[N+](=O)[O-])CC1 ZINC000153290679 623916839 /nfs/dbraw/zinc/91/68/39/623916839.db2.gz JMYNHMAUKXZOSA-GFCCVEGCSA-N 0 0 266.345 2.507 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000762898784 623928353 /nfs/dbraw/zinc/92/83/53/623928353.db2.gz OQWSJVNYWSLXTF-GHMZBOCLSA-N 0 0 280.324 2.887 20 5 CFBDRN C[C@H](Cc1ccco1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000914744285 623931860 /nfs/dbraw/zinc/93/18/60/623931860.db2.gz IEVSRDAZQXXIDZ-MRVPVSSYSA-N 0 0 280.305 2.610 20 5 CFBDRN COCCN(C/C=C/c1ccccc1[N+](=O)[O-])CCF ZINC000827054133 623933081 /nfs/dbraw/zinc/93/30/81/623933081.db2.gz MMPWZKYBCUSDAB-GQCTYLIASA-N 0 0 282.315 2.526 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CC1(F)F ZINC000835110331 623947178 /nfs/dbraw/zinc/94/71/78/623947178.db2.gz ADFUJNYKLKLOMM-ZCFIWIBFSA-N 0 0 277.610 2.809 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CC=CCC1)[N+](=O)[O-] ZINC000835131496 623947398 /nfs/dbraw/zinc/94/73/98/623947398.db2.gz PJRLTFJIHBENCY-LLVKDONJSA-N 0 0 255.314 2.721 20 5 CFBDRN O=C(O[C@H]1COc2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000153408948 623958601 /nfs/dbraw/zinc/95/86/01/623958601.db2.gz FTKOPXWVPWWQNY-AWEZNQCLSA-N 0 0 285.255 2.885 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N(C1CC1)C1CC1 ZINC000827239263 623972183 /nfs/dbraw/zinc/97/21/83/623972183.db2.gz PEDLPZHXCQUDBR-HUUCEWRRSA-N 0 0 286.331 2.852 20 5 CFBDRN CCC(F)(F)CNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000827278737 623980456 /nfs/dbraw/zinc/98/04/56/623980456.db2.gz KEKCKDVWYUCZBV-NWDGAFQWSA-N 0 0 298.289 2.860 20 5 CFBDRN CCO[C@@H](C)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000764588971 623999303 /nfs/dbraw/zinc/99/93/03/623999303.db2.gz HZLQSUJQJYCJIT-ZJUUUORDSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C(F)F ZINC000888277740 624051922 /nfs/dbraw/zinc/05/19/22/624051922.db2.gz DYQLCCBIVVFCNZ-RXMQYKEDSA-N 0 0 277.202 2.509 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)N1CC[C@H](CF)C1 ZINC000888278234 624053079 /nfs/dbraw/zinc/05/30/79/624053079.db2.gz NIKMIBJBBXNENM-MRVPVSSYSA-N 0 0 285.250 2.557 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@H]1CC2CCC1CC2 ZINC000915568554 624079719 /nfs/dbraw/zinc/07/97/19/624079719.db2.gz PSQJKWANJSEDDX-HTAVTVPLSA-N 0 0 262.309 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CC[C@@H](C3CC3)O2)cc1 ZINC000915597873 624083004 /nfs/dbraw/zinc/08/30/04/624083004.db2.gz IXXBOEHJRNQVJB-KBPBESRZSA-N 0 0 263.293 2.931 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCC3(COC3)O2)c(Cl)c1 ZINC000915603158 624083113 /nfs/dbraw/zinc/08/31/13/624083113.db2.gz HTTDCMDDVLJZRD-SNVBAGLBSA-N 0 0 299.710 2.575 20 5 CFBDRN Cc1c(OCc2cscn2)cccc1[N+](=O)[O-] ZINC000915664572 624092680 /nfs/dbraw/zinc/09/26/80/624092680.db2.gz OLQXBUHAZKTVTP-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN CNc1c(C(=O)OC2CCCC2)cccc1[N+](=O)[O-] ZINC000765517393 624103429 /nfs/dbraw/zinc/10/34/29/624103429.db2.gz MIZJTEWABZQGOA-UHFFFAOYSA-N 0 0 264.281 2.736 20 5 CFBDRN CCn1cnnc1SCc1cc(F)ccc1[N+](=O)[O-] ZINC000915793844 624109832 /nfs/dbraw/zinc/10/98/32/624109832.db2.gz NSEYSRXRMXLGAD-UHFFFAOYSA-N 0 0 282.300 2.638 20 5 CFBDRN Cc1cc(C)n([C@@H](C)C(=O)Oc2cccc([N+](=O)[O-])c2)n1 ZINC000194192335 624141815 /nfs/dbraw/zinc/14/18/15/624141815.db2.gz APVZVTILSGWVTI-NSHDSACASA-N 0 0 289.291 2.575 20 5 CFBDRN COc1ccc(OCOC(=O)CC(C)C)c([N+](=O)[O-])c1 ZINC000915922687 624188242 /nfs/dbraw/zinc/18/82/42/624188242.db2.gz ZIZLUHRDLWMNIR-UHFFFAOYSA-N 0 0 283.280 2.529 20 5 CFBDRN COc1ccc(OC[C@H]2CC[C@@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000915921755 624188327 /nfs/dbraw/zinc/18/83/27/624188327.db2.gz PGPSUGWQRRGKJR-OCCSQVGLSA-N 0 0 293.319 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2noc3c2COCC3)c1 ZINC000916153995 624226334 /nfs/dbraw/zinc/22/63/34/624226334.db2.gz RWUVRBCYBDYMIG-UHFFFAOYSA-N 0 0 290.275 2.543 20 5 CFBDRN CC[C@H](CO)N(C)c1cc(C)c([N+](=O)[O-])cc1Cl ZINC000828372747 624235583 /nfs/dbraw/zinc/23/55/83/624235583.db2.gz LGUIESIGTRKXBE-SECBINFHSA-N 0 0 272.732 2.764 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000828435777 624245961 /nfs/dbraw/zinc/24/59/61/624245961.db2.gz NCVYCECDWCEPBT-ZYHUDNBSSA-N 0 0 274.320 2.838 20 5 CFBDRN Cc1ccc(NC(=O)[C@H](O)c2ccccc2)cc1[N+](=O)[O-] ZINC000154114395 624270966 /nfs/dbraw/zinc/27/09/66/624270966.db2.gz PJKBWDMHTUGUSL-CQSZACIVSA-N 0 0 286.287 2.575 20 5 CFBDRN CN(CC(C)(C)CO)c1ccc([N+](=O)[O-])cc1Cl ZINC000828575757 624271624 /nfs/dbraw/zinc/27/16/24/624271624.db2.gz CMRILQUDFZCALP-UHFFFAOYSA-N 0 0 272.732 2.703 20 5 CFBDRN CCc1ncc(COc2ccc([N+](=O)[O-])cc2F)o1 ZINC000916700329 624278929 /nfs/dbraw/zinc/27/89/29/624278929.db2.gz LBXCSYGARPATJP-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN CC(=O)OCCOc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000916767592 624283173 /nfs/dbraw/zinc/28/31/73/624283173.db2.gz SZCKQYOLUFDFFX-UHFFFAOYSA-N 0 0 293.197 2.556 20 5 CFBDRN Nc1ccc(NC(=O)c2cc(F)cc(F)c2)cc1[N+](=O)[O-] ZINC000916827478 624286083 /nfs/dbraw/zinc/28/60/83/624286083.db2.gz QOTOWVBNZMTVJS-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@H]2CC[C@@H](C3CC3)O2)c1 ZINC000916819275 624286683 /nfs/dbraw/zinc/28/66/83/624286683.db2.gz IRAJPLQMKXCVIQ-OCCSQVGLSA-N 0 0 291.303 2.744 20 5 CFBDRN CC/C=C/CNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000828730386 624292700 /nfs/dbraw/zinc/29/27/00/624292700.db2.gz OKVCOATZWLRLJZ-ONEGZZNKSA-N 0 0 289.335 2.865 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)NC1CC1 ZINC000888289904 624293722 /nfs/dbraw/zinc/29/37/22/624293722.db2.gz QYUCMDVLECHTIQ-UHFFFAOYSA-N 0 0 269.688 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@@H]2CCC[C@@H]2O)cc1 ZINC000828742586 624294210 /nfs/dbraw/zinc/29/42/10/624294210.db2.gz GJQSSBMWNYULJO-ZNMIVQPWSA-N 0 0 276.336 2.725 20 5 CFBDRN CCn1c(CNc2cccnc2[N+](=O)[O-])nc2ccccc21 ZINC000917009936 624299552 /nfs/dbraw/zinc/29/95/52/624299552.db2.gz DPKYJCONBRKAMP-UHFFFAOYSA-N 0 0 297.318 2.972 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000828822914 624307365 /nfs/dbraw/zinc/30/73/65/624307365.db2.gz UMWCCYZMMHZPQM-JTQLQIEISA-N 0 0 297.282 2.707 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(C)C ZINC000828823111 624307433 /nfs/dbraw/zinc/30/74/33/624307433.db2.gz XFXASDSERCIQMS-LBPRGKRZSA-N 0 0 283.255 2.504 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Oc2nccs2)c1 ZINC000917073614 624308147 /nfs/dbraw/zinc/30/81/47/624308147.db2.gz DALWUCFTBJHIGJ-UHFFFAOYSA-N 0 0 280.261 2.630 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000033206636 624315857 /nfs/dbraw/zinc/31/58/57/624315857.db2.gz GTYWUXIEMVIMAO-NSHDSACASA-N 0 0 288.303 2.857 20 5 CFBDRN COC(=O)/C=C(\C)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000917216713 624323279 /nfs/dbraw/zinc/32/32/79/624323279.db2.gz COTHDIWBFFSZAV-SOFGYWHQSA-N 0 0 285.683 2.746 20 5 CFBDRN CCCSCC(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000917333427 624331311 /nfs/dbraw/zinc/33/13/11/624331311.db2.gz NWDPIUIALVYCKK-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN C/C(=C/C(=O)OCCOc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000917337009 624332073 /nfs/dbraw/zinc/33/20/73/624332073.db2.gz GVKUWUCOPYTDJM-LUAWRHEFSA-N 0 0 291.303 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2C[C@]23CCOC3)nc1 ZINC000917347114 624333611 /nfs/dbraw/zinc/33/36/11/624333611.db2.gz JPFRCSNXBHMYGQ-CABZTGNLSA-N 0 0 266.322 2.509 20 5 CFBDRN CC(=O)c1ccc(OCOC(=O)CC(C)C)c([N+](=O)[O-])c1 ZINC000917346449 624333730 /nfs/dbraw/zinc/33/37/30/624333730.db2.gz BROPAXVRZMHHTI-UHFFFAOYSA-N 0 0 295.291 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2C[C@]23CCOC3)nc1 ZINC000917347116 624333942 /nfs/dbraw/zinc/33/39/42/624333942.db2.gz JPFRCSNXBHMYGQ-SKDRFNHKSA-N 0 0 266.322 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCO[C@@H]2CCOC2)cc1 ZINC000917351064 624334483 /nfs/dbraw/zinc/33/44/83/624334483.db2.gz RMRWKIOCJULUOO-CYBMUJFWSA-N 0 0 283.349 2.634 20 5 CFBDRN Cc1cccc(OCC(=O)C2(Cl)CC2)c1[N+](=O)[O-] ZINC000917357318 624335596 /nfs/dbraw/zinc/33/55/96/624335596.db2.gz PMFPJTYIRWPUSL-UHFFFAOYSA-N 0 0 269.684 2.623 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000828944609 624337294 /nfs/dbraw/zinc/33/72/94/624337294.db2.gz KPCRERBUMMYIGO-MGPQQGTHSA-N 0 0 286.331 2.757 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2nc(C)nc3c2cnn3C)c1 ZINC000917387723 624337870 /nfs/dbraw/zinc/33/78/70/624337870.db2.gz IQISLAGNUDDJEY-UHFFFAOYSA-N 0 0 299.290 2.681 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]([C@H](CO)C1CC1)C1CC1 ZINC000828946665 624338038 /nfs/dbraw/zinc/33/80/38/624338038.db2.gz HMVJVKZKPRNXAB-RISCZKNCSA-N 0 0 294.326 2.943 20 5 CFBDRN CN(Cc1c(F)cccc1Cl)Cn1nccc1[N+](=O)[O-] ZINC000917417053 624341511 /nfs/dbraw/zinc/34/15/11/624341511.db2.gz XHKWDPZSKLWPHC-UHFFFAOYSA-N 0 0 298.705 2.673 20 5 CFBDRN Cc1cccc2c1C(=O)N[C@@H](c1cccc([N+](=O)[O-])c1)N2 ZINC000917419756 624341637 /nfs/dbraw/zinc/34/16/37/624341637.db2.gz CIEWWXYSNCWNIQ-AWEZNQCLSA-N 0 0 283.287 2.757 20 5 CFBDRN CC(C)c1nsc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)n1 ZINC000917483207 624345730 /nfs/dbraw/zinc/34/57/30/624345730.db2.gz ATYDPTJICWWCHG-UHFFFAOYSA-N 0 0 293.352 2.692 20 5 CFBDRN CCc1nc(C)c(NC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000828982723 624348413 /nfs/dbraw/zinc/34/84/13/624348413.db2.gz AVTOHWJNQSSLJA-UHFFFAOYSA-N 0 0 285.369 2.788 20 5 CFBDRN CCn1nc(C)c(CNc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000834562076 625714134 /nfs/dbraw/zinc/71/41/34/625714134.db2.gz BVRLXPAHKZXADH-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)OCC(C)C)c([N+](=O)[O-])c1 ZINC000917564410 624357306 /nfs/dbraw/zinc/35/73/06/624357306.db2.gz CZBNXLALVKOJFU-SNVBAGLBSA-N 0 0 297.307 2.570 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@H](C3CC3)[C@H]2C2CC2)nc2ccccn21 ZINC000829036784 624358614 /nfs/dbraw/zinc/35/86/14/624358614.db2.gz DCDYTHRGHIKJAM-TZMCWYRMSA-N 0 0 298.346 2.867 20 5 CFBDRN C[C@]1(CCNc2ccncc2[N+](=O)[O-])CC1(Cl)Cl ZINC000829059044 624362467 /nfs/dbraw/zinc/36/24/67/624362467.db2.gz OKXGYMQFFIZIQP-JTQLQIEISA-N 0 0 290.150 2.798 20 5 CFBDRN CCn1nc(C)c(COc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000829108322 624373940 /nfs/dbraw/zinc/37/39/40/624373940.db2.gz WRZRWQORMMTXFT-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCC(C)(F)F ZINC000917740242 624379185 /nfs/dbraw/zinc/37/91/85/624379185.db2.gz GPCAVUIXWRMHRS-UHFFFAOYSA-N 0 0 260.240 2.500 20 5 CFBDRN C=C/C=C/CCNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000829186317 624394976 /nfs/dbraw/zinc/39/49/76/624394976.db2.gz ILJWYWMWJDTZKU-ONEGZZNKSA-N 0 0 292.310 2.915 20 5 CFBDRN CCCCOCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000917957797 624399092 /nfs/dbraw/zinc/39/90/92/624399092.db2.gz AYOQEXJVVVFNKA-UHFFFAOYSA-N 0 0 267.281 2.568 20 5 CFBDRN CCCCOCCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000917958412 624399117 /nfs/dbraw/zinc/39/91/17/624399117.db2.gz HLGLVIVKKSHFSC-UHFFFAOYSA-N 0 0 273.310 2.630 20 5 CFBDRN CC(C)C1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)COC1 ZINC000923758564 624408144 /nfs/dbraw/zinc/40/81/44/624408144.db2.gz IAFGBYUYCFGSKK-UHFFFAOYSA-N 0 0 289.291 2.569 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@]2(C)CC2(Cl)Cl)c1=O ZINC000829336859 624421556 /nfs/dbraw/zinc/42/15/56/624421556.db2.gz TWFHSVGIVJPCCN-JTQLQIEISA-N 0 0 291.134 2.649 20 5 CFBDRN Cc1nc(C)c(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)o1 ZINC000829345913 624422776 /nfs/dbraw/zinc/42/27/76/624422776.db2.gz VATBXFMTKXZWRU-UHFFFAOYSA-N 0 0 286.291 2.906 20 5 CFBDRN Cc1cc(C(=O)Cn2ccnc2[N+](=O)[O-])c(C)c(C)c1C ZINC000918343489 624432472 /nfs/dbraw/zinc/43/24/72/624432472.db2.gz RFFHYWGJCDRGLM-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCC1CCCC1 ZINC000918507064 624440220 /nfs/dbraw/zinc/44/02/20/624440220.db2.gz GNTYHZBTYGCGEG-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN COC[C@H]1CCCCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000829516348 624450571 /nfs/dbraw/zinc/45/05/71/624450571.db2.gz VDOUFSRXEFAFSX-SECBINFHSA-N 0 0 286.278 2.878 20 5 CFBDRN COC[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829515964 624450619 /nfs/dbraw/zinc/45/06/19/624450619.db2.gz KUWUOSLOGMKAPI-NSHDSACASA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCc1ccoc1 ZINC000170719841 624454074 /nfs/dbraw/zinc/45/40/74/624454074.db2.gz XOZRMZQXIDQMGF-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN CCC(C)(C)COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000174866135 624457044 /nfs/dbraw/zinc/45/70/44/624457044.db2.gz LJHMFONXBGCUTM-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN CC1(C)CN(c2c(F)cc([N+](=O)[O-])cc2F)[C@@H]2COC[C@@H]21 ZINC000829572698 624457296 /nfs/dbraw/zinc/45/72/96/624457296.db2.gz UFAMEYGLNAUSHH-JOYOIKCWSA-N 0 0 298.289 2.734 20 5 CFBDRN Cc1nn([C@H]2CCc3ccccc3C2)cc1[N+](=O)[O-] ZINC000829602308 624466201 /nfs/dbraw/zinc/46/62/01/624466201.db2.gz PTAKMZNTZYTKRQ-ZDUSSCGKSA-N 0 0 257.293 2.830 20 5 CFBDRN CC(=O)CCC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000918861891 624504288 /nfs/dbraw/zinc/50/42/88/624504288.db2.gz RTDGBSGFJXKPTO-SNVBAGLBSA-N 0 0 265.265 2.568 20 5 CFBDRN Cc1cccc(C(=O)OCc2cccc([N+](=O)[O-])c2C)n1 ZINC000918947803 624513241 /nfs/dbraw/zinc/51/32/41/624513241.db2.gz YUTGILDTDHSFCJ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CCc1cc(C(=O)OCc2cccc([N+](=O)[O-])c2C)n[nH]1 ZINC000919088511 624523821 /nfs/dbraw/zinc/52/38/21/624523821.db2.gz KUJULNFXHORYCK-UHFFFAOYSA-N 0 0 289.291 2.546 20 5 CFBDRN CC(C)[C@@H](CC(F)(F)F)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000829842732 624564476 /nfs/dbraw/zinc/56/44/76/624564476.db2.gz RVAZYUGFZYJUFP-SECBINFHSA-N 0 0 298.305 2.915 20 5 CFBDRN O=C(COC1CCCC1)OCc1cccc([N+](=O)[O-])c1 ZINC000919386000 624565853 /nfs/dbraw/zinc/56/58/53/624565853.db2.gz CXNDBPDSMQWMQU-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN O=C(C=C1CCCC1)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000919597801 624589002 /nfs/dbraw/zinc/58/90/02/624589002.db2.gz PNRMEAAWMPBRRO-UHFFFAOYSA-N 0 0 289.287 2.821 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])C1(C(F)F)CC1 ZINC000829987798 624594555 /nfs/dbraw/zinc/59/45/55/624594555.db2.gz JGXKJVFETFXZBT-UHFFFAOYSA-N 0 0 271.219 2.683 20 5 CFBDRN CCCCSCC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000919697249 624598549 /nfs/dbraw/zinc/59/85/49/624598549.db2.gz UTIUQTVNUBTBOC-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)OC1CCC1 ZINC000830022160 624600816 /nfs/dbraw/zinc/60/08/16/624600816.db2.gz BYDDDJFMOXFKAV-UHFFFAOYSA-N 0 0 251.238 2.971 20 5 CFBDRN C[C@@H](NC(=O)[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000830071292 624614482 /nfs/dbraw/zinc/61/44/82/624614482.db2.gz RBSPOZMBYUVFNS-IXPVHAAZSA-N 0 0 274.320 2.962 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1cccc(F)n1 ZINC000919963691 624648286 /nfs/dbraw/zinc/64/82/86/624648286.db2.gz ULGSMCNEYGUVQA-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN Cc1ccc2c(c1)CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C2 ZINC000830269412 624696713 /nfs/dbraw/zinc/69/67/13/624696713.db2.gz WRQOYUFQRXDMCU-UHFFFAOYSA-N 0 0 290.363 2.715 20 5 CFBDRN CCC/C(C)=C/C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000920222872 624701448 /nfs/dbraw/zinc/70/14/48/624701448.db2.gz UYXUGYSWUNOVHV-PKNBQFBNSA-N 0 0 262.309 2.957 20 5 CFBDRN CCOC(=O)COc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC000920253629 624703081 /nfs/dbraw/zinc/70/30/81/624703081.db2.gz ZWZIMLJUXGIRKU-UHFFFAOYSA-N 0 0 294.090 2.844 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1nc(C2CC2)no1 ZINC000920340676 624711269 /nfs/dbraw/zinc/71/12/69/624711269.db2.gz ZMGKIDCCDGABLF-UHFFFAOYSA-N 0 0 266.282 2.529 20 5 CFBDRN CCO[C@H](CC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000920673604 624749640 /nfs/dbraw/zinc/74/96/40/624749640.db2.gz LMXTZLMCPDCPIM-GFCCVEGCSA-N 0 0 285.271 2.592 20 5 CFBDRN CC(C)OCC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000920722153 624759999 /nfs/dbraw/zinc/75/99/99/624759999.db2.gz JGEDSQWXHMNYIR-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN CC(C)(CCC(=O)NC1CCC2(CC2)CC1)[N+](=O)[O-] ZINC000830520476 624770547 /nfs/dbraw/zinc/77/05/47/624770547.db2.gz XUJHVOXOFPGKGF-UHFFFAOYSA-N 0 0 268.357 2.661 20 5 CFBDRN CC(C)(CC(F)(F)F)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000830578519 624781852 /nfs/dbraw/zinc/78/18/52/624781852.db2.gz ABIKVHGMLZALRU-UHFFFAOYSA-N 0 0 284.278 2.669 20 5 CFBDRN Cc1cc(C(=O)NN2[C@@H](C)CCC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000920841640 624775548 /nfs/dbraw/zinc/77/55/48/624775548.db2.gz RSCHLHHVBCHAMF-RYUDHWBXSA-N 0 0 291.351 2.811 20 5 CFBDRN Cc1cc(C(=O)N2CC(OC(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000830557372 624778293 /nfs/dbraw/zinc/77/82/93/624778293.db2.gz XRSIFRKUOHUOMT-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@@H](OC(=O)C1(F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000830755454 624845092 /nfs/dbraw/zinc/84/50/92/624845092.db2.gz MTQAWMQMLMZTRN-MRVPVSSYSA-N 0 0 253.229 2.701 20 5 CFBDRN Cc1cnc(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)o1 ZINC000175377363 624949140 /nfs/dbraw/zinc/94/91/40/624949140.db2.gz PESPYNGFECQFJM-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1nscc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000179503123 624965351 /nfs/dbraw/zinc/96/53/51/624965351.db2.gz RKGMFGDXRPFAEX-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)OCC1CC1 ZINC000068336760 624988851 /nfs/dbraw/zinc/98/88/51/624988851.db2.gz SXAQOTNNUUVJAM-SNVBAGLBSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc(F)c2)c(N)c([N+](=O)[O-])c1 ZINC000068511901 625004620 /nfs/dbraw/zinc/00/46/20/625004620.db2.gz ZHCQHURVAPUHCV-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(F)cc2)c(N)c([N+](=O)[O-])c1 ZINC000068511502 625004787 /nfs/dbraw/zinc/00/47/87/625004787.db2.gz MPKJWOUPHROKDI-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000831410675 625013395 /nfs/dbraw/zinc/01/33/95/625013395.db2.gz LOKGHFJCLQMAMQ-WCBMZHEXSA-N 0 0 288.225 2.523 20 5 CFBDRN CCON(CC)C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000831454801 625027822 /nfs/dbraw/zinc/02/78/22/625027822.db2.gz QNCSEOJLLAKTIL-UHFFFAOYSA-N 0 0 266.297 2.625 20 5 CFBDRN COc1ccc(C(=O)NCCC2CC=CC2)cc1[N+](=O)[O-] ZINC000831460363 625029490 /nfs/dbraw/zinc/02/94/90/625029490.db2.gz SONYWJAJYHRSNA-UHFFFAOYSA-N 0 0 290.319 2.690 20 5 CFBDRN O=C(NCCC1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000831460357 625029827 /nfs/dbraw/zinc/02/98/27/625029827.db2.gz SMGRMRKODFDSCV-UHFFFAOYSA-N 0 0 260.293 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1OC2CCC1CC2 ZINC000831499495 625036448 /nfs/dbraw/zinc/03/64/48/625036448.db2.gz QAKSIDFTSDPPAA-UHFFFAOYSA-N 0 0 290.319 2.910 20 5 CFBDRN CCON(CC)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000831499580 625036813 /nfs/dbraw/zinc/03/68/13/625036813.db2.gz RPDHSKSKXZBVPQ-UHFFFAOYSA-N 0 0 272.688 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCCOCC1CC1 ZINC000181488160 625154896 /nfs/dbraw/zinc/15/48/96/625154896.db2.gz YWORCIVEEHHMEX-UHFFFAOYSA-N 0 0 255.245 2.539 20 5 CFBDRN CC(C)(CCC(=O)N1CC2(CCC2)[C@H]1C1CC1)[N+](=O)[O-] ZINC000832210355 625245155 /nfs/dbraw/zinc/24/51/55/625245155.db2.gz WMPKTBXTVPKCLH-CYBMUJFWSA-N 0 0 280.368 2.613 20 5 CFBDRN CN(CCC1CCCCC1)Cn1nccc1[N+](=O)[O-] ZINC000101539083 625719822 /nfs/dbraw/zinc/71/98/22/625719822.db2.gz BNRWPRHKJQHVLV-UHFFFAOYSA-N 0 0 266.345 2.651 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)CCS1 ZINC000834644629 625752049 /nfs/dbraw/zinc/75/20/49/625752049.db2.gz TTYPKUXQLMAOJS-BDAKNGLRSA-N 0 0 298.339 2.748 20 5 CFBDRN CO[C@@H]1CCC[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000073071052 625768880 /nfs/dbraw/zinc/76/88/80/625768880.db2.gz XUEZCPJKAOAEPN-CHWSQXEVSA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)c1F ZINC000834666322 625770989 /nfs/dbraw/zinc/77/09/89/625770989.db2.gz AAFSSPIXGYDUCN-NVLNSXGDSA-N 0 0 296.273 2.710 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCS[C@@H](C)C1 ZINC000834676328 625779550 /nfs/dbraw/zinc/77/95/50/625779550.db2.gz FXYQKQQIOVDDCR-QWRGUYRKSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])CCS1 ZINC000834679304 625780038 /nfs/dbraw/zinc/78/00/38/625780038.db2.gz YJNSDBYDNUMCNN-WCBMZHEXSA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000834695840 625788967 /nfs/dbraw/zinc/78/89/67/625788967.db2.gz OFBFSJZZTRWFHA-WDEREUQCSA-N 0 0 294.376 2.917 20 5 CFBDRN COc1cc(C(=O)OCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000101705033 625849800 /nfs/dbraw/zinc/84/98/00/625849800.db2.gz CYXSSONPFJFUOI-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN COc1cc(C(=O)Nc2ccc(O)cc2)ccc1[N+](=O)[O-] ZINC000101700089 625850982 /nfs/dbraw/zinc/85/09/82/625850982.db2.gz PMCSHSACBCEJGY-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN C[C@@H](Cc1nc(-c2ccc([N+](=O)[O-])o2)no1)C1CC1 ZINC000834841492 625898022 /nfs/dbraw/zinc/89/80/22/625898022.db2.gz UWYXXRZLSHJLPZ-ZETCQYMHSA-N 0 0 263.253 2.826 20 5 CFBDRN C[C@@H](C(=O)OCc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000815488615 625933478 /nfs/dbraw/zinc/93/34/78/625933478.db2.gz FKFBOLKKGLZQTM-QMMMGPOBSA-N 0 0 273.235 2.929 20 5 CFBDRN C[C@H]1OCC[C@@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000771076739 625976974 /nfs/dbraw/zinc/97/69/74/625976974.db2.gz FHVBNYDSKNGDGQ-KCJUWKMLSA-N 0 0 299.710 2.716 20 5 CFBDRN O=C(NC1C[C@H]2CC[C@@H](C1)S2)c1ccc([N+](=O)[O-])cc1 ZINC000888352852 626000341 /nfs/dbraw/zinc/00/03/41/626000341.db2.gz JIPJFFPTFRSSFE-VGPLMAKISA-N 0 0 292.360 2.751 20 5 CFBDRN CC(=O)CCCC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000772373687 626022952 /nfs/dbraw/zinc/02/29/52/626022952.db2.gz FUWZMKSYYZTABR-LLVKDONJSA-N 0 0 279.292 2.958 20 5 CFBDRN O=Cc1c[nH]nc1C=Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000255281248 626201210 /nfs/dbraw/zinc/20/12/10/626201210.db2.gz ZFYHZIOIZKYCHH-IWQZZHSRSA-N 0 0 277.667 2.954 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(Cl)nn2)ccc1[N+](=O)[O-] ZINC000068296134 626241248 /nfs/dbraw/zinc/24/12/48/626241248.db2.gz LHRXJAZWCZERQT-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN COC[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1F)C(C)C ZINC000922357312 626278371 /nfs/dbraw/zinc/27/83/71/626278371.db2.gz ZXMACNBKBYAVNF-JTQLQIEISA-N 0 0 299.298 2.809 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1C[C@@H]2CC[C@H]1c1ccccc12 ZINC000922536472 626304546 /nfs/dbraw/zinc/30/45/46/626304546.db2.gz VLPXZKDYAMXEDE-HZMBPMFUSA-N 0 0 297.314 2.997 20 5 CFBDRN CC(C)n1ncnc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000922540208 626306458 /nfs/dbraw/zinc/30/64/58/626306458.db2.gz HGRDEVTZNBLLSN-LLVKDONJSA-N 0 0 289.339 2.618 20 5 CFBDRN CC(F)(F)CCCOC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000922588713 626319365 /nfs/dbraw/zinc/31/93/65/626319365.db2.gz QUIRRUMBFJDSKT-UHFFFAOYSA-N 0 0 288.250 2.769 20 5 CFBDRN CC(C)(C)Oc1cccc(COC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000922801920 626367479 /nfs/dbraw/zinc/36/74/79/626367479.db2.gz FDYRLIHEQITVHK-CHWSQXEVSA-N 0 0 293.319 2.572 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000157479501 626397376 /nfs/dbraw/zinc/39/73/76/626397376.db2.gz ITQNZCGRZYIXDX-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000229505535 626410479 /nfs/dbraw/zinc/41/04/79/626410479.db2.gz UMPZCESYOHIQCG-OUAUKWLOSA-N 0 0 291.351 2.684 20 5 CFBDRN Cc1cc(N[C@@H](C)c2nncn2C)c(Cl)cc1[N+](=O)[O-] ZINC000774514125 626481776 /nfs/dbraw/zinc/48/17/76/626481776.db2.gz MBDSGNHUWYPVDO-QMMMGPOBSA-N 0 0 295.730 2.858 20 5 CFBDRN O=[N+]([O-])c1ccccc1OS(=O)(=O)c1ccc(F)cc1 ZINC000016090151 626481837 /nfs/dbraw/zinc/48/18/37/626481837.db2.gz GHVNTJQBWIVYJX-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1cccc(F)c1[N+](=O)[O-] ZINC000774714730 626482264 /nfs/dbraw/zinc/48/22/64/626482264.db2.gz DHLQTKBEMUJBEY-JOYOIKCWSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@]2(C)C(C)C)c(N)c([N+](=O)[O-])c1 ZINC000838041657 626484426 /nfs/dbraw/zinc/48/44/26/626484426.db2.gz NOBRTSJWKWXHCI-IUODEOHRSA-N 0 0 291.351 2.650 20 5 CFBDRN Cc1ccc(OC(=O)c2cscn2)c([N+](=O)[O-])c1 ZINC000102978860 626485476 /nfs/dbraw/zinc/48/54/76/626485476.db2.gz JHGOAJPSUDXYHA-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN CC(C)(CCC(=O)NC1CCC(C(F)F)CC1)[N+](=O)[O-] ZINC000838104846 626486168 /nfs/dbraw/zinc/48/61/68/626486168.db2.gz YANBBJUGRYJINW-UHFFFAOYSA-N 0 0 292.326 2.762 20 5 CFBDRN CC(C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1)=C1CCC1 ZINC000838234004 626489618 /nfs/dbraw/zinc/48/96/18/626489618.db2.gz STDSVXQPZGHIJE-UHFFFAOYSA-N 0 0 289.287 2.821 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1cscn1 ZINC000103011673 626490161 /nfs/dbraw/zinc/49/01/61/626490161.db2.gz ZEMIGZORTOYRMQ-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN Cc1nc(C)c(Cn2cnc(-c3ccc([N+](=O)[O-])cc3)n2)o1 ZINC000788100532 626492068 /nfs/dbraw/zinc/49/20/68/626492068.db2.gz ZHBYMLYQILXYJZ-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN COCCCOC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000838344585 626492883 /nfs/dbraw/zinc/49/28/83/626492883.db2.gz HIVWNBJFDUEEAI-UHFFFAOYSA-N 0 0 287.699 2.750 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCCO2)cc1Cl ZINC000838346405 626492889 /nfs/dbraw/zinc/49/28/89/626492889.db2.gz UVBSMUCFFBSGPP-VIFPVBQESA-N 0 0 299.710 2.892 20 5 CFBDRN C[C@H](C(=O)OCCOc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000838387325 626494988 /nfs/dbraw/zinc/49/49/88/626494988.db2.gz CXOFVZCZGHWOLW-NSHDSACASA-N 0 0 293.319 2.953 20 5 CFBDRN C/C=C(\C)COC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000842844016 626500404 /nfs/dbraw/zinc/50/04/04/626500404.db2.gz IIJXOKAQLYNOMZ-XBXARRHUSA-N 0 0 283.255 2.866 20 5 CFBDRN C[C@]1(C(=O)OCCc2ccccc2[N+](=O)[O-])CCCCO1 ZINC000838818004 626507781 /nfs/dbraw/zinc/50/77/81/626507781.db2.gz SZFNQMJUHPTXCW-OAHLLOKOSA-N 0 0 293.319 2.640 20 5 CFBDRN CC[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1ccncc1 ZINC000839006759 626519849 /nfs/dbraw/zinc/51/98/49/626519849.db2.gz BXLMONZOOUBXLC-LBPRGKRZSA-N 0 0 280.324 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCC(F)F)cc1Cl ZINC000839093210 626524325 /nfs/dbraw/zinc/52/43/25/626524325.db2.gz NEIRXTMMEXTMSE-UHFFFAOYSA-N 0 0 250.632 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1Cl ZINC000839192896 626530869 /nfs/dbraw/zinc/53/08/69/626530869.db2.gz QCRPVDHUGPFKJR-UWVGGRQHSA-N 0 0 284.768 2.938 20 5 CFBDRN Cc1c(OC(=O)[C@]2(C)CCCCO2)cccc1[N+](=O)[O-] ZINC000839256170 626532610 /nfs/dbraw/zinc/53/26/10/626532610.db2.gz JOYAQRUXTJYDLO-AWEZNQCLSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1c(OC(=O)[C@@]2(C)CCCCO2)cccc1[N+](=O)[O-] ZINC000839256171 626532665 /nfs/dbraw/zinc/53/26/65/626532665.db2.gz JOYAQRUXTJYDLO-CQSZACIVSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1cc(C)c(NC(=O)CCC(C)(C)[N+](=O)[O-])c(C)n1 ZINC000839235636 626532777 /nfs/dbraw/zinc/53/27/77/626532777.db2.gz LYVQUFVJUVNSQI-UHFFFAOYSA-N 0 0 279.340 2.781 20 5 CFBDRN CCCN(C(=O)CCC(C)(C)[N+](=O)[O-])C(C)(C)C ZINC000839300034 626533378 /nfs/dbraw/zinc/53/33/78/626533378.db2.gz NVRWELQHQGHONQ-UHFFFAOYSA-N 0 0 258.362 2.859 20 5 CFBDRN CC(=O)c1ccc(F)cc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000839325115 626533765 /nfs/dbraw/zinc/53/37/65/626533765.db2.gz XJWKTIRBAXDJNB-UHFFFAOYSA-N 0 0 296.298 2.802 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ncccc1C ZINC000839349239 626534394 /nfs/dbraw/zinc/53/43/94/626534394.db2.gz ISVYBEYVYULEOC-UHFFFAOYSA-N 0 0 279.340 2.578 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000839379726 626534728 /nfs/dbraw/zinc/53/47/28/626534728.db2.gz QWXFRMGAJCQEJM-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000839397818 626535492 /nfs/dbraw/zinc/53/54/92/626535492.db2.gz IASZKEMJIVFTEC-CYBMUJFWSA-N 0 0 284.262 2.698 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000839452633 626537240 /nfs/dbraw/zinc/53/72/40/626537240.db2.gz DZWYOVMHYPFMJC-NEPJUHHUSA-N 0 0 298.726 2.546 20 5 CFBDRN CCN(Cc1ccc2ccccc2c1)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839512784 626538404 /nfs/dbraw/zinc/53/84/04/626538404.db2.gz RJVKGVXOFBSSGB-HZPDHXFCSA-N 0 0 298.342 2.854 20 5 CFBDRN CCc1cc(N2CCc3c(cccc3[N+](=O)[O-])C2)ncn1 ZINC000756959500 626542667 /nfs/dbraw/zinc/54/26/67/626542667.db2.gz LIJRICWWEVGUBC-UHFFFAOYSA-N 0 0 284.319 2.510 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)[C@H]1C[C@@H]1C1CC1 ZINC000756993053 626543142 /nfs/dbraw/zinc/54/31/42/626543142.db2.gz CIVBAXILSCDGMC-NEPJUHHUSA-N 0 0 294.738 2.911 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1cscn1 ZINC000756995223 626543146 /nfs/dbraw/zinc/54/31/46/626543146.db2.gz BKIYOQKALQRTGZ-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCc2cccc([N+](=O)[O-])c2C)O1 ZINC000757119989 626545353 /nfs/dbraw/zinc/54/53/53/626545353.db2.gz HBAFOWSLBGPIFY-TZMCWYRMSA-N 0 0 293.319 2.904 20 5 CFBDRN CN(CCc1cccc2ccccc21)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839620043 626548549 /nfs/dbraw/zinc/54/85/49/626548549.db2.gz DIQFETQRUWEOSM-HZPDHXFCSA-N 0 0 298.342 2.506 20 5 CFBDRN CC(C)=C(C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000757352677 626549001 /nfs/dbraw/zinc/54/90/01/626549001.db2.gz UGMKLZWIVJAJCA-UHFFFAOYSA-N 0 0 260.293 2.840 20 5 CFBDRN CCC[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)cc1F ZINC000839702913 626550922 /nfs/dbraw/zinc/55/09/22/626550922.db2.gz XLOSJQRBQREPPT-RAIGVLPGSA-N 0 0 298.289 2.587 20 5 CFBDRN C[C@@H]1[C@H](CO)CCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000839669291 626551711 /nfs/dbraw/zinc/55/17/11/626551711.db2.gz NPVKDCWFPNFDMC-MNOVXSKESA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@@H]1[C@H](CO)CCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000839675463 626551768 /nfs/dbraw/zinc/55/17/68/626551768.db2.gz PJAVSFMAWWIPKH-PWSUYJOCSA-N 0 0 298.770 2.841 20 5 CFBDRN CC[C@@H](C)CONC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000839777603 626555039 /nfs/dbraw/zinc/55/50/39/626555039.db2.gz UALASRCNFJEWEW-DDTOSNHZSA-N 0 0 292.335 2.792 20 5 CFBDRN O=C([C@@H]1CC12CCC2)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757613283 626556408 /nfs/dbraw/zinc/55/64/08/626556408.db2.gz DLAHGLMBIUTNHA-ZDUSSCGKSA-N 0 0 286.331 2.670 20 5 CFBDRN CON(C(=O)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000757623394 626556626 /nfs/dbraw/zinc/55/66/26/626556626.db2.gz NNUKKLOLEGAUIC-UHFFFAOYSA-N 0 0 264.281 2.541 20 5 CFBDRN COCC[C@@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000757975879 626571035 /nfs/dbraw/zinc/57/10/35/626571035.db2.gz KOSLLPVWTWKBON-CQSZACIVSA-N 0 0 295.335 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(OC/C(Cl)=C/Cl)cc1CO ZINC000757982678 626571432 /nfs/dbraw/zinc/57/14/32/626571432.db2.gz BTANPJQBXYADHW-YWEYNIOJSA-N 0 0 278.091 2.785 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])n2C)cc1C ZINC000758383192 626590897 /nfs/dbraw/zinc/59/08/97/626590897.db2.gz OTMHINSLKHNQQL-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000758464316 626594910 /nfs/dbraw/zinc/59/49/10/626594910.db2.gz DPDLRIWSSDAOMV-MRVPVSSYSA-N 0 0 266.272 2.524 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC3(CCC3)C2)nc2ccccn21 ZINC000758473323 626595197 /nfs/dbraw/zinc/59/51/97/626595197.db2.gz QQKJHPPSATZCNY-UHFFFAOYSA-N 0 0 272.308 2.623 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCC1CCC1 ZINC000758736982 626605919 /nfs/dbraw/zinc/60/59/19/626605919.db2.gz ZQTZQTONLZSHPH-UHFFFAOYSA-N 0 0 288.303 2.962 20 5 CFBDRN CCCc1nc(C(=O)Oc2cccc([N+](=O)[O-])c2)co1 ZINC000759404341 626654583 /nfs/dbraw/zinc/65/45/83/626654583.db2.gz PWVVQWVLMPUBSY-UHFFFAOYSA-N 0 0 276.248 2.755 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000759699988 626684804 /nfs/dbraw/zinc/68/48/04/626684804.db2.gz IPJVTYXEYVOWBM-ZJUUUORDSA-N 0 0 295.295 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CC12CCC2 ZINC000759818701 626693531 /nfs/dbraw/zinc/69/35/31/626693531.db2.gz AFKWMYUISIPDNN-LLVKDONJSA-N 0 0 261.277 2.999 20 5 CFBDRN CC(C)CC(=O)O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000759929775 626702662 /nfs/dbraw/zinc/70/26/62/626702662.db2.gz IMKOSCJDHHCTKU-NEPJUHHUSA-N 0 0 295.339 2.864 20 5 CFBDRN CC(C)=CC(=O)O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000759930545 626702700 /nfs/dbraw/zinc/70/27/00/626702700.db2.gz JNOPNFIRRFTVSS-NEPJUHHUSA-N 0 0 293.323 2.784 20 5 CFBDRN CCC/C=C/C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000759991869 626707958 /nfs/dbraw/zinc/70/79/58/626707958.db2.gz NCQQSGIKVGACQT-XBXARRHUSA-N 0 0 262.309 2.610 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1C[C@@H]1C1CC1 ZINC000760015727 626709621 /nfs/dbraw/zinc/70/96/21/626709621.db2.gz HNRPMPZGPUVOIM-MNOVXSKESA-N 0 0 261.277 2.855 20 5 CFBDRN Cc1ccc(C(=O)C(=O)Oc2c(C)cccc2[N+](=O)[O-])cc1 ZINC000760014632 626709756 /nfs/dbraw/zinc/70/97/56/626709756.db2.gz GZNMBMZXXKBZMZ-UHFFFAOYSA-N 0 0 299.282 3.000 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CC1CCOCC1 ZINC000760023713 626710478 /nfs/dbraw/zinc/71/04/78/626710478.db2.gz SGRSYGYAFPMMKZ-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN C[C@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)c1ccc[nH]1 ZINC000760225530 626721576 /nfs/dbraw/zinc/72/15/76/626721576.db2.gz KBCVJWQVQSRPHS-VIFPVBQESA-N 0 0 290.348 2.971 20 5 CFBDRN O=Cc1ccc(OCc2ncc(C3CC3)o2)c([N+](=O)[O-])c1 ZINC000760307584 626732335 /nfs/dbraw/zinc/73/23/35/626732335.db2.gz RDEDHNOHOBQPBD-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc[nH]1 ZINC000760413695 626743784 /nfs/dbraw/zinc/74/37/84/626743784.db2.gz CMXBSTIZTXJVCK-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc[nH]1 ZINC000760413692 626743833 /nfs/dbraw/zinc/74/38/33/626743833.db2.gz CMXBSTIZTXJVCK-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccc[nH]1 ZINC000760423155 626744919 /nfs/dbraw/zinc/74/49/19/626744919.db2.gz PZOPZMMQRFAGAF-QMMMGPOBSA-N 0 0 277.255 2.553 20 5 CFBDRN Cc1nc(NC[C@H]2COc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000760445156 626746592 /nfs/dbraw/zinc/74/65/92/626746592.db2.gz PPRIFTZGDORASO-LBPRGKRZSA-N 0 0 299.330 2.961 20 5 CFBDRN O=C(OC[C@H]1CCCOC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000760771123 626771080 /nfs/dbraw/zinc/77/10/80/626771080.db2.gz JVBJFXWBCYUDHQ-VIFPVBQESA-N 0 0 299.710 2.832 20 5 CFBDRN O=[N+]([O-])c1ccccc1NNC(=S)Nc1ccsc1 ZINC000790303148 626828812 /nfs/dbraw/zinc/82/88/12/626828812.db2.gz SSUYASIZLDBWTC-UHFFFAOYSA-N 0 0 294.361 2.970 20 5 CFBDRN COCCC1(C(=O)OCc2ccccc2[N+](=O)[O-])CCC1 ZINC000761487753 626839866 /nfs/dbraw/zinc/83/98/66/626839866.db2.gz IIWLMYDSTKNSBD-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN O=C(Oc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cn1 ZINC000761841990 626862805 /nfs/dbraw/zinc/86/28/05/626862805.db2.gz NQVLODIZFJCUQN-UHFFFAOYSA-N 0 0 278.651 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CC3CCOCC3)no2)s1 ZINC000761980358 626876546 /nfs/dbraw/zinc/87/65/46/626876546.db2.gz OMSKXHXYSIFGHF-UHFFFAOYSA-N 0 0 295.320 2.675 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000762068712 626886148 /nfs/dbraw/zinc/88/61/48/626886148.db2.gz MLRDQFPQVOEYTL-SECBINFHSA-N 0 0 267.306 2.562 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000762069700 626886615 /nfs/dbraw/zinc/88/66/15/626886615.db2.gz NBSLKMBEHYMKKO-NXEZZACHSA-N 0 0 281.333 2.952 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000762069708 626886816 /nfs/dbraw/zinc/88/68/16/626886816.db2.gz NBSLKMBEHYMKKO-ZJUUUORDSA-N 0 0 281.333 2.952 20 5 CFBDRN O=C(OCCc1ccccc1F)c1ccc([N+](=O)[O-])cn1 ZINC000762085077 626888740 /nfs/dbraw/zinc/88/87/40/626888740.db2.gz ZPXLFJIKFMLVGW-UHFFFAOYSA-N 0 0 290.250 2.528 20 5 CFBDRN Cc1ccncc1COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000762118925 626892975 /nfs/dbraw/zinc/89/29/75/626892975.db2.gz GMIFHJMXOJPHEZ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCSC1 ZINC000762141376 626896926 /nfs/dbraw/zinc/89/69/26/626896926.db2.gz ALPMVGARMOXZRZ-SECBINFHSA-N 0 0 297.332 2.513 20 5 CFBDRN CNc1ccc(C(=O)OC[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000762147660 626897546 /nfs/dbraw/zinc/89/75/46/626897546.db2.gz JVAQZJCSLLBUBW-SECBINFHSA-N 0 0 296.348 2.546 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NCCCCF ZINC000936068633 649982989 /nfs/dbraw/zinc/98/29/89/649982989.db2.gz PHOCLUPAXNJIMJ-UHFFFAOYSA-N 0 0 286.278 2.532 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCCCCCO)c1 ZINC000762462649 626917321 /nfs/dbraw/zinc/91/73/21/626917321.db2.gz MADXHNLSAAEHIZ-UHFFFAOYSA-N 0 0 257.261 2.665 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC[C@H]3SCCS[C@@H]23)cn1 ZINC000762559817 626923739 /nfs/dbraw/zinc/92/37/39/626923739.db2.gz KQLKFUJGQTZPCE-FOGDFJRCSA-N 0 0 297.405 2.781 20 5 CFBDRN CC(=O)CCCCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000762820727 626940806 /nfs/dbraw/zinc/94/08/06/626940806.db2.gz BLXWNGWSZJVYJM-UHFFFAOYSA-N 0 0 271.294 2.572 20 5 CFBDRN C[C@@H](OC(=O)c1cc[nH]c(=O)c1)c1cccc([N+](=O)[O-])c1 ZINC000762849232 626944476 /nfs/dbraw/zinc/94/44/76/626944476.db2.gz QTQKZNYLHQGMNY-SECBINFHSA-N 0 0 288.259 2.613 20 5 CFBDRN CC(=O)CCCCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000762856094 626944849 /nfs/dbraw/zinc/94/48/49/626944849.db2.gz RDWDOQLZFMKTKF-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN C[C@H](C(=O)OC[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000762942627 626952177 /nfs/dbraw/zinc/95/21/77/626952177.db2.gz AHTHMZXMTDNEBX-FZMZJTMJSA-N 0 0 293.319 2.811 20 5 CFBDRN C[C@@H](C(=O)OCc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000762944666 626952204 /nfs/dbraw/zinc/95/22/04/626952204.db2.gz JWCRGRDURBCVHE-LLVKDONJSA-N 0 0 286.287 2.837 20 5 CFBDRN CCc1ccc(OC[C@@H]2CC3(CC3)C(=O)O2)c([N+](=O)[O-])c1 ZINC000762963418 626953537 /nfs/dbraw/zinc/95/35/37/626953537.db2.gz SJRWBKCJDNWMOJ-NSHDSACASA-N 0 0 291.303 2.632 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)c1coc(C2CC2)n1 ZINC000763189524 626969363 /nfs/dbraw/zinc/96/93/63/626969363.db2.gz MTLJGTBRQYQFAC-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCC(F)(F)C2)nc2ccccn21 ZINC000763390169 626984642 /nfs/dbraw/zinc/98/46/42/626984642.db2.gz AXMOZIULKSNCSK-MRVPVSSYSA-N 0 0 282.250 2.842 20 5 CFBDRN C[C@H](C(=O)OCCCF)c1cccc([N+](=O)[O-])c1 ZINC000763407253 626986475 /nfs/dbraw/zinc/98/64/75/626986475.db2.gz YYQZNGFAIOBUSM-VIFPVBQESA-N 0 0 255.245 2.601 20 5 CFBDRN CC(=O)CCCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763427803 626988412 /nfs/dbraw/zinc/98/84/12/626988412.db2.gz ZIWLWRDBJDGLKN-NSHDSACASA-N 0 0 279.292 2.611 20 5 CFBDRN Cc1ncsc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000763511842 626993104 /nfs/dbraw/zinc/99/31/04/626993104.db2.gz IJARWWCISSMIAQ-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000763548398 626997271 /nfs/dbraw/zinc/99/72/71/626997271.db2.gz MTRUUQJCFCNYEG-MRVPVSSYSA-N 0 0 255.245 2.935 20 5 CFBDRN COC(=O)c1ccc(OC(=O)CCC(C)C)cc1[N+](=O)[O-] ZINC000763705936 627007146 /nfs/dbraw/zinc/00/71/46/627007146.db2.gz NAWRHEPMHXMKMZ-UHFFFAOYSA-N 0 0 295.291 2.723 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C1CCC1 ZINC000763720904 627007753 /nfs/dbraw/zinc/00/77/53/627007753.db2.gz QJDCQXPGPROQME-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)CC ZINC000763812705 627015147 /nfs/dbraw/zinc/01/51/47/627015147.db2.gz XBJQGNAVBVYZEJ-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN C[C@H](C(=O)O[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000763964258 627026794 /nfs/dbraw/zinc/02/67/94/627026794.db2.gz UCENZBQVODYMRH-JOYOIKCWSA-N 0 0 281.333 2.747 20 5 CFBDRN CS/C=C/C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000764080440 627036230 /nfs/dbraw/zinc/03/62/30/627036230.db2.gz TZARSMKDJNHTON-CMDGGOBGSA-N 0 0 292.360 2.963 20 5 CFBDRN COc1ccc(CC(=O)OCC2(C)CCC2)cc1[N+](=O)[O-] ZINC000764097975 627037349 /nfs/dbraw/zinc/03/73/49/627037349.db2.gz BYVGBQBCCIEBBD-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H](O)C(C)(C)C ZINC000764588011 627073854 /nfs/dbraw/zinc/07/38/54/627073854.db2.gz DOJDHDIKGZTVCT-GFCCVEGCSA-N 0 0 280.324 2.557 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC1(F)F ZINC000805291938 627089826 /nfs/dbraw/zinc/08/98/26/627089826.db2.gz GGJQFOPWZWJOFB-FZKGZDJFSA-N 0 0 296.273 2.912 20 5 CFBDRN CS/C=C\c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000764748326 627090549 /nfs/dbraw/zinc/09/05/49/627090549.db2.gz JZKIKTNMVQPZAT-WAYWQWQTSA-N 0 0 263.278 2.979 20 5 CFBDRN Cc1nn(Cc2nc(Cl)ccc2Cl)cc1[N+](=O)[O-] ZINC000764940365 627107680 /nfs/dbraw/zinc/10/76/80/627107680.db2.gz OXUWIOPSINCQLT-UHFFFAOYSA-N 0 0 287.106 2.850 20 5 CFBDRN Cc1cc(NC(=O)C=CC2CC2)c2cc([N+](=O)[O-])ccc2n1 ZINC000765139479 627121923 /nfs/dbraw/zinc/12/19/23/627121923.db2.gz ZHRXFYXFFPRROZ-DAXSKMNVSA-N 0 0 297.314 2.778 20 5 CFBDRN CC(C)[C@H]([NH2+]Cc1cc([N+](=O)[O-])ccc1[O-])C1CC1 ZINC000765617453 627150970 /nfs/dbraw/zinc/15/09/70/627150970.db2.gz BIPTWMVUHSVSTP-AWEZNQCLSA-N 0 0 264.325 2.825 20 5 CFBDRN CCCC(=O)OCc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000766166694 627181870 /nfs/dbraw/zinc/18/18/70/627181870.db2.gz SNBAMIPEKODVLR-UHFFFAOYSA-N 0 0 271.244 2.586 20 5 CFBDRN COc1cc(COC(=O)C2CCC2)c([N+](=O)[O-])cc1F ZINC000766181083 627182158 /nfs/dbraw/zinc/18/21/58/627182158.db2.gz YDZRJPKVZVQBCD-UHFFFAOYSA-N 0 0 283.255 2.586 20 5 CFBDRN C/C=C\COC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000766233915 627186298 /nfs/dbraw/zinc/18/62/98/627186298.db2.gz UMUQPBXGCPRZOH-IHWYPQMZSA-N 0 0 272.260 2.876 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cn2)cc1F ZINC000766292371 627191636 /nfs/dbraw/zinc/19/16/36/627191636.db2.gz LZDQIYRDAWJVHC-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCc1ccc(C(=O)OC[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000766300425 627192567 /nfs/dbraw/zinc/19/25/67/627192567.db2.gz HZIXAPOQCAPMMI-LLVKDONJSA-N 0 0 293.319 2.741 20 5 CFBDRN Cc1cc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])ns1 ZINC000766492756 627205974 /nfs/dbraw/zinc/20/59/74/627205974.db2.gz XQBXJVXKTHLXKW-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CCCC[C@H](CC)COC(=O)c1c([N+](=O)[O-])cnn1C ZINC000766617104 627211658 /nfs/dbraw/zinc/21/16/58/627211658.db2.gz CAYFSBZSYXUXTH-JTQLQIEISA-N 0 0 283.328 2.702 20 5 CFBDRN Cc1ccccc1[C@H](C)OC(=O)c1c([N+](=O)[O-])cnn1C ZINC000766661856 627213331 /nfs/dbraw/zinc/21/33/31/627213331.db2.gz NOJHIXWXWMZQHS-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@H](CO)COC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000766681470 627214454 /nfs/dbraw/zinc/21/44/54/627214454.db2.gz JEWISNFLDBAQCP-MRVPVSSYSA-N 0 0 295.316 2.595 20 5 CFBDRN COc1cccc(C(=O)O[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC000766855320 627222985 /nfs/dbraw/zinc/22/29/85/627222985.db2.gz UQSZIMOWYRRVDT-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN CS[C@@H](C)CC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000766916950 627226525 /nfs/dbraw/zinc/22/65/25/627226525.db2.gz MEHNHLUMWSFEPF-QMMMGPOBSA-N 0 0 287.312 2.919 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@H](O)c1cccc(F)c1 ZINC000767368719 627245969 /nfs/dbraw/zinc/24/59/69/627245969.db2.gz XTXZVWAMMIHHMH-ZDUSSCGKSA-N 0 0 295.241 2.985 20 5 CFBDRN Cc1c(COC(=O)Cc2ccco2)cccc1[N+](=O)[O-] ZINC000767512892 627256699 /nfs/dbraw/zinc/25/66/99/627256699.db2.gz UIDVFBOODAWSLS-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN COc1ccc(COC(=O)/C=C/SC)cc1[N+](=O)[O-] ZINC000767651222 627268627 /nfs/dbraw/zinc/26/86/27/627268627.db2.gz LWEVAOBEJHICIV-AATRIKPKSA-N 0 0 283.305 2.523 20 5 CFBDRN CS/C=C\C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000767664861 627271422 /nfs/dbraw/zinc/27/14/22/627271422.db2.gz OPGYLHFSERBSBG-CLFYSBASSA-N 0 0 267.306 2.557 20 5 CFBDRN COc1cccc(C(=O)NOC2CCCCC2)c1[N+](=O)[O-] ZINC000768234084 627326885 /nfs/dbraw/zinc/32/68/85/627326885.db2.gz XUIXRMSLUZGZNG-UHFFFAOYSA-N 0 0 294.307 2.598 20 5 CFBDRN CN(Cc1cn[nH]c1)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000768549112 627353278 /nfs/dbraw/zinc/35/32/78/627353278.db2.gz PEGSFQRVZLHUJI-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN O=C(OCC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000768551694 627353581 /nfs/dbraw/zinc/35/35/81/627353581.db2.gz JONHURYZHKABNH-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN Cc1cnoc1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000768606161 627357755 /nfs/dbraw/zinc/35/77/55/627357755.db2.gz ONPKCSAVYXGLKR-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN C[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])[C@@H](C)C[N@@H+]1C ZINC000768771939 627370919 /nfs/dbraw/zinc/37/09/19/627370919.db2.gz MCXPHKZOCURHLT-MNOVXSKESA-N 0 0 297.786 2.773 20 5 CFBDRN C[C@@H]1C[N@H+](C)[C@@H](C)CN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000768771950 627371019 /nfs/dbraw/zinc/37/10/19/627371019.db2.gz MCXPHKZOCURHLT-WDEREUQCSA-N 0 0 297.786 2.773 20 5 CFBDRN CC(C)(C)OCC(N)=NOCc1ccc([N+](=O)[O-])cc1F ZINC000768930097 627387713 /nfs/dbraw/zinc/38/77/13/627387713.db2.gz HVCRCAZSPGGVIX-UHFFFAOYSA-N 0 0 299.302 2.547 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@H](F)c1ccccc1 ZINC000768983139 627392394 /nfs/dbraw/zinc/39/23/94/627392394.db2.gz VRGYMTXDMRYHRE-CQSZACIVSA-N 0 0 288.278 2.922 20 5 CFBDRN O=C(OCc1cccc(F)c1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000769048740 627399227 /nfs/dbraw/zinc/39/92/27/627399227.db2.gz RKFRKDNQOBQPES-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCO[C@H]1CC1(F)F)CC2 ZINC000851891832 634574341 /nfs/dbraw/zinc/57/43/41/634574341.db2.gz DGIKBHBBYINMLQ-ZDUSSCGKSA-N 0 0 298.289 2.690 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCC1(C)COC1 ZINC000769890957 627485544 /nfs/dbraw/zinc/48/55/44/627485544.db2.gz VYIOAWCMUHNWPX-UHFFFAOYSA-N 0 0 293.319 2.713 20 5 CFBDRN COc1cccc(NC(=O)c2ccccc2[N+](=O)[O-])c1F ZINC000770065747 627499968 /nfs/dbraw/zinc/49/99/68/627499968.db2.gz CKVFPALUWJAFLU-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cn1ncc(Nc2ncc(Cl)cc2[N+](=O)[O-])c1C1CC1 ZINC000770130011 627503955 /nfs/dbraw/zinc/50/39/55/627503955.db2.gz FFHSMEZFBAUGLM-UHFFFAOYSA-N 0 0 293.714 2.998 20 5 CFBDRN CCOC(=O)N1CC[C@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000770223578 627508492 /nfs/dbraw/zinc/50/84/92/627508492.db2.gz RXNPWNYGKGKUNA-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000770224371 627508741 /nfs/dbraw/zinc/50/87/41/627508741.db2.gz ZJQRDSWLKDMKTK-XADBCAIWSA-N 0 0 293.348 2.944 20 5 CFBDRN CCc1ccc(C(=O)N2CCc3ncccc32)cc1[N+](=O)[O-] ZINC000770221621 627508766 /nfs/dbraw/zinc/50/87/66/627508766.db2.gz RSPQJXBSOHTQPB-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)C1(CCO)CC1 ZINC000770545801 627528974 /nfs/dbraw/zinc/52/89/74/627528974.db2.gz KXJMPOQZODJFHL-NSHDSACASA-N 0 0 293.319 2.670 20 5 CFBDRN Cc1ccc([C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2[O-])o1 ZINC000770856810 627551607 /nfs/dbraw/zinc/55/16/07/627551607.db2.gz NEEPFTGKHXGICH-SECBINFHSA-N 0 0 290.275 2.944 20 5 CFBDRN Cc1nn(C)c(C(=O)OC[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000770959467 627564283 /nfs/dbraw/zinc/56/42/83/627564283.db2.gz DGLAWCCACYISKI-GXSJLCMTSA-N 0 0 295.339 2.620 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C[C@@H]1C ZINC000770997559 627567656 /nfs/dbraw/zinc/56/76/56/627567656.db2.gz XAPFXBZXYFRENF-VWYCJHECSA-N 0 0 280.324 2.915 20 5 CFBDRN CC[C@H](O)CCCNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000771228558 627586507 /nfs/dbraw/zinc/58/65/07/627586507.db2.gz KZQOUZMFMBCTII-VIFPVBQESA-N 0 0 286.303 2.706 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1(F)CCCC1 ZINC000771851222 627637596 /nfs/dbraw/zinc/63/75/96/627637596.db2.gz PYOSJMRLIBJOHP-UHFFFAOYSA-N 0 0 252.245 2.816 20 5 CFBDRN CCCC[C@H](CCC)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000772055636 627668739 /nfs/dbraw/zinc/66/87/39/627668739.db2.gz FJSCINQCWKVQOF-JTQLQIEISA-N 0 0 283.328 2.844 20 5 CFBDRN Cc1ccc(COC(=O)c2ccccc2[N+](=O)[O-])nc1 ZINC000772244222 627690246 /nfs/dbraw/zinc/69/02/46/627690246.db2.gz JRXOUQYIYUFRHS-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000772280206 627696081 /nfs/dbraw/zinc/69/60/81/627696081.db2.gz HTGHHQFSRSSMMP-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000772497460 627714685 /nfs/dbraw/zinc/71/46/85/627714685.db2.gz DBKDGOBVRFNXKV-NXEZZACHSA-N 0 0 269.322 2.992 20 5 CFBDRN O=C(Oc1ccc2cncnc2c1)c1ccc([N+](=O)[O-])cc1 ZINC000772522804 627719102 /nfs/dbraw/zinc/71/91/02/627719102.db2.gz DRWUUOVGAVCNTJ-UHFFFAOYSA-N 0 0 295.254 2.757 20 5 CFBDRN C[C@H](NC(=O)COC1(C)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000773039262 627773053 /nfs/dbraw/zinc/77/30/53/627773053.db2.gz WHUKPCWDBWOYFN-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN O=C(N1CCc2c1cccc2[N+](=O)[O-])C1(F)CCCC1 ZINC000773089717 627782054 /nfs/dbraw/zinc/78/20/54/627782054.db2.gz URPMJFRJKBMWCC-UHFFFAOYSA-N 0 0 278.283 2.766 20 5 CFBDRN COCC1(C)CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000773250558 627798647 /nfs/dbraw/zinc/79/86/47/627798647.db2.gz AUPRBMZRVAIVMK-UHFFFAOYSA-N 0 0 264.325 2.848 20 5 CFBDRN CC[C@H](CSC)N(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000773258405 627799362 /nfs/dbraw/zinc/79/93/62/627799362.db2.gz GKVZQEFFPPCHIA-MRVPVSSYSA-N 0 0 296.352 2.709 20 5 CFBDRN Cc1ccc(S(=O)(=O)Oc2ccc([N+](=O)[O-])cc2C)o1 ZINC000773328258 627806523 /nfs/dbraw/zinc/80/65/23/627806523.db2.gz JHBZFPUEMXPQDA-UHFFFAOYSA-N 0 0 297.288 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2nonc21 ZINC000773386132 627812318 /nfs/dbraw/zinc/81/23/18/627812318.db2.gz WHPRLQKYZJRQCN-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN O=C(OCc1cnoc1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000773430212 627818378 /nfs/dbraw/zinc/81/83/78/627818378.db2.gz IPVJNGAGBANTIF-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN O=C(NOc1ccccc1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000773470827 627824858 /nfs/dbraw/zinc/82/48/58/627824858.db2.gz QFJUHFOVOPHMMH-UHFFFAOYSA-N 0 0 292.678 2.972 20 5 CFBDRN CSCCCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773993834 627876411 /nfs/dbraw/zinc/87/64/11/627876411.db2.gz NJTWYHGFXBZGQM-UHFFFAOYSA-N 0 0 294.376 2.936 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@@H]1CCCS1)CC2 ZINC000773988386 627876871 /nfs/dbraw/zinc/87/68/71/627876871.db2.gz AKCYKNIASDCHRX-ZDUSSCGKSA-N 0 0 292.360 2.688 20 5 CFBDRN Cc1cccnc1C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773998354 627877531 /nfs/dbraw/zinc/87/75/31/627877531.db2.gz WWPYLLRTMPSYHJ-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN C[C@H](OC(=O)C1CCC(=O)CC1)c1ccccc1[N+](=O)[O-] ZINC000774016627 627879509 /nfs/dbraw/zinc/87/95/09/627879509.db2.gz UGBNGAITMXXTEU-JTQLQIEISA-N 0 0 291.303 2.958 20 5 CFBDRN CCC(C)(C)NC(=S)NCCc1ccc([N+](=O)[O-])cc1 ZINC000774167000 627897663 /nfs/dbraw/zinc/89/76/63/627897663.db2.gz ZBYNPLQRODCVLT-UHFFFAOYSA-N 0 0 295.408 2.790 20 5 CFBDRN COc1cccc(C(=O)OCC(C)(C)F)c1[N+](=O)[O-] ZINC000774255262 627908634 /nfs/dbraw/zinc/90/86/34/627908634.db2.gz BSZRJHGKCKNMCG-UHFFFAOYSA-N 0 0 271.244 2.508 20 5 CFBDRN CCOC(=O)CCN(CC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000774533804 627935914 /nfs/dbraw/zinc/93/59/14/627935914.db2.gz DRJYTRWBMDUFBK-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN CCc1cc(CNc2cccc(F)c2[N+](=O)[O-])[nH]n1 ZINC000774530907 627936094 /nfs/dbraw/zinc/93/60/94/627936094.db2.gz YCUGYZTVUJGKIW-UHFFFAOYSA-N 0 0 264.260 2.632 20 5 CFBDRN CCc1cc(CNc2cc(OC)c([N+](=O)[O-])cc2F)[nH]n1 ZINC000774529789 627936120 /nfs/dbraw/zinc/93/61/20/627936120.db2.gz MHVDAELRWREPNE-UHFFFAOYSA-N 0 0 294.286 2.640 20 5 CFBDRN C[C@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1ccc[nH]1 ZINC000774675119 627947499 /nfs/dbraw/zinc/94/74/99/627947499.db2.gz ISWVGMQYZPSUFB-QMMMGPOBSA-N 0 0 271.280 2.972 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000774740401 627955161 /nfs/dbraw/zinc/95/51/61/627955161.db2.gz CPUIDRMYJNSLNH-MNOVXSKESA-N 0 0 279.292 2.567 20 5 CFBDRN CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCCCO ZINC000775085202 627992924 /nfs/dbraw/zinc/99/29/24/627992924.db2.gz GACKDBZGNPBAPI-UHFFFAOYSA-N 0 0 299.348 2.635 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[Si](C)(C)C)n1 ZINC000775215274 628000079 /nfs/dbraw/zinc/00/00/79/628000079.db2.gz YRQGYOMGQATXKY-UHFFFAOYSA-N 0 0 254.362 2.863 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCC(=O)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000775492500 628030961 /nfs/dbraw/zinc/03/09/61/628030961.db2.gz PHCAJPOOCGYFSS-QWRGUYRKSA-N 0 0 291.303 2.814 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCC1=O ZINC000775494595 628031541 /nfs/dbraw/zinc/03/15/41/628031541.db2.gz XLHNJHCIOVLLBI-DTWKUNHWSA-N 0 0 295.266 2.645 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)OCC2CCC(C)(C)CC2)n1 ZINC000775882582 628080542 /nfs/dbraw/zinc/08/05/42/628080542.db2.gz ZJLUPZWDFZGYTF-UHFFFAOYSA-N 0 0 295.339 2.702 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000776287957 628126679 /nfs/dbraw/zinc/12/66/79/628126679.db2.gz DVDIMSFYSCXFFK-VHSXEESVSA-N 0 0 279.292 2.945 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CC[C@@H](C)C1 ZINC000776290743 628127353 /nfs/dbraw/zinc/12/73/53/628127353.db2.gz HMNLNEXNZMTTOX-NXEZZACHSA-N 0 0 279.292 2.945 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC(F)(F)C1 ZINC000776302437 628128625 /nfs/dbraw/zinc/12/86/25/628128625.db2.gz WPEDZJCIXYHUSP-UHFFFAOYSA-N 0 0 272.251 2.587 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCO1 ZINC000776410488 628145829 /nfs/dbraw/zinc/14/58/29/628145829.db2.gz DKMOKRHPMWHSRF-PSASIEDQSA-N 0 0 285.321 2.515 20 5 CFBDRN CC(C)OCCOC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000805569889 628155509 /nfs/dbraw/zinc/15/55/09/628155509.db2.gz MCZMRDGTZVJCLU-UHFFFAOYSA-N 0 0 292.291 2.658 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000776523816 628156309 /nfs/dbraw/zinc/15/63/09/628156309.db2.gz WBNGBRUJVKRLGF-ZYHUDNBSSA-N 0 0 293.319 2.878 20 5 CFBDRN COc1ccc(COC(=O)[C@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000776692643 628168114 /nfs/dbraw/zinc/16/81/14/628168114.db2.gz HUEFFKYJTNELSI-YABSGUDNSA-N 0 0 291.303 2.693 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000777073170 628197827 /nfs/dbraw/zinc/19/78/27/628197827.db2.gz FDZGLAPYKHTKJU-QMMMGPOBSA-N 0 0 297.332 2.841 20 5 CFBDRN CC(C)[C@H]1C[C@H]1COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000777369347 628217683 /nfs/dbraw/zinc/21/76/83/628217683.db2.gz GLDAEKMQWPAMAO-GXTWGEPZSA-N 0 0 277.320 2.973 20 5 CFBDRN COc1cc(C(=O)OCCc2ccoc2)ccc1[N+](=O)[O-] ZINC000777381656 628220340 /nfs/dbraw/zinc/22/03/40/628220340.db2.gz QIBBFSHMCWVKQZ-UHFFFAOYSA-N 0 0 291.259 2.596 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])NOC1CCCCC1 ZINC000777429692 628224892 /nfs/dbraw/zinc/22/48/92/628224892.db2.gz CUUFWHYUWHDKIC-UHFFFAOYSA-N 0 0 293.323 2.658 20 5 CFBDRN Cc1cc(N2CCC[C@H]2CCO)c(Cl)cc1[N+](=O)[O-] ZINC000777823702 628254529 /nfs/dbraw/zinc/25/45/29/628254529.db2.gz ZCTZAVILPQIVQK-JTQLQIEISA-N 0 0 284.743 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC3(CCO)CC3)sc2c1 ZINC000777842070 628256221 /nfs/dbraw/zinc/25/62/21/628256221.db2.gz DQSCOGJQUGVXND-UHFFFAOYSA-N 0 0 293.348 2.779 20 5 CFBDRN O=C1N[C@H](c2ccc([N+](=O)[O-])cc2F)Nc2ccccc21 ZINC000777871763 628260119 /nfs/dbraw/zinc/26/01/19/628260119.db2.gz MMGIDDBDEJHWFI-CYBMUJFWSA-N 0 0 287.250 2.588 20 5 CFBDRN O=C(Nc1nccs1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777876487 628260661 /nfs/dbraw/zinc/26/06/61/628260661.db2.gz GSMBAEXEHZDGHQ-UHFFFAOYSA-N 0 0 299.258 2.782 20 5 CFBDRN O=C(N1C2CCC1CC2)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777928192 628265897 /nfs/dbraw/zinc/26/58/97/628265897.db2.gz QDAXTWDCVKFCFL-UHFFFAOYSA-N 0 0 296.273 2.840 20 5 CFBDRN CC(=O)c1ccc(N2CCO[C@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000778008717 628272672 /nfs/dbraw/zinc/27/26/72/628272672.db2.gz IDYLMDOQUXATPT-HNNXBMFYSA-N 0 0 292.335 2.659 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778304137 628295889 /nfs/dbraw/zinc/29/58/89/628295889.db2.gz PXZBEYCTSTXKPY-DTWKUNHWSA-N 0 0 285.246 2.886 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H]1CCO[C@@H](C)C1 ZINC000778363835 628299851 /nfs/dbraw/zinc/29/98/51/628299851.db2.gz FLPHHUSLXQNTDW-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000778374928 628302142 /nfs/dbraw/zinc/30/21/42/628302142.db2.gz MDDNAWXXRKFQGP-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN COc1cc(CSC2=NCCS2)ccc1[N+](=O)[O-] ZINC000778545776 628314395 /nfs/dbraw/zinc/31/43/95/628314395.db2.gz XCEMUVKNGIUHHY-UHFFFAOYSA-N 0 0 284.362 2.939 20 5 CFBDRN CCC[C@H](C)[C@@H](C)OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000778747839 628329970 /nfs/dbraw/zinc/32/99/70/628329970.db2.gz IMVNHMZWTQFMJW-WDEREUQCSA-N 0 0 297.355 2.894 20 5 CFBDRN CO[C@H](C)CC(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778792642 628332091 /nfs/dbraw/zinc/33/20/91/628332091.db2.gz JXOMPKXREKYESY-MNOVXSKESA-N 0 0 281.308 2.932 20 5 CFBDRN O=C(CC1CC1)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778790560 628332147 /nfs/dbraw/zinc/33/21/47/628332147.db2.gz AXENGJXRTYAQKV-CQSZACIVSA-N 0 0 290.319 2.517 20 5 CFBDRN CO[C@@H](C)CC(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778792645 628332170 /nfs/dbraw/zinc/33/21/70/628332170.db2.gz JXOMPKXREKYESY-WDEREUQCSA-N 0 0 281.308 2.932 20 5 CFBDRN C/C=C(/C)C(=O)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778792079 628332458 /nfs/dbraw/zinc/33/24/58/628332458.db2.gz ISZZNMDLMBVTNX-UVLVHESHSA-N 0 0 290.319 2.683 20 5 CFBDRN CCCC(=O)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778797655 628332946 /nfs/dbraw/zinc/33/29/46/628332946.db2.gz GOQAMGGDEWTTJM-CYBMUJFWSA-N 0 0 278.308 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1CCO[C@H]1C ZINC000778839049 628335783 /nfs/dbraw/zinc/33/57/83/628335783.db2.gz HGQBHIWMNROKNY-GVXVVHGQSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1cc(C(=O)OCc2ccccc2[N+](=O)[O-])ccc1O ZINC000778855995 628336660 /nfs/dbraw/zinc/33/66/60/628336660.db2.gz MUUNWJWJZXDSIH-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H]1CC[C@@H](C)C1 ZINC000779262909 628366137 /nfs/dbraw/zinc/36/61/37/628366137.db2.gz KGMXXEBUEMWKEB-KOLCDFICSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)CN(C)c2ccccc2[N+](=O)[O-])C1 ZINC000779262865 628366176 /nfs/dbraw/zinc/36/61/76/628366176.db2.gz JHDGBRQJXLWGCQ-NEPJUHHUSA-N 0 0 292.335 2.763 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H]1CC[C@@H](C)C1 ZINC000779262911 628366257 /nfs/dbraw/zinc/36/62/57/628366257.db2.gz KGMXXEBUEMWKEB-MWLCHTKSSA-N 0 0 279.292 2.949 20 5 CFBDRN CC[C@H]1C[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000779286168 628369273 /nfs/dbraw/zinc/36/92/73/628369273.db2.gz AJZCZXWMRLXOBR-STQMWFEESA-N 0 0 279.292 2.709 20 5 CFBDRN CCCCCC[C@@H](C)NC(=O)Cn1cc([N+](=O)[O-])c(C)n1 ZINC000779645556 628392087 /nfs/dbraw/zinc/39/20/87/628392087.db2.gz KQUKFLITCZTQDF-LLVKDONJSA-N 0 0 296.371 2.575 20 5 CFBDRN CCOC1CC(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000805642998 628393791 /nfs/dbraw/zinc/39/37/91/628393791.db2.gz OEWZHJULYVABPW-UHFFFAOYSA-N 0 0 297.282 2.592 20 5 CFBDRN CC/C(C)=C(/C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000779851878 628413945 /nfs/dbraw/zinc/41/39/45/628413945.db2.gz ZFEHEJGIPPTCBC-KHPPLWFESA-N 0 0 262.309 2.957 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1[C@@H]2CCCO[C@H]12)c1ccc([N+](=O)[O-])cc1 ZINC000779857466 628414415 /nfs/dbraw/zinc/41/44/15/628414415.db2.gz ZXDHNMDRWZQGPB-WTDIUWLJSA-N 0 0 291.303 2.624 20 5 CFBDRN CC/C(C)=C(\C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000779858701 628415040 /nfs/dbraw/zinc/41/50/40/628415040.db2.gz CYEQTCRJFHXRPY-VAWYXSNFSA-N 0 0 276.336 3.000 20 5 CFBDRN Cc1cnc(C(=O)NCCc2ccccc2[N+](=O)[O-])c(C)c1 ZINC000779923926 628418640 /nfs/dbraw/zinc/41/86/40/628418640.db2.gz VGYZYGLDULKVGL-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN O=[N+]([O-])c1c(S[C@H]2CCCOC2)nc2ccccn21 ZINC000779943582 628420363 /nfs/dbraw/zinc/42/03/63/628420363.db2.gz NEQWQAVMNGDOFC-VIFPVBQESA-N 0 0 279.321 2.514 20 5 CFBDRN Cc1cnc(C(=O)NCCc2cccc([N+](=O)[O-])c2)c(C)c1 ZINC000779945872 628420466 /nfs/dbraw/zinc/42/04/66/628420466.db2.gz LMBMCFGNHHIPHJ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@H](COC(=O)c1cc(O)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000780071698 628430425 /nfs/dbraw/zinc/43/04/25/628430425.db2.gz JTUFIMDCCDSQMV-ZCFIWIBFSA-N 0 0 293.197 2.656 20 5 CFBDRN CCCCCOC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780070950 628430617 /nfs/dbraw/zinc/43/06/17/628430617.db2.gz ANRPFPMOVUMXKP-UHFFFAOYSA-N 0 0 253.254 2.647 20 5 CFBDRN O=C(O[C@H]1C=CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000780560837 628477078 /nfs/dbraw/zinc/47/70/78/628477078.db2.gz IIYGMIQUHLHLFF-JTQLQIEISA-N 0 0 272.260 2.952 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NC[C@H]3CCC=CO3)c21 ZINC000780621838 628482561 /nfs/dbraw/zinc/48/25/61/628482561.db2.gz HTLHILXKVWQYIK-SNVBAGLBSA-N 0 0 286.291 2.643 20 5 CFBDRN CC(C)=CC(=O)OCCOc1ccc([N+](=O)[O-])cc1C ZINC000780636074 628483976 /nfs/dbraw/zinc/48/39/76/628483976.db2.gz MFMMIEAZHMHXAG-UHFFFAOYSA-N 0 0 279.292 2.791 20 5 CFBDRN Cc1c(NC(=O)NC[C@@H]2CCC=CO2)cccc1[N+](=O)[O-] ZINC000780749435 628493128 /nfs/dbraw/zinc/49/31/28/628493128.db2.gz REBSIJQMZOXIMR-NSHDSACASA-N 0 0 291.307 2.717 20 5 CFBDRN Cc1c(NC(=O)NC[C@H]2CCC=CO2)cccc1[N+](=O)[O-] ZINC000780749432 628493176 /nfs/dbraw/zinc/49/31/76/628493176.db2.gz REBSIJQMZOXIMR-LLVKDONJSA-N 0 0 291.307 2.717 20 5 CFBDRN Cc1nscc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000781271845 628537625 /nfs/dbraw/zinc/53/76/25/628537625.db2.gz MTRPJPJRPLOGPL-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN C[C@@]1(C(=O)OCc2csc([N+](=O)[O-])c2)CCCOC1 ZINC000781287497 628538345 /nfs/dbraw/zinc/53/83/45/628538345.db2.gz JCOMRNZPHKLKNT-GFCCVEGCSA-N 0 0 285.321 2.516 20 5 CFBDRN C[C@]1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCCOC1 ZINC000781294929 628539356 /nfs/dbraw/zinc/53/93/56/628539356.db2.gz WTBFXIASWKBVPE-AWEZNQCLSA-N 0 0 297.282 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](OC(F)F)C2)c(F)c1 ZINC000781396853 628545709 /nfs/dbraw/zinc/54/57/09/628545709.db2.gz SRYNKVQOVUEZCX-VIFPVBQESA-N 0 0 290.241 2.942 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](OC(F)F)C2)n1 ZINC000781397593 628545765 /nfs/dbraw/zinc/54/57/65/628545765.db2.gz YDAOQYXOQSVDPN-VIFPVBQESA-N 0 0 287.266 2.506 20 5 CFBDRN Cc1ccc([C@H](O)CNc2nc(C)cc(C)c2[N+](=O)[O-])o1 ZINC000781657539 628570040 /nfs/dbraw/zinc/57/00/40/628570040.db2.gz CVGBTRVBUOWNIL-LLVKDONJSA-N 0 0 291.307 2.654 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782032389 628598515 /nfs/dbraw/zinc/59/85/15/628598515.db2.gz ZKCVNXBCWNBTAV-IAROGAJJSA-N 0 0 265.240 2.909 20 5 CFBDRN Cc1ccc(OCc2ccnc(N(C)C)c2)c([N+](=O)[O-])c1 ZINC000782111290 628605818 /nfs/dbraw/zinc/60/58/18/628605818.db2.gz QTIHHIKMWKPQJM-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN Cc1ccc(F)cc1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000782244285 628618859 /nfs/dbraw/zinc/61/88/59/628618859.db2.gz FYHYOTDOSMXUOF-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN CCc1ccccc1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000782243854 628618902 /nfs/dbraw/zinc/61/89/02/628618902.db2.gz CCFWMOQZMJXIKJ-UHFFFAOYSA-N 0 0 288.303 2.853 20 5 CFBDRN C[C@H](OC(=O)Cc1cncs1)c1cccc([N+](=O)[O-])c1 ZINC000782279558 628622881 /nfs/dbraw/zinc/62/28/81/628622881.db2.gz PWGMCSOTEFUDDT-VIFPVBQESA-N 0 0 292.316 2.898 20 5 CFBDRN Cc1cccc(N(C)Cc2cccc(C(N)=O)c2)c1[N+](=O)[O-] ZINC000782340525 628630673 /nfs/dbraw/zinc/63/06/73/628630673.db2.gz LZHBVSKAQXSDLG-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCOCC2(CC2)C1 ZINC000782386619 628634441 /nfs/dbraw/zinc/63/44/41/628634441.db2.gz SPAPGJNCVDLHIE-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN C[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)[C@@H](C)[C@@H](C)O1 ZINC000782409660 628636137 /nfs/dbraw/zinc/63/61/37/628636137.db2.gz DSYQDDQEZGVMFG-HRDYMLBCSA-N 0 0 286.278 2.875 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000782471427 628640827 /nfs/dbraw/zinc/64/08/27/628640827.db2.gz JSUAWSFVZYDRRW-SECBINFHSA-N 0 0 278.308 2.978 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)C1(O)CCCC1 ZINC000782855101 628660444 /nfs/dbraw/zinc/66/04/44/628660444.db2.gz AUODGUZNBFJGSD-UHFFFAOYSA-N 0 0 299.710 2.597 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000782935398 628664839 /nfs/dbraw/zinc/66/48/39/628664839.db2.gz MSBAGNMJWSVTMH-LBPRGKRZSA-N 0 0 297.282 2.735 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)OCCC1CCC1 ZINC000783448173 628709864 /nfs/dbraw/zinc/70/98/64/628709864.db2.gz WZPJRVRYCNZSND-UHFFFAOYSA-N 0 0 297.282 2.846 20 5 CFBDRN C/C(=C/C(=O)N(C)CC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000783958885 628757951 /nfs/dbraw/zinc/75/79/51/628757951.db2.gz YQYXETANYKAJKL-CLFYSBASSA-N 0 0 284.262 2.722 20 5 CFBDRN C/C(=C/C(=O)Nc1ncc(C)o1)c1ccc([N+](=O)[O-])cc1 ZINC000784056859 628769909 /nfs/dbraw/zinc/76/99/09/628769909.db2.gz VWHCHDXEENTICD-CLFYSBASSA-N 0 0 287.275 2.933 20 5 CFBDRN C/C(=C\C(=O)NCc1ccn(C)c1)c1ccc([N+](=O)[O-])cc1 ZINC000784060694 628770147 /nfs/dbraw/zinc/77/01/47/628770147.db2.gz XGMCKTOLDLNANY-FMIVXFBMSA-N 0 0 299.330 2.653 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000784103986 628776591 /nfs/dbraw/zinc/77/65/91/628776591.db2.gz LVXWPAHBCSNDRP-MFKMUULPSA-N 0 0 297.282 2.735 20 5 CFBDRN Cc1cnoc1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000784102216 628776746 /nfs/dbraw/zinc/77/67/46/628776746.db2.gz ROPHXRAGQIKKTH-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN O=C(C=C1CCC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784103850 628777207 /nfs/dbraw/zinc/77/72/07/628777207.db2.gz NCGUXJYARBKCCV-UHFFFAOYSA-N 0 0 265.240 2.887 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@@H](F)C(C)C)CC2 ZINC000784146070 628783272 /nfs/dbraw/zinc/78/32/72/628783272.db2.gz OYDCPRXVCGDABK-ZDUSSCGKSA-N 0 0 280.299 2.786 20 5 CFBDRN Cc1ccc(N2CCN(c3cccnc3[N+](=O)[O-])CC2)cc1 ZINC000784192536 628789687 /nfs/dbraw/zinc/78/96/87/628789687.db2.gz LNUJPEXHZXSITP-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CS[C@H](C)CC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784386619 628813407 /nfs/dbraw/zinc/81/34/07/628813407.db2.gz SPWPZWHOVFXASY-MRVPVSSYSA-N 0 0 287.312 2.919 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CO[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000784500153 628822021 /nfs/dbraw/zinc/82/20/21/628822021.db2.gz SWWVOXSFEAKAKS-MXWKQRLJSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)c1ccc(C(=O)OCCF)cc1[N+](=O)[O-] ZINC000784517540 628823575 /nfs/dbraw/zinc/82/35/75/628823575.db2.gz PBVAKOLJIACZHW-UHFFFAOYSA-N 0 0 255.245 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCSCCO)c(F)c1 ZINC000784687795 628837180 /nfs/dbraw/zinc/83/71/80/628837180.db2.gz PASDUTYMETXJGR-UHFFFAOYSA-N 0 0 277.342 2.552 20 5 CFBDRN CCOCCOC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784835725 628844912 /nfs/dbraw/zinc/84/49/12/628844912.db2.gz VJARTVLGDBOJAI-KHPPLWFESA-N 0 0 279.292 2.578 20 5 CFBDRN C/C(=C/C(=O)OCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000784841265 628844969 /nfs/dbraw/zinc/84/49/69/628844969.db2.gz XTWIIIHZVODDBC-NTMALXAHSA-N 0 0 261.277 2.951 20 5 CFBDRN C/C(=C\C(=O)OCC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000784842250 628845830 /nfs/dbraw/zinc/84/58/30/628845830.db2.gz WAVCHMKBAUVEDZ-SOFGYWHQSA-N 0 0 271.219 2.806 20 5 CFBDRN CC1(C)CC[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)O1 ZINC000785242784 628872747 /nfs/dbraw/zinc/87/27/47/628872747.db2.gz JAEJWONSMQUBSD-SECBINFHSA-N 0 0 285.321 2.657 20 5 CFBDRN Cc1[nH]ncc1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000785582198 628895485 /nfs/dbraw/zinc/89/54/85/628895485.db2.gz VNFFLVRDVIAJGJ-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1cccc2n[nH]cc21 ZINC000785587142 628896395 /nfs/dbraw/zinc/89/63/95/628896395.db2.gz JFYVRXQDCWEBQZ-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1cncc(COC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000785654049 628901346 /nfs/dbraw/zinc/90/13/46/628901346.db2.gz HZZZDMKKLMDWHE-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1ccncc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000785892432 628919930 /nfs/dbraw/zinc/91/99/30/628919930.db2.gz LKBUYTGLBXLPSS-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC(C)(C)F ZINC000785927885 628924491 /nfs/dbraw/zinc/92/44/91/628924491.db2.gz YKDKFOZUCGFDKE-UHFFFAOYSA-N 0 0 271.244 2.508 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)C(F)F)ccc1[N+](=O)[O-] ZINC000786501762 628961725 /nfs/dbraw/zinc/96/17/25/628961725.db2.gz HLUBHJPJXKPILZ-SSDOTTSWSA-N 0 0 259.208 2.714 20 5 CFBDRN C[C@@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21)C(F)F ZINC000786512252 628963318 /nfs/dbraw/zinc/96/33/18/628963318.db2.gz XJRPJFVZSJNFQS-ZCFIWIBFSA-N 0 0 284.218 2.887 20 5 CFBDRN CO[C@@H](CNc1ccc(N)cc1[N+](=O)[O-])c1ccccc1 ZINC000786585058 628970065 /nfs/dbraw/zinc/97/00/65/628970065.db2.gz ZWUPQAVMLBWZIN-HNNXBMFYSA-N 0 0 287.319 2.977 20 5 CFBDRN C[C@@H](O)c1ccc(CNc2ccc(N)cc2[N+](=O)[O-])cc1 ZINC000786790129 628979494 /nfs/dbraw/zinc/97/94/94/628979494.db2.gz MABQGDAQYOBRTC-SNVBAGLBSA-N 0 0 287.319 2.842 20 5 CFBDRN CCOC(CCNc1nc(C)cc(C)c1[N+](=O)[O-])OCC ZINC000786823383 628980830 /nfs/dbraw/zinc/98/08/30/628980830.db2.gz LNHANPZDTLMPSO-UHFFFAOYSA-N 0 0 297.355 2.808 20 5 CFBDRN Nc1ccc(OCc2cnc(Cl)s2)cc1[N+](=O)[O-] ZINC000786861608 628981974 /nfs/dbraw/zinc/98/19/74/628981974.db2.gz BDASTZCUWQPJSB-UHFFFAOYSA-N 0 0 285.712 2.866 20 5 CFBDRN CN(CCOc1ccc(N)c([N+](=O)[O-])c1)c1ccccc1 ZINC000786861598 628981975 /nfs/dbraw/zinc/98/19/75/628981975.db2.gz APCOYGSUDZBQNH-UHFFFAOYSA-N 0 0 287.319 2.692 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NC1(c2ccccc2)CC1 ZINC000786863828 628981995 /nfs/dbraw/zinc/98/19/95/628981995.db2.gz BETDVVAQDOMCFQ-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN CC(C)n1cc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000786963448 628986595 /nfs/dbraw/zinc/98/65/95/628986595.db2.gz SVZDJCXLCKHSDH-UHFFFAOYSA-N 0 0 293.254 2.731 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCC=CO1 ZINC000787033594 628989561 /nfs/dbraw/zinc/98/95/61/628989561.db2.gz UZCYSIVBZMBIHA-LBPRGKRZSA-N 0 0 297.694 2.984 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)[C@H]1CCC=CO1 ZINC000787037266 628989973 /nfs/dbraw/zinc/98/99/73/628989973.db2.gz RNEDMMMAOKRPRS-GFCCVEGCSA-N 0 0 297.694 2.984 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1CCCOCC1 ZINC000787098073 628993433 /nfs/dbraw/zinc/99/34/33/628993433.db2.gz MIXJKACAPKDANM-NSHDSACASA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CC[C@@H]1CCCO1)CC2 ZINC000787275443 629003908 /nfs/dbraw/zinc/00/39/08/629003908.db2.gz OKBQZHPCOMIFLM-ZDUSSCGKSA-N 0 0 276.336 2.835 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc(F)cn2)cc1[N+](=O)[O-] ZINC000787566990 629019057 /nfs/dbraw/zinc/01/90/57/629019057.db2.gz PMZDRRYWXYPWET-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(OCC[C@@H]1CCCO1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000787567365 629019102 /nfs/dbraw/zinc/01/91/02/629019102.db2.gz KMBRGVZJPJTOGD-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN COC(C)(C)CCOC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000787657909 629024091 /nfs/dbraw/zinc/02/40/91/629024091.db2.gz RGDZVJIGVFCHBE-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN O=C(OCC[C@H]1CCCO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000787699860 629027293 /nfs/dbraw/zinc/02/72/93/629027293.db2.gz ILBVBJGTAOKDCW-SECBINFHSA-N 0 0 299.710 2.974 20 5 CFBDRN C/C(=C/C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000787720023 629029401 /nfs/dbraw/zinc/02/94/01/629029401.db2.gz QQSIPTKVETYATJ-UNKSOGQCSA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000787733478 629030671 /nfs/dbraw/zinc/03/06/71/629030671.db2.gz FRMBFPZAVVEPGB-LLVKDONJSA-N 0 0 297.282 2.768 20 5 CFBDRN CN(Cc1ncc[nH]1)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000788455101 629069314 /nfs/dbraw/zinc/06/93/14/629069314.db2.gz NFKGAOAECPMDRF-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCCO1 ZINC000788486210 629073706 /nfs/dbraw/zinc/07/37/06/629073706.db2.gz HGTAYHJNSLVGNU-QXMHVHEDSA-N 0 0 290.319 2.942 20 5 CFBDRN CCCn1cc(N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)cn1 ZINC000788702246 629093685 /nfs/dbraw/zinc/09/36/85/629093685.db2.gz IAVUGEDKBDEZTP-SNVBAGLBSA-N 0 0 289.339 2.957 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1nc(Cl)cs1 ZINC000788743431 629096377 /nfs/dbraw/zinc/09/63/77/629096377.db2.gz JKFXOJCWUMZRID-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1nc(Cl)cs1 ZINC000788741181 629096398 /nfs/dbraw/zinc/09/63/98/629096398.db2.gz GEZKYQYMNWNKHR-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCOC(F)(F)F)c1 ZINC000788862891 629105496 /nfs/dbraw/zinc/10/54/96/629105496.db2.gz XHMDLKJAKBGESG-UHFFFAOYSA-N 0 0 298.192 2.691 20 5 CFBDRN Cc1ccc(CN(C)c2nc(C)ccc2[N+](=O)[O-])nc1 ZINC000788863602 629105790 /nfs/dbraw/zinc/10/57/90/629105790.db2.gz KHOBAJUSAOOQAG-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cnc(C3CC3)nc2)cc1 ZINC000788865936 629105816 /nfs/dbraw/zinc/10/58/16/629105816.db2.gz VCHPQYIXHXPMTE-UHFFFAOYSA-N 0 0 270.292 2.874 20 5 CFBDRN C[C@@H]1CC[C@@H](COC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)O1 ZINC000789002477 629116005 /nfs/dbraw/zinc/11/60/05/629116005.db2.gz XFCPMDQDKGRGNY-SCZZXKLOSA-N 0 0 299.710 2.973 20 5 CFBDRN COc1cc(COC(=O)C2(SC)CC2)ccc1[N+](=O)[O-] ZINC000789202800 629133872 /nfs/dbraw/zinc/13/38/72/629133872.db2.gz SWJOQLWKPTYNDL-UHFFFAOYSA-N 0 0 297.332 2.542 20 5 CFBDRN CSC1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000789200198 629134121 /nfs/dbraw/zinc/13/41/21/629134121.db2.gz UMPSVFAXDYMZQY-UHFFFAOYSA-N 0 0 285.296 2.673 20 5 CFBDRN C/C=C(/CC)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000789225993 629137292 /nfs/dbraw/zinc/13/72/92/629137292.db2.gz FJPCAGDFVMOUKC-KMKOMSMNSA-N 0 0 277.276 2.677 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H](C)C1CC1 ZINC000789611370 629173609 /nfs/dbraw/zinc/17/36/09/629173609.db2.gz ZWQYYKXUMZYYDU-SECBINFHSA-N 0 0 279.292 2.806 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)ON=C(N)[C@H]1CCCO1 ZINC000789610582 629173683 /nfs/dbraw/zinc/17/36/83/629173683.db2.gz PXQORPSUSGCOAV-ZWNOBZJWSA-N 0 0 293.323 2.642 20 5 CFBDRN Cc1cc(C(=O)Oc2cccc([N+](=O)[O-])c2)ccn1 ZINC000789880735 629194291 /nfs/dbraw/zinc/19/42/91/629194291.db2.gz HZDUDUVNPXWKAN-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN CC(C)OC(=O)CS/C=C/c1ccc([N+](=O)[O-])o1 ZINC000789923223 629197010 /nfs/dbraw/zinc/19/70/10/629197010.db2.gz XLZDWYDGKDTNGK-AATRIKPKSA-N 0 0 271.294 2.843 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000790291664 629226460 /nfs/dbraw/zinc/22/64/60/629226460.db2.gz ZJPWMASVZUCLQJ-ZDUSSCGKSA-N 0 0 264.325 2.846 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000790291663 629226569 /nfs/dbraw/zinc/22/65/69/629226569.db2.gz ZJPWMASVZUCLQJ-CYBMUJFWSA-N 0 0 264.325 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(NNC(=S)Nc2ccsc2)cc1 ZINC000790299173 629226748 /nfs/dbraw/zinc/22/67/48/629226748.db2.gz KVEHMCVIAAUCFW-UHFFFAOYSA-N 0 0 294.361 2.970 20 5 CFBDRN Cn1ncc(-c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n1 ZINC000790585334 629250787 /nfs/dbraw/zinc/25/07/87/629250787.db2.gz BHNFXYXMPHMDEU-UHFFFAOYSA-N 0 0 287.304 2.514 20 5 CFBDRN Cc1coc(Sc2nc3ccccn3c2[N+](=O)[O-])n1 ZINC000790787307 629262599 /nfs/dbraw/zinc/26/25/99/629262599.db2.gz AOUFAQSGJAPPDI-UHFFFAOYSA-N 0 0 276.277 2.690 20 5 CFBDRN Cc1nc(NC(=O)c2nc(Cl)cs2)ccc1[N+](=O)[O-] ZINC000790946333 629273066 /nfs/dbraw/zinc/27/30/66/629273066.db2.gz LTMIUUAHOGEUAP-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN C/C=C(\CC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000791015894 629280939 /nfs/dbraw/zinc/28/09/39/629280939.db2.gz KEMSEIXSGSKJBW-NYYWCZLTSA-N 0 0 262.309 2.876 20 5 CFBDRN O=[N+]([O-])CCN1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000791055271 629284967 /nfs/dbraw/zinc/28/49/67/629284967.db2.gz RGZLYCZBZXYIRI-HNNXBMFYSA-N 0 0 273.336 2.972 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccccc1 ZINC000791291845 629297549 /nfs/dbraw/zinc/29/75/49/629297549.db2.gz XJOHRXVEJGFQGF-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN CCCCN(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CC1 ZINC000791323467 629300464 /nfs/dbraw/zinc/30/04/64/629300464.db2.gz QYKNSIWDFZEYCH-UHFFFAOYSA-N 0 0 295.314 2.721 20 5 CFBDRN O=C(OCCCCCF)c1ccccc1[N+](=O)[O-] ZINC000791495040 629321456 /nfs/dbraw/zinc/32/14/56/629321456.db2.gz SJCOMRXLMMAVCO-UHFFFAOYSA-N 0 0 255.245 2.891 20 5 CFBDRN COC1(CC(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000791514244 629325044 /nfs/dbraw/zinc/32/50/44/629325044.db2.gz QVCADMGYVYBASS-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN CO[C@H](C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000791520630 629325745 /nfs/dbraw/zinc/32/57/45/629325745.db2.gz IGJMXOCVZCZDJW-ZANVPECISA-N 0 0 279.292 2.624 20 5 CFBDRN COc1cc(C(=O)OCC2CCC2)cc([N+](=O)[O-])c1C ZINC000791694668 629346171 /nfs/dbraw/zinc/34/61/71/629346171.db2.gz VIBWBGUTYPKMAS-UHFFFAOYSA-N 0 0 279.292 2.869 20 5 CFBDRN COC1(CC(=O)Oc2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000791850038 629366075 /nfs/dbraw/zinc/36/60/75/629366075.db2.gz JIPQYYBHZYMHHR-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CCCC(=O)C1CC1 ZINC000835074527 629371580 /nfs/dbraw/zinc/37/15/80/629371580.db2.gz ROEONSMMTREEOW-UHFFFAOYSA-N 0 0 291.303 2.958 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000852358736 634732231 /nfs/dbraw/zinc/73/22/31/634732231.db2.gz ABONJMTVNHIKGV-DRZSPHRISA-N 0 0 289.335 2.707 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000792120459 629399388 /nfs/dbraw/zinc/39/93/88/629399388.db2.gz GQQAWNZEEOAPDC-VHSXEESVSA-N 0 0 279.292 2.945 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2Cc3ccccc32)cc1[N+](=O)[O-] ZINC000792117981 629399427 /nfs/dbraw/zinc/39/94/27/629399427.db2.gz DIFUWMLCGNBJBE-CYBMUJFWSA-N 0 0 299.282 2.849 20 5 CFBDRN C/C=C(/CC)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000792168469 629405054 /nfs/dbraw/zinc/40/50/54/629405054.db2.gz HDIGBGAHIZUOSL-OQFOIZHKSA-N 0 0 294.263 2.903 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835109332 629415191 /nfs/dbraw/zinc/41/51/91/629415191.db2.gz OMHSYJAUJAZGOA-CMPLNLGQSA-N 0 0 291.303 2.757 20 5 CFBDRN O=C(CSC1CC1)OCc1cccc([N+](=O)[O-])c1 ZINC000835114449 629419658 /nfs/dbraw/zinc/41/96/58/629419658.db2.gz VZXRQOXPCDIWHM-UHFFFAOYSA-N 0 0 267.306 2.534 20 5 CFBDRN CC(C)(NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000792596233 629437027 /nfs/dbraw/zinc/43/70/27/629437027.db2.gz ZAGOJYRXDDYHIK-VMPITWQZSA-N 0 0 284.262 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCC2(F)CC2)c1 ZINC000793007744 629474398 /nfs/dbraw/zinc/47/43/98/629474398.db2.gz BEXKTUYTXQVFCR-UHFFFAOYSA-N 0 0 258.680 2.840 20 5 CFBDRN Cc1ccc(CCOC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000835168393 629483915 /nfs/dbraw/zinc/48/39/15/629483915.db2.gz MLRXWORGLVMFMY-UHFFFAOYSA-N 0 0 279.336 2.916 20 5 CFBDRN CNc1c(C(=O)OCC2CC=CC2)cccc1[N+](=O)[O-] ZINC000793172836 629497860 /nfs/dbraw/zinc/49/78/60/629497860.db2.gz KGYSJIAVVAKBMJ-UHFFFAOYSA-N 0 0 276.292 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC([C@@H]2CCOC2)C1 ZINC000793258614 629515719 /nfs/dbraw/zinc/51/57/19/629515719.db2.gz LDTWLCFMZDSRFV-SNVBAGLBSA-N 0 0 296.754 2.717 20 5 CFBDRN CC(C)NC(=S)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000793310476 629525140 /nfs/dbraw/zinc/52/51/40/629525140.db2.gz ZTDIQZHGBJKFIB-UHFFFAOYSA-N 0 0 281.381 2.702 20 5 CFBDRN CC(C)(NC(=S)NC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000793309351 629525339 /nfs/dbraw/zinc/52/53/39/629525339.db2.gz NKQCFAXPWWHHGH-UHFFFAOYSA-N 0 0 293.392 2.847 20 5 CFBDRN Cn1c(C(=O)O[C@H]2C=CCCCCC2)ccc1[N+](=O)[O-] ZINC000793404518 629538806 /nfs/dbraw/zinc/53/88/06/629538806.db2.gz CXRHTNGKIXWTQK-NSHDSACASA-N 0 0 278.308 2.979 20 5 CFBDRN C[C@@H]1OCC[C@H]1COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000793420340 629542211 /nfs/dbraw/zinc/54/22/11/629542211.db2.gz NBLWKTHICCBCHF-WLRDWWDVSA-N 0 0 291.303 2.576 20 5 CFBDRN O=C(COCC1CCCC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000835237118 629550579 /nfs/dbraw/zinc/55/05/79/629550579.db2.gz UHKFIFLIIIVNFF-UHFFFAOYSA-N 0 0 297.282 2.846 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(Cc1cc(F)ccc1[N+](=O)[O-])C2 ZINC000852464449 634751395 /nfs/dbraw/zinc/75/13/95/634751395.db2.gz PJROBQULVBSZOA-GASCZTMLSA-N 0 0 294.326 2.592 20 5 CFBDRN O=C(NOC/C=C/Cl)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000794288328 629631586 /nfs/dbraw/zinc/63/15/86/629631586.db2.gz GEATYRFYKZRSHM-DAFODLJHSA-N 0 0 291.090 2.662 20 5 CFBDRN Cc1sc(C(=O)N2CCC(F)(F)CC2)cc1[N+](=O)[O-] ZINC000794290850 629632003 /nfs/dbraw/zinc/63/20/03/629632003.db2.gz NOXZNWFMTNCVEF-UHFFFAOYSA-N 0 0 290.291 2.836 20 5 CFBDRN CCn1cc(CCOc2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000794520414 629653890 /nfs/dbraw/zinc/65/38/90/629653890.db2.gz VEHWXGVHNVBUJU-UHFFFAOYSA-N 0 0 279.271 2.572 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C[C@@H]1C ZINC000867120974 629671011 /nfs/dbraw/zinc/67/10/11/629671011.db2.gz JAOKLSONVJXUJU-MNOVXSKESA-N 0 0 294.326 2.781 20 5 CFBDRN CC(C)[C@](C)(O)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000794660903 629672388 /nfs/dbraw/zinc/67/23/88/629672388.db2.gz IEAZSWKVNABYOF-ZDUSSCGKSA-N 0 0 277.280 2.508 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CCC(F)(F)C1)[N+](=O)[O-] ZINC000835393250 629680538 /nfs/dbraw/zinc/68/05/38/629680538.db2.gz WXKHKUPZOWYVSY-SECBINFHSA-N 0 0 279.283 2.801 20 5 CFBDRN CC[C@@H](C)CONC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000794865958 629697695 /nfs/dbraw/zinc/69/76/95/629697695.db2.gz RULOYEKVHIOAHC-SNVBAGLBSA-N 0 0 280.324 2.540 20 5 CFBDRN CCC(=O)c1ccc(N2CC(C(F)F)C2)c([N+](=O)[O-])c1 ZINC000795113361 629736142 /nfs/dbraw/zinc/73/61/42/629736142.db2.gz NHPKPTNAZSJWSH-UHFFFAOYSA-N 0 0 284.262 2.889 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(O)(c3ccc(F)cc3)C2)s1 ZINC000795140028 629739199 /nfs/dbraw/zinc/73/91/99/629739199.db2.gz LMECXZPUWMDJEQ-UHFFFAOYSA-N 0 0 294.307 2.503 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCC[C@H]1c1ccccc1)[N+](=O)[O-] ZINC000835455732 629739602 /nfs/dbraw/zinc/73/96/02/629739602.db2.gz OJXZHEMUMUCEHW-KWCYVHTRSA-N 0 0 277.320 2.779 20 5 CFBDRN C[C@H](COC(=O)[C@H]1CCC[C@H]1c1ccccc1)[N+](=O)[O-] ZINC000835455737 629740319 /nfs/dbraw/zinc/74/03/19/629740319.db2.gz OJXZHEMUMUCEHW-XBFCOCLRSA-N 0 0 277.320 2.779 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000795189278 629742790 /nfs/dbraw/zinc/74/27/90/629742790.db2.gz JALAWMQPBZFSNK-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@@](C)(OC)C2)c1 ZINC000795191586 629743059 /nfs/dbraw/zinc/74/30/59/629743059.db2.gz ZPBSSMJZEHLMER-CQSZACIVSA-N 0 0 298.314 2.748 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCc1cscn1 ZINC000795246511 629751152 /nfs/dbraw/zinc/75/11/52/629751152.db2.gz KFGCXNWSSZKWLE-UHFFFAOYSA-N 0 0 271.685 2.679 20 5 CFBDRN COc1ccc([C@@H]2C[C@H]2Nc2ncccc2[N+](=O)[O-])cc1 ZINC000795259407 629753347 /nfs/dbraw/zinc/75/33/47/629753347.db2.gz YEWKTNFUYHRYLS-QWHCGFSZSA-N 0 0 285.303 2.966 20 5 CFBDRN Cc1ccncc1CN(C)c1ccc([N+](=O)[O-])cc1 ZINC000795260703 629753404 /nfs/dbraw/zinc/75/34/04/629753404.db2.gz JYLVOEZOEGASLR-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCC[C@H](O)C1 ZINC000795355944 629766457 /nfs/dbraw/zinc/76/64/57/629766457.db2.gz QMUFVIWHQNFCRB-AAEUAGOBSA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000835481036 629770159 /nfs/dbraw/zinc/77/01/59/629770159.db2.gz SNJQUEJUMXLOPN-UHIISALHSA-N 0 0 293.319 2.760 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000835482350 629770783 /nfs/dbraw/zinc/77/07/83/629770783.db2.gz VJIQULDJSMHZNK-VIFPVBQESA-N 0 0 271.219 2.602 20 5 CFBDRN Cc1ccc(COC(=O)CC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000835483139 629775254 /nfs/dbraw/zinc/77/52/54/629775254.db2.gz BZURUHOMZMOFDH-CYBMUJFWSA-N 0 0 293.319 2.906 20 5 CFBDRN COC(C)(C)COC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000835499182 629792735 /nfs/dbraw/zinc/79/27/35/629792735.db2.gz RGSKATWLXYTVBJ-LUAWRHEFSA-N 0 0 293.319 2.966 20 5 CFBDRN COC(C)(C)COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000835503265 629799174 /nfs/dbraw/zinc/79/91/74/629799174.db2.gz CLWZNRGMOISPNX-UHFFFAOYSA-N 0 0 287.699 2.830 20 5 CFBDRN COC(C)(C)COC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000835502779 629799323 /nfs/dbraw/zinc/79/93/23/629799323.db2.gz IIHVKESUSFXQDJ-UHFFFAOYSA-N 0 0 299.348 2.899 20 5 CFBDRN C[C@H](O)CCCOC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000795592304 629808059 /nfs/dbraw/zinc/80/80/59/629808059.db2.gz VQKRAJJSWOMBAO-UWVGGRQHSA-N 0 0 299.298 2.542 20 5 CFBDRN CCC[C@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000835536113 629817610 /nfs/dbraw/zinc/81/76/10/629817610.db2.gz FPYUNDJYKFMISI-GFCCVEGCSA-N 0 0 285.271 2.706 20 5 CFBDRN CCC[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1C)OC ZINC000835535478 629817968 /nfs/dbraw/zinc/81/79/68/629817968.db2.gz BQOOCTULFXGQBM-LBPRGKRZSA-N 0 0 281.308 2.875 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCCSC ZINC000795709699 629825424 /nfs/dbraw/zinc/82/54/24/629825424.db2.gz PZYMPBFFDWWRJS-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CO[C@H](C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000795832170 629838612 /nfs/dbraw/zinc/83/86/12/629838612.db2.gz KWDCIYUFBPSPRR-ZANVPECISA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@@H](C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000795831579 629838773 /nfs/dbraw/zinc/83/87/73/629838773.db2.gz GBSLFTQOCHXOLB-GFCCVEGCSA-N 0 0 299.710 2.716 20 5 CFBDRN COc1cc(C(=O)OCC(C)(C)C)ccc1[N+](=O)[O-] ZINC000102144694 629842398 /nfs/dbraw/zinc/84/23/98/629842398.db2.gz GYCQWNFEXZNOSW-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN CC[C@@H](OC(=O)CCn1cc([N+](=O)[O-])cn1)[C@@H](C)CC ZINC000835590049 629860192 /nfs/dbraw/zinc/86/01/92/629860192.db2.gz PNPYCJBLFKRNQD-CMPLNLGQSA-N 0 0 283.328 2.549 20 5 CFBDRN O=C(C[C@@H]1CCCC[C@H]1O)OCc1ccccc1[N+](=O)[O-] ZINC000796132440 629881383 /nfs/dbraw/zinc/88/13/83/629881383.db2.gz ICGBZCFCCQQOOE-SMDDNHRTSA-N 0 0 293.319 2.579 20 5 CFBDRN C[C@H]1C(=O)CC[C@@H]1CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000796229602 629892969 /nfs/dbraw/zinc/89/29/69/629892969.db2.gz OVKCXNIFCDDSSB-ZYHUDNBSSA-N 0 0 291.303 2.643 20 5 CFBDRN C[C@@]12COC[C@H]1CN(Cc1cc([N+](=O)[O-])ccc1Cl)C2 ZINC000852534544 634772814 /nfs/dbraw/zinc/77/28/14/634772814.db2.gz MMLMWVONCUPTAW-BXUZGUMPSA-N 0 0 296.754 2.717 20 5 CFBDRN CC[C@](C)(OC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000796405818 629914486 /nfs/dbraw/zinc/91/44/86/629914486.db2.gz QEPRBDGKFJHZNL-ZDUSSCGKSA-N 0 0 285.271 2.592 20 5 CFBDRN CS[C@@H](C)CCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000835663918 629926750 /nfs/dbraw/zinc/92/67/50/629926750.db2.gz QYNAQDNFHFRMFI-VIFPVBQESA-N 0 0 269.322 2.893 20 5 CFBDRN COc1ccc(OC(=O)CCC(F)F)c([N+](=O)[O-])c1 ZINC000796525880 629930756 /nfs/dbraw/zinc/93/07/56/629930756.db2.gz ONUQZOMATYWJQN-UHFFFAOYSA-N 0 0 275.207 2.554 20 5 CFBDRN CS[C@@H](C)COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000835677876 629941412 /nfs/dbraw/zinc/94/14/12/629941412.db2.gz PUFXUNBMNOWOOO-QMMMGPOBSA-N 0 0 294.332 2.984 20 5 CFBDRN Cc1ccc(OC(=O)C[C@H]2CCC(=O)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000796648551 629945866 /nfs/dbraw/zinc/94/58/66/629945866.db2.gz CVMIKCCEOSAASC-GHMZBOCLSA-N 0 0 291.303 2.814 20 5 CFBDRN COc1c(C(=O)OC[C@@H](C)CSC)cccc1[N+](=O)[O-] ZINC000835680939 629946640 /nfs/dbraw/zinc/94/66/40/629946640.db2.gz HOMWZVFLLSHPDB-SECBINFHSA-N 0 0 299.348 2.759 20 5 CFBDRN CS[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000835683482 629948679 /nfs/dbraw/zinc/94/86/79/629948679.db2.gz ZNFSNGIVOQRUSC-VIFPVBQESA-N 0 0 269.322 2.812 20 5 CFBDRN COc1c(C(=O)O[C@@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000796742846 629958654 /nfs/dbraw/zinc/95/86/54/629958654.db2.gz CQJPTWIHRLUJCR-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000796775479 629964084 /nfs/dbraw/zinc/96/40/84/629964084.db2.gz CTCXYFLFVUHDAT-UFBFGSQYSA-N 0 0 285.271 2.700 20 5 CFBDRN COc1c(C(=O)O[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000796823536 629973008 /nfs/dbraw/zinc/97/30/08/629973008.db2.gz ZCNPZISJSBZKFA-VHSXEESVSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)COC(F)F ZINC000797044595 630007701 /nfs/dbraw/zinc/00/77/01/630007701.db2.gz RHALEQQJAJVNFQ-UHFFFAOYSA-N 0 0 295.625 2.701 20 5 CFBDRN C[C@H](C(=O)O[C@H]1CCOC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000835770136 630017537 /nfs/dbraw/zinc/01/75/37/630017537.db2.gz FSVRVSOUEBNQOJ-MJVIPROJSA-N 0 0 293.319 2.667 20 5 CFBDRN CS[C@H](C)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000797201759 630036863 /nfs/dbraw/zinc/03/68/63/630036863.db2.gz TYCAPAATOXWTFV-RKDXNWHRSA-N 0 0 269.322 2.892 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1cc(C)c(C)nn1 ZINC000797406421 630061959 /nfs/dbraw/zinc/06/19/59/630061959.db2.gz AZEXLOCFMOUSGN-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)c1ccccc1 ZINC000797471528 630070953 /nfs/dbraw/zinc/07/09/53/630070953.db2.gz LJTZSCOAQUMCJU-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)/C=C\SC ZINC000797476033 630071484 /nfs/dbraw/zinc/07/14/84/630071484.db2.gz KSNCEXCYTRJOIJ-WAYWQWQTSA-N 0 0 283.305 2.523 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000797475003 630071728 /nfs/dbraw/zinc/07/17/28/630071728.db2.gz UDCGCBMTTPOYMY-QWHCGFSZSA-N 0 0 291.303 2.693 20 5 CFBDRN Cc1cc(OCC(=O)OCCC(C)C)ccc1[N+](=O)[O-] ZINC000797495348 630074226 /nfs/dbraw/zinc/07/42/26/630074226.db2.gz ZKNJMIQENULICX-UHFFFAOYSA-N 0 0 281.308 2.871 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000797907758 630122332 /nfs/dbraw/zinc/12/23/32/630122332.db2.gz UOTXTJKBUHSRMZ-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000835913559 630154028 /nfs/dbraw/zinc/15/40/28/630154028.db2.gz DAPZJQWEYKTGJO-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CC[C@@](C)(COC(=O)CCc1cccc([N+](=O)[O-])c1)OC ZINC000835961703 630183921 /nfs/dbraw/zinc/18/39/21/630183921.db2.gz DFYOGTPNVNBZMD-HNNXBMFYSA-N 0 0 295.335 2.886 20 5 CFBDRN CC1(F)CN(c2ccc([N+](=O)[O-])cc2Br)C1 ZINC000798399498 630187438 /nfs/dbraw/zinc/18/74/38/630187438.db2.gz SHRFJFPNBHXKHN-UHFFFAOYSA-N 0 0 289.104 2.906 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](c2ccccn2)C1 ZINC000798416840 630189112 /nfs/dbraw/zinc/18/91/12/630189112.db2.gz QJIIZKRZWBAUDO-LBPRGKRZSA-N 0 0 269.304 2.984 20 5 CFBDRN O=C(OCCOCC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000835979452 630196856 /nfs/dbraw/zinc/19/68/56/630196856.db2.gz PZTCBLOPIMCHEE-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN O=C(OCCOCC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000835980026 630196889 /nfs/dbraw/zinc/19/68/89/630196889.db2.gz RACMWPXNCAOWDZ-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN CC1(C)CCC[C@@H]1OC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000799504481 630317133 /nfs/dbraw/zinc/31/71/33/630317133.db2.gz JPJGWFKAFGUILR-NSHDSACASA-N 0 0 278.308 2.913 20 5 CFBDRN CCOCC1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000799558791 630321209 /nfs/dbraw/zinc/32/12/09/630321209.db2.gz NFZMUZKEUWURRD-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN COCC1(COC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000799665310 630330168 /nfs/dbraw/zinc/33/01/68/630330168.db2.gz TXHXFKIEABBBIV-LLVKDONJSA-N 0 0 293.319 2.668 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H](O)c2ccccc2Cl)s1 ZINC000799939030 630355024 /nfs/dbraw/zinc/35/50/24/630355024.db2.gz JZNQMYRBRURVQX-SECBINFHSA-N 0 0 299.739 2.850 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H](O)c2ccccc2Cl)s1 ZINC000799939032 630355332 /nfs/dbraw/zinc/35/53/32/630355332.db2.gz JZNQMYRBRURVQX-VIFPVBQESA-N 0 0 299.739 2.850 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000799975338 630357823 /nfs/dbraw/zinc/35/78/23/630357823.db2.gz NKSTXGJJAXORGZ-GBIKHYSHSA-N 0 0 279.292 2.622 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC3(CCO3)CC2)c1 ZINC000799984714 630359054 /nfs/dbraw/zinc/35/90/54/630359054.db2.gz NPQLQOFDCOOFFT-UHFFFAOYSA-N 0 0 296.298 2.502 20 5 CFBDRN CC(=O)CCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000800387797 630376591 /nfs/dbraw/zinc/37/65/91/630376591.db2.gz TYKPQXDBYMMIJS-UHFFFAOYSA-N 0 0 271.656 2.523 20 5 CFBDRN Cc1cc(C)nc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c1 ZINC000800802711 630405943 /nfs/dbraw/zinc/40/59/43/630405943.db2.gz STTHMMYYAQIULC-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN COc1ccc(CNc2cc(N)ccc2[N+](=O)[O-])cc1O ZINC000800847212 630410637 /nfs/dbraw/zinc/41/06/37/630410637.db2.gz SFAJJIIUTWYBKO-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN Cc1cc(C)nc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000800852099 630411187 /nfs/dbraw/zinc/41/11/87/630411187.db2.gz SWPNPWKOBKPMMS-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCc2ccc3c(c2)CCO3)c1 ZINC000800848464 630411222 /nfs/dbraw/zinc/41/12/22/630411222.db2.gz VMSVSACUOVWZKJ-UHFFFAOYSA-N 0 0 285.303 2.724 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000800854457 630411517 /nfs/dbraw/zinc/41/15/17/630411517.db2.gz GNLHMOKVHOWEHN-UWVGGRQHSA-N 0 0 267.354 2.873 20 5 CFBDRN C[C@@H](CNc1cc(N)ccc1[N+](=O)[O-])c1ccncc1 ZINC000800857597 630411707 /nfs/dbraw/zinc/41/17/07/630411707.db2.gz YNRNBEULYIJHPC-JTQLQIEISA-N 0 0 272.308 2.788 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000800861082 630412156 /nfs/dbraw/zinc/41/21/56/630412156.db2.gz CPUWQWRZKVAUNS-DOFRTFSJSA-N 0 0 265.313 2.545 20 5 CFBDRN CCC1(O)CCC(Nc2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000800862655 630412190 /nfs/dbraw/zinc/41/21/90/630412190.db2.gz UUAGWFOAKRELEB-UHFFFAOYSA-N 0 0 279.340 2.673 20 5 CFBDRN CC1=C(c2ccco2)CN(Cn2nccc2[N+](=O)[O-])CC1 ZINC000800866715 630412644 /nfs/dbraw/zinc/41/26/44/630412644.db2.gz CEBDMSPKVPLVLX-UHFFFAOYSA-N 0 0 288.307 2.521 20 5 CFBDRN CC1=C(c2ccco2)CN(Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000800866414 630412882 /nfs/dbraw/zinc/41/28/82/630412882.db2.gz HLUXEEVGGPPWQH-UHFFFAOYSA-N 0 0 288.307 2.521 20 5 CFBDRN Cc1cc(C)nc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c1 ZINC000800869128 630412906 /nfs/dbraw/zinc/41/29/06/630412906.db2.gz ZNPAHPGVFKLRIL-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCO[C@@H](C(F)F)C1 ZINC000801562475 630458320 /nfs/dbraw/zinc/45/83/20/630458320.db2.gz ZSAGHNQEJJREBP-BXKDBHETSA-N 0 0 286.278 2.622 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000801921522 630487983 /nfs/dbraw/zinc/48/79/83/630487983.db2.gz FVFVCIRSYNTFMV-CQSZACIVSA-N 0 0 295.314 2.578 20 5 CFBDRN COCC[C@H](OC(=O)c1cc([N+](=O)[O-])cn1C)C(C)(C)C ZINC000802282585 630522935 /nfs/dbraw/zinc/52/29/35/630522935.db2.gz DTOYVOVMXKTAHR-LBPRGKRZSA-N 0 0 298.339 2.541 20 5 CFBDRN CSc1ccc(C(=O)O[C@]2(C)CCOC2)cc1[N+](=O)[O-] ZINC000802904506 630563482 /nfs/dbraw/zinc/56/34/82/630563482.db2.gz HLVKOXJAXDNLEW-CYBMUJFWSA-N 0 0 297.332 2.653 20 5 CFBDRN CCOC1CC(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000802943207 630568711 /nfs/dbraw/zinc/56/87/11/630568711.db2.gz QZWQMHSUZSQCRZ-UHFFFAOYSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(C)SCCOC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000803224534 630591284 /nfs/dbraw/zinc/59/12/84/630591284.db2.gz NNJFXWBQXSPZDX-UHFFFAOYSA-N 0 0 283.349 2.822 20 5 CFBDRN Nc1ccc(C(=O)OC[C@H]2Cc3ccccc32)cc1[N+](=O)[O-] ZINC000803227115 630591792 /nfs/dbraw/zinc/59/17/92/630591792.db2.gz XVIYEIGFLHNKER-GFCCVEGCSA-N 0 0 298.298 2.674 20 5 CFBDRN CC(C)C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803226952 630591992 /nfs/dbraw/zinc/59/19/92/630591992.db2.gz SNPBDKXMHWVGPH-UHFFFAOYSA-N 0 0 259.208 2.572 20 5 CFBDRN CCOC1CC(COC(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000803246571 630594652 /nfs/dbraw/zinc/59/46/52/630594652.db2.gz TVGGKDRIVMHVKT-UHFFFAOYSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(C)SCCOC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000803299304 630600644 /nfs/dbraw/zinc/60/06/44/630600644.db2.gz KJGDYQXDXHHCEC-UHFFFAOYSA-N 0 0 298.364 2.692 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)C1=COCCC1 ZINC000803342823 630604021 /nfs/dbraw/zinc/60/40/21/630604021.db2.gz NEKTUQNKGXTTRW-UHFFFAOYSA-N 0 0 299.229 2.611 20 5 CFBDRN O=C(/C=C/C1CC1)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803372228 630608130 /nfs/dbraw/zinc/60/81/30/630608130.db2.gz YNVHVFBGHXTDHY-ONEGZZNKSA-N 0 0 283.230 2.882 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCc2ccncc2)c1[N+](=O)[O-] ZINC000803387218 630610792 /nfs/dbraw/zinc/61/07/92/630610792.db2.gz XRRSUEOSUNGIHX-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cc2c(cc1C)N(C(=O)c1ccc([N+](=O)[O-])cn1)CC2 ZINC000803458041 630619897 /nfs/dbraw/zinc/61/98/97/630619897.db2.gz ZBXSENSDKJBOHE-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN CC(=O)CCCOC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803462371 630620279 /nfs/dbraw/zinc/62/02/79/630620279.db2.gz JVXAPMSCWNJKPG-UHFFFAOYSA-N 0 0 283.255 2.568 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CCC=CO1 ZINC000803505096 630624212 /nfs/dbraw/zinc/62/42/12/630624212.db2.gz GXMFJJUPBIWVCG-GFCCVEGCSA-N 0 0 299.229 2.609 20 5 CFBDRN O=Cc1ccc(OCCOCC2CCCC2)c([N+](=O)[O-])c1 ZINC000840007671 630629918 /nfs/dbraw/zinc/62/99/18/630629918.db2.gz FUJRTOFNYUEGJM-UHFFFAOYSA-N 0 0 293.319 2.993 20 5 CFBDRN O=C(Nc1cc(Br)cc([N+](=O)[O-])c1)C(F)F ZINC000803638072 630632859 /nfs/dbraw/zinc/63/28/59/630632859.db2.gz YCPMCOHPWQHFAQ-UHFFFAOYSA-N 0 0 295.039 2.561 20 5 CFBDRN CCc1[nH]ccc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000803776449 630641816 /nfs/dbraw/zinc/64/18/16/630641816.db2.gz UIKTYCYXZVSIHA-UHFFFAOYSA-N 0 0 274.276 2.842 20 5 CFBDRN CCC/C=C/C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000804176731 630662554 /nfs/dbraw/zinc/66/25/54/630662554.db2.gz SWBKCUBTGWPXBZ-BJMVGYQFSA-N 0 0 276.336 2.952 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@@H]2C[C@@H]21 ZINC000804302868 630670027 /nfs/dbraw/zinc/67/00/27/630670027.db2.gz KPNYOXXEKFXXMQ-KCJUWKMLSA-N 0 0 280.711 2.873 20 5 CFBDRN CSc1cnc(Oc2ccc(C=O)cc2[N+](=O)[O-])nc1 ZINC000804322233 630672030 /nfs/dbraw/zinc/67/20/30/630672030.db2.gz AQPTXVDZBYWWOM-UHFFFAOYSA-N 0 0 291.288 2.712 20 5 CFBDRN Nc1ccc(NCCOc2ccccc2F)c([N+](=O)[O-])c1 ZINC000804323671 630672124 /nfs/dbraw/zinc/67/21/24/630672124.db2.gz HSGGWMWKDAUZAK-UHFFFAOYSA-N 0 0 291.282 2.807 20 5 CFBDRN Cc1cccc(OCCNc2ccc(N)cc2[N+](=O)[O-])c1 ZINC000804329352 630672635 /nfs/dbraw/zinc/67/26/35/630672635.db2.gz HKETYCAGCDQZQP-UHFFFAOYSA-N 0 0 287.319 2.976 20 5 CFBDRN CNc1ccc(Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])cn1 ZINC000804356951 630675204 /nfs/dbraw/zinc/67/52/04/630675204.db2.gz VXHWVPRZWWMDHY-UHFFFAOYSA-N 0 0 289.251 2.683 20 5 CFBDRN CCn1nc(C)c(CNc2ccc(N)cc2[N+](=O)[O-])c1C ZINC000804426012 630680926 /nfs/dbraw/zinc/68/09/26/630680926.db2.gz YUONMAVABSEWKR-UHFFFAOYSA-N 0 0 289.339 2.622 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@](C)(O)C(C)C ZINC000804448145 630682469 /nfs/dbraw/zinc/68/24/69/630682469.db2.gz LUGJTQGXDPDNAZ-CQSZACIVSA-N 0 0 280.324 2.616 20 5 CFBDRN CN(CCc1cccs1)c1ccc(N)cc1[N+](=O)[O-] ZINC000804522373 630689249 /nfs/dbraw/zinc/68/92/49/630689249.db2.gz YNVCZYMUQYULDJ-UHFFFAOYSA-N 0 0 277.349 2.917 20 5 CFBDRN Nc1ccc(NCCOCc2ccccc2)c([N+](=O)[O-])c1 ZINC000804531876 630690326 /nfs/dbraw/zinc/69/03/26/630690326.db2.gz IXAHXLAWIPHIRO-UHFFFAOYSA-N 0 0 287.319 2.806 20 5 CFBDRN Nc1ccc(NCCc2ccc(Cl)nc2)c([N+](=O)[O-])c1 ZINC000804553063 630692791 /nfs/dbraw/zinc/69/27/91/630692791.db2.gz ZPGYBHKFJJVQRW-UHFFFAOYSA-N 0 0 292.726 2.880 20 5 CFBDRN C[C@@H]1C[C@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000804589198 630761870 /nfs/dbraw/zinc/76/18/70/630761870.db2.gz IAHPRPSSFLSPKA-NXEZZACHSA-N 0 0 295.295 2.730 20 5 CFBDRN C[C@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC[C@@H]1C ZINC000804587315 630762015 /nfs/dbraw/zinc/76/20/15/630762015.db2.gz KYTCQGUICFYRJO-UWVGGRQHSA-N 0 0 279.296 2.985 20 5 CFBDRN C[C@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H]1C ZINC000804587316 630762094 /nfs/dbraw/zinc/76/20/94/630762094.db2.gz KYTCQGUICFYRJO-VHSXEESVSA-N 0 0 279.296 2.985 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CCC=CO1 ZINC000804631190 630767801 /nfs/dbraw/zinc/76/78/01/630767801.db2.gz PEOKHGCKHDGVKN-GFCCVEGCSA-N 0 0 276.292 2.902 20 5 CFBDRN CCC(O)(CC)CCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804647245 630769195 /nfs/dbraw/zinc/76/91/95/630769195.db2.gz KQWMTPBLDNKQDD-UHFFFAOYSA-N 0 0 297.311 2.856 20 5 CFBDRN CCC(C)(C)c1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000867173862 630800147 /nfs/dbraw/zinc/80/01/47/630800147.db2.gz DSZVUJVYYDUOMU-UHFFFAOYSA-N 0 0 264.285 2.671 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)NC(CF)CF ZINC000836368623 630803763 /nfs/dbraw/zinc/80/37/63/630803763.db2.gz RWWBPMBHUKDOAB-UHFFFAOYSA-N 0 0 293.657 2.677 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N(C)C2CC2)cc1Cl ZINC000836481225 630830426 /nfs/dbraw/zinc/83/04/26/630830426.db2.gz LXCJKJRKPJAAPV-UHFFFAOYSA-N 0 0 268.700 2.791 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NOCC(C)C)cc1Cl ZINC000836779996 630881396 /nfs/dbraw/zinc/88/13/96/630881396.db2.gz ZERXJCOBGHWQTJ-UHFFFAOYSA-N 0 0 286.715 2.874 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](F)C1 ZINC000836821773 630892136 /nfs/dbraw/zinc/89/21/36/630892136.db2.gz SYLPNDVNYXCKKE-ZJNQMXKESA-N 0 0 278.283 2.569 20 5 CFBDRN C[C@]1(F)CCCN(Cn2ncc3ccc([N+](=O)[O-])cc32)C1 ZINC000840101895 630894621 /nfs/dbraw/zinc/89/46/21/630894621.db2.gz XOAPRWROGXTDBY-AWEZNQCLSA-N 0 0 292.314 2.726 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@@H]2C[C@@H]21 ZINC000807676390 630903240 /nfs/dbraw/zinc/90/32/40/630903240.db2.gz NLXNRCJVRVRYNF-PELKAZGASA-N 0 0 280.711 2.873 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCCCCC1 ZINC000807915726 630921558 /nfs/dbraw/zinc/92/15/58/630921558.db2.gz ASVZIRMURJNGLR-UHFFFAOYSA-N 0 0 266.345 2.890 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CC1(Cl)Cl ZINC000807917672 630922079 /nfs/dbraw/zinc/92/20/79/630922079.db2.gz JTWDUVAXHMFTKS-ZETCQYMHSA-N 0 0 293.154 2.725 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC[C@H]1CCCO1 ZINC000807923521 630922608 /nfs/dbraw/zinc/92/26/08/630922608.db2.gz DOUINHWTTJYZMU-GFCCVEGCSA-N 0 0 278.308 2.778 20 5 CFBDRN CCc1cc(CNc2cc([N+](=O)[O-])ccc2C(C)=O)[nH]n1 ZINC000807972115 630927938 /nfs/dbraw/zinc/92/79/38/630927938.db2.gz MWJDFPJASKCSBI-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCC[C@@H](C)CO ZINC000808007854 630940833 /nfs/dbraw/zinc/94/08/33/630940833.db2.gz HXGOMPWILURLDH-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(SCOC2CCCC2)nc1 ZINC000808025301 630943400 /nfs/dbraw/zinc/94/34/00/630943400.db2.gz HSTQPAKOPFSREL-UHFFFAOYSA-N 0 0 254.311 2.999 20 5 CFBDRN Nc1ccc(OCc2ccnc(Cl)c2)cc1[N+](=O)[O-] ZINC000808256230 630972411 /nfs/dbraw/zinc/97/24/11/630972411.db2.gz OMWMKOGKHIJYMP-UHFFFAOYSA-N 0 0 279.683 2.804 20 5 CFBDRN CC(=O)CCCCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000837334854 631002956 /nfs/dbraw/zinc/00/29/56/631002956.db2.gz LLTZQWWKJYVZKE-UHFFFAOYSA-N 0 0 279.292 2.901 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)OCCC1CC1 ZINC000808561226 631014230 /nfs/dbraw/zinc/01/42/30/631014230.db2.gz PIONYQWPEYLKMN-UHFFFAOYSA-N 0 0 285.246 2.759 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc3nonc32)c1 ZINC000808799192 631050470 /nfs/dbraw/zinc/05/04/70/631050470.db2.gz JXKUPBAARZTOPU-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)/C=C(/C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000809109475 631080781 /nfs/dbraw/zinc/08/07/81/631080781.db2.gz HMVCSWPRKDPTKX-MVAREADRSA-N 0 0 291.303 2.719 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)C(=O)CC ZINC000837697008 631110499 /nfs/dbraw/zinc/11/04/99/631110499.db2.gz KGVPFKQQEODARF-SECBINFHSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1cc(Cl)c(C(=O)O[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000837745728 631121908 /nfs/dbraw/zinc/12/19/08/631121908.db2.gz ICLAWOIOSPUPOE-MRVPVSSYSA-N 0 0 285.683 2.502 20 5 CFBDRN CCC[C@@H](OC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000837806630 631131863 /nfs/dbraw/zinc/13/18/63/631131863.db2.gz URYXXYQAUQZVAR-SECBINFHSA-N 0 0 273.310 2.515 20 5 CFBDRN CO[C@@H](COC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000837925848 631151599 /nfs/dbraw/zinc/15/15/99/631151599.db2.gz XMBPDPJJOFVKBA-ZDUSSCGKSA-N 0 0 295.335 2.753 20 5 CFBDRN CC(C)(CCC(=O)OC[C@]1(C)CC1(Cl)Cl)[N+](=O)[O-] ZINC000837926342 631152060 /nfs/dbraw/zinc/15/20/60/631152060.db2.gz PADKSTZRCLCPSX-JTQLQIEISA-N 0 0 298.166 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1Cc2ccncc2C1 ZINC000809564756 631236369 /nfs/dbraw/zinc/23/63/69/631236369.db2.gz GWHCAMNPAQSHLM-UHFFFAOYSA-N 0 0 273.267 2.645 20 5 CFBDRN Cc1noc([C@@H](C)OC(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000042650939 650000327 /nfs/dbraw/zinc/00/03/27/650000327.db2.gz CQXXPPZQQDAAPO-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1cc(NC(=O)NOC/C=C/Cl)ccc1[N+](=O)[O-] ZINC000810202368 631343678 /nfs/dbraw/zinc/34/36/78/631343678.db2.gz YKHCENQPNJEJPC-GORDUTHDSA-N 0 0 285.687 2.709 20 5 CFBDRN CCOc1cccc([C@H](CC)OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000841138692 631345172 /nfs/dbraw/zinc/34/51/72/631345172.db2.gz FMUGXOGAYIHSBK-MCIONIFRSA-N 0 0 293.319 2.745 20 5 CFBDRN C[C@@H]1[C@H](C)Sc2ccccc2N1C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000841141611 631346433 /nfs/dbraw/zinc/34/64/33/631346433.db2.gz NTEPNHYIHGKXHK-DTHBNOIPSA-N 0 0 292.360 2.568 20 5 CFBDRN CC(C)(C)c1noc(O/C=C\c2ccc([N+](=O)[O-])o2)n1 ZINC000840196290 631355702 /nfs/dbraw/zinc/35/57/02/631355702.db2.gz NVRALCKLLUFUCW-SREVYHEPSA-N 0 0 279.252 2.918 20 5 CFBDRN Cc1ccc(Cl)c(NC(=O)[C@@H]2CC2[N+](=O)[O-])c1C ZINC000841198951 631357223 /nfs/dbraw/zinc/35/72/23/631357223.db2.gz JTNBXQQPCUMSFI-PSASIEDQSA-N 0 0 268.700 2.561 20 5 CFBDRN O=C(O[C@@H]1CCCc2c(F)cc(F)cc21)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841246284 631368187 /nfs/dbraw/zinc/36/81/87/631368187.db2.gz BATKSSKXHYQYCD-RAIGVLPGSA-N 0 0 297.257 2.551 20 5 CFBDRN Cc1ccc(OC(=O)C2(CCF)CC2)c([N+](=O)[O-])c1 ZINC000810534033 631383097 /nfs/dbraw/zinc/38/30/97/631383097.db2.gz DAADUSWLMIPSCU-UHFFFAOYSA-N 0 0 267.256 2.948 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000810533994 631383569 /nfs/dbraw/zinc/38/35/69/631383569.db2.gz BTGVFIRRWHUOSC-SNVBAGLBSA-N 0 0 281.333 2.952 20 5 CFBDRN Cc1nc(COC(=O)c2cc([N+](=O)[O-])ccc2C)c(C)o1 ZINC000841337471 631388396 /nfs/dbraw/zinc/38/83/96/631388396.db2.gz FBUUZBNXDJMMHU-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1F)CC(C)C ZINC000841350412 631393000 /nfs/dbraw/zinc/39/30/00/631393000.db2.gz IBECTADGHVEHON-NSHDSACASA-N 0 0 298.314 2.525 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])[C@H]1CCCSC1 ZINC000810631600 631412487 /nfs/dbraw/zinc/41/24/87/631412487.db2.gz WMNVQCALOJQOFH-LBPRGKRZSA-N 0 0 295.360 2.824 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1conc1C1CC1 ZINC000810635119 631413451 /nfs/dbraw/zinc/41/34/51/631413451.db2.gz IJMZVSVITVLLOT-UHFFFAOYSA-N 0 0 292.222 2.819 20 5 CFBDRN C[C@H](O)CSCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000840212439 631460406 /nfs/dbraw/zinc/46/04/06/631460406.db2.gz VYAYNDQZYSVLHW-ZETCQYMHSA-N 0 0 261.730 2.862 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc([C@H]2CCCc3ccccc32)no1 ZINC000841739375 631462112 /nfs/dbraw/zinc/46/21/12/631462112.db2.gz MDXKZHPPEHWGRM-YNEHKIRRSA-N 0 0 285.303 2.670 20 5 CFBDRN COCC1(COC(=O)c2csc([N+](=O)[O-])c2)CCC1 ZINC000811062258 631509208 /nfs/dbraw/zinc/50/92/08/631509208.db2.gz QXFQTVUVFJXRDD-UHFFFAOYSA-N 0 0 285.321 2.630 20 5 CFBDRN CO[C@@H](CNc1ccc(N)cc1[N+](=O)[O-])CC(C)C ZINC000842048352 631512301 /nfs/dbraw/zinc/51/23/01/631512301.db2.gz ZAULYKDMZHAJTA-LLVKDONJSA-N 0 0 267.329 2.650 20 5 CFBDRN CO[C@H](CNc1ccc(N)cc1[N+](=O)[O-])CC(C)C ZINC000842048353 631512489 /nfs/dbraw/zinc/51/24/89/631512489.db2.gz ZAULYKDMZHAJTA-NSHDSACASA-N 0 0 267.329 2.650 20 5 CFBDRN COCCCCN(C)c1ccc([N+](=O)[O-])cc1C ZINC000842050788 631513263 /nfs/dbraw/zinc/51/32/63/631513263.db2.gz VOGZYRSNKYWESN-UHFFFAOYSA-N 0 0 252.314 2.766 20 5 CFBDRN CO[C@@H](COC(=O)Cc1ccccc1[N+](=O)[O-])CC(C)C ZINC000842054094 631515087 /nfs/dbraw/zinc/51/50/87/631515087.db2.gz JZJSBNYZKPEYNZ-CYBMUJFWSA-N 0 0 295.335 2.742 20 5 CFBDRN CO[C@@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)CC(C)C ZINC000842053821 631515111 /nfs/dbraw/zinc/51/51/11/631515111.db2.gz CQHKVHXUYWCDMF-CQSZACIVSA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@@H](COC(=O)c1ccc(SC)c([N+](=O)[O-])c1)OC ZINC000842053667 631515281 /nfs/dbraw/zinc/51/52/81/631515281.db2.gz YKDJPIKDRWMLOY-JTQLQIEISA-N 0 0 299.348 2.899 20 5 CFBDRN CO[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1)CC(C)C ZINC000842056374 631516431 /nfs/dbraw/zinc/51/64/31/631516431.db2.gz ZKBSFPFYANCDGY-CYBMUJFWSA-N 0 0 281.308 2.813 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CONC(=O)CC(C)(C)C ZINC000842061068 631519176 /nfs/dbraw/zinc/51/91/76/631519176.db2.gz IUBCALLZMZGNPC-UHFFFAOYSA-N 0 0 296.323 2.587 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000811118043 631519742 /nfs/dbraw/zinc/51/97/42/631519742.db2.gz KFIPZVZYPXYLQD-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN CC[C@@H](COC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)OC ZINC000842073375 631525010 /nfs/dbraw/zinc/52/50/10/631525010.db2.gz ZKYCEMLNCZOXPJ-JTQLQIEISA-N 0 0 292.291 2.658 20 5 CFBDRN Cc1cc(C(=O)OCCOCC2CCC2)cc([N+](=O)[O-])c1 ZINC000842072548 631525227 /nfs/dbraw/zinc/52/52/27/631525227.db2.gz OEXOZMVFFRWJSL-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN CCn1cc(CCOc2ccc([N+](=O)[O-])c(F)c2F)cn1 ZINC000842076428 631526812 /nfs/dbraw/zinc/52/68/12/631526812.db2.gz MVYYJIPTRQBQIJ-UHFFFAOYSA-N 0 0 297.261 2.711 20 5 CFBDRN CCC(CC)(CO)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000842106419 631543650 /nfs/dbraw/zinc/54/36/50/631543650.db2.gz QHSHGYNKJFFZDA-NSHDSACASA-N 0 0 295.335 2.998 20 5 CFBDRN Cc1ccc(O)cc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000811311397 631549759 /nfs/dbraw/zinc/54/97/59/631549759.db2.gz JCFRDWMQVKASHE-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN Cc1c(OS(=O)(=O)CCC(C)C)cccc1[N+](=O)[O-] ZINC000104736968 631602372 /nfs/dbraw/zinc/60/23/72/631602372.db2.gz ICZRVKQMPIOWLL-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN C[C@H](COC(=O)[C@H]1CC(C)(C)Oc2ccccc21)[N+](=O)[O-] ZINC000842363537 631607020 /nfs/dbraw/zinc/60/70/20/631607020.db2.gz KIVBDLGUMKPTCO-PWSUYJOCSA-N 0 0 293.319 2.540 20 5 CFBDRN CC(C)CCCCCOC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000842391758 631616282 /nfs/dbraw/zinc/61/62/82/631616282.db2.gz CZNNYVIQOGKQCF-UHFFFAOYSA-N 0 0 283.328 2.702 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCc2noc3ccccc32)c1 ZINC000811840650 631630902 /nfs/dbraw/zinc/63/09/02/631630902.db2.gz NMQWKFZREXOFNJ-UHFFFAOYSA-N 0 0 284.275 2.930 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCCc1ccco1 ZINC000842451621 631634420 /nfs/dbraw/zinc/63/44/20/631634420.db2.gz VVEWCJVGRATHAS-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2ncc([N+](=O)[O-])cc2F)no1 ZINC000840240588 631642122 /nfs/dbraw/zinc/64/21/22/631642122.db2.gz GZLURQQJLNZNSP-GFCCVEGCSA-N 0 0 292.270 2.767 20 5 CFBDRN CCC[C@](C)(O)c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000842488140 631642632 /nfs/dbraw/zinc/64/26/32/631642632.db2.gz PFEBRHWXVMOHCS-AWEZNQCLSA-N 0 0 291.307 2.961 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@](C)(O)C(C)C)n1 ZINC000842494683 631645263 /nfs/dbraw/zinc/64/52/63/631645263.db2.gz WRRJFPTYRNKQDH-AWEZNQCLSA-N 0 0 291.307 2.817 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(-c2ccc(Cl)cc2F)no1 ZINC000842505636 631649106 /nfs/dbraw/zinc/64/91/06/631649106.db2.gz QEKNFSAHNPPKMA-VXNVDRBHSA-N 0 0 283.646 2.662 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC[C@@H]1CC1(Cl)Cl ZINC000842548088 631662753 /nfs/dbraw/zinc/66/27/53/631662753.db2.gz HRCPNWCQJREMKV-SSDOTTSWSA-N 0 0 264.112 2.684 20 5 CFBDRN CCc1cc(CNc2cc(C)c([N+](=O)[O-])cc2F)[nH]n1 ZINC000812458749 631721955 /nfs/dbraw/zinc/72/19/55/631721955.db2.gz JXWDLDHPUWOSGL-UHFFFAOYSA-N 0 0 278.287 2.940 20 5 CFBDRN Cc1cc(NC[C@H](O)c2ccncc2)c(F)cc1[N+](=O)[O-] ZINC000812462858 631722536 /nfs/dbraw/zinc/72/25/36/631722536.db2.gz WRHHLJZZHOSSEQ-AWEZNQCLSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cc(N2CCO[C@H](C(F)F)C2)c(F)cc1[N+](=O)[O-] ZINC000812475722 631723313 /nfs/dbraw/zinc/72/33/13/631723313.db2.gz XWBUDUZKLHZSKG-NSHDSACASA-N 0 0 290.241 2.513 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC(C3CC3)C2)c(F)c1 ZINC000812480518 631723981 /nfs/dbraw/zinc/72/39/81/631723981.db2.gz CIJYCLCZENRQOV-UHFFFAOYSA-N 0 0 254.236 2.719 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000812624846 631747125 /nfs/dbraw/zinc/74/71/25/631747125.db2.gz IUTOZXASEUIPMV-MNOVXSKESA-N 0 0 281.308 2.557 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000812627207 631747938 /nfs/dbraw/zinc/74/79/38/631747938.db2.gz RPIVVARFSCKTIM-MNOVXSKESA-N 0 0 281.308 2.557 20 5 CFBDRN Cc1ccc(OC(=O)c2cc(C(C)C)[nH]n2)cc1[N+](=O)[O-] ZINC000155572618 650026384 /nfs/dbraw/zinc/02/63/84/650026384.db2.gz JXSPCXDGPSSKAA-UHFFFAOYSA-N 0 0 289.291 2.969 20 5 CFBDRN Cc1ccc(COC(=O)C2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000842811367 631748088 /nfs/dbraw/zinc/74/80/88/631748088.db2.gz YFFFOHYTESWJIO-UHFFFAOYSA-N 0 0 285.246 2.992 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000812636753 631749966 /nfs/dbraw/zinc/74/99/66/631749966.db2.gz RVHDQXGNSCLYDT-BXUZGUMPSA-N 0 0 293.319 2.953 20 5 CFBDRN Cc1c(OC(=O)OCCCF)cccc1[N+](=O)[O-] ZINC000841477890 631766603 /nfs/dbraw/zinc/76/66/03/631766603.db2.gz LEFFKDVPBYSJNN-UHFFFAOYSA-N 0 0 257.217 2.778 20 5 CFBDRN CCN(CCc1ccccn1)c1ncc([N+](=O)[O-])cc1F ZINC000840268346 631782484 /nfs/dbraw/zinc/78/24/84/631782484.db2.gz HUVMRWMPIOAQCC-UHFFFAOYSA-N 0 0 290.298 2.593 20 5 CFBDRN CC(C)(CCc1noc(-c2coc(C3CC3)n2)n1)[N+](=O)[O-] ZINC000812810493 631787233 /nfs/dbraw/zinc/78/72/33/631787233.db2.gz BADNQETWMRSVBR-UHFFFAOYSA-N 0 0 292.295 2.590 20 5 CFBDRN C[C@H](COC(=O)c1cc([N+](=O)[O-])cn1C)CC(F)(F)F ZINC000842948689 631791340 /nfs/dbraw/zinc/79/13/40/631791340.db2.gz XJCHQHREXUGYTN-ZETCQYMHSA-N 0 0 294.229 2.679 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000812835793 631794029 /nfs/dbraw/zinc/79/40/29/631794029.db2.gz DJIHRSSDJBCQJZ-MNOVXSKESA-N 0 0 281.308 2.727 20 5 CFBDRN CCc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2F)ccn1C ZINC000812834864 631794142 /nfs/dbraw/zinc/79/41/42/631794142.db2.gz XIDVFPFPSTVIHW-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN CCc1ccc(OC(=O)[C@H](C)[C@H](C)COC)c([N+](=O)[O-])c1 ZINC000813068925 631824717 /nfs/dbraw/zinc/82/47/17/631824717.db2.gz BBAGPZBBQWNJMU-GHMZBOCLSA-N 0 0 295.335 2.981 20 5 CFBDRN C[C@H](CC(=O)OC[C@@H](C)[N+](=O)[O-])c1c[nH]c2ccccc21 ZINC000813102592 631838691 /nfs/dbraw/zinc/83/86/91/631838691.db2.gz STPKZGYLNIYKQX-GHMZBOCLSA-N 0 0 290.319 2.870 20 5 CFBDRN C[C@@H](COC(=O)c1nc2ccccc2cc1Cl)[N+](=O)[O-] ZINC000813102864 631838717 /nfs/dbraw/zinc/83/87/17/631838717.db2.gz KQWFIKMCAPIGEK-QMMMGPOBSA-N 0 0 294.694 2.710 20 5 CFBDRN COc1ccc2oc(C(=O)OC[C@@H](C)[N+](=O)[O-])c(C)c2c1 ZINC000813105218 631839397 /nfs/dbraw/zinc/83/93/97/631839397.db2.gz KQLSELBLUQWJOP-MRVPVSSYSA-N 0 0 293.275 2.572 20 5 CFBDRN CCNc1ccc(Cl)cc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813105036 631840132 /nfs/dbraw/zinc/84/01/32/631840132.db2.gz UHGPFLHEHHENNH-MRVPVSSYSA-N 0 0 286.715 2.594 20 5 CFBDRN CC[C@@H](C(=O)OC[C@@H](C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000813104238 631840190 /nfs/dbraw/zinc/84/01/90/631840190.db2.gz KQHHGGFWHNILRP-BXKDBHETSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@@H](COC(=O)CC/C=C/c1ccccc1)[N+](=O)[O-] ZINC000813104004 631840210 /nfs/dbraw/zinc/84/02/10/631840210.db2.gz VZADISWTLDNYQZ-LHXVZLOVSA-N 0 0 263.293 2.688 20 5 CFBDRN C[C@H](COC(=O)c1ccccc1COC(C)(C)C)[N+](=O)[O-] ZINC000813107274 631841454 /nfs/dbraw/zinc/84/14/54/631841454.db2.gz OHJZXHTWGAUKHS-LLVKDONJSA-N 0 0 295.335 2.824 20 5 CFBDRN CCC[C@H](C(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813107065 631841938 /nfs/dbraw/zinc/84/19/38/631841938.db2.gz LNKILTNSIVCEPC-AAEUAGOBSA-N 0 0 265.309 2.779 20 5 CFBDRN CC[C@@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813106266 631842241 /nfs/dbraw/zinc/84/22/41/631842241.db2.gz BROXMODULABGMQ-RYUDHWBXSA-N 0 0 265.309 2.779 20 5 CFBDRN COc1cc2ccccc2cc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813108502 631843731 /nfs/dbraw/zinc/84/37/31/631843731.db2.gz ZCBSWVJDDFTIFR-JTQLQIEISA-N 0 0 289.287 2.670 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(-c2ccccc2F)o1)[N+](=O)[O-] ZINC000813112850 631845114 /nfs/dbraw/zinc/84/51/14/631845114.db2.gz UVUACWYNIGUKMB-VIFPVBQESA-N 0 0 293.250 2.908 20 5 CFBDRN CCCc1cc(C(=O)OC[C@@H](C)[N+](=O)[O-])sc1C ZINC000813113642 631845246 /nfs/dbraw/zinc/84/52/46/631845246.db2.gz SAVWHZNBTZULBL-MRVPVSSYSA-N 0 0 271.338 2.831 20 5 CFBDRN CCCN(CC)c1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813114208 631846451 /nfs/dbraw/zinc/84/64/51/631846451.db2.gz NNLYYJSJHZVVMO-LBPRGKRZSA-N 0 0 294.351 2.745 20 5 CFBDRN Cc1oc(C(C)C)cc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813115610 631846593 /nfs/dbraw/zinc/84/65/93/631846593.db2.gz WFZSECGGQIBPJL-QMMMGPOBSA-N 0 0 255.270 2.533 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2ncc3c(n2)CCCC3)c1 ZINC000840279983 631855245 /nfs/dbraw/zinc/85/52/45/631855245.db2.gz JACXIWKHBAMELU-UHFFFAOYSA-N 0 0 298.346 2.918 20 5 CFBDRN CC1(C)C[C@@H]1COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000813131074 631857448 /nfs/dbraw/zinc/85/74/48/631857448.db2.gz OPSKDSCTXUXBIX-LLVKDONJSA-N 0 0 263.293 2.727 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H](N)CC(C)C)n1 ZINC000843187448 631890157 /nfs/dbraw/zinc/89/01/57/631890157.db2.gz QJKXSKUMNWFVEH-LBPRGKRZSA-N 0 0 290.323 2.999 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813245660 631891258 /nfs/dbraw/zinc/89/12/58/631891258.db2.gz JAQJDPBJUYMKIL-ZETCQYMHSA-N 0 0 272.688 2.612 20 5 CFBDRN CNc1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)s1 ZINC000843232693 631906948 /nfs/dbraw/zinc/90/69/48/631906948.db2.gz AFZMOKOSXKCLQL-UHFFFAOYSA-N 0 0 296.352 2.828 20 5 CFBDRN Cc1c(-c2noc([C@H](N)CC(F)F)n2)cccc1[N+](=O)[O-] ZINC000843238750 631910268 /nfs/dbraw/zinc/91/02/68/631910268.db2.gz XXOUZOXQZSEUPN-MRVPVSSYSA-N 0 0 298.249 2.608 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000867324329 631920502 /nfs/dbraw/zinc/92/05/02/631920502.db2.gz BQRLAQIBIHAJKH-OHBODLIOSA-N 0 0 290.319 2.834 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCC(C)(C)OC ZINC000843300161 631921871 /nfs/dbraw/zinc/92/18/71/631921871.db2.gz HAHIQIMXQBUFPM-UHFFFAOYSA-N 0 0 267.329 2.864 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1c(C)noc1C ZINC000843299284 631921903 /nfs/dbraw/zinc/92/19/03/631921903.db2.gz XKBLYJJUXYNJCT-UHFFFAOYSA-N 0 0 276.296 2.853 20 5 CFBDRN CCON(C(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000843311134 631924825 /nfs/dbraw/zinc/92/48/25/631924825.db2.gz ILGVENKAFVMJMC-UHFFFAOYSA-N 0 0 285.275 2.928 20 5 CFBDRN COCC(C)(C)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000813626077 631943117 /nfs/dbraw/zinc/94/31/17/631943117.db2.gz RUMZVQXTRGLYJW-UHFFFAOYSA-N 0 0 286.303 2.827 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)C1(C(F)F)CC1 ZINC000843523994 631974744 /nfs/dbraw/zinc/97/47/44/631974744.db2.gz SMJFIAXMYJCZQN-UHFFFAOYSA-N 0 0 274.198 2.718 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1(C(F)F)CC1 ZINC000843522566 631974862 /nfs/dbraw/zinc/97/48/62/631974862.db2.gz FULFJEXRDRJREX-UHFFFAOYSA-N 0 0 256.208 2.579 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(c2cccc(Cl)c2)CC1 ZINC000814035201 631997277 /nfs/dbraw/zinc/99/72/77/631997277.db2.gz LKYNXYNSCUPEMH-SECBINFHSA-N 0 0 283.711 2.580 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1(C(F)F)CC1 ZINC000843590850 631997622 /nfs/dbraw/zinc/99/76/22/631997622.db2.gz NFAUGVCMNFGPOC-UHFFFAOYSA-N 0 0 298.289 2.641 20 5 CFBDRN CC(C)COc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814037092 631998079 /nfs/dbraw/zinc/99/80/79/631998079.db2.gz QZLDUUZVGHCMES-NSHDSACASA-N 0 0 281.308 2.543 20 5 CFBDRN CC[C@@H](C)n1ncc(C(=O)O[C@H](C)C[N+](=O)[O-])c1C1CC1 ZINC000814039190 631998647 /nfs/dbraw/zinc/99/86/47/631998647.db2.gz MOXROHWTNAOGPZ-NXEZZACHSA-N 0 0 295.339 2.554 20 5 CFBDRN CC[C@@H](CC(=O)O[C@@H](C)C[N+](=O)[O-])c1ccc(OC)cc1 ZINC000814039022 631998700 /nfs/dbraw/zinc/99/87/00/631998700.db2.gz KZTBOPAGXQVUPZ-RYUDHWBXSA-N 0 0 295.335 2.787 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1ccc2ccccc2c1 ZINC000814039848 631998709 /nfs/dbraw/zinc/99/87/09/631998709.db2.gz AOOWBLCJSJBOSY-LLVKDONJSA-N 0 0 273.288 2.591 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1ccc2ccccc2c1 ZINC000814039849 631998876 /nfs/dbraw/zinc/99/88/76/631998876.db2.gz AOOWBLCJSJBOSY-NSHDSACASA-N 0 0 273.288 2.591 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc2c(s1)CCCCC2 ZINC000814039915 631998905 /nfs/dbraw/zinc/99/89/05/631998905.db2.gz CEPIRTSPUSOULO-SECBINFHSA-N 0 0 283.349 2.839 20 5 CFBDRN Cc1sc(-c2ccco2)nc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814041006 631999339 /nfs/dbraw/zinc/99/93/39/631999339.db2.gz NRIRUEVOFHHGHI-ZETCQYMHSA-N 0 0 296.304 2.534 20 5 CFBDRN C[C@H](CC(=O)O[C@@H](C)C[N+](=O)[O-])c1ccccc1F ZINC000814042965 631999478 /nfs/dbraw/zinc/99/94/78/631999478.db2.gz REIBEWHDNOWNMV-ZJUUUORDSA-N 0 0 269.272 2.528 20 5 CFBDRN Cc1ccc(SCCC(=O)O[C@@H](C)C[N+](=O)[O-])cc1C ZINC000814040757 631999648 /nfs/dbraw/zinc/99/96/48/631999648.db2.gz KUAAPCQCQKYZGX-LBPRGKRZSA-N 0 0 297.376 2.994 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(c2ccc(F)cc2)CCCC1 ZINC000814042427 631999717 /nfs/dbraw/zinc/99/97/17/631999717.db2.gz KKVOWSPMVSBNMY-LLVKDONJSA-N 0 0 295.310 2.846 20 5 CFBDRN Cc1c2cccc(C)c2oc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814041058 631999747 /nfs/dbraw/zinc/99/97/47/631999747.db2.gz OLLJJHOJSRIGIF-VIFPVBQESA-N 0 0 277.276 2.872 20 5 CFBDRN O=C(N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1)C1(F)CC1 ZINC000843603628 632000968 /nfs/dbraw/zinc/00/09/68/632000968.db2.gz LLYRPFRJCAMFTB-GFCCVEGCSA-N 0 0 292.310 2.803 20 5 CFBDRN C/C(=C\c1ccc(Cl)cc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814045677 632000958 /nfs/dbraw/zinc/00/09/58/632000958.db2.gz XHEXFASFRLJOPJ-PCYYEKQGSA-N 0 0 283.711 2.952 20 5 CFBDRN C/C(=C\C(=O)O[C@H](C)C[N+](=O)[O-])c1ccccc1Cl ZINC000814045673 632001116 /nfs/dbraw/zinc/00/11/16/632001116.db2.gz XFWFTFLICHRAJQ-TTZKWOQHSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc2cc(F)ccc2s1 ZINC000814045898 632001181 /nfs/dbraw/zinc/00/11/81/632001181.db2.gz CIFZXPBKKPADOC-ZETCQYMHSA-N 0 0 283.280 2.862 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C/c1ccc(Cl)s1 ZINC000814046502 632001336 /nfs/dbraw/zinc/00/13/36/632001336.db2.gz LUIHUMXBSLQMCH-MZTFZBDOSA-N 0 0 275.713 2.623 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1csc(-c2cccs2)n1 ZINC000814046835 632001384 /nfs/dbraw/zinc/00/13/84/632001384.db2.gz PBYVILNBAZBSBG-SSDOTTSWSA-N 0 0 298.345 2.694 20 5 CFBDRN CCc1c(C(=O)O[C@H](C)C[N+](=O)[O-])cnn1C(CC)CC ZINC000814050467 632001942 /nfs/dbraw/zinc/00/19/42/632001942.db2.gz XPFAGHBXWLZEDD-SNVBAGLBSA-N 0 0 297.355 2.629 20 5 CFBDRN CCc1nc(C)c(COC(=O)c2csc([N+](=O)[O-])c2)o1 ZINC000814071889 632005390 /nfs/dbraw/zinc/00/53/90/632005390.db2.gz WHTIKJOUBCEZMK-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@@H](c2ccccc2)C1 ZINC000814226582 632041161 /nfs/dbraw/zinc/04/11/61/632041161.db2.gz YISLXMVLIDJNJM-CQSZACIVSA-N 0 0 286.335 2.628 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000843739378 632044155 /nfs/dbraw/zinc/04/41/55/632044155.db2.gz VMTFYFPQJHQRPL-OQJBXYSKSA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)c1[N+](=O)[O-] ZINC000843740495 632044741 /nfs/dbraw/zinc/04/47/41/632044741.db2.gz OCHSPNJNRAUUML-CKYFFXLPSA-N 0 0 292.310 2.961 20 5 CFBDRN CCN(CCSC)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000843748264 632048449 /nfs/dbraw/zinc/04/84/49/632048449.db2.gz AREVKQUWJCVJAX-RMKNXTFCSA-N 0 0 294.376 2.820 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@@H]1C ZINC000843791631 632056516 /nfs/dbraw/zinc/05/65/16/632056516.db2.gz RVJLYHADBUFMAB-GZMMTYOYSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1c(C(=O)OCCC2CCOCC2)cccc1[N+](=O)[O-] ZINC000814433725 632064079 /nfs/dbraw/zinc/06/40/79/632064079.db2.gz ONJGOMWIJADPOA-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C)[C@@H](F)C1 ZINC000843848552 632066560 /nfs/dbraw/zinc/06/65/60/632066560.db2.gz GAKKAEFSVYIJSR-KWQFWETISA-N 0 0 298.289 2.862 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CCSC1 ZINC000843871909 632070158 /nfs/dbraw/zinc/07/01/58/632070158.db2.gz BCORAMUEJGVAOE-VIFPVBQESA-N 0 0 298.339 2.525 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CSC1(C)C ZINC000843881319 632070789 /nfs/dbraw/zinc/07/07/89/632070789.db2.gz WPGBFESMHLGWFW-JTQLQIEISA-N 0 0 298.339 2.666 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CS[C@H](C)C1 ZINC000843906324 632075866 /nfs/dbraw/zinc/07/58/66/632075866.db2.gz OAXOSOHWZMAJMW-VXNVDRBHSA-N 0 0 298.339 2.666 20 5 CFBDRN CCCONC(=O)[C@@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000844088596 632115987 /nfs/dbraw/zinc/11/59/87/632115987.db2.gz XWABJRHKKUIFDG-LBPRGKRZSA-N 0 0 280.324 2.621 20 5 CFBDRN CCCONC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000844150633 632127894 /nfs/dbraw/zinc/12/78/94/632127894.db2.gz RXAICLRZKMJCGZ-UHFFFAOYSA-N 0 0 272.688 2.628 20 5 CFBDRN Nc1ccc(NCCc2nc3ccccc3[nH]2)c([N+](=O)[O-])c1 ZINC000814815055 632131649 /nfs/dbraw/zinc/13/16/49/632131649.db2.gz LKMXONARRPMBKQ-UHFFFAOYSA-N 0 0 297.318 2.708 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H]1CC[C@H](C)O1 ZINC000840326788 632148201 /nfs/dbraw/zinc/14/82/01/632148201.db2.gz WPQPAUOUNWEXOT-CABZTGNLSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@@H]1CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000844264846 632148964 /nfs/dbraw/zinc/14/89/64/632148964.db2.gz PQTFVRKGJSGPPR-NXEZZACHSA-N 0 0 266.297 2.669 20 5 CFBDRN CCC1(CC)CN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000814905351 632157987 /nfs/dbraw/zinc/15/79/87/632157987.db2.gz UVNBSVOBLRURIA-UHFFFAOYSA-N 0 0 274.324 2.869 20 5 CFBDRN COC/C(C)=C\C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000844299858 632160457 /nfs/dbraw/zinc/16/04/57/632160457.db2.gz VGRWHAHBTBDAAV-UITAMQMPSA-N 0 0 278.308 2.743 20 5 CFBDRN Cc1nnsc1CN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000844314588 632166370 /nfs/dbraw/zinc/16/63/70/632166370.db2.gz DWNPMVJKQDMGCM-UHFFFAOYSA-N 0 0 290.348 2.626 20 5 CFBDRN CON(C)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000815013787 632187380 /nfs/dbraw/zinc/18/73/80/632187380.db2.gz SESWSLBCECMMCQ-UHFFFAOYSA-N 0 0 264.203 2.607 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1C[C@H]2[C@@H](C1)C2(F)F ZINC000844451240 632217019 /nfs/dbraw/zinc/21/70/19/632217019.db2.gz NQLGNFCUPKXHMB-INTQDDNPSA-N 0 0 268.263 2.853 20 5 CFBDRN COC/C(C)=C\C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000844476541 632226437 /nfs/dbraw/zinc/22/64/37/632226437.db2.gz GCLWNJSHJFCBKE-POHAHGRESA-N 0 0 279.292 2.539 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000815194699 632226760 /nfs/dbraw/zinc/22/67/60/632226760.db2.gz DOXBHFRTZWOUKQ-APPZFPTMSA-N 0 0 282.271 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2Cc1cc2n(n1)CCCC2 ZINC000844605530 632262572 /nfs/dbraw/zinc/26/25/72/632262572.db2.gz KEQJABKEBKCRRE-UHFFFAOYSA-N 0 0 298.346 2.690 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000815388570 632264555 /nfs/dbraw/zinc/26/45/55/632264555.db2.gz PZOCZKOJOAVOPO-TZMCWYRMSA-N 0 0 293.319 2.843 20 5 CFBDRN O=[N+]([O-])c1ccc2c(cnn2CN2CCCC(F)(F)C2)c1 ZINC000844716488 632288444 /nfs/dbraw/zinc/28/84/44/632288444.db2.gz UDCIICBMRFIDLH-UHFFFAOYSA-N 0 0 296.277 2.633 20 5 CFBDRN CC(C)(CCc1noc(-c2sccc2F)n1)[N+](=O)[O-] ZINC000815697627 632312646 /nfs/dbraw/zinc/31/26/46/632312646.db2.gz IACAKWMDSVXCHU-UHFFFAOYSA-N 0 0 285.300 2.925 20 5 CFBDRN Cc1ocnc1C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000105763653 632370274 /nfs/dbraw/zinc/37/02/74/632370274.db2.gz DXULWZOEVQLDTG-MRVPVSSYSA-N 0 0 276.248 2.809 20 5 CFBDRN CCc1nc(C(C)C)ccc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000816097067 632371576 /nfs/dbraw/zinc/37/15/76/632371576.db2.gz SVCIKLBCGGOFIQ-SNVBAGLBSA-N 0 0 280.324 2.589 20 5 CFBDRN CCC1(COC(=O)CCn2nc(C)c([N+](=O)[O-])c2C)CC1 ZINC000816185172 632389982 /nfs/dbraw/zinc/38/99/82/632389982.db2.gz CYDJPCGNDZRYGF-UHFFFAOYSA-N 0 0 295.339 2.532 20 5 CFBDRN COC/C(C)=C\C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000845241446 632392020 /nfs/dbraw/zinc/39/20/20/632392020.db2.gz HKZGFPRZBQROGK-UITAMQMPSA-N 0 0 299.710 2.884 20 5 CFBDRN COC/C(C)=C\C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000845245817 632393815 /nfs/dbraw/zinc/39/38/15/632393815.db2.gz LNJXREYWRIBCCJ-YFHOEESVSA-N 0 0 279.292 2.539 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000816222953 632397381 /nfs/dbraw/zinc/39/73/81/632397381.db2.gz MNFHYFCUIDOYRR-MDZLAQPJSA-N 0 0 295.339 2.549 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000816222954 632397407 /nfs/dbraw/zinc/39/74/07/632397407.db2.gz MNFHYFCUIDOYRR-NQBHXWOUSA-N 0 0 295.339 2.549 20 5 CFBDRN Cc1cc(COC(=O)COc2ccccc2[N+](=O)[O-])co1 ZINC000816242251 632406408 /nfs/dbraw/zinc/40/64/08/632406408.db2.gz ZXLFJUHBFXQYKO-UHFFFAOYSA-N 0 0 291.259 2.618 20 5 CFBDRN CO[C@@H](COC(=O)c1cccc(C)c1[N+](=O)[O-])C(C)C ZINC000816351850 632424677 /nfs/dbraw/zinc/42/46/77/632424677.db2.gz XRESBYCWCKWJFI-LBPRGKRZSA-N 0 0 281.308 2.731 20 5 CFBDRN Cn1c(C(=O)OC[C@@H]2CCCC2(F)F)ccc1[N+](=O)[O-] ZINC000816394047 632442890 /nfs/dbraw/zinc/44/28/90/632442890.db2.gz LAMSCLXOSNDYCR-QMMMGPOBSA-N 0 0 288.250 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CC1(F)F ZINC000816419542 632450246 /nfs/dbraw/zinc/45/02/46/632450246.db2.gz SMLXJILWWLLJKE-QMMMGPOBSA-N 0 0 271.219 2.715 20 5 CFBDRN CN(C[C@H]1CCOC1)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000845502416 632451889 /nfs/dbraw/zinc/45/18/89/632451889.db2.gz LHKMGGZHPCBQKC-MRVPVSSYSA-N 0 0 288.706 2.860 20 5 CFBDRN C[C@@H](C(=O)OC[C@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000816426022 632453386 /nfs/dbraw/zinc/45/33/86/632453386.db2.gz RLBYLRLRYZYAMD-PSASIEDQSA-N 0 0 285.246 2.897 20 5 CFBDRN Cc1ocnc1C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000121571223 632453461 /nfs/dbraw/zinc/45/34/61/632453461.db2.gz MBSZMYAEQLHPCL-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCC[C@H]1CCOC1 ZINC000816437149 632459851 /nfs/dbraw/zinc/45/98/51/632459851.db2.gz ADTBZGTXFHQXCZ-VUUYWXRKSA-N 0 0 291.303 2.578 20 5 CFBDRN C[C@@H](C(=O)OCC[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000816448062 632466253 /nfs/dbraw/zinc/46/62/53/632466253.db2.gz SPPVJOLBGQJJTH-NEPJUHHUSA-N 0 0 293.319 2.668 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(c2ccccc2Cl)CCC1 ZINC000845577389 632468272 /nfs/dbraw/zinc/46/82/72/632468272.db2.gz LNCCZYGJXLNMHP-SNVBAGLBSA-N 0 0 297.738 2.970 20 5 CFBDRN Cc1ccc(N2CCC(C3(O)CC3)CC2)c([N+](=O)[O-])c1 ZINC000845604539 632479986 /nfs/dbraw/zinc/47/99/86/632479986.db2.gz CINXLIQUDZAYAL-UHFFFAOYSA-N 0 0 276.336 2.645 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@](C)(O)c1ccccc1 ZINC000845654789 632506597 /nfs/dbraw/zinc/50/65/97/632506597.db2.gz GYCCPFHIZOFFBJ-ABAIWWIYSA-N 0 0 287.319 2.698 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@@](C)(O)c1ccccc1 ZINC000845654796 632506919 /nfs/dbraw/zinc/50/69/19/632506919.db2.gz GYCCPFHIZOFFBJ-XHDPSFHLSA-N 0 0 287.319 2.698 20 5 CFBDRN O=C(Nc1ncccc1O)c1cccc([N+](=O)[O-])c1Cl ZINC000816823010 632527359 /nfs/dbraw/zinc/52/73/59/632527359.db2.gz FDULQCRLIMKJFE-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@@H](CO)CCF ZINC000845733590 632540495 /nfs/dbraw/zinc/54/04/95/632540495.db2.gz WZIYAOYATCAYES-ZCFIWIBFSA-N 0 0 280.658 2.520 20 5 CFBDRN Cc1cnc(N2CCC[C@]3(CC3(F)F)C2)c([N+](=O)[O-])c1 ZINC000845741804 632547579 /nfs/dbraw/zinc/54/75/79/632547579.db2.gz GQRZBKVYEOGTKZ-LBPRGKRZSA-N 0 0 283.278 2.924 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@]2(CC2(F)F)C1 ZINC000845766235 632558063 /nfs/dbraw/zinc/55/80/63/632558063.db2.gz DPYRPZUBKZGMFQ-LLVKDONJSA-N 0 0 272.226 2.969 20 5 CFBDRN C[C@@H]1CC[C@@H](O)CN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000817123565 632561059 /nfs/dbraw/zinc/56/10/59/632561059.db2.gz BWCYFMOABSIZCR-PSASIEDQSA-N 0 0 293.348 2.554 20 5 CFBDRN CC[C@H]1C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000817125802 632561565 /nfs/dbraw/zinc/56/15/65/632561565.db2.gz OKPWALFACNLTKH-MNOVXSKESA-N 0 0 265.313 2.547 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2cnc(C)o2)c1 ZINC000840336405 632567431 /nfs/dbraw/zinc/56/74/31/632567431.db2.gz IBNOFPIOGIRIFP-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN CC[C@H](OC(=O)c1ccc([N+](=O)[O-])n1C)C(C)C ZINC000845848946 632580513 /nfs/dbraw/zinc/58/05/13/632580513.db2.gz HUTSMFGGVCETIL-JTQLQIEISA-N 0 0 254.286 2.525 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@@H]1CC[C@H](C)O1 ZINC000817239141 632580803 /nfs/dbraw/zinc/58/08/03/632580803.db2.gz QBNFHBYBOPQEKF-RYUDHWBXSA-N 0 0 293.319 2.936 20 5 CFBDRN Cc1cnc(COC(=O)c2cc([N+](=O)[O-])c(C)cc2C)o1 ZINC000817239832 632581707 /nfs/dbraw/zinc/58/17/07/632581707.db2.gz ORUSFRQCXJSJJF-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN C[C@@]1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CC1(F)F ZINC000817251082 632585413 /nfs/dbraw/zinc/58/54/13/632585413.db2.gz WNHKSVMKHNKAKE-NSHDSACASA-N 0 0 289.209 2.822 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CC1(F)F ZINC000817252994 632586613 /nfs/dbraw/zinc/58/66/13/632586613.db2.gz YFEKQAVCLMQVFA-LLVKDONJSA-N 0 0 289.209 2.822 20 5 CFBDRN C[C@@H]([C@@H](OC(=O)CC1CC1)c1ccccc1F)[N+](=O)[O-] ZINC000845876868 632590246 /nfs/dbraw/zinc/59/02/46/632590246.db2.gz QZBIZMGFKCJWNE-LKFCYVNXSA-N 0 0 281.283 2.875 20 5 CFBDRN C[C@@H]([C@@H](OC(=O)[C@H]1C[C@@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845878672 632590301 /nfs/dbraw/zinc/59/03/01/632590301.db2.gz RZXPPNLQMPPCTH-LKAMGYQZSA-N 0 0 281.283 2.731 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)NOCC1CC1 ZINC000817334007 632606774 /nfs/dbraw/zinc/60/67/74/632606774.db2.gz AYNXGOYCJIRQFO-UHFFFAOYSA-N 0 0 296.348 2.778 20 5 CFBDRN Cc1ccccc1[C@@H](C(C)C)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840341494 632620751 /nfs/dbraw/zinc/62/07/51/632620751.db2.gz KHMPCMSZQTUHBL-RBSFLKMASA-N 0 0 290.363 2.816 20 5 CFBDRN Cc1cc(COC(=O)[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000817444186 632636889 /nfs/dbraw/zinc/63/68/89/632636889.db2.gz ZFRMHQBCLQNJHQ-LLVKDONJSA-N 0 0 261.277 2.747 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NOCCC1CC1 ZINC000817446143 632637616 /nfs/dbraw/zinc/63/76/16/632637616.db2.gz HSSUUPKPAPKCAZ-UHFFFAOYSA-N 0 0 292.335 2.982 20 5 CFBDRN COc1ccc(OC(=O)[C@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000817448163 632637867 /nfs/dbraw/zinc/63/78/67/632637867.db2.gz NXMMASDMJSOSLP-NSHDSACASA-N 0 0 287.218 2.554 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000817449541 632638789 /nfs/dbraw/zinc/63/87/89/632638789.db2.gz KJAZVAWDZXENIF-RYUDHWBXSA-N 0 0 293.319 2.936 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])C12CCC2 ZINC000840342744 632654955 /nfs/dbraw/zinc/65/49/55/632654955.db2.gz PXLHZAYFSPSJHA-CHWSQXEVSA-N 0 0 277.324 2.547 20 5 CFBDRN CC[N@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])C(C)C ZINC000846234144 632671392 /nfs/dbraw/zinc/67/13/92/632671392.db2.gz KCOWJXHJJSDPKW-UHFFFAOYSA-N 0 0 266.297 2.523 20 5 CFBDRN CCO[C@@H]1C[C@@H]1COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817730961 632696299 /nfs/dbraw/zinc/69/62/99/632696299.db2.gz LBOGSMZBIJYYMJ-BXUZGUMPSA-N 0 0 293.319 2.793 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3C[C@H]2CS3)c(Cl)c1 ZINC000840348067 632703840 /nfs/dbraw/zinc/70/38/40/632703840.db2.gz KJGDINGAMVBDBN-IUCAKERBSA-N 0 0 270.741 2.942 20 5 CFBDRN C[C@@H](COC(=O)c1ccc([N+](=O)[O-])n1C)C1CCC1 ZINC000817751953 632704390 /nfs/dbraw/zinc/70/43/90/632704390.db2.gz KODKRLBMRILKLK-VIFPVBQESA-N 0 0 266.297 2.526 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])c(F)c1F)C1CC1 ZINC000818086001 632797187 /nfs/dbraw/zinc/79/71/87/632797187.db2.gz BAIBALQTCLONCN-SNVBAGLBSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(Cc1cnsn1)C1CC1 ZINC000846830620 632813662 /nfs/dbraw/zinc/81/36/62/632813662.db2.gz CFTHPPKBOFUORI-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC[C@H](C)F)c1[N+](=O)[O-] ZINC000818297067 632824109 /nfs/dbraw/zinc/82/41/09/632824109.db2.gz FSUPXPHLBYZPHB-QMMMGPOBSA-N 0 0 272.251 2.520 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000847012949 632881766 /nfs/dbraw/zinc/88/17/66/632881766.db2.gz XDWIPSKDLOLUHP-VIFPVBQESA-N 0 0 278.283 2.772 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C(F)=C1CCC1 ZINC000819124025 632975585 /nfs/dbraw/zinc/97/55/85/632975585.db2.gz JXAYRANSAMCIEM-UHFFFAOYSA-N 0 0 264.256 2.619 20 5 CFBDRN CC/C=C(/F)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000867032683 632993802 /nfs/dbraw/zinc/99/38/02/632993802.db2.gz UODPJAQDGYRHAY-UUILKARUSA-N 0 0 266.272 2.517 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N1CC(C)(C)C[C@H]1C ZINC000840375691 632995173 /nfs/dbraw/zinc/99/51/73/632995173.db2.gz OVBYSSLMOJCXME-SNVBAGLBSA-N 0 0 291.351 2.579 20 5 CFBDRN CCC[C@@](C)(CC)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847785099 633076195 /nfs/dbraw/zinc/07/61/95/633076195.db2.gz FJPJNPXMPOSJDE-CYBMUJFWSA-N 0 0 283.328 2.588 20 5 CFBDRN Cn1cc(COC(=O)C2CCCCCCC2)c([N+](=O)[O-])n1 ZINC000847782653 633076202 /nfs/dbraw/zinc/07/62/02/633076202.db2.gz HZAGUCCIYGISGX-UHFFFAOYSA-N 0 0 295.339 2.732 20 5 CFBDRN O=C(OC[C@@H]1CCc2cccnc21)c1cccc([N+](=O)[O-])c1 ZINC000847834416 633102842 /nfs/dbraw/zinc/10/28/42/633102842.db2.gz JDQDTGYZCNVSHY-ZDUSSCGKSA-N 0 0 298.298 2.877 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCc2nnc(C3CC3)s2)c1 ZINC000840381314 633104091 /nfs/dbraw/zinc/10/40/91/633104091.db2.gz FSHJWVRDRUOBCZ-UHFFFAOYSA-N 0 0 291.336 2.518 20 5 CFBDRN COc1ccc(NC(=O)C(F)=C2CCC2)cc1[N+](=O)[O-] ZINC000819482509 633177785 /nfs/dbraw/zinc/17/77/85/633177785.db2.gz KBEIDESQECSFSR-UHFFFAOYSA-N 0 0 280.255 2.949 20 5 CFBDRN Cn1c(C(=O)OC2(C3CCCC3)CC2)ccc1[N+](=O)[O-] ZINC000848017264 633188960 /nfs/dbraw/zinc/18/89/60/633188960.db2.gz RBUKYISCKMOMIV-UHFFFAOYSA-N 0 0 278.308 2.813 20 5 CFBDRN CCN(C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1)[C@@H](C)C(C)C ZINC000848100531 633202600 /nfs/dbraw/zinc/20/26/00/633202600.db2.gz PDMLCAITOINIED-FZMZJTMJSA-N 0 0 294.351 2.521 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCCCCCO ZINC000848674181 633314856 /nfs/dbraw/zinc/31/48/56/633314856.db2.gz NVVBHFMFCVIIEH-UHFFFAOYSA-N 0 0 268.313 2.568 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(-c2cc([N+](=O)[O-])ccc2N(C)C)n1 ZINC000848710545 633321981 /nfs/dbraw/zinc/32/19/81/633321981.db2.gz SCNIYJSIYJGSNQ-SCZZXKLOSA-N 0 0 288.307 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)C(=O)OC(C)(C)C)n1 ZINC000848767545 633339895 /nfs/dbraw/zinc/33/98/95/633339895.db2.gz MZFUWQTUQWPCBA-VIFPVBQESA-N 0 0 295.339 2.688 20 5 CFBDRN C[C@H](CNc1ccc(N)cc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000848767729 633340256 /nfs/dbraw/zinc/34/02/56/633340256.db2.gz PSGZKTGHKSYCBD-SECBINFHSA-N 0 0 295.339 2.567 20 5 CFBDRN CCC(C)(C)CCOC(=O)c1ccnc(N)c1[N+](=O)[O-] ZINC000848788316 633343253 /nfs/dbraw/zinc/34/32/53/633343253.db2.gz XIALWPFELICXRY-UHFFFAOYSA-N 0 0 281.312 2.555 20 5 CFBDRN Nc1nccc(C(=O)OCCCC2CCCC2)c1[N+](=O)[O-] ZINC000848786958 633343316 /nfs/dbraw/zinc/34/33/16/633343316.db2.gz LOKKCVKSKXVIST-UHFFFAOYSA-N 0 0 293.323 2.699 20 5 CFBDRN CNc1nccc(C(=O)OCCCC(C)C)c1[N+](=O)[O-] ZINC000848791350 633343549 /nfs/dbraw/zinc/34/35/49/633343549.db2.gz YYODYHDBQCTYDR-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN Cc1nn(CCOc2ccc(C)cc2)c(C)c1[N+](=O)[O-] ZINC000849367797 633629875 /nfs/dbraw/zinc/62/98/75/633629875.db2.gz HSYLLSLCDQZZKK-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN C[C@H](OCC1CC1)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849714640 633685433 /nfs/dbraw/zinc/68/54/33/633685433.db2.gz PLJDKUFMSRXAML-VIFPVBQESA-N 0 0 297.282 2.592 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@H]1C[C@@H]1C1CC1 ZINC000849781317 633716069 /nfs/dbraw/zinc/71/60/69/633716069.db2.gz HXOUQISEYQRWOL-NEPJUHHUSA-N 0 0 279.267 2.823 20 5 CFBDRN C[C@H]1CCCC[C@H]1COC(=O)c1ccnc(N)c1[N+](=O)[O-] ZINC000849820612 633734908 /nfs/dbraw/zinc/73/49/08/633734908.db2.gz FNZGUFCRLAFAGN-UWVGGRQHSA-N 0 0 293.323 2.555 20 5 CFBDRN O=C1CC[C@H](CSc2ccc([N+](=O)[O-])cc2F)O1 ZINC000849892311 633755131 /nfs/dbraw/zinc/75/51/31/633755131.db2.gz PNTINOVJFBMZAV-MRVPVSSYSA-N 0 0 271.269 2.532 20 5 CFBDRN O=[N+]([O-])c1cc(OCCCCF)cc([N+](=O)[O-])c1 ZINC000849955917 633774874 /nfs/dbraw/zinc/77/48/74/633774874.db2.gz BEPYVYZJIKOXET-UHFFFAOYSA-N 0 0 258.205 2.632 20 5 CFBDRN CCOC1CC(COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000849957073 633775221 /nfs/dbraw/zinc/77/52/21/633775221.db2.gz ZWIGDHOPROVVKD-UHFFFAOYSA-N 0 0 296.279 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(OCCC(F)(F)F)cc([N+](=O)[O-])c1 ZINC000849955843 633775340 /nfs/dbraw/zinc/77/53/40/633775340.db2.gz CLSYLLVGBUWLBG-UHFFFAOYSA-N 0 0 280.158 2.834 20 5 CFBDRN O=[N+]([O-])c1cn(CCC(F)(F)F)c(Br)n1 ZINC000849990883 633785482 /nfs/dbraw/zinc/78/54/82/633785482.db2.gz MAZJKLLPQPWTRO-UHFFFAOYSA-N 0 0 288.023 2.506 20 5 CFBDRN CC(C)(C)c1nc(COc2ccc([N+](=O)[O-])c(F)c2)no1 ZINC000109062668 633803357 /nfs/dbraw/zinc/80/33/57/633803357.db2.gz PXAFTGMBDCWYFL-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000850176069 633872530 /nfs/dbraw/zinc/87/25/30/633872530.db2.gz JHGBNEHTVZHGAS-CYBMUJFWSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@H](OC(=O)CCCF)c1cccc([N+](=O)[O-])c1 ZINC000850192282 633880742 /nfs/dbraw/zinc/88/07/42/633880742.db2.gz DZWGYCCJXLBUPQ-VIFPVBQESA-N 0 0 255.245 2.949 20 5 CFBDRN CCOc1cc(COC(=O)CCCF)ccc1[N+](=O)[O-] ZINC000850195262 633884299 /nfs/dbraw/zinc/88/42/99/633884299.db2.gz ZZXOIHJNOFGXTI-UHFFFAOYSA-N 0 0 285.271 2.786 20 5 CFBDRN COc1cc(COC(=O)[C@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000850262815 633915752 /nfs/dbraw/zinc/91/57/52/633915752.db2.gz AAALGEOYJIXAJH-GDNZZTSVSA-N 0 0 291.303 2.693 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc[nH]c1 ZINC000109251440 633942812 /nfs/dbraw/zinc/94/28/12/633942812.db2.gz YNGSOWXBGYJQSS-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN Cc1cc(C(=O)O[C@H]2CCCCC2=O)ccc1[N+](=O)[O-] ZINC000007532522 634064816 /nfs/dbraw/zinc/06/48/16/634064816.db2.gz WCLMLKPSTZIAJI-ZDUSSCGKSA-N 0 0 277.276 2.572 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Oc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000850766730 634082833 /nfs/dbraw/zinc/08/28/33/634082833.db2.gz RKTAGMNPJOZDGA-QWRGUYRKSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@H](C(=O)Oc1cccc([N+](=O)[O-])c1C)C1CCC1 ZINC000850770533 634084666 /nfs/dbraw/zinc/08/46/66/634084666.db2.gz VBDPRFCLZVKOJN-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Oc2cc([N+](=O)[O-])ccc2C)C1 ZINC000850770410 634084855 /nfs/dbraw/zinc/08/48/55/634084855.db2.gz QGFQBSMMHPKCBE-JQWIXIFHSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(CCCF)O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000850890663 634124814 /nfs/dbraw/zinc/12/48/14/634124814.db2.gz LWYXHWFWBNGIJC-GHMZBOCLSA-N 0 0 299.302 2.568 20 5 CFBDRN CCOC1(C(=O)OCCc2ccccc2[N+](=O)[O-])CCC1 ZINC000850893530 634125418 /nfs/dbraw/zinc/12/54/18/634125418.db2.gz MFLARMMAYBKEJY-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850901166 634129869 /nfs/dbraw/zinc/12/98/69/634129869.db2.gz MSKBLJVHZJUMKZ-BRPSZJMVSA-N 0 0 265.240 2.685 20 5 CFBDRN CO[C@H](C(=O)Oc1ccc(C)c([N+](=O)[O-])c1)C1CCC1 ZINC000850908563 634133243 /nfs/dbraw/zinc/13/32/43/634133243.db2.gz AJLQTUVWQNUMKA-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc(OC(=O)C2CC3(CC3)C2)cc1[N+](=O)[O-] ZINC000850910415 634134334 /nfs/dbraw/zinc/13/43/34/634134334.db2.gz FMASVYLZPHCFEZ-UHFFFAOYSA-N 0 0 261.277 2.999 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000850934371 634144131 /nfs/dbraw/zinc/14/41/31/634144131.db2.gz GLQFDAYCRIBGBF-QWHCGFSZSA-N 0 0 293.319 2.762 20 5 CFBDRN CCc1sc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1C ZINC000851123709 634230301 /nfs/dbraw/zinc/23/03/01/634230301.db2.gz UNCSQAZFLOTQPB-UHFFFAOYSA-N 0 0 271.338 2.831 20 5 CFBDRN CC(C)(COC(=O)c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000851122473 634233943 /nfs/dbraw/zinc/23/39/43/634233943.db2.gz FTYHTUVOMBUIGA-UHFFFAOYSA-N 0 0 257.673 2.552 20 5 CFBDRN CSc1ccccc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851124261 634234363 /nfs/dbraw/zinc/23/43/63/634234363.db2.gz QXMFRZYSMKKZPW-UHFFFAOYSA-N 0 0 269.322 2.621 20 5 CFBDRN CCn1cc(C(=O)OCC(C)(C)[N+](=O)[O-])c2ccccc21 ZINC000851122854 634234695 /nfs/dbraw/zinc/23/46/95/634234695.db2.gz KKZYLSZFWQJNRA-UHFFFAOYSA-N 0 0 290.319 2.873 20 5 CFBDRN Cc1ccc2c(CC(=O)OCC(C)(C)[N+](=O)[O-])coc2c1 ZINC000851124175 634234702 /nfs/dbraw/zinc/23/47/02/634234702.db2.gz NZMMYXWPVOYTBC-UHFFFAOYSA-N 0 0 291.303 2.882 20 5 CFBDRN Cc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])c(C)c1 ZINC000851122938 634234806 /nfs/dbraw/zinc/23/48/06/634234806.db2.gz LIHBCLPJNLZBBX-UHFFFAOYSA-N 0 0 251.282 2.516 20 5 CFBDRN Cc1[nH]c2ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc2c1C ZINC000851122491 634234857 /nfs/dbraw/zinc/23/48/57/634234857.db2.gz FMGZEVPDGGIMPF-UHFFFAOYSA-N 0 0 290.319 2.997 20 5 CFBDRN CC(C)(COC(=O)c1ccc(-n2cccc2)cc1)[N+](=O)[O-] ZINC000851123596 634234888 /nfs/dbraw/zinc/23/48/88/634234888.db2.gz SCBJRJQZXXYMKH-UHFFFAOYSA-N 0 0 288.303 2.689 20 5 CFBDRN CC(C)(COC(=O)C(C)(C)c1ccc(F)cc1)[N+](=O)[O-] ZINC000851125670 634235535 /nfs/dbraw/zinc/23/55/35/634235535.db2.gz FXNMAFCQPNPEGD-UHFFFAOYSA-N 0 0 283.299 2.702 20 5 CFBDRN C[C@@H](CC1CCCC1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125350 634235610 /nfs/dbraw/zinc/23/56/10/634235610.db2.gz ALJPGSIKJMBMBG-JTQLQIEISA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)(COC(=O)c1ccc(-c2ccccc2)[nH]1)[N+](=O)[O-] ZINC000851125883 634235839 /nfs/dbraw/zinc/23/58/39/634235839.db2.gz IHSKOXUSGOTTLM-UHFFFAOYSA-N 0 0 288.303 2.894 20 5 CFBDRN Cc1ccccc1C1(C(=O)OCC(C)(C)[N+](=O)[O-])CC1 ZINC000851125465 634235900 /nfs/dbraw/zinc/23/59/00/634235900.db2.gz GBGWUVOCKGMODN-UHFFFAOYSA-N 0 0 277.320 2.625 20 5 CFBDRN Cc1cccc(C(=O)OCC(C)(C)[N+](=O)[O-])c1Cl ZINC000851125592 634235998 /nfs/dbraw/zinc/23/59/98/634235998.db2.gz FGSQPPZUJIYEFS-UHFFFAOYSA-N 0 0 271.700 2.861 20 5 CFBDRN COc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])c(Cl)c1 ZINC000851126729 634236658 /nfs/dbraw/zinc/23/66/58/634236658.db2.gz QUCLXPZLGSQEHR-UHFFFAOYSA-N 0 0 287.699 2.561 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCCC(C)(C)C1)[N+](=O)[O-] ZINC000851126963 634236691 /nfs/dbraw/zinc/23/66/91/634236691.db2.gz SFLLVLCUQQLZKX-SNVBAGLBSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](CCc1cccc(F)c1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126473 634236771 /nfs/dbraw/zinc/23/67/71/634236771.db2.gz PRVFROYUCMDVLD-LLVKDONJSA-N 0 0 297.326 2.993 20 5 CFBDRN CC(C)(COC(=O)c1ccc(OC2CCC2)cc1)[N+](=O)[O-] ZINC000851126308 634236792 /nfs/dbraw/zinc/23/67/92/634236792.db2.gz MBAGOMYRYWQATI-UHFFFAOYSA-N 0 0 293.319 2.830 20 5 CFBDRN Cc1ccsc1CCCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126464 634236936 /nfs/dbraw/zinc/23/69/36/634236936.db2.gz PLHBCIYICWJNHT-UHFFFAOYSA-N 0 0 285.365 2.978 20 5 CFBDRN CC(C)(COC(=O)/C=C/C1CCCCC1)[N+](=O)[O-] ZINC000851126011 634236952 /nfs/dbraw/zinc/23/69/52/634236952.db2.gz KQRFGYYVQGSCNX-CMDGGOBGSA-N 0 0 255.314 2.721 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1C[C@@H]1c1cccc(F)c1F)[N+](=O)[O-] ZINC000851127638 634237248 /nfs/dbraw/zinc/23/72/48/634237248.db2.gz ZWDLCEABTBTOCG-NXEZZACHSA-N 0 0 299.273 2.667 20 5 CFBDRN CCC(CC)n1nc(C)cc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851127175 634237537 /nfs/dbraw/zinc/23/75/37/634237537.db2.gz LCUJHWTYEUVMMG-UHFFFAOYSA-N 0 0 297.355 2.765 20 5 CFBDRN CC(C)(COC(=O)C1CC(c2ccc(F)cc2)C1)[N+](=O)[O-] ZINC000851128658 634237877 /nfs/dbraw/zinc/23/78/77/634237877.db2.gz CSQGDCUKKKYDCC-UHFFFAOYSA-N 0 0 295.310 2.918 20 5 CFBDRN COc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1Cl ZINC000851129051 634238653 /nfs/dbraw/zinc/23/86/53/634238653.db2.gz GQFGUPQBCXWCNF-UHFFFAOYSA-N 0 0 287.699 2.561 20 5 CFBDRN C[C@@H](Cc1ccsc1)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000851145986 634248378 /nfs/dbraw/zinc/24/83/78/634248378.db2.gz RBSPMLKZLNTTRM-VIFPVBQESA-N 0 0 294.332 2.783 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000851230579 634281959 /nfs/dbraw/zinc/28/19/59/634281959.db2.gz MHJMPVBNKQPSLJ-YHPBZONMSA-N 0 0 261.277 2.798 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@@H]1CCC1(F)F ZINC000851311163 634318960 /nfs/dbraw/zinc/31/89/60/634318960.db2.gz HZHRXEPPRYNUKN-JTQLQIEISA-N 0 0 285.246 2.726 20 5 CFBDRN CC1CC(COC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000851460350 634373394 /nfs/dbraw/zinc/37/33/94/634373394.db2.gz CTKIGNDIRNXRPR-UHFFFAOYSA-N 0 0 263.293 2.727 20 5 CFBDRN COc1cc(C(=O)OCC2CC(C)C2)ccc1[N+](=O)[O-] ZINC000851461696 634374268 /nfs/dbraw/zinc/37/42/68/634374268.db2.gz LWASYSXOZOOZNX-UHFFFAOYSA-N 0 0 279.292 2.806 20 5 CFBDRN CCOc1cc(OCCOC(F)F)ccc1[N+](=O)[O-] ZINC000853020035 634877487 /nfs/dbraw/zinc/87/74/87/634877487.db2.gz SROGKFOAONWOLA-UHFFFAOYSA-N 0 0 277.223 2.612 20 5 CFBDRN Cc1noc(C)c1CN(C)c1c(Cl)cncc1[N+](=O)[O-] ZINC000853153235 634920563 /nfs/dbraw/zinc/92/05/63/634920563.db2.gz IZYGNZJSTNHBDL-UHFFFAOYSA-N 0 0 296.714 2.884 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)CC1CCOCC1 ZINC000111164252 634937244 /nfs/dbraw/zinc/93/72/44/634937244.db2.gz OVNFOCIOHLHYDE-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN COC(=O)N1CCC[C@H](Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000853252674 634952374 /nfs/dbraw/zinc/95/23/74/634952374.db2.gz QZPLIRJEUKJDJC-VIFPVBQESA-N 0 0 299.352 2.607 20 5 CFBDRN COc1cc(N2CCC[C@H](OC)C2)c([N+](=O)[O-])cc1C ZINC000853263518 634954603 /nfs/dbraw/zinc/95/46/03/634954603.db2.gz OKXCYORJXHZHAR-NSHDSACASA-N 0 0 280.324 2.527 20 5 CFBDRN Cc1cccc2c1CCN(c1cc[nH]c(=O)c1[N+](=O)[O-])C2 ZINC000853271309 634956651 /nfs/dbraw/zinc/95/66/51/634956651.db2.gz GRNZLEDLVOVIKC-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN COc1cc(N(C)CCOCC2CC2)c([N+](=O)[O-])cc1C ZINC000853440458 635000806 /nfs/dbraw/zinc/00/08/06/635000806.db2.gz FMKCDYMVULHLPY-UHFFFAOYSA-N 0 0 294.351 2.775 20 5 CFBDRN COc1cc(N2CC[C@]3(CCOC3)C2)c([N+](=O)[O-])cc1C ZINC000853442114 635001617 /nfs/dbraw/zinc/00/16/17/635001617.db2.gz JQMIKUNYEVQZRH-HNNXBMFYSA-N 0 0 292.335 2.529 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(c2cc(F)ncn2)C2CC2)c1 ZINC000853451089 635003265 /nfs/dbraw/zinc/00/32/65/635003265.db2.gz YYULPBLAQXBSRH-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN COc1cc(N2CCC[C@](C)(OC)C2)c([N+](=O)[O-])cc1C ZINC000853557936 635035919 /nfs/dbraw/zinc/03/59/19/635035919.db2.gz CFPQJLPOACFCCP-HNNXBMFYSA-N 0 0 294.351 2.917 20 5 CFBDRN Cc1cc(NC[C@H]2CC(C)=NO2)c([N+](=O)[O-])s1 ZINC000853661136 635065803 /nfs/dbraw/zinc/06/58/03/635065803.db2.gz JRZSPNNEBJPGSG-MRVPVSSYSA-N 0 0 255.299 2.541 20 5 CFBDRN COC(=O)C1(COc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000853702591 635079746 /nfs/dbraw/zinc/07/97/46/635079746.db2.gz JPOVGSSGCXIZSK-UHFFFAOYSA-N 0 0 285.683 2.580 20 5 CFBDRN C[C@@H]1C[C@@H](COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCO1 ZINC000853740200 635090266 /nfs/dbraw/zinc/09/02/66/635090266.db2.gz UIYCKOSTXNVWJG-ZJUUUORDSA-N 0 0 296.279 2.697 20 5 CFBDRN COC(=O)/C=C\c1ccc(N[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000853801010 635111814 /nfs/dbraw/zinc/11/18/14/635111814.db2.gz KOFNUVBHOZBNRY-ZVTBTPLYSA-N 0 0 288.303 2.912 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1COC2(CCC2)C1 ZINC000853827588 635120231 /nfs/dbraw/zinc/12/02/31/635120231.db2.gz CWBCEGHFOBAKAQ-LLVKDONJSA-N 0 0 299.330 2.688 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)CC1=CCCOC1 ZINC000853836620 635122855 /nfs/dbraw/zinc/12/28/55/635122855.db2.gz FQOCFBALYSKAPY-UHFFFAOYSA-N 0 0 290.319 2.580 20 5 CFBDRN Cc1cnc(N[C@H]2C[C@H](O)c3ccccc32)c([N+](=O)[O-])c1 ZINC000853840525 635126291 /nfs/dbraw/zinc/12/62/91/635126291.db2.gz UENKVZMCBSIEOS-JSGCOSHPSA-N 0 0 285.303 2.889 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2nc3ccccn3c2[N+](=O)[O-])O1 ZINC000853850851 635133159 /nfs/dbraw/zinc/13/31/59/635133159.db2.gz WSQUZILTGWJFDN-MNOVXSKESA-N 0 0 290.323 2.612 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1cc(Cl)ccc1[N+](=O)[O-])C2 ZINC000853859399 635135036 /nfs/dbraw/zinc/13/50/36/635135036.db2.gz UPSZQSAJEKMZQR-RNCFNFMXSA-N 0 0 282.727 2.721 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000853869991 635142017 /nfs/dbraw/zinc/14/20/17/635142017.db2.gz DSFXDUGBSDWRPN-ZJUUUORDSA-N 0 0 295.295 2.507 20 5 CFBDRN Cc1noc(COC(=O)[C@H](C)C2CCCC2)c1[N+](=O)[O-] ZINC000853901961 635152704 /nfs/dbraw/zinc/15/27/04/635152704.db2.gz BWALSPAWCLPHID-MRVPVSSYSA-N 0 0 282.296 2.761 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H]2C[C@@H]2C1 ZINC000853902054 635152800 /nfs/dbraw/zinc/15/28/00/635152800.db2.gz NSRNLVHUJATYKD-GHMZBOCLSA-N 0 0 262.309 2.587 20 5 CFBDRN Cc1noc(COC(=O)C2C(C)(C)C2(C)C)c1[N+](=O)[O-] ZINC000853902964 635153864 /nfs/dbraw/zinc/15/38/64/635153864.db2.gz QMHXEHLPBIRAFY-UHFFFAOYSA-N 0 0 282.296 2.617 20 5 CFBDRN Cc1noc(COC(=O)/C=C2/CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000853903347 635153935 /nfs/dbraw/zinc/15/39/35/635153935.db2.gz GXXKSGUWOKEQNX-NDVARNPJSA-N 0 0 280.280 2.681 20 5 CFBDRN CC1(C)[C@H](CNc2ccc(N)cc2[N+](=O)[O-])C1(F)F ZINC000853903479 635154018 /nfs/dbraw/zinc/15/40/18/635154018.db2.gz AZDVVJSASGYFNW-JTQLQIEISA-N 0 0 271.267 2.880 20 5 CFBDRN Cc1noc(COC(=O)C(F)=C2CCCC2)c1[N+](=O)[O-] ZINC000853904199 635155247 /nfs/dbraw/zinc/15/52/47/635155247.db2.gz LORRZBPHQSTISW-UHFFFAOYSA-N 0 0 284.243 2.732 20 5 CFBDRN Cc1noc(COC(=O)[C@@H](C)c2ccccc2)c1[N+](=O)[O-] ZINC000853909358 635159293 /nfs/dbraw/zinc/15/92/93/635159293.db2.gz OXMBIZZPIGTGRR-VIFPVBQESA-N 0 0 290.275 2.738 20 5 CFBDRN Cc1noc(COC(=O)[C@@]2(C)CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000853923095 635166075 /nfs/dbraw/zinc/16/60/75/635166075.db2.gz WXBZJFRBNSBSNL-SDBXPKJASA-N 0 0 282.296 2.761 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CCC2(COC2)C1 ZINC000853950485 635175119 /nfs/dbraw/zinc/17/51/19/635175119.db2.gz RZIRAEGTNYPDNB-UHFFFAOYSA-N 0 0 286.690 2.614 20 5 CFBDRN Cc1cc(N2CCC3(COC3)C2)c(Cl)cc1[N+](=O)[O-] ZINC000853951117 635175167 /nfs/dbraw/zinc/17/51/67/635175167.db2.gz NNVVJEYGRNAYNH-UHFFFAOYSA-N 0 0 282.727 2.783 20 5 CFBDRN CC[C@@H](NC)c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000854142321 635229004 /nfs/dbraw/zinc/22/90/04/635229004.db2.gz JFYBCUBBORHTRP-LLVKDONJSA-N 0 0 290.323 2.548 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C=CCC1 ZINC000854160816 635234578 /nfs/dbraw/zinc/23/45/78/635234578.db2.gz LASOGQIOKWDJCZ-SNVBAGLBSA-N 0 0 261.281 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NOCC1CCC1 ZINC000854160805 635234641 /nfs/dbraw/zinc/23/46/41/635234641.db2.gz NJHRPUNMBLLPAS-UHFFFAOYSA-N 0 0 279.296 2.756 20 5 CFBDRN C/C(=C\C(=O)N1COCC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000854326961 635291108 /nfs/dbraw/zinc/29/11/08/635291108.db2.gz FKEFOBRZRVTMIX-DHZHZOJOSA-N 0 0 290.319 2.593 20 5 CFBDRN CC(C)(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)(F)F ZINC000854495744 635317649 /nfs/dbraw/zinc/31/76/49/635317649.db2.gz SQYUFEIFIJRVSW-UHFFFAOYSA-N 0 0 287.266 2.797 20 5 CFBDRN Nc1ccc(NC(=O)[C@H]2C[C@H]2C2CCCC2)cc1[N+](=O)[O-] ZINC000854496016 635317733 /nfs/dbraw/zinc/31/77/33/635317733.db2.gz ASFFYYPJHOKPJH-RYUDHWBXSA-N 0 0 289.335 2.942 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497605 635318419 /nfs/dbraw/zinc/31/84/19/635318419.db2.gz RXWTUMMDSIAPEC-HZMBPMFUSA-N 0 0 277.324 2.798 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCC=CO1 ZINC000854510849 635321776 /nfs/dbraw/zinc/32/17/76/635321776.db2.gz MSJHKHKUTPKCJV-GFCCVEGCSA-N 0 0 276.292 2.843 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C=CCC2)c1 ZINC000855420530 635536275 /nfs/dbraw/zinc/53/62/75/635536275.db2.gz CQGPGMQTQGTKFF-SECBINFHSA-N 0 0 278.333 2.765 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1C=CCC1 ZINC000855425215 635539513 /nfs/dbraw/zinc/53/95/13/635539513.db2.gz XCGDRJBTLNGKMZ-JTQLQIEISA-N 0 0 280.711 2.626 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000855429630 635543021 /nfs/dbraw/zinc/54/30/21/635543021.db2.gz MGDOQCWULNHRQJ-MRVPVSSYSA-N 0 0 266.684 2.697 20 5 CFBDRN C/C(=C/C(=O)N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000855430843 635543566 /nfs/dbraw/zinc/54/35/66/635543566.db2.gz LUJQACSCSGBVIB-OEYXZAGESA-N 0 0 272.304 2.833 20 5 CFBDRN COc1ccc(C(=O)OCCCOC(C)C)cc1[N+](=O)[O-] ZINC000113466260 635586831 /nfs/dbraw/zinc/58/68/31/635586831.db2.gz JQQIOEIIVOCYFJ-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN O=C(N1CC[C@@H]2C[C@@H]2C1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000856063415 635712650 /nfs/dbraw/zinc/71/26/50/635712650.db2.gz RKUUTXIYDSEAOS-NXEZZACHSA-N 0 0 296.273 2.555 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NOCC1CCC1 ZINC000856342147 635785879 /nfs/dbraw/zinc/78/58/79/635785879.db2.gz NUHOUSCYVCOHGJ-UHFFFAOYSA-N 0 0 282.271 2.504 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NOCC2CCC2)c1 ZINC000856344238 635787283 /nfs/dbraw/zinc/78/72/83/635787283.db2.gz GGGMXJKJKFOBAJ-UHFFFAOYSA-N 0 0 296.348 2.778 20 5 CFBDRN O=C(NOCC1CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000856343863 635787475 /nfs/dbraw/zinc/78/74/75/635787475.db2.gz CHRNONLOTQLAIT-UONOGXRCSA-N 0 0 290.319 2.546 20 5 CFBDRN Cc1c(CNCc2cn(C)nc2Cl)cccc1[N+](=O)[O-] ZINC000856782283 635858392 /nfs/dbraw/zinc/85/83/92/635858392.db2.gz ZXHORIVNRQUNSM-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN C[C@H]1CCN(Cn2cc([N+](=O)[O-])cn2)c2ccccc21 ZINC000158337067 650224378 /nfs/dbraw/zinc/22/43/78/650224378.db2.gz DEWZEXZMIWOFOS-NSHDSACASA-N 0 0 272.308 2.763 20 5 CFBDRN CCOC1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCC1 ZINC000857571823 635985442 /nfs/dbraw/zinc/98/54/42/635985442.db2.gz NMBWJRNNIQKXAS-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000857572200 635985542 /nfs/dbraw/zinc/98/55/42/635985542.db2.gz UUIMAMANRQSKQG-BXKDBHETSA-N 0 0 296.298 2.876 20 5 CFBDRN CSC(C)(C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000857588517 635989410 /nfs/dbraw/zinc/98/94/10/635989410.db2.gz HGVOUVXOJCCITK-UHFFFAOYSA-N 0 0 280.349 2.626 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Oc2c(C)cccc2[N+](=O)[O-])C1 ZINC000857600423 635992032 /nfs/dbraw/zinc/99/20/32/635992032.db2.gz PIGMFXIQUXPTIP-GHMZBOCLSA-N 0 0 279.292 2.624 20 5 CFBDRN CC1(C(=O)Oc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCC1 ZINC000857636372 635999577 /nfs/dbraw/zinc/99/95/77/635999577.db2.gz JYCGLEPLIZPTNQ-UHFFFAOYSA-N 0 0 280.236 2.599 20 5 CFBDRN O=C(C=C1CCC1)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857637198 635999584 /nfs/dbraw/zinc/99/95/84/635999584.db2.gz XVCUIEGFTAQOOX-UHFFFAOYSA-N 0 0 278.220 2.519 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857634878 635999611 /nfs/dbraw/zinc/99/96/11/635999611.db2.gz MQISQYGYABDJNB-LLVKDONJSA-N 0 0 294.263 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCCc3nn(C)cc32)n1 ZINC000857897874 636054387 /nfs/dbraw/zinc/05/43/87/636054387.db2.gz CCBZEVXRKZYPHX-NSHDSACASA-N 0 0 287.323 2.521 20 5 CFBDRN CCO[C@@H](C(=O)Oc1cccc([N+](=O)[O-])c1)C(C)C ZINC000858187625 636151584 /nfs/dbraw/zinc/15/15/84/636151584.db2.gz IHOIUNRAPTUKCW-GFCCVEGCSA-N 0 0 267.281 2.561 20 5 CFBDRN CCc1ccc(OC(=O)c2cnn(CC)c2)c([N+](=O)[O-])c1 ZINC000115925905 636152785 /nfs/dbraw/zinc/15/27/85/636152785.db2.gz ZCERKVIEVREWCU-UHFFFAOYSA-N 0 0 289.291 2.593 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)c([N+](=O)[O-])c1 ZINC000115926887 636153185 /nfs/dbraw/zinc/15/31/85/636153185.db2.gz NGKFZOAIKDLKOF-WZRBSPASSA-N 0 0 291.303 2.630 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccon2)cc1Cl ZINC000115965888 636166755 /nfs/dbraw/zinc/16/67/55/636166755.db2.gz FKZNYYUPGABVGS-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000858689624 636325785 /nfs/dbraw/zinc/32/57/85/636325785.db2.gz HYNLMNMLTYRVOB-LBPRGKRZSA-N 0 0 276.292 2.577 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000858690729 636326262 /nfs/dbraw/zinc/32/62/62/636326262.db2.gz CCDVOGZYWYGLDO-SNVBAGLBSA-N 0 0 296.710 2.922 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000858692295 636326618 /nfs/dbraw/zinc/32/66/18/636326618.db2.gz OMIDCAFEIRDPPR-NSHDSACASA-N 0 0 276.292 2.577 20 5 CFBDRN CCC(C)(C)OC1CN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000858703671 636328469 /nfs/dbraw/zinc/32/84/69/636328469.db2.gz ZMLDYLISMVIRNV-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2cccc(F)c2[N+](=O)[O-])C1 ZINC000858735978 636336646 /nfs/dbraw/zinc/33/66/46/636336646.db2.gz ZBNZNJFLLHVKDA-SNVBAGLBSA-N 0 0 294.282 2.798 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2cccc(F)c2[N+](=O)[O-])C1 ZINC000858735977 636336884 /nfs/dbraw/zinc/33/68/84/636336884.db2.gz ZBNZNJFLLHVKDA-JTQLQIEISA-N 0 0 294.282 2.798 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000858808764 636349240 /nfs/dbraw/zinc/34/92/40/636349240.db2.gz LGGXLGDXGAEEQX-NHYWBVRUSA-N 0 0 290.319 2.777 20 5 CFBDRN Cn1cc(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cn1 ZINC000048052800 650253590 /nfs/dbraw/zinc/25/35/90/650253590.db2.gz GFSXGLMGYQNLQM-UHFFFAOYSA-N 0 0 295.686 2.626 20 5 CFBDRN CCO[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000859448705 636567070 /nfs/dbraw/zinc/56/70/70/636567070.db2.gz RXJINGQWZAHLHG-ZDUSSCGKSA-N 0 0 279.292 2.567 20 5 CFBDRN CCC[C@H](C)CCCOC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000859489822 636580283 /nfs/dbraw/zinc/58/02/83/636580283.db2.gz OPPLQZILWSCVTH-NSHDSACASA-N 0 0 283.328 2.551 20 5 CFBDRN Cc1c([N+](=O)[O-])cc(C(=O)OC[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000859506259 636583734 /nfs/dbraw/zinc/58/37/34/636583734.db2.gz IGFMVUDXBGFYNO-OIBJUYFYSA-N 0 0 294.263 2.624 20 5 CFBDRN CCC[C@H](C)CCCOC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000859509351 636584834 /nfs/dbraw/zinc/58/48/34/636584834.db2.gz LNQQQTFBYIWSMV-NSHDSACASA-N 0 0 283.328 2.551 20 5 CFBDRN CN(C)c1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1 ZINC000048501782 650269552 /nfs/dbraw/zinc/26/95/52/650269552.db2.gz NOXVLFIVYHTRLW-UHFFFAOYSA-N 0 0 291.332 2.975 20 5 CFBDRN CCC1(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000859579641 636608791 /nfs/dbraw/zinc/60/87/91/636608791.db2.gz CABRKQOBUOLKEF-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OC[C@H]1C[C@H]1C ZINC000859673515 636646378 /nfs/dbraw/zinc/64/63/78/636646378.db2.gz ULOHHZKHYXEXPQ-NXEZZACHSA-N 0 0 279.292 2.806 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@@H]1C[C@H]1C ZINC000859731887 636671709 /nfs/dbraw/zinc/67/17/09/636671709.db2.gz IUXRULDGTAIJNZ-APPZFPTMSA-N 0 0 267.256 2.855 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000859762925 636683018 /nfs/dbraw/zinc/68/30/18/636683018.db2.gz FDMSAAKXWGKXPQ-GWCFXTLKSA-N 0 0 295.335 2.996 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000859766142 636684530 /nfs/dbraw/zinc/68/45/30/636684530.db2.gz PEGSVNXVAXTZNE-GFCCVEGCSA-N 0 0 299.298 2.574 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000859766931 636684576 /nfs/dbraw/zinc/68/45/76/636684576.db2.gz NWTIPZIICOXJMB-GFCCVEGCSA-N 0 0 299.298 2.574 20 5 CFBDRN CO[C@]1(C)C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000159785637 650277901 /nfs/dbraw/zinc/27/79/01/650277901.db2.gz RBSWGAYPHKIINS-IUODEOHRSA-N 0 0 293.319 2.955 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000860140037 636800735 /nfs/dbraw/zinc/80/07/35/636800735.db2.gz BYWBHKYZOYYASD-APOZVJGGSA-N 0 0 299.348 2.759 20 5 CFBDRN CN(OCC(F)(F)F)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000860220743 636830706 /nfs/dbraw/zinc/83/07/06/636830706.db2.gz IIFPFVGGOSEMRN-UHFFFAOYSA-N 0 0 293.201 2.552 20 5 CFBDRN C[C@H](OC(=O)C12CC(C1)C2)c1ccccc1[N+](=O)[O-] ZINC000860271556 636844756 /nfs/dbraw/zinc/84/47/56/636844756.db2.gz MGALYNCTXHSONZ-IPWFMCSPSA-N 0 0 261.277 2.999 20 5 CFBDRN C/C(=C/C(=O)NCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000049221891 650290153 /nfs/dbraw/zinc/29/01/53/650290153.db2.gz DDINKJAOPDLVOX-NTMALXAHSA-N 0 0 260.293 2.567 20 5 CFBDRN C[C@H](COC(=O)[C@H]1CC[C@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000860777408 636969925 /nfs/dbraw/zinc/96/99/25/636969925.db2.gz PHNYFJQVYXBRHT-ICCXJUOJSA-N 0 0 281.283 2.528 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc(C2CC2)[nH]c1C1CC1 ZINC000860786476 636971901 /nfs/dbraw/zinc/97/19/01/636971901.db2.gz CGJKBGDPURTLID-MRVPVSSYSA-N 0 0 278.308 2.592 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc(Cl)cc1Cl ZINC000860910784 637014235 /nfs/dbraw/zinc/01/42/35/637014235.db2.gz CUPODPLWHLMTTO-UHFFFAOYSA-N 0 0 278.091 2.817 20 5 CFBDRN C[C@@H]1CCc2c(C(=O)OCCC[N+](=O)[O-])csc2C1 ZINC000860912029 637014244 /nfs/dbraw/zinc/01/42/44/637014244.db2.gz DCFJOWKNZSPSGV-SECBINFHSA-N 0 0 283.349 2.697 20 5 CFBDRN Cc1ccc(SCCC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860911051 637014345 /nfs/dbraw/zinc/01/43/45/637014345.db2.gz GMKAZXNXANXQLC-UHFFFAOYSA-N 0 0 283.349 2.687 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860911100 637014376 /nfs/dbraw/zinc/01/43/76/637014376.db2.gz IAXKOZNLAUAGOM-UHFFFAOYSA-N 0 0 265.309 2.808 20 5 CFBDRN Cc1cccc(C2(C(=O)OCCC[N+](=O)[O-])CCC2)c1 ZINC000860914628 637015135 /nfs/dbraw/zinc/01/51/35/637015135.db2.gz ZVMIOLRZYRIYDF-UHFFFAOYSA-N 0 0 277.320 2.627 20 5 CFBDRN C[C@H](CC(=O)OCCC[N+](=O)[O-])c1cccc(F)c1 ZINC000860915337 637015321 /nfs/dbraw/zinc/01/53/21/637015321.db2.gz RKCCZSMLNXOXID-SNVBAGLBSA-N 0 0 269.272 2.529 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000860914120 637015348 /nfs/dbraw/zinc/01/53/48/637015348.db2.gz PGBUYNUFYHZWQR-DTWKUNHWSA-N 0 0 283.246 2.565 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc(OC2CCCC2)cc1 ZINC000860913471 637015587 /nfs/dbraw/zinc/01/55/87/637015587.db2.gz BTTDIVPFKARXRF-UHFFFAOYSA-N 0 0 293.319 2.832 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC000860917413 637015978 /nfs/dbraw/zinc/01/59/78/637015978.db2.gz LGLUYOSJDWIUGI-JHJVBQTASA-N 0 0 269.341 2.803 20 5 CFBDRN Cc1nc(C2CCCC2)sc1C(=O)OCCC[N+](=O)[O-] ZINC000860917446 637016070 /nfs/dbraw/zinc/01/60/70/637016070.db2.gz LAERRXJBVYTOCJ-UHFFFAOYSA-N 0 0 298.364 2.933 20 5 CFBDRN CC[C@H](CC1CCCC1)C(=O)OCCC[N+](=O)[O-] ZINC000860915544 637016288 /nfs/dbraw/zinc/01/62/88/637016288.db2.gz SBBBGOJIGVRLIT-GFCCVEGCSA-N 0 0 257.330 2.803 20 5 CFBDRN C/C(=C\c1ccc(Cl)cc1)C(=O)OCCC[N+](=O)[O-] ZINC000860916921 637016343 /nfs/dbraw/zinc/01/63/43/637016343.db2.gz BWICCGZYMADGPR-MDZDMXLPSA-N 0 0 283.711 2.953 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@H]1CCC[C@H]1c1ccccc1 ZINC000860919303 637016829 /nfs/dbraw/zinc/01/68/29/637016829.db2.gz WONQULIUCQLOLI-KBPBESRZSA-N 0 0 277.320 2.780 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCC[C@@H]2CCCC[C@H]12 ZINC000860918194 637016913 /nfs/dbraw/zinc/01/69/13/637016913.db2.gz AKNXROMYLCLUKM-RWMBFGLXSA-N 0 0 269.341 2.803 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc(Cl)c(F)cc1Cl ZINC000860919097 637017080 /nfs/dbraw/zinc/01/70/80/637017080.db2.gz SAMXAODFRZTNMD-UHFFFAOYSA-N 0 0 296.081 2.956 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(c2c(F)cccc2F)CCC1 ZINC000860919202 637017110 /nfs/dbraw/zinc/01/71/10/637017110.db2.gz KVHWIZQKLZWKEH-UHFFFAOYSA-N 0 0 299.273 2.597 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@H]1CC[C@@H]1c1ccc(F)cc1 ZINC000860922469 637017976 /nfs/dbraw/zinc/01/79/76/637017976.db2.gz ABGPCHHDJIIJMP-OLZOCXBDSA-N 0 0 281.283 2.529 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(Cc2ccccc2Cl)CC1 ZINC000860920845 637018274 /nfs/dbraw/zinc/01/82/74/637018274.db2.gz IDHBWUNWQQJKCB-UHFFFAOYSA-N 0 0 297.738 2.873 20 5 CFBDRN C[C@]1(COC(=O)c2ccccc2[N+](=O)[O-])CCCOC1 ZINC000861038611 637051746 /nfs/dbraw/zinc/05/17/46/637051746.db2.gz JLTNVMNLIPGOEF-AWEZNQCLSA-N 0 0 279.292 2.568 20 5 CFBDRN C[C@]1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCCOC1 ZINC000861045551 637055196 /nfs/dbraw/zinc/05/51/96/637055196.db2.gz NEYGNHGXIACKOS-AWEZNQCLSA-N 0 0 297.282 2.707 20 5 CFBDRN CC1(C)CCCC[C@@H]1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000861298007 637153512 /nfs/dbraw/zinc/15/35/12/637153512.db2.gz WWMSYNLVOSFNSV-LBPRGKRZSA-N 0 0 295.339 2.693 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)/C=C(/C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000861304542 637157605 /nfs/dbraw/zinc/15/76/05/637157605.db2.gz HMVCSWPRKDPTKX-CBPZYVAXSA-N 0 0 291.303 2.719 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCC1CC=CC1 ZINC000862628120 637454589 /nfs/dbraw/zinc/45/45/89/637454589.db2.gz VMGVBSPTRNGLFR-YFHOEESVSA-N 0 0 272.304 2.690 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC2CC=CC2)c1[N+](=O)[O-] ZINC000862630446 637456262 /nfs/dbraw/zinc/45/62/62/637456262.db2.gz JBAUXGNJCIYFPE-UHFFFAOYSA-N 0 0 278.283 2.738 20 5 CFBDRN C/C=C/CNC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000863114466 637560673 /nfs/dbraw/zinc/56/06/73/637560673.db2.gz KMNCTOPAJAHZEH-NSCUHMNNSA-N 0 0 286.690 2.622 20 5 CFBDRN CC[C@@H]1CCC[C@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000119338201 637590509 /nfs/dbraw/zinc/59/05/09/637590509.db2.gz GHDOQSYALVYASV-YPMHNXCESA-N 0 0 295.339 2.693 20 5 CFBDRN Cc1cc(OCc2cc(Cl)n(C)n2)ccc1[N+](=O)[O-] ZINC000863265023 637610498 /nfs/dbraw/zinc/61/04/98/637610498.db2.gz OJUUMGZFLNGVDP-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CC(C)OC(=O)OCSc1ccc([N+](=O)[O-])cn1 ZINC000863313379 637624578 /nfs/dbraw/zinc/62/45/78/637624578.db2.gz OGLICNDESWFVOL-UHFFFAOYSA-N 0 0 272.282 2.601 20 5 CFBDRN CC(C)CC[C@@H](N)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000863677802 637742199 /nfs/dbraw/zinc/74/21/99/637742199.db2.gz LMZDWVXBZPGOPM-MRVPVSSYSA-N 0 0 280.284 2.674 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000864419211 637970809 /nfs/dbraw/zinc/97/08/09/637970809.db2.gz BTSRIHGUTAUKAN-SNVBAGLBSA-N 0 0 277.324 2.501 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC000864690274 638068466 /nfs/dbraw/zinc/06/84/66/638068466.db2.gz OTSHQTPIBKKCJL-VIFPVBQESA-N 0 0 280.299 2.913 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000864822293 638101671 /nfs/dbraw/zinc/10/16/71/638101671.db2.gz FHBVEKGPSPYOFB-SAXRGWBVSA-N 0 0 288.347 2.845 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000864946762 638133239 /nfs/dbraw/zinc/13/32/39/638133239.db2.gz NUKHAUOKAKTBQC-HYNSBDGHSA-N 0 0 274.320 2.503 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000865027699 638164401 /nfs/dbraw/zinc/16/44/01/638164401.db2.gz APAOJORZAYQXTE-PCDDKUFXSA-N 0 0 274.320 2.503 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000865027696 638164653 /nfs/dbraw/zinc/16/46/53/638164653.db2.gz APAOJORZAYQXTE-GJQVQUKXSA-N 0 0 274.320 2.503 20 5 CFBDRN CN(CC(C)(C)C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865120657 638195972 /nfs/dbraw/zinc/19/59/72/638195972.db2.gz MRBNLKIZOJTQGK-UHFFFAOYSA-N 0 0 282.315 2.781 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CCC[C@H]1C1CC1 ZINC000865156593 638208929 /nfs/dbraw/zinc/20/89/29/638208929.db2.gz HEZMVNPCGNTIOZ-ZDUSSCGKSA-N 0 0 292.310 2.677 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCc2coc(C3CC3)n2)c1 ZINC000865285842 638257228 /nfs/dbraw/zinc/25/72/28/638257228.db2.gz LQWMRTZOZGWLNB-UHFFFAOYSA-N 0 0 294.336 2.590 20 5 CFBDRN C[C@H](Oc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000865341203 638273159 /nfs/dbraw/zinc/27/31/59/638273159.db2.gz JFSQRGWESRUAPD-VIFPVBQESA-N 0 0 251.282 2.977 20 5 CFBDRN C[C@@H]1OCC[C@H]1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000865352284 638278379 /nfs/dbraw/zinc/27/83/79/638278379.db2.gz FVKJUGMXWZOJDZ-IUCAKERBSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000865352283 638278406 /nfs/dbraw/zinc/27/84/06/638278406.db2.gz FVKJUGMXWZOJDZ-DTWKUNHWSA-N 0 0 255.245 2.538 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H](C)C(=O)C(C)(C)C ZINC000865352949 638278920 /nfs/dbraw/zinc/27/89/20/638278920.db2.gz DDRQDQYWDXHJOM-SECBINFHSA-N 0 0 281.308 2.986 20 5 CFBDRN Cc1cc(=O)n(C[C@@H](C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000865358937 638281513 /nfs/dbraw/zinc/28/15/13/638281513.db2.gz ANEHSONRJONJPN-SNVBAGLBSA-N 0 0 252.314 2.747 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H](C)C(C)(C)C)c1=O ZINC000865360655 638282794 /nfs/dbraw/zinc/28/27/94/638282794.db2.gz HZTNIKKLPOFWAQ-SNVBAGLBSA-N 0 0 252.314 2.747 20 5 CFBDRN CC1(C)CC[C@H](Cn2cc([N+](=O)[O-])c(C3CC3)n2)OC1 ZINC000865363918 638285730 /nfs/dbraw/zinc/28/57/30/638285730.db2.gz YKTJCGAYDMOBQP-LLVKDONJSA-N 0 0 279.340 2.874 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1N1CCC(O)CC1 ZINC000865406996 638316057 /nfs/dbraw/zinc/31/60/57/638316057.db2.gz PYLGLNRZLIPBOE-UHFFFAOYSA-N 0 0 291.134 2.863 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2C(F)F)CCO1 ZINC000865424660 638326184 /nfs/dbraw/zinc/32/61/84/638326184.db2.gz ZKCBJDORVGQLAT-QMMMGPOBSA-N 0 0 272.251 2.758 20 5 CFBDRN C[C@H](CNc1cc(F)c([N+](=O)[O-])cc1CO)C1CC1 ZINC000865465244 638353490 /nfs/dbraw/zinc/35/34/90/638353490.db2.gz WVTANQXTJFUBTA-MRVPVSSYSA-N 0 0 268.288 2.684 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCN(C3CC3)CC2)c(C(F)F)c1 ZINC000865475743 638361478 /nfs/dbraw/zinc/36/14/78/638361478.db2.gz ZNNVWIPJTUOKGT-UHFFFAOYSA-N 0 0 297.305 2.817 20 5 CFBDRN C[S@@](=O)CCNc1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000865542841 638406309 /nfs/dbraw/zinc/40/63/09/638406309.db2.gz YAVRDQRLOXDZFS-QGZVFWFLSA-N 0 0 297.163 2.692 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCCCC2CC2)cc1F ZINC000865546106 638411435 /nfs/dbraw/zinc/41/14/35/638411435.db2.gz MRYIPILZVLYQJM-UHFFFAOYSA-N 0 0 268.288 2.828 20 5 CFBDRN C[C@@H](O)c1ccc(NCCc2ccccc2[N+](=O)[O-])nc1 ZINC000865562529 638425637 /nfs/dbraw/zinc/42/56/37/638425637.db2.gz GZRYHIMPWPMIAW-LLVKDONJSA-N 0 0 287.319 2.698 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NC[C@H]3CCC=CO3)c2c1 ZINC000865709541 638528261 /nfs/dbraw/zinc/52/82/61/638528261.db2.gz XIFGEBOYKXFYIO-GFCCVEGCSA-N 0 0 285.303 2.670 20 5 CFBDRN Cc1c(CNc2ccc([C@H](C)O)cn2)cccc1[N+](=O)[O-] ZINC000865721989 638535266 /nfs/dbraw/zinc/53/52/66/638535266.db2.gz KIWRYOSYDZOYJR-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN Cc1c(CNc2ccc([C@@H](C)O)cn2)cccc1[N+](=O)[O-] ZINC000865721988 638535600 /nfs/dbraw/zinc/53/56/00/638535600.db2.gz KIWRYOSYDZOYJR-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1C[NH2+]CCC(C)(C)OC ZINC000865755905 638554410 /nfs/dbraw/zinc/55/44/10/638554410.db2.gz OZTZFWPBTGFVDK-UHFFFAOYSA-N 0 0 296.367 2.898 20 5 CFBDRN NC(=O)CC1(CNc2ccc([N+](=O)[O-])cc2C(F)F)CC1 ZINC000865883859 638642730 /nfs/dbraw/zinc/64/27/30/638642730.db2.gz RLAUSTQNSQAGCC-UHFFFAOYSA-N 0 0 299.277 2.600 20 5 CFBDRN Cc1n[nH]cc1CN(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000865900822 638650181 /nfs/dbraw/zinc/65/01/81/638650181.db2.gz DXTPQCJRKVMPFY-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN CC[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)C(OC)OC ZINC000865924281 638659578 /nfs/dbraw/zinc/65/95/78/638659578.db2.gz KPZXHFFVSHYMGC-SNVBAGLBSA-N 0 0 290.266 2.682 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@H]1CC=CCC1 ZINC000865966788 638680560 /nfs/dbraw/zinc/68/05/60/638680560.db2.gz FNJLFEJURFVERF-NSHDSACASA-N 0 0 278.283 2.501 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC(C)(C)F ZINC000865986780 638687917 /nfs/dbraw/zinc/68/79/17/638687917.db2.gz KTDULXHMHWHGIA-UHFFFAOYSA-N 0 0 254.261 2.957 20 5 CFBDRN CC1(C)[C@H]2[C@H](CCCN2c2ccc(F)cc2[N+](=O)[O-])[C@@H]1O ZINC000866000272 638692713 /nfs/dbraw/zinc/69/27/13/638692713.db2.gz VRYOLDKXWCKLKD-GDLCADMTSA-N 0 0 294.326 2.720 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1[C@@H]2OC[C@H]3C[C@H]1C[C@H]23 ZINC000866043294 638708234 /nfs/dbraw/zinc/70/82/34/638708234.db2.gz BCRKSMRNRYAAHP-XTKTYJBNSA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1cc(N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)c([N+](=O)[O-])s1 ZINC000866045091 638710164 /nfs/dbraw/zinc/71/01/64/638710164.db2.gz SKBHNMUXSJBSMZ-WPCZNGEBSA-N 0 0 280.349 2.800 20 5 CFBDRN Cc1cc(N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)ccc1[N+](=O)[O-] ZINC000866046088 638710795 /nfs/dbraw/zinc/71/07/95/638710795.db2.gz QQBJICWMWXFSQY-BKNSQDEOSA-N 0 0 274.320 2.739 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCCC[C@@H]1F ZINC000866047261 638711847 /nfs/dbraw/zinc/71/18/47/638711847.db2.gz ANDGJCMFMDEFQF-WCQYABFASA-N 0 0 295.314 2.647 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000866074152 638725681 /nfs/dbraw/zinc/72/56/81/638725681.db2.gz ZHYGWKUXCYCEGB-GWCFXTLKSA-N 0 0 292.335 2.801 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000866084988 638730199 /nfs/dbraw/zinc/73/01/99/638730199.db2.gz YOJAMGQNDIJUDQ-ZWNOBZJWSA-N 0 0 293.323 2.626 20 5 CFBDRN C[C@@]1(C(F)(F)F)CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000866158527 638760431 /nfs/dbraw/zinc/76/04/31/638760431.db2.gz NOLMGDNPKVWIGG-VIFPVBQESA-N 0 0 296.270 2.814 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC23CCOCC3)ncc1[N+](=O)[O-] ZINC000866174824 638763867 /nfs/dbraw/zinc/76/38/67/638763867.db2.gz MCKSGXGYUVVTOD-LBPRGKRZSA-N 0 0 291.351 2.917 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@H]2CSC[C@@H]2C1 ZINC000866204534 638769969 /nfs/dbraw/zinc/76/99/69/638769969.db2.gz XTRQTQGPYZHMQF-IUCAKERBSA-N 0 0 270.379 2.846 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866233061 638776274 /nfs/dbraw/zinc/77/62/74/638776274.db2.gz QYUSXUFJHQAGCM-QWRGUYRKSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H](Nc1cccc(F)c1[N+](=O)[O-])c1ccnn1C ZINC000866251119 638780349 /nfs/dbraw/zinc/78/03/49/638780349.db2.gz HZZJQNBQGBLGIQ-QMMMGPOBSA-N 0 0 264.260 2.641 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H](O)C2CCCC2)c(Cl)c1 ZINC000866304225 638793008 /nfs/dbraw/zinc/79/30/08/638793008.db2.gz WCRIVKJWGNTHBI-LBPRGKRZSA-N 0 0 299.758 2.996 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])[C@H]1C ZINC000866338317 638799111 /nfs/dbraw/zinc/79/91/11/638799111.db2.gz PSYFUSVUJACSNF-ZJUUUORDSA-N 0 0 280.299 2.533 20 5 CFBDRN C[C@@H](Cc1ccc(F)cc1[N+](=O)[O-])NCC(C)(F)F ZINC000866364568 638804735 /nfs/dbraw/zinc/80/47/35/638804735.db2.gz RMQIPBOKDDFEKA-QMMMGPOBSA-N 0 0 276.258 2.910 20 5 CFBDRN Cc1ccc(OS(=O)(=O)C2(C(C)C)CC2)c([N+](=O)[O-])c1 ZINC000866426522 638819615 /nfs/dbraw/zinc/81/96/15/638819615.db2.gz CNTKKWILDILRFR-UHFFFAOYSA-N 0 0 299.348 2.800 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCCC1CCC1 ZINC000866482374 638828719 /nfs/dbraw/zinc/82/87/19/638828719.db2.gz IUVXZWBHRUSVCD-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866507532 638832148 /nfs/dbraw/zinc/83/21/48/638832148.db2.gz DNUJOSAELMUZDZ-GWCFXTLKSA-N 0 0 294.326 2.971 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866551736 638843823 /nfs/dbraw/zinc/84/38/23/638843823.db2.gz ORSLZZCQQWGWLH-HNNXBMFYSA-N 0 0 294.326 2.925 20 5 CFBDRN C[C@H]1CCCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867490883 638881109 /nfs/dbraw/zinc/88/11/09/638881109.db2.gz JSPBGPJBUJHODU-NSHDSACASA-N 0 0 294.326 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cn1cc(Cl)cn1)CCC2 ZINC000121762965 638893156 /nfs/dbraw/zinc/89/31/56/638893156.db2.gz RBQZRKOMCDCRRS-UHFFFAOYSA-N 0 0 292.726 2.855 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867946749 638907717 /nfs/dbraw/zinc/90/77/17/638907717.db2.gz VAUFIXQUQVNRNY-GHMZBOCLSA-N 0 0 294.326 2.829 20 5 CFBDRN CC/C=C(\F)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000868111819 638923594 /nfs/dbraw/zinc/92/35/94/638923594.db2.gz BOQBIFVHOBSBJB-ACAGNQJTSA-N 0 0 280.299 2.859 20 5 CFBDRN CCC1(CNC(=O)NCc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000868345665 638939423 /nfs/dbraw/zinc/93/94/23/638939423.db2.gz NBCNWGLVWYLOHI-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000868441136 638945943 /nfs/dbraw/zinc/94/59/43/638945943.db2.gz SEMKYBKDZQQRAS-NSHDSACASA-N 0 0 291.351 2.891 20 5 CFBDRN CC(=O)CN(C(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(C)C ZINC000868597601 638960202 /nfs/dbraw/zinc/96/02/02/638960202.db2.gz PRJLZRGHZIFSMH-UHFFFAOYSA-N 0 0 298.726 2.688 20 5 CFBDRN C/C(=C\C(=O)N1CC[C@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000868604652 638960764 /nfs/dbraw/zinc/96/07/64/638960764.db2.gz VPGPGSXNTSPBRP-BCPZQOPPSA-N 0 0 296.273 2.864 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H](C)O[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000868607659 638961265 /nfs/dbraw/zinc/96/12/65/638961265.db2.gz AMNHDBPPJRHOJG-ZHPDPMBESA-N 0 0 292.335 2.586 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000869223985 639084859 /nfs/dbraw/zinc/08/48/59/639084859.db2.gz UZWLYCQJYVOYIY-SNVBAGLBSA-N 0 0 282.315 2.923 20 5 CFBDRN CC(C)CC1(NC(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000869293272 639144639 /nfs/dbraw/zinc/14/46/39/639144639.db2.gz MEVGLZBOVJBMPA-UHFFFAOYSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@H]2CC(C)(C)CO2)c1[N+](=O)[O-] ZINC000869300911 639151649 /nfs/dbraw/zinc/15/16/49/639151649.db2.gz OKWJECMZHWLYCK-NSHDSACASA-N 0 0 293.319 2.875 20 5 CFBDRN O=C(OCc1coc(C2CC2)n1)c1ccc([N+](=O)[O-])s1 ZINC000869307090 639158607 /nfs/dbraw/zinc/15/86/07/639158607.db2.gz OSCBUMZMAGJARK-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN C[C@@H](OC(=O)c1cc(F)ccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000869370975 639200293 /nfs/dbraw/zinc/20/02/93/639200293.db2.gz NHGBGLRZPNQIFH-MRVPVSSYSA-N 0 0 297.282 2.894 20 5 CFBDRN O=C(NCCC1CCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000122572784 639220191 /nfs/dbraw/zinc/22/01/91/639220191.db2.gz ODNIPMCEEXSNOR-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN C[C@H](OC(=O)c1ccc(F)cc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000869433918 639228705 /nfs/dbraw/zinc/22/87/05/639228705.db2.gz QQMSSSBLESJKRY-QMMMGPOBSA-N 0 0 297.282 2.894 20 5 CFBDRN Cc1ccc(C(=O)NCC[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000869612732 639323030 /nfs/dbraw/zinc/32/30/30/639323030.db2.gz LTCCKNZPGLHHLL-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1cc(C(=O)NCC[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000869612873 639323532 /nfs/dbraw/zinc/32/35/32/639323532.db2.gz MXOJBFUHERVROF-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)cc1[N+](=O)[O-] ZINC000869649950 639339927 /nfs/dbraw/zinc/33/99/27/639339927.db2.gz KCWQOELDTGDJFB-DMDPSCGWSA-N 0 0 293.319 2.760 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1cc(F)c([N+](=O)[O-])cc1F ZINC000869749100 639380626 /nfs/dbraw/zinc/38/06/26/639380626.db2.gz QBBVFFJNCNTPGE-LBPRGKRZSA-N 0 0 296.273 2.936 20 5 CFBDRN CCSCCOC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897542 639458768 /nfs/dbraw/zinc/45/87/68/639458768.db2.gz YAQXKSYNBVWZNR-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN COCC1(COC(=O)c2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000869897236 639458870 /nfs/dbraw/zinc/45/88/70/639458870.db2.gz OMPHHOLRPLKKAG-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1c(F)cccc1[N+](=O)[O-] ZINC000869898361 639458995 /nfs/dbraw/zinc/45/89/95/639458995.db2.gz JWBQTLDGNXZTTA-ILWJIGKKSA-N 0 0 279.267 2.937 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1c(F)cccc1[N+](=O)[O-] ZINC000869897373 639459087 /nfs/dbraw/zinc/45/90/87/639459087.db2.gz RQGTXVPZWRXTGN-SNVBAGLBSA-N 0 0 297.282 2.707 20 5 CFBDRN CC(=O)CCCCOC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897605 639459133 /nfs/dbraw/zinc/45/91/33/639459133.db2.gz ZLQNZPUGNZWESE-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@H](C)O[C@H]1C ZINC000870159417 639529331 /nfs/dbraw/zinc/52/93/31/639529331.db2.gz BCZSUUVCKPQHPJ-JBLDHEPKSA-N 0 0 279.292 2.622 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000870174326 639534251 /nfs/dbraw/zinc/53/42/51/639534251.db2.gz OZBKUIDELBRVJU-MRTMQBJTSA-N 0 0 299.710 2.967 20 5 CFBDRN CCc1ccc(OC(=O)C2(F)CCOCC2)c([N+](=O)[O-])c1 ZINC000870205349 639544139 /nfs/dbraw/zinc/54/41/39/639544139.db2.gz OEYRTACYGIPFAI-UHFFFAOYSA-N 0 0 297.282 2.581 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000870314783 639595455 /nfs/dbraw/zinc/59/54/55/639595455.db2.gz SOUWHZFRHLNPOK-JTLRNRKASA-N 0 0 291.303 2.801 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000870576656 639731259 /nfs/dbraw/zinc/73/12/59/639731259.db2.gz LFAOAERQDKFRPF-PHIMTYICSA-N 0 0 281.333 2.954 20 5 CFBDRN CO[C@@H](C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C)C1CC1 ZINC000870700024 639777797 /nfs/dbraw/zinc/77/77/97/639777797.db2.gz ARHRSUPDADATSG-CQSZACIVSA-N 0 0 293.319 2.680 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000870703028 639779947 /nfs/dbraw/zinc/77/99/47/639779947.db2.gz NAZHMKNCLZGGNW-CMPLNLGQSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccccn1 ZINC000079202727 650571496 /nfs/dbraw/zinc/57/14/96/650571496.db2.gz RTLZWZXTPBDYSM-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN O=C(OC1CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000079170784 650566904 /nfs/dbraw/zinc/56/69/04/650566904.db2.gz ZLEOSYKDBDCSGZ-UHFFFAOYSA-N 0 0 255.657 2.958 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000192627664 650581198 /nfs/dbraw/zinc/58/11/98/650581198.db2.gz LXRDCPQRRLGXFC-UHFFFAOYSA-N 0 0 293.367 2.779 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CC=CCC1 ZINC000192804747 650598126 /nfs/dbraw/zinc/59/81/26/650598126.db2.gz LLRLDQYTRQYXGL-LLVKDONJSA-N 0 0 276.292 2.811 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])cn1)OCCCC1CCCCC1 ZINC000193130529 650625602 /nfs/dbraw/zinc/62/56/02/650625602.db2.gz KRACCGUKPZJCAT-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN CCC[C@@H](C)CC(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870827596 641090284 /nfs/dbraw/zinc/09/02/84/641090284.db2.gz BKSLXCTVHFEDIT-XSRFYTQQSA-N 0 0 295.339 2.864 20 5 CFBDRN CC1=C(C(=O)OC2CC(n3cc([N+](=O)[O-])cn3)C2)CCC1 ZINC000870829397 641090686 /nfs/dbraw/zinc/09/06/86/641090686.db2.gz KOBBMLQSJJTNCV-UHFFFAOYSA-N 0 0 291.307 2.538 20 5 CFBDRN Cc1ncsc1COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000123516923 641222781 /nfs/dbraw/zinc/22/27/81/641222781.db2.gz URXSJHSICWSWMX-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN COC(C)(C)CCNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000871254438 641265849 /nfs/dbraw/zinc/26/58/49/641265849.db2.gz CYWFNAOFLFYFDI-UHFFFAOYSA-N 0 0 298.314 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2CC3(CSC3)C2)c1 ZINC000871509303 641332877 /nfs/dbraw/zinc/33/28/77/641332877.db2.gz ZBFWICQZKDXDJL-UHFFFAOYSA-N 0 0 292.360 2.529 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000123703059 641363795 /nfs/dbraw/zinc/36/37/95/641363795.db2.gz ANSKMYZQNZXTLR-VIFPVBQESA-N 0 0 297.307 2.815 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000871651018 641382748 /nfs/dbraw/zinc/38/27/48/641382748.db2.gz OFWLAAQNXMXLRE-KGYLQXTDSA-N 0 0 293.319 2.940 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2C[C@H]3CCC[C@H]3O2)nc1C1CC1 ZINC000871667291 641392138 /nfs/dbraw/zinc/39/21/38/641392138.db2.gz GOBLLPKDAPVAME-NTZNESFSSA-N 0 0 277.324 2.626 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OCCC2OCCO2)cc1Cl ZINC000871694958 641409764 /nfs/dbraw/zinc/40/97/64/641409764.db2.gz UAIXMVCLBKAYPU-UHFFFAOYSA-N 0 0 291.662 2.529 20 5 CFBDRN Cc1ccc(CON=C(N)CC2CC2)cc1[N+](=O)[O-] ZINC000871735431 641430477 /nfs/dbraw/zinc/43/04/77/641430477.db2.gz MHUFFUNAMKEZNC-UHFFFAOYSA-N 0 0 263.297 2.702 20 5 CFBDRN COc1ccc(NCc2onc(C)c2[N+](=O)[O-])c(F)c1 ZINC000871842838 641478043 /nfs/dbraw/zinc/47/80/43/641478043.db2.gz XCISQWDOUOAGMA-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN Cc1c(C[NH2+]CC2(C(=O)[O-])CCCC2)cccc1[N+](=O)[O-] ZINC000871877601 641494805 /nfs/dbraw/zinc/49/48/05/641494805.db2.gz OZNBPKUBEZLCSF-UHFFFAOYSA-N 0 0 292.335 2.638 20 5 CFBDRN Cc1c(C[NH2+][C@@H](CC(C)(C)C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000871878035 641495204 /nfs/dbraw/zinc/49/52/04/641495204.db2.gz BRTXKFRVNIGJQC-LBPRGKRZSA-N 0 0 294.351 2.882 20 5 CFBDRN Cc1cc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])n(C)n1 ZINC000019274525 641514499 /nfs/dbraw/zinc/51/44/99/641514499.db2.gz GSIUHPKQQNSPMH-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C[C@@H](N[C@H](C)c1c(F)cccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000872038647 641572461 /nfs/dbraw/zinc/57/24/61/641572461.db2.gz NVZQQTPATPPUFS-NXEZZACHSA-N 0 0 292.314 2.879 20 5 CFBDRN C[C@@H](NCc1cocn1)c1c(F)cccc1[N+](=O)[O-] ZINC000872055850 641590369 /nfs/dbraw/zinc/59/03/69/641590369.db2.gz MJJAAHWZFSGEGU-MRVPVSSYSA-N 0 0 265.244 2.573 20 5 CFBDRN Cc1cc(CN[C@H](C)c2c(F)cccc2[N+](=O)[O-])n(C)n1 ZINC000872070246 641601171 /nfs/dbraw/zinc/60/11/71/641601171.db2.gz SVUXUMUKQGYQON-SNVBAGLBSA-N 0 0 292.314 2.627 20 5 CFBDRN CCn1nccc1CN[C@@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872088129 641622479 /nfs/dbraw/zinc/62/24/79/641622479.db2.gz OATWXLRXAZPKAF-JTQLQIEISA-N 0 0 292.314 2.801 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872089888 641623185 /nfs/dbraw/zinc/62/31/85/641623185.db2.gz HLQWKLDRSMROSA-BHYNMZESSA-N 0 0 282.315 2.952 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCCCc2cccnc21 ZINC000872302438 641748995 /nfs/dbraw/zinc/74/89/95/641748995.db2.gz ZMHZBZWRHSUEGV-UHFFFAOYSA-N 0 0 297.314 2.973 20 5 CFBDRN CC(=O)C1CCN(c2cc(C)sc2[N+](=O)[O-])CC1 ZINC000872667311 641890368 /nfs/dbraw/zinc/89/03/68/641890368.db2.gz BZJQTEGJACSAQC-UHFFFAOYSA-N 0 0 268.338 2.770 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@H](C(C)(C)O)C2)c1 ZINC000872673213 641892897 /nfs/dbraw/zinc/89/28/97/641892897.db2.gz GFVILWPZXWMAIU-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@@H](C(C)(C)O)C1 ZINC000872674871 641893080 /nfs/dbraw/zinc/89/30/80/641893080.db2.gz WIORPYAIXUGRJB-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN CC1(C)CO[C@@H](CNc2ccc([N+](=O)[O-])s2)C1 ZINC000872686674 641897192 /nfs/dbraw/zinc/89/71/92/641897192.db2.gz QROVCTWUFNUFRK-MRVPVSSYSA-N 0 0 256.327 2.883 20 5 CFBDRN Cc1nn(C)c(NCCC[C@@H]2C=CCC2)c1[N+](=O)[O-] ZINC000872694190 641899021 /nfs/dbraw/zinc/89/90/21/641899021.db2.gz JAFVOTBNIXBKOD-LLVKDONJSA-N 0 0 264.329 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C[C@H]3CCC[C@H]3O2)cc1 ZINC000872699514 641903991 /nfs/dbraw/zinc/90/39/91/641903991.db2.gz NSBJPWSAUAPAHM-DDTOSNHZSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1cccc(NCCC[C@H]2CCOC2=O)c1[N+](=O)[O-] ZINC000872702484 641904995 /nfs/dbraw/zinc/90/49/95/641904995.db2.gz IQNUPHLEVLFDJP-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(OC(C)C)CCC1 ZINC000872706884 641905798 /nfs/dbraw/zinc/90/57/98/641905798.db2.gz MJLJXGJNRBNHFU-UHFFFAOYSA-N 0 0 296.371 2.879 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@H](O)c1ccccc1 ZINC000872776224 641930039 /nfs/dbraw/zinc/93/00/39/641930039.db2.gz WSJFEECPTQYJGF-DZGCQCFKSA-N 0 0 287.319 2.914 20 5 CFBDRN COc1cc(N2CC[C@H](C(C)(C)O)C2)c([N+](=O)[O-])cc1C ZINC000872782071 641936040 /nfs/dbraw/zinc/93/60/40/641936040.db2.gz GVSOSDIWPDLWCQ-NSHDSACASA-N 0 0 294.351 2.509 20 5 CFBDRN C[C@@H](NC(=O)NOC/C=C\Cl)c1ccccc1[N+](=O)[O-] ZINC000873019117 641986105 /nfs/dbraw/zinc/98/61/05/641986105.db2.gz JNLKLOZSTKMPLO-WQAKUFEDSA-N 0 0 299.714 2.639 20 5 CFBDRN CCOCCCCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000194072349 650716102 /nfs/dbraw/zinc/71/61/02/650716102.db2.gz BWQWPXCSJBXVQR-UHFFFAOYSA-N 0 0 283.284 2.732 20 5 CFBDRN Cc1nccnc1[C@@H](C)NCc1ccc([N+](=O)[O-])cc1F ZINC000873186499 642054922 /nfs/dbraw/zinc/05/49/22/642054922.db2.gz BTSBMMSXYPYUBF-SNVBAGLBSA-N 0 0 290.298 2.683 20 5 CFBDRN O=C(OC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1ccccc1[N+](=O)[O-] ZINC000873317304 642133970 /nfs/dbraw/zinc/13/39/70/642133970.db2.gz KGXJFZNQTHWZCK-MJVIPROJSA-N 0 0 291.303 2.709 20 5 CFBDRN CC[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)=O ZINC000873383681 642171317 /nfs/dbraw/zinc/17/13/17/642171317.db2.gz GYADGSCVOTXHLL-NOZJJQNGSA-N 0 0 279.292 2.609 20 5 CFBDRN CC[C@H](COC(=O)c1c(C)ccc([N+](=O)[O-])c1C)OC ZINC000873438330 642205146 /nfs/dbraw/zinc/20/51/46/642205146.db2.gz JBVIGJJDHFEZSD-LLVKDONJSA-N 0 0 281.308 2.793 20 5 CFBDRN CC(C)OC1(C(=O)OCc2ccccc2[N+](=O)[O-])CCC1 ZINC000873442259 642207359 /nfs/dbraw/zinc/20/73/59/642207359.db2.gz SAZGMVPARRGUEQ-UHFFFAOYSA-N 0 0 293.319 2.986 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1C[C@@]12CCOC2)c1ccccc1[N+](=O)[O-] ZINC000873578764 642259112 /nfs/dbraw/zinc/25/91/12/642259112.db2.gz RFCXLNSRDKBEGQ-IXPVHAAZSA-N 0 0 291.303 2.626 20 5 CFBDRN COc1cccc(C(=O)O[C@H](C)[C@@H]2CC23CC3)c1[N+](=O)[O-] ZINC000873626561 642277917 /nfs/dbraw/zinc/27/79/17/642277917.db2.gz ZSJIXNKDEOLGLM-KOLCDFICSA-N 0 0 291.303 2.949 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000873628514 642279757 /nfs/dbraw/zinc/27/97/57/642279757.db2.gz MYZBJVCHTQPYLS-KOLCDFICSA-N 0 0 291.303 2.949 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccc3c(c2)OCCO3)c1 ZINC000020049452 642291216 /nfs/dbraw/zinc/29/12/16/642291216.db2.gz XDJUYRNVELLGJM-UHFFFAOYSA-N 0 0 286.287 2.978 20 5 CFBDRN COc1cc(C(=O)Oc2cccc([N+](=O)[O-])c2)ccc1F ZINC000124793842 642322458 /nfs/dbraw/zinc/32/24/58/642322458.db2.gz QITMMZGWXARGQD-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124823303 642337341 /nfs/dbraw/zinc/33/73/41/642337341.db2.gz GXRHUYZQLNOYKQ-JGVFFNPUSA-N 0 0 253.229 2.547 20 5 CFBDRN CC1(C(=O)NCc2ccc([N+](=O)[O-])cc2)CC(F)(F)C1 ZINC000874105172 642338936 /nfs/dbraw/zinc/33/89/36/642338936.db2.gz NHPOVEADBXMMSY-UHFFFAOYSA-N 0 0 284.262 2.646 20 5 CFBDRN CC1(C(=O)NCc2cccc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000874239145 642353515 /nfs/dbraw/zinc/35/35/15/642353515.db2.gz YRZKIHQYBYJKLX-UHFFFAOYSA-N 0 0 284.262 2.646 20 5 CFBDRN CCO[C@H]1C[C@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000195263040 650745038 /nfs/dbraw/zinc/74/50/38/650745038.db2.gz RINXOHCUEYNSCR-SCZZXKLOSA-N 0 0 285.683 2.579 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)CC(F)F ZINC000874354315 642372350 /nfs/dbraw/zinc/37/23/50/642372350.db2.gz FWOHKVQVLIVNIR-UHFFFAOYSA-N 0 0 272.251 2.549 20 5 CFBDRN Cc1csc(N(C)C(=O)c2cc(F)ccc2[N+](=O)[O-])n1 ZINC000195295506 650746076 /nfs/dbraw/zinc/74/60/76/650746076.db2.gz VDANIUQKZDSSGV-UHFFFAOYSA-N 0 0 295.295 2.775 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1ccccn1)c1ccccc1[N+](=O)[O-] ZINC000874625681 642429194 /nfs/dbraw/zinc/42/91/94/642429194.db2.gz OTKPSPSXEWLYHV-NWDGAFQWSA-N 0 0 299.330 2.971 20 5 CFBDRN CCCC1(CCC)CCCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000874627227 642430312 /nfs/dbraw/zinc/43/03/12/642430312.db2.gz NZLDWEBMLTXIFO-UHFFFAOYSA-N 0 0 294.355 2.893 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2CC23CCC3)c1[N+](=O)[O-] ZINC000874780745 642481120 /nfs/dbraw/zinc/48/11/20/642481120.db2.gz NOJWLFDYIMAWIX-LLVKDONJSA-N 0 0 292.360 2.989 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC12CCC2 ZINC000874790614 642490592 /nfs/dbraw/zinc/49/05/92/642490592.db2.gz LTMBEBBWTRMEMO-GFCCVEGCSA-N 0 0 292.360 2.746 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H]1CC12CCC2 ZINC000874791010 642491905 /nfs/dbraw/zinc/49/19/05/642491905.db2.gz QEMPTPNSADFYPD-GFCCVEGCSA-N 0 0 292.360 2.746 20 5 CFBDRN Cc1c(CC(=O)NC2(C)CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000874854870 642528398 /nfs/dbraw/zinc/52/83/98/642528398.db2.gz VSWKJEJYISCDSS-UHFFFAOYSA-N 0 0 298.289 2.750 20 5 CFBDRN Cc1cc(C(=O)NC2(C)CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000874858733 642531026 /nfs/dbraw/zinc/53/10/26/642531026.db2.gz LTAFDTCSEODXEK-UHFFFAOYSA-N 0 0 284.262 2.821 20 5 CFBDRN O=C1NC(=O)C(=Cc2ccc([N+](=O)[O-])cc2Cl)S1 ZINC000874929250 642555231 /nfs/dbraw/zinc/55/52/31/642555231.db2.gz XHODFFNIHSECIG-BAQGIRSFSA-N 0 0 284.680 2.572 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000875054564 642581134 /nfs/dbraw/zinc/58/11/34/642581134.db2.gz WHIAUKLDWVNJLI-VXFNFUGZSA-N 0 0 296.298 2.732 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)c([N+](=O)[O-])c1 ZINC000875060891 642582290 /nfs/dbraw/zinc/58/22/90/642582290.db2.gz GTZWWHLGMRAWLS-QJPTWQEYSA-N 0 0 293.319 2.931 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OC[C@@H]1CCOC1 ZINC000125329595 642597737 /nfs/dbraw/zinc/59/77/37/642597737.db2.gz LPDDCJHCSYRTJU-MRVPVSSYSA-N 0 0 257.673 2.664 20 5 CFBDRN Cc1nc(NC(=O)[C@@]23C[C@@H]2CCCC3)ccc1[N+](=O)[O-] ZINC000875424282 642620325 /nfs/dbraw/zinc/62/03/25/642620325.db2.gz WEMYLHRRLOSEHG-HZMBPMFUSA-N 0 0 275.308 2.817 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCCF ZINC000125441812 642633614 /nfs/dbraw/zinc/63/36/14/642633614.db2.gz PAWKNRBRYWTAMN-QMMMGPOBSA-N 0 0 273.285 2.588 20 5 CFBDRN C[C@H](NC(=O)N(C1CC1)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000875625881 642654837 /nfs/dbraw/zinc/65/48/37/642654837.db2.gz MQXZLHCWPNVAJZ-JTQLQIEISA-N 0 0 289.335 2.992 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000085067471 650786913 /nfs/dbraw/zinc/78/69/13/650786913.db2.gz MBRPJNNYAYIYCE-PWSUYJOCSA-N 0 0 291.351 2.876 20 5 CFBDRN Nc1c(C(=O)N[C@@H]2CCCC3(CC3)C2)cccc1[N+](=O)[O-] ZINC000888700583 642776747 /nfs/dbraw/zinc/77/67/47/642776747.db2.gz MLDSXTHSSOKVIC-SNVBAGLBSA-N 0 0 289.335 2.630 20 5 CFBDRN Cc1ccc(CNC(=O)N2CC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000876169064 642784474 /nfs/dbraw/zinc/78/44/74/642784474.db2.gz KFRFEHTTWPYNDO-CYBMUJFWSA-N 0 0 289.335 2.597 20 5 CFBDRN C[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1N)C(C)(C)C ZINC000125958421 642872824 /nfs/dbraw/zinc/87/28/24/642872824.db2.gz ZJXWIWUABJTHEI-VIFPVBQESA-N 0 0 279.340 2.589 20 5 CFBDRN Cc1cc2c(cc1C)N(Cc1cn(C)nc1[N+](=O)[O-])CC2 ZINC000877516237 643321300 /nfs/dbraw/zinc/32/13/00/643321300.db2.gz YDLSHRJKTBHBQM-UHFFFAOYSA-N 0 0 286.335 2.508 20 5 CFBDRN COc1ncc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000877557835 643358041 /nfs/dbraw/zinc/35/80/41/643358041.db2.gz QNXUYWFRBFTQAF-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN Cc1ccc2c(c1)N[C@H](c1ccccc1[N+](=O)[O-])NC2=O ZINC000877864553 643612573 /nfs/dbraw/zinc/61/25/73/643612573.db2.gz RNNMRVWNJQEZTK-AWEZNQCLSA-N 0 0 283.287 2.757 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCc2ccns2)c1 ZINC000877885209 643622929 /nfs/dbraw/zinc/62/29/29/643622929.db2.gz CHHWFYZNFYHXTH-UHFFFAOYSA-N 0 0 280.305 2.681 20 5 CFBDRN C[C@H]1C[C@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)C[C@@H](C)C1 ZINC000246600098 650876106 /nfs/dbraw/zinc/87/61/06/650876106.db2.gz QLJCYQWNPOVLKC-PJXYFTJBSA-N 0 0 295.339 2.549 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000878381980 643866304 /nfs/dbraw/zinc/86/63/04/643866304.db2.gz XOSFTRJDTBVPIE-ZFWWWQNUSA-N 0 0 288.347 2.690 20 5 CFBDRN C[C@@H]1C[C@H](C)N1Cc1nnc(-c2ccccc2[N+](=O)[O-])o1 ZINC000878533481 643931700 /nfs/dbraw/zinc/93/17/00/643931700.db2.gz POCBLYIZDWLZEB-AOOOYVTPSA-N 0 0 288.307 2.628 20 5 CFBDRN CON(Cc1ccc(C)c([N+](=O)[O-])c1)CC1CCOCC1 ZINC000878570461 643944020 /nfs/dbraw/zinc/94/40/20/643944020.db2.gz XZYNIVSKOJPVRI-UHFFFAOYSA-N 0 0 294.351 2.693 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(C)C ZINC000127258914 643976582 /nfs/dbraw/zinc/97/65/82/643976582.db2.gz KKDSVCMWBAZUHT-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000879322281 644228251 /nfs/dbraw/zinc/22/82/51/644228251.db2.gz UKWKQHQBMASILZ-GWCFXTLKSA-N 0 0 282.315 2.733 20 5 CFBDRN CC(C)(O)C1CCN(Cc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000879625381 644353256 /nfs/dbraw/zinc/35/32/56/644353256.db2.gz DEBJAHIVJHBZRY-UHFFFAOYSA-N 0 0 296.342 2.717 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@@H]1CCC[C@@H](C)C1 ZINC000127937513 644380346 /nfs/dbraw/zinc/38/03/46/644380346.db2.gz FUNMOCZSKJYLPC-ZYHUDNBSSA-N 0 0 295.339 2.612 20 5 CFBDRN CCON(CC)CCC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000879795929 644428759 /nfs/dbraw/zinc/42/87/59/644428759.db2.gz DIYRWHSQPWTENJ-UHFFFAOYSA-N 0 0 295.339 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2OC3CCC2CC3)c([N+](=O)[O-])c1 ZINC000879800335 644430597 /nfs/dbraw/zinc/43/05/97/644430597.db2.gz PBYSNYQLJFIZHZ-UHFFFAOYSA-N 0 0 293.279 2.561 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000128183314 644523117 /nfs/dbraw/zinc/52/31/17/644523117.db2.gz QYAJRNVFSMYBLO-ONGXEEELSA-N 0 0 277.324 2.580 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC(F)CC1 ZINC000880241903 644605435 /nfs/dbraw/zinc/60/54/35/644605435.db2.gz LXAPWUPFJBLLCG-UHFFFAOYSA-N 0 0 256.252 2.668 20 5 CFBDRN CCCNC(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000888835713 644833253 /nfs/dbraw/zinc/83/32/53/644833253.db2.gz AGBLKLWSAZKQRJ-UHFFFAOYSA-N 0 0 267.285 2.525 20 5 CFBDRN CC(C)OCCOC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000211343587 644902262 /nfs/dbraw/zinc/90/22/62/644902262.db2.gz JJNHVOVIUISAOB-UHFFFAOYSA-N 0 0 287.699 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cc(Cl)cn2)cc1F ZINC000094775058 650963732 /nfs/dbraw/zinc/96/37/32/650963732.db2.gz VSAWYOOUSSVCKK-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN CSCc1cn(Cc2cc(Cl)ccc2[N+](=O)[O-])nn1 ZINC000881352663 644960027 /nfs/dbraw/zinc/96/00/27/644960027.db2.gz LEALCLMXXJYPGS-UHFFFAOYSA-N 0 0 298.755 2.751 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2C=CCC2)c1[N+](=O)[O-] ZINC000881489241 644988702 /nfs/dbraw/zinc/98/87/02/644988702.db2.gz MIHGKBXUMQAIHI-LLVKDONJSA-N 0 0 260.293 2.599 20 5 CFBDRN CC1(C)C[C@]1(C)CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000881540214 645032345 /nfs/dbraw/zinc/03/23/45/645032345.db2.gz LETWGRFZSAPSFE-OAHLLOKOSA-N 0 0 276.336 2.690 20 5 CFBDRN C=C/C=C/CCNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000881565424 645044400 /nfs/dbraw/zinc/04/44/00/645044400.db2.gz KEUNYKLXQYTCGB-ONEGZZNKSA-N 0 0 274.320 2.776 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC(F)CC1 ZINC000881609739 645079301 /nfs/dbraw/zinc/07/93/01/645079301.db2.gz FNMXBFBHPFHMTK-UHFFFAOYSA-N 0 0 292.266 2.915 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(F)CC1 ZINC000881613619 645082881 /nfs/dbraw/zinc/08/28/81/645082881.db2.gz ISDYAUXTNWMIKB-ZHACJKMWSA-N 0 0 292.310 2.959 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC(F)CC1 ZINC000881613679 645083136 /nfs/dbraw/zinc/08/31/36/645083136.db2.gz LDICDEKBHYBVEK-UHFFFAOYSA-N 0 0 280.299 2.786 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000881672458 645099778 /nfs/dbraw/zinc/09/97/78/645099778.db2.gz AGCOHIHDBJBFBA-WDEREUQCSA-N 0 0 280.299 2.516 20 5 CFBDRN CC(=O)c1c(N(C)CCc2ccccn2)cccc1[N+](=O)[O-] ZINC000881739713 645119589 /nfs/dbraw/zinc/11/95/89/645119589.db2.gz YEYASEWEDNUHOJ-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@H](C(F)(F)F)C1 ZINC000881749053 645120089 /nfs/dbraw/zinc/12/00/89/645120089.db2.gz FUUPPKMCANBZOU-ZETCQYMHSA-N 0 0 293.220 2.908 20 5 CFBDRN Cc1occc1CN(C)c1ccnc(F)c1[N+](=O)[O-] ZINC000881839826 645139157 /nfs/dbraw/zinc/13/91/57/645139157.db2.gz KBXZXDFKCPCSLM-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCCOC1CCCC1 ZINC000881867885 645144630 /nfs/dbraw/zinc/14/46/30/645144630.db2.gz XGKCJSOVIKIUDS-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN CCCO[C@@H]1CCCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000881959415 645163719 /nfs/dbraw/zinc/16/37/19/645163719.db2.gz AKLMLFYRZWXAJH-LLVKDONJSA-N 0 0 297.330 2.914 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC1CCSCC1 ZINC000881980963 645167286 /nfs/dbraw/zinc/16/72/86/645167286.db2.gz FPAHRXNZOPZSSD-UHFFFAOYSA-N 0 0 271.317 2.684 20 5 CFBDRN CC(C)C[C@H](CCO)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882040355 645185256 /nfs/dbraw/zinc/18/52/56/645185256.db2.gz LFXKNNKOEMNJOR-JTQLQIEISA-N 0 0 285.319 2.586 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC[C@H](O)C(C)C)c1 ZINC000882066102 645191656 /nfs/dbraw/zinc/19/16/56/645191656.db2.gz AZHBIHXEDNJRRA-LBPRGKRZSA-N 0 0 253.298 2.689 20 5 CFBDRN Cc1ccc(OCC[C@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000882070699 645192357 /nfs/dbraw/zinc/19/23/57/645192357.db2.gz BYPFBBDDHYVDKA-LBPRGKRZSA-N 0 0 253.298 2.689 20 5 CFBDRN CC(C)[C@@H]1CCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882077568 645193934 /nfs/dbraw/zinc/19/39/34/645193934.db2.gz DXKSMIGGEVBWDW-SECBINFHSA-N 0 0 253.277 2.611 20 5 CFBDRN O=[N+]([O-])c1ccnn1C[C@H]1CC[C@H](c2ccccc2)O1 ZINC000882107010 645204165 /nfs/dbraw/zinc/20/41/65/645204165.db2.gz MXWXNCOAUAIEFO-CHWSQXEVSA-N 0 0 273.292 2.712 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CCC3(CCC3)O2)c1 ZINC000882111881 645206755 /nfs/dbraw/zinc/20/67/55/645206755.db2.gz JHBDAKNUMRDMBI-LBPRGKRZSA-N 0 0 291.303 2.888 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC[C@H](O)C(C)C ZINC000882149415 645219356 /nfs/dbraw/zinc/21/93/56/645219356.db2.gz SJOKCWBEQPCDII-LBPRGKRZSA-N 0 0 253.298 2.689 20 5 CFBDRN COC1(CNc2cccc([N+](=O)[O-])c2C(C)=O)CCC1 ZINC000882168244 645228242 /nfs/dbraw/zinc/22/82/42/645228242.db2.gz DYXLMXFEMWASHR-UHFFFAOYSA-N 0 0 278.308 2.778 20 5 CFBDRN C[C@H](CN(C)c1ccnc(F)c1[N+](=O)[O-])c1nccs1 ZINC000882180655 645234217 /nfs/dbraw/zinc/23/42/17/645234217.db2.gz NXWZAHVBMRPLKI-MRVPVSSYSA-N 0 0 296.327 2.825 20 5 CFBDRN C[C@]1(CNc2ccnc(F)c2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000882197279 645241659 /nfs/dbraw/zinc/24/16/59/645241659.db2.gz QPQADOLTXHZLON-GXTWGEPZSA-N 0 0 295.314 2.746 20 5 CFBDRN COC1(CNc2ccnc(F)c2[N+](=O)[O-])CCCCC1 ZINC000882200575 645242755 /nfs/dbraw/zinc/24/27/55/645242755.db2.gz HRGCPCPVEQNGAI-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN O=[N+]([O-])c1cc(OS(=O)(=O)C2CCC2)ccc1Cl ZINC000882201492 645243055 /nfs/dbraw/zinc/24/30/55/645243055.db2.gz SVZMEESUFYXDEL-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@@](C)(O)CC1 ZINC000882286674 645263730 /nfs/dbraw/zinc/26/37/30/645263730.db2.gz KWSJBEPQZTZFEM-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN CC1=C[C@H](C)CN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882300777 645271007 /nfs/dbraw/zinc/27/10/07/645271007.db2.gz WWPSAMFJAPUYBG-QMMMGPOBSA-N 0 0 251.261 2.531 20 5 CFBDRN O=C(NC1CCC1)c1cccc([N+](=O)[O-])c1Cl ZINC000129616687 645294388 /nfs/dbraw/zinc/29/43/88/645294388.db2.gz DIHXEGPKBWPKCQ-UHFFFAOYSA-N 0 0 254.673 2.531 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2Cl)C[C@H]1C ZINC000129636045 645297270 /nfs/dbraw/zinc/29/72/70/645297270.db2.gz UWEMVDRYGAKRET-DTORHVGOSA-N 0 0 282.727 2.976 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)[C@@H]1CCCOC1 ZINC000882445210 645318320 /nfs/dbraw/zinc/31/83/20/645318320.db2.gz DUBVKKQGQYIOMQ-ZJUUUORDSA-N 0 0 298.364 2.591 20 5 CFBDRN CC[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000882448416 645319793 /nfs/dbraw/zinc/31/97/93/645319793.db2.gz OLHDSXZYKNPWAT-MWLCHTKSSA-N 0 0 298.364 2.591 20 5 CFBDRN CC[C@H](NC(=O)c1csc([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000882448418 645319896 /nfs/dbraw/zinc/31/98/96/645319896.db2.gz OLHDSXZYKNPWAT-ONGXEEELSA-N 0 0 298.364 2.591 20 5 CFBDRN C[C@H]1[C@@H](C)N(c2ccnc(F)c2[N+](=O)[O-])C[C@H]1C ZINC000882467117 645330024 /nfs/dbraw/zinc/33/00/24/645330024.db2.gz AYLFAIYVRSFZLX-IWSPIJDZSA-N 0 0 253.277 2.610 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCCC(F)(F)CC1 ZINC000882650753 645400989 /nfs/dbraw/zinc/40/09/89/645400989.db2.gz HOUOXAQTKNBSPC-UHFFFAOYSA-N 0 0 275.230 2.755 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2cncs2)c1 ZINC000129825266 645410124 /nfs/dbraw/zinc/41/01/24/645410124.db2.gz OBWXZTCAXKGNPG-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC1(C)CC[C@H](CNc2ncc([N+](=O)[O-])cc2F)OC1 ZINC000882763273 645447050 /nfs/dbraw/zinc/44/70/50/645447050.db2.gz JFFNLNMVKABKQF-SNVBAGLBSA-N 0 0 283.303 2.746 20 5 CFBDRN CCC[C@@H](C)CCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000882777918 645453558 /nfs/dbraw/zinc/45/35/58/645453558.db2.gz WQUQMVMHBLQRBS-SNVBAGLBSA-N 0 0 279.340 2.932 20 5 CFBDRN CC(C)(CNc1ccc(N)c([N+](=O)[O-])n1)CC(F)F ZINC000882780178 645453999 /nfs/dbraw/zinc/45/39/99/645453999.db2.gz VILSSMBRRCYWAN-UHFFFAOYSA-N 0 0 274.271 2.665 20 5 CFBDRN Nc1ccc(NCC[C@@H]2CCCC2(F)F)nc1[N+](=O)[O-] ZINC000882799354 645460731 /nfs/dbraw/zinc/46/07/31/645460731.db2.gz ZOFWAYAKHAONCA-QMMMGPOBSA-N 0 0 286.282 2.809 20 5 CFBDRN CC(C)Oc1nc(N2CCC[C@@H](CF)C2)ccc1[N+](=O)[O-] ZINC000882803400 645464164 /nfs/dbraw/zinc/46/41/64/645464164.db2.gz ORRMDIAPCHPBRP-NSHDSACASA-N 0 0 297.330 2.963 20 5 CFBDRN COc1cc(N2CC[C@@H](CF)C2)c([N+](=O)[O-])cc1F ZINC000882840960 645476552 /nfs/dbraw/zinc/47/65/52/645476552.db2.gz IYKOQCKVVLYMMD-QMMMGPOBSA-N 0 0 272.251 2.538 20 5 CFBDRN CO[C@@]1(C(F)(F)F)CCN(c2sccc2[N+](=O)[O-])C1 ZINC000882844036 645477620 /nfs/dbraw/zinc/47/76/20/645477620.db2.gz JHUNUEZDSOEAHQ-VIFPVBQESA-N 0 0 296.270 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)Cc2ccoc2)c(F)c1 ZINC000882866755 645481677 /nfs/dbraw/zinc/48/16/77/645481677.db2.gz VPCJROMVVMVPKC-LLVKDONJSA-N 0 0 294.282 2.590 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000882905940 645504399 /nfs/dbraw/zinc/50/43/99/645504399.db2.gz AJHFLRBLVDRUMF-PHIMTYICSA-N 0 0 287.319 2.980 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(N)c1F)[C@@H]1CCCOC1 ZINC000882955800 645526701 /nfs/dbraw/zinc/52/67/01/645526701.db2.gz SNKQAOPFEBWAGZ-ZJUUUORDSA-N 0 0 297.330 2.933 20 5 CFBDRN CCC[C@H](C)[C@H]1CCC[N@@H+]1Cn1cc([N+](=O)[O-])cn1 ZINC000130787582 645570441 /nfs/dbraw/zinc/57/04/41/645570441.db2.gz ILIVNWQHJIYPDE-WCQYABFASA-N 0 0 266.345 2.649 20 5 CFBDRN CC(C)N(CC(F)F)Cn1ncc2cc([N+](=O)[O-])ccc21 ZINC000130873170 645586023 /nfs/dbraw/zinc/58/60/23/645586023.db2.gz MDRRLZAGWSMYIQ-UHFFFAOYSA-N 0 0 298.293 2.878 20 5 CFBDRN CC(=O)c1ccc(N(C)[C@@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000252921791 651029660 /nfs/dbraw/zinc/02/96/60/651029660.db2.gz HPTBSPSZDQOJMW-UKRRQHHQSA-N 0 0 292.335 2.537 20 5 CFBDRN C[C@H](Cc1ccc(F)cc1[N+](=O)[O-])N[C@H](C)C(F)F ZINC000883400091 645796360 /nfs/dbraw/zinc/79/63/60/645796360.db2.gz DEPSZFKYCOONJJ-HTQZYQBOSA-N 0 0 276.258 2.908 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ccnc(F)c1F ZINC000097865310 651046524 /nfs/dbraw/zinc/04/65/24/651046524.db2.gz FDEYFOLMZLIKOC-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN CC[C@H](C)OCC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131861949 645840056 /nfs/dbraw/zinc/84/00/56/645840056.db2.gz MOVYCGISIYOPJS-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CSCCS2)cc1[N+](=O)[O-] ZINC000131911801 645849155 /nfs/dbraw/zinc/84/91/55/645849155.db2.gz QOJAPYMWWCPXOW-NSHDSACASA-N 0 0 299.373 2.657 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)C1 ZINC000132241002 645900289 /nfs/dbraw/zinc/90/02/89/645900289.db2.gz HFPOPPIBWMZBMV-JGVFFNPUSA-N 0 0 284.262 2.791 20 5 CFBDRN CC(C)COCCC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132385844 645912992 /nfs/dbraw/zinc/91/29/92/645912992.db2.gz NCJQPFHIONXVSP-UHFFFAOYSA-N 0 0 285.271 2.702 20 5 CFBDRN C=C/C=C/CCNC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000883757832 645961834 /nfs/dbraw/zinc/96/18/34/645961834.db2.gz XQLJQJLHEHBBNH-SNAWJCMRSA-N 0 0 289.335 2.835 20 5 CFBDRN COC(=O)/C(C)=C\COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000214701422 645965084 /nfs/dbraw/zinc/96/50/84/645965084.db2.gz GOVKOXBCOSJZFO-YVMONPNESA-N 0 0 285.683 2.746 20 5 CFBDRN CC[C@@H](C)C(=O)COc1cc(F)ccc1[N+](=O)[O-] ZINC000133103460 646029982 /nfs/dbraw/zinc/02/99/82/646029982.db2.gz PPRWXJRLHPORPB-MRVPVSSYSA-N 0 0 255.245 2.728 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCCCC[C@@H]2CO)c1 ZINC000133335514 646075110 /nfs/dbraw/zinc/07/51/10/646075110.db2.gz LQCLQTNPKLODBO-CYBMUJFWSA-N 0 0 298.770 2.985 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCn1cccc1 ZINC000800147543 646156004 /nfs/dbraw/zinc/15/60/04/646156004.db2.gz UTNUGHUHGTVEEJ-UHFFFAOYSA-N 0 0 291.282 2.873 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C1CCC(=O)CC1 ZINC000800150906 646157756 /nfs/dbraw/zinc/15/77/56/646157756.db2.gz GCYKGQOOROCVDM-UHFFFAOYSA-N 0 0 294.282 2.740 20 5 CFBDRN O=C(NCc1ccsc1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134043714 646271766 /nfs/dbraw/zinc/27/17/66/646271766.db2.gz PUFGIACMZJDWPY-UHFFFAOYSA-N 0 0 298.270 2.865 20 5 CFBDRN CCC(CC)CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000134376204 646338348 /nfs/dbraw/zinc/33/83/48/646338348.db2.gz MNTKXEYBHNXILF-UHFFFAOYSA-N 0 0 264.285 2.979 20 5 CFBDRN CC(C)(C)OCCCC(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884502519 646403898 /nfs/dbraw/zinc/40/38/98/646403898.db2.gz LXMHRFSIFSRKFK-UHFFFAOYSA-N 0 0 296.323 2.628 20 5 CFBDRN C[C@@H]1CCCC[C@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884554746 646445742 /nfs/dbraw/zinc/44/57/42/646445742.db2.gz BBUQPXXARNUYNF-ZYHUDNBSSA-N 0 0 278.308 2.859 20 5 CFBDRN CO[C@@H](C)CNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884556626 646446277 /nfs/dbraw/zinc/44/62/77/646446277.db2.gz VBNBQRJAKPVMBC-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3COC[C@H]3C2)c2ccccc21 ZINC000884558917 646449343 /nfs/dbraw/zinc/44/93/43/646449343.db2.gz HWMVHMRBTMXNKF-VXGBXAGGSA-N 0 0 299.330 2.616 20 5 CFBDRN CC(C)(CO)CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000135113096 646469041 /nfs/dbraw/zinc/46/90/41/646469041.db2.gz BZJFSCVNMLSLGX-UHFFFAOYSA-N 0 0 274.267 2.963 20 5 CFBDRN Cc1c(Br)c(N2C[C@@H]3C[C@@H]3C2)ncc1[N+](=O)[O-] ZINC000884589707 646473371 /nfs/dbraw/zinc/47/33/71/646473371.db2.gz HGOHRHXQFZOMBA-OCAPTIKFSA-N 0 0 298.140 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1C[C@@H]2C[C@@H]2C1 ZINC000884590904 646474030 /nfs/dbraw/zinc/47/40/30/646474030.db2.gz HNHKYNWZKADGPT-AOOOYVTPSA-N 0 0 255.277 2.599 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])C1CCC=CCC1 ZINC000884610427 646485862 /nfs/dbraw/zinc/48/58/62/646485862.db2.gz LTYUHVRMIJIMSI-UHFFFAOYSA-N 0 0 276.292 2.779 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cc(C2CC2)no1 ZINC000884638008 646498869 /nfs/dbraw/zinc/49/88/69/646498869.db2.gz IUXATCFZVPJCMX-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN Cc1cccc(N2C[C@@H]3CSC[C@@H]3C2)c1[N+](=O)[O-] ZINC000884644810 646504893 /nfs/dbraw/zinc/50/48/93/646504893.db2.gz DKFIYGWGUMNLLS-PHIMTYICSA-N 0 0 264.350 2.702 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2C[C@@H]3CSC[C@@H]3C2)c(F)c1 ZINC000884646148 646505756 /nfs/dbraw/zinc/50/57/56/646505756.db2.gz ITUXJURWPMEHFR-OCAPTIKFSA-N 0 0 286.303 2.672 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)COC1CC1 ZINC000884658129 646512105 /nfs/dbraw/zinc/51/21/05/646512105.db2.gz YMMCQVZYBOHVDF-SNVBAGLBSA-N 0 0 279.292 2.686 20 5 CFBDRN CCC(CC)OC(=O)COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000135328962 646517920 /nfs/dbraw/zinc/51/79/20/646517920.db2.gz AJPPYLKBYXPYPW-UHFFFAOYSA-N 0 0 297.307 2.714 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@@]2(CO)CCC[C@@H]12 ZINC000884665250 646517947 /nfs/dbraw/zinc/51/79/47/646517947.db2.gz MWKHHOFMQNMXML-ZIAGYGMSSA-N 0 0 296.754 2.990 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@H]1CC(C)(C)CO1 ZINC000884675625 646522872 /nfs/dbraw/zinc/52/28/72/646522872.db2.gz JMTQJPPCKHOEJX-NSHDSACASA-N 0 0 296.371 2.737 20 5 CFBDRN C[C@@H]1C[C@]2(CC[C@H](CNc3ccc([N+](=O)[O-])s3)O2)CO1 ZINC000884699157 646532621 /nfs/dbraw/zinc/53/26/21/646532621.db2.gz YIXMKQLWKZHDTO-GIPNMCIBSA-N 0 0 298.364 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]([C@@H]3CCCO3)C2)cc1 ZINC000884730668 646543707 /nfs/dbraw/zinc/54/37/07/646543707.db2.gz MHFLWVSLVDQJKV-FZMZJTMJSA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000884731357 646544416 /nfs/dbraw/zinc/54/44/16/646544416.db2.gz XITBDEVIZNUHTO-HZMBPMFUSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@H]3CCCO3)C2)ccc1[N+](=O)[O-] ZINC000884731139 646544579 /nfs/dbraw/zinc/54/45/79/646544579.db2.gz TZHUYBZXLPQINK-SWLSCSKDSA-N 0 0 276.336 2.909 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC1CCC=CCC1 ZINC000884844487 646558695 /nfs/dbraw/zinc/55/86/95/646558695.db2.gz LSFYIWWGFZXNMO-UHFFFAOYSA-N 0 0 275.308 2.604 20 5 CFBDRN CN(CCCF)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000884855756 646560164 /nfs/dbraw/zinc/56/01/64/646560164.db2.gz PSTJRVZNYFPMHI-UHFFFAOYSA-N 0 0 263.272 2.939 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1C[C@H](C2CC2)C[C@@H]1C1CC1 ZINC000885225241 646642825 /nfs/dbraw/zinc/64/28/25/646642825.db2.gz FHBHSAFBWRLGPU-DGCLKSJQSA-N 0 0 289.335 2.574 20 5 CFBDRN CCCC(=O)COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885424173 646684803 /nfs/dbraw/zinc/68/48/03/646684803.db2.gz MJESXNQJVDNIFE-UHFFFAOYSA-N 0 0 279.292 2.738 20 5 CFBDRN Cc1ccc(CN(C)Cc2cccnc2[N+](=O)[O-])cc1 ZINC000885941523 646776404 /nfs/dbraw/zinc/77/64/04/646776404.db2.gz BKZHCQUAISXDDC-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN CC(C)N(Cc1ccccn1)Cc1cccnc1[N+](=O)[O-] ZINC000885961056 646785043 /nfs/dbraw/zinc/78/50/43/646785043.db2.gz TXYRGLKBZKPZFD-UHFFFAOYSA-N 0 0 286.335 2.795 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1cc(F)c([N+](=O)[O-])cc1F ZINC000886000714 646805739 /nfs/dbraw/zinc/80/57/39/646805739.db2.gz JFQGXYQTDCRAJJ-CLAHSXSESA-N 0 0 296.273 2.793 20 5 CFBDRN Cc1cccc2c1CCN2Cc1cccnc1[N+](=O)[O-] ZINC000886055406 646845415 /nfs/dbraw/zinc/84/54/15/646845415.db2.gz ZXJJDVDENVPDLP-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CN(C)c1ccccc1N(C)Cc1cccnc1[N+](=O)[O-] ZINC000886081737 646858811 /nfs/dbraw/zinc/85/88/11/646858811.db2.gz WLMGRKKECZOWOF-UHFFFAOYSA-N 0 0 286.335 2.692 20 5 CFBDRN C[C@H](c1cccnc1)N(C)Cc1cccnc1[N+](=O)[O-] ZINC000886091726 646863748 /nfs/dbraw/zinc/86/37/48/646863748.db2.gz GDKVLYRASAIBDL-LLVKDONJSA-N 0 0 272.308 2.578 20 5 CFBDRN Cc1csc([C@H](C)NCc2cccnc2[N+](=O)[O-])n1 ZINC000886129990 646886274 /nfs/dbraw/zinc/88/62/74/646886274.db2.gz SHDMXKARVYMJAL-VIFPVBQESA-N 0 0 278.337 2.606 20 5 CFBDRN COc1ccc(CNc2n[nH]c(C)c2C)cc1[N+](=O)[O-] ZINC000886196343 646915266 /nfs/dbraw/zinc/91/52/66/646915266.db2.gz PYVQLVMCUVKNQX-UHFFFAOYSA-N 0 0 276.296 2.555 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000886476880 647013316 /nfs/dbraw/zinc/01/33/16/647013316.db2.gz LUXIWFWJSDIGQI-MNOVXSKESA-N 0 0 298.364 2.546 20 5 CFBDRN COc1ccc(CN[C@H]2CCc3cccnc32)cc1[N+](=O)[O-] ZINC000886516703 647025597 /nfs/dbraw/zinc/02/55/97/647025597.db2.gz VEFYBAGFLRMXRN-ZDUSSCGKSA-N 0 0 299.330 2.776 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1ncco1 ZINC000886533361 647034247 /nfs/dbraw/zinc/03/42/47/647034247.db2.gz ZKVDEYFLFMGMFA-NXEZZACHSA-N 0 0 261.281 2.995 20 5 CFBDRN O=C(OCc1ccc(F)cc1)c1ccc([N+](=O)[O-])o1 ZINC000138361673 647055060 /nfs/dbraw/zinc/05/50/60/647055060.db2.gz BVKRFHNEWRXHLH-UHFFFAOYSA-N 0 0 265.196 2.684 20 5 CFBDRN Cc1c(CC(=O)NCC(C)(C)C2CC2)cccc1[N+](=O)[O-] ZINC000887376466 647293189 /nfs/dbraw/zinc/29/31/89/647293189.db2.gz AMOHSMFWTRTCAL-UHFFFAOYSA-N 0 0 290.363 2.998 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000887382166 647296020 /nfs/dbraw/zinc/29/60/20/647296020.db2.gz WLMFCACRXYCRKX-UHFFFAOYSA-N 0 0 262.309 2.761 20 5 CFBDRN C/C(Cl)=C\C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887398847 647302302 /nfs/dbraw/zinc/30/23/02/647302302.db2.gz ILTCXGIPPANFDR-HZAKCSEPSA-N 0 0 282.727 2.957 20 5 CFBDRN CS/C=C\C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887402492 647303736 /nfs/dbraw/zinc/30/37/36/647303736.db2.gz CWCQKUYTTBAKIZ-GQYWMQPJSA-N 0 0 280.349 2.691 20 5 CFBDRN C[C@H](CNC(=O)[C@H]1CCC=CO1)c1ccccc1[N+](=O)[O-] ZINC000887403185 647303977 /nfs/dbraw/zinc/30/39/77/647303977.db2.gz QRVXGFNFUWLODG-BXUZGUMPSA-N 0 0 290.319 2.507 20 5 CFBDRN C[C@H](CNC(=O)C=C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000887403509 647304541 /nfs/dbraw/zinc/30/45/41/647304541.db2.gz YEOIJMQEQSPBAN-LLVKDONJSA-N 0 0 274.320 2.925 20 5 CFBDRN CCCCOCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403529 647304833 /nfs/dbraw/zinc/30/48/33/647304833.db2.gz YXLKVLMDNVGHEL-GFCCVEGCSA-N 0 0 294.351 2.631 20 5 CFBDRN C[C@@H](CNC(=O)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000887404978 647305116 /nfs/dbraw/zinc/30/51/16/647305116.db2.gz QHBLXVKIHQCMPV-JTQLQIEISA-N 0 0 262.309 2.615 20 5 CFBDRN CCN(CC)C(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000887594962 647366556 /nfs/dbraw/zinc/36/65/56/647366556.db2.gz GMYFCOJJPRDPGB-UHFFFAOYSA-N 0 0 250.298 2.694 20 5 CFBDRN CC1(C)CO[C@H](CC(=O)Oc2cccc([N+](=O)[O-])c2)C1 ZINC000887598827 647367832 /nfs/dbraw/zinc/36/78/32/647367832.db2.gz JPKYPXKITMYXFM-GFCCVEGCSA-N 0 0 279.292 2.705 20 5 CFBDRN CC(C)CNC(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000887611397 647370050 /nfs/dbraw/zinc/37/00/50/647370050.db2.gz BYMVQMDUNIQEMK-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NC1(C2CC2)CCC1 ZINC000887987641 647457273 /nfs/dbraw/zinc/45/72/73/647457273.db2.gz RQKMCGCUFWIAKF-UHFFFAOYSA-N 0 0 289.335 2.727 20 5 CFBDRN Nc1c(C(=O)N2CC[C@H]2C2CCCC2)cccc1[N+](=O)[O-] ZINC000889403453 647562559 /nfs/dbraw/zinc/56/25/59/647562559.db2.gz ZXLAMOCUXUVEEC-LBPRGKRZSA-N 0 0 289.335 2.582 20 5 CFBDRN Cc1cc(C(=O)N2CCCSC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000889915369 647700456 /nfs/dbraw/zinc/70/04/56/647700456.db2.gz RABLJCGDTQVRRU-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@@H]1CSCCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000889917280 647700572 /nfs/dbraw/zinc/70/05/72/647700572.db2.gz ASWPIIRHMNJBCG-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCC=CO2)c1C ZINC000890101683 647744864 /nfs/dbraw/zinc/74/48/64/647744864.db2.gz PHEHFZLFJGXAKU-GFCCVEGCSA-N 0 0 290.319 2.634 20 5 CFBDRN O=C(/C=C/c1cccnc1)OCc1ccc([N+](=O)[O-])cc1 ZINC000123108909 647834159 /nfs/dbraw/zinc/83/41/59/647834159.db2.gz HJUUQYYOOZELCU-VMPITWQZSA-N 0 0 284.271 2.746 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1ccccc1O ZINC000010530457 647878768 /nfs/dbraw/zinc/87/87/68/647878768.db2.gz GBVBLSMZWPREJO-UHFFFAOYSA-N 0 0 273.244 2.657 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC000924035943 647915156 /nfs/dbraw/zinc/91/51/56/647915156.db2.gz QAETYECXYKGFSE-RVMXOQNASA-N 0 0 288.347 2.925 20 5 CFBDRN CCC1(CC(=O)OCc2cccc([N+](=O)[O-])c2C)COC1 ZINC000924343848 647962801 /nfs/dbraw/zinc/96/28/01/647962801.db2.gz PZIULRDTVVPTRZ-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN COc1ccc(COC(=O)[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000924430710 647980232 /nfs/dbraw/zinc/98/02/32/647980232.db2.gz SGPVWXJXXHCYKP-IAQYHMDHSA-N 0 0 291.303 2.837 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ncco1 ZINC000926493186 648509039 /nfs/dbraw/zinc/50/90/39/648509039.db2.gz ORGWDUYYFXJEGR-UWVGGRQHSA-N 0 0 261.281 2.995 20 5 CFBDRN O=C(NCCC1(c2ccccc2)CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000927547102 648796611 /nfs/dbraw/zinc/79/66/11/648796611.db2.gz WVMZDHZXLJJILO-UHFFFAOYSA-N 0 0 299.330 2.775 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)Nc1cccc([N+](=O)[O-])c1 ZINC000927697817 648816024 /nfs/dbraw/zinc/81/60/24/648816024.db2.gz RHPQVCHWXKEHEJ-YGRLFVJLSA-N 0 0 275.308 2.907 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000928047784 648850328 /nfs/dbraw/zinc/85/03/28/648850328.db2.gz QOIUWALBRPNHOX-WABIZIGASA-N 0 0 299.330 2.850 20 5 CFBDRN C[C@@H](NC(=O)CSC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928245645 648887686 /nfs/dbraw/zinc/88/76/86/648887686.db2.gz SVVAZXIIIABLLI-SECBINFHSA-N 0 0 280.349 2.668 20 5 CFBDRN CC[C@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247229 648888169 /nfs/dbraw/zinc/88/81/69/648888169.db2.gz RDUARMAOIJZWPM-VHSXEESVSA-N 0 0 250.298 2.818 20 5 CFBDRN CO[C@H](C)CCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928249650 648888335 /nfs/dbraw/zinc/88/83/35/648888335.db2.gz UIXPJQUVVHWZON-GHMZBOCLSA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@@H](NC(=O)CC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000928248315 648888886 /nfs/dbraw/zinc/88/88/86/648888886.db2.gz KEGVXBUKTFVXCN-VXGBXAGGSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H](NC(=O)COC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000928249888 648890440 /nfs/dbraw/zinc/89/04/40/648890440.db2.gz VQVTXHLIWKGVMX-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN COc1cccc(OCc2ccc([N+](=O)[O-])cc2)c1C=O ZINC000928549641 648924361 /nfs/dbraw/zinc/92/43/61/648924361.db2.gz ICLKEXPNDATQCJ-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000928940108 648968311 /nfs/dbraw/zinc/96/83/11/648968311.db2.gz IXJXMDAAEKFZMP-ONEGZZNKSA-N 0 0 274.729 2.917 20 5 CFBDRN O=C(C[C@H]1CC[C@@H](C2CC2)O1)Nc1cccc([N+](=O)[O-])c1 ZINC000929134264 648986932 /nfs/dbraw/zinc/98/69/32/648986932.db2.gz ULOFQAIXEDBNBB-KGLIPLIRSA-N 0 0 290.319 2.881 20 5 CFBDRN O=C(C[C@@H]1CC[C@H](C2CC2)O1)Oc1cccc([N+](=O)[O-])c1 ZINC000929497327 649061508 /nfs/dbraw/zinc/06/15/08/649061508.db2.gz CWPUKSSKZIGBJU-UONOGXRCSA-N 0 0 291.303 2.848 20 5 CFBDRN CCC(C)(C)NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929779308 649119868 /nfs/dbraw/zinc/11/98/68/649119868.db2.gz LPVYBKVNBAJRAP-UHFFFAOYSA-N 0 0 282.315 2.971 20 5 CFBDRN CCCN(CC)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000930026483 649169388 /nfs/dbraw/zinc/16/93/88/649169388.db2.gz GXXFNFGZGIYQJN-KBPBESRZSA-N 0 0 276.336 2.957 20 5 CFBDRN CC(C)CN(C)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000930028062 649170175 /nfs/dbraw/zinc/17/01/75/649170175.db2.gz GABSHCJEBJSNBD-UONOGXRCSA-N 0 0 276.336 2.813 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@H+](CCOC2CC2)C2CC2)cc1 ZINC000930141272 649192656 /nfs/dbraw/zinc/19/26/56/649192656.db2.gz WQGNWZUUDIOGOQ-UHFFFAOYSA-N 0 0 276.336 2.738 20 5 CFBDRN CC1(C)[C@@H](c2cccc(F)c2)CC[N@@H+]1CC[N+](=O)[O-] ZINC000931257102 649397932 /nfs/dbraw/zinc/39/79/32/649397932.db2.gz PXCOMUJDLGOTDJ-CYBMUJFWSA-N 0 0 266.316 2.670 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CC[C@@H]2C(F)F)c(F)c1 ZINC000931427240 649424164 /nfs/dbraw/zinc/42/41/64/649424164.db2.gz LLJLGZRSUUAZNT-SNVBAGLBSA-N 0 0 278.205 2.712 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000933368226 649689020 /nfs/dbraw/zinc/68/90/20/649689020.db2.gz LSRKGHNZOBOLOF-QWRGUYRKSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000933367319 649689252 /nfs/dbraw/zinc/68/92/52/649689252.db2.gz VXKQEWVDVRPTJH-BVIHXZOGSA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1noc(CN(Cc2ccoc2)C2CC2)c1[N+](=O)[O-] ZINC000934005211 649741038 /nfs/dbraw/zinc/74/10/38/649741038.db2.gz WXQOLHSYALKLHQ-UHFFFAOYSA-N 0 0 277.280 2.649 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000934115156 649751566 /nfs/dbraw/zinc/75/15/66/649751566.db2.gz FNFNMMDBLOIVDS-CHWSQXEVSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1cocn1 ZINC000118296479 651290440 /nfs/dbraw/zinc/29/04/40/651290440.db2.gz XDUWXSMCPLTAPT-UHFFFAOYSA-N 0 0 282.639 2.764 20 5 CFBDRN C[C@H](Oc1ccnc(=S)[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001226939964 777702930 /nfs/dbraw/zinc/70/29/30/777702930.db2.gz LXWWPBLILWTMOH-QMMMGPOBSA-N 0 0 277.305 2.814 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc2ccc(NC3CSC3)nc21 ZINC001168365288 778531242 /nfs/dbraw/zinc/53/12/42/778531242.db2.gz SKZLLYGFMVGQJG-UHFFFAOYSA-N 0 0 279.296 2.809 20 5 CFBDRN COC(=O)c1ccncc1Nc1cccc([N+](=O)[O-])c1 ZINC001204416545 769852071 /nfs/dbraw/zinc/85/20/71/769852071.db2.gz WIYMAUSKCGPSIE-UHFFFAOYSA-N 0 0 273.248 2.520 20 5 CFBDRN COc1ncnc(Cl)c1Nc1cccc([N+](=O)[O-])c1 ZINC001204416650 769853003 /nfs/dbraw/zinc/85/30/03/769853003.db2.gz ZGVKGEJSBDOTMQ-UHFFFAOYSA-N 0 0 280.671 2.790 20 5 CFBDRN Nc1cc([N+](=O)[O-])cnc1N1CC[C@H](C2CCCCC2)C1 ZINC001167068749 769905384 /nfs/dbraw/zinc/90/53/84/769905384.db2.gz XKLKCVXXKKJGEO-LBPRGKRZSA-N 0 0 290.367 2.979 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccsn2)c1F ZINC000705831161 770111976 /nfs/dbraw/zinc/11/19/76/770111976.db2.gz JZZNYQDSDVKZIV-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CCC(=O)N(CCN(C)c1ccccc1[N+](=O)[O-])C(C)C ZINC001125332489 770148655 /nfs/dbraw/zinc/14/86/55/770148655.db2.gz UDTHGCHUACNPJM-UHFFFAOYSA-N 0 0 293.367 2.678 20 5 CFBDRN COc1cc2c(cnn2-c2cccc([N+](=O)[O-])c2)cc1N ZINC001204470109 770160326 /nfs/dbraw/zinc/16/03/26/770160326.db2.gz YCABPPMIFAXZPH-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN Cn1cnc2c1cccc2Nc1ccc(N)c([N+](=O)[O-])c1 ZINC001209938759 770167112 /nfs/dbraw/zinc/16/71/12/770167112.db2.gz YQNOZQHPHJLYSX-UHFFFAOYSA-N 0 0 283.291 2.807 20 5 CFBDRN CCCC(=O)NCCN(CC)c1ccc(C)cc1[N+](=O)[O-] ZINC001100437539 770173999 /nfs/dbraw/zinc/17/39/99/770173999.db2.gz NCHGUBTZXULIHD-UHFFFAOYSA-N 0 0 293.367 2.646 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)c(F)cnc2NCc1cncnc1 ZINC001167258437 770182634 /nfs/dbraw/zinc/18/26/34/770182634.db2.gz DMVPAGORHAONIG-UHFFFAOYSA-N 0 0 299.265 2.684 20 5 CFBDRN O=[N+]([O-])c1c(NCc2cccnn2)ccc2cnccc21 ZINC001167327473 770298310 /nfs/dbraw/zinc/29/83/10/770298310.db2.gz FJUHYNCQHAVYRT-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1sccc1C(N)=O ZINC001211286657 770476565 /nfs/dbraw/zinc/47/65/65/770476565.db2.gz XJHXQTIEZQTHPL-UHFFFAOYSA-N 0 0 277.305 2.807 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1cc([N+](=O)[O-])ccc1C ZINC001211286465 770477041 /nfs/dbraw/zinc/47/70/41/770477041.db2.gz UVCGIAWGUUCYLN-UHFFFAOYSA-N 0 0 260.297 2.997 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2cccnc2[N+](=O)[O-])cc1 ZINC000134382429 770512614 /nfs/dbraw/zinc/51/26/14/770512614.db2.gz ZPQBXWYKZGHGGX-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN C[C@H](Nc1cnc(F)c([N+](=O)[O-])c1)c1ccc(F)cn1 ZINC001167485964 770654708 /nfs/dbraw/zinc/65/47/08/770654708.db2.gz HCOSFZDYQPAAKG-ZETCQYMHSA-N 0 0 280.234 2.836 20 5 CFBDRN COCCCCOC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588447078 770711501 /nfs/dbraw/zinc/71/15/01/770711501.db2.gz DDSNEFXQDMCFMO-QXMHVHEDSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1cnc(CNc2nccc(C)c2[N+](=O)[O-])c(C)c1 ZINC000724110556 770787920 /nfs/dbraw/zinc/78/79/20/770787920.db2.gz LIJDCPUGUIQVOI-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CC1(Cc2nc(-c3ncc(F)cc3[N+](=O)[O-])no2)CCC1 ZINC001211529867 770791788 /nfs/dbraw/zinc/79/17/88/770791788.db2.gz XEFGNQMQPKGDJK-UHFFFAOYSA-N 0 0 292.270 2.912 20 5 CFBDRN O=Cc1c[nH]nc1C=Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000588509334 770899781 /nfs/dbraw/zinc/89/97/81/770899781.db2.gz MYXHMJAWDDHJLS-IWQZZHSRSA-N 0 0 277.667 2.954 20 5 CFBDRN Cc1nc(C)c(CNc2c(F)cc([N+](=O)[O-])cc2F)[nH]1 ZINC000724687359 770977194 /nfs/dbraw/zinc/97/71/94/770977194.db2.gz FEDCEUQZORZAQP-UHFFFAOYSA-N 0 0 282.250 2.825 20 5 CFBDRN Cc1ccc(Nc2cnn3c2OCCC3)cc1[N+](=O)[O-] ZINC001213665817 773214781 /nfs/dbraw/zinc/21/47/81/773214781.db2.gz MUVSXEMKNGXUJS-UHFFFAOYSA-N 0 0 274.280 2.626 20 5 CFBDRN C/C=C(/CC)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000588569711 773237335 /nfs/dbraw/zinc/23/73/35/773237335.db2.gz JWQMATKGFTYPRS-WTKPLQERSA-N 0 0 265.265 2.865 20 5 CFBDRN C[C@@H](COc1ccccc1)OCc1ccc([N+](=O)[O-])nc1 ZINC001224109134 775102405 /nfs/dbraw/zinc/10/24/05/775102405.db2.gz OKOVAAKFBJLFHZ-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN Cc1cnc(CO[C@@H](C)c2ncccn2)c(C)c1[N+](=O)[O-] ZINC001224182316 775120878 /nfs/dbraw/zinc/12/08/78/775120878.db2.gz HVVGDFRAOFBAAK-NSHDSACASA-N 0 0 288.307 2.674 20 5 CFBDRN Cc1cnc(CO[C@H](C)c2ncccn2)c(C)c1[N+](=O)[O-] ZINC001224182315 775120935 /nfs/dbraw/zinc/12/09/35/775120935.db2.gz HVVGDFRAOFBAAK-LLVKDONJSA-N 0 0 288.307 2.674 20 5 CFBDRN Cc1cnc(CO[C@H](C)C2CCOCC2)c(C)c1[N+](=O)[O-] ZINC001224182548 775121216 /nfs/dbraw/zinc/12/12/16/775121216.db2.gz JPUKMNZVEIRYKC-GFCCVEGCSA-N 0 0 294.351 2.938 20 5 CFBDRN CC[C@H](O)C(=O)OCc1ccc([N+](=O)[O-])c2ccccc12 ZINC001224205046 775128522 /nfs/dbraw/zinc/12/85/22/775128522.db2.gz XVYPGEOKOUUMAS-AWEZNQCLSA-N 0 0 289.287 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(CCOC[C@H]2CCC=CO2)cc1 ZINC001224330105 775159588 /nfs/dbraw/zinc/15/95/88/775159588.db2.gz CMLUNSVNJYMZET-CQSZACIVSA-N 0 0 263.293 2.847 20 5 CFBDRN CCC[C@@H](CC(=O)OC)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224332086 775159689 /nfs/dbraw/zinc/15/96/89/775159689.db2.gz UJGNRNOGVGDQLO-AWEZNQCLSA-N 0 0 295.335 2.886 20 5 CFBDRN C[C@@H](OCCc1ccc([N+](=O)[O-])cc1)c1cnccn1 ZINC001224334284 775161200 /nfs/dbraw/zinc/16/12/00/775161200.db2.gz VKYYUMAAEYSTTR-LLVKDONJSA-N 0 0 273.292 2.705 20 5 CFBDRN CCOC(=O)/C=C/CO[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001224345558 775164107 /nfs/dbraw/zinc/16/41/07/775164107.db2.gz UKTVXXNBEAJEPV-OALRPVAKSA-N 0 0 279.292 2.792 20 5 CFBDRN CCOC(=O)CCCCCCO[C@H](C[N+](=O)[O-])C1CC1 ZINC001224391351 775170758 /nfs/dbraw/zinc/17/07/58/775170758.db2.gz INWMADSJDSODBD-CYBMUJFWSA-N 0 0 287.356 2.572 20 5 CFBDRN CC(C)O[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC001204611378 775181220 /nfs/dbraw/zinc/18/12/20/775181220.db2.gz MFVHUXOMKOSJNG-JTQLQIEISA-N 0 0 268.288 2.738 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](OCC[C@@H]1CCOC1)C2 ZINC001224695667 775250162 /nfs/dbraw/zinc/25/01/62/775250162.db2.gz BMNZRPIGNVCMIA-XHDPSFHLSA-N 0 0 277.320 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](OCC[C@H]1CCOC1)C2 ZINC001224695664 775250484 /nfs/dbraw/zinc/25/04/84/775250484.db2.gz BMNZRPIGNVCMIA-IAQYHMDHSA-N 0 0 277.320 2.505 20 5 CFBDRN CC(C)=CCCO[C@@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001224716628 775257716 /nfs/dbraw/zinc/25/77/16/775257716.db2.gz NZMRVYDHKVMWPN-NSHDSACASA-N 0 0 267.329 2.861 20 5 CFBDRN C[C@@H](OCCOc1ccc([N+](=O)[O-])cc1)c1ncccn1 ZINC001224777347 775271503 /nfs/dbraw/zinc/27/15/03/775271503.db2.gz SRTKHQSJKMTYRA-LLVKDONJSA-N 0 0 289.291 2.541 20 5 CFBDRN C[C@@H]1C[C@H](OCCOc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001224778826 775271866 /nfs/dbraw/zinc/27/18/66/775271866.db2.gz MUPKMFHSWIKIQO-BXUZGUMPSA-N 0 0 281.308 2.558 20 5 CFBDRN C[C@@H](OCCCC1OCCO1)c1ccccc1[N+](=O)[O-] ZINC001224936459 775311600 /nfs/dbraw/zinc/31/16/00/775311600.db2.gz UHDVOOPINMJQHX-LLVKDONJSA-N 0 0 281.308 2.826 20 5 CFBDRN COC(=O)C1(CO[C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC001224981705 775325867 /nfs/dbraw/zinc/32/58/67/775325867.db2.gz QXZNXIKVFAQSNC-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@@H](OCC(=O)OCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC001224988092 775326575 /nfs/dbraw/zinc/32/65/75/775326575.db2.gz YBRBGHMBMSDKOM-LLVKDONJSA-N 0 0 293.319 2.843 20 5 CFBDRN CCC(CC)OCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC001224986523 775327134 /nfs/dbraw/zinc/32/71/34/775327134.db2.gz HXJZIPVRVYENBD-UHFFFAOYSA-N 0 0 281.308 2.843 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(Cl)cn1)c1cccnc1 ZINC001225274821 775387922 /nfs/dbraw/zinc/38/79/22/775387922.db2.gz OJLUJNXXGPHOBQ-NSHDSACASA-N 0 0 279.683 2.527 20 5 CFBDRN O=C1CCc2ccc(O[C@@H](C[N+](=O)[O-])c3cccnc3)cc21 ZINC001225359030 775427114 /nfs/dbraw/zinc/42/71/14/775427114.db2.gz QTBJTTINZXPDQX-INIZCTEOSA-N 0 0 298.298 2.607 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225383213 775436703 /nfs/dbraw/zinc/43/67/03/775436703.db2.gz WVIRAHAPEOFTQT-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC001225386437 775438787 /nfs/dbraw/zinc/43/87/87/775438787.db2.gz ZSQVYMRKMUPISV-UWVGGRQHSA-N 0 0 297.282 2.845 20 5 CFBDRN COc1cc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)ccc1[N+](=O)[O-] ZINC001225435840 775455587 /nfs/dbraw/zinc/45/55/87/775455587.db2.gz LUOJAFKGTBZVKE-OPQQBVKSSA-N 0 0 261.277 2.947 20 5 CFBDRN Cc1cncc(O[C@H]2CCN(c3ccccc3[N+](=O)[O-])C2)c1 ZINC001225479854 775473140 /nfs/dbraw/zinc/47/31/40/775473140.db2.gz CNJAVNRTENMJDN-ZDUSSCGKSA-N 0 0 299.330 2.956 20 5 CFBDRN C[C@@H](Oc1cc([O-])ccc1[N+](=O)[O-])c1cccnc1 ZINC001225488594 775477174 /nfs/dbraw/zinc/47/71/74/775477174.db2.gz IOPZNCVJEXRXLA-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1O[C@@H]1CCC(F)(F)C1 ZINC001225494406 775480720 /nfs/dbraw/zinc/48/07/20/775480720.db2.gz RIQUBWQBCKXVDD-MRVPVSSYSA-N 0 0 259.208 2.867 20 5 CFBDRN C[C@H](Oc1cc(F)ccc1[N+](=O)[O-])c1cncnc1 ZINC001225579130 775509805 /nfs/dbraw/zinc/50/98/05/775509805.db2.gz RMSAKMCBIILIHP-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H](Oc1cc(F)ccc1[N+](=O)[O-])c1cnccn1 ZINC001225577869 775510009 /nfs/dbraw/zinc/51/00/09/775510009.db2.gz JSSPZYGMLZXUIA-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1cccnc1OC[C@H]1CCc2ccccc2O1 ZINC001225591264 775515283 /nfs/dbraw/zinc/51/52/83/775515283.db2.gz VWVLTYRUCJCMGC-GFCCVEGCSA-N 0 0 286.287 2.762 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCCCC2=O)c([N+](=O)[O-])c1 ZINC001225593886 775516559 /nfs/dbraw/zinc/51/65/59/775516559.db2.gz BSORTOOOPSUHGB-GFCCVEGCSA-N 0 0 294.263 2.702 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2cccnc2[N+](=O)[O-])cn1 ZINC001225629585 775532504 /nfs/dbraw/zinc/53/25/04/775532504.db2.gz PXUFFSYYRGEZNT-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cccc(O[C@H]2C=C(C)OC(=O)C2)c1[N+](=O)[O-] ZINC001225631011 775534010 /nfs/dbraw/zinc/53/40/10/775534010.db2.gz CAVXCCHFRHBDLP-JTQLQIEISA-N 0 0 263.249 2.501 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1cccc(C)c1[N+](=O)[O-] ZINC001225635369 775534734 /nfs/dbraw/zinc/53/47/34/775534734.db2.gz GEYVGBNKMQZFQS-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cccc(O[C@@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC001225635379 775534862 /nfs/dbraw/zinc/53/48/62/775534862.db2.gz GRGAOUURKUODCU-GHMZBOCLSA-N 0 0 251.282 2.850 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1cccc(C)c1[N+](=O)[O-] ZINC001225635367 775534963 /nfs/dbraw/zinc/53/49/63/775534963.db2.gz GEYVGBNKMQZFQS-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cc(O[C@@H]2CC(F)(F)C2(F)F)ncc1[N+](=O)[O-] ZINC001225659216 775545561 /nfs/dbraw/zinc/54/55/61/775545561.db2.gz ORFDHXPOLLPTBP-SSDOTTSWSA-N 0 0 280.177 2.720 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1OC[C@@H]1CCC=CO1 ZINC001225664476 775547600 /nfs/dbraw/zinc/54/76/00/775547600.db2.gz OXGRYBOPUHBKEY-VIFPVBQESA-N 0 0 253.229 2.805 20 5 CFBDRN C[C@@H](COc1ccccc1)Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225666762 775548618 /nfs/dbraw/zinc/54/86/18/775548618.db2.gz MBMWPPNXEDJSHO-JTQLQIEISA-N 0 0 290.275 2.542 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1OC1CCN(CCF)CC1 ZINC001225668330 775550167 /nfs/dbraw/zinc/55/01/67/775550167.db2.gz YQGKBSFJWAJDIU-UHFFFAOYSA-N 0 0 286.278 2.547 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225668195 775550311 /nfs/dbraw/zinc/55/03/11/775550311.db2.gz ZUVGPEWOCKFBAD-NSHDSACASA-N 0 0 282.296 2.612 20 5 CFBDRN O=c1cc[nH]c(OC2CCCCCC2)c1[N+](=O)[O-] ZINC001225668186 775550498 /nfs/dbraw/zinc/55/04/98/775550498.db2.gz ZRXBHQOPNCYZJQ-UHFFFAOYSA-N 0 0 252.270 2.797 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cccc(F)c1[N+](=O)[O-] ZINC001225670224 775550617 /nfs/dbraw/zinc/55/06/17/775550617.db2.gz BKYRBAXATIYNTC-WCBMZHEXSA-N 0 0 255.245 2.538 20 5 CFBDRN O=c1cc[nH]c(O[C@@H](c2cccnc2)C2CC2)c1[N+](=O)[O-] ZINC001225668016 775550637 /nfs/dbraw/zinc/55/06/37/775550637.db2.gz XUZVQWICUQHFRY-CYBMUJFWSA-N 0 0 287.275 2.621 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cccc(F)c1[N+](=O)[O-] ZINC001225670223 775550791 /nfs/dbraw/zinc/55/07/91/775550791.db2.gz BKYRBAXATIYNTC-SCZZXKLOSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C[C@]1(C)CO ZINC000694707230 775552901 /nfs/dbraw/zinc/55/29/01/775552901.db2.gz NYIATBZRQCMCAP-QMTHXVAHSA-N 0 0 298.770 2.699 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCOC[C@H]2C)c1 ZINC001225680929 775555013 /nfs/dbraw/zinc/55/50/13/775555013.db2.gz GIAJCEABQXPUSL-PWSUYJOCSA-N 0 0 251.282 2.707 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1cc(C)ccc1[N+](=O)[O-] ZINC001225681025 775555354 /nfs/dbraw/zinc/55/53/54/775555354.db2.gz JAYWNDFDWMAPTM-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(C)ccc1[N+](=O)[O-] ZINC001225681957 775557099 /nfs/dbraw/zinc/55/70/99/775557099.db2.gz HSHJTKXJSUJFTP-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cc(C)ccc2[N+](=O)[O-])C1 ZINC001225682639 775557472 /nfs/dbraw/zinc/55/74/72/775557472.db2.gz LLYXEGVUJPESFV-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1c(O[C@H]2CCOC[C@@H]2C)cccc1[N+](=O)[O-] ZINC001225693253 775561322 /nfs/dbraw/zinc/56/13/22/775561322.db2.gz RVBWCDIIFCDICQ-CABZTGNLSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1c(O[C@@H]2CCOC[C@@H]2C)cccc1[N+](=O)[O-] ZINC001225693254 775561480 /nfs/dbraw/zinc/56/14/80/775561480.db2.gz RVBWCDIIFCDICQ-JOYOIKCWSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1O[C@H]1CCc2nccn2C1 ZINC001225712809 775570257 /nfs/dbraw/zinc/57/02/57/775570257.db2.gz KDQHRVLQQIKEHT-JTQLQIEISA-N 0 0 293.710 2.839 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC001225714150 775570975 /nfs/dbraw/zinc/57/09/75/775570975.db2.gz ZUOKIBMAXSZIMB-MRVPVSSYSA-N 0 0 285.683 2.745 20 5 CFBDRN CO[C@H](C)COc1cccc([N+](=O)[O-])c1Br ZINC001225760084 775585030 /nfs/dbraw/zinc/58/50/30/775585030.db2.gz YXYPVUSCKOYICJ-SSDOTTSWSA-N 0 0 290.113 2.771 20 5 CFBDRN C[C@H](Oc1cc(=O)[nH]c(=S)[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001225764221 775585103 /nfs/dbraw/zinc/58/51/03/775585103.db2.gz DUVCKXWJBFGJSB-ZETCQYMHSA-N 0 0 293.304 2.519 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC001225769825 775587602 /nfs/dbraw/zinc/58/76/02/775587602.db2.gz PASXOCZYLUIVHI-LBPRGKRZSA-N 0 0 267.281 2.740 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC001225770522 775588069 /nfs/dbraw/zinc/58/80/69/775588069.db2.gz XKLUJNKVQPDQFB-SNVBAGLBSA-N 0 0 297.307 2.714 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225786639 775593145 /nfs/dbraw/zinc/59/31/45/775593145.db2.gz WDLXWHGFBRDEIG-SSDOTTSWSA-N 0 0 273.672 2.579 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2cccc([N+](=O)[O-])c2F)C1 ZINC001225797122 775596278 /nfs/dbraw/zinc/59/62/78/775596278.db2.gz PQINDZQHIDFBRV-VHSXEESVSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cccc([N+](=O)[O-])c2F)O1 ZINC001225794307 775596316 /nfs/dbraw/zinc/59/63/16/775596316.db2.gz MRNYQIBOLUXQIL-IUCAKERBSA-N 0 0 255.245 2.680 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1cccc([N+](=O)[O-])c1F ZINC001225794215 775596363 /nfs/dbraw/zinc/59/63/63/775596363.db2.gz LBLPGUWREBJSFS-GXSJLCMTSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(C[C@H](C)Oc1cccc([N+](=O)[O-])c1F)OC ZINC001225797211 775597201 /nfs/dbraw/zinc/59/72/01/775597201.db2.gz RYFLYTVVCNYUNO-QMMMGPOBSA-N 0 0 273.260 2.510 20 5 CFBDRN CCOC(=O)c1c(C)cccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225809760 775600396 /nfs/dbraw/zinc/60/03/96/775600396.db2.gz HGVPWWGEDDRQCK-ZDUSSCGKSA-N 0 0 293.319 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc([N+](=O)[O-])c1O[C@@H]1C=CCC1 ZINC001225893725 775624993 /nfs/dbraw/zinc/62/49/93/775624993.db2.gz AHOOOXZELXUYAM-MRVPVSSYSA-N 0 0 268.200 2.740 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1ccc(F)cc1[N+](=O)[O-] ZINC001225907824 775631410 /nfs/dbraw/zinc/63/14/10/775631410.db2.gz SBPCKWVDYVKAQP-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1ccc(F)cc1[N+](=O)[O-] ZINC001225907823 775631421 /nfs/dbraw/zinc/63/14/21/775631421.db2.gz SBPCKWVDYVKAQP-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1ccc([N+](=O)[O-])c(F)c1 ZINC001225915487 775633546 /nfs/dbraw/zinc/63/35/46/775633546.db2.gz JXRBJGOLINMVSJ-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCC=CO2)cc1F ZINC001225913860 775633727 /nfs/dbraw/zinc/63/37/27/775633727.db2.gz WZEHMQBRCUWTJM-JTQLQIEISA-N 0 0 253.229 2.805 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC001225916259 775634158 /nfs/dbraw/zinc/63/41/58/775634158.db2.gz QBDQOIOUUFFUSW-NXEZZACHSA-N 0 0 297.282 2.845 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])cc2F)=C1C ZINC001225943100 775643513 /nfs/dbraw/zinc/64/35/13/775643513.db2.gz XWGBVYKUITVFHI-JTQLQIEISA-N 0 0 281.239 2.722 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1ccc([N+](=O)[O-])cc1F ZINC001225947083 775644860 /nfs/dbraw/zinc/64/48/60/775644860.db2.gz RTXGRQYIEBASOO-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1ccc([N+](=O)[O-])cc1F ZINC001225947430 775645112 /nfs/dbraw/zinc/64/51/12/775645112.db2.gz XNJXATBTRLZTFG-GZMMTYOYSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H](Oc1c(F)cccc1[N+](=O)[O-])c1cncnc1 ZINC001226010189 775667220 /nfs/dbraw/zinc/66/72/20/775667220.db2.gz RELWPEUMKHBUTE-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1c(F)cccc1[N+](=O)[O-] ZINC001226010912 775667394 /nfs/dbraw/zinc/66/73/94/775667394.db2.gz XGSLBPDKGCVVTJ-LDYMZIIASA-N 0 0 255.245 2.538 20 5 CFBDRN CCC(CC)Oc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC001226066462 775687052 /nfs/dbraw/zinc/68/70/52/775687052.db2.gz JENRJXZQWSHJMV-UHFFFAOYSA-N 0 0 287.337 2.566 20 5 CFBDRN Cc1ccnc(O[C@H]2COCc3ccccc32)c1[N+](=O)[O-] ZINC001226118875 775705149 /nfs/dbraw/zinc/70/51/49/775705149.db2.gz MMBKUYZLBOLBLI-ZDUSSCGKSA-N 0 0 286.287 2.949 20 5 CFBDRN Cc1ccnc(O[C@@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC001226117716 775705775 /nfs/dbraw/zinc/70/57/75/775705775.db2.gz FZUSJXHNRKFQDT-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1OC[C@H]1CCC=CO1 ZINC001226129785 775710297 /nfs/dbraw/zinc/71/02/97/775710297.db2.gz HEBNZMLQDZKSNN-SECBINFHSA-N 0 0 270.672 2.715 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ncc(Cl)cc2[N+](=O)[O-])=C1C ZINC001226130330 775710415 /nfs/dbraw/zinc/71/04/15/775710415.db2.gz LJWYHLCQYYBBIZ-SECBINFHSA-N 0 0 298.682 2.631 20 5 CFBDRN CCC[C@H](CC)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226167457 775723346 /nfs/dbraw/zinc/72/33/46/775723346.db2.gz JQZAKSBFUUATGS-JTQLQIEISA-N 0 0 266.297 2.651 20 5 CFBDRN CC[C@H](Oc1ccc([N+](=O)[O-])cc1C(N)=O)C(C)(C)C ZINC001226168211 775723753 /nfs/dbraw/zinc/72/37/53/775723753.db2.gz PUSQCHKQZHNKOT-LBPRGKRZSA-N 0 0 280.324 2.897 20 5 CFBDRN C[C@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)CC1 ZINC001226168119 775723772 /nfs/dbraw/zinc/72/37/72/775723772.db2.gz NRVOUGFKGSUNCU-HOMQSWHASA-N 0 0 278.308 2.651 20 5 CFBDRN CCC[C@@H](Oc1ccc([N+](=O)[O-])cc1C(N)=O)C(C)C ZINC001226168899 775723869 /nfs/dbraw/zinc/72/38/69/775723869.db2.gz WTRQCVOSQHWJEZ-GFCCVEGCSA-N 0 0 280.324 2.897 20 5 CFBDRN COC(=O)Cc1ccc(OC2CCCC2)c([N+](=O)[O-])c1 ZINC001226213527 775739544 /nfs/dbraw/zinc/73/95/44/775739544.db2.gz DEJILSMFSXAKBD-UHFFFAOYSA-N 0 0 279.292 2.632 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ncc([N+](=O)[O-])cc2Cl)O1 ZINC001226251950 775756383 /nfs/dbraw/zinc/75/63/83/775756383.db2.gz HOXJERPKKZQNMM-CBAPKCEASA-N 0 0 272.688 2.590 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cc1Cl)C(F)(F)F ZINC001226256831 775757260 /nfs/dbraw/zinc/75/72/60/775757260.db2.gz YWHFOWMJHSHOFY-SCSAIBSYSA-N 0 0 270.594 2.973 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1cc([N+](=O)[O-])ccc1F ZINC001226265696 775762012 /nfs/dbraw/zinc/76/20/12/775762012.db2.gz KOVDSMMUUOATLF-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(OC[C@@H]2CCCCO2)c1 ZINC001226265857 775762554 /nfs/dbraw/zinc/76/25/54/775762554.db2.gz MLNJIGOUVZHJKF-JTQLQIEISA-N 0 0 255.245 2.682 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2cc([N+](=O)[O-])ccc2F)C1 ZINC001226270144 775763242 /nfs/dbraw/zinc/76/32/42/775763242.db2.gz NMKBLEOFKONIQL-MWLCHTKSSA-N 0 0 297.282 2.845 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226291635 775770268 /nfs/dbraw/zinc/77/02/68/775770268.db2.gz GKKQRXAECJXZPB-CYBMUJFWSA-N 0 0 279.292 2.934 20 5 CFBDRN CC[C@H](COC)Oc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226293086 775770294 /nfs/dbraw/zinc/77/02/94/775770294.db2.gz UFFJDIKRNMJCSC-LLVKDONJSA-N 0 0 267.281 2.601 20 5 CFBDRN O=C1CC(Oc2cc(C(F)(F)F)ccc2[N+](=O)[O-])C1 ZINC001226302570 775772591 /nfs/dbraw/zinc/77/25/91/775772591.db2.gz FFZMDFIZKIUZOE-UHFFFAOYSA-N 0 0 275.182 2.724 20 5 CFBDRN Cc1cnc(=O)[nH]c1O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001226328854 775780765 /nfs/dbraw/zinc/78/07/65/775780765.db2.gz BUDXYDDGBCLQJV-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN C[C@H](Cc1ccco1)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226333841 775782901 /nfs/dbraw/zinc/78/29/01/775782901.db2.gz OPWDDNSUDKBZGJ-SECBINFHSA-N 0 0 263.249 2.903 20 5 CFBDRN C[C@@H](Oc1c(O)cccc1[N+](=O)[O-])C(F)(F)F ZINC001226337549 775783573 /nfs/dbraw/zinc/78/35/73/775783573.db2.gz YQMUEUZPCXWUQP-RXMQYKEDSA-N 0 0 251.160 2.630 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226337717 775783685 /nfs/dbraw/zinc/78/36/85/775783685.db2.gz BZABQGQBFWLJBV-SECBINFHSA-N 0 0 269.297 2.883 20 5 CFBDRN O=C(NCCC(F)F)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000311138816 775795536 /nfs/dbraw/zinc/79/55/36/775795536.db2.gz YFFDVZDORVFVRL-UHFFFAOYSA-N 0 0 278.642 2.633 20 5 CFBDRN Cc1conc1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001125864349 775816246 /nfs/dbraw/zinc/81/62/46/775816246.db2.gz OGFUOQPWLBPBIZ-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN C[C@@H](Oc1ncnc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC001226434394 775821546 /nfs/dbraw/zinc/82/15/46/775821546.db2.gz MADJPMDWZNLXGM-MRVPVSSYSA-N 0 0 259.265 2.715 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC2CCSCC2)c1 ZINC001226442431 775822340 /nfs/dbraw/zinc/82/23/40/775822340.db2.gz BEVDSOJIPBGQLE-UHFFFAOYSA-N 0 0 269.322 2.878 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1cc(OC)ccc1[N+](=O)[O-] ZINC001226443027 775824660 /nfs/dbraw/zinc/82/46/60/775824660.db2.gz LBUPQGACRRDKHO-SNVBAGLBSA-N 0 0 297.307 2.714 20 5 CFBDRN CC(C)(C)c1ccc(OC2CCN(N)CC2)c([N+](=O)[O-])c1 ZINC001226445105 775825580 /nfs/dbraw/zinc/82/55/80/775825580.db2.gz ACKLELNVRJJQMB-UHFFFAOYSA-N 0 0 293.367 2.609 20 5 CFBDRN Cc1nc(O[C@H](C)c2ccccc2[N+](=O)[O-])cc(=O)[nH]1 ZINC001226510786 775854194 /nfs/dbraw/zinc/85/41/94/775854194.db2.gz PZFFRSBIXHKGMQ-MRVPVSSYSA-N 0 0 275.264 2.539 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226532519 775864416 /nfs/dbraw/zinc/86/44/16/775864416.db2.gz UYBDCWTWHNTGPM-SNVBAGLBSA-N 0 0 295.291 2.518 20 5 CFBDRN COc1cc(O[C@@H]2CCCC[C@H]2C)ncc1[N+](=O)[O-] ZINC001226580560 775880776 /nfs/dbraw/zinc/88/07/76/775880776.db2.gz BJURWRDEKWCYDJ-MWLCHTKSSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1cc(O[C@H](C(C)C)C(F)(F)F)ncc1[N+](=O)[O-] ZINC001226580590 775880793 /nfs/dbraw/zinc/88/07/93/775880793.db2.gz BKFJZFLGOYGCMK-SNVBAGLBSA-N 0 0 294.229 2.964 20 5 CFBDRN COc1cc(O[C@H]2CCC(=O)CC2(C)C)ncc1[N+](=O)[O-] ZINC001226581007 775881200 /nfs/dbraw/zinc/88/12/00/775881200.db2.gz IETMNVZETWQWLJ-LBPRGKRZSA-N 0 0 294.307 2.525 20 5 CFBDRN COc1cc(O[C@@H]2CCC(=O)CC2(C)C)ncc1[N+](=O)[O-] ZINC001226581006 775881758 /nfs/dbraw/zinc/88/17/58/775881758.db2.gz IETMNVZETWQWLJ-GFCCVEGCSA-N 0 0 294.307 2.525 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2ncsc2c1)C1CC1 ZINC001226643248 775900683 /nfs/dbraw/zinc/90/06/83/775900683.db2.gz ZHSXUORCJTTYRX-NSHDSACASA-N 0 0 264.306 2.730 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1ccc([N+](=O)[O-])nc1 ZINC001226708812 775931676 /nfs/dbraw/zinc/93/16/76/775931676.db2.gz CWSNKGVIJYIFBG-GFCCVEGCSA-N 0 0 266.297 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2Cc3ccccc3C2)cn1 ZINC001226708933 775932548 /nfs/dbraw/zinc/93/25/48/775932548.db2.gz AZKUAFPBQXILJF-UHFFFAOYSA-N 0 0 256.261 2.536 20 5 CFBDRN Cc1c(NC(C)(C)CCO)cc(F)cc1[N+](=O)[O-] ZINC001167739643 775940112 /nfs/dbraw/zinc/94/01/12/775940112.db2.gz LOOBLZYFQJRJCA-UHFFFAOYSA-N 0 0 256.277 2.615 20 5 CFBDRN CC[C@H](C)CC[C@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733363 775941489 /nfs/dbraw/zinc/94/14/89/775941489.db2.gz NPBPWNFYKGWVRU-UWVGGRQHSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2Oc1ncc([N+](=O)[O-])cn1 ZINC001226732656 775941838 /nfs/dbraw/zinc/94/18/38/775941838.db2.gz XTPAEUAWYDCRJK-ZDUSSCGKSA-N 0 0 271.276 2.760 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2ncc([N+](=O)[O-])cn2)[C@@H]1C ZINC001226734008 775943142 /nfs/dbraw/zinc/94/31/42/775943142.db2.gz VSRFAYSGOIYPRY-FXPVBKGRSA-N 0 0 251.286 2.588 20 5 CFBDRN Cc1cccc(OC[C@H](C)Oc2ncc([N+](=O)[O-])cn2)c1 ZINC001226734598 775943001 /nfs/dbraw/zinc/94/30/01/775943001.db2.gz ZMNJYYFQYUCNOY-NSHDSACASA-N 0 0 289.291 2.540 20 5 CFBDRN CC(C)(CCO)Nc1cc2cc[nH]c2c([N+](=O)[O-])c1 ZINC001167740322 775944148 /nfs/dbraw/zinc/94/41/48/775944148.db2.gz YLAATTZOJZTDIU-UHFFFAOYSA-N 0 0 263.297 2.649 20 5 CFBDRN COC(C[C@H](C)Oc1c(F)cc([N+](=O)[O-])cc1F)OC ZINC001226743624 775944337 /nfs/dbraw/zinc/94/43/37/775944337.db2.gz DWPXWPWGICIJKD-ZETCQYMHSA-N 0 0 291.250 2.649 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226747856 775945098 /nfs/dbraw/zinc/94/50/98/775945098.db2.gz GWUWDWFOVFUYJY-SNVBAGLBSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(O[C@@H]2COc3cnccc32)c(F)c1 ZINC001226748242 775945222 /nfs/dbraw/zinc/94/52/22/775945222.db2.gz IZQRFMQIDHYKFT-GFCCVEGCSA-N 0 0 294.213 2.781 20 5 CFBDRN CC(=O)[C@@H](Oc1ncc(F)cc1[N+](=O)[O-])c1ccccc1 ZINC001226751161 775946341 /nfs/dbraw/zinc/94/63/41/775946341.db2.gz FOMMGEHHYXGTAI-CYBMUJFWSA-N 0 0 290.250 2.838 20 5 CFBDRN C[C@H](COc1ncc(F)cc1[N+](=O)[O-])Oc1ccccc1 ZINC001226750929 775947162 /nfs/dbraw/zinc/94/71/62/775947162.db2.gz BCWQPIIQFGNTHZ-SNVBAGLBSA-N 0 0 292.266 2.975 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1Cl ZINC001226762096 775953288 /nfs/dbraw/zinc/95/32/88/775953288.db2.gz NYTAWDFBILOJPZ-KOLCDFICSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1cccc(OC2CCC3(CC2)OCCO3)c1 ZINC001226766124 775954357 /nfs/dbraw/zinc/95/43/57/775954357.db2.gz ZFWNNVJUWGVQKL-UHFFFAOYSA-N 0 0 279.292 2.659 20 5 CFBDRN C[C@H](Oc1ccc(N)nc1)c1cccc([N+](=O)[O-])c1 ZINC001226779215 775959280 /nfs/dbraw/zinc/95/92/80/775959280.db2.gz DDNYSKMLVZADOU-VIFPVBQESA-N 0 0 259.265 2.712 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226806039 775972210 /nfs/dbraw/zinc/97/22/10/775972210.db2.gz GLKBCBSNAQCEKG-SSDOTTSWSA-N 0 0 273.672 2.579 20 5 CFBDRN CCOC(=O)C1CC(Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226810235 775973570 /nfs/dbraw/zinc/97/35/70/775973570.db2.gz LDCFQUXPXULQGL-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN Cc1cc(O[C@H](C)c2ccccc2[N+](=O)[O-])n(C)n1 ZINC001226836428 775985319 /nfs/dbraw/zinc/98/53/19/775985319.db2.gz MNSWEDYXMAMPJW-SNVBAGLBSA-N 0 0 261.281 2.777 20 5 CFBDRN Cc1cc(OCC[C@H]2COC(C)(C)O2)ccc1[N+](=O)[O-] ZINC001226840530 775986986 /nfs/dbraw/zinc/98/69/86/775986986.db2.gz HDMVXIUYJMEDGO-LBPRGKRZSA-N 0 0 281.308 2.824 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC001226842756 775987119 /nfs/dbraw/zinc/98/71/19/775987119.db2.gz ZFWSWSRMOZNHKG-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC2(C3CCOCC3)CC2)n1 ZINC001167744073 775987737 /nfs/dbraw/zinc/98/77/37/775987737.db2.gz XOZAKNWECZKGBC-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1cc(Cl)c([N+](=O)[O-])cn1 ZINC001226917954 776016082 /nfs/dbraw/zinc/01/60/82/776016082.db2.gz OPSBJOYVTOWPMD-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cc(Cl)c([N+](=O)[O-])cn2)CCO1 ZINC001226915366 776016857 /nfs/dbraw/zinc/01/68/57/776016857.db2.gz GPNJYWFQNDTHKV-HTQZYQBOSA-N 0 0 272.688 2.590 20 5 CFBDRN Cc1ccc(OC2CC[NH+](C3CC3)CC2)cc1[N+](=O)[O-] ZINC001226950348 776031621 /nfs/dbraw/zinc/03/16/21/776031621.db2.gz IDUUVGHWXXFOSZ-UHFFFAOYSA-N 0 0 276.336 2.909 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1ccc(C)c([N+](=O)[O-])c1 ZINC001226953307 776033190 /nfs/dbraw/zinc/03/31/90/776033190.db2.gz YZGBSARHCCKTHH-YPMHNXCESA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ncc(O[C@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC001226960345 776035923 /nfs/dbraw/zinc/03/59/23/776035923.db2.gz UJQPVSGXLFGWKP-SECBINFHSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@@H]1CCCCO1 ZINC001226971414 776040110 /nfs/dbraw/zinc/04/01/10/776040110.db2.gz MJRQZLBFCAGSOO-NSHDSACASA-N 0 0 251.282 2.851 20 5 CFBDRN COC(=O)C1(C)CC(Oc2c(C)cccc2[N+](=O)[O-])C1 ZINC001226971457 776040127 /nfs/dbraw/zinc/04/01/27/776040127.db2.gz MZODUZPYKKWWBG-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H]1CC[N@@H+](C(C)C)C1 ZINC001226971461 776040346 /nfs/dbraw/zinc/04/03/46/776040346.db2.gz NIBXALGVPLXUBM-GFCCVEGCSA-N 0 0 264.325 2.765 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1c(C)cccc1[N+](=O)[O-] ZINC001226971978 776040567 /nfs/dbraw/zinc/04/05/67/776040567.db2.gz UUVSPDQKLCGKTF-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN CO[C@H](C)COc1c(C)ccc([N+](=O)[O-])c1Cl ZINC001226987752 776050118 /nfs/dbraw/zinc/05/01/18/776050118.db2.gz CXQZJHOGZXCDAH-MRVPVSSYSA-N 0 0 259.689 2.970 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nccc(C(F)(F)F)c1F)C1CC1 ZINC001227021952 776063215 /nfs/dbraw/zinc/06/32/15/776063215.db2.gz INOAKGZPNRDIBC-MRVPVSSYSA-N 0 0 294.204 2.674 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC001227043551 776070557 /nfs/dbraw/zinc/07/05/57/776070557.db2.gz FPFIOFVCJKNPRW-JGPRNRPPSA-N 0 0 277.276 2.559 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])C2CC2)nc2ccccc12 ZINC001227049152 776072107 /nfs/dbraw/zinc/07/21/07/776072107.db2.gz OZFCBBONLOVERS-AWEZNQCLSA-N 0 0 272.304 2.977 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)c2cccnc2F)n1 ZINC001227064626 776082300 /nfs/dbraw/zinc/08/23/00/776082300.db2.gz PWGNLGCUWMOPAR-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN C[C@H](Oc1[nH]c(=O)nc2ccc([N+](=O)[O-])cc21)C1CCC1 ZINC001227089896 776090737 /nfs/dbraw/zinc/09/07/37/776090737.db2.gz CUNGPVDDCNSHBB-QMMMGPOBSA-N 0 0 289.291 2.811 20 5 CFBDRN Cc1cc2c(ccnc2O[C@@H](C[N+](=O)[O-])C2CC2)o1 ZINC001227091592 776091524 /nfs/dbraw/zinc/09/15/24/776091524.db2.gz OYGKZYREEHHTFX-LBPRGKRZSA-N 0 0 262.265 2.570 20 5 CFBDRN COC(C)(C)CO[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001222358117 776096832 /nfs/dbraw/zinc/09/68/32/776096832.db2.gz BCCXUKBNGFDKPI-CYBMUJFWSA-N 0 0 265.309 2.504 20 5 CFBDRN COC(C)(C)CO[C@@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001222358829 776097875 /nfs/dbraw/zinc/09/78/75/776097875.db2.gz NEGUWZXGWHVLNK-VIFPVBQESA-N 0 0 297.307 2.826 20 5 CFBDRN C[C@H]1CC[C@H](Oc2ncc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC001227107834 776099925 /nfs/dbraw/zinc/09/99/25/776099925.db2.gz IPMAHTLWABTJFT-CZMCAQCFSA-N 0 0 281.268 2.856 20 5 CFBDRN CC(=O)[C@@H](C)Oc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC001227152132 776117214 /nfs/dbraw/zinc/11/72/14/776117214.db2.gz AHHOBRXSSZJKNG-ZCFIWIBFSA-N 0 0 261.636 2.744 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ncc(Cl)cc1Cl)C1CC1 ZINC001227181882 776127379 /nfs/dbraw/zinc/12/73/79/776127379.db2.gz VKDNZWHCWFGRCB-SECBINFHSA-N 0 0 277.107 2.823 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ncc(Cl)cc1Cl)C1CC1 ZINC001227181883 776127709 /nfs/dbraw/zinc/12/77/09/776127709.db2.gz VKDNZWHCWFGRCB-VIFPVBQESA-N 0 0 277.107 2.823 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1OC ZINC001227185674 776128856 /nfs/dbraw/zinc/12/88/56/776128856.db2.gz XQEQHHAAPVCRGA-SNVBAGLBSA-N 0 0 269.297 2.797 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H]1CCC[N@@H+](C(C)C)C1 ZINC001227187675 776129291 /nfs/dbraw/zinc/12/92/91/776129291.db2.gz PVKFSRCFQPAXIU-ZDUSSCGKSA-N 0 0 294.351 2.855 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H]1CCO[C@@H](C)C1 ZINC001227188903 776129450 /nfs/dbraw/zinc/12/94/50/776129450.db2.gz YZTQMYLGDCGEOD-ONGXEEELSA-N 0 0 267.281 2.550 20 5 CFBDRN CC[C@@H](COC)Oc1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC001227221609 776144195 /nfs/dbraw/zinc/14/41/95/776144195.db2.gz RHZHNQFCMIITHB-JTQLQIEISA-N 0 0 292.291 2.652 20 5 CFBDRN Cc1ccc(O[C@H](C)c2ccncc2F)c([N+](=O)[O-])n1 ZINC001227225101 776145696 /nfs/dbraw/zinc/14/56/96/776145696.db2.gz XUCVRLSDEUUNSH-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227232183 776148219 /nfs/dbraw/zinc/14/82/19/776148219.db2.gz NDELDIQZENJIRY-GZMMTYOYSA-N 0 0 278.264 2.540 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ncc(C)cc2[N+](=O)[O-])cn1 ZINC001227236887 776150599 /nfs/dbraw/zinc/15/05/99/776150599.db2.gz MDQAKHRZTBEWSD-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN CCOC[C@H](C)Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227239546 776153022 /nfs/dbraw/zinc/15/30/22/776153022.db2.gz XZXJXIDRVRIDKX-QMMMGPOBSA-N 0 0 266.253 2.540 20 5 CFBDRN C[C@H](Oc1ccc(Br)nc1[N+](=O)[O-])C1CC1 ZINC001227258244 776159672 /nfs/dbraw/zinc/15/96/72/776159672.db2.gz ROFUBXHHZMZOOG-LURJTMIESA-N 0 0 287.113 2.930 20 5 CFBDRN COc1ccc(F)c(O[C@H](C[N+](=O)[O-])C2CC2)c1Cl ZINC001227358262 776186287 /nfs/dbraw/zinc/18/62/87/776186287.db2.gz XUQWCCBADMMTOE-SNVBAGLBSA-N 0 0 289.690 2.922 20 5 CFBDRN CC[C@@H](C)Oc1ccc2c(c1)oc1cc(=O)ccc-1[n+]2[O-] ZINC001227448106 776206465 /nfs/dbraw/zinc/20/64/65/776206465.db2.gz AOZJMYCWZRPXTD-SNVBAGLBSA-N 0 0 285.299 2.709 20 5 CFBDRN O=C(O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1)c1ccccc1O ZINC001227483717 776211910 /nfs/dbraw/zinc/21/19/10/776211910.db2.gz CSUBBMCLRVEGKL-CYBMUJFWSA-N 0 0 299.282 2.625 20 5 CFBDRN CC[C@H](C)Oc1c([N+](=O)[O-])cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227499157 776214446 /nfs/dbraw/zinc/21/44/46/776214446.db2.gz VHHWRNAVRHQCTO-LURJTMIESA-N 0 0 285.212 2.589 20 5 CFBDRN CC1(C)C[C@@H](Oc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001227523010 776218411 /nfs/dbraw/zinc/21/84/11/776218411.db2.gz CEFNMLBJKQSDON-LBPRGKRZSA-N 0 0 251.282 2.931 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2COc3cnccc32)cc1 ZINC001227523218 776218945 /nfs/dbraw/zinc/21/89/45/776218945.db2.gz GVDWDZMUPDRXQO-CYBMUJFWSA-N 0 0 258.233 2.502 20 5 CFBDRN O=[N+]([O-])c1cc(OC(F)(F)F)ccc1OC[C@@H]1CCO1 ZINC001227602821 776239802 /nfs/dbraw/zinc/23/98/02/776239802.db2.gz YINJXUFDDBDZRT-QMMMGPOBSA-N 0 0 293.197 2.661 20 5 CFBDRN C[C@@H](OCc1ccnn1C)c1cccc([N+](=O)[O-])c1 ZINC001222715566 776252002 /nfs/dbraw/zinc/25/20/02/776252002.db2.gz WFTVLZOBGMDYEY-SNVBAGLBSA-N 0 0 261.281 2.606 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])c2nc[nH]c21)C1CCCC1 ZINC001227692557 776258911 /nfs/dbraw/zinc/25/89/11/776258911.db2.gz ZOHLDOSAYMKJML-MRVPVSSYSA-N 0 0 276.296 2.824 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1OC[C@@H]1CCC(C)(C)O1 ZINC001227698871 776261041 /nfs/dbraw/zinc/26/10/41/776261041.db2.gz YPKUZBUYVOFQKF-JTQLQIEISA-N 0 0 281.308 2.940 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H]1COc2cnccc21 ZINC001227700364 776262111 /nfs/dbraw/zinc/26/21/11/776262111.db2.gz QPGCGMFOSOHQDM-ZDUSSCGKSA-N 0 0 288.259 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(O[C@H]3C=CCCC3)nc2c1 ZINC001227745792 776277571 /nfs/dbraw/zinc/27/75/71/776277571.db2.gz OKNRATVRWRADBR-JTQLQIEISA-N 0 0 259.265 2.959 20 5 CFBDRN COC(=O)c1cccc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c1[N+](=O)[O-] ZINC001227891779 776318778 /nfs/dbraw/zinc/31/87/78/776318778.db2.gz DRJSFUGEQIIZBC-OPQQBVKSSA-N 0 0 289.287 2.725 20 5 CFBDRN CC(=O)c1ncccc1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001227978325 776347004 /nfs/dbraw/zinc/34/70/04/776347004.db2.gz JMYYWOGDJDAHND-CQSZACIVSA-N 0 0 298.298 2.739 20 5 CFBDRN C[C@@H](Cc1ccccc1)Oc1cncc([N+](=O)[O-])c1 ZINC001227987906 776350872 /nfs/dbraw/zinc/35/08/72/776350872.db2.gz LXQNNIRRIXBDAC-NSHDSACASA-N 0 0 258.277 3.000 20 5 CFBDRN CC(C)Oc1cncc(COc2cncc([N+](=O)[O-])c2)c1 ZINC001227990337 776352714 /nfs/dbraw/zinc/35/27/14/776352714.db2.gz KKZOERJBBSXTBV-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1nccc(Cl)c1[N+](=O)[O-] ZINC001228016718 776364286 /nfs/dbraw/zinc/36/42/86/776364286.db2.gz ICINSRNNLHYVOC-UWVGGRQHSA-N 0 0 286.715 2.980 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2nccc(Cl)c2[N+](=O)[O-])=C1C ZINC001228014792 776364513 /nfs/dbraw/zinc/36/45/13/776364513.db2.gz PDLYUHVLZWBMQW-MRVPVSSYSA-N 0 0 298.682 2.631 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ccnc(Cl)c1[N+](=O)[O-] ZINC001228054772 776378807 /nfs/dbraw/zinc/37/88/07/776378807.db2.gz ODEZGYQXZJXKBG-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN CCC(=O)c1cccc(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001228115693 776399523 /nfs/dbraw/zinc/39/95/23/776399523.db2.gz VSIIAACJERWGPQ-CQSZACIVSA-N 0 0 263.293 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCn3ccnc32)c2ncccc12 ZINC001228135755 776405958 /nfs/dbraw/zinc/40/59/58/776405958.db2.gz MNLKBYOIOVHSAG-CYBMUJFWSA-N 0 0 296.286 2.863 20 5 CFBDRN CCC[C@@H](C)[C@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228139910 776406514 /nfs/dbraw/zinc/40/65/14/776406514.db2.gz CKYCPJXZJCVWFA-BDAKNGLRSA-N 0 0 269.301 2.684 20 5 CFBDRN C[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1ccc(F)c(F)c1 ZINC001228141472 776406600 /nfs/dbraw/zinc/40/66/00/776406600.db2.gz QSXQKSNWQUWOKR-ZCFIWIBFSA-N 0 0 297.217 2.509 20 5 CFBDRN C[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1ccc(F)c(F)c1 ZINC001228141470 776407114 /nfs/dbraw/zinc/40/71/14/776407114.db2.gz QSXQKSNWQUWOKR-LURJTMIESA-N 0 0 297.217 2.509 20 5 CFBDRN CC(C)C1CCC(Oc2nc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC001228142935 776408486 /nfs/dbraw/zinc/40/84/86/776408486.db2.gz IKVXDRZMESPKOZ-UHFFFAOYSA-N 0 0 281.312 2.684 20 5 CFBDRN CCCCCC[C@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228143378 776408614 /nfs/dbraw/zinc/40/86/14/776408614.db2.gz LRSPCDBFKKQKCP-VIFPVBQESA-N 0 0 269.301 2.828 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228255860 776435455 /nfs/dbraw/zinc/43/54/55/776435455.db2.gz NJDWQDNVEFBOQZ-SSDOTTSWSA-N 0 0 273.672 2.579 20 5 CFBDRN CC(C)c1cccc(O[C@H](C[N+](=O)[O-])C2CC2)n1 ZINC001228319220 776457038 /nfs/dbraw/zinc/45/70/38/776457038.db2.gz ZRLWQNVBKCCWLQ-GFCCVEGCSA-N 0 0 250.298 2.639 20 5 CFBDRN Cc1nc2cc(O[C@@H](C[N+](=O)[O-])c3cccnc3)ccc2[nH]1 ZINC001228327735 776460872 /nfs/dbraw/zinc/46/08/72/776460872.db2.gz UQDMEGZUECGAKY-HNNXBMFYSA-N 0 0 298.302 2.663 20 5 CFBDRN Nc1c(F)c(NCC2SCCS2)ccc1[N+](=O)[O-] ZINC000692870278 776466608 /nfs/dbraw/zinc/46/66/08/776466608.db2.gz WORXZYQBAACIQA-UHFFFAOYSA-N 0 0 289.357 2.534 20 5 CFBDRN CC(=O)c1ccc(C)c(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001228352953 776469305 /nfs/dbraw/zinc/46/93/05/776469305.db2.gz VABFMXCUYZISAU-CQSZACIVSA-N 0 0 263.293 2.632 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@@H](C3CC3)O2)ncc1[N+](=O)[O-] ZINC000722333765 776479497 /nfs/dbraw/zinc/47/94/97/776479497.db2.gz ODVHSIPHRUAHPK-AAEUAGOBSA-N 0 0 277.324 2.668 20 5 CFBDRN C/C(=C/c1ccccn1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC001126247320 776487452 /nfs/dbraw/zinc/48/74/52/776487452.db2.gz NESNDYKWABJZME-XFXZXTDPSA-N 0 0 297.314 2.710 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@H]1CCOC[C@@H]1F ZINC001228450194 776495172 /nfs/dbraw/zinc/49/51/72/776495172.db2.gz IXADUFHPQLYJOA-AAEUAGOBSA-N 0 0 297.282 2.612 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1c(C)cc([N+](=O)[O-])cc1C ZINC001228570389 776524184 /nfs/dbraw/zinc/52/41/84/776524184.db2.gz CNNNDCANSXFBFJ-LBPRGKRZSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@H]1CCn2ccnc21 ZINC001228570309 776524605 /nfs/dbraw/zinc/52/46/05/776524605.db2.gz BHBZGKGMZQRJFD-LBPRGKRZSA-N 0 0 273.292 2.932 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1c(C)cc([N+](=O)[O-])cc1C ZINC001228570843 776524738 /nfs/dbraw/zinc/52/47/38/776524738.db2.gz IAPNGNAFHTXOQY-JTQLQIEISA-N 0 0 267.281 2.542 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2nc(C3CC3)[n-]c(=O)c2[N+](=O)[O-])C1 ZINC001228604047 776537314 /nfs/dbraw/zinc/53/73/14/776537314.db2.gz OLNIIFOYMNAUME-APPZFPTMSA-N 0 0 279.296 2.535 20 5 CFBDRN C[C@H](Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-])C1CCCC1 ZINC001228610403 776538434 /nfs/dbraw/zinc/53/84/34/776538434.db2.gz UUITZNPCUCVJAZ-QMMMGPOBSA-N 0 0 293.323 2.925 20 5 CFBDRN Cc1nc(OC2CCC2)c(Br)cc1[N+](=O)[O-] ZINC001228621391 776542089 /nfs/dbraw/zinc/54/20/89/776542089.db2.gz RAIUZRQQDIDGQV-UHFFFAOYSA-N 0 0 287.113 2.992 20 5 CFBDRN Cc1ccc(OC[C@H](C)Oc2ncncc2[N+](=O)[O-])cc1 ZINC001228654112 776554002 /nfs/dbraw/zinc/55/40/02/776554002.db2.gz HGWGBUYKCIHICR-NSHDSACASA-N 0 0 289.291 2.540 20 5 CFBDRN C[C@H](Oc1ncncc1[N+](=O)[O-])c1c(F)cccc1F ZINC001228658356 776555060 /nfs/dbraw/zinc/55/50/60/776555060.db2.gz VWAXOPSPWZIXTR-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@H]1CC(Oc2ncncc2[N+](=O)[O-])C[C@H](C)C1 ZINC001228655601 776555149 /nfs/dbraw/zinc/55/51/49/776555149.db2.gz SOPXQGIKNWORDK-RKDXNWHRSA-N 0 0 251.286 2.588 20 5 CFBDRN Cc1cc2[nH]c(O[C@@H](C)c3cnccn3)nc2cc1[N+](=O)[O-] ZINC001228676310 776564020 /nfs/dbraw/zinc/56/40/20/776564020.db2.gz ZITMGRQXHOUDSZ-VIFPVBQESA-N 0 0 299.290 2.710 20 5 CFBDRN CCC[C@@H](CC)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228685383 776567578 /nfs/dbraw/zinc/56/75/78/776567578.db2.gz GWDBRFGXHMQIBN-SECBINFHSA-N 0 0 254.286 2.961 20 5 CFBDRN CCC[C@H](CC)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228685384 776568154 /nfs/dbraw/zinc/56/81/54/776568154.db2.gz GWDBRFGXHMQIBN-VIFPVBQESA-N 0 0 254.286 2.961 20 5 CFBDRN Cc1cc2ccccc2c(O[C@H](C[N+](=O)[O-])C2CC2)n1 ZINC001228734968 776589924 /nfs/dbraw/zinc/58/99/24/776589924.db2.gz RYGATBPLAVAWBN-CQSZACIVSA-N 0 0 272.304 2.977 20 5 CFBDRN F[C@@H]1COC[C@H]1Oc1ccc2nc3ccccc3nc2c1 ZINC001228738242 776592050 /nfs/dbraw/zinc/59/20/50/776592050.db2.gz MOIMBGJBLWAMSW-BDJLRTHQSA-N 0 0 284.290 2.899 20 5 CFBDRN COC(=O)c1cc(O[C@H]2C=CCCC2)cc([N+](=O)[O-])c1 ZINC001228772182 776604564 /nfs/dbraw/zinc/60/45/64/776604564.db2.gz VNCUFJCEQOUUPP-LBPRGKRZSA-N 0 0 277.276 2.869 20 5 CFBDRN COC(=O)c1cc(O[C@@H]2CC[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC001228769928 776604636 /nfs/dbraw/zinc/60/46/36/776604636.db2.gz DYZBVHPWMJEYLH-BXKDBHETSA-N 0 0 279.292 2.949 20 5 CFBDRN COC(=O)c1cc(O[C@@H]2C=CCCC2)cc([N+](=O)[O-])c1 ZINC001228772181 776604654 /nfs/dbraw/zinc/60/46/54/776604654.db2.gz VNCUFJCEQOUUPP-GFCCVEGCSA-N 0 0 277.276 2.869 20 5 CFBDRN CCSCCOC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000125973027 776615906 /nfs/dbraw/zinc/61/59/06/776615906.db2.gz UNIUYNXLMMGRBN-UHFFFAOYSA-N 0 0 298.364 2.693 20 5 CFBDRN Cc1cc(OC[C@@H]2CCO[C@@H]2C)cc([N+](=O)[O-])c1 ZINC001228833998 776625714 /nfs/dbraw/zinc/62/57/14/776625714.db2.gz XQOUOJDYCFFRRB-MNOVXSKESA-N 0 0 251.282 2.707 20 5 CFBDRN CC1=C(Oc2cc(C)cc([N+](=O)[O-])c2)C(=O)O[C@H]1C ZINC001228833933 776626140 /nfs/dbraw/zinc/62/61/40/776626140.db2.gz VNPJUWCHAINJGR-VIFPVBQESA-N 0 0 263.249 2.501 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228837669 776627177 /nfs/dbraw/zinc/62/71/77/776627177.db2.gz KCERVGWSIHBBOT-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cc(C)cc([N+](=O)[O-])c1)C1CC1 ZINC001228839921 776629136 /nfs/dbraw/zinc/62/91/36/776629136.db2.gz ZQLLGDYFXYBWRA-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H](Oc1cncc(O)c1)c1cccc([N+](=O)[O-])c1 ZINC001228893431 776648479 /nfs/dbraw/zinc/64/84/79/776648479.db2.gz RXSPAELHGRYPHQ-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN COC(C[C@H](C)Oc1ccc([N+](=O)[O-])cc1C)OC ZINC001228916736 776658013 /nfs/dbraw/zinc/65/80/13/776658013.db2.gz ZLTCTVIFHFUAIZ-JTQLQIEISA-N 0 0 269.297 2.680 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(Cl)n1)C(F)(F)F ZINC001228934046 776662182 /nfs/dbraw/zinc/66/21/82/776662182.db2.gz KUWNRZVJYZNPMB-SCSAIBSYSA-N 0 0 270.594 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccnc(Cl)n1)C2 ZINC001228949847 776667719 /nfs/dbraw/zinc/66/77/19/776667719.db2.gz YLXSMXRKKBVRLP-LLVKDONJSA-N 0 0 291.694 2.584 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1CCn2ccnc21 ZINC001228973684 776674274 /nfs/dbraw/zinc/67/42/74/776674274.db2.gz LIVOZFARYPVTPO-GFCCVEGCSA-N 0 0 287.275 2.518 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@H]1CCCCC1=O ZINC001228977560 776675844 /nfs/dbraw/zinc/67/58/44/776675844.db2.gz NWSJRTVNZQLFTQ-ZDUSSCGKSA-N 0 0 277.276 2.688 20 5 CFBDRN COC(=O)c1c(O[C@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC001229044252 776698645 /nfs/dbraw/zinc/69/86/45/776698645.db2.gz FPBBNEZEXIETJY-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN CO[C@H](C)COc1ccc([N+](=O)[O-])c(Br)c1 ZINC001229086126 776712202 /nfs/dbraw/zinc/71/22/02/776712202.db2.gz KXIPNTIWXQLNBP-SSDOTTSWSA-N 0 0 290.113 2.771 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(O[C@@H]2COc3cnccc32)cc1 ZINC001229114544 776722726 /nfs/dbraw/zinc/72/27/26/776722726.db2.gz LSVUAIQPMUKXKR-PMGBHYCQSA-N 0 0 284.271 2.842 20 5 CFBDRN CCOC(=O)C1CC(Oc2ccc(/C=C/[N+](=O)[O-])cc2)C1 ZINC001229114328 776722904 /nfs/dbraw/zinc/72/29/04/776722904.db2.gz JKTXKDPTZUHWEQ-BQYQJAHWSA-N 0 0 291.303 2.655 20 5 CFBDRN CC1=C(Oc2ccc(/C=C/[N+](=O)[O-])cc2)C(=O)O[C@@H]1C ZINC001229117195 776723580 /nfs/dbraw/zinc/72/35/80/776723580.db2.gz ZJRBRJFKPMFTSB-QROSGCPLSA-N 0 0 275.260 2.532 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229116859 776724165 /nfs/dbraw/zinc/72/41/65/776724165.db2.gz XZHWYPWXIGGKMC-BCPZQOPPSA-N 0 0 279.292 2.655 20 5 CFBDRN C[C@H](Oc1ccc(/C=C/[N+](=O)[O-])cc1)c1ncccn1 ZINC001229120036 776724967 /nfs/dbraw/zinc/72/49/67/776724967.db2.gz VCGYOHQAJBVHRV-HUYFXPKMSA-N 0 0 271.276 2.864 20 5 CFBDRN CC1=C[C@@H](Oc2ccc(/C=C/[N+](=O)[O-])cc2)CC(=O)O1 ZINC001229118361 776725920 /nfs/dbraw/zinc/72/59/20/776725920.db2.gz CGCJMIRELNCHGD-KTRBRXNASA-N 0 0 275.260 2.532 20 5 CFBDRN CC(=O)c1cccc(O[C@H](C)c2cnccn2)c1[N+](=O)[O-] ZINC001229137711 776729921 /nfs/dbraw/zinc/72/99/21/776729921.db2.gz JXYMOMQCDXDDMN-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CC(=O)c1cccc(O[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC001229138847 776730775 /nfs/dbraw/zinc/73/07/75/776730775.db2.gz QVRHVTBTAHKJKS-JGPRNRPPSA-N 0 0 261.277 2.975 20 5 CFBDRN CCCOC(=O)[C@H](C)OCc1cccc(C)c1[N+](=O)[O-] ZINC001223661999 776744845 /nfs/dbraw/zinc/74/48/45/776744845.db2.gz ZLZVNGZBCGWCSK-NSHDSACASA-N 0 0 281.308 2.762 20 5 CFBDRN CCOC[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(=O)CC ZINC001229179023 776745080 /nfs/dbraw/zinc/74/50/80/776745080.db2.gz GTVNAKOMQVRHPQ-JTQLQIEISA-N 0 0 281.308 2.991 20 5 CFBDRN CCO[C@H](C)COc1ccc([N+](=O)[O-])cc1C(=O)CC ZINC001229179459 776745315 /nfs/dbraw/zinc/74/53/15/776745315.db2.gz MBQJDDXAEWBSTA-SNVBAGLBSA-N 0 0 281.308 2.991 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCCN(C)C1 ZINC001229177986 776745601 /nfs/dbraw/zinc/74/56/01/776745601.db2.gz PPSJVKPYXXSUPB-GFCCVEGCSA-N 0 0 292.335 2.661 20 5 CFBDRN Cc1cc(=O)oc2ccc(O[C@@H](C[N+](=O)[O-])C3CC3)cc12 ZINC001229195723 776749091 /nfs/dbraw/zinc/74/90/91/776749091.db2.gz LYABDOLUFIHRQW-AWEZNQCLSA-N 0 0 289.287 2.536 20 5 CFBDRN CC[C@@H](COC)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229198352 776749308 /nfs/dbraw/zinc/74/93/08/776749308.db2.gz KWANRYAENDDYGU-JTQLQIEISA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC[C@@H]1CCO[C@@H]1C ZINC001229197116 776749453 /nfs/dbraw/zinc/74/94/53/776749453.db2.gz BMYYNYJHZFQEFU-ZJUUUORDSA-N 0 0 269.272 2.846 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1CCOC[C@@H]1F ZINC001229200873 776750790 /nfs/dbraw/zinc/75/07/90/776750790.db2.gz IVYQWIIBSPCVAI-GXSJLCMTSA-N 0 0 273.235 2.548 20 5 CFBDRN C[C@H](Oc1cc(N(C)C)cnn1)c1ccccc1[N+](=O)[O-] ZINC001229223896 776756630 /nfs/dbraw/zinc/75/66/30/776756630.db2.gz MRKRSGDRIZOOJN-JTQLQIEISA-N 0 0 288.307 2.591 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(O[C@@H]2CCn3ccnc32)c1 ZINC001229344296 776785355 /nfs/dbraw/zinc/78/53/55/776785355.db2.gz WCHOQRYVJUHESP-GFCCVEGCSA-N 0 0 296.286 2.863 20 5 CFBDRN O=c1[nH]nc(O[C@H]2C[C@H]3C=C[C@@H]2C3)c2c1cccc2[N+](=O)[O-] ZINC001229367555 776792357 /nfs/dbraw/zinc/79/23/57/776792357.db2.gz OVSQGZCPEHWTEI-SBMIAAHKSA-N 0 0 299.286 2.587 20 5 CFBDRN Cc1cn2c(n1)[C@@H](Oc1cc([N+](=O)[O-])ccc1O)CCC2 ZINC001229395397 776798047 /nfs/dbraw/zinc/79/80/47/776798047.db2.gz HYUZBUBXZQDYSJ-LBPRGKRZSA-N 0 0 289.291 2.719 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1O)c1ccncc1F ZINC001229395568 776799229 /nfs/dbraw/zinc/79/92/29/776799229.db2.gz JNMARUDWWDJNNR-MRVPVSSYSA-N 0 0 278.239 2.975 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1O)c1ccccn1 ZINC001229396345 776799883 /nfs/dbraw/zinc/79/98/83/776799883.db2.gz SFSWRBZNAXOETP-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)ncnc2OC1CC(F)(F)C1 ZINC001229399294 776800954 /nfs/dbraw/zinc/80/09/54/776800954.db2.gz ILMACLOGMJUPEH-UHFFFAOYSA-N 0 0 281.218 2.715 20 5 CFBDRN C[C@H](Oc1ncnc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC001229399206 776801182 /nfs/dbraw/zinc/80/11/82/776801182.db2.gz HYHJNUUJGXMMAT-QMMMGPOBSA-N 0 0 259.265 2.715 20 5 CFBDRN Cc1nc2nc[nH]c2c(O[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001229438559 776811474 /nfs/dbraw/zinc/81/14/74/776811474.db2.gz PEEBBPYLJHIXIH-MRVPVSSYSA-N 0 0 299.290 2.710 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452289 776817074 /nfs/dbraw/zinc/81/70/74/776817074.db2.gz ORKFIHSAFGUNTC-ZYHUDNBSSA-N 0 0 266.297 2.576 20 5 CFBDRN CC(C)CCC[C@@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229451944 776817259 /nfs/dbraw/zinc/81/72/59/776817259.db2.gz KCNQVBVBCBJJLG-LLVKDONJSA-N 0 0 268.313 2.822 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452288 776817584 /nfs/dbraw/zinc/81/75/84/776817584.db2.gz ORKFIHSAFGUNTC-PWSUYJOCSA-N 0 0 266.297 2.576 20 5 CFBDRN CCCCC[C@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229451970 776817777 /nfs/dbraw/zinc/81/77/77/776817777.db2.gz LGYVRRZFMLGGAU-NSHDSACASA-N 0 0 268.313 2.966 20 5 CFBDRN CC/C=C(\C)[C@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229456117 776820166 /nfs/dbraw/zinc/82/01/66/776820166.db2.gz RQUOTUFMOZFPOG-JXPAYYINSA-N 0 0 266.297 2.742 20 5 CFBDRN C[C@@H](Oc1nc2cc([N+](=O)[O-])ccc2o1)C1CCOCC1 ZINC001229484351 776825282 /nfs/dbraw/zinc/82/52/82/776825282.db2.gz KRTCSFDGRWVWCB-SECBINFHSA-N 0 0 292.291 2.930 20 5 CFBDRN CC[N@@H+]1CCC[C@H](Oc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC001229487767 776827569 /nfs/dbraw/zinc/82/75/69/776827569.db2.gz SQKHZAHQQXWYTO-NSHDSACASA-N 0 0 291.307 2.599 20 5 CFBDRN C[C@@H]1C[C@H](Oc2nc3cc([N+](=O)[O-])ccc3o2)CCO1 ZINC001229491327 776828733 /nfs/dbraw/zinc/82/87/33/776828733.db2.gz PVCGBQUVEQUYSC-PSASIEDQSA-N 0 0 278.264 2.682 20 5 CFBDRN COc1cccc2c1ccnc2O[C@H](C[N+](=O)[O-])C1CC1 ZINC001229554437 776845355 /nfs/dbraw/zinc/84/53/55/776845355.db2.gz HXVLHJUQTXSAMI-CQSZACIVSA-N 0 0 288.303 2.678 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1cc([N+](=O)[O-])c(C)cc1O ZINC001229750133 776887588 /nfs/dbraw/zinc/88/75/88/776887588.db2.gz KIDOLLBVLUJLCP-SNVBAGLBSA-N 0 0 291.307 2.791 20 5 CFBDRN O=C1CCc2c1c(O[C@H](C[N+](=O)[O-])C1CC1)ccc2Cl ZINC001229845877 776902623 /nfs/dbraw/zinc/90/26/23/776902623.db2.gz ZFGLLOICRQVRTN-CYBMUJFWSA-N 0 0 295.722 2.903 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ncc(F)cc1F)C2 ZINC001229859126 776906437 /nfs/dbraw/zinc/90/64/37/776906437.db2.gz YNHQINVVJMLKSI-GFCCVEGCSA-N 0 0 292.241 2.814 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])c(C)c1C ZINC001229880407 776909366 /nfs/dbraw/zinc/90/93/66/776909366.db2.gz CHQGZLSGJRKFTG-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@H]1C(=O)OCC1(C)C ZINC001229881718 776909445 /nfs/dbraw/zinc/90/94/45/776909445.db2.gz GUMNCBYNTWBZOL-LBPRGKRZSA-N 0 0 279.292 2.542 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1ccc([N+](=O)[O-])c(C)c1C ZINC001229882361 776909467 /nfs/dbraw/zinc/90/94/67/776909467.db2.gz MSAOASQGCPWSDW-SECBINFHSA-N 0 0 281.308 2.932 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229895708 776912669 /nfs/dbraw/zinc/91/26/69/776912669.db2.gz VLOMWRBXHCKJEN-SKDRFNHKSA-N 0 0 297.282 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCOC[C@@H]2F)cc1F ZINC001229895746 776914255 /nfs/dbraw/zinc/91/42/55/776914255.db2.gz GBWBGYPMLMDJOM-ONGXEEELSA-N 0 0 273.235 2.548 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cccc(C)c1 ZINC001225242226 776916199 /nfs/dbraw/zinc/91/61/99/776916199.db2.gz QLWSTENQBIPGIM-LLVKDONJSA-N 0 0 275.308 2.876 20 5 CFBDRN COC(=O)c1cc(O[C@@H]2C[C@H]3C[C@H]3C2)c(F)cc1[N+](=O)[O-] ZINC001229944485 776921777 /nfs/dbraw/zinc/92/17/77/776921777.db2.gz ZCKVSUDPOCORIA-AYMMMOKOSA-N 0 0 295.266 2.698 20 5 CFBDRN CC[C@@H](C)Oc1cc(C)c([N+](=O)[O-])cc1C(=O)OC ZINC001229956261 776924316 /nfs/dbraw/zinc/92/43/16/776924316.db2.gz AZSWBRZCFPEUTK-SECBINFHSA-N 0 0 267.281 2.867 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229962394 776926069 /nfs/dbraw/zinc/92/60/69/776926069.db2.gz ZFCPQOKTEAEGPJ-LLVKDONJSA-N 0 0 298.726 2.638 20 5 CFBDRN Cc1cc(O[C@@H]2CCCOC2=O)c([N+](=O)[O-])cc1Cl ZINC001229976255 776929671 /nfs/dbraw/zinc/92/96/71/776929671.db2.gz VYBGQNWYRFZOLK-SNVBAGLBSA-N 0 0 285.683 2.641 20 5 CFBDRN C[C@@H](CON)Oc1ccc([N+](=O)[O-])c(Cl)c1Cl ZINC001230039007 776948609 /nfs/dbraw/zinc/94/86/09/776948609.db2.gz NPFPFYRKJXPKBV-YFKPBYRVSA-N 0 0 281.095 2.559 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2scnc2c1)C1CC1 ZINC001230232051 776962576 /nfs/dbraw/zinc/96/25/76/776962576.db2.gz AVRSSAGTFZFYFX-NSHDSACASA-N 0 0 264.306 2.730 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1O[C@H](C)C(F)(F)F ZINC001230247044 776965431 /nfs/dbraw/zinc/96/54/31/776965431.db2.gz CAMVJNDOSNHLHW-ZCFIWIBFSA-N 0 0 292.213 2.883 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1OC1CCCC1 ZINC001230315863 776977344 /nfs/dbraw/zinc/97/73/44/776977344.db2.gz PGWPKJATOISBSW-UHFFFAOYSA-N 0 0 252.226 2.824 20 5 CFBDRN CC(C)[C@H](C)Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC001230316858 776978498 /nfs/dbraw/zinc/97/84/98/776978498.db2.gz ZBXUVBKQLVMUOM-QMMMGPOBSA-N 0 0 254.242 2.926 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1O[C@@H]1CCOC1 ZINC001230323407 776979212 /nfs/dbraw/zinc/97/92/12/776979212.db2.gz MXOFLLGAISZHTL-SSDOTTSWSA-N 0 0 288.097 2.525 20 5 CFBDRN COC[C@H](C)Oc1cccc(Br)c1[N+](=O)[O-] ZINC001230326070 776979723 /nfs/dbraw/zinc/97/97/23/776979723.db2.gz GZOAHOQVTASOGW-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385524 776980747 /nfs/dbraw/zinc/98/07/47/776980747.db2.gz TYGLIBKTVMQBGJ-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1cc(C)c(C)c([N+](=O)[O-])c1 ZINC001230471929 776996516 /nfs/dbraw/zinc/99/65/16/776996516.db2.gz VONZLNYHJUNMHJ-SECBINFHSA-N 0 0 267.281 2.542 20 5 CFBDRN CC1=C(Oc2cc(C)c(C)c([N+](=O)[O-])c2)C(=O)O[C@@H]1C ZINC001230477202 776997452 /nfs/dbraw/zinc/99/74/52/776997452.db2.gz JMAVLMCMDWFUSS-SNVBAGLBSA-N 0 0 277.276 2.810 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCC=CO2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230484567 776997543 /nfs/dbraw/zinc/99/75/43/776997543.db2.gz HAUFNQFFCJDJGC-JTQLQIEISA-N 0 0 294.263 2.883 20 5 CFBDRN Cc1ccc(O[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230491495 776998272 /nfs/dbraw/zinc/99/82/72/776998272.db2.gz UBMGPHVPOIIBOZ-UWVGGRQHSA-N 0 0 296.279 2.615 20 5 CFBDRN Cc1ccc(O[C@H]2CCCCC2=O)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230491567 776999598 /nfs/dbraw/zinc/99/95/98/776999598.db2.gz WIAKTDNNPVYZQR-JTQLQIEISA-N 0 0 294.263 2.702 20 5 CFBDRN C[C@H](Oc1cnc(CF)nc1)c1ccccc1[N+](=O)[O-] ZINC001225539106 777008504 /nfs/dbraw/zinc/00/85/04/777008504.db2.gz GLGNFKNKCITYFC-VIFPVBQESA-N 0 0 277.255 2.994 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1O[C@@H]1C=CCCC1 ZINC001230600456 777023640 /nfs/dbraw/zinc/02/36/40/777023640.db2.gz DXZFSVRCTDEKFB-SNVBAGLBSA-N 0 0 259.265 2.959 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccccc1-c1ncc[nH]1)C1CC1 ZINC001230609594 777026277 /nfs/dbraw/zinc/02/62/77/777026277.db2.gz NMOFGDHIQSHRNI-CYBMUJFWSA-N 0 0 273.292 2.511 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@H]1C(=O)OCC1(C)C ZINC001230722937 777050634 /nfs/dbraw/zinc/05/06/34/777050634.db2.gz BZUADJMLVBRZEA-LBPRGKRZSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@H]1C=C(C)OC(=O)C1 ZINC001230723328 777050773 /nfs/dbraw/zinc/05/07/73/777050773.db2.gz INOIBZPDYAVDEI-NSHDSACASA-N 0 0 277.276 2.810 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(C)c([N+](=O)[O-])cc1C ZINC001230723778 777051291 /nfs/dbraw/zinc/05/12/91/777051291.db2.gz NXKOIAWIWYHPNX-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ccc(Cl)nc2[N+](=O)[O-])O1 ZINC001230872677 777078585 /nfs/dbraw/zinc/07/85/85/777078585.db2.gz QRVRZZSMSZDJOS-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN COc1ccc(NCc2ccccc2C)nc1[N+](=O)[O-] ZINC001161617084 777081199 /nfs/dbraw/zinc/08/11/99/777081199.db2.gz OWVHNOTWQYOOIF-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1O[C@@H]1CCn2ccnc21 ZINC001230918270 777092879 /nfs/dbraw/zinc/09/28/79/777092879.db2.gz NMBQSUXCSIZTRZ-SNVBAGLBSA-N 0 0 279.683 2.969 20 5 CFBDRN CCOC(=O)c1ccc(OC[C@H](C)OCC)cc1[N+](=O)[O-] ZINC001230916982 777092956 /nfs/dbraw/zinc/09/29/56/777092956.db2.gz GNQGSMYVLCSNEM-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cccc(Cl)c2[N+](=O)[O-])C1 ZINC001230915318 777093043 /nfs/dbraw/zinc/09/30/43/777093043.db2.gz PQXBECLKNCFJLX-DTORHVGOSA-N 0 0 299.710 2.969 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230919478 777093094 /nfs/dbraw/zinc/09/30/94/777093094.db2.gz WKHJJGMNVZZOGZ-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN CCN1CC(Oc2c(C(C)=O)cc(Cl)cc2[N+](=O)[O-])C1 ZINC001230925933 777094921 /nfs/dbraw/zinc/09/49/21/777094921.db2.gz ARVPQHURQIXABI-UHFFFAOYSA-N 0 0 298.726 2.534 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cn1)c1ccncc1F ZINC001225635095 777112967 /nfs/dbraw/zinc/11/29/67/777112967.db2.gz XZRHGAACFOLIPQ-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2c(C)ccc(C)c2[N+](=O)[O-])C1 ZINC001231056191 777127332 /nfs/dbraw/zinc/12/73/32/777127332.db2.gz KFFCKSQTQAOYBG-WGRBQBNCSA-N 0 0 293.319 2.932 20 5 CFBDRN COC(=O)C1CC(Oc2c(C)ccc(C)c2[N+](=O)[O-])C1 ZINC001231059370 777128416 /nfs/dbraw/zinc/12/84/16/777128416.db2.gz HEBLWMYGBVLDQY-UHFFFAOYSA-N 0 0 279.292 2.542 20 5 CFBDRN O=C1SCC[C@@H]1Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC001167951936 777193899 /nfs/dbraw/zinc/19/38/99/777193899.db2.gz HXSWUVBJNLQJNF-JTQLQIEISA-N 0 0 289.316 2.587 20 5 CFBDRN CCCC(=O)Nc1c(OC2CC2)cccc1[N+](=O)[O-] ZINC001231363668 777202250 /nfs/dbraw/zinc/20/22/50/777202250.db2.gz ZDPATZVNEUVSGE-UHFFFAOYSA-N 0 0 264.281 2.875 20 5 CFBDRN O=[N+]([O-])c1cnc(Cl)nc1N1CCc2ccsc2C1 ZINC000711910530 777246800 /nfs/dbraw/zinc/24/68/00/777246800.db2.gz MRPUKPMUCKLIIO-UHFFFAOYSA-N 0 0 296.739 2.662 20 5 CFBDRN CC1(C)C[C@]1(NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC001167973023 777440527 /nfs/dbraw/zinc/44/05/27/777440527.db2.gz PQECZFGLPKDUGT-INIZCTEOSA-N 0 0 299.330 2.978 20 5 CFBDRN COCCCCOC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000126669445 777447586 /nfs/dbraw/zinc/44/75/86/777447586.db2.gz FQBVPBFZCDQICI-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1C(N)=O)C1CCCC1 ZINC001226174450 777467305 /nfs/dbraw/zinc/46/73/05/777467305.db2.gz ZRXVUUHJMVYPMI-SECBINFHSA-N 0 0 278.308 2.651 20 5 CFBDRN C[C@@H](Oc1c(O)cccc1[N+](=O)[O-])c1cccnc1 ZINC001226332814 777510016 /nfs/dbraw/zinc/51/00/16/777510016.db2.gz FUGCCQCWAHYTNQ-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN CC[C@@H](C)Nc1ncnc2cc(F)c([N+](=O)[O-])cc21 ZINC001167999323 777547908 /nfs/dbraw/zinc/54/79/08/777547908.db2.gz OJPDWIZMGVPBQI-SSDOTTSWSA-N 0 0 264.260 2.888 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N[C@@H]2CCO[C@@H](C)C2)nc1Cl ZINC001161691451 777573513 /nfs/dbraw/zinc/57/35/13/777573513.db2.gz OKVGWZGRWILDAV-DTWKUNHWSA-N 0 0 285.731 2.931 20 5 CFBDRN COc1cc(O[C@H]2CC[C@@H](C)CC2)ncc1[N+](=O)[O-] ZINC001226582536 777580168 /nfs/dbraw/zinc/58/01/68/777580168.db2.gz XMRCLBFUUMINRG-AOOOYVTPSA-N 0 0 266.297 2.956 20 5 CFBDRN Cc1ccnnc1O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001226643798 777589992 /nfs/dbraw/zinc/58/99/92/777589992.db2.gz HPYSQQYJNHOIKD-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CCC[C@@H](Oc1ncc([N+](=O)[O-])cn1)C(C)(C)C ZINC001226733298 777627690 /nfs/dbraw/zinc/62/76/90/777627690.db2.gz MAXWEORYQZJLSF-SNVBAGLBSA-N 0 0 253.302 2.978 20 5 CFBDRN CC(C)C[C@@H](Oc1ncc([N+](=O)[O-])cn1)C(C)C ZINC001226733129 777627759 /nfs/dbraw/zinc/62/77/59/777627759.db2.gz HSKIBUQACORJFU-LLVKDONJSA-N 0 0 253.302 2.834 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(O)cc1Cl)c1cccnc1 ZINC001227445529 777841822 /nfs/dbraw/zinc/84/18/22/777841822.db2.gz GNGFFBHNTPLTMU-CYBMUJFWSA-N 0 0 294.694 2.837 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H]1CCO[C@H](C)C1 ZINC001227699106 777866111 /nfs/dbraw/zinc/86/61/11/777866111.db2.gz CJCPHDDOOUFRSS-ZJUUUORDSA-N 0 0 267.281 2.550 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233497358 777968482 /nfs/dbraw/zinc/96/84/82/777968482.db2.gz FARVVNQAGZRWCN-SNVBAGLBSA-N 0 0 281.308 2.991 20 5 CFBDRN O=[N+]([O-])c1cc2nc(O[C@@H]3CCCOC3)[nH]c2cc1Cl ZINC001233497257 777969302 /nfs/dbraw/zinc/96/93/02/777969302.db2.gz GHDCTFSMUIYGJI-SSDOTTSWSA-N 0 0 297.698 2.682 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1OC[C@@H]1CCC(C)(C)O1 ZINC001233552370 777988448 /nfs/dbraw/zinc/98/84/48/777988448.db2.gz VVSWAHHEGFABJE-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c1[N+](=O)[O-] ZINC001233575951 777996307 /nfs/dbraw/zinc/99/63/07/777996307.db2.gz VJWHLTCETOQHQS-YGOYTEALSA-N 0 0 276.248 2.846 20 5 CFBDRN O=[N+]([O-])c1cccc(OC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC001233573748 777996699 /nfs/dbraw/zinc/99/66/99/777996699.db2.gz BVVLAVMLNHRBPG-UHFFFAOYSA-N 0 0 274.179 2.680 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nccc1OC[C@@H]1CCC=CO1 ZINC001228054362 778002916 /nfs/dbraw/zinc/00/29/16/778002916.db2.gz LUWYHUIWPXTOBH-QMMMGPOBSA-N 0 0 270.672 2.715 20 5 CFBDRN CCOC[C@@H](C)Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233597932 778004200 /nfs/dbraw/zinc/00/42/00/778004200.db2.gz QWOAVIZBGQSGAI-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233599259 778006056 /nfs/dbraw/zinc/00/60/56/778006056.db2.gz HSTAZTKILOVGOH-HQJQHLMTSA-N 0 0 273.235 2.677 20 5 CFBDRN C[C@H](Oc1cc(F)c([N+](=O)[O-])cc1F)c1cncnc1 ZINC001233601782 778008510 /nfs/dbraw/zinc/00/85/10/778008510.db2.gz XNFMVBCTYLPIRM-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN CN1CC(Oc2cc(Cl)c([N+](=O)[O-])cc2Cl)C1 ZINC001228155038 778020458 /nfs/dbraw/zinc/02/04/58/778020458.db2.gz RKUPVOOFIMNBLM-UHFFFAOYSA-N 0 0 277.107 2.594 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228256228 778033284 /nfs/dbraw/zinc/03/32/84/778033284.db2.gz SEKWVFVHTCLINB-GVJMRKKJSA-N 0 0 285.683 2.579 20 5 CFBDRN CC[C@H](COC)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233700034 778046451 /nfs/dbraw/zinc/04/64/51/778046451.db2.gz XWDWICARYWYDGZ-MRVPVSSYSA-N 0 0 261.224 2.677 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233699601 778046519 /nfs/dbraw/zinc/04/65/19/778046519.db2.gz SFSIPXXFDWFZHB-JTQLQIEISA-N 0 0 289.234 2.594 20 5 CFBDRN CC(C)(C)C(=O)N[C@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC001059633057 778053084 /nfs/dbraw/zinc/05/30/84/778053084.db2.gz VJIYDZLICHYXJM-PHIMTYICSA-N 0 0 291.351 2.700 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(O[C@H]2CCn3ccnc32)cc1F ZINC001233749486 778063212 /nfs/dbraw/zinc/06/32/12/778063212.db2.gz HFZCPJGVKJNNLM-JTQLQIEISA-N 0 0 281.218 2.593 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233753074 778064988 /nfs/dbraw/zinc/06/49/88/778064988.db2.gz JTBVYMVLOVYIPH-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(F)c1F)c1ncccn1 ZINC001233762674 778068573 /nfs/dbraw/zinc/06/85/73/778068573.db2.gz HBGRMKCLYKKFFW-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1OC1CCOCC1 ZINC001233778353 778073578 /nfs/dbraw/zinc/07/35/78/778073578.db2.gz JELPWLWONVKTHI-UHFFFAOYSA-N 0 0 274.276 2.701 20 5 CFBDRN CCOC[C@H](C)Oc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233779956 778075325 /nfs/dbraw/zinc/07/53/25/778075325.db2.gz UZIPBYGENXXBNO-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN COc1cnnc(O[C@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC001228372989 778081063 /nfs/dbraw/zinc/08/10/63/778081063.db2.gz IEBYQFPQAYEZOI-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN C[C@H](Oc1ccnc([N+](=O)[O-])c1)c1nccs1 ZINC001233859461 778098559 /nfs/dbraw/zinc/09/85/59/778098559.db2.gz MNSOBWLRBNVGCY-ZETCQYMHSA-N 0 0 251.267 2.586 20 5 CFBDRN COC(=O)c1cnc(O[C@@H]2CCCC[C@H]2C)c([N+](=O)[O-])c1 ZINC001233863480 778100003 /nfs/dbraw/zinc/10/00/03/778100003.db2.gz WYQJLRRFIFSRRF-BXKDBHETSA-N 0 0 294.307 2.734 20 5 CFBDRN COC(=O)c1cnc(O[C@H](C)C2CCCC2)c([N+](=O)[O-])c1 ZINC001233867742 778100234 /nfs/dbraw/zinc/10/02/34/778100234.db2.gz XQSHOBITHIJCLJ-SECBINFHSA-N 0 0 294.307 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1NCC1(F)CCOCC1 ZINC001168126369 778109924 /nfs/dbraw/zinc/10/99/24/778109924.db2.gz RZPINPTVTIHINC-UHFFFAOYSA-N 0 0 289.694 2.574 20 5 CFBDRN Cc1cc(F)c(OC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC001234058626 778155121 /nfs/dbraw/zinc/15/51/21/778155121.db2.gz GZVMMGMLANFBPJ-JTQLQIEISA-N 0 0 269.272 2.990 20 5 CFBDRN Cc1cc(F)c(OC2CCOCC2)cc1[N+](=O)[O-] ZINC001234057533 778155443 /nfs/dbraw/zinc/15/54/43/778155443.db2.gz VATDNILFJQZQRZ-UHFFFAOYSA-N 0 0 255.245 2.600 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234062330 778156222 /nfs/dbraw/zinc/15/62/22/778156222.db2.gz ZNNKATVYQZZLIY-VIFPVBQESA-N 0 0 285.271 2.763 20 5 CFBDRN C[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@@H](C)C1O ZINC000697231050 778167667 /nfs/dbraw/zinc/16/76/67/778167667.db2.gz AFLBXDHXNOEZJL-NXEZZACHSA-N 0 0 298.770 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCC[C@@H](O)C2CC2)c1 ZINC000897384038 778171061 /nfs/dbraw/zinc/17/10/61/778171061.db2.gz GCANEVJPYIMRRT-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCC[C@@H](O)C1CC1 ZINC000897384058 778171094 /nfs/dbraw/zinc/17/10/94/778171094.db2.gz GKLLBRIZXUNKKJ-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H](CNC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1CC1 ZINC000127523431 778202016 /nfs/dbraw/zinc/20/20/16/778202016.db2.gz ZWTKVJAUDNPJTF-ZETCQYMHSA-N 0 0 297.742 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCSCC2)nc1Cl ZINC001228934104 778210281 /nfs/dbraw/zinc/21/02/81/778210281.db2.gz LYEFQACFPZXYRY-UHFFFAOYSA-N 0 0 274.729 2.918 20 5 CFBDRN CCC(CC)Oc1cc(N2CCOCC2)ccc1[N+](=O)[O-] ZINC001234361093 778214382 /nfs/dbraw/zinc/21/43/82/778214382.db2.gz XQVYGQQHOIMEST-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)N1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001126689896 778227561 /nfs/dbraw/zinc/22/75/61/778227561.db2.gz WJGYYZPGHZJRBI-WFASDCNBSA-N 0 0 286.331 2.873 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccccc1-c1ccon1)C1CC1 ZINC001234636864 778270664 /nfs/dbraw/zinc/27/06/64/778270664.db2.gz WGHCGCGHJDGIHK-CQSZACIVSA-N 0 0 274.276 2.776 20 5 CFBDRN CCc1cc(OC)c(O[C@H](C[N+](=O)[O-])C2CC2)cc1F ZINC001234649534 778275783 /nfs/dbraw/zinc/27/57/83/778275783.db2.gz PZPIWYFRPXIAJY-CQSZACIVSA-N 0 0 283.299 2.831 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2OC1CCOCC1 ZINC001234674307 778286210 /nfs/dbraw/zinc/28/62/10/778286210.db2.gz MVJFHXKTTKKDJS-UHFFFAOYSA-N 0 0 274.276 2.701 20 5 CFBDRN COC[C@H](C)Oc1nccc2c1cccc2[N+](=O)[O-] ZINC001234675349 778286316 /nfs/dbraw/zinc/28/63/16/778286316.db2.gz ZSRYIEHWNDSXIG-VIFPVBQESA-N 0 0 262.265 2.557 20 5 CFBDRN CC1(C)CC(=O)CC[C@@H]1Oc1cc([N+](=O)[O-])ccc1O ZINC001229395436 778301227 /nfs/dbraw/zinc/30/12/27/778301227.db2.gz ILGXWOPEHULWOL-ZDUSSCGKSA-N 0 0 279.292 2.827 20 5 CFBDRN COc1cncnc1O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001229391092 778301583 /nfs/dbraw/zinc/30/15/83/778301583.db2.gz SCPHNUSSENRFLS-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1cccc([C@H](C)Oc2cc[n+]([O-])cc2[N+](=O)[O-])c1 ZINC001229452371 778306222 /nfs/dbraw/zinc/30/62/22/778306222.db2.gz RGZQUEQBWGYWSO-NSHDSACASA-N 0 0 274.276 2.677 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2cc(C)c([N+](=O)[O-])c(C)c2)C1 ZINC001229468761 778307306 /nfs/dbraw/zinc/30/73/06/778307306.db2.gz FFVGUFFIVBFOCK-UMSPYCQHSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1cc(O[C@H]2COC[C@@H]2C)c(C)c(C)c1[N+](=O)[O-] ZINC001234871919 778318212 /nfs/dbraw/zinc/31/82/12/778318212.db2.gz OUWQQEMOXXPXOZ-ZANVPECISA-N 0 0 265.309 2.934 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1nc(OC)ccc1[N+](=O)[O-] ZINC001234878664 778319952 /nfs/dbraw/zinc/31/99/52/778319952.db2.gz JAFDVWGYDNHYIQ-GFCCVEGCSA-N 0 0 296.323 2.915 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCC(F)(F)C2)n1 ZINC001234881536 778321312 /nfs/dbraw/zinc/32/13/12/778321312.db2.gz PBJWASIRBAFVNB-ZETCQYMHSA-N 0 0 274.223 2.565 20 5 CFBDRN CC1=C(O[C@@H](C)c2ccc([N+](=O)[O-])cc2)C(=O)O[C@@H]1C ZINC001224024159 778397421 /nfs/dbraw/zinc/39/74/21/778397421.db2.gz HKUZHCVHTQKIDQ-ZJUUUORDSA-N 0 0 277.276 2.892 20 5 CFBDRN Cc1c(F)cc([N+](=O)[O-])cc1NCc1ccc(=O)[nH]c1 ZINC001168308657 778421715 /nfs/dbraw/zinc/42/17/15/778421715.db2.gz RXUOWVYVBXEDAJ-UHFFFAOYSA-N 0 0 277.255 2.755 20 5 CFBDRN CC[C@H](COC)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224330965 778472335 /nfs/dbraw/zinc/47/23/35/778472335.db2.gz NBLWGIFCZCOLQT-CYBMUJFWSA-N 0 0 253.298 2.579 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COCCc1ccc([N+](=O)[O-])cc1 ZINC001224333846 778473415 /nfs/dbraw/zinc/47/34/15/778473415.db2.gz MZDOVRPMYSQCQE-STQMWFEESA-N 0 0 297.351 2.594 20 5 CFBDRN COC(CCO[C@@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC001224342522 778477317 /nfs/dbraw/zinc/47/73/17/778477317.db2.gz PQMPPKVZSBBIAJ-JTQLQIEISA-N 0 0 269.297 2.681 20 5 CFBDRN CCCCOCCCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000160268366 778597811 /nfs/dbraw/zinc/59/78/11/778597811.db2.gz KXFNRWRVSZPUAR-UHFFFAOYSA-N 0 0 298.314 2.671 20 5 CFBDRN CC(C)(F)CCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000698206692 778761734 /nfs/dbraw/zinc/76/17/34/778761734.db2.gz GOBIQWOJRBPGBG-UHFFFAOYSA-N 0 0 268.248 2.681 20 5 CFBDRN C[C@@H](O)CCCOc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000690699418 778908831 /nfs/dbraw/zinc/90/88/31/778908831.db2.gz WMJLJHOJGVCCBQ-SSDOTTSWSA-N 0 0 277.679 2.927 20 5 CFBDRN Cc1cc(NCC2(n3cccn3)CC2)ccc1[N+](=O)[O-] ZINC001120881051 779000045 /nfs/dbraw/zinc/00/00/45/779000045.db2.gz HCXDDWCCOYURDI-UHFFFAOYSA-N 0 0 272.308 2.701 20 5 CFBDRN CC[C@@H](COC)Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233596894 779056674 /nfs/dbraw/zinc/05/66/74/779056674.db2.gz GCCPHURNZPMNFA-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000088836882 779074398 /nfs/dbraw/zinc/07/43/98/779074398.db2.gz PSYIQIJZSIFUDY-CYBMUJFWSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1ccc([C@H](C)Oc2ccnc([N+](=O)[O-])c2)cn1 ZINC001233856289 779095473 /nfs/dbraw/zinc/09/54/73/779095473.db2.gz BCGALZKCIOWNCC-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CCCOCCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000715056852 779114594 /nfs/dbraw/zinc/11/45/94/779114594.db2.gz SPMAVVIHXRROQX-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN C/C=C(\C)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000176393770 779121579 /nfs/dbraw/zinc/12/15/79/779121579.db2.gz IIQXVHPLKRRPBU-BJMVGYQFSA-N 0 0 262.309 2.912 20 5 CFBDRN COC[C@H](COc1ccc([N+](=O)[O-])cc1C=O)C(C)C ZINC000721975036 779153256 /nfs/dbraw/zinc/15/32/56/779153256.db2.gz YLNGYTRIUSZIPC-GFCCVEGCSA-N 0 0 281.308 2.705 20 5 CFBDRN Cc1cccc(NC[C@@H]2CC(C)(C)O2)c1[N+](=O)[O-] ZINC001168452373 779178603 /nfs/dbraw/zinc/17/86/03/779178603.db2.gz GNJHTOUICUBWTN-JTQLQIEISA-N 0 0 250.298 2.883 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CC[C@@H]2C[C@@H]2C1 ZINC000699219299 779221586 /nfs/dbraw/zinc/22/15/86/779221586.db2.gz SDWKVZYAHKMIPU-PSASIEDQSA-N 0 0 280.711 2.730 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])cc1)C(=O)CC(C)C ZINC001106662124 779334008 /nfs/dbraw/zinc/33/40/08/779334008.db2.gz CRTCCXKXMHZVGV-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN CCN(CCNc1ccccc1[N+](=O)[O-])C(=O)C=C(C)C ZINC001106671525 779334639 /nfs/dbraw/zinc/33/46/39/779334639.db2.gz BWGBCMRFOCOEDS-UHFFFAOYSA-N 0 0 291.351 2.821 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC1(c2cccnn2)CC1 ZINC001168513405 779427431 /nfs/dbraw/zinc/42/74/31/779427431.db2.gz GTBPCZVIQJPVQW-UHFFFAOYSA-N 0 0 270.292 2.794 20 5 CFBDRN Nc1nc(NCCc2cc(F)cc(F)c2)ccc1[N+](=O)[O-] ZINC000094261603 779592552 /nfs/dbraw/zinc/59/25/52/779592552.db2.gz MOGMEBHBGNCDNG-UHFFFAOYSA-N 0 0 294.261 2.505 20 5 CFBDRN Cc1ccnc(NCc2cc(Cl)ccn2)c1[N+](=O)[O-] ZINC000354070914 779697083 /nfs/dbraw/zinc/69/70/83/779697083.db2.gz GYQOSMPRVWFTPY-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])cc1)C(=O)C1(C)CC1 ZINC001106976451 779715449 /nfs/dbraw/zinc/71/54/49/779715449.db2.gz ACDVPKNZUWENRC-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1nccnc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001124996726 779750508 /nfs/dbraw/zinc/75/05/08/779750508.db2.gz OPZNTYXICWNIMD-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN C/C(=C/C(=O)Oc1cccc([N+](=O)[O-])c1C)c1cnccn1 ZINC001125228690 779777524 /nfs/dbraw/zinc/77/75/24/779777524.db2.gz OOPOKOZWWQEVAQ-NTMALXAHSA-N 0 0 299.286 2.702 20 5 CFBDRN CCOC(=O)C1=C(Nc2cccnc2[N+](=O)[O-])CCCC1 ZINC001168561381 779969540 /nfs/dbraw/zinc/96/95/40/779969540.db2.gz KQGUCIZDIMDINV-UHFFFAOYSA-N 0 0 291.307 2.793 20 5 CFBDRN C=Cc1ccc(CNc2cc([N+](=O)[O-])c(=O)n(C)c2)cc1 ZINC001168575155 779983214 /nfs/dbraw/zinc/98/32/14/779983214.db2.gz FLAZVPRFXMWXCA-UHFFFAOYSA-N 0 0 285.303 2.549 20 5 CFBDRN COc1ncnc(NCCC2=CCCCC2)c1[N+](=O)[O-] ZINC000094739135 780124896 /nfs/dbraw/zinc/12/48/96/780124896.db2.gz UUPMOHPDRFBFQY-UHFFFAOYSA-N 0 0 278.312 2.696 20 5 CFBDRN CCCc1ccc(CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC001168636180 780152270 /nfs/dbraw/zinc/15/22/70/780152270.db2.gz HVALUQFDGCKZBK-UHFFFAOYSA-N 0 0 272.308 2.949 20 5 CFBDRN CNc1cc([N+](=O)[O-])cnc1N[C@@H]1CCCc2cccnc21 ZINC001168656473 780211071 /nfs/dbraw/zinc/21/10/71/780211071.db2.gz PRZVLGRZDYFOTI-GFCCVEGCSA-N 0 0 299.334 2.916 20 5 CFBDRN CC(C)[C@H](F)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC001116709774 780220874 /nfs/dbraw/zinc/22/08/74/780220874.db2.gz VGPFMZBCQLDDNW-LBPRGKRZSA-N 0 0 282.315 2.940 20 5 CFBDRN CC(C)OCCCNc1c(Cl)nccc1[N+](=O)[O-] ZINC001168676501 780273188 /nfs/dbraw/zinc/27/31/88/780273188.db2.gz BLRMXZUSBRTMCC-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN CC(C)OCCCNc1ncc2cccc([N+](=O)[O-])c2n1 ZINC001168679961 780304074 /nfs/dbraw/zinc/30/40/74/780304074.db2.gz XEASDCYOGZVJDX-UHFFFAOYSA-N 0 0 290.323 2.765 20 5 CFBDRN CC(C)OCCCNc1ccc2nc[nH]c2c1[N+](=O)[O-] ZINC001168681411 780318178 /nfs/dbraw/zinc/31/81/78/780318178.db2.gz AZFPFHFEZLXWJS-UHFFFAOYSA-N 0 0 278.312 2.698 20 5 CFBDRN COc1ccc([N+](=O)[O-])nc1N[C@@H](C)C(C)(C)C ZINC001168685271 780323852 /nfs/dbraw/zinc/32/38/52/780323852.db2.gz XYVHOUNCGLNERI-QMMMGPOBSA-N 0 0 253.302 2.845 20 5 CFBDRN COC(=O)c1ncc([N+](=O)[O-])cc1N[C@@H](C)C(C)(C)C ZINC001168685713 780324283 /nfs/dbraw/zinc/32/42/83/780324283.db2.gz ACKNOMPWCAXXAS-QMMMGPOBSA-N 0 0 281.312 2.623 20 5 CFBDRN COc1cc(N[C@@H](C)C(C)(C)C)cnc1[N+](=O)[O-] ZINC001168685725 780325724 /nfs/dbraw/zinc/32/57/24/780325724.db2.gz APJCBVHHGVMBRY-QMMMGPOBSA-N 0 0 253.302 2.845 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1-c1noc(-c2cccs2)n1 ZINC001212466502 780379513 /nfs/dbraw/zinc/37/95/13/780379513.db2.gz ZLTVQEFDBMAXGE-UHFFFAOYSA-N 0 0 292.251 2.907 20 5 CFBDRN CC[C@H](C)c1nnc(-c2ccc(N)c([N+](=O)[O-])c2)o1 ZINC001117212244 780397247 /nfs/dbraw/zinc/39/72/47/780397247.db2.gz MNLZKXBPWTXDAG-ZETCQYMHSA-N 0 0 262.269 2.741 20 5 CFBDRN CCOc1cnc(NCc2cnc(C)s2)c([N+](=O)[O-])c1 ZINC001162154647 780589646 /nfs/dbraw/zinc/58/96/46/780589646.db2.gz JUROCNBSEVHEDT-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN O=C(CCC1CCOCC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132759251 780595675 /nfs/dbraw/zinc/59/56/75/780595675.db2.gz OCYDTXANRJLYLR-UHFFFAOYSA-N 0 0 297.282 2.846 20 5 CFBDRN O=[N+]([O-])c1cc(NC(C2CC2)C2CC2)cn2ccnc12 ZINC001168743874 780602141 /nfs/dbraw/zinc/60/21/41/780602141.db2.gz GVUNBQVINCUVBZ-UHFFFAOYSA-N 0 0 272.308 2.843 20 5 CFBDRN C[C@H](C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)C1CCC1 ZINC001117802599 780667995 /nfs/dbraw/zinc/66/79/95/780667995.db2.gz CUMIYXPSNLMPNI-JTQLQIEISA-N 0 0 274.320 2.873 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000700212688 780669508 /nfs/dbraw/zinc/66/95/08/780669508.db2.gz IQCXXJQGVGHAFY-UHFFFAOYSA-N 0 0 280.711 2.921 20 5 CFBDRN CC1(NC(=O)c2cc(F)ccc2[N+](=O)[O-])CC=CC1 ZINC000702173350 780894613 /nfs/dbraw/zinc/89/46/13/780894613.db2.gz VOPZUJDHJLZDQI-UHFFFAOYSA-N 0 0 264.256 2.572 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])cc(C)n2)C1 ZINC001128902696 780932083 /nfs/dbraw/zinc/93/20/83/780932083.db2.gz YWQRCERYMQZEST-NWDGAFQWSA-N 0 0 291.351 2.949 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNc1cnc([N+](=O)[O-])cn1 ZINC001162526386 781033133 /nfs/dbraw/zinc/03/31/33/781033133.db2.gz PFISRLBNBDCGEA-NXEZZACHSA-N 0 0 250.302 2.623 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)C[C@H]1CO ZINC000704005212 781083049 /nfs/dbraw/zinc/08/30/49/781083049.db2.gz GKGVMHUEDFZJSX-UWVGGRQHSA-N 0 0 284.743 2.703 20 5 CFBDRN CCC(F)(F)COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000704808118 781120110 /nfs/dbraw/zinc/12/01/10/781120110.db2.gz PVYZRDRGVKLZAU-UHFFFAOYSA-N 0 0 259.208 2.831 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@@H]1CCSC1 ZINC000704876249 781125375 /nfs/dbraw/zinc/12/53/75/781125375.db2.gz XPMQNLOKDPBFME-SNVBAGLBSA-N 0 0 284.385 2.675 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000706476220 781179259 /nfs/dbraw/zinc/17/92/59/781179259.db2.gz FZNBAPMZMHQANC-IUCAKERBSA-N 0 0 266.272 2.747 20 5 CFBDRN CN(Cc1ccon1)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000706597310 781189960 /nfs/dbraw/zinc/18/99/60/781189960.db2.gz ATSNBRGUVWYIKF-UHFFFAOYSA-N 0 0 295.682 2.508 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1cccc([N+](=O)[O-])c1Br ZINC000706913641 781208751 /nfs/dbraw/zinc/20/87/51/781208751.db2.gz RIANWEVITBZFKT-SSDOTTSWSA-N 0 0 299.124 2.592 20 5 CFBDRN COCC1(N(C)Cc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000707156420 781227528 /nfs/dbraw/zinc/22/75/28/781227528.db2.gz UFRXCQZGVMBDDB-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000710084811 781327712 /nfs/dbraw/zinc/32/77/12/781327712.db2.gz CKPQBTDHLQOVME-VIFPVBQESA-N 0 0 279.340 2.732 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1cn(C)cn1 ZINC000710152602 781328968 /nfs/dbraw/zinc/32/89/68/781328968.db2.gz HMHCTYIYKCHBRS-GHMZBOCLSA-N 0 0 274.324 2.740 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC[C@@H](CF)C1 ZINC000711409656 781429772 /nfs/dbraw/zinc/42/97/72/781429772.db2.gz MWLJKFQGYZUGNH-JTQLQIEISA-N 0 0 266.272 2.593 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CCC2(CCC2)O1 ZINC000711496292 781446732 /nfs/dbraw/zinc/44/67/32/781446732.db2.gz PUCAJOOWARKWJA-JTQLQIEISA-N 0 0 263.297 2.503 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@H](CF)C1 ZINC000711516889 781451747 /nfs/dbraw/zinc/45/17/47/781451747.db2.gz DRCWMSMDXWSIIR-LLVKDONJSA-N 0 0 280.299 2.983 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000711789295 781471733 /nfs/dbraw/zinc/47/17/33/781471733.db2.gz UKQZILBPSDCKCV-SECBINFHSA-N 0 0 280.711 2.944 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nnc([C@H]2CCO[C@@H]2C)o1 ZINC001118192928 781472180 /nfs/dbraw/zinc/47/21/80/781472180.db2.gz INCUPACWJANGQN-SKDRFNHKSA-N 0 0 289.291 2.846 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000711789296 781472496 /nfs/dbraw/zinc/47/24/96/781472496.db2.gz UKQZILBPSDCKCV-VIFPVBQESA-N 0 0 280.711 2.944 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H](C1CCC1)[C@H]1CCOC1 ZINC000711839646 781473444 /nfs/dbraw/zinc/47/34/44/781473444.db2.gz DBMODPBBSFYBNU-WCQYABFASA-N 0 0 277.324 2.607 20 5 CFBDRN COC(C)(C)CCNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000711877850 781483862 /nfs/dbraw/zinc/48/38/62/781483862.db2.gz VJAONWNERRJZGZ-UHFFFAOYSA-N 0 0 278.312 2.698 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1c1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001163541336 781503038 /nfs/dbraw/zinc/50/30/38/781503038.db2.gz SJKHZPYXDUNYBS-RKDXNWHRSA-N 0 0 280.284 2.665 20 5 CFBDRN COc1cc(N2[C@H](C)CCC[C@H]2C)ncc1[N+](=O)[O-] ZINC001163542452 781504408 /nfs/dbraw/zinc/50/44/08/781504408.db2.gz ZTZFQLUJHPYRAV-NXEZZACHSA-N 0 0 265.313 2.766 20 5 CFBDRN CCN(C)c1cc2ncnc(Cl)c2cc1[N+](=O)[O-] ZINC001163583663 781516522 /nfs/dbraw/zinc/51/65/22/781516522.db2.gz NWMFXSGBTWIMDU-UHFFFAOYSA-N 0 0 266.688 2.648 20 5 CFBDRN CC(=O)NCc1ccc(CNc2ccccc2[N+](=O)[O-])cc1 ZINC001063414993 781517927 /nfs/dbraw/zinc/51/79/27/781517927.db2.gz NQTDYGBPIVYMNU-UHFFFAOYSA-N 0 0 299.330 2.843 20 5 CFBDRN Cc1cc(Cl)nc(N(C)CC(F)(F)F)c1[N+](=O)[O-] ZINC001163707603 781560038 /nfs/dbraw/zinc/56/00/38/781560038.db2.gz XIYJMRUUNIGZAF-UHFFFAOYSA-N 0 0 283.637 2.950 20 5 CFBDRN Cc1ccccc1CC1CN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC001118518435 781665742 /nfs/dbraw/zinc/66/57/42/781665742.db2.gz UJOJVKVKEWNROM-UHFFFAOYSA-N 0 0 298.346 2.681 20 5 CFBDRN CNc1ccc(CNc2cc([N+](=O)[O-])c(C)cn2)cc1 ZINC001164153871 781675877 /nfs/dbraw/zinc/67/58/77/781675877.db2.gz COJZZGMPHIAFTL-UHFFFAOYSA-N 0 0 272.308 2.952 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCC[C@@H]1C[C@H]1C1CC1 ZINC001119332884 781760105 /nfs/dbraw/zinc/76/01/05/781760105.db2.gz ZDIUYZCNCNDLBS-RISCZKNCSA-N 0 0 290.319 2.891 20 5 CFBDRN C[C@H]1CCCN(c2cc([N+](=O)[O-])cc(Cl)[n+]2[O-])[C@H]1C ZINC001166614454 781767357 /nfs/dbraw/zinc/76/73/57/781767357.db2.gz YFAOECCBXBBNLJ-IUCAKERBSA-N 0 0 285.731 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCC[C@H]4C[C@H]43)no2)nc1 ZINC000594538164 349443407 /nfs/dbraw/zinc/44/34/07/349443407.db2.gz UETSUUWGWHVHNQ-JMJZKYOTSA-N 0 0 286.291 2.943 20 5 CFBDRN O=C([O-])C[N@H+](C/C=C/c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000594540414 349443578 /nfs/dbraw/zinc/44/35/78/349443578.db2.gz YAMSBKGEHLYUHR-GQCTYLIASA-N 0 0 290.319 2.547 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000594572601 349450048 /nfs/dbraw/zinc/45/00/48/349450048.db2.gz POYQYEJDTMLKDX-OPQQBVKSSA-N 0 0 278.308 2.583 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1c(OC)cccc1[N+](=O)[O-] ZINC000594585614 349452387 /nfs/dbraw/zinc/45/23/87/349452387.db2.gz FCPXNPZUASQPOP-WDEREUQCSA-N 0 0 282.340 2.812 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCC1(CO)CCC1 ZINC000594586924 349452467 /nfs/dbraw/zinc/45/24/67/349452467.db2.gz OJGOLJBTDVSZIK-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CC2)[C@H]2CCCCO2)cn1 ZINC000594590325 349452938 /nfs/dbraw/zinc/45/29/38/349452938.db2.gz KSHAFIVTBOSIIK-TZMCWYRMSA-N 0 0 277.324 2.749 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])c3cnccc23)CC1 ZINC000594593147 349454684 /nfs/dbraw/zinc/45/46/84/349454684.db2.gz ZHZQJLUIHCGRCZ-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1C[C@H](OC(C)(C)C)C1 ZINC000594594502 349454909 /nfs/dbraw/zinc/45/49/09/349454909.db2.gz YWNFYNPCBRDOHH-XYPYZODXSA-N 0 0 296.371 2.878 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](OC3CCC3)C2)s1 ZINC000594595192 349455119 /nfs/dbraw/zinc/45/51/19/349455119.db2.gz VUENMFGIMMEDTE-JTQLQIEISA-N 0 0 283.353 2.589 20 5 CFBDRN Cc1cnc(CCNc2nccc(C)c2[N+](=O)[O-])c(C)c1 ZINC000594594919 349455216 /nfs/dbraw/zinc/45/52/16/349455216.db2.gz BACGCNGWKRCFSM-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H](OC2CCC2)C1 ZINC000594594758 349455444 /nfs/dbraw/zinc/45/54/44/349455444.db2.gz RFGRWOMDUHVTQP-GFCCVEGCSA-N 0 0 277.324 2.528 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@@H]1CCCCO1 ZINC000594604023 349459545 /nfs/dbraw/zinc/45/95/45/349459545.db2.gz WPQBFBAICDPJEF-BDAKNGLRSA-N 0 0 271.342 2.811 20 5 CFBDRN CC[C@@](C)(CNc1cc(C)c([N+](=O)[O-])cn1)OC ZINC000323025674 260122774 /nfs/dbraw/zinc/12/27/74/260122774.db2.gz KMLUZNBMNLXZAK-LBPRGKRZSA-N 0 0 253.302 2.525 20 5 CFBDRN CCCCn1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000594649426 349467537 /nfs/dbraw/zinc/46/75/37/349467537.db2.gz JTKXJGJERBWORM-UHFFFAOYSA-N 0 0 276.296 2.565 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1C[C@H]1c1cccnc1 ZINC000594873865 349491628 /nfs/dbraw/zinc/49/16/28/349491628.db2.gz OGCDCKZUNSYNTJ-RYUDHWBXSA-N 0 0 270.292 2.600 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cc(C)nc(C)n1 ZINC000594879093 349492355 /nfs/dbraw/zinc/49/23/55/349492355.db2.gz OKDJDFGICQTYOS-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1cn(C(C)(C)C)nn1 ZINC000594885906 349493621 /nfs/dbraw/zinc/49/36/21/349493621.db2.gz JGMSMMZPURFTRF-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN C[C@H](N[C@@H]1C[C@@H](C)n2ncnc21)c1ccc([N+](=O)[O-])cc1 ZINC000594710105 349478370 /nfs/dbraw/zinc/47/83/70/349478370.db2.gz IKCSLIVOMGWNKV-GBIKHYSHSA-N 0 0 287.323 2.543 20 5 CFBDRN CO[C@@H]1CC[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000594730956 349481897 /nfs/dbraw/zinc/48/18/97/349481897.db2.gz ZPMKZQTZFUCVHY-ZYHUDNBSSA-N 0 0 289.291 2.927 20 5 CFBDRN COc1ccc([C@H](C)Cn2cccc([N+](=O)[O-])c2=O)cc1 ZINC000594887425 349493790 /nfs/dbraw/zinc/49/37/90/349493790.db2.gz LJMVHFJTEMDGFB-LLVKDONJSA-N 0 0 288.303 2.569 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H](OC)C1CCC1 ZINC000594891635 349495175 /nfs/dbraw/zinc/49/51/75/349495175.db2.gz PNQWLGIMQNRQGI-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@]1(OC(C)C)CCOC1 ZINC000594893700 349496824 /nfs/dbraw/zinc/49/68/24/349496824.db2.gz HWFFCJVAUWZTAB-HNNXBMFYSA-N 0 0 295.335 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)C[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1 ZINC000594895651 349497747 /nfs/dbraw/zinc/49/77/47/349497747.db2.gz LLIMTOKWBHZMRP-UTUOFQBUSA-N 0 0 295.360 2.805 20 5 CFBDRN CN1CCC[C@H](CSc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000594896323 349497783 /nfs/dbraw/zinc/49/77/83/349497783.db2.gz VKGJPRGRDHKYTQ-SECBINFHSA-N 0 0 298.339 2.694 20 5 CFBDRN CO[C@H](COc1nc(C)cc(C)c1[N+](=O)[O-])C1CCC1 ZINC000594898257 349498627 /nfs/dbraw/zinc/49/86/27/349498627.db2.gz KUEHUWAKHFBTKS-GFCCVEGCSA-N 0 0 280.324 2.801 20 5 CFBDRN O=[N+]([O-])c1cn(CC2CC=CC2)nc1-c1ccccn1 ZINC000594916212 349505107 /nfs/dbraw/zinc/50/51/07/349505107.db2.gz ZNRFIIFOYQRHSY-UHFFFAOYSA-N 0 0 270.292 2.820 20 5 CFBDRN CO[C@H](C)CCc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273828884 192323636 /nfs/dbraw/zinc/32/36/36/192323636.db2.gz OMBZPKQLRZLQKY-SNVBAGLBSA-N 0 0 291.307 2.921 20 5 CFBDRN Cc1c[nH]c(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000594934567 349517526 /nfs/dbraw/zinc/51/75/26/349517526.db2.gz CKJVIXZIFYJKLR-LLVKDONJSA-N 0 0 274.324 2.786 20 5 CFBDRN C[C@H]1CCSCCN1c1ccc([N+](=O)[O-])cc1 ZINC000276821864 290614039 /nfs/dbraw/zinc/61/40/39/290614039.db2.gz NICZXZLWDHXUHN-JTQLQIEISA-N 0 0 252.339 2.927 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]2CCCC[C@H]21 ZINC000273072214 192035859 /nfs/dbraw/zinc/03/58/59/192035859.db2.gz NPKHQBCRWYBMDY-JFGNBEQYSA-N 0 0 277.324 2.574 20 5 CFBDRN CNc1c(Cl)ncnc1NCc1cccc([N+](=O)[O-])c1 ZINC000124864543 187158534 /nfs/dbraw/zinc/15/85/34/187158534.db2.gz QVLPVXMZPMYPCG-UHFFFAOYSA-N 0 0 293.714 2.692 20 5 CFBDRN Cc1ccc(NC(=O)N2CCO[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000125001683 187165058 /nfs/dbraw/zinc/16/50/58/187165058.db2.gz YTVYRDLISDBFPH-QWRGUYRKSA-N 0 0 293.323 2.544 20 5 CFBDRN C[C@@]1(O)CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000125032068 187166474 /nfs/dbraw/zinc/16/64/74/187166474.db2.gz JTHFJOVAIKXESS-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN CC(=O)[C@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000083122301 178338794 /nfs/dbraw/zinc/33/87/94/178338794.db2.gz JUXWDBHYCHEBCV-JTQLQIEISA-N 0 0 269.322 2.684 20 5 CFBDRN COc1ccc(CC(=O)NCCCC(C)C)cc1[N+](=O)[O-] ZINC000067801538 346819425 /nfs/dbraw/zinc/81/94/25/346819425.db2.gz VOGOWQGPTXRGGU-UHFFFAOYSA-N 0 0 294.351 2.698 20 5 CFBDRN CCOc1ccc(NCc2ccccc2[N+](=O)[O-])nc1C ZINC000595001117 349544128 /nfs/dbraw/zinc/54/41/28/349544128.db2.gz QNOFLAXJFSSGOQ-UHFFFAOYSA-N 0 0 287.319 2.731 20 5 CFBDRN CC(C)N(Cc1ccno1)Cc1ccc([N+](=O)[O-])cc1 ZINC000067975858 346830026 /nfs/dbraw/zinc/83/00/26/346830026.db2.gz UCGXLMIQWQKKBI-UHFFFAOYSA-N 0 0 275.308 2.993 20 5 CFBDRN C[C@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])c1nccn1C ZINC000595110223 349583203 /nfs/dbraw/zinc/58/32/03/349583203.db2.gz YZYNDQKZVLOWFZ-GWCFXTLKSA-N 0 0 286.335 2.666 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000067999586 346831371 /nfs/dbraw/zinc/83/13/71/346831371.db2.gz YEOAABUWPRBFLG-VIFPVBQESA-N 0 0 291.332 2.885 20 5 CFBDRN C[C@@H](N[C@@H](C)c1nccn1C)c1ccc([N+](=O)[O-])cc1 ZINC000595110255 349583954 /nfs/dbraw/zinc/58/39/54/349583954.db2.gz ZSYHHZJSOSANMR-MNOVXSKESA-N 0 0 274.324 2.740 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000125324089 187179593 /nfs/dbraw/zinc/17/95/93/187179593.db2.gz TYMDDNKYHOJNHD-NSHDSACASA-N 0 0 268.338 2.983 20 5 CFBDRN CCC(CC)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000068185581 346839770 /nfs/dbraw/zinc/83/97/70/346839770.db2.gz JDLCCMLOVVLODC-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN Cc1noc(CN2CCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000595308424 349599461 /nfs/dbraw/zinc/59/94/61/349599461.db2.gz JOUJZWJILLNGSQ-UHFFFAOYSA-N 0 0 253.302 2.513 20 5 CFBDRN CCCO[C@H]1CCCN(Cc2onc(C)c2[N+](=O)[O-])CC1 ZINC000595312125 349600411 /nfs/dbraw/zinc/60/04/11/349600411.db2.gz LNIQEHGWKYCGJU-LBPRGKRZSA-N 0 0 297.355 2.672 20 5 CFBDRN Cc1noc(CN(C2CC2)C2CCCC2)c1[N+](=O)[O-] ZINC000595312400 349600508 /nfs/dbraw/zinc/60/05/08/349600508.db2.gz MIRYFSCEQGXQGS-UHFFFAOYSA-N 0 0 265.313 2.798 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN(CC(F)F)C1CC1 ZINC000595312372 349600568 /nfs/dbraw/zinc/60/05/68/349600568.db2.gz LLDXIOUXOVCXHP-UHFFFAOYSA-N 0 0 274.242 2.963 20 5 CFBDRN Cc1noc(CN2CCCc3sccc3C2)c1[N+](=O)[O-] ZINC000595314596 349600579 /nfs/dbraw/zinc/60/05/79/349600579.db2.gz TZCKXOXMBCXVMP-UHFFFAOYSA-N 0 0 293.348 2.901 20 5 CFBDRN CCSCC[C@@H](C)N(C)Cc1onc(C)c1[N+](=O)[O-] ZINC000595375686 349612348 /nfs/dbraw/zinc/61/23/48/349612348.db2.gz CCXVMGDXNABEKV-SECBINFHSA-N 0 0 287.385 2.855 20 5 CFBDRN COC(=O)[C@H](C)Sc1c(F)cc([N+](=O)[O-])cc1F ZINC000269604986 190519326 /nfs/dbraw/zinc/51/93/26/190519326.db2.gz BRVLKPFHYYUGRK-YFKPBYRVSA-N 0 0 277.248 2.527 20 5 CFBDRN Cc1noc(CN(C)C[C@@H](C)c2nccs2)c1[N+](=O)[O-] ZINC000595428504 349623261 /nfs/dbraw/zinc/62/32/61/349623261.db2.gz YCOYGKKUGZVNRH-MRVPVSSYSA-N 0 0 296.352 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1cncs1)CC2 ZINC000595431792 349624005 /nfs/dbraw/zinc/62/40/05/349624005.db2.gz PFSSAMMOPXWQCJ-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCO[C@@H](C)C2)n1 ZINC000273108482 192045124 /nfs/dbraw/zinc/04/51/24/192045124.db2.gz FZNUVIWXHKNQKV-NWDGAFQWSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@@H](C)CCNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000595447549 349628645 /nfs/dbraw/zinc/62/86/45/349628645.db2.gz NBHNXFHAEFIXNC-LLVKDONJSA-N 0 0 279.340 2.830 20 5 CFBDRN Cc1cccc2nc(CNc3ncccc3[N+](=O)[O-])cn21 ZINC000068772349 346868707 /nfs/dbraw/zinc/86/87/07/346868707.db2.gz BEGJPHWPKBFOCP-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC[C@@H]1COCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000174188411 529410781 /nfs/dbraw/zinc/41/07/81/529410781.db2.gz WODQKBCLOWMASE-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CCCN(CC(C)C)C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000269710985 190540615 /nfs/dbraw/zinc/54/06/15/190540615.db2.gz PZELGQLBXSINRD-UHFFFAOYSA-N 0 0 297.330 2.824 20 5 CFBDRN Cc1noc(CN2CCc3cccc(C)c3C2)c1[N+](=O)[O-] ZINC000595460078 349633892 /nfs/dbraw/zinc/63/38/92/349633892.db2.gz TVOLJNLMMZZQKR-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595470028 349636502 /nfs/dbraw/zinc/63/65/02/349636502.db2.gz ZHUUKKUJYNFEQO-RISCZKNCSA-N 0 0 296.342 2.859 20 5 CFBDRN CC[C@@H](C)CCNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000595469513 349636896 /nfs/dbraw/zinc/63/68/96/349636896.db2.gz PKRNHOHXUAKCIJ-GFCCVEGCSA-N 0 0 293.367 2.873 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000595479158 349638354 /nfs/dbraw/zinc/63/83/54/349638354.db2.gz DCUVMCWFNYFVGT-DRZSPHRISA-N 0 0 289.335 2.707 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC(C)(C)C1 ZINC000343973707 282167757 /nfs/dbraw/zinc/16/77/57/282167757.db2.gz XYBVIOYGVZRZMB-LLVKDONJSA-N 0 0 291.351 2.997 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000068834924 346873545 /nfs/dbraw/zinc/87/35/45/346873545.db2.gz UQYABDXSAGIBDP-LBPRGKRZSA-N 0 0 274.320 2.989 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCc1ccc(Cl)cc1 ZINC000450818896 282168326 /nfs/dbraw/zinc/16/83/26/282168326.db2.gz JFBBDVOCMBEODH-UHFFFAOYSA-N 0 0 265.700 2.996 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])c1ccc2c(c1)COC2 ZINC000068982214 346878435 /nfs/dbraw/zinc/87/84/35/346878435.db2.gz QIKFNTTUZBCAFH-UHFFFAOYSA-N 0 0 299.282 2.887 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)c1ccc2c(c1)COC2 ZINC000068982207 346878471 /nfs/dbraw/zinc/87/84/71/346878471.db2.gz NTRZVVJKDOYQMF-UHFFFAOYSA-N 0 0 299.282 2.887 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCO[C@@H](C2CCC2)C1 ZINC000595536227 349653180 /nfs/dbraw/zinc/65/31/80/349653180.db2.gz WJNLJCWVXXFUEU-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)CCO1 ZINC000421660295 529446568 /nfs/dbraw/zinc/44/65/68/529446568.db2.gz BFEOBAKNGYWNGF-NXEZZACHSA-N 0 0 298.364 2.652 20 5 CFBDRN Cc1noc(CN(C)C2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000595542483 349655315 /nfs/dbraw/zinc/65/53/15/349655315.db2.gz WTBYOHZVHWNKJR-UHFFFAOYSA-N 0 0 253.302 2.512 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000412310961 529461427 /nfs/dbraw/zinc/46/14/27/529461427.db2.gz NKNGIQBXOHVQGW-ZYHUDNBSSA-N 0 0 292.335 2.910 20 5 CFBDRN CC[C@H](NC(=O)NCc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000595587657 349666217 /nfs/dbraw/zinc/66/62/17/349666217.db2.gz JNBCUCUFLGNTOW-ZDUSSCGKSA-N 0 0 291.351 2.891 20 5 CFBDRN O=C(COCc1ccccc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000069524617 346894423 /nfs/dbraw/zinc/89/44/23/346894423.db2.gz GSGWVZHDIWXBET-UHFFFAOYSA-N 0 0 286.287 2.750 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000413455684 529473463 /nfs/dbraw/zinc/47/34/63/529473463.db2.gz SPOMNBAUTZIGMQ-OCCSQVGLSA-N 0 0 278.308 2.777 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000413441189 529473808 /nfs/dbraw/zinc/47/38/08/529473808.db2.gz BQXAKQAOZPPICG-MNOVXSKESA-N 0 0 265.313 2.586 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000413452930 529473896 /nfs/dbraw/zinc/47/38/96/529473896.db2.gz OOZZKMVLIAQNCM-BDAKNGLRSA-N 0 0 294.336 2.579 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000177205734 529536738 /nfs/dbraw/zinc/53/67/38/529536738.db2.gz CUERAIDYRIQBTG-JSGCOSHPSA-N 0 0 262.309 2.647 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@@H](C)C1O ZINC000595750095 349722495 /nfs/dbraw/zinc/72/24/95/349722495.db2.gz NUMMURJPIAUARO-NXEZZACHSA-N 0 0 298.770 2.697 20 5 CFBDRN CC(C)(C)C[N@H+](CCc1ccc([N+](=O)[O-])cc1)CC(=O)[O-] ZINC000595885982 349760971 /nfs/dbraw/zinc/76/09/71/349760971.db2.gz KPPMEUKSGUIDOG-UHFFFAOYSA-N 0 0 294.351 2.570 20 5 CFBDRN CC(C)(C)C[N@H+](CC(=O)[O-])Cc1cccc([N+](=O)[O-])c1 ZINC000595887388 349762362 /nfs/dbraw/zinc/76/23/62/349762362.db2.gz RZLMILZZMPNEFK-UHFFFAOYSA-N 0 0 280.324 2.528 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@H]2CCCC[C@@H]2C1 ZINC000192668910 529602065 /nfs/dbraw/zinc/60/20/65/529602065.db2.gz IOBGIKIVVHRCMW-VXGBXAGGSA-N 0 0 278.356 2.746 20 5 CFBDRN COC[C@@](C)(NCc1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000595872313 349758831 /nfs/dbraw/zinc/75/88/31/349758831.db2.gz VIUGGDXPHIUEHK-SECBINFHSA-N 0 0 298.286 2.713 20 5 CFBDRN O=[N+]([O-])c1nn(C[C@@H]2C[C@H]3C[C@H]3C2)cc1Br ZINC000596019946 349777032 /nfs/dbraw/zinc/77/70/32/349777032.db2.gz IFCHRXGIENKVEV-RNLVFQAGSA-N 0 0 286.129 2.600 20 5 CFBDRN COc1cc(C)ccc1NCc1onc(C)c1[N+](=O)[O-] ZINC000596030370 349778660 /nfs/dbraw/zinc/77/86/60/349778660.db2.gz WCGXBNKCEVNTAS-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN COc1cc(F)c(F)cc1NCc1onc(C)c1[N+](=O)[O-] ZINC000596040767 349779394 /nfs/dbraw/zinc/77/93/94/349779394.db2.gz ZEQZFBBNOPAKGS-UHFFFAOYSA-N 0 0 299.233 2.790 20 5 CFBDRN C[C@@H](CCc1ccco1)Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596059103 349783952 /nfs/dbraw/zinc/78/39/52/349783952.db2.gz UYPMINRNCLPZLP-VIFPVBQESA-N 0 0 277.280 2.722 20 5 CFBDRN CCOC(=O)CSc1cc(C)sc1[N+](=O)[O-] ZINC000596056372 349783473 /nfs/dbraw/zinc/78/34/73/349783473.db2.gz WPXDHBYMXSOYQR-UHFFFAOYSA-N 0 0 261.324 2.620 20 5 CFBDRN Cc1cc(NC[C@H](C)Cn2ccnc2)c([N+](=O)[O-])s1 ZINC000596081448 349789226 /nfs/dbraw/zinc/78/92/26/349789226.db2.gz XFBUTEILEFCYKK-VIFPVBQESA-N 0 0 280.353 2.909 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596086380 349790658 /nfs/dbraw/zinc/79/06/58/349790658.db2.gz YGPKEWAVMCMXSC-MNOVXSKESA-N 0 0 291.351 2.932 20 5 CFBDRN CCOC(=O)CCCNc1cc(C)sc1[N+](=O)[O-] ZINC000596071545 349787552 /nfs/dbraw/zinc/78/75/52/349787552.db2.gz QNQUIABIYQEWFY-UHFFFAOYSA-N 0 0 272.326 2.720 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596073308 349787608 /nfs/dbraw/zinc/78/76/08/349787608.db2.gz JSFVIMNMDRVMAA-BDAKNGLRSA-N 0 0 251.286 2.686 20 5 CFBDRN CC[C@H](C)CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000421577855 529667313 /nfs/dbraw/zinc/66/73/13/529667313.db2.gz GKRXALSFIWJLKB-VIFPVBQESA-N 0 0 250.298 2.679 20 5 CFBDRN Cc1ccccc1[C@@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596105737 349795683 /nfs/dbraw/zinc/79/56/83/349795683.db2.gz MTUZZIMVGYCBOF-GFCCVEGCSA-N 0 0 299.330 2.998 20 5 CFBDRN CC(C)(CCC(=O)Nc1cc(Cl)ccc1O)[N+](=O)[O-] ZINC000294976691 199280864 /nfs/dbraw/zinc/28/08/64/199280864.db2.gz XWKOEJJWSSDFMS-UHFFFAOYSA-N 0 0 286.715 2.820 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])cn2)CCCS1 ZINC000126399733 187237504 /nfs/dbraw/zinc/23/75/04/187237504.db2.gz AIMFILWQLPSEMN-LLVKDONJSA-N 0 0 253.327 2.687 20 5 CFBDRN CN(c1ccc(C(F)(F)F)c([N+](=O)[O-])c1)[C@@H]1CCOC1 ZINC000596123738 349799664 /nfs/dbraw/zinc/79/96/64/349799664.db2.gz PGAMYMDBICRNBY-SECBINFHSA-N 0 0 290.241 2.839 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000596124332 349800401 /nfs/dbraw/zinc/80/04/01/349800401.db2.gz VPRSMZKUWQRRAH-TVQRCGJNSA-N 0 0 282.340 2.731 20 5 CFBDRN Cc1ccc(CNc2ncc([N+](=O)[O-])c(C)n2)s1 ZINC000295428726 199505050 /nfs/dbraw/zinc/50/50/50/199505050.db2.gz FNEULFBZSNMYLH-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1ncsc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000355960946 282235544 /nfs/dbraw/zinc/23/55/44/282235544.db2.gz PZVRXGLPNKNHAM-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1cc(NC[C@H]2CCC[C@@H]2O)c([N+](=O)[O-])s1 ZINC000596137695 349804952 /nfs/dbraw/zinc/80/49/52/349804952.db2.gz BUXQSFONXLQXCA-SCZZXKLOSA-N 0 0 256.327 2.538 20 5 CFBDRN CC[C@H](O)COc1ccc([N+](=O)[O-])cc1Br ZINC000157029172 529709410 /nfs/dbraw/zinc/70/94/10/529709410.db2.gz GJUCBEULQJMYGF-QMMMGPOBSA-N 0 0 290.113 2.507 20 5 CFBDRN CC(C)C[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596144829 349807400 /nfs/dbraw/zinc/80/74/00/349807400.db2.gz JMDPOLHQUSQLIZ-NSHDSACASA-N 0 0 291.351 2.566 20 5 CFBDRN CCO[C@@H](CCNc1cc[nH]c(=O)c1[N+](=O)[O-])C(C)C ZINC000596146688 349807903 /nfs/dbraw/zinc/80/79/03/349807903.db2.gz HFDHCNZTGDVVLA-NSHDSACASA-N 0 0 283.328 2.559 20 5 CFBDRN C[C@@H](CO)Sc1c(Br)cccc1[N+](=O)[O-] ZINC000596145038 349808287 /nfs/dbraw/zinc/80/82/87/349808287.db2.gz PHYNGZCJWPQQLP-LURJTMIESA-N 0 0 292.154 2.830 20 5 CFBDRN C[C@@H]1CCC[C@H](C)CN1c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596147992 349808870 /nfs/dbraw/zinc/80/88/70/349808870.db2.gz XSLFFEJUDGRJIX-WDEREUQCSA-N 0 0 291.351 2.709 20 5 CFBDRN CCCCCC[C@H](C)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290475912 197721685 /nfs/dbraw/zinc/72/16/85/197721685.db2.gz AXNZWWPAXQLKHO-JTQLQIEISA-N 0 0 294.355 2.860 20 5 CFBDRN CC[C@@H](C)CSc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596155431 349811880 /nfs/dbraw/zinc/81/18/80/349811880.db2.gz ALNWLZDVQRDFPO-MRVPVSSYSA-N 0 0 268.338 2.832 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000596152237 349810103 /nfs/dbraw/zinc/81/01/03/349810103.db2.gz JETLDNXUFAOHDU-SNVBAGLBSA-N 0 0 296.323 2.523 20 5 CFBDRN CC(C)C[C@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596158370 349812824 /nfs/dbraw/zinc/81/28/24/349812824.db2.gz WGXKMMNPCRGUFV-LLVKDONJSA-N 0 0 279.340 2.958 20 5 CFBDRN CC(C)n1ncnc1CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000290484186 197724806 /nfs/dbraw/zinc/72/48/06/197724806.db2.gz IIEYPDRWDGCJES-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@H]3CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596171979 349816964 /nfs/dbraw/zinc/81/69/64/349816964.db2.gz RRKIHZKAJBUUDV-MNOVXSKESA-N 0 0 282.365 2.828 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596172077 349817019 /nfs/dbraw/zinc/81/70/19/349817019.db2.gz JAPPFOROBVRUSG-NXEZZACHSA-N 0 0 265.313 2.568 20 5 CFBDRN CO[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)CC1(C)C ZINC000295025759 199306686 /nfs/dbraw/zinc/30/66/86/199306686.db2.gz NNVNOMRDUCYMHN-LLVKDONJSA-N 0 0 284.381 2.903 20 5 CFBDRN CS[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000295031883 199309361 /nfs/dbraw/zinc/30/93/61/199309361.db2.gz RWLYRTVGPRDZDN-MRVPVSSYSA-N 0 0 273.745 2.585 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCCC[C@H]2F)c1[N+](=O)[O-] ZINC000413529483 529767661 /nfs/dbraw/zinc/76/76/61/529767661.db2.gz HEUWHYYRRDGJIF-PSASIEDQSA-N 0 0 270.308 2.583 20 5 CFBDRN CC(=O)c1cc(NC[C@H](C)CCCO)ccc1[N+](=O)[O-] ZINC000295039616 199314554 /nfs/dbraw/zinc/31/45/54/199314554.db2.gz WNFZHKBIKHROJB-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN Cc1cc(N2CCO[C@H](C(C)C)C2)c([N+](=O)[O-])s1 ZINC000596187028 349821168 /nfs/dbraw/zinc/82/11/68/349821168.db2.gz LYPWHQWGBCYDGJ-NSHDSACASA-N 0 0 270.354 2.826 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C[C@H]1C ZINC000596198514 349824746 /nfs/dbraw/zinc/82/47/46/349824746.db2.gz LDIJFVZIIJOXAU-MXWKQRLJSA-N 0 0 291.351 2.930 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@@H]1C ZINC000596198933 349824758 /nfs/dbraw/zinc/82/47/58/349824758.db2.gz OLOVHLRTSZIVAM-UTLUCORTSA-N 0 0 265.313 2.932 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1S[C@H]1CCOC1 ZINC000596204956 349826350 /nfs/dbraw/zinc/82/63/50/349826350.db2.gz DRJCRJQNKYALEO-LURJTMIESA-N 0 0 260.702 2.524 20 5 CFBDRN O=c1[nH]ccc(NCCCc2cccs2)c1[N+](=O)[O-] ZINC000596182190 349819305 /nfs/dbraw/zinc/81/93/05/349819305.db2.gz QGJQHWAOJJALRF-UHFFFAOYSA-N 0 0 279.321 2.802 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC(C2CCOCC2)C1 ZINC000596226513 349832912 /nfs/dbraw/zinc/83/29/12/349832912.db2.gz LDLGUCTWRVZCQT-UHFFFAOYSA-N 0 0 297.742 2.506 20 5 CFBDRN Cc1cc(N2CC[C@]3(C2)CCCOC3)c([N+](=O)[O-])s1 ZINC000596224314 349833382 /nfs/dbraw/zinc/83/33/82/349833382.db2.gz AGUSBPYHCSEFEN-ZDUSSCGKSA-N 0 0 282.365 2.972 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3cncn3C2)c([N+](=O)[O-])s1 ZINC000596229432 349834201 /nfs/dbraw/zinc/83/42/01/349834201.db2.gz BEKZXEGKQAQVTR-SECBINFHSA-N 0 0 278.337 2.588 20 5 CFBDRN Cc1cc(NCC2(CCO)CC2)c([N+](=O)[O-])s1 ZINC000596210250 349827905 /nfs/dbraw/zinc/82/79/05/349827905.db2.gz SUNDZQMXGDUOIF-UHFFFAOYSA-N 0 0 256.327 2.539 20 5 CFBDRN COc1cc(NCCCCCO)c(Cl)cc1[N+](=O)[O-] ZINC000596216851 349829288 /nfs/dbraw/zinc/82/92/88/349829288.db2.gz NBYVTWMYKSZKDQ-UHFFFAOYSA-N 0 0 288.731 2.831 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)sc2[N+](=O)[O-])CCCO1 ZINC000596219692 349831067 /nfs/dbraw/zinc/83/10/67/349831067.db2.gz CYYNYNOMBWSPNC-SNVBAGLBSA-N 0 0 270.354 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC(CF)CC2)c(F)c1 ZINC000295067932 199329060 /nfs/dbraw/zinc/32/90/60/199329060.db2.gz PAKMJKXDPWJSCY-UHFFFAOYSA-N 0 0 270.279 2.915 20 5 CFBDRN Cc1cc(N2CC[C@H](O)C(C)(C)C2)c([N+](=O)[O-])s1 ZINC000596220503 349831277 /nfs/dbraw/zinc/83/12/77/349831277.db2.gz CQKQJNWFJBJQRP-JTQLQIEISA-N 0 0 270.354 2.562 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC2(C1)CC(F)(F)C2 ZINC000596223468 349832216 /nfs/dbraw/zinc/83/22/16/349832216.db2.gz QNKBDVLDODAPRU-UHFFFAOYSA-N 0 0 289.669 2.879 20 5 CFBDRN CCn1cc(CN(C)c2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000072948578 346952515 /nfs/dbraw/zinc/95/25/15/346952515.db2.gz SKNMEKVLGLDLOX-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN O=[N+]([O-])c1cc(N2CCO[C@@H]3C[C@@H]32)ccc1C(F)(F)F ZINC000596237955 349836194 /nfs/dbraw/zinc/83/61/94/349836194.db2.gz KRGUYKDKZMHWGR-WDEREUQCSA-N 0 0 288.225 2.591 20 5 CFBDRN COCCC1(C)CN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596240121 349837136 /nfs/dbraw/zinc/83/71/36/349837136.db2.gz UWFMHIODIDJTCK-UHFFFAOYSA-N 0 0 270.354 2.828 20 5 CFBDRN CCN(CC(C)(C)C)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596239782 349837364 /nfs/dbraw/zinc/83/73/64/349837364.db2.gz NMAPNYXYYGKJEG-UHFFFAOYSA-N 0 0 279.340 2.566 20 5 CFBDRN CCOc1cc(N2CCO[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000301724532 136437854 /nfs/dbraw/zinc/43/78/54/136437854.db2.gz TUWMTYFFPRFNAO-MNOVXSKESA-N 0 0 280.324 2.607 20 5 CFBDRN CCC1(C)CN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596241786 349838111 /nfs/dbraw/zinc/83/81/11/349838111.db2.gz KVWCAYFRDFEXRV-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN Cc1cc(N[C@H](C)Cc2cnn(C)c2)c([N+](=O)[O-])s1 ZINC000596257686 349843757 /nfs/dbraw/zinc/84/37/57/349843757.db2.gz NBHFOWWWQNJZSG-MRVPVSSYSA-N 0 0 280.353 2.741 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000128022726 187346631 /nfs/dbraw/zinc/34/66/31/187346631.db2.gz ZJYOUAKNAQJLTO-JQWIXIFHSA-N 0 0 276.336 2.703 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1nccc2c1cccc2[N+](=O)[O-] ZINC000596247620 349839681 /nfs/dbraw/zinc/83/96/81/349839681.db2.gz KISROZLMJPURGZ-VHSXEESVSA-N 0 0 257.293 2.988 20 5 CFBDRN CC(C)C[C@]1(C)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000596253204 349841047 /nfs/dbraw/zinc/84/10/47/349841047.db2.gz YYFQTOUEQLBOOP-ZDUSSCGKSA-N 0 0 264.329 2.790 20 5 CFBDRN COCCCCN(C)c1cc(C)sc1[N+](=O)[O-] ZINC000596276622 349850632 /nfs/dbraw/zinc/85/06/32/349850632.db2.gz GFXXXKQYQLIXMG-UHFFFAOYSA-N 0 0 258.343 2.828 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@H](C(N)=O)CC2)c([N+](=O)[O-])s1 ZINC000596277044 349850889 /nfs/dbraw/zinc/85/08/89/349850889.db2.gz FNFQQNMWALAIMI-MGCOHNPYSA-N 0 0 297.380 2.668 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@@H]2CCC[C@H]2O)ccc1C(F)(F)F ZINC000596263097 349846227 /nfs/dbraw/zinc/84/62/27/349846227.db2.gz DTHFOXAEOJBABI-MWLCHTKSSA-N 0 0 290.241 2.939 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1ncc2c(n1)CCC2 ZINC000596263301 349846407 /nfs/dbraw/zinc/84/64/07/349846407.db2.gz DLFQFYXLGYVMEO-UHFFFAOYSA-N 0 0 288.282 2.625 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@H](C)O2)c([N+](=O)[O-])s1 ZINC000596263668 349847202 /nfs/dbraw/zinc/84/72/02/349847202.db2.gz DWCVOMFRDJFBIL-IONNQARKSA-N 0 0 256.327 2.944 20 5 CFBDRN COC(=O)C1(COc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000596265604 349847278 /nfs/dbraw/zinc/84/72/78/349847278.db2.gz KMORRGGYDGNSFT-UHFFFAOYSA-N 0 0 285.683 2.580 20 5 CFBDRN CC[N@@H+]1CCCN(c2ccccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000596268919 349848192 /nfs/dbraw/zinc/84/81/92/349848192.db2.gz NOHBPONPNWWBTC-LBPRGKRZSA-N 0 0 263.341 2.515 20 5 CFBDRN COC1CC(CCNc2sccc2[N+](=O)[O-])C1 ZINC000596308688 349859185 /nfs/dbraw/zinc/85/91/85/349859185.db2.gz KUTNHKQSEGVPIH-UHFFFAOYSA-N 0 0 256.327 2.883 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1COc1ccnnc1 ZINC000596292563 349854697 /nfs/dbraw/zinc/85/46/97/349854697.db2.gz KNWAYXDUBYVQHD-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN Cc1cc(SCc2cnn(C)c2)c([N+](=O)[O-])s1 ZINC000596292565 349854850 /nfs/dbraw/zinc/85/48/50/349854850.db2.gz KPLHLZKEWPMPSA-UHFFFAOYSA-N 0 0 269.351 2.991 20 5 CFBDRN COC(=O)[C@H](CNc1cc(C)sc1[N+](=O)[O-])CC1CC1 ZINC000596292406 349854926 /nfs/dbraw/zinc/85/49/26/349854926.db2.gz IKTAVWFZQVMFNO-JTQLQIEISA-N 0 0 298.364 2.966 20 5 CFBDRN CC(=O)c1cc(N[C@H]2COC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000596312220 349860871 /nfs/dbraw/zinc/86/08/71/349860871.db2.gz VDJMUDOOXWZVAO-LLVKDONJSA-N 0 0 278.308 2.777 20 5 CFBDRN CCC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000301839505 136441715 /nfs/dbraw/zinc/44/17/15/136441715.db2.gz OGFLSWVICKBRCB-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@]34C[C@H]3CCC4)nc2c1 ZINC000596314930 349861553 /nfs/dbraw/zinc/86/15/53/349861553.db2.gz AICYCBJQCWDQOU-AMIZOPFISA-N 0 0 258.281 2.826 20 5 CFBDRN COCC1(Nc2ccccc2[N+](=O)[O-])CCCC1 ZINC000596315251 349861574 /nfs/dbraw/zinc/86/15/74/349861574.db2.gz BKPFJDMQLZRTMY-UHFFFAOYSA-N 0 0 250.298 2.966 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000073336259 346971699 /nfs/dbraw/zinc/97/16/99/346971699.db2.gz QTIDNATXCXERGK-ZYHUDNBSSA-N 0 0 292.335 2.864 20 5 CFBDRN CN(CC1(O)CCCCCC1)c1ncc([N+](=O)[O-])cc1F ZINC000413249957 529857290 /nfs/dbraw/zinc/85/72/90/529857290.db2.gz MSOXWJCJMBINCZ-UHFFFAOYSA-N 0 0 297.330 2.650 20 5 CFBDRN C[C@]1(C2CC2)CN(c2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000596333914 349867703 /nfs/dbraw/zinc/86/77/03/349867703.db2.gz DHMBAOITGNPLKU-CYBMUJFWSA-N 0 0 297.742 2.649 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCO[C@](C)(C3CC3)C2)n1 ZINC000596335907 349868398 /nfs/dbraw/zinc/86/83/98/349868398.db2.gz SJPXSRGWHCNAOF-HNNXBMFYSA-N 0 0 291.351 2.612 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])c2ncnn21 ZINC000596342925 349870887 /nfs/dbraw/zinc/87/08/87/349870887.db2.gz IQZKYGQWYGDTPQ-XCBNKYQSSA-N 0 0 293.714 2.958 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c(F)cc([N+](=O)[O-])cc2F)c2ncnn21 ZINC000596342898 349870890 /nfs/dbraw/zinc/87/08/90/349870890.db2.gz IDUGGBGCYCQPFN-LDWIPMOCSA-N 0 0 295.249 2.582 20 5 CFBDRN COCCOCCSCc1ccc(F)cc1[N+](=O)[O-] ZINC000596353124 349874314 /nfs/dbraw/zinc/87/43/14/349874314.db2.gz JFQTVERCMOHURV-UHFFFAOYSA-N 0 0 289.328 2.630 20 5 CFBDRN CCC(C)(C)N(C)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000074023357 346989838 /nfs/dbraw/zinc/98/98/38/346989838.db2.gz LGMCQEUJNMBABE-UHFFFAOYSA-N 0 0 280.324 2.621 20 5 CFBDRN CC(C)C1CCN(c2ccc3ncc([N+](=O)[O-])n3n2)CC1 ZINC000302004290 136445127 /nfs/dbraw/zinc/44/51/27/136445127.db2.gz RUDAMTMBXMCJOT-UHFFFAOYSA-N 0 0 289.339 2.510 20 5 CFBDRN C[S@](=O)[C@H]1CCC[C@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000596373787 349881889 /nfs/dbraw/zinc/88/18/89/349881889.db2.gz LBJYBZPAAOOEND-ZMFHDSSTSA-N 0 0 288.394 2.758 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000074235256 347003722 /nfs/dbraw/zinc/00/37/22/347003722.db2.gz OKDJTYFVBSTDLM-ZCFIWIBFSA-N 0 0 262.187 2.732 20 5 CFBDRN O=C(CCC(F)(F)F)Nc1ccc([N+](=O)[O-])cc1 ZINC000074235214 347003787 /nfs/dbraw/zinc/00/37/87/347003787.db2.gz ABOJHIJFFHGNRN-UHFFFAOYSA-N 0 0 262.187 2.876 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@@H]1CCCS1 ZINC000074234985 347003838 /nfs/dbraw/zinc/00/38/38/347003838.db2.gz GKXFJVIJNVCOEI-JTQLQIEISA-N 0 0 270.285 2.568 20 5 CFBDRN CN(CCOc1ccccc1[N+](=O)[O-])Cc1nccs1 ZINC000175058330 529928198 /nfs/dbraw/zinc/92/81/98/529928198.db2.gz LTBHZUCUIWXQDP-UHFFFAOYSA-N 0 0 293.348 2.562 20 5 CFBDRN COc1cc(CSCC[C@@H](C)O)c([N+](=O)[O-])cc1F ZINC000596405694 349891192 /nfs/dbraw/zinc/89/11/92/349891192.db2.gz BGBNULPCVUOMPX-MRVPVSSYSA-N 0 0 289.328 2.747 20 5 CFBDRN COc1ccc(CSCC[C@@H](C)O)cc1[N+](=O)[O-] ZINC000596406539 349891753 /nfs/dbraw/zinc/89/17/53/349891753.db2.gz FJJAUWWPUSQMGD-SECBINFHSA-N 0 0 271.338 2.608 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ncc([N+](=O)[O-])s1)CC2 ZINC000596408269 349892220 /nfs/dbraw/zinc/89/22/20/349892220.db2.gz IRDPZRFCUMDLBF-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(CCc3ccccc3)no2)c1 ZINC000074457210 347016792 /nfs/dbraw/zinc/01/67/92/347016792.db2.gz XLXXDSQYAWHCJU-UHFFFAOYSA-N 0 0 284.275 2.758 20 5 CFBDRN COc1cc(CSCC2(C)COC2)ccc1[N+](=O)[O-] ZINC000596415725 349895948 /nfs/dbraw/zinc/89/59/48/349895948.db2.gz VORNJAPRDDBWNZ-UHFFFAOYSA-N 0 0 283.349 2.873 20 5 CFBDRN CSCC1(CCNc2ncccc2[N+](=O)[O-])CC1 ZINC000596429046 349900514 /nfs/dbraw/zinc/90/05/14/349900514.db2.gz OQJIWNWZLSFJDU-UHFFFAOYSA-N 0 0 267.354 2.935 20 5 CFBDRN CCc1nn(C)c(N2CCC(C3CCC3)CC2)c1[N+](=O)[O-] ZINC000596429558 349900549 /nfs/dbraw/zinc/90/05/49/349900549.db2.gz YDLQFZDYJCUBQL-UHFFFAOYSA-N 0 0 292.383 2.907 20 5 CFBDRN CC[C@H]1CN(c2cc(C)c([N+](=O)[O-])cn2)CCS1 ZINC000074768600 347031415 /nfs/dbraw/zinc/03/14/15/347031415.db2.gz NIYWUQWOVINICS-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1cc(NCCc2nc3ccccc3[nH]2)ncc1[N+](=O)[O-] ZINC000074726804 347028988 /nfs/dbraw/zinc/02/89/88/347028988.db2.gz FBFWCJAGWWVLDA-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN Cc1cc(N2CCOc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000074742202 347029621 /nfs/dbraw/zinc/02/96/21/347029621.db2.gz KOMUQXQACHLKOO-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN Cc1cc(NCCCOCC(F)(F)F)ncc1[N+](=O)[O-] ZINC000074739766 347029698 /nfs/dbraw/zinc/02/96/98/347029698.db2.gz KPRLCXOXMDHNMD-UHFFFAOYSA-N 0 0 293.245 2.679 20 5 CFBDRN C[C@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])[C@@H]1CCO ZINC000596440030 349903140 /nfs/dbraw/zinc/90/31/40/349903140.db2.gz CFBZSWKVKZSJAC-CMPLNLGQSA-N 0 0 282.315 2.721 20 5 CFBDRN Cc1cc(Sc2nnc(C(C)C)n2C)ncc1[N+](=O)[O-] ZINC000074746248 347030463 /nfs/dbraw/zinc/03/04/63/347030463.db2.gz XWEPEQRSHKFRDA-UHFFFAOYSA-N 0 0 293.352 2.701 20 5 CFBDRN C[C@@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H]1CCO ZINC000596441444 349903769 /nfs/dbraw/zinc/90/37/69/349903769.db2.gz GXPDOTASWDDKTF-MWLCHTKSSA-N 0 0 299.758 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](C)[C@H]2CCO)c1 ZINC000596444322 349904835 /nfs/dbraw/zinc/90/48/35/349904835.db2.gz WAEWYAJIEGARKA-CHWSQXEVSA-N 0 0 278.352 2.891 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074769168 347032244 /nfs/dbraw/zinc/03/22/44/347032244.db2.gz CJDJURXOBXQIRH-GXSJLCMTSA-N 0 0 267.329 2.507 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074769161 347032268 /nfs/dbraw/zinc/03/22/68/347032268.db2.gz CJDJURXOBXQIRH-KOLCDFICSA-N 0 0 267.329 2.507 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@]12C[C@H]1CCC2 ZINC000596442702 349904620 /nfs/dbraw/zinc/90/46/20/349904620.db2.gz JWHAGJGOEYYONL-NOZJJQNGSA-N 0 0 261.281 2.659 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(c1c(F)cccc1[N+](=O)[O-])C2 ZINC000596442908 349904625 /nfs/dbraw/zinc/90/46/25/349904625.db2.gz MCRNGIJIERZZBO-OKILXGFUSA-N 0 0 280.299 2.597 20 5 CFBDRN Cc1cc(N2C[C@@H](C)C(=O)[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000596447716 349906295 /nfs/dbraw/zinc/90/62/95/349906295.db2.gz QQBLUHFPPXJYIP-NXEZZACHSA-N 0 0 280.299 2.704 20 5 CFBDRN CCOc1cc(N2CCCCO2)ccc1[N+](=O)[O-] ZINC000302677242 136458775 /nfs/dbraw/zinc/45/87/75/136458775.db2.gz QJHHCHIHAYEUQV-UHFFFAOYSA-N 0 0 252.270 2.525 20 5 CFBDRN CN(CC1=CCCOC1)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000596465577 349909092 /nfs/dbraw/zinc/90/90/92/349909092.db2.gz KJPMODUCZVBLJS-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CCOc1cccc(N(C)CC2=CCCOC2)c1[N+](=O)[O-] ZINC000596465603 349909446 /nfs/dbraw/zinc/90/94/46/349909446.db2.gz LEVFRVFCVTYEBO-UHFFFAOYSA-N 0 0 292.335 2.776 20 5 CFBDRN CCc1nnc(Sc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000596470933 349910065 /nfs/dbraw/zinc/91/00/65/349910065.db2.gz ZEVMWLJZHHPWTN-UHFFFAOYSA-N 0 0 282.350 2.863 20 5 CFBDRN CC[C@@H](O)CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000127235319 187290909 /nfs/dbraw/zinc/29/09/09/187290909.db2.gz FAUGQPANMMVYDA-SECBINFHSA-N 0 0 258.705 2.821 20 5 CFBDRN Cc1cc(NCC[C@@]2(C)CC2(F)F)ncc1[N+](=O)[O-] ZINC000596474704 349911573 /nfs/dbraw/zinc/91/15/73/349911573.db2.gz BARNYUKCLVNMCJ-NSHDSACASA-N 0 0 271.267 2.567 20 5 CFBDRN CC(C)[C@](C)(O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000075050753 347051449 /nfs/dbraw/zinc/05/14/49/347051449.db2.gz GOEFJLLTSHFQFK-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1ccnc(NC[C@@H](CCO)CC(C)C)c1[N+](=O)[O-] ZINC000075062383 347051992 /nfs/dbraw/zinc/05/19/92/347051992.db2.gz HYLJCIOBJXLYLG-LBPRGKRZSA-N 0 0 281.356 2.755 20 5 CFBDRN Cc1cnc(NC[C@@H](CCO)CC(C)C)c([N+](=O)[O-])c1 ZINC000075062179 347052297 /nfs/dbraw/zinc/05/22/97/347052297.db2.gz NGYGHELSAPUXHN-LBPRGKRZSA-N 0 0 281.356 2.755 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](CO)C1CCCCC1 ZINC000075066265 347053540 /nfs/dbraw/zinc/05/35/40/347053540.db2.gz SHGBPYHYKKAXDE-CYBMUJFWSA-N 0 0 264.325 2.948 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CO)C2CCCCC2)c(Cl)c1 ZINC000075066244 347053654 /nfs/dbraw/zinc/05/36/54/347053654.db2.gz MEEMFZBXNPNRCI-LBPRGKRZSA-N 0 0 299.758 2.996 20 5 CFBDRN C[C@@]1(CNc2nc3sccn3c2[N+](=O)[O-])CC1(F)F ZINC000596475759 349912328 /nfs/dbraw/zinc/91/23/28/349912328.db2.gz CXOBWQWDPPDDPV-VIFPVBQESA-N 0 0 288.279 2.761 20 5 CFBDRN Cc1nn(C)c(NCCCC2CCC2)c1[N+](=O)[O-] ZINC000596476841 349913264 /nfs/dbraw/zinc/91/32/64/349913264.db2.gz UFJWMMJTOXIYAN-UHFFFAOYSA-N 0 0 252.318 2.629 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000426721572 530004342 /nfs/dbraw/zinc/00/43/42/530004342.db2.gz CEBZKESDRDAGFV-DABQJJPHSA-N 0 0 289.335 2.535 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1c3ccccc3ncc1[N+](=O)[O-])C2 ZINC000596479122 349914659 /nfs/dbraw/zinc/91/46/59/349914659.db2.gz JNEJAWMKMGLDKV-BZNIZROVSA-N 0 0 299.330 2.616 20 5 CFBDRN CN(Cc1ccccn1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000427986418 530005170 /nfs/dbraw/zinc/00/51/70/530005170.db2.gz XIBJALWWLQVUPB-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])cn1C)C1CCC(F)CC1 ZINC000596482215 349915764 /nfs/dbraw/zinc/91/57/64/349915764.db2.gz HCPPAXIOJZSHCU-WHXUTIOJSA-N 0 0 297.330 2.580 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000596480530 349915219 /nfs/dbraw/zinc/91/52/19/349915219.db2.gz RFUPUPNZLIXTDY-NXEZZACHSA-N 0 0 265.313 2.574 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ncc([N+](=O)[O-])cc1C)C2 ZINC000596484898 349916610 /nfs/dbraw/zinc/91/66/10/349916610.db2.gz URPPJZQGWUHXHR-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc3c(c2)CCOC3)s1 ZINC000596486051 349916403 /nfs/dbraw/zinc/91/64/03/349916403.db2.gz RULYALFMORVWSE-UHFFFAOYSA-N 0 0 277.305 2.868 20 5 CFBDRN Cc1cc(N[C@H](C)C[NH+]2CCC(C)CC2)ncc1[N+](=O)[O-] ZINC000596487553 349917443 /nfs/dbraw/zinc/91/74/43/349917443.db2.gz HLXHVFNZPXWJJU-CYBMUJFWSA-N 0 0 292.383 2.831 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000596489017 349918024 /nfs/dbraw/zinc/91/80/24/349918024.db2.gz SFYGVDNANASEBZ-PSASIEDQSA-N 0 0 270.716 2.598 20 5 CFBDRN COc1cc(NC[C@H](CO)CC2CC2)c(F)cc1[N+](=O)[O-] ZINC000596492153 349918527 /nfs/dbraw/zinc/91/85/27/349918527.db2.gz NJJIPFYDMRFYMD-SNVBAGLBSA-N 0 0 298.314 2.563 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C2CCC2)C1 ZINC000596490113 349917802 /nfs/dbraw/zinc/91/78/02/349917802.db2.gz ZRZMVPLWSYOMBS-GFCCVEGCSA-N 0 0 291.351 2.586 20 5 CFBDRN Cc1cccc(N2CC[C@@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000596494468 349919477 /nfs/dbraw/zinc/91/94/77/349919477.db2.gz PPQOMYQUHIZSNW-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN CN(CC1=CCSC1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000596494330 349919648 /nfs/dbraw/zinc/91/96/48/349919648.db2.gz ODNSWOVCAQHSOZ-UHFFFAOYSA-N 0 0 293.348 2.732 20 5 CFBDRN C[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000596495868 349919740 /nfs/dbraw/zinc/91/97/40/349919740.db2.gz XLZIBPWWFWZVBH-ZJUUUORDSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)C[C@H](C)c1nccs1 ZINC000273225308 192079837 /nfs/dbraw/zinc/07/98/37/192079837.db2.gz VMRZUXDKMDAGIC-JTQLQIEISA-N 0 0 292.364 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H]1C[C@H](O)C12CCC2 ZINC000596502769 349922837 /nfs/dbraw/zinc/92/28/37/349922837.db2.gz GFFRDSJFYYDHBJ-CABCVRRESA-N 0 0 299.330 2.858 20 5 CFBDRN CCN(CC(C)C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270522208 190710825 /nfs/dbraw/zinc/71/08/25/190710825.db2.gz MYLVYUNVEZKTOM-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN CC1(C)CCN(C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000270518501 190709160 /nfs/dbraw/zinc/70/91/60/190709160.db2.gz MMJZKCRNJFQXRN-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H](O)C23CCC3)c([N+](=O)[O-])cc1C ZINC000596510150 349924723 /nfs/dbraw/zinc/92/47/23/349924723.db2.gz OIQFNMOBSHOULE-KGLIPLIRSA-N 0 0 292.335 2.627 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@@H]3C[C@H](O)C34CCC4)ccnc21 ZINC000596509113 349923802 /nfs/dbraw/zinc/92/38/02/349923802.db2.gz NTDGJQWRQLHKFN-KGLIPLIRSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3C[C@@H]3C2)c2ccncc21 ZINC000596509297 349923973 /nfs/dbraw/zinc/92/39/73/349923973.db2.gz ILXXBWGMLQTVMR-GHMZBOCLSA-N 0 0 269.304 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3C[C@H]3C2)c2ncccc12 ZINC000596511389 349925459 /nfs/dbraw/zinc/92/54/59/349925459.db2.gz OMRRWQFXURRKSD-QWRGUYRKSA-N 0 0 269.304 2.989 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596513901 349926656 /nfs/dbraw/zinc/92/66/56/349926656.db2.gz XAQFPFLKFDMASA-ZIAGYGMSSA-N 0 0 290.319 2.513 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1C(C)(C)C1(F)F ZINC000596512957 349926051 /nfs/dbraw/zinc/92/60/51/349926051.db2.gz MUZQPYHMORTXTE-MRVPVSSYSA-N 0 0 288.298 2.823 20 5 CFBDRN Cn1cc(Nc2ccc([N+](=O)[O-])c3cnccc23)cn1 ZINC000035781634 232173832 /nfs/dbraw/zinc/17/38/32/232173832.db2.gz NKLKBYKUOKDGPD-UHFFFAOYSA-N 0 0 269.264 2.620 20 5 CFBDRN Cc1nc(N[C@@H]2CCO[C@H](CC(C)C)C2)ncc1[N+](=O)[O-] ZINC000295104878 199347590 /nfs/dbraw/zinc/34/75/90/199347590.db2.gz UPRUYQMJESZLGO-VXGBXAGGSA-N 0 0 294.355 2.699 20 5 CFBDRN C[C@@H]1COC2(CCCC2)CN1c1ncccc1[N+](=O)[O-] ZINC000596516526 349927255 /nfs/dbraw/zinc/92/72/55/349927255.db2.gz TUVGLBIWAIDVBC-LLVKDONJSA-N 0 0 277.324 2.528 20 5 CFBDRN Cc1cc(N2CC[C@H]3COC[C@@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000596526151 349929761 /nfs/dbraw/zinc/92/97/61/349929761.db2.gz BUJARTONCIPRGU-QWRGUYRKSA-N 0 0 280.299 2.515 20 5 CFBDRN CNC(=O)c1ccc(N[C@@]2(C)CC2(C)C)c([N+](=O)[O-])c1 ZINC000596524218 349929827 /nfs/dbraw/zinc/92/98/27/349929827.db2.gz SOKQBZPGKRGOSL-AWEZNQCLSA-N 0 0 277.324 2.555 20 5 CFBDRN CC(C)[C@@H](Nc1ccc([N+](=O)[O-])c(C(N)=O)c1)C1CC1 ZINC000596523743 349929902 /nfs/dbraw/zinc/92/99/02/349929902.db2.gz XNSVCHNEGDFOFJ-CYBMUJFWSA-N 0 0 277.324 2.540 20 5 CFBDRN COC[C@H](C)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295550326 199558863 /nfs/dbraw/zinc/55/88/63/199558863.db2.gz PJZZDIKWYWVGST-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(c2ccon2)CC1 ZINC000596528316 349931235 /nfs/dbraw/zinc/93/12/35/349931235.db2.gz XYJRTZBEGRKYIZ-UHFFFAOYSA-N 0 0 273.292 2.967 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(c2ccon2)CC1 ZINC000596526936 349930667 /nfs/dbraw/zinc/93/06/67/349930667.db2.gz ADRSFWKKQGVYNF-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3COC[C@H]3C2)c2ncccc12 ZINC000596526697 349930707 /nfs/dbraw/zinc/93/07/07/349930707.db2.gz FXTKCRWNUBCMDY-NWDGAFQWSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@@H]2COC[C@H]2C1 ZINC000596529961 349932151 /nfs/dbraw/zinc/93/21/51/349932151.db2.gz RZGXZQDABIPIHN-CHWSQXEVSA-N 0 0 299.330 2.616 20 5 CFBDRN COc1cc(NC[C@H]2CCOC2(C)C)c(F)cc1[N+](=O)[O-] ZINC000596537625 349934906 /nfs/dbraw/zinc/93/49/06/349934906.db2.gz CHEMAEWZSHOISK-SECBINFHSA-N 0 0 298.314 2.970 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1nccn1C ZINC000596541763 349935561 /nfs/dbraw/zinc/93/55/61/349935561.db2.gz FRNUTWHXBWZQAX-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCOC2(C)C)n1 ZINC000596541758 349935628 /nfs/dbraw/zinc/93/56/28/349935628.db2.gz DRPIYYGHPCAMGG-LLVKDONJSA-N 0 0 279.340 2.834 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000154567354 232226437 /nfs/dbraw/zinc/22/64/37/232226437.db2.gz LOUUNNDFJRDDCA-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1ccsc1[N+](=O)[O-] ZINC000596542336 349936411 /nfs/dbraw/zinc/93/64/11/349936411.db2.gz GMMPJFSWQXTMMO-QMMMGPOBSA-N 0 0 256.327 2.883 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000154951554 232228779 /nfs/dbraw/zinc/22/87/79/232228779.db2.gz RKVKILYBOIRMCK-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN C[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000596546539 349938242 /nfs/dbraw/zinc/93/82/42/349938242.db2.gz YWWVJXXWWLVINS-RNCFNFMXSA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1ccn(C)c1 ZINC000162502851 232255345 /nfs/dbraw/zinc/25/53/45/232255345.db2.gz ZFPXHZOQJPLVTO-UHFFFAOYSA-N 0 0 288.307 2.563 20 5 CFBDRN Cc1n[nH]cc1NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000162877379 232256167 /nfs/dbraw/zinc/25/61/67/232256167.db2.gz STOSUZQTLTZOFW-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)[C@@H]1C ZINC000295553277 199560813 /nfs/dbraw/zinc/56/08/13/199560813.db2.gz JCHIXJPYDUSENG-HTQZYQBOSA-N 0 0 268.700 2.729 20 5 CFBDRN COc1cccc(CNc2cc(C)c([N+](=O)[O-])cn2)c1C ZINC000596554181 349939773 /nfs/dbraw/zinc/93/97/73/349939773.db2.gz VVOBUTZDIFAOGA-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccoc2)c(Cl)c1 ZINC000172409131 232269382 /nfs/dbraw/zinc/26/93/82/232269382.db2.gz ICTNFMVYTAIKBQ-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN COC(=O)Cc1ccc(NC2CC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000596553304 349940124 /nfs/dbraw/zinc/94/01/24/349940124.db2.gz OWLONSGIHCUIKG-UHFFFAOYSA-N 0 0 292.335 2.911 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@](C)(O)C(C)C ZINC000173985890 232285071 /nfs/dbraw/zinc/28/50/71/232285071.db2.gz HAHBCKMDDLVNKX-ZDUSSCGKSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1nnc(CN(C)c2ccc(F)cc2[N+](=O)[O-])s1 ZINC000596556093 349941043 /nfs/dbraw/zinc/94/10/43/349941043.db2.gz JXKOVYWWLLERJN-UHFFFAOYSA-N 0 0 282.300 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H](O)C2)c(C(F)(F)F)c1 ZINC000596555814 349941133 /nfs/dbraw/zinc/94/11/33/349941133.db2.gz GYAFAGLKJRYFIF-FKQCQYRASA-N 0 0 276.214 2.549 20 5 CFBDRN Cc1cc(C(=O)NCC2(C)CCC2)ccc1[N+](=O)[O-] ZINC000175085405 232305327 /nfs/dbraw/zinc/30/53/27/232305327.db2.gz HCDYRLDKAFRNBP-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCC1(C)CCC1 ZINC000176760890 232340985 /nfs/dbraw/zinc/34/09/85/232340985.db2.gz QLAHSDKTIRTYPM-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN CCNC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 ZINC000006561291 346214923 /nfs/dbraw/zinc/21/49/23/346214923.db2.gz LNKUCHDSXJXEPV-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN CC[C@@H](NC(=O)[C@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000295133020 199362948 /nfs/dbraw/zinc/36/29/48/199362948.db2.gz IHLPARCCKIWEHY-GHMZBOCLSA-N 0 0 284.262 2.817 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCOc1ccccc1F ZINC000015167768 346234746 /nfs/dbraw/zinc/23/47/46/346234746.db2.gz ZMDMFQDIQDZINR-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N(Cc1cccnc1)C1CC1 ZINC000007909659 346219877 /nfs/dbraw/zinc/21/98/77/346219877.db2.gz ABBZZMITAHNHOU-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@](C)(C(F)F)C2)c1 ZINC000596571035 349944854 /nfs/dbraw/zinc/94/48/54/349944854.db2.gz CZSXIDQXKUISKU-CYBMUJFWSA-N 0 0 286.278 2.764 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCCC[C@@H]1C ZINC000009998255 346225689 /nfs/dbraw/zinc/22/56/89/346225689.db2.gz DRSWUJHOIOYMKJ-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN CC12CC(C1)CN2c1ncnc2sc([N+](=O)[O-])cc21 ZINC000596575299 349945513 /nfs/dbraw/zinc/94/55/13/349945513.db2.gz OOQPBJDCGRQHDF-UHFFFAOYSA-N 0 0 276.321 2.588 20 5 CFBDRN Cc1cccc(N2CCO[C@@](C)(C(F)F)C2)c1[N+](=O)[O-] ZINC000596572523 349945563 /nfs/dbraw/zinc/94/55/63/349945563.db2.gz FHVIHSWRPPWBPU-CYBMUJFWSA-N 0 0 286.278 2.764 20 5 CFBDRN O=C(C1CCCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000018234460 346241210 /nfs/dbraw/zinc/24/12/10/346241210.db2.gz XJERFEJJNPPWCQ-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN Cc1ccccc1CCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000018832157 346242230 /nfs/dbraw/zinc/24/22/30/346242230.db2.gz FVZQXLAZVBKUMA-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN C[C@@H]1CCCN1C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000295134098 199364376 /nfs/dbraw/zinc/36/43/76/199364376.db2.gz IKCSYFIFVRZJCW-LLVKDONJSA-N 0 0 290.319 2.569 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000025568726 346260505 /nfs/dbraw/zinc/26/05/05/346260505.db2.gz IRHZSVMORZXMIA-CMPLNLGQSA-N 0 0 291.351 2.709 20 5 CFBDRN COCc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 ZINC000023243790 346252046 /nfs/dbraw/zinc/25/20/46/346252046.db2.gz MQHDKSUCBPUDRU-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCSCC1 ZINC000075638594 347089438 /nfs/dbraw/zinc/08/94/38/347089438.db2.gz XWUQQOVMZAFELC-SNVBAGLBSA-N 0 0 252.339 2.705 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)ccn1 ZINC000027648718 346271263 /nfs/dbraw/zinc/27/12/63/346271263.db2.gz UNUKKYNWOLMWIZ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1cccnc1 ZINC000029296681 346280490 /nfs/dbraw/zinc/28/04/90/346280490.db2.gz QCLYRLRISYBVBP-HNNXBMFYSA-N 0 0 297.314 2.967 20 5 CFBDRN CC(C)(C)CCNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000029621689 346282831 /nfs/dbraw/zinc/28/28/31/346282831.db2.gz SSYBHBXGTJTEQA-UHFFFAOYSA-N 0 0 290.323 2.679 20 5 CFBDRN Cc1cccc(C(=O)NCC2CCCC2)c1[N+](=O)[O-] ZINC000031985750 346299748 /nfs/dbraw/zinc/29/97/48/346299748.db2.gz RGMSCZYXUCBAJF-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1ccc(-c2nc(Cn3cnc([N+](=O)[O-])c3)cs2)o1 ZINC000032331569 346302007 /nfs/dbraw/zinc/30/20/07/346302007.db2.gz NEESBIDGRIDAOM-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3CC4(CC4)C3)n2)o1 ZINC000596603180 349954060 /nfs/dbraw/zinc/95/40/60/349954060.db2.gz RSEKCMNETTVVPG-UHFFFAOYSA-N 0 0 261.237 2.895 20 5 CFBDRN CNC(=O)c1ccc(OCc2ccccc2[N+](=O)[O-])cc1 ZINC000041722168 346354215 /nfs/dbraw/zinc/35/42/15/346354215.db2.gz QRRHYLCOLZLXAR-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN CCC(C)(C)NC(=O)COc1cc([N+](=O)[O-])ccc1C ZINC000042180317 346361584 /nfs/dbraw/zinc/36/15/84/346361584.db2.gz FLILNYZPMYVFEW-UHFFFAOYSA-N 0 0 280.324 2.587 20 5 CFBDRN CCOc1cc(Oc2cccc(C)c2[N+](=O)[O-])ncn1 ZINC000128368484 187366538 /nfs/dbraw/zinc/36/65/38/187366538.db2.gz PYHDTNGLWDKQKS-UHFFFAOYSA-N 0 0 275.264 2.884 20 5 CFBDRN C[C@H](Cc1nc(-c2ccc([N+](=O)[O-])o2)no1)[C@@H]1CCCO1 ZINC000596600784 349953556 /nfs/dbraw/zinc/95/35/56/349953556.db2.gz JQPMHESWNSWKLR-BDAKNGLRSA-N 0 0 293.279 2.595 20 5 CFBDRN O=C(NCc1cccc(F)c1)c1ccccc1[N+](=O)[O-] ZINC000043739761 346386868 /nfs/dbraw/zinc/38/68/68/346386868.db2.gz FPMJEQHCMXIQPJ-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCN(c3ccccc3)C2)cn1 ZINC000075669846 347090877 /nfs/dbraw/zinc/09/08/77/347090877.db2.gz NRDBFAGEIZWTOZ-CYBMUJFWSA-N 0 0 298.346 2.928 20 5 CFBDRN CCCCN(C(=O)COc1ccccc1[N+](=O)[O-])C1CC1 ZINC000045368212 346407021 /nfs/dbraw/zinc/40/70/21/346407021.db2.gz FBCVKBYKUVZHAO-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN CCC[C@H](C)NC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000045354618 346407167 /nfs/dbraw/zinc/40/71/67/346407167.db2.gz HLKIDPOFKRMFBP-JTQLQIEISA-N 0 0 280.324 2.912 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1CC1(Cl)Cl ZINC000098072588 185683032 /nfs/dbraw/zinc/68/30/32/185683032.db2.gz FKQKIKJICHWPIU-ZCFIWIBFSA-N 0 0 293.081 2.866 20 5 CFBDRN Cc1c(C(=O)N(C)CC(C)C)cccc1[N+](=O)[O-] ZINC000045501325 346410238 /nfs/dbraw/zinc/41/02/38/346410238.db2.gz VFULKFBYGMBOHT-UHFFFAOYSA-N 0 0 250.298 2.631 20 5 CFBDRN COCCN(C(=O)c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000045511637 346410309 /nfs/dbraw/zinc/41/03/09/346410309.db2.gz ZOVTUAAEAPJATM-UHFFFAOYSA-N 0 0 292.335 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccco2)c2ccncc21 ZINC000075668768 347091221 /nfs/dbraw/zinc/09/12/21/347091221.db2.gz QVZNJPGLTLFDSR-CQSZACIVSA-N 0 0 299.286 2.882 20 5 CFBDRN CC(C)OCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000270705715 190781367 /nfs/dbraw/zinc/78/13/67/190781367.db2.gz NCNIEFPCZZJKNO-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@]1(C)CC1(C)C ZINC000596625257 349960191 /nfs/dbraw/zinc/96/01/91/349960191.db2.gz RFOVOURAOVYVRH-CQSZACIVSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC1CC(C)(C)C1 ZINC000596623948 349960236 /nfs/dbraw/zinc/96/02/36/349960236.db2.gz AMBIOECZQWVZLS-UHFFFAOYSA-N 0 0 293.323 2.914 20 5 CFBDRN CN(C)c1ccc(CNc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000046484219 346428059 /nfs/dbraw/zinc/42/80/59/346428059.db2.gz UQCBXEDTJQWWIW-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN CCc1ccc(CC)c(C(=O)Cn2nccc2[N+](=O)[O-])c1 ZINC000047169069 346445941 /nfs/dbraw/zinc/44/59/41/346445941.db2.gz HNGFUGLLALUIJL-UHFFFAOYSA-N 0 0 287.319 2.799 20 5 CFBDRN CO[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1C)C1CCC1 ZINC000596631440 349961972 /nfs/dbraw/zinc/96/19/72/349961972.db2.gz OXASARCRBWPRRH-CYBMUJFWSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc(NC(=O)[C@H](C)OCC(C)C)cc1[N+](=O)[O-] ZINC000047382576 346449871 /nfs/dbraw/zinc/44/98/71/346449871.db2.gz MPUKLFDHVRWYSP-NSHDSACASA-N 0 0 280.324 2.903 20 5 CFBDRN CN(CC(=O)Nc1ccccc1)Cc1ccc([N+](=O)[O-])cc1 ZINC000047591173 346455166 /nfs/dbraw/zinc/45/51/66/346455166.db2.gz ZJHNTLSLHREIJN-UHFFFAOYSA-N 0 0 299.330 2.665 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000596631918 349963012 /nfs/dbraw/zinc/96/30/12/349963012.db2.gz PUKQHJVFIKNVRH-MNOVXSKESA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc(NC(=O)N2CC3CC2(C)C3)cc1[N+](=O)[O-] ZINC000596636079 349964279 /nfs/dbraw/zinc/96/42/79/349964279.db2.gz HQEYFWGPFVHABX-UHFFFAOYSA-N 0 0 275.308 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)COc2ccccc2)s1 ZINC000048999712 346478169 /nfs/dbraw/zinc/47/81/69/346478169.db2.gz UAZXZQBGFHUJOD-JTQLQIEISA-N 0 0 294.332 2.508 20 5 CFBDRN CC(C)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000049226951 346481457 /nfs/dbraw/zinc/48/14/57/346481457.db2.gz PFLZHLVUMKSCSF-UHFFFAOYSA-N 0 0 289.291 2.962 20 5 CFBDRN CC(C)CN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000051350279 346502463 /nfs/dbraw/zinc/50/24/63/346502463.db2.gz KJTJBSXYIBXJNG-UHFFFAOYSA-N 0 0 251.286 2.715 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051528217 346505289 /nfs/dbraw/zinc/50/52/89/346505289.db2.gz KSKPPVWGHFRFJH-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN O=C(Nc1ccc(-c2c[nH]cn2)cc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000051873256 346507594 /nfs/dbraw/zinc/50/75/94/346507594.db2.gz XSPQXDWYCAZNMV-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN CCN(Cc1cccc(F)c1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000052165976 346516268 /nfs/dbraw/zinc/51/62/68/346516268.db2.gz UMYIAHLNWSACDE-UHFFFAOYSA-N 0 0 291.282 2.724 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2ccc3c(c2)CCOC3)c1 ZINC000596646893 349967016 /nfs/dbraw/zinc/96/70/16/349967016.db2.gz ZZTYLJCLZSKTAH-UHFFFAOYSA-N 0 0 299.326 2.869 20 5 CFBDRN CCCC(C)(C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000050807881 346498168 /nfs/dbraw/zinc/49/81/68/346498168.db2.gz PFBARCQHQCFMPS-UHFFFAOYSA-N 0 0 279.340 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@H]1CCCO1 ZINC000085620720 179105300 /nfs/dbraw/zinc/10/53/00/179105300.db2.gz IAPWVXKSPVGCKW-LLVKDONJSA-N 0 0 293.323 2.512 20 5 CFBDRN COCCN(Cc1csc([N+](=O)[O-])c1)Cc1ccco1 ZINC000054174671 346561993 /nfs/dbraw/zinc/56/19/93/346561993.db2.gz VVNGBTTVWHDCLU-UHFFFAOYSA-N 0 0 296.348 2.898 20 5 CFBDRN COC(=O)Cc1ccc(NC2CCCC2)c([N+](=O)[O-])c1 ZINC000295587742 199577152 /nfs/dbraw/zinc/57/71/52/199577152.db2.gz XGTSHVOWPDCKJL-UHFFFAOYSA-N 0 0 278.308 2.665 20 5 CFBDRN Cc1nc([C@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000273296985 192104134 /nfs/dbraw/zinc/10/41/34/192104134.db2.gz JQILIQRVQNHSNV-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN Cc1ccc(F)c(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000053010036 346535109 /nfs/dbraw/zinc/53/51/09/346535109.db2.gz YDQWAVIABCERNB-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000054529794 346571351 /nfs/dbraw/zinc/57/13/51/346571351.db2.gz FMLULTDUIATEFG-TXEJJXNPSA-N 0 0 291.351 2.796 20 5 CFBDRN C[C@@H](NC(=O)NCc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000055329155 346587200 /nfs/dbraw/zinc/58/72/00/346587200.db2.gz WFNARJALCJKVNA-SNVBAGLBSA-N 0 0 289.291 2.748 20 5 CFBDRN Cc1cccc(C(=O)NCCCOCC(C)C)c1[N+](=O)[O-] ZINC000055376041 346587906 /nfs/dbraw/zinc/58/79/06/346587906.db2.gz MWWNHKJJVOSAFO-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1)C1Cc2ccccc2C1 ZINC000055627555 346593831 /nfs/dbraw/zinc/59/38/31/346593831.db2.gz VDOYRTYSFLPIDZ-UHFFFAOYSA-N 0 0 296.326 2.834 20 5 CFBDRN Cc1cccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000055797416 346596877 /nfs/dbraw/zinc/59/68/77/346596877.db2.gz VWFMEUQBWDTNSD-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN Cc1c(C(=O)N(C)CCc2ccccn2)cccc1[N+](=O)[O-] ZINC000057027607 346617381 /nfs/dbraw/zinc/61/73/81/346617381.db2.gz GRSYJIVPVMQIJD-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000060082094 346659495 /nfs/dbraw/zinc/65/94/95/346659495.db2.gz WJEXCSQOXOZGPY-LLVKDONJSA-N 0 0 250.298 2.600 20 5 CFBDRN COc1ccc([C@@H]2CCN(c3ccc([N+](=O)[O-])cn3)C2)cc1 ZINC000060338977 346662262 /nfs/dbraw/zinc/66/22/62/346662262.db2.gz YOLHOCRMEJAHMP-CYBMUJFWSA-N 0 0 299.330 2.992 20 5 CFBDRN CC(=O)Nc1ccc(Oc2ccncc2[N+](=O)[O-])cc1 ZINC000060375195 346664198 /nfs/dbraw/zinc/66/41/98/346664198.db2.gz KAYLZJIPDAIRKR-UHFFFAOYSA-N 0 0 273.248 2.741 20 5 CFBDRN C[C@H](CNc1ccc(F)cc1[N+](=O)[O-])Cn1ccnc1 ZINC000060380102 346664308 /nfs/dbraw/zinc/66/43/08/346664308.db2.gz FGGAKCJVLUVYAB-SNVBAGLBSA-N 0 0 278.287 2.679 20 5 CFBDRN O=C(C[C@H]1C=CCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057836971 346637977 /nfs/dbraw/zinc/63/79/77/346637977.db2.gz IXNWJOWPTGQGDB-NSHDSACASA-N 0 0 272.304 2.840 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCN(CC(F)(F)F)C1 ZINC000057855731 346638556 /nfs/dbraw/zinc/63/85/56/346638556.db2.gz DZHVAXQUVNRPJK-VIFPVBQESA-N 0 0 289.257 2.643 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000063815466 346711315 /nfs/dbraw/zinc/71/13/15/346711315.db2.gz COICWBFSOZFRMO-LBPRGKRZSA-N 0 0 292.335 2.621 20 5 CFBDRN CCCOc1ncccc1CNc1ccc([N+](=O)[O-])cn1 ZINC000063859553 346712756 /nfs/dbraw/zinc/71/27/56/346712756.db2.gz WHIURPFXEKCWQJ-UHFFFAOYSA-N 0 0 288.307 2.786 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(Cl)s1 ZINC000064332035 346717760 /nfs/dbraw/zinc/71/77/60/346717760.db2.gz RTIMVOYOYQJSOD-UHFFFAOYSA-N 0 0 257.702 2.863 20 5 CFBDRN Cn1c2ccc(Nc3ccccc3[N+](=O)[O-])cc2n(C)c1=O ZINC000064550259 346722580 /nfs/dbraw/zinc/72/25/80/346722580.db2.gz VKLRHMOMUPPBSI-UHFFFAOYSA-N 0 0 298.302 2.529 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)CCC(F)(F)F ZINC000064635294 346723195 /nfs/dbraw/zinc/72/31/95/346723195.db2.gz IXNHKTZWOKRPMJ-UHFFFAOYSA-N 0 0 292.257 2.988 20 5 CFBDRN CCCCN(CC)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000061477837 346678290 /nfs/dbraw/zinc/67/82/90/346678290.db2.gz KHWMGYMFAKRNLM-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CCc1noc(C)c1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000063446902 346700048 /nfs/dbraw/zinc/70/00/48/346700048.db2.gz HMWKCSLKCOVZJN-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN Cc1nn(C)c(NCC2(C3CC3)CCC2)c1[N+](=O)[O-] ZINC000128439771 187370613 /nfs/dbraw/zinc/37/06/13/187370613.db2.gz WLNGQAIKDJOSMR-UHFFFAOYSA-N 0 0 264.329 2.629 20 5 CFBDRN COC[C@H]1CCCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000269643317 136610587 /nfs/dbraw/zinc/61/05/87/136610587.db2.gz OKTJCJJIKXSMOT-VIFPVBQESA-N 0 0 286.278 2.736 20 5 CFBDRN COc1ccccc1[C@@H]1C[C@H]1Nc1ccncc1[N+](=O)[O-] ZINC000066812215 346780909 /nfs/dbraw/zinc/78/09/09/346780909.db2.gz YTRYEVDOSFVVPX-WCQYABFASA-N 0 0 285.303 2.966 20 5 CFBDRN O=C1CCCN1c1ccc(Nc2ccncc2[N+](=O)[O-])cc1 ZINC000066796592 346779753 /nfs/dbraw/zinc/77/97/53/346779753.db2.gz DMMFDSLYQIBJQA-UHFFFAOYSA-N 0 0 298.302 2.860 20 5 CFBDRN COCc1cccc(Nc2ccncc2[N+](=O)[O-])c1 ZINC000066808289 346779964 /nfs/dbraw/zinc/77/99/64/346779964.db2.gz JFVCOPVNTARVLB-UHFFFAOYSA-N 0 0 259.265 2.880 20 5 CFBDRN COC(=O)c1cccc(Oc2ccncc2[N+](=O)[O-])c1 ZINC000066796410 346780044 /nfs/dbraw/zinc/78/00/44/346780044.db2.gz KDSXQPLHXYHFEZ-UHFFFAOYSA-N 0 0 274.232 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(F)cc1 ZINC000067021060 346789226 /nfs/dbraw/zinc/78/92/26/346789226.db2.gz UBZXRHSJHPCMRR-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)C[C@@H](C2CC2)O1 ZINC000280156528 136650200 /nfs/dbraw/zinc/65/02/00/136650200.db2.gz CPAVBYOEYGGGDP-XPTSAGLGSA-N 0 0 280.299 2.738 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000067059167 346790156 /nfs/dbraw/zinc/79/01/56/346790156.db2.gz BCVDLIGEUXIPQC-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(CC1CCCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000067071366 346790858 /nfs/dbraw/zinc/79/08/58/346790858.db2.gz KCWOYXQMECTVFF-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2C=CCCC2)c([N+](=O)[O-])c1 ZINC000076609838 347145602 /nfs/dbraw/zinc/14/56/02/347145602.db2.gz GYTMIWNBBLUVEK-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN COC(=O)c1ccc(O[C@H]2C=CCCC2)c([N+](=O)[O-])c1 ZINC000076609834 347145861 /nfs/dbraw/zinc/14/58/61/347145861.db2.gz GYTMIWNBBLUVEK-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN Nc1nc(SCc2cccc(Cl)c2)ncc1[N+](=O)[O-] ZINC000076269004 347132015 /nfs/dbraw/zinc/13/20/15/347132015.db2.gz FULGBYHHVRZAEW-UHFFFAOYSA-N 0 0 296.739 2.913 20 5 CFBDRN CC(=O)c1ccc(NC[C@H](C)C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000077228748 347177913 /nfs/dbraw/zinc/17/79/13/347177913.db2.gz ROKKFVOMMVPHJX-NXEZZACHSA-N 0 0 280.324 2.616 20 5 CFBDRN CC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@@H](O)C(F)(F)F ZINC000270750591 190799775 /nfs/dbraw/zinc/79/97/75/190799775.db2.gz AJSBBJFECNBCKK-OIBJUYFYSA-N 0 0 296.220 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccccc2Cl)nc1 ZINC000077231298 347178698 /nfs/dbraw/zinc/17/86/98/347178698.db2.gz NFDQNVSKYQUVLN-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN CC(C)CC[C@](C)(O)CNc1ccc([N+](=O)[O-])nc1 ZINC000077235244 347179513 /nfs/dbraw/zinc/17/95/13/347179513.db2.gz FQNYNAJSKMZDBY-ZDUSSCGKSA-N 0 0 267.329 2.589 20 5 CFBDRN CC1(C)[C@@H]2CN(c3ccc(F)cc3[N+](=O)[O-])C[C@@H]21 ZINC000078411538 347249912 /nfs/dbraw/zinc/24/99/12/347249912.db2.gz UUOHSROQNMJOTI-AOOOYVTPSA-N 0 0 250.273 2.826 20 5 CFBDRN CCOC(=O)c1ccnc(N2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000077902735 347218796 /nfs/dbraw/zinc/21/87/96/347218796.db2.gz WNKRIROAPAKLOQ-JTQLQIEISA-N 0 0 293.323 2.545 20 5 CFBDRN Cc1ccc([C@@H](C)CNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000077988278 347223226 /nfs/dbraw/zinc/22/32/26/347223226.db2.gz LGUJHMOBTLITFK-NSHDSACASA-N 0 0 274.324 2.852 20 5 CFBDRN CCCC(O)(CCC)CNc1ccncc1[N+](=O)[O-] ZINC000077988689 347223421 /nfs/dbraw/zinc/22/34/21/347223421.db2.gz QWRGIOQZMGBDKI-UHFFFAOYSA-N 0 0 267.329 2.733 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000077990470 347223561 /nfs/dbraw/zinc/22/35/61/347223561.db2.gz JOHNVBJDSXNUGM-MRVPVSSYSA-N 0 0 276.296 2.638 20 5 CFBDRN C[C@H](CC(=O)N1CCc2c1cccc2[N+](=O)[O-])n1cccc1 ZINC000078148517 347230541 /nfs/dbraw/zinc/23/05/41/347230541.db2.gz KXXTWRHJVFBLTG-GFCCVEGCSA-N 0 0 299.330 2.937 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC(=O)NC1CCCCC1 ZINC000078245434 347234109 /nfs/dbraw/zinc/23/41/09/347234109.db2.gz HSRNFQGVDRAXCG-UHFFFAOYSA-N 0 0 291.351 2.764 20 5 CFBDRN COc1cc(NCCC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC000078305945 347240083 /nfs/dbraw/zinc/24/00/83/347240083.db2.gz RQSHJYIZTQKWBT-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1cccc(F)c1[N+](=O)[O-] ZINC000078339131 347243619 /nfs/dbraw/zinc/24/36/19/347243619.db2.gz HJQLIKLSPHOYBX-VIFPVBQESA-N 0 0 270.304 2.943 20 5 CFBDRN Cc1cc(NCC[C@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000078341348 347243644 /nfs/dbraw/zinc/24/36/44/347243644.db2.gz ISLRIOUKCIICQP-ZDUSSCGKSA-N 0 0 252.314 2.722 20 5 CFBDRN CC(C)OC(=O)CSc1ncc([N+](=O)[O-])cc1Cl ZINC000078361142 347245869 /nfs/dbraw/zinc/24/58/69/347245869.db2.gz CVMZZILGPWVMPN-UHFFFAOYSA-N 0 0 290.728 2.687 20 5 CFBDRN COc1cc(NC[C@](C)(O)c2ccco2)ccc1[N+](=O)[O-] ZINC000078376501 347247738 /nfs/dbraw/zinc/24/77/38/347247738.db2.gz RVNKBYPGOTZNPT-AWEZNQCLSA-N 0 0 292.291 2.516 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N(CCO)Cc1ccccc1 ZINC000078379222 347248740 /nfs/dbraw/zinc/24/87/40/347248740.db2.gz XVUKINXEFIEWLC-UHFFFAOYSA-N 0 0 290.294 2.733 20 5 CFBDRN COc1ccc(CSc2ncc[nH]2)cc1[N+](=O)[O-] ZINC000079003395 347284521 /nfs/dbraw/zinc/28/45/21/347284521.db2.gz OFAUJAGIMXZAHM-UHFFFAOYSA-N 0 0 265.294 2.619 20 5 CFBDRN Cc1ccnc(N2C[C@@H](C)S[C@H](C)C2)c1[N+](=O)[O-] ZINC000078494769 347257111 /nfs/dbraw/zinc/25/71/11/347257111.db2.gz AKHQBWKDQWIFGK-NXEZZACHSA-N 0 0 267.354 2.628 20 5 CFBDRN Cc1cnc(NCCc2cn3ccccc3n2)c([N+](=O)[O-])c1 ZINC000078628405 347266265 /nfs/dbraw/zinc/26/62/65/347266265.db2.gz TVROGIGXFXIKNE-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN Cc1cnc(CNc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000078669695 347268979 /nfs/dbraw/zinc/26/89/79/347268979.db2.gz ZUBMIFZOHGYUCY-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1cnc(NC[C@H](O)c2ccccc2C)c([N+](=O)[O-])c1 ZINC000078662823 347269008 /nfs/dbraw/zinc/26/90/08/347269008.db2.gz QETNWYFRYVUXAI-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1cnc(NC[C@](C)(O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000078663704 347269010 /nfs/dbraw/zinc/26/90/10/347269010.db2.gz VKZMZJBXSHMNRK-HNNXBMFYSA-N 0 0 287.319 2.618 20 5 CFBDRN Cc1cnc(NC[C@@H](O)c2ccccc2C)c([N+](=O)[O-])c1 ZINC000078662817 347269020 /nfs/dbraw/zinc/26/90/20/347269020.db2.gz QETNWYFRYVUXAI-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1nc2cc(Nc3ncccc3[N+](=O)[O-])ccc2n1C ZINC000078674657 347269755 /nfs/dbraw/zinc/26/97/55/347269755.db2.gz LJLBZTHYPNWTFI-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCC1CCCCC1 ZINC000078926721 347279431 /nfs/dbraw/zinc/27/94/31/347279431.db2.gz FYATVMKFHQJDHL-UHFFFAOYSA-N 0 0 250.298 2.727 20 5 CFBDRN C[C@H](Sc1nnc(N)s1)c1ccccc1[N+](=O)[O-] ZINC000078928482 347279881 /nfs/dbraw/zinc/27/98/81/347279881.db2.gz KERMRLIHQSLGIW-LURJTMIESA-N 0 0 282.350 2.882 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1CCCC[C@H]1O ZINC000086016011 179215959 /nfs/dbraw/zinc/21/59/59/179215959.db2.gz BKQJZEYOMWUXAJ-ZWNOBZJWSA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC(F)(F)CC2)cn1 ZINC000341334179 232662908 /nfs/dbraw/zinc/66/29/08/232662908.db2.gz IEIQHYGMDXCSCC-UHFFFAOYSA-N 0 0 257.240 2.980 20 5 CFBDRN C[C@@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])C(F)(F)F ZINC000080392108 347357188 /nfs/dbraw/zinc/35/71/88/347357188.db2.gz ZEEPVYCIFYEVHW-ZETCQYMHSA-N 0 0 288.225 2.682 20 5 CFBDRN CC(C)(NC(=O)/C=C/C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000079625192 347317087 /nfs/dbraw/zinc/31/70/87/347317087.db2.gz SDXNWIOGQDZHDM-BJMVGYQFSA-N 0 0 274.320 2.912 20 5 CFBDRN Cc1cc(N2CCN(C3CC3)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000080606996 347369679 /nfs/dbraw/zinc/36/96/79/347369679.db2.gz HETYQHQNNVNVIT-UHFFFAOYSA-N 0 0 295.770 2.841 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC(C)(C)C[C@@H](C)O ZINC000080648988 347371908 /nfs/dbraw/zinc/37/19/08/347371908.db2.gz VQAKJCRZXOWZFI-LLVKDONJSA-N 0 0 296.367 2.950 20 5 CFBDRN CCOC(=O)[C@@H](CC)Sc1cc(C)c([N+](=O)[O-])cn1 ZINC000080678406 347373975 /nfs/dbraw/zinc/37/39/75/347373975.db2.gz XHYLZNWYPPEIAF-SNVBAGLBSA-N 0 0 284.337 2.732 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000080687782 347375554 /nfs/dbraw/zinc/37/55/54/347375554.db2.gz TTWBRYFJRUWZBA-IUCAKERBSA-N 0 0 254.286 2.742 20 5 CFBDRN COCc1ccc(Sc2c([N+](=O)[O-])ncn2C)cc1 ZINC000080687564 347375563 /nfs/dbraw/zinc/37/55/63/347375563.db2.gz CXAXNNDLJLRHMF-UHFFFAOYSA-N 0 0 279.321 2.626 20 5 CFBDRN Cc1cc(NCC2(CO)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000080687162 347375621 /nfs/dbraw/zinc/37/56/21/347375621.db2.gz DOZIIMRKTHSCDI-UHFFFAOYSA-N 0 0 270.716 2.741 20 5 CFBDRN CCc1nsc(NCCNc2ccccc2[N+](=O)[O-])n1 ZINC000080764831 347379179 /nfs/dbraw/zinc/37/91/79/347379179.db2.gz NJAOTQIEDDZLHZ-UHFFFAOYSA-N 0 0 293.352 2.533 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)S[C@@H](C)C2)c1 ZINC000080949566 347387142 /nfs/dbraw/zinc/38/71/42/347387142.db2.gz XIWOXYYSWRELNX-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1sc(C(=O)N2CCC23CCC3)cc1[N+](=O)[O-] ZINC000081415956 347417539 /nfs/dbraw/zinc/41/75/39/347417539.db2.gz WEUMIQMDSLMODJ-UHFFFAOYSA-N 0 0 266.322 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC23CCC3)c(F)c1 ZINC000081515970 347422938 /nfs/dbraw/zinc/42/29/38/347422938.db2.gz ZDFIUJPNMYPFDK-UHFFFAOYSA-N 0 0 250.273 2.862 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCSC(C)(C)C2)c1 ZINC000081853183 347438585 /nfs/dbraw/zinc/43/85/85/347438585.db2.gz SNXLUSBSWRWTLS-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN COCCCCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000086167782 179242191 /nfs/dbraw/zinc/24/21/91/179242191.db2.gz DRCOPKUMFAPBQF-UHFFFAOYSA-N 0 0 280.324 2.967 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3c(F)ccc(F)c3C2)nc1 ZINC000084489046 347466007 /nfs/dbraw/zinc/46/60/07/347466007.db2.gz CVLANRXXGWIWJK-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C(N)=O)c2ccccc2)c1 ZINC000088699779 347507172 /nfs/dbraw/zinc/50/71/72/347507172.db2.gz KGWYDSOBTYGNDH-AWEZNQCLSA-N 0 0 285.303 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCOC[C@@H]1C1CC1 ZINC000090008484 347534551 /nfs/dbraw/zinc/53/45/51/347534551.db2.gz OJETUSXYEQTKFH-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN C[C@@H]1COCCN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090070471 347539162 /nfs/dbraw/zinc/53/91/62/347539162.db2.gz LIGAYVDLOJOGGS-GFCCVEGCSA-N 0 0 299.330 2.882 20 5 CFBDRN C[C@@H](N[C@@H](C)C(=O)OC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000090578412 347552951 /nfs/dbraw/zinc/55/29/51/347552951.db2.gz OAFFUPZVYIHEDQ-MNOVXSKESA-N 0 0 294.351 2.976 20 5 CFBDRN CCn1ccnc(Oc2cc(Cl)ccc2[N+](=O)[O-])c1=O ZINC000091008315 347565078 /nfs/dbraw/zinc/56/50/78/347565078.db2.gz MIIGQLHOLSPZMI-UHFFFAOYSA-N 0 0 295.682 2.617 20 5 CFBDRN C[C@@H]1CC[C@H](C)[N@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000091937318 347604028 /nfs/dbraw/zinc/60/40/28/347604028.db2.gz GGTWVQCCUVSZCH-AOOOYVTPSA-N 0 0 250.298 2.673 20 5 CFBDRN CC(C)OC(=O)[C@H](C)CNc1ccc([N+](=O)[O-])cc1 ZINC000091484950 347590529 /nfs/dbraw/zinc/59/05/29/347590529.db2.gz VYCGMXPHOZVAOY-SNVBAGLBSA-N 0 0 266.297 2.594 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCO1 ZINC000091505210 347591192 /nfs/dbraw/zinc/59/11/92/347591192.db2.gz GJYKLUPNITVPMW-QWHCGFSZSA-N 0 0 250.298 2.964 20 5 CFBDRN CCc1nn(C)c(N2CCCC[C@H](SC)C2)c1[N+](=O)[O-] ZINC000091744964 347598282 /nfs/dbraw/zinc/59/82/82/347598282.db2.gz OCQZIQXWRYEMBC-JTQLQIEISA-N 0 0 298.412 2.613 20 5 CFBDRN CCOC(=O)c1cc(N[C@H](C)[C@H](C)OC)ccc1[N+](=O)[O-] ZINC000290786262 197816204 /nfs/dbraw/zinc/81/62/04/197816204.db2.gz WXZSTSSLXIBWCB-ZJUUUORDSA-N 0 0 296.323 2.607 20 5 CFBDRN COCCCn1ccc(Nc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000092657123 347637522 /nfs/dbraw/zinc/63/75/22/347637522.db2.gz LXBZLBITTSDDMF-UHFFFAOYSA-N 0 0 290.323 2.880 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(S[C@@H](C)[C@H](C)O)c1 ZINC000092658829 347638273 /nfs/dbraw/zinc/63/82/73/347638273.db2.gz FRMGJEVDZYVMHI-BQBZGAKWSA-N 0 0 275.301 2.604 20 5 CFBDRN Cc1cc(NC2CCC(CO)CC2)ccc1[N+](=O)[O-] ZINC000092679148 347640022 /nfs/dbraw/zinc/64/00/22/347640022.db2.gz QQRRTZCCFRZEBU-UHFFFAOYSA-N 0 0 264.325 2.866 20 5 CFBDRN CCCc1nnc(Sc2ncc([N+](=O)[O-])cc2C)o1 ZINC000092685182 347640278 /nfs/dbraw/zinc/64/02/78/347640278.db2.gz SAEIPYADLJQOLW-UHFFFAOYSA-N 0 0 280.309 2.785 20 5 CFBDRN Cc1cc(N(C)[C@H](C)C(=O)OC(C)C)ccc1[N+](=O)[O-] ZINC000092684402 347640459 /nfs/dbraw/zinc/64/04/59/347640459.db2.gz RHKPZFAFNDLXER-LLVKDONJSA-N 0 0 280.324 2.680 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H](C)SC)c1 ZINC000092687804 347640897 /nfs/dbraw/zinc/64/08/97/347640897.db2.gz UOHWHCVOQVZMTK-ZETCQYMHSA-N 0 0 274.317 2.906 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)Cc1ccc(O)cc1 ZINC000092689323 347641698 /nfs/dbraw/zinc/64/16/98/347641698.db2.gz LHMYNFGXZNAMAM-UHFFFAOYSA-N 0 0 273.292 2.640 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCCO2)c1 ZINC000094659570 347696061 /nfs/dbraw/zinc/69/60/61/347696061.db2.gz CNFVTBAWPYQXSG-NSHDSACASA-N 0 0 250.298 2.884 20 5 CFBDRN C[C@@]1(CNc2ncnc3ccc([N+](=O)[O-])cc32)CCCO1 ZINC000094804866 347696740 /nfs/dbraw/zinc/69/67/40/347696740.db2.gz ILOSDMNPQUIGDH-AWEZNQCLSA-N 0 0 288.307 2.519 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000102287600 347717097 /nfs/dbraw/zinc/71/70/97/347717097.db2.gz UASAUTMBIOHNGY-UHFFFAOYSA-N 0 0 288.303 2.779 20 5 CFBDRN Cc1ccc(C(=O)Nc2cnc(C3CC3)nc2)cc1[N+](=O)[O-] ZINC000103020619 347721496 /nfs/dbraw/zinc/72/14/96/347721496.db2.gz DUUOCYROIFGJFJ-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)c1cccc(Cl)c1 ZINC000120498422 347807312 /nfs/dbraw/zinc/80/73/12/347807312.db2.gz XBIZINQYCZFZFG-UHFFFAOYSA-N 0 0 279.683 2.636 20 5 CFBDRN C[C@]1(CO)CCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000120884313 347809197 /nfs/dbraw/zinc/80/91/97/347809197.db2.gz PFZBWSYDRFZWHH-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000128541212 347851853 /nfs/dbraw/zinc/85/18/53/347851853.db2.gz UABBLHPPWCRYHX-CYBMUJFWSA-N 0 0 294.351 2.838 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C1CCC1 ZINC000129557902 347862923 /nfs/dbraw/zinc/86/29/23/347862923.db2.gz BPVRXOUVNVAMHM-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN COC[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])C(C)C ZINC000129793685 347864907 /nfs/dbraw/zinc/86/49/07/347864907.db2.gz IDXJXRXUPROQDL-SECBINFHSA-N 0 0 273.720 2.726 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000130098398 347867686 /nfs/dbraw/zinc/86/76/86/347867686.db2.gz JGIIYQGHZAMABK-SECBINFHSA-N 0 0 287.319 2.947 20 5 CFBDRN COc1ccc(-c2nc(C(C)C)no2)cc1[N+](=O)[O-] ZINC000130260759 347869392 /nfs/dbraw/zinc/86/93/92/347869392.db2.gz ZREYFLWRQBSGPS-UHFFFAOYSA-N 0 0 263.253 2.777 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1nccs1 ZINC000131205260 347876561 /nfs/dbraw/zinc/87/65/61/347876561.db2.gz KTCTWIMJVJJQIR-SSDOTTSWSA-N 0 0 281.293 2.525 20 5 CFBDRN O=C([C@H]1C[C@H]2C[C@H]2C1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000596715794 349977250 /nfs/dbraw/zinc/97/72/50/349977250.db2.gz LFJILHDBFFCJAF-GDNZZTSVSA-N 0 0 272.304 2.530 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCSC1 ZINC000133764648 347893453 /nfs/dbraw/zinc/89/34/53/347893453.db2.gz COMLUMIKPJJVJV-LLVKDONJSA-N 0 0 281.337 2.564 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000133983847 347894897 /nfs/dbraw/zinc/89/48/97/347894897.db2.gz XUSVVDDOOCZNPM-CHWSQXEVSA-N 0 0 293.323 2.674 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1cc(F)cc(F)c1 ZINC000134477049 347898297 /nfs/dbraw/zinc/89/82/97/347898297.db2.gz XJSKPMBBOIUDBY-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN Cc1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)no1 ZINC000134518943 347898871 /nfs/dbraw/zinc/89/88/71/347898871.db2.gz ZUIUPZOKQUXXKK-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN COc1cccc(NCc2csc(C)n2)c1[N+](=O)[O-] ZINC000134909662 347902334 /nfs/dbraw/zinc/90/23/34/347902334.db2.gz NEYMYJDTYBRSRU-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)C1CCC1 ZINC000131855777 347881506 /nfs/dbraw/zinc/88/15/06/347881506.db2.gz FBGVJAMITQZEMU-ZETCQYMHSA-N 0 0 254.311 2.575 20 5 CFBDRN Cc1nc(N[C@H](CO)CC2CCCC2)ccc1[N+](=O)[O-] ZINC000140515728 347926522 /nfs/dbraw/zinc/92/65/22/347926522.db2.gz QPMAXPORGZZKDO-LBPRGKRZSA-N 0 0 279.340 2.651 20 5 CFBDRN CC(=O)N1CCc2cc(Nc3ccc([N+](=O)[O-])cn3)ccc21 ZINC000142308024 347934216 /nfs/dbraw/zinc/93/42/16/347934216.db2.gz YKUCUEBREPTWQJ-UHFFFAOYSA-N 0 0 298.302 2.642 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2Cc3ccccc3O2)cc1[N+](=O)[O-] ZINC000137283216 347913529 /nfs/dbraw/zinc/91/35/29/347913529.db2.gz JWZUNXWZBOQDEI-OAHLLOKOSA-N 0 0 298.298 2.845 20 5 CFBDRN CSc1cccc(NC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000138082241 347914714 /nfs/dbraw/zinc/91/47/14/347914714.db2.gz HROYDGUWWUTWBM-UHFFFAOYSA-N 0 0 291.332 2.908 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000138089843 347914863 /nfs/dbraw/zinc/91/48/63/347914863.db2.gz WPPMOGGQPHWYER-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN Cc1c(Cl)cccc1NC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000138591340 347916873 /nfs/dbraw/zinc/91/68/73/347916873.db2.gz HNZPGSUAAOGKND-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CCC[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000138943787 347918161 /nfs/dbraw/zinc/91/81/61/347918161.db2.gz TUWUBLABJBFBIZ-NSHDSACASA-N 0 0 280.324 2.587 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1cccc(OC(F)F)c1 ZINC000139718203 347922097 /nfs/dbraw/zinc/92/20/97/347922097.db2.gz ZUPJCHXAYJHMDX-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000140114376 347924214 /nfs/dbraw/zinc/92/42/14/347924214.db2.gz JFYIQRBVBRAIIX-CQSZACIVSA-N 0 0 291.351 2.925 20 5 CFBDRN COc1ccc(C)nc1NCc1ccc([N+](=O)[O-])cc1 ZINC000150988489 347958462 /nfs/dbraw/zinc/95/84/62/347958462.db2.gz UJBJTHJDTITDNX-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCO[C@@H](CC)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162025926 348028122 /nfs/dbraw/zinc/02/81/22/348028122.db2.gz GBJLPFVEEUYNES-AWEZNQCLSA-N 0 0 292.335 2.689 20 5 CFBDRN CN(Cc1ccsc1)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157304496 347999782 /nfs/dbraw/zinc/99/97/82/347999782.db2.gz GSRALLPHGNCLLM-UHFFFAOYSA-N 0 0 291.332 2.511 20 5 CFBDRN Cc1nc(NCC2(CO)CCCCC2)ccc1[N+](=O)[O-] ZINC000157409592 348000664 /nfs/dbraw/zinc/00/06/64/348000664.db2.gz XTMQBIFJTNSIMD-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])o2)C[C@@H](C)C1 ZINC000158051223 348004170 /nfs/dbraw/zinc/00/41/70/348004170.db2.gz DPMRGQKVHFCECA-UWVGGRQHSA-N 0 0 266.297 2.696 20 5 CFBDRN CCn1nccc1CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000160123464 348016144 /nfs/dbraw/zinc/01/61/44/348016144.db2.gz YXIJCNALSFHVRB-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCCS2)n1 ZINC000162643128 348031214 /nfs/dbraw/zinc/03/12/14/348031214.db2.gz PCYUWAPRCKYDNL-SECBINFHSA-N 0 0 253.327 2.606 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)c1cc(F)ccc1F ZINC000170746726 348060643 /nfs/dbraw/zinc/06/06/43/348060643.db2.gz DUURHTSCKTUDBH-UHFFFAOYSA-N 0 0 282.202 2.743 20 5 CFBDRN CN(CC1CCC1)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000171833014 348081579 /nfs/dbraw/zinc/08/15/79/348081579.db2.gz KJZBXTLKINCUKD-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1COc1ccc(CO)cc1 ZINC000172214254 348087796 /nfs/dbraw/zinc/08/77/96/348087796.db2.gz OMXDALPJNHUSQK-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000172450152 348094312 /nfs/dbraw/zinc/09/43/12/348094312.db2.gz LNAGTNIGAKVMKZ-NSHDSACASA-N 0 0 264.325 2.925 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000172452958 348095038 /nfs/dbraw/zinc/09/50/38/348095038.db2.gz LCYWPGSLPGMGAY-VIFPVBQESA-N 0 0 268.288 2.756 20 5 CFBDRN CCc1cccnc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000270883262 190854724 /nfs/dbraw/zinc/85/47/24/190854724.db2.gz PNSQWRKILRTTFI-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CSCCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213942336 348160865 /nfs/dbraw/zinc/16/08/65/348160865.db2.gz SJHZEENCLRTFPH-UHFFFAOYSA-N 0 0 263.322 2.918 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCc1ccsc1 ZINC000213661307 348161237 /nfs/dbraw/zinc/16/12/37/348161237.db2.gz BPOCZSPMADNXST-UTCJRWHESA-N 0 0 288.328 2.986 20 5 CFBDRN Cc1cccc(NCC[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000218886103 348167853 /nfs/dbraw/zinc/16/78/53/348167853.db2.gz KFDWFXGKVOCQQP-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCC(O)CC2)cc1 ZINC000227704111 348189897 /nfs/dbraw/zinc/18/98/97/348189897.db2.gz VHGAYVUVADZWBY-UHFFFAOYSA-N 0 0 250.298 2.558 20 5 CFBDRN COc1cccc(NCC2CCC(O)CC2)c1[N+](=O)[O-] ZINC000227710993 348190389 /nfs/dbraw/zinc/19/03/89/348190389.db2.gz APZZTTDUYQCWJR-UHFFFAOYSA-N 0 0 280.324 2.566 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1(C)C ZINC000227821879 348190677 /nfs/dbraw/zinc/19/06/77/348190677.db2.gz WCGDMBFRSXHQHQ-ZJUUUORDSA-N 0 0 285.731 2.869 20 5 CFBDRN CC1(CNc2ncnc3ccc([N+](=O)[O-])cc32)CC1 ZINC000227866505 348190746 /nfs/dbraw/zinc/19/07/46/348190746.db2.gz WHKOYKPOHOGCHV-UHFFFAOYSA-N 0 0 258.281 2.750 20 5 CFBDRN CC1(C)C[C@H]1CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000227865489 348190878 /nfs/dbraw/zinc/19/08/78/348190878.db2.gz NYYMTQVFDLXENI-VIFPVBQESA-N 0 0 272.308 2.996 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCCCCC1 ZINC000086961719 179369623 /nfs/dbraw/zinc/36/96/23/179369623.db2.gz IAIUMDBLSUPDRF-UHFFFAOYSA-N 0 0 276.336 2.930 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000086971077 179370942 /nfs/dbraw/zinc/37/09/42/179370942.db2.gz SJNJPKUIJKSTDA-GFCCVEGCSA-N 0 0 276.336 2.928 20 5 CFBDRN Cc1ccnc(NCC(F)(F)C(F)F)c1[N+](=O)[O-] ZINC000230716048 348206896 /nfs/dbraw/zinc/20/68/96/348206896.db2.gz HEOVNTNYECDVFC-UHFFFAOYSA-N 0 0 267.182 2.611 20 5 CFBDRN CC(C)N(C(=O)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000087103476 179394554 /nfs/dbraw/zinc/39/45/54/179394554.db2.gz ANWOKFMTBUYWGX-UHFFFAOYSA-N 0 0 276.336 2.927 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]2Cn2ccnc2)c1 ZINC000268032972 348327403 /nfs/dbraw/zinc/32/74/03/348327403.db2.gz LNELOJVHDMDCLK-CYBMUJFWSA-N 0 0 286.335 2.769 20 5 CFBDRN C[C@@H](O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1Br ZINC000270146136 348330874 /nfs/dbraw/zinc/33/08/74/348330874.db2.gz QXYWOGQNQFBZML-RNFRBKRXSA-N 0 0 290.113 2.505 20 5 CFBDRN Cc1c(CN2CCC[C@H]2CF)cccc1[N+](=O)[O-] ZINC000292921627 348360574 /nfs/dbraw/zinc/36/05/74/348360574.db2.gz BURFPWXWQZTEHZ-LBPRGKRZSA-N 0 0 252.289 2.837 20 5 CFBDRN C[C@@H](C[S@@](C)=O)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000311677256 348414769 /nfs/dbraw/zinc/41/47/69/348414769.db2.gz MNDMPBOVMBJOCA-ZDVLTJLQSA-N 0 0 292.307 2.711 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000311858256 348415588 /nfs/dbraw/zinc/41/55/88/348415588.db2.gz JYPPOMLODXGMHV-XPUUQOCRSA-N 0 0 296.377 2.905 20 5 CFBDRN Cc1csc([C@H](C)Nc2ccc(N)c([N+](=O)[O-])n2)n1 ZINC000312005422 348416314 /nfs/dbraw/zinc/41/63/14/348416314.db2.gz VIFXEOXTPOVZPS-ZETCQYMHSA-N 0 0 279.325 2.510 20 5 CFBDRN O=C(NC1(C(F)(F)F)CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000312218987 348416955 /nfs/dbraw/zinc/41/69/55/348416955.db2.gz AGUQMKJVJYKDHP-UHFFFAOYSA-N 0 0 292.188 2.559 20 5 CFBDRN CC[C@H](Nc1ncnc2ccc([N+](=O)[O-])cc21)c1ncc[nH]1 ZINC000317672929 348433367 /nfs/dbraw/zinc/43/33/67/348433367.db2.gz BTUMOYQYMLWZCP-NSHDSACASA-N 0 0 298.306 2.824 20 5 CFBDRN CCc1nc(CNc2cccnc2[N+](=O)[O-])cs1 ZINC000328396805 348440851 /nfs/dbraw/zinc/44/08/51/348440851.db2.gz VMYSZCMGIKQMOI-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C(C)C)C1CC1 ZINC000324289634 348438806 /nfs/dbraw/zinc/43/88/06/348438806.db2.gz RRJBRUQUSMGPDN-LLVKDONJSA-N 0 0 266.345 2.966 20 5 CFBDRN Nc1ccc(N[C@@H](C2CC2)C2CCC2)nc1[N+](=O)[O-] ZINC000324875352 348439462 /nfs/dbraw/zinc/43/94/62/348439462.db2.gz LIRYBHDALKYSDK-GFCCVEGCSA-N 0 0 262.313 2.563 20 5 CFBDRN Nc1ccc(N[C@H](C2CC2)C2CCC2)nc1[N+](=O)[O-] ZINC000324875353 348439928 /nfs/dbraw/zinc/43/99/28/348439928.db2.gz LIRYBHDALKYSDK-LBPRGKRZSA-N 0 0 262.313 2.563 20 5 CFBDRN CCOC1(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])CCC1 ZINC000596776090 349988611 /nfs/dbraw/zinc/98/86/11/349988611.db2.gz HRZRUQSBIZNIFG-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1C[C@H]1C ZINC000273400426 192138150 /nfs/dbraw/zinc/13/81/50/192138150.db2.gz QWFGLSLOAOMABE-PLOHRWSOSA-N 0 0 274.320 2.770 20 5 CFBDRN COc1ccc(NC(=O)N[C@@]23C[C@@H]2CCC3)cc1[N+](=O)[O-] ZINC000596830192 349994437 /nfs/dbraw/zinc/99/44/37/349994437.db2.gz AYGCVBMAYJDOHT-XPTSAGLGSA-N 0 0 291.307 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C/[C@H]3CCCO3)n2)cc1 ZINC000351296712 348491427 /nfs/dbraw/zinc/49/14/27/348491427.db2.gz NRNYIRYOLUTKRD-ABZNLYFFSA-N 0 0 287.275 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C)Cc1ccccn1 ZINC000273419696 192144239 /nfs/dbraw/zinc/14/42/39/192144239.db2.gz QLYBAXOHXXCBDQ-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN C[C@H](CO)CSc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413161692 233026687 /nfs/dbraw/zinc/02/66/87/233026687.db2.gz DODDYVZHTITMOY-SECBINFHSA-N 0 0 278.333 2.864 20 5 CFBDRN Cc1cc(N[C@@H]2CO[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000402078448 348580632 /nfs/dbraw/zinc/58/06/32/348580632.db2.gz TYEFVQKMKFFOOG-IUCAKERBSA-N 0 0 254.261 2.632 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccnc3c2cccc3[N+](=O)[O-])CO1 ZINC000402241216 348581462 /nfs/dbraw/zinc/58/14/62/348581462.db2.gz XXWOJHCCKLMMOR-VHSXEESVSA-N 0 0 273.292 2.732 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])[C@@]12CCCO2 ZINC000273451885 192155716 /nfs/dbraw/zinc/15/57/16/192155716.db2.gz DTNVYRSIPGSNBA-KFWWJZLASA-N 0 0 292.335 2.733 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H](CO)C2CCCCC2)c(F)c1 ZINC000413302252 233049417 /nfs/dbraw/zinc/04/94/17/233049417.db2.gz SXBPHKWOGWEZOJ-NSHDSACASA-N 0 0 297.330 2.730 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOCC2CCCC2)c(F)c1 ZINC000413334728 233055309 /nfs/dbraw/zinc/05/53/09/233055309.db2.gz IJNPJOHMIQIGRW-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN COc1cc(N2C[C@H](C)OC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000584467020 348677399 /nfs/dbraw/zinc/67/73/99/348677399.db2.gz UPPPPUYWHCCICG-NSHDSACASA-N 0 0 292.335 2.751 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)C[C@H]1C ZINC000271020554 190910128 /nfs/dbraw/zinc/91/01/28/190910128.db2.gz UXODLQNRXYOHNM-PHIMTYICSA-N 0 0 299.330 2.871 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@H]2C(C)(C)O)ccc1[N+](=O)[O-] ZINC000585707088 348739691 /nfs/dbraw/zinc/73/96/91/348739691.db2.gz DMCOHAZIYZUQLS-AWEZNQCLSA-N 0 0 292.335 2.537 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@H]2c2cc[nH]n2)n1 ZINC000588035461 348767390 /nfs/dbraw/zinc/76/73/90/348767390.db2.gz AMCBIWYMNAOVCG-LBPRGKRZSA-N 0 0 287.323 2.671 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC2(CCOC2)CC1 ZINC000588040088 348767878 /nfs/dbraw/zinc/76/78/78/348767878.db2.gz JQFZGTKELXDDCC-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000588039763 348767957 /nfs/dbraw/zinc/76/79/57/348767957.db2.gz KJXSLBLAHLMHHI-NXEZZACHSA-N 0 0 279.340 2.517 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](C(F)F)C2)c(Cl)c1 ZINC000588040548 348768007 /nfs/dbraw/zinc/76/80/07/348768007.db2.gz CPMBSVILBHCBGK-LURJTMIESA-N 0 0 277.658 2.735 20 5 CFBDRN C[C@H]1CC[C@H](CNc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588038202 348768029 /nfs/dbraw/zinc/76/80/29/348768029.db2.gz HCFJQQBMOBPMEX-UWVGGRQHSA-N 0 0 279.340 2.517 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccnc(OC(F)F)c1 ZINC000588050755 348768929 /nfs/dbraw/zinc/76/89/29/348768929.db2.gz SSORWBBKMDMLKA-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000588065800 348769359 /nfs/dbraw/zinc/76/93/59/348769359.db2.gz CALVMAUGEQNJQZ-YGRLFVJLSA-N 0 0 260.293 2.786 20 5 CFBDRN COc1cc(N2CCC[C@H]3C[C@H]32)c(F)cc1[N+](=O)[O-] ZINC000588066618 348769925 /nfs/dbraw/zinc/76/99/25/348769925.db2.gz TXHHIQISVUDWDU-WCBMZHEXSA-N 0 0 266.272 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCC[C@H]3C[C@H]31)CCN2 ZINC000588066582 348769960 /nfs/dbraw/zinc/76/99/60/348769960.db2.gz SKRHUEQLJOQELU-TVQRCGJNSA-N 0 0 259.309 2.552 20 5 CFBDRN C[C@H]1C[C@H]([NH+]2CCCC2)CN1c1sccc1[N+](=O)[O-] ZINC000588071902 348770581 /nfs/dbraw/zinc/77/05/81/348770581.db2.gz RMXUEKCQIDXWEK-QWRGUYRKSA-N 0 0 281.381 2.719 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2c1ccc([N+](=O)[O-])s1 ZINC000588068849 348770625 /nfs/dbraw/zinc/77/06/25/348770625.db2.gz HRWQBPZPXKYRDX-CDJGPZEFSA-N 0 0 280.349 2.516 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1ccc([N+](=O)[O-])s1 ZINC000588075373 348770647 /nfs/dbraw/zinc/77/06/47/348770647.db2.gz UJNFHAHENDCUPV-DTWKUNHWSA-N 0 0 256.327 2.741 20 5 CFBDRN C[C@H](Nc1cccnc1[N+](=O)[O-])c1c(F)cncc1F ZINC000588082501 348771301 /nfs/dbraw/zinc/77/13/01/348771301.db2.gz SAELXNYEVDZRPP-ZETCQYMHSA-N 0 0 280.234 2.836 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC=C(c2ccncc2)C1 ZINC000588081628 348771342 /nfs/dbraw/zinc/77/13/42/348771342.db2.gz DZZBYLKMXSOPDA-UHFFFAOYSA-N 0 0 282.303 2.679 20 5 CFBDRN O=C(N[C@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000588180084 348778214 /nfs/dbraw/zinc/77/82/14/348778214.db2.gz HEMWUMGQWGVINQ-JTQLQIEISA-N 0 0 270.235 2.512 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCCC2(F)F)ccc1[N+](=O)[O-] ZINC000588180435 348778232 /nfs/dbraw/zinc/77/82/32/348778232.db2.gz YNGHLARYYKWTPX-NSHDSACASA-N 0 0 284.262 2.821 20 5 CFBDRN O=C(N[C@H]1CCCC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000588180072 348778276 /nfs/dbraw/zinc/77/82/76/348778276.db2.gz GESHGMSNMSDQAO-JTQLQIEISA-N 0 0 270.235 2.512 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000588279379 348782785 /nfs/dbraw/zinc/78/27/85/348782785.db2.gz TZWYDMCPOMELAB-SKDRFNHKSA-N 0 0 291.307 2.620 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H]1CCC1(C)C ZINC000596870467 350000579 /nfs/dbraw/zinc/00/05/79/350000579.db2.gz ZOABLVRDIHVCCP-GFCCVEGCSA-N 0 0 292.335 2.656 20 5 CFBDRN C[C@H](C(=O)N[C@@H](C)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000588794501 348795867 /nfs/dbraw/zinc/79/58/67/348795867.db2.gz LUMWWDYEMBSBHJ-YUMQZZPRSA-N 0 0 290.241 2.765 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@@H](C)CC(C)(C)C1 ZINC000588827550 348797619 /nfs/dbraw/zinc/79/76/19/348797619.db2.gz DEHIJRZTCWFODB-JTQLQIEISA-N 0 0 280.372 2.992 20 5 CFBDRN Cn1cc(CSCc2cccc([N+](=O)[O-])c2)cn1 ZINC000588909951 348802402 /nfs/dbraw/zinc/80/24/02/348802402.db2.gz UMULSUTVFFQQCE-UHFFFAOYSA-N 0 0 263.322 2.762 20 5 CFBDRN CO[C@H]1C[C@H](Nc2nccc(C)c2[N+](=O)[O-])C12CCC2 ZINC000413437860 233072946 /nfs/dbraw/zinc/07/29/46/233072946.db2.gz IGOHHTZXXMURAP-QWRGUYRKSA-N 0 0 277.324 2.668 20 5 CFBDRN CC[C@]1(NCc2c(F)cc([N+](=O)[O-])cc2F)CCOC1 ZINC000586771418 348750243 /nfs/dbraw/zinc/75/02/43/348750243.db2.gz XCBDAOMAMMTLOO-ZDUSSCGKSA-N 0 0 286.278 2.532 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@H]2C[C@H]21 ZINC000586897448 348760018 /nfs/dbraw/zinc/76/00/18/348760018.db2.gz AWNZTSBCFYFISV-TVQRCGJNSA-N 0 0 285.303 2.701 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587165249 348761709 /nfs/dbraw/zinc/76/17/09/348761709.db2.gz ZHLFZFYHFYHDKT-MWLCHTKSSA-N 0 0 279.340 2.660 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@H](n2ccnc2)C1 ZINC000587866715 348762726 /nfs/dbraw/zinc/76/27/26/348762726.db2.gz PMRPFFOBBDOBJJ-NSHDSACASA-N 0 0 290.298 2.772 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)[C@H](C)C1 ZINC000587977690 348763324 /nfs/dbraw/zinc/76/33/24/348763324.db2.gz XQFYKRLYDDXOKG-RULNRJAQSA-N 0 0 293.367 2.906 20 5 CFBDRN C[C@H]1c2ccccc2CN1c1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587989913 348764565 /nfs/dbraw/zinc/76/45/65/348764565.db2.gz HAPPVGSTGJWRHH-JTQLQIEISA-N 0 0 299.330 2.751 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1c(F)cccc1[N+](=O)[O-] ZINC000587998393 348765332 /nfs/dbraw/zinc/76/53/32/348765332.db2.gz MAHSDKSYQAUTFL-ZJUUUORDSA-N 0 0 268.288 2.961 20 5 CFBDRN CCC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CCO1 ZINC000588008360 348766187 /nfs/dbraw/zinc/76/61/87/348766187.db2.gz ICMHUHQMYVAWQY-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@@H]1C[C@H]1c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000589069447 348809255 /nfs/dbraw/zinc/80/92/55/348809255.db2.gz TXLJBDQXQWQCIX-PSASIEDQSA-N 0 0 259.265 2.692 20 5 CFBDRN COCC[C@H](C)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000413484711 233081684 /nfs/dbraw/zinc/08/16/84/233081684.db2.gz UJVUMGUJCYOYCP-NSHDSACASA-N 0 0 289.335 2.649 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCCC1(F)F ZINC000589082343 348810147 /nfs/dbraw/zinc/81/01/47/348810147.db2.gz BAESFPCDFMRXBO-SNVBAGLBSA-N 0 0 284.262 2.821 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000589097448 348810279 /nfs/dbraw/zinc/81/02/79/348810279.db2.gz FNMRYLKMYNEUJM-UHFFFAOYSA-N 0 0 265.313 2.641 20 5 CFBDRN CC(=O)N1CC[C@H](CNc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000589101721 348810644 /nfs/dbraw/zinc/81/06/44/348810644.db2.gz KQVCUEXKVQTTGH-SNVBAGLBSA-N 0 0 297.742 2.529 20 5 CFBDRN CCn1nccc1-c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000589127265 348812268 /nfs/dbraw/zinc/81/22/68/348812268.db2.gz WCURGHXXFSVJOY-UHFFFAOYSA-N 0 0 299.290 2.837 20 5 CFBDRN COCC[C@@H](C)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000413495995 233083707 /nfs/dbraw/zinc/08/37/07/233083707.db2.gz TTYFQLYNQVXEPV-MRVPVSSYSA-N 0 0 296.352 2.684 20 5 CFBDRN Cc1cc(NCC(C)(C)OCC2CC2)ncc1[N+](=O)[O-] ZINC000413517567 233087597 /nfs/dbraw/zinc/08/75/97/233087597.db2.gz SJNDLKKQLHFWBG-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000413529908 233090217 /nfs/dbraw/zinc/09/02/17/233090217.db2.gz JDCHERGRZAQJOC-DCAQKATOSA-N 0 0 280.324 2.829 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2c(F)cccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000413542832 233093011 /nfs/dbraw/zinc/09/30/11/233093011.db2.gz XJJYBNZNCAFATI-BBBLOLIVSA-N 0 0 268.288 2.959 20 5 CFBDRN COC1([C@@H](C)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000413555174 233094637 /nfs/dbraw/zinc/09/46/37/233094637.db2.gz CQDICGYKYVMPOL-SNVBAGLBSA-N 0 0 250.298 2.964 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C12CCC2 ZINC000413435736 233073282 /nfs/dbraw/zinc/07/32/82/233073282.db2.gz FVIQAZLLQRXDLT-NWDGAFQWSA-N 0 0 291.351 2.976 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000589582846 348839503 /nfs/dbraw/zinc/83/95/03/348839503.db2.gz LOLOKNFKAKPXHE-SNVBAGLBSA-N 0 0 267.329 2.584 20 5 CFBDRN COc1cc(N[C@@H](C)C[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000589585159 348840146 /nfs/dbraw/zinc/84/01/46/348840146.db2.gz SLBZOIVVSJLPRM-WDEREUQCSA-N 0 0 280.324 2.830 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC2(CCOC2)CC1 ZINC000589598284 348840835 /nfs/dbraw/zinc/84/08/35/348840835.db2.gz JAKPHIVFMYJVKY-UHFFFAOYSA-N 0 0 268.338 2.663 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC3(CCOC3)CC2)c1 ZINC000589598303 348840844 /nfs/dbraw/zinc/84/08/44/348840844.db2.gz MBBQQPPUTWDUFG-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN COc1cc(N2CCC3(CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000589599746 348840904 /nfs/dbraw/zinc/84/09/04/348840904.db2.gz IFCKXRBCKXBGQV-UHFFFAOYSA-N 0 0 266.272 2.733 20 5 CFBDRN COc1ccc(CSCc2cnn(C)c2)cc1[N+](=O)[O-] ZINC000588923816 348803123 /nfs/dbraw/zinc/80/31/23/348803123.db2.gz ZWZCBRPUJDMWEF-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN COc1cc(N[C@H](C)c2ccn(C)n2)c(F)cc1[N+](=O)[O-] ZINC000413466142 233078154 /nfs/dbraw/zinc/07/81/54/233078154.db2.gz WXVQLBOHEJCJRR-MRVPVSSYSA-N 0 0 294.286 2.649 20 5 CFBDRN COC[C@@H]1CCCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000589689211 348850300 /nfs/dbraw/zinc/85/03/00/348850300.db2.gz URZLGWQMAQCCRL-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000589736853 348854578 /nfs/dbraw/zinc/85/45/78/348854578.db2.gz SVTCQJXGUUAZJF-RYUDHWBXSA-N 0 0 294.351 2.582 20 5 CFBDRN Cc1cc(N(C)Cc2noc(C3CC3)n2)ccc1[N+](=O)[O-] ZINC000413608933 233103862 /nfs/dbraw/zinc/10/38/62/233103862.db2.gz PRFXGCYWSXSQJH-UHFFFAOYSA-N 0 0 288.307 2.800 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccnn1CC ZINC000589810956 348857546 /nfs/dbraw/zinc/85/75/46/348857546.db2.gz GJMZPDCQYMPAFJ-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC([C@@H]2CCOC2)C1 ZINC000589866344 348861938 /nfs/dbraw/zinc/86/19/38/348861938.db2.gz DFCIFBQVKOEPAJ-LLVKDONJSA-N 0 0 299.330 2.616 20 5 CFBDRN Nc1c(F)c(N2CCC(C3CC3)CC2)ccc1[N+](=O)[O-] ZINC000589873575 348862715 /nfs/dbraw/zinc/86/27/15/348862715.db2.gz PLWQEADADFNYID-UHFFFAOYSA-N 0 0 279.315 2.943 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCOC[C@@H](C2CCC2)C1 ZINC000589878677 348863178 /nfs/dbraw/zinc/86/31/78/348863178.db2.gz SIEIVSLXXMCKQV-NSHDSACASA-N 0 0 282.365 2.909 20 5 CFBDRN CC(F)(F)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000590142243 348878011 /nfs/dbraw/zinc/87/80/11/348878011.db2.gz IZIMNPBHRQAMHI-UHFFFAOYSA-N 0 0 267.235 2.632 20 5 CFBDRN CN(Cc1c(F)cccc1F)c1cccnc1[N+](=O)[O-] ZINC000590146043 348878390 /nfs/dbraw/zinc/87/83/90/348878390.db2.gz JMGSTDSUSIUYAQ-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN CC(C)[C@H]1CN(c2sccc2[N+](=O)[O-])CCCO1 ZINC000590152981 348879418 /nfs/dbraw/zinc/87/94/18/348879418.db2.gz DVDDBZKPPHDIKF-LLVKDONJSA-N 0 0 270.354 2.908 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000590775113 348947530 /nfs/dbraw/zinc/94/75/30/348947530.db2.gz IPAGEHXEEYDIJX-LNSITVRQSA-N 0 0 288.347 2.957 20 5 CFBDRN CCO[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@H]1C ZINC000590718144 348935054 /nfs/dbraw/zinc/93/50/54/348935054.db2.gz KJVZURIXPWANIO-NXEZZACHSA-N 0 0 270.354 2.908 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]([C@H](CO)C1CC1)C1CC1 ZINC000590719232 348935697 /nfs/dbraw/zinc/93/56/97/348935697.db2.gz FBBLSYQPDXHTIZ-PWSUYJOCSA-N 0 0 282.365 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC23CCOCC3)s1 ZINC000590722791 348936517 /nfs/dbraw/zinc/93/65/17/348936517.db2.gz UYYCTXSCIISQAU-QMMMGPOBSA-N 0 0 254.311 2.637 20 5 CFBDRN COc1cccc2c1CN(c1ccc([N+](=O)[O-])nc1)CC2 ZINC000590723207 348937244 /nfs/dbraw/zinc/93/72/44/348937244.db2.gz VTNFHUHSWVNENK-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCC[C@H]1CCOC1 ZINC000590732204 348938725 /nfs/dbraw/zinc/93/87/25/348938725.db2.gz DMTKCVNVZJSEFN-VIFPVBQESA-N 0 0 256.327 2.885 20 5 CFBDRN Cc1cc(N2CC(C)(C)[C@@H]3COC[C@@H]32)c(F)cc1[N+](=O)[O-] ZINC000590738479 348940041 /nfs/dbraw/zinc/94/00/41/348940041.db2.gz RCKWCPVUUOOUFZ-YGRLFVJLSA-N 0 0 294.326 2.904 20 5 CFBDRN COC1([C@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000413804474 233129772 /nfs/dbraw/zinc/12/97/72/233129772.db2.gz YQXNGANGIWRACK-JTQLQIEISA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1c(C(=O)NC/C=C\c2cccnc2)cccc1[N+](=O)[O-] ZINC000590396866 348898124 /nfs/dbraw/zinc/89/81/24/348898124.db2.gz GBTAGUGKRUBXPQ-XQRVVYSFSA-N 0 0 297.314 2.741 20 5 CFBDRN CC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273479946 192168366 /nfs/dbraw/zinc/16/83/66/192168366.db2.gz QGTALLOFPRNMDY-UHFFFAOYSA-N 0 0 269.276 2.902 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC(C)(F)F ZINC000590505909 348906724 /nfs/dbraw/zinc/90/67/24/348906724.db2.gz JGZINACFYZEQID-UHFFFAOYSA-N 0 0 286.278 2.905 20 5 CFBDRN Cc1nc(N2CCC[C@@H](C(C)C)CC2)ncc1[N+](=O)[O-] ZINC000590689914 348928729 /nfs/dbraw/zinc/92/87/29/348928729.db2.gz JDUIYQANEQIESD-GFCCVEGCSA-N 0 0 278.356 2.956 20 5 CFBDRN Cc1ccccc1CC1CN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000590693992 348929763 /nfs/dbraw/zinc/92/97/63/348929763.db2.gz OBEREGSUXDSNBT-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000591000116 348977608 /nfs/dbraw/zinc/97/76/08/348977608.db2.gz CDKGXIISAREQEB-UHFFFAOYSA-N 0 0 294.204 2.805 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CC=CCC2)ccc1[N+](=O)[O-] ZINC000591074621 348984703 /nfs/dbraw/zinc/98/47/03/348984703.db2.gz OXPYTWLDKRSGDF-UHFFFAOYSA-N 0 0 290.319 2.784 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC[C@H](C2CCC2)C1 ZINC000591126051 348990422 /nfs/dbraw/zinc/99/04/22/348990422.db2.gz HQNOLCNUQREFFQ-AWEZNQCLSA-N 0 0 288.347 2.786 20 5 CFBDRN Cc1csc(CCNc2ncc([N+](=O)[O-])cc2F)n1 ZINC000591138704 348991951 /nfs/dbraw/zinc/99/19/51/348991951.db2.gz JQVLLZZLZVPFMK-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN Cc1noc(C)c1[C@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000591147208 348992437 /nfs/dbraw/zinc/99/24/37/348992437.db2.gz QFJAPOGATBOLOH-LURJTMIESA-N 0 0 280.259 2.907 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000591150829 348993116 /nfs/dbraw/zinc/99/31/16/348993116.db2.gz BVAQWTNCUKXQAQ-MFKMUULPSA-N 0 0 283.303 2.744 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC23CCCC3)c(F)c1 ZINC000591158375 348994848 /nfs/dbraw/zinc/99/48/48/348994848.db2.gz ABKQWJKATZFHNS-SNVBAGLBSA-N 0 0 251.261 2.874 20 5 CFBDRN CC(C)(C)c1nnc(CNc2sccc2[N+](=O)[O-])[nH]1 ZINC000591177553 348997193 /nfs/dbraw/zinc/99/71/93/348997193.db2.gz LLCVOYFCSKMVRZ-UHFFFAOYSA-N 0 0 281.341 2.684 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])s1)c1ccn(C)n1 ZINC000591182277 348997709 /nfs/dbraw/zinc/99/77/09/348997709.db2.gz DRZSUKMMDDJAIV-SSDOTTSWSA-N 0 0 252.299 2.563 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCO[C@H](C2CCC2)C1 ZINC000591186079 348997765 /nfs/dbraw/zinc/99/77/65/348997765.db2.gz RNNBSZDAQCKOML-NSHDSACASA-N 0 0 268.338 2.662 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCSC2(CCC2)CC1 ZINC000591186809 348998786 /nfs/dbraw/zinc/99/87/86/348998786.db2.gz LSTZLCJDNDWXGZ-UHFFFAOYSA-N 0 0 279.365 2.856 20 5 CFBDRN C[C@@H]1C[C@H](CNc2sccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000591192639 348999177 /nfs/dbraw/zinc/99/91/77/348999177.db2.gz AKAOZZCVZGUOQM-IWSPIJDZSA-N 0 0 256.327 2.882 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](C2CCC2)C1 ZINC000591204448 348999906 /nfs/dbraw/zinc/99/99/06/348999906.db2.gz TUGDOBCGTFOVRG-LBPRGKRZSA-N 0 0 278.356 2.746 20 5 CFBDRN Cc1nc(N2CC[C@@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000591204492 349000340 /nfs/dbraw/zinc/00/03/40/349000340.db2.gz WODFJRLZLACFPQ-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC2(C3(C)CC3)CC2)c1[N+](=O)[O-] ZINC000590884407 348961683 /nfs/dbraw/zinc/96/16/83/348961683.db2.gz MDPQHTHNXJEZRG-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC1(C(F)(F)F)CC1 ZINC000590913124 348966670 /nfs/dbraw/zinc/96/66/70/348966670.db2.gz PHBUNLWZIBLBTI-UHFFFAOYSA-N 0 0 288.225 2.728 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000413968343 233145293 /nfs/dbraw/zinc/14/52/93/233145293.db2.gz BAWWTSGGGLTKGS-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC(CC(F)F)C3)c2c1 ZINC000591830878 349049481 /nfs/dbraw/zinc/04/94/81/349049481.db2.gz UFMRGPYFGDKUAG-UHFFFAOYSA-N 0 0 294.261 2.629 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H](C)C1CC1 ZINC000591507628 349027539 /nfs/dbraw/zinc/02/75/39/349027539.db2.gz MNDMKBWVKREMEX-NSHDSACASA-N 0 0 276.336 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3CCCOC3)no2)c(F)c1 ZINC000591652035 349035989 /nfs/dbraw/zinc/03/59/89/349035989.db2.gz AIROZGBKIUGIBK-QMMMGPOBSA-N 0 0 293.254 2.678 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000591976475 349068610 /nfs/dbraw/zinc/06/86/10/349068610.db2.gz XSKXXRPQUYLBJJ-LBPRGKRZSA-N 0 0 287.747 2.996 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1cccc(OC)c1[N+](=O)[O-] ZINC000591980633 349069016 /nfs/dbraw/zinc/06/90/16/349069016.db2.gz FTWFVZCSOGJIPC-GHMZBOCLSA-N 0 0 282.340 2.812 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@@H]([C@H]2CCCC2(C)C)C1 ZINC000591981476 349069047 /nfs/dbraw/zinc/06/90/47/349069047.db2.gz AFYHHSKSXXXUAY-VXGBXAGGSA-N 0 0 292.383 2.981 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1c(F)cccc1[N+](=O)[O-] ZINC000591980415 349069316 /nfs/dbraw/zinc/06/93/16/349069316.db2.gz CXSFQHHPQQQMTE-VHSXEESVSA-N 0 0 270.304 2.943 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC1CCC(F)CC1 ZINC000591995473 349072603 /nfs/dbraw/zinc/07/26/03/349072603.db2.gz WZBIAXRECCJZFL-UHFFFAOYSA-N 0 0 253.277 2.930 20 5 CFBDRN CC(C)CCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592350030 349106871 /nfs/dbraw/zinc/10/68/71/349106871.db2.gz PYEYMXWQBBXWAN-UHFFFAOYSA-N 0 0 250.254 2.549 20 5 CFBDRN CCN(CCSC)c1cc([N+](=O)[O-])ccc1C(C)=O ZINC000592001246 349073550 /nfs/dbraw/zinc/07/35/50/349073550.db2.gz VIJNJSAKVQQQKT-UHFFFAOYSA-N 0 0 282.365 2.987 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CCS[C@@H]2C)cc1[N+](=O)[O-] ZINC000592123722 349086895 /nfs/dbraw/zinc/08/68/95/349086895.db2.gz YPKSDVCIUCCZQH-KOLCDFICSA-N 0 0 295.364 2.919 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)NC1(CF)CCC1 ZINC000592252000 349104226 /nfs/dbraw/zinc/10/42/26/349104226.db2.gz OJMLPLWKDVZIJG-UHFFFAOYSA-N 0 0 267.260 2.609 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCC[C@H]2CCOC2)n1 ZINC000592348066 349106110 /nfs/dbraw/zinc/10/61/10/349106110.db2.gz CQSYDULQUZNPAM-LBPRGKRZSA-N 0 0 280.324 2.802 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCC2=CCCC2)c1 ZINC000592345544 349106243 /nfs/dbraw/zinc/10/62/43/349106243.db2.gz XVHFWDXBICOYHE-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN C[C@H](CN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1)CC(F)F ZINC000591961179 349065638 /nfs/dbraw/zinc/06/56/38/349065638.db2.gz AQUYLIGJMJECHV-ZETCQYMHSA-N 0 0 284.266 2.596 20 5 CFBDRN CCc1nn(C)c(NC[C@@H](C)CC(F)F)c1[N+](=O)[O-] ZINC000591961168 349065647 /nfs/dbraw/zinc/06/56/47/349065647.db2.gz AQILRKXNSFGOGC-ZETCQYMHSA-N 0 0 276.287 2.594 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1ncccc1[N+](=O)[O-] ZINC000129417177 187430453 /nfs/dbraw/zinc/43/04/53/187430453.db2.gz FAOTUWFXVOTFDQ-MRVPVSSYSA-N 0 0 276.296 2.810 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@]2(CCSC2)C1 ZINC000591963909 349066384 /nfs/dbraw/zinc/06/63/84/349066384.db2.gz NIZIKRHYMGSKCM-CQSZACIVSA-N 0 0 294.376 2.616 20 5 CFBDRN COC(=O)[C@H](CC(C)(C)C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000591972662 349067637 /nfs/dbraw/zinc/06/76/37/349067637.db2.gz BKELBLDRFPXSFL-JTQLQIEISA-N 0 0 295.339 2.688 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000591974281 349067825 /nfs/dbraw/zinc/06/78/25/349067825.db2.gz NGADERPZWMUMNH-ZDUSSCGKSA-N 0 0 267.329 2.651 20 5 CFBDRN CC1(CC(F)F)CN(c2ncc([N+](=O)[O-])s2)C1 ZINC000591972513 349067886 /nfs/dbraw/zinc/06/78/86/349067886.db2.gz QXDDCSJBBYGNIO-UHFFFAOYSA-N 0 0 263.269 2.533 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H](F)C2)c1 ZINC000592664796 349147378 /nfs/dbraw/zinc/14/73/78/349147378.db2.gz ANVULLNSDZSDBU-ZJUUUORDSA-N 0 0 296.298 2.614 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H](C)CC(F)F)cc1[N+](=O)[O-] ZINC000592671162 349148524 /nfs/dbraw/zinc/14/85/24/349148524.db2.gz DPGRARXTICKYOI-QMMMGPOBSA-N 0 0 286.278 2.924 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCC[C@H]1CCOC1 ZINC000592446714 349121087 /nfs/dbraw/zinc/12/10/87/349121087.db2.gz POMQUBICSRERIR-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCC[C@@H]1CCOC1 ZINC000592446713 349121140 /nfs/dbraw/zinc/12/11/40/349121140.db2.gz POMQUBICSRERIR-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCC[C@@H]1C1CCC1 ZINC000592465205 349125758 /nfs/dbraw/zinc/12/57/58/349125758.db2.gz UADRNBNBMHPBBV-CYBMUJFWSA-N 0 0 277.324 2.718 20 5 CFBDRN O=[N+]([O-])c1ccn(Cc2nc(C3CCCCCC3)no2)n1 ZINC000592464441 349125832 /nfs/dbraw/zinc/12/58/32/349125832.db2.gz PCDFKTWNNARTSC-UHFFFAOYSA-N 0 0 291.311 2.660 20 5 CFBDRN CSCC1(CC(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000593032417 349190696 /nfs/dbraw/zinc/19/06/96/349190696.db2.gz VGTUJJISLZRLHE-UHFFFAOYSA-N 0 0 294.376 2.744 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000592732391 349158033 /nfs/dbraw/zinc/15/80/33/349158033.db2.gz HJUKKZAUFIFZAP-GARJFASQSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCS[C@@H]2C)c1[N+](=O)[O-] ZINC000592732491 349158079 /nfs/dbraw/zinc/15/80/79/349158079.db2.gz AWDAKZNKWCOSPF-KOLCDFICSA-N 0 0 280.349 2.527 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1csc([N+](=O)[O-])c1 ZINC000592733065 349158655 /nfs/dbraw/zinc/15/86/55/349158655.db2.gz ORJOWHKSHULXQO-OYNCUSHFSA-N 0 0 266.322 2.575 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])s1 ZINC000592732739 349158693 /nfs/dbraw/zinc/15/86/93/349158693.db2.gz NUTNITQLNPBFRD-IWSPIJDZSA-N 0 0 266.322 2.575 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)c1 ZINC000592737186 349160292 /nfs/dbraw/zinc/16/02/92/349160292.db2.gz UJMWBBPMFCTJBW-GMTAPVOTSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCS[C@@H]2C)ccc1[N+](=O)[O-] ZINC000592737378 349160449 /nfs/dbraw/zinc/16/04/49/349160449.db2.gz WJRRVFKIVSXXGZ-MWLCHTKSSA-N 0 0 280.349 2.527 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CCC(F)F ZINC000592826615 349168690 /nfs/dbraw/zinc/16/86/90/349168690.db2.gz DPTICCFQUZZPAX-UHFFFAOYSA-N 0 0 273.239 2.620 20 5 CFBDRN CN(C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000592894665 349175001 /nfs/dbraw/zinc/17/50/01/349175001.db2.gz ZANRYKQVDSHMJP-UHFFFAOYSA-N 0 0 292.335 2.815 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CC[C@@H](C2CC2)C1 ZINC000593109454 349210978 /nfs/dbraw/zinc/21/09/78/349210978.db2.gz IQYMKAAXQPZHOE-GFCCVEGCSA-N 0 0 292.310 2.535 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593147444 349220193 /nfs/dbraw/zinc/22/01/93/349220193.db2.gz VOFRHBMTBYGKSK-MNOVXSKESA-N 0 0 294.326 2.829 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593146783 349220317 /nfs/dbraw/zinc/22/03/17/349220317.db2.gz FLZKAMDKBQTHBX-ZYHUDNBSSA-N 0 0 294.326 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cc3n(n2)CCCC3)cc1 ZINC000593235396 349232639 /nfs/dbraw/zinc/23/26/39/349232639.db2.gz UEMZEEFMSHJXPY-UHFFFAOYSA-N 0 0 273.292 2.707 20 5 CFBDRN Cc1ccc(C(=O)N2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000593237620 349234082 /nfs/dbraw/zinc/23/40/82/349234082.db2.gz SRMXWNIAXZOGCN-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN CC(C)O[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000593240559 349234105 /nfs/dbraw/zinc/23/41/05/349234105.db2.gz IBUUKJCWRUZEMO-AWEZNQCLSA-N 0 0 292.335 2.624 20 5 CFBDRN CC(C)O[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000593241542 349234833 /nfs/dbraw/zinc/23/48/33/349234833.db2.gz PKYYMSBZZGLFKK-AWEZNQCLSA-N 0 0 292.335 2.624 20 5 CFBDRN CC(C)O[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000593242868 349234954 /nfs/dbraw/zinc/23/49/54/349234954.db2.gz ZGWKVAKQOFZEFO-JTQLQIEISA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])[C@@H](CC)CO1 ZINC000593258912 349237093 /nfs/dbraw/zinc/23/70/93/349237093.db2.gz VFVOVXSJEQXYOV-RYUDHWBXSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000593257287 349237115 /nfs/dbraw/zinc/23/71/15/349237115.db2.gz BNULEWIIYAPTGD-ZJUUUORDSA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1Cc1cccc([N+](=O)[O-])c1 ZINC000593056950 349196400 /nfs/dbraw/zinc/19/64/00/349196400.db2.gz BUZMWZWPUQPZMG-HIFRSBDPSA-N 0 0 278.352 2.984 20 5 CFBDRN CC1(CNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CCCC1 ZINC000593058288 349197279 /nfs/dbraw/zinc/19/72/79/349197279.db2.gz JOFNPYHUKSOXCC-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN CC[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])[C@@H](CC)CO1 ZINC000593057162 349197596 /nfs/dbraw/zinc/19/75/96/349197596.db2.gz CLGREBUNLDHIIN-UONOGXRCSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593062580 349199377 /nfs/dbraw/zinc/19/93/77/349199377.db2.gz IRPOQRVRUZGQQT-QWRGUYRKSA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)[C@H](C)CO1 ZINC000593065224 349200480 /nfs/dbraw/zinc/20/04/80/349200480.db2.gz ZYGDAMZGCXXZMF-BXUZGUMPSA-N 0 0 294.351 2.603 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593070145 349201054 /nfs/dbraw/zinc/20/10/54/349201054.db2.gz UPVPYDPTGZLOCK-SNVBAGLBSA-N 0 0 282.315 2.685 20 5 CFBDRN O=C([C@@H]1C[C@H]1C1CCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000593068534 349201378 /nfs/dbraw/zinc/20/13/78/349201378.db2.gz PVPWYKGTRYVOCM-QWHCGFSZSA-N 0 0 286.331 2.920 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(CO)CCC2)c(F)c1 ZINC000593512451 349288078 /nfs/dbraw/zinc/28/80/78/349288078.db2.gz OBZFUXRFALBIDA-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN Cc1cnc(N2CC[C@@]3(CC3(F)F)C2)c([N+](=O)[O-])c1 ZINC000593521180 349289421 /nfs/dbraw/zinc/28/94/21/349289421.db2.gz LZOQGFKPEHXTMH-LLVKDONJSA-N 0 0 269.251 2.534 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@]2(CC2(F)F)C1 ZINC000593520054 349289681 /nfs/dbraw/zinc/28/96/81/349289681.db2.gz FFCQZBBEZSBNQS-LLVKDONJSA-N 0 0 272.226 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(CC3(F)F)C2)s1 ZINC000593523286 349290268 /nfs/dbraw/zinc/29/02/68/349290268.db2.gz WNNOPDGCHNRNEP-VIFPVBQESA-N 0 0 260.265 2.892 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593284476 349241769 /nfs/dbraw/zinc/24/17/69/349241769.db2.gz VBAYYWFYSWKPMH-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN COC/C(C)=C\c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000593291624 349242512 /nfs/dbraw/zinc/24/25/12/349242512.db2.gz ZFAFTQVAMJWBNZ-YFHOEESVSA-N 0 0 289.291 2.618 20 5 CFBDRN Cc1cc(C(=O)NC2(C3CCC3)CC2)ccc1[N+](=O)[O-] ZINC000593305174 349244335 /nfs/dbraw/zinc/24/43/35/349244335.db2.gz FNYMLTXXFIXPGM-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CC[S@@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000089588383 179825976 /nfs/dbraw/zinc/82/59/76/179825976.db2.gz CRUXESRYOHKWRE-IBGZPJMESA-N 0 0 280.305 2.518 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CCC[C@@H]1C1CC1 ZINC000593452169 349273931 /nfs/dbraw/zinc/27/39/31/349273931.db2.gz TUOPJUAIHZNHME-CYBMUJFWSA-N 0 0 292.310 2.677 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CCC[C@H]1C1CC1 ZINC000593452170 349274068 /nfs/dbraw/zinc/27/40/68/349274068.db2.gz TUOPJUAIHZNHME-ZDUSSCGKSA-N 0 0 292.310 2.677 20 5 CFBDRN C[C@](O)(CNc1ccnc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000593463407 349276056 /nfs/dbraw/zinc/27/60/56/349276056.db2.gz UDFBNUVJAWXXBT-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H](CCO)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593465709 349276771 /nfs/dbraw/zinc/27/67/71/349276771.db2.gz RHNVZMGKXRTGLD-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN CC[C@H](Nc1ccnc2cc([N+](=O)[O-])ccc21)[C@H](C)O ZINC000593477538 349279074 /nfs/dbraw/zinc/27/90/74/349279074.db2.gz BXKSIDIGRGAIJX-CABZTGNLSA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593480839 349279522 /nfs/dbraw/zinc/27/95/22/349279522.db2.gz GTPSDMPJPMJBRV-NXEZZACHSA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1ccnc(N2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000593486989 349281891 /nfs/dbraw/zinc/28/18/91/349281891.db2.gz JKJPOJCICCEQCU-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@H](OC(C)C)C2)c1 ZINC000593487559 349282621 /nfs/dbraw/zinc/28/26/21/349282621.db2.gz RQDWTXKERBOJBH-ZDUSSCGKSA-N 0 0 294.351 2.997 20 5 CFBDRN CCOc1cc(N2C[C@H](CC)OC[C@H]2C)ccc1[N+](=O)[O-] ZINC000593491178 349283376 /nfs/dbraw/zinc/28/33/76/349283376.db2.gz HKETXDWUAQISBJ-YPMHNXCESA-N 0 0 294.351 2.997 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1c1ccccc1[N+](=O)[O-] ZINC000593493647 349283782 /nfs/dbraw/zinc/28/37/82/349283782.db2.gz IFUUVCKIQKGMIP-NSHDSACASA-N 0 0 264.325 2.989 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1c1ncc([N+](=O)[O-])cc1F ZINC000593493018 349283910 /nfs/dbraw/zinc/28/39/10/349283910.db2.gz FTUCDXAXXOXYDT-SECBINFHSA-N 0 0 283.303 2.523 20 5 CFBDRN CCN(c1ncc(Cl)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000593496430 349284368 /nfs/dbraw/zinc/28/43/68/349284368.db2.gz QFRWIGZNJFKOTH-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@H]1CN(c2ncc([N+](=O)[O-])cc2F)[C@@H](CC)CO1 ZINC000593494209 349284461 /nfs/dbraw/zinc/28/44/61/349284461.db2.gz LUTYUBOKZLFGKO-ONGXEEELSA-N 0 0 283.303 2.523 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)n2)[C@H](CC)CO1 ZINC000593493701 349284535 /nfs/dbraw/zinc/28/45/35/349284535.db2.gz IXGYHBFZWPLROT-VXGBXAGGSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC2(CC2(F)F)CC1 ZINC000593498931 349285185 /nfs/dbraw/zinc/28/51/85/349285185.db2.gz UUUWPYKJEAKXLY-UHFFFAOYSA-N 0 0 283.278 2.924 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CCc2ccccc21 ZINC000593855940 349331407 /nfs/dbraw/zinc/33/14/07/349331407.db2.gz RPHZUPGFRYBILF-NSHDSACASA-N 0 0 299.330 2.725 20 5 CFBDRN CC(C)CC[N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593732537 349316069 /nfs/dbraw/zinc/31/60/69/349316069.db2.gz MWIAHPUXZRNMIT-UHFFFAOYSA-N 0 0 280.324 2.771 20 5 CFBDRN CC[C@@H]1C[C@H](C)C[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593738709 349317471 /nfs/dbraw/zinc/31/74/71/349317471.db2.gz KBBAWMJBDGTUCH-GXFFZTMASA-N 0 0 292.335 2.913 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])N1CCC12CCCC2 ZINC000593758861 349321696 /nfs/dbraw/zinc/32/16/96/349321696.db2.gz YVVJWIUKBCZSGZ-UHFFFAOYSA-N 0 0 289.335 2.552 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)[C@H]1CCCCO1 ZINC000594189225 349392113 /nfs/dbraw/zinc/39/21/13/349392113.db2.gz UTWTXZIFBZKEGT-VHSXEESVSA-N 0 0 298.364 2.734 20 5 CFBDRN Cc1c(C(=O)N2CCCc3cccnc32)cccc1[N+](=O)[O-] ZINC000594068841 349368608 /nfs/dbraw/zinc/36/86/08/349368608.db2.gz ARTKJSWZKPXOAD-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN CC1(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC=CC1 ZINC000594076003 349369833 /nfs/dbraw/zinc/36/98/33/349369833.db2.gz ZXYSNFOFNPYOGQ-UHFFFAOYSA-N 0 0 264.256 2.572 20 5 CFBDRN Cc1ncncc1[C@@H](C)NCc1ccccc1[N+](=O)[O-] ZINC000594503528 349433203 /nfs/dbraw/zinc/43/32/03/349433203.db2.gz XRCCUDBNGUIZFQ-SNVBAGLBSA-N 0 0 272.308 2.544 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597091624 350029091 /nfs/dbraw/zinc/02/90/91/350029091.db2.gz ABLYWBQIEGEYIV-RQJHMYQMSA-N 0 0 298.730 2.608 20 5 CFBDRN CC1(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)CC=CC1 ZINC000594383078 349413349 /nfs/dbraw/zinc/41/33/49/349413349.db2.gz YWDTUNWFCKYWIS-UHFFFAOYSA-N 0 0 285.303 2.915 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCCc2n[nH]cc2C1 ZINC000597266241 350076440 /nfs/dbraw/zinc/07/64/40/350076440.db2.gz KABQDZNMWCDPCC-UHFFFAOYSA-N 0 0 286.335 2.575 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000597305507 350081020 /nfs/dbraw/zinc/08/10/20/350081020.db2.gz INSASAKAPXPRNK-MCIONIFRSA-N 0 0 288.347 2.690 20 5 CFBDRN CC(C)(C)CCCCNC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000104441739 186003385 /nfs/dbraw/zinc/00/33/85/186003385.db2.gz SLDFHXBPCRFATF-UHFFFAOYSA-N 0 0 296.371 2.514 20 5 CFBDRN Cc1ncsc1CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000104464134 186006987 /nfs/dbraw/zinc/00/69/87/186006987.db2.gz MLKYQUSFMAJAJV-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCc1ncc[nH]1 ZINC000597666748 350122407 /nfs/dbraw/zinc/12/24/07/350122407.db2.gz MFTCFDKMHCTSNK-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@H]1C ZINC000104660038 186010664 /nfs/dbraw/zinc/01/06/64/186010664.db2.gz GJLHYRHLNBJTHY-SKDRFNHKSA-N 0 0 277.324 2.555 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000104621301 186010786 /nfs/dbraw/zinc/01/07/86/186010786.db2.gz XSMLPMKDPGDDQS-GXSJLCMTSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@H]2C)cc([N+](=O)[O-])c1 ZINC000104620221 186011045 /nfs/dbraw/zinc/01/10/45/186011045.db2.gz UYKZDMJEFFKIGQ-ZWNOBZJWSA-N 0 0 262.309 2.822 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]21 ZINC000597829687 350141421 /nfs/dbraw/zinc/14/14/21/350141421.db2.gz INEHMZAGIDFIJT-JQWIXIFHSA-N 0 0 260.293 2.609 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@@H]21 ZINC000597830419 350141836 /nfs/dbraw/zinc/14/18/36/350141836.db2.gz UPNKUXREFFTHKZ-ZANVPECISA-N 0 0 278.283 2.749 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000597853517 350142175 /nfs/dbraw/zinc/14/21/75/350142175.db2.gz BIXOPHGAQJGKON-LLVKDONJSA-N 0 0 280.324 2.621 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000597853382 350142476 /nfs/dbraw/zinc/14/24/76/350142476.db2.gz ADFXMPHUGWMNOC-GFCCVEGCSA-N 0 0 294.351 2.929 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000597854747 350142928 /nfs/dbraw/zinc/14/29/28/350142928.db2.gz GYFLPOCRBHHWPX-VIFPVBQESA-N 0 0 268.288 2.995 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1csc([N+](=O)[O-])c1 ZINC000597855610 350144091 /nfs/dbraw/zinc/14/40/91/350144091.db2.gz KXYAEEKRZCUYIZ-QMMMGPOBSA-N 0 0 256.327 2.917 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000271266680 191038172 /nfs/dbraw/zinc/03/81/72/191038172.db2.gz MAKWKRKLVVOLOI-RKDXNWHRSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1C[C@@H](CNc2nc3sccn3c2[N+](=O)[O-])CCO1 ZINC000271313949 191067229 /nfs/dbraw/zinc/06/72/29/191067229.db2.gz JFVWUKIFLFMDNK-IUCAKERBSA-N 0 0 296.352 2.531 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccccc1OC ZINC000091558508 180190754 /nfs/dbraw/zinc/19/07/54/180190754.db2.gz KXNWKWIAPHRRKB-UHFFFAOYSA-N 0 0 290.323 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCC[C@H](C)O1 ZINC000598212545 350216104 /nfs/dbraw/zinc/21/61/04/350216104.db2.gz KVKAPCPTNGSYHJ-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@@H](CCF)C1 ZINC000598218805 350218574 /nfs/dbraw/zinc/21/85/74/350218574.db2.gz DDTFWFVJBORXIQ-LBPRGKRZSA-N 0 0 294.326 2.736 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@@H](CCF)C1 ZINC000598219355 350218610 /nfs/dbraw/zinc/21/86/10/350218610.db2.gz IKDUOOWGBDTCPW-VIFPVBQESA-N 0 0 286.328 2.868 20 5 CFBDRN Cc1cc(C(=O)NC[C@]2(C)CC2(F)F)ccc1[N+](=O)[O-] ZINC000598220199 350219236 /nfs/dbraw/zinc/21/92/36/350219236.db2.gz XTHSYSJVPMYDBG-LBPRGKRZSA-N 0 0 284.262 2.678 20 5 CFBDRN CCc1nn(C)c(N[C@H](C)[C@H](C)CC)c1[N+](=O)[O-] ZINC000091560850 180192328 /nfs/dbraw/zinc/19/23/28/180192328.db2.gz APDNXINBUFMKSO-RKDXNWHRSA-N 0 0 254.334 2.737 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000598233305 350221615 /nfs/dbraw/zinc/22/16/15/350221615.db2.gz ODQQDYGXXAIQKR-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@H](NC(=O)CCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598235550 350222302 /nfs/dbraw/zinc/22/23/02/350222302.db2.gz YFNBTDOVIYRHKR-LBPRGKRZSA-N 0 0 292.335 2.669 20 5 CFBDRN CC(C)(F)CCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000598198037 350211881 /nfs/dbraw/zinc/21/18/81/350211881.db2.gz FTPZPLWVYYMTSR-UHFFFAOYSA-N 0 0 272.251 2.602 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000598205808 350213250 /nfs/dbraw/zinc/21/32/50/350213250.db2.gz ACYQIULJBQVKJL-KCQAQPDRSA-N 0 0 288.347 2.690 20 5 CFBDRN CO[C@H](C)CCNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000271339600 191082208 /nfs/dbraw/zinc/08/22/08/191082208.db2.gz WJNZPCKOKXUVPW-LLVKDONJSA-N 0 0 294.351 2.675 20 5 CFBDRN CO[C@@H](C)CCNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000271339601 191082412 /nfs/dbraw/zinc/08/24/12/191082412.db2.gz WJNZPCKOKXUVPW-NSHDSACASA-N 0 0 294.351 2.675 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CC[C@@H]2C[C@@H]2C1 ZINC000598331688 350237405 /nfs/dbraw/zinc/23/74/05/350237405.db2.gz QZHIAIYBGIZZBQ-RJZRQDKASA-N 0 0 286.331 2.567 20 5 CFBDRN CCOC(=O)CCCOc1cc([N+](=O)[O-])ccc1C ZINC000105358286 186053818 /nfs/dbraw/zinc/05/38/18/186053818.db2.gz FMGIXRQMFSMNJW-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CC1(C)[C@@H](CNC(=O)Cc2cccc([N+](=O)[O-])c2)C1(F)F ZINC000598340309 350240223 /nfs/dbraw/zinc/24/02/23/350240223.db2.gz IZWSWCJETRGVBI-LLVKDONJSA-N 0 0 298.289 2.545 20 5 CFBDRN CCC(CC)CCCN(C)C(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000598397375 350256732 /nfs/dbraw/zinc/25/67/32/350256732.db2.gz UFBLEWRTSHIFCF-UHFFFAOYSA-N 0 0 296.371 2.617 20 5 CFBDRN C[C@H](C(=O)NCC1=CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000598374825 350251361 /nfs/dbraw/zinc/25/13/61/350251361.db2.gz DRJDVLYDRJTREW-NSHDSACASA-N 0 0 274.320 2.925 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NCC1=CCCC1 ZINC000598379779 350252176 /nfs/dbraw/zinc/25/21/76/350252176.db2.gz XAMLVOXVXRVKDE-UHFFFAOYSA-N 0 0 292.360 2.913 20 5 CFBDRN CC(NC(=O)Cc1cccc([N+](=O)[O-])c1)(C1CC1)C1CC1 ZINC000598382238 350253581 /nfs/dbraw/zinc/25/35/81/350253581.db2.gz WRECSHLUTUJOAH-UHFFFAOYSA-N 0 0 288.347 2.832 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000598382596 350254365 /nfs/dbraw/zinc/25/43/65/350254365.db2.gz XOUOALQQOSFJRF-CYBMUJFWSA-N 0 0 280.299 2.898 20 5 CFBDRN Cc1c(C(=O)N[C@@]2(C)CC2(C)C)cccc1[N+](=O)[O-] ZINC000598384918 350254507 /nfs/dbraw/zinc/25/45/07/350254507.db2.gz FMSJIHCCBMUQGK-AWEZNQCLSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc(C(=O)N[C@]2(C)CC2(C)C)cc([N+](=O)[O-])c1 ZINC000598386563 350255152 /nfs/dbraw/zinc/25/51/52/350255152.db2.gz QMZRXVHJCIUQJB-CQSZACIVSA-N 0 0 262.309 2.822 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000271340125 191083837 /nfs/dbraw/zinc/08/38/37/191083837.db2.gz FXKKURDRULDQFF-BXKDBHETSA-N 0 0 278.308 2.777 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)C[C@H]1CC1(C)C ZINC000598549801 350281474 /nfs/dbraw/zinc/28/14/74/350281474.db2.gz DQBNWTPTYDFPGJ-SNVBAGLBSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1ccc(C(=O)N(C)C[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000598564515 350282467 /nfs/dbraw/zinc/28/24/67/350282467.db2.gz JFTTXPWOUDJUDW-LLVKDONJSA-N 0 0 292.335 2.722 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000598556111 350282926 /nfs/dbraw/zinc/28/29/26/350282926.db2.gz DWCXSLSGEJIWPY-GFCCVEGCSA-N 0 0 276.336 2.642 20 5 CFBDRN COc1cc(C(=O)NC2CC(C)(C)C2)c([N+](=O)[O-])cc1F ZINC000598503887 350277554 /nfs/dbraw/zinc/27/75/54/350277554.db2.gz AXSFVHZZSOVLEY-UHFFFAOYSA-N 0 0 296.298 2.661 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H]2C[C@H]2CC(C)C)c1[N+](=O)[O-] ZINC000598630438 350297559 /nfs/dbraw/zinc/29/75/59/350297559.db2.gz WHIDLVRDMNUZSL-MWLCHTKSSA-N 0 0 293.323 2.623 20 5 CFBDRN Cc1ccnc([C@@H](C)Nc2sccc2[N+](=O)[O-])n1 ZINC000598639726 350298826 /nfs/dbraw/zinc/29/88/26/350298826.db2.gz IFKRCJBUSIRECY-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN CC1(c2ccccc2)CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000598638125 350298924 /nfs/dbraw/zinc/29/89/24/350298924.db2.gz NJPGSGIQPKAJOW-UHFFFAOYSA-N 0 0 287.294 2.907 20 5 CFBDRN CC1(C)CC[C@@H](CO)N(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000598645978 350300709 /nfs/dbraw/zinc/30/07/09/350300709.db2.gz BDEBCQSURZXCKV-ZDUSSCGKSA-N 0 0 296.342 2.717 20 5 CFBDRN O=[N+]([O-])c1cnc(SC[C@@H]2CC[C@H]3C[C@H]3C2)nc1 ZINC000598646975 350301227 /nfs/dbraw/zinc/30/12/27/350301227.db2.gz IVPWPBVXEMTPEI-KXUCPTDWSA-N 0 0 265.338 2.913 20 5 CFBDRN CC1CC(CNC(=O)N(C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000598659899 350305604 /nfs/dbraw/zinc/30/56/04/350305604.db2.gz YBZBNROYOIZUSM-UHFFFAOYSA-N 0 0 291.351 2.782 20 5 CFBDRN COC(=O)c1ccnc(N[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000598587341 350286276 /nfs/dbraw/zinc/28/62/76/350286276.db2.gz QLFBBQKRGXQRGA-UWVGGRQHSA-N 0 0 293.323 2.767 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000598856644 350341331 /nfs/dbraw/zinc/34/13/31/350341331.db2.gz ZFZPTTAEMLVZHB-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN CC[C@@](C)(NC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000598869360 350342516 /nfs/dbraw/zinc/34/25/16/350342516.db2.gz FOPBKVUABPVEJK-CQSZACIVSA-N 0 0 262.309 2.903 20 5 CFBDRN CCCc1nccnc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000598877638 350343826 /nfs/dbraw/zinc/34/38/26/350343826.db2.gz HUVCVQUYKCJEQH-UHFFFAOYSA-N 0 0 292.320 2.651 20 5 CFBDRN Cc1cnc(NC[C@H]2CCc3cccnc32)c([N+](=O)[O-])c1 ZINC000598944888 350347736 /nfs/dbraw/zinc/34/77/36/350347736.db2.gz CBIIGWYAIIWGKX-GFCCVEGCSA-N 0 0 284.319 2.835 20 5 CFBDRN CCC(F)(F)CNCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000598996724 350356856 /nfs/dbraw/zinc/35/68/56/350356856.db2.gz MAUDMSMJCOWCRD-UHFFFAOYSA-N 0 0 274.267 2.738 20 5 CFBDRN C[C@H](NC(=O)NCC1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000599023467 350360417 /nfs/dbraw/zinc/36/04/17/350360417.db2.gz YTINIMNHDHGHKI-NSHDSACASA-N 0 0 289.335 2.921 20 5 CFBDRN CCc1nc(CSCc2ccc([N+](=O)[O-])cc2)no1 ZINC000105634333 186067508 /nfs/dbraw/zinc/06/75/08/186067508.db2.gz UXBRWYYUTWDTBO-UHFFFAOYSA-N 0 0 279.321 2.974 20 5 CFBDRN COC[C@H](C)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599028239 350361042 /nfs/dbraw/zinc/36/10/42/350361042.db2.gz RYRYTNNZAYRLIO-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN C[C@H](CCO)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599050747 350365727 /nfs/dbraw/zinc/36/57/27/350365727.db2.gz ARDWCFCNFPYONP-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCC[C@H]2O)ccc2cnccc21 ZINC000599051567 350366352 /nfs/dbraw/zinc/36/63/52/350366352.db2.gz IDYRKGXARGOCDT-SMDDNHRTSA-N 0 0 287.319 2.716 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC(CC2CC2)C1 ZINC000598781352 350329261 /nfs/dbraw/zinc/32/92/61/350329261.db2.gz MVGYXYMLWQIGCU-UHFFFAOYSA-N 0 0 278.283 2.606 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000598800938 350330446 /nfs/dbraw/zinc/33/04/46/350330446.db2.gz IBAQYSYZPAWFHE-MYJAWHEDSA-N 0 0 276.292 2.588 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CCCF ZINC000598805729 350331052 /nfs/dbraw/zinc/33/10/52/350331052.db2.gz LVXHHUDVRGSRGU-VIFPVBQESA-N 0 0 266.272 2.622 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599067083 350369336 /nfs/dbraw/zinc/36/93/36/350369336.db2.gz XTOQSSHQAHURLZ-VHSXEESVSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1cc(N2CCC[C@H]2c2cn[nH]c2)ccc1[N+](=O)[O-] ZINC000599108104 350376206 /nfs/dbraw/zinc/37/62/06/350376206.db2.gz RHYFKQBFVTZEBW-AWEZNQCLSA-N 0 0 272.308 2.968 20 5 CFBDRN Cc1nc(N2CC[C@H](c3ccncc3)C2)ccc1[N+](=O)[O-] ZINC000599104975 350376608 /nfs/dbraw/zinc/37/66/08/350376608.db2.gz XHSJTYQXXZUSOT-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN O=[N+]([O-])c1sccc1NCC[C@H]1CCCOC1 ZINC000599116491 350378020 /nfs/dbraw/zinc/37/80/20/350378020.db2.gz JESGAAWSJJFCIS-SECBINFHSA-N 0 0 256.327 2.885 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])nc2)C[C@H](C)C1(F)F ZINC000599117979 350378406 /nfs/dbraw/zinc/37/84/06/350378406.db2.gz LPCUMIMKYGDHMJ-DTORHVGOSA-N 0 0 271.267 2.717 20 5 CFBDRN CCC[C@H](CNc1ccc([N+](=O)[O-])c(N)c1F)OCC ZINC000599118963 350379100 /nfs/dbraw/zinc/37/91/00/350379100.db2.gz NHCFRLAXCBUEPQ-SECBINFHSA-N 0 0 285.319 2.933 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])s2)C[C@H](C)C1(F)F ZINC000599119880 350379333 /nfs/dbraw/zinc/37/93/33/350379333.db2.gz WIONPBNANIDLEE-KNVOCYPGSA-N 0 0 277.296 2.779 20 5 CFBDRN Cc1cccc2c1C[C@@H](Nc1ccc([N+](=O)[O-])nc1)CO2 ZINC000599123366 350379969 /nfs/dbraw/zinc/37/99/69/350379969.db2.gz MPFOCFYPABQNED-GFCCVEGCSA-N 0 0 285.303 2.714 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2CC=CC2)c1 ZINC000599126362 350381019 /nfs/dbraw/zinc/38/10/19/350381019.db2.gz FPZONVGKTDUHCM-UHFFFAOYSA-N 0 0 276.292 2.760 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC2(C1)CCCO2 ZINC000599144159 350384082 /nfs/dbraw/zinc/38/40/82/350384082.db2.gz ITBBWYDILHAFQR-UHFFFAOYSA-N 0 0 268.700 2.617 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000599163025 350386926 /nfs/dbraw/zinc/38/69/26/350386926.db2.gz DAXLHYUAIHHYMX-BZNPZCIMSA-N 0 0 296.352 2.528 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000599165750 350388216 /nfs/dbraw/zinc/38/82/16/350388216.db2.gz SQJMPKSIAPTVCQ-UVMAFCGOSA-N 0 0 293.279 2.765 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000599173292 350389345 /nfs/dbraw/zinc/38/93/45/350389345.db2.gz YMDRRENUIFTMHH-GNXNZQSNSA-N 0 0 278.356 2.968 20 5 CFBDRN CCOc1cc(N[C@H]2CO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179340 350390512 /nfs/dbraw/zinc/39/05/12/350390512.db2.gz DQXVEAMIEDTXLS-OCCSQVGLSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(NCC2COC2)c(Cl)c1 ZINC000599179630 350390516 /nfs/dbraw/zinc/39/05/16/350390516.db2.gz ZXKAPFHCTKEEEC-UHFFFAOYSA-N 0 0 277.107 2.960 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000599180338 350390601 /nfs/dbraw/zinc/39/06/01/350390601.db2.gz KSWPDNSRKYMWGI-DGCLKSJQSA-N 0 0 262.309 2.883 20 5 CFBDRN COc1cc(N[C@H]2CO[C@@H](C3CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000599181948 350391508 /nfs/dbraw/zinc/39/15/08/350391508.db2.gz VKIRFWASKZWZPR-NOZJJQNGSA-N 0 0 296.298 2.722 20 5 CFBDRN Cc1ccc(N[C@@H]2CO[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000599181990 350391646 /nfs/dbraw/zinc/39/16/46/350391646.db2.gz VWPSLAJZSLURML-SMDDNHRTSA-N 0 0 262.309 2.883 20 5 CFBDRN C[C@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000599189763 350392696 /nfs/dbraw/zinc/39/26/96/350392696.db2.gz WFJYVKKRCDYVLD-LLVKDONJSA-N 0 0 288.303 2.998 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000599189640 350392773 /nfs/dbraw/zinc/39/27/73/350392773.db2.gz JZEXWRYFGSVGHT-XWEPSHTISA-N 0 0 256.689 2.838 20 5 CFBDRN CCC(O)(CC)CN(C)c1cc(OC)ccc1[N+](=O)[O-] ZINC000599190977 350393020 /nfs/dbraw/zinc/39/30/20/350393020.db2.gz KHKZGTSOUJNEGM-UHFFFAOYSA-N 0 0 282.340 2.591 20 5 CFBDRN Cc1ccnc(N2CC[C@H](c3ccc(O)cc3)C2)c1[N+](=O)[O-] ZINC000599193441 350393282 /nfs/dbraw/zinc/39/32/82/350393282.db2.gz ZJJKDPYPPIUQPD-ZDUSSCGKSA-N 0 0 299.330 2.998 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](c3ccc(O)cc3)C2)cn1 ZINC000599192987 350393315 /nfs/dbraw/zinc/39/33/15/350393315.db2.gz ULZFRNSVKJVBBC-GFCCVEGCSA-N 0 0 285.303 2.689 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3coc(C4CC4)n3)no2)c1 ZINC000599192972 350393409 /nfs/dbraw/zinc/39/34/09/350393409.db2.gz STJXBHPZDRPHLV-UHFFFAOYSA-N 0 0 287.235 2.505 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(-c2nc(C3C(C)(C)C3(C)C)no2)n1 ZINC000599196828 350394407 /nfs/dbraw/zinc/39/44/07/350394407.db2.gz ZFGJWCJWLNYRFM-UHFFFAOYSA-N 0 0 291.311 2.528 20 5 CFBDRN CCc1[nH]nc(-c2nc(C3(C)CCCC3)no2)c1[N+](=O)[O-] ZINC000599198886 350394958 /nfs/dbraw/zinc/39/49/58/350394958.db2.gz XEYLKTKIGWFCFP-UHFFFAOYSA-N 0 0 291.311 2.762 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000599205445 350395963 /nfs/dbraw/zinc/39/59/63/350395963.db2.gz GDBVRIYBONUBOU-PHIMTYICSA-N 0 0 287.319 2.980 20 5 CFBDRN COc1ccsc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000105942831 186093753 /nfs/dbraw/zinc/09/37/53/186093753.db2.gz ZRSXRTZBQJUESB-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])N1Cc2ccccc2C1 ZINC000105981606 186095880 /nfs/dbraw/zinc/09/58/80/186095880.db2.gz KXGPDTHGSSEJGU-UHFFFAOYSA-N 0 0 298.298 2.516 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])N1Cc2ccccc2C1 ZINC000106026893 186098762 /nfs/dbraw/zinc/09/87/62/186098762.db2.gz CJSHBGGNLWKUSE-UHFFFAOYSA-N 0 0 297.314 2.549 20 5 CFBDRN C[C@@H](O)CN(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000291015518 197889578 /nfs/dbraw/zinc/88/95/78/197889578.db2.gz BTOJOTWDMZXTNI-SSDOTTSWSA-N 0 0 293.150 2.714 20 5 CFBDRN CC(C)[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000092401632 180377331 /nfs/dbraw/zinc/37/73/31/180377331.db2.gz MPZULEWXBWLCOC-LLVKDONJSA-N 0 0 258.705 2.677 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)C1CCCCC1 ZINC000107291626 186167212 /nfs/dbraw/zinc/16/72/12/186167212.db2.gz SUFQIBPSDHKOMW-VIFPVBQESA-N 0 0 252.318 2.709 20 5 CFBDRN Cc1cc(C(=O)Nc2ccncc2C)cc([N+](=O)[O-])c1 ZINC000107290194 186167505 /nfs/dbraw/zinc/16/75/05/186167505.db2.gz GWDWMASYGXKPKE-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1nc(CN(C)Cc2ccccc2[N+](=O)[O-])[nH]c1C ZINC000600113531 350512738 /nfs/dbraw/zinc/51/27/38/350512738.db2.gz ZYEQJWDWAGAUKM-UHFFFAOYSA-N 0 0 274.324 2.567 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000271377573 191106087 /nfs/dbraw/zinc/10/60/87/191106087.db2.gz JOXBOJUTCWIYMZ-JQWIXIFHSA-N 0 0 293.323 2.592 20 5 CFBDRN CCOCCN(CC)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000107062140 186157394 /nfs/dbraw/zinc/15/73/94/186157394.db2.gz QZMAAEKHRRSJKO-UHFFFAOYSA-N 0 0 280.324 2.660 20 5 CFBDRN CN(C[C@H]1CCCOC1)c1cccc(F)c1[N+](=O)[O-] ZINC000107066094 186159293 /nfs/dbraw/zinc/15/92/93/186159293.db2.gz RKXYVULRHFYSOZ-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN O=C(Cn1ncc2ccccc21)Nc1ccc([N+](=O)[O-])cc1 ZINC000107092156 186159785 /nfs/dbraw/zinc/15/97/85/186159785.db2.gz JNJLUFUJVZYXGG-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN O=C(NC[C@@H]1CC[C@H]2C[C@H]2C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000600168567 350528147 /nfs/dbraw/zinc/52/81/47/350528147.db2.gz KBESYQYEHSFXEW-OUAUKWLOSA-N 0 0 292.310 2.900 20 5 CFBDRN CCC(C)(C)OC1CN(Cc2cccc([N+](=O)[O-])c2)C1 ZINC000600188438 350534924 /nfs/dbraw/zinc/53/49/24/350534924.db2.gz PREHOHFSPRNNOX-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@H](C)C1(F)F ZINC000600189290 350535983 /nfs/dbraw/zinc/53/59/83/350535983.db2.gz OVDACUMPZAMDDR-AOOOYVTPSA-N 0 0 298.289 2.958 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC(Cc3ccco3)C2)cs1 ZINC000600197108 350537702 /nfs/dbraw/zinc/53/77/02/350537702.db2.gz GTTGTGJPMXZPQJ-UHFFFAOYSA-N 0 0 278.333 2.924 20 5 CFBDRN CN(C[C@H]1CCCOC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000107675003 186184497 /nfs/dbraw/zinc/18/44/97/186184497.db2.gz QLBBRFNCDGBULK-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN O=[N+]([O-])c1cn(CCCCF)nc1-c1ccc(F)cn1 ZINC000600546253 350568543 /nfs/dbraw/zinc/56/85/43/350568543.db2.gz XSQWYQDQAUBNQD-UHFFFAOYSA-N 0 0 282.250 2.742 20 5 CFBDRN CO[C@H](Cn1cc([N+](=O)[O-])c(C)n1)c1ccc(F)cc1 ZINC000600547443 350568687 /nfs/dbraw/zinc/56/86/87/350568687.db2.gz RDJANBSBFUNNGD-CYBMUJFWSA-N 0 0 279.271 2.627 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSC[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000600580203 350575360 /nfs/dbraw/zinc/57/53/60/350575360.db2.gz QVYFEPIDMFPWOX-JGPRNRPPSA-N 0 0 267.354 2.571 20 5 CFBDRN CCC(NC(=O)c1ccc([N+](=O)[O-])o1)(C1CC1)C1CC1 ZINC000600246990 350545058 /nfs/dbraw/zinc/54/50/58/350545058.db2.gz UXLZXFJYIFDPDZ-UHFFFAOYSA-N 0 0 278.308 2.886 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCC(F)(F)CC1 ZINC000600253722 350545232 /nfs/dbraw/zinc/54/52/32/350545232.db2.gz GPGZRXZOAQJBHE-UHFFFAOYSA-N 0 0 298.289 2.785 20 5 CFBDRN CCC(F)(F)CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000600379782 350552284 /nfs/dbraw/zinc/55/22/84/350552284.db2.gz PPXUYRUHUYAFSN-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN C/C=C/CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000600488745 350558423 /nfs/dbraw/zinc/55/84/23/350558423.db2.gz DAKMXBAUSDDZRB-SNAWJCMRSA-N 0 0 262.309 2.565 20 5 CFBDRN C/C=C\CNC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000600495669 350559637 /nfs/dbraw/zinc/55/96/37/350559637.db2.gz WPEISOKKDKNQER-TYRPZCRBSA-N 0 0 298.726 2.708 20 5 CFBDRN Cc1nc(COc2ccc([N+](=O)[O-])cc2F)c(C)o1 ZINC000600521313 350562947 /nfs/dbraw/zinc/56/29/47/350562947.db2.gz VCIVAZNEGIRBNY-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN COC(=O)[C@H](Sc1ccc([N+](=O)[O-])cn1)C(C)(C)C ZINC000600535405 350567166 /nfs/dbraw/zinc/56/71/66/350567166.db2.gz GWMPUMAGGYSWRH-JTQLQIEISA-N 0 0 284.337 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCCC2)c2ccncc21 ZINC000108644418 186225323 /nfs/dbraw/zinc/22/53/23/186225323.db2.gz JBBMPNZGRFTOPG-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN C[C@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H]1C ZINC000278376265 290906272 /nfs/dbraw/zinc/90/62/72/290906272.db2.gz DTZGKXODMCVDAY-DTORHVGOSA-N 0 0 260.297 2.563 20 5 CFBDRN C[C@H]1C[C@@H]1CSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000600649866 350592790 /nfs/dbraw/zinc/59/27/90/350592790.db2.gz ZZQVZEHNOIFGKV-GZMMTYOYSA-N 0 0 280.349 2.583 20 5 CFBDRN CO[C@@H](Cn1cc(C)c([N+](=O)[O-])n1)c1ccc(F)cc1 ZINC000600673100 350594214 /nfs/dbraw/zinc/59/42/14/350594214.db2.gz CMABNUIEKIIBEE-LBPRGKRZSA-N 0 0 279.271 2.627 20 5 CFBDRN C[C@H]1CC(C(=O)[O-])C[C@H](C)[NH+]1Cc1ccccc1[N+](=O)[O-] ZINC000600676660 350595499 /nfs/dbraw/zinc/59/54/99/350595499.db2.gz ZFVIIIRIFYMJBU-QWRGUYRKSA-N 0 0 292.335 2.668 20 5 CFBDRN C[C@@H](CO)CNc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000108934367 186236439 /nfs/dbraw/zinc/23/64/39/186236439.db2.gz VNHUAXLIJBWSCE-SSDOTTSWSA-N 0 0 278.230 2.654 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000600884671 350622840 /nfs/dbraw/zinc/62/28/40/350622840.db2.gz YOKLOHMJFMGFJX-JFGNBEQYSA-N 0 0 278.308 2.655 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1(C(F)F)CCC1 ZINC000600883671 350622934 /nfs/dbraw/zinc/62/29/34/350622934.db2.gz OFRFHYYHWMAVCY-UHFFFAOYSA-N 0 0 270.235 2.969 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CCC(CC)CC2)c1[N+](=O)[O-] ZINC000109619830 186268045 /nfs/dbraw/zinc/26/80/45/186268045.db2.gz WUMVTVOOURSOCW-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN CCCCNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000109738292 186271030 /nfs/dbraw/zinc/27/10/30/186271030.db2.gz XSBDFTOVCLXFNJ-UHFFFAOYSA-N 0 0 256.689 2.778 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1nnc2ccccn21 ZINC000109317420 186247421 /nfs/dbraw/zinc/24/74/21/186247421.db2.gz AAKKVMMMMXGGLR-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN COc1cc(NC[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000271399005 191115782 /nfs/dbraw/zinc/11/57/82/191115782.db2.gz RHMSFJBONSKLCK-ZJUUUORDSA-N 0 0 298.314 2.970 20 5 CFBDRN CCCc1cc(NC(=O)c2cccc(C)c2[N+](=O)[O-])n[nH]1 ZINC000109912041 186278605 /nfs/dbraw/zinc/27/86/05/186278605.db2.gz BDDCLNFBZWNNBG-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cn(CCCCF)nn2)cc1 ZINC000600970985 350639625 /nfs/dbraw/zinc/63/96/25/350639625.db2.gz SEMWFJUREKOLJP-UHFFFAOYSA-N 0 0 294.286 2.515 20 5 CFBDRN CC(C)N(C)C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110193008 186287646 /nfs/dbraw/zinc/28/76/46/186287646.db2.gz KDQPQJWTZILHFW-UHFFFAOYSA-N 0 0 256.689 2.729 20 5 CFBDRN CCCN(C)C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110257245 186288456 /nfs/dbraw/zinc/28/84/56/186288456.db2.gz CKQOMCHXMLJYOW-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601021155 350646673 /nfs/dbraw/zinc/64/66/73/350646673.db2.gz SHNKXKDUFJGWCS-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601039010 350649338 /nfs/dbraw/zinc/64/93/38/350649338.db2.gz CFPQTPGOPHFDAA-PSASIEDQSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601039013 350649548 /nfs/dbraw/zinc/64/95/48/350649548.db2.gz CFPQTPGOPHFDAA-WPRPVWTQSA-N 0 0 266.272 2.652 20 5 CFBDRN O=C1CCCCN1c1cccnc1-c1ccc([N+](=O)[O-])nc1 ZINC000600947254 350635181 /nfs/dbraw/zinc/63/51/81/350635181.db2.gz WXGRELFLMKWZPU-UHFFFAOYSA-N 0 0 298.302 2.569 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2CCO[C@@H]2C)c([N+](=O)[O-])c1 ZINC000601079663 350660965 /nfs/dbraw/zinc/66/09/65/350660965.db2.gz ARROZQHHSULVAI-ZYHUDNBSSA-N 0 0 279.292 2.601 20 5 CFBDRN COc1cc(COc2ccc([N+](=O)[O-])cc2C)sn1 ZINC000601079702 350661020 /nfs/dbraw/zinc/66/10/20/350661020.db2.gz CETAYTRVRHUZBF-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CCOc1cc(OC[C@H]2CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000601080575 350661554 /nfs/dbraw/zinc/66/15/54/350661554.db2.gz FEDRMDWSLQHKMF-GHMZBOCLSA-N 0 0 281.308 2.797 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2CC(C)(C)CO2)c([N+](=O)[O-])c1 ZINC000601080062 350661635 /nfs/dbraw/zinc/66/16/35/350661635.db2.gz HNFYEHOARUURHW-GFCCVEGCSA-N 0 0 293.319 2.991 20 5 CFBDRN CCCCC(=O)COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000601079848 350661691 /nfs/dbraw/zinc/66/16/91/350661691.db2.gz DNEPUAMNFKANGE-UHFFFAOYSA-N 0 0 279.292 2.936 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1c(F)cccc1[N+](=O)[O-] ZINC000601082150 350662407 /nfs/dbraw/zinc/66/24/07/350662407.db2.gz YFPZUZWTRIQCKI-BDAKNGLRSA-N 0 0 255.245 2.538 20 5 CFBDRN CCN(C#N)CCSc1ccc([N+](=O)[O-])cc1F ZINC000601081847 350662487 /nfs/dbraw/zinc/66/24/87/350662487.db2.gz VIDQDHMBGSTUTO-UHFFFAOYSA-N 0 0 269.301 2.629 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2CCc3ncnn32)c(F)c1 ZINC000601081448 350662529 /nfs/dbraw/zinc/66/25/29/350662529.db2.gz NWFASGRVXJUCPG-SECBINFHSA-N 0 0 294.311 2.605 20 5 CFBDRN CCCCC(=O)Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000601083074 350663125 /nfs/dbraw/zinc/66/31/25/350663125.db2.gz ZOSGPZSAEFZGNU-UHFFFAOYSA-N 0 0 288.303 2.669 20 5 CFBDRN CCOC(=O)[C@@H](CC)Sc1ncc(F)cc1[N+](=O)[O-] ZINC000601124544 350675196 /nfs/dbraw/zinc/67/51/96/350675196.db2.gz RBRQLJNVHOPLSZ-SECBINFHSA-N 0 0 288.300 2.563 20 5 CFBDRN Cc1c(OC(=O)c2ccc(F)nc2)cccc1[N+](=O)[O-] ZINC000074506433 649850270 /nfs/dbraw/zinc/85/02/70/649850270.db2.gz RXPHWCUJBMRURS-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@H](C)O[C@@H]2C)n1 ZINC000601223711 350702086 /nfs/dbraw/zinc/70/20/86/350702086.db2.gz LZJFXLFOJAPNIW-HBNTYKKESA-N 0 0 265.313 2.584 20 5 CFBDRN O=C(CSCC1CC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000291150272 197934071 /nfs/dbraw/zinc/93/40/71/197934071.db2.gz AQRLDEUDHFSKFO-UHFFFAOYSA-N 0 0 284.312 2.816 20 5 CFBDRN Cc1ccnc(NCc2cccc3c2CCOC3)c1[N+](=O)[O-] ZINC000601239199 350707059 /nfs/dbraw/zinc/70/70/59/350707059.db2.gz GZUOSIFEDIQLBZ-UHFFFAOYSA-N 0 0 299.330 2.983 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCC1([C@H]2CCCCO2)CCC1 ZINC000601241582 350707834 /nfs/dbraw/zinc/70/78/34/350707834.db2.gz ALSXLSIVLOHFLQ-CQSZACIVSA-N 0 0 291.351 2.563 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CCC2)[C@@H]2CCCO2)nc1 ZINC000601250567 350709753 /nfs/dbraw/zinc/70/97/53/350709753.db2.gz LJNBRGYKLFAZDZ-GXTWGEPZSA-N 0 0 277.324 2.749 20 5 CFBDRN O=C(NCCC1CC1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601255560 350710209 /nfs/dbraw/zinc/71/02/09/350710209.db2.gz CJTWSBGHTJRZIL-UHFFFAOYSA-N 0 0 288.347 2.933 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCCn3nccc32)n1 ZINC000601269605 350713306 /nfs/dbraw/zinc/71/33/06/350713306.db2.gz IXQGUWWLARBBKJ-NSHDSACASA-N 0 0 287.323 2.750 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@@]1(C)C1CCCCC1 ZINC000601270145 350713852 /nfs/dbraw/zinc/71/38/52/350713852.db2.gz SCROGPMNOZMXQQ-AWEZNQCLSA-N 0 0 278.356 2.877 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)[C@H]1CC1(F)F ZINC000295157143 199377407 /nfs/dbraw/zinc/37/74/07/199377407.db2.gz QDGAFPKMQGKUHE-MRVPVSSYSA-N 0 0 290.653 2.520 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CCOCC4(CC4)C3)c2c1 ZINC000601141964 350680650 /nfs/dbraw/zinc/68/06/50/350680650.db2.gz UDGUSUYQWGEIMF-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000601156720 350684642 /nfs/dbraw/zinc/68/46/42/350684642.db2.gz XTBVKILHZDMNBY-KVSKUHBBSA-N 0 0 290.245 2.558 20 5 CFBDRN CC(C)[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000271400056 191117383 /nfs/dbraw/zinc/11/73/83/191117383.db2.gz INDBDSWLNSUATO-PWSUYJOCSA-N 0 0 265.313 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N[C@@H]3CC34CCOCC4)c2c1 ZINC000601171101 350688008 /nfs/dbraw/zinc/68/80/08/350688008.db2.gz IDDUNHUXPITWJM-OAHLLOKOSA-N 0 0 299.330 2.546 20 5 CFBDRN CCOC1(C)CN(c2ccnc3ccc([N+](=O)[O-])cc32)C1 ZINC000601170922 350688014 /nfs/dbraw/zinc/68/80/14/350688014.db2.gz WFZFRCCJBPGOIZ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN COCC[C@@H](C)CNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601177195 350690250 /nfs/dbraw/zinc/69/02/50/350690250.db2.gz WWPRHUKQWPCIGD-LLVKDONJSA-N 0 0 289.335 2.649 20 5 CFBDRN Cc1cc(N2CCC(c3ncco3)CC2)ncc1[N+](=O)[O-] ZINC000601183299 350691003 /nfs/dbraw/zinc/69/10/03/350691003.db2.gz DKUPHLQGRIBNJT-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(c2ncco2)CC1 ZINC000601185891 350691574 /nfs/dbraw/zinc/69/15/74/350691574.db2.gz WYGNOAOEYLBCBK-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN Cc1cnc(NC[C@@H]2C[C@H]2c2cccnc2)c([N+](=O)[O-])c1 ZINC000601191444 350693065 /nfs/dbraw/zinc/69/30/65/350693065.db2.gz JCDUXMFCJUDSGH-STQMWFEESA-N 0 0 284.319 2.909 20 5 CFBDRN CC(C)(CNc1ccc(F)cc1[N+](=O)[O-])n1ccnc1 ZINC000601197961 350694104 /nfs/dbraw/zinc/69/41/04/350694104.db2.gz ITZJGRBZYZWQLR-UHFFFAOYSA-N 0 0 278.287 2.778 20 5 CFBDRN Cc1ccc(NCC(C)(C)n2ccnc2)c([N+](=O)[O-])c1 ZINC000601198016 350694186 /nfs/dbraw/zinc/69/41/86/350694186.db2.gz LWHZPGIZJPBZLZ-UHFFFAOYSA-N 0 0 274.324 2.947 20 5 CFBDRN C[C@]1(CNc2ncc(F)cc2[N+](=O)[O-])CC1(F)F ZINC000601195917 350694219 /nfs/dbraw/zinc/69/42/19/350694219.db2.gz VZHXCILNLPFFHB-SECBINFHSA-N 0 0 261.203 2.586 20 5 CFBDRN CN(CC1=CCCOC1)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601196064 350694257 /nfs/dbraw/zinc/69/42/57/350694257.db2.gz XWYBZWPVQLYNEL-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cc1F)n1cccn1 ZINC000601197797 350694378 /nfs/dbraw/zinc/69/43/78/350694378.db2.gz GNCKVYJHDCNVHP-UHFFFAOYSA-N 0 0 278.287 2.778 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@H](CCF)C1 ZINC000601195658 350694458 /nfs/dbraw/zinc/69/44/58/350694458.db2.gz RVBVMVHPFQXPGQ-SECBINFHSA-N 0 0 271.267 2.705 20 5 CFBDRN CSCCCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601199215 350694732 /nfs/dbraw/zinc/69/47/32/350694732.db2.gz OHEGKISWZBWBJT-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC[C@H]1CC1(F)F ZINC000601198658 350694852 /nfs/dbraw/zinc/69/48/52/350694852.db2.gz DKGDFLLKEVNWLY-LURJTMIESA-N 0 0 261.203 2.586 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1ccsc1[N+](=O)[O-] ZINC000601202623 350696351 /nfs/dbraw/zinc/69/63/51/350696351.db2.gz DHGDBKOIHLZHIU-IBCQBUCCSA-N 0 0 256.327 2.880 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000601211231 350698193 /nfs/dbraw/zinc/69/81/93/350698193.db2.gz XGCJRCIQNLBKAY-SNVBAGLBSA-N 0 0 264.329 2.635 20 5 CFBDRN CCC[C@@H](CCO)Nc1c(F)cccc1[N+](=O)[O-] ZINC000601212173 350698737 /nfs/dbraw/zinc/69/87/37/350698737.db2.gz IHQJROLEIFMGEQ-VIFPVBQESA-N 0 0 256.277 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H](CO)C2)c(C(F)(F)F)c1 ZINC000601212291 350698920 /nfs/dbraw/zinc/69/89/20/350698920.db2.gz XXSLSDRVXYKJDF-OCAPTIKFSA-N 0 0 290.241 2.796 20 5 CFBDRN CCC[C@@H](CCO)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000601213026 350699806 /nfs/dbraw/zinc/69/98/06/350699806.db2.gz WYKODRBPHCAKCQ-NSHDSACASA-N 0 0 252.314 2.866 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1SCCCO ZINC000601217730 350700239 /nfs/dbraw/zinc/70/02/39/350700239.db2.gz YGLTURQIQGSCHU-UHFFFAOYSA-N 0 0 264.306 2.618 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](c3cccnc3)C2)s1 ZINC000601217027 350700256 /nfs/dbraw/zinc/70/02/56/350700256.db2.gz VDGFFMRBZIXSAV-NSHDSACASA-N 0 0 290.348 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(SCCCO)c2c1 ZINC000601217753 350700317 /nfs/dbraw/zinc/70/03/17/350700317.db2.gz ZTBMDVLEQVXLEE-UHFFFAOYSA-N 0 0 264.306 2.618 20 5 CFBDRN CCOC(=O)CCSc1sccc1[N+](=O)[O-] ZINC000601221564 350701576 /nfs/dbraw/zinc/70/15/76/350701576.db2.gz OVRKPTSTYDYHDD-UHFFFAOYSA-N 0 0 261.324 2.702 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCC[C@@H](O)C(F)F ZINC000601223038 350701685 /nfs/dbraw/zinc/70/16/85/350701685.db2.gz VUBIBJZMLVEVRH-MRVPVSSYSA-N 0 0 280.658 2.676 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCC[C@H](O)C(F)F ZINC000601223039 350701715 /nfs/dbraw/zinc/70/17/15/350701715.db2.gz VUBIBJZMLVEVRH-QMMMGPOBSA-N 0 0 280.658 2.676 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000601605449 350746522 /nfs/dbraw/zinc/74/65/22/350746522.db2.gz QULXOYFXLAEUTL-JTQLQIEISA-N 0 0 284.262 2.637 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CC[C@H]1C(F)F ZINC000601605302 350746552 /nfs/dbraw/zinc/74/65/52/350746552.db2.gz YDXGXHOAGMGYPV-TUAOUCFPSA-N 0 0 296.273 2.564 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC[C@@H]1C(F)F ZINC000601607824 350747507 /nfs/dbraw/zinc/74/75/07/350747507.db2.gz XHNIJSZSNAZUGC-SNVBAGLBSA-N 0 0 298.289 3.000 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601634459 350751556 /nfs/dbraw/zinc/75/15/56/350751556.db2.gz ZUGDFKZHSACAAA-BXUZGUMPSA-N 0 0 280.299 2.898 20 5 CFBDRN CC[C@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000601669570 350756113 /nfs/dbraw/zinc/75/61/13/350756113.db2.gz BYWGTELUKGILJJ-JTQLQIEISA-N 0 0 266.272 2.652 20 5 CFBDRN CC1(C)CC(NC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601672171 350757205 /nfs/dbraw/zinc/75/72/05/350757205.db2.gz DCLBVSURCOFGHR-UHFFFAOYSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCCC23CC3)cccc1[N+](=O)[O-] ZINC000601703904 350765000 /nfs/dbraw/zinc/76/50/00/350765000.db2.gz GIOOIWOGGQRLJQ-LBPRGKRZSA-N 0 0 290.319 2.666 20 5 CFBDRN CSC1CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CC1 ZINC000601691900 350761017 /nfs/dbraw/zinc/76/10/17/350761017.db2.gz JBRIVCAHYMALDV-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN CSC1CCN(C(=O)c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000601694537 350762705 /nfs/dbraw/zinc/76/27/05/350762705.db2.gz MKIPUIOSMMHBMY-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN CCOC(C)(C)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000601353330 350725742 /nfs/dbraw/zinc/72/57/42/350725742.db2.gz IDCGJRXIMVCIBH-UHFFFAOYSA-N 0 0 291.307 2.840 20 5 CFBDRN CSC1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000601697203 350763337 /nfs/dbraw/zinc/76/33/37/350763337.db2.gz YKGYSQSEWXRBCH-UHFFFAOYSA-N 0 0 286.378 2.624 20 5 CFBDRN O=C(NCCC1=CCCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601366272 350726950 /nfs/dbraw/zinc/72/69/50/350726950.db2.gz DVZGDSXSBMVWSK-UHFFFAOYSA-N 0 0 278.283 2.964 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)[C@H](C)O1 ZINC000601373829 350727703 /nfs/dbraw/zinc/72/77/03/350727703.db2.gz ZMUUYTTWZBOZCD-GJMOJQLCSA-N 0 0 295.320 2.987 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C[C@H]1C ZINC000601397873 350729537 /nfs/dbraw/zinc/72/95/37/350729537.db2.gz VVQFATBDNTVOLS-NXEZZACHSA-N 0 0 280.299 2.852 20 5 CFBDRN CC[C@@H](C)Cc1noc(-c2cc([N+](=O)[O-])cnc2C)n1 ZINC000601396433 350729645 /nfs/dbraw/zinc/72/96/45/350729645.db2.gz YJMDUNZKPCCYPE-MRVPVSSYSA-N 0 0 276.296 2.937 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCCC23CC3)cc1[N+](=O)[O-] ZINC000601699609 350764145 /nfs/dbraw/zinc/76/41/45/350764145.db2.gz ALRBTAWQMOCQJF-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601581674 350742454 /nfs/dbraw/zinc/74/24/54/350742454.db2.gz GGMJKSUDLFVQIG-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc(COC3CCC3)no2)c1 ZINC000601965430 350821040 /nfs/dbraw/zinc/82/10/40/350821040.db2.gz SBKQBZQUUPRXCE-UHFFFAOYSA-N 0 0 293.254 2.853 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])[C@H](C)O1 ZINC000601762196 350772709 /nfs/dbraw/zinc/77/27/09/350772709.db2.gz RRISUVVUDWRSFD-VHSKPIJISA-N 0 0 298.726 2.544 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCCC2)c1ccc([N+](=O)[O-])s1 ZINC000601772573 350773973 /nfs/dbraw/zinc/77/39/73/350773973.db2.gz HZIZYHWKAQNCSC-PRHODGIISA-N 0 0 266.322 2.719 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000601856643 350793128 /nfs/dbraw/zinc/79/31/28/350793128.db2.gz WTQUNZRSJJWSRC-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN Cc1c(CC(=O)N2CCC(C)(F)CC2)cccc1[N+](=O)[O-] ZINC000601869024 350794573 /nfs/dbraw/zinc/79/45/73/350794573.db2.gz NBMBOQAVDJDEKZ-UHFFFAOYSA-N 0 0 294.326 2.796 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C)(F)CC1 ZINC000601867507 350794729 /nfs/dbraw/zinc/79/47/29/350794729.db2.gz HGDYZZXTNFAHFO-UHFFFAOYSA-N 0 0 280.299 2.867 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000601869699 350795529 /nfs/dbraw/zinc/79/55/29/350795529.db2.gz JUAXOTZBYUUSMP-SNVBAGLBSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1cccs1 ZINC000601911606 350800713 /nfs/dbraw/zinc/80/07/13/350800713.db2.gz KUYXBTBQNWUPHF-UHFFFAOYSA-N 0 0 269.351 2.954 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1cc(F)ccc1C ZINC000601918379 350801866 /nfs/dbraw/zinc/80/18/66/350801866.db2.gz SQCOJVNKFLQLDG-UHFFFAOYSA-N 0 0 279.271 2.626 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCCc1ccnn1C ZINC000601926526 350803637 /nfs/dbraw/zinc/80/36/37/350803637.db2.gz XUQSTVKEOLFLRS-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN CCCCc1cc(=O)n(-c2cccc([N+](=O)[O-])c2)[nH]1 ZINC000601932965 350805765 /nfs/dbraw/zinc/80/57/65/350805765.db2.gz WCHGJQIHWBUNIV-UHFFFAOYSA-N 0 0 261.281 2.878 20 5 CFBDRN CCC1=NN(c2cccc([N+](=O)[O-])c2)C(=O)[C@H]1C(C)C ZINC000601932484 350806295 /nfs/dbraw/zinc/80/62/95/350806295.db2.gz QODICPGAWPNTLD-ZDUSSCGKSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1N1N=C2CC[C@H](C)[C@H]2C1=O ZINC000601938022 350809586 /nfs/dbraw/zinc/80/95/86/350809586.db2.gz FPMGSCYYIBXJGK-ISVAXAHUSA-N 0 0 273.292 2.652 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1N=C2CCC[C@H]2CC1=O ZINC000601941427 350810983 /nfs/dbraw/zinc/81/09/83/350810983.db2.gz BBHYBEYLOFXZCR-JTQLQIEISA-N 0 0 273.292 2.796 20 5 CFBDRN CCCCc1cc(=O)n(-c2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000601940857 350811128 /nfs/dbraw/zinc/81/11/28/350811128.db2.gz SLUQYFLNEZFZJW-UHFFFAOYSA-N 0 0 261.281 2.878 20 5 CFBDRN O=C([O-])CCCC[NH2+]Cc1ccc([N+](=O)[O-])cc1Cl ZINC000602062430 350836762 /nfs/dbraw/zinc/83/67/62/350836762.db2.gz BPMUCFKRKKQYGH-UHFFFAOYSA-N 0 0 286.715 2.593 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1OC2CCC1CC2 ZINC000602217910 350853265 /nfs/dbraw/zinc/85/32/65/350853265.db2.gz UGDUMRJQJLDUFZ-UHFFFAOYSA-N 0 0 276.292 2.602 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1C1CCOCC1 ZINC000602231751 350854757 /nfs/dbraw/zinc/85/47/57/350854757.db2.gz QJEBCEJUWBKPOC-UONOGXRCSA-N 0 0 290.319 2.596 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)[C@@H](C)C1CC1 ZINC000602242438 350855960 /nfs/dbraw/zinc/85/59/60/350855960.db2.gz CWNFTFWLRCIAGS-JTQLQIEISA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000602303944 350861950 /nfs/dbraw/zinc/86/19/50/350861950.db2.gz YNBWSSLVLHLVKE-PUHVVEEASA-N 0 0 292.335 2.901 20 5 CFBDRN Cc1cc([C@@H](C)NCc2cn(C)nc2[N+](=O)[O-])ccc1F ZINC000602569101 350928690 /nfs/dbraw/zinc/92/86/90/350928690.db2.gz QNBKZFATARIYGO-SNVBAGLBSA-N 0 0 292.314 2.627 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602378961 350871502 /nfs/dbraw/zinc/87/15/02/350871502.db2.gz HOVAEWQMVSKQNV-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ccncc12)C12CC(C1)C2 ZINC000602383463 350872193 /nfs/dbraw/zinc/87/21/93/350872193.db2.gz PEVQKSKTBZXJKQ-UHFFFAOYSA-N 0 0 283.287 2.882 20 5 CFBDRN CC1(C)Cc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000602402672 350874963 /nfs/dbraw/zinc/87/49/63/350874963.db2.gz TWSHAJKPJQFWLN-UHFFFAOYSA-N 0 0 297.314 2.971 20 5 CFBDRN CN(Cc1cn(C)nc1[N+](=O)[O-])Cc1ccc(Cl)cc1 ZINC000602433194 350880025 /nfs/dbraw/zinc/88/00/25/350880025.db2.gz LFVIOPKRBOBHLX-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CC[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@@H](C)O1 ZINC000111422095 186416320 /nfs/dbraw/zinc/41/63/20/186416320.db2.gz VARTYNBQZLGJLF-ZWNOBZJWSA-N 0 0 293.323 2.626 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000112828158 186535323 /nfs/dbraw/zinc/53/53/23/186535323.db2.gz BITFCJHTJWBNLW-KOLCDFICSA-N 0 0 277.324 2.555 20 5 CFBDRN Cc1ccc(C)c(N(C)Cc2cn(C)nc2[N+](=O)[O-])c1 ZINC000602767910 351018134 /nfs/dbraw/zinc/01/81/34/351018134.db2.gz LIKXVTFCONVWOG-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN CCC[C@H]1CCCC[C@@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602812832 351034882 /nfs/dbraw/zinc/03/48/82/351034882.db2.gz RRZSIHFQFLIBQH-AAEUAGOBSA-N 0 0 280.372 2.777 20 5 CFBDRN CC(C)[C@H]1CCCC[C@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602811245 351035128 /nfs/dbraw/zinc/03/51/28/351035128.db2.gz PCMZEZKUFLPWJM-CHWSQXEVSA-N 0 0 280.372 2.633 20 5 CFBDRN CC[N@H+](CCn1c(C)ncc1[N+](=O)[O-])Cc1occc1C ZINC000602843877 351042866 /nfs/dbraw/zinc/04/28/66/351042866.db2.gz KICYBWOZBVZVTB-UHFFFAOYSA-N 0 0 292.339 2.523 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC[NH+]1CCC(C2CCC2)CC1 ZINC000602881439 351056393 /nfs/dbraw/zinc/05/63/93/351056393.db2.gz FHDVIJVNPMZNHP-UHFFFAOYSA-N 0 0 292.383 2.612 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2c2cn[nH]c2)c(F)c1 ZINC000602883898 351057329 /nfs/dbraw/zinc/05/73/29/351057329.db2.gz YSKWHFQJXJOSAY-CQSZACIVSA-N 0 0 290.298 2.794 20 5 CFBDRN CC(C)(C)c1cn(Cc2csc([N+](=O)[O-])c2)nn1 ZINC000603206381 351118197 /nfs/dbraw/zinc/11/81/97/351118197.db2.gz SBZGMDMQWQHOOQ-UHFFFAOYSA-N 0 0 266.326 2.594 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCC1CSC1 ZINC000602948126 351071009 /nfs/dbraw/zinc/07/10/09/351071009.db2.gz AOFZYLNADAXQCW-UHFFFAOYSA-N 0 0 295.364 2.696 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602953336 351071907 /nfs/dbraw/zinc/07/19/07/351071907.db2.gz ACNOKLFBHZHCSQ-JTQLQIEISA-N 0 0 294.355 2.666 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602953337 351071932 /nfs/dbraw/zinc/07/19/32/351071932.db2.gz ACNOKLFBHZHCSQ-SNVBAGLBSA-N 0 0 294.355 2.666 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)N1[C@H](C)CCC1(C)C ZINC000602959760 351072381 /nfs/dbraw/zinc/07/23/81/351072381.db2.gz FVTWMQCGCHEWCA-SNVBAGLBSA-N 0 0 292.339 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3OC4CCC3CC4)nc2c1 ZINC000603031930 351092594 /nfs/dbraw/zinc/09/25/94/351092594.db2.gz PMAYFVPCEJAPEU-UHFFFAOYSA-N 0 0 288.307 2.530 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(C[C@@H]2CCC[C@@H](C)C2)c1=O ZINC000603358437 351146760 /nfs/dbraw/zinc/14/67/60/351146760.db2.gz JIBYSPKZVQJPRS-GHMZBOCLSA-N 0 0 280.324 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(C2CCOCC2)no1 ZINC000113752393 186595187 /nfs/dbraw/zinc/59/51/87/186595187.db2.gz FVJXLFBUDLJCKJ-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1cn(C2CCC2)nn1 ZINC000603405304 351157813 /nfs/dbraw/zinc/15/78/13/351157813.db2.gz QQRMHWUWMSUTCY-UHFFFAOYSA-N 0 0 279.325 2.585 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)N[C@@H](C)C(F)F ZINC000603431410 351163003 /nfs/dbraw/zinc/16/30/03/351163003.db2.gz WTXXHXKDDDMEHX-YUMQZZPRSA-N 0 0 274.267 2.908 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)OCC(C)C)c([N+](=O)[O-])c1 ZINC000603950927 351193735 /nfs/dbraw/zinc/19/37/35/351193735.db2.gz RLMUHZRXVMLTEX-SNVBAGLBSA-N 0 0 296.323 2.603 20 5 CFBDRN C[C@@H](N(C)C(=O)c1ccc([N+](=O)[O-])o1)C(C)(C)C ZINC000603555149 351173538 /nfs/dbraw/zinc/17/35/38/351173538.db2.gz LDZBPYVPSJMRNY-MRVPVSSYSA-N 0 0 254.286 2.694 20 5 CFBDRN CC(C)SCC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000603568965 351174191 /nfs/dbraw/zinc/17/41/91/351174191.db2.gz HDXCUERNXPLRFW-UHFFFAOYSA-N 0 0 282.365 2.695 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCC(C)(F)F ZINC000603738720 351179652 /nfs/dbraw/zinc/17/96/52/351179652.db2.gz OUDZLMIJYSJZBG-UHFFFAOYSA-N 0 0 286.278 2.989 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000603755831 351180692 /nfs/dbraw/zinc/18/06/92/351180692.db2.gz DIDXMSDMIXONNR-UHFFFAOYSA-N 0 0 276.336 2.784 20 5 CFBDRN CC(F)(F)CCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000603811588 351182439 /nfs/dbraw/zinc/18/24/39/351182439.db2.gz KQEBALMUJRZIJS-UHFFFAOYSA-N 0 0 272.251 2.646 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCCn1cnc2ccccc21 ZINC000603840327 351184869 /nfs/dbraw/zinc/18/48/69/351184869.db2.gz GMGAXCSFAVSMNP-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN COCCc1ccc(Oc2cccnc2[N+](=O)[O-])cc1 ZINC000603837933 351184908 /nfs/dbraw/zinc/18/49/08/351184908.db2.gz WGXWOZURAQXMCW-UHFFFAOYSA-N 0 0 274.276 2.971 20 5 CFBDRN CN(Cc1nc2ccccc2[nH]1)c1cccnc1[N+](=O)[O-] ZINC000603846321 351185487 /nfs/dbraw/zinc/18/54/87/351185487.db2.gz OPOUKYVUEDENFM-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN Cc1cccc(OCCN(C)c2cccnc2[N+](=O)[O-])c1 ZINC000603844309 351185667 /nfs/dbraw/zinc/18/56/67/351185667.db2.gz NKDBYQXEBLMDFI-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN C[C@H](CNc1cccnc1[N+](=O)[O-])N(C)c1ccccc1 ZINC000603857674 351187076 /nfs/dbraw/zinc/18/70/76/351187076.db2.gz NGLAAMUEOYPDSD-GFCCVEGCSA-N 0 0 286.335 2.927 20 5 CFBDRN Cc1ccccc1SCc1cn(C)nc1[N+](=O)[O-] ZINC000603313615 351137612 /nfs/dbraw/zinc/13/76/12/351137612.db2.gz KOPBVGHEEJHIMF-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN Cn1cc(CSCCC(F)(F)F)c([N+](=O)[O-])n1 ZINC000603331104 351141040 /nfs/dbraw/zinc/14/10/40/351141040.db2.gz RISZMTRPABTRPP-UHFFFAOYSA-N 0 0 269.248 2.514 20 5 CFBDRN O=C(CCC1CC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000115012228 186654392 /nfs/dbraw/zinc/65/43/92/186654392.db2.gz FIUUUKITNMFYMC-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CCC[C@H](C)NC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000604081781 351199395 /nfs/dbraw/zinc/19/93/95/351199395.db2.gz OHQBWKQEZDAVHD-JTQLQIEISA-N 0 0 295.339 2.591 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCOc2ccccc2C1 ZINC000604187360 351200461 /nfs/dbraw/zinc/20/04/61/351200461.db2.gz TWRJIYYSSZRHDE-UHFFFAOYSA-N 0 0 298.298 2.630 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000604264574 351203966 /nfs/dbraw/zinc/20/39/66/351203966.db2.gz XOOJPAMOZOPBTE-SECBINFHSA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1occc1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000604307345 351205253 /nfs/dbraw/zinc/20/52/53/351205253.db2.gz MTMXSNZLTVRFJU-UHFFFAOYSA-N 0 0 275.264 2.818 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)C2CC2)cc([N+](=O)[O-])c1 ZINC000604449129 351213255 /nfs/dbraw/zinc/21/32/55/351213255.db2.gz NDQQGDZOZHNXOQ-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000604562709 351219960 /nfs/dbraw/zinc/21/99/60/351219960.db2.gz BUAWRLSVGRPCMY-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000291277062 197975289 /nfs/dbraw/zinc/97/52/89/197975289.db2.gz HTUXRFHUXSIWBZ-NSHDSACASA-N 0 0 295.339 2.792 20 5 CFBDRN COC(=O)CCCCCCNc1nccc(C)c1[N+](=O)[O-] ZINC000115515876 186674836 /nfs/dbraw/zinc/67/48/36/186674836.db2.gz SWPXRGFSSKGALP-UHFFFAOYSA-N 0 0 295.339 2.834 20 5 CFBDRN CC(C)CCNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000117563337 186752558 /nfs/dbraw/zinc/75/25/58/186752558.db2.gz XIXURAQYICXEPR-UHFFFAOYSA-N 0 0 284.743 2.953 20 5 CFBDRN CO[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)CC(C)C ZINC000417004884 233645347 /nfs/dbraw/zinc/64/53/47/233645347.db2.gz XNWDQCNPZHFXTK-ZDUSSCGKSA-N 0 0 295.339 2.777 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000118623973 186778333 /nfs/dbraw/zinc/77/83/33/186778333.db2.gz IOMAWRYVXDJXEY-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CCOC1CC(C(=O)Nc2cc([N+](=O)[O-])ccc2CC)C1 ZINC000291378793 198005033 /nfs/dbraw/zinc/00/50/33/198005033.db2.gz DZMLZWSBCYPKEM-UHFFFAOYSA-N 0 0 292.335 2.911 20 5 CFBDRN Cc1noc(C)c1CCNc1ccc([N+](=O)[O-])s1 ZINC000609333733 351495655 /nfs/dbraw/zinc/49/56/55/351495655.db2.gz HROLXCJKWHCHME-UHFFFAOYSA-N 0 0 267.310 2.916 20 5 CFBDRN CC(C)CCN(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000609441145 351501955 /nfs/dbraw/zinc/50/19/55/351501955.db2.gz MQYVKOYSUPWSTD-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN C[C@H](c1ccccc1)[C@@H](CO)Nc1ncccc1[N+](=O)[O-] ZINC000609496688 351504258 /nfs/dbraw/zinc/50/42/58/351504258.db2.gz OKEXADFVBKYOLK-DGCLKSJQSA-N 0 0 287.319 2.566 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])s1 ZINC000609560549 351506991 /nfs/dbraw/zinc/50/69/91/351506991.db2.gz JRVPFSQNDNJXPR-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN C[C@@H](CNc1ccsc1[N+](=O)[O-])Cn1cccn1 ZINC000609560484 351507130 /nfs/dbraw/zinc/50/71/30/351507130.db2.gz LVXTZOHNTTZWSC-VIFPVBQESA-N 0 0 266.326 2.601 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ccsc1[N+](=O)[O-] ZINC000609571284 351508817 /nfs/dbraw/zinc/50/88/17/351508817.db2.gz PBVNKCKXWLYLJM-GZMMTYOYSA-N 0 0 258.343 2.865 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])s1 ZINC000609571310 351509088 /nfs/dbraw/zinc/50/90/88/351509088.db2.gz XODLNBITTPZVCU-LDYMZIIASA-N 0 0 258.343 2.865 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1OCCc2ccccc21 ZINC000609586581 351511159 /nfs/dbraw/zinc/51/11/59/351511159.db2.gz VQDGVJNVRUESNI-CQSZACIVSA-N 0 0 285.303 2.716 20 5 CFBDRN Cc1cccc2c1CCCN2C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000609624916 351511884 /nfs/dbraw/zinc/51/18/84/351511884.db2.gz DQUAHXCUQVHVAI-UHFFFAOYSA-N 0 0 285.303 2.824 20 5 CFBDRN CCOC[C@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000609613628 351512045 /nfs/dbraw/zinc/51/20/45/351512045.db2.gz RXXQZBCIZLXBOA-JTQLQIEISA-N 0 0 270.354 2.909 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C1(C(F)(F)F)CC1 ZINC000608786123 351470474 /nfs/dbraw/zinc/47/04/74/351470474.db2.gz GLZLJIQJCOSVHI-UHFFFAOYSA-N 0 0 288.225 2.554 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000609613629 351512392 /nfs/dbraw/zinc/51/23/92/351512392.db2.gz RXXQZBCIZLXBOA-SNVBAGLBSA-N 0 0 270.354 2.909 20 5 CFBDRN CC(C)c1cc(CNC(=O)c2csc([N+](=O)[O-])c2)on1 ZINC000609621154 351512437 /nfs/dbraw/zinc/51/24/37/351512437.db2.gz GFVYKVFDDPFBAO-UHFFFAOYSA-N 0 0 295.320 2.698 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@](C)(CC)OC ZINC000291392095 198008490 /nfs/dbraw/zinc/00/84/90/198008490.db2.gz GOOUKBYTVRGVBD-AWEZNQCLSA-N 0 0 280.324 2.911 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])cn2C)s1 ZINC000609063815 351483909 /nfs/dbraw/zinc/48/39/09/351483909.db2.gz WFMDRAQCCOMHMD-UHFFFAOYSA-N 0 0 293.348 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](c3ccco3)C2)cn1 ZINC000609802174 351520989 /nfs/dbraw/zinc/52/09/89/351520989.db2.gz CQWUBFXVDLMVGW-JTQLQIEISA-N 0 0 259.265 2.577 20 5 CFBDRN O=C(Nc1c(O)cccc1F)c1cccc([N+](=O)[O-])c1 ZINC000609851202 351523231 /nfs/dbraw/zinc/52/32/31/351523231.db2.gz TYKCJVLIPMJSLW-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H](CCO)c1ccccc1 ZINC000610131532 351534811 /nfs/dbraw/zinc/53/48/11/351534811.db2.gz GUQQUZDOYWGXLW-ZDUSSCGKSA-N 0 0 287.319 2.568 20 5 CFBDRN C[C@H]1CCN1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000610104862 351534818 /nfs/dbraw/zinc/53/48/18/351534818.db2.gz ZMRHLGPSYDAARI-LBPRGKRZSA-N 0 0 291.351 2.721 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2C)nc1 ZINC000610244503 351543798 /nfs/dbraw/zinc/54/37/98/351543798.db2.gz PNJYJJWQKQCYJZ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@]1(c2ccccc2)C[C@H]1Nc1ccncc1[N+](=O)[O-] ZINC000610280227 351548005 /nfs/dbraw/zinc/54/80/05/351548005.db2.gz JFWYLCUQWUBLET-HUUCEWRRSA-N 0 0 269.304 2.554 20 5 CFBDRN C[C@H](CCc1cccn1C)Nc1cccnc1[N+](=O)[O-] ZINC000610280983 351548348 /nfs/dbraw/zinc/54/83/48/351548348.db2.gz QDCPPTIHJHICMV-LLVKDONJSA-N 0 0 274.324 2.762 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2)nc(C2CC2)n1 ZINC000609703886 351516159 /nfs/dbraw/zinc/51/61/59/351516159.db2.gz KQELPWKGPWXKPL-UHFFFAOYSA-N 0 0 284.319 2.605 20 5 CFBDRN O=[N+]([O-])c1ncccc1Sc1nnc(C2CC2)s1 ZINC000610431003 351565608 /nfs/dbraw/zinc/56/56/08/351565608.db2.gz SOWYMHIFPSIMSM-UHFFFAOYSA-N 0 0 280.334 2.870 20 5 CFBDRN C[C@@H](c1cccc(O)c1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000610431843 351565930 /nfs/dbraw/zinc/56/59/30/351565930.db2.gz CFNYBEQYTTZANR-JTQLQIEISA-N 0 0 273.292 2.893 20 5 CFBDRN CCOc1cccc(NC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000610434600 351567262 /nfs/dbraw/zinc/56/72/62/351567262.db2.gz AOVTYYWETQIFTM-UHFFFAOYSA-N 0 0 293.304 2.702 20 5 CFBDRN CCC[C@H]1[C@@H](C)CCCN1c1c([N+](=O)[O-])ncn1C ZINC000610518965 351576706 /nfs/dbraw/zinc/57/67/06/351576706.db2.gz FPWUIMFUANWQMM-QWRGUYRKSA-N 0 0 266.345 2.733 20 5 CFBDRN C[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000610526332 351579283 /nfs/dbraw/zinc/57/92/83/351579283.db2.gz KOSGJFFNPSKKCH-NSHDSACASA-N 0 0 285.303 2.523 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@H](C)[C@@H](C)C2)c1 ZINC000610587311 351583664 /nfs/dbraw/zinc/58/36/64/351583664.db2.gz DZGWWJVBWCXJKU-UWVGGRQHSA-N 0 0 250.298 2.696 20 5 CFBDRN CCC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000119858318 186857417 /nfs/dbraw/zinc/85/74/17/186857417.db2.gz ZCRGZGZKIRHUFH-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN C[C@H](Nc1ccncc1[N+](=O)[O-])[C@@H]1Oc2ccccc2[C@H]1C ZINC000610647758 351587338 /nfs/dbraw/zinc/58/73/38/351587338.db2.gz LOZBJURUKIEQBF-OHUAYANFSA-N 0 0 299.330 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H](C(F)(F)F)C2)c1 ZINC000610677595 351591393 /nfs/dbraw/zinc/59/13/93/351591393.db2.gz ZPHZSJNIBSNADH-LLVKDONJSA-N 0 0 290.241 2.671 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@@H]2CCCCO2)c1 ZINC000610677281 351591601 /nfs/dbraw/zinc/59/16/01/351591601.db2.gz ASRSOQDOZJRKPA-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@@H]1n1ccnc1 ZINC000610726420 351598891 /nfs/dbraw/zinc/59/88/91/351598891.db2.gz IDVBLBPSLLFDAI-PWSUYJOCSA-N 0 0 292.364 2.940 20 5 CFBDRN Cc1cnc(N[C@@H](C)C[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000610734682 351599443 /nfs/dbraw/zinc/59/94/43/351599443.db2.gz FQCCLDHXAJNISO-WDEREUQCSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000610734949 351600310 /nfs/dbraw/zinc/60/03/10/351600310.db2.gz WEGRKWRGNVMQSQ-RKDXNWHRSA-N 0 0 296.352 2.531 20 5 CFBDRN Cc1cc(N[C@@H](C)C[C@@H]2CCOC2)ncc1[N+](=O)[O-] ZINC000610734891 351600551 /nfs/dbraw/zinc/60/05/51/351600551.db2.gz SLXLHRDRSRDFDX-QWRGUYRKSA-N 0 0 265.313 2.525 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC(F)(F)C1 ZINC000610786097 351607941 /nfs/dbraw/zinc/60/79/41/351607941.db2.gz WROFUFXVNRRFRD-MRVPVSSYSA-N 0 0 299.277 2.554 20 5 CFBDRN O=C(N[C@@H]1CCC(F)(F)C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000610790431 351608753 /nfs/dbraw/zinc/60/87/53/351608753.db2.gz JFFDWBLXFNEFKR-SECBINFHSA-N 0 0 288.225 2.652 20 5 CFBDRN CSc1ccc(Cn2cc([N+](=O)[O-])cc(C)c2=O)cc1 ZINC000610797160 351609723 /nfs/dbraw/zinc/60/97/23/351609723.db2.gz YIBTZSWBRQLTJK-UHFFFAOYSA-N 0 0 290.344 2.835 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC(C2CCCC2)CC1 ZINC000610300328 351553145 /nfs/dbraw/zinc/55/31/45/351553145.db2.gz ZQDFBLUNRSZUAE-UHFFFAOYSA-N 0 0 291.351 2.965 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1CCCC(F)(F)C1 ZINC000610856234 351614335 /nfs/dbraw/zinc/61/43/35/351614335.db2.gz FNPWUHGHLBWMMX-MRVPVSSYSA-N 0 0 257.240 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]([C@H]3CCOC3)C2)s1 ZINC000611001995 351632730 /nfs/dbraw/zinc/63/27/30/351632730.db2.gz VMNARJZJHFNSAQ-ZJUUUORDSA-N 0 0 268.338 2.519 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]([C@H]3CCOC3)C2)s1 ZINC000611001993 351632735 /nfs/dbraw/zinc/63/27/35/351632735.db2.gz VMNARJZJHFNSAQ-UWVGGRQHSA-N 0 0 268.338 2.519 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000611080524 351638409 /nfs/dbraw/zinc/63/84/09/351638409.db2.gz UMNVRUGYCIJZPD-UHFFFAOYSA-N 0 0 274.276 2.986 20 5 CFBDRN CC(C)C1CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000611078195 351638552 /nfs/dbraw/zinc/63/85/52/351638552.db2.gz HVCVEDHRTJLDDL-UHFFFAOYSA-N 0 0 294.376 2.801 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccc(C)o1 ZINC000611091033 351639895 /nfs/dbraw/zinc/63/98/95/351639895.db2.gz LDPDQZJWMADYKG-UHFFFAOYSA-N 0 0 290.275 2.686 20 5 CFBDRN CCOc1ccc(CN2CCc3c2cccc3[N+](=O)[O-])nc1 ZINC000611139963 351644514 /nfs/dbraw/zinc/64/45/14/351644514.db2.gz UYVOSUVJXPVUAP-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN CCC[C@H](C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000611147368 351645251 /nfs/dbraw/zinc/64/52/51/351645251.db2.gz YNBJQBFTZOFBAC-NSHDSACASA-N 0 0 279.340 2.625 20 5 CFBDRN CCc1c(C(=O)N2CCc3c2cccc3[N+](=O)[O-])ccn1C ZINC000291486611 198034818 /nfs/dbraw/zinc/03/48/18/198034818.db2.gz LXKLFUPMSVYFNF-UHFFFAOYSA-N 0 0 299.330 2.699 20 5 CFBDRN Cc1cc(C(=O)N2CC3(C2)CC(F)(F)C3)cc([N+](=O)[O-])c1 ZINC000611214640 351652629 /nfs/dbraw/zinc/65/26/29/351652629.db2.gz NRNKEVCBDKYMCA-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN CC[N@@H+]1C[C@@H](C)N(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1C ZINC000611221653 351652669 /nfs/dbraw/zinc/65/26/69/351652669.db2.gz JHPBMGQDRIYHEM-NXEZZACHSA-N 0 0 298.774 2.562 20 5 CFBDRN COc1cc(N2CC[C@](C)(F)C2)c([N+](=O)[O-])cc1F ZINC000611228567 351653660 /nfs/dbraw/zinc/65/36/60/351653660.db2.gz UYSXHRURUYOUSZ-LBPRGKRZSA-N 0 0 272.251 2.681 20 5 CFBDRN CC(C)(C)OCCCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000611240252 351655341 /nfs/dbraw/zinc/65/53/41/351655341.db2.gz SUXNBYUXONLDNE-UHFFFAOYSA-N 0 0 288.731 2.615 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCS1 ZINC000291498727 198037468 /nfs/dbraw/zinc/03/74/68/198037468.db2.gz UQOCCOVAUPIEHV-LBPRGKRZSA-N 0 0 280.349 2.991 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCO[C@@H]2CCC[C@@H]21 ZINC000611262778 351659816 /nfs/dbraw/zinc/65/98/16/351659816.db2.gz JJQQAJIBJSZXMM-ANIZGFEYSA-N 0 0 288.347 2.861 20 5 CFBDRN CN(CCOC(C)(C)C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000610858506 351615434 /nfs/dbraw/zinc/61/54/34/351615434.db2.gz GLPZEOYREWQUNV-UHFFFAOYSA-N 0 0 295.339 2.874 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(C(F)F)C2)c2ccncc21 ZINC000610889192 351619006 /nfs/dbraw/zinc/61/90/06/351619006.db2.gz IAQBJJCOYVBQIP-UHFFFAOYSA-N 0 0 279.246 2.844 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1ccc([N+](=O)[O-])s1 ZINC000610908731 351620487 /nfs/dbraw/zinc/62/04/87/351620487.db2.gz ZRCSEGGGHORQSF-UHFFFAOYSA-N 0 0 252.299 2.750 20 5 CFBDRN CC(C)CCN(C)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000610918131 351621727 /nfs/dbraw/zinc/62/17/27/351621727.db2.gz QQYQKJKQJSJHDB-UHFFFAOYSA-N 0 0 282.344 2.559 20 5 CFBDRN CC(C)(C)C[C@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000610946616 351623562 /nfs/dbraw/zinc/62/35/62/351623562.db2.gz QRKGMDNXVWRBLO-MRVPVSSYSA-N 0 0 258.343 2.865 20 5 CFBDRN Cc1ccc(CN(C)Cc2cccc([N+](=O)[O-])c2)nc1 ZINC000610967893 351625797 /nfs/dbraw/zinc/62/57/97/351625797.db2.gz VKPNNDCMHIESRQ-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1ncc(C)cc1[N+](=O)[O-] ZINC000611373603 351677279 /nfs/dbraw/zinc/67/72/79/351677279.db2.gz JPIRXXNATTXXPC-CMPLNLGQSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000611385342 351677793 /nfs/dbraw/zinc/67/77/93/351677793.db2.gz JMSMIJDTXSYASJ-GHMZBOCLSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H](CO)Cc1ccccc1 ZINC000611411758 351684886 /nfs/dbraw/zinc/68/48/86/351684886.db2.gz ZOMIFDNLPKYITD-GFCCVEGCSA-N 0 0 292.360 2.919 20 5 CFBDRN CN(C)C(=O)[C@@H]1CCC[C@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000611411964 351685140 /nfs/dbraw/zinc/68/51/40/351685140.db2.gz KGXXUCHATDJLLZ-ZJUUUORDSA-N 0 0 297.380 2.715 20 5 CFBDRN COC(=O)[C@@H](CNc1sccc1[N+](=O)[O-])CC(C)C ZINC000611412604 351686056 /nfs/dbraw/zinc/68/60/56/351686056.db2.gz CZDWLWCCEWRARL-SECBINFHSA-N 0 0 286.353 2.904 20 5 CFBDRN COc1cccc(CNc2sccc2[N+](=O)[O-])n1 ZINC000611412206 351686168 /nfs/dbraw/zinc/68/61/68/351686168.db2.gz DDMZZOVHFLAJGY-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H](CO)c1ccccc1 ZINC000611413374 351686694 /nfs/dbraw/zinc/68/66/94/351686694.db2.gz MNMMYJWJLYTWCN-NSHDSACASA-N 0 0 278.333 2.844 20 5 CFBDRN C[C@@H]1CCN(c2sccc2[N+](=O)[O-])C[C@@H]1n1ccnc1 ZINC000611413609 351687056 /nfs/dbraw/zinc/68/70/56/351687056.db2.gz HYYYKBSYLTVOIE-PWSUYJOCSA-N 0 0 292.364 2.940 20 5 CFBDRN CC(C)[C@H](O)C1(CNc2ccsc2[N+](=O)[O-])CC1 ZINC000611415195 351687379 /nfs/dbraw/zinc/68/73/79/351687379.db2.gz VZNQGSCIAVJCKL-JTQLQIEISA-N 0 0 270.354 2.865 20 5 CFBDRN COc1ccc(CNc2ncc(Cl)s2)cc1[N+](=O)[O-] ZINC000611465488 351693374 /nfs/dbraw/zinc/69/33/74/351693374.db2.gz JFKZDYIAEFEYLT-UHFFFAOYSA-N 0 0 299.739 2.747 20 5 CFBDRN Cc1cc(NC(=O)c2ccn(C(F)F)n2)ccc1[N+](=O)[O-] ZINC000611475427 351694594 /nfs/dbraw/zinc/69/45/94/351694594.db2.gz MKCOUJVIBVVBBZ-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN CC1(C)C[C@@H](Nc2cccnc2[N+](=O)[O-])C(C)(C)O1 ZINC000611494465 351697079 /nfs/dbraw/zinc/69/70/79/351697079.db2.gz RFKQOCNSEXLIPC-SNVBAGLBSA-N 0 0 265.313 2.748 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1ccc([N+](=O)[O-])s1 ZINC000611494890 351697623 /nfs/dbraw/zinc/69/76/23/351697623.db2.gz NIIILEVRPJYJFX-NXEZZACHSA-N 0 0 270.354 2.644 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611497626 351698122 /nfs/dbraw/zinc/69/81/22/351698122.db2.gz XBBPLNAVYJHDPF-SKDRFNHKSA-N 0 0 281.287 2.807 20 5 CFBDRN C[C@H]1CCN(CCOc2cccc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611501556 351698222 /nfs/dbraw/zinc/69/82/22/351698222.db2.gz FFOXSTHVHCWNFF-FZMZJTMJSA-N 0 0 282.315 2.654 20 5 CFBDRN CC(C)(C)OCCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000291597741 198046643 /nfs/dbraw/zinc/04/66/43/198046643.db2.gz IFIXPPMLAJNEAC-UHFFFAOYSA-N 0 0 290.319 2.725 20 5 CFBDRN C[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611502642 351700299 /nfs/dbraw/zinc/70/02/99/351700299.db2.gz WPZUJDASBOYADY-WPRPVWTQSA-N 0 0 258.318 2.836 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C[C@@H]1F ZINC000611506193 351701246 /nfs/dbraw/zinc/70/12/46/351701246.db2.gz IDJWKNLPJQFRJC-PELKAZGASA-N 0 0 284.262 2.554 20 5 CFBDRN COc1cc(CN2CC[C@H](C)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000611516989 351702685 /nfs/dbraw/zinc/70/26/85/351702685.db2.gz RFZQIJWLPMZKTE-JQWIXIFHSA-N 0 0 282.315 2.783 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC=CCC1 ZINC000611517179 351702721 /nfs/dbraw/zinc/70/27/21/351702721.db2.gz GPDVFYBWXZQCDL-UHFFFAOYSA-N 0 0 262.309 2.755 20 5 CFBDRN CCc1nn(C)c(N2CCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000611280932 351663318 /nfs/dbraw/zinc/66/33/18/351663318.db2.gz IWRYRDJOGGVYKK-UHFFFAOYSA-N 0 0 266.345 2.517 20 5 CFBDRN Cc1ccncc1[C@@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000611300398 351666124 /nfs/dbraw/zinc/66/61/24/351666124.db2.gz YPXYOQSHFSGKKR-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611306952 351667515 /nfs/dbraw/zinc/66/75/15/351667515.db2.gz GJADPRBCBVJTJK-JQWIXIFHSA-N 0 0 265.313 2.605 20 5 CFBDRN COCC1(C)CCN(c2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000611338958 351670980 /nfs/dbraw/zinc/67/09/80/351670980.db2.gz GBFOHNSPUXLCPM-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000611370439 351675432 /nfs/dbraw/zinc/67/54/32/351675432.db2.gz RJDXKBAMOBVTMR-SECBINFHSA-N 0 0 278.337 2.763 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCCNc1ccccn1 ZINC000611370239 351675597 /nfs/dbraw/zinc/67/55/97/351675597.db2.gz JNUMEMBROVBYHY-UHFFFAOYSA-N 0 0 278.337 2.965 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000611373359 351676101 /nfs/dbraw/zinc/67/61/01/351676101.db2.gz QCXGYWZHCGORPV-DTWKUNHWSA-N 0 0 296.352 2.531 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000291613461 198051217 /nfs/dbraw/zinc/05/12/17/198051217.db2.gz JTRVRADLGLAFIZ-QWRGUYRKSA-N 0 0 291.351 2.785 20 5 CFBDRN COc1ccc(NC(=O)N2CC(C(C)C)C2)cc1[N+](=O)[O-] ZINC000611825701 351744349 /nfs/dbraw/zinc/74/43/49/351744349.db2.gz KEYLDETTXZTBHK-UHFFFAOYSA-N 0 0 293.323 2.723 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@@H](OC(F)F)C1 ZINC000611584027 351712529 /nfs/dbraw/zinc/71/25/29/351712529.db2.gz SBJOFAYDDIPKLM-SSDOTTSWSA-N 0 0 278.280 2.864 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H](OCC(C)C)C2)n1 ZINC000611617238 351715212 /nfs/dbraw/zinc/71/52/12/351715212.db2.gz UJYNHVVBYAKXDZ-CYBMUJFWSA-N 0 0 293.367 2.858 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCCc3c[nH]nc32)n1 ZINC000611617201 351715538 /nfs/dbraw/zinc/71/55/38/351715538.db2.gz OVXOBICNKTXHJZ-NSHDSACASA-N 0 0 287.323 2.819 20 5 CFBDRN CC(=O)NC1CCC(N(C)c2sccc2[N+](=O)[O-])CC1 ZINC000612114212 351777436 /nfs/dbraw/zinc/77/74/36/351777436.db2.gz WHPCMRIDDBVCSQ-UHFFFAOYSA-N 0 0 297.380 2.540 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@@H]1O ZINC000612449307 351822315 /nfs/dbraw/zinc/82/23/15/351822315.db2.gz RSBFQXLBJDFZKH-UWVGGRQHSA-N 0 0 284.381 2.890 20 5 CFBDRN Cc1csc([C@H](C)CNc2cccnc2[N+](=O)[O-])n1 ZINC000612453120 351823028 /nfs/dbraw/zinc/82/30/28/351823028.db2.gz SAVSNEGOVRMGAA-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN COc1ccc(C(=O)N[C@@H](C)CC(C)C)cc1[N+](=O)[O-] ZINC000122062107 187013513 /nfs/dbraw/zinc/01/35/13/187013513.db2.gz ZZLGYXFWYLKYNE-JTQLQIEISA-N 0 0 280.324 2.768 20 5 CFBDRN C[C@H](CO)CNc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000122164036 187018528 /nfs/dbraw/zinc/01/85/28/187018528.db2.gz GLNIXOGNXYDGSV-LURJTMIESA-N 0 0 279.123 2.942 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000612567586 351836355 /nfs/dbraw/zinc/83/63/55/351836355.db2.gz SUCVVMGGGBAQRE-UHFFFAOYSA-N 0 0 273.292 2.600 20 5 CFBDRN CCOc1cc(Oc2cc([N+](=O)[O-])ccc2C)ncn1 ZINC000121665687 186988357 /nfs/dbraw/zinc/98/83/57/186988357.db2.gz YQZRBFKEUAOMRN-UHFFFAOYSA-N 0 0 275.264 2.884 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000123186571 187076267 /nfs/dbraw/zinc/07/62/67/187076267.db2.gz ROQVSDKSSPWQDZ-AWEZNQCLSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)[C@H](C)C1 ZINC000122723988 187047487 /nfs/dbraw/zinc/04/74/87/187047487.db2.gz QNGCLVBZMPXBBP-WZRBSPASSA-N 0 0 295.339 2.549 20 5 CFBDRN Cc1cccc(N(C)C(=O)Cc2cccc([N+](=O)[O-])c2C)n1 ZINC000123651274 187102995 /nfs/dbraw/zinc/10/29/95/187102995.db2.gz PKZFQSQNOLIJPN-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN CC(C)c1nccn1CCOc1cccc([N+](=O)[O-])c1 ZINC000123653440 187103299 /nfs/dbraw/zinc/10/32/99/187103299.db2.gz JQAITEUSNAXSEA-UHFFFAOYSA-N 0 0 275.308 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cn3c(n2)SCC3)s1 ZINC000613432243 351958967 /nfs/dbraw/zinc/95/89/67/351958967.db2.gz WKFXYWJXSUGCGM-UHFFFAOYSA-N 0 0 296.377 2.613 20 5 CFBDRN COc1cccc(N2CC[C@@H](C)[C@@H](F)C2)c1[N+](=O)[O-] ZINC000613890057 352022689 /nfs/dbraw/zinc/02/26/89/352022689.db2.gz ZMUNQOQKVUROBK-ZJUUUORDSA-N 0 0 268.288 2.788 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC(C(C)C)C1 ZINC000614934681 352133361 /nfs/dbraw/zinc/13/33/61/352133361.db2.gz LIQZOYVBOJGGHM-UHFFFAOYSA-N 0 0 293.323 2.723 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@@H]21 ZINC000614466974 352097134 /nfs/dbraw/zinc/09/71/34/352097134.db2.gz VNIAPZNYBKBGEB-OCCSQVGLSA-N 0 0 289.335 2.679 20 5 CFBDRN Cc1cccc(N2CCO[C@@H](C(F)(F)F)C2)c1[N+](=O)[O-] ZINC000614976702 352138522 /nfs/dbraw/zinc/13/85/22/352138522.db2.gz AGWXDVDZALSPHO-SNVBAGLBSA-N 0 0 290.241 2.671 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2[C@@H]3Cc4ccccc4[C@H]23)nc1 ZINC000132409682 187626574 /nfs/dbraw/zinc/62/65/74/187626574.db2.gz DJZHIANLGSJCMV-LZWOXQAQSA-N 0 0 281.315 2.988 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000615949453 352266081 /nfs/dbraw/zinc/26/60/81/352266081.db2.gz TVWKBQRMKRYWBZ-ZJUUUORDSA-N 0 0 288.307 2.517 20 5 CFBDRN COc1cc(C(=O)NC[C@H](C)C2CC2)cc([N+](=O)[O-])c1C ZINC000616266990 352317225 /nfs/dbraw/zinc/31/72/25/352317225.db2.gz JAZCXSXZRARWEJ-VIFPVBQESA-N 0 0 292.335 2.688 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCCC1(O)CCC1 ZINC000292024699 198137593 /nfs/dbraw/zinc/13/75/93/198137593.db2.gz TUIBKZAPFPJNMS-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2C)nc1 ZINC000616111464 352286067 /nfs/dbraw/zinc/28/60/67/352286067.db2.gz HFBYCVZFSWRHBO-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2C)nc1 ZINC000616111487 352286194 /nfs/dbraw/zinc/28/61/94/352286194.db2.gz JIIHGKOAKCAUNV-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1c(CNC(=O)NC[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000616206089 352304289 /nfs/dbraw/zinc/30/42/89/352304289.db2.gz ZEOBBUOCKYXRKV-JTQLQIEISA-N 0 0 291.351 2.749 20 5 CFBDRN CCOc1cc(OCc2nc(CC)no2)ccc1[N+](=O)[O-] ZINC000616231228 352308876 /nfs/dbraw/zinc/30/88/76/352308876.db2.gz YADWEXBYVNMCOE-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN O=C(NCc1ccc(C2CC2)cc1)c1ccc([N+](=O)[O-])cn1 ZINC000616560956 352371036 /nfs/dbraw/zinc/37/10/36/352371036.db2.gz LFDSILLBBIIBMQ-UHFFFAOYSA-N 0 0 297.314 2.797 20 5 CFBDRN CC[C@]1(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCOC1 ZINC000616689986 352414427 /nfs/dbraw/zinc/41/44/27/352414427.db2.gz LITSVRKYFFBTCG-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN CC(C)CCOCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000133567113 187693789 /nfs/dbraw/zinc/69/37/89/187693789.db2.gz CMRFKAYOLLMBFR-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN C[C@H]1CSCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000617003371 352459699 /nfs/dbraw/zinc/45/96/99/352459699.db2.gz XIAIJBMGHISKTH-MRVPVSSYSA-N 0 0 258.368 2.846 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](C)C(F)(F)C2)c1[N+](=O)[O-] ZINC000617214914 352517969 /nfs/dbraw/zinc/51/79/69/352517969.db2.gz XRDFCFMAPJISRR-SECBINFHSA-N 0 0 271.267 2.780 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCCCC1CC1 ZINC000617283563 352528767 /nfs/dbraw/zinc/52/87/67/352528767.db2.gz DULGHYAKHPDLHS-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CCC(CC)CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000134365067 187742049 /nfs/dbraw/zinc/74/20/49/187742049.db2.gz QCVHMMDYMPWQIB-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC(=O)C[C@H]1C ZINC000134368202 187743621 /nfs/dbraw/zinc/74/36/21/187743621.db2.gz XYESPDBYLBVZRH-ZWNOBZJWSA-N 0 0 290.319 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2CCC=C(F)C2)cc1 ZINC000271566620 191216824 /nfs/dbraw/zinc/21/68/24/191216824.db2.gz AUXGEIYEZKSDOS-UHFFFAOYSA-N 0 0 250.273 2.696 20 5 CFBDRN CCC[C@H](O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000134546065 187759329 /nfs/dbraw/zinc/75/93/29/187759329.db2.gz PUYBYQLCZPHQSM-LBPRGKRZSA-N 0 0 275.308 2.716 20 5 CFBDRN CCOc1cccc(NCCSC)c1[N+](=O)[O-] ZINC000134920060 187781726 /nfs/dbraw/zinc/78/17/26/187781726.db2.gz GVIRHYNKTGDAJV-UHFFFAOYSA-N 0 0 256.327 2.768 20 5 CFBDRN C[C@@H](C(=O)NCC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000617698767 352630343 /nfs/dbraw/zinc/63/03/43/352630343.db2.gz FZKXTALJTVWBLS-SNVBAGLBSA-N 0 0 262.309 2.615 20 5 CFBDRN C[C@H](C(=O)N1CC2(CC(F)C2)C1)c1cccc([N+](=O)[O-])c1 ZINC000617753199 352634434 /nfs/dbraw/zinc/63/44/34/352634434.db2.gz FQVPWOXJVMSOOF-JTQLQIEISA-N 0 0 292.310 2.659 20 5 CFBDRN CC(C)N(C(=O)COc1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000135351684 187822786 /nfs/dbraw/zinc/82/27/86/187822786.db2.gz JVWFGHMCSCQBNC-UHFFFAOYSA-N 0 0 298.314 2.758 20 5 CFBDRN CCC(CC)(CC)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000618419947 352774895 /nfs/dbraw/zinc/77/48/95/352774895.db2.gz LBTOXZVVBZOURO-UHFFFAOYSA-N 0 0 293.327 2.846 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)C1CCC1 ZINC000618469217 352780573 /nfs/dbraw/zinc/78/05/73/352780573.db2.gz ZYQCKNATIKYYEE-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H](C)c1ccncc1 ZINC000618465809 352780577 /nfs/dbraw/zinc/78/05/77/352780577.db2.gz JCSRGTGMEYVGAI-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)[C@@H](F)C1 ZINC000618470839 352781539 /nfs/dbraw/zinc/78/15/39/352781539.db2.gz ZIMSLUJWEUICIN-KOLCDFICSA-N 0 0 280.299 2.723 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(-c3nccs3)n2)c1 ZINC000618534686 352804316 /nfs/dbraw/zinc/80/43/16/352804316.db2.gz BJXWZYZVZLCRLB-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN CCc1ocnc1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271639292 191253548 /nfs/dbraw/zinc/25/35/48/191253548.db2.gz CHYVRBLNWGDHTK-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN Cc1ccoc1CNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000292185685 198194079 /nfs/dbraw/zinc/19/40/79/198194079.db2.gz WEDBOZYWRSLUBL-UHFFFAOYSA-N 0 0 288.303 2.664 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1c(F)cccc1[N+](=O)[O-] ZINC000287602075 290971422 /nfs/dbraw/zinc/97/14/22/290971422.db2.gz FRDIXCUIRWLACB-WPRPVWTQSA-N 0 0 254.261 2.571 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCC[N@@H+]1Cc1c(F)cccc1[N+](=O)[O-] ZINC000619179618 352973336 /nfs/dbraw/zinc/97/33/36/352973336.db2.gz ZAGQMCZYZYBLKN-NEPJUHHUSA-N 0 0 296.342 2.859 20 5 CFBDRN CCCN(C)C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149213054 187921013 /nfs/dbraw/zinc/92/10/13/187921013.db2.gz BINHMEMSDQKMHY-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000619158538 352968282 /nfs/dbraw/zinc/96/82/82/352968282.db2.gz WQHBCKJMVWJBEI-SNVBAGLBSA-N 0 0 270.354 2.908 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C)(F)C1 ZINC000619419816 353019727 /nfs/dbraw/zinc/01/97/27/353019727.db2.gz LBQSRJUBJIZBLE-UHFFFAOYSA-N 0 0 298.339 2.891 20 5 CFBDRN CC(C)(NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(F)F ZINC000619422027 353020316 /nfs/dbraw/zinc/02/03/16/353020316.db2.gz SYWGUCRGOZSMCV-UHFFFAOYSA-N 0 0 297.261 2.850 20 5 CFBDRN Cc1cc(C(=O)N2CCC(F)(F)CC2)ccc1[N+](=O)[O-] ZINC000619457544 353026387 /nfs/dbraw/zinc/02/63/87/353026387.db2.gz VUPVUSKMCVBLLY-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN CC1(F)CN(c2ccc(Br)cc2[N+](=O)[O-])C1 ZINC000619500687 353029467 /nfs/dbraw/zinc/02/94/67/353029467.db2.gz YWZFMOWESMLWHB-UHFFFAOYSA-N 0 0 289.104 2.906 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](C)C(F)(F)C1 ZINC000619498177 353029786 /nfs/dbraw/zinc/02/97/86/353029786.db2.gz GLBGFPJUHCLHEX-MRVPVSSYSA-N 0 0 288.298 2.601 20 5 CFBDRN CN(C(=O)CCC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000619516171 353031704 /nfs/dbraw/zinc/03/17/04/353031704.db2.gz ZWLKBEXSVVGNFF-UHFFFAOYSA-N 0 0 258.224 2.603 20 5 CFBDRN CC1=CCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000619550261 353035265 /nfs/dbraw/zinc/03/52/65/353035265.db2.gz XSVDTGDLMHOTQC-UHFFFAOYSA-N 0 0 258.281 2.628 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)c1cccc2cccnc21 ZINC000619867035 353069880 /nfs/dbraw/zinc/06/98/80/353069880.db2.gz HNEKCDXKLNIDMU-UHFFFAOYSA-N 0 0 296.286 2.748 20 5 CFBDRN COc1cnccc1CNc1ccc([N+](=O)[O-])cc1 ZINC000619570163 353037501 /nfs/dbraw/zinc/03/75/01/353037501.db2.gz QLKSSXFQGBKBQI-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2cccc(-c3nnco3)c2)s1 ZINC000619694452 353051778 /nfs/dbraw/zinc/05/17/78/353051778.db2.gz GVKOUMVTQMQVGU-UHFFFAOYSA-N 0 0 290.260 2.894 20 5 CFBDRN CCn1ccc(CN(C)c2ncc([N+](=O)[O-])s2)c1 ZINC000619697840 353052324 /nfs/dbraw/zinc/05/23/24/353052324.db2.gz PMOOVQJOYJWVFV-UHFFFAOYSA-N 0 0 266.326 2.509 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])s2)CCC1 ZINC000619698313 353052962 /nfs/dbraw/zinc/05/29/62/353052962.db2.gz FEQLTTSYHRZXRE-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1C[C@H]2CCC[C@@H]2C1 ZINC000152206361 188002140 /nfs/dbraw/zinc/00/21/40/188002140.db2.gz MPGXXHNMCSTQCY-ZIAGYGMSSA-N 0 0 288.347 2.786 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1C[C@H]2CCC[C@@H]2C1 ZINC000152372082 188013605 /nfs/dbraw/zinc/01/36/05/188013605.db2.gz DGFXBLPVMNLHQF-VAIDBEGPSA-N 0 0 286.331 2.867 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC1(C)CCC1 ZINC000152881736 188050589 /nfs/dbraw/zinc/05/05/89/188050589.db2.gz LVSYALDAVPTGIA-UHFFFAOYSA-N 0 0 279.296 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H](n2cccn2)C1 ZINC000292298592 198235172 /nfs/dbraw/zinc/23/51/72/198235172.db2.gz LDLGATPOPVCLTK-CYBMUJFWSA-N 0 0 272.308 2.551 20 5 CFBDRN CC(C)CONC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000153330123 188075894 /nfs/dbraw/zinc/07/58/94/188075894.db2.gz ZUTQLOOEGYSBSF-UHFFFAOYSA-N 0 0 272.688 2.566 20 5 CFBDRN COC(=O)CCCSc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000153350089 188077379 /nfs/dbraw/zinc/07/73/79/188077379.db2.gz AGUCZDXQMLPUFD-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCOC(C)(C)C2)cc1[N+](=O)[O-] ZINC000153428562 188081418 /nfs/dbraw/zinc/08/14/18/188081418.db2.gz LGTYNBKVHXEBEY-GFCCVEGCSA-N 0 0 292.335 2.591 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)OC ZINC000292305268 198238489 /nfs/dbraw/zinc/23/84/89/198238489.db2.gz MZTGUFRNNKNDBY-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000153658481 188096372 /nfs/dbraw/zinc/09/63/72/188096372.db2.gz ILSHLBJDYYHPNG-NSHDSACASA-N 0 0 295.364 2.920 20 5 CFBDRN Cc1cc(NC(=O)N(C)C2CCC2)ccc1[N+](=O)[O-] ZINC000153691203 188097464 /nfs/dbraw/zinc/09/74/64/188097464.db2.gz ULPGJAUGIKHMBY-UHFFFAOYSA-N 0 0 263.297 2.919 20 5 CFBDRN CCCc1nccn1CCOc1cccc([N+](=O)[O-])c1 ZINC000153532847 188087454 /nfs/dbraw/zinc/08/74/54/188087454.db2.gz PFYANRWAOYTBBE-UHFFFAOYSA-N 0 0 275.308 2.823 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])cc2F)CCCS1 ZINC000154604625 188153806 /nfs/dbraw/zinc/15/38/06/188153806.db2.gz NJIYFBWLFGMNDU-CYBMUJFWSA-N 0 0 298.339 2.749 20 5 CFBDRN CN(CCC1CCOCC1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000154786567 188161738 /nfs/dbraw/zinc/16/17/38/188161738.db2.gz XCAIAOVBFYMKAL-UHFFFAOYSA-N 0 0 298.364 2.545 20 5 CFBDRN CS[C@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000154950201 188172022 /nfs/dbraw/zinc/17/20/22/188172022.db2.gz ZHJNBRICLZGDAM-SECBINFHSA-N 0 0 268.338 2.983 20 5 CFBDRN CC[C@@H](C)NC(=O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000006454396 539986515 /nfs/dbraw/zinc/98/65/15/539986515.db2.gz BVKZAWGHVNDJSV-MRVPVSSYSA-N 0 0 286.715 2.542 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000155269114 188195278 /nfs/dbraw/zinc/19/52/78/188195278.db2.gz DOOXAPSOCFDUKV-KWCYVHTRSA-N 0 0 267.288 2.740 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000155156170 188187637 /nfs/dbraw/zinc/18/76/37/188187637.db2.gz QAJCYKPTCQNNKP-JTQLQIEISA-N 0 0 266.297 2.840 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000155979177 188237643 /nfs/dbraw/zinc/23/76/43/188237643.db2.gz PXIOCIILUGDRBD-PWSUYJOCSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(NCCCO[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000292500589 198310840 /nfs/dbraw/zinc/31/08/40/198310840.db2.gz FQDXRHGAKPDUOF-NSHDSACASA-N 0 0 298.314 2.650 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000156259445 188252445 /nfs/dbraw/zinc/25/24/45/188252445.db2.gz GWJWSMQEOPWQRK-SMDDNHRTSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1cc(N[C@@H]2CCSC2)c(F)cc1[N+](=O)[O-] ZINC000292512395 198315105 /nfs/dbraw/zinc/31/51/05/198315105.db2.gz GMOVDQHFRWPANM-MRVPVSSYSA-N 0 0 256.302 2.960 20 5 CFBDRN Cc1cccc(C(=O)N(C)CCC2CC2)c1[N+](=O)[O-] ZINC000156340133 188256572 /nfs/dbraw/zinc/25/65/72/188256572.db2.gz DRIQCCPCRNELNY-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H](C)C[C@H](C)O ZINC000156704581 188277501 /nfs/dbraw/zinc/27/75/01/188277501.db2.gz LTRIFFIOJOPNCU-KOLCDFICSA-N 0 0 252.314 2.722 20 5 CFBDRN CCN(C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C(C)C ZINC000157050860 188294035 /nfs/dbraw/zinc/29/40/35/188294035.db2.gz OQONGLITZIQYPT-UHFFFAOYSA-N 0 0 289.335 2.876 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000157343814 188310921 /nfs/dbraw/zinc/31/09/21/188310921.db2.gz KSRQFBGUYFBTKY-LLVKDONJSA-N 0 0 291.351 2.829 20 5 CFBDRN CO[C@@H](C)Cc1nc2cc3ccccc3cc2c(=O)[nH]1 ZINC000157352034 188311702 /nfs/dbraw/zinc/31/17/02/188311702.db2.gz VYJAGEONBNKZOO-JTQLQIEISA-N 0 0 268.316 2.654 20 5 CFBDRN CN(CCc1nccs1)Cc1csc([N+](=O)[O-])c1 ZINC000157400270 188315133 /nfs/dbraw/zinc/31/51/33/188315133.db2.gz YWBWTGLLEQPYPN-UHFFFAOYSA-N 0 0 283.378 2.787 20 5 CFBDRN CCC(CC)(CO)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000157628148 188328627 /nfs/dbraw/zinc/32/86/27/188328627.db2.gz MSVWXBYRHPROKJ-UHFFFAOYSA-N 0 0 298.368 2.515 20 5 CFBDRN Cc1cc(N[C@H]2CC[C@H](O)CC2)c(F)cc1[N+](=O)[O-] ZINC000292604519 198347711 /nfs/dbraw/zinc/34/77/11/198347711.db2.gz MNQMGPKDPNJOSU-MGCOHNPYSA-N 0 0 268.288 2.758 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NOCC(C)C ZINC000158073617 188355847 /nfs/dbraw/zinc/35/58/47/188355847.db2.gz CEJNDAVOLVLJBU-UHFFFAOYSA-N 0 0 267.285 2.612 20 5 CFBDRN CC(C)n1nccc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158169808 188361827 /nfs/dbraw/zinc/36/18/27/188361827.db2.gz ICLYEMIMGXLFOO-UHFFFAOYSA-N 0 0 288.307 2.649 20 5 CFBDRN CC[C@H](Nc1cc(C)ccc1[N+](=O)[O-])C(C)(C)O ZINC000292657234 198364409 /nfs/dbraw/zinc/36/44/09/198364409.db2.gz CDRCLJUICCKTHZ-LBPRGKRZSA-N 0 0 252.314 2.865 20 5 CFBDRN Cc1nc(C(C)C)ccc1Cn1cccc([N+](=O)[O-])c1=O ZINC000292714789 198385935 /nfs/dbraw/zinc/38/59/35/198385935.db2.gz RSKOVUMAAYNYAY-UHFFFAOYSA-N 0 0 287.319 2.632 20 5 CFBDRN COC(=O)[C@@H](C)CSc1cc(C)c([N+](=O)[O-])cc1F ZINC000292710977 198385113 /nfs/dbraw/zinc/38/51/13/198385113.db2.gz SSIIWNRPQUJHFH-QMMMGPOBSA-N 0 0 287.312 2.944 20 5 CFBDRN Cc1nc(C(C)C)ccc1Cn1cnc([N+](=O)[O-])c1 ZINC000292729560 198392467 /nfs/dbraw/zinc/39/24/67/198392467.db2.gz SZRJPEFQLVNWDP-UHFFFAOYSA-N 0 0 260.297 2.666 20 5 CFBDRN CO[C@H](CNc1cc(C)c([N+](=O)[O-])cc1F)C1CC1 ZINC000292739957 198395404 /nfs/dbraw/zinc/39/54/04/198395404.db2.gz ISTMFEKVVCRENP-CYBMUJFWSA-N 0 0 268.288 2.879 20 5 CFBDRN COc1cccc(NCCC2(OC)CCC2)c1[N+](=O)[O-] ZINC000292763900 198402984 /nfs/dbraw/zinc/40/29/84/198402984.db2.gz KNGLUZNVLBLOTP-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN C[C@H]1CSCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000191291411 291012530 /nfs/dbraw/zinc/01/25/30/291012530.db2.gz HCCFCMMPYJVGRJ-SECBINFHSA-N 0 0 298.339 2.559 20 5 CFBDRN O=C1CC[C@H](COc2cc(Cl)ccc2[N+](=O)[O-])CCN1 ZINC000295685421 199620627 /nfs/dbraw/zinc/62/06/27/199620627.db2.gz HRBKFWIHYMDTKI-VIFPVBQESA-N 0 0 298.726 2.543 20 5 CFBDRN CC[C@@H](SC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295260674 199428018 /nfs/dbraw/zinc/42/80/18/199428018.db2.gz GBRIXVMNMADTAQ-JOYOIKCWSA-N 0 0 282.365 2.914 20 5 CFBDRN O=C(CC1CC1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163774713 188615217 /nfs/dbraw/zinc/61/52/17/188615217.db2.gz AGPNLKHTZDPEQC-UHFFFAOYSA-N 0 0 274.320 2.886 20 5 CFBDRN CC(C)C(=O)Cn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000566690188 290410568 /nfs/dbraw/zinc/41/05/68/290410568.db2.gz ZUXZMQZPASXWPG-UHFFFAOYSA-N 0 0 273.292 2.683 20 5 CFBDRN CCc1n[nH]c(C(=O)N(CC)C2CCCCC2)c1[N+](=O)[O-] ZINC000171370766 188732572 /nfs/dbraw/zinc/73/25/72/188732572.db2.gz VRUKCXFFLHSYPF-UHFFFAOYSA-N 0 0 294.355 2.675 20 5 CFBDRN CS[C@H](C)CC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271763992 191311757 /nfs/dbraw/zinc/31/17/57/191311757.db2.gz MWBTUSDKFZLATE-NXEZZACHSA-N 0 0 282.365 2.914 20 5 CFBDRN Cc1noc(C)c1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271767302 191314354 /nfs/dbraw/zinc/31/43/54/191314354.db2.gz FERHTQCHFKTGPB-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000171875754 188764888 /nfs/dbraw/zinc/76/48/88/188764888.db2.gz ZBSUPMNFCAQKTA-NSHDSACASA-N 0 0 264.325 2.642 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])O1 ZINC000271778165 191320475 /nfs/dbraw/zinc/32/04/75/191320475.db2.gz OTOOYNKDWNNFBN-WDMOLILDSA-N 0 0 292.335 2.730 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000172391980 188799815 /nfs/dbraw/zinc/79/98/15/188799815.db2.gz INTLVWIUAMMXQB-JTQLQIEISA-N 0 0 285.303 2.915 20 5 CFBDRN Cc1ccc(CC(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000271791102 191327326 /nfs/dbraw/zinc/32/73/26/191327326.db2.gz KCXUCZDUFIUUOX-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN CCCCO[C@H](C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172420848 188801888 /nfs/dbraw/zinc/80/18/88/188801888.db2.gz BITPCBAUYZIMND-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)NCC1CC1 ZINC000172471501 188805771 /nfs/dbraw/zinc/80/57/71/188805771.db2.gz LAOWJSOFVBXLGU-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN CC[C@@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271849574 191366576 /nfs/dbraw/zinc/36/65/76/191366576.db2.gz WCSFLTMPKJKFLW-NXEZZACHSA-N 0 0 250.298 2.818 20 5 CFBDRN CC[C@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271849577 191366682 /nfs/dbraw/zinc/36/66/82/191366682.db2.gz WCSFLTMPKJKFLW-UWVGGRQHSA-N 0 0 250.298 2.818 20 5 CFBDRN COC(=O)c1cc(OCCCSC)ccc1[N+](=O)[O-] ZINC000174151571 188884543 /nfs/dbraw/zinc/88/45/43/188884543.db2.gz VGBNXQPWAZDUBR-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000272026728 191471543 /nfs/dbraw/zinc/47/15/43/191471543.db2.gz RBDKREAPCVIOIW-NOZJJQNGSA-N 0 0 295.295 2.873 20 5 CFBDRN CC1(C)CCC(NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000357277026 535291981 /nfs/dbraw/zinc/29/19/81/535291981.db2.gz JZWKMJULQOSSCO-UHFFFAOYSA-N 0 0 265.313 2.622 20 5 CFBDRN CN(C(=O)[C@H]1CCCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000272970221 192002266 /nfs/dbraw/zinc/00/22/66/192002266.db2.gz OBKSBTYPAVDFHQ-GFCCVEGCSA-N 0 0 280.349 2.843 20 5 CFBDRN Cc1c(NC(=O)NC(C)(C)C(C)(C)O)cccc1[N+](=O)[O-] ZINC000272995643 192012941 /nfs/dbraw/zinc/01/29/41/192012941.db2.gz NREZACOHKYGYRG-UHFFFAOYSA-N 0 0 295.339 2.574 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)NCC1=CCCCC1 ZINC000295783163 199662126 /nfs/dbraw/zinc/66/21/26/199662126.db2.gz LJIIYENBSRGBMI-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000273517764 192183857 /nfs/dbraw/zinc/18/38/57/192183857.db2.gz RIUWNOGBRJISKH-SSDOTTSWSA-N 0 0 279.325 2.631 20 5 CFBDRN C[C@H]1C[C@H](CCNC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000273563965 192205568 /nfs/dbraw/zinc/20/55/68/192205568.db2.gz NMTVLIAYNBWSHY-NWDGAFQWSA-N 0 0 292.335 2.530 20 5 CFBDRN C[C@H]1C[C@@H](O)CN1c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000350682159 291089842 /nfs/dbraw/zinc/08/98/42/291089842.db2.gz BABVDBLKTAJOBM-IONNQARKSA-N 0 0 290.241 2.573 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCC2CC(F)(F)C2)c1 ZINC000295849646 199690815 /nfs/dbraw/zinc/69/08/15/199690815.db2.gz BCAGKMYDDBSGQV-UHFFFAOYSA-N 0 0 271.219 2.831 20 5 CFBDRN Cc1sc(C(=O)NCC2(C)CCC2)cc1[N+](=O)[O-] ZINC000175110914 188933896 /nfs/dbraw/zinc/93/38/96/188933896.db2.gz GBAQBSUZVQEBGL-UHFFFAOYSA-N 0 0 268.338 2.885 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC1=CCCCC1 ZINC000295878030 199703815 /nfs/dbraw/zinc/70/38/15/199703815.db2.gz DFXWTWNDAFRIJB-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1(C)C ZINC000273654582 192248361 /nfs/dbraw/zinc/24/83/61/192248361.db2.gz JERTYQUEVUEGHL-SECBINFHSA-N 0 0 266.297 2.696 20 5 CFBDRN CCCc1nc(CCNc2ccccc2[N+](=O)[O-])no1 ZINC000273737049 192285522 /nfs/dbraw/zinc/28/55/22/192285522.db2.gz BHIAHICDNHNUFT-UHFFFAOYSA-N 0 0 276.296 2.585 20 5 CFBDRN Cc1ccc(-c2nc([C@H]3CCCO3)no2)cc1[N+](=O)[O-] ZINC000273754378 192292685 /nfs/dbraw/zinc/29/26/85/192292685.db2.gz AGNPYCILYVDKCX-LLVKDONJSA-N 0 0 275.264 2.805 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000175412408 188948999 /nfs/dbraw/zinc/94/89/99/188948999.db2.gz ZNGUBYMMGKXNHD-ZJUUUORDSA-N 0 0 263.297 2.857 20 5 CFBDRN CCC[C@@H](CNc1ncc(Cl)cc1[N+](=O)[O-])OC ZINC000293821261 198785382 /nfs/dbraw/zinc/78/53/82/198785382.db2.gz ZTJJDUJEAKBZPK-VIFPVBQESA-N 0 0 273.720 2.870 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336844349 290515263 /nfs/dbraw/zinc/51/52/63/290515263.db2.gz ZEVXEIZDPULKIR-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000568138492 290526214 /nfs/dbraw/zinc/52/62/14/290526214.db2.gz PXBSYIORHQRRNK-JQWIXIFHSA-N 0 0 280.299 2.659 20 5 CFBDRN Cc1cc(OCC(=O)NC2(C(C)C)CC2)ccc1[N+](=O)[O-] ZINC000293903859 198820665 /nfs/dbraw/zinc/82/06/65/198820665.db2.gz KNKUFLOYAWESFG-UHFFFAOYSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1(CF)CCC1 ZINC000568867864 290574224 /nfs/dbraw/zinc/57/42/24/290574224.db2.gz VHUBKSDLPYNQNM-UHFFFAOYSA-N 0 0 282.271 2.682 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000568869824 290574705 /nfs/dbraw/zinc/57/47/05/290574705.db2.gz AGVNDQDKHCZGBD-ZYHUDNBSSA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@H](C(=O)NC[C@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000568942316 290577763 /nfs/dbraw/zinc/57/77/63/290577763.db2.gz VXZZAUNPINKCTC-GXFFZTMASA-N 0 0 294.376 2.710 20 5 CFBDRN CC(C)(NC(=O)/C=C/C1CC1)c1ccccc1[N+](=O)[O-] ZINC000294184964 198943002 /nfs/dbraw/zinc/94/30/02/198943002.db2.gz SCXJTQUUYHBXFN-MDZDMXLPSA-N 0 0 274.320 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C(F)(F)C(F)F ZINC000175676619 188962021 /nfs/dbraw/zinc/96/20/21/188962021.db2.gz KJCYXTHFDDWVKZ-UHFFFAOYSA-N 0 0 280.177 2.742 20 5 CFBDRN C[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000294198985 198948718 /nfs/dbraw/zinc/94/87/18/198948718.db2.gz HBTRGWPEHWOEAG-NSHDSACASA-N 0 0 264.325 2.699 20 5 CFBDRN CC(F)(F)CCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000294296709 198988567 /nfs/dbraw/zinc/98/85/67/198988567.db2.gz UOVQPLPYYKQDQP-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN CC(F)(F)CCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000294278609 198981064 /nfs/dbraw/zinc/98/10/64/198981064.db2.gz SLSNQCBOTTTZRH-UHFFFAOYSA-N 0 0 272.211 2.588 20 5 CFBDRN O=C(NC[C@H](c1ccccc1)C1CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000294358066 199010205 /nfs/dbraw/zinc/01/02/05/199010205.db2.gz BOLBQAYYTZQKPX-CYBMUJFWSA-N 0 0 299.330 2.847 20 5 CFBDRN CCOc1ccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000294398417 199024806 /nfs/dbraw/zinc/02/48/06/199024806.db2.gz OMYIYPZCLQUYAS-UHFFFAOYSA-N 0 0 294.351 2.537 20 5 CFBDRN COc1cc(C(=O)NCCCC(C)C)c([N+](=O)[O-])cc1F ZINC000294378059 199017740 /nfs/dbraw/zinc/01/77/40/199017740.db2.gz NHDIQBXACLWHMX-UHFFFAOYSA-N 0 0 298.314 2.909 20 5 CFBDRN CSc1ccc(C(=O)N2C[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000294418766 199032572 /nfs/dbraw/zinc/03/25/72/199032572.db2.gz ZGVZAHNIMNRSBQ-BDAKNGLRSA-N 0 0 280.349 2.797 20 5 CFBDRN CS[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000294443558 199041260 /nfs/dbraw/zinc/04/12/60/199041260.db2.gz RCKWEFWJIOQBIC-NSHDSACASA-N 0 0 270.329 2.671 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CC1(F)F ZINC000294473804 199053727 /nfs/dbraw/zinc/05/37/27/199053727.db2.gz VFIYHSPFVNHRRH-QMMMGPOBSA-N 0 0 270.235 2.805 20 5 CFBDRN Cc1c(OC(=O)c2ncoc2C2CC2)cccc1[N+](=O)[O-] ZINC000294525792 199072951 /nfs/dbraw/zinc/07/29/51/199072951.db2.gz KMUKBGJTXBGDNK-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN CCC1(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC)CC1 ZINC000296172168 199806451 /nfs/dbraw/zinc/80/64/51/199806451.db2.gz MFOKIEIIRDWSSE-UHFFFAOYSA-N 0 0 279.296 2.668 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](CO)CC1CCCCC1 ZINC000419026490 236427778 /nfs/dbraw/zinc/42/77/78/236427778.db2.gz OZXNIYCTVISDKV-LBPRGKRZSA-N 0 0 279.340 2.733 20 5 CFBDRN C[C@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])c2ccccc2O1 ZINC000294765944 199173463 /nfs/dbraw/zinc/17/34/63/199173463.db2.gz YPCKHTUQDYAPMA-NSHDSACASA-N 0 0 292.335 2.636 20 5 CFBDRN CC/C(C)=C/C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000294843331 199208666 /nfs/dbraw/zinc/20/86/66/199208666.db2.gz BRDMSWAMYQDXBZ-PKNBQFBNSA-N 0 0 262.309 2.610 20 5 CFBDRN COC[C@]1(C)CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000294861905 199218364 /nfs/dbraw/zinc/21/83/64/199218364.db2.gz CGBVOEYAKNZHDM-CYBMUJFWSA-N 0 0 268.288 2.597 20 5 CFBDRN CC(C)(CO)CCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000294869553 199224011 /nfs/dbraw/zinc/22/40/11/199224011.db2.gz DBLYIRPQPVUSEL-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1ccc(N[C@H](C)CC(C)(C)O)c([N+](=O)[O-])c1 ZINC000294898179 199237816 /nfs/dbraw/zinc/23/78/16/199237816.db2.gz DOTAPRSFPMTBGG-SNVBAGLBSA-N 0 0 252.314 2.865 20 5 CFBDRN COc1cc(N[C@H](C)CC(C)(C)O)c([N+](=O)[O-])cc1OC ZINC000294905459 199241687 /nfs/dbraw/zinc/24/16/87/199241687.db2.gz FFXOIZCJFXEDNX-SECBINFHSA-N 0 0 298.339 2.573 20 5 CFBDRN CCn1ccnc1CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000294931309 199256216 /nfs/dbraw/zinc/25/62/16/199256216.db2.gz HIJJKJUHGQCSOY-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CC[C@H](COCC(F)(F)F)Nc1ncccc1[N+](=O)[O-] ZINC000294940388 199261452 /nfs/dbraw/zinc/26/14/52/199261452.db2.gz LEGJVNXHICRPNU-MRVPVSSYSA-N 0 0 293.245 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC23CCSCC3)cn1 ZINC000420656934 236670881 /nfs/dbraw/zinc/67/08/81/236670881.db2.gz VSQCHXJANRALKB-JTQLQIEISA-N 0 0 279.365 2.935 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC(=O)C(C)(C)C ZINC000421792027 236916834 /nfs/dbraw/zinc/91/68/34/236916834.db2.gz MDNFXQBSJRYJKU-UHFFFAOYSA-N 0 0 292.335 2.557 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000347985697 291172783 /nfs/dbraw/zinc/17/27/83/291172783.db2.gz MLLVBERPAPAYOT-XPTSAGLGSA-N 0 0 285.303 2.586 20 5 CFBDRN O=C([O-])C[C@H]1CCCC[N@@H+]1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000038027846 304082727 /nfs/dbraw/zinc/08/27/27/304082727.db2.gz VDURUWZGRCJYRP-GFCCVEGCSA-N 0 0 296.298 2.563 20 5 CFBDRN CC(C)(O)CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000274735548 192748103 /nfs/dbraw/zinc/74/81/03/192748103.db2.gz CZFGAHRLEPGJKC-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1nc(N(CC2CC2)CC2CCC2)ncc1[N+](=O)[O-] ZINC000296682556 199969169 /nfs/dbraw/zinc/96/91/69/199969169.db2.gz BMOWIDXIYTYHRQ-UHFFFAOYSA-N 0 0 276.340 2.710 20 5 CFBDRN C[C@H](O)CCCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000296800136 200000569 /nfs/dbraw/zinc/00/05/69/200000569.db2.gz OYVUPKZLLQXZSL-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1ccc([C@@H](C)N[C@H]2CCn3ccnc32)cc1[N+](=O)[O-] ZINC000296840130 200012307 /nfs/dbraw/zinc/01/23/07/200012307.db2.gz MVLGBPSSGCGKDA-YPMHNXCESA-N 0 0 286.335 2.895 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C(C)(C)O ZINC000296861598 200017923 /nfs/dbraw/zinc/01/79/23/200017923.db2.gz PAXLGKPNMXDVRN-GFCCVEGCSA-N 0 0 295.339 2.574 20 5 CFBDRN CS[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000296862965 200019070 /nfs/dbraw/zinc/01/90/70/200019070.db2.gz KPLAJUMGWQPEPX-NSHDSACASA-N 0 0 295.364 2.872 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1cnccn1 ZINC000296814529 200006209 /nfs/dbraw/zinc/00/62/09/200006209.db2.gz VDKFBLWCZOOMDH-WDEREUQCSA-N 0 0 272.308 2.797 20 5 CFBDRN CCN(CCOC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000296819413 200007012 /nfs/dbraw/zinc/00/70/12/200007012.db2.gz RYWGKWBSTXVWAA-UHFFFAOYSA-N 0 0 299.302 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CC3(F)F)n2)s1 ZINC000296942575 200041044 /nfs/dbraw/zinc/04/10/44/200041044.db2.gz QLGZNFQBTIRUJY-SCSAIBSYSA-N 0 0 273.220 2.829 20 5 CFBDRN C[C@H](NC(=O)N1C[C@@H](C)[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000296964766 200048464 /nfs/dbraw/zinc/04/84/64/200048464.db2.gz BFYGTCBCIKTQAI-VWYCJHECSA-N 0 0 277.324 2.706 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC(CF)CC1 ZINC000297011189 200061865 /nfs/dbraw/zinc/06/18/65/200061865.db2.gz SIOZBFNPJZWEGV-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN Cc1cc(F)c(NCCOC(C)C)cc1[N+](=O)[O-] ZINC000297082953 200080415 /nfs/dbraw/zinc/08/04/15/200080415.db2.gz WVXJRIYKVUZPAE-UHFFFAOYSA-N 0 0 256.277 2.879 20 5 CFBDRN CC[C@@H](C)CN(CC)c1ncc([N+](=O)[O-])c(C)n1 ZINC000297396170 200175488 /nfs/dbraw/zinc/17/54/88/200175488.db2.gz FKNAVSZDTPCRHP-SECBINFHSA-N 0 0 252.318 2.566 20 5 CFBDRN Cc1cc(F)ccc1CCNc1ncc([N+](=O)[O-])c(C)n1 ZINC000297452838 200195603 /nfs/dbraw/zinc/19/56/03/200195603.db2.gz JNPICXAWXRKJPI-UHFFFAOYSA-N 0 0 290.298 2.795 20 5 CFBDRN CCOCCC1(CNc2ncc([N+](=O)[O-])c(C)n2)CCC1 ZINC000297504531 200212517 /nfs/dbraw/zinc/21/25/17/200212517.db2.gz NIPVJTAVPQBGDE-UHFFFAOYSA-N 0 0 294.355 2.702 20 5 CFBDRN Cc1nc(NCC2(C3CC3)CCC2)ncc1[N+](=O)[O-] ZINC000297483721 200205925 /nfs/dbraw/zinc/20/59/25/200205925.db2.gz LXCMDSAXIHPHPO-UHFFFAOYSA-N 0 0 262.313 2.685 20 5 CFBDRN CCC[C@@H](OC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000297485457 200207309 /nfs/dbraw/zinc/20/73/09/200207309.db2.gz LZPHJEUFMNXMPL-GXFFZTMASA-N 0 0 280.324 2.587 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000297811830 200284558 /nfs/dbraw/zinc/28/45/58/200284558.db2.gz LODCSWABFXSDRE-JTQLQIEISA-N 0 0 285.303 2.768 20 5 CFBDRN CC(C)(NC(=O)[C@@]1(C)CCCO1)c1ccccc1[N+](=O)[O-] ZINC000297826019 200287564 /nfs/dbraw/zinc/28/75/64/200287564.db2.gz LLRGRYGCHIAERN-OAHLLOKOSA-N 0 0 292.335 2.515 20 5 CFBDRN CC(C)(CCC(=O)Nc1cccc(Cl)n1)[N+](=O)[O-] ZINC000297781696 200279306 /nfs/dbraw/zinc/27/93/06/200279306.db2.gz QKMQJGISTKUMJM-UHFFFAOYSA-N 0 0 271.704 2.509 20 5 CFBDRN CC(F)(F)CCCNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000297907787 200302572 /nfs/dbraw/zinc/30/25/72/200302572.db2.gz YBKZKEYRTPGVID-UHFFFAOYSA-N 0 0 288.254 2.547 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000297980375 200312225 /nfs/dbraw/zinc/31/22/25/200312225.db2.gz HEJCUKHCJWDLDJ-ZIAGYGMSSA-N 0 0 292.335 2.763 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000297980361 200312555 /nfs/dbraw/zinc/31/25/55/200312555.db2.gz HEJCUKHCJWDLDJ-KBPBESRZSA-N 0 0 292.335 2.763 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCC1CC(F)(F)C1 ZINC000298183389 200354162 /nfs/dbraw/zinc/35/41/62/200354162.db2.gz AFCIHGYWXRDNKC-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN COC(=O)C1(CSCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000298216474 200363070 /nfs/dbraw/zinc/36/30/70/200363070.db2.gz HBAJDJJWWKOUIV-UHFFFAOYSA-N 0 0 281.333 2.781 20 5 CFBDRN CN(C(=O)c1cnc(C2CC2)nc1)c1ccc([N+](=O)[O-])cc1 ZINC000298199888 200358771 /nfs/dbraw/zinc/35/87/71/200358771.db2.gz XIHZQABPZPIBOB-UHFFFAOYSA-N 0 0 298.302 2.539 20 5 CFBDRN O=[N+]([O-])c1cccc(NCCCOCC(F)(F)F)c1 ZINC000176898973 189013696 /nfs/dbraw/zinc/01/36/96/189013696.db2.gz CEVBHQCLTLNHQU-UHFFFAOYSA-N 0 0 278.230 2.976 20 5 CFBDRN CCCCc1noc(COc2ncc(C)cc2[N+](=O)[O-])n1 ZINC000176708627 189005585 /nfs/dbraw/zinc/00/55/85/189005585.db2.gz RCOWKYNGMURTMH-UHFFFAOYSA-N 0 0 292.295 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CC[C@H]1CCOC1 ZINC000176750097 189007428 /nfs/dbraw/zinc/00/74/28/189007428.db2.gz XBXUBEPSWCCPCU-NSHDSACASA-N 0 0 279.292 2.625 20 5 CFBDRN C[C@H](NC(=O)CC[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000176778757 189008635 /nfs/dbraw/zinc/00/86/35/189008635.db2.gz KXUJSEDDFMLQTD-NWDGAFQWSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000176983431 189018719 /nfs/dbraw/zinc/01/87/19/189018719.db2.gz LJDJYGARYZHSAT-WDEREUQCSA-N 0 0 294.376 2.944 20 5 CFBDRN CC(C)C1(CNC(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000177391840 189046949 /nfs/dbraw/zinc/04/69/49/189046949.db2.gz HODFNNHEDMOPFY-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN COC[C@@H](NCc1cccc([N+](=O)[O-])c1)c1ccc(C)o1 ZINC000177568278 189057640 /nfs/dbraw/zinc/05/76/40/189057640.db2.gz LSIQJGORLWACET-CQSZACIVSA-N 0 0 290.319 2.974 20 5 CFBDRN Cc1nc(NC(=O)[C@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000177664466 189062231 /nfs/dbraw/zinc/06/22/31/189062231.db2.gz PURJMHXBEYUFRO-MRVPVSSYSA-N 0 0 251.286 2.529 20 5 CFBDRN CC[C@@H](NC(=O)C[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000177786784 189069802 /nfs/dbraw/zinc/06/98/02/189069802.db2.gz ZIOLLBPCRQRRGF-UONOGXRCSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000177840375 189073691 /nfs/dbraw/zinc/07/36/91/189073691.db2.gz LTGJQEDFZMHOEI-DGCLKSJQSA-N 0 0 292.335 2.650 20 5 CFBDRN CCCSCC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000298831858 200543989 /nfs/dbraw/zinc/54/39/89/200543989.db2.gz XRVVIUPNWDYNBF-UHFFFAOYSA-N 0 0 272.301 2.816 20 5 CFBDRN CCC1(CNC(=O)CSc2ccc([N+](=O)[O-])cc2)CC1 ZINC000178192104 189096666 /nfs/dbraw/zinc/09/66/66/189096666.db2.gz CWHOWZHOGBOBCG-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN CCC[C@H](CCO)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000178242827 189099942 /nfs/dbraw/zinc/09/99/42/189099942.db2.gz GIAPFJABRCGACT-LLVKDONJSA-N 0 0 267.329 2.509 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000299014217 200583227 /nfs/dbraw/zinc/58/32/27/200583227.db2.gz DQRGVZOIYCSVOZ-LLVKDONJSA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000178511831 189122718 /nfs/dbraw/zinc/12/27/18/189122718.db2.gz LOBHVINGLPXQDE-WDEREUQCSA-N 0 0 277.324 2.535 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000299066979 200596985 /nfs/dbraw/zinc/59/69/85/200596985.db2.gz MTUFKJFYHQTHCR-LLVKDONJSA-N 0 0 263.297 2.823 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCC1 ZINC000178589754 189129141 /nfs/dbraw/zinc/12/91/41/189129141.db2.gz XVMYSRTUNFHWII-UHFFFAOYSA-N 0 0 293.279 2.566 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC1(C)C ZINC000299181731 200632993 /nfs/dbraw/zinc/63/29/93/200632993.db2.gz ZTENFSOXAFAPAN-BXKDBHETSA-N 0 0 294.376 2.990 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H](C)C2CCCC2)c1[N+](=O)[O-] ZINC000179326925 189186101 /nfs/dbraw/zinc/18/61/01/189186101.db2.gz UNPGMWAQIYOMAZ-SECBINFHSA-N 0 0 294.355 2.579 20 5 CFBDRN CCc1nn(C)c(N[C@H](C)c2cccc(O)c2)c1[N+](=O)[O-] ZINC000179580936 189204328 /nfs/dbraw/zinc/20/43/28/189204328.db2.gz CRVLEKAYAPROOE-SECBINFHSA-N 0 0 290.323 2.769 20 5 CFBDRN COCCCCNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000179433651 189194453 /nfs/dbraw/zinc/19/44/53/189194453.db2.gz VJDZFFONSZYOBZ-UHFFFAOYSA-N 0 0 294.351 2.676 20 5 CFBDRN C[C@H]1CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000299570458 200782491 /nfs/dbraw/zinc/78/24/91/200782491.db2.gz BFJVMLQTFPKDDO-JTQLQIEISA-N 0 0 280.349 2.555 20 5 CFBDRN CCOc1cccc(N[C@H]2CCC[C@H]2CCO)c1[N+](=O)[O-] ZINC000275068119 192900421 /nfs/dbraw/zinc/90/04/21/192900421.db2.gz YKVIJSTWCXCBOO-RYUDHWBXSA-N 0 0 294.351 2.957 20 5 CFBDRN O=C(N[C@@H]1CCCOCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000179987067 189231214 /nfs/dbraw/zinc/23/12/14/189231214.db2.gz AMJFXANTZGKZBV-SECBINFHSA-N 0 0 298.726 2.547 20 5 CFBDRN CCC[C@](C)(NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000180036119 189233938 /nfs/dbraw/zinc/23/39/38/189233938.db2.gz RCLCGPHFZHDKNJ-HNNXBMFYSA-N 0 0 294.351 2.725 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)C2)c1 ZINC000299724855 200846670 /nfs/dbraw/zinc/84/66/70/200846670.db2.gz PMHDKKFKHSXQPQ-VIFPVBQESA-N 0 0 280.349 2.799 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000180042640 189234528 /nfs/dbraw/zinc/23/45/28/189234528.db2.gz FRRYKKPSXPKKSM-JTQLQIEISA-N 0 0 280.324 2.904 20 5 CFBDRN CC(C)CCCSCCn1cc([N+](=O)[O-])cn1 ZINC000180117394 189237643 /nfs/dbraw/zinc/23/76/43/189237643.db2.gz VPMDAMZSLCPJAW-UHFFFAOYSA-N 0 0 257.359 2.961 20 5 CFBDRN Cc1ccc(C2=CSC3=NCCN23)cc1[N+](=O)[O-] ZINC000180238350 189245474 /nfs/dbraw/zinc/24/54/74/189245474.db2.gz KHZOMSPSYVJLAN-UHFFFAOYSA-N 0 0 261.306 2.620 20 5 CFBDRN CC[C@H](C)Nc1ccc(/C=C/C(=O)OC)cc1[N+](=O)[O-] ZINC000301077655 200909867 /nfs/dbraw/zinc/90/98/67/200909867.db2.gz NWILIJSICRYDBL-PCGIRMHASA-N 0 0 278.308 2.991 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H](O)Cc1ccccc1 ZINC000301211802 200938143 /nfs/dbraw/zinc/93/81/43/200938143.db2.gz VLBHWFJVKMAXGX-GFCCVEGCSA-N 0 0 290.294 2.749 20 5 CFBDRN Nc1nc(NCc2ccc3ccccc3c2)ncc1[N+](=O)[O-] ZINC000301155877 200928137 /nfs/dbraw/zinc/92/81/37/200928137.db2.gz RJCHBYUZTHASED-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301249271 200950805 /nfs/dbraw/zinc/95/08/05/200950805.db2.gz RFEIJMPNYXFLCN-QPUJVOFHSA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@H](C(C)C)CC2)c1[N+](=O)[O-] ZINC000301295075 200967400 /nfs/dbraw/zinc/96/74/00/200967400.db2.gz OWSOLPMQDFLUKZ-LBPRGKRZSA-N 0 0 280.372 2.899 20 5 CFBDRN C[C@@]1(CO)CCC[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000301333392 200977732 /nfs/dbraw/zinc/97/77/32/200977732.db2.gz BVRBUEGOPDCEQR-STQMWFEESA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000301432901 201006673 /nfs/dbraw/zinc/00/66/73/201006673.db2.gz JHVDAZVGTQEUPS-MFKMUULPSA-N 0 0 267.329 2.507 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000301432314 201007089 /nfs/dbraw/zinc/00/70/89/201007089.db2.gz JHVDAZVGTQEUPS-GWCFXTLKSA-N 0 0 267.329 2.507 20 5 CFBDRN C[C@H]1SCCN(Cc2csc([N+](=O)[O-])c2)[C@@H]1C ZINC000118418683 291261528 /nfs/dbraw/zinc/26/15/28/291261528.db2.gz ROQQMPKUJKCLLY-RKDXNWHRSA-N 0 0 272.395 2.982 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])cc1C ZINC000301425383 201003131 /nfs/dbraw/zinc/00/31/31/201003131.db2.gz BXHSLBTXQSDVNK-TVQRCGJNSA-N 0 0 252.314 2.722 20 5 CFBDRN C[C@H]1SCCN(c2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000301262121 291262181 /nfs/dbraw/zinc/26/21/81/291262181.db2.gz DDJDAIGVLIPCJO-NXEZZACHSA-N 0 0 252.339 2.925 20 5 CFBDRN Cc1ccc(NCC[C@@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000301463862 201017535 /nfs/dbraw/zinc/01/75/35/201017535.db2.gz GHXJWAQDZBHXRQ-CYBMUJFWSA-N 0 0 252.314 2.722 20 5 CFBDRN Nc1c(C(=O)N2CCCc3ccccc32)cccc1[N+](=O)[O-] ZINC000178144226 283649752 /nfs/dbraw/zinc/64/97/52/283649752.db2.gz PJIRSWSXTPCQBA-UHFFFAOYSA-N 0 0 297.314 2.770 20 5 CFBDRN COC[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000301520451 201044563 /nfs/dbraw/zinc/04/45/63/201044563.db2.gz GPGIVBJELRCOFH-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCCCCCCO ZINC000182757094 189333729 /nfs/dbraw/zinc/33/37/29/189333729.db2.gz WCHKKRIEXDVOTA-UHFFFAOYSA-N 0 0 295.339 2.577 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000301577249 201078063 /nfs/dbraw/zinc/07/80/63/201078063.db2.gz REEMYLNPUBMQOP-MFKMUULPSA-N 0 0 252.314 2.722 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000301580232 201079828 /nfs/dbraw/zinc/07/98/28/201079828.db2.gz SMARMWHPAWSMPI-JTQLQIEISA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1nc(N(C)[C@@H](C)c2ccccn2)ccc1[N+](=O)[O-] ZINC000301633066 201105113 /nfs/dbraw/zinc/10/51/13/201105113.db2.gz JVSBAYJTURHVAI-NSHDSACASA-N 0 0 272.308 2.891 20 5 CFBDRN CCc1cnc(CCNc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000301632598 201106182 /nfs/dbraw/zinc/10/61/82/201106182.db2.gz DASJDBRCXZEDRS-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000301644275 201111128 /nfs/dbraw/zinc/11/11/28/201111128.db2.gz CFXZTJNUITWUOI-ZJUUUORDSA-N 0 0 296.298 2.878 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C(C)(C)O1 ZINC000183039805 189344157 /nfs/dbraw/zinc/34/41/57/189344157.db2.gz RFGJPKHXKIIWRW-LBPRGKRZSA-N 0 0 292.335 2.671 20 5 CFBDRN C[C@@H](Cc1ccc(O)cc1)N(C)c1ncccc1[N+](=O)[O-] ZINC000301614991 201097277 /nfs/dbraw/zinc/09/72/77/201097277.db2.gz IOEBAPUZJJRCKA-NSHDSACASA-N 0 0 287.319 2.763 20 5 CFBDRN Cc1nc(NCCN(C)c2ccccc2)ccc1[N+](=O)[O-] ZINC000301658379 201121825 /nfs/dbraw/zinc/12/18/25/201121825.db2.gz SQKYNLUQNZJUPK-UHFFFAOYSA-N 0 0 286.335 2.847 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Nc1ccc([N+](=O)[O-])cn1)CO2 ZINC000301667665 201123610 /nfs/dbraw/zinc/12/36/10/201123610.db2.gz MTYVLMQPKQLCRX-GFCCVEGCSA-N 0 0 271.276 2.844 20 5 CFBDRN C[C@](CO)(Nc1ccc([N+](=O)[O-])c2cnccc12)C1CC1 ZINC000301667623 201125494 /nfs/dbraw/zinc/12/54/94/201125494.db2.gz MSJGMHFNPUDXHY-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN CN(C)c1ccc(COC(=O)C2CCC2)cc1[N+](=O)[O-] ZINC000183494614 189360398 /nfs/dbraw/zinc/36/03/98/189360398.db2.gz APFZGZIYPYNRFO-UHFFFAOYSA-N 0 0 278.308 2.504 20 5 CFBDRN COCCC[C@@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000183516217 189360579 /nfs/dbraw/zinc/36/05/79/189360579.db2.gz QJMRNJFNBSCFEO-LLVKDONJSA-N 0 0 280.324 2.620 20 5 CFBDRN COC[C@H](NCc1ccc([N+](=O)[O-])cc1C)c1ccco1 ZINC000183533036 189361361 /nfs/dbraw/zinc/36/13/61/189361361.db2.gz YESSZNIBXBRCGY-AWEZNQCLSA-N 0 0 290.319 2.974 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1ccc(CO)cc1 ZINC000183622205 189364312 /nfs/dbraw/zinc/36/43/12/189364312.db2.gz MRYJSDWIDOSQNL-UHFFFAOYSA-N 0 0 284.315 2.650 20 5 CFBDRN CCOc1cccc(N[C@H]2CCCOCC2)c1[N+](=O)[O-] ZINC000184145085 189377367 /nfs/dbraw/zinc/37/73/67/189377367.db2.gz KXCJUHHQKZXMLU-NSHDSACASA-N 0 0 280.324 2.975 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000301785156 201196691 /nfs/dbraw/zinc/19/66/91/201196691.db2.gz PBTUCFDGFYWSHQ-MRVPVSSYSA-N 0 0 286.715 2.859 20 5 CFBDRN CCOC(=O)C[C@@H](C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000301789398 201197095 /nfs/dbraw/zinc/19/70/95/201197095.db2.gz NBHWEFGKLDXTAM-SSDOTTSWSA-N 0 0 288.250 2.627 20 5 CFBDRN NC(=O)[C@@H]1CCCCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000301792542 201199495 /nfs/dbraw/zinc/19/94/95/201199495.db2.gz RHRVBJULHGJWML-PWSUYJOCSA-N 0 0 295.314 2.580 20 5 CFBDRN NC(=O)[C@@H]1CCCCC[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000301771966 201188495 /nfs/dbraw/zinc/18/84/95/201188495.db2.gz JPJLXKNLNGZSCQ-MNOVXSKESA-N 0 0 295.314 2.580 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000425143635 238946926 /nfs/dbraw/zinc/94/69/26/238946926.db2.gz RSGFLCNLKPAUER-JGPRNRPPSA-N 0 0 285.303 2.604 20 5 CFBDRN COc1cc(CN(C)Cc2ccco2)c([N+](=O)[O-])cc1F ZINC000184226494 189380109 /nfs/dbraw/zinc/38/01/09/189380109.db2.gz YBLVBZBBDVONQD-UHFFFAOYSA-N 0 0 294.282 2.968 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H](O)CCc2ccccc2)c1 ZINC000184726913 189394385 /nfs/dbraw/zinc/39/43/85/189394385.db2.gz GNJPMRSSPQWXBZ-HNNXBMFYSA-N 0 0 287.315 2.967 20 5 CFBDRN CN(C[C@H]1CCC[C@@H]1O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000301815476 201215164 /nfs/dbraw/zinc/21/51/64/201215164.db2.gz DCHGNPKAAOHXPX-RNCFNFMXSA-N 0 0 284.743 2.845 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1SC1CCOCC1 ZINC000301881305 201245555 /nfs/dbraw/zinc/24/55/55/201245555.db2.gz ZZYWMXVHOVLCFI-UHFFFAOYSA-N 0 0 274.729 2.914 20 5 CFBDRN Cc1ccnc([C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)C2CC2)n1 ZINC000301852256 201231685 /nfs/dbraw/zinc/23/16/85/201231685.db2.gz GFXRYCVOBNQAPR-AWEZNQCLSA-N 0 0 299.334 2.960 20 5 CFBDRN CC[C@H](CO)Nc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301861940 201237451 /nfs/dbraw/zinc/23/74/51/201237451.db2.gz GCTLJGZXIVPQKR-SNVBAGLBSA-N 0 0 282.340 2.955 20 5 CFBDRN Cc1cnc(N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)nc1 ZINC000301914859 201261841 /nfs/dbraw/zinc/26/18/41/201261841.db2.gz VCQICKSNAJFQTI-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301957014 201277857 /nfs/dbraw/zinc/27/78/57/201277857.db2.gz FZMQTQZEQSBHOH-GHMZBOCLSA-N 0 0 289.339 2.876 20 5 CFBDRN C[C@@H](c1cnn(C)c1)N(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000301982767 201287091 /nfs/dbraw/zinc/28/70/91/201287091.db2.gz ALIAHGMSTFNMBP-QMMMGPOBSA-N 0 0 295.730 2.574 20 5 CFBDRN CN1CCc2ccc(Nc3ccc([N+](=O)[O-])cn3)cc21 ZINC000301991394 201289735 /nfs/dbraw/zinc/28/97/35/201289735.db2.gz FYXAKFGPHKZYCY-UHFFFAOYSA-N 0 0 270.292 2.726 20 5 CFBDRN CCOc1cc(N2CCOCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000302012123 201297594 /nfs/dbraw/zinc/29/75/94/201297594.db2.gz ZCZILWCCSUYJMD-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1ccc(N(C)C[C@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000302063725 201327443 /nfs/dbraw/zinc/32/74/43/201327443.db2.gz RZFPZTNOPGUPPS-IUODEOHRSA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cnc(NCCNc2ccccc2[N+](=O)[O-])s1 ZINC000302106099 201343727 /nfs/dbraw/zinc/34/37/27/201343727.db2.gz NRABPPVRODZLJB-UHFFFAOYSA-N 0 0 278.337 2.884 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCC1(CO)CCC1 ZINC000302111932 201345245 /nfs/dbraw/zinc/34/52/45/201345245.db2.gz BCLMXCPEMUJJKQ-UHFFFAOYSA-N 0 0 270.716 2.823 20 5 CFBDRN Cc1cc(NCC2(c3ccccn3)CC2)ncc1[N+](=O)[O-] ZINC000302146659 201364517 /nfs/dbraw/zinc/36/45/17/201364517.db2.gz KWRMGXPIDQFMGE-UHFFFAOYSA-N 0 0 284.319 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1(c2ccccn2)CC1 ZINC000302149852 201368044 /nfs/dbraw/zinc/36/80/44/201368044.db2.gz LQTYVXYMDUTQGF-UHFFFAOYSA-N 0 0 284.319 2.837 20 5 CFBDRN COc1ccc(Sc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302158635 201372813 /nfs/dbraw/zinc/37/28/13/201372813.db2.gz NXUKZSDDYZDWTN-UHFFFAOYSA-N 0 0 263.278 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cnccn2)c(Cl)c1 ZINC000302193719 201389946 /nfs/dbraw/zinc/38/99/46/201389946.db2.gz CSUWNQKTGSHSQZ-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1[nH]c2ccccc2c1CCNc1ncc([N+](=O)[O-])cn1 ZINC000302178952 201383686 /nfs/dbraw/zinc/38/36/86/201383686.db2.gz YKUHPJMZNCKTGZ-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2C[C@H]2c2ccccc2)nc1 ZINC000302181858 201385156 /nfs/dbraw/zinc/38/51/56/201385156.db2.gz ZZKZBRWUSQELEM-AAEUAGOBSA-N 0 0 270.292 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccccc2F)cc1CO ZINC000428070425 239364915 /nfs/dbraw/zinc/36/49/15/239364915.db2.gz OLWWWNSHCSJYGW-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000428095097 239378533 /nfs/dbraw/zinc/37/85/33/239378533.db2.gz XKMQZSGTXOMOQA-VVHPZPEWSA-N 0 0 292.310 2.752 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000428105569 239383625 /nfs/dbraw/zinc/38/36/25/239383625.db2.gz FTQRXAJERTZZTN-ATCWAGBWSA-N 0 0 299.330 2.533 20 5 CFBDRN Cc1cnc(OCC(=O)[C@@H]2C[C@H]3CC[C@@H]2C3)c([N+](=O)[O-])c1 ZINC000189054510 189521356 /nfs/dbraw/zinc/52/13/56/189521356.db2.gz MKHIYGRHCKAJBH-QJPTWQEYSA-N 0 0 290.319 2.682 20 5 CFBDRN Cc1ccnc(N[C@H]2Cc3cccc(O)c3C2)c1[N+](=O)[O-] ZINC000428673009 239510191 /nfs/dbraw/zinc/51/01/91/239510191.db2.gz SETXSPSVYBYTTK-NSHDSACASA-N 0 0 285.303 2.583 20 5 CFBDRN CCCCOCCCNc1ncc([N+](=O)[O-])s1 ZINC000189690023 189543055 /nfs/dbraw/zinc/54/30/55/189543055.db2.gz PUVCOTWLBCXWLS-UHFFFAOYSA-N 0 0 259.331 2.670 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2CC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000190676909 189571894 /nfs/dbraw/zinc/57/18/94/189571894.db2.gz NTMKCAVCTNURPF-SKDRFNHKSA-N 0 0 279.292 2.744 20 5 CFBDRN Cc1nc([C@H](C)OC(=O)c2cccc([N+](=O)[O-])c2C)no1 ZINC000191237962 189588243 /nfs/dbraw/zinc/58/82/43/189588243.db2.gz GEDSTLCCABKVAX-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000302256879 201422124 /nfs/dbraw/zinc/42/21/24/201422124.db2.gz OOLXLSCXHUBADG-VMZHVLLKSA-N 0 0 270.716 2.987 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000302267242 201425189 /nfs/dbraw/zinc/42/51/89/201425189.db2.gz RCFOZCBGLSHQNY-SGJFDWMWSA-N 0 0 272.251 2.612 20 5 CFBDRN CN(Cc1ccnn1C)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432179553 239972848 /nfs/dbraw/zinc/97/28/48/239972848.db2.gz XYQJHUUVAIMEDP-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN CCCCOC[C@@H](O)COc1cc(C)c([N+](=O)[O-])cc1C ZINC000432743620 240035885 /nfs/dbraw/zinc/03/58/85/240035885.db2.gz WWKDDZGRUHWOKT-CYBMUJFWSA-N 0 0 297.351 2.768 20 5 CFBDRN C/C=C\C[C@H](NCc1csc([N+](=O)[O-])c1)C(=O)OCC ZINC000432913791 240065828 /nfs/dbraw/zinc/06/58/28/240065828.db2.gz GLWNGRNFSBJKNB-MZBZXASESA-N 0 0 298.364 2.644 20 5 CFBDRN CCC[C@@H](CNc1nccc(C)c1[N+](=O)[O-])C(=O)OCC ZINC000432929162 240071449 /nfs/dbraw/zinc/07/14/49/240071449.db2.gz PRHPRZBSTBCVJC-NSHDSACASA-N 0 0 295.339 2.690 20 5 CFBDRN CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ncccc1F ZINC000434314754 240223142 /nfs/dbraw/zinc/22/31/42/240223142.db2.gz HHHNUHLPGRZMDN-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN C[C@H]1C[C@@H]1c1cc(NC(=O)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000194590506 189664308 /nfs/dbraw/zinc/66/43/08/189664308.db2.gz SKEJYTHTAHKFBE-KWQFWETISA-N 0 0 286.291 2.694 20 5 CFBDRN COc1cc(C(=O)N2CC(C)=C[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000436024995 240380087 /nfs/dbraw/zinc/38/00/87/240380087.db2.gz FVQSYMCTWGHORY-JTQLQIEISA-N 0 0 290.319 2.642 20 5 CFBDRN Cc1cc[nH]c1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000436119409 240391242 /nfs/dbraw/zinc/39/12/42/240391242.db2.gz CRDBISPYUMHPQO-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1cccc(NC[C@H](C)C[C@H](C)O)c1[N+](=O)[O-] ZINC000275429020 193034213 /nfs/dbraw/zinc/03/42/13/193034213.db2.gz INGMMVIDTLIIAH-KOLCDFICSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cc(C(=O)NCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000437079512 240538618 /nfs/dbraw/zinc/53/86/18/240538618.db2.gz QJAITBCMGLCBDZ-UHFFFAOYSA-N 0 0 276.214 2.585 20 5 CFBDRN COc1ccc(CNC(=O)C2=CCCCC2)cc1[N+](=O)[O-] ZINC000275434511 193035803 /nfs/dbraw/zinc/03/58/03/193035803.db2.gz JGGYECSBDKAGBI-UHFFFAOYSA-N 0 0 290.319 2.720 20 5 CFBDRN CSCc1cccc(CNc2c([N+](=O)[O-])ncn2C)c1 ZINC000275394252 193019713 /nfs/dbraw/zinc/01/97/13/193019713.db2.gz CVMSRDBPQWKEFE-UHFFFAOYSA-N 0 0 292.364 2.803 20 5 CFBDRN Cc1cccc(NCCCO[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000275397520 193022097 /nfs/dbraw/zinc/02/20/97/193022097.db2.gz DLSMRRHZGMPYMQ-GFCCVEGCSA-N 0 0 280.324 2.511 20 5 CFBDRN CC1(C)CN(Cc2ccc([N+](=O)[O-])cc2Cl)CC[C@H]1O ZINC000275481631 193054403 /nfs/dbraw/zinc/05/44/03/193054403.db2.gz OJNAMGISCRXYNY-CYBMUJFWSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1nccn1CCCN(C)c1cccc(C)c1[N+](=O)[O-] ZINC000275511129 193061984 /nfs/dbraw/zinc/06/19/84/193061984.db2.gz UZHOJRAUXGRCHO-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN Cc1ccccc1CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000439295238 240759043 /nfs/dbraw/zinc/75/90/43/240759043.db2.gz KUYACTQWYVDYPC-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN Cc1ccc(F)cc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000439299887 240760226 /nfs/dbraw/zinc/76/02/26/240760226.db2.gz XKHLWOZJJXMZLE-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CCO[C@@H](C)C1 ZINC000275834778 193142153 /nfs/dbraw/zinc/14/21/53/193142153.db2.gz YQYVSAODNQASLL-QWRGUYRKSA-N 0 0 293.323 2.592 20 5 CFBDRN CCCN(CCO)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000275791606 193128303 /nfs/dbraw/zinc/12/83/03/193128303.db2.gz LCLDGLACOLKFOI-UHFFFAOYSA-N 0 0 294.351 2.563 20 5 CFBDRN CO[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CC[C@@H]1C ZINC000275826039 193139940 /nfs/dbraw/zinc/13/99/40/193139940.db2.gz KRWJTQZMYWPZFE-HZMBPMFUSA-N 0 0 282.315 2.591 20 5 CFBDRN CC[C@H](F)CS(=O)(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000443062781 241034450 /nfs/dbraw/zinc/03/44/50/241034450.db2.gz XKASFIASONLICT-NSHDSACASA-N 0 0 289.328 2.566 20 5 CFBDRN CCCN(CCc1ccccn1)c1ccc([N+](=O)[O-])nc1 ZINC000443500535 241068178 /nfs/dbraw/zinc/06/81/78/241068178.db2.gz NCQXRCGLYSILPL-UHFFFAOYSA-N 0 0 286.335 2.844 20 5 CFBDRN CCOC(C)(C)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000444000882 241107344 /nfs/dbraw/zinc/10/73/44/241107344.db2.gz IYBPVTQPDVNOMS-UHFFFAOYSA-N 0 0 267.329 2.834 20 5 CFBDRN O=C(Nc1nc(C2CCC2)cs1)c1cc([N+](=O)[O-])c[nH]1 ZINC000444527238 241147409 /nfs/dbraw/zinc/14/74/09/241147409.db2.gz RVIHZSKNNKLBCZ-UHFFFAOYSA-N 0 0 292.320 2.899 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000444320210 241135221 /nfs/dbraw/zinc/13/52/21/241135221.db2.gz QPRWVOSHRORZOY-NWDGAFQWSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1CC1(C)C ZINC000446034147 241262646 /nfs/dbraw/zinc/26/26/46/241262646.db2.gz AMUAVSDDQQHLRR-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1c(CN2C[C@H](C)O[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000446072144 241272013 /nfs/dbraw/zinc/27/20/13/241272013.db2.gz LNVFLFCYVDFQCH-WCFLWFBJSA-N 0 0 278.352 2.901 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000445246946 241211287 /nfs/dbraw/zinc/21/12/87/241211287.db2.gz FXZCAWGIQKIQLG-UHFFFAOYSA-N 0 0 288.259 2.951 20 5 CFBDRN COc1ccc(C(=O)N2CC[C@H](C(C)C)C2)cc1[N+](=O)[O-] ZINC000446332736 241295149 /nfs/dbraw/zinc/29/51/49/241295149.db2.gz PBSSQIGRYFWKSM-LBPRGKRZSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C(C)C)C1 ZINC000446322794 241295496 /nfs/dbraw/zinc/29/54/96/241295496.db2.gz FJHKLHRSKBVVTP-LLVKDONJSA-N 0 0 292.335 2.722 20 5 CFBDRN COCCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000446485353 241303343 /nfs/dbraw/zinc/30/33/43/241303343.db2.gz PAVSBLYITJQMFJ-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000446539021 241303960 /nfs/dbraw/zinc/30/39/60/241303960.db2.gz KJATZDKEYNAYNB-UHFFFAOYSA-N 0 0 291.351 2.951 20 5 CFBDRN COc1cccc(N[C@@H]2C[C@@H]3OCCC[C@H]23)c1[N+](=O)[O-] ZINC000302583363 201483114 /nfs/dbraw/zinc/48/31/14/201483114.db2.gz UNZNRFUFHVUPAZ-XWIASGKRSA-N 0 0 278.308 2.583 20 5 CFBDRN CCOC(=O)c1sc(Br)cc1[N+](=O)[O-] ZINC000447194020 241358595 /nfs/dbraw/zinc/35/85/95/241358595.db2.gz QMZFKDVZGYLGSO-UHFFFAOYSA-N 0 0 280.099 2.596 20 5 CFBDRN O=C(CC1CC1)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000447290564 241361515 /nfs/dbraw/zinc/36/15/15/241361515.db2.gz OYYIJWDFXIROJC-UHFFFAOYSA-N 0 0 293.710 2.967 20 5 CFBDRN C[C@@H]1C[C@H](COc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000447297177 241363519 /nfs/dbraw/zinc/36/35/19/241363519.db2.gz UTRNACKNBIUKBO-GHMZBOCLSA-N 0 0 251.282 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2cccnc2)c1 ZINC000446903750 241335782 /nfs/dbraw/zinc/33/57/82/241335782.db2.gz DGEWJMSKQIMPBI-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H](F)C2)c(Cl)c1 ZINC000449389740 241892964 /nfs/dbraw/zinc/89/29/64/241892964.db2.gz DUMNBGWNYCNDBA-SECBINFHSA-N 0 0 258.680 2.792 20 5 CFBDRN CCc1ncc(CNCc2ccc([N+](=O)[O-])cc2F)o1 ZINC000449610020 242062939 /nfs/dbraw/zinc/06/29/39/242062939.db2.gz PFDVSVRYMCZQLT-UHFFFAOYSA-N 0 0 279.271 2.574 20 5 CFBDRN CCCc1ncc(CNCc2ccc([N+](=O)[O-])cc2)o1 ZINC000449609466 242064811 /nfs/dbraw/zinc/06/48/11/242064811.db2.gz BTVFVRCZUVDOQL-UHFFFAOYSA-N 0 0 275.308 2.825 20 5 CFBDRN COC[C@@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000449768214 242148709 /nfs/dbraw/zinc/14/87/09/242148709.db2.gz AHJZJMGCMXZHBN-GFCCVEGCSA-N 0 0 294.351 2.549 20 5 CFBDRN Cc1nc(N[C@H]2C[C@@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000450274682 242337271 /nfs/dbraw/zinc/33/72/71/242337271.db2.gz HNNFNQPSOVYWKI-NEPJUHHUSA-N 0 0 270.292 2.661 20 5 CFBDRN C[C@@H]1C[C@@H]1Nc1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450309556 242350421 /nfs/dbraw/zinc/35/04/21/242350421.db2.gz UJOOYHIOVVURDX-KCJUWKMLSA-N 0 0 275.333 2.961 20 5 CFBDRN Cc1nc(N2CCC[C@H]2Cc2ccccc2)ncc1[N+](=O)[O-] ZINC000450243086 242322337 /nfs/dbraw/zinc/32/23/37/242322337.db2.gz MRNWFBYGZWZMEA-AWEZNQCLSA-N 0 0 298.346 2.905 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])nc1)[C@@H]1CC[C@@H](C)C1 ZINC000450478947 242443638 /nfs/dbraw/zinc/44/36/38/242443638.db2.gz KHMZOQYAAGALBJ-VXGBXAGGSA-N 0 0 279.340 2.631 20 5 CFBDRN C[C@H](CO)CSc1nccc2c1cccc2[N+](=O)[O-] ZINC000450355912 242375638 /nfs/dbraw/zinc/37/56/38/242375638.db2.gz FEGQIGYKGOYYKS-SECBINFHSA-N 0 0 278.333 2.864 20 5 CFBDRN COC(C)(C)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450359393 242377483 /nfs/dbraw/zinc/37/74/83/242377483.db2.gz VZDQOKGPOXGXTL-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1nc(N[C@H]2CC3CCC2CC3)ncc1[N+](=O)[O-] ZINC000450385563 242388152 /nfs/dbraw/zinc/38/81/52/242388152.db2.gz CVQROXSNOMISBN-ILDUYXDCSA-N 0 0 262.313 2.684 20 5 CFBDRN Cc1cc(CNc2nc(C)cc(C)c2[N+](=O)[O-])ccn1 ZINC000450628857 242512311 /nfs/dbraw/zinc/51/23/11/242512311.db2.gz YVDONYDMFNAPJJ-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CC(C)C(C)(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000275911462 193160367 /nfs/dbraw/zinc/16/03/67/193160367.db2.gz WJJDQVXHDPNNNT-UHFFFAOYSA-N 0 0 278.352 2.936 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCC=C(C)C ZINC000302735737 201532786 /nfs/dbraw/zinc/53/27/86/201532786.db2.gz WODBMIJMDQJGJW-UHFFFAOYSA-N 0 0 277.324 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](CCCO)C2)c1 ZINC000450950843 242684391 /nfs/dbraw/zinc/68/43/91/242684391.db2.gz DTLGLAGXTXUZFN-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN O=C(NCC1=CCCCC1)c1ccc([N+](=O)[O-])s1 ZINC000452289706 243116749 /nfs/dbraw/zinc/11/67/49/243116749.db2.gz UJHHOXJEUAIOLR-UHFFFAOYSA-N 0 0 266.322 2.887 20 5 CFBDRN CCC[C@@H](NC(=O)c1cc([N+](=O)[O-])cnc1N)C(C)(C)C ZINC000452666273 243256469 /nfs/dbraw/zinc/25/64/69/243256469.db2.gz FFKVUJXKOQUDHR-LLVKDONJSA-N 0 0 294.355 2.517 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC1CCCCCC1 ZINC000452799455 243303005 /nfs/dbraw/zinc/30/30/05/243303005.db2.gz RQPSYUMBMGXWJQ-UHFFFAOYSA-N 0 0 291.351 2.998 20 5 CFBDRN O=C(NCC[C@@H]1CCc2ccccc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000452711078 243270990 /nfs/dbraw/zinc/27/09/90/243270990.db2.gz DHHVFRBUTFRMMA-LBPRGKRZSA-N 0 0 299.330 2.773 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@H]1C1CC1 ZINC000452985632 243355807 /nfs/dbraw/zinc/35/58/07/243355807.db2.gz IBXNRQGCILCNQB-ZDUSSCGKSA-N 0 0 294.738 2.802 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000330516343 202911797 /nfs/dbraw/zinc/91/17/97/202911797.db2.gz IHMWIPNNJOZHPR-ZJUUUORDSA-N 0 0 276.292 2.539 20 5 CFBDRN Cc1ccn(C)c1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000275998205 193184201 /nfs/dbraw/zinc/18/42/01/193184201.db2.gz HFTQHNZVWJFGPI-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN C[C@@H]1N(Cc2ccc([N+](=O)[O-])cc2)CCOC1(C)C ZINC000330603363 203028174 /nfs/dbraw/zinc/02/81/74/203028174.db2.gz FZVSRJKLBQSJSY-NSHDSACASA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@@H](C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C(C)(C)C ZINC000330608113 203032317 /nfs/dbraw/zinc/03/23/17/203032317.db2.gz PZESYCJXJKUIFV-QMMMGPOBSA-N 0 0 290.323 2.514 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000454150807 243642450 /nfs/dbraw/zinc/64/24/50/243642450.db2.gz ROOFMSGDIXQZLZ-WDEREUQCSA-N 0 0 281.287 2.915 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2CCC[C@@H]2C1)c1ccc([N+](=O)[O-])[nH]1 ZINC000332228098 284097605 /nfs/dbraw/zinc/09/76/05/284097605.db2.gz LDBTYAIHNIHVAP-GMTAPVOTSA-N 0 0 277.324 2.622 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000455782423 244018019 /nfs/dbraw/zinc/01/80/19/244018019.db2.gz HFPYMRCYJDGXHD-ZJUUUORDSA-N 0 0 294.355 2.586 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000455874988 244028378 /nfs/dbraw/zinc/02/83/78/244028378.db2.gz MIDDCLKYNTXXPV-JTQLQIEISA-N 0 0 294.355 2.540 20 5 CFBDRN CC(C)[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]1C(C)C ZINC000456274999 244122496 /nfs/dbraw/zinc/12/24/96/244122496.db2.gz AKNBGDBKDPFPEW-MFKMUULPSA-N 0 0 279.340 2.676 20 5 CFBDRN C[C@@H](CCNC(=O)c1nn(C)cc1[N+](=O)[O-])CC(C)(C)C ZINC000276062706 193202156 /nfs/dbraw/zinc/20/21/56/193202156.db2.gz ZWDQVPFSVAQRGK-JTQLQIEISA-N 0 0 296.371 2.521 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCC(=O)C(C)(C)C)n1 ZINC000330802771 203254133 /nfs/dbraw/zinc/25/41/33/203254133.db2.gz IBVBTXYKVJKHFW-UHFFFAOYSA-N 0 0 266.297 2.601 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2C[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000456913803 244326366 /nfs/dbraw/zinc/32/63/66/244326366.db2.gz ZDMAAFCOGWOENU-STQMWFEESA-N 0 0 274.320 2.679 20 5 CFBDRN COC[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000456940137 244331531 /nfs/dbraw/zinc/33/15/31/244331531.db2.gz PBYJRVKHOHODOW-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN Cn1c(C(=O)N2CC[C@H](CC(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000457018810 244360468 /nfs/dbraw/zinc/36/04/68/244360468.db2.gz MLAAIWTTWNKDRY-LLVKDONJSA-N 0 0 293.367 2.832 20 5 CFBDRN Cn1c(C(=O)N2CC[C@@H](CC(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000457018812 244361049 /nfs/dbraw/zinc/36/10/49/244361049.db2.gz MLAAIWTTWNKDRY-NSHDSACASA-N 0 0 293.367 2.832 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000428098767 284150477 /nfs/dbraw/zinc/15/04/77/284150477.db2.gz KMKFYEMKWGIMOV-GNXNZQSNSA-N 0 0 286.287 2.869 20 5 CFBDRN CCOc1ccc(C(=O)N2C[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000330855533 203317443 /nfs/dbraw/zinc/31/74/43/203317443.db2.gz HWZBCYJJSXDPEN-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@]2(C)CCOC2)cc1[N+](=O)[O-] ZINC000330858105 203321327 /nfs/dbraw/zinc/32/13/27/203321327.db2.gz OIBWLHPSOWBBMC-NHYWBVRUSA-N 0 0 292.335 2.507 20 5 CFBDRN Cc1nn(C)c(NCCc2ccc(F)c(F)c2)c1[N+](=O)[O-] ZINC000276201052 193240996 /nfs/dbraw/zinc/24/09/96/193240996.db2.gz ISYKALWKHHDPBA-UHFFFAOYSA-N 0 0 296.277 2.570 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CSC2(C)C)cc1[N+](=O)[O-] ZINC000276292720 193273545 /nfs/dbraw/zinc/27/35/45/193273545.db2.gz RNISPBHPUGJZDI-NSHDSACASA-N 0 0 280.349 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1nccs1)CCN2 ZINC000276350994 193296201 /nfs/dbraw/zinc/29/62/01/193296201.db2.gz XYBNCAVRBYTPCL-UHFFFAOYSA-N 0 0 276.321 2.631 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CSC1(C)C ZINC000276319651 193286367 /nfs/dbraw/zinc/28/63/67/193286367.db2.gz WKTQHTOEDKCAKX-NSHDSACASA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CSC1(C)C ZINC000276540985 193374141 /nfs/dbraw/zinc/37/41/41/193374141.db2.gz WZDFGNCCUMHCDP-VIFPVBQESA-N 0 0 253.327 2.604 20 5 CFBDRN CC(C)(CCO)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276566979 193382784 /nfs/dbraw/zinc/38/27/84/193382784.db2.gz HARBMMCYQDGNJA-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@]1(Nc2nc3ccccc3cc2[N+](=O)[O-])CCOC1 ZINC000276640515 193411447 /nfs/dbraw/zinc/41/14/47/193411447.db2.gz PLDVKWAVKJWWGZ-CQSZACIVSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1nn(C[C@H]2CC[C@H](C)O2)c2ccc([N+](=O)[O-])cc12 ZINC000276594205 193394254 /nfs/dbraw/zinc/39/42/54/193394254.db2.gz QGNOPXPMZXVUGC-JOYOIKCWSA-N 0 0 275.308 2.820 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000458130601 244776023 /nfs/dbraw/zinc/77/60/23/244776023.db2.gz NWUXJHIPECTJIZ-ZFWWWQNUSA-N 0 0 294.326 2.827 20 5 CFBDRN CC(C)=CCCNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000276742085 193446385 /nfs/dbraw/zinc/44/63/85/193446385.db2.gz FBJDZMUVFVAEST-UHFFFAOYSA-N 0 0 284.262 2.959 20 5 CFBDRN CCC[C@@H](NC(=O)c1cc([N+](=O)[O-])cnc1C)C1CCC1 ZINC000458312622 244841798 /nfs/dbraw/zinc/84/17/98/244841798.db2.gz SVGMBTXQLNVURG-CQSZACIVSA-N 0 0 291.351 2.997 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000458248837 244813611 /nfs/dbraw/zinc/81/36/11/244813611.db2.gz BVIJYQGBXQZBCZ-LQJRIPTKSA-N 0 0 292.310 2.581 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])cc2OC)CC1 ZINC000277182143 193577301 /nfs/dbraw/zinc/57/73/01/193577301.db2.gz CGQBHWVPBDRDSA-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000459269909 245114520 /nfs/dbraw/zinc/11/45/20/245114520.db2.gz AAZRFWKNFFPQQP-YPMHNXCESA-N 0 0 293.323 2.592 20 5 CFBDRN CC1(C)CCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000331606224 204007576 /nfs/dbraw/zinc/00/75/76/204007576.db2.gz ZSYNRSVODCIIKI-UHFFFAOYSA-N 0 0 274.324 2.703 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000460060390 245348582 /nfs/dbraw/zinc/34/85/82/245348582.db2.gz LDRGYXDWSMCXDA-ONGXEEELSA-N 0 0 296.298 2.878 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C(C)C)C2CCC2)c1[N+](=O)[O-] ZINC000331796449 204174411 /nfs/dbraw/zinc/17/44/11/204174411.db2.gz QXLLSIAUCLPQJH-UHFFFAOYSA-N 0 0 294.355 2.844 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000462277674 245380611 /nfs/dbraw/zinc/38/06/11/245380611.db2.gz VPYYHZPDEANWOK-TZMCWYRMSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)NC1CCCCC1 ZINC000001284271 371665328 /nfs/dbraw/zinc/66/53/28/371665328.db2.gz GVTJQOKWGOHFBP-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1c1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000000500140 371631750 /nfs/dbraw/zinc/63/17/50/371631750.db2.gz UUZURYMZVJMUKG-LSDHHAIUSA-N 0 0 282.299 2.881 20 5 CFBDRN COc1c(C(=O)N2CCC[C@@H](C)CC2)cccc1[N+](=O)[O-] ZINC000332254956 204554738 /nfs/dbraw/zinc/55/47/38/204554738.db2.gz ZCCARWPJJXQYQD-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN CCNc1ccc(I)cc1[N+](=O)[O-] ZINC000006392315 371888304 /nfs/dbraw/zinc/88/83/04/371888304.db2.gz XKNDGCUWNAVGCK-UHFFFAOYSA-N 0 0 292.076 2.631 20 5 CFBDRN C[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000006899635 371892557 /nfs/dbraw/zinc/89/25/57/371892557.db2.gz MRPLQTSEIFVIEK-RKDXNWHRSA-N 0 0 270.716 2.862 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000010413619 371908539 /nfs/dbraw/zinc/90/85/39/371908539.db2.gz YPXGNBDYLRGDBA-CMPLNLGQSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1cc(CNC(=O)c2ccc([N+](=O)[O-])cc2)ccc1F ZINC000011008652 371916136 /nfs/dbraw/zinc/91/61/36/371916136.db2.gz ORGKCPYDQIOEAL-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CCC[C@H](C)Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000011607086 371921650 /nfs/dbraw/zinc/92/16/50/371921650.db2.gz FRQYVEKXHNRIGG-VIFPVBQESA-N 0 0 286.353 2.599 20 5 CFBDRN CC(C)(CO)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000011688956 371924354 /nfs/dbraw/zinc/92/43/54/371924354.db2.gz MOKRWEPNJUPFST-UHFFFAOYSA-N 0 0 278.230 2.796 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000332431415 204689873 /nfs/dbraw/zinc/68/98/73/204689873.db2.gz JAVRKVODARXABT-CYBMUJFWSA-N 0 0 298.289 2.672 20 5 CFBDRN O=C(NCCc1ccsc1)c1cccc([N+](=O)[O-])c1 ZINC000013698181 372041967 /nfs/dbraw/zinc/04/19/67/372041967.db2.gz QEWVDOULIXEBTF-UHFFFAOYSA-N 0 0 276.317 2.629 20 5 CFBDRN CN1C(=O)c2ccccc2N[C@@H]1c1ccccc1[N+](=O)[O-] ZINC000015249183 372082426 /nfs/dbraw/zinc/08/24/26/372082426.db2.gz OVTKAMVRDNWFTR-AWEZNQCLSA-N 0 0 283.287 2.791 20 5 CFBDRN C[C@H](NCc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000020091743 372228503 /nfs/dbraw/zinc/22/85/03/372228503.db2.gz MQVFPZBBHDOSLH-NSHDSACASA-N 0 0 257.293 2.841 20 5 CFBDRN CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N(C)C)CC1 ZINC000021768416 372242630 /nfs/dbraw/zinc/24/26/30/372242630.db2.gz FMEQUYMPLSTDSO-UHFFFAOYSA-N 0 0 291.351 2.533 20 5 CFBDRN Cc1ccc(C(=O)COc2cccnc2[N+](=O)[O-])cc1 ZINC000025403646 372298759 /nfs/dbraw/zinc/29/87/59/372298759.db2.gz NRTGLGGKISLLIY-UHFFFAOYSA-N 0 0 272.260 2.560 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCCCO1 ZINC000338413399 284316590 /nfs/dbraw/zinc/31/65/90/284316590.db2.gz WFBLGLIGQJDEIG-UHFFFAOYSA-N 0 0 285.687 2.808 20 5 CFBDRN O=C(NCCSc1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000024383381 372274445 /nfs/dbraw/zinc/27/44/45/372274445.db2.gz VMWSYFDFEKVVBN-UHFFFAOYSA-N 0 0 292.316 2.710 20 5 CFBDRN CN(Cc1cccc(F)c1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000027543274 372343423 /nfs/dbraw/zinc/34/34/23/372343423.db2.gz KKMFUWODXWFHPL-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN CC[C@H]1CCCC[C@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000029624269 372382728 /nfs/dbraw/zinc/38/27/28/372382728.db2.gz JMFXVCPTQYVWEB-VHSXEESVSA-N 0 0 252.318 2.709 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOc3ccccc32)c1 ZINC000030594228 372394267 /nfs/dbraw/zinc/39/42/67/372394267.db2.gz AOVZYZAFYWODHZ-UHFFFAOYSA-N 0 0 270.288 2.994 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000032032202 372425375 /nfs/dbraw/zinc/42/53/75/372425375.db2.gz KCAFFYGXGRBHPI-WDEREUQCSA-N 0 0 262.309 2.903 20 5 CFBDRN O=C(N[C@H]1CCCc2occc21)c1cccc([N+](=O)[O-])c1 ZINC000033606714 372498047 /nfs/dbraw/zinc/49/80/47/372498047.db2.gz NKHDQYOPNXDWAM-ZDUSSCGKSA-N 0 0 286.287 2.995 20 5 CFBDRN Cc1cc(NC2(CO)CCCC2)ccc1[N+](=O)[O-] ZINC000034395969 372508584 /nfs/dbraw/zinc/50/85/84/372508584.db2.gz SDDVORJRZUWMDM-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000035784489 372551184 /nfs/dbraw/zinc/55/11/84/372551184.db2.gz SDYXKMXUHVPKGB-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN CCOCCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000036230010 372567737 /nfs/dbraw/zinc/56/77/37/372567737.db2.gz NLZIBMFBBHFEHI-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000036450724 372581145 /nfs/dbraw/zinc/58/11/45/372581145.db2.gz GVECNKYEGGPLEB-UHFFFAOYSA-N 0 0 275.235 2.996 20 5 CFBDRN CCN(C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000037023059 372637431 /nfs/dbraw/zinc/63/74/31/372637431.db2.gz CTOMRKSUHYLMFO-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC1CCC2(CC1)OCCO2 ZINC000037058975 372643338 /nfs/dbraw/zinc/64/33/38/372643338.db2.gz ONPFJJGJPFNDAN-UHFFFAOYSA-N 0 0 278.308 2.692 20 5 CFBDRN COc1ncccc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000037650521 372686716 /nfs/dbraw/zinc/68/67/16/372686716.db2.gz GKHHYPAKNASQPD-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cccc3c2OCO3)cc1 ZINC000037668376 372688345 /nfs/dbraw/zinc/68/83/45/372688345.db2.gz BTJLGLYGFBTMOG-UHFFFAOYSA-N 0 0 286.287 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCOC2)c2ncccc12 ZINC000037988101 372750235 /nfs/dbraw/zinc/75/02/35/372750235.db2.gz ZCQGYWMSGFVAFX-SNVBAGLBSA-N 0 0 273.292 2.591 20 5 CFBDRN Cc1cccc(OCC(=O)C(C)(C)C)c1[N+](=O)[O-] ZINC000037991073 372752693 /nfs/dbraw/zinc/75/26/93/372752693.db2.gz WZOFSYVVPHGBPU-UHFFFAOYSA-N 0 0 251.282 2.897 20 5 CFBDRN Cc1n[nH]cc1CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000038011934 372766140 /nfs/dbraw/zinc/76/61/40/372766140.db2.gz GGCIWIGRDYRTAP-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)[C@@H]1C ZINC000041781164 372861667 /nfs/dbraw/zinc/86/16/67/372861667.db2.gz XHPKWBZKBGDALX-OPRDCNLKSA-N 0 0 266.297 2.742 20 5 CFBDRN CCCCOCCCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000041780678 372861692 /nfs/dbraw/zinc/86/16/92/372861692.db2.gz UJJJJVLDAIQRSR-UHFFFAOYSA-N 0 0 280.324 2.531 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@@H](C(C)C)C1 ZINC000480315760 245635389 /nfs/dbraw/zinc/63/53/89/245635389.db2.gz RRDXGNJVZKMRMF-GFCCVEGCSA-N 0 0 280.372 2.992 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000042772867 372954138 /nfs/dbraw/zinc/95/41/38/372954138.db2.gz RJFFUBBLRHIEPR-UHFFFAOYSA-N 0 0 294.204 2.758 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000042822982 372960429 /nfs/dbraw/zinc/96/04/29/372960429.db2.gz XOIJUCUZQIFCDX-LBPRGKRZSA-N 0 0 250.298 2.884 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000043043736 372973102 /nfs/dbraw/zinc/97/31/02/372973102.db2.gz CEYCYOSJVFAGCT-YPMHNXCESA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1ncsc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000043270089 372987671 /nfs/dbraw/zinc/98/76/71/372987671.db2.gz RYDLAQBEILAJQW-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CC(C)c1cccc(NC(=O)Cn2ccc([N+](=O)[O-])n2)c1 ZINC000043269448 372988172 /nfs/dbraw/zinc/98/81/72/372988172.db2.gz SVOAHBRCMALZKO-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN CN(Cc1cscn1)Cc1ccccc1[N+](=O)[O-] ZINC000046599153 373056897 /nfs/dbraw/zinc/05/68/97/373056897.db2.gz LFMPWNNCIBQIGF-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1CCCCC1 ZINC000046146775 373044424 /nfs/dbraw/zinc/04/44/24/373044424.db2.gz SSXMUSJXKBSYKK-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN CCOc1cc(NCC[C@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000486926073 245702980 /nfs/dbraw/zinc/70/29/80/245702980.db2.gz NFDZCOLEQXOKTN-ZDUSSCGKSA-N 0 0 282.340 2.812 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSc1nncs1 ZINC000047822816 373117925 /nfs/dbraw/zinc/11/79/25/373117925.db2.gz TVDFKCKDSYUFIL-UHFFFAOYSA-N 0 0 253.308 2.739 20 5 CFBDRN CCOc1cc(NC[C@@H](O)[C@@H](C)CC)ccc1[N+](=O)[O-] ZINC000486921367 245703910 /nfs/dbraw/zinc/70/39/10/245703910.db2.gz BLKBOFDUXHXWAO-GXFFZTMASA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC000048552953 373198631 /nfs/dbraw/zinc/19/86/31/373198631.db2.gz JSXUCGDHWIUICV-GFCCVEGCSA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000048859939 373227023 /nfs/dbraw/zinc/22/70/23/373227023.db2.gz TXRPUWYGMZCMLN-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN CCc1ncc(C(=O)NCc2cccc([N+](=O)[O-])c2)s1 ZINC000049401746 373293589 /nfs/dbraw/zinc/29/35/89/373293589.db2.gz KABPGLCUKNUIDF-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037470 373342007 /nfs/dbraw/zinc/34/20/07/373342007.db2.gz LCUWXHONEARCET-MRVPVSSYSA-N 0 0 286.278 2.991 20 5 CFBDRN Cc1noc(C)c1CC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000051705548 373405502 /nfs/dbraw/zinc/40/55/02/373405502.db2.gz VHYXANVHCLNWGR-UHFFFAOYSA-N 0 0 290.275 2.656 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@H]2O)c2cccnc21 ZINC000052006400 373428021 /nfs/dbraw/zinc/42/80/21/373428021.db2.gz NFONEYDUPUBZEP-TZMCWYRMSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@@H]2O)c2ccncc21 ZINC000052006329 373428413 /nfs/dbraw/zinc/42/84/13/373428413.db2.gz GHBUMLWMYIBJAT-HIFRSBDPSA-N 0 0 287.319 2.858 20 5 CFBDRN CC(C)CN(CC(C)C)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050706088 373381521 /nfs/dbraw/zinc/38/15/21/373381521.db2.gz XFJXZQIKZPDUMS-UHFFFAOYSA-N 0 0 293.367 2.931 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000050696579 373382219 /nfs/dbraw/zinc/38/22/19/373382219.db2.gz ITLLZPCOLLZXSW-NXEZZACHSA-N 0 0 277.324 2.580 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1cccc(F)c1[N+](=O)[O-] ZINC000054269542 373510040 /nfs/dbraw/zinc/51/00/40/373510040.db2.gz JNWFZLZGEXNVIY-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@@H]1Cc1ccccc1 ZINC000055472829 373553261 /nfs/dbraw/zinc/55/32/61/373553261.db2.gz CMESASBXMZVSQE-CYBMUJFWSA-N 0 0 299.330 2.770 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000055467397 373553967 /nfs/dbraw/zinc/55/39/67/373553967.db2.gz FVSIJNZDDZNCOL-VXGBXAGGSA-N 0 0 294.351 2.833 20 5 CFBDRN CCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000056988203 373576602 /nfs/dbraw/zinc/57/66/02/373576602.db2.gz CZSAFBJRCAOMJH-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000059917501 373686522 /nfs/dbraw/zinc/68/65/22/373686522.db2.gz ACFUZVWDXQPOMQ-LLVKDONJSA-N 0 0 260.297 2.892 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCOC1CCCC1 ZINC000192544279 220226395 /nfs/dbraw/zinc/22/63/95/220226395.db2.gz YSSGFZPDOJNSFI-UHFFFAOYSA-N 0 0 296.371 2.881 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)N2CCC[C@@H](C)C2)c1 ZINC000060608894 373699332 /nfs/dbraw/zinc/69/93/32/373699332.db2.gz KCWGDSZANKJEOS-GFCCVEGCSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@H]1CCCN(CC(=O)Nc2ccccc2[N+](=O)[O-])CC1 ZINC000063309345 373802739 /nfs/dbraw/zinc/80/27/39/373802739.db2.gz RBNMEEGRZAGYAM-GFCCVEGCSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1cccc(CNC(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000063069753 373783776 /nfs/dbraw/zinc/78/37/76/373783776.db2.gz ZKYXNRBBMBWYIE-UHFFFAOYSA-N 0 0 299.330 2.903 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)CSC ZINC000066756173 373949193 /nfs/dbraw/zinc/94/91/93/373949193.db2.gz BIMDIRPIJQCSJN-QMMMGPOBSA-N 0 0 284.337 2.541 20 5 CFBDRN CSC[C@@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000066763737 373952312 /nfs/dbraw/zinc/95/23/12/373952312.db2.gz KJJSKIPTSSTLEX-ZJUUUORDSA-N 0 0 282.365 2.771 20 5 CFBDRN CN(C(=O)CCOc1ccccc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000489356694 245942792 /nfs/dbraw/zinc/94/27/92/245942792.db2.gz VCKHBVVWNRFJMN-ZDUSSCGKSA-N 0 0 292.335 2.621 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]1c1ccccc1 ZINC000067503003 373981059 /nfs/dbraw/zinc/98/10/59/373981059.db2.gz MSYUVUMRRAYRIM-JKSUJKDBSA-N 0 0 296.326 2.810 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C(C)C)C2CC2)c1 ZINC000067545253 373984148 /nfs/dbraw/zinc/98/41/48/373984148.db2.gz OJNHKRUQSYXKEO-UHFFFAOYSA-N 0 0 278.308 2.616 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000431775594 383696108 /nfs/dbraw/zinc/69/61/08/383696108.db2.gz YBMGIIZFXZFHIP-WDEREUQCSA-N 0 0 291.351 2.785 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)CC(C)(C)O1 ZINC000068475501 374029836 /nfs/dbraw/zinc/02/98/36/374029836.db2.gz SKRCVKOAQJKZLM-NSHDSACASA-N 0 0 264.325 2.594 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)NC[C@@H]1CC=CCC1 ZINC000069108920 374049413 /nfs/dbraw/zinc/04/94/13/374049413.db2.gz WTTZSVRVGBFUFR-GFCCVEGCSA-N 0 0 289.335 2.750 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1C2CCC1CC2 ZINC000490736350 246023143 /nfs/dbraw/zinc/02/31/43/246023143.db2.gz WAPAGRQCWKTHAW-KMKOMSMNSA-N 0 0 272.304 2.761 20 5 CFBDRN CN(Cc1ccncc1)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000490823528 246025826 /nfs/dbraw/zinc/02/58/26/246025826.db2.gz QVVHTJAZOWQKBI-VOTSOKGWSA-N 0 0 297.314 2.662 20 5 CFBDRN C[C@@H](N[C@@H](C)c1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000070445061 374176211 /nfs/dbraw/zinc/17/62/11/374176211.db2.gz MKUVVVQTQMJFIT-MNOVXSKESA-N 0 0 274.324 2.740 20 5 CFBDRN O=C(/C=C\c1ccncc1)Nc1cccc([N+](=O)[O-])c1 ZINC000490950266 246033630 /nfs/dbraw/zinc/03/36/30/246033630.db2.gz USAZOBBVZDATRG-PLNGDYQASA-N 0 0 269.260 2.642 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000491113107 246041804 /nfs/dbraw/zinc/04/18/04/246041804.db2.gz ZNKLTKCGZHNKTK-JQWIXIFHSA-N 0 0 291.351 2.928 20 5 CFBDRN Cc1ccnc(NCc2ccc3c(c2)OCO3)c1[N+](=O)[O-] ZINC000070496333 374187090 /nfs/dbraw/zinc/18/70/90/374187090.db2.gz NLZANQNNWQZAOL-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NCC1CCC1 ZINC000491290185 246058433 /nfs/dbraw/zinc/05/84/33/246058433.db2.gz AQRPHBUXOOMSTF-FPLPWBNLSA-N 0 0 260.293 2.524 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491323482 246060919 /nfs/dbraw/zinc/06/09/19/246060919.db2.gz ZOFLTYQPPAOFNJ-PMEPJVLUSA-N 0 0 278.283 2.519 20 5 CFBDRN COc1ccncc1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491366404 246063700 /nfs/dbraw/zinc/06/37/00/246063700.db2.gz LVJGTPFPYNYXBN-SREVYHEPSA-N 0 0 299.286 2.650 20 5 CFBDRN Cc1nn(Cc2ccc(F)c(F)c2)c(C)c1[N+](=O)[O-] ZINC000072649100 374272360 /nfs/dbraw/zinc/27/23/60/374272360.db2.gz SYNFNJRWFIDRBD-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000072645251 374272538 /nfs/dbraw/zinc/27/25/38/374272538.db2.gz MALSETPBOXOIGJ-TXEJJXNPSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000072644792 374272686 /nfs/dbraw/zinc/27/26/86/374272686.db2.gz UQNAPLZFANAIPW-TXEJJXNPSA-N 0 0 292.335 2.763 20 5 CFBDRN CC(C)(C)CNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000491200278 246048784 /nfs/dbraw/zinc/04/87/84/246048784.db2.gz OUVIWLFGBFBAMX-BQYQJAHWSA-N 0 0 262.309 2.770 20 5 CFBDRN CN(Cc1ccccn1)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491213499 246050400 /nfs/dbraw/zinc/05/04/00/246050400.db2.gz YDFJIPRBFKMLMX-HJWRWDBZSA-N 0 0 297.314 2.662 20 5 CFBDRN C[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC(C)(C)C ZINC000072944708 374305605 /nfs/dbraw/zinc/30/56/05/374305605.db2.gz KOLQRMMOENUMKC-MRVPVSSYSA-N 0 0 299.758 2.963 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N(CC(F)F)C1CC1 ZINC000491563679 246081392 /nfs/dbraw/zinc/08/13/92/246081392.db2.gz SPGJOXUYJFAEPZ-QPJJXVBHSA-N 0 0 296.273 2.864 20 5 CFBDRN O=C(/C=C/c1ccncc1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000491849511 246098037 /nfs/dbraw/zinc/09/80/37/246098037.db2.gz OTHFHXYWRSYTOC-SNAWJCMRSA-N 0 0 295.298 2.592 20 5 CFBDRN CO[C@@]1(C)C[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1(C)C ZINC000073272332 374334551 /nfs/dbraw/zinc/33/45/51/374334551.db2.gz MLSGLSFWMDCXGS-RNCFNFMXSA-N 0 0 298.364 2.590 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NCCC(F)(F)F ZINC000491915793 246121033 /nfs/dbraw/zinc/12/10/33/246121033.db2.gz SKLQIIQSKLKTPC-AATRIKPKSA-N 0 0 288.225 2.677 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC12CCC2 ZINC000491922810 246123493 /nfs/dbraw/zinc/12/34/93/246123493.db2.gz AGDFGBHIMUBGRB-QPJJXVBHSA-N 0 0 272.304 2.763 20 5 CFBDRN Cc1ccc(C(=O)N(C)[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000073786048 374384450 /nfs/dbraw/zinc/38/44/50/374384450.db2.gz AFDFHVBCGHHRAE-JTQLQIEISA-N 0 0 262.309 2.774 20 5 CFBDRN CC(C)N(C(=O)CN(C)c1ccccc1[N+](=O)[O-])C(C)C ZINC000074729976 374433795 /nfs/dbraw/zinc/43/37/95/374433795.db2.gz ISOVHJZNAVJGMI-UHFFFAOYSA-N 0 0 293.367 2.676 20 5 CFBDRN Cc1cccc(NCCNc2ccccc2[N+](=O)[O-])n1 ZINC000074277706 374411208 /nfs/dbraw/zinc/41/12/08/374411208.db2.gz FPHPJTCELKPJSB-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\C1CCC1 ZINC000492041652 246166643 /nfs/dbraw/zinc/16/66/43/246166643.db2.gz DERQWPPXRKJHFB-YVMONPNESA-N 0 0 276.292 2.898 20 5 CFBDRN CC=CC=CC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000492104435 246187314 /nfs/dbraw/zinc/18/73/14/246187314.db2.gz UEAWTWMEGPHREZ-IAROGAJJSA-N 0 0 283.287 2.636 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000492074693 246177965 /nfs/dbraw/zinc/17/79/65/246177965.db2.gz VVRQOQHFJPTRCS-TZGMSPROSA-N 0 0 278.283 2.616 20 5 CFBDRN C[C@H]1CCN(C(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000492074691 246178133 /nfs/dbraw/zinc/17/81/33/246178133.db2.gz VVRQOQHFJPTRCS-GFAPAMAISA-N 0 0 278.283 2.616 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000075958294 374515005 /nfs/dbraw/zinc/51/50/05/374515005.db2.gz PNHRQOWJHDKBFC-LHLIQPBNSA-N 0 0 269.688 2.778 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492347622 246265943 /nfs/dbraw/zinc/26/59/43/246265943.db2.gz YWBUZGLBPXAETF-WSROAFLRSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1cccnc1/C=C\C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000492479732 246306547 /nfs/dbraw/zinc/30/65/47/246306547.db2.gz ZIOSHVRTKQWALN-HJWRWDBZSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1c(OC(=O)[C@H]2Cc3ccccc3O2)cccc1[N+](=O)[O-] ZINC000076609154 374553961 /nfs/dbraw/zinc/55/39/61/374553961.db2.gz PDCCFAISJCLOGB-OAHLLOKOSA-N 0 0 299.282 2.812 20 5 CFBDRN Cc1ccc(/C=C\C(=O)NCc2ccccc2[N+](=O)[O-])cn1 ZINC000492379377 246273615 /nfs/dbraw/zinc/27/36/15/246273615.db2.gz KOVUVNOAHNHNJG-HJWRWDBZSA-N 0 0 297.314 2.628 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1C=CCC1 ZINC000078955893 374577102 /nfs/dbraw/zinc/57/71/02/374577102.db2.gz ZWERXFSOUMQWKS-SNVBAGLBSA-N 0 0 276.292 2.898 20 5 CFBDRN CS[C@H](C)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000079008784 374582416 /nfs/dbraw/zinc/58/24/16/374582416.db2.gz RLKLZMILSNTUSX-RXMQYKEDSA-N 0 0 276.264 2.563 20 5 CFBDRN CCOc1cc(CN2CC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000492748621 246374618 /nfs/dbraw/zinc/37/46/18/246374618.db2.gz NCPIOMYIQMSHHQ-CQSZACIVSA-N 0 0 282.315 2.927 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1Cc2ccccc2O1 ZINC000079202843 374598988 /nfs/dbraw/zinc/59/89/88/374598988.db2.gz ZNVUZRTZKHBHGM-OAHLLOKOSA-N 0 0 299.282 2.812 20 5 CFBDRN CSCCCN(C)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492641936 246352351 /nfs/dbraw/zinc/35/23/51/246352351.db2.gz PIIBHMGNSQTZKQ-BQYQJAHWSA-N 0 0 294.376 2.820 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000080544355 374660950 /nfs/dbraw/zinc/66/09/50/374660950.db2.gz ULYXNYOPQOZQGM-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc(NCCOCC2CC2)c([N+](=O)[O-])c1 ZINC000080635803 374665860 /nfs/dbraw/zinc/66/58/60/374665860.db2.gz MYGXYFVJWCRPIA-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1c(CN(CCO)c2ccccc2)cccc1[N+](=O)[O-] ZINC000081157336 374687658 /nfs/dbraw/zinc/68/76/58/374687658.db2.gz UNAWXGCDPRNOFJ-UHFFFAOYSA-N 0 0 286.331 2.902 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000493040260 246466587 /nfs/dbraw/zinc/46/65/87/246466587.db2.gz QPELLYCJABHADR-ZMIGXVBUSA-N 0 0 290.294 2.662 20 5 CFBDRN CC[C@H]1CCC[C@H](Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000085066552 374794605 /nfs/dbraw/zinc/79/46/05/374794605.db2.gz FBMYTGBIXQLREG-UWVGGRQHSA-N 0 0 250.302 2.766 20 5 CFBDRN Cc1cnc(NC(=O)CCc2cccc([N+](=O)[O-])c2)s1 ZINC000087035747 374807761 /nfs/dbraw/zinc/80/77/61/374807761.db2.gz XQWBYDCDJQHQCD-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CCc1ccccc1F ZINC000431879706 383703591 /nfs/dbraw/zinc/70/35/91/383703591.db2.gz FKLLTDANIMSPDS-UHFFFAOYSA-N 0 0 296.685 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@@H]2O)c2cccnc21 ZINC000089167724 374882939 /nfs/dbraw/zinc/88/29/39/374882939.db2.gz PFFSMXHOYNNSDQ-YGRLFVJLSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H]1CCC[C@@H]1Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000089620234 374929653 /nfs/dbraw/zinc/92/96/53/374929653.db2.gz MDXCGFUKYKPKMQ-UWVGGRQHSA-N 0 0 298.364 2.599 20 5 CFBDRN CCC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)CCO1 ZINC000089471963 374912120 /nfs/dbraw/zinc/91/21/20/374912120.db2.gz CIRVGJOUPSDLPD-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN Cn1cncc1CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000090165560 374994339 /nfs/dbraw/zinc/99/43/39/374994339.db2.gz QKBOMZRIGBVHIS-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN CCCc1nccn1Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000090360511 375009872 /nfs/dbraw/zinc/00/98/72/375009872.db2.gz LKABHBXUGFZWKM-UHFFFAOYSA-N 0 0 290.279 2.700 20 5 CFBDRN CCCCOCCNc1cc(OC)ccc1[N+](=O)[O-] ZINC000090794602 375028488 /nfs/dbraw/zinc/02/84/88/375028488.db2.gz RQDUYWOCHFHVHP-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN CCc1nn(C)cc1CNc1ccc(C)cc1[N+](=O)[O-] ZINC000092959656 375169392 /nfs/dbraw/zinc/16/93/92/375169392.db2.gz GKEOXFPYJBWGJF-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CCOCCN(C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000093302337 375203364 /nfs/dbraw/zinc/20/33/64/375203364.db2.gz CZRJUIBOVXYUTE-UHFFFAOYSA-N 0 0 295.339 2.712 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCc1ccon1 ZINC000093297677 375203879 /nfs/dbraw/zinc/20/38/79/375203879.db2.gz FXJQDYMTZJBVNV-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN Cc1ccc(CN2CCOC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000093645413 375284406 /nfs/dbraw/zinc/28/44/06/375284406.db2.gz MGTKXIMYPPXCME-HNNXBMFYSA-N 0 0 276.336 2.514 20 5 CFBDRN Cc1c(CNc2ccc(C)cc2[N+](=O)[O-])cnn1C ZINC000093649703 375286307 /nfs/dbraw/zinc/28/63/07/375286307.db2.gz OEYQKUXTSHODAM-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCSC[C@H]1C1CCC1 ZINC000334867020 296227707 /nfs/dbraw/zinc/22/77/07/296227707.db2.gz XVUYEYWHPCEZHA-JTQLQIEISA-N 0 0 296.348 2.546 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@H]1C ZINC000094101323 375341744 /nfs/dbraw/zinc/34/17/44/375341744.db2.gz CTVOZRYHKHMNBE-RDDDGLTNSA-N 0 0 262.309 2.658 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])c(F)c1 ZINC000094198378 375344221 /nfs/dbraw/zinc/34/42/21/375344221.db2.gz IOIGJWBLOAOESA-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CCC(O)(CC)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000094659753 375372973 /nfs/dbraw/zinc/37/29/73/375372973.db2.gz FGHBHXHLEPMXLM-UHFFFAOYSA-N 0 0 252.314 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCC[C@@H]2CCO)c1 ZINC000094663474 375373142 /nfs/dbraw/zinc/37/31/42/375373142.db2.gz ZHGKWHONAANVTB-GFCCVEGCSA-N 0 0 264.325 2.645 20 5 CFBDRN COC(=O)C[C@@H](C)SCc1ccc([N+](=O)[O-])c(F)c1 ZINC000094772541 375377936 /nfs/dbraw/zinc/37/79/36/375377936.db2.gz YLOPTHRQRDTGOF-MRVPVSSYSA-N 0 0 287.312 2.919 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000094801103 375380768 /nfs/dbraw/zinc/38/07/68/375380768.db2.gz WBGUTJVIACWNCP-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@]1(CNc2cccc(F)c2[N+](=O)[O-])CCCO1 ZINC000094804669 375381263 /nfs/dbraw/zinc/38/12/63/375381263.db2.gz IAXRSOWTMILHLW-GFCCVEGCSA-N 0 0 254.261 2.715 20 5 CFBDRN CCC[C@]1(C)NC(=O)N(c2ccc(C)c([N+](=O)[O-])c2)C1=O ZINC000277937044 193799837 /nfs/dbraw/zinc/79/98/37/193799837.db2.gz DRNZRWJUASHLDU-AWEZNQCLSA-N 0 0 291.307 2.518 20 5 CFBDRN C[C@H](CCO)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000097442978 375561660 /nfs/dbraw/zinc/56/16/60/375561660.db2.gz UAWSDMYNWLYTDC-MRVPVSSYSA-N 0 0 258.705 2.679 20 5 CFBDRN C[C@H]1C[N@@H+](CCOc2cccc([N+](=O)[O-])c2)CC[C@@H]1C ZINC000501796368 247106278 /nfs/dbraw/zinc/10/62/78/247106278.db2.gz SOLBHWGVAXHNIJ-STQMWFEESA-N 0 0 278.352 2.952 20 5 CFBDRN COc1cccc(Cl)c1Cn1nccc1[N+](=O)[O-] ZINC000505528123 247140860 /nfs/dbraw/zinc/14/08/60/247140860.db2.gz YSLYBXLMBUARDX-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN Cc1ncc(COc2cccc([N+](=O)[O-])c2C)cn1 ZINC000505546934 247140912 /nfs/dbraw/zinc/14/09/12/247140912.db2.gz LCQHWWHZAASDKT-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CC(C)[C@@H]1CCC[C@@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000505582941 247143373 /nfs/dbraw/zinc/14/33/73/247143373.db2.gz XLUHDMMSBFSINB-GHMZBOCLSA-N 0 0 266.345 2.955 20 5 CFBDRN C[C@H](CO)CSCc1c(F)cc([N+](=O)[O-])cc1F ZINC000505565176 247143450 /nfs/dbraw/zinc/14/34/50/247143450.db2.gz TXVQNTDXPOKPEG-SSDOTTSWSA-N 0 0 277.292 2.735 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1cnc(C)nc1 ZINC000505565817 247143697 /nfs/dbraw/zinc/14/36/97/247143697.db2.gz XERGAJBSPUSLBY-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1cnc(C)nc1 ZINC000505682076 247148161 /nfs/dbraw/zinc/14/81/61/247148161.db2.gz BUGORCHAKWPTBP-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccccc1[C@H]1C[C@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000513066934 247217344 /nfs/dbraw/zinc/21/73/44/247217344.db2.gz CXWSAWMUUPFJEQ-HAQNSBGRSA-N 0 0 286.335 2.995 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000263329272 296234319 /nfs/dbraw/zinc/23/43/19/296234319.db2.gz LDXVVXPKHPNDCU-NTZNESFSSA-N 0 0 292.335 2.698 20 5 CFBDRN CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)c1cccnc1 ZINC000104401727 375781080 /nfs/dbraw/zinc/78/10/80/375781080.db2.gz CQYXZQRHMFKYOP-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC1CC1 ZINC000103600801 375756687 /nfs/dbraw/zinc/75/66/87/375756687.db2.gz DNKTZXWBXKVRBL-UHFFFAOYSA-N 0 0 291.351 2.925 20 5 CFBDRN CC[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000111422124 375846710 /nfs/dbraw/zinc/84/67/10/375846710.db2.gz AUAYOADLHUXIML-MFKMUULPSA-N 0 0 293.323 2.626 20 5 CFBDRN CCN(CC1CC1)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000112179716 375887546 /nfs/dbraw/zinc/88/75/46/375887546.db2.gz KEDRYXKBPDXERP-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1c(C)cccc1[N+](=O)[O-] ZINC000112334405 375905359 /nfs/dbraw/zinc/90/53/59/375905359.db2.gz KQVPAYVTNAXQRG-JOYOIKCWSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC1CC1 ZINC000112606482 375935369 /nfs/dbraw/zinc/93/53/69/375935369.db2.gz VMMLTUIJERGNQV-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)CC2CC2)cc([N+](=O)[O-])c1 ZINC000112606195 375935845 /nfs/dbraw/zinc/93/58/45/375935845.db2.gz AZNWNEIPONNQQL-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN CC1(C)CCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000112680861 375942393 /nfs/dbraw/zinc/94/23/93/375942393.db2.gz DFCOEIINAQMSSP-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1nccs1 ZINC000117561438 376248871 /nfs/dbraw/zinc/24/88/71/376248871.db2.gz BNCLILGRFWONQY-UHFFFAOYSA-N 0 0 297.723 2.886 20 5 CFBDRN CCN(CC)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000117562158 376249018 /nfs/dbraw/zinc/24/90/18/376249018.db2.gz LTVKCQFGEMNGQV-UHFFFAOYSA-N 0 0 270.716 2.659 20 5 CFBDRN CCCCOCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000118207542 376276668 /nfs/dbraw/zinc/27/66/68/376276668.db2.gz HPGNFQDENMJYHJ-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCOC2(CCC2)C1 ZINC000270868762 296240521 /nfs/dbraw/zinc/24/05/21/296240521.db2.gz LKTAWYDPEQLBPK-LBPRGKRZSA-N 0 0 276.336 2.911 20 5 CFBDRN COc1ccc(CN2CCS[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000118416257 376295691 /nfs/dbraw/zinc/29/56/91/376295691.db2.gz VVKMAGBASLSQKH-QWRGUYRKSA-N 0 0 296.392 2.929 20 5 CFBDRN CCSCCOc1ccc([N+](=O)[O-])cc1OC ZINC000118612730 376304292 /nfs/dbraw/zinc/30/42/92/376304292.db2.gz RDFLHZKKOVPCSJ-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN Cc1cccc(C(=O)N(C)c2ccccn2)c1[N+](=O)[O-] ZINC000119428670 376412396 /nfs/dbraw/zinc/41/23/96/376412396.db2.gz ZMCUYBHQQLUMCC-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1ccc(CN2C[C@H](C)OC(C)(C)C2)cc1[N+](=O)[O-] ZINC000119514107 376428426 /nfs/dbraw/zinc/42/84/26/376428426.db2.gz VYOZTQDGDWKMOD-LBPRGKRZSA-N 0 0 278.352 2.903 20 5 CFBDRN Cc1cnc(SCc2ccccc2[N+](=O)[O-])nc1 ZINC000119338253 376397074 /nfs/dbraw/zinc/39/70/74/376397074.db2.gz KZWINFKYFCGLSI-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN CC(C)(NC(=O)[C@]1(C)CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000119340398 376397462 /nfs/dbraw/zinc/39/74/62/376397462.db2.gz ZFHIXLVOJYEUIZ-HNNXBMFYSA-N 0 0 292.335 2.515 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])CC1(O)CCCC1 ZINC000119530369 376431167 /nfs/dbraw/zinc/43/11/67/376431167.db2.gz PWELEVCLLQDCNL-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1CCC(C)C ZINC000263641180 190071448 /nfs/dbraw/zinc/07/14/48/190071448.db2.gz BSGGFAILSLPVTJ-UHFFFAOYSA-N 0 0 276.134 2.908 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC[C@@H](C)C2)c1 ZINC000136290772 284570648 /nfs/dbraw/zinc/57/06/48/284570648.db2.gz VTTWCWUQGQLOFO-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN COCc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)o1 ZINC000119839088 376485019 /nfs/dbraw/zinc/48/50/19/376485019.db2.gz BEJALQUOPBNQEO-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCO[C@H](C)C1 ZINC000264179740 190094482 /nfs/dbraw/zinc/09/44/82/190094482.db2.gz KCTUUHXNRCIDOQ-GFCCVEGCSA-N 0 0 294.351 2.603 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCO[C@@H](C)C1 ZINC000264179743 190094580 /nfs/dbraw/zinc/09/45/80/190094580.db2.gz KCTUUHXNRCIDOQ-LBPRGKRZSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CCCO1 ZINC000120236955 376576129 /nfs/dbraw/zinc/57/61/29/376576129.db2.gz WAWJZZWRIZOSPR-NSHDSACASA-N 0 0 293.323 2.512 20 5 CFBDRN CN(CCC1CC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000120299336 376587243 /nfs/dbraw/zinc/58/72/43/376587243.db2.gz MMADKDUMEXSGHF-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN CCCCN(C)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000120326761 376592496 /nfs/dbraw/zinc/59/24/96/376592496.db2.gz GXRJHVIQPUZRGQ-UHFFFAOYSA-N 0 0 275.308 2.948 20 5 CFBDRN Cc1csc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000120427902 376612904 /nfs/dbraw/zinc/61/29/04/376612904.db2.gz FDRAQAMRSOTZOU-UHFFFAOYSA-N 0 0 295.295 2.775 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)Cc1ccoc1 ZINC000120591979 376647139 /nfs/dbraw/zinc/64/71/39/376647139.db2.gz FHOCNTBHMXDALH-JTQLQIEISA-N 0 0 286.287 2.708 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1c2ccccc2C[C@@H]1O ZINC000120596898 376650600 /nfs/dbraw/zinc/65/06/00/376650600.db2.gz IZUROGOJCXSTGR-HOCLYGCPSA-N 0 0 284.315 2.973 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCO[C@H](c2ccco2)C1 ZINC000121239001 376788499 /nfs/dbraw/zinc/78/84/99/376788499.db2.gz ZMPADRNAYQZPTO-HNNXBMFYSA-N 0 0 288.303 2.761 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000121349731 376811137 /nfs/dbraw/zinc/81/11/37/376811137.db2.gz PVKVXTVTOIWDIZ-VIFPVBQESA-N 0 0 268.288 2.898 20 5 CFBDRN O=C([C@H]1C[C@@H]1c1ccco1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000121432415 376830535 /nfs/dbraw/zinc/83/05/35/376830535.db2.gz LGCIQOVHMCXBEC-STQMWFEESA-N 0 0 298.298 2.881 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000432043946 383716871 /nfs/dbraw/zinc/71/68/71/383716871.db2.gz XXWHPQBAMNDYSA-MFKMUULPSA-N 0 0 278.308 2.739 20 5 CFBDRN Cc1cccc(OCc2ccc(C(N)=O)cc2)c1[N+](=O)[O-] ZINC000123966363 377001568 /nfs/dbraw/zinc/00/15/68/377001568.db2.gz WWUDRIQTCOGOBD-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN Cc1cccn2cc(CNCc3ccccc3[N+](=O)[O-])nc12 ZINC000124004056 377006739 /nfs/dbraw/zinc/00/67/39/377006739.db2.gz GAXXIRYRBCTZBE-UHFFFAOYSA-N 0 0 296.330 2.841 20 5 CFBDRN Cc1cccc(OCC(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC000124002754 377007539 /nfs/dbraw/zinc/00/75/39/377007539.db2.gz FCCNZMFVGSVNGF-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc3c(c2)COC3)cn1 ZINC000432067643 383718549 /nfs/dbraw/zinc/71/85/49/383718549.db2.gz BHDHQLHJQMIXMV-UHFFFAOYSA-N 0 0 271.276 2.632 20 5 CFBDRN Cc1ncsc1CNc1nc(C)ccc1[N+](=O)[O-] ZINC000128914876 377362575 /nfs/dbraw/zinc/36/25/75/377362575.db2.gz LXCBHNZVJQMPAT-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC[C@H](SC)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000129078073 377382228 /nfs/dbraw/zinc/38/22/28/377382228.db2.gz YSMQBYULNGKTGH-NSHDSACASA-N 0 0 269.322 2.950 20 5 CFBDRN Cc1c(NC(=O)NC[C@@]2(C)CCCO2)cccc1[N+](=O)[O-] ZINC000129122933 377387739 /nfs/dbraw/zinc/38/77/39/377387739.db2.gz VNWCBSWLGXDVQS-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000129206652 377399149 /nfs/dbraw/zinc/39/91/49/377399149.db2.gz SCHQWNWXSUGMSC-ZYHUDNBSSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1cc(=O)n(CCO[C@@H]2CCCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000128507463 377343880 /nfs/dbraw/zinc/34/38/80/377343880.db2.gz ZXWISSFLAGDQOU-SMDDNHRTSA-N 0 0 294.351 2.660 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)nc1 ZINC000128744175 377355254 /nfs/dbraw/zinc/35/52/54/377355254.db2.gz NDIFHSPEZVKZGU-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000128754482 377355480 /nfs/dbraw/zinc/35/54/80/377355480.db2.gz XGISDUOGVYZXJD-GZMMTYOYSA-N 0 0 266.272 2.652 20 5 CFBDRN CC1CC(Nc2ncc([N+](=O)[O-])cc2Br)C1 ZINC000129496882 377434883 /nfs/dbraw/zinc/43/48/83/377434883.db2.gz HVGJHTDJICQSKA-UHFFFAOYSA-N 0 0 286.129 2.963 20 5 CFBDRN CCC1(CNC(=O)c2cccc([N+](=O)[O-])c2N)CCCC1 ZINC000129314022 377412167 /nfs/dbraw/zinc/41/21/67/377412167.db2.gz KUKFJUOSBVOBRL-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H]3CCC[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000129696090 377462772 /nfs/dbraw/zinc/46/27/72/377462772.db2.gz AMIFKNXWYBDIRZ-ZIAGYGMSSA-N 0 0 288.347 2.704 20 5 CFBDRN CCN(CC(C)C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000129740883 377467492 /nfs/dbraw/zinc/46/74/92/377467492.db2.gz FRGWEPATDFUDRH-UHFFFAOYSA-N 0 0 282.315 2.781 20 5 CFBDRN CC1CC[NH+](CCNc2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000129772198 377472062 /nfs/dbraw/zinc/47/20/62/377472062.db2.gz BLKZSESJFYJOGP-UHFFFAOYSA-N 0 0 298.774 2.787 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H]1CCCC[C@@H]1O ZINC000129792163 377473075 /nfs/dbraw/zinc/47/30/75/377473075.db2.gz BZOBDVYJAHIAMX-KCJUWKMLSA-N 0 0 285.731 2.606 20 5 CFBDRN CS[C@H](C)CC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000130226258 377525376 /nfs/dbraw/zinc/52/53/76/377525376.db2.gz PIZSPKYCFKDTES-ZCFIWIBFSA-N 0 0 290.291 2.953 20 5 CFBDRN CC1(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCOCC1 ZINC000130361577 377545647 /nfs/dbraw/zinc/54/56/47/377545647.db2.gz SOAAZPHWSFCXLG-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@@H]1CCC[C@H]1O ZINC000130398389 377551234 /nfs/dbraw/zinc/55/12/34/377551234.db2.gz NROBOWLFKZDIBC-IINYFYTJSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000130718928 377601211 /nfs/dbraw/zinc/60/12/11/377601211.db2.gz ZFKUIMZYSDWEFV-LLVKDONJSA-N 0 0 262.309 2.775 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCc2cc(F)ccc21 ZINC000335814753 284665614 /nfs/dbraw/zinc/66/56/14/284665614.db2.gz FXPZEGQUIJQEJG-UHFFFAOYSA-N 0 0 289.266 2.655 20 5 CFBDRN CNc1c(C(=O)Nc2ccsc2)cccc1[N+](=O)[O-] ZINC000130802068 377615016 /nfs/dbraw/zinc/61/50/16/377615016.db2.gz XMXOPBBDFRPIMN-UHFFFAOYSA-N 0 0 277.305 2.950 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@@H]2CCCC[C@@H]21 ZINC000159059159 284670258 /nfs/dbraw/zinc/67/02/58/284670258.db2.gz JWKYKIJQGSBIII-UWVGGRQHSA-N 0 0 264.281 2.593 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCSc2ccccc21 ZINC000119986581 284670311 /nfs/dbraw/zinc/67/03/11/284670311.db2.gz DBXUTVHFRGIJFL-UHFFFAOYSA-N 0 0 290.300 2.940 20 5 CFBDRN COC(=O)c1cc(N2CCC[C@@H]2C)cc(C)c1[N+](=O)[O-] ZINC000130977737 377640286 /nfs/dbraw/zinc/64/02/86/377640286.db2.gz RVMMVPXYFKOAEE-JTQLQIEISA-N 0 0 278.308 2.679 20 5 CFBDRN O=[N+]([O-])c1c(NCC2(C3CC3)CC2)nc2sccn21 ZINC000131329916 377695995 /nfs/dbraw/zinc/69/59/95/377695995.db2.gz XKFUFQSSDSBWJI-UHFFFAOYSA-N 0 0 278.337 2.906 20 5 CFBDRN COc1ccc(C(=O)N2CC[C@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000131343895 377697696 /nfs/dbraw/zinc/69/76/96/377697696.db2.gz STJNNRFNJWQUTG-WDEREUQCSA-N 0 0 292.335 2.722 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N(C)C)C1 ZINC000131259771 377684988 /nfs/dbraw/zinc/68/49/88/377684988.db2.gz INEBNHNOQHXLRZ-LLVKDONJSA-N 0 0 291.351 2.533 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000131495253 377717631 /nfs/dbraw/zinc/71/76/31/377717631.db2.gz LLLAZZNTPFSIKM-NWDGAFQWSA-N 0 0 290.363 2.950 20 5 CFBDRN CCC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000131940825 377781940 /nfs/dbraw/zinc/78/19/40/377781940.db2.gz MMECRCAYAGFLSE-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1cc(C(=O)N2CCCC2(C)C)cc([N+](=O)[O-])c1 ZINC000170868807 291687303 /nfs/dbraw/zinc/68/73/03/291687303.db2.gz YGYRFPYDYSVZPB-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN O=C(C=C1CCC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132408077 377835486 /nfs/dbraw/zinc/83/54/86/377835486.db2.gz WJAIQKUDLIYYOL-UHFFFAOYSA-N 0 0 251.213 2.750 20 5 CFBDRN CCCN(C(=O)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000132714252 377868833 /nfs/dbraw/zinc/86/88/33/377868833.db2.gz FXKPOAIOCYEHRN-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN O=C(C[C@H]1CCCCO1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132568874 377853423 /nfs/dbraw/zinc/85/34/23/377853423.db2.gz DIFJDGJXZGLOIL-LLVKDONJSA-N 0 0 283.255 2.599 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)n[nH]1 ZINC000133529481 377937391 /nfs/dbraw/zinc/93/73/91/377937391.db2.gz LOPDJIBNNAQQCO-ZETCQYMHSA-N 0 0 295.730 2.718 20 5 CFBDRN Cc1cc(F)cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000133619753 377944805 /nfs/dbraw/zinc/94/48/05/377944805.db2.gz DVMYVEXZMGKGHE-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC[C@H](C)C(=O)COc1cccc([N+](=O)[O-])c1C ZINC000133104923 377904544 /nfs/dbraw/zinc/90/45/44/377904544.db2.gz XADUXTUFFUUEIA-VIFPVBQESA-N 0 0 251.282 2.897 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000134368285 378006130 /nfs/dbraw/zinc/00/61/30/378006130.db2.gz SLPKCYRCFCCONR-LDYMZIIASA-N 0 0 294.282 2.678 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC1CCSCC1 ZINC000134471343 378019119 /nfs/dbraw/zinc/01/91/19/378019119.db2.gz RYFKORGVMNOINM-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CCS1 ZINC000134439166 378015345 /nfs/dbraw/zinc/01/53/45/378015345.db2.gz VMCVKTTWEMIHPC-SNVBAGLBSA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1F ZINC000133892414 377965589 /nfs/dbraw/zinc/96/55/89/377965589.db2.gz OEGACYODWBZCLZ-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CCCCCNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134017552 377976621 /nfs/dbraw/zinc/97/66/21/377976621.db2.gz JRAPHDFGSVLGJY-UHFFFAOYSA-N 0 0 272.251 2.793 20 5 CFBDRN CC[C@H](C)Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000134221587 377994391 /nfs/dbraw/zinc/99/43/91/377994391.db2.gz LCOIFGCSHQUVDS-QMMMGPOBSA-N 0 0 263.297 2.690 20 5 CFBDRN O=C(Nc1cc(Cl)ccn1)c1ccccc1[N+](=O)[O-] ZINC000134630064 378040208 /nfs/dbraw/zinc/04/02/08/378040208.db2.gz ASHDQJHEUVROEI-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN COc1cccc(NCc2ccc(C)nc2)c1[N+](=O)[O-] ZINC000134917880 378064187 /nfs/dbraw/zinc/06/41/87/378064187.db2.gz QJEGCKPCWKMYIQ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCOc1cccc(NC[C@@H](CC)CCO)c1[N+](=O)[O-] ZINC000134926911 378064891 /nfs/dbraw/zinc/06/48/91/378064891.db2.gz NYHZUNYDQOLXLH-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN CC(C)(C)NC(=O)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000135038908 378077030 /nfs/dbraw/zinc/07/70/30/378077030.db2.gz WLFMFPGRDOLNJO-UHFFFAOYSA-N 0 0 285.731 2.575 20 5 CFBDRN CCc1noc(Cn2ccc3cc([N+](=O)[O-])ccc32)n1 ZINC000134486908 378020484 /nfs/dbraw/zinc/02/04/84/378020484.db2.gz SUWGIYXLXFIAED-UHFFFAOYSA-N 0 0 272.264 2.543 20 5 CFBDRN NC(=O)c1coc(CNc2c(Cl)cccc2[N+](=O)[O-])c1 ZINC000432154028 383726627 /nfs/dbraw/zinc/72/66/27/383726627.db2.gz NZBYEGSQOJNHDF-UHFFFAOYSA-N 0 0 295.682 2.552 20 5 CFBDRN CC1CC(Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000135567183 378145054 /nfs/dbraw/zinc/14/50/54/378145054.db2.gz CNJIGCBMHGSPII-UHFFFAOYSA-N 0 0 251.242 2.713 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000264320328 383725342 /nfs/dbraw/zinc/72/53/42/383725342.db2.gz PGIMQUSHKNFQPJ-DGCLKSJQSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1c(C(=O)NOC2CCCC2)cccc1[N+](=O)[O-] ZINC000135134999 378088462 /nfs/dbraw/zinc/08/84/62/378088462.db2.gz FIZDTVKQVVCHMI-UHFFFAOYSA-N 0 0 264.281 2.507 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC(F)(F)F)c1 ZINC000136305583 378194214 /nfs/dbraw/zinc/19/42/14/378194214.db2.gz YPPCPFKUUAKNEB-UHFFFAOYSA-N 0 0 292.213 2.746 20 5 CFBDRN CC(C)[C@@H]1CC[C@@H](C)C[C@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000136606293 378204421 /nfs/dbraw/zinc/20/44/21/378204421.db2.gz AUIVSKMQOKFYRT-OUAUKWLOSA-N 0 0 294.355 2.509 20 5 CFBDRN CCCCCNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000149896557 378267559 /nfs/dbraw/zinc/26/75/59/378267559.db2.gz OQWXLRNDBSXQHX-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1C[C@H]2CCC[C@@H]2C1 ZINC000150820333 378274659 /nfs/dbraw/zinc/27/46/59/378274659.db2.gz JHOMPXSNDIIWOA-GHMZBOCLSA-N 0 0 275.308 2.859 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)c1ncc[nH]1 ZINC000140465273 378238077 /nfs/dbraw/zinc/23/80/77/378238077.db2.gz BUXDRXZQSBVVDJ-GFCCVEGCSA-N 0 0 288.307 2.507 20 5 CFBDRN CC1(C)SC(=O)N(Cc2ccccc2[N+](=O)[O-])C1=O ZINC000146859562 378250714 /nfs/dbraw/zinc/25/07/14/378250714.db2.gz ASBHQBOLMYOVPH-UHFFFAOYSA-N 0 0 280.305 2.569 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000264332201 383727754 /nfs/dbraw/zinc/72/77/54/383727754.db2.gz XGAVZLYEGDKQOY-RYUDHWBXSA-N 0 0 291.351 2.702 20 5 CFBDRN O=C(NCCCF)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000151246522 378310847 /nfs/dbraw/zinc/31/08/47/378310847.db2.gz BACNAMJWYAPAHJ-UHFFFAOYSA-N 0 0 294.204 2.703 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000151652187 378345452 /nfs/dbraw/zinc/34/54/52/378345452.db2.gz KWBHXQADNBLFSY-MRVPVSSYSA-N 0 0 286.278 2.991 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCCCCC1 ZINC000151925005 378374439 /nfs/dbraw/zinc/37/44/39/378374439.db2.gz WVIVSWVPCPGZOW-UHFFFAOYSA-N 0 0 276.336 2.930 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000152682512 378437170 /nfs/dbraw/zinc/43/71/70/378437170.db2.gz QZYXXPVOLFPELE-CWZTWBIVSA-N 0 0 286.331 2.867 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1cccc(F)c1F ZINC000152689099 378439233 /nfs/dbraw/zinc/43/92/33/378439233.db2.gz IZXSDZXWZNYTAF-ZETCQYMHSA-N 0 0 296.229 2.957 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1C[C@@H]2CCC[C@@H]2C1 ZINC000153941447 378524637 /nfs/dbraw/zinc/52/46/37/378524637.db2.gz HCRXKDPCJLRWGM-DTORHVGOSA-N 0 0 267.716 2.880 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(C[C@@H]1CCCCO1)C2 ZINC000153327918 378485823 /nfs/dbraw/zinc/48/58/23/378485823.db2.gz SZJWMSYXSDQRCX-ZDUSSCGKSA-N 0 0 276.336 2.522 20 5 CFBDRN C[C@@H]1SCCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000153801427 378511041 /nfs/dbraw/zinc/51/10/41/378511041.db2.gz GRIDSJPJABVZJR-YUMQZZPRSA-N 0 0 287.772 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(c1ccnc(C3CC3)n1)CC2 ZINC000154133826 378541606 /nfs/dbraw/zinc/54/16/06/378541606.db2.gz PINVIWHZUIAFGZ-UHFFFAOYSA-N 0 0 296.330 2.825 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCCC[C@@H]1C(F)F ZINC000330423167 378552321 /nfs/dbraw/zinc/55/23/21/378552321.db2.gz ZEXNJAZVDZDEFA-GFCCVEGCSA-N 0 0 298.289 2.784 20 5 CFBDRN COc1cccc(N2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000185080188 295304674 /nfs/dbraw/zinc/30/46/74/295304674.db2.gz DVEGGKXOMKIOIM-SNVBAGLBSA-N 0 0 250.298 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@@H]2CCCC[C@@H]2O)nc1 ZINC000154488630 378570916 /nfs/dbraw/zinc/57/09/16/378570916.db2.gz KQZLSYGBPTWVLO-AAEUAGOBSA-N 0 0 279.340 2.733 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1ccccc1[N+](=O)[O-] ZINC000330516783 378575936 /nfs/dbraw/zinc/57/59/36/378575936.db2.gz MLOCDMJEJVETBX-CQSZACIVSA-N 0 0 264.325 2.596 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000331091322 378631240 /nfs/dbraw/zinc/63/12/40/378631240.db2.gz WOGCTSKELPJGPD-AAEUAGOBSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000156505706 378641522 /nfs/dbraw/zinc/64/15/22/378641522.db2.gz MHJHHUMXXIYEGA-JTQLQIEISA-N 0 0 285.303 2.768 20 5 CFBDRN C[C@H]1CCCC[C@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330673682 378596265 /nfs/dbraw/zinc/59/62/65/378596265.db2.gz YSOYDUXBUQUDBH-GXFFZTMASA-N 0 0 264.325 2.969 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000331013168 378614644 /nfs/dbraw/zinc/61/46/44/378614644.db2.gz YFRYSXGYCLCHRC-HNNXBMFYSA-N 0 0 292.335 2.866 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H](O)C1CCCCC1 ZINC000331020164 378616130 /nfs/dbraw/zinc/61/61/30/378616130.db2.gz OLTSBNZPRHSYTC-ZDUSSCGKSA-N 0 0 296.298 2.614 20 5 CFBDRN CCCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000155335324 378621231 /nfs/dbraw/zinc/62/12/31/378621231.db2.gz WLCKRMTXJGPEKR-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000331273221 378660805 /nfs/dbraw/zinc/66/08/05/378660805.db2.gz VWVZEJUSHFCILN-NTZNESFSSA-N 0 0 292.335 2.505 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000331174558 378645761 /nfs/dbraw/zinc/64/57/61/378645761.db2.gz GIUPHHAHRZYESQ-WDEREUQCSA-N 0 0 294.355 2.510 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000331496481 378696641 /nfs/dbraw/zinc/69/66/41/378696641.db2.gz RTVPBCGIIBRUOD-UWVGGRQHSA-N 0 0 265.313 2.622 20 5 CFBDRN Cn1c(C(=O)NCCCC2CCCC2)ccc1[N+](=O)[O-] ZINC000332349754 378751447 /nfs/dbraw/zinc/75/14/47/378751447.db2.gz VFGPDBGBKWZZOH-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN COC1([C@H](C)NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000332451004 378764799 /nfs/dbraw/zinc/76/47/99/378764799.db2.gz HCVNWSJHQZSVHC-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1c(CNC(=O)C2(C)CCC2)cccc1[N+](=O)[O-] ZINC000332510213 378770714 /nfs/dbraw/zinc/77/07/14/378770714.db2.gz ZCHKBHZMCZQBCA-UHFFFAOYSA-N 0 0 262.309 2.710 20 5 CFBDRN Cc1cn(Cc2csc(C(C)C)n2)nc1[N+](=O)[O-] ZINC000332643203 378783099 /nfs/dbraw/zinc/78/30/99/378783099.db2.gz BDWMLIIVFZOMSD-UHFFFAOYSA-N 0 0 266.326 2.728 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000332655779 378784603 /nfs/dbraw/zinc/78/46/03/378784603.db2.gz DWHSSYWDWNPKJL-HRDYMLBCSA-N 0 0 268.338 2.773 20 5 CFBDRN CC(C)C[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000332682757 378787846 /nfs/dbraw/zinc/78/78/46/378787846.db2.gz SUKJQHWUHVUDAB-JTQLQIEISA-N 0 0 266.297 2.839 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC(C)(C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000332711203 378792731 /nfs/dbraw/zinc/79/27/31/378792731.db2.gz OZUXJYAPLHDARS-VIFPVBQESA-N 0 0 294.355 2.702 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NC3CC(F)(F)C3)nc2c1 ZINC000278482597 194005869 /nfs/dbraw/zinc/00/58/69/194005869.db2.gz OUHYKISEKORINA-UHFFFAOYSA-N 0 0 268.223 2.681 20 5 CFBDRN CCC1(CNC(=O)c2cc(F)c([N+](=O)[O-])cc2F)CC1 ZINC000160846176 378804518 /nfs/dbraw/zinc/80/45/18/378804518.db2.gz DIJUYCKHAKEMKQ-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@H]1CCCC[C@@H]1O)CCN2 ZINC000278481215 194003225 /nfs/dbraw/zinc/00/32/25/194003225.db2.gz VMWQEDQXCDPBMV-YGRLFVJLSA-N 0 0 291.351 2.526 20 5 CFBDRN O=[N+]([O-])c1nn([C@@H]2C=CCCC2)cc1Br ZINC000161121187 378823174 /nfs/dbraw/zinc/82/31/74/378823174.db2.gz NQFACCIUMXXBTQ-SSDOTTSWSA-N 0 0 272.102 2.835 20 5 CFBDRN C[C@H]1CCCN1c1c(Br)cncc1[N+](=O)[O-] ZINC000161633138 378862112 /nfs/dbraw/zinc/86/21/12/378862112.db2.gz FOSHEQCRCTUIEB-ZETCQYMHSA-N 0 0 286.129 2.741 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2ccc([N+](=O)[O-])o2)CC1(C)C ZINC000334192982 378906775 /nfs/dbraw/zinc/90/67/75/378906775.db2.gz IXSMSGDISNSGMI-NSHDSACASA-N 0 0 266.297 2.694 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278522861 194026029 /nfs/dbraw/zinc/02/60/29/194026029.db2.gz ZDKLWZJJKUNBIR-JTQLQIEISA-N 0 0 293.367 2.772 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H]1C(C)C ZINC000334211813 378931746 /nfs/dbraw/zinc/93/17/46/378931746.db2.gz HJGLNZUXHPBDCQ-ZDUSSCGKSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cccc(C(=O)NOCCC(F)(F)F)c1[N+](=O)[O-] ZINC000162809148 378934794 /nfs/dbraw/zinc/93/47/94/378934794.db2.gz MGZJRMUDFWECGU-UHFFFAOYSA-N 0 0 292.213 2.517 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC[C@H](C2CC2)C1 ZINC000334194911 378908851 /nfs/dbraw/zinc/90/88/51/378908851.db2.gz JYJKLLAYXGROCY-NSHDSACASA-N 0 0 275.308 2.859 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2N)C[C@@H](C)C1 ZINC000163126159 378954624 /nfs/dbraw/zinc/95/46/24/378954624.db2.gz CQQILXHMRJHEOP-MNOVXSKESA-N 0 0 291.351 2.685 20 5 CFBDRN CC[C@H](CSC)[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000163867845 378993478 /nfs/dbraw/zinc/99/34/78/378993478.db2.gz SWXNQMJKOGDUSM-LLVKDONJSA-N 0 0 254.355 2.826 20 5 CFBDRN COC(C)(C)CC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000278588401 194058379 /nfs/dbraw/zinc/05/83/79/194058379.db2.gz SWLOERISZYEAFR-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334252486 378984747 /nfs/dbraw/zinc/98/47/47/378984747.db2.gz BSSYHXHSXQOKPP-NXEZZACHSA-N 0 0 280.299 2.852 20 5 CFBDRN O=C(c1ccoc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163771525 378990103 /nfs/dbraw/zinc/99/01/03/378990103.db2.gz JGEGTVZDUGXUCX-UHFFFAOYSA-N 0 0 286.287 2.993 20 5 CFBDRN COC(C)(C)CC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000278631298 194076811 /nfs/dbraw/zinc/07/68/11/194076811.db2.gz YFPXKQJCLCYSIL-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC[C@@H](F)C2)cc([N+](=O)[O-])c1 ZINC000334288398 379037994 /nfs/dbraw/zinc/03/79/94/379037994.db2.gz ZBGYOJHBTGKKPD-MNOVXSKESA-N 0 0 266.272 2.524 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC(C2CCCC2)C1 ZINC000335296962 379040931 /nfs/dbraw/zinc/04/09/31/379040931.db2.gz QGXNBARQFNTRPW-UHFFFAOYSA-N 0 0 280.349 2.919 20 5 CFBDRN C[C@@H](NC(=O)COC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000164792042 379025657 /nfs/dbraw/zinc/02/56/57/379025657.db2.gz CBHRFKRVSPYFRN-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCCC[C@H]2C)n1 ZINC000166651051 379060146 /nfs/dbraw/zinc/06/01/46/379060146.db2.gz IQPZCPZCPPVWMP-NXEZZACHSA-N 0 0 293.323 2.767 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CCCC12CCC2 ZINC000335323045 379086379 /nfs/dbraw/zinc/08/63/79/379086379.db2.gz QWTKJZVZMWQWLF-UHFFFAOYSA-N 0 0 292.310 2.822 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000170788240 379159393 /nfs/dbraw/zinc/15/93/93/379159393.db2.gz KOJLIEYQKNUBKV-LLVKDONJSA-N 0 0 279.292 2.544 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1F)c1ccc([N+](=O)[O-])cc1Cl ZINC000334365491 379164608 /nfs/dbraw/zinc/16/46/08/379164608.db2.gz OGJGRFPWMYJXSI-WDEREUQCSA-N 0 0 286.690 2.869 20 5 CFBDRN COc1ccc2c(c1)CN(c1ncc([N+](=O)[O-])cc1C)CC2 ZINC000170981918 379205344 /nfs/dbraw/zinc/20/53/44/379205344.db2.gz PRJNSSCVCKWZHU-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN Cc1c(C(=O)N2CC[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000334405234 379241307 /nfs/dbraw/zinc/24/13/07/379241307.db2.gz GCIKYCKKDNDJIH-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000335431520 379285729 /nfs/dbraw/zinc/28/57/29/379285729.db2.gz YKLLKEYCCHOBRJ-MNOVXSKESA-N 0 0 266.272 2.605 20 5 CFBDRN CCC(=O)COc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000171258501 379265807 /nfs/dbraw/zinc/26/58/07/379265807.db2.gz OPDRXTXFSUHVDG-UHFFFAOYSA-N 0 0 277.198 2.972 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)ccc1F ZINC000334426714 379278894 /nfs/dbraw/zinc/27/88/94/379278894.db2.gz WEILRSXGGBUWQW-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN Cc1cc(C(=O)NC2CC(C)(F)C2)ccc1[N+](=O)[O-] ZINC000335455146 379323391 /nfs/dbraw/zinc/32/33/91/379323391.db2.gz GDZOBLHAELTYIU-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@H]1CSC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000334456354 379331513 /nfs/dbraw/zinc/33/15/13/379331513.db2.gz HFMMWHVNDMXUKL-WRWORJQWSA-N 0 0 299.327 2.607 20 5 CFBDRN CCCc1ccc(Cn2cccc([N+](=O)[O-])c2=O)cc1 ZINC000171415030 379303223 /nfs/dbraw/zinc/30/32/23/379303223.db2.gz ZHWBKEQRSJGHIT-UHFFFAOYSA-N 0 0 272.304 2.757 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C[C@H](C)C(C)C ZINC000171414986 379303378 /nfs/dbraw/zinc/30/33/78/379303378.db2.gz GCIVCZZLYQHPID-NSHDSACASA-N 0 0 294.351 2.902 20 5 CFBDRN Cc1ncsc1CN(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000171685318 379370737 /nfs/dbraw/zinc/37/07/37/379370737.db2.gz FNEVTYPPCWDHDB-UHFFFAOYSA-N 0 0 297.361 2.693 20 5 CFBDRN CC1(C)CCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000172004342 379465552 /nfs/dbraw/zinc/46/55/52/379465552.db2.gz ABOAXTADWJXJLG-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN C[C@@H](NC(=O)COc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000172098906 379492543 /nfs/dbraw/zinc/49/25/43/379492543.db2.gz YAPKOJHFSTWWBW-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN CNC(=O)[C@H]1CCC[C@H]1Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000432329981 383738192 /nfs/dbraw/zinc/73/81/92/383738192.db2.gz WDCPVJXOHNZOCW-WCBMZHEXSA-N 0 0 297.742 2.575 20 5 CFBDRN COc1cc(CNc2nccc(C)c2[N+](=O)[O-])ccc1O ZINC000172277519 379529559 /nfs/dbraw/zinc/52/95/59/379529559.db2.gz JLGPGSQJNDEWFK-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN CCC1CN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000335572057 379531460 /nfs/dbraw/zinc/53/14/60/379531460.db2.gz WQMCMHHKMRHOCY-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN CO[C@H]1CCCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000172178588 379512505 /nfs/dbraw/zinc/51/25/05/379512505.db2.gz KERZXYKNOPTXTH-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@H]1CSCCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000172520355 379589295 /nfs/dbraw/zinc/58/92/95/379589295.db2.gz YGDVJJOXDUBNCY-ZPMKCOPCSA-N 0 0 292.360 2.572 20 5 CFBDRN Cc1sc(C(=O)N2CCSC[C@@H]2C)cc1[N+](=O)[O-] ZINC000172524295 379590912 /nfs/dbraw/zinc/59/09/12/379590912.db2.gz FHYWWGSURKFUCK-ZETCQYMHSA-N 0 0 286.378 2.542 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000334599033 379600850 /nfs/dbraw/zinc/60/08/50/379600850.db2.gz JOXXCXPELCNQLG-DTORHVGOSA-N 0 0 298.289 2.815 20 5 CFBDRN Cc1cc(C)cc(N(C)CCn2cc([N+](=O)[O-])cn2)c1 ZINC000172722237 379633441 /nfs/dbraw/zinc/63/34/41/379633441.db2.gz KTPLRPHNLVEPIK-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172735609 379636144 /nfs/dbraw/zinc/63/61/44/379636144.db2.gz BZYVZMBSZLDOQF-RYUDHWBXSA-N 0 0 276.336 2.927 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000334619757 379638434 /nfs/dbraw/zinc/63/84/34/379638434.db2.gz FIAJJELEEPBUNN-SECBINFHSA-N 0 0 272.251 2.500 20 5 CFBDRN CN(C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C1CCC1 ZINC000172774932 379644012 /nfs/dbraw/zinc/64/40/12/379644012.db2.gz OJSGVMAGGNKTQC-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000172642344 379612950 /nfs/dbraw/zinc/61/29/50/379612950.db2.gz FZGVRNILPHGPMO-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173011851 379685381 /nfs/dbraw/zinc/68/53/81/379685381.db2.gz VBGUOSGLJNHZQD-NSHDSACASA-N 0 0 264.325 2.642 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCCSC1 ZINC000288149560 197014019 /nfs/dbraw/zinc/01/40/19/197014019.db2.gz BYTFPTSOGKPLPL-JTQLQIEISA-N 0 0 284.385 2.675 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172884518 379665122 /nfs/dbraw/zinc/66/51/22/379665122.db2.gz ZLBJELYAMMLUOP-QWRGUYRKSA-N 0 0 264.325 2.688 20 5 CFBDRN CCN(C)C(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334636609 379677244 /nfs/dbraw/zinc/67/72/44/379677244.db2.gz ZCEIAPDMAAHFBC-ZDUSSCGKSA-N 0 0 291.351 2.846 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC12CCC2 ZINC000334674182 379746868 /nfs/dbraw/zinc/74/68/68/379746868.db2.gz ANKSFDALKBNHOL-LBPRGKRZSA-N 0 0 277.324 2.574 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000173878706 379791643 /nfs/dbraw/zinc/79/16/43/379791643.db2.gz OORRMUKOMLUOGR-SNVBAGLBSA-N 0 0 280.324 2.528 20 5 CFBDRN Cn1c(C(=O)N2CCCC(C)(C)CC2)ccc1[N+](=O)[O-] ZINC000335738759 379810436 /nfs/dbraw/zinc/81/04/36/379810436.db2.gz BDBBJORFUBLGTL-UHFFFAOYSA-N 0 0 279.340 2.586 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000173631543 379760756 /nfs/dbraw/zinc/76/07/56/379760756.db2.gz CVKJQQXESRLGPN-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000173828637 379784297 /nfs/dbraw/zinc/78/42/97/379784297.db2.gz VRYFKYGXAKZGIH-LLVKDONJSA-N 0 0 294.351 2.837 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)Nc3cc(F)cc([N+](=O)[O-])c3)C[C@@H]21 ZINC000335755293 379836241 /nfs/dbraw/zinc/83/62/41/379836241.db2.gz DCTWDJQWCOFKJX-TXEJJXNPSA-N 0 0 293.298 2.854 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000174177858 379839842 /nfs/dbraw/zinc/83/98/42/379839842.db2.gz VURWLAOKCHGVCR-JTQLQIEISA-N 0 0 295.339 2.920 20 5 CFBDRN CCc1ccc(C(=O)NCc2ncccc2C)cc1[N+](=O)[O-] ZINC000174194615 379842112 /nfs/dbraw/zinc/84/21/12/379842112.db2.gz SKIWFFXCIQKTFK-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CCc1ccc(C(=O)N(C)Cc2cccnc2)cc1[N+](=O)[O-] ZINC000174008943 379812557 /nfs/dbraw/zinc/81/25/57/379812557.db2.gz UAHNYOGCPMECJG-UHFFFAOYSA-N 0 0 299.330 2.824 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1Cc1cc(F)ccc1Cl ZINC000334758615 379895204 /nfs/dbraw/zinc/89/52/04/379895204.db2.gz MJNMDLISLLULRJ-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000174939550 379967106 /nfs/dbraw/zinc/96/71/06/379967106.db2.gz ISWDQLRIRXBHAV-ZDUSSCGKSA-N 0 0 276.336 2.832 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000174953584 379968764 /nfs/dbraw/zinc/96/87/64/379968764.db2.gz ZZBWKPCJYHNEDP-ZDUSSCGKSA-N 0 0 292.335 2.669 20 5 CFBDRN Cn1c(C(=O)N2CCCC3(CCCC3)C2)ccc1[N+](=O)[O-] ZINC000335840082 379974472 /nfs/dbraw/zinc/97/44/72/379974472.db2.gz KVQGFKSBLBNBGP-UHFFFAOYSA-N 0 0 291.351 2.730 20 5 CFBDRN COc1cc(NC[C@H](O)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000174725282 379932014 /nfs/dbraw/zinc/93/20/14/379932014.db2.gz WIONQEXAUAMHPA-AWEZNQCLSA-N 0 0 294.351 2.957 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1F)c1c(Cl)cccc1[N+](=O)[O-] ZINC000335816759 379938207 /nfs/dbraw/zinc/93/82/07/379938207.db2.gz MUTQRJUUSRFZDS-DTWKUNHWSA-N 0 0 286.690 2.869 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCO[C@H](CC)C1 ZINC000175084222 379991736 /nfs/dbraw/zinc/99/17/36/379991736.db2.gz UEUZEGFIRWWCGD-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCO[C@@H](CC)C1 ZINC000175084236 379992735 /nfs/dbraw/zinc/99/27/35/379992735.db2.gz UEUZEGFIRWWCGD-AWEZNQCLSA-N 0 0 294.351 2.604 20 5 CFBDRN O=C(Nc1cc(F)c(F)c(F)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000335855951 380002218 /nfs/dbraw/zinc/00/22/18/380002218.db2.gz LDCPPDNOLOAYKT-UHFFFAOYSA-N 0 0 285.181 2.593 20 5 CFBDRN CC1=CCCN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000335203914 380002878 /nfs/dbraw/zinc/00/28/78/380002878.db2.gz CWBHQLNSRAXWTB-UHFFFAOYSA-N 0 0 296.273 2.594 20 5 CFBDRN CC(=O)OCCCSc1ccccc1[N+](=O)[O-] ZINC000279031723 194256717 /nfs/dbraw/zinc/25/67/17/194256717.db2.gz IOADAFOBMIKYBN-UHFFFAOYSA-N 0 0 255.295 2.640 20 5 CFBDRN Cc1cnc(OCC(=O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000175139727 380005636 /nfs/dbraw/zinc/00/56/36/380005636.db2.gz VMEGVJOGRHRPLQ-UHFFFAOYSA-N 0 0 272.260 2.560 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335237352 380060120 /nfs/dbraw/zinc/06/01/20/380060120.db2.gz BMVAJYCMRAEADY-SNVBAGLBSA-N 0 0 267.260 2.750 20 5 CFBDRN O=C(N[C@H]1CC[C@H](F)C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000335892316 380061034 /nfs/dbraw/zinc/06/10/34/380061034.db2.gz DXGMBGSYKWHNTD-IUCAKERBSA-N 0 0 291.282 2.697 20 5 CFBDRN CS[C@@H]1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335239640 380063110 /nfs/dbraw/zinc/06/31/10/380063110.db2.gz MEKZAJAUSNVLST-LLVKDONJSA-N 0 0 299.327 2.703 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335242102 380066979 /nfs/dbraw/zinc/06/69/79/380066979.db2.gz WHUQXKVVZIJQIJ-GFCCVEGCSA-N 0 0 281.287 2.996 20 5 CFBDRN CCc1cc(NC(=O)c2ccc(CC)c([N+](=O)[O-])c2)n[nH]1 ZINC000175328018 380045002 /nfs/dbraw/zinc/04/50/02/380045002.db2.gz FGLQPOBZSVXCFF-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN C[C@@H](N(C)C(=O)c1csc([N+](=O)[O-])c1)C1(C)CC1 ZINC000175603739 380098504 /nfs/dbraw/zinc/09/85/04/380098504.db2.gz DGXFTNRTVRCCEI-MRVPVSSYSA-N 0 0 268.338 2.917 20 5 CFBDRN C[C@H](N(C)C(=O)c1ccccc1[N+](=O)[O-])C1(C)CC1 ZINC000175630154 380104166 /nfs/dbraw/zinc/10/41/66/380104166.db2.gz VFRPCFJNUIUAFN-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000175974945 380163738 /nfs/dbraw/zinc/16/37/38/380163738.db2.gz VFZYXXRZPPJANQ-VXGBXAGGSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCC(F)(F)C1 ZINC000335966255 380171211 /nfs/dbraw/zinc/17/12/11/380171211.db2.gz DVFUZVVDZDBNRR-SECBINFHSA-N 0 0 256.252 2.997 20 5 CFBDRN Cc1c(NC(=O)Nc2cccc([N+](=O)[O-])c2C)cnn1C ZINC000176273295 380206841 /nfs/dbraw/zinc/20/68/41/380206841.db2.gz UMKPLUQCBUMQCA-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000176535477 380249778 /nfs/dbraw/zinc/24/97/78/380249778.db2.gz OWFZAVXWOGQANW-SNVBAGLBSA-N 0 0 264.256 2.572 20 5 CFBDRN C[C@H](O)CN(C)C(=O)c1cc2ccccc2c2cccnc12 ZINC000176537660 380251485 /nfs/dbraw/zinc/25/14/85/380251485.db2.gz WYJMNIJPHPIYBW-LBPRGKRZSA-N 0 0 294.354 2.841 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000176645179 380258768 /nfs/dbraw/zinc/25/87/68/380258768.db2.gz ZUYHOMGDVJSQJD-LBPRGKRZSA-N 0 0 294.351 2.868 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000176645162 380259633 /nfs/dbraw/zinc/25/96/33/380259633.db2.gz ZUYHOMGDVJSQJD-GFCCVEGCSA-N 0 0 294.351 2.868 20 5 CFBDRN C/C=C(\C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000176314730 380212760 /nfs/dbraw/zinc/21/27/60/380212760.db2.gz ZPNQTCWAXKTCBM-KGVSQERTSA-N 0 0 276.336 3.000 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000176424825 380230934 /nfs/dbraw/zinc/23/09/34/380230934.db2.gz WNHSKOLBGDORDC-CYBMUJFWSA-N 0 0 291.351 2.973 20 5 CFBDRN O=C(N[C@H]1C=CCCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000336015884 380241126 /nfs/dbraw/zinc/24/11/26/380241126.db2.gz VFFKGXWSDLTSDT-JTQLQIEISA-N 0 0 285.303 2.915 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000176989056 380290234 /nfs/dbraw/zinc/29/02/34/380290234.db2.gz IZWNLGTWRIGYAA-MNOVXSKESA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C)[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000176989041 380291389 /nfs/dbraw/zinc/29/13/89/380291389.db2.gz IZWNLGTWRIGYAA-QWRGUYRKSA-N 0 0 262.309 2.774 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C/c2nc(-c3cnccn3)no2)c1 ZINC000177019223 380294583 /nfs/dbraw/zinc/29/45/83/380294583.db2.gz YKWRLEZOVQXNLV-SNAWJCMRSA-N 0 0 295.258 2.605 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000177058108 380300504 /nfs/dbraw/zinc/30/05/04/380300504.db2.gz KPTSJWJSUKZPQX-BDAKNGLRSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@H](Sc1cn[nH]n1)c1cccc([N+](=O)[O-])c1 ZINC000177375077 380335924 /nfs/dbraw/zinc/33/59/24/380335924.db2.gz JEYZXGAQXKXTMR-ZETCQYMHSA-N 0 0 250.283 2.566 20 5 CFBDRN C[C@@H]1CC[C@]2(CCN(C(=O)c3cc([N+](=O)[O-])c[nH]3)C2)C1 ZINC000336507330 380382379 /nfs/dbraw/zinc/38/23/79/380382379.db2.gz KSIHPCDYRNIAAB-YGRLFVJLSA-N 0 0 277.324 2.575 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2cscn2)cc1[N+](=O)[O-] ZINC000177844360 380385013 /nfs/dbraw/zinc/38/50/13/380385013.db2.gz LJZBLDCEWHJGCS-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2cscn2)cc1[N+](=O)[O-] ZINC000177844354 380385228 /nfs/dbraw/zinc/38/52/28/380385228.db2.gz LJZBLDCEWHJGCS-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN CCC1(NS(=O)(=O)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000177536274 380351745 /nfs/dbraw/zinc/35/17/45/380351745.db2.gz KUBPJFOYTBYMHI-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178369348 380444087 /nfs/dbraw/zinc/44/40/87/380444087.db2.gz PUFWKPGYUYHUKL-OKILXGFUSA-N 0 0 288.347 2.786 20 5 CFBDRN CN(C(=O)[C@H]1Cc2ccccc2O1)c1cccc([N+](=O)[O-])c1 ZINC000179025141 380501715 /nfs/dbraw/zinc/50/17/15/380501715.db2.gz MKYQUPVAILVBLG-OAHLLOKOSA-N 0 0 298.298 2.561 20 5 CFBDRN CN(C(=O)c1ccc2nccn2c1)c1cccc([N+](=O)[O-])c1 ZINC000179024242 380502088 /nfs/dbraw/zinc/50/20/88/380502088.db2.gz RHXVILVXJPPCJO-UHFFFAOYSA-N 0 0 296.286 2.519 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2csc([N+](=O)[O-])c2)nc1 ZINC000179123080 380511563 /nfs/dbraw/zinc/51/15/63/380511563.db2.gz XQQLGCDUALGRPP-UHFFFAOYSA-N 0 0 291.288 2.506 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CC(C2CCC2)C1 ZINC000336557464 380463159 /nfs/dbraw/zinc/46/31/59/380463159.db2.gz DSBYDMDDDOZXQF-UHFFFAOYSA-N 0 0 293.298 2.998 20 5 CFBDRN CC1(C)CN(C(=O)c2ccccc2[N+](=O)[O-])[C@H]1C1CC1 ZINC000336570412 380483755 /nfs/dbraw/zinc/48/37/55/380483755.db2.gz UEFGMESVFRNHDM-ZDUSSCGKSA-N 0 0 274.320 2.855 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CCCc1cccc(F)c1 ZINC000180043375 380613179 /nfs/dbraw/zinc/61/31/79/380613179.db2.gz IXQOCDIAAPGFNR-UHFFFAOYSA-N 0 0 276.267 2.528 20 5 CFBDRN Cc1cc(NC2(CO)CCCC2)c(F)cc1[N+](=O)[O-] ZINC000336812581 380673094 /nfs/dbraw/zinc/67/30/94/380673094.db2.gz AOLZIGZYPFBSKJ-UHFFFAOYSA-N 0 0 268.288 2.759 20 5 CFBDRN CC1(C)[C@H](O)CCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000336814196 380674731 /nfs/dbraw/zinc/67/47/31/380674731.db2.gz FAJQZLLKIIMLHY-GFCCVEGCSA-N 0 0 284.743 2.593 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000336814269 380675109 /nfs/dbraw/zinc/67/51/09/380675109.db2.gz FDZHEURQHLTKGN-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000336816148 380678152 /nfs/dbraw/zinc/67/81/52/380678152.db2.gz KQYAYIVORWPXNY-CYBMUJFWSA-N 0 0 268.288 2.615 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180592655 380679860 /nfs/dbraw/zinc/67/98/60/380679860.db2.gz WYAYTIRZWHNZDW-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN O=C(Nc1ccc(O)cc1F)c1ccc([N+](=O)[O-])s1 ZINC000180859428 380707700 /nfs/dbraw/zinc/70/77/00/380707700.db2.gz JNBCZOPDECIRBQ-UHFFFAOYSA-N 0 0 282.252 2.753 20 5 CFBDRN Cn1c(COc2cccc([N+](=O)[O-])c2)nnc1C1CCC1 ZINC000180977552 380720049 /nfs/dbraw/zinc/72/00/49/380720049.db2.gz WXIKIMKKYNXYAP-UHFFFAOYSA-N 0 0 288.307 2.570 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)[C@H]1CCCO1 ZINC000279202479 194329746 /nfs/dbraw/zinc/32/97/46/194329746.db2.gz XLLIRHZTYLMPAZ-TZMCWYRMSA-N 0 0 280.324 2.509 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000295375992 380816958 /nfs/dbraw/zinc/81/69/58/380816958.db2.gz PCXGSBOXIUDVCY-CABZTGNLSA-N 0 0 280.349 2.668 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)CSC2CC2)cc1[N+](=O)[O-] ZINC000295454867 380865591 /nfs/dbraw/zinc/86/55/91/380865591.db2.gz YCBXEXQCVDPOPB-SNVBAGLBSA-N 0 0 294.376 2.976 20 5 CFBDRN Cc1ncccc1OCCOc1cccc([N+](=O)[O-])c1 ZINC000181709872 380871256 /nfs/dbraw/zinc/87/12/56/380871256.db2.gz PDZBBLIQTQPQOQ-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN CCOCCCNc1cc(C)c([N+](=O)[O-])cc1C(=O)OC ZINC000341257058 380871977 /nfs/dbraw/zinc/87/19/77/380871977.db2.gz JQCJYLFJAUXTLV-UHFFFAOYSA-N 0 0 296.323 2.528 20 5 CFBDRN Cc1c(Cl)cnn1CCOc1ccc([N+](=O)[O-])cc1 ZINC000181726408 380877465 /nfs/dbraw/zinc/87/74/65/380877465.db2.gz RMEJJSDNXUFABS-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN O=C(Nc1ccc(C2CCC2)cc1)c1n[nH]cc1[N+](=O)[O-] ZINC000279205190 194332043 /nfs/dbraw/zinc/33/20/43/194332043.db2.gz MRZLSJLOZVEVSS-UHFFFAOYSA-N 0 0 286.291 2.838 20 5 CFBDRN C[C@H](NC(=O)CSC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000295417911 380840655 /nfs/dbraw/zinc/84/06/55/380840655.db2.gz SVVAZXIIIABLLI-VIFPVBQESA-N 0 0 280.349 2.668 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1CC12CCCC2 ZINC000353776589 380844383 /nfs/dbraw/zinc/84/43/83/380844383.db2.gz KXVFQLGUEPIVRY-LBPRGKRZSA-N 0 0 274.320 2.791 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000287522355 380854078 /nfs/dbraw/zinc/85/40/78/380854078.db2.gz VHISVLACHANMRF-YMTOWFKASA-N 0 0 280.324 2.616 20 5 CFBDRN CCN(C(=O)NCCc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000341241876 380855230 /nfs/dbraw/zinc/85/52/30/380855230.db2.gz KWGALYNICKZING-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN Cc1nc(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)c(C)o1 ZINC000295446026 380859485 /nfs/dbraw/zinc/85/94/85/380859485.db2.gz WDYPGCBEXWLTMX-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H](C)O[C@@H](C)[C@H]2C)c1 ZINC000408440795 380927247 /nfs/dbraw/zinc/92/72/47/380927247.db2.gz APBAGLMLHMJRQS-TUAOUCFPSA-N 0 0 264.325 2.905 20 5 CFBDRN Cn1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 ZINC000347083392 380928338 /nfs/dbraw/zinc/92/83/38/380928338.db2.gz VILTYTCIFAJMCX-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN Cn1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1 ZINC000341271644 380887586 /nfs/dbraw/zinc/88/75/86/380887586.db2.gz HIWBEUCMQIHOPO-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000295484423 380887739 /nfs/dbraw/zinc/88/77/39/380887739.db2.gz IYCDBVPRHLCVHS-LLVKDONJSA-N 0 0 272.301 2.621 20 5 CFBDRN CCc1ccc(OCc2cnns2)c([N+](=O)[O-])c1 ZINC000287628960 380889748 /nfs/dbraw/zinc/88/97/48/380889748.db2.gz UZFKNLLNCBOEBJ-UHFFFAOYSA-N 0 0 265.294 2.588 20 5 CFBDRN Cc1cc(C(=O)N2CCC(CF)CC2)ccc1[N+](=O)[O-] ZINC000295495181 380894100 /nfs/dbraw/zinc/89/41/00/380894100.db2.gz BJQXCHKNDOOUNQ-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN COc1cc(Cn2cc([N+](=O)[O-])c(C)n2)ccc1SC ZINC000181814618 380903464 /nfs/dbraw/zinc/90/34/64/380903464.db2.gz KZRRBPHJNCXYFU-UHFFFAOYSA-N 0 0 293.348 2.879 20 5 CFBDRN CCc1nnc(CNc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000353858734 380907013 /nfs/dbraw/zinc/90/70/13/380907013.db2.gz IGWSTIZXIQYAES-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1C[C@H]2CCC[C@@H]2C1 ZINC000408025968 380911521 /nfs/dbraw/zinc/91/15/21/380911521.db2.gz UZQPJFOKTWZIEW-GHMZBOCLSA-N 0 0 299.330 2.948 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)C[C@@H]21 ZINC000408024483 380911782 /nfs/dbraw/zinc/91/17/82/380911782.db2.gz BWKOCRCQNUJSRU-PHIMTYICSA-N 0 0 299.330 2.804 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000408303763 380919526 /nfs/dbraw/zinc/91/95/26/380919526.db2.gz SPNNPGVHQYNOSX-UWVGGRQHSA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)cc(C)c1O ZINC000358585274 380949288 /nfs/dbraw/zinc/94/92/88/380949288.db2.gz RMEHSVUCYFRWPC-UHFFFAOYSA-N 0 0 276.248 2.763 20 5 CFBDRN Cc1ccccc1CN(C)c1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341350360 380957274 /nfs/dbraw/zinc/95/72/74/380957274.db2.gz NEIKRXJHXFCSPA-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN Cc1ncsc1CNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000358598868 380960735 /nfs/dbraw/zinc/96/07/35/380960735.db2.gz UBPXSOMYEQAKNB-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC(C2CCC2)C1 ZINC000341357083 380963160 /nfs/dbraw/zinc/96/31/60/380963160.db2.gz FZDKIWUHLVRLME-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NOCC2CC2)cc1[N+](=O)[O-] ZINC000295604424 380968861 /nfs/dbraw/zinc/96/88/61/380968861.db2.gz NESQBZHVBNXCBQ-UHFFFAOYSA-N 0 0 284.699 2.628 20 5 CFBDRN CSCCCN(C)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000341373135 380974294 /nfs/dbraw/zinc/97/42/94/380974294.db2.gz IFJZRQGGZMYWAQ-UHFFFAOYSA-N 0 0 292.364 2.727 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000295619107 380977294 /nfs/dbraw/zinc/97/72/94/380977294.db2.gz QXMDGMMELKNQGN-AWEZNQCLSA-N 0 0 296.298 2.568 20 5 CFBDRN CCOCCCOc1cc(C)c([N+](=O)[O-])cc1F ZINC000358640722 380986394 /nfs/dbraw/zinc/98/63/94/380986394.db2.gz XRHCQAHYBUYIRJ-UHFFFAOYSA-N 0 0 257.261 2.848 20 5 CFBDRN CN(CC[C@@H]1CCCO1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000341380793 380979344 /nfs/dbraw/zinc/97/93/44/380979344.db2.gz VGWFFMLRFZLAEV-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1cc(NC(=O)c2ccnc(C3CC3)n2)ccc1[N+](=O)[O-] ZINC000347175340 380984470 /nfs/dbraw/zinc/98/44/70/380984470.db2.gz DIFNLJPAUZAJJK-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000295570270 380946962 /nfs/dbraw/zinc/94/69/62/380946962.db2.gz MGAKKYZUOFSKLP-ZDUSSCGKSA-N 0 0 284.262 2.698 20 5 CFBDRN CCCCNC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000182186046 381014958 /nfs/dbraw/zinc/01/49/58/381014958.db2.gz HLQMWGLDCPZZFP-ZHACJKMWSA-N 0 0 262.309 2.914 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)Cc2ccncc2)cc1[N+](=O)[O-] ZINC000182082090 380988159 /nfs/dbraw/zinc/98/81/59/380988159.db2.gz CMDOYXLAUPNADC-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1cc(F)ccc1Cn1cc([N+](=O)[O-])cc(C)c1=O ZINC000182097139 380989282 /nfs/dbraw/zinc/98/92/82/380989282.db2.gz FGMNFBHGBPLDQS-UHFFFAOYSA-N 0 0 276.267 2.561 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000279304944 194376331 /nfs/dbraw/zinc/37/63/31/194376331.db2.gz ZWXICUOGLKXPNX-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN C[C@H]1CCC[C@@H](CO)N1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182353787 381072162 /nfs/dbraw/zinc/07/21/62/381072162.db2.gz REBZHYOPCWXOQR-GWCFXTLKSA-N 0 0 298.770 2.984 20 5 CFBDRN C[C@@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1ccon1 ZINC000347257828 381033361 /nfs/dbraw/zinc/03/33/61/381033361.db2.gz AMAHRROVQKLMBP-SSDOTTSWSA-N 0 0 294.242 2.605 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000182238834 381037085 /nfs/dbraw/zinc/03/70/85/381037085.db2.gz QAJNGRDRSCNQDB-LLVKDONJSA-N 0 0 280.324 2.904 20 5 CFBDRN C[C@@H](c1ccc(F)c(F)c1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000354037416 381037642 /nfs/dbraw/zinc/03/76/42/381037642.db2.gz DUNHVTFNCGZUFD-QMMMGPOBSA-N 0 0 296.277 2.804 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCO1 ZINC000182239872 381037543 /nfs/dbraw/zinc/03/75/43/381037543.db2.gz OQDRPZLDAYSPJF-PWSUYJOCSA-N 0 0 279.292 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)Cc2ccccc2)cc1 ZINC000358731203 381042135 /nfs/dbraw/zinc/04/21/35/381042135.db2.gz HJGXJPGOWWXNTB-CQSZACIVSA-N 0 0 286.331 2.858 20 5 CFBDRN CCc1cnccc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000182394311 381080605 /nfs/dbraw/zinc/08/06/05/381080605.db2.gz QYIPJGGTGSXIEC-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN COCCCC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163874852 284865854 /nfs/dbraw/zinc/86/58/54/284865854.db2.gz YCPBXQLBARAODT-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN C[C@]1(F)CCN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000347343810 381085362 /nfs/dbraw/zinc/08/53/62/381085362.db2.gz HIAQUGATTVFYIY-LBPRGKRZSA-N 0 0 256.252 2.668 20 5 CFBDRN Cc1ccc(F)c(CN(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000347353316 381091611 /nfs/dbraw/zinc/09/16/11/381091611.db2.gz ACBYAFREWSTFSN-UHFFFAOYSA-N 0 0 291.282 2.643 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CC(F)C1 ZINC000347379998 381109860 /nfs/dbraw/zinc/10/98/60/381109860.db2.gz RSXRMLATEUTIKV-BJMVGYQFSA-N 0 0 250.273 2.652 20 5 CFBDRN CCN(C)C(=O)[C@@H](Nc1ccccc1[N+](=O)[O-])C(C)C ZINC000295896389 381141983 /nfs/dbraw/zinc/14/19/83/381141983.db2.gz CAYZCRGEBHIXOZ-ZDUSSCGKSA-N 0 0 279.340 2.510 20 5 CFBDRN Cc1ccccc1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000358907704 381148600 /nfs/dbraw/zinc/14/86/00/381148600.db2.gz UQOMYOSABDVRHA-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN CCOC1CC([N@H+](C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000347465582 381167843 /nfs/dbraw/zinc/16/78/43/381167843.db2.gz YLNKFCDQGKNJGO-UHFFFAOYSA-N 0 0 264.325 2.594 20 5 CFBDRN O=[N+]([O-])c1cc(NCCC2CCOCC2)ccc1F ZINC000295917422 381155219 /nfs/dbraw/zinc/15/52/19/381155219.db2.gz JNHSKEFHVLFKNK-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000358944634 381168077 /nfs/dbraw/zinc/16/80/77/381168077.db2.gz FYDCVASBCDVWNH-LURJTMIESA-N 0 0 294.204 2.662 20 5 CFBDRN CCc1nc(C)c(NC(=O)c2ccc([N+](=O)[O-])o2)s1 ZINC000341665958 381159555 /nfs/dbraw/zinc/15/95/55/381159555.db2.gz CUMRWFVUEQSWNM-UHFFFAOYSA-N 0 0 281.293 2.767 20 5 CFBDRN Cc1cccnc1CN1CCc2ccc([N+](=O)[O-])cc21 ZINC000182810821 381164034 /nfs/dbraw/zinc/16/40/34/381164034.db2.gz UOWSURJUYKIWEK-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CSCCCCNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000347402903 381128206 /nfs/dbraw/zinc/12/82/06/381128206.db2.gz GMFNHMCLOBOECD-UHFFFAOYSA-N 0 0 292.364 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CCC1CCOCC1 ZINC000295952216 381178280 /nfs/dbraw/zinc/17/82/80/381178280.db2.gz LMKGINNANDFCPB-UHFFFAOYSA-N 0 0 276.336 2.774 20 5 CFBDRN CCn1cc([C@@H](C)NCc2ccc([N+](=O)[O-])c(F)c2)cn1 ZINC000295968045 381188642 /nfs/dbraw/zinc/18/86/42/381188642.db2.gz IEYOCOYLWKWTCI-SNVBAGLBSA-N 0 0 292.314 2.801 20 5 CFBDRN C[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000412161967 381188733 /nfs/dbraw/zinc/18/87/33/381188733.db2.gz KQOXJCFUMGWXHO-LWWSYDQCSA-N 0 0 288.347 2.955 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)c1cccc(OC)c1 ZINC000347516117 381197318 /nfs/dbraw/zinc/19/73/18/381197318.db2.gz MHFHOPKYBFRMCM-UHFFFAOYSA-N 0 0 290.275 2.863 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])s2)[C@@H]2CCCO[C@H]21 ZINC000369121267 381197653 /nfs/dbraw/zinc/19/76/53/381197653.db2.gz DLUYRYSXMNJAHD-FXBDTBDDSA-N 0 0 283.353 2.667 20 5 CFBDRN CN(CCC1CC1)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412204842 381211326 /nfs/dbraw/zinc/21/13/26/381211326.db2.gz OXZVXJOVUQBVQS-LSDHHAIUSA-N 0 0 288.347 2.957 20 5 CFBDRN CC[C@@H]1CCN1C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000347607207 381252520 /nfs/dbraw/zinc/25/25/20/381252520.db2.gz DQKNQKKELZCMMZ-SNVBAGLBSA-N 0 0 280.349 2.698 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)CC(C)(C)O ZINC000296134092 381270394 /nfs/dbraw/zinc/27/03/94/381270394.db2.gz ILSVEKYRUPYBFA-SNVBAGLBSA-N 0 0 295.339 2.574 20 5 CFBDRN CCc1nn(C)cc1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359082194 381253686 /nfs/dbraw/zinc/25/36/86/381253686.db2.gz LISWYAXIDFQAGC-UHFFFAOYSA-N 0 0 289.339 2.515 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C(F)(F)F ZINC000289996591 381254071 /nfs/dbraw/zinc/25/40/71/381254071.db2.gz FHPLNECCKMZHBF-SSDOTTSWSA-N 0 0 276.214 2.584 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@@H+]1CC[C@H]2OCCC[C@@H]2C1 ZINC000183356056 381259700 /nfs/dbraw/zinc/25/97/00/381259700.db2.gz OUGLIDLDEJXZGM-GDBMZVCRSA-N 0 0 290.363 2.904 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2ccncc2)n1 ZINC000359098244 381263967 /nfs/dbraw/zinc/26/39/67/381263967.db2.gz NHIAPBHZHUDOQB-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H](C)C(C)(C)O ZINC000296127789 381266766 /nfs/dbraw/zinc/26/67/66/381266766.db2.gz HYYLAGHHURNNLO-SNVBAGLBSA-N 0 0 295.339 2.526 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc2cc[nH]c2n1 ZINC000354358146 381222934 /nfs/dbraw/zinc/22/29/34/381222934.db2.gz QHIWVOHEDSBGSJ-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN O=C(Nc1cccc2ccsc21)c1cc([N+](=O)[O-])n[nH]1 ZINC000354356192 381224113 /nfs/dbraw/zinc/22/41/13/381224113.db2.gz PCGWBYXIEZEZOA-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000354363682 381226072 /nfs/dbraw/zinc/22/60/72/381226072.db2.gz ARDQUGRSAODGPC-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H](O)CC(C)(C)C1 ZINC000296049125 381230473 /nfs/dbraw/zinc/23/04/73/381230473.db2.gz QIPSKPZRKCZNNP-LBPRGKRZSA-N 0 0 278.352 2.891 20 5 CFBDRN CC1(C)[C@H]([NH2+]Cc2ccc([N+](=O)[O-])c(F)c2)[C@@H]2CCO[C@H]21 ZINC000296061770 381238266 /nfs/dbraw/zinc/23/82/66/381238266.db2.gz GEWQIUXWZHGPII-ZLKJLUDKSA-N 0 0 294.326 2.637 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC2CCC(C)CC2)c1=O ZINC000183266501 381245461 /nfs/dbraw/zinc/24/54/61/381245461.db2.gz USMRTDSATJEVJX-UHFFFAOYSA-N 0 0 264.325 2.891 20 5 CFBDRN C[C@H](CCCCO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000296181520 381289029 /nfs/dbraw/zinc/28/90/29/381289029.db2.gz CWCTVNYCNLOPHZ-SECBINFHSA-N 0 0 256.277 2.697 20 5 CFBDRN C[C@@H]1C[C@@H](C)CC[C@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183594093 381291814 /nfs/dbraw/zinc/29/18/14/381291814.db2.gz LSVHZIJWNQCNGX-HBNTYKKESA-N 0 0 292.339 2.936 20 5 CFBDRN C[C@@H](CCCCO)Nc1ccc([N+](=O)[O-])cc1F ZINC000296195965 381296587 /nfs/dbraw/zinc/29/65/87/381296587.db2.gz DYIISKHKRCIFBD-VIFPVBQESA-N 0 0 256.277 2.697 20 5 CFBDRN C[C@H](CCCCO)Nc1ccc([N+](=O)[O-])cc1F ZINC000296195960 381297542 /nfs/dbraw/zinc/29/75/42/381297542.db2.gz DYIISKHKRCIFBD-SECBINFHSA-N 0 0 256.277 2.697 20 5 CFBDRN COc1nccc(CNc2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000296200084 381298635 /nfs/dbraw/zinc/29/86/35/381298635.db2.gz YXPWSMAVLQOJTN-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN O=C(NC[C@H]1C[C@H]2CC[C@@H]1C2)Nc1ccncc1[N+](=O)[O-] ZINC000183642453 381299770 /nfs/dbraw/zinc/29/97/70/381299770.db2.gz GWXJQHNZGQNWGI-HBNTYKKESA-N 0 0 290.323 2.548 20 5 CFBDRN Cc1ccc(N[C@H](C)CCCCO)c([N+](=O)[O-])c1 ZINC000296208471 381303046 /nfs/dbraw/zinc/30/30/46/381303046.db2.gz FVVKEZNPKUAQDA-LLVKDONJSA-N 0 0 252.314 2.866 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H](C)c2ccon2)cc1[N+](=O)[O-] ZINC000347649621 381276273 /nfs/dbraw/zinc/27/62/73/381276273.db2.gz PXURELWWURRROH-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1CF ZINC000412374529 381276531 /nfs/dbraw/zinc/27/65/31/381276531.db2.gz XPSSVILCPNGXKI-MELADBBJSA-N 0 0 292.310 2.659 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]1C ZINC000359117710 381277532 /nfs/dbraw/zinc/27/75/32/381277532.db2.gz JYIZWCMLVFPOIE-OUAUKWLOSA-N 0 0 279.340 2.725 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000183530692 381283480 /nfs/dbraw/zinc/28/34/80/381283480.db2.gz KOXRNTYHUHLIMF-MNOVXSKESA-N 0 0 264.325 2.893 20 5 CFBDRN COC1(CNC(=O)Nc2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000183521123 381284099 /nfs/dbraw/zinc/28/40/99/381284099.db2.gz RDRPUNRSNRTHTD-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN CCc1ccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)cc1CC ZINC000354531420 381323627 /nfs/dbraw/zinc/32/36/27/381323627.db2.gz XPXYWKBZCRWARU-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN CC(C)(c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1)C1CC1 ZINC000290170529 381327968 /nfs/dbraw/zinc/32/79/68/381327968.db2.gz KNUBANBAQSFPNF-UHFFFAOYSA-N 0 0 288.307 2.915 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CCOCC(C)C ZINC000359287500 381329332 /nfs/dbraw/zinc/32/93/32/381329332.db2.gz NOWFSVUIDRRXAR-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H](CO)CC1CCCC1 ZINC000359290649 381330815 /nfs/dbraw/zinc/33/08/15/381330815.db2.gz LNLLMCIGWARNME-GFCCVEGCSA-N 0 0 279.340 2.591 20 5 CFBDRN CC(C)C[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000354545293 381332612 /nfs/dbraw/zinc/33/26/12/381332612.db2.gz FJYVVQHVPAHFEJ-NSHDSACASA-N 0 0 279.340 2.584 20 5 CFBDRN C[C@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(F)(F)F ZINC000290184186 381333681 /nfs/dbraw/zinc/33/36/81/381333681.db2.gz SNFFHWLGHZYFAW-YFKPBYRVSA-N 0 0 296.632 2.929 20 5 CFBDRN Cc1cccnc1/C=C/C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000183884543 381334456 /nfs/dbraw/zinc/33/44/56/381334456.db2.gz JJJWPQYYFZJUFF-MDZDMXLPSA-N 0 0 297.314 2.974 20 5 CFBDRN CC(C)[C@@H]1CCC[C@H](NC(=O)c2[nH]ncc2[N+](=O)[O-])CC1 ZINC000183889006 381336284 /nfs/dbraw/zinc/33/62/84/381336284.db2.gz UZMKZFKHXACAEM-MNOVXSKESA-N 0 0 294.355 2.653 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCCOC[C@@H]1CCOC1 ZINC000342388752 381309642 /nfs/dbraw/zinc/30/96/42/381309642.db2.gz YCJHKFQPAYDSDO-NSHDSACASA-N 0 0 298.314 2.589 20 5 CFBDRN CC[C@@H](C)Cc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000290125883 381310932 /nfs/dbraw/zinc/31/09/32/381310932.db2.gz PKZDTRUPHBUGDA-SECBINFHSA-N 0 0 276.296 2.552 20 5 CFBDRN CN(C[C@H]1CCC[N@H+](C)C1)c1c(F)cccc1[N+](=O)[O-] ZINC000342413350 381317875 /nfs/dbraw/zinc/31/78/75/381317875.db2.gz ZQPGEKFXJBXQPX-NSHDSACASA-N 0 0 281.331 2.512 20 5 CFBDRN CO[C@@H](C)CN(C(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000354522057 381318455 /nfs/dbraw/zinc/31/84/55/381318455.db2.gz LTBYBYAJPILBSU-NSHDSACASA-N 0 0 295.339 2.872 20 5 CFBDRN CC(C)COCCC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000359324461 381349823 /nfs/dbraw/zinc/34/98/23/381349823.db2.gz VYJSSZZADRRZNJ-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN CSCC1CCC(NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000296344908 381354658 /nfs/dbraw/zinc/35/46/58/381354658.db2.gz UPVRHHCIQPWWEC-UHFFFAOYSA-N 0 0 298.364 2.839 20 5 CFBDRN Cn1ncnc1SCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000184165929 381379217 /nfs/dbraw/zinc/37/92/17/381379217.db2.gz PHULJVMBCBIMLU-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN CCC1(NC(=O)Cc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000296403766 381379336 /nfs/dbraw/zinc/37/93/36/381379336.db2.gz XDWUOVQOAAYSOX-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000347845400 381374610 /nfs/dbraw/zinc/37/46/10/381374610.db2.gz YKASDSCUKIFFOU-DGCLKSJQSA-N 0 0 291.351 2.830 20 5 CFBDRN CCn1cc(CCOc2cccc(C)c2[N+](=O)[O-])cn1 ZINC000347898084 381404033 /nfs/dbraw/zinc/40/40/33/381404033.db2.gz GNPZUUXGFOPZGJ-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCCC[C@H]1F ZINC000412697055 381408549 /nfs/dbraw/zinc/40/85/49/381408549.db2.gz VNCYWJAWZSBSTH-CHWSQXEVSA-N 0 0 280.299 2.914 20 5 CFBDRN CCC(=O)c1ccc(NCc2ccnn2C)c([N+](=O)[O-])c1 ZINC000359436777 381412296 /nfs/dbraw/zinc/41/22/96/381412296.db2.gz KPKCCKRPRUFHKK-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN COC[C@@H](C)N(C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000266881158 274041720 /nfs/dbraw/zinc/04/17/20/274041720.db2.gz AJZFTUASHYJBRQ-SECBINFHSA-N 0 0 272.732 2.715 20 5 CFBDRN COc1cc(N[C@@H]2CCOC2(C)C)c(F)cc1[N+](=O)[O-] ZINC000296403144 381379936 /nfs/dbraw/zinc/37/99/36/381379936.db2.gz VYCXIDWINVDXPI-GFCCVEGCSA-N 0 0 284.287 2.722 20 5 CFBDRN CC1(C)CC[C@@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342533794 381380378 /nfs/dbraw/zinc/38/03/78/381380378.db2.gz CFSQDFKVBADICF-SECBINFHSA-N 0 0 277.324 2.684 20 5 CFBDRN CCCCNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296405465 381381028 /nfs/dbraw/zinc/38/10/28/381381028.db2.gz UPNHXAZWNOOBTJ-JTQLQIEISA-N 0 0 265.313 2.755 20 5 CFBDRN COC1CCC(CCNc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000347859117 381381492 /nfs/dbraw/zinc/38/14/92/381381492.db2.gz QUZRONFOZRYBPI-UHFFFAOYSA-N 0 0 293.367 2.727 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCCC(=O)OC(C)(C)C ZINC000342542197 381385675 /nfs/dbraw/zinc/38/56/75/381385675.db2.gz GHNSMQBVIVYDJH-UHFFFAOYSA-N 0 0 283.328 2.612 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cn3ccsc3n2)cc1 ZINC000354652000 381386179 /nfs/dbraw/zinc/38/61/79/381386179.db2.gz JCDCTEUBZABWNV-UHFFFAOYSA-N 0 0 274.305 2.916 20 5 CFBDRN CCC[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000296421984 381388294 /nfs/dbraw/zinc/38/82/94/381388294.db2.gz RTHCYTCWIHMKHA-LBPRGKRZSA-N 0 0 281.312 2.531 20 5 CFBDRN Cc1cc(C(=O)NCCc2ncccc2C)cc([N+](=O)[O-])c1 ZINC000296422079 381389064 /nfs/dbraw/zinc/38/90/64/381389064.db2.gz LMCBYHCVGQIODM-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])s1)CC(F)(F)F ZINC000412664691 381390788 /nfs/dbraw/zinc/39/07/88/381390788.db2.gz WOOGQFHLEYHKFR-LURJTMIESA-N 0 0 296.270 2.975 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000342549412 381391165 /nfs/dbraw/zinc/39/11/65/381391165.db2.gz VTAUYPFTGGYYOA-SNVBAGLBSA-N 0 0 291.307 2.605 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CCCC[C@H]1F ZINC000412670885 381395494 /nfs/dbraw/zinc/39/54/94/381395494.db2.gz JINNFTMZUXYACJ-DGCLKSJQSA-N 0 0 298.289 2.673 20 5 CFBDRN COCC1CCC(NC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000412683285 381400581 /nfs/dbraw/zinc/40/05/81/381400581.db2.gz DBOCLNQUTOIRBI-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000296450329 381401620 /nfs/dbraw/zinc/40/16/20/381401620.db2.gz UOMOBLYXWIFKSR-AWEZNQCLSA-N 0 0 295.314 2.628 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)Cc1csc(C)n1 ZINC000359471450 381426365 /nfs/dbraw/zinc/42/63/65/381426365.db2.gz IKIQXKUWJYXJRX-UHFFFAOYSA-N 0 0 295.368 2.521 20 5 CFBDRN CC[C@](C)(OC)c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000290451054 381433314 /nfs/dbraw/zinc/43/33/14/381433314.db2.gz LTZHVAGZAKBSRC-ZDUSSCGKSA-N 0 0 277.280 2.916 20 5 CFBDRN COC[C@@H](NCc1cccc([N+](=O)[O-])c1C)c1ccco1 ZINC000184575085 381436227 /nfs/dbraw/zinc/43/62/27/381436227.db2.gz GGGSSKLFQUFLDK-CYBMUJFWSA-N 0 0 290.319 2.974 20 5 CFBDRN O=C(N[C@H]1C=CCCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000342617216 381437353 /nfs/dbraw/zinc/43/73/53/381437353.db2.gz IDAAULTWYVTAOL-NSHDSACASA-N 0 0 285.303 2.915 20 5 CFBDRN CSC1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000347978004 381440811 /nfs/dbraw/zinc/44/08/11/381440811.db2.gz YEJKCMMVCDGQKS-UHFFFAOYSA-N 0 0 270.285 2.568 20 5 CFBDRN CCc1nc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)co1 ZINC000347980953 381442979 /nfs/dbraw/zinc/44/29/79/381442979.db2.gz HBFWZRNRLHIWOS-UHFFFAOYSA-N 0 0 279.227 2.537 20 5 CFBDRN CC(C)n1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000347986487 381446598 /nfs/dbraw/zinc/44/65/98/381446598.db2.gz RMAKNUOOZVKYGH-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN CCOC(=O)c1coc(/C=C/c2ccc([N+](=O)[O-])cn2)c1 ZINC000359520654 381448022 /nfs/dbraw/zinc/44/80/22/381448022.db2.gz AVHZAVRHGZKCPR-GQCTYLIASA-N 0 0 288.259 2.930 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(SCC(=O)OC(C)(C)C)n1 ZINC000184797062 381480096 /nfs/dbraw/zinc/48/00/96/381480096.db2.gz YHGKNGKQOCBPNB-UHFFFAOYSA-N 0 0 284.337 2.732 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC[C@H]2CF)cc1[N+](=O)[O-] ZINC000359550841 381464583 /nfs/dbraw/zinc/46/45/83/381464583.db2.gz YJNCXBXHZCXDSF-NSHDSACASA-N 0 0 296.298 2.568 20 5 CFBDRN COc1cc(COc2cc(F)cc([N+](=O)[O-])c2)ccn1 ZINC000342672420 381470287 /nfs/dbraw/zinc/47/02/87/381470287.db2.gz CQLZFZDLNFTXGP-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCCCOC ZINC000290679716 381520598 /nfs/dbraw/zinc/52/05/98/381520598.db2.gz SFVRMCWZDNYUPJ-UHFFFAOYSA-N 0 0 252.314 2.996 20 5 CFBDRN CC(C)Cc1noc(CNc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000359614401 381510615 /nfs/dbraw/zinc/51/06/15/381510615.db2.gz ADTGFZVCJZUVEM-UHFFFAOYSA-N 0 0 294.286 2.928 20 5 CFBDRN CCCCCCNC(=O)COc1c(F)cccc1[N+](=O)[O-] ZINC000185011893 381512632 /nfs/dbraw/zinc/51/26/32/381512632.db2.gz BWZSGIZMKIXOBV-UHFFFAOYSA-N 0 0 298.314 2.809 20 5 CFBDRN COCc1nc(CNc2c(F)cccc2[N+](=O)[O-])cs1 ZINC000290671101 381517217 /nfs/dbraw/zinc/51/72/17/381517217.db2.gz KLIYKEFSEPOVBB-UHFFFAOYSA-N 0 0 297.311 2.949 20 5 CFBDRN CC[C@@H](CNc1ccc([N+](=O)[O-])c(C(C)=O)c1)OC ZINC000290581742 381487463 /nfs/dbraw/zinc/48/74/63/381487463.db2.gz CAYXWEUBUKMRPQ-NSHDSACASA-N 0 0 266.297 2.634 20 5 CFBDRN Cc1nnc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)nc1C ZINC000359585626 381488794 /nfs/dbraw/zinc/48/87/94/381488794.db2.gz FPFXUATTYYJZFZ-JTQLQIEISA-N 0 0 273.296 2.570 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](C)C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000359585002 381489609 /nfs/dbraw/zinc/48/96/09/381489609.db2.gz YSYYCFZPADAVIZ-VHSXEESVSA-N 0 0 280.324 2.759 20 5 CFBDRN C[C@H]1CCCCN1C(=O)CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000359597827 381496105 /nfs/dbraw/zinc/49/61/05/381496105.db2.gz KZOHZJVKNPFCHT-JTQLQIEISA-N 0 0 295.314 2.547 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000354869981 381498630 /nfs/dbraw/zinc/49/86/30/381498630.db2.gz QUIBTCLULCYTGA-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)C1CCC1 ZINC000348311436 381498825 /nfs/dbraw/zinc/49/88/25/381498825.db2.gz CFKRJMWZYPEAMQ-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000348317022 381503025 /nfs/dbraw/zinc/50/30/25/381503025.db2.gz RWOULYLDCUYMLJ-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN CN(C)c1cccc(CNc2c(F)cccc2[N+](=O)[O-])n1 ZINC000342785855 381538448 /nfs/dbraw/zinc/53/84/48/381538448.db2.gz TZTBFXKTZNCLLD-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000277539254 295602961 /nfs/dbraw/zinc/60/29/61/295602961.db2.gz LRKIAUFENFUYSK-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN CCOc1cccc(N2CCCO[C@H](CF)C2)c1[N+](=O)[O-] ZINC000413487236 381547059 /nfs/dbraw/zinc/54/70/59/381547059.db2.gz XMUUXWNSKBWKBE-LLVKDONJSA-N 0 0 298.314 2.558 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@H](CC2CC2)C1 ZINC000359676849 381549384 /nfs/dbraw/zinc/54/93/84/381549384.db2.gz KTHXOGOPMBLOTN-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])c(C2CC2)n1)c1ccccc1 ZINC000185163288 381550251 /nfs/dbraw/zinc/55/02/51/381550251.db2.gz NBLGBHYPXLRCPK-UHFFFAOYSA-N 0 0 271.276 2.552 20 5 CFBDRN COc1cc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)ccn1 ZINC000354924705 381523744 /nfs/dbraw/zinc/52/37/44/381523744.db2.gz WZIODJPKSFOHHJ-UHFFFAOYSA-N 0 0 298.302 2.705 20 5 CFBDRN Cc1nc(N2CCC=C(c3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000296734426 381524517 /nfs/dbraw/zinc/52/45/17/381524517.db2.gz JAVMAMJIQAKVIO-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CCC3(O)CCC3)c2)cc1 ZINC000412994986 381527789 /nfs/dbraw/zinc/52/77/89/381527789.db2.gz PXDRIDMTYYLHGT-UHFFFAOYSA-N 0 0 287.319 2.763 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NC[C@H](C)SC ZINC000342774223 381530141 /nfs/dbraw/zinc/53/01/41/381530141.db2.gz FGLGEUWIVAKQIZ-VIFPVBQESA-N 0 0 298.364 2.853 20 5 CFBDRN C[C@H]1CCN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000413108771 381535597 /nfs/dbraw/zinc/53/55/97/381535597.db2.gz MAVYTRQUCQHPMN-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN Cc1sc(C(=O)N(C)CC(C)C)cc1[N+](=O)[O-] ZINC000298338781 381583174 /nfs/dbraw/zinc/58/31/74/381583174.db2.gz ONJALTBQJZCFEK-UHFFFAOYSA-N 0 0 256.327 2.693 20 5 CFBDRN CCCC1(C(=O)NCCNc2ccccc2[N+](=O)[O-])CC1 ZINC000348422956 381564590 /nfs/dbraw/zinc/56/45/90/381564590.db2.gz OQZGPDCIQSMQJK-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CCC[C@H]1CCCN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000297604070 381567627 /nfs/dbraw/zinc/56/76/27/381567627.db2.gz VCZJTMUGTYEVPQ-NSHDSACASA-N 0 0 264.329 2.710 20 5 CFBDRN CCn1nccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342881952 381600286 /nfs/dbraw/zinc/60/02/86/381600286.db2.gz NASZBYYJYYYJIX-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)CCC1(F)F ZINC000290944002 381607328 /nfs/dbraw/zinc/60/73/28/381607328.db2.gz ORWOCHPPTPVUJY-SSDOTTSWSA-N 0 0 290.291 2.774 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000185359110 381611496 /nfs/dbraw/zinc/61/14/96/381611496.db2.gz CYRXQPHGUJBKPD-WPRPVWTQSA-N 0 0 268.338 2.917 20 5 CFBDRN COc1ccc(C(=O)N2CCCC3(CC3)C2)cc1[N+](=O)[O-] ZINC000185367122 381612522 /nfs/dbraw/zinc/61/25/22/381612522.db2.gz ZJQFXZHMJQLJKU-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC2CCC1CC2 ZINC000371392436 381612457 /nfs/dbraw/zinc/61/24/57/381612457.db2.gz JGACPIOZQAFETJ-UHFFFAOYSA-N 0 0 274.320 2.918 20 5 CFBDRN C[C@H](Nc1ncnc2sc([N+](=O)[O-])cc21)c1ccon1 ZINC000342916413 381612979 /nfs/dbraw/zinc/61/29/79/381612979.db2.gz JHUWEIYLGNTHDR-LURJTMIESA-N 0 0 291.292 2.761 20 5 CFBDRN C[C@H](Nc1c(F)cccc1[N+](=O)[O-])c1ccon1 ZINC000342916050 381613949 /nfs/dbraw/zinc/61/39/49/381613949.db2.gz HNLLLONZYRFKDJ-ZETCQYMHSA-N 0 0 251.217 2.895 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCCc2ccc[nH]2)s1 ZINC000342937293 381625551 /nfs/dbraw/zinc/62/55/51/381625551.db2.gz GVCWEUGFDOPGJP-UHFFFAOYSA-N 0 0 289.320 2.582 20 5 CFBDRN CSC[C@@H]1CCCN1c1ncc(C)cc1[N+](=O)[O-] ZINC000268969542 295615206 /nfs/dbraw/zinc/61/52/06/295615206.db2.gz NWMKAGYJTIHGPB-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN COc1ccccc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000291038944 381643706 /nfs/dbraw/zinc/64/37/06/381643706.db2.gz GFRCSCLYJJKBFX-UHFFFAOYSA-N 0 0 291.307 2.733 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1c1ccc([N+](=O)[O-])nc1 ZINC000359859240 381645871 /nfs/dbraw/zinc/64/58/71/381645871.db2.gz UIGYCYVHGSGVEB-APPZFPTMSA-N 0 0 275.230 2.767 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2C[C@H]2C2CC2)s1 ZINC000343033621 381655220 /nfs/dbraw/zinc/65/52/20/381655220.db2.gz JYUGRMSGOSHHFP-CBAPKCEASA-N 0 0 276.321 2.810 20 5 CFBDRN CCC1(C)CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000291073895 381657683 /nfs/dbraw/zinc/65/76/83/381657683.db2.gz NDTFRSSNRZPVNJ-UHFFFAOYSA-N 0 0 294.376 2.945 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)ccc1[N+](=O)[O-] ZINC000371651288 381672961 /nfs/dbraw/zinc/67/29/61/381672961.db2.gz OOPKFSXQVLURBE-BZPMIXESSA-N 0 0 291.303 2.514 20 5 CFBDRN CC(C)(C)CCn1cnc2ccc([N+](=O)[O-])cc2c1=O ZINC000298496138 381651327 /nfs/dbraw/zinc/65/13/27/381651327.db2.gz GGWCTMMBUKTPOO-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN COc1cccc(N(C)Cc2nccs2)c1[N+](=O)[O-] ZINC000185508637 381652869 /nfs/dbraw/zinc/65/28/69/381652869.db2.gz KGFGHFVOJIUPLA-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1(c2ccc(F)cc2)CC1 ZINC000298700385 381717779 /nfs/dbraw/zinc/71/77/79/381717779.db2.gz HJRVSPQUZGDXRY-UHFFFAOYSA-N 0 0 290.298 2.611 20 5 CFBDRN C[C@@H]1CCC[C@H](CCNC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000359990127 381715864 /nfs/dbraw/zinc/71/58/64/381715864.db2.gz CFCKOPXCODFMAO-VXGBXAGGSA-N 0 0 293.367 2.880 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@@H](CO)C2)c(Cl)c1 ZINC000298600872 381686294 /nfs/dbraw/zinc/68/62/94/381686294.db2.gz NCAIUYSWILIPPX-BDAKNGLRSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1cc(OCCOCC2CC2)c(F)cc1[N+](=O)[O-] ZINC000185652728 381693407 /nfs/dbraw/zinc/69/34/07/381693407.db2.gz HRKQSTBUPUIESO-UHFFFAOYSA-N 0 0 269.272 2.848 20 5 CFBDRN Cc1cnc(COC(=O)C2(CCF)CC2)c(C)c1[N+](=O)[O-] ZINC000291170661 381695020 /nfs/dbraw/zinc/69/50/20/381695020.db2.gz ZPFZUCRXSFRPOX-UHFFFAOYSA-N 0 0 296.298 2.790 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](c1ncc[nH]1)C(C)C ZINC000291184911 381698307 /nfs/dbraw/zinc/69/83/07/381698307.db2.gz SFDKYPUDVFQRTA-SNVBAGLBSA-N 0 0 292.343 2.652 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000359963619 381700504 /nfs/dbraw/zinc/70/05/04/381700504.db2.gz KVRFQTYUKXBLET-IINYFYTJSA-N 0 0 278.308 2.978 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCCSCC1 ZINC000298673150 381706729 /nfs/dbraw/zinc/70/67/29/381706729.db2.gz PPNGXZVYSNHUOT-UHFFFAOYSA-N 0 0 281.337 2.566 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccn3ccnc3c2)cc1[N+](=O)[O-] ZINC000355294312 381732308 /nfs/dbraw/zinc/73/23/08/381732308.db2.gz WMPMOENIJQOOHR-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN O=C(Nc1ccn2ccnc2c1)c1ccc([N+](=O)[O-])s1 ZINC000355301203 381737951 /nfs/dbraw/zinc/73/79/51/381737951.db2.gz SVTNUTKQSANTBU-UHFFFAOYSA-N 0 0 288.288 2.556 20 5 CFBDRN CCC[C@H]1C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000360038021 381744052 /nfs/dbraw/zinc/74/40/52/381744052.db2.gz OXEGMMBTDBSBCH-CMPLNLGQSA-N 0 0 262.309 2.647 20 5 CFBDRN Nc1cc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)ccn1 ZINC000298783801 381748507 /nfs/dbraw/zinc/74/85/07/381748507.db2.gz YATYIGZUVROKJE-UHFFFAOYSA-N 0 0 298.346 2.737 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H](C(F)(F)F)C1 ZINC000298802640 381752848 /nfs/dbraw/zinc/75/28/48/381752848.db2.gz LQAAMFOFQWZNMB-ZETCQYMHSA-N 0 0 292.213 2.602 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@]2(C1)OCc1ccccc12 ZINC000361000342 381800123 /nfs/dbraw/zinc/80/01/23/381800123.db2.gz AIRNOSKKDNMKHM-MRXNPFEDSA-N 0 0 297.314 2.626 20 5 CFBDRN Cc1ccnc(N2CC[C@@]3(C)[C@@H](C2)C3(F)F)c1[N+](=O)[O-] ZINC000291888082 381782680 /nfs/dbraw/zinc/78/26/80/381782680.db2.gz JIWKHIFREFLOTG-SKDRFNHKSA-N 0 0 283.278 2.780 20 5 CFBDRN Cc1ccc(N2CC[C@@H](c3nccn3C)C2)c([N+](=O)[O-])c1 ZINC000372304495 381786474 /nfs/dbraw/zinc/78/64/74/381786474.db2.gz FTRYQRGKBTVIBO-GFCCVEGCSA-N 0 0 286.335 2.631 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC000186006530 381800512 /nfs/dbraw/zinc/80/05/12/381800512.db2.gz NPTGZGDXKFOZKZ-QMMMGPOBSA-N 0 0 280.349 2.845 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CSc1nncs1 ZINC000349034932 381798634 /nfs/dbraw/zinc/79/86/34/381798634.db2.gz JFWMODLFVGMHPH-UHFFFAOYSA-N 0 0 271.298 2.878 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@H]1c1ccccc1 ZINC000298847769 381765438 /nfs/dbraw/zinc/76/54/38/381765438.db2.gz LNDHWMXLBUDISY-ZDUSSCGKSA-N 0 0 285.303 2.900 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCCCCC1 ZINC000298870226 381771733 /nfs/dbraw/zinc/77/17/33/381771733.db2.gz NTNRATLJTPHGMA-UHFFFAOYSA-N 0 0 265.313 2.718 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000298884823 381775534 /nfs/dbraw/zinc/77/55/34/381775534.db2.gz MFXGVSMSTSHHEP-VHSXEESVSA-N 0 0 265.313 2.574 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H]1C[C@@H]1C(C)C ZINC000185911470 381776306 /nfs/dbraw/zinc/77/63/06/381776306.db2.gz CSMXOTRPYWFEIE-CHWSQXEVSA-N 0 0 292.335 2.512 20 5 CFBDRN COCC1(COc2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000291846634 381778010 /nfs/dbraw/zinc/77/80/10/381778010.db2.gz JKHXHOCRERCBPG-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN COCC[C@@H](Cc1ccco1)Nc1ccc([N+](=O)[O-])cn1 ZINC000343591482 381821761 /nfs/dbraw/zinc/82/17/61/381821761.db2.gz JPCLDKUYDNULFE-NSHDSACASA-N 0 0 291.307 2.643 20 5 CFBDRN Cc1cc(N(C)[C@H]2CC[C@H](O)CC2)c(F)cc1[N+](=O)[O-] ZINC000292772393 381828506 /nfs/dbraw/zinc/82/85/06/381828506.db2.gz WVSGCTYARIXTOU-XYPYZODXSA-N 0 0 282.315 2.782 20 5 CFBDRN C[C@H](CC(F)F)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000415333085 381830063 /nfs/dbraw/zinc/83/00/63/381830063.db2.gz IOLMHBDFIFKNIN-MRVPVSSYSA-N 0 0 272.251 2.849 20 5 CFBDRN Cc1ccc([C@@H]2CCCCN2C(=O)[C@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000361479596 381833758 /nfs/dbraw/zinc/83/37/58/381833758.db2.gz VLYIXHBUYWRSQP-SOUVJXGZSA-N 0 0 288.347 2.714 20 5 CFBDRN CCc1ccc(Cn2ccc(C)c([N+](=O)[O-])c2=O)cc1 ZINC000349189549 381841467 /nfs/dbraw/zinc/84/14/67/381841467.db2.gz GYYYGVACZDRSBG-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN CCc1nc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(C)o1 ZINC000349044592 381803373 /nfs/dbraw/zinc/80/33/73/381803373.db2.gz MVVYAWWIISTJEM-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCc1ccc(-c2nc(-c3ncccn3)no2)cc1[N+](=O)[O-] ZINC000355822539 381807119 /nfs/dbraw/zinc/80/71/19/381807119.db2.gz INQXLIJIWAONDK-UHFFFAOYSA-N 0 0 297.274 2.664 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CC1(C)C ZINC000299059883 381809711 /nfs/dbraw/zinc/80/97/11/381809711.db2.gz RJRINSMMWCMUSM-LBPRGKRZSA-N 0 0 277.324 2.535 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000299059688 381810264 /nfs/dbraw/zinc/81/02/64/381810264.db2.gz QZMREMJFEPGXCG-JOYOIKCWSA-N 0 0 277.324 2.754 20 5 CFBDRN CCN(C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C(C)C ZINC000186066688 381815583 /nfs/dbraw/zinc/81/55/83/381815583.db2.gz KJTZCLCMPQKSMX-UHFFFAOYSA-N 0 0 279.340 2.877 20 5 CFBDRN CCC1(O)CCN(c2cc(C)c([N+](=O)[O-])cc2F)CC1 ZINC000292681594 381818695 /nfs/dbraw/zinc/81/86/95/381818695.db2.gz RAAAUWHLVWJKDO-UHFFFAOYSA-N 0 0 282.315 2.784 20 5 CFBDRN Cc1cccc(N[C@H]2CCO[C@@]3(CCOC3)C2)c1[N+](=O)[O-] ZINC000372875325 381882669 /nfs/dbraw/zinc/88/26/69/381882669.db2.gz ARLCGZNZPPEMLK-WFASDCNBSA-N 0 0 292.335 2.653 20 5 CFBDRN CC[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)C(C)(C)O ZINC000292819001 381850484 /nfs/dbraw/zinc/85/04/84/381850484.db2.gz OSZKOTFRXLGNNF-JTQLQIEISA-N 0 0 274.267 2.834 20 5 CFBDRN C[C@@H](CNc1c([N+](=O)[O-])ncn1C)c1ccc(F)cc1 ZINC000299172152 381851279 /nfs/dbraw/zinc/85/12/79/381851279.db2.gz QHFRKUMYQBGFQE-VIFPVBQESA-N 0 0 278.287 2.683 20 5 CFBDRN CCc1noc(C)c1CNCc1ccccc1[N+](=O)[O-] ZINC000292823477 381852420 /nfs/dbraw/zinc/85/24/20/381852420.db2.gz ABPOFKROAWYTBO-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN Cc1nn(C)c(NC[C@H](C)c2ccc(F)cc2)c1[N+](=O)[O-] ZINC000299174307 381852900 /nfs/dbraw/zinc/85/29/00/381852900.db2.gz SDVHWDRREBFPSA-VIFPVBQESA-N 0 0 292.314 2.991 20 5 CFBDRN CCc1cc(NCc2c([N+](=O)[O-])ncn2C)ccc1C ZINC000349227267 381854140 /nfs/dbraw/zinc/85/41/40/381854140.db2.gz UIBUQPGYWJNSTE-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CO[C@@H](CNc1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000292830800 381855197 /nfs/dbraw/zinc/85/51/97/381855197.db2.gz PRMTXZANVRREDC-ZDUSSCGKSA-N 0 0 250.298 2.740 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Sc1nc(C)c(C)[nH]1 ZINC000292840248 381858981 /nfs/dbraw/zinc/85/89/81/381858981.db2.gz QKONGHLXKMKJME-UHFFFAOYSA-N 0 0 281.341 2.611 20 5 CFBDRN CCc1noc(C)c1CNc1ncc([N+](=O)[O-])cc1C ZINC000292886826 381886368 /nfs/dbraw/zinc/88/63/68/381886368.db2.gz VIPPWKYOPPQXPH-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000299220515 381862517 /nfs/dbraw/zinc/86/25/17/381862517.db2.gz HCPOLHGJTSZIJJ-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000356897351 381894624 /nfs/dbraw/zinc/89/46/24/381894624.db2.gz POJVLZVWJWUKSW-RNCFNFMXSA-N 0 0 296.323 2.603 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000416700347 381894667 /nfs/dbraw/zinc/89/46/67/381894667.db2.gz YYHXUDCXINNATQ-GRYCIOLGSA-N 0 0 291.351 2.781 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000299331573 381899855 /nfs/dbraw/zinc/89/98/55/381899855.db2.gz ZMOAQCPWWVIKPR-JTQLQIEISA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)c1cc(C)c(F)cc1F ZINC000362085913 381901769 /nfs/dbraw/zinc/90/17/69/381901769.db2.gz HYVYSSJRKKYKLE-UHFFFAOYSA-N 0 0 295.245 2.569 20 5 CFBDRN C[C@H](CN(C)c1ccc([N+](=O)[O-])nc1)c1nccs1 ZINC000343711169 381902374 /nfs/dbraw/zinc/90/23/74/381902374.db2.gz FDDJLSIKEJQNEV-SECBINFHSA-N 0 0 278.337 2.686 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC1Cc2ccccc2C1 ZINC000343733166 381914228 /nfs/dbraw/zinc/91/42/28/381914228.db2.gz WZOSGWOZBFYUBD-UHFFFAOYSA-N 0 0 269.304 2.817 20 5 CFBDRN Cn1cncc1COc1c(Cl)cccc1[N+](=O)[O-] ZINC000349378005 381915583 /nfs/dbraw/zinc/91/55/83/381915583.db2.gz KDQJOCJDYFCCJB-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN Cc1ccc(O[C@@H]2CCN(c3ccc([N+](=O)[O-])cc3)C2)cn1 ZINC000373055341 381919441 /nfs/dbraw/zinc/91/94/41/381919441.db2.gz FCLKXDLXAHDSGU-MRXNPFEDSA-N 0 0 299.330 2.956 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCC12CCCCC2 ZINC000373069754 381922041 /nfs/dbraw/zinc/92/20/41/381922041.db2.gz KZTGXHOVAYFISE-UHFFFAOYSA-N 0 0 289.335 2.726 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cn1)c1cnccn1 ZINC000186350817 381889042 /nfs/dbraw/zinc/88/90/42/381889042.db2.gz BJBOAICBPONTDJ-MRVPVSSYSA-N 0 0 262.294 2.633 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)c1c(F)cncc1F ZINC000343816912 381960100 /nfs/dbraw/zinc/96/01/00/381960100.db2.gz XQZXTNBBKFJLBL-YFKPBYRVSA-N 0 0 286.263 2.898 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@H]3C[C@H]3C(F)F)nc2c1 ZINC000343816928 381960520 /nfs/dbraw/zinc/96/05/20/381960520.db2.gz XTRSXDHRHAHVPT-SVRRBLITSA-N 0 0 268.223 2.537 20 5 CFBDRN CC[C@H](COc1ccc(C(C)=O)cc1[N+](=O)[O-])OC ZINC000416907932 381966361 /nfs/dbraw/zinc/96/63/61/381966361.db2.gz NLQUMMPBLMWIHG-LLVKDONJSA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCOCC2CCC2)n1 ZINC000416913182 381968115 /nfs/dbraw/zinc/96/81/15/381968115.db2.gz VJMFXIABEBADFF-UHFFFAOYSA-N 0 0 280.324 2.802 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000186526333 381938051 /nfs/dbraw/zinc/93/80/51/381938051.db2.gz OSLDGVMBQZXUGU-GOEBONIOSA-N 0 0 290.363 2.936 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000343781218 381939079 /nfs/dbraw/zinc/93/90/79/381939079.db2.gz OPRKPCIBLHTXIN-NSHDSACASA-N 0 0 280.349 2.845 20 5 CFBDRN O=C(NC1(C(F)(F)F)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000349488297 381942493 /nfs/dbraw/zinc/94/24/93/381942493.db2.gz QJVNKLXKVABSKL-UHFFFAOYSA-N 0 0 288.225 2.810 20 5 CFBDRN CC[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1ccn(C)n1 ZINC000349492759 381945196 /nfs/dbraw/zinc/94/51/96/381945196.db2.gz GNDVNRWWMDIPPY-CYBMUJFWSA-N 0 0 274.324 2.569 20 5 CFBDRN Cc1cc(N(C)C[C@@H](C)O)c([N+](=O)[O-])cc1C(F)(F)F ZINC000293058726 381972660 /nfs/dbraw/zinc/97/26/60/381972660.db2.gz MARRCHNHVGCWPT-MRVPVSSYSA-N 0 0 292.257 2.739 20 5 CFBDRN Cc1cc(C)nc(-c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)c1 ZINC000356997124 381951785 /nfs/dbraw/zinc/95/17/85/381951785.db2.gz VCNRQPNKBMTUMJ-UHFFFAOYSA-N 0 0 285.263 2.652 20 5 CFBDRN Cc1cc(OC[C@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000186730986 381996149 /nfs/dbraw/zinc/99/61/49/381996149.db2.gz QUYWKRXBQOBJJM-SECBINFHSA-N 0 0 255.245 2.600 20 5 CFBDRN CC(C)c1nnc(CNc2ccc([N+](=O)[O-])cn2)s1 ZINC000343875550 381997429 /nfs/dbraw/zinc/99/74/29/381997429.db2.gz GVFPJSCCLNBZML-UHFFFAOYSA-N 0 0 279.325 2.577 20 5 CFBDRN CC/C=C\CCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000357076764 382000270 /nfs/dbraw/zinc/00/02/70/382000270.db2.gz WEUUPVDOJVAIDC-ARJAWSKDSA-N 0 0 269.322 2.725 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)Nc1ccc([N+](=O)[O-])cc1F ZINC000417125748 382003847 /nfs/dbraw/zinc/00/38/47/382003847.db2.gz BYICINVABJHPLA-QMMMGPOBSA-N 0 0 279.271 2.656 20 5 CFBDRN CC(=O)c1ccc(NCC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000343893227 382008037 /nfs/dbraw/zinc/00/80/37/382008037.db2.gz TXKKUQJOXFXTGN-LLVKDONJSA-N 0 0 278.308 2.636 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000343850324 381981095 /nfs/dbraw/zinc/98/10/95/381981095.db2.gz ZIOMHVTYOXXXDO-RYUDHWBXSA-N 0 0 291.351 2.559 20 5 CFBDRN COC1CCC(CNc2ncccc2[N+](=O)[O-])CC1 ZINC000343855376 381984491 /nfs/dbraw/zinc/98/44/91/381984491.db2.gz RFPHLRTUHNLAQN-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1ccc(C(=O)NC2CCSCC2)cc1[N+](=O)[O-] ZINC000299588765 381995384 /nfs/dbraw/zinc/99/53/84/381995384.db2.gz MFGGLXJOJRKTDE-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC23CCCCC3)s1 ZINC000374423933 382045358 /nfs/dbraw/zinc/04/53/58/382045358.db2.gz OMOACQHMAGPEBF-UHFFFAOYSA-N 0 0 253.327 2.964 20 5 CFBDRN CCc1cnccc1-c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000357191288 382049092 /nfs/dbraw/zinc/04/90/92/382049092.db2.gz YQFGUAKVUONVAS-UHFFFAOYSA-N 0 0 285.263 2.597 20 5 CFBDRN C[C@H]1CN(CC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)[C@H]1C ZINC000293265278 382054146 /nfs/dbraw/zinc/05/41/46/382054146.db2.gz BOPWRDSJYPOHSS-IUCAKERBSA-N 0 0 297.742 2.527 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@](C)(OC)C1CC1 ZINC000362346072 382018794 /nfs/dbraw/zinc/01/87/94/382018794.db2.gz SOKBFGOWVWPYJP-OAHLLOKOSA-N 0 0 292.335 2.911 20 5 CFBDRN Cc1ccnc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n1 ZINC000343942273 382030183 /nfs/dbraw/zinc/03/01/83/382030183.db2.gz ZKFZTHKPMPIKKL-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN CC(C)C1(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000357166652 382035025 /nfs/dbraw/zinc/03/50/25/382035025.db2.gz REXSAUGCMQGFAS-UHFFFAOYSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1cc(NCc2ccc(F)cn2)c([N+](=O)[O-])cc1F ZINC000357176014 382039766 /nfs/dbraw/zinc/03/97/66/382039766.db2.gz WBHLLOJGCIPOBH-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN Cc1cc2cc(CNC(=O)c3ccc([N+](=O)[O-])[nH]3)ccc2[nH]1 ZINC000344064569 382103077 /nfs/dbraw/zinc/10/30/77/382103077.db2.gz PJFMQPUEQAEODX-UHFFFAOYSA-N 0 0 298.302 2.643 20 5 CFBDRN CSCc1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000357321069 382104950 /nfs/dbraw/zinc/10/49/50/382104950.db2.gz YMTSCHREEHVYJM-UHFFFAOYSA-N 0 0 279.321 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(-c3ccsc3)n2)nc1 ZINC000357328862 382110313 /nfs/dbraw/zinc/11/03/13/382110313.db2.gz ZOMNMPBOXFZNIV-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN CCS[C@H](C)c1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000357327982 382110438 /nfs/dbraw/zinc/11/04/38/382110438.db2.gz HHFVPBMEWSLAJY-SSDOTTSWSA-N 0 0 282.325 2.797 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301246646 382120333 /nfs/dbraw/zinc/12/03/33/382120333.db2.gz QEQCEFCAFHOVFO-ZANVPECISA-N 0 0 297.311 2.712 20 5 CFBDRN Cc1cc(Nc2cn[nH]c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000301076324 382074840 /nfs/dbraw/zinc/07/48/40/382074840.db2.gz DSRYOQQYIQGGPJ-UHFFFAOYSA-N 0 0 296.290 2.827 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000293324028 382077852 /nfs/dbraw/zinc/07/78/52/382077852.db2.gz AJUZTRVQRSPNSP-QWHCGFSZSA-N 0 0 297.282 2.845 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCCC(C)C ZINC000301128575 382088010 /nfs/dbraw/zinc/08/80/10/382088010.db2.gz YEVVHQVAQNCSHR-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN CCCC1(C(=O)NCCc2ccccc2[N+](=O)[O-])CC1 ZINC000344044286 382088759 /nfs/dbraw/zinc/08/87/59/382088759.db2.gz GCSBFYGDDOAOAV-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1cn2cccnc2n1 ZINC000357285902 382089649 /nfs/dbraw/zinc/08/96/49/382089649.db2.gz RAYLPNUBNCQPGE-UHFFFAOYSA-N 0 0 297.318 2.812 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1C[C@@H]1C(C)C ZINC000187064601 382089992 /nfs/dbraw/zinc/08/99/92/382089992.db2.gz VBSUBORSFFPDMD-MNOVXSKESA-N 0 0 279.292 2.801 20 5 CFBDRN CO[C@@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000301157301 382094716 /nfs/dbraw/zinc/09/47/16/382094716.db2.gz NSIFSFMGAUEQKT-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN CC1=C(C(=O)NCCc2ccccc2[N+](=O)[O-])CCC1 ZINC000344053899 382095886 /nfs/dbraw/zinc/09/58/86/382095886.db2.gz XEZJKQKOTCTBIY-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN Cc1cc2c(NCCc3ccccc3[N+](=O)[O-])nccn2n1 ZINC000344054582 382097516 /nfs/dbraw/zinc/09/75/16/382097516.db2.gz KVTFIZAEKBHCQT-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN O=C(NCc1ccc(F)cc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344165823 382174721 /nfs/dbraw/zinc/17/47/21/382174721.db2.gz BRGJRIRFAIMIMV-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)N2C[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000293466144 382132999 /nfs/dbraw/zinc/13/29/99/382132999.db2.gz QODSMLWZRNTKEB-DLOVCJGASA-N 0 0 291.351 2.570 20 5 CFBDRN Cc1ccnc(Oc2ccc([N+](=O)[O-])cc2)c1[N+](=O)[O-] ZINC000301290743 382138371 /nfs/dbraw/zinc/13/83/71/382138371.db2.gz NLCHETIFHKVQSO-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nc(-c3ccon3)no2)cc1 ZINC000357379009 382142089 /nfs/dbraw/zinc/14/20/89/382142089.db2.gz QZBMIPGQYKDZKR-UTCJRWHESA-N 0 0 284.231 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nc(-c3ccon3)no2)cc1 ZINC000357379014 382142251 /nfs/dbraw/zinc/14/22/51/382142251.db2.gz QZBMIPGQYKDZKR-ZZXKWVIFSA-N 0 0 284.231 2.803 20 5 CFBDRN Cc1nn(C)c(N2CCc3c2cccc3C)c1[N+](=O)[O-] ZINC000301300230 382142700 /nfs/dbraw/zinc/14/27/00/382142700.db2.gz UMVBKYGJKGOVPJ-UHFFFAOYSA-N 0 0 272.308 2.639 20 5 CFBDRN Cc1ccnc(Oc2ccc(C=O)cc2)c1[N+](=O)[O-] ZINC000301305369 382144033 /nfs/dbraw/zinc/14/40/33/382144033.db2.gz UGURMELNPFTODD-UHFFFAOYSA-N 0 0 258.233 2.903 20 5 CFBDRN CC(C)CC1(CNc2c([N+](=O)[O-])ncn2C)CCC1 ZINC000362562115 382147081 /nfs/dbraw/zinc/14/70/81/382147081.db2.gz XDXJVTZXRFCTDT-UHFFFAOYSA-N 0 0 266.345 2.957 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCC[C@]2(C)CO)c([N+](=O)[O-])c1 ZINC000301348109 382154517 /nfs/dbraw/zinc/15/45/17/382154517.db2.gz IUJBSBUUTGRZOY-HUUCEWRRSA-N 0 0 292.335 2.760 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)C[C@@H]1CCCCO1 ZINC000301348445 382156429 /nfs/dbraw/zinc/15/64/29/382156429.db2.gz LMSHRHBDJJRIID-AWEZNQCLSA-N 0 0 294.351 2.747 20 5 CFBDRN Cc1ccnc(NC[C@](C)(O)c2cccs2)c1[N+](=O)[O-] ZINC000301372590 382161417 /nfs/dbraw/zinc/16/14/17/382161417.db2.gz UODPOFWYEJIVIB-ZDUSSCGKSA-N 0 0 293.348 2.679 20 5 CFBDRN Cc1ccnc(NC[C@@](C)(O)c2cccs2)c1[N+](=O)[O-] ZINC000301372589 382161872 /nfs/dbraw/zinc/16/18/72/382161872.db2.gz UODPOFWYEJIVIB-CYBMUJFWSA-N 0 0 293.348 2.679 20 5 CFBDRN CC(F)(F)c1cccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])c1 ZINC000187360392 382162751 /nfs/dbraw/zinc/16/27/51/382162751.db2.gz CMAMRXBCVOOWHR-UHFFFAOYSA-N 0 0 296.233 2.682 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ocnc1C1CC1 ZINC000344158040 382167008 /nfs/dbraw/zinc/16/70/08/382167008.db2.gz DEJJEQRYZXSDAF-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN CC1(CCNC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000344161225 382171316 /nfs/dbraw/zinc/17/13/16/382171316.db2.gz ITUVPTDETGFPLF-UHFFFAOYSA-N 0 0 298.289 2.722 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000352102653 382171529 /nfs/dbraw/zinc/17/15/29/382171529.db2.gz PQSGCPNOWCHNGJ-OLZOCXBDSA-N 0 0 296.298 2.878 20 5 CFBDRN CCc1cnccc1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000357579970 382236290 /nfs/dbraw/zinc/23/62/90/382236290.db2.gz GJGPMJIUDUFBOB-UHFFFAOYSA-N 0 0 285.303 2.535 20 5 CFBDRN COc1cc(N2CCC[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000301857844 382197281 /nfs/dbraw/zinc/19/72/81/382197281.db2.gz JJKFLOWYDUJFJE-VIFPVBQESA-N 0 0 268.288 2.979 20 5 CFBDRN Cc1ccnc(N[C@@H]2C[C@H](CO)c3ccccc32)c1[N+](=O)[O-] ZINC000376998366 382200587 /nfs/dbraw/zinc/20/05/87/382200587.db2.gz TYUIUFUMXKGZBB-BXUZGUMPSA-N 0 0 299.330 2.931 20 5 CFBDRN CN(c1c([N+](=O)[O-])ncn1C)[C@H]1CCC[C@H]1C(C)(C)C ZINC000418996174 382211375 /nfs/dbraw/zinc/21/13/75/382211375.db2.gz JSIZNXGTRPNEKM-MNOVXSKESA-N 0 0 280.372 2.979 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H](CC(C)C)OC ZINC000418995092 382211407 /nfs/dbraw/zinc/21/14/07/382211407.db2.gz RCMDPHYKKJJJFO-NSHDSACASA-N 0 0 284.360 2.593 20 5 CFBDRN CN(Cc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000352188347 382213265 /nfs/dbraw/zinc/21/32/65/382213265.db2.gz YFHBQKQAVJLVFU-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCN(CC(F)F)C2)c1 ZINC000419017960 382217129 /nfs/dbraw/zinc/21/71/29/382217129.db2.gz GVAGWMFTIIOKTD-SNVBAGLBSA-N 0 0 285.294 2.655 20 5 CFBDRN CC1CCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 ZINC000362825578 382218205 /nfs/dbraw/zinc/21/82/05/382218205.db2.gz IDGNCYBULZPMRS-UHFFFAOYSA-N 0 0 288.307 2.881 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000362841429 382220015 /nfs/dbraw/zinc/22/00/15/382220015.db2.gz IRPKNWDPMQZHRB-MBTKJCJQSA-N 0 0 253.689 2.854 20 5 CFBDRN CC(C)Oc1nccnc1NCc1ccc([N+](=O)[O-])cc1 ZINC000302240101 382227237 /nfs/dbraw/zinc/22/72/37/382227237.db2.gz XGSMJFGIDNGLCI-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000377157365 382227674 /nfs/dbraw/zinc/22/76/74/382227674.db2.gz FRJIMFJIJDFLTG-AWEZNQCLSA-N 0 0 264.325 2.584 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000293681509 382232122 /nfs/dbraw/zinc/23/21/22/382232122.db2.gz JIYLKFJPGVUAAT-MRVPVSSYSA-N 0 0 286.715 2.956 20 5 CFBDRN COc1cc(N[C@H](C)C(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC000418903869 382189854 /nfs/dbraw/zinc/18/98/54/382189854.db2.gz SHMPAZQQTXZESS-SECBINFHSA-N 0 0 296.323 2.746 20 5 CFBDRN Cc1cccc(C[C@H](CO)Nc2ccccc2[N+](=O)[O-])c1 ZINC000302615142 382278611 /nfs/dbraw/zinc/27/86/11/382278611.db2.gz CRTOQRTVZABHDM-CQSZACIVSA-N 0 0 286.331 2.919 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)C1CC1 ZINC000293708084 382244039 /nfs/dbraw/zinc/24/40/39/382244039.db2.gz XQHOZDFGKRBJDF-UHFFFAOYSA-N 0 0 288.225 2.848 20 5 CFBDRN COCC[C@H]1CCCCN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000377257021 382244409 /nfs/dbraw/zinc/24/44/09/382244409.db2.gz NEQXWDBYZOZODZ-CYBMUJFWSA-N 0 0 293.367 2.941 20 5 CFBDRN Cc1nnc(Sc2ncccc2[N+](=O)[O-])n1C(C)C ZINC000302404517 382246317 /nfs/dbraw/zinc/24/63/17/382246317.db2.gz KLWFNWVZOZFHTN-UHFFFAOYSA-N 0 0 279.325 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]2(CO)C[C@@H]2c2ccccc2)cn1 ZINC000357603989 382248256 /nfs/dbraw/zinc/24/82/56/382248256.db2.gz RJIBVTMITZXELG-ZBFHGGJFSA-N 0 0 299.330 2.568 20 5 CFBDRN CCC[C@H](CNc1nccc(C)c1[N+](=O)[O-])OC ZINC000293721171 382248650 /nfs/dbraw/zinc/24/86/50/382248650.db2.gz QMTGBCHJYKJPPC-SNVBAGLBSA-N 0 0 253.302 2.525 20 5 CFBDRN CCC1(O)CN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)C1 ZINC000302440550 382251277 /nfs/dbraw/zinc/25/12/77/382251277.db2.gz MXJWXAIZPAPVKN-UHFFFAOYSA-N 0 0 299.330 2.618 20 5 CFBDRN Cc1cccc(N(C)C[C@@H]2CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000302480964 382255137 /nfs/dbraw/zinc/25/51/37/382255137.db2.gz GMEFLJOVFCQOLQ-JSGCOSHPSA-N 0 0 278.352 2.891 20 5 CFBDRN CCOC(C)(C)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000302489698 382256751 /nfs/dbraw/zinc/25/67/51/382256751.db2.gz JQBCRHQYASQGTH-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN COC1(CNc2cccc(C)c2[N+](=O)[O-])CCOCC1 ZINC000302496603 382258372 /nfs/dbraw/zinc/25/83/72/382258372.db2.gz MOTIBIQRKAZSOE-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN Cc1cccc(NCCOc2cccnc2)c1[N+](=O)[O-] ZINC000302513509 382259612 /nfs/dbraw/zinc/25/96/12/382259612.db2.gz UIEDASCLXMHYFN-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN Cc1cccc(N2CCCSCC2)c1[N+](=O)[O-] ZINC000302518358 382260394 /nfs/dbraw/zinc/26/03/94/382260394.db2.gz WHGOHJOTZAXSGX-UHFFFAOYSA-N 0 0 252.339 2.847 20 5 CFBDRN CC[C@@H](COC)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000187754836 382262985 /nfs/dbraw/zinc/26/29/85/382262985.db2.gz OUEQSUGNWDNTDX-LBPRGKRZSA-N 0 0 294.351 2.873 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NOCC1CC1 ZINC000293752096 382264752 /nfs/dbraw/zinc/26/47/52/382264752.db2.gz KLNXJUUNZGYERR-UHFFFAOYSA-N 0 0 284.699 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1NC[C@H]1COC2(CCCC2)O1 ZINC000364114890 382265652 /nfs/dbraw/zinc/26/56/52/382265652.db2.gz BKMFFRHSUVLVPV-NSHDSACASA-N 0 0 296.298 2.832 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2C[C@]23CCOC3)c([N+](=O)[O-])c1 ZINC000364130182 382270254 /nfs/dbraw/zinc/27/02/54/382270254.db2.gz RRVDZBQQSHGYPJ-ABAIWWIYSA-N 0 0 291.303 2.544 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H]3OCCC[C@H]23)ccc1[N+](=O)[O-] ZINC000302596899 382274021 /nfs/dbraw/zinc/27/40/21/382274021.db2.gz XRFBFRXNTBVEBW-WZRBSPASSA-N 0 0 278.308 2.583 20 5 CFBDRN COC[C@H]1CCCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000364154897 382274226 /nfs/dbraw/zinc/27/42/26/382274226.db2.gz OEBNTTMCFIMIGK-JTQLQIEISA-N 0 0 299.758 2.896 20 5 CFBDRN Cc1nc(N[C@H](C(C)C)C(C)(C)O)ccc1[N+](=O)[O-] ZINC000357749282 382304021 /nfs/dbraw/zinc/30/40/21/382304021.db2.gz QTGARCXKHVXQIA-GFCCVEGCSA-N 0 0 267.329 2.506 20 5 CFBDRN Cc1cc(N[C@@H]2C=C[C@H](CO)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000302743946 382308917 /nfs/dbraw/zinc/30/89/17/382308917.db2.gz RJCYTIBJMUYXNF-NWDGAFQWSA-N 0 0 299.330 2.800 20 5 CFBDRN Nc1ccc(CNc2ccc([N+](=O)[O-])c3cccnc23)cn1 ZINC000302772864 382314386 /nfs/dbraw/zinc/31/43/86/382314386.db2.gz NPDDOIADFQMEEO-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000302774432 382314838 /nfs/dbraw/zinc/31/48/38/382314838.db2.gz OFYQBMSBYXHYSS-DTWKUNHWSA-N 0 0 281.312 2.623 20 5 CFBDRN CCO[C@@H]1C[C@](O)(CNc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000302784811 382317804 /nfs/dbraw/zinc/31/78/04/382317804.db2.gz VBZIGIXMBMSHOG-HIFRSBDPSA-N 0 0 294.351 2.573 20 5 CFBDRN COC(=O)c1cnc(S[C@@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC000302784189 382318057 /nfs/dbraw/zinc/31/80/57/382318057.db2.gz UPHDGHMPJCDDEJ-QMMMGPOBSA-N 0 0 284.337 2.913 20 5 CFBDRN O=c1[nH]c(CCNc2ccc(F)cc2[N+](=O)[O-])cs1 ZINC000357783194 382319670 /nfs/dbraw/zinc/31/96/70/382319670.db2.gz YFLBGEYSMIEGNK-UHFFFAOYSA-N 0 0 283.284 2.551 20 5 CFBDRN Cc1cnc(Sc2cccc(C(N)=O)c2)c([N+](=O)[O-])c1 ZINC000357799477 382326597 /nfs/dbraw/zinc/32/65/97/382326597.db2.gz HCJRECBRQZLYFQ-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N(C)Cc1cc[nH]n1 ZINC000352426868 382327196 /nfs/dbraw/zinc/32/71/96/382327196.db2.gz ZBAFBRPKTCHKOM-NSHDSACASA-N 0 0 274.324 2.819 20 5 CFBDRN CN(c1ncc(Cl)cc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000302844378 382332833 /nfs/dbraw/zinc/33/28/33/382332833.db2.gz FUUIGSNLLXKYDH-SECBINFHSA-N 0 0 255.705 2.878 20 5 CFBDRN COC1(CCNC(=O)c2cc(C)ccc2[N+](=O)[O-])CCC1 ZINC000293911925 382333409 /nfs/dbraw/zinc/33/34/09/382333409.db2.gz NCRXKEJJEQZMFW-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000302854884 382336076 /nfs/dbraw/zinc/33/60/76/382336076.db2.gz KUADLXLXQGXIIJ-WDEREUQCSA-N 0 0 278.308 2.657 20 5 CFBDRN CCOC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCCC1 ZINC000293824604 382294467 /nfs/dbraw/zinc/29/44/67/382294467.db2.gz BLFIPKZNYVGFBE-UHFFFAOYSA-N 0 0 298.364 2.735 20 5 CFBDRN CC[C@H]1CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000293829859 382296852 /nfs/dbraw/zinc/29/68/52/382296852.db2.gz APYFLBCBWQGGNN-JTQLQIEISA-N 0 0 282.727 2.802 20 5 CFBDRN CCn1ccc(CN(C)c2cc(C)c([N+](=O)[O-])cn2)c1 ZINC000302705602 382298336 /nfs/dbraw/zinc/29/83/36/382298336.db2.gz JHKOFJDATLKPAH-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC3(CC3)CC2)c1 ZINC000377593003 382298539 /nfs/dbraw/zinc/29/85/39/382298539.db2.gz KQJDFGXAKHRRIV-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@H](CO)c3ccccc32)n1 ZINC000364286838 382298986 /nfs/dbraw/zinc/29/89/86/382298986.db2.gz YYYCKKBUQQYMPM-RISCZKNCSA-N 0 0 299.330 2.931 20 5 CFBDRN CC(C)OCCNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000188131039 382351412 /nfs/dbraw/zinc/35/14/12/382351412.db2.gz DDURKHUKAUDNLW-UHFFFAOYSA-N 0 0 294.351 2.873 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@@H](C)[C@@H]1C ZINC000293957265 382353051 /nfs/dbraw/zinc/35/30/51/382353051.db2.gz FZGDYUHSAGQEQX-RIYCMIKQSA-N 0 0 274.320 2.865 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCCCC[C@H]21 ZINC000378082666 382396660 /nfs/dbraw/zinc/39/66/60/382396660.db2.gz IKBRMCRTBJOAOM-WCQYABFASA-N 0 0 274.320 3.000 20 5 CFBDRN O=[N+]([O-])c1cc(CN2C[C@@]3(CCCO3)[C@@H]2C2CC2)cs1 ZINC000294014143 382378705 /nfs/dbraw/zinc/37/87/05/382378705.db2.gz MZUKAUGBUCZVHK-KBPBESRZSA-N 0 0 294.376 2.800 20 5 CFBDRN COC[C@H]1CC[N@@H+]([C@@H](C)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000352540226 382386121 /nfs/dbraw/zinc/38/61/21/382386121.db2.gz ILPHIRULAQMJMF-STQMWFEESA-N 0 0 278.352 2.933 20 5 CFBDRN Cc1cnc(COc2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000352543288 382390895 /nfs/dbraw/zinc/39/08/95/382390895.db2.gz CLXYMRZNIQRHAF-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CCc1cccnc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000346400620 382348416 /nfs/dbraw/zinc/34/84/16/382348416.db2.gz UFSNQCUAXNNNQZ-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc2[nH]cnc2c1 ZINC000352631219 382424441 /nfs/dbraw/zinc/42/44/41/382424441.db2.gz UOJWURPYWQNLHD-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CC[C@H](C(N)=O)CC2)c1 ZINC000420611123 382424768 /nfs/dbraw/zinc/42/47/68/382424768.db2.gz QCROGRKMPNETIU-HAQNSBGRSA-N 0 0 291.351 2.607 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2nc(C)ccc2[N+](=O)[O-])CCO1 ZINC000420615893 382427011 /nfs/dbraw/zinc/42/70/11/382427011.db2.gz FRWXGJGEXLSUTN-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000420617030 382427095 /nfs/dbraw/zinc/42/70/95/382427095.db2.gz JCZMLEDNTMBCRM-NWDGAFQWSA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@@H]1CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000294136700 382428895 /nfs/dbraw/zinc/42/88/95/382428895.db2.gz OCYONDPXELLOGW-NXEZZACHSA-N 0 0 280.349 2.554 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)CCO1 ZINC000420620697 382429557 /nfs/dbraw/zinc/42/95/57/382429557.db2.gz QRVURKOUUUPPRZ-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C[C@@H](C)C1 ZINC000188526500 382429891 /nfs/dbraw/zinc/42/98/91/382429891.db2.gz DJWXQYPSDHUEOR-MNOVXSKESA-N 0 0 291.351 2.685 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@H](CCO)CC2)c1 ZINC000420633922 382436150 /nfs/dbraw/zinc/43/61/50/382436150.db2.gz COZZNUNTVQSMIA-LBPRGKRZSA-N 0 0 294.351 2.592 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@H](CCO)CC1 ZINC000420634500 382436348 /nfs/dbraw/zinc/43/63/48/382436348.db2.gz DDHLEQPSKMGTRX-NSHDSACASA-N 0 0 282.315 2.723 20 5 CFBDRN CCc1cccnc1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000346628286 382443991 /nfs/dbraw/zinc/44/39/91/382443991.db2.gz ZIOFIJZPSPOPCJ-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN Cc1cccc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])n1 ZINC000294071529 382403957 /nfs/dbraw/zinc/40/39/57/382403957.db2.gz KVMYHJMXXVWSRK-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN CCCC1(c2noc(-c3nn(C)cc3[N+](=O)[O-])n2)CCC1 ZINC000420514598 382406737 /nfs/dbraw/zinc/40/67/37/382406737.db2.gz DBVIRBSMGMGCBG-UHFFFAOYSA-N 0 0 291.311 2.600 20 5 CFBDRN CCC(CC)CNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420582565 382411237 /nfs/dbraw/zinc/41/12/37/382411237.db2.gz JCCLZLZZMYJFMV-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN COc1c(C(=O)NC[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000358019637 382412333 /nfs/dbraw/zinc/41/23/33/382412333.db2.gz RJGACCMQRYEXTF-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCC1CCCCC1 ZINC000420589705 382414446 /nfs/dbraw/zinc/41/44/46/382414446.db2.gz RQZLFNZPJKNQEG-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN Cc1nc(N2CCC[C@](CO)(C(C)C)C2)ccc1[N+](=O)[O-] ZINC000294101977 382415581 /nfs/dbraw/zinc/41/55/81/382415581.db2.gz OTKIYQRVQNGOPE-HNNXBMFYSA-N 0 0 293.367 2.533 20 5 CFBDRN CCC[C@H](C)C(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000188461923 382419101 /nfs/dbraw/zinc/41/91/01/382419101.db2.gz UVCVVQKEPSQTTN-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN COC[C@]1(C)CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000294109948 382420212 /nfs/dbraw/zinc/42/02/12/382420212.db2.gz CURBFCRYALELRS-OAHLLOKOSA-N 0 0 292.335 2.660 20 5 CFBDRN CCSCCN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352704976 382452422 /nfs/dbraw/zinc/45/24/22/382452422.db2.gz ICBHPJASYAKPAZ-UHFFFAOYSA-N 0 0 282.365 2.542 20 5 CFBDRN CC[C@H](F)CN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352708658 382454462 /nfs/dbraw/zinc/45/44/62/382454462.db2.gz HCAOTECBAWRPOT-NSHDSACASA-N 0 0 268.288 2.537 20 5 CFBDRN CC[C@H](C)OCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294217795 382464917 /nfs/dbraw/zinc/46/49/17/382464917.db2.gz URSCQYIWNLIPKC-NSHDSACASA-N 0 0 294.351 2.761 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000365989595 382468647 /nfs/dbraw/zinc/46/86/47/382468647.db2.gz DUFZITJUWNNIBT-XLDPMVHQSA-N 0 0 296.710 2.754 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H](C)[C@H]2C)cc([N+](=O)[O-])c1C ZINC000188862301 382494417 /nfs/dbraw/zinc/49/44/17/382494417.db2.gz OAHMNUAYAPSVGM-GXSJLCMTSA-N 0 0 292.335 2.782 20 5 CFBDRN CC(C)OCCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294254097 382480191 /nfs/dbraw/zinc/48/01/91/382480191.db2.gz WVOJKIUYXZANMO-UHFFFAOYSA-N 0 0 294.351 2.761 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCC[C@H]1CCCO1 ZINC000189004369 382522935 /nfs/dbraw/zinc/52/29/35/382522935.db2.gz MQFYIARYMXNOGX-SNVBAGLBSA-N 0 0 255.245 2.682 20 5 CFBDRN CCC1(C)CN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000381868232 382526453 /nfs/dbraw/zinc/52/64/53/382526453.db2.gz PKWYBIKUOUYBIC-UHFFFAOYSA-N 0 0 252.289 2.966 20 5 CFBDRN CNc1ccccc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000315321220 382527576 /nfs/dbraw/zinc/52/75/76/382527576.db2.gz LJLYKBMEPYPVPH-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN Cc1cn2c(n1)CN(C/C=C/c1ccccc1[N+](=O)[O-])CC2 ZINC000378640029 382498933 /nfs/dbraw/zinc/49/89/33/382498933.db2.gz VZBWCEJKWKVHHU-GQCTYLIASA-N 0 0 298.346 2.629 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@H](CO)Cc3ccccc32)cc1 ZINC000366377366 382499898 /nfs/dbraw/zinc/49/98/98/382499898.db2.gz MMCAWWGVUBPNHS-CQSZACIVSA-N 0 0 298.342 2.766 20 5 CFBDRN CCOc1cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294305089 382502458 /nfs/dbraw/zinc/50/24/58/382502458.db2.gz CUGZTWXLXTZQLT-UHFFFAOYSA-N 0 0 280.324 2.859 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCC(C)C ZINC000421417550 382528226 /nfs/dbraw/zinc/52/82/26/382528226.db2.gz PDBXKVKKRFJXIQ-UHFFFAOYSA-N 0 0 264.325 2.988 20 5 CFBDRN Cc1c(CNC(=O)NC2CCCC2)cccc1[N+](=O)[O-] ZINC000358272226 382539623 /nfs/dbraw/zinc/53/96/23/382539623.db2.gz HUEACNSDWYKIBB-UHFFFAOYSA-N 0 0 277.324 2.645 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000421444525 382539722 /nfs/dbraw/zinc/53/97/22/382539722.db2.gz MOUHWLFUKIKXDO-MGPQQGTHSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000421482293 382553484 /nfs/dbraw/zinc/55/34/84/382553484.db2.gz KDUIITXVXRDZNA-JQWIXIFHSA-N 0 0 274.320 2.881 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294964567 382555723 /nfs/dbraw/zinc/55/57/23/382555723.db2.gz LGZCMZVCOQJMCW-QWHCGFSZSA-N 0 0 287.319 2.714 20 5 CFBDRN COC[C@@]1(C)CCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000295006510 382561214 /nfs/dbraw/zinc/56/12/14/382561214.db2.gz RZSYKICQGBGSHO-AWEZNQCLSA-N 0 0 264.325 2.766 20 5 CFBDRN COC[C@]1(C)CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000295045974 382564871 /nfs/dbraw/zinc/56/48/71/382564871.db2.gz XDKHVCOMEKERNT-GFCCVEGCSA-N 0 0 285.731 2.506 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000385542515 382570974 /nfs/dbraw/zinc/57/09/74/382570974.db2.gz JJHXXFLNOHAYRJ-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000358253068 382530543 /nfs/dbraw/zinc/53/05/43/382530543.db2.gz MYCCFGRTPISKFE-LLVKDONJSA-N 0 0 296.371 2.527 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000353306114 382535410 /nfs/dbraw/zinc/53/54/10/382535410.db2.gz PUEXIZLSRKLGSH-NOZJJQNGSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1c(C[N@H+]2C[C@@H](C(=O)[O-])CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000397021684 382632534 /nfs/dbraw/zinc/63/25/34/382632534.db2.gz RSPAUSCFKLVSKK-GWCFXTLKSA-N 0 0 292.335 2.588 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c2ncccc12)[C@H](C)O ZINC000386791962 382592223 /nfs/dbraw/zinc/59/22/23/382592223.db2.gz AIEZSMATVMFPKP-GXSJLCMTSA-N 0 0 275.308 2.714 20 5 CFBDRN COC1(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCCC1 ZINC000295224740 382592635 /nfs/dbraw/zinc/59/26/35/382592635.db2.gz AWFBLTGOOHVOQI-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CC23CCOCC3)c1 ZINC000386915646 382594078 /nfs/dbraw/zinc/59/40/78/382594078.db2.gz OIKLWYQMYAYHLQ-CYBMUJFWSA-N 0 0 262.309 2.884 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC23CCOCC3)c(F)c1 ZINC000386963808 382594726 /nfs/dbraw/zinc/59/47/26/382594726.db2.gz ZNUAIBXFYASJJA-LBPRGKRZSA-N 0 0 266.272 2.715 20 5 CFBDRN CC[C@@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@H](C)O ZINC000386949616 382594848 /nfs/dbraw/zinc/59/48/48/382594848.db2.gz XESOPSZDIQFWFE-GXSJLCMTSA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000295240085 382596284 /nfs/dbraw/zinc/59/62/84/382596284.db2.gz CUJHCYZTMZZOLP-XHDPSFHLSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000358389646 382597465 /nfs/dbraw/zinc/59/74/65/382597465.db2.gz GKEUNOTXJJXHDU-NSHDSACASA-N 0 0 294.326 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2ccoc2)c1 ZINC000358394215 382599322 /nfs/dbraw/zinc/59/93/22/382599322.db2.gz LZFQAWIMCYTJJD-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN CCNc1ccc(C(=O)NC[C@@H]2C[C@H]2CC)cc1[N+](=O)[O-] ZINC000387887424 382602133 /nfs/dbraw/zinc/60/21/33/382602133.db2.gz XVOVYFHZJHCRLW-PWSUYJOCSA-N 0 0 291.351 2.803 20 5 CFBDRN Cc1noc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)c1C ZINC000358409177 382602835 /nfs/dbraw/zinc/60/28/35/382602835.db2.gz HTHOFOPHBQIVLE-UHFFFAOYSA-N 0 0 293.254 2.520 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1ncc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000388254186 382604277 /nfs/dbraw/zinc/60/42/77/382604277.db2.gz ASZGMSGENMEFKO-HQJQHLMTSA-N 0 0 285.731 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OC[C@@H](O)CC(F)(F)F)c1 ZINC000189413607 382605280 /nfs/dbraw/zinc/60/52/80/382605280.db2.gz PHANLZNPFSBLNH-ZETCQYMHSA-N 0 0 299.632 2.940 20 5 CFBDRN Cc1nn([C@@H](C)c2ccccc2[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000295277020 382605534 /nfs/dbraw/zinc/60/55/34/382605534.db2.gz IFGJWHGAHHHGSO-VIFPVBQESA-N 0 0 276.252 2.617 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000189471358 382613606 /nfs/dbraw/zinc/61/36/06/382613606.db2.gz MNNNXOYJHOGIEI-QWRGUYRKSA-N 0 0 291.351 2.876 20 5 CFBDRN CC(C)OCCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295310747 382614126 /nfs/dbraw/zinc/61/41/26/382614126.db2.gz KFCIQNDWWBVHBS-NSHDSACASA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)O[C@@H](C)[C@H]2C)cc([N+](=O)[O-])c1 ZINC000353715905 382615471 /nfs/dbraw/zinc/61/54/71/382615471.db2.gz ASOJMGHVZZNCJV-TUAOUCFPSA-N 0 0 292.335 2.541 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CSC2(C)C)c1 ZINC000393789748 382624847 /nfs/dbraw/zinc/62/48/47/382624847.db2.gz VIKWFGKVOSHDKR-LLVKDONJSA-N 0 0 296.348 2.687 20 5 CFBDRN COc1cc(C(=O)N(C)CCC2CC2)cc([N+](=O)[O-])c1C ZINC000189645930 382631857 /nfs/dbraw/zinc/63/18/57/382631857.db2.gz WKMMPCOMMQSIBD-UHFFFAOYSA-N 0 0 292.335 2.784 20 5 CFBDRN COc1cc(C(=O)N2CCC=C(C)C2)c([N+](=O)[O-])cc1F ZINC000295198061 382581052 /nfs/dbraw/zinc/58/10/52/382581052.db2.gz UUACQCRKCQAODH-UHFFFAOYSA-N 0 0 294.282 2.535 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1nnc(C(F)F)o1 ZINC000189288534 382583196 /nfs/dbraw/zinc/58/31/96/382583196.db2.gz DODRRUWBTJOGNP-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN CCOC[C@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000190966272 382686835 /nfs/dbraw/zinc/68/68/35/382686835.db2.gz MHGQXNAPDQSPHB-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN C[C@@H](C[C@@H](O)c1ccccc1)Nc1ncc([N+](=O)[O-])s1 ZINC000189986424 382648580 /nfs/dbraw/zinc/64/85/80/382648580.db2.gz ZEJRLXPOGBNCNP-GXSJLCMTSA-N 0 0 293.348 2.975 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCCCO1 ZINC000190061286 382652101 /nfs/dbraw/zinc/65/21/01/382652101.db2.gz XSVGMARNFXDSIJ-UHFFFAOYSA-N 0 0 292.316 2.977 20 5 CFBDRN COc1ccc(OCc2csc(C)n2)cc1[N+](=O)[O-] ZINC000190188415 382656395 /nfs/dbraw/zinc/65/63/95/382656395.db2.gz PWZKBKOVTHSFFO-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cc(C)no2)c1 ZINC000090794588 184616491 /nfs/dbraw/zinc/61/64/91/184616491.db2.gz NMCQDPBAAAVYPD-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CC(C)=CCNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000190642650 382676035 /nfs/dbraw/zinc/67/60/35/382676035.db2.gz YTBWPXOCPBXZAT-UHFFFAOYSA-N 0 0 263.297 2.991 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H]1CC[C@H](C)O1 ZINC000190650344 382676311 /nfs/dbraw/zinc/67/63/11/382676311.db2.gz FCEUPKKGNDFFDD-GXSJLCMTSA-N 0 0 267.281 2.550 20 5 CFBDRN CCC[C@@H](C)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191017878 382688550 /nfs/dbraw/zinc/68/85/50/382688550.db2.gz LPMUWPJHGBWTHU-SECBINFHSA-N 0 0 267.281 2.945 20 5 CFBDRN COC(=O)[C@@]1(C)CCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000190880769 382683870 /nfs/dbraw/zinc/68/38/70/382683870.db2.gz NYHAENFVGYUUJN-ZDUSSCGKSA-N 0 0 298.726 2.638 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)c1ccccn1 ZINC000189682041 382635216 /nfs/dbraw/zinc/63/52/16/382635216.db2.gz ZAOYNCGTBILBRB-ZETCQYMHSA-N 0 0 250.283 2.619 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])s2)CCCS1 ZINC000189689044 382635645 /nfs/dbraw/zinc/63/56/45/382635645.db2.gz AOEINAXUIMWKCX-SECBINFHSA-N 0 0 259.356 2.749 20 5 CFBDRN CC[C@@H](C)CC(=O)Nc1ccc([O-])c([N+](=O)[O-])c1 ZINC000191274814 382697594 /nfs/dbraw/zinc/69/75/94/382697594.db2.gz JSAKVPRDYCRWHU-MRVPVSSYSA-N 0 0 252.270 2.675 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H](O)C1CCCCC1 ZINC000191365377 382699264 /nfs/dbraw/zinc/69/92/64/382699264.db2.gz HKIXZWNKFBJTSY-CYBMUJFWSA-N 0 0 295.335 2.923 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000191375029 382699370 /nfs/dbraw/zinc/69/93/70/382699370.db2.gz IFNZOASLVHQOHH-YTXTXJHMSA-N 0 0 276.292 2.782 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCS[C@H](C)CC1 ZINC000191419027 382700177 /nfs/dbraw/zinc/70/01/77/382700177.db2.gz GALNFVGBSUCQLM-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@@H](Cc1ccc(O)cc1)N(C)c1ncc([N+](=O)[O-])s1 ZINC000192485908 382709382 /nfs/dbraw/zinc/70/93/82/382709382.db2.gz DTQXCANVQDHNCS-VIFPVBQESA-N 0 0 293.348 2.824 20 5 CFBDRN CCCN(C(=O)[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000194122247 382735655 /nfs/dbraw/zinc/73/56/55/382735655.db2.gz YDGQFFWFUBXEBG-AWEZNQCLSA-N 0 0 292.335 2.907 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@H]2CCCCO2)s1 ZINC000192530640 382709880 /nfs/dbraw/zinc/70/98/80/382709880.db2.gz XOMRAESJSNKEGP-SECBINFHSA-N 0 0 271.342 2.813 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H](O)C2CCCCC2)c1 ZINC000193407867 382719611 /nfs/dbraw/zinc/71/96/11/382719611.db2.gz IOLHLJZEUOOKGV-CQSZACIVSA-N 0 0 279.340 2.530 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000336829805 382725932 /nfs/dbraw/zinc/72/59/32/382725932.db2.gz BAUFHQWBSYZJBO-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])c1ccn(C)n1 ZINC000575072399 382725950 /nfs/dbraw/zinc/72/59/50/382725950.db2.gz ZSQIEWOCYHCABK-YGRLFVJLSA-N 0 0 286.335 2.666 20 5 CFBDRN Cc1cnccc1CN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000575083387 382726559 /nfs/dbraw/zinc/72/65/59/382726559.db2.gz GHKUZKWGOJZIEE-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCOCC(F)(F)F)c(F)c1 ZINC000575104724 382727160 /nfs/dbraw/zinc/72/71/60/382727160.db2.gz BLCNMJHNGMIBHX-UHFFFAOYSA-N 0 0 297.208 2.510 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1c1ccccc1)c1cc([O-])ccc1[N+](=O)[O-] ZINC000336864870 382736750 /nfs/dbraw/zinc/73/67/50/382736750.db2.gz SBZLFTCNPBSPTH-GXTWGEPZSA-N 0 0 298.298 2.586 20 5 CFBDRN COc1cc(NCCC2CCOCC2)ccc1[N+](=O)[O-] ZINC000336841289 382731433 /nfs/dbraw/zinc/73/14/33/382731433.db2.gz RIEJJRARJPSSAQ-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000575242105 382732462 /nfs/dbraw/zinc/73/24/62/382732462.db2.gz XPARAIOBKOJTKU-XQQFMLRXSA-N 0 0 274.320 2.647 20 5 CFBDRN CCC(CC)(CN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1)OC ZINC000336847054 382734322 /nfs/dbraw/zinc/73/43/22/382734322.db2.gz CKPNISRROLZOPG-UHFFFAOYSA-N 0 0 292.339 2.510 20 5 CFBDRN CCc1nn(C)cc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000092959670 184638151 /nfs/dbraw/zinc/63/81/51/184638151.db2.gz PIXLMNKEIWYXRV-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337133160 382765527 /nfs/dbraw/zinc/76/55/27/382765527.db2.gz LZANVQIECZWTNQ-UFBFGSQYSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1cccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)c1[N+](=O)[O-] ZINC000195310585 382765978 /nfs/dbraw/zinc/76/59/78/382765978.db2.gz CJYCLGMHKIODND-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219358107 382793827 /nfs/dbraw/zinc/79/38/27/382793827.db2.gz VSCIQEUNCFDREB-JTQLQIEISA-N 0 0 292.339 2.680 20 5 CFBDRN COC(=O)CCCCCCNc1ncc([N+](=O)[O-])s1 ZINC000195508606 382768205 /nfs/dbraw/zinc/76/82/05/382768205.db2.gz NXYCRYDXHFLVTI-UHFFFAOYSA-N 0 0 287.341 2.587 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2ccncc2)s1 ZINC000195508498 382768408 /nfs/dbraw/zinc/76/84/08/382768408.db2.gz YEZHMIOILCYBMI-SNVBAGLBSA-N 0 0 276.321 2.788 20 5 CFBDRN Cc1ncc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])s1 ZINC000337289922 382794957 /nfs/dbraw/zinc/79/49/57/382794957.db2.gz KBYRZDZIUWKJJC-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCO1 ZINC000220162680 382795418 /nfs/dbraw/zinc/79/54/18/382795418.db2.gz JTVKLXKFDPSWQY-BDAKNGLRSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cccc(CCNc2cc(C)c([N+](=O)[O-])cn2)c1 ZINC000220202990 382795469 /nfs/dbraw/zinc/79/54/69/382795469.db2.gz ZNRHCZNAXSABKB-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1cccc2[nH]ccc21 ZINC000336887341 382737496 /nfs/dbraw/zinc/73/74/96/382737496.db2.gz GVJPPHCFGVPNCO-UHFFFAOYSA-N 0 0 282.303 2.548 20 5 CFBDRN COC(=O)CCc1csc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000194423777 382744014 /nfs/dbraw/zinc/74/40/14/382744014.db2.gz WVEOTONFWZUEHK-UHFFFAOYSA-N 0 0 292.316 2.824 20 5 CFBDRN COCC1(CNc2cc(OC)c(F)cc2[N+](=O)[O-])CCC1 ZINC000336940653 382744247 /nfs/dbraw/zinc/74/42/47/382744247.db2.gz HTFVYMYCDUAHEK-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN CC(C)(C)CC[C@@H](CO)Nc1ncc([N+](=O)[O-])s1 ZINC000336955671 382745963 /nfs/dbraw/zinc/74/59/63/382745963.db2.gz VGZAMGBTFSJZHF-QMMMGPOBSA-N 0 0 273.358 2.650 20 5 CFBDRN COc1cccc(C(=O)N2C[C@H](C)CC[C@@H]2C)c1[N+](=O)[O-] ZINC000194532926 382747355 /nfs/dbraw/zinc/74/73/55/382747355.db2.gz FHPIZAUAMZDQNO-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H]1C[C@H]1c1cc(NC(=O)c2ccc([N+](=O)[O-])s2)n[nH]1 ZINC000194584311 382749032 /nfs/dbraw/zinc/74/90/32/382749032.db2.gz ZZCGICLYAGRSBI-NKWVEPMBSA-N 0 0 292.320 2.755 20 5 CFBDRN CO[C@@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])C(C)(C)C ZINC000194782864 382753975 /nfs/dbraw/zinc/75/39/75/382753975.db2.gz JUVIJGVXSPPTCA-LBPRGKRZSA-N 0 0 294.351 2.694 20 5 CFBDRN CN(C[C@H]1CC=CCC1)c1ncc([N+](=O)[O-])s1 ZINC000337032362 382754226 /nfs/dbraw/zinc/75/42/26/382754226.db2.gz ZJCWOXPWYIORMH-VIFPVBQESA-N 0 0 253.327 2.844 20 5 CFBDRN CNC(=O)c1ccc(NCc2ccc([N+](=O)[O-])cc2C)cc1 ZINC000195069656 382760804 /nfs/dbraw/zinc/76/08/04/382760804.db2.gz ZGSXSIXNYNYRHO-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN C[C@H](CO)CSc1cc(Cl)ccc1[N+](=O)[O-] ZINC000228428836 382846317 /nfs/dbraw/zinc/84/63/17/382846317.db2.gz DQRNUDOGGJKTRV-SSDOTTSWSA-N 0 0 261.730 2.969 20 5 CFBDRN CCc1cccnc1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000337596612 382846461 /nfs/dbraw/zinc/84/64/61/382846461.db2.gz LEPIVMBXZBJOJW-UHFFFAOYSA-N 0 0 285.303 2.733 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2cnc(C)s2)c1 ZINC000577106766 382847830 /nfs/dbraw/zinc/84/78/30/382847830.db2.gz IMAJRGJTVVHOHH-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCCCCO ZINC000228724129 382848932 /nfs/dbraw/zinc/84/89/32/382848932.db2.gz KPECAADLGXPNDD-UHFFFAOYSA-N 0 0 258.705 2.823 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC(C)(C)CCCO)c1 ZINC000221192642 382797812 /nfs/dbraw/zinc/79/78/12/382797812.db2.gz VXIHEVLWRPDLSQ-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@H]1CCCO1 ZINC000575925332 382804030 /nfs/dbraw/zinc/80/40/30/382804030.db2.gz KFMGVZGJDFAOGR-LLVKDONJSA-N 0 0 293.323 2.534 20 5 CFBDRN Cc1csc(N(C)C(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000337342014 382806129 /nfs/dbraw/zinc/80/61/29/382806129.db2.gz VKAUKBNPBHMUBU-UHFFFAOYSA-N 0 0 283.334 2.698 20 5 CFBDRN Cc1cnc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000224009998 382808722 /nfs/dbraw/zinc/80/87/22/382808722.db2.gz OJVNNQZWDYDZNO-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN CO[C@H](C)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000224355021 382810753 /nfs/dbraw/zinc/81/07/53/382810753.db2.gz PSWBUYBJCBRWEU-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC2CC2)c2ncccc12 ZINC000224512607 382811514 /nfs/dbraw/zinc/81/15/14/382811514.db2.gz YDFSQKYRPADEFS-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CC1(C)C[C@@H](NCc2cc[nH]n2)c2cc([N+](=O)[O-])ccc21 ZINC000576180443 382813183 /nfs/dbraw/zinc/81/31/83/382813183.db2.gz UBMLYEDTWTUWCE-CQSZACIVSA-N 0 0 286.335 2.830 20 5 CFBDRN COc1cc(N[C@H]2CCSC2)c([N+](=O)[O-])cc1OC ZINC000225504413 382817176 /nfs/dbraw/zinc/81/71/76/382817176.db2.gz CRDPIUSHJZUJCZ-QMMMGPOBSA-N 0 0 284.337 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1(C(F)F)CC1 ZINC000337451180 382822883 /nfs/dbraw/zinc/82/28/83/382822883.db2.gz NSTFYDDVPBXNRP-UHFFFAOYSA-N 0 0 285.250 2.822 20 5 CFBDRN CC(C)CCCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000337451680 382823079 /nfs/dbraw/zinc/82/30/79/382823079.db2.gz MTHWUARPRNUXGU-UHFFFAOYSA-N 0 0 253.298 2.902 20 5 CFBDRN CC(C)CNc1ccc([N+](=O)[O-])c(N2CCOCC2)c1F ZINC000576572671 382827464 /nfs/dbraw/zinc/82/74/64/382827464.db2.gz JZUXTBKWIJWAGS-UHFFFAOYSA-N 0 0 297.330 2.638 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000227015909 382832288 /nfs/dbraw/zinc/83/22/88/382832288.db2.gz GTHNUOBLNKKCNG-RNFRBKRXSA-N 0 0 252.299 2.514 20 5 CFBDRN Cc1cc(NC(=O)c2c[nH]nc2[N+](=O)[O-])ccc1C1CC1 ZINC000576727891 382834101 /nfs/dbraw/zinc/83/41/01/382834101.db2.gz HMWODXTVXFMCRS-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2(OC)CCC2)c1 ZINC000227305459 382835277 /nfs/dbraw/zinc/83/52/77/382835277.db2.gz HZEGWOVWUJOEBW-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN CC1(CNc2ncc(Cl)cc2[N+](=O)[O-])CCOCC1 ZINC000227286523 382835383 /nfs/dbraw/zinc/83/53/83/382835383.db2.gz ALKLIIOBOCTKOA-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1c1ccccc1 ZINC000337530528 382837790 /nfs/dbraw/zinc/83/77/90/382837790.db2.gz CTYSSDNWFJEZSN-LSDHHAIUSA-N 0 0 297.314 2.584 20 5 CFBDRN CCC(O)(CC)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000227650659 382839060 /nfs/dbraw/zinc/83/90/60/382839060.db2.gz MEXVSCKYLNONQZ-UHFFFAOYSA-N 0 0 273.720 2.606 20 5 CFBDRN C[C@@H](O)CCCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000227678087 382839139 /nfs/dbraw/zinc/83/91/39/382839139.db2.gz CILWTRSDMYQKJE-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN CSCCCCCNc1cccnc1[N+](=O)[O-] ZINC000229569666 382852246 /nfs/dbraw/zinc/85/22/46/382852246.db2.gz GCENVVALILPEAE-UHFFFAOYSA-N 0 0 255.343 2.935 20 5 CFBDRN C[C@@H](Sc1c(F)cc([N+](=O)[O-])cc1F)[C@H](C)O ZINC000227891116 382842126 /nfs/dbraw/zinc/84/21/26/382842126.db2.gz GIWXJXDGABNTPG-NTSWFWBYSA-N 0 0 263.265 2.734 20 5 CFBDRN CCC[C@@](C)(O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890219 382842190 /nfs/dbraw/zinc/84/21/90/382842190.db2.gz FZDVELMJYPAQCM-GFCCVEGCSA-N 0 0 274.267 2.836 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2(C3CC3)CC2)c1 ZINC000227966193 382843170 /nfs/dbraw/zinc/84/31/70/382843170.db2.gz OVOJPTJCFFDROS-UHFFFAOYSA-N 0 0 290.319 2.984 20 5 CFBDRN Cc1ccnc(NC(=O)c2ccc([N+](=O)[O-])cc2C)c1 ZINC000338016226 382903762 /nfs/dbraw/zinc/90/37/62/382903762.db2.gz NULOKOOBJHFXTI-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000533604549 382907229 /nfs/dbraw/zinc/90/72/29/382907229.db2.gz ZGHMZNDRDKMGGZ-NSHDSACASA-N 0 0 280.324 2.619 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000337663590 382853172 /nfs/dbraw/zinc/85/31/72/382853172.db2.gz SBTADFKLARRJED-LBPRGKRZSA-N 0 0 293.367 2.871 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000230100333 382855681 /nfs/dbraw/zinc/85/56/81/382855681.db2.gz GPIKMYUDOUTKSK-JVXZTZIISA-N 0 0 272.251 2.852 20 5 CFBDRN C[C@H](C(=O)N1C[C@@H](C)[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000577340648 382855857 /nfs/dbraw/zinc/85/58/57/382855857.db2.gz KJHKKOSIBQDHAX-UTUOFQBUSA-N 0 0 276.336 2.813 20 5 CFBDRN CSC[C@](C)(O)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000230151637 382856282 /nfs/dbraw/zinc/85/62/82/382856282.db2.gz YGCNTCRRBPRTEV-LLVKDONJSA-N 0 0 290.772 2.774 20 5 CFBDRN COc1ccc(COc2ccc([N+](=O)[O-])cc2Cl)nn1 ZINC000337750748 382861489 /nfs/dbraw/zinc/86/14/89/382861489.db2.gz IWBFKLVLGJCWIU-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN COc1ccc(COc2c(Cl)cccc2[N+](=O)[O-])nn1 ZINC000337762005 382862905 /nfs/dbraw/zinc/86/29/05/382862905.db2.gz PREZTXUMKAYFHM-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCOCC2CCC2)n1 ZINC000577553060 382863188 /nfs/dbraw/zinc/86/31/88/382863188.db2.gz RNJCSGCXWLQZKE-UHFFFAOYSA-N 0 0 279.340 2.835 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000230904980 382863337 /nfs/dbraw/zinc/86/33/37/382863337.db2.gz SNIXYPJCNQZMCA-ZDUSSCGKSA-N 0 0 282.340 2.812 20 5 CFBDRN C[C@@H](Sc1cccc([N+](=O)[O-])c1)c1nnnn1C1CC1 ZINC000337776716 382863979 /nfs/dbraw/zinc/86/39/79/382863979.db2.gz YBUJAODRAMUONM-MRVPVSSYSA-N 0 0 291.336 2.770 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1C ZINC000231243730 382864130 /nfs/dbraw/zinc/86/41/30/382864130.db2.gz TXOLBUOJLKIYCU-ZFWWWQNUSA-N 0 0 278.352 2.950 20 5 CFBDRN C[C@@H](O)CCCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000231452680 382864829 /nfs/dbraw/zinc/86/48/29/382864829.db2.gz KEEDHUMZUWNQHO-MRVPVSSYSA-N 0 0 259.689 2.788 20 5 CFBDRN COc1cc(N[C@H]2CC[C@H](OC)C2)ccc1[N+](=O)[O-] ZINC000231684870 382866299 /nfs/dbraw/zinc/86/62/99/382866299.db2.gz JZCSXOLUCWKDBP-ONGXEEELSA-N 0 0 266.297 2.583 20 5 CFBDRN CCOC(C)(C)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000232214977 382868168 /nfs/dbraw/zinc/86/81/68/382868168.db2.gz VLXSOGDLRPLIHL-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000337828749 382869798 /nfs/dbraw/zinc/86/97/98/382869798.db2.gz UUXCUKOHBZROLO-UHFFFAOYSA-N 0 0 257.293 2.669 20 5 CFBDRN CCc1nn(C)c(N[C@@H](C)c2ccncc2F)c1[N+](=O)[O-] ZINC000337842304 382871014 /nfs/dbraw/zinc/87/10/14/382871014.db2.gz ZYJUMVIWSLQGSD-QMMMGPOBSA-N 0 0 293.302 2.598 20 5 CFBDRN CCn1cc(CNc2ccc(C)cc2[N+](=O)[O-])cn1 ZINC000234214527 382873078 /nfs/dbraw/zinc/87/30/78/382873078.db2.gz QBKXSRYDUBIWKW-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCc1csc(C)n1 ZINC000234208739 382873089 /nfs/dbraw/zinc/87/30/89/382873089.db2.gz WRJNSZMPYJRCKY-UHFFFAOYSA-N 0 0 295.368 2.539 20 5 CFBDRN Cc1nc(CSc2cccc([N+](=O)[O-])c2)no1 ZINC000337934821 382874066 /nfs/dbraw/zinc/87/40/66/382874066.db2.gz HEYKRVBDWWFGRH-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN Cc1cn([C@@H](C)c2nnc(-c3ccccc3)o2)nc1[N+](=O)[O-] ZINC000337941196 382875179 /nfs/dbraw/zinc/87/51/79/382875179.db2.gz INKPMUHTFMGKTP-JTQLQIEISA-N 0 0 299.290 2.759 20 5 CFBDRN CC[C@H](C)CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000421587252 382877346 /nfs/dbraw/zinc/87/73/46/382877346.db2.gz GLNHNBLVJKSTLO-VIFPVBQESA-N 0 0 284.743 2.953 20 5 CFBDRN C[C@@H]1CCN(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000337964984 382881699 /nfs/dbraw/zinc/88/16/99/382881699.db2.gz ZMARNIPIZZIVFT-GFCCVEGCSA-N 0 0 291.351 2.531 20 5 CFBDRN O=C(/C=C\[C@@H]1CCOC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000493716379 382882950 /nfs/dbraw/zinc/88/29/50/382882950.db2.gz DIZGVTPIGDCEGM-RZXPJHQTSA-N 0 0 296.710 2.779 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCOCC(F)F ZINC000236139939 382892002 /nfs/dbraw/zinc/89/20/02/382892002.db2.gz AHSVCYQZIQYSGT-UHFFFAOYSA-N 0 0 280.658 2.942 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1C[C@@H]1C(F)F ZINC000337993187 382892240 /nfs/dbraw/zinc/89/22/40/382892240.db2.gz DCEZQDLGCAZOHS-DTWKUNHWSA-N 0 0 274.198 2.574 20 5 CFBDRN CC(C)(C)OCCn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000578131894 382893711 /nfs/dbraw/zinc/89/37/11/382893711.db2.gz CCZUVSDPTGASPX-UHFFFAOYSA-N 0 0 290.319 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCO)cc1C(F)(F)F ZINC000236505913 382895304 /nfs/dbraw/zinc/89/53/04/382895304.db2.gz DSCRECIDWAXHEM-UHFFFAOYSA-N 0 0 278.230 2.798 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H]1CC[C@H](O)C1 ZINC000236567097 382896263 /nfs/dbraw/zinc/89/62/63/382896263.db2.gz UEDSYTSHBLUBRI-SCZZXKLOSA-N 0 0 270.716 2.821 20 5 CFBDRN CC(C)C[N@H+](CCC(=O)[O-])CCc1ccc([N+](=O)[O-])cc1 ZINC000578634768 382939008 /nfs/dbraw/zinc/93/90/08/382939008.db2.gz IQNOUUHKWYNGOZ-UHFFFAOYSA-N 0 0 294.351 2.570 20 5 CFBDRN CC(=O)CN(CC(C)C)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000421893497 382963903 /nfs/dbraw/zinc/96/39/03/382963903.db2.gz ULMGVEIASUAREJ-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN COc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)c(O)c1 ZINC000442690389 382946077 /nfs/dbraw/zinc/94/60/77/382946077.db2.gz ARQQSEWXNDZSTE-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2C[C@H](C)O[C@@H](C)C2)c1 ZINC000535054804 382947757 /nfs/dbraw/zinc/94/77/57/382947757.db2.gz QTQURMZTOBXYFM-QWRGUYRKSA-N 0 0 292.335 2.589 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])n2C)CCCCC1 ZINC000456215201 382954687 /nfs/dbraw/zinc/95/46/87/382954687.db2.gz JXZRDJFXRSDTDB-UHFFFAOYSA-N 0 0 279.340 2.776 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000421896604 382964783 /nfs/dbraw/zinc/96/47/83/382964783.db2.gz QFESQBIHSJPYAA-GNXNZQSNSA-N 0 0 274.320 2.740 20 5 CFBDRN CCCN(C(=O)[C@@H]1CCO[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000441476692 382917041 /nfs/dbraw/zinc/91/70/41/382917041.db2.gz LEOGPZVTPBKSSR-BXUZGUMPSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000494675002 382918353 /nfs/dbraw/zinc/91/83/53/382918353.db2.gz SCRSHAFXYUEVIS-WBMYTEFPSA-N 0 0 289.335 2.754 20 5 CFBDRN CC(C)(NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000534292590 382922183 /nfs/dbraw/zinc/92/21/83/382922183.db2.gz GYGACCCMVYFWQT-UHFFFAOYSA-N 0 0 277.324 2.583 20 5 CFBDRN CCOC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 ZINC000444370067 382973342 /nfs/dbraw/zinc/97/33/42/382973342.db2.gz WOLHYHQLEAIPOE-UHFFFAOYSA-N 0 0 285.274 2.997 20 5 CFBDRN COC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 ZINC000444362793 382973631 /nfs/dbraw/zinc/97/36/31/382973631.db2.gz GOYCCRRQNHOGQQ-UHFFFAOYSA-N 0 0 271.247 2.607 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)c1cccc([O-])c1[N+](=O)[O-] ZINC000457186304 382981578 /nfs/dbraw/zinc/98/15/78/382981578.db2.gz CUJIGBOWMVEDOE-SECBINFHSA-N 0 0 280.324 2.855 20 5 CFBDRN CCCC(C)(C)NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000548792434 382982798 /nfs/dbraw/zinc/98/27/98/382982798.db2.gz RKELNEVLJMNYQO-UHFFFAOYSA-N 0 0 294.307 2.632 20 5 CFBDRN CCCN(CC1CCCCC1)C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548927357 382993821 /nfs/dbraw/zinc/99/38/21/382993821.db2.gz HEHXNNRHWCCUBL-UHFFFAOYSA-N 0 0 294.355 2.750 20 5 CFBDRN C[C@H]1CN(CCSc2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000579259363 383000267 /nfs/dbraw/zinc/00/02/67/383000267.db2.gz SEYIPHGOZXQKES-RYUDHWBXSA-N 0 0 296.392 2.796 20 5 CFBDRN CCC1CN(C(=O)c2cccc(SC)c2[N+](=O)[O-])C1 ZINC000457283679 383011775 /nfs/dbraw/zinc/01/17/75/383011775.db2.gz VETNGZWYLTVSGP-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000457345237 383029201 /nfs/dbraw/zinc/02/92/01/383029201.db2.gz OTDYYUMZKMYWDL-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2cc(C)no2)c1 ZINC000338727026 383030956 /nfs/dbraw/zinc/03/09/56/383030956.db2.gz RCQMYMUEIMQZII-UHFFFAOYSA-N 0 0 277.280 2.554 20 5 CFBDRN C[C@H](F)CCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000338747628 383037086 /nfs/dbraw/zinc/03/70/86/383037086.db2.gz DHUDHPZMPWQGSS-VIFPVBQESA-N 0 0 263.272 2.725 20 5 CFBDRN CCc1nocc1CN(C)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000338779812 383048474 /nfs/dbraw/zinc/04/84/74/383048474.db2.gz AFDQLUAZWHNVJU-UHFFFAOYSA-N 0 0 290.323 2.793 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000457622471 383053208 /nfs/dbraw/zinc/05/32/08/383053208.db2.gz MGCSGHVTAHYXTN-KGYLQXTDSA-N 0 0 289.335 2.583 20 5 CFBDRN CC1(C)CCC[C@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000338815725 383060298 /nfs/dbraw/zinc/06/02/98/383060298.db2.gz VMLYKKDFWAWBQM-JTQLQIEISA-N 0 0 291.351 2.932 20 5 CFBDRN Cc1nc(N2CCOC[C@@H]2CC2CCC2)ccc1[N+](=O)[O-] ZINC000338833312 383063318 /nfs/dbraw/zinc/06/33/18/383063318.db2.gz YOECYORHQLCJNP-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCC[C@@H]1F ZINC000338839337 383065699 /nfs/dbraw/zinc/06/56/99/383065699.db2.gz BIXPLLYCJUGISH-JGVFFNPUSA-N 0 0 259.668 2.946 20 5 CFBDRN C[C@@H](Nc1ccc2ncccc2c1[N+](=O)[O-])C1(CO)CC1 ZINC000596435288 383126174 /nfs/dbraw/zinc/12/61/74/383126174.db2.gz DIOFRFBARNMKHI-SNVBAGLBSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc(N2CCC[C@@](O)(C3CC3)C2)c([N+](=O)[O-])s1 ZINC000596464458 383126980 /nfs/dbraw/zinc/12/69/80/383126980.db2.gz SNPBNTWVKWKXPX-ZDUSSCGKSA-N 0 0 282.365 2.706 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@@](O)(C2CC2)C1 ZINC000596465139 383128091 /nfs/dbraw/zinc/12/80/91/383128091.db2.gz XBSBWQFUSSZTTO-AWEZNQCLSA-N 0 0 296.754 2.990 20 5 CFBDRN CC(C)[NH+](Cc1cc([O-])ccc1[N+](=O)[O-])C(C)C ZINC000339061743 383135828 /nfs/dbraw/zinc/13/58/28/383135828.db2.gz LIHSDYPACXIYTL-UHFFFAOYSA-N 0 0 252.314 2.919 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000458253884 383143261 /nfs/dbraw/zinc/14/32/61/383143261.db2.gz INQGGFMIZYCRSL-SCVCMEIPSA-N 0 0 299.330 2.995 20 5 CFBDRN C[C@@H](C(=O)N(C)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000338938610 383091711 /nfs/dbraw/zinc/09/17/11/383091711.db2.gz YVGGKYSGRJUQOT-ZETCQYMHSA-N 0 0 276.214 2.756 20 5 CFBDRN CCCC[C@H](C(=O)[O-])[N@@H+]1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000584535032 383111307 /nfs/dbraw/zinc/11/13/07/383111307.db2.gz NXSAXTRJIYKJQF-CQSZACIVSA-N 0 0 292.335 2.596 20 5 CFBDRN CC[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000247408002 383171054 /nfs/dbraw/zinc/17/10/54/383171054.db2.gz CANRWYCPSZRTLW-DGCLKSJQSA-N 0 0 264.325 2.594 20 5 CFBDRN CO[C@@H](COc1cc(C)c([N+](=O)[O-])cc1F)C1CC1 ZINC000424092674 383178695 /nfs/dbraw/zinc/17/86/95/383178695.db2.gz PIZKGUUBPUEBQS-ZDUSSCGKSA-N 0 0 269.272 2.846 20 5 CFBDRN Cc1ccc(OCc2nc(C(F)F)no2)cc1[N+](=O)[O-] ZINC000424094953 383179089 /nfs/dbraw/zinc/17/90/89/383179089.db2.gz WCFNARCMOSXOKL-UHFFFAOYSA-N 0 0 285.206 2.803 20 5 CFBDRN CCCc1nc(COc2cc(C)ccc2[N+](=O)[O-])no1 ZINC000424097208 383179453 /nfs/dbraw/zinc/17/94/53/383179453.db2.gz ZTAZZHPZOWYIEV-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000247511099 383180475 /nfs/dbraw/zinc/18/04/75/383180475.db2.gz JRHNDQJHWIPHQV-DGCLKSJQSA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@H]1C[C@@H]1c1ccc(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)o1 ZINC000517457590 383182899 /nfs/dbraw/zinc/18/28/99/383182899.db2.gz FRWLMMBFZNNKFU-WPRPVWTQSA-N 0 0 289.291 2.569 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ccn1CCCCF ZINC000566561229 383189346 /nfs/dbraw/zinc/18/93/46/383189346.db2.gz NJAPTIHZIMAXSS-UHFFFAOYSA-N 0 0 264.256 2.659 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000247704150 383192747 /nfs/dbraw/zinc/19/27/47/383192747.db2.gz RQQHDFKSHJBTMS-BXUZGUMPSA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@@H](C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C1CCC1 ZINC000566663407 383198296 /nfs/dbraw/zinc/19/82/96/383198296.db2.gz PSHLVNMQCLZQMB-LLVKDONJSA-N 0 0 288.347 2.916 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000458268892 383148338 /nfs/dbraw/zinc/14/83/38/383148338.db2.gz ZLVHRPXABPBFPU-DDTOSNHZSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cnc(N[C@H]2C[C@H]2c2cccnc2)c([N+](=O)[O-])c1 ZINC000339098372 383148411 /nfs/dbraw/zinc/14/84/11/383148411.db2.gz XZGSEPMPXHOLPO-RYUDHWBXSA-N 0 0 270.292 2.661 20 5 CFBDRN COC[C@H]1CCCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000339099568 383149482 /nfs/dbraw/zinc/14/94/82/383149482.db2.gz XVDMQERTNUESAO-LBPRGKRZSA-N 0 0 294.351 2.549 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)CNc2ccccc2[N+](=O)[O-])CC1 ZINC000247273056 383158576 /nfs/dbraw/zinc/15/85/76/383158576.db2.gz YGNWACABGGGRAH-HAQNSBGRSA-N 0 0 291.351 2.702 20 5 CFBDRN C[C@@]1(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)CC=CCC1 ZINC000458324732 383163523 /nfs/dbraw/zinc/16/35/23/383163523.db2.gz YFCIXSLCPOZBLF-OAHLLOKOSA-N 0 0 292.310 2.891 20 5 CFBDRN CCCN(CC)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000519922188 383246591 /nfs/dbraw/zinc/24/65/91/383246591.db2.gz QHFSJJPRMRYIIH-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CNC(CF)CF ZINC000449378679 383248617 /nfs/dbraw/zinc/24/86/17/383248617.db2.gz FJFCJJZABNEGSW-HWKANZROSA-N 0 0 256.252 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H]2CCC[C@H]2F)c(F)c1 ZINC000339588537 383255401 /nfs/dbraw/zinc/25/54/01/383255401.db2.gz APXOHOYKPUXTLX-PWSUYJOCSA-N 0 0 256.252 2.714 20 5 CFBDRN CCC[C@@H](C[NH2+][C@H]1CCc2c1cccc2[N+](=O)[O-])OC ZINC000566923872 383225779 /nfs/dbraw/zinc/22/57/79/383225779.db2.gz JZOBCPREJUBLOE-FZMZJTMJSA-N 0 0 278.352 2.987 20 5 CFBDRN Cc1c(CNC(=O)N2CC[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000458678676 383227030 /nfs/dbraw/zinc/22/70/30/383227030.db2.gz BRDGVIOBICFSSZ-AWEZNQCLSA-N 0 0 289.335 2.597 20 5 CFBDRN C[C@]1(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000424686846 383230400 /nfs/dbraw/zinc/23/04/00/383230400.db2.gz QLVDHAJADOGTAF-HIFRSBDPSA-N 0 0 294.326 2.782 20 5 CFBDRN CC(C)c1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000519795038 383235109 /nfs/dbraw/zinc/23/51/09/383235109.db2.gz UOBJRQOLEIHEBQ-UHFFFAOYSA-N 0 0 251.217 2.907 20 5 CFBDRN CC[C@@H](NCc1ccc(OC)c([N+](=O)[O-])c1)C(F)F ZINC000449358766 383237820 /nfs/dbraw/zinc/23/78/20/383237820.db2.gz GLPYOMYRJXMOIR-SECBINFHSA-N 0 0 274.267 2.737 20 5 CFBDRN CCO[C@@H]1CC[N@H+](Cc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000449489744 383327644 /nfs/dbraw/zinc/32/76/44/383327644.db2.gz AWKAIBYDCQHMPB-IUODEOHRSA-N 0 0 278.352 2.842 20 5 CFBDRN CC(C)C[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000522156962 383331157 /nfs/dbraw/zinc/33/11/57/383331157.db2.gz NATIGJSENRDKCE-NSHDSACASA-N 0 0 279.340 2.584 20 5 CFBDRN COC(C)(C)c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000567962310 383336728 /nfs/dbraw/zinc/33/67/28/383336728.db2.gz CRFSGNBUTIGCCU-UHFFFAOYSA-N 0 0 277.280 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H]2C2CC2)c(F)c1 ZINC000449425900 383286660 /nfs/dbraw/zinc/28/66/60/383286660.db2.gz JHIWUULIXONIRI-CYBMUJFWSA-N 0 0 250.273 2.718 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000424881346 383288689 /nfs/dbraw/zinc/28/86/89/383288689.db2.gz CFDBPJIRXYLIHH-AAEUAGOBSA-N 0 0 274.320 2.761 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1C ZINC000248835583 383295743 /nfs/dbraw/zinc/29/57/43/383295743.db2.gz NAVXQLINIHYURF-MPKXVKKWSA-N 0 0 276.336 2.688 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000521535005 383298986 /nfs/dbraw/zinc/29/89/86/383298986.db2.gz YDTYXBXOWJFKSQ-UHFFFAOYSA-N 0 0 291.351 2.654 20 5 CFBDRN COc1c(C(=O)N[C@@H]2C[C@H]2C2CCC2)cccc1[N+](=O)[O-] ZINC000424970742 383300380 /nfs/dbraw/zinc/30/03/80/383300380.db2.gz ODBAMXSOBPLJHC-NWDGAFQWSA-N 0 0 290.319 2.522 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC12CC2 ZINC000424971258 383300470 /nfs/dbraw/zinc/30/04/70/383300470.db2.gz OGSFNXPAEOYACE-OCCSQVGLSA-N 0 0 288.347 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1C1CCC1 ZINC000424977492 383302487 /nfs/dbraw/zinc/30/24/87/383302487.db2.gz GTTRHQXYTZDQQT-UONOGXRCSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1ccc(OCc2cccc(C)n2)c([N+](=O)[O-])c1 ZINC000339741916 383303932 /nfs/dbraw/zinc/30/39/32/383303932.db2.gz DKABAWLXZFSFRX-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CCN(C[C@@H](C)OC)c1ccc(F)cc1[N+](=O)[O-] ZINC000292279896 285017220 /nfs/dbraw/zinc/01/72/20/285017220.db2.gz JPHCISOEAXDSCB-SECBINFHSA-N 0 0 256.277 2.595 20 5 CFBDRN CCN(C[C@@H](C)OC)c1cc(C)ccc1[N+](=O)[O-] ZINC000360225408 285017499 /nfs/dbraw/zinc/01/74/99/285017499.db2.gz PPXBEUGRBXUJBF-LLVKDONJSA-N 0 0 252.314 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CCO[C@@H]2C2CC2)c1 ZINC000450407809 383395590 /nfs/dbraw/zinc/39/55/90/383395590.db2.gz JDLRDHIBIKEPHS-LSDHHAIUSA-N 0 0 299.330 2.544 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000340086107 383350597 /nfs/dbraw/zinc/35/05/97/383350597.db2.gz YPVDGSVEWAWUKB-MNOVXSKESA-N 0 0 262.309 2.761 20 5 CFBDRN O=C(Nc1ccccc1O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000340102299 383355093 /nfs/dbraw/zinc/35/50/93/383355093.db2.gz UXPWTMFNPLOWQE-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CC34CC4)ccc2c1 ZINC000425276853 383357445 /nfs/dbraw/zinc/35/74/45/383357445.db2.gz WNDIYOCPMLKLML-GFCCVEGCSA-N 0 0 255.277 2.529 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000568138494 383361096 /nfs/dbraw/zinc/36/10/96/383361096.db2.gz PXBSYIORHQRRNK-ZYHUDNBSSA-N 0 0 280.299 2.659 20 5 CFBDRN Cc1nn(C)c(N(C)C[C@H](C)c2ccccc2)c1[N+](=O)[O-] ZINC000340152053 383363391 /nfs/dbraw/zinc/36/33/91/383363391.db2.gz KEMJFOJANZWXLF-NSHDSACASA-N 0 0 288.351 2.877 20 5 CFBDRN CC1(CNC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000340191189 383375484 /nfs/dbraw/zinc/37/54/84/383375484.db2.gz IHUIHZRJLNFTBP-UHFFFAOYSA-N 0 0 287.319 2.535 20 5 CFBDRN CCN(CC1CC1)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000480052802 383414760 /nfs/dbraw/zinc/41/47/60/383414760.db2.gz AZRJNAIQWSDDBX-UHFFFAOYSA-N 0 0 291.351 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC[NH+](CC3(F)CC3)CC2)cc1 ZINC000525977783 383415083 /nfs/dbraw/zinc/41/50/83/383415083.db2.gz JXAYYYMYTQYMCC-UHFFFAOYSA-N 0 0 294.326 2.940 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCCC=C(C)C ZINC000480980768 383423488 /nfs/dbraw/zinc/42/34/88/383423488.db2.gz CBSZGPLUJIUVCY-UHFFFAOYSA-N 0 0 278.308 2.690 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CC[C@H](O)CC1 ZINC000252704001 383428886 /nfs/dbraw/zinc/42/88/86/383428886.db2.gz LTTOVKZMNDWGBW-XYPYZODXSA-N 0 0 250.298 2.619 20 5 CFBDRN CC(=O)c1c(C)cccc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000526101768 383430600 /nfs/dbraw/zinc/43/06/00/383430600.db2.gz YEEFWJYKRALYCC-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000340589758 383481073 /nfs/dbraw/zinc/48/10/73/383481073.db2.gz YRLVXLWJOZMQAF-LLVKDONJSA-N 0 0 280.324 2.904 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(CCc1cscn1)CC2 ZINC000450484665 383431219 /nfs/dbraw/zinc/43/12/19/383431219.db2.gz MAQRMWUBWBVDTE-UHFFFAOYSA-N 0 0 289.360 2.652 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@@H](C)[C@H](C)C2)c1 ZINC000252772391 383433409 /nfs/dbraw/zinc/43/34/09/383433409.db2.gz VHSXGOMLJMCUGO-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CCc1cccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000340477795 383435652 /nfs/dbraw/zinc/43/56/52/383435652.db2.gz NRSKIEIKXZSFSF-UHFFFAOYSA-N 0 0 259.265 2.738 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2c3ccccc3C[C@@H]2O)n1 ZINC000359084297 291826993 /nfs/dbraw/zinc/82/69/93/291826993.db2.gz QDYXQZHUTDUIRC-UONOGXRCSA-N 0 0 299.330 2.677 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CCC[C@@H]2F)c([N+](=O)[O-])c1 ZINC000450542053 383453685 /nfs/dbraw/zinc/45/36/85/383453685.db2.gz GGKSLMUWIWENQG-WDEREUQCSA-N 0 0 296.298 2.613 20 5 CFBDRN CC(C)CCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340538010 383464610 /nfs/dbraw/zinc/46/46/10/383464610.db2.gz OIMXDSXQZRKGOZ-SNVBAGLBSA-N 0 0 267.329 2.868 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000485505304 383464746 /nfs/dbraw/zinc/46/47/46/383464746.db2.gz LVESYOFOSVSVRN-ZSBIGDGJSA-N 0 0 261.281 2.515 20 5 CFBDRN CN(Cc1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CCSC1 ZINC000340579189 383476298 /nfs/dbraw/zinc/47/62/98/383476298.db2.gz OUJXYCWMQYMWHM-MRVPVSSYSA-N 0 0 288.319 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](F)C2)cc1OC(F)F ZINC000450603217 383476795 /nfs/dbraw/zinc/47/67/95/383476795.db2.gz GHLZSIMEUMSXDJ-SSDOTTSWSA-N 0 0 276.214 2.744 20 5 CFBDRN CC(C)C[C@@H]1OCCC[C@@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000480524917 383417750 /nfs/dbraw/zinc/41/77/50/383417750.db2.gz JVJUEQPGLNXVDN-STQMWFEESA-N 0 0 279.340 2.995 20 5 CFBDRN C/C=C\CS(=O)(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000255550876 383484500 /nfs/dbraw/zinc/48/45/00/383484500.db2.gz ZGIPCYSDFCPUJF-UMBAGQNISA-N 0 0 269.322 2.647 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(COC)CCCC1 ZINC000450920160 383550048 /nfs/dbraw/zinc/55/00/48/383550048.db2.gz UDDFOYWJRDGFKQ-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1C[C@H]1C(C)C ZINC000450805636 383497121 /nfs/dbraw/zinc/49/71/21/383497121.db2.gz CSRRHBXGKSHWKI-JQWIXIFHSA-N 0 0 291.351 2.658 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1C[C@H]1C(C)C ZINC000450811148 383499217 /nfs/dbraw/zinc/49/92/17/383499217.db2.gz NDQCMQHWEJQIDS-QWRGUYRKSA-N 0 0 266.345 2.824 20 5 CFBDRN Cc1cccc(N2CC[C@@H]3C[C@@H](O)CC[C@@H]3C2)c1[N+](=O)[O-] ZINC000450812154 383499586 /nfs/dbraw/zinc/49/95/86/383499586.db2.gz MRWHONZGWVWRGZ-MCIONIFRSA-N 0 0 290.363 2.891 20 5 CFBDRN COCC(C)(C)CCCNc1ncc([N+](=O)[O-])cc1C ZINC000340916047 383503368 /nfs/dbraw/zinc/50/33/68/383503368.db2.gz NGECBFXGTMJAOB-UHFFFAOYSA-N 0 0 281.356 2.585 20 5 CFBDRN Cc1cc(COc2ccc(Cl)cc2[N+](=O)[O-])ncn1 ZINC000488238514 383506914 /nfs/dbraw/zinc/50/69/14/383506914.db2.gz XBMQKRSTQVYNFG-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CCOC(=O)C(CC)(CC)NCc1ccccc1[N+](=O)[O-] ZINC000429279318 383508698 /nfs/dbraw/zinc/50/86/98/383508698.db2.gz LBCFBTXGEZTIRM-UHFFFAOYSA-N 0 0 294.351 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1CCC[C@@H](CO)C1 ZINC000340969542 383513800 /nfs/dbraw/zinc/51/38/00/383513800.db2.gz LHXZEYYFCFQKMS-GHMZBOCLSA-N 0 0 282.315 2.945 20 5 CFBDRN Cc1cc(NCc2nccc(C(F)F)n2)ncc1[N+](=O)[O-] ZINC000340975260 383515917 /nfs/dbraw/zinc/51/59/17/383515917.db2.gz SSZITLUYYLWGIF-UHFFFAOYSA-N 0 0 295.249 2.638 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@@H](CO)C2)n1 ZINC000340983670 383518808 /nfs/dbraw/zinc/51/88/08/383518808.db2.gz RCQFFSRDOROEFZ-NWDGAFQWSA-N 0 0 279.340 2.509 20 5 CFBDRN CCC1(CNc2c(F)cccc2[N+](=O)[O-])COC1 ZINC000450849578 383520506 /nfs/dbraw/zinc/52/05/06/383520506.db2.gz XFKLTGYSEHPGEA-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN CC[C@@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])[C@@H](O)CC ZINC000450857105 383523827 /nfs/dbraw/zinc/52/38/27/383523827.db2.gz IJMFSIXOGJUFFD-MNOVXSKESA-N 0 0 267.329 2.568 20 5 CFBDRN CC(C)n1cc(OCCOc2ccccc2[N+](=O)[O-])cn1 ZINC000569743040 383533518 /nfs/dbraw/zinc/53/35/18/383533518.db2.gz FCGYFSZMOJJWSX-UHFFFAOYSA-N 0 0 291.307 2.830 20 5 CFBDRN CC(C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429476084 383537030 /nfs/dbraw/zinc/53/70/30/383537030.db2.gz USHCIXASZUAZNM-UHFFFAOYSA-N 0 0 251.282 2.824 20 5 CFBDRN CC(=O)c1ccc(OCC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000450891769 383537872 /nfs/dbraw/zinc/53/78/72/383537872.db2.gz LHDGSUPLYKRIAF-LLVKDONJSA-N 0 0 279.292 2.603 20 5 CFBDRN C[C@@H]1CCC(=O)[C@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC000450900381 383541872 /nfs/dbraw/zinc/54/18/72/383541872.db2.gz GZOXEAHYIWOXRJ-PRHODGIISA-N 0 0 267.256 2.870 20 5 CFBDRN CC(C)c1nnc(CCNc2ncccc2[N+](=O)[O-])s1 ZINC000488751011 383573234 /nfs/dbraw/zinc/57/32/34/383573234.db2.gz IBFIACNRYCMLJO-UHFFFAOYSA-N 0 0 293.352 2.619 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000570137374 383574346 /nfs/dbraw/zinc/57/43/46/383574346.db2.gz MRFHXYLVLVMXEG-JSGCOSHPSA-N 0 0 291.351 2.686 20 5 CFBDRN CC(=O)c1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000429869485 383575020 /nfs/dbraw/zinc/57/50/20/383575020.db2.gz VYJAETLGEQMKFJ-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN C[C@@H](c1csnn1)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000450976546 383575547 /nfs/dbraw/zinc/57/55/47/383575547.db2.gz QZSWGJYINIWONG-JTQLQIEISA-N 0 0 292.364 2.682 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429903185 383577200 /nfs/dbraw/zinc/57/72/00/383577200.db2.gz QDSRAWDXGAKOQE-WDEREUQCSA-N 0 0 276.336 2.645 20 5 CFBDRN COC(=O)c1cc(N[C@@H]2CC[C@H]2C)cc(C)c1[N+](=O)[O-] ZINC000570233816 383585674 /nfs/dbraw/zinc/58/56/74/383585674.db2.gz PARJYLBDQDIERN-PRHODGIISA-N 0 0 278.308 2.900 20 5 CFBDRN CC(C)[C@H]1N(c2ncccc2[N+](=O)[O-])CC12CCOCC2 ZINC000570300668 383591628 /nfs/dbraw/zinc/59/16/28/383591628.db2.gz BXXFAPCELSHWKI-CYBMUJFWSA-N 0 0 291.351 2.631 20 5 CFBDRN CC(C)[C@@H]1N(c2ncccc2[N+](=O)[O-])CC12CCOCC2 ZINC000570300669 383591935 /nfs/dbraw/zinc/59/19/35/383591935.db2.gz BXXFAPCELSHWKI-ZDUSSCGKSA-N 0 0 291.351 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@H](F)C2)n1 ZINC000570326907 383594305 /nfs/dbraw/zinc/59/43/05/383594305.db2.gz LFVBQVSKQDZXHC-UWVGGRQHSA-N 0 0 253.277 2.991 20 5 CFBDRN CCc1occc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000430830867 383604682 /nfs/dbraw/zinc/60/46/82/383604682.db2.gz RCMCAOVCCJRMFS-UHFFFAOYSA-N 0 0 288.303 2.989 20 5 CFBDRN CCOc1cc(N2CCCC[C@@H]2COC)ccc1[N+](=O)[O-] ZINC000450929319 383554577 /nfs/dbraw/zinc/55/45/77/383554577.db2.gz CBDVCHBGWQKSKG-CYBMUJFWSA-N 0 0 294.351 2.999 20 5 CFBDRN COC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000450951252 383564819 /nfs/dbraw/zinc/56/48/19/383564819.db2.gz XFCOVIOXQAAAHY-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1ccc(C)nc1 ZINC000429802949 383570352 /nfs/dbraw/zinc/57/03/52/383570352.db2.gz LKYWISMDUPQGTN-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1nc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c(C)o1 ZINC000431241611 383640632 /nfs/dbraw/zinc/64/06/32/383640632.db2.gz DUXJTORCKWJJRB-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CO[C@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C12CCC2 ZINC000570898159 383644925 /nfs/dbraw/zinc/64/49/25/383644925.db2.gz NXUFABDWAUNRRL-STQMWFEESA-N 0 0 291.351 2.692 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC1CC(F)(F)C1 ZINC000570985006 383652893 /nfs/dbraw/zinc/65/28/93/383652893.db2.gz AXYPIYQXGCUPAG-UHFFFAOYSA-N 0 0 258.224 2.813 20 5 CFBDRN CC(C)c1ccc(C[N@@H+]2CC[C@@H](C(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000263430482 383665773 /nfs/dbraw/zinc/66/57/73/383665773.db2.gz RZKLLRWKVSKVGF-GFCCVEGCSA-N 0 0 292.335 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC2CCC2)c(F)c1 ZINC000572661100 383804548 /nfs/dbraw/zinc/80/45/48/383804548.db2.gz GMDOKJPZDHRDEU-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN CCC(C)(CC)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000264946443 383806724 /nfs/dbraw/zinc/80/67/24/383806724.db2.gz XEFNSUSYUCYDBJ-UHFFFAOYSA-N 0 0 290.323 2.780 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC23CC3)c1 ZINC000424988084 533010054 /nfs/dbraw/zinc/01/00/54/533010054.db2.gz OUEQFIJQHWTJNJ-LLVKDONJSA-N 0 0 278.333 2.599 20 5 CFBDRN CCOC[C@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000264979752 383810983 /nfs/dbraw/zinc/81/09/83/383810983.db2.gz AAJZVPICOGJNGN-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@H]3CCCOC3)n2)c1 ZINC000265015125 383815784 /nfs/dbraw/zinc/81/57/84/383815784.db2.gz QWXRWVGKTAGACX-JTQLQIEISA-N 0 0 275.264 2.539 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H](COC)C(C)C)cc1[N+](=O)[O-] ZINC000531069972 383816227 /nfs/dbraw/zinc/81/62/27/383816227.db2.gz DFSBWXJCNWGVTR-ZDUSSCGKSA-N 0 0 294.351 2.558 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000264770727 383781571 /nfs/dbraw/zinc/78/15/71/383781571.db2.gz GAXQLYLAMDSYJY-BMIGLBTASA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H](Cn1cc([N+](=O)[O-])c(C2CC2)n1)C(F)(F)F ZINC000436209958 383788229 /nfs/dbraw/zinc/78/82/29/383788229.db2.gz MMDGFURVBPNHQY-LURJTMIESA-N 0 0 263.219 2.867 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1CCSCC1 ZINC000264842707 383789354 /nfs/dbraw/zinc/78/93/54/383789354.db2.gz KDULCBMKAHCBOE-UHFFFAOYSA-N 0 0 280.349 2.985 20 5 CFBDRN CC(C)(C(=O)N1CCC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000530849228 383797503 /nfs/dbraw/zinc/79/75/03/383797503.db2.gz HPESXIGLOTWDFU-UHFFFAOYSA-N 0 0 276.336 2.883 20 5 CFBDRN CN(C)C(=O)[C@@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000265125799 383839075 /nfs/dbraw/zinc/83/90/75/383839075.db2.gz LFMALORTJLSKLU-DGCLKSJQSA-N 0 0 291.351 2.654 20 5 CFBDRN COC1CCC(N(C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000265236777 383869190 /nfs/dbraw/zinc/86/91/90/383869190.db2.gz SCXUDSTYDQHWCR-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN CCc1cccc(Oc2c([N+](=O)[O-])c(C)nn2C)c1 ZINC000265237063 383869461 /nfs/dbraw/zinc/86/94/61/383869461.db2.gz IATINORPPFTRJI-UHFFFAOYSA-N 0 0 261.281 2.991 20 5 CFBDRN COc1ccc(CN(C)c2nccc(C)c2[N+](=O)[O-])cc1 ZINC000265240098 383871695 /nfs/dbraw/zinc/87/16/95/383871695.db2.gz OPIZPOCVCDEFNJ-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CCC(C)(CC)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000265093421 383831553 /nfs/dbraw/zinc/83/15/53/383831553.db2.gz XWJYVZKWGHEOCY-UHFFFAOYSA-N 0 0 256.327 2.965 20 5 CFBDRN CCc1noc(Cc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000265407489 383908000 /nfs/dbraw/zinc/90/80/00/383908000.db2.gz WBCAVNHTSLKCNX-UHFFFAOYSA-N 0 0 267.672 2.784 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CC[C@H](C)O1 ZINC000454141984 383912912 /nfs/dbraw/zinc/91/29/12/383912912.db2.gz HQDSMIDYNVBKHQ-JQWIXIFHSA-N 0 0 293.323 2.592 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@@H]1CC=CCC1 ZINC000265428013 383913390 /nfs/dbraw/zinc/91/33/90/383913390.db2.gz DRGUPQBDGQLSCN-CYBMUJFWSA-N 0 0 299.330 2.774 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000265481659 383929458 /nfs/dbraw/zinc/92/94/58/383929458.db2.gz DFRFFDVIFUPUHS-ZETCQYMHSA-N 0 0 295.730 2.858 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCO[C@@H]1CCCCO1 ZINC000281059570 195088108 /nfs/dbraw/zinc/08/81/08/195088108.db2.gz YNVJLJMLHJRNFZ-CYBMUJFWSA-N 0 0 295.339 2.643 20 5 CFBDRN CC(C)CN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531634841 383902735 /nfs/dbraw/zinc/90/27/35/383902735.db2.gz KNGLIGNRXZVOLC-UHFFFAOYSA-N 0 0 279.340 2.744 20 5 CFBDRN CC[C@@H](C)[C@@H]1CCCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000265696746 383979295 /nfs/dbraw/zinc/97/92/95/383979295.db2.gz PCNDNVVHXROISH-KOLCDFICSA-N 0 0 294.355 2.667 20 5 CFBDRN C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000265716867 383984103 /nfs/dbraw/zinc/98/41/03/383984103.db2.gz QHIOMFLPWKIYHF-JTQLQIEISA-N 0 0 276.336 2.787 20 5 CFBDRN Cc1ccc(C)c(NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000531881166 383936950 /nfs/dbraw/zinc/93/69/50/383936950.db2.gz QFWNRRKMLJDFQE-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531881262 383937716 /nfs/dbraw/zinc/93/77/16/383937716.db2.gz JWAJVWCLWNILLX-AWEZNQCLSA-N 0 0 297.314 2.716 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000438082205 383943346 /nfs/dbraw/zinc/94/33/46/383943346.db2.gz SPONJLWEAMIAFX-IGJMFERPSA-N 0 0 280.299 2.752 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000438082207 383943812 /nfs/dbraw/zinc/94/38/12/383943812.db2.gz SPONJLWEAMIAFX-JRKPZEMJSA-N 0 0 280.299 2.752 20 5 CFBDRN C[C@H](F)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000454245646 383961618 /nfs/dbraw/zinc/96/16/18/383961618.db2.gz NYCZBUYIHMOOOH-ZETCQYMHSA-N 0 0 273.239 2.604 20 5 CFBDRN CC1(C)CCC[C@H](CNC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000454343049 384001216 /nfs/dbraw/zinc/00/12/16/384001216.db2.gz GHDZLNSCQUEXAJ-JTQLQIEISA-N 0 0 279.340 2.869 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C(CC)CC)C2CC2)c1[N+](=O)[O-] ZINC000573646324 383967652 /nfs/dbraw/zinc/96/76/52/383967652.db2.gz AGOKLCFZMZAUMN-UHFFFAOYSA-N 0 0 294.355 2.674 20 5 CFBDRN Cc1nc(N[C@@H](C)c2cnn(C(C)C)c2)ccc1[N+](=O)[O-] ZINC000532110238 383976030 /nfs/dbraw/zinc/97/60/30/383976030.db2.gz GRGLILOOIGWKCN-JTQLQIEISA-N 0 0 289.339 2.671 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]1C ZINC000532391576 384023341 /nfs/dbraw/zinc/02/33/41/384023341.db2.gz CJJTZOSLIISDJV-CKYFFXLPSA-N 0 0 277.324 2.544 20 5 CFBDRN Cc1cc(C(=O)N(C)CCCOC(C)C)cc([N+](=O)[O-])c1 ZINC000265800485 384004928 /nfs/dbraw/zinc/00/49/28/384004928.db2.gz QMWKNYGANOKPHY-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN CCCN(C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1)C(C)C ZINC000573931413 384015524 /nfs/dbraw/zinc/01/55/24/384015524.db2.gz UOCWSYVIGNHPFP-UHFFFAOYSA-N 0 0 294.351 2.793 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1C[C@@H]1C ZINC000439922957 384049962 /nfs/dbraw/zinc/04/99/62/384049962.db2.gz BZCHYJVDXIWVLN-UWVGGRQHSA-N 0 0 293.323 2.771 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000265966269 384037888 /nfs/dbraw/zinc/03/78/88/384037888.db2.gz ZKBJIYWADYKODH-NWDGAFQWSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCOCCC(C)C)c1 ZINC000266068883 384068300 /nfs/dbraw/zinc/06/83/00/384068300.db2.gz LLASJPOOUBULFA-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1nn(C)c(NC[C@@H](C)Cc2cccs2)c1[N+](=O)[O-] ZINC000266215505 384117701 /nfs/dbraw/zinc/11/77/01/384117701.db2.gz GYVDPGIPRPBGQF-VIFPVBQESA-N 0 0 294.380 2.989 20 5 CFBDRN Cc1cc(NC(=O)NC2CC(C)(F)C2)ccc1[N+](=O)[O-] ZINC000455087779 384136627 /nfs/dbraw/zinc/13/66/27/384136627.db2.gz YHFBUHSYAMNQFX-UHFFFAOYSA-N 0 0 281.287 2.915 20 5 CFBDRN CC1(C)CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000266284120 384136618 /nfs/dbraw/zinc/13/66/18/384136618.db2.gz BCMREUDNCASDTF-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN CNC(=O)c1ccc(N2CCC[C@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000266239336 384124878 /nfs/dbraw/zinc/12/48/78/384124878.db2.gz KXUFCUJDPFGNOA-QWRGUYRKSA-N 0 0 291.351 2.579 20 5 CFBDRN COc1cc(NCCc2ccc(O)cc2)ccc1[N+](=O)[O-] ZINC000111047735 533034375 /nfs/dbraw/zinc/03/43/75/533034375.db2.gz KFCZDAVTRSTKAK-UHFFFAOYSA-N 0 0 288.303 2.964 20 5 CFBDRN CC(C)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000266252716 384128592 /nfs/dbraw/zinc/12/85/92/384128592.db2.gz STMQTIVOWQJJOA-UHFFFAOYSA-N 0 0 276.214 2.752 20 5 CFBDRN COc1cc(NC[C@@H](O)c2ccc(C)o2)ccc1[N+](=O)[O-] ZINC000266299046 384140194 /nfs/dbraw/zinc/14/01/94/384140194.db2.gz YITPWTPHQHFNIM-GFCCVEGCSA-N 0 0 292.291 2.650 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@H](c2ccco2)C1 ZINC000266438819 384175384 /nfs/dbraw/zinc/17/53/84/384175384.db2.gz GGAQINPBFLBQQQ-MNOVXSKESA-N 0 0 290.323 2.899 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCC[C@H](F)C1 ZINC000574882793 384160325 /nfs/dbraw/zinc/16/03/25/384160325.db2.gz WFIYFJQMYKAOIX-LBPRGKRZSA-N 0 0 282.315 2.927 20 5 CFBDRN Cc1cc(C(=O)NCCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000266484413 384185056 /nfs/dbraw/zinc/18/50/56/384185056.db2.gz FSGREBQUXKJOMZ-UHFFFAOYSA-N 0 0 290.241 2.976 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1N1CCC[C@@H](O)C1 ZINC000343075673 285088248 /nfs/dbraw/zinc/08/82/48/285088248.db2.gz AXDLKPLOWHVQPO-MRVPVSSYSA-N 0 0 291.134 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCCc2n[nH]cc21 ZINC000425448974 285088686 /nfs/dbraw/zinc/08/86/86/285088686.db2.gz SDVPOPQWSMONMO-UHFFFAOYSA-N 0 0 292.726 2.924 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCN(C3CCC3)CC2)c(F)c1 ZINC000269638584 285093878 /nfs/dbraw/zinc/09/38/78/285093878.db2.gz NKBUGJRNYXYNBU-UHFFFAOYSA-N 0 0 297.305 2.548 20 5 CFBDRN C[C@H]1CC(O)C[C@H](C)N1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000277126819 384207536 /nfs/dbraw/zinc/20/75/36/384207536.db2.gz RWKSAUYAPOKFES-UWVGGRQHSA-N 0 0 298.770 2.982 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC2(CC2)C1 ZINC000277199502 384229195 /nfs/dbraw/zinc/22/91/95/384229195.db2.gz FPKCNKGTRLCHQY-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2COC)C[C@H](C)O1 ZINC000267001979 384276997 /nfs/dbraw/zinc/27/69/97/384276997.db2.gz FRUATRGFWUAEKH-SMDDNHRTSA-N 0 0 294.351 2.745 20 5 CFBDRN Cc1cc(NC[C@](C)(O)c2ccccc2)ncc1[N+](=O)[O-] ZINC000266829900 384243359 /nfs/dbraw/zinc/24/33/59/384243359.db2.gz GYYDOQHIZZWTBP-HNNXBMFYSA-N 0 0 287.319 2.618 20 5 CFBDRN Cc1cc(NCC(C)(C)C[C@@H](C)O)ncc1[N+](=O)[O-] ZINC000266839289 384245588 /nfs/dbraw/zinc/24/55/88/384245588.db2.gz LHDUZXCJFVQMHB-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN CN(C(=O)NCCc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000266839762 384245608 /nfs/dbraw/zinc/24/56/08/384245608.db2.gz UZIAWGRLCFZBSS-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000277453879 384305974 /nfs/dbraw/zinc/30/59/74/384305974.db2.gz KAFNSJXSYHQJLX-ZYHUDNBSSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCO[C@@H]1C(C)C ZINC000267200679 384322498 /nfs/dbraw/zinc/32/24/98/384322498.db2.gz JIRNZCOKPVECPY-DGCLKSJQSA-N 0 0 279.340 2.771 20 5 CFBDRN CC[C@H](C)c1nnc([C@H](C)Nc2ncccc2[N+](=O)[O-])[nH]1 ZINC000277501880 384321028 /nfs/dbraw/zinc/32/10/28/384321028.db2.gz VJMHGORMFSGBBX-IUCAKERBSA-N 0 0 290.327 2.795 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@]1(C)CCCC[C@@H]1O ZINC000267029665 384282468 /nfs/dbraw/zinc/28/24/68/384282468.db2.gz AUFBHVHZWQHYQN-JSGCOSHPSA-N 0 0 279.340 2.651 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)CC[C@H]1C ZINC000277396051 384290299 /nfs/dbraw/zinc/29/02/99/384290299.db2.gz GLJMZSNYXSTPPB-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1C[C@@H]2C[C@H]1CS2 ZINC000413450669 285102869 /nfs/dbraw/zinc/10/28/69/285102869.db2.gz HRWUZLMDGQPIFD-QWRGUYRKSA-N 0 0 287.344 2.837 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000267322624 384358176 /nfs/dbraw/zinc/35/81/76/384358176.db2.gz HMCCKGAQONXTAH-JTQLQIEISA-N 0 0 295.364 2.920 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CC[C@H]3CCOC3)n2)c1 ZINC000267550028 384412078 /nfs/dbraw/zinc/41/20/78/384412078.db2.gz GCEYCWXQEQWFDA-JTQLQIEISA-N 0 0 289.291 2.614 20 5 CFBDRN CC[C@H](C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000277710237 384383264 /nfs/dbraw/zinc/38/32/64/384383264.db2.gz NLVAEXYGUZSGBE-IMTBSYHQSA-N 0 0 294.229 2.895 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@H]1CNc1ccc([N+](=O)[O-])cn1 ZINC000267741113 384454789 /nfs/dbraw/zinc/45/47/89/384454789.db2.gz WTRBTIXCEMSZSF-FZMZJTMJSA-N 0 0 279.340 2.853 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@@H]1C ZINC000277993352 384456239 /nfs/dbraw/zinc/45/62/39/384456239.db2.gz NJDPXABDPFEOBK-ONGXEEELSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@H](OC)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000267673884 384439694 /nfs/dbraw/zinc/43/96/94/384439694.db2.gz AJGSMBZSKWJFST-MFKMUULPSA-N 0 0 280.324 2.505 20 5 CFBDRN CCO[C@H](CNc1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000277928694 384442945 /nfs/dbraw/zinc/44/29/45/384442945.db2.gz QRRULVZGVXPXJH-CYBMUJFWSA-N 0 0 288.307 2.575 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCCc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000267756486 384459394 /nfs/dbraw/zinc/45/93/94/384459394.db2.gz PUHHAKKJCVOYDF-NEPJUHHUSA-N 0 0 291.351 2.577 20 5 CFBDRN C[C@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CCO1 ZINC000278199539 384496564 /nfs/dbraw/zinc/49/65/64/384496564.db2.gz PLWUVVRPCMHROP-YUMQZZPRSA-N 0 0 295.320 2.989 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CCO1 ZINC000278199526 384496845 /nfs/dbraw/zinc/49/68/45/384496845.db2.gz PLWUVVRPCMHROP-HTQZYQBOSA-N 0 0 295.320 2.989 20 5 CFBDRN O=C(C[C@H]1CCCCO1)Nc1ccc([N+](=O)[O-])cc1F ZINC000267960857 384499099 /nfs/dbraw/zinc/49/90/99/384499099.db2.gz LJFDKGNKWXPCAN-SNVBAGLBSA-N 0 0 282.271 2.632 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2c3ccccc3C[C@@H]2O)c1 ZINC000267995714 384505700 /nfs/dbraw/zinc/50/57/00/384505700.db2.gz ABBWGURODLKNEN-JKSUJKDBSA-N 0 0 284.315 2.973 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000352290240 220301704 /nfs/dbraw/zinc/30/17/04/220301704.db2.gz PJDXLRHSMAYSPD-NSHDSACASA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1cnc(Nc2cn(C)nc2C(C)C)c([N+](=O)[O-])c1 ZINC000281138648 195120534 /nfs/dbraw/zinc/12/05/34/195120534.db2.gz ZMIHVRBWWQPHNX-UHFFFAOYSA-N 0 0 275.312 2.899 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCC(C)(C)O1 ZINC000278279799 384516251 /nfs/dbraw/zinc/51/62/51/384516251.db2.gz PZQIRNQJCLHTFH-LLVKDONJSA-N 0 0 279.292 2.766 20 5 CFBDRN C[C@]1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CCCO1 ZINC000268047680 384517310 /nfs/dbraw/zinc/51/73/10/384517310.db2.gz NGSCOIGENOJTGW-CYBMUJFWSA-N 0 0 275.264 2.670 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Sc2nnc(N(C)C)s2)c1 ZINC000268054231 384518682 /nfs/dbraw/zinc/51/86/82/384518682.db2.gz VEPXUDAVJNJDPT-UHFFFAOYSA-N 0 0 296.377 2.972 20 5 CFBDRN CC(C)c1nn(C)cc1Nc1ccc([N+](=O)[O-])cn1 ZINC000281143339 195121717 /nfs/dbraw/zinc/12/17/17/195121717.db2.gz ZTFJZLFWDRVPQB-UHFFFAOYSA-N 0 0 261.285 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC(n2ccnc2)CC1 ZINC000302821961 285118014 /nfs/dbraw/zinc/11/80/14/285118014.db2.gz RTRNQSRXBRJRON-UHFFFAOYSA-N 0 0 290.298 2.772 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC(c2cc[nH]n2)CC1 ZINC000302790305 285118090 /nfs/dbraw/zinc/11/80/90/285118090.db2.gz GEBVNXQQSVWJPN-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000268155955 384547991 /nfs/dbraw/zinc/54/79/91/384547991.db2.gz GCIYNVSSQWPDGU-PELKAZGASA-N 0 0 286.303 2.561 20 5 CFBDRN COCCOC(=O)CS[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000278381042 384549656 /nfs/dbraw/zinc/54/96/56/384549656.db2.gz QPYJPIMXVOBWLC-SNVBAGLBSA-N 0 0 299.348 2.579 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H](C)CCOC)ccc1[N+](=O)[O-] ZINC000268171019 384551901 /nfs/dbraw/zinc/55/19/01/384551901.db2.gz QFQFNRAAITVDMP-JTQLQIEISA-N 0 0 296.323 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCc3ccccc3C2)cn1 ZINC000345163996 285125030 /nfs/dbraw/zinc/12/50/30/285125030.db2.gz QAPJVUPCSLJIEL-UHFFFAOYSA-N 0 0 269.304 2.943 20 5 CFBDRN Cc1cc(NCCCO[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000268257491 384582630 /nfs/dbraw/zinc/58/26/30/384582630.db2.gz QRDWRCVNBVTJGI-CYBMUJFWSA-N 0 0 280.324 2.511 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000413030390 533078387 /nfs/dbraw/zinc/07/83/87/533078387.db2.gz VCTVMKHVUNOZMD-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCC=C(F)C1)CCN2 ZINC000278760860 384595214 /nfs/dbraw/zinc/59/52/14/384595214.db2.gz NQEHOXSQFYYPDX-UHFFFAOYSA-N 0 0 263.272 2.626 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2C)O1 ZINC000268316449 384600115 /nfs/dbraw/zinc/60/01/15/384600115.db2.gz JHQIMNXNINIYRF-YPMHNXCESA-N 0 0 278.308 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](c3ccco3)C2)nc1 ZINC000365217767 285130837 /nfs/dbraw/zinc/13/08/37/285130837.db2.gz SCKSSPWHQGJTNM-JTQLQIEISA-N 0 0 259.265 2.577 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ncccc3C2)c(F)c1 ZINC000371007500 285132010 /nfs/dbraw/zinc/13/20/10/285132010.db2.gz VKHIZTWEFWKIKV-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCc3c[nH]nc32)cc1 ZINC000271615613 285134801 /nfs/dbraw/zinc/13/48/01/285134801.db2.gz KWMUZOAQILBORH-GFCCVEGCSA-N 0 0 258.281 2.807 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000279663343 384712999 /nfs/dbraw/zinc/71/29/99/384712999.db2.gz CTSLCXTYKSXBSY-ZYHUDNBSSA-N 0 0 284.381 2.782 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2Cc3ccccc3[C@@H]2O)c(F)c1 ZINC000170982189 285135979 /nfs/dbraw/zinc/13/59/79/285135979.db2.gz YEFDHVRSICOUET-CABCVRRESA-N 0 0 288.278 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@H]3CC=CCC3)nc2c1 ZINC000094772041 285141447 /nfs/dbraw/zinc/14/14/47/285141447.db2.gz NGLNHWVUTJRUOP-VIFPVBQESA-N 0 0 258.281 2.992 20 5 CFBDRN COc1cc(OC[C@@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000280024000 384852741 /nfs/dbraw/zinc/85/27/41/384852741.db2.gz VEEDZGPJHFLLCN-ONGXEEELSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1cc(OCC(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000280025650 384855338 /nfs/dbraw/zinc/85/53/38/384855338.db2.gz VISJWNRCLOGIMP-UHFFFAOYSA-N 0 0 267.281 2.597 20 5 CFBDRN CCN(c1cc(C)c([N+](=O)[O-])cc1F)[C@@H]1CCOC1 ZINC000359968479 285143863 /nfs/dbraw/zinc/14/38/63/285143863.db2.gz QGMWOMLTWBRMRI-SNVBAGLBSA-N 0 0 268.288 2.658 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCc1nccs1 ZINC000280158047 384907156 /nfs/dbraw/zinc/90/71/56/384907156.db2.gz BQJYSSJQHVPCMA-UHFFFAOYSA-N 0 0 295.368 2.621 20 5 CFBDRN Cc1cc(N[C@@H]2[C@H]3CCO[C@H]3C23CCC3)ncc1[N+](=O)[O-] ZINC000269951977 384916595 /nfs/dbraw/zinc/91/65/95/384916595.db2.gz RTWXGGZCMMESFT-LERXQTSPSA-N 0 0 289.335 2.668 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)[C@@H](C)C1 ZINC000280298884 384966196 /nfs/dbraw/zinc/96/61/96/384966196.db2.gz FOYLXQFBTMSBJC-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1cn(C[C@H](O)c2ccc(F)cc2Cl)nc1[N+](=O)[O-] ZINC000280349974 384986154 /nfs/dbraw/zinc/98/61/54/384986154.db2.gz IALWHZNPBJRLIW-NSHDSACASA-N 0 0 299.689 2.626 20 5 CFBDRN CC(C)n1ccc(NC(=O)Cc2ccccc2[N+](=O)[O-])n1 ZINC000280379735 384996936 /nfs/dbraw/zinc/99/69/36/384996936.db2.gz FLHOVBDXDNRSJW-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN CC1=CCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)CC1 ZINC000270162533 384998492 /nfs/dbraw/zinc/99/84/92/384998492.db2.gz JQNNLMYVGZNVNI-UHFFFAOYSA-N 0 0 287.319 2.636 20 5 CFBDRN CC(C)CSCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000270165302 385000193 /nfs/dbraw/zinc/00/01/93/385000193.db2.gz UFENEFKDRMTSJQ-UHFFFAOYSA-N 0 0 288.394 2.775 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC=C(C(F)(F)F)CC1 ZINC000270178285 385003590 /nfs/dbraw/zinc/00/35/90/385003590.db2.gz GBKAVNJWPIMMAE-UHFFFAOYSA-N 0 0 290.197 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1C[C@H]3C[C@H]3C1)CCN2 ZINC000425308100 285146681 /nfs/dbraw/zinc/14/66/81/285146681.db2.gz UTRSNFSZRBFOGB-ILWJIGKKSA-N 0 0 259.309 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3Cc4ccccc4C3)nc2c1 ZINC000447692599 285151026 /nfs/dbraw/zinc/15/10/26/285151026.db2.gz GPIWNCIEWAMAEO-UHFFFAOYSA-N 0 0 280.287 2.991 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000270347358 385064631 /nfs/dbraw/zinc/06/46/31/385064631.db2.gz NHDNBADPBCYOPX-ZETCQYMHSA-N 0 0 272.326 2.767 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000280591609 385071556 /nfs/dbraw/zinc/07/15/56/385071556.db2.gz QCMCERUOUIEYSN-SNVBAGLBSA-N 0 0 294.355 2.525 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(-c3ccncc3)n2)c1 ZINC000013921218 285152517 /nfs/dbraw/zinc/15/25/17/285152517.db2.gz RFFQUMUQJUTSBW-UHFFFAOYSA-N 0 0 268.232 2.707 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000280734766 385133458 /nfs/dbraw/zinc/13/34/58/385133458.db2.gz NPKDOWUBVCTJML-SNVBAGLBSA-N 0 0 278.308 2.636 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC=C(C)C1 ZINC000280646758 385100127 /nfs/dbraw/zinc/10/01/27/385100127.db2.gz JFFQNUGTKZBPCA-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000289176649 197254239 /nfs/dbraw/zinc/25/42/39/197254239.db2.gz VPAUZTKFYDQQBF-ZDUSSCGKSA-N 0 0 299.758 2.632 20 5 CFBDRN C[C@H](NC(=O)C1SCCS1)c1cccc([N+](=O)[O-])c1 ZINC000270448099 385107269 /nfs/dbraw/zinc/10/72/69/385107269.db2.gz BYCQBLLATHHBIE-QMMMGPOBSA-N 0 0 298.389 2.578 20 5 CFBDRN Cc1cnc(N[C@H](C)c2nnc(C(C)C)[nH]2)c([N+](=O)[O-])c1 ZINC000270621113 385166977 /nfs/dbraw/zinc/16/69/77/385166977.db2.gz CIEPVJAGKVXZHC-SECBINFHSA-N 0 0 290.327 2.713 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@H]1CCC(F)(F)C1 ZINC000280842246 385175878 /nfs/dbraw/zinc/17/58/78/385175878.db2.gz YYPHIGGICZSDRA-SNVBAGLBSA-N 0 0 298.289 2.689 20 5 CFBDRN CO[C@H](Cn1cnc([N+](=O)[O-])c1)c1ccc(Cl)cc1 ZINC000282194194 385243368 /nfs/dbraw/zinc/24/33/68/385243368.db2.gz KZKGWRVJVXUILZ-LLVKDONJSA-N 0 0 281.699 2.832 20 5 CFBDRN CO[C@@H](Cn1nccc1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000282222408 385252074 /nfs/dbraw/zinc/25/20/74/385252074.db2.gz OAEVYNVICGJRKY-NSHDSACASA-N 0 0 281.699 2.832 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(c1cccc(F)n1)C2 ZINC000301834947 285157417 /nfs/dbraw/zinc/15/74/17/285157417.db2.gz TXZBSZFICOJQQB-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281769963 385203029 /nfs/dbraw/zinc/20/30/29/385203029.db2.gz JZAZCSUMFDHCHK-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCO[C@@H]2CCCCO2)c1 ZINC000282484984 385323869 /nfs/dbraw/zinc/32/38/69/385323869.db2.gz TZPSQTFYHZDKAE-CYBMUJFWSA-N 0 0 267.281 2.517 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282412271 385305272 /nfs/dbraw/zinc/30/52/72/385305272.db2.gz LCJRGABOXTYPIF-MRVPVSSYSA-N 0 0 286.328 2.980 20 5 CFBDRN CC(C)c1cccc(NC(=O)c2nn(C)cc2[N+](=O)[O-])c1 ZINC000271055887 385322505 /nfs/dbraw/zinc/32/25/05/385322505.db2.gz USMXZXPNQSRQHJ-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2CCCO[C@H]2C)cc1[N+](=O)[O-] ZINC000282285699 385270015 /nfs/dbraw/zinc/27/00/15/385270015.db2.gz BQIKQZZGCOWMIU-VHSXEESVSA-N 0 0 296.298 2.796 20 5 CFBDRN CC[C@@](C)(OC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282283557 385270188 /nfs/dbraw/zinc/27/01/88/385270188.db2.gz BKCYQXAYQIEINW-CYBMUJFWSA-N 0 0 284.287 2.796 20 5 CFBDRN Cc1cc(C)nc(N(C)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000282286503 385272020 /nfs/dbraw/zinc/27/20/20/385272020.db2.gz QCYHCERQNHNUOY-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN Cc1cn(CCCOCCc2ccccc2)nc1[N+](=O)[O-] ZINC000282288405 385272684 /nfs/dbraw/zinc/27/26/84/385272684.db2.gz QHBHFLHTNBCDDX-UHFFFAOYSA-N 0 0 289.335 2.749 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCc2ccccc2[C@@H]1CO ZINC000133862940 285160909 /nfs/dbraw/zinc/16/09/09/285160909.db2.gz QQOORFKRZXBHMT-KRWDZBQOSA-N 0 0 298.342 2.687 20 5 CFBDRN CCSCCCNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000282400508 385300845 /nfs/dbraw/zinc/30/08/45/385300845.db2.gz CURNFFQKGMQZDP-UHFFFAOYSA-N 0 0 297.380 2.537 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@@H]1CC1(C)C ZINC000282502590 385328855 /nfs/dbraw/zinc/32/88/55/385328855.db2.gz RZNCMJFFFRMSIC-CYBMUJFWSA-N 0 0 291.351 2.577 20 5 CFBDRN CC(C)(C)[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)CCO1 ZINC000271079858 385331227 /nfs/dbraw/zinc/33/12/27/385331227.db2.gz ZKVVIOAPCBTMPU-GXSJLCMTSA-N 0 0 296.323 2.511 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000271081933 385331233 /nfs/dbraw/zinc/33/12/33/385331233.db2.gz JHEWODUHEXOTJF-PRHODGIISA-N 0 0 286.303 2.561 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCC(C)(C)C ZINC000282554024 385341243 /nfs/dbraw/zinc/34/12/43/385341243.db2.gz XKLNAYTZBALSJA-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000282607130 385351888 /nfs/dbraw/zinc/35/18/88/385351888.db2.gz JBROGBYEARYWEY-DTWKUNHWSA-N 0 0 299.710 2.973 20 5 CFBDRN CC(C)(C)SCCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000282693052 385371234 /nfs/dbraw/zinc/37/12/34/385371234.db2.gz RSJVLEUTXNRZGE-UHFFFAOYSA-N 0 0 290.772 2.942 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CSC2(C)C)cccc1[N+](=O)[O-] ZINC000282694648 385372361 /nfs/dbraw/zinc/37/23/61/385372361.db2.gz SAYWMRQEVITXCP-LLVKDONJSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1cc([C@@H](C)NCc2ccccc2[N+](=O)[O-])no1 ZINC000282840225 385398196 /nfs/dbraw/zinc/39/81/96/385398196.db2.gz SSFZBDBLVYZWFO-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H]2C)c1 ZINC000282847661 385398634 /nfs/dbraw/zinc/39/86/34/385398634.db2.gz NKKBKFHXAUBGNI-GXFFZTMASA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000272000974 385423015 /nfs/dbraw/zinc/42/30/15/385423015.db2.gz RUEZNJDIGFDZQL-GZMMTYOYSA-N 0 0 294.282 2.678 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000272026731 385430437 /nfs/dbraw/zinc/43/04/37/385430437.db2.gz RBDKREAPCVIOIW-TVQRCGJNSA-N 0 0 295.295 2.873 20 5 CFBDRN COc1cc(N[C@H](C)[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272055085 385437052 /nfs/dbraw/zinc/43/70/52/385437052.db2.gz YGJABNXRRPXZMD-ZWNOBZJWSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000272250939 385488134 /nfs/dbraw/zinc/48/81/34/385488134.db2.gz ZOOXBOBKPSAPOR-SMDDNHRTSA-N 0 0 292.335 2.591 20 5 CFBDRN COCCC[C@@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000272223800 385479106 /nfs/dbraw/zinc/47/91/06/385479106.db2.gz NXQADZMFVSVEKX-NEPJUHHUSA-N 0 0 294.351 2.835 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)[C@@H]1CCCCO1 ZINC000272225867 385480269 /nfs/dbraw/zinc/48/02/69/385480269.db2.gz WSSYXUUIGXLYFM-FZMZJTMJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cnccc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283657186 385484995 /nfs/dbraw/zinc/48/49/95/385484995.db2.gz QPTVDEFDCDOALT-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288921721 197193124 /nfs/dbraw/zinc/19/31/24/197193124.db2.gz VBLBESBPLBUGDQ-GHMZBOCLSA-N 0 0 277.324 2.779 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000283681106 385487802 /nfs/dbraw/zinc/48/78/02/385487802.db2.gz ZEJNCTYREKEZKW-MISXGVKJSA-N 0 0 293.319 2.760 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@]3(CCSC3)C2)c(F)c1 ZINC000413320093 285173441 /nfs/dbraw/zinc/17/34/41/285173441.db2.gz PAUWATWUYXPVED-CYBMUJFWSA-N 0 0 297.355 2.852 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@H]2C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000283739962 385495029 /nfs/dbraw/zinc/49/50/29/385495029.db2.gz IZSSSQKSEFMBOW-JQWIXIFHSA-N 0 0 292.335 2.537 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2ccncc2)s1 ZINC000195508496 285173921 /nfs/dbraw/zinc/17/39/21/285173921.db2.gz YEZHMIOILCYBMI-JTQLQIEISA-N 0 0 276.321 2.788 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@]3(CCOC3)C2)c(Cl)c1 ZINC000450879593 285174370 /nfs/dbraw/zinc/17/43/70/285174370.db2.gz JTJXJLWSKQRUFH-ZDUSSCGKSA-N 0 0 297.742 2.650 20 5 CFBDRN Nc1ccc(NCCCOC2CCCC2)c([N+](=O)[O-])c1 ZINC000283788198 385499977 /nfs/dbraw/zinc/49/99/77/385499977.db2.gz RNSUTIWKCNYBGC-UHFFFAOYSA-N 0 0 279.340 2.938 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)Cc1ncc(Cl)n1C ZINC000272310261 385506332 /nfs/dbraw/zinc/50/63/32/385506332.db2.gz RVEPYGYVTAEVIS-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCO[C@H](c3ccsc3)C2)s1 ZINC000192487260 285175565 /nfs/dbraw/zinc/17/55/65/285175565.db2.gz WWDKDOWAETZQEI-VIFPVBQESA-N 0 0 297.361 2.691 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3cc(Cl)ccc32)nc1 ZINC000343286803 285178322 /nfs/dbraw/zinc/17/83/22/285178322.db2.gz NQBZKHKOXALXRD-UHFFFAOYSA-N 0 0 276.683 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC2=NCCS2)c([N+](=O)[O-])c1 ZINC000284060923 385529796 /nfs/dbraw/zinc/52/97/96/385529796.db2.gz JQGGFUQBYBKRRU-UHFFFAOYSA-N 0 0 299.333 2.839 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ncsc3C2)c(Cl)c1 ZINC000445665248 285179071 /nfs/dbraw/zinc/17/90/71/285179071.db2.gz PDGZLWHWAOSCME-UHFFFAOYSA-N 0 0 296.739 2.662 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3OCCC[C@H]3C2)c(Cl)c1 ZINC000301722724 285176835 /nfs/dbraw/zinc/17/68/35/285176835.db2.gz NUGJCPBTTGXMLY-CABZTGNLSA-N 0 0 297.742 2.649 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]([C@H]3CCOC3)C2)c(Cl)c1 ZINC000371008206 285176980 /nfs/dbraw/zinc/17/69/80/285176980.db2.gz XMLLUHRDIZKFIQ-UWVGGRQHSA-N 0 0 297.742 2.506 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]([C@@H]3CCOC3)C2)c(Cl)c1 ZINC000371008209 285177038 /nfs/dbraw/zinc/17/70/38/285177038.db2.gz XMLLUHRDIZKFIQ-VHSXEESVSA-N 0 0 297.742 2.506 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1 ZINC000286222822 385574250 /nfs/dbraw/zinc/57/42/50/385574250.db2.gz CDQQWRFOAWNLQT-GHMZBOCLSA-N 0 0 250.298 2.679 20 5 CFBDRN CON(CC(C)(C)C)c1cc(C)c([N+](=O)[O-])cn1 ZINC000286236879 385575579 /nfs/dbraw/zinc/57/55/79/385575579.db2.gz DACVIQCNJONMRE-UHFFFAOYSA-N 0 0 253.302 2.712 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CSc3ccccc32)nc1 ZINC000354560933 285183743 /nfs/dbraw/zinc/18/37/43/285183743.db2.gz DZNNPENSXHFWNS-JTQLQIEISA-N 0 0 274.305 2.644 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc(Br)cc2)nc1 ZINC000040454284 285184074 /nfs/dbraw/zinc/18/40/74/285184074.db2.gz UHMAHOZCAURGMW-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN Cc1nc(N2CCCCC[C@@H]2C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000286206101 385571914 /nfs/dbraw/zinc/57/19/14/385571914.db2.gz BZYXUQMSSXSKSU-WCQYABFASA-N 0 0 293.367 2.818 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCOCC(F)(F)C1 ZINC000288774008 197162743 /nfs/dbraw/zinc/16/27/43/197162743.db2.gz IPKBBANSYGDFLS-SNVBAGLBSA-N 0 0 286.278 2.623 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1NC1CC=CC1 ZINC000231630714 285187378 /nfs/dbraw/zinc/18/73/78/285187378.db2.gz AWJLJGDHQKXPRT-UHFFFAOYSA-N 0 0 284.113 2.883 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)O1 ZINC000272625266 385578673 /nfs/dbraw/zinc/57/86/73/385578673.db2.gz APLCXVFKEHGDOZ-GXTWGEPZSA-N 0 0 293.319 2.904 20 5 CFBDRN Cc1cc(N[C@@H](c2nncn2C)C(C)C)ccc1[N+](=O)[O-] ZINC000286387644 385605478 /nfs/dbraw/zinc/60/54/78/385605478.db2.gz GGFYJWVMNYMDOG-CYBMUJFWSA-N 0 0 289.339 2.841 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CCOC[C@@H]1C ZINC000286264614 385579899 /nfs/dbraw/zinc/57/98/99/385579899.db2.gz FTQLRFVWLVPFJO-NWDGAFQWSA-N 0 0 264.325 2.988 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272759388 385605517 /nfs/dbraw/zinc/60/55/17/385605517.db2.gz VIZVWPHDDAAEQS-SECBINFHSA-N 0 0 266.301 2.642 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000272704295 385591299 /nfs/dbraw/zinc/59/12/99/385591299.db2.gz WTPLVCBJHJHJAE-VHSXEESVSA-N 0 0 279.340 2.732 20 5 CFBDRN COc1ccc(NC(=O)N2CCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000272785840 385614443 /nfs/dbraw/zinc/61/44/43/385614443.db2.gz FPCRAERPBTYKQH-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(F)(F)F ZINC000288752204 197157956 /nfs/dbraw/zinc/15/79/56/197157956.db2.gz ZJBCWZKZQCWVDV-RXMQYKEDSA-N 0 0 296.632 2.929 20 5 CFBDRN C[C@H](CO)CCCNc1c(F)cccc1[N+](=O)[O-] ZINC000286435432 385618704 /nfs/dbraw/zinc/61/87/04/385618704.db2.gz IKDLRKVLGJIHIE-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN Cc1nc(C)c(COc2ccc(N)c([N+](=O)[O-])c2)s1 ZINC000288740342 197156616 /nfs/dbraw/zinc/15/66/16/197156616.db2.gz XGSRFABSMZXLIP-UHFFFAOYSA-N 0 0 279.321 2.829 20 5 CFBDRN C[S@@](=O)C1(CNc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000286445127 385622123 /nfs/dbraw/zinc/62/21/23/385622123.db2.gz MQVLWNDYSJPZQQ-GOSISDBHSA-N 0 0 288.756 2.571 20 5 CFBDRN COc1ccc(NC(=O)C2CC=CC2)cc1[N+](=O)[O-] ZINC000272832850 385628097 /nfs/dbraw/zinc/62/80/97/385628097.db2.gz RKHIAESXQRIOIK-UHFFFAOYSA-N 0 0 262.265 2.508 20 5 CFBDRN CO[C@@H](C)CCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000272841529 385630812 /nfs/dbraw/zinc/63/08/12/385630812.db2.gz TXGBLCWVSNRZMU-WDEREUQCSA-N 0 0 280.324 2.587 20 5 CFBDRN COc1ccc(NC(=O)N2CC[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000272854448 385634520 /nfs/dbraw/zinc/63/45/20/385634520.db2.gz QUPDCAQRWPKQDV-VHSXEESVSA-N 0 0 293.323 2.866 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1cccc(F)c1[N+](=O)[O-] ZINC000286499975 385635534 /nfs/dbraw/zinc/63/55/34/385635534.db2.gz WIUALURCQCASOM-UWVGGRQHSA-N 0 0 268.288 2.818 20 5 CFBDRN CO[C@@H](C)CCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000272764694 385607444 /nfs/dbraw/zinc/60/74/44/385607444.db2.gz RDTNYEACHGCIJD-VIFPVBQESA-N 0 0 277.280 2.612 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCCC(C)(C)C ZINC000426904520 533135597 /nfs/dbraw/zinc/13/55/97/533135597.db2.gz HHFQACHACSJYJI-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN C[C@H]1C[C@H](CNc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000286544494 385644687 /nfs/dbraw/zinc/64/46/87/385644687.db2.gz PSNSJRKNPYWPFK-VHSXEESVSA-N 0 0 268.288 2.961 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000286576835 385655816 /nfs/dbraw/zinc/65/58/16/385655816.db2.gz CGOIBZPTFQSWKG-ZDUSSCGKSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1cnc(CCN(C)c2ccc(N)cc2[N+](=O)[O-])s1 ZINC000286592019 385660521 /nfs/dbraw/zinc/66/05/21/385660521.db2.gz UCZKMQOXQLJLJP-UHFFFAOYSA-N 0 0 292.364 2.621 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2CCCSC2)c1[N+](=O)[O-] ZINC000286755909 385703859 /nfs/dbraw/zinc/70/38/59/385703859.db2.gz JIJIYESQFYTQBP-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000286772670 385706300 /nfs/dbraw/zinc/70/63/00/385706300.db2.gz QGDYIRVKYIPDRX-LBPRGKRZSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cc3n(n2)CCCC3)cc1 ZINC000286629991 385670336 /nfs/dbraw/zinc/67/03/36/385670336.db2.gz VCDIXIDCPPKPNL-UHFFFAOYSA-N 0 0 258.281 2.871 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CCCSC1 ZINC000286925446 385740882 /nfs/dbraw/zinc/74/08/82/385740882.db2.gz VDKZGQJETAAGQZ-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN COCC(C)(C)CCCNc1ncc([N+](=O)[O-])s1 ZINC000288628961 197131678 /nfs/dbraw/zinc/13/16/78/197131678.db2.gz WKTWWXBQBHLKLZ-UHFFFAOYSA-N 0 0 273.358 2.916 20 5 CFBDRN CC(C)O[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1(C)C ZINC000274323196 385766507 /nfs/dbraw/zinc/76/65/07/385766507.db2.gz ZHSUKZQGAUZZHM-WDEREUQCSA-N 0 0 296.323 2.510 20 5 CFBDRN CC(=O)c1cc(NC[C@H](C)C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000287197797 385801052 /nfs/dbraw/zinc/80/10/52/385801052.db2.gz HNHGBWQTTNDTAG-NXEZZACHSA-N 0 0 280.324 2.616 20 5 CFBDRN O=c1c(C(F)(F)F)cccn1Cc1ccccc1[N+](=O)[O-] ZINC000353696395 285205417 /nfs/dbraw/zinc/20/54/17/285205417.db2.gz ZUHYPGYDDPTZCW-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C(F)(F)F)cc([N+](=O)[O-])c1 ZINC000288562269 197113199 /nfs/dbraw/zinc/11/31/99/197113199.db2.gz JEDVJEGQWZVJLB-ZETCQYMHSA-N 0 0 276.214 2.584 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCC[C@H]1CCO ZINC000275007186 385911805 /nfs/dbraw/zinc/91/18/05/385911805.db2.gz IIIMQFCKVZDIIO-WCBMZHEXSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]2CCO)c1 ZINC000275068990 385925974 /nfs/dbraw/zinc/92/59/74/385925974.db2.gz YSRDKGSPPPFERE-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN CC[C@]1(C)CN(c2cc(OC)c([N+](=O)[O-])cc2F)CCO1 ZINC000276057527 385981797 /nfs/dbraw/zinc/98/17/97/385981797.db2.gz QBRODDAKWDQTRP-CQSZACIVSA-N 0 0 298.314 2.748 20 5 CFBDRN CC[C@@]1(C)CN(c2cc(OC)ccc2[N+](=O)[O-])CCO1 ZINC000276069408 385982356 /nfs/dbraw/zinc/98/23/56/385982356.db2.gz SBIWTASKIGMZOR-AWEZNQCLSA-N 0 0 280.324 2.609 20 5 CFBDRN CCOc1cc(OCc2nnc(C)s2)ccc1[N+](=O)[O-] ZINC000276627285 385997315 /nfs/dbraw/zinc/99/73/15/385997315.db2.gz VCUIFTIEMZTQDU-UHFFFAOYSA-N 0 0 295.320 2.732 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NS(=O)(=O)CCC1CC1 ZINC000276638953 385999535 /nfs/dbraw/zinc/99/95/35/385999535.db2.gz PHTGOTXKYTXLIV-UHFFFAOYSA-N 0 0 298.364 2.753 20 5 CFBDRN C[C@@H](NCc1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000288508511 197098590 /nfs/dbraw/zinc/09/85/90/197098590.db2.gz SOLBDXRAAJGOML-RXMQYKEDSA-N 0 0 254.233 2.697 20 5 CFBDRN CCN(CCOC)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000276719128 386024038 /nfs/dbraw/zinc/02/40/38/386024038.db2.gz ZILKFZGYJAEDRD-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN C[C@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000288479471 197089987 /nfs/dbraw/zinc/08/99/87/197089987.db2.gz CFCKMGYMZJEAQX-VHSXEESVSA-N 0 0 294.355 2.605 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)(C)O ZINC000276812891 386050836 /nfs/dbraw/zinc/05/08/36/386050836.db2.gz SJMSBCJDQBKEGP-LBPRGKRZSA-N 0 0 297.311 2.710 20 5 CFBDRN CC[C@@H](C)C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1C ZINC000277025402 386111125 /nfs/dbraw/zinc/11/11/25/386111125.db2.gz ISDRJTIGSGRHRI-MRVPVSSYSA-N 0 0 294.307 2.674 20 5 CFBDRN Cc1cc(SC[C@H]2CCCO2)ncc1[N+](=O)[O-] ZINC000276892462 386071755 /nfs/dbraw/zinc/07/17/55/386071755.db2.gz KJMGGHLCLVORJN-SECBINFHSA-N 0 0 254.311 2.569 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@@H](C)CF)cc1[N+](=O)[O-] ZINC000288427819 197075836 /nfs/dbraw/zinc/07/58/36/197075836.db2.gz XVWGZPBJMQIWOQ-VIFPVBQESA-N 0 0 268.288 2.806 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(C(C)(C)OC)no1 ZINC000276969693 386094112 /nfs/dbraw/zinc/09/41/12/386094112.db2.gz WTBAQBHAGWDMJU-UHFFFAOYSA-N 0 0 293.279 2.535 20 5 CFBDRN CC[C@@H](C)N(CCO)c1ccc([N+](=O)[O-])c(C)c1 ZINC000288388289 197064523 /nfs/dbraw/zinc/06/45/23/197064523.db2.gz FIPOWWZNVJIUGU-LLVKDONJSA-N 0 0 252.314 2.500 20 5 CFBDRN Nc1ccc(NCC2(CCO)CCCC2)c([N+](=O)[O-])c1 ZINC000288417333 197073153 /nfs/dbraw/zinc/07/31/53/197073153.db2.gz NPAKMTDGEXIKBW-UHFFFAOYSA-N 0 0 279.340 2.532 20 5 CFBDRN O=[N+]([O-])c1cc(CNCc2noc3c2CCCC3)cs1 ZINC000288354230 197057316 /nfs/dbraw/zinc/05/73/16/197057316.db2.gz CIBXBTUAJVFBDB-UHFFFAOYSA-N 0 0 293.348 2.813 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000288141413 197012876 /nfs/dbraw/zinc/01/28/76/197012876.db2.gz ALLOBZVFCQLTJI-CQSZACIVSA-N 0 0 282.315 2.723 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCc1cccs1 ZINC000288135551 197011798 /nfs/dbraw/zinc/01/17/98/197011798.db2.gz MVRNJVPBRRHSMA-UHFFFAOYSA-N 0 0 280.353 2.836 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@@H](C)C(C)C ZINC000288034691 196991260 /nfs/dbraw/zinc/99/12/60/196991260.db2.gz CYXCIQYBAHSJSR-VIFPVBQESA-N 0 0 254.334 2.600 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000425490740 533197239 /nfs/dbraw/zinc/19/72/39/533197239.db2.gz PLUMLNGBGAIZDU-BXKDBHETSA-N 0 0 275.308 2.508 20 5 CFBDRN Cc1ccc(NC(=O)C[C@H]2CCCC[C@@H]2O)cc1[N+](=O)[O-] ZINC000281292806 195184530 /nfs/dbraw/zinc/18/45/30/195184530.db2.gz YCAGPGFTJRPPNH-RISCZKNCSA-N 0 0 292.335 2.783 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC1(C)C ZINC000281467890 195252412 /nfs/dbraw/zinc/25/24/12/195252412.db2.gz TVIRFIFTPATSCV-SNVBAGLBSA-N 0 0 278.308 2.978 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H](C)[C@@H](C)O1 ZINC000408442585 297084575 /nfs/dbraw/zinc/08/45/75/297084575.db2.gz JVKNTJYONULTJL-HRDYMLBCSA-N 0 0 285.731 2.645 20 5 CFBDRN CCCCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000287010334 196757865 /nfs/dbraw/zinc/75/78/65/196757865.db2.gz PLDNXGSVAYLBSH-UHFFFAOYSA-N 0 0 252.299 2.812 20 5 CFBDRN O=C(NC[C@@H]1CCCSC1)Nc1cccc([N+](=O)[O-])c1 ZINC000287008655 196757414 /nfs/dbraw/zinc/75/74/14/196757414.db2.gz QGCKROJHCFPYMS-JTQLQIEISA-N 0 0 295.364 2.860 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000286826013 196713275 /nfs/dbraw/zinc/71/32/75/196713275.db2.gz YQPPIJIADYVACV-JSGCOSHPSA-N 0 0 278.308 2.513 20 5 CFBDRN CCc1ccc(C(=O)Nc2nccs2)cc1[N+](=O)[O-] ZINC000081809235 177864101 /nfs/dbraw/zinc/86/41/01/177864101.db2.gz GXTWQBKQONDLLU-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CCc1ccoc1 ZINC000177071027 533224895 /nfs/dbraw/zinc/22/48/95/533224895.db2.gz AQWUELARHKQGQK-UHFFFAOYSA-N 0 0 290.275 2.768 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC1(C)C ZINC000286643143 196665115 /nfs/dbraw/zinc/66/51/15/196665115.db2.gz HWWPGBJFMNXCAM-GFCCVEGCSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@H](CCCO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000094944780 184899493 /nfs/dbraw/zinc/89/94/93/184899493.db2.gz CZEJASVXJPOWBB-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CO[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000281661149 195325363 /nfs/dbraw/zinc/32/53/63/195325363.db2.gz KSBSPGYOVCWPRY-ONGXEEELSA-N 0 0 270.354 2.656 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2C)[C@@H](C)C1 ZINC000281752099 195365517 /nfs/dbraw/zinc/36/55/17/195365517.db2.gz JBMVQLKIFXYOCV-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)CCCO1 ZINC000281761029 195369620 /nfs/dbraw/zinc/36/96/20/195369620.db2.gz FNZWBMGPTYBNMF-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN CCOCC1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 ZINC000281795898 195384697 /nfs/dbraw/zinc/38/46/97/195384697.db2.gz OLWOYKRMIXMMMI-UHFFFAOYSA-N 0 0 289.291 2.713 20 5 CFBDRN C[S@](=O)C1(CNc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000281807231 195389243 /nfs/dbraw/zinc/38/92/43/195389243.db2.gz VSVITUBBCQPDFV-SFHVURJKSA-N 0 0 288.756 2.571 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H]1C ZINC000282104592 195505489 /nfs/dbraw/zinc/50/54/89/195505489.db2.gz ZNVJUHPPUWEVTA-PSASIEDQSA-N 0 0 279.296 2.523 20 5 CFBDRN Cc1cc(C)cc([C@H](O)CNc2ccc([N+](=O)[O-])cn2)c1 ZINC000282181644 195530140 /nfs/dbraw/zinc/53/01/40/195530140.db2.gz GHLXZYVQIBOUSK-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000282432649 195607636 /nfs/dbraw/zinc/60/76/36/195607636.db2.gz MMCURDAPELQYKD-VHSXEESVSA-N 0 0 296.298 2.796 20 5 CFBDRN CCSCCCNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000282774056 195704465 /nfs/dbraw/zinc/70/44/65/195704465.db2.gz WKYDWMYFKCFFJA-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN C[C@H](NC(=O)[C@H]1O[C@H](C)C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000283553222 195877484 /nfs/dbraw/zinc/87/74/84/195877484.db2.gz PEMDUUDCIDJEJO-MIJXAVMKSA-N 0 0 292.335 2.586 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ncnn2C(C)(C)C)c1 ZINC000283615700 195888031 /nfs/dbraw/zinc/88/80/31/195888031.db2.gz UVULHLMBXAALPP-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN CC[C@H](NC(=O)N1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000283737154 195909970 /nfs/dbraw/zinc/90/99/70/195909970.db2.gz XAYFQUSXUHSRPU-ZDUSSCGKSA-N 0 0 293.323 2.783 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1C[C@@H]2[C@H](C1)C2(C)C ZINC000283776803 195917188 /nfs/dbraw/zinc/91/71/88/195917188.db2.gz PQXRJJUOZRBULV-BETUJISGSA-N 0 0 274.320 2.890 20 5 CFBDRN COc1ncccc1COc1ccc([N+](=O)[O-])c(C)c1 ZINC000176254252 533263130 /nfs/dbraw/zinc/26/31/30/533263130.db2.gz FKJCESCUXCYVMI-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N[C@H]1CC12CC2 ZINC000425269894 533303104 /nfs/dbraw/zinc/30/31/04/533303104.db2.gz DGSLXYCALOLBKI-ZDUSSCGKSA-N 0 0 285.303 2.538 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC000414730156 533328381 /nfs/dbraw/zinc/32/83/81/533328381.db2.gz RNKALHLKNGDWJP-VIFPVBQESA-N 0 0 294.307 2.511 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413292000 533330421 /nfs/dbraw/zinc/33/04/21/533330421.db2.gz LAJVOROITBGVIR-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN Cc1nc(NCCC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000413338368 533595877 /nfs/dbraw/zinc/59/58/77/533595877.db2.gz OTMFEUWHGVTFDI-UHFFFAOYSA-N 0 0 271.267 2.567 20 5 CFBDRN Cc1nc(NCC(C)(C)c2cccnc2)ccc1[N+](=O)[O-] ZINC000413589217 533596423 /nfs/dbraw/zinc/59/64/23/533596423.db2.gz OZKYKMKRJOUCLB-UHFFFAOYSA-N 0 0 286.335 2.505 20 5 CFBDRN CSCCCCNc1ncc([N+](=O)[O-])cc1F ZINC000413159114 533558067 /nfs/dbraw/zinc/55/80/67/533558067.db2.gz SIKMUMUBZKZFTQ-UHFFFAOYSA-N 0 0 259.306 2.684 20 5 CFBDRN C[C@@H](Nc1nccc2ccc([N+](=O)[O-])cc21)[C@H](C)CO ZINC000413157170 533567226 /nfs/dbraw/zinc/56/72/26/533567226.db2.gz TXUYDRQETZTPLS-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN Cc1ncc(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC000341771214 130008524 /nfs/dbraw/zinc/00/85/24/130008524.db2.gz QXJVNBKMHYVONJ-QMMMGPOBSA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@H](NC(=O)COC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000341775071 130011340 /nfs/dbraw/zinc/01/13/40/130011340.db2.gz JAHYULOKTGHQCT-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1cncc(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC000341778996 130041982 /nfs/dbraw/zinc/04/19/82/130041982.db2.gz SIQPDGBSZGVZSW-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000341942805 130149915 /nfs/dbraw/zinc/14/99/15/130149915.db2.gz FOBLWVRFQVMUBS-WCQYABFASA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000342071260 130235829 /nfs/dbraw/zinc/23/58/29/130235829.db2.gz SHXRNJXCAPZLRL-SDBXPKJASA-N 0 0 298.289 2.720 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)CCCO1 ZINC000342140337 130257072 /nfs/dbraw/zinc/25/70/72/130257072.db2.gz VOFUPJRHJHJWEQ-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CCC1(NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCOCC1 ZINC000342098391 130259280 /nfs/dbraw/zinc/25/92/80/130259280.db2.gz ZWTZMCXWHVNUNC-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN COc1cc(C(=O)N(C)C2CCCC2)cc([N+](=O)[O-])c1C ZINC000191679298 130277830 /nfs/dbraw/zinc/27/78/30/130277830.db2.gz KWPLXSGDINCVOD-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2cc(F)c[nH]2)cc1[N+](=O)[O-] ZINC000342178046 130312493 /nfs/dbraw/zinc/31/24/93/130312493.db2.gz VIYLDPNAVSBXFW-SECBINFHSA-N 0 0 291.282 2.861 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000191901348 130325898 /nfs/dbraw/zinc/32/58/98/130325898.db2.gz IKPWWWVGIZRRAE-JTQLQIEISA-N 0 0 295.364 2.954 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000191936858 130332938 /nfs/dbraw/zinc/33/29/38/130332938.db2.gz IUMJTAMSYOQQSI-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1ccncc1-c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355682136 130336290 /nfs/dbraw/zinc/33/62/90/130336290.db2.gz WBHSPSZCLCJICZ-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000191948873 130337434 /nfs/dbraw/zinc/33/74/34/130337434.db2.gz DNNVTNPGOOITJE-SNVBAGLBSA-N 0 0 280.349 2.562 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1ccc(F)c(F)c1 ZINC000356554598 130389777 /nfs/dbraw/zinc/38/97/77/130389777.db2.gz YZQSLCRNANWRSN-UHFFFAOYSA-N 0 0 285.275 2.899 20 5 CFBDRN C[C@H]1CC[N@H+](CCOc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000192190389 130389847 /nfs/dbraw/zinc/38/98/47/130389847.db2.gz SKZIHEGVTCOEDY-LBPRGKRZSA-N 0 0 296.392 2.801 20 5 CFBDRN O=C1CCCCN1CCCNc1c(F)cccc1[N+](=O)[O-] ZINC000342215616 130390277 /nfs/dbraw/zinc/39/02/77/130390277.db2.gz BVKIMDLUGTUNCO-UHFFFAOYSA-N 0 0 295.314 2.548 20 5 CFBDRN CCC(C)(C)CNC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000192200860 130392943 /nfs/dbraw/zinc/39/29/43/130392943.db2.gz RLGGUSUUTNHDMG-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CCC(C)(C)CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000192201006 130393823 /nfs/dbraw/zinc/39/38/23/130393823.db2.gz AASCRROFVAOJGV-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN Cn1c(C(=O)NC(C)(C)c2cccs2)ccc1[N+](=O)[O-] ZINC000342260853 130443069 /nfs/dbraw/zinc/44/30/69/130443069.db2.gz HPTCSHSAVLMNDZ-UHFFFAOYSA-N 0 0 293.348 2.660 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2cnn(CC(F)(F)F)c2)nc1 ZINC000357384747 130457649 /nfs/dbraw/zinc/45/76/49/130457649.db2.gz UBRZMFRFOIJVTC-OWOJBTEDSA-N 0 0 298.224 2.919 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccc(F)cn1 ZINC000342361123 130475685 /nfs/dbraw/zinc/47/56/85/130475685.db2.gz NVJJELYKCHUMMB-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C)(C)[C@H]1C1CC1 ZINC000357576014 130493603 /nfs/dbraw/zinc/49/36/03/130493603.db2.gz COYLWDGBEZETJQ-LLVKDONJSA-N 0 0 278.356 2.744 20 5 CFBDRN Cc1csc(NC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000357673324 130498450 /nfs/dbraw/zinc/49/84/50/130498450.db2.gz UYZVFLNBADGBCJ-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN Cc1cnc(NCC2(C(F)F)CC2)c([N+](=O)[O-])c1 ZINC000359829811 130550687 /nfs/dbraw/zinc/55/06/87/130550687.db2.gz ISMSSDWKOXXXJZ-UHFFFAOYSA-N 0 0 257.240 2.755 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2Cc3ccccc32)cc1[N+](=O)[O-] ZINC000360005039 130573987 /nfs/dbraw/zinc/57/39/87/130573987.db2.gz QVBCMQZCNCZJDV-CYBMUJFWSA-N 0 0 298.298 2.882 20 5 CFBDRN Cc1cnc(CCN(C)c2cc(N)ccc2[N+](=O)[O-])s1 ZINC000193487769 130626605 /nfs/dbraw/zinc/62/66/05/130626605.db2.gz ZDWIAMJPNFFRIR-UHFFFAOYSA-N 0 0 292.364 2.621 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000220086318 130778887 /nfs/dbraw/zinc/77/88/87/130778887.db2.gz ZPYZKUIZRIHAOF-BDAKNGLRSA-N 0 0 284.287 2.722 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCO1 ZINC000220210903 130779236 /nfs/dbraw/zinc/77/92/36/130779236.db2.gz WEAYEKHKVDFCMY-WCBMZHEXSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1c(NC(=O)NCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000224748689 130805253 /nfs/dbraw/zinc/80/52/53/130805253.db2.gz HCZVYQSTQUDTOW-UHFFFAOYSA-N 0 0 277.202 2.587 20 5 CFBDRN COC(=O)C[C@H](C)Sc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890362 130855766 /nfs/dbraw/zinc/85/57/66/130855766.db2.gz YZALRBBAYSPQMX-LURJTMIESA-N 0 0 291.275 2.917 20 5 CFBDRN CC(C)(O)CCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000230181826 130893959 /nfs/dbraw/zinc/89/39/59/130893959.db2.gz KAPZKSNEBFAWRE-UHFFFAOYSA-N 0 0 259.689 2.788 20 5 CFBDRN Cc1ccnc(NC[C@@H](C)c2nccs2)c1[N+](=O)[O-] ZINC000230607502 130905183 /nfs/dbraw/zinc/90/51/83/130905183.db2.gz GAVQZJWZOQBORA-SECBINFHSA-N 0 0 278.337 2.970 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CC[C@@H](O)C2)ccc2ncccc21 ZINC000236553823 130959475 /nfs/dbraw/zinc/95/94/75/130959475.db2.gz IAZQDLCJZBBLCL-WDEREUQCSA-N 0 0 287.319 2.716 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H]2CC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000245817821 131089575 /nfs/dbraw/zinc/08/95/75/131089575.db2.gz SRCFVXWFSQPJMU-MGCOHNPYSA-N 0 0 294.355 2.579 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC[C@H](C)CC2)ccc1[N+](=O)[O-] ZINC000247134787 131100903 /nfs/dbraw/zinc/10/09/03/131100903.db2.gz MMZRFWSBWVSOOC-UMSPYCQHSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000247898329 131108128 /nfs/dbraw/zinc/10/81/28/131108128.db2.gz DRXYYUYAHZUOHS-QMMMGPOBSA-N 0 0 253.254 2.555 20 5 CFBDRN CC(C)CCCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000248238702 131112725 /nfs/dbraw/zinc/11/27/25/131112725.db2.gz DDBHECCQYIWSPF-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN COC[C@]1(C)CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000294084813 285482113 /nfs/dbraw/zinc/48/21/13/285482113.db2.gz AORIDTQKJVNBIA-CYBMUJFWSA-N 0 0 268.288 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@H](O)CC2)c(Cl)c1 ZINC000252702369 131137552 /nfs/dbraw/zinc/13/75/52/131137552.db2.gz HHMZSVPPJNUADA-CZMCAQCFSA-N 0 0 270.716 2.964 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(C)c2)[C@H]1OC ZINC000252761772 131138396 /nfs/dbraw/zinc/13/83/96/131138396.db2.gz DKYJGBVIQCPLTE-MRVWCRGKSA-N 0 0 280.324 2.508 20 5 CFBDRN Cc1ccc(OCCCNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000263655067 131302312 /nfs/dbraw/zinc/30/23/12/131302312.db2.gz CIKIFEYPEGXHHO-UHFFFAOYSA-N 0 0 290.323 2.518 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000263655227 131303297 /nfs/dbraw/zinc/30/32/97/131303297.db2.gz DBQQZIPWTVMVQY-MNOVXSKESA-N 0 0 277.324 2.707 20 5 CFBDRN CCC(C)(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000263786941 131330987 /nfs/dbraw/zinc/33/09/87/131330987.db2.gz PIQYXIZIAAIZRW-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN COc1ccc(CNC(=O)C[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000263900940 131356675 /nfs/dbraw/zinc/35/66/75/131356675.db2.gz WTYLQINJJHNXEC-NSHDSACASA-N 0 0 294.351 2.902 20 5 CFBDRN Cc1n[nH]c(C(=O)NCCCCC(C)(C)C)c1[N+](=O)[O-] ZINC000264207385 131412768 /nfs/dbraw/zinc/41/27/68/131412768.db2.gz IMALGLPOWPYGNW-UHFFFAOYSA-N 0 0 282.344 2.573 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000264320597 131432509 /nfs/dbraw/zinc/43/25/09/131432509.db2.gz UBVMUGNCOOGWFF-MFKMUULPSA-N 0 0 277.324 2.583 20 5 CFBDRN CCN(CC1CC1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000264879272 131516287 /nfs/dbraw/zinc/51/62/87/131516287.db2.gz XRPJBRHFRJYYCB-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@](C)(O)c1ccccc1 ZINC000265273864 131570611 /nfs/dbraw/zinc/57/06/11/131570611.db2.gz XUMCSXZSXRWYML-OAHLLOKOSA-N 0 0 287.319 2.618 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@](C)(O)c2ccco2)c1 ZINC000266224829 131704393 /nfs/dbraw/zinc/70/43/93/131704393.db2.gz ILVIRAZKYSTIOU-CQSZACIVSA-N 0 0 292.291 2.516 20 5 CFBDRN C[C@H](NC(=O)/C=C/C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000266280237 131711885 /nfs/dbraw/zinc/71/18/85/131711885.db2.gz UITHYTJINMAPAK-JARNTUPDSA-N 0 0 260.293 2.738 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCN(c3cccc(O)c3)CC2)cc1 ZINC000266572933 131752645 /nfs/dbraw/zinc/75/26/45/131752645.db2.gz OZUXNOVTEOGASA-UHFFFAOYSA-N 0 0 299.330 2.627 20 5 CFBDRN Cc1cc(N2CCC(OCC(C)C)CC2)ncc1[N+](=O)[O-] ZINC000266811060 131781793 /nfs/dbraw/zinc/78/17/93/131781793.db2.gz GEVDXDSNMZCODU-UHFFFAOYSA-N 0 0 293.367 2.940 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000266926130 131799221 /nfs/dbraw/zinc/79/92/21/131799221.db2.gz QZTHZCDVQDCXJY-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN CC(C)c1noc(OCc2ccc([N+](=O)[O-])cc2F)n1 ZINC000267057237 131814935 /nfs/dbraw/zinc/81/49/35/131814935.db2.gz PWPUJTHBVFWFCL-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCCSC2)c1[N+](=O)[O-] ZINC000267194923 131833441 /nfs/dbraw/zinc/83/34/41/131833441.db2.gz CAMMWIWANSVVIS-SNVBAGLBSA-N 0 0 280.349 2.529 20 5 CFBDRN O=[N+]([O-])c1cc(NCCCCCO)ccc1Cl ZINC000267266191 131845268 /nfs/dbraw/zinc/84/52/68/131845268.db2.gz YGRFIZNVNQMPFG-UHFFFAOYSA-N 0 0 258.705 2.823 20 5 CFBDRN CC1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000267355471 131858953 /nfs/dbraw/zinc/85/89/53/131858953.db2.gz BEWDMOPWUNLQNH-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000267756488 131912883 /nfs/dbraw/zinc/91/28/83/131912883.db2.gz PUHHAKKJCVOYDF-NWDGAFQWSA-N 0 0 291.351 2.577 20 5 CFBDRN CC1CC(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000267828484 131921901 /nfs/dbraw/zinc/92/19/01/131921901.db2.gz WGCCRWQEASTJQP-VOTSOKGWSA-N 0 0 260.293 2.523 20 5 CFBDRN CCC1(CNC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)CC1 ZINC000267904381 131931956 /nfs/dbraw/zinc/93/19/56/131931956.db2.gz SXDMUQHWRVFGNW-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000268246109 131984490 /nfs/dbraw/zinc/98/44/90/131984490.db2.gz FTHHUXHXBYINMW-LBPRGKRZSA-N 0 0 282.315 2.985 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]3OCC[C@H]32)c1 ZINC000268417486 132006441 /nfs/dbraw/zinc/00/64/41/132006441.db2.gz VVYVUXMOWNYJIT-ZOWXZIJZSA-N 0 0 292.335 2.973 20 5 CFBDRN CCN(Cc1ccccn1)c1ccc([N+](=O)[O-])c(C)n1 ZINC000268497093 132016867 /nfs/dbraw/zinc/01/68/67/132016867.db2.gz PFLHJJAPNHHUHH-UHFFFAOYSA-N 0 0 272.308 2.720 20 5 CFBDRN COC(C)(C)C[C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000268516996 132020746 /nfs/dbraw/zinc/02/07/46/132020746.db2.gz UCKDZAIHEABHMH-VIFPVBQESA-N 0 0 267.329 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCO[C@H]2C(C)C)n1 ZINC000268793028 132067186 /nfs/dbraw/zinc/06/71/86/132067186.db2.gz GZVIPUQPHOYJAG-AAEUAGOBSA-N 0 0 279.340 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCCOC(C)C)n1 ZINC000268842755 132075197 /nfs/dbraw/zinc/07/51/97/132075197.db2.gz WFJAZYGXOBXXNZ-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCOC2(CCC2)C1 ZINC000268947759 132092037 /nfs/dbraw/zinc/09/20/37/132092037.db2.gz HHWBMRGVFQWYPF-LLVKDONJSA-N 0 0 277.324 2.812 20 5 CFBDRN CSC[C@H]1CCCN1c1ncc(C)cc1[N+](=O)[O-] ZINC000268969545 132094583 /nfs/dbraw/zinc/09/45/83/132094583.db2.gz NWMKAGYJTIHGPB-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000269084419 132110233 /nfs/dbraw/zinc/11/02/33/132110233.db2.gz JHFBBMNUXZJBKX-LBPRGKRZSA-N 0 0 294.376 2.871 20 5 CFBDRN CC[C@@H](OC)C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000269197258 132129154 /nfs/dbraw/zinc/12/91/54/132129154.db2.gz NIWBIKVFEZXVKD-CQSZACIVSA-N 0 0 292.335 2.511 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000269198319 132129742 /nfs/dbraw/zinc/12/97/42/132129742.db2.gz LHONHXZPENKIAQ-SECBINFHSA-N 0 0 266.297 2.705 20 5 CFBDRN COc1cc(Nc2c(C)nn(C)c2C)ccc1[N+](=O)[O-] ZINC000269312024 132153232 /nfs/dbraw/zinc/15/32/32/132153232.db2.gz ZCCKMOKFHUXXDF-UHFFFAOYSA-N 0 0 276.296 2.697 20 5 CFBDRN Cc1cc(NCCCc2ccccn2)ncc1[N+](=O)[O-] ZINC000269421450 132168179 /nfs/dbraw/zinc/16/81/79/132168179.db2.gz ZHSMTPYPLVKKQB-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN COCCC1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 ZINC000269468073 132174664 /nfs/dbraw/zinc/17/46/64/132174664.db2.gz TZTRYJCGVRWSAZ-UHFFFAOYSA-N 0 0 289.291 2.713 20 5 CFBDRN CO[C@@]1(C)C[C@H](N(C)c2ncc([N+](=O)[O-])s2)C1(C)C ZINC000192473875 413058246 /nfs/dbraw/zinc/05/82/46/413058246.db2.gz SWHLGLJHLAAPRR-UFBFGSQYSA-N 0 0 285.369 2.691 20 5 CFBDRN CC(C)CCO[C@@H]1CCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000192481528 413058253 /nfs/dbraw/zinc/05/82/53/413058253.db2.gz WFOVKFMQGRKPDH-SNVBAGLBSA-N 0 0 285.369 2.693 20 5 CFBDRN CCCCNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000298756220 393457796 /nfs/dbraw/zinc/45/77/96/393457796.db2.gz YLINOCGWSZRVNP-JTQLQIEISA-N 0 0 265.313 2.755 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1CC12CCCCC2 ZINC000180696083 393484299 /nfs/dbraw/zinc/48/42/99/393484299.db2.gz YFLTUJPXPYWCOX-AWEZNQCLSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000301955011 393483879 /nfs/dbraw/zinc/48/38/79/393483879.db2.gz KMVRVPKAISBWNB-JGPRNRPPSA-N 0 0 265.313 2.666 20 5 CFBDRN COc1ccc(C)cc1CNc1ncccc1[N+](=O)[O-] ZINC000026728571 393496080 /nfs/dbraw/zinc/49/60/80/393496080.db2.gz JMLQLRQXFBKLDN-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=C(NCc1ccc(Cl)cn1)c1ccc([N+](=O)[O-])cc1 ZINC000181631159 393498171 /nfs/dbraw/zinc/49/81/71/393498171.db2.gz AXKIUBVKGKCFFL-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN O=C(NCc1ccc(Cl)cn1)c1ccccc1[N+](=O)[O-] ZINC000181663726 393499771 /nfs/dbraw/zinc/49/97/71/393499771.db2.gz LUUOJWXFYKHSEI-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN Cc1cc(N2CCC3(CCOCC3)[C@@H]2C)ncc1[N+](=O)[O-] ZINC000374937762 393503181 /nfs/dbraw/zinc/50/31/81/393503181.db2.gz SXFRYRQGPYSEFT-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc(N2CC[C@H]3OCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000376044758 393513733 /nfs/dbraw/zinc/51/37/33/393513733.db2.gz UUGXFODOPASAGJ-SMDDNHRTSA-N 0 0 262.309 2.518 20 5 CFBDRN CCCC[C@H](NCc1ccc([N+](=O)[O-])cc1C)C(=O)OC ZINC000187388825 393553920 /nfs/dbraw/zinc/55/39/20/393553920.db2.gz HAYRQENAFFDSES-AWEZNQCLSA-N 0 0 294.351 2.725 20 5 CFBDRN Cc1ccc(CNC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000045937169 393574558 /nfs/dbraw/zinc/57/45/58/393574558.db2.gz QADJNIRMQLUABD-UHFFFAOYSA-N 0 0 282.346 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC(C)(C)C(C)(C)O)c1 ZINC000393569459 393594228 /nfs/dbraw/zinc/59/42/28/393594228.db2.gz MGYOSZVRNJGIPO-UHFFFAOYSA-N 0 0 282.340 2.812 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000374423153 296495809 /nfs/dbraw/zinc/49/58/09/296495809.db2.gz UZHMGDORMROETC-WDEREUQCSA-N 0 0 280.299 2.597 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1c1ccc([N+](=O)[O-])cn1 ZINC000359867825 289006932 /nfs/dbraw/zinc/00/69/32/289006932.db2.gz BKDZBSOXMRIUQM-VXNVDRBHSA-N 0 0 275.230 2.767 20 5 CFBDRN O=C(C[C@H]1C=CCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000048807277 393602254 /nfs/dbraw/zinc/60/22/54/393602254.db2.gz WJUDZUXCBYBXRK-NSHDSACASA-N 0 0 260.293 2.567 20 5 CFBDRN CO[C@H]1CC[C@@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000191713431 393603950 /nfs/dbraw/zinc/60/39/50/393603950.db2.gz HPRZYDSTUZNETK-PWSUYJOCSA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccccc1Br ZINC000047169005 393585413 /nfs/dbraw/zinc/58/54/13/393585413.db2.gz ZSCUHPUMVJVBFF-UHFFFAOYSA-N 0 0 282.097 2.602 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000048930934 393611291 /nfs/dbraw/zinc/61/12/91/393611291.db2.gz SPJUBFXIMCKCNW-SNVBAGLBSA-N 0 0 279.340 2.945 20 5 CFBDRN Cc1cnc(N2CCC3(CCOCC3)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000408199707 393624851 /nfs/dbraw/zinc/62/48/51/393624851.db2.gz VXHJJVAWHCFJJY-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1nc(N2CCC[C@]3(CCCOC3)C2)ccc1[N+](=O)[O-] ZINC000408279948 393626031 /nfs/dbraw/zinc/62/60/31/393626031.db2.gz DLPFRZJBAZKYRG-HNNXBMFYSA-N 0 0 291.351 2.695 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@H]2CC(C)C)ccc1[N+](=O)[O-] ZINC000410553371 393630300 /nfs/dbraw/zinc/63/03/00/393630300.db2.gz LCJLHERMQSJNTG-GHMZBOCLSA-N 0 0 277.324 2.919 20 5 CFBDRN Cc1c(C(=O)N2C[C@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000335164854 393648089 /nfs/dbraw/zinc/64/80/89/393648089.db2.gz BXGWPQAOUCHXBL-ZJUUUORDSA-N 0 0 262.309 2.774 20 5 CFBDRN CCN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000193552636 393654186 /nfs/dbraw/zinc/65/41/86/393654186.db2.gz IUSLLSOYXCZLCD-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCOC1 ZINC000193514323 393654251 /nfs/dbraw/zinc/65/42/51/393654251.db2.gz SPNJCPNHDNTATD-VIFPVBQESA-N 0 0 299.323 2.822 20 5 CFBDRN COC[C@@H]1CCCCN1C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000411082530 393662374 /nfs/dbraw/zinc/66/23/74/393662374.db2.gz OXOGFNYJKSDFKK-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CCC(C)(C)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000193799004 393664314 /nfs/dbraw/zinc/66/43/14/393664314.db2.gz YRKDGWVTWIZUTP-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000193940920 393668095 /nfs/dbraw/zinc/66/80/95/393668095.db2.gz BJASIYFNZJIURK-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cccc(C(=O)NC(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000193932198 393668124 /nfs/dbraw/zinc/66/81/24/393668124.db2.gz OJBGVUGARNFZPM-UHFFFAOYSA-N 0 0 290.319 2.522 20 5 CFBDRN COC(=O)c1ccc(CSc2ccc([N+](=O)[O-])cn2)o1 ZINC000075321189 393672585 /nfs/dbraw/zinc/67/25/85/393672585.db2.gz OTIREICRLRIXIV-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000220119401 393761472 /nfs/dbraw/zinc/76/14/72/393761472.db2.gz VQCREHVPLBWJMX-HTQZYQBOSA-N 0 0 271.704 2.623 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1CCC[C@@](C)(C(=O)[O-])C1 ZINC000086783777 393755947 /nfs/dbraw/zinc/75/59/47/393755947.db2.gz PKLGDKDXBIIRBK-IAQYHMDHSA-N 0 0 292.335 2.843 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000086757529 393756082 /nfs/dbraw/zinc/75/60/82/393756082.db2.gz UOGKWBOKMSKDAE-VXGBXAGGSA-N 0 0 292.335 2.843 20 5 CFBDRN Cc1ccnc(NC(=O)CCc2cccc([N+](=O)[O-])c2)c1 ZINC000087060803 393756828 /nfs/dbraw/zinc/75/68/28/393756828.db2.gz JHDCNMQMFKFAFF-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN CC(C)C(CNC(=O)c1ccc([N+](=O)[O-])c([O-])c1)C(C)C ZINC000217316824 393757023 /nfs/dbraw/zinc/75/70/23/393757023.db2.gz FAUNMVKKXVGURH-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(F)cn1 ZINC000194459611 393696814 /nfs/dbraw/zinc/69/68/14/393696814.db2.gz ZLVZDOZDCDFTTA-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccc[nH]1 ZINC000194518938 393702455 /nfs/dbraw/zinc/70/24/55/393702455.db2.gz SQHQWOHNCHJAPB-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN C[C@H](CC(F)F)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000411372644 393704469 /nfs/dbraw/zinc/70/44/69/393704469.db2.gz VTCINHROSXMDOC-SECBINFHSA-N 0 0 286.278 2.845 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000335965833 393711493 /nfs/dbraw/zinc/71/14/93/393711493.db2.gz KJTNRUOREHIEKN-NXEZZACHSA-N 0 0 281.287 2.915 20 5 CFBDRN C[C@H](CC(F)F)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000411468936 393715753 /nfs/dbraw/zinc/71/57/53/393715753.db2.gz DSDDULREQNECIP-MRVPVSSYSA-N 0 0 272.251 2.502 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC(C1CC1)C1CC1 ZINC000194797215 393716514 /nfs/dbraw/zinc/71/65/14/393716514.db2.gz KTLAGKYJOWKJQV-UHFFFAOYSA-N 0 0 274.320 2.822 20 5 CFBDRN CS[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000336203917 393722670 /nfs/dbraw/zinc/72/26/70/393722670.db2.gz AQNXTAPGBCYIMK-QMMMGPOBSA-N 0 0 256.302 2.676 20 5 CFBDRN CC[C@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000194954687 393724958 /nfs/dbraw/zinc/72/49/58/393724958.db2.gz BFBBKFDHERLBKD-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1Cc2ccccc21 ZINC000195033327 393728416 /nfs/dbraw/zinc/72/84/16/393728416.db2.gz VPXMZYOKQDVUDM-CYBMUJFWSA-N 0 0 296.326 2.973 20 5 CFBDRN Cn1c(Cn2cc([N+](=O)[O-])c(C3CC3)n2)nc2ccccc21 ZINC000195055299 393729866 /nfs/dbraw/zinc/72/98/66/393729866.db2.gz PEDVIEJLNPQICO-UHFFFAOYSA-N 0 0 297.318 2.604 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000336351617 393730346 /nfs/dbraw/zinc/73/03/46/393730346.db2.gz NMSOZMQYLSBQKM-NXEZZACHSA-N 0 0 280.349 2.561 20 5 CFBDRN CC[C@H](C)C(=O)COc1ccc([N+](=O)[O-])cc1C ZINC000089692701 393768825 /nfs/dbraw/zinc/76/88/25/393768825.db2.gz OSYYGRNLDXHQOB-VIFPVBQESA-N 0 0 251.282 2.897 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccc(F)cc1 ZINC000091555571 393784406 /nfs/dbraw/zinc/78/44/06/393784406.db2.gz SOASWQFRRMWWKI-UHFFFAOYSA-N 0 0 278.287 2.871 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000457890122 393797438 /nfs/dbraw/zinc/79/74/38/393797438.db2.gz UVSSABJOMVTMBO-KLPPZKSPSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])C[C@H](C)N1C ZINC000338785911 393798574 /nfs/dbraw/zinc/79/85/74/393798574.db2.gz BWSOZBXCHVQIJQ-AOOOYVTPSA-N 0 0 283.759 2.777 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000457918383 393801341 /nfs/dbraw/zinc/80/13/41/393801341.db2.gz LSDQCGANIISIGO-UKRRQHHQSA-N 0 0 291.351 2.829 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000416073100 393863684 /nfs/dbraw/zinc/86/36/84/393863684.db2.gz NEFBRPRUNUREHL-GARJFASQSA-N 0 0 292.335 2.720 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)Cc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000416075870 393865057 /nfs/dbraw/zinc/86/50/57/393865057.db2.gz GBWZZPNISVGKQG-IJLUTSLNSA-N 0 0 276.336 2.640 20 5 CFBDRN Cc1c(CN2CCN(C)c3ncccc32)cccc1[N+](=O)[O-] ZINC000342544677 393866660 /nfs/dbraw/zinc/86/66/60/393866660.db2.gz WAJBWQMDEMQDHV-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)[C@@H](C)[C@@H]1C ZINC000416108287 393873154 /nfs/dbraw/zinc/87/31/54/393873154.db2.gz HFIUITMXEXOKGD-AXFHLTTASA-N 0 0 292.335 2.720 20 5 CFBDRN C[C@@H]1SCCN(C(=O)c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000118295506 393911269 /nfs/dbraw/zinc/91/12/69/393911269.db2.gz JNIYZTGEDIKUHS-UWVGGRQHSA-N 0 0 280.349 2.561 20 5 CFBDRN C[C@H]1CSC[C@@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000343610018 393889009 /nfs/dbraw/zinc/88/90/09/393889009.db2.gz MQIRZZCMYWMWSK-XPUUQOCRSA-N 0 0 296.377 2.763 20 5 CFBDRN CC(C)N(Cc1c(Cl)cccc1[N+](=O)[O-])C[C@@H](C)O ZINC000119014806 393912948 /nfs/dbraw/zinc/91/29/48/393912948.db2.gz VYMGFLHRWHNMGM-SNVBAGLBSA-N 0 0 286.759 2.839 20 5 CFBDRN CC(C)C[C@H]1COCCN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000113942675 393899264 /nfs/dbraw/zinc/89/92/64/393899264.db2.gz OHWZXUSCQZZEKM-LBPRGKRZSA-N 0 0 296.342 2.981 20 5 CFBDRN CO[C@](C)([C@@H](C)NC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000415942197 393843073 /nfs/dbraw/zinc/84/30/73/393843073.db2.gz IPKZGVJJPKSOJN-MEBBXXQBSA-N 0 0 292.335 2.528 20 5 CFBDRN CC[C@@]1(C)CN(c2cc([N+](=O)[O-])ccc2C(C)=O)CCO1 ZINC000340936883 393847758 /nfs/dbraw/zinc/84/77/58/393847758.db2.gz XITACSJHJJGVJV-HNNXBMFYSA-N 0 0 292.335 2.803 20 5 CFBDRN CSc1ccc(C(=O)NC[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416057735 393857759 /nfs/dbraw/zinc/85/77/59/393857759.db2.gz AKJPSQHTNWITSA-SNVBAGLBSA-N 0 0 292.360 2.847 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000458148548 393859362 /nfs/dbraw/zinc/85/93/62/393859362.db2.gz OSUCPAJEHPDSTB-GXTWGEPZSA-N 0 0 262.309 2.759 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CC23CC3)c1 ZINC000416066152 393860251 /nfs/dbraw/zinc/86/02/51/393860251.db2.gz HKRLCPJZQCLOJR-VIFPVBQESA-N 0 0 292.360 2.847 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000471950764 393925674 /nfs/dbraw/zinc/92/56/74/393925674.db2.gz ICXAZIQNRFKHBM-LBPRGKRZSA-N 0 0 276.336 2.933 20 5 CFBDRN COc1ccc(C(=O)N(C)[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000429231002 393946375 /nfs/dbraw/zinc/94/63/75/393946375.db2.gz NLNJUFPVAKWUGR-ZYHUDNBSSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])C(F)(F)F ZINC000348072070 393947320 /nfs/dbraw/zinc/94/73/20/393947320.db2.gz LQKUSCGIBKZLNU-JTQLQIEISA-N 0 0 290.241 2.974 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2C[C@@H](O)C1CCCCC1 ZINC000474977113 393948139 /nfs/dbraw/zinc/94/81/39/393948139.db2.gz ZKNXWXYAEAMQTC-MRXNPFEDSA-N 0 0 290.363 2.899 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2C[C@H](O)C1CCCCC1 ZINC000474977112 393948548 /nfs/dbraw/zinc/94/85/48/393948548.db2.gz ZKNXWXYAEAMQTC-INIZCTEOSA-N 0 0 290.363 2.899 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000475317830 393952922 /nfs/dbraw/zinc/95/29/22/393952922.db2.gz LHFFTJQQPYWPCE-SNVBAGLBSA-N 0 0 280.324 2.912 20 5 CFBDRN CSC(C)(C)CNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000263634667 393964148 /nfs/dbraw/zinc/96/41/48/393964148.db2.gz GNQJXUKHPLOMKG-HJWRWDBZSA-N 0 0 294.376 2.866 20 5 CFBDRN O=C(NC1CCC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000264568811 393968362 /nfs/dbraw/zinc/96/83/62/393968362.db2.gz WOLUVZPMVQOYAS-UHFFFAOYSA-N 0 0 260.249 2.623 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC23CCCC3)c1 ZINC000430219781 393969767 /nfs/dbraw/zinc/96/97/67/393969767.db2.gz PQLOPNUBLUOHTH-CYBMUJFWSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CC12CCCC2 ZINC000430229156 393970734 /nfs/dbraw/zinc/97/07/34/393970734.db2.gz FQOCKMZJTNTDLF-CYBMUJFWSA-N 0 0 292.310 2.725 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@H](C)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000267624521 393988052 /nfs/dbraw/zinc/98/80/52/393988052.db2.gz INRGQZOZXCJKHU-QWRGUYRKSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1nc(N2CCc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000268441766 393992161 /nfs/dbraw/zinc/99/21/61/393992161.db2.gz DDBJYPAWTVTXCJ-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1nc(N2CCN(C)c3ccccc3C2)ccc1[N+](=O)[O-] ZINC000268447019 393992902 /nfs/dbraw/zinc/99/29/02/393992902.db2.gz FBFASSFHJUEUHE-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN CCSCCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487863564 394026166 /nfs/dbraw/zinc/02/61/66/394026166.db2.gz VOIPKZCGMAWVTO-UHFFFAOYSA-N 0 0 278.333 2.663 20 5 CFBDRN CO[C@H](C)CN(C)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432140997 394011308 /nfs/dbraw/zinc/01/13/08/394011308.db2.gz SGXLLMYLXDYGEF-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN CC[C@@H]1CCN1c1ncc([N+](=O)[O-])cc1Br ZINC000489040470 394040261 /nfs/dbraw/zinc/04/02/61/394040261.db2.gz LDAOEJZUDZAZOI-SSDOTTSWSA-N 0 0 286.129 2.741 20 5 CFBDRN Cc1cccc(C(=O)NCCC(F)(F)F)c1[N+](=O)[O-] ZINC000437054647 394043858 /nfs/dbraw/zinc/04/38/58/394043858.db2.gz FFSPRCUPTIRRKF-UHFFFAOYSA-N 0 0 276.214 2.585 20 5 CFBDRN CSCC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000281960233 394101000 /nfs/dbraw/zinc/10/10/00/394101000.db2.gz QPUWQHMHJIHYRQ-UHFFFAOYSA-N 0 0 283.353 2.626 20 5 CFBDRN Cc1c(CSC[C@H]2COCCO2)cccc1[N+](=O)[O-] ZINC000419541869 394052068 /nfs/dbraw/zinc/05/20/68/394052068.db2.gz CWAZQFMRHIRUNG-GFCCVEGCSA-N 0 0 283.349 2.552 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000439130407 394101388 /nfs/dbraw/zinc/10/13/88/394101388.db2.gz FOPVSCWWCQSVHI-MWLCHTKSSA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1ccc(-c2nc3cccnc3c(=O)[nH]2)cc1[N+](=O)[O-] ZINC000491594859 394053841 /nfs/dbraw/zinc/05/38/41/394053841.db2.gz UQSNIEYITXGYNI-UHFFFAOYSA-N 0 0 282.259 2.614 20 5 CFBDRN Cc1n[nH]cc1NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000127665652 394054019 /nfs/dbraw/zinc/05/40/19/394054019.db2.gz CTIPFQWISFRWPA-UHFFFAOYSA-N 0 0 289.295 2.887 20 5 CFBDRN C[C@H](SC[C@@H]1COCCO1)c1ccccc1[N+](=O)[O-] ZINC000419565237 394054658 /nfs/dbraw/zinc/05/46/58/394054658.db2.gz ZHAHCFWSSZGOEW-QWRGUYRKSA-N 0 0 283.349 2.804 20 5 CFBDRN Cc1cc(C(=O)NC2C[C@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000127720406 394054732 /nfs/dbraw/zinc/05/47/32/394054732.db2.gz JPGMXPZXKBTICF-QWRGUYRKSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000277301552 394055258 /nfs/dbraw/zinc/05/52/58/394055258.db2.gz AVEBLXJOQXILSS-JQWIXIFHSA-N 0 0 287.319 2.980 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1Cl ZINC000277705835 394057553 /nfs/dbraw/zinc/05/75/53/394057553.db2.gz YJMWOLWTSNPVPY-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN CC(C)n1ncnc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000279744291 394068347 /nfs/dbraw/zinc/06/83/47/394068347.db2.gz PIRJIODDKXXBSW-NSHDSACASA-N 0 0 289.339 2.618 20 5 CFBDRN O=C(NCCF)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000437693526 394068943 /nfs/dbraw/zinc/06/89/43/394068943.db2.gz OHKONUJWWBUEDM-UHFFFAOYSA-N 0 0 268.269 2.509 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@H]1F ZINC000492939638 394102967 /nfs/dbraw/zinc/10/29/67/394102967.db2.gz DXZDZVLQCYJPAS-VDQNYZKDSA-N 0 0 278.283 2.615 20 5 CFBDRN CC[C@H](C[C@H](C)CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000437726411 394071703 /nfs/dbraw/zinc/07/17/03/394071703.db2.gz OONOUWRVRYPNKF-WDEREUQCSA-N 0 0 295.339 2.513 20 5 CFBDRN CC(C)(NC(=O)Cc1ccoc1)c1ccc([N+](=O)[O-])cc1 ZINC000128654297 394074217 /nfs/dbraw/zinc/07/42/17/394074217.db2.gz IHYFPTDQWKTEEH-UHFFFAOYSA-N 0 0 288.303 2.782 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1cnn(C)c1 ZINC000357222773 394085434 /nfs/dbraw/zinc/08/54/34/394085434.db2.gz QUIWCZKOBTVTBZ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)CCCO1 ZINC000281885105 394088082 /nfs/dbraw/zinc/08/80/82/394088082.db2.gz ONJCNJWQOAEVMA-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC2(CCC2(F)F)C1 ZINC000357574500 394090419 /nfs/dbraw/zinc/09/04/19/394090419.db2.gz ARUKYRXIAWTFPW-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000281931885 394096421 /nfs/dbraw/zinc/09/64/21/394096421.db2.gz WQYSEHGYRSQSEB-GIPNMCIBSA-N 0 0 277.324 2.754 20 5 CFBDRN Cc1c(CC(=O)NCCC(C)(C)C)cccc1[N+](=O)[O-] ZINC000439052160 394096322 /nfs/dbraw/zinc/09/63/22/394096322.db2.gz SDDJDSJFTITOGF-UHFFFAOYSA-N 0 0 278.352 2.998 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)Cc2ccncc2)cccc1[N+](=O)[O-] ZINC000487892031 394028120 /nfs/dbraw/zinc/02/81/20/394028120.db2.gz WSXJYZGEEIEOAI-NSHDSACASA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCC[C@H](O)C1 ZINC000439244698 394106528 /nfs/dbraw/zinc/10/65/28/394106528.db2.gz HIJZHWPGYODYQU-RYUDHWBXSA-N 0 0 292.335 2.701 20 5 CFBDRN CCC[C@@H](CNC(=O)/C=C\c1cccc([N+](=O)[O-])c1)OC ZINC000492978504 394114425 /nfs/dbraw/zinc/11/44/25/394114425.db2.gz BCQZEQUAYGFRJY-CKXPSTMWSA-N 0 0 292.335 2.539 20 5 CFBDRN CCSCCCNc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000282048389 394116072 /nfs/dbraw/zinc/11/60/72/394116072.db2.gz XRVQKZIOYWPNFC-UHFFFAOYSA-N 0 0 297.380 2.510 20 5 CFBDRN CC[C@@H](O)CNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000420630656 394121161 /nfs/dbraw/zinc/12/11/61/394121161.db2.gz SWFRBBCGDCFBBE-SECBINFHSA-N 0 0 258.705 2.739 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000439711971 394128034 /nfs/dbraw/zinc/12/80/34/394128034.db2.gz CWPPRCQEEUMNEH-QWRGUYRKSA-N 0 0 282.340 2.812 20 5 CFBDRN CCC(C)(C)NC(=O)COc1ccc([N+](=O)[O-])cc1C ZINC000131481376 394134694 /nfs/dbraw/zinc/13/46/94/394134694.db2.gz LZYAFAUPSRKYPS-UHFFFAOYSA-N 0 0 280.324 2.587 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC[C@@H]1CCCS1 ZINC000131518240 394135497 /nfs/dbraw/zinc/13/54/97/394135497.db2.gz CGMRHCLIROUFNF-GUOLPTJISA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1cn[nH]c1 ZINC000445264032 394147712 /nfs/dbraw/zinc/14/77/12/394147712.db2.gz RMHINLRPFUZXFD-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1C ZINC000132239173 394150565 /nfs/dbraw/zinc/15/05/65/394150565.db2.gz UIWNAVPZYDRBRD-LDYMZIIASA-N 0 0 280.349 2.845 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CS1 ZINC000493110618 394151304 /nfs/dbraw/zinc/15/13/04/394151304.db2.gz BDKKIOJWXYTUNF-UHLPNAGUSA-N 0 0 292.360 2.618 20 5 CFBDRN Cc1noc([C@H]2CCCN2Cc2csc([N+](=O)[O-])c2)n1 ZINC000285848840 394156401 /nfs/dbraw/zinc/15/64/01/394156401.db2.gz XURYDKGGTVXQEY-SNVBAGLBSA-N 0 0 294.336 2.685 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CCC[C@H](F)C1 ZINC000493167749 394167663 /nfs/dbraw/zinc/16/76/63/394167663.db2.gz AUGRTWCDQDHKRX-SYTKJHMZSA-N 0 0 278.283 2.569 20 5 CFBDRN CC[C@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000421220844 394183198 /nfs/dbraw/zinc/18/31/98/394183198.db2.gz PBRSXZCCWDPFHA-QMMMGPOBSA-N 0 0 269.276 2.902 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC[C@H]1C ZINC000286834949 394200592 /nfs/dbraw/zinc/20/05/92/394200592.db2.gz ZODUXJBUHCNFMJ-MEBBXXQBSA-N 0 0 292.335 2.659 20 5 CFBDRN COc1cc(C(=O)Nc2ccsc2)ccc1[N+](=O)[O-] ZINC000135365701 394218649 /nfs/dbraw/zinc/21/86/49/394218649.db2.gz RWOIMLLAMOQSGW-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN CCO/C=C\C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000493380776 394227299 /nfs/dbraw/zinc/22/72/99/394227299.db2.gz GGTJCJSSHHVQKF-KTKRTIGZSA-N 0 0 292.335 2.882 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC1C[C@H]2C[C@H]2C1 ZINC000493394202 394230298 /nfs/dbraw/zinc/23/02/98/394230298.db2.gz UISRZPDBSUKKMJ-PIPZZWBRSA-N 0 0 272.304 2.523 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000493406113 394234923 /nfs/dbraw/zinc/23/49/23/394234923.db2.gz YYJABTODYYPDOV-GWAPMQEWSA-N 0 0 272.304 2.523 20 5 CFBDRN CCC1(C)CN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000291039473 394236283 /nfs/dbraw/zinc/23/62/83/394236283.db2.gz HZUYARXOGXAKJU-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN Nc1c(C(=O)N2CCCC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000366247676 394241842 /nfs/dbraw/zinc/24/18/42/394241842.db2.gz MAZNFMSREZBRIB-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN CC[C@@H](NC(=O)/C=C\c1ccccc1[N+](=O)[O-])C(F)F ZINC000493481742 394254288 /nfs/dbraw/zinc/25/42/88/394254288.db2.gz GLXJAJLUEGFIHB-GQYWMQPJSA-N 0 0 284.262 2.768 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000286102056 394192124 /nfs/dbraw/zinc/19/21/24/394192124.db2.gz DAWZRASMYMUMQN-CABZTGNLSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@H]1CCCCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000286177743 394194626 /nfs/dbraw/zinc/19/46/26/394194626.db2.gz AWNLXQAKDHGRIC-QMMMGPOBSA-N 0 0 278.337 2.978 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000493501009 394260933 /nfs/dbraw/zinc/26/09/33/394260933.db2.gz VJDDUZLRGCROQE-UCUJLANTSA-N 0 0 284.262 2.768 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000493501010 394261032 /nfs/dbraw/zinc/26/10/32/394261032.db2.gz VJDDUZLRGCROQE-XCODYQFDSA-N 0 0 284.262 2.768 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(C2CC2)CC1 ZINC000368015971 394264951 /nfs/dbraw/zinc/26/49/51/394264951.db2.gz HVQDTVXRVUQZTK-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cccc(N2CC[C@H](n3cccn3)C2)c1[N+](=O)[O-] ZINC000292200790 394271785 /nfs/dbraw/zinc/27/17/85/394271785.db2.gz CKWJMAHRNDCAOP-LBPRGKRZSA-N 0 0 272.308 2.551 20 5 CFBDRN Cc1cc(N2CC[C@H](n3cccn3)C2)ccc1[N+](=O)[O-] ZINC000292283884 394272268 /nfs/dbraw/zinc/27/22/68/394272268.db2.gz JVKOQEUBDYSVCX-ZDUSSCGKSA-N 0 0 272.308 2.551 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@@H]3CCC[C@H]3C2)c1[N+](=O)[O-] ZINC000152250314 394274194 /nfs/dbraw/zinc/27/41/94/394274194.db2.gz CDLUSCDSZWXVLK-RYUDHWBXSA-N 0 0 274.320 2.775 20 5 CFBDRN CCO/C=C/C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000493549284 394275421 /nfs/dbraw/zinc/27/54/21/394275421.db2.gz HUSLEIGCOMDMIR-MDZDMXLPSA-N 0 0 290.319 2.636 20 5 CFBDRN CC(C)[C@H]1C[C@H](CNc2ncccc2[N+](=O)[O-])CCO1 ZINC000450741886 394332035 /nfs/dbraw/zinc/33/20/35/394332035.db2.gz YRZUASPLAUHMJM-DGCLKSJQSA-N 0 0 279.340 2.853 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@H]1C[C@H](C)n2ccnc21 ZINC000449574256 394281765 /nfs/dbraw/zinc/28/17/65/394281765.db2.gz UEUVJTYJYCQBSL-FZMZJTMJSA-N 0 0 286.335 2.895 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000153453462 394284096 /nfs/dbraw/zinc/28/40/96/394284096.db2.gz CIRORIPKVSTJTN-KLPPZKSPSA-N 0 0 294.326 2.971 20 5 CFBDRN O=C(/C=C/C1CCCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000493863375 394332841 /nfs/dbraw/zinc/33/28/41/394332841.db2.gz WZSQSFBHZDNJFK-JXMROGBWSA-N 0 0 274.320 2.957 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)[C@@H]1C ZINC000293951699 394286772 /nfs/dbraw/zinc/28/67/72/394286772.db2.gz FSBSAJUORAWINM-RKDXNWHRSA-N 0 0 274.276 2.821 20 5 CFBDRN CCn1c(C)nnc1Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153676049 394289154 /nfs/dbraw/zinc/28/91/54/394289154.db2.gz OFUPYYGJQTZMQF-UHFFFAOYSA-N 0 0 299.743 2.714 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccn(C)c1)c1cccc([N+](=O)[O-])c1 ZINC000493610224 394289678 /nfs/dbraw/zinc/28/96/78/394289678.db2.gz FVIHHXCSYUEYFQ-SYTKJHMZSA-N 0 0 299.330 2.824 20 5 CFBDRN C[C@@H]1C[C@@H](NCc2ccccc2[N+](=O)[O-])c2nccn21 ZINC000294202541 394290819 /nfs/dbraw/zinc/29/08/19/394290819.db2.gz SZUUVBXFLHJNTD-ZYHUDNBSSA-N 0 0 272.308 2.587 20 5 CFBDRN Cc1nc(N2CC[C@@]3(CC[C@H](C)C3)C2)ncc1[N+](=O)[O-] ZINC000450434454 394292346 /nfs/dbraw/zinc/29/23/46/394292346.db2.gz MZKLANAXVWUULF-IINYFYTJSA-N 0 0 276.340 2.710 20 5 CFBDRN COc1cc(C(=O)N2CCC3(CC3)CC2)ccc1[N+](=O)[O-] ZINC000370881282 394294079 /nfs/dbraw/zinc/29/40/79/394294079.db2.gz MYCCSWIILBRZKV-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN C[C@H]1CSC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450524432 394294508 /nfs/dbraw/zinc/29/45/08/394294508.db2.gz CAGJPNXDTWLWPK-TVQRCGJNSA-N 0 0 289.360 2.728 20 5 CFBDRN CS[C@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000295078031 394296843 /nfs/dbraw/zinc/29/68/43/394296843.db2.gz XJSVZTMONLQFDB-VIFPVBQESA-N 0 0 279.365 2.505 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)n2)C[C@@H]1C ZINC000450747445 394333906 /nfs/dbraw/zinc/33/39/06/394333906.db2.gz UCIZBTRBGMRHFF-GWCFXTLKSA-N 0 0 279.340 2.550 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@H]3CC[C@@H](CO)C3)ccnc21 ZINC000450641696 394306833 /nfs/dbraw/zinc/30/68/33/394306833.db2.gz GDAHQCAMXWJPHI-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1nc(N2CCC[C@@H](C(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000296719189 394311367 /nfs/dbraw/zinc/31/13/67/394311367.db2.gz HHMPBBOIDAZIHJ-LLVKDONJSA-N 0 0 278.356 2.956 20 5 CFBDRN CC(C)[C@H](CO)[C@@H](Nc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000450756083 394334914 /nfs/dbraw/zinc/33/49/14/394334914.db2.gz BRKRBDLXQFOOPC-JSGCOSHPSA-N 0 0 281.356 2.691 20 5 CFBDRN Cc1ccc(/C=C\C(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1 ZINC000493829341 394325734 /nfs/dbraw/zinc/32/57/34/394325734.db2.gz WYMGHXQJXURWGG-YFHOEESVSA-N 0 0 297.314 2.974 20 5 CFBDRN CC[C@@H]1C[C@H]1CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000450722517 394326239 /nfs/dbraw/zinc/32/62/39/394326239.db2.gz CICXHIKSRZGNOH-SFYZADRCSA-N 0 0 253.277 2.774 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@H](F)C1 ZINC000493852219 394329923 /nfs/dbraw/zinc/32/99/23/394329923.db2.gz VHZIDTKWYRVRGZ-FUEXJSSKSA-N 0 0 296.273 2.708 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCCCC1 ZINC000156671695 394337526 /nfs/dbraw/zinc/33/75/26/394337526.db2.gz YAPMGJGYRHFZRV-UHFFFAOYSA-N 0 0 250.298 2.732 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2CC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000450767066 394337930 /nfs/dbraw/zinc/33/79/30/394337930.db2.gz UDJGOBBJXKISQY-JBLDHEPKSA-N 0 0 290.319 2.978 20 5 CFBDRN CCOc1cc(N2CC[C@@H](OC)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000450772276 394339079 /nfs/dbraw/zinc/33/90/79/394339079.db2.gz ZTHVNRLQQZOODF-SMDDNHRTSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1ccnc(N[C@@H](C(C)C)[C@H](CO)C(C)C)c1[N+](=O)[O-] ZINC000450776625 394340047 /nfs/dbraw/zinc/34/00/47/394340047.db2.gz WPYXKPWDSNSVOY-OLZOCXBDSA-N 0 0 295.383 2.999 20 5 CFBDRN CC(=O)c1cc(N[C@H]2COCC2(C)C)ccc1[N+](=O)[O-] ZINC000450852454 394341982 /nfs/dbraw/zinc/34/19/82/394341982.db2.gz FPKCDJBLFKPFNL-ZDUSSCGKSA-N 0 0 278.308 2.634 20 5 CFBDRN O=C(CSC1CCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000450989231 394344443 /nfs/dbraw/zinc/34/44/43/394344443.db2.gz DKODDMPMNKMAFF-UHFFFAOYSA-N 0 0 292.360 2.770 20 5 CFBDRN Cc1c(CC(=O)NCCc2ccccc2)cccc1[N+](=O)[O-] ZINC000158114058 394354578 /nfs/dbraw/zinc/35/45/78/394354578.db2.gz MZQDCEAMCDITKH-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2C=CCCC2)cc([N+](=O)[O-])c1 ZINC000158457799 394357507 /nfs/dbraw/zinc/35/75/07/394357507.db2.gz HLPFRNPDLKUJDO-LBPRGKRZSA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1cccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)n1 ZINC000158493015 394357937 /nfs/dbraw/zinc/35/79/37/394357937.db2.gz VVVRIEQFFOQHMN-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN COc1cc(CN2CCC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000451114495 394358613 /nfs/dbraw/zinc/35/86/13/394358613.db2.gz MBWVMDPWLPWLJV-AWEZNQCLSA-N 0 0 282.315 2.927 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC[C@H](C)C1 ZINC000494592525 394359114 /nfs/dbraw/zinc/35/91/14/394359114.db2.gz SPTRBABQSCYCEZ-WDEREUQCSA-N 0 0 292.335 2.912 20 5 CFBDRN C[N@H+](Cc1ccc(Cl)cc1[N+](=O)[O-])CC(C)(C)CO ZINC000451243449 394370282 /nfs/dbraw/zinc/37/02/82/394370282.db2.gz BXIXTZBGJFOEMT-UHFFFAOYSA-N 0 0 286.759 2.699 20 5 CFBDRN Cc1cc(N2CCSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000172374000 394373266 /nfs/dbraw/zinc/37/32/66/394373266.db2.gz RRUWOUJXIFQMRI-SNVBAGLBSA-N 0 0 252.339 2.845 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000519740094 394377067 /nfs/dbraw/zinc/37/70/67/394377067.db2.gz CDODYKVXMLIVEN-RISCZKNCSA-N 0 0 276.336 2.955 20 5 CFBDRN CN(C(=O)CCNc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000519763083 394377582 /nfs/dbraw/zinc/37/75/82/394377582.db2.gz CSDNCRQMEOWVEL-UHFFFAOYSA-N 0 0 279.340 2.654 20 5 CFBDRN CC[C@@H]1COCCN1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000174472785 394378782 /nfs/dbraw/zinc/37/87/82/394378782.db2.gz GQBDVSWGTPUECZ-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN COc1cccc(N(C)Cc2cccc(O)c2)c1[N+](=O)[O-] ZINC000527289251 394384846 /nfs/dbraw/zinc/38/48/46/394384846.db2.gz CNCBATPUAXWMGS-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN CC1(c2noc(CCNc3ccccc3[N+](=O)[O-])n2)CC1 ZINC000453324085 394385454 /nfs/dbraw/zinc/38/54/54/394385454.db2.gz QASKVQDPLSWTHB-UHFFFAOYSA-N 0 0 288.307 2.684 20 5 CFBDRN CN(Cc1nc(C2(C)CC2)no1)c1ccccc1[N+](=O)[O-] ZINC000453326558 394385863 /nfs/dbraw/zinc/38/58/63/394385863.db2.gz VDWUWJGJZMOEHI-UHFFFAOYSA-N 0 0 288.307 2.666 20 5 CFBDRN COc1ccc(CNC(=O)[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000457450109 394459361 /nfs/dbraw/zinc/45/93/61/394459361.db2.gz HMZGCCMFFVWSRR-JTQLQIEISA-N 0 0 292.335 2.656 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000537567188 394584877 /nfs/dbraw/zinc/58/48/77/394584877.db2.gz ZFNAKMFIZQSATA-CQSZACIVSA-N 0 0 264.325 2.764 20 5 CFBDRN CCOc1cc(N[C@@H](C)c2nnc(C)o2)ccc1[N+](=O)[O-] ZINC000540033944 394641250 /nfs/dbraw/zinc/64/12/50/394641250.db2.gz QYKAGCUJLDSZRG-QMMMGPOBSA-N 0 0 292.295 2.858 20 5 CFBDRN CCCc1cnc(NC(=O)c2ccc([N+](=O)[O-])cn2)s1 ZINC000540635731 394650409 /nfs/dbraw/zinc/65/04/09/394650409.db2.gz DUTMLWULSCRHIV-UHFFFAOYSA-N 0 0 292.320 2.651 20 5 CFBDRN Cc1ccc(N[C@@H]2CN(c3ccccc3)C2=O)c([N+](=O)[O-])c1 ZINC000543437141 394730477 /nfs/dbraw/zinc/73/04/77/394730477.db2.gz QRNUVWPYTMFAJE-CQSZACIVSA-N 0 0 297.314 2.731 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@@H](C)S[C@@H](C)C2)n1 ZINC000543791646 394743939 /nfs/dbraw/zinc/74/39/39/394743939.db2.gz QBZKEUWBVNSREA-PHIMTYICSA-N 0 0 281.381 2.937 20 5 CFBDRN COc1ccc(CSC[C@@H](C)OC)cc1[N+](=O)[O-] ZINC000542006523 394694167 /nfs/dbraw/zinc/69/41/67/394694167.db2.gz HRYUQXQLWCMQNL-SECBINFHSA-N 0 0 271.338 2.872 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1C2CCC1CC2 ZINC000542720175 394711679 /nfs/dbraw/zinc/71/16/79/394711679.db2.gz NCKVCLJNOAKDNU-UHFFFAOYSA-N 0 0 279.271 2.893 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CC[C@H](CO)CC2)n1 ZINC000542863152 394716985 /nfs/dbraw/zinc/71/69/85/394716985.db2.gz CUKPIMJXJPRPJV-HAQNSBGRSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1ccc([C@@H]2CCN(c3c([N+](=O)[O-])ncn3C)C2)cc1 ZINC000543202319 394725776 /nfs/dbraw/zinc/72/57/76/394725776.db2.gz JOGXDHODAJZSMZ-CYBMUJFWSA-N 0 0 286.335 2.631 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc([C@@H]3Cc4ccccc43)no2)c1 ZINC000544970734 394775507 /nfs/dbraw/zinc/77/55/07/394775507.db2.gz GJRCXFOFRXINOR-LLVKDONJSA-N 0 0 282.259 2.661 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(CN(C)c2ccccc2[N+](=O)[O-])n1 ZINC000545061879 394778338 /nfs/dbraw/zinc/77/83/38/394778338.db2.gz BBNAHMQTUVTKHF-ZJUUUORDSA-N 0 0 288.307 2.738 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000545319016 394791546 /nfs/dbraw/zinc/79/15/46/394791546.db2.gz HWFUQHMOEIFYML-QUCGXOGASA-N 0 0 287.319 2.882 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000545768743 394802353 /nfs/dbraw/zinc/80/23/53/394802353.db2.gz HZBPOJHABAZPJS-QUBYGPBYSA-N 0 0 263.228 2.907 20 5 CFBDRN COc1cc(CSc2cnccn2)c([N+](=O)[O-])cc1F ZINC000545915409 394806986 /nfs/dbraw/zinc/80/69/86/394806986.db2.gz QYZCYSJUCLRWBE-UHFFFAOYSA-N 0 0 295.295 2.825 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@H]2CCCC[C@H]12 ZINC000546180737 394821320 /nfs/dbraw/zinc/82/13/20/394821320.db2.gz IUCNEMYEIOYZGZ-RTXFEEFZSA-N 0 0 276.340 2.790 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H]2CCCOC2)n1 ZINC000547111002 394852473 /nfs/dbraw/zinc/85/24/73/394852473.db2.gz IKXMIIOZSAFYDL-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1cc(OC[C@H]2CCCCO2)c(F)cc1[N+](=O)[O-] ZINC000548476252 394934210 /nfs/dbraw/zinc/93/42/10/394934210.db2.gz RIPFOSZUYDKSBA-SNVBAGLBSA-N 0 0 269.272 2.990 20 5 CFBDRN CC(C)(C)OCCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000555871454 394984217 /nfs/dbraw/zinc/98/42/17/394984217.db2.gz AHLBBTUMDXXUMG-UHFFFAOYSA-N 0 0 279.340 2.790 20 5 CFBDRN CC(C)CC(C)(C)CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000555144404 394966486 /nfs/dbraw/zinc/96/64/86/394966486.db2.gz KTXOQMZHJOWYAC-UHFFFAOYSA-N 0 0 291.355 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC(C2CCC2)C1 ZINC000343252214 296501078 /nfs/dbraw/zinc/50/10/78/296501078.db2.gz QCANBTDNSVSSAZ-UHFFFAOYSA-N 0 0 250.273 2.970 20 5 CFBDRN COC(=O)[C@@H](CNc1c(F)cccc1[N+](=O)[O-])CC(C)C ZINC000557588286 395040183 /nfs/dbraw/zinc/04/01/83/395040183.db2.gz VHVRIKNAYPNUAZ-SNVBAGLBSA-N 0 0 298.314 2.981 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H]2C[C@@H](O)CC[C@@H]2C1 ZINC000450816615 296511824 /nfs/dbraw/zinc/51/18/24/296511824.db2.gz WJYCPOSZSFDSGF-UTUOFQBUSA-N 0 0 294.326 2.721 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CCO[C@H]4CCC[C@@H]43)ccnc21 ZINC000432144380 296512887 /nfs/dbraw/zinc/51/28/87/296512887.db2.gz XJSVZXMDWFWJKU-ZFWWWQNUSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000450800309 296517882 /nfs/dbraw/zinc/51/78/82/296517882.db2.gz UXWWOYIJLZBRCN-YPMHNXCESA-N 0 0 259.309 2.615 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2C2CCC2)s1 ZINC000192450195 296519512 /nfs/dbraw/zinc/51/95/12/296519512.db2.gz NZEDDRYVXYLWKO-SECBINFHSA-N 0 0 253.327 2.820 20 5 CFBDRN O=[N+]([O-])c1ccnn1C[C@H]1CCC2(CCCCC2)O1 ZINC000191823845 296518842 /nfs/dbraw/zinc/51/88/42/296518842.db2.gz GMNDSQQNZFJECB-LLVKDONJSA-N 0 0 265.313 2.673 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3CCC[C@@H]32)c(F)c1 ZINC000408472699 296520268 /nfs/dbraw/zinc/52/02/68/296520268.db2.gz WOEGLBUQOKRJQD-KWQFWETISA-N 0 0 251.261 2.508 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCO[C@@H](c2ccccc2)C1 ZINC000357045763 296521938 /nfs/dbraw/zinc/52/19/38/296521938.db2.gz VLFGMPNXFOOXLZ-OAHLLOKOSA-N 0 0 285.303 2.568 20 5 CFBDRN CO[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CC[C@@H]1C ZINC000276043622 285777326 /nfs/dbraw/zinc/77/73/26/285777326.db2.gz PTKNLFBWYIYXFS-GZMMTYOYSA-N 0 0 285.731 2.504 20 5 CFBDRN COc1c(C(=O)N[C@@H](C)CC2CC2)cccc1[N+](=O)[O-] ZINC000355985307 155136385 /nfs/dbraw/zinc/13/63/85/155136385.db2.gz ABXOFHDAWMXQGT-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1ccnc3ccc([N+](=O)[O-])cc31)C2 ZINC000601195126 397587369 /nfs/dbraw/zinc/58/73/69/397587369.db2.gz DWLXUNYVJUUMED-MEDUHNTESA-N 0 0 299.330 2.616 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000340881923 397653040 /nfs/dbraw/zinc/65/30/40/397653040.db2.gz OIJQDSMGZAFDON-AWEZNQCLSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000177092295 397607937 /nfs/dbraw/zinc/60/79/37/397607937.db2.gz HMVJSTAPKVKJPL-MWLCHTKSSA-N 0 0 262.309 2.774 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3c2cccc3F)s1 ZINC000192530978 397611605 /nfs/dbraw/zinc/61/16/05/397611605.db2.gz PRGWASPETDAVER-UHFFFAOYSA-N 0 0 265.269 2.885 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2ccncc2)c(F)c1 ZINC000413100292 304811963 /nfs/dbraw/zinc/81/19/63/304811963.db2.gz UDDVRZFHCYTWOC-CYBMUJFWSA-N 0 0 288.282 2.865 20 5 CFBDRN Cc1ccc(N2CC[C@]3(C2)CCCOC3)c([N+](=O)[O-])c1 ZINC000376476874 292139494 /nfs/dbraw/zinc/13/94/94/292139494.db2.gz ALCDSXKHUUNHOW-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN CO[C@@](C)(CNc1nc(C)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000359966787 285899595 /nfs/dbraw/zinc/89/95/95/285899595.db2.gz RYRUQEIGACQQFR-AWEZNQCLSA-N 0 0 279.340 2.834 20 5 CFBDRN Cc1cnc(N2CCS[C@@H](C)CC2)c([N+](=O)[O-])c1 ZINC000276842928 292912219 /nfs/dbraw/zinc/91/22/19/292912219.db2.gz CLTQHORGVQEPHL-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN CO[C@@]1(C)CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000230279771 285919000 /nfs/dbraw/zinc/91/90/00/285919000.db2.gz RXCNTARYYUEVSY-ZDUSSCGKSA-N 0 0 268.288 2.739 20 5 CFBDRN COc1cc(Cl)ccc1Cn1c(C)ncc1[N+](=O)[O-] ZINC000356036839 155144997 /nfs/dbraw/zinc/14/49/97/155144997.db2.gz DTAIXLVUPZGQBX-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000356265807 155201232 /nfs/dbraw/zinc/20/12/32/155201232.db2.gz YEAOOYOEIWUYJQ-NEPJUHHUSA-N 0 0 293.367 2.805 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)[C@H](C)C1 ZINC000281675527 286062805 /nfs/dbraw/zinc/06/28/05/286062805.db2.gz QDMPKIWUORZUOK-MFKMUULPSA-N 0 0 250.298 2.599 20 5 CFBDRN COCCCCc1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000356335140 155230078 /nfs/dbraw/zinc/23/00/78/155230078.db2.gz JPRSRYCDWVYBKZ-UHFFFAOYSA-N 0 0 283.309 2.675 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000356361231 155239840 /nfs/dbraw/zinc/23/98/40/155239840.db2.gz HNZDITHRDOCOMC-QMMMGPOBSA-N 0 0 290.241 2.831 20 5 CFBDRN CO[C@](C)(CNc1c2c(ccc1[N+](=O)[O-])NCC2)C1CC1 ZINC000292475958 286146759 /nfs/dbraw/zinc/14/67/59/286146759.db2.gz ZDDRYEJYYQVUAV-OAHLLOKOSA-N 0 0 291.351 2.790 20 5 CFBDRN CO[C@](C)([C@H](C)Nc1ncc([N+](=O)[O-])s1)C1CC1 ZINC000413520477 286151816 /nfs/dbraw/zinc/15/18/16/286151816.db2.gz PSCWCRVMAFGASJ-WRWORJQWSA-N 0 0 271.342 2.667 20 5 CFBDRN CO[C@]1(C)CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000359744686 286161468 /nfs/dbraw/zinc/16/14/68/286161468.db2.gz ZFYOZGIADNNSHN-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN COc1c(C(=O)N2CCC[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000331073175 286175825 /nfs/dbraw/zinc/17/58/25/286175825.db2.gz UBWXYMOOJSTKIU-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN COc1c(C(=O)N2CCC[C@H](C)CC2)cccc1[N+](=O)[O-] ZINC000332254957 286175830 /nfs/dbraw/zinc/17/58/30/286175830.db2.gz ZCCARWPJJXQYQD-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN COc1ccc(SCc2c([N+](=O)[O-])ncn2C)cc1 ZINC000356580374 155308217 /nfs/dbraw/zinc/30/82/17/155308217.db2.gz OIYIIALLEWUYSI-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN Cc1ccc(Cl)c(OCc2c([N+](=O)[O-])ncn2C)c1 ZINC000356582080 155308908 /nfs/dbraw/zinc/30/89/08/155308908.db2.gz RSZGEZGBTIFIBJ-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000356693384 155332451 /nfs/dbraw/zinc/33/24/51/155332451.db2.gz VOHCDBDLWGEXJL-SSDOTTSWSA-N 0 0 274.679 2.726 20 5 CFBDRN CC[C@@]1(C)COCCN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000356707717 155336948 /nfs/dbraw/zinc/33/69/48/155336948.db2.gz YXDPQYPUHMMUBH-ZDUSSCGKSA-N 0 0 298.364 2.606 20 5 CFBDRN Cc1cn2cc(Nc3ncc([N+](=O)[O-])cc3C)ccc2n1 ZINC000356732688 155343963 /nfs/dbraw/zinc/34/39/63/155343963.db2.gz AKCVCEVAPXPGRS-UHFFFAOYSA-N 0 0 283.291 2.998 20 5 CFBDRN CCCCN(c1ccc(C(=O)NC)cc1[N+](=O)[O-])C1CC1 ZINC000356758366 155356405 /nfs/dbraw/zinc/35/64/05/155356405.db2.gz CNHOQGXHFMXNNC-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCS[C@H](C)[C@H]2C)c1 ZINC000173069951 292282362 /nfs/dbraw/zinc/28/23/62/292282362.db2.gz WOZKXPXELQEGPW-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000458269378 292283675 /nfs/dbraw/zinc/28/36/75/292283675.db2.gz ZPNQYIAIKFGZOB-MDZLAQPJSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2(C)CCOCC2)c1 ZINC000227824939 286383275 /nfs/dbraw/zinc/38/32/75/286383275.db2.gz YLORZVSLNVNSRS-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2Cc3ccccc3C2)c1 ZINC000105976353 286381636 /nfs/dbraw/zinc/38/16/36/286381636.db2.gz DGJXVSXVHNTBQN-UHFFFAOYSA-N 0 0 298.298 2.759 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(S[C@H]2CCO[C@@H]2C)n1 ZINC000158749715 292292057 /nfs/dbraw/zinc/29/20/57/292292057.db2.gz KBMUXQGZRDLDPT-SCZZXKLOSA-N 0 0 254.311 2.568 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CCCCO1 ZINC000459889176 292293687 /nfs/dbraw/zinc/29/36/87/292293687.db2.gz NXPFIEKIMUEUCJ-CQSZACIVSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCC(=O)[C@@H](C)C1 ZINC000276537583 292295416 /nfs/dbraw/zinc/29/54/16/292295416.db2.gz ILOSYEANIUFTCW-RYUDHWBXSA-N 0 0 276.336 2.875 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(SCC=C(C)C)n1 ZINC000343080041 154107855 /nfs/dbraw/zinc/10/78/55/154107855.db2.gz BJDZGQWCVMXNCL-UHFFFAOYSA-N 0 0 282.321 2.835 20 5 CFBDRN CCc1ccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)cc1Cl ZINC000343113371 154117583 /nfs/dbraw/zinc/11/75/83/154117583.db2.gz QJTJLWZKOFCQOA-UHFFFAOYSA-N 0 0 294.698 2.786 20 5 CFBDRN Cc1cc(CNc2ccc(F)cc2[N+](=O)[O-])nc(C)n1 ZINC000343146359 154126002 /nfs/dbraw/zinc/12/60/02/154126002.db2.gz ZDHAJZZLODJLAV-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])c3cnccc23)n(C)n1 ZINC000343159468 154129507 /nfs/dbraw/zinc/12/95/07/154129507.db2.gz RBMMYUOYKJJESB-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1sc(C(=O)Nc2cccc(C)n2)cc1[N+](=O)[O-] ZINC000343175878 154133004 /nfs/dbraw/zinc/13/30/04/154133004.db2.gz JLXMHYPWJOYSCE-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cccc(CCCNc2ccc([N+](=O)[O-])nc2)n1 ZINC000343228748 154148585 /nfs/dbraw/zinc/14/85/85/154148585.db2.gz XZFMWTNNUMLHGO-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN CC(C)Oc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000343243080 154153548 /nfs/dbraw/zinc/15/35/48/154153548.db2.gz MUOMCUOYHQBYKA-UHFFFAOYSA-N 0 0 289.291 2.962 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2C[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000345593075 154213890 /nfs/dbraw/zinc/21/38/90/154213890.db2.gz PWSUTJWVSLXFIR-VHSXEESVSA-N 0 0 284.262 2.541 20 5 CFBDRN C[C@H]1C[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CO1 ZINC000345693209 154241720 /nfs/dbraw/zinc/24/17/20/154241720.db2.gz PLMPREXZKSPSIS-WPRPVWTQSA-N 0 0 275.264 2.537 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1C)C(F)(F)F ZINC000348056375 154299460 /nfs/dbraw/zinc/29/94/60/154299460.db2.gz OFTHZXUZRSSCKI-SNVBAGLBSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2C)c(=O)[nH]1 ZINC000348112062 154325745 /nfs/dbraw/zinc/32/57/45/154325745.db2.gz IJRXDQALWJWNOP-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2C)c(=O)[nH]1 ZINC000348120472 154329080 /nfs/dbraw/zinc/32/90/80/154329080.db2.gz QYKSULDQZKPTQI-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cncs1 ZINC000348265927 154387370 /nfs/dbraw/zinc/38/73/70/154387370.db2.gz GOYATBMHAWZIEZ-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN CN(C(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F)C1CC1 ZINC000348600558 154398659 /nfs/dbraw/zinc/39/86/59/154398659.db2.gz PMKACABZZQMLQD-UHFFFAOYSA-N 0 0 288.225 2.848 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000352102652 154470477 /nfs/dbraw/zinc/47/04/77/154470477.db2.gz PQSGCPNOWCHNGJ-CHWSQXEVSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1c(NC(=O)NC(C)(C)CF)cccc1[N+](=O)[O-] ZINC000352336667 154535806 /nfs/dbraw/zinc/53/58/06/154535806.db2.gz XTAOEPIYXKHDPU-UHFFFAOYSA-N 0 0 269.276 2.773 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCOC(F)(F)F ZINC000352589834 154620582 /nfs/dbraw/zinc/62/05/82/154620582.db2.gz VJOAIKYFJMOQIJ-UHFFFAOYSA-N 0 0 250.176 2.543 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CCCSC1 ZINC000352608708 154625209 /nfs/dbraw/zinc/62/52/09/154625209.db2.gz APQPCTSPDWESNZ-LBPRGKRZSA-N 0 0 294.376 2.696 20 5 CFBDRN Cc1ccc(C(C)(C)NC(=O)c2cccc([N+](=O)[O-])c2)cn1 ZINC000352751893 154663910 /nfs/dbraw/zinc/66/39/10/154663910.db2.gz KABRZIJTYULLAO-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN C[C@@H](CNC(=O)c1c(N)cccc1[N+](=O)[O-])c1ccccc1 ZINC000354356730 154684662 /nfs/dbraw/zinc/68/46/62/154684662.db2.gz FRWRSJGFRAANBP-NSHDSACASA-N 0 0 299.330 2.711 20 5 CFBDRN COc1cccc(N2C[C@@H]3CCC[C@H]3C2)c1[N+](=O)[O-] ZINC000185551817 286458411 /nfs/dbraw/zinc/45/84/11/286458411.db2.gz JURGSPMREJYJPZ-QWRGUYRKSA-N 0 0 262.309 2.840 20 5 CFBDRN Cc1nn([C@@H](C)C(=O)Nc2cccc(C)c2)cc1[N+](=O)[O-] ZINC000354923981 154860265 /nfs/dbraw/zinc/86/02/65/154860265.db2.gz VTYWTXMFANKBHQ-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN CCO[C@H](CC(=O)Nc1ccc([N+](=O)[O-])c(C)n1)C(C)C ZINC000355211456 154954898 /nfs/dbraw/zinc/95/48/98/154954898.db2.gz HQCZDBFQFDDQJE-GFCCVEGCSA-N 0 0 295.339 2.688 20 5 CFBDRN Cc1c(CNC(=O)C[C@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000355306199 154988645 /nfs/dbraw/zinc/98/86/45/154988645.db2.gz ROFBWCABHSAFAK-JOYOIKCWSA-N 0 0 262.309 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC3CCC3)n2)cc1 ZINC000355451631 155012240 /nfs/dbraw/zinc/01/22/40/155012240.db2.gz ZAGWVYFORUZMAR-UHFFFAOYSA-N 0 0 259.265 2.987 20 5 CFBDRN COc1cccc(-c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)c1 ZINC000355506793 155026318 /nfs/dbraw/zinc/02/63/18/155026318.db2.gz JFDYJRUBKAXYLD-UHFFFAOYSA-N 0 0 286.247 2.649 20 5 CFBDRN CCOCCCc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355666212 155060005 /nfs/dbraw/zinc/06/00/05/155060005.db2.gz HITWICYQPIJDKI-UHFFFAOYSA-N 0 0 291.307 2.538 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@@H](CO)CC(C)C)c1 ZINC000355790944 155087707 /nfs/dbraw/zinc/08/77/07/155087707.db2.gz YUNXYUBRVBVWHI-GFCCVEGCSA-N 0 0 266.341 2.746 20 5 CFBDRN Cc1cccc(Cc2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)c1 ZINC000355814718 155095701 /nfs/dbraw/zinc/09/57/01/155095701.db2.gz UHEZPBDKTNLNJZ-UHFFFAOYSA-N 0 0 284.275 2.872 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H](O)C(F)(F)F)c(Cl)c1 ZINC000357908677 155572926 /nfs/dbraw/zinc/57/29/26/155572926.db2.gz IORGMOFKJJHNNS-SECBINFHSA-N 0 0 298.648 2.973 20 5 CFBDRN CCCCC[C@@H]1CCCN1C(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000357936741 155582116 /nfs/dbraw/zinc/58/21/16/155582116.db2.gz RKLCXBUKNBHEFQ-LLVKDONJSA-N 0 0 294.355 2.513 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000358181408 155661703 /nfs/dbraw/zinc/66/17/03/155661703.db2.gz KUHAYUVLJPOKHK-UHFFFAOYSA-N 0 0 277.324 2.560 20 5 CFBDRN CCOc1cc(OCC2(O)CCCC2)ccc1[N+](=O)[O-] ZINC000358229685 155681200 /nfs/dbraw/zinc/68/12/00/155681200.db2.gz XBQWATWDBHHMDY-UHFFFAOYSA-N 0 0 281.308 2.677 20 5 CFBDRN O=C(NCCC1CCCCC1)Nc1ccncc1[N+](=O)[O-] ZINC000359016065 155954686 /nfs/dbraw/zinc/95/46/86/155954686.db2.gz FFNMKIDCVFMQRS-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN CCC(CC)(CO)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359082707 155978403 /nfs/dbraw/zinc/97/84/03/155978403.db2.gz MANGHQPOXNSOQR-UHFFFAOYSA-N 0 0 281.356 2.817 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@@H]1C[C@@H]1c1ccccc1 ZINC000359174409 156014218 /nfs/dbraw/zinc/01/42/18/156014218.db2.gz IHXZNDGGUXTCMD-QWHCGFSZSA-N 0 0 270.288 2.560 20 5 CFBDRN Cc1cccc(CCNc2ccc([N+](=O)[O-])cc2)n1 ZINC000359840523 156026429 /nfs/dbraw/zinc/02/64/29/156026429.db2.gz IAMLNZRYYQANCO-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@@H]1c1cccnc1 ZINC000360207673 156053801 /nfs/dbraw/zinc/05/38/01/156053801.db2.gz BWZKUFRWTGDQAX-CQSZACIVSA-N 0 0 297.314 2.967 20 5 CFBDRN Cc1cc(Cl)cnc1NCc1ccccc1[N+](=O)[O-] ZINC000361254150 156070745 /nfs/dbraw/zinc/07/07/45/156070745.db2.gz ZGJDYZWCTOGGEE-UHFFFAOYSA-N 0 0 277.711 2.986 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1cc(C)c([N+](=O)[O-])cn1)OC ZINC000362975136 156133107 /nfs/dbraw/zinc/13/31/07/156133107.db2.gz DERCJCMIUJSVTG-BXKDBHETSA-N 0 0 267.329 2.771 20 5 CFBDRN CC[C@H](C)[C@H](CNc1c([N+](=O)[O-])c(C)nn1CC)OC ZINC000362977092 156133829 /nfs/dbraw/zinc/13/38/29/156133829.db2.gz ONUSZWJVOQUVKI-ONGXEEELSA-N 0 0 284.360 2.593 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1nc(C)ccc1[N+](=O)[O-])OC ZINC000362976987 156134600 /nfs/dbraw/zinc/13/46/00/156134600.db2.gz NPBBNUMXLUVNPD-JOYOIKCWSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1ccc(NC(=O)N2CCOCC[C@H]2C)cc1[N+](=O)[O-] ZINC000367724037 156380994 /nfs/dbraw/zinc/38/09/94/156380994.db2.gz OAVSEPDLUXRIAC-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000362036089 522653123 /nfs/dbraw/zinc/65/31/23/522653123.db2.gz IYVSKPAYHWTOIB-WDEREUQCSA-N 0 0 280.324 2.760 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC2(CC2)CC1 ZINC000373189447 156964547 /nfs/dbraw/zinc/96/45/47/156964547.db2.gz CREJVQVOFGSIIM-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H]1C1CC1 ZINC000373799675 157032782 /nfs/dbraw/zinc/03/27/82/157032782.db2.gz JHZOXLCYSOGQMS-CYBMUJFWSA-N 0 0 290.319 2.618 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](Oc3ccccc3)C2)s1 ZINC000374414863 157112036 /nfs/dbraw/zinc/11/20/36/157112036.db2.gz JNCONYYAXJAXED-LLVKDONJSA-N 0 0 291.332 2.709 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Nc1ncc([N+](=O)[O-])s1)CO2 ZINC000374414995 157112745 /nfs/dbraw/zinc/11/27/45/157112745.db2.gz KFAWZMUGDGZTHF-SECBINFHSA-N 0 0 277.305 2.905 20 5 CFBDRN CO[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2C)CC1 ZINC000374667639 157146787 /nfs/dbraw/zinc/14/67/87/157146787.db2.gz YIKJXTXMDZYROE-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cc(N2CC[C@H](Cc3ccncc3)C2)ncc1[N+](=O)[O-] ZINC000374682598 157148586 /nfs/dbraw/zinc/14/85/86/157148586.db2.gz ZNYNPHFNJWUSRV-CQSZACIVSA-N 0 0 298.346 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@H]3CC[C@@H](C2)O3)c(Cl)c1 ZINC000374695977 157150754 /nfs/dbraw/zinc/15/07/54/157150754.db2.gz IBUISGMGUSQXGB-TXEJJXNPSA-N 0 0 282.727 2.611 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000374712830 157153899 /nfs/dbraw/zinc/15/38/99/157153899.db2.gz DFRFZBFOMZGDJD-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN COC[C@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000375138434 157200250 /nfs/dbraw/zinc/20/02/50/157200250.db2.gz APGQYYQVTQFUID-JTQLQIEISA-N 0 0 298.364 2.545 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC2(CC2)CC1 ZINC000376198700 157333942 /nfs/dbraw/zinc/33/39/42/157333942.db2.gz SUFMWXVYPJGIHO-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN CCCCCC(=O)N1CCC[C@H](n2cc([N+](=O)[O-])cn2)C1 ZINC000376310501 157343012 /nfs/dbraw/zinc/34/30/12/157343012.db2.gz JPDVRGNGTAOEIL-LBPRGKRZSA-N 0 0 294.355 2.535 20 5 CFBDRN C[C@H]1N(Cc2csc([N+](=O)[O-])c2)CCOC1(C)C ZINC000408072447 157377244 /nfs/dbraw/zinc/37/72/44/157377244.db2.gz GZSRFSFAOBTPLT-SECBINFHSA-N 0 0 270.354 2.656 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CC12CCC(CC1)C2 ZINC000408406480 157396703 /nfs/dbraw/zinc/39/67/03/157396703.db2.gz IEAYGMSVNNDUBH-UHFFFAOYSA-N 0 0 282.727 2.990 20 5 CFBDRN Cc1cc([C@H](C)NCc2c([N+](=O)[O-])ncn2C)ccc1F ZINC000342300080 161611315 /nfs/dbraw/zinc/61/13/15/161611315.db2.gz AJMGLTWQLSMADD-JTQLQIEISA-N 0 0 292.314 2.627 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000342368688 161616151 /nfs/dbraw/zinc/61/61/51/161616151.db2.gz MMVNSLDIWQUGNS-WCQYABFASA-N 0 0 292.335 2.760 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000342557195 161654907 /nfs/dbraw/zinc/65/49/07/161654907.db2.gz NJTYZVHMGSKSGD-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN CO[C@H](CNc1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000342839226 161724927 /nfs/dbraw/zinc/72/49/27/161724927.db2.gz ALZNSMJQYPDPHF-LLVKDONJSA-N 0 0 256.277 2.817 20 5 CFBDRN CC(C)C(C)(C)CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000342957585 161745207 /nfs/dbraw/zinc/74/52/07/161745207.db2.gz CVSWYUQMKGOJGD-UHFFFAOYSA-N 0 0 279.340 2.788 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000343634666 161826902 /nfs/dbraw/zinc/82/69/02/161826902.db2.gz RXVJWMNJDFXNGZ-QPUJVOFHSA-N 0 0 280.349 2.961 20 5 CFBDRN Cc1cc2cc(CNc3ccncc3[N+](=O)[O-])ccc2[nH]1 ZINC000343826193 161872598 /nfs/dbraw/zinc/87/25/98/161872598.db2.gz BJQSTOQXZJWZPD-UHFFFAOYSA-N 0 0 282.303 2.814 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCC(=O)CC(C)(C)C)n1 ZINC000344140057 161952579 /nfs/dbraw/zinc/95/25/79/161952579.db2.gz NXWKPDFROQEUQG-UHFFFAOYSA-N 0 0 280.324 2.991 20 5 CFBDRN CN(C(=O)c1cccc(F)c1F)c1ccc([N+](=O)[O-])nc1 ZINC000344212018 161974036 /nfs/dbraw/zinc/97/40/36/161974036.db2.gz LGKYCTZWTKXCRJ-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN CC(C)N(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000344217220 161975576 /nfs/dbraw/zinc/97/55/76/161975576.db2.gz QKVNEKGWURKENV-UHFFFAOYSA-N 0 0 266.272 2.747 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1ccc(F)cn1 ZINC000344728360 162110726 /nfs/dbraw/zinc/11/07/26/162110726.db2.gz TZZBPRPNDCLVIW-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Cc1nc(NC(=O)c2ccc([N+](=O)[O-])s2)cs1 ZINC000344729972 162111203 /nfs/dbraw/zinc/11/12/03/162111203.db2.gz KXAOXKHKHOBDCT-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CC(C)(C)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000344941488 162150649 /nfs/dbraw/zinc/15/06/49/162150649.db2.gz OAWBYTBVKQDYRL-UHFFFAOYSA-N 0 0 294.355 2.525 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)C1 ZINC000344988990 162158673 /nfs/dbraw/zinc/15/86/73/162158673.db2.gz VOAWHZCUGPIMSA-ZJUUUORDSA-N 0 0 298.364 2.599 20 5 CFBDRN Cc1ccc(NC(=O)CCCn2cccn2)cc1[N+](=O)[O-] ZINC000345066920 162170784 /nfs/dbraw/zinc/17/07/84/162170784.db2.gz MKAJSOHMKKRCRU-UHFFFAOYSA-N 0 0 288.307 2.519 20 5 CFBDRN COc1ccc(CN(C)Cc2ccoc2)cc1[N+](=O)[O-] ZINC000345094476 162177421 /nfs/dbraw/zinc/17/74/21/162177421.db2.gz GLXSYKZMDKQHLH-UHFFFAOYSA-N 0 0 276.292 2.828 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000345248548 162210123 /nfs/dbraw/zinc/21/01/23/162210123.db2.gz CCFCNYGWZMGUED-PSASIEDQSA-N 0 0 263.297 2.673 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000345361464 162235639 /nfs/dbraw/zinc/23/56/39/162235639.db2.gz UBRPBXFIGWPZFP-MNOVXSKESA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000345464891 162252622 /nfs/dbraw/zinc/25/26/22/162252622.db2.gz DYBPSDPXPYWCFF-ZJUUUORDSA-N 0 0 285.303 2.529 20 5 CFBDRN CO[C@H](c1nc(Cc2ccccc2[N+](=O)[O-])no1)C(C)C ZINC000345522176 162262302 /nfs/dbraw/zinc/26/23/02/162262302.db2.gz AMLNCJXYWYKJFG-ZDUSSCGKSA-N 0 0 291.307 2.912 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H](F)C2)c1 ZINC000345576527 162264416 /nfs/dbraw/zinc/26/44/16/162264416.db2.gz AQAUVCSJTTWYLH-DTWKUNHWSA-N 0 0 298.339 2.937 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCC(F)(F)C3)nc2c1 ZINC000345916324 162276844 /nfs/dbraw/zinc/27/68/44/162276844.db2.gz IQYJLVYNHVXHII-UHFFFAOYSA-N 0 0 283.234 2.577 20 5 CFBDRN Cc1cc(C(=O)Nc2nc(C)ccc2O)cc([N+](=O)[O-])c1 ZINC000345926616 162278037 /nfs/dbraw/zinc/27/80/37/162278037.db2.gz MEVAGXXZQDDCNH-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN O=C(Nc1cccc(-c2ncc[nH]2)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000346106294 162319164 /nfs/dbraw/zinc/31/91/64/162319164.db2.gz IZQDCRMAIUFQEX-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc(C)ccc2[N+](=O)[O-])nc1 ZINC000346171998 162337601 /nfs/dbraw/zinc/33/76/01/162337601.db2.gz LTFHBLLEZSPIOI-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2)nc1 ZINC000346223688 162358245 /nfs/dbraw/zinc/35/82/45/162358245.db2.gz UDNBVGFICBNDLA-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN COc1ccc(NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000346231333 162361018 /nfs/dbraw/zinc/36/10/18/162361018.db2.gz HKQJUZWNXFCISU-KEODLESXSA-N 0 0 290.319 2.978 20 5 CFBDRN O=C(NCc1c(F)cccc1Cl)c1ccc([N+](=O)[O-])o1 ZINC000346318184 162378656 /nfs/dbraw/zinc/37/86/56/162378656.db2.gz WKTOYEGQONYQSJ-UHFFFAOYSA-N 0 0 298.657 2.910 20 5 CFBDRN CC1(C)CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000346353955 162391524 /nfs/dbraw/zinc/39/15/24/162391524.db2.gz SMIJQQPSQNUJIX-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@](C)(F)C1 ZINC000346420828 162409116 /nfs/dbraw/zinc/40/91/16/162409116.db2.gz QRKKGCDGBCTANY-ZDUSSCGKSA-N 0 0 297.286 2.569 20 5 CFBDRN CCc1cccnc1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000346705036 162494933 /nfs/dbraw/zinc/49/49/33/162494933.db2.gz KBJWJIMDTPNLQQ-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1cccc(F)c1F ZINC000347312256 162652060 /nfs/dbraw/zinc/65/20/60/162652060.db2.gz ALBHYBJQFNLSDK-ZETCQYMHSA-N 0 0 295.245 2.692 20 5 CFBDRN CCCN(CC)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347313317 162652425 /nfs/dbraw/zinc/65/24/25/162652425.db2.gz YVKDAFDLBBYZAW-UHFFFAOYSA-N 0 0 269.276 2.998 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000347948668 162806632 /nfs/dbraw/zinc/80/66/32/162806632.db2.gz AEHPVEBICDYVPL-TVQRCGJNSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1cccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000347953436 162808775 /nfs/dbraw/zinc/80/87/75/162808775.db2.gz JQKQKBWUAJVOPU-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)C1CCC1 ZINC000348321769 162826183 /nfs/dbraw/zinc/82/61/83/162826183.db2.gz NUVGFTZOFSOQGO-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CC1(C)CC(C(=O)NCCNc2ccc([N+](=O)[O-])cc2)C1 ZINC000348629763 162879611 /nfs/dbraw/zinc/87/96/11/162879611.db2.gz IGVMWLUWBRZVKS-UHFFFAOYSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1ccc(C(=O)NCC2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000348765655 162899646 /nfs/dbraw/zinc/89/96/46/162899646.db2.gz SUTZDFOARRBKCY-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1c(CNC(=O)[C@H](C)C(C)(F)F)cccc1[N+](=O)[O-] ZINC000349681515 163003311 /nfs/dbraw/zinc/00/33/11/163003311.db2.gz WHWUFMCMABSGGO-VIFPVBQESA-N 0 0 286.278 2.811 20 5 CFBDRN CCc1nccn1Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000349817236 163019792 /nfs/dbraw/zinc/01/97/92/163019792.db2.gz TVKVWQDJDPIWBV-UHFFFAOYSA-N 0 0 267.235 2.680 20 5 CFBDRN CSCCCOc1c(Cl)cncc1[N+](=O)[O-] ZINC000349916019 163036690 /nfs/dbraw/zinc/03/66/90/163036690.db2.gz PSPSHJNOGYLRPT-UHFFFAOYSA-N 0 0 262.718 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](C)CF ZINC000349918988 163036721 /nfs/dbraw/zinc/03/67/21/163036721.db2.gz WFMDKVXAKFOVEO-QMMMGPOBSA-N 0 0 269.276 2.691 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCc1nccs1 ZINC000349918046 163037362 /nfs/dbraw/zinc/03/73/62/163037362.db2.gz VEIQOYYPLXPWPD-UHFFFAOYSA-N 0 0 271.685 2.679 20 5 CFBDRN CC(C)n1ccc(COc2c(Cl)cncc2[N+](=O)[O-])n1 ZINC000349922214 163037822 /nfs/dbraw/zinc/03/78/22/163037822.db2.gz WYZMOMJNDKBPPV-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@@H](C(C)C)C1 ZINC000350361822 163074239 /nfs/dbraw/zinc/07/42/39/163074239.db2.gz WVJGCAFEUXAILJ-OAHLLOKOSA-N 0 0 278.352 2.760 20 5 CFBDRN CO[C@](C)(c1noc(-c2cccc([N+](=O)[O-])c2)n1)C1CC1 ZINC000350424241 163079294 /nfs/dbraw/zinc/07/92/94/163079294.db2.gz VDGPREVXDVBHQF-AWEZNQCLSA-N 0 0 289.291 2.916 20 5 CFBDRN CC[C@](C)(OC)c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000350447593 163082798 /nfs/dbraw/zinc/08/27/98/163082798.db2.gz DKDLIZCFJDDULK-NSHDSACASA-N 0 0 283.309 2.978 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccnc1 ZINC000350799891 163107430 /nfs/dbraw/zinc/10/74/30/163107430.db2.gz CFEOHTWDDHDPER-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@H]1CCOC1 ZINC000351341831 163126101 /nfs/dbraw/zinc/12/61/01/163126101.db2.gz QNHLPFDDLYRVQY-LLVKDONJSA-N 0 0 278.308 2.577 20 5 CFBDRN Cc1cnc(N[C@H](CO)c2ccsc2)c([N+](=O)[O-])c1 ZINC000351560974 163146595 /nfs/dbraw/zinc/14/65/95/163146595.db2.gz FCQPNHCSQABJAN-SNVBAGLBSA-N 0 0 279.321 2.505 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000351561739 163147551 /nfs/dbraw/zinc/14/75/51/163147551.db2.gz HMXYDUDKXLLEFN-QUCGXOGASA-N 0 0 287.319 2.882 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000351585925 163152011 /nfs/dbraw/zinc/15/20/11/163152011.db2.gz ZMHGTWHUMZNNDA-AOOOYVTPSA-N 0 0 294.738 2.944 20 5 CFBDRN COCCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351627629 163160831 /nfs/dbraw/zinc/16/08/31/163160831.db2.gz HKRCASFNWFXTCP-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CC2CCC1CC2 ZINC000351682478 163173564 /nfs/dbraw/zinc/17/35/64/163173564.db2.gz IJRQHXQVLJCXMB-ORHYLEIMSA-N 0 0 288.347 2.832 20 5 CFBDRN Cc1c[nH]c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000351774390 163192163 /nfs/dbraw/zinc/19/21/63/163192163.db2.gz NTTYIJBABBMATI-MRVPVSSYSA-N 0 0 292.270 2.508 20 5 CFBDRN COc1cc(Cn2cc(Cl)cn2)ccc1[N+](=O)[O-] ZINC000351820459 163198403 /nfs/dbraw/zinc/19/84/03/163198403.db2.gz GOUHIZOLJOFBKH-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN CN(CCNc1ccc([N+](=O)[O-])cc1F)CC(F)(F)F ZINC000351842188 163201764 /nfs/dbraw/zinc/20/17/64/163201764.db2.gz VXWGGKXVGXYAFY-UHFFFAOYSA-N 0 0 295.236 2.640 20 5 CFBDRN Nc1nc(Nc2csc3ccccc23)ncc1[N+](=O)[O-] ZINC000351880885 163209339 /nfs/dbraw/zinc/20/93/39/163209339.db2.gz BXHUQMIBQRQDGB-UHFFFAOYSA-N 0 0 287.304 2.756 20 5 CFBDRN COc1cc(COc2ccccc2CO)ccc1[N+](=O)[O-] ZINC000351914156 163215994 /nfs/dbraw/zinc/21/59/94/163215994.db2.gz ZTPIEXLZAYRBOQ-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN CCCCN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352682138 163221286 /nfs/dbraw/zinc/22/12/86/163221286.db2.gz ZUIQKTFUJAIICH-UHFFFAOYSA-N 0 0 250.298 2.589 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N(C)Cc1nccn1C ZINC000352780309 163232881 /nfs/dbraw/zinc/23/28/81/163232881.db2.gz TVPYGGBRHPIRHH-GFCCVEGCSA-N 0 0 288.351 2.830 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H](C)c2cn[nH]c2)ccc1[N+](=O)[O-] ZINC000352911236 163267896 /nfs/dbraw/zinc/26/78/96/163267896.db2.gz GEQIPFKIDBKITL-VIFPVBQESA-N 0 0 289.295 2.509 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@@H](COC(F)F)C2)cs1 ZINC000353021884 163289023 /nfs/dbraw/zinc/28/90/23/163289023.db2.gz KTOXNFRAVVVPJK-MRVPVSSYSA-N 0 0 292.307 2.717 20 5 CFBDRN O=[N+]([O-])c1cc(CNCc2cnn3ccccc23)cs1 ZINC000353033283 163293048 /nfs/dbraw/zinc/29/30/48/163293048.db2.gz IPUOGPUCQMNESX-UHFFFAOYSA-N 0 0 288.332 2.594 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CC[C@@H]1CCCCO1 ZINC000353552782 163392681 /nfs/dbraw/zinc/39/26/81/163392681.db2.gz ZQELSQXELKJAIB-LBPRGKRZSA-N 0 0 276.336 2.917 20 5 CFBDRN CC(C)CN(CCn1ccc([N+](=O)[O-])n1)c1ccccc1 ZINC000353562464 163395095 /nfs/dbraw/zinc/39/50/95/163395095.db2.gz APFDIEKDUKGKGM-UHFFFAOYSA-N 0 0 288.351 2.954 20 5 CFBDRN Cc1oncc1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000353906847 163480784 /nfs/dbraw/zinc/48/07/84/163480784.db2.gz GMHUJGDUOPZDRD-UHFFFAOYSA-N 0 0 262.225 2.535 20 5 CFBDRN COc1ccc(NC(=O)C[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000355227288 163555770 /nfs/dbraw/zinc/55/57/70/163555770.db2.gz BYSUPLBHMTTWHA-RKDXNWHRSA-N 0 0 264.281 2.588 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCCCC[C@H]21 ZINC000362838012 163566635 /nfs/dbraw/zinc/56/66/35/163566635.db2.gz GSHODWGAZSRCCW-DZGCQCFKSA-N 0 0 288.347 2.928 20 5 CFBDRN Cn1ccc([C@@H]2CCCN(c3sccc3[N+](=O)[O-])C2)n1 ZINC000825638614 782012579 /nfs/dbraw/zinc/01/25/79/782012579.db2.gz QCXMZFARGLMYQV-SNVBAGLBSA-N 0 0 292.364 2.774 20 5 CFBDRN C[C@@H]1CCCCN1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000825529169 782012912 /nfs/dbraw/zinc/01/29/12/782012912.db2.gz DPAFYJBTGLKJJN-SECBINFHSA-N 0 0 297.742 2.767 20 5 CFBDRN COCCN(c1ncc(C)cc1[N+](=O)[O-])[C@H]1CC[C@@H](C)C1 ZINC000302708312 292515893 /nfs/dbraw/zinc/51/58/93/292515893.db2.gz JWSKTZBFDQHIRA-YPMHNXCESA-N 0 0 293.367 2.940 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000228129115 287104287 /nfs/dbraw/zinc/10/42/87/287104287.db2.gz BPOWSJIXRLXKMB-JGVFFNPUSA-N 0 0 258.705 2.820 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCO2)c2ncccc12 ZINC000004182103 169941748 /nfs/dbraw/zinc/94/17/48/169941748.db2.gz ZUYBXZNZDKJJCL-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccs2)c2nonc21 ZINC000004362019 169962079 /nfs/dbraw/zinc/96/20/79/169962079.db2.gz QJQJHCJXIXFXJN-UHFFFAOYSA-N 0 0 276.277 2.805 20 5 CFBDRN CCOc1cc(OCCOCC(F)F)ccc1[N+](=O)[O-] ZINC000284215779 196004504 /nfs/dbraw/zinc/00/45/04/196004504.db2.gz MEFMEHPXMJOINN-UHFFFAOYSA-N 0 0 291.250 2.654 20 5 CFBDRN Cc1c(C(=O)NCCC(C)C)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000005678227 170127619 /nfs/dbraw/zinc/12/76/19/170127619.db2.gz NAVNUIRZJNSOSV-UHFFFAOYSA-N 0 0 295.295 2.587 20 5 CFBDRN CCCCCCNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000005951100 170161012 /nfs/dbraw/zinc/16/10/12/170161012.db2.gz ALMUBTVQZOMPSO-UHFFFAOYSA-N 0 0 280.324 2.670 20 5 CFBDRN C[C@@H]1CC(O)C[C@@H](C)N1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000429314120 287230061 /nfs/dbraw/zinc/23/00/61/287230061.db2.gz YGSAXEQPSRSPMP-NXEZZACHSA-N 0 0 298.770 2.982 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1nccs1 ZINC000006189022 170181660 /nfs/dbraw/zinc/18/16/60/170181660.db2.gz GYKBFMDVLSUYTA-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccccc2F)cc1[N+](=O)[O-] ZINC000006260549 170186413 /nfs/dbraw/zinc/18/64/13/170186413.db2.gz JSVJOIGIALBZHL-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cc(F)ccc1O ZINC000006535046 170211379 /nfs/dbraw/zinc/21/13/79/170211379.db2.gz LKVUSGNOTHYDKI-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN CN(CC(=O)Nc1cccc([N+](=O)[O-])c1)Cc1ccccc1 ZINC000007785755 170302717 /nfs/dbraw/zinc/30/27/17/170302717.db2.gz UOPQGHLYXMPHOO-UHFFFAOYSA-N 0 0 299.330 2.665 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccco2)c([N+](=O)[O-])c1 ZINC000007981868 170311571 /nfs/dbraw/zinc/31/15/71/170311571.db2.gz PIUXPQNREYRDJU-UHFFFAOYSA-N 0 0 277.236 2.751 20 5 CFBDRN Cc1ccccc1CN(C)c1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000007984967 170311586 /nfs/dbraw/zinc/31/15/86/170311586.db2.gz XUWAFOAIGRUNSU-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN CS(=O)(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 ZINC000008056013 170316746 /nfs/dbraw/zinc/31/67/46/170316746.db2.gz LTNAHUFGNPODQG-UHFFFAOYSA-N 0 0 292.316 2.742 20 5 CFBDRN Cc1cccnc1COc1ccc([N+](=O)[O-])cc1C=O ZINC000008701570 170346964 /nfs/dbraw/zinc/34/69/64/170346964.db2.gz LAKXSZWTUNXGEB-UHFFFAOYSA-N 0 0 272.260 2.690 20 5 CFBDRN Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2)ccn1 ZINC000010163002 170399420 /nfs/dbraw/zinc/39/94/20/170399420.db2.gz OBYNEIQALDKKIJ-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN COC(=O)[C@@H](C)CS/C=C/c1ccc([N+](=O)[O-])o1 ZINC000284392591 196046328 /nfs/dbraw/zinc/04/63/28/196046328.db2.gz ZBPFRNONQDHGKG-GJIOHYHPSA-N 0 0 271.294 2.701 20 5 CFBDRN CC(C)(C)C[C@@H](CC(=O)[O-])[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000284401802 196047582 /nfs/dbraw/zinc/04/75/82/196047582.db2.gz ZRVYUYMILGPOBV-GFCCVEGCSA-N 0 0 294.351 2.964 20 5 CFBDRN CC[C@@H]1COCCN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000301323878 287239614 /nfs/dbraw/zinc/23/96/14/287239614.db2.gz WVIOVISKFCLDPA-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CCCCOCCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000020517123 171232886 /nfs/dbraw/zinc/23/28/86/171232886.db2.gz RZTHXHCBFJJEEC-UHFFFAOYSA-N 0 0 267.281 2.603 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000028199367 171549692 /nfs/dbraw/zinc/54/96/92/171549692.db2.gz WVMMPMOOJFCWKM-GHMZBOCLSA-N 0 0 291.351 2.755 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000029624268 171606041 /nfs/dbraw/zinc/60/60/41/171606041.db2.gz JMFXVCPTQYVWEB-NXEZZACHSA-N 0 0 252.318 2.709 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000032032135 171687953 /nfs/dbraw/zinc/68/79/53/171687953.db2.gz IGKPGKAVQBYOJI-CMPLNLGQSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1cccc(N2CC[C@@]3(C2)CCCOC3)c1[N+](=O)[O-] ZINC000376474706 292560958 /nfs/dbraw/zinc/56/09/58/292560958.db2.gz LGSDSPPUWHMQTQ-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN CC[C@H](C)[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OC ZINC000035019319 171937895 /nfs/dbraw/zinc/93/78/95/171937895.db2.gz IVCOGIMZOCNMNY-COPLHBTASA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000035134861 172001463 /nfs/dbraw/zinc/00/14/63/172001463.db2.gz GRJANTPTMRTCKJ-SSDOTTSWSA-N 0 0 268.700 2.777 20 5 CFBDRN O=[N+]([O-])c1c(NCC2CCC2)nc2sccn21 ZINC000035233366 172073122 /nfs/dbraw/zinc/07/31/22/172073122.db2.gz MBALUKFDAHISHI-UHFFFAOYSA-N 0 0 252.299 2.516 20 5 CFBDRN CCOCCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000035343486 172135749 /nfs/dbraw/zinc/13/57/49/172135749.db2.gz XTBNRTUKEWOXNZ-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN COC(=O)c1cc(OCCCCF)ccc1[N+](=O)[O-] ZINC000285304528 196246726 /nfs/dbraw/zinc/24/67/26/196246726.db2.gz WCWUUICYCVYXJB-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CC[C@@H](C)[C@H]2C)c1F ZINC000285308865 196247378 /nfs/dbraw/zinc/24/73/78/196247378.db2.gz HIYCGXWWAXZEAI-PSASIEDQSA-N 0 0 280.299 2.913 20 5 CFBDRN CCc1nn(C)cc1N[C@H](C)c1ccc(N)c([N+](=O)[O-])c1 ZINC000285332265 196253874 /nfs/dbraw/zinc/25/38/74/196253874.db2.gz UJRXEUHHFVSGMD-SECBINFHSA-N 0 0 289.339 2.646 20 5 CFBDRN Cc1nnc([C@@H](C)N(C)CCc2ccccc2[N+](=O)[O-])o1 ZINC000285293989 196243509 /nfs/dbraw/zinc/24/35/09/196243509.db2.gz KONGLNKFYVRZLK-SNVBAGLBSA-N 0 0 290.323 2.522 20 5 CFBDRN CCOC1CC(COc2ccc([N+](=O)[O-])cc2F)C1 ZINC000285342692 196255732 /nfs/dbraw/zinc/25/57/32/196255732.db2.gz YYHYZBSXYVDKHL-UHFFFAOYSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1nn(C)c(C)c1N[C@H](C)c1ccc(N)c([N+](=O)[O-])c1 ZINC000285438846 196284759 /nfs/dbraw/zinc/28/47/59/196284759.db2.gz YYEHAEKNHYKLCW-MRVPVSSYSA-N 0 0 289.339 2.700 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000036733503 172664343 /nfs/dbraw/zinc/66/43/43/172664343.db2.gz UJKQUVSVVCRFRX-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1coc(C)c1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000285678400 196364888 /nfs/dbraw/zinc/36/48/88/196364888.db2.gz PWBXCOXEDBCJJD-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN Cc1ncccc1CC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000285692582 196367756 /nfs/dbraw/zinc/36/77/56/196367756.db2.gz CDYNONFHWYGUBX-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1ncccc1CC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000285692584 196367771 /nfs/dbraw/zinc/36/77/71/196367771.db2.gz CDYNONFHWYGUBX-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN CCOCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000037379124 173167095 /nfs/dbraw/zinc/16/70/95/173167095.db2.gz KXGDNHJEEWZERT-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H](O)c1ccccc1 ZINC000037420884 173197764 /nfs/dbraw/zinc/19/77/64/173197764.db2.gz QLLUWNABCUKMIF-ZDUSSCGKSA-N 0 0 276.267 2.879 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000037489191 173230977 /nfs/dbraw/zinc/23/09/77/173230977.db2.gz MLBSAIXFZZBCMP-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN CC1(C(=O)Nc2n[nH]c3ccc([N+](=O)[O-])cc32)CCC1 ZINC000285869298 196425386 /nfs/dbraw/zinc/42/53/86/196425386.db2.gz VPYJTZLXHPNIIZ-UHFFFAOYSA-N 0 0 274.280 2.600 20 5 CFBDRN CN(CC(F)(F)F)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000037987802 173948709 /nfs/dbraw/zinc/94/87/09/173948709.db2.gz UVZDTCJPZNWHTA-UHFFFAOYSA-N 0 0 296.632 2.883 20 5 CFBDRN COCc1cccc(CNc2ccc([N+](=O)[O-])cn2)c1 ZINC000037998984 173961697 /nfs/dbraw/zinc/96/16/97/173961697.db2.gz LZFBMAHPIBFLFY-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN Cc1c(C[N@@H+]2CCCC[C@@H]2CC(=O)[O-])cccc1[N+](=O)[O-] ZINC000038027953 174015527 /nfs/dbraw/zinc/01/55/27/174015527.db2.gz GIPNLDLGDPPGQA-CYBMUJFWSA-N 0 0 292.335 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCOC2)c2ccncc21 ZINC000038046246 174054590 /nfs/dbraw/zinc/05/45/90/174054590.db2.gz BRQZYQTYXWUVCG-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cn1cc(Br)cn1 ZINC000038074735 174084613 /nfs/dbraw/zinc/08/46/13/174084613.db2.gz FVWPGDFXOBIZRQ-UHFFFAOYSA-N 0 0 282.097 2.602 20 5 CFBDRN Cc1c(Cn2cc(Br)cn2)cccc1[N+](=O)[O-] ZINC000038074851 174084711 /nfs/dbraw/zinc/08/47/11/174084711.db2.gz OXACBSRHSASODC-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN CC(C)(C)CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000040494086 174293362 /nfs/dbraw/zinc/29/33/62/174293362.db2.gz PXVLTPZGOJDMRF-UHFFFAOYSA-N 0 0 250.258 2.589 20 5 CFBDRN CCc1cc(NCCc2ccc([N+](=O)[O-])cc2)ncn1 ZINC000040765251 174397698 /nfs/dbraw/zinc/39/76/98/174397698.db2.gz UKBCNPUCGVWRKN-UHFFFAOYSA-N 0 0 272.308 2.602 20 5 CFBDRN CC(C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000040779517 174404284 /nfs/dbraw/zinc/40/42/84/174404284.db2.gz JBMCVSUTWORAHQ-NSHDSACASA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1c([C@H](C)Nc2ccc([N+](=O)[O-])cc2F)cnn1C ZINC000042098508 174637157 /nfs/dbraw/zinc/63/71/57/174637157.db2.gz NMTICUKSDGIBRS-QMMMGPOBSA-N 0 0 278.287 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccnc2[N+](=O)[O-])c(F)c1 ZINC000042096543 174637178 /nfs/dbraw/zinc/63/71/78/174637178.db2.gz PWABOAXBMNAUME-UHFFFAOYSA-N 0 0 279.183 2.829 20 5 CFBDRN Cc1c(NC(=O)NC[C@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000043346551 175017365 /nfs/dbraw/zinc/01/73/65/175017365.db2.gz FIQHNVHHXHSDLH-PSASIEDQSA-N 0 0 263.297 2.681 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000043348303 175018997 /nfs/dbraw/zinc/01/89/97/175018997.db2.gz SLEDSPSNXWDVBK-MRVPVSSYSA-N 0 0 251.286 2.823 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1Cc1ccc2ccccc2c1 ZINC000043669389 175086691 /nfs/dbraw/zinc/08/66/91/175086691.db2.gz QHTCWDADBFYEIP-UHFFFAOYSA-N 0 0 268.276 2.575 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000044365511 175167524 /nfs/dbraw/zinc/16/75/24/175167524.db2.gz UNZNPRJCDMFUNT-JTQLQIEISA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330673683 287298224 /nfs/dbraw/zinc/29/82/24/287298224.db2.gz YSOYDUXBUQUDBH-MFKMUULPSA-N 0 0 264.325 2.969 20 5 CFBDRN Cc1cc([C@@H](C)Nc2ncc([N+](=O)[O-])cn2)c(C)o1 ZINC000044730789 175240188 /nfs/dbraw/zinc/24/01/88/175240188.db2.gz PYLLFBDWTABEKT-MRVPVSSYSA-N 0 0 262.269 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCSC(C)C)n1 ZINC000286347226 196575471 /nfs/dbraw/zinc/57/54/71/196575471.db2.gz IRXDGTNCKHIDHG-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000286380693 196585248 /nfs/dbraw/zinc/58/52/48/196585248.db2.gz MTQCWPCXVJZEML-LLVKDONJSA-N 0 0 278.308 2.636 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCCN1c1ncc([N+](=O)[O-])s1 ZINC000286403379 196592142 /nfs/dbraw/zinc/59/21/42/196592142.db2.gz PSZTZWISTLWZQA-VHSXEESVSA-N 0 0 285.369 2.571 20 5 CFBDRN COCCOCCCNc1ccc([N+](=O)[O-])cc1Cl ZINC000070792108 176005819 /nfs/dbraw/zinc/00/58/19/176005819.db2.gz MQUUERKJORPEAG-UHFFFAOYSA-N 0 0 288.731 2.713 20 5 CFBDRN CCOC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000070929835 176012567 /nfs/dbraw/zinc/01/25/67/176012567.db2.gz RUXPZBLTAIHVLK-JTQLQIEISA-N 0 0 298.364 2.545 20 5 CFBDRN Cc1cc(N2CCCCC[C@@H]2C[C@@H](C)O)ncc1[N+](=O)[O-] ZINC000286446959 196606777 /nfs/dbraw/zinc/60/67/77/196606777.db2.gz SZPHNHWVAHSVHS-CHWSQXEVSA-N 0 0 293.367 2.818 20 5 CFBDRN CC(C)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000072537595 176411113 /nfs/dbraw/zinc/41/11/13/176411113.db2.gz RJIQJUPAJMMPKV-UHFFFAOYSA-N 0 0 251.286 2.762 20 5 CFBDRN CO[C@H]1CCCN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000076498724 177029519 /nfs/dbraw/zinc/02/95/19/177029519.db2.gz MTTFZNBJUWGFJG-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000077227867 177236265 /nfs/dbraw/zinc/23/62/65/177236265.db2.gz XLRKCBXVRJSKRX-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN CCCCN(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077323928 177253313 /nfs/dbraw/zinc/25/33/13/177253313.db2.gz YMQODYGVAYAGHX-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000078339557 177334285 /nfs/dbraw/zinc/33/42/85/177334285.db2.gz ITRMCIQZQNEWTQ-TXEJJXNPSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCSC1 ZINC000286587708 196649842 /nfs/dbraw/zinc/64/98/42/196649842.db2.gz AIZDXBMDJLRUHQ-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN C[C@@H](Sc1ncc([N+](=O)[O-])cc1Cl)[C@H](C)O ZINC000078582257 177356167 /nfs/dbraw/zinc/35/61/67/177356167.db2.gz NQQZJJHQYYVXPI-NTSWFWBYSA-N 0 0 262.718 2.505 20 5 CFBDRN CSc1cccc(F)c1CNc1c([N+](=O)[O-])ncn1C ZINC000286594190 196651669 /nfs/dbraw/zinc/65/16/69/196651669.db2.gz OMSVZPQPAWNOEL-UHFFFAOYSA-N 0 0 296.327 2.801 20 5 CFBDRN CC(C)N(C)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000078729236 177377664 /nfs/dbraw/zinc/37/76/64/177377664.db2.gz JSXQGMOKTNELDO-UHFFFAOYSA-N 0 0 268.338 2.554 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c(N)cccc2[N+](=O)[O-])CC(C)(C)C1 ZINC000345422072 296910034 /nfs/dbraw/zinc/91/00/34/296910034.db2.gz MUGIQDAZYFYRGI-JTQLQIEISA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000102665192 287339536 /nfs/dbraw/zinc/33/95/36/287339536.db2.gz AUSMKYBYHYQJAO-SECBINFHSA-N 0 0 266.272 2.606 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC12CCCC2 ZINC000336176547 292584372 /nfs/dbraw/zinc/58/43/72/292584372.db2.gz CWSCRFBGMJBBCG-LBPRGKRZSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@@H]1CCCO1 ZINC000301557499 292584728 /nfs/dbraw/zinc/58/47/28/292584728.db2.gz WYNJOFIFQUGDNB-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CC[C@@]1(C)CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000276101915 287421737 /nfs/dbraw/zinc/42/17/37/287421737.db2.gz YDEDYKWTTNGRIJ-ZDUSSCGKSA-N 0 0 268.288 2.739 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000275019398 287436510 /nfs/dbraw/zinc/43/65/10/287436510.db2.gz LSQONJFIDDARNX-CQSZACIVSA-N 0 0 282.315 2.723 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NCC(C)(C)CC(C)C)n1 ZINC000191660433 227120210 /nfs/dbraw/zinc/12/02/10/227120210.db2.gz PIIXXOBXYWWKLX-UHFFFAOYSA-N 0 0 296.371 2.613 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1C[C@H]2CCCC[C@H]21 ZINC000366352266 304498809 /nfs/dbraw/zinc/49/88/09/304498809.db2.gz DXEBUJPKFVOBAM-BXKDBHETSA-N 0 0 278.283 2.749 20 5 CFBDRN C[C@@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@H]1n1ccnc1 ZINC000268216496 287604729 /nfs/dbraw/zinc/60/47/29/287604729.db2.gz BIBIAUQFFCGOSD-IUODEOHRSA-N 0 0 286.335 2.879 20 5 CFBDRN CC/C=C(/C)C(=O)NCCN(C)c1ccccc1[N+](=O)[O-] ZINC001099976474 782035153 /nfs/dbraw/zinc/03/51/53/782035153.db2.gz KJZDFNBVIANIGV-GHXNOFRVSA-N 0 0 291.351 2.504 20 5 CFBDRN Cc1cnc(N[C@@H]2CS[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000397913946 270320597 /nfs/dbraw/zinc/32/05/97/270320597.db2.gz BYAOWUHYBKMCBU-BDAKNGLRSA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1c(CN[C@H](C)c2csnn2)cccc1[N+](=O)[O-] ZINC000398316320 270322128 /nfs/dbraw/zinc/32/21/28/270322128.db2.gz DCYAUSLSASHIES-SECBINFHSA-N 0 0 278.337 2.606 20 5 CFBDRN CNc1ccc(C(=O)N(C)[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000027181037 260122309 /nfs/dbraw/zinc/12/23/09/260122309.db2.gz KZSFQRNOHBDXSK-SNVBAGLBSA-N 0 0 279.340 2.753 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCC(CCO)CC1 ZINC000401005487 260130779 /nfs/dbraw/zinc/13/07/79/260130779.db2.gz OWZHCFZPSFCUDI-UHFFFAOYSA-N 0 0 298.770 2.843 20 5 CFBDRN Cc1ccc(N[C@@H]2COCC2(C)C)c([N+](=O)[O-])c1 ZINC000313039905 260241217 /nfs/dbraw/zinc/24/12/17/260241217.db2.gz MPACZXRMITWGBQ-GFCCVEGCSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(F)cn1 ZINC000181628110 260598091 /nfs/dbraw/zinc/59/80/91/260598091.db2.gz BJPPAIDXAQDLLZ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCc1nccs1 ZINC000161679247 261119203 /nfs/dbraw/zinc/11/92/03/261119203.db2.gz BWJCISCIUARHJM-UHFFFAOYSA-N 0 0 253.308 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCc1cnccn1 ZINC000390010529 262075110 /nfs/dbraw/zinc/07/51/10/262075110.db2.gz NRFCSUPUBKOJNB-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN CC(C)CC(C)(C)CNc1c([N+](=O)[O-])ncn1C ZINC000391153441 262385012 /nfs/dbraw/zinc/38/50/12/262385012.db2.gz DBWJBTQZGBZZRZ-UHFFFAOYSA-N 0 0 254.334 2.813 20 5 CFBDRN COc1ccc(NC(=O)N2C[C@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000272760088 263008529 /nfs/dbraw/zinc/00/85/29/263008529.db2.gz AFCYVMSYPSDOBV-AOOOYVTPSA-N 0 0 293.323 2.723 20 5 CFBDRN CC[C@@H](N[C@H](C)c1ncn(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000391884402 265024549 /nfs/dbraw/zinc/02/45/49/265024549.db2.gz XNVJMIGJFCSAFC-ZWNOBZJWSA-N 0 0 289.339 2.525 20 5 CFBDRN CCN(CC(F)F)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000182521365 265326560 /nfs/dbraw/zinc/32/65/60/265326560.db2.gz IWCKSEDNJMRWMH-VOTSOKGWSA-N 0 0 284.262 2.722 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCC2CCC(=O)CC2)c1C ZINC000519712469 265565754 /nfs/dbraw/zinc/56/57/54/265565754.db2.gz ORENBWDCJRGSMW-UHFFFAOYSA-N 0 0 278.308 2.745 20 5 CFBDRN CC[C@@H](O)CCCNc1ccc([N+](=O)[O-])cc1COC ZINC000357506865 266311875 /nfs/dbraw/zinc/31/18/75/266311875.db2.gz VYFSYXRSVWJMRG-CYBMUJFWSA-N 0 0 282.340 2.704 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCCO2)ccc2ncccc21 ZINC000037378978 270225721 /nfs/dbraw/zinc/22/57/21/270225721.db2.gz MDLFNNLVYOPPNN-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCO2)c1csc([N+](=O)[O-])c1 ZINC000193394053 270238234 /nfs/dbraw/zinc/23/82/34/270238234.db2.gz LNZDWQREXRGCHC-UHFFFAOYSA-N 0 0 290.300 2.844 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000194892236 270248014 /nfs/dbraw/zinc/24/80/14/270248014.db2.gz KKPPDELWPGJHDO-JTQLQIEISA-N 0 0 280.324 2.912 20 5 CFBDRN CCOc1cc(NC[C@@H](O)C2CCC2)ccc1[N+](=O)[O-] ZINC000315561031 270292730 /nfs/dbraw/zinc/29/27/30/270292730.db2.gz OFALEBUXSSFROC-CYBMUJFWSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1nn(C)cc1Cl ZINC000393349323 270307758 /nfs/dbraw/zinc/30/77/58/270307758.db2.gz NUGZEJJBOPFARP-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)CS1 ZINC000397845336 270320032 /nfs/dbraw/zinc/32/00/32/270320032.db2.gz DUKRELAPMDCZBG-RQJHMYQMSA-N 0 0 273.745 2.949 20 5 CFBDRN CCOc1cccc(NC[C@H]2CC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000397914394 270320334 /nfs/dbraw/zinc/32/03/34/270320334.db2.gz FXPQWILKIONWKG-GHMZBOCLSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cnc(N[C@H]2CS[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000397913948 270320565 /nfs/dbraw/zinc/32/05/65/270320565.db2.gz BYAOWUHYBKMCBU-DTWKUNHWSA-N 0 0 253.327 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CC[C@@H](C)O2)c1 ZINC000397896361 270320624 /nfs/dbraw/zinc/32/06/24/270320624.db2.gz ISYMVODUCJHXEZ-KOLCDFICSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1nc(N[C@H]2CS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000397990059 270321605 /nfs/dbraw/zinc/32/16/05/270321605.db2.gz WMKWLWPCFIRAOG-IONNQARKSA-N 0 0 253.327 2.604 20 5 CFBDRN C[C@H]1C[C@@H](CO)CN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000398831910 270323996 /nfs/dbraw/zinc/32/39/96/270323996.db2.gz XXOXENCLGJSCPZ-VHSXEESVSA-N 0 0 284.743 2.703 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCC1(CO)CCC1 ZINC000432166630 270333688 /nfs/dbraw/zinc/33/36/88/270333688.db2.gz MRTUZCCGKDMYSU-UHFFFAOYSA-N 0 0 270.716 2.823 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc2cc[nH]c21 ZINC000514701996 270349148 /nfs/dbraw/zinc/34/91/48/270349148.db2.gz LKDVYGZIUQELFE-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H](C3CCC3)C2)c1 ZINC000514781133 270352981 /nfs/dbraw/zinc/35/29/81/270352981.db2.gz NZIXPBINTMGRGV-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN CCc1cnc(CNc2c(OC)cccc2[N+](=O)[O-])o1 ZINC000515339721 270368462 /nfs/dbraw/zinc/36/84/62/270368462.db2.gz RQHNZUVADOJHTE-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC1(CF)CCC1 ZINC000515350185 270369089 /nfs/dbraw/zinc/36/90/89/270369089.db2.gz OBQASWLADHPANZ-UHFFFAOYSA-N 0 0 297.286 2.617 20 5 CFBDRN CC(C)SCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000515682873 270374234 /nfs/dbraw/zinc/37/42/34/270374234.db2.gz TXLLYGZCAFCZNK-SNVBAGLBSA-N 0 0 282.365 2.914 20 5 CFBDRN CSCCN(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000515963246 270375771 /nfs/dbraw/zinc/37/57/71/270375771.db2.gz AVSHMRCHNXYJLK-JTQLQIEISA-N 0 0 282.365 2.520 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@@H](CCO)CC1 ZINC000516938527 270392478 /nfs/dbraw/zinc/39/24/78/270392478.db2.gz ZKTFQQVKORWMFA-GFCCVEGCSA-N 0 0 294.351 2.592 20 5 CFBDRN C[C@H]1CCC[C@@H](CCNC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000523731840 270458394 /nfs/dbraw/zinc/45/83/94/270458394.db2.gz DARUVMWDFQHZLE-RYUDHWBXSA-N 0 0 293.367 2.880 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cc(F)ccc1Cl ZINC000524388642 270462729 /nfs/dbraw/zinc/46/27/29/270462729.db2.gz QKDNYICKTAIYJV-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN CCc1cnc(CSc2ncccc2[N+](=O)[O-])o1 ZINC000525198879 270473935 /nfs/dbraw/zinc/47/39/35/270473935.db2.gz IGMFVGOOUZGAKD-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2CCC3(CCCC3)O2)cc1[N+](=O)[O-] ZINC000526068452 270482567 /nfs/dbraw/zinc/48/25/67/270482567.db2.gz AHPVUEIAUBLUMO-GFCCVEGCSA-N 0 0 292.335 2.557 20 5 CFBDRN CC1CCC(C)(CNC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000530303621 270784486 /nfs/dbraw/zinc/78/44/86/270784486.db2.gz PXRNLBWGSDNQSD-UHFFFAOYSA-N 0 0 293.367 2.880 20 5 CFBDRN COC[C@@H](C)CC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000531267265 270828901 /nfs/dbraw/zinc/82/89/01/270828901.db2.gz UWMOAPXPTSCWCX-NSHDSACASA-N 0 0 292.335 2.547 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000531867117 270845579 /nfs/dbraw/zinc/84/55/79/270845579.db2.gz JKPVYGUKNQBZHI-UHFFFAOYSA-N 0 0 285.303 2.749 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531880884 270846438 /nfs/dbraw/zinc/84/64/38/270846438.db2.gz UHDAYJJPLQKBGH-MNOVXSKESA-N 0 0 277.324 2.546 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NC1(C2CC2)CC1 ZINC000532118127 270852586 /nfs/dbraw/zinc/85/25/86/270852586.db2.gz DCVAQEZBEKXKAM-UHFFFAOYSA-N 0 0 292.360 2.746 20 5 CFBDRN C[C@@H]1CCc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532144966 270855179 /nfs/dbraw/zinc/85/51/79/270855179.db2.gz WRDPTQPVVWNSOA-LLVKDONJSA-N 0 0 297.314 2.971 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])o1 ZINC000532933639 270871779 /nfs/dbraw/zinc/87/17/79/270871779.db2.gz FWEHIOKWHCZSDY-YFKPBYRVSA-N 0 0 283.675 2.508 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000075287748 287731813 /nfs/dbraw/zinc/73/18/13/287731813.db2.gz GPMCKDBTOPMFSL-WDEREUQCSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H](SCCN1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000160050533 274275117 /nfs/dbraw/zinc/27/51/17/274275117.db2.gz HQQRMRQPUKYHMC-GFCCVEGCSA-N 0 0 296.392 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@H](n2cccn2)C1 ZINC000292369905 275035782 /nfs/dbraw/zinc/03/57/82/275035782.db2.gz PJVOLSARFDSFJK-NSHDSACASA-N 0 0 292.726 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccccc3CC2)nc1 ZINC000301314422 275036922 /nfs/dbraw/zinc/03/69/22/275036922.db2.gz LHNDESYCCSZVLW-UHFFFAOYSA-N 0 0 269.304 2.595 20 5 CFBDRN CC(=O)c1cc(N2CCCSCC2)ccc1[N+](=O)[O-] ZINC000228258213 275501937 /nfs/dbraw/zinc/50/19/37/275501937.db2.gz HIARYKJFXZQYSZ-UHFFFAOYSA-N 0 0 280.349 2.741 20 5 CFBDRN CC1(C)CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000278360899 275824515 /nfs/dbraw/zinc/82/45/15/275824515.db2.gz CUWSSPJSIHOKQM-UHFFFAOYSA-N 0 0 260.297 2.707 20 5 CFBDRN CC1(CNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)CC1 ZINC000224426776 275897812 /nfs/dbraw/zinc/89/78/12/275897812.db2.gz DKKADSBWZYZRMJ-UHFFFAOYSA-N 0 0 275.308 2.692 20 5 CFBDRN CC1(F)CC(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000334866545 275898562 /nfs/dbraw/zinc/89/85/62/275898562.db2.gz FJNBJCKIPDXXHF-UHFFFAOYSA-N 0 0 292.266 2.961 20 5 CFBDRN CC1=C(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)CCC1 ZINC000331672274 275902703 /nfs/dbraw/zinc/90/27/03/275902703.db2.gz ZFBCIYPJWPNEON-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN CCC1CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000335078177 275966995 /nfs/dbraw/zinc/96/69/95/275966995.db2.gz LBFSHKRIJXGFIJ-UHFFFAOYSA-N 0 0 274.276 2.823 20 5 CFBDRN CC(C)c1[nH]nc(-c2nc(-c3ccco3)no2)c1[N+](=O)[O-] ZINC000278047710 276040285 /nfs/dbraw/zinc/04/02/85/276040285.db2.gz YNOAOSQRTUXYDL-UHFFFAOYSA-N 0 0 289.251 2.751 20 5 CFBDRN CC1(C)C[C@@H](CNc2ncccc2[N+](=O)[O-])CCO1 ZINC000413345141 276140505 /nfs/dbraw/zinc/14/05/05/276140505.db2.gz ZTYJKQCBOZWMRA-JTQLQIEISA-N 0 0 265.313 2.607 20 5 CFBDRN CCC[C@@H](NC(=O)c1c([N+](=O)[O-])c(C)nn1C)C(C)(C)C ZINC000452659275 276271165 /nfs/dbraw/zinc/27/11/65/276271165.db2.gz FIADUPKVZCBAFJ-SNVBAGLBSA-N 0 0 296.371 2.581 20 5 CFBDRN CCOC(=O)C1CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000084726791 276275091 /nfs/dbraw/zinc/27/50/91/276275091.db2.gz UHSPWLLGRGSPQD-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN CCOC1(C)CN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000450580431 276308583 /nfs/dbraw/zinc/30/85/83/276308583.db2.gz MIYIHQLHKRXHHU-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000267103354 276331329 /nfs/dbraw/zinc/33/13/29/276331329.db2.gz PLSGLKUCFWRSEU-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000301093688 276358800 /nfs/dbraw/zinc/35/88/00/276358800.db2.gz GEFOOXDTCFVKHH-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN CCOC1(C)CN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000450576579 276449526 /nfs/dbraw/zinc/44/95/26/276449526.db2.gz ANNMZCJQOSCJBH-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CCO[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@H]1C ZINC000450747108 276468922 /nfs/dbraw/zinc/46/89/22/276468922.db2.gz SKYISQBNPKQVKO-ZWNOBZJWSA-N 0 0 282.315 2.985 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269386086 276619425 /nfs/dbraw/zinc/61/94/25/276619425.db2.gz KVYWXRVSBYQADC-DTWKUNHWSA-N 0 0 282.344 2.606 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)C[C@H]1CC=CCC1 ZINC000359578708 276717966 /nfs/dbraw/zinc/71/79/66/276717966.db2.gz PYKVHDBXRBHLJG-LBPRGKRZSA-N 0 0 278.356 2.912 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cn1)C1CCC(C)(C)CC1 ZINC000302141779 276870921 /nfs/dbraw/zinc/87/09/21/276870921.db2.gz FHOBNZZSEILOQK-UHFFFAOYSA-N 0 0 264.329 2.790 20 5 CFBDRN CN1C(=O)Cc2cc(OCc3ccccc3[N+](=O)[O-])ccc21 ZINC000435890081 277015846 /nfs/dbraw/zinc/01/58/46/277015846.db2.gz XJFONMXMBUKDEC-UHFFFAOYSA-N 0 0 298.298 2.693 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCCC2(C)C)c([N+](=O)[O-])c1 ZINC000149446568 277018055 /nfs/dbraw/zinc/01/80/55/277018055.db2.gz CWQYGMHADBLYNG-CYBMUJFWSA-N 0 0 291.351 2.945 20 5 CFBDRN CC(=O)c1ccc(N2CCSC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000070220095 277111623 /nfs/dbraw/zinc/11/16/23/277111623.db2.gz FLUWDDAPMDUEIP-VIFPVBQESA-N 0 0 280.349 2.739 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281533170 277293700 /nfs/dbraw/zinc/29/37/00/277293700.db2.gz AMNTZXBDKSJMFL-GXFFZTMASA-N 0 0 292.335 2.801 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C12CCC2 ZINC000413435741 277307097 /nfs/dbraw/zinc/30/70/97/277307097.db2.gz FVIQAZLLQRXDLT-VXGBXAGGSA-N 0 0 291.351 2.976 20 5 CFBDRN CC(C)=CCN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352681506 277324997 /nfs/dbraw/zinc/32/49/97/277324997.db2.gz XXEUIDLJGUXZKV-UHFFFAOYSA-N 0 0 262.309 2.755 20 5 CFBDRN COC(=O)Cc1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 ZINC000295259234 277331502 /nfs/dbraw/zinc/33/15/02/277331502.db2.gz HLNCDZCGEKXKFN-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN CO[C@H]1CCCN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000450306267 277337698 /nfs/dbraw/zinc/33/76/98/277337698.db2.gz CKZBRXJHLNOAMF-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C[C@H]1C ZINC000276962551 277354969 /nfs/dbraw/zinc/35/49/69/277354969.db2.gz SLOIYEUQRBLJGM-DGCLKSJQSA-N 0 0 292.335 2.539 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C[C@@H]1C ZINC000276946174 277355174 /nfs/dbraw/zinc/35/51/74/277355174.db2.gz QXRMGCRNQZHEQE-WCQYABFASA-N 0 0 292.335 2.539 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000280254090 277358879 /nfs/dbraw/zinc/35/88/79/277358879.db2.gz NJAZEBISJXQPSV-CQSZACIVSA-N 0 0 279.340 2.694 20 5 CFBDRN CC(C)CC[C@H]1CCCCN1c1ncc([N+](=O)[O-])c(N)n1 ZINC000301672810 277367038 /nfs/dbraw/zinc/36/70/38/277367038.db2.gz REFHYACVAFYAFB-LLVKDONJSA-N 0 0 293.371 2.762 20 5 CFBDRN CC(C)CN(C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])C1CC1 ZINC000331431263 277373914 /nfs/dbraw/zinc/37/39/14/277373914.db2.gz CTUNWGLXXTZEEX-UHFFFAOYSA-N 0 0 294.355 2.702 20 5 CFBDRN COc1cc(N2CCC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000294956510 277381679 /nfs/dbraw/zinc/38/16/79/277381679.db2.gz MYEMCMXAINOQQM-CYBMUJFWSA-N 0 0 268.288 2.932 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C)cn2)c1 ZINC000096561121 277431159 /nfs/dbraw/zinc/43/11/59/277431159.db2.gz BJHIAIIRPNJMLF-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COCC1=CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000274673198 277485664 /nfs/dbraw/zinc/48/56/64/277485664.db2.gz YIYMXUJPKMTBIN-UHFFFAOYSA-N 0 0 266.272 2.517 20 5 CFBDRN CC(C)C[C@H]1CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000180835212 277499813 /nfs/dbraw/zinc/49/98/13/277499813.db2.gz MJEODTKAJKQMBJ-LLVKDONJSA-N 0 0 291.351 2.685 20 5 CFBDRN CSC[C@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000066759753 277514248 /nfs/dbraw/zinc/51/42/48/277514248.db2.gz DXMFJCBSDYEHHF-UWVGGRQHSA-N 0 0 294.376 2.872 20 5 CFBDRN COc1cc(N2CC[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000270487617 277826560 /nfs/dbraw/zinc/82/65/60/277826560.db2.gz CBSZJHNVZAYGKD-MRVPVSSYSA-N 0 0 254.261 2.589 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)CCC1=O ZINC000275433690 277917052 /nfs/dbraw/zinc/91/70/52/277917052.db2.gz VQVMECWHLGTAHV-MRVPVSSYSA-N 0 0 268.700 2.664 20 5 CFBDRN COc1ccc2nc(-c3ccc([N+](=O)[O-])s3)[nH]c2n1 ZINC000359842477 277940074 /nfs/dbraw/zinc/94/00/74/277940074.db2.gz BFOLRQJCHXXNTH-UHFFFAOYSA-N 0 0 276.277 2.603 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000275908329 278033220 /nfs/dbraw/zinc/03/32/20/278033220.db2.gz VPWVBUGDIIFAGU-NSHDSACASA-N 0 0 294.376 2.954 20 5 CFBDRN C[C@@H]1Cc2ccccc2N(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000334366947 278040496 /nfs/dbraw/zinc/04/04/96/278040496.db2.gz QPUDWFNSHKVVRP-SNVBAGLBSA-N 0 0 285.303 2.762 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000296404253 278077880 /nfs/dbraw/zinc/07/78/80/278077880.db2.gz QLXBSQBXVWFXOC-JGVFFNPUSA-N 0 0 267.260 2.606 20 5 CFBDRN CC(C)c1nccn1Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000090360510 278081850 /nfs/dbraw/zinc/08/18/50/278081850.db2.gz OLUHXKJVBQCTRT-UHFFFAOYSA-N 0 0 290.279 2.871 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000416081196 278090058 /nfs/dbraw/zinc/09/00/58/278090058.db2.gz QHCUGMMBTJAIPH-MXWKQRLJSA-N 0 0 262.309 2.711 20 5 CFBDRN C[C@@]1(O)CCCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000235420547 278128586 /nfs/dbraw/zinc/12/85/86/278128586.db2.gz IKZWBVJBBMDYHV-CYBMUJFWSA-N 0 0 286.278 2.614 20 5 CFBDRN CC1(C)CCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000102918379 278218890 /nfs/dbraw/zinc/21/88/90/278218890.db2.gz WTOYXGKRFCCQLG-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN CC1(C)CC[C@@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000310951939 278271481 /nfs/dbraw/zinc/27/14/81/278271481.db2.gz RHGJMHKWMDDFCH-ZETCQYMHSA-N 0 0 266.326 2.905 20 5 CFBDRN C[C@H]1CCN(c2c(Br)cncc2[N+](=O)[O-])C1 ZINC000270352662 278369389 /nfs/dbraw/zinc/36/93/89/278369389.db2.gz IAFKQGRWLDJTBK-ZETCQYMHSA-N 0 0 286.129 2.599 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])C(=O)NC(C)(C)C ZINC000134824098 278379483 /nfs/dbraw/zinc/37/94/83/278379483.db2.gz GTZOIMVGTYOPLJ-GHMZBOCLSA-N 0 0 293.367 2.549 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C[C@@H]1C ZINC000336055330 278403966 /nfs/dbraw/zinc/40/39/66/278403966.db2.gz KHDSTFIYJNJYEL-IUCAKERBSA-N 0 0 281.287 2.854 20 5 CFBDRN C[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000301777452 278440780 /nfs/dbraw/zinc/44/07/80/278440780.db2.gz IACVCTRFDQLDDK-YUMQZZPRSA-N 0 0 256.252 2.965 20 5 CFBDRN C[C@H]1COCCN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000378262045 278455655 /nfs/dbraw/zinc/45/56/55/278455655.db2.gz QQKLFVCKHJRRLW-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CC1(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])CCCC1 ZINC000066468100 278463562 /nfs/dbraw/zinc/46/35/62/278463562.db2.gz HNQVDQDIFICHNF-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN CC1=CCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000280714197 278495907 /nfs/dbraw/zinc/49/59/07/278495907.db2.gz IBQOATIWXMJIJJ-UHFFFAOYSA-N 0 0 259.309 2.719 20 5 CFBDRN CC1=CCCN(c2c(Br)cncc2[N+](=O)[O-])C1 ZINC000280803525 278495919 /nfs/dbraw/zinc/49/59/19/278495919.db2.gz SFXDFYDDCRDQHZ-UHFFFAOYSA-N 0 0 298.140 2.909 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342844354 278508259 /nfs/dbraw/zinc/50/82/59/278508259.db2.gz UPGGDLPDVMQFRA-SNVBAGLBSA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@@H]1CCC[C@H]1Nc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000071208270 278524570 /nfs/dbraw/zinc/52/45/70/278524570.db2.gz FMPMJLSQBORIFQ-RKDXNWHRSA-N 0 0 291.307 2.526 20 5 CFBDRN CC1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000131574432 278536226 /nfs/dbraw/zinc/53/62/26/278536226.db2.gz QVYNJHQSYQFJCG-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cc3c(cc2[N+](=O)[O-])OCC(=O)N3)C1 ZINC000133363650 278589675 /nfs/dbraw/zinc/58/96/75/278589675.db2.gz CZMVLKRLCFNGFE-RKDXNWHRSA-N 0 0 291.307 2.526 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCCO[C@@H]2C)cccc1[N+](=O)[O-] ZINC000190427076 278605667 /nfs/dbraw/zinc/60/56/67/278605667.db2.gz ZICVCXMXZGAAJG-GHMZBOCLSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1cc(N2CCOCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000302005660 278712582 /nfs/dbraw/zinc/71/25/82/278712582.db2.gz UXFSFCXCSLNBKQ-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC[C@H]1C ZINC000104621400 278745888 /nfs/dbraw/zinc/74/58/88/278745888.db2.gz CBIOMLNZGDAZPG-NOZJJQNGSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000292079036 278747627 /nfs/dbraw/zinc/74/76/27/278747627.db2.gz XTDMXKSSYQLLAX-CMPLNLGQSA-N 0 0 283.278 2.780 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278151061 278926768 /nfs/dbraw/zinc/92/67/68/278926768.db2.gz BJHZXWVGYDYTCN-ZJUUUORDSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@]1(C)CCOC1 ZINC000354091390 278932651 /nfs/dbraw/zinc/93/26/51/278932651.db2.gz LEDPXBXQAAXFDB-ZDUSSCGKSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cnc(N[C@@H]2CCOC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000268967965 279020233 /nfs/dbraw/zinc/02/02/33/279020233.db2.gz NIIBRVPKLQTAME-LLVKDONJSA-N 0 0 277.324 2.812 20 5 CFBDRN CC(C)C[C@@H]1CCCN(c2c([N+](=O)[O-])ncn2C)C1 ZINC000345605918 279024288 /nfs/dbraw/zinc/02/42/88/279024288.db2.gz AROJRPQWFBPPGY-NSHDSACASA-N 0 0 266.345 2.591 20 5 CFBDRN C[C@@H]1N(c2ncc([N+](=O)[O-])cc2Cl)CCOC1(C)C ZINC000408321845 279026119 /nfs/dbraw/zinc/02/61/19/279026119.db2.gz QWELRHITXUGKAR-QMMMGPOBSA-N 0 0 285.731 2.647 20 5 CFBDRN C[C@@H]1OCCN(c2ccc([N+](=O)[O-])c3ncccc23)[C@H]1C ZINC000413189826 279041910 /nfs/dbraw/zinc/04/19/10/279041910.db2.gz AOVCHJQIGVFKGY-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1SCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000118460017 279071617 /nfs/dbraw/zinc/07/16/17/279071617.db2.gz JWGIHSRRINOGED-UWVGGRQHSA-N 0 0 295.364 2.953 20 5 CFBDRN Cc1nc(N2CC[C@@H](O)c3ccccc3C2)ccc1[N+](=O)[O-] ZINC000369283642 279093201 /nfs/dbraw/zinc/09/32/01/279093201.db2.gz OEPJFJXBXJPEOS-OAHLLOKOSA-N 0 0 299.330 2.742 20 5 CFBDRN Cc1nc(N2CC[C@H](C)C[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000297498498 279093445 /nfs/dbraw/zinc/09/34/45/279093445.db2.gz MXSJWKXQBPFIKR-UWVGGRQHSA-N 0 0 264.329 2.566 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000269056330 279107012 /nfs/dbraw/zinc/10/70/12/279107012.db2.gz SDZWFJQFPKEHES-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@@H]1c2ccc(F)cc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000167568783 279131543 /nfs/dbraw/zinc/13/15/43/279131543.db2.gz FWRKMGGEHCIUJS-SECBINFHSA-N 0 0 288.282 2.648 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC[C@@H]1C(F)F ZINC000337244737 279157913 /nfs/dbraw/zinc/15/79/13/279157913.db2.gz CBQFDVVTFWTVNL-LLVKDONJSA-N 0 0 299.277 2.558 20 5 CFBDRN CC(C)C[C@H]1COCCN1c1ccccc1[N+](=O)[O-] ZINC000301607436 279222220 /nfs/dbraw/zinc/22/22/20/279222220.db2.gz ZHWFATPTFGAZAA-LBPRGKRZSA-N 0 0 264.325 2.846 20 5 CFBDRN CC(C)N(C(=O)Cc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000155642520 279291391 /nfs/dbraw/zinc/29/13/91/279291391.db2.gz CGHBOOVPMFGFDU-UHFFFAOYSA-N 0 0 282.315 2.922 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1cccc2c1CCC2 ZINC000335377579 279385621 /nfs/dbraw/zinc/38/56/21/279385621.db2.gz NPEUYUUWWRHTMZ-UHFFFAOYSA-N 0 0 259.265 2.609 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000264302291 279692609 /nfs/dbraw/zinc/69/26/09/279692609.db2.gz RTFBBEJVHFVURN-TVQRCGJNSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000356730322 279750572 /nfs/dbraw/zinc/75/05/72/279750572.db2.gz OWPATMXWEQKTCC-CPCISQLKSA-N 0 0 268.700 2.777 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000042422790 279902512 /nfs/dbraw/zinc/90/25/12/279902512.db2.gz MKOZJRLQVFVHBC-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN CCOc1cccc(N2CCC(OCC)CC2)c1[N+](=O)[O-] ZINC000302236226 279971463 /nfs/dbraw/zinc/97/14/63/279971463.db2.gz VOFKXDZUGSJBJX-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@H]1C[C@H](Nc2nc3ccccc3cc2[N+](=O)[O-])CS1 ZINC000413522983 280000149 /nfs/dbraw/zinc/00/01/49/280000149.db2.gz SUBWNECIFCMKLT-ONGXEEELSA-N 0 0 289.360 2.871 20 5 CFBDRN CC(C)[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])CCCO1 ZINC000294113995 280016601 /nfs/dbraw/zinc/01/66/01/280016601.db2.gz PGSODNCRQDVRDO-ZDUSSCGKSA-N 0 0 282.315 2.985 20 5 CFBDRN C[C@H]1Cc2ccccc2N(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000334366946 280029830 /nfs/dbraw/zinc/02/98/30/280029830.db2.gz QPUDWFNSHKVVRP-JTQLQIEISA-N 0 0 285.303 2.762 20 5 CFBDRN C[C@H]1Cc2ccccc2N(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000334445356 280030070 /nfs/dbraw/zinc/03/00/70/280030070.db2.gz FXDSNFZNOJWBQN-NSHDSACASA-N 0 0 299.330 2.772 20 5 CFBDRN C[C@H]1SCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H]1C ZINC000265381064 280091321 /nfs/dbraw/zinc/09/13/21/280091321.db2.gz CPBZECMNUWNIRC-DTWKUNHWSA-N 0 0 297.336 2.833 20 5 CFBDRN O=C([C@H]1Cc2ccccc21)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000353671424 280153236 /nfs/dbraw/zinc/15/32/36/280153236.db2.gz BDEWAEQXBOHVFU-HNNXBMFYSA-N 0 0 294.310 2.824 20 5 CFBDRN C[C@]1(F)CCCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000295057285 280162303 /nfs/dbraw/zinc/16/23/03/280162303.db2.gz YTGSYIVPSUDPET-LBPRGKRZSA-N 0 0 296.327 2.928 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1(F)F ZINC000421264623 280175455 /nfs/dbraw/zinc/17/54/55/280175455.db2.gz SOYGIXHUZISIHR-LLVKDONJSA-N 0 0 281.218 2.942 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(NC)n1)C(C)(C)C ZINC000455470397 280182256 /nfs/dbraw/zinc/18/22/56/280182256.db2.gz BEUCQYPVSZUXSJ-LLVKDONJSA-N 0 0 294.355 2.586 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@@H](C2CC2)C1 ZINC000334928798 280191280 /nfs/dbraw/zinc/19/12/80/280191280.db2.gz QLURUPSHOIIIDN-SNVBAGLBSA-N 0 0 278.283 2.606 20 5 CFBDRN Cc1c(C(=O)N2Cc3ccccc3CO2)cccc1[N+](=O)[O-] ZINC000360404732 280213796 /nfs/dbraw/zinc/21/37/96/280213796.db2.gz DYVYXHVBKORIHH-UHFFFAOYSA-N 0 0 298.298 2.991 20 5 CFBDRN Cc1c(NC(=O)N2CCO[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000125225511 280243414 /nfs/dbraw/zinc/24/34/14/280243414.db2.gz HPCTZEZGZNJRMR-GHMZBOCLSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cnn1C ZINC000177247388 280246921 /nfs/dbraw/zinc/24/69/21/280246921.db2.gz XTKHXUDXYMMMSF-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc(C(=O)N2Cc3ccccc3CO2)cc([N+](=O)[O-])c1 ZINC000360404053 280345062 /nfs/dbraw/zinc/34/50/62/280345062.db2.gz AKATWERZLGMDGA-UHFFFAOYSA-N 0 0 298.298 2.991 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCCc2c[nH]nc21 ZINC000269349943 280359276 /nfs/dbraw/zinc/35/92/76/280359276.db2.gz FOSSMMCVCBYNAO-VIFPVBQESA-N 0 0 293.714 2.856 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000302824544 280365119 /nfs/dbraw/zinc/36/51/19/280365119.db2.gz XAMKIBNBPKDNRM-BREBYQMCSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2cc[nH]n2)cc1 ZINC000278735055 280366607 /nfs/dbraw/zinc/36/66/07/280366607.db2.gz QGMUAAILWAPKEB-CYBMUJFWSA-N 0 0 258.281 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](C3CC3)[C@H]2C2CC2)nc1 ZINC000450802169 280368145 /nfs/dbraw/zinc/36/81/45/280368145.db2.gz YHBMSRVHVUCGAU-GXTWGEPZSA-N 0 0 259.309 2.615 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CCSCC3)c2c1 ZINC000413060402 280371306 /nfs/dbraw/zinc/37/13/06/280371306.db2.gz JYJFQZUOSOICAD-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3C[C@H]4CC[C@@H](C3)O4)c2c1 ZINC000413161539 280371336 /nfs/dbraw/zinc/37/13/36/280371336.db2.gz BOZNMVPGGWNPDH-BETUJISGSA-N 0 0 285.303 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC4(CC4)C3)c2c1 ZINC000413323462 280371371 /nfs/dbraw/zinc/37/13/71/280371371.db2.gz IFOKKBJRWSLHDR-UHFFFAOYSA-N 0 0 255.277 2.743 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3CC34CC4)c21 ZINC000425273228 280373954 /nfs/dbraw/zinc/37/39/54/280373954.db2.gz NHOKEIOHRXFMQZ-SNVBAGLBSA-N 0 0 256.265 2.502 20 5 CFBDRN CC(C)[C@H]1CCCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342989602 280376731 /nfs/dbraw/zinc/37/67/31/280376731.db2.gz BLZUKBACIPIAPL-NSHDSACASA-N 0 0 291.351 2.566 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](CC(F)(F)F)C2)nc1 ZINC000420620653 280379764 /nfs/dbraw/zinc/37/97/64/280379764.db2.gz HBBUBPYAORISQL-MRVPVSSYSA-N 0 0 290.245 2.554 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NC3CCCCCC3)nn21 ZINC000301842118 280382957 /nfs/dbraw/zinc/38/29/57/280382957.db2.gz PZTGOHFKBWGSHC-UHFFFAOYSA-N 0 0 275.312 2.772 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(S[C@@H]2CCCOC2)n1 ZINC000359084353 280399867 /nfs/dbraw/zinc/39/98/67/280399867.db2.gz QIXUWVHCHBNJNW-SNVBAGLBSA-N 0 0 268.338 2.878 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CS[C@@H](C)C1 ZINC000335054652 280400816 /nfs/dbraw/zinc/40/08/16/280400816.db2.gz STNSSJOPNWZLAZ-WDEREUQCSA-N 0 0 294.376 2.835 20 5 CFBDRN CN(Cc1ccccc1)C(=O)CNc1ccc([N+](=O)[O-])cc1 ZINC000046082736 280424640 /nfs/dbraw/zinc/42/46/40/280424640.db2.gz JCCAPMLYPQDGKU-UHFFFAOYSA-N 0 0 299.330 2.665 20 5 CFBDRN CCCCCNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000049176969 280437284 /nfs/dbraw/zinc/43/72/84/280437284.db2.gz ZONSZCGUUVZTOZ-UHFFFAOYSA-N 0 0 279.340 2.627 20 5 CFBDRN CC[C@@H]1CN(c2cccc(OC)c2[N+](=O)[O-])CCS1 ZINC000185499578 280445352 /nfs/dbraw/zinc/44/53/52/280445352.db2.gz ZKUHLFSRSPGKOS-SNVBAGLBSA-N 0 0 282.365 2.935 20 5 CFBDRN CCCN(C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000057616125 280453824 /nfs/dbraw/zinc/45/38/24/280453824.db2.gz XZLJYWSRBIACON-SNVBAGLBSA-N 0 0 265.313 2.707 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067726010 280472708 /nfs/dbraw/zinc/47/27/08/280472708.db2.gz PCDWXMQMNFDRCJ-FZMZJTMJSA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CC(C)(C)O1 ZINC000068462969 280476224 /nfs/dbraw/zinc/47/62/24/280476224.db2.gz ZBAPAZVCRHETOT-SNVBAGLBSA-N 0 0 293.323 2.626 20 5 CFBDRN Cc1cncc(NC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000079577451 280535681 /nfs/dbraw/zinc/53/56/81/280535681.db2.gz KFNRAHVBCSDKFV-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1cc(N2CCC[C@@H]([C@H]3CCOC3)C2)ncc1[N+](=O)[O-] ZINC000439083473 280552659 /nfs/dbraw/zinc/55/26/59/280552659.db2.gz GSGAZSFUZDDYMG-OLZOCXBDSA-N 0 0 291.351 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCCCO1 ZINC000087060538 280556369 /nfs/dbraw/zinc/55/63/69/280556369.db2.gz QUEAWTKKPDQRAM-NSHDSACASA-N 0 0 294.307 2.501 20 5 CFBDRN Cc1ccc(C(=O)NCCc2cccc([N+](=O)[O-])c2)s1 ZINC000089835177 280565174 /nfs/dbraw/zinc/56/51/74/280565174.db2.gz OBQDYLCLWFWWOM-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN COc1cc(NCc2c(C)noc2C)ccc1[N+](=O)[O-] ZINC000090794580 280571241 /nfs/dbraw/zinc/57/12/41/280571241.db2.gz TXCFUFANRPSZKA-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN Cc1cc(=O)n(Cc2ccc(Cl)cc2)cc1[N+](=O)[O-] ZINC000096548065 280579120 /nfs/dbraw/zinc/57/91/20/280579120.db2.gz MVRKMRUMFSZXQA-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1cc(N2C[C@H](C)O[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000408441831 280586822 /nfs/dbraw/zinc/58/68/22/280586822.db2.gz GOJZYMDRQFTDLZ-SRVKXCTJSA-N 0 0 264.325 2.905 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@@](=O)c1cccs1 ZINC000119856292 280610664 /nfs/dbraw/zinc/61/06/64/280610664.db2.gz NJMFXOYFOCPOLP-IBGZPJMESA-N 0 0 297.357 2.973 20 5 CFBDRN Cc1cc(NC(=O)N[C@]2(C)CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000352884062 280611032 /nfs/dbraw/zinc/61/10/32/280611032.db2.gz QSZQFGZIAXQJSY-QMTHXVAHSA-N 0 0 293.323 2.592 20 5 CFBDRN CN(CCC1CC1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000120475934 280615275 /nfs/dbraw/zinc/61/52/75/280615275.db2.gz ZGCKMJFITZOEDY-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c(O)c1 ZINC000122065984 280625441 /nfs/dbraw/zinc/62/54/41/280625441.db2.gz CGFAUUVPDASBKF-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCC1(C)CCC1 ZINC000123176720 280631706 /nfs/dbraw/zinc/63/17/06/280631706.db2.gz SOYNVYQNSOWRBE-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC(O)CC1 ZINC000276479019 280656353 /nfs/dbraw/zinc/65/63/53/280656353.db2.gz NYELJCWQFDETNJ-UHFFFAOYSA-N 0 0 270.716 2.518 20 5 CFBDRN O=C(Nc1ccc(F)cn1)c1ccc(F)cc1[N+](=O)[O-] ZINC000128138171 280673520 /nfs/dbraw/zinc/67/35/20/280673520.db2.gz HQDYQJYKQQRAHY-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC(C)[C@@H](SCc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C ZINC000131076682 280712396 /nfs/dbraw/zinc/71/23/96/280712396.db2.gz NTXXCNPLTUNTKO-CYBMUJFWSA-N 0 0 296.392 2.941 20 5 CFBDRN Cc1nnc(COc2ccc([N+](=O)[O-])cc2C)n1C1CC1 ZINC000131536683 280719955 /nfs/dbraw/zinc/71/99/55/280719955.db2.gz VPCNHVYHEQYLRT-UHFFFAOYSA-N 0 0 288.307 2.717 20 5 CFBDRN CCC(C)(C)NC(=O)COc1ccc(C)c([N+](=O)[O-])c1 ZINC000131708960 280721827 /nfs/dbraw/zinc/72/18/27/280721827.db2.gz ADRNCAGJWCQTEX-UHFFFAOYSA-N 0 0 280.324 2.587 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000134154870 280753875 /nfs/dbraw/zinc/75/38/75/280753875.db2.gz MMSQNIQUBUXEOG-ONGXEEELSA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1ccc(C)c(NC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000334260742 280797980 /nfs/dbraw/zinc/79/79/80/280797980.db2.gz SCHYVZGPTBXGMP-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CCC[C@@H](CC)NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000172899902 280810875 /nfs/dbraw/zinc/81/08/75/280810875.db2.gz QUQGLHLMMKVWRB-GFCCVEGCSA-N 0 0 293.367 2.726 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000187360483 280854920 /nfs/dbraw/zinc/85/49/20/280854920.db2.gz SFSXJFIVYXKNDN-GFCCVEGCSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1nn(C)c(C)c1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000194557290 280922911 /nfs/dbraw/zinc/92/29/11/280922911.db2.gz BYXRTFUSWICABU-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000348049355 280925969 /nfs/dbraw/zinc/92/59/69/280925969.db2.gz LNLSGPVRDFWFGT-VIFPVBQESA-N 0 0 294.204 2.805 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000346879586 280926712 /nfs/dbraw/zinc/92/67/12/280926712.db2.gz BGOXVTQSIBYMPP-VIFPVBQESA-N 0 0 294.204 2.805 20 5 CFBDRN CC[C@H](NC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000348048265 280927846 /nfs/dbraw/zinc/92/78/46/280927846.db2.gz KWTUTMUEWTUJQT-VIFPVBQESA-N 0 0 276.214 2.666 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(CC1CCC1)C(C)C ZINC000195125533 280930046 /nfs/dbraw/zinc/93/00/46/280930046.db2.gz KMPCOKVCRBOLLY-UHFFFAOYSA-N 0 0 291.351 2.949 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000230332405 280938158 /nfs/dbraw/zinc/93/81/58/280938158.db2.gz SKDQZMQWGIPXLD-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000245604259 280956288 /nfs/dbraw/zinc/95/62/88/280956288.db2.gz MYGADOWPTLFENU-ZSBIGDGJSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCCSC2)n1 ZINC000128634080 281015298 /nfs/dbraw/zinc/01/52/98/281015298.db2.gz NVLPHTXXSYUUMN-VIFPVBQESA-N 0 0 253.327 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(S[C@@H]2CCCOC2)n1 ZINC000272538600 281015679 /nfs/dbraw/zinc/01/56/79/281015679.db2.gz RNFFFDDPKORKQC-SECBINFHSA-N 0 0 254.311 2.569 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)[C@H]2CCCC[C@@H]12 ZINC000248405529 281020782 /nfs/dbraw/zinc/02/07/82/281020782.db2.gz AQRVBNKWDTUBLI-VWYCJHECSA-N 0 0 278.308 2.839 20 5 CFBDRN CO[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CC[C@@H]1C ZINC000251948797 281075703 /nfs/dbraw/zinc/07/57/03/281075703.db2.gz VGPRWTPPSISDAH-IINYFYTJSA-N 0 0 282.315 2.591 20 5 CFBDRN CC[C@H]1CCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330436468 281081161 /nfs/dbraw/zinc/08/11/61/281081161.db2.gz RAXOSIGCTLBXIY-NSHDSACASA-N 0 0 250.298 2.675 20 5 CFBDRN CC[C@H]1CCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000255027442 281084401 /nfs/dbraw/zinc/08/44/01/281084401.db2.gz IOUMJYRWCGXENK-KRZKBDHCSA-N 0 0 274.320 2.867 20 5 CFBDRN CC1(C)CCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000255062314 281086177 /nfs/dbraw/zinc/08/61/77/281086177.db2.gz JQVYHKJFWFAQBP-SREVYHEPSA-N 0 0 274.320 2.867 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)/C=C\c3cccc([N+](=O)[O-])c3)C[C@@H]21 ZINC000255102449 281087196 /nfs/dbraw/zinc/08/71/96/281087196.db2.gz DDKSQZKPVRTXIK-JMCXLMSJSA-N 0 0 286.331 2.722 20 5 CFBDRN CC[C@H]1CN(c2c(C)cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000301285722 288298905 /nfs/dbraw/zinc/29/89/05/288298905.db2.gz VGAVJMQFZKBXMR-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1ncc([N+](=O)[O-])s1)CO2 ZINC000374415002 281099085 /nfs/dbraw/zinc/09/90/85/281099085.db2.gz KFAWZMUGDGZTHF-VIFPVBQESA-N 0 0 277.305 2.905 20 5 CFBDRN CN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000255509813 281099553 /nfs/dbraw/zinc/09/95/53/281099553.db2.gz CUXZQTRISRFFPL-TWGQIWQCSA-N 0 0 283.287 2.666 20 5 CFBDRN CC1(C)CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000255571779 281101868 /nfs/dbraw/zinc/10/18/68/281101868.db2.gz VSNYWKBGRCOAHC-YVMONPNESA-N 0 0 274.320 2.867 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000357755718 281114533 /nfs/dbraw/zinc/11/45/33/281114533.db2.gz FRIJIZFDPFEXMN-ONGXEEELSA-N 0 0 277.324 2.701 20 5 CFBDRN CCc1occc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000281872298 281130993 /nfs/dbraw/zinc/13/09/93/281130993.db2.gz ZLWSHRRPUITWJT-UHFFFAOYSA-N 0 0 286.287 2.953 20 5 CFBDRN C[C@@H](CCCO)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000294909228 281140399 /nfs/dbraw/zinc/14/03/99/281140399.db2.gz IEKPRNXXOOAKCD-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000345646708 281172528 /nfs/dbraw/zinc/17/25/28/281172528.db2.gz UJUJBHOEQZWNMS-IVZWLZJFSA-N 0 0 298.289 2.844 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000347212200 281173860 /nfs/dbraw/zinc/17/38/60/281173860.db2.gz ZWFDXVGBWUCAMX-NWDGAFQWSA-N 0 0 291.351 2.830 20 5 CFBDRN Cc1nc(C)c(NCCc2ccc([N+](=O)[O-])cc2)nc1C ZINC000396910364 281199014 /nfs/dbraw/zinc/19/90/14/281199014.db2.gz CMJSDAMOAPZBSC-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN CCOc1cc(NC[C@H](C)C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000397734406 281201957 /nfs/dbraw/zinc/20/19/57/281201957.db2.gz GZYZGOSDIPBHBR-GHMZBOCLSA-N 0 0 282.340 2.812 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000397823383 281202765 /nfs/dbraw/zinc/20/27/65/281202765.db2.gz OMQYNAIMBYDPLV-SRSLHRDFSA-N 0 0 253.689 2.854 20 5 CFBDRN C[C@@H]1C[C@@H](CO)CN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000398728744 281208047 /nfs/dbraw/zinc/20/80/47/281208047.db2.gz IGSWPDYOFYWVCR-GHMZBOCLSA-N 0 0 298.770 2.699 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000357261561 281217518 /nfs/dbraw/zinc/21/75/18/281217518.db2.gz RACSRQVQOJFIHS-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000332735564 281227191 /nfs/dbraw/zinc/22/71/91/281227191.db2.gz XZJIROJKZZDABP-ZJUUUORDSA-N 0 0 294.355 2.702 20 5 CFBDRN Cc1cnc(N2CCc3ccc(O)cc3C2)c([N+](=O)[O-])c1 ZINC000433420861 281233803 /nfs/dbraw/zinc/23/38/03/281233803.db2.gz GWESENBCRXGXOU-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2C[C@@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000458111753 281254035 /nfs/dbraw/zinc/25/40/35/281254035.db2.gz GRBSMFKUZDGDLB-DOMZBBRYSA-N 0 0 292.335 2.768 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000187405730 281273588 /nfs/dbraw/zinc/27/35/88/281273588.db2.gz NJQPXRZXTQFUHJ-RNCFNFMXSA-N 0 0 280.299 2.995 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000491418351 281274428 /nfs/dbraw/zinc/27/44/28/281274428.db2.gz OUABQACFBOXSDX-PBQZMEPESA-N 0 0 262.309 2.865 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]21 ZINC000491901944 281275686 /nfs/dbraw/zinc/27/56/86/281275686.db2.gz ASONDHMBYGURHT-FCSZMHKNSA-N 0 0 272.304 2.619 20 5 CFBDRN Cc1noc([C@@H](C)NCc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000494791072 281284437 /nfs/dbraw/zinc/28/44/37/281284437.db2.gz NSIDWOIIWNABSK-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN CCO[C@@H](CC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000516246657 281302178 /nfs/dbraw/zinc/30/21/78/281302178.db2.gz PAPKOSWYVDKJPL-LBPRGKRZSA-N 0 0 266.297 2.657 20 5 CFBDRN CC[C@]1(C)CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278056500 281322975 /nfs/dbraw/zinc/32/29/75/281322975.db2.gz RWYDTQSMVWLYLV-OAHLLOKOSA-N 0 0 291.351 2.568 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000527034278 281324705 /nfs/dbraw/zinc/32/47/05/281324705.db2.gz DLMLTDWIPMXPRH-FZMZJTMJSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1ccccc1[C@@H]1CCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000273608173 281368927 /nfs/dbraw/zinc/36/89/27/281368927.db2.gz LCJWTGGAXPVJFZ-GFCCVEGCSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1ccccc1[C@H]1CCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000273608176 281393922 /nfs/dbraw/zinc/39/39/22/281393922.db2.gz LCJWTGGAXPVJFZ-LBPRGKRZSA-N 0 0 284.319 2.687 20 5 CFBDRN O=C(Nc1cccc2[nH]ccc21)c1ccc([N+](=O)[O-])cn1 ZINC000531627532 281435930 /nfs/dbraw/zinc/43/59/30/281435930.db2.gz LSFGOAVIHQMOHH-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)[C@@H](C)c1ccccc1 ZINC000531633554 281436387 /nfs/dbraw/zinc/43/63/87/281436387.db2.gz UHPUNEDSMLUHAY-VXGBXAGGSA-N 0 0 299.330 2.912 20 5 CFBDRN Cc1cccc(C)c1CCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531734450 281439984 /nfs/dbraw/zinc/43/99/84/281439984.db2.gz WMWLRNLPASAKCG-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cn2)c(C)c1 ZINC000532395254 281452909 /nfs/dbraw/zinc/45/29/09/281452909.db2.gz LDBZOWCNSXYUNU-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)ccc1O ZINC000533997849 281477127 /nfs/dbraw/zinc/47/71/27/281477127.db2.gz RTOVFQIQLLWJBD-UHFFFAOYSA-N 0 0 295.682 2.545 20 5 CFBDRN Cc1cc(NC(=O)CCc2cccc([N+](=O)[O-])c2)c(C)cn1 ZINC000535035582 281494705 /nfs/dbraw/zinc/49/47/05/281494705.db2.gz CQXPCZQWAHHXGG-UHFFFAOYSA-N 0 0 299.330 2.600 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000536206795 281517143 /nfs/dbraw/zinc/51/71/43/281517143.db2.gz VIUTUWPYFHHRMA-RYUDHWBXSA-N 0 0 291.351 2.577 20 5 CFBDRN CC(C)(NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CC1 ZINC000536566565 281522318 /nfs/dbraw/zinc/52/23/18/281522318.db2.gz LBHDZAVKMYRGTJ-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1ccccc1[N+](=O)[O-] ZINC000268039942 288335868 /nfs/dbraw/zinc/33/58/68/288335868.db2.gz NYYBWOKWEZUOQR-MNOVXSKESA-N 0 0 250.298 2.599 20 5 CFBDRN CCCCCN(CCO)c1ccc([N+](=O)[O-])c(OCC)c1 ZINC000538928788 281563879 /nfs/dbraw/zinc/56/38/79/281563879.db2.gz PKORYUAVFOCANB-UHFFFAOYSA-N 0 0 296.367 2.982 20 5 CFBDRN Cc1cc(CNc2nc(C)cc(C)c2[N+](=O)[O-])no1 ZINC000541935349 281617096 /nfs/dbraw/zinc/61/70/96/281617096.db2.gz QCKCPHILGJMTDG-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]2CCCC[C@H]21 ZINC000542060006 281619761 /nfs/dbraw/zinc/61/97/61/281619761.db2.gz KVQNGYXDWGFNOA-SCDSUCTJSA-N 0 0 289.335 2.641 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](CO)C2CCCCC2)n1 ZINC000542865686 281636222 /nfs/dbraw/zinc/63/62/22/281636222.db2.gz YIMOONQEXRXWML-ZDUSSCGKSA-N 0 0 293.367 2.960 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000543122364 281640793 /nfs/dbraw/zinc/64/07/93/281640793.db2.gz ZZPZXLSGRNEVMZ-UHFFFAOYSA-N 0 0 292.360 2.840 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3C4CCC3CC4)c21 ZINC000543437677 281646361 /nfs/dbraw/zinc/64/63/61/281646361.db2.gz ORVIPEKLYGOVMS-UHFFFAOYSA-N 0 0 270.292 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CN(c3ccccc3)C2=O)c1 ZINC000544394392 281665031 /nfs/dbraw/zinc/66/50/31/281665031.db2.gz AGQFECFDDFZACE-AWEZNQCLSA-N 0 0 297.314 2.731 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000545319015 281686695 /nfs/dbraw/zinc/68/66/95/281686695.db2.gz HWFUQHMOEIFYML-OTDNITJGSA-N 0 0 287.319 2.882 20 5 CFBDRN CCc1cnc(CN2CCc3c2cccc3[N+](=O)[O-])o1 ZINC000545394600 281688105 /nfs/dbraw/zinc/68/81/05/281688105.db2.gz AICNKPVLKVGUGT-UHFFFAOYSA-N 0 0 273.292 2.708 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(-c2n[nH]c3ccc([N+](=O)[O-])cc32)n1 ZINC000546471842 281709846 /nfs/dbraw/zinc/70/98/46/281709846.db2.gz ABHMPKYEOFWDMV-POYBYMJQSA-N 0 0 285.263 2.645 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)N2[C@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000547769249 281753365 /nfs/dbraw/zinc/75/33/65/281753365.db2.gz VVBGKWGEBAOZSI-FOGDFJRCSA-N 0 0 291.351 2.713 20 5 CFBDRN CSCC(C)(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000548864932 281805559 /nfs/dbraw/zinc/80/55/59/281805559.db2.gz SQMDKXLEPCUDEC-UHFFFAOYSA-N 0 0 282.365 2.600 20 5 CFBDRN CC(C)(CNC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000554719024 281902986 /nfs/dbraw/zinc/90/29/86/281902986.db2.gz MSKVXXFZJAYSBA-UHFFFAOYSA-N 0 0 290.241 2.913 20 5 CFBDRN Cc1nc(N2CCC(F)(F)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000291179690 281921585 /nfs/dbraw/zinc/92/15/85/281921585.db2.gz RSDOENQXIVDCNX-MRVPVSSYSA-N 0 0 271.267 2.780 20 5 CFBDRN Cc1nc(N2CCC[C@H]([C@@H]3CCOC3)C2)ccc1[N+](=O)[O-] ZINC000436431077 281926471 /nfs/dbraw/zinc/92/64/71/281926471.db2.gz KMLONYCHQWVSBA-QWHCGFSZSA-N 0 0 291.351 2.551 20 5 CFBDRN O=C(CC1CC(F)(F)C1)NCc1cccc([N+](=O)[O-])c1 ZINC000556158518 281928409 /nfs/dbraw/zinc/92/84/09/281928409.db2.gz QTMKMUKRVJXCBX-UHFFFAOYSA-N 0 0 284.262 2.646 20 5 CFBDRN Cc1nc(N2CC[C@H](CC(C)C)C2)ncc1[N+](=O)[O-] ZINC000297120973 281938224 /nfs/dbraw/zinc/93/82/24/281938224.db2.gz MJNWBJAEOFODPY-LLVKDONJSA-N 0 0 264.329 2.566 20 5 CFBDRN Cc1nc(N2C[C@@H](C)c3ccccc32)ncc1[N+](=O)[O-] ZINC000450258186 281944381 /nfs/dbraw/zinc/94/43/81/281944381.db2.gz ZMJLBUJEPAPDJH-SECBINFHSA-N 0 0 270.292 2.948 20 5 CFBDRN CNc1ccc(-c2nc(-c3ccn(C)c3)no2)cc1[N+](=O)[O-] ZINC000558390373 281980772 /nfs/dbraw/zinc/98/07/72/281980772.db2.gz IGHDAGBZGNBURM-UHFFFAOYSA-N 0 0 299.290 2.692 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CC[C@H](OC(F)F)C1 ZINC000559096871 282001155 /nfs/dbraw/zinc/00/11/55/282001155.db2.gz DDHHTHMJBMVFGC-PYEVWLCESA-N 0 0 298.289 2.922 20 5 CFBDRN CN(C)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000054276015 282003650 /nfs/dbraw/zinc/00/36/50/282003650.db2.gz FQLJSGHFIANEKJ-UHFFFAOYSA-N 0 0 250.298 2.594 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1C[C@H](C)S[C@@H](C)C1 ZINC000559295979 282004738 /nfs/dbraw/zinc/00/47/38/282004738.db2.gz VJRSZEAKKZGLJN-QWRGUYRKSA-N 0 0 296.392 2.929 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H](C)CC2CCCCC2)c1[N+](=O)[O-] ZINC000559947885 282012854 /nfs/dbraw/zinc/01/28/54/282012854.db2.gz NLMGKIUGAGWCFY-VIFPVBQESA-N 0 0 294.355 2.715 20 5 CFBDRN C[C@@H](NC(=O)NCC(C)(F)F)c1ccccc1[N+](=O)[O-] ZINC000560397111 282024379 /nfs/dbraw/zinc/02/43/79/282024379.db2.gz ZIXMEZHRHZAPRJ-MRVPVSSYSA-N 0 0 287.266 2.610 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@@H]1CC[C@@H](F)C1 ZINC000561692675 282059507 /nfs/dbraw/zinc/05/95/07/282059507.db2.gz IFGFODNZGDUPSO-NXEZZACHSA-N 0 0 296.298 2.992 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000276566818 288385009 /nfs/dbraw/zinc/38/50/09/288385009.db2.gz GVUWIIXBKDGUSK-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN CC(C)C1CCN(C(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000176915902 289992907 /nfs/dbraw/zinc/99/29/07/289992907.db2.gz FFUGXWHPZXGJLU-UHFFFAOYSA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000118663208 288796615 /nfs/dbraw/zinc/79/66/15/288796615.db2.gz FRZNCXYXFMFZTQ-MXWKQRLJSA-N 0 0 278.308 2.981 20 5 CFBDRN COCCCC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152306060 289844411 /nfs/dbraw/zinc/84/44/11/289844411.db2.gz LPUPZWNNYVQZGL-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN CC(C)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152414395 289846772 /nfs/dbraw/zinc/84/67/72/289846772.db2.gz LMDBJCYLQWMDAX-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN Cc1c(C(=O)N2C[C@@H]3CCC[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000152555628 289850139 /nfs/dbraw/zinc/85/01/39/289850139.db2.gz WBQPEGGFQVCYLW-TXEJJXNPSA-N 0 0 274.320 2.775 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@H]2C1 ZINC000152682706 289852906 /nfs/dbraw/zinc/85/29/06/289852906.db2.gz QZYXXPVOLFPELE-SWICKSTGSA-N 0 0 286.331 2.867 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1Cc1ccccc1F ZINC000153617529 289872170 /nfs/dbraw/zinc/87/21/70/289872170.db2.gz UADQTIRYZRXASE-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN CCOc1ccc(C(=O)N(C)CCC2CC2)cc1[N+](=O)[O-] ZINC000154099781 289884981 /nfs/dbraw/zinc/88/49/81/289884981.db2.gz NAJRYGNWIOSROC-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN O=C(NCCCF)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000154158717 289887093 /nfs/dbraw/zinc/88/70/93/289887093.db2.gz WXQJODYEYKOCGL-UHFFFAOYSA-N 0 0 294.204 2.703 20 5 CFBDRN Cc1c(CC(=O)N(C)c2ccccn2)cccc1[N+](=O)[O-] ZINC000155731765 289924718 /nfs/dbraw/zinc/92/47/18/289924718.db2.gz RTRJMETZPIGVRO-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN O=C([C@@H]1C[C@H]1C1CC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156586751 289940711 /nfs/dbraw/zinc/94/07/11/289940711.db2.gz JALLDSGCEXVNOL-LSDHHAIUSA-N 0 0 286.331 2.526 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000302168178 290006734 /nfs/dbraw/zinc/00/67/34/290006734.db2.gz DDSIZBZEPAQYQZ-GUOLPTJISA-N 0 0 297.314 2.880 20 5 CFBDRN Cc1cc(NCCOC(C)(C)C)ncc1[N+](=O)[O-] ZINC000308525872 290011924 /nfs/dbraw/zinc/01/19/24/290011924.db2.gz FKVPNJXSPNDBHL-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN C[C@H](CCNC(=O)c1c[nH]nc1[N+](=O)[O-])CC(C)(C)C ZINC000549752049 290037111 /nfs/dbraw/zinc/03/71/11/290037111.db2.gz HZNYBIGULDYNJN-SECBINFHSA-N 0 0 282.344 2.510 20 5 CFBDRN CNc1ccc(C(=O)NCC2=CCCCC2)cc1[N+](=O)[O-] ZINC000562745107 290073336 /nfs/dbraw/zinc/07/33/36/290073336.db2.gz FZDWQRCTBDVMIU-UHFFFAOYSA-N 0 0 289.335 2.867 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@H](F)C1 ZINC000563035776 290095871 /nfs/dbraw/zinc/09/58/71/290095871.db2.gz QRBICUSJOORRQF-NWDGAFQWSA-N 0 0 295.314 2.675 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000563046766 290097763 /nfs/dbraw/zinc/09/77/63/290097763.db2.gz HOCPYFNNCZPLIZ-JGVFFNPUSA-N 0 0 299.714 2.547 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CCC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000563171766 290112574 /nfs/dbraw/zinc/11/25/74/290112574.db2.gz CBCBPOKIBPBCEI-CHWSQXEVSA-N 0 0 294.326 2.843 20 5 CFBDRN CCC[C@@H]1CN(c2c(OC)cccc2[N+](=O)[O-])CCO1 ZINC000563427704 290149695 /nfs/dbraw/zinc/14/96/95/290149695.db2.gz NWOIZHLEUCERIH-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000564036260 290189460 /nfs/dbraw/zinc/18/94/60/290189460.db2.gz NGJIFHRUHUIKGD-DGCLKSJQSA-N 0 0 273.292 2.732 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1ccc(C)nc1 ZINC000564239394 290199435 /nfs/dbraw/zinc/19/94/35/290199435.db2.gz ARFLHANTPPXTCS-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCC(C)(C)OCC(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000565027845 290260968 /nfs/dbraw/zinc/26/09/68/290260968.db2.gz DXKUIEDZWBSBLI-UHFFFAOYSA-N 0 0 295.339 2.780 20 5 CFBDRN CNC(=O)CCS[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000565068397 290264627 /nfs/dbraw/zinc/26/46/27/290264627.db2.gz FYIZWRVOGBOMHS-SECBINFHSA-N 0 0 268.338 2.525 20 5 CFBDRN C[C@H](c1ccccc1F)N(C)Cc1c([N+](=O)[O-])ncn1C ZINC000355803764 290264944 /nfs/dbraw/zinc/26/49/44/290264944.db2.gz RZWSNURQQINMEA-SNVBAGLBSA-N 0 0 292.314 2.660 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H](C)OC2(CCC2)C1 ZINC000565225924 290285715 /nfs/dbraw/zinc/28/57/15/290285715.db2.gz HEDLEFNDGZJKAM-LLVKDONJSA-N 0 0 292.335 2.751 20 5 CFBDRN Cc1ccoc1CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000565498009 290305602 /nfs/dbraw/zinc/30/56/02/290305602.db2.gz IJIVJBJDHPTXRE-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCO[C@@H](C2CC2)C1 ZINC000565903629 290333770 /nfs/dbraw/zinc/33/37/70/290333770.db2.gz KOCRTJHWGLZYGE-SMDDNHRTSA-N 0 0 292.335 2.973 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC(C)(C)C1 ZINC000565903582 290333812 /nfs/dbraw/zinc/33/38/12/290333812.db2.gz IUWDCNMGFUVWCI-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCC1(O)CCCC1)CCCC2 ZINC000565947061 290336216 /nfs/dbraw/zinc/33/62/16/290336216.db2.gz GYZATXORYNYZBQ-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN CC(C)Oc1nc(N[C@]2(C)CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000565960943 290337721 /nfs/dbraw/zinc/33/77/21/290337721.db2.gz HIHFKVAFEGZNLA-IINYFYTJSA-N 0 0 295.339 2.756 20 5 CFBDRN C[C@H]1CC(NC(=O)c2ccc([N+](=O)[O-])o2)C[C@H](C)C1 ZINC000172469579 290352177 /nfs/dbraw/zinc/35/21/77/290352177.db2.gz QCNMJNYFJVHYCA-RKDXNWHRSA-N 0 0 266.297 2.742 20 5 CFBDRN Cc1nnsc1COc1cc(C)c([N+](=O)[O-])cc1F ZINC000566299076 290368147 /nfs/dbraw/zinc/36/81/47/290368147.db2.gz OXRCZAMGNVMBDN-UHFFFAOYSA-N 0 0 283.284 2.781 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](SC)C1 ZINC000566482306 290393418 /nfs/dbraw/zinc/39/34/18/290393418.db2.gz MGHTXWSDHRFRBH-VIFPVBQESA-N 0 0 268.338 2.545 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2Nc2ncc([N+](=O)[O-])c(C)n2)cc1 ZINC000567767780 290493409 /nfs/dbraw/zinc/49/34/09/290493409.db2.gz XFCGQXWKNCRSNG-QWHCGFSZSA-N 0 0 284.319 2.970 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000264320331 290516482 /nfs/dbraw/zinc/51/64/82/290516482.db2.gz PGIMQUSHKNFQPJ-WCQYABFASA-N 0 0 291.351 2.925 20 5 CFBDRN CS[C@H]1CCN(c2ccc([N+](=O)[O-])c(OC(C)C)n2)C1 ZINC000568647433 290564278 /nfs/dbraw/zinc/56/42/78/290564278.db2.gz RQWNWALSOADBBY-JTQLQIEISA-N 0 0 297.380 2.719 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCOC3(CCC3)C2)c(F)c1 ZINC000569209371 290590995 /nfs/dbraw/zinc/59/09/95/290590995.db2.gz BQCIMASFCLOKQV-SECBINFHSA-N 0 0 281.287 2.643 20 5 CFBDRN COC[C@H]1CN(c2cccc(C)c2[N+](=O)[O-])CC(C)(C)O1 ZINC000285252086 293237035 /nfs/dbraw/zinc/23/70/35/293237035.db2.gz ZDGVRRPAMSSRIB-GFCCVEGCSA-N 0 0 294.351 2.533 20 5 CFBDRN CO[C@@](C)(C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000292015120 294061288 /nfs/dbraw/zinc/06/12/88/294061288.db2.gz YRFJCFXPSCAYMN-MEBBXXQBSA-N 0 0 292.335 2.587 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000280531362 294833359 /nfs/dbraw/zinc/83/33/59/294833359.db2.gz RPGFGPVVRNGEAS-CYBMUJFWSA-N 0 0 268.288 2.739 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCCC(C)(C)C2)c1 ZINC000112681119 295253164 /nfs/dbraw/zinc/25/31/64/295253164.db2.gz YVKKVKLHIDMHHX-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cccc(N2C[C@H](C)O[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000280469584 295306324 /nfs/dbraw/zinc/30/63/24/295306324.db2.gz MVUDJJWWZCZYKM-IINYFYTJSA-N 0 0 292.335 2.607 20 5 CFBDRN COc1cccc(NC[C@@](C)(OC)C2CC2)c1[N+](=O)[O-] ZINC000292405292 295307341 /nfs/dbraw/zinc/30/73/41/295307341.db2.gz SCPYCXDGVMOAQY-CQSZACIVSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cccc2c1CCN(c1ncc(C)cc1[N+](=O)[O-])C2 ZINC000272926815 295335771 /nfs/dbraw/zinc/33/57/71/295335771.db2.gz RQLHJCPUQQNAAE-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN Nc1c(C(=O)N2CCC[C@@H]2C2CCC2)cccc1[N+](=O)[O-] ZINC000176454240 295344867 /nfs/dbraw/zinc/34/48/67/295344867.db2.gz FJYFJOWHKBCCJP-GFCCVEGCSA-N 0 0 289.335 2.582 20 5 CFBDRN Nc1ccc(N2CCC(c3ccncc3)CC2)c([N+](=O)[O-])c1 ZINC000287900594 295353269 /nfs/dbraw/zinc/35/32/69/295353269.db2.gz VIMJPEZMAFFBGO-UHFFFAOYSA-N 0 0 298.346 2.956 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCOC3(CCCCC3)C2)c1 ZINC000193456499 295356419 /nfs/dbraw/zinc/35/64/19/295356419.db2.gz GXCDWHVEBNHFPM-UHFFFAOYSA-N 0 0 291.351 2.717 20 5 CFBDRN C[C@@H]1CN(c2sccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000302045344 297088570 /nfs/dbraw/zinc/08/85/70/297088570.db2.gz XURPSILOJQDEIC-OCAPTIKFSA-N 0 0 258.368 2.987 20 5 CFBDRN C[C@@H]1C[C@H](C)C[N@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000339003191 297363906 /nfs/dbraw/zinc/36/39/06/297363906.db2.gz FXEYDZXNRZMBNF-VHSXEESVSA-N 0 0 250.298 2.531 20 5 CFBDRN C[C@@]1(F)CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000294849761 297905854 /nfs/dbraw/zinc/90/58/54/297905854.db2.gz BXFCMHCGSPXHOJ-LLVKDONJSA-N 0 0 273.695 2.972 20 5 CFBDRN C[C@@]12CCN(C(=O)c3ccccc3[N+](=O)[O-])C[C@@H]1C2(F)F ZINC000292845630 297939223 /nfs/dbraw/zinc/93/92/23/297939223.db2.gz NMSPKVIDASXKPL-WCQYABFASA-N 0 0 296.273 2.712 20 5 CFBDRN C[C@H]1CN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)[C@H]1C ZINC000335003962 300183555 /nfs/dbraw/zinc/18/35/55/300183555.db2.gz IXGMKZODQAVVTR-IUCAKERBSA-N 0 0 273.292 2.557 20 5 CFBDRN C[C@H]1CN(c2cccc(C(N)=O)c2[N+](=O)[O-])CC(C)(C)C1 ZINC000342832415 300298419 /nfs/dbraw/zinc/29/84/19/300298419.db2.gz SDEQSJIVFCPVCG-SNVBAGLBSA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@H]1CN(c2ccncc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000488770971 300301219 /nfs/dbraw/zinc/30/12/19/300301219.db2.gz UCNNKLJBBUFDBH-NSHDSACASA-N 0 0 285.303 2.777 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC(C)(C)OC[C@@H]2C)n1 ZINC000408354039 301202922 /nfs/dbraw/zinc/20/29/22/301202922.db2.gz HJYAZBDJAWZRQI-NSHDSACASA-N 0 0 279.340 2.610 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCCCC[C@@H]2O)n1 ZINC000340956419 301205940 /nfs/dbraw/zinc/20/59/40/301205940.db2.gz BCYHNQFDNJBJRK-NEPJUHHUSA-N 0 0 279.340 2.712 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CC2(CC2)C1 ZINC000334531554 301287307 /nfs/dbraw/zinc/28/73/07/301287307.db2.gz JIEPDUSIMYMVII-UHFFFAOYSA-N 0 0 280.711 2.793 20 5 CFBDRN Cc1cc(N(C)[C@@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000359970007 301330022 /nfs/dbraw/zinc/33/00/22/301330022.db2.gz XRSSAWKLUYVHKX-SNVBAGLBSA-N 0 0 268.288 2.658 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000268392531 301433654 /nfs/dbraw/zinc/43/36/54/301433654.db2.gz MSSCTEWDRWUQCY-JHJVBQTASA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc(OS(=O)(=O)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000265873902 301657232 /nfs/dbraw/zinc/65/72/32/301657232.db2.gz QRDKOFYMRMLESC-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC23CCC3)c1 ZINC000336417837 301847925 /nfs/dbraw/zinc/84/79/25/301847925.db2.gz KWUUZAQTQSBCFP-UHFFFAOYSA-N 0 0 260.293 2.672 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H]3CCC[C@H]3C2)c1 ZINC000154490325 301849331 /nfs/dbraw/zinc/84/93/31/301849331.db2.gz PVGBWHUNUPKQPR-RYUDHWBXSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCS[C@@H](C(C)C)C2)n1 ZINC000178242641 301854736 /nfs/dbraw/zinc/85/47/36/301854736.db2.gz OHPUWDXEMCQOIT-GFCCVEGCSA-N 0 0 281.381 2.876 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000177021012 301952181 /nfs/dbraw/zinc/95/21/81/301952181.db2.gz SWYUDZGOJQZBEJ-ONGXEEELSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCSC[C@@H](C)C1 ZINC000192676651 302038246 /nfs/dbraw/zinc/03/82/46/302038246.db2.gz JJOYEQRDVUHJOC-JTQLQIEISA-N 0 0 294.376 2.728 20 5 CFBDRN Cc1cnc(N2CC(C)(C)[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000290753632 302347628 /nfs/dbraw/zinc/34/76/28/302347628.db2.gz SVEXGTGXOYYZNH-GFCCVEGCSA-N 0 0 261.325 2.923 20 5 CFBDRN Cc1cnc(N2CCCCC[C@H]2C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000286302940 302348343 /nfs/dbraw/zinc/34/83/43/302348343.db2.gz JPVJENBCUVZISD-STQMWFEESA-N 0 0 293.367 2.818 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000408338084 302785968 /nfs/dbraw/zinc/78/59/68/302785968.db2.gz JAXZBHDYPTZUGA-NSHDSACASA-N 0 0 280.372 2.899 20 5 CFBDRN Cc1nn([C@H]2CCCC(C)(C)C2=O)c(C)c1[N+](=O)[O-] ZINC000412980865 302806325 /nfs/dbraw/zinc/80/63/25/302806325.db2.gz FKWKUZFTFJVBPB-JTQLQIEISA-N 0 0 265.313 2.728 20 5 CFBDRN Nc1ccc(N2CCOCC3(CCCC3)C2)c([N+](=O)[O-])c1 ZINC000367434149 303496185 /nfs/dbraw/zinc/49/61/85/303496185.db2.gz FEBYFOXLBKQOJQ-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]([C@H](CO)C1CC1)C1CC1 ZINC000450782197 304808360 /nfs/dbraw/zinc/80/83/60/304808360.db2.gz UJSVEFXPCBRDJX-DOMZBBRYSA-N 0 0 276.336 2.804 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC2(CCC2)[C@H]1C1CC1 ZINC000290667722 304810447 /nfs/dbraw/zinc/81/04/47/304810447.db2.gz KDKDLGFJKRIJLS-GFCCVEGCSA-N 0 0 259.309 2.759 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCCC12CCOCC2 ZINC000283373695 304811391 /nfs/dbraw/zinc/81/13/91/304811391.db2.gz CUAJLFJOMMERNE-LBPRGKRZSA-N 0 0 277.324 2.751 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H](C(F)(F)F)C1 ZINC000340152462 304812368 /nfs/dbraw/zinc/81/23/68/304812368.db2.gz MOCIBBGEUHEEGO-MRVPVSSYSA-N 0 0 275.230 2.769 20 5 CFBDRN Cc1ccc(NC(=O)c2c([N+](=O)[O-])cnn2C)c(Cl)c1 ZINC000160000246 322331577 /nfs/dbraw/zinc/33/15/77/322331577.db2.gz LCBVMILFGMOXHI-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000160421333 322338057 /nfs/dbraw/zinc/33/80/57/322338057.db2.gz GBMYLEUYCNYNCG-DOMZBBRYSA-N 0 0 274.320 2.920 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C ZINC000161398689 322351041 /nfs/dbraw/zinc/35/10/41/322351041.db2.gz HIZYKMCCNKWMIJ-UHFFFAOYSA-N 0 0 268.338 2.703 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000161522585 322352782 /nfs/dbraw/zinc/35/27/82/322352782.db2.gz UREJSJWCWTZNCT-TXEJJXNPSA-N 0 0 276.336 2.927 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])Nc1cccc(F)n1 ZINC000162235054 322362152 /nfs/dbraw/zinc/36/21/52/322362152.db2.gz URDSLZYMVCTIGC-CMDGGOBGSA-N 0 0 287.250 2.781 20 5 CFBDRN COc1cc(C(=O)N2[C@H](C)CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000162372076 322363864 /nfs/dbraw/zinc/36/38/64/322363864.db2.gz OGINVZSONBSNIT-NXEZZACHSA-N 0 0 278.308 2.616 20 5 CFBDRN C[C@H](NCc1cccc([N+](=O)[O-])c1)c1cscn1 ZINC000162397675 322364199 /nfs/dbraw/zinc/36/41/99/322364199.db2.gz LXDFIHUAZRHMPQ-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN Cc1cc(C(=O)N2[C@H](C)CC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000162394759 322364350 /nfs/dbraw/zinc/36/43/50/322364350.db2.gz AGUCDTHIQGGQFO-PHIMTYICSA-N 0 0 262.309 2.916 20 5 CFBDRN Cc1nc(NCc2ccc(C(C)C)c([N+](=O)[O-])c2)n(C)n1 ZINC000162463782 322365372 /nfs/dbraw/zinc/36/53/72/322365372.db2.gz SDUZPWARYKZKRR-UHFFFAOYSA-N 0 0 289.339 2.767 20 5 CFBDRN Cn1cnc2cc(C(=O)Nc3ccc([N+](=O)[O-])cc3)ccc21 ZINC000164304386 322381482 /nfs/dbraw/zinc/38/14/82/322381482.db2.gz CIPKZMRWAQKATE-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000164275410 322381524 /nfs/dbraw/zinc/38/15/24/322381524.db2.gz XMIKQYPYEDBSOO-JTQLQIEISA-N 0 0 297.380 2.508 20 5 CFBDRN O=C1Cc2ccccc2N1CCNc1ccccc1[N+](=O)[O-] ZINC000170641246 322390759 /nfs/dbraw/zinc/39/07/59/322390759.db2.gz GHYYGDLDUXHSIQ-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN COc1cccc(COc2cc([N+](=O)[O-])ccc2OC)n1 ZINC000172318598 322422850 /nfs/dbraw/zinc/42/28/50/322422850.db2.gz XQWQDFMHSZOROK-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN Cc1cnc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000172719734 322428928 /nfs/dbraw/zinc/42/89/28/322428928.db2.gz VBLPIJUTTLREJY-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CNc1ccc(C(=O)N(C)[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000569304753 322462279 /nfs/dbraw/zinc/46/22/79/322462279.db2.gz TZACBVVJBMMFLA-GFCCVEGCSA-N 0 0 277.324 2.507 20 5 CFBDRN COc1cc(N2CCC[C@@H](F)C2)c([N+](=O)[O-])cc1F ZINC000569297972 322462360 /nfs/dbraw/zinc/46/23/60/322462360.db2.gz MFCUEELMHFGPLX-MRVPVSSYSA-N 0 0 272.251 2.681 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000569535969 322479155 /nfs/dbraw/zinc/47/91/55/322479155.db2.gz YVUMHYLXKOMYFL-PWSUYJOCSA-N 0 0 274.320 2.526 20 5 CFBDRN CCc1nocc1COc1cc(OC)ccc1[N+](=O)[O-] ZINC000569709003 322491931 /nfs/dbraw/zinc/49/19/31/322491931.db2.gz XUBZJJMRZXLMJK-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN CC(C)C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000569914833 322504050 /nfs/dbraw/zinc/50/40/50/322504050.db2.gz PTCRPOJUHWUIAK-YPMHNXCESA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1cc(NC(=O)C2(CF)CCC2)ccc1[N+](=O)[O-] ZINC000569975822 322507167 /nfs/dbraw/zinc/50/71/67/322507167.db2.gz UGUCJONCEPTYGR-UHFFFAOYSA-N 0 0 266.272 2.982 20 5 CFBDRN CCCc1coc(NC(=O)Cc2cccc([N+](=O)[O-])c2)n1 ZINC000570173523 322517997 /nfs/dbraw/zinc/51/79/97/322517997.db2.gz IVUYKZRWBXSKMF-UHFFFAOYSA-N 0 0 289.291 2.717 20 5 CFBDRN COCC1(CCNC(=O)c2cc([N+](=O)[O-])c(C)s2)CC1 ZINC000570845814 322555485 /nfs/dbraw/zinc/55/54/85/322555485.db2.gz MVEXIVSEDFABBI-UHFFFAOYSA-N 0 0 298.364 2.511 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@@H](F)C1 ZINC000571167642 322572775 /nfs/dbraw/zinc/57/27/75/322572775.db2.gz SKIPOHINWQJZEN-VXGBXAGGSA-N 0 0 280.299 2.534 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000571767520 322595484 /nfs/dbraw/zinc/59/54/84/322595484.db2.gz LMLXTQWOGQQTJH-BXKDBHETSA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)Oc1nc(S[C@@H](C)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000572303727 322614537 /nfs/dbraw/zinc/61/45/37/322614537.db2.gz VPEJTNIVVIBIAU-IUCAKERBSA-N 0 0 286.353 2.638 20 5 CFBDRN CSC[C@H](C)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000572425520 322618908 /nfs/dbraw/zinc/61/89/08/322618908.db2.gz JFGBTEGUJRHDBY-UWVGGRQHSA-N 0 0 282.365 2.566 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1C[C@H](C)O[C@H]1C ZINC000572985547 322640271 /nfs/dbraw/zinc/64/02/71/322640271.db2.gz GBHUDODMPAWWCA-DCAQKATOSA-N 0 0 280.324 2.829 20 5 CFBDRN Cc1cn(Cc2ccc3ncccc3c2)nc1[N+](=O)[O-] ZINC000574416126 322692248 /nfs/dbraw/zinc/69/22/48/322692248.db2.gz FKYIBSWZPNQEPG-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@H](c2cccnc2)C1 ZINC000575183170 322721187 /nfs/dbraw/zinc/72/11/87/322721187.db2.gz XBRWNSVEHJGKQA-AWEZNQCLSA-N 0 0 297.314 2.620 20 5 CFBDRN CCN(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1C1CC1 ZINC000575415767 322725885 /nfs/dbraw/zinc/72/58/85/322725885.db2.gz SLHCRYYUWVXOLL-UHFFFAOYSA-N 0 0 287.323 2.644 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])cn2)CCCCC1 ZINC000575632443 322726970 /nfs/dbraw/zinc/72/69/70/322726970.db2.gz YZXYBMTYUPMWAP-UHFFFAOYSA-N 0 0 277.324 2.833 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCC[C@@H](F)C2)nc2sccn21 ZINC000575746418 322727750 /nfs/dbraw/zinc/72/77/50/322727750.db2.gz VQSUUNZEFJYOPZ-HTQZYQBOSA-N 0 0 284.316 2.997 20 5 CFBDRN CCC1(NC(=O)NCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000176010963 323671173 /nfs/dbraw/zinc/67/11/73/323671173.db2.gz JNVHYONQFGZQTM-UHFFFAOYSA-N 0 0 277.324 2.727 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ncnc3ncccc32)c1 ZINC000178874435 323725792 /nfs/dbraw/zinc/72/57/92/323725792.db2.gz PDARHMFLLILARL-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182154370 323844503 /nfs/dbraw/zinc/84/45/03/323844503.db2.gz JBFCCHRUALIZNP-BDAKNGLRSA-N 0 0 268.288 2.752 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1cnc3ccccn13)CC2 ZINC000182787841 323881958 /nfs/dbraw/zinc/88/19/58/323881958.db2.gz LHSGETMGPFRKFA-UHFFFAOYSA-N 0 0 294.314 2.805 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000184330510 323941762 /nfs/dbraw/zinc/94/17/62/323941762.db2.gz UCDKVGQLOAEWOR-UWVGGRQHSA-N 0 0 280.299 2.848 20 5 CFBDRN CC[C@@H](C)CC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000190417277 324040490 /nfs/dbraw/zinc/04/04/90/324040490.db2.gz IYEZTSGMZPMEHG-SNVBAGLBSA-N 0 0 250.298 2.647 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nncn2-c2ccccc2)cc1 ZINC000191580370 324051436 /nfs/dbraw/zinc/05/14/36/324051436.db2.gz GVXJRYKHOLOSIX-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cc1cc(OC[C@@H](O)Cc2ccccc2)ccc1[N+](=O)[O-] ZINC000192481874 324060608 /nfs/dbraw/zinc/06/06/08/324060608.db2.gz QHRINOKSFDVCRU-AWEZNQCLSA-N 0 0 287.315 2.886 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)c1ccsc1 ZINC000193586612 324074319 /nfs/dbraw/zinc/07/43/19/324074319.db2.gz SXVVWBSLNLQPAF-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)n1 ZINC000194680139 324093641 /nfs/dbraw/zinc/09/36/41/324093641.db2.gz FDWFCYNLXRFDQZ-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1nsc(C)c1-c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000350549876 324229388 /nfs/dbraw/zinc/22/93/88/324229388.db2.gz SBWDICAXHOZJBB-UHFFFAOYSA-N 0 0 291.292 2.713 20 5 CFBDRN Cc1cc(-c2noc(-c3cc([N+](=O)[O-])cnc3C)n2)ccn1 ZINC000350569355 324234014 /nfs/dbraw/zinc/23/40/14/324234014.db2.gz URTUVHUELNZMCS-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc([C@@H]3CCC(F)(F)C3)no2)c1 ZINC000350582899 324237590 /nfs/dbraw/zinc/23/75/90/324237590.db2.gz PIQOVHMKQAGZCB-ZCFIWIBFSA-N 0 0 284.222 2.876 20 5 CFBDRN C[C@H]1COCC[C@@H]1c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000350594496 324240382 /nfs/dbraw/zinc/24/03/82/324240382.db2.gz KPSVHZMVNDEDKS-CABZTGNLSA-N 0 0 289.291 2.785 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(Cc2ccoc2)n1 ZINC000350599787 324242265 /nfs/dbraw/zinc/24/22/65/324242265.db2.gz SZBMELDYPCSUKZ-UHFFFAOYSA-N 0 0 285.259 2.752 20 5 CFBDRN C[C@@H]1COCC[C@@H]1c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000350601753 324242587 /nfs/dbraw/zinc/24/25/87/324242587.db2.gz SKNGPMNELSTMFI-SKDRFNHKSA-N 0 0 289.291 2.785 20 5 CFBDRN Cc1c(Cc2noc(-c3ccon3)n2)cccc1[N+](=O)[O-] ZINC000350645324 324249370 /nfs/dbraw/zinc/24/93/70/324249370.db2.gz QVAADDISJNORTH-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccc(F)cn3)no2)s1 ZINC000350750946 324254255 /nfs/dbraw/zinc/25/42/55/324254255.db2.gz AQXXDGPCCVOPEP-UHFFFAOYSA-N 0 0 292.251 2.907 20 5 CFBDRN COC[C@@H](C)Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350827312 324265175 /nfs/dbraw/zinc/26/51/75/324265175.db2.gz ZTNBBTMAFXKAAS-ZETCQYMHSA-N 0 0 283.309 2.531 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc([C@@H]2CCCOC2)no1 ZINC000350864990 324271547 /nfs/dbraw/zinc/27/15/47/324271547.db2.gz NCHKAIIFIRBLJX-MRVPVSSYSA-N 0 0 293.254 2.678 20 5 CFBDRN Cc1cc(-c2nc(-c3cocn3)no2)cc([N+](=O)[O-])c1 ZINC000350882161 324277273 /nfs/dbraw/zinc/27/72/73/324277273.db2.gz GKJQRRVSHFJDIO-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1-c1nc(-c2cocn2)no1 ZINC000350884208 324277565 /nfs/dbraw/zinc/27/75/65/324277565.db2.gz KQOXQKZYCRLBSK-UHFFFAOYSA-N 0 0 292.638 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cocn3)no2)c(Cl)c1 ZINC000350887728 324278515 /nfs/dbraw/zinc/27/85/15/324278515.db2.gz RLQJUKRZJBCIDQ-UHFFFAOYSA-N 0 0 292.638 2.953 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccc4cc[nH]c4n3)no2)c1 ZINC000350906034 324280205 /nfs/dbraw/zinc/28/02/05/324280205.db2.gz DIXGLCVDIGHWFT-UHFFFAOYSA-N 0 0 296.246 2.516 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc([C@@H]2CCC(C)(C)C2)n1 ZINC000350912129 324281880 /nfs/dbraw/zinc/28/18/80/324281880.db2.gz WDALYGMOTLZQPK-MRVPVSSYSA-N 0 0 291.311 2.672 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc(-c3cncs3)no2)c1 ZINC000350912833 324281923 /nfs/dbraw/zinc/28/19/23/324281923.db2.gz XRFZOLUXKXSNSB-UHFFFAOYSA-N 0 0 292.251 2.907 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(C[C@H](C)C(C)C)n2)n1C ZINC000350914780 324282840 /nfs/dbraw/zinc/28/28/40/324282840.db2.gz KDVQOHSSVUZHHW-QMMMGPOBSA-N 0 0 293.327 2.521 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@@H]3CC[C@H](C)C3)n2)n1C ZINC000350925434 324284487 /nfs/dbraw/zinc/28/44/87/324284487.db2.gz KETRAYNRDISODN-IONNQARKSA-N 0 0 291.311 2.590 20 5 CFBDRN CCSCCCc1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000351000798 324293048 /nfs/dbraw/zinc/29/30/48/324293048.db2.gz VCXAFUNRTWXAQW-UHFFFAOYSA-N 0 0 282.325 2.659 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000351104675 324312378 /nfs/dbraw/zinc/31/23/78/324312378.db2.gz NCLPVXNCZNHKIE-RKDXNWHRSA-N 0 0 291.311 2.528 20 5 CFBDRN Cc1cc(-c2noc([C@@]3(F)CCOC3)n2)cc([N+](=O)[O-])c1 ZINC000351144783 324326820 /nfs/dbraw/zinc/32/68/20/324326820.db2.gz IPRVQKVBZRMCPS-CYBMUJFWSA-N 0 0 293.254 2.538 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(COCC3CCCC3)n2)o1 ZINC000351148411 324328378 /nfs/dbraw/zinc/32/83/78/324328378.db2.gz QBJRWMMZZVYWHF-UHFFFAOYSA-N 0 0 293.279 2.945 20 5 CFBDRN CC[C@H](C)[C@@H](OC)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351148358 324328380 /nfs/dbraw/zinc/32/83/80/324328380.db2.gz PSJFKMGDLHZENS-OIBJUYFYSA-N 0 0 281.268 2.971 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)C[C@H]2C[C@H]2C1 ZINC000351149268 324329108 /nfs/dbraw/zinc/32/91/08/324329108.db2.gz RBNZTYWWYIGFKN-BWPRHRIFSA-N 0 0 275.264 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3C[C@@H]3C(F)F)n2)o1 ZINC000351150571 324330161 /nfs/dbraw/zinc/33/01/61/324330161.db2.gz SVJAVZYSANDBMJ-WHFBIAKZSA-N 0 0 271.179 2.606 20 5 CFBDRN COCc1noc(-c2ccc([N+](=O)[O-])c(OC(C)C)c2)n1 ZINC000351192242 324343958 /nfs/dbraw/zinc/34/39/58/324343958.db2.gz ZWQHQJCZVJOLKN-UHFFFAOYSA-N 0 0 293.279 2.578 20 5 CFBDRN C[C@]1(c2nc(Cc3ccccc3[N+](=O)[O-])no2)C[C@@H]1F ZINC000351281666 324370262 /nfs/dbraw/zinc/37/02/62/324370262.db2.gz BELKBTDXDALARP-GWCFXTLKSA-N 0 0 277.255 2.568 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000380648206 324411618 /nfs/dbraw/zinc/41/16/18/324411618.db2.gz WUGGVHWTVYEAFO-VHSXEESVSA-N 0 0 277.324 2.507 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCc1cccc(O)c1 ZINC000383495302 324439768 /nfs/dbraw/zinc/43/97/68/324439768.db2.gz VFIJDDYEIGPSTJ-UHFFFAOYSA-N 0 0 295.298 2.883 20 5 CFBDRN COc1cc(N[C@H](C)[C@H](OC)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000388370842 324505798 /nfs/dbraw/zinc/50/57/98/324505798.db2.gz XFOMKQVYYLNZHA-CLAHSXSESA-N 0 0 298.314 2.968 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2C[C@]2(C)C(C)C)cc1[N+](=O)[O-] ZINC000397340790 324546771 /nfs/dbraw/zinc/54/67/71/324546771.db2.gz COQUOSOVFBTABS-DZGCQCFKSA-N 0 0 291.351 2.801 20 5 CFBDRN Cc1cccc(N2C[C@@H]3CC[C@@H](O)C[C@H]3C2)c1[N+](=O)[O-] ZINC000398921320 324553004 /nfs/dbraw/zinc/55/30/04/324553004.db2.gz QPJJTGCTMCEWNF-RWMBFGLXSA-N 0 0 276.336 2.500 20 5 CFBDRN CSCCn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000576239758 324595130 /nfs/dbraw/zinc/59/51/30/324595130.db2.gz IEAXGMYQFDSPMW-UHFFFAOYSA-N 0 0 263.322 2.821 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCC(C)(F)F)c1 ZINC000576421890 324614864 /nfs/dbraw/zinc/61/48/64/324614864.db2.gz FXESABWUHBMPQM-UHFFFAOYSA-N 0 0 290.291 2.702 20 5 CFBDRN CCOc1cc(N2CC[C@@H](OC)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000576813786 324661399 /nfs/dbraw/zinc/66/13/99/324661399.db2.gz RJIMGTDPEYWLNY-DGCLKSJQSA-N 0 0 294.351 2.997 20 5 CFBDRN COC1(COc2c(C)c(C)ncc2[N+](=O)[O-])CCCC1 ZINC000577888607 324794441 /nfs/dbraw/zinc/79/44/41/324794441.db2.gz ZGNOHKZVBAYEAH-UHFFFAOYSA-N 0 0 280.324 2.945 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1cccnc1OC ZINC000577984127 324803853 /nfs/dbraw/zinc/80/38/53/324803853.db2.gz AJPKZCJFXVFDBK-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN COCC[C@@H](C)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000578645260 324874292 /nfs/dbraw/zinc/87/42/92/324874292.db2.gz FKMSBHVZCQTRPE-LLVKDONJSA-N 0 0 293.367 2.953 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1([C@@H](O)C(C)C)CC1 ZINC000578668852 324877420 /nfs/dbraw/zinc/87/74/20/324877420.db2.gz RSWZLNQXXDPPJX-AWEZNQCLSA-N 0 0 294.351 2.812 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000430450258 533707241 /nfs/dbraw/zinc/70/72/41/533707241.db2.gz LSQKRHULPRJWEQ-WCBMZHEXSA-N 0 0 295.314 2.577 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000579569947 324960926 /nfs/dbraw/zinc/96/09/26/324960926.db2.gz QMXRWNDVZNQISM-JQWIXIFHSA-N 0 0 274.320 2.615 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@H](C)[C@H](C)[C@@H]1C ZINC000579572824 324960930 /nfs/dbraw/zinc/96/09/30/324960930.db2.gz ORVKZNGXNFLQRO-QXEWZRGKSA-N 0 0 266.345 2.600 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000580299431 325023366 /nfs/dbraw/zinc/02/33/66/325023366.db2.gz HVNPCJIJUQHDDJ-UTUOFQBUSA-N 0 0 291.351 2.781 20 5 CFBDRN C[C@@H](C(=O)N1CCC(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000580811003 325065762 /nfs/dbraw/zinc/06/57/62/325065762.db2.gz RFWGYSXNXZYQMA-GFCCVEGCSA-N 0 0 276.336 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](NCCCCO)C(F)(F)F)cc1 ZINC000580898278 325073434 /nfs/dbraw/zinc/07/34/34/325073434.db2.gz KUXRFUDRTXUKHB-LLVKDONJSA-N 0 0 292.257 2.560 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H](F)C1 ZINC000581133088 325093827 /nfs/dbraw/zinc/09/38/27/325093827.db2.gz SHOSZDOKPXTGJQ-CHWSQXEVSA-N 0 0 294.326 2.924 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCc3ccccc3N2)cn1 ZINC000581275879 325107078 /nfs/dbraw/zinc/10/70/78/325107078.db2.gz VOIXKHFNNPMPFE-CYBMUJFWSA-N 0 0 284.319 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=N[C@@H]3CCC[C@H](F)C3)[nH]c2c1 ZINC000581485828 325125690 /nfs/dbraw/zinc/12/56/90/325125690.db2.gz NIIPDLFIYTWTLC-DTWKUNHWSA-N 0 0 278.287 2.586 20 5 CFBDRN CCC1(C)CN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000581641301 325139127 /nfs/dbraw/zinc/13/91/27/325139127.db2.gz KPXYFXBNFXTSMZ-LLVKDONJSA-N 0 0 276.336 2.957 20 5 CFBDRN CNc1ccc(C(=O)N(C)[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000581980172 325165162 /nfs/dbraw/zinc/16/51/62/325165162.db2.gz BHLLHUJOBMFTFM-ZYHUDNBSSA-N 0 0 291.351 2.897 20 5 CFBDRN CCc1cc(N)nc(SCc2csc([N+](=O)[O-])c2)n1 ZINC000582042864 325171103 /nfs/dbraw/zinc/17/11/03/325171103.db2.gz QAMKOYAAVGHFOA-UHFFFAOYSA-N 0 0 296.377 2.714 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(C(F)(F)F)CC2)c(F)c1 ZINC000582228104 325187208 /nfs/dbraw/zinc/18/72/08/325187208.db2.gz AIRKRWHZJFRPMR-UHFFFAOYSA-N 0 0 293.220 2.908 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CCc1ccncc1 ZINC000582325860 325195837 /nfs/dbraw/zinc/19/58/37/325195837.db2.gz CJEIDIJDKOOYEE-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(CCC3(F)F)C2)cc1 ZINC000582458360 325206279 /nfs/dbraw/zinc/20/62/79/325206279.db2.gz IIQYBIUOBDRVRS-UHFFFAOYSA-N 0 0 268.263 2.826 20 5 CFBDRN CCCCn1cnc2c(Cl)cc([N+](=O)[O-])cc2c1=O ZINC000582904295 325244022 /nfs/dbraw/zinc/24/40/22/325244022.db2.gz KOMHDWFWCOZPGQ-UHFFFAOYSA-N 0 0 281.699 2.758 20 5 CFBDRN Cc1noc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c1C ZINC000583002007 325251693 /nfs/dbraw/zinc/25/16/93/325251693.db2.gz KBUITJCMCWSNRO-VIFPVBQESA-N 0 0 289.291 2.942 20 5 CFBDRN COC(=O)[C@H](C)CSCc1ccc(F)cc1[N+](=O)[O-] ZINC000583451664 325289298 /nfs/dbraw/zinc/28/92/98/325289298.db2.gz BTKYKXKHNDAABA-MRVPVSSYSA-N 0 0 287.312 2.776 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000583568296 325296885 /nfs/dbraw/zinc/29/68/85/325296885.db2.gz FIQCZYRYGSFEIC-LDYMZIIASA-N 0 0 287.747 2.852 20 5 CFBDRN CC1(C2CC2)CCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000583595751 325298429 /nfs/dbraw/zinc/29/84/29/325298429.db2.gz GJWPGXHSIDGFAQ-UHFFFAOYSA-N 0 0 289.335 2.642 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3cccnc3)C2)c1 ZINC000583809480 325312109 /nfs/dbraw/zinc/31/21/09/325312109.db2.gz SAXASPLWLHDZQH-CYBMUJFWSA-N 0 0 299.330 2.992 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)NCc1ncccn1 ZINC000584005271 325324519 /nfs/dbraw/zinc/32/45/19/325324519.db2.gz NHHRNILHPJIQCG-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000584117930 325332205 /nfs/dbraw/zinc/33/22/05/325332205.db2.gz ZZUZAPHWAKKKQA-RNCFNFMXSA-N 0 0 292.339 2.680 20 5 CFBDRN Cc1c(CNC(=O)N2CCC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000584236057 325340160 /nfs/dbraw/zinc/34/01/60/325340160.db2.gz QVFDJPKSFAOJBS-GFCCVEGCSA-N 0 0 295.314 2.547 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000584391930 329442422 /nfs/dbraw/zinc/44/24/22/329442422.db2.gz WVIPVWKRAJICBQ-GXSJLCMTSA-N 0 0 264.329 2.708 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000445186141 330829207 /nfs/dbraw/zinc/82/92/07/330829207.db2.gz RIFBPUNXWYRXSR-MEBBXXQBSA-N 0 0 292.338 2.820 20 5 CFBDRN CC1(C)CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000076014125 520381228 /nfs/dbraw/zinc/38/12/28/520381228.db2.gz MIEXURVQHJUYSB-UHFFFAOYSA-N 0 0 252.289 2.966 20 5 CFBDRN CCOC(=O)CCCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227887949 520659822 /nfs/dbraw/zinc/65/98/22/520659822.db2.gz VLBDSBSCABGBEN-UHFFFAOYSA-N 0 0 288.250 2.628 20 5 CFBDRN O=[N+]([O-])c1ccccc1N(CCCO)Cc1ccccc1 ZINC000337148374 501083222 /nfs/dbraw/zinc/08/32/22/501083222.db2.gz QIEWDBWMBWZPPU-UHFFFAOYSA-N 0 0 286.331 2.984 20 5 CFBDRN CCOCCCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000341778295 535494861 /nfs/dbraw/zinc/49/48/61/535494861.db2.gz PNGHPJZIMJFAOR-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000361792745 521879089 /nfs/dbraw/zinc/87/90/89/521879089.db2.gz QHMDTXFBLVPGKI-GXFFZTMASA-N 0 0 280.324 2.619 20 5 CFBDRN CCc1cnc(CNc2ccncc2[N+](=O)[O-])s1 ZINC000230920305 522040773 /nfs/dbraw/zinc/04/07/73/522040773.db2.gz CIVIWDWDRCQDDP-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])c(N)c2F)[C@H](C)O1 ZINC000413531818 533875788 /nfs/dbraw/zinc/87/57/88/533875788.db2.gz MEDDPKBSXKPYAE-HRDYMLBCSA-N 0 0 283.303 2.542 20 5 CFBDRN COc1cccc2c1C[C@H](Nc1nccc(C)c1[N+](=O)[O-])C2 ZINC000413423835 533893928 /nfs/dbraw/zinc/89/39/28/533893928.db2.gz FDPUHWOKPUUZJG-GFCCVEGCSA-N 0 0 299.330 2.886 20 5 CFBDRN CS[C@H](C)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000176494752 534021742 /nfs/dbraw/zinc/02/17/42/534021742.db2.gz BIOQBJINLCLBAL-SECBINFHSA-N 0 0 282.365 2.698 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413149378 534099370 /nfs/dbraw/zinc/09/93/70/534099370.db2.gz BKLFIQUCAMVQOK-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000178684947 534139762 /nfs/dbraw/zinc/13/97/62/534139762.db2.gz JZDXSKCZIRCBIF-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN COC(=O)c1cccc(OCCOC(C)(C)C)c1[N+](=O)[O-] ZINC000413013994 534280452 /nfs/dbraw/zinc/28/04/52/534280452.db2.gz HWMQUZKVCYPXNX-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H](C)O[C@@H]2C)n1 ZINC000413544694 534328186 /nfs/dbraw/zinc/32/81/86/534328186.db2.gz YUAIAHNXAXNVBO-AXFHLTTASA-N 0 0 265.313 2.524 20 5 CFBDRN CC(=O)c1cc(N(C)Cc2ccccn2)ccc1[N+](=O)[O-] ZINC000228257472 518290220 /nfs/dbraw/zinc/29/02/20/518290220.db2.gz HBCYVYSBOSLSCZ-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN CC(C)(C)c1cnc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000361916153 519076128 /nfs/dbraw/zinc/07/61/28/519076128.db2.gz LRZIVTFCTQPQCR-UHFFFAOYSA-N 0 0 294.336 2.929 20 5 CFBDRN CC(C)(C)/C=C\C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000492304550 534404023 /nfs/dbraw/zinc/40/40/23/534404023.db2.gz OORQECJXLPNPLC-CLFYSBASSA-N 0 0 276.336 2.856 20 5 CFBDRN CC(C)(NC(=O)c1cccc([N+](=O)[O-])c1N)c1ccccc1 ZINC000319264544 519316432 /nfs/dbraw/zinc/31/64/32/519316432.db2.gz ZUBPWWHKJXEBKB-UHFFFAOYSA-N 0 0 299.330 2.842 20 5 CFBDRN CC(C)=CCNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000191825234 519436411 /nfs/dbraw/zinc/43/64/11/519436411.db2.gz ZGUIWNBDFZDMIH-SNVBAGLBSA-N 0 0 280.299 2.920 20 5 CFBDRN CC(C)N(C)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000336351113 519604614 /nfs/dbraw/zinc/60/46/14/519604614.db2.gz QFFBEURFCRANOU-UHFFFAOYSA-N 0 0 261.281 2.557 20 5 CFBDRN CC(C)CCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049379727 519636130 /nfs/dbraw/zinc/63/61/30/519636130.db2.gz YKPBIBVNLWGPTH-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN CC(C)CCCNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000340935211 519640247 /nfs/dbraw/zinc/64/02/47/519640247.db2.gz HXSFHDGGSFYKSL-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN CC(C)CCCS(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000337884307 519643155 /nfs/dbraw/zinc/64/31/55/519643155.db2.gz CBLMIKYXRGJOOG-UHFFFAOYSA-N 0 0 271.338 2.805 20 5 CFBDRN CC(C)CCN(C)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050710557 519650234 /nfs/dbraw/zinc/65/02/34/519650234.db2.gz SUHLIJNKHVVAIV-UHFFFAOYSA-N 0 0 299.758 2.949 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc[nH]c1 ZINC000068305972 519655936 /nfs/dbraw/zinc/65/59/36/519655936.db2.gz UVWGNGCQSRRLDT-UHFFFAOYSA-N 0 0 287.319 2.974 20 5 CFBDRN CC(C)CC[C@H](O)COc1ccc([N+](=O)[O-])cc1F ZINC000360687496 519693719 /nfs/dbraw/zinc/69/37/19/519693719.db2.gz CJPHZVGOIMZQAE-NSHDSACASA-N 0 0 271.288 2.910 20 5 CFBDRN CC(C)NC(=O)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000229196777 519714106 /nfs/dbraw/zinc/71/41/06/519714106.db2.gz WRTAAAUNBRMPST-MRVPVSSYSA-N 0 0 285.731 2.573 20 5 CFBDRN CC(=O)Nc1ccc(Oc2ccc([N+](=O)[O-])cn2)c(F)c1 ZINC000361175269 519729270 /nfs/dbraw/zinc/72/92/70/519729270.db2.gz CTSPKMOLPWQUAR-UHFFFAOYSA-N 0 0 291.238 2.880 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000360919366 519770436 /nfs/dbraw/zinc/77/04/36/519770436.db2.gz ORAWHMMSMJHSLS-GFCCVEGCSA-N 0 0 293.367 2.805 20 5 CFBDRN CC(C)c1cc(NCCc2cccc([N+](=O)[O-])c2)ncn1 ZINC000340076801 519831013 /nfs/dbraw/zinc/83/10/13/519831013.db2.gz AHACIDFSEZNOFJ-UHFFFAOYSA-N 0 0 286.335 2.585 20 5 CFBDRN CC(C)c1ccc(CN2CCO[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000366545165 519836098 /nfs/dbraw/zinc/83/60/98/519836098.db2.gz OJRGHTUUZSHUSB-LSDHHAIUSA-N 0 0 276.336 2.691 20 5 CFBDRN Cc1nc(NC(=O)/C=C/c2ccccc2)ccc1[N+](=O)[O-] ZINC000175667503 534451693 /nfs/dbraw/zinc/45/16/93/534451693.db2.gz WGRWGZVAPIXHJE-JXMROGBWSA-N 0 0 283.287 2.950 20 5 CFBDRN CCCn1nccc1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000172786777 519891402 /nfs/dbraw/zinc/89/14/02/519891402.db2.gz YXBZKFAZKVFMCQ-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN CCOCCN(CC)c1cc(C)c([N+](=O)[O-])cc1F ZINC000360075503 519910707 /nfs/dbraw/zinc/91/07/07/519910707.db2.gz UUNVGIKWGOVBBD-UHFFFAOYSA-N 0 0 270.304 2.905 20 5 CFBDRN CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000172959742 519933412 /nfs/dbraw/zinc/93/34/12/519933412.db2.gz XEQBSBHRBRFFOE-UHFFFAOYSA-N 0 0 285.303 2.585 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cnc1C)C1CCCC1 ZINC000339201184 520071550 /nfs/dbraw/zinc/07/15/50/520071550.db2.gz PUZOVUZCIVTNDO-UHFFFAOYSA-N 0 0 277.324 2.703 20 5 CFBDRN CCNc1ccc(C(=O)N2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000050711351 520283090 /nfs/dbraw/zinc/28/30/90/520283090.db2.gz PZVRIGFFUDYGOK-JTQLQIEISA-N 0 0 277.324 2.651 20 5 CFBDRN CCNc1ccc(C(=O)NC[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000054492467 520288170 /nfs/dbraw/zinc/28/81/70/520288170.db2.gz WXWRPUAIKLMONW-JTQLQIEISA-N 0 0 279.340 2.803 20 5 CFBDRN CCCCN(CC)C(=O)COc1cccc([N+](=O)[O-])c1C ZINC000060807332 520461679 /nfs/dbraw/zinc/46/16/79/520461679.db2.gz BRKRCHRJJLQAHJ-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN CCCCN(CC)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000055420303 520472423 /nfs/dbraw/zinc/47/24/23/520472423.db2.gz VHBZZBCKQFHHMI-UHFFFAOYSA-N 0 0 279.340 2.899 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC[C@@H]1C[C@H]1C1CCC1 ZINC000425274991 534501844 /nfs/dbraw/zinc/50/18/44/534501844.db2.gz BFFVAXQUIOYPRM-JQWIXIFHSA-N 0 0 289.335 2.542 20 5 CFBDRN CCC[C@@H](C)NC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000124832282 520663145 /nfs/dbraw/zinc/66/31/45/520663145.db2.gz HSGKWFCJXPZLRB-MNOVXSKESA-N 0 0 280.324 2.667 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])cc2F)CCCC1 ZINC000049223244 520765416 /nfs/dbraw/zinc/76/54/16/520765416.db2.gz YPRNDDAIWVGTAY-UHFFFAOYSA-N 0 0 266.272 2.796 20 5 CFBDRN CC1(O)C[C@H]2CC[C@@H](C1)N2c1ccc([N+](=O)[O-])cc1F ZINC000366665731 520778650 /nfs/dbraw/zinc/77/86/50/520778650.db2.gz MONNTYSLIXTONZ-BVUQATHDSA-N 0 0 280.299 2.616 20 5 CFBDRN CCCCOCCOc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000159499898 520792623 /nfs/dbraw/zinc/79/26/23/520792623.db2.gz KMAZZJBIYWCYQI-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000065539825 520817166 /nfs/dbraw/zinc/81/71/66/520817166.db2.gz XYOXWVKADFZCQV-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN CCCCO[C@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360514217 520836938 /nfs/dbraw/zinc/83/69/38/520836938.db2.gz HDXGRBCJWOAMJS-GFCCVEGCSA-N 0 0 294.351 2.725 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000069237969 520954343 /nfs/dbraw/zinc/95/43/43/520954343.db2.gz WUFVZQJAECGAOO-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000069238101 520954480 /nfs/dbraw/zinc/95/44/80/520954480.db2.gz PXWNCNAYBMTBTQ-UHFFFAOYSA-N 0 0 284.262 2.745 20 5 CFBDRN CCC[C@H](CCO)CNc1ccccc1[N+](=O)[O-] ZINC000078672912 521096948 /nfs/dbraw/zinc/09/69/48/521096948.db2.gz VNDXRJHKAXOTFP-LLVKDONJSA-N 0 0 252.314 2.805 20 5 CFBDRN CCOc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000340427693 521117647 /nfs/dbraw/zinc/11/76/47/521117647.db2.gz ZGVRNGOITRJNKC-UHFFFAOYSA-N 0 0 275.264 2.574 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1cc(C)cc(C)c1 ZINC000157769974 521184962 /nfs/dbraw/zinc/18/49/62/521184962.db2.gz SACABAHGLIPFJC-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050696944 521348108 /nfs/dbraw/zinc/34/81/08/521348108.db2.gz CNPFRLRNPMMCBA-UHFFFAOYSA-N 0 0 285.731 2.749 20 5 CFBDRN CCOc1cccc(N[C@H]2CC[C@H](OC)C2)c1[N+](=O)[O-] ZINC000231683642 521400530 /nfs/dbraw/zinc/40/05/30/521400530.db2.gz PRYVIXCQCJYMRM-QWRGUYRKSA-N 0 0 280.324 2.973 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])c(C(F)(F)F)c1)[C@H](C)CO ZINC000338790128 521493332 /nfs/dbraw/zinc/49/33/32/521493332.db2.gz REBYRABSASTCQI-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN CC(C)(C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000248298813 534567288 /nfs/dbraw/zinc/56/72/88/534567288.db2.gz ZEGZXAHGKBTALV-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN CCC(CC)CN(CC)C(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000098098590 521517638 /nfs/dbraw/zinc/51/76/38/521517638.db2.gz UPDZQIXGAFLIBX-UHFFFAOYSA-N 0 0 296.371 2.535 20 5 CFBDRN CCCN(CC(=O)OC(C)(C)C)c1ccncc1[N+](=O)[O-] ZINC000361072317 521565632 /nfs/dbraw/zinc/56/56/32/521565632.db2.gz CYUYZZIJWBOEIR-UHFFFAOYSA-N 0 0 295.339 2.548 20 5 CFBDRN CCC(CC)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000340030534 521576556 /nfs/dbraw/zinc/57/65/56/521576556.db2.gz UHJNBFZHCDUBMZ-UHFFFAOYSA-N 0 0 282.365 2.992 20 5 CFBDRN CCCN(CC)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000360390765 521601246 /nfs/dbraw/zinc/60/12/46/521601246.db2.gz BIBDAAVQQQMQMT-UHFFFAOYSA-N 0 0 281.312 2.867 20 5 CFBDRN CCC(O)(CC)COc1ccc(F)cc1[N+](=O)[O-] ZINC000165226801 521658280 /nfs/dbraw/zinc/65/82/80/521658280.db2.gz HIIQQLSNHIKTGG-UHFFFAOYSA-N 0 0 257.261 2.664 20 5 CFBDRN CCC1(CC)CC(=O)N(Cc2cccc([N+](=O)[O-])c2)C1=O ZINC000078383097 521683738 /nfs/dbraw/zinc/68/37/38/521683738.db2.gz XQDLJDAMIIVIQL-UHFFFAOYSA-N 0 0 290.319 2.660 20 5 CFBDRN CCS[C@@H]1CC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000174395686 521727642 /nfs/dbraw/zinc/72/76/42/521727642.db2.gz PBFZVOLBFXVLRC-VHSXEESVSA-N 0 0 298.364 2.934 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2nc(C)ccc2[N+](=O)[O-])C1(C)C ZINC000360869612 521768811 /nfs/dbraw/zinc/76/88/11/521768811.db2.gz DZZZJAWPJRGZNA-SMDDNHRTSA-N 0 0 279.340 2.914 20 5 CFBDRN COc1c(C(=O)N[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000157272505 521782003 /nfs/dbraw/zinc/78/20/03/521782003.db2.gz ZJKBZYUUJRCBFT-UWVGGRQHSA-N 0 0 278.308 2.522 20 5 CFBDRN COCC[C@@H]1CCCCN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000377252431 521872532 /nfs/dbraw/zinc/87/25/32/521872532.db2.gz BEGJEDCIIZKLOF-ZDUSSCGKSA-N 0 0 293.367 2.941 20 5 CFBDRN Cc1cnc(OCc2cn3c(cccc3C)n2)c([N+](=O)[O-])c1 ZINC000176706483 534599276 /nfs/dbraw/zinc/59/92/76/534599276.db2.gz MGIZFLLMPCZRSH-UHFFFAOYSA-N 0 0 298.302 2.833 20 5 CFBDRN CCc1cccnc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000337616514 521969402 /nfs/dbraw/zinc/96/94/02/521969402.db2.gz CXFLWNPTGUHDLT-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CCc1cnc(CNC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000081206965 522036092 /nfs/dbraw/zinc/03/60/92/522036092.db2.gz RPSHRBYYZNGPQO-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN COc1cc(C(=O)N2CC3(C2)CCCC3)ccc1[N+](=O)[O-] ZINC000366671830 522065324 /nfs/dbraw/zinc/06/53/24/522065324.db2.gz CPVQPCYTXXGBMB-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC[C@H]2F)cc([N+](=O)[O-])c1C ZINC000340855879 522138449 /nfs/dbraw/zinc/13/84/49/522138449.db2.gz UQTZWKPHPZTWAM-GHMZBOCLSA-N 0 0 296.298 2.532 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCc2ccoc2)c1 ZINC000167324493 522176230 /nfs/dbraw/zinc/17/62/30/522176230.db2.gz GLVDOWIAEKEVTQ-UHFFFAOYSA-N 0 0 276.248 2.587 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000362036081 522275875 /nfs/dbraw/zinc/27/58/75/522275875.db2.gz IYVSKPAYHWTOIB-GHMZBOCLSA-N 0 0 280.324 2.760 20 5 CFBDRN COC(C)(C)CCOc1ccc(F)cc1[N+](=O)[O-] ZINC000340673456 522476479 /nfs/dbraw/zinc/47/64/79/522476479.db2.gz JQQNTSIIYIWLRB-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000173850492 522505541 /nfs/dbraw/zinc/50/55/41/522505541.db2.gz XEMZTYVEKJPBOG-MRVPVSSYSA-N 0 0 286.353 2.590 20 5 CFBDRN CNc1c(C(=O)N[C@H](C)CC(C)C)cccc1[N+](=O)[O-] ZINC000161433466 522539782 /nfs/dbraw/zinc/53/97/82/522539782.db2.gz HLTYGFNAORSENM-SNVBAGLBSA-N 0 0 279.340 2.801 20 5 CFBDRN CNc1ccc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000050721799 522598343 /nfs/dbraw/zinc/59/83/43/522598343.db2.gz AXFZRMOITPEPKM-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)C[C@H]1C ZINC000051752054 522642717 /nfs/dbraw/zinc/64/27/17/522642717.db2.gz YACKRZKMIUEGOB-GHMZBOCLSA-N 0 0 291.351 2.897 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336843712 522693282 /nfs/dbraw/zinc/69/32/82/522693282.db2.gz XKMMEXGTPKWCGK-UHFFFAOYSA-N 0 0 294.204 2.758 20 5 CFBDRN COc1cc(NCc2ccncc2)c([N+](=O)[O-])cc1OC ZINC000159212061 522749326 /nfs/dbraw/zinc/74/93/26/522749326.db2.gz QVDAASVFCOLKFB-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CC[C@H](Nc1cncc2nncn21)c1cccc([N+](=O)[O-])c1 ZINC000360112621 522775114 /nfs/dbraw/zinc/77/51/14/522775114.db2.gz NMTSIRWYIKEZHQ-LBPRGKRZSA-N 0 0 298.306 2.596 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000179188250 522777083 /nfs/dbraw/zinc/77/70/83/522777083.db2.gz GKBDUTFLDJCQGA-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H](O)COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000310239380 522821411 /nfs/dbraw/zinc/82/14/11/522821411.db2.gz SHUOFZSKOUEOHX-QMMMGPOBSA-N 0 0 259.689 2.706 20 5 CFBDRN CCc1noc([C@H](C)Sc2ncccc2[N+](=O)[O-])n1 ZINC000049418485 522918341 /nfs/dbraw/zinc/91/83/41/522918341.db2.gz IYYOYZDHNNGHQC-ZETCQYMHSA-N 0 0 280.309 2.789 20 5 CFBDRN CC[C@@H](Nc1cn(C)nc1OC)c1cccc([N+](=O)[O-])c1 ZINC000353353349 522965300 /nfs/dbraw/zinc/96/53/00/522965300.db2.gz YCIJMHRRZGNBNH-GFCCVEGCSA-N 0 0 290.323 2.900 20 5 CFBDRN CN(CCc1cccs1)c1ccncc1[N+](=O)[O-] ZINC000360994408 522972738 /nfs/dbraw/zinc/97/27/38/522972738.db2.gz IGJNQHJMWZGHHB-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cccnc1 ZINC000195196685 522983445 /nfs/dbraw/zinc/98/34/45/522983445.db2.gz QGGPBHUBEWMQGZ-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000336357706 523103229 /nfs/dbraw/zinc/10/32/29/523103229.db2.gz UUQVRFYQDBMJKP-LBPRGKRZSA-N 0 0 262.309 2.918 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)CC1CCCC1 ZINC000360961421 523140860 /nfs/dbraw/zinc/14/08/60/523140860.db2.gz QPMRWWOLKUHXGK-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@H]1CC[C@@H](C)C1 ZINC000340867591 523141889 /nfs/dbraw/zinc/14/18/89/523141889.db2.gz LFTWVMIQBFQDRP-KOLCDFICSA-N 0 0 266.345 2.744 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(CC1CC1)CC1CC1 ZINC000360900824 523142017 /nfs/dbraw/zinc/14/20/17/523142017.db2.gz BADMLKVCEXHPSI-UHFFFAOYSA-N 0 0 278.356 2.746 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H](C)C(C)C ZINC000311118612 523143387 /nfs/dbraw/zinc/14/33/87/523143387.db2.gz WYBIAHWJALTAFT-SECBINFHSA-N 0 0 254.334 2.824 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCC[C@@H]1CCCCO1 ZINC000361015170 523144573 /nfs/dbraw/zinc/14/45/73/523144573.db2.gz QXVXPFUIVUKPGT-LBPRGKRZSA-N 0 0 296.371 2.881 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000156375369 523145921 /nfs/dbraw/zinc/14/59/21/523145921.db2.gz JTBDBZCCHKSTOP-NWDGAFQWSA-N 0 0 292.335 2.669 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1ccc2nccnc2c1 ZINC000361071740 523146329 /nfs/dbraw/zinc/14/63/29/523146329.db2.gz CHDVYWPYKULTCV-UHFFFAOYSA-N 0 0 299.290 2.855 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050710215 523220352 /nfs/dbraw/zinc/22/03/52/523220352.db2.gz HEVLJKCWOWCBRG-QMTHXVAHSA-N 0 0 291.351 2.876 20 5 CFBDRN CCn1cc(Nc2ncnc3sc([N+](=O)[O-])cc32)cn1 ZINC000336844485 523441583 /nfs/dbraw/zinc/44/15/83/523441583.db2.gz ZQIGLGCXTDULSO-UHFFFAOYSA-N 0 0 290.308 2.560 20 5 CFBDRN CO[C@@H](C)c1nsc(Oc2ccc([N+](=O)[O-])cc2)n1 ZINC000337914248 523496784 /nfs/dbraw/zinc/49/67/84/523496784.db2.gz MPGBWFDJWPGODE-ZETCQYMHSA-N 0 0 281.293 2.946 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCO1 ZINC000182572706 523532080 /nfs/dbraw/zinc/53/20/80/523532080.db2.gz DAAXZTYEIRQTHO-GXSJLCMTSA-N 0 0 296.298 2.878 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182414864 523535615 /nfs/dbraw/zinc/53/56/15/523535615.db2.gz CBEKPWDDZZBZRS-GXTWGEPZSA-N 0 0 293.319 2.843 20 5 CFBDRN CN(Cc1cscn1)Cc1ccc([N+](=O)[O-])cc1F ZINC000336809932 523540025 /nfs/dbraw/zinc/54/00/25/523540025.db2.gz GPHYUIJRHVYCCJ-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC(CC)(CC)OC ZINC000312496830 523668518 /nfs/dbraw/zinc/66/85/18/523668518.db2.gz DHIGCUJXHCRCFX-UHFFFAOYSA-N 0 0 284.360 2.737 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000231682329 523702368 /nfs/dbraw/zinc/70/23/68/523702368.db2.gz WUGKPXAQVRAKNV-VXNVDRBHSA-N 0 0 272.251 2.852 20 5 CFBDRN COCCN(c1ncc([N+](=O)[O-])s1)[C@H]1CC[C@H](C)C1 ZINC000336997057 523785916 /nfs/dbraw/zinc/78/59/16/523785916.db2.gz OWCWAOFTGYAZNE-UWVGGRQHSA-N 0 0 285.369 2.693 20 5 CFBDRN CO[C@H](C)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000224354061 523886347 /nfs/dbraw/zinc/88/63/47/523886347.db2.gz LVEMWGNYMNLSJW-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN CC[C@H](C)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000054474181 523887922 /nfs/dbraw/zinc/88/79/22/523887922.db2.gz YMLPEVBXOOEXEG-ZETCQYMHSA-N 0 0 254.315 2.762 20 5 CFBDRN COC(=O)[C@H](C)SCc1cccc([N+](=O)[O-])c1C ZINC000078752642 523888492 /nfs/dbraw/zinc/88/84/92/523888492.db2.gz JYVLFEFXRVOFAP-VIFPVBQESA-N 0 0 269.322 2.698 20 5 CFBDRN CN(C(=O)[C@H]1Cc2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000336565717 523912640 /nfs/dbraw/zinc/91/26/40/523912640.db2.gz WGOLKIISMNQJER-HNNXBMFYSA-N 0 0 282.299 2.898 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000050746742 523913721 /nfs/dbraw/zinc/91/37/21/523913721.db2.gz GQVJPRRBXSERAO-VIFPVBQESA-N 0 0 265.313 2.507 20 5 CFBDRN CCc1ccc(Cn2c3cc([N+](=O)[O-])ccc3oc2=O)nc1 ZINC000338543174 523953825 /nfs/dbraw/zinc/95/38/25/523953825.db2.gz ZBQAXQPVNLWTRS-UHFFFAOYSA-N 0 0 299.286 2.508 20 5 CFBDRN CN(C(=O)c1ccsc1)c1ccc([N+](=O)[O-])cc1 ZINC000073303983 523957069 /nfs/dbraw/zinc/95/70/69/523957069.db2.gz XMZVHUMBNJJVAC-UHFFFAOYSA-N 0 0 262.290 2.933 20 5 CFBDRN CC[C@H](C)NC(=O)CNc1cc([N+](=O)[O-])c(C)cc1C ZINC000192572393 523974322 /nfs/dbraw/zinc/97/43/22/523974322.db2.gz FYXZXHQZNNDJDN-NSHDSACASA-N 0 0 279.340 2.538 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000231682839 524003453 /nfs/dbraw/zinc/00/34/53/524003453.db2.gz QIUDVBFPAZUARY-JQWIXIFHSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000049275205 524088265 /nfs/dbraw/zinc/08/82/65/524088265.db2.gz FVOJOOIKLPQKPY-GFCCVEGCSA-N 0 0 274.320 2.989 20 5 CFBDRN C[C@H]1CCCN1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000336460879 524113912 /nfs/dbraw/zinc/11/39/12/524113912.db2.gz OUWZXWBLGQORLQ-QMMMGPOBSA-N 0 0 267.260 2.750 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000360672346 524116047 /nfs/dbraw/zinc/11/60/47/524116047.db2.gz HQPSDCMPIGSFTE-ZETCQYMHSA-N 0 0 276.214 2.584 20 5 CFBDRN COc1ccc(CS[C@@H](C)[C@H](C)O)cc1[N+](=O)[O-] ZINC000079066724 524125672 /nfs/dbraw/zinc/12/56/72/524125672.db2.gz KFJUACOQIWBWSN-IUCAKERBSA-N 0 0 271.338 2.606 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)C1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183636195 524271011 /nfs/dbraw/zinc/27/10/11/524271011.db2.gz OQLWXDFIRUVPTQ-NXEZZACHSA-N 0 0 292.339 2.936 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC(C)C1 ZINC000334671187 524337313 /nfs/dbraw/zinc/33/73/13/524337313.db2.gz RJVJNBXZGYODJC-UHFFFAOYSA-N 0 0 263.297 2.695 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cncc(O)c1 ZINC000159788347 524340794 /nfs/dbraw/zinc/34/07/94/524340794.db2.gz PSYZCPLZDDNWDM-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000334070096 524409437 /nfs/dbraw/zinc/40/94/37/524409437.db2.gz AGRCXAPEQCEUJR-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@@H]1CCC[C@H](c2noc(-c3c([N+](=O)[O-])cnn3C)n2)C1 ZINC000356186382 524413074 /nfs/dbraw/zinc/41/30/74/524413074.db2.gz KDZDFTYUZRANDT-BDAKNGLRSA-N 0 0 291.311 2.672 20 5 CFBDRN Cc1cccc(CNC(=O)Cc2cccc([N+](=O)[O-])c2)c1 ZINC000172739098 524420139 /nfs/dbraw/zinc/42/01/39/524420139.db2.gz FXHAWANRDYNHMT-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN C[C@@H]1CCC[C@H]1Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000089620235 524429112 /nfs/dbraw/zinc/42/91/12/524429112.db2.gz MDXCGFUKYKPKMQ-NXEZZACHSA-N 0 0 298.364 2.599 20 5 CFBDRN COc1ccccc1CN(C)c1ncc(C)cc1[N+](=O)[O-] ZINC000361261609 524437120 /nfs/dbraw/zinc/43/71/20/524437120.db2.gz JZEQOSCKLLBYOS-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN COc1ccc(NC(=O)CCC(C)C)c([N+](=O)[O-])c1 ZINC000060514751 524476184 /nfs/dbraw/zinc/47/61/84/524476184.db2.gz NWBRJEKNQLYDTH-UHFFFAOYSA-N 0 0 266.297 2.978 20 5 CFBDRN C[C@@H](CCO)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000097442869 524509013 /nfs/dbraw/zinc/50/90/13/524509013.db2.gz RTHZCKNJILPDLJ-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN COc1ccc(NC(=O)C[C@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000361339524 524523504 /nfs/dbraw/zinc/52/35/04/524523504.db2.gz OQVWOIALJVDRHP-RKDXNWHRSA-N 0 0 264.281 2.588 20 5 CFBDRN C[C@H](CO)CSCc1c(F)cccc1[N+](=O)[O-] ZINC000173310949 524532349 /nfs/dbraw/zinc/53/23/49/524532349.db2.gz UVZPCOGMPGTIQN-MRVPVSSYSA-N 0 0 259.302 2.596 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000158378011 524570298 /nfs/dbraw/zinc/57/02/98/524570298.db2.gz USNBQHLTJGAVFW-GHMZBOCLSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000336121459 524599548 /nfs/dbraw/zinc/59/95/48/524599548.db2.gz DXJQQGLGBKTHSL-ZWNOBZJWSA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1cccc(NCCC(=O)NC(C)(C)C)c1[N+](=O)[O-] ZINC000218849420 524673768 /nfs/dbraw/zinc/67/37/68/524673768.db2.gz OFCAXTVFGDYCJW-UHFFFAOYSA-N 0 0 279.340 2.620 20 5 CFBDRN Cc1cc(CSc2ncccc2[N+](=O)[O-])no1 ZINC000067648966 524819434 /nfs/dbraw/zinc/81/94/34/524819434.db2.gz SWNPUXNGXARGLA-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000334408507 524890124 /nfs/dbraw/zinc/89/01/24/524890124.db2.gz JDCNSTHUYGUHTN-QWRGUYRKSA-N 0 0 277.324 2.535 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1Cc2ccccc2O1 ZINC000361176631 524909081 /nfs/dbraw/zinc/90/90/81/524909081.db2.gz FTYPIOCIKONWHW-CQSZACIVSA-N 0 0 299.282 2.812 20 5 CFBDRN C[C@@H](Cn1ccnc1)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000315438614 524965601 /nfs/dbraw/zinc/96/56/01/524965601.db2.gz YWOBZXPTQMJYOW-NSHDSACASA-N 0 0 297.318 2.840 20 5 CFBDRN COc1ccc(OCc2ccc(CO)cc2)cc1[N+](=O)[O-] ZINC000189572608 524974328 /nfs/dbraw/zinc/97/43/28/524974328.db2.gz IVJOEPOMZIHRQZ-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000372260179 525087793 /nfs/dbraw/zinc/08/77/93/525087793.db2.gz GUTNQOCDUIGNKU-CQSZACIVSA-N 0 0 262.309 2.518 20 5 CFBDRN C[C@@H]1COC[C@H](C)N1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182187041 525148091 /nfs/dbraw/zinc/14/80/91/525148091.db2.gz GPXWKIYCSYOOII-AOOOYVTPSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccccc1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054127066 525165322 /nfs/dbraw/zinc/16/53/22/525165322.db2.gz YZOPQNLCIRRYFC-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@](O)(CNc1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC000311101196 525182576 /nfs/dbraw/zinc/18/25/76/525182576.db2.gz PTTHORBMXYSWBV-LBPRGKRZSA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CSC2(C)C)ccc1[N+](=O)[O-] ZINC000338432483 525204709 /nfs/dbraw/zinc/20/47/09/525204709.db2.gz SFSIWHUMHPZKHH-NSHDSACASA-N 0 0 295.364 2.919 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000336540249 525218741 /nfs/dbraw/zinc/21/87/41/525218741.db2.gz LOYXNHZJGUFVSX-HTQZYQBOSA-N 0 0 267.260 2.749 20 5 CFBDRN Cc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cc1O ZINC000070332595 525246443 /nfs/dbraw/zinc/24/64/43/525246443.db2.gz VQWHSXQZPCWRRX-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN C[C@H](NC(=O)c1cscn1)c1cccc([N+](=O)[O-])c1 ZINC000062659192 525268562 /nfs/dbraw/zinc/26/85/62/525268562.db2.gz LYCUKBYXRHMICM-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN Cc1cc(NC[C@](C)(O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000311579291 525277680 /nfs/dbraw/zinc/27/76/80/525277680.db2.gz WOYREYKQDURAHN-ZDUSSCGKSA-N 0 0 270.304 2.861 20 5 CFBDRN Cc1ccc(NC[C@H]2CC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000128030869 525280633 /nfs/dbraw/zinc/28/06/33/525280633.db2.gz MLGSNLHJFGTXGW-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cc(N[C@@H](C)Cn2ccnc2)ccc1[N+](=O)[O-] ZINC000049236101 525290535 /nfs/dbraw/zinc/29/05/35/525290535.db2.gz XNYWIMLBXGPFIN-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN Cc1cc(N[C@@H]2[C@H]3CCCO[C@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000364885873 525298234 /nfs/dbraw/zinc/29/82/34/525298234.db2.gz NLFIYZQFQRPKOO-LERXQTSPSA-N 0 0 291.351 2.914 20 5 CFBDRN CSC1(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000191436312 525301621 /nfs/dbraw/zinc/30/16/21/525301621.db2.gz JKUVSLXUIRGNRN-VIFPVBQESA-N 0 0 280.349 2.668 20 5 CFBDRN Cc1ccc(N[C@H](C)Cn2ccnc2)c([N+](=O)[O-])c1 ZINC000049236090 525316463 /nfs/dbraw/zinc/31/64/63/525316463.db2.gz NBTNEQPLGUPLBR-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1c(F)cccc1F ZINC000340646216 525333187 /nfs/dbraw/zinc/33/31/87/525333187.db2.gz NBAVTHLRHWSJNQ-SSDOTTSWSA-N 0 0 295.245 2.692 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc(F)c(F)c1 ZINC000340427344 525333643 /nfs/dbraw/zinc/33/36/43/525333643.db2.gz TXULWGOMFJBAAJ-SSDOTTSWSA-N 0 0 295.245 2.692 20 5 CFBDRN CSCCCC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089204237 525393453 /nfs/dbraw/zinc/39/34/53/525393453.db2.gz XVQMEHHUXMVFLQ-UHFFFAOYSA-N 0 0 294.336 2.553 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C)[C@@H]1C ZINC000172662807 525454361 /nfs/dbraw/zinc/45/43/61/525454361.db2.gz ZCJJTFSTWBGXJJ-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCC1C[C@@H](C)O[C@H](C)C1 ZINC000338486056 525555745 /nfs/dbraw/zinc/55/57/45/525555745.db2.gz XOCOUMYMXWGIQJ-VXGBXAGGSA-N 0 0 293.367 2.726 20 5 CFBDRN Cc1c(C(=O)N(C)Cc2ccoc2)cccc1[N+](=O)[O-] ZINC000048820123 525558955 /nfs/dbraw/zinc/55/89/55/525558955.db2.gz SGAPOXULFPWNHP-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC[C@@H](F)C1 ZINC000335416902 525560598 /nfs/dbraw/zinc/56/05/98/525560598.db2.gz ITNGNXIZOHIKTG-GHMZBOCLSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC12CCC(CC1)C2 ZINC000378650821 525561632 /nfs/dbraw/zinc/56/16/32/525561632.db2.gz QFDXOFXFYWIBSL-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1ccn(C[C@@H]2CCCC(F)(F)C2)c(=O)c1[N+](=O)[O-] ZINC000335050439 525600672 /nfs/dbraw/zinc/60/06/72/525600672.db2.gz NEWQBIYWMTXZGL-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000378316168 525690975 /nfs/dbraw/zinc/69/09/75/525690975.db2.gz WFMQYHLVQBWIJX-AWEZNQCLSA-N 0 0 288.347 2.704 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000178721915 525693400 /nfs/dbraw/zinc/69/34/00/525693400.db2.gz XTUQJMIFRWHPQP-CYBMUJFWSA-N 0 0 274.320 2.671 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000336447798 525693847 /nfs/dbraw/zinc/69/38/47/525693847.db2.gz IXNRDBKQYKGPBS-BDAKNGLRSA-N 0 0 298.339 2.700 20 5 CFBDRN Cc1c(CNC(=O)CCc2ccncc2)cccc1[N+](=O)[O-] ZINC000360528189 525711554 /nfs/dbraw/zinc/71/15/54/525711554.db2.gz KYKJIRYYPSWYMT-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN C[C@@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000336338515 525711930 /nfs/dbraw/zinc/71/19/30/525711930.db2.gz MFHRMDHQQQGWMQ-BXUZGUMPSA-N 0 0 299.330 2.941 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)C(=O)N1CCCCC1 ZINC000054061089 525712456 /nfs/dbraw/zinc/71/24/56/525712456.db2.gz GJFKYOWPDLTYSW-SNVBAGLBSA-N 0 0 295.314 2.547 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2C[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000360527758 525719542 /nfs/dbraw/zinc/71/95/42/525719542.db2.gz JRWTVIHDQJDCBX-STQMWFEESA-N 0 0 276.336 2.812 20 5 CFBDRN Cc1c(CNC(=O)c2ccc(F)cc2)cccc1[N+](=O)[O-] ZINC000231884939 525720494 /nfs/dbraw/zinc/72/04/94/525720494.db2.gz WDCBJWSCJYIAML-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@@H](Nc1ccnc2c1cccc2[N+](=O)[O-])[C@@H]1CCOC1 ZINC000166421626 525721344 /nfs/dbraw/zinc/72/13/44/525721344.db2.gz FZNCFEJSQOBLAR-GHMZBOCLSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccncc1CN(C)Cc1cccc([N+](=O)[O-])c1 ZINC000353446816 525738580 /nfs/dbraw/zinc/73/85/80/525738580.db2.gz JAMNAFFDWLLKIA-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1OC[C@H]1C[C@@H](O)C1 ZINC000421837474 534880150 /nfs/dbraw/zinc/88/01/50/534880150.db2.gz QZWCAOKTFMQXLN-OTSSQURYSA-N 0 0 291.225 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CCO)C2CCCCC2)cn1 ZINC000427376688 534882548 /nfs/dbraw/zinc/88/25/48/534882548.db2.gz NKCDIMYUGFDWEN-CYBMUJFWSA-N 0 0 279.340 2.733 20 5 CFBDRN C[C@H](O)CNc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000309418928 525784527 /nfs/dbraw/zinc/78/45/27/525784527.db2.gz JRQSOCPYZVTHKZ-YFKPBYRVSA-N 0 0 265.096 2.694 20 5 CFBDRN CS[C@@H](CNc1ccncc1[N+](=O)[O-])C(C)(C)C ZINC000361045633 525811097 /nfs/dbraw/zinc/81/10/97/525811097.db2.gz YEXFCABKLKFLQJ-NSHDSACASA-N 0 0 269.370 2.601 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000130354979 525818834 /nfs/dbraw/zinc/81/88/34/525818834.db2.gz HYFBWVNANMNDGS-NXEZZACHSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC3CCOCC3)c2c1 ZINC000413069234 534884951 /nfs/dbraw/zinc/88/49/51/534884951.db2.gz KJNYMABLHQORHQ-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1c(NC(=O)N2CCC[C@@H]2CF)cccc1[N+](=O)[O-] ZINC000336307967 525842884 /nfs/dbraw/zinc/84/28/84/525842884.db2.gz IUJBLMHKBGHENV-SNVBAGLBSA-N 0 0 281.287 2.869 20 5 CFBDRN Cc1c(NC(=O)NC[C@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000164612540 525847623 /nfs/dbraw/zinc/84/76/23/525847623.db2.gz GDTXGPNQEMCOAW-SNVBAGLBSA-N 0 0 295.364 2.920 20 5 CFBDRN Cc1c(NC(=O)N[C@H](C)c2cn[nH]c2)cccc1[N+](=O)[O-] ZINC000339857400 525849207 /nfs/dbraw/zinc/84/92/07/525849207.db2.gz ZEYNXEONULTVCH-SECBINFHSA-N 0 0 289.295 2.509 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2C[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000335137882 525849318 /nfs/dbraw/zinc/84/93/18/525849318.db2.gz BHCPPKTULIDECD-JGPRNRPPSA-N 0 0 275.308 2.823 20 5 CFBDRN Cc1c(NC(=O)c2cc(F)c[nH]2)cccc1[N+](=O)[O-] ZINC000334964885 525860522 /nfs/dbraw/zinc/86/05/22/525860522.db2.gz BIBYIMXWSXGBHD-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN Cc1c(NCc2cccc(C(N)=O)c2)cccc1[N+](=O)[O-] ZINC000071204977 525869534 /nfs/dbraw/zinc/86/95/34/525869534.db2.gz DHFXQGXBBQXHKG-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000336308706 525897347 /nfs/dbraw/zinc/89/73/47/525897347.db2.gz SKQBLYPEJVNUHM-CMPLNLGQSA-N 0 0 295.314 2.718 20 5 CFBDRN COc1cccc(CCNc2ccc(C)cc2[N+](=O)[O-])n1 ZINC000338281138 525903760 /nfs/dbraw/zinc/90/37/60/525903760.db2.gz DSOJZJGIGZJEMQ-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COc1cccc(CN(C)c2nc(C)ccc2[N+](=O)[O-])c1 ZINC000360662968 525922064 /nfs/dbraw/zinc/92/20/64/525922064.db2.gz IMAFSTNHUJDKLS-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000335999856 525990054 /nfs/dbraw/zinc/99/00/54/525990054.db2.gz NSUURFBGXPWLDU-ZJUUUORDSA-N 0 0 280.299 2.706 20 5 CFBDRN C[C@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)C(C)(F)F ZINC000334513767 525997814 /nfs/dbraw/zinc/99/78/14/525997814.db2.gz NAZLHHSCAAOQFL-MRVPVSSYSA-N 0 0 284.262 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(CO)c2)c1 ZINC000088147566 526056347 /nfs/dbraw/zinc/05/63/47/526056347.db2.gz VUHLXLSTRLNMJU-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(O)CCCC2(C)C)n1 ZINC000338733609 526062236 /nfs/dbraw/zinc/06/22/36/526062236.db2.gz ICUXBQAFIMUUQT-AWEZNQCLSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H](CO)c3ccccc32)n1 ZINC000364286839 526062989 /nfs/dbraw/zinc/06/29/89/526062989.db2.gz YYYCKKBUQQYMPM-SMDDNHRTSA-N 0 0 299.330 2.931 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC2(O)CCCC2)c1 ZINC000087464100 526064425 /nfs/dbraw/zinc/06/44/25/526064425.db2.gz XFZRDSAKELLUQH-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN Cc1cnc(N(C)Cc2ccccc2[N+](=O)[O-])s1 ZINC000195180253 526065888 /nfs/dbraw/zinc/06/58/88/526065888.db2.gz ZHXMSAOJRUKPRT-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cccnc1 ZINC000067131892 526073693 /nfs/dbraw/zinc/07/36/93/526073693.db2.gz BAPYVEDHLPAHQD-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Oc1cc(Cl)nc(N)n1 ZINC000048562174 526085439 /nfs/dbraw/zinc/08/54/39/526085439.db2.gz VUKUULGPGNRVCD-UHFFFAOYSA-N 0 0 280.671 2.721 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000336112254 526131869 /nfs/dbraw/zinc/13/18/69/526131869.db2.gz MTAJNASDERQNHV-DGCLKSJQSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cc(C(=O)COc2ncc(C)cc2[N+](=O)[O-])c(C)[nH]1 ZINC000360726746 526212644 /nfs/dbraw/zinc/21/26/44/526212644.db2.gz SCERDNSKJITLDA-UHFFFAOYSA-N 0 0 289.291 2.505 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000362125425 526221650 /nfs/dbraw/zinc/22/16/50/526221650.db2.gz OHKDVKHKTKDSAW-ZJUUUORDSA-N 0 0 266.345 2.955 20 5 CFBDRN Cc1cc(C(=O)N(C)c2cccnc2)ccc1[N+](=O)[O-] ZINC000172010646 526229948 /nfs/dbraw/zinc/22/99/48/526229948.db2.gz FWHLDRWSCYFZIZ-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1cc(C(=O)N2CC(C)=C[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000336152034 526235242 /nfs/dbraw/zinc/23/52/42/526235242.db2.gz XVOIWGJXHHHFLY-JTQLQIEISA-N 0 0 274.320 2.941 20 5 CFBDRN C[C@H]1CCCCN1C(=O)CNc1cc([N+](=O)[O-])ccc1F ZINC000069002125 526242965 /nfs/dbraw/zinc/24/29/65/526242965.db2.gz YLRBPVSEDMOLQQ-JTQLQIEISA-N 0 0 295.314 2.547 20 5 CFBDRN O=C(C1=CCC1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000334230594 526273846 /nfs/dbraw/zinc/27/38/46/526273846.db2.gz JZCIIJIHHLMFCP-CQSZACIVSA-N 0 0 290.344 2.889 20 5 CFBDRN O=C(C1CC1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000335163381 526286070 /nfs/dbraw/zinc/28/60/70/526286070.db2.gz MNBWEGKRAWVPFL-ZDUSSCGKSA-N 0 0 278.333 2.579 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413237244 526319201 /nfs/dbraw/zinc/31/92/01/526319201.db2.gz UBTHRPQQHDSVAP-QUCGXOGASA-N 0 0 287.319 2.882 20 5 CFBDRN Cc1nnc([C@@H](C)Sc2ncccc2[N+](=O)[O-])o1 ZINC000049418427 526398345 /nfs/dbraw/zinc/39/83/45/526398345.db2.gz JATSUTOBMFTBJC-ZCFIWIBFSA-N 0 0 266.282 2.535 20 5 CFBDRN N#CN(CCOc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000084648381 526489040 /nfs/dbraw/zinc/48/90/40/526489040.db2.gz ZOTLFUUTNHFSOJ-UHFFFAOYSA-N 0 0 283.287 2.961 20 5 CFBDRN O=C([C@@H]1C[C@@H]1[N+](=O)[O-])N1CCC[C@H]1c1ccccc1Cl ZINC000048806638 526500523 /nfs/dbraw/zinc/50/05/23/526500523.db2.gz YTBVHIWHNPOPCE-WXHSDQCUSA-N 0 0 294.738 2.669 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@H](C2CC2)C1 ZINC000336336654 526580623 /nfs/dbraw/zinc/58/06/23/526580623.db2.gz BLLZGUBGOYGDOQ-NSHDSACASA-N 0 0 299.330 2.948 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@H]1C1CCCC1 ZINC000335720193 526713979 /nfs/dbraw/zinc/71/39/79/526713979.db2.gz UWNAYUWUPPQJKS-LBPRGKRZSA-N 0 0 277.324 2.718 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCc2ccccc21 ZINC000054365461 526716680 /nfs/dbraw/zinc/71/66/80/526716680.db2.gz HUCFTVPBLQLURW-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCCC[C@@H]1C(F)F ZINC000335001026 526779272 /nfs/dbraw/zinc/77/92/72/526779272.db2.gz WMVQWMVQIFNVFP-LLVKDONJSA-N 0 0 284.262 2.855 20 5 CFBDRN CC(C)(C)c1nnc(CNc2ccccc2[N+](=O)[O-])[nH]1 ZINC000413425428 526849896 /nfs/dbraw/zinc/84/98/96/526849896.db2.gz ZJEOPKCQAYKHTI-UHFFFAOYSA-N 0 0 275.312 2.623 20 5 CFBDRN Cc1sc(C(=O)N(C)Cc2ccncc2)cc1[N+](=O)[O-] ZINC000057306440 526870456 /nfs/dbraw/zinc/87/04/56/526870456.db2.gz PMDPQPKUYDOSHN-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1sc(C(=O)N2C[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000336014957 526872180 /nfs/dbraw/zinc/87/21/80/526872180.db2.gz FKZAQOPIDQGXKF-SFYZADRCSA-N 0 0 268.338 2.835 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1F)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000334886146 526904340 /nfs/dbraw/zinc/90/43/40/526904340.db2.gz MVWDGJRURIAHHP-VHSXEESVSA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H](F)C1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336392773 526948891 /nfs/dbraw/zinc/94/88/91/526948891.db2.gz YWZBRMGHHNGIFZ-NXEZZACHSA-N 0 0 291.282 2.697 20 5 CFBDRN Cn1c(C(=O)N2CC(C)(C)[C@@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000334570841 527041647 /nfs/dbraw/zinc/04/16/47/527041647.db2.gz YCRQULQUWRFTPQ-GHMZBOCLSA-N 0 0 291.351 2.584 20 5 CFBDRN Cn1c(SCc2csc([N+](=O)[O-])c2)nnc1C1CC1 ZINC000048620122 527087723 /nfs/dbraw/zinc/08/77/23/527087723.db2.gz GAIQVYQUGMLXIS-UHFFFAOYSA-N 0 0 296.377 2.955 20 5 CFBDRN CC(C)(CNC(=O)c1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000412882985 527165586 /nfs/dbraw/zinc/16/55/86/527165586.db2.gz PDVPARROGIKORH-UHFFFAOYSA-N 0 0 299.330 2.697 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])nc1)OCC1CC1 ZINC000413518935 527182873 /nfs/dbraw/zinc/18/28/73/527182873.db2.gz VFPLSJNYVXSBSS-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])s1)OCC1CC1 ZINC000413520962 527185164 /nfs/dbraw/zinc/18/51/64/527185164.db2.gz YLZHHVZLSNBAEG-UHFFFAOYSA-N 0 0 271.342 2.668 20 5 CFBDRN O=C(Nc1ccc(F)c(F)c1F)c1ccc([N+](=O)[O-])o1 ZINC000066277557 527198395 /nfs/dbraw/zinc/19/83/95/527198395.db2.gz NUNHDHDVVBRKEK-UHFFFAOYSA-N 0 0 286.165 2.857 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@H]2CCOC2)ccc2ncccc21 ZINC000230104094 527322140 /nfs/dbraw/zinc/32/21/40/527322140.db2.gz VHOJFOCNBZJOHC-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCOC1CCCCCC1 ZINC000360991724 527322807 /nfs/dbraw/zinc/32/28/07/527322807.db2.gz WGDKXRASFKHQMB-UHFFFAOYSA-N 0 0 279.340 2.563 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@@H]1CCC2(CCCCC2)O1 ZINC000340862249 527322999 /nfs/dbraw/zinc/32/29/99/527322999.db2.gz FZTWDTDECBHZJQ-LBPRGKRZSA-N 0 0 291.351 2.706 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2Cc3ccccc3C2)s1 ZINC000336933700 527323025 /nfs/dbraw/zinc/32/30/25/527323025.db2.gz RMMNLWSTMFDBTI-UHFFFAOYSA-N 0 0 289.360 2.690 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ccc(C2CC2)cc1 ZINC000340888739 527324744 /nfs/dbraw/zinc/32/47/44/527324744.db2.gz YQFCFWVEZKHIBP-UHFFFAOYSA-N 0 0 269.304 2.901 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CSc2ccccc21 ZINC000360909850 527324922 /nfs/dbraw/zinc/32/49/22/527324922.db2.gz MFOPPZINVVSGQG-LLVKDONJSA-N 0 0 273.317 2.671 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N[C@@H]1C[C@H]1C1CC1 ZINC000362375009 527327468 /nfs/dbraw/zinc/32/74/68/527327468.db2.gz FGZFRKOQQGKPOZ-DTWKUNHWSA-N 0 0 298.140 2.963 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCCc2ccccc2C1 ZINC000335068745 527327159 /nfs/dbraw/zinc/32/71/59/527327159.db2.gz IHTJJAFJYRTIBF-UHFFFAOYSA-N 0 0 299.330 2.522 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC(C)(C2CC2)CC1 ZINC000336326889 527327859 /nfs/dbraw/zinc/32/78/59/527327859.db2.gz BPPLQPVWLQUWOO-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@@H](C3CC3)C2)ccc1Cl ZINC000363771051 527328927 /nfs/dbraw/zinc/32/89/27/527328927.db2.gz UPHOWZSJGHXXOJ-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN CC(C)(O)CCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413222361 527329026 /nfs/dbraw/zinc/32/90/26/527329026.db2.gz BPGXUCPDAIFWDS-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H](c3cc[nH]n3)C2)cs1 ZINC000194272807 527329522 /nfs/dbraw/zinc/32/95/22/527329522.db2.gz YJZMTOYXCFAWAW-NSHDSACASA-N 0 0 292.364 2.759 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1cccc(CO)c1 ZINC000149467609 527335478 /nfs/dbraw/zinc/33/54/78/527335478.db2.gz WWTCRILRTKNFJN-UHFFFAOYSA-N 0 0 293.710 2.748 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCC(F)(F)C2)c(F)c1 ZINC000336133823 527335625 /nfs/dbraw/zinc/33/56/25/527335625.db2.gz PGNWSSKSPGLLEU-UHFFFAOYSA-N 0 0 278.205 2.714 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC[C@H]2CCOC2)c(F)c1 ZINC000230105003 527336990 /nfs/dbraw/zinc/33/69/90/527336990.db2.gz ACOLGJLXQFGPLD-QMMMGPOBSA-N 0 0 272.251 2.712 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccc(F)cc3F)no2)[nH]1 ZINC000340737463 527343001 /nfs/dbraw/zinc/34/30/01/527343001.db2.gz FEXWKDQXMDUEJJ-UHFFFAOYSA-N 0 0 292.201 2.918 20 5 CFBDRN Cn1ccc(CCNc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000230877187 527351410 /nfs/dbraw/zinc/35/14/10/527351410.db2.gz DMOFRLGHTKKGLC-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2ncccn2)c(F)c1 ZINC000311051084 527354684 /nfs/dbraw/zinc/35/46/84/527354684.db2.gz IEGFMIHHQJARME-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3cc[nH]n3)C2)cc1 ZINC000361699815 527361402 /nfs/dbraw/zinc/36/14/02/527361402.db2.gz HRTVUXSQFSCFFE-LLVKDONJSA-N 0 0 272.308 2.702 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCCO)c2cccnc21 ZINC000229140450 527364055 /nfs/dbraw/zinc/36/40/55/527364055.db2.gz FRPZVMRASWXWTC-UHFFFAOYSA-N 0 0 275.308 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC(CO)CC2)c(F)c1 ZINC000311472493 527364318 /nfs/dbraw/zinc/36/43/18/527364318.db2.gz JDJUADULLSTXQW-UHFFFAOYSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@@H](CO)C2)cc1 ZINC000311899310 527365007 /nfs/dbraw/zinc/36/50/07/527365007.db2.gz ADWCDJPCSNPOEC-VXGBXAGGSA-N 0 0 264.325 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2nccs2)c([N+](=O)[O-])c1 ZINC000050953851 527365131 /nfs/dbraw/zinc/36/51/31/527365131.db2.gz AVZAYDRYRWUUEW-UHFFFAOYSA-N 0 0 294.292 2.614 20 5 CFBDRN Cc1ncccc1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000073357445 527365899 /nfs/dbraw/zinc/36/58/99/527365899.db2.gz ZAINYBVHTUNOFJ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCc3ccccc3N2)nc1 ZINC000078495545 527365878 /nfs/dbraw/zinc/36/58/78/527365878.db2.gz ATTDROHHSBWKHH-LBPRGKRZSA-N 0 0 284.319 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@H](O)C2)c2cccnc21 ZINC000227661822 527366348 /nfs/dbraw/zinc/36/63/48/527366348.db2.gz ZTOSCWRZLLIODH-QWRGUYRKSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(Cc3cccnc3)no2)c1 ZINC000340659752 527383470 /nfs/dbraw/zinc/38/34/70/527383470.db2.gz MYODPGASOXWKGJ-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2ccc(Cl)cn2)c1 ZINC000340082523 527384956 /nfs/dbraw/zinc/38/49/56/527384956.db2.gz ZMQULIPWFWBRFG-UHFFFAOYSA-N 0 0 277.711 2.720 20 5 CFBDRN O=[N+]([O-])c1cccc(COc2cccc3c2OCCO3)c1 ZINC000185786367 527387937 /nfs/dbraw/zinc/38/79/37/527387937.db2.gz LRDIADPRYRXKKW-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCC[C@H]1CCO ZINC000311567726 527388494 /nfs/dbraw/zinc/38/84/94/527388494.db2.gz DZHAAWRUZLBLAF-JTQLQIEISA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1ccc(CO)cc1 ZINC000124343857 527389134 /nfs/dbraw/zinc/38/91/34/527389134.db2.gz AINYVEZYHPGZOJ-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1nccnc1C(F)F ZINC000313669511 527401349 /nfs/dbraw/zinc/40/13/49/527401349.db2.gz NDSWZMGEWYNJCB-UHFFFAOYSA-N 0 0 280.234 2.935 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CCCCS1 ZINC000158334426 527406173 /nfs/dbraw/zinc/40/61/73/527406173.db2.gz VSLCACPZLBGKIS-VIFPVBQESA-N 0 0 253.327 2.687 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(C(F)(F)F)cc2)cn1 ZINC000073921217 527408256 /nfs/dbraw/zinc/40/82/56/527408256.db2.gz OUNDNMPLABHFJJ-UHFFFAOYSA-N 0 0 271.198 2.858 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](Nc3ccccc3)C2)s1 ZINC000190324062 527409810 /nfs/dbraw/zinc/40/98/10/527409810.db2.gz YAMWILSSRVKAAB-LLVKDONJSA-N 0 0 290.348 2.742 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H](O)c2ccsc2)c(Cl)c1 ZINC000218907992 527411227 /nfs/dbraw/zinc/41/12/27/527411227.db2.gz UDNIIUZHXLOJTO-JTQLQIEISA-N 0 0 299.739 2.850 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC[C@@H](O)C2)c(Cl)c1 ZINC000078304275 527411406 /nfs/dbraw/zinc/41/14/06/527411406.db2.gz PJTNZQVKHNOCCR-PSASIEDQSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1cnccc1Sc1nnc(C2CC2)s1 ZINC000360994815 527414378 /nfs/dbraw/zinc/41/43/78/527414378.db2.gz KFQAAFSEKTUILJ-UHFFFAOYSA-N 0 0 280.334 2.870 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCCCCCF)c1 ZINC000340617952 527414635 /nfs/dbraw/zinc/41/46/35/527414635.db2.gz WNQSKSDQKLOZCP-UHFFFAOYSA-N 0 0 261.322 2.664 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2nc3ccccc3n2C(F)F)c1 ZINC000079134333 527415862 /nfs/dbraw/zinc/41/58/62/527415862.db2.gz CFVUCXDNFBBCGL-UHFFFAOYSA-N 0 0 293.233 2.584 20 5 CFBDRN CC(C)=CCNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412291430 527439667 /nfs/dbraw/zinc/43/96/67/527439667.db2.gz OBIJTROXWCBJPE-UONOGXRCSA-N 0 0 274.320 2.781 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(F)cc(F)c1 ZINC000180897050 527522168 /nfs/dbraw/zinc/52/21/68/527522168.db2.gz MSUCKFMLCYISFF-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN O=C(NCC(F)(F)F)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000224748622 527552490 /nfs/dbraw/zinc/55/24/90/527552490.db2.gz JUMKEASZOUXIHZ-UHFFFAOYSA-N 0 0 297.620 2.932 20 5 CFBDRN O=C(/C=C/c1ccccc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797275 527632450 /nfs/dbraw/zinc/63/24/50/527632450.db2.gz CJEQBOVYRUOYCW-DHZHZOJOSA-N 0 0 282.299 2.924 20 5 CFBDRN O=C([O-])[C@@H]([NH2+]Cc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000050509161 527654555 /nfs/dbraw/zinc/65/45/55/527654555.db2.gz MAALRSTWZDVDCF-AWEZNQCLSA-N 0 0 286.287 2.510 20 5 CFBDRN CC(C)[C@H](O)C(C)(C)CNc1ncc([N+](=O)[O-])cc1F ZINC000413211719 527713392 /nfs/dbraw/zinc/71/33/92/527713392.db2.gz XTVMQIPPDSLTDN-NSHDSACASA-N 0 0 285.319 2.584 20 5 CFBDRN CC(C)[C@H](O)CCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413156786 527730640 /nfs/dbraw/zinc/73/06/40/527730640.db2.gz GFKJUKGVNBDMPB-CQSZACIVSA-N 0 0 289.335 2.962 20 5 CFBDRN CCC1(CNC(=O)c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000194611100 527741921 /nfs/dbraw/zinc/74/19/21/527741921.db2.gz WASPQUNPDCPHLM-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CCC1(CNc2ncc([N+](=O)[O-])cc2F)CCOCC1 ZINC000413188742 527756214 /nfs/dbraw/zinc/75/62/14/527756214.db2.gz XQMULSWCMROYHY-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN COCCCN(C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432318888 536515315 /nfs/dbraw/zinc/51/53/15/536515315.db2.gz VOXHRBQKVVTGIU-UHFFFAOYSA-N 0 0 258.705 2.721 20 5 CFBDRN CC(C)OCC(C)(C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000414421526 527901277 /nfs/dbraw/zinc/90/12/77/527901277.db2.gz ZPNZZJDHRGSFSU-UHFFFAOYSA-N 0 0 295.339 2.920 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000413388558 528087878 /nfs/dbraw/zinc/08/78/78/528087878.db2.gz ZSPMEBZQBFGYNR-PHIMTYICSA-N 0 0 291.351 2.931 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000457873998 528092835 /nfs/dbraw/zinc/09/28/35/528092835.db2.gz HTXWLYBEFYSDCY-DTORHVGOSA-N 0 0 298.726 2.546 20 5 CFBDRN CCOc1cc(NCc2ccn(CC)n2)ccc1[N+](=O)[O-] ZINC000413490978 528328295 /nfs/dbraw/zinc/32/82/95/528328295.db2.gz JJKNQSRVCDXRBO-UHFFFAOYSA-N 0 0 290.323 2.822 20 5 CFBDRN CCOc1cc(N[C@H]2C[C@@H](OCC)C2)ccc1[N+](=O)[O-] ZINC000413385241 528331348 /nfs/dbraw/zinc/33/13/48/528331348.db2.gz HCGURTPJNIBTRG-TXEJJXNPSA-N 0 0 280.324 2.973 20 5 CFBDRN CCC(CC)(CNc1ncc([N+](=O)[O-])cc1F)OC ZINC000413307823 528696934 /nfs/dbraw/zinc/69/69/34/528696934.db2.gz ZFLDGURWTVFHLU-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN CC/C=C/CCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000428076291 528773413 /nfs/dbraw/zinc/77/34/13/528773413.db2.gz SRGDAHQURUEDGA-ONEGZZNKSA-N 0 0 251.282 2.822 20 5 CFBDRN CCC(O)(CC)COc1ccc(OC)cc1[N+](=O)[O-] ZINC000165222730 528977802 /nfs/dbraw/zinc/97/78/02/528977802.db2.gz OPNHSYFFNZYEJZ-UHFFFAOYSA-N 0 0 269.297 2.533 20 5 CFBDRN CCC(O)(CC)COc1ccc(C)cc1[N+](=O)[O-] ZINC000165225338 528978241 /nfs/dbraw/zinc/97/82/41/528978241.db2.gz AJXAZOLXJQHLFD-UHFFFAOYSA-N 0 0 253.298 2.833 20 5 CFBDRN CCOC[C@H]1CCCCN1c1cc(C)c([N+](=O)[O-])cn1 ZINC000413427879 529014820 /nfs/dbraw/zinc/01/48/20/529014820.db2.gz OKVXQEBEACWWLW-GFCCVEGCSA-N 0 0 279.340 2.694 20 5 CFBDRN CCONC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000160124386 529086952 /nfs/dbraw/zinc/08/69/52/529086952.db2.gz HHFKJIRNBSVJPX-UHFFFAOYSA-N 0 0 293.201 2.687 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1OC)C1CCC1 ZINC000427597393 529087469 /nfs/dbraw/zinc/08/74/69/529087469.db2.gz UJUBBLYYGKEFFY-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1N)c1ccccc1 ZINC000157288440 529091580 /nfs/dbraw/zinc/09/15/80/529091580.db2.gz BHFGFIVALXEBEH-UHFFFAOYSA-N 0 0 285.303 2.844 20 5 CFBDRN CCn1ccc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000414208872 529174257 /nfs/dbraw/zinc/17/42/57/529174257.db2.gz MJIUNFKQDTXOPG-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2nn(CC)cc2[N+](=O)[O-])C1 ZINC000191630650 529304640 /nfs/dbraw/zinc/30/46/40/529304640.db2.gz OUDUQWNESSXALT-GHMZBOCLSA-N 0 0 294.355 2.510 20 5 CFBDRN CC[C@H]1C[C@H](Nc2ncc(C)cc2[N+](=O)[O-])CCO1 ZINC000420616583 529329130 /nfs/dbraw/zinc/32/91/30/529329130.db2.gz HQNUUNGRFMHFPW-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@@H](C)[N@H+](CC(=O)[O-])Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000414686809 529346425 /nfs/dbraw/zinc/34/64/25/529346425.db2.gz WCRSLFYGMDXMFT-LLVKDONJSA-N 0 0 280.324 2.588 20 5 CFBDRN CC(C)CCn1cc(Br)cc([N+](=O)[O-])c1=O ZINC000269402052 534980927 /nfs/dbraw/zinc/98/09/27/534980927.db2.gz XGMQFRUZPVVNQU-UHFFFAOYSA-N 0 0 289.129 2.565 20 5 CFBDRN CC(C)CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000248269489 535007914 /nfs/dbraw/zinc/00/79/14/535007914.db2.gz YZMYWVFGAXCTNQ-UHFFFAOYSA-N 0 0 276.336 2.784 20 5 CFBDRN CCCCCOC1CN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000444084612 535086718 /nfs/dbraw/zinc/08/67/18/535086718.db2.gz SQCYVURYTJTWSE-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cocn1 ZINC000264131025 535094327 /nfs/dbraw/zinc/09/43/27/535094327.db2.gz DWHLDVQAXUMNNH-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN CC1(CNC(=O)/C=C/c2ccccc2[N+](=O)[O-])CC1 ZINC000492058638 535122830 /nfs/dbraw/zinc/12/28/30/535122830.db2.gz QEXVUSHRBDVZHY-VOTSOKGWSA-N 0 0 260.293 2.524 20 5 CFBDRN CCC1(CC)CN(c2ccccc2[N+](=O)[O-])CC[S@]1=O ZINC000302223107 535266209 /nfs/dbraw/zinc/26/62/09/535266209.db2.gz PEJFSRVYCCUNPB-FQEVSTJZSA-N 0 0 296.392 2.722 20 5 CFBDRN CCOCCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450262350 535629303 /nfs/dbraw/zinc/62/93/03/535629303.db2.gz SCKRGMQMKCCPGV-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])s1)C1CCOCC1 ZINC000443506139 535810121 /nfs/dbraw/zinc/81/01/21/535810121.db2.gz AZNUMOJKXVFOSY-SECBINFHSA-N 0 0 271.342 2.668 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])c(C)n1)c1c(C)noc1C ZINC000450401830 535810128 /nfs/dbraw/zinc/81/01/28/535810128.db2.gz JPTGFAGWNXOPDR-SNVBAGLBSA-N 0 0 291.311 2.861 20 5 CFBDRN CC[C@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21)C(F)F ZINC000452238273 535859155 /nfs/dbraw/zinc/85/91/55/535859155.db2.gz ARIJFVYBEOEPPK-JTQLQIEISA-N 0 0 297.261 2.850 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])cnc1C)C(C)(C)C ZINC000357201620 535868479 /nfs/dbraw/zinc/86/84/79/535868479.db2.gz IHNZQVOVJVHYHP-LBPRGKRZSA-N 0 0 279.340 2.853 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000264770744 536000652 /nfs/dbraw/zinc/00/06/52/536000652.db2.gz GAXQLYLAMDSYJY-ZUZCIYMTSA-N 0 0 289.335 2.962 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000456883335 536165810 /nfs/dbraw/zinc/16/58/10/536165810.db2.gz QKTNRYDWJMJDOF-KBPBESRZSA-N 0 0 288.347 2.933 20 5 CFBDRN COC(=O)c1cc(NCC[C@@H](C)OC)cc(C)c1[N+](=O)[O-] ZINC000268515581 536565598 /nfs/dbraw/zinc/56/55/98/536565598.db2.gz YDGYEJLLFVYYRX-SNVBAGLBSA-N 0 0 296.323 2.527 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000266033578 536589697 /nfs/dbraw/zinc/58/96/97/536589697.db2.gz CUYUXRICWVFDFK-GXTWGEPZSA-N 0 0 292.335 2.591 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000342016690 536729691 /nfs/dbraw/zinc/72/96/91/536729691.db2.gz LLHBOYQXABPVTQ-ONGXEEELSA-N 0 0 298.364 2.510 20 5 CFBDRN COc1ccc(NC(=O)c2cc(C)sn2)cc1[N+](=O)[O-] ZINC000436052858 536810698 /nfs/dbraw/zinc/81/06/98/536810698.db2.gz QDXFTWUKKHTSQZ-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN COc1cc(OCCOCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000355942342 536874807 /nfs/dbraw/zinc/87/48/07/536874807.db2.gz BJGWHUVKJPUROD-UHFFFAOYSA-N 0 0 295.213 2.561 20 5 CFBDRN COc1cc(OCc2cn3ccccc3n2)ccc1[N+](=O)[O-] ZINC000355929124 536876936 /nfs/dbraw/zinc/87/69/36/536876936.db2.gz GQRIPNBACJSZMA-UHFFFAOYSA-N 0 0 299.286 2.830 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@@H]1C(C)C ZINC000455173623 536886858 /nfs/dbraw/zinc/88/68/58/536886858.db2.gz UBLXTPADTZEAAC-GFCCVEGCSA-N 0 0 293.323 2.866 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1CC1(C)C ZINC000444902449 536887041 /nfs/dbraw/zinc/88/70/41/536887041.db2.gz MASTYUVVXNXRIR-VIFPVBQESA-N 0 0 293.323 2.771 20 5 CFBDRN CC1=CCN(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000935083425 649872632 /nfs/dbraw/zinc/87/26/32/649872632.db2.gz KTRKFMGOHDICSK-CABCVRRESA-N 0 0 286.331 2.877 20 5 CFBDRN C[C@@]1(C(=O)NCCc2cccc([N+](=O)[O-])c2)C=CCC1 ZINC000935114556 649877217 /nfs/dbraw/zinc/87/72/17/649877217.db2.gz LDKRIPHNPRGDKA-OAHLLOKOSA-N 0 0 274.320 2.610 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CCC=C(F)C1 ZINC000935219958 649888222 /nfs/dbraw/zinc/88/82/22/649888222.db2.gz UOBDLPUYMYSSSU-UHFFFAOYSA-N 0 0 296.273 2.752 20 5 CFBDRN Cc1cccc(OCCCNc2ccncc2[N+](=O)[O-])c1 ZINC000727431913 574050272 /nfs/dbraw/zinc/05/02/72/574050272.db2.gz YOLBPJIXCZEXRX-UHFFFAOYSA-N 0 0 287.319 2.601 20 5 CFBDRN C[C@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C(F)(F)F ZINC000744074868 574052213 /nfs/dbraw/zinc/05/22/13/574052213.db2.gz RAZPHTVXUUMZBN-ZCFIWIBFSA-N 0 0 295.188 2.976 20 5 CFBDRN Cn1c(C(=O)Oc2cccc3[nH]ccc32)ccc1[N+](=O)[O-] ZINC000744274107 574062866 /nfs/dbraw/zinc/06/28/66/574062866.db2.gz ZXFWWXNGQCKUNO-UHFFFAOYSA-N 0 0 285.259 2.634 20 5 CFBDRN Cc1sc(C(=O)OCCC2CC2)cc1[N+](=O)[O-] ZINC000744282268 574063765 /nfs/dbraw/zinc/06/37/65/574063765.db2.gz ZUHRRQDVOJXEES-UHFFFAOYSA-N 0 0 255.295 2.922 20 5 CFBDRN CCC1(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000750489229 574063830 /nfs/dbraw/zinc/06/38/30/574063830.db2.gz JLXLALCQKJYVPA-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN CSCCOC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000744336224 574068742 /nfs/dbraw/zinc/06/87/42/574068742.db2.gz MLGLQPREBUJDGP-UHFFFAOYSA-N 0 0 275.713 2.768 20 5 CFBDRN CCC(C)(C)CCOC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000744340485 574069179 /nfs/dbraw/zinc/06/91/79/574069179.db2.gz SOWMKNFIBMDFGL-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN C[C@@H]1OCC[C@H]1Sc1nc2ccccn2c1[N+](=O)[O-] ZINC000754075732 574069207 /nfs/dbraw/zinc/06/92/07/574069207.db2.gz NINMMNFEYYTJRI-DTWKUNHWSA-N 0 0 279.321 2.512 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCc1ccc(F)cc1F ZINC000754079385 574069627 /nfs/dbraw/zinc/06/96/27/574069627.db2.gz UBPHIMAIJMPOSK-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN C[C@H](OC(=O)[C@@]1(C)CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000754110681 574073946 /nfs/dbraw/zinc/07/39/46/574073946.db2.gz QWJZFMNVXTVWRM-HZMBPMFUSA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@H](OC(=O)/C=C\c1ccncn1)c1cccc([N+](=O)[O-])c1 ZINC000754109581 574074015 /nfs/dbraw/zinc/07/40/15/574074015.db2.gz JAEDTSXHZLRIDH-GZTOBOFZSA-N 0 0 299.286 2.702 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000727955294 574074623 /nfs/dbraw/zinc/07/46/23/574074623.db2.gz BXDSTPPOMBPDAB-MNOVXSKESA-N 0 0 279.292 2.626 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCCOC1CCC1 ZINC000750776890 574076757 /nfs/dbraw/zinc/07/67/57/574076757.db2.gz UHWPPJAESWOPJC-RMKNXTFCSA-N 0 0 291.303 2.720 20 5 CFBDRN C/C=C\COC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000744616841 574082164 /nfs/dbraw/zinc/08/21/64/574082164.db2.gz YZYHJUNRQQQRIY-IHWYPQMZSA-N 0 0 274.276 2.669 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)OCCC1CCCC1 ZINC000744612849 574082168 /nfs/dbraw/zinc/08/21/68/574082168.db2.gz SGNAIHBDYDCLTR-UHFFFAOYSA-N 0 0 278.308 2.914 20 5 CFBDRN CC(C)C(=O)COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000736865679 574082608 /nfs/dbraw/zinc/08/26/08/574082608.db2.gz IBUVVLKVPHWPEO-UHFFFAOYSA-N 0 0 285.683 2.630 20 5 CFBDRN O=[N+]([O-])c1cnc2c(c1)CN(C/C(Cl)=C/Cl)CC2 ZINC000754213490 574082838 /nfs/dbraw/zinc/08/28/38/574082838.db2.gz OXQJWBWHRPWDKQ-WTKPLQERSA-N 0 0 288.134 2.667 20 5 CFBDRN C[C@@H](OC(=O)/C=C/c1cnccn1)c1cccc([N+](=O)[O-])c1 ZINC000728167106 574083415 /nfs/dbraw/zinc/08/34/15/574083415.db2.gz LKUASLAOIVXOIO-MVIFTORASA-N 0 0 299.286 2.702 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnc(C)nc1 ZINC000754239116 574083648 /nfs/dbraw/zinc/08/36/48/574083648.db2.gz OPYABQFDHJXKJJ-YFHOEESVSA-N 0 0 298.302 2.735 20 5 CFBDRN O=C(CCn1cccc1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000750935301 574085570 /nfs/dbraw/zinc/08/55/70/574085570.db2.gz RCDHKKNENXDHOW-UHFFFAOYSA-N 0 0 292.266 2.669 20 5 CFBDRN O=C(OCc1ccon1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000744764585 574085988 /nfs/dbraw/zinc/08/59/88/574085988.db2.gz PDOIIZZJQHPBHQ-UHFFFAOYSA-N 0 0 288.215 2.686 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ccsc2[N+](=O)[O-])o1 ZINC000741244604 574090766 /nfs/dbraw/zinc/09/07/66/574090766.db2.gz IGSRQPNHMOEDHL-VIFPVBQESA-N 0 0 268.294 2.703 20 5 CFBDRN Cc1cc(N)ccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000728363869 574094371 /nfs/dbraw/zinc/09/43/71/574094371.db2.gz GIJLUBZMDJDYRR-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN O=C(COC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CCCC1 ZINC000745000019 574096181 /nfs/dbraw/zinc/09/61/81/574096181.db2.gz UHTRQNCTGXLAJT-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000754610784 574108920 /nfs/dbraw/zinc/10/89/20/574108920.db2.gz PMDUSJBKFYLVIS-SECBINFHSA-N 0 0 292.291 2.658 20 5 CFBDRN CCn1cnnc1SCc1c(F)cccc1[N+](=O)[O-] ZINC000728792540 574110658 /nfs/dbraw/zinc/11/06/58/574110658.db2.gz UQFYMYWLIODCGE-UHFFFAOYSA-N 0 0 282.300 2.638 20 5 CFBDRN CC[N@H+](CCC(=O)[O-])Cc1cc([N+](=O)[O-])ccc1Cl ZINC000737095775 574110946 /nfs/dbraw/zinc/11/09/46/574110946.db2.gz FMUUZYWVSLLEKP-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC/C=C/Cl)c1 ZINC000745386337 574112549 /nfs/dbraw/zinc/11/25/49/574112549.db2.gz RWYGIYYNVGTIAY-GORDUTHDSA-N 0 0 255.657 2.813 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@]1(O)CCCc2ccccc21 ZINC000731356432 574117742 /nfs/dbraw/zinc/11/77/42/574117742.db2.gz UPPKWJYZGWETCN-INIZCTEOSA-N 0 0 299.330 2.626 20 5 CFBDRN COc1ccc(COC(=O)[C@@H](C)CSC)cc1[N+](=O)[O-] ZINC000731385774 574118573 /nfs/dbraw/zinc/11/85/73/574118573.db2.gz QNTANROSAAYGHJ-VIFPVBQESA-N 0 0 299.348 2.646 20 5 CFBDRN CN(CC(=O)OCC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000745614901 574120123 /nfs/dbraw/zinc/12/01/23/574120123.db2.gz HWHBQFVXDROJRM-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731529427 574123018 /nfs/dbraw/zinc/12/30/18/574123018.db2.gz RVENEAOTAFYXCY-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN C/C(=C\c1ccccc1)CN(C)Cn1cc([N+](=O)[O-])cn1 ZINC000754856775 574124969 /nfs/dbraw/zinc/12/49/69/574124969.db2.gz LYBNTAIWPUZJNM-MDWZMJQESA-N 0 0 286.335 2.784 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C)on1 ZINC000754884639 574127251 /nfs/dbraw/zinc/12/72/51/574127251.db2.gz QYJGRTHOGYUJTA-CLFYSBASSA-N 0 0 287.275 2.933 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755010092 574132293 /nfs/dbraw/zinc/13/22/93/574132293.db2.gz IBVBLTGWLZIVBL-DWOQACPDSA-N 0 0 291.303 2.909 20 5 CFBDRN CC[C@H](OC)C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746072890 574132612 /nfs/dbraw/zinc/13/26/12/574132612.db2.gz KTFKWILUMAJTTR-NSHDSACASA-N 0 0 287.699 2.716 20 5 CFBDRN O=C(C[C@@H]1CCOC1)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746075941 574132681 /nfs/dbraw/zinc/13/26/81/574132681.db2.gz LPLRHCGSJCPZIB-VIFPVBQESA-N 0 0 299.710 2.718 20 5 CFBDRN CSCCOC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755057643 574134669 /nfs/dbraw/zinc/13/46/69/574134669.db2.gz YTWHFLXFXLGFNN-MRVPVSSYSA-N 0 0 287.312 2.744 20 5 CFBDRN COc1cccc([C@@H](O)CNc2ccc([N+](=O)[O-])s2)c1 ZINC000732049774 574141261 /nfs/dbraw/zinc/14/12/61/574141261.db2.gz DIVVXOISCKENHI-NSHDSACASA-N 0 0 294.332 2.810 20 5 CFBDRN Cc1cccc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])n1 ZINC000728987956 574144110 /nfs/dbraw/zinc/14/41/10/574144110.db2.gz HKHPIBOQYZJHAT-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)OCC1CCCC1 ZINC000746416431 574148534 /nfs/dbraw/zinc/14/85/34/574148534.db2.gz JAAUIWQIFYOQRW-UHFFFAOYSA-N 0 0 297.282 2.846 20 5 CFBDRN Cc1ccc(OS(=O)(=O)C2CCCCC2)cc1[N+](=O)[O-] ZINC000755289556 574152388 /nfs/dbraw/zinc/15/23/88/574152388.db2.gz LQMNQOLLLVGSQR-UHFFFAOYSA-N 0 0 299.348 2.945 20 5 CFBDRN Cc1c(C[NH2+]C2(C(=O)[O-])CCCCC2)cccc1[N+](=O)[O-] ZINC000738288822 574163236 /nfs/dbraw/zinc/16/32/36/574163236.db2.gz IFZLAJKRNBDDSW-UHFFFAOYSA-N 0 0 292.335 2.780 20 5 CFBDRN CCOc1cc(COC(=O)/C=C\SC)ccc1[N+](=O)[O-] ZINC000755548936 574168170 /nfs/dbraw/zinc/16/81/70/574168170.db2.gz AZJFICJRMZLSHU-SREVYHEPSA-N 0 0 297.332 2.913 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000729081354 574171573 /nfs/dbraw/zinc/17/15/73/574171573.db2.gz ZUTCTDZIZIOADU-LLVKDONJSA-N 0 0 280.324 2.985 20 5 CFBDRN Cc1occc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746939966 574172063 /nfs/dbraw/zinc/17/20/63/574172063.db2.gz YUYGIXKRISTKJI-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN CC1(C)C[C@H]1CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000732804517 574172948 /nfs/dbraw/zinc/17/29/48/574172948.db2.gz NFZQRTGLBZHFNA-VIFPVBQESA-N 0 0 260.297 2.701 20 5 CFBDRN C[C@@H](C(=O)O[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1F ZINC000755653833 574175864 /nfs/dbraw/zinc/17/58/64/574175864.db2.gz CJURCTJADPOMBT-PSASIEDQSA-N 0 0 299.323 2.886 20 5 CFBDRN O=C(OCc1nccs1)c1cc(F)ccc1[N+](=O)[O-] ZINC000747046293 574176875 /nfs/dbraw/zinc/17/68/75/574176875.db2.gz JZNOWRIKSQIYCL-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCC(C)C ZINC000755681248 574177503 /nfs/dbraw/zinc/17/75/03/574177503.db2.gz VTDXBZPBVUFUMT-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN COC[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000747158882 574183365 /nfs/dbraw/zinc/18/33/65/574183365.db2.gz QUBNFKHUXRTQRE-MRZGDXHCSA-N 0 0 293.319 2.822 20 5 CFBDRN Cc1cc(C(=O)O[C@H]2CCSC2)cc([N+](=O)[O-])c1 ZINC000729210743 574185302 /nfs/dbraw/zinc/18/53/02/574185302.db2.gz UNJUZSSVKQYBER-NSHDSACASA-N 0 0 267.306 2.566 20 5 CFBDRN CC/C=C/COC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755762979 574185346 /nfs/dbraw/zinc/18/53/46/574185346.db2.gz PHPGVUQVFAUCFE-SNAWJCMRSA-N 0 0 265.265 2.726 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2CCOCC2)c1 ZINC000747211448 574187637 /nfs/dbraw/zinc/18/76/37/574187637.db2.gz BCUKQIPJQVJBKO-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN Cc1cc(N(C)Cc2cc[nH]n2)c(Cl)cc1[N+](=O)[O-] ZINC000747220904 574187855 /nfs/dbraw/zinc/18/78/55/574187855.db2.gz RPSTUSOJKZNNOH-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1C)C(F)(F)F ZINC000747220417 574187947 /nfs/dbraw/zinc/18/79/47/574187947.db2.gz LHTQUOMWKNSVKY-SNVBAGLBSA-N 0 0 278.230 2.892 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@H]2C[C@]2(C)C(C)C)n1 ZINC000755855926 574192750 /nfs/dbraw/zinc/19/27/50/574192750.db2.gz JDOYKOAXJARLMB-QMTHXVAHSA-N 0 0 295.339 2.650 20 5 CFBDRN CCN(C(=O)c1n[nH]c(C)c1[N+](=O)[O-])c1ccc(C)cc1 ZINC000729313475 574197490 /nfs/dbraw/zinc/19/74/90/574197490.db2.gz SWQVNVATOBANPG-UHFFFAOYSA-N 0 0 288.307 2.601 20 5 CFBDRN O=C(OCCC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000756062793 574207092 /nfs/dbraw/zinc/20/70/92/574207092.db2.gz VHGZMCFSVIYQGH-ZDUSSCGKSA-N 0 0 279.292 2.711 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2cnccn2)ccc1[N+](=O)[O-] ZINC000747738459 574209302 /nfs/dbraw/zinc/20/93/02/574209302.db2.gz KETZKVAISGUUDG-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN Cc1cccc(C(=O)O[C@H](C)c2cnccn2)c1[N+](=O)[O-] ZINC000747739212 574209328 /nfs/dbraw/zinc/20/93/28/574209328.db2.gz GIHWSFJCJYYCMI-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000733188693 574209375 /nfs/dbraw/zinc/20/93/75/574209375.db2.gz LBLNZDVNONATBO-NSHDSACASA-N 0 0 297.318 2.835 20 5 CFBDRN Cc1nn(C)cc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000733218377 574211434 /nfs/dbraw/zinc/21/14/34/574211434.db2.gz YGMFCTCNLMFPLZ-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN O=[N+]([O-])c1c(NCCC2CCC2)nc2ccccn21 ZINC000756138152 574212870 /nfs/dbraw/zinc/21/28/70/574212870.db2.gz SZCMAWIANOKFIT-UHFFFAOYSA-N 0 0 260.297 2.845 20 5 CFBDRN Cc1nn(C[C@@H](O)c2ccc(F)cc2C)c(C)c1[N+](=O)[O-] ZINC000747820650 574214395 /nfs/dbraw/zinc/21/43/95/574214395.db2.gz GNWUUBPTAXFDKZ-CYBMUJFWSA-N 0 0 293.298 2.589 20 5 CFBDRN Cc1ncoc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000747819717 574214425 /nfs/dbraw/zinc/21/44/25/574214425.db2.gz IWRKWUSRLHDZPQ-SECBINFHSA-N 0 0 276.248 2.809 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1cccc(F)n1 ZINC000747848536 574214851 /nfs/dbraw/zinc/21/48/51/574214851.db2.gz VMBIJLFPLPPCMI-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN Cc1noc(C)c1CCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000756175965 574215048 /nfs/dbraw/zinc/21/50/48/574215048.db2.gz QJIRUCDDHQXSIJ-UHFFFAOYSA-N 0 0 296.304 2.661 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]([C@H]3CCOC3)C2)c(F)c1 ZINC000747844080 574215216 /nfs/dbraw/zinc/21/52/16/574215216.db2.gz KMQFMBFPFXLOEN-NEPJUHHUSA-N 0 0 294.326 2.987 20 5 CFBDRN Cc1cc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000739685185 574223047 /nfs/dbraw/zinc/22/30/47/574223047.db2.gz XXRLOSBNLHURSS-UHFFFAOYSA-N 0 0 289.291 2.808 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000756326091 574225607 /nfs/dbraw/zinc/22/56/07/574225607.db2.gz BABMCJGUEYJURK-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN O=C(OCC(F)F)c1cc([N+](=O)[O-])ccc1Cl ZINC000733389351 574225870 /nfs/dbraw/zinc/22/58/70/574225870.db2.gz FEJKOLLKCSEHAF-UHFFFAOYSA-N 0 0 265.599 2.670 20 5 CFBDRN CCC[C@@H](C)OC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000748102924 574229079 /nfs/dbraw/zinc/22/90/79/574229079.db2.gz UHQYULRNZBNJHJ-SNVBAGLBSA-N 0 0 267.281 2.705 20 5 CFBDRN CC(C)CC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000729697698 574229394 /nfs/dbraw/zinc/22/93/94/574229394.db2.gz ZGIHKASOVXDIJL-UHFFFAOYSA-N 0 0 255.245 2.823 20 5 CFBDRN C[C@H]1CCCC[C@H]1CC(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000748122315 574230734 /nfs/dbraw/zinc/23/07/34/574230734.db2.gz GLAICMWODSKQRC-RYUDHWBXSA-N 0 0 295.339 2.551 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)OCCCCO)cc1[N+](=O)[O-] ZINC000733419674 574231933 /nfs/dbraw/zinc/23/19/33/574231933.db2.gz GAJODJSXIIYLJI-UHFFFAOYSA-N 0 0 295.335 2.822 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000748143583 574232109 /nfs/dbraw/zinc/23/21/09/574232109.db2.gz OUCWPNLDGYZHAZ-MRVPVSSYSA-N 0 0 267.256 2.823 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 ZINC000756392947 574233147 /nfs/dbraw/zinc/23/31/47/574233147.db2.gz IAAUYDGEYQUVLD-VIFPVBQESA-N 0 0 295.291 2.569 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1ccccc1[N+](=O)[O-] ZINC000748164202 574234327 /nfs/dbraw/zinc/23/43/27/574234327.db2.gz MRHMTPXRYRHEJR-SNVBAGLBSA-N 0 0 277.276 2.511 20 5 CFBDRN Cc1cc(C(=O)OCC[C@H]2CCCC2=O)ccc1[N+](=O)[O-] ZINC000748166393 574234637 /nfs/dbraw/zinc/23/46/37/574234637.db2.gz ZQHFUJMUBIECEV-LLVKDONJSA-N 0 0 291.303 2.819 20 5 CFBDRN C[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1N)C(F)(F)F ZINC000748217372 574240312 /nfs/dbraw/zinc/24/03/12/574240312.db2.gz NKYJBGRQOIVOGM-ZCFIWIBFSA-N 0 0 292.213 2.532 20 5 CFBDRN O=[N+]([O-])c1c(N2Cc3ccccc3C2)nc2ccccn21 ZINC000729985854 574240678 /nfs/dbraw/zinc/24/06/78/574240678.db2.gz CZSCENXVOBUEKV-UHFFFAOYSA-N 0 0 280.287 2.763 20 5 CFBDRN O=C(C[C@H]1CCCCO1)OCc1csc([N+](=O)[O-])c1 ZINC000756501113 574241627 /nfs/dbraw/zinc/24/16/27/574241627.db2.gz HPKLMDIQBIFFSQ-SNVBAGLBSA-N 0 0 285.321 2.659 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)OCc1csc([N+](=O)[O-])c1 ZINC000756501109 574241775 /nfs/dbraw/zinc/24/17/75/574241775.db2.gz HPKLMDIQBIFFSQ-JTQLQIEISA-N 0 0 285.321 2.659 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)OCc1ccccc1[N+](=O)[O-] ZINC000756514583 574242854 /nfs/dbraw/zinc/24/28/54/574242854.db2.gz ATRXAVAGHSBVDR-LBPRGKRZSA-N 0 0 279.292 2.597 20 5 CFBDRN C[Si](C)(C)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000756532947 574244547 /nfs/dbraw/zinc/24/45/47/574244547.db2.gz CSMCDUOKRTYGNP-UHFFFAOYSA-N 0 0 281.384 2.948 20 5 CFBDRN C[C@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000748291679 574245628 /nfs/dbraw/zinc/24/56/28/574245628.db2.gz HYNSPAXZUMARPM-MRVPVSSYSA-N 0 0 291.225 2.879 20 5 CFBDRN COc1ccc(COC(=O)[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000733577230 574246458 /nfs/dbraw/zinc/24/64/58/574246458.db2.gz CRUIWMVOQKQMHN-SNVBAGLBSA-N 0 0 281.308 2.939 20 5 CFBDRN CC(C)(C(=O)OCc1ccno1)c1ccc([N+](=O)[O-])cc1 ZINC000740392655 574249629 /nfs/dbraw/zinc/24/96/29/574249629.db2.gz YZCQYQCMMBZLBO-UHFFFAOYSA-N 0 0 290.275 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC[C@@H]2CCCS2)cc1 ZINC000756785702 574262937 /nfs/dbraw/zinc/26/29/37/574262937.db2.gz KVGPCHWDUGRWSK-NSHDSACASA-N 0 0 297.405 2.777 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CCCCC1 ZINC000756814662 574265032 /nfs/dbraw/zinc/26/50/32/574265032.db2.gz CEXXPYQMCBYUKS-UHFFFAOYSA-N 0 0 297.742 2.944 20 5 CFBDRN C[C@@H](OC(=O)C(=O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000733919602 574265327 /nfs/dbraw/zinc/26/53/27/574265327.db2.gz RQURIEFNWGCYDM-SECBINFHSA-N 0 0 279.292 2.814 20 5 CFBDRN Cc1csc(COC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000748802521 574267514 /nfs/dbraw/zinc/26/75/14/574267514.db2.gz WQTDORLVAQYLSS-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN CC(C)OCCCN(C)c1ccc([N+](=O)[O-])cc1F ZINC000740871419 574271630 /nfs/dbraw/zinc/27/16/30/574271630.db2.gz UHQLYQOEEQFULE-UHFFFAOYSA-N 0 0 270.304 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CCC(F)(F)F ZINC000740872744 574271793 /nfs/dbraw/zinc/27/17/93/574271793.db2.gz DQPJCARQCCNKAR-UHFFFAOYSA-N 0 0 260.215 2.910 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])n[nH]1 ZINC000749054805 574278146 /nfs/dbraw/zinc/27/81/46/574278146.db2.gz KVYOFUMBCRHNQB-VIFPVBQESA-N 0 0 275.264 2.544 20 5 CFBDRN O=C(CC1(O)CCCCC1)OCc1csc([N+](=O)[O-])c1 ZINC000734198139 574279351 /nfs/dbraw/zinc/27/93/51/574279351.db2.gz GRUDIYZCQMRWPY-UHFFFAOYSA-N 0 0 299.348 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H]3CCC[C@@H]3O)sc2c1 ZINC000742421545 574280351 /nfs/dbraw/zinc/28/03/51/574280351.db2.gz NSVRNNIBNCJBMQ-KCJUWKMLSA-N 0 0 293.348 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H](CCO)C3CC3)sc2c1 ZINC000741242192 574284239 /nfs/dbraw/zinc/28/42/39/574284239.db2.gz NUYWTAFWELYEJP-JTQLQIEISA-N 0 0 293.348 2.777 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ccc([N+](=O)[O-])s2)o1 ZINC000741243898 574284375 /nfs/dbraw/zinc/28/43/75/574284375.db2.gz SJOJTWPZLDYDEK-QMMMGPOBSA-N 0 0 268.294 2.703 20 5 CFBDRN O=C(OCC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1Cl ZINC000749204944 574284524 /nfs/dbraw/zinc/28/45/24/574284524.db2.gz SFXXODWWFDQDMG-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN Cc1ccnc(NC[C@H]2CC2(Cl)Cl)c1[N+](=O)[O-] ZINC000734481806 574288360 /nfs/dbraw/zinc/28/83/60/574288360.db2.gz XQKYXGAIDKPYLT-SSDOTTSWSA-N 0 0 276.123 2.904 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc(Cl)[nH]n2)cc1[N+](=O)[O-] ZINC000749402893 574293903 /nfs/dbraw/zinc/29/39/03/574293903.db2.gz KMHOOYPVEXPHLG-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CN(CCOC(=O)CCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000734710598 574299698 /nfs/dbraw/zinc/29/96/98/574299698.db2.gz IWQZONKOGADYSG-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN COc1ccnc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])c1 ZINC000734769854 574300401 /nfs/dbraw/zinc/30/04/01/574300401.db2.gz FPLYZHKZUPJWHN-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN O=C(C[C@@H]1CCCO1)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734782558 574302159 /nfs/dbraw/zinc/30/21/59/574302159.db2.gz UIQNHDUIJJRWQU-NSHDSACASA-N 0 0 299.710 2.861 20 5 CFBDRN CCSCCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000741831496 574305794 /nfs/dbraw/zinc/30/57/94/574305794.db2.gz RGTVLNSGGSPENW-UHFFFAOYSA-N 0 0 261.324 2.566 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000734895741 574307064 /nfs/dbraw/zinc/30/70/64/574307064.db2.gz JRHOABDUJYHEAJ-SNVBAGLBSA-N 0 0 299.710 2.718 20 5 CFBDRN O=C(/C=C\c1ccccc1)NCCc1ccccc1[N+](=O)[O-] ZINC000742007101 574313798 /nfs/dbraw/zinc/31/37/98/574313798.db2.gz CSNQKQANRGMXGJ-KHPPLWFESA-N 0 0 296.326 2.967 20 5 CFBDRN Cc1cccc(N)c1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000735150426 574315238 /nfs/dbraw/zinc/31/52/38/574315238.db2.gz RDGLLEKHKCYAPA-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN Cn1nccc1[C@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000742212493 574319199 /nfs/dbraw/zinc/31/91/99/574319199.db2.gz RLQCWYYLKSZIJF-JTQLQIEISA-N 0 0 292.364 2.774 20 5 CFBDRN CC(C)[C@@H](CO)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000750031182 574321622 /nfs/dbraw/zinc/32/16/22/574321622.db2.gz LHCPXQGTZDLBTR-SNVBAGLBSA-N 0 0 281.337 2.633 20 5 CFBDRN CC(C)COC(=O)c1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC000735353751 574321636 /nfs/dbraw/zinc/32/16/36/574321636.db2.gz BPSSNUZNHCYINE-UHFFFAOYSA-N 0 0 290.275 2.661 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3CCCC[C@@H]3C2)c2nonc21 ZINC000750031670 574321796 /nfs/dbraw/zinc/32/17/96/574321796.db2.gz XYKWQPQIIUOXPF-NXEZZACHSA-N 0 0 288.307 2.757 20 5 CFBDRN Cc1ccsc1S(=O)(=O)Oc1ccc([N+](=O)[O-])cc1 ZINC000742265567 574322399 /nfs/dbraw/zinc/32/23/99/574322399.db2.gz MDOJVGDVGZQNOP-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN Cc1ccc(CCOC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000735398891 574323280 /nfs/dbraw/zinc/32/32/80/574323280.db2.gz WWTDPGMNERYOMX-UHFFFAOYSA-N 0 0 288.303 2.641 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccn(-c3ccccc3)n2)cn1 ZINC000735487723 574326716 /nfs/dbraw/zinc/32/67/16/574326716.db2.gz XCCZKPXRXGCOTE-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](n3ccnc3)C2)cc1 ZINC000742401095 574328072 /nfs/dbraw/zinc/32/80/72/574328072.db2.gz LLYMCASBIYQWCH-CQSZACIVSA-N 0 0 272.308 2.633 20 5 CFBDRN Cc1cccnc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000750179956 574329692 /nfs/dbraw/zinc/32/96/92/574329692.db2.gz LHUYQMWLMQPMOM-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2ncc(C)cc2[N+](=O)[O-])no1 ZINC000742428846 574329842 /nfs/dbraw/zinc/32/98/42/574329842.db2.gz SJYFFLQXKRMYNU-GFCCVEGCSA-N 0 0 288.307 2.936 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC1([C@H]2CCOC2)CC1 ZINC000735907719 574341438 /nfs/dbraw/zinc/34/14/38/574341438.db2.gz KJFZLWXYZJZSBP-NSHDSACASA-N 0 0 291.303 2.629 20 5 CFBDRN CCC1(C(=O)OCCOc2ccc([N+](=O)[O-])cc2)CC1 ZINC000750483223 574342642 /nfs/dbraw/zinc/34/26/42/574342642.db2.gz SJNKSIXDIGTFDQ-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)c1cc[nH]c1C ZINC000750525355 574345212 /nfs/dbraw/zinc/34/52/12/574345212.db2.gz LBSFMVWEXNLVKK-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN O=C(OCc1ccno1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750536036 574347140 /nfs/dbraw/zinc/34/71/40/574347140.db2.gz TVRLHIBXXLMGJO-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3c[nH]nc3C2)c(Cl)c1 ZINC000750565072 574347954 /nfs/dbraw/zinc/34/79/54/574347954.db2.gz ALSGNCXCXVPQSP-UHFFFAOYSA-N 0 0 278.699 2.534 20 5 CFBDRN Cc1ccc(NC(=O)NCCOC2CCC2)cc1[N+](=O)[O-] ZINC000743058436 574352618 /nfs/dbraw/zinc/35/26/18/574352618.db2.gz ZJSJHEFWXVVNLI-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccn(C)n1 ZINC000736159464 574352625 /nfs/dbraw/zinc/35/26/25/574352625.db2.gz UHEFGOOPHQDGCS-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CCCC[C@H](COC)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000736298728 574360719 /nfs/dbraw/zinc/36/07/19/574360719.db2.gz LPEVKCLKPXAMNK-SECBINFHSA-N 0 0 294.311 2.748 20 5 CFBDRN O=C(OCCc1cccs1)c1ccc([N+](=O)[O-])o1 ZINC000743466481 574365614 /nfs/dbraw/zinc/36/56/14/574365614.db2.gz HFSXSADOELXQPK-UHFFFAOYSA-N 0 0 267.262 2.649 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000743461281 574365829 /nfs/dbraw/zinc/36/58/29/574365829.db2.gz XJWXQPXHHDGWOI-MNOVXSKESA-N 0 0 274.324 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NC(=S)NCC(F)(F)F)c1 ZINC000750975658 574366157 /nfs/dbraw/zinc/36/61/57/574366157.db2.gz ILYWQPLREJAWEV-UHFFFAOYSA-N 0 0 297.233 2.583 20 5 CFBDRN Cc1sc(C(=O)O[C@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC000751165955 574370824 /nfs/dbraw/zinc/37/08/24/574370824.db2.gz CWKLRWHIIFEHQJ-SSDOTTSWSA-N 0 0 293.304 2.673 20 5 CFBDRN O=C(CCOCC1CC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000751249865 574372936 /nfs/dbraw/zinc/37/29/36/574372936.db2.gz XELZVOYEIPKBAB-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(C(Cl)=Cc3cn[nH]c3)nc2c1 ZINC000751525556 574378277 /nfs/dbraw/zinc/37/82/77/574378277.db2.gz WXJUPKZCGRLIQM-YCRREMRBSA-N 0 0 289.682 2.931 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1C[C@@H]1C1CC1 ZINC000751734973 574381641 /nfs/dbraw/zinc/38/16/41/574381641.db2.gz KQTWLUDDEVOUTH-VXGBXAGGSA-N 0 0 261.277 2.684 20 5 CFBDRN CCc1ccc(OC(=O)CCOCC2CC2)c([N+](=O)[O-])c1 ZINC000751841988 574383647 /nfs/dbraw/zinc/38/36/47/574383647.db2.gz GJDYIFKOXICXNT-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN CC[C@@H](C)OCC(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000751873639 574384025 /nfs/dbraw/zinc/38/40/25/574384025.db2.gz FJTDQZHHYAULDT-SNVBAGLBSA-N 0 0 281.308 2.762 20 5 CFBDRN CCCNC(=S)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000751912989 574384899 /nfs/dbraw/zinc/38/48/99/574384899.db2.gz DANAJIPXRNQESX-SNVBAGLBSA-N 0 0 281.381 2.838 20 5 CFBDRN CCCNC(=S)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000751918102 574384975 /nfs/dbraw/zinc/38/49/75/574384975.db2.gz NYSRYLWBVPYPKF-UHFFFAOYSA-N 0 0 281.381 2.704 20 5 CFBDRN CC(C)NC(=S)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000751919261 574384991 /nfs/dbraw/zinc/38/49/91/574384991.db2.gz XGEXEWVERZPRRB-UHFFFAOYSA-N 0 0 281.381 2.702 20 5 CFBDRN COC[C@H]1CCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000751968693 574386055 /nfs/dbraw/zinc/38/60/55/574386055.db2.gz ZQVWBSXAQWHYHL-SNVBAGLBSA-N 0 0 293.348 2.820 20 5 CFBDRN CSCCNC(=S)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000752734554 574394202 /nfs/dbraw/zinc/39/42/02/574394202.db2.gz RBTZCEUIPGJKDU-UHFFFAOYSA-N 0 0 299.421 2.861 20 5 CFBDRN Cc1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2F)s1 ZINC000752861483 574395940 /nfs/dbraw/zinc/39/59/40/574395940.db2.gz FEOQVZZMZGVOSG-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2cn(-c3ccccc3)nn2)nc1 ZINC000753146747 574399334 /nfs/dbraw/zinc/39/93/34/574399334.db2.gz NJWHYHZCZNQVOM-SREVYHEPSA-N 0 0 293.286 2.741 20 5 CFBDRN O=C(C[C@H]1CCOC1)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000753625217 574406959 /nfs/dbraw/zinc/40/69/59/574406959.db2.gz WFUWESOKBQXFRX-SECBINFHSA-N 0 0 299.710 2.718 20 5 CFBDRN CCc1nc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])co1 ZINC000753729130 574409003 /nfs/dbraw/zinc/40/90/03/574409003.db2.gz FSTHDQFJUWVSRD-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN CN(C(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1)C1CC1 ZINC000900170626 620645766 /nfs/dbraw/zinc/64/57/66/620645766.db2.gz QTYZDTGSASHXHF-UHFFFAOYSA-N 0 0 272.663 2.622 20 5 CFBDRN C[C@]1(C(=O)[O-])CC[N@H+](Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000093633543 601921380 /nfs/dbraw/zinc/92/13/80/601921380.db2.gz UIGMWIYGPBAQKK-ZDUSSCGKSA-N 0 0 298.726 2.545 20 5 CFBDRN CC(C)c1ccc(C[N@H+](CC(=O)[O-])C2CC2)cc1[N+](=O)[O-] ZINC000833510007 604757060 /nfs/dbraw/zinc/75/70/60/604757060.db2.gz HMPVXAWSOPTGIX-UHFFFAOYSA-N 0 0 292.335 2.767 20 5 CFBDRN C[C@@]1([NH2+]Cc2cccc([N+](=O)[O-])c2)CCCC[C@@H]1C(=O)[O-] ZINC000833568461 604812699 /nfs/dbraw/zinc/81/26/99/604812699.db2.gz GHXVLAODLMCAEN-UKRRQHHQSA-N 0 0 292.335 2.718 20 5 CFBDRN Cc1ccc([C@H](C)[NH2+]CC(C)(C)NC(=O)[O-])cc1[N+](=O)[O-] ZINC000830257991 605106817 /nfs/dbraw/zinc/10/68/17/605106817.db2.gz YUQAZVLOTVSRJR-JTQLQIEISA-N 0 0 295.339 2.600 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[NH2+]CC(C)(C)NC(=O)[O-] ZINC000830426773 605107269 /nfs/dbraw/zinc/10/72/69/605107269.db2.gz BATAVYLQJWROPH-SNVBAGLBSA-N 0 0 295.339 2.600 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@H+](C)CCN(C)C(=O)[O-] ZINC000833907567 605550944 /nfs/dbraw/zinc/55/09/44/605550944.db2.gz MPENVHLMLQTFHD-NSHDSACASA-N 0 0 295.339 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(Cn3cc(C4CCC4)nn3)nc2c1 ZINC000900537815 620687625 /nfs/dbraw/zinc/68/76/25/620687625.db2.gz UPGASCLXZXJXRX-UHFFFAOYSA-N 0 0 299.290 2.643 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCC2CC3(CCC3)C2)n1 ZINC000851479572 634384850 /nfs/dbraw/zinc/38/48/50/634384850.db2.gz OUUMAIKHSOIJFI-UHFFFAOYSA-N 0 0 293.323 2.548 20 5 CFBDRN CSc1cncc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000891882105 617800395 /nfs/dbraw/zinc/80/03/95/617800395.db2.gz OGNIATSCPIMUBT-UHFFFAOYSA-N 0 0 283.353 2.578 20 5 CFBDRN C/C(Cl)=C\C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000900770345 620710462 /nfs/dbraw/zinc/71/04/62/620710462.db2.gz AZJJUKASDBYCRC-BQYQJAHWSA-N 0 0 266.684 2.627 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NC/C=C\Cl)c1 ZINC000891211614 618046601 /nfs/dbraw/zinc/04/66/01/618046601.db2.gz PBHNGVXLCIPBLC-KXFIGUGUSA-N 0 0 298.726 2.541 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000804854771 618051942 /nfs/dbraw/zinc/05/19/42/618051942.db2.gz KTNCYKLOPYIAOQ-GZMMTYOYSA-N 0 0 284.262 2.601 20 5 CFBDRN C[C@]1(C2CC2)COCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000934481861 618052147 /nfs/dbraw/zinc/05/21/47/618052147.db2.gz MDASRTPXKUYWMS-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN CCCN(CC(=O)OCC)c1ccc([N+](=O)[O-])cc1F ZINC000050071794 618079030 /nfs/dbraw/zinc/07/90/30/618079030.db2.gz VNQRPMMWKMAOQZ-UHFFFAOYSA-N 0 0 284.287 2.513 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@@H]1c1cccs1 ZINC000891514007 617643696 /nfs/dbraw/zinc/64/36/96/617643696.db2.gz QUWCXDXISGZQMM-SECBINFHSA-N 0 0 278.337 2.731 20 5 CFBDRN C[C@H]1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000932335527 617650539 /nfs/dbraw/zinc/65/05/39/617650539.db2.gz HYSJVCNOABNZFB-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000891530285 617651433 /nfs/dbraw/zinc/65/14/33/617651433.db2.gz ITCXCWISELPSGL-YPMHNXCESA-N 0 0 292.335 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cccnc2)c([N+](=O)[O-])c1 ZINC000023887721 617651566 /nfs/dbraw/zinc/65/15/66/617651566.db2.gz ADCSYYPKKBDCPQ-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000175727605 617659695 /nfs/dbraw/zinc/65/96/95/617659695.db2.gz ZAKRNDNGCOQCMT-LLVKDONJSA-N 0 0 297.282 2.768 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000891824716 617771246 /nfs/dbraw/zinc/77/12/46/617771246.db2.gz DXWRERUEOPXXEM-VHSXEESVSA-N 0 0 287.319 2.515 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000060784755 617778554 /nfs/dbraw/zinc/77/85/54/617778554.db2.gz KFEJESOCELQKRK-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC(F)(F)CC1 ZINC000804681349 617801361 /nfs/dbraw/zinc/80/13/61/617801361.db2.gz KZVRUJXTGIOJSJ-UHFFFAOYSA-N 0 0 260.215 2.969 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC3(CC3)C2)c1 ZINC000804688542 617812082 /nfs/dbraw/zinc/81/20/82/617812082.db2.gz MGXYDDDHNLFHJA-UHFFFAOYSA-N 0 0 266.272 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CC(F)(F)C3)cc1 ZINC000804688554 617812518 /nfs/dbraw/zinc/81/25/18/617812518.db2.gz MYEWESGQLXECOZ-UHFFFAOYSA-N 0 0 254.236 2.830 20 5 CFBDRN Cc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)c(O)c1 ZINC000003494566 617815753 /nfs/dbraw/zinc/81/57/53/617815753.db2.gz BNQKQYRLEVWCAU-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000778359011 617842501 /nfs/dbraw/zinc/84/25/01/617842501.db2.gz CDWZPOZPNRTGSG-WCBMZHEXSA-N 0 0 299.348 2.937 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000778362398 617844704 /nfs/dbraw/zinc/84/47/04/617844704.db2.gz WJMFXMNUNGGOAX-MNOVXSKESA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000890765685 617850169 /nfs/dbraw/zinc/85/01/69/617850169.db2.gz MRQKWLWDOPSKOL-ZWNOBZJWSA-N 0 0 292.310 2.747 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000890771877 617870733 /nfs/dbraw/zinc/87/07/33/617870733.db2.gz MWQAOAVPLIDUCM-ZWNOBZJWSA-N 0 0 260.293 2.679 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCc1cccnc1C ZINC000890833534 617919137 /nfs/dbraw/zinc/91/91/37/617919137.db2.gz WZURUSJLSMGVAA-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@@H](COC(=O)C1(c2cccc(Cl)c2)CCC1)[N+](=O)[O-] ZINC000813102237 617923766 /nfs/dbraw/zinc/92/37/66/617923766.db2.gz CHUAWZIZQGEHRP-JTQLQIEISA-N 0 0 297.738 2.970 20 5 CFBDRN CSCC(C)(C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000890876414 617933294 /nfs/dbraw/zinc/93/32/94/617933294.db2.gz PSOPOZSZTOTWRM-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN Cc1cccc(N2CC(O)(c3ccccc3)C2)c1[N+](=O)[O-] ZINC000804837848 617937510 /nfs/dbraw/zinc/93/75/10/617937510.db2.gz YACXAFRVQJJCMF-UHFFFAOYSA-N 0 0 284.315 2.611 20 5 CFBDRN C[C@H](COC(=O)[C@@H](C)Cc1cccc(Cl)c1)[N+](=O)[O-] ZINC000813112160 617941001 /nfs/dbraw/zinc/94/10/01/617941001.db2.gz CTLZAPDXMYZASI-VHSXEESVSA-N 0 0 285.727 2.727 20 5 CFBDRN Cc1ccccc1[C@H](C)CC(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813115420 617953875 /nfs/dbraw/zinc/95/38/75/617953875.db2.gz RGJVVXXXPPVDIL-VXGBXAGGSA-N 0 0 265.309 2.697 20 5 CFBDRN O=Cc1c[nH]nc1C=Cc1cc([N+](=O)[O-])ccc1Cl ZINC000255087097 617955612 /nfs/dbraw/zinc/95/56/12/617955612.db2.gz PRJLJSUDOKEXPT-RJRFIUFISA-N 0 0 277.667 2.954 20 5 CFBDRN COC1(c2ccccc2)CN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000804841849 617960448 /nfs/dbraw/zinc/96/04/48/617960448.db2.gz DOZHEQRVLRLDBI-UHFFFAOYSA-N 0 0 284.315 2.957 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1cnc(C)o1 ZINC000891031355 617976794 /nfs/dbraw/zinc/97/67/94/617976794.db2.gz YNEJPDGJZJRCTH-UHFFFAOYSA-N 0 0 289.291 2.635 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1NCCF ZINC000307567974 618017154 /nfs/dbraw/zinc/01/71/54/618017154.db2.gz RVWWNULNUXDLJG-UHFFFAOYSA-N 0 0 263.066 2.739 20 5 CFBDRN Cc1cnc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])s1 ZINC000050037258 618052722 /nfs/dbraw/zinc/05/27/22/618052722.db2.gz NBALDEBHISAJPP-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN CCCCC1(C(=O)OCc2onc(C)c2[N+](=O)[O-])CC1 ZINC000924426055 618056485 /nfs/dbraw/zinc/05/64/85/618056485.db2.gz MRTISNXBLQIIJN-UHFFFAOYSA-N 0 0 282.296 2.905 20 5 CFBDRN CCN(CC)C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000176681751 618059229 /nfs/dbraw/zinc/05/92/29/618059229.db2.gz MTCBKKXCDKDRLJ-UHFFFAOYSA-N 0 0 254.261 2.524 20 5 CFBDRN Cc1cccnc1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037385 618061968 /nfs/dbraw/zinc/06/19/68/618061968.db2.gz BSQMOPGZVDKBPT-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCCCCCF ZINC000810910555 618082023 /nfs/dbraw/zinc/08/20/23/618082023.db2.gz PQUYHTDPJKWXDJ-UHFFFAOYSA-N 0 0 272.276 2.620 20 5 CFBDRN O=C(OC[C@@H]1CCCO1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000077110334 618085608 /nfs/dbraw/zinc/08/56/08/618085608.db2.gz ARGFHUOWPIBIJM-NSHDSACASA-N 0 0 285.683 2.584 20 5 CFBDRN O=C(NC1CC=CC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000934688825 618107911 /nfs/dbraw/zinc/10/79/11/618107911.db2.gz XGYRFVCGAIISHQ-ZIAGYGMSSA-N 0 0 272.304 2.533 20 5 CFBDRN COc1ccc(C(=O)OC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000083770584 618109660 /nfs/dbraw/zinc/10/96/60/618109660.db2.gz YRTDTEBPJIVVMZ-UHFFFAOYSA-N 0 0 277.276 2.703 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Oc1ccc(F)cc1 ZINC000061193167 618109988 /nfs/dbraw/zinc/10/99/88/618109988.db2.gz VPVSHEAGJLZELB-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN C[C@H](CCO)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235425255 618115716 /nfs/dbraw/zinc/11/57/16/618115716.db2.gz VDKGRVLXKMFVSE-ZCFIWIBFSA-N 0 0 262.668 2.570 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@H]1CC[C@H](C)C1 ZINC000779258280 618117479 /nfs/dbraw/zinc/11/74/79/618117479.db2.gz LRBGDHYPVMETEX-KWQFWETISA-N 0 0 264.281 2.644 20 5 CFBDRN CC1(C)[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)[C@@H]2CCO[C@H]21 ZINC000247312444 618130613 /nfs/dbraw/zinc/13/06/13/618130613.db2.gz HHUJBHKUYXPDOI-JHJVBQTASA-N 0 0 291.303 2.565 20 5 CFBDRN CC(C)c1cccc(C(=O)Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000891345629 618141537 /nfs/dbraw/zinc/14/15/37/618141537.db2.gz XDWSQJNVVOFCJN-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CCC[C@@H](CC(C)C)C(=O)Nc1c([N+](=O)[O-])ncn1C ZINC000891344709 618141700 /nfs/dbraw/zinc/14/17/00/618141700.db2.gz AKNRXVFXENAWDV-JTQLQIEISA-N 0 0 282.344 2.729 20 5 CFBDRN O=C(CCn1cc([N+](=O)[O-])cn1)OCC1CCCCCC1 ZINC000781481590 618149165 /nfs/dbraw/zinc/14/91/65/618149165.db2.gz LHKLJEBXFILCPZ-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@@H]2c2cccc(F)c2)c1 ZINC000077447592 618151292 /nfs/dbraw/zinc/15/12/92/618151292.db2.gz CPWZLBFVVKUWRS-CQSZACIVSA-N 0 0 290.298 2.725 20 5 CFBDRN C[C@@H](CO)SCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000121272465 618154405 /nfs/dbraw/zinc/15/44/05/618154405.db2.gz UZKANZHTPHSRGQ-ZETCQYMHSA-N 0 0 261.730 2.862 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000157003575 618160931 /nfs/dbraw/zinc/16/09/31/618160931.db2.gz AXRXYKDOHHIDAE-UHFFFAOYSA-N 0 0 260.249 2.773 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCc1nc(C(C)(C)C)cs1 ZINC000892340932 618162228 /nfs/dbraw/zinc/16/22/28/618162228.db2.gz WGJJPVAFYVDDCK-UHFFFAOYSA-N 0 0 295.368 2.694 20 5 CFBDRN CCN(Cc1ccc(F)cc1[N+](=O)[O-])C(C)(C)C(=O)OC ZINC000933184175 618166507 /nfs/dbraw/zinc/16/65/07/618166507.db2.gz CWVMRURSUORAGI-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC[C@H](C)C2CC2)c1F ZINC000235166853 618173726 /nfs/dbraw/zinc/17/37/26/618173726.db2.gz JBHHTCXSXLOMIL-VIFPVBQESA-N 0 0 280.299 2.818 20 5 CFBDRN CCc1ccc2c(c1)CCN2C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000891386852 618180260 /nfs/dbraw/zinc/18/02/60/618180260.db2.gz NTENHMAQWCFRNV-UHFFFAOYSA-N 0 0 285.303 2.688 20 5 CFBDRN O=C(COc1ccccc1)OCc1ccc([N+](=O)[O-])cc1 ZINC000060502526 618181441 /nfs/dbraw/zinc/18/14/41/618181441.db2.gz IRTVBFPFEWQMAJ-UHFFFAOYSA-N 0 0 287.271 2.717 20 5 CFBDRN CC[C@H](C)NC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000891407542 618189185 /nfs/dbraw/zinc/18/91/85/618189185.db2.gz ACRKBRFDEAEDII-MNOVXSKESA-N 0 0 279.340 2.796 20 5 CFBDRN C[C@H](CCc1ccccc1)Nc1c([N+](=O)[O-])cnn1C ZINC000891419932 618192119 /nfs/dbraw/zinc/19/21/19/618192119.db2.gz TWLRKEIXGULYBO-LLVKDONJSA-N 0 0 274.324 2.762 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000176823029 618207021 /nfs/dbraw/zinc/20/70/21/618207021.db2.gz RUMAIUVCKFFQHI-AWEZNQCLSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C([C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCC=C(F)C1 ZINC000935219932 618208621 /nfs/dbraw/zinc/20/86/21/618208621.db2.gz TYENVVPVHUYVRV-KGLIPLIRSA-N 0 0 290.294 2.784 20 5 CFBDRN C[C@@H](O)CCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235217569 618211663 /nfs/dbraw/zinc/21/16/63/618211663.db2.gz WGQQCRQCLXAVHV-ZCFIWIBFSA-N 0 0 262.668 2.570 20 5 CFBDRN Cn1c(Cl)cnc1CNc1ccccc1[N+](=O)[O-] ZINC000304861055 618214571 /nfs/dbraw/zinc/21/45/71/618214571.db2.gz SAUZYLPWYRIEPF-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN COc1ccc(COc2cc([N+](=O)[O-])ccc2Cl)nn1 ZINC000312332083 618246747 /nfs/dbraw/zinc/24/67/47/618246747.db2.gz JGKSBFQQTQCNMJ-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN CC(C)(C)CCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000065750729 618250278 /nfs/dbraw/zinc/25/02/78/618250278.db2.gz RWXMDOWZAIWMIF-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CC(=O)CCCOC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000892431088 618262419 /nfs/dbraw/zinc/26/24/19/618262419.db2.gz SXMMPCFHPUBFJM-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN Cc1ccc2ccccc2c1OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000893986584 618264815 /nfs/dbraw/zinc/26/48/15/618264815.db2.gz PGXLRRXIYXLJMD-CHWSQXEVSA-N 0 0 271.272 2.719 20 5 CFBDRN CCOC1CN(c2c3cc(F)ccc3ncc2[N+](=O)[O-])C1 ZINC000893998806 618268535 /nfs/dbraw/zinc/26/85/35/618268535.db2.gz AQQQUBSSHVXHSY-UHFFFAOYSA-N 0 0 291.282 2.507 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@@H]2CC23CCC3)cc([N+](=O)[O-])c1 ZINC000895091069 618283670 /nfs/dbraw/zinc/28/36/70/618283670.db2.gz SNRKDZQPWIUTQP-LLVKDONJSA-N 0 0 263.253 2.858 20 5 CFBDRN Cc1nc(CC(=O)Oc2ccc(C)c([N+](=O)[O-])c2)cs1 ZINC000178992520 618286426 /nfs/dbraw/zinc/28/64/26/618286426.db2.gz TZMFOONJEVNHQD-UHFFFAOYSA-N 0 0 292.316 2.816 20 5 CFBDRN C[C@@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(F)F ZINC000894048652 618289111 /nfs/dbraw/zinc/28/91/11/618289111.db2.gz ADMMASWKLSCMJH-RXMQYKEDSA-N 0 0 278.642 2.632 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000894055304 618295156 /nfs/dbraw/zinc/29/51/56/618295156.db2.gz WJISAMCOATXAFC-LURJTMIESA-N 0 0 290.291 2.700 20 5 CFBDRN COC/C(C)=C/CNc1cccc([N+](=O)[O-])c1C ZINC000901354895 620793532 /nfs/dbraw/zinc/79/35/32/620793532.db2.gz YTEXOLIFPUZLEC-JXMROGBWSA-N 0 0 250.298 2.908 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)c1[N+](=O)[O-] ZINC000889605929 618304560 /nfs/dbraw/zinc/30/45/60/618304560.db2.gz MFJIAXAYJNWYJN-JBLDHEPKSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cnc(COc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000179751881 618344571 /nfs/dbraw/zinc/34/45/71/618344571.db2.gz ABDMZIVFVBIACL-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(F)n1 ZINC000162240706 618347736 /nfs/dbraw/zinc/34/77/36/618347736.db2.gz ZHGNFHLLLSPJQC-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCOC(=O)Cn2cc([N+](=O)[O-])cn2)C1 ZINC000177133101 618349649 /nfs/dbraw/zinc/34/96/49/618349649.db2.gz IABIKZQCPSLIKA-NEPJUHHUSA-N 0 0 295.339 2.551 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nnc(C3CC3)o2)cc1F ZINC000049373642 618357198 /nfs/dbraw/zinc/35/71/98/618357198.db2.gz QLIZBQMWPXGPAU-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN Cc1ccc(N[C@@H](C)c2ccnn2C)c([N+](=O)[O-])c1 ZINC000235546523 618370060 /nfs/dbraw/zinc/37/00/60/618370060.db2.gz OCMPUTKEVWCGNE-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@]1(C)CCCO1 ZINC000177222055 618376375 /nfs/dbraw/zinc/37/63/75/618376375.db2.gz FUAVCKIEOHOKRR-CQSZACIVSA-N 0 0 279.292 2.629 20 5 CFBDRN C[C@@]1(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCCO1 ZINC000177242154 618379823 /nfs/dbraw/zinc/37/98/23/618379823.db2.gz VWHNTLHXGHXRGD-ZDUSSCGKSA-N 0 0 299.710 2.974 20 5 CFBDRN C[C@H](O)[C@H]1CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000235576925 618379946 /nfs/dbraw/zinc/37/99/46/618379946.db2.gz ZGMZQCSOBHKTPF-YUMQZZPRSA-N 0 0 288.706 2.594 20 5 CFBDRN Cc1cc(C(=O)OC[C@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000177262688 618384912 /nfs/dbraw/zinc/38/49/12/618384912.db2.gz OUKPLPNHAHXKEE-AWEZNQCLSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000776342296 618433332 /nfs/dbraw/zinc/43/33/32/618433332.db2.gz FTXUMHVSSJGILL-QWRGUYRKSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ncoc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000894194014 618435274 /nfs/dbraw/zinc/43/52/74/618435274.db2.gz WXQJWEVCQWPGQO-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN CC[C@H](CNc1c([N+](=O)[O-])cnn1C)CC(F)(F)F ZINC000894197655 618438717 /nfs/dbraw/zinc/43/87/17/618438717.db2.gz LZCXWFNUXBQDNG-ZETCQYMHSA-N 0 0 280.250 2.719 20 5 CFBDRN Cc1cc(C)cc(C2(C(=O)O[C@H](C)C[N+](=O)[O-])CC2)c1 ZINC000814044949 618442702 /nfs/dbraw/zinc/44/27/02/618442702.db2.gz MAZMOPQWBWLLCG-GFCCVEGCSA-N 0 0 277.320 2.543 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1cc(Cl)ccc1Cl ZINC000814044901 618442806 /nfs/dbraw/zinc/44/28/06/618442806.db2.gz LAJPWSSIBHDOPD-SSDOTTSWSA-N 0 0 292.118 2.744 20 5 CFBDRN CC(C)[C@@H](Cc1ccccc1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814045142 618444262 /nfs/dbraw/zinc/44/42/62/618444262.db2.gz NMZJETCFHNEDBP-TZMCWYRMSA-N 0 0 279.336 2.710 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCSC[C@@H]2C)c1[N+](=O)[O-] ZINC000177575305 618452946 /nfs/dbraw/zinc/45/29/46/618452946.db2.gz QUWSDHGPRGOUKT-VIFPVBQESA-N 0 0 298.339 2.620 20 5 CFBDRN CSCCNC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000726232308 618457099 /nfs/dbraw/zinc/45/70/99/618457099.db2.gz YSZRZEMQEFVYJH-UHFFFAOYSA-N 0 0 289.744 2.733 20 5 CFBDRN Cc1nc([C@H]2CCCN2c2ncc([N+](=O)[O-])s2)[nH]c1C ZINC000895194568 618459039 /nfs/dbraw/zinc/45/90/39/618459039.db2.gz ZKSGYEVKYXUHAH-SECBINFHSA-N 0 0 293.352 2.733 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000726324510 618462592 /nfs/dbraw/zinc/46/25/92/618462592.db2.gz BJZLNLMKELKZHV-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000901415357 620812286 /nfs/dbraw/zinc/81/22/86/620812286.db2.gz IJOWABTVSLPHNO-LBPRGKRZSA-N 0 0 292.335 2.508 20 5 CFBDRN C[C@@H](CNC(=O)N[C@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000895347548 618542715 /nfs/dbraw/zinc/54/27/15/618542715.db2.gz JDJFQKXMKUFPIY-GWCFXTLKSA-N 0 0 291.351 2.796 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC1(C2CC2)CCC1 ZINC000895359764 618549595 /nfs/dbraw/zinc/54/95/95/618549595.db2.gz IHPSLRPRSHLMCS-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1C[NH2+]C[C@H](CO)CC1CC1 ZINC000895396219 618567338 /nfs/dbraw/zinc/56/73/38/618567338.db2.gz GJHKOJLIJCQSMK-LLVKDONJSA-N 0 0 298.770 2.746 20 5 CFBDRN Cc1cc(N2CC[C@@H](c3noc(C)n3)C2)c([N+](=O)[O-])s1 ZINC000895399397 618569409 /nfs/dbraw/zinc/56/94/09/618569409.db2.gz BPXZBLFEGICUMN-SECBINFHSA-N 0 0 294.336 2.650 20 5 CFBDRN Cc1ccc(NCc2cc(N(C)C)ccn2)c([N+](=O)[O-])c1 ZINC000895464979 618599998 /nfs/dbraw/zinc/59/99/98/618599998.db2.gz FZQXHYOHTMDWSK-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CCC[C@H](C)OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000177740667 618604823 /nfs/dbraw/zinc/60/48/23/618604823.db2.gz ALGZGBRJEJGECI-QMMMGPOBSA-N 0 0 252.270 2.522 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)C(F)(F)C(F)F ZINC000177756457 618605097 /nfs/dbraw/zinc/60/50/97/618605097.db2.gz CDZBLPMLCBOMOP-UHFFFAOYSA-N 0 0 284.140 2.573 20 5 CFBDRN CCC[C@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000177761919 618605348 /nfs/dbraw/zinc/60/53/48/618605348.db2.gz HHWSHXZJNQFTTJ-JTQLQIEISA-N 0 0 267.281 2.705 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Oc1ccc(F)cc1 ZINC000066324232 618605946 /nfs/dbraw/zinc/60/59/46/618605946.db2.gz UKNRIPVTYGMOQM-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](O)c2ccccc2F)n1 ZINC000178168257 618629664 /nfs/dbraw/zinc/62/96/64/618629664.db2.gz RUMCKCWEZYPLDZ-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc([C@H](C)O)cc2)n1 ZINC000178183487 618634412 /nfs/dbraw/zinc/63/44/12/618634412.db2.gz USTLTNYGNLRNJM-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN CCOc1ccc(CNCc2ccccc2[N+](=O)[O-])o1 ZINC000892606599 618651634 /nfs/dbraw/zinc/65/16/34/618651634.db2.gz CAURQSKOZSCGDD-UHFFFAOYSA-N 0 0 276.292 2.876 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000310924292 618660072 /nfs/dbraw/zinc/66/00/72/618660072.db2.gz KGVSCRDJWNXCCN-APPZFPTMSA-N 0 0 251.242 2.713 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000310947977 618662059 /nfs/dbraw/zinc/66/20/59/618662059.db2.gz QEPHKIOLFATLMG-GMSGAONNSA-N 0 0 268.700 2.777 20 5 CFBDRN CC1(C(=O)Nc2nccc3cc([N+](=O)[O-])ccc32)CC1 ZINC000892636335 618666361 /nfs/dbraw/zinc/66/63/61/618666361.db2.gz PFBJAWRILLVBRZ-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCF)c([N+](=O)[O-])c1 ZINC000311007608 618671659 /nfs/dbraw/zinc/67/16/59/618671659.db2.gz OKDYPDUDBIXTJP-UHFFFAOYSA-N 0 0 257.221 2.665 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CCCC2(CC2)C1)[N+](=O)[O-] ZINC000851129549 618689244 /nfs/dbraw/zinc/68/92/44/618689244.db2.gz JUEXCEWWKNQUSE-JTQLQIEISA-N 0 0 255.314 2.555 20 5 CFBDRN CSCCCCCCNc1ccc(N)c([N+](=O)[O-])n1 ZINC000311744791 618721254 /nfs/dbraw/zinc/72/12/54/618721254.db2.gz BUPBFFHUZSTVEB-UHFFFAOYSA-N 0 0 284.385 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCOC2CCOCC2)c1 ZINC000311870434 618726547 /nfs/dbraw/zinc/72/65/47/618726547.db2.gz ZDWSGNGGSQOKMC-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN Nc1ccc(NC(=O)C[C@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000936024454 618731205 /nfs/dbraw/zinc/73/12/05/618731205.db2.gz MXDCEPVPNNFOJQ-MRVPVSSYSA-N 0 0 299.277 2.941 20 5 CFBDRN CCOc1cc(NC(=O)[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000892745608 618738592 /nfs/dbraw/zinc/73/85/92/618738592.db2.gz FFHJIXCQISQNOV-SECBINFHSA-N 0 0 278.308 2.978 20 5 CFBDRN CCOc1cc(NC(=O)CC(C)C)ccc1[N+](=O)[O-] ZINC000892749954 618739588 /nfs/dbraw/zinc/73/95/88/618739588.db2.gz OMMWDSUNAKILOZ-UHFFFAOYSA-N 0 0 266.297 2.978 20 5 CFBDRN CCOc1cc(NC(=O)[C@H](C)CC)ccc1[N+](=O)[O-] ZINC000892746771 618740038 /nfs/dbraw/zinc/74/00/38/618740038.db2.gz HYYAZGOBNBRARX-SECBINFHSA-N 0 0 266.297 2.978 20 5 CFBDRN CC(C)(C)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000070250271 625288637 /nfs/dbraw/zinc/28/86/37/625288637.db2.gz UDKNQNGJHVBYRV-UHFFFAOYSA-N 0 0 258.224 2.858 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000178305211 618747889 /nfs/dbraw/zinc/74/78/89/618747889.db2.gz WWYBJDUDPUEPBK-LLVKDONJSA-N 0 0 265.309 2.973 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@H]2CCCSC2)cc([N+](=O)[O-])c1 ZINC000892813981 618750530 /nfs/dbraw/zinc/75/05/30/618750530.db2.gz UUSCHEGAWVDXFJ-QMMMGPOBSA-N 0 0 283.309 2.811 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892859590 618759594 /nfs/dbraw/zinc/75/95/94/618759594.db2.gz FXUYCMLZLRHCNJ-MFKMUULPSA-N 0 0 274.320 2.920 20 5 CFBDRN CC(C)C[C@@H]1CCCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000892907332 618766923 /nfs/dbraw/zinc/76/69/23/618766923.db2.gz ZRSSXVSNOUEYAV-NSHDSACASA-N 0 0 266.345 2.591 20 5 CFBDRN COc1ccc(COc2ccccc2C=O)cc1[N+](=O)[O-] ZINC000020549353 618806494 /nfs/dbraw/zinc/80/64/94/618806494.db2.gz GBQIUEQLDZTUCD-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCCCCF)c1F ZINC000312022284 618848995 /nfs/dbraw/zinc/84/89/95/618848995.db2.gz ZCGFQZRDSXOTDP-UHFFFAOYSA-N 0 0 272.251 2.522 20 5 CFBDRN CC(C)(CC(=O)OCC(C)(C)[N+](=O)[O-])CC(F)F ZINC000893157051 618851172 /nfs/dbraw/zinc/85/11/72/618851172.db2.gz IWWWCJOFRNCGKW-UHFFFAOYSA-N 0 0 267.272 2.656 20 5 CFBDRN COCCC1(CNc2c([N+](=O)[O-])cnn2C)CCCCC1 ZINC000893256268 618909619 /nfs/dbraw/zinc/90/96/19/618909619.db2.gz OUAANKUCYUXMBB-UHFFFAOYSA-N 0 0 296.371 2.727 20 5 CFBDRN CC1(CC(=O)OCc2ccc([N+](=O)[O-])cc2Cl)COC1 ZINC000924116253 618914584 /nfs/dbraw/zinc/91/45/84/618914584.db2.gz BGXOBJVDKIFZRQ-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN CC(C)C1(C)CCN(c2c([N+](=O)[O-])cnn2C)CC1 ZINC000893264434 618915335 /nfs/dbraw/zinc/91/53/35/618915335.db2.gz DFFHOLRDSUAMKI-UHFFFAOYSA-N 0 0 266.345 2.591 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccnnc1)c1ccccc1[N+](=O)[O-] ZINC000925690044 618933808 /nfs/dbraw/zinc/93/38/08/618933808.db2.gz CZXUHHWTPGHQEI-WDEREUQCSA-N 0 0 272.308 2.797 20 5 CFBDRN CCC(=O)[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000909342784 618964587 /nfs/dbraw/zinc/96/45/87/618964587.db2.gz WGQUSJRMOTYUKB-VIFPVBQESA-N 0 0 296.348 2.548 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CC[C@H]1C(F)F ZINC000931421810 618965890 /nfs/dbraw/zinc/96/58/90/618965890.db2.gz RIFDZMJOBYCFBD-KCJUWKMLSA-N 0 0 256.252 2.995 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000931424464 618968055 /nfs/dbraw/zinc/96/80/55/618968055.db2.gz HFBMVMPXYTZDSI-SWHYSGLUSA-N 0 0 274.320 2.567 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2F)C[C@H](C)S1 ZINC000070385029 625308130 /nfs/dbraw/zinc/30/81/30/625308130.db2.gz VYTLXEPXKLVEDX-IUCAKERBSA-N 0 0 298.339 2.700 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC(F)CC1 ZINC000893414801 618983419 /nfs/dbraw/zinc/98/34/19/618983419.db2.gz LWVLQGBZZWRCQU-UHFFFAOYSA-N 0 0 284.262 2.616 20 5 CFBDRN Cc1c(CNc2cccc([C@@H](C)O)n2)cccc1[N+](=O)[O-] ZINC000893451059 618997252 /nfs/dbraw/zinc/99/72/52/618997252.db2.gz CECUUPOBPZYUON-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000066877000 619003348 /nfs/dbraw/zinc/00/33/48/619003348.db2.gz NUXIQMVLPSVHNX-ZWKOPEQDSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1noc(C)c1C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000045998057 619006598 /nfs/dbraw/zinc/00/65/98/619006598.db2.gz XCNFEBZRDZTYRC-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000104609347 619012275 /nfs/dbraw/zinc/01/22/75/619012275.db2.gz GDKJQENTDSSOTJ-YNGVHUKBSA-N 0 0 274.320 2.913 20 5 CFBDRN C/C=C/COC(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-] ZINC000053921177 619079504 /nfs/dbraw/zinc/07/95/04/619079504.db2.gz PYSIUVOYNRGLSU-GQCTYLIASA-N 0 0 295.291 2.735 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@]12C[C@H]1CCC2 ZINC000893623148 619107282 /nfs/dbraw/zinc/10/72/82/619107282.db2.gz BBYVLFXPEOGMPR-DOMZBBRYSA-N 0 0 275.304 2.871 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CCC(F)(F)CC2)c1 ZINC000893632559 619123648 /nfs/dbraw/zinc/12/36/48/619123648.db2.gz YYNFQYVBNWXXNQ-UHFFFAOYSA-N 0 0 287.222 2.739 20 5 CFBDRN CCCCNC(=S)Nc1cccc([N+](=O)[O-])c1C ZINC000006329661 619129047 /nfs/dbraw/zinc/12/90/47/619129047.db2.gz FLPVURCVKLCJGR-UHFFFAOYSA-N 0 0 267.354 2.990 20 5 CFBDRN COCC1CC(OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000893636935 619132445 /nfs/dbraw/zinc/13/24/45/619132445.db2.gz USAOPLDKPQPLPV-UHFFFAOYSA-N 0 0 299.710 2.830 20 5 CFBDRN Cn1ccnc1SCc1ccc([N+](=O)[O-])c(F)c1 ZINC000094775513 619137256 /nfs/dbraw/zinc/13/72/56/619137256.db2.gz NMUUHJLVGUBYQQ-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN CCn1nc(C)cc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000726018954 619140880 /nfs/dbraw/zinc/14/08/80/619140880.db2.gz ZJNZQFHTOLZVBP-UHFFFAOYSA-N 0 0 295.320 2.538 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCC(F)(F)C1 ZINC000893647705 619143133 /nfs/dbraw/zinc/14/31/33/619143133.db2.gz XKTLAQQHDPPEPK-MRVPVSSYSA-N 0 0 287.266 2.846 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCCC[C@H]12)c1cccc([N+](=O)[O-])c1 ZINC000893696342 619165200 /nfs/dbraw/zinc/16/52/00/619165200.db2.gz GPIOZXWGJVRGBN-LEWSCRJBSA-N 0 0 274.320 2.903 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(F)(F)C(F)F)n1 ZINC000230715275 619167621 /nfs/dbraw/zinc/16/76/21/619167621.db2.gz IIXOCZXPLBASDG-UHFFFAOYSA-N 0 0 267.182 2.611 20 5 CFBDRN Cn1nccc1[C@H]1CCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000932271231 619238101 /nfs/dbraw/zinc/23/81/01/619238101.db2.gz YHOUPXDOJGHBLQ-OAHLLOKOSA-N 0 0 286.335 2.665 20 5 CFBDRN O=C(NOCc1ccccc1)c1ccc([N+](=O)[O-])cc1F ZINC000054150555 619259360 /nfs/dbraw/zinc/25/93/60/619259360.db2.gz HWOVOGPIYOBNMC-UHFFFAOYSA-N 0 0 290.250 2.596 20 5 CFBDRN CO[C@@]1(C)C[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1(C)C ZINC000159572117 619339769 /nfs/dbraw/zinc/33/97/69/619339769.db2.gz MUQJNDQDUVIBDB-WFASDCNBSA-N 0 0 293.319 2.955 20 5 CFBDRN CC(C)Oc1cccc([C@@H](C)OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000841188710 619342782 /nfs/dbraw/zinc/34/27/82/619342782.db2.gz MHARHYUVMGHORH-LERXQTSPSA-N 0 0 293.319 2.743 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1cccc([N+](=O)[O-])c1 ZINC000748166342 619353302 /nfs/dbraw/zinc/35/33/02/619353302.db2.gz YWCMJURJADORTP-JTQLQIEISA-N 0 0 277.276 2.511 20 5 CFBDRN CC(C)=CCNC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000894395186 619366834 /nfs/dbraw/zinc/36/68/34/619366834.db2.gz ZPNBIUCYFFRUQH-LBPRGKRZSA-N 0 0 291.351 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OS(=O)(=O)c1ccccc1 ZINC000048670837 619367322 /nfs/dbraw/zinc/36/73/22/619367322.db2.gz VIOSSONFSVBNMJ-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC1CC2(CCC2)C1 ZINC000894406826 619372310 /nfs/dbraw/zinc/37/23/10/619372310.db2.gz PEUWTHWZEQGHRE-UHFFFAOYSA-N 0 0 263.297 2.743 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCC1(CSC)CC1 ZINC000894519156 619408035 /nfs/dbraw/zinc/40/80/35/619408035.db2.gz CHKNQCAUGCXWJQ-UHFFFAOYSA-N 0 0 297.380 2.944 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC2(C1)CCCOC2 ZINC000894827385 619475122 /nfs/dbraw/zinc/47/51/22/619475122.db2.gz BPEZHXHBDSIVDI-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC(C(F)F)C1 ZINC000894830360 619475960 /nfs/dbraw/zinc/47/59/60/619475960.db2.gz MBAUULWHDRTHFN-UHFFFAOYSA-N 0 0 276.670 2.945 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2nc(C)ccc2[N+](=O)[O-])C1 ZINC000223001909 619497855 /nfs/dbraw/zinc/49/78/55/619497855.db2.gz HMYMXLZVCALKSV-UWVGGRQHSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1nc2c(s1)CN(c1ccccc1[N+](=O)[O-])C2 ZINC000894903487 619508113 /nfs/dbraw/zinc/50/81/13/619508113.db2.gz UNZROQCGOOOKPQ-UHFFFAOYSA-N 0 0 261.306 2.880 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn3c2NC[C@@H](C)C3)c1 ZINC000894951771 619517493 /nfs/dbraw/zinc/51/74/93/619517493.db2.gz VDPNMCMAYHLJIB-SNVBAGLBSA-N 0 0 287.323 2.905 20 5 CFBDRN CS[C@H]1C[C@H](Nc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000894982382 619521638 /nfs/dbraw/zinc/52/16/38/619521638.db2.gz OCOQVKZPARJVGS-JLIMDTESSA-N 0 0 283.309 2.809 20 5 CFBDRN O=C1OCC[C@@H]1Nc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000894999020 619524607 /nfs/dbraw/zinc/52/46/07/619524607.db2.gz SPICHTXKTWYKPF-QMMMGPOBSA-N 0 0 291.090 2.629 20 5 CFBDRN C[C@@H]1CCC[C@H](C2CN(c3c([N+](=O)[O-])cnn3C)C2)C1 ZINC000895034987 619529866 /nfs/dbraw/zinc/52/98/66/619529866.db2.gz SOYIPAAWINYQOZ-MNOVXSKESA-N 0 0 278.356 2.591 20 5 CFBDRN COC(=O)CC(C)(C)CNc1ccc([N+](=O)[O-])cc1 ZINC000895506735 619542943 /nfs/dbraw/zinc/54/29/43/619542943.db2.gz GZPXFFHQHSKLJM-UHFFFAOYSA-N 0 0 266.297 2.596 20 5 CFBDRN COC(=O)CC1CC(Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000895500706 619543588 /nfs/dbraw/zinc/54/35/88/619543588.db2.gz ODLLGQJNXWRJKB-UHFFFAOYSA-N 0 0 278.308 2.657 20 5 CFBDRN CC(C)C(C)(C)CSc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000895536802 619550205 /nfs/dbraw/zinc/55/02/05/619550205.db2.gz IWEHUCZUMPEEPA-UHFFFAOYSA-N 0 0 297.380 2.863 20 5 CFBDRN CC(C)(C)OC[C@H]1CCCN1c1ncc([N+](=O)[O-])cc1F ZINC000895653804 619576107 /nfs/dbraw/zinc/57/61/07/619576107.db2.gz ZZXOAOQUHRPWDU-SNVBAGLBSA-N 0 0 297.330 2.913 20 5 CFBDRN CC(C)(C)OC[C@H]1CCCN1c1ncccc1[N+](=O)[O-] ZINC000895656655 619576759 /nfs/dbraw/zinc/57/67/59/619576759.db2.gz MGEFGHUKHBPCEA-LLVKDONJSA-N 0 0 279.340 2.774 20 5 CFBDRN C[C@@H]1[C@H](Nc2nc3ccccc3cc2[N+](=O)[O-])CC[N@@H+]1C ZINC000895735257 619596547 /nfs/dbraw/zinc/59/65/47/619596547.db2.gz CGIAVAADMWBGPB-ZYHUDNBSSA-N 0 0 286.335 2.648 20 5 CFBDRN C[C@@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000895758099 619600697 /nfs/dbraw/zinc/60/06/97/619600697.db2.gz BXARSPZKTQOUMJ-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@@H](C)CC1 ZINC000895757819 619600795 /nfs/dbraw/zinc/60/07/95/619600795.db2.gz GFFNECFDAGPODY-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc(N2CCO[C@@H](C)CC2)c([N+](=O)[O-])c1 ZINC000895759143 619601225 /nfs/dbraw/zinc/60/12/25/619601225.db2.gz XOHRKMSHKYPNLE-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN C[C@H]1CCN(c2nc3ccccc3cc2[N+](=O)[O-])CCO1 ZINC000895759741 619601543 /nfs/dbraw/zinc/60/15/43/619601543.db2.gz JTWADHQMQBFHLB-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](c2ccccn2)C2CC2)nc1 ZINC000895796146 619610423 /nfs/dbraw/zinc/61/04/23/619610423.db2.gz YTMBYXAZSAPGIS-CQSZACIVSA-N 0 0 270.292 2.948 20 5 CFBDRN CC(C)OC1CN(C/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000895952427 619643367 /nfs/dbraw/zinc/64/33/67/619643367.db2.gz NIDZHVMFFVZEGU-ALCCZGGFSA-N 0 0 276.336 2.717 20 5 CFBDRN CCC[C@@H](C)c1noc(C(C)(C)n2cc([N+](=O)[O-])cn2)n1 ZINC000896055557 619662615 /nfs/dbraw/zinc/66/26/15/619662615.db2.gz AHJXUJDBQDEUBP-SECBINFHSA-N 0 0 293.327 2.861 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC000896079450 619668613 /nfs/dbraw/zinc/66/86/13/619668613.db2.gz LOWWDKLHWSMOQE-AXFHLTTASA-N 0 0 278.283 2.737 20 5 CFBDRN Nc1ccc(NC[C@@H]2C[C@H]2C(F)(F)F)c([N+](=O)[O-])c1 ZINC000896091501 619671448 /nfs/dbraw/zinc/67/14/48/619671448.db2.gz WJYXSJVRAAMYKX-POYBYMJQSA-N 0 0 275.230 2.787 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CCCC2(CCC2)O1 ZINC000896167440 619687543 /nfs/dbraw/zinc/68/75/43/619687543.db2.gz HAOLAZVGZDHUBY-LLVKDONJSA-N 0 0 277.324 2.894 20 5 CFBDRN CCC[C@H](O)CCNc1ncc([N+](=O)[O-])cc1Cl ZINC000896182282 619688271 /nfs/dbraw/zinc/68/82/71/619688271.db2.gz HMXVEJCZVNKTAB-VIFPVBQESA-N 0 0 273.720 2.606 20 5 CFBDRN C[C@@]1(CNc2cc(F)c([N+](=O)[O-])cc2CO)CCCCO1 ZINC000896179950 619689097 /nfs/dbraw/zinc/68/90/97/619689097.db2.gz BUBGUQNMGZURCO-AWEZNQCLSA-N 0 0 298.314 2.597 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@]1(C)CCCCO1 ZINC000896184632 619689767 /nfs/dbraw/zinc/68/97/67/619689767.db2.gz NLOYOGPFQBJQNO-ZDUSSCGKSA-N 0 0 265.313 2.669 20 5 CFBDRN CCC[C@H](O)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000896186946 619690589 /nfs/dbraw/zinc/69/05/89/619690589.db2.gz SYCUUACOLYYEAC-VIFPVBQESA-N 0 0 256.277 2.697 20 5 CFBDRN CCC[C@@H](O)CCNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000896186581 619690644 /nfs/dbraw/zinc/69/06/44/619690644.db2.gz PUQXGJSTVSAVHX-GFCCVEGCSA-N 0 0 280.324 2.760 20 5 CFBDRN CCC[C@H](O)CCNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000896186501 619690662 /nfs/dbraw/zinc/69/06/62/619690662.db2.gz JIOQZLMIRFWDRL-VIFPVBQESA-N 0 0 286.303 2.706 20 5 CFBDRN Cc1cc(N2CCn3nc(C4CC4)cc3C2)ccc1[N+](=O)[O-] ZINC000896219928 619696336 /nfs/dbraw/zinc/69/63/36/619696336.db2.gz JALPXQASQXPNNQ-UHFFFAOYSA-N 0 0 298.346 2.997 20 5 CFBDRN Cc1cnc(N[C@H]2CCS[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000896244264 619699535 /nfs/dbraw/zinc/69/95/35/619699535.db2.gz HAFJNZDDVBNYGH-UWVGGRQHSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)CCS1 ZINC000896244933 619699826 /nfs/dbraw/zinc/69/98/26/619699826.db2.gz KKVLSCJYBQPECS-RKDXNWHRSA-N 0 0 253.327 2.686 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])s2)CCS1 ZINC000896246386 619700424 /nfs/dbraw/zinc/70/04/24/619700424.db2.gz DNVXAAXKSPPILC-NKWVEPMBSA-N 0 0 259.356 2.747 20 5 CFBDRN CCO[C@H]1CCN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000896405479 619730132 /nfs/dbraw/zinc/73/01/32/619730132.db2.gz ZUCRQLMXDRPBOM-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCO[C@H]1CCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000896405295 619730297 /nfs/dbraw/zinc/73/02/97/619730297.db2.gz QTXMOXACXQDJIR-VIFPVBQESA-N 0 0 256.327 2.580 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CC2)[C@H]2CCCOC2)c(F)c1 ZINC000896435258 619733463 /nfs/dbraw/zinc/73/34/63/619733463.db2.gz KDKGOBGCJZFHBH-GWCFXTLKSA-N 0 0 295.314 2.746 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCc3cccnc32)s1 ZINC000896419807 619733611 /nfs/dbraw/zinc/73/36/11/619733611.db2.gz WBUMGRCUBFBSRT-VIFPVBQESA-N 0 0 276.321 2.936 20 5 CFBDRN CC(C)[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1cnn(C)c1 ZINC000896422522 619734685 /nfs/dbraw/zinc/73/46/85/619734685.db2.gz KAMIRHCLJWEKHA-CYBMUJFWSA-N 0 0 275.312 2.533 20 5 CFBDRN Cn1nc(C2CC2)cc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000896513075 619748163 /nfs/dbraw/zinc/74/81/63/619748163.db2.gz ZZYJRPOGYJLBIM-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cccc2c1OCC2 ZINC000896493130 619748167 /nfs/dbraw/zinc/74/81/67/619748167.db2.gz MBGPPIZPXSZVEU-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN Cn1nc(C2CC2)cc1CNc1ccc([N+](=O)[O-])cc1F ZINC000896504151 619752034 /nfs/dbraw/zinc/75/20/34/619752034.db2.gz VWGITIQZHYYSES-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN Cc1cc(N[C@H]2c3ccccc3CC[C@H]2O)ncc1[N+](=O)[O-] ZINC000896672090 619785485 /nfs/dbraw/zinc/78/54/85/619785485.db2.gz PDSQSWSJEMAZOV-ZBFHGGJFSA-N 0 0 299.330 2.759 20 5 CFBDRN CC1(C)CC[C@H](CCNc2ccc([N+](=O)[O-])cn2)OC1 ZINC000896790396 619819199 /nfs/dbraw/zinc/81/91/99/619819199.db2.gz PYRDTELSEFGCKY-GFCCVEGCSA-N 0 0 279.340 2.997 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2CC[C@@H](C3CC3)O2)c1 ZINC000896800412 619821602 /nfs/dbraw/zinc/82/16/02/619821602.db2.gz GOPVRQIZGOYMPL-FZMZJTMJSA-N 0 0 277.324 2.547 20 5 CFBDRN CCCc1cc(C(=O)OCc2csc([N+](=O)[O-])c2)n[nH]1 ZINC000108792015 619823633 /nfs/dbraw/zinc/82/36/33/619823633.db2.gz ONHSZVJPHPAAHH-UHFFFAOYSA-N 0 0 295.320 2.689 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCC1(C2(O)CCC2)CC1 ZINC000896807441 619824788 /nfs/dbraw/zinc/82/47/88/619824788.db2.gz DYYKKLFBLMKTKE-UHFFFAOYSA-N 0 0 280.299 2.841 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000796010483 619855087 /nfs/dbraw/zinc/85/50/87/619855087.db2.gz ULDLNYUTCMXYBM-RNCFNFMXSA-N 0 0 299.298 2.838 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)CCC(F)F ZINC000796022453 619856399 /nfs/dbraw/zinc/85/63/99/619856399.db2.gz RHYSJENNJNKGES-UHFFFAOYSA-N 0 0 289.234 2.692 20 5 CFBDRN C[C@H]1C(=O)CC[C@H]1CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000796232019 619863103 /nfs/dbraw/zinc/86/31/03/619863103.db2.gz KQYKCRXXPWOVCP-SCZZXKLOSA-N 0 0 297.332 2.705 20 5 CFBDRN C[C@]12CN(c3cccnc3[N+](=O)[O-])C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000896898229 619869208 /nfs/dbraw/zinc/86/92/08/619869208.db2.gz KKSBFXOMJIBLDJ-OZTPJHRESA-N 0 0 271.320 2.638 20 5 CFBDRN COC(=O)[C@H]1C[C@@H]2C[C@H](Nc3ccc([N+](=O)[O-])s3)[C@@H]2C1 ZINC000897011264 619914188 /nfs/dbraw/zinc/91/41/88/619914188.db2.gz ZNEBOHGNNJPRJF-RGOKHQFPSA-N 0 0 296.348 2.656 20 5 CFBDRN C[C@H](CNC(=O)N1CC[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897049377 619919737 /nfs/dbraw/zinc/91/97/37/619919737.db2.gz DYTZXCLJNHAVFT-GHMZBOCLSA-N 0 0 277.324 2.502 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCSC(C)(C)C2)c1[N+](=O)[O-] ZINC000897060729 619922162 /nfs/dbraw/zinc/92/21/62/619922162.db2.gz NGIYGJGUGYKTEB-SECBINFHSA-N 0 0 298.412 2.977 20 5 CFBDRN C[C@H](CNC(=O)N1[C@@H](C)C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897063721 619923624 /nfs/dbraw/zinc/92/36/24/619923624.db2.gz PWUUZRCLTYYSRC-WOPDTQHZSA-N 0 0 291.351 2.891 20 5 CFBDRN C[C@@H](CNC(=O)N1CCC[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897068280 619924970 /nfs/dbraw/zinc/92/49/70/619924970.db2.gz TUDHHRKMQXOIRD-RYUDHWBXSA-N 0 0 291.351 2.892 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000897148936 619940129 /nfs/dbraw/zinc/94/01/29/619940129.db2.gz LNCWPCCUQWMJOD-RYUDHWBXSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000897143640 619940620 /nfs/dbraw/zinc/94/06/20/619940620.db2.gz DBQZUIODTDXFBH-PWSUYJOCSA-N 0 0 270.716 2.862 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897177390 619944799 /nfs/dbraw/zinc/94/47/99/619944799.db2.gz OUNVHLGXMADRBG-FBIMIBRVSA-N 0 0 263.297 2.599 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2C[C@H]3CCC[C@@H]32)cc1F ZINC000897176934 619945012 /nfs/dbraw/zinc/94/50/12/619945012.db2.gz HBYXJXLPHPNOJK-DFAYQTQMSA-N 0 0 280.299 2.827 20 5 CFBDRN O=c1[nH]ccc(NCC[C@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000897236404 619956251 /nfs/dbraw/zinc/95/62/51/619956251.db2.gz IIYCKLDODWGAJW-MRVPVSSYSA-N 0 0 287.266 2.933 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CCCC(C)(C)O1 ZINC000897254520 619960175 /nfs/dbraw/zinc/96/01/75/619960175.db2.gz QSGQVGDMKBPEJO-LLVKDONJSA-N 0 0 296.371 2.879 20 5 CFBDRN CC1(C)CCC[C@H](CNc2cc(N)ccc2[N+](=O)[O-])O1 ZINC000897254745 619960319 /nfs/dbraw/zinc/96/03/19/619960319.db2.gz UVNNNJOBWZYFIL-LLVKDONJSA-N 0 0 279.340 2.937 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OCCCCCO ZINC000909590701 619966684 /nfs/dbraw/zinc/96/66/84/619966684.db2.gz FJOUQGGPMHYGBT-UHFFFAOYSA-N 0 0 299.348 2.636 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OCC(F)F ZINC000909594076 619967310 /nfs/dbraw/zinc/96/73/10/619967310.db2.gz OIUVJOQXDRELNZ-UHFFFAOYSA-N 0 0 277.248 2.739 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(F)(F)C2)c1C ZINC000897312063 619978687 /nfs/dbraw/zinc/97/86/87/619978687.db2.gz VGUORYBLDQIBSK-UHFFFAOYSA-N 0 0 284.262 2.693 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000088312288 619981047 /nfs/dbraw/zinc/98/10/47/619981047.db2.gz UHHLSFMOWMUKND-UWVGGRQHSA-N 0 0 279.340 2.732 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000310689456 619986862 /nfs/dbraw/zinc/98/68/62/619986862.db2.gz NJGGSSGSAOTCBZ-RDDDGLTNSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1cc(CSc2cc(C)c([N+](=O)[O-])cn2)no1 ZINC000897334024 619998655 /nfs/dbraw/zinc/99/86/55/619998655.db2.gz FQWFEHFKFHUZSM-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC(Cc2ccoc2)C1 ZINC000897348375 620010991 /nfs/dbraw/zinc/01/09/91/620010991.db2.gz DTBNQAVTWCCKKL-UHFFFAOYSA-N 0 0 293.710 2.915 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C=C(C)C ZINC000022747309 620020930 /nfs/dbraw/zinc/02/09/30/620020930.db2.gz FSASQJIZNNWARF-UHFFFAOYSA-N 0 0 265.265 2.613 20 5 CFBDRN Cc1cccc(C(=O)NC[C@]2(C)CCCCO2)c1[N+](=O)[O-] ZINC000832507753 625388828 /nfs/dbraw/zinc/38/88/28/625388828.db2.gz JGXBHLDYWQRLRB-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000925242671 620064384 /nfs/dbraw/zinc/06/43/84/620064384.db2.gz AUSLGVGASNXMCL-SKDRFNHKSA-N 0 0 275.308 2.508 20 5 CFBDRN CC1(C)CCC[C@@H]1OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000799455172 620109477 /nfs/dbraw/zinc/10/94/77/620109477.db2.gz GECRTWQKAWNEHY-LBPRGKRZSA-N 0 0 278.308 2.913 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(C2CC2)CC1 ZINC000888117156 620121370 /nfs/dbraw/zinc/12/13/70/620121370.db2.gz YIUPLPQNMDSJOE-UHFFFAOYSA-N 0 0 274.320 2.743 20 5 CFBDRN Cc1nnc(COc2cc(Cl)ccc2[N+](=O)[O-])o1 ZINC000109744444 620146203 /nfs/dbraw/zinc/14/62/03/620146203.db2.gz FKOZLGCEBCZVLH-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN CN(Cc1ccc(Cl)cc1)Cn1nccc1[N+](=O)[O-] ZINC000054426636 620156054 /nfs/dbraw/zinc/15/60/54/620156054.db2.gz FUIMKDJFPPPXQR-UHFFFAOYSA-N 0 0 280.715 2.534 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1csc([N+](=O)[O-])c1 ZINC000889587978 620169786 /nfs/dbraw/zinc/16/97/86/620169786.db2.gz SFRHRRSKTLXHBE-SZEHBUNVSA-N 0 0 266.322 2.575 20 5 CFBDRN Cc1cc(N[C@H]2COC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897445296 620173658 /nfs/dbraw/zinc/17/36/58/620173658.db2.gz FIRAHVNFMVFXQJ-STQMWFEESA-N 0 0 262.309 2.740 20 5 CFBDRN COc1cc(N[C@@H]2COC[C@@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000897446343 620175195 /nfs/dbraw/zinc/17/51/95/620175195.db2.gz FLTQGLUWXNZZOB-BXKDBHETSA-N 0 0 296.298 2.579 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ccncc2C)c1C ZINC000897458224 620176334 /nfs/dbraw/zinc/17/63/34/620176334.db2.gz UZSLYIIUBXXLPZ-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)c1 ZINC000889591092 620183118 /nfs/dbraw/zinc/18/31/18/620183118.db2.gz OEUPKTXYNUUZBS-SUZMYJTESA-N 0 0 290.319 2.522 20 5 CFBDRN CC1(C)C(C)(C)C1(C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000897477591 620183438 /nfs/dbraw/zinc/18/34/38/620183438.db2.gz COYXZSCITKFXJF-UHFFFAOYSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1CC(C)C ZINC000064332459 620185824 /nfs/dbraw/zinc/18/58/24/620185824.db2.gz ATYDWHWJFLYGFM-UHFFFAOYSA-N 0 0 262.107 2.518 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1ccc([N+](=O)[O-])cc1F)C2 ZINC000897486091 620187735 /nfs/dbraw/zinc/18/77/35/620187735.db2.gz LFFHNKTYVSWZSE-RNCFNFMXSA-N 0 0 282.340 2.923 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1N ZINC000224208580 620191639 /nfs/dbraw/zinc/19/16/39/620191639.db2.gz QNFWAZQAHCTIQV-MRVPVSSYSA-N 0 0 252.270 2.522 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NC/C=C/Cl ZINC000897502950 620193551 /nfs/dbraw/zinc/19/35/51/620193551.db2.gz IRGIEADGZMIVSB-NSCUHMNNSA-N 0 0 284.625 2.512 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCCC[C@H]([C@@H]2CCOC2)C1 ZINC000897561660 620247334 /nfs/dbraw/zinc/24/73/34/620247334.db2.gz AMMLHNWCOKNPTQ-QWHCGFSZSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCC[C@@H]([C@H]2CCOC2)C1 ZINC000897569636 620249126 /nfs/dbraw/zinc/24/91/26/620249126.db2.gz SEGUQEVOYYTQJP-OLZOCXBDSA-N 0 0 291.351 2.633 20 5 CFBDRN COC(=O)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000786813777 620260047 /nfs/dbraw/zinc/26/00/47/620260047.db2.gz MRTCQKXOIJPOOI-WPRPVWTQSA-N 0 0 298.726 2.636 20 5 CFBDRN CCN(CC)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929141155 620267385 /nfs/dbraw/zinc/26/73/85/620267385.db2.gz MRBZOXMFOOIOGD-STQMWFEESA-N 0 0 262.309 2.567 20 5 CFBDRN COc1c(O)ccc(C[N@@H+]2C[C@@H]3CCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000898071350 620333191 /nfs/dbraw/zinc/33/31/91/620333191.db2.gz WAIIJNAQPWTZAB-PHIMTYICSA-N 0 0 292.335 2.541 20 5 CFBDRN COc1ccc(C(=O)N2CCC=C(Cl)C2)cc1[N+](=O)[O-] ZINC000898189664 620350118 /nfs/dbraw/zinc/35/01/18/620350118.db2.gz AEUVUAJRZHOAPS-UHFFFAOYSA-N 0 0 296.710 2.572 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC=C(Cl)C1 ZINC000898190154 620350236 /nfs/dbraw/zinc/35/02/36/620350236.db2.gz OXWMYMDFDPDALR-UHFFFAOYSA-N 0 0 296.710 2.572 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC=C(Cl)C2)c1 ZINC000898191598 620350655 /nfs/dbraw/zinc/35/06/55/620350655.db2.gz QCXCXFYTDZTYJD-UHFFFAOYSA-N 0 0 296.710 2.572 20 5 CFBDRN O=C(OC[C@H]1CC1(Cl)Cl)c1ccccc1[N+](=O)[O-] ZINC000078301234 620447675 /nfs/dbraw/zinc/44/76/75/620447675.db2.gz ZEHIFZNGELEZNW-SSDOTTSWSA-N 0 0 290.102 2.945 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)c1[N+](=O)[O-] ZINC000899418708 620562213 /nfs/dbraw/zinc/56/22/13/620562213.db2.gz UMOVDKQRXRMQBQ-WVWOOGAGSA-N 0 0 288.347 2.925 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000899419089 620562512 /nfs/dbraw/zinc/56/25/12/620562512.db2.gz WKXWRUYMZCEOKY-VCDKRKBESA-N 0 0 274.320 2.617 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000899419088 620562600 /nfs/dbraw/zinc/56/26/00/620562600.db2.gz WKXWRUYMZCEOKY-URBCHYCLSA-N 0 0 274.320 2.617 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000899415254 620566358 /nfs/dbraw/zinc/56/63/58/620566358.db2.gz TYRWKMJOMPRFLZ-HZQMYPQZSA-N 0 0 280.349 2.678 20 5 CFBDRN O=[N+]([O-])CCSc1nc(C2CC2)n(-c2ccccc2)n1 ZINC000899862186 620606693 /nfs/dbraw/zinc/60/66/93/620606693.db2.gz VIDLUXHKLGZVRN-UHFFFAOYSA-N 0 0 290.348 2.514 20 5 CFBDRN O=[N+]([O-])CCSc1nnc(C2CC2)n1-c1ccccc1 ZINC000899861128 620606729 /nfs/dbraw/zinc/60/67/29/620606729.db2.gz DUBUTBUJKHXAJS-UHFFFAOYSA-N 0 0 290.348 2.514 20 5 CFBDRN CC(C)c1cnc(SCC[N+](=O)[O-])n1C(C)C ZINC000899878968 620608226 /nfs/dbraw/zinc/60/82/26/620608226.db2.gz YEGYFMVIANHDAW-UHFFFAOYSA-N 0 0 257.359 2.956 20 5 CFBDRN Cc1nnc(SCC[N+](=O)[O-])n1[C@@H]1CCC[C@@H](C)C1 ZINC000899881558 620608846 /nfs/dbraw/zinc/60/88/46/620608846.db2.gz KZZHESLVJOJOTR-MWLCHTKSSA-N 0 0 284.385 2.707 20 5 CFBDRN O=C(c1cc(Cl)c(F)c([N+](=O)[O-])c1)N1CCCC1 ZINC000899907859 620611695 /nfs/dbraw/zinc/61/16/95/620611695.db2.gz OBPSOHMNNFVKMC-UHFFFAOYSA-N 0 0 272.663 2.623 20 5 CFBDRN COC/C(C)=C/COc1ccc([N+](=O)[O-])c(F)c1 ZINC000901475342 620825183 /nfs/dbraw/zinc/82/51/83/620825183.db2.gz UIRXNIJILNDWDW-WEVVVXLNSA-N 0 0 255.245 2.705 20 5 CFBDRN COC/C(C)=C\COc1ccc([N+](=O)[O-])c(F)c1 ZINC000901475341 620825273 /nfs/dbraw/zinc/82/52/73/620825273.db2.gz UIRXNIJILNDWDW-UITAMQMPSA-N 0 0 255.245 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2C[C@@H]3COC[C@H]3O2)c(F)c1 ZINC000901534779 620848625 /nfs/dbraw/zinc/84/86/25/620848625.db2.gz LFVVSLNZSYNLCW-UBHAPETDSA-N 0 0 299.323 2.630 20 5 CFBDRN CC1=NO[C@](C)(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000901517655 620854204 /nfs/dbraw/zinc/85/42/04/620854204.db2.gz NPECSBXYVVNZSV-LBPRGKRZSA-N 0 0 297.698 2.742 20 5 CFBDRN CC1=NO[C@](C)(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000901519089 620854654 /nfs/dbraw/zinc/85/46/54/620854654.db2.gz WOMKRWYPIFCIAK-AWEZNQCLSA-N 0 0 291.307 2.705 20 5 CFBDRN COC/C(C)=C/COc1cc([N+](=O)[O-])c(F)cc1F ZINC000901575359 620867322 /nfs/dbraw/zinc/86/73/22/620867322.db2.gz NJNGFPNEOPTUNG-FPYGCLRLSA-N 0 0 273.235 2.845 20 5 CFBDRN CC(C)OCCCOc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000901698816 620897724 /nfs/dbraw/zinc/89/77/24/620897724.db2.gz CEHCBMGLMVKJMU-UHFFFAOYSA-N 0 0 297.307 2.560 20 5 CFBDRN CCCCC[C@H]([NH2+]Cc1csc([N+](=O)[O-])c1)C(=O)[O-] ZINC000901724533 620901139 /nfs/dbraw/zinc/90/11/39/620901139.db2.gz AWYCBWLMHYHVFM-JTQLQIEISA-N 0 0 286.353 2.779 20 5 CFBDRN O=C([O-])[C@@H](CC1CC1)[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000901718435 620905953 /nfs/dbraw/zinc/90/59/53/620905953.db2.gz QRARZEYYICDLNS-GFCCVEGCSA-N 0 0 298.726 2.591 20 5 CFBDRN O=C([O-])C1(C[NH2+]Cc2ccccc2[N+](=O)[O-])CCCCC1 ZINC000901783107 620914514 /nfs/dbraw/zinc/91/45/14/620914514.db2.gz BOZXPWWIKXOPPU-UHFFFAOYSA-N 0 0 292.335 2.720 20 5 CFBDRN Cc1ccccc1OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000042486907 620916128 /nfs/dbraw/zinc/91/61/28/620916128.db2.gz FIHYLNFPVYLPDU-UHFFFAOYSA-N 0 0 272.260 2.705 20 5 CFBDRN Cc1c(CSCCO[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000901838689 620925722 /nfs/dbraw/zinc/92/57/22/620925722.db2.gz WAHXGDLHHZJFLE-ZDUSSCGKSA-N 0 0 297.376 2.942 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCc2ccsc2)c1 ZINC000901838118 620925786 /nfs/dbraw/zinc/92/57/86/620925786.db2.gz BWOOTCCOVDOFCD-UHFFFAOYSA-N 0 0 269.351 2.786 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC[C@H]1C[C@]12CCOC2 ZINC000901923203 620936313 /nfs/dbraw/zinc/93/63/13/620936313.db2.gz SKBNSTSXQUMPMW-RISCZKNCSA-N 0 0 262.309 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CCc1ccns1 ZINC000901934662 620939242 /nfs/dbraw/zinc/93/92/42/620939242.db2.gz XQWYMODTRMWSSK-UHFFFAOYSA-N 0 0 275.333 2.657 20 5 CFBDRN C[C@@H]1C[C@@H]([NH2+]Cc2ccccc2[N+](=O)[O-])CC[C@@H]1C(=O)[O-] ZINC000902097294 620978545 /nfs/dbraw/zinc/97/85/45/620978545.db2.gz XBAPJPNPUFONLQ-WXHSDQCUSA-N 0 0 292.335 2.574 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSCc2nncs2)c1 ZINC000902294410 621017422 /nfs/dbraw/zinc/01/74/22/621017422.db2.gz FPCRNNZMXPBLKC-UHFFFAOYSA-N 0 0 297.361 2.759 20 5 CFBDRN CCc1nc(CSCc2cn(C)nc2[N+](=O)[O-])cs1 ZINC000902297980 621024958 /nfs/dbraw/zinc/02/49/58/621024958.db2.gz SKTWZUSJUUHCTP-UHFFFAOYSA-N 0 0 298.393 2.781 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OC[C@@H]2C[C@]23CCOC3)c1 ZINC000902298891 621025665 /nfs/dbraw/zinc/02/56/65/621025665.db2.gz WDSAKFUCERQEHE-ZANVPECISA-N 0 0 267.256 2.539 20 5 CFBDRN Cc1ccc(OC[C@H]2C[C@]23CCOC3)cc1[N+](=O)[O-] ZINC000902309384 621028940 /nfs/dbraw/zinc/02/89/40/621028940.db2.gz MEEWWJXGZIKYKP-RISCZKNCSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1nn(Cc2c(F)ccc(C)c2F)cc1[N+](=O)[O-] ZINC000902325605 621036816 /nfs/dbraw/zinc/03/68/16/621036816.db2.gz RNGHAJHCACDOII-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSCC[C@@H]1CCCO1 ZINC000902374097 621045859 /nfs/dbraw/zinc/04/58/59/621045859.db2.gz WCJFJHHIMDSTSR-NSHDSACASA-N 0 0 268.338 2.792 20 5 CFBDRN C=C/C=C\CCSCc1cn(C)nc1[N+](=O)[O-] ZINC000902453170 621093310 /nfs/dbraw/zinc/09/33/10/621093310.db2.gz QGZGUWGTLOVGIM-PLNGDYQASA-N 0 0 253.327 2.694 20 5 CFBDRN C[N@H+](CCc1cccc([N+](=O)[O-])c1)CCC(C)(C)C(=O)[O-] ZINC000902457792 621094774 /nfs/dbraw/zinc/09/47/74/621094774.db2.gz WKQUIZFZMMSHNN-UHFFFAOYSA-N 0 0 294.351 2.570 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(C[C@@H]2C[C@]23CCOC3)c1 ZINC000902490902 621102226 /nfs/dbraw/zinc/10/22/26/621102226.db2.gz IHCSKWVZFOWNTJ-BBRMVZONSA-N 0 0 299.330 2.885 20 5 CFBDRN Cc1noc(CSC[C@@H]2C[C@@]23CCOC3)c1[N+](=O)[O-] ZINC000902543298 621114581 /nfs/dbraw/zinc/11/45/81/621114581.db2.gz SEBOFWLZJMQKSA-JOYOIKCWSA-N 0 0 284.337 2.551 20 5 CFBDRN CCn1cc(CCOc2c(F)cc(F)cc2[N+](=O)[O-])cn1 ZINC000902696722 621149083 /nfs/dbraw/zinc/14/90/83/621149083.db2.gz HEXLJJPYYJCIOC-UHFFFAOYSA-N 0 0 297.261 2.711 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCC[C@@H]1CCCO1 ZINC000902697381 621149104 /nfs/dbraw/zinc/14/91/04/621149104.db2.gz WOVJZFHVIXGYJS-VIFPVBQESA-N 0 0 273.235 2.821 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OC[C@H]1C[C@]12CCOC2 ZINC000902696894 621149287 /nfs/dbraw/zinc/14/92/87/621149287.db2.gz KQWIJJSEBDOYDO-OQPBUACISA-N 0 0 285.246 2.678 20 5 CFBDRN COC1(COc2c(F)cc(F)cc2[N+](=O)[O-])CCC1 ZINC000902696999 621149289 /nfs/dbraw/zinc/14/92/89/621149289.db2.gz OUSNKUQGHUEEDN-UHFFFAOYSA-N 0 0 273.235 2.821 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CCO[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000902723086 621157723 /nfs/dbraw/zinc/15/77/23/621157723.db2.gz OHQBXAYGIHBGED-RISCZKNCSA-N 0 0 291.303 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC[C@H](F)C1 ZINC000902999602 621225156 /nfs/dbraw/zinc/22/51/56/621225156.db2.gz BDAIGXHFXPUSHB-NSHDSACASA-N 0 0 256.252 2.668 20 5 CFBDRN C[C@H]1CCC(C)(C)N1S(=O)(=O)c1ccccc1[N+](=O)[O-] ZINC000903146110 621267936 /nfs/dbraw/zinc/26/79/36/621267936.db2.gz SLQRZPPIJBCVAJ-JTQLQIEISA-N 0 0 298.364 2.546 20 5 CFBDRN CCc1ccc2c(Cn3cnc([N+](=O)[O-])c3)cc(=O)oc2c1 ZINC000903518342 621395530 /nfs/dbraw/zinc/39/55/30/621395530.db2.gz KCBFVNIGRRKNTR-UHFFFAOYSA-N 0 0 299.286 2.508 20 5 CFBDRN Cc1ccc(-c2noc([C@@H]3[C@@H]4CCC(=O)[C@H]34)n2)cc1[N+](=O)[O-] ZINC000903643352 621410822 /nfs/dbraw/zinc/41/08/22/621410822.db2.gz WJSBMKSDGSVDHG-OASPWFOLSA-N 0 0 299.286 2.646 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC000903630732 621411452 /nfs/dbraw/zinc/41/14/52/621411452.db2.gz BQUXNLNPZBKNND-NQBHXWOUSA-N 0 0 292.335 2.698 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC000903630733 621411697 /nfs/dbraw/zinc/41/16/97/621411697.db2.gz BQUXNLNPZBKNND-NTZNESFSSA-N 0 0 292.335 2.698 20 5 CFBDRN O=C([O-])c1ccc([N+](=O)[O-])c(C[N@@H+]2CC[C@@H]3CCC[C@@H]32)c1 ZINC000903957389 621479199 /nfs/dbraw/zinc/47/91/99/621479199.db2.gz NFDTVFRITZCCMA-GWCFXTLKSA-N 0 0 290.319 2.667 20 5 CFBDRN CCO[C@@H](c1noc(-c2ccc([N+](=O)[O-])n2C)n1)C(C)C ZINC000904120302 621526990 /nfs/dbraw/zinc/52/69/90/621526990.db2.gz WJOPFEDBGQEKLN-LLVKDONJSA-N 0 0 294.311 2.717 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCO[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000904187487 621538958 /nfs/dbraw/zinc/53/89/58/621538958.db2.gz KVPGYOYGRRIBCL-OCCSQVGLSA-N 0 0 290.319 2.657 20 5 CFBDRN Nc1c(Cl)cc(-c2nc(CC3CC3)no2)cc1[N+](=O)[O-] ZINC000904608836 621615495 /nfs/dbraw/zinc/61/54/95/621615495.db2.gz RUZKDLHOFAFPGS-UHFFFAOYSA-N 0 0 294.698 2.833 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc2c(s1)COCC2 ZINC000904798750 621649988 /nfs/dbraw/zinc/64/99/88/621649988.db2.gz FOTVNVIFKCIVQV-UHFFFAOYSA-N 0 0 280.280 2.930 20 5 CFBDRN COc1ccc(OC(=O)[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000904853268 621659451 /nfs/dbraw/zinc/65/94/51/621659451.db2.gz XYZJJHUZLSHLGT-YMTOWFKASA-N 0 0 277.276 2.699 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000832747341 625506021 /nfs/dbraw/zinc/50/60/21/625506021.db2.gz UEDHIHLCZHJODN-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC000905032309 621683675 /nfs/dbraw/zinc/68/36/75/621683675.db2.gz HHDYTUQGCXYYSL-GWCFXTLKSA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@H](C)NC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905143287 621709835 /nfs/dbraw/zinc/70/98/35/621709835.db2.gz YWVVMIFUTZGOBD-VHSXEESVSA-N 0 0 265.313 2.754 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2C[C@]23CCOC3)c(F)cc1F ZINC000905236389 621731404 /nfs/dbraw/zinc/73/14/04/621731404.db2.gz FRHYLGRDYFRWLC-OQPBUACISA-N 0 0 285.246 2.678 20 5 CFBDRN O=[N+]([O-])CCNc1cccc(CSC2CCOCC2)c1 ZINC000905320525 621741053 /nfs/dbraw/zinc/74/10/53/621741053.db2.gz AJSLWGSNLQXBAN-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN O=[N+]([O-])CCNc1cccc(COCc2ccco2)c1 ZINC000905308995 621747821 /nfs/dbraw/zinc/74/78/21/621747821.db2.gz RHIFGBLQMMDBPG-UHFFFAOYSA-N 0 0 276.292 2.685 20 5 CFBDRN C[C@H](NC(=O)C[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000833029197 625543371 /nfs/dbraw/zinc/54/33/71/625543371.db2.gz ACIXFASCQFPMCF-RYUDHWBXSA-N 0 0 292.335 2.589 20 5 CFBDRN CCC(=O)Oc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000907643313 622145941 /nfs/dbraw/zinc/14/59/41/622145941.db2.gz OXDUMXNAGSDOSV-UHFFFAOYSA-N 0 0 263.171 2.929 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000833095182 625547535 /nfs/dbraw/zinc/54/75/35/625547535.db2.gz WFDNSXILNMADAB-ZDUSSCGKSA-N 0 0 294.351 2.523 20 5 CFBDRN CCO[C@@H]1[C@@H](C)[C@@H]1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000908268886 622210196 /nfs/dbraw/zinc/21/01/96/622210196.db2.gz PRGFDJKMNNMFRB-VHRBIJSZSA-N 0 0 292.335 2.821 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(Cl)c(F)c([N+](=O)[O-])c2)[C@@H]1C ZINC000908433280 622229533 /nfs/dbraw/zinc/22/95/33/622229533.db2.gz IKHSEIFKGFUDPG-RNFRBKRXSA-N 0 0 286.690 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H]2C(F)F)c1C ZINC000908507607 622240659 /nfs/dbraw/zinc/24/06/59/622240659.db2.gz YGQOLDSQTJWAHD-SNVBAGLBSA-N 0 0 284.262 2.691 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000908665103 622257179 /nfs/dbraw/zinc/25/71/79/622257179.db2.gz ILIKLNCYWWPMJV-YFHOEESVSA-N 0 0 279.292 2.653 20 5 CFBDRN Cc1nnsc1[C@H](C)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000908693332 622261668 /nfs/dbraw/zinc/26/16/68/622261668.db2.gz KFBHFFBJRKYXAE-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN Cc1nnsc1[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000908827029 622278689 /nfs/dbraw/zinc/27/86/89/622278689.db2.gz QSNOIWRQXHTWMT-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN COC/C(C)=C/COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000908966462 622290902 /nfs/dbraw/zinc/29/09/02/622290902.db2.gz LMWHOLCIUUSYON-UXBLZVDNSA-N 0 0 279.292 2.653 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@H]2C[C@H]21)c1cccc([N+](=O)[O-])c1 ZINC000927501000 622295321 /nfs/dbraw/zinc/29/53/21/622295321.db2.gz WHKVDSNGECGIFP-MJVIPROJSA-N 0 0 274.320 2.761 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000909038145 622299922 /nfs/dbraw/zinc/29/99/22/622299922.db2.gz IRUJEOYUUIMBGK-SKDRFNHKSA-N 0 0 291.303 2.539 20 5 CFBDRN CC[C@H](OC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C(C)=O ZINC000910025673 622388017 /nfs/dbraw/zinc/38/80/17/622388017.db2.gz XXWRGKMIQYAGOP-IHRRRGAJSA-N 0 0 291.303 2.609 20 5 CFBDRN CC[C@H](COC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)OC ZINC000910027141 622388159 /nfs/dbraw/zinc/38/81/59/622388159.db2.gz IDQUKEWOQRBONV-HZSPNIEDSA-N 0 0 293.319 2.667 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CC=CC2)cc1[N+](=O)[O-] ZINC000910011434 622391568 /nfs/dbraw/zinc/39/15/68/622391568.db2.gz HFFKDVBGQFDUNZ-UHFFFAOYSA-N 0 0 260.293 2.730 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000174951144 622447465 /nfs/dbraw/zinc/44/74/65/622447465.db2.gz ZDQPMQIRPAZXDM-ZCFIWIBFSA-N 0 0 293.197 2.711 20 5 CFBDRN CCC1(C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)CC1 ZINC000911475814 622522126 /nfs/dbraw/zinc/52/21/26/622522126.db2.gz KAQZDYYPSDLCNE-UHFFFAOYSA-N 0 0 284.262 2.679 20 5 CFBDRN CC/C=C(\F)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911473699 622522320 /nfs/dbraw/zinc/52/23/20/622522320.db2.gz GMLZCGRDJYURQE-BAQGIRSFSA-N 0 0 288.225 2.753 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NC1C[C@H]2C[C@H]2C1 ZINC000819797903 622558829 /nfs/dbraw/zinc/55/88/29/622558829.db2.gz ITIWGVFJWCGYFS-KZHOAYSYSA-N 0 0 286.331 2.913 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000819886887 622567475 /nfs/dbraw/zinc/56/74/75/622567475.db2.gz IUGHHVIZMXWJDW-UHFFFAOYSA-N 0 0 299.286 2.632 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000819904825 622568929 /nfs/dbraw/zinc/56/89/29/622568929.db2.gz FKBJPMFNPRAJCB-MRVPVSSYSA-N 0 0 299.277 2.941 20 5 CFBDRN Nc1ccc(NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000819909769 622569084 /nfs/dbraw/zinc/56/90/84/622569084.db2.gz ICZAKSKWZKMWBF-IWIIMEHWSA-N 0 0 275.308 2.552 20 5 CFBDRN CC(C)CCO[C@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819916351 622571146 /nfs/dbraw/zinc/57/11/46/622571146.db2.gz JEDDKEOUENNNDE-SNVBAGLBSA-N 0 0 295.339 2.567 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(N[C@H]2CC23CC3)cc1Cl ZINC000819942303 622578561 /nfs/dbraw/zinc/57/85/61/622578561.db2.gz YSCQQWWIMLFCPT-NSHDSACASA-N 0 0 296.710 2.999 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000819964692 622586942 /nfs/dbraw/zinc/58/69/42/622586942.db2.gz VUXPVDWQNXZLOS-MTOSPURCSA-N 0 0 291.303 2.934 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)CCSC ZINC000311124653 622622000 /nfs/dbraw/zinc/62/20/00/622622000.db2.gz YKQQUMSFPOECKT-QMMMGPOBSA-N 0 0 272.374 2.673 20 5 CFBDRN O=C(C(F)F)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000833908605 625581973 /nfs/dbraw/zinc/58/19/73/625581973.db2.gz LVDRQFBLAAVTCW-JTQLQIEISA-N 0 0 284.262 2.566 20 5 CFBDRN C[C@H]1CCCCN1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000912157385 622638783 /nfs/dbraw/zinc/63/87/83/622638783.db2.gz UJXZNGPVYBPENX-VIFPVBQESA-N 0 0 297.742 2.767 20 5 CFBDRN CO[C@H](COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000820429823 622672238 /nfs/dbraw/zinc/67/22/38/622672238.db2.gz NQNDITBTWXXYCX-GFCCVEGCSA-N 0 0 297.282 2.624 20 5 CFBDRN O=C(NOCCC1CC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000820484621 622685372 /nfs/dbraw/zinc/68/53/72/622685372.db2.gz LVCDYRIJMMETAW-KBPBESRZSA-N 0 0 290.319 2.546 20 5 CFBDRN Cc1ncoc1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000820591855 622709919 /nfs/dbraw/zinc/70/99/19/622709919.db2.gz POXMHAMMRJEOFE-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000150723444 622712034 /nfs/dbraw/zinc/71/20/34/622712034.db2.gz VRURGPHWOVPDBF-MRVPVSSYSA-N 0 0 283.280 2.567 20 5 CFBDRN C[C@H](F)CCNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000820631500 622720563 /nfs/dbraw/zinc/72/05/63/622720563.db2.gz PXAQKLMNBLZJPV-XDTLVQLUSA-N 0 0 280.299 2.563 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC=CC12CCCC2 ZINC000913194889 622848616 /nfs/dbraw/zinc/84/86/16/622848616.db2.gz NMLOXRHZSCOGLA-UHFFFAOYSA-N 0 0 272.304 2.920 20 5 CFBDRN CC[C@@H](Cc1ccccc1C)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000821167332 622859438 /nfs/dbraw/zinc/85/94/38/622859438.db2.gz RJKKDQHOIJISDX-OLZOCXBDSA-N 0 0 279.336 2.772 20 5 CFBDRN Cc1cc(NC(=O)c2c(C)ccc([N+](=O)[O-])c2C)nn1C ZINC000821169135 622860200 /nfs/dbraw/zinc/86/02/00/622860200.db2.gz NQYOFUSWSUMYDF-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1ccc(C2CC2)c(C(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000821205040 622868102 /nfs/dbraw/zinc/86/81/02/622868102.db2.gz XGJQVBNNZPNHIO-SNVBAGLBSA-N 0 0 263.293 2.694 20 5 CFBDRN CCCCC(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151240319 622871809 /nfs/dbraw/zinc/87/18/09/622871809.db2.gz NQDJXFKUTAIUAH-UHFFFAOYSA-N 0 0 251.282 2.871 20 5 CFBDRN CCCc1nc(COC(=O)c2ccc([N+](=O)[O-])o2)cs1 ZINC000913383959 622891140 /nfs/dbraw/zinc/89/11/40/622891140.db2.gz GZFOJKNPBQWRNE-UHFFFAOYSA-N 0 0 296.304 2.954 20 5 CFBDRN O=C(/C=C/[C@@H]1CCCO1)OCc1ccc([N+](=O)[O-])cc1F ZINC000821405052 622916162 /nfs/dbraw/zinc/91/61/62/622916162.db2.gz URABDIPNFZSVRZ-FYJFLYSWSA-N 0 0 295.266 2.512 20 5 CFBDRN Cc1nn(CN(C)c2cc(F)ccc2F)c(C)c1[N+](=O)[O-] ZINC000164652613 622961490 /nfs/dbraw/zinc/96/14/90/622961490.db2.gz GKOMYWIBZUJSTC-UHFFFAOYSA-N 0 0 296.277 2.780 20 5 CFBDRN Cc1nn(CN(C)c2ccc(F)c(F)c2)c(C)c1[N+](=O)[O-] ZINC000164693936 622964154 /nfs/dbraw/zinc/96/41/54/622964154.db2.gz JXXJOXZKYGZCLU-UHFFFAOYSA-N 0 0 296.277 2.780 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1C[C@H](C)OC(C)(C)C1 ZINC000156808085 622968616 /nfs/dbraw/zinc/96/86/16/622968616.db2.gz RJWBYDNAYIVQEK-NSHDSACASA-N 0 0 294.351 2.745 20 5 CFBDRN COc1ccc(CC(=O)O[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000821841576 622990654 /nfs/dbraw/zinc/99/06/54/622990654.db2.gz KNSUDMBQLQDCTH-CYBMUJFWSA-N 0 0 293.319 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cn1ncsc1=S)CC2 ZINC000057101174 622996070 /nfs/dbraw/zinc/99/60/70/622996070.db2.gz NQPPFKIBTUZWOQ-UHFFFAOYSA-N 0 0 294.361 2.602 20 5 CFBDRN Cc1ccc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)n1C ZINC000045997969 623039009 /nfs/dbraw/zinc/03/90/09/623039009.db2.gz UUTOJYLTCYZEAA-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN CO[C@@H](C(=O)Oc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000077922234 623046884 /nfs/dbraw/zinc/04/68/84/623046884.db2.gz BZTGOAHYERPBMO-CQSZACIVSA-N 0 0 287.271 2.888 20 5 CFBDRN CCCOC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000058613478 623054431 /nfs/dbraw/zinc/05/44/31/623054431.db2.gz GQUHYONTNPNJFX-UHFFFAOYSA-N 0 0 255.295 2.884 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822192177 623057812 /nfs/dbraw/zinc/05/78/12/623057812.db2.gz BYCUXJQZQPIBPF-WDEREUQCSA-N 0 0 256.346 2.517 20 5 CFBDRN C[C@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000822219028 623059145 /nfs/dbraw/zinc/05/91/45/623059145.db2.gz NJYGHHPTBCWLHC-GFCCVEGCSA-N 0 0 278.352 2.742 20 5 CFBDRN CCC(F)(F)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000834331659 625617332 /nfs/dbraw/zinc/61/73/32/625617332.db2.gz ROZNRIAYFNVIFF-UHFFFAOYSA-N 0 0 272.251 2.565 20 5 CFBDRN Cc1cnc(OCCc2cccs2)c([N+](=O)[O-])c1 ZINC000157909683 623079938 /nfs/dbraw/zinc/07/99/38/623079938.db2.gz RKCYRRINPOOJHF-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN Cn1ccc(CCNc2cc(Cl)c(F)cc2[N+](=O)[O-])n1 ZINC000227241074 623101955 /nfs/dbraw/zinc/10/19/55/623101955.db2.gz PITGAMPWNLXDJU-UHFFFAOYSA-N 0 0 298.705 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCC[C@H](CCO)C2)c1 ZINC000227530714 623112082 /nfs/dbraw/zinc/11/20/82/623112082.db2.gz GAXQYPRPLNTPIH-LLVKDONJSA-N 0 0 298.770 2.843 20 5 CFBDRN Cc1c(NC(=O)c2cncc(Cl)n2)cccc1[N+](=O)[O-] ZINC000227864143 623121738 /nfs/dbraw/zinc/12/17/38/623121738.db2.gz CQCFWEOBZCWPRI-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@H]1C[C@H]1c1ccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])o1 ZINC000822614636 623136842 /nfs/dbraw/zinc/13/68/42/623136842.db2.gz YMLXQBNDAVPQFD-CMPLNLGQSA-N 0 0 294.351 2.855 20 5 CFBDRN O=C(/C=C/[C@H]1CCCO1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000822640185 623140772 /nfs/dbraw/zinc/14/07/72/623140772.db2.gz NHUIXTWFGFDXDT-DFVUYQKZSA-N 0 0 296.710 2.922 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000822637735 623140778 /nfs/dbraw/zinc/14/07/78/623140778.db2.gz ZNHSQMSYZYVFBV-XQQFMLRXSA-N 0 0 274.320 2.613 20 5 CFBDRN CCOc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1F ZINC000822659559 623145000 /nfs/dbraw/zinc/14/50/00/623145000.db2.gz CCTUYORAAMSEAF-UHFFFAOYSA-N 0 0 298.314 2.998 20 5 CFBDRN CCCCC(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000060794213 623156895 /nfs/dbraw/zinc/15/68/95/623156895.db2.gz FDCLHRBIWQVNGI-UHFFFAOYSA-N 0 0 251.282 2.871 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC1(O)CCCCC1 ZINC000087458486 623160519 /nfs/dbraw/zinc/16/05/19/623160519.db2.gz JQANDHLNFHQGRL-UHFFFAOYSA-N 0 0 281.308 2.677 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822763133 623169521 /nfs/dbraw/zinc/16/95/21/623169521.db2.gz QQBMHBFHXLKZGQ-VXGBXAGGSA-N 0 0 270.373 2.764 20 5 CFBDRN CC(C)=C(C)CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000822991980 623216027 /nfs/dbraw/zinc/21/60/27/623216027.db2.gz OAOIJORQUIIIJK-UHFFFAOYSA-N 0 0 263.297 2.862 20 5 CFBDRN COc1cc(C(=O)OCc2ccoc2)ccc1[N+](=O)[O-] ZINC000171330997 623217174 /nfs/dbraw/zinc/21/71/74/623217174.db2.gz HDOQYJZXEXOARY-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN CCSCCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000171369203 623219633 /nfs/dbraw/zinc/21/96/33/623219633.db2.gz VDQRBAXCQABBNA-UHFFFAOYSA-N 0 0 261.324 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NOC/C=C/Cl ZINC000823046205 623223350 /nfs/dbraw/zinc/22/33/50/623223350.db2.gz HOPSGTJPRPMWPJ-ZZXKWVIFSA-N 0 0 284.699 2.626 20 5 CFBDRN C[C@@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000171496738 623227115 /nfs/dbraw/zinc/22/71/15/623227115.db2.gz JDQPXNDSNGHKLF-WXLQGSQKSA-N 0 0 261.277 2.950 20 5 CFBDRN CCC[C@](C)(NCc1cc(F)ccc1[N+](=O)[O-])C(=O)OC ZINC000171944178 623249917 /nfs/dbraw/zinc/24/99/17/623249917.db2.gz OMJYEJXBADNRSM-AWEZNQCLSA-N 0 0 298.314 2.555 20 5 CFBDRN CC(C)(CCC(=O)N1CCCc2sccc2C1)[N+](=O)[O-] ZINC000823170603 623251800 /nfs/dbraw/zinc/25/18/00/623251800.db2.gz DIKHOMFYEOXTRQ-UHFFFAOYSA-N 0 0 296.392 2.858 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000230415401 623274528 /nfs/dbraw/zinc/27/45/28/623274528.db2.gz XSISKRCZPWGRAN-NXEZZACHSA-N 0 0 280.299 2.900 20 5 CFBDRN COC(C)(C)CCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000230421290 623276225 /nfs/dbraw/zinc/27/62/25/623276225.db2.gz BVJMOQRTCCOVEN-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000172444153 623281494 /nfs/dbraw/zinc/28/14/94/623281494.db2.gz FEFYASLRLPAQOW-NSHDSACASA-N 0 0 293.367 2.683 20 5 CFBDRN CC(C)CN(CC(C)C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823297667 623287570 /nfs/dbraw/zinc/28/75/70/623287570.db2.gz FUEGCJHULZDDBP-UHFFFAOYSA-N 0 0 272.389 2.963 20 5 CFBDRN CCn1cnnc1CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000230757500 623299125 /nfs/dbraw/zinc/29/91/25/623299125.db2.gz PXSOLIPFKDWRBC-UHFFFAOYSA-N 0 0 299.693 2.611 20 5 CFBDRN CC[C@]1(C(=O)[O-])CCC[N@H+](Cc2cccc([N+](=O)[O-])c2)C1 ZINC000062877533 623303571 /nfs/dbraw/zinc/30/35/71/623303571.db2.gz YEEGPDLUUULULN-HNNXBMFYSA-N 0 0 292.335 2.672 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cnc(Cl)cn1 ZINC000231289062 623337706 /nfs/dbraw/zinc/33/77/06/623337706.db2.gz WWJWHEDKIVAMIQ-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)c1cccnc1 ZINC000174022819 623381409 /nfs/dbraw/zinc/38/14/09/623381409.db2.gz AKESWCBTDGUPAO-VIFPVBQESA-N 0 0 289.266 2.871 20 5 CFBDRN Cc1cc(NC(=O)/C=C\[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000823700862 623381486 /nfs/dbraw/zinc/38/14/86/623381486.db2.gz TZBXYDUEVONYFP-HWPRWLFBSA-N 0 0 276.292 2.577 20 5 CFBDRN CCOc1ccc(C(=O)OCC2CCC2)cc1[N+](=O)[O-] ZINC000174528104 623426043 /nfs/dbraw/zinc/42/60/43/623426043.db2.gz IHOXGZUGHBEGBP-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)[C@@H]1C ZINC000823974917 623428365 /nfs/dbraw/zinc/42/83/65/623428365.db2.gz WTRRAZRQIHSXGU-NXEZZACHSA-N 0 0 292.339 2.829 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000824003113 623430663 /nfs/dbraw/zinc/43/06/63/623430663.db2.gz PLAHFHIONBSLEL-NXEZZACHSA-N 0 0 292.339 2.877 20 5 CFBDRN CCC[C@@H](CC)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823998113 623430718 /nfs/dbraw/zinc/43/07/18/623430718.db2.gz KTNBBRZXPLPYCY-SECBINFHSA-N 0 0 280.328 2.877 20 5 CFBDRN Nc1ccc(NC(=O)NC[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000824002490 623430898 /nfs/dbraw/zinc/43/08/98/623430898.db2.gz AMSKDNZBWFXJMS-SNVBAGLBSA-N 0 0 290.323 2.655 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000824008870 623431877 /nfs/dbraw/zinc/43/18/77/623431877.db2.gz XLGDOWDSBXLQAO-UWVGGRQHSA-N 0 0 292.339 2.687 20 5 CFBDRN C[C@@H](NC(=O)NOCC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000824033014 623436488 /nfs/dbraw/zinc/43/64/88/623436488.db2.gz ZBLOBTOAONQAIX-SNVBAGLBSA-N 0 0 293.323 2.687 20 5 CFBDRN CC(C)CCCCCOC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000842392338 623443556 /nfs/dbraw/zinc/44/35/56/623443556.db2.gz NUKPIGFJAWHCGV-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCC[C@H](F)C1 ZINC000824145997 623460114 /nfs/dbraw/zinc/46/01/14/623460114.db2.gz NZSSFLDDXIPJQW-FPMFFAJLSA-N 0 0 292.310 2.659 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCCOC(C)C)c1F ZINC000232693602 623462874 /nfs/dbraw/zinc/46/28/74/623462874.db2.gz VBCSJGVKZDGETN-UHFFFAOYSA-N 0 0 285.271 2.624 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000029432458 623463895 /nfs/dbraw/zinc/46/38/95/623463895.db2.gz ATOPTHAAAAUCAS-SNVBAGLBSA-N 0 0 267.329 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2(O)CCCCC2)c(F)c1F ZINC000834388128 625653696 /nfs/dbraw/zinc/65/36/96/625653696.db2.gz PMOURNIVUNQKBW-UHFFFAOYSA-N 0 0 287.262 2.947 20 5 CFBDRN Cc1nccn1CCNc1ccc(C)cc1[N+](=O)[O-] ZINC000311908214 623484616 /nfs/dbraw/zinc/48/46/16/623484616.db2.gz BRHMLOHDXVHMTM-UHFFFAOYSA-N 0 0 260.297 2.520 20 5 CFBDRN CC(C)c1ccc(C(=O)N=S(C)(C)=O)cc1[N+](=O)[O-] ZINC000913641576 623543200 /nfs/dbraw/zinc/54/32/00/623543200.db2.gz AAVMPCIZAPYFEQ-UHFFFAOYSA-N 0 0 284.337 2.586 20 5 CFBDRN O=C(/C=C\c1ccco1)OCc1ccccc1[N+](=O)[O-] ZINC000211515140 623632300 /nfs/dbraw/zinc/63/23/00/623632300.db2.gz QUDOEXZZDVPULB-FPLPWBNLSA-N 0 0 273.244 2.944 20 5 CFBDRN Cc1sc(C(=O)NN2[C@@H](C)CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000913962364 623659369 /nfs/dbraw/zinc/65/93/69/623659369.db2.gz RISFBVOSIGEBFC-IUCAKERBSA-N 0 0 297.380 2.872 20 5 CFBDRN CC(C)[C@H]1CCCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000829714168 623660997 /nfs/dbraw/zinc/66/09/97/623660997.db2.gz SBYKATFOXSJARY-LBPRGKRZSA-N 0 0 270.373 2.717 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000830481631 623686384 /nfs/dbraw/zinc/68/63/84/623686384.db2.gz KJVOJRMSGXOEBG-MRVPVSSYSA-N 0 0 270.260 2.536 20 5 CFBDRN CCOC(=O)Cc1ccc(N2CCCCC2)c([N+](=O)[O-])c1 ZINC000825512862 623706390 /nfs/dbraw/zinc/70/63/90/623706390.db2.gz ZRXBDVYKIOAETI-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN Cc1ccc(CNC(=O)CCc2ccccn2)cc1[N+](=O)[O-] ZINC000825648146 623730088 /nfs/dbraw/zinc/73/00/88/623730088.db2.gz MBOHDTNNYCISIC-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN Cc1ccc(CNC(=O)CCc2ccncc2)cc1[N+](=O)[O-] ZINC000825655611 623731837 /nfs/dbraw/zinc/73/18/37/623731837.db2.gz SIEZIUBXWCPBMO-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN O=C(NCC1=CCCC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000825661327 623732998 /nfs/dbraw/zinc/73/29/98/623732998.db2.gz MJGZMYORHCJPHL-LSDHHAIUSA-N 0 0 286.331 2.925 20 5 CFBDRN O=C(NCC1=CCCC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000825661326 623733330 /nfs/dbraw/zinc/73/33/30/623733330.db2.gz MJGZMYORHCJPHL-HUUCEWRRSA-N 0 0 286.331 2.925 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000825684513 623740129 /nfs/dbraw/zinc/74/01/29/623740129.db2.gz WQTORXJTFGSSJD-HNNXBMFYSA-N 0 0 294.326 2.971 20 5 CFBDRN CCC[C@@H]1SCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000825868727 623759061 /nfs/dbraw/zinc/75/90/61/623759061.db2.gz JECPBBBKCKDDTC-NSHDSACASA-N 0 0 274.386 2.524 20 5 CFBDRN CCC[C@H]1SCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000825868726 623763929 /nfs/dbraw/zinc/76/39/29/623763929.db2.gz JECPBBBKCKDDTC-LLVKDONJSA-N 0 0 274.386 2.524 20 5 CFBDRN CCC[C@H](C)CCNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000914363896 623773097 /nfs/dbraw/zinc/77/30/97/623773097.db2.gz LENOGFUEKYEHIG-JTQLQIEISA-N 0 0 279.340 2.733 20 5 CFBDRN C[C@@H]1CC(NC(=O)CCC(C)(C)[N+](=O)[O-])C[C@@H](C)C1 ZINC000826001405 623780256 /nfs/dbraw/zinc/78/02/56/623780256.db2.gz MQOLWOZQVILWMO-QWRGUYRKSA-N 0 0 270.373 2.763 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CC[C@@H]2CCC[C@@H]2C1)[N+](=O)[O-] ZINC000826113162 623794696 /nfs/dbraw/zinc/79/46/96/623794696.db2.gz QCZPCPSUDNGADO-YNEHKIRRSA-N 0 0 282.384 2.907 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000826580888 623816787 /nfs/dbraw/zinc/81/67/87/623816787.db2.gz UDWYDUPZVDLRKB-WDMOLILDSA-N 0 0 294.351 2.569 20 5 CFBDRN C[C@H]1CC2(CN1c1cc(N)ccc1[N+](=O)[O-])CCOCC2 ZINC000826768128 623868904 /nfs/dbraw/zinc/86/89/04/623868904.db2.gz ZXGVJIXETIPYLX-NSHDSACASA-N 0 0 291.351 2.572 20 5 CFBDRN O=C1O[C@@H](COc2cc([N+](=O)[O-])ccc2Cl)CC12CC2 ZINC000762207396 623883760 /nfs/dbraw/zinc/88/37/60/623883760.db2.gz BPOTXAUQIQCNNO-SECBINFHSA-N 0 0 297.694 2.723 20 5 CFBDRN COCCC1CN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000826898881 623905274 /nfs/dbraw/zinc/90/52/74/623905274.db2.gz AYTLQXNRJWXQJH-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN Cc1ccc(OC(=O)COc2cccc([N+](=O)[O-])c2)cc1 ZINC000914706458 623924823 /nfs/dbraw/zinc/92/48/23/623924823.db2.gz JLUYQSDSKNEJJD-UHFFFAOYSA-N 0 0 287.271 2.888 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762975546 623936680 /nfs/dbraw/zinc/93/66/80/623936680.db2.gz OCUWRXJOKKKYAL-ZETCQYMHSA-N 0 0 295.320 2.945 20 5 CFBDRN CCC(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000232831463 623939976 /nfs/dbraw/zinc/93/99/76/623939976.db2.gz XHVUZBXLGBLLHD-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CCCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000763538296 623956565 /nfs/dbraw/zinc/95/65/65/623956565.db2.gz YNVVCHXTYDXNNI-VIFPVBQESA-N 0 0 254.286 2.669 20 5 CFBDRN O=C(CC[C@H]1CCCO1)OCc1ccccc1[N+](=O)[O-] ZINC000914969871 623966424 /nfs/dbraw/zinc/96/64/24/623966424.db2.gz FPPZGRKXRMUCFY-GFCCVEGCSA-N 0 0 279.292 2.597 20 5 CFBDRN O=C(CSC(F)(F)F)OCc1ccccc1[N+](=O)[O-] ZINC000915247459 624006704 /nfs/dbraw/zinc/00/67/04/624006704.db2.gz WZYOWVRYBXGFHX-UHFFFAOYSA-N 0 0 295.238 2.891 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(F)nc1 ZINC000194870009 625697521 /nfs/dbraw/zinc/69/75/21/625697521.db2.gz VCVWAGRBURTXIP-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)N1CC=CC1 ZINC000888279907 624063738 /nfs/dbraw/zinc/06/37/38/624063738.db2.gz UWHYOWQVXOIVIK-UHFFFAOYSA-N 0 0 281.699 2.960 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)c1nccn1C ZINC000827722549 624077473 /nfs/dbraw/zinc/07/74/73/624077473.db2.gz MQOUVSVKDPDLQL-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@@H]1CC2CCC1CC2 ZINC000915568555 624079101 /nfs/dbraw/zinc/07/91/01/624079101.db2.gz PSQJKWANJSEDDX-MCIGGMRASA-N 0 0 262.309 2.583 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827751713 624084788 /nfs/dbraw/zinc/08/47/88/624084788.db2.gz IJLWMSDPAZDNLQ-MNOVXSKESA-N 0 0 256.346 2.611 20 5 CFBDRN CC/C(C)=C/C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000827786959 624092573 /nfs/dbraw/zinc/09/25/73/624092573.db2.gz FTKNFOYDBXDOJX-PKNBQFBNSA-N 0 0 274.320 2.836 20 5 CFBDRN CO[C@@H]1Cc2ccc(Nc3ccc([N+](=O)[O-])nc3)cc2C1 ZINC000827896185 624123186 /nfs/dbraw/zinc/12/31/86/624123186.db2.gz ZZQIGQUNBRXJQH-CQSZACIVSA-N 0 0 285.303 2.847 20 5 CFBDRN CCn1nc(C)c(CNc2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000834513925 625699592 /nfs/dbraw/zinc/69/95/92/625699592.db2.gz PKSOXXWWQJPMEA-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN C[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]2CCCC[C@H]21 ZINC000828194355 624198028 /nfs/dbraw/zinc/19/80/28/624198028.db2.gz JZHTWIXAVKRXDV-FRRDWIJNSA-N 0 0 282.384 2.859 20 5 CFBDRN Cc1nn(C[C@@]2(C)CC2(Cl)Cl)c(C)c1[N+](=O)[O-] ZINC000828349598 624232050 /nfs/dbraw/zinc/23/20/50/624232050.db2.gz XAKSEELIFGKOJF-SECBINFHSA-N 0 0 278.139 2.992 20 5 CFBDRN C/C=C\COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000214041881 624225621 /nfs/dbraw/zinc/22/56/21/624225621.db2.gz UNNOCCWHMOLEPP-IHWYPQMZSA-N 0 0 255.657 2.981 20 5 CFBDRN CC(C)N(C)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929922114 624228525 /nfs/dbraw/zinc/22/85/25/624228525.db2.gz KILSOBGZQMXRNG-CHWSQXEVSA-N 0 0 262.309 2.565 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)c(CSCCO)c1 ZINC000916347344 624240367 /nfs/dbraw/zinc/24/03/67/624240367.db2.gz MVXVUOFEGMHTSX-UHFFFAOYSA-N 0 0 292.154 2.583 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000828420663 624242932 /nfs/dbraw/zinc/24/29/32/624242932.db2.gz MDFFXSFRVAILOR-IONNQARKSA-N 0 0 299.714 2.547 20 5 CFBDRN CCNc1nnc(SCc2cccnc2[N+](=O)[O-])s1 ZINC000916425426 624244281 /nfs/dbraw/zinc/24/42/81/624244281.db2.gz SBOSNYAWMXYCGU-UHFFFAOYSA-N 0 0 297.365 2.565 20 5 CFBDRN Cc1ccc(CNC(=O)[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000828463752 624251461 /nfs/dbraw/zinc/25/14/61/624251461.db2.gz DSFCJTIOJCENMD-IUODEOHRSA-N 0 0 274.320 2.710 20 5 CFBDRN Cc1cc(C(=O)OC/C=C\Cl)ccc1[N+](=O)[O-] ZINC000916581979 624258939 /nfs/dbraw/zinc/25/89/39/624258939.db2.gz NEHKJWOGAXVNNO-DJWKRKHSSA-N 0 0 255.657 2.813 20 5 CFBDRN Cc1cccc(C(=O)NN2[C@@H](C)CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000916585954 624260451 /nfs/dbraw/zinc/26/04/51/624260451.db2.gz KMPMIWSNLGEDGK-RYUDHWBXSA-N 0 0 291.351 2.811 20 5 CFBDRN CSCCOC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000916614074 624264249 /nfs/dbraw/zinc/26/42/49/624264249.db2.gz YZLKJDKOTILDQA-UHFFFAOYSA-N 0 0 275.713 2.768 20 5 CFBDRN C[C@@H](NC(=O)C1(C2CC2)CC1)c1cccc([N+](=O)[O-])c1 ZINC000888288446 624267548 /nfs/dbraw/zinc/26/75/48/624267548.db2.gz MJYNOKJDWSRESM-SNVBAGLBSA-N 0 0 274.320 2.962 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](O)c1ccccc1 ZINC000154115648 624271006 /nfs/dbraw/zinc/27/10/06/624271006.db2.gz MZQKBDFCKOSCOU-CQSZACIVSA-N 0 0 286.287 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OC[C@@H]1CCC2(COC2)O1 ZINC000916778360 624284575 /nfs/dbraw/zinc/28/45/75/624284575.db2.gz IKEBHVQKRQIXIZ-JTQLQIEISA-N 0 0 299.710 2.575 20 5 CFBDRN Nc1ccc(NC(=O)/C=C/c2cccs2)cc1[N+](=O)[O-] ZINC000916826754 624286004 /nfs/dbraw/zinc/28/60/04/624286004.db2.gz NFBLBXHOEAFKDY-GQCTYLIASA-N 0 0 289.316 2.890 20 5 CFBDRN CC/C=C\CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000828728886 624292661 /nfs/dbraw/zinc/29/26/61/624292661.db2.gz BJWFYZDURQSLCJ-ARJAWSKDSA-N 0 0 251.242 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3C[C@@H](F)[C@@H](F)C3)sc2c1 ZINC000828755006 624296228 /nfs/dbraw/zinc/29/62/28/624296228.db2.gz JVNUGNVMFZFNFD-OCAPTIKFSA-N 0 0 285.275 2.701 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2CCOC3(CCC3)C2)c1 ZINC000828785858 624300973 /nfs/dbraw/zinc/30/09/73/624300973.db2.gz QRYSYMFQMXBPPE-LLVKDONJSA-N 0 0 291.351 2.938 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000828786846 624300977 /nfs/dbraw/zinc/30/09/77/624300977.db2.gz PJOKJYYLNHBELT-ZDUSSCGKSA-N 0 0 279.292 2.674 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1cccnc1[N+](=O)[O-] ZINC000917057514 624303970 /nfs/dbraw/zinc/30/39/70/624303970.db2.gz AGUFCHVGZKJRMP-UHFFFAOYSA-N 0 0 288.263 2.534 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCCSC[C@@H]1C ZINC000889915538 624304939 /nfs/dbraw/zinc/30/49/39/624304939.db2.gz SXFSJFMKTLDSQA-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN CCc1ccc(OCc2nncs2)c([N+](=O)[O-])c1 ZINC000917066167 624305799 /nfs/dbraw/zinc/30/57/99/624305799.db2.gz FNQQWAUJXVEWRZ-UHFFFAOYSA-N 0 0 265.294 2.588 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000828822915 624307438 /nfs/dbraw/zinc/30/74/38/624307438.db2.gz UMWCCYZMMHZPQM-SNVBAGLBSA-N 0 0 297.282 2.707 20 5 CFBDRN COC(=O)Nc1ccc(Nc2cccnc2[N+](=O)[O-])cc1 ZINC000917083875 624308752 /nfs/dbraw/zinc/30/87/52/624308752.db2.gz GIGRYDNNQNTUBC-UHFFFAOYSA-N 0 0 288.263 2.912 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000033206637 624315547 /nfs/dbraw/zinc/31/55/47/624315547.db2.gz GTYWUXIEMVIMAO-LLVKDONJSA-N 0 0 288.303 2.857 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)Cc2ccco2)cc1[N+](=O)[O-] ZINC000033207311 624315674 /nfs/dbraw/zinc/31/56/74/624315674.db2.gz RITFDPYUAJBWSX-LLVKDONJSA-N 0 0 288.303 2.857 20 5 CFBDRN CCc1ccc(OC(=O)CO[C@@H](C)CC)c([N+](=O)[O-])c1 ZINC000154293983 624317356 /nfs/dbraw/zinc/31/73/56/624317356.db2.gz XJLWIZQHYFQATM-JTQLQIEISA-N 0 0 281.308 2.878 20 5 CFBDRN O=C(OCOc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000917141765 624317657 /nfs/dbraw/zinc/31/76/57/624317657.db2.gz IMFYFCIATOGKJO-UHFFFAOYSA-N 0 0 271.656 2.538 20 5 CFBDRN COC(=O)/C=C(\C)COc1cc([N+](=O)[O-])ccc1Cl ZINC000917141833 624317857 /nfs/dbraw/zinc/31/78/57/624317857.db2.gz KVRPCMPTBFIFFK-VMPITWQZSA-N 0 0 285.683 2.746 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])C1(Cl)CC1 ZINC000917216950 624323493 /nfs/dbraw/zinc/32/34/93/624323493.db2.gz DVSBZKQSDRQCBZ-UHFFFAOYSA-N 0 0 290.102 2.968 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)OCC1=CCCC1 ZINC000828917355 624329480 /nfs/dbraw/zinc/32/94/80/624329480.db2.gz FGRVHPSYQSNVNJ-UHFFFAOYSA-N 0 0 280.255 2.583 20 5 CFBDRN Cc1nn(COC(=O)CC(C)C)c2ccc([N+](=O)[O-])cc12 ZINC000917331483 624331183 /nfs/dbraw/zinc/33/11/83/624331183.db2.gz NYDUNWDKCWGKGD-UHFFFAOYSA-N 0 0 291.307 2.800 20 5 CFBDRN CCCSCC(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000917333484 624331184 /nfs/dbraw/zinc/33/11/84/624331184.db2.gz PVWJYFSCKIYEHL-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1noc2c1COCC2 ZINC000917357524 624335552 /nfs/dbraw/zinc/33/55/52/624335552.db2.gz WPCZFSVTRVIKPG-UHFFFAOYSA-N 0 0 290.275 2.543 20 5 CFBDRN Cc1cccc(OCc2noc3c2COCC3)c1[N+](=O)[O-] ZINC000917357580 624335658 /nfs/dbraw/zinc/33/56/58/624335658.db2.gz YLVMIBOHNYIGGX-UHFFFAOYSA-N 0 0 290.275 2.543 20 5 CFBDRN Cc1ccc(OCc2noc3c2COCC3)cc1[N+](=O)[O-] ZINC000917356699 624335683 /nfs/dbraw/zinc/33/56/83/624335683.db2.gz FIFIEACDJARKQE-UHFFFAOYSA-N 0 0 290.275 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCO[C@@H]2CCOC2)c(F)c1 ZINC000917478043 624345335 /nfs/dbraw/zinc/34/53/35/624345335.db2.gz SWDFKMKEFWTZBS-SNVBAGLBSA-N 0 0 287.312 2.632 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000917610834 624371638 /nfs/dbraw/zinc/37/16/38/624371638.db2.gz DNABHJUKUVFXJK-SECBINFHSA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1ccc(N2CC[S@@](=O)C(C)(C)CC2)c([N+](=O)[O-])c1 ZINC000829139817 624384333 /nfs/dbraw/zinc/38/43/33/624384333.db2.gz KAXJFRIGVXRKOP-HXUWFJFHSA-N 0 0 296.392 2.641 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NC[C@@H]1C=CCC1 ZINC000829157980 624388076 /nfs/dbraw/zinc/38/80/76/624388076.db2.gz WEYDHXOUNRKJCZ-LLVKDONJSA-N 0 0 292.310 2.749 20 5 CFBDRN CCc1nc(CNc2ccc([N+](=O)[O-])cc2C(C)=O)co1 ZINC000829183511 624393136 /nfs/dbraw/zinc/39/31/36/624393136.db2.gz CRVLQKVYPRAVHH-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN CCc1nc(CNc2cccc(OC)c2[N+](=O)[O-])co1 ZINC000829183753 624393496 /nfs/dbraw/zinc/39/34/96/624393496.db2.gz OYDLOBQKTUMZPO-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN CCc1nc(CNc2ccc(F)cc2[N+](=O)[O-])co1 ZINC000829178007 624393669 /nfs/dbraw/zinc/39/36/69/624393669.db2.gz XRYHAOPKCGTBCX-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN COC[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000829560595 624455449 /nfs/dbraw/zinc/45/54/49/624455449.db2.gz NZUIFLWMHOUPBM-LBPRGKRZSA-N 0 0 264.325 2.848 20 5 CFBDRN CC(C)[C@H](O)COc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000918659926 624459439 /nfs/dbraw/zinc/45/94/39/624459439.db2.gz UIILRBBVBVDYEO-SNVBAGLBSA-N 0 0 277.679 2.783 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000918866659 624505527 /nfs/dbraw/zinc/50/55/27/624505527.db2.gz QVIQERVIYUTJGF-MFKMUULPSA-N 0 0 279.292 2.563 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000918932298 624512160 /nfs/dbraw/zinc/51/21/60/624512160.db2.gz KSZWPFHLKOIRRX-SECBINFHSA-N 0 0 251.282 2.993 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@]2(C)CCO[C@@H]2C)c1C ZINC000889919057 624513644 /nfs/dbraw/zinc/51/36/44/624513644.db2.gz DJCDCNSACGFSEA-ABAIWWIYSA-N 0 0 292.335 2.509 20 5 CFBDRN O=C(CSC1CCC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000829763666 624525961 /nfs/dbraw/zinc/52/59/61/624525961.db2.gz PWLPKGWAZJKGPU-UHFFFAOYSA-N 0 0 284.312 2.958 20 5 CFBDRN O=C(NCCNc1ccccc1[N+](=O)[O-])OC1CCCC1 ZINC000829797826 624541816 /nfs/dbraw/zinc/54/18/16/624541816.db2.gz MAPBKDHICFPSFS-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN C/C=C\COC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000919227337 624542191 /nfs/dbraw/zinc/54/21/91/624542191.db2.gz RMNPFRKECRIFHX-ARJAWSKDSA-N 0 0 280.236 2.544 20 5 CFBDRN Cc1nc(COC(=O)c2cc([N+](=O)[O-])ccc2F)cs1 ZINC000919250811 624546772 /nfs/dbraw/zinc/54/67/72/624546772.db2.gz BUJQSXRQJZVMTI-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n([C@H]2C=CCCCCC2)n1 ZINC000829837385 624562929 /nfs/dbraw/zinc/56/29/29/624562929.db2.gz DXKOKLLTFXVIRE-VIFPVBQESA-N 0 0 266.257 2.761 20 5 CFBDRN C[C@H](CCc1ccccc1)n1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829842020 624564362 /nfs/dbraw/zinc/56/43/62/624564362.db2.gz DGMFNELJTDAFND-SNVBAGLBSA-N 0 0 290.279 2.893 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)n[nH]1 ZINC000154970008 624566450 /nfs/dbraw/zinc/56/64/50/624566450.db2.gz XBOPHDDFBCGWSO-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCCC(C)(C)C1)[N+](=O)[O-] ZINC000829888100 624578027 /nfs/dbraw/zinc/57/80/27/624578027.db2.gz WEZXURVVPDPMFP-LLVKDONJSA-N 0 0 270.373 2.907 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000829903006 624580267 /nfs/dbraw/zinc/58/02/67/624580267.db2.gz YHVMSJRPKAFLTC-NWDGAFQWSA-N 0 0 270.373 2.907 20 5 CFBDRN O=C(OCCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000919567579 624586168 /nfs/dbraw/zinc/58/61/68/624586168.db2.gz LWHBXVVKIOLGAP-UHFFFAOYSA-N 0 0 281.161 2.843 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)n(C)n1 ZINC000919581787 624586687 /nfs/dbraw/zinc/58/66/87/624586687.db2.gz AHQPYOWCYWHSDZ-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1occc1CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000919718190 624604603 /nfs/dbraw/zinc/60/46/03/624604603.db2.gz LQXGJKKTHZEMGY-UHFFFAOYSA-N 0 0 291.332 2.983 20 5 CFBDRN O=C(CCc1nccs1)OCc1ccc([N+](=O)[O-])cc1 ZINC000919978533 624650952 /nfs/dbraw/zinc/65/09/52/624650952.db2.gz CDUNXQPPSCKZJW-UHFFFAOYSA-N 0 0 292.316 2.727 20 5 CFBDRN C[C@@H](CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000920028720 624658435 /nfs/dbraw/zinc/65/84/35/624658435.db2.gz COVGDHQWOGAIOF-NJHLSQGMSA-N 0 0 274.320 2.770 20 5 CFBDRN CC1(S(=O)(=O)Oc2ccc([N+](=O)[O-])c(Cl)c2)CC1 ZINC000830212003 624671693 /nfs/dbraw/zinc/67/16/93/624671693.db2.gz IUIVSWXEJQEMKH-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN CCN(CCc1ccccn1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000920247732 624701807 /nfs/dbraw/zinc/70/18/07/624701807.db2.gz XXBDSPWCCJWRBH-UHFFFAOYSA-N 0 0 299.330 2.695 20 5 CFBDRN C/C=C\COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830366031 624723037 /nfs/dbraw/zinc/72/30/37/624723037.db2.gz ZKEAJGPYHJMLSQ-ARJAWSKDSA-N 0 0 253.229 2.775 20 5 CFBDRN CCSCCOC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830370245 624724070 /nfs/dbraw/zinc/72/40/70/624724070.db2.gz WIQWLINCIFXLPJ-UHFFFAOYSA-N 0 0 287.312 2.952 20 5 CFBDRN CO[C@H](COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C1CC1 ZINC000830369233 624724183 /nfs/dbraw/zinc/72/41/83/624724183.db2.gz IDTTUVALNKVPGW-GFCCVEGCSA-N 0 0 297.282 2.624 20 5 CFBDRN CCC1(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)COC1 ZINC000923762078 624744770 /nfs/dbraw/zinc/74/47/70/624744770.db2.gz VUCBALDHANLNIS-UHFFFAOYSA-N 0 0 289.291 2.614 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2CC(C)C2)cc1[N+](=O)[O-] ZINC000830534968 624775248 /nfs/dbraw/zinc/77/52/48/624775248.db2.gz LEGKYAVVNQKWMJ-UHFFFAOYSA-N 0 0 268.700 2.649 20 5 CFBDRN Cc1noc(CCc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000923761883 624802527 /nfs/dbraw/zinc/80/25/27/624802527.db2.gz VBJHPULMOGQMRH-UHFFFAOYSA-N 0 0 267.672 2.725 20 5 CFBDRN CC/C(C)=C\C(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000830786924 624868768 /nfs/dbraw/zinc/86/87/68/624868768.db2.gz JQDSCVZAVHBYHD-FLIBITNWSA-N 0 0 290.319 2.541 20 5 CFBDRN CC[C@@H](C)C[N@H+](CC)Cn1nc(C)c([N+](=O)[O-])c1C ZINC000174948649 624915764 /nfs/dbraw/zinc/91/57/64/624915764.db2.gz UXXFBZZCKIKWBJ-SNVBAGLBSA-N 0 0 268.361 2.734 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1CCC(=O)C1 ZINC000830896668 624923017 /nfs/dbraw/zinc/92/30/17/624923017.db2.gz KFKRRFPYMCDCPM-QWRGUYRKSA-N 0 0 291.303 2.877 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1CCC(=O)C1 ZINC000830902025 624925345 /nfs/dbraw/zinc/92/53/45/624925345.db2.gz YUWUWMVPJWZTEZ-MRVPVSSYSA-N 0 0 297.694 2.661 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)OC1CCCC1 ZINC000830967706 624941681 /nfs/dbraw/zinc/94/16/81/624941681.db2.gz YBMFQHFFDSXTSC-UHFFFAOYSA-N 0 0 278.308 2.806 20 5 CFBDRN Cc1nc(NC(=O)c2ccnc(Cl)c2)ccc1[N+](=O)[O-] ZINC000175673856 624960730 /nfs/dbraw/zinc/96/07/30/624960730.db2.gz LHPPKYKNBLXPCX-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN O=C([C@H]1C[C@H]2C[C@H]2C1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000831243899 624976801 /nfs/dbraw/zinc/97/68/01/624976801.db2.gz PXLMUTLQHAVVMD-ITGUQSILSA-N 0 0 286.331 2.526 20 5 CFBDRN Cc1cc(C(=O)NC2CCCCCC2)c(N)c([N+](=O)[O-])c1 ZINC000068509695 625004692 /nfs/dbraw/zinc/00/46/92/625004692.db2.gz RLEHFBSNAKHXHG-UHFFFAOYSA-N 0 0 291.351 2.938 20 5 CFBDRN CCCCO[C@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000068543285 625007644 /nfs/dbraw/zinc/00/76/44/625007644.db2.gz YNWKKLYJZKNRHJ-LLVKDONJSA-N 0 0 281.308 2.843 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)C[C@@H]1C ZINC000068597495 625013728 /nfs/dbraw/zinc/01/37/28/625013728.db2.gz DHIUHULOUZPWMD-OUAUKWLOSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1cc(C(=O)NCCCC(C)C)c(N)c([N+](=O)[O-])c1 ZINC000170901510 625055059 /nfs/dbraw/zinc/05/50/59/625055059.db2.gz IDIGSJAMRYYNPH-UHFFFAOYSA-N 0 0 279.340 2.651 20 5 CFBDRN CCCONC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000831786630 625125713 /nfs/dbraw/zinc/12/57/13/625125713.db2.gz QDYMQVCWVASKDN-UHFFFAOYSA-N 0 0 273.676 2.711 20 5 CFBDRN CCCONC(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000831822373 625144510 /nfs/dbraw/zinc/14/45/10/625144510.db2.gz HBPKCAABHNBPMX-UHFFFAOYSA-N 0 0 267.285 2.620 20 5 CFBDRN CC(C)(CCC(=O)NC(C1CCC1)C1CCC1)[N+](=O)[O-] ZINC000831932821 625185686 /nfs/dbraw/zinc/18/56/86/625185686.db2.gz JNQVVVZOHIBQAT-UHFFFAOYSA-N 0 0 282.384 2.907 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)c2nccn2C)cc1[N+](=O)[O-] ZINC000851582786 634445352 /nfs/dbraw/zinc/44/53/52/634445352.db2.gz ZXCYHXQZYTYTQP-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1nn(C)cc1CNc1c(C)c([N+](=O)[O-])ccc1F ZINC000834592534 625730938 /nfs/dbraw/zinc/73/09/38/625730938.db2.gz BQBBBRJTGZAOEH-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN Cc1ccc(N(C)Cc2ccc(C(N)=O)cc2[N+](=O)[O-])cc1 ZINC000101562077 625736989 /nfs/dbraw/zinc/73/69/89/625736989.db2.gz ATEXKRPRVFIFKJ-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCS[C@H](C)C1 ZINC000834650293 625754745 /nfs/dbraw/zinc/75/47/45/625754745.db2.gz OIVSSBBQJILOFB-GHMZBOCLSA-N 0 0 294.376 2.917 20 5 CFBDRN CC(C)COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000073396098 625981388 /nfs/dbraw/zinc/98/13/88/625981388.db2.gz PDTDRRYLNQXINN-UHFFFAOYSA-N 0 0 266.297 2.596 20 5 CFBDRN Cc1nonc1COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000083856199 625998349 /nfs/dbraw/zinc/99/83/49/625998349.db2.gz HFJKNNQCIUNDBL-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cc1occc1COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000073536875 626032109 /nfs/dbraw/zinc/03/21/09/626032109.db2.gz QOBFXDHIOGITBF-UHFFFAOYSA-N 0 0 291.259 2.618 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccncc1F ZINC000078075974 626208454 /nfs/dbraw/zinc/20/84/54/626208454.db2.gz YSAOSBFSQLMASA-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN O=C(Nc1cccnc1Cl)c1ccc([N+](=O)[O-])s1 ZINC000078288781 626211643 /nfs/dbraw/zinc/21/16/43/626211643.db2.gz VIMUDQQGYDPJJQ-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CC/C=C\COC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000255658788 626219370 /nfs/dbraw/zinc/21/93/70/626219370.db2.gz QULSMWZWUQTQQI-PLNGDYQASA-N 0 0 265.265 2.726 20 5 CFBDRN COC[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1F)C(C)C ZINC000922357313 626278523 /nfs/dbraw/zinc/27/85/23/626278523.db2.gz ZXMACNBKBYAVNF-SNVBAGLBSA-N 0 0 299.298 2.809 20 5 CFBDRN O=C(OCc1cn2ccccc2n1)c1ccccc1[N+](=O)[O-] ZINC000008013757 634493122 /nfs/dbraw/zinc/49/31/22/634493122.db2.gz REXCEDMWBBXZSN-UHFFFAOYSA-N 0 0 297.270 2.600 20 5 CFBDRN C[C@@H](NC(=O)NCC(C)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000922616141 626324498 /nfs/dbraw/zinc/32/44/98/626324498.db2.gz SPMHFIGISBHAFD-MRVPVSSYSA-N 0 0 287.266 2.610 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OCCOC1CC1 ZINC000922611470 626325178 /nfs/dbraw/zinc/32/51/78/626325178.db2.gz LJFIWQOFRUNSKR-UHFFFAOYSA-N 0 0 299.710 2.892 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000086648074 626404220 /nfs/dbraw/zinc/40/42/20/626404220.db2.gz DCGUOJMQSIPWEH-UHFFFAOYSA-N 0 0 279.340 2.876 20 5 CFBDRN C[C@H](NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CCC1 ZINC000866978818 626407824 /nfs/dbraw/zinc/40/78/24/626407824.db2.gz DFWDWEXQXITAPX-VIFPVBQESA-N 0 0 280.299 2.581 20 5 CFBDRN CC(C)=CCNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000229046031 626409384 /nfs/dbraw/zinc/40/93/84/626409384.db2.gz MQIMVZWKAQZSCK-UHFFFAOYSA-N 0 0 268.700 2.944 20 5 CFBDRN CC(C)CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1CC1 ZINC000822406393 626416822 /nfs/dbraw/zinc/41/68/22/626416822.db2.gz KKUJAEBGEJJBCA-UHFFFAOYSA-N 0 0 270.373 2.859 20 5 CFBDRN C[C@H](C(=O)OCc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000923056906 626447868 /nfs/dbraw/zinc/44/78/68/626447868.db2.gz DSENKZMGAIXSPD-TVQRCGJNSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)[C@@H]1CCCO1 ZINC000923064798 626448455 /nfs/dbraw/zinc/44/84/55/626448455.db2.gz XZPPOKVHGRCSMU-RNCFNFMXSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1c(CC(=O)OC[C@@]2(C)CCCO2)cccc1[N+](=O)[O-] ZINC000788087605 626483454 /nfs/dbraw/zinc/48/34/54/626483454.db2.gz XOWZOXJCUZDPBE-OAHLLOKOSA-N 0 0 293.319 2.558 20 5 CFBDRN CC(C)(CCC(=O)N1Cc2cccc(F)c2C1)[N+](=O)[O-] ZINC000838055506 626485086 /nfs/dbraw/zinc/48/50/86/626485086.db2.gz RJYOFBCBEQSPLC-UHFFFAOYSA-N 0 0 280.299 2.503 20 5 CFBDRN O=C(CCCC(=O)C1CC1)OCc1csc([N+](=O)[O-])c1 ZINC000838155123 626487310 /nfs/dbraw/zinc/48/73/10/626487310.db2.gz KKSHBKCDFXXYLK-UHFFFAOYSA-N 0 0 297.332 2.849 20 5 CFBDRN CCCCCCOC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838284846 626491854 /nfs/dbraw/zinc/49/18/54/626491854.db2.gz MAQSIZRODHXUJY-CYBMUJFWSA-N 0 0 281.308 2.752 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@@H](O)C1CCC1 ZINC000838312197 626492406 /nfs/dbraw/zinc/49/24/06/626492406.db2.gz MTOAKQZDTQFDMB-YGRLFVJLSA-N 0 0 293.319 2.668 20 5 CFBDRN O=C(COCC1CCCC1)OCc1ccccc1[N+](=O)[O-] ZINC000838327612 626493473 /nfs/dbraw/zinc/49/34/73/626493473.db2.gz XCFBTCGBVMKCPO-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN CCC(C)(C)OCC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000838406456 626496058 /nfs/dbraw/zinc/49/60/58/626496058.db2.gz HVSLHLOJGCQKHH-UHFFFAOYSA-N 0 0 281.308 2.843 20 5 CFBDRN CCC(C)(C)OCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000838407112 626496073 /nfs/dbraw/zinc/49/60/73/626496073.db2.gz ASUYEARAGCVVJW-UHFFFAOYSA-N 0 0 299.298 2.982 20 5 CFBDRN CCC(C)(C)OCC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000838411261 626496412 /nfs/dbraw/zinc/49/64/12/626496412.db2.gz OIQRAPSSILWRSA-UHFFFAOYSA-N 0 0 287.337 2.905 20 5 CFBDRN Cc1cnc(OC[C@H](O)c2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000788105841 626498785 /nfs/dbraw/zinc/49/87/85/626498785.db2.gz RPPCCQPIPUSVOI-ZDUSSCGKSA-N 0 0 292.266 2.550 20 5 CFBDRN C[C@]1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCCCO1 ZINC000838819175 626507994 /nfs/dbraw/zinc/50/79/94/626507994.db2.gz HKZZKFKZHYQTRP-CYBMUJFWSA-N 0 0 283.255 2.599 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2cccc([N+](=O)[O-])c2C)C1(C)C ZINC000839103642 626525000 /nfs/dbraw/zinc/52/50/00/626525000.db2.gz BVYNIMLASGWPBL-OLZOCXBDSA-N 0 0 293.319 2.874 20 5 CFBDRN CCOC1(C)CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000839142291 626528730 /nfs/dbraw/zinc/52/87/30/626528730.db2.gz GRHUQYRKKWSQJG-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN COc1cc([N+](=O)[O-])c(C(=O)OCC2CC2)cc1SC ZINC000103332165 626528856 /nfs/dbraw/zinc/52/88/56/626528856.db2.gz REEKBZYFXYSDBF-UHFFFAOYSA-N 0 0 297.332 2.892 20 5 CFBDRN CS[C@H](C)C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000103336835 626529466 /nfs/dbraw/zinc/52/94/66/626529466.db2.gz USIBVMBDISMNLK-ZCFIWIBFSA-N 0 0 275.713 2.905 20 5 CFBDRN CCC[C@H](OC)C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000839225126 626531631 /nfs/dbraw/zinc/53/16/31/626531631.db2.gz MEEZFFRNFKJOJA-LBPRGKRZSA-N 0 0 281.308 2.932 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H](F)C(F)(F)F ZINC000839218065 626532473 /nfs/dbraw/zinc/53/24/73/626532473.db2.gz LQIMPHBFPGYOAG-QMMMGPOBSA-N 0 0 281.161 2.538 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1(CF)CCOCC1 ZINC000839253399 626532598 /nfs/dbraw/zinc/53/25/98/626532598.db2.gz IYVGHTOCJOLIAE-UHFFFAOYSA-N 0 0 297.282 2.575 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000839453634 626537368 /nfs/dbraw/zinc/53/73/68/626537368.db2.gz XEUGQBJNVGXGDB-MNOVXSKESA-N 0 0 298.726 2.546 20 5 CFBDRN COC(C)(C)CN(C)c1c(F)cc([N+](=O)[O-])cc1F ZINC000749320853 626540669 /nfs/dbraw/zinc/54/06/69/626540669.db2.gz BDAXXTFRIRPNHN-UHFFFAOYSA-N 0 0 274.267 2.734 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756996757 626543308 /nfs/dbraw/zinc/54/33/08/626543308.db2.gz DFDWPKJNVQUQJM-XVKPBYJWSA-N 0 0 268.700 2.520 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2cccc([N+](=O)[O-])c2C)O1 ZINC000757119984 626545364 /nfs/dbraw/zinc/54/53/64/626545364.db2.gz HBAFOWSLBGPIFY-GXTWGEPZSA-N 0 0 293.319 2.904 20 5 CFBDRN CC(C)CC1(C(=O)OCCn2ccc([N+](=O)[O-])n2)CCC1 ZINC000757140351 626545821 /nfs/dbraw/zinc/54/58/21/626545821.db2.gz AJMAPIBNAFAPGL-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN CCC[C@@H](CCc1ccccc1)NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839689603 626551034 /nfs/dbraw/zinc/55/10/34/626551034.db2.gz QQJWKVAMCCPZAM-RRFJBIMHSA-N 0 0 290.363 2.569 20 5 CFBDRN CC(C)[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1Cl ZINC000839689657 626551127 /nfs/dbraw/zinc/55/11/27/626551127.db2.gz DOYFTVCZQGSLQH-RTXFEEFZSA-N 0 0 296.754 2.819 20 5 CFBDRN CC(C)[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000839688511 626551131 /nfs/dbraw/zinc/55/11/31/626551131.db2.gz CZGIGFNEAZITJP-JHJVBQTASA-N 0 0 296.754 2.819 20 5 CFBDRN CC[C@@H](Cc1ccccc1)N(CC)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839704117 626552416 /nfs/dbraw/zinc/55/24/16/626552416.db2.gz NMQUZDQFIHLJCL-RRFJBIMHSA-N 0 0 290.363 2.521 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCC[C@@H]1CCC1CCCC1 ZINC000839706822 626552615 /nfs/dbraw/zinc/55/26/15/626552615.db2.gz QAVMSCCYLMODOV-MGPQQGTHSA-N 0 0 280.368 2.613 20 5 CFBDRN CC(C)c1ccc2c(c1)[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])CC2 ZINC000839731488 626553711 /nfs/dbraw/zinc/55/37/11/626553711.db2.gz QAQIQYFQMUCOSH-RBSFLKMASA-N 0 0 288.347 2.579 20 5 CFBDRN O=C(Nc1cccc(C2CCC2)c1)[C@@H]1CC1[N+](=O)[O-] ZINC000839775074 626554919 /nfs/dbraw/zinc/55/49/19/626554919.db2.gz RQULIOBWXYAHNA-CHWSQXEVSA-N 0 0 260.293 2.558 20 5 CFBDRN CC[C@H](C)CONC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000839777606 626555062 /nfs/dbraw/zinc/55/50/62/626555062.db2.gz UALASRCNFJEWEW-LEWSCRJBSA-N 0 0 292.335 2.792 20 5 CFBDRN O=C(C1CC(F)(F)C1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757605424 626556291 /nfs/dbraw/zinc/55/62/91/626556291.db2.gz KXNRSEIDMKWFBQ-UHFFFAOYSA-N 0 0 296.273 2.525 20 5 CFBDRN CON(C(=O)c1ccc(C)c([N+](=O)[O-])c1)C1CCCC1 ZINC000757626712 626556519 /nfs/dbraw/zinc/55/65/19/626556519.db2.gz ATJJYWYVPGVYEW-UHFFFAOYSA-N 0 0 278.308 2.849 20 5 CFBDRN CSCCCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000758116281 626577364 /nfs/dbraw/zinc/57/73/64/626577364.db2.gz WIRUOHUXGBLSFZ-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CC23CCCC3)nc2ccccn21 ZINC000758150676 626579156 /nfs/dbraw/zinc/57/91/56/626579156.db2.gz DWXDTDNGBCTYNR-JTQLQIEISA-N 0 0 272.308 2.987 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCC[C@H](C)CO)c1 ZINC000758240492 626584603 /nfs/dbraw/zinc/58/46/03/626584603.db2.gz WRPSNWBKMGSGPF-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2cccnc2[N+](=O)[O-])c1 ZINC000758264162 626586102 /nfs/dbraw/zinc/58/61/02/626586102.db2.gz XTJCMFRFXIYXCB-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN CCOCOc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000758392243 626591392 /nfs/dbraw/zinc/59/13/92/626591392.db2.gz OQBJKONTFIBWIG-UHFFFAOYSA-N 0 0 265.187 2.986 20 5 CFBDRN O=C(NOCC(F)F)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000758815335 626609486 /nfs/dbraw/zinc/60/94/86/626609486.db2.gz QTZQWTZIHNPRTD-UHFFFAOYSA-N 0 0 295.629 2.566 20 5 CFBDRN C[C@H](C[C@@H](O)c1ccco1)Nc1cccnc1[N+](=O)[O-] ZINC000759246680 626639392 /nfs/dbraw/zinc/63/93/92/626639392.db2.gz MQBHNQYRZZAENV-MWLCHTKSSA-N 0 0 277.280 2.507 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1cncc(F)c1 ZINC000759344408 626647891 /nfs/dbraw/zinc/64/78/91/626647891.db2.gz GSCIMRJAZXDENZ-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)c1cncc(F)c1 ZINC000759424354 626656989 /nfs/dbraw/zinc/65/69/89/626656989.db2.gz CNXDVLJXFJBKSD-UHFFFAOYSA-N 0 0 290.250 2.528 20 5 CFBDRN O=C(CCc1ccncc1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000759458753 626660638 /nfs/dbraw/zinc/66/06/38/626660638.db2.gz LZULPSFXYPUGHP-UHFFFAOYSA-N 0 0 290.250 2.667 20 5 CFBDRN CCC(=O)CCCOC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000759459811 626660823 /nfs/dbraw/zinc/66/08/23/626660823.db2.gz YSAHDWMQQUNHDH-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000759505491 626665731 /nfs/dbraw/zinc/66/57/31/626665731.db2.gz RGEZMFGTEBRBKO-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1CC12CCC2 ZINC000759973708 626705867 /nfs/dbraw/zinc/70/58/67/626705867.db2.gz CHJIOVZWYAUVPO-NSHDSACASA-N 0 0 261.277 2.828 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)[C@@H]1CC12CCC2 ZINC000759983193 626706662 /nfs/dbraw/zinc/70/66/62/626706662.db2.gz IHXJYZQFNJOUOJ-ZDUSSCGKSA-N 0 0 291.303 2.707 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)Cc1ccco1 ZINC000760022585 626710269 /nfs/dbraw/zinc/71/02/69/626710269.db2.gz NMNVWTMUEGIANI-UHFFFAOYSA-N 0 0 261.233 2.644 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CCOCC1CC1 ZINC000760022218 626710332 /nfs/dbraw/zinc/71/03/32/626710332.db2.gz JDZFHVFHSVZTQB-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CC[C@H](C)OCC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760022745 626710469 /nfs/dbraw/zinc/71/04/69/626710469.db2.gz PYQSPNGRLOMKCY-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)c1ccc[nH]1 ZINC000760421080 626744136 /nfs/dbraw/zinc/74/41/36/626744136.db2.gz YOSHMMOFBQPWRV-LAHYYIKRSA-N 0 0 285.303 2.814 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000760432149 626745860 /nfs/dbraw/zinc/74/58/60/626745860.db2.gz CLKFFWGDKGMXOR-UHFFFAOYSA-N 0 0 293.254 2.899 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000760550933 626755672 /nfs/dbraw/zinc/75/56/72/626755672.db2.gz FWFSBQKNTDGJDH-GZMMTYOYSA-N 0 0 297.332 2.705 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000760563327 626755911 /nfs/dbraw/zinc/75/59/11/626755911.db2.gz WWLXCAMEOAGGHS-GXFFZTMASA-N 0 0 291.303 2.643 20 5 CFBDRN O=C(OC[C@@H]1CCCOC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000760777570 626771610 /nfs/dbraw/zinc/77/16/10/626771610.db2.gz OPGAAIHVLSPSDC-SECBINFHSA-N 0 0 299.710 2.832 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000760780559 626772241 /nfs/dbraw/zinc/77/22/41/626772241.db2.gz YCWJJFJOHQYNOK-SNVBAGLBSA-N 0 0 297.282 2.626 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OCC(=O)C1CC1 ZINC000760794551 626773204 /nfs/dbraw/zinc/77/32/04/626773204.db2.gz UWNFCLAGVSTYKZ-UHFFFAOYSA-N 0 0 297.694 2.693 20 5 CFBDRN CC(C)CC1CCN(C(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000760942391 626786178 /nfs/dbraw/zinc/78/61/78/626786178.db2.gz WYCAQKBZXDTLKD-UHFFFAOYSA-N 0 0 293.367 2.832 20 5 CFBDRN CC1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000761440556 626835369 /nfs/dbraw/zinc/83/53/69/626835369.db2.gz DTSBKJBPNLJKSW-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2ccccc2[N+](=O)[O-])CCC1=O ZINC000761456468 626836791 /nfs/dbraw/zinc/83/67/91/626836791.db2.gz IBTIAXZMNUVXJE-WDEREUQCSA-N 0 0 291.303 2.643 20 5 CFBDRN COCCC1(C(=O)OCc2csc([N+](=O)[O-])c2)CCC1 ZINC000761480444 626839157 /nfs/dbraw/zinc/83/91/57/626839157.db2.gz CCUUHWVFIRQXRE-UHFFFAOYSA-N 0 0 299.348 2.906 20 5 CFBDRN COC1CCN(Cc2ccc([N+](=O)[O-])c(Cl)c2)CC1 ZINC000761505158 626841763 /nfs/dbraw/zinc/84/17/63/626841763.db2.gz HMMWAOAYMVLIEH-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ncsc1NC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000761542171 626843960 /nfs/dbraw/zinc/84/39/60/626843960.db2.gz CFWVUWDUDCTZHA-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C1=CCCC1 ZINC000761557129 626845785 /nfs/dbraw/zinc/84/57/85/626845785.db2.gz UEXPQFLMZALADV-UHFFFAOYSA-N 0 0 265.240 2.887 20 5 CFBDRN CCOc1ccc(OC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000761841967 626862843 /nfs/dbraw/zinc/86/28/43/626862843.db2.gz MOUSYIHPKLWUSY-UHFFFAOYSA-N 0 0 288.259 2.608 20 5 CFBDRN CCC[C@@H](C)c1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000761841403 626863153 /nfs/dbraw/zinc/86/31/53/626863153.db2.gz FHZXWOYKTFMUJW-MRVPVSSYSA-N 0 0 264.285 2.887 20 5 CFBDRN Cc1nc(C)c(C)c(Oc2cc(C=O)ccc2[N+](=O)[O-])n1 ZINC000761886711 626870363 /nfs/dbraw/zinc/87/03/63/626870363.db2.gz QLAKWAZEBUYGHV-UHFFFAOYSA-N 0 0 287.275 2.915 20 5 CFBDRN CC(C)(C)CCOC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000761975745 626876734 /nfs/dbraw/zinc/87/67/34/626876734.db2.gz KJEFGLOJEFRJCI-UHFFFAOYSA-N 0 0 252.270 2.583 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])cn2)[C@@H](C)C1 ZINC000762089366 626889315 /nfs/dbraw/zinc/88/93/15/626889315.db2.gz YPRCLGLQDGGRAN-NRUUGDAUSA-N 0 0 278.308 2.971 20 5 CFBDRN Cc1ccncc1COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000762119452 626893411 /nfs/dbraw/zinc/89/34/11/626893411.db2.gz HRQSKXXPEOMHHG-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccncc1COC(=O)c1csc([N+](=O)[O-])c1 ZINC000762123305 626894221 /nfs/dbraw/zinc/89/42/21/626894221.db2.gz ZRIBZIOGVLILHI-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN COc1cc(C(=O)OC[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000762144779 626897557 /nfs/dbraw/zinc/89/75/57/626897557.db2.gz FTPDRYWKZGQTKN-SECBINFHSA-N 0 0 297.332 2.513 20 5 CFBDRN CN(Cc1cccc(O)c1)c1nc2ccccn2c1[N+](=O)[O-] ZINC000762177829 626900894 /nfs/dbraw/zinc/90/08/94/626900894.db2.gz OPRZMOPDJUOIAQ-UHFFFAOYSA-N 0 0 298.302 2.585 20 5 CFBDRN O=C1O[C@@H](COc2ccc([N+](=O)[O-])c(Cl)c2)CC12CC2 ZINC000762210570 626904022 /nfs/dbraw/zinc/90/40/22/626904022.db2.gz NHTSVIICIDXDNF-SECBINFHSA-N 0 0 297.694 2.723 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(COc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000762252002 626907311 /nfs/dbraw/zinc/90/73/11/626907311.db2.gz IJNXBMMBVFUWIG-APPZFPTMSA-N 0 0 293.254 2.819 20 5 CFBDRN Cc1cccc(OCc2ccnc(N(C)C)c2)c1[N+](=O)[O-] ZINC000762478193 626918818 /nfs/dbraw/zinc/91/88/18/626918818.db2.gz UNIJYSIKYIKGAS-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CC[C@@H]2SCCS[C@H]12 ZINC000762564603 626924395 /nfs/dbraw/zinc/92/43/95/626924395.db2.gz SDXWJMIOFPXXOP-DVVUODLYSA-N 0 0 297.405 2.781 20 5 CFBDRN CC(C)(C(=O)Nc1ccon1)c1ccc([N+](=O)[O-])cc1F ZINC000762626055 626927351 /nfs/dbraw/zinc/92/73/51/626927351.db2.gz VROOHTOTUTZOKF-UHFFFAOYSA-N 0 0 293.254 2.638 20 5 CFBDRN CCOc1cccc(NC2COC(C)(C)OC2)c1[N+](=O)[O-] ZINC000762800630 626938671 /nfs/dbraw/zinc/93/86/71/626938671.db2.gz VAFPMRUZCJNLHB-UHFFFAOYSA-N 0 0 296.323 2.557 20 5 CFBDRN CC(=O)CCCCOC(=O)c1cccc([N+](=O)[O-])c1C ZINC000762826009 626941304 /nfs/dbraw/zinc/94/13/04/626941304.db2.gz XNMXIAYDERQIRX-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN C[C@H](C(=O)OCc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000762945447 626952228 /nfs/dbraw/zinc/95/22/28/626952228.db2.gz NSXJVCXWNSTSBL-NSHDSACASA-N 0 0 286.287 2.837 20 5 CFBDRN COC[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000763013782 626954903 /nfs/dbraw/zinc/95/49/03/626954903.db2.gz JMDMIXMKOIHOBW-VIFPVBQESA-N 0 0 299.298 2.590 20 5 CFBDRN Cc1nc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2N)cs1 ZINC000763234576 626972092 /nfs/dbraw/zinc/97/20/92/626972092.db2.gz KBWNCQWWWGDCKN-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN C[C@H](C(=O)OCc1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000763397244 626985168 /nfs/dbraw/zinc/98/51/68/626985168.db2.gz FMFOEPKUOFQPDI-VIFPVBQESA-N 0 0 292.316 2.898 20 5 CFBDRN CC(C)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763404704 626985790 /nfs/dbraw/zinc/98/57/90/626985790.db2.gz PBHSHPWSNWAUML-SNVBAGLBSA-N 0 0 251.282 2.898 20 5 CFBDRN C[C@H](C(=O)OC/C=C\Cl)c1cccc([N+](=O)[O-])c1 ZINC000763401284 626985929 /nfs/dbraw/zinc/98/59/29/626985929.db2.gz GJGSSOSEDWLRGX-RWIWAZIYSA-N 0 0 269.684 2.994 20 5 CFBDRN Cc1noc(CN2CC[C@H]2Cc2ccccc2)c1[N+](=O)[O-] ZINC000851805487 634539838 /nfs/dbraw/zinc/53/98/38/634539838.db2.gz CAWVXFNRTWNKQG-ZDUSSCGKSA-N 0 0 287.319 2.708 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(C)=O ZINC000763806084 627015052 /nfs/dbraw/zinc/01/50/52/627015052.db2.gz LUXMJCQZBLBCFC-VIFPVBQESA-N 0 0 297.332 2.841 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])OCC1CC1 ZINC000763856569 627018308 /nfs/dbraw/zinc/01/83/08/627018308.db2.gz FADXWKNOCUSEAC-UHFFFAOYSA-N 0 0 267.306 2.640 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)OC/C(Cl)=C\Cl ZINC000763908912 627021420 /nfs/dbraw/zinc/02/14/20/627021420.db2.gz LXAPQZVRDJTKFM-GQCTYLIASA-N 0 0 291.090 2.653 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC/C(Cl)=C\Cl ZINC000763909248 627021529 /nfs/dbraw/zinc/02/15/29/627021529.db2.gz PXSYACSQVZWHBO-XVNBXDOJSA-N 0 0 291.090 2.774 20 5 CFBDRN CCC1(COC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)COC1 ZINC000764015756 627032222 /nfs/dbraw/zinc/03/22/22/627032222.db2.gz FWOSKCHRDZZMBD-NSHDSACASA-N 0 0 293.319 2.668 20 5 CFBDRN C[C@@H](C(=O)OC[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000764038929 627032459 /nfs/dbraw/zinc/03/24/59/627032459.db2.gz UWKRXKIWZSZUQR-GHMZBOCLSA-N 0 0 295.360 2.995 20 5 CFBDRN CS/C=C/C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000764241922 627047344 /nfs/dbraw/zinc/04/73/44/627047344.db2.gz IBULXASLTZGHQJ-VOTSOKGWSA-N 0 0 253.279 2.685 20 5 CFBDRN CC1(CCOC(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000764241087 627047410 /nfs/dbraw/zinc/04/74/10/627047410.db2.gz XJGRSUPEVKPTHF-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CS/C=C\c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000764745127 627089683 /nfs/dbraw/zinc/08/96/83/627089683.db2.gz UKNXZWUDBLTYRK-SREVYHEPSA-N 0 0 263.278 2.979 20 5 CFBDRN C[C@H]([NH2+]CCOCC1CCC1)c1cc([N+](=O)[O-])ccc1[O-] ZINC000765808285 627158732 /nfs/dbraw/zinc/15/87/32/627158732.db2.gz IJSHDLOKJLTQCV-NSHDSACASA-N 0 0 294.351 2.768 20 5 CFBDRN CCCN(c1ccc([N+](=O)[O-])c2nonc21)C1CC1 ZINC000766099007 627178140 /nfs/dbraw/zinc/17/81/40/627178140.db2.gz FLXKJCWBYHIUPW-UHFFFAOYSA-N 0 0 262.269 2.510 20 5 CFBDRN O=C(OC[C@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000766316646 627195098 /nfs/dbraw/zinc/19/50/98/627195098.db2.gz YYWFJNGGOGTKHP-VIFPVBQESA-N 0 0 299.710 2.832 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2)ns1 ZINC000766351676 627196882 /nfs/dbraw/zinc/19/68/82/627196882.db2.gz XWUXPXYGJHETPT-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1cc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)ns1 ZINC000766831892 627222298 /nfs/dbraw/zinc/22/22/98/627222298.db2.gz YLTQSZOBUGQLBD-UHFFFAOYSA-N 0 0 282.252 2.718 20 5 CFBDRN CCCc1[nH]nc(-c2nc(CC(C)C)no2)c1[N+](=O)[O-] ZINC000766896526 627225362 /nfs/dbraw/zinc/22/53/62/627225362.db2.gz YZJHLMICZCVDRY-UHFFFAOYSA-N 0 0 279.300 2.519 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@H]1CCC=CO1)CCN2 ZINC000767327369 627243467 /nfs/dbraw/zinc/24/34/67/627243467.db2.gz KSGWDLBFTBSEIV-SNVBAGLBSA-N 0 0 275.308 2.667 20 5 CFBDRN CS/C=C\C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000767650605 627268386 /nfs/dbraw/zinc/26/83/86/627268386.db2.gz IRVZGFKQNDOKDS-SREVYHEPSA-N 0 0 267.306 2.823 20 5 CFBDRN CCCCC[C@H]1CCCN1Cn1cc([N+](=O)[O-])cn1 ZINC000767764917 627283232 /nfs/dbraw/zinc/28/32/32/627283232.db2.gz NIDNJPPAIYDABG-LBPRGKRZSA-N 0 0 266.345 2.794 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CC(C2CC2)C1 ZINC000936068468 649983122 /nfs/dbraw/zinc/98/31/22/649983122.db2.gz WGRGDLORRXNIQU-HUUCEWRRSA-N 0 0 286.331 2.567 20 5 CFBDRN CCOc1ccc([C@@H](C)NCc2ccon2)cc1[N+](=O)[O-] ZINC000768107733 627314720 /nfs/dbraw/zinc/31/47/20/627314720.db2.gz MUOIOXUKCHCXMQ-SNVBAGLBSA-N 0 0 291.307 2.832 20 5 CFBDRN Cc1c(OC(=O)Cc2ccco2)cccc1[N+](=O)[O-] ZINC000769677474 627465203 /nfs/dbraw/zinc/46/52/03/627465203.db2.gz QFHWOAUWYPDFAD-UHFFFAOYSA-N 0 0 261.233 2.644 20 5 CFBDRN Cc1cnccc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000769780571 627473800 /nfs/dbraw/zinc/47/38/00/627473800.db2.gz BSPQLIRKRMYKGA-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=C(O[C@H]1Cc2ccccc2C1=O)c1ccccc1[N+](=O)[O-] ZINC000769951231 627490829 /nfs/dbraw/zinc/49/08/29/627490829.db2.gz UKRZLBKQTSHALG-AWEZNQCLSA-N 0 0 297.266 2.559 20 5 CFBDRN O=C(NCC(F)F)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000770010344 627496422 /nfs/dbraw/zinc/49/64/22/627496422.db2.gz SDJLGCAHMQTCGW-UHFFFAOYSA-N 0 0 279.630 2.635 20 5 CFBDRN Cn1ncc(Nc2ccc([N+](=O)[O-])cc2)c1C1CC1 ZINC000770127447 627503905 /nfs/dbraw/zinc/50/39/05/627503905.db2.gz OQOPGKRXODJKEQ-UHFFFAOYSA-N 0 0 258.281 2.949 20 5 CFBDRN CC[C@]1(O)CCN(c2c(C)cc([N+](=O)[O-])cc2Cl)C1 ZINC000770133508 627503936 /nfs/dbraw/zinc/50/39/36/627503936.db2.gz IORNBGVJDGNOKH-ZDUSSCGKSA-N 0 0 284.743 2.908 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCc2ncccc21 ZINC000770316911 627512960 /nfs/dbraw/zinc/51/29/60/627512960.db2.gz GCUAAGWDOUQMFQ-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1nn(CN2CC[C@@H](C)C3(CCC3)C2)c(C)c1[N+](=O)[O-] ZINC000770671339 627539543 /nfs/dbraw/zinc/53/95/43/627539543.db2.gz XHOPWYJHOMTFHU-LLVKDONJSA-N 0 0 292.383 2.878 20 5 CFBDRN CC(=O)c1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2)o1 ZINC000770819930 627549531 /nfs/dbraw/zinc/54/95/31/627549531.db2.gz OWSUNQRBZGGTPC-UHFFFAOYSA-N 0 0 275.216 2.610 20 5 CFBDRN Cc1nc(C(=O)Oc2cccc([N+](=O)[O-])c2)sc1C ZINC000770821377 627549950 /nfs/dbraw/zinc/54/99/50/627549950.db2.gz YYGZELPTJCHGIC-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN C[C@H](CC(=O)Nc1cc([N+](=O)[O-])ccc1[O-])C1CC1 ZINC000770858521 627551664 /nfs/dbraw/zinc/55/16/64/627551664.db2.gz UXFOMQRJIULPLY-MRVPVSSYSA-N 0 0 264.281 2.675 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2ccccc2[N+](=O)[O-])o1 ZINC000770908889 627556751 /nfs/dbraw/zinc/55/67/51/627556751.db2.gz OXOIEDBYJGXPQS-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN C[C@@H]1CCC[C@@H](COC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000770960837 627564842 /nfs/dbraw/zinc/56/48/42/627564842.db2.gz GUMPIGKMYVDANZ-VXGBXAGGSA-N 0 0 295.339 2.551 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCO[C@H](C)C2)c1 ZINC000771258516 627588957 /nfs/dbraw/zinc/58/89/57/627588957.db2.gz IMXLOWMNONWRJH-ZJUUUORDSA-N 0 0 298.314 2.970 20 5 CFBDRN CC(C)n1ccc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000771487048 627608350 /nfs/dbraw/zinc/60/83/50/627608350.db2.gz REYPWHRBBFYZQO-UHFFFAOYSA-N 0 0 293.254 2.731 20 5 CFBDRN Cc1cc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c(C)n1C ZINC000771651754 627621189 /nfs/dbraw/zinc/62/11/89/627621189.db2.gz GGLQPAXHAVHFTA-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN C[C@H](C[C@H](O)c1ccccc1)Nc1ccc([N+](=O)[O-])nc1 ZINC000771926219 627649076 /nfs/dbraw/zinc/64/90/76/627649076.db2.gz MFUQMVTVSCVNDQ-RISCZKNCSA-N 0 0 287.319 2.914 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCOC(=O)c2nn(C)cc2[N+](=O)[O-])C1 ZINC000772056742 627668612 /nfs/dbraw/zinc/66/86/12/627668612.db2.gz KXPAONCUYCVNGU-MNOVXSKESA-N 0 0 295.339 2.702 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000772487518 627712745 /nfs/dbraw/zinc/71/27/45/627712745.db2.gz UYBQNJGYIVKAKU-CHWSQXEVSA-N 0 0 291.303 2.613 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000772490036 627713251 /nfs/dbraw/zinc/71/32/51/627713251.db2.gz KOHUUQNCCIUODN-QWHCGFSZSA-N 0 0 291.303 2.613 20 5 CFBDRN COc1ccc(COC(=O)[C@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000772497562 627714721 /nfs/dbraw/zinc/71/47/21/627714721.db2.gz ZYDRSRZGWWOVJC-RYUDHWBXSA-N 0 0 293.319 2.939 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000772496058 627714748 /nfs/dbraw/zinc/71/47/48/627714748.db2.gz VYTOQFDSENIUKX-UONOGXRCSA-N 0 0 277.320 2.973 20 5 CFBDRN CC/C=C\COC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000772643902 627730412 /nfs/dbraw/zinc/73/04/12/627730412.db2.gz KWVPXQVDKBIJPE-DAXSKMNVSA-N 0 0 278.308 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc(SCC(F)(F)C(F)F)nc1 ZINC000772858482 627751464 /nfs/dbraw/zinc/75/14/64/627751464.db2.gz QSEVNQLJFCUYFY-UHFFFAOYSA-N 0 0 270.207 2.982 20 5 CFBDRN COc1cc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000772895009 627756780 /nfs/dbraw/zinc/75/67/80/627756780.db2.gz CVVOILSOYCXAOZ-UHFFFAOYSA-N 0 0 295.682 2.837 20 5 CFBDRN Cc1ccc(OC(=O)COC2(C)CCC2)c([N+](=O)[O-])c1 ZINC000772972687 627763637 /nfs/dbraw/zinc/76/36/37/627763637.db2.gz VRVRFFGMUFIIMQ-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN NC(=NOCc1ccc(Cl)c([N+](=O)[O-])c1)C(F)(F)F ZINC000773179600 627792695 /nfs/dbraw/zinc/79/26/95/627792695.db2.gz FBDWLENULFSZOI-UHFFFAOYSA-N 0 0 297.620 2.809 20 5 CFBDRN CC1(OCC(=O)OCc2ccccc2[N+](=O)[O-])CCC1 ZINC000773208611 627795840 /nfs/dbraw/zinc/79/58/40/627795840.db2.gz VIFZUBKCJFNKLY-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN CC1(OCC(=O)OCc2csc([N+](=O)[O-])c2)CCC1 ZINC000773209426 627795954 /nfs/dbraw/zinc/79/59/54/627795954.db2.gz BHMTUBAMOXCFMF-UHFFFAOYSA-N 0 0 285.321 2.659 20 5 CFBDRN CSCCOC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000773542132 627835958 /nfs/dbraw/zinc/83/59/58/627835958.db2.gz IHTBHDSTBHUTPS-UHFFFAOYSA-N 0 0 292.316 2.663 20 5 CFBDRN O=C(Nc1cc(=O)c(Cl)c[nH]1)c1ccc([N+](=O)[O-])s1 ZINC000773652465 627846526 /nfs/dbraw/zinc/84/65/26/627846526.db2.gz XSCAGTPFLIVFME-UHFFFAOYSA-N 0 0 299.695 2.663 20 5 CFBDRN CS/C=C/C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773988434 627876407 /nfs/dbraw/zinc/87/64/07/627876407.db2.gz BEHCWPBMLXKYFM-GQCTYLIASA-N 0 0 278.333 2.669 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H]1CCCS1)CC2 ZINC000773988384 627876638 /nfs/dbraw/zinc/87/66/38/627876638.db2.gz AKCYKNIASDCHRX-CYBMUJFWSA-N 0 0 292.360 2.688 20 5 CFBDRN CCc1cc(CNc2ccc([N+](=O)[O-])cc2COC)[nH]n1 ZINC000774528163 627935152 /nfs/dbraw/zinc/93/51/52/627935152.db2.gz AVLFNGHOSDIJTG-UHFFFAOYSA-N 0 0 290.323 2.639 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(Cc1ccncc1)CC2 ZINC000774539746 627936423 /nfs/dbraw/zinc/93/64/23/627936423.db2.gz BQTRRJADKIPAHU-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CC(C)CNC(=S)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000774583370 627939696 /nfs/dbraw/zinc/93/96/96/627939696.db2.gz VVQHOUZSVQAAPA-SNVBAGLBSA-N 0 0 281.381 2.776 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000774714307 627951155 /nfs/dbraw/zinc/95/11/55/627951155.db2.gz AWXYFZGQWPGWER-ZANVPECISA-N 0 0 295.295 2.730 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000774714299 627951158 /nfs/dbraw/zinc/95/11/58/627951158.db2.gz AWXYFZGQWPGWER-NOZJJQNGSA-N 0 0 295.295 2.730 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)C[C@@H]2CCOC2)cc([N+](=O)[O-])c1 ZINC000774736286 627954840 /nfs/dbraw/zinc/95/48/40/627954840.db2.gz RXJYXIPOCAENJW-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN COc1ccc(CC(=O)OCC=C(C)C)cc1[N+](=O)[O-] ZINC000775280902 628006636 /nfs/dbraw/zinc/00/66/36/628006636.db2.gz ZBOLSFBVDZNWTK-UHFFFAOYSA-N 0 0 279.292 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCC(=O)[C@@H](C)C1 ZINC000775481689 628029621 /nfs/dbraw/zinc/02/96/21/628029621.db2.gz OYNFZTZQGUQFKB-WDEREUQCSA-N 0 0 291.303 2.814 20 5 CFBDRN Cc1ccc(OC(=O)c2ccn(C(F)F)n2)cc1[N+](=O)[O-] ZINC000776098108 628102570 /nfs/dbraw/zinc/10/25/70/628102570.db2.gz AXXUKDOEEWTZAQ-UHFFFAOYSA-N 0 0 297.217 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)CSc2ccccn2)cc1 ZINC000776271050 628125126 /nfs/dbraw/zinc/12/51/26/628125126.db2.gz HDZHMDHJLBZULK-GFCCVEGCSA-N 0 0 276.317 2.816 20 5 CFBDRN CN(C(=O)C1(F)CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000776305896 628129638 /nfs/dbraw/zinc/12/96/38/628129638.db2.gz IWWSLFUPYWTUJL-UHFFFAOYSA-N 0 0 266.272 2.840 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000776310999 628130479 /nfs/dbraw/zinc/13/04/79/628130479.db2.gz WMXJVGMZTWXOPS-NXEZZACHSA-N 0 0 297.282 2.592 20 5 CFBDRN O=C(OCc1cncs1)c1cc(F)ccc1[N+](=O)[O-] ZINC000776354978 628135245 /nfs/dbraw/zinc/13/52/45/628135245.db2.gz KXRHWLAOAKRMGA-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCO1 ZINC000776410491 628145848 /nfs/dbraw/zinc/14/58/48/628145848.db2.gz DKMOKRHPMWHSRF-WPRPVWTQSA-N 0 0 285.321 2.515 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000776523813 628156268 /nfs/dbraw/zinc/15/62/68/628156268.db2.gz WBNGBRUJVKRLGF-CMPLNLGQSA-N 0 0 293.319 2.878 20 5 CFBDRN Cc1cc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)ccn1 ZINC000790826941 628211814 /nfs/dbraw/zinc/21/18/14/628211814.db2.gz PMRBWTLCEVAVBS-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CSc1cccc(C(=O)O[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000777338613 628216155 /nfs/dbraw/zinc/21/61/55/628216155.db2.gz XIZJHUYHZSZPGP-VIFPVBQESA-N 0 0 297.332 2.653 20 5 CFBDRN O=C(OCCc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000777379077 628218970 /nfs/dbraw/zinc/21/89/70/628218970.db2.gz HHEIJLYBQFQXAU-UHFFFAOYSA-N 0 0 261.233 2.587 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1Cc1ccc(CF)cc1 ZINC000777540124 628234322 /nfs/dbraw/zinc/23/43/22/628234322.db2.gz WZBAAZNRMACLHQ-UHFFFAOYSA-N 0 0 296.685 2.928 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NOC1CCCCC1 ZINC000777950642 628266848 /nfs/dbraw/zinc/26/68/48/628266848.db2.gz UYJWFKHLQACQDN-UHFFFAOYSA-N 0 0 278.308 2.897 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](C)[C@H](F)C1 ZINC000777998850 628271643 /nfs/dbraw/zinc/27/16/43/628271643.db2.gz FHBGXEFPNVRMNR-GXFFZTMASA-N 0 0 282.315 2.926 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H]1F ZINC000778000614 628272114 /nfs/dbraw/zinc/27/21/14/628272114.db2.gz QXXWRSVJFRAABU-PSASIEDQSA-N 0 0 283.259 2.687 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@@H](C(C)C)C1 ZINC000778009147 628272668 /nfs/dbraw/zinc/27/26/68/628272668.db2.gz LPODECVOIVCUGD-CQSZACIVSA-N 0 0 264.325 2.764 20 5 CFBDRN O=C(NC1(C2CC2)CC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778037408 628274651 /nfs/dbraw/zinc/27/46/51/628274651.db2.gz VNMOFQFFWRFQTA-UHFFFAOYSA-N 0 0 296.273 2.745 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)OCc1ccc(F)cc1 ZINC000778140390 628280367 /nfs/dbraw/zinc/28/03/67/628280367.db2.gz MCSMSSILMWRRIC-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN COCCC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000778193408 628285288 /nfs/dbraw/zinc/28/52/88/628285288.db2.gz ASHJSNARRCCKFK-SNVBAGLBSA-N 0 0 299.298 2.840 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000778374187 628301549 /nfs/dbraw/zinc/30/15/49/628301549.db2.gz VQZWHKUWZKRVPS-MNOVXSKESA-N 0 0 279.292 2.567 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@@H](C)[C@H](F)C2)c1[N+](=O)[O-] ZINC000778461390 628308710 /nfs/dbraw/zinc/30/87/10/628308710.db2.gz RNLQUERHYDJVCH-PRHODGIISA-N 0 0 298.289 2.862 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000778746190 628329434 /nfs/dbraw/zinc/32/94/34/628329434.db2.gz LWBMBJNEDDUJSE-VNKDHWASSA-N 0 0 277.276 2.779 20 5 CFBDRN CCC[C@@H](C)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000778749184 628330010 /nfs/dbraw/zinc/33/00/10/628330010.db2.gz SNFUQXPSYLYIJQ-ZJUUUORDSA-N 0 0 268.313 2.915 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1cnn(C)c1 ZINC000778758592 628330257 /nfs/dbraw/zinc/33/02/57/628330257.db2.gz WMXYGWQODNRGED-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN O=C(OCc1ccc(CO)cc1)c1csc([N+](=O)[O-])c1 ZINC000778778691 628330900 /nfs/dbraw/zinc/33/09/00/628330900.db2.gz LVXGIIMEJLIXHN-UHFFFAOYSA-N 0 0 293.300 2.506 20 5 CFBDRN COc1cc(COC(=O)CSC(C)C)ccc1[N+](=O)[O-] ZINC000778771002 628331109 /nfs/dbraw/zinc/33/11/09/628331109.db2.gz JQRWWVPSTQJWKB-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1cc[nH]n1 ZINC000778776492 628331173 /nfs/dbraw/zinc/33/11/73/628331173.db2.gz UHOUYUIBVICLKU-VIFPVBQESA-N 0 0 275.264 2.544 20 5 CFBDRN CC[C@H](OC)C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778786673 628331501 /nfs/dbraw/zinc/33/15/01/628331501.db2.gz AOPRHICOTBSZKX-GWCFXTLKSA-N 0 0 281.308 2.932 20 5 CFBDRN CC[C@H](C)C(=O)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778786256 628331775 /nfs/dbraw/zinc/33/17/75/628331775.db2.gz LAUQYTRFYMLFAA-FZMZJTMJSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1CCC[C@H]1O ZINC000778812723 628333663 /nfs/dbraw/zinc/33/36/63/628333663.db2.gz WGYHHLOHOHTXKF-ZKYQVNSYSA-N 0 0 293.319 2.668 20 5 CFBDRN COc1cc(COC(=O)[C@H]2C[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000778816645 628333907 /nfs/dbraw/zinc/33/39/07/628333907.db2.gz RZCCPLVNXLBPFL-RYUDHWBXSA-N 0 0 291.303 2.693 20 5 CFBDRN CNc1ccc(C(=O)O[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000779266175 628367094 /nfs/dbraw/zinc/36/70/94/628367094.db2.gz VGQZDEQTZRJRDG-MWLCHTKSSA-N 0 0 278.308 2.982 20 5 CFBDRN COCC1(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1 ZINC000779350703 628376515 /nfs/dbraw/zinc/37/65/15/628376515.db2.gz DPKPLZRLLVYANO-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@@H]1O ZINC000779426741 628381288 /nfs/dbraw/zinc/38/12/88/628381288.db2.gz HVZXDOAQEWGPDT-RISCZKNCSA-N 0 0 296.342 2.967 20 5 CFBDRN Cc1ccc2c(c1)CCN2C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000779546562 628387758 /nfs/dbraw/zinc/38/77/58/628387758.db2.gz YTIAJPUZNGLBFD-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)Oc2cccc3ccccc32)n1 ZINC000779707603 628394253 /nfs/dbraw/zinc/39/42/53/628394253.db2.gz WIPIEXAIGDGSQO-UHFFFAOYSA-N 0 0 297.270 2.701 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)OCCCC2CCCCC2)n1 ZINC000779716412 628395264 /nfs/dbraw/zinc/39/52/64/628395264.db2.gz YIEVVBMNBNXGPD-UHFFFAOYSA-N 0 0 295.339 2.846 20 5 CFBDRN Cc1cnc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c(C)c1 ZINC000779743639 628398763 /nfs/dbraw/zinc/39/87/63/628398763.db2.gz RUXHBFLMSGXLSD-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC/C(C)=C(/C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000779858700 628414753 /nfs/dbraw/zinc/41/47/53/628414753.db2.gz CYEQTCRJFHXRPY-QXMHVHEDSA-N 0 0 276.336 3.000 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)OCCOC ZINC000780283676 628450295 /nfs/dbraw/zinc/45/02/95/628450295.db2.gz YIVXXYAXTGSTKK-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN CCCCCC[C@H](C)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000780324029 628454872 /nfs/dbraw/zinc/45/48/72/628454872.db2.gz IDTQQKHYBTVFCX-JTQLQIEISA-N 0 0 283.328 2.844 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)/C=C\C1CC1 ZINC000780637276 628483776 /nfs/dbraw/zinc/48/37/76/628483776.db2.gz SVABDVITFWEDQJ-DAXSKMNVSA-N 0 0 291.303 2.791 20 5 CFBDRN Cc1cc(COC(=O)[C@]2(C)CCCOC2)ccc1[N+](=O)[O-] ZINC000781170992 628528678 /nfs/dbraw/zinc/52/86/78/628528678.db2.gz FTMGPRRCEXZIRB-OAHLLOKOSA-N 0 0 293.319 2.763 20 5 CFBDRN Cc1cc(COC(=O)c2cncs2)ccc1[N+](=O)[O-] ZINC000781171205 628528775 /nfs/dbraw/zinc/52/87/75/628528775.db2.gz JUFRICHBOPNBPU-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1cc(COC(=O)c2ccncc2F)ccc1[N+](=O)[O-] ZINC000781171693 628528786 /nfs/dbraw/zinc/52/87/86/628528786.db2.gz OFJDBYLWFPVCKH-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCO[C@@H](CC)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000781172210 628528934 /nfs/dbraw/zinc/52/89/34/628528934.db2.gz UXPFUKVDIXDQIG-ZDUSSCGKSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1cc(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)co1 ZINC000781380202 628544792 /nfs/dbraw/zinc/54/47/92/628544792.db2.gz MQWRKWCXOVZWCE-UHFFFAOYSA-N 0 0 289.243 2.536 20 5 CFBDRN C[C@@H](OC(=O)/C=C/c1ccncn1)c1ccccc1[N+](=O)[O-] ZINC000781458139 628549774 /nfs/dbraw/zinc/54/97/74/628549774.db2.gz IULNMBXGCBTTAJ-XUIVZRPNSA-N 0 0 299.286 2.702 20 5 CFBDRN C[C@H](OC(=O)/C=C\c1ccncn1)c1ccccc1[N+](=O)[O-] ZINC000781458140 628549917 /nfs/dbraw/zinc/54/99/17/628549917.db2.gz IULNMBXGCBTTAJ-ZADCQDASSA-N 0 0 299.286 2.702 20 5 CFBDRN CCc1ccc(OC(=O)[C@]2(C)CCCOC2)c([N+](=O)[O-])c1 ZINC000781460242 628550068 /nfs/dbraw/zinc/55/00/68/628550068.db2.gz ZLFXFLIFSYLEQJ-OAHLLOKOSA-N 0 0 293.319 2.879 20 5 CFBDRN COC1(C(=O)O[C@@H](C)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000781621750 628567704 /nfs/dbraw/zinc/56/77/04/628567704.db2.gz DMADTALKWPCIEE-JTQLQIEISA-N 0 0 279.292 2.768 20 5 CFBDRN CCCn1cc(C(=O)OCc2ccccc2[N+](=O)[O-])cn1 ZINC000781708716 628574631 /nfs/dbraw/zinc/57/46/31/628574631.db2.gz HUUAHLIHLWJQRR-UHFFFAOYSA-N 0 0 289.291 2.558 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cccc(O)c1 ZINC000782008724 628596465 /nfs/dbraw/zinc/59/64/65/628596465.db2.gz SKVKUFQKAWDTPK-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN CO[C@H](CNc1cccc(C)c1[N+](=O)[O-])C(F)(F)F ZINC000782349147 628631109 /nfs/dbraw/zinc/63/11/09/628631109.db2.gz KEWSOUUKQJHREE-SECBINFHSA-N 0 0 278.230 2.892 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)[C@H](C)[C@H](C)O1 ZINC000782403971 628635899 /nfs/dbraw/zinc/63/58/99/628635899.db2.gz QOCIGXZXYKKAGT-MXWKQRLJSA-N 0 0 250.298 2.597 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)[C@@H](C)[C@@H](C)O1 ZINC000782410040 628636372 /nfs/dbraw/zinc/63/63/72/628636372.db2.gz NKNGJBPUOKSJQT-KXUCPTDWSA-N 0 0 268.288 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ncsc3C2)c(F)c1 ZINC000782418074 628636493 /nfs/dbraw/zinc/63/64/93/628636493.db2.gz NCZOJTAIYGEMNL-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN CC(C)SCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782694338 628655911 /nfs/dbraw/zinc/65/59/11/628655911.db2.gz DJCDBCRNIYMEAW-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN CC(C)OCCCCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000782979043 628667361 /nfs/dbraw/zinc/66/73/61/628667361.db2.gz NFASOPVFPMLZKO-UHFFFAOYSA-N 0 0 292.339 2.860 20 5 CFBDRN CC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782985788 628667385 /nfs/dbraw/zinc/66/73/85/628667385.db2.gz JQLAXWSOFUSWJL-UHFFFAOYSA-N 0 0 255.245 2.823 20 5 CFBDRN CCO[C@@H](CC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783176097 628681819 /nfs/dbraw/zinc/68/18/19/628681819.db2.gz RQQUXKIZCAOGFC-LBPRGKRZSA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@H](C(=O)OC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000783189856 628683450 /nfs/dbraw/zinc/68/34/50/628683450.db2.gz TYCTYULUOCILEN-ZETCQYMHSA-N 0 0 253.229 2.543 20 5 CFBDRN O=C(OC1CC1)c1ccc(Br)cc1[N+](=O)[O-] ZINC000783196577 628684320 /nfs/dbraw/zinc/68/43/20/628684320.db2.gz PSQWWNNCFQUCME-UHFFFAOYSA-N 0 0 286.081 2.677 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)c1cccc(F)n1 ZINC000783207114 628686212 /nfs/dbraw/zinc/68/62/12/628686212.db2.gz WEPTZGBPCUDQMP-UHFFFAOYSA-N 0 0 290.250 2.528 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1cccc(F)n1 ZINC000783206178 628686357 /nfs/dbraw/zinc/68/63/57/628686357.db2.gz BMNOIQHIVPROFL-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN O=C(Cn1c2ccccc2c(=O)c2ccccc21)OC1CC1 ZINC000783231156 628689892 /nfs/dbraw/zinc/68/98/92/628689892.db2.gz XXVKMJHLCQDEKA-UHFFFAOYSA-N 0 0 293.322 2.860 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCCC1CCC1 ZINC000783445490 628709370 /nfs/dbraw/zinc/70/93/70/628709370.db2.gz VIXQUNOOVIQFQP-UHFFFAOYSA-N 0 0 264.281 2.645 20 5 CFBDRN Cc1cc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)no1 ZINC000783596883 628725228 /nfs/dbraw/zinc/72/52/28/628725228.db2.gz FQNRKNLOVFWBHW-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN C[C@H](OC(=O)/C=C/c1cnccn1)c1ccccc1[N+](=O)[O-] ZINC000783654033 628731129 /nfs/dbraw/zinc/73/11/29/628731129.db2.gz JUJBVYYTWLNKKQ-MLRMMBSGSA-N 0 0 299.286 2.702 20 5 CFBDRN O=C(CCC1CC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783686574 628734657 /nfs/dbraw/zinc/73/46/57/628734657.db2.gz XKSUZXKLDIPLLR-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN Cc1noc(CN(C)c2ccc(C)cc2F)c1[N+](=O)[O-] ZINC000852173701 634680075 /nfs/dbraw/zinc/68/00/75/634680075.db2.gz XPJXPLNZXPEGFX-UHFFFAOYSA-N 0 0 279.271 2.975 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1CCCS1 ZINC000783953777 628757635 /nfs/dbraw/zinc/75/76/35/628757635.db2.gz WKTFRXKHELUHHN-LLVKDONJSA-N 0 0 285.296 2.673 20 5 CFBDRN Cc1ccc([C@@H]2CCN(Cn3cc([N+](=O)[O-])cn3)C2)cc1 ZINC000784027167 628765907 /nfs/dbraw/zinc/76/59/07/628765907.db2.gz VDEXDVXHNSBCGO-CQSZACIVSA-N 0 0 286.335 2.547 20 5 CFBDRN C/C(=C\C(=O)N[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000784051533 628769043 /nfs/dbraw/zinc/76/90/43/628769043.db2.gz ZSYKDASXYTXJCO-OANVXVOSSA-N 0 0 292.360 2.620 20 5 CFBDRN CS[C@H](C)CNC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784081555 628774076 /nfs/dbraw/zinc/77/40/76/628774076.db2.gz CTOJTQIGALSKCU-RJCSOLBVSA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000852175834 634681833 /nfs/dbraw/zinc/68/18/33/634681833.db2.gz MATXYIWZBBTKMI-DGCLKSJQSA-N 0 0 289.335 2.982 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@@H]1CO[C@@H](C)C1 ZINC000784114131 628778580 /nfs/dbraw/zinc/77/85/80/628778580.db2.gz WYDPZXDVERUBDM-IUCAKERBSA-N 0 0 299.710 2.887 20 5 CFBDRN CO[C@@H]1Cc2ccc(Nc3cccnc3[N+](=O)[O-])cc2C1 ZINC000784193008 628789763 /nfs/dbraw/zinc/78/97/63/628789763.db2.gz VWWPLZJKSBJPEL-CYBMUJFWSA-N 0 0 285.303 2.847 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1CO[C@H](C)C1 ZINC000784278247 628798423 /nfs/dbraw/zinc/79/84/23/628798423.db2.gz LUSUVZWPAQMHCG-GRYCIOLGSA-N 0 0 293.319 2.932 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000784308235 628803358 /nfs/dbraw/zinc/80/33/58/628803358.db2.gz WDXILGSNBBYZPA-NSHDSACASA-N 0 0 273.235 2.771 20 5 CFBDRN CN(C)c1ccc(COC(=O)/C=C/C2CC2)cc1[N+](=O)[O-] ZINC000784492807 628821061 /nfs/dbraw/zinc/82/10/61/628821061.db2.gz DZZSTKUEMKJNBN-SOFGYWHQSA-N 0 0 290.319 2.670 20 5 CFBDRN CN(C)c1ccc(COC(=O)C2(C)CCC2)cc1[N+](=O)[O-] ZINC000784501592 628822270 /nfs/dbraw/zinc/82/22/70/628822270.db2.gz MBMQGPVHYFVALL-UHFFFAOYSA-N 0 0 292.335 2.894 20 5 CFBDRN COCCC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784582104 628828832 /nfs/dbraw/zinc/82/88/32/628828832.db2.gz IBYUTYYCUMUMBJ-SNVBAGLBSA-N 0 0 299.298 2.840 20 5 CFBDRN C/C(=C\C(=O)N1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000784595233 628830441 /nfs/dbraw/zinc/83/04/41/628830441.db2.gz LPBAZDFLEMLZDR-ZHACJKMWSA-N 0 0 260.293 2.621 20 5 CFBDRN C/C(=C\C(=O)N[C@@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000784640640 628833150 /nfs/dbraw/zinc/83/31/50/628833150.db2.gz NLXAGBPJYFISBL-NNWFXZMQSA-N 0 0 260.293 2.523 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1SCCSCCO ZINC000784687750 628837151 /nfs/dbraw/zinc/83/71/51/628837151.db2.gz OQQPQFMJTXNMAS-UHFFFAOYSA-N 0 0 277.342 2.552 20 5 CFBDRN COC[C@H](C)OC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784871926 628847479 /nfs/dbraw/zinc/84/74/79/628847479.db2.gz OXIUUAAHYIOJEX-IEHMKBBKSA-N 0 0 279.292 2.576 20 5 CFBDRN CO[C@@H](C)CCOC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784882936 628848507 /nfs/dbraw/zinc/84/85/07/628848507.db2.gz LHQODRWXCFSKGV-IIANPFDCSA-N 0 0 293.319 2.966 20 5 CFBDRN C/C(=C/C(=O)O[C@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000784887032 628849033 /nfs/dbraw/zinc/84/90/33/628849033.db2.gz LHYKQEVBQDEQRA-FPAJZGIOSA-N 0 0 291.303 2.720 20 5 CFBDRN CC(F)(F)CC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784968438 628857057 /nfs/dbraw/zinc/85/70/57/628857057.db2.gz DONIVEYZLXSWSF-UHFFFAOYSA-N 0 0 277.198 2.822 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1nccs1 ZINC000785020068 628860320 /nfs/dbraw/zinc/86/03/20/628860320.db2.gz UIMRDOGBSPZIGA-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN Cc1[nH]ncc1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000785576577 628894942 /nfs/dbraw/zinc/89/49/42/628894942.db2.gz BHRDQTWPFALKGZ-VIFPVBQESA-N 0 0 275.264 2.544 20 5 CFBDRN Cc1cnccc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000786035062 628931720 /nfs/dbraw/zinc/93/17/20/628931720.db2.gz IJKLCDJJNILNJO-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1coc(C2CC2)n1 ZINC000786151744 628939754 /nfs/dbraw/zinc/93/97/54/628939754.db2.gz UQMZVKSGGUFTDN-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2CCCOCC2)c([N+](=O)[O-])c1 ZINC000786420883 628955463 /nfs/dbraw/zinc/95/54/63/628955463.db2.gz SDMHREXPIGKJOP-GFCCVEGCSA-N 0 0 293.319 2.879 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)C(F)F)ccc1[N+](=O)[O-] ZINC000786501764 628961588 /nfs/dbraw/zinc/96/15/88/628961588.db2.gz HLUBHJPJXKPILZ-ZETCQYMHSA-N 0 0 259.208 2.714 20 5 CFBDRN CCOc1ccc(C(=O)O[C@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000786516426 628964323 /nfs/dbraw/zinc/96/43/23/628964323.db2.gz JZMSISQCSCUXJY-SSDOTTSWSA-N 0 0 289.234 2.804 20 5 CFBDRN Nc1ccc(NCc2ccccc2F)c([N+](=O)[O-])c1 ZINC000786562348 628968704 /nfs/dbraw/zinc/96/87/04/628968704.db2.gz VTIPVGXWKOKYRO-UHFFFAOYSA-N 0 0 261.256 2.928 20 5 CFBDRN Nc1ccc(N2C[C@@H]3CCCC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000786804017 628979866 /nfs/dbraw/zinc/97/98/66/628979866.db2.gz MGUNZUBEBXIRSX-PHIMTYICSA-N 0 0 261.325 2.803 20 5 CFBDRN CCOC(CCNc1sccc1[N+](=O)[O-])OCC ZINC000786823318 628980710 /nfs/dbraw/zinc/98/07/10/628980710.db2.gz KABAJXKYNJIFBL-UHFFFAOYSA-N 0 0 274.342 2.857 20 5 CFBDRN Cc1cnc(CC(=O)OCc2ccc([N+](=O)[O-])cc2)s1 ZINC000787017872 628988594 /nfs/dbraw/zinc/98/85/94/628988594.db2.gz OBYIXLRGECSUMW-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN CCC/C=C\C(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000787507572 629016154 /nfs/dbraw/zinc/01/61/54/629016154.db2.gz IMISJMVSHZMHLB-PLNGDYQASA-N 0 0 290.319 2.541 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)c1[N+](=O)[O-] ZINC000787722238 629029241 /nfs/dbraw/zinc/02/92/41/629029241.db2.gz ZSEOUGTZUYHBDB-IAZYJMLFSA-N 0 0 278.283 2.571 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000787724923 629029919 /nfs/dbraw/zinc/02/99/19/629029919.db2.gz YEIQUIVVMIATCK-DHMKNYMXSA-N 0 0 286.331 2.913 20 5 CFBDRN O=C(OCC[C@@H]1CCCO1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000787733869 629030781 /nfs/dbraw/zinc/03/07/81/629030781.db2.gz YHIOXYGWYBUXFT-VIFPVBQESA-N 0 0 299.710 2.974 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC/C=C\Cl)c1F ZINC000787940551 629043446 /nfs/dbraw/zinc/04/34/46/629043446.db2.gz VOLNQEQNWJOTHS-IHWYPQMZSA-N 0 0 273.647 2.952 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCCCCCO)c1 ZINC000787982969 629046198 /nfs/dbraw/zinc/04/61/98/629046198.db2.gz YOTJCLCGFGJUDU-UHFFFAOYSA-N 0 0 299.348 2.636 20 5 CFBDRN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000788465475 629071060 /nfs/dbraw/zinc/07/10/60/629071060.db2.gz VVSHOHGXPBOLJH-PEGIJTEDSA-N 0 0 298.770 2.982 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCCCCO1 ZINC000788487359 629074073 /nfs/dbraw/zinc/07/40/73/629074073.db2.gz WCTRFPREWLWEJL-UHFFFAOYSA-N 0 0 284.699 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Oc2cncc(Cl)n2)c1 ZINC000788545479 629080904 /nfs/dbraw/zinc/08/09/04/629080904.db2.gz RMALLDWNLDCGPL-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN C[C@H]1CC[C@H](COC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)O1 ZINC000789079763 629124258 /nfs/dbraw/zinc/12/42/58/629124258.db2.gz RVLSMOTYXRKRFT-WCBMZHEXSA-N 0 0 299.710 2.973 20 5 CFBDRN CC(C)(NC(=S)NC1(C)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000789262948 629142483 /nfs/dbraw/zinc/14/24/83/629142483.db2.gz GIIGWAVHJHWLRF-UHFFFAOYSA-N 0 0 293.392 2.847 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1CC(=O)c2ccccc21 ZINC000789340616 629148284 /nfs/dbraw/zinc/14/82/84/629148284.db2.gz ADDLBDYLZGWAFT-CQSZACIVSA-N 0 0 297.266 2.870 20 5 CFBDRN Cc1cnc(COC(=O)[C@@H]2CC23CCC3)c(C)c1[N+](=O)[O-] ZINC000789439557 629157048 /nfs/dbraw/zinc/15/70/48/629157048.db2.gz ALVHWJDFMMIYHY-NSHDSACASA-N 0 0 290.319 2.840 20 5 CFBDRN CC(C)(C(=O)OCC1(F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000789586109 629169067 /nfs/dbraw/zinc/16/90/67/629169067.db2.gz RYJLUZKYFHEING-UHFFFAOYSA-N 0 0 281.283 2.918 20 5 CFBDRN CSc1cccc(C(=O)OCC2(F)CC2)c1[N+](=O)[O-] ZINC000789586963 629169369 /nfs/dbraw/zinc/16/93/69/629169369.db2.gz YVQQVGHQMTVVFE-UHFFFAOYSA-N 0 0 285.296 2.976 20 5 CFBDRN O=C(OCC1(F)CC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000789591363 629169943 /nfs/dbraw/zinc/16/99/43/629169943.db2.gz QJVQPLZZPLTKIX-UHFFFAOYSA-N 0 0 273.647 2.907 20 5 CFBDRN C[C@@H](COC(=O)COc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000789602539 629171939 /nfs/dbraw/zinc/17/19/39/629171939.db2.gz BUEVYFSJQRQXMO-JTQLQIEISA-N 0 0 279.292 2.563 20 5 CFBDRN Cc1ccc(OC(=O)c2cc([N+](=O)[O-])cn2C)cc1F ZINC000789653770 629178271 /nfs/dbraw/zinc/17/82/71/629178271.db2.gz BOZRFBBNKRMEHH-UHFFFAOYSA-N 0 0 278.239 2.600 20 5 CFBDRN CC(C)(C)[C@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000790435673 629239127 /nfs/dbraw/zinc/23/91/27/629239127.db2.gz PBIVWEOAVGNYDO-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSCc2ncccn2)cc1 ZINC000790567831 629248456 /nfs/dbraw/zinc/24/84/56/629248456.db2.gz NWLRPTFFQZHGQB-UHFFFAOYSA-N 0 0 275.333 2.861 20 5 CFBDRN CCCOC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000790742541 629259174 /nfs/dbraw/zinc/25/91/74/629259174.db2.gz SWJWKSDJVHOABT-UHFFFAOYSA-N 0 0 275.663 2.883 20 5 CFBDRN CCn1ccc(CN(C)c2nc(C)ccc2[N+](=O)[O-])c1 ZINC000790778583 629261934 /nfs/dbraw/zinc/26/19/34/629261934.db2.gz BPSULFUCSWFRNR-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN COc1ccc(OC(=O)c2ccnc(C)c2)cc1[N+](=O)[O-] ZINC000791006005 629280117 /nfs/dbraw/zinc/28/01/17/629280117.db2.gz CDADMOVWLJFRAW-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN O=[N+]([O-])CCN[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000791055353 629284836 /nfs/dbraw/zinc/28/48/36/629284836.db2.gz SIHDZHCTSSYOPD-SNVBAGLBSA-N 0 0 280.221 2.686 20 5 CFBDRN CCCCCC[C@@H](C)NC(=O)c1ccnc(N)c1[N+](=O)[O-] ZINC000791203723 629293011 /nfs/dbraw/zinc/29/30/11/629293011.db2.gz FEOGJFREABGDDR-SNVBAGLBSA-N 0 0 294.355 2.661 20 5 CFBDRN COC(=O)CSc1cc(Cl)ccc1[N+](=O)[O-] ZINC000791409300 629310909 /nfs/dbraw/zinc/31/09/09/629310909.db2.gz KJTUGEDVGJMISE-UHFFFAOYSA-N 0 0 261.686 2.513 20 5 CFBDRN CC[C@H](C)CC(=O)OCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000791503973 629322987 /nfs/dbraw/zinc/32/29/87/629322987.db2.gz NELCLZXKFVVYBW-JTQLQIEISA-N 0 0 294.307 2.513 20 5 CFBDRN CO[C@H](C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000791592991 629337725 /nfs/dbraw/zinc/33/77/25/629337725.db2.gz JBELRGYBWIQKGF-LBPRGKRZSA-N 0 0 299.710 2.716 20 5 CFBDRN CC/C(C)=C\C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000835069033 629368531 /nfs/dbraw/zinc/36/85/31/629368531.db2.gz KGJNRNMXSAGAJT-CLFYSBASSA-N 0 0 265.265 2.865 20 5 CFBDRN O=C(CCC1CC1)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791911091 629375050 /nfs/dbraw/zinc/37/50/50/629375050.db2.gz INFYXZAWGAPPIA-UHFFFAOYSA-N 0 0 294.263 2.736 20 5 CFBDRN CC[C@@H](CC(C)C)C(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000835086320 629386224 /nfs/dbraw/zinc/38/62/24/629386224.db2.gz DZJVGBHXHNWEPQ-LBPRGKRZSA-N 0 0 297.355 2.715 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)c2ccc([N+](=O)[O-])cc2F)O1 ZINC000792023395 629388910 /nfs/dbraw/zinc/38/89/10/629388910.db2.gz CPJMKRQSFWGZQR-SNVBAGLBSA-N 0 0 297.282 2.848 20 5 CFBDRN CCOC[C@@H](C)OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000792042575 629392177 /nfs/dbraw/zinc/39/21/77/629392177.db2.gz YNISJXCREIQEGX-SECBINFHSA-N 0 0 292.291 2.658 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)OCC(C)C)cc1[N+](=O)[O-] ZINC000792125780 629400133 /nfs/dbraw/zinc/40/01/33/629400133.db2.gz VVWSJKOCRBKMNC-SNVBAGLBSA-N 0 0 297.307 2.570 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000792122471 629400380 /nfs/dbraw/zinc/40/03/80/629400380.db2.gz XWQBJSBZLIESOG-LURJTMIESA-N 0 0 293.197 2.707 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCCCCF ZINC000792185858 629407626 /nfs/dbraw/zinc/40/76/26/629407626.db2.gz OENLUSXZLAWCMI-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccnc(Cl)c1)[N+](=O)[O-] ZINC000835116948 629420966 /nfs/dbraw/zinc/42/09/66/629420966.db2.gz BYCQUJMRFUINBY-UHFFFAOYSA-N 0 0 286.715 2.614 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000792532047 629431557 /nfs/dbraw/zinc/43/15/57/629431557.db2.gz RBLUKLNOPVVVQE-YUMQZZPRSA-N 0 0 268.700 2.871 20 5 CFBDRN COC1(CC(=O)Oc2c(C)cccc2[N+](=O)[O-])CCC1 ZINC000792544080 629433178 /nfs/dbraw/zinc/43/31/78/629433178.db2.gz WUFUVGXAOZGRPL-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN COC(=O)c1cc(NC/C=C/Cl)c(C)c([N+](=O)[O-])c1 ZINC000792735958 629447664 /nfs/dbraw/zinc/44/76/64/629447664.db2.gz BAMSUHGIKBPACD-ONEGZZNKSA-N 0 0 284.699 2.854 20 5 CFBDRN COc1c(C(=O)Oc2ccccc2)cccc1[N+](=O)[O-] ZINC000792865453 629459374 /nfs/dbraw/zinc/45/93/74/629459374.db2.gz RNJLIUISYYQSDW-UHFFFAOYSA-N 0 0 273.244 2.823 20 5 CFBDRN CC(C)(CCC(=O)OCCOc1ccccc1F)[N+](=O)[O-] ZINC000835166042 629482664 /nfs/dbraw/zinc/48/26/64/629482664.db2.gz IKIOGIUAKLGJPR-UHFFFAOYSA-N 0 0 299.298 2.583 20 5 CFBDRN CC(C)(CCC(=O)O[C@H]1[C@@H]2CCCO[C@H]2C1(C)C)[N+](=O)[O-] ZINC000835182004 629498975 /nfs/dbraw/zinc/49/89/75/629498975.db2.gz JXKNPXGYUOLZEK-RTXFEEFZSA-N 0 0 299.367 2.569 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CC1(Cl)Cl)[N+](=O)[O-] ZINC000835205375 629518532 /nfs/dbraw/zinc/51/85/32/629518532.db2.gz PSNYXSAHOUPDQA-ZETCQYMHSA-N 0 0 284.139 2.559 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNCc1cccnc1F ZINC000793285340 629521144 /nfs/dbraw/zinc/52/11/44/629521144.db2.gz UKFZMTLWBCDPLE-UHFFFAOYSA-N 0 0 275.283 2.727 20 5 CFBDRN CNc1c(C(=O)O[C@H](C)[C@H](C)SC)cccc1[N+](=O)[O-] ZINC000793322936 629526794 /nfs/dbraw/zinc/52/67/94/629526794.db2.gz ZOLSNIJGUANAID-BDAKNGLRSA-N 0 0 298.364 2.933 20 5 CFBDRN COc1ccc(CN2CCC(F)(F)CC2)cc1[N+](=O)[O-] ZINC000793352331 629529501 /nfs/dbraw/zinc/52/95/01/629529501.db2.gz LLIBDDOJDTUSDV-UHFFFAOYSA-N 0 0 286.278 2.835 20 5 CFBDRN C[C@H](C(=O)OC[C@H]1CCO[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000793406074 629539584 /nfs/dbraw/zinc/53/95/84/629539584.db2.gz SSBOQBIWYYCGGH-GMXVVIOVSA-N 0 0 293.319 2.667 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000793420342 629542026 /nfs/dbraw/zinc/54/20/26/629542026.db2.gz NBLWKTHICCBCHF-XKGZQEJFSA-N 0 0 291.303 2.576 20 5 CFBDRN CCO[C@@H]1C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C12CCC2 ZINC000835229844 629543048 /nfs/dbraw/zinc/54/30/48/629543048.db2.gz PYQNQGGWVDARBI-VXGBXAGGSA-N 0 0 299.367 2.713 20 5 CFBDRN C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1ccco1 ZINC000835259549 629571350 /nfs/dbraw/zinc/57/13/50/629571350.db2.gz CZTMQNMUOCFPFV-VIFPVBQESA-N 0 0 255.270 2.719 20 5 CFBDRN Cc1ccncc1[C@@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835270269 629580700 /nfs/dbraw/zinc/58/07/00/629580700.db2.gz BBSVWUHUYMLJPR-LLVKDONJSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1ccccc1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835287437 629598581 /nfs/dbraw/zinc/59/85/81/629598581.db2.gz CRZXMATZILKRQV-UHFFFAOYSA-N 0 0 265.309 2.874 20 5 CFBDRN C/C(=C\C(=O)NOC/C=C/Cl)c1ccc([N+](=O)[O-])cc1 ZINC000794288340 629631193 /nfs/dbraw/zinc/63/11/93/629631193.db2.gz GOPLUPWSKXYPAG-GHFJCLKKSA-N 0 0 296.710 2.798 20 5 CFBDRN O=C(OC1CC1)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000835335045 629638269 /nfs/dbraw/zinc/63/82/69/629638269.db2.gz XMRXQAGTMZORHO-UHFFFAOYSA-N 0 0 275.182 2.933 20 5 CFBDRN COc1cc(OCCCCCCCO)ccc1[N+](=O)[O-] ZINC000794451302 629647656 /nfs/dbraw/zinc/64/76/56/629647656.db2.gz SXMLRXVHMVFARS-UHFFFAOYSA-N 0 0 283.324 2.925 20 5 CFBDRN CCN(Cn1nc(C)c([N+](=O)[O-])c1C)[C@H](C)C(F)(F)F ZINC000794679770 629673793 /nfs/dbraw/zinc/67/37/93/629673793.db2.gz PKCQUEMJSCNYBZ-SECBINFHSA-N 0 0 294.277 2.638 20 5 CFBDRN CCc1cc(CCCOC(=O)CCC(C)(C)[N+](=O)[O-])on1 ZINC000835406126 629694999 /nfs/dbraw/zinc/69/49/99/629694999.db2.gz KMFFFZBVHGYRSC-UHFFFAOYSA-N 0 0 298.339 2.548 20 5 CFBDRN CCC(=O)c1ccc(N2CCOC[C@@H]2CC)c([N+](=O)[O-])c1 ZINC000795093448 629733366 /nfs/dbraw/zinc/73/33/66/629733366.db2.gz OGBHJZQHNMWPHP-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN COc1cc(Oc2ccc([N+](=O)[O-])cn2)ccc1[N+](=O)[O-] ZINC000795195550 629743672 /nfs/dbraw/zinc/74/36/72/629743672.db2.gz VYFYYRVYAJSOGH-UHFFFAOYSA-N 0 0 291.219 2.699 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccnc2F)c(Cl)c1 ZINC000795224853 629747760 /nfs/dbraw/zinc/74/77/60/629747760.db2.gz FVJLDFNLHGUHLV-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN COC(=O)[C@@H](C)N(C)c1cc(C)c([N+](=O)[O-])cc1Cl ZINC000795249078 629751826 /nfs/dbraw/zinc/75/18/26/629751826.db2.gz NPWYZWDKHSCCBY-MRVPVSSYSA-N 0 0 286.715 2.554 20 5 CFBDRN C[C@H](O)CCCOC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000795341306 629763391 /nfs/dbraw/zinc/76/33/91/629763391.db2.gz DHXWVZIHTGBPKR-QMMMGPOBSA-N 0 0 287.699 2.566 20 5 CFBDRN C[C@@H](O)CCCOC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000795343706 629764149 /nfs/dbraw/zinc/76/41/49/629764149.db2.gz WPIIAPNDYPXRMW-MRVPVSSYSA-N 0 0 287.699 2.566 20 5 CFBDRN Cc1ccc(COC(=O)C[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000835481461 629769753 /nfs/dbraw/zinc/76/97/53/629769753.db2.gz WQJRFLBRXAKOBH-GFCCVEGCSA-N 0 0 293.319 2.763 20 5 CFBDRN CCC[C@H](OC)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835480874 629769824 /nfs/dbraw/zinc/76/98/24/629769824.db2.gz KFTPTSKMNBDICR-ZDUSSCGKSA-N 0 0 281.308 2.762 20 5 CFBDRN CC[C@H](C)OCC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835481741 629770614 /nfs/dbraw/zinc/77/06/14/629770614.db2.gz ZISBIVVVTRTKLD-NSHDSACASA-N 0 0 281.308 2.762 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CCCC1(F)F)[N+](=O)[O-] ZINC000835482644 629771396 /nfs/dbraw/zinc/77/13/96/629771396.db2.gz VQLNLUZIGSLSMN-SECBINFHSA-N 0 0 279.283 2.801 20 5 CFBDRN Cc1ccc(COC(=O)COC2(C)CCC2)cc1[N+](=O)[O-] ZINC000835483417 629775300 /nfs/dbraw/zinc/77/53/00/629775300.db2.gz IOMWZCAXHGVGSJ-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN CCO[C@H](CC)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835486448 629775728 /nfs/dbraw/zinc/77/57/28/629775728.db2.gz UYHDKSUOOBJAEP-CYBMUJFWSA-N 0 0 281.308 2.762 20 5 CFBDRN C[C@@H](O)CCCOC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000795514753 629791470 /nfs/dbraw/zinc/79/14/70/629791470.db2.gz NMJVKSZEKRVAOK-MRVPVSSYSA-N 0 0 287.699 2.566 20 5 CFBDRN COC(C)(C)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000835502703 629799039 /nfs/dbraw/zinc/79/90/39/629799039.db2.gz WBGUEQCNHDTWGB-SNVBAGLBSA-N 0 0 281.308 2.667 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCCC[C@@H](C)O ZINC000795578138 629805345 /nfs/dbraw/zinc/80/53/45/629805345.db2.gz RKZNDZAILMVEGD-LLVKDONJSA-N 0 0 295.335 2.838 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCCC[C@H](C)O ZINC000795578140 629805796 /nfs/dbraw/zinc/80/57/96/629805796.db2.gz RKZNDZAILMVEGD-NSHDSACASA-N 0 0 295.335 2.838 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCCC[C@@H](C)O ZINC000795592440 629808087 /nfs/dbraw/zinc/80/80/87/629808087.db2.gz XOQPGJZCCRKLQU-NLYDNYMLSA-N 0 0 293.319 2.702 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCCC[C@@H](C)O ZINC000795592436 629808289 /nfs/dbraw/zinc/80/82/89/629808289.db2.gz XOQPGJZCCRKLQU-HCRIHEDKSA-N 0 0 293.319 2.702 20 5 CFBDRN CCc1[nH]nc(C(=O)O[C@@H](C)c2ccccc2)c1[N+](=O)[O-] ZINC000795612588 629812175 /nfs/dbraw/zinc/81/21/75/629812175.db2.gz OMQBAYPETBCWCM-VIFPVBQESA-N 0 0 289.291 2.798 20 5 CFBDRN CC[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000102124632 629815684 /nfs/dbraw/zinc/81/56/84/629815684.db2.gz OPXKDOLKLWPBLA-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCC1CC1 ZINC000795711120 629824834 /nfs/dbraw/zinc/82/48/34/629824834.db2.gz BTKJYXVPQBPERK-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CC[C@H](C)[C@H](CC)OC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000835586253 629857243 /nfs/dbraw/zinc/85/72/43/629857243.db2.gz DSEOUQMDRBNNRI-JQWIXIFHSA-N 0 0 297.355 2.858 20 5 CFBDRN CC[C@@H](C)[C@H](CC)OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000835590055 629860392 /nfs/dbraw/zinc/86/03/92/629860392.db2.gz PNPYCJBLFKRNQD-PWSUYJOCSA-N 0 0 283.328 2.549 20 5 CFBDRN O=C(C[C@H]1CCCC[C@@H]1O)OCc1cccc([N+](=O)[O-])c1 ZINC000796138279 629882207 /nfs/dbraw/zinc/88/22/07/629882207.db2.gz FRBGMPCCYLYKBZ-OCCSQVGLSA-N 0 0 293.319 2.579 20 5 CFBDRN CN(Cc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000796202736 629889299 /nfs/dbraw/zinc/88/92/99/629889299.db2.gz LEEZOHSQHFNXDU-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000796324883 629904333 /nfs/dbraw/zinc/90/43/33/629904333.db2.gz NIPDGALLLAOJSY-VXGBXAGGSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@@H](C)[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1)OC ZINC000835641943 629911303 /nfs/dbraw/zinc/91/13/03/629911303.db2.gz JTOMGBHKYKAXLA-ZWNOBZJWSA-N 0 0 281.308 2.813 20 5 CFBDRN CNc1ccc(C(=O)OC[C@H](C)CSC)cc1[N+](=O)[O-] ZINC000835667894 629929678 /nfs/dbraw/zinc/92/96/78/629929678.db2.gz MRZRCIIUIRWNPJ-VIFPVBQESA-N 0 0 298.364 2.792 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000796538640 629931637 /nfs/dbraw/zinc/93/16/37/629931637.db2.gz GMODAAXGNQYTCI-MFKMUULPSA-N 0 0 281.308 2.870 20 5 CFBDRN COc1ccc(C(=O)OC[C@H](C)CSC)cc1[N+](=O)[O-] ZINC000835673799 629935864 /nfs/dbraw/zinc/93/58/64/629935864.db2.gz SUMCEHIPRSQSOI-VIFPVBQESA-N 0 0 299.348 2.759 20 5 CFBDRN CS[C@H](C)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000835677593 629941424 /nfs/dbraw/zinc/94/14/24/629941424.db2.gz FHXVSZUZQDVOFU-DAGBOUIGSA-N 0 0 281.333 2.903 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)C(F)F ZINC000796716135 629954295 /nfs/dbraw/zinc/95/42/95/629954295.db2.gz LJIQVZUTHHYABZ-UHFFFAOYSA-N 0 0 265.599 2.557 20 5 CFBDRN COc1c(C(=O)O[C@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000796742843 629958555 /nfs/dbraw/zinc/95/85/55/629958555.db2.gz CQJPTWIHRLUJCR-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN COc1cc(C(=O)OC[C@@H](C)SC)cc([N+](=O)[O-])c1C ZINC000835687358 629958981 /nfs/dbraw/zinc/95/89/81/629958981.db2.gz CFKHJPJDCICCNG-MRVPVSSYSA-N 0 0 299.348 2.820 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CC(=O)OCCC1=CCCCC1 ZINC000835723244 629985448 /nfs/dbraw/zinc/98/54/48/629985448.db2.gz SDYJSJBPILDGBE-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN CC[C@@](C)(OC)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000796905037 629987305 /nfs/dbraw/zinc/98/73/05/629987305.db2.gz NPUGGCIPBIGCPM-OAHLLOKOSA-N 0 0 292.335 2.607 20 5 CFBDRN O=C(COC(F)F)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000797042071 630007021 /nfs/dbraw/zinc/00/70/21/630007021.db2.gz BYOJSOZYEYFFSV-UHFFFAOYSA-N 0 0 295.625 2.531 20 5 CFBDRN C[C@H](C(=O)O[C@H]1CCOC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000835770138 630017910 /nfs/dbraw/zinc/01/79/10/630017910.db2.gz FSVRVSOUEBNQOJ-SUNKGSAMSA-N 0 0 293.319 2.667 20 5 CFBDRN Cc1c(CC(=O)OC[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000797139608 630025419 /nfs/dbraw/zinc/02/54/19/630025419.db2.gz IPOQDRFGULGMEJ-NSHDSACASA-N 0 0 295.360 2.742 20 5 CFBDRN CC(C)(C(=O)OCC1(F)CC1)c1ccccc1[N+](=O)[O-] ZINC000797198284 630036936 /nfs/dbraw/zinc/03/69/36/630036936.db2.gz HTYCZVQSFGBKFM-UHFFFAOYSA-N 0 0 281.283 2.918 20 5 CFBDRN CC(C)(CCC(=O)OCC/C=C/Br)[N+](=O)[O-] ZINC000835793345 630056248 /nfs/dbraw/zinc/05/62/48/630056248.db2.gz KYLSSAFYDLEXPK-XVNBXDOJSA-N 0 0 294.145 2.664 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSc1cccc[n+]1[O-] ZINC000797407668 630062506 /nfs/dbraw/zinc/06/25/06/630062506.db2.gz VYURJTIUZYQJHX-UHFFFAOYSA-N 0 0 292.316 2.529 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CON=C(N)C1CCCC1 ZINC000797422076 630063906 /nfs/dbraw/zinc/06/39/06/630063906.db2.gz SDPMRKIFRDYIKT-UHFFFAOYSA-N 0 0 293.323 2.792 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@@H]1CC12CCC2 ZINC000797474173 630071210 /nfs/dbraw/zinc/07/12/10/630071210.db2.gz DURHZNDZMXHYOY-LBPRGKRZSA-N 0 0 291.303 2.837 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C[C@H](C)SC ZINC000797475642 630071386 /nfs/dbraw/zinc/07/13/86/630071386.db2.gz DLPYJHSQLQFQHI-VIFPVBQESA-N 0 0 299.348 2.788 20 5 CFBDRN Cc1cc(OCC(=O)OCC2CCC2)ccc1[N+](=O)[O-] ZINC000797494593 630073924 /nfs/dbraw/zinc/07/39/24/630073924.db2.gz XZWSCLRLLRRINT-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CC(C)(C(=O)OCC1=CCCCC1)n1cc([N+](=O)[O-])cn1 ZINC000835820143 630088443 /nfs/dbraw/zinc/08/84/43/630088443.db2.gz ATDQMNBIXLCFIX-UHFFFAOYSA-N 0 0 293.323 2.570 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OCC1=CCCCC1 ZINC000835822852 630091821 /nfs/dbraw/zinc/09/18/21/630091821.db2.gz WHHMWJFMRNNNHY-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C12CCC2 ZINC000835886528 630136202 /nfs/dbraw/zinc/13/62/02/630136202.db2.gz NOFRAFSRSBYRRR-STQMWFEESA-N 0 0 291.303 2.709 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000835913740 630153984 /nfs/dbraw/zinc/15/39/84/630153984.db2.gz YTRPSLXCYNKJEM-UHFFFAOYSA-N 0 0 293.319 2.686 20 5 CFBDRN CSCCCN(C)c1ccc([N+](=O)[O-])cc1F ZINC000798418292 630190203 /nfs/dbraw/zinc/19/02/03/630190203.db2.gz XGMGVAAKMAPQMB-UHFFFAOYSA-N 0 0 258.318 2.923 20 5 CFBDRN CC[C@](C)(COC(=O)c1cc(C)ccc1[N+](=O)[O-])OC ZINC000835966340 630191263 /nfs/dbraw/zinc/19/12/63/630191263.db2.gz IFOZMYMXBKLTEH-CQSZACIVSA-N 0 0 281.308 2.875 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OC[C@H](C)CC(C)C ZINC000798606600 630213862 /nfs/dbraw/zinc/21/38/62/630213862.db2.gz LWUXPYXYJLRDGX-LLVKDONJSA-N 0 0 297.355 2.715 20 5 CFBDRN C[C@@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(=O)C1CC1 ZINC000798624363 630217295 /nfs/dbraw/zinc/21/72/95/630217295.db2.gz UVRAZFRXVAFTFF-SSDOTTSWSA-N 0 0 297.694 2.773 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@H](C1CC1)C1CCC1 ZINC000836041864 630231872 /nfs/dbraw/zinc/23/18/72/630231872.db2.gz FJUGYOIWZJNPCT-ZDUSSCGKSA-N 0 0 278.308 2.669 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCC2(CC(C)C)CC2)n1 ZINC000799662318 630329286 /nfs/dbraw/zinc/32/92/86/630329286.db2.gz JRMPBQVXEWMUBJ-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN CSC1(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000799689015 630336289 /nfs/dbraw/zinc/33/62/89/630336289.db2.gz FHQXXDSYSMHTCG-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1O[C@@H](C)C[C@@H]1C ZINC000799901813 630352876 /nfs/dbraw/zinc/35/28/76/630352876.db2.gz YKBZGCZOCJIOLR-OUJBWJOFSA-N 0 0 279.292 2.622 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2COc3ccccc3C2)s1 ZINC000799927210 630354307 /nfs/dbraw/zinc/35/43/07/630354307.db2.gz UGQJNBZOFNFDQV-VIFPVBQESA-N 0 0 291.332 2.715 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCc1nnc(C2CC2)o1 ZINC000799971368 630357492 /nfs/dbraw/zinc/35/74/92/630357492.db2.gz DBBRBKWXEZJDCZ-UHFFFAOYSA-N 0 0 292.270 2.915 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2O[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000799975339 630357717 /nfs/dbraw/zinc/35/77/17/630357717.db2.gz NKSTXGJJAXORGZ-GIPNMCIBSA-N 0 0 279.292 2.622 20 5 CFBDRN Cn1c(C(=O)OCCC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000836103806 630386770 /nfs/dbraw/zinc/38/67/70/630386770.db2.gz UUOXAQOBBIRCJM-UHFFFAOYSA-N 0 0 288.250 2.526 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2COc3ccccc3C2)c1 ZINC000800846310 630410660 /nfs/dbraw/zinc/41/06/60/630410660.db2.gz KFDFZNHZJFAHKI-NSHDSACASA-N 0 0 299.330 2.840 20 5 CFBDRN Cc1cc(C)nc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000800912719 630416470 /nfs/dbraw/zinc/41/64/70/630416470.db2.gz UNXXOLVBMNXLRS-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1cccc2c1NCC2 ZINC000801399624 630444532 /nfs/dbraw/zinc/44/45/32/630444532.db2.gz LTKSCEHQQDPKOS-UHFFFAOYSA-N 0 0 298.298 2.920 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])c(F)c2)C[C@@H]1F ZINC000801537574 630455067 /nfs/dbraw/zinc/45/50/67/630455067.db2.gz VIHIGKOHKCKECM-SKDRFNHKSA-N 0 0 270.279 2.914 20 5 CFBDRN COC[C@@H](NCc1ccc([N+](=O)[O-])c(F)c1)c1ccco1 ZINC000801540356 630456153 /nfs/dbraw/zinc/45/61/53/630456153.db2.gz ZEOIYRGBNCCLQY-GFCCVEGCSA-N 0 0 294.282 2.804 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])o1)c1ccccc1 ZINC000801810164 630471239 /nfs/dbraw/zinc/47/12/39/630471239.db2.gz FCGGPLQZQIENAQ-GFCCVEGCSA-N 0 0 291.259 2.732 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801817577 630473255 /nfs/dbraw/zinc/47/32/55/630473255.db2.gz LFNANCJGKDSROV-MRVPVSSYSA-N 0 0 283.303 2.577 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000801935600 630491706 /nfs/dbraw/zinc/49/17/06/630491706.db2.gz HVTSVQFFOPFPBK-MRVPVSSYSA-N 0 0 295.314 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H](OC(F)F)C2)cc1F ZINC000801987347 630497212 /nfs/dbraw/zinc/49/72/12/630497212.db2.gz CQNHXYWRHXFOLQ-VIFPVBQESA-N 0 0 290.241 2.547 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000103672443 630514103 /nfs/dbraw/zinc/51/41/03/630514103.db2.gz XRKHIWRQVBITAX-LLVKDONJSA-N 0 0 281.308 2.951 20 5 CFBDRN CC1(C)CC(COC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000802291595 630524125 /nfs/dbraw/zinc/52/41/25/630524125.db2.gz KMVBYZWUTHRDOX-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN Nc1ccc(C(=O)OC[C@@H]2CCCCS2)cc1[N+](=O)[O-] ZINC000802922350 630565144 /nfs/dbraw/zinc/56/51/44/630565144.db2.gz NZXGGJOKIFYONJ-JTQLQIEISA-N 0 0 296.348 2.620 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])OCCCCF ZINC000803221940 630591464 /nfs/dbraw/zinc/59/14/64/630591464.db2.gz VJWRQDKUARXDJS-UHFFFAOYSA-N 0 0 287.312 2.980 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803457763 630620043 /nfs/dbraw/zinc/62/00/43/630620043.db2.gz VAXPMYQREUQDJJ-SECBINFHSA-N 0 0 285.271 2.624 20 5 CFBDRN C[C@@H](C(=O)OCc1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000803474124 630621886 /nfs/dbraw/zinc/62/18/86/630621886.db2.gz RQMAWTFMRSABGJ-LLVKDONJSA-N 0 0 286.287 2.837 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCCCCF ZINC000803508391 630624551 /nfs/dbraw/zinc/62/45/51/630624551.db2.gz HKGIYHUPWQYKOD-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000803888410 630652072 /nfs/dbraw/zinc/65/20/72/630652072.db2.gz HQKXJPURCUUDFY-ZJUUUORDSA-N 0 0 285.321 2.515 20 5 CFBDRN CN(CC(=O)NC(C)(C)C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804332192 630672575 /nfs/dbraw/zinc/67/25/75/630672575.db2.gz ZAUWAORUUHGMOJ-UHFFFAOYSA-N 0 0 299.758 2.599 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804349220 630674332 /nfs/dbraw/zinc/67/43/32/630674332.db2.gz KWCLCAXHNROKTP-JGVFFNPUSA-N 0 0 251.242 2.571 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@@H](O)c1ccco1 ZINC000804347509 630674642 /nfs/dbraw/zinc/67/46/42/630674642.db2.gz MSGZJUWXVLLVIO-LLVKDONJSA-N 0 0 282.683 2.987 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCc1ccoc1 ZINC000804375687 630677395 /nfs/dbraw/zinc/67/73/95/630677395.db2.gz YBBKGRPFRYCUNU-UHFFFAOYSA-N 0 0 263.209 2.708 20 5 CFBDRN Cc1ncsc1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000804432045 630680749 /nfs/dbraw/zinc/68/07/49/630680749.db2.gz ONUOTWKQBGHMPD-UHFFFAOYSA-N 0 0 264.310 2.554 20 5 CFBDRN CO[C@@H](CNc1c(F)cccc1[N+](=O)[O-])C(F)(F)F ZINC000804457479 630683471 /nfs/dbraw/zinc/68/34/71/630683471.db2.gz XRXWPKKQMBZMCC-QMMMGPOBSA-N 0 0 282.193 2.723 20 5 CFBDRN CO[C@@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C(C)C ZINC000804528019 630689732 /nfs/dbraw/zinc/68/97/32/630689732.db2.gz YOTAUSFMTUHUEE-NSHDSACASA-N 0 0 283.284 2.586 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCC(F)(F)F ZINC000804524831 630689933 /nfs/dbraw/zinc/68/99/33/630689933.db2.gz WJCRRJQNLVLMDW-UHFFFAOYSA-N 0 0 279.174 2.867 20 5 CFBDRN Cc1noc(C)c1CCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000804539856 630691432 /nfs/dbraw/zinc/69/14/32/630691432.db2.gz MWOMZTCTMLGCMY-UHFFFAOYSA-N 0 0 290.323 2.827 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000804573898 630757223 /nfs/dbraw/zinc/75/72/23/630757223.db2.gz QTGDCJATMKQZOT-JTQLQIEISA-N 0 0 265.313 2.547 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@@H]2CCCC(C)(C)C2)n1 ZINC000836163535 630758821 /nfs/dbraw/zinc/75/88/21/630758821.db2.gz NARVTCAVKMQWAX-SNVBAGLBSA-N 0 0 295.339 2.937 20 5 CFBDRN CNc1ccc(C(=O)N(CC(C)(C)C)OC)cc1[N+](=O)[O-] ZINC000804582898 630759096 /nfs/dbraw/zinc/75/90/96/630759096.db2.gz MXFGYRCKXRWCGB-UHFFFAOYSA-N 0 0 295.339 2.686 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(CC(C)(C)C)OC ZINC000804585441 630760928 /nfs/dbraw/zinc/76/09/28/630760928.db2.gz MEFQMBGVHAYWNH-UHFFFAOYSA-N 0 0 296.323 2.653 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804593944 630762052 /nfs/dbraw/zinc/76/20/52/630762052.db2.gz ISCMHZUGXWMBPK-BXKDBHETSA-N 0 0 295.295 2.730 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1S[C@@H]1CCCOC1 ZINC000804605701 630763720 /nfs/dbraw/zinc/76/37/20/630763720.db2.gz QPWAQKSFASFMLC-MRVPVSSYSA-N 0 0 284.293 2.774 20 5 CFBDRN CN(C[C@H]1CC=CCC1)c1ccc(N)cc1[N+](=O)[O-] ZINC000804611396 630764610 /nfs/dbraw/zinc/76/46/10/630764610.db2.gz QCRJXFJDPFVBBO-NSHDSACASA-N 0 0 261.325 2.970 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CCCC2(CC2)C1 ZINC000804621234 630765831 /nfs/dbraw/zinc/76/58/31/630765831.db2.gz NNTVMBWZVVKSHP-UHFFFAOYSA-N 0 0 277.280 2.883 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])[C@@H]1C ZINC000804646260 630767713 /nfs/dbraw/zinc/76/77/13/630767713.db2.gz VUXSKMKJHOPNHV-PSASIEDQSA-N 0 0 298.726 2.636 20 5 CFBDRN O=[N+]([O-])c1cn(CC[C@H](O)c2ccccc2)nc1C1CC1 ZINC000806583794 630817400 /nfs/dbraw/zinc/81/74/00/630817400.db2.gz KTGGAJMIBJTWLZ-AWEZNQCLSA-N 0 0 287.319 2.792 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H]2C[C@H]21)c1ccccc1[N+](=O)[O-] ZINC000807072809 630857901 /nfs/dbraw/zinc/85/79/01/630857901.db2.gz ZDGXOTNJVAEEGO-COPLHBTASA-N 0 0 289.335 2.850 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NC(CF)CF)cc1Cl ZINC000836791393 630883489 /nfs/dbraw/zinc/88/34/89/630883489.db2.gz RXWCPQMUDVCYDC-UHFFFAOYSA-N 0 0 292.669 2.594 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NOC1CCC1 ZINC000836798932 630886226 /nfs/dbraw/zinc/88/62/26/630886226.db2.gz FTSMIBNTTZLPLY-KTKRTIGZSA-N 0 0 276.292 2.598 20 5 CFBDRN C/C(=C/C(=O)N1C[C@@H](F)[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000836820260 630891348 /nfs/dbraw/zinc/89/13/48/630891348.db2.gz UJMGSPDEHKRXAH-IMVYOHCJSA-N 0 0 296.273 2.517 20 5 CFBDRN CSCCOC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000807518502 630891420 /nfs/dbraw/zinc/89/14/20/630891420.db2.gz JFNYWETUIMHLQW-UHFFFAOYSA-N 0 0 287.362 2.593 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000836916306 630915859 /nfs/dbraw/zinc/91/58/59/630915859.db2.gz VCHWYBZFALRNOS-ONGXEEELSA-N 0 0 280.299 2.756 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCCCCCO ZINC000808012318 630941765 /nfs/dbraw/zinc/94/17/65/630941765.db2.gz NPQDNRAXHJZDMK-UHFFFAOYSA-N 0 0 280.324 2.762 20 5 CFBDRN CCc1nn(C)c(Nc2ccc(COC)cc2)c1[N+](=O)[O-] ZINC000808050416 630944961 /nfs/dbraw/zinc/94/49/61/630944961.db2.gz IPMGDPOKPCHHGH-UHFFFAOYSA-N 0 0 290.323 2.781 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1ccc(C2(O)COC2)cc1 ZINC000808110956 630952512 /nfs/dbraw/zinc/95/25/12/630952512.db2.gz KUIVVKONBFIMJD-UHFFFAOYSA-N 0 0 286.287 2.556 20 5 CFBDRN CCOc1ccc(COc2ccc(N)c([N+](=O)[O-])c2)nc1 ZINC000808257121 630972251 /nfs/dbraw/zinc/97/22/51/630972251.db2.gz VAJCLMSTTWPCAX-UHFFFAOYSA-N 0 0 289.291 2.550 20 5 CFBDRN Cc1c(OC(=O)c2ocnc2C2CC2)cccc1[N+](=O)[O-] ZINC000808282837 630975712 /nfs/dbraw/zinc/97/57/12/630975712.db2.gz LNMDXOSYBRUEQI-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN CC(C)(CCC(=O)NCC1(C2CCC2)CCC1)[N+](=O)[O-] ZINC000837216037 630979463 /nfs/dbraw/zinc/97/94/63/630979463.db2.gz NOVXGQYENODEPL-UHFFFAOYSA-N 0 0 282.384 2.909 20 5 CFBDRN CCC1CN(C(=O)/C(C)=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000837257576 630987674 /nfs/dbraw/zinc/98/76/74/630987674.db2.gz BRQWFSGLXOQTLX-DHZHZOJOSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(Nc1cccc2c1COC2=O)c1cccc([N+](=O)[O-])c1 ZINC000808478811 630999947 /nfs/dbraw/zinc/99/99/47/630999947.db2.gz ABLSKCPAFVAYRS-UHFFFAOYSA-N 0 0 298.254 2.518 20 5 CFBDRN CC(C)=CCOC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000808547006 631011585 /nfs/dbraw/zinc/01/15/85/631011585.db2.gz GFNWOMULQNPXPQ-UHFFFAOYSA-N 0 0 267.285 2.564 20 5 CFBDRN Cc1cnc(CN2c3ccc([N+](=O)[O-])cc3C[C@H]2C)nc1 ZINC000809187735 631094106 /nfs/dbraw/zinc/09/41/06/631094106.db2.gz NLNUQETYZPZAGU-LLVKDONJSA-N 0 0 284.319 2.644 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)C(=O)CC ZINC000837697011 631110528 /nfs/dbraw/zinc/11/05/28/631110528.db2.gz KGVPFKQQEODARF-VIFPVBQESA-N 0 0 295.291 2.518 20 5 CFBDRN CCC[C@@H](OC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000837798154 631129867 /nfs/dbraw/zinc/12/98/67/631129867.db2.gz XZASCXVEDQOTPM-GFCCVEGCSA-N 0 0 285.271 2.592 20 5 CFBDRN Cc1ccccc1C(=O)COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837925288 631151512 /nfs/dbraw/zinc/15/15/12/631151512.db2.gz WGNGCSHIHFGILR-UHFFFAOYSA-N 0 0 293.319 2.556 20 5 CFBDRN CN(C)c1ccc(COC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000837923733 631151623 /nfs/dbraw/zinc/15/16/23/631151623.db2.gz CAMBBTAAFGYIKB-UHFFFAOYSA-N 0 0 294.351 2.631 20 5 CFBDRN CC(C)(CC(=O)COC(=O)CCC(C)(C)[N+](=O)[O-])C1CC1 ZINC000837926574 631152181 /nfs/dbraw/zinc/15/21/81/631152181.db2.gz WMSVJNCMKSXFHE-UHFFFAOYSA-N 0 0 299.367 2.761 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000837976531 631156106 /nfs/dbraw/zinc/15/61/06/631156106.db2.gz RQSQCJMQJMQHLG-PSASIEDQSA-N 0 0 290.275 2.825 20 5 CFBDRN CC(C)Oc1cc(C(=O)OCCCF)ccc1[N+](=O)[O-] ZINC000840648518 631173004 /nfs/dbraw/zinc/17/30/04/631173004.db2.gz KEHCJCCFUIWCGS-UHFFFAOYSA-N 0 0 285.271 2.898 20 5 CFBDRN O=C(OCC1CC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840655819 631173379 /nfs/dbraw/zinc/17/33/79/631173379.db2.gz NCKGHKAFZKYGEM-QWHCGFSZSA-N 0 0 261.277 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3cccnc3F)n2)s1 ZINC000809468633 631226214 /nfs/dbraw/zinc/22/62/14/631226214.db2.gz NPBVPRQGZJZUMB-UHFFFAOYSA-N 0 0 292.251 2.907 20 5 CFBDRN Cc1cnn(CCOc2cccc(Cl)c2[N+](=O)[O-])c1 ZINC000842576571 631229126 /nfs/dbraw/zinc/22/91/26/631229126.db2.gz JQBNYXMYNYIVTH-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN O=C(Nc1cc[nH]n1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000809915501 631300787 /nfs/dbraw/zinc/30/07/87/631300787.db2.gz PKGSBCBQGZLJHM-UHFFFAOYSA-N 0 0 281.659 2.615 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](CC(C)C)OC)c([N+](=O)[O-])c1 ZINC000841012019 631305060 /nfs/dbraw/zinc/30/50/60/631305060.db2.gz XSOXQMFLSBFCFT-CYBMUJFWSA-N 0 0 297.307 2.570 20 5 CFBDRN Cc1nc(C)c(CN2CCc3c2cccc3[N+](=O)[O-])o1 ZINC000809966340 631306286 /nfs/dbraw/zinc/30/62/86/631306286.db2.gz YDQFTNBJYBASFN-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN CO[C@H](C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-])C1CC1 ZINC000841015047 631306982 /nfs/dbraw/zinc/30/69/82/631306982.db2.gz BWALNHKIOWFADY-ZDUSSCGKSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1nc(C(C)C)sc1[C@H](C)OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841173746 631352158 /nfs/dbraw/zinc/35/21/58/631352158.db2.gz ZBJOFBAILRCFLO-IVZWLZJFSA-N 0 0 298.364 2.844 20 5 CFBDRN CC(C)(C)c1noc(O/C=C/c2ccc([N+](=O)[O-])o2)n1 ZINC000840196291 631356918 /nfs/dbraw/zinc/35/69/18/631356918.db2.gz NVRALCKLLUFUCW-VOTSOKGWSA-N 0 0 279.252 2.918 20 5 CFBDRN COc1ccc(OC(=O)C2(CCF)CC2)c([N+](=O)[O-])c1 ZINC000810531052 631383606 /nfs/dbraw/zinc/38/36/06/631383606.db2.gz FLMIPJLRHZOBGE-UHFFFAOYSA-N 0 0 283.255 2.649 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000810535109 631383735 /nfs/dbraw/zinc/38/37/35/631383735.db2.gz GPSNHGPGZSGTPV-JTQLQIEISA-N 0 0 281.333 2.952 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)OCCCF)CC2 ZINC000841509288 631418843 /nfs/dbraw/zinc/41/88/43/631418843.db2.gz JSNXWDLNOHEOFG-UHFFFAOYSA-N 0 0 282.271 2.762 20 5 CFBDRN Cc1ccc(OC(=O)OCCCF)cc1[N+](=O)[O-] ZINC000841509979 631420235 /nfs/dbraw/zinc/42/02/35/631420235.db2.gz XZPQLSNKAACZNM-UHFFFAOYSA-N 0 0 257.217 2.778 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(-c2ccccc2Cl)no1 ZINC000841736227 631462516 /nfs/dbraw/zinc/46/25/16/631462516.db2.gz HYJKLAQWOUGHFN-VXNVDRBHSA-N 0 0 265.656 2.523 20 5 CFBDRN COCC1(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCC1 ZINC000811062006 631509498 /nfs/dbraw/zinc/50/94/98/631509498.db2.gz FPPOVWKDWZIDQY-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN CC[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1Cl)OC ZINC000842055685 631516354 /nfs/dbraw/zinc/51/63/54/631516354.db2.gz OBAIZUIECKKUEP-VIFPVBQESA-N 0 0 287.699 2.830 20 5 CFBDRN CC[C@H](COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000842055657 631516452 /nfs/dbraw/zinc/51/64/52/631516452.db2.gz NHRVMGCAKBKRBT-OYVUYXNMSA-N 0 0 279.292 2.576 20 5 CFBDRN CC[C@H](COC(=O)c1cc([N+](=O)[O-])c(C)s1)OC ZINC000842065584 631521638 /nfs/dbraw/zinc/52/16/38/631521638.db2.gz IAIYBSYWWWFPTB-MRVPVSSYSA-N 0 0 273.310 2.547 20 5 CFBDRN O=C(OCCc1cncs1)c1ccc(F)cc1[N+](=O)[O-] ZINC000811124808 631521991 /nfs/dbraw/zinc/52/19/91/631521991.db2.gz AZHICAWLBVXCEZ-UHFFFAOYSA-N 0 0 296.279 2.590 20 5 CFBDRN O=C(OCCOCC1CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000842076029 631526626 /nfs/dbraw/zinc/52/66/26/631526626.db2.gz ZSQFXYNIJLVZDT-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1cn(C)cn1 ZINC000811228616 631538595 /nfs/dbraw/zinc/53/85/95/631538595.db2.gz PLKOZBOSGPXYQE-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CCC(CC)(CO)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000842110849 631545356 /nfs/dbraw/zinc/54/53/56/631545356.db2.gz PCQWEGVJMSHVEV-NSHDSACASA-N 0 0 295.335 2.998 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCn2cnc3ccccc32)c1 ZINC000811783857 631623317 /nfs/dbraw/zinc/62/33/17/631623317.db2.gz WYWAQKLTLSEQLX-UHFFFAOYSA-N 0 0 297.318 2.639 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(-c2ccc(Cl)s2)no1 ZINC000842497924 631645921 /nfs/dbraw/zinc/64/59/21/631645921.db2.gz JJAVEFREXWTPAG-RFZPGFLSSA-N 0 0 271.685 2.584 20 5 CFBDRN CCC(C)(C)OCc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000842504208 631647811 /nfs/dbraw/zinc/64/78/11/631647811.db2.gz GVNPDYSYPGQSIM-UHFFFAOYSA-N 0 0 285.344 2.763 20 5 CFBDRN Cc1cc(C)cc(C2(c3noc([C@@H]4CC4[N+](=O)[O-])n3)CC2)c1 ZINC000842508210 631649746 /nfs/dbraw/zinc/64/97/46/631649746.db2.gz LJFFLKKVAXUPTG-CHWSQXEVSA-N 0 0 299.330 2.899 20 5 CFBDRN Cc1cc(-c2noc([C@](C)(O)C(C)C)n2)cc([N+](=O)[O-])c1 ZINC000842507651 631649768 /nfs/dbraw/zinc/64/97/68/631649768.db2.gz WWBHBUNLSSMFGQ-CQSZACIVSA-N 0 0 291.307 2.817 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@H]1CCC(=O)C1 ZINC000842722953 631717842 /nfs/dbraw/zinc/71/78/42/631717842.db2.gz SVXNSFVOZKATGI-QMMMGPOBSA-N 0 0 297.694 2.831 20 5 CFBDRN Cc1cc(Oc2cccc(C(N)=O)c2)c(F)cc1[N+](=O)[O-] ZINC000812454577 631721257 /nfs/dbraw/zinc/72/12/57/631721257.db2.gz PCRBJCCRFXGBNN-UHFFFAOYSA-N 0 0 290.250 2.934 20 5 CFBDRN O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1NCCCCF ZINC000812479094 631724420 /nfs/dbraw/zinc/72/44/20/631724420.db2.gz LRCCIBUNOLQUJN-UHFFFAOYSA-N 0 0 295.314 2.649 20 5 CFBDRN CC(C)OCCONc1ccc([N+](=O)[O-])c2cnccc12 ZINC000812488305 631725053 /nfs/dbraw/zinc/72/50/53/631725053.db2.gz CZHZVLCZPZLBLG-UHFFFAOYSA-N 0 0 291.307 2.912 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]1c1ccccc1)c1ccc([N+](=O)[O-])cn1 ZINC000812589077 631738284 /nfs/dbraw/zinc/73/82/84/631738284.db2.gz HJOQEZQJVHDHPT-JSGCOSHPSA-N 0 0 298.298 2.950 20 5 CFBDRN CC(C)=CCC[C@@H](C)CC(=O)OC[C@H](C)[N+](=O)[O-] ZINC000842801776 631744530 /nfs/dbraw/zinc/74/45/30/631744530.db2.gz LQWTVIFFWFSILW-NEPJUHHUSA-N 0 0 257.330 2.967 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000812628398 631747928 /nfs/dbraw/zinc/74/79/28/631747928.db2.gz DEWBKWBHKXEKAB-BDAKNGLRSA-N 0 0 287.337 2.618 20 5 CFBDRN C[C@@H](OC(=O)[C@](C)(O)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000812671537 631757299 /nfs/dbraw/zinc/75/72/99/631757299.db2.gz WHEJTRLTYCMUMB-MEBBXXQBSA-N 0 0 293.319 2.750 20 5 CFBDRN CCCCO[C@@H](C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812813047 631788457 /nfs/dbraw/zinc/78/84/57/631788457.db2.gz DASLZCKWTKWXQU-JTQLQIEISA-N 0 0 285.344 2.935 20 5 CFBDRN CC(C)(CCc1noc(C(C)(Cl)Cl)n1)[N+](=O)[O-] ZINC000812810576 631788841 /nfs/dbraw/zinc/78/88/41/631788841.db2.gz CKYYKMVQGWUJIU-UHFFFAOYSA-N 0 0 282.127 2.708 20 5 CFBDRN CC(C)(CCc1noc([C@H]2CCC(C)(C)O2)n1)[N+](=O)[O-] ZINC000812812459 631788893 /nfs/dbraw/zinc/78/88/93/631788893.db2.gz INXLVXIUIOPRLI-SECBINFHSA-N 0 0 283.328 2.688 20 5 CFBDRN CC(C)[C@@H](F)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812810974 631788909 /nfs/dbraw/zinc/78/89/09/631788909.db2.gz JSRWUYRSMJBZPV-SECBINFHSA-N 0 0 259.281 2.724 20 5 CFBDRN CC(C)(CCc1noc(COC2CCCC2)n1)[N+](=O)[O-] ZINC000812814904 631789285 /nfs/dbraw/zinc/78/92/85/631789285.db2.gz UHMBZAMWVSHNLN-UHFFFAOYSA-N 0 0 283.328 2.517 20 5 CFBDRN CC(C)(CCc1noc(COC2(C)CCC2)n1)[N+](=O)[O-] ZINC000812816401 631790287 /nfs/dbraw/zinc/79/02/87/631790287.db2.gz QJCBCYFFXRNYSO-UHFFFAOYSA-N 0 0 283.328 2.517 20 5 CFBDRN CC(C)(C)Cc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812816631 631790725 /nfs/dbraw/zinc/79/07/25/631790725.db2.gz VPMAHXMLQJUMRW-UHFFFAOYSA-N 0 0 255.318 2.646 20 5 CFBDRN CC(C)(CCc1noc(C2(C(F)(F)F)CC2)n1)[N+](=O)[O-] ZINC000812816254 631790878 /nfs/dbraw/zinc/79/08/78/631790878.db2.gz NOZCRTBQWJJUSE-UHFFFAOYSA-N 0 0 293.245 2.651 20 5 CFBDRN C[C@H](COC(=O)c1ccc2sccc2c1)[N+](=O)[O-] ZINC000813102966 631837834 /nfs/dbraw/zinc/83/78/34/631837834.db2.gz WWJIIJHDCYMVQY-MRVPVSSYSA-N 0 0 265.290 2.723 20 5 CFBDRN C[C@@H](COC(=O)Cc1ccc(Cl)cc1Cl)[N+](=O)[O-] ZINC000813102143 631837890 /nfs/dbraw/zinc/83/78/90/631837890.db2.gz OFFFXEIJWJZXKQ-ZETCQYMHSA-N 0 0 292.118 2.744 20 5 CFBDRN Cc1c2ccccc2oc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813101528 631837915 /nfs/dbraw/zinc/83/79/15/631837915.db2.gz CVGAZCLGUOOEOC-QMMMGPOBSA-N 0 0 263.249 2.563 20 5 CFBDRN CC(C)[C@@H](Cc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813103061 631838202 /nfs/dbraw/zinc/83/82/02/631838202.db2.gz MQHFCEHMHQYIGH-GXTWGEPZSA-N 0 0 279.336 2.710 20 5 CFBDRN C[C@@H](CSCc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813102610 631838331 /nfs/dbraw/zinc/83/83/31/631838331.db2.gz SZDHUWNPZHKZFS-RYUDHWBXSA-N 0 0 297.376 2.764 20 5 CFBDRN C[C@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000813102475 631838558 /nfs/dbraw/zinc/83/85/58/631838558.db2.gz GAUQVULFRRWOAO-ZJUUUORDSA-N 0 0 269.272 2.528 20 5 CFBDRN Cc1cccc2cc(C(=O)OC[C@@H](C)[N+](=O)[O-])oc21 ZINC000813101705 631838884 /nfs/dbraw/zinc/83/88/84/631838884.db2.gz HIAQLVZQYAGHKC-SECBINFHSA-N 0 0 263.249 2.563 20 5 CFBDRN CC(C)c1cccc(O[C@H](C)C(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000813104818 631839463 /nfs/dbraw/zinc/83/94/63/631839463.db2.gz RYCAKDBFXWPJSL-NWDGAFQWSA-N 0 0 295.335 2.786 20 5 CFBDRN CCc1ccc(C(C)(C)C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813104501 631840177 /nfs/dbraw/zinc/84/01/77/631840177.db2.gz NLKFTWYJFCTSIT-NSHDSACASA-N 0 0 279.336 2.735 20 5 CFBDRN C[C@H](COC(=O)Cn1ccc2ccc(Cl)cc21)[N+](=O)[O-] ZINC000813106994 631840943 /nfs/dbraw/zinc/84/09/43/631840943.db2.gz JTVVHVGFHCGPOH-SECBINFHSA-N 0 0 296.710 2.503 20 5 CFBDRN C[C@H](COC(=O)C1(Cc2ccc(Cl)cc2)CC1)[N+](=O)[O-] ZINC000813107575 631841404 /nfs/dbraw/zinc/84/14/04/631841404.db2.gz UCJMXOPSEIGTOK-SNVBAGLBSA-N 0 0 297.738 2.871 20 5 CFBDRN C[C@H](COC(=O)c1cc2c(s1)CC[C@H](C)C2)[N+](=O)[O-] ZINC000813105367 631841540 /nfs/dbraw/zinc/84/15/40/631841540.db2.gz YCUVKYILRVGGKL-DTWKUNHWSA-N 0 0 283.349 2.695 20 5 CFBDRN C[C@@H](COC(=O)C1(c2ccc(F)cc2)CCCC1)[N+](=O)[O-] ZINC000813105587 631841774 /nfs/dbraw/zinc/84/17/74/631841774.db2.gz PTIOKRQXCMJBRE-NSHDSACASA-N 0 0 295.310 2.846 20 5 CFBDRN Cc1cc(C(=O)OC[C@H](C)[N+](=O)[O-])c(C)cc1Cl ZINC000813107813 631842671 /nfs/dbraw/zinc/84/26/71/631842671.db2.gz QSELTLYYSOMFGE-VIFPVBQESA-N 0 0 271.700 2.779 20 5 CFBDRN Cc1cc(OCC(=O)OC[C@@H](C)[N+](=O)[O-])ccc1C(C)C ZINC000813107829 631843707 /nfs/dbraw/zinc/84/37/07/631843707.db2.gz QVOWMHXWGMTKOQ-GFCCVEGCSA-N 0 0 295.335 2.706 20 5 CFBDRN CC(C)Cc1ccc(CC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813111387 631844286 /nfs/dbraw/zinc/84/42/86/631844286.db2.gz SNGGWASTPSJHBD-LBPRGKRZSA-N 0 0 279.336 2.636 20 5 CFBDRN Cc1nc(C2CCCC2)sc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813113183 631844425 /nfs/dbraw/zinc/84/44/25/631844425.db2.gz OFGCLOHYLFXNSC-QMMMGPOBSA-N 0 0 298.364 2.931 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CC[C@H]2CCCC[C@@H]2C1)[N+](=O)[O-] ZINC000813112360 631844701 /nfs/dbraw/zinc/84/47/01/631844701.db2.gz FYOSSRGKAGAHRZ-FDYHWXHSSA-N 0 0 269.341 2.801 20 5 CFBDRN CC(C)Cc1ccc(CC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813111386 631845083 /nfs/dbraw/zinc/84/50/83/631845083.db2.gz SNGGWASTPSJHBD-GFCCVEGCSA-N 0 0 279.336 2.636 20 5 CFBDRN C[C@@H](CSc1ccccc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813115713 631846401 /nfs/dbraw/zinc/84/64/01/631846401.db2.gz YNRWDFDPEJLJRB-WDEREUQCSA-N 0 0 283.349 2.623 20 5 CFBDRN C[C@@H]1COCC[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813245549 631890887 /nfs/dbraw/zinc/89/08/87/631890887.db2.gz UZFZELWPPOGCMV-RKDXNWHRSA-N 0 0 298.726 2.859 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000813243316 631891105 /nfs/dbraw/zinc/89/11/05/631891105.db2.gz LURIITLXJFKNDY-RKDXNWHRSA-N 0 0 298.314 2.899 20 5 CFBDRN CO[C@@H](C)[C@@H](C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000813292331 631896622 /nfs/dbraw/zinc/89/66/22/631896622.db2.gz OSZRUJMOFGXZQL-CYRCAWSMSA-N 0 0 292.335 2.538 20 5 CFBDRN CCONC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000813397755 631917356 /nfs/dbraw/zinc/91/73/56/631917356.db2.gz IXMHVNPOCCDFJT-UHFFFAOYSA-N 0 0 279.079 2.583 20 5 CFBDRN Cc1cc(NC(=O)Cc2ccc(F)cn2)ccc1[N+](=O)[O-] ZINC000813402479 631918315 /nfs/dbraw/zinc/91/83/15/631918315.db2.gz LXPBTGPZQLZFKW-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN CCc1cc(CC)n(C[C@@H](O)c2cccc([N+](=O)[O-])c2)n1 ZINC000843294770 631920886 /nfs/dbraw/zinc/92/08/86/631920886.db2.gz JGKJZQRUDCFPGW-OAHLLOKOSA-N 0 0 289.335 2.650 20 5 CFBDRN CC/C=C(\F)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000867324735 631927676 /nfs/dbraw/zinc/92/76/76/631927676.db2.gz MMSKQKRHQXHWEY-WTKPLQERSA-N 0 0 268.244 2.805 20 5 CFBDRN O=c1c2ccccc2n(C/C=C\Cl)cc1[N+](=O)[O-] ZINC000813579316 631934172 /nfs/dbraw/zinc/93/41/72/631934172.db2.gz ZLAALSJSMNHPNZ-UTCJRWHESA-N 0 0 264.668 2.662 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C1(C(F)F)CC1 ZINC000843524963 631975789 /nfs/dbraw/zinc/97/57/89/631975789.db2.gz PJXLVDIQWYECLJ-UHFFFAOYSA-N 0 0 292.188 2.857 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1(F)CC1 ZINC000843523974 631975844 /nfs/dbraw/zinc/97/58/44/631975844.db2.gz SFPZIKXNRCQWQK-UHFFFAOYSA-N 0 0 252.245 2.652 20 5 CFBDRN O=C(N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1)C1(F)CC1 ZINC000843557247 631986012 /nfs/dbraw/zinc/98/60/12/631986012.db2.gz PRJSFQOTTDIKJW-LLVKDONJSA-N 0 0 296.323 2.671 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H](C)Cc1cccc(Cl)c1 ZINC000814035822 631997150 /nfs/dbraw/zinc/99/71/50/631997150.db2.gz PUUWLHZUZYSMNL-UWVGGRQHSA-N 0 0 285.727 2.727 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)Cc1cccc(Cl)c1 ZINC000814035820 631997290 /nfs/dbraw/zinc/99/72/90/631997290.db2.gz PUUWLHZUZYSMNL-NXEZZACHSA-N 0 0 285.727 2.727 20 5 CFBDRN CC[C@@H](Sc1ccccc1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814034438 631997320 /nfs/dbraw/zinc/99/73/20/631997320.db2.gz IBQSGNDGEBCZRG-ZYHUDNBSSA-N 0 0 283.349 2.766 20 5 CFBDRN CC(C)CC1(C(=O)O[C@@H](C)C[N+](=O)[O-])CCCC1 ZINC000814033435 631997365 /nfs/dbraw/zinc/99/73/65/631997365.db2.gz AEWMEGCEGATQIU-NSHDSACASA-N 0 0 257.330 2.801 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)C1(F)CC1 ZINC000843589316 631997728 /nfs/dbraw/zinc/99/77/28/631997728.db2.gz ATHGKFOHDMDDGV-UHFFFAOYSA-N 0 0 258.636 2.689 20 5 CFBDRN CCc1ccc2occ(C(=O)O[C@H](C)C[N+](=O)[O-])c2c1 ZINC000814037217 631997925 /nfs/dbraw/zinc/99/79/25/631997925.db2.gz ULFMWMOLKZHIBP-SECBINFHSA-N 0 0 277.276 2.817 20 5 CFBDRN Cc1nc(C2CCCC2)sc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814040896 631999390 /nfs/dbraw/zinc/99/93/90/631999390.db2.gz LIBPNCHGCVRPIE-MRVPVSSYSA-N 0 0 298.364 2.931 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(c2ccc(Cl)cc2)CC1 ZINC000814042441 631999446 /nfs/dbraw/zinc/99/94/46/631999446.db2.gz KPCGNSUGEGNAEY-SECBINFHSA-N 0 0 283.711 2.580 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCC[C@@H]2CCCC[C@H]12 ZINC000814041684 631999587 /nfs/dbraw/zinc/99/95/87/631999587.db2.gz RYLYBHVHMREFFG-MROQNXINSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1ccc(F)cc1F ZINC000814042276 631999589 /nfs/dbraw/zinc/99/95/89/631999589.db2.gz VJFZDBVQMPLYRO-IUCAKERBSA-N 0 0 287.262 2.667 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1ccccc1F ZINC000814042964 631999742 /nfs/dbraw/zinc/99/97/42/631999742.db2.gz REIBEWHDNOWNMV-VHSXEESVSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@@H]1CCCc2ccccc21 ZINC000814045055 632000191 /nfs/dbraw/zinc/00/01/91/632000191.db2.gz MCTTZAOIJRNGFR-AAEUAGOBSA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)CCCc1ccccc1 ZINC000814044689 632000480 /nfs/dbraw/zinc/00/04/80/632000480.db2.gz IKRVSXSCPJKJIH-QWHCGFSZSA-N 0 0 279.336 2.854 20 5 CFBDRN CC(C)Cc1ccccc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814044259 632000573 /nfs/dbraw/zinc/00/05/73/632000573.db2.gz CBZUAOSAZNOMOC-LLVKDONJSA-N 0 0 265.309 2.707 20 5 CFBDRN CCCCc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814046186 632000937 /nfs/dbraw/zinc/00/09/37/632000937.db2.gz HEVYLOCLLBHFMX-NSHDSACASA-N 0 0 265.309 2.851 20 5 CFBDRN CCSc1ccccc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814045194 632001306 /nfs/dbraw/zinc/00/13/06/632001306.db2.gz YTUFEJGPIGPKJZ-SECBINFHSA-N 0 0 269.322 2.621 20 5 CFBDRN Cc1ccc(CCCCC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814045232 632001388 /nfs/dbraw/zinc/00/13/88/632001388.db2.gz ZNJKMZJZBUMIGU-CYBMUJFWSA-N 0 0 279.336 2.916 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc2cccc(Cl)c2o1 ZINC000814049034 632001665 /nfs/dbraw/zinc/00/16/65/632001665.db2.gz PVLLSJUZILGHNT-ZETCQYMHSA-N 0 0 283.667 2.908 20 5 CFBDRN CC1(C)C[C@@H](CNc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000840312158 632007151 /nfs/dbraw/zinc/00/71/51/632007151.db2.gz IZTPIAOOJRHQEX-JTQLQIEISA-N 0 0 279.340 2.794 20 5 CFBDRN CC1(C)C[C@H](CNc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000840312159 632007311 /nfs/dbraw/zinc/00/73/11/632007311.db2.gz IZTPIAOOJRHQEX-SNVBAGLBSA-N 0 0 279.340 2.794 20 5 CFBDRN Cc1ccccc1C1(NC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000843631134 632008945 /nfs/dbraw/zinc/00/89/45/632008945.db2.gz RGADCHRGYVFJQY-UHFFFAOYSA-N 0 0 290.363 2.936 20 5 CFBDRN CC(C)(CCC(=O)NC[C@H]1C[C@@H]1c1ccccc1)[N+](=O)[O-] ZINC000843659799 632014411 /nfs/dbraw/zinc/01/44/11/632014411.db2.gz QVIQKHLSIOBSRN-ZIAGYGMSSA-N 0 0 290.363 2.742 20 5 CFBDRN CC1(C)C[C@@H](CNc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000840313537 632016396 /nfs/dbraw/zinc/01/63/96/632016396.db2.gz ZUYRFKCODAJZFL-JTQLQIEISA-N 0 0 279.340 2.794 20 5 CFBDRN C[C@@H](NC(=S)NC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000814194478 632030709 /nfs/dbraw/zinc/03/07/09/632030709.db2.gz VKSAAHCKKBVPBH-SECBINFHSA-N 0 0 279.365 2.672 20 5 CFBDRN Cc1c([N+](=O)[O-])cc(C(=O)N(C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000843713812 632037244 /nfs/dbraw/zinc/03/72/44/632037244.db2.gz UJUXCDHZBVMHSJ-UHFFFAOYSA-N 0 0 295.295 2.682 20 5 CFBDRN CC(C)(NC(=S)NC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000814204210 632037869 /nfs/dbraw/zinc/03/78/69/632037869.db2.gz DBNCJIKRKVMQSS-UHFFFAOYSA-N 0 0 293.392 2.847 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000843740497 632044541 /nfs/dbraw/zinc/04/45/41/632044541.db2.gz OCHSPNJNRAUUML-JBLDHEPKSA-N 0 0 292.310 2.961 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CCS[C@@H]2C)c1[N+](=O)[O-] ZINC000843740969 632045123 /nfs/dbraw/zinc/04/51/23/632045123.db2.gz BELOAKZSTVCOBH-KCJUWKMLSA-N 0 0 298.339 2.666 20 5 CFBDRN CC(=O)c1ccn(Cc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000814264840 632048515 /nfs/dbraw/zinc/04/85/15/632048515.db2.gz HZXBCQHATNYJDI-UHFFFAOYSA-N 0 0 279.683 2.696 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N(C)CCC1CC1 ZINC000156265702 650050398 /nfs/dbraw/zinc/05/03/98/650050398.db2.gz HCRBAKBFOYWQDO-UHFFFAOYSA-N 0 0 291.351 2.533 20 5 CFBDRN CCC1(CNC(=O)c2c(C)c(F)ccc2[N+](=O)[O-])CC1 ZINC000843816902 632061135 /nfs/dbraw/zinc/06/11/35/632061135.db2.gz JMOBXBLQFUTEHS-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN C[C@@H](OC(=O)c1cccc(=O)[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000814474686 632074405 /nfs/dbraw/zinc/07/44/05/632074405.db2.gz DNCPZRAZPUQKTL-SECBINFHSA-N 0 0 288.259 2.613 20 5 CFBDRN Cc1nc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c(C)o1 ZINC000814503219 632081489 /nfs/dbraw/zinc/08/14/89/632081489.db2.gz DOZXTASYCYMFAI-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)OCc2ccccc2[N+](=O)[O-])C1 ZINC000814563213 632092781 /nfs/dbraw/zinc/09/27/81/632092781.db2.gz HCDGELLAUXSNMU-YPMHNXCESA-N 0 0 293.319 2.843 20 5 CFBDRN CCON(C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000844075454 632107117 /nfs/dbraw/zinc/10/71/17/632107117.db2.gz OLTVLUXMWNITCO-LLVKDONJSA-N 0 0 280.324 2.887 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000844264021 632148007 /nfs/dbraw/zinc/14/80/07/632148007.db2.gz BDTJXCZYKGVMMY-WDEREUQCSA-N 0 0 278.308 2.692 20 5 CFBDRN C[C@H]1CC=CC[C@H]1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000844265114 632149001 /nfs/dbraw/zinc/14/90/01/632149001.db2.gz USJJTOCRESCAHB-QWRGUYRKSA-N 0 0 278.308 2.692 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1Oc1ncccn1 ZINC000814901576 632155603 /nfs/dbraw/zinc/15/56/03/632155603.db2.gz RPZPJDMXAUHYMM-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN CC[C@@H]1CN(C)CCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000814938714 632175462 /nfs/dbraw/zinc/17/54/62/632175462.db2.gz SFTQCFSMJLXMPU-SNVBAGLBSA-N 0 0 283.759 2.779 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)C2(CCC2)CO1 ZINC000844423249 632205229 /nfs/dbraw/zinc/20/52/29/632205229.db2.gz YEEOBDOTDQZQOV-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1c(Cl)cnn1CN1CCc2ccc([N+](=O)[O-])cc21 ZINC000156546461 650057450 /nfs/dbraw/zinc/05/74/50/650057450.db2.gz YVMNSESJAZYBJK-UHFFFAOYSA-N 0 0 292.726 2.773 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000815219056 632232210 /nfs/dbraw/zinc/23/22/10/632232210.db2.gz KCQDGEJTJCNEHH-YUMQZZPRSA-N 0 0 282.271 2.662 20 5 CFBDRN Cc1c(C(=O)OCCc2cccnc2)cccc1[N+](=O)[O-] ZINC000105555685 632236307 /nfs/dbraw/zinc/23/63/07/632236307.db2.gz CGLYBVBDGPUKQN-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN CCCN(C(=O)/C=C(\C)COC)c1cccc([N+](=O)[O-])c1 ZINC000844515513 632236552 /nfs/dbraw/zinc/23/65/52/632236552.db2.gz DNXXZDDZHJWIPI-FMIVXFBMSA-N 0 0 292.335 2.931 20 5 CFBDRN C[C@H](OC(=O)c1cc(C=O)c[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000815256946 632241544 /nfs/dbraw/zinc/24/15/44/632241544.db2.gz LIMJVMLFIRJJML-VIFPVBQESA-N 0 0 288.259 2.653 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCCC(F)(F)C1 ZINC000844766160 632302870 /nfs/dbraw/zinc/30/28/70/632302870.db2.gz JIGZMQUWKXEVIB-QPJJXVBHSA-N 0 0 296.273 2.866 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000815697605 632312401 /nfs/dbraw/zinc/31/24/01/632312401.db2.gz HRHBMUYMEPKTOY-QWRGUYRKSA-N 0 0 297.355 2.730 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000815697603 632312767 /nfs/dbraw/zinc/31/27/67/632312767.db2.gz HRHBMUYMEPKTOY-GHMZBOCLSA-N 0 0 297.355 2.730 20 5 CFBDRN CCC1(COC(=O)c2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000816183918 632389008 /nfs/dbraw/zinc/38/90/08/632389008.db2.gz DWHWLOWAKULDHN-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CC(=O)Cc1ccc(OC(=O)c2ccccc2[N+](=O)[O-])cc1 ZINC000816209695 632392239 /nfs/dbraw/zinc/39/22/39/632392239.db2.gz IOCFGHRNXNITGF-UHFFFAOYSA-N 0 0 299.282 2.946 20 5 CFBDRN COC[C@@H]1CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000845491499 632448008 /nfs/dbraw/zinc/44/80/08/632448008.db2.gz NTMFKDGLDAQSAQ-MRVPVSSYSA-N 0 0 288.706 2.860 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000845502417 632451360 /nfs/dbraw/zinc/45/13/60/632451360.db2.gz LHKMGGZHPCBQKC-QMMMGPOBSA-N 0 0 288.706 2.860 20 5 CFBDRN Cc1nn(C)c(NCC[C@]2(C)C[C@]2(F)Cl)c1[N+](=O)[O-] ZINC000845646236 632502679 /nfs/dbraw/zinc/50/26/79/632502679.db2.gz ABMZUCROTFPHFS-GHMZBOCLSA-N 0 0 290.726 2.753 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@@](C)(O)c1ccccc1 ZINC000845657834 632508569 /nfs/dbraw/zinc/50/85/69/632508569.db2.gz VTBDCIAAYHFJKF-IAQYHMDHSA-N 0 0 287.319 2.698 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)[C@H](C)CO1 ZINC000845660344 632509171 /nfs/dbraw/zinc/50/91/71/632509171.db2.gz CMNRIRQISGOIOO-ZWNOBZJWSA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)[C@@H](C)CO1 ZINC000845661363 632509584 /nfs/dbraw/zinc/50/95/84/632509584.db2.gz MBSSBQMRAYBNKY-GXSJLCMTSA-N 0 0 268.288 2.738 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(SCc2cnn(C)c2)c1 ZINC000845705004 632528179 /nfs/dbraw/zinc/52/81/79/632528179.db2.gz RZCDEOAPFDHICF-UHFFFAOYSA-N 0 0 297.311 2.768 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC(C2CC2)CC1 ZINC000816903438 632533096 /nfs/dbraw/zinc/53/30/96/632533096.db2.gz DIRHQDGFMLZRFX-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN Cc1nc(N2CCC[C@]3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000845741861 632547294 /nfs/dbraw/zinc/54/72/94/632547294.db2.gz HCYROTWBZCJSKK-LBPRGKRZSA-N 0 0 283.278 2.924 20 5 CFBDRN CC(C)(NC(=O)C1=CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000817038106 632548240 /nfs/dbraw/zinc/54/82/40/632548240.db2.gz LESOVQNOYFOADB-UHFFFAOYSA-N 0 0 290.319 2.640 20 5 CFBDRN Cc1cc(N2CCC[C@@]3(CC3(F)F)C2)ncc1[N+](=O)[O-] ZINC000845743621 632548871 /nfs/dbraw/zinc/54/88/71/632548871.db2.gz XBFOMUSPGYLASM-GFCCVEGCSA-N 0 0 283.278 2.924 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@@H]2CC2(Cl)Cl)nc1 ZINC000845743761 632548978 /nfs/dbraw/zinc/54/89/78/632548978.db2.gz CZPKQBQKPIQSAD-SSDOTTSWSA-N 0 0 291.138 2.771 20 5 CFBDRN Cc1cc(N(C)[C@@H](C)CCO)c(Cl)cc1[N+](=O)[O-] ZINC000845751838 632551800 /nfs/dbraw/zinc/55/18/00/632551800.db2.gz HZBKAUMLKYQVCZ-VIFPVBQESA-N 0 0 272.732 2.764 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1C[C@H]3[C@@H](C1)C3(F)F)CCCC2 ZINC000845758839 632554866 /nfs/dbraw/zinc/55/48/66/632554866.db2.gz ZADTUNIWBCKZBA-AOOOYVTPSA-N 0 0 295.289 2.570 20 5 CFBDRN CC[C@@H](COC(=O)c1cc([N+](=O)[O-])cn1C)CC(F)F ZINC000845765742 632557828 /nfs/dbraw/zinc/55/78/28/632557828.db2.gz BZKIOOJXAIKVGP-MRVPVSSYSA-N 0 0 290.266 2.772 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000817123691 632561007 /nfs/dbraw/zinc/56/10/07/632561007.db2.gz BHKXKTNINGICLF-WDEREUQCSA-N 0 0 265.313 2.547 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCCC1(O)CCC1 ZINC000817126000 632561901 /nfs/dbraw/zinc/56/19/01/632561901.db2.gz WOEVOFPIGNWPOW-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](CCO)CC2)c(F)c1 ZINC000817128890 632562576 /nfs/dbraw/zinc/56/25/76/632562576.db2.gz DUFISPXHAFQZAE-NSHDSACASA-N 0 0 282.315 2.723 20 5 CFBDRN COC1CCC(Nc2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000840336373 632567454 /nfs/dbraw/zinc/56/74/54/632567454.db2.gz YPLUEVFSDLSNBN-UHFFFAOYSA-N 0 0 265.313 2.547 20 5 CFBDRN CSCCOC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817239243 632580553 /nfs/dbraw/zinc/58/05/53/632580553.db2.gz JYQFUTWLUILPNU-UHFFFAOYSA-N 0 0 269.322 2.731 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC1CCOCC1 ZINC000817239394 632581723 /nfs/dbraw/zinc/58/17/23/632581723.db2.gz RIZBMJQVEYPNKW-UHFFFAOYSA-N 0 0 293.319 2.795 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccccc2[N+](=O)[O-])CC1(F)F ZINC000817247140 632583977 /nfs/dbraw/zinc/58/39/77/632583977.db2.gz YOUGBUIUGNWINS-NSHDSACASA-N 0 0 271.219 2.683 20 5 CFBDRN CO[C@H](C)CC(=O)O[C@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845876027 632588842 /nfs/dbraw/zinc/58/88/42/632588842.db2.gz PHCSOSDBKNHSIZ-BFVZDQMLSA-N 0 0 299.298 2.500 20 5 CFBDRN C[C@@H]([C@@H](OC(=O)C1CCC1)c1ccccc1F)[N+](=O)[O-] ZINC000845871860 632589302 /nfs/dbraw/zinc/58/93/02/632589302.db2.gz AYUBRGHZBLCAKZ-TVQRCGJNSA-N 0 0 281.283 2.875 20 5 CFBDRN C[C@H]([C@@H](OC(=O)[C@@H]1C[C@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845883330 632590367 /nfs/dbraw/zinc/59/03/67/632590367.db2.gz RZXPPNLQMPPCTH-RUSTZOOPSA-N 0 0 281.283 2.731 20 5 CFBDRN C[C@H]([C@H](OC(=O)CC1CC1)c1ccccc1F)[N+](=O)[O-] ZINC000845876872 632590415 /nfs/dbraw/zinc/59/04/15/632590415.db2.gz QZBIZMGFKCJWNE-OTYXRUKQSA-N 0 0 281.283 2.875 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1c(F)cccc1[N+](=O)[O-] ZINC000845909699 632596144 /nfs/dbraw/zinc/59/61/44/632596144.db2.gz FINJWDILAXYVSV-QMMMGPOBSA-N 0 0 291.300 2.631 20 5 CFBDRN O=C(NOCC1CC1)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000817344677 632609828 /nfs/dbraw/zinc/60/98/28/632609828.db2.gz OXPXCUMDEZZQQM-UHFFFAOYSA-N 0 0 292.316 2.881 20 5 CFBDRN O=C(NOCCC1CC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000817383264 632618263 /nfs/dbraw/zinc/61/82/63/632618263.db2.gz IKBJQQIPTCAGPW-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000846111341 632634700 /nfs/dbraw/zinc/63/47/00/632634700.db2.gz LRRLJYHXYCTKOL-BFJGBIGKSA-N 0 0 280.274 2.805 20 5 CFBDRN C[C@]1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CC1(F)F ZINC000817440450 632635053 /nfs/dbraw/zinc/63/50/53/632635053.db2.gz MBYXEIYNODOTMM-SNVBAGLBSA-N 0 0 275.182 2.685 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NOCC(C)C ZINC000817551037 632661157 /nfs/dbraw/zinc/66/11/57/632661157.db2.gz BDNUJKZZYCSXLR-UHFFFAOYSA-N 0 0 266.297 2.529 20 5 CFBDRN COc1ccc(C(=O)OCc2ccccc2)cc1[N+](=O)[O-] ZINC000005740062 632688895 /nfs/dbraw/zinc/68/88/95/632688895.db2.gz SKXJCVSNYQCJPO-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN COC[C@@H](C)COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817728964 632695989 /nfs/dbraw/zinc/69/59/89/632695989.db2.gz ZORANSCOJRMKBL-SECBINFHSA-N 0 0 281.308 2.651 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817743046 632700716 /nfs/dbraw/zinc/70/07/16/632700716.db2.gz UTTOWTCKECRGQW-ZIAGYGMSSA-N 0 0 293.319 2.936 20 5 CFBDRN Nc1ccc(C(=O)Nc2cccc(C3CC3)n2)cc1[N+](=O)[O-] ZINC000817772804 632710035 /nfs/dbraw/zinc/71/00/35/632710035.db2.gz HINAGBIVFNFUKV-UHFFFAOYSA-N 0 0 298.302 2.702 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@]2(CC2(F)F)C1 ZINC000846569338 632745058 /nfs/dbraw/zinc/74/50/58/632745058.db2.gz XWZYHNPHUYLITQ-ZDUSSCGKSA-N 0 0 296.273 2.856 20 5 CFBDRN Cc1noc(CN(C)Cc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000847092021 632918268 /nfs/dbraw/zinc/91/82/68/632918268.db2.gz JWYRWKHGFOXGNT-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN CCCC[C@H](CC)CC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847783043 633076341 /nfs/dbraw/zinc/07/63/41/633076341.db2.gz QEIMYXBYYJUBDL-NSHDSACASA-N 0 0 297.355 2.978 20 5 CFBDRN CCC[C@@H]1CCC[C@@H]1C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847786497 633077425 /nfs/dbraw/zinc/07/74/25/633077425.db2.gz TVKZTPHQAQZFFK-PWSUYJOCSA-N 0 0 295.339 2.588 20 5 CFBDRN C[C@H](NC(=O)/C=C\[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000819461929 633169395 /nfs/dbraw/zinc/16/93/95/633169395.db2.gz GTINRBUEHGOLQS-RTVKQWPZSA-N 0 0 290.319 2.507 20 5 CFBDRN CCN(C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1)[C@H](C)C(C)C ZINC000848100530 633202911 /nfs/dbraw/zinc/20/29/11/633202911.db2.gz PDMLCAITOINIED-BXUZGUMPSA-N 0 0 294.351 2.521 20 5 CFBDRN C[C@@H]1C[C@@H]1C(N)=NOCc1ccc([N+](=O)[O-])cc1Cl ZINC000848518977 633272793 /nfs/dbraw/zinc/27/27/93/633272793.db2.gz KGKUPHGHZHGBAI-XCBNKYQSSA-N 0 0 283.715 2.903 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2NCc1cccc(F)n1 ZINC000848536000 633281415 /nfs/dbraw/zinc/28/14/15/633281415.db2.gz MOHIXUBETZZRIH-ZDUSSCGKSA-N 0 0 287.294 2.906 20 5 CFBDRN Cc1nc(CN2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)co1 ZINC000848660317 633311606 /nfs/dbraw/zinc/31/16/06/633311606.db2.gz AABXFUITDYZDRG-VIFPVBQESA-N 0 0 273.292 2.842 20 5 CFBDRN CCc1cc(CNc2c(OC)cccc2[N+](=O)[O-])[nH]n1 ZINC000848664958 633313383 /nfs/dbraw/zinc/31/33/83/633313383.db2.gz GQQPMRTXOVKUFP-UHFFFAOYSA-N 0 0 276.296 2.501 20 5 CFBDRN CCc1nocc1COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000848683861 633316627 /nfs/dbraw/zinc/31/66/27/633316627.db2.gz YHVVLGIOWKLMFF-UHFFFAOYSA-N 0 0 282.277 2.564 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H](C2CC2)[C@H]2CCCCO2)c1 ZINC000848744452 633332242 /nfs/dbraw/zinc/33/22/42/633332242.db2.gz MZGVWESOVVIOOZ-HUUCEWRRSA-N 0 0 291.351 2.937 20 5 CFBDRN Cc1nc(COC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000848746572 633332990 /nfs/dbraw/zinc/33/29/90/633332990.db2.gz XSCFJFRGXPJWRT-SECBINFHSA-N 0 0 290.275 2.738 20 5 CFBDRN COCC1(CNc2cc(OC)cc(F)c2[N+](=O)[O-])CC1 ZINC000848757005 633336689 /nfs/dbraw/zinc/33/66/89/633336689.db2.gz OHLPRMHXKKOGNE-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN COc1ccc(C(C)C)cc1COC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000848766271 633339471 /nfs/dbraw/zinc/33/94/71/633339471.db2.gz NWTHRNBEQGUCFX-CHWSQXEVSA-N 0 0 293.319 2.527 20 5 CFBDRN CC(C)(C)CCCOC(=O)c1ccnc(N)c1[N+](=O)[O-] ZINC000848787187 633343408 /nfs/dbraw/zinc/34/34/08/633343408.db2.gz NQZRNPOKGYFDPM-UHFFFAOYSA-N 0 0 281.312 2.555 20 5 CFBDRN CCC(=O)c1ccc(N(C[C@@H](C)O)C2CC2)c([N+](=O)[O-])c1 ZINC000848791077 633343418 /nfs/dbraw/zinc/34/34/18/633343418.db2.gz LOEMMGNPJACMSH-SNVBAGLBSA-N 0 0 292.335 2.537 20 5 CFBDRN COC(=O)[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000840387908 633380479 /nfs/dbraw/zinc/38/04/79/633380479.db2.gz RGBOGHOOUJYPPQ-AWEZNQCLSA-N 0 0 296.298 2.513 20 5 CFBDRN CCOc1cc([N+](=O)[O-])c(-c2nc(CC)no2)cc1OC ZINC000849339121 633620915 /nfs/dbraw/zinc/62/09/15/633620915.db2.gz ZDAMRWKWEQQOEF-UHFFFAOYSA-N 0 0 293.279 2.615 20 5 CFBDRN O=C(OCC1CC=CC1)c1ccc([N+](=O)[O-])s1 ZINC000849625836 633644774 /nfs/dbraw/zinc/64/47/74/633644774.db2.gz WOONHAFFGLKBHF-UHFFFAOYSA-N 0 0 253.279 2.779 20 5 CFBDRN CO[C@H](COC(=O)c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000849626815 633645006 /nfs/dbraw/zinc/64/50/06/633645006.db2.gz AVHZRHASETVELD-CQSZACIVSA-N 0 0 293.319 2.957 20 5 CFBDRN CO[C@H](COC(=O)c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000849628666 633646758 /nfs/dbraw/zinc/64/67/58/633646758.db2.gz NELCUPGZNJTUBR-CYBMUJFWSA-N 0 0 279.292 2.567 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000849633956 633649749 /nfs/dbraw/zinc/64/97/49/633649749.db2.gz QUBIDKSQXYVPMT-UIJKISKDSA-N 0 0 291.303 2.766 20 5 CFBDRN C[C@@H](O)CCOC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000849660216 633661807 /nfs/dbraw/zinc/66/18/07/633661807.db2.gz PRLDWZRWPVCVHG-MRVPVSSYSA-N 0 0 295.316 2.737 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000849717395 633687495 /nfs/dbraw/zinc/68/74/95/633687495.db2.gz QWJOBPFLJZKDDL-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1COC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000849719424 633688199 /nfs/dbraw/zinc/68/81/99/633688199.db2.gz SKZKLJSSIRNBKI-VXGBXAGGSA-N 0 0 295.339 2.551 20 5 CFBDRN CCCCOCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849732824 633694395 /nfs/dbraw/zinc/69/43/95/633694395.db2.gz UZRYXTKAQOHSIR-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN CC(C)(C)n1cc(COc2cc(F)ccc2[N+](=O)[O-])nn1 ZINC000849857462 633744698 /nfs/dbraw/zinc/74/46/98/633744698.db2.gz NOFIQVZLQCYOKD-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN CO[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000850039085 633799074 /nfs/dbraw/zinc/79/90/74/633799074.db2.gz UGNVEGMMXNHZEH-AWEZNQCLSA-N 0 0 293.319 2.843 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000850066279 633817089 /nfs/dbraw/zinc/81/70/89/633817089.db2.gz JUXGQGJZJKTRKR-LBPRGKRZSA-N 0 0 291.303 2.757 20 5 CFBDRN C[C@H](CC(=O)OCc1cccc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000850077512 633826328 /nfs/dbraw/zinc/82/63/28/633826328.db2.gz NTCNFZONSFIURB-RISCZKNCSA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)C1CC2(CC2)C1 ZINC000850216489 633899821 /nfs/dbraw/zinc/89/98/21/633899821.db2.gz ZJBZKSHFWWHBRE-UHFFFAOYSA-N 0 0 291.303 2.707 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850264833 633917456 /nfs/dbraw/zinc/91/74/56/633917456.db2.gz QTPGFFZNBMGEKA-GDNZZTSVSA-N 0 0 291.303 2.693 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850264325 633917624 /nfs/dbraw/zinc/91/76/24/633917624.db2.gz ZGBNYYZLDBWARV-GDNZZTSVSA-N 0 0 291.303 2.563 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1C[C@H](C)CO1 ZINC000885576398 634021246 /nfs/dbraw/zinc/02/12/46/634021246.db2.gz NQIVRDRMCSPYAL-NURSFMCSSA-N 0 0 293.319 2.932 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CCC[C@H]3C[C@H]32)c([N+](=O)[O-])c1 ZINC000850764422 634082682 /nfs/dbraw/zinc/08/26/82/634082682.db2.gz NHCVSKXMQLNCCQ-MVWJERBFSA-N 0 0 291.303 2.945 20 5 CFBDRN CO[C@@H](C(=O)Oc1ccc(C)c([N+](=O)[O-])c1)C1CCC1 ZINC000850908562 634133824 /nfs/dbraw/zinc/13/38/24/634133824.db2.gz AJLQTUVWQNUMKA-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN COc1ccc(OC(=O)C2CC3(CC3)C2)cc1[N+](=O)[O-] ZINC000850985491 634166954 /nfs/dbraw/zinc/16/69/54/634166954.db2.gz IHZWMMJMVWMOAJ-UHFFFAOYSA-N 0 0 277.276 2.699 20 5 CFBDRN C[C@H](COC(=O)[C@H]1CC[C@H](C2CCC2)CC1)[N+](=O)[O-] ZINC000851036264 634188955 /nfs/dbraw/zinc/18/89/55/634188955.db2.gz GVWHXDAGOXFGCA-RAIGVLPGSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1CC2(C1)CCCCC2 ZINC000851044078 634193108 /nfs/dbraw/zinc/19/31/08/634193108.db2.gz AWZWGOWTNLHMOC-JTQLQIEISA-N 0 0 255.314 2.555 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)C[N+](=O)[O-])c(-n2cccc2)s1 ZINC000851045539 634194461 /nfs/dbraw/zinc/19/44/61/634194461.db2.gz LHVNOSDPTIFHQC-SECBINFHSA-N 0 0 294.332 2.669 20 5 CFBDRN CC(C)(COC(=O)CCCC1CCCC1)[N+](=O)[O-] ZINC000851125664 634232217 /nfs/dbraw/zinc/23/22/17/634232217.db2.gz HCIOSNFZNZMPOJ-UHFFFAOYSA-N 0 0 257.330 2.945 20 5 CFBDRN Cc1[nH]c2ccccc2c1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851124484 634234260 /nfs/dbraw/zinc/23/42/60/634234260.db2.gz XRWYPVBSAHZEBT-UHFFFAOYSA-N 0 0 276.292 2.688 20 5 CFBDRN CC(C)(COC(=O)C[C@@H]1CCc2ccccc21)[N+](=O)[O-] ZINC000851125684 634235657 /nfs/dbraw/zinc/23/56/57/634235657.db2.gz GDLPASXKHSKKRU-LBPRGKRZSA-N 0 0 277.320 2.705 20 5 CFBDRN CC(C)(COC(=O)C[C@@H]1CCOc2ccccc21)[N+](=O)[O-] ZINC000851125505 634235753 /nfs/dbraw/zinc/23/57/53/634235753.db2.gz DLDWATODALQROZ-NSHDSACASA-N 0 0 293.319 2.541 20 5 CFBDRN CC(C)(COC(=O)C1(c2cccc(F)c2)CCC1)[N+](=O)[O-] ZINC000851124997 634235853 /nfs/dbraw/zinc/23/58/53/634235853.db2.gz SPWDIGCFJASRFB-UHFFFAOYSA-N 0 0 295.310 2.846 20 5 CFBDRN Cc1ccc(CCC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851125136 634235872 /nfs/dbraw/zinc/23/58/72/634235872.db2.gz YRKJQDQIWFPORB-UHFFFAOYSA-N 0 0 265.309 2.526 20 5 CFBDRN CC(C)(COC(=O)[C@]1(C)CCc2ccccc2C1)[N+](=O)[O-] ZINC000851125433 634235891 /nfs/dbraw/zinc/23/58/91/634235891.db2.gz DFNHTMJTWRHFRM-MRXNPFEDSA-N 0 0 291.347 2.780 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CCC[C@@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000851124821 634235928 /nfs/dbraw/zinc/23/59/28/634235928.db2.gz MMCFQZUBTJKDNG-DTWKUNHWSA-N 0 0 297.273 2.954 20 5 CFBDRN CC(C)(COC(=O)[C@@]1(C)CCCc2ccccc21)[N+](=O)[O-] ZINC000851126145 634236581 /nfs/dbraw/zinc/23/65/81/634236581.db2.gz ODFNRHNXVXQDIT-INIZCTEOSA-N 0 0 291.347 2.879 20 5 CFBDRN CC(C)COc1ccccc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851127261 634237432 /nfs/dbraw/zinc/23/74/32/634237432.db2.gz VQTKVINZRXRLCB-UHFFFAOYSA-N 0 0 295.335 2.934 20 5 CFBDRN CCC[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccn1 ZINC000851128678 634237681 /nfs/dbraw/zinc/23/76/81/634237681.db2.gz BXSVBKSMVXLKEB-LLVKDONJSA-N 0 0 280.324 2.564 20 5 CFBDRN Cc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])ccc1Cl ZINC000851127344 634237699 /nfs/dbraw/zinc/23/76/99/634237699.db2.gz VMQKWBSNHXAVKO-UHFFFAOYSA-N 0 0 271.700 2.861 20 5 CFBDRN CCc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])oc1CC ZINC000851127578 634237719 /nfs/dbraw/zinc/23/77/19/634237719.db2.gz ZTEDTJRVUDWDJT-UHFFFAOYSA-N 0 0 269.297 2.617 20 5 CFBDRN CCSc1ccc(CC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851127319 634237860 /nfs/dbraw/zinc/23/78/60/634237860.db2.gz UGHRREOTHSNFCR-UHFFFAOYSA-N 0 0 297.376 2.940 20 5 CFBDRN CCC(CC)n1nc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1C ZINC000851127269 634237865 /nfs/dbraw/zinc/23/78/65/634237865.db2.gz MOIXFIJWPPGRSN-UHFFFAOYSA-N 0 0 297.355 2.765 20 5 CFBDRN CC(C)(COC(=O)[C@@]1(C)C[C@@H]1c1ccccc1)[N+](=O)[O-] ZINC000851129441 634238333 /nfs/dbraw/zinc/23/83/33/634238333.db2.gz NKWBIVMUHYXAKL-DOMZBBRYSA-N 0 0 277.320 2.779 20 5 CFBDRN CC(C)(COC(=O)c1coc2ccc(F)cc21)[N+](=O)[O-] ZINC000851128766 634238910 /nfs/dbraw/zinc/23/89/10/634238910.db2.gz FCLJXNAYVJSRRC-UHFFFAOYSA-N 0 0 281.239 2.784 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000851230609 634282189 /nfs/dbraw/zinc/28/21/89/634282189.db2.gz MNSDCVPROWJDMD-LMKPVCQUSA-N 0 0 275.304 2.727 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@H]1CCC1(F)F ZINC000851311164 634318981 /nfs/dbraw/zinc/31/89/81/634318981.db2.gz HZHRXEPPRYNUKN-SNVBAGLBSA-N 0 0 285.246 2.726 20 5 CFBDRN CCN(CC)C(=S)SCc1onc(C)c1[N+](=O)[O-] ZINC000853012887 634875178 /nfs/dbraw/zinc/87/51/78/634875178.db2.gz LKNAUIBZGMADBG-UHFFFAOYSA-N 0 0 289.382 2.751 20 5 CFBDRN Cc1noc(COc2ccc(C)cc2[N+](=O)[O-])c1[N+](=O)[O-] ZINC000853015066 634876039 /nfs/dbraw/zinc/87/60/39/634876039.db2.gz GTLYVCKOCNAESP-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN Cc1noc(COc2cccc([N+](=O)[O-])c2C)c1[N+](=O)[O-] ZINC000853016589 634876748 /nfs/dbraw/zinc/87/67/48/634876748.db2.gz JPJFTIRDEQQPLV-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN COc1c(F)cc(F)cc1NCc1onc(C)c1[N+](=O)[O-] ZINC000853059887 634893256 /nfs/dbraw/zinc/89/32/56/634893256.db2.gz MDWIBROVKQVAMN-UHFFFAOYSA-N 0 0 299.233 2.790 20 5 CFBDRN CCn1nccc1CNCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000853094103 634902227 /nfs/dbraw/zinc/90/22/27/634902227.db2.gz YGKKELRKALGDOJ-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)OC[C@H]2CCCCC2(C)C)n1 ZINC000853160714 634921975 /nfs/dbraw/zinc/92/19/75/634921975.db2.gz YTPXRNALXOZXIR-SNVBAGLBSA-N 0 0 295.339 2.702 20 5 CFBDRN CCCOC(=O)CCNc1cc(C)sc1[N+](=O)[O-] ZINC000853293726 634960714 /nfs/dbraw/zinc/96/07/14/634960714.db2.gz PLTFNHOWAQRTHG-UHFFFAOYSA-N 0 0 272.326 2.720 20 5 CFBDRN CN(Cc1cc[nH]n1)c1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000853320168 634967715 /nfs/dbraw/zinc/96/77/15/634967715.db2.gz RTYPPFSTVGKXIN-UHFFFAOYSA-N 0 0 282.250 2.892 20 5 CFBDRN CCC[C@H]1CN(c2cc(OC)c(C)cc2[N+](=O)[O-])CCO1 ZINC000853462545 635006569 /nfs/dbraw/zinc/00/65/69/635006569.db2.gz YKDNQZIXDAYUPW-LBPRGKRZSA-N 0 0 294.351 2.917 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2ccccn2)c([N+](=O)[O-])s1 ZINC000853476504 635010881 /nfs/dbraw/zinc/01/08/81/635010881.db2.gz DACSMEUZOCOIKB-LLVKDONJSA-N 0 0 279.321 2.505 20 5 CFBDRN CCC(CC)CCCN(C)Cn1cc([N+](=O)[O-])cn1 ZINC000853589126 635043222 /nfs/dbraw/zinc/04/32/22/635043222.db2.gz MAONFYCJXLKWMP-UHFFFAOYSA-N 0 0 268.361 2.897 20 5 CFBDRN C[C@]1(F)CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000853650383 635060345 /nfs/dbraw/zinc/06/03/45/635060345.db2.gz FSRQCKDKQDMGNT-NSHDSACASA-N 0 0 273.695 2.972 20 5 CFBDRN Cc1cc(N[C@H]2CCn3ccnc32)c([N+](=O)[O-])s1 ZINC000853651175 635061203 /nfs/dbraw/zinc/06/12/03/635061203.db2.gz RXTBDZHXUZHDTK-QMMMGPOBSA-N 0 0 264.310 2.718 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1C[C@@H](C)[C@@H]1C ZINC000853650675 635061424 /nfs/dbraw/zinc/06/14/24/635061424.db2.gz VICZWJRGGDCQBB-SFYZADRCSA-N 0 0 298.726 2.879 20 5 CFBDRN COC(=O)C1(COc2ccc([N+](=O)[O-])c(Cl)c2)CC1 ZINC000853657993 635063932 /nfs/dbraw/zinc/06/39/32/635063932.db2.gz BRTXBJGDROOALB-UHFFFAOYSA-N 0 0 285.683 2.580 20 5 CFBDRN CC1=NO[C@H](CNc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000853661359 635065619 /nfs/dbraw/zinc/06/56/19/635065619.db2.gz UNYMELFCBXKYRL-VIFPVBQESA-N 0 0 269.688 2.825 20 5 CFBDRN NC(CC1CC1)=NOCc1c(F)cc([N+](=O)[O-])cc1F ZINC000853673679 635069766 /nfs/dbraw/zinc/06/97/66/635069766.db2.gz WOWCEBWDYQBESO-UHFFFAOYSA-N 0 0 285.250 2.672 20 5 CFBDRN COC(OC)[C@@H](C)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000853680845 635073080 /nfs/dbraw/zinc/07/30/80/635073080.db2.gz LTZRIOOXNLLCSY-SECBINFHSA-N 0 0 291.307 2.562 20 5 CFBDRN COC(OC)[C@@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000853681974 635073161 /nfs/dbraw/zinc/07/31/61/635073161.db2.gz XIDAYEPAUGFDOJ-SECBINFHSA-N 0 0 291.307 2.562 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@@H]3CCCC[C@@H]32)nc2ccccn21 ZINC000853720286 635083923 /nfs/dbraw/zinc/08/39/23/635083923.db2.gz WWFUELOOPOIOGX-QWRGUYRKSA-N 0 0 272.308 2.621 20 5 CFBDRN CC(C)(C)OCCCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000853835621 635121991 /nfs/dbraw/zinc/12/19/91/635121991.db2.gz MMNIBSSZCHDATI-UHFFFAOYSA-N 0 0 292.339 2.860 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H](O)c3ccccc32)s1 ZINC000853839513 635125515 /nfs/dbraw/zinc/12/55/15/635125515.db2.gz NRFJCNCUWLONGD-ZJUUUORDSA-N 0 0 277.305 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2c(C3CC3)cnn2C)n1 ZINC000853848158 635129853 /nfs/dbraw/zinc/12/98/53/635129853.db2.gz QDMKEEOJWSNAPB-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN C[C@]1(CNc2nc3ccccn3c2[N+](=O)[O-])CC1(F)F ZINC000853850571 635131086 /nfs/dbraw/zinc/13/10/86/635131086.db2.gz UWVODICFTVZFBJ-LLVKDONJSA-N 0 0 282.250 2.700 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2nc3ccccn3c2[N+](=O)[O-])O1 ZINC000853850849 635132758 /nfs/dbraw/zinc/13/27/58/635132758.db2.gz WSQUZILTGWJFDN-GHMZBOCLSA-N 0 0 290.323 2.612 20 5 CFBDRN COc1ccc2c(c1)CCN(c1cc(N)ccc1[N+](=O)[O-])C2 ZINC000853858058 635134281 /nfs/dbraw/zinc/13/42/81/635134281.db2.gz DDZWKDKRAJBZSO-UHFFFAOYSA-N 0 0 299.330 2.748 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ccc(N)cc1[N+](=O)[O-])C2 ZINC000853858415 635135232 /nfs/dbraw/zinc/13/52/32/635135232.db2.gz JJOJVPGDMWWAOZ-UHFFFAOYSA-N 0 0 299.330 2.748 20 5 CFBDRN O=C(OCC1CC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000111678155 635137046 /nfs/dbraw/zinc/13/70/46/635137046.db2.gz YYPAGDLYQAZGGK-UHFFFAOYSA-N 0 0 255.657 2.815 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1C[C@@H](O)C12CCC2 ZINC000853870708 635141737 /nfs/dbraw/zinc/14/17/37/635141737.db2.gz MXDDTSRJMXIZQH-UONOGXRCSA-N 0 0 290.319 2.513 20 5 CFBDRN O=C(OCCOC(F)F)c1cc([N+](=O)[O-])ccc1Cl ZINC000853882382 635145340 /nfs/dbraw/zinc/14/53/40/635145340.db2.gz FWLCUAMXDDSTSY-UHFFFAOYSA-N 0 0 295.625 2.644 20 5 CFBDRN O=C(OCCOC(F)F)c1cccc(Cl)c1[N+](=O)[O-] ZINC000853902134 635152673 /nfs/dbraw/zinc/15/26/73/635152673.db2.gz DWSYGWMATDRKPK-UHFFFAOYSA-N 0 0 295.625 2.644 20 5 CFBDRN CCC(CC)CC(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853904909 635155144 /nfs/dbraw/zinc/15/51/44/635155144.db2.gz ZMJNHPWJKPTVRG-UHFFFAOYSA-N 0 0 270.285 2.761 20 5 CFBDRN Cc1noc(COC(=O)Cc2ccsc2C)c1[N+](=O)[O-] ZINC000853909338 635159195 /nfs/dbraw/zinc/15/91/95/635159195.db2.gz AVVPPOHHMLCNEK-UHFFFAOYSA-N 0 0 296.304 2.547 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2C[C@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000853909397 635159278 /nfs/dbraw/zinc/15/92/78/635159278.db2.gz PHWFQIVCNJJRFW-NOZJJQNGSA-N 0 0 282.296 2.617 20 5 CFBDRN Cc1noc(COC(=O)C2=CC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000853920477 635164044 /nfs/dbraw/zinc/16/40/44/635164044.db2.gz DPDDJGTXWKSNRI-QMMMGPOBSA-N 0 0 280.280 2.681 20 5 CFBDRN Cc1noc(COC(=O)c2ccsc2C)c1[N+](=O)[O-] ZINC000853921713 635164423 /nfs/dbraw/zinc/16/44/23/635164423.db2.gz DSSLQBHPHFNIBE-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN Cc1noc(COC(=O)C2=CC[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000853920476 635164849 /nfs/dbraw/zinc/16/48/49/635164849.db2.gz DPDDJGTXWKSNRI-MRVPVSSYSA-N 0 0 280.280 2.681 20 5 CFBDRN CC[C@H](CC(F)F)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853922177 635165624 /nfs/dbraw/zinc/16/56/24/635165624.db2.gz QWZZIRKZUVLXIE-SSDOTTSWSA-N 0 0 292.238 2.616 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2C[C@H]2CC(C)C)c1[N+](=O)[O-] ZINC000853923620 635165646 /nfs/dbraw/zinc/16/56/46/635165646.db2.gz ZETPTUNAONMPIQ-NXEZZACHSA-N 0 0 282.296 2.617 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000853965847 635180023 /nfs/dbraw/zinc/18/00/23/635180023.db2.gz MMVOZMDJUPKTNN-SECBINFHSA-N 0 0 295.295 2.730 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H](C)c2nccn2C)c1 ZINC000853967914 635181310 /nfs/dbraw/zinc/18/13/10/635181310.db2.gz XPURLPHADXPFQR-QMMMGPOBSA-N 0 0 294.286 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCOCCF)sc2c1 ZINC000853995061 635187586 /nfs/dbraw/zinc/18/75/86/635187586.db2.gz BDZIXCMCVWWJOE-UHFFFAOYSA-N 0 0 285.300 2.603 20 5 CFBDRN CCC/C(C)=C/C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496114 635317599 /nfs/dbraw/zinc/31/75/99/635317599.db2.gz FGDHBMQXOPRZCS-VQHVLOKHSA-N 0 0 263.297 2.862 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2C[C@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000854496875 635317883 /nfs/dbraw/zinc/31/78/83/635317883.db2.gz SEULQDRYTDKULF-WDEREUQCSA-N 0 0 275.308 2.552 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1c(F)c(C)cc([N+](=O)[O-])c1F ZINC000854607990 635349475 /nfs/dbraw/zinc/34/94/75/635349475.db2.gz UGACXAHUFRBSNB-MRVPVSSYSA-N 0 0 284.262 2.806 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000854678542 635363699 /nfs/dbraw/zinc/36/36/99/635363699.db2.gz FBCRNTPMSCIMEQ-JKOKRWQUSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1COc2ccccc21 ZINC000113029797 635462092 /nfs/dbraw/zinc/46/20/92/635462092.db2.gz ATECOOWSLUYZDC-CYBMUJFWSA-N 0 0 299.282 2.985 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C=CCC1 ZINC000855429339 635541636 /nfs/dbraw/zinc/54/16/36/635541636.db2.gz FLAYNQKBBYGIQG-GFCCVEGCSA-N 0 0 274.320 2.968 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000855429095 635541946 /nfs/dbraw/zinc/54/19/46/635541946.db2.gz XLWGCAODSXEJJN-LBPRGKRZSA-N 0 0 260.293 2.606 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000855430667 635543554 /nfs/dbraw/zinc/54/35/54/635543554.db2.gz HLYBSNXGBPKLIK-VHSXEESVSA-N 0 0 278.283 2.672 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc(F)ccc1[N+](=O)[O-] ZINC000855774294 635640100 /nfs/dbraw/zinc/64/01/00/635640100.db2.gz IAGXUKFNAFXRHR-SCVCMEIPSA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC1CC(C)(C)C1 ZINC000856336534 635784063 /nfs/dbraw/zinc/78/40/63/635784063.db2.gz SVYWESZLKKWPSE-UHFFFAOYSA-N 0 0 280.299 2.961 20 5 CFBDRN O=C(NOCC1CCC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000856346176 635789562 /nfs/dbraw/zinc/78/95/62/635789562.db2.gz YOQXSYDERLGTEF-UHFFFAOYSA-N 0 0 290.275 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(CC3CC3)C2)c([N+](=O)[O-])c1 ZINC000856789987 635861300 /nfs/dbraw/zinc/86/13/00/635861300.db2.gz SQKVOIOQONWJKU-UHFFFAOYSA-N 0 0 277.280 2.739 20 5 CFBDRN CCCC[C@H](C(=O)OCCn1ccc([N+](=O)[O-])n1)C(C)C ZINC000856977533 635897945 /nfs/dbraw/zinc/89/79/45/635897945.db2.gz SOSBXFVFKJIJML-LBPRGKRZSA-N 0 0 297.355 2.797 20 5 CFBDRN C[C@@H]1C[C@H](OC(=O)c2nn(C)cc2[N+](=O)[O-])CC(C)(C)C1 ZINC000857069136 635924392 /nfs/dbraw/zinc/92/43/92/635924392.db2.gz QWSOKBROEUXXMX-ZJUUUORDSA-N 0 0 295.339 2.700 20 5 CFBDRN CSC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000857581183 635987606 /nfs/dbraw/zinc/98/76/06/635987606.db2.gz NEJPQQXNCVAOTM-UHFFFAOYSA-N 0 0 272.301 2.814 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCC[C@@H]1CC1(F)F ZINC000857685564 636008261 /nfs/dbraw/zinc/00/82/61/636008261.db2.gz UWEJOINWPABGFO-ZXCPCRMDSA-N 0 0 296.273 2.770 20 5 CFBDRN CC(C)C[C@H](O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000858373367 636211516 /nfs/dbraw/zinc/21/15/16/636211516.db2.gz CYWIKNPVEMFZKI-LBPRGKRZSA-N 0 0 289.335 2.962 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])cc2ccccc21)C1CC1 ZINC000858424064 636232438 /nfs/dbraw/zinc/23/24/38/636232438.db2.gz SMIXBUXDFRCALF-UHFFFAOYSA-N 0 0 270.288 2.982 20 5 CFBDRN C[C@@H](Nc1cnn(CCF)c1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000858491340 636269806 /nfs/dbraw/zinc/26/98/06/636269806.db2.gz FQDGTXKLRNQROL-SECBINFHSA-N 0 0 293.302 2.516 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@@H]2C[C@@H]21 ZINC000858529978 636283202 /nfs/dbraw/zinc/28/32/02/636283202.db2.gz ZZRGFRVZHZEGFF-RNCFNFMXSA-N 0 0 255.277 2.742 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCC[C@H]1CCCOC1 ZINC000858653517 636317989 /nfs/dbraw/zinc/31/79/89/636317989.db2.gz XLQKAYRFEFJNLF-SNVBAGLBSA-N 0 0 295.295 2.732 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1CC2(C1)CCCO2 ZINC000858722555 636332356 /nfs/dbraw/zinc/33/23/56/636332356.db2.gz NXUHOILVFSOGPH-UHFFFAOYSA-N 0 0 284.262 2.902 20 5 CFBDRN Cc1cc(N2CC3(C2)CCCO3)c(Cl)cc1[N+](=O)[O-] ZINC000858722467 636332403 /nfs/dbraw/zinc/33/24/03/636332403.db2.gz YVZUTSVTCSMWKJ-UHFFFAOYSA-N 0 0 282.727 2.926 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000858728957 636333899 /nfs/dbraw/zinc/33/38/99/636333899.db2.gz FKVXTTVUJGRKRO-KGLIPLIRSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000858773720 636342506 /nfs/dbraw/zinc/34/25/06/636342506.db2.gz ORMMMSZNTPMJCX-KLBPJQLPSA-N 0 0 297.355 2.584 20 5 CFBDRN CCC[C@H](OCC)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000858774404 636343185 /nfs/dbraw/zinc/34/31/85/636343185.db2.gz UVQVYKXVOFFABJ-JTQLQIEISA-N 0 0 285.344 2.935 20 5 CFBDRN Nc1ccc(N2CCCC3(CC3)CC2)c([N+](=O)[O-])c1 ZINC000858836085 636353725 /nfs/dbraw/zinc/35/37/25/636353725.db2.gz UZFWYMNRKORZBO-UHFFFAOYSA-N 0 0 261.325 2.948 20 5 CFBDRN Cc1cc(N(C)OCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000858868982 636365428 /nfs/dbraw/zinc/36/54/28/636365428.db2.gz AKFZZNFZWIBGFQ-UHFFFAOYSA-N 0 0 264.203 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H](O)c2ccc(F)cc2)nc1 ZINC000159188963 650250502 /nfs/dbraw/zinc/25/05/02/650250502.db2.gz VZHZTWQLXWVKBQ-GFCCVEGCSA-N 0 0 294.307 2.955 20 5 CFBDRN CC(C)CCOC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000858915222 636382340 /nfs/dbraw/zinc/38/23/40/636382340.db2.gz OIHZOZKWYWKPQP-UHFFFAOYSA-N 0 0 280.324 2.910 20 5 CFBDRN CCCOCCOC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000858997773 636404987 /nfs/dbraw/zinc/40/49/87/636404987.db2.gz ZEEXZZLFMDTNTA-UHFFFAOYSA-N 0 0 294.307 2.521 20 5 CFBDRN CCC/C=C/C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859506269 636583634 /nfs/dbraw/zinc/58/36/34/636583634.db2.gz ILBKKXCNZFKMKS-SNAWJCMRSA-N 0 0 295.266 2.816 20 5 CFBDRN Cc1c(C(=O)OC[C@H]2C[C@H]2C)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000859506548 636584647 /nfs/dbraw/zinc/58/46/47/636584647.db2.gz RVEIWQJXQDYOMN-VXNVDRBHSA-N 0 0 294.263 2.624 20 5 CFBDRN Cc1cccc2c1N(C(=O)c1ccc(N)c([N+](=O)[O-])c1)CC2 ZINC000159572869 650267914 /nfs/dbraw/zinc/26/79/14/650267914.db2.gz VPHFFLVJXWQFOK-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859558815 636600222 /nfs/dbraw/zinc/60/02/22/636600222.db2.gz MSEKVXAIILALRG-SECBINFHSA-N 0 0 297.282 2.752 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000859584364 636609936 /nfs/dbraw/zinc/60/99/36/636609936.db2.gz QOCBVIXJXNUACK-NKWVEPMBSA-N 0 0 271.219 2.686 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859603598 636619396 /nfs/dbraw/zinc/61/93/96/636619396.db2.gz APTDLEXRZOKRNA-JTQLQIEISA-N 0 0 295.266 2.506 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@@H]1c1ccccc1 ZINC000048620373 650272990 /nfs/dbraw/zinc/27/29/90/650272990.db2.gz UBWGYWPFMRXFPG-CYBMUJFWSA-N 0 0 272.308 2.586 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000048632566 650273690 /nfs/dbraw/zinc/27/36/90/650273690.db2.gz MMZITJUZBGZRMQ-VIFPVBQESA-N 0 0 266.297 2.768 20 5 CFBDRN CC[C@H](C)CS(=O)(=O)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC000159711603 650274258 /nfs/dbraw/zinc/27/42/58/650274258.db2.gz XKMCNVQVTLGOLR-VIFPVBQESA-N 0 0 287.337 2.658 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000859766854 636684964 /nfs/dbraw/zinc/68/49/64/636684964.db2.gz QYMHCRYDZLKSSU-GFCCVEGCSA-N 0 0 299.298 2.574 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000859767892 636685899 /nfs/dbraw/zinc/68/58/99/636685899.db2.gz ZMXYOROUJKJXJT-GWCFXTLKSA-N 0 0 295.335 2.996 20 5 CFBDRN COCCCCCOC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000116991717 636715029 /nfs/dbraw/zinc/71/50/29/636715029.db2.gz CHSSRLXRFIJEIP-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN CCC[C@@H](OCC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000859839842 636719979 /nfs/dbraw/zinc/71/99/79/636719979.db2.gz HOVOIZUOKXDDAQ-CYBMUJFWSA-N 0 0 299.298 2.982 20 5 CFBDRN COC1CC(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000859846974 636723145 /nfs/dbraw/zinc/72/31/45/636723145.db2.gz OMIVVYWGQUGABW-KPPDAEKUSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CSCCS2)cccc1[N+](=O)[O-] ZINC000194203200 636762448 /nfs/dbraw/zinc/76/24/48/636762448.db2.gz UDLAPHQFWXTJGP-NSHDSACASA-N 0 0 299.373 2.657 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCCCO1 ZINC000194498035 636783937 /nfs/dbraw/zinc/78/39/37/636783937.db2.gz PFLVQNUTTVSNJL-NSHDSACASA-N 0 0 279.292 2.629 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Oc2ccccc2)c1 ZINC000049054884 650286316 /nfs/dbraw/zinc/28/63/16/650286316.db2.gz TWEKIAYUIDNHTD-UHFFFAOYSA-N 0 0 273.244 2.823 20 5 CFBDRN Cc1cc(NC[C@H](O)c2cccc(F)c2)ncc1[N+](=O)[O-] ZINC000074743570 650298441 /nfs/dbraw/zinc/29/84/41/650298441.db2.gz GJQUKKJZLXFWHV-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN O=C(Cc1cccc2ccccc21)OCCC[N+](=O)[O-] ZINC000860911819 637014620 /nfs/dbraw/zinc/01/46/20/637014620.db2.gz VNQNTOWAPPSVQJ-UHFFFAOYSA-N 0 0 273.288 2.592 20 5 CFBDRN O=C(CCSc1ccccc1F)OCCC[N+](=O)[O-] ZINC000860913466 637015442 /nfs/dbraw/zinc/01/54/42/637015442.db2.gz CQXGFXCEDRRVRN-UHFFFAOYSA-N 0 0 287.312 2.518 20 5 CFBDRN CC[C@@H](C)c1ccccc1OCC(=O)OCCC[N+](=O)[O-] ZINC000860914258 637015638 /nfs/dbraw/zinc/01/56/38/637015638.db2.gz SLOPFSHMODBYHG-GFCCVEGCSA-N 0 0 295.335 2.789 20 5 CFBDRN CC(C)[C@H](C(=O)OCCC[N+](=O)[O-])c1ccc(F)cc1 ZINC000860917535 637015991 /nfs/dbraw/zinc/01/59/91/637015991.db2.gz MUNUWUYIAPIWCK-ZDUSSCGKSA-N 0 0 283.299 2.775 20 5 CFBDRN O=C(CC/C=C\c1ccccc1)OCCC[N+](=O)[O-] ZINC000860915854 637016065 /nfs/dbraw/zinc/01/60/65/637016065.db2.gz YEQQFADEZBIFLW-WTKPLQERSA-N 0 0 263.293 2.690 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc(F)cc(C(F)(F)F)c1 ZINC000860917468 637016204 /nfs/dbraw/zinc/01/62/04/637016204.db2.gz MCSCLTYDAUPXDA-UHFFFAOYSA-N 0 0 295.188 2.668 20 5 CFBDRN Cc1ccc2oc(C(=O)OCCC[N+](=O)[O-])cc2c1 ZINC000860916046 637016347 /nfs/dbraw/zinc/01/63/47/637016347.db2.gz MWYQBCOTAHRGIS-UHFFFAOYSA-N 0 0 263.249 2.565 20 5 CFBDRN CCN(c1ccc(C(=O)OCCC[N+](=O)[O-])cc1)C(C)C ZINC000860917728 637017009 /nfs/dbraw/zinc/01/70/09/637017009.db2.gz SFLYNDVKXBWBOL-UHFFFAOYSA-N 0 0 294.351 2.745 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCC[C@H]2CCCC[C@@H]21 ZINC000860918192 637017127 /nfs/dbraw/zinc/01/71/27/637017127.db2.gz AKNXROMYLCLUKM-FRRDWIJNSA-N 0 0 269.341 2.803 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccccc1N1CCCCC1 ZINC000860918805 637017199 /nfs/dbraw/zinc/01/71/99/637017199.db2.gz OBTRJLSLYJIRLR-UHFFFAOYSA-N 0 0 292.335 2.501 20 5 CFBDRN O=C(CC1CCC(C(F)(F)F)CC1)OCCC[N+](=O)[O-] ZINC000860921885 637017780 /nfs/dbraw/zinc/01/77/80/637017780.db2.gz ZKYVWZQRKDBUIZ-UHFFFAOYSA-N 0 0 297.273 2.955 20 5 CFBDRN Cn1c(C(=O)OC2CCC(F)(F)CC2)ccc1[N+](=O)[O-] ZINC000860969211 637034483 /nfs/dbraw/zinc/03/44/83/637034483.db2.gz OXPHNAQRYBMOQQ-UHFFFAOYSA-N 0 0 288.250 2.668 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC1CCC(F)(F)CC1 ZINC000860969080 637034796 /nfs/dbraw/zinc/03/47/96/637034796.db2.gz JOTLZYBHXVLAPL-UHFFFAOYSA-N 0 0 288.250 2.668 20 5 CFBDRN C[C@@]1(COC(=O)c2ccccc2[N+](=O)[O-])CCCOC1 ZINC000861038612 637051536 /nfs/dbraw/zinc/05/15/36/637051536.db2.gz JLTNVMNLIPGOEF-CQSZACIVSA-N 0 0 279.292 2.568 20 5 CFBDRN Cc1cc(C(=O)OC[C@@]2(C)CCCOC2)cc([N+](=O)[O-])c1 ZINC000861040996 637052841 /nfs/dbraw/zinc/05/28/41/637052841.db2.gz MWNYTXCNMGQHLM-HNNXBMFYSA-N 0 0 293.319 2.877 20 5 CFBDRN C[C@H](C(=O)OCC(C)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000861223102 637121933 /nfs/dbraw/zinc/12/19/33/637121933.db2.gz LIXMZOAZUXAQOJ-QMMMGPOBSA-N 0 0 273.235 2.897 20 5 CFBDRN CCOCCCOC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000118151426 637140816 /nfs/dbraw/zinc/14/08/16/637140816.db2.gz AHVWESIQOWYFNO-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1F)OCC ZINC000862416247 637368663 /nfs/dbraw/zinc/36/86/63/637368663.db2.gz NFFQYUWDKKCDQC-NSHDSACASA-N 0 0 298.314 2.669 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1CN1C[C@@H]2C[C@@H]2C1 ZINC000862441305 637380604 /nfs/dbraw/zinc/38/06/04/637380604.db2.gz QHMHMYUUGHUUAZ-DTORHVGOSA-N 0 0 297.152 2.809 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000075506038 650334040 /nfs/dbraw/zinc/33/40/40/650334040.db2.gz BQQOZEJEIYNWLZ-QMMMGPOBSA-N 0 0 295.295 2.682 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCC2CC=CC2)c1F ZINC000862629422 637454732 /nfs/dbraw/zinc/45/47/32/637454732.db2.gz WBQBBGPWRJFNHO-UHFFFAOYSA-N 0 0 296.273 2.877 20 5 CFBDRN O=C(NCC1CC=CC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000862630256 637456584 /nfs/dbraw/zinc/45/65/84/637456584.db2.gz HISSUNOBMGWVDR-UHFFFAOYSA-N 0 0 296.273 2.769 20 5 CFBDRN O=C(N(C1CC1)C1CC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000862852909 637509169 /nfs/dbraw/zinc/50/91/69/637509169.db2.gz XLBRNRWBNRSFAZ-UHFFFAOYSA-N 0 0 296.273 2.840 20 5 CFBDRN C/C=C\CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000863118796 637563645 /nfs/dbraw/zinc/56/36/45/637563645.db2.gz FFFKVDYNRZTGLC-IHWYPQMZSA-N 0 0 289.335 2.501 20 5 CFBDRN CCO[C@@H](COc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000863227285 637595603 /nfs/dbraw/zinc/59/56/03/637595603.db2.gz HHJOCAQCDDTCKF-ZDUSSCGKSA-N 0 0 269.272 2.928 20 5 CFBDRN CC(C)SCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000863245731 637603510 /nfs/dbraw/zinc/60/35/10/637603510.db2.gz FQTKMKPGAXIZIE-UHFFFAOYSA-N 0 0 255.295 2.885 20 5 CFBDRN CC(C)(C)CNC(=O)CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000863343658 637632686 /nfs/dbraw/zinc/63/26/86/637632686.db2.gz GSCFOCNTNYRTEU-UHFFFAOYSA-N 0 0 299.758 2.822 20 5 CFBDRN CC(=O)O[C@@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000863353399 637638434 /nfs/dbraw/zinc/63/84/34/637638434.db2.gz BXSWWUWNVNWRHO-SNVBAGLBSA-N 0 0 285.321 2.616 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2ccc(C3CCCC3)cc2)n1 ZINC000863372545 637644244 /nfs/dbraw/zinc/64/42/44/637644244.db2.gz VHIIQKYQGKFGJC-UHFFFAOYSA-N 0 0 272.308 2.892 20 5 CFBDRN CC(C)(C)c1ccc(Cn2ncc([N+](=O)[O-])n2)cc1 ZINC000863374542 637644580 /nfs/dbraw/zinc/64/45/80/637644580.db2.gz QEEXSRXUDZHMOY-UHFFFAOYSA-N 0 0 260.297 2.532 20 5 CFBDRN CCOC(COc1ccc(F)cc1[N+](=O)[O-])OCC ZINC000075914375 650355010 /nfs/dbraw/zinc/35/50/10/650355010.db2.gz RTHGIQHZXMSFMN-UHFFFAOYSA-N 0 0 273.260 2.512 20 5 CFBDRN CC(C)(C)OC(=O)NOCc1ccc([N+](=O)[O-])cc1 ZINC000863461124 637666449 /nfs/dbraw/zinc/66/64/49/637666449.db2.gz XJGVPPPCHQBQRC-UHFFFAOYSA-N 0 0 268.269 2.551 20 5 CFBDRN Cc1ccc(N(CC2CC2)C(=O)[C@@H]2CC2[N+](=O)[O-])cc1F ZINC000863603164 637708412 /nfs/dbraw/zinc/70/84/12/637708412.db2.gz QZINLVNTHIKIQU-TZMCWYRMSA-N 0 0 292.310 2.542 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2cccc(C=O)c2)n1 ZINC000119733491 637821539 /nfs/dbraw/zinc/82/15/39/637821539.db2.gz IBQVUYNAPNVHPJ-UHFFFAOYSA-N 0 0 258.233 2.903 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000864404689 637963696 /nfs/dbraw/zinc/96/36/96/637963696.db2.gz LRLQHRNFAOMVQJ-YJRXYDGGSA-N 0 0 260.293 2.825 20 5 CFBDRN CCCCNC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000864420148 637970453 /nfs/dbraw/zinc/97/04/53/637970453.db2.gz LQIBTRJNDFOEKK-UHFFFAOYSA-N 0 0 265.313 2.503 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ccnc3c2CCCC3)cn1 ZINC000864541527 638019926 /nfs/dbraw/zinc/01/99/26/638019926.db2.gz PMLDJZLEBNLKBN-UHFFFAOYSA-N 0 0 255.277 2.931 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C1(C(F)F)CCC1 ZINC000864622599 638049329 /nfs/dbraw/zinc/04/93/29/638049329.db2.gz AUOAEVYXVCBRSA-UHFFFAOYSA-N 0 0 271.219 2.936 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864690810 638069247 /nfs/dbraw/zinc/06/92/47/638069247.db2.gz RMTCIOCULOSXMD-VIFPVBQESA-N 0 0 280.299 2.533 20 5 CFBDRN CCCCN(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864724368 638077428 /nfs/dbraw/zinc/07/74/28/638077428.db2.gz JVMYENNWEIFJJA-UHFFFAOYSA-N 0 0 268.288 2.535 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864868772 638112063 /nfs/dbraw/zinc/11/20/63/638112063.db2.gz ZPRDNWFTTNLVIS-SNVBAGLBSA-N 0 0 282.315 2.923 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@]12C[C@H]1CCCC2 ZINC000864946491 638133146 /nfs/dbraw/zinc/13/31/46/638133146.db2.gz MIQHIKVXEHYXCN-IUODEOHRSA-N 0 0 274.320 2.791 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000864946164 638133258 /nfs/dbraw/zinc/13/32/58/638133258.db2.gz IWFPNDJUCKKJMH-CHWSQXEVSA-N 0 0 276.336 2.893 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCCCC2CC2)c1 ZINC000865288782 638257856 /nfs/dbraw/zinc/25/78/56/638257856.db2.gz SCNMXPIOYMSJLI-UHFFFAOYSA-N 0 0 255.343 2.715 20 5 CFBDRN Cc1nn(Cc2ccc(C)c(Cl)n2)c(C)c1[N+](=O)[O-] ZINC000865333131 638271310 /nfs/dbraw/zinc/27/13/10/638271310.db2.gz ROLGEYJGBWQOOW-UHFFFAOYSA-N 0 0 280.715 2.813 20 5 CFBDRN C[C@H](Cn1cnc2cc([N+](=O)[O-])ccc2c1=O)C(C)(C)C ZINC000865338524 638272031 /nfs/dbraw/zinc/27/20/31/638272031.db2.gz BGXGLAWHZYUEAK-SNVBAGLBSA-N 0 0 289.335 2.987 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H]1CC2(CO1)CCOCC2 ZINC000865341241 638273077 /nfs/dbraw/zinc/27/30/77/638273077.db2.gz KWNLFTYXEJQPRW-LBPRGKRZSA-N 0 0 293.319 2.559 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCOC1CCCCC1 ZINC000865345744 638275431 /nfs/dbraw/zinc/27/54/31/638275431.db2.gz IKMTXDJOIWGUCH-UHFFFAOYSA-N 0 0 252.270 2.675 20 5 CFBDRN Cc1ccc(COc2nc(C(C)C)no2)cc1[N+](=O)[O-] ZINC000865356196 638280533 /nfs/dbraw/zinc/28/05/33/638280533.db2.gz CHAGROYBFIRFQS-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN C[C@H](Cn1ccc(=O)c([N+](=O)[O-])c1)CC(C)(C)C ZINC000865357730 638281360 /nfs/dbraw/zinc/28/13/60/638281360.db2.gz MGIOIRIQHYVFMK-JTQLQIEISA-N 0 0 252.314 2.829 20 5 CFBDRN C[C@H]1OCC[C@@H]1COc1ccc([N+](=O)[O-])c(F)c1F ZINC000865368606 638287720 /nfs/dbraw/zinc/28/77/20/638287720.db2.gz BBZZOTVMYBAZFR-HTQZYQBOSA-N 0 0 273.235 2.677 20 5 CFBDRN CC(C)C[C@@H](C)Cn1nc([N+](=O)[O-])nc1Br ZINC000865388879 638302962 /nfs/dbraw/zinc/30/29/62/638302962.db2.gz FISPDUJKAHCMDO-SSDOTTSWSA-N 0 0 291.149 2.631 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCC2CCCC2)cc1F ZINC000865436421 638333486 /nfs/dbraw/zinc/33/34/86/638333486.db2.gz DYYLJTPFACJGNM-UHFFFAOYSA-N 0 0 268.288 2.828 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC[C@@H]2CC=CCC2)cc1F ZINC000865472299 638358417 /nfs/dbraw/zinc/35/84/17/638358417.db2.gz WZKOAUQJYUXIGN-SNVBAGLBSA-N 0 0 280.299 2.994 20 5 CFBDRN CC1(C)CCN(c2cc(F)c([N+](=O)[O-])cc2CO)CC1 ZINC000865503151 638382555 /nfs/dbraw/zinc/38/25/55/638382555.db2.gz QTFGMBNPUZXAAN-UHFFFAOYSA-N 0 0 282.315 2.853 20 5 CFBDRN CCC1(CNc2cc(F)c([N+](=O)[O-])cc2CO)CC1 ZINC000865591323 638445743 /nfs/dbraw/zinc/44/57/43/638445743.db2.gz DNOGYRROFOECLM-UHFFFAOYSA-N 0 0 268.288 2.828 20 5 CFBDRN CC[C@@]1(C)CCN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865610119 638458348 /nfs/dbraw/zinc/45/83/48/638458348.db2.gz CHIJQWWKRPFQAB-AWEZNQCLSA-N 0 0 282.315 2.853 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@H]2CCC(F)(F)C2)cc1F ZINC000865617326 638464865 /nfs/dbraw/zinc/46/48/65/638464865.db2.gz QXJHECMNYPVNPK-QMMMGPOBSA-N 0 0 290.241 2.826 20 5 CFBDRN C[C@H]1CN(c2c(Cl)c(Cl)ccc2[N+](=O)[O-])C[C@@H]1O ZINC000865652807 638490135 /nfs/dbraw/zinc/49/01/35/638490135.db2.gz IHGRWVNRUUDANM-RCOVLWMOSA-N 0 0 291.134 2.719 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCC[C@@H]2CCCCO2)cc1F ZINC000865666404 638499189 /nfs/dbraw/zinc/49/91/89/638499189.db2.gz GUGKPEFXYBHJNA-NSHDSACASA-N 0 0 298.314 2.597 20 5 CFBDRN CN(CC1(O)CCC1)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000865677920 638505461 /nfs/dbraw/zinc/50/54/61/638505461.db2.gz PFPDLKSEXWOXIU-UHFFFAOYSA-N 0 0 286.278 2.884 20 5 CFBDRN CCC(C)(CC)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865822084 638591665 /nfs/dbraw/zinc/59/16/65/638591665.db2.gz XJNWAFUTWCDBDT-UHFFFAOYSA-N 0 0 282.315 2.971 20 5 CFBDRN Cc1ccoc1CN(C)c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865827703 638596593 /nfs/dbraw/zinc/59/65/93/638596593.db2.gz RMIYLRSTIDDRQU-UHFFFAOYSA-N 0 0 294.282 2.764 20 5 CFBDRN COC(OC)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865867463 638626040 /nfs/dbraw/zinc/62/60/40/638626040.db2.gz BYAYSLLRTNSHSR-SSDOTTSWSA-N 0 0 290.266 2.952 20 5 CFBDRN C[C@@H]1[C@H](C)CN(c2cc(F)c([N+](=O)[O-])cc2CO)[C@H]1C ZINC000865882101 638641053 /nfs/dbraw/zinc/64/10/53/638641053.db2.gz MEPONEAQQAOQQA-BBBLOLIVSA-N 0 0 282.315 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ncco3)CC2)cc1 ZINC000865900897 638650129 /nfs/dbraw/zinc/65/01/29/638650129.db2.gz HPEZRWNOVIQJAW-UHFFFAOYSA-N 0 0 273.292 2.967 20 5 CFBDRN Cc1noc(CN(C)c2ccc([N+](=O)[O-])cc2C(F)F)n1 ZINC000865919116 638657513 /nfs/dbraw/zinc/65/75/13/638657513.db2.gz VGENSZXEUDKAAA-UHFFFAOYSA-N 0 0 298.249 2.860 20 5 CFBDRN CC[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)C(OC)OC ZINC000865924280 638659849 /nfs/dbraw/zinc/65/98/49/638659849.db2.gz KPZXHFFVSHYMGC-JTQLQIEISA-N 0 0 290.266 2.682 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCN(CCF)C2)c(F)c1 ZINC000865927815 638662238 /nfs/dbraw/zinc/66/22/38/638662238.db2.gz INASWDQGXZARAW-SNVBAGLBSA-N 0 0 285.294 2.580 20 5 CFBDRN CCn1ncc(CNc2ccc([N+](=O)[O-])cc2C(F)F)n1 ZINC000865964920 638679704 /nfs/dbraw/zinc/67/97/04/638679704.db2.gz OYCSWRJYVOCPHD-UHFFFAOYSA-N 0 0 297.265 2.756 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1CC=CCC1 ZINC000865966787 638680809 /nfs/dbraw/zinc/68/08/09/638680809.db2.gz FNJLFEJURFVERF-LLVKDONJSA-N 0 0 278.283 2.501 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866020817 638700138 /nfs/dbraw/zinc/70/01/38/638700138.db2.gz PPBJSQZUCOOXIW-UWVGGRQHSA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1cc(NCC[C@H](O)C(F)F)c(Cl)cc1[N+](=O)[O-] ZINC000866038324 638706097 /nfs/dbraw/zinc/70/60/97/638706097.db2.gz KSCFQKBCDLNXSI-JTQLQIEISA-N 0 0 294.685 2.985 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32 ZINC000866043293 638708174 /nfs/dbraw/zinc/70/81/74/638708174.db2.gz BCRKSMRNRYAAHP-UXBVWIGBSA-N 0 0 278.283 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)c(F)c1 ZINC000866046782 638710936 /nfs/dbraw/zinc/71/09/36/638710936.db2.gz RQHUEDJDKZCKAJ-FDEBYTSZSA-N 0 0 278.283 2.569 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000866094826 638735311 /nfs/dbraw/zinc/73/53/11/638735311.db2.gz MNBWEOOQPJSTLS-XWEPSHTISA-N 0 0 299.327 2.749 20 5 CFBDRN COc1cc(NCCC(C)(C)OC)c([N+](=O)[O-])cc1F ZINC000866133590 638752880 /nfs/dbraw/zinc/75/28/80/638752880.db2.gz VSHACSVNYDOMMV-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN Cc1ccc(CNC(=O)NC2CCCC2)cc1[N+](=O)[O-] ZINC000866141140 638755862 /nfs/dbraw/zinc/75/58/62/638755862.db2.gz RZECPMUPTGIPRL-UHFFFAOYSA-N 0 0 277.324 2.645 20 5 CFBDRN Cc1ccnc(N[C@H](C2CCC2)[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000866142951 638756513 /nfs/dbraw/zinc/75/65/13/638756513.db2.gz DKLOBPBNWZSQMR-QWHCGFSZSA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](OC3CCC3)CC2)s1 ZINC000866146696 638757870 /nfs/dbraw/zinc/75/78/70/638757870.db2.gz ODKSFPRMFMPABS-LLVKDONJSA-N 0 0 297.380 2.979 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])C1 ZINC000866167151 638762724 /nfs/dbraw/zinc/76/27/24/638762724.db2.gz BDOUJOFOKCXETB-AOOOYVTPSA-N 0 0 293.323 2.522 20 5 CFBDRN Nc1ccc(NC[C@@H]2CCC23CCOCC3)c([N+](=O)[O-])c1 ZINC000866173445 638763597 /nfs/dbraw/zinc/76/35/97/638763597.db2.gz RRUPEPJHLBDFHE-NSHDSACASA-N 0 0 291.351 2.796 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CCC12CCOCC2 ZINC000866175139 638763906 /nfs/dbraw/zinc/76/39/06/638763906.db2.gz ORQRFQQZYDVLON-LLVKDONJSA-N 0 0 277.324 2.609 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@@H]2CSC[C@H]2C1 ZINC000866202164 638769151 /nfs/dbraw/zinc/76/91/51/638769151.db2.gz ZPUNNUOBEHMLNL-RKDXNWHRSA-N 0 0 270.379 2.846 20 5 CFBDRN Cc1ccc(N2C[C@@H](O)CC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000866226030 638773889 /nfs/dbraw/zinc/77/38/89/638773889.db2.gz GCIBUNRSTRZORA-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccc(N2C[C@H](O)CC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000866226029 638773913 /nfs/dbraw/zinc/77/39/13/638773913.db2.gz GCIBUNRSTRZORA-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc(N2C[C@H](O)CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000866225793 638774019 /nfs/dbraw/zinc/77/40/19/638774019.db2.gz CPRCXNWTCXWGAZ-GFCCVEGCSA-N 0 0 264.325 2.500 20 5 CFBDRN C[C@@H]1CCC[C@@H](C2CN(c3ncc([N+](=O)[O-])cn3)C2)C1 ZINC000866254746 638781556 /nfs/dbraw/zinc/78/15/56/638781556.db2.gz XYFMWOBYQQLVPD-GHMZBOCLSA-N 0 0 276.340 2.647 20 5 CFBDRN CCON(CC)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000866305978 638789938 /nfs/dbraw/zinc/78/99/38/638789938.db2.gz ATMXHZVPXCLVSC-UHFFFAOYSA-N 0 0 252.270 2.575 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCN(C)c2ncccc2C1 ZINC000866293623 638790480 /nfs/dbraw/zinc/79/04/80/638790480.db2.gz VZDBEKUUUNJGFM-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN CC[C@H](NCc1ccc(C)c([N+](=O)[O-])c1)c1nccn1C ZINC000866540217 638838799 /nfs/dbraw/zinc/83/87/99/638838799.db2.gz LEYCJYLTBQZLRR-ZDUSSCGKSA-N 0 0 288.351 2.878 20 5 CFBDRN CCc1ccc(OC(=O)O[C@@H](C)COC)c([N+](=O)[O-])c1 ZINC000866797505 638876027 /nfs/dbraw/zinc/87/60/27/638876027.db2.gz SMNIOLBTOGPMNO-VIFPVBQESA-N 0 0 283.280 2.708 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000867559374 638885064 /nfs/dbraw/zinc/88/50/64/638885064.db2.gz JVKMOLNOWUKXFK-JTLRNRKASA-N 0 0 290.319 2.834 20 5 CFBDRN CCC(CC)NC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000867981420 638910418 /nfs/dbraw/zinc/91/04/18/638910418.db2.gz PMQTZEIUSCLMSY-UHFFFAOYSA-N 0 0 279.340 2.891 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000868220711 638931036 /nfs/dbraw/zinc/93/10/36/638931036.db2.gz PJQJIBNUZMHAJN-ZWNOBZJWSA-N 0 0 291.351 2.891 20 5 CFBDRN CC/C=C(\F)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000868252288 638932579 /nfs/dbraw/zinc/93/25/79/638932579.db2.gz FIDBOUTYFFPGBW-SDQBBNPISA-N 0 0 280.299 2.859 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@@H](C)O[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000868607657 638961280 /nfs/dbraw/zinc/96/12/80/638961280.db2.gz AMNHDBPPJRHOJG-YAMSLAJTSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)C(C)(C)C ZINC000869306547 639155377 /nfs/dbraw/zinc/15/53/77/639155377.db2.gz IVKZUIZIZXYBOY-ZETCQYMHSA-N 0 0 285.321 2.817 20 5 CFBDRN CC1(C)CC(NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000869305558 639156579 /nfs/dbraw/zinc/15/65/79/639156579.db2.gz PLSAYZJMURKTSP-UHFFFAOYSA-N 0 0 280.299 2.581 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)C(C)(C)C ZINC000869306546 639156667 /nfs/dbraw/zinc/15/66/67/639156667.db2.gz IVKZUIZIZXYBOY-SSDOTTSWSA-N 0 0 285.321 2.817 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)c2ccccc2[N+](=O)[O-])OC1 ZINC000869313804 639163388 /nfs/dbraw/zinc/16/33/88/639163388.db2.gz CMIZOHQLUIKNLP-LLVKDONJSA-N 0 0 293.319 2.957 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)c2ccc([N+](=O)[O-])o2)OC1 ZINC000869315385 639165863 /nfs/dbraw/zinc/16/58/63/639165863.db2.gz YVUDIAHVSDAYSL-VIFPVBQESA-N 0 0 283.280 2.550 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](O)c2cccc(F)c2)n1 ZINC000122521944 639181545 /nfs/dbraw/zinc/18/15/45/639181545.db2.gz VAHHQUBOEWLWAY-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000869362458 639196329 /nfs/dbraw/zinc/19/63/29/639196329.db2.gz JBEONBFSGAJJHC-SNVBAGLBSA-N 0 0 297.282 2.706 20 5 CFBDRN CCCCC(=O)COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000869371584 639200318 /nfs/dbraw/zinc/20/03/18/639200318.db2.gz VVTRLYPBAGCEQV-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN C[C@H]1OCC[C@@H]1COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000869456461 639239360 /nfs/dbraw/zinc/23/93/60/639239360.db2.gz GPKGUJQQPCYXTE-PSASIEDQSA-N 0 0 299.710 2.830 20 5 CFBDRN CSCCOC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000078296576 650487073 /nfs/dbraw/zinc/48/70/73/650487073.db2.gz SSQCABQRBPUWCZ-UHFFFAOYSA-N 0 0 275.713 2.768 20 5 CFBDRN CC(C)(F)CNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000869623595 639328321 /nfs/dbraw/zinc/32/83/21/639328321.db2.gz JLCPOEOGYWJVEB-UHFFFAOYSA-N 0 0 290.241 2.551 20 5 CFBDRN C/C=C\COC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 ZINC000078313541 650488712 /nfs/dbraw/zinc/48/87/12/650488712.db2.gz QUMMWBCXJBQMIG-IHWYPQMZSA-N 0 0 287.275 2.513 20 5 CFBDRN CSCCCOC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869896886 639458744 /nfs/dbraw/zinc/45/87/44/639458744.db2.gz IXENAMHUUITQTO-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1c(F)cccc1[N+](=O)[O-] ZINC000869897559 639458937 /nfs/dbraw/zinc/45/89/37/639458937.db2.gz YLSNINMPXRGNHY-UHFFFAOYSA-N 0 0 289.209 2.936 20 5 CFBDRN O=c1cccc(Cl)n1Cc1ccc([N+](=O)[O-])cc1F ZINC000122898699 639501337 /nfs/dbraw/zinc/50/13/37/639501337.db2.gz UQTVONZDDOVINN-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC=C(C)C ZINC000122899832 639502802 /nfs/dbraw/zinc/50/28/02/639502802.db2.gz VCGJRZSGQTYTDX-UHFFFAOYSA-N 0 0 265.265 2.726 20 5 CFBDRN Cc1cc(NCCOC2CCC2)ccc1[N+](=O)[O-] ZINC000078516405 650510314 /nfs/dbraw/zinc/51/03/14/650510314.db2.gz VDTQDUIPAYAALB-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OC[C@@H]1C[C@H]1C1CC1 ZINC000870668319 639758665 /nfs/dbraw/zinc/75/86/65/639758665.db2.gz WQNLHTJNFKGBDW-RYUDHWBXSA-N 0 0 291.303 2.563 20 5 CFBDRN CC(C)(C)SCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000079218703 650575177 /nfs/dbraw/zinc/57/51/77/650575177.db2.gz NODKGMHNOXZLRO-UHFFFAOYSA-N 0 0 282.365 2.743 20 5 CFBDRN CNc1nnc(S/C=C/c2ccc([N+](=O)[O-])o2)s1 ZINC000192654236 650582554 /nfs/dbraw/zinc/58/25/54/650582554.db2.gz JWLPMCZDKPDSCE-SNAWJCMRSA-N 0 0 284.322 2.844 20 5 CFBDRN CC(C)C[C@H](C)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870828980 641090719 /nfs/dbraw/zinc/09/07/19/641090719.db2.gz YXJOHKDTBQSHFU-ZBOXLXRLSA-N 0 0 295.339 2.720 20 5 CFBDRN CCCN(C)C(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000888569961 641312254 /nfs/dbraw/zinc/31/22/54/641312254.db2.gz JUMWJARLLUEYGW-UHFFFAOYSA-N 0 0 250.298 2.694 20 5 CFBDRN Cc1ccc(C(=O)NC2CC3(CSC3)C2)cc1[N+](=O)[O-] ZINC000871514529 641335859 /nfs/dbraw/zinc/33/58/59/641335859.db2.gz QIMDXNUTWQISSA-UHFFFAOYSA-N 0 0 292.360 2.529 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H]1CC2(CCC2)CO1 ZINC000871630392 641374731 /nfs/dbraw/zinc/37/47/31/641374731.db2.gz BGEXRSZLXBUJOS-LLVKDONJSA-N 0 0 263.293 2.933 20 5 CFBDRN Cc1cnc(OC[C@H]2CC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000871656395 641385246 /nfs/dbraw/zinc/38/52/46/641385246.db2.gz HKKGZVIDRPIIFU-LLVKDONJSA-N 0 0 278.308 2.636 20 5 CFBDRN CO[C@H]1C[C@@H](COc2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000871666895 641391301 /nfs/dbraw/zinc/39/13/01/641391301.db2.gz VENDJVZYVXXFEI-AOOOYVTPSA-N 0 0 269.272 2.846 20 5 CFBDRN CC[C@@]1(C)CC(=O)N(Cc2ccc(C)c([N+](=O)[O-])c2)C1=O ZINC000871738983 641432852 /nfs/dbraw/zinc/43/28/52/641432852.db2.gz KTPPCSIPYVTXPS-HNNXBMFYSA-N 0 0 290.319 2.578 20 5 CFBDRN CCc1ccc(C(=O)OCC[C@@H](C)OC)cc1[N+](=O)[O-] ZINC000080296594 650659759 /nfs/dbraw/zinc/65/97/59/650659759.db2.gz FWIZDHQSSSYCTG-SNVBAGLBSA-N 0 0 281.308 2.739 20 5 CFBDRN C[C@@H](N[C@H](C)c1c(F)cccc1[N+](=O)[O-])c1cn[nH]c1 ZINC000872050981 641584583 /nfs/dbraw/zinc/58/45/83/641584583.db2.gz GQIQTVICQGVGBU-RKDXNWHRSA-N 0 0 278.287 2.869 20 5 CFBDRN Cc1nnc(CN[C@@H](C)c2c(F)cccc2[N+](=O)[O-])s1 ZINC000872059053 641591977 /nfs/dbraw/zinc/59/19/77/641591977.db2.gz AJURGRWDDGSHOQ-ZETCQYMHSA-N 0 0 296.327 2.745 20 5 CFBDRN Cc1ccc(C[N@@H+]2CCCC[C@@H]2CC(=O)[O-])cc1[N+](=O)[O-] ZINC000872159875 641680576 /nfs/dbraw/zinc/68/05/76/641680576.db2.gz RPDLOBUKXULLAT-CYBMUJFWSA-N 0 0 292.335 2.732 20 5 CFBDRN Cc1cc(NC[C@@H]2C[C@H]3CCC[C@H]3O2)ncc1[N+](=O)[O-] ZINC000872697345 641900817 /nfs/dbraw/zinc/90/08/17/641900817.db2.gz KHMZBGWWRHWJDA-NTZNESFSSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1csc([C@@H]2CCN(c3ccc([N+](=O)[O-])nc3)C2)n1 ZINC000872735009 641916209 /nfs/dbraw/zinc/91/62/09/641916209.db2.gz DMNZEUIWKAJIIJ-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN CCC1(CC)CCCN1c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000872765762 641925200 /nfs/dbraw/zinc/92/52/00/641925200.db2.gz ASPMFCQZWDIETL-UHFFFAOYSA-N 0 0 291.351 2.853 20 5 CFBDRN CCn1ncc(Nc2ccsc2[N+](=O)[O-])c1C ZINC000872777744 641931170 /nfs/dbraw/zinc/93/11/70/641931170.db2.gz NMXFXODAMRWDDL-UHFFFAOYSA-N 0 0 252.299 2.925 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000873093831 642011809 /nfs/dbraw/zinc/01/18/09/642011809.db2.gz VNTODRHOPVANIE-CYBMUJFWSA-N 0 0 289.335 2.645 20 5 CFBDRN CC[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(C)=O ZINC000873345250 642143250 /nfs/dbraw/zinc/14/32/50/642143250.db2.gz ITGOUECGBCDMMB-LLVKDONJSA-N 0 0 291.259 2.865 20 5 CFBDRN CC[C@@H](OC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C(C)=O ZINC000873402537 642185781 /nfs/dbraw/zinc/18/57/81/642185781.db2.gz RUQOOGGPKOMWAT-CYBMUJFWSA-N 0 0 279.292 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC(=O)C1CCC1 ZINC000873438383 642204933 /nfs/dbraw/zinc/20/49/33/642204933.db2.gz KNVMGRNIKMYGQS-UHFFFAOYSA-N 0 0 291.303 2.738 20 5 CFBDRN COC(C)(C)COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873535773 642239397 /nfs/dbraw/zinc/23/93/97/642239397.db2.gz PCWIYWIEFATRPU-UHFFFAOYSA-N 0 0 281.308 2.793 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC1CCSCC1 ZINC000873560210 642251148 /nfs/dbraw/zinc/25/11/48/642251148.db2.gz QWEGITGENCXORS-UHFFFAOYSA-N 0 0 281.333 2.576 20 5 CFBDRN CNc1ccc(C(=O)OC2CCSCC2)cc1[N+](=O)[O-] ZINC000873560400 642251630 /nfs/dbraw/zinc/25/16/30/642251630.db2.gz ZYRJQTCDCGSDHM-UHFFFAOYSA-N 0 0 296.348 2.689 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCOC[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000873578718 642259429 /nfs/dbraw/zinc/25/94/29/642259429.db2.gz MGPXSUOMFYRFQD-QJPTWQEYSA-N 0 0 293.319 2.872 20 5 CFBDRN Cc1cc(F)cc(C(=O)Nc2ccc(F)cn2)c1[N+](=O)[O-] ZINC000124760544 642311564 /nfs/dbraw/zinc/31/15/64/642311564.db2.gz ZVASIBHLYALXGJ-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CC(C)OC1(C(=O)NCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000874105575 642339832 /nfs/dbraw/zinc/33/98/32/642339832.db2.gz SWUBECCZNKOEIV-UHFFFAOYSA-N 0 0 292.335 2.559 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)CC(F)(F)F ZINC000874156759 642345842 /nfs/dbraw/zinc/34/58/42/642345842.db2.gz DNQYSFJIMIFBEO-UHFFFAOYSA-N 0 0 290.241 2.846 20 5 CFBDRN CC(C)c1nsc(NC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000874697513 642458661 /nfs/dbraw/zinc/45/86/61/642458661.db2.gz RPLJQTWEXVKNLP-UHFFFAOYSA-N 0 0 298.349 2.884 20 5 CFBDRN Cc1ccccc1OC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000195519580 650756219 /nfs/dbraw/zinc/75/62/19/650756219.db2.gz VMMIBQQJFPMDNX-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC12CCC2 ZINC000874778878 642478184 /nfs/dbraw/zinc/47/81/84/642478184.db2.gz YKWXZCWMRAWPFS-LLVKDONJSA-N 0 0 278.283 2.715 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CC12CCC2 ZINC000874778879 642478517 /nfs/dbraw/zinc/47/85/17/642478517.db2.gz YKWXZCWMRAWPFS-NSHDSACASA-N 0 0 278.283 2.715 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2CC23CCC3)c1[N+](=O)[O-] ZINC000874780746 642481008 /nfs/dbraw/zinc/48/10/08/642481008.db2.gz NOJWLFDYIMAWIX-NSHDSACASA-N 0 0 292.360 2.989 20 5 CFBDRN Cc1ccc(C(=O)NC2(C)CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000874857977 642530216 /nfs/dbraw/zinc/53/02/16/642530216.db2.gz OQWJIJULZYGZKS-UHFFFAOYSA-N 0 0 284.262 2.821 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC000875052076 642581054 /nfs/dbraw/zinc/58/10/54/642581054.db2.gz IRGZHGVTXQXXIJ-HJQYOEGKSA-N 0 0 290.319 2.834 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000875054563 642581093 /nfs/dbraw/zinc/58/10/93/642581093.db2.gz WHIAUKLDWVNJLI-NMSKTSORSA-N 0 0 296.298 2.732 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000875052079 642581408 /nfs/dbraw/zinc/58/14/08/642581408.db2.gz IRGZHGVTXQXXIJ-SASUGWTJSA-N 0 0 290.319 2.834 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC(=O)C(C)(C)CC(C)C ZINC000084693119 650777413 /nfs/dbraw/zinc/77/74/13/650777413.db2.gz GHSDQWQNGDXGIS-UHFFFAOYSA-N 0 0 297.355 2.715 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000876062285 642762938 /nfs/dbraw/zinc/76/29/38/642762938.db2.gz OHOQPRBSWKLWDJ-MGCOHNPYSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@H]1CCO[C@@H]1C ZINC000876316771 642822012 /nfs/dbraw/zinc/82/20/12/642822012.db2.gz JRWKXTZMODNKPD-VXGBXAGGSA-N 0 0 293.319 2.680 20 5 CFBDRN Cc1ccc(NCc2cn(C)nc2[N+](=O)[O-])cc1Cl ZINC000876819924 643024821 /nfs/dbraw/zinc/02/48/21/643024821.db2.gz NXNFHBKWNHMECK-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN COc1ccccc1NC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000876818119 643025234 /nfs/dbraw/zinc/02/52/34/643025234.db2.gz PTQCOAGPBVEAFK-UHFFFAOYSA-N 0 0 288.307 2.616 20 5 CFBDRN CCc1ccc(NCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000876819297 643025288 /nfs/dbraw/zinc/02/52/88/643025288.db2.gz FEASKXZENSIKOK-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000088704938 650817117 /nfs/dbraw/zinc/81/71/17/650817117.db2.gz RERHGKMSZZOWGG-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN CCSCCOC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000088805412 650820525 /nfs/dbraw/zinc/82/05/25/650820525.db2.gz QYFBREWASOIJGD-UHFFFAOYSA-N 0 0 283.349 2.824 20 5 CFBDRN COc1cc(NCc2cn(C)nc2[N+](=O)[O-])c(C)cc1C ZINC000877493646 643307912 /nfs/dbraw/zinc/30/79/12/643307912.db2.gz NJFJZXUSBFCRGS-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN(C)Cc1c[nH]cn1 ZINC000877619653 643406375 /nfs/dbraw/zinc/40/63/75/643406375.db2.gz HMGCGXQHIBVHKL-UHFFFAOYSA-N 0 0 274.324 2.567 20 5 CFBDRN CC/C=C(/C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089420588 650841688 /nfs/dbraw/zinc/84/16/88/650841688.db2.gz XJKZZVYRQDJRKG-YWEYNIOJSA-N 0 0 274.280 2.766 20 5 CFBDRN CC1(C)C[C@@H](O)CN(Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000877752826 643530884 /nfs/dbraw/zinc/53/08/84/643530884.db2.gz SWGAKOWLWRXKMU-LLVKDONJSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1ccc2c(c1)C(=O)N[C@@H](c1ccccc1[N+](=O)[O-])N2 ZINC000877863316 643611348 /nfs/dbraw/zinc/61/13/48/643611348.db2.gz GYHUBFXTPJKLOU-AWEZNQCLSA-N 0 0 283.287 2.757 20 5 CFBDRN Cc1ccc2c(c1)N[C@H](c1cccc([N+](=O)[O-])c1)NC2=O ZINC000877864533 643612620 /nfs/dbraw/zinc/61/26/20/643612620.db2.gz QMMSXDRTPYAMHU-AWEZNQCLSA-N 0 0 283.287 2.757 20 5 CFBDRN Cc1ccc2c(c1)N[C@H](c1csc([N+](=O)[O-])c1)NC2=O ZINC000877865195 643613591 /nfs/dbraw/zinc/61/35/91/643613591.db2.gz XPPQOZXJCZUSCH-LBPRGKRZSA-N 0 0 289.316 2.819 20 5 CFBDRN COC[C@H](COc1ccccc1[N+](=O)[O-])C(C)C ZINC000877875366 643615015 /nfs/dbraw/zinc/61/50/15/643615015.db2.gz WTLQNXZHISRLNH-LLVKDONJSA-N 0 0 253.298 2.892 20 5 CFBDRN COC[C@H](COc1ccc([N+](=O)[O-])cc1OC)C(C)C ZINC000877876490 643616492 /nfs/dbraw/zinc/61/64/92/643616492.db2.gz RFIBZZPUVGYQCN-LLVKDONJSA-N 0 0 283.324 2.901 20 5 CFBDRN COC[C@H](COc1c(Cl)cncc1[N+](=O)[O-])C(C)C ZINC000877890276 643626008 /nfs/dbraw/zinc/62/60/08/643626008.db2.gz URGMDBIRRCFLLG-SECBINFHSA-N 0 0 288.731 2.941 20 5 CFBDRN CCOCCOCCOc1ccc(CC)cc1[N+](=O)[O-] ZINC000877890268 643626086 /nfs/dbraw/zinc/62/60/86/643626086.db2.gz WOMNGGBQLAKWIF-UHFFFAOYSA-N 0 0 283.324 2.589 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@H](CF)C1 ZINC000877902789 643632059 /nfs/dbraw/zinc/63/20/59/643632059.db2.gz VJLIJUWXWTZPJZ-SNVBAGLBSA-N 0 0 281.287 2.808 20 5 CFBDRN Cn1cncc1CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000090165916 650865677 /nfs/dbraw/zinc/86/56/77/650865677.db2.gz SODIJZBWSORVMC-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN COc1cc(OCC(=O)O[C@@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000127115201 643888705 /nfs/dbraw/zinc/88/87/05/643888705.db2.gz AHLXMJIEWLLBFV-JTQLQIEISA-N 0 0 297.307 2.570 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000879227488 644182104 /nfs/dbraw/zinc/18/21/04/644182104.db2.gz GMPRXPMKBZMWNY-JTQLQIEISA-N 0 0 261.281 2.683 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC12CCC2 ZINC000879229633 644182478 /nfs/dbraw/zinc/18/24/78/644182478.db2.gz ARTGTHYETQSUDH-LBPRGKRZSA-N 0 0 291.307 2.668 20 5 CFBDRN C[C@@H]1CO[C@H](C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000888770755 644244172 /nfs/dbraw/zinc/24/41/72/644244172.db2.gz QLBWELUSKPSODT-CPCISQLKSA-N 0 0 284.699 2.612 20 5 CFBDRN Cc1c(CN(C)OCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000879511346 644297259 /nfs/dbraw/zinc/29/72/59/644297259.db2.gz IJQYILZVXBNRQF-UHFFFAOYSA-N 0 0 278.230 2.829 20 5 CFBDRN CC1(C)C[C@H](O)CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000879619692 644349558 /nfs/dbraw/zinc/34/95/58/644349558.db2.gz ZRCFXQUASTXYAG-LBPRGKRZSA-N 0 0 298.770 2.841 20 5 CFBDRN CC1(C)C[C@@H](O)CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000879619691 644349820 /nfs/dbraw/zinc/34/98/20/644349820.db2.gz ZRCFXQUASTXYAG-GFCCVEGCSA-N 0 0 298.770 2.841 20 5 CFBDRN CC[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000247726335 650915040 /nfs/dbraw/zinc/91/50/40/650915040.db2.gz SQBBMBPFOCHFKF-ZWNOBZJWSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1noc(COc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000093307972 650937916 /nfs/dbraw/zinc/93/79/16/650937916.db2.gz FZQKRCSGBKYBGB-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000888815916 644712498 /nfs/dbraw/zinc/71/24/98/644712498.db2.gz XWDNDIIRGMPCOA-LLVKDONJSA-N 0 0 294.376 2.999 20 5 CFBDRN CC[C@@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000880470894 644725630 /nfs/dbraw/zinc/72/56/30/644725630.db2.gz QYGKBIKFIJMDSS-BXUZGUMPSA-N 0 0 294.351 2.595 20 5 CFBDRN CCOc1cc(NC(=O)N(C)CCCF)ccc1[N+](=O)[O-] ZINC000888830137 644810464 /nfs/dbraw/zinc/81/04/64/644810464.db2.gz QIZOAQCMZMZVKD-UHFFFAOYSA-N 0 0 299.302 2.817 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCCO1 ZINC000094801153 650963776 /nfs/dbraw/zinc/96/37/76/650963776.db2.gz VPUYNOURFNUQBR-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCc1cccc(F)c1F ZINC000024536968 644953418 /nfs/dbraw/zinc/95/34/18/644953418.db2.gz AVNGYNVFPGZUSW-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1C=CCC1 ZINC000881493776 644993072 /nfs/dbraw/zinc/99/30/72/644993072.db2.gz XPODCNTZXZXCNN-NSHDSACASA-N 0 0 260.293 2.599 20 5 CFBDRN Cc1c(CC(=O)NC[C@@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000881495095 644994961 /nfs/dbraw/zinc/99/49/61/644994961.db2.gz GDSHWRFXWDGPDA-GFCCVEGCSA-N 0 0 274.320 2.528 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000881496435 644996530 /nfs/dbraw/zinc/99/65/30/644996530.db2.gz HTPFFZKYCLPMMM-GHMZBOCLSA-N 0 0 292.310 2.920 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CC(F)(F)C1 ZINC000881521426 645016992 /nfs/dbraw/zinc/01/69/92/645016992.db2.gz IGIREDADCYLFIO-UHFFFAOYSA-N 0 0 290.291 2.822 20 5 CFBDRN CCC[C@H](C)CCNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000881532969 645026372 /nfs/dbraw/zinc/02/63/72/645026372.db2.gz XEJPDKRRDCFINL-NSHDSACASA-N 0 0 258.362 2.764 20 5 CFBDRN C=C/C=C\CCNC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000881568148 645047734 /nfs/dbraw/zinc/04/77/34/645047734.db2.gz JVGPNGLSGPPYTL-WAYWQWQTSA-N 0 0 290.319 2.774 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H](CF)C1 ZINC000881594416 645066423 /nfs/dbraw/zinc/06/64/23/645066423.db2.gz KNYDTADTHSESEN-VIFPVBQESA-N 0 0 284.262 2.556 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000881602026 645072611 /nfs/dbraw/zinc/07/26/11/645072611.db2.gz QHRTYCRHPTVGOH-NEPJUHHUSA-N 0 0 294.326 2.906 20 5 CFBDRN CCc1ccc(C(=O)N2CCC(F)CC2)cc1[N+](=O)[O-] ZINC000881609498 645079424 /nfs/dbraw/zinc/07/94/24/645079424.db2.gz VLVIOEDTTKOCBW-UHFFFAOYSA-N 0 0 280.299 2.731 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N1CC[C@H](CF)C1 ZINC000881663912 645093008 /nfs/dbraw/zinc/09/30/08/645093008.db2.gz CFKZHGGYUIPAML-SNVBAGLBSA-N 0 0 298.339 2.505 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](CF)C1 ZINC000881675927 645102502 /nfs/dbraw/zinc/10/25/02/645102502.db2.gz BPJMILIWLGCCED-ZWXCPPHNSA-N 0 0 292.310 2.816 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@@H]1c1cccnc1 ZINC000881777828 645125736 /nfs/dbraw/zinc/12/57/36/645125736.db2.gz OIMLCLKHTOCYPE-LLVKDONJSA-N 0 0 288.282 2.865 20 5 CFBDRN CC(C)COC1CCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000881833045 645137291 /nfs/dbraw/zinc/13/72/91/645137291.db2.gz TYWMBFWDYSEZOQ-UHFFFAOYSA-N 0 0 297.330 2.770 20 5 CFBDRN Cc1cc(OCC[C@@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000882066346 645191259 /nfs/dbraw/zinc/19/12/59/645191259.db2.gz JKKMAOFODCETTD-CYBMUJFWSA-N 0 0 253.298 2.689 20 5 CFBDRN Cc1c(CC(=O)NCCC2CCC2)cccc1[N+](=O)[O-] ZINC000129431859 645193221 /nfs/dbraw/zinc/19/32/21/645193221.db2.gz KEKLYUGFGOIFKK-UHFFFAOYSA-N 0 0 276.336 2.752 20 5 CFBDRN CC[C@]1(C)CCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882085340 645195513 /nfs/dbraw/zinc/19/55/13/645195513.db2.gz MPCIPLSZFOYNQZ-GFCCVEGCSA-N 0 0 253.277 2.755 20 5 CFBDRN COCCC1(CNc2ccnc(F)c2[N+](=O)[O-])CCC1 ZINC000882088558 645197386 /nfs/dbraw/zinc/19/73/86/645197386.db2.gz HLWPYWCTMCKPBX-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC(C(F)F)CC1 ZINC000882106125 645204209 /nfs/dbraw/zinc/20/42/09/645204209.db2.gz QHEXGIJTZUQSQK-UHFFFAOYSA-N 0 0 275.230 2.610 20 5 CFBDRN O=[N+]([O-])c1ccnn1C[C@@H]1CC[C@@H](c2ccccc2)O1 ZINC000882107013 645205110 /nfs/dbraw/zinc/20/51/10/645205110.db2.gz MXWXNCOAUAIEFO-STQMWFEESA-N 0 0 273.292 2.712 20 5 CFBDRN CC(C)C(=O)N(C)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000882111226 645205777 /nfs/dbraw/zinc/20/57/77/645205777.db2.gz XVDUTQXSRNCPIL-UHFFFAOYSA-N 0 0 286.715 2.699 20 5 CFBDRN CC(C)[C@@H](O)CCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000882110940 645206063 /nfs/dbraw/zinc/20/60/63/645206063.db2.gz VQBALFWUIJSVHS-LBPRGKRZSA-N 0 0 257.261 2.520 20 5 CFBDRN CS[C@H]1CCCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882117133 645207769 /nfs/dbraw/zinc/20/77/69/645207769.db2.gz CKSDJWDNNJYUQH-VIFPVBQESA-N 0 0 285.344 2.851 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@@H]1C[C@H](C)O ZINC000882127653 645213553 /nfs/dbraw/zinc/21/35/53/645213553.db2.gz KKBQOCIVKAGIGV-CMPLNLGQSA-N 0 0 292.335 2.537 20 5 CFBDRN CC(C)CSCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882134865 645215418 /nfs/dbraw/zinc/21/54/18/645215418.db2.gz NWLSKVVOYUDUTG-UHFFFAOYSA-N 0 0 273.333 2.930 20 5 CFBDRN C[C@@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2F)CCCS1 ZINC000129476648 645217356 /nfs/dbraw/zinc/21/73/56/645217356.db2.gz VCNCPVLXKPTMDK-ZDUSSCGKSA-N 0 0 298.339 2.749 20 5 CFBDRN CC(C)[C@@H](O)CCOc1c(F)cccc1[N+](=O)[O-] ZINC000882155503 645222577 /nfs/dbraw/zinc/22/25/77/645222577.db2.gz IMQJBNILGOROHT-NSHDSACASA-N 0 0 257.261 2.520 20 5 CFBDRN C/C(=C/Cl)CSCc1cn(C)nc1[N+](=O)[O-] ZINC000882162339 645225145 /nfs/dbraw/zinc/22/51/45/645225145.db2.gz VTVZGOUBAQDAFH-CLTKARDFSA-N 0 0 261.734 2.704 20 5 CFBDRN C[C@@H](CCO)C1(CNc2ccnc(F)c2[N+](=O)[O-])CCC1 ZINC000882161424 645225489 /nfs/dbraw/zinc/22/54/89/645225489.db2.gz IHXIWYBRKVZBTG-JTQLQIEISA-N 0 0 297.330 2.730 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC[C@H](C)[C@@H](F)C1 ZINC000882165569 645227060 /nfs/dbraw/zinc/22/70/60/645227060.db2.gz BGRQRCXLDMZYSV-ONGXEEELSA-N 0 0 280.299 2.982 20 5 CFBDRN CC(=O)c1c(NCCOC(C)C)cccc1[N+](=O)[O-] ZINC000882185248 645237475 /nfs/dbraw/zinc/23/74/75/645237475.db2.gz VNIUVVLOHBPPBM-UHFFFAOYSA-N 0 0 266.297 2.634 20 5 CFBDRN CCc1nc(SCc2cn(C)nc2[N+](=O)[O-])sc1C ZINC000882199453 645242944 /nfs/dbraw/zinc/24/29/44/645242944.db2.gz PUBOZWDMDYEEEC-UHFFFAOYSA-N 0 0 298.393 2.948 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCSC[C@H](C)C1 ZINC000882257264 645255649 /nfs/dbraw/zinc/25/56/49/645255649.db2.gz YDKKUKMWIJZBOF-SNVBAGLBSA-N 0 0 294.376 2.987 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1c1ccnc(F)c1[N+](=O)[O-] ZINC000882287005 645263739 /nfs/dbraw/zinc/26/37/39/645263739.db2.gz UOUWFHQSSRMUBX-BQBZGAKWSA-N 0 0 293.220 2.906 20 5 CFBDRN CC(C)N(C)C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129577331 645280687 /nfs/dbraw/zinc/28/06/87/645280687.db2.gz GYGOYVQCDUBGBM-UHFFFAOYSA-N 0 0 256.689 2.729 20 5 CFBDRN CC(=O)c1c(NC[C@H]2CCOC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000882351833 645289569 /nfs/dbraw/zinc/28/95/69/645289569.db2.gz DCEWRPOECRMVPH-CMPLNLGQSA-N 0 0 292.335 2.882 20 5 CFBDRN C[C@H](O)CCOc1cccc(Br)c1[N+](=O)[O-] ZINC000882362795 645290227 /nfs/dbraw/zinc/29/02/27/645290227.db2.gz CBQBILZYZLWEAM-ZETCQYMHSA-N 0 0 290.113 2.507 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@@H](C2CC2)C1 ZINC000882378514 645294665 /nfs/dbraw/zinc/29/46/65/645294665.db2.gz BEZDNJYCGVEVNQ-SNVBAGLBSA-N 0 0 265.288 2.755 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCCC1CCOCC1 ZINC000882406640 645302402 /nfs/dbraw/zinc/30/24/02/645302402.db2.gz LHJYTJLELHMVGM-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN CC[C@H](C)[C@H](CNc1ccnc(F)c1[N+](=O)[O-])OC ZINC000882407994 645303558 /nfs/dbraw/zinc/30/35/58/645303558.db2.gz IBQZJLGEZGQVDO-WPRPVWTQSA-N 0 0 271.292 2.602 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1ccnc(F)c1[N+](=O)[O-])OC ZINC000882407993 645303603 /nfs/dbraw/zinc/30/36/03/645303603.db2.gz IBQZJLGEZGQVDO-WCBMZHEXSA-N 0 0 271.292 2.602 20 5 CFBDRN COCC1(CNc2ccnc(F)c2[N+](=O)[O-])CCCC1 ZINC000882432934 645310987 /nfs/dbraw/zinc/31/09/87/645310987.db2.gz RKZCCSYVVLFOMF-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C[C@@H]1C ZINC000129749763 645372388 /nfs/dbraw/zinc/37/23/88/645372388.db2.gz XEQWYDNMENNRHP-ZJUUUORDSA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@@H]1CCC[C@H](C)[C@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000252449930 651005540 /nfs/dbraw/zinc/00/55/40/651005540.db2.gz PVSPPSXBHQISMR-MSRIBSCDSA-N 0 0 291.351 2.732 20 5 CFBDRN Cn1ncc2c1CN(c1ccc([N+](=O)[O-])cc1Cl)C2 ZINC000882647377 645399971 /nfs/dbraw/zinc/39/99/71/645399971.db2.gz ABLLCEJEZLPKRT-UHFFFAOYSA-N 0 0 278.699 2.502 20 5 CFBDRN C[C@@H](O)CSc1nccc2c1cccc2[N+](=O)[O-] ZINC000882737273 645436337 /nfs/dbraw/zinc/43/63/37/645436337.db2.gz GFBJBRNWGJZIGS-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN CC1(CNc2c(C(N)=O)cccc2[N+](=O)[O-])CC(F)(F)C1 ZINC000882775271 645452450 /nfs/dbraw/zinc/45/24/50/645452450.db2.gz WTYVZNVNBJVLAJ-UHFFFAOYSA-N 0 0 299.277 2.541 20 5 CFBDRN O=c1[nH]ccc(NCC[C@H]2CCCC2(F)F)c1[N+](=O)[O-] ZINC000882799137 645460581 /nfs/dbraw/zinc/46/05/81/645460581.db2.gz QOWNLFODMCCLAG-MRVPVSSYSA-N 0 0 287.266 2.933 20 5 CFBDRN COc1cc(N2CCC[C@H](CF)C2)c(F)cc1[N+](=O)[O-] ZINC000882802103 645463294 /nfs/dbraw/zinc/46/32/94/645463294.db2.gz PPXCPJLIIHKOLB-SECBINFHSA-N 0 0 286.278 2.928 20 5 CFBDRN COc1cc(N2CCC(F)CC2)c([N+](=O)[O-])cc1C ZINC000882804953 645464909 /nfs/dbraw/zinc/46/49/09/645464909.db2.gz GHZRHSBHNMIOAK-UHFFFAOYSA-N 0 0 268.288 2.850 20 5 CFBDRN COCc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)o1 ZINC000130218343 645471368 /nfs/dbraw/zinc/47/13/68/645471368.db2.gz VBLHIJCICHVXPK-UHFFFAOYSA-N 0 0 291.259 2.691 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3nccs3)C2)n1 ZINC000882858380 645480542 /nfs/dbraw/zinc/48/05/42/645480542.db2.gz GEXROQYMAGGQTI-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC(CC2CCOCC2)C1 ZINC000882885642 645492063 /nfs/dbraw/zinc/49/20/63/645492063.db2.gz HGCIICANBWHXGY-UHFFFAOYSA-N 0 0 294.326 2.987 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000882906482 645504679 /nfs/dbraw/zinc/50/46/79/645504679.db2.gz IMGKQARXTZPFTM-KLPPZKSPSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCCCO3)CC2)cn1 ZINC000882949182 645523690 /nfs/dbraw/zinc/52/36/90/645523690.db2.gz DIFAOLRKGRZEPH-UHFFFAOYSA-N 0 0 277.324 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CC[C@@H]1CCCC1(F)F ZINC000882988207 645545696 /nfs/dbraw/zinc/54/56/96/645545696.db2.gz GDFACLBMPGMHPY-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN CCOc1ccn(Cc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000883018988 645565310 /nfs/dbraw/zinc/56/53/10/645565310.db2.gz WKHXTQZCXPJCCJ-UHFFFAOYSA-N 0 0 281.699 2.892 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC(F)CC1 ZINC000883140085 645622034 /nfs/dbraw/zinc/62/20/34/645622034.db2.gz JAKUCAIXXIJUCC-UHFFFAOYSA-N 0 0 285.250 2.700 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@H](CF)C1 ZINC000883140051 645622339 /nfs/dbraw/zinc/62/23/39/645622339.db2.gz HUOYPQBRVKPLIN-SECBINFHSA-N 0 0 299.277 2.947 20 5 CFBDRN C[C@@H](NC(=O)NC[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000883153416 645629676 /nfs/dbraw/zinc/62/96/76/645629676.db2.gz CKBJAOTVNBTYLK-VXGBXAGGSA-N 0 0 289.335 2.921 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000131272039 645680132 /nfs/dbraw/zinc/68/01/32/645680132.db2.gz ZNXIEBIMQGGLML-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC[C@@H](C)COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000131277945 645681239 /nfs/dbraw/zinc/68/12/39/645681239.db2.gz SRFDRZDGWXREDA-MRVPVSSYSA-N 0 0 255.245 2.937 20 5 CFBDRN Cc1c(NC(=O)c2ccnc(F)c2F)cccc1[N+](=O)[O-] ZINC000097865312 651046247 /nfs/dbraw/zinc/04/62/47/651046247.db2.gz XOLLMNMAFODTHY-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1ccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)nc1 ZINC000132344402 645908643 /nfs/dbraw/zinc/90/86/43/645908643.db2.gz KSIJJEUZSBYWKV-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN C/C=C/C=C/C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132372996 645912152 /nfs/dbraw/zinc/91/21/52/645912152.db2.gz YZPJQDCSWVUOKB-MQQKCMAXSA-N 0 0 251.213 2.772 20 5 CFBDRN CCC(F)(F)COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000883938191 646055779 /nfs/dbraw/zinc/05/57/79/646055779.db2.gz YRRMLVNYJYIFLM-UHFFFAOYSA-N 0 0 265.237 2.858 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H](F)C(F)(F)F)cc1[N+](=O)[O-] ZINC000800083762 646129926 /nfs/dbraw/zinc/12/99/26/646129926.db2.gz OHFXUKDGRZHVCM-VIFPVBQESA-N 0 0 295.188 2.960 20 5 CFBDRN CC(C)n1ncnc1COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000133556100 646145259 /nfs/dbraw/zinc/14/52/59/646145259.db2.gz XDFKOAUNCWZPGE-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN CCCCCCOCC(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000133651398 646202687 /nfs/dbraw/zinc/20/26/87/646202687.db2.gz HQAVSLPFHGDXAE-UHFFFAOYSA-N 0 0 295.339 2.834 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000134258969 646316261 /nfs/dbraw/zinc/31/62/61/646316261.db2.gz XBYRLVKAXGEOPU-ZETCQYMHSA-N 0 0 288.394 2.836 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000134296820 646323309 /nfs/dbraw/zinc/32/33/09/646323309.db2.gz HWQCGSULWUBDJW-SECBINFHSA-N 0 0 282.365 2.775 20 5 CFBDRN CSCC[C@@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000134835035 646391502 /nfs/dbraw/zinc/39/15/02/646391502.db2.gz QLARFNMJVZKTJN-SSDOTTSWSA-N 0 0 282.325 2.685 20 5 CFBDRN CCC(F)(F)COC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000884485844 646391702 /nfs/dbraw/zinc/39/17/02/646391702.db2.gz IPZKHCBFYLITGC-UHFFFAOYSA-N 0 0 291.254 2.643 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884486963 646392965 /nfs/dbraw/zinc/39/29/65/646392965.db2.gz JMMRBUCTNWOMHE-MFKMUULPSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884486962 646393588 /nfs/dbraw/zinc/39/35/88/646393588.db2.gz JMMRBUCTNWOMHE-GXFFZTMASA-N 0 0 287.319 2.980 20 5 CFBDRN CCCc1occc1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884489003 646395673 /nfs/dbraw/zinc/39/56/73/646395673.db2.gz MIUSRNRJZCUAKY-UHFFFAOYSA-N 0 0 290.275 2.892 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]2C(F)F)c2ccccc21 ZINC000884517834 646414920 /nfs/dbraw/zinc/41/49/20/646414920.db2.gz XOJXZWBOVMDQKX-JTQLQIEISA-N 0 0 279.246 2.987 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000134965255 646421941 /nfs/dbraw/zinc/42/19/41/646421941.db2.gz LJGHVAJIBSXTLB-SNVBAGLBSA-N 0 0 280.299 2.852 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@H]1C[C@@H]1c1ccccc1 ZINC000884550290 646443273 /nfs/dbraw/zinc/44/32/73/646443273.db2.gz YZHAGAOXHKQQLC-KGLIPLIRSA-N 0 0 298.298 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)nc1 ZINC000135042487 646449102 /nfs/dbraw/zinc/44/91/02/646449102.db2.gz SVJKJFQDWYSJKV-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(SCCCO)c2c1 ZINC000884569303 646458949 /nfs/dbraw/zinc/45/89/49/646458949.db2.gz IPHBYFDQMYMSPC-UHFFFAOYSA-N 0 0 294.332 2.626 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@@H]3C[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000884590111 646474163 /nfs/dbraw/zinc/47/41/63/646474163.db2.gz PNKRNNYNUAUZTO-PHIMTYICSA-N 0 0 260.293 2.644 20 5 CFBDRN Cc1nc(NCc2cc(C3CC3)no2)ccc1[N+](=O)[O-] ZINC000884637195 646497464 /nfs/dbraw/zinc/49/74/64/646497464.db2.gz DWIAPTDYHDZWCU-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])c(N)n2)[C@H]1c1ccccc1 ZINC000884641875 646502503 /nfs/dbraw/zinc/50/25/03/646502503.db2.gz VLCODMNJGSHSSK-ZWNOBZJWSA-N 0 0 299.334 2.555 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)COC1CC1 ZINC000884658128 646512420 /nfs/dbraw/zinc/51/24/20/646512420.db2.gz YMMCQVZYBOHVDF-JTQLQIEISA-N 0 0 279.292 2.686 20 5 CFBDRN Cc1cnc(NC[C@H]2CC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000884663889 646517185 /nfs/dbraw/zinc/51/71/85/646517185.db2.gz XJEOMENMGFNCRF-LLVKDONJSA-N 0 0 277.324 2.669 20 5 CFBDRN Cc1cc(N2CC[C@@]3(CO)CCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000884665912 646518682 /nfs/dbraw/zinc/51/86/82/646518682.db2.gz LWEKWLHLQBOZNK-HUUCEWRRSA-N 0 0 276.336 2.645 20 5 CFBDRN C[C@@H]1C[C@@]2(CC[C@@H](CNc3sccc3[N+](=O)[O-])O2)CO1 ZINC000884696157 646531867 /nfs/dbraw/zinc/53/18/67/646531867.db2.gz JDSDBCJRVLYODW-NRUUGDAUSA-N 0 0 298.364 2.795 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2CCC=CCC2)n1 ZINC000884847935 646558541 /nfs/dbraw/zinc/55/85/41/646558541.db2.gz MOALXPOBSSEOGJ-UHFFFAOYSA-N 0 0 291.307 2.687 20 5 CFBDRN O=C(N[C@H]1CCCc2cccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000885399500 646680358 /nfs/dbraw/zinc/68/03/58/646680358.db2.gz RVBLGISQCIMRRC-AWEZNQCLSA-N 0 0 297.314 2.797 20 5 CFBDRN Cc1cc(COC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])no1 ZINC000885424437 646684641 /nfs/dbraw/zinc/68/46/41/646684641.db2.gz PUNPHDOMOREBLM-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CCc1cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1O ZINC000885644264 646726155 /nfs/dbraw/zinc/72/61/55/646726155.db2.gz HGXFEKMFPNGHNV-UHFFFAOYSA-N 0 0 280.324 2.729 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])cc1F ZINC000886004309 646809389 /nfs/dbraw/zinc/80/93/89/646809389.db2.gz BIEDYQPMAOWYQR-OTYXRUKQSA-N 0 0 278.283 2.654 20 5 CFBDRN CCCN(Cc1cccnc1[N+](=O)[O-])C[C@H]1CCCCO1 ZINC000886065026 646850867 /nfs/dbraw/zinc/85/08/67/646850867.db2.gz NQLHBWWSRXMRLL-CQSZACIVSA-N 0 0 293.367 2.771 20 5 CFBDRN COc1cccc([C@@H](C)NCc2cccnc2[N+](=O)[O-])c1 ZINC000886106955 646875183 /nfs/dbraw/zinc/87/51/83/646875183.db2.gz HJKRDJAJPNBBLZ-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1cnc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000137351803 646913459 /nfs/dbraw/zinc/91/34/59/646913459.db2.gz HBYVMAIMAXOXAQ-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCC[N@@H+]1Cc1cccnc1[N+](=O)[O-] ZINC000886203006 646917685 /nfs/dbraw/zinc/91/76/85/646917685.db2.gz JRGGVJWZDAVYOO-OCCSQVGLSA-N 0 0 293.367 2.505 20 5 CFBDRN C[C@H]1Cc2ncn(Cc3cccnc3[N+](=O)[O-])c2C[C@@H]1C ZINC000886225998 646929584 /nfs/dbraw/zinc/92/95/84/646929584.db2.gz FVNSYHQQUWZLNY-QWRGUYRKSA-N 0 0 286.335 2.605 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)on1 ZINC000137442891 646935223 /nfs/dbraw/zinc/93/52/23/646935223.db2.gz JBRDHFHZSIQFBH-SECBINFHSA-N 0 0 276.248 2.809 20 5 CFBDRN CC1(C)CN(Cc2cccnc2[N+](=O)[O-])[C@H]1c1ccncc1 ZINC000886288530 646955011 /nfs/dbraw/zinc/95/50/11/646955011.db2.gz BCPCOMAKRKFSKM-AWEZNQCLSA-N 0 0 298.346 2.968 20 5 CFBDRN COc1ccccc1CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000137634017 646965526 /nfs/dbraw/zinc/96/55/26/646965526.db2.gz AWAVZKNEWYEIGC-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN C[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ncc[nH]1 ZINC000138924909 647134645 /nfs/dbraw/zinc/13/46/45/647134645.db2.gz WQSIAHFRPTVHFC-QMMMGPOBSA-N 0 0 280.715 2.822 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000887036092 647194750 /nfs/dbraw/zinc/19/47/50/647194750.db2.gz MZOHAUHDBGMKOF-ZWNOBZJWSA-N 0 0 296.273 2.745 20 5 CFBDRN Cc1noc(CN(CC(F)F)C2CCC2)c1[N+](=O)[O-] ZINC000887102106 647213146 /nfs/dbraw/zinc/21/31/46/647213146.db2.gz CLLLMPBFINSSPX-UHFFFAOYSA-N 0 0 275.255 2.511 20 5 CFBDRN CC(C)[C@H](F)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887400698 647302859 /nfs/dbraw/zinc/30/28/59/647302859.db2.gz HVEORJKGVSVJTD-GWCFXTLKSA-N 0 0 282.315 2.809 20 5 CFBDRN CSC1(C(=O)NC[C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000887401372 647303143 /nfs/dbraw/zinc/30/31/43/647303143.db2.gz UWMBHWYPWAMGJA-SNVBAGLBSA-N 0 0 294.376 2.710 20 5 CFBDRN C[C@H](CNC(=O)[C@@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000887402928 647303637 /nfs/dbraw/zinc/30/36/37/647303637.db2.gz LLDGGHQMAVIPPS-MNOVXSKESA-N 0 0 276.336 2.861 20 5 CFBDRN CSCCCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403207 647303764 /nfs/dbraw/zinc/30/37/64/647303764.db2.gz RJJWTTPDEJJEAT-LLVKDONJSA-N 0 0 296.392 2.958 20 5 CFBDRN CSCCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404707 647304365 /nfs/dbraw/zinc/30/43/65/647304365.db2.gz KHBMCPYYNZNRMK-SNVBAGLBSA-N 0 0 282.365 2.568 20 5 CFBDRN Cc1cccnc1C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403378 647304522 /nfs/dbraw/zinc/30/45/22/647304522.db2.gz VJMYHEXWLHYXTA-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN C/C=C/C=C\C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404985 647305134 /nfs/dbraw/zinc/30/51/34/647305134.db2.gz QJPBCGKMBABRKP-ZENARXERSA-N 0 0 274.320 2.947 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC(C)C)c1C ZINC000887601065 647368291 /nfs/dbraw/zinc/36/82/91/647368291.db2.gz SSQIUUINCKCABW-UHFFFAOYSA-N 0 0 264.325 2.988 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCOC2(CCC2)C1 ZINC000887732047 647401689 /nfs/dbraw/zinc/40/16/89/647401689.db2.gz FQDXHFIBLBGNST-LLVKDONJSA-N 0 0 290.319 2.883 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1(C2CC2)CC1 ZINC000887730354 647401736 /nfs/dbraw/zinc/40/17/36/647401736.db2.gz OLOSUIOGDXIMKE-UHFFFAOYSA-N 0 0 276.292 2.732 20 5 CFBDRN C[C@]12CN(C(=O)c3ccccc3[N+](=O)[O-])C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000887878178 647428606 /nfs/dbraw/zinc/42/86/06/647428606.db2.gz MOKQECDTBMBCGY-GMIGKAJZSA-N 0 0 298.342 2.879 20 5 CFBDRN O=C(N1CCc2c1cccc2[N+](=O)[O-])C1(C2CC2)CC1 ZINC000889076399 647489310 /nfs/dbraw/zinc/48/93/10/647489310.db2.gz DMZLPBZTQCVNTG-UHFFFAOYSA-N 0 0 272.304 2.674 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC[C@H]1C1CCCC1 ZINC000889400932 647561663 /nfs/dbraw/zinc/56/16/63/647561663.db2.gz UNAAFDOGNWDJKL-HNNXBMFYSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)cc([N+](=O)[O-])c1 ZINC000889591257 647607659 /nfs/dbraw/zinc/60/76/59/647607659.db2.gz QCPMSDYHFRTLSI-LEWSCRJBSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)Cc1cccnc1 ZINC000889660508 647634127 /nfs/dbraw/zinc/63/41/27/647634127.db2.gz ZZUCOGOKDAYFQD-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCO[C@H](C)C2)c1C ZINC000889838902 647678982 /nfs/dbraw/zinc/67/89/82/647678982.db2.gz JURDYPVKNSBJBO-ZYHUDNBSSA-N 0 0 292.335 2.509 20 5 CFBDRN CC(C)(CCC(=O)Nc1cccnc1C1CC1)[N+](=O)[O-] ZINC000889883657 647690229 /nfs/dbraw/zinc/69/02/29/647690229.db2.gz WOLLRUIDKZIMMC-UHFFFAOYSA-N 0 0 277.324 2.733 20 5 CFBDRN CC1(C)CCC[C@H](CNC(=O)c2cccc([N+](=O)[O-])c2)O1 ZINC000890139258 647757610 /nfs/dbraw/zinc/75/76/10/647757610.db2.gz DTTCNXKVLLVPFJ-CYBMUJFWSA-N 0 0 292.335 2.672 20 5 CFBDRN O=c1ccn(C[C@@H]2CC3CCC2CC3)cc1[N+](=O)[O-] ZINC000829060006 647821655 /nfs/dbraw/zinc/82/16/55/647821655.db2.gz UJXQLRUQDCVUJD-MCIGGMRASA-N 0 0 262.309 2.583 20 5 CFBDRN CNc1ccc(C(=O)OCc2ccccc2)cc1[N+](=O)[O-] ZINC000005855008 647852678 /nfs/dbraw/zinc/85/26/78/647852678.db2.gz FRVVEXGGJUKHCO-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)c1ccco1 ZINC000010668737 647879067 /nfs/dbraw/zinc/87/90/67/647879067.db2.gz ACORLBIRYZGWMO-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN C/C=C\COC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923964716 647909403 /nfs/dbraw/zinc/90/94/03/647909403.db2.gz IQPTUKHKVSWNGR-IHWYPQMZSA-N 0 0 267.256 2.786 20 5 CFBDRN CCCCC1(c2nc(-c3c([N+](=O)[O-])ncn3C)no2)CC1 ZINC000924013901 647912391 /nfs/dbraw/zinc/91/23/91/647912391.db2.gz GYWAKFNEKJLBMH-UHFFFAOYSA-N 0 0 291.311 2.600 20 5 CFBDRN Cc1nonc1[C@@H](C)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000924799866 648050010 /nfs/dbraw/zinc/05/00/10/648050010.db2.gz VMNVZVNOJPTZLJ-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000925232450 648138658 /nfs/dbraw/zinc/13/86/58/648138658.db2.gz GRIKYFFWOXXCRL-WDEREUQCSA-N 0 0 277.324 2.850 20 5 CFBDRN Cc1nonc1[C@H](C)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000925515079 648193659 /nfs/dbraw/zinc/19/36/59/648193659.db2.gz SIKNZMDGTYZXNL-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN CC[S@](C)(=O)=NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000925829591 648336456 /nfs/dbraw/zinc/33/64/56/648336456.db2.gz PFUWCECYWGVWGE-XTXLJPNXSA-N 0 0 296.348 2.642 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC000927320882 648755574 /nfs/dbraw/zinc/75/55/74/648755574.db2.gz UNHCEMAGKNFCAE-WBMJQRKESA-N 0 0 298.298 2.602 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000928033562 648844954 /nfs/dbraw/zinc/84/49/54/648844954.db2.gz PIWXOOKCRMHGJG-FOUMNBMASA-N 0 0 288.347 2.986 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928244938 648887064 /nfs/dbraw/zinc/88/70/64/648887064.db2.gz HLOMJPYXSHAQIB-GYSYKLTISA-N 0 0 294.351 2.833 20 5 CFBDRN CC[C@](C)(OC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245679 648887985 /nfs/dbraw/zinc/88/79/85/648887985.db2.gz UVNBKSFMOKSGSJ-YGRLFVJLSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)COCCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247748 648888259 /nfs/dbraw/zinc/88/82/59/648888259.db2.gz ZHFKUBYKYGJEQU-GFCCVEGCSA-N 0 0 294.351 2.835 20 5 CFBDRN C[C@H]1CSCCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000929114503 648982261 /nfs/dbraw/zinc/98/22/61/648982261.db2.gz BUJGBENCILHBLB-JTQLQIEISA-N 0 0 295.364 2.954 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000929119709 648983415 /nfs/dbraw/zinc/98/34/15/648983415.db2.gz QKFIJUUVLSIKLG-STQMWFEESA-N 0 0 293.323 2.544 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@]12CCO[C@H]1CCCC2 ZINC000929134180 648986775 /nfs/dbraw/zinc/98/67/75/648986775.db2.gz SWMUYYJLSRJEKZ-ZFWWWQNUSA-N 0 0 290.319 2.883 20 5 CFBDRN COC/C(C)=C/CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000929165655 648993264 /nfs/dbraw/zinc/99/32/64/648993264.db2.gz UQYWHYUPNQOIOX-XYOKQWHBSA-N 0 0 264.325 2.619 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NCC(C)C ZINC000929193312 648999577 /nfs/dbraw/zinc/99/95/77/648999577.db2.gz XRDCESHKOFEZDV-UHFFFAOYSA-N 0 0 268.338 2.703 20 5 CFBDRN CCC1(CC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)COC1 ZINC000929231607 649008835 /nfs/dbraw/zinc/00/88/35/649008835.db2.gz LWMGXAPBWHHIQN-UHFFFAOYSA-N 0 0 292.335 2.967 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1C2CCC1CC2 ZINC000929675663 649093272 /nfs/dbraw/zinc/09/32/72/649093272.db2.gz GYOVRMWWHZYCCY-CXTZMWEQSA-N 0 0 286.331 2.852 20 5 CFBDRN O=C([C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000929802689 649126525 /nfs/dbraw/zinc/12/65/25/649126525.db2.gz HLSUSWDLHGNTDO-WMKFIOFKSA-N 0 0 298.342 2.776 20 5 CFBDRN CCCN(CC)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000930026485 649169475 /nfs/dbraw/zinc/16/94/75/649169475.db2.gz GXXFNFGZGIYQJN-UONOGXRCSA-N 0 0 276.336 2.957 20 5 CFBDRN O=[N+]([O-])CCN1CC=C(c2ccccc2Cl)CC1 ZINC000930163184 649196042 /nfs/dbraw/zinc/19/60/42/649196042.db2.gz POCYBYWACGKSTM-UHFFFAOYSA-N 0 0 266.728 2.706 20 5 CFBDRN COC/C(C)=C\C[NH2+][C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000930236104 649211172 /nfs/dbraw/zinc/21/11/72/649211172.db2.gz UYLSGVBPENCIOO-KGTBHZDVSA-N 0 0 264.325 2.838 20 5 CFBDRN O=C(C[C@@H]1CC=CCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000930276123 649220833 /nfs/dbraw/zinc/22/08/33/649220833.db2.gz MYBZZYOWMULEKN-GFCCVEGCSA-N 0 0 274.320 2.957 20 5 CFBDRN C[C@H](c1ncccn1)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000930355199 649236708 /nfs/dbraw/zinc/23/67/08/649236708.db2.gz UZHWIRWQOFYRGA-GFCCVEGCSA-N 0 0 286.335 2.620 20 5 CFBDRN C[C@H](CNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000930644887 649295949 /nfs/dbraw/zinc/29/59/49/649295949.db2.gz ZHBIYHZBNHXPAJ-WKPIXPDZSA-N 0 0 288.347 2.861 20 5 CFBDRN Cc1cc(F)ccc1[C@H]1CCCN1CC[N+](=O)[O-] ZINC000930665160 649301883 /nfs/dbraw/zinc/30/18/83/649301883.db2.gz ZFQQAXHBJCDTSZ-CYBMUJFWSA-N 0 0 252.289 2.548 20 5 CFBDRN O=C(C[C@@H]1CC=CCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000930920443 649350330 /nfs/dbraw/zinc/35/03/30/649350330.db2.gz CGMPHPAFEYSYPK-GFCCVEGCSA-N 0 0 274.320 2.957 20 5 CFBDRN CCOc1cc(CN2CC[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000931427779 649424561 /nfs/dbraw/zinc/42/45/61/649424561.db2.gz ZFFLQSJADOKUPZ-NSHDSACASA-N 0 0 286.278 2.833 20 5 CFBDRN CC1(C)CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CCO1 ZINC000036232454 649535321 /nfs/dbraw/zinc/53/53/21/649535321.db2.gz OLEJDGBCGVLSJQ-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@@H](NCc1nnc(C2CC2)o1)c1ccc([N+](=O)[O-])cc1 ZINC000932181184 649560187 /nfs/dbraw/zinc/56/01/87/649560187.db2.gz IKCSAXYPZITVMV-SECBINFHSA-N 0 0 288.307 2.706 20 5 CFBDRN Cn1nccc1[C@H]1CCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000932271268 649572233 /nfs/dbraw/zinc/57/22/33/649572233.db2.gz NQURQNGWGDIRFN-OAHLLOKOSA-N 0 0 286.335 2.665 20 5 CFBDRN CN(Cc1cccnc1[N+](=O)[O-])C1(C(F)(F)F)CC1 ZINC000932296755 649575730 /nfs/dbraw/zinc/57/57/30/649575730.db2.gz OSDKWHASXUXVJY-UHFFFAOYSA-N 0 0 275.230 2.517 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN[C@]12C[C@H]1COC21CCC1 ZINC000933393002 649690978 /nfs/dbraw/zinc/69/09/78/649690978.db2.gz VTVKADYRPVEZSO-ZUZCIYMTSA-N 0 0 292.310 2.535 20 5 CFBDRN O=C(C[C@@H]1CC=CCC1)NCCc1ccccc1[N+](=O)[O-] ZINC000933623933 649707854 /nfs/dbraw/zinc/70/78/54/649707854.db2.gz QOPXVWMMHIBXLF-CYBMUJFWSA-N 0 0 288.347 3.000 20 5 CFBDRN CC1(C)CCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000933777333 649720958 /nfs/dbraw/zinc/72/09/58/649720958.db2.gz AELGVIKIIPIGJP-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN C[C@]1(C2CC2)COCCN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000934475816 649792137 /nfs/dbraw/zinc/79/21/37/649792137.db2.gz PBKDICIWQAICLG-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN Cc1noc(CN[C@H](c2ccncc2)C2CC2)c1[N+](=O)[O-] ZINC000934701163 649817106 /nfs/dbraw/zinc/81/71/06/649817106.db2.gz LMBOWTOGSRXUNF-ZDUSSCGKSA-N 0 0 288.307 2.527 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccc(F)cc1 ZINC000016814206 651265832 /nfs/dbraw/zinc/26/58/32/651265832.db2.gz HUMPLYDYNIHURC-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN CCC[C@@H](CC)NS(=O)(=O)c1csc([N+](=O)[O-])c1 ZINC000119664971 651299814 /nfs/dbraw/zinc/29/98/14/651299814.db2.gz XPNBXSVLUIQHAS-MRVPVSSYSA-N 0 0 292.382 2.513 20 5 CFBDRN COc1nc(N[C@@H]2CCCC[C@H]2F)ccc1[N+](=O)[O-] ZINC001155058959 782192072 /nfs/dbraw/zinc/19/20/72/782192072.db2.gz FRTDNLPFLJJWOG-RKDXNWHRSA-N 0 0 269.276 2.691 20 5 CFBDRN CCCN(CCC)c1cc(OC)c([N+](=O)[O-])cn1 ZINC001155179961 782244672 /nfs/dbraw/zinc/24/46/72/782244672.db2.gz ZZOJAICGEPVXDI-UHFFFAOYSA-N 0 0 253.302 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N2C[C@@H](C)OC[C@H]2C)nc1Cl ZINC001155417786 782287236 /nfs/dbraw/zinc/28/72/36/782287236.db2.gz QBRUBJUINRGBJD-RKDXNWHRSA-N 0 0 285.731 2.565 20 5 CFBDRN Nc1ccc(Nc2cnccc2Cl)cc1[N+](=O)[O-] ZINC001209933128 770165786 /nfs/dbraw/zinc/16/57/86/770165786.db2.gz YUIOFVQFNDRWIM-UHFFFAOYSA-N 0 0 264.672 2.969 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Nc2cncc(Cl)n2)c1 ZINC001216481348 770944322 /nfs/dbraw/zinc/94/43/22/770944322.db2.gz VSIJJJJFDIYILP-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN CC[C@H](O)C(=O)Oc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC001227129701 777769310 /nfs/dbraw/zinc/76/93/10/777769310.db2.gz MAAPACUIWLMAFA-QMMMGPOBSA-N 0 0 294.090 2.578 20 5 CFBDRN O=C(NCc1ccc(Cl)o1)c1cc(F)ccc1[N+](=O)[O-] ZINC000698045918 778685372 /nfs/dbraw/zinc/68/53/72/778685372.db2.gz PONFFKAZYKQAKJ-UHFFFAOYSA-N 0 0 298.657 2.910 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCC3(CCC3)O2)c1[N+](=O)[O-] ZINC000711522577 781453793 /nfs/dbraw/zinc/45/37/93/781453793.db2.gz RBWAZPSCICILFS-LLVKDONJSA-N 0 0 277.324 2.812 20 5 CFBDRN CC1(C)CC[C@@H](CO)N(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000701614489 780818925 /nfs/dbraw/zinc/81/89/25/780818925.db2.gz AEDHURLUEGGVRG-JTQLQIEISA-N 0 0 299.758 2.631 20 5 CFBDRN CC(C)CCc1nc(Cn2ccnc2[N+](=O)[O-])cs1 ZINC000821181758 781877930 /nfs/dbraw/zinc/87/79/30/781877930.db2.gz LKTONTVHENMGIY-UHFFFAOYSA-N 0 0 280.353 2.885 20 5 CFBDRN Cc1cnc(F)c(C(=O)Oc2cccc([N+](=O)[O-])c2C)c1 ZINC001125297976 769919246 /nfs/dbraw/zinc/91/92/46/769919246.db2.gz PHGURDJILUDPOD-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN C[C@@H]1CO[C@@H]2CN(c3nc4ccccc4cc3[N+](=O)[O-])C[C@H]12 ZINC001167174526 770124570 /nfs/dbraw/zinc/12/45/70/770124570.db2.gz YHTOZOQPUKNFLO-IXPVHAAZSA-N 0 0 299.330 2.614 20 5 CFBDRN Nc1cccc2ncn(-c3cccc([N+](=O)[O-])c3)c21 ZINC001204457476 770127733 /nfs/dbraw/zinc/12/77/33/770127733.db2.gz YVRXMMMIESNFSV-UHFFFAOYSA-N 0 0 254.249 2.516 20 5 CFBDRN Nc1cc2nc3ccccc3nc2cc1NC1=CCOC1 ZINC001203390638 770135001 /nfs/dbraw/zinc/13/50/01/770135001.db2.gz XWKKRLCJEOCXQI-UHFFFAOYSA-N 0 0 278.315 2.691 20 5 CFBDRN Cc1cnc(F)c(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c1 ZINC001125338832 770161609 /nfs/dbraw/zinc/16/16/09/770161609.db2.gz WTTNNLROGZTWME-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](OCCCn1cccc1)C2 ZINC001209917055 770162307 /nfs/dbraw/zinc/16/23/07/770162307.db2.gz NYYNKKOESKVWMR-MRXNPFEDSA-N 0 0 286.331 2.970 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1ccc2nnc(C)n2c1 ZINC001210445072 770285406 /nfs/dbraw/zinc/28/54/06/770285406.db2.gz XADCFCHJACLADT-UHFFFAOYSA-N 0 0 299.290 2.698 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1cnccc1F ZINC001210444948 770285592 /nfs/dbraw/zinc/28/55/92/770285592.db2.gz QLASYCXBGJVLRK-UHFFFAOYSA-N 0 0 263.228 2.881 20 5 CFBDRN Cc1nc(CO[C@@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC001210603941 770337437 /nfs/dbraw/zinc/33/74/37/770337437.db2.gz ZUSBVFSZNRUTOG-QMMMGPOBSA-N 0 0 263.253 2.564 20 5 CFBDRN COCCn1nc(C)cc1Nc1ccc(F)cc1[N+](=O)[O-] ZINC001210952429 770404321 /nfs/dbraw/zinc/40/43/21/770404321.db2.gz HHKQXJMGFSXRQK-UHFFFAOYSA-N 0 0 294.286 2.629 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1c2c(nn1C)CCC2 ZINC001211286446 770476275 /nfs/dbraw/zinc/47/62/75/770476275.db2.gz UNFWLGCHDBYRTJ-UHFFFAOYSA-N 0 0 272.308 2.869 20 5 CFBDRN CN1C[C@H](CCNc2ccc(Cl)cc2[N+](=O)[O-])CC1=O ZINC000723396548 770563350 /nfs/dbraw/zinc/56/33/50/770563350.db2.gz IMTYUEXDFIWFDU-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN Cc1nc(COc2cc(F)ccc2[N+](=O)[O-])co1 ZINC000723654850 770640640 /nfs/dbraw/zinc/64/06/40/770640640.db2.gz SZZVFRNZOOVIAS-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@@H]1CCCOC1 ZINC000723859363 770692433 /nfs/dbraw/zinc/69/24/33/770692433.db2.gz VDIBVYCVNAEXFP-ZYHUDNBSSA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@@H](Nc1nccc(C)c1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723870925 770697143 /nfs/dbraw/zinc/69/71/43/770697143.db2.gz NEEVCAPVBYLROF-VXGBXAGGSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CC2)[C@@H]2CCCOC2)s1 ZINC000723877145 770701585 /nfs/dbraw/zinc/70/15/85/770701585.db2.gz OJFRBDJQOSUVCG-MWLCHTKSSA-N 0 0 283.353 2.668 20 5 CFBDRN O=C1N[C@@H](/C=C/c2ccccc2[N+](=O)[O-])Nc2ccccc21 ZINC000024079567 770828387 /nfs/dbraw/zinc/82/83/87/770828387.db2.gz KWYCEZFKUIXRCY-FEAKQIBJSA-N 0 0 295.298 2.790 20 5 CFBDRN CCc1ccc(OC(=O)/C=C\c2ccncn2)c([N+](=O)[O-])c1 ZINC000588539054 770964776 /nfs/dbraw/zinc/96/47/76/770964776.db2.gz WIJPYMGLMVNVQN-XQRVVYSFSA-N 0 0 299.286 2.566 20 5 CFBDRN Nc1cc(CNc2cc3cc[nH]c3c([N+](=O)[O-])c2)ccn1 ZINC001167616860 770993224 /nfs/dbraw/zinc/99/32/24/770993224.db2.gz BFGMGXLBGGRXST-UHFFFAOYSA-N 0 0 283.291 2.665 20 5 CFBDRN CCOC(=O)[C@@H](C)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001204600171 773212657 /nfs/dbraw/zinc/21/26/57/773212657.db2.gz WLEVMKIKFYGTRD-NXEZZACHSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc(OC(=O)CC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000176558534 773240560 /nfs/dbraw/zinc/24/05/60/773240560.db2.gz DODSENZSNWUSIH-NSHDSACASA-N 0 0 279.292 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(COC2CCCC2)c([N+](=O)[O-])c1 ZINC001224095453 775100799 /nfs/dbraw/zinc/10/07/99/775100799.db2.gz AJNQHBQCCNDBPB-UHFFFAOYSA-N 0 0 266.253 2.962 20 5 CFBDRN CC(=O)[C@H](OCc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC001224114910 775103406 /nfs/dbraw/zinc/10/34/06/775103406.db2.gz QVQNWZRUJHQODS-HNNXBMFYSA-N 0 0 286.287 2.837 20 5 CFBDRN Cc1cnc(CO[C@@H]2CCCCC2=O)c(C)c1[N+](=O)[O-] ZINC001224181505 775121485 /nfs/dbraw/zinc/12/14/85/775121485.db2.gz JJPDYTSFKYNLSM-CYBMUJFWSA-N 0 0 278.308 2.635 20 5 CFBDRN C=C(N)c1nc(-c2ccc3ccc4cccnc4c3n2)no1 ZINC001211589695 775136720 /nfs/dbraw/zinc/13/67/20/775136720.db2.gz NZDDOSFJSJTNOK-UHFFFAOYSA-N 0 0 289.298 2.762 20 5 CFBDRN Cc1c([C@H](C)OCCc2ccc([N+](=O)[O-])cc2)cnn1C ZINC001224328521 775157478 /nfs/dbraw/zinc/15/74/78/775157478.db2.gz ONRYUVBJLCEKAS-LBPRGKRZSA-N 0 0 289.335 2.957 20 5 CFBDRN CCC[C@H](OCCc1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC001224332196 775159057 /nfs/dbraw/zinc/15/90/57/775159057.db2.gz WMXFVXONVGNVIY-AWEZNQCLSA-N 0 0 295.335 2.886 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224334241 775160721 /nfs/dbraw/zinc/16/07/21/775160721.db2.gz UHHIEFPLEQMTCC-CHWSQXEVSA-N 0 0 297.351 2.594 20 5 CFBDRN O=C1CCCC[C@@H]1OCCc1ccc([N+](=O)[O-])cc1 ZINC001224333087 775161198 /nfs/dbraw/zinc/16/11/98/775161198.db2.gz AYQUQXRSKSJIDX-AWEZNQCLSA-N 0 0 263.293 2.666 20 5 CFBDRN C[C@H](OCCOc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC001224777165 775270854 /nfs/dbraw/zinc/27/08/54/775270854.db2.gz MTXNCMMUTGCGQL-LBPRGKRZSA-N 0 0 295.335 2.805 20 5 CFBDRN CCCOC[C@@H](C)OCCOc1ccc([N+](=O)[O-])cc1 ZINC001224776686 775271155 /nfs/dbraw/zinc/27/11/55/775271155.db2.gz AXOOYXAJDXDYCF-GFCCVEGCSA-N 0 0 283.324 2.805 20 5 CFBDRN C[C@H]1CC[C@@H](OCC(=O)OCc2ccc([N+](=O)[O-])cc2)C1 ZINC001224986563 775327045 /nfs/dbraw/zinc/32/70/45/775327045.db2.gz IDVFSNUQMSADPN-SMDDNHRTSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@@H](COCc1ccccc1)CO[C@H](C[N+](=O)[O-])C1CC1 ZINC001225003714 775331390 /nfs/dbraw/zinc/33/13/90/775331390.db2.gz KPGCRLKYWLXGGV-XJKSGUPXSA-N 0 0 293.363 2.911 20 5 CFBDRN Cc1ccc(O[C@H](C)c2ccccc2[N+](=O)[O-])c(CO)n1 ZINC001225288225 775393840 /nfs/dbraw/zinc/39/38/40/775393840.db2.gz WUXFVHLZPYWONJ-LLVKDONJSA-N 0 0 288.303 2.931 20 5 CFBDRN C[C@H](Oc1ccncc1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001225291381 775396239 /nfs/dbraw/zinc/39/62/39/775396239.db2.gz UDCRWJFDENZJGR-VIFPVBQESA-N 0 0 288.259 2.859 20 5 CFBDRN C[C@@H](Oc1ccc(C(N)=O)cc1)c1ccccc1[N+](=O)[O-] ZINC001225299517 775398858 /nfs/dbraw/zinc/39/88/58/775398858.db2.gz YTYGTVKNHNPHNY-SNVBAGLBSA-N 0 0 286.287 2.834 20 5 CFBDRN O=C1CCc2cc(O[C@@H](C[N+](=O)[O-])c3cccnc3)ccc21 ZINC001225363712 775430039 /nfs/dbraw/zinc/43/00/39/775430039.db2.gz LQIHBEZBRRWIKH-INIZCTEOSA-N 0 0 298.298 2.607 20 5 CFBDRN O=C1CCc2cc(O[C@H](C[N+](=O)[O-])c3cccnc3)ccc21 ZINC001225363713 775430311 /nfs/dbraw/zinc/43/03/11/775430311.db2.gz LQIHBEZBRRWIKH-MRXNPFEDSA-N 0 0 298.298 2.607 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc(F)c([N+](=O)[O-])c2)=C1C ZINC001225381930 775437476 /nfs/dbraw/zinc/43/74/76/775437476.db2.gz ISXIPFGIYWIMCK-LLVKDONJSA-N 0 0 281.239 2.722 20 5 CFBDRN Cc1ccncc1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001225409147 775445771 /nfs/dbraw/zinc/44/57/71/775445771.db2.gz JODQUUIYCVIPKH-AWEZNQCLSA-N 0 0 270.288 2.844 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1O[C@H]1COc2cnccc21 ZINC001225432641 775454513 /nfs/dbraw/zinc/45/45/13/775454513.db2.gz DBLZPLXIKAEOGC-JTQLQIEISA-N 0 0 293.666 2.551 20 5 CFBDRN COc1cc(OC2CCC(OC)CC2)ccc1[N+](=O)[O-] ZINC001225435853 775455743 /nfs/dbraw/zinc/45/57/43/775455743.db2.gz MFVWKXCXJUDJCG-UHFFFAOYSA-N 0 0 281.308 2.940 20 5 CFBDRN COc1cc(O[C@@H](C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC001225435903 775455895 /nfs/dbraw/zinc/45/58/95/775455895.db2.gz NTHSURDMLLQLKU-JTQLQIEISA-N 0 0 281.308 2.797 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(OC)c1 ZINC001225435602 775456122 /nfs/dbraw/zinc/45/61/22/775456122.db2.gz JVFJYEXAVZTBAH-SNVBAGLBSA-N 0 0 297.307 2.714 20 5 CFBDRN COc1cc(O[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC001225441162 775458328 /nfs/dbraw/zinc/45/83/28/775458328.db2.gz MHNOAALLKLCUIA-GXSJLCMTSA-N 0 0 267.281 2.550 20 5 CFBDRN Cc1ccc2cccc(O[C@H](C[N+](=O)[O-])C3CC3)c2n1 ZINC001225478013 775472996 /nfs/dbraw/zinc/47/29/96/775472996.db2.gz RUHJAIZFWKJGGJ-CQSZACIVSA-N 0 0 272.304 2.977 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225574484 775506236 /nfs/dbraw/zinc/50/62/36/775506236.db2.gz CRKBRDMRPUPVHV-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN C[C@@H](Oc1cc(F)ccc1[N+](=O)[O-])c1ncccn1 ZINC001225575129 775507487 /nfs/dbraw/zinc/50/74/87/775507487.db2.gz KXDKPNKQEDJLHF-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1c([C@H](C)Oc2cc(F)ccc2[N+](=O)[O-])cnn1C ZINC001225575840 775507655 /nfs/dbraw/zinc/50/76/55/775507655.db2.gz YJQFYGKMQUCTOS-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1cc(F)ccc1[N+](=O)[O-] ZINC001225576527 775508129 /nfs/dbraw/zinc/50/81/29/775508129.db2.gz AICZCVMPDRWEIX-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN COC(C[C@H](C)Oc1cc(F)ccc1[N+](=O)[O-])OC ZINC001225577155 775508247 /nfs/dbraw/zinc/50/82/47/775508247.db2.gz FSEFCGBEVHHSJQ-QMMMGPOBSA-N 0 0 273.260 2.510 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc(F)ccc2[N+](=O)[O-])=C1C ZINC001225578087 775509988 /nfs/dbraw/zinc/50/99/88/775509988.db2.gz LPCLOHCFPFPEAL-SNVBAGLBSA-N 0 0 281.239 2.722 20 5 CFBDRN CC(C)C[C@@H](Oc1ncccc1[N+](=O)[O-])C(=O)OC(C)C ZINC001225593473 775515536 /nfs/dbraw/zinc/51/55/36/775515536.db2.gz RLZSFEARMKOHMM-GFCCVEGCSA-N 0 0 296.323 2.735 20 5 CFBDRN O=[N+]([O-])c1cccnc1O[C@H]1CCc2ccccc2C1 ZINC001225592493 775515577 /nfs/dbraw/zinc/51/55/77/775515577.db2.gz HPCOSWDBEJMNMR-ZDUSSCGKSA-N 0 0 270.288 2.926 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H]1CCO[C@@H](C)C1 ZINC001225614810 775523306 /nfs/dbraw/zinc/52/33/06/775523306.db2.gz FPSWITLXJAGQCY-GXSJLCMTSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC1CCSCC1 ZINC001225612389 775523326 /nfs/dbraw/zinc/52/33/26/775523326.db2.gz FAGYNOGMBCJOJC-UHFFFAOYSA-N 0 0 269.322 2.878 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1OC ZINC001225616620 775524961 /nfs/dbraw/zinc/52/49/61/775524961.db2.gz SUSCRYVKODWNNN-SNVBAGLBSA-N 0 0 269.297 2.797 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc([N+](=O)[O-])ccc1OC ZINC001225615841 775525487 /nfs/dbraw/zinc/52/54/87/775525487.db2.gz LJAIYFVTJFHSEO-NSHDSACASA-N 0 0 267.281 2.740 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@H](Oc2cccc([O-])c2[N+](=O)[O-])C1 ZINC001225620379 775529142 /nfs/dbraw/zinc/52/91/42/775529142.db2.gz PFDIHBLPFBIWJK-NSHDSACASA-N 0 0 280.324 2.552 20 5 CFBDRN CC(C)Oc1ncccc1COc1cccnc1[N+](=O)[O-] ZINC001225629618 775531745 /nfs/dbraw/zinc/53/17/45/775531745.db2.gz QQBHGPQABZFYKG-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN Cc1cnc(F)c([C@H](C)Oc2cccnc2[N+](=O)[O-])c1 ZINC001225629582 775532027 /nfs/dbraw/zinc/53/20/27/775532027.db2.gz PWWDFUMYSXRZSM-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1ccc([N+](=O)[O-])cn1 ZINC001225630793 775532071 /nfs/dbraw/zinc/53/20/71/775532071.db2.gz FPXLLDDJNFVKPF-GFCCVEGCSA-N 0 0 266.297 2.907 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1cccc(C)c1[N+](=O)[O-] ZINC001225635368 775534695 /nfs/dbraw/zinc/53/46/95/775534695.db2.gz GEYVGBNKMQZFQS-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cccc(O[C@H](C)c2cnccn2)c1[N+](=O)[O-] ZINC001225635639 775535202 /nfs/dbraw/zinc/53/52/02/775535202.db2.gz KHMYZZGNXUIENC-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COc1ccc(F)cc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225649281 775540816 /nfs/dbraw/zinc/54/08/16/775540816.db2.gz MZOLQAOAJAKYTF-CQSZACIVSA-N 0 0 292.266 2.626 20 5 CFBDRN Cc1cc(O[C@@H](C)C(F)(F)F)ncc1[N+](=O)[O-] ZINC001225657037 775545008 /nfs/dbraw/zinc/54/50/08/775545008.db2.gz CERWKYKQBXUDBN-LURJTMIESA-N 0 0 250.176 2.628 20 5 CFBDRN C[C@@H](Oc1cccc(F)c1[N+](=O)[O-])C1CCOCC1 ZINC001225664512 775547447 /nfs/dbraw/zinc/54/74/47/775547447.db2.gz PMSHIMGHRYSQOU-SECBINFHSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])c1ccccc1F ZINC001225662061 775547823 /nfs/dbraw/zinc/54/78/23/775547823.db2.gz QNNUPQJEZMYHSH-QMMMGPOBSA-N 0 0 278.239 2.975 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2cccc(F)c2[N+](=O)[O-])C1 ZINC001225667873 775548693 /nfs/dbraw/zinc/54/86/93/775548693.db2.gz VICSPFCURJBNAR-VHSXEESVSA-N 0 0 297.282 2.845 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225664732 775549015 /nfs/dbraw/zinc/54/90/15/775549015.db2.gz SCINLRWTJSIHKX-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN CC1(C)OC[C@H](CCOc2cccc(F)c2[N+](=O)[O-])O1 ZINC001225665354 775549476 /nfs/dbraw/zinc/54/94/76/775549476.db2.gz XYWLZNRRRDGCII-VIFPVBQESA-N 0 0 285.271 2.654 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2cccc(F)c2[N+](=O)[O-])C1 ZINC001225666395 775549515 /nfs/dbraw/zinc/54/95/15/775549515.db2.gz IKUHHJAODOEJKQ-ZJUUUORDSA-N 0 0 297.282 2.845 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC001225769297 775587974 /nfs/dbraw/zinc/58/79/74/775587974.db2.gz JBOYJZUEXIZSMA-SNVBAGLBSA-N 0 0 269.297 2.797 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225775642 775589553 /nfs/dbraw/zinc/58/95/53/775589553.db2.gz HGDIIIMIULMJMB-CPCISQLKSA-N 0 0 273.235 2.677 20 5 CFBDRN CC[C@@H](COC)Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225777971 775590297 /nfs/dbraw/zinc/59/02/97/775590297.db2.gz DYDQXWKKQVDGJU-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cccc(OC2CCOCC2)c1Cl ZINC001225785507 775591637 /nfs/dbraw/zinc/59/16/37/775591637.db2.gz DCQWXXYFZRTJKZ-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(F)c(F)c(F)c(F)c1F)C1CC1 ZINC001225787495 775593889 /nfs/dbraw/zinc/59/38/89/775593889.db2.gz FAMWMNQHLGHMJS-RXMQYKEDSA-N 0 0 297.179 2.816 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2cccc([N+](=O)[O-])c2F)C1 ZINC001225795818 775595858 /nfs/dbraw/zinc/59/58/58/775595858.db2.gz HTKNHHYRKQWPQS-ZJUUUORDSA-N 0 0 297.282 2.845 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1cccc([N+](=O)[O-])c1F ZINC001225795083 775595913 /nfs/dbraw/zinc/59/59/13/775595913.db2.gz BYTDBTVGOZQMEQ-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN Cc1c([C@@H](C)Oc2cccc([N+](=O)[O-])c2F)cnn1C ZINC001225794896 775596143 /nfs/dbraw/zinc/59/61/43/775596143.db2.gz XOLCRJTXKYGBEA-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@H](C)c2ncccn2)c1 ZINC001225802601 775598499 /nfs/dbraw/zinc/59/84/99/775598499.db2.gz STXHZRUFJPDXFI-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CCO[C@@H](C)COc1ncc(C(F)(F)F)cc1[N+](=O)[O-] ZINC001225848063 775612453 /nfs/dbraw/zinc/61/24/53/775612453.db2.gz VIJFASYOZHLHRJ-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(F)ccc(F)c1F)C1CC1 ZINC001225873052 775617927 /nfs/dbraw/zinc/61/79/27/775617927.db2.gz QEMJTDVAQDFCMS-SECBINFHSA-N 0 0 261.199 2.538 20 5 CFBDRN C[C@@H](Oc1ccc(F)cc1[N+](=O)[O-])c1ncccn1 ZINC001225905662 775631005 /nfs/dbraw/zinc/63/10/05/775631005.db2.gz RFYIBYQZNQLKNH-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2ccc(F)cc2[N+](=O)[O-])C1 ZINC001225907020 775631270 /nfs/dbraw/zinc/63/12/70/775631270.db2.gz HTXASNKPYGGXIG-KOLCDFICSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1O[C@@H]1COc2cnccc21 ZINC001225907852 775631301 /nfs/dbraw/zinc/63/13/01/775631301.db2.gz SVKSZEOWQPTFOJ-CYBMUJFWSA-N 0 0 276.223 2.641 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225906590 775631428 /nfs/dbraw/zinc/63/14/28/775631428.db2.gz CUEGZAZIZZKYFE-LBPRGKRZSA-N 0 0 253.229 2.624 20 5 CFBDRN COC(C[C@H](C)Oc1ccc(F)cc1[N+](=O)[O-])OC ZINC001225905791 775631462 /nfs/dbraw/zinc/63/14/62/775631462.db2.gz YQUKDFDAFKPLMD-QMMMGPOBSA-N 0 0 273.260 2.510 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(F)c1)C1CCOCC1 ZINC001225912404 775632388 /nfs/dbraw/zinc/63/23/88/775632388.db2.gz JHGWLXPUWVLYGK-SECBINFHSA-N 0 0 269.272 2.928 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225916252 775633539 /nfs/dbraw/zinc/63/35/39/775633539.db2.gz PXSBOXONCWCCAU-GFCCVEGCSA-N 0 0 255.245 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCCC3(C2)OCCO3)cc1F ZINC001225916766 775634045 /nfs/dbraw/zinc/63/40/45/775634045.db2.gz VFXRYCBTDLXDHY-NSHDSACASA-N 0 0 297.282 2.798 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(F)cc(F)cc1F)c1cccnc1 ZINC001225928916 775638083 /nfs/dbraw/zinc/63/80/83/775638083.db2.gz BZBMHBRLPSPDIG-GFCCVEGCSA-N 0 0 298.220 2.896 20 5 CFBDRN Cc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(F)c1F ZINC001225943873 775643431 /nfs/dbraw/zinc/64/34/31/775643431.db2.gz DGTFHPRCWBUTFN-JTQLQIEISA-N 0 0 257.236 2.707 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])cc2F)=C1C ZINC001225943101 775643797 /nfs/dbraw/zinc/64/37/97/775643797.db2.gz XWGBVYKUITVFHI-SNVBAGLBSA-N 0 0 281.239 2.722 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1c(F)cccc1[N+](=O)[O-] ZINC001226007089 775666544 /nfs/dbraw/zinc/66/65/44/775666544.db2.gz KABNPMVHSHVGNS-SKDRFNHKSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC001226008461 775666610 /nfs/dbraw/zinc/66/66/10/775666610.db2.gz GFTIXHHFFUYAIT-UWVGGRQHSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1ccnc(O[C@@H](C)CC(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC001226115705 775703851 /nfs/dbraw/zinc/70/38/51/775703851.db2.gz HXVOBODZFWRCLF-JTQLQIEISA-N 0 0 296.323 2.797 20 5 CFBDRN Cc1ccnc(O[C@H](C)c2cccnc2F)c1[N+](=O)[O-] ZINC001226117275 775706232 /nfs/dbraw/zinc/70/62/32/775706232.db2.gz BEEBVAULTUZRQR-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cc(O)ccc1F)C2 ZINC001226122266 775708679 /nfs/dbraw/zinc/70/86/79/775708679.db2.gz KXTYGGMYMVWQPX-ZDUSSCGKSA-N 0 0 289.262 2.986 20 5 CFBDRN O=C1CCC(Oc2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC001226130973 775711635 /nfs/dbraw/zinc/71/16/35/775711635.db2.gz ZJADCYKEFNBUNU-UHFFFAOYSA-N 0 0 270.672 2.534 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2COc3cnccc32)c(F)cc1F ZINC001226179413 775726602 /nfs/dbraw/zinc/72/66/02/775726602.db2.gz ALGHMKMHRKCFHL-CYBMUJFWSA-N 0 0 294.213 2.781 20 5 CFBDRN Cn1c(O[C@H](C[N+](=O)[O-])C2CC2)cc2ccccc21 ZINC001226235036 775745971 /nfs/dbraw/zinc/74/59/71/775745971.db2.gz NXGRGYDFDPQEPM-CYBMUJFWSA-N 0 0 260.293 2.612 20 5 CFBDRN O=[N+]([O-])c1cnc(OC[C@@H]2CCCCO2)c(Cl)c1 ZINC001226254953 775758087 /nfs/dbraw/zinc/75/80/87/775758087.db2.gz FTPYAEOEXJZBFG-VIFPVBQESA-N 0 0 272.688 2.591 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cc([N+](=O)[O-])ccc1F ZINC001226266223 775762363 /nfs/dbraw/zinc/76/23/63/775762363.db2.gz QMPXLQHHDJRLMD-BDAKNGLRSA-N 0 0 255.245 2.538 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1cc([N+](=O)[O-])ccc1F ZINC001226266884 775763433 /nfs/dbraw/zinc/76/34/33/775763433.db2.gz BCUAXHMJUXJEPI-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2cc([N+](=O)[O-])ccc2F)CC1 ZINC001226266940 775763464 /nfs/dbraw/zinc/76/34/64/775763464.db2.gz BWVNJRMXFQHXLZ-JGZJWPJOSA-N 0 0 297.282 2.845 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226291089 775768788 /nfs/dbraw/zinc/76/87/88/775768788.db2.gz BSHPTIHFPYVHMQ-SNVBAGLBSA-N 0 0 281.308 2.991 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2C[C@H]3C[C@H]3C2)c(Br)c1 ZINC001226338973 775783996 /nfs/dbraw/zinc/78/39/96/775783996.db2.gz CQUQBCVJSBOFIM-SRSLHRDFSA-N 0 0 299.124 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1OC1CC(F)(F)C1 ZINC001226364118 775790713 /nfs/dbraw/zinc/79/07/13/775790713.db2.gz DQNYBWYKWYMVHW-UHFFFAOYSA-N 0 0 264.615 2.820 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1nc(Cl)ccc1[N+](=O)[O-] ZINC001226367528 775791620 /nfs/dbraw/zinc/79/16/20/775791620.db2.gz IVESUZVJIAUPQL-UWVGGRQHSA-N 0 0 286.715 2.980 20 5 CFBDRN Cc1cc(C(F)(F)F)ncc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001226404889 775807639 /nfs/dbraw/zinc/80/76/39/775807639.db2.gz AFEMDURFFGAQQX-JTQLQIEISA-N 0 0 290.241 2.843 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(Cl)cc2c1N1CCCO1 ZINC000893121158 775810082 /nfs/dbraw/zinc/81/00/82/775810082.db2.gz NYFFWJQSTZSQIR-UHFFFAOYSA-N 0 0 279.683 2.938 20 5 CFBDRN C[C@H](Oc1ncnc2ccc([N+](=O)[O-])cc21)C(F)(F)F ZINC001226436757 775822489 /nfs/dbraw/zinc/82/24/89/775822489.db2.gz OMMNWGXNEFJCGO-LURJTMIESA-N 0 0 287.197 2.868 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC[C@H]2COC(C)(C)O2)c1 ZINC001226442974 775822812 /nfs/dbraw/zinc/82/28/12/775822812.db2.gz JOXICXYOFFULKV-NSHDSACASA-N 0 0 297.307 2.524 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(O[C@H]3C=CCC3)c2c1 ZINC001226437355 775822991 /nfs/dbraw/zinc/82/29/91/775822991.db2.gz WOYPMRSKLDFVCU-JTQLQIEISA-N 0 0 257.249 2.635 20 5 CFBDRN COC(=O)c1cc(OC2CCCC2)c(OC)cc1[N+](=O)[O-] ZINC001226439520 775823267 /nfs/dbraw/zinc/82/32/67/775823267.db2.gz PALQPXVWTUDTOA-UHFFFAOYSA-N 0 0 295.291 2.711 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCO[C@@H](C)C2)c1 ZINC001226445582 775826066 /nfs/dbraw/zinc/82/60/66/775826066.db2.gz HRGAOCLZLCYXPW-ONGXEEELSA-N 0 0 267.281 2.550 20 5 CFBDRN O=c1oc2cc(OC3COC3)ccc2c2ccccc21 ZINC001226511809 775855029 /nfs/dbraw/zinc/85/50/29/775855029.db2.gz KAZYLGOYVUIQQM-UHFFFAOYSA-N 0 0 268.268 2.724 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCO[C@@H](C)C1 ZINC001226532249 775864041 /nfs/dbraw/zinc/86/40/41/775864041.db2.gz SDNKLUDRNUDVCZ-CABZTGNLSA-N 0 0 279.292 2.744 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226581492 775881040 /nfs/dbraw/zinc/88/10/40/775881040.db2.gz RSASQZMLAKASLD-GFCCVEGCSA-N 0 0 296.323 2.915 20 5 CFBDRN COc1cc(O[C@@H]2C[C@H]3CC[C@@H]2C3)ncc1[N+](=O)[O-] ZINC001226580802 775881707 /nfs/dbraw/zinc/88/17/07/775881707.db2.gz FDUPAAYUZXBGBJ-IQJOONFLSA-N 0 0 264.281 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cc(Cl)ncn1)C2 ZINC001226641764 775900705 /nfs/dbraw/zinc/90/07/05/775900705.db2.gz ZLVZCOIPMLAOFP-NSHDSACASA-N 0 0 291.694 2.584 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCCc3cccnc32)cn1 ZINC001226709121 775931411 /nfs/dbraw/zinc/93/14/11/775931411.db2.gz FESFVWLDQJAZPP-LBPRGKRZSA-N 0 0 271.276 2.841 20 5 CFBDRN C[C@@H](COCc1ccccc1)Oc1ccc([N+](=O)[O-])nc1 ZINC001226711122 775933543 /nfs/dbraw/zinc/93/35/43/775933543.db2.gz ZYSSHUUHJJUUAQ-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])nc1)c1cnc2ccccn21 ZINC001226711448 775933911 /nfs/dbraw/zinc/93/39/11/775933911.db2.gz RVFFZSMGDOULMT-SNVBAGLBSA-N 0 0 284.275 2.778 20 5 CFBDRN C[C@H](COCc1ccccc1)Oc1ccc([N+](=O)[O-])nc1 ZINC001226711121 775934081 /nfs/dbraw/zinc/93/40/81/775934081.db2.gz ZYSSHUUHJJUUAQ-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN CC(C)C1CCC(Oc2ncc([N+](=O)[O-])cn2)CC1 ZINC001226733168 775941733 /nfs/dbraw/zinc/94/17/33/775941733.db2.gz JAWZOFBUZQGKPC-UHFFFAOYSA-N 0 0 265.313 2.978 20 5 CFBDRN COC1=CC[C@H](Oc2ncc(F)cc2[N+](=O)[O-])CC1 ZINC001226751393 775946454 /nfs/dbraw/zinc/94/64/54/775946454.db2.gz JSXSGBMXWWTLLA-JTQLQIEISA-N 0 0 268.244 2.591 20 5 CFBDRN Cc1cn2c(n1)[C@H](Oc1ncc(F)cc1[N+](=O)[O-])CCC2 ZINC001226751541 775947222 /nfs/dbraw/zinc/94/72/22/775947222.db2.gz LRXGCJINVZJCBS-LLVKDONJSA-N 0 0 292.270 2.548 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H]1COCc2ccccc21 ZINC001226761502 775952235 /nfs/dbraw/zinc/95/22/35/775952235.db2.gz ITGUFIOVCGBMCI-CQSZACIVSA-N 0 0 286.287 2.949 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1Cl ZINC001226762009 775952578 /nfs/dbraw/zinc/95/25/78/775952578.db2.gz KQOCAEIHDBLACQ-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1OC[C@H]1Cc2ccccc2O1 ZINC001226762288 775953271 /nfs/dbraw/zinc/95/32/71/775953271.db2.gz TTXVBVOAWUQJAD-CYBMUJFWSA-N 0 0 286.287 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCc3nccn3C2)c(Cl)c1 ZINC001226762963 775953300 /nfs/dbraw/zinc/95/33/00/775953300.db2.gz ZJWHHMBPNHWQEK-JTQLQIEISA-N 0 0 293.710 2.839 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H]1CCC(F)(F)C1 ZINC001226765145 775954120 /nfs/dbraw/zinc/95/41/20/775954120.db2.gz PFAKORTZHKGVCO-VIFPVBQESA-N 0 0 258.224 2.865 20 5 CFBDRN O=[N+]([O-])c1cccc(OC2CN(Cc3ccccc3)C2)c1 ZINC001226764041 775954212 /nfs/dbraw/zinc/95/42/12/775954212.db2.gz FCRXSNWJJSEHHQ-UHFFFAOYSA-N 0 0 284.315 2.858 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2cccc([N+](=O)[O-])c2)C1(C)C ZINC001226768766 775956302 /nfs/dbraw/zinc/95/63/02/775956302.db2.gz RYKATTGCRCHINO-RYUDHWBXSA-N 0 0 279.292 2.561 20 5 CFBDRN Cc1c(F)cccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001226774947 775957782 /nfs/dbraw/zinc/95/77/82/775957782.db2.gz OBWZWJVZMKTBEM-CQSZACIVSA-N 0 0 276.267 2.926 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226811036 775973372 /nfs/dbraw/zinc/97/33/72/775973372.db2.gz UZZDPCLEVUEZJQ-NSHDSACASA-N 0 0 298.726 2.638 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])c(C)c2)=C1C ZINC001226840528 775986887 /nfs/dbraw/zinc/98/68/87/775986887.db2.gz HBMANCNDMDBFPN-GFCCVEGCSA-N 0 0 277.276 2.891 20 5 CFBDRN C[C@H](Oc1cc(Cl)c([N+](=O)[O-])cn1)C(F)(F)F ZINC001226916065 776016672 /nfs/dbraw/zinc/01/66/72/776016672.db2.gz XMFKDPYZHCDKHO-BYPYZUCNSA-N 0 0 270.594 2.973 20 5 CFBDRN CC1(C)C[C@@H](Oc2cc(Cl)c([N+](=O)[O-])cn2)CCO1 ZINC001226916028 776016712 /nfs/dbraw/zinc/01/67/12/776016712.db2.gz WNSAASLKTFNCOX-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC001226950919 776031607 /nfs/dbraw/zinc/03/16/07/776031607.db2.gz QQAYAOBNUFTIPJ-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc(O[C@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC001226953199 776032269 /nfs/dbraw/zinc/03/22/69/776032269.db2.gz WBSOBXIDFVKRMN-GWCFXTLKSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ccc(O[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC001226952973 776033142 /nfs/dbraw/zinc/03/31/42/776033142.db2.gz OPOSVFSIFUXOTC-PWSUYJOCSA-N 0 0 251.282 2.850 20 5 CFBDRN CCOC(=O)[C@H](Oc1c(C)cccc1[N+](=O)[O-])C1CC1 ZINC001226971705 776039950 /nfs/dbraw/zinc/03/99/50/776039950.db2.gz RKJFBIMTFCKIDE-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1c(C)cccc1[N+](=O)[O-] ZINC001226970966 776040206 /nfs/dbraw/zinc/04/02/06/776040206.db2.gz GLWAVUSEQRYOCJ-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1O[C@H]1CCCOC1=O ZINC001226987704 776050219 /nfs/dbraw/zinc/05/02/19/776050219.db2.gz CBXPVWJYIUZRHA-VIFPVBQESA-N 0 0 285.683 2.641 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cc([N+](=O)[O-])ccc2C)C1 ZINC001226992052 776052980 /nfs/dbraw/zinc/05/29/80/776052980.db2.gz HDRVMHHWAZMHOQ-KLPPZKSPSA-N 0 0 279.292 2.624 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nccc(C(F)(F)F)c1F)C1CC1 ZINC001227021956 776063506 /nfs/dbraw/zinc/06/35/06/776063506.db2.gz INOAKGZPNRDIBC-QMMMGPOBSA-N 0 0 294.204 2.674 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)COC(C)(C)C)n1 ZINC001227065936 776081811 /nfs/dbraw/zinc/08/18/11/776081811.db2.gz CTXIDRYQTUPSQF-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN COC(C)(C)CO[C@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001222358828 776098376 /nfs/dbraw/zinc/09/83/76/776098376.db2.gz NEGUWZXGWHVLNK-SECBINFHSA-N 0 0 297.307 2.826 20 5 CFBDRN CC(=O)c1ccc(C)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001227113406 776103869 /nfs/dbraw/zinc/10/38/69/776103869.db2.gz FIQKLIUIUQOVLG-CQSZACIVSA-N 0 0 263.293 2.632 20 5 CFBDRN CCCOC[C@H](C)Oc1c(C(=O)OC)cccc1[N+](=O)[O-] ZINC001227122084 776106682 /nfs/dbraw/zinc/10/66/82/776106682.db2.gz KTGAJGGBYOAHJN-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccccc1N1CCCC1)C1CC1 ZINC001227199058 776135304 /nfs/dbraw/zinc/13/53/04/776135304.db2.gz LJIYMIGLYIJVIA-HNNXBMFYSA-N 0 0 276.336 2.721 20 5 CFBDRN COC(=O)C[C@H](C)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000312739442 776136890 /nfs/dbraw/zinc/13/68/90/776136890.db2.gz IXHCWJDILWUEJE-ZETCQYMHSA-N 0 0 288.250 2.896 20 5 CFBDRN Cc1ccc(O[C@H](C)c2ncccc2F)c([N+](=O)[O-])n1 ZINC001227222581 776144361 /nfs/dbraw/zinc/14/43/61/776144361.db2.gz RULATCPAYCKRBB-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN CCOC[C@H](C)Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227233983 776148482 /nfs/dbraw/zinc/14/84/82/776148482.db2.gz JZFQKFQNQNZZST-QMMMGPOBSA-N 0 0 266.253 2.540 20 5 CFBDRN C[C@H](Oc1nc2ccc([N+](=O)[O-])cc2o1)c1cncnc1 ZINC001227234958 776149458 /nfs/dbraw/zinc/14/94/58/776149458.db2.gz VTNOWIJCRNBQJB-QMMMGPOBSA-N 0 0 286.247 2.666 20 5 CFBDRN CO[C@H](C)CCOc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227238078 776150720 /nfs/dbraw/zinc/15/07/20/776150720.db2.gz KYRXNOMTOCCTIE-MRVPVSSYSA-N 0 0 266.253 2.540 20 5 CFBDRN Cc1cnc(O[C@H](C)c2ccncc2F)c([N+](=O)[O-])c1 ZINC001227237934 776150894 /nfs/dbraw/zinc/15/08/94/776150894.db2.gz ZKWYEANJQWWVEJ-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227237539 776150975 /nfs/dbraw/zinc/15/09/75/776150975.db2.gz IWDFSYZYRMPNNK-JTQLQIEISA-N 0 0 278.264 2.873 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ncc(C)cc1[N+](=O)[O-] ZINC001227237199 776151028 /nfs/dbraw/zinc/15/10/28/776151028.db2.gz SHCRRMBDYGQVRI-RYUDHWBXSA-N 0 0 266.297 2.635 20 5 CFBDRN CC(C)(C)N1CC(Oc2nc3c(cccc3[N+](=O)[O-])o2)C1 ZINC001227239553 776152534 /nfs/dbraw/zinc/15/25/34/776152534.db2.gz YCLJHOVWQDDNBL-UHFFFAOYSA-N 0 0 291.307 2.598 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC001227294694 776172678 /nfs/dbraw/zinc/17/26/78/776172678.db2.gz GNWKNAGIASKNTM-IMSIIYSGSA-N 0 0 289.287 2.725 20 5 CFBDRN CCOC(=O)c1ccc(O[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC001227296985 776173610 /nfs/dbraw/zinc/17/36/10/776173610.db2.gz JHAATJLZIWGCQM-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN COC(=O)c1ccc(O[C@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001227296682 776173832 /nfs/dbraw/zinc/17/38/32/776173832.db2.gz ZZMWTYXHYJXQQA-CABZTGNLSA-N 0 0 279.292 2.949 20 5 CFBDRN CC(=O)c1cccc(Cl)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001227305629 776176393 /nfs/dbraw/zinc/17/63/93/776176393.db2.gz LNCMBZSEWLYHIN-LBPRGKRZSA-N 0 0 283.711 2.977 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H]2C=CCCC2)c1[N+](=O)[O-] ZINC001227336480 776178486 /nfs/dbraw/zinc/17/84/86/776178486.db2.gz CEWAFBPALHQSMQ-MRVPVSSYSA-N 0 0 269.688 2.834 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H]2C[C@H]3CC[C@@H]2C3)c1[N+](=O)[O-] ZINC001227341565 776180288 /nfs/dbraw/zinc/18/02/88/776180288.db2.gz ZELQRGOFJVJDFY-DJLDLDEBSA-N 0 0 283.715 2.914 20 5 CFBDRN COc1ccc(F)c(O[C@@H](C[N+](=O)[O-])C2CC2)c1Cl ZINC001227358259 776186550 /nfs/dbraw/zinc/18/65/50/776186550.db2.gz XUQWCCBADMMTOE-JTQLQIEISA-N 0 0 289.690 2.922 20 5 CFBDRN O=c1cccccc1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001227417649 776197607 /nfs/dbraw/zinc/19/76/07/776197607.db2.gz SYBKRFFHONBRCK-AWEZNQCLSA-N 0 0 283.283 2.501 20 5 CFBDRN O=c1ccc2[n+]([O-])c3ccc(OC4CCCC4)cc3oc-2c1 ZINC001227449490 776206454 /nfs/dbraw/zinc/20/64/54/776206454.db2.gz SKYHCMWUNDLVPQ-UHFFFAOYSA-N 0 0 297.310 2.853 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ccccc1[N+](=O)[O-] ZINC001227482716 776211936 /nfs/dbraw/zinc/21/19/36/776211936.db2.gz LJRKOTARRBHTKY-JTQLQIEISA-N 0 0 261.281 2.777 20 5 CFBDRN CC1(C)C[C@H](Oc2ccccc2[N+](=O)[O-])CCO1 ZINC001227483686 776212256 /nfs/dbraw/zinc/21/22/56/776212256.db2.gz BTEXXPYGNNQGQF-SNVBAGLBSA-N 0 0 251.282 2.931 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1ccccc1[N+](=O)[O-] ZINC001227480946 776212391 /nfs/dbraw/zinc/21/23/91/776212391.db2.gz QRJLHWGIAVXQPE-JTQLQIEISA-N 0 0 267.281 2.705 20 5 CFBDRN Cc1c([C@@H](C)Oc2ccccc2[N+](=O)[O-])cnn1C ZINC001227482871 776212413 /nfs/dbraw/zinc/21/24/13/776212413.db2.gz NBWYECTUALEWPF-SNVBAGLBSA-N 0 0 261.281 2.777 20 5 CFBDRN CC(C)CCOC(=O)[C@H](C)Oc1ccccc1[N+](=O)[O-] ZINC001227484368 776213663 /nfs/dbraw/zinc/21/36/63/776213663.db2.gz NVXWJSFLSQLRHP-NSHDSACASA-N 0 0 281.308 2.951 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1O[C@@H](C)C(F)(F)F ZINC001227541466 776224596 /nfs/dbraw/zinc/22/45/96/776224596.db2.gz FWYWZNDNQYHAEF-BYPYZUCNSA-N 0 0 299.226 2.773 20 5 CFBDRN CC(C)OC(=O)c1ccccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001227615587 776245585 /nfs/dbraw/zinc/24/55/85/776245585.db2.gz XONKYVRRUHWFRZ-CQSZACIVSA-N 0 0 293.319 2.686 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1c(OC)cccc1[N+](=O)[O-] ZINC001227702372 776262373 /nfs/dbraw/zinc/26/23/73/776262373.db2.gz VWFOAXCSRKPPFQ-LLVKDONJSA-N 0 0 267.281 2.740 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2c(OC)cccc2[N+](=O)[O-])=C1C ZINC001227700830 776262586 /nfs/dbraw/zinc/26/25/86/776262586.db2.gz HWTLPTPZXFZDRS-SNVBAGLBSA-N 0 0 293.275 2.592 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1OC1CCC(OC)CC1 ZINC001227702412 776262658 /nfs/dbraw/zinc/26/26/58/776262658.db2.gz WHQNTTNHTCOGBF-UHFFFAOYSA-N 0 0 281.308 2.940 20 5 CFBDRN COC(=O)c1cccc(O[C@@H]2C[C@H]3CC[C@@H]2C3)c1[N+](=O)[O-] ZINC001227894254 776320244 /nfs/dbraw/zinc/32/02/44/776320244.db2.gz MDFBRGJIEHLKKB-OPQQBVKSSA-N 0 0 291.303 2.949 20 5 CFBDRN CCC[C@H](C)Oc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC001227894990 776320904 /nfs/dbraw/zinc/32/09/04/776320904.db2.gz SSKAEDWBCZUNFP-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN CCC[C@@H](C)Oc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC001227894989 776320951 /nfs/dbraw/zinc/32/09/51/776320951.db2.gz SSKAEDWBCZUNFP-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1Cl ZINC001227936653 776333863 /nfs/dbraw/zinc/33/38/63/776333863.db2.gz LBQZWJDUGAUBDP-LBPRGKRZSA-N 0 0 299.710 2.561 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ncnc2ccc(Cl)cc21)C1CC1 ZINC001227964682 776343082 /nfs/dbraw/zinc/34/30/82/776343082.db2.gz MDNQWGQBXPSOJZ-GFCCVEGCSA-N 0 0 293.710 2.717 20 5 CFBDRN CCOCc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001227991917 776353880 /nfs/dbraw/zinc/35/38/80/776353880.db2.gz JKGYOIVBGFZEKB-AWEZNQCLSA-N 0 0 265.309 2.657 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCc1nc2ccccc2s1)C1CC1 ZINC001222917561 776354290 /nfs/dbraw/zinc/35/42/90/776354290.db2.gz HGCFOZYCGXFGFT-LLVKDONJSA-N 0 0 278.333 2.868 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228015394 776365041 /nfs/dbraw/zinc/36/50/41/776365041.db2.gz DLECXRNAVADPAU-QMMMGPOBSA-N 0 0 289.234 2.594 20 5 CFBDRN CC1(C)C[C@@H](Oc2nccc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228018239 776365955 /nfs/dbraw/zinc/36/59/55/776365955.db2.gz XFEHGSDBZGQPED-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN CC(C)(C)N1CC(Oc2ccnc(Cl)c2[N+](=O)[O-])C1 ZINC001228055887 776380649 /nfs/dbraw/zinc/38/06/49/776380649.db2.gz YLWDJLWLZBMWLA-UHFFFAOYSA-N 0 0 285.731 2.505 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1ccc(N)nc1C ZINC001167786561 776397235 /nfs/dbraw/zinc/39/72/35/776397235.db2.gz REPNMVMIGAIEPZ-UHFFFAOYSA-N 0 0 272.308 2.801 20 5 CFBDRN Cc1cc(=O)cc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)[nH]1 ZINC001228124751 776402027 /nfs/dbraw/zinc/40/20/27/776402027.db2.gz SXRKKWIITZDYHH-AWEZNQCLSA-N 0 0 286.287 2.550 20 5 CFBDRN C[C@@H](Oc1nccc(=S)[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001228128206 776403375 /nfs/dbraw/zinc/40/33/75/776403375.db2.gz DZRAQBWXJGEINE-MRVPVSSYSA-N 0 0 277.305 2.814 20 5 CFBDRN CO[C@H](C)CCOc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228136828 776405679 /nfs/dbraw/zinc/40/56/79/776405679.db2.gz ZNPOQUDPQNLJSI-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC3(COC3)C2)c2ncccc12 ZINC001228136767 776405860 /nfs/dbraw/zinc/40/58/60/776405860.db2.gz YMWAKWYFJNAXQO-UHFFFAOYSA-N 0 0 286.287 2.701 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228139717 776406766 /nfs/dbraw/zinc/40/67/66/776406766.db2.gz XJFJZGMGVGOGFX-MFKMUULPSA-N 0 0 288.303 2.947 20 5 CFBDRN CCC[C@@H]1CCCC[C@H]1Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228139336 776407316 /nfs/dbraw/zinc/40/73/16/776407316.db2.gz AJTKKYPXQRBYIY-NXEZZACHSA-N 0 0 281.312 2.828 20 5 CFBDRN CC[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1ccccc1F ZINC001228142142 776408427 /nfs/dbraw/zinc/40/84/27/776408427.db2.gz ZAWHRFWINJEKSH-JTQLQIEISA-N 0 0 293.254 2.760 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC2COCOC2)c(Cl)c1 ZINC001228198518 776420350 /nfs/dbraw/zinc/42/03/50/776420350.db2.gz KZQBIFDMRBYMPJ-UHFFFAOYSA-N 0 0 294.090 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(O[C@H]3CCCOC3)sc2c1 ZINC001228209762 776422607 /nfs/dbraw/zinc/42/26/07/776422607.db2.gz YNGNBLNZBRNMOO-VIFPVBQESA-N 0 0 280.305 2.762 20 5 CFBDRN CO[C@H](C)COc1nc2ccc([N+](=O)[O-])cc2s1 ZINC001228208549 776422631 /nfs/dbraw/zinc/42/26/31/776422631.db2.gz BBBBPJMWOGCYDP-SSDOTTSWSA-N 0 0 268.294 2.618 20 5 CFBDRN Cc1cc(C)c(OC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC001228230045 776427580 /nfs/dbraw/zinc/42/75/80/776427580.db2.gz LMPZTKXMHQXBMQ-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN Cc1cc(C)c(O[C@H]2C=C(C)OC(=O)C2)c([N+](=O)[O-])c1 ZINC001228230268 776428104 /nfs/dbraw/zinc/42/81/04/776428104.db2.gz PKBRQJBASBHTGP-NSHDSACASA-N 0 0 277.276 2.810 20 5 CFBDRN C[C@H]1CC[C@H](Oc2[nH]c(=O)nc3cc([N+](=O)[O-])ccc32)C1 ZINC001228243459 776432296 /nfs/dbraw/zinc/43/22/96/776432296.db2.gz YPYYMOVTZBPYOP-WPRPVWTQSA-N 0 0 289.291 2.811 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(OC[C@@H]2CCCO2)c1 ZINC001228255844 776435756 /nfs/dbraw/zinc/43/57/56/776435756.db2.gz MYTLBFCVJUGFIP-JTQLQIEISA-N 0 0 257.673 2.806 20 5 CFBDRN Cc1cc2cc(O[C@@H](C[N+](=O)[O-])C3CC3)ccc2[nH]1 ZINC001228451123 776494829 /nfs/dbraw/zinc/49/48/29/776494829.db2.gz PLBVIPVBBPKIGE-AWEZNQCLSA-N 0 0 260.293 2.910 20 5 CFBDRN CCO[C@H](C)COc1c(C(C)=O)cc(C)cc1[N+](=O)[O-] ZINC001228451377 776495077 /nfs/dbraw/zinc/49/50/77/776495077.db2.gz VUYPQCXVUBMJBT-SNVBAGLBSA-N 0 0 281.308 2.910 20 5 CFBDRN CCOC[C@@H](C)Oc1c(C(C)=O)cc(C)cc1[N+](=O)[O-] ZINC001228454097 776496532 /nfs/dbraw/zinc/49/65/32/776496532.db2.gz USNCVULWMZICHZ-SNVBAGLBSA-N 0 0 281.308 2.910 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@H]1COC[C@@H]1C ZINC001228571173 776524021 /nfs/dbraw/zinc/52/40/21/776524021.db2.gz KWTXBFVVHFWJFS-JQWIXIFHSA-N 0 0 251.282 2.625 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(F)cc1OC[C@@H]1CCCCO1 ZINC001228613397 776539732 /nfs/dbraw/zinc/53/97/32/776539732.db2.gz PEKVDJHKGFHYEV-VIFPVBQESA-N 0 0 273.235 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](OCc1cncs1)C2 ZINC001223276289 776549364 /nfs/dbraw/zinc/54/93/64/776549364.db2.gz VMCNGTLJYOPTSE-LBPRGKRZSA-N 0 0 276.317 2.735 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2ncncc2[N+](=O)[O-])C[C@H]1C ZINC001228654076 776553113 /nfs/dbraw/zinc/55/31/13/776553113.db2.gz FUNMQCFIEAWSIO-BBBLOLIVSA-N 0 0 251.286 2.588 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC2C[C@H](C)C[C@@H](C)C2)n1 ZINC001126293786 776553978 /nfs/dbraw/zinc/55/39/78/776553978.db2.gz IPMTXIXQVVAAOJ-NXEZZACHSA-N 0 0 291.351 2.853 20 5 CFBDRN C[C@@H](Oc1ncncc1[N+](=O)[O-])c1c(F)cccc1F ZINC001228658355 776554495 /nfs/dbraw/zinc/55/44/95/776554495.db2.gz VWAXOPSPWZIXTR-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CCC[C@@H](C)[C@H](CC)Oc1ncncc1[N+](=O)[O-] ZINC001228659289 776556165 /nfs/dbraw/zinc/55/61/65/776556165.db2.gz IIHMTDKTCJIMFQ-KOLCDFICSA-N 0 0 253.302 2.978 20 5 CFBDRN C[C@H](Oc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])C1CCC1 ZINC001228662539 776557437 /nfs/dbraw/zinc/55/74/37/776557437.db2.gz XDXNEUXKXLSJHS-VIFPVBQESA-N 0 0 299.348 2.566 20 5 CFBDRN Cc1cc2[nH]c(O[C@H]3CCO[C@@H](C)C3)nc2cc1[N+](=O)[O-] ZINC001228674600 776561959 /nfs/dbraw/zinc/56/19/59/776561959.db2.gz JYSWUJHYDOUBOG-UWVGGRQHSA-N 0 0 291.307 2.726 20 5 CFBDRN Cc1cc2[nH]c(OC[C@H]3CCCCO3)nc2cc1[N+](=O)[O-] ZINC001228674657 776562053 /nfs/dbraw/zinc/56/20/53/776562053.db2.gz KTOMYULYJXDBQE-SNVBAGLBSA-N 0 0 291.307 2.727 20 5 CFBDRN CCO[C@@H](C)COc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228674595 776562581 /nfs/dbraw/zinc/56/25/81/776562581.db2.gz JYMKWURMIBXVIP-VIFPVBQESA-N 0 0 279.296 2.583 20 5 CFBDRN Cc1cc2[nH]c(O[C@@H](C)c3cncnc3)nc2cc1[N+](=O)[O-] ZINC001228676039 776564154 /nfs/dbraw/zinc/56/41/54/776564154.db2.gz VHGNCFMDKANVQP-VIFPVBQESA-N 0 0 299.290 2.710 20 5 CFBDRN CC[C@@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC001228679823 776565529 /nfs/dbraw/zinc/56/55/29/776565529.db2.gz VDHRWGCXTJXICK-WCQYABFASA-N 0 0 291.351 2.925 20 5 CFBDRN CCCC[C@H](C)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228684899 776567987 /nfs/dbraw/zinc/56/79/87/776567987.db2.gz AUFNVTGLCREPGM-QMMMGPOBSA-N 0 0 254.286 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)C2CCCC2)c1[N+](=O)[O-] ZINC001228689981 776569013 /nfs/dbraw/zinc/56/90/13/776569013.db2.gz YPDPSXZNUMOXPU-VIFPVBQESA-N 0 0 266.297 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC001228687611 776569505 /nfs/dbraw/zinc/56/95/05/776569505.db2.gz ZALGVBOFYHZKMJ-VXNVDRBHSA-N 0 0 252.270 2.571 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228689302 776569620 /nfs/dbraw/zinc/56/96/20/776569620.db2.gz QYYCYESCZZRDOM-QMMMGPOBSA-N 0 0 284.312 2.586 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C)C2CC2)cc([N+](=O)[O-])c1 ZINC001228768965 776603413 /nfs/dbraw/zinc/60/34/13/776603413.db2.gz NTEVAIMISZWOIB-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN COC(=O)c1cc(O[C@H](C)C(C)C)cc([N+](=O)[O-])c1 ZINC001228772176 776604476 /nfs/dbraw/zinc/60/44/76/776604476.db2.gz VNBFUVYFCPGYTO-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN CCO[C@H](C)COc1c2ccccc2oc(=O)c1[N+](=O)[O-] ZINC001228805020 776618748 /nfs/dbraw/zinc/61/87/48/776618748.db2.gz IDWOMSANYZIQBY-SECBINFHSA-N 0 0 293.275 2.505 20 5 CFBDRN O=c1oc2ccccc2c(O[C@H]2C=CCC2)c1[N+](=O)[O-] ZINC001228807378 776619404 /nfs/dbraw/zinc/61/94/04/776619404.db2.gz SPNNSYZSKHNHRW-VIFPVBQESA-N 0 0 273.244 2.799 20 5 CFBDRN Cc1cc(OC2CC[NH+](C3CC3)CC2)cc([N+](=O)[O-])c1 ZINC001228833442 776625549 /nfs/dbraw/zinc/62/55/49/776625549.db2.gz LEHPZYJDMXUFDC-UHFFFAOYSA-N 0 0 276.336 2.909 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228832888 776626033 /nfs/dbraw/zinc/62/60/33/776626033.db2.gz FVSMBPBNESBVED-NSHDSACASA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@@H](Oc1cnccc1[N+](=O)[O-])c1ncccc1F ZINC001228883886 776645063 /nfs/dbraw/zinc/64/50/63/776645063.db2.gz ZOSJRCMNKUEEQY-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H](Oc1cnccc1[N+](=O)[O-])c1cccnc1F ZINC001228883643 776645302 /nfs/dbraw/zinc/64/53/02/776645302.db2.gz XLKRIAHHSGQMCT-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1ccncc1O[C@H]1CCc2ccccc2C1 ZINC001228880935 776645352 /nfs/dbraw/zinc/64/53/52/776645352.db2.gz ARGLISLHMOLLEY-ZDUSSCGKSA-N 0 0 270.288 2.926 20 5 CFBDRN Cc1cnc(F)c([C@@H](C)Oc2cnccc2[N+](=O)[O-])c1 ZINC001228883167 776645428 /nfs/dbraw/zinc/64/54/28/776645428.db2.gz UKGDARZVXQVOLO-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2cc[nH]c21)c1cccnc1 ZINC001228892952 776647805 /nfs/dbraw/zinc/64/78/05/776647805.db2.gz WZWSLFWFQINEEK-CQSZACIVSA-N 0 0 283.287 2.960 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@@H]1CCCC2(C1)OCCO2 ZINC001228920766 776657633 /nfs/dbraw/zinc/65/76/33/776657633.db2.gz JGMDTSKMUWVYEV-CYBMUJFWSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@@H](C)c1cnccn1 ZINC001228921165 776657820 /nfs/dbraw/zinc/65/78/20/776657820.db2.gz KOIZJZAFOVMJBQ-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCC=CO2)nc1Cl ZINC001228933557 776661616 /nfs/dbraw/zinc/66/16/16/776661616.db2.gz HIQMXMBOCKPWOS-QMMMGPOBSA-N 0 0 270.672 2.715 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ccc([N+](=O)[O-])c(Cl)n2)O1 ZINC001228935921 776662175 /nfs/dbraw/zinc/66/21/75/776662175.db2.gz LGNCCAZJKUIBMG-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001228969880 776671937 /nfs/dbraw/zinc/67/19/37/776671937.db2.gz CCBAOJWBKLPUQD-JGPRNRPPSA-N 0 0 261.277 2.975 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1cc(O)cc([O-])c1[N+](=O)[O-] ZINC001228970203 776672291 /nfs/dbraw/zinc/67/22/91/776672291.db2.gz HHSNKYNHFPIFEI-GFCCVEGCSA-N 0 0 297.307 2.923 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@@H](C)c1cncnc1 ZINC001228970058 776672958 /nfs/dbraw/zinc/67/29/58/776672958.db2.gz FBIFXJNRPJOOLG-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN Cc1ccnc(Cl)c1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001228986780 776678600 /nfs/dbraw/zinc/67/86/00/776678600.db2.gz JTDIGOANNLLWNP-NSHDSACASA-N 0 0 293.710 2.835 20 5 CFBDRN C[C@@H](Oc1coccc1=O)c1cccc([N+](=O)[O-])c1 ZINC001229003456 776686131 /nfs/dbraw/zinc/68/61/31/776686131.db2.gz RTOWBWLVOFURAW-SECBINFHSA-N 0 0 261.233 2.688 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCO2)cc1Br ZINC001229082089 776707324 /nfs/dbraw/zinc/70/73/24/776707324.db2.gz ZUIDTSCFSDCMHB-QMMMGPOBSA-N 0 0 288.097 2.525 20 5 CFBDRN Cc1nc2cc(O[C@@H](C[N+](=O)[O-])C3CC3)ccc2o1 ZINC001229073257 776707803 /nfs/dbraw/zinc/70/78/03/776707803.db2.gz QTVZXBHUPNQTQF-ZDUSSCGKSA-N 0 0 262.265 2.570 20 5 CFBDRN C[C@H](Oc1cccoc1=O)c1cccc([N+](=O)[O-])c1 ZINC001229088560 776713457 /nfs/dbraw/zinc/71/34/57/776713457.db2.gz PCDPOZVXAUXIQA-VIFPVBQESA-N 0 0 261.233 2.688 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1CO[C@H]1CCOC[C@H]1C ZINC001223539318 776715505 /nfs/dbraw/zinc/71/55/05/776715505.db2.gz PIQARECENZVESK-RISCZKNCSA-N 0 0 265.309 2.845 20 5 CFBDRN C[C@@H](Oc1ccc(/C=C/[N+](=O)[O-])cc1)c1cnccn1 ZINC001229113302 776721254 /nfs/dbraw/zinc/72/12/54/776721254.db2.gz ALJCDHFNLWYYPE-OALRPVAKSA-N 0 0 271.276 2.864 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229113890 776723014 /nfs/dbraw/zinc/72/30/14/776723014.db2.gz GZJHMPYCSLEZJM-HOQBHHMFSA-N 0 0 291.303 2.655 20 5 CFBDRN COC(C[C@@H](C)Oc1cccc(C(C)=O)c1[N+](=O)[O-])OC ZINC001229137720 776730357 /nfs/dbraw/zinc/73/03/57/776730357.db2.gz KKMPIAMOBXIKEL-SECBINFHSA-N 0 0 297.307 2.574 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cc(OC[C@H]2CCO2)c1 ZINC001229146849 776732102 /nfs/dbraw/zinc/73/21/02/776732102.db2.gz WIIWOVCPHNRKDF-SECBINFHSA-N 0 0 288.097 2.525 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCCN(C)C1 ZINC001229177988 776744976 /nfs/dbraw/zinc/74/49/76/776744976.db2.gz PPSJVKPYXXSUPB-LBPRGKRZSA-N 0 0 292.335 2.661 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OC1CC2(COC2)C1 ZINC001229180802 776745250 /nfs/dbraw/zinc/74/52/50/776745250.db2.gz YOLAMTRJUZYDDB-UHFFFAOYSA-N 0 0 291.303 2.745 20 5 CFBDRN Cc1cccc(CO[C@@H](C)c2cncnc2)c1[N+](=O)[O-] ZINC001223660610 776745244 /nfs/dbraw/zinc/74/52/44/776745244.db2.gz GMJHPSCTQNMHSN-NSHDSACASA-N 0 0 273.292 2.971 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](C)C(C)=O ZINC001229180192 776745345 /nfs/dbraw/zinc/74/53/45/776745345.db2.gz TUXAMMZLDLJJSD-SECBINFHSA-N 0 0 265.265 2.544 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H](C)c1ncccn1 ZINC001229197208 776750257 /nfs/dbraw/zinc/75/02/57/776750257.db2.gz DFHIWISGMCBGCP-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN C[C@@H](COC(C)(C)C)Oc1cc([N+](=O)[O-])ccc1O ZINC001229398634 776798910 /nfs/dbraw/zinc/79/89/10/776798910.db2.gz WWOXIMSIQKLFAY-VIFPVBQESA-N 0 0 269.297 2.883 20 5 CFBDRN CC1(C)C[C@@H](Oc2cc([N+](=O)[O-])ccc2O)CCO1 ZINC001229396223 776798952 /nfs/dbraw/zinc/79/89/52/776798952.db2.gz RKSABVNHHLKETA-JTQLQIEISA-N 0 0 267.281 2.637 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1O)C(F)(F)F ZINC001229396948 776799245 /nfs/dbraw/zinc/79/92/45/776799245.db2.gz CBWZBGALXNWQER-RXMQYKEDSA-N 0 0 251.160 2.630 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(OC2CCSCC2)c1 ZINC001229398204 776799653 /nfs/dbraw/zinc/79/96/53/776799653.db2.gz RNGCKHIIDPIZHN-UHFFFAOYSA-N 0 0 255.295 2.575 20 5 CFBDRN CC[C@H](C[C@@H](C)CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452881 776818802 /nfs/dbraw/zinc/81/88/02/776818802.db2.gz YJFRHRIYGXIDIL-WDEREUQCSA-N 0 0 268.313 2.822 20 5 CFBDRN CCC[C@H](C)[C@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229455332 776818824 /nfs/dbraw/zinc/81/88/24/776818824.db2.gz DWVWQMHVEFBXSZ-JQWIXIFHSA-N 0 0 268.313 2.822 20 5 CFBDRN CC[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1ccccc1 ZINC001229455276 776819328 /nfs/dbraw/zinc/81/93/28/776819328.db2.gz ZFOSHVZSKFKKGT-CYBMUJFWSA-N 0 0 274.276 2.758 20 5 CFBDRN CC/C=C(\C)[C@@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229456116 776820218 /nfs/dbraw/zinc/82/02/18/776820218.db2.gz RQUOTUFMOZFPOG-GCZGVDRJSA-N 0 0 266.297 2.742 20 5 CFBDRN Cc1cc(O[C@H]2CCOC[C@H]2F)cc(C)c1[N+](=O)[O-] ZINC001229469361 776821527 /nfs/dbraw/zinc/82/15/27/776821527.db2.gz HILPQXQQFYSRQL-NEPJUHHUSA-N 0 0 269.272 2.717 20 5 CFBDRN O=c1c2ccc(O)cc2oc2cc(OC[C@H]3CCO3)ccc12 ZINC001229515807 776837479 /nfs/dbraw/zinc/83/74/79/776837479.db2.gz GVPJHZAGKHDOAS-GFCCVEGCSA-N 0 0 298.294 2.820 20 5 CFBDRN Cc1cc(O)c(O[C@H](C)c2ncccn2)cc1[N+](=O)[O-] ZINC001229749552 776885986 /nfs/dbraw/zinc/88/59/86/776885986.db2.gz DEQPPDKQDHHXPV-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1cc(O)c(O[C@@H]2C[C@H]3C=C[C@@H]2C3)cc1[N+](=O)[O-] ZINC001229749488 776886525 /nfs/dbraw/zinc/88/65/25/776886525.db2.gz BHOBGOAVUNXSMO-OPQQBVKSSA-N 0 0 261.277 2.952 20 5 CFBDRN CC(=O)[C@H](C)Oc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC001229831019 776899261 /nfs/dbraw/zinc/89/92/61/776899261.db2.gz JONHQSOFXOVPHE-ZETCQYMHSA-N 0 0 277.198 2.970 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCO2)cc(C(F)(F)F)c1 ZINC001229831305 776899719 /nfs/dbraw/zinc/89/97/19/776899719.db2.gz KWRZVHYJGKHDCK-VIFPVBQESA-N 0 0 277.198 2.781 20 5 CFBDRN COC(=O)c1c(Cl)cccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001229841896 776901993 /nfs/dbraw/zinc/90/19/93/776901993.db2.gz NLMLCFNMVYJFOZ-LLVKDONJSA-N 0 0 299.710 2.561 20 5 CFBDRN COc1c(C)cc([N+](=O)[O-])c(O[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC001229871665 776908078 /nfs/dbraw/zinc/90/80/78/776908078.db2.gz YTLFDXQXGOINDV-QMMMGPOBSA-N 0 0 296.279 2.997 20 5 CFBDRN CCO[C@@H](C)COc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229895189 776912721 /nfs/dbraw/zinc/91/27/21/776912721.db2.gz QCLGSZHWDKSDGM-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CN(C(C)(C)C)C2)cc1F ZINC001229894261 776912840 /nfs/dbraw/zinc/91/28/40/776912840.db2.gz GSJYFZBRGNCPGV-UHFFFAOYSA-N 0 0 282.315 2.904 20 5 CFBDRN CC[C@@H](COC)Oc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229895987 776914366 /nfs/dbraw/zinc/91/43/66/776914366.db2.gz XLQSMIFTPHRXLV-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])nc1Cl ZINC001229919598 776918637 /nfs/dbraw/zinc/91/86/37/776918637.db2.gz NHGBUTOZNKUXQW-QMMMGPOBSA-N 0 0 272.688 2.780 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001229960876 776926340 /nfs/dbraw/zinc/92/63/40/776926340.db2.gz PSYKXOURZHKQKU-JGPRNRPPSA-N 0 0 291.303 2.867 20 5 CFBDRN O=C1OCC[C@@H]1Oc1ccc([N+](=O)[O-])c(Cl)c1Cl ZINC001230040470 776948084 /nfs/dbraw/zinc/94/80/84/776948084.db2.gz MLIRYVGMRHIYFB-ZETCQYMHSA-N 0 0 292.074 2.596 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1ccc(C)cc1[N+](=O)[O-] ZINC001230145320 776955037 /nfs/dbraw/zinc/95/50/37/776955037.db2.gz DDUXFYLGEIGAAE-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1ccc(O[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001230146393 776955867 /nfs/dbraw/zinc/95/58/67/776955867.db2.gz OGGQVWPGUOGVCC-GHMZBOCLSA-N 0 0 251.282 2.850 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001230245613 776964867 /nfs/dbraw/zinc/96/48/67/776964867.db2.gz AHYWLGFOIQQVNB-WDMOLILDSA-N 0 0 288.303 2.897 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1OC1CC=CC1 ZINC001230247748 776965969 /nfs/dbraw/zinc/96/59/69/776965969.db2.gz RRAIBITVYUNUHB-UHFFFAOYSA-N 0 0 262.265 2.651 20 5 CFBDRN Cc1cc(O[C@H]2COC[C@@H]2C)cc([N+](=O)[O-])c1C ZINC001230471653 776996403 /nfs/dbraw/zinc/99/64/03/776996403.db2.gz MXIKJNXCBWFUPI-ZANVPECISA-N 0 0 251.282 2.625 20 5 CFBDRN Cc1ccc(O[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492596 776999230 /nfs/dbraw/zinc/99/92/30/776999230.db2.gz RQGAMTAXLLXMKH-NXEZZACHSA-N 0 0 296.279 2.758 20 5 CFBDRN CC[C@@H](COC)Oc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230491626 776999254 /nfs/dbraw/zinc/99/92/54/776999254.db2.gz XWLRAIKOUOCBCE-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC[C@H]1COC(C)(C)O1 ZINC001230723832 777052324 /nfs/dbraw/zinc/05/23/24/777052324.db2.gz OXIKOILYIUVZMG-NSHDSACASA-N 0 0 281.308 2.742 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@H]1CCn2ccnc21 ZINC001230724532 777052350 /nfs/dbraw/zinc/05/23/50/777052350.db2.gz XKFKQAIBSLPWJC-LBPRGKRZSA-N 0 0 273.292 2.932 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc2ccccc2[nH]1)c1cccnc1 ZINC001230744334 777055794 /nfs/dbraw/zinc/05/57/94/777055794.db2.gz KHODRDPPMPCJJD-CQSZACIVSA-N 0 0 283.287 2.960 20 5 CFBDRN O=C1C[C@H](Oc2c(Cl)cc(Cl)cc2[N+](=O)[O-])CO1 ZINC001230768822 777058835 /nfs/dbraw/zinc/05/88/35/777058835.db2.gz RZIGTHVVEVVZPH-LURJTMIESA-N 0 0 292.074 2.596 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccc(Cl)nc1 ZINC001225596992 777067977 /nfs/dbraw/zinc/06/79/77/777067977.db2.gz FVVWQSLOVXYEBD-MRVPVSSYSA-N 0 0 296.714 2.616 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ccc(Cl)nc1[N+](=O)[O-] ZINC001230871193 777078544 /nfs/dbraw/zinc/07/85/44/777078544.db2.gz AFFSREOCMLUUSY-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN CCOC(=O)c1ccc(OC2CCC2)cc1[N+](=O)[O-] ZINC001230913207 777091767 /nfs/dbraw/zinc/09/17/67/777091767.db2.gz REENZUFTVPOCBW-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1O[C@H]1CCOC[C@@H]1F ZINC001230914521 777092658 /nfs/dbraw/zinc/09/26/58/777092658.db2.gz JKKJYWIQVPLNAT-IUCAKERBSA-N 0 0 275.663 2.754 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230916562 777092875 /nfs/dbraw/zinc/09/28/75/777092875.db2.gz BZGTYTDJQSQWMU-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230919841 777093601 /nfs/dbraw/zinc/09/36/01/777093601.db2.gz ZTGTZCWEPWPTQC-ZETCQYMHSA-N 0 0 273.672 2.579 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC(O)(C(C)C)CC1 ZINC000710670729 777095231 /nfs/dbraw/zinc/09/52/31/777095231.db2.gz CRAMWUCGTVRFMB-UHFFFAOYSA-N 0 0 278.352 2.891 20 5 CFBDRN CN1CC(Oc2ccc(-c3cccc([N+](=O)[O-])c3)cc2)C1 ZINC001230941154 777098166 /nfs/dbraw/zinc/09/81/66/777098166.db2.gz MLOHBKVAVLVFTB-UHFFFAOYSA-N 0 0 284.315 2.955 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2CC[C@@H](C)C2)c1 ZINC000721575234 777110526 /nfs/dbraw/zinc/11/05/26/777110526.db2.gz IJGCXJKDKHXFIT-KOLCDFICSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@H]1CCCOC1 ZINC001231058556 777128598 /nfs/dbraw/zinc/12/85/98/777128598.db2.gz BERVAXZZKUVHQV-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@H]1COC[C@@H]1C ZINC001231059633 777128614 /nfs/dbraw/zinc/12/86/14/777128614.db2.gz JDNCTWOQFQUYCD-QWRGUYRKSA-N 0 0 251.282 2.625 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@H]1C(=O)OCC1(C)C ZINC001231060979 777128857 /nfs/dbraw/zinc/12/88/57/777128857.db2.gz QEKPBRZQPWWLMR-LBPRGKRZSA-N 0 0 279.292 2.542 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231156704 777159500 /nfs/dbraw/zinc/15/95/00/777159500.db2.gz OKUAEUKZLBTKKS-QMTHXVAHSA-N 0 0 288.303 2.947 20 5 CFBDRN Cc1c(O[C@H]2CCn3ccnc32)cccc1[N+](=O)[O-] ZINC001225691504 777194829 /nfs/dbraw/zinc/19/48/29/777194829.db2.gz SSQYRRIXTJCYRY-LBPRGKRZSA-N 0 0 259.265 2.624 20 5 CFBDRN O=c1cc(O[C@H](C[N+](=O)[O-])C2CC2)c2cc(F)ccc2[nH]1 ZINC001231393895 777209102 /nfs/dbraw/zinc/20/91/02/777209102.db2.gz NQMFEQJHBGOZBS-CYBMUJFWSA-N 0 0 292.266 2.514 20 5 CFBDRN CO[C@@H](C)CCOc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225779780 777270802 /nfs/dbraw/zinc/27/08/02/777270802.db2.gz RIRKZSSOHCKJEV-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN CCn1ccc(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)n1 ZINC001270144172 777319603 /nfs/dbraw/zinc/31/96/03/777319603.db2.gz JNDZJHOGLNFKNO-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225905129 777330189 /nfs/dbraw/zinc/33/01/89/777330189.db2.gz NUGZITRCWJLMTO-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN CC[C@H](C)c1ncc(NC(=O)CCC(C)(C)[N+](=O)[O-])cn1 ZINC001270263249 777344021 /nfs/dbraw/zinc/34/40/21/777344021.db2.gz ZWZKTHMXUSZZTL-JTQLQIEISA-N 0 0 294.355 2.764 20 5 CFBDRN O=[N+]([O-])c1cccc(CO[C@@H]2CCCC3(C2)OCCO3)c1 ZINC000712829172 777390067 /nfs/dbraw/zinc/39/00/67/777390067.db2.gz RAIZMWSRHXLZJZ-CQSZACIVSA-N 0 0 293.319 2.797 20 5 CFBDRN CC(=O)N1CCc2cc(NCC(C)C)cc([N+](=O)[O-])c21 ZINC001161650983 777426102 /nfs/dbraw/zinc/42/61/02/777426102.db2.gz MJQYZXMVNFYIJF-UHFFFAOYSA-N 0 0 277.324 2.572 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2C[C@H]2c2ccccc2)n1 ZINC001270812236 777496725 /nfs/dbraw/zinc/49/67/25/777496725.db2.gz JZYIJEKVIXXCDP-KBPBESRZSA-N 0 0 297.314 2.584 20 5 CFBDRN C[C@H](Oc1nc(Cl)ccc1[N+](=O)[O-])c1ncccn1 ZINC001226366392 777518013 /nfs/dbraw/zinc/51/80/13/777518013.db2.gz KUTOJUHWEFSOFE-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN CC[C@@H](C)Nc1cc(OC)c(C(=O)OC)cc1[N+](=O)[O-] ZINC001167998274 777545859 /nfs/dbraw/zinc/54/58/59/777545859.db2.gz CDDJIVJYYRKWPU-MRVPVSSYSA-N 0 0 282.296 2.600 20 5 CFBDRN CC[C@H](C)Nc1ccc(CC(=O)OC)c([N+](=O)[O-])c1 ZINC001167998127 777546290 /nfs/dbraw/zinc/54/62/90/777546290.db2.gz AXLIRKHBKJSXRD-VIFPVBQESA-N 0 0 266.297 2.521 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2cc(Cl)cc([N+](=O)[O-])n2)CCO1 ZINC001161690141 777562302 /nfs/dbraw/zinc/56/23/02/777562302.db2.gz DBNYUZZZQGZQSC-APPZFPTMSA-N 0 0 271.704 2.623 20 5 CFBDRN COc1nnc(O[C@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC001226524012 777567961 /nfs/dbraw/zinc/56/79/61/777567961.db2.gz KTENHQAJHQXONK-SSDOTTSWSA-N 0 0 281.293 2.595 20 5 CFBDRN COc1cc(OC2CCC(C)CC2)ncc1[N+](=O)[O-] ZINC001226582541 777579960 /nfs/dbraw/zinc/57/99/60/777579960.db2.gz XMRCLBFUUMINRG-UHFFFAOYSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1cccc(O[C@@H](C[N+](=O)[O-])C2CC2)c1Cl ZINC001226631441 777587599 /nfs/dbraw/zinc/58/75/99/777587599.db2.gz RWMVUDLHCPPDQM-NSHDSACASA-N 0 0 271.700 2.783 20 5 CFBDRN C[C@H](COC(C)(C)C)Oc1ccc([N+](=O)[O-])nc1 ZINC001226709656 777613242 /nfs/dbraw/zinc/61/32/42/777613242.db2.gz GAOIAXYPDJJJOE-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN CC(C)C[C@@H](Oc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)C ZINC001226709260 777613481 /nfs/dbraw/zinc/61/34/81/777613481.db2.gz HBMBUPGGPHXPLV-GFCCVEGCSA-N 0 0 296.323 2.735 20 5 CFBDRN C[C@H](Oc1ncncc1F)c1ccccc1[N+](=O)[O-] ZINC001226712260 777617710 /nfs/dbraw/zinc/61/77/10/777617710.db2.gz ZMLIKPHNFZQFHB-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CC[C@H]1CCCC[C@H]1Oc1ncc([N+](=O)[O-])cn1 ZINC001226732512 777626635 /nfs/dbraw/zinc/62/66/35/777626635.db2.gz WJTIOPHUOKGUCM-GXSJLCMTSA-N 0 0 251.286 2.732 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC001226732566 777627731 /nfs/dbraw/zinc/62/77/31/777627731.db2.gz WUZOURQUNLDEMC-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226745084 777632718 /nfs/dbraw/zinc/63/27/18/777632718.db2.gz XRXKBUOSGKDLEI-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1cccc([N+](=O)[O-])c1 ZINC001226763516 777637376 /nfs/dbraw/zinc/63/73/76/777637376.db2.gz VLUQALGGBDNPOR-SNVBAGLBSA-N 0 0 267.281 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@@H]2CCn3ccnc32)c1 ZINC001226805838 777656046 /nfs/dbraw/zinc/65/60/46/777656046.db2.gz DNUNKQAVXSCHAY-SNVBAGLBSA-N 0 0 279.683 2.969 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1O[C@@H]1CCOC[C@@H]1F ZINC001227158253 777776108 /nfs/dbraw/zinc/77/61/08/777776108.db2.gz TWEIQFBRFIWTEL-WCBMZHEXSA-N 0 0 293.653 2.893 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC1CCC(OC)CC1 ZINC001227185827 777786343 /nfs/dbraw/zinc/78/63/43/777786343.db2.gz ZOQAIFSJMWXIPN-UHFFFAOYSA-N 0 0 281.308 2.940 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2ccccc2[N+](=O)[O-])C1 ZINC001227484153 777846851 /nfs/dbraw/zinc/84/68/51/777846851.db2.gz JTFCKBCVCPJCJV-MNOVXSKESA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1csc(NC(=O)c2c(F)cccc2[N+](=O)[O-])n1 ZINC000714048393 777897004 /nfs/dbraw/zinc/89/70/04/777897004.db2.gz REVKBNMIXMYBND-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc(Cl)n1)c1cccnc1 ZINC001227930880 777963803 /nfs/dbraw/zinc/96/38/03/777963803.db2.gz UGAMYPHFLFNSFA-SNVBAGLBSA-N 0 0 279.683 2.527 20 5 CFBDRN CC(=O)c1cc(O[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC001233502380 777971997 /nfs/dbraw/zinc/97/19/97/777971997.db2.gz PIUJXICRJZLNIL-JOYOIKCWSA-N 0 0 279.292 2.744 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H]1CCC(F)(F)C1 ZINC001233554133 777989404 /nfs/dbraw/zinc/98/94/04/777989404.db2.gz QYSJUKQQBLAQIO-QMMMGPOBSA-N 0 0 258.224 2.865 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)c1ncccc1F ZINC001233557507 777990716 /nfs/dbraw/zinc/99/07/16/777990716.db2.gz VGJDIWRUVHLKGK-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN C[C@H](Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-])C(F)(F)F ZINC001233574030 777996783 /nfs/dbraw/zinc/99/67/83/777996783.db2.gz FCYNJKHWAPXXMZ-YFKPBYRVSA-N 0 0 280.158 2.833 20 5 CFBDRN O=C1CCC(Oc2ccnc(Cl)c2[N+](=O)[O-])CC1 ZINC001228053599 778002682 /nfs/dbraw/zinc/00/26/82/778002682.db2.gz DYWPZPAMRJIOOK-UHFFFAOYSA-N 0 0 270.672 2.534 20 5 CFBDRN O=C1OCC[C@@H]1Oc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC001228150716 778018617 /nfs/dbraw/zinc/01/86/17/778018617.db2.gz ASUJPTKXNMUIFG-QMMMGPOBSA-N 0 0 292.074 2.596 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(O[C@@H]2CCCOC2)c1 ZINC001228254988 778034853 /nfs/dbraw/zinc/03/48/53/778034853.db2.gz CKSJFZHDMKHQIG-SNVBAGLBSA-N 0 0 257.673 2.806 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cc(Cl)cnc1[N+](=O)[O-] ZINC001233715017 778051455 /nfs/dbraw/zinc/05/14/55/778051455.db2.gz FWOHKRUTLDMJHO-SECBINFHSA-N 0 0 270.672 2.534 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc2n[nH]cc21 ZINC001233743423 778061074 /nfs/dbraw/zinc/06/10/74/778061074.db2.gz JOZWPAQYAZYZSY-HNNXBMFYSA-N 0 0 298.302 2.663 20 5 CFBDRN CCO[C@@H](C)COc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233754622 778064371 /nfs/dbraw/zinc/06/43/71/778064371.db2.gz WBUAKXIGTVXRTA-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1O[C@@H]1CCOC[C@H]1F ZINC001233785820 778076820 /nfs/dbraw/zinc/07/68/20/778076820.db2.gz KLBAEJUELUMTHT-ZWNOBZJWSA-N 0 0 292.266 2.649 20 5 CFBDRN CCCNc1nc(C2CC2)nc(Cl)c1[N+](=O)[O-] ZINC001168132455 778128051 /nfs/dbraw/zinc/12/80/51/778128051.db2.gz IMPJFHUWAIKRKB-UHFFFAOYSA-N 0 0 256.693 2.738 20 5 CFBDRN COc1ccc(N=O)c(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234017484 778143074 /nfs/dbraw/zinc/14/30/74/778143074.db2.gz ODZWIKFHANSLHB-LBPRGKRZSA-N 0 0 266.253 2.527 20 5 CFBDRN Cc1cc(F)c(O[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC001234056125 778153704 /nfs/dbraw/zinc/15/37/04/778153704.db2.gz HGEXYPPNQJCTES-VIFPVBQESA-N 0 0 255.245 2.600 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2C[C@@H]3CC[C@H]2C3)cc1[N+](=O)[O-] ZINC001234056091 778154416 /nfs/dbraw/zinc/15/44/16/778154416.db2.gz CSLDCNVKGIAATA-ISTVAULSSA-N 0 0 291.303 2.949 20 5 CFBDRN Cc1cc(F)c(OC[C@@H]2CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC001234057690 778154781 /nfs/dbraw/zinc/15/47/81/778154781.db2.gz YDOIOSDQSNCLFG-ZJUUUORDSA-N 0 0 269.272 2.846 20 5 CFBDRN Cc1cc(F)c(O[C@H]2CCOC[C@@H]2F)cc1[N+](=O)[O-] ZINC001234058495 778154879 /nfs/dbraw/zinc/15/48/79/778154879.db2.gz FGJRETJFRHWRQI-ONGXEEELSA-N 0 0 273.235 2.548 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234060981 778154953 /nfs/dbraw/zinc/15/49/53/778154953.db2.gz SBPMOBDEMQEBFW-SECBINFHSA-N 0 0 283.255 2.539 20 5 CFBDRN C[C@H](NC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1CCC1 ZINC000138123409 778161101 /nfs/dbraw/zinc/16/11/01/778161101.db2.gz QUVOODSEGHMJNQ-ZETCQYMHSA-N 0 0 297.742 2.749 20 5 CFBDRN O=C1OC[C@H](COc2ccnc3c2ccc2cccnc23)O1 ZINC001234088426 778162608 /nfs/dbraw/zinc/16/26/08/778162608.db2.gz XMRGHJURALEWOT-NSHDSACASA-N 0 0 296.282 2.697 20 5 CFBDRN Cc1cc(NC2(c3ccccn3)CC2)ncc1[N+](=O)[O-] ZINC001168148611 778162990 /nfs/dbraw/zinc/16/29/90/778162990.db2.gz FHVVROIXWIDRDK-UHFFFAOYSA-N 0 0 270.292 2.794 20 5 CFBDRN Cc1cnc(NC2(c3ccccn3)CC2)cc1[N+](=O)[O-] ZINC001168151086 778164629 /nfs/dbraw/zinc/16/46/29/778164629.db2.gz KBUBSKWMGROVEV-UHFFFAOYSA-N 0 0 270.292 2.794 20 5 CFBDRN C[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2)[C@H]1CCO ZINC000697226314 778166614 /nfs/dbraw/zinc/16/66/14/778166614.db2.gz DWMBEUISAFIYBQ-FZMZJTMJSA-N 0 0 264.325 2.582 20 5 CFBDRN Cc1cccc(N2CC[C@H](C(C)(C)O)C2)c1[N+](=O)[O-] ZINC000721848908 778191527 /nfs/dbraw/zinc/19/15/27/778191527.db2.gz VAAABGLMKUODND-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN CSc1ncc(O[C@H](C[N+](=O)[O-])C2CC2)cc1C ZINC001234281775 778200608 /nfs/dbraw/zinc/20/06/08/778200608.db2.gz AFZQHVHDFLPQOS-LLVKDONJSA-N 0 0 268.338 2.546 20 5 CFBDRN CCOC(=O)Cc1ccc([N+](=O)[O-])c(O[C@@H]2C=CCC2)c1 ZINC001234412045 778219696 /nfs/dbraw/zinc/21/96/96/778219696.db2.gz XVZFPHFWHZSJTQ-GFCCVEGCSA-N 0 0 291.303 2.798 20 5 CFBDRN CCOc1nccc(O[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001234439169 778226153 /nfs/dbraw/zinc/22/61/53/778226153.db2.gz OJNKZLRZCLNOIW-SNVBAGLBSA-N 0 0 289.291 2.924 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCn2cccc2C1 ZINC000928956901 778229643 /nfs/dbraw/zinc/22/96/43/778229643.db2.gz GLDHKZZVSLNUKK-UHFFFAOYSA-N 0 0 299.330 2.669 20 5 CFBDRN OC[C@H]1C[C@@H](Nc2ncnc3cc4ccccc4cc32)C1 ZINC001168242456 778268334 /nfs/dbraw/zinc/26/83/34/778268334.db2.gz BQALEYGUHZWLFZ-VDISTLRHSA-N 0 0 279.343 2.966 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@H]2C[C@@H](CO)C2)cc2ccccc21 ZINC001168247726 778272382 /nfs/dbraw/zinc/27/23/82/778272382.db2.gz XNTBVXDOZGSPIL-KLPPZKSPSA-N 0 0 272.304 2.931 20 5 CFBDRN Cc1c(=O)c2ccccc2[nH]c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001234647719 778274753 /nfs/dbraw/zinc/27/47/53/778274753.db2.gz OVAUJSTWDZXDGW-ZDUSSCGKSA-N 0 0 288.303 2.683 20 5 CFBDRN Cc1ccnc(-c2noc(-c3cnc(C)c([N+](=O)[O-])c3)n2)c1 ZINC001213212124 778281257 /nfs/dbraw/zinc/28/12/57/778281257.db2.gz CSPQQIJFQJNFDR-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1ccncc1-c1noc(-c2cnc(C)c([N+](=O)[O-])c2)n1 ZINC001213212286 778282536 /nfs/dbraw/zinc/28/25/36/778282536.db2.gz MPRCBNLZRVZGMM-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CC(C)N1CC(Oc2nccc3c2cccc3[N+](=O)[O-])C1 ZINC001234673774 778285110 /nfs/dbraw/zinc/28/51/10/778285110.db2.gz CBDPEXNRIYFMCF-UHFFFAOYSA-N 0 0 287.319 2.614 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1nccc2c1cccc2[N+](=O)[O-] ZINC001234674211 778286139 /nfs/dbraw/zinc/28/61/39/778286139.db2.gz KDEOVQIIFMSEAN-MNOVXSKESA-N 0 0 288.303 2.947 20 5 CFBDRN CC(C)OCCOc1nccc2c1cccc2[N+](=O)[O-] ZINC001234674311 778286563 /nfs/dbraw/zinc/28/65/63/778286563.db2.gz MYFXGKXFTYTMDF-UHFFFAOYSA-N 0 0 276.292 2.947 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2ccsc2)n1 ZINC000147709334 778290472 /nfs/dbraw/zinc/29/04/72/778290472.db2.gz IBPCKNMCPTVKKL-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN Cc1ccc(Nc2cc3n(n2)CCCO3)c([N+](=O)[O-])c1 ZINC001214169548 778298437 /nfs/dbraw/zinc/29/84/37/778298437.db2.gz SJAIXDYIQWLZPF-UHFFFAOYSA-N 0 0 274.280 2.626 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC001229375982 778300255 /nfs/dbraw/zinc/30/02/55/778300255.db2.gz CPNIJTYAKMEQDP-XWEPSHTISA-N 0 0 285.683 2.579 20 5 CFBDRN Cc1cc(OCC(=O)OC(C)C)c(C)c(C)c1[N+](=O)[O-] ZINC001234871812 778317742 /nfs/dbraw/zinc/31/77/42/778317742.db2.gz OIWHZENNJMJUGT-UHFFFAOYSA-N 0 0 281.308 2.850 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2cc(C)c([N+](=O)[O-])c(C)c2C)C1 ZINC001234872119 778317939 /nfs/dbraw/zinc/31/79/39/778317939.db2.gz RPVBCWQCEBVZBD-HAQNSBGRSA-N 0 0 293.319 2.850 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2ccc(C)nc2)n1 ZINC001234878171 778319071 /nfs/dbraw/zinc/31/90/71/778319071.db2.gz HIHZOAPOLYTBAO-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CC[C@H](C)CC2)n1 ZINC001234875047 778319441 /nfs/dbraw/zinc/31/94/41/778319441.db2.gz ARWNZIPLMLJJLG-MGCOHNPYSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)CC(C)(C)OC)n1 ZINC001234880616 778320412 /nfs/dbraw/zinc/32/04/12/778320412.db2.gz FCUROOUIBKZMJY-SECBINFHSA-N 0 0 284.312 2.581 20 5 CFBDRN CCC[C@H](CC(=O)OCC)Oc1c(C)nccc1[N+](=O)[O-] ZINC001234901277 778325022 /nfs/dbraw/zinc/32/50/22/778325022.db2.gz ODTYFKUHSILQDL-LLVKDONJSA-N 0 0 296.323 2.799 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)COC(C)(C)C ZINC001234903036 778325038 /nfs/dbraw/zinc/32/50/38/778325038.db2.gz HJFOTTOVPMYJHH-VIFPVBQESA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1OCCOC1CCCC1 ZINC001234899689 778325713 /nfs/dbraw/zinc/32/57/13/778325713.db2.gz DZXVTZBWDDBYAG-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN CCOc1noc2cc(O[C@@H](C[N+](=O)[O-])C3CC3)ccc21 ZINC001234906464 778325958 /nfs/dbraw/zinc/32/59/58/778325958.db2.gz BMJWREYOZLRDIH-ZDUSSCGKSA-N 0 0 292.291 2.661 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H]1CCC(F)(F)C1 ZINC001234906186 778326474 /nfs/dbraw/zinc/32/64/74/778326474.db2.gz WTBKXDRXOXOMES-QMMMGPOBSA-N 0 0 258.224 2.865 20 5 CFBDRN CCOC[C@@H](C)OCc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC001223911153 778364016 /nfs/dbraw/zinc/36/40/16/778364016.db2.gz YSSNYMNEIHJVSN-SNVBAGLBSA-N 0 0 299.323 2.554 20 5 CFBDRN CCC(C)(C)C(=O)N(C)CCNc1ccccc1[N+](=O)[O-] ZINC001101924333 778371200 /nfs/dbraw/zinc/37/12/00/778371200.db2.gz LVGOFRULIYNPFH-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN CCOc1cc(NC2(CC)COC2)ccc1[N+](=O)[O-] ZINC001168325182 778432980 /nfs/dbraw/zinc/43/29/80/778432980.db2.gz IQAPWHKTFAMSIY-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN CCC1(Nc2cc([N+](=O)[O-])cc(C)c2F)COC1 ZINC001168325431 778433347 /nfs/dbraw/zinc/43/33/47/778433347.db2.gz OTXOMUPMQGABDU-UHFFFAOYSA-N 0 0 254.261 2.633 20 5 CFBDRN C[C@@]1(O)C[C@H](CNc2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC001168344539 778443802 /nfs/dbraw/zinc/44/38/02/778443802.db2.gz QNULUZJYYOYTQE-AVCCJPFPSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@@H](COC)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224330966 778472356 /nfs/dbraw/zinc/47/23/56/778472356.db2.gz NBLWGIFCZCOLQT-ZDUSSCGKSA-N 0 0 253.298 2.579 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)OCCc1ccsc1 ZINC001224534822 778565353 /nfs/dbraw/zinc/56/53/53/778565353.db2.gz DURZWZIADIYBEM-SNVBAGLBSA-N 0 0 295.364 2.809 20 5 CFBDRN CC(C)(C)CCO[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001224725846 778645110 /nfs/dbraw/zinc/64/51/10/778645110.db2.gz XCGNAMGPCAVMMR-GFCCVEGCSA-N 0 0 252.314 2.852 20 5 CFBDRN C[C@H](OCCC1(C)OCCO1)c1ccccc1[N+](=O)[O-] ZINC001224885443 778730599 /nfs/dbraw/zinc/73/05/99/778730599.db2.gz KHJHYBWENPGOBS-NSHDSACASA-N 0 0 281.308 2.826 20 5 CFBDRN Cc1ncc(CNc2cc3cc[nH]c3c([N+](=O)[O-])c2)cn1 ZINC001168386766 778762848 /nfs/dbraw/zinc/76/28/48/778762848.db2.gz DCNXFYPXAOELMY-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1cnc(C)nc1 ZINC001168387006 778765785 /nfs/dbraw/zinc/76/57/85/778765785.db2.gz NEWAEMNNVLMLFK-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@H](Oc1cc(F)c([N+](=O)[O-])c(F)c1)c1ncccn1 ZINC001233746564 779078857 /nfs/dbraw/zinc/07/88/57/779078857.db2.gz CHTBXNSXEVRGEB-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN CC(C)[C@H](Oc1ccnc([N+](=O)[O-])c1)C(=O)OC(C)(C)C ZINC001233857018 779096098 /nfs/dbraw/zinc/09/60/98/779096098.db2.gz HZLGHJPLCXKGFY-LBPRGKRZSA-N 0 0 296.323 2.735 20 5 CFBDRN Cc1ncc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc1Cl ZINC001233934434 779109550 /nfs/dbraw/zinc/10/95/50/779109550.db2.gz JIOIGYGHCQVCHZ-ZDUSSCGKSA-N 0 0 293.710 2.835 20 5 CFBDRN CSc1cccc(F)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001234248968 779176699 /nfs/dbraw/zinc/17/66/99/779176699.db2.gz HNRAHEOJNXTXQS-SNVBAGLBSA-N 0 0 271.313 2.982 20 5 CFBDRN CC(=O)Nc1ccc(NC[C@H]2CC(C)(C)O2)cc1[N+](=O)[O-] ZINC001168455081 779181468 /nfs/dbraw/zinc/18/14/68/779181468.db2.gz DQOMHQFVXVCWCY-LLVKDONJSA-N 0 0 293.323 2.533 20 5 CFBDRN CN(C)c1ccc(NC[C@H]2CC(C)(C)O2)c([N+](=O)[O-])c1 ZINC001168458961 779188308 /nfs/dbraw/zinc/18/83/08/779188308.db2.gz BWQNNVSVOATARX-LLVKDONJSA-N 0 0 279.340 2.640 20 5 CFBDRN Cc1cccc(C2(Nc3nc(C)ccc3[N+](=O)[O-])COC2)c1 ZINC001168469856 779236471 /nfs/dbraw/zinc/23/64/71/779236471.db2.gz GENHNSGTQHDKBT-UHFFFAOYSA-N 0 0 299.330 2.944 20 5 CFBDRN CCN(CCNc1ccc(C)cc1[N+](=O)[O-])C(=O)C1CC1 ZINC001106651407 779331338 /nfs/dbraw/zinc/33/13/38/779331338.db2.gz KGDUPVOKXCKFQF-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN CCCC(=O)N(CC)CCNc1cccc(F)c1[N+](=O)[O-] ZINC001106659609 779333947 /nfs/dbraw/zinc/33/39/47/779333947.db2.gz MRNLSUMZBCQKMO-UHFFFAOYSA-N 0 0 297.330 2.794 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(CCc2ccccc2)CC1 ZINC001123053668 779334810 /nfs/dbraw/zinc/33/48/10/779334810.db2.gz ZJIRTJBQMITNNZ-GFCCVEGCSA-N 0 0 277.320 2.608 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)[C@H]1Cc2ccc(Cl)cc21 ZINC001123354535 779391782 /nfs/dbraw/zinc/39/17/82/779391782.db2.gz AYOXTTPPSNKMPF-JQWIXIFHSA-N 0 0 283.711 2.578 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1NC1(c2cccnn2)CC1 ZINC001168515093 779426997 /nfs/dbraw/zinc/42/69/97/779426997.db2.gz YMDJGNGASWSDAR-UHFFFAOYSA-N 0 0 291.698 2.534 20 5 CFBDRN O=C(CSC(F)F)OCCc1ccc([N+](=O)[O-])cc1 ZINC000918985912 779486620 /nfs/dbraw/zinc/48/66/20/779486620.db2.gz DSTAKQXRFUBJBH-UHFFFAOYSA-N 0 0 291.275 2.636 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC001127499748 779499087 /nfs/dbraw/zinc/49/90/87/779499087.db2.gz JCARWXAKRFZVLK-UHFFFAOYSA-N 0 0 274.276 2.897 20 5 CFBDRN CCN(CCNc1ccccc1[N+](=O)[O-])C(=O)CCCF ZINC001106908524 779574803 /nfs/dbraw/zinc/57/48/03/779574803.db2.gz LSNWWJFOOUJGAA-UHFFFAOYSA-N 0 0 297.330 2.605 20 5 CFBDRN CC(C)CC(=O)NC/C=C/CNc1ccccc1[N+](=O)[O-] ZINC001107006724 779737396 /nfs/dbraw/zinc/73/73/96/779737396.db2.gz ALNRNWJSXZRGSY-AATRIKPKSA-N 0 0 291.351 2.725 20 5 CFBDRN CC(C)(C)C(=O)NC/C=C/CNc1ccc([N+](=O)[O-])cc1 ZINC001107165820 779816664 /nfs/dbraw/zinc/81/66/64/779816664.db2.gz ZZICGOJNFQNWDS-SNAWJCMRSA-N 0 0 291.351 2.725 20 5 CFBDRN COc1cc(C)c([N+](=O)[O-])cc1N[C@@H](CO)C(C)(C)C ZINC001168557738 779966033 /nfs/dbraw/zinc/96/60/33/779966033.db2.gz MSABJMJTCDVJME-ZDUSSCGKSA-N 0 0 282.340 2.731 20 5 CFBDRN CC(=O)[C@@H](C)OC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC001205243114 780030355 /nfs/dbraw/zinc/03/03/55/780030355.db2.gz XCIAKXUTSKCZPE-GFCCVEGCSA-N 0 0 292.335 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1NCCOc1cccnc1 ZINC001168616858 780089074 /nfs/dbraw/zinc/08/90/74/780089074.db2.gz FQPIGQVRMMHPQN-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000131747729 780258482 /nfs/dbraw/zinc/25/84/82/780258482.db2.gz VICIBZQDKSDKBF-SFYZADRCSA-N 0 0 268.700 2.634 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2c1CNC2=O)C(C)(C)C ZINC001168685753 780324605 /nfs/dbraw/zinc/32/46/05/780324605.db2.gz BNNQZLGKHWVULO-QMMMGPOBSA-N 0 0 277.324 2.685 20 5 CFBDRN CC[C@H]1CCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@H]1C ZINC001128278823 780378023 /nfs/dbraw/zinc/37/80/23/780378023.db2.gz BSFQIJYOLCMDGW-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN CCOC(=O)c1cccc([N+](=O)[O-])c1NC1CC(F)C1 ZINC001162212319 780617259 /nfs/dbraw/zinc/61/72/59/780617259.db2.gz HHPFZQPOGBHVSX-UHFFFAOYSA-N 0 0 282.271 2.684 20 5 CFBDRN COC[C@@H](CCNc1cc(Cl)ccc1[N+](=O)[O-])OC ZINC000694301709 780654755 /nfs/dbraw/zinc/65/47/55/780654755.db2.gz ZKMFYZCEKWLADI-SNVBAGLBSA-N 0 0 288.731 2.712 20 5 CFBDRN CCc1cnc(COc2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000700391353 780683584 /nfs/dbraw/zinc/68/35/84/780683584.db2.gz TXULIERCRGXEBJ-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCCOC1CCCC1 ZINC000701337841 780793579 /nfs/dbraw/zinc/79/35/79/780793579.db2.gz UCEAJIPJWJTTAI-UHFFFAOYSA-N 0 0 279.292 2.745 20 5 CFBDRN Cc1nnccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000701983345 780860283 /nfs/dbraw/zinc/86/02/83/780860283.db2.gz UFCHOAHCMFGJQA-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000702327260 780915084 /nfs/dbraw/zinc/91/50/84/780915084.db2.gz PKLFDYJDLKCVLK-CYBMUJFWSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1nc(SC)nc(NC(C)(C)C2CC2)c1[N+](=O)[O-] ZINC001162514450 781025097 /nfs/dbraw/zinc/02/50/97/781025097.db2.gz HTQPYGFBIFRNIV-UHFFFAOYSA-N 0 0 298.368 2.716 20 5 CFBDRN COc1cccc(NC2CCC(C)(O)CC2)c1[N+](=O)[O-] ZINC000703622516 781040380 /nfs/dbraw/zinc/04/03/80/781040380.db2.gz IIIYPAKYRFJUIP-UHFFFAOYSA-N 0 0 280.324 2.709 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229895192 781052157 /nfs/dbraw/zinc/05/21/57/781052157.db2.gz QJNARUOBPPYIFO-SECBINFHSA-N 0 0 285.271 2.763 20 5 CFBDRN Cc1cc(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)ccn1 ZINC001129073752 781113738 /nfs/dbraw/zinc/11/37/38/781113738.db2.gz JDBQVZCEYOAYTR-DAXSKMNVSA-N 0 0 297.314 2.628 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC[C@@H]1CCSC1 ZINC000704800120 781120052 /nfs/dbraw/zinc/12/00/52/781120052.db2.gz VIHHIGYUZGKAER-SECBINFHSA-N 0 0 253.327 2.545 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@@H]1CCSC1 ZINC000704871883 781125604 /nfs/dbraw/zinc/12/56/04/781125604.db2.gz DLJWDHTXWQEGGE-SNVBAGLBSA-N 0 0 284.385 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H](C(F)F)C2)cc1F ZINC000707169425 781229019 /nfs/dbraw/zinc/22/90/19/781229019.db2.gz WUAJVFSDOHALSB-SECBINFHSA-N 0 0 274.242 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H](C(F)F)C2)cc1F ZINC000707169428 781229084 /nfs/dbraw/zinc/22/90/84/781229084.db2.gz WUAJVFSDOHALSB-VIFPVBQESA-N 0 0 274.242 2.821 20 5 CFBDRN CC1(C)CO[C@H](COc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000708395055 781272142 /nfs/dbraw/zinc/27/21/42/781272142.db2.gz CKQVQBXVFZIWAN-JTQLQIEISA-N 0 0 269.272 2.928 20 5 CFBDRN CC(C)[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000708990732 781286989 /nfs/dbraw/zinc/28/69/89/781286989.db2.gz QZJGXKGNWRDQHB-LBPRGKRZSA-N 0 0 268.288 2.595 20 5 CFBDRN CC1(C)CC[C@H](CNc2ccc([N+](=O)[O-])cn2)OC1 ZINC000710714778 781336522 /nfs/dbraw/zinc/33/65/22/781336522.db2.gz YLKFRDUAXQOMAJ-LLVKDONJSA-N 0 0 265.313 2.607 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@H](CF)C1 ZINC000711489134 781445081 /nfs/dbraw/zinc/44/50/81/781445081.db2.gz ISYCYBXTXUZCTQ-SECBINFHSA-N 0 0 284.262 2.556 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@H](CF)C2)c([N+](=O)[O-])c1 ZINC000711516901 781451608 /nfs/dbraw/zinc/45/16/08/781451608.db2.gz DUESMBZGRTUVNB-LLVKDONJSA-N 0 0 280.299 2.983 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000711787964 781472190 /nfs/dbraw/zinc/47/21/90/781472190.db2.gz PIGTXPLGCQSRFA-SECBINFHSA-N 0 0 280.711 2.944 20 5 CFBDRN COC(C)(C)CCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000711854789 781481484 /nfs/dbraw/zinc/48/14/84/781481484.db2.gz NPUCUOLZEVMYQW-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cccn4ccnc34)no2)o1 ZINC001212571102 781510276 /nfs/dbraw/zinc/51/02/76/781510276.db2.gz WIIQQYPYJCEDEH-UHFFFAOYSA-N 0 0 297.230 2.553 20 5 CFBDRN Nc1ccccc1CNc1c(Cl)nccc1[N+](=O)[O-] ZINC001163884461 781604703 /nfs/dbraw/zinc/60/47/03/781604703.db2.gz IEMVTGZJDHCZOO-UHFFFAOYSA-N 0 0 278.699 2.838 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2C[C@@H]3[C@H](C2)C3(F)F)cc1[N+](=O)[O-] ZINC001118720118 781705444 /nfs/dbraw/zinc/70/54/44/781705444.db2.gz GYDJOYJNKFKDOC-JGPRNRPPSA-N 0 0 296.273 2.677 20 5 CFBDRN Cc1nc(N2CC[C@](C)(F)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC001164328469 781707012 /nfs/dbraw/zinc/70/70/12/781707012.db2.gz URZRTJJNDZYAPA-JQWIXIFHSA-N 0 0 271.267 2.575 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](F)C1)c1cc([N+](=O)[O-])ccc1F ZINC001118765231 781717470 /nfs/dbraw/zinc/71/74/70/781717470.db2.gz XBSLNNMGJPSXFQ-DTWKUNHWSA-N 0 0 284.262 2.744 20 5 CFBDRN CCC1CCN(c2ncc(OC)cc2[N+](=O)[O-])CC1 ZINC001164451311 781724155 /nfs/dbraw/zinc/72/41/55/781724155.db2.gz DCSSUVRRQNTZST-UHFFFAOYSA-N 0 0 265.313 2.625 20 5 CFBDRN COc1cc(NC[C@@H]2CCCCO2)cc([N+](=O)[O-])c1C ZINC001165241264 781746438 /nfs/dbraw/zinc/74/64/38/781746438.db2.gz RANKYSZKDBAUCL-LBPRGKRZSA-N 0 0 280.324 2.893 20 5 CFBDRN O=C(N1Cc2ccc([N+](=O)[O-])cc2C1)N1CCCCCC1 ZINC001119344799 781763248 /nfs/dbraw/zinc/76/32/48/781763248.db2.gz AFUDCNVPEUHBGI-UHFFFAOYSA-N 0 0 289.335 2.906 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CC2)[C@H]2CCCCO2)c(F)c1 ZINC000594589613 349453255 /nfs/dbraw/zinc/45/32/55/349453255.db2.gz DOMALORYYOCSNF-OLZOCXBDSA-N 0 0 295.314 2.889 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])c3cccnc23)CC1 ZINC000594592397 349453675 /nfs/dbraw/zinc/45/36/75/349453675.db2.gz MGNBQGUDSMKAMB-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CC2)[C@@H]2CCCCO2)c(F)c1 ZINC000594589615 349452953 /nfs/dbraw/zinc/45/29/53/349452953.db2.gz DOMALORYYOCSNF-STQMWFEESA-N 0 0 295.314 2.889 20 5 CFBDRN COCC1(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)CC1 ZINC000594592730 349454561 /nfs/dbraw/zinc/45/45/61/349454561.db2.gz UPOICZYWZVZQPZ-UHFFFAOYSA-N 0 0 291.351 2.707 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)Cc1ccccn1 ZINC000594594135 349454699 /nfs/dbraw/zinc/45/46/99/349454699.db2.gz NDVHFXTTXWWDKS-SNVBAGLBSA-N 0 0 289.339 2.558 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)C1 ZINC000594593581 349454871 /nfs/dbraw/zinc/45/48/71/349454871.db2.gz FBYXGMOTCDVECW-XYPYZODXSA-N 0 0 265.313 2.748 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@H]1CCCCO1 ZINC000594597673 349456292 /nfs/dbraw/zinc/45/62/92/349456292.db2.gz DQXXFSFGSFXUCO-NWDGAFQWSA-N 0 0 265.313 2.749 20 5 CFBDRN COC[C@@H](CC(C)(C)C)Nc1ccc([N+](=O)[O-])cn1 ZINC000594598274 349457085 /nfs/dbraw/zinc/45/70/85/349457085.db2.gz HDYJLQBRLSTLLY-SNVBAGLBSA-N 0 0 267.329 2.853 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CCC2(CCCC2)O1 ZINC000594600373 349457988 /nfs/dbraw/zinc/45/79/88/349457988.db2.gz QADOHXYLZBRMGR-LLVKDONJSA-N 0 0 277.324 2.894 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@H]1CC1CCCC1 ZINC000594600389 349458452 /nfs/dbraw/zinc/45/84/52/349458452.db2.gz QFLVBUCKLRQGTC-LBPRGKRZSA-N 0 0 278.356 2.877 20 5 CFBDRN CC(C)CCn1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000594650105 349467097 /nfs/dbraw/zinc/46/70/97/349467097.db2.gz QUGHJGNIVFMJNN-UHFFFAOYSA-N 0 0 290.323 2.811 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000594887109 349493875 /nfs/dbraw/zinc/49/38/75/349493875.db2.gz GWCDPEGYRHVHSO-BBBLOLIVSA-N 0 0 282.727 2.846 20 5 CFBDRN COC(=O)c1cc(OCC2CC=CC2)ccc1[N+](=O)[O-] ZINC000594886655 349494010 /nfs/dbraw/zinc/49/40/10/349494010.db2.gz AVRLTCJIMLJZGT-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN COc1ccc(OC[C@H](OC)C2CCC2)c([N+](=O)[O-])c1 ZINC000594882854 349493080 /nfs/dbraw/zinc/49/30/80/349493080.db2.gz NMADUXRPYZXFAX-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000594887110 349493506 /nfs/dbraw/zinc/49/35/06/349493506.db2.gz GWCDPEGYRHVHSO-KXUCPTDWSA-N 0 0 282.727 2.846 20 5 CFBDRN COc1ccc([C@@H](C)Cn2cccc([N+](=O)[O-])c2=O)cc1 ZINC000594887428 349493571 /nfs/dbraw/zinc/49/35/71/349493571.db2.gz LJMVHFJTEMDGFB-NSHDSACASA-N 0 0 288.303 2.569 20 5 CFBDRN COC[C@H](CNc1ccc(C(C)(C)C)c([N+](=O)[O-])c1)OC ZINC000594707428 349477799 /nfs/dbraw/zinc/47/77/99/349477799.db2.gz MZBVRGKZWCRPPL-LBPRGKRZSA-N 0 0 296.367 2.966 20 5 CFBDRN O=[N+]([O-])c1cn(CC[C@H]2CCc3ccccc32)cn1 ZINC000594885587 349493609 /nfs/dbraw/zinc/49/36/09/349493609.db2.gz IIRLQNDVXUAHIY-GFCCVEGCSA-N 0 0 257.293 2.911 20 5 CFBDRN C[C@@H](CCO)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000594888856 349494393 /nfs/dbraw/zinc/49/43/93/349494393.db2.gz CSHMPUXMZSYPLL-QMMMGPOBSA-N 0 0 259.302 2.738 20 5 CFBDRN CCC(CC)Cn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000594893337 349496343 /nfs/dbraw/zinc/49/63/43/349496343.db2.gz YATOIVLOQSXJCX-UHFFFAOYSA-N 0 0 258.705 2.846 20 5 CFBDRN CO[C@H](COc1c(F)cccc1[N+](=O)[O-])C1CCC1 ZINC000594896353 349497714 /nfs/dbraw/zinc/49/77/14/349497714.db2.gz WEXGTNSZAIODBQ-GFCCVEGCSA-N 0 0 269.272 2.928 20 5 CFBDRN CN1CCC[C@@H](CSc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000594896325 349497814 /nfs/dbraw/zinc/49/78/14/349497814.db2.gz VKGJPRGRDHKYTQ-VIFPVBQESA-N 0 0 298.339 2.694 20 5 CFBDRN CCC(C)(C)CCn1c(C)c([N+](=O)[O-])ccc1=O ZINC000594897083 349498190 /nfs/dbraw/zinc/49/81/90/349498190.db2.gz FUJOUAVYCIJXIC-UHFFFAOYSA-N 0 0 252.314 2.891 20 5 CFBDRN COc1ccc([C@H](C)Cn2cc([N+](=O)[O-])c(C)n2)cc1 ZINC000594897112 349498300 /nfs/dbraw/zinc/49/83/00/349498300.db2.gz GVBYZYKWSCYSHJ-SNVBAGLBSA-N 0 0 275.308 2.912 20 5 CFBDRN COc1cccc(SCCn2cc([N+](=O)[O-])c(C)n2)c1 ZINC000594897084 349498599 /nfs/dbraw/zinc/49/85/99/349498599.db2.gz FZCWAAIAWNAHBH-UHFFFAOYSA-N 0 0 293.348 2.901 20 5 CFBDRN CO[C@H](CSc1cccc([N+](=O)[O-])c1)[C@@H]1CCOC1 ZINC000594898424 349498620 /nfs/dbraw/zinc/49/86/20/349498620.db2.gz ASBYGGCVCFEDIY-ZWNOBZJWSA-N 0 0 283.349 2.738 20 5 CFBDRN CCC(CC)Cn1nc(-c2ccccc2[N+](=O)[O-])oc1=O ZINC000594899961 349499344 /nfs/dbraw/zinc/49/93/44/349499344.db2.gz JWEQZTRIVUKZJT-UHFFFAOYSA-N 0 0 291.307 2.848 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000594900034 349499361 /nfs/dbraw/zinc/49/93/61/349499361.db2.gz KYVRHBYZXAYONY-MXWKQRLJSA-N 0 0 288.303 2.939 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2cc(C)nc(C)n2)c1 ZINC000594905673 349500362 /nfs/dbraw/zinc/50/03/62/349500362.db2.gz AVWVRJGZBAHWDJ-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN CO[C@@H](COc1c(C)c(C)ncc1[N+](=O)[O-])C1CCC1 ZINC000594904864 349500426 /nfs/dbraw/zinc/50/04/26/349500426.db2.gz HCMWDIJWEJBWLZ-ZDUSSCGKSA-N 0 0 280.324 2.801 20 5 CFBDRN CC[C@H]1CCCN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000067709531 346816420 /nfs/dbraw/zinc/81/64/20/346816420.db2.gz TUZDEHOXHSIMTR-LBPRGKRZSA-N 0 0 291.351 2.655 20 5 CFBDRN CCCCCc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000273827211 192323664 /nfs/dbraw/zinc/32/36/64/192323664.db2.gz DIXMWIUPTYGCGW-UHFFFAOYSA-N 0 0 276.296 2.696 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124649252 187147032 /nfs/dbraw/zinc/14/70/32/187147032.db2.gz FGHCNLLYOFUMDN-ZJUUUORDSA-N 0 0 278.312 2.690 20 5 CFBDRN CCOc1cccc(N(C)C[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000273849570 192333551 /nfs/dbraw/zinc/33/35/51/192333551.db2.gz JPNBNMKTPITOHE-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN COC1(c2noc(Cc3cccc([N+](=O)[O-])c3)n2)CCC1 ZINC000273859209 192338290 /nfs/dbraw/zinc/33/82/90/192338290.db2.gz CBYIQZWPWKDYGJ-UHFFFAOYSA-N 0 0 289.291 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@H](C)[C@@H]1C ZINC000125005721 187165697 /nfs/dbraw/zinc/16/56/97/187165697.db2.gz WXQJPPIKNVEQCW-WDEREUQCSA-N 0 0 293.323 2.544 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@H](C)C2(CO)CC2)cc1[N+](=O)[O-] ZINC000594965786 349533495 /nfs/dbraw/zinc/53/34/95/349533495.db2.gz JWMSDKPXFBYZTH-GFCCVEGCSA-N 0 0 292.379 2.969 20 5 CFBDRN COCCSCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000083095762 178338282 /nfs/dbraw/zinc/33/82/82/178338282.db2.gz WMMLRHBSVDHTDU-UHFFFAOYSA-N 0 0 268.294 2.616 20 5 CFBDRN CNC(=O)c1ccc(N2CCCC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000274795836 290627043 /nfs/dbraw/zinc/62/70/43/290627043.db2.gz ZOHYWKZGAVNGNG-LLVKDONJSA-N 0 0 291.351 2.581 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000068000095 346831272 /nfs/dbraw/zinc/83/12/72/346831272.db2.gz HPFPAXSPJAOWTP-NSHDSACASA-N 0 0 285.303 2.823 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000068032254 346832663 /nfs/dbraw/zinc/83/26/63/346832663.db2.gz SHULEOKXVCLQNY-ZYANWLCNSA-N 0 0 290.319 2.839 20 5 CFBDRN CCOCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000125325647 187179227 /nfs/dbraw/zinc/17/92/27/187179227.db2.gz JAFYLOJJDJLRTL-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN CCC[C@H](C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000068186423 346839819 /nfs/dbraw/zinc/83/98/19/346839819.db2.gz AGENOYZVKJDTIZ-NSHDSACASA-N 0 0 280.324 2.526 20 5 CFBDRN Cc1noc(CN(C)c2cccc(C)c2)c1[N+](=O)[O-] ZINC000595308428 349599181 /nfs/dbraw/zinc/59/91/81/349599181.db2.gz JQBPPRSKIYGJDQ-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN Cc1noc(CN([C@@H](C)C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000595289047 349591197 /nfs/dbraw/zinc/59/11/97/349591197.db2.gz CPQLALZKOYJIKU-VIFPVBQESA-N 0 0 265.313 2.654 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595291353 349592061 /nfs/dbraw/zinc/59/20/61/349592061.db2.gz REFJKPWKMWSFPO-MFKMUULPSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1noc(CN(C)[C@@H](C)c2ccco2)c1[N+](=O)[O-] ZINC000595296504 349595078 /nfs/dbraw/zinc/59/50/78/349595078.db2.gz FDWIAPTUGNKBAZ-VIFPVBQESA-N 0 0 265.269 2.677 20 5 CFBDRN CCCCO[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000068500330 346856295 /nfs/dbraw/zinc/85/62/95/346856295.db2.gz CLNPFOVETSDNPB-SNVBAGLBSA-N 0 0 266.297 2.739 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)N(C)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595349592 349608677 /nfs/dbraw/zinc/60/86/77/349608677.db2.gz ZTIAEDVDZNVAGD-SNVBAGLBSA-N 0 0 298.314 2.506 20 5 CFBDRN CN(CCOC(C)(C)C)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595349294 349609228 /nfs/dbraw/zinc/60/92/28/349609228.db2.gz BASVACIKAXCVBL-UHFFFAOYSA-N 0 0 284.331 2.981 20 5 CFBDRN C[N@H+](CCC1CCOCC1)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595337881 349605497 /nfs/dbraw/zinc/60/54/97/349605497.db2.gz CHQOWFYZLXSNME-UHFFFAOYSA-N 0 0 296.342 2.982 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@@H](C(F)F)C1 ZINC000595404202 349617238 /nfs/dbraw/zinc/61/72/38/349617238.db2.gz OLKHEACXXIPTQC-SECBINFHSA-N 0 0 274.242 2.821 20 5 CFBDRN COc1ccc(-c2nc(CCC3CC3)no2)cc1[N+](=O)[O-] ZINC000273872249 192344511 /nfs/dbraw/zinc/34/45/11/192344511.db2.gz CXZBBWYQUAFVDL-UHFFFAOYSA-N 0 0 289.291 2.996 20 5 CFBDRN Cc1noc(CN2CC[C@@H](C)C(C)(C)C2)c1[N+](=O)[O-] ZINC000595439216 349626652 /nfs/dbraw/zinc/62/66/52/349626652.db2.gz YDZZMXLKDSRBIZ-SECBINFHSA-N 0 0 267.329 2.759 20 5 CFBDRN Cc1noc(CN[C@H](CC(F)(F)F)C(C)C)c1[N+](=O)[O-] ZINC000595447296 349628861 /nfs/dbraw/zinc/62/88/61/349628861.db2.gz GVXAQATUPDHPJA-MRVPVSSYSA-N 0 0 295.261 2.958 20 5 CFBDRN Cc1ccnc(N(C)C[C@H](C)c2nccs2)c1[N+](=O)[O-] ZINC000273122263 192049241 /nfs/dbraw/zinc/04/92/41/192049241.db2.gz HPNBDYPNGSALPK-JTQLQIEISA-N 0 0 292.364 2.995 20 5 CFBDRN O=C1[C@H](Nc2ccccc2[N+](=O)[O-])CCN1c1ccccc1 ZINC000068769712 346868527 /nfs/dbraw/zinc/86/85/27/346868527.db2.gz CCVKJHUXXYJUJN-CQSZACIVSA-N 0 0 297.314 2.812 20 5 CFBDRN CC(C)(C)[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000595464315 349634471 /nfs/dbraw/zinc/63/44/71/349634471.db2.gz AAHKBBPHDZZCCK-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN CC(C)(F)CCNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000595463781 349634954 /nfs/dbraw/zinc/63/49/54/349634954.db2.gz CIQMGVCMLVIDAX-UHFFFAOYSA-N 0 0 297.330 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCN2CC[C@@H]2C(F)(F)F)cc1 ZINC000595493639 349641365 /nfs/dbraw/zinc/64/13/65/349641365.db2.gz GHOHTYFEOKMMIP-LLVKDONJSA-N 0 0 290.241 2.610 20 5 CFBDRN CC(C)[C@H](NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000595474787 349637770 /nfs/dbraw/zinc/63/77/70/349637770.db2.gz FDEVPBJJPQRYPD-AWEZNQCLSA-N 0 0 291.351 2.829 20 5 CFBDRN COC[C@@H]1CCCCN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595522507 349649985 /nfs/dbraw/zinc/64/99/85/349649985.db2.gz KTILUYYZRLVXQF-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1noc(CN(C)Cc2c(F)cccc2F)c1[N+](=O)[O-] ZINC000595505102 349644275 /nfs/dbraw/zinc/64/42/75/349644275.db2.gz MHURAUXASQONIF-UHFFFAOYSA-N 0 0 297.261 2.801 20 5 CFBDRN CS[C@@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595511148 349646553 /nfs/dbraw/zinc/64/65/53/349646553.db2.gz QFVNGSNVZFTJEB-LLVKDONJSA-N 0 0 270.329 2.671 20 5 CFBDRN Cc1noc([C@H](C)N(C)Cc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000595562048 349660852 /nfs/dbraw/zinc/66/08/52/349660852.db2.gz LVLFDHXPJHNYFX-QMMMGPOBSA-N 0 0 294.286 2.618 20 5 CFBDRN O=C(N[C@H]1CCc2ccccc2C1)c1ccccc1[N+](=O)[O-] ZINC000069321014 346888799 /nfs/dbraw/zinc/88/87/99/346888799.db2.gz HXIGPLQEHVDPKU-AWEZNQCLSA-N 0 0 296.326 2.882 20 5 CFBDRN CC[C@H](SC)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000125923698 187205182 /nfs/dbraw/zinc/20/51/82/187205182.db2.gz BICQQVCLCYKTJK-NSHDSACASA-N 0 0 268.338 2.699 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000177058019 529536797 /nfs/dbraw/zinc/53/67/97/529536797.db2.gz SOPSFGQEZAMDQQ-NHYWBVRUSA-N 0 0 291.351 2.559 20 5 CFBDRN CC[C@@]1(C)CCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413192855 529528066 /nfs/dbraw/zinc/52/80/66/529528066.db2.gz NWRZYFCCCUPTQE-LBPRGKRZSA-N 0 0 253.277 2.755 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)C[C@@H]1CCCCO1 ZINC000125996706 187209960 /nfs/dbraw/zinc/20/99/60/187209960.db2.gz MBKNAEILFPKIBT-NSHDSACASA-N 0 0 270.354 2.657 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000126000840 187210119 /nfs/dbraw/zinc/21/01/19/187210119.db2.gz RIIZIJOVYZBRKX-SMDDNHRTSA-N 0 0 292.335 2.650 20 5 CFBDRN COc1cc(CN2CC[C@@H]3C[C@@H]3C2)c([N+](=O)[O-])cc1F ZINC000595811244 349737800 /nfs/dbraw/zinc/73/78/00/349737800.db2.gz UWGATURSXHTWJW-NXEZZACHSA-N 0 0 280.299 2.584 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CCC(C)C ZINC000070747477 346913208 /nfs/dbraw/zinc/91/32/08/346913208.db2.gz MUITUUYAGLCPQE-UHFFFAOYSA-N 0 0 267.281 2.945 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(C2CC2)CC1 ZINC000192714821 529602276 /nfs/dbraw/zinc/60/22/76/529602276.db2.gz POSUIILYOPVWTI-UHFFFAOYSA-N 0 0 264.329 2.722 20 5 CFBDRN Cc1cc(N2CCCc3n[nH]cc3C2)ccc1[N+](=O)[O-] ZINC000596003266 349775572 /nfs/dbraw/zinc/77/55/72/349775572.db2.gz PTXDGTWARYXXOS-UHFFFAOYSA-N 0 0 272.308 2.579 20 5 CFBDRN Cc1noc(CSC2(CO)CCCCC2)c1[N+](=O)[O-] ZINC000596022383 349777102 /nfs/dbraw/zinc/77/71/02/349777102.db2.gz UGWCGQOJDDZRIC-UHFFFAOYSA-N 0 0 286.353 2.820 20 5 CFBDRN Cc1noc(CNc2ccc(C)cc2F)c1[N+](=O)[O-] ZINC000596028379 349777647 /nfs/dbraw/zinc/77/76/47/349777647.db2.gz IDILIKCWTFHXNE-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CCCc1nccnc1NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000596026562 349777959 /nfs/dbraw/zinc/77/79/59/349777959.db2.gz PQCRFCUSLXNEHC-UHFFFAOYSA-N 0 0 286.335 2.680 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCCO2)c([N+](=O)[O-])s1 ZINC000596086531 349790491 /nfs/dbraw/zinc/79/04/91/349790491.db2.gz CYCZPBCHHSPUTQ-SNVBAGLBSA-N 0 0 270.354 2.970 20 5 CFBDRN CC(C)CC[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596087561 349791445 /nfs/dbraw/zinc/79/14/45/349791445.db2.gz HQXWRGDBRFKHTJ-SNVBAGLBSA-N 0 0 279.340 2.930 20 5 CFBDRN Cc1cc(CNc2cc(C)sc2[N+](=O)[O-])no1 ZINC000596090937 349791945 /nfs/dbraw/zinc/79/19/45/349791945.db2.gz SDWUXWYSQHVNPH-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN COC[C@@H](C)CNc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596090769 349792038 /nfs/dbraw/zinc/79/20/38/349792038.db2.gz VVJLRWGRFINYAT-QMMMGPOBSA-N 0 0 288.731 2.951 20 5 CFBDRN COc1cc(NCCn2ccnc2)c(Cl)cc1[N+](=O)[O-] ZINC000596060606 349784750 /nfs/dbraw/zinc/78/47/50/349784750.db2.gz VNRBJYMVLIHSDK-UHFFFAOYSA-N 0 0 296.714 2.565 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1N(C)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596073453 349787419 /nfs/dbraw/zinc/78/74/19/349787419.db2.gz PRKTUIYNCRNRTC-MFKMUULPSA-N 0 0 291.351 2.709 20 5 CFBDRN Cc1cc(NC[C@H]2CCCCO2)c([N+](=O)[O-])s1 ZINC000596091913 349792711 /nfs/dbraw/zinc/79/27/11/349792711.db2.gz PJXARHDDHWTFED-SECBINFHSA-N 0 0 256.327 2.946 20 5 CFBDRN CC[C@H](C)CNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000071782098 346923148 /nfs/dbraw/zinc/92/31/48/346923148.db2.gz VFODCLPHUPFCLK-VIFPVBQESA-N 0 0 250.298 2.679 20 5 CFBDRN Cc1ccccc1[C@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596105738 349795745 /nfs/dbraw/zinc/79/57/45/349795745.db2.gz MTUZZIMVGYCBOF-LBPRGKRZSA-N 0 0 299.330 2.998 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)CCCC1 ZINC000596118718 349798832 /nfs/dbraw/zinc/79/88/32/349798832.db2.gz BQHKOKFUBICIKO-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H](C)CC(C)(C)O)c1 ZINC000294980436 199282358 /nfs/dbraw/zinc/28/23/58/199282358.db2.gz LXYINBGVZGQSMM-VIFPVBQESA-N 0 0 268.313 2.565 20 5 CFBDRN Cc1cc(N2CCC(CCO)CC2)c([N+](=O)[O-])s1 ZINC000596116381 349798046 /nfs/dbraw/zinc/79/80/46/349798046.db2.gz KAGRTJRPDIASMT-UHFFFAOYSA-N 0 0 270.354 2.564 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CCCS2)ncc1[N+](=O)[O-] ZINC000126431314 187239355 /nfs/dbraw/zinc/23/93/55/187239355.db2.gz PPOUADXBQMBGIC-GFCCVEGCSA-N 0 0 267.354 2.996 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@H]2CCCC[C@H]21 ZINC000270072438 190594510 /nfs/dbraw/zinc/59/45/10/190594510.db2.gz BPUUFEVJXGEQMG-ZYHUDNBSSA-N 0 0 277.324 2.718 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC=C(C)CC1 ZINC000270143754 190603490 /nfs/dbraw/zinc/60/34/90/190603490.db2.gz RJPDHVHQPPWMAQ-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN Cc1cccc(C(=O)N2CC=C(C)CC2)c1[N+](=O)[O-] ZINC000270153663 190604928 /nfs/dbraw/zinc/60/49/28/190604928.db2.gz SMMJDAPZBSECGF-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c(C(N)=O)c1)C1CCCC1 ZINC000596128891 349801452 /nfs/dbraw/zinc/80/14/52/349801452.db2.gz QAFYMOYAKOYBRJ-VIFPVBQESA-N 0 0 277.324 2.684 20 5 CFBDRN CS[C@@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000294988830 199287536 /nfs/dbraw/zinc/28/75/36/199287536.db2.gz MWLNTPZEDGNZPI-SECBINFHSA-N 0 0 270.329 2.984 20 5 CFBDRN C[C@H](CCO)Nc1c(Br)cccc1[N+](=O)[O-] ZINC000596133277 349803028 /nfs/dbraw/zinc/80/30/28/349803028.db2.gz GKBBQDSOOPRNTJ-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN CCN(Cc1cccc(F)c1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000072633928 346933341 /nfs/dbraw/zinc/93/33/41/346933341.db2.gz VWIYGKBUGPXKJT-UHFFFAOYSA-N 0 0 292.266 2.989 20 5 CFBDRN Cc1nn(CCc2ccc(C)cc2)c(C)c1[N+](=O)[O-] ZINC000126681999 187254943 /nfs/dbraw/zinc/25/49/43/187254943.db2.gz BOPZZBKOHVOYFF-UHFFFAOYSA-N 0 0 259.309 2.959 20 5 CFBDRN CC(C)C1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596136851 349804454 /nfs/dbraw/zinc/80/44/54/349804454.db2.gz FRMONBJXQMVJNG-UHFFFAOYSA-N 0 0 265.313 2.568 20 5 CFBDRN Cc1cc(NC[C@@H](C)C[C@H](C)O)c([N+](=O)[O-])s1 ZINC000596135806 349803917 /nfs/dbraw/zinc/80/39/17/349803917.db2.gz MTKQIJCFTNXURW-YUMQZZPRSA-N 0 0 258.343 2.784 20 5 CFBDRN Cc1ccc(C[C@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)s1 ZINC000126707113 187256459 /nfs/dbraw/zinc/25/64/59/187256459.db2.gz LLSNTGTVDQUUCI-QMMMGPOBSA-N 0 0 294.332 2.919 20 5 CFBDRN COc1cc(NC[C@@H](C)CCO)c(Cl)cc1[N+](=O)[O-] ZINC000596139944 349805911 /nfs/dbraw/zinc/80/59/11/349805911.db2.gz ADXLHVFIGHGRTK-QMMMGPOBSA-N 0 0 288.731 2.687 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C1=CCCCC1 ZINC000126728050 187258813 /nfs/dbraw/zinc/25/88/13/187258813.db2.gz AKFPZSLVYWUDGF-UHFFFAOYSA-N 0 0 290.319 2.720 20 5 CFBDRN C[C@]1(c2ccccc2)C[C@@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596143064 349806306 /nfs/dbraw/zinc/80/63/06/349806306.db2.gz XFVBGOOVCHKZNP-SWLSCSKDSA-N 0 0 285.303 2.838 20 5 CFBDRN Cc1nn(C)c(-c2nc(-c3ccc(C)cc3)no2)c1[N+](=O)[O-] ZINC000172057091 282253584 /nfs/dbraw/zinc/25/35/84/282253584.db2.gz DQCTWRHLCRYLHQ-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN C[C@@]1(c2ccccc2)C[C@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596143057 349806475 /nfs/dbraw/zinc/80/64/75/349806475.db2.gz XFVBGOOVCHKZNP-DOMZBBRYSA-N 0 0 285.303 2.838 20 5 CFBDRN CC(C)(CO)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000294996923 199291721 /nfs/dbraw/zinc/29/17/21/199291721.db2.gz RBWAGMFPUSLXSD-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN O=C(Nc1ccccc1OC(F)F)c1cc([N+](=O)[O-])c[nH]1 ZINC000072841195 346943392 /nfs/dbraw/zinc/94/33/92/346943392.db2.gz PFYIHOKEYCZHHY-UHFFFAOYSA-N 0 0 297.217 2.777 20 5 CFBDRN CC[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596165938 349814440 /nfs/dbraw/zinc/81/44/40/349814440.db2.gz VPRUNQDYKNKBJU-QMMMGPOBSA-N 0 0 255.705 2.880 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@H](OC(C)C)C2(C)C)c1[N+](=O)[O-] ZINC000361618070 282275394 /nfs/dbraw/zinc/27/53/94/282275394.db2.gz ZWOMVMARBXIAQR-MNOVXSKESA-N 0 0 296.371 2.641 20 5 CFBDRN Cc1cccnc1CCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000295015168 199300610 /nfs/dbraw/zinc/30/06/10/199300610.db2.gz PWAHJTZPEORCNJ-UHFFFAOYSA-N 0 0 286.335 2.925 20 5 CFBDRN C[C@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C[C@@H](C)C1 ZINC000596172078 349817045 /nfs/dbraw/zinc/81/70/45/349817045.db2.gz JAPPFOROBVRUSG-UWVGGRQHSA-N 0 0 265.313 2.568 20 5 CFBDRN CS[C@@H]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000295026870 199307552 /nfs/dbraw/zinc/30/75/52/199307552.db2.gz RIALUBJULNIFKW-SSDOTTSWSA-N 0 0 296.377 2.541 20 5 CFBDRN C[C@@H](CNc1ncccc1[N+](=O)[O-])Oc1ccccc1 ZINC000072945761 346951693 /nfs/dbraw/zinc/95/16/93/346951693.db2.gz ZLVPUJPDLYHHIB-NSHDSACASA-N 0 0 273.292 2.869 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1N1CC(F)C1 ZINC000596191581 349822282 /nfs/dbraw/zinc/82/22/82/349822282.db2.gz YGAZYKPXJVDEPV-UHFFFAOYSA-N 0 0 275.077 2.515 20 5 CFBDRN Cc1cccc([C@H]2CCN(c3ccc(N)c([N+](=O)[O-])n3)C2)c1 ZINC000596193210 349823208 /nfs/dbraw/zinc/82/32/08/349823208.db2.gz KUZPULARCHGOPV-ZDUSSCGKSA-N 0 0 298.346 2.874 20 5 CFBDRN Cc1cnc([C@@H](C)CNc2cc[nH]c(=O)c2[N+](=O)[O-])s1 ZINC000596199608 349825685 /nfs/dbraw/zinc/82/56/85/349825685.db2.gz TYOGBKOXQZKYOS-ZETCQYMHSA-N 0 0 294.336 2.676 20 5 CFBDRN CO[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CC[C@@H]1C ZINC000596202048 349825729 /nfs/dbraw/zinc/82/57/29/349825729.db2.gz ABGXARNDQQAXPH-GZMMTYOYSA-N 0 0 285.731 2.504 20 5 CFBDRN CO[C@H]1CN(c2cc(C)sc2[N+](=O)[O-])CC[C@H]1C ZINC000596203469 349826319 /nfs/dbraw/zinc/82/63/19/349826319.db2.gz NSIRUOTZDPKLFH-KCJUWKMLSA-N 0 0 270.354 2.826 20 5 CFBDRN COc1cc(NC[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])cc1C ZINC000596229885 349833765 /nfs/dbraw/zinc/83/37/65/349833765.db2.gz QMJQBSDRJFVBRT-RYUDHWBXSA-N 0 0 294.351 2.996 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596209794 349827891 /nfs/dbraw/zinc/82/78/91/349827891.db2.gz RESGSRPPCMKAEE-CYBMUJFWSA-N 0 0 284.381 2.954 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000596211468 349827942 /nfs/dbraw/zinc/82/79/42/349827942.db2.gz SISQXVJGNGMBEX-NSHDSACASA-N 0 0 279.340 2.925 20 5 CFBDRN CC(C)=CCSc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596214020 349828431 /nfs/dbraw/zinc/82/84/31/349828431.db2.gz MKBLMYHRTKLHFE-UHFFFAOYSA-N 0 0 266.322 2.752 20 5 CFBDRN O=[N+]([O-])c1cc(NCCCCO)ccc1C(F)(F)F ZINC000596213923 349828461 /nfs/dbraw/zinc/82/84/61/349828461.db2.gz KLANFWTVLUUKTI-UHFFFAOYSA-N 0 0 278.230 2.798 20 5 CFBDRN Cc1nn(C[C@@H]2CCCCC2(F)F)cc1[N+](=O)[O-] ZINC000295441200 199511307 /nfs/dbraw/zinc/51/13/07/199511307.db2.gz VONZHZNYAWVNJG-VIFPVBQESA-N 0 0 259.256 2.925 20 5 CFBDRN NC(=O)c1cc(SCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000596216930 349829419 /nfs/dbraw/zinc/82/94/19/349829419.db2.gz HZDXWYFJFGQMEE-UHFFFAOYSA-N 0 0 294.254 2.738 20 5 CFBDRN O=c1[nH]ccc(SCCC(F)(F)F)c1[N+](=O)[O-] ZINC000596216043 349829436 /nfs/dbraw/zinc/82/94/36/349829436.db2.gz CALWPQBQIXFWFQ-UHFFFAOYSA-N 0 0 268.216 2.740 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596217628 349830054 /nfs/dbraw/zinc/83/00/54/349830054.db2.gz VOODPESEGRJTMY-LLVKDONJSA-N 0 0 299.758 2.895 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC2(CCOC2)CC1 ZINC000596220501 349831003 /nfs/dbraw/zinc/83/10/03/349831003.db2.gz OZZXPVJXJARZBC-UHFFFAOYSA-N 0 0 297.742 2.650 20 5 CFBDRN CCOC[C@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000072946496 346952605 /nfs/dbraw/zinc/95/26/05/346952605.db2.gz KIKSZFNXFJKWCT-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1cc(C)sc1[N+](=O)[O-] ZINC000596240649 349837328 /nfs/dbraw/zinc/83/73/28/349837328.db2.gz ZGTDFGQWHFHFFT-SECBINFHSA-N 0 0 299.396 2.929 20 5 CFBDRN Cc1cccc(N2CCN(c3ccc([N+](=O)[O-])nc3)CC2)c1 ZINC000072991618 346954096 /nfs/dbraw/zinc/95/40/96/346954096.db2.gz TWORMGRJGYAEOU-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CCOc1cc(N2C[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000596245812 349839043 /nfs/dbraw/zinc/83/90/43/349839043.db2.gz AMGZSICNCYJPSP-NXEZZACHSA-N 0 0 250.298 2.838 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596248681 349839525 /nfs/dbraw/zinc/83/95/25/349839525.db2.gz AWGORPKOPZVASR-MNOVXSKESA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)[C@H]1C ZINC000596248996 349839652 /nfs/dbraw/zinc/83/96/52/349839652.db2.gz ORISCRAOTDKVFL-ZJUUUORDSA-N 0 0 257.293 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H](O)c2ccccc2)n1 ZINC000128012705 187346746 /nfs/dbraw/zinc/34/67/46/187346746.db2.gz GPVAARANYHBSBG-CQSZACIVSA-N 0 0 287.319 2.834 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1C[C@@H](C)[C@@H]1C ZINC000596249473 349840145 /nfs/dbraw/zinc/84/01/45/349840145.db2.gz QBLAUVCZROKFRJ-ZJUUUORDSA-N 0 0 278.308 2.534 20 5 CFBDRN C[C@@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])[C@H]1C ZINC000596249487 349840599 /nfs/dbraw/zinc/84/05/99/349840599.db2.gz QIHAAUKKBGKLBY-ZJUUUORDSA-N 0 0 257.293 2.988 20 5 CFBDRN C[C@H]1CN(c2nc3c(cc2[N+](=O)[O-])CCCC3)[C@H]1C ZINC000596249006 349840655 /nfs/dbraw/zinc/84/06/55/349840655.db2.gz OVPHEAPPRZHLOM-UWVGGRQHSA-N 0 0 261.325 2.713 20 5 CFBDRN CC1(COc2ccc([N+](=O)[O-])c(CO)c2)CCC1 ZINC000596272470 349849567 /nfs/dbraw/zinc/84/95/67/349849567.db2.gz QZNGGJSSEIUZKF-UHFFFAOYSA-N 0 0 251.282 2.656 20 5 CFBDRN Cc1cn(-c2cccc([N+](=O)[O-])c2)c(=O)n1CCCCF ZINC000596278628 349851722 /nfs/dbraw/zinc/85/17/22/349851722.db2.gz RMMUASOKYZXKCL-UHFFFAOYSA-N 0 0 293.298 2.605 20 5 CFBDRN C[C@H](NC(=O)C1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000295466723 199521985 /nfs/dbraw/zinc/52/19/85/199521985.db2.gz YBBAOUCFFWVWSO-JTQLQIEISA-N 0 0 260.293 2.738 20 5 CFBDRN NC(=O)c1cc(N[C@@H](C2CC2)C2CCC2)ccc1[N+](=O)[O-] ZINC000596260104 349845060 /nfs/dbraw/zinc/84/50/60/349845060.db2.gz AAYSLKVFLBFDEX-CQSZACIVSA-N 0 0 289.335 2.684 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1c(F)cccc1[N+](=O)[O-] ZINC000596260771 349845684 /nfs/dbraw/zinc/84/56/84/349845684.db2.gz LMXWNHWWTAEYLR-UHFFFAOYSA-N 0 0 278.287 2.728 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000596260693 349845812 /nfs/dbraw/zinc/84/58/12/349845812.db2.gz KBTSONVCOFJFQR-UHFFFAOYSA-N 0 0 274.324 2.898 20 5 CFBDRN CCc1nc(CC)n(Cc2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000596261614 349846397 /nfs/dbraw/zinc/84/63/97/349846397.db2.gz SAHZFGRZLZSVJT-UHFFFAOYSA-N 0 0 296.277 2.638 20 5 CFBDRN Cc1noc(COc2cccc(N(C)C)c2)c1[N+](=O)[O-] ZINC000596264452 349847192 /nfs/dbraw/zinc/84/71/92/349847192.db2.gz IPHKVEMBEJERCB-UHFFFAOYSA-N 0 0 277.280 2.536 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@H](c2ccccn2)C1 ZINC000596306441 349858502 /nfs/dbraw/zinc/85/85/02/349858502.db2.gz QLWMDGVNFFKSLE-LBPRGKRZSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1cc(N2CC[C@@H]3OCC[C@@H]3C2)c([N+](=O)[O-])s1 ZINC000596285917 349852828 /nfs/dbraw/zinc/85/28/28/349852828.db2.gz HIRKZWWCTRPANI-KOLCDFICSA-N 0 0 268.338 2.580 20 5 CFBDRN Cc1cc(N2CC3(CC3(F)F)C2)c([N+](=O)[O-])s1 ZINC000596290718 349854262 /nfs/dbraw/zinc/85/42/62/349854262.db2.gz CMKHRLBGGFGNSB-UHFFFAOYSA-N 0 0 260.265 2.810 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@]34C[C@@H]3CCC4)cc2N1 ZINC000596316144 349861579 /nfs/dbraw/zinc/86/15/79/349861579.db2.gz GLEBGNCZFPZHOG-BONVTDFDSA-N 0 0 287.319 2.834 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000073337221 346972597 /nfs/dbraw/zinc/97/25/97/346972597.db2.gz YTWKSOBVKQXLDN-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])c(C)c1)C1CCOCC1 ZINC000596328893 349865309 /nfs/dbraw/zinc/86/53/09/349865309.db2.gz ISZCDWRHADXHJX-AWEZNQCLSA-N 0 0 294.351 2.757 20 5 CFBDRN CC[C@H](COCC1CC1)Nc1ccc([N+](=O)[O-])nc1 ZINC000596327923 349865346 /nfs/dbraw/zinc/86/53/46/349865346.db2.gz LCULYOOOQSGPDB-LLVKDONJSA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000596335083 349868226 /nfs/dbraw/zinc/86/82/26/349868226.db2.gz OJEYSCRXLNWZGQ-AWEZNQCLSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1cc(N2CCO[C@](C)(C3CC3)C2)c([N+](=O)[O-])s1 ZINC000596339138 349869511 /nfs/dbraw/zinc/86/95/11/349869511.db2.gz RMXQUROQFQZFHA-ZDUSSCGKSA-N 0 0 282.365 2.970 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)c2ncnn21 ZINC000596343920 349871105 /nfs/dbraw/zinc/87/11/05/349871105.db2.gz QJYFUNFMEHRPOK-CPCISQLKSA-N 0 0 293.714 2.958 20 5 CFBDRN Cn1nccc1CSCc1ccc(F)cc1[N+](=O)[O-] ZINC000596347578 349872583 /nfs/dbraw/zinc/87/25/83/349872583.db2.gz CKWIDRRLPOVOIU-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN COc1cc(C)cc(CNc2c([N+](=O)[O-])c(C)nn2C)c1 ZINC000128096644 187351105 /nfs/dbraw/zinc/35/11/05/187351105.db2.gz UXJXCFBQCWVWDG-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000596334116 349867366 /nfs/dbraw/zinc/86/73/66/349867366.db2.gz ONQWXCTYXWBSFM-LBPRGKRZSA-N 0 0 268.338 2.662 20 5 CFBDRN Cc1noc(CSCC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000596356741 349875754 /nfs/dbraw/zinc/87/57/54/349875754.db2.gz JPTMVBADQDMZDA-VIFPVBQESA-N 0 0 272.326 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@](C)(O)c2cccs2)n1 ZINC000127028013 187277588 /nfs/dbraw/zinc/27/75/88/187277588.db2.gz KLISMWNKUMEDFA-CYBMUJFWSA-N 0 0 293.348 2.679 20 5 CFBDRN CC(C)CN(C(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000074234599 347003606 /nfs/dbraw/zinc/00/36/06/347003606.db2.gz BYWAGWQZNLMNOH-UHFFFAOYSA-N 0 0 262.309 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(N3CCCC3)nc2)cn1 ZINC000074271453 347006432 /nfs/dbraw/zinc/00/64/32/347006432.db2.gz OOFDYRRTKKZGBS-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN COC[C@H](CSCc1cccc([N+](=O)[O-])c1C)OC ZINC000596398496 349889342 /nfs/dbraw/zinc/88/93/42/349889342.db2.gz PHEHJPBNPMEPDI-GFCCVEGCSA-N 0 0 285.365 2.798 20 5 CFBDRN CNC(=O)c1ccc(N(C)[C@@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000428666244 529940631 /nfs/dbraw/zinc/94/06/31/529940631.db2.gz HEDLKCXWKKAYHP-ZYHUDNBSSA-N 0 0 291.351 2.579 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@H](C2CC2)C1 ZINC000290577305 197754363 /nfs/dbraw/zinc/75/43/63/197754363.db2.gz HDCHPSFOHYJHRQ-JTQLQIEISA-N 0 0 280.349 2.919 20 5 CFBDRN CC(C)CCCNC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000074517447 347019277 /nfs/dbraw/zinc/01/92/77/347019277.db2.gz UVQAGLMUSDKTKJ-UHFFFAOYSA-N 0 0 290.323 2.637 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCC[C@@H]2[C@@H](C)CO)c1 ZINC000596431821 349901329 /nfs/dbraw/zinc/90/13/29/349901329.db2.gz COWQFQRLVAGFOK-QWHCGFSZSA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cc(N(C)Cc2ccccc2)ncc1[N+](=O)[O-] ZINC000074724588 347028789 /nfs/dbraw/zinc/02/87/89/347028789.db2.gz NRZQFFBRZPPUPU-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN C[C@H](Nc1ccnc2c1cccc2[N+](=O)[O-])C1(CO)CC1 ZINC000596439106 349902930 /nfs/dbraw/zinc/90/29/30/349902930.db2.gz YKHIRIXUOCUOHT-JTQLQIEISA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cccc(NCCNc2cc(C)c([N+](=O)[O-])cn2)n1 ZINC000074751488 347030363 /nfs/dbraw/zinc/03/03/63/347030363.db2.gz PLIRTXXPPDVJME-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@H](C)[C@H]2CCO)n1 ZINC000596443607 349905139 /nfs/dbraw/zinc/90/51/39/349905139.db2.gz PKSXUWKAPTVJDL-GXFFZTMASA-N 0 0 293.367 2.594 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCO[C@H]2CC2(F)F)c(F)c1 ZINC000596446958 349905835 /nfs/dbraw/zinc/90/58/35/349905835.db2.gz JBCFXTGHFUSVIX-VIFPVBQESA-N 0 0 294.204 2.709 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCO[C@@H]1CC1(F)F ZINC000596448810 349906529 /nfs/dbraw/zinc/90/65/29/349906529.db2.gz ZKZGYDJPDAODHU-LLVKDONJSA-N 0 0 272.251 2.739 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](C3CCCCC3)C2)nc1 ZINC000596452523 349906851 /nfs/dbraw/zinc/90/68/51/349906851.db2.gz GDOYAEOIDIRYFY-GFCCVEGCSA-N 0 0 276.340 2.791 20 5 CFBDRN Cc1ccc(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])cc1C ZINC000596454508 349907150 /nfs/dbraw/zinc/90/71/50/349907150.db2.gz RDNUEULOQOSOFW-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CCc1nnc(Sc2c([N+](=O)[O-])c(C)nn2CC)s1 ZINC000596467873 349909123 /nfs/dbraw/zinc/90/91/23/349909123.db2.gz ATJTZIOLPOHRFQ-UHFFFAOYSA-N 0 0 299.381 2.685 20 5 CFBDRN CCc1nnc(Sc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000596468262 349909292 /nfs/dbraw/zinc/90/92/92/349909292.db2.gz DCQXXLYMDOEDCI-UHFFFAOYSA-N 0 0 282.350 2.863 20 5 CFBDRN CC(C)(F)CCNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000596467514 349909372 /nfs/dbraw/zinc/90/93/72/349909372.db2.gz BOXABMBVWLEXTD-UHFFFAOYSA-N 0 0 278.287 2.510 20 5 CFBDRN Cc1cc(N(C)CC2=CCCOC2)c([N+](=O)[O-])s1 ZINC000596463885 349908649 /nfs/dbraw/zinc/90/86/49/349908649.db2.gz AOKAOBRSPIUVJF-UHFFFAOYSA-N 0 0 268.338 2.748 20 5 CFBDRN CC(C)(C)OCCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000596469182 349910071 /nfs/dbraw/zinc/91/00/71/349910071.db2.gz VDSARAWIRWZJFB-UHFFFAOYSA-N 0 0 295.339 2.922 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC[C@H]3C[C@H]32)ncc1[N+](=O)[O-] ZINC000596472089 349910661 /nfs/dbraw/zinc/91/06/61/349910661.db2.gz BQEQHYVGTPEHPD-SDDRHHMPSA-N 0 0 261.325 2.568 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000596471948 349910684 /nfs/dbraw/zinc/91/06/84/349910684.db2.gz GEZMVMGHXKWWCK-LBPRGKRZSA-N 0 0 263.297 2.905 20 5 CFBDRN COc1cccc(NC[C@@H]2CCC[C@H](C)O2)c1[N+](=O)[O-] ZINC000596472499 349910701 /nfs/dbraw/zinc/91/07/01/349910701.db2.gz BOXRDDIXFORINK-QWRGUYRKSA-N 0 0 280.324 2.973 20 5 CFBDRN CN(Cc1ccc(Br)cc1[N+](=O)[O-])C1CC1 ZINC000425407150 529982778 /nfs/dbraw/zinc/98/27/78/529982778.db2.gz OEHIZHRPOLVRJV-UHFFFAOYSA-N 0 0 285.141 2.952 20 5 CFBDRN C[C@H](CNc1cccc(F)c1[N+](=O)[O-])CC1(C)OCCO1 ZINC000075053743 347051390 /nfs/dbraw/zinc/05/13/90/347051390.db2.gz WZHCNLNGJUWXCM-JTQLQIEISA-N 0 0 298.314 2.935 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCC[C@H](C)O2)n1 ZINC000596473608 349911019 /nfs/dbraw/zinc/91/10/19/349911019.db2.gz HFXKOQUEHMHCOW-NWDGAFQWSA-N 0 0 279.340 2.976 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2ncc([N+](=O)[O-])cc2C)C1(C)C ZINC000075064457 347052717 /nfs/dbraw/zinc/05/27/17/347052717.db2.gz BRNYDBREUJRCAT-RISCZKNCSA-N 0 0 279.340 2.914 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2ccc([N+](=O)[O-])c(N)c2F)O1 ZINC000596473003 349911344 /nfs/dbraw/zinc/91/13/44/349911344.db2.gz DQTYEZZOFFMKHF-IUCAKERBSA-N 0 0 283.303 2.686 20 5 CFBDRN Cc1nc(NC[C@@H]2CCC[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000596473470 349911372 /nfs/dbraw/zinc/91/13/72/349911372.db2.gz HWNCKQUDNCYBRM-SDDRHHMPSA-N 0 0 261.325 2.568 20 5 CFBDRN COCc1csc(CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000596474150 349911908 /nfs/dbraw/zinc/91/19/08/349911908.db2.gz YYZATWDHNFKWFJ-UHFFFAOYSA-N 0 0 279.321 2.810 20 5 CFBDRN NC(=O)c1ccc(NC[C@@H]2CCC[C@@H]3C[C@@H]32)c([N+](=O)[O-])c1 ZINC000596474318 349911928 /nfs/dbraw/zinc/91/19/28/349911928.db2.gz NFMBGOZADNUXSK-USWWRNFRSA-N 0 0 289.335 2.542 20 5 CFBDRN NC(=O)c1cc(NC[C@@H]2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000596475640 349912477 /nfs/dbraw/zinc/91/24/77/349912477.db2.gz ZYDGFEXZAXAQDG-SCVCMEIPSA-N 0 0 289.335 2.542 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CCF)C2)ncc1[N+](=O)[O-] ZINC000596477477 349913175 /nfs/dbraw/zinc/91/31/75/349913175.db2.gz DAEBKAHJRYDLJO-NSHDSACASA-N 0 0 267.304 2.874 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2COc3cc(F)ccc3C2)s1 ZINC000596476672 349913294 /nfs/dbraw/zinc/91/32/94/349913294.db2.gz FPMHEPFTMLFTKA-VIFPVBQESA-N 0 0 295.295 2.606 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@]1(C)CC1(F)F ZINC000596479499 349914513 /nfs/dbraw/zinc/91/45/13/349914513.db2.gz WFBFQEXAZFBALT-JTQLQIEISA-N 0 0 274.271 2.577 20 5 CFBDRN Cc1cc(NC[C@]2(C)CC2(F)F)ncc1[N+](=O)[O-] ZINC000596480228 349914533 /nfs/dbraw/zinc/91/45/33/349914533.db2.gz ZRJREPOSCOPAKT-JTQLQIEISA-N 0 0 257.240 2.755 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1c(Cl)cccc1[N+](=O)[O-])C2 ZINC000596481596 349915202 /nfs/dbraw/zinc/91/52/02/349915202.db2.gz CYSANGYSARKBSN-TVQRCGJNSA-N 0 0 282.727 2.721 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@]1(C)CC1(F)F ZINC000596479438 349914725 /nfs/dbraw/zinc/91/47/25/349914725.db2.gz VHGARSRHQQRHQO-SNVBAGLBSA-N 0 0 257.240 2.755 20 5 CFBDRN COCCN(C(=O)c1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000075446791 347077566 /nfs/dbraw/zinc/07/75/66/347077566.db2.gz PVCVAFUHRIRBQP-UHFFFAOYSA-N 0 0 292.335 2.626 20 5 CFBDRN COC(=O)C[C@H](CNc1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000596491758 349918827 /nfs/dbraw/zinc/91/88/27/349918827.db2.gz LHIJYKBQVSJGRI-GFCCVEGCSA-N 0 0 292.335 2.904 20 5 CFBDRN C[C@H]1CN(c2ccc3cc([N+](=O)[O-])ccc3n2)C[C@H](C)O1 ZINC000075469778 347078730 /nfs/dbraw/zinc/07/87/30/347078730.db2.gz JGAWMGRGMYOGEV-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN COC(=O)C[C@H](CNc1cc(C)sc1[N+](=O)[O-])C1CC1 ZINC000596495657 349919472 /nfs/dbraw/zinc/91/94/72/349919472.db2.gz ZBHCIHCBXCPBLT-SNVBAGLBSA-N 0 0 298.364 2.966 20 5 CFBDRN CCOc1cc(N2CC[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000596493802 349919565 /nfs/dbraw/zinc/91/95/65/349919565.db2.gz KWYMEQFIHVAIOU-NEPJUHHUSA-N 0 0 294.351 2.997 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000523504370 260230772 /nfs/dbraw/zinc/23/07/72/260230772.db2.gz UKOOSWOUVAPADA-SECBINFHSA-N 0 0 268.288 2.756 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2C[C@H](O)C23CCC3)c(F)c1 ZINC000596506584 349923058 /nfs/dbraw/zinc/92/30/58/349923058.db2.gz IQEVNXSJAQJHRD-MNOVXSKESA-N 0 0 284.262 2.588 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1N1CC[C@@H]2C[C@@H]2C1 ZINC000596509047 349924072 /nfs/dbraw/zinc/92/40/72/349924072.db2.gz HIPVGBDUDUIPHM-HTQZYQBOSA-N 0 0 298.140 2.599 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2C(C)(C)C2(F)F)c1[N+](=O)[O-] ZINC000596513489 349926665 /nfs/dbraw/zinc/92/66/65/349926665.db2.gz YZMVHIIUWVLBAY-MRVPVSSYSA-N 0 0 288.298 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H](O)C23CCC3)c1 ZINC000596513852 349926682 /nfs/dbraw/zinc/92/66/82/349926682.db2.gz WOIMNJRBDMSWKF-CHWSQXEVSA-N 0 0 262.309 2.619 20 5 CFBDRN CC1(C)[C@@H](CN=c2[nH]c3ccc([N+](=O)[O-])cc3[nH]2)C1(F)F ZINC000596512423 349926031 /nfs/dbraw/zinc/92/60/31/349926031.db2.gz DLUZURBBPDBLDT-SNVBAGLBSA-N 0 0 296.277 2.596 20 5 CFBDRN CCOc1cc(N2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000596512833 349926128 /nfs/dbraw/zinc/92/61/28/349926128.db2.gz XHYLHMZRMAXMFF-GHMZBOCLSA-N 0 0 262.309 2.840 20 5 CFBDRN Cc1nc(N2CC3(CCCC3)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000596514304 349926831 /nfs/dbraw/zinc/92/68/31/349926831.db2.gz GARCJKJAEIZJFV-NSHDSACASA-N 0 0 291.351 2.836 20 5 CFBDRN Cc1noc(C2CN(c3ccc(Cl)cc3[N+](=O)[O-])C2)n1 ZINC000369366187 282395832 /nfs/dbraw/zinc/39/58/32/282395832.db2.gz LAZJQAAKNMGLFR-UHFFFAOYSA-N 0 0 294.698 2.543 20 5 CFBDRN C[C@H]1COC2(CCCC2)CN1c1ncc([N+](=O)[O-])s1 ZINC000596516520 349927073 /nfs/dbraw/zinc/92/70/73/349927073.db2.gz SZKQYGPJUVLEEI-VIFPVBQESA-N 0 0 283.353 2.589 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](O)C23CCC3)c([N+](=O)[O-])s1 ZINC000596515506 349927547 /nfs/dbraw/zinc/92/75/47/349927547.db2.gz YWCGJLKZEKYTOO-VHSXEESVSA-N 0 0 268.338 2.680 20 5 CFBDRN CN(c1ccccc1)[C@@H]1CCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000596517827 349927981 /nfs/dbraw/zinc/92/79/81/349927981.db2.gz PMTIXKAOMSJPFT-OAHLLOKOSA-N 0 0 298.346 2.705 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)C1CC1 ZINC000105777480 232188637 /nfs/dbraw/zinc/18/86/37/232188637.db2.gz MKIRDTNFNOSYTH-SECBINFHSA-N 0 0 263.297 2.823 20 5 CFBDRN C[C@H](O)C1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000116280082 232194364 /nfs/dbraw/zinc/19/43/64/232194364.db2.gz IPOQHAPFPJVKBA-JTQLQIEISA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1cc(N2CC[C@@H]3COC[C@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000596526147 349929582 /nfs/dbraw/zinc/92/95/82/349929582.db2.gz BUJARTONCIPRGU-GHMZBOCLSA-N 0 0 280.299 2.515 20 5 CFBDRN COC(=O)c1cnc(N[C@H](C(C)C)C2CC2)c([N+](=O)[O-])c1 ZINC000596523126 349928784 /nfs/dbraw/zinc/92/87/84/349928784.db2.gz RYMVSVREABEADC-GFCCVEGCSA-N 0 0 293.323 2.623 20 5 CFBDRN CC(C)[C@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)C1CC1 ZINC000596520208 349929243 /nfs/dbraw/zinc/92/92/43/349929243.db2.gz FDHBAWUEMDTESB-ZDUSSCGKSA-N 0 0 279.340 2.516 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@]1(C)CCOC1 ZINC000120153727 232196946 /nfs/dbraw/zinc/19/69/46/232196946.db2.gz QHURBOPUFLVHKI-AWEZNQCLSA-N 0 0 293.323 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nc([C@@H]3CCOC3)no2)cc1 ZINC000120199407 232197132 /nfs/dbraw/zinc/19/71/32/232197132.db2.gz ZXOHFBVCOQWOQE-ZXCPCRMDSA-N 0 0 287.275 2.652 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)[C@@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000131620979 232207110 /nfs/dbraw/zinc/20/71/10/232207110.db2.gz ITSRNCKTKMMYSB-MNOVXSKESA-N 0 0 291.351 2.604 20 5 CFBDRN Cc1cc(N2CCC3(COC3)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000596530288 349932006 /nfs/dbraw/zinc/93/20/06/349932006.db2.gz NAOTUIRRMLBWDI-UHFFFAOYSA-N 0 0 299.330 2.678 20 5 CFBDRN COc1cc(NC[C@@H]2CCOC2(C)C)c([N+](=O)[O-])cc1F ZINC000596544747 349937126 /nfs/dbraw/zinc/93/71/26/349937126.db2.gz PQLXOBQOGWJPRY-VIFPVBQESA-N 0 0 298.314 2.970 20 5 CFBDRN C[C@H](Nc1sccc1[N+](=O)[O-])c1nccn1C ZINC000596541382 349935797 /nfs/dbraw/zinc/93/57/97/349935797.db2.gz FPQAGSSCROTBBV-ZETCQYMHSA-N 0 0 252.299 2.563 20 5 CFBDRN CN(C[C@@H]1CCc2ccccc21)c1ncc([N+](=O)[O-])cn1 ZINC000596543468 349937210 /nfs/dbraw/zinc/93/72/10/349937210.db2.gz JVGPBNHKEWVUEM-LBPRGKRZSA-N 0 0 284.319 2.551 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cn1 ZINC000154713052 232226430 /nfs/dbraw/zinc/22/64/30/232226430.db2.gz UMGSDJBBOFYKAF-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000154664519 232226921 /nfs/dbraw/zinc/22/69/21/232226921.db2.gz HCYLGGIPEYVULH-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@@H](c1ccccc1)[C@@H](O)CNc1ccc([N+](=O)[O-])cn1 ZINC000596547358 349937845 /nfs/dbraw/zinc/93/78/45/349937845.db2.gz CVKNEVFSZCZWLP-FZMZJTMJSA-N 0 0 287.319 2.566 20 5 CFBDRN C[C@@H](c1ccccc1)[C@H](O)CNc1ccc([N+](=O)[O-])cn1 ZINC000596547360 349938174 /nfs/dbraw/zinc/93/81/74/349938174.db2.gz CVKNEVFSZCZWLP-SMDDNHRTSA-N 0 0 287.319 2.566 20 5 CFBDRN Cc1ccccc1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157269872 232236278 /nfs/dbraw/zinc/23/62/78/232236278.db2.gz CLZCLRPNXSBRAJ-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN CN(CC1=CCSC1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000596553100 349939799 /nfs/dbraw/zinc/93/97/99/349939799.db2.gz FLSBRKHNSMYKAK-UHFFFAOYSA-N 0 0 290.348 2.581 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2nccs2)cc([N+](=O)[O-])c1 ZINC000173857614 232283394 /nfs/dbraw/zinc/28/33/94/232283394.db2.gz HSDSGARNBSSDCG-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000075631596 347088634 /nfs/dbraw/zinc/08/86/34/347088634.db2.gz TVLZRBMFJJZKAY-SNVBAGLBSA-N 0 0 250.298 2.855 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@](C)(O)C(C)C ZINC000173985904 232285382 /nfs/dbraw/zinc/28/53/82/232285382.db2.gz HAHBCKMDDLVNKX-CYBMUJFWSA-N 0 0 252.314 2.722 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000335431733 295740344 /nfs/dbraw/zinc/74/03/44/295740344.db2.gz YKLLKEYCCHOBRJ-WDEREUQCSA-N 0 0 266.272 2.605 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H](C)C1(C)CC1 ZINC000175567713 232315236 /nfs/dbraw/zinc/31/52/36/232315236.db2.gz YAOJOMBVTSRLCV-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccccc1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000175668725 232317484 /nfs/dbraw/zinc/31/74/84/232317484.db2.gz DQBWJBDYOBLZKA-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CN(C(=O)CCc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000176247595 232328290 /nfs/dbraw/zinc/32/82/90/232328290.db2.gz FLVUQABSWSAMAJ-UHFFFAOYSA-N 0 0 285.303 2.585 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccco2)cc1[N+](=O)[O-] ZINC000177875401 232371328 /nfs/dbraw/zinc/37/13/28/232371328.db2.gz LBELJDGRBUSJJP-JTQLQIEISA-N 0 0 274.276 2.987 20 5 CFBDRN C[C@H]1CCCCN1C(=O)COc1cc(F)ccc1[N+](=O)[O-] ZINC000097603923 185636937 /nfs/dbraw/zinc/63/69/37/185636937.db2.gz JWMQVSRCDJTQQI-JTQLQIEISA-N 0 0 296.298 2.514 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1Cc2ccccc2O1 ZINC000010613413 346227647 /nfs/dbraw/zinc/22/76/47/346227647.db2.gz LRNLHVBCCCCLAZ-OAHLLOKOSA-N 0 0 299.282 2.642 20 5 CFBDRN Cc1nc(N[C@H]2C[C@@H](OC(C)C)C2(C)C)ncc1[N+](=O)[O-] ZINC000295128277 199360167 /nfs/dbraw/zinc/36/01/67/199360167.db2.gz XSKLDIOYFZGGNW-NWDGAFQWSA-N 0 0 294.355 2.697 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1cnn(-c2ccccc2)c1 ZINC000014315012 346233941 /nfs/dbraw/zinc/23/39/41/346233941.db2.gz RHHYDPJZAPCIKC-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)C[C@@H]1CC1(C)C ZINC000596568336 349943824 /nfs/dbraw/zinc/94/38/24/349943824.db2.gz ULQDVYHFKJWOMT-JTQLQIEISA-N 0 0 266.345 2.602 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1nc(-c2ccccc2)no1 ZINC000007180792 346217477 /nfs/dbraw/zinc/21/74/77/346217477.db2.gz CTIKDPQIWSNFGJ-UHFFFAOYSA-N 0 0 298.258 2.619 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@@](C)(C(F)F)O1 ZINC000596571803 349944334 /nfs/dbraw/zinc/94/43/34/349944334.db2.gz AQSVMZXWKBXBKO-HQJQHLMTSA-N 0 0 292.307 2.905 20 5 CFBDRN O=[N+]([O-])c1c(N2CCc3ccccc32)nc2sccn21 ZINC000008308832 346223400 /nfs/dbraw/zinc/22/34/00/346223400.db2.gz PNOSRTGTFPNJOR-UHFFFAOYSA-N 0 0 286.316 2.998 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])C[C@](C)(C(F)F)O1 ZINC000596574098 349945490 /nfs/dbraw/zinc/94/54/90/349945490.db2.gz NJOIRUXJPZHNLF-RDDDGLTNSA-N 0 0 292.307 2.905 20 5 CFBDRN Cc1cc(C)cc(NC(=O)c2cc([N+](=O)[O-])c[nH]c2=O)c1 ZINC000016494298 346238199 /nfs/dbraw/zinc/23/81/99/346238199.db2.gz DLASISWZOXSJJC-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@H]1CN(c2ccsc2[N+](=O)[O-])C[C@@](C)(C(F)F)O1 ZINC000596574096 349945570 /nfs/dbraw/zinc/94/55/70/349945570.db2.gz NJOIRUXJPZHNLF-CPCISQLKSA-N 0 0 292.307 2.905 20 5 CFBDRN CN(Cc1nccn1C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000018060163 346241517 /nfs/dbraw/zinc/24/15/17/346241517.db2.gz MXCVNAOBFBILOL-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN O=C(Nc1ccc2n[nH]cc2c1)c1cccc([N+](=O)[O-])c1 ZINC000020479015 346243946 /nfs/dbraw/zinc/24/39/46/346243946.db2.gz AQJJWBZVYKTFJE-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(-n3ccnc3)nc2)cc1 ZINC000022136111 346247958 /nfs/dbraw/zinc/24/79/58/346247958.db2.gz PQTPDKOUHNJALM-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)cn1 ZINC000022415986 346248687 /nfs/dbraw/zinc/24/86/87/346248687.db2.gz LSIQJQOISOUQDI-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(C)Oc1nc(N2CC3CC2(C)C3)ccc1[N+](=O)[O-] ZINC000596575536 349945271 /nfs/dbraw/zinc/94/52/71/349945271.db2.gz TUNVZHOMPYUCAC-UHFFFAOYSA-N 0 0 277.324 2.766 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000295140355 199368745 /nfs/dbraw/zinc/36/87/45/199368745.db2.gz OLSRXOGAYQZCMU-SECBINFHSA-N 0 0 296.298 2.615 20 5 CFBDRN CCCc1csc(NC(=O)c2ccc([N+](=O)[O-])o2)n1 ZINC000127581511 187318376 /nfs/dbraw/zinc/31/83/76/187318376.db2.gz ZIISTYXKDGEEGE-UHFFFAOYSA-N 0 0 281.293 2.849 20 5 CFBDRN CC(C)c1noc([C@H](C)Oc2cccnc2[N+](=O)[O-])n1 ZINC000027779306 346272374 /nfs/dbraw/zinc/27/23/74/346272374.db2.gz XVJQLYCMISMHIZ-QMMMGPOBSA-N 0 0 278.268 2.636 20 5 CFBDRN CC(C)c1noc(COc2ccccc2[N+](=O)[O-])n1 ZINC000029137585 346278647 /nfs/dbraw/zinc/27/86/47/346278647.db2.gz DLGACWIJNIKGEY-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN O=C(NCCCC1CCCC1)c1ccc([N+](=O)[O-])o1 ZINC000029179874 346279636 /nfs/dbraw/zinc/27/96/36/346279636.db2.gz KLRQDSUWGQRBPZ-UHFFFAOYSA-N 0 0 266.297 2.888 20 5 CFBDRN C[C@H]1c2cccn2CCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000029379386 346280350 /nfs/dbraw/zinc/28/03/50/346280350.db2.gz BLDVNSWUNNPCKS-LBPRGKRZSA-N 0 0 299.330 2.542 20 5 CFBDRN CCCn1nccc1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000031769076 346297135 /nfs/dbraw/zinc/29/71/35/346297135.db2.gz OPKIZMLUFPMFMS-UHFFFAOYSA-N 0 0 294.336 2.824 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])C[C@H]1C ZINC000149521137 290779730 /nfs/dbraw/zinc/77/97/30/290779730.db2.gz AGERIHLBTKOYNE-DTORHVGOSA-N 0 0 282.727 2.976 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCn2cccn2)c2ccncc21 ZINC000030517657 346288334 /nfs/dbraw/zinc/28/83/34/346288334.db2.gz JCFIZEDYVIMPNG-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN Cc1ccc([C@H]2CCCN2c2c([N+](=O)[O-])ncn2C)cc1 ZINC000030676469 346289733 /nfs/dbraw/zinc/28/97/33/346289733.db2.gz SSTNOFHETWJJAD-CYBMUJFWSA-N 0 0 286.335 2.978 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000031087849 346291833 /nfs/dbraw/zinc/29/18/33/346291833.db2.gz GDFYQJSLPHWXKH-VIFPVBQESA-N 0 0 263.297 2.857 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1ccc([N+](=O)[O-])cc1F ZINC000042096750 346360181 /nfs/dbraw/zinc/36/01/81/346360181.db2.gz BYYCSKXESXKONQ-UHFFFAOYSA-N 0 0 264.260 2.828 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000230105859 539539168 /nfs/dbraw/zinc/53/91/68/539539168.db2.gz AJSAEGCXOJPGGL-ISVAXAHUSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)[C@H]1CCCO1 ZINC000043149061 346379936 /nfs/dbraw/zinc/37/99/36/346379936.db2.gz VPZLTMQMSNBHGO-GXFFZTMASA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)[C@@H]1CCCO1 ZINC000043149057 346379943 /nfs/dbraw/zinc/37/99/43/346379943.db2.gz VPZLTMQMSNBHGO-GWCFXTLKSA-N 0 0 293.323 2.592 20 5 CFBDRN CCO[C@H](CC)c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000596622072 349959442 /nfs/dbraw/zinc/95/94/42/349959442.db2.gz VJFSYCRIIBONTN-SNVBAGLBSA-N 0 0 278.268 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(-c3ccco3)no2)cc1 ZINC000044090834 346390473 /nfs/dbraw/zinc/39/04/73/346390473.db2.gz SCTMULSNUNACBE-UHFFFAOYSA-N 0 0 287.231 2.817 20 5 CFBDRN CC1(C)CCC[C@@](O)(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000596619560 349958555 /nfs/dbraw/zinc/95/85/55/349958555.db2.gz JHBBHLHQUWSIEC-HNNXBMFYSA-N 0 0 292.335 2.865 20 5 CFBDRN CC(C)(C)CCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000044506994 346394796 /nfs/dbraw/zinc/39/47/96/346394796.db2.gz KXAAKKYULXJAAT-UHFFFAOYSA-N 0 0 256.327 2.822 20 5 CFBDRN CN(CCCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000046639112 346430758 /nfs/dbraw/zinc/43/07/58/346430758.db2.gz DRVXUKRTHWQUIM-UHFFFAOYSA-N 0 0 288.303 2.893 20 5 CFBDRN C[C@H]1CCCC[C@H]1N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000045770373 346413670 /nfs/dbraw/zinc/41/36/70/346413670.db2.gz HNDKDCHEWGCJOY-VHSXEESVSA-N 0 0 266.297 2.839 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2COC(C)(C)C2)cc1[N+](=O)[O-] ZINC000596625453 349960184 /nfs/dbraw/zinc/96/01/84/349960184.db2.gz DKJFBXDYSNCROS-NSHDSACASA-N 0 0 293.323 2.592 20 5 CFBDRN CC[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC)C1CC1 ZINC000596624172 349960262 /nfs/dbraw/zinc/96/02/62/349960262.db2.gz GYARZUJYEDQCNO-NSHDSACASA-N 0 0 293.323 2.914 20 5 CFBDRN COc1cc(N2CCS[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000119615697 136535726 /nfs/dbraw/zinc/53/57/26/136535726.db2.gz XAAFSOXPNVGDNI-MRVPVSSYSA-N 0 0 286.328 2.684 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2nnc3ccccn32)cs1 ZINC000047171780 346445732 /nfs/dbraw/zinc/44/57/32/346445732.db2.gz NJCMNIOYKLNEKS-UHFFFAOYSA-N 0 0 292.345 2.991 20 5 CFBDRN Cc1cc(C(=O)Cn2nccc2[N+](=O)[O-])c(C)c(C)c1C ZINC000047169681 346445745 /nfs/dbraw/zinc/44/57/45/346445745.db2.gz NIKLFCPSCBUBTQ-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN CCOc1cc(NC(=O)[C@H](F)C(C)C)ccc1[N+](=O)[O-] ZINC000823366364 781926476 /nfs/dbraw/zinc/92/64/76/781926476.db2.gz QFKZIKONUVYDIV-GFCCVEGCSA-N 0 0 284.287 2.926 20 5 CFBDRN O=C(NCCc1cccs1)c1csc([N+](=O)[O-])c1 ZINC000046891091 346437185 /nfs/dbraw/zinc/43/71/85/346437185.db2.gz SYQRKIFVJBIRFP-UHFFFAOYSA-N 0 0 282.346 2.690 20 5 CFBDRN COCCOc1ccc(-c2cnc(C)c([N+](=O)[O-])c2)cc1 ZINC000596630334 349962019 /nfs/dbraw/zinc/96/20/19/349962019.db2.gz OTANEFGJYVMKQS-UHFFFAOYSA-N 0 0 288.303 2.990 20 5 CFBDRN Cc1c(OCc2cccc(C(N)=O)c2)cccc1[N+](=O)[O-] ZINC000047355351 346449729 /nfs/dbraw/zinc/44/97/29/346449729.db2.gz SLOCDIRVOLDILB-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN CCCSCC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000048637634 346475361 /nfs/dbraw/zinc/47/53/61/346475361.db2.gz JHGAROAXEWNJGM-UHFFFAOYSA-N 0 0 284.337 2.685 20 5 CFBDRN Cc1nc(CNC(=O)c2cc([N+](=O)[O-])c(C)s2)cs1 ZINC000048606875 346475686 /nfs/dbraw/zinc/47/56/86/346475686.db2.gz CKKHVJWEEQTJMO-UHFFFAOYSA-N 0 0 297.361 2.660 20 5 CFBDRN COc1ccc(CNc2ccsc2[N+](=O)[O-])cn1 ZINC000049056804 346479158 /nfs/dbraw/zinc/47/91/58/346479158.db2.gz IHFXNKSCQHUMDR-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000049156177 346480061 /nfs/dbraw/zinc/48/00/61/346480061.db2.gz HVKGEYOGHBFWOM-JTQLQIEISA-N 0 0 250.298 2.822 20 5 CFBDRN CC1CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)CC1 ZINC000052893590 346532567 /nfs/dbraw/zinc/53/25/67/346532567.db2.gz PWKJQHFWASSGLD-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CCc2ccccc21 ZINC000053778000 346552359 /nfs/dbraw/zinc/55/23/59/346552359.db2.gz DIXJNCUYPXNQHL-HNNXBMFYSA-N 0 0 297.314 2.716 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000054529797 346570976 /nfs/dbraw/zinc/57/09/76/346570976.db2.gz FMLULTDUIATEFG-RYUDHWBXSA-N 0 0 291.351 2.796 20 5 CFBDRN COc1ccccc1N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000055743570 346595979 /nfs/dbraw/zinc/59/59/79/346595979.db2.gz LDJJGXKMAJJYRY-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN COc1c(Cl)cccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000056286423 346605738 /nfs/dbraw/zinc/60/57/38/346605738.db2.gz WVOAAFWBTJLBBY-UHFFFAOYSA-N 0 0 295.682 2.837 20 5 CFBDRN CCOc1cc(F)ccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000056328483 346606733 /nfs/dbraw/zinc/60/67/33/346606733.db2.gz GPWYFTUVRLHPJI-UHFFFAOYSA-N 0 0 293.254 2.713 20 5 CFBDRN O=C(NCCc1ccccc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000056343033 346607391 /nfs/dbraw/zinc/60/73/91/346607391.db2.gz HBJFDBWPASARKK-UHFFFAOYSA-N 0 0 299.330 2.637 20 5 CFBDRN CC1CCC(NC(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000056459710 346608770 /nfs/dbraw/zinc/60/87/70/346608770.db2.gz OPBPXYCKCYOXPF-UHFFFAOYSA-N 0 0 291.351 2.973 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000060082088 346659498 /nfs/dbraw/zinc/65/94/98/346659498.db2.gz VUDCAPORRWFYFA-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000057283101 346624244 /nfs/dbraw/zinc/62/42/44/346624244.db2.gz VYBQPDSEHIDGKE-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN O=C(NCc1ccoc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000058247428 346642301 /nfs/dbraw/zinc/64/23/01/346642301.db2.gz ODMNEHAMXGGPLY-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000063489288 346702153 /nfs/dbraw/zinc/70/21/53/346702153.db2.gz OXEYAVRBISEWRK-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CN(CCc1ccccn1)c1ccc([N+](=O)[O-])s1 ZINC000064029116 346714272 /nfs/dbraw/zinc/71/42/72/346714272.db2.gz IHFBCDZWPLBLDP-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@](C)(F)C1 ZINC000295597551 199580779 /nfs/dbraw/zinc/58/07/79/199580779.db2.gz OUJVPMBBZLSZID-AWEZNQCLSA-N 0 0 296.298 2.568 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C)CC1 ZINC000062923648 346693528 /nfs/dbraw/zinc/69/35/28/346693528.db2.gz NGQDURHZEWDHPM-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN O=C1CCCCCN1CCCNc1ccsc1[N+](=O)[O-] ZINC000063256259 346698688 /nfs/dbraw/zinc/69/86/88/346698688.db2.gz UYESDNSNQFXARD-UHFFFAOYSA-N 0 0 297.380 2.861 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)o1 ZINC000063447102 346699997 /nfs/dbraw/zinc/69/99/97/346699997.db2.gz NTIWZCVOKXXKRI-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000066646287 346772555 /nfs/dbraw/zinc/77/25/55/346772555.db2.gz FIEUANBSOAOCGR-VIFPVBQESA-N 0 0 275.308 2.947 20 5 CFBDRN CC(C)CN(C)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000065725478 346739121 /nfs/dbraw/zinc/73/91/21/346739121.db2.gz RVEBHYLQKUAWNB-UHFFFAOYSA-N 0 0 289.335 2.664 20 5 CFBDRN Cn1ncc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1C(F)F ZINC000066274168 346752847 /nfs/dbraw/zinc/75/28/47/346752847.db2.gz MMBMFSCDNCFHHD-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN CCS[C@@H](C(=O)NCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000066352064 346755399 /nfs/dbraw/zinc/75/53/99/346755399.db2.gz QPNURBZQSNPUCG-CYBMUJFWSA-N 0 0 296.392 2.989 20 5 CFBDRN CCCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000067036360 346789301 /nfs/dbraw/zinc/78/93/01/346789301.db2.gz OCEQVLQETPMUQI-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])s1 ZINC000067503464 346806177 /nfs/dbraw/zinc/80/61/77/346806177.db2.gz KKEPBRFKGBHSGQ-MNOVXSKESA-N 0 0 288.328 2.942 20 5 CFBDRN COC(=O)c1ccc(OCC2CCCC2)c([N+](=O)[O-])c1 ZINC000076423246 347138899 /nfs/dbraw/zinc/13/88/99/347138899.db2.gz OBAAUOYSWWRNIY-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CSC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000292417014 136677331 /nfs/dbraw/zinc/67/73/31/136677331.db2.gz WDZRTSZCSQCIHL-VIFPVBQESA-N 0 0 299.371 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2c(F)cccc2F)nc1 ZINC000076844468 347156940 /nfs/dbraw/zinc/15/69/40/347156940.db2.gz RONFQGQWKCLRBS-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(Cl)nc2)nc1 ZINC000076852634 347158124 /nfs/dbraw/zinc/15/81/24/347158124.db2.gz NODUHALUFWSREN-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN COc1ccc(Oc2ncnc3c2CCC3)c([N+](=O)[O-])c1 ZINC000076919854 347159863 /nfs/dbraw/zinc/15/98/63/347159863.db2.gz VCISZZGBSUYKDX-UHFFFAOYSA-N 0 0 287.275 2.674 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000076291148 347133126 /nfs/dbraw/zinc/13/31/26/347133126.db2.gz MRLUHDMCVPJMEO-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077496304 347195581 /nfs/dbraw/zinc/19/55/81/347195581.db2.gz WIYORSLRJKBGJQ-VIFPVBQESA-N 0 0 268.288 2.995 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCCOC[C@@H]1CCOC1 ZINC000077229978 347178601 /nfs/dbraw/zinc/17/86/01/347178601.db2.gz FPXZZSRAXXAABV-NSHDSACASA-N 0 0 298.314 2.589 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000077228881 347178679 /nfs/dbraw/zinc/17/86/79/347178679.db2.gz ACWDPJJAQNKFQJ-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)(C)CCNC(=O)COc1ccc([N+](=O)[O-])cc1F ZINC000077244132 347180823 /nfs/dbraw/zinc/18/08/23/347180823.db2.gz QWRIFTFOSKICLY-UHFFFAOYSA-N 0 0 298.314 2.665 20 5 CFBDRN C[C@@H](CCc1cccn1C)Nc1ccc([N+](=O)[O-])nc1 ZINC000078423415 347250793 /nfs/dbraw/zinc/25/07/93/347250793.db2.gz RGUHSAPBOFRPLU-NSHDSACASA-N 0 0 274.324 2.762 20 5 CFBDRN CO[C@H]1CCCC[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000078426090 347251676 /nfs/dbraw/zinc/25/16/76/347251676.db2.gz ALYUIPFICIPLBI-BDAKNGLRSA-N 0 0 296.352 2.674 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@@H](O)C2)s1 ZINC000078304691 347239250 /nfs/dbraw/zinc/23/92/50/347239250.db2.gz HVIYCOVHWXBAIJ-DTWKUNHWSA-N 0 0 256.327 2.619 20 5 CFBDRN Cc1cc(NCC[C@@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000078341350 347243482 /nfs/dbraw/zinc/24/34/82/347243482.db2.gz ISLRIOUKCIICQP-CYBMUJFWSA-N 0 0 252.314 2.722 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1ccc([N+](=O)[O-])cc1 ZINC000078339864 347243622 /nfs/dbraw/zinc/24/36/22/347243622.db2.gz MIHDYNDMGPWPCP-JTQLQIEISA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)c2ccccc21 ZINC000078347529 347245410 /nfs/dbraw/zinc/24/54/10/347245410.db2.gz XGTKQXVHWCZEBK-SECBINFHSA-N 0 0 271.276 2.687 20 5 CFBDRN COC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000078358741 347245983 /nfs/dbraw/zinc/24/59/83/347245983.db2.gz KWFMVRMSRUTJJU-NSHDSACASA-N 0 0 256.277 2.817 20 5 CFBDRN Cc1ccccc1[C@H]1CN(c2ccncc2[N+](=O)[O-])CCO1 ZINC000078366581 347247022 /nfs/dbraw/zinc/24/70/22/347247022.db2.gz AYJCKSCPDVLVPQ-MRXNPFEDSA-N 0 0 299.330 2.876 20 5 CFBDRN CCC1(O)CCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000078452913 347253649 /nfs/dbraw/zinc/25/36/49/347253649.db2.gz GWMWJSOVXDQDDX-UHFFFAOYSA-N 0 0 284.743 2.990 20 5 CFBDRN CCC[C@H]1C[C@H]1C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000079322693 347298543 /nfs/dbraw/zinc/29/85/43/347298543.db2.gz RVGOUFXQAOPZQB-NWDGAFQWSA-N 0 0 291.351 2.559 20 5 CFBDRN O=C(Nc1ccc(OC(F)F)cc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000078543936 347261075 /nfs/dbraw/zinc/26/10/75/347261075.db2.gz WCXHSWYOMBNCTQ-UHFFFAOYSA-N 0 0 297.217 2.777 20 5 CFBDRN CCc1nncn1CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000078560282 347261799 /nfs/dbraw/zinc/26/17/99/347261799.db2.gz LJHUJZOLDSYJQS-UHFFFAOYSA-N 0 0 295.730 2.514 20 5 CFBDRN Cc1ccc(CN(C)c2ncc(C)cc2[N+](=O)[O-])o1 ZINC000078625189 347265641 /nfs/dbraw/zinc/26/56/41/347265641.db2.gz FZOIZOLXEBQQHD-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN Cc1cnc(NCCc2cccc(O)c2)c([N+](=O)[O-])c1 ZINC000078655769 347267228 /nfs/dbraw/zinc/26/72/28/347267228.db2.gz FVSCYHVGOFDCIW-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN COCCN(c1ncc(C)cc1[N+](=O)[O-])C1CCCC1 ZINC000078656101 347267319 /nfs/dbraw/zinc/26/73/19/347267319.db2.gz DNAWVXBSVIQHLA-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN Cc1cnc(N[C@@H](C)C[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000078657788 347268256 /nfs/dbraw/zinc/26/82/56/347268256.db2.gz HIVBFVRKZORFKT-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cnc(Nc2ccc3c(c2)CC(=O)N3)c([N+](=O)[O-])c1 ZINC000078663469 347269046 /nfs/dbraw/zinc/26/90/46/347269046.db2.gz VFUJPDLZVOFTIT-UHFFFAOYSA-N 0 0 284.275 2.536 20 5 CFBDRN CCCc1noc(COc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000078684782 347270580 /nfs/dbraw/zinc/27/05/80/347270580.db2.gz WSIXQXCGYVJZGH-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN Cc1ccn2cc(CNc3ncccc3[N+](=O)[O-])nc2c1 ZINC000079860792 347329092 /nfs/dbraw/zinc/32/90/92/347329092.db2.gz JHRCHOJDAWGPCY-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCCSCC1 ZINC000079402915 347302605 /nfs/dbraw/zinc/30/26/05/347302605.db2.gz HVJPXXMNPGOYAG-UHFFFAOYSA-N 0 0 281.337 2.566 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN(CCO)c1ccccc1 ZINC000081157898 347405712 /nfs/dbraw/zinc/40/57/12/347405712.db2.gz BCFBCZABZLWQDL-UHFFFAOYSA-N 0 0 290.294 2.733 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)CCc1ccccn1 ZINC000080530595 347364410 /nfs/dbraw/zinc/36/44/10/347364410.db2.gz RDQHKOYVGDALKK-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CCCNC(=O)CCNc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000080581933 347367292 /nfs/dbraw/zinc/36/72/92/347367292.db2.gz DOOWHGVDVMZWNW-UHFFFAOYSA-N 0 0 299.758 2.885 20 5 CFBDRN CN(Cc1nccs1)c1ccc(F)cc1[N+](=O)[O-] ZINC000080613445 347370484 /nfs/dbraw/zinc/37/04/84/347370484.db2.gz DHLMXPXAEQAHIC-UHFFFAOYSA-N 0 0 267.285 2.827 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000080638592 347370955 /nfs/dbraw/zinc/37/09/55/347370955.db2.gz BIXJDUHNMUNMSP-PELKAZGASA-N 0 0 286.303 2.561 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CCSC1 ZINC000080652076 347372756 /nfs/dbraw/zinc/37/27/56/347372756.db2.gz NEHRUFQYDMQLKQ-SNVBAGLBSA-N 0 0 268.338 2.659 20 5 CFBDRN Cc1cc(N[C@H]2CC(=O)N(C(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000080674588 347373566 /nfs/dbraw/zinc/37/35/66/347373566.db2.gz QHBWHACNEPFJSV-LBPRGKRZSA-N 0 0 291.351 2.715 20 5 CFBDRN Cc1cc(N2CCC[C@]3(CCCOC3)C2)ncc1[N+](=O)[O-] ZINC000080689295 347375606 /nfs/dbraw/zinc/37/56/06/347375606.db2.gz FBONWKJFRXDOFH-HNNXBMFYSA-N 0 0 291.351 2.695 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@]2(CCCOC2)C1 ZINC000080688803 347375721 /nfs/dbraw/zinc/37/57/21/347375721.db2.gz BGMLKCRTCBRCAA-OAHLLOKOSA-N 0 0 276.336 2.992 20 5 CFBDRN CCOc1cc(N2C[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000080761467 347379693 /nfs/dbraw/zinc/37/96/93/347379693.db2.gz OUSVNNJYKSBTAS-PHIMTYICSA-N 0 0 280.324 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC23CCC3)c2c(c1)COCO2 ZINC000081516080 347424150 /nfs/dbraw/zinc/42/41/50/347424150.db2.gz IJJJHMDAQSKDIN-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN CCc1ccc(C(=O)NCC2CCC2)cc1[N+](=O)[O-] ZINC000081845141 347438620 /nfs/dbraw/zinc/43/86/20/347438620.db2.gz BVWZUWUOJZYFJQ-UHFFFAOYSA-N 0 0 262.309 2.687 20 5 CFBDRN CO[C@]1(C)C[C@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000086186980 347484016 /nfs/dbraw/zinc/48/40/16/347484016.db2.gz GLCWYSVDWGVRHQ-SWLSCSKDSA-N 0 0 293.367 2.938 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCNc2ncccc2F)cc1 ZINC000084486375 347465206 /nfs/dbraw/zinc/46/52/06/347465206.db2.gz DCYNJFRMYHCIBI-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1ncccc1F ZINC000084487999 347465920 /nfs/dbraw/zinc/46/59/20/347465920.db2.gz VABSEXPYTJUAMU-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776208 347474706 /nfs/dbraw/zinc/47/47/06/347474706.db2.gz MGGQDEDWUURMBL-GZMMTYOYSA-N 0 0 271.276 2.738 20 5 CFBDRN CCOC(=O)CCCCOc1cc([N+](=O)[O-])ccc1OC ZINC000128549581 187376780 /nfs/dbraw/zinc/37/67/80/187376780.db2.gz KZHDJVULOLXCPR-UHFFFAOYSA-N 0 0 297.307 2.716 20 5 CFBDRN CNC(=O)c1ccc(CNc2cc(C)ccc2[N+](=O)[O-])cc1 ZINC000088700421 347507311 /nfs/dbraw/zinc/50/73/11/347507311.db2.gz CWRPLNCESCJVEQ-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@](C)(O)C(C)C)c1 ZINC000088722333 347508120 /nfs/dbraw/zinc/50/81/20/347508120.db2.gz PACIWPPVOFTTPO-ZDUSSCGKSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOC[C@H]2CCCO2)c1 ZINC000088723420 347508623 /nfs/dbraw/zinc/50/86/23/347508623.db2.gz GGHAUHTZYXBGTE-GFCCVEGCSA-N 0 0 280.324 2.511 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1cn(-c2ccccc2)nn1 ZINC000088741542 347509433 /nfs/dbraw/zinc/50/94/33/347509433.db2.gz MTNFUESYXLXLFW-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc3nccnc3c2)nc1 ZINC000089648055 347524965 /nfs/dbraw/zinc/52/49/65/347524965.db2.gz HKESJXIOLSYTCJ-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(O)CC2)nc1-c1ccccc1 ZINC000090066540 347538084 /nfs/dbraw/zinc/53/80/84/347538084.db2.gz QSQIPHLMUBYFBC-UHFFFAOYSA-N 0 0 299.330 2.618 20 5 CFBDRN CC[C@H](CSC)N(C)c1ccc([N+](=O)[O-])c(C)n1 ZINC000091022508 347566974 /nfs/dbraw/zinc/56/69/74/347566974.db2.gz VOOHQWHISZIARA-SNVBAGLBSA-N 0 0 269.370 2.876 20 5 CFBDRN CC[C@H](CSC)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000091023115 347567099 /nfs/dbraw/zinc/56/70/99/347567099.db2.gz VWAWDBYPGLBVSG-SECBINFHSA-N 0 0 255.343 2.568 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(C)CCCC[C@H]2O)n1 ZINC000128587339 187378796 /nfs/dbraw/zinc/37/87/96/187378796.db2.gz LEICQFMZDVYQQA-OCCSQVGLSA-N 0 0 279.340 2.651 20 5 CFBDRN C[C@@H](CCO)SCCc1ccc([N+](=O)[O-])cc1 ZINC000091266182 347581064 /nfs/dbraw/zinc/58/10/64/347581064.db2.gz OEUXBKHNMKAYHV-JTQLQIEISA-N 0 0 255.339 2.641 20 5 CFBDRN COc1ccc(CS[C@H](C)CCO)cc1[N+](=O)[O-] ZINC000091266206 347581544 /nfs/dbraw/zinc/58/15/44/347581544.db2.gz WAGPXYPRGWEQDS-SECBINFHSA-N 0 0 271.338 2.608 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)Cc1cc(C)on1 ZINC000091429285 347585645 /nfs/dbraw/zinc/58/56/45/347585645.db2.gz YFVPQEDUAGBCOE-UHFFFAOYSA-N 0 0 291.307 2.674 20 5 CFBDRN COc1cc(NC[C@@H](C)Cn2cccn2)ccc1[N+](=O)[O-] ZINC000091452916 347586148 /nfs/dbraw/zinc/58/61/48/347586148.db2.gz WCYSVBNSFFOOKK-LLVKDONJSA-N 0 0 290.323 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cccc(-n3ccnn3)c2)cc1 ZINC000091486193 347590473 /nfs/dbraw/zinc/59/04/73/347590473.db2.gz MGPZOBUGHBKGGY-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@]2(O)CCc3ccccc32)cc1 ZINC000091504369 347591132 /nfs/dbraw/zinc/59/11/32/347591132.db2.gz UFEMRTNYOPYIBM-INIZCTEOSA-N 0 0 284.315 2.841 20 5 CFBDRN CC(C)(C)[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000270797464 190819707 /nfs/dbraw/zinc/81/97/07/190819707.db2.gz SWNOTPQQSQPDHY-CMPLNLGQSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@@H]1[C@@H](C)CC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000091859225 347601472 /nfs/dbraw/zinc/60/14/72/347601472.db2.gz LEZNYYTZHDZJGR-VHSXEESVSA-N 0 0 250.298 2.531 20 5 CFBDRN CCOc1cc(N2C[C@H](C)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000092606021 347634371 /nfs/dbraw/zinc/63/43/71/347634371.db2.gz PXZPUUWZPAZZTE-QWRGUYRKSA-N 0 0 280.324 2.607 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Oc1cccc(-n2cncn2)c1 ZINC000092655844 347637074 /nfs/dbraw/zinc/63/70/74/347637074.db2.gz MLZRPVPNSVKXQJ-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3n[nH]cc3C2)ccc1[N+](=O)[O-] ZINC000092654395 347637253 /nfs/dbraw/zinc/63/72/53/347637253.db2.gz KOPRNGXQTZGXPR-GFCCVEGCSA-N 0 0 272.308 2.596 20 5 CFBDRN CCOc1cc(NCC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC000092654722 347637336 /nfs/dbraw/zinc/63/73/36/347637336.db2.gz LLYGJHYREKWOKT-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN CCOc1cc(Sc2ncc[nH]2)ccc1[N+](=O)[O-] ZINC000092658052 347637956 /nfs/dbraw/zinc/63/79/56/347637956.db2.gz LCTPUFKPQOVTCI-UHFFFAOYSA-N 0 0 265.294 2.868 20 5 CFBDRN CCOc1cc(NC2CCC(CO)CC2)ccc1[N+](=O)[O-] ZINC000092679118 347639880 /nfs/dbraw/zinc/63/98/80/347639880.db2.gz KVSHFYQXDXBZHT-UHFFFAOYSA-N 0 0 294.351 2.957 20 5 CFBDRN COC(=O)[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)C(C)(C)C ZINC000092674001 347639939 /nfs/dbraw/zinc/63/99/39/347639939.db2.gz LXPZASXIDHWXDV-GFCCVEGCSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@]1(O)CCc2ccccc21 ZINC000092688011 347641164 /nfs/dbraw/zinc/64/11/64/347641164.db2.gz KSNWSHGDUJPFRJ-MRXNPFEDSA-N 0 0 299.330 2.544 20 5 CFBDRN COc1cc(NCc2ncoc2C)c(F)cc1[N+](=O)[O-] ZINC000092692165 347641729 /nfs/dbraw/zinc/64/17/29/347641729.db2.gz XTPGBBRBNDMRSQ-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN CCc1nn(C)c(N(C)Cc2ccsc2)c1[N+](=O)[O-] ZINC000092827278 347648649 /nfs/dbraw/zinc/64/86/49/347648649.db2.gz SEXFYKKVQUFTJI-UHFFFAOYSA-N 0 0 280.353 2.589 20 5 CFBDRN COc1cc(/C=C/c2ccncn2)ccc1[N+](=O)[O-] ZINC000092209311 347611480 /nfs/dbraw/zinc/61/14/80/347611480.db2.gz QWCHRCWPRGVFGA-DUXPYHPUSA-N 0 0 257.249 2.564 20 5 CFBDRN CCc1nn(C)c(N(C)Cc2ccccc2C)c1[N+](=O)[O-] ZINC000094131560 347692128 /nfs/dbraw/zinc/69/21/28/347692128.db2.gz LJHDPKUHZFUQLS-UHFFFAOYSA-N 0 0 288.351 2.836 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000114101465 347770693 /nfs/dbraw/zinc/77/06/93/347770693.db2.gz CTNLKOASUAFGDS-SKDRFNHKSA-N 0 0 260.293 2.530 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000114101470 347770876 /nfs/dbraw/zinc/77/08/76/347770876.db2.gz CTNLKOASUAFGDS-BXKDBHETSA-N 0 0 260.293 2.530 20 5 CFBDRN Cc1cccnc1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000108736598 347743098 /nfs/dbraw/zinc/74/30/98/347743098.db2.gz RPZFEOJMWXGLKL-FPLPWBNLSA-N 0 0 283.287 2.950 20 5 CFBDRN C[C@@H](CNc1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CC1 ZINC000125810644 347829793 /nfs/dbraw/zinc/82/97/93/347829793.db2.gz OLNLGBFVADUOJR-QMMMGPOBSA-N 0 0 260.297 2.929 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000119510506 347802182 /nfs/dbraw/zinc/80/21/82/347802182.db2.gz JRSFSULTTGCVIJ-MNOVXSKESA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NC1CC=CC1 ZINC000125876186 347830499 /nfs/dbraw/zinc/83/04/99/347830499.db2.gz FOHQDBJHSYTRKF-UHFFFAOYSA-N 0 0 280.711 2.626 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000125906787 347830633 /nfs/dbraw/zinc/83/06/33/347830633.db2.gz OVPFVWZZTGTBIU-NWDGAFQWSA-N 0 0 286.287 2.782 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000122614170 347816194 /nfs/dbraw/zinc/81/61/94/347816194.db2.gz IUNOLOBIRFWLFD-QMTHXVAHSA-N 0 0 288.307 2.846 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000127266711 347840476 /nfs/dbraw/zinc/84/04/76/347840476.db2.gz VPDGGMVAVMFRTL-AAEUAGOBSA-N 0 0 291.351 2.973 20 5 CFBDRN COc1cc(N2CCC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000127356046 347841112 /nfs/dbraw/zinc/84/11/12/347841112.db2.gz ZIFZHFGHYZCGAK-QMMMGPOBSA-N 0 0 254.261 2.731 20 5 CFBDRN CSCCNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000127604061 347842665 /nfs/dbraw/zinc/84/26/65/347842665.db2.gz XNHDBZOFHICYNZ-UHFFFAOYSA-N 0 0 283.353 2.696 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000128536398 347852043 /nfs/dbraw/zinc/85/20/43/347852043.db2.gz ATUCEHOWBXQNNX-ZDUSSCGKSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000128610959 347852878 /nfs/dbraw/zinc/85/28/78/347852878.db2.gz USGSKYMSFQSGLP-SECBINFHSA-N 0 0 263.297 2.905 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cnccn1 ZINC000126562398 347835559 /nfs/dbraw/zinc/83/55/59/347835559.db2.gz FVWNOTFJTUCLRA-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129853267 347864942 /nfs/dbraw/zinc/86/49/42/347864942.db2.gz HWECAAQWAFSKJQ-YGRLFVJLSA-N 0 0 274.320 2.742 20 5 CFBDRN Cc1cccc(CNC(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000130348102 347869808 /nfs/dbraw/zinc/86/98/08/347869808.db2.gz YVHRWQRGFIHOCS-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CCC[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000131407806 347878266 /nfs/dbraw/zinc/87/82/66/347878266.db2.gz CHZDILVQAHTHRG-LLVKDONJSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1cccc(CCNc2cccnc2[N+](=O)[O-])c1 ZINC000134478932 347897782 /nfs/dbraw/zinc/89/77/82/347897782.db2.gz CTMFIJPVXCJBDQ-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1C ZINC000134560520 347899686 /nfs/dbraw/zinc/89/96/86/347899686.db2.gz PNGFNIRFBRKIFV-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000135234407 347905821 /nfs/dbraw/zinc/90/58/21/347905821.db2.gz OQDLBHAFRWSNHW-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccsc2)c1 ZINC000135352564 347906618 /nfs/dbraw/zinc/90/66/18/347906618.db2.gz FZBAKYACYMOVIM-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000138516438 347916710 /nfs/dbraw/zinc/91/67/10/347916710.db2.gz VBQKSFULHIASGE-VXGBXAGGSA-N 0 0 291.351 2.702 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCc3ccccc32)c1 ZINC000145338060 347942751 /nfs/dbraw/zinc/94/27/51/347942751.db2.gz MVVABIPFPXNNQQ-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN Cc1nn(C)c(C)c1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000147329328 347947898 /nfs/dbraw/zinc/94/78/98/347947898.db2.gz YCNZDFXUIXTZFZ-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2(CO)CCCCC2)c1 ZINC000157365482 347999670 /nfs/dbraw/zinc/99/96/70/347999670.db2.gz GYRTWDIQVMQKQO-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(C)(Cl)Cl ZINC000182887499 282580336 /nfs/dbraw/zinc/58/03/36/282580336.db2.gz DRICMEMTLRRUBB-UHFFFAOYSA-N 0 0 291.134 2.747 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CCC[C@@](C)(C(=O)[O-])C1 ZINC000086783683 179332000 /nfs/dbraw/zinc/33/20/00/179332000.db2.gz SNRGZBQQLIUHGV-XHDPSFHLSA-N 0 0 292.335 2.843 20 5 CFBDRN O=C(CCCc1ccccn1)NCc1cccc([N+](=O)[O-])c1 ZINC000171471850 348077127 /nfs/dbraw/zinc/07/71/27/348077127.db2.gz IEZOOVYVMBEAIR-UHFFFAOYSA-N 0 0 299.330 2.629 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOC2CCCC2)n1 ZINC000162625483 348031547 /nfs/dbraw/zinc/03/15/47/348031547.db2.gz JFOSZLQGTAPZLV-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN Cc1csc([C@@H](C)NC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000170772710 348062102 /nfs/dbraw/zinc/06/21/02/348062102.db2.gz UGXJIFXRNLNYQC-SSDOTTSWSA-N 0 0 297.361 2.912 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000270876126 190850987 /nfs/dbraw/zinc/85/09/87/190850987.db2.gz OHENYJGGVOVPKG-VIFPVBQESA-N 0 0 291.307 2.878 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000128708514 187386364 /nfs/dbraw/zinc/38/63/64/187386364.db2.gz LQMTYFHFXOFEKW-VHSXEESVSA-N 0 0 266.297 2.886 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000172449414 348094518 /nfs/dbraw/zinc/09/45/18/348094518.db2.gz NBVSEMWYPYXYPX-GFCCVEGCSA-N 0 0 294.351 2.772 20 5 CFBDRN CC1CCC(O)(CNc2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000232847588 348213868 /nfs/dbraw/zinc/21/38/68/348213868.db2.gz XDEOTYWPJBJWTB-UHFFFAOYSA-N 0 0 299.758 2.996 20 5 CFBDRN COc1ccc(NCc2ccccc2[N+](=O)[O-])nc1 ZINC000225894135 348180488 /nfs/dbraw/zinc/18/04/88/348180488.db2.gz VSCHANCVSFGUIS-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000227865526 348190612 /nfs/dbraw/zinc/19/06/12/348190612.db2.gz PPXOMWDEINABJQ-PRHODGIISA-N 0 0 258.281 2.748 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2C)C1(C)C ZINC000227822841 348190814 /nfs/dbraw/zinc/19/08/14/348190814.db2.gz DJWRRYCSMGDDDT-QWRGUYRKSA-N 0 0 265.313 2.524 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CCC[C@](C)(C(=O)[O-])C1 ZINC000227832003 348191082 /nfs/dbraw/zinc/19/10/82/348191082.db2.gz SNRGZBQQLIUHGV-ABAIWWIYSA-N 0 0 292.335 2.843 20 5 CFBDRN Cc1[nH]nc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)c1C ZINC000228373555 348195779 /nfs/dbraw/zinc/19/57/79/348195779.db2.gz JGAIVXFOSSEOQJ-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN C[C@H]1CCCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000086963915 179369469 /nfs/dbraw/zinc/36/94/69/179369469.db2.gz LWGJCSUUOAPWGT-LBPRGKRZSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@](C)(O)CC1 ZINC000230372963 348206137 /nfs/dbraw/zinc/20/61/37/348206137.db2.gz KYCRPCPPPHKGFY-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000231685095 348208708 /nfs/dbraw/zinc/20/87/08/348208708.db2.gz AMQNEMPRFYUWGU-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@@H](O)[C@H](C)Oc1ccc(Br)cc1[N+](=O)[O-] ZINC000087139356 179397329 /nfs/dbraw/zinc/39/73/29/179397329.db2.gz PRBFJELGEZEKKT-RQJHMYQMSA-N 0 0 290.113 2.505 20 5 CFBDRN C[C@H](O)[C@H](C)Oc1ccc(Br)cc1[N+](=O)[O-] ZINC000087139353 179397354 /nfs/dbraw/zinc/39/73/54/179397354.db2.gz PRBFJELGEZEKKT-BQBZGAKWSA-N 0 0 290.113 2.505 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2CCC[C@@H]2C1)c1cc([N+](=O)[O-])c[nH]1 ZINC000359565415 295787540 /nfs/dbraw/zinc/78/75/40/295787540.db2.gz WRYKZUHJXCZSSH-GMTAPVOTSA-N 0 0 277.324 2.622 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2CF)c(Cl)c1 ZINC000292791559 348359329 /nfs/dbraw/zinc/35/93/29/348359329.db2.gz MPQUQHQJLIPOSF-SSDOTTSWSA-N 0 0 259.668 2.582 20 5 CFBDRN COC(=O)[C@@H](C)CSc1c(Cl)cncc1[N+](=O)[O-] ZINC000310746756 348410149 /nfs/dbraw/zinc/41/01/49/348410149.db2.gz JGDQDEIEZLNPEL-LURJTMIESA-N 0 0 290.728 2.544 20 5 CFBDRN CC1(CNc2ccc(N)c([N+](=O)[O-])n2)CCCC1 ZINC000310995196 348411763 /nfs/dbraw/zinc/41/17/63/348411763.db2.gz UARCIKCUVXIGME-UHFFFAOYSA-N 0 0 250.302 2.564 20 5 CFBDRN Nc1ccc(NCC2CCCCC2)nc1[N+](=O)[O-] ZINC000311234152 348412530 /nfs/dbraw/zinc/41/25/30/348412530.db2.gz UZTHJFFRRKNTPF-UHFFFAOYSA-N 0 0 250.302 2.564 20 5 CFBDRN CCC[C@H](CCO)CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000311649935 348414043 /nfs/dbraw/zinc/41/40/43/348414043.db2.gz ZFIRHFHXVDCOLA-SECBINFHSA-N 0 0 287.747 2.854 20 5 CFBDRN Cc1nc(C)c(CNc2c(Cl)cncc2[N+](=O)[O-])o1 ZINC000311868847 348415282 /nfs/dbraw/zinc/41/52/82/348415282.db2.gz UBKLJVBYYQHVPP-UHFFFAOYSA-N 0 0 282.687 2.860 20 5 CFBDRN C[C@H](Cc1cccs1)Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000311913300 348415319 /nfs/dbraw/zinc/41/53/19/348415319.db2.gz FNJYFLPQCYDJCI-MRVPVSSYSA-N 0 0 278.337 2.677 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000311858255 348415471 /nfs/dbraw/zinc/41/54/71/348415471.db2.gz JYPPOMLODXGMHV-SVRRBLITSA-N 0 0 296.377 2.905 20 5 CFBDRN CC[C@H]1CCCN(c2ccc(N)c([N+](=O)[O-])n2)CC1 ZINC000311977999 348416510 /nfs/dbraw/zinc/41/65/10/348416510.db2.gz QEESZRQNCOEMBA-JTQLQIEISA-N 0 0 264.329 2.589 20 5 CFBDRN CC1(C)COC[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000313011922 348421201 /nfs/dbraw/zinc/42/12/01/348421201.db2.gz AERAUNUUPOSNOC-ZDUSSCGKSA-N 0 0 287.319 2.980 20 5 CFBDRN CCC[C@@](C)(O)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000312438810 348417950 /nfs/dbraw/zinc/41/79/50/348417950.db2.gz IUJUHKRYFQAUIS-CQSZACIVSA-N 0 0 282.340 2.875 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H](CC)C2CC2)cc1[N+](=O)[O-] ZINC000324030340 348438823 /nfs/dbraw/zinc/43/88/23/348438823.db2.gz RUWQKCNUBULGBN-GFCCVEGCSA-N 0 0 291.351 2.945 20 5 CFBDRN CCN(C(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000273382120 192133322 /nfs/dbraw/zinc/13/33/22/192133322.db2.gz IPJKBBRCSVLORH-UHFFFAOYSA-N 0 0 269.276 2.996 20 5 CFBDRN CO[C@@]1(C)C[C@H]1NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000596787070 349990430 /nfs/dbraw/zinc/99/04/30/349990430.db2.gz CMZKQSAJMVGAAK-RISCZKNCSA-N 0 0 293.323 2.511 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000596840876 349995759 /nfs/dbraw/zinc/99/57/59/349995759.db2.gz DOAWZGMYKYSZON-VHRBIJSZSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1ccncc1CC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000596841707 349995861 /nfs/dbraw/zinc/99/58/61/349995861.db2.gz KENPNWIQMWWHTL-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000413147726 233024911 /nfs/dbraw/zinc/02/49/11/233024911.db2.gz OSZCKAKNONLWIH-LLVKDONJSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC2CC1(C)C2 ZINC000596842465 349995982 /nfs/dbraw/zinc/99/59/82/349995982.db2.gz MJPPZQFKXXGDTH-UHFFFAOYSA-N 0 0 275.308 2.919 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000596844491 349996514 /nfs/dbraw/zinc/99/65/14/349996514.db2.gz OWPJVZXHPKSDML-LLVKDONJSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1cc(-c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)ccc1O ZINC000350968237 348480759 /nfs/dbraw/zinc/48/07/59/348480759.db2.gz WBQYKLNBDFXOCE-UHFFFAOYSA-N 0 0 286.247 2.654 20 5 CFBDRN Cc1nc(CNc2ncc([N+](=O)[O-])cc2F)sc1C ZINC000413173748 233029305 /nfs/dbraw/zinc/02/93/05/233029305.db2.gz RJEHIJQDKDXIGV-UHFFFAOYSA-N 0 0 282.300 2.814 20 5 CFBDRN COC1(c2nc(-c3cc(C)cc([N+](=O)[O-])c3)no2)CC1 ZINC000351381593 348494671 /nfs/dbraw/zinc/49/46/71/348494671.db2.gz PBMVUKSPVZLDIC-UHFFFAOYSA-N 0 0 275.264 2.589 20 5 CFBDRN CCc1cnc(CNc2ncc(F)cc2[N+](=O)[O-])s1 ZINC000401148253 348577138 /nfs/dbraw/zinc/57/71/38/348577138.db2.gz CRFZYXMHMATUML-UHFFFAOYSA-N 0 0 282.300 2.760 20 5 CFBDRN CC(C)n1cc(Nc2ncc(F)cc2[N+](=O)[O-])cn1 ZINC000401241234 348578161 /nfs/dbraw/zinc/57/81/61/348578161.db2.gz TUSBOUQQVNBJRM-UHFFFAOYSA-N 0 0 265.248 2.650 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000401800778 348578911 /nfs/dbraw/zinc/57/89/11/348578911.db2.gz SZXYLZHJWMMREY-HTQZYQBOSA-N 0 0 256.689 2.838 20 5 CFBDRN O=[N+]([O-])c1cccc(NCCC[C@H]2CCOC2)c1 ZINC000402360052 348581783 /nfs/dbraw/zinc/58/17/83/348581783.db2.gz VFSDLDWXLWVYKC-NSHDSACASA-N 0 0 250.298 2.823 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccnc3c2cccc3[N+](=O)[O-])CO1 ZINC000402241212 348581892 /nfs/dbraw/zinc/58/18/92/348581892.db2.gz XXWOJHCCKLMMOR-NXEZZACHSA-N 0 0 273.292 2.732 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403456531 348585210 /nfs/dbraw/zinc/58/52/10/348585210.db2.gz HDJDHZMDRXUIKT-GRYCIOLGSA-N 0 0 278.356 2.968 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@H]3C[C@H]3C2)ncc1[N+](=O)[O-] ZINC000403477693 348585356 /nfs/dbraw/zinc/58/53/56/348585356.db2.gz QSEHDUNWIGXRDS-GRYCIOLGSA-N 0 0 261.325 2.568 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413230188 233039008 /nfs/dbraw/zinc/03/90/08/233039008.db2.gz ADPUFPMFSQHPIO-ZJUUUORDSA-N 0 0 275.308 2.714 20 5 CFBDRN CCCN(CC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273452244 192156020 /nfs/dbraw/zinc/15/60/20/192156020.db2.gz LJEQXXRRSIWXLM-UHFFFAOYSA-N 0 0 269.276 2.998 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2CC(O)C2)c2cccnc21 ZINC000413256179 233041417 /nfs/dbraw/zinc/04/14/17/233041417.db2.gz AGVBCIKZEXNHJR-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CCOC(C)(C)C1 ZINC000413329016 233053888 /nfs/dbraw/zinc/05/38/88/233053888.db2.gz FMKADOMZYLSNLK-NSHDSACASA-N 0 0 296.371 2.737 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@H](O)C2(C)C)c1[N+](=O)[O-] ZINC000128929099 187399632 /nfs/dbraw/zinc/39/96/32/187399632.db2.gz ORKHIRNEQJKUGC-NEPJUHHUSA-N 0 0 280.324 2.565 20 5 CFBDRN CCn1cc([C@H](C)Nc2ncc([N+](=O)[O-])cc2F)cn1 ZINC000413314889 233051781 /nfs/dbraw/zinc/05/17/81/233051781.db2.gz JCWMEKVEFNSUPI-QMMMGPOBSA-N 0 0 279.275 2.518 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2C[C@@H](OC(C)C)C2)n1 ZINC000413377429 233062576 /nfs/dbraw/zinc/06/25/76/233062576.db2.gz CXZYQZXIDNIDEM-TXEJJXNPSA-N 0 0 279.340 2.975 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@@]1(C)CCC(=O)N1 ZINC000413336581 233055393 /nfs/dbraw/zinc/05/53/93/233055393.db2.gz HJCADGPDBJLRLP-CYBMUJFWSA-N 0 0 297.742 2.637 20 5 CFBDRN Cc1nc(N[C@@H](C)c2cnn(C(C)C)c2)ncc1[N+](=O)[O-] ZINC000584332104 348677120 /nfs/dbraw/zinc/67/71/20/348677120.db2.gz UZTDFBVWOXXLIN-VIFPVBQESA-N 0 0 290.327 2.644 20 5 CFBDRN Cc1cnc(CCNc2c(C)cccc2[N+](=O)[O-])cn1 ZINC000413351214 233058607 /nfs/dbraw/zinc/05/86/07/233058607.db2.gz ZEQQNTDSIBSPDP-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1cc(N2CC3(C2)CC(F)(F)C3)ncc1[N+](=O)[O-] ZINC000588040550 348768203 /nfs/dbraw/zinc/76/82/03/348768203.db2.gz CPMJCDXNXUIMKX-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2[C@H]2CCCO2)s1 ZINC000588048978 348768720 /nfs/dbraw/zinc/76/87/20/348768720.db2.gz GNMIIPFIBJLLDO-VHSXEESVSA-N 0 0 268.338 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCC[C@@H]3C[C@@H]31)CCN2 ZINC000588066581 348769825 /nfs/dbraw/zinc/76/98/25/348769825.db2.gz SKRHUEQLJOQELU-RNCFNFMXSA-N 0 0 259.309 2.552 20 5 CFBDRN CCOc1cc(N2CCC[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000588066410 348770037 /nfs/dbraw/zinc/77/00/37/348770037.db2.gz NSBOZMRGRXGLTH-GXFFZTMASA-N 0 0 262.309 2.982 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC1(c2ccccn2)CCC1 ZINC000588079652 348771304 /nfs/dbraw/zinc/77/13/04/348771304.db2.gz RSZHMQNMBQSGEG-UHFFFAOYSA-N 0 0 284.319 2.919 20 5 CFBDRN O=C(N[C@H]1CCCC1(F)F)c1csc([N+](=O)[O-])c1 ZINC000588180218 348778339 /nfs/dbraw/zinc/77/83/39/348778339.db2.gz NBYWYSVIRWPLSN-ZETCQYMHSA-N 0 0 276.264 2.574 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1CCCC1(F)F ZINC000588279362 348782076 /nfs/dbraw/zinc/78/20/76/348782076.db2.gz LVUACUAYNALWLH-JTQLQIEISA-N 0 0 285.250 2.904 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCSC12CCCCC2 ZINC000588831679 348797356 /nfs/dbraw/zinc/79/73/56/348797356.db2.gz FJGACYYKSNYMAC-UHFFFAOYSA-N 0 0 295.364 2.772 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@@H]2C(C)(C)O)ccc1[N+](=O)[O-] ZINC000585707091 348739975 /nfs/dbraw/zinc/73/99/75/348739975.db2.gz DMCOHAZIYZUQLS-CQSZACIVSA-N 0 0 292.335 2.537 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1C ZINC000585763530 348743691 /nfs/dbraw/zinc/74/36/91/348743691.db2.gz NAVXQLINIHYURF-SCDSUCTJSA-N 0 0 276.336 2.688 20 5 CFBDRN Cc1cc(C(=O)NCc2ccc([N+](=O)[O-])cc2)cs1 ZINC000586750638 348747616 /nfs/dbraw/zinc/74/76/16/348747616.db2.gz IUAZOGHLOSMBAX-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)CCC(F)F ZINC000586824973 348756357 /nfs/dbraw/zinc/75/63/57/348756357.db2.gz HVGCHNVMEPDDCQ-UHFFFAOYSA-N 0 0 286.278 2.641 20 5 CFBDRN CC(C)(C)CCNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587178320 348761921 /nfs/dbraw/zinc/76/19/21/348761921.db2.gz HRRQCIYRJXTGEH-UHFFFAOYSA-N 0 0 267.329 2.517 20 5 CFBDRN CC1(C)CCC[C@@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587993184 348764642 /nfs/dbraw/zinc/76/46/42/348764642.db2.gz DXUOPTHRGHKLCY-LBPRGKRZSA-N 0 0 279.340 2.660 20 5 CFBDRN CC(C)C[C@H]1CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587989247 348764920 /nfs/dbraw/zinc/76/49/20/348764920.db2.gz IAQYWDWCTFWDSW-LLVKDONJSA-N 0 0 293.367 2.542 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@H]1O ZINC000588012595 348765858 /nfs/dbraw/zinc/76/58/58/348765858.db2.gz ISHMIDVJNDGWIG-GXFFZTMASA-N 0 0 296.342 2.967 20 5 CFBDRN C[C@H]1CN(c2cc(N)c([N+](=O)[O-])c(CO)c2)CC(C)(C)C1 ZINC000588015575 348766653 /nfs/dbraw/zinc/76/66/53/348766653.db2.gz MPKGNQAUZFMICN-SNVBAGLBSA-N 0 0 293.367 2.542 20 5 CFBDRN C[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@H]1F ZINC000588013064 348766756 /nfs/dbraw/zinc/76/67/56/348766756.db2.gz VNJWJWBMIWYYAP-PSASIEDQSA-N 0 0 256.252 2.918 20 5 CFBDRN CO[C@@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000588015184 348766934 /nfs/dbraw/zinc/76/69/34/348766934.db2.gz DZKXGJDVXCQDCJ-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(C2CCC2)no1 ZINC000589090081 348810156 /nfs/dbraw/zinc/81/01/56/348810156.db2.gz BVTCRAHDHQWFFY-UHFFFAOYSA-N 0 0 275.264 2.921 20 5 CFBDRN COCC[C@H](C)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000413490124 233082484 /nfs/dbraw/zinc/08/24/84/233082484.db2.gz ICJGFZFADMHDPV-JTQLQIEISA-N 0 0 279.340 2.647 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CC(C)(C)O1 ZINC000589109895 348810992 /nfs/dbraw/zinc/81/09/92/348810992.db2.gz YZDIHJAWHANLNK-QMMMGPOBSA-N 0 0 285.731 2.647 20 5 CFBDRN CN(Cc1cscn1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000589111076 348811010 /nfs/dbraw/zinc/81/10/10/348811010.db2.gz QZNXVBHWKLTOPJ-UHFFFAOYSA-N 0 0 284.728 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(CF)C2)c2ncccc12 ZINC000413503077 233085376 /nfs/dbraw/zinc/08/53/76/233085376.db2.gz OGSWHMOUZVCELO-UHFFFAOYSA-N 0 0 261.256 2.549 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC(C)(C)OCC1CC1 ZINC000413508991 233086127 /nfs/dbraw/zinc/08/61/27/233086127.db2.gz GTBQWBWIUPYVIR-UHFFFAOYSA-N 0 0 296.371 2.737 20 5 CFBDRN CO[C@@](C)([C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1)C1CC1 ZINC000413521473 233087861 /nfs/dbraw/zinc/08/78/61/233087861.db2.gz QVJGHAXNJTTXHK-HZMBPMFUSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2C[C@@H](C)O[C@H]2C)n1 ZINC000413526791 233089536 /nfs/dbraw/zinc/08/95/36/233089536.db2.gz CPOFYYAHGTVOSP-WOPDTQHZSA-N 0 0 279.340 2.832 20 5 CFBDRN Cc1ccnc(NC[C@@H]2C[C@@H](C)O[C@H]2C)c1[N+](=O)[O-] ZINC000413533247 233091667 /nfs/dbraw/zinc/09/16/67/233091667.db2.gz NYMRIFZTRGPPQY-VWYCJHECSA-N 0 0 265.313 2.524 20 5 CFBDRN Cc1cnc(NC[C@@H]2C[C@H](C)O[C@@H]2C)c([N+](=O)[O-])c1 ZINC000413541765 233092712 /nfs/dbraw/zinc/09/27/12/233092712.db2.gz WCSTYZFFRLHTAP-AXFHLTTASA-N 0 0 265.313 2.524 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)C1CC(C)(C)C1 ZINC000413438551 233073562 /nfs/dbraw/zinc/07/35/62/233073562.db2.gz MFHBBMDHQMIWGE-UHFFFAOYSA-N 0 0 266.345 2.744 20 5 CFBDRN Cc1cc(N[C@@H](C)c2ccn(C)n2)c(F)cc1[N+](=O)[O-] ZINC000413444419 233074059 /nfs/dbraw/zinc/07/40/59/233074059.db2.gz BRTIKWGYKVDXJX-VIFPVBQESA-N 0 0 278.287 2.949 20 5 CFBDRN COc1cc(N[C@@H](C)C[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000589585158 348840325 /nfs/dbraw/zinc/84/03/25/348840325.db2.gz SLBZOIVVSJLPRM-QWRGUYRKSA-N 0 0 280.324 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CC[C@H](C(F)F)C1)CCN2 ZINC000589599719 348840946 /nfs/dbraw/zinc/84/09/46/348840946.db2.gz HDPCPPWEYVYMSV-QMMMGPOBSA-N 0 0 283.278 2.654 20 5 CFBDRN COCc1nc(CNc2sccc2[N+](=O)[O-])cs1 ZINC000589605061 348841959 /nfs/dbraw/zinc/84/19/59/348841959.db2.gz RYCAGRGAPGZLAZ-UHFFFAOYSA-N 0 0 285.350 2.871 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000589608943 348842838 /nfs/dbraw/zinc/84/28/38/348842838.db2.gz UVVNTTDGTCMMTI-VIFPVBQESA-N 0 0 298.755 2.958 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000589608942 348843013 /nfs/dbraw/zinc/84/30/13/348843013.db2.gz UVVNTTDGTCMMTI-SECBINFHSA-N 0 0 298.755 2.958 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C12CCC2 ZINC000413454286 233076372 /nfs/dbraw/zinc/07/63/72/233076372.db2.gz VRKHAIFUPRPYPP-NWDGAFQWSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@H](Cc1cccc(F)c1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000413452241 233076398 /nfs/dbraw/zinc/07/63/98/233076398.db2.gz LHQZVOSBARXSMK-SNVBAGLBSA-N 0 0 292.314 2.535 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1nnc(C2CC2)s1 ZINC000413590223 233100893 /nfs/dbraw/zinc/10/08/93/233100893.db2.gz YEDQAXRFBUOLGE-UHFFFAOYSA-N 0 0 291.336 2.639 20 5 CFBDRN Cn1nc2c(c1CNc1ccsc1[N+](=O)[O-])CCCC2 ZINC000589693144 348851155 /nfs/dbraw/zinc/85/11/55/348851155.db2.gz USMYDZOSARBFRE-UHFFFAOYSA-N 0 0 292.364 2.881 20 5 CFBDRN Cc1cc(N2CC([C@@H]3CCOC3)C2)c(F)cc1[N+](=O)[O-] ZINC000589692320 348851238 /nfs/dbraw/zinc/85/12/38/348851238.db2.gz OHVDYCSIZZEZQE-SNVBAGLBSA-N 0 0 280.299 2.515 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1cc(C)c([N+](=O)[O-])cc1F ZINC000589689350 348851292 /nfs/dbraw/zinc/85/12/92/348851292.db2.gz JZQNRSNEETXADD-LLVKDONJSA-N 0 0 282.315 2.905 20 5 CFBDRN CCc1ccc(C(=O)N2CC(C3CC3)C2)cc1[N+](=O)[O-] ZINC000589725121 348853888 /nfs/dbraw/zinc/85/38/88/348853888.db2.gz WZBLYQRAIXYCRR-UHFFFAOYSA-N 0 0 274.320 2.639 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCc3cccnc32)cccc1[N+](=O)[O-] ZINC000589829420 348858253 /nfs/dbraw/zinc/85/82/53/348858253.db2.gz GLBYMCCGLDMJCU-GFCCVEGCSA-N 0 0 297.314 2.967 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC(F)(c2ccccc2F)C1 ZINC000589874066 348862460 /nfs/dbraw/zinc/86/24/60/348862460.db2.gz SBFBIDOZGNPZQB-UHFFFAOYSA-N 0 0 291.257 2.814 20 5 CFBDRN CN(C[C@H](O)Cc1ccccc1)c1ccsc1[N+](=O)[O-] ZINC000590063245 348872598 /nfs/dbraw/zinc/87/25/98/348872598.db2.gz JFSAWICXIFINOP-GFCCVEGCSA-N 0 0 292.360 2.696 20 5 CFBDRN C[C@@H]1C[C@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)[C@H](C)O1 ZINC000413776589 233127498 /nfs/dbraw/zinc/12/74/98/233127498.db2.gz RIMUKKGWGIWJFP-OUAUKWLOSA-N 0 0 293.323 2.530 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](CNc2cccnc2[N+](=O)[O-])CCO1 ZINC000590716098 348934921 /nfs/dbraw/zinc/93/49/21/348934921.db2.gz SEQWFMOJUGOPDZ-AAEUAGOBSA-N 0 0 279.340 2.853 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CCOC2(CCC2)C1 ZINC000590715506 348935089 /nfs/dbraw/zinc/93/50/89/348935089.db2.gz KSFCDJQDLVWWCH-LLVKDONJSA-N 0 0 277.324 2.751 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]([C@@H](CO)C1CC1)C1CC1 ZINC000590719253 348935512 /nfs/dbraw/zinc/93/55/12/348935512.db2.gz MBYYOFVKEBGINF-CMPLNLGQSA-N 0 0 282.365 2.865 20 5 CFBDRN CO[C@@H]1C[C@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C12CCC2 ZINC000590726241 348938058 /nfs/dbraw/zinc/93/80/58/348938058.db2.gz NAKZAZDRLSLDQP-QWHCGFSZSA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H]1C(F)(F)F ZINC000590552773 348908670 /nfs/dbraw/zinc/90/86/70/348908670.db2.gz AYRJZCHYEHMCCJ-JTQLQIEISA-N 0 0 288.225 2.680 20 5 CFBDRN COC(=O)c1c(Cl)c(N2CCCC2)ccc1[N+](=O)[O-] ZINC000590569103 348909766 /nfs/dbraw/zinc/90/97/66/348909766.db2.gz LDFBKKXQKRKPJU-UHFFFAOYSA-N 0 0 284.699 2.635 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H]2C(F)(F)F)cc1 ZINC000590599386 348912785 /nfs/dbraw/zinc/91/27/85/348912785.db2.gz GBSNFORXSVDFTO-JTQLQIEISA-N 0 0 260.215 2.731 20 5 CFBDRN COc1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1C ZINC000590597116 348913140 /nfs/dbraw/zinc/91/31/40/348913140.db2.gz IDWNWRDPYRTFDO-UHFFFAOYSA-N 0 0 275.308 2.773 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCN2CC[C@@H]2C(F)(F)F)c1 ZINC000590602882 348914444 /nfs/dbraw/zinc/91/44/44/348914444.db2.gz XJWMODWQOQSQOM-LLVKDONJSA-N 0 0 290.241 2.610 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1ccccc1[N+](=O)[O-])CC2 ZINC000590610615 348915679 /nfs/dbraw/zinc/91/56/79/348915679.db2.gz CIXABVNVEVCDDR-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CCC[C@@H](C)NC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000590619746 348915727 /nfs/dbraw/zinc/91/57/27/348915727.db2.gz MMQBOMUDBWUTOF-LLVKDONJSA-N 0 0 292.335 2.863 20 5 CFBDRN Cc1nc(N[C@H]2CCC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000590696152 348930043 /nfs/dbraw/zinc/93/00/43/348930043.db2.gz AZBIMCIZYJHEBD-VIFPVBQESA-N 0 0 250.302 2.684 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCCC1CCOCC1 ZINC000590704718 348931646 /nfs/dbraw/zinc/93/16/46/348931646.db2.gz FPKLPOLBXUQSBV-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000591159708 348994865 /nfs/dbraw/zinc/99/48/65/348994865.db2.gz OOCGQKNLAIAAHF-ZDUSSCGKSA-N 0 0 283.303 2.524 20 5 CFBDRN CC1(F)CN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000591159715 348995040 /nfs/dbraw/zinc/99/50/40/348995040.db2.gz SILHQZOSKPXPDM-UHFFFAOYSA-N 0 0 261.256 2.691 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@H](C2CCC2)C1 ZINC000591186060 348997846 /nfs/dbraw/zinc/99/78/46/348997846.db2.gz MVKCYOYOSZRZTL-AWEZNQCLSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]3COC[C@@H]32)c1 ZINC000591183191 348997875 /nfs/dbraw/zinc/99/78/75/348997875.db2.gz KVHKFRAFGRCFHS-RISCZKNCSA-N 0 0 262.309 2.518 20 5 CFBDRN COCC1CCC(Nc2cccnc2[N+](=O)[O-])CC1 ZINC000591194735 348999088 /nfs/dbraw/zinc/99/90/88/348999088.db2.gz FVHGRVJBMYLREO-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccsc2[N+](=O)[O-])[C@H](C)O1 ZINC000591193752 348999158 /nfs/dbraw/zinc/99/91/58/348999158.db2.gz VRQLSNIEGLONOU-HRDYMLBCSA-N 0 0 256.327 2.882 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1CCC[C@H](CO)C1 ZINC000591201030 348999756 /nfs/dbraw/zinc/99/97/56/348999756.db2.gz QKHZGCRZCINEKN-DTWKUNHWSA-N 0 0 256.327 2.619 20 5 CFBDRN Cc1cnc(N2CC[C@H](C3CCC3)C2)c([N+](=O)[O-])c1 ZINC000591204293 348999991 /nfs/dbraw/zinc/99/99/91/348999991.db2.gz PWVWXUNRXOKDJI-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)NC1CCSCC1 ZINC000273485342 192170068 /nfs/dbraw/zinc/17/00/68/192170068.db2.gz RFDJETYVLDEEAO-UHFFFAOYSA-N 0 0 299.327 2.751 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CC12CCSCC2 ZINC000591462243 349025034 /nfs/dbraw/zinc/02/50/34/349025034.db2.gz NQDDUGTXHVYARR-SNVBAGLBSA-N 0 0 279.365 2.935 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC[C@H]2C[C@H]21 ZINC000591534420 349029040 /nfs/dbraw/zinc/02/90/40/349029040.db2.gz GBNMFFYGCIMEBP-SMDDNHRTSA-N 0 0 274.320 2.836 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000591589481 349033017 /nfs/dbraw/zinc/03/30/17/349033017.db2.gz IEDSRUVHQSHMGA-PRHODGIISA-N 0 0 297.742 2.647 20 5 CFBDRN CCCC[C@](C)(CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000591976100 349068685 /nfs/dbraw/zinc/06/86/85/349068685.db2.gz VYCIAWSSFNLHKO-CYBMUJFWSA-N 0 0 267.329 2.651 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000591981648 349069004 /nfs/dbraw/zinc/06/90/04/349069004.db2.gz MHIRXIYQFSTXLS-GXSJLCMTSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000591982475 349069020 /nfs/dbraw/zinc/06/90/20/349069020.db2.gz PGTNWGFDLMTAOI-ZYHUDNBSSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000591982473 349069243 /nfs/dbraw/zinc/06/92/43/349069243.db2.gz PGTNWGFDLMTAOI-JQWIXIFHSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ncc([N+](=O)[O-])cc1C ZINC000591983722 349069759 /nfs/dbraw/zinc/06/97/59/349069759.db2.gz XJERSQMCZYJTPJ-KOLCDFICSA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC1CCC(F)CC1 ZINC000591993750 349071874 /nfs/dbraw/zinc/07/18/74/349071874.db2.gz GUYUMCRHAIDSMA-UHFFFAOYSA-N 0 0 253.277 2.930 20 5 CFBDRN CC/C=C\CCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592349349 349106993 /nfs/dbraw/zinc/10/69/93/349106993.db2.gz VQLPDGYBSUAAHI-ARJAWSKDSA-N 0 0 262.265 2.859 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000129455434 187432276 /nfs/dbraw/zinc/43/22/76/187432276.db2.gz PLHASXQKUQODDP-RYUDHWBXSA-N 0 0 291.351 2.997 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CCS[C@@H]2C)cc1[N+](=O)[O-] ZINC000592123724 349086933 /nfs/dbraw/zinc/08/69/33/349086933.db2.gz YPKSDVCIUCCZQH-MWLCHTKSSA-N 0 0 295.364 2.919 20 5 CFBDRN C[N@H+](Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1)C(C)(C)C ZINC000592179988 349095303 /nfs/dbraw/zinc/09/53/03/349095303.db2.gz DJNBSJGIIVOESP-UHFFFAOYSA-N 0 0 290.323 2.875 20 5 CFBDRN CCOC(=O)Cc1ccc(NC2CCC2)c([N+](=O)[O-])c1 ZINC000591909516 349058056 /nfs/dbraw/zinc/05/80/56/349058056.db2.gz SWHCGZIQJWDCAE-UHFFFAOYSA-N 0 0 278.308 2.665 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CC[C@@H]1CCOC1 ZINC000129407516 187428928 /nfs/dbraw/zinc/42/89/28/187428928.db2.gz JHHHXQTVSQNZNH-GFCCVEGCSA-N 0 0 292.335 2.967 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000591917925 349059835 /nfs/dbraw/zinc/05/98/35/349059835.db2.gz KQJBDLOQRFWMHC-RWMBFGLXSA-N 0 0 289.335 2.583 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC(C3CC3)C1)CCCC2 ZINC000591944519 349063315 /nfs/dbraw/zinc/06/33/15/349063315.db2.gz RLDLORKGTTUVKP-UHFFFAOYSA-N 0 0 273.336 2.715 20 5 CFBDRN CCOC(=O)Cc1ccc(N[C@H]2CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000591944582 349063374 /nfs/dbraw/zinc/06/33/74/349063374.db2.gz RBESHIZIKLFUAX-JQWIXIFHSA-N 0 0 292.335 2.911 20 5 CFBDRN CO[C@H](CNc1nc2c(cc1[N+](=O)[O-])CCCC2)C1CC1 ZINC000591948517 349064338 /nfs/dbraw/zinc/06/43/38/349064338.db2.gz LETRNJAMNGHJOL-CQSZACIVSA-N 0 0 291.351 2.706 20 5 CFBDRN C[C@H](CNc1ncnc2ccc([N+](=O)[O-])cc21)CC(F)F ZINC000591966351 349066227 /nfs/dbraw/zinc/06/62/27/349066227.db2.gz XQZASJDUGOQYGF-QMMMGPOBSA-N 0 0 296.277 2.663 20 5 CFBDRN CN(CCC(C)(C)C)c1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000591970633 349066970 /nfs/dbraw/zinc/06/69/70/349066970.db2.gz NDNPVPOTSLICIT-UHFFFAOYSA-N 0 0 279.340 2.566 20 5 CFBDRN COC(=O)[C@@H](CC(C)(C)C)Nc1ccc([N+](=O)[O-])cc1 ZINC000591972458 349067906 /nfs/dbraw/zinc/06/79/06/349067906.db2.gz PBEDWBLKQAEPIX-GFCCVEGCSA-N 0 0 280.324 2.985 20 5 CFBDRN CCCC[C@](C)(CO)Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000591976607 349068278 /nfs/dbraw/zinc/06/82/78/349068278.db2.gz ZYFURRKSBYSDEE-CQSZACIVSA-N 0 0 292.339 2.824 20 5 CFBDRN C[C@H](CNC(=O)c1ccccc1[N+](=O)[O-])CC(F)F ZINC000592671475 349148722 /nfs/dbraw/zinc/14/87/22/349148722.db2.gz GPQGMDHPDUFXKO-QMMMGPOBSA-N 0 0 272.251 2.616 20 5 CFBDRN CCc1onc(C)c1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000089395406 179779950 /nfs/dbraw/zinc/77/99/50/179779950.db2.gz HJEQGPKITQADFW-UHFFFAOYSA-N 0 0 289.291 2.635 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593029857 349190717 /nfs/dbraw/zinc/19/07/17/349190717.db2.gz RWWRBSWYUXDWGJ-SECBINFHSA-N 0 0 268.288 2.533 20 5 CFBDRN O=C(NCC1CCC(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000592721108 349157574 /nfs/dbraw/zinc/15/75/74/349157574.db2.gz HVTKMBDIDQXAMH-UHFFFAOYSA-N 0 0 280.299 2.853 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000592734538 349159061 /nfs/dbraw/zinc/15/90/61/349159061.db2.gz JICVPVNXTSPMCZ-IJLUTSLNSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CCS[C@H]1C ZINC000592735048 349159204 /nfs/dbraw/zinc/15/92/04/349159204.db2.gz JOVUBKIDBGPRGS-CMPLNLGQSA-N 0 0 294.376 2.835 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCS[C@@H]2C)cccc1[N+](=O)[O-] ZINC000592735690 349159877 /nfs/dbraw/zinc/15/98/77/349159877.db2.gz PNQOMRDSFVEAAY-KOLCDFICSA-N 0 0 280.349 2.527 20 5 CFBDRN Cn1c(C(=O)N2CCCC[C@H]2C2CCC2)ccc1[N+](=O)[O-] ZINC000592737081 349160423 /nfs/dbraw/zinc/16/04/23/349160423.db2.gz SVAUCZYEGHXHDQ-LBPRGKRZSA-N 0 0 291.351 2.728 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC12CCC2 ZINC000592828421 349169526 /nfs/dbraw/zinc/16/95/26/349169526.db2.gz DYVIZPVASBXFKN-JTQLQIEISA-N 0 0 275.308 2.765 20 5 CFBDRN CCCC[C@](C)(CO)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000592855689 349171575 /nfs/dbraw/zinc/17/15/75/349171575.db2.gz VCMTYDGAPFBYPH-OAHLLOKOSA-N 0 0 294.351 2.574 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000593114474 349211732 /nfs/dbraw/zinc/21/17/32/349211732.db2.gz CDELGUJFVWFGHW-CYBMUJFWSA-N 0 0 298.289 2.835 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000596896553 350002867 /nfs/dbraw/zinc/00/28/67/350002867.db2.gz MGKDYGSHIJPFPP-ZDUSSCGKSA-N 0 0 276.336 2.690 20 5 CFBDRN CC1(C)CCCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593137627 349218914 /nfs/dbraw/zinc/21/89/14/349218914.db2.gz MPDZABRDNFCWMV-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593146781 349220466 /nfs/dbraw/zinc/22/04/66/349220466.db2.gz FLZKAMDKBQTHBX-PWSUYJOCSA-N 0 0 294.326 2.829 20 5 CFBDRN CN(C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C(C)(C)C ZINC000593180465 349224647 /nfs/dbraw/zinc/22/46/47/349224647.db2.gz KUDRSPDYBKVTRG-UHFFFAOYSA-N 0 0 268.288 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2CCCC(F)(F)C2)cc1 ZINC000593048919 349194102 /nfs/dbraw/zinc/19/41/02/349194102.db2.gz NIPSUOFOSKRTJW-UHFFFAOYSA-N 0 0 270.279 2.868 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000593063397 349199591 /nfs/dbraw/zinc/19/95/91/349199591.db2.gz MNWUNYQAZGELIQ-SNVBAGLBSA-N 0 0 294.326 2.971 20 5 CFBDRN CC[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)[C@@H](C)CO1 ZINC000593065225 349200538 /nfs/dbraw/zinc/20/05/38/349200538.db2.gz ZYGDAMZGCXXZMF-FZMZJTMJSA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])C2(CCC2)CO1 ZINC000593091355 349206375 /nfs/dbraw/zinc/20/63/75/349206375.db2.gz GTXBKNWXOJPVCU-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1cc(NCCC2(CO)CCC2)ccc1[N+](=O)[O-] ZINC000593512475 349287940 /nfs/dbraw/zinc/28/79/40/349287940.db2.gz PHIHTLUNXYJZPF-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN CCOc1cccc(NCCC2(CO)CCC2)c1[N+](=O)[O-] ZINC000593512680 349287960 /nfs/dbraw/zinc/28/79/60/349287960.db2.gz RZDNNXIJVTZPGA-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN Cc1ccc(NCCC2(CO)CCC2)c([N+](=O)[O-])c1 ZINC000593511533 349287986 /nfs/dbraw/zinc/28/79/86/349287986.db2.gz CVRGQQWQAFJZMX-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CC3(CCC3)C2)c(F)c1 ZINC000593516329 349288840 /nfs/dbraw/zinc/28/88/40/349288840.db2.gz MREHCBYFQMVAKT-UHFFFAOYSA-N 0 0 251.261 2.874 20 5 CFBDRN Cc1ccnc(N2CC[C@]3(CC3(F)F)C2)c1[N+](=O)[O-] ZINC000593521090 349289643 /nfs/dbraw/zinc/28/96/43/349289643.db2.gz JWSBCMJBBUZIDZ-NSHDSACASA-N 0 0 269.251 2.534 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C[C@@H]1C ZINC000593570565 349293733 /nfs/dbraw/zinc/29/37/33/349293733.db2.gz YRQUHKLNYGUXSP-MNOVXSKESA-N 0 0 294.326 2.781 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593284592 349241745 /nfs/dbraw/zinc/24/17/45/349241745.db2.gz WOTVMGZMVLBOQL-FZMZJTMJSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)[C@@H](C)CO1 ZINC000593284093 349241772 /nfs/dbraw/zinc/24/17/72/349241772.db2.gz LIHRKCJKUURQFY-JQWIXIFHSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])NC1CC2(CCC2)C1 ZINC000593301972 349243413 /nfs/dbraw/zinc/24/34/13/349243413.db2.gz OIJKTMCIMMCDOI-UHFFFAOYSA-N 0 0 289.335 2.727 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1(C2CCC2)CC1 ZINC000593304714 349243881 /nfs/dbraw/zinc/24/38/81/349243881.db2.gz WOCOKOVITKQUCS-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(NC1(C2CCC2)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000593305547 349244375 /nfs/dbraw/zinc/24/43/75/349244375.db2.gz MFKQIMIYBFWARJ-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@]2(CC2(F)F)C1 ZINC000593355372 349251335 /nfs/dbraw/zinc/25/13/35/349251335.db2.gz HBEXPHIGIQNFRA-JTQLQIEISA-N 0 0 288.275 2.528 20 5 CFBDRN CC[C@H]1CN(c2ccnc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000593456696 349274839 /nfs/dbraw/zinc/27/48/39/349274839.db2.gz FSNNMVZAPKLIFP-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@H](COC)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461116 349275323 /nfs/dbraw/zinc/27/53/23/349275323.db2.gz HXCXDXXSFDTDOT-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461323 349275488 /nfs/dbraw/zinc/27/54/88/349275488.db2.gz JUWGUKYUWDMMQB-AWEZNQCLSA-N 0 0 275.308 2.572 20 5 CFBDRN CCC[C@@H](O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463557 349276023 /nfs/dbraw/zinc/27/60/23/349276023.db2.gz YKJFOCOHVCRKFF-LLVKDONJSA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@@](C)(O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593462702 349276067 /nfs/dbraw/zinc/27/60/67/349276067.db2.gz ZGLOVNXRVHOBII-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593467694 349276626 /nfs/dbraw/zinc/27/66/26/349276626.db2.gz AKZXQYLPXVPYNF-KBPBESRZSA-N 0 0 287.319 2.714 20 5 CFBDRN CO[C@@H](C)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593475838 349278010 /nfs/dbraw/zinc/27/80/10/349278010.db2.gz LUDAHHPLGZVKSG-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593480840 349279460 /nfs/dbraw/zinc/27/94/60/349279460.db2.gz GTPSDMPJPMJBRV-UWVGGRQHSA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1cc(N2CCC(C3(O)CC3)CC2)c(F)cc1[N+](=O)[O-] ZINC000593483418 349280533 /nfs/dbraw/zinc/28/05/33/349280533.db2.gz YRFWXZGRTWHCRN-UHFFFAOYSA-N 0 0 294.326 2.784 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC12CCCC2 ZINC000593482643 349280545 /nfs/dbraw/zinc/28/05/45/349280545.db2.gz AMVYYHJNAAICPE-UHFFFAOYSA-N 0 0 264.329 2.643 20 5 CFBDRN COc1cc(N2CCCC(F)(F)C2)c([N+](=O)[O-])cc1F ZINC000593487036 349281724 /nfs/dbraw/zinc/28/17/24/349281724.db2.gz LRGVNRPIIBKTSP-UHFFFAOYSA-N 0 0 290.241 2.978 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cn2)c2cc(F)ccc21 ZINC000593491698 349283114 /nfs/dbraw/zinc/28/31/14/349283114.db2.gz LWNHCDNKYHJWPS-UHFFFAOYSA-N 0 0 288.282 2.953 20 5 CFBDRN CC[C@H]1CN(c2cc(C)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593493429 349283894 /nfs/dbraw/zinc/28/38/94/349283894.db2.gz VJVDHOFOFHORPM-RYUDHWBXSA-N 0 0 264.325 2.907 20 5 CFBDRN CCN(c1ccc(F)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000593496564 349284604 /nfs/dbraw/zinc/28/46/04/349284604.db2.gz RTWFARJZKSAJCK-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000593787480 349328084 /nfs/dbraw/zinc/32/80/84/349328084.db2.gz XAQZQHUQONPLIJ-GXSJLCMTSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1C[C@@H](C)[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593738084 349317169 /nfs/dbraw/zinc/31/71/69/349317169.db2.gz MNJLAIZHKZQVAF-NXEZZACHSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1c(CC(=O)N2CCC23CCCC3)cccc1[N+](=O)[O-] ZINC000593761891 349322840 /nfs/dbraw/zinc/32/28/40/349322840.db2.gz SDUFELAYJPESQB-UHFFFAOYSA-N 0 0 288.347 2.991 20 5 CFBDRN Cc1ccc(C(=O)NC2(C)CC=CC2)cc1[N+](=O)[O-] ZINC000594072381 349368944 /nfs/dbraw/zinc/36/89/44/349368944.db2.gz OBKHKOJYNYCENB-UHFFFAOYSA-N 0 0 260.293 2.742 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000594134115 349383007 /nfs/dbraw/zinc/38/30/07/349383007.db2.gz DCSTYBQQQZBFQQ-KYZUINATSA-N 0 0 298.364 2.732 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)Cc1ccccn1 ZINC000594138789 349384548 /nfs/dbraw/zinc/38/45/48/349384548.db2.gz BXFDUTYSUVXPCH-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN COC[C@@H](CC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000594145568 349386250 /nfs/dbraw/zinc/38/62/50/349386250.db2.gz XDVJBUWTEYSQTO-GFCCVEGCSA-N 0 0 294.351 2.776 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597089594 350029335 /nfs/dbraw/zinc/02/93/35/350029335.db2.gz BZGSTKJGGKMTNH-VIFPVBQESA-N 0 0 298.730 2.750 20 5 CFBDRN O=C(CCCF)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000597277296 350078525 /nfs/dbraw/zinc/07/85/25/350078525.db2.gz YLJLYOVARMIPRF-UHFFFAOYSA-N 0 0 266.272 2.624 20 5 CFBDRN O=C(CCCF)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000597297723 350080263 /nfs/dbraw/zinc/08/02/63/350080263.db2.gz VXUVASWUFGPMAU-ZDUSSCGKSA-N 0 0 298.339 2.919 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CCC[C@H]2C[C@H]21 ZINC000597305506 350080869 /nfs/dbraw/zinc/08/08/69/350080869.db2.gz INSASAKAPXPRNK-BFHYXJOUSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCOCC(F)(F)F ZINC000104432620 186000860 /nfs/dbraw/zinc/00/08/60/186000860.db2.gz RMFCSFCAUVEXGP-UHFFFAOYSA-N 0 0 278.230 2.894 20 5 CFBDRN O=C(CCCF)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000597419605 350095234 /nfs/dbraw/zinc/09/52/34/350095234.db2.gz FGAORZNLAYEGGH-UHFFFAOYSA-N 0 0 280.299 2.836 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000597451111 350099291 /nfs/dbraw/zinc/09/92/91/350099291.db2.gz UTSWMXKUUBFOMF-NWANDNLSSA-N 0 0 288.347 2.690 20 5 CFBDRN CCn1cnc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c1 ZINC000597669912 350122794 /nfs/dbraw/zinc/12/27/94/350122794.db2.gz WPMKDRNMOTYUGQ-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCC2)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000597891408 350149697 /nfs/dbraw/zinc/14/96/97/350149697.db2.gz VUJXIWJAVBMDBV-ZIEJDFEHSA-N 0 0 286.331 2.757 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000104619277 186010106 /nfs/dbraw/zinc/01/01/06/186010106.db2.gz FBKJKXXFFWKMRN-PELKAZGASA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000597752787 350131424 /nfs/dbraw/zinc/13/14/24/350131424.db2.gz HXRURSVGCQHJKW-NSHDSACASA-N 0 0 291.351 2.796 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]21 ZINC000597827963 350140989 /nfs/dbraw/zinc/14/09/89/350140989.db2.gz FJYSEZRIMOGVIO-AAEUAGOBSA-N 0 0 292.310 2.677 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@@H]3CCCC[C@@H]32)c1[N+](=O)[O-] ZINC000597831294 350141366 /nfs/dbraw/zinc/14/13/66/350141366.db2.gz YWYSBRYELFCURR-AAEUAGOBSA-N 0 0 274.320 2.918 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000597856900 350143367 /nfs/dbraw/zinc/14/33/67/350143367.db2.gz QJTSEAPIBABQQG-SECBINFHSA-N 0 0 294.307 2.584 20 5 CFBDRN CC[C@@H](CSC)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000091147677 180106549 /nfs/dbraw/zinc/10/65/49/180106549.db2.gz XNEVMRYBGONVIR-NSHDSACASA-N 0 0 282.365 2.808 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000597951600 350162075 /nfs/dbraw/zinc/16/20/75/350162075.db2.gz KLUBNOVWMWHBFW-JTQLQIEISA-N 0 0 279.340 2.853 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000597976991 350170344 /nfs/dbraw/zinc/17/03/44/350170344.db2.gz ZRPAOIBREGWENJ-JTQLQIEISA-N 0 0 280.324 2.769 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C[C@H]1C ZINC000091326917 180135308 /nfs/dbraw/zinc/13/53/08/180135308.db2.gz YTWYFGWCKQGVMV-DTORHVGOSA-N 0 0 282.727 2.976 20 5 CFBDRN CC[C@@H](C)CCNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000597974688 350168468 /nfs/dbraw/zinc/16/84/68/350168468.db2.gz BYAYSBKRNBSCNC-LLVKDONJSA-N 0 0 280.324 2.526 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000597977532 350170004 /nfs/dbraw/zinc/17/00/04/350170004.db2.gz JHIUJAAHFGJIGL-SNVBAGLBSA-N 0 0 280.324 2.769 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000597977531 350170032 /nfs/dbraw/zinc/17/00/32/350170032.db2.gz JHIUJAAHFGJIGL-JTQLQIEISA-N 0 0 280.324 2.769 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CC=CCC1 ZINC000091557193 180190751 /nfs/dbraw/zinc/19/07/51/180190751.db2.gz AHVILJRCRWNYEY-SNVBAGLBSA-N 0 0 250.302 2.640 20 5 CFBDRN CCc1nn(C)c(NCCc2ccccc2F)c1[N+](=O)[O-] ZINC000091560826 180192027 /nfs/dbraw/zinc/19/20/27/180192027.db2.gz XAGRLHIZEQGDMZ-UHFFFAOYSA-N 0 0 292.314 2.684 20 5 CFBDRN CCc1nn(C)c(N[C@H](C)[C@@H](C)CC)c1[N+](=O)[O-] ZINC000091560848 180192090 /nfs/dbraw/zinc/19/20/90/180192090.db2.gz APDNXINBUFMKSO-DTWKUNHWSA-N 0 0 254.334 2.737 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCCC1CCC1 ZINC000598214094 350216149 /nfs/dbraw/zinc/21/61/49/350216149.db2.gz BDLJCVUOXNTABN-UHFFFAOYSA-N 0 0 277.324 2.608 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCCC1CCC1 ZINC000598214092 350216176 /nfs/dbraw/zinc/21/61/76/350216176.db2.gz AYTQKSAXRYRGML-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cc1c(C(=O)NC[C@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000598215702 350216641 /nfs/dbraw/zinc/21/66/41/350216641.db2.gz IREGYJFLCAKWDK-LBPRGKRZSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1cc(C(=O)NC[C@@]2(C)CC2(F)F)cc([N+](=O)[O-])c1 ZINC000598218253 350217269 /nfs/dbraw/zinc/21/72/69/350217269.db2.gz LPPZOYDJTUFQGD-GFCCVEGCSA-N 0 0 284.262 2.678 20 5 CFBDRN CC[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000598233427 350221574 /nfs/dbraw/zinc/22/15/74/350221574.db2.gz MRQFPJPPHKCUPD-LBPRGKRZSA-N 0 0 266.272 2.652 20 5 CFBDRN CC[C@@H](NC(=O)CSc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598233527 350221738 /nfs/dbraw/zinc/22/17/38/350221738.db2.gz OREXVXDOUWRKLD-LLVKDONJSA-N 0 0 294.376 2.992 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1OC)C1CC1 ZINC000598234567 350222381 /nfs/dbraw/zinc/22/23/81/350222381.db2.gz VMPXJRJNVIGVDQ-GFCCVEGCSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(C)(C)OCCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000598190117 350211150 /nfs/dbraw/zinc/21/11/50/350211150.db2.gz HDDDJRUSDOMHEX-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN CC(C)(F)CCNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000598197855 350211817 /nfs/dbraw/zinc/21/18/17/350211817.db2.gz BRNCJQOAHJSXEK-UHFFFAOYSA-N 0 0 298.314 2.618 20 5 CFBDRN Cc1c(C(=O)NCCCOC(C)(C)C)cccc1[N+](=O)[O-] ZINC000598191801 350211990 /nfs/dbraw/zinc/21/19/90/350211990.db2.gz TUCDZSHNEYXTDR-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1cc(C(=O)NCCC(C)(C)F)cc([N+](=O)[O-])c1 ZINC000598198792 350212722 /nfs/dbraw/zinc/21/27/22/350212722.db2.gz LVLYDFRYGGLYJF-UHFFFAOYSA-N 0 0 268.288 2.771 20 5 CFBDRN CCSC1(CNC(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000598336253 350238764 /nfs/dbraw/zinc/23/87/64/350238764.db2.gz BVHJCBXIWVTVFC-UHFFFAOYSA-N 0 0 280.349 2.610 20 5 CFBDRN CCSC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000598339349 350240255 /nfs/dbraw/zinc/24/02/55/350240255.db2.gz WUSFDKCRUJRAQC-UHFFFAOYSA-N 0 0 286.378 2.672 20 5 CFBDRN Cc1csc2[nH]c(CCC(C)(C)[N+](=O)[O-])nc(=O)c12 ZINC000290945400 197867054 /nfs/dbraw/zinc/86/70/54/197867054.db2.gz LJZQEOXJNYKXRL-UHFFFAOYSA-N 0 0 281.337 2.693 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])NCC1=CCCC1 ZINC000598376074 350251619 /nfs/dbraw/zinc/25/16/19/350251619.db2.gz LXIVQMYTIHPXTQ-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN O=C(NCC1=CCCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000598377485 350252387 /nfs/dbraw/zinc/25/23/87/350252387.db2.gz VUXGSHBJLDSSPL-UHFFFAOYSA-N 0 0 285.303 2.916 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C(C)C)C1CC1 ZINC000598380999 350253038 /nfs/dbraw/zinc/25/30/38/350253038.db2.gz IIKGNLNOFSGGFH-AWEZNQCLSA-N 0 0 292.335 2.768 20 5 CFBDRN CC(NC(=O)c1ccc([N+](=O)[O-])s1)(C1CC1)C1CC1 ZINC000598382525 350254296 /nfs/dbraw/zinc/25/42/96/350254296.db2.gz ZNYRRCJLHHRKET-UHFFFAOYSA-N 0 0 280.349 2.965 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000598386914 350255063 /nfs/dbraw/zinc/25/50/63/350255063.db2.gz UKXHNIGGMXHYRR-AWEZNQCLSA-N 0 0 280.299 2.581 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000598554916 350282562 /nfs/dbraw/zinc/28/25/62/350282562.db2.gz BOTOUYRCURZFKT-LLVKDONJSA-N 0 0 291.351 2.511 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000598564884 350282990 /nfs/dbraw/zinc/28/29/90/350282990.db2.gz LWEDUJVRPLPLGA-VIFPVBQESA-N 0 0 280.299 2.852 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000598567705 350283616 /nfs/dbraw/zinc/28/36/16/350283616.db2.gz BYDIASUYGPPMCD-UHFFFAOYSA-N 0 0 285.303 2.701 20 5 CFBDRN CC12CC(C1)CN2C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000598570315 350284407 /nfs/dbraw/zinc/28/44/07/350284407.db2.gz HVBDUNKYHKNBHD-UHFFFAOYSA-N 0 0 299.330 2.630 20 5 CFBDRN Cc1ccnc([C@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])n1 ZINC000598638236 350298877 /nfs/dbraw/zinc/29/88/77/350298877.db2.gz CRDYLNNONSHSKR-NSHDSACASA-N 0 0 287.323 2.878 20 5 CFBDRN C[C@@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@@H]1CO ZINC000598639770 350299734 /nfs/dbraw/zinc/29/97/34/350299734.db2.gz UVVFMKUVXSJCLG-NXEZZACHSA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC1CC(C)C1 ZINC000598778053 350327889 /nfs/dbraw/zinc/32/78/89/350327889.db2.gz IBEZYYAIONQKRV-UHFFFAOYSA-N 0 0 262.309 2.679 20 5 CFBDRN CCNc1ccc(C(=O)N[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000598858368 350342031 /nfs/dbraw/zinc/34/20/31/350342031.db2.gz VISTUBZFUISGCJ-IAQYHMDHSA-N 0 0 289.335 2.699 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCc3cccnc32)c1[N+](=O)[O-] ZINC000598945961 350348354 /nfs/dbraw/zinc/34/83/54/350348354.db2.gz MKILSFIVDXIEID-GFCCVEGCSA-N 0 0 284.319 2.835 20 5 CFBDRN O=C(c1c(Br)cccc1[N+](=O)[O-])N1CCCC1 ZINC000598956195 350348845 /nfs/dbraw/zinc/34/88/45/350348845.db2.gz RPPOFIJXLIOQMG-UHFFFAOYSA-N 0 0 299.124 2.593 20 5 CFBDRN CCC(F)(F)CNCc1ccc([N+](=O)[O-])cc1F ZINC000598996253 350356281 /nfs/dbraw/zinc/35/62/81/350356281.db2.gz FBPBMUOZOUYNJE-UHFFFAOYSA-N 0 0 262.231 2.869 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCCO2)ccc2cnccc21 ZINC000599013599 350359065 /nfs/dbraw/zinc/35/90/65/350359065.db2.gz KZBSIUMAKVCVOL-NSHDSACASA-N 0 0 273.292 2.734 20 5 CFBDRN CCOCCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599025194 350361109 /nfs/dbraw/zinc/36/11/09/350361109.db2.gz LWNQSTXFQYAKMM-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCCOC2)ccc2cnccc21 ZINC000599025997 350361420 /nfs/dbraw/zinc/36/14/20/350361420.db2.gz GTXTXDMMWKQRCZ-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC(F)(F)F)c(C(F)(F)F)c1 ZINC000599026188 350361505 /nfs/dbraw/zinc/36/15/05/350361505.db2.gz CPTQPUNVFRAKKE-UHFFFAOYSA-N 0 0 289.135 2.983 20 5 CFBDRN C[C@@](O)(CNc1ccc2cnccc2c1[N+](=O)[O-])C1CC1 ZINC000599044987 350364264 /nfs/dbraw/zinc/36/42/64/350364264.db2.gz WWMWPEODQDUWBK-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1c(NCCOCC2CC2)ccc2cnccc21 ZINC000599044511 350364627 /nfs/dbraw/zinc/36/46/27/350364627.db2.gz YKXCHZNCLIHTOR-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H](C(=O)NCC[C@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000598779052 350328343 /nfs/dbraw/zinc/32/83/43/350328343.db2.gz ACIGNJNJBWFTCG-KOLCDFICSA-N 0 0 298.289 2.860 20 5 CFBDRN Cc1c(C(=O)NCC[C@@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000598781513 350328998 /nfs/dbraw/zinc/32/89/98/350328998.db2.gz JKIZZQKLMHFADC-SECBINFHSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC1CC(C)C1 ZINC000598786262 350329662 /nfs/dbraw/zinc/32/96/62/350329662.db2.gz SGZFUQOUKPYESB-UHFFFAOYSA-N 0 0 276.336 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]12C[C@@H]1COC2(C)C ZINC000598800511 350330477 /nfs/dbraw/zinc/33/04/77/350330477.db2.gz FCCHNNWUYXZAEX-MEBBXXQBSA-N 0 0 290.319 2.657 20 5 CFBDRN C[C@@H](Nc1cnn(CCF)c1)c1ccccc1[N+](=O)[O-] ZINC000599070706 350370974 /nfs/dbraw/zinc/37/09/74/350370974.db2.gz OAUXHWOEZHFGQQ-SNVBAGLBSA-N 0 0 278.287 2.934 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc3cnccc3c2[N+](=O)[O-])CO1 ZINC000599092951 350375396 /nfs/dbraw/zinc/37/53/96/350375396.db2.gz LDVCTXBXAIUOQU-KOLCDFICSA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC[C@@H]3c3cn[nH]c3)nc2c1 ZINC000599098477 350375955 /nfs/dbraw/zinc/37/59/55/350375955.db2.gz KOPWPYNWJJEYCW-CYBMUJFWSA-N 0 0 298.306 2.536 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2cn[nH]c2)c(Cl)c1 ZINC000599106954 350376747 /nfs/dbraw/zinc/37/67/47/350376747.db2.gz KRXGJLDKILYAKI-LLVKDONJSA-N 0 0 293.714 2.708 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]1c1cn[nH]c1 ZINC000599105784 350376772 /nfs/dbraw/zinc/37/67/72/350376772.db2.gz FJPGXJVZOGXZSE-LLVKDONJSA-N 0 0 276.271 2.799 20 5 CFBDRN NC(=O)c1cc(NC[C@@H]2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000599114885 350377401 /nfs/dbraw/zinc/37/74/01/350377401.db2.gz HOORLKFXXFURII-OUAUKWLOSA-N 0 0 289.335 2.542 20 5 CFBDRN CCC[C@@H](CNc1ccc([N+](=O)[O-])cn1)OCC ZINC000599117578 350378450 /nfs/dbraw/zinc/37/84/50/350378450.db2.gz DBGXLSKCNUWPLJ-NSHDSACASA-N 0 0 253.302 2.607 20 5 CFBDRN CCC[C@H](CN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1)OCC ZINC000599117602 350378632 /nfs/dbraw/zinc/37/86/32/350378632.db2.gz DUSXDLPFZIVVSW-LLVKDONJSA-N 0 0 292.339 2.510 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC[C@H]1CCCOC1 ZINC000599117863 350378732 /nfs/dbraw/zinc/37/87/32/350378732.db2.gz ZGVJBTWIRUQEHY-SNVBAGLBSA-N 0 0 268.288 2.963 20 5 CFBDRN CCC[C@@H](CNc1c(Cl)cncc1[N+](=O)[O-])OCC ZINC000599119679 350379036 /nfs/dbraw/zinc/37/90/36/350379036.db2.gz SVSNECVFOMVBKN-VIFPVBQESA-N 0 0 287.747 2.682 20 5 CFBDRN COCCC1CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000599120237 350379766 /nfs/dbraw/zinc/37/97/66/350379766.db2.gz BOWKCFPAQFUQIA-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN COCCC1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000599121425 350379817 /nfs/dbraw/zinc/37/98/17/350379817.db2.gz YQMMSKTVTWLDQL-UHFFFAOYSA-N 0 0 293.367 2.860 20 5 CFBDRN Cc1cccc2c1C[C@H](Nc1cccnc1[N+](=O)[O-])CO2 ZINC000599122532 350379866 /nfs/dbraw/zinc/37/98/66/350379866.db2.gz HPZVWDWLKQDEQN-NSHDSACASA-N 0 0 285.303 2.714 20 5 CFBDRN COCCC1CCN(c2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000599120218 350379930 /nfs/dbraw/zinc/37/99/30/350379930.db2.gz ACVTYQNOESOCEQ-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1Cc2ccccc2CO1 ZINC000599124351 350380331 /nfs/dbraw/zinc/38/03/31/350380331.db2.gz OWVNLVMTJWHGNU-ZDUSSCGKSA-N 0 0 285.303 2.543 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1CCCN1CC(F)F ZINC000599134433 350381841 /nfs/dbraw/zinc/38/18/41/350381841.db2.gz WUXQDLVPVWJJCI-JTQLQIEISA-N 0 0 285.294 2.736 20 5 CFBDRN CC(C)CC[C@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000599137410 350382147 /nfs/dbraw/zinc/38/21/47/350382147.db2.gz LIQZGNOVIQTBSI-GFCCVEGCSA-N 0 0 252.314 2.804 20 5 CFBDRN O=c1[nH]c2c(cc1CNc1ccc([N+](=O)[O-])s1)CCC2 ZINC000599136421 350382370 /nfs/dbraw/zinc/38/23/70/350382370.db2.gz MFYBCEAJBMYLIL-UHFFFAOYSA-N 0 0 291.332 2.858 20 5 CFBDRN COc1cc(N[C@H](CO)CCC(C)C)ccc1[N+](=O)[O-] ZINC000599138022 350382904 /nfs/dbraw/zinc/38/29/04/350382904.db2.gz XNFUWDZMFGFPNL-LBPRGKRZSA-N 0 0 282.340 2.812 20 5 CFBDRN CN(CC1(C)COC1)c1nccc2c1cccc2[N+](=O)[O-] ZINC000599144541 350384259 /nfs/dbraw/zinc/38/42/59/350384259.db2.gz GLNHHKKOUHMXPO-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC2(C1)CCCO2 ZINC000599143441 350384305 /nfs/dbraw/zinc/38/43/05/350384305.db2.gz BWNYMHJCZMCFLV-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC2(C1)CCCO2 ZINC000599144287 350384414 /nfs/dbraw/zinc/38/44/14/350384414.db2.gz CGXFNNGPRHYNKD-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000599147894 350384912 /nfs/dbraw/zinc/38/49/12/350384912.db2.gz SRZNUVYUROVATF-OLZOCXBDSA-N 0 0 284.287 2.722 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000599147358 350384922 /nfs/dbraw/zinc/38/49/22/350384922.db2.gz LMJUVQKYWBUMIF-KGLIPLIRSA-N 0 0 278.308 2.777 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000599146877 350384931 /nfs/dbraw/zinc/38/49/31/350384931.db2.gz ARYKYQJWNHNPSA-OLZOCXBDSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1c1ccc([N+](=O)[O-])cn1 ZINC000599162770 350386758 /nfs/dbraw/zinc/38/67/58/350386758.db2.gz QARDOQVLOGLDGJ-QMMMGPOBSA-N 0 0 257.240 2.614 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCO[C@@H](CCF)C1 ZINC000599168007 350388223 /nfs/dbraw/zinc/38/82/23/350388223.db2.gz YPXDJQULNXSCDJ-JTQLQIEISA-N 0 0 288.706 2.813 20 5 CFBDRN Cc1cc(N2CCO[C@@H](CCF)C2)c(F)cc1[N+](=O)[O-] ZINC000599170070 350388732 /nfs/dbraw/zinc/38/87/32/350388732.db2.gz ZAYSUVSYMSJIDQ-JTQLQIEISA-N 0 0 286.278 2.607 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000599180038 350390299 /nfs/dbraw/zinc/39/02/99/350390299.db2.gz IMVUNOURCAOYJB-SWLSCSKDSA-N 0 0 292.335 2.721 20 5 CFBDRN Cc1cccc(N[C@@H]2CO[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599179018 350390388 /nfs/dbraw/zinc/39/03/88/350390388.db2.gz DNHQGLRMGHLEMO-WCQYABFASA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CO[C@@H](C3CC3)C2)s1 ZINC000599180518 350390681 /nfs/dbraw/zinc/39/06/81/350390681.db2.gz KZIAPUYKCZZCKB-DTWKUNHWSA-N 0 0 254.311 2.636 20 5 CFBDRN C[C@H]1CC[C@@H](c2noc(-c3ccc([N+](=O)[O-])s3)n2)O1 ZINC000599180314 350390918 /nfs/dbraw/zinc/39/09/18/350390918.db2.gz MBXIABPHLITSMD-BQBZGAKWSA-N 0 0 281.293 2.946 20 5 CFBDRN C[C@@H]1CC[C@H](c2noc(-c3ccc([N+](=O)[O-])s3)n2)O1 ZINC000599180316 350391097 /nfs/dbraw/zinc/39/10/97/350391097.db2.gz MBXIABPHLITSMD-RNFRBKRXSA-N 0 0 281.293 2.946 20 5 CFBDRN C[C@@H]1CC[C@H](c2noc(-c3cccc([N+](=O)[O-])c3)n2)O1 ZINC000599181004 350391146 /nfs/dbraw/zinc/39/11/46/350391146.db2.gz SHHGGEAFKTYFEH-LDYMZIIASA-N 0 0 275.264 2.885 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1CO[C@H](C2CC2)C1 ZINC000599181706 350391272 /nfs/dbraw/zinc/39/12/72/350391272.db2.gz SNOCUEBGDGOXDZ-DOMZBBRYSA-N 0 0 299.330 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CO[C@@H](C3CC3)C2)c(F)c1 ZINC000599181762 350391367 /nfs/dbraw/zinc/39/13/67/350391367.db2.gz UFIPIQSWGYOPST-TVQRCGJNSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CO[C@H](C3CC3)C2)c(F)c1 ZINC000599181761 350391607 /nfs/dbraw/zinc/39/16/07/350391607.db2.gz UFIPIQSWGYOPST-RNCFNFMXSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](c3ccc(O)cc3)C2)s1 ZINC000599190463 350392594 /nfs/dbraw/zinc/39/25/94/350392594.db2.gz CPAGRHSZKQCKDU-SNVBAGLBSA-N 0 0 291.332 2.751 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc([N+](=O)[O-])s1 ZINC000599189016 350392758 /nfs/dbraw/zinc/39/27/58/350392758.db2.gz KTICPHAYWVIYML-UHFFFAOYSA-N 0 0 258.343 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](c3ccc(O)cc3)C2)nc1 ZINC000599190184 350392932 /nfs/dbraw/zinc/39/29/32/350392932.db2.gz AFCMJHXHXYOMOW-LBPRGKRZSA-N 0 0 285.303 2.689 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@H](c2ccc(O)cc2)C1 ZINC000599191946 350393554 /nfs/dbraw/zinc/39/35/54/350393554.db2.gz PGPDNLZBLBTTSO-LBPRGKRZSA-N 0 0 285.303 2.689 20 5 CFBDRN Cc1cc(NCCN(C)CC(F)F)c([N+](=O)[O-])s1 ZINC000599201344 350395836 /nfs/dbraw/zinc/39/58/36/350395836.db2.gz AMDWHJAWXVXQCN-UHFFFAOYSA-N 0 0 279.312 2.574 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000599205497 350395892 /nfs/dbraw/zinc/39/58/92/350395892.db2.gz HSBHQJKYOCINMS-KLPPZKSPSA-N 0 0 291.351 2.706 20 5 CFBDRN Cc1cc(NCCN(C)CC(F)F)c(F)cc1[N+](=O)[O-] ZINC000599201630 350395980 /nfs/dbraw/zinc/39/59/80/350395980.db2.gz GEHUUZVKAWFNIF-UHFFFAOYSA-N 0 0 291.273 2.651 20 5 CFBDRN Cc1nc(NC[C@H]2CCCC23CC3)ccc1[N+](=O)[O-] ZINC000599205059 350396000 /nfs/dbraw/zinc/39/60/00/350396000.db2.gz BRYRNRHTCFKXCJ-LLVKDONJSA-N 0 0 261.325 2.712 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000599207041 350396584 /nfs/dbraw/zinc/39/65/84/350396584.db2.gz VRZQCQDEDXOGOD-PHIMTYICSA-N 0 0 250.298 2.740 20 5 CFBDRN COc1ccc(C(=O)N2Cc3ccccc3C2)cc1[N+](=O)[O-] ZINC000105981435 186095660 /nfs/dbraw/zinc/09/56/60/186095660.db2.gz YIEWWHDFFKWOMH-UHFFFAOYSA-N 0 0 298.298 2.759 20 5 CFBDRN CC(C)(CCc1noc(-c2cc(C3CC3)on2)n1)[N+](=O)[O-] ZINC000291029351 197894893 /nfs/dbraw/zinc/89/48/93/197894893.db2.gz DVVSEDHBXWUZQJ-UHFFFAOYSA-N 0 0 292.295 2.590 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@H+](C)CC1(C)COC1 ZINC000599571461 350457414 /nfs/dbraw/zinc/45/74/14/350457414.db2.gz HXGOUHFWWFNCPV-NSHDSACASA-N 0 0 264.325 2.624 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C1CC1)C1CC1 ZINC000599593339 350462448 /nfs/dbraw/zinc/46/24/48/350462448.db2.gz CTWKLVJIQNZLGS-UHFFFAOYSA-N 0 0 262.309 2.730 20 5 CFBDRN CC(C)(CCc1noc(-c2cccc(Cl)n2)n1)[N+](=O)[O-] ZINC000291013976 197888894 /nfs/dbraw/zinc/88/88/94/197888894.db2.gz BLTDQVRRBXBBKJ-UHFFFAOYSA-N 0 0 296.714 2.773 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000599779521 350492604 /nfs/dbraw/zinc/49/26/04/350492604.db2.gz JNGQRPHLEDPENW-XHSYRHOGSA-N 0 0 293.323 2.528 20 5 CFBDRN CN(CCc1ccc([N+](=O)[O-])cc1)CC(F)(F)F ZINC000106443300 186117497 /nfs/dbraw/zinc/11/74/97/186117497.db2.gz QKVMNDDWVHFGJL-UHFFFAOYSA-N 0 0 262.231 2.631 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCC1CC=CC1 ZINC000599694248 350484804 /nfs/dbraw/zinc/48/48/04/350484804.db2.gz OWZMWCPQHSBNEA-UHFFFAOYSA-N 0 0 291.307 2.691 20 5 CFBDRN CCCN(C[C@@H]1CCCCO1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000106694990 186132603 /nfs/dbraw/zinc/13/26/03/186132603.db2.gz PFYGPRKMRHDOEY-NSHDSACASA-N 0 0 296.323 2.609 20 5 CFBDRN CO[C@H]1C[C@H](NC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000599780754 350493095 /nfs/dbraw/zinc/49/30/95/350493095.db2.gz XVTJVTBWDUUQHC-XYPYZODXSA-N 0 0 293.323 2.511 20 5 CFBDRN C[C@H]1CN(c2ccnc3c2cccc3[N+](=O)[O-])CCCO1 ZINC000432327615 290901326 /nfs/dbraw/zinc/90/13/26/290901326.db2.gz TVODFLOPDMOXRA-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN COc1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)cc1 ZINC000291108681 197920641 /nfs/dbraw/zinc/92/06/41/197920641.db2.gz SIDXVUCOJXIWDY-UHFFFAOYSA-N 0 0 291.307 2.733 20 5 CFBDRN CCC[C@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])OCC ZINC000600176634 350532104 /nfs/dbraw/zinc/53/21/04/350532104.db2.gz YIPOPOMHUDLZDW-GFCCVEGCSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1ncc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000600151311 350521839 /nfs/dbraw/zinc/52/18/39/350521839.db2.gz KPTZELRGEYACBR-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000600160414 350524255 /nfs/dbraw/zinc/52/42/55/350524255.db2.gz CNVQCDRMMPYLFL-BZPMIXESSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000107674729 186185124 /nfs/dbraw/zinc/18/51/24/186185124.db2.gz DREYAVZDHSIZSF-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN C[C@H]1C[C@@H]1Cn1cc([N+](=O)[O-])c(-c2ccc(F)cn2)n1 ZINC000600546474 350568735 /nfs/dbraw/zinc/56/87/35/350568735.db2.gz YMBZKPMAGOVPJE-DTWKUNHWSA-N 0 0 276.271 2.648 20 5 CFBDRN CC(C)Cn1cc([N+](=O)[O-])c(-c2ccc(F)cn2)n1 ZINC000600553627 350569527 /nfs/dbraw/zinc/56/95/27/350569527.db2.gz VKISORPPUAAAEY-UHFFFAOYSA-N 0 0 264.260 2.648 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCCCc1cnoc1 ZINC000600558746 350570238 /nfs/dbraw/zinc/57/02/38/350570238.db2.gz PVEGKVFEMADSGJ-UHFFFAOYSA-N 0 0 283.671 2.643 20 5 CFBDRN CCO[C@@H](COc1cc(OC)ccc1[N+](=O)[O-])C1CC1 ZINC000600563654 350571094 /nfs/dbraw/zinc/57/10/94/350571094.db2.gz ZBDOJBYWKGDXMQ-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN C[C@@H](O)CCCSCCOc1ccc([N+](=O)[O-])cc1 ZINC000600569393 350572684 /nfs/dbraw/zinc/57/26/84/350572684.db2.gz ODBVQBPIYHLKKA-LLVKDONJSA-N 0 0 285.365 2.868 20 5 CFBDRN O=C(NCC1CC=CC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000600224285 350543054 /nfs/dbraw/zinc/54/30/54/350543054.db2.gz KGYOEJWDCHOCCJ-UHFFFAOYSA-N 0 0 280.711 2.944 20 5 CFBDRN COc1cc(C(=O)NCC2CC=CC2)cc([N+](=O)[O-])c1C ZINC000600225170 350543578 /nfs/dbraw/zinc/54/35/78/350543578.db2.gz ZTZCJRUJHMEPQG-UHFFFAOYSA-N 0 0 290.319 2.608 20 5 CFBDRN Cc1c(CC(=O)NCC2CC=CC2)cccc1[N+](=O)[O-] ZINC000600225112 350543749 /nfs/dbraw/zinc/54/37/49/350543749.db2.gz WWJZVRUTPNFHBB-UHFFFAOYSA-N 0 0 274.320 2.528 20 5 CFBDRN O=C(NCC1CC=CC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000600229496 350544425 /nfs/dbraw/zinc/54/44/25/350544425.db2.gz KVPJSZCYZWEKFL-UHFFFAOYSA-N 0 0 280.711 2.944 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])N(C1CC1)C1CC1 ZINC000600357962 350549626 /nfs/dbraw/zinc/54/96/26/350549626.db2.gz BRCDBXJVULAEMM-UHFFFAOYSA-N 0 0 289.335 2.550 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000600379383 350551507 /nfs/dbraw/zinc/55/15/07/350551507.db2.gz JCVMCMJJXIJSJD-UHFFFAOYSA-N 0 0 297.261 2.851 20 5 CFBDRN C/C=C\CNC(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000600488641 350558568 /nfs/dbraw/zinc/55/85/68/350558568.db2.gz BCXBAXJNFZHFTN-IHWYPQMZSA-N 0 0 288.225 2.920 20 5 CFBDRN C/C=C\CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000600491359 350559106 /nfs/dbraw/zinc/55/91/06/350559106.db2.gz NUZHCVDYGQBNPC-PLNGDYQASA-N 0 0 280.299 2.704 20 5 CFBDRN Cc1nn(Cc2ccccc2OC2CC2)c(C)c1[N+](=O)[O-] ZINC000600508125 350560297 /nfs/dbraw/zinc/56/02/97/350560297.db2.gz HYMHXTLVUXDCLE-UHFFFAOYSA-N 0 0 287.319 2.998 20 5 CFBDRN CC1(C)CC(Cn2cnc3ccc([N+](=O)[O-])cc3c2=O)C1 ZINC000600508143 350560417 /nfs/dbraw/zinc/56/04/17/350560417.db2.gz IGXVLDKHCIZEHH-UHFFFAOYSA-N 0 0 287.319 2.741 20 5 CFBDRN COC(=O)CCCCOc1cc([N+](=O)[O-])ccc1C ZINC000600520447 350563223 /nfs/dbraw/zinc/56/32/23/350563223.db2.gz OZNLQXGNNDRKPE-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCc1ccc(OCCCCC(=O)OC)c([N+](=O)[O-])c1 ZINC000600529268 350565351 /nfs/dbraw/zinc/56/53/51/350565351.db2.gz KHDVIDIMXJGVBB-UHFFFAOYSA-N 0 0 281.308 2.879 20 5 CFBDRN CCO[C@@H](COc1ccc([N+](=O)[O-])c(OC)c1)C1CC1 ZINC000600528938 350565362 /nfs/dbraw/zinc/56/53/62/350565362.db2.gz UCWDCWADDQNBJV-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1cc(OCC(=O)NCC(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000600531173 350565734 /nfs/dbraw/zinc/56/57/34/350565734.db2.gz DWUKRHUZJDUOIU-UHFFFAOYSA-N 0 0 298.314 2.583 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC2CC(C)(C)C2)c1=O ZINC000600535511 350567081 /nfs/dbraw/zinc/56/70/81/350567081.db2.gz IWHZSXOOMREZIP-UHFFFAOYSA-N 0 0 250.298 2.501 20 5 CFBDRN CC(C)(C)c1nc(COc2ccc(F)cc2[N+](=O)[O-])no1 ZINC000109063053 186242493 /nfs/dbraw/zinc/24/24/93/186242493.db2.gz MAXHCUFSVLLESI-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Cn1ccnc1C1CC1 ZINC000600610063 350579727 /nfs/dbraw/zinc/57/97/27/350579727.db2.gz DSUODXLCTCVHOW-UHFFFAOYSA-N 0 0 273.292 2.726 20 5 CFBDRN O=C(Cn1ccnc1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000600611175 350580462 /nfs/dbraw/zinc/58/04/62/350580462.db2.gz NTEUKELPYHKKNP-UHFFFAOYSA-N 0 0 271.276 2.552 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000416063314 233530405 /nfs/dbraw/zinc/53/04/05/233530405.db2.gz FPPBKIHFAOZLRY-KXUCPTDWSA-N 0 0 280.299 2.850 20 5 CFBDRN C[C@H](CNc1cccnc1[N+](=O)[O-])c1nccs1 ZINC000230606881 539802717 /nfs/dbraw/zinc/80/27/17/539802717.db2.gz DKLFPYKDSVJHEJ-MRVPVSSYSA-N 0 0 264.310 2.662 20 5 CFBDRN Cc1nn(C)c(-c2nc(C3CCCCC3)no2)c1[N+](=O)[O-] ZINC000109528598 186260407 /nfs/dbraw/zinc/26/04/07/186260407.db2.gz COQFXSXAGKBHCY-UHFFFAOYSA-N 0 0 291.311 2.734 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600894088 350624425 /nfs/dbraw/zinc/62/44/25/350624425.db2.gz JSKHYHROUQYTOW-SECBINFHSA-N 0 0 266.272 2.749 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1CCCC2(CC2)CC1 ZINC000600768206 350607749 /nfs/dbraw/zinc/60/77/49/350607749.db2.gz FQTYOKHDXDHFEL-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN CCC(O)(CC)CN(C)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000600768250 350607891 /nfs/dbraw/zinc/60/78/91/350607891.db2.gz ZDELSCBUBYTSFI-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN O=C(NC[C@H]1CCCC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000600800436 350614522 /nfs/dbraw/zinc/61/45/22/350614522.db2.gz APEMNXOQVOHYID-GFCCVEGCSA-N 0 0 274.320 2.905 20 5 CFBDRN CCCc1cc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)n[nH]1 ZINC000109911103 186278713 /nfs/dbraw/zinc/27/87/13/186278713.db2.gz NTGZUZVIHOMRLQ-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C1(C(F)F)CCC1 ZINC000601010577 350645412 /nfs/dbraw/zinc/64/54/12/350645412.db2.gz LAPDPRHTTRASMM-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C1(C(F)F)CCC1 ZINC000601020624 350646543 /nfs/dbraw/zinc/64/65/43/350646543.db2.gz WDJMWUUDHFFLQH-UHFFFAOYSA-N 0 0 284.262 2.646 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000110511004 186300469 /nfs/dbraw/zinc/30/04/69/186300469.db2.gz GCYUJCRCMJKIGP-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CCC[C@@](C)(NCc1cccc([N+](=O)[O-])c1C)C(=O)OC ZINC000109801430 186273499 /nfs/dbraw/zinc/27/34/99/186273499.db2.gz MMKLJIJMIAVFHX-OAHLLOKOSA-N 0 0 294.351 2.725 20 5 CFBDRN CC(C)c1cc(CNc2ncc(F)cc2[N+](=O)[O-])on1 ZINC000601116930 350672519 /nfs/dbraw/zinc/67/25/19/350672519.db2.gz RYENPIHYBZZECU-UHFFFAOYSA-N 0 0 280.259 2.852 20 5 CFBDRN CC(C)(C)c1cnc(SCCn2ccc([N+](=O)[O-])n2)o1 ZINC000129981274 187464389 /nfs/dbraw/zinc/46/43/89/187464389.db2.gz JLPPDSRVXNZKHK-UHFFFAOYSA-N 0 0 296.352 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H]1CCO[C@@H]1C ZINC000601076198 350659617 /nfs/dbraw/zinc/65/96/17/350659617.db2.gz ZYFRGNHMGJHPTN-GHMZBOCLSA-N 0 0 251.282 2.707 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC(C)(C)CO1 ZINC000601075671 350659716 /nfs/dbraw/zinc/65/97/16/350659716.db2.gz GYRWXJUSXNNGQU-NSHDSACASA-N 0 0 281.308 2.797 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000601076891 350660325 /nfs/dbraw/zinc/66/03/25/350660325.db2.gz SNLYUGURSADWAP-WCQYABFASA-N 0 0 287.319 2.883 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2CCO[C@@H]2C)c([N+](=O)[O-])c1 ZINC000601079662 350661193 /nfs/dbraw/zinc/66/11/93/350661193.db2.gz ARROZQHHSULVAI-PWSUYJOCSA-N 0 0 279.292 2.601 20 5 CFBDRN C[C@H]1OCC[C@@H]1CSc1ccc([N+](=O)[O-])cn1 ZINC000601081076 350662294 /nfs/dbraw/zinc/66/22/94/350662294.db2.gz SYJQWXAEOPITCL-RKDXNWHRSA-N 0 0 254.311 2.507 20 5 CFBDRN CCCCC(=O)COc1c(Cl)cncc1[N+](=O)[O-] ZINC000601082983 350662970 /nfs/dbraw/zinc/66/29/70/350662970.db2.gz XIBGMYJIEPYATH-UHFFFAOYSA-N 0 0 272.688 2.781 20 5 CFBDRN CCCCC(=O)Cn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000601086794 350664599 /nfs/dbraw/zinc/66/45/99/350664599.db2.gz CVYQZADICOZIES-UHFFFAOYSA-N 0 0 288.307 2.613 20 5 CFBDRN CCOC(=O)CCSCc1ccc(F)cc1[N+](=O)[O-] ZINC000601095343 350666496 /nfs/dbraw/zinc/66/64/96/350666496.db2.gz KLRYVTDRCLUDFH-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN CC1CCN(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)CC1 ZINC000601099848 350667770 /nfs/dbraw/zinc/66/77/70/350667770.db2.gz GUCTUMQHRAEZRK-UHFFFAOYSA-N 0 0 292.261 2.583 20 5 CFBDRN CC(C)CCN(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CC1 ZINC000110757133 186321895 /nfs/dbraw/zinc/32/18/95/186321895.db2.gz MCOIBMRSDUBYKU-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN COC1CCN(c2ccnc3ccc([N+](=O)[O-])cc32)CC1 ZINC000601101587 350668660 /nfs/dbraw/zinc/66/86/60/350668660.db2.gz PIDCWVZDLYQBMJ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CC1(C)CN(c2ccnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000601103743 350669014 /nfs/dbraw/zinc/66/90/14/350669014.db2.gz GRKKQFLGTXHTLG-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H](COCC(F)(F)F)Nc1ncc(F)cc1[N+](=O)[O-] ZINC000601108810 350670830 /nfs/dbraw/zinc/67/08/30/350670830.db2.gz MURJIYDBBAFZLR-ZCFIWIBFSA-N 0 0 297.208 2.508 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000601223735 350702229 /nfs/dbraw/zinc/70/22/29/350702229.db2.gz MHFOVXJJUGKMHW-IMSIIYSGSA-N 0 0 280.324 2.719 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@@H](C)O[C@H]2C)n1 ZINC000601223712 350702233 /nfs/dbraw/zinc/70/22/33/350702233.db2.gz LZJFXLFOJAPNIW-OUAUKWLOSA-N 0 0 265.313 2.584 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nccc3cc([N+](=O)[O-])ccc32)[C@@H](C)O1 ZINC000601224051 350702260 /nfs/dbraw/zinc/70/22/60/350702260.db2.gz XLRWACJYSLBGFX-RULNRJAQSA-N 0 0 287.319 2.543 20 5 CFBDRN CCOc1cc(NC(CC)(CC)CO)ccc1[N+](=O)[O-] ZINC000111049769 186348499 /nfs/dbraw/zinc/34/84/99/186348499.db2.gz QVNSSNMETVZUJS-UHFFFAOYSA-N 0 0 282.340 2.957 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)CC2CSC2)cc1[N+](=O)[O-] ZINC000601281475 350716437 /nfs/dbraw/zinc/71/64/37/350716437.db2.gz OHKORSLSRCJVJQ-SNVBAGLBSA-N 0 0 294.376 2.834 20 5 CFBDRN COC(=O)c1oc(CNc2ccsc2[N+](=O)[O-])cc1C ZINC000601237789 350706980 /nfs/dbraw/zinc/70/69/80/350706980.db2.gz MFJHMKYIEKRNDJ-UHFFFAOYSA-N 0 0 296.304 2.956 20 5 CFBDRN CCOc1cc(N2CCCC[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000111052997 186348689 /nfs/dbraw/zinc/34/86/89/186348689.db2.gz CIXLZRBTQTXFEW-LBPRGKRZSA-N 0 0 294.351 2.735 20 5 CFBDRN CC1(F)CCN(c2ncnc3ccc([N+](=O)[O-])cc32)CC1 ZINC000601246962 350708619 /nfs/dbraw/zinc/70/86/19/350708619.db2.gz WDDKGONCLRSJAY-UHFFFAOYSA-N 0 0 290.298 2.866 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@H](C1CCC1)[C@@H]1CCCO1 ZINC000601250887 350709716 /nfs/dbraw/zinc/70/97/16/350709716.db2.gz SBHWLXFNDMZTTP-QWHCGFSZSA-N 0 0 295.314 2.889 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000601258021 350710931 /nfs/dbraw/zinc/71/09/31/350710931.db2.gz SWMFAKVMNHCWCH-RKDXNWHRSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@H]1CC[C@H](C)N1c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601128860 350676474 /nfs/dbraw/zinc/67/64/74/350676474.db2.gz FDSTZTPRYFGTGZ-BQBZGAKWSA-N 0 0 292.261 2.724 20 5 CFBDRN Cc1nc(CCNc2ncc(F)cc2[N+](=O)[O-])sc1C ZINC000601131187 350677411 /nfs/dbraw/zinc/67/74/11/350677411.db2.gz VWPCAKLOLYIYAR-UHFFFAOYSA-N 0 0 296.327 2.857 20 5 CFBDRN CCCc1nnc(Sc2ncc(F)cc2[N+](=O)[O-])o1 ZINC000601130542 350677589 /nfs/dbraw/zinc/67/75/89/350677589.db2.gz MPMOHIMKSSQPQS-UHFFFAOYSA-N 0 0 284.272 2.616 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601130471 350677744 /nfs/dbraw/zinc/67/77/44/350677744.db2.gz LFTZCHSMBOJQTO-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601134766 350678201 /nfs/dbraw/zinc/67/82/01/350678201.db2.gz LOEFMQVTHVXMGX-ZETCQYMHSA-N 0 0 294.286 2.949 20 5 CFBDRN CS[C@H]1CCCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601133154 350678227 /nfs/dbraw/zinc/67/82/27/350678227.db2.gz UZPIAIWQHPIEOR-JTQLQIEISA-N 0 0 285.344 2.851 20 5 CFBDRN C[C@H](CCO)C1(CNc2ncc(F)cc2[N+](=O)[O-])CCC1 ZINC000601137938 350680028 /nfs/dbraw/zinc/68/00/28/350680028.db2.gz KPVQGBUOYKTECG-SNVBAGLBSA-N 0 0 297.330 2.730 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)C[C@@H](C)O1 ZINC000111010851 186343168 /nfs/dbraw/zinc/34/31/68/186343168.db2.gz BVQBTRIFFLAAGJ-ZYHUDNBSSA-N 0 0 292.335 2.543 20 5 CFBDRN Cn1c(Sc2ccsc2[N+](=O)[O-])nnc1C(F)F ZINC000601142305 350681203 /nfs/dbraw/zinc/68/12/03/350681203.db2.gz XYKYKQLIZZGQCV-UHFFFAOYSA-N 0 0 292.292 2.874 20 5 CFBDRN C[C@]1(CNc2ncc(F)cc2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000601143715 350681262 /nfs/dbraw/zinc/68/12/62/350681262.db2.gz JCZZUNRGFOIXNM-GXTWGEPZSA-N 0 0 295.314 2.746 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601157917 350684213 /nfs/dbraw/zinc/68/42/13/350684213.db2.gz IVQMEVUMIJYOHN-ZDUSSCGKSA-N 0 0 283.303 2.524 20 5 CFBDRN CC(C)OC(=O)CC[C@@H](C)Nc1ncc(F)cc1[N+](=O)[O-] ZINC000601158249 350684578 /nfs/dbraw/zinc/68/45/78/350684578.db2.gz PZEINEVQPNNJQF-SECBINFHSA-N 0 0 299.302 2.661 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)C[C@@H](C)O1 ZINC000111022043 186344710 /nfs/dbraw/zinc/34/47/10/186344710.db2.gz JVURBRKQKNEEIF-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN CSC[C@H]1CCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601168222 350687371 /nfs/dbraw/zinc/68/73/71/350687371.db2.gz SFGMDUGZSDDVHZ-VIFPVBQESA-N 0 0 285.344 2.708 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@@]2(CCSC2)C1 ZINC000601171441 350687931 /nfs/dbraw/zinc/68/79/31/350687931.db2.gz MAEXFRRTEPAIGQ-CYBMUJFWSA-N 0 0 297.355 2.852 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601171279 350687952 /nfs/dbraw/zinc/68/79/52/350687952.db2.gz KWVWZKOWNTUJCP-HUUCEWRRSA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccsc2[N+](=O)[O-])c2nccn21 ZINC000601171393 350688073 /nfs/dbraw/zinc/68/80/73/350688073.db2.gz KYTNFWAYVBGLTH-VXNVDRBHSA-N 0 0 264.310 2.971 20 5 CFBDRN CC/C=C\CNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601172054 350689012 /nfs/dbraw/zinc/68/90/12/350689012.db2.gz VLKOJZWTFROJSO-PLNGDYQASA-N 0 0 278.234 2.725 20 5 CFBDRN C[C@@H]1[C@H](C)CN(c2ncc(F)cc2[N+](=O)[O-])[C@H]1C ZINC000601174477 350689402 /nfs/dbraw/zinc/68/94/02/350689402.db2.gz DNXLXVSSZPOFBB-HLTSFMKQSA-N 0 0 253.277 2.610 20 5 CFBDRN C[C@H]1[C@@H](C)N(c2ncc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000601174479 350689425 /nfs/dbraw/zinc/68/94/25/350689425.db2.gz DNXLXVSSZPOFBB-IWSPIJDZSA-N 0 0 253.277 2.610 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1c1ncc(F)cc1[N+](=O)[O-] ZINC000601174478 350689435 /nfs/dbraw/zinc/68/94/35/350689435.db2.gz DNXLXVSSZPOFBB-HRDYMLBCSA-N 0 0 253.277 2.610 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111027905 186346045 /nfs/dbraw/zinc/34/60/45/186346045.db2.gz NLDPLBNPVMGHLD-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(NCC(C)(C)n2cccn2)ccc1[N+](=O)[O-] ZINC000601198098 350694411 /nfs/dbraw/zinc/69/44/11/350694411.db2.gz MVKBTVXPSNCLHZ-UHFFFAOYSA-N 0 0 274.324 2.947 20 5 CFBDRN CCC(C)(C)OC1CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601202040 350696191 /nfs/dbraw/zinc/69/61/91/350696191.db2.gz WHSMAMAJXILFCF-UHFFFAOYSA-N 0 0 283.303 2.523 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(c2ccccc2)C1 ZINC000601210999 350698166 /nfs/dbraw/zinc/69/81/66/350698166.db2.gz XUTBSYMMNYESPM-UHFFFAOYSA-N 0 0 286.335 2.723 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCCC12CC2 ZINC000601211317 350698393 /nfs/dbraw/zinc/69/83/93/350698393.db2.gz ZYXSFMLAZUVQFX-JTQLQIEISA-N 0 0 264.329 2.864 20 5 CFBDRN CCC[C@H](CCO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000601212121 350699032 /nfs/dbraw/zinc/69/90/32/350699032.db2.gz UYJMNWJQEHMAPQ-GFCCVEGCSA-N 0 0 289.335 2.528 20 5 CFBDRN CCC[C@H](CCO)Nc1cc(C)sc1[N+](=O)[O-] ZINC000601212957 350699689 /nfs/dbraw/zinc/69/96/89/350699689.db2.gz SVFIQTJAVRHCMY-SECBINFHSA-N 0 0 258.343 2.928 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H](O)C(F)F)c2ncccc21 ZINC000601222855 350701696 /nfs/dbraw/zinc/70/16/96/350701696.db2.gz SVSIWFHMBCMDQP-LLVKDONJSA-N 0 0 297.261 2.571 20 5 CFBDRN C[C@@H]1CN(C(=O)C2(c3ccc([N+](=O)[O-])cc3)CCC2)[C@@H]1C ZINC000601601900 350745759 /nfs/dbraw/zinc/74/57/59/350745759.db2.gz DBRIOWPUHNFSBO-VXGBXAGGSA-N 0 0 288.347 2.883 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@H]1C(F)F ZINC000601603677 350745859 /nfs/dbraw/zinc/74/58/59/350745859.db2.gz LPYRBADERSRUMZ-JTQLQIEISA-N 0 0 295.245 2.556 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NC(=O)C1CSC1 ZINC000601617975 350748756 /nfs/dbraw/zinc/74/87/56/350748756.db2.gz TVUGIVUVLLWOOA-UHFFFAOYSA-N 0 0 295.364 2.717 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601663666 350755483 /nfs/dbraw/zinc/75/54/83/350755483.db2.gz FGPJTIUSBXOSHN-VIFPVBQESA-N 0 0 268.288 2.900 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC[C@@H](CCF)C1 ZINC000601673007 350757464 /nfs/dbraw/zinc/75/74/64/350757464.db2.gz PPZJEFRAVQXTJK-JTQLQIEISA-N 0 0 298.289 2.946 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000271406621 191120286 /nfs/dbraw/zinc/12/02/86/191120286.db2.gz JOLJOXBWWPLYJT-YPMHNXCESA-N 0 0 276.336 2.927 20 5 CFBDRN C/C=C/CNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601682485 350758778 /nfs/dbraw/zinc/75/87/78/350758778.db2.gz BMXGUPYLLQVHQH-NSCUHMNNSA-N 0 0 274.320 2.709 20 5 CFBDRN CC(C)(F)CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000601689102 350760619 /nfs/dbraw/zinc/76/06/19/350760619.db2.gz YTMVYECHFYDDKF-NWDGAFQWSA-N 0 0 280.299 2.563 20 5 CFBDRN Cc1nocc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000601289214 350717669 /nfs/dbraw/zinc/71/76/69/350717669.db2.gz JQXONQBTOIWARK-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601450899 350733963 /nfs/dbraw/zinc/73/39/63/350733963.db2.gz KSOCNKNQIGTIHH-LURJTMIESA-N 0 0 294.204 2.805 20 5 CFBDRN CC(C)=CCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601525127 350736545 /nfs/dbraw/zinc/73/65/45/350736545.db2.gz JDKOSOYKMAGSIK-UHFFFAOYSA-N 0 0 266.272 2.820 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601546151 350738962 /nfs/dbraw/zinc/73/89/62/350738962.db2.gz ANCAWLADGWMTEH-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@H](NC(=O)NCC(C)(C)F)c1ccccc1[N+](=O)[O-] ZINC000601593428 350744408 /nfs/dbraw/zinc/74/44/08/350744408.db2.gz UDYOVGOPIKYNFE-VIFPVBQESA-N 0 0 283.303 2.703 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000601705872 350765791 /nfs/dbraw/zinc/76/57/91/350765791.db2.gz UFKGFIMTPCDMMF-LBPRGKRZSA-N 0 0 260.293 2.657 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])[C@H](C)O1 ZINC000601762862 350772757 /nfs/dbraw/zinc/77/27/57/350772757.db2.gz AHNKMOUGRNRVRB-KHQFGBGNSA-N 0 0 298.726 2.544 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000601768832 350773636 /nfs/dbraw/zinc/77/36/36/350773636.db2.gz OPCBMFJNEFBYLK-NTZNESFSSA-N 0 0 292.335 2.507 20 5 CFBDRN COc1c(C(=O)N[C@@]23C[C@@H]2CCCC3)cccc1[N+](=O)[O-] ZINC000601773221 350774017 /nfs/dbraw/zinc/77/40/17/350774017.db2.gz KPIMMHARJVGKIX-BONVTDFDSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1c(C(=O)N[C@]23C[C@H]2CCCC3)cccc1[N+](=O)[O-] ZINC000601778840 350775080 /nfs/dbraw/zinc/77/50/80/350775080.db2.gz XDIQQISEWYLRRU-IAQYHMDHSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@]12C[C@H]1CCCC2 ZINC000601779974 350775766 /nfs/dbraw/zinc/77/57/66/350775766.db2.gz ZGADIKRWYQYUNO-IUODEOHRSA-N 0 0 274.320 2.586 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)C[C@H]1CCCOC1 ZINC000111164213 186363679 /nfs/dbraw/zinc/36/36/79/186363679.db2.gz MNWQYDREGVHMDA-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1sc(C(=O)N2CCC(C)(F)CC2)cc1[N+](=O)[O-] ZINC000601866416 350794526 /nfs/dbraw/zinc/79/45/26/350794526.db2.gz CWNSYJJRQOSPHS-UHFFFAOYSA-N 0 0 286.328 2.929 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CC2CCC2)c1 ZINC000601870235 350795342 /nfs/dbraw/zinc/79/53/42/350795342.db2.gz NGXFKTPTNWBJQC-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000601871819 350796169 /nfs/dbraw/zinc/79/61/69/350796169.db2.gz VOEMSNOKQQVICK-SNVBAGLBSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1nccn1C(C)C ZINC000601910530 350799823 /nfs/dbraw/zinc/79/98/23/350799823.db2.gz GLGSMWCJKISZBH-UHFFFAOYSA-N 0 0 295.368 2.669 20 5 CFBDRN Cc1occc1SCCn1c(C)ncc1[N+](=O)[O-] ZINC000601914101 350800640 /nfs/dbraw/zinc/80/06/40/350800640.db2.gz UTYBXBLPWMDDAA-UHFFFAOYSA-N 0 0 267.310 2.793 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1ncccc1C ZINC000601913941 350800645 /nfs/dbraw/zinc/80/06/45/350800645.db2.gz SRGLXKHXNOZRDW-UHFFFAOYSA-N 0 0 278.337 2.595 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCC1=CCCC1 ZINC000601917689 350801411 /nfs/dbraw/zinc/80/14/11/350801411.db2.gz JHAPINFCEHNZNF-UHFFFAOYSA-N 0 0 267.354 2.943 20 5 CFBDRN Cn1nccc1CCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000601915053 350801664 /nfs/dbraw/zinc/80/16/64/350801664.db2.gz ZKZHWSRRXMDFML-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2nc(C3CCC3)no2)c1 ZINC000601925420 350803899 /nfs/dbraw/zinc/80/38/99/350803899.db2.gz MSSISVHUHQVRAB-UHFFFAOYSA-N 0 0 274.280 2.857 20 5 CFBDRN CC1(C)CC(Oc2ccc([N+](=O)[O-])c(CO)c2)C1 ZINC000601928741 350804579 /nfs/dbraw/zinc/80/45/79/350804579.db2.gz WYBSGSWRFATKBE-UHFFFAOYSA-N 0 0 251.282 2.655 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2COC3(CCCC3)O2)cc1 ZINC000271412109 191122982 /nfs/dbraw/zinc/12/29/82/191122982.db2.gz YVSOZVYLUFEVKA-ZDUSSCGKSA-N 0 0 278.308 2.692 20 5 CFBDRN C[C@@H]1CC=NN(c2ccc([N+](=O)[O-])cc2Cl)C1=O ZINC000601937945 350809490 /nfs/dbraw/zinc/80/94/90/350809490.db2.gz CLWFNTIVOPPUCM-SSDOTTSWSA-N 0 0 267.672 2.607 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1N1N=C2CCC[C@@H]2CC1=O ZINC000601938114 350809524 /nfs/dbraw/zinc/80/95/24/350809524.db2.gz HJAWBVWTOMZJHS-SNVBAGLBSA-N 0 0 273.292 2.796 20 5 CFBDRN O=C1C[C@H]2CCCC2=NN1c1ccc([N+](=O)[O-])cc1F ZINC000601939849 350810431 /nfs/dbraw/zinc/81/04/31/350810431.db2.gz PBCAXRBXFNBWJB-MRVPVSSYSA-N 0 0 277.255 2.627 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2C)C(=O)[C@@H]1C1CC1 ZINC000601944735 350812561 /nfs/dbraw/zinc/81/25/61/350812561.db2.gz ZXKYREGFJDDVMI-ZDUSSCGKSA-N 0 0 273.292 2.652 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000602132208 350844992 /nfs/dbraw/zinc/84/49/92/350844992.db2.gz IJARMBQEZJIWRN-ZWNOBZJWSA-N 0 0 294.351 2.569 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000602239848 350855144 /nfs/dbraw/zinc/85/51/44/350855144.db2.gz ZIAHHDORCKGWLI-SECBINFHSA-N 0 0 268.288 2.850 20 5 CFBDRN CCOC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000602302855 350861252 /nfs/dbraw/zinc/86/12/52/350861252.db2.gz OKPTWXDWWGELIN-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1cccc(C(=O)N2C3CCC2CC3)c1[N+](=O)[O-] ZINC000601995874 350825742 /nfs/dbraw/zinc/82/57/42/350825742.db2.gz OZGJCDQHCGNNIR-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1cc(C2CC2)no1 ZINC000602516451 350911753 /nfs/dbraw/zinc/91/17/53/350911753.db2.gz MAZGLJQQJHZWIH-UHFFFAOYSA-N 0 0 273.292 2.750 20 5 CFBDRN C[C@H]1Cc2ccccc2N(Cc2cn(C)nc2[N+](=O)[O-])C1 ZINC000602599368 350940842 /nfs/dbraw/zinc/94/08/42/350940842.db2.gz NNZWUJLWUYEHEJ-NSHDSACASA-N 0 0 286.335 2.527 20 5 CFBDRN Cc1cccc2c1CCCN2Cc1cn(C)nc1[N+](=O)[O-] ZINC000602600992 350941531 /nfs/dbraw/zinc/94/15/31/350941531.db2.gz XTOASFFGHCFQCR-UHFFFAOYSA-N 0 0 286.335 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cc(C3CC3)no2)cc1 ZINC000602587263 350936559 /nfs/dbraw/zinc/93/65/59/350936559.db2.gz YRMJCNGDKNNVLV-UHFFFAOYSA-N 0 0 273.292 2.750 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nccc(C3CC3)n2)c1 ZINC000602381368 350872110 /nfs/dbraw/zinc/87/21/10/350872110.db2.gz MRVPFLKDJUBCAS-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000602398774 350874063 /nfs/dbraw/zinc/87/40/63/350874063.db2.gz ZRGVADYHADILGD-OUJBWJOFSA-N 0 0 293.323 2.591 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCCC2)c1ccccc1[N+](=O)[O-] ZINC000602412472 350876353 /nfs/dbraw/zinc/87/63/53/350876353.db2.gz IINZPNBCDMFFEX-QMTHXVAHSA-N 0 0 260.293 2.657 20 5 CFBDRN Cc1cc(CN(C)Cc2ccccc2[N+](=O)[O-])sn1 ZINC000602438685 350882903 /nfs/dbraw/zinc/88/29/03/350882903.db2.gz HMUFRGBJLUXNOT-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN O=[N+]([O-])c1sc(CN2CC(F)C2)cc1Br ZINC000602610318 350945069 /nfs/dbraw/zinc/94/50/69/350945069.db2.gz SQSVNBFZAAOUKG-UHFFFAOYSA-N 0 0 295.133 2.573 20 5 CFBDRN Cc1n[nH]cc1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000112077751 186469901 /nfs/dbraw/zinc/46/99/01/186469901.db2.gz GDMKTEWCTSEEDG-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000112604092 186508394 /nfs/dbraw/zinc/50/83/94/186508394.db2.gz BSQRWRSGVIRLIO-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000112609205 186508820 /nfs/dbraw/zinc/50/88/20/186508820.db2.gz XNJGQSLFKHFWSP-SSDOTTSWSA-N 0 0 268.338 2.883 20 5 CFBDRN CCN(CCn1c(C)ncc1[N+](=O)[O-])c1cccc(F)c1 ZINC000602792091 351027324 /nfs/dbraw/zinc/02/73/24/351027324.db2.gz UYEWPIIXAKEZHC-UHFFFAOYSA-N 0 0 292.314 2.765 20 5 CFBDRN CC1(C)CCCN(C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000112684136 186517326 /nfs/dbraw/zinc/51/73/26/186517326.db2.gz BGKGOHMNAHHBIP-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN C[C@H]1C[C@H]1N(Cc1cn(C)nc1[N+](=O)[O-])c1ccccc1 ZINC000602841149 351042881 /nfs/dbraw/zinc/04/28/81/351042881.db2.gz RLXRBPPHCFSANC-SMDDNHRTSA-N 0 0 286.335 2.743 20 5 CFBDRN CC(C)(C)c1cn(Cc2c(F)cc([N+](=O)[O-])cc2F)nn1 ZINC000603246023 351125174 /nfs/dbraw/zinc/12/51/74/351125174.db2.gz HFBPPUBSQIWECE-UHFFFAOYSA-N 0 0 296.277 2.810 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCN2OC3CCC2CC3)c1 ZINC000603031857 351092808 /nfs/dbraw/zinc/09/28/08/351092808.db2.gz KYFOJSPBJYVWQJ-UHFFFAOYSA-N 0 0 278.308 2.532 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1OC2CCC1CC2 ZINC000603033138 351093542 /nfs/dbraw/zinc/09/35/42/351093542.db2.gz OQUWSNUIMKDHCL-UHFFFAOYSA-N 0 0 266.272 2.792 20 5 CFBDRN Cc1cnc(SCc2cn(C)nc2[N+](=O)[O-])c(C)c1 ZINC000603363243 351147525 /nfs/dbraw/zinc/14/75/25/351147525.db2.gz YMWVVZKLGMWJNO-UHFFFAOYSA-N 0 0 278.337 2.632 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(C[C@H]2CCCC[C@H]2C)c1=O ZINC000603365491 351147798 /nfs/dbraw/zinc/14/77/98/351147798.db2.gz HUKSUBAVJQGLKG-GHMZBOCLSA-N 0 0 280.324 2.591 20 5 CFBDRN CCc1nnc(SCc2ccc([N+](=O)[O-])cc2F)o1 ZINC000603370965 351148835 /nfs/dbraw/zinc/14/88/35/351148835.db2.gz MUSZQKOXKAKHPM-UHFFFAOYSA-N 0 0 283.284 2.972 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1cn(C2CCC2)nn1 ZINC000603403625 351157582 /nfs/dbraw/zinc/15/75/82/351157582.db2.gz JYQFXALEEVFKBD-UHFFFAOYSA-N 0 0 291.286 2.663 20 5 CFBDRN Cc1cc(NC(C)(C)c2ncc[nH]2)ccc1[N+](=O)[O-] ZINC000603405594 351157609 /nfs/dbraw/zinc/15/76/09/351157609.db2.gz BFTPLDRKFQLTKR-UHFFFAOYSA-N 0 0 260.297 2.974 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1cn(C2CCC2)nn1 ZINC000603402758 351157864 /nfs/dbraw/zinc/15/78/64/351157864.db2.gz FIMHVDRPPJDLRV-UHFFFAOYSA-N 0 0 291.286 2.663 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC(C)(C)c2ncc[nH]2)c1 ZINC000603407801 351158064 /nfs/dbraw/zinc/15/80/64/351158064.db2.gz XNSIALRQJZWSHQ-UHFFFAOYSA-N 0 0 260.297 2.974 20 5 CFBDRN CC(C)(Nc1ncc([N+](=O)[O-])cc1Cl)c1ncc[nH]1 ZINC000603406104 351158126 /nfs/dbraw/zinc/15/81/26/351158126.db2.gz GIGXJAKCYPQLAT-UHFFFAOYSA-N 0 0 281.703 2.714 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCC1CSC1)CCCC2 ZINC000603414053 351159418 /nfs/dbraw/zinc/15/94/18/351159418.db2.gz NPUXNBNAPMGFNF-UHFFFAOYSA-N 0 0 279.365 2.644 20 5 CFBDRN Cc1nn(Cc2cnc(C3CC3)o2)c2ncc([N+](=O)[O-])cc12 ZINC000603420964 351160901 /nfs/dbraw/zinc/16/09/01/351160901.db2.gz QPAWBROVAKCPHO-UHFFFAOYSA-N 0 0 299.290 2.562 20 5 CFBDRN Cc1cc(C)c(C)c(OCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000603420785 351161121 /nfs/dbraw/zinc/16/11/21/351161121.db2.gz OXBGZDCKQNSDOY-UHFFFAOYSA-N 0 0 275.308 2.833 20 5 CFBDRN CCC1CCC(N(C)C(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000603522816 351171830 /nfs/dbraw/zinc/17/18/30/351171830.db2.gz LFHZYODKKMPJJZ-UHFFFAOYSA-N 0 0 293.367 2.974 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000603949835 351193883 /nfs/dbraw/zinc/19/38/83/351193883.db2.gz IEWZVCPICWZZCQ-SNVBAGLBSA-N 0 0 266.297 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H]1CCCCO1 ZINC000603727341 351178759 /nfs/dbraw/zinc/17/87/59/351178759.db2.gz RJPKWQAVKLAYNH-GFCCVEGCSA-N 0 0 251.282 2.851 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c(F)c1 ZINC000603705225 351178929 /nfs/dbraw/zinc/17/89/29/351178929.db2.gz XCCCZQCGNRMTKM-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN O=c1[nH]c(CCCCO)nc2cc3ccccc3cc12 ZINC000603740273 351179659 /nfs/dbraw/zinc/17/96/59/351179659.db2.gz SYNPLEHDLIGJKQ-UHFFFAOYSA-N 0 0 268.316 2.804 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2COc3ccccc3O2)c1 ZINC000603823620 351183442 /nfs/dbraw/zinc/18/34/42/351183442.db2.gz LNKXHAMEXMHIAR-CYBMUJFWSA-N 0 0 287.271 2.814 20 5 CFBDRN CN(CCOc1ccccc1)c1cccnc1[N+](=O)[O-] ZINC000603838284 351184628 /nfs/dbraw/zinc/18/46/28/351184628.db2.gz YHQFDYOMLLDQJP-UHFFFAOYSA-N 0 0 273.292 2.505 20 5 CFBDRN CN(Cc1ccc(F)cc1F)c1cccnc1[N+](=O)[O-] ZINC000603846069 351185507 /nfs/dbraw/zinc/18/55/07/351185507.db2.gz ZHWOBQQVOKBLNF-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCO[C@@H](c2ccccc2)C1 ZINC000603846377 351185792 /nfs/dbraw/zinc/18/57/92/351185792.db2.gz VOKLTWMLMNNEQE-CQSZACIVSA-N 0 0 285.303 2.568 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1cccc(-c2nnco2)c1 ZINC000603849165 351186506 /nfs/dbraw/zinc/18/65/06/351186506.db2.gz OSDNUQNWPYNHMJ-UHFFFAOYSA-N 0 0 284.231 2.832 20 5 CFBDRN C[C@H]1C[C@H]1c1ccc(CNc2c([N+](=O)[O-])ncn2C)o1 ZINC000603869508 351187764 /nfs/dbraw/zinc/18/77/64/351187764.db2.gz OLKIASIPLSMLED-WCBMZHEXSA-N 0 0 276.296 2.657 20 5 CFBDRN C[C@H]1CCN1C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000603268415 351127909 /nfs/dbraw/zinc/12/79/09/351127909.db2.gz ZYZNLTYAZADFBX-ZETCQYMHSA-N 0 0 288.225 2.848 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCOCC2CCC2)c1 ZINC000416880895 233629842 /nfs/dbraw/zinc/62/98/42/233629842.db2.gz FLRSHNYSMBBLEP-UHFFFAOYSA-N 0 0 251.282 2.790 20 5 CFBDRN Cc1nn(CC2(C)CCC2)c2ncc([N+](=O)[O-])cc12 ZINC000603327669 351140105 /nfs/dbraw/zinc/14/01/05/351140105.db2.gz PADHLRMOASBBNH-UHFFFAOYSA-N 0 0 260.297 2.838 20 5 CFBDRN CCCC[C@H](CC)Cn1ccc(N)c([N+](=O)[O-])c1=O ZINC000603344701 351144203 /nfs/dbraw/zinc/14/42/03/351144203.db2.gz SFJOKWPMXYCXGI-JTQLQIEISA-N 0 0 267.329 2.555 20 5 CFBDRN C[C@H]1CN(c2cccnc2[N+](=O)[O-])C[C@H](c2ccccc2)O1 ZINC000604432389 351212400 /nfs/dbraw/zinc/21/24/00/351212400.db2.gz IXFREJPHYMMSNF-SWLSCSKDSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1occc1CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000604450268 351212920 /nfs/dbraw/zinc/21/29/20/351212920.db2.gz UCYHPYWYCJCPGW-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN COc1cccc(CNc2ccsc2[N+](=O)[O-])n1 ZINC000604523196 351216915 /nfs/dbraw/zinc/21/69/15/351216915.db2.gz HYJVAXUYGXZHBW-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN O=C(CCNc1ccc([N+](=O)[O-])s1)N1CCCCCC1 ZINC000604524804 351217151 /nfs/dbraw/zinc/21/71/51/351217151.db2.gz BBBYPZCUPYBFEK-UHFFFAOYSA-N 0 0 297.380 2.861 20 5 CFBDRN CCNC(=O)c1cccc(Oc2cccnc2[N+](=O)[O-])c1 ZINC000604540526 351217696 /nfs/dbraw/zinc/21/76/96/351217696.db2.gz QVJYNHHEHKYMFG-UHFFFAOYSA-N 0 0 287.275 2.532 20 5 CFBDRN O=C(Nc1nccs1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000109738187 304018883 /nfs/dbraw/zinc/01/88/83/304018883.db2.gz HJVJJTOOWLJANS-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CCCN(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000117634576 186754156 /nfs/dbraw/zinc/75/41/56/186754156.db2.gz KJVDJRUFDGXAHI-UHFFFAOYSA-N 0 0 270.716 2.659 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000118295505 186769073 /nfs/dbraw/zinc/76/90/73/186769073.db2.gz JNIYZTGEDIKUHS-ZJUUUORDSA-N 0 0 280.349 2.561 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000416976256 233641653 /nfs/dbraw/zinc/64/16/53/233641653.db2.gz QHMGYRUIEIPURT-GXSJLCMTSA-N 0 0 295.364 2.919 20 5 CFBDRN CCSCCOc1ccc(OC)cc1[N+](=O)[O-] ZINC000118610408 186778127 /nfs/dbraw/zinc/77/81/27/186778127.db2.gz LQYUCAAQOFTMJZ-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN COc1ccncc1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000431508607 383678712 /nfs/dbraw/zinc/67/87/12/383678712.db2.gz ZTGCYXSFDYVMEV-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCCO[C@H](c2ccccc2)C1 ZINC000609232752 351492801 /nfs/dbraw/zinc/49/28/01/351492801.db2.gz ICZKKZZHRWLBHW-HNNXBMFYSA-N 0 0 299.330 2.958 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609510973 351504250 /nfs/dbraw/zinc/50/42/50/351504250.db2.gz WNNVVLADCYNRIN-MEBBXXQBSA-N 0 0 299.330 2.597 20 5 CFBDRN CC[C@@H]1CN(c2ccsc2[N+](=O)[O-])C[C@H](C)O1 ZINC000609513169 351505192 /nfs/dbraw/zinc/50/51/92/351505192.db2.gz HHJIGYIFPKJWRV-DTWKUNHWSA-N 0 0 256.327 2.660 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1ccc(CO)c(F)c1 ZINC000609560546 351506924 /nfs/dbraw/zinc/50/69/24/351506924.db2.gz JINQREAWHCMEJV-UHFFFAOYSA-N 0 0 282.296 2.900 20 5 CFBDRN CO[C@](C)(C(=O)Oc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000291418189 198015964 /nfs/dbraw/zinc/01/59/64/198015964.db2.gz FYOHMHSVSLLLJD-AWEZNQCLSA-N 0 0 279.292 2.624 20 5 CFBDRN CCCn1c(C)nnc1COc1cc([N+](=O)[O-])ccc1C ZINC000119277143 186815294 /nfs/dbraw/zinc/81/52/94/186815294.db2.gz ZKCREMVGMLYYNK-UHFFFAOYSA-N 0 0 290.323 2.792 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C1(C(F)(F)F)CC1 ZINC000608786255 351470682 /nfs/dbraw/zinc/47/06/82/351470682.db2.gz CUJJLLNSSSVHRD-UHFFFAOYSA-N 0 0 288.225 2.554 20 5 CFBDRN Cn1ccc2c1cccc2NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000608882031 351480888 /nfs/dbraw/zinc/48/08/88/351480888.db2.gz GOQDGRFGTLUPFG-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN Nc1nc(S[C@H]2CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000609075267 351484877 /nfs/dbraw/zinc/48/48/77/351484877.db2.gz DMORYAJRRSHEEK-NSHDSACASA-N 0 0 288.332 2.577 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000609189788 351490615 /nfs/dbraw/zinc/49/06/15/351490615.db2.gz NLCOLLVPRMPMAK-ZDUSSCGKSA-N 0 0 285.303 2.649 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CCCO[C@@H]1C(C)(C)C ZINC000609823059 351522145 /nfs/dbraw/zinc/52/21/45/351522145.db2.gz ACBPOSGEEMEYFH-QWRGUYRKSA-N 0 0 296.371 2.582 20 5 CFBDRN CN(Cc1ccccn1)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000609850002 351522919 /nfs/dbraw/zinc/52/29/19/351522919.db2.gz QXZAOAQSMPGCJF-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN CC1CCN(c2ncnc3ccc([N+](=O)[O-])cc32)CC1 ZINC000609848515 351523250 /nfs/dbraw/zinc/52/32/50/351523250.db2.gz YRKSBDPJFCEIPX-UHFFFAOYSA-N 0 0 272.308 2.774 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1OCc1ccno1 ZINC000610219129 351541550 /nfs/dbraw/zinc/54/15/50/351541550.db2.gz AARLFIZMMSBQCF-UHFFFAOYSA-N 0 0 299.080 2.924 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000610227148 351541807 /nfs/dbraw/zinc/54/18/07/351541807.db2.gz AOMWRJXZUTWXMZ-UHFFFAOYSA-N 0 0 288.303 2.779 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1ncc(Cl)s1 ZINC000610247074 351544143 /nfs/dbraw/zinc/54/41/43/351544143.db2.gz PVCVKCKACUMGMD-UHFFFAOYSA-N 0 0 297.723 2.886 20 5 CFBDRN Cc1cn2cc(Nc3cccnc3[N+](=O)[O-])ccc2n1 ZINC000610279053 351547833 /nfs/dbraw/zinc/54/78/33/351547833.db2.gz KFKYJEJJJQCCSY-UHFFFAOYSA-N 0 0 269.264 2.690 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000610281109 351549063 /nfs/dbraw/zinc/54/90/63/351549063.db2.gz PDNKAVUCQOOBJI-SDDRHHMPSA-N 0 0 261.325 2.792 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000610281098 351549207 /nfs/dbraw/zinc/54/92/07/351549207.db2.gz NYSFOGMEJODUIZ-OUAUKWLOSA-N 0 0 264.329 2.709 20 5 CFBDRN Cc1cnc(N2CCc3ccc(O)cc3CC2)c([N+](=O)[O-])c1 ZINC000610284096 351549292 /nfs/dbraw/zinc/54/92/92/351549292.db2.gz SXDRWEUWDRDRDE-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN Cc1ccnc(N2CCNc3ccccc3C2)c1[N+](=O)[O-] ZINC000609669606 351514983 /nfs/dbraw/zinc/51/49/83/351514983.db2.gz HDXCADFKDWYKOO-UHFFFAOYSA-N 0 0 284.319 2.730 20 5 CFBDRN CCc1ccc(Oc2ncnc3c2ncn3C)c([N+](=O)[O-])c1 ZINC000609671000 351515092 /nfs/dbraw/zinc/51/50/92/351515092.db2.gz BLDPMGRGRQNIJK-UHFFFAOYSA-N 0 0 299.290 2.626 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2ccccc2CC1 ZINC000609704253 351516491 /nfs/dbraw/zinc/51/64/91/351516491.db2.gz IFUZZQOSVMZNSI-UHFFFAOYSA-N 0 0 269.304 2.595 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000610401332 351563579 /nfs/dbraw/zinc/56/35/79/351563579.db2.gz BLWRVZJBSPIZTG-DGCLKSJQSA-N 0 0 291.351 2.925 20 5 CFBDRN CN(Cc1nccs1)c1ccsc1[N+](=O)[O-] ZINC000610431043 351565528 /nfs/dbraw/zinc/56/55/28/351565528.db2.gz WPKMFCYZCXXEGR-UHFFFAOYSA-N 0 0 255.324 2.749 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000610434100 351567003 /nfs/dbraw/zinc/56/70/03/351567003.db2.gz YIDVAKOGPGEJKZ-JTQLQIEISA-N 0 0 276.336 2.933 20 5 CFBDRN Cc1cccc2c1CCCN2CCn1ccc([N+](=O)[O-])n1 ZINC000610485246 351569673 /nfs/dbraw/zinc/56/96/73/351569673.db2.gz ZCSNGAHNIPGQCE-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN COCc1ccc(SCCn2ccc([N+](=O)[O-])n2)cc1 ZINC000610500122 351573516 /nfs/dbraw/zinc/57/35/16/351573516.db2.gz GMSDDJAKNKYDCM-UHFFFAOYSA-N 0 0 293.348 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)Cc2ccccc2)s1 ZINC000610514779 351575243 /nfs/dbraw/zinc/57/52/43/351575243.db2.gz NSWXGACGOVETOO-LBPRGKRZSA-N 0 0 292.360 2.919 20 5 CFBDRN Cc1ccnc(NC[C@@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC000610516940 351575366 /nfs/dbraw/zinc/57/53/66/351575366.db2.gz LOYNSBXEXASLCI-LLVKDONJSA-N 0 0 272.308 2.909 20 5 CFBDRN C[C@@H](CNc1ncccc1[N+](=O)[O-])c1ccncc1 ZINC000610516828 351575550 /nfs/dbraw/zinc/57/55/50/351575550.db2.gz BOLKLUHSWDUWKD-JTQLQIEISA-N 0 0 258.281 2.600 20 5 CFBDRN C[C@@H](CCO)SCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000610580601 351583349 /nfs/dbraw/zinc/58/33/49/351583349.db2.gz XTCSLHYCBWIGGS-QMMMGPOBSA-N 0 0 282.321 2.740 20 5 CFBDRN C[C@H]1CSCCN1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000610582259 351583735 /nfs/dbraw/zinc/58/37/35/351583735.db2.gz URPAKZIYWSFVJF-VIFPVBQESA-N 0 0 293.348 2.673 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@@H]1CNc1cccnc1[N+](=O)[O-] ZINC000610588046 351584531 /nfs/dbraw/zinc/58/45/31/351584531.db2.gz XWWNURLHFJSGSO-YPMHNXCESA-N 0 0 279.340 2.853 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000610643944 351587379 /nfs/dbraw/zinc/58/73/79/351587379.db2.gz FBPMGWCALGFREW-QWRGUYRKSA-N 0 0 277.324 2.768 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN1c2ccccc2C[C@H]1C ZINC000610652354 351588177 /nfs/dbraw/zinc/58/81/77/351588177.db2.gz JWXRLZWVCDANEO-LLVKDONJSA-N 0 0 286.335 2.551 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccco3)C2)s1 ZINC000610656493 351588347 /nfs/dbraw/zinc/58/83/47/351588347.db2.gz PDDHYAQKDLVOAB-JTQLQIEISA-N 0 0 280.305 2.827 20 5 CFBDRN CCO[C@H]1C[C@@H]1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000610664641 351590100 /nfs/dbraw/zinc/59/01/00/351590100.db2.gz BSHPOTMYRCRPAN-JQWIXIFHSA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1cnc(N[C@H](C)C[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000610734679 351599413 /nfs/dbraw/zinc/59/94/13/351599413.db2.gz FQCCLDHXAJNISO-GHMZBOCLSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000610735819 351600504 /nfs/dbraw/zinc/60/05/04/351600504.db2.gz VGOKHYRAOVIMFB-ZWNOBZJWSA-N 0 0 274.320 2.962 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)[C@H]1CC12CCC2 ZINC000610740613 351601431 /nfs/dbraw/zinc/60/14/31/351601431.db2.gz RDCROPYWZUYJDA-GFCCVEGCSA-N 0 0 297.314 2.694 20 5 CFBDRN CN(C(=O)[C@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000610748595 351603182 /nfs/dbraw/zinc/60/31/82/351603182.db2.gz MTXKKJLRTQPQRJ-GFCCVEGCSA-N 0 0 260.293 2.748 20 5 CFBDRN Cc1nc(Nc2cnn(CC3CC3)c2)ccc1[N+](=O)[O-] ZINC000610755221 351604258 /nfs/dbraw/zinc/60/42/58/351604258.db2.gz UIDDPEJNQWSBCT-UHFFFAOYSA-N 0 0 273.296 2.648 20 5 CFBDRN Cc1cnc(N(C)CCOC(C)(C)C)c([N+](=O)[O-])c1 ZINC000610856163 351613897 /nfs/dbraw/zinc/61/38/97/351613897.db2.gz IZQAREBCFFNKRO-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN Cc1nc(NC(=O)CCC(C)(F)F)ccc1[N+](=O)[O-] ZINC000610774551 351607594 /nfs/dbraw/zinc/60/75/94/351607594.db2.gz ASDKBTDQOGTNQK-UHFFFAOYSA-N 0 0 273.239 2.672 20 5 CFBDRN O=C(N[C@H]1CCC(F)(F)C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000610790432 351608930 /nfs/dbraw/zinc/60/89/30/351608930.db2.gz JFFDWBLXFNEFKR-VIFPVBQESA-N 0 0 288.225 2.652 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@@H]1c1cccc(F)c1 ZINC000610305079 351553329 /nfs/dbraw/zinc/55/33/29/351553329.db2.gz AWRYGMBXJUUZTL-LLVKDONJSA-N 0 0 290.250 2.914 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000610807013 351610801 /nfs/dbraw/zinc/61/08/01/351610801.db2.gz XGNADEICEFIIFZ-TVQRCGJNSA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1CCN(c2ccccn2)C1 ZINC000611080145 351638399 /nfs/dbraw/zinc/63/83/99/351638399.db2.gz LZPSDXAQDZNBKR-SNVBAGLBSA-N 0 0 290.348 2.742 20 5 CFBDRN Cc1cc(COc2cccc(C)c2[N+](=O)[O-])nn1C ZINC000611112465 351641079 /nfs/dbraw/zinc/64/10/79/351641079.db2.gz QRGNUVHJGMJATQ-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN COC(=O)c1cc(N2CC=C(C)CC2)cc(C)c1[N+](=O)[O-] ZINC000611116207 351641822 /nfs/dbraw/zinc/64/18/22/351641822.db2.gz FPHRRUQDBDNGAH-UHFFFAOYSA-N 0 0 290.319 2.846 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CCC1 ZINC000611121826 351643062 /nfs/dbraw/zinc/64/30/62/351643062.db2.gz AHCWROWJUYHIQR-VIFPVBQESA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CCC1 ZINC000611121865 351643080 /nfs/dbraw/zinc/64/30/80/351643080.db2.gz BGEXURFLPLBWAA-SECBINFHSA-N 0 0 287.319 2.995 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCCF ZINC000291480066 198033008 /nfs/dbraw/zinc/03/30/08/198033008.db2.gz FRIBOTSVZZGSFM-UHFFFAOYSA-N 0 0 267.087 2.961 20 5 CFBDRN Cc1n[nH]cc1CN(C)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000611252948 351657497 /nfs/dbraw/zinc/65/74/97/351657497.db2.gz OUGCNVGEYYRROU-NSHDSACASA-N 0 0 274.324 2.819 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC(c2cccnc2)CC1 ZINC000611256774 351658717 /nfs/dbraw/zinc/65/87/17/351658717.db2.gz YHSAKPNMVORIGB-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN COc1cc(N2CCCOC(C)(C)C2)c(F)cc1[N+](=O)[O-] ZINC000611255837 351659147 /nfs/dbraw/zinc/65/91/47/351659147.db2.gz JKXJZBZMGYYMLO-UHFFFAOYSA-N 0 0 298.314 2.748 20 5 CFBDRN CN(CCOC(C)(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000610858531 351615934 /nfs/dbraw/zinc/61/59/34/351615934.db2.gz JLBRUMTYJUWINF-UHFFFAOYSA-N 0 0 295.339 2.874 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CCOC(C)(C)C)c1 ZINC000610862440 351616813 /nfs/dbraw/zinc/61/68/13/351616813.db2.gz HRMGKIJATIOLBO-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN CC(C)C(NC(=O)Cc1cccc([N+](=O)[O-])c1)C(C)C ZINC000610912134 351620292 /nfs/dbraw/zinc/62/02/92/351620292.db2.gz RKSSVLYAJAZLRQ-UHFFFAOYSA-N 0 0 278.352 2.934 20 5 CFBDRN O=C1CCCCC[C@@H]1Sc1ccc([N+](=O)[O-])cn1 ZINC000610946977 351623349 /nfs/dbraw/zinc/62/33/49/351623349.db2.gz ZMGWJDPVASOGCA-NSHDSACASA-N 0 0 266.322 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]([C@@H]3CCOC3)C2)s1 ZINC000610970910 351627020 /nfs/dbraw/zinc/62/70/20/351627020.db2.gz XJAMELOUIRQZSI-GHMZBOCLSA-N 0 0 282.365 2.909 20 5 CFBDRN Cc1c(CC(=O)NC[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000610969006 351627121 /nfs/dbraw/zinc/62/71/21/351627121.db2.gz XLGYTFOSRNQUDB-JTQLQIEISA-N 0 0 276.336 2.608 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCCOC[C@@H]1C ZINC000610991069 351628984 /nfs/dbraw/zinc/62/89/84/351628984.db2.gz ZQISHFXGPXIORO-LBPRGKRZSA-N 0 0 294.351 2.604 20 5 CFBDRN C[C@H](NC(=O)NC1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000611374647 351677351 /nfs/dbraw/zinc/67/73/51/351677351.db2.gz NHJYSQVASLRRIP-JTQLQIEISA-N 0 0 275.308 2.674 20 5 CFBDRN CCc1noc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000611404328 351683968 /nfs/dbraw/zinc/68/39/68/351683968.db2.gz VDBBNOWDJGMEEU-SNVBAGLBSA-N 0 0 290.323 2.699 20 5 CFBDRN Cc1cccc(CN(C)c2sccc2[N+](=O)[O-])n1 ZINC000611413490 351686644 /nfs/dbraw/zinc/68/66/44/351686644.db2.gz XRUIMTFQMJJIJL-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1cc(NC(=O)CCn2cccc2)ccc1[N+](=O)[O-] ZINC000611475409 351695304 /nfs/dbraw/zinc/69/53/04/351695304.db2.gz ZTBBNRFYGLCLME-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1occc1CNCc1ccc([N+](=O)[O-])cc1F ZINC000611497651 351698055 /nfs/dbraw/zinc/69/80/55/351698055.db2.gz MOQPJXQDGDUUIC-UHFFFAOYSA-N 0 0 264.256 2.925 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000611501462 351698070 /nfs/dbraw/zinc/69/80/70/351698070.db2.gz CDMASHCWGSSWEQ-ZWNOBZJWSA-N 0 0 252.289 2.775 20 5 CFBDRN C[C@H]1CCN(CCOc2cccc([N+](=O)[O-])c2)C[C@H]1F ZINC000611501558 351698583 /nfs/dbraw/zinc/69/85/83/351698583.db2.gz FFOXSTHVHCWNFF-SMDDNHRTSA-N 0 0 282.315 2.654 20 5 CFBDRN C[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@H]1F ZINC000611502639 351700220 /nfs/dbraw/zinc/70/02/20/351700220.db2.gz WPZUJDASBOYADY-PSASIEDQSA-N 0 0 258.318 2.836 20 5 CFBDRN Cc1cc(N(CCO)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000611298508 351665099 /nfs/dbraw/zinc/66/50/99/351665099.db2.gz PJVMUZYSBCWXBG-UHFFFAOYSA-N 0 0 266.341 2.748 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C)C[C@H]1CCOC1 ZINC000611298289 351665192 /nfs/dbraw/zinc/66/51/92/351665192.db2.gz XMPQGONXWONOAG-GHMZBOCLSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@H]1C[C@H](CNc2ccc([N+](=O)[O-])s2)CCO1 ZINC000611298592 351665327 /nfs/dbraw/zinc/66/53/27/351665327.db2.gz CZQODUALUPGYJK-DTWKUNHWSA-N 0 0 256.327 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)C[C@H]1CCOC1 ZINC000611298292 351665518 /nfs/dbraw/zinc/66/55/18/351665518.db2.gz XMPQGONXWONOAG-WDEREUQCSA-N 0 0 265.313 2.525 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc(C2CC2)cc1 ZINC000611305729 351666897 /nfs/dbraw/zinc/66/68/97/351666897.db2.gz GSMWUVLVXQXAAM-UHFFFAOYSA-N 0 0 258.281 2.949 20 5 CFBDRN CC[C@H]1CCN(C(=O)CSc2ccccc2[N+](=O)[O-])C1 ZINC000120719444 186920089 /nfs/dbraw/zinc/92/00/89/186920089.db2.gz LYPWWFQDNMDLAZ-NSHDSACASA-N 0 0 294.376 2.945 20 5 CFBDRN Cc1nnc(CN(C)[C@@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC000611346001 351672640 /nfs/dbraw/zinc/67/26/40/351672640.db2.gz DXRANNPXOYNDKW-VIFPVBQESA-N 0 0 292.364 2.948 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCNc2ccccn2)s1 ZINC000611370235 351675413 /nfs/dbraw/zinc/67/54/13/351675413.db2.gz HPDQEJUQVVIOKY-UHFFFAOYSA-N 0 0 278.337 2.965 20 5 CFBDRN Cn1c(Sc2cccnc2[N+](=O)[O-])nnc1C1CCC1 ZINC000611617809 351716117 /nfs/dbraw/zinc/71/61/17/351716117.db2.gz BGWHROLMWXSTBT-UHFFFAOYSA-N 0 0 291.336 2.537 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@H](C)[C@@H](F)C2)n1 ZINC000611617721 351716162 /nfs/dbraw/zinc/71/61/62/351716162.db2.gz ZUMXKSZYADAVKP-KWQFWETISA-N 0 0 267.304 2.791 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2([C@@H](O)C(C)C)CC2)n1 ZINC000611617496 351716333 /nfs/dbraw/zinc/71/63/33/351716333.db2.gz CKOZZTYNJWLRIK-ZDUSSCGKSA-N 0 0 293.367 2.816 20 5 CFBDRN Cc1ccc(CCNc2ncnc3ccc([N+](=O)[O-])cc32)o1 ZINC000611700066 351725545 /nfs/dbraw/zinc/72/55/45/351725545.db2.gz AYSKXGJHICKBTR-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC[C@@H]3CC=CCC3)c2c1 ZINC000611706960 351727153 /nfs/dbraw/zinc/72/71/53/351727153.db2.gz CTQQXEKSSVBQPC-LLVKDONJSA-N 0 0 284.319 2.728 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3ccc([N+](=O)[O-])cc32)C[C@@H]1F ZINC000611714457 351727562 /nfs/dbraw/zinc/72/75/62/351727562.db2.gz RGVFAMGQSYJVIH-SKDRFNHKSA-N 0 0 290.298 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCOCC4(CC4)C3)ccc2c1 ZINC000611765302 351738436 /nfs/dbraw/zinc/73/84/36/351738436.db2.gz PGCNAHCUCOBJNY-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)[C@@H]2CCCO2)n1 ZINC000611615749 351714584 /nfs/dbraw/zinc/71/45/84/351714584.db2.gz VWUBCOXIHINLFM-QWRGUYRKSA-N 0 0 265.313 2.586 20 5 CFBDRN COC(=O)[C@@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])C(C)(C)C ZINC000611617195 351715229 /nfs/dbraw/zinc/71/52/29/351715229.db2.gz OHCPURVVCWACCO-LLVKDONJSA-N 0 0 295.339 2.606 20 5 CFBDRN C[C@@H](CN(C)c1cccnc1[N+](=O)[O-])c1nccs1 ZINC000612115380 351777483 /nfs/dbraw/zinc/77/74/83/351777483.db2.gz GDFNLUUYHCMBRQ-VIFPVBQESA-N 0 0 278.337 2.686 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CC(C)C)c1 ZINC000121359558 186967645 /nfs/dbraw/zinc/96/76/45/186967645.db2.gz UJJPQLNXMCJEPV-SNVBAGLBSA-N 0 0 280.324 2.768 20 5 CFBDRN COCC[C@H](Cc1ccco1)Nc1cccnc1[N+](=O)[O-] ZINC000612098946 351774531 /nfs/dbraw/zinc/77/45/31/351774531.db2.gz HFBSSDJDVPMNLQ-LLVKDONJSA-N 0 0 291.307 2.643 20 5 CFBDRN Cc1cnc([C@@H](C)CNc2cccnc2[N+](=O)[O-])s1 ZINC000612458897 351824061 /nfs/dbraw/zinc/82/40/61/351824061.db2.gz YRBUQTILAXUNJS-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN C[C@@H](C(=O)NC1CC=CC1)c1ccc([N+](=O)[O-])cc1F ZINC000612478941 351825510 /nfs/dbraw/zinc/82/55/10/351825510.db2.gz VBVAVDCXZCVWDC-SECBINFHSA-N 0 0 278.283 2.672 20 5 CFBDRN CCC(CC)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000122078120 187013670 /nfs/dbraw/zinc/01/36/70/187013670.db2.gz GHEASUAUZOXBHJ-UHFFFAOYSA-N 0 0 289.335 2.923 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCCS1 ZINC000122076377 187013992 /nfs/dbraw/zinc/01/39/92/187013992.db2.gz GRZKBACDYBCPGW-JTQLQIEISA-N 0 0 253.327 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NC1(CO)CCC1 ZINC000122147432 187017481 /nfs/dbraw/zinc/01/74/81/187017481.db2.gz ITMGASOIOVAGFQ-UHFFFAOYSA-N 0 0 290.241 2.941 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2cccnc2)cc([N+](=O)[O-])c1 ZINC000122392709 187030238 /nfs/dbraw/zinc/03/02/38/187030238.db2.gz VFTRPXBGUXEYRO-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN C[C@H](O)CCOc1ccc([N+](=O)[O-])c2ccccc12 ZINC000122841910 187054968 /nfs/dbraw/zinc/05/49/68/187054968.db2.gz VTROROMAMCEHCN-JTQLQIEISA-N 0 0 261.277 2.898 20 5 CFBDRN O=[N+]([O-])c1c(NCCC2CCC2)nc2sccn21 ZINC000122977289 187063405 /nfs/dbraw/zinc/06/34/05/187063405.db2.gz MPCFTEKPEPLJIA-UHFFFAOYSA-N 0 0 266.326 2.906 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])cc2F)CCOCC1 ZINC000291761151 198083526 /nfs/dbraw/zinc/08/35/26/198083526.db2.gz GQSNXUJRTZRREK-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN C[C@H]1CC[C@H](C(F)(F)F)CN1c1ncc([N+](=O)[O-])cn1 ZINC000612794898 351862531 /nfs/dbraw/zinc/86/25/31/351862531.db2.gz GNVCZZOFNGTDOD-YUMQZZPRSA-N 0 0 290.245 2.552 20 5 CFBDRN O=[N+]([O-])c1c(NCC2CC(F)(F)C2)nc2sccn21 ZINC000291893224 198098459 /nfs/dbraw/zinc/09/84/59/198098459.db2.gz PINQNDPWJWWTEG-UHFFFAOYSA-N 0 0 288.279 2.761 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nccn2C(F)F)n1 ZINC000123320693 187084849 /nfs/dbraw/zinc/08/48/49/187084849.db2.gz SEEMLXBFYGJCOJ-UHFFFAOYSA-N 0 0 283.238 2.502 20 5 CFBDRN Cc1c(CNc2cc(C)ccc2[N+](=O)[O-])cnn1C ZINC000123688637 187104900 /nfs/dbraw/zinc/10/49/00/187104900.db2.gz MRQLPCASZJQZHZ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN C[C@H]1C[C@H]1c1nc(CCNc2ccccc2[N+](=O)[O-])no1 ZINC000612928884 351878815 /nfs/dbraw/zinc/87/88/15/351878815.db2.gz XLWOZRLZIVGUMS-VHSXEESVSA-N 0 0 288.307 2.756 20 5 CFBDRN CCOc1cccc(N2CCO[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000613049010 351894480 /nfs/dbraw/zinc/89/44/80/351894480.db2.gz OCCSUQIGGMYWLJ-AWEZNQCLSA-N 0 0 294.351 2.855 20 5 CFBDRN CCOc1cccc(N2CC[C@](C)(F)C2)c1[N+](=O)[O-] ZINC000613047864 351894513 /nfs/dbraw/zinc/89/45/13/351894513.db2.gz UGOBFRQILWJIPB-ZDUSSCGKSA-N 0 0 268.288 2.932 20 5 CFBDRN COCCCCCOc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000613109266 351898577 /nfs/dbraw/zinc/89/85/77/351898577.db2.gz YIFYPSNFUSTBDW-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN Cc1cnc(N[C@H]2CCO[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000613185320 351911595 /nfs/dbraw/zinc/91/15/95/351911595.db2.gz SJAZSCKVKMJPMI-WCQYABFASA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cnc(N[C@H]2CCO[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000613185318 351911800 /nfs/dbraw/zinc/91/18/00/351911800.db2.gz SJAZSCKVKMJPMI-AAEUAGOBSA-N 0 0 277.324 2.668 20 5 CFBDRN COC[C@@H]1CCCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000613435932 351959530 /nfs/dbraw/zinc/95/95/30/351959530.db2.gz QLUKXZCLMDBBBN-SNVBAGLBSA-N 0 0 270.354 2.909 20 5 CFBDRN COc1cccc(N(C)CCOC(C)(C)C)c1[N+](=O)[O-] ZINC000613884454 352021866 /nfs/dbraw/zinc/02/18/66/352021866.db2.gz BHMDDJCCOXNCRL-UHFFFAOYSA-N 0 0 282.340 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@@H]4CCC[C@@H]43)c2c1 ZINC000614528905 352101514 /nfs/dbraw/zinc/10/15/14/352101514.db2.gz PPVLCURUADMBFZ-HZMBPMFUSA-N 0 0 284.319 2.917 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1CCC[C@H]1CCO ZINC000614534255 352102724 /nfs/dbraw/zinc/10/27/24/352102724.db2.gz XWGGLOUIDDCCQX-DTWKUNHWSA-N 0 0 256.327 2.619 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)[C@@H](C)C2)c1 ZINC000131946716 187597913 /nfs/dbraw/zinc/59/79/13/187597913.db2.gz LEKINJKUNCGJKS-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN COC1CCC(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000614970182 352137938 /nfs/dbraw/zinc/13/79/38/352137938.db2.gz XUVBUHBQSPFUNR-UHFFFAOYSA-N 0 0 278.308 2.739 20 5 CFBDRN COCC(C)(C)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000292001458 198130832 /nfs/dbraw/zinc/13/08/32/198130832.db2.gz YPJKLFMXEFFTOM-UHFFFAOYSA-N 0 0 280.324 2.882 20 5 CFBDRN C=C/C(C)=C\CC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000616174652 352295618 /nfs/dbraw/zinc/29/56/18/352295618.db2.gz SNGFIZLKCZPHLA-QCDXTXTGSA-N 0 0 274.320 2.776 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N(C)Cc1ncc[nH]1 ZINC000616176534 352295644 /nfs/dbraw/zinc/29/56/44/352295644.db2.gz VBUCAZIIKGKYLL-NSHDSACASA-N 0 0 274.324 2.819 20 5 CFBDRN Cc1cncc(C)c1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000616917253 352437137 /nfs/dbraw/zinc/43/71/37/352437137.db2.gz JHCPCJHJCOJKLJ-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN C[C@@H]1CSCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000617004908 352460901 /nfs/dbraw/zinc/46/09/01/352460901.db2.gz SDWRBWNHIWBPAW-QMMMGPOBSA-N 0 0 258.368 2.846 20 5 CFBDRN C[C@H]1CSCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000617004907 352461030 /nfs/dbraw/zinc/46/10/30/352461030.db2.gz SDWRBWNHIWBPAW-MRVPVSSYSA-N 0 0 258.368 2.846 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000292080785 198156480 /nfs/dbraw/zinc/15/64/80/198156480.db2.gz XWOYLUMLVJQVSZ-PWSUYJOCSA-N 0 0 287.319 2.980 20 5 CFBDRN CSCC[C@@H](C)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000134391242 187745035 /nfs/dbraw/zinc/74/50/35/187745035.db2.gz GCILMFMXJVHDCO-SNVBAGLBSA-N 0 0 282.365 2.808 20 5 CFBDRN CC(C)(C)OCCCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000617457928 352566392 /nfs/dbraw/zinc/56/63/92/352566392.db2.gz JIXPJLOKWMFGOR-UHFFFAOYSA-N 0 0 283.324 2.671 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2Cc3cccc(O)c3C2)s1 ZINC000617480685 352571408 /nfs/dbraw/zinc/57/14/08/352571408.db2.gz WMTADGARUDJLGA-VIFPVBQESA-N 0 0 276.317 2.941 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1Cc2cccc(O)c2C1 ZINC000617480662 352571461 /nfs/dbraw/zinc/57/14/61/352571461.db2.gz IEVVQMUFJCIYLN-SECBINFHSA-N 0 0 276.317 2.941 20 5 CFBDRN C[C@@H](C(=O)NCC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000617709624 352630410 /nfs/dbraw/zinc/63/04/10/352630410.db2.gz QOXYYUUZNNDRRA-SNVBAGLBSA-N 0 0 264.325 2.861 20 5 CFBDRN Cc1nn(C)c(NC2CCC3(CC3)CC2)c1[N+](=O)[O-] ZINC000617972394 352687735 /nfs/dbraw/zinc/68/77/35/352687735.db2.gz CXJCBGJOIJWUFE-UHFFFAOYSA-N 0 0 264.329 2.771 20 5 CFBDRN Cc1cc(CNc2c(C)ccc([N+](=O)[O-])c2C)ncn1 ZINC000292125311 198173416 /nfs/dbraw/zinc/17/34/16/198173416.db2.gz RVAGGMQZHYJCBN-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1ccc(NCC2(CO)CCCC2)c([N+](=O)[O-])c1 ZINC000135109949 187797360 /nfs/dbraw/zinc/79/73/60/187797360.db2.gz KJAMUPAHCJTJJZ-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC(C)(C)CO ZINC000618342481 352755869 /nfs/dbraw/zinc/75/58/69/352755869.db2.gz JGRDMHWYFUGUHU-UHFFFAOYSA-N 0 0 272.732 2.987 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000271630691 191249532 /nfs/dbraw/zinc/24/95/32/191249532.db2.gz PBASVLDPAZCLHK-GARJFASQSA-N 0 0 277.324 2.611 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=NCc3ccc(Cl)o3)[nH]c2c1 ZINC000618581361 352820011 /nfs/dbraw/zinc/82/00/11/352820011.db2.gz LXRMRRGTORVRGF-UHFFFAOYSA-N 0 0 292.682 2.752 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC(F)(F)C1 ZINC000618466092 352780510 /nfs/dbraw/zinc/78/05/10/352780510.db2.gz HNWQCAZXPWIPNC-VIFPVBQESA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H](C)c1ccncc1 ZINC000618465805 352780711 /nfs/dbraw/zinc/78/07/11/352780711.db2.gz JCSRGTGMEYVGAI-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN CC1(C)CCN(c2ccncc2[N+](=O)[O-])CCS1 ZINC000618980257 352925971 /nfs/dbraw/zinc/92/59/71/352925971.db2.gz IPPFTMVPMIVPTN-UHFFFAOYSA-N 0 0 267.354 2.712 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](Oc3ccncc3)C2)s1 ZINC000618980251 352926061 /nfs/dbraw/zinc/92/60/61/352926061.db2.gz CTYFPUJAQRDJLT-LLVKDONJSA-N 0 0 291.332 2.709 20 5 CFBDRN Cc1cccc(NC(=O)[C@H](C)n2cc([N+](=O)[O-])cn2)c1C ZINC000144711788 187911536 /nfs/dbraw/zinc/91/15/36/187911536.db2.gz XRABVXHKSQUMIQ-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN C[C@@H](NC(=O)C[C@@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000271654534 191262314 /nfs/dbraw/zinc/26/23/14/191262314.db2.gz FTLNKGQELLBJGJ-NEPJUHHUSA-N 0 0 292.335 2.731 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)(F)C1 ZINC000619419655 353019711 /nfs/dbraw/zinc/01/97/11/353019711.db2.gz DWVIXBFZFAAJBN-LBPRGKRZSA-N 0 0 294.326 2.734 20 5 CFBDRN C[C@H](C(=O)NC(C)(C)C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000619421973 353020091 /nfs/dbraw/zinc/02/00/91/353020091.db2.gz QDFKFYYSFAPJMN-QMMMGPOBSA-N 0 0 286.278 2.858 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC(C)(C)C(F)F ZINC000619421938 353020216 /nfs/dbraw/zinc/02/02/16/353020216.db2.gz OKXMKFSVTLKQPP-UHFFFAOYSA-N 0 0 272.251 2.677 20 5 CFBDRN CC(C)(NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21)C(F)F ZINC000619421995 353020427 /nfs/dbraw/zinc/02/04/27/353020427.db2.gz RGFTUBRJPMUWLD-UHFFFAOYSA-N 0 0 297.261 2.850 20 5 CFBDRN COc1c(C(=O)N[C@@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000619441129 353024301 /nfs/dbraw/zinc/02/43/01/353024301.db2.gz OQTDRJUUYFCOPE-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](C)C(C)(C)O)c([N+](=O)[O-])c1 ZINC000619479048 353028437 /nfs/dbraw/zinc/02/84/37/353028437.db2.gz FDFCSGBHCMGHEM-VIFPVBQESA-N 0 0 280.324 2.759 20 5 CFBDRN CC1(F)CN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000619500467 353029606 /nfs/dbraw/zinc/02/96/06/353029606.db2.gz ASWOYKCSJJOXEZ-UHFFFAOYSA-N 0 0 261.256 2.691 20 5 CFBDRN CC(C)COC(=O)CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000292247384 198216741 /nfs/dbraw/zinc/21/67/41/198216741.db2.gz XGKOCGIROHNSOS-UHFFFAOYSA-N 0 0 294.351 2.619 20 5 CFBDRN Cc1cc(NCCO[C@@H]2CCCCO2)c(F)cc1[N+](=O)[O-] ZINC000292224136 198208837 /nfs/dbraw/zinc/20/88/37/198208837.db2.gz FFGOXJHOZMCWMT-CQSZACIVSA-N 0 0 298.314 2.997 20 5 CFBDRN O=c1ccccn1CCSc1cccc([N+](=O)[O-])c1 ZINC000619744466 353062906 /nfs/dbraw/zinc/06/29/06/353062906.db2.gz XJEUJAZKDOBHJY-UHFFFAOYSA-N 0 0 276.317 2.549 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CCC[C@@H]1C ZINC000619608877 353039606 /nfs/dbraw/zinc/03/96/06/353039606.db2.gz YUEFJIPYZWUJIT-WDEREUQCSA-N 0 0 292.335 2.769 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]1C ZINC000619607351 353039781 /nfs/dbraw/zinc/03/97/81/353039781.db2.gz IEMDCYNLPIYICU-PSASIEDQSA-N 0 0 264.281 2.588 20 5 CFBDRN CC[C@@H](C)CONC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000292253891 198220062 /nfs/dbraw/zinc/22/00/62/198220062.db2.gz JWNVHNVFEGPMOR-GHMZBOCLSA-N 0 0 295.339 2.933 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(c3cccnc3)CC2)s1 ZINC000619697211 353052631 /nfs/dbraw/zinc/05/26/31/353052631.db2.gz AOLOEGQBUWSUNS-UHFFFAOYSA-N 0 0 290.348 2.830 20 5 CFBDRN CCC(CC)(CCO)CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000152181338 188000621 /nfs/dbraw/zinc/00/06/21/188000621.db2.gz GLSLDEKUSYZAPN-UHFFFAOYSA-N 0 0 294.351 2.513 20 5 CFBDRN O=C(NC1CCCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152516467 188025556 /nfs/dbraw/zinc/02/55/56/188025556.db2.gz QIYMZSFOHKKICX-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN CC[C@H]1CCN(c2ccc([N+](=O)[O-])cc2COC)C1 ZINC000152836544 188047080 /nfs/dbraw/zinc/04/70/80/188047080.db2.gz FZTYOJWLBAWHNT-NSHDSACASA-N 0 0 264.325 2.978 20 5 CFBDRN Cc1nc(CNc2cc([N+](=O)[O-])c(C)cc2C)no1 ZINC000153374454 188079355 /nfs/dbraw/zinc/07/93/55/188079355.db2.gz HLBDKUSMILNYMO-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN Cc1cc(N[C@H](C)CO[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000292345577 198253349 /nfs/dbraw/zinc/25/33/49/198253349.db2.gz BRLIBQQIXWGWTA-GHMZBOCLSA-N 0 0 298.314 2.648 20 5 CFBDRN CO[C@](C)(CNc1ccc([N+](=O)[O-])c(C)n1)C1CC1 ZINC000292350238 198253872 /nfs/dbraw/zinc/25/38/72/198253872.db2.gz OEIWMFQVCGXXEB-CYBMUJFWSA-N 0 0 265.313 2.525 20 5 CFBDRN COCCCCCNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000153680363 188097157 /nfs/dbraw/zinc/09/71/57/188097157.db2.gz BKSWXOSEPKRLQY-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN CCOCCN(CC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000153787119 188103854 /nfs/dbraw/zinc/10/38/54/188103854.db2.gz NNIXLGQAIQGTPL-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN Cc1cc(NC[C@@H](C)C[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292361670 198258899 /nfs/dbraw/zinc/25/88/99/198258899.db2.gz CTLSAMUVGJMGOV-WCBMZHEXSA-N 0 0 270.304 2.861 20 5 CFBDRN C[C@@H]1CCCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000153929702 188112598 /nfs/dbraw/zinc/11/25/98/188112598.db2.gz ZZJXAOKSCXPQFF-LLVKDONJSA-N 0 0 262.309 2.538 20 5 CFBDRN CC[C@@H](C)Cn1cc([N+](=O)[O-])cc(Br)c1=O ZINC000154337227 188136690 /nfs/dbraw/zinc/13/66/90/188136690.db2.gz LVYQDKHAYXMROK-SSDOTTSWSA-N 0 0 289.129 2.565 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2ncccc2[N+](=O)[O-])C12CCC2 ZINC000154890262 188168157 /nfs/dbraw/zinc/16/81/57/188168157.db2.gz HFTYZIMRNADGRF-CHWSQXEVSA-N 0 0 291.351 2.774 20 5 CFBDRN CS[C@@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000154950295 188171784 /nfs/dbraw/zinc/17/17/84/188171784.db2.gz ZHJNBRICLZGDAM-VIFPVBQESA-N 0 0 268.338 2.983 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000154979057 188174932 /nfs/dbraw/zinc/17/49/32/188174932.db2.gz CCIGNAOULDHTJV-VXGBXAGGSA-N 0 0 276.336 2.784 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000156662525 188276011 /nfs/dbraw/zinc/27/60/11/188276011.db2.gz BSWRZHWMMFDRRK-LLVKDONJSA-N 0 0 258.705 2.677 20 5 CFBDRN Cc1cc(N2CCC[C@@](C)(O)CC2)c(F)cc1[N+](=O)[O-] ZINC000292540502 198325455 /nfs/dbraw/zinc/32/54/55/198325455.db2.gz IGKQEGDYGGLEIR-CQSZACIVSA-N 0 0 282.315 2.784 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157331797 188310237 /nfs/dbraw/zinc/31/02/37/188310237.db2.gz AOIUKUDCTCMEKL-AOOOYVTPSA-N 0 0 277.324 2.580 20 5 CFBDRN CC1(C)CCCN(C(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000157351086 188312049 /nfs/dbraw/zinc/31/20/49/188312049.db2.gz LUVGMAZBACNXBD-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN COC(C)(C)C(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000292608184 198348939 /nfs/dbraw/zinc/34/89/39/198348939.db2.gz ILHCOHFOBKEDIB-UHFFFAOYSA-N 0 0 287.699 2.621 20 5 CFBDRN Cc1cnc(OCc2ccc(N(C)C)cc2)c([N+](=O)[O-])c1 ZINC000292651631 198363111 /nfs/dbraw/zinc/36/31/11/198363111.db2.gz MQPLBQIPLOUSRB-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CC[C@H](NC(=O)Cc1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000158078670 188355467 /nfs/dbraw/zinc/35/54/67/188355467.db2.gz DITUZGIBWRLJEE-AWEZNQCLSA-N 0 0 299.330 2.800 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H](CO)C2CCCCC2)c1 ZINC000292659837 198365875 /nfs/dbraw/zinc/36/58/75/198365875.db2.gz CITNGNAHFOVKJP-LBPRGKRZSA-N 0 0 293.367 2.778 20 5 CFBDRN Cc1nnc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC000271692097 191278446 /nfs/dbraw/zinc/27/84/46/191278446.db2.gz RPVRQIYAMAHUTF-QMMMGPOBSA-N 0 0 278.337 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OC[C@@H](O)C(F)(F)F ZINC000158459548 188376844 /nfs/dbraw/zinc/37/68/44/188376844.db2.gz RZGSFQWXYCZTMK-MRVPVSSYSA-N 0 0 285.605 2.550 20 5 CFBDRN CO[C@H](CNc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000292688902 198376084 /nfs/dbraw/zinc/37/60/84/198376084.db2.gz FIBMVCSCXRDJDC-GFCCVEGCSA-N 0 0 254.261 2.571 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000458277518 295865992 /nfs/dbraw/zinc/86/59/92/295865992.db2.gz MEAMYDQURZGTLW-USWWRNFRSA-N 0 0 260.293 2.513 20 5 CFBDRN CCCOC(=O)[C@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271699448 191281312 /nfs/dbraw/zinc/28/13/12/191281312.db2.gz HDUFLCVSZOZTTA-QWRGUYRKSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cc(NCCCn2cccn2)ccc1[N+](=O)[O-] ZINC000159325097 188421050 /nfs/dbraw/zinc/42/10/50/188421050.db2.gz IGOOFKRSUFKKOJ-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000159074426 188407034 /nfs/dbraw/zinc/40/70/34/188407034.db2.gz CHTWHBCLCWKIQB-CBAPKCEASA-N 0 0 268.700 2.634 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000159074167 188407131 /nfs/dbraw/zinc/40/71/31/188407131.db2.gz CHTWHBCLCWKIQB-IONNQARKSA-N 0 0 268.700 2.634 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1cccc([N+](=O)[O-])c1 ZINC000160211574 188459806 /nfs/dbraw/zinc/45/98/06/188459806.db2.gz RDTAYYIFLASMNU-UHFFFAOYSA-N 0 0 260.293 2.515 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NOCC(C)C ZINC000160895489 188497568 /nfs/dbraw/zinc/49/75/68/188497568.db2.gz QJTALJUUVVVMCS-UHFFFAOYSA-N 0 0 281.312 2.921 20 5 CFBDRN CCCN(C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271718674 191290407 /nfs/dbraw/zinc/29/04/07/191290407.db2.gz WHCPZPXDNXQDDZ-SNVBAGLBSA-N 0 0 265.313 2.707 20 5 CFBDRN CCCn1c(C)nnc1COc1cccc(C)c1[N+](=O)[O-] ZINC000161433924 188527841 /nfs/dbraw/zinc/52/78/41/188527841.db2.gz ZXCRXXKOOOHLAS-UHFFFAOYSA-N 0 0 290.323 2.792 20 5 CFBDRN Cc1ccc(C(=O)N2CCC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000295681840 199618180 /nfs/dbraw/zinc/61/81/80/199618180.db2.gz YKEBYWOFMAPFKZ-CQSZACIVSA-N 0 0 280.299 2.867 20 5 CFBDRN CC(C)[C@@H]1C[C@H](NC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000271725432 191292889 /nfs/dbraw/zinc/29/28/89/191292889.db2.gz ULWQASRRFXHVCX-MNOVXSKESA-N 0 0 298.364 2.590 20 5 CFBDRN CC/C=C\CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000456092401 535258351 /nfs/dbraw/zinc/25/83/51/535258351.db2.gz HFZGIDZJWLCSPQ-ARJAWSKDSA-N 0 0 268.700 2.944 20 5 CFBDRN CC1CC(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000163682045 188613679 /nfs/dbraw/zinc/61/36/79/188613679.db2.gz GVZRLIZZUFHKSR-UHFFFAOYSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1cc(N(C[C@H]2CCOC2)C2CC2)ccc1[N+](=O)[O-] ZINC000167549273 188643481 /nfs/dbraw/zinc/64/34/81/188643481.db2.gz RPVJSSZVIOMXHL-GFCCVEGCSA-N 0 0 276.336 2.909 20 5 CFBDRN CC(C)(O)CCOc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000295297494 199445752 /nfs/dbraw/zinc/44/57/52/199445752.db2.gz JUJQVMGPNWDBPQ-UHFFFAOYSA-N 0 0 277.679 2.927 20 5 CFBDRN C[C@H](NC(=O)CCc1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000295407056 199494242 /nfs/dbraw/zinc/49/42/42/199494242.db2.gz SBVVMXAJFQIJSN-NSHDSACASA-N 0 0 288.303 2.998 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000171873953 188764712 /nfs/dbraw/zinc/76/47/12/188764712.db2.gz VYQJXVQOUKEGKL-SECBINFHSA-N 0 0 268.288 2.852 20 5 CFBDRN CC(C)[C@@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000171915285 188767827 /nfs/dbraw/zinc/76/78/27/188767827.db2.gz IFZUSWOSKJNDPH-SNVBAGLBSA-N 0 0 250.298 2.850 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1([C@@H](O)C(C)C)CC1 ZINC000271776460 191318158 /nfs/dbraw/zinc/31/81/58/191318158.db2.gz HDZIVIAAEBBKEZ-LBPRGKRZSA-N 0 0 279.340 2.507 20 5 CFBDRN CC/C=C(\C)C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000172313524 188794928 /nfs/dbraw/zinc/79/49/28/188794928.db2.gz HESVBXJNJFEWOL-WEVVVXLNSA-N 0 0 292.291 2.676 20 5 CFBDRN CCCCN(CCCO)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000271786177 191326872 /nfs/dbraw/zinc/32/68/72/191326872.db2.gz TWTINEIYGHEQJU-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN CC[C@]1(C)CCCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000173488440 188856026 /nfs/dbraw/zinc/85/60/26/188856026.db2.gz DYZCJBYHZFNARK-OAHLLOKOSA-N 0 0 291.351 2.829 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1cnccn1 ZINC000271862100 191376290 /nfs/dbraw/zinc/37/62/90/191376290.db2.gz ZRTDJXOPJAAXLW-WDEREUQCSA-N 0 0 272.308 2.797 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000272015382 191464807 /nfs/dbraw/zinc/46/48/07/191464807.db2.gz RAKLGDTWCURIBR-MFKMUULPSA-N 0 0 293.323 2.674 20 5 CFBDRN CCN(C(=O)Nc1ccncc1[N+](=O)[O-])[C@@H](C)C(C)C ZINC000272647505 191843389 /nfs/dbraw/zinc/84/33/89/191843389.db2.gz DLSWGZMOISENNV-JTQLQIEISA-N 0 0 280.328 2.888 20 5 CFBDRN CC(C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000460372131 535292708 /nfs/dbraw/zinc/29/27/08/535292708.db2.gz QMNXERXTGKXUEB-UHFFFAOYSA-N 0 0 269.272 2.963 20 5 CFBDRN COc1ccc(NC(=O)NCC2CCC2)cc1[N+](=O)[O-] ZINC000272767039 191906271 /nfs/dbraw/zinc/90/62/71/191906271.db2.gz BKUPZOFEWCIYBT-UHFFFAOYSA-N 0 0 279.296 2.525 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CC[C@H](C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000070114113 293744927 /nfs/dbraw/zinc/74/49/27/293744927.db2.gz HKRHOKJDLRGWFT-ATZCPNFKSA-N 0 0 266.345 2.873 20 5 CFBDRN CC/C=C(\C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272761755 191904177 /nfs/dbraw/zinc/90/41/77/191904177.db2.gz CMVMWBDYJAOXAP-WEVVVXLNSA-N 0 0 264.281 2.898 20 5 CFBDRN CCC(C)(C)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000272901277 191967033 /nfs/dbraw/zinc/96/70/33/191967033.db2.gz ZXXYSJNQMIOUPW-UHFFFAOYSA-N 0 0 292.382 2.660 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCCS1 ZINC000273002795 192013835 /nfs/dbraw/zinc/01/38/35/192013835.db2.gz SYTVWSCDAVUNGC-ZDUSSCGKSA-N 0 0 294.376 2.539 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCCCS1 ZINC000273018616 192022033 /nfs/dbraw/zinc/02/20/33/192022033.db2.gz YWNOAXPPLSIVSE-GFCCVEGCSA-N 0 0 297.332 2.795 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)OC ZINC000295826223 199681732 /nfs/dbraw/zinc/68/17/32/199681732.db2.gz UOEDUUDMBTUJLH-HZMBPMFUSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1csc(CCCCNc2ncc([N+](=O)[O-])cn2)n1 ZINC000273599239 192225623 /nfs/dbraw/zinc/22/56/23/192225623.db2.gz JAOUPWOUVULBTI-UHFFFAOYSA-N 0 0 293.352 2.585 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2C2CCCCC2)nc1 ZINC000273622652 192234201 /nfs/dbraw/zinc/23/42/01/192234201.db2.gz OXTLBAXATYOHFY-CYBMUJFWSA-N 0 0 276.340 2.934 20 5 CFBDRN Cc1nc(CCN2CCc3c2cccc3[N+](=O)[O-])cs1 ZINC000273622203 192234427 /nfs/dbraw/zinc/23/44/27/192234427.db2.gz QULCJSFVMAUKEL-UHFFFAOYSA-N 0 0 289.360 2.965 20 5 CFBDRN COc1ccc([C@H](C)N(C)c2ncc([N+](=O)[O-])cn2)cc1 ZINC000273627274 192236666 /nfs/dbraw/zinc/23/66/66/192236666.db2.gz PQFWZYQJRCWRFD-JTQLQIEISA-N 0 0 288.307 2.591 20 5 CFBDRN CCCSCc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273643056 192241900 /nfs/dbraw/zinc/24/19/00/192241900.db2.gz FMQKUMBZRXMUAI-UHFFFAOYSA-N 0 0 294.336 2.870 20 5 CFBDRN CCOc1cccc([C@H](C)Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000273641979 192242253 /nfs/dbraw/zinc/24/22/53/192242253.db2.gz SJNKLOPKTZKSHM-JTQLQIEISA-N 0 0 288.307 2.957 20 5 CFBDRN Cc1ccc(-c2noc([C@H]3CCCO3)n2)cc1[N+](=O)[O-] ZINC000273657982 192250872 /nfs/dbraw/zinc/25/08/72/192250872.db2.gz ACNNEGQDUZBFOQ-LLVKDONJSA-N 0 0 275.264 2.805 20 5 CFBDRN C[C@H]1CCCN(C(=O)NCc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000175167925 188937572 /nfs/dbraw/zinc/93/75/72/188937572.db2.gz QOMKXDLRGURETM-RYUDHWBXSA-N 0 0 291.351 2.925 20 5 CFBDRN Nc1ccc(-c2noc([C@H]3CCCS3)n2)cc1[N+](=O)[O-] ZINC000273644563 192243442 /nfs/dbraw/zinc/24/34/42/192243442.db2.gz FRHNGPGUJXGWPJ-SNVBAGLBSA-N 0 0 292.320 2.795 20 5 CFBDRN CC[C@@H](Cc1ccccc1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000273678045 192259046 /nfs/dbraw/zinc/25/90/46/192259046.db2.gz YNTNUHJZPZQBQD-ZDUSSCGKSA-N 0 0 286.335 2.842 20 5 CFBDRN Cc1ccc([C@H](C)N(C)c2ncc([N+](=O)[O-])cn2)cc1 ZINC000273664486 192254088 /nfs/dbraw/zinc/25/40/88/192254088.db2.gz WSRIBEADOLODIF-NSHDSACASA-N 0 0 272.308 2.891 20 5 CFBDRN CCC[C@H](CNc1ncnc2sc([N+](=O)[O-])cc21)OC ZINC000293717406 198744610 /nfs/dbraw/zinc/74/46/10/198744610.db2.gz QEGCATHSYBQUMG-MRVPVSSYSA-N 0 0 296.352 2.827 20 5 CFBDRN O=C1CC[C@H](COc2c(Cl)cccc2[N+](=O)[O-])CCN1 ZINC000295950026 199730728 /nfs/dbraw/zinc/73/07/28/199730728.db2.gz IOMKKSPPXOUYFR-VIFPVBQESA-N 0 0 298.726 2.543 20 5 CFBDRN CCCSCc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000273808764 192316092 /nfs/dbraw/zinc/31/60/92/192316092.db2.gz CANYIWJPWMFOPI-UHFFFAOYSA-N 0 0 294.336 2.607 20 5 CFBDRN CN(C(=O)CSc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000567940418 290507955 /nfs/dbraw/zinc/50/79/55/290507955.db2.gz WWPNDUVAFBXBFP-UHFFFAOYSA-N 0 0 282.365 2.944 20 5 CFBDRN CC(C)(C)C(C)(C)CNc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000431509383 383680024 /nfs/dbraw/zinc/68/00/24/383680024.db2.gz OJPKMLHZCWEXBP-UHFFFAOYSA-N 0 0 294.355 2.573 20 5 CFBDRN Cc1c(C(=O)NC2(C(C)C)CC2)cccc1[N+](=O)[O-] ZINC000293927879 198831023 /nfs/dbraw/zinc/83/10/23/198831023.db2.gz MNTHBXHYYBWRPI-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H]1CCC[C@]1(O)CNc1ccccc1[N+](=O)[O-] ZINC000293961572 198847381 /nfs/dbraw/zinc/84/73/81/198847381.db2.gz HFXPTAGYNRXLGR-GWCFXTLKSA-N 0 0 250.298 2.558 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000568869822 290574689 /nfs/dbraw/zinc/57/46/89/290574689.db2.gz AGVNDQDKHCZGBD-JQWIXIFHSA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@@H](NC(=O)NCCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000175739401 188964717 /nfs/dbraw/zinc/96/47/17/188964717.db2.gz MSPFDCBIOYTMHE-SNVBAGLBSA-N 0 0 277.324 2.755 20 5 CFBDRN Cc1cccc(CN(C)C(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294337329 199002764 /nfs/dbraw/zinc/00/27/64/199002764.db2.gz LBWHONDUCAPHHG-UHFFFAOYSA-N 0 0 278.352 2.789 20 5 CFBDRN C[C@H]1C[C@H](C)N(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000408216147 291118411 /nfs/dbraw/zinc/11/84/11/291118411.db2.gz BAJPDGYYCOZACQ-YUMQZZPRSA-N 0 0 266.326 2.539 20 5 CFBDRN Cc1ccc(C(=O)NC(C)(C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000294427386 199035651 /nfs/dbraw/zinc/03/56/51/199035651.db2.gz PATIEJBEBGGXTG-UHFFFAOYSA-N 0 0 290.241 2.974 20 5 CFBDRN CN(CCc1ccccc1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294495372 199061681 /nfs/dbraw/zinc/06/16/81/199061681.db2.gz VAQBLWHCBODXLS-UHFFFAOYSA-N 0 0 278.352 2.523 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1F ZINC000294556927 199085430 /nfs/dbraw/zinc/08/54/30/199085430.db2.gz NAZYNMWWBYYAAZ-UHFFFAOYSA-N 0 0 268.288 2.624 20 5 CFBDRN C[C@@H](O)[C@H](C)SCc1ccc([N+](=O)[O-])c(F)c1 ZINC000296098081 199782855 /nfs/dbraw/zinc/78/28/55/199782855.db2.gz UJBQLDDLCZMOIB-SFYZADRCSA-N 0 0 259.302 2.736 20 5 CFBDRN CC(C)CC(C)(C)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000445578157 535383806 /nfs/dbraw/zinc/38/38/06/535383806.db2.gz CUJLYJDXWZQCTR-UHFFFAOYSA-N 0 0 293.327 2.702 20 5 CFBDRN Cc1ccc(CN(C)C(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000294689941 199136089 /nfs/dbraw/zinc/13/60/89/199136089.db2.gz UQIXTUGIOIRQIK-UHFFFAOYSA-N 0 0 284.381 2.850 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CC(=O)OC(C)(C)C ZINC000418928203 236414937 /nfs/dbraw/zinc/41/49/37/236414937.db2.gz UNLQROROJDJDRJ-UHFFFAOYSA-N 0 0 280.324 2.681 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NC[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000294742475 199161336 /nfs/dbraw/zinc/16/13/36/199161336.db2.gz CKVJTRBPLQXIGL-APPZFPTMSA-N 0 0 282.727 2.942 20 5 CFBDRN Cc1cccc(CN(C)c2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000294804838 199191116 /nfs/dbraw/zinc/19/11/16/199191116.db2.gz CMBJDDCFWDVGDI-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CCCCC1(F)F ZINC000294852768 199214990 /nfs/dbraw/zinc/21/49/90/199214990.db2.gz CFSPUOYSWCTIOY-MRVPVSSYSA-N 0 0 274.271 2.566 20 5 CFBDRN COc1cc(NCC[C@H](C)CCO)c(F)cc1[N+](=O)[O-] ZINC000294885508 199231785 /nfs/dbraw/zinc/23/17/85/199231785.db2.gz GIQOIXZRIOOPNZ-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN Cc1csc([C@H](C)CNC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000294915203 199245850 /nfs/dbraw/zinc/24/58/50/199245850.db2.gz JJRBSDSSPDETHQ-SECBINFHSA-N 0 0 299.396 2.507 20 5 CFBDRN Cc1ncsc1CCNc1cc(N)ccc1[N+](=O)[O-] ZINC000294946629 199265007 /nfs/dbraw/zinc/26/50/07/199265007.db2.gz IQZLVEIAIAUAMY-UHFFFAOYSA-N 0 0 278.337 2.597 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC[C@@H](C)CCO ZINC000294938027 199260703 /nfs/dbraw/zinc/26/07/03/199260703.db2.gz LGCVRECHVHLZBN-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1cc(N[C@H](C)CCCCO)ccc1[N+](=O)[O-] ZINC000296321264 199852827 /nfs/dbraw/zinc/85/28/27/199852827.db2.gz OJIVEPLBVIDPMC-LLVKDONJSA-N 0 0 252.314 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@@H](CO)C2)c2ncccc12 ZINC000296336694 199858001 /nfs/dbraw/zinc/85/80/01/199858001.db2.gz PVONKMWCXMFZKL-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cccc(OCc2nn(C)cc2Cl)c1[N+](=O)[O-] ZINC000421210725 236760091 /nfs/dbraw/zinc/76/00/91/236760091.db2.gz HEFXBHMJAGIUAS-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCCO1 ZINC000421448336 236816071 /nfs/dbraw/zinc/81/60/71/236816071.db2.gz UKIGHOAHYVVDLJ-GFCCVEGCSA-N 0 0 292.335 2.511 20 5 CFBDRN C[C@H]1Cc2ccccc2N1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000334803616 291169655 /nfs/dbraw/zinc/16/96/55/291169655.db2.gz LHEVZQXSSJCJTK-JTQLQIEISA-N 0 0 285.303 2.525 20 5 CFBDRN Cc1ccc([C@H](C)N[C@H]2CCn3ccnc32)cc1[N+](=O)[O-] ZINC000296840116 200011638 /nfs/dbraw/zinc/01/16/38/200011638.db2.gz MVLGBPSSGCGKDA-AAEUAGOBSA-N 0 0 286.335 2.895 20 5 CFBDRN Cc1cc(F)c(NC(=O)NC2CCC2)cc1[N+](=O)[O-] ZINC000296876451 200023338 /nfs/dbraw/zinc/02/33/38/200023338.db2.gz WERDTQVQYOJCDZ-UHFFFAOYSA-N 0 0 267.260 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nncn2-c2ccccc2)c1 ZINC000435786895 240353473 /nfs/dbraw/zinc/35/34/73/240353473.db2.gz WYLHGCRDHFTAEL-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN CC/C(C)=C/c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000297085934 200080909 /nfs/dbraw/zinc/08/09/09/200080909.db2.gz WZFUCNSZJGJUOW-RMKNXTFCSA-N 0 0 274.280 2.777 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc2c(n1)CCC2 ZINC000297264381 200129122 /nfs/dbraw/zinc/12/91/22/200129122.db2.gz VBOXCIXLZOYURJ-UHFFFAOYSA-N 0 0 297.314 2.660 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NOC(C)C ZINC000297181930 200107187 /nfs/dbraw/zinc/10/71/87/200107187.db2.gz LCCGVJQROKXJAK-UHFFFAOYSA-N 0 0 272.688 2.626 20 5 CFBDRN Cc1nc(NCCOC2CCCCCC2)ncc1[N+](=O)[O-] ZINC000297200487 200112132 /nfs/dbraw/zinc/11/21/32/200112132.db2.gz RKAIUGGAFKFNEU-UHFFFAOYSA-N 0 0 294.355 2.845 20 5 CFBDRN Cc1ccncc1CN1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000297358273 200161895 /nfs/dbraw/zinc/16/18/95/200161895.db2.gz HHIFONBHMHYMCU-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN CCN(CCc1cccs1)c1ncc([N+](=O)[O-])c(C)n1 ZINC000297340315 200154656 /nfs/dbraw/zinc/15/46/56/200154656.db2.gz ATVNAEJHRWZACP-UHFFFAOYSA-N 0 0 292.364 2.824 20 5 CFBDRN CNC(=O)c1ccc(N2CCC(C)=C(C)C2)c([N+](=O)[O-])c1 ZINC000281140929 283474158 /nfs/dbraw/zinc/47/41/58/283474158.db2.gz ZOFKPMBQUHRUJK-UHFFFAOYSA-N 0 0 289.335 2.501 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NOCC2CC2)cc1[N+](=O)[O-] ZINC000297543640 200223778 /nfs/dbraw/zinc/22/37/78/200223778.db2.gz YZCZTNZONOEQCA-JTQLQIEISA-N 0 0 293.323 2.605 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)NOCC2CC2)cc1[N+](=O)[O-] ZINC000297543644 200223816 /nfs/dbraw/zinc/22/38/16/200223816.db2.gz YZCZTNZONOEQCA-SNVBAGLBSA-N 0 0 293.323 2.605 20 5 CFBDRN C[C@H](C(=O)N(C)c1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000297597217 200238287 /nfs/dbraw/zinc/23/82/87/200238287.db2.gz CTDRTRXKWOVWCR-MRVPVSSYSA-N 0 0 272.251 2.849 20 5 CFBDRN Cc1nc(N[C@H]2CCO[C@H](C(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000297576570 200232165 /nfs/dbraw/zinc/23/21/65/200232165.db2.gz SJHGAESPGHXRTE-JQWIXIFHSA-N 0 0 294.355 2.699 20 5 CFBDRN Cc1nc(NCCCSCC(C)C)ncc1[N+](=O)[O-] ZINC000297627385 200245713 /nfs/dbraw/zinc/24/57/13/200245713.db2.gz WUSTUYCTRFLGBZ-UHFFFAOYSA-N 0 0 284.385 2.884 20 5 CFBDRN CC(C)(CCC(=O)Nc1cc([N+](=O)[O-])ccc1F)[N+](=O)[O-] ZINC000297734664 200271911 /nfs/dbraw/zinc/27/19/11/200271911.db2.gz HMCARTKNBYZUQC-UHFFFAOYSA-N 0 0 299.258 2.508 20 5 CFBDRN Cc1cc(NC(=O)CSC(F)F)ccc1[N+](=O)[O-] ZINC000297809305 200284993 /nfs/dbraw/zinc/28/49/93/200284993.db2.gz MNJFFWQCGVJRJY-UHFFFAOYSA-N 0 0 276.264 2.798 20 5 CFBDRN COc1cc(C(=O)N(C)[C@@H](C)C(C)C)c([N+](=O)[O-])cc1F ZINC000297965488 200310399 /nfs/dbraw/zinc/31/03/99/200310399.db2.gz SXBKVGZNCVOPMU-VIFPVBQESA-N 0 0 298.314 2.859 20 5 CFBDRN Cc1cnc(Cl)c(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000298112418 200336803 /nfs/dbraw/zinc/33/68/03/200336803.db2.gz VSLYOSHXPSFNTB-UHFFFAOYSA-N 0 0 285.731 2.817 20 5 CFBDRN Cc1c(OC(=O)CC[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000176786284 189009363 /nfs/dbraw/zinc/00/93/63/189009363.db2.gz JBQJGIDXDKWNSW-NSHDSACASA-N 0 0 279.292 2.625 20 5 CFBDRN CC(C)(C)OC(=O)[C@@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000176953910 189017088 /nfs/dbraw/zinc/01/70/88/189017088.db2.gz HOJKOOWIAHKPAS-LLVKDONJSA-N 0 0 292.335 2.763 20 5 CFBDRN CCOC1CC(Sc2ncccc2[N+](=O)[O-])C1 ZINC000298411543 200421545 /nfs/dbraw/zinc/42/15/45/200421545.db2.gz QVYOYBHDUNFVKR-UHFFFAOYSA-N 0 0 254.311 2.649 20 5 CFBDRN O=C(NCCCOc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000177468946 189053374 /nfs/dbraw/zinc/05/33/74/189053374.db2.gz TZKFWXLVBVISSO-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN O=C1OCC[C@@H]1CCOc1ccc([N+](=O)[O-])cc1Cl ZINC000177546620 189056616 /nfs/dbraw/zinc/05/66/16/189056616.db2.gz QVLSMIXNTJCTMT-QMMMGPOBSA-N 0 0 285.683 2.580 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)CCOC(C)C)cc1[N+](=O)[O-] ZINC000177873985 189075750 /nfs/dbraw/zinc/07/57/50/189075750.db2.gz XUGHYHNKYTYOGA-LBPRGKRZSA-N 0 0 294.351 2.896 20 5 CFBDRN CCCN(C(=O)c1cc(OC)c(F)cc1[N+](=O)[O-])C1CC1 ZINC000298781808 200533952 /nfs/dbraw/zinc/53/39/52/200533952.db2.gz RKRFBQVHSNUPPK-UHFFFAOYSA-N 0 0 296.298 2.757 20 5 CFBDRN Cc1nc(N(Cc2ccccn2)C2CC2)ccc1[N+](=O)[O-] ZINC000178007996 189085350 /nfs/dbraw/zinc/08/53/50/189085350.db2.gz GIDWGQWLXDEHFZ-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000178132750 189092492 /nfs/dbraw/zinc/09/24/92/189092492.db2.gz SKIKDKAYNDEXAK-VIFPVBQESA-N 0 0 286.378 2.624 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000178166098 189094400 /nfs/dbraw/zinc/09/44/00/189094400.db2.gz QEGXZKBUFXDHBQ-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CCC(CC)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000298877753 200554373 /nfs/dbraw/zinc/55/43/73/200554373.db2.gz YLDTVWGBMKJBQE-UHFFFAOYSA-N 0 0 280.324 2.656 20 5 CFBDRN CCc1cnc(CNc2nc(C)ccc2[N+](=O)[O-])s1 ZINC000178241704 189100033 /nfs/dbraw/zinc/10/00/33/189100033.db2.gz KCZCCEYUAMLIAD-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN CCOC(=O)[C@H](CC)Sc1nc(C)ccc1[N+](=O)[O-] ZINC000178243737 189100426 /nfs/dbraw/zinc/10/04/26/189100426.db2.gz RLIBFLRTWJDZMM-JTQLQIEISA-N 0 0 284.337 2.732 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCCc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000178594655 189129776 /nfs/dbraw/zinc/12/97/76/189129776.db2.gz OVYRMXCIGHYBAD-VXGBXAGGSA-N 0 0 291.351 2.577 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000299220517 200640418 /nfs/dbraw/zinc/64/04/18/200640418.db2.gz HCPOLHGJTSZIJJ-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(C)(C)[C@@H](O)C(C)C)n1 ZINC000178770592 189144979 /nfs/dbraw/zinc/14/49/79/189144979.db2.gz VXDMQOLKQXIBBQ-LBPRGKRZSA-N 0 0 281.356 2.753 20 5 CFBDRN CCN(C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)C(C)C ZINC000299362665 200696634 /nfs/dbraw/zinc/69/66/34/200696634.db2.gz QEENZYATBHJLOS-UHFFFAOYSA-N 0 0 289.335 2.806 20 5 CFBDRN CC(C)CSc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1 ZINC000179257400 189181234 /nfs/dbraw/zinc/18/12/34/189181234.db2.gz DIULVFCDDHWIGF-UHFFFAOYSA-N 0 0 289.378 2.746 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)C1CC1 ZINC000179551109 189201870 /nfs/dbraw/zinc/20/18/70/189201870.db2.gz QRMOQWZRWVHSGO-VIFPVBQESA-N 0 0 285.303 2.671 20 5 CFBDRN CC(C)c1nc(CCNc2ccccc2[N+](=O)[O-])no1 ZINC000299585795 200791403 /nfs/dbraw/zinc/79/14/03/200791403.db2.gz JPJMXMIKMAVBRC-UHFFFAOYSA-N 0 0 276.296 2.756 20 5 CFBDRN CC[C@@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])c1ccccc1 ZINC000299546214 200772351 /nfs/dbraw/zinc/77/23/51/200772351.db2.gz PTMZLYYDRQTIDO-LLVKDONJSA-N 0 0 288.307 2.507 20 5 CFBDRN C[C@H]1CCOCCN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000301986087 540971146 /nfs/dbraw/zinc/97/11/46/540971146.db2.gz JZCAAZOYNJTZBK-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000180554687 189261898 /nfs/dbraw/zinc/26/18/98/189261898.db2.gz JEQDNSBMIJRTFW-QMMMGPOBSA-N 0 0 288.394 2.836 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCOc1ccc(F)c(F)c1 ZINC000301200826 200937505 /nfs/dbraw/zinc/93/75/05/200937505.db2.gz QGAUYEOHJHZQRV-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN Cc1nn(C)c(Nc2ccc(C)c(C)c2)c1[N+](=O)[O-] ZINC000301251279 200951132 /nfs/dbraw/zinc/95/11/32/200951132.db2.gz DFVVPWLBGITVDT-UHFFFAOYSA-N 0 0 260.297 2.997 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000181115308 189289340 /nfs/dbraw/zinc/28/93/40/189289340.db2.gz KTVHGMKRUYGXNE-GHMZBOCLSA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1noc(C)c1CN(C)c1ncc(C)cc1[N+](=O)[O-] ZINC000301435980 201008079 /nfs/dbraw/zinc/00/80/79/201008079.db2.gz KOSBTHZEAYJJMW-UHFFFAOYSA-N 0 0 276.296 2.539 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1ccc([N+](=O)[O-])cc1C ZINC000301443114 201010327 /nfs/dbraw/zinc/01/03/27/201010327.db2.gz NXNYODLRVFFDBY-UHFFFAOYSA-N 0 0 288.351 2.908 20 5 CFBDRN Cc1ccc(NCc2nnc3ccccn32)c([N+](=O)[O-])c1 ZINC000301445340 201011836 /nfs/dbraw/zinc/01/18/36/201011836.db2.gz PNHDWZQHXLSUMT-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1c(CO)cc([N+](=O)[O-])c(NCCC2CC2)c1[N+](=O)[O-] ZINC000301467529 201019736 /nfs/dbraw/zinc/01/97/36/201019736.db2.gz MLKVROPIYFMHQK-UHFFFAOYSA-N 0 0 295.295 2.516 20 5 CFBDRN Cc1ccc(N(C)C[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000301526083 201048401 /nfs/dbraw/zinc/04/84/01/201048401.db2.gz NJXMXRZGIWSQPD-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN COC[C@@H](C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000301545609 201060752 /nfs/dbraw/zinc/06/07/52/201060752.db2.gz PIIRZVUMJNLTPC-SSDOTTSWSA-N 0 0 276.239 2.643 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])c2ncccc12)C1CC1 ZINC000424091218 238667673 /nfs/dbraw/zinc/66/76/73/238667673.db2.gz LZMZWKIWMOZXDR-AWEZNQCLSA-N 0 0 288.303 2.947 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000424087035 238667784 /nfs/dbraw/zinc/66/77/84/238667784.db2.gz DCSSUWLRFMMANO-ZDUSSCGKSA-N 0 0 251.282 2.707 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000301577250 201078076 /nfs/dbraw/zinc/07/80/76/201078076.db2.gz REEMYLNPUBMQOP-ZWNOBZJWSA-N 0 0 252.314 2.722 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC(=O)C[C@@H]1CCC[C@H]1C ZINC001288215910 781941454 /nfs/dbraw/zinc/94/14/54/781941454.db2.gz KODMCTKFHKQQLJ-ZJUUUORDSA-N 0 0 293.323 2.763 20 5 CFBDRN CC(C)[C@H](Nc1ccccc1[N+](=O)[O-])C(=O)N1CCCC1 ZINC000301584153 201082004 /nfs/dbraw/zinc/08/20/04/201082004.db2.gz UOIVJYGBCSMSTQ-AWEZNQCLSA-N 0 0 291.351 2.654 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CC[C@@H](CO)CC2)c([N+](=O)[O-])c1 ZINC000301634822 201107312 /nfs/dbraw/zinc/10/73/12/201107312.db2.gz VYGWNZHLDXDIMV-BJHJDKERSA-N 0 0 292.335 2.760 20 5 CFBDRN COc1cc(N[C@H]2CCCOCC2)c([N+](=O)[O-])cc1OC ZINC000182995605 189341762 /nfs/dbraw/zinc/34/17/62/189341762.db2.gz GEVACJWVGXHQQP-JTQLQIEISA-N 0 0 296.323 2.593 20 5 CFBDRN Cc1nc(N[C@@H](C)c2nnc3ccccn32)ccc1[N+](=O)[O-] ZINC000301637893 201109655 /nfs/dbraw/zinc/10/96/55/201109655.db2.gz LIEKZUCNLJNKLH-JTQLQIEISA-N 0 0 298.306 2.514 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCCOCC2)c(F)c1 ZINC000182996468 189342541 /nfs/dbraw/zinc/34/25/41/189342541.db2.gz DRQXYTBQYNPPCP-MRVPVSSYSA-N 0 0 272.251 2.854 20 5 CFBDRN Cc1ccc(CCNc2ccc([N+](=O)[O-])c(C)n2)cn1 ZINC000301642134 201112305 /nfs/dbraw/zinc/11/23/05/201112305.db2.gz GURAJLKZUXHRSL-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN COC(=O)c1cccc(CNc2ccccc2[N+](=O)[O-])c1 ZINC000301617496 201097981 /nfs/dbraw/zinc/09/79/81/201097981.db2.gz KLDBCIFIPXLYCF-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN Cc1cc(Cc2noc([C@]3(C)C[C@H]3F)n2)ccc1[N+](=O)[O-] ZINC000424352604 238762936 /nfs/dbraw/zinc/76/29/36/238762936.db2.gz ZGDKXYZIRTZDSB-BXUZGUMPSA-N 0 0 291.282 2.877 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000183246051 189352199 /nfs/dbraw/zinc/35/21/99/189352199.db2.gz SFHQBAJBQHILOR-MNOVXSKESA-N 0 0 264.325 2.893 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)C(C)(C)O1 ZINC000183063410 189345074 /nfs/dbraw/zinc/34/50/74/189345074.db2.gz JSYLRMXBXZTMOZ-GFCCVEGCSA-N 0 0 292.335 2.671 20 5 CFBDRN Cc1noc(C)c1CCCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000301674939 201128200 /nfs/dbraw/zinc/12/82/00/201128200.db2.gz RWZJGEZAJNBYLP-UHFFFAOYSA-N 0 0 290.323 2.948 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@@H](C)O[C@@H](C)C1 ZINC000183219101 189350806 /nfs/dbraw/zinc/35/08/06/189350806.db2.gz XBNMRJPFIUKBAP-TXEJJXNPSA-N 0 0 264.325 2.512 20 5 CFBDRN CN(Cc1ccccn1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000301733597 201164951 /nfs/dbraw/zinc/16/49/51/201164951.db2.gz GAVXVAYCCAFGQT-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN CCCCCS(=O)(=O)Oc1c(F)cccc1[N+](=O)[O-] ZINC000183688357 189366312 /nfs/dbraw/zinc/36/63/12/189366312.db2.gz BPZJKBNJRYHHAF-UHFFFAOYSA-N 0 0 291.300 2.633 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000184370272 189384173 /nfs/dbraw/zinc/38/41/73/189384173.db2.gz IHSKRGJWHHRTLW-SECBINFHSA-N 0 0 268.338 2.919 20 5 CFBDRN C[C@@H](c1cnccn1)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000184407482 189385023 /nfs/dbraw/zinc/38/50/23/189385023.db2.gz KAAYBKOQBIIAFH-NSHDSACASA-N 0 0 272.308 2.578 20 5 CFBDRN Cc1csc(CNC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC001273297729 781946679 /nfs/dbraw/zinc/94/66/79/781946679.db2.gz FETBXTOOGIYHFK-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CC[C@@H](CO)CC2)c(F)c1 ZINC000301811854 201213427 /nfs/dbraw/zinc/21/34/27/201213427.db2.gz YFUFNHYCHOTRCZ-DTORHVGOSA-N 0 0 286.278 2.836 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@H]1COc2ccccc21 ZINC000301825526 201221091 /nfs/dbraw/zinc/22/10/91/201221091.db2.gz IUOLMCBCLRFDPX-GXFFZTMASA-N 0 0 285.303 2.966 20 5 CFBDRN CN(Cc1nccs1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000301805816 201208632 /nfs/dbraw/zinc/20/86/32/201208632.db2.gz VUUSICIBFNLQNN-UHFFFAOYSA-N 0 0 285.275 2.966 20 5 CFBDRN CCN(CC(F)F)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000184654685 189392018 /nfs/dbraw/zinc/39/20/18/189392018.db2.gz NTHBXYCDLJMDIE-UHFFFAOYSA-N 0 0 297.261 2.803 20 5 CFBDRN Cc1cc(N2CC[C@](C)(O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000301875859 201243620 /nfs/dbraw/zinc/24/36/20/201243620.db2.gz KEOMZEMCYXAZJC-LBPRGKRZSA-N 0 0 270.716 2.518 20 5 CFBDRN COc1cc(N2[C@H](C)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000301878024 201245661 /nfs/dbraw/zinc/24/56/61/201245661.db2.gz HGAZEHLWWXXVKG-AOOOYVTPSA-N 0 0 250.298 2.981 20 5 CFBDRN CC(=O)c1ccc(CNc2ncccc2[N+](=O)[O-])nc1C ZINC000301881847 201248613 /nfs/dbraw/zinc/24/86/13/201248613.db2.gz WINOXRMVLWIHHI-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccc3c(c2)COC3)nc1 ZINC000301911370 201259866 /nfs/dbraw/zinc/25/98/66/201259866.db2.gz CKJMZXANJPDHHI-UHFFFAOYSA-N 0 0 257.249 2.764 20 5 CFBDRN CC[C@@H]1CCN(c2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000301941772 201270886 /nfs/dbraw/zinc/27/08/86/201270886.db2.gz XALXOHFWKSAWKG-SECBINFHSA-N 0 0 268.288 2.979 20 5 CFBDRN CCOc1cc(N[C@H]2CC[C@@H](CO)CC2)ccc1[N+](=O)[O-] ZINC000301954737 201276544 /nfs/dbraw/zinc/27/65/44/201276544.db2.gz KVSHFYQXDXBZHT-TXEJJXNPSA-N 0 0 294.351 2.957 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301959529 201278665 /nfs/dbraw/zinc/27/86/65/201278665.db2.gz HCJKPEMYHSRCHL-MNOVXSKESA-N 0 0 289.339 2.876 20 5 CFBDRN Cc1cc(NC[C@@H](C)CCO)c2cccc([N+](=O)[O-])c2n1 ZINC000301992048 201291305 /nfs/dbraw/zinc/29/13/05/201291305.db2.gz GFWMYSMVZSTKTM-JTQLQIEISA-N 0 0 289.335 2.882 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1sccc1[N+](=O)[O-] ZINC000301989062 201289071 /nfs/dbraw/zinc/28/90/71/201289071.db2.gz FCNUHJZZEFPMNM-KCJUWKMLSA-N 0 0 258.343 2.865 20 5 CFBDRN Cc1nc(NC2C[C@@H](C)O[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000301953630 201275699 /nfs/dbraw/zinc/27/56/99/201275699.db2.gz JYHYGEAWCBXONR-RKDXNWHRSA-N 0 0 265.313 2.666 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000302016681 201300974 /nfs/dbraw/zinc/30/09/74/201300974.db2.gz CJFPHVRNRYFMJU-SWLSCSKDSA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cc(NCc2cnn(C)c2)c2cccc([N+](=O)[O-])c2n1 ZINC000302026828 201307365 /nfs/dbraw/zinc/30/73/65/201307365.db2.gz QPEXSPORKDXLJG-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2cccc(Cl)c2)nc1 ZINC000301996203 201293277 /nfs/dbraw/zinc/29/32/77/201293277.db2.gz HQFAZNOABZRQRE-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN O=C(CNc1sccc1[N+](=O)[O-])OC1CCCCC1 ZINC000301996880 201293440 /nfs/dbraw/zinc/29/34/40/201293440.db2.gz HUKGDQJDPBBMGI-UHFFFAOYSA-N 0 0 284.337 2.944 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H](O)c1ccsc1 ZINC000302050193 201321012 /nfs/dbraw/zinc/32/10/12/201321012.db2.gz ZZQCADXEQJOBIB-VIFPVBQESA-N 0 0 270.335 2.863 20 5 CFBDRN Cc1ccc(N(C)C[C@@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000302063727 201327691 /nfs/dbraw/zinc/32/76/91/201327691.db2.gz RZFPZTNOPGUPPS-WFASDCNBSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@H](c1cnn(C)c1)N(C)c1ccccc1[N+](=O)[O-] ZINC000302069305 201329368 /nfs/dbraw/zinc/32/93/68/201329368.db2.gz VDIKAJIZQHDEAD-SNVBAGLBSA-N 0 0 260.297 2.526 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC2)c2cccc([N+](=O)[O-])c2n1 ZINC000302039071 201313798 /nfs/dbraw/zinc/31/37/98/201313798.db2.gz VSSLVXSRBSSWGI-SNVBAGLBSA-N 0 0 273.292 2.652 20 5 CFBDRN CCOc1cccc(N(C)C[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000302103718 201341435 /nfs/dbraw/zinc/34/14/35/201341435.db2.gz MJVOVJCWBSYTCN-LBPRGKRZSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@H](c1ccc(F)c(F)c1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302106854 201344024 /nfs/dbraw/zinc/34/40/24/201344024.db2.gz AAKQAZLSSFHLHA-MRVPVSSYSA-N 0 0 294.261 2.860 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cn1)c1ccc(F)cc1F ZINC000302108049 201344119 /nfs/dbraw/zinc/34/41/19/201344119.db2.gz ARYXMQSQIAKYIB-MRVPVSSYSA-N 0 0 294.261 2.879 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1ccc(F)cc1F ZINC000302140214 201361889 /nfs/dbraw/zinc/36/18/89/201361889.db2.gz DLQFYSDWJPHEGU-SSDOTTSWSA-N 0 0 280.234 2.836 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2cccc(Cl)c2)nc1 ZINC000302142487 201363779 /nfs/dbraw/zinc/36/37/79/201363779.db2.gz FRXNUSNGVKJFLO-UHFFFAOYSA-N 0 0 250.645 2.782 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cc3ccccc3o2)nc1 ZINC000302147926 201366789 /nfs/dbraw/zinc/36/67/89/201366789.db2.gz IXEFXPDNKAYFST-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN C[C@@H](c1ccc(F)cc1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302152885 201368321 /nfs/dbraw/zinc/36/83/21/201368321.db2.gz LGQOOGMHOBDWHY-VIFPVBQESA-N 0 0 276.271 2.721 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCSc2ccccc2)nc1 ZINC000302156635 201370864 /nfs/dbraw/zinc/37/08/64/201370864.db2.gz NCHZPIUIJUHYDD-UHFFFAOYSA-N 0 0 290.348 2.979 20 5 CFBDRN C[C@H](Cc1ccsc1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302181552 201386136 /nfs/dbraw/zinc/38/61/36/201386136.db2.gz ZVIVOSMOAJUUNK-SECBINFHSA-N 0 0 278.337 2.514 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc3[nH]c(=O)[nH]c3c2)c1 ZINC000302186751 201386598 /nfs/dbraw/zinc/38/65/98/201386598.db2.gz ULMUVYYFUAMMOD-UHFFFAOYSA-N 0 0 298.302 2.685 20 5 CFBDRN Cc1ccnc(NCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000302208982 201398138 /nfs/dbraw/zinc/39/81/38/201398138.db2.gz LLJKQTXYNMFXHU-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN COCCCN(C)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000187464457 189467968 /nfs/dbraw/zinc/46/79/68/189467968.db2.gz VMFMLDPHQNWNPI-UHFFFAOYSA-N 0 0 294.351 2.827 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cc(F)cc(F)c2)cc1CO ZINC000428095164 239378927 /nfs/dbraw/zinc/37/89/27/239378927.db2.gz WPFSCOZYNDVGMH-UHFFFAOYSA-N 0 0 295.241 2.944 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])[C@@H]1C[C@H]2CC[C@@H]1C2 ZINC000188730831 189512316 /nfs/dbraw/zinc/51/23/16/189512316.db2.gz REYVYLUBEPJMOG-QJPTWQEYSA-N 0 0 275.304 2.979 20 5 CFBDRN CCOC[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000189548216 189537817 /nfs/dbraw/zinc/53/78/17/189537817.db2.gz HYGKZQLCNNOVEK-LBPRGKRZSA-N 0 0 298.314 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OC[C@H](O)CC(F)(F)F ZINC000189416251 189533345 /nfs/dbraw/zinc/53/33/45/189533345.db2.gz KRLVPMICLYDTML-SSDOTTSWSA-N 0 0 299.632 2.940 20 5 CFBDRN COc1ccc(OCC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000190180863 189556563 /nfs/dbraw/zinc/55/65/63/189556563.db2.gz SEOPBPJSFGLISX-JTQLQIEISA-N 0 0 267.281 2.551 20 5 CFBDRN O=C(C1[C@@H]2CCCC[C@H]12)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000079388123 283767400 /nfs/dbraw/zinc/76/74/00/283767400.db2.gz KNZIZBJGUJMZDH-NNQSOWQGSA-N 0 0 286.331 2.920 20 5 CFBDRN O=[N+]([O-])c1ccn(CCN2CCC=Cc3ccccc32)n1 ZINC000191292036 189590571 /nfs/dbraw/zinc/59/05/71/189590571.db2.gz CLERXTQAFWCZIR-UHFFFAOYSA-N 0 0 284.319 2.715 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H](CO)c1ccsc1 ZINC000302254991 201423606 /nfs/dbraw/zinc/42/36/06/201423606.db2.gz OEAACFRXWCAFET-NSHDSACASA-N 0 0 282.296 2.941 20 5 CFBDRN CC(C)(NC(=O)NCC1(C)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000431688908 239922939 /nfs/dbraw/zinc/92/29/39/239922939.db2.gz FRQMVBSWSDWZKT-UHFFFAOYSA-N 0 0 291.351 2.929 20 5 CFBDRN CSCCN(C)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432310185 239984196 /nfs/dbraw/zinc/98/41/96/239984196.db2.gz GHNLTTRGZCNIGX-UHFFFAOYSA-N 0 0 277.349 2.942 20 5 CFBDRN COc1cc(NCc2c([N+](=O)[O-])ncn2C)ccc1Cl ZINC000432707377 240031780 /nfs/dbraw/zinc/03/17/80/240031780.db2.gz CXJBWZPNKRSNFA-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2(CO)CCCC2)c1 ZINC000302288462 201427841 /nfs/dbraw/zinc/42/78/41/201427841.db2.gz WCOKERLDVJSCPX-UHFFFAOYSA-N 0 0 298.314 2.707 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000434942298 240279967 /nfs/dbraw/zinc/27/99/67/240279967.db2.gz JEPSUHVOPNGXBN-PSASIEDQSA-N 0 0 287.747 2.852 20 5 CFBDRN Cc1cc(CN(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)ccc1F ZINC000435918179 240368994 /nfs/dbraw/zinc/36/89/94/240368994.db2.gz PZMCMTXSWYOBJH-UHFFFAOYSA-N 0 0 291.282 2.643 20 5 CFBDRN Cc1conc1NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000435695010 240341560 /nfs/dbraw/zinc/34/15/60/240341560.db2.gz MZEBTXLQCBGDIC-UHFFFAOYSA-N 0 0 293.304 2.622 20 5 CFBDRN COC[C@@H](Nc1ncccc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000435944025 240374077 /nfs/dbraw/zinc/37/40/77/240374077.db2.gz FTKSJTOOINLWHE-GFCCVEGCSA-N 0 0 291.282 2.929 20 5 CFBDRN COc1cccc(C(=O)N2CC(C)=C[C@H](C)C2)c1[N+](=O)[O-] ZINC000436009522 240377917 /nfs/dbraw/zinc/37/79/17/240377917.db2.gz UZWFNLRZGVTEMP-JTQLQIEISA-N 0 0 290.319 2.642 20 5 CFBDRN O=[N+]([O-])c1ncn(Cc2ccc(-c3ccsc3)cc2)n1 ZINC000436183970 240402744 /nfs/dbraw/zinc/40/27/44/240402744.db2.gz BBZOIAPMISLRGZ-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN Cc1nc(N[C@H](C)c2ccc(Cl)cn2)ccc1[N+](=O)[O-] ZINC000436688059 240487007 /nfs/dbraw/zinc/48/70/07/240487007.db2.gz SMVAWLPDBNOFKP-MRVPVSSYSA-N 0 0 292.726 2.942 20 5 CFBDRN Cc1cccc(NC[C@@H](O)C(C)(C)C)c1[N+](=O)[O-] ZINC000275374863 193013189 /nfs/dbraw/zinc/01/31/89/193013189.db2.gz AAENBBWJELBZOR-LLVKDONJSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cccc(N[C@@H]2CCC[C@H]2CCO)c1[N+](=O)[O-] ZINC000275423168 193031227 /nfs/dbraw/zinc/03/12/27/193031227.db2.gz HQMSLWLLPIQLJL-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN CC1=C(C(=O)NCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000437238021 240548731 /nfs/dbraw/zinc/54/87/31/240548731.db2.gz QEUVBWZAYUHPJZ-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2cccc(C)c2[N+](=O)[O-])o1 ZINC000275412074 193026762 /nfs/dbraw/zinc/02/67/62/193026762.db2.gz GKJFUNBROLNSOR-LBPRGKRZSA-N 0 0 276.292 2.950 20 5 CFBDRN CC[C@@H](O)CCCNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000437719453 240584527 /nfs/dbraw/zinc/58/45/27/240584527.db2.gz LNACQQIMMYSZBK-GFCCVEGCSA-N 0 0 295.339 2.576 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1C[C@@H]1C ZINC000275528073 193067777 /nfs/dbraw/zinc/06/77/77/193067777.db2.gz MCFPZFKXSMMJCC-WCBMZHEXSA-N 0 0 263.297 2.681 20 5 CFBDRN Cc1cccc(NC[C@@](C)(O)c2ccco2)c1[N+](=O)[O-] ZINC000275501127 193059541 /nfs/dbraw/zinc/05/95/41/193059541.db2.gz SKXFUUKVXUXTOZ-CQSZACIVSA-N 0 0 276.292 2.816 20 5 CFBDRN Cc1cccc(N(C)Cc2cscn2)c1[N+](=O)[O-] ZINC000275513544 193064543 /nfs/dbraw/zinc/06/45/43/193064543.db2.gz VFDQWVBIAGPYCO-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CO[C@H](C)CCNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000275755655 193121840 /nfs/dbraw/zinc/12/18/40/193121840.db2.gz DWSKTZRMUZCMEZ-LLVKDONJSA-N 0 0 294.351 2.873 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C(C)(C)C(C)C ZINC000275835262 193142407 /nfs/dbraw/zinc/14/24/07/193142407.db2.gz GECGJYUONCKRCN-UHFFFAOYSA-N 0 0 294.351 2.902 20 5 CFBDRN CO[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000275819268 193137613 /nfs/dbraw/zinc/13/76/13/193137613.db2.gz WFHZKJCDPDVADE-ZDUSSCGKSA-N 0 0 295.339 2.696 20 5 CFBDRN CCCN(C(=O)[C@H]1CCO[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000441476694 240942057 /nfs/dbraw/zinc/94/20/57/240942057.db2.gz LEOGPZVTPBKSSR-RISCZKNCSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])s1)c1ccc(F)cc1 ZINC000443505873 241068500 /nfs/dbraw/zinc/06/85/00/241068500.db2.gz CEUONGBJVLDQNF-QMMMGPOBSA-N 0 0 281.312 2.828 20 5 CFBDRN COC[C@H](Cc1ccccc1)Nc1ncc([N+](=O)[O-])s1 ZINC000443507874 241068534 /nfs/dbraw/zinc/06/85/34/241068534.db2.gz HSDYNVUYEKCQDN-NSHDSACASA-N 0 0 293.348 2.721 20 5 CFBDRN Cc1sc(CNc2ncc([N+](=O)[O-])s2)nc1C(C)C ZINC000443507511 241068676 /nfs/dbraw/zinc/06/86/76/241068676.db2.gz BCMCHENJTKCERX-UHFFFAOYSA-N 0 0 298.393 2.974 20 5 CFBDRN O=[N+]([O-])c1cnc(N(CC2CC2)CC2CC2)s1 ZINC000443513910 241070976 /nfs/dbraw/zinc/07/09/76/241070976.db2.gz YFJGVDNSGWCUII-UHFFFAOYSA-N 0 0 253.327 2.678 20 5 CFBDRN Cc1cc2cc[nH]c2cc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000444451482 241141169 /nfs/dbraw/zinc/14/11/69/241141169.db2.gz URGDWYNSMVEQCD-UHFFFAOYSA-N 0 0 284.275 2.965 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)CSc2ccccc2[N+](=O)[O-])C1 ZINC000444463051 241141323 /nfs/dbraw/zinc/14/13/23/241141323.db2.gz FXBMOCVNNCMCNI-QWRGUYRKSA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@H](Sc1ncco1)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000446059962 241268335 /nfs/dbraw/zinc/26/83/35/241268335.db2.gz GNOABGXBXAEWRY-QMMMGPOBSA-N 0 0 293.304 2.702 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C(C)C)C1 ZINC000446322795 241294145 /nfs/dbraw/zinc/29/41/45/241294145.db2.gz FJHKLHRSKBVVTP-NSHDSACASA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)Cc1ccon1 ZINC000446489794 241301979 /nfs/dbraw/zinc/30/19/79/241301979.db2.gz DIQZIYNGYWWVFX-UHFFFAOYSA-N 0 0 290.279 2.555 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@]1(C)CCCOC1 ZINC000446483882 241303316 /nfs/dbraw/zinc/30/33/16/241303316.db2.gz FPTLZXSQABCVFS-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1cccc(NC[C@H](O)Cc2ccccc2)c1[N+](=O)[O-] ZINC000302479290 201458676 /nfs/dbraw/zinc/45/86/76/201458676.db2.gz GCKZMEMFCXKVDG-CQSZACIVSA-N 0 0 286.331 2.919 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000302573665 201483032 /nfs/dbraw/zinc/48/30/32/201483032.db2.gz REPCDGVNTKLSJB-GGZOMVNGSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ncn(Cc2ccc(C3CCC3)cc2)n1 ZINC000447284516 241362762 /nfs/dbraw/zinc/36/27/62/241362762.db2.gz IITUPUPINKVBLC-UHFFFAOYSA-N 0 0 258.281 2.502 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1Cl)c1nccs1 ZINC000447297794 241363423 /nfs/dbraw/zinc/36/34/23/241363423.db2.gz AZBBMTVCIYDZCL-UHFFFAOYSA-N 0 0 298.707 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CNCc3ccsc3)nc2c1 ZINC000446848321 241332332 /nfs/dbraw/zinc/33/23/32/241332332.db2.gz GWMGYHBFLKYSMK-UHFFFAOYSA-N 0 0 288.332 2.822 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000446857991 241334409 /nfs/dbraw/zinc/33/44/09/241334409.db2.gz PNOWJNFRDCONHI-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@H]3OCCC[C@@H]23)c([N+](=O)[O-])c1 ZINC000302544387 201476480 /nfs/dbraw/zinc/47/64/80/201476480.db2.gz IKGHMMCKPCEHEQ-NJZAAPMLSA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC(C(F)F)CC3)nc2c1 ZINC000447684537 241399188 /nfs/dbraw/zinc/39/91/88/241399188.db2.gz BMFAJZMBUOLBHL-UHFFFAOYSA-N 0 0 296.277 2.953 20 5 CFBDRN CCN(Cc1c[nH]c2ccccc12)c1ncc([N+](=O)[O-])cn1 ZINC000302672749 201505491 /nfs/dbraw/zinc/50/54/91/201505491.db2.gz OPIRGHPNIFQXHR-UHFFFAOYSA-N 0 0 297.318 2.893 20 5 CFBDRN Nc1c(C(=O)NCC2CCCCC2)cc(F)cc1[N+](=O)[O-] ZINC000225304814 189746365 /nfs/dbraw/zinc/74/63/65/189746365.db2.gz AFOURHADCPTLLZ-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N[C@@H]1CCCOC1 ZINC000450320456 242354490 /nfs/dbraw/zinc/35/44/90/242354490.db2.gz VUBBIYOEMJWESJ-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N[C@H]1CCCOC1 ZINC000450320455 242354753 /nfs/dbraw/zinc/35/47/53/242354753.db2.gz VUBBIYOEMJWESJ-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])nc1)[C@H]1CC[C@@H](C)C1 ZINC000450478944 242443328 /nfs/dbraw/zinc/44/33/28/242443328.db2.gz KHMZOQYAAGALBJ-NEPJUHHUSA-N 0 0 279.340 2.631 20 5 CFBDRN CC(C)OC(=O)CSc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000450355801 242374813 /nfs/dbraw/zinc/37/48/13/242374813.db2.gz SKRQCVGZVMDXFW-UHFFFAOYSA-N 0 0 295.320 2.515 20 5 CFBDRN CSCCN(C)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000450377043 242384698 /nfs/dbraw/zinc/38/46/98/242384698.db2.gz IEDKKTOEMRCUQB-UHFFFAOYSA-N 0 0 277.349 2.942 20 5 CFBDRN CC[C@@H](CCO)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450379682 242386438 /nfs/dbraw/zinc/38/64/38/242386438.db2.gz OLXKFFQSPMFLKT-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@]1(CNc2nccc3cc([N+](=O)[O-])ccc32)CCCO1 ZINC000450382238 242389248 /nfs/dbraw/zinc/38/92/48/242389248.db2.gz WYQYVENPDDOBKQ-HNNXBMFYSA-N 0 0 287.319 2.546 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])c(C)n1)c1c(C)noc1C ZINC000450401829 242399867 /nfs/dbraw/zinc/39/98/67/242399867.db2.gz JPTGFAGWNXOPDR-JTQLQIEISA-N 0 0 291.311 2.861 20 5 CFBDRN Cc1nnc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)nc1C ZINC000450563027 242480757 /nfs/dbraw/zinc/48/07/57/242480757.db2.gz RLNRURCGNVLJBF-JTQLQIEISA-N 0 0 273.296 2.570 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000450534248 242467001 /nfs/dbraw/zinc/46/70/01/242467001.db2.gz CXZJSGKIYHIJCQ-ONGXEEELSA-N 0 0 278.308 2.521 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])c(C)n1)[C@H]1CC[C@@H](C)C1 ZINC000302734960 201533906 /nfs/dbraw/zinc/53/39/06/201533906.db2.gz WCNNSWVVEYPJDS-YPMHNXCESA-N 0 0 293.367 2.940 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H]2CCCC(C)(C)C2)c1[N+](=O)[O-] ZINC000275924968 193163849 /nfs/dbraw/zinc/16/38/49/193163849.db2.gz BICJISBGYPBYHO-VIFPVBQESA-N 0 0 294.355 2.579 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@H]2CC[C@H](O)CC2)c1 ZINC000275927940 193165571 /nfs/dbraw/zinc/16/55/71/193165571.db2.gz QXAUVMJWTAUZPF-HAQNSBGRSA-N 0 0 264.325 2.643 20 5 CFBDRN C[C@]1(F)CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000408406428 291402497 /nfs/dbraw/zinc/40/24/97/291402497.db2.gz QTXMXXYSNYPNFB-ZDUSSCGKSA-N 0 0 265.288 2.501 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]([C@H](CO)C1CC1)C1CC1 ZINC000450764422 242591543 /nfs/dbraw/zinc/59/15/43/242591543.db2.gz AGZQVZSNQIJNDX-IUODEOHRSA-N 0 0 294.326 2.943 20 5 CFBDRN Cc1cc(N[C@H](C(=O)OC(C)C)C(C)C)ncc1[N+](=O)[O-] ZINC000450782871 242602945 /nfs/dbraw/zinc/60/29/45/242602945.db2.gz WFKWSHZUDIRTFI-ZDUSSCGKSA-N 0 0 295.339 2.686 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](CCCO)C2)c1 ZINC000450950844 242684273 /nfs/dbraw/zinc/68/42/73/242684273.db2.gz DTLGLAGXTXUZFN-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2COCCO2)c2ccccc21 ZINC000450868874 242648405 /nfs/dbraw/zinc/64/84/05/242648405.db2.gz NDTUZBXOEHWRAI-NSHDSACASA-N 0 0 289.287 2.542 20 5 CFBDRN COc1cc(C(=O)NCCC=C(C)C)c([N+](=O)[O-])cc1F ZINC000451134967 242744556 /nfs/dbraw/zinc/74/45/56/242744556.db2.gz LVSODAWYCXDAGZ-UHFFFAOYSA-N 0 0 296.298 2.829 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CC[C@@H](OC)C1 ZINC000302793521 201557017 /nfs/dbraw/zinc/55/70/17/201557017.db2.gz ZVOBBLWMGQWJRL-WCQYABFASA-N 0 0 280.324 2.721 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000179766703 284021159 /nfs/dbraw/zinc/02/11/59/284021159.db2.gz HQGUJQUSOADTKD-LLVKDONJSA-N 0 0 297.314 2.982 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1F)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000335916018 284031732 /nfs/dbraw/zinc/03/17/32/284031732.db2.gz CDQISZZXZDYMLB-WDEREUQCSA-N 0 0 292.266 2.961 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452186380 243076939 /nfs/dbraw/zinc/07/69/39/243076939.db2.gz XTZUTNUFLHVSNP-TUAOUCFPSA-N 0 0 294.351 2.691 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000452182389 243077545 /nfs/dbraw/zinc/07/75/45/243077545.db2.gz LMRBUDRUTLFJAU-QCZZGDTMSA-N 0 0 274.320 2.818 20 5 CFBDRN CNc1c(C(=O)NCC2=CCCCC2)cccc1[N+](=O)[O-] ZINC000452291516 243119045 /nfs/dbraw/zinc/11/90/45/243119045.db2.gz NLKHYOMFVURQDE-UHFFFAOYSA-N 0 0 289.335 2.867 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](F)C1 ZINC000452381006 243148359 /nfs/dbraw/zinc/14/83/59/243148359.db2.gz SHDNVOACIIWCOC-OLZOCXBDSA-N 0 0 294.326 2.734 20 5 CFBDRN Cc1c(CC(=O)N[C@H](C)C(C)(F)F)cccc1[N+](=O)[O-] ZINC000452456234 243180073 /nfs/dbraw/zinc/18/00/73/243180073.db2.gz LEZWOULFPHWNOM-SECBINFHSA-N 0 0 286.278 2.606 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000452468143 243186399 /nfs/dbraw/zinc/18/63/99/243186399.db2.gz XTEIMSYZAAAKEA-ZCFIWIBFSA-N 0 0 278.280 2.738 20 5 CFBDRN Cc1ncccc1N(C)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000452640437 243252067 /nfs/dbraw/zinc/25/20/67/243252067.db2.gz UCWJWKXGTVTJMU-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN CC[C@H]1CCCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000452814895 243307754 /nfs/dbraw/zinc/30/77/54/243307754.db2.gz HYAJIAOZNOPXKK-QWRGUYRKSA-N 0 0 279.340 2.869 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@H]1CCCC1(F)F ZINC000452853351 243320580 /nfs/dbraw/zinc/32/05/80/243320580.db2.gz SPVWVRJGJOJHNS-NSHDSACASA-N 0 0 298.289 2.689 20 5 CFBDRN CCN(C(=O)c1[nH]nc(C)c1[N+](=O)[O-])[C@H]1CCCC[C@@H]1C ZINC000330600308 203024218 /nfs/dbraw/zinc/02/42/18/203024218.db2.gz HDRCRAGYZZHFNC-ONGXEEELSA-N 0 0 294.355 2.667 20 5 CFBDRN COCC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000330647774 203075434 /nfs/dbraw/zinc/07/54/34/203075434.db2.gz JMGPYZFTTGNLQN-UHFFFAOYSA-N 0 0 298.364 2.545 20 5 CFBDRN CCC(CC)[C@H](O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000225747436 189763677 /nfs/dbraw/zinc/76/36/77/189763677.db2.gz WORBBYDENCUHPW-GFCCVEGCSA-N 0 0 270.304 2.943 20 5 CFBDRN CNc1c(C(=O)NC[C@@H]2C[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000456300029 244131377 /nfs/dbraw/zinc/13/13/77/244131377.db2.gz OWMQILBICXAVEP-JQWIXIFHSA-N 0 0 291.351 2.658 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)[C@@H]1C(C)C ZINC000456288864 244127363 /nfs/dbraw/zinc/12/73/63/244127363.db2.gz MFHFTLAONJYAHG-SMDDNHRTSA-N 0 0 293.367 2.686 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)[C@H]1C(C)C ZINC000456289862 244128252 /nfs/dbraw/zinc/12/82/52/244128252.db2.gz NHTBAVYQIPRRPJ-GWCFXTLKSA-N 0 0 280.324 2.940 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)O1 ZINC000330722841 203155181 /nfs/dbraw/zinc/15/51/81/203155181.db2.gz YLUCVCFRZLUEGD-WCQYABFASA-N 0 0 278.308 2.799 20 5 CFBDRN CC(C)[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000330732041 203164567 /nfs/dbraw/zinc/16/45/67/203164567.db2.gz JFZFOEJXNFTGCI-AWEZNQCLSA-N 0 0 282.315 2.591 20 5 CFBDRN CC(C)[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)CCO1 ZINC000330719778 203152895 /nfs/dbraw/zinc/15/28/95/203152895.db2.gz BHHGWOISEJREQS-NSHDSACASA-N 0 0 270.354 2.513 20 5 CFBDRN COC1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000330789079 203237333 /nfs/dbraw/zinc/23/73/33/203237333.db2.gz AWBUEXCLCBBBSU-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1cc(C)c(CNC(=O)c2cccc([N+](=O)[O-])c2C)cn1 ZINC000456861654 244309707 /nfs/dbraw/zinc/30/97/07/244309707.db2.gz OVTLELWUKZQODC-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN C[C@]1(C(N)=O)CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000330818144 203273302 /nfs/dbraw/zinc/27/33/02/203273302.db2.gz CSWBCQKNYLQALF-GFCCVEGCSA-N 0 0 283.353 2.936 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H](C)[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000457011977 244357989 /nfs/dbraw/zinc/35/79/89/244357989.db2.gz SMZBMBINLKCAGX-WCABBAIRSA-N 0 0 294.355 2.571 20 5 CFBDRN C[C@@H](CCC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330850594 203312393 /nfs/dbraw/zinc/31/23/93/203312393.db2.gz WOPCWYLOKXKIFS-VIFPVBQESA-N 0 0 267.329 2.868 20 5 CFBDRN CCOC(CCNc1ccc([N+](=O)[O-])cc1F)OCC ZINC000276223139 193248612 /nfs/dbraw/zinc/24/86/12/193248612.db2.gz NDNPXSBJAMARMB-UHFFFAOYSA-N 0 0 286.303 2.935 20 5 CFBDRN O=[N+]([O-])c1ccc(NOC[C@@H]2CCOC2)c2ncccc12 ZINC000276242112 193254399 /nfs/dbraw/zinc/25/43/99/193254399.db2.gz PNAYMKZJVJOCDA-SNVBAGLBSA-N 0 0 289.291 2.523 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CSC2(C)C)cc1[N+](=O)[O-] ZINC000276245333 193255288 /nfs/dbraw/zinc/25/52/88/193255288.db2.gz LLASKYVVTHRYAT-VIFPVBQESA-N 0 0 286.378 2.589 20 5 CFBDRN CCC(O)(CC)CCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000276248236 193255854 /nfs/dbraw/zinc/25/58/54/193255854.db2.gz QFZDCOOZOICIAB-UHFFFAOYSA-N 0 0 295.339 2.658 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000276249775 193256834 /nfs/dbraw/zinc/25/68/34/193256834.db2.gz DGUSSFWRCWHONB-UWVGGRQHSA-N 0 0 275.308 2.978 20 5 CFBDRN CC1(C)SC[C@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000276330631 193290159 /nfs/dbraw/zinc/29/01/59/193290159.db2.gz YDMCFHCNGINXDR-GFCCVEGCSA-N 0 0 294.376 2.538 20 5 CFBDRN COc1cc(N[C@@H](C)[C@H](C)OC)c(F)cc1[N+](=O)[O-] ZINC000276321166 193285872 /nfs/dbraw/zinc/28/58/72/193285872.db2.gz OYDYYJVRDQEQDQ-YUMQZZPRSA-N 0 0 272.276 2.578 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CC2(C1)CCCCC2 ZINC000276419189 193323141 /nfs/dbraw/zinc/32/31/41/193323141.db2.gz WTPNMURQDKBKSQ-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000457990459 244730989 /nfs/dbraw/zinc/73/09/89/244730989.db2.gz LGYCFPXDZSRJLO-NOZJJQNGSA-N 0 0 280.299 2.898 20 5 CFBDRN C[C@H](CO)CSc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276594970 193394139 /nfs/dbraw/zinc/39/41/39/193394139.db2.gz JYPUVROIXSELNE-SECBINFHSA-N 0 0 278.333 2.864 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCCC(C)(C)O ZINC000331097127 203538701 /nfs/dbraw/zinc/53/87/01/203538701.db2.gz CPEHYQMPVRJMNC-UHFFFAOYSA-N 0 0 252.314 2.785 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC(C)(C)C1 ZINC000458215475 244802276 /nfs/dbraw/zinc/80/22/76/244802276.db2.gz UJXWIQZPHQEWSP-UHFFFAOYSA-N 0 0 276.336 2.784 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000458277517 244826289 /nfs/dbraw/zinc/82/62/89/244826289.db2.gz MEAMYDQURZGTLW-ADEWGFFLSA-N 0 0 260.293 2.513 20 5 CFBDRN COC1(CC(=O)Oc2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000276929513 193503830 /nfs/dbraw/zinc/50/38/30/193503830.db2.gz XSPSDIZAVGPLRT-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN CC(C)C[C@H](C[NH2+]Cc1cccc([N+](=O)[O-])c1)CC(=O)[O-] ZINC000276929415 193504047 /nfs/dbraw/zinc/50/40/47/193504047.db2.gz BFMKEVWVFJOGQX-ZDUSSCGKSA-N 0 0 294.351 2.821 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1CCC[C@H]1CCO ZINC000286746513 196693936 /nfs/dbraw/zinc/69/39/36/196693936.db2.gz RHALTKUCOCRAOH-ONGXEEELSA-N 0 0 268.288 2.697 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000459277310 245118068 /nfs/dbraw/zinc/11/80/68/245118068.db2.gz LKMZIMFGPBLPAG-QWHCGFSZSA-N 0 0 291.351 2.781 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CC2(C1)CCCOC2 ZINC000459614195 245240383 /nfs/dbraw/zinc/24/03/83/245240383.db2.gz XVCBBKZPTBORPZ-UTCJRWHESA-N 0 0 288.347 2.720 20 5 CFBDRN COCC1(C)CN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000459623117 245247818 /nfs/dbraw/zinc/24/78/18/245247818.db2.gz PVCQICICBPPTTM-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000459781066 245323214 /nfs/dbraw/zinc/32/32/14/245323214.db2.gz GZLLUJVNHDSPIY-GHMZBOCLSA-N 0 0 274.324 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1(CF)CCOCC1 ZINC000459887694 245341838 /nfs/dbraw/zinc/34/18/38/245341838.db2.gz LLZCXYNMNDOXIS-UHFFFAOYSA-N 0 0 296.298 2.608 20 5 CFBDRN C[C@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000459904398 245343708 /nfs/dbraw/zinc/34/37/08/245343708.db2.gz QULKSCFBVXFYBJ-JTQLQIEISA-N 0 0 262.309 2.994 20 5 CFBDRN O=C(Nc1ccccn1)c1cc([N+](=O)[O-])ccc1Cl ZINC000001512039 371679996 /nfs/dbraw/zinc/67/99/96/371679996.db2.gz AOEJOAJTOWYAFP-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CN(C(=O)COc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000003382232 371797039 /nfs/dbraw/zinc/79/70/39/371797039.db2.gz HKMWFDUZGDEIES-UHFFFAOYSA-N 0 0 286.287 2.637 20 5 CFBDRN CC(C)CCCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000005643353 371883779 /nfs/dbraw/zinc/88/37/79/371883779.db2.gz DBZLSYHPEFYTJZ-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN CC(C)CCCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000005643362 371883891 /nfs/dbraw/zinc/88/38/91/371883891.db2.gz RWXFJULPGOVDRC-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000012021361 371941570 /nfs/dbraw/zinc/94/15/70/371941570.db2.gz STAZEYQNNAOHHB-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cc1cccnc1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000013208105 372020439 /nfs/dbraw/zinc/02/04/39/372020439.db2.gz RPZFEOJMWXGLKL-BQYQJAHWSA-N 0 0 283.287 2.950 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000464050622 245405493 /nfs/dbraw/zinc/40/54/93/245405493.db2.gz SHPAQEJZAGMTSJ-ZYHUDNBSSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1ccccc1CCNc1ncccc1[N+](=O)[O-] ZINC000018899641 372147135 /nfs/dbraw/zinc/14/71/35/372147135.db2.gz TUCXQRSTXSBSTK-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN C[C@@H](NCc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000020091741 372228709 /nfs/dbraw/zinc/22/87/09/372228709.db2.gz MQVFPZBBHDOSLH-LLVKDONJSA-N 0 0 257.293 2.841 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CCc3ccccc3C2)c1=O ZINC000332533398 204776511 /nfs/dbraw/zinc/77/65/11/204776511.db2.gz WVEWFTYEZXMHFD-CYBMUJFWSA-N 0 0 298.342 2.870 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)N2CCCCC2)cccc1[N+](=O)[O-] ZINC000025404045 372298743 /nfs/dbraw/zinc/29/87/43/372298743.db2.gz MQCNBSJRFBVEMH-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)cn1 ZINC000345960684 284316684 /nfs/dbraw/zinc/31/66/84/284316684.db2.gz NVMOFWWJXXGFPN-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000025770789 372308150 /nfs/dbraw/zinc/30/81/50/372308150.db2.gz OPXMNERCULHREE-SSDOTTSWSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000025770792 372308175 /nfs/dbraw/zinc/30/81/75/372308175.db2.gz SPWYRCRNHHRIEN-WXLQGSQKSA-N 0 0 260.293 2.523 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)C1CC1 ZINC000025771770 372308876 /nfs/dbraw/zinc/30/88/76/372308876.db2.gz OYNUNPUNOVTWDC-NXEZZACHSA-N 0 0 294.376 2.990 20 5 CFBDRN CCc1ccccc1NCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000026163154 372314667 /nfs/dbraw/zinc/31/46/67/372314667.db2.gz KWTRVNFDDXLVIC-UHFFFAOYSA-N 0 0 299.330 2.868 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@@H](C)C[C@H](C)C2)cc1[N+](=O)[O-] ZINC000028199368 372358806 /nfs/dbraw/zinc/35/88/06/372358806.db2.gz WVMMPMOOJFCWKM-QWRGUYRKSA-N 0 0 291.351 2.755 20 5 CFBDRN COc1cccc(C(=O)Nc2ccccc2O)c1[N+](=O)[O-] ZINC000028649060 372369163 /nfs/dbraw/zinc/36/91/63/372369163.db2.gz XXHGMKNEBHHDMQ-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN C[C@@H](CCc1ccccc1)Nc1c([N+](=O)[O-])ncn1C ZINC000029162509 372374485 /nfs/dbraw/zinc/37/44/85/372374485.db2.gz QQUHIHRVPUXNIM-NSHDSACASA-N 0 0 274.324 2.762 20 5 CFBDRN O=C(c1cccc(F)c1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029250745 372376077 /nfs/dbraw/zinc/37/60/77/372376077.db2.gz NJVHXJMLHKRIPC-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN CC(C)c1ccc(C(=O)Cn2cnc([N+](=O)[O-])c2)cc1 ZINC000029749570 372386196 /nfs/dbraw/zinc/38/61/96/372386196.db2.gz NEUCAQNXPHLJLI-UHFFFAOYSA-N 0 0 273.292 2.798 20 5 CFBDRN O=C(Cc1cccs1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029891833 372387616 /nfs/dbraw/zinc/38/76/16/372387616.db2.gz TXINMHRJVKHRTK-UHFFFAOYSA-N 0 0 288.328 2.788 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000032032137 372425613 /nfs/dbraw/zinc/42/56/13/372425613.db2.gz IGKPGKAVQBYOJI-PWSUYJOCSA-N 0 0 262.309 2.903 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000032384549 372437529 /nfs/dbraw/zinc/43/75/29/372437529.db2.gz YXJJGZVVSDGZDF-YUMQZZPRSA-N 0 0 268.700 2.634 20 5 CFBDRN CCC(CC)NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000033315949 372490737 /nfs/dbraw/zinc/49/07/37/372490737.db2.gz PHNAYHSSYGEBLA-HJWRWDBZSA-N 0 0 262.309 2.913 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cccc(CO)c2)c1 ZINC000035045539 372530736 /nfs/dbraw/zinc/53/07/36/372530736.db2.gz JWZOTOAJUUQDNG-UHFFFAOYSA-N 0 0 258.277 2.699 20 5 CFBDRN O=C(NCC(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000036093547 372556724 /nfs/dbraw/zinc/55/67/24/372556724.db2.gz BXUOOIHVVDCJQK-UHFFFAOYSA-N 0 0 282.605 2.540 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)NC1CCCCC1 ZINC000036136742 372562269 /nfs/dbraw/zinc/56/22/69/372562269.db2.gz JUBMCOIMNOBWOD-UHFFFAOYSA-N 0 0 291.351 2.769 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1ccncc1 ZINC000035125205 372544401 /nfs/dbraw/zinc/54/44/01/372544401.db2.gz DFPKEQFZMIUWOG-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN CN(C)c1ccc(NCc2ccccc2[N+](=O)[O-])cn1 ZINC000036988736 372622686 /nfs/dbraw/zinc/62/26/86/372622686.db2.gz DSAUVNUBBTTZMO-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000037669492 372689185 /nfs/dbraw/zinc/68/91/85/372689185.db2.gz XXWDBDKXZMNLMG-UWVGGRQHSA-N 0 0 280.299 2.852 20 5 CFBDRN CC(C)C[C@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000040779198 372788774 /nfs/dbraw/zinc/78/87/74/372788774.db2.gz BLUDRUBLRQIEBL-SECBINFHSA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1cc(N[C@@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000040779498 372788907 /nfs/dbraw/zinc/78/89/07/372788907.db2.gz PSNCTFGNOGAJPK-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN CC(C)C[C@@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000040779196 372789278 /nfs/dbraw/zinc/78/92/78/372789278.db2.gz BLUDRUBLRQIEBL-VIFPVBQESA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@@H](NCc1nccs1)c1ccc([N+](=O)[O-])cc1 ZINC000041012476 372802683 /nfs/dbraw/zinc/80/26/83/372802683.db2.gz FKFIJNZEHLDRDQ-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000475317829 245581966 /nfs/dbraw/zinc/58/19/66/245581966.db2.gz LHFFTJQQPYWPCE-JTQLQIEISA-N 0 0 280.324 2.912 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000447818206 296191336 /nfs/dbraw/zinc/19/13/36/296191336.db2.gz RNCWRFLVMKKOCF-PWSUYJOCSA-N 0 0 282.315 2.864 20 5 CFBDRN CCC(CC)[C@H]1C[C@@H](Nc2ccncc2[N+](=O)[O-])CCO1 ZINC000477108960 245598621 /nfs/dbraw/zinc/59/86/21/245598621.db2.gz ACHBYDALJFEGBZ-SWLSCSKDSA-N 0 0 293.367 2.807 20 5 CFBDRN Cc1c(CNC(=O)NC[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000479317812 245615159 /nfs/dbraw/zinc/61/51/59/245615159.db2.gz LTEASLQIXDFQEJ-NSHDSACASA-N 0 0 293.367 2.995 20 5 CFBDRN Cc1c(CNC(=O)N2C[C@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000480064885 245632622 /nfs/dbraw/zinc/63/26/22/245632622.db2.gz IPEBZBKELFNUSS-PHIMTYICSA-N 0 0 291.351 2.701 20 5 CFBDRN CC(C)c1noc(CNc2ccc(F)c([N+](=O)[O-])c2)n1 ZINC000042470862 372927867 /nfs/dbraw/zinc/92/78/67/372927867.db2.gz DXPSYUIZMMQRLY-UHFFFAOYSA-N 0 0 280.259 2.852 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000042580936 372936746 /nfs/dbraw/zinc/93/67/46/372936746.db2.gz COBSGJLLRGULRX-MWLCHTKSSA-N 0 0 250.302 2.766 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000042568036 372936987 /nfs/dbraw/zinc/93/69/87/372936987.db2.gz CJOPYROWNDAZSW-WPRPVWTQSA-N 0 0 268.338 2.965 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000043148698 372979742 /nfs/dbraw/zinc/97/97/42/372979742.db2.gz QZWNULHJWGXIGR-VIFPVBQESA-N 0 0 251.286 2.823 20 5 CFBDRN COC(=O)[C@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])[C@H]1C ZINC000432175110 284370231 /nfs/dbraw/zinc/37/02/31/284370231.db2.gz VUDOUYIHJWMWJW-IUCAKERBSA-N 0 0 298.726 2.636 20 5 CFBDRN CC[C@H]1CCCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000045624403 373023474 /nfs/dbraw/zinc/02/34/74/373023474.db2.gz STHDVVJBYXPRTP-LBPRGKRZSA-N 0 0 291.351 2.926 20 5 CFBDRN Cc1cc(CN(C)Cc2ccccc2[N+](=O)[O-])on1 ZINC000046863178 373064673 /nfs/dbraw/zinc/06/46/73/373064673.db2.gz KLKFBXMWFSDHLZ-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN O=C(Cc1ccsc1)NCc1ccccc1[N+](=O)[O-] ZINC000046147982 373045313 /nfs/dbraw/zinc/04/53/13/373045313.db2.gz DJPIMOKKAKMCMG-UHFFFAOYSA-N 0 0 276.317 2.515 20 5 CFBDRN CC(C)CCNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000047608475 373108139 /nfs/dbraw/zinc/10/81/39/373108139.db2.gz VXLCKYFJZLVUSL-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(N(Cc2ccccn2)C2CC2)nc1 ZINC000047832678 373120202 /nfs/dbraw/zinc/12/02/02/373120202.db2.gz DCFAUOUHZBDHKU-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2cccc(Cl)c2)c1[N+](=O)[O-] ZINC000048545144 373196072 /nfs/dbraw/zinc/19/60/72/373196072.db2.gz ILEFAGZWWWPINM-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN O=C(CNc1ccc([N+](=O)[O-])cc1Cl)N1CCCCC1 ZINC000048559607 373198499 /nfs/dbraw/zinc/19/84/99/373198499.db2.gz LBNJHRZMLDJJHS-UHFFFAOYSA-N 0 0 297.742 2.673 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1noc(C2CC2)n1 ZINC000048989344 373245770 /nfs/dbraw/zinc/24/57/70/373245770.db2.gz NZNZJMSJUWULKI-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2cccnc2)cc1[N+](=O)[O-] ZINC000049944725 373333203 /nfs/dbraw/zinc/33/32/03/373333203.db2.gz YGWAFXAETJTHLJ-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CN(CC(F)(F)F)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037630 373342668 /nfs/dbraw/zinc/34/26/68/373342668.db2.gz JSAUMFFIOIGCKY-UHFFFAOYSA-N 0 0 298.167 2.507 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CCc2ccccc2C1 ZINC000051632541 373402922 /nfs/dbraw/zinc/40/29/22/373402922.db2.gz BIIREMHLYGCEIA-CYBMUJFWSA-N 0 0 269.304 2.959 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)Cc2ccncc2)ccc1[N+](=O)[O-] ZINC000487844642 245785452 /nfs/dbraw/zinc/78/54/52/245785452.db2.gz WHMOBJBAAPNYLP-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN COC(=O)CCCCCNc1ccccc1[N+](=O)[O-] ZINC000051448988 373397014 /nfs/dbraw/zinc/39/70/14/373397014.db2.gz SJDXNLKDTZTUBN-UHFFFAOYSA-N 0 0 266.297 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCc3ccccc3C2)cn1 ZINC000051449018 373398397 /nfs/dbraw/zinc/39/83/97/373398397.db2.gz SXAXYAMOHJTWJM-CYBMUJFWSA-N 0 0 269.304 2.959 20 5 CFBDRN CNC(=O)c1ccc(NC2CCC(C)CC2)c([N+](=O)[O-])c1 ZINC000053549147 373481777 /nfs/dbraw/zinc/48/17/77/373481777.db2.gz ONSJVPHAFUKFSE-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN O=C(NCCc1cccs1)c1ccc([N+](=O)[O-])cc1F ZINC000054056678 373495192 /nfs/dbraw/zinc/49/51/92/373495192.db2.gz FYQLZLBTQZDJSM-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN CC(C)CCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054125901 373500735 /nfs/dbraw/zinc/50/07/35/373500735.db2.gz KZEXNSQRCOBMHO-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN CCc1ccc(OCc2cn(C(C)C)nn2)c([N+](=O)[O-])c1 ZINC000488243691 245826752 /nfs/dbraw/zinc/82/67/52/245826752.db2.gz NPQQQMIYNLLKRI-UHFFFAOYSA-N 0 0 290.323 2.909 20 5 CFBDRN COc1ccc(CNc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000054866855 373530866 /nfs/dbraw/zinc/53/08/66/373530866.db2.gz BXRSJMZRCDIARA-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000054982425 373532340 /nfs/dbraw/zinc/53/23/40/373532340.db2.gz NJZKFSWGOUQXAC-MWLCHTKSSA-N 0 0 266.345 2.875 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000057152140 373580000 /nfs/dbraw/zinc/58/00/00/373580000.db2.gz RTMGNKFWWRNVDO-ZJUUUORDSA-N 0 0 279.340 2.801 20 5 CFBDRN C[C@@H](NC(=O)COC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000055467601 373553427 /nfs/dbraw/zinc/55/34/27/373553427.db2.gz QFGCQDDPGOUWOP-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN O=C(NCCC1CCCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000056459820 373564675 /nfs/dbraw/zinc/56/46/75/373564675.db2.gz UUTBUHYZUYSKRT-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN CCN(CC)C(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000057787438 373611821 /nfs/dbraw/zinc/61/18/21/373611821.db2.gz UYEYATAUVADMSS-UHFFFAOYSA-N 0 0 268.338 2.799 20 5 CFBDRN O=C(CCc1ccco1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057836653 373614000 /nfs/dbraw/zinc/61/40/00/373614000.db2.gz BBVLQRDDVVKMHT-UHFFFAOYSA-N 0 0 286.287 2.710 20 5 CFBDRN O=C(Cc1cccs1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057835099 373614478 /nfs/dbraw/zinc/61/44/78/373614478.db2.gz UVNYMCRNOGNCKY-UHFFFAOYSA-N 0 0 288.328 2.788 20 5 CFBDRN Cc1nnc(SCCOc2cccc([N+](=O)[O-])c2)s1 ZINC000059123973 373679422 /nfs/dbraw/zinc/67/94/22/373679422.db2.gz GWVXFUYJAHEOLH-UHFFFAOYSA-N 0 0 297.361 2.926 20 5 CFBDRN CCN(CC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000059290916 373682135 /nfs/dbraw/zinc/68/21/35/373682135.db2.gz YKMQVNSCMAXOTM-UHFFFAOYSA-N 0 0 251.286 2.777 20 5 CFBDRN C[C@@H](C(=O)N1CCCc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000062508843 373761583 /nfs/dbraw/zinc/76/15/83/373761583.db2.gz KJYUCFBTJWARDX-SNVBAGLBSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1c(NC[C@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000062723819 373765869 /nfs/dbraw/zinc/76/58/69/373765869.db2.gz ZIKCTCXBWBNWHM-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1c(C[NH+]2CCC(C)(C(=O)[O-])CC2)cccc1[N+](=O)[O-] ZINC000062844093 373767077 /nfs/dbraw/zinc/76/70/77/373767077.db2.gz VSORQRAHMQVNPG-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN CCCCN(C(=O)CCn1cc([N+](=O)[O-])cn1)[C@H](C)CC ZINC000063576665 373809426 /nfs/dbraw/zinc/80/94/26/373809426.db2.gz SZBPGFOAPJLJMP-GFCCVEGCSA-N 0 0 296.371 2.609 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000065759111 373900010 /nfs/dbraw/zinc/90/00/10/373900010.db2.gz QOXUZYPUIAJKNC-UHFFFAOYSA-N 0 0 276.214 2.619 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCc1nccs1 ZINC000065230988 373851031 /nfs/dbraw/zinc/85/10/31/373851031.db2.gz NENKEMWCHWQREQ-UHFFFAOYSA-N 0 0 267.285 2.845 20 5 CFBDRN CC(C)(C)OCCOc1ccc([N+](=O)[O-])cc1F ZINC000065233692 373851079 /nfs/dbraw/zinc/85/10/79/373851079.db2.gz CLVHYXAIDMNFLV-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000067704177 373994731 /nfs/dbraw/zinc/99/47/31/373994731.db2.gz UFJBRCWIZUFSNX-VXGBXAGGSA-N 0 0 291.351 2.511 20 5 CFBDRN O=C([O-])[C@H]1CCCC[N@@H+]1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000093633485 304180172 /nfs/dbraw/zinc/18/01/72/304180172.db2.gz DNMGXZCCZZPDEM-GFCCVEGCSA-N 0 0 298.726 2.687 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000068782828 374038407 /nfs/dbraw/zinc/03/84/07/374038407.db2.gz OUKVCDPBZIJCBN-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN O=[N+]([O-])c1cccc(NCCOCC(F)(F)F)c1 ZINC000070167472 374133500 /nfs/dbraw/zinc/13/35/00/374133500.db2.gz KGMXVKFFMIWRIP-UHFFFAOYSA-N 0 0 264.203 2.586 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCOC1CCCC1 ZINC000070167484 374133582 /nfs/dbraw/zinc/13/35/82/374133582.db2.gz RZTYOPAWKQZBAU-UHFFFAOYSA-N 0 0 250.298 2.966 20 5 CFBDRN CN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1nccs1 ZINC000490699032 246018716 /nfs/dbraw/zinc/01/87/16/246018716.db2.gz BYGSFAOSYKNHAS-DAXSKMNVSA-N 0 0 289.316 2.728 20 5 CFBDRN CN(C(=O)Cc1cccc([N+](=O)[O-])c1)C1(C)CCCC1 ZINC000490703819 246021418 /nfs/dbraw/zinc/02/14/18/246021418.db2.gz JJOQKOKHHMVDQO-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN CN(C(=O)/C=C/c1ccccc1[N+](=O)[O-])c1nccs1 ZINC000490704099 246021540 /nfs/dbraw/zinc/02/15/40/246021540.db2.gz VCTSCTMFVDSEDV-VOTSOKGWSA-N 0 0 289.316 2.728 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000070197255 374145671 /nfs/dbraw/zinc/14/56/71/374145671.db2.gz WYFIMOJYPKVXCO-JTQLQIEISA-N 0 0 268.700 2.777 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCCCCC1 ZINC000070262942 374158500 /nfs/dbraw/zinc/15/85/00/374158500.db2.gz TVJPXKOGDFEDNJ-UHFFFAOYSA-N 0 0 266.272 2.750 20 5 CFBDRN O=C(CCc1cscn1)Nc1cccc([N+](=O)[O-])c1 ZINC000070775241 374202819 /nfs/dbraw/zinc/20/28/19/374202819.db2.gz XROROFWRCUOVSC-UHFFFAOYSA-N 0 0 277.305 2.623 20 5 CFBDRN CCCN(CC)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000490949161 246033572 /nfs/dbraw/zinc/03/35/72/246033572.db2.gz PEYAYUTXBYWMBP-CMDGGOBGSA-N 0 0 262.309 2.867 20 5 CFBDRN O=C(/C=C\c1ccsc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000491116291 246042530 /nfs/dbraw/zinc/04/25/30/246042530.db2.gz CUPWDJMNTQMCBZ-UTCJRWHESA-N 0 0 288.328 2.986 20 5 CFBDRN Cc1ccc(CNC(=O)/C=C\c2cccc([N+](=O)[O-])c2)cn1 ZINC000491121609 246043493 /nfs/dbraw/zinc/04/34/93/246043493.db2.gz UUXMWRCGXBFNIC-FPLPWBNLSA-N 0 0 297.314 2.628 20 5 CFBDRN CN(Cc1ccco1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000490820129 246026502 /nfs/dbraw/zinc/02/65/02/246026502.db2.gz FOYXIHUSARWSDW-TWGQIWQCSA-N 0 0 286.287 2.860 20 5 CFBDRN CCCCNC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491318741 246060018 /nfs/dbraw/zinc/06/00/18/246060018.db2.gz GOKSBWHZSMZTNL-ALCCZGGFSA-N 0 0 266.272 2.663 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)CC[C@@H]2C)c1 ZINC000072543183 374261956 /nfs/dbraw/zinc/26/19/56/374261956.db2.gz DJCDDSHRNNOYIL-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccncc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491368860 246064160 /nfs/dbraw/zinc/06/41/60/246064160.db2.gz SLPVLCOAXBXXHK-DAXSKMNVSA-N 0 0 299.286 2.650 20 5 CFBDRN CC(C)(C)CNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000491198436 246048809 /nfs/dbraw/zinc/04/88/09/246048809.db2.gz CVDQWWIGKJPLBI-CMDGGOBGSA-N 0 0 262.309 2.770 20 5 CFBDRN CN(Cc1ccoc1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000491236758 246051776 /nfs/dbraw/zinc/05/17/76/246051776.db2.gz LYAHPRSMLVUGTJ-QPJJXVBHSA-N 0 0 286.287 2.860 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)Nc1ccc(F)cn1 ZINC000491448540 246071235 /nfs/dbraw/zinc/07/12/35/246071235.db2.gz JNGNUHSJQDJUIV-QPJJXVBHSA-N 0 0 287.250 2.781 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCOC[C@H]1C ZINC000277777436 193756577 /nfs/dbraw/zinc/75/65/77/193756577.db2.gz WMNCTGFFZCAUFN-GHMZBOCLSA-N 0 0 250.298 2.740 20 5 CFBDRN CO[C@@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])C(C)(C)C ZINC000073209575 374331573 /nfs/dbraw/zinc/33/15/73/374331573.db2.gz GNGHFTDCCGDIDU-LBPRGKRZSA-N 0 0 298.314 2.525 20 5 CFBDRN C/C(=C/c1ccccc1)CN(C)c1c([N+](=O)[O-])ncn1C ZINC000491817354 246090418 /nfs/dbraw/zinc/09/04/18/246090418.db2.gz ONCMHOZUJKNVTL-XFXZXTDPSA-N 0 0 286.335 2.868 20 5 CFBDRN CN(CCCO)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000289485497 197371152 /nfs/dbraw/zinc/37/11/52/197371152.db2.gz YKDDCAHEQFMUCJ-UHFFFAOYSA-N 0 0 293.150 2.716 20 5 CFBDRN C[C@@H](C1CC1)N(C(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000073711671 374373313 /nfs/dbraw/zinc/37/33/13/374373313.db2.gz GRZYKOYVSPMDGJ-JTQLQIEISA-N 0 0 274.320 2.998 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000491978625 246144828 /nfs/dbraw/zinc/14/48/28/246144828.db2.gz QTBBJRXJVZNNBX-VOTSOKGWSA-N 0 0 297.314 2.521 20 5 CFBDRN O=C(/C=C\C1CCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000492093991 246184647 /nfs/dbraw/zinc/18/46/47/246184647.db2.gz XOQWJJFNTMDRJO-TWGQIWQCSA-N 0 0 260.293 2.567 20 5 CFBDRN CC1CC(NC(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000492059831 246172101 /nfs/dbraw/zinc/17/21/01/246172101.db2.gz USABOYYDMYNLEI-HYXAFXHYSA-N 0 0 278.283 2.662 20 5 CFBDRN C[C@@H]1CCCN1C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492064928 246173680 /nfs/dbraw/zinc/17/36/80/246173680.db2.gz GNDWALHFVSDTEF-ONRRBMGISA-N 0 0 278.283 2.758 20 5 CFBDRN COc1ccc(NC(=O)C=C(C)C)cc1[N+](=O)[O-] ZINC000075290224 374473479 /nfs/dbraw/zinc/47/34/79/374473479.db2.gz PUNMERLRKPICNA-UHFFFAOYSA-N 0 0 250.254 2.508 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000075279253 374473840 /nfs/dbraw/zinc/47/38/40/374473840.db2.gz JSDKSMGULWWRSL-MNOVXSKESA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1cc(NC(=O)C=Cc2cccc([N+](=O)[O-])c2)c(C)cn1 ZINC000492256545 246233947 /nfs/dbraw/zinc/23/39/47/246233947.db2.gz BRYAOQXIUUSFSF-SREVYHEPSA-N 0 0 297.314 2.680 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492345234 246264274 /nfs/dbraw/zinc/26/42/74/246264274.db2.gz QYHRLHCHTQJZLC-PODYEWJSSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccncc1/C=C\C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000492415722 246286545 /nfs/dbraw/zinc/28/65/45/246286545.db2.gz LLUCOWYPGMPGFY-UQCOIBPSSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1ccc(/C=C\C(=O)Nc2ccc([N+](=O)[O-])cc2)cn1 ZINC000492523450 246317989 /nfs/dbraw/zinc/31/79/89/246317989.db2.gz WUXWOJLSHCJPQM-WTKPLQERSA-N 0 0 283.287 2.950 20 5 CFBDRN O=C(/C=C\c1cccnc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000492702375 246364030 /nfs/dbraw/zinc/36/40/30/246364030.db2.gz GTXKSJPTIFKBIH-ARJAWSKDSA-N 0 0 287.250 2.781 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)Nc1cncc(F)c1 ZINC000492622380 246345799 /nfs/dbraw/zinc/34/57/99/246345799.db2.gz XDOVQKLSANTGGB-PLNGDYQASA-N 0 0 287.250 2.781 20 5 CFBDRN C[C@@H](SCCCOc1ccc([N+](=O)[O-])cc1)[C@H](C)O ZINC000079041384 374586304 /nfs/dbraw/zinc/58/63/04/374586304.db2.gz WNPQZWVIXXBWHZ-WDEREUQCSA-N 0 0 285.365 2.866 20 5 CFBDRN O=C(/C=C/c1cscn1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000492698742 246363173 /nfs/dbraw/zinc/36/31/73/246363173.db2.gz AZDNHCJEHWUZLW-OWOJBTEDSA-N 0 0 293.279 2.842 20 5 CFBDRN CC1CC(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000080039184 374639736 /nfs/dbraw/zinc/63/97/36/374639736.db2.gz UGGRMCCZYJTHFU-UHFFFAOYSA-N 0 0 268.700 2.777 20 5 CFBDRN CC[C@@](C)(CO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000080882456 374675794 /nfs/dbraw/zinc/67/57/94/374675794.db2.gz IMXAUAXBXYBUBJ-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1cc(Nc2cnn(C(C)C)c2)ncc1[N+](=O)[O-] ZINC000081275294 374690718 /nfs/dbraw/zinc/69/07/18/374690718.db2.gz IFBDEPVBDUDIRW-UHFFFAOYSA-N 0 0 261.285 2.819 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000081350839 374694214 /nfs/dbraw/zinc/69/42/14/374694214.db2.gz SJYFWNNHVHHVAK-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@@](C)(O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000083421444 374744986 /nfs/dbraw/zinc/74/49/86/374744986.db2.gz DBJTYDOGQXVYRE-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN CCc1ccc(C(=O)NCCc2ccccn2)cc1[N+](=O)[O-] ZINC000081828169 374718328 /nfs/dbraw/zinc/71/83/28/374718328.db2.gz SKWRLTNYLSGGCW-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000083402679 374742717 /nfs/dbraw/zinc/74/27/17/374742717.db2.gz ORAZNLAEJOQUKB-UHFFFAOYSA-N 0 0 268.288 2.995 20 5 CFBDRN C[C@@H](CO)CSc1ccc(Cl)cc1[N+](=O)[O-] ZINC000085137829 374795980 /nfs/dbraw/zinc/79/59/80/374795980.db2.gz AYJMSTRXXWRTHH-ZETCQYMHSA-N 0 0 261.730 2.969 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]2CCC[C@@H]21 ZINC000087784466 374831475 /nfs/dbraw/zinc/83/14/75/374831475.db2.gz KWOVIAJMKYROBG-HZMBPMFUSA-N 0 0 289.335 2.582 20 5 CFBDRN C[C@H](CCCC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000493366116 246568995 /nfs/dbraw/zinc/56/89/95/246568995.db2.gz RRQJFQKMAPRBDA-SSDOTTSWSA-N 0 0 293.245 2.774 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)/C=C\c1ccn(C)c1 ZINC000493375752 246571254 /nfs/dbraw/zinc/57/12/54/246571254.db2.gz ZOBBQSUCAOXZDO-FPLPWBNLSA-N 0 0 299.330 2.605 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCC[C@H]2O)ccc2ncccc21 ZINC000089167741 374882463 /nfs/dbraw/zinc/88/24/63/374882463.db2.gz PYFONMKBUYWPIK-IINYFYTJSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCC[C@@H]2O)ccc2ncccc21 ZINC000089167740 374882476 /nfs/dbraw/zinc/88/24/76/374882476.db2.gz PYFONMKBUYWPIK-YGRLFVJLSA-N 0 0 287.319 2.716 20 5 CFBDRN O=C(CC1CCCC1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089204878 374888405 /nfs/dbraw/zinc/88/84/05/374888405.db2.gz OPKMVBYIRDBTSI-UHFFFAOYSA-N 0 0 288.307 2.990 20 5 CFBDRN CC[C@@H](NC(=O)/C=C/c1ccccc1[N+](=O)[O-])C(F)F ZINC000493481744 246599945 /nfs/dbraw/zinc/59/99/45/246599945.db2.gz GLXJAJLUEGFIHB-QROSGCPLSA-N 0 0 284.262 2.768 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C1CCCCC1 ZINC000089835907 374959710 /nfs/dbraw/zinc/95/97/10/374959710.db2.gz AJYFAQQZKBPZNB-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CS[C@@H](C)CC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089420723 374903050 /nfs/dbraw/zinc/90/30/50/374903050.db2.gz XXNVUOZMFRXOIS-ZETCQYMHSA-N 0 0 294.336 2.551 20 5 CFBDRN CCC[C@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CCO1 ZINC000089469314 374912063 /nfs/dbraw/zinc/91/20/63/374912063.db2.gz QVLMAQYYAJWPQS-AWEZNQCLSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCSCC2)cs1 ZINC000089982783 374981968 /nfs/dbraw/zinc/98/19/68/374981968.db2.gz MKUUMFHCQZVFMZ-UHFFFAOYSA-N 0 0 258.368 2.595 20 5 CFBDRN CCCc1cc(NC(=O)c2ccccc2[N+](=O)[O-])n(C)n1 ZINC000090154253 374994966 /nfs/dbraw/zinc/99/49/66/374994966.db2.gz BFBKTDQENFXNLT-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN COc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000090340520 375010602 /nfs/dbraw/zinc/01/06/02/375010602.db2.gz CRDUJXBOSHHJJH-VIFPVBQESA-N 0 0 274.280 2.567 20 5 CFBDRN CCC(O)(CC)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000090794627 375028467 /nfs/dbraw/zinc/02/84/67/375028467.db2.gz BACAYFMFGCQLOS-UHFFFAOYSA-N 0 0 268.313 2.566 20 5 CFBDRN Cc1nc(CNCc2ccccc2[N+](=O)[O-])sc1C ZINC000091437482 375051207 /nfs/dbraw/zinc/05/12/07/375051207.db2.gz FMFFAMMVCKSWIT-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000092657541 375135097 /nfs/dbraw/zinc/13/50/97/375135097.db2.gz UQESPRLYMWPTPU-WDEREUQCSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1c(CNc2ccc([N+](=O)[O-])cc2Cl)cnn1C ZINC000093649553 375286913 /nfs/dbraw/zinc/28/69/13/375286913.db2.gz XYRPQRYDWNWLPP-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CCOCCCNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000093901409 375329316 /nfs/dbraw/zinc/32/93/16/375329316.db2.gz MQTQWJZGTHUYCM-UHFFFAOYSA-N 0 0 294.351 2.676 20 5 CFBDRN CC[C@H](CCO)CNc1cccc(F)c1[N+](=O)[O-] ZINC000094957426 375397826 /nfs/dbraw/zinc/39/78/26/375397826.db2.gz RKODDOIEIHLIDU-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN CC[C@H](CCO)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000094957488 375398141 /nfs/dbraw/zinc/39/81/41/375398141.db2.gz QJURKIYHQVOZCN-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCn2ccnc2)c1 ZINC000094659375 375373544 /nfs/dbraw/zinc/37/35/44/375373544.db2.gz VLVLVQAYPZXYBR-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCCSCC2)cc1F ZINC000094774465 375377670 /nfs/dbraw/zinc/37/76/70/375377670.db2.gz XPZBQKQJWJZCBY-UHFFFAOYSA-N 0 0 270.329 2.673 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)OCCCF ZINC000495993487 246939896 /nfs/dbraw/zinc/93/98/96/246939896.db2.gz QIZHOYJENRBGSK-UHFFFAOYSA-N 0 0 290.678 2.834 20 5 CFBDRN C[C@@H](NC(=O)OCCCF)c1cccc([N+](=O)[O-])c1 ZINC000497119809 247048226 /nfs/dbraw/zinc/04/82/26/247048226.db2.gz MPIPACHQINVMHM-SECBINFHSA-N 0 0 270.260 2.742 20 5 CFBDRN C[C@H](NC(=O)OCCCF)c1ccccc1[N+](=O)[O-] ZINC000496893584 247025845 /nfs/dbraw/zinc/02/58/45/247025845.db2.gz UGMCWXNILDAVTM-VIFPVBQESA-N 0 0 270.260 2.742 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2C)ccn1 ZINC000101631087 375647961 /nfs/dbraw/zinc/64/79/61/375647961.db2.gz UTTDHEBEYVJDRN-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COc1cccc(Cl)c1Cn1cnc([N+](=O)[O-])c1 ZINC000505688887 247148677 /nfs/dbraw/zinc/14/86/77/247148677.db2.gz CRRFDKHBFAAPBR-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN CSCc1nc2cc3ccccc3cc2c(=O)[nH]1 ZINC000105653981 375791228 /nfs/dbraw/zinc/79/12/28/375791228.db2.gz ZBTJMCOTKGBFBF-UHFFFAOYSA-N 0 0 256.330 2.939 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000112179544 375886389 /nfs/dbraw/zinc/88/63/89/375886389.db2.gz OATIVCDTTOCQGZ-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000112334477 375905530 /nfs/dbraw/zinc/90/55/30/375905530.db2.gz YXAVJCSOMHZRHC-QMTHXVAHSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)[C@H](C)C1 ZINC000112836149 375968181 /nfs/dbraw/zinc/96/81/81/375968181.db2.gz ZMPRAHNWZOMYIC-OPRDCNLKSA-N 0 0 266.297 2.742 20 5 CFBDRN CCC(C)(CC)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000112828224 375968443 /nfs/dbraw/zinc/96/84/43/375968443.db2.gz UWSWIBYDMRAYLL-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000112828163 375968782 /nfs/dbraw/zinc/96/87/82/375968782.db2.gz BITFCJHTJWBNLW-MWLCHTKSSA-N 0 0 277.324 2.555 20 5 CFBDRN CN(C)[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000112851321 375970981 /nfs/dbraw/zinc/97/09/81/375970981.db2.gz WHUIXADNADZWQK-OAHLLOKOSA-N 0 0 299.330 2.836 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC1CCC(C)(C)CC1 ZINC000112861259 375971694 /nfs/dbraw/zinc/97/16/94/375971694.db2.gz VEKBLUKCRNENDS-UHFFFAOYSA-N 0 0 252.318 2.709 20 5 CFBDRN CC1(C)C[C@@H](O)CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000451801373 383711065 /nfs/dbraw/zinc/71/10/65/383711065.db2.gz LAJFPZZIYXGURM-NSHDSACASA-N 0 0 296.342 2.717 20 5 CFBDRN COc1cc(C(=O)OCc2ccccc2)ccc1[N+](=O)[O-] ZINC000113466083 376018045 /nfs/dbraw/zinc/01/80/45/376018045.db2.gz CMWKZXUFLQEDRU-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN Cc1ccnc(N2Cc3ccccc3C2)c1[N+](=O)[O-] ZINC000115517946 376134290 /nfs/dbraw/zinc/13/42/90/376134290.db2.gz ICMSZGSRBQDLMN-UHFFFAOYSA-N 0 0 255.277 2.818 20 5 CFBDRN CC[C@@H]1COCCN1Cc1cccc([N+](=O)[O-])c1C ZINC000115573197 376141281 /nfs/dbraw/zinc/14/12/81/376141281.db2.gz VDBYIMIAVDEPLC-CYBMUJFWSA-N 0 0 264.325 2.514 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])s1)c1nccs1 ZINC000116140605 376165675 /nfs/dbraw/zinc/16/56/75/376165675.db2.gz SONIGHUXOQTILO-UHFFFAOYSA-N 0 0 297.361 2.778 20 5 CFBDRN CSc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)nc1 ZINC000116242447 376173585 /nfs/dbraw/zinc/17/35/85/376173585.db2.gz TUDDGOJVVVZTKQ-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN Cc1cc(C)c(OC(=O)CC2CCOCC2)c([N+](=O)[O-])c1 ZINC000117125054 376221238 /nfs/dbraw/zinc/22/12/38/376221238.db2.gz ZIQKBKQSEHLBTM-UHFFFAOYSA-N 0 0 293.319 2.934 20 5 CFBDRN CC(C)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000117198248 376228740 /nfs/dbraw/zinc/22/87/40/376228740.db2.gz JRGGZRIGPYQJCA-JTQLQIEISA-N 0 0 266.297 2.839 20 5 CFBDRN COCCCCCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000119088952 376352457 /nfs/dbraw/zinc/35/24/57/376352457.db2.gz PDUKBEYHSQMGSY-UHFFFAOYSA-N 0 0 281.308 2.993 20 5 CFBDRN C[C@@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@H]1C ZINC000118295315 376286515 /nfs/dbraw/zinc/28/65/15/376286515.db2.gz UXSJNNWWLRLACT-YUMQZZPRSA-N 0 0 286.378 2.622 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000118625251 376305820 /nfs/dbraw/zinc/30/58/20/376305820.db2.gz NKUDPQKKVHLKIR-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCOc1cc(NC(=O)C(C)C)c([N+](=O)[O-])cc1OCC ZINC000119108748 376356403 /nfs/dbraw/zinc/35/64/03/376356403.db2.gz ORPHQUITNAIRGK-UHFFFAOYSA-N 0 0 296.323 2.987 20 5 CFBDRN CC(C)C[C@@H]1CCC[N@H+](CCn2ccc([N+](=O)[O-])n2)C1 ZINC000119283746 376385310 /nfs/dbraw/zinc/38/53/10/376385310.db2.gz PTGXCLBWVJRKCR-ZDUSSCGKSA-N 0 0 280.372 2.549 20 5 CFBDRN CC(C)CCS(=O)(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000120290997 376585874 /nfs/dbraw/zinc/58/58/74/376585874.db2.gz HVLKLQQUUVLJBY-UHFFFAOYSA-N 0 0 271.338 2.556 20 5 CFBDRN Nc1nc(Oc2ccc(Cl)c(F)c2)ncc1[N+](=O)[O-] ZINC000120621831 376653945 /nfs/dbraw/zinc/65/39/45/376653945.db2.gz TUYTZFTTXZEYBH-UHFFFAOYSA-N 0 0 284.634 2.552 20 5 CFBDRN CCCCCNC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000121391163 376820907 /nfs/dbraw/zinc/82/09/07/376820907.db2.gz UEPQHZNVFGDRII-UHFFFAOYSA-N 0 0 289.335 2.925 20 5 CFBDRN CC(C)N(C(=O)Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000121792198 376904673 /nfs/dbraw/zinc/90/46/73/376904673.db2.gz YFYFKOKWDLNJOC-UHFFFAOYSA-N 0 0 276.336 2.927 20 5 CFBDRN C[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CCOC1 ZINC000121739876 376896453 /nfs/dbraw/zinc/89/64/53/376896453.db2.gz WDSXCVBHMWYYBZ-JGVFFNPUSA-N 0 0 272.251 2.710 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000121824702 376915283 /nfs/dbraw/zinc/91/52/83/376915283.db2.gz XKCSLSSWXTUUFV-FZMZJTMJSA-N 0 0 262.309 2.647 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000121836221 376917077 /nfs/dbraw/zinc/91/70/77/376917077.db2.gz CTYMTLIECGIUQA-QWRGUYRKSA-N 0 0 294.326 2.781 20 5 CFBDRN CC[C@@H](C)CSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000124072486 377015144 /nfs/dbraw/zinc/01/51/44/377015144.db2.gz IDYKYHRKGDEDMC-SECBINFHSA-N 0 0 282.365 2.973 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccncc1[N+](=O)[O-])c1ccccc1 ZINC000124690536 377077654 /nfs/dbraw/zinc/07/76/54/377077654.db2.gz LYUKBCYXWLXNCM-SNVBAGLBSA-N 0 0 286.291 2.873 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000124711299 377079551 /nfs/dbraw/zinc/07/95/51/377079551.db2.gz ZAUGVFNMQHTPSJ-YGRLFVJLSA-N 0 0 294.326 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCCO3)n2)s1 ZINC000278150648 193845345 /nfs/dbraw/zinc/84/53/45/193845345.db2.gz MCPJYCZUTZQFAA-SSDOTTSWSA-N 0 0 281.293 2.948 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2ccc([N+](=O)[O-])cc2F)O1 ZINC000128444221 377337305 /nfs/dbraw/zinc/33/73/05/377337305.db2.gz BDOIKZSQNNDLED-WPRPVWTQSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000128978212 377367912 /nfs/dbraw/zinc/36/79/12/377367912.db2.gz IHCAXMQRIKYHIB-JQWIXIFHSA-N 0 0 294.326 2.971 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000129134956 377390410 /nfs/dbraw/zinc/39/04/10/377390410.db2.gz HCEOYQLYSMDBCP-VIFPVBQESA-N 0 0 286.353 2.591 20 5 CFBDRN CC(C)CCCNC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000129578246 377447506 /nfs/dbraw/zinc/44/75/06/377447506.db2.gz VWKGYNLVOIWEED-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN CC[C@@H](C)OCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000130071584 377507714 /nfs/dbraw/zinc/50/77/14/377507714.db2.gz ZFHIYXUBADPWAI-SNVBAGLBSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC000129880467 377484369 /nfs/dbraw/zinc/48/43/69/377484369.db2.gz DTMNBZJIQOKVEV-ZDUSSCGKSA-N 0 0 274.320 2.671 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@@H]2CCCC[C@@H]21 ZINC000335442179 296263091 /nfs/dbraw/zinc/26/30/91/296263091.db2.gz ROYOKBAWTZPNDJ-AAEUAGOBSA-N 0 0 274.320 3.000 20 5 CFBDRN O=C(c1cccnc1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000040631049 296264306 /nfs/dbraw/zinc/26/43/06/296264306.db2.gz RLZUZKRFKFCKLP-UHFFFAOYSA-N 0 0 283.287 2.583 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccccc1O ZINC000130500561 377568520 /nfs/dbraw/zinc/56/85/20/377568520.db2.gz BREVWMUFPASNSB-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN CC[C@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000130583830 377580457 /nfs/dbraw/zinc/58/04/57/377580457.db2.gz IQYMNHGZWJCLCB-NSHDSACASA-N 0 0 294.326 2.925 20 5 CFBDRN CC(C)(C)OC(=O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000130640301 377587084 /nfs/dbraw/zinc/58/70/84/377587084.db2.gz BSJRABTXGKBGIQ-UHFFFAOYSA-N 0 0 287.699 2.969 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000131350669 377697411 /nfs/dbraw/zinc/69/74/11/377697411.db2.gz LDVUIABWTVPYTE-JTQLQIEISA-N 0 0 258.281 2.600 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)N1CCC(C)CC1 ZINC000131523474 377721882 /nfs/dbraw/zinc/72/18/82/377721882.db2.gz QYTJHBCLDLHIPD-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC2)cc1C(F)(F)F ZINC000131631101 377737943 /nfs/dbraw/zinc/73/79/43/377737943.db2.gz VBROWWRJXSFIGQ-QMMMGPOBSA-N 0 0 276.214 2.814 20 5 CFBDRN CC(C)n1nccc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000131412628 377706885 /nfs/dbraw/zinc/70/68/85/377706885.db2.gz GBMRCBZSFMRRFG-UHFFFAOYSA-N 0 0 274.280 2.625 20 5 CFBDRN Cc1nnc(CSCc2ccc([N+](=O)[O-])cc2)o1 ZINC000131403195 377707369 /nfs/dbraw/zinc/70/73/69/377707369.db2.gz DDZGFABXVYOVMY-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN Cc1nn(C)c(Cl)c1CNCc1ccccc1[N+](=O)[O-] ZINC000131913877 377777673 /nfs/dbraw/zinc/77/76/73/377777673.db2.gz CBOSCFFEEMZLBF-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccncc2C)cc([N+](=O)[O-])c1 ZINC000131654867 377741684 /nfs/dbraw/zinc/74/16/84/377741684.db2.gz ZXAABTOBBBTVHR-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCC(C)CC2)cc1[N+](=O)[O-] ZINC000131765714 377757739 /nfs/dbraw/zinc/75/77/39/377757739.db2.gz WVTUEYAOBKFMBN-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000131836151 377765005 /nfs/dbraw/zinc/76/50/05/377765005.db2.gz KHOJPPPUVZVTKP-MRVPVSSYSA-N 0 0 254.311 2.528 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000132348367 377829305 /nfs/dbraw/zinc/82/93/05/377829305.db2.gz QQBNPDSMQBRTHE-SECBINFHSA-N 0 0 298.314 2.667 20 5 CFBDRN CSC[C@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132366318 377832486 /nfs/dbraw/zinc/83/24/86/377832486.db2.gz FZTNLZHJOMWBOC-ZETCQYMHSA-N 0 0 273.285 2.638 20 5 CFBDRN Cc1ccnc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)c1 ZINC000131970307 377786580 /nfs/dbraw/zinc/78/65/80/377786580.db2.gz ZIGQIIFAEQYUGT-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC1(CCO)CCC1 ZINC000132700779 377869138 /nfs/dbraw/zinc/86/91/38/377869138.db2.gz SLLCIFHQWGVRQX-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN Cc1nn(CCc2ccc3c(c2)CCO3)c(C)c1[N+](=O)[O-] ZINC000132937108 377889557 /nfs/dbraw/zinc/88/95/57/377889557.db2.gz JLVOXMWFMOYGDC-UHFFFAOYSA-N 0 0 287.319 2.586 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C(C)(C)F ZINC000132967078 377890953 /nfs/dbraw/zinc/89/09/53/377890953.db2.gz IEBJOVSORBACNO-UHFFFAOYSA-N 0 0 288.706 2.955 20 5 CFBDRN CCCCCOCC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132504614 377847773 /nfs/dbraw/zinc/84/77/73/377847773.db2.gz RFIJNOKIJRLBNR-UHFFFAOYSA-N 0 0 285.271 2.846 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2ccncc2)c1 ZINC000133760741 377955285 /nfs/dbraw/zinc/95/52/85/377955285.db2.gz BZBHHGRHVJDWBF-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CC[C@@H](O)COc1c(Br)cccc1[N+](=O)[O-] ZINC000133618827 377944576 /nfs/dbraw/zinc/94/45/76/377944576.db2.gz QBGRHKLCAXGWAA-SSDOTTSWSA-N 0 0 290.113 2.507 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCOC2CCCC2)c1 ZINC000133783182 377956862 /nfs/dbraw/zinc/95/68/62/377956862.db2.gz NRUBFLKZKBCOEI-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN COc1csc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000133638016 377946214 /nfs/dbraw/zinc/94/62/14/377946214.db2.gz VYIPMZYSCRZWRK-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCC(=O)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000134368513 378006510 /nfs/dbraw/zinc/00/65/10/378006510.db2.gz JDTSIAVKGXYZJA-JOYOIKCWSA-N 0 0 290.319 2.847 20 5 CFBDRN CC[C@@H](CCO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000134438210 378015119 /nfs/dbraw/zinc/01/51/19/378015119.db2.gz KGJHDSTXRNENCI-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@H](CCO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000134439997 378015394 /nfs/dbraw/zinc/01/53/94/378015394.db2.gz FVDHHUNGSDYPOY-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1cc(C(=O)N(C)C[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000134042836 377977826 /nfs/dbraw/zinc/97/78/26/377977826.db2.gz PQAJYIUJIHWLDM-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])c(C)s1)[C@H]1CCCO1 ZINC000134091583 377981878 /nfs/dbraw/zinc/98/18/78/377981878.db2.gz QJLREXXZVBKZTQ-GXSJLCMTSA-N 0 0 298.364 2.652 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432133347 383724431 /nfs/dbraw/zinc/72/44/31/383724431.db2.gz LOEPKHRVJQNAQO-ZJUUUORDSA-N 0 0 275.308 2.978 20 5 CFBDRN O=[N+]([O-])c1c(NCC2CCSCC2)nc2sccn21 ZINC000134596990 378036738 /nfs/dbraw/zinc/03/67/38/378036738.db2.gz YLFOQPBXQBLPJS-UHFFFAOYSA-N 0 0 298.393 2.859 20 5 CFBDRN CSC[C@H](C)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000134831882 378053837 /nfs/dbraw/zinc/05/38/37/378053837.db2.gz GDFYEECININQBX-ZETCQYMHSA-N 0 0 271.298 2.666 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000134907704 378062700 /nfs/dbraw/zinc/06/27/00/378062700.db2.gz MPAIHTYCTFLVAR-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN CCOc1cccc(NC[C@](C)(O)CC)c1[N+](=O)[O-] ZINC000134923923 378065256 /nfs/dbraw/zinc/06/52/56/378065256.db2.gz JLKDZNFNHKFGSV-CYBMUJFWSA-N 0 0 268.313 2.566 20 5 CFBDRN CCN(CCOC)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432146745 383725298 /nfs/dbraw/zinc/72/52/98/383725298.db2.gz CVAGKLINGWCPMS-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN COC1(C)CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC1 ZINC000361076597 284687603 /nfs/dbraw/zinc/68/76/03/284687603.db2.gz YVVRBPKNMJCTNW-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN COC1(C)CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 ZINC000271670583 284687646 /nfs/dbraw/zinc/68/76/46/284687646.db2.gz HZHRKUCVWPLXRU-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2CCCC2)c1 ZINC000136292077 378192879 /nfs/dbraw/zinc/19/28/79/378192879.db2.gz JHGOFQRHIUZZLV-UHFFFAOYSA-N 0 0 264.281 2.736 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCS1 ZINC000137152418 378219357 /nfs/dbraw/zinc/21/93/57/378219357.db2.gz PYWKKTMUODGMLA-SECBINFHSA-N 0 0 270.329 2.671 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC1CCCC1 ZINC000137230774 378221075 /nfs/dbraw/zinc/22/10/75/378221075.db2.gz CPSRPNOBOKIETM-UHFFFAOYSA-N 0 0 279.296 2.668 20 5 CFBDRN CC(C)CONC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000138208021 378231324 /nfs/dbraw/zinc/23/13/24/378231324.db2.gz NIIPICIEENLHRF-JTQLQIEISA-N 0 0 281.312 2.543 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000432198343 383728459 /nfs/dbraw/zinc/72/84/59/383728459.db2.gz MUXFVGRMAZOKGS-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN CCC1(CC)[C@H](OC)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000151841775 378363779 /nfs/dbraw/zinc/36/37/79/378363779.db2.gz XVECYOBAUCANDT-WDEREUQCSA-N 0 0 296.323 2.511 20 5 CFBDRN O=C(c1cccnc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152257991 378405323 /nfs/dbraw/zinc/40/53/23/378405323.db2.gz UHANFQNTUVTGCH-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN CSCCN(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000152327604 378408736 /nfs/dbraw/zinc/40/87/36/378408736.db2.gz RJKXMMUKOBIZDO-UHFFFAOYSA-N 0 0 258.318 2.529 20 5 CFBDRN CC[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000153749582 378509230 /nfs/dbraw/zinc/50/92/30/378509230.db2.gz WNMLSJASXLEPRJ-WPRPVWTQSA-N 0 0 285.731 2.647 20 5 CFBDRN C[C@@H]1C[C@@H](C)C[NH+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000330558833 378583910 /nfs/dbraw/zinc/58/39/10/378583910.db2.gz AJROTDPBQFMUNI-GHMZBOCLSA-N 0 0 264.325 2.778 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCn2cc([N+](=O)[O-])ccc2=O)C1 ZINC000330440195 378556595 /nfs/dbraw/zinc/55/65/95/378556595.db2.gz PNPFEFHDAUQEOD-NEPJUHHUSA-N 0 0 264.325 2.973 20 5 CFBDRN CSC[C@@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000330444188 378557976 /nfs/dbraw/zinc/55/79/76/378557976.db2.gz GJOBZKCBSGQWBM-LLVKDONJSA-N 0 0 296.392 2.875 20 5 CFBDRN CCC1CCC(N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000330451713 378559441 /nfs/dbraw/zinc/55/94/41/378559441.db2.gz AAIDCSBGYUVEBG-UHFFFAOYSA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1c(CNC(=O)C2CCCC2)cccc1[N+](=O)[O-] ZINC000331098487 378633063 /nfs/dbraw/zinc/63/30/63/378633063.db2.gz BXAOFHWAEBOTIK-UHFFFAOYSA-N 0 0 262.309 2.710 20 5 CFBDRN Cc1ccc2c(c1)[C@H](NC(=O)c1ccccc1[N+](=O)[O-])CO2 ZINC000156089703 378635856 /nfs/dbraw/zinc/63/58/56/378635856.db2.gz UJRJKZLXSRNGSN-CYBMUJFWSA-N 0 0 298.298 2.767 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000331119254 378636180 /nfs/dbraw/zinc/63/61/80/378636180.db2.gz OTJVLGMMTJSCEI-NWDGAFQWSA-N 0 0 292.335 2.507 20 5 CFBDRN CC(C)OC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000330600926 378590086 /nfs/dbraw/zinc/59/00/86/378590086.db2.gz DDYOZDYESLVXOL-UHFFFAOYSA-N 0 0 292.335 2.763 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000330934648 378597645 /nfs/dbraw/zinc/59/76/45/378597645.db2.gz JSBRIFAVZFROLR-ONGXEEELSA-N 0 0 277.324 2.559 20 5 CFBDRN CC(C)(O)CCNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000278413882 193972066 /nfs/dbraw/zinc/97/20/66/193972066.db2.gz OAOANPXHSJOGKH-UHFFFAOYSA-N 0 0 293.245 2.582 20 5 CFBDRN C[C@H](NC(=O)C1CCC(=O)CC1)c1cccc([N+](=O)[O-])c1 ZINC000331012553 378614743 /nfs/dbraw/zinc/61/47/43/378614743.db2.gz YDCKVHRRPUQGLU-JTQLQIEISA-N 0 0 290.319 2.531 20 5 CFBDRN CCOc1cc(NC[C@@H](OC)C2CC2)ccc1[N+](=O)[O-] ZINC000338778351 220254603 /nfs/dbraw/zinc/25/46/03/220254603.db2.gz PRZYYTBTAYBLSQ-CQSZACIVSA-N 0 0 280.324 2.830 20 5 CFBDRN COCCC1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCC1 ZINC000159227120 378693619 /nfs/dbraw/zinc/69/36/19/378693619.db2.gz PBWRQWFVHLWSBG-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN COc1cc(NCc2nccs2)c([N+](=O)[O-])cc1OC ZINC000159221224 378693710 /nfs/dbraw/zinc/69/37/10/378693710.db2.gz RIPJNMLHYNAPLQ-UHFFFAOYSA-N 0 0 295.320 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H]2C2CC2)c2ccncc21 ZINC000159657421 378722934 /nfs/dbraw/zinc/72/29/34/378722934.db2.gz PLLIFDGDTHOLNR-INIZCTEOSA-N 0 0 299.330 2.758 20 5 CFBDRN O=C(NCCC1=CCCC1)c1ccccc1[N+](=O)[O-] ZINC000160208418 378756443 /nfs/dbraw/zinc/75/64/43/378756443.db2.gz SXRHLQBLNIFCRY-UHFFFAOYSA-N 0 0 260.293 2.825 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCO[C@@H](C2CC2)C1 ZINC000160366611 378767219 /nfs/dbraw/zinc/76/72/19/378767219.db2.gz MJNDITPUCFXXST-WCQYABFASA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCc2cncnc2C1 ZINC000332536905 378772352 /nfs/dbraw/zinc/77/23/52/378772352.db2.gz IWVQBAOYEKJSFG-GFCCVEGCSA-N 0 0 298.346 2.813 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@@H]2CC(C)(C)C)c1[N+](=O)[O-] ZINC000332658602 378784102 /nfs/dbraw/zinc/78/41/02/378784102.db2.gz NKQVVQORXXVURY-SNVBAGLBSA-N 0 0 294.355 2.667 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)[C@@H](C)[C@H]1C ZINC000332655781 378784732 /nfs/dbraw/zinc/78/47/32/378784732.db2.gz DWHSSYWDWNPKJL-VGMNWLOBSA-N 0 0 268.338 2.773 20 5 CFBDRN CCC[C@@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000332733811 378795634 /nfs/dbraw/zinc/79/56/34/378795634.db2.gz SXADNASTSALRLR-LLVKDONJSA-N 0 0 276.336 2.916 20 5 CFBDRN CC[C@H](O)c1nccn1Cc1cccc([N+](=O)[O-])c1C ZINC000278481984 194005113 /nfs/dbraw/zinc/00/51/13/194005113.db2.gz FTFBSFBQPSVING-ZDUSSCGKSA-N 0 0 275.308 2.591 20 5 CFBDRN O=[N+]([O-])c1c(Oc2ccc(F)nc2)nc2sccn21 ZINC000161235337 378832789 /nfs/dbraw/zinc/83/27/89/378832789.db2.gz WVQPOLVQEWESPP-UHFFFAOYSA-N 0 0 280.240 2.630 20 5 CFBDRN CO[C@H](CNc1ccc2ncccc2c1[N+](=O)[O-])C1CC1 ZINC000338781843 220255131 /nfs/dbraw/zinc/25/51/31/220255131.db2.gz RRSFKDPRTAHNGK-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN CCOc1cc(NC[C@H](OC)C2CC2)ccc1[N+](=O)[O-] ZINC000338778350 220255154 /nfs/dbraw/zinc/25/51/54/220255154.db2.gz PRZYYTBTAYBLSQ-AWEZNQCLSA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000161543117 378854619 /nfs/dbraw/zinc/85/46/19/378854619.db2.gz QNKLXYUHCGCHFY-APPZFPTMSA-N 0 0 268.700 2.634 20 5 CFBDRN COCCSc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000278515097 194022481 /nfs/dbraw/zinc/02/24/81/194022481.db2.gz YJSMILGGHPCYMJ-UHFFFAOYSA-N 0 0 282.243 2.747 20 5 CFBDRN CCCCOCC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162119050 378894399 /nfs/dbraw/zinc/89/43/99/378894399.db2.gz FFFMHYCPUVSGBE-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000162107150 378894405 /nfs/dbraw/zinc/89/44/05/378894405.db2.gz HAFMXMHGWAHAIP-UHFFFAOYSA-N 0 0 275.308 2.907 20 5 CFBDRN CCc1nc(CSCCn2cc([N+](=O)[O-])cn2)cs1 ZINC000162213332 378900731 /nfs/dbraw/zinc/90/07/31/378900731.db2.gz JWYNPQALHMTSQL-UHFFFAOYSA-N 0 0 298.393 2.744 20 5 CFBDRN CS[C@H](C)CC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000162765794 378933342 /nfs/dbraw/zinc/93/33/42/378933342.db2.gz SHUFSTAXIKYFBJ-SSDOTTSWSA-N 0 0 272.301 2.814 20 5 CFBDRN Cc1ccc(C(=O)NOCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000162874542 378939281 /nfs/dbraw/zinc/93/92/81/378939281.db2.gz OZZFOQZHXNAACC-UHFFFAOYSA-N 0 0 292.213 2.517 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1CCCCO1 ZINC000162533249 378917288 /nfs/dbraw/zinc/91/72/88/378917288.db2.gz CYNBLDSCNZTALT-LBPRGKRZSA-N 0 0 293.323 2.594 20 5 CFBDRN CCCCN(C(=O)c1[nH]nc(CC)c1[N+](=O)[O-])[C@@H](C)CC ZINC000278564528 194046601 /nfs/dbraw/zinc/04/66/01/194046601.db2.gz JRUGIMOKTNKGTF-JTQLQIEISA-N 0 0 296.371 2.921 20 5 CFBDRN CC(C)(C)c1cc(NC(=O)c2ccc([N+](=O)[O-])s2)n[nH]1 ZINC000162991371 378948123 /nfs/dbraw/zinc/94/81/23/378948123.db2.gz PFYUHHPNHIZXPR-UHFFFAOYSA-N 0 0 294.336 2.929 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2N)C[C@@H](C)C1 ZINC000163126286 378954745 /nfs/dbraw/zinc/95/47/45/378954745.db2.gz CQQILXHMRJHEOP-QWRGUYRKSA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@@H]2F)ccc1[N+](=O)[O-] ZINC000334253140 378985968 /nfs/dbraw/zinc/98/59/68/378985968.db2.gz WWAHWEUPIISORE-WDEREUQCSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335265320 378988198 /nfs/dbraw/zinc/98/81/98/378988198.db2.gz UWJIAOOPQWJNJY-DTWKUNHWSA-N 0 0 281.287 2.996 20 5 CFBDRN C[C@@H](O)CCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000278610198 194067198 /nfs/dbraw/zinc/06/71/98/194067198.db2.gz FVEHOFNNEDDKMI-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000164124657 379006465 /nfs/dbraw/zinc/00/64/65/379006465.db2.gz LQGKDPYLIOBXTQ-VIFPVBQESA-N 0 0 286.328 2.605 20 5 CFBDRN CC(=O)OCCCSCCOc1cccc([N+](=O)[O-])c1 ZINC000278597562 194061656 /nfs/dbraw/zinc/06/16/56/194061656.db2.gz HPOKZKMOUDWRKM-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000164479310 379017063 /nfs/dbraw/zinc/01/70/63/379017063.db2.gz ULHNKTKJQHFSFF-UHFFFAOYSA-N 0 0 299.330 2.996 20 5 CFBDRN CCCCCC[C@@H](C)C(=O)NCCn1cc([N+](=O)[O-])cn1 ZINC000164504570 379017747 /nfs/dbraw/zinc/01/77/47/379017747.db2.gz FWHCPKNVUSCOOR-GFCCVEGCSA-N 0 0 296.371 2.514 20 5 CFBDRN C[C@H](NC(=O)COC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000164791917 379024799 /nfs/dbraw/zinc/02/47/99/379024799.db2.gz CBHRFKRVSPYFRN-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN CCOC(=O)CCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000165730554 379042487 /nfs/dbraw/zinc/04/24/87/379042487.db2.gz HHXQIKCSTBELTI-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000334295538 379048808 /nfs/dbraw/zinc/04/88/08/379048808.db2.gz WVFIMUSYFNLKRE-QPUJVOFHSA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1cc(N(C[C@@H]2CCOC2)C2CC2)ccc1[N+](=O)[O-] ZINC000167549164 379072564 /nfs/dbraw/zinc/07/25/64/379072564.db2.gz RPVJSSZVIOMXHL-LBPRGKRZSA-N 0 0 276.336 2.909 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CC[C@H](C2CC2)C1 ZINC000334310956 379072716 /nfs/dbraw/zinc/07/27/16/379072716.db2.gz PYRQSIFFXKLPDO-JTQLQIEISA-N 0 0 278.283 2.606 20 5 CFBDRN CC1(O)CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000168518512 379088739 /nfs/dbraw/zinc/08/87/39/379088739.db2.gz PAVBXIPWIYUWSK-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)CCC1=O ZINC000168910360 379100397 /nfs/dbraw/zinc/10/03/97/379100397.db2.gz OQOBDAQWEQKOSK-SECBINFHSA-N 0 0 282.727 2.659 20 5 CFBDRN COC1(c2ccccc2)CN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000376261471 284754330 /nfs/dbraw/zinc/75/43/30/284754330.db2.gz BUNZKRPURDMAHU-UHFFFAOYSA-N 0 0 299.330 2.660 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335340498 379122647 /nfs/dbraw/zinc/12/26/47/379122647.db2.gz BKEXJHZHTLWEIV-SECBINFHSA-N 0 0 278.283 2.772 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ccn1CC1CCC1 ZINC000335361639 379158728 /nfs/dbraw/zinc/15/87/28/379158728.db2.gz ORKDFDRGIZZWTQ-UHFFFAOYSA-N 0 0 258.277 2.710 20 5 CFBDRN CC(C)c1nnc(COc2ccccc2[N+](=O)[O-])o1 ZINC000170696817 379140096 /nfs/dbraw/zinc/14/00/96/379140096.db2.gz PZIQXGKXQZMFMK-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@](C)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000334352428 379140997 /nfs/dbraw/zinc/14/09/97/379140997.db2.gz HEAHCFZBEFHXQX-QMTHXVAHSA-N 0 0 295.314 2.799 20 5 CFBDRN CC(C)c1nnc(CSc2ncccc2[N+](=O)[O-])o1 ZINC000170698113 379144508 /nfs/dbraw/zinc/14/45/08/379144508.db2.gz ISKOGOYDKITIAG-UHFFFAOYSA-N 0 0 280.309 2.789 20 5 CFBDRN COC(C)(C)CC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000278797423 194159435 /nfs/dbraw/zinc/15/94/35/194159435.db2.gz QUQZTDGHMZZBNB-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000171046991 379215994 /nfs/dbraw/zinc/21/59/94/379215994.db2.gz QYMRAOCPTVIQSC-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN COc1ccc(CNCc2csc([N+](=O)[O-])c2)cc1O ZINC000171134668 379240193 /nfs/dbraw/zinc/24/01/93/379240193.db2.gz YTONWIJIFKUTKE-UHFFFAOYSA-N 0 0 294.332 2.660 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CCCSC1 ZINC000334397473 379225431 /nfs/dbraw/zinc/22/54/31/379225431.db2.gz CJYVTIYMQSCSQD-MRVPVSSYSA-N 0 0 284.312 2.816 20 5 CFBDRN CC(C)(C)c1noc(COc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000171150177 379245682 /nfs/dbraw/zinc/24/56/82/379245682.db2.gz KHHDNWAGCQCQKK-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN CCC(C)(C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000171157786 379247356 /nfs/dbraw/zinc/24/73/56/379247356.db2.gz KLCPAANWYUCWMA-UHFFFAOYSA-N 0 0 280.324 2.656 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000335414173 379248793 /nfs/dbraw/zinc/24/87/93/379248793.db2.gz AFFOJKDYFJMYAY-QWRGUYRKSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(C(F)(F)F)C2)c1 ZINC000335420352 379262441 /nfs/dbraw/zinc/26/24/41/379262441.db2.gz FLGKHIXEYLYCLB-UHFFFAOYSA-N 0 0 288.225 2.538 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000334430195 379284180 /nfs/dbraw/zinc/28/41/80/379284180.db2.gz JJFOQPUGOYDHNF-GFCCVEGCSA-N 0 0 286.690 2.822 20 5 CFBDRN O=C(Nc1cc(F)c(F)cc1F)c1ccc([N+](=O)[O-])[nH]1 ZINC000334451107 379323628 /nfs/dbraw/zinc/32/36/28/379323628.db2.gz UTWNSDPUMYUSRF-UHFFFAOYSA-N 0 0 285.181 2.593 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000335462816 379338265 /nfs/dbraw/zinc/33/82/65/379338265.db2.gz RDHBWJXIXFXUNR-DIYOJNKTSA-N 0 0 280.711 2.777 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@@H]2CCCC[C@@H]21 ZINC000335439037 379296502 /nfs/dbraw/zinc/29/65/02/379296502.db2.gz BMDSLPPLUBHGBX-FZMZJTMJSA-N 0 0 274.320 3.000 20 5 CFBDRN COC(=O)c1coc(COc2ccc([N+](=O)[O-])cc2)c1 ZINC000171409729 379301664 /nfs/dbraw/zinc/30/16/64/379301664.db2.gz JARDUDJMEDRYJY-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1(F)F ZINC000335488084 379388605 /nfs/dbraw/zinc/38/86/05/379388605.db2.gz NYORJLMEIXKDMV-JTQLQIEISA-N 0 0 274.198 2.718 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C)[C@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000131620352 291730098 /nfs/dbraw/zinc/73/00/98/291730098.db2.gz ITSRNCKTKMMYSB-WDEREUQCSA-N 0 0 291.351 2.604 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334477996 379368257 /nfs/dbraw/zinc/36/82/57/379368257.db2.gz QWCXHMDNWIMBAJ-SECBINFHSA-N 0 0 273.292 2.701 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC000171912372 379435320 /nfs/dbraw/zinc/43/53/20/379435320.db2.gz OELSXUAPUYIRKA-SECBINFHSA-N 0 0 266.297 2.834 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)C(C)C ZINC000171911764 379435405 /nfs/dbraw/zinc/43/54/05/379435405.db2.gz AMAPEWCMERJRNN-VIFPVBQESA-N 0 0 267.281 2.801 20 5 CFBDRN CC(C)[C@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000171920408 379439469 /nfs/dbraw/zinc/43/94/69/379439469.db2.gz FNSQZXIMTTXLQV-NSHDSACASA-N 0 0 264.325 2.845 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2C=CCCC2)c1 ZINC000171792988 379404657 /nfs/dbraw/zinc/40/46/57/379404657.db2.gz RXWBFJYJTDXPAU-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN CCc1n[nH]c(C(=O)NCCCCC(C)(C)C)c1[N+](=O)[O-] ZINC000171809709 379408415 /nfs/dbraw/zinc/40/84/15/379408415.db2.gz ZGQDQGAQXOJVSG-UHFFFAOYSA-N 0 0 296.371 2.827 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1ccc(CO)c(F)c1 ZINC000171830982 379417059 /nfs/dbraw/zinc/41/70/59/379417059.db2.gz BPAJZWKVISQBJV-UHFFFAOYSA-N 0 0 291.282 2.542 20 5 CFBDRN O=C(NC/C=C/c1ccccc1)c1ccc([N+](=O)[O-])c([O-])c1 ZINC000171857119 379423277 /nfs/dbraw/zinc/42/32/77/379423277.db2.gz PFVSSDDQOVJRSX-QPJJXVBHSA-N 0 0 298.298 2.744 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334536976 379478328 /nfs/dbraw/zinc/47/83/28/379478328.db2.gz DWTOTLKQGZZWFB-RKDXNWHRSA-N 0 0 266.272 2.747 20 5 CFBDRN C[C@H](NC(=O)COc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000172098899 379492421 /nfs/dbraw/zinc/49/24/21/379492421.db2.gz YAPKOJHFSTWWBW-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN CC(C)(NC(=O)c1csc([N+](=O)[O-])c1)c1nccs1 ZINC000172269553 379529293 /nfs/dbraw/zinc/52/92/93/379529293.db2.gz NUVYGQZIICWLQG-UHFFFAOYSA-N 0 0 297.361 2.778 20 5 CFBDRN CC(=O)OCCCSc1ccc([N+](=O)[O-])cc1F ZINC000278997761 194244622 /nfs/dbraw/zinc/24/46/22/194244622.db2.gz FLYPPNXTIYGCGX-UHFFFAOYSA-N 0 0 273.285 2.779 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000172658444 379617138 /nfs/dbraw/zinc/61/71/38/379617138.db2.gz QNOFLJOOOUBHCD-DTWKUNHWSA-N 0 0 268.338 2.917 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000173123009 379699056 /nfs/dbraw/zinc/69/90/56/379699056.db2.gz KSPJMCLPGGIZQL-JTQLQIEISA-N 0 0 289.335 2.794 20 5 CFBDRN CCC[C@@H](CC)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172902414 379668035 /nfs/dbraw/zinc/66/80/35/379668035.db2.gz JKASQMJFIHAAES-GFCCVEGCSA-N 0 0 264.325 2.832 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1Cc2ccccc2C1 ZINC000172984567 379681476 /nfs/dbraw/zinc/68/14/76/379681476.db2.gz PQZIEJKQRMMBID-UHFFFAOYSA-N 0 0 282.299 2.680 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)c1ccccc1[N+](=O)[O-] ZINC000335459373 296301239 /nfs/dbraw/zinc/30/12/39/296301239.db2.gz IPEVBYVRGUWINE-SNVBAGLBSA-N 0 0 262.309 2.998 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000335698938 379744007 /nfs/dbraw/zinc/74/40/07/379744007.db2.gz WCZZJXUUQSSVOQ-JTQLQIEISA-N 0 0 260.293 2.633 20 5 CFBDRN Cc1cscc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000335701373 379749355 /nfs/dbraw/zinc/74/93/55/379749355.db2.gz JMMVJXYCXKQYCG-UHFFFAOYSA-N 0 0 265.294 2.556 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000335676869 379706894 /nfs/dbraw/zinc/70/68/94/379706894.db2.gz WQYDCCFIWDBYCO-UWVGGRQHSA-N 0 0 260.293 2.530 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CCS1 ZINC000334693864 379779529 /nfs/dbraw/zinc/77/95/29/379779529.db2.gz VEMRDUDRGSVTAA-GFCCVEGCSA-N 0 0 298.339 2.702 20 5 CFBDRN O=C(Cn1cc(-c2ccccc2[N+](=O)[O-])cn1)C1CCC1 ZINC000571938139 383740526 /nfs/dbraw/zinc/74/05/26/383740526.db2.gz BDMVDAQQGNERNJ-UHFFFAOYSA-N 0 0 285.303 2.828 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@@H]1CCC(C)(C)C1 ZINC000335722690 379785335 /nfs/dbraw/zinc/78/53/35/379785335.db2.gz CHABNBGRJNLZPR-SNVBAGLBSA-N 0 0 279.340 2.584 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCSC1 ZINC000335759295 379842670 /nfs/dbraw/zinc/84/26/70/379842670.db2.gz RXODMQRSWANTQB-JTQLQIEISA-N 0 0 280.349 2.903 20 5 CFBDRN CCc1ccc(C(=O)Nc2cc(C)on2)cc1[N+](=O)[O-] ZINC000174025008 379815784 /nfs/dbraw/zinc/81/57/84/379815784.db2.gz DSKGBMOYMBTCQX-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@@]2(CC=CCC2)C1 ZINC000335805187 379917494 /nfs/dbraw/zinc/91/74/94/379917494.db2.gz OEPCQXQLNDIYDS-HNNXBMFYSA-N 0 0 289.335 2.885 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1cnccn1 ZINC000174643242 379922095 /nfs/dbraw/zinc/92/20/95/379922095.db2.gz AFYAMAUSWCPMPM-LLVKDONJSA-N 0 0 272.308 2.578 20 5 CFBDRN Cc1c(CNC(=O)N2CCC=C(F)C2)cccc1[N+](=O)[O-] ZINC000335780109 379877077 /nfs/dbraw/zinc/87/70/77/379877077.db2.gz QUTZMZMSLJXMRR-UHFFFAOYSA-N 0 0 293.298 2.672 20 5 CFBDRN CCOCCCCNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000174692312 379928645 /nfs/dbraw/zinc/92/86/45/379928645.db2.gz OUHOEXASGMTGOP-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000335841631 379977548 /nfs/dbraw/zinc/97/75/48/379977548.db2.gz WUDATTCISIPSRF-BDAKNGLRSA-N 0 0 298.289 2.672 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)C[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000334796671 291742475 /nfs/dbraw/zinc/74/24/75/291742475.db2.gz ZYWIYMNGZHKVBG-MNOVXSKESA-N 0 0 262.309 2.774 20 5 CFBDRN CC[C@@H](C)NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000279028626 194255808 /nfs/dbraw/zinc/25/58/08/194255808.db2.gz BCXAAHPOZQZCEV-SSDOTTSWSA-N 0 0 288.706 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC1(C)CCC1 ZINC000175083768 379991997 /nfs/dbraw/zinc/99/19/97/379991997.db2.gz UCLJVPWSGPSMLV-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CS[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000335203519 380002849 /nfs/dbraw/zinc/00/28/49/380002849.db2.gz QTDWFZBYJZTUFA-WCBMZHEXSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335860300 380010743 /nfs/dbraw/zinc/01/07/43/380010743.db2.gz FNMXBXCKIWOFOB-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000175178809 380013296 /nfs/dbraw/zinc/01/32/96/380013296.db2.gz HMCRQUZYECWZSA-DTWKUNHWSA-N 0 0 268.338 2.917 20 5 CFBDRN CN(C(=O)C1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000335866294 380018419 /nfs/dbraw/zinc/01/84/19/380018419.db2.gz NALUSIQODWSUCT-UHFFFAOYSA-N 0 0 270.235 2.603 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000175263050 380032882 /nfs/dbraw/zinc/03/28/82/380032882.db2.gz VITZTVVKTLQYSV-VXGBXAGGSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@@]1(c2ccccc2)CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000335884862 380050868 /nfs/dbraw/zinc/05/08/68/380050868.db2.gz FPESMESAWLIXIZ-MRXNPFEDSA-N 0 0 299.330 2.727 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000195146414 270250474 /nfs/dbraw/zinc/25/04/74/270250474.db2.gz CVKIWZNFSMJNCZ-SECBINFHSA-N 0 0 290.323 2.780 20 5 CFBDRN CSc1cccc(C(=O)N2C[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000335972015 380179119 /nfs/dbraw/zinc/17/91/19/380179119.db2.gz QXQRZYOWFBDMQF-RKDXNWHRSA-N 0 0 280.349 2.797 20 5 CFBDRN Cc1cscc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000176226722 380200015 /nfs/dbraw/zinc/20/00/15/380200015.db2.gz DEBODCWGZXHZCF-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCC[C@H]2F)c1[N+](=O)[O-] ZINC000335988687 380202154 /nfs/dbraw/zinc/20/21/54/380202154.db2.gz XRICIHPDPRGNAP-MNOVXSKESA-N 0 0 266.272 2.524 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@@H]1C(F)F ZINC000335954745 380154656 /nfs/dbraw/zinc/15/46/56/380154656.db2.gz BCTYFXWREHIPNX-ZCFIWIBFSA-N 0 0 276.264 2.526 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000176527157 380248897 /nfs/dbraw/zinc/24/88/97/380248897.db2.gz GTHKAPPTIINEIO-PHIMTYICSA-N 0 0 277.324 2.677 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@H]1C ZINC000336012347 380235041 /nfs/dbraw/zinc/23/50/41/380235041.db2.gz RIDZEUQYYHQLAZ-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1sc(C(=O)N2C[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000336014955 380239647 /nfs/dbraw/zinc/23/96/47/380239647.db2.gz FKZAQOPIDQGXKF-HTQZYQBOSA-N 0 0 268.338 2.835 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000336344514 380325649 /nfs/dbraw/zinc/32/56/49/380325649.db2.gz XRLUUMUUGIWVNF-GXSJLCMTSA-N 0 0 262.309 2.774 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC2(CCC2)C1 ZINC000176912247 380281802 /nfs/dbraw/zinc/28/18/02/380281802.db2.gz OUAKGADCJYJVJO-UHFFFAOYSA-N 0 0 289.335 2.680 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000177155555 380309735 /nfs/dbraw/zinc/30/97/35/380309735.db2.gz ICCKQDFLHVHRGR-LLVKDONJSA-N 0 0 288.303 2.998 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CCCC[C@H]2C1 ZINC000178345515 380440010 /nfs/dbraw/zinc/44/00/10/380440010.db2.gz DBQCLJFCXYHRJP-RYUDHWBXSA-N 0 0 289.335 2.560 20 5 CFBDRN Cc1cc(S[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000336524347 380410603 /nfs/dbraw/zinc/41/06/03/380410603.db2.gz OQXSJQMXPJOFTM-MRVPVSSYSA-N 0 0 257.286 2.923 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1ncc([N+](=O)[O-])cc1Br ZINC000336649143 380583886 /nfs/dbraw/zinc/58/38/86/380583886.db2.gz GSJAIDXAFZNYQH-MUWHJKNJSA-N 0 0 286.129 2.963 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000279152631 194306924 /nfs/dbraw/zinc/30/69/24/194306924.db2.gz BDWQXCZQNCTSGJ-JTQLQIEISA-N 0 0 280.349 2.962 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1c([N+](=O)[O-])nc(C)n1CC ZINC000336794042 380641717 /nfs/dbraw/zinc/64/17/17/380641717.db2.gz ZKMHRIOSLXIUJP-DTWKUNHWSA-N 0 0 254.334 2.966 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCc2nccs2)s1 ZINC000336807814 380665925 /nfs/dbraw/zinc/66/59/25/380665925.db2.gz AECNGSVTBBVHJD-UHFFFAOYSA-N 0 0 293.333 2.668 20 5 CFBDRN Cc1cc(NCCOCC2CC2)c(F)cc1[N+](=O)[O-] ZINC000336816168 380677916 /nfs/dbraw/zinc/67/79/16/380677916.db2.gz KSVOTSZKMRCBFR-UHFFFAOYSA-N 0 0 268.288 2.881 20 5 CFBDRN Cc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)cc1O ZINC000180880774 380708395 /nfs/dbraw/zinc/70/83/95/380708395.db2.gz YFSQPQQATGZLKN-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000181175290 380752880 /nfs/dbraw/zinc/75/28/80/380752880.db2.gz CFAQCCRSKJOGSW-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000181187234 380754535 /nfs/dbraw/zinc/75/45/35/380754535.db2.gz CFUSANJECQAIQT-NSHDSACASA-N 0 0 291.351 2.829 20 5 CFBDRN C[C@H](NC(=O)c1c(N)cccc1[N+](=O)[O-])C1CCCCC1 ZINC000181118751 380744318 /nfs/dbraw/zinc/74/43/18/380744318.db2.gz AXYMRBCUPGBHDY-JTQLQIEISA-N 0 0 291.351 2.876 20 5 CFBDRN CO[C@H](C)CSCCOc1ccccc1[N+](=O)[O-] ZINC000181128800 380745297 /nfs/dbraw/zinc/74/52/97/380745297.db2.gz QNZJUWLJOSVDFG-SNVBAGLBSA-N 0 0 271.338 2.742 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000181181430 380752565 /nfs/dbraw/zinc/75/25/65/380752565.db2.gz OFJVEYRMWYONAK-SMDDNHRTSA-N 0 0 292.335 2.763 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000287324642 380804097 /nfs/dbraw/zinc/80/40/97/380804097.db2.gz VDGKBJXRDQBWKU-LBPRGKRZSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1cc(COC(=O)[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000181293504 380770430 /nfs/dbraw/zinc/77/04/30/380770430.db2.gz JCSCPYOYMRLKPF-SNVBAGLBSA-N 0 0 263.293 2.993 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCOc1cccc(CO)c1 ZINC000181680709 380864246 /nfs/dbraw/zinc/86/42/46/380864246.db2.gz JEQXVCOVGQAZIX-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN Cc1c(CNC(=O)N[C@H](C)CC2CC2)cccc1[N+](=O)[O-] ZINC000358479922 380869855 /nfs/dbraw/zinc/86/98/55/380869855.db2.gz QEKQRWZWSLOAJW-SNVBAGLBSA-N 0 0 291.351 2.891 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000287416220 380827507 /nfs/dbraw/zinc/82/75/07/380827507.db2.gz TUEXBGSFFMAXBU-XHDPSFHLSA-N 0 0 292.335 2.760 20 5 CFBDRN CCc1nn(C)c(NCCCCOC(C)C)c1[N+](=O)[O-] ZINC000181572036 380831705 /nfs/dbraw/zinc/83/17/05/380831705.db2.gz NRMBRGSBMUYEKS-UHFFFAOYSA-N 0 0 284.360 2.508 20 5 CFBDRN CS[C@H](C)CCC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000358491601 380878709 /nfs/dbraw/zinc/87/87/09/380878709.db2.gz OGHYULLZVREGDH-LLVKDONJSA-N 0 0 296.392 2.785 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC12CCCC2 ZINC000353776104 380844848 /nfs/dbraw/zinc/84/48/48/380844848.db2.gz KCDXAEANQSFFQE-ZDUSSCGKSA-N 0 0 274.320 2.791 20 5 CFBDRN C[C@@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccnc1 ZINC000181625451 380846072 /nfs/dbraw/zinc/84/60/72/380846072.db2.gz SLQOBKWLLFIHKO-SNVBAGLBSA-N 0 0 298.302 2.982 20 5 CFBDRN CN(C[C@H]1CCCO1)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000295423638 380846249 /nfs/dbraw/zinc/84/62/49/380846249.db2.gz FEDMNGSCBXXIFY-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccncc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000358439747 380847836 /nfs/dbraw/zinc/84/78/36/380847836.db2.gz PUDJOYBLKJBCIQ-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CCOC1CC(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000295429783 380848831 /nfs/dbraw/zinc/84/88/31/380848831.db2.gz USTGHLYENACQPN-JKDOVBDQSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1ccc(CNC(=O)C(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000287601352 380879484 /nfs/dbraw/zinc/87/94/84/380879484.db2.gz SDBPCTAEVPWNNN-UHFFFAOYSA-N 0 0 292.335 2.656 20 5 CFBDRN CCC(C)(CC)NC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000181887968 380929696 /nfs/dbraw/zinc/92/96/96/380929696.db2.gz YUFBCZGFXIWAQQ-UHFFFAOYSA-N 0 0 294.307 2.632 20 5 CFBDRN COCc1nc(S[C@@H](C)c2ccccc2[N+](=O)[O-])n[nH]1 ZINC000353827494 380884132 /nfs/dbraw/zinc/88/41/32/380884132.db2.gz OGLAKLHEVKCWLK-QMMMGPOBSA-N 0 0 294.336 2.713 20 5 CFBDRN CC(=O)c1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1C ZINC000295493261 380894177 /nfs/dbraw/zinc/89/41/77/380894177.db2.gz KDOUMBYLYVRQOB-UHFFFAOYSA-N 0 0 292.335 2.972 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC([C@@H]3CCCO3)CC2)c(F)c1 ZINC000408471202 380930632 /nfs/dbraw/zinc/93/06/32/380930632.db2.gz SCOSLZUQZDZULG-ZDUSSCGKSA-N 0 0 295.314 2.524 20 5 CFBDRN CCCOC(=O)[C@H](C)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181819099 380904903 /nfs/dbraw/zinc/90/49/03/380904903.db2.gz KKGUVHTWIXDBMM-NEPJUHHUSA-N 0 0 294.351 2.896 20 5 CFBDRN CCc1nc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000181827535 380907879 /nfs/dbraw/zinc/90/78/79/380907879.db2.gz HACQFMJLMXILFZ-JTQLQIEISA-N 0 0 290.323 2.699 20 5 CFBDRN CCOC(=O)[C@@H](CC)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181841893 380912875 /nfs/dbraw/zinc/91/28/75/380912875.db2.gz ZIJMJHOJCXCDBW-DGCLKSJQSA-N 0 0 294.351 2.896 20 5 CFBDRN CC[C@@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000408172382 380915205 /nfs/dbraw/zinc/91/52/05/380915205.db2.gz VSNPJNKIZSGSCK-VXGBXAGGSA-N 0 0 279.340 2.610 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000408218665 380917243 /nfs/dbraw/zinc/91/72/43/380917243.db2.gz JJGDFVUGKGTBGF-HTQZYQBOSA-N 0 0 255.705 2.878 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H]1CCC2(CCCCC2)O1 ZINC000408257974 380920029 /nfs/dbraw/zinc/92/00/29/380920029.db2.gz WUWANRZQLFKSMH-GFCCVEGCSA-N 0 0 279.340 2.982 20 5 CFBDRN CO[C@@H](C)CCC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000181959388 380950824 /nfs/dbraw/zinc/95/08/24/380950824.db2.gz KEIRPHUGUQNSOC-JTQLQIEISA-N 0 0 266.297 2.657 20 5 CFBDRN CC[C@@H](NC(=O)N[C@H](C)CF)c1cccc([N+](=O)[O-])c1 ZINC000287829725 380950940 /nfs/dbraw/zinc/95/09/40/380950940.db2.gz YAZCNSZJKGBQQG-BXKDBHETSA-N 0 0 283.303 2.703 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3ccc(F)cn3)n2)s1 ZINC000347119142 380951609 /nfs/dbraw/zinc/95/16/09/380951609.db2.gz WIRKEACSUQCCSA-UHFFFAOYSA-N 0 0 292.251 2.907 20 5 CFBDRN CCOC[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000353914993 380952117 /nfs/dbraw/zinc/95/21/17/380952117.db2.gz RHYCFOJOSNKBKJ-SNVBAGLBSA-N 0 0 295.339 2.704 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC(C)(C)OC ZINC000353915559 380953860 /nfs/dbraw/zinc/95/38/60/380953860.db2.gz VQOZLCZFFNLLCU-UHFFFAOYSA-N 0 0 295.339 2.704 20 5 CFBDRN CN(Cc1cnn(C)c1)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182007904 380962472 /nfs/dbraw/zinc/96/24/72/380962472.db2.gz IZVQPECUHJALSR-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC[C@H](C)OC ZINC000353930204 380965915 /nfs/dbraw/zinc/96/59/15/380965915.db2.gz LDNPURZYOIXHEN-JTQLQIEISA-N 0 0 295.339 2.704 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2Oc2cccnc2)s1 ZINC000341362233 380966873 /nfs/dbraw/zinc/96/68/73/380966873.db2.gz TXXQFWWJOOVBFH-UHFFFAOYSA-N 0 0 274.261 2.787 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1C[C@H]1C(F)F ZINC000353936789 380970494 /nfs/dbraw/zinc/97/04/94/380970494.db2.gz BRWFWMSSRDBKRS-KOLCDFICSA-N 0 0 299.277 2.932 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1OC[C@@H]2CCC[C@H]21 ZINC000368263927 380973842 /nfs/dbraw/zinc/97/38/42/380973842.db2.gz OJQTYMDGBQRZAY-ZKYQVNSYSA-N 0 0 290.319 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000368263919 380973947 /nfs/dbraw/zinc/97/39/47/380973947.db2.gz OJQTYMDGBQRZAY-VHRBIJSZSA-N 0 0 290.319 2.657 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC[C@H]2CCSC2)s1 ZINC000287945334 380979045 /nfs/dbraw/zinc/97/90/45/380979045.db2.gz YCBBKRZWWAJARU-SSDOTTSWSA-N 0 0 296.377 2.765 20 5 CFBDRN COC[C@@H](C)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295550324 380934414 /nfs/dbraw/zinc/93/44/14/380934414.db2.gz PJZZDIKWYWVGST-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccoc1)c1ccccc1[N+](=O)[O-] ZINC000341329001 380939635 /nfs/dbraw/zinc/93/96/35/380939635.db2.gz NRDREOHFYAHVTM-LLVKDONJSA-N 0 0 288.303 2.998 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc([C@H]2CCCC2(C)C)n1 ZINC000347103734 380940923 /nfs/dbraw/zinc/94/09/23/380940923.db2.gz ALXASTXJJRPWPI-MRVPVSSYSA-N 0 0 291.311 2.672 20 5 CFBDRN O=c1oc2ccccc2n1CCc1ccc([N+](=O)[O-])cc1 ZINC000341334798 380943882 /nfs/dbraw/zinc/94/38/82/380943882.db2.gz BJVBBXBPYWLIFP-UHFFFAOYSA-N 0 0 284.271 2.745 20 5 CFBDRN O=C(NC12CCC(CC1)C2)c1cc(F)ccc1[N+](=O)[O-] ZINC000368164817 380946289 /nfs/dbraw/zinc/94/62/89/380946289.db2.gz DHQGYYMWHIYQOF-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCC[C@@H](O)C3)n2)s1 ZINC000347112037 380947048 /nfs/dbraw/zinc/94/70/48/380947048.db2.gz WCOBGIJTHOEHHF-HTQZYQBOSA-N 0 0 295.320 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(CCCCO)C2CC2)c(Cl)c1 ZINC000182187630 381014343 /nfs/dbraw/zinc/01/43/43/381014343.db2.gz QYKWWCHMLLAZHO-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN C[C@H](NC(=O)C(F)(F)C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000295704465 381026639 /nfs/dbraw/zinc/02/66/39/381026639.db2.gz ORJIBFUJEOGBNO-LURJTMIESA-N 0 0 294.204 2.672 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1F)N1C[C@H]2CCCC[C@H]21 ZINC000368315716 380987333 /nfs/dbraw/zinc/98/73/33/380987333.db2.gz IXLHPYSUOLOIDL-MWLCHTKSSA-N 0 0 278.283 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@H]2CCOC2)c1 ZINC000295640322 380991874 /nfs/dbraw/zinc/99/18/74/380991874.db2.gz YEXUBPVOIKQWJU-SNVBAGLBSA-N 0 0 273.292 2.591 20 5 CFBDRN CO[C@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000182124551 380996228 /nfs/dbraw/zinc/99/62/28/380996228.db2.gz ZRGBTKZONRXRSB-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1nc(N[C@H](C)c2c(F)cncc2F)ncc1[N+](=O)[O-] ZINC000295656853 380999742 /nfs/dbraw/zinc/99/97/42/380999742.db2.gz HIFIYUGIIYINIV-SSDOTTSWSA-N 0 0 295.249 2.540 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](C2CC2)C1 ZINC000368363817 381001447 /nfs/dbraw/zinc/00/14/47/381001447.db2.gz UEDRGFCCCNWIKF-CQSZACIVSA-N 0 0 288.347 2.786 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000288053775 381001789 /nfs/dbraw/zinc/00/17/89/381001789.db2.gz ARTHOGSVKPPXJK-HZSPNIEDSA-N 0 0 298.346 2.870 20 5 CFBDRN Cc1ccccc1CCNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341411930 381003330 /nfs/dbraw/zinc/00/33/30/381003330.db2.gz ZEJORLLKALZQIJ-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN Cc1cc(NCc2cccc3cc[nH]c32)ncc1[N+](=O)[O-] ZINC000341419044 381006241 /nfs/dbraw/zinc/00/62/41/381006241.db2.gz CJUGKEGLRCTEKX-UHFFFAOYSA-N 0 0 282.303 2.814 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1CC1CC(F)(F)C1 ZINC000295670935 381007451 /nfs/dbraw/zinc/00/74/51/381007451.db2.gz FZSVSHXNABEICK-UHFFFAOYSA-N 0 0 284.218 2.548 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H](CO)c3ccccc32)s1 ZINC000368520446 381053893 /nfs/dbraw/zinc/05/38/93/381053893.db2.gz VFURUQCSYKOSLU-KCJUWKMLSA-N 0 0 291.332 2.684 20 5 CFBDRN CCOC1CC(C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000289613678 381068172 /nfs/dbraw/zinc/06/81/72/381068172.db2.gz LBXNURNLUNDUQI-UHFFFAOYSA-N 0 0 278.308 2.657 20 5 CFBDRN CO[C@H](C)CN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000182252030 381042340 /nfs/dbraw/zinc/04/23/40/381042340.db2.gz HAKLCOVKRQWMTK-SECBINFHSA-N 0 0 272.732 2.715 20 5 CFBDRN CC(C)(CCc1nc(Cc2ccccc2F)no1)[N+](=O)[O-] ZINC000295824053 381099272 /nfs/dbraw/zinc/09/92/72/381099272.db2.gz DMZBRSTZHOXEAG-UHFFFAOYSA-N 0 0 293.298 2.787 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)OC ZINC000295826231 381099979 /nfs/dbraw/zinc/09/99/79/381099979.db2.gz UOEDUUDMBTUJLH-QMTHXVAHSA-N 0 0 294.351 2.694 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)C[N@@H+](C)CC1(CO)CC1 ZINC000347379163 381111130 /nfs/dbraw/zinc/11/11/30/381111130.db2.gz PCUJZAVJFLWGCS-JYRVWZFOSA-N 0 0 290.363 2.702 20 5 CFBDRN COC(=O)[C@H](N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000358835411 381113027 /nfs/dbraw/zinc/11/30/27/381113027.db2.gz PIBCZCJISRWLHW-QMTHXVAHSA-N 0 0 292.335 2.505 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCC(=O)CCC(C)C)n1 ZINC000358840112 381116820 /nfs/dbraw/zinc/11/68/20/381116820.db2.gz JBQQIPVCBTZYFH-UHFFFAOYSA-N 0 0 280.324 2.991 20 5 CFBDRN COCCC1(CNc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000286254984 284865054 /nfs/dbraw/zinc/86/50/54/284865054.db2.gz PKAPKKSXDYMAJA-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN Cc1ccccc1CN(c1c([N+](=O)[O-])ncn1C)C1CC1 ZINC000354096502 381075585 /nfs/dbraw/zinc/07/55/85/381075585.db2.gz DSIWLQODLUTOOK-UHFFFAOYSA-N 0 0 286.335 2.806 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cncs1 ZINC000341649498 381149261 /nfs/dbraw/zinc/14/92/61/381149261.db2.gz WLFSWCDXMRZJSB-UHFFFAOYSA-N 0 0 293.304 2.702 20 5 CFBDRN C[C@H]1[C@@H](CO)CCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000412102446 381160708 /nfs/dbraw/zinc/16/07/08/381160708.db2.gz ZUIKBTPNYYASKX-WDEREUQCSA-N 0 0 298.770 2.841 20 5 CFBDRN CCOC1CC(N(C)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000347455984 381163751 /nfs/dbraw/zinc/16/37/51/381163751.db2.gz SVZLKIDXMNSFGA-UHFFFAOYSA-N 0 0 282.315 2.733 20 5 CFBDRN CC1(C)C[C@H](O)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000295871576 381128486 /nfs/dbraw/zinc/12/84/86/381128486.db2.gz CRDIKCODEBXQEA-SNVBAGLBSA-N 0 0 299.758 2.631 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](C)COC ZINC000341628504 381136442 /nfs/dbraw/zinc/13/64/42/381136442.db2.gz CHCQHSQWMDSNOS-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H](C)COC ZINC000289817469 381173630 /nfs/dbraw/zinc/17/36/30/381173630.db2.gz OHYSTSRDUHNNMF-JTQLQIEISA-N 0 0 295.339 2.561 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCSc1ccccc1F ZINC000182863947 381175023 /nfs/dbraw/zinc/17/50/23/381175023.db2.gz ULVKTCQJSSSKNK-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN CC(C)N(C)C(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000358956237 381176501 /nfs/dbraw/zinc/17/65/01/381176501.db2.gz FCDDNIHMIHFFNH-UHFFFAOYSA-N 0 0 288.250 2.677 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000347507842 381192699 /nfs/dbraw/zinc/19/26/99/381192699.db2.gz UABBGUFVWMOCKW-WCQYABFASA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1ccc(N2CC[C@H](O)CC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000295976043 381194855 /nfs/dbraw/zinc/19/48/55/381194855.db2.gz KSXIFYBFCWRVDW-LBPRGKRZSA-N 0 0 278.352 2.891 20 5 CFBDRN COc1c(C(=O)N(CC(C)C)C2CC2)cccc1[N+](=O)[O-] ZINC000354319488 381199740 /nfs/dbraw/zinc/19/97/40/381199740.db2.gz OURAIHIDCVZLAG-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN CCN(C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000412186850 381202594 /nfs/dbraw/zinc/20/25/94/381202594.db2.gz ARWXIYNZTILKQX-UONOGXRCSA-N 0 0 274.320 2.709 20 5 CFBDRN CC[C@H]1CCCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000342088201 381210114 /nfs/dbraw/zinc/21/01/14/381210114.db2.gz PWNGVCILOVHVEH-QMMMGPOBSA-N 0 0 278.337 2.978 20 5 CFBDRN COc1cc([C@@H](C)NCc2csc([N+](=O)[O-])c2)ccn1 ZINC000347545902 381213905 /nfs/dbraw/zinc/21/39/05/381213905.db2.gz WDFHRRXSQKSZLP-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)CCc2ccccn2)n1 ZINC000359082731 381253258 /nfs/dbraw/zinc/25/32/58/381253258.db2.gz MCURCLJHPLHSBG-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@]2(C)CCCOC2)n1 ZINC000359083780 381255050 /nfs/dbraw/zinc/25/50/50/381255050.db2.gz PQGIPBIUPDUCHP-ZDUSSCGKSA-N 0 0 265.313 2.588 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2ccccn2)n1 ZINC000359084360 381255566 /nfs/dbraw/zinc/25/55/66/381255566.db2.gz QKYGCVWYLAKVFC-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN COC(=O)C[C@H](C)Sc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359083665 381255910 /nfs/dbraw/zinc/25/59/10/381255910.db2.gz PETVBMSBVDUSHR-VIFPVBQESA-N 0 0 284.337 2.650 20 5 CFBDRN Cn1ncc2c1nccc2NCCc1ccccc1[N+](=O)[O-] ZINC000342296946 381257066 /nfs/dbraw/zinc/25/70/66/381257066.db2.gz SDVKUTFEBJDEGM-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCC[C@H](O)C2)n1 ZINC000359091216 381259991 /nfs/dbraw/zinc/25/99/91/381259991.db2.gz GSDDSQJAEUOIEP-RYUDHWBXSA-N 0 0 279.340 2.570 20 5 CFBDRN CCOC(=O)CC[C@@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359095846 381262366 /nfs/dbraw/zinc/26/23/66/381262366.db2.gz KFFDESOPLABQCW-SNVBAGLBSA-N 0 0 295.339 2.750 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCCO[C@H]2CCC[C@@H]21 ZINC000369465950 381266629 /nfs/dbraw/zinc/26/66/29/381266629.db2.gz ILJVNJYCURXAOQ-JSGCOSHPSA-N 0 0 280.299 2.882 20 5 CFBDRN COc1cncc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC000289935068 381225153 /nfs/dbraw/zinc/22/51/53/381225153.db2.gz OMJHEKGNEWMBHC-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1cnc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)o1 ZINC000347572455 381228308 /nfs/dbraw/zinc/22/83/08/381228308.db2.gz OMNHWVFZVZRVAT-QMMMGPOBSA-N 0 0 293.254 2.773 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCCOCC1 ZINC000369333848 381239672 /nfs/dbraw/zinc/23/96/72/381239672.db2.gz HHJDKXJVLBZLBJ-GFCCVEGCSA-N 0 0 292.335 2.967 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CNc1ccc(CCO)nc1 ZINC000342275076 381241275 /nfs/dbraw/zinc/24/12/75/381241275.db2.gz ABASYEVDAWZGCT-HWKANZROSA-N 0 0 299.330 2.650 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC(CF)CC1 ZINC000296138170 381270057 /nfs/dbraw/zinc/27/00/57/381270057.db2.gz IRONTSZEZXYHJB-UHFFFAOYSA-N 0 0 281.287 2.808 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Nc2ccncc2[N+](=O)[O-])[C@H]1C ZINC000183559085 381287288 /nfs/dbraw/zinc/28/72/88/381287288.db2.gz VHBUFFHGRSXPPH-ZJUUUORDSA-N 0 0 278.312 2.642 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)Nc2ccncc2[N+](=O)[O-])[C@H](C)C1 ZINC000183594078 381292088 /nfs/dbraw/zinc/29/20/88/381292088.db2.gz LSVHZIJWNQCNGX-GMTAPVOTSA-N 0 0 292.339 2.936 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cscn2)c(Cl)c1 ZINC000183612303 381293505 /nfs/dbraw/zinc/29/35/05/381293505.db2.gz XADCRONMZCMYMU-UHFFFAOYSA-N 0 0 283.740 2.995 20 5 CFBDRN CC(C)(C)OCCN1CCc2c1cccc2[N+](=O)[O-] ZINC000183626808 381297591 /nfs/dbraw/zinc/29/75/91/381297591.db2.gz XWNQATADOOPIEU-UHFFFAOYSA-N 0 0 264.325 2.772 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC000359229998 381299295 /nfs/dbraw/zinc/29/92/95/381299295.db2.gz YRWFYQPXRWVRTR-ZDUSSCGKSA-N 0 0 289.335 2.811 20 5 CFBDRN CC1=CCCN(c2nnc(-c3cccc([N+](=O)[O-])c3)n2C)C1 ZINC000290052165 381278033 /nfs/dbraw/zinc/27/80/33/381278033.db2.gz BKNACNAJLGGCIJ-UHFFFAOYSA-N 0 0 299.334 2.547 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CC[C@H]1C1CC1 ZINC000412377432 381278535 /nfs/dbraw/zinc/27/85/35/381278535.db2.gz BXOBQBRCGGIYAS-ZNMIVQPWSA-N 0 0 286.331 2.709 20 5 CFBDRN CC[C@H](C)N1CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000296169410 381285641 /nfs/dbraw/zinc/28/56/41/381285641.db2.gz ZKHYHDWDQUZXHG-JTQLQIEISA-N 0 0 298.774 2.564 20 5 CFBDRN CC(C)COCCC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000359277053 381323346 /nfs/dbraw/zinc/32/33/46/381323346.db2.gz GZRUIAWWAVUOGL-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000290157542 381324122 /nfs/dbraw/zinc/32/41/22/381324122.db2.gz PANQRLNWQRMPBM-NSHDSACASA-N 0 0 284.262 2.791 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000354534240 381325774 /nfs/dbraw/zinc/32/57/74/381325774.db2.gz REXNZMPUVUFLQA-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H](C)CCOC)cc1[N+](=O)[O-] ZINC000412493114 381327478 /nfs/dbraw/zinc/32/74/78/381327478.db2.gz YWJWXPCHISEHLD-NSHDSACASA-N 0 0 294.351 2.560 20 5 CFBDRN CC/C=C/CNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000296274403 381327691 /nfs/dbraw/zinc/32/76/91/381327691.db2.gz IPGYWCAXEFOQCT-ZWNMCFTASA-N 0 0 277.324 2.921 20 5 CFBDRN CCCn1cc(-c2nc3cc([N+](=O)[O-])ccc3n2C)cn1 ZINC000342439632 381331848 /nfs/dbraw/zinc/33/18/48/381331848.db2.gz IHXFEIRZCXUIQF-UHFFFAOYSA-N 0 0 285.307 2.755 20 5 CFBDRN Cc1ccnc(NC[C@H](CO)CC2CCCC2)c1[N+](=O)[O-] ZINC000359294752 381332236 /nfs/dbraw/zinc/33/22/36/381332236.db2.gz HFBOJARVSCIGPL-CYBMUJFWSA-N 0 0 293.367 2.899 20 5 CFBDRN Cc1sc(C(=O)N(C)CC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000342446083 381335443 /nfs/dbraw/zinc/33/54/43/381335443.db2.gz IXSSBPKLVNIPDW-SNVBAGLBSA-N 0 0 298.364 2.606 20 5 CFBDRN CCC(=O)CCCSc1ccc([N+](=O)[O-])cn1 ZINC000183896305 381335927 /nfs/dbraw/zinc/33/59/27/381335927.db2.gz GRCVAZBDUREEBL-UHFFFAOYSA-N 0 0 254.311 2.841 20 5 CFBDRN Cc1ccc(Cl)cc1NCc1c([N+](=O)[O-])ncn1C ZINC000347759857 381337118 /nfs/dbraw/zinc/33/71/18/381337118.db2.gz WOQCXWULMBJFAV-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CCN(C)C(=O)[C@@H](Nc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000296298668 381337804 /nfs/dbraw/zinc/33/78/04/381337804.db2.gz MMMVKMFDYXTLOH-ZDUSSCGKSA-N 0 0 297.330 2.649 20 5 CFBDRN Cc1ccn(C[C@H]2CCC[C@@H](C)C2)c(=O)c1[N+](=O)[O-] ZINC000354508169 381310413 /nfs/dbraw/zinc/31/04/13/381310413.db2.gz OVNPNBFDZRVJKP-PWSUYJOCSA-N 0 0 264.325 2.891 20 5 CFBDRN CO[C@H](C)CN(C(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000354522056 381318357 /nfs/dbraw/zinc/31/83/57/381318357.db2.gz LTBYBYAJPILBSU-LLVKDONJSA-N 0 0 295.339 2.872 20 5 CFBDRN Cc1cc(C(=O)N(C)CC[C@@H]2CCCO2)cc([N+](=O)[O-])c1 ZINC000342480365 381353852 /nfs/dbraw/zinc/35/38/52/381353852.db2.gz UCBSBLIRDUTALM-AWEZNQCLSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1c(C(=O)Nc2cccc(C)n2)cccc1[N+](=O)[O-] ZINC000354596220 381359900 /nfs/dbraw/zinc/35/99/00/381359900.db2.gz IHDYGMXSZGMLSP-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCOc1cccc(N2CCO[C@H](CC)C2)c1[N+](=O)[O-] ZINC000184045365 381360545 /nfs/dbraw/zinc/36/05/45/381360545.db2.gz SXQYVIAYEGOPQZ-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN O=C1CCC(CSc2ccc([N+](=O)[O-])cn2)CC1 ZINC000347907285 381407030 /nfs/dbraw/zinc/40/70/30/381407030.db2.gz VNJWTWAUYSAYSP-UHFFFAOYSA-N 0 0 266.322 2.841 20 5 CFBDRN Cc1ncc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC000347920115 381414732 /nfs/dbraw/zinc/41/47/32/381414732.db2.gz AKIPTPSXMUKXNA-MRVPVSSYSA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@H](C(=O)N(C)CC(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000347864074 381387657 /nfs/dbraw/zinc/38/76/57/381387657.db2.gz XURVTRCCXDIJBW-ZETCQYMHSA-N 0 0 290.241 2.561 20 5 CFBDRN CCC1(CNc2cccc(C(N)=O)c2[N+](=O)[O-])CCC1 ZINC000342547975 381389754 /nfs/dbraw/zinc/38/97/54/381389754.db2.gz LZNYZPPAGGKACX-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2ccc([N+](=O)[O-])n2C)c(C)n1 ZINC000359394608 381391167 /nfs/dbraw/zinc/39/11/67/381391167.db2.gz SPCSVXNIMIFKFY-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cn1cc(CCOc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000347888803 381400748 /nfs/dbraw/zinc/40/07/48/381400748.db2.gz NJSFZUWRWQNQSV-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN CCC1(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000296507537 381425407 /nfs/dbraw/zinc/42/54/07/381425407.db2.gz YHPXKMCPCFQNJD-UHFFFAOYSA-N 0 0 267.260 2.798 20 5 CFBDRN CC[C@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])c1cccs1 ZINC000354735077 381427351 /nfs/dbraw/zinc/42/73/51/381427351.db2.gz RHBVSOHTRFFWSQ-QMMMGPOBSA-N 0 0 294.336 2.569 20 5 CFBDRN Cc1cc(N[C@H]2CCc3nc(C)ncc3C2)ccc1[N+](=O)[O-] ZINC000370318188 381428503 /nfs/dbraw/zinc/42/85/03/381428503.db2.gz XQKGATCKMWVNGM-AWEZNQCLSA-N 0 0 298.346 2.971 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@](C)(O)C2CC2)c([N+](=O)[O-])c1 ZINC000359500265 381437363 /nfs/dbraw/zinc/43/73/63/381437363.db2.gz JVRYHLMNPVTAFT-OAHLLOKOSA-N 0 0 292.335 2.760 20 5 CFBDRN CCN(CC)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000161563450 322353994 /nfs/dbraw/zinc/35/39/94/322353994.db2.gz RAVSPGMKGRACBL-UHFFFAOYSA-N 0 0 261.281 2.558 20 5 CFBDRN COc1cc(COc2cccc(C)c2[N+](=O)[O-])ccn1 ZINC000342696855 381483803 /nfs/dbraw/zinc/48/38/03/381483803.db2.gz DBEFFKATUYHDHF-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC1 ZINC000184640742 381451707 /nfs/dbraw/zinc/45/17/07/381451707.db2.gz AEQRBABXPLYGDZ-ZDUSSCGKSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1occc1-c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000296566588 381451893 /nfs/dbraw/zinc/45/18/93/381451893.db2.gz UTAHVPDUNLLQEB-UHFFFAOYSA-N 0 0 265.269 2.626 20 5 CFBDRN CCC(=O)c1ccc(NC2(CO)CCCC2)c([N+](=O)[O-])c1 ZINC000359535699 381456843 /nfs/dbraw/zinc/45/68/43/381456843.db2.gz VPMOJXOEZUAQKV-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN CCCN(C(=O)NCc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000359541688 381458218 /nfs/dbraw/zinc/45/82/18/381458218.db2.gz JVJZJACYIWZPNK-UHFFFAOYSA-N 0 0 291.351 2.987 20 5 CFBDRN O=C(NC[C@@H]1CCCCS1)c1ccc([N+](=O)[O-])cc1F ZINC000342651628 381458164 /nfs/dbraw/zinc/45/81/64/381458164.db2.gz HLZGNIRYZUVJLD-JTQLQIEISA-N 0 0 298.339 2.749 20 5 CFBDRN Cc1nc(N[C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000370531931 381461723 /nfs/dbraw/zinc/46/17/23/381461723.db2.gz VMVSWUQFGIIHDF-HONMWMINSA-N 0 0 291.351 2.914 20 5 CFBDRN O=C(NC[C@@H]1CCCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000342660089 381463192 /nfs/dbraw/zinc/46/31/92/381463192.db2.gz NGLMHBNLLPQVKD-LBPRGKRZSA-N 0 0 280.349 2.610 20 5 CFBDRN CC[C@@H](C)CC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359608267 381503608 /nfs/dbraw/zinc/50/36/08/381503608.db2.gz DWQSYEQFXQXOGJ-LLVKDONJSA-N 0 0 292.335 2.752 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1ccn(C)n1 ZINC000290648134 381509428 /nfs/dbraw/zinc/50/94/28/381509428.db2.gz OLZKXBMSHLNTLW-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCCO1 ZINC000370913762 381514179 /nfs/dbraw/zinc/51/41/79/381514179.db2.gz WXPRNYGLPUFNJA-UHFFFAOYSA-N 0 0 295.295 2.508 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000342697331 381486408 /nfs/dbraw/zinc/48/64/08/381486408.db2.gz BVHXSVSMDKYFTE-SECBINFHSA-N 0 0 284.287 2.735 20 5 CFBDRN CC(C)c1nnc(CNc2cc(F)cc([N+](=O)[O-])c2)n1C ZINC000359597268 381496063 /nfs/dbraw/zinc/49/60/63/381496063.db2.gz KJEDFVSNDPINBP-UHFFFAOYSA-N 0 0 293.302 2.598 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3ccc([N+](=O)[O-])cc3F)C2)c1 ZINC000290620544 381501126 /nfs/dbraw/zinc/50/11/26/381501126.db2.gz DJDIOEXXDPMQHI-LBPRGKRZSA-N 0 0 290.298 2.690 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC(F)(F)C(F)(F)C2)n1 ZINC000413414447 381543082 /nfs/dbraw/zinc/54/30/82/381543082.db2.gz YDGSMTUYWSSPJX-UHFFFAOYSA-N 0 0 293.220 2.697 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1C[C@H](O)C[C@H]1c1ccccc1 ZINC000413502673 381545908 /nfs/dbraw/zinc/54/59/08/381545908.db2.gz NRNFZZKBJGRKLI-CJNGLKHVSA-N 0 0 284.315 2.907 20 5 CFBDRN COc1cccc(N(C)Cc2ccncc2)c1[N+](=O)[O-] ZINC000185150392 381546687 /nfs/dbraw/zinc/54/66/87/381546687.db2.gz PABPPRAOLIXLIZ-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN COC(=O)[C@@]1(C)CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000413587777 381547857 /nfs/dbraw/zinc/54/78/57/381547857.db2.gz HWNHSINZKRXIKL-AWEZNQCLSA-N 0 0 296.298 2.513 20 5 CFBDRN CC1(C)C(NC(=O)Cc2ccccc2[N+](=O)[O-])C1(C)C ZINC000359633732 381523650 /nfs/dbraw/zinc/52/36/50/381523650.db2.gz DQYSNMLKGJOCBF-UHFFFAOYSA-N 0 0 276.336 2.688 20 5 CFBDRN CCCOC(=O)[C@@H](C)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296735363 381524884 /nfs/dbraw/zinc/52/48/84/381524884.db2.gz OJZXLVNRGFNMGL-WDEREUQCSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000185075418 381529645 /nfs/dbraw/zinc/52/96/45/381529645.db2.gz LRLJQSYCVTZTII-STQMWFEESA-N 0 0 262.309 2.503 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1C[C@H]1c1ccccc1 ZINC000412999954 381530853 /nfs/dbraw/zinc/53/08/53/381530853.db2.gz GKSSOUUUCCVCAY-KBPBESRZSA-N 0 0 284.315 2.869 20 5 CFBDRN Cc1cc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2)ccn1 ZINC000359647586 381531626 /nfs/dbraw/zinc/53/16/26/381531626.db2.gz IOZMOGFEYCPLKO-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N(CC1CC1)CC1CC1 ZINC000359655822 381536526 /nfs/dbraw/zinc/53/65/26/381536526.db2.gz DOOYXVGOQJTTIK-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)Nc2ccncc2[N+](=O)[O-])CC1(C)C ZINC000297905714 381573898 /nfs/dbraw/zinc/57/38/98/381573898.db2.gz XXVVIUIZSPCBES-LBPRGKRZSA-N 0 0 292.339 2.888 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)C2CCCC2)cc1 ZINC000290864537 381579090 /nfs/dbraw/zinc/57/90/90/381579090.db2.gz RAHZIDTXZTVAHN-ZDUSSCGKSA-N 0 0 250.298 2.558 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342844353 381580926 /nfs/dbraw/zinc/58/09/26/381580926.db2.gz UPGGDLPDVMQFRA-JTQLQIEISA-N 0 0 291.351 2.566 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cccc(F)c2F)nc1C1CC1 ZINC000185176464 381553646 /nfs/dbraw/zinc/55/36/46/381553646.db2.gz RTTXLUPZMGZFGW-UHFFFAOYSA-N 0 0 279.246 2.995 20 5 CFBDRN Cc1nc(N2CCS[C@@H]3CCCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000297381917 381559365 /nfs/dbraw/zinc/55/93/65/381559365.db2.gz DLMOABOMSCXULF-CMPLNLGQSA-N 0 0 294.380 2.558 20 5 CFBDRN CCOC(=O)c1cc(NC[C@@H](CC)OC)ccc1[N+](=O)[O-] ZINC000290810273 381560676 /nfs/dbraw/zinc/56/06/76/381560676.db2.gz ZRIPCUBJVNXHKQ-LLVKDONJSA-N 0 0 296.323 2.608 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1C[C@H]2CCCCC[C@@H]21 ZINC000371172794 381561416 /nfs/dbraw/zinc/56/14/16/381561416.db2.gz CMHZWYGTCUENNY-HIFRSBDPSA-N 0 0 288.347 2.928 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000185336012 381601027 /nfs/dbraw/zinc/60/10/27/381601027.db2.gz UUIDDHFWVWLGHW-WCQYABFASA-N 0 0 276.336 2.784 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC12CCC(CC1)C2 ZINC000371319025 381589259 /nfs/dbraw/zinc/58/92/59/381589259.db2.gz MQSLCIXUWNJYKM-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN Cc1sc(C(=O)N(C)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000298371007 381596977 /nfs/dbraw/zinc/59/69/77/381596977.db2.gz FGZIHGRWTZNMHT-UHFFFAOYSA-N 0 0 282.243 2.599 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCCC2(CC2)C1 ZINC000185356364 381608843 /nfs/dbraw/zinc/60/88/43/381608843.db2.gz WBXYMVCLHLSGBR-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000298446931 381628913 /nfs/dbraw/zinc/62/89/13/381628913.db2.gz QZJHTLMKLPDXKL-LLVKDONJSA-N 0 0 293.367 2.777 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cccc3c2OCO3)c1 ZINC000359798297 381615595 /nfs/dbraw/zinc/61/55/95/381615595.db2.gz SVUNCHMDQOSIPA-UHFFFAOYSA-N 0 0 272.260 2.936 20 5 CFBDRN CCCN(CC)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000298428900 381622286 /nfs/dbraw/zinc/62/22/86/381622286.db2.gz IQBYDCJPPBMSAB-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN Cc1c(CNCc2c(Cl)cnn2C)cccc1[N+](=O)[O-] ZINC000359818447 381625501 /nfs/dbraw/zinc/62/55/01/381625501.db2.gz NIEOHHIOCSBRBD-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3c[nH]nc3C2)ccc1[N+](=O)[O-] ZINC000371440035 381628182 /nfs/dbraw/zinc/62/81/82/381628182.db2.gz OKXGSBAJCWLLSA-GFCCVEGCSA-N 0 0 272.308 2.596 20 5 CFBDRN CNc1cccnc1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000359844044 381636121 /nfs/dbraw/zinc/63/61/21/381636121.db2.gz IYILBLSUHQAJFH-UHFFFAOYSA-N 0 0 293.714 2.692 20 5 CFBDRN Cc1nn(C)c(N2CC=C(c3ccccc3)C2)c1[N+](=O)[O-] ZINC000371472786 381636322 /nfs/dbraw/zinc/63/63/22/381636322.db2.gz HITKKOIRJVSUIG-UHFFFAOYSA-N 0 0 284.319 2.540 20 5 CFBDRN CN(Cc1cnn(C)c1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000279526506 194466700 /nfs/dbraw/zinc/46/67/00/194466700.db2.gz UAJVZBIDPRWXLI-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN CC(=O)OC(C)(C)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000291016797 381633053 /nfs/dbraw/zinc/63/30/53/381633053.db2.gz BWUPXNQBXPFBQQ-UHFFFAOYSA-N 0 0 297.292 2.505 20 5 CFBDRN CC(C)(CCc1noc(C[C@H]2C=CCCC2)n1)[N+](=O)[O-] ZINC000291051939 381649209 /nfs/dbraw/zinc/64/92/09/381649209.db2.gz IMAWXSXUCIDHCR-NSHDSACASA-N 0 0 279.340 2.956 20 5 CFBDRN Cc1cccc(CCNc2ccc([N+](=O)[O-])c(N)c2F)n1 ZINC000359852869 381642491 /nfs/dbraw/zinc/64/24/91/381642491.db2.gz ZOFRBAJXQOBXAM-UHFFFAOYSA-N 0 0 290.298 2.674 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)CCC1(F)F ZINC000291087399 381662873 /nfs/dbraw/zinc/66/28/73/381662873.db2.gz ZZTXYHPXWBPYHU-SECBINFHSA-N 0 0 284.262 2.712 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ncccc2O)c1 ZINC000359893463 381663309 /nfs/dbraw/zinc/66/33/09/381663309.db2.gz AIGDBMICKPTAHO-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN Cc1cnc(N[C@H](C)CCC(=O)OC(C)C)c([N+](=O)[O-])c1 ZINC000359897162 381665664 /nfs/dbraw/zinc/66/56/64/381665664.db2.gz IPYGLZSETZUMMY-LLVKDONJSA-N 0 0 295.339 2.830 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc21)C1(CCF)CC1 ZINC000291103245 381668344 /nfs/dbraw/zinc/66/83/44/381668344.db2.gz JMZCIOFBICUOKP-UHFFFAOYSA-N 0 0 278.283 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ncccc2O)c2ncccc12 ZINC000359906841 381669622 /nfs/dbraw/zinc/66/96/22/381669622.db2.gz FSXCIYMJPXHVOQ-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](F)C(F)(F)F ZINC000298550287 381670445 /nfs/dbraw/zinc/67/04/45/381670445.db2.gz LAAPQJXHEJXMEF-QMMMGPOBSA-N 0 0 281.161 2.709 20 5 CFBDRN Cc1ccc(O)c(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000291057792 381650362 /nfs/dbraw/zinc/65/03/62/381650362.db2.gz JLYUTCMSTRLOOH-UHFFFAOYSA-N 0 0 291.307 2.739 20 5 CFBDRN CN(Cc1ccnn1C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000359868229 381650664 /nfs/dbraw/zinc/65/06/64/381650664.db2.gz BXZGXLSXBOMKTL-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cc(C2CC2)n(C)n1 ZINC000291155112 381686516 /nfs/dbraw/zinc/68/65/16/381686516.db2.gz PJJQUBGBDLEBAP-UHFFFAOYSA-N 0 0 273.296 2.653 20 5 CFBDRN CC(C)(CCc1noc(-c2cc(F)ccc2O)n1)[N+](=O)[O-] ZINC000291153068 381687610 /nfs/dbraw/zinc/68/76/10/381687610.db2.gz ZUBFEWOBPYYXEZ-UHFFFAOYSA-N 0 0 295.270 2.569 20 5 CFBDRN CCc1ccc([C@@H]2CCCCN2C(=O)[C@@H]2C[C@@H]2[N+](=O)[O-])o1 ZINC000371765034 381696192 /nfs/dbraw/zinc/69/61/92/381696192.db2.gz JPANXBGNPMVFLT-AGIUHOORSA-N 0 0 292.335 2.561 20 5 CFBDRN COc1cccc(NCCCCCCO)c1[N+](=O)[O-] ZINC000185672166 381699424 /nfs/dbraw/zinc/69/94/24/381699424.db2.gz JYCGRAQFECLLLP-UHFFFAOYSA-N 0 0 268.313 2.568 20 5 CFBDRN COc1cccc(N[C@@H]2CCCOCC2)c1[N+](=O)[O-] ZINC000185674917 381701637 /nfs/dbraw/zinc/70/16/37/381701637.db2.gz ANPSMHYRIWTVJZ-SNVBAGLBSA-N 0 0 266.297 2.584 20 5 CFBDRN COc1ccc(NC(=O)c2ccc(C)n2C)cc1[N+](=O)[O-] ZINC000359968827 381703508 /nfs/dbraw/zinc/70/35/08/381703508.db2.gz CXVUEFYDCGGNGW-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN CCc1n[nH]c(C(=O)NC(CC)(CC)CC)c1[N+](=O)[O-] ZINC000185732371 381717396 /nfs/dbraw/zinc/71/73/96/381717396.db2.gz NJTUYHPPCLLQSJ-UHFFFAOYSA-N 0 0 282.344 2.579 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000360031945 381740147 /nfs/dbraw/zinc/74/01/47/381740147.db2.gz HFLYTKNUKVWSTE-TUAOUCFPSA-N 0 0 294.351 2.691 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1C ZINC000343483554 381744398 /nfs/dbraw/zinc/74/43/98/381744398.db2.gz OSWMRTZIJCIEBE-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN CCc1cccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)c1CC ZINC000355319878 381749656 /nfs/dbraw/zinc/74/96/56/381749656.db2.gz RXBYGMCPCXOOEL-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN CSCC[C@@H](CO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360049425 381750444 /nfs/dbraw/zinc/75/04/44/381750444.db2.gz DGWVCUKBQVDGHJ-VIFPVBQESA-N 0 0 288.344 2.568 20 5 CFBDRN C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C1CC1 ZINC000298804672 381753449 /nfs/dbraw/zinc/75/34/49/381753449.db2.gz MKSGKTSPYORLRG-QMMMGPOBSA-N 0 0 274.276 2.869 20 5 CFBDRN COC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])c(C)c1 ZINC000298716890 381722784 /nfs/dbraw/zinc/72/27/84/381722784.db2.gz MXHVQQRFNIFSMT-QMMMGPOBSA-N 0 0 255.295 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C3CC3)C2)c(Cl)c1 ZINC000372259683 381781342 /nfs/dbraw/zinc/78/13/42/381781342.db2.gz BUSRCZCGBPRXRF-ZDUSSCGKSA-N 0 0 282.727 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C3CC3)C2)c2ncccc12 ZINC000372268717 381782710 /nfs/dbraw/zinc/78/27/10/381782710.db2.gz RRSRPMJDEHLQMH-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN CO[C@](C)(C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000292015115 381787954 /nfs/dbraw/zinc/78/79/54/381787954.db2.gz YRFJCFXPSCAYMN-BMIGLBTASA-N 0 0 292.335 2.587 20 5 CFBDRN COc1cccc2c1CCN(c1ccncc1[N+](=O)[O-])C2 ZINC000360173838 381768712 /nfs/dbraw/zinc/76/87/12/381768712.db2.gz FBJGEGKDSCXVCP-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN NC(=O)C1(CNc2cc(Cl)ccc2[N+](=O)[O-])CCCC1 ZINC000279556984 194479550 /nfs/dbraw/zinc/47/95/50/194479550.db2.gz XCHFBPVGIZMBQY-UHFFFAOYSA-N 0 0 297.742 2.706 20 5 CFBDRN CCC1CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000298915563 381780426 /nfs/dbraw/zinc/78/04/26/381780426.db2.gz FNOWPWPXWOPBON-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN COCC1(CCNc2ccc([N+](=O)[O-])c(C)n2)CCC1 ZINC000292761384 381823427 /nfs/dbraw/zinc/82/34/27/381823427.db2.gz KILVPBSFVSKWLL-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1cccnc1 ZINC000372559522 381835192 /nfs/dbraw/zinc/83/51/92/381835192.db2.gz BTFUOCLIHLANNW-ZIAGYGMSSA-N 0 0 283.287 2.732 20 5 CFBDRN COCC1=CCN(c2cc(C)c([N+](=O)[O-])cc2F)CC1 ZINC000292361374 381801770 /nfs/dbraw/zinc/80/17/70/381801770.db2.gz CSLGLRIEXSSQDV-UHFFFAOYSA-N 0 0 280.299 2.825 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H]2CC2(C)C)c1 ZINC000299028568 381803013 /nfs/dbraw/zinc/80/30/13/381803013.db2.gz HLHKRMWCGQXWEQ-CYBMUJFWSA-N 0 0 292.335 2.587 20 5 CFBDRN CSc1cccc(C(=O)N(C)CC2CC2)c1[N+](=O)[O-] ZINC000186178001 381844240 /nfs/dbraw/zinc/84/42/40/381844240.db2.gz VWBQRXWYDDSSHZ-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN O=C(Nc1cccc(-c2cnco2)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000343680620 381884235 /nfs/dbraw/zinc/88/42/35/381884235.db2.gz VAQLOXAAZSKNJW-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN CCOc1cccc(NC[C@@H](OC)C2CC2)c1[N+](=O)[O-] ZINC000292883194 381884554 /nfs/dbraw/zinc/88/45/54/381884554.db2.gz VAIOHNFSHRKRJT-CYBMUJFWSA-N 0 0 280.324 2.830 20 5 CFBDRN CN1Cc2ccccc2[C@@H]([NH2+]Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000372886391 381885050 /nfs/dbraw/zinc/88/50/50/381885050.db2.gz XRYODKNMVCREBG-KRWDZBQOSA-N 0 0 297.358 2.871 20 5 CFBDRN C[C@@H](c1noc(-c2nn(C)cc2[N+](=O)[O-])n1)C(C)(C)C ZINC000356885840 381885545 /nfs/dbraw/zinc/88/55/45/381885545.db2.gz BUNCYXNXNNYFIM-ZETCQYMHSA-N 0 0 279.300 2.528 20 5 CFBDRN COc1ccncc1CNCc1ccc([N+](=O)[O-])cc1C ZINC000292822304 381850321 /nfs/dbraw/zinc/85/03/21/381850321.db2.gz XCLCYJMFZDAOFL-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ccon1 ZINC000349231280 381854760 /nfs/dbraw/zinc/85/47/60/381854760.db2.gz ACMNSBQPEMHADX-VHSXEESVSA-N 0 0 261.281 2.995 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CSC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000343632555 381855683 /nfs/dbraw/zinc/85/56/83/381855683.db2.gz OSQGXZBNFKFGQR-UFBFGSQYSA-N 0 0 280.349 2.961 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)C(C)C)c1 ZINC000299191961 381856815 /nfs/dbraw/zinc/85/68/15/381856815.db2.gz SAUCFUOAJCLBIE-SNVBAGLBSA-N 0 0 280.324 2.720 20 5 CFBDRN CCc1nocc1CN(C)c1ccc([N+](=O)[O-])cc1F ZINC000292887561 381886330 /nfs/dbraw/zinc/88/63/30/381886330.db2.gz VMBJWHOCPORALV-UHFFFAOYSA-N 0 0 279.271 2.921 20 5 CFBDRN COc1cccc(NCc2cnn3ccccc23)c1[N+](=O)[O-] ZINC000343641372 381861023 /nfs/dbraw/zinc/86/10/23/381861023.db2.gz ZQRPLAOCDVZQDM-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN CCc1ccc(OC[C@H](O)CCOC(C)C)c([N+](=O)[O-])c1 ZINC000349262355 381870977 /nfs/dbraw/zinc/87/09/77/381870977.db2.gz BMJNEYSXTIIJKU-CYBMUJFWSA-N 0 0 297.351 2.712 20 5 CFBDRN CCN(CC)C(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000299252996 381873250 /nfs/dbraw/zinc/87/32/50/381873250.db2.gz ZWZPKGBEZSZEQB-UHFFFAOYSA-N 0 0 280.324 2.541 20 5 CFBDRN CCCC[C@H](CCC)Nc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000362071279 381894973 /nfs/dbraw/zinc/89/49/73/381894973.db2.gz YHCBKIXZXYEPHT-JTQLQIEISA-N 0 0 294.355 2.860 20 5 CFBDRN CCc1nocc1CN(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000292934459 381909625 /nfs/dbraw/zinc/90/96/25/381909625.db2.gz ZSIGUVAUCZLNIJ-UHFFFAOYSA-N 0 0 296.714 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cc(-n3cccc3)cn2)cc1 ZINC000356961599 381926448 /nfs/dbraw/zinc/92/64/48/381926448.db2.gz UDQBIRAGZVEMTR-UHFFFAOYSA-N 0 0 268.276 2.630 20 5 CFBDRN CCc1nocc1CN(C)c1ccc([N+](=O)[O-])cc1 ZINC000292894351 381889387 /nfs/dbraw/zinc/88/93/87/381889387.db2.gz WCTUMVRNORQFAF-UHFFFAOYSA-N 0 0 261.281 2.782 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H](CO)c2ccsc2)c(F)c1 ZINC000349563282 381959748 /nfs/dbraw/zinc/95/97/48/381959748.db2.gz HSSKENYBGURRBW-CYBMUJFWSA-N 0 0 296.323 2.619 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])C[C@H]1C ZINC000349585826 381966366 /nfs/dbraw/zinc/96/63/66/381966366.db2.gz MIHQZKPWHOQFHG-MXWKQRLJSA-N 0 0 291.351 2.732 20 5 CFBDRN O=c1ccn(CCCCc2ccccc2)cc1[N+](=O)[O-] ZINC000186623676 381967594 /nfs/dbraw/zinc/96/75/94/381967594.db2.gz DAUVXAZAODUCSZ-UHFFFAOYSA-N 0 0 272.304 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H]2COc3cccc(F)c32)cc1 ZINC000373284258 381970146 /nfs/dbraw/zinc/97/01/46/381970146.db2.gz KQTJHZFOGNUZSA-ZDUSSCGKSA-N 0 0 288.278 2.957 20 5 CFBDRN CC(C)(C)CC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000343831293 381970773 /nfs/dbraw/zinc/97/07/73/381970773.db2.gz MJNQADNCSWFPKC-UHFFFAOYSA-N 0 0 251.282 2.979 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CC(F)(F)F ZINC000186511705 381932370 /nfs/dbraw/zinc/93/23/70/381932370.db2.gz IWSIJSYICGDUDP-UHFFFAOYSA-N 0 0 291.229 2.929 20 5 CFBDRN C[C@@H](N[C@H](CCO)c1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000186531039 381936951 /nfs/dbraw/zinc/93/69/51/381936951.db2.gz YPUIBPSPDOOGGM-BXUZGUMPSA-N 0 0 290.319 2.962 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC2CCC1CC2 ZINC000373150774 381938247 /nfs/dbraw/zinc/93/82/47/381938247.db2.gz DIGAYWGGXHBSAB-UHFFFAOYSA-N 0 0 274.320 2.538 20 5 CFBDRN Cc1cc2cc(CNc3ccc([N+](=O)[O-])cn3)ccc2[nH]1 ZINC000343790465 381944724 /nfs/dbraw/zinc/94/47/24/381944724.db2.gz SOKFJOOJHCPWSN-UHFFFAOYSA-N 0 0 282.303 2.814 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CC(F)(F)F)c1 ZINC000362176047 381944786 /nfs/dbraw/zinc/94/47/86/381944786.db2.gz BMRZXVWIMXKLAT-MRVPVSSYSA-N 0 0 290.241 2.974 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC1(C)C ZINC000343799427 381948300 /nfs/dbraw/zinc/94/83/00/381948300.db2.gz XGLBAISLMSHSIF-GFCCVEGCSA-N 0 0 277.324 2.555 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3C[C@H]3C(F)F)c21 ZINC000343806741 381953977 /nfs/dbraw/zinc/95/39/77/381953977.db2.gz KWPHTGCNSORZFC-HTRCEHHLSA-N 0 0 280.234 2.604 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1C[C@H]1C ZINC000362191714 381954729 /nfs/dbraw/zinc/95/47/29/381954729.db2.gz REIMTEIKZNEYRR-ZJUUUORDSA-N 0 0 278.308 2.978 20 5 CFBDRN C[C@H]1CCN(CC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000299593437 381995649 /nfs/dbraw/zinc/99/56/49/381995649.db2.gz FFCFHYHUYCIXGJ-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1ccc(CO)cc1 ZINC000362330461 382010105 /nfs/dbraw/zinc/01/01/05/382010105.db2.gz QXEDHZVQMRIMOL-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN Cc1cnc(NCc2nnc(C(C)C)s2)c([N+](=O)[O-])c1 ZINC000343903228 382012083 /nfs/dbraw/zinc/01/20/83/382012083.db2.gz XYEWFHCBYXGAAU-UHFFFAOYSA-N 0 0 293.352 2.885 20 5 CFBDRN CC(C)[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000293063971 381974893 /nfs/dbraw/zinc/97/48/93/381974893.db2.gz IPYQJDKVFQYPFI-OAHLLOKOSA-N 0 0 296.342 2.981 20 5 CFBDRN Cc1cc(NC(=O)NC[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000416977142 381980363 /nfs/dbraw/zinc/98/03/63/381980363.db2.gz SLKPBZRMBWEALO-SNVBAGLBSA-N 0 0 275.308 2.825 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000343850325 381980769 /nfs/dbraw/zinc/98/07/69/381980769.db2.gz ZIOMHVTYOXXXDO-VXGBXAGGSA-N 0 0 291.351 2.559 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)Nc1ccc([N+](=O)[O-])cc1 ZINC000417002443 381982898 /nfs/dbraw/zinc/98/28/98/381982898.db2.gz UVMSIBVTSNHQAN-VIFPVBQESA-N 0 0 261.281 2.516 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1CC12CC2 ZINC000417002536 381983342 /nfs/dbraw/zinc/98/33/42/381983342.db2.gz VAYDQXWEBJAPPR-VIFPVBQESA-N 0 0 291.307 2.525 20 5 CFBDRN Cc1ccnc(N(C)CCc2ccccc2O)c1[N+](=O)[O-] ZINC000343861597 381986877 /nfs/dbraw/zinc/98/68/77/381986877.db2.gz GKUPXJXRTZDQLS-UHFFFAOYSA-N 0 0 287.319 2.683 20 5 CFBDRN Cc1cc(OC[C@@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000186730970 381994915 /nfs/dbraw/zinc/99/49/15/381994915.db2.gz QUYWKRXBQOBJJM-VIFPVBQESA-N 0 0 255.245 2.600 20 5 CFBDRN C[C@@H]1CN(CC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)[C@@H]1C ZINC000293265286 382053961 /nfs/dbraw/zinc/05/39/61/382053961.db2.gz BOPWRDSJYPOHSS-RKDXNWHRSA-N 0 0 297.742 2.527 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ncoc1C ZINC000362345704 382017240 /nfs/dbraw/zinc/01/72/40/382017240.db2.gz OUSYBSHOIUWPHG-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C)on1 ZINC000362345460 382017626 /nfs/dbraw/zinc/01/76/26/382017626.db2.gz MZTWFWSZMVJVJZ-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1CCOC1 ZINC000362345063 382017829 /nfs/dbraw/zinc/01/78/29/382017829.db2.gz KEAOZOFALBTJCP-SNVBAGLBSA-N 0 0 278.308 2.522 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCOCC1 ZINC000362345331 382018082 /nfs/dbraw/zinc/01/80/82/382018082.db2.gz MSXHTCSIEUAINV-LBPRGKRZSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC(F)(F)C2)cn1 ZINC000362360129 382024273 /nfs/dbraw/zinc/02/42/73/382024273.db2.gz RYHORGSNDIBDHP-MRVPVSSYSA-N 0 0 257.240 2.837 20 5 CFBDRN C[C@H]1C(=O)CC[C@H]1CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000357166294 382034039 /nfs/dbraw/zinc/03/40/39/382034039.db2.gz JBBIVEPAMIJHRW-BDAKNGLRSA-N 0 0 294.282 2.678 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@@H]2C2CC2)c(Cl)c1 ZINC000362373726 382034165 /nfs/dbraw/zinc/03/41/65/382034165.db2.gz OLJGZPURHDRQSN-SCZZXKLOSA-N 0 0 253.689 2.854 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000362383660 382038470 /nfs/dbraw/zinc/03/84/70/382038470.db2.gz RBDHTLXWPYMEED-UHFFFAOYSA-N 0 0 279.340 2.806 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H](n2cccn2)C1 ZINC000301198949 382104014 /nfs/dbraw/zinc/10/40/14/382104014.db2.gz VMUQGFZWVPOEQD-LBPRGKRZSA-N 0 0 272.308 2.633 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@H](n2cccn2)C1 ZINC000301200454 382105266 /nfs/dbraw/zinc/10/52/66/382105266.db2.gz WFOUUXOZHIMLMJ-CYBMUJFWSA-N 0 0 286.335 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ccc(F)c(F)c2)nc1 ZINC000301214130 382107319 /nfs/dbraw/zinc/10/73/19/382107319.db2.gz YYCDRCLRMNOWPC-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000301220428 382108458 /nfs/dbraw/zinc/10/84/58/382108458.db2.gz AYPXUHSMIXAQPH-YMTOWFKASA-N 0 0 280.324 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2ccnc(C3CC3)n2)cc1 ZINC000301223395 382110586 /nfs/dbraw/zinc/11/05/86/382110586.db2.gz CAZPJBQMRPQEHC-UHFFFAOYSA-N 0 0 299.334 2.786 20 5 CFBDRN CC(C)=C(F)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357327202 382110560 /nfs/dbraw/zinc/11/05/60/382110560.db2.gz INHLDXKLRPXACE-UHFFFAOYSA-N 0 0 278.243 2.684 20 5 CFBDRN Cc1ccnc(NCc2nc3ccccc3n2C)c1[N+](=O)[O-] ZINC000301266884 382128357 /nfs/dbraw/zinc/12/83/57/382128357.db2.gz GSSMVVHUWHXJFA-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN C[C@@H]1CN(Cc2c(Cl)cccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000163279846 322374800 /nfs/dbraw/zinc/37/48/00/322374800.db2.gz IJEJTMIIQADLOD-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C1CCCCC1 ZINC000301070757 382072690 /nfs/dbraw/zinc/07/26/90/382072690.db2.gz HEYGRQYYAQLLBZ-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCCC[C@@H]1C ZINC000301082111 382075599 /nfs/dbraw/zinc/07/55/99/382075599.db2.gz GEONIDOTMPMAHF-GXFFZTMASA-N 0 0 291.351 2.945 20 5 CFBDRN CN(Cc1nccn1C)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000301097252 382081020 /nfs/dbraw/zinc/08/10/20/382081020.db2.gz WHBYCHBFQSMAHH-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1nc(-c2ccccc2)c[nH]1 ZINC000301112907 382084500 /nfs/dbraw/zinc/08/45/00/382084500.db2.gz SQEYXHCDUIUZAE-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN CCN(Cc1ccoc1)c1nc2sccn2c1[N+](=O)[O-] ZINC000301169038 382096115 /nfs/dbraw/zinc/09/61/15/382096115.db2.gz JLLITNFKWPQHQJ-UHFFFAOYSA-N 0 0 292.320 2.924 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCC=C(F)C1 ZINC000351975302 382101031 /nfs/dbraw/zinc/10/10/31/382101031.db2.gz OKESDONPJAQRTA-UHFFFAOYSA-N 0 0 250.273 2.962 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000301506931 382173782 /nfs/dbraw/zinc/17/37/82/382173782.db2.gz DATBACSBJJQNQX-MGPQQGTHSA-N 0 0 276.336 2.845 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000352103043 382174088 /nfs/dbraw/zinc/17/40/88/382174088.db2.gz XLJOWQZJNRBNEV-ZANVPECISA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@H]1C[C@@H](CO)CCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000344172766 382177804 /nfs/dbraw/zinc/17/78/04/382177804.db2.gz PNZCSBNCCCVCIU-QWRGUYRKSA-N 0 0 298.770 2.841 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000293587736 382185733 /nfs/dbraw/zinc/18/57/33/382185733.db2.gz BHZDVDWKUATDSU-MRVPVSSYSA-N 0 0 286.715 2.956 20 5 CFBDRN CC(C)(NC(=O)C1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000293578771 382182644 /nfs/dbraw/zinc/18/26/44/382182644.db2.gz ANXNLMXQAANYKB-UHFFFAOYSA-N 0 0 274.320 2.912 20 5 CFBDRN CCOC1CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000301273734 382132318 /nfs/dbraw/zinc/13/23/18/382132318.db2.gz LCDVEZNVBRTOJS-UHFFFAOYSA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000301279027 382132982 /nfs/dbraw/zinc/13/29/82/382132982.db2.gz ZOURKBPFCPXCOH-QPUJVOFHSA-N 0 0 298.368 2.513 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000301279028 382133750 /nfs/dbraw/zinc/13/37/50/382133750.db2.gz ZOURKBPFCPXCOH-UFBFGSQYSA-N 0 0 298.368 2.513 20 5 CFBDRN Cc1nn(C)c(NCCc2ccc(F)cc2F)c1[N+](=O)[O-] ZINC000301275173 382134049 /nfs/dbraw/zinc/13/40/49/382134049.db2.gz YQRKLTWQDWRKDO-UHFFFAOYSA-N 0 0 296.277 2.570 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC2(C)CCC(C)CC2)c1[N+](=O)[O-] ZINC000357375013 382140420 /nfs/dbraw/zinc/14/04/20/382140420.db2.gz APHMHQMHJNNCPF-UHFFFAOYSA-N 0 0 294.355 2.573 20 5 CFBDRN CCOc1ccc(Nc2c([N+](=O)[O-])c(C)nn2C)cc1F ZINC000301298993 382141742 /nfs/dbraw/zinc/14/17/42/382141742.db2.gz UBJBDALSPUCJIZ-UHFFFAOYSA-N 0 0 294.286 2.918 20 5 CFBDRN Cc1nc(CN(C)c2nccc(C)c2[N+](=O)[O-])cs1 ZINC000301310489 382145403 /nfs/dbraw/zinc/14/54/03/382145403.db2.gz ZRGDNELFAMTTDP-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN CSC[C@H](CCO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000301334499 382150704 /nfs/dbraw/zinc/15/07/04/382150704.db2.gz FQTASOXESDEDTD-QMMMGPOBSA-N 0 0 290.772 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc(-n3cccn3)c2)nc1 ZINC000301347207 382154330 /nfs/dbraw/zinc/15/43/30/382154330.db2.gz HJVAICXQKYTVQH-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN C[C@@]1(CO)CCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000301346046 382154469 /nfs/dbraw/zinc/15/44/69/382154469.db2.gz IAEGWHWMWJFQDP-STQMWFEESA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1cccnc1N(C[C@@H]1CCCO1)C1CCCC1 ZINC000301355341 382158019 /nfs/dbraw/zinc/15/80/19/382158019.db2.gz LQULQPQZCBMMLA-ZDUSSCGKSA-N 0 0 291.351 2.918 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@]2(C)CO)ccc1[N+](=O)[O-] ZINC000301370516 382160792 /nfs/dbraw/zinc/16/07/92/382160792.db2.gz SKIQWBCAWDZDJN-UONOGXRCSA-N 0 0 264.325 2.866 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)cc(F)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000301425325 382169920 /nfs/dbraw/zinc/16/99/20/382169920.db2.gz BVTCODHSDKDRPW-UWVGGRQHSA-N 0 0 298.314 2.746 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1ccon1 ZINC000357441557 382172386 /nfs/dbraw/zinc/17/23/86/382172386.db2.gz JIPUIPFWHZXQRA-SECBINFHSA-N 0 0 290.279 2.774 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1c(F)ccc(O)c1F ZINC000352148008 382194983 /nfs/dbraw/zinc/19/49/83/382194983.db2.gz CPPORSJIFHVPQL-UHFFFAOYSA-N 0 0 294.257 2.868 20 5 CFBDRN Cc1cc(NC[C@@H](O)C(C)C)c2cccc([N+](=O)[O-])c2n1 ZINC000357499398 382196298 /nfs/dbraw/zinc/19/62/98/382196298.db2.gz DVMKQDAWJQSZQP-CQSZACIVSA-N 0 0 289.335 2.880 20 5 CFBDRN CC(C)(C)Oc1cc(N2CCC(O)CC2)ccc1[N+](=O)[O-] ZINC000301896597 382199385 /nfs/dbraw/zinc/19/93/85/382199385.db2.gz UYMUSPQYLHNHBX-UHFFFAOYSA-N 0 0 294.351 2.733 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000344216832 382203768 /nfs/dbraw/zinc/20/37/68/382203768.db2.gz UEDPPBNSRFKABS-WDEREUQCSA-N 0 0 279.340 2.632 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CC23CC3)nc2sccn21 ZINC000418992613 382209080 /nfs/dbraw/zinc/20/90/80/382209080.db2.gz OBJDONVLPAMLRT-SSDOTTSWSA-N 0 0 264.310 2.516 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000418990459 382209234 /nfs/dbraw/zinc/20/92/34/382209234.db2.gz CMRMFUIOMFENHM-DGFRNANFSA-N 0 0 290.241 2.939 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)n1C ZINC000352177387 382209567 /nfs/dbraw/zinc/20/95/67/382209567.db2.gz BAMVWTGDQDONFD-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1cccc(O)c1 ZINC000352184253 382210567 /nfs/dbraw/zinc/21/05/67/382210567.db2.gz OFLLAXSNNVPOGY-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000302301521 382237176 /nfs/dbraw/zinc/23/71/76/382237176.db2.gz YTAGFBDRCXBFJB-QAVQXKDTSA-N 0 0 287.319 2.882 20 5 CFBDRN CC(C)(CNc1ccccc1[N+](=O)[O-])C1(O)CCOCC1 ZINC000419003767 382214070 /nfs/dbraw/zinc/21/40/70/382214070.db2.gz DESILSRAHNFPNG-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC2CCCCC2)cn1 ZINC000419012392 382215795 /nfs/dbraw/zinc/21/57/95/382215795.db2.gz DXYSNTHPKRVPLZ-CYBMUJFWSA-N 0 0 279.340 2.733 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000419010960 382216090 /nfs/dbraw/zinc/21/60/90/382216090.db2.gz ZHQMILJNIWLQGE-DGFRNANFSA-N 0 0 256.689 2.574 20 5 CFBDRN COCCCCN(C)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000419013518 382216527 /nfs/dbraw/zinc/21/65/27/382216527.db2.gz HUEYABNGYLOXKI-UHFFFAOYSA-N 0 0 286.303 2.605 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCN(CC(F)F)C2)c1 ZINC000419017959 382217402 /nfs/dbraw/zinc/21/74/02/382217402.db2.gz GVAGWMFTIIOKTD-JTQLQIEISA-N 0 0 285.294 2.655 20 5 CFBDRN O=C(NCCc1ccc(F)cc1)c1csc([N+](=O)[O-])c1 ZINC000344231748 382217498 /nfs/dbraw/zinc/21/74/98/382217498.db2.gz OYMBDLJUHCDPQH-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H](CCO)c1ccco1 ZINC000302223148 382219387 /nfs/dbraw/zinc/21/93/87/382219387.db2.gz PHYYYJWPSPBCSJ-NSHDSACASA-N 0 0 280.255 2.863 20 5 CFBDRN CCCN(C)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000344240968 382223444 /nfs/dbraw/zinc/22/34/44/382223444.db2.gz SNKAOIQUFCUXOB-UHFFFAOYSA-N 0 0 268.338 2.555 20 5 CFBDRN COCc1nc(CNc2ccc([N+](=O)[O-])cc2)cs1 ZINC000357567200 382230731 /nfs/dbraw/zinc/23/07/31/382230731.db2.gz MHNZTZQNOGVLAR-UHFFFAOYSA-N 0 0 279.321 2.810 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000187451970 382186801 /nfs/dbraw/zinc/18/68/01/382186801.db2.gz OSXWDZSRINHOQU-FZMZJTMJSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC1CC(F)(F)C1 ZINC000293594106 382189361 /nfs/dbraw/zinc/18/93/61/382189361.db2.gz GWUVVHJFNHQSGD-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN C[C@H](C(=O)N(C)c1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000344196229 382192520 /nfs/dbraw/zinc/19/25/20/382192520.db2.gz FKUGRLKCMPJUJD-NSHDSACASA-N 0 0 285.303 2.756 20 5 CFBDRN CS(=O)(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C=CCCC1 ZINC000302616201 382277941 /nfs/dbraw/zinc/27/79/41/382277941.db2.gz FZDIPYDLWOJJHG-SNVBAGLBSA-N 0 0 296.348 2.519 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC[C@H]1CCCO1 ZINC000302683971 382292090 /nfs/dbraw/zinc/29/20/90/382292090.db2.gz QUTUQPDOCIIBHF-SECBINFHSA-N 0 0 254.261 2.715 20 5 CFBDRN CC[C@]1(C)CN(c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000302630163 382281041 /nfs/dbraw/zinc/28/10/41/382281041.db2.gz GIZRAPOHKBMPLE-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1coc(Sc2ncc([N+](=O)[O-])cc2C)n1 ZINC000302652562 382283292 /nfs/dbraw/zinc/28/32/92/382283292.db2.gz BZWBRFXICSRZAY-UHFFFAOYSA-N 0 0 251.267 2.746 20 5 CFBDRN COc1ccc(Cl)c(Cn2cc([N+](=O)[O-])cn2)c1 ZINC000187865042 382284156 /nfs/dbraw/zinc/28/41/56/382284156.db2.gz CYSKMTNHUFBZRB-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN CS[C@@H](C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352354811 382285856 /nfs/dbraw/zinc/28/58/56/382285856.db2.gz DNQHQJCEMOQPNU-VIFPVBQESA-N 0 0 277.349 2.728 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1ccccn1 ZINC000352357527 382287213 /nfs/dbraw/zinc/28/72/13/382287213.db2.gz RTBBYGOCPOKILP-UHFFFAOYSA-N 0 0 280.287 2.572 20 5 CFBDRN O=C(NCCC1(F)CCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000344274425 382243550 /nfs/dbraw/zinc/24/35/50/382243550.db2.gz XLNRGOGMPSYDLK-UHFFFAOYSA-N 0 0 295.314 2.676 20 5 CFBDRN C[C@@H]1N(c2ccccc2[N+](=O)[O-])CCC12CCOCC2 ZINC000363775203 382244387 /nfs/dbraw/zinc/24/43/87/382244387.db2.gz INBSLEWRJNZJFO-LBPRGKRZSA-N 0 0 276.336 2.990 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC(C)(C)O2)ncc1[N+](=O)[O-] ZINC000302382481 382245253 /nfs/dbraw/zinc/24/52/53/382245253.db2.gz GXWROZQNZHVRNL-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN CCc1nn(C)c(N2CCCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000302410870 382247737 /nfs/dbraw/zinc/24/77/37/382247737.db2.gz MPNCBJQLTWPBAI-SNVBAGLBSA-N 0 0 266.345 2.517 20 5 CFBDRN COCCCCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352362628 382290657 /nfs/dbraw/zinc/29/06/57/382290657.db2.gz WVUXFTQOWSSMJB-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1cccc(C)c1[N+](=O)[O-] ZINC000302472542 382254044 /nfs/dbraw/zinc/25/40/44/382254044.db2.gz DCVYXXZLUNNZFN-SKDRFNHKSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@@H](C)C(=O)NC(C)(C)C)c1 ZINC000302478655 382254876 /nfs/dbraw/zinc/25/48/76/382254876.db2.gz RABUNLDKXVUAOX-NSHDSACASA-N 0 0 293.367 2.643 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cn[nH]c2)c2ccncc21 ZINC000357622228 382255701 /nfs/dbraw/zinc/25/57/01/382255701.db2.gz CGCCUABWXXXPPT-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN O=[N+]([O-])c1cccnc1Nc1ccc(OC2COC2)cc1 ZINC000302546778 382266757 /nfs/dbraw/zinc/26/67/57/382266757.db2.gz PFSSAXPJUUJETE-UHFFFAOYSA-N 0 0 287.275 2.511 20 5 CFBDRN CO[C@@H]1CN(c2c(C)cccc2[N+](=O)[O-])CC[C@H]1C ZINC000302555967 382268096 /nfs/dbraw/zinc/26/80/96/382268096.db2.gz LKNKKLNQWNABIJ-ZWNOBZJWSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@@H]1CN(c2c(C)cccc2[N+](=O)[O-])CC[C@@H]1C ZINC000302555964 382268282 /nfs/dbraw/zinc/26/82/82/382268282.db2.gz LKNKKLNQWNABIJ-GXFFZTMASA-N 0 0 264.325 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccc(OC3COC3)cc2)nc1 ZINC000302558406 382268359 /nfs/dbraw/zinc/26/83/59/382268359.db2.gz SPIURSHXZSUWDL-UHFFFAOYSA-N 0 0 287.275 2.511 20 5 CFBDRN Cc1ccc(N[C@@H]2C[C@H]3OCCC[C@H]23)c([N+](=O)[O-])c1 ZINC000302568280 382271018 /nfs/dbraw/zinc/27/10/18/382271018.db2.gz PLMLXVPEWSLECR-MPKXVKKWSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(C)CCO[C@@H]2C2CC2)n1 ZINC000364140046 382271579 /nfs/dbraw/zinc/27/15/79/382271579.db2.gz PAGCKPIDDRRCRZ-HIFRSBDPSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000302579952 382272226 /nfs/dbraw/zinc/27/22/26/382272226.db2.gz SSGJSEDLYPJCCH-MRVWCRGKSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@@H]1CCCS1 ZINC000302577703 382272653 /nfs/dbraw/zinc/27/26/53/382272653.db2.gz QSDUWCPKNYUSGM-ZETCQYMHSA-N 0 0 273.745 2.951 20 5 CFBDRN COC[C@H]1CCCCN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000364159998 382275103 /nfs/dbraw/zinc/27/51/03/382275103.db2.gz RMQRQQUYEKRICR-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN COc1ccc(CNc2ccccc2[N+](=O)[O-])c(OC)n1 ZINC000357778098 382316174 /nfs/dbraw/zinc/31/61/74/382316174.db2.gz BJPYNJZYNKRJOR-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@@H](OC)C2)c([N+](=O)[O-])cc1F ZINC000302784908 382317821 /nfs/dbraw/zinc/31/78/21/382317821.db2.gz UYYWRHGPDLCNOW-RKDXNWHRSA-N 0 0 284.287 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCOC2(CCCC2)C1 ZINC000302787632 382318824 /nfs/dbraw/zinc/31/88/24/382318824.db2.gz BFPCJJHHLYZVBR-UHFFFAOYSA-N 0 0 280.299 2.883 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000357794747 382325548 /nfs/dbraw/zinc/32/55/48/382325548.db2.gz IRMTZUJJFZAQKR-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN COCc1ccc(Nc2ncccc2[N+](=O)[O-])cc1 ZINC000302827020 382329130 /nfs/dbraw/zinc/32/91/30/382329130.db2.gz NGYIYUIIEMWWDD-UHFFFAOYSA-N 0 0 259.265 2.880 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccc(OC(F)F)cn1 ZINC000302831369 382330600 /nfs/dbraw/zinc/33/06/00/382330600.db2.gz QVKPVAMSTZVRRN-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC(F)(F)CO ZINC000302834920 382330622 /nfs/dbraw/zinc/33/06/22/382330622.db2.gz UNWFUPAKLHYNAT-UHFFFAOYSA-N 0 0 280.658 2.596 20 5 CFBDRN COCCc1nsc(Oc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000302837892 382332151 /nfs/dbraw/zinc/33/21/51/382332151.db2.gz XZPZERXJEQVTBE-UHFFFAOYSA-N 0 0 295.320 2.736 20 5 CFBDRN COc1cc(O)cc(NC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000352448641 382338532 /nfs/dbraw/zinc/33/85/32/382338532.db2.gz IYVKSDKIXHDNPI-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN COc1cccc(N2CCCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000364283379 382297447 /nfs/dbraw/zinc/29/74/47/382297447.db2.gz RRMRAABEUAQYMA-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000293833737 382298402 /nfs/dbraw/zinc/29/84/02/382298402.db2.gz BVVDCFVJVNYABR-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H](CO)c3ccccc32)n1 ZINC000364286836 382298982 /nfs/dbraw/zinc/29/89/82/382298982.db2.gz YYYCKKBUQQYMPM-BXUZGUMPSA-N 0 0 299.330 2.931 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCCO[C@H]2CCC[C@H]21 ZINC000364868988 382355982 /nfs/dbraw/zinc/35/59/82/382355982.db2.gz IYZPRJQJIDMCJL-PWSUYJOCSA-N 0 0 297.742 2.791 20 5 CFBDRN Cc1cccc(C(C)(C)NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000346442164 382362755 /nfs/dbraw/zinc/36/27/55/382362755.db2.gz MCKJXMYXMOYZDB-UHFFFAOYSA-N 0 0 287.319 2.896 20 5 CFBDRN CC(C)C1(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)CC1 ZINC000293988381 382367851 /nfs/dbraw/zinc/36/78/51/382367851.db2.gz SCYCWIJVKXCLAB-UHFFFAOYSA-N 0 0 284.262 2.791 20 5 CFBDRN C[C@@H]1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)[C@@H]1C ZINC000293994711 382369103 /nfs/dbraw/zinc/36/91/03/382369103.db2.gz HUWUOCJZPPRBCD-NXEZZACHSA-N 0 0 294.326 2.878 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000293994718 382369217 /nfs/dbraw/zinc/36/92/17/382369217.db2.gz HUWUOCJZPPRBCD-VHSXEESVSA-N 0 0 294.326 2.878 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000346527234 382397401 /nfs/dbraw/zinc/39/74/01/382397401.db2.gz ISDPITIIOLBKJZ-SNVBAGLBSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCOC(C)(C)[C@@H]1C ZINC000357919965 382374343 /nfs/dbraw/zinc/37/43/43/382374343.db2.gz VFAXBMAGSUFEEO-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(C(=O)N2CCOC(C)(C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000357924153 382375851 /nfs/dbraw/zinc/37/58/51/382375851.db2.gz GZRQFRARNJEEEQ-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3cccc(C)c3[N+](=O)[O-])C2)c1 ZINC000377977399 382377605 /nfs/dbraw/zinc/37/76/05/382377605.db2.gz MQBBHCKOVHCLGZ-CYBMUJFWSA-N 0 0 286.335 2.860 20 5 CFBDRN Cc1cc(N2CCC[C@@](CO)(C(C)C)C2)ncc1[N+](=O)[O-] ZINC000294033104 382386579 /nfs/dbraw/zinc/38/65/79/382386579.db2.gz LJYPNBFMBBQJME-OAHLLOKOSA-N 0 0 293.367 2.533 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](CO)CC2)c1 ZINC000294037761 382389798 /nfs/dbraw/zinc/38/97/98/382389798.db2.gz LPSWOCJPHNEIRB-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2C)CCO1 ZINC000420614683 382425711 /nfs/dbraw/zinc/42/57/11/382425711.db2.gz BAFOPVGHMURRKX-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420613315 382425916 /nfs/dbraw/zinc/42/59/16/382425916.db2.gz RIDDNCUYUQCENT-JTQLQIEISA-N 0 0 291.351 2.566 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)n2)C[C@H](CC)O1 ZINC000420623247 382430641 /nfs/dbraw/zinc/43/06/41/382430641.db2.gz JPJNPWPWFBJBLK-TXEJJXNPSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCO[C@H]1CCCC[C@H]1C ZINC000346602875 382432233 /nfs/dbraw/zinc/43/22/33/382432233.db2.gz CYOCLGCMETXPSB-PWSUYJOCSA-N 0 0 267.329 2.695 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCO[C@@H]1CCCC[C@H]1C ZINC000346602877 382432319 /nfs/dbraw/zinc/43/23/19/382432319.db2.gz CYOCLGCMETXPSB-ZYHUDNBSSA-N 0 0 267.329 2.695 20 5 CFBDRN CCC(C)(C)C(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000188573553 382437123 /nfs/dbraw/zinc/43/71/23/382437123.db2.gz JKTXUMUTTHZTJX-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H](C)CCC1CC1 ZINC000346614784 382438176 /nfs/dbraw/zinc/43/81/76/382438176.db2.gz NKIXGVGDJRDACO-SECBINFHSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000294161262 382440723 /nfs/dbraw/zinc/44/07/23/382440723.db2.gz VONFGOKGNKLWOI-JTQLQIEISA-N 0 0 273.292 2.701 20 5 CFBDRN CC[C@@H]1CN(c2cccc(OC)c2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000420641797 382440752 /nfs/dbraw/zinc/44/07/52/382440752.db2.gz ZNWGXITZNVKPFT-VXGBXAGGSA-N 0 0 294.351 2.997 20 5 CFBDRN COC1([C@H](C)Nc2cc(C)ccc2[N+](=O)[O-])CCOCC1 ZINC000420641448 382440861 /nfs/dbraw/zinc/44/08/61/382440861.db2.gz NNJDCOFJUGUNLM-LBPRGKRZSA-N 0 0 294.351 2.899 20 5 CFBDRN COC1([C@@H](C)Nc2ccc(F)cc2[N+](=O)[O-])CCOCC1 ZINC000420641397 382441260 /nfs/dbraw/zinc/44/12/60/382441260.db2.gz NLEPVKJUJDJEAB-SNVBAGLBSA-N 0 0 298.314 2.730 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000420643495 382441913 /nfs/dbraw/zinc/44/19/13/382441913.db2.gz UMMJSDAILPTVNN-QWRGUYRKSA-N 0 0 291.351 2.932 20 5 CFBDRN CCOc1cc(OCc2nnc(CC)o2)ccc1[N+](=O)[O-] ZINC000188617427 382444644 /nfs/dbraw/zinc/44/46/44/382444644.db2.gz DREPRQHLYNMHAX-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1C[C@H]2CCCC[C@H]21 ZINC000365794884 382444832 /nfs/dbraw/zinc/44/48/32/382444832.db2.gz KMTFSGDNIVXHRM-CHWSQXEVSA-N 0 0 274.320 2.538 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000357997787 382402896 /nfs/dbraw/zinc/40/28/96/382402896.db2.gz YXFVAUALEKARNY-RYUDHWBXSA-N 0 0 292.335 2.526 20 5 CFBDRN CC(C)(NC(=O)Cc1cccnc1)c1ccccc1[N+](=O)[O-] ZINC000294071592 382404221 /nfs/dbraw/zinc/40/42/21/382404221.db2.gz KWJNUIDWMPVOMC-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN COC1(CCNC(=O)c2cc([N+](=O)[O-])c(C)s2)CCC1 ZINC000294073588 382405454 /nfs/dbraw/zinc/40/54/54/382405454.db2.gz RMECLBDRPZIHRV-UHFFFAOYSA-N 0 0 298.364 2.654 20 5 CFBDRN Cc1cnc(NCc2cc(C)nc(C)c2)c([N+](=O)[O-])c1 ZINC000420652821 382446911 /nfs/dbraw/zinc/44/69/11/382446911.db2.gz NDNCOLMJHXEKON-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C/C=C(\C)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294089175 382411420 /nfs/dbraw/zinc/41/14/20/382411420.db2.gz LZQLXJZRJMWMAC-BJMVGYQFSA-N 0 0 262.309 2.912 20 5 CFBDRN C[C@@H]1C[C@@H](NCc2ccc([N+](=O)[O-])cc2)c2nccn21 ZINC000294101495 382415015 /nfs/dbraw/zinc/41/50/15/382415015.db2.gz MTNOLDGICNYUBK-ZWNOBZJWSA-N 0 0 272.308 2.587 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420603250 382420331 /nfs/dbraw/zinc/42/03/31/382420331.db2.gz PISOGNGXYFMGER-RKDXNWHRSA-N 0 0 265.313 2.540 20 5 CFBDRN CCc1n[nH]c(C(=O)N(CC(C)C)CC(C)C)c1[N+](=O)[O-] ZINC000188483313 382421930 /nfs/dbraw/zinc/42/19/30/382421930.db2.gz PMLDWVOADLDUKG-UHFFFAOYSA-N 0 0 296.371 2.635 20 5 CFBDRN CC[C@H](C)CNC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000420832159 382469953 /nfs/dbraw/zinc/46/99/53/382469953.db2.gz CVVBOSVHEUGKAZ-JTQLQIEISA-N 0 0 279.340 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCCOCC1 ZINC000365811440 382449560 /nfs/dbraw/zinc/44/95/60/382449560.db2.gz BQFXSPRHWQFCIV-NSHDSACASA-N 0 0 279.292 2.625 20 5 CFBDRN C[C@@H]1CSC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000306102915 382451147 /nfs/dbraw/zinc/45/11/47/382451147.db2.gz QJYGIONKCDCFEZ-RDDDGLTNSA-N 0 0 256.302 2.897 20 5 CFBDRN Cc1cccc(N2CCC[C@H](CO)CC2)c1[N+](=O)[O-] ZINC000294192090 382453222 /nfs/dbraw/zinc/45/32/22/382453222.db2.gz TUFFDSVZIJCJCK-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000294196284 382455708 /nfs/dbraw/zinc/45/57/08/382455708.db2.gz GOUPUWXWWJTFMB-UHFFFAOYSA-N 0 0 250.298 2.769 20 5 CFBDRN O=C(Nc1csc2ccccc12)c1n[nH]cc1[N+](=O)[O-] ZINC000352713726 382456807 /nfs/dbraw/zinc/45/68/07/382456807.db2.gz PFZZCNFRVFPBML-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(CC(F)F)C2CC2)c(F)c1 ZINC000352722124 382459231 /nfs/dbraw/zinc/45/92/31/382459231.db2.gz UULXCVHQWSUPLF-UHFFFAOYSA-N 0 0 274.242 2.963 20 5 CFBDRN CN(Cc1cccc(F)c1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294214635 382462867 /nfs/dbraw/zinc/46/28/67/382462867.db2.gz CKFCWBGIOWNFQR-UHFFFAOYSA-N 0 0 282.315 2.620 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000294231820 382469027 /nfs/dbraw/zinc/46/90/27/382469027.db2.gz DKJYWJPSHYHWOK-CYBMUJFWSA-N 0 0 280.324 2.965 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@@H]1CF ZINC000294229738 382469170 /nfs/dbraw/zinc/46/91/70/382469170.db2.gz WTKNXWBWKDWZOB-MRVPVSSYSA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1ccc(NC(=O)CSC(F)F)cc1[N+](=O)[O-] ZINC000294287089 382494622 /nfs/dbraw/zinc/49/46/22/382494622.db2.gz USYRXBTVSYZRNV-UHFFFAOYSA-N 0 0 276.264 2.798 20 5 CFBDRN CCC(C)(C)OCCNc1ncc(C)cc1[N+](=O)[O-] ZINC000308510780 382478291 /nfs/dbraw/zinc/47/82/91/382478291.db2.gz FKQHWEGLZBVVMX-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cn2)Cc2ccccc2O1 ZINC000366186256 382481620 /nfs/dbraw/zinc/48/16/20/382481620.db2.gz OSPJVDXQFDQPHW-NSHDSACASA-N 0 0 285.303 2.777 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000358176228 382488328 /nfs/dbraw/zinc/48/83/28/382488328.db2.gz FDKPPRUHWMTIOS-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1cnccc1CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000188835764 382488599 /nfs/dbraw/zinc/48/85/99/382488599.db2.gz REPJDNYNYRZFJH-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN Cc1ccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])c(C)c1 ZINC000294274953 382489547 /nfs/dbraw/zinc/48/95/47/382489547.db2.gz HHQPXXDDJBYDNO-UHFFFAOYSA-N 0 0 278.352 2.755 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC12CCCCC2 ZINC000188998685 382521472 /nfs/dbraw/zinc/52/14/72/382521472.db2.gz DTODIDPNRWZAEW-CYBMUJFWSA-N 0 0 289.335 2.630 20 5 CFBDRN CC[C@H](Cc1ccccc1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294669906 382523618 /nfs/dbraw/zinc/52/36/18/382523618.db2.gz SMXALRHZMVUUHV-CQSZACIVSA-N 0 0 292.379 2.959 20 5 CFBDRN CCOc1cccc(NC[C@]2(C)CCOC2)c1[N+](=O)[O-] ZINC000358248370 382525687 /nfs/dbraw/zinc/52/56/87/382525687.db2.gz QXBGSRLZIXZDRW-AWEZNQCLSA-N 0 0 280.324 2.832 20 5 CFBDRN CO[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000378637809 382497279 /nfs/dbraw/zinc/49/72/79/382497279.db2.gz OOONJWVXZOSLKC-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@H](C)N(CCO)c1cc(C)ccc1[N+](=O)[O-] ZINC000310845096 382498527 /nfs/dbraw/zinc/49/85/27/382498527.db2.gz CAGRDQBZCIEOGQ-NSHDSACASA-N 0 0 252.314 2.500 20 5 CFBDRN CC(C)(C)C(C)(C)CNc1ncc([N+](=O)[O-])cn1 ZINC000310833734 382498609 /nfs/dbraw/zinc/49/86/09/382498609.db2.gz JLCCYNMDXOSTNT-UHFFFAOYSA-N 0 0 252.318 2.869 20 5 CFBDRN CCOC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CCCC1 ZINC000294298918 382499931 /nfs/dbraw/zinc/49/99/31/382499931.db2.gz VSDNHXJIKSGLRG-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN CC(F)(F)CCNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000379197225 382505027 /nfs/dbraw/zinc/50/50/27/382505027.db2.gz WWWAEJGEVNNKIR-UHFFFAOYSA-N 0 0 298.249 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCN2CCC[C@@H]2C(F)F)cc1 ZINC000353168917 382509531 /nfs/dbraw/zinc/50/95/31/382509531.db2.gz IJLYHYKEKAOXIZ-GFCCVEGCSA-N 0 0 286.278 2.703 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000421443882 382538707 /nfs/dbraw/zinc/53/87/07/382538707.db2.gz GZTRTFOWQJNNDM-SKDRFNHKSA-N 0 0 260.293 2.572 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSc2cccnn2)c1 ZINC000358270936 382539358 /nfs/dbraw/zinc/53/93/58/382539358.db2.gz DEMPGVLZEYXCNX-UHFFFAOYSA-N 0 0 277.305 2.556 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(F)(F)F ZINC000346931262 382576028 /nfs/dbraw/zinc/57/60/28/382576028.db2.gz XXQGQIUDTAXPJH-SNVBAGLBSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1cccc(OCC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000189110594 382545211 /nfs/dbraw/zinc/54/52/11/382545211.db2.gz SDXQGIJFYQPBGC-LLVKDONJSA-N 0 0 251.282 2.851 20 5 CFBDRN CC(C)OCCCN(C)c1ccc([N+](=O)[O-])c(N)c1F ZINC000294958796 382551035 /nfs/dbraw/zinc/55/10/35/382551035.db2.gz JUYQHZWBSBPBCR-UHFFFAOYSA-N 0 0 285.319 2.567 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000421477630 382551812 /nfs/dbraw/zinc/55/18/12/382551812.db2.gz DFDDJOIMPDIZAT-AXFHLTTASA-N 0 0 275.308 2.673 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000421482295 382554037 /nfs/dbraw/zinc/55/40/37/382554037.db2.gz KDUIITXVXRDZNA-PWSUYJOCSA-N 0 0 274.320 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@H]2CC[C@@H](O)C2)c1 ZINC000294968079 382557201 /nfs/dbraw/zinc/55/72/01/382557201.db2.gz LMUYZFNFHVGIGT-GXFFZTMASA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(C3(F)CCCC3)n2)nc1 ZINC000346881561 382558623 /nfs/dbraw/zinc/55/86/23/382558623.db2.gz NRWKBIIEDJNDIF-UHFFFAOYSA-N 0 0 292.270 2.702 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2C[C@H]2CC(C)C)c1[N+](=O)[O-] ZINC000385214260 382568018 /nfs/dbraw/zinc/56/80/18/382568018.db2.gz QADOHKITBKYOCI-MWLCHTKSSA-N 0 0 266.345 2.737 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCC(C)(C)CO ZINC000385676519 382573935 /nfs/dbraw/zinc/57/39/35/382573935.db2.gz IGIQXXIOVIDAIW-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C(F)F ZINC000421546359 382574556 /nfs/dbraw/zinc/57/45/56/382574556.db2.gz BPTYSBLTPKSBCF-JTQLQIEISA-N 0 0 286.278 2.985 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@]12C[C@@H]1CCC2 ZINC000421432185 382534757 /nfs/dbraw/zinc/53/47/57/382534757.db2.gz QMDYJNDOGQPBOP-WFASDCNBSA-N 0 0 274.320 2.743 20 5 CFBDRN CCc1cc(CC)nc(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000386545270 382589885 /nfs/dbraw/zinc/58/98/85/382589885.db2.gz DBIKLRCQSPJFPG-UHFFFAOYSA-N 0 0 286.335 2.544 20 5 CFBDRN CC[C@@H](Nc1ccnc2c1cccc2[N+](=O)[O-])[C@@H](C)O ZINC000386796160 382592058 /nfs/dbraw/zinc/59/20/58/382592058.db2.gz AWQDFAHFEUVGGY-MWLCHTKSSA-N 0 0 275.308 2.714 20 5 CFBDRN COc1cc(CN2CCC23CCC3)c([N+](=O)[O-])cc1OC ZINC000353651213 382595275 /nfs/dbraw/zinc/59/52/75/382595275.db2.gz ZJEBALGOJCDFGT-UHFFFAOYSA-N 0 0 292.335 2.740 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000388288416 382605046 /nfs/dbraw/zinc/60/50/46/382605046.db2.gz SYIUVWBGJZOTRN-OQPBUACISA-N 0 0 268.288 2.959 20 5 CFBDRN Cc1cccc(OC[C@H](O)CC(F)(F)F)c1[N+](=O)[O-] ZINC000189411846 382605349 /nfs/dbraw/zinc/60/53/49/382605349.db2.gz MWDZIZYFQRZRSO-MRVPVSSYSA-N 0 0 279.214 2.595 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000295288923 382608966 /nfs/dbraw/zinc/60/89/66/382608966.db2.gz IIEYMYNBCURYRG-BPNCWPANSA-N 0 0 292.335 2.730 20 5 CFBDRN COc1cnccc1[C@H](C)NCc1csc([N+](=O)[O-])c1 ZINC000189557780 382623968 /nfs/dbraw/zinc/62/39/68/382623968.db2.gz OCZXXKLDFAEHTI-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCC[C@H]2CCCO2)c1 ZINC000189619074 382630275 /nfs/dbraw/zinc/63/02/75/382630275.db2.gz UWVPEOJNJHPWGB-SNVBAGLBSA-N 0 0 254.261 2.715 20 5 CFBDRN COC(=O)c1cnc(NCCC2CCCC2)c([N+](=O)[O-])c1 ZINC000190166604 382655633 /nfs/dbraw/zinc/65/56/33/382655633.db2.gz JPDBMJUWDKNAEQ-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN COc1ccc(OCc2nc(C)c(C)o2)cc1[N+](=O)[O-] ZINC000190182276 382656062 /nfs/dbraw/zinc/65/60/62/382656062.db2.gz NHBLLNZSLCNPID-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN COc1ccc(OCc2ccn(C(C)C)n2)cc1[N+](=O)[O-] ZINC000190194152 382656352 /nfs/dbraw/zinc/65/63/52/382656352.db2.gz KCJGWVBPACJSQC-UHFFFAOYSA-N 0 0 291.307 2.960 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]2c2ncc[nH]2)s1 ZINC000190333557 382662720 /nfs/dbraw/zinc/66/27/20/382662720.db2.gz JMNCUJJJVLFQSZ-MRVPVSSYSA-N 0 0 279.325 2.506 20 5 CFBDRN CC(C)=CCNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000190584271 382674292 /nfs/dbraw/zinc/67/42/92/382674292.db2.gz LHSJLAKPYLFDSO-UHFFFAOYSA-N 0 0 263.297 2.991 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCC[C@H]2O)c1 ZINC000090794877 184616712 /nfs/dbraw/zinc/61/67/12/184616712.db2.gz GURITGLILOSWSK-IINYFYTJSA-N 0 0 280.324 2.566 20 5 CFBDRN COCCC[C@@H](C)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191016787 382688519 /nfs/dbraw/zinc/68/85/19/382688519.db2.gz GABPBMRWBLGRBH-SNVBAGLBSA-N 0 0 297.307 2.572 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H](O)C1CCCCC1 ZINC000191365363 382699204 /nfs/dbraw/zinc/69/92/04/382699204.db2.gz HKIXZWNKFBJTSY-ZDUSSCGKSA-N 0 0 295.335 2.923 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCS[C@@H](C)CC1 ZINC000191395986 382699731 /nfs/dbraw/zinc/69/97/31/382699731.db2.gz PNOPYWGYWQQSHC-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N(C)C2CCCCCC2)n1 ZINC000191486498 382701022 /nfs/dbraw/zinc/70/10/22/382701022.db2.gz GIPIGTGYGBLYJT-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN CCCC(O)(CCC)CNc1ncc([N+](=O)[O-])s1 ZINC000192459928 382709180 /nfs/dbraw/zinc/70/91/80/382709180.db2.gz PXMCSDQEXDPLFC-UHFFFAOYSA-N 0 0 273.358 2.795 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000192460073 382709229 /nfs/dbraw/zinc/70/92/29/382709229.db2.gz WUQKGAMWDAMSQY-ZCFIWIBFSA-N 0 0 282.325 2.699 20 5 CFBDRN CCCN(C(=O)c1ncoc1C)c1cccc([N+](=O)[O-])c1 ZINC000194124398 382735700 /nfs/dbraw/zinc/73/57/00/382735700.db2.gz AWQPNWBCPPTFQW-UHFFFAOYSA-N 0 0 289.291 2.948 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@H](C)CC1 ZINC000192542218 382710067 /nfs/dbraw/zinc/71/00/67/382710067.db2.gz AILUVQXZTVJMEY-JTQLQIEISA-N 0 0 266.345 2.746 20 5 CFBDRN CCOc1cccc(NCCCCCO)c1[N+](=O)[O-] ZINC000192584115 382710324 /nfs/dbraw/zinc/71/03/24/382710324.db2.gz LDEFOLANZPSBQA-UHFFFAOYSA-N 0 0 268.313 2.568 20 5 CFBDRN CSC1(CNc2cc(N)ccc2[N+](=O)[O-])CCOCC1 ZINC000192795908 382712447 /nfs/dbraw/zinc/71/24/47/382712447.db2.gz HRRFQRWVVKTCAZ-UHFFFAOYSA-N 0 0 297.380 2.501 20 5 CFBDRN CCC[C@@H]1CCCCN1C(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000192896548 382713521 /nfs/dbraw/zinc/71/35/21/382713521.db2.gz DSPBQBPBEMGAOA-SNVBAGLBSA-N 0 0 294.355 2.675 20 5 CFBDRN C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1cccc(O)c1 ZINC000192963183 382714040 /nfs/dbraw/zinc/71/40/40/382714040.db2.gz CLIOVYRWQRPRDG-JTQLQIEISA-N 0 0 286.287 2.791 20 5 CFBDRN CC(C)N(CC1CCC1)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000193007617 382714431 /nfs/dbraw/zinc/71/44/31/382714431.db2.gz CASWIPPEFDTJAV-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN CCOC1CC(CCNc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000193525811 382720753 /nfs/dbraw/zinc/72/07/53/382720753.db2.gz PNFVBIFQVAMVOG-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN C[C@H](NCc1nnsc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000575000279 382723085 /nfs/dbraw/zinc/72/30/85/382723085.db2.gz GXHFBBNAZXUNTQ-ZETCQYMHSA-N 0 0 298.755 2.951 20 5 CFBDRN COc1cccc(C(=O)N2CCCCCC2)c1[N+](=O)[O-] ZINC000193749070 382724338 /nfs/dbraw/zinc/72/43/38/382724338.db2.gz NFVXKUAXLMUDKB-UHFFFAOYSA-N 0 0 278.308 2.620 20 5 CFBDRN CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] ZINC000193887934 382728275 /nfs/dbraw/zinc/72/82/75/382728275.db2.gz XEQNLHZTNPMIQQ-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCOC[C@@H]1C ZINC000193956307 382730614 /nfs/dbraw/zinc/73/06/14/382730614.db2.gz YNSNSYYDYHEMLR-CMPLNLGQSA-N 0 0 292.335 2.823 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1CCC(O)CC1 ZINC000194011193 382732482 /nfs/dbraw/zinc/73/24/82/382732482.db2.gz QLRCBWAJNUQGBQ-UHFFFAOYSA-N 0 0 292.335 2.701 20 5 CFBDRN CC(C)(C)OC1CCN(c2ncccc2[N+](=O)[O-])CC1 ZINC000207096390 382774018 /nfs/dbraw/zinc/77/40/18/382774018.db2.gz NEIXPIOFOUFABY-UHFFFAOYSA-N 0 0 279.340 2.774 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@@H]3CCCC[C@H]3O)ccnc21 ZINC000214747255 382776935 /nfs/dbraw/zinc/77/69/35/382776935.db2.gz NJZSXZLBQMASAX-TZMCWYRMSA-N 0 0 287.319 2.858 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCO1 ZINC000219936168 382794879 /nfs/dbraw/zinc/79/48/79/382794879.db2.gz ANEJGCPRWYUQHR-DTWKUNHWSA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000337245678 382784806 /nfs/dbraw/zinc/78/48/06/382784806.db2.gz HJZZPBKXXFJHQM-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN Cn1c(C(=O)Nc2ccc(Cl)c(F)c2)ccc1[N+](=O)[O-] ZINC000337248156 382785079 /nfs/dbraw/zinc/78/50/79/382785079.db2.gz KPVBJWKGIQBTPN-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN Cc1nn(C)cc1CNc1cccc(C)c1[N+](=O)[O-] ZINC000218881626 382791100 /nfs/dbraw/zinc/79/11/00/382791100.db2.gz ORGWNXCEAUXJKJ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC3(CCCCC3)O2)s1 ZINC000336924233 382742674 /nfs/dbraw/zinc/74/26/74/382742674.db2.gz JWJHKLUYCMWIKP-SNVBAGLBSA-N 0 0 297.380 2.767 20 5 CFBDRN CSC1(CNC(=O)c2cccc([N+](=O)[O-])c2C)CCC1 ZINC000194482684 382745686 /nfs/dbraw/zinc/74/56/86/382745686.db2.gz VMGUSGVVNBPDLX-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN CSC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000194481429 382745707 /nfs/dbraw/zinc/74/57/07/382745707.db2.gz ISHHCHDIMSNXFW-UHFFFAOYSA-N 0 0 280.349 2.610 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000336959217 382746283 /nfs/dbraw/zinc/74/62/83/382746283.db2.gz ZCUMNOBVVNZOIT-MFKMUULPSA-N 0 0 291.351 2.891 20 5 CFBDRN CSC1(CNC(=O)c2cc([N+](=O)[O-])c(C)s2)CC1 ZINC000194531131 382747309 /nfs/dbraw/zinc/74/73/09/382747309.db2.gz YXNVVLCADLXUBX-UHFFFAOYSA-N 0 0 286.378 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCC(F)(F)CC2)nc1 ZINC000336982580 382748448 /nfs/dbraw/zinc/74/84/48/382748448.db2.gz FDOOFFKIHSALAP-UHFFFAOYSA-N 0 0 271.267 2.649 20 5 CFBDRN Cc1ccc(OCCO[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000194719793 382753186 /nfs/dbraw/zinc/75/31/86/382753186.db2.gz YNZWNNDJLNTKEO-CQSZACIVSA-N 0 0 281.308 2.825 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1CCSCC1 ZINC000194793448 382754389 /nfs/dbraw/zinc/75/43/89/382754389.db2.gz YZTDGJWYBSXDNP-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1cc(NCC[C@@H](C)O)c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000228485172 382847190 /nfs/dbraw/zinc/84/71/90/382847190.db2.gz KATNPFGDKNHRMV-SNVBAGLBSA-N 0 0 275.308 2.634 20 5 CFBDRN CC(C)(CCCO)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000221214552 382797750 /nfs/dbraw/zinc/79/77/50/382797750.db2.gz PDIMMGALBVOAET-UHFFFAOYSA-N 0 0 295.339 2.515 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CCC[C@H](O)C1 ZINC000223247742 382804762 /nfs/dbraw/zinc/80/47/62/382804762.db2.gz RRCIQORLENKCLK-AAEUAGOBSA-N 0 0 264.325 2.866 20 5 CFBDRN CCC(CC)(CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000223581356 382805657 /nfs/dbraw/zinc/80/56/57/382805657.db2.gz FODZDBSZGFQTHZ-UHFFFAOYSA-N 0 0 273.720 2.606 20 5 CFBDRN CC(C)C[C@@H](O)CNc1ccc([N+](=O)[O-])cc1F ZINC000224285461 382809854 /nfs/dbraw/zinc/80/98/54/382809854.db2.gz RFKYDUXEBSJYBY-SNVBAGLBSA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1cc(COc2cc(C)ccc2[N+](=O)[O-])n(C)n1 ZINC000576410827 382821604 /nfs/dbraw/zinc/82/16/04/382821604.db2.gz NOKAIIFXQXRFRN-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN COC[C@H]1CCCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000226531460 382829670 /nfs/dbraw/zinc/82/96/70/382829670.db2.gz DEHXXYUCHANBGZ-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN CCC1(CNc2ccc(C(=O)NC)cc2[N+](=O)[O-])CC1 ZINC000226807853 382831576 /nfs/dbraw/zinc/83/15/76/382831576.db2.gz DEODCEAONGBLEZ-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN COC1(CNc2cccc(F)c2[N+](=O)[O-])CCC1 ZINC000227305074 382835106 /nfs/dbraw/zinc/83/51/06/382835106.db2.gz BQXRNUDZSHGZJS-UHFFFAOYSA-N 0 0 254.261 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCCCO2)cc1 ZINC000227317941 382835499 /nfs/dbraw/zinc/83/54/99/382835499.db2.gz NINASVWWEXFQAG-ZDUSSCGKSA-N 0 0 250.298 2.966 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@]2(O)CCCC[C@H]2C1 ZINC000227373128 382836727 /nfs/dbraw/zinc/83/67/27/382836727.db2.gz DUXARFWLUAEYJG-WFASDCNBSA-N 0 0 276.336 2.726 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@]2(O)CCCC[C@H]2C1 ZINC000227373122 382836865 /nfs/dbraw/zinc/83/68/65/382836865.db2.gz DUXARFWLUAEYJG-SWLSCSKDSA-N 0 0 276.336 2.726 20 5 CFBDRN CC(C)C[C@@H](C)Cn1cc([N+](=O)[O-])cc(F)c1=O ZINC000576822974 382837302 /nfs/dbraw/zinc/83/73/02/382837302.db2.gz LJZFWCIWILHUMK-SECBINFHSA-N 0 0 256.277 2.578 20 5 CFBDRN Cc1cnc(N2CCC[C@H]2[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000576819755 382837405 /nfs/dbraw/zinc/83/74/05/382837405.db2.gz MEMALHGRBFFRJM-OLZOCXBDSA-N 0 0 291.351 2.694 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CCO)C2CCCCC2)c(F)c1 ZINC000576842024 382838954 /nfs/dbraw/zinc/83/89/54/382838954.db2.gz YVXKCQAKFPWLGA-ZDUSSCGKSA-N 0 0 297.330 2.872 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000227652075 382838992 /nfs/dbraw/zinc/83/89/92/382838992.db2.gz LAFYRBZISLDQTP-NSHDSACASA-N 0 0 287.747 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@@H]1CC[C@@H](O)C1 ZINC000227661375 382839047 /nfs/dbraw/zinc/83/90/47/382839047.db2.gz WGPTWCHCZJSHEU-PSASIEDQSA-N 0 0 270.716 2.821 20 5 CFBDRN C[C@H](O)CCCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000227684400 382839330 /nfs/dbraw/zinc/83/93/30/382839330.db2.gz HKFJPILDBCLTCY-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN C[C@@H](O)CCCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000227684393 382839398 /nfs/dbraw/zinc/83/93/98/382839398.db2.gz HKFJPILDBCLTCY-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@H]2CCCOC2)c(F)c1 ZINC000227888612 382841899 /nfs/dbraw/zinc/84/18/99/382841899.db2.gz UOWOJUIFRIYRTB-MRVPVSSYSA-N 0 0 272.251 2.712 20 5 CFBDRN CC[C@H](COC)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890566 382842049 /nfs/dbraw/zinc/84/20/49/382842049.db2.gz OJAIUEMJIXRAPP-SSDOTTSWSA-N 0 0 260.240 2.710 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCc2ccncc2)c(F)c1 ZINC000227887235 382842142 /nfs/dbraw/zinc/84/21/42/382842142.db2.gz ZNMNNNNNKFORAA-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CSC1(CNc2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000227991253 382843407 /nfs/dbraw/zinc/84/34/07/382843407.db2.gz JGSVDHOIQPSMDD-UHFFFAOYSA-N 0 0 284.366 2.612 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)NC1(C(F)F)CC1 ZINC000337578228 382844546 /nfs/dbraw/zinc/84/45/46/382844546.db2.gz YGYJGIXYMZTOLW-UHFFFAOYSA-N 0 0 289.213 2.653 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000577259444 382853212 /nfs/dbraw/zinc/85/32/12/382853212.db2.gz AVDKYIDQNLIHRB-TZMCWYRMSA-N 0 0 273.292 2.732 20 5 CFBDRN CSCCCCCCNc1c([N+](=O)[O-])c(C)nn1C ZINC000230097091 382855533 /nfs/dbraw/zinc/85/55/33/382855533.db2.gz ZFGHFBUHXAXNMU-UHFFFAOYSA-N 0 0 286.401 2.972 20 5 CFBDRN CS[C@H](CO)[C@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000230198877 382857118 /nfs/dbraw/zinc/85/71/18/382857118.db2.gz YMMABJCVOZVINU-WRWORJQWSA-N 0 0 290.772 2.773 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1C(F)F ZINC000337737572 382860120 /nfs/dbraw/zinc/86/01/20/382860120.db2.gz BCRJDFGBUPJBNB-DTWKUNHWSA-N 0 0 270.235 2.743 20 5 CFBDRN CCc1cnc(CNc2cccnc2[N+](=O)[O-])s1 ZINC000230920390 382863722 /nfs/dbraw/zinc/86/37/22/382863722.db2.gz IEFUJHPSXABHTA-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000230917359 382863907 /nfs/dbraw/zinc/86/39/07/382863907.db2.gz PYDONTCZLYOEES-WPRPVWTQSA-N 0 0 253.327 2.686 20 5 CFBDRN CC(C)SCCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000337776464 382863972 /nfs/dbraw/zinc/86/39/72/382863972.db2.gz YAKYGFLVOMXLDT-UHFFFAOYSA-N 0 0 271.338 2.608 20 5 CFBDRN COc1cccc(CCNc2ncc(C)cc2[N+](=O)[O-])c1 ZINC000231137692 382864410 /nfs/dbraw/zinc/86/44/10/382864410.db2.gz FGVNDOZZWCTZMY-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN O=[N+]([O-])c1c(NCCOCC(F)F)ccc2ncccc21 ZINC000231678194 382866148 /nfs/dbraw/zinc/86/61/48/382866148.db2.gz YLUAHHDVPMRWPX-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1nnc(C2CC2)o1 ZINC000337808405 382867624 /nfs/dbraw/zinc/86/76/24/382867624.db2.gz LIDMKTMWGTWNEH-UHFFFAOYSA-N 0 0 288.307 2.534 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2C[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000337818543 382868559 /nfs/dbraw/zinc/86/85/59/382868559.db2.gz OBFWRWXZCMJHKL-IUCAKERBSA-N 0 0 270.235 2.743 20 5 CFBDRN CCc1nn(C)c(NCC(C)(C)CC)c1[N+](=O)[O-] ZINC000234147286 382873187 /nfs/dbraw/zinc/87/31/87/382873187.db2.gz OSNWDRWJIGEKBY-UHFFFAOYSA-N 0 0 254.334 2.739 20 5 CFBDRN COCCOCCCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000234655724 382874566 /nfs/dbraw/zinc/87/45/66/382874566.db2.gz ZDVMGCUWDJDLTR-UHFFFAOYSA-N 0 0 288.731 2.713 20 5 CFBDRN COC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000234864247 382875265 /nfs/dbraw/zinc/87/52/65/382875265.db2.gz GHCXRAJABANIJM-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000421584617 382876691 /nfs/dbraw/zinc/87/66/91/382876691.db2.gz BFGAIGFXLRNVPV-MRVPVSSYSA-N 0 0 284.287 2.518 20 5 CFBDRN CC[C@H](F)CN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000440772892 382880278 /nfs/dbraw/zinc/88/02/78/382880278.db2.gz DPYQRPIVRSJSCF-LBPRGKRZSA-N 0 0 252.289 2.701 20 5 CFBDRN C[C@H](CNC(=O)OC(C)(C)C)Nc1ccc([N+](=O)[O-])cc1 ZINC000235475790 382881954 /nfs/dbraw/zinc/88/19/54/382881954.db2.gz ZPRFSJWPJCMAFZ-SNVBAGLBSA-N 0 0 295.339 2.920 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@@H]1CCCOC1 ZINC000533201868 382886611 /nfs/dbraw/zinc/88/66/11/382886611.db2.gz WZNNWIJDWQXDKM-SECBINFHSA-N 0 0 254.311 2.509 20 5 CFBDRN CO[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])[C@H](C)C1 ZINC000441374900 382911321 /nfs/dbraw/zinc/91/13/21/382911321.db2.gz ICYREKWYPVAFBG-GHMZBOCLSA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000493746364 382891964 /nfs/dbraw/zinc/89/19/64/382891964.db2.gz OACGMCPTBFRSPP-IDTUSYRASA-N 0 0 292.310 2.959 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC1C[C@H]2C[C@H]2C1 ZINC000493753117 382895201 /nfs/dbraw/zinc/89/52/01/382895201.db2.gz KPSKWOSUKAMTCA-GDTDAVGNSA-N 0 0 272.304 2.523 20 5 CFBDRN C[C@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(C)(F)F ZINC000455341818 382898598 /nfs/dbraw/zinc/89/85/98/382898598.db2.gz XPVKQSLZTUYEAR-LURJTMIESA-N 0 0 291.229 2.899 20 5 CFBDRN O=[N+]([O-])c1cccc(CSCCC2(O)CC2)c1 ZINC000442732992 382950892 /nfs/dbraw/zinc/95/08/92/382950892.db2.gz AHICTSVMLBHYKR-UHFFFAOYSA-N 0 0 253.323 2.743 20 5 CFBDRN Cc1ccnc(CNC(=O)c2cc([N+](=O)[O-])c(C)cc2C)c1 ZINC000421885089 382960478 /nfs/dbraw/zinc/96/04/78/382960478.db2.gz GBGWPOFZXJVMPG-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cn1c2ccc([N+](=O)[O-])cc2nc1-c1cccc(O)c1 ZINC000338239046 382960578 /nfs/dbraw/zinc/96/05/78/382960578.db2.gz UUTOYQREKRYTHA-UHFFFAOYSA-N 0 0 269.260 2.854 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)[C@H](C)C1 ZINC000441448367 382914071 /nfs/dbraw/zinc/91/40/71/382914071.db2.gz MXOGDWWGUXVWHR-PSASIEDQSA-N 0 0 298.364 2.604 20 5 CFBDRN CCCc1[nH]ccc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000421752380 382915421 /nfs/dbraw/zinc/91/54/21/382915421.db2.gz RSYJVHOCCHUIBT-UHFFFAOYSA-N 0 0 287.319 2.805 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H](CO)CC(F)(F)F ZINC000534494715 382931036 /nfs/dbraw/zinc/93/10/36/382931036.db2.gz XSLAXLZINDTHEH-ZETCQYMHSA-N 0 0 298.648 2.973 20 5 CFBDRN COc1ccc(NC(=O)N2CCCCCO2)cc1[N+](=O)[O-] ZINC000578993549 382972521 /nfs/dbraw/zinc/97/25/21/382972521.db2.gz WTGZOYQLZKXXKK-UHFFFAOYSA-N 0 0 295.295 2.553 20 5 CFBDRN CC1(C)C[C@@H](CNC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000457162738 382975223 /nfs/dbraw/zinc/97/52/23/382975223.db2.gz RYIQIRXOEXEIPZ-VIFPVBQESA-N 0 0 298.364 2.591 20 5 CFBDRN CCC(CC)(NCc1cccc([N+](=O)[O-])c1C)C(=O)OC ZINC000338350813 382998765 /nfs/dbraw/zinc/99/87/65/382998765.db2.gz JJUUDYIDMLCYOD-UHFFFAOYSA-N 0 0 294.351 2.725 20 5 CFBDRN CC[C@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1ccncc1 ZINC000447684783 382999492 /nfs/dbraw/zinc/99/94/92/382999492.db2.gz DRRVXWWSTGBSFX-LBPRGKRZSA-N 0 0 297.318 2.851 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=NC[C@@H]3CC=CCC3)[nH]c2c1 ZINC000447692029 382999806 /nfs/dbraw/zinc/99/98/06/382999806.db2.gz XYXOCNQSVWPVGD-SNVBAGLBSA-N 0 0 272.308 2.661 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1NCC(F)F ZINC000447806725 383009262 /nfs/dbraw/zinc/00/92/62/383009262.db2.gz NYBHFQOFJINUJY-UHFFFAOYSA-N 0 0 294.641 2.712 20 5 CFBDRN C/C=C\c1ccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])cc1 ZINC000579395339 383012396 /nfs/dbraw/zinc/01/23/96/383012396.db2.gz YXTQVZHANRUAPF-IHWYPQMZSA-N 0 0 272.264 2.603 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)OCC1CC1 ZINC000495679738 383012375 /nfs/dbraw/zinc/01/23/75/383012375.db2.gz MXDNTHGXAMQKTL-UHFFFAOYSA-N 0 0 278.308 2.616 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000447818204 383012827 /nfs/dbraw/zinc/01/28/27/383012827.db2.gz RNCWRFLVMKKOCF-JQWIXIFHSA-N 0 0 282.315 2.864 20 5 CFBDRN CC(=O)CN(CC(C)C)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000421902706 382966002 /nfs/dbraw/zinc/96/60/02/382966002.db2.gz DSYZGCKHMBIFLN-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])O1 ZINC000457351537 383030647 /nfs/dbraw/zinc/03/06/47/383030647.db2.gz DMHLIFQFEBNHQA-IUCAKERBSA-N 0 0 298.726 2.546 20 5 CFBDRN CCc1nn(C)c(NCCC2(COC)CCC2)c1[N+](=O)[O-] ZINC000338736748 383033851 /nfs/dbraw/zinc/03/38/51/383033851.db2.gz SRLRHOMYOCMMPT-UHFFFAOYSA-N 0 0 296.371 2.509 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@@H](C)F ZINC000338757612 383041436 /nfs/dbraw/zinc/04/14/36/383041436.db2.gz VFSOVXDJXBFFIC-SECBINFHSA-N 0 0 256.277 2.901 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1C[C@@H]1c1ccccc1 ZINC000338768106 383043871 /nfs/dbraw/zinc/04/38/71/383043871.db2.gz FGRSMGNSYWQXKH-NWDGAFQWSA-N 0 0 272.308 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC2(CCC2)[C@H]2COC[C@H]21 ZINC000579808104 383055318 /nfs/dbraw/zinc/05/53/18/383055318.db2.gz PCQGQKUGWOJHKG-SMDDNHRTSA-N 0 0 292.310 2.739 20 5 CFBDRN CCOc1cc(N2CCC[C@@H]2CF)ccc1[N+](=O)[O-] ZINC000338807518 383058757 /nfs/dbraw/zinc/05/87/57/383058757.db2.gz LIWMTBQLFGBVRZ-LLVKDONJSA-N 0 0 268.288 2.932 20 5 CFBDRN CC(C)OC(C)(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000448548281 383065911 /nfs/dbraw/zinc/06/59/11/383065911.db2.gz PKMRLFLQPDZUKZ-UHFFFAOYSA-N 0 0 266.297 2.737 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cccc(O)c2)c1 ZINC000338649605 383025543 /nfs/dbraw/zinc/02/55/43/383025543.db2.gz AFSNXMMXJWOUSZ-UHFFFAOYSA-N 0 0 274.276 2.921 20 5 CFBDRN CCC[C@H](CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000579556424 383027678 /nfs/dbraw/zinc/02/76/78/383027678.db2.gz JYCNUDHXMHMHBG-SMDDNHRTSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)c[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000339017380 383122433 /nfs/dbraw/zinc/12/24/33/383122433.db2.gz KSZHOIDLKGDEDC-MRVPVSSYSA-N 0 0 277.255 2.553 20 5 CFBDRN CCOc1cc(N[C@H]2C[C@H](OCC)C2)ccc1[N+](=O)[O-] ZINC000596436004 383126506 /nfs/dbraw/zinc/12/65/06/383126506.db2.gz HCGURTPJNIBTRG-HAQNSBGRSA-N 0 0 280.324 2.973 20 5 CFBDRN CC(C)n1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000280444600 194844185 /nfs/dbraw/zinc/84/41/85/194844185.db2.gz KJYPBQRDPSLBLC-UHFFFAOYSA-N 0 0 280.309 2.686 20 5 CFBDRN Cc1cc(C)c(CCNc2c([N+](=O)[O-])ncn2C)c(C)c1 ZINC000339043768 383130118 /nfs/dbraw/zinc/13/01/18/383130118.db2.gz IOGYGFQHGKNQRM-UHFFFAOYSA-N 0 0 288.351 2.908 20 5 CFBDRN CN(C)C(=O)[C@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000609631406 383134625 /nfs/dbraw/zinc/13/46/25/383134625.db2.gz TTWHDFHAXXQTSD-VHSXEESVSA-N 0 0 297.380 2.715 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)COC1CCCC1 ZINC000339081871 383142409 /nfs/dbraw/zinc/14/24/09/383142409.db2.gz WUTASULHUTUJKU-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cncs2)c1 ZINC000338903961 383080992 /nfs/dbraw/zinc/08/09/92/383080992.db2.gz ARTGSBCZQISDDS-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc(F)cn2)cc1[N+](=O)[O-] ZINC000338957970 383100344 /nfs/dbraw/zinc/10/03/44/383100344.db2.gz MSMIMKSNEFKRHS-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCn1nccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000338958568 383101152 /nfs/dbraw/zinc/10/11/52/383101152.db2.gz SIKVAHMOCBDJGX-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H](C)[C@H](C)C1 ZINC000584421256 383101868 /nfs/dbraw/zinc/10/18/68/383101868.db2.gz JJFVPNDXZVIGPA-NXEZZACHSA-N 0 0 250.298 2.696 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1C[C@@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000553473909 383110122 /nfs/dbraw/zinc/11/01/22/383110122.db2.gz KDTPSMXQZYXBGN-GGVZMXCHSA-N 0 0 299.277 2.609 20 5 CFBDRN Cc1nc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)[nH]c1C ZINC000584540522 383111672 /nfs/dbraw/zinc/11/16/72/383111672.db2.gz BKJUSBAUKDSTOD-LLVKDONJSA-N 0 0 274.324 2.786 20 5 CFBDRN CC[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000247503785 383179270 /nfs/dbraw/zinc/17/92/70/383179270.db2.gz IPDVULOXLACZID-GHMZBOCLSA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000566735814 383206448 /nfs/dbraw/zinc/20/64/48/383206448.db2.gz YBKGFVIDMLCYBC-SNVBAGLBSA-N 0 0 280.299 2.882 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccsc1 ZINC000619758148 383148102 /nfs/dbraw/zinc/14/81/02/383148102.db2.gz VEGBZWPUPNJXIY-QMMMGPOBSA-N 0 0 291.332 2.851 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCO[C@H]1c1cccnc1 ZINC000339095752 383148259 /nfs/dbraw/zinc/14/82/59/383148259.db2.gz RYWQFUUQCVDLTA-ZFWWWQNUSA-N 0 0 285.303 2.932 20 5 CFBDRN O=C(CC1CC(F)(F)C1)Nc1cccc([N+](=O)[O-])c1 ZINC000449040617 383150378 /nfs/dbraw/zinc/15/03/78/383150378.db2.gz IQCZWSUZSXEEFV-UHFFFAOYSA-N 0 0 270.235 2.969 20 5 CFBDRN CC1(C(=O)Nc2cccc([N+](=O)[O-])c2)CCC(=O)CC1 ZINC000449043243 383150432 /nfs/dbraw/zinc/15/04/32/383150432.db2.gz XCRUGOMWOJXGPL-UHFFFAOYSA-N 0 0 276.292 2.683 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000339116005 383154671 /nfs/dbraw/zinc/15/46/71/383154671.db2.gz JYNYKERREZAORL-DAXSKMNVSA-N 0 0 257.249 2.569 20 5 CFBDRN COc1cc(COc2cc([N+](=O)[O-])ccc2OC)ccn1 ZINC000339617584 383263402 /nfs/dbraw/zinc/26/34/02/383263402.db2.gz XUXKCUJEOQYOMY-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN CC(C)(C)[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000280547409 194878380 /nfs/dbraw/zinc/87/83/80/194878380.db2.gz NMDPYDGFIKEXPE-JTQLQIEISA-N 0 0 279.340 2.821 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@H]1CCC[C@H](F)C1 ZINC000424682121 383229405 /nfs/dbraw/zinc/22/94/05/383229405.db2.gz DKQPMVMRKNAYTA-STQMWFEESA-N 0 0 294.326 2.782 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](F)C1 ZINC000566977006 383230499 /nfs/dbraw/zinc/23/04/99/383230499.db2.gz QNVIAMMDBZTBGI-SECBINFHSA-N 0 0 267.260 2.561 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@H](F)C1 ZINC000567106104 383241082 /nfs/dbraw/zinc/24/10/82/383241082.db2.gz BTVAVTUGTMOWQV-GXSJLCMTSA-N 0 0 281.287 2.997 20 5 CFBDRN CC[C@@H](NCc1csc([N+](=O)[O-])c1)C(F)F ZINC000449366696 383241315 /nfs/dbraw/zinc/24/13/15/383241315.db2.gz ZWJGSXLZSQBVTR-SSDOTTSWSA-N 0 0 250.270 2.790 20 5 CFBDRN CO[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@@H]1C ZINC000449492976 383329761 /nfs/dbraw/zinc/32/97/61/383329761.db2.gz CZAIWTSIOMVFMQ-ONGXEEELSA-N 0 0 270.354 2.513 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000425152562 383333408 /nfs/dbraw/zinc/33/34/08/383333408.db2.gz ICZGBBVLQNREMK-PTEHBNRSSA-N 0 0 288.347 2.787 20 5 CFBDRN CO[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)CC1(C)C ZINC000449504902 383340914 /nfs/dbraw/zinc/34/09/14/383340914.db2.gz WPXRYGVMNUQAML-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN CO[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)CC1(C)C ZINC000449505173 383341205 /nfs/dbraw/zinc/34/12/05/383341205.db2.gz WPXRYGVMNUQAML-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H]2CCCN(Cc3cocn3)C2)cc1 ZINC000449506928 383343525 /nfs/dbraw/zinc/34/35/25/383343525.db2.gz ZODTXARNUFOEMT-CYBMUJFWSA-N 0 0 287.319 2.962 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(F)(F)F ZINC000424953101 383297245 /nfs/dbraw/zinc/29/72/45/383297245.db2.gz SAJAXZMHZHLMIX-RXMQYKEDSA-N 0 0 296.632 2.929 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1C=CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000521528352 383299038 /nfs/dbraw/zinc/29/90/38/383299038.db2.gz RRGBTBUGNJJOIC-YPMHNXCESA-N 0 0 274.320 2.923 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cccc(C)n1 ZINC000339741132 383303681 /nfs/dbraw/zinc/30/36/81/383303681.db2.gz BKSIPMQJGIMHAX-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000424992988 383306199 /nfs/dbraw/zinc/30/61/99/383306199.db2.gz WCDYKNAVEPNYRC-LBPRGKRZSA-N 0 0 274.320 2.541 20 5 CFBDRN CO[C@@H](C)CN[C@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000521885067 383313221 /nfs/dbraw/zinc/31/32/21/383313221.db2.gz NARKDYDBHFLHOU-GZMMTYOYSA-N 0 0 292.257 2.823 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)C1(CF)CCC1 ZINC000522060510 383324745 /nfs/dbraw/zinc/32/47/45/383324745.db2.gz LLAKTPXMZJZJSN-UHFFFAOYSA-N 0 0 270.235 2.812 20 5 CFBDRN CCCN(CC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000480061375 383415423 /nfs/dbraw/zinc/41/54/23/383415423.db2.gz PQWOHGRICSCOME-UHFFFAOYSA-N 0 0 279.340 2.845 20 5 CFBDRN CCc1cnc(COc2ccc([N+](=O)[O-])cc2OC)o1 ZINC000525184142 383396848 /nfs/dbraw/zinc/39/68/48/383396848.db2.gz ZNMTYSOSNRLZOV-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN Cc1c(Nc2ccc([N+](=O)[O-])nc2)cnn1CC(C)C ZINC000450415855 383399426 /nfs/dbraw/zinc/39/94/26/383399426.db2.gz CRLNQXCIXULWEX-UHFFFAOYSA-N 0 0 275.312 2.894 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSc2cnccn2)c1 ZINC000525685287 383403915 /nfs/dbraw/zinc/40/39/15/383403915.db2.gz PNRRRPPVNWJPET-UHFFFAOYSA-N 0 0 277.305 2.556 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C[C@@H](C)O1 ZINC000252442948 383408502 /nfs/dbraw/zinc/40/85/02/383408502.db2.gz FFMVUJCESNRCKQ-BXUZGUMPSA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@]1(C(=O)NCCc2cccc([N+](=O)[O-])c2)CC=CCC1 ZINC000450438349 383411073 /nfs/dbraw/zinc/41/10/73/383411073.db2.gz MHVDREAZMGQFFH-INIZCTEOSA-N 0 0 288.347 3.000 20 5 CFBDRN COc1cccnc1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000523336245 383350845 /nfs/dbraw/zinc/35/08/45/383350845.db2.gz WFDNVHYFVNBLFI-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000340086147 383350869 /nfs/dbraw/zinc/35/08/69/383350869.db2.gz YPVDGSVEWAWUKB-WDEREUQCSA-N 0 0 262.309 2.761 20 5 CFBDRN Cc1ncc2c(n1)CCN([C@H](C)c1ccccc1[N+](=O)[O-])C2 ZINC000524759058 383382378 /nfs/dbraw/zinc/38/23/78/383382378.db2.gz WLAKCCNBDAUUCS-LLVKDONJSA-N 0 0 298.346 2.813 20 5 CFBDRN COCC1(C)CN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000450490963 383435259 /nfs/dbraw/zinc/43/52/59/383435259.db2.gz MVZMHTBQMPDETF-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H]2CCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000280750651 194957228 /nfs/dbraw/zinc/95/72/28/194957228.db2.gz PMMGPENQRUAYCD-XHDPSFHLSA-N 0 0 292.335 2.760 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CCC2(C)C)c([N+](=O)[O-])c1 ZINC000450536032 383450961 /nfs/dbraw/zinc/45/09/61/383450961.db2.gz RUMSYKNNUQEVAT-CYBMUJFWSA-N 0 0 292.335 2.911 20 5 CFBDRN CSC[C@@H](C)NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000340532008 383460628 /nfs/dbraw/zinc/46/06/28/383460628.db2.gz LAOOQNYPGGEHCO-SNVBAGLBSA-N 0 0 296.392 2.740 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])cn1C)c1ccc2cc[nH]c2c1 ZINC000527189368 383460757 /nfs/dbraw/zinc/46/07/57/383460757.db2.gz RWQIARYFBDNWLN-UHFFFAOYSA-N 0 0 298.302 2.691 20 5 CFBDRN CCN(C)C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000253610803 383465152 /nfs/dbraw/zinc/46/51/52/383465152.db2.gz BEJVAZMCYFBYNI-VIFPVBQESA-N 0 0 268.338 2.554 20 5 CFBDRN Nc1ccc(-c2noc([C@@H]3C[C@H]3C3CC3)n2)cc1[N+](=O)[O-] ZINC000340548867 383467664 /nfs/dbraw/zinc/46/76/64/383467664.db2.gz IITZSFQMRCIZIT-VHSXEESVSA-N 0 0 286.291 2.741 20 5 CFBDRN CCC[C@H](CNc1nc(C)cc(C)c1[N+](=O)[O-])OC ZINC000450578840 383467850 /nfs/dbraw/zinc/46/78/50/383467850.db2.gz BLDDIKQURZHQCI-LLVKDONJSA-N 0 0 267.329 2.834 20 5 CFBDRN O=C1c2cccc([N+](=O)[O-])c2C(=O)N1CC1=CCCCC1 ZINC000450577453 383468051 /nfs/dbraw/zinc/46/80/51/383468051.db2.gz DOZKBRGHFVFOJI-UHFFFAOYSA-N 0 0 286.287 2.691 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000486466811 383472175 /nfs/dbraw/zinc/47/21/75/383472175.db2.gz KTIUHFMNYCETMZ-DIYOJNKTSA-N 0 0 298.140 2.963 20 5 CFBDRN CCC[C@H](CNc1cc(OC)c(F)cc1[N+](=O)[O-])OC ZINC000450588157 383472428 /nfs/dbraw/zinc/47/24/28/383472428.db2.gz UTSPDLMZLPCURV-SECBINFHSA-N 0 0 286.303 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(CF)CF)c2ccncc21 ZINC000450593355 383473409 /nfs/dbraw/zinc/47/34/09/383473409.db2.gz QQBRLLHPDSSVHO-UHFFFAOYSA-N 0 0 267.235 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC(CF)CF)c(F)c1 ZINC000450591261 383473765 /nfs/dbraw/zinc/47/37/65/383473765.db2.gz NKXKHZJGNRCDJK-UHFFFAOYSA-N 0 0 252.167 2.593 20 5 CFBDRN CC[C@@H](COCC(F)(F)F)Nc1ncc([N+](=O)[O-])s1 ZINC000450597733 383475531 /nfs/dbraw/zinc/47/55/31/383475531.db2.gz ZPJUFZDSPQTCCH-LURJTMIESA-N 0 0 299.274 2.821 20 5 CFBDRN CCOc1cc(N2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000450602276 383476817 /nfs/dbraw/zinc/47/68/17/383476817.db2.gz CXWQFPBCZVFTQL-VIFPVBQESA-N 0 0 254.261 2.542 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc(F)cc1F ZINC000340426246 383418021 /nfs/dbraw/zinc/41/80/21/383418021.db2.gz OXPWSCOVLMZRAM-ZETCQYMHSA-N 0 0 295.245 2.692 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCc1ccccc1F ZINC000480778105 383420713 /nfs/dbraw/zinc/42/07/13/383420713.db2.gz HOCYKXQNNRHCBP-UHFFFAOYSA-N 0 0 289.310 2.904 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]([C@H](CO)C2CC2)C2CC2)cc1 ZINC000450776959 383488185 /nfs/dbraw/zinc/48/81/85/383488185.db2.gz LWJTYERJWAOOGN-HUUCEWRRSA-N 0 0 276.336 2.804 20 5 CFBDRN CC(C)(C)Oc1cc(N2CC(C)(CO)C2)ccc1[N+](=O)[O-] ZINC000450787577 383491181 /nfs/dbraw/zinc/49/11/81/383491181.db2.gz DBDCRSSTSJGXIX-UHFFFAOYSA-N 0 0 294.351 2.591 20 5 CFBDRN Cc1c(Nc2ccc([N+](=O)[O-])cc2)cnn1[C@@H]1CCOC1 ZINC000450796533 383494361 /nfs/dbraw/zinc/49/43/61/383494361.db2.gz QXYGESGEAJQEJY-CYBMUJFWSA-N 0 0 288.307 2.805 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCCc1ccc[nH]1 ZINC000488099068 383495746 /nfs/dbraw/zinc/49/57/46/383495746.db2.gz PXCYRHRWZHSWBC-UHFFFAOYSA-N 0 0 282.303 2.548 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC[C@@H]1CC ZINC000429281325 383508951 /nfs/dbraw/zinc/50/89/51/383508951.db2.gz UVUDESHCOQNJSU-LBPRGKRZSA-N 0 0 264.325 2.978 20 5 CFBDRN CCC[C@@H](O)CNc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000280810656 194984291 /nfs/dbraw/zinc/98/42/91/194984291.db2.gz WWTKXQRIJYRTCI-LLVKDONJSA-N 0 0 280.324 2.760 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1CCC[C@H](CO)C1 ZINC000340969543 383512898 /nfs/dbraw/zinc/51/28/98/383512898.db2.gz LHXZEYYFCFQKMS-MNOVXSKESA-N 0 0 282.315 2.945 20 5 CFBDRN Cc1ccc(N[C@@H](C)Cc2cnn(C)c2)c([N+](=O)[O-])c1 ZINC000450840578 383516470 /nfs/dbraw/zinc/51/64/70/383516470.db2.gz JHQSVDOKBMTOLZ-NSHDSACASA-N 0 0 274.324 2.680 20 5 CFBDRN CCC1(CNc2ccc(F)cc2[N+](=O)[O-])COC1 ZINC000450842221 383517798 /nfs/dbraw/zinc/51/77/98/383517798.db2.gz FOOAKGDUBWMMII-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN CC[C@@H](Nc1nccc2cc([N+](=O)[O-])ccc21)[C@@H](O)CC ZINC000450855280 383522190 /nfs/dbraw/zinc/52/21/90/383522190.db2.gz FMBPDQCEAIRECN-KGLIPLIRSA-N 0 0 289.335 2.526 20 5 CFBDRN Cc1ccc(CN2CC(C)(C)OC[C@@H]2C)cc1[N+](=O)[O-] ZINC000488387026 383528632 /nfs/dbraw/zinc/52/86/32/383528632.db2.gz HONLJGMKMCAUBS-LBPRGKRZSA-N 0 0 278.352 2.903 20 5 CFBDRN Cc1cccc(N2CCC[C@@]3(CCOC3)C2)c1[N+](=O)[O-] ZINC000450880819 383532640 /nfs/dbraw/zinc/53/26/40/383532640.db2.gz LEYSPRWCBPPGDV-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H](C2CC2)C1 ZINC000341025057 383534635 /nfs/dbraw/zinc/53/46/35/383534635.db2.gz BAZVPFRPSNNQNE-LBPRGKRZSA-N 0 0 278.356 2.746 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H]1CC[C@H](C(N)=O)C1 ZINC000450921920 383551949 /nfs/dbraw/zinc/55/19/49/383551949.db2.gz ANWJYURTHNRKBK-DTWKUNHWSA-N 0 0 297.742 2.623 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCC[C@H]2CCOC2)n1 ZINC000450889503 383537455 /nfs/dbraw/zinc/53/74/55/383537455.db2.gz RAYUPMXXSRQFTA-LBPRGKRZSA-N 0 0 279.340 2.835 20 5 CFBDRN CC(=O)c1ccc(OCC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000450891770 383538220 /nfs/dbraw/zinc/53/82/20/383538220.db2.gz LHDGSUPLYKRIAF-NSHDSACASA-N 0 0 279.292 2.603 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CC[C@H]3CCOC3)c2)c1 ZINC000450897695 383540397 /nfs/dbraw/zinc/54/03/97/383540397.db2.gz RKOFHVFFZHITLC-LBPRGKRZSA-N 0 0 287.319 2.885 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC(C)(C)C(C)(C)O)n1 ZINC000450897225 383541047 /nfs/dbraw/zinc/54/10/47/383541047.db2.gz QMPJPYJZLVLJDJ-UHFFFAOYSA-N 0 0 281.356 2.816 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000450907352 383544121 /nfs/dbraw/zinc/54/41/21/383544121.db2.gz QDCJZPLWKRHAQD-WDEREUQCSA-N 0 0 289.339 2.652 20 5 CFBDRN C[C@H](c1csnn1)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000450976556 383575193 /nfs/dbraw/zinc/57/51/93/383575193.db2.gz QZSWGJYINIWONG-SNVBAGLBSA-N 0 0 292.364 2.682 20 5 CFBDRN CC(C)(C(=O)NCc1ccco1)c1ccccc1[N+](=O)[O-] ZINC000429912141 383577175 /nfs/dbraw/zinc/57/71/75/383577175.db2.gz ZIVNOCRSXXNZLI-UHFFFAOYSA-N 0 0 288.303 2.782 20 5 CFBDRN Cc1ccc2ccccc2c1Cn1cnc([N+](=O)[O-])n1 ZINC000488779797 383577440 /nfs/dbraw/zinc/57/74/40/383577440.db2.gz GJEGTNUPXYILBV-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000488564920 383560374 /nfs/dbraw/zinc/56/03/74/383560374.db2.gz VSJAKPULMNENEC-IWIIMEHWSA-N 0 0 291.307 2.523 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000341097650 383565146 /nfs/dbraw/zinc/56/51/46/383565146.db2.gz YIDXBCVBYWSWRZ-DOMZBBRYSA-N 0 0 288.347 2.856 20 5 CFBDRN CC(C)(O)CCSc1ncnc2sc([N+](=O)[O-])cc21 ZINC000570059762 383566921 /nfs/dbraw/zinc/56/69/21/383566921.db2.gz CQLFAHRINPCXBG-UHFFFAOYSA-N 0 0 299.377 2.853 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)[nH]1 ZINC000431244636 383641145 /nfs/dbraw/zinc/64/11/45/383641145.db2.gz ZAFKJTYRHAWFIM-UHFFFAOYSA-N 0 0 287.275 2.686 20 5 CFBDRN Cc1ccc(N[C@H]2CCn3ccnc3C2)c([N+](=O)[O-])c1 ZINC000489897999 383641999 /nfs/dbraw/zinc/64/19/99/383641999.db2.gz RDOAXOKIAOIZAD-NSHDSACASA-N 0 0 272.308 2.527 20 5 CFBDRN CO[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@H]1C ZINC000451547935 383642767 /nfs/dbraw/zinc/64/27/67/383642767.db2.gz RGFKYOFQLRMYGA-QMTHXVAHSA-N 0 0 282.315 2.591 20 5 CFBDRN COc1ccc(F)cc1CNc1ccc([N+](=O)[O-])nc1 ZINC000489941089 383644767 /nfs/dbraw/zinc/64/47/67/383644767.db2.gz BACDUOOCFBWXMD-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CCO[C@@H]1C[C@H]1[NH2+][C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000570590934 383617089 /nfs/dbraw/zinc/61/70/89/383617089.db2.gz ZPULUDQFSZXLFK-MPKXVKKWSA-N 0 0 264.325 2.731 20 5 CFBDRN NC(=O)c1cccc(N[C@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000431484651 383676177 /nfs/dbraw/zinc/67/61/77/383676177.db2.gz FHPUPILVVMGSSA-ZPPKWKGLSA-N 0 0 289.335 2.684 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000572100584 383754662 /nfs/dbraw/zinc/75/46/62/383754662.db2.gz MGBVMAHDYMGQPQ-GFCCVEGCSA-N 0 0 292.335 2.590 20 5 CFBDRN CCN(CC1CCC1)c1ncc([N+](=O)[O-])cc1F ZINC000572099876 383754874 /nfs/dbraw/zinc/75/48/74/383754874.db2.gz WETNZAVSGWTKLT-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H](C)CCC(C)C)c1[N+](=O)[O-] ZINC000264586341 383758493 /nfs/dbraw/zinc/75/84/93/383758493.db2.gz BTHCTBNNGFSNPS-JTQLQIEISA-N 0 0 296.371 2.825 20 5 CFBDRN CC1=CCCN([C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000280937664 195037453 /nfs/dbraw/zinc/03/74/53/195037453.db2.gz VRMVOSNHCNWDTH-LBPRGKRZSA-N 0 0 289.335 2.574 20 5 CFBDRN CCS[C@@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000264937212 383803740 /nfs/dbraw/zinc/80/37/40/383803740.db2.gz QZCWWNQTEIZDMP-SNVBAGLBSA-N 0 0 298.364 2.936 20 5 CFBDRN CCS[C@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000264937209 383804479 /nfs/dbraw/zinc/80/44/79/383804479.db2.gz QZCWWNQTEIZDMP-JTQLQIEISA-N 0 0 298.364 2.936 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000436379308 383810388 /nfs/dbraw/zinc/81/03/88/383810388.db2.gz PRIULKJEAJGELR-MWLCHTKSSA-N 0 0 263.297 2.823 20 5 CFBDRN CCO[C@H](C)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000264979733 383811396 /nfs/dbraw/zinc/81/13/96/383811396.db2.gz FSAUTFINCRWUHN-MRVPVSSYSA-N 0 0 263.253 2.742 20 5 CFBDRN CC[C@@H](OC)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000264988167 383812188 /nfs/dbraw/zinc/81/21/88/383812188.db2.gz JAPBNVGIEAWKJY-SNVBAGLBSA-N 0 0 263.253 2.742 20 5 CFBDRN CCC(C)(C)N(C)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000265016175 383815687 /nfs/dbraw/zinc/81/56/87/383815687.db2.gz POKNZKPXUQLANP-UHFFFAOYSA-N 0 0 280.324 2.621 20 5 CFBDRN CC[NH+](CC)CCSCc1cc([O-])ccc1[N+](=O)[O-] ZINC000436473212 383820863 /nfs/dbraw/zinc/82/08/63/383820863.db2.gz FQNMAQWNZVLJIK-UHFFFAOYSA-N 0 0 284.381 2.875 20 5 CFBDRN Cc1nn([C@@H](C)c2nc3ccccc3o2)cc1[N+](=O)[O-] ZINC000436475769 383821676 /nfs/dbraw/zinc/82/16/76/383821676.db2.gz VNPLOBCTUZUHMD-VIFPVBQESA-N 0 0 272.264 2.850 20 5 CFBDRN COCC1CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000264831853 383788482 /nfs/dbraw/zinc/78/84/82/383788482.db2.gz UMVRUWDVKSVHQC-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN COCc1cccc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)c1 ZINC000436205243 383788747 /nfs/dbraw/zinc/78/87/47/383788747.db2.gz BLJBIJLHNJZQNH-UHFFFAOYSA-N 0 0 287.319 2.863 20 5 CFBDRN C[C@H](c1ccccc1F)N(C)c1c([N+](=O)[O-])ncn1C ZINC000264880527 383796375 /nfs/dbraw/zinc/79/63/75/383796375.db2.gz COBFZIXAVKFVQR-SECBINFHSA-N 0 0 278.287 2.665 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1C(C)C ZINC000572963449 383848756 /nfs/dbraw/zinc/84/87/56/383848756.db2.gz CRVUOFIQFZTEGQ-GHMZBOCLSA-N 0 0 277.324 2.867 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1C[C@@H](C)O[C@H]1C ZINC000572985549 383853600 /nfs/dbraw/zinc/85/36/00/383853600.db2.gz GBHUDODMPAWWCA-VWYCJHECSA-N 0 0 280.324 2.829 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Cn1cccc([N+](=O)[O-])c1=O ZINC000572986214 383855348 /nfs/dbraw/zinc/85/53/48/383855348.db2.gz BKHSEAPDXQNZEP-WDEREUQCSA-N 0 0 250.298 2.583 20 5 CFBDRN CCC(CC)(CO)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000573020339 383860536 /nfs/dbraw/zinc/86/05/36/383860536.db2.gz HTZKCTKJTFXLKZ-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN COC1([C@@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000413804475 533014348 /nfs/dbraw/zinc/01/43/48/533014348.db2.gz YQXNGANGIWRACK-SNVBAGLBSA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1nn(C)c(Nc2ccc(F)c(C)c2)c1[N+](=O)[O-] ZINC000265095938 383831437 /nfs/dbraw/zinc/83/14/37/383831437.db2.gz CAAPYBLUPPPUQS-UHFFFAOYSA-N 0 0 264.260 2.828 20 5 CFBDRN CN(C)C(=O)[C@@H]1CCC[C@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000265101081 383833246 /nfs/dbraw/zinc/83/32/46/383833246.db2.gz DVSPFHWORXQYDE-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN CSc1ccc(C(=O)NC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000531860409 383934385 /nfs/dbraw/zinc/93/43/85/383934385.db2.gz XWLRECXZFRIXOS-UHFFFAOYSA-N 0 0 292.360 2.989 20 5 CFBDRN COC(C)(C)C(=O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000281042149 195079696 /nfs/dbraw/zinc/07/96/96/195079696.db2.gz RMXGILLBCDNLRX-UHFFFAOYSA-N 0 0 287.699 2.621 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC[C@@H](C)F ZINC000454138294 383910687 /nfs/dbraw/zinc/91/06/87/383910687.db2.gz CPNBYTANRXYWCN-SECBINFHSA-N 0 0 269.276 2.773 20 5 CFBDRN CC[C@](C)(CNC(=O)Nc1cc([N+](=O)[O-])ccc1C)OC ZINC000454137577 383910974 /nfs/dbraw/zinc/91/09/74/383910974.db2.gz BQDURPFCTQGMHV-CQSZACIVSA-N 0 0 295.339 2.840 20 5 CFBDRN CC[C@](C)(CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1)OC ZINC000454138260 383911345 /nfs/dbraw/zinc/91/13/45/383911345.db2.gz CIRVOUIDEZCPBW-CQSZACIVSA-N 0 0 295.339 2.840 20 5 CFBDRN C[C@@H]1CC(CNC(=O)c2csc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000281049315 195082240 /nfs/dbraw/zinc/08/22/40/195082240.db2.gz GHGDWBYMHZZVHZ-RKDXNWHRSA-N 0 0 298.364 2.590 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@H]2CC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000454157829 383921000 /nfs/dbraw/zinc/92/10/00/383921000.db2.gz ZBHDXWBGHQHQNQ-CMPLNLGQSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1c(C[N@@H+](C[C@@H](C)C(=O)[O-])C2CC2)cccc1[N+](=O)[O-] ZINC000573452288 383932461 /nfs/dbraw/zinc/93/24/61/383932461.db2.gz JMKSWYOXNZIJSX-SNVBAGLBSA-N 0 0 292.335 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@@H](NCc1ncccn1)CCC2 ZINC000573267360 383901520 /nfs/dbraw/zinc/90/15/20/383901520.db2.gz FUHMPJPCAGRZKV-AWEZNQCLSA-N 0 0 284.319 2.552 20 5 CFBDRN CC(C)(C)[C@H](CO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000265387654 383906362 /nfs/dbraw/zinc/90/63/62/383906362.db2.gz BCGXUFQJHQKXAG-AWEZNQCLSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000454286018 383978443 /nfs/dbraw/zinc/97/84/43/383978443.db2.gz ZBEQJPSUEOYHHU-QMMMGPOBSA-N 0 0 291.229 2.899 20 5 CFBDRN C[C@@H](c1cccs1)N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532133770 383980335 /nfs/dbraw/zinc/98/03/35/383980335.db2.gz AIDMLTWATWEGEH-VIFPVBQESA-N 0 0 291.332 2.885 20 5 CFBDRN C[C@H](NC(=O)NC1(C2CC2)CC1)c1cccc([N+](=O)[O-])c1 ZINC000532134727 383981974 /nfs/dbraw/zinc/98/19/74/383981974.db2.gz AJRQVWLKACVIDI-JTQLQIEISA-N 0 0 289.335 2.898 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532143847 383983434 /nfs/dbraw/zinc/98/34/34/383983434.db2.gz CTICGZZCQYEXQX-UHFFFAOYSA-N 0 0 277.324 2.642 20 5 CFBDRN CC(C)(C(=O)NCc1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000265727078 383984965 /nfs/dbraw/zinc/98/49/65/383984965.db2.gz VWWYYTWDYXAAIW-UHFFFAOYSA-N 0 0 288.303 2.782 20 5 CFBDRN O=C(Nc1cc(F)cc(F)c1)c1ccc([N+](=O)[O-])cn1 ZINC000531889078 383939354 /nfs/dbraw/zinc/93/93/54/383939354.db2.gz AZFHXRJIBOOKCO-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN C[C@@H](Sc1ncccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000265555637 383944713 /nfs/dbraw/zinc/94/47/13/383944713.db2.gz ICDYXYILSCCFCE-MRVPVSSYSA-N 0 0 284.337 2.812 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000265594633 383954025 /nfs/dbraw/zinc/95/40/25/383954025.db2.gz SQPRCGRCJMQQSJ-WPRPVWTQSA-N 0 0 282.727 2.563 20 5 CFBDRN CC(C)(C)C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000454355500 384004543 /nfs/dbraw/zinc/00/45/43/384004543.db2.gz FHXVTQYBQWXRCX-SNVBAGLBSA-N 0 0 279.340 2.821 20 5 CFBDRN Cc1c(CNC(=O)NCCCC2CC2)cccc1[N+](=O)[O-] ZINC000573894951 384007904 /nfs/dbraw/zinc/00/79/04/384007904.db2.gz PNGHSOCNXJAAEX-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN CCCCNC(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000439908426 384048715 /nfs/dbraw/zinc/04/87/15/384048715.db2.gz DABQYISEABCHOG-UHFFFAOYSA-N 0 0 281.312 2.915 20 5 CFBDRN O=c1c2ccoc2ccn1CCc1ccc([N+](=O)[O-])cc1 ZINC000440093086 384061463 /nfs/dbraw/zinc/06/14/63/384061463.db2.gz RKUCIXJIONJUJH-UHFFFAOYSA-N 0 0 284.271 2.745 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000266043483 384062131 /nfs/dbraw/zinc/06/21/31/384062131.db2.gz UKRXYOJTLYOVNE-CYBMUJFWSA-N 0 0 276.292 2.950 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2c(C)cccc2[N+](=O)[O-])o1 ZINC000266043595 384062791 /nfs/dbraw/zinc/06/27/91/384062791.db2.gz UMLZTPHECRRQMS-LBPRGKRZSA-N 0 0 276.292 2.950 20 5 CFBDRN CN(C(=O)CCc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000574279660 384070973 /nfs/dbraw/zinc/07/09/73/384070973.db2.gz OAKKZMQMECCWNQ-UHFFFAOYSA-N 0 0 264.325 2.784 20 5 CFBDRN CO[C@@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000440261766 384074115 /nfs/dbraw/zinc/07/41/15/384074115.db2.gz UUYKOUYJTCXXFS-NWDGAFQWSA-N 0 0 293.323 2.530 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000266169176 384102559 /nfs/dbraw/zinc/10/25/59/384102559.db2.gz YWKBQQMWVZMDHC-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000266205340 384114017 /nfs/dbraw/zinc/11/40/17/384114017.db2.gz FESSETZLDUEXCK-JGVFFNPUSA-N 0 0 290.266 2.625 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@@H](C)O[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000413526339 533035360 /nfs/dbraw/zinc/03/53/60/533035360.db2.gz BMYGJUASOJYPMZ-BBBLOLIVSA-N 0 0 298.314 2.968 20 5 CFBDRN Cc1cc(NC(=O)NC[C@@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000455088837 384137225 /nfs/dbraw/zinc/13/72/25/384137225.db2.gz LMLUMBJDIWQVFU-JQWIXIFHSA-N 0 0 293.323 2.592 20 5 CFBDRN CCC[C@@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000266328095 384150239 /nfs/dbraw/zinc/15/02/39/384150239.db2.gz JDYNZOBBXDABBC-AWEZNQCLSA-N 0 0 279.340 2.965 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@@H]1C1CC1 ZINC000455173610 384159343 /nfs/dbraw/zinc/15/93/43/384159343.db2.gz TWZGYUISOHNFOS-GFCCVEGCSA-N 0 0 291.307 2.620 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCCc2c[nH]nc21 ZINC000269349942 285092699 /nfs/dbraw/zinc/09/26/99/285092699.db2.gz FOSSMMCVCBYNAO-SECBINFHSA-N 0 0 293.714 2.856 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nc(CC2CC2)no1 ZINC000266563373 384199300 /nfs/dbraw/zinc/19/93/00/384199300.db2.gz KAIUKVWUKAYZGV-UHFFFAOYSA-N 0 0 274.280 2.542 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NC3CC(F)(F)C3)cc2N1 ZINC000277224747 384236296 /nfs/dbraw/zinc/23/62/96/384236296.db2.gz SFHBICXUDIATAT-UHFFFAOYSA-N 0 0 297.261 2.689 20 5 CFBDRN CCN(C(=O)NCCc1ccccc1[N+](=O)[O-])C(C)C ZINC000266793139 384238860 /nfs/dbraw/zinc/23/88/60/384238860.db2.gz NANGZDGFPBTIOU-UHFFFAOYSA-N 0 0 279.340 2.577 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCc3n[nH]cc3C2)c(F)c1 ZINC000301776596 285094468 /nfs/dbraw/zinc/09/44/68/285094468.db2.gz HWXIDDIDHHGYQD-MRVPVSSYSA-N 0 0 294.261 2.566 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1CCCS1 ZINC000266665050 384218890 /nfs/dbraw/zinc/21/88/90/384218890.db2.gz NBOKWVKJRHXJQG-SNVBAGLBSA-N 0 0 288.275 2.707 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCC1(C(C)C)CC1 ZINC000277245270 384243105 /nfs/dbraw/zinc/24/31/05/384243105.db2.gz MHMZTERQQREKAA-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1cc(NCC(C)(C)C[C@H](C)O)ncc1[N+](=O)[O-] ZINC000266839288 384244932 /nfs/dbraw/zinc/24/49/32/384244932.db2.gz LHDUZXCJFVQMHB-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN CC(C)[C@@H]1OCC[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000277291216 384257749 /nfs/dbraw/zinc/25/77/49/384257749.db2.gz BFUZYGALFWUMDF-KBPBESRZSA-N 0 0 292.335 2.619 20 5 CFBDRN C[C@@H]1CN(C(=O)COc2cccc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000266918108 384261722 /nfs/dbraw/zinc/26/17/22/384261722.db2.gz NVTFRVVXTMSPTA-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000266925525 384263607 /nfs/dbraw/zinc/26/36/07/384263607.db2.gz ZGWADJDDIJHIPS-SDDRHHMPSA-N 0 0 293.367 2.549 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@H](C)OC ZINC000266983620 384273769 /nfs/dbraw/zinc/27/37/69/384273769.db2.gz RBYZYUQXWRKQOQ-JTQLQIEISA-N 0 0 268.313 2.578 20 5 CFBDRN Cc1cc(N[C@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000277453874 384306578 /nfs/dbraw/zinc/30/65/78/384306578.db2.gz KAFNSJXSYHQJLX-JQWIXIFHSA-N 0 0 250.298 2.740 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2CC23CC3)s1 ZINC000425277498 285100932 /nfs/dbraw/zinc/10/09/32/285100932.db2.gz XKTJBHGWBRSHQS-ZETCQYMHSA-N 0 0 262.294 2.564 20 5 CFBDRN CCCN(c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1)C1CC1 ZINC000281127313 195114055 /nfs/dbraw/zinc/11/40/55/195114055.db2.gz IEBJRRUGRRTNJX-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@@H]3CCCC[C@@H]32)c(F)c1 ZINC000267037823 384283405 /nfs/dbraw/zinc/28/34/05/384283405.db2.gz OEMHKYPXNQQZOB-LSDHHAIUSA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1ccc(NC[C@H](C)C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000267039889 384285139 /nfs/dbraw/zinc/28/51/39/384285139.db2.gz MLFYPONNXGUXSU-GHMZBOCLSA-N 0 0 252.314 2.722 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCO[C@@H](C2CC2)C1 ZINC000372754787 285101972 /nfs/dbraw/zinc/10/19/72/285101972.db2.gz AHMVYZMBKCQKKC-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1ccc(NC[C@H](C)C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000267039891 384285888 /nfs/dbraw/zinc/28/58/88/384285888.db2.gz MLFYPONNXGUXSU-MNOVXSKESA-N 0 0 252.314 2.722 20 5 CFBDRN C[N@@H+](Cc1ccc([N+](=O)[O-])cc1F)C[C@@H]1CCCOC1 ZINC000267066790 384291494 /nfs/dbraw/zinc/29/14/94/384291494.db2.gz UUCXVHXQHDCXKY-NSHDSACASA-N 0 0 282.315 2.592 20 5 CFBDRN CCOCC[C@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000277515606 384324282 /nfs/dbraw/zinc/32/42/82/384324282.db2.gz NMZAHMYTOYPXCY-ZDUSSCGKSA-N 0 0 285.365 2.616 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@H](CC(F)(F)F)C1 ZINC000277520638 384326961 /nfs/dbraw/zinc/32/69/61/384326961.db2.gz VBNWOUQZKJWDJA-SSDOTTSWSA-N 0 0 292.213 2.602 20 5 CFBDRN CC1(CNc2ccc(Cl)cc2[N+](=O)[O-])OCCCO1 ZINC000277536275 384329929 /nfs/dbraw/zinc/32/99/29/384329929.db2.gz YSTCBEPZEXMGNM-UHFFFAOYSA-N 0 0 286.715 2.813 20 5 CFBDRN O=[N+]([O-])c1cc(Cc2ccccc2)ccc1OCCCO ZINC000267238178 384332352 /nfs/dbraw/zinc/33/23/52/384332352.db2.gz XSFNBEWCSOGAMH-UHFFFAOYSA-N 0 0 287.315 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(N3CCC=C(F)C3)n2)cc1 ZINC000362647986 285106297 /nfs/dbraw/zinc/10/62/97/285106297.db2.gz GGHBSBDDGVGMMZ-UHFFFAOYSA-N 0 0 290.254 2.708 20 5 CFBDRN CC[C@@H]1OCCC[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000277980564 384452994 /nfs/dbraw/zinc/45/29/94/384452994.db2.gz YIZNWPADSZSSRS-OLZOCXBDSA-N 0 0 278.308 2.739 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@@H]1CNc1ncccc1[N+](=O)[O-] ZINC000267648813 384432715 /nfs/dbraw/zinc/43/27/15/384432715.db2.gz LWOVOBWXIWARIV-YPMHNXCESA-N 0 0 279.340 2.853 20 5 CFBDRN C[C@@H]1CN(C(=O)CCNc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000267662014 384435875 /nfs/dbraw/zinc/43/58/75/384435875.db2.gz NFVGJTDALBRTMG-VXGBXAGGSA-N 0 0 291.351 2.511 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C)[C@H](C)C1 ZINC000267800541 384468008 /nfs/dbraw/zinc/46/80/08/384468008.db2.gz XIEUNOAFTMLOJR-NXEZZACHSA-N 0 0 293.323 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@H]3CCCC[C@@H]32)cc1F ZINC000094774708 285113747 /nfs/dbraw/zinc/11/37/47/285113747.db2.gz XJUCJGYFKYIVJW-GJZGRUSLSA-N 0 0 294.326 2.877 20 5 CFBDRN CC1(NC(=O)CCc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000267943504 384496337 /nfs/dbraw/zinc/49/63/37/384496337.db2.gz DCVBTLBGIJXURP-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN COCCSCCCOc1cccc([N+](=O)[O-])c1 ZINC000278206488 384499148 /nfs/dbraw/zinc/49/91/48/384499148.db2.gz GJPUVKMXZRQZCT-UHFFFAOYSA-N 0 0 271.338 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCN(C2CC2)CC1 ZINC000279509190 285117473 /nfs/dbraw/zinc/11/74/73/285117473.db2.gz RGZBWHCXFBDYIY-UHFFFAOYSA-N 0 0 281.743 2.533 20 5 CFBDRN CC(C)CCC(=O)NCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278235810 384505590 /nfs/dbraw/zinc/50/55/90/384505590.db2.gz VVDLLASXDFFZIV-UHFFFAOYSA-N 0 0 290.323 2.524 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000268145072 384542692 /nfs/dbraw/zinc/54/26/92/384542692.db2.gz JWCPFLZAQYSMFJ-SNVBAGLBSA-N 0 0 265.313 2.575 20 5 CFBDRN C[C@H](C[C@H](O)c1ccccc1)Nc1ncccc1[N+](=O)[O-] ZINC000268149674 384545566 /nfs/dbraw/zinc/54/55/66/384545566.db2.gz FASDYOCAQAAOJS-RISCZKNCSA-N 0 0 287.319 2.914 20 5 CFBDRN C[C@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@@H]1C ZINC000278376270 384546642 /nfs/dbraw/zinc/54/66/42/384546642.db2.gz DTZGKXODMCVDAY-IUCAKERBSA-N 0 0 260.297 2.563 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000268155960 384548112 /nfs/dbraw/zinc/54/81/12/384548112.db2.gz GCIYNVSSQWPDGU-UFBFGSQYSA-N 0 0 286.303 2.561 20 5 CFBDRN CC[C@H](C)N(CC(=O)OC)Cc1ccc([N+](=O)[O-])cc1F ZINC000281140755 195121827 /nfs/dbraw/zinc/12/18/27/195121827.db2.gz DRTYPWKBQYCKIH-JTQLQIEISA-N 0 0 298.314 2.507 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000268154148 384548299 /nfs/dbraw/zinc/54/82/99/384548299.db2.gz FSKBFERZJWBGAN-SNVBAGLBSA-N 0 0 296.348 2.689 20 5 CFBDRN COc1cc(NC[C@@H](C)C[C@@H](C)O)c([N+](=O)[O-])cc1F ZINC000268131352 384538708 /nfs/dbraw/zinc/53/87/08/384538708.db2.gz ASODFIRJSARSDC-DTWKUNHWSA-N 0 0 286.303 2.561 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268134981 384540204 /nfs/dbraw/zinc/54/02/04/384540204.db2.gz MVSFQFKAYNUHGL-BDAKNGLRSA-N 0 0 297.742 2.703 20 5 CFBDRN CO[C@@H](CNc1nc2cc([N+](=O)[O-])ccc2[nH]1)C(C)C ZINC000278427115 384568267 /nfs/dbraw/zinc/56/82/67/384568267.db2.gz JCXBEGIGDCEHQT-LBPRGKRZSA-N 0 0 278.312 2.554 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C(C)(F)F)cc1[N+](=O)[O-] ZINC000289332279 197300038 /nfs/dbraw/zinc/30/00/38/197300038.db2.gz YEYKSJAHCCWRTQ-QMMMGPOBSA-N 0 0 272.251 2.736 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCCCC1 ZINC000278471744 384586706 /nfs/dbraw/zinc/58/67/06/384586706.db2.gz UMXVHKUJVLEKBD-UHFFFAOYSA-N 0 0 275.230 2.999 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000268284280 384588854 /nfs/dbraw/zinc/58/88/54/384588854.db2.gz RQUZKUFRQZAYBT-CQSZACIVSA-N 0 0 264.325 2.846 20 5 CFBDRN CC(C)=CC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268201913 384563686 /nfs/dbraw/zinc/56/36/86/384563686.db2.gz GQUSDZKBIOLZAV-UHFFFAOYSA-N 0 0 268.700 2.831 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@H]3OCC[C@H]32)ccc1[N+](=O)[O-] ZINC000268361112 384613518 /nfs/dbraw/zinc/61/35/18/384613518.db2.gz CLTURQUFLCXREO-OUCADQQQSA-N 0 0 292.335 2.973 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)N(C)Cc2ccccc2[N+](=O)[O-])O1 ZINC000268370524 384616977 /nfs/dbraw/zinc/61/69/77/384616977.db2.gz VZMGFTADCRPHKC-GXTWGEPZSA-N 0 0 292.335 2.511 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)O1 ZINC000268300284 384595428 /nfs/dbraw/zinc/59/54/28/384595428.db2.gz WSLDOYZLDUVXEH-CABZTGNLSA-N 0 0 282.271 2.630 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(N2CC[C@@H](C)C2)cc1Cl ZINC000268776682 384660064 /nfs/dbraw/zinc/66/00/64/384660064.db2.gz AMYUYNHFMRFSBU-MRVPVSSYSA-N 0 0 298.726 2.881 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)NCCC1=CCCC1 ZINC000279568887 384680949 /nfs/dbraw/zinc/68/09/49/384680949.db2.gz YLPGUZAGWLYNTC-UHFFFAOYSA-N 0 0 293.298 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CO)CCC2)nc1-c1ccccc1 ZINC000341227811 285134224 /nfs/dbraw/zinc/13/42/24/285134224.db2.gz OQNDHQRRBVDYHW-UHFFFAOYSA-N 0 0 299.330 2.984 20 5 CFBDRN O=C(/C=C/C1CC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000269492658 384767133 /nfs/dbraw/zinc/76/71/33/384767133.db2.gz XZBITWUGPVOFQB-ONEGZZNKSA-N 0 0 251.213 2.606 20 5 CFBDRN COC1(CNC(=O)c2ccc([N+](=O)[O-])o2)CCC(C)CC1 ZINC000279885113 384797841 /nfs/dbraw/zinc/79/78/41/384797841.db2.gz XUHCHQNUJGGKFL-UHFFFAOYSA-N 0 0 296.323 2.513 20 5 CFBDRN Cc1cc(N[C@@H]2CCN(c3ccccn3)C2)ccc1[N+](=O)[O-] ZINC000269841766 384879554 /nfs/dbraw/zinc/87/95/54/384879554.db2.gz CFYNQACLRSYXOP-CQSZACIVSA-N 0 0 298.346 2.989 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](OCc2ccncc2)C1 ZINC000269720922 384849234 /nfs/dbraw/zinc/84/92/34/384849234.db2.gz CDJCHWZGVCOLOI-AWEZNQCLSA-N 0 0 299.330 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1ccccc1)CCO2 ZINC000352620890 285142612 /nfs/dbraw/zinc/14/26/12/285142612.db2.gz JHEWOZHIEYHZMF-UHFFFAOYSA-N 0 0 284.315 2.989 20 5 CFBDRN CC1=C(C)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000280123699 384892370 /nfs/dbraw/zinc/89/23/70/384892370.db2.gz ABBWHDWPYWUAQE-UHFFFAOYSA-N 0 0 278.283 2.916 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)c([N+](=O)[O-])c1 ZINC000269888539 384894419 /nfs/dbraw/zinc/89/44/19/384894419.db2.gz BTTRVRPZVGTDOX-CYZMBNFOSA-N 0 0 289.335 2.668 20 5 CFBDRN C[C@H]1OCC[C@@H]1Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000269891568 384895259 /nfs/dbraw/zinc/89/52/59/384895259.db2.gz CLUIJOSCLHKQDP-MUWHJKNJSA-N 0 0 274.729 2.913 20 5 CFBDRN CC1(CCNC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])CC1 ZINC000280128339 384895722 /nfs/dbraw/zinc/89/57/22/384895722.db2.gz VAQIHSJRUJBXAX-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CCN(c2ccccn2)C1 ZINC000269898921 384898262 /nfs/dbraw/zinc/89/82/62/384898262.db2.gz YGMXYCYMNHZCNO-ZDUSSCGKSA-N 0 0 298.346 2.989 20 5 CFBDRN CC[C@](C)(OC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000280153514 384905843 /nfs/dbraw/zinc/90/58/43/384905843.db2.gz CNQNKXTZINBSMG-HZMBPMFUSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2ccc(C)cc2[N+](=O)[O-])n[nH]1 ZINC000269956080 384918329 /nfs/dbraw/zinc/91/83/29/384918329.db2.gz SWCUXFAHXLIUII-JTQLQIEISA-N 0 0 274.324 2.978 20 5 CFBDRN CCc1noc(-c2cc([N+](=O)[O-])ccc2N2CCCC2)n1 ZINC000280230953 384938512 /nfs/dbraw/zinc/93/85/12/384938512.db2.gz HIEXMXWTJWHRGP-UHFFFAOYSA-N 0 0 288.307 2.807 20 5 CFBDRN CO[C@]1(C)CCC[N@H+](Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000280307182 384968706 /nfs/dbraw/zinc/96/87/06/384968706.db2.gz PIBFEIFOCWJPMZ-OAHLLOKOSA-N 0 0 278.352 2.986 20 5 CFBDRN Cc1cc(C(=O)N2CC=C(C)CC2)cc([N+](=O)[O-])c1 ZINC000270095002 384975709 /nfs/dbraw/zinc/97/57/09/384975709.db2.gz LFFJIOJTCVDXIY-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN Cc1c(C(=O)N2CC=C(C)CC2)cccc1[N+](=O)[O-] ZINC000270121428 384983576 /nfs/dbraw/zinc/98/35/76/384983576.db2.gz OKXVOOPUQUWYHP-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H](O)CCC(C)C)c([N+](=O)[O-])c1 ZINC000280386300 384998599 /nfs/dbraw/zinc/99/85/99/384998599.db2.gz WJGYAVHKSMLFRE-ZDUSSCGKSA-N 0 0 295.335 2.973 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000280578822 385070356 /nfs/dbraw/zinc/07/03/56/385070356.db2.gz BYGSWIABSXCLMQ-LDYMZIIASA-N 0 0 279.296 2.523 20 5 CFBDRN CC[C@](C)(OC)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000280503690 385037400 /nfs/dbraw/zinc/03/74/00/385037400.db2.gz CJVKYJIDCPXDNP-ZDUSSCGKSA-N 0 0 267.281 2.624 20 5 CFBDRN CCC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000270278660 385039592 /nfs/dbraw/zinc/03/95/92/385039592.db2.gz RWZHRBBIFIYBNX-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2C[C@@H](CO)Cc3ccccc32)c1 ZINC000366388066 285153218 /nfs/dbraw/zinc/15/32/18/285153218.db2.gz RNAZACYXSGONRM-AWEZNQCLSA-N 0 0 298.342 2.766 20 5 CFBDRN CSCCCN(C)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000281291916 385189974 /nfs/dbraw/zinc/18/99/74/385189974.db2.gz OBXPRZUXGAGUCP-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOCC23CCCC3)c(F)c1 ZINC000270712011 385202118 /nfs/dbraw/zinc/20/21/18/385202118.db2.gz ZUKBPDOPIWYJIC-UHFFFAOYSA-N 0 0 294.326 2.879 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])cn1 ZINC000289151242 197247410 /nfs/dbraw/zinc/24/74/10/197247410.db2.gz XJWCNCMXNNIEML-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CC1=CCCN(C(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000280788126 385154143 /nfs/dbraw/zinc/15/41/43/385154143.db2.gz QMMUWKVAPGKGAL-UHFFFAOYSA-N 0 0 299.330 2.797 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@H]1CCC(F)(F)C1 ZINC000280787363 385154484 /nfs/dbraw/zinc/15/44/84/385154484.db2.gz STIUFGAKHQLMSH-NSHDSACASA-N 0 0 298.289 2.689 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1F)[C@H](O)C(F)(F)F ZINC000270788567 385225451 /nfs/dbraw/zinc/22/54/51/385225451.db2.gz LCZSWWJEQSZAMA-WPRPVWTQSA-N 0 0 296.220 2.848 20 5 CFBDRN Cc1cc(C)cc([C@@H](O)CNc2ncccc2[N+](=O)[O-])c1 ZINC000282151137 385229049 /nfs/dbraw/zinc/22/90/49/385229049.db2.gz BTWGZDJQLSCRGY-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1cn(Cc2ccc3c(c2)CCC3)nc1[N+](=O)[O-] ZINC000282188081 385241778 /nfs/dbraw/zinc/24/17/78/385241778.db2.gz GXRUAOWWIBUVQM-UHFFFAOYSA-N 0 0 257.293 2.637 20 5 CFBDRN CC[C@H](Nc1ccccc1[N+](=O)[O-])[C@@H](O)C(F)(F)F ZINC000270843621 385245572 /nfs/dbraw/zinc/24/55/72/385245572.db2.gz XDCZUZNIKPOBHR-OIBJUYFYSA-N 0 0 278.230 2.709 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000270870339 385252848 /nfs/dbraw/zinc/25/28/48/385252848.db2.gz SUBWGCPCOZWWFW-SNVBAGLBSA-N 0 0 285.303 2.625 20 5 CFBDRN CC1=CCCN(Cc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000282455654 385316264 /nfs/dbraw/zinc/31/62/64/385316264.db2.gz JERBENMVVCDDPC-UHFFFAOYSA-N 0 0 250.273 2.886 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3CC34CC4)c21 ZINC000425273226 285159447 /nfs/dbraw/zinc/15/94/47/285159447.db2.gz NHOKEIOHRXFMQZ-JTQLQIEISA-N 0 0 256.265 2.502 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)C[C@H](C2CC2)O1 ZINC000282470257 385320909 /nfs/dbraw/zinc/32/09/09/385320909.db2.gz KQLPTNMIYVRLEG-ZUZCIYMTSA-N 0 0 294.326 2.733 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(C[C@H]1CCCO1)C1CC1 ZINC000135226021 285160287 /nfs/dbraw/zinc/16/02/87/285160287.db2.gz RUSLPHXTGGZOCF-CQSZACIVSA-N 0 0 276.336 2.738 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000282335931 385285601 /nfs/dbraw/zinc/28/56/01/385285601.db2.gz GDKXGUOKWZFLRZ-ZDUSSCGKSA-N 0 0 282.271 2.550 20 5 CFBDRN CCCN(C(=O)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000571104895 322569429 /nfs/dbraw/zinc/56/94/29/322569429.db2.gz WTVJRMWKORHKGH-UHFFFAOYSA-N 0 0 250.298 2.855 20 5 CFBDRN Cc1ccc(O)c(CN(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000271078321 385330718 /nfs/dbraw/zinc/33/07/18/385330718.db2.gz PWFGZFOYEUUKAK-UHFFFAOYSA-N 0 0 287.319 2.636 20 5 CFBDRN CC(C)(C)[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)CCO1 ZINC000271079860 385330978 /nfs/dbraw/zinc/33/09/78/385330978.db2.gz ZKVVIOAPCBTMPU-MWLCHTKSSA-N 0 0 296.323 2.511 20 5 CFBDRN CC(C)(C)[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000282599599 385350626 /nfs/dbraw/zinc/35/06/26/385350626.db2.gz XUUZBEJDWYIYJX-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN Cn1nccc1CCSCc1ccc([N+](=O)[O-])cc1 ZINC000282617108 385354552 /nfs/dbraw/zinc/35/45/52/385354552.db2.gz IECNESLTNRGBPG-UHFFFAOYSA-N 0 0 277.349 2.804 20 5 CFBDRN CSCC(C)(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000282622545 385355669 /nfs/dbraw/zinc/35/56/69/385355669.db2.gz RURAMDVTHKIRRM-UHFFFAOYSA-N 0 0 268.338 2.923 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000282682602 385369066 /nfs/dbraw/zinc/36/90/66/385369066.db2.gz ORYGHYZPMPDZHL-VHSXEESVSA-N 0 0 299.710 2.973 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000282703408 385373779 /nfs/dbraw/zinc/37/37/79/385373779.db2.gz QHDOXFDFOPSRIZ-RYUDHWBXSA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000282764604 385384260 /nfs/dbraw/zinc/38/42/60/385384260.db2.gz VQCWDOWVFZDWKD-VHSXEESVSA-N 0 0 299.710 2.973 20 5 CFBDRN CCSCCCNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000282781342 385388194 /nfs/dbraw/zinc/38/81/94/385388194.db2.gz XAVHXOJTHJHMHP-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN CCOC[C@H]1CCN(c2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000271065168 385326234 /nfs/dbraw/zinc/32/62/34/385326234.db2.gz CGOOHLYOKNEJQE-JTQLQIEISA-N 0 0 298.314 2.605 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)Cc1cscn1 ZINC000282498729 385326573 /nfs/dbraw/zinc/32/65/73/385326573.db2.gz QREMTAVQRUOBGS-UHFFFAOYSA-N 0 0 277.349 2.726 20 5 CFBDRN COc1ccc(CN[C@@H](C)c2cc(C)on2)cc1[N+](=O)[O-] ZINC000282833443 385396424 /nfs/dbraw/zinc/39/64/24/385396424.db2.gz ZLORBWGSHGARTQ-JTQLQIEISA-N 0 0 291.307 2.751 20 5 CFBDRN C[C@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000271922087 385402799 /nfs/dbraw/zinc/40/27/99/385402799.db2.gz FBJOSTXHQPAMSU-DTWKUNHWSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000271948502 385408877 /nfs/dbraw/zinc/40/88/77/385408877.db2.gz BDNFPPBQUVVEIJ-LDYMZIIASA-N 0 0 298.364 2.652 20 5 CFBDRN O=C(C[C@H]1CCCO1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000282955104 385415218 /nfs/dbraw/zinc/41/52/18/385415218.db2.gz IEAGEGAGURRWEN-MRVPVSSYSA-N 0 0 284.699 2.756 20 5 CFBDRN CCCC[N@H+](CCOC)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000283004078 385422615 /nfs/dbraw/zinc/42/26/15/385422615.db2.gz FUSNANKLOQWIQQ-UHFFFAOYSA-N 0 0 284.331 2.982 20 5 CFBDRN CC(C)=CC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272110354 385450092 /nfs/dbraw/zinc/45/00/92/385450092.db2.gz JDYMAIIHRDNCBE-UHFFFAOYSA-N 0 0 282.727 2.873 20 5 CFBDRN C[C@H](CF)NC(=O)/C=C/c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288969375 197203164 /nfs/dbraw/zinc/20/31/64/197203164.db2.gz LUWMYQBCRRLTES-RYEJSQLPSA-N 0 0 286.690 2.736 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272173879 385466003 /nfs/dbraw/zinc/46/60/03/385466003.db2.gz QSEIFIRFVLNMCQ-LLVKDONJSA-N 0 0 296.754 2.953 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)c2nnc3n2CCC3)c1 ZINC000272224720 385479966 /nfs/dbraw/zinc/47/99/66/385479966.db2.gz JANHCHSXIXQFPH-SNVBAGLBSA-N 0 0 287.323 2.614 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2O[C@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000283681104 385487658 /nfs/dbraw/zinc/48/76/58/385487658.db2.gz ZEJNCTYREKEZKW-JTNHKYCSSA-N 0 0 293.319 2.760 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1CCCCCF ZINC000283753079 385495788 /nfs/dbraw/zinc/49/57/88/385495788.db2.gz ABPAMAWHAWZARO-UHFFFAOYSA-N 0 0 268.244 2.643 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@@H]1C[C@@H](C)O ZINC000283778836 385498677 /nfs/dbraw/zinc/49/86/77/385498677.db2.gz PZTVXFBDZOGSAR-ZYHUDNBSSA-N 0 0 292.335 2.537 20 5 CFBDRN CCOC1(C(=O)Nc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000283787366 385500078 /nfs/dbraw/zinc/50/00/78/385500078.db2.gz FAYLSQJYSJJIPV-UHFFFAOYSA-N 0 0 278.308 2.883 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@H]2Cc2ccccc2)nc1 ZINC000273651007 285172895 /nfs/dbraw/zinc/17/28/95/285172895.db2.gz UNVMZKSPBXCNFW-AWEZNQCLSA-N 0 0 298.346 2.986 20 5 CFBDRN Cc1cnc(S[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000272417327 385532730 /nfs/dbraw/zinc/53/27/30/385532730.db2.gz DJRCGBGMZBCQIC-VIFPVBQESA-N 0 0 254.311 2.569 20 5 CFBDRN CC[C@@H](COC)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000284119568 385537690 /nfs/dbraw/zinc/53/76/90/385537690.db2.gz UCVPUDHMUHFZLO-VIFPVBQESA-N 0 0 299.302 2.589 20 5 CFBDRN Cc1csc(CN[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)n1 ZINC000284164827 385542322 /nfs/dbraw/zinc/54/23/22/385542322.db2.gz QABRZXVKSTZVQQ-SECBINFHSA-N 0 0 292.364 2.793 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](c3ccc(F)cc3)C2)nc1 ZINC000273927276 285176290 /nfs/dbraw/zinc/17/62/90/285176290.db2.gz KFXWRURDMFDODI-LLVKDONJSA-N 0 0 288.282 2.518 20 5 CFBDRN Cc1cc(N2CC[C@@H](C(C)(C)C)[C@@H](O)C2)ncc1[N+](=O)[O-] ZINC000272474442 385546910 /nfs/dbraw/zinc/54/69/10/385546910.db2.gz IORSMKSRPZTSTO-YPMHNXCESA-N 0 0 293.367 2.532 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCc2n[nH]cc2C1 ZINC000272395505 385526669 /nfs/dbraw/zinc/52/66/69/385526669.db2.gz DGAAKHFJEVVMDZ-NSHDSACASA-N 0 0 286.335 2.746 20 5 CFBDRN COc1cc(S[C@H]2CCCOC2)c([N+](=O)[O-])cc1OC ZINC000272398080 385528155 /nfs/dbraw/zinc/52/81/55/385528155.db2.gz ALFFCZVXCDZKBA-VIFPVBQESA-N 0 0 299.348 2.883 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCc3c[nH]nc32)c(Cl)c1 ZINC000268805007 285180842 /nfs/dbraw/zinc/18/08/42/285180842.db2.gz FKSZUQLESQPJEU-SNVBAGLBSA-N 0 0 293.714 2.856 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1F ZINC000286246717 385577039 /nfs/dbraw/zinc/57/70/39/385577039.db2.gz DQXGBMULKMROOU-NXEZZACHSA-N 0 0 268.288 2.818 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC(n2ccnc2)CC1 ZINC000286176361 385567070 /nfs/dbraw/zinc/56/70/70/385567070.db2.gz JBZRWGIXJKOBNV-UHFFFAOYSA-N 0 0 290.298 2.772 20 5 CFBDRN Cc1n[nH]cc1CCCNc1c(F)cccc1[N+](=O)[O-] ZINC000286191462 385569357 /nfs/dbraw/zinc/56/93/57/385569357.db2.gz QWLJFFFNLPFDFI-UHFFFAOYSA-N 0 0 278.287 2.810 20 5 CFBDRN CN(C(=O)[C@H]1CCCC(F)(F)C1)c1ccc([N+](=O)[O-])nc1 ZINC000288762285 197159878 /nfs/dbraw/zinc/15/98/78/197159878.db2.gz IZTFKLZEPHWKLY-VIFPVBQESA-N 0 0 299.277 2.778 20 5 CFBDRN COc1ccc(OCCOC2CCC2)c([N+](=O)[O-])c1 ZINC000286389767 385606791 /nfs/dbraw/zinc/60/67/91/385606791.db2.gz BOLYKUBZPRHQDF-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN CC(C)C[C@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288758224 197158932 /nfs/dbraw/zinc/15/89/32/197158932.db2.gz IOVPOLGCBDEJIN-JTQLQIEISA-N 0 0 265.313 2.635 20 5 CFBDRN CO[C@H](C)CN(C)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000286272582 385580790 /nfs/dbraw/zinc/58/07/90/385580790.db2.gz FTYFFXJPSJKNGZ-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN Cc1nn(CCOC2CCC2)c2ccc([N+](=O)[O-])cc12 ZINC000286382139 385605531 /nfs/dbraw/zinc/60/55/31/385605531.db2.gz DEGSMDZZFUEFBP-UHFFFAOYSA-N 0 0 275.308 2.822 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000286279830 385582337 /nfs/dbraw/zinc/58/23/37/385582337.db2.gz GVUPMSWRHRFUEV-ZJUUUORDSA-N 0 0 268.288 2.818 20 5 CFBDRN COc1ccc(NC(=O)N2C[C@@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000272760091 385605586 /nfs/dbraw/zinc/60/55/86/385605586.db2.gz AFCYVMSYPSDOBV-NXEZZACHSA-N 0 0 293.323 2.723 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000286290858 385583987 /nfs/dbraw/zinc/58/39/87/385583987.db2.gz HOMQNGXOQKMYFS-UWVGGRQHSA-N 0 0 295.295 2.588 20 5 CFBDRN COc1cccc(NC[C@@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC000286460141 385626646 /nfs/dbraw/zinc/62/66/46/385626646.db2.gz SRJANECBZVGUBG-MNOVXSKESA-N 0 0 280.324 2.688 20 5 CFBDRN Cc1cc(CNc2cccc(C)c2[N+](=O)[O-])n(C)n1 ZINC000286467037 385628721 /nfs/dbraw/zinc/62/87/21/385628721.db2.gz OAPGXRURSQNZLQ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CN(C(=O)[C@H]1CCCCS1)c1cccc([N+](=O)[O-])c1 ZINC000272837338 385630109 /nfs/dbraw/zinc/63/01/09/385630109.db2.gz IYROAIZCFNKVQH-GFCCVEGCSA-N 0 0 280.349 2.843 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1ccccc1[N+](=O)[O-] ZINC000286396556 385608164 /nfs/dbraw/zinc/60/81/64/385608164.db2.gz OBNSKONRNARADN-MNOVXSKESA-N 0 0 250.298 2.679 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000286498151 385635988 /nfs/dbraw/zinc/63/59/88/385635988.db2.gz WHMWMVOIQIAURC-ZJUUUORDSA-N 0 0 290.323 2.556 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCOC[C@@H]2C)c1 ZINC000286406875 385610718 /nfs/dbraw/zinc/61/07/18/385610718.db2.gz OSHHTUOHOALHPG-WDEREUQCSA-N 0 0 280.324 2.688 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCOC[C@H]2C)c1 ZINC000286406864 385611555 /nfs/dbraw/zinc/61/15/55/385611555.db2.gz OSHHTUOHOALHPG-GHMZBOCLSA-N 0 0 280.324 2.688 20 5 CFBDRN COc1ccc(NC(=O)NC2(C)CCC2)cc1[N+](=O)[O-] ZINC000272778356 385612090 /nfs/dbraw/zinc/61/20/90/385612090.db2.gz DJBJQMVTEZDUAH-UHFFFAOYSA-N 0 0 279.296 2.668 20 5 CFBDRN O=c1[nH]c(-c2ncccn2)nc2cc3ccccc3cc21 ZINC000125397803 285194431 /nfs/dbraw/zinc/19/44/31/285194431.db2.gz HXGIOIZHYAVZOW-UHFFFAOYSA-N 0 0 274.283 2.533 20 5 CFBDRN COc1ccc(NC(=O)N(C)CCC2CC2)cc1[N+](=O)[O-] ZINC000272880613 385640836 /nfs/dbraw/zinc/64/08/36/385640836.db2.gz WPOIJQOZGGKZNH-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN Cc1cc(Nc2cc3n(n2)CCCC3)ncc1[N+](=O)[O-] ZINC000286549924 385646256 /nfs/dbraw/zinc/64/62/56/385646256.db2.gz OTNUREODXXFNFI-UHFFFAOYSA-N 0 0 273.296 2.575 20 5 CFBDRN CC(C)SCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000286582490 385657093 /nfs/dbraw/zinc/65/70/93/385657093.db2.gz YJWVAQHTFNUVIH-UHFFFAOYSA-N 0 0 255.343 2.731 20 5 CFBDRN Cc1ccc(N2CCN(c3ccc([N+](=O)[O-])cn3)CC2)cc1 ZINC000273160853 385671618 /nfs/dbraw/zinc/67/16/18/385671618.db2.gz NOAGLDWEPHCXPO-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN COC(=O)[C@H](C)CSc1c(F)cccc1[N+](=O)[O-] ZINC000286650466 385676697 /nfs/dbraw/zinc/67/66/97/385676697.db2.gz CJTNPDDPKGBEKK-SSDOTTSWSA-N 0 0 273.285 2.635 20 5 CFBDRN CN(C(=O)C[C@H]1C=CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000288650841 197137811 /nfs/dbraw/zinc/13/78/11/197137811.db2.gz BDBALYAGEOPLQP-NSHDSACASA-N 0 0 275.308 2.699 20 5 CFBDRN C[C@H](SCc1ccc([N+](=O)[O-])cc1)c1nncn1C ZINC000286665567 385681099 /nfs/dbraw/zinc/68/10/99/385681099.db2.gz XUVIQDHVOSWSGO-VIFPVBQESA-N 0 0 278.337 2.718 20 5 CFBDRN Cc1cccc(OCCOC2CCC2)c1[N+](=O)[O-] ZINC000286668232 385681429 /nfs/dbraw/zinc/68/14/29/385681429.db2.gz XXSNPWNKDSSQJE-UHFFFAOYSA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1c(NCCOC2CCC2)cccc1[N+](=O)[O-] ZINC000288644319 197135627 /nfs/dbraw/zinc/13/56/27/197135627.db2.gz DDQPPPQCQOBTKU-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1ccnc(N2CCC3(CCOCC3)CC2)c1[N+](=O)[O-] ZINC000286710832 385692133 /nfs/dbraw/zinc/69/21/33/385692133.db2.gz KXTDJIJTWHIDQC-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN CCOC1CC(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)C1 ZINC000288644105 197135429 /nfs/dbraw/zinc/13/54/29/197135429.db2.gz AURDMXSLIJTPCH-UHFFFAOYSA-N 0 0 296.298 2.796 20 5 CFBDRN CCC[C@@H](C)[C@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000274019427 385700701 /nfs/dbraw/zinc/70/07/01/385700701.db2.gz VWGPKMUZKHQZDR-ZYHUDNBSSA-N 0 0 264.329 2.790 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1nccs1 ZINC000161304757 533142797 /nfs/dbraw/zinc/14/27/97/533142797.db2.gz NPEKNRLVVDHSGC-UHFFFAOYSA-N 0 0 266.278 2.639 20 5 CFBDRN C[C@H](CF)NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000286971920 385754158 /nfs/dbraw/zinc/75/41/58/385754158.db2.gz NWIZQBLTGJIFBE-ZCFIWIBFSA-N 0 0 275.667 2.728 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCC2(O)CCC2)c(F)c1 ZINC000286834871 385719264 /nfs/dbraw/zinc/71/92/64/385719264.db2.gz YSCOLFFDKCKJIT-UHFFFAOYSA-N 0 0 272.251 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(O)CCC2)c2ccncc21 ZINC000286839945 385720925 /nfs/dbraw/zinc/72/09/25/385720925.db2.gz ZGQPWWCNZLFYDZ-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN CCC[C@H](C)Cc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000274112820 385721422 /nfs/dbraw/zinc/72/14/22/385721422.db2.gz ZITTZUIIXQXVPY-JTQLQIEISA-N 0 0 290.323 2.942 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C(F)(F)F ZINC000288600354 197123994 /nfs/dbraw/zinc/12/39/94/197123994.db2.gz MJPYVUPSEBQVOL-SCSAIBSYSA-N 0 0 298.167 2.554 20 5 CFBDRN COC[C@@H](C)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000050352290 285202606 /nfs/dbraw/zinc/20/26/06/285202606.db2.gz RBXXHDXCEPWRDW-QMMMGPOBSA-N 0 0 258.705 2.943 20 5 CFBDRN COc1cccc(N2CCCSCC2)c1[N+](=O)[O-] ZINC000274570323 385824837 /nfs/dbraw/zinc/82/48/37/385824837.db2.gz DQGLVOCNAXUGDH-UHFFFAOYSA-N 0 0 268.338 2.547 20 5 CFBDRN Cc1cc(COc2cc(C)c([N+](=O)[O-])cc2F)on1 ZINC000274704639 385853424 /nfs/dbraw/zinc/85/34/24/385853424.db2.gz AERFMEUABNUQGU-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN COc1cc(N[C@H]2CCC[C@@H]2CCO)c([N+](=O)[O-])cc1F ZINC000275000151 385908999 /nfs/dbraw/zinc/90/89/99/385908999.db2.gz GKYXEZNSLOLHBM-KOLCDFICSA-N 0 0 298.314 2.706 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000275003210 385909998 /nfs/dbraw/zinc/90/99/98/385909998.db2.gz GZJUNVWWGDGTQV-CMPLNLGQSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cc(N[C@H]2CCC[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000275003211 385910437 /nfs/dbraw/zinc/91/04/37/385910437.db2.gz GZJUNVWWGDGTQV-JQWIXIFHSA-N 0 0 280.324 2.566 20 5 CFBDRN C[C@@](O)(CCNc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000275029112 385916676 /nfs/dbraw/zinc/91/66/76/385916676.db2.gz NXSSHOMWNYLANM-OAHLLOKOSA-N 0 0 287.319 2.700 20 5 CFBDRN CCOc1cc(N[C@@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000274768269 385867611 /nfs/dbraw/zinc/86/76/11/385867611.db2.gz TWXFECLTQWRURP-JTQLQIEISA-N 0 0 288.307 2.957 20 5 CFBDRN CO[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000274808064 385876062 /nfs/dbraw/zinc/87/60/62/385876062.db2.gz MDHQRRVGETZQMT-CYBMUJFWSA-N 0 0 295.339 2.696 20 5 CFBDRN COCC1=CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000275456021 385976756 /nfs/dbraw/zinc/97/67/56/385976756.db2.gz LYVOVSZOUCIPAG-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN CC[C@]1(C)CN(c2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000276091320 385983847 /nfs/dbraw/zinc/98/38/47/385983847.db2.gz WGXNORCCDUYGBD-CQSZACIVSA-N 0 0 280.324 2.609 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCOC[C@@H]1C1CC1 ZINC000276165061 385984273 /nfs/dbraw/zinc/98/42/73/385984273.db2.gz BTFSZRUSYBFAPR-CYBMUJFWSA-N 0 0 282.727 2.863 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC[C@H](CO)C1 ZINC000276536497 385989677 /nfs/dbraw/zinc/98/96/77/385989677.db2.gz WOWJLMMJSQHWEE-JTQLQIEISA-N 0 0 284.743 2.765 20 5 CFBDRN C[C@H](NCc1cc(Cl)ccc1[N+](=O)[O-])c1cn[nH]c1 ZINC000275165235 385949348 /nfs/dbraw/zinc/94/93/48/385949348.db2.gz JSCJSFRXGZFMJG-QMMMGPOBSA-N 0 0 280.715 2.822 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2CCCC2)cc1[N+](=O)[O-] ZINC000294801139 291903319 /nfs/dbraw/zinc/90/33/19/291903319.db2.gz PLEVNVCUOPVBPZ-UHFFFAOYSA-N 0 0 268.700 2.793 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1cc([N+](=O)[O-])ccc1Cl ZINC000277057441 386118401 /nfs/dbraw/zinc/11/84/01/386118401.db2.gz QYUDZYOWJNFPEB-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN CCOc1cc(CS[C@H](C)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000276872576 386065467 /nfs/dbraw/zinc/06/54/67/386065467.db2.gz HSQSWCIVEVNDNO-NXEZZACHSA-N 0 0 285.365 2.996 20 5 CFBDRN CCOC(=O)CSCc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000276936770 386084781 /nfs/dbraw/zinc/08/47/81/386084781.db2.gz RQHOQQFQCAROOA-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN CC(C)CS(=O)(=O)Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288429718 197075459 /nfs/dbraw/zinc/07/54/59/197075459.db2.gz PILDGPFXZQUVCL-UHFFFAOYSA-N 0 0 293.728 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCSC2)c2nonc21 ZINC000288387902 197064444 /nfs/dbraw/zinc/06/44/44/197064444.db2.gz FGXXLLYGGZYKFV-QMMMGPOBSA-N 0 0 294.336 2.686 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCC1(C)C ZINC000288345552 197055606 /nfs/dbraw/zinc/05/56/06/197055606.db2.gz FZLIXOKJKMGDHH-SECBINFHSA-N 0 0 252.318 2.720 20 5 CFBDRN Cc1[nH]ccc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288319948 197050326 /nfs/dbraw/zinc/05/03/26/197050326.db2.gz MMAALGKERQKKPC-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN Cc1cc(NCc2noc3c2CCCC3)ncc1[N+](=O)[O-] ZINC000288248927 197036280 /nfs/dbraw/zinc/03/62/80/197036280.db2.gz OTZCJUOEWVVUTM-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN CCOc1cccc(NCC2=CCCOC2)c1[N+](=O)[O-] ZINC000288134780 197011694 /nfs/dbraw/zinc/01/16/94/197011694.db2.gz BCTNKZDNCHRGAF-UHFFFAOYSA-N 0 0 278.308 2.752 20 5 CFBDRN CCc1nn(C)c(Oc2cccc([N+](=O)[O-])c2)c1[N+](=O)[O-] ZINC000288003745 196985730 /nfs/dbraw/zinc/98/57/30/196985730.db2.gz AXEXPHNPSCIOEI-UHFFFAOYSA-N 0 0 292.251 2.591 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC[C@@H]2CCSC2)s1 ZINC000287945341 196974550 /nfs/dbraw/zinc/97/45/50/196974550.db2.gz YCBBKRZWWAJARU-ZETCQYMHSA-N 0 0 296.377 2.765 20 5 CFBDRN COC[C@@H]1CCCCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000450931164 285268018 /nfs/dbraw/zinc/26/80/18/285268018.db2.gz ABFCIMAYCAEZJW-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cc(N(C)C2CCC(O)CC2)c(F)cc1[N+](=O)[O-] ZINC000360048613 291928814 /nfs/dbraw/zinc/92/88/14/291928814.db2.gz WVSGCTYARIXTOU-UHFFFAOYSA-N 0 0 282.315 2.782 20 5 CFBDRN COC[C@@H]1CCCN(c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000450951070 285273846 /nfs/dbraw/zinc/27/38/46/285273846.db2.gz XBDMRGZXOZQAQL-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN CCCC(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000281351672 195206799 /nfs/dbraw/zinc/20/67/99/195206799.db2.gz MDFZGFZDRZXCMZ-UHFFFAOYSA-N 0 0 252.270 2.732 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287353616 196840066 /nfs/dbraw/zinc/84/00/66/196840066.db2.gz NMBPTSONFLVWIB-KCJUWKMLSA-N 0 0 256.277 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCc2ncnn2CCF)cc1 ZINC000281390062 195222363 /nfs/dbraw/zinc/22/23/63/195222363.db2.gz DGUHLTNKWAWGCP-UHFFFAOYSA-N 0 0 296.327 2.589 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cc2)c1 ZINC000060063669 533214050 /nfs/dbraw/zinc/21/40/50/533214050.db2.gz OSMORUWYRQYUHX-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COC[C@@H]1CCCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000364150862 292868157 /nfs/dbraw/zinc/86/81/57/292868157.db2.gz LODXRFRRCSNYCG-GFCCVEGCSA-N 0 0 264.325 2.848 20 5 CFBDRN CCOCC1(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000281500393 195265980 /nfs/dbraw/zinc/26/59/80/195265980.db2.gz RHCNUJXBVZHRDT-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CC2)c(C(F)(F)F)c1 ZINC000080687198 177691348 /nfs/dbraw/zinc/69/13/48/177691348.db2.gz MDAHYKOGWOXPMJ-UHFFFAOYSA-N 0 0 290.241 2.798 20 5 CFBDRN c1cnc2c(c1)ccc1ccc(NCC3COC3)nc12 ZINC001154634035 781992286 /nfs/dbraw/zinc/99/22/86/781992286.db2.gz IQVZVGVPGWKVLZ-UHFFFAOYSA-N 0 0 265.316 2.841 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CCCO1 ZINC000281546723 195284015 /nfs/dbraw/zinc/28/40/15/195284015.db2.gz OFVHJYTXHMIUDM-AWEZNQCLSA-N 0 0 292.335 2.544 20 5 CFBDRN CCCN(C(=O)[C@]1(C)CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000281649081 195322872 /nfs/dbraw/zinc/32/28/72/195322872.db2.gz CHKKXUAYQYDSFI-HNNXBMFYSA-N 0 0 292.335 2.907 20 5 CFBDRN CCC1(CNc2ncc([N+](=O)[O-])s2)CCOCC1 ZINC000281766986 195373603 /nfs/dbraw/zinc/37/36/03/195373603.db2.gz CXXSIMCSNVPOKU-UHFFFAOYSA-N 0 0 271.342 2.670 20 5 CFBDRN CCC1(CNc2cc(N)ccc2[N+](=O)[O-])CCOCC1 ZINC000282208882 195537942 /nfs/dbraw/zinc/53/79/42/195537942.db2.gz MNAHEMGBZUTJMG-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN CSc1ccc(OCCn2cc(C)c([N+](=O)[O-])n2)cc1 ZINC000282260390 195555825 /nfs/dbraw/zinc/55/58/25/195555825.db2.gz NTANBNXXXNXBBC-UHFFFAOYSA-N 0 0 293.348 2.901 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@]1(C)CCCO1 ZINC000282397249 195597568 /nfs/dbraw/zinc/59/75/68/195597568.db2.gz RVQQSFCKIFYIKC-ZDUSSCGKSA-N 0 0 282.271 2.550 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)C2CC2)c1 ZINC000282703321 195685305 /nfs/dbraw/zinc/68/53/05/195685305.db2.gz TYHQAASNPBKTNV-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)[C@H](C)C2)c1 ZINC000282753996 195699518 /nfs/dbraw/zinc/69/95/18/195699518.db2.gz BPJJBJCYLBJKMR-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000282789685 195708879 /nfs/dbraw/zinc/70/88/79/195708879.db2.gz JDXWAUPRXRXHGU-NWDGAFQWSA-N 0 0 279.292 2.628 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)CCC1CC1 ZINC000283572532 195880938 /nfs/dbraw/zinc/88/09/38/195880938.db2.gz KKUBPJONBDLKKL-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN COc1ncccc1CSc1ncccc1[N+](=O)[O-] ZINC000176924349 533262731 /nfs/dbraw/zinc/26/27/31/533262731.db2.gz XAAWFJHXNWXJHN-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN CO[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000191634243 533263543 /nfs/dbraw/zinc/26/35/43/533263543.db2.gz KSYPJTGFHLZDQZ-WPRPVWTQSA-N 0 0 298.726 2.546 20 5 CFBDRN CO[C@](C)([C@@H](C)Nc1cc(C)c([N+](=O)[O-])cn1)C1CC1 ZINC000413522138 533348685 /nfs/dbraw/zinc/34/86/85/533348685.db2.gz RTZYCTDYXCHILR-QMTHXVAHSA-N 0 0 279.340 2.914 20 5 CFBDRN COc1c(C(=O)NC2CCCCC2)cccc1[N+](=O)[O-] ZINC000137568607 533388844 /nfs/dbraw/zinc/38/88/44/533388844.db2.gz VGXUVASRESOQMI-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN C[C@@H](CC(F)(F)F)Nc1ncc([N+](=O)[O-])cc1F ZINC000413229816 533485317 /nfs/dbraw/zinc/48/53/17/533485317.db2.gz KSAVMTZYCCNNEB-YFKPBYRVSA-N 0 0 267.182 2.882 20 5 CFBDRN C[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)c1ccn(C)n1 ZINC000413458133 533551912 /nfs/dbraw/zinc/55/19/12/533551912.db2.gz MFMGIMAPVXJCGG-SSDOTTSWSA-N 0 0 282.250 2.780 20 5 CFBDRN CSCCCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413154937 533565780 /nfs/dbraw/zinc/56/57/80/533565780.db2.gz LHYCPRUNBXUJGJ-UHFFFAOYSA-N 0 0 277.349 2.730 20 5 CFBDRN CSCCCNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412135268 533570187 /nfs/dbraw/zinc/57/01/87/533570187.db2.gz UDQBUHHVEQKNAX-QWHCGFSZSA-N 0 0 294.376 2.568 20 5 CFBDRN COc1cccc(NCCc2ccc(C)cn2)c1[N+](=O)[O-] ZINC000413359028 533618685 /nfs/dbraw/zinc/61/86/85/533618685.db2.gz XCLWWOYCCFSDJS-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CN(Cc1ccoc1)c1c(F)cccc1[N+](=O)[O-] ZINC000341593667 129900019 /nfs/dbraw/zinc/90/00/19/129900019.db2.gz LLAICJZKAGWQGN-UHFFFAOYSA-N 0 0 250.229 2.963 20 5 CFBDRN Cc1ccc(CNc2cccc(C(N)=O)c2[N+](=O)[O-])s1 ZINC000341727070 130000594 /nfs/dbraw/zinc/00/05/94/130000594.db2.gz IOZOHTLYCRNCMC-UHFFFAOYSA-N 0 0 291.332 2.676 20 5 CFBDRN Cc1cc(C)cc([C@@H](C)NCc2c([N+](=O)[O-])ncn2C)c1 ZINC000341733199 130004877 /nfs/dbraw/zinc/00/48/77/130004877.db2.gz SAVBQEVHMHILIC-GFCCVEGCSA-N 0 0 288.351 2.796 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341794870 130033397 /nfs/dbraw/zinc/03/33/97/130033397.db2.gz DLZKXRVFAZGYMU-WDEREUQCSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341794868 130033535 /nfs/dbraw/zinc/03/35/35/130033535.db2.gz DLZKXRVFAZGYMU-MNOVXSKESA-N 0 0 291.351 2.932 20 5 CFBDRN COC[C@H]1CCCN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000301431787 285447274 /nfs/dbraw/zinc/44/72/74/285447274.db2.gz HBWGEFYNCSAMJC-JTQLQIEISA-N 0 0 298.314 2.605 20 5 CFBDRN O=[N+]([O-])c1cccc(C[N@H+]2CCCCC[C@H]2CCO)c1 ZINC000342008564 130195759 /nfs/dbraw/zinc/19/57/59/130195759.db2.gz BMEZJDJDAPLMIA-AWEZNQCLSA-N 0 0 278.352 2.722 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@H](n2cccn2)C1 ZINC000342112003 130268022 /nfs/dbraw/zinc/26/80/22/130268022.db2.gz MPYSCEPEKDLKNX-NSHDSACASA-N 0 0 290.298 2.772 20 5 CFBDRN COC(=O)[C@@H](C)N(Cc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000191653133 130271106 /nfs/dbraw/zinc/27/11/06/130271106.db2.gz WCRXARDZEPBYPQ-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000355343655 130283688 /nfs/dbraw/zinc/28/36/88/130283688.db2.gz GLLBVFBMSXLXSA-WCQYABFASA-N 0 0 276.336 2.642 20 5 CFBDRN NC(=O)c1cccc(NC/C=C\c2ccccc2)c1[N+](=O)[O-] ZINC000342130712 130288980 /nfs/dbraw/zinc/28/89/80/130288980.db2.gz MNIBPJCKLSDBOC-YVMONPNESA-N 0 0 297.314 2.819 20 5 CFBDRN COc1cccc(N[C@@H](C)C[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000355411765 130300305 /nfs/dbraw/zinc/30/03/05/130300305.db2.gz KCDWFQVHIYQPOD-WDEREUQCSA-N 0 0 280.324 2.973 20 5 CFBDRN CC(C)=CCNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000191795235 130304488 /nfs/dbraw/zinc/30/44/88/130304488.db2.gz RLDJGSKPMCMHBG-UHFFFAOYSA-N 0 0 291.351 2.869 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@@H]1CCC2(CCCCC2)O1 ZINC000191803797 130305917 /nfs/dbraw/zinc/30/59/17/130305917.db2.gz FVIOJQYXXUMZKR-ZDUSSCGKSA-N 0 0 292.335 2.638 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1CC(=O)c2ccccc21 ZINC000355464934 130306771 /nfs/dbraw/zinc/30/67/71/130306771.db2.gz BZCFEISKSVAUEU-AWEZNQCLSA-N 0 0 296.282 2.904 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)Nc2cccc(C(F)F)c2)n1 ZINC000355523169 130311049 /nfs/dbraw/zinc/31/10/49/130311049.db2.gz ZLXJKMCFEHGFEU-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN O=c1ccn(C[C@H]2CCC3(CCCCC3)O2)cc1[N+](=O)[O-] ZINC000191831773 130314083 /nfs/dbraw/zinc/31/40/83/130314083.db2.gz SZEHRHZNUFODBO-GFCCVEGCSA-N 0 0 292.335 2.638 20 5 CFBDRN COC1(c2nc(Cc3ccccc3[N+](=O)[O-])no2)CCC1 ZINC000355678982 130333675 /nfs/dbraw/zinc/33/36/75/130333675.db2.gz SHRQZUHWHRKRKK-UHFFFAOYSA-N 0 0 289.291 2.594 20 5 CFBDRN CC(C)(F)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355692224 130337328 /nfs/dbraw/zinc/33/73/28/130337328.db2.gz YAVINSNQKLKXGA-UHFFFAOYSA-N 0 0 265.244 2.773 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)CC1(C)CC1 ZINC000355914052 130352645 /nfs/dbraw/zinc/35/26/45/130352645.db2.gz NQYCNNMVTMFKMG-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2cncs2)cc1[N+](=O)[O-] ZINC000342168457 130358274 /nfs/dbraw/zinc/35/82/74/130358274.db2.gz CGHJOLARPOAAKK-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN COc1c(C(=O)Nc2cccnc2C)cccc1[N+](=O)[O-] ZINC000356215252 130386818 /nfs/dbraw/zinc/38/68/18/130386818.db2.gz IXMYAGOEIGLGSW-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCC(C)(C)CNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000192196251 130393581 /nfs/dbraw/zinc/39/35/81/130393581.db2.gz RKXZKAQAAKWDNZ-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCC[C@@H](C)F)c1 ZINC000356684726 130396402 /nfs/dbraw/zinc/39/64/02/130396402.db2.gz YQOOSTMTXNAROA-LLVKDONJSA-N 0 0 298.314 2.536 20 5 CFBDRN CC[C@@H](OC)c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000357333647 130444472 /nfs/dbraw/zinc/44/44/72/130444472.db2.gz UVJWFSUGKUOLFL-GFCCVEGCSA-N 0 0 291.307 2.975 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C\c2nc(-c3ccon3)no2)c1 ZINC000357383447 130457213 /nfs/dbraw/zinc/45/72/13/130457213.db2.gz WHTOTULKQWSRHG-PLNGDYQASA-N 0 0 284.231 2.803 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000359218372 130501839 /nfs/dbraw/zinc/50/18/39/130501839.db2.gz SCFVFPRFDLVDPE-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1ncc(C)o1 ZINC000192733996 130539747 /nfs/dbraw/zinc/53/97/47/130539747.db2.gz FMDNUHXCNUQGPE-UHFFFAOYSA-N 0 0 277.280 2.650 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cccc3c2OCO3)cc1 ZINC000359781381 130543367 /nfs/dbraw/zinc/54/33/67/130543367.db2.gz CGAUIHLQQBNUPU-UHFFFAOYSA-N 0 0 272.260 2.936 20 5 CFBDRN COc1cc(Oc2nc(C)ns2)ccc1[N+](=O)[O-] ZINC000359868798 130555571 /nfs/dbraw/zinc/55/55/71/130555571.db2.gz CHGUBZMUAPMVCZ-UHFFFAOYSA-N 0 0 267.266 2.556 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CCC(F)(F)C1 ZINC000359908226 130561020 /nfs/dbraw/zinc/56/10/20/130561020.db2.gz WVIZBKLQHSCVDY-LLVKDONJSA-N 0 0 298.289 2.689 20 5 CFBDRN CN(CCCOc1ccccc1)c1ncc([N+](=O)[O-])s1 ZINC000195508381 130744746 /nfs/dbraw/zinc/74/47/46/130744746.db2.gz JXOQWUAOGGAPCJ-UHFFFAOYSA-N 0 0 293.348 2.957 20 5 CFBDRN COc1cc(NCC(C)(C)CCO)c(F)cc1[N+](=O)[O-] ZINC000218866569 130771998 /nfs/dbraw/zinc/77/19/98/130771998.db2.gz KQLMDLIKDMDTLQ-UHFFFAOYSA-N 0 0 286.303 2.563 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(C)(C)CCCO)n1 ZINC000221182027 130782426 /nfs/dbraw/zinc/78/24/26/130782426.db2.gz NOYNLHIQGZOZKZ-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000226147214 130819955 /nfs/dbraw/zinc/81/99/55/130819955.db2.gz HCNURCMHWMBGCV-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000227006592 130833864 /nfs/dbraw/zinc/83/38/64/130833864.db2.gz OTUSYNSYAYPITE-RDDDGLTNSA-N 0 0 268.700 2.777 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@@H]2CCCOC2)c(F)c1 ZINC000227888605 130855918 /nfs/dbraw/zinc/85/59/18/130855918.db2.gz UOWOJUIFRIYRTB-QMMMGPOBSA-N 0 0 272.251 2.712 20 5 CFBDRN C[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1cn[nH]c1 ZINC000229882180 130887802 /nfs/dbraw/zinc/88/78/02/130887802.db2.gz XDBJWSQVSWKLDM-QMMMGPOBSA-N 0 0 280.715 2.822 20 5 CFBDRN CCC[C@](C)(O)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000230905305 130909643 /nfs/dbraw/zinc/90/96/43/130909643.db2.gz VUCQJZWKRMLLHE-ZDUSSCGKSA-N 0 0 268.313 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCCO[C@H](C)C1 ZINC000175082561 291996499 /nfs/dbraw/zinc/99/64/99/291996499.db2.gz PHSQSYQDDRRKCA-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2C)[C@H]1OC ZINC000252756462 131137866 /nfs/dbraw/zinc/13/78/66/131137866.db2.gz BSQJLNYZTFARLS-BFHYXJOUSA-N 0 0 280.324 2.508 20 5 CFBDRN CO[C@H](C)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000263784416 131330023 /nfs/dbraw/zinc/33/00/23/131330023.db2.gz IVZYFRGLFPLDQU-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN COc1cc(C(=O)N(C)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000263793795 131332364 /nfs/dbraw/zinc/33/23/64/131332364.db2.gz REVHYLVNTPAQAB-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000263821409 131338939 /nfs/dbraw/zinc/33/89/39/131338939.db2.gz QQSMMLFBQJJOJK-VIFPVBQESA-N 0 0 273.292 2.558 20 5 CFBDRN Cn1cc([C@@H]2CCCN2Cc2csc([N+](=O)[O-])c2)cn1 ZINC000264062655 131388787 /nfs/dbraw/zinc/38/87/87/131388787.db2.gz KTASDDLOMQCBQN-LBPRGKRZSA-N 0 0 292.364 2.727 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CCC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000264697057 131488380 /nfs/dbraw/zinc/48/83/80/131488380.db2.gz VIMOCLAWSIFAID-JTQLQIEISA-N 0 0 294.355 2.533 20 5 CFBDRN CCCCCN(CCO)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000264842535 131509294 /nfs/dbraw/zinc/50/92/94/131509294.db2.gz IZWNOMWGROPHFM-UHFFFAOYSA-N 0 0 295.339 2.611 20 5 CFBDRN Cc1cc(C(=O)NCC2CCSCC2)ccc1[N+](=O)[O-] ZINC000264874838 131515345 /nfs/dbraw/zinc/51/53/45/131515345.db2.gz BMGDZKYAAWAQHT-UHFFFAOYSA-N 0 0 294.376 2.776 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000265173879 131558005 /nfs/dbraw/zinc/55/80/05/131558005.db2.gz CCZKZPCLRNLRGT-MRVPVSSYSA-N 0 0 297.332 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(CC3CC3)no2)cc1 ZINC000266597623 131756957 /nfs/dbraw/zinc/75/69/57/131756957.db2.gz PBEIVGUAGSYCSS-UHFFFAOYSA-N 0 0 275.264 2.509 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCO[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000267189676 131832664 /nfs/dbraw/zinc/83/26/64/131832664.db2.gz HBFLAXTTXHEBQJ-YPMHNXCESA-N 0 0 279.340 2.771 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000267701966 131905362 /nfs/dbraw/zinc/90/53/62/131905362.db2.gz JHNMSUNLQYSBMY-SECBINFHSA-N 0 0 273.292 2.701 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268134985 131964252 /nfs/dbraw/zinc/96/42/52/131964252.db2.gz MVSFQFKAYNUHGL-IUCAKERBSA-N 0 0 297.742 2.703 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000268155957 131968228 /nfs/dbraw/zinc/96/82/28/131968228.db2.gz GCIYNVSSQWPDGU-PRHODGIISA-N 0 0 286.303 2.561 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)O1 ZINC000268251053 131984896 /nfs/dbraw/zinc/98/48/96/131984896.db2.gz PKLATPJJKNLFTD-SKDRFNHKSA-N 0 0 282.271 2.630 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)C1=COCCC1 ZINC000268278645 131988814 /nfs/dbraw/zinc/98/88/14/131988814.db2.gz VWRJCFRBKQCNHF-UHFFFAOYSA-N 0 0 296.710 2.559 20 5 CFBDRN Cc1cnc(N[C@@H]2CCC[C@H]3OCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000268401157 132003880 /nfs/dbraw/zinc/00/38/80/132003880.db2.gz PUPZAMNFUVJYGW-NQBHXWOUSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1nc(NCC(C)(C)C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000268448368 132010815 /nfs/dbraw/zinc/01/08/15/132010815.db2.gz FJAZXBAFVMZFEJ-SECBINFHSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1nc(N(C)CCCOC(C)C)ccc1[N+](=O)[O-] ZINC000268529399 132022212 /nfs/dbraw/zinc/02/22/12/132022212.db2.gz WUZVJPNJXQCVHH-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN Cc1nc(N2CC[C@H](OCCC(C)C)C2)ccc1[N+](=O)[O-] ZINC000268530759 132022715 /nfs/dbraw/zinc/02/27/15/132022715.db2.gz XFQRBMPRLSOCHT-ZDUSSCGKSA-N 0 0 293.367 2.940 20 5 CFBDRN Cc1nc(NC(=O)C2(C)CCCC2)ccc1[N+](=O)[O-] ZINC000268590439 132031310 /nfs/dbraw/zinc/03/13/10/132031310.db2.gz SDMDEJMIWPQCJI-UHFFFAOYSA-N 0 0 263.297 2.817 20 5 CFBDRN CCCCCCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000268597782 132032013 /nfs/dbraw/zinc/03/20/13/132032013.db2.gz HTCGBRKPMVJQNK-UHFFFAOYSA-N 0 0 258.705 2.990 20 5 CFBDRN Cc1noc(CCCOc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000268656228 132043407 /nfs/dbraw/zinc/04/34/07/132043407.db2.gz XAQZREZIDQWPKT-UHFFFAOYSA-N 0 0 297.698 2.951 20 5 CFBDRN Cc1nn(Cc2noc(C3CC3)n2)c2ccc([N+](=O)[O-])cc12 ZINC000268749853 132060855 /nfs/dbraw/zinc/06/08/55/132060855.db2.gz WYGPOSHOUWVMSP-UHFFFAOYSA-N 0 0 299.290 2.562 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCO[C@H]2C(C)C)n1 ZINC000268793033 132067164 /nfs/dbraw/zinc/06/71/64/132067164.db2.gz GZVIPUQPHOYJAG-YPMHNXCESA-N 0 0 279.340 2.771 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCCc2c[nH]nc21 ZINC000268839889 132075587 /nfs/dbraw/zinc/07/55/87/132075587.db2.gz OMJFEYNXURLRIQ-LLVKDONJSA-N 0 0 258.281 2.807 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000269076530 132108338 /nfs/dbraw/zinc/10/83/38/132108338.db2.gz HBPMWKZEPDZGBS-GFCCVEGCSA-N 0 0 294.376 2.871 20 5 CFBDRN CCCCN(CC)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269375879 132162613 /nfs/dbraw/zinc/16/26/13/132162613.db2.gz ZYHMUSPWJKXXFU-UHFFFAOYSA-N 0 0 282.344 2.704 20 5 CFBDRN O=C(CCC(F)(F)F)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000269458447 132173420 /nfs/dbraw/zinc/17/34/20/132173420.db2.gz RZBURBKLFNWEEQ-UHFFFAOYSA-N 0 0 281.161 2.982 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1Nc1cnn(CC2CC2)c1 ZINC000178838356 393449423 /nfs/dbraw/zinc/44/94/23/393449423.db2.gz ZAHLCPPHEQHZER-UHFFFAOYSA-N 0 0 293.714 2.993 20 5 CFBDRN CC[C@@H](NC(=O)c1[nH]ncc1[N+](=O)[O-])c1ccc(C)cc1 ZINC000179130689 393452173 /nfs/dbraw/zinc/45/21/73/393452173.db2.gz RVNXSXNOPHWHED-LLVKDONJSA-N 0 0 288.307 2.507 20 5 CFBDRN CCOc1cccc(N2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000302110679 393488877 /nfs/dbraw/zinc/48/88/77/393488877.db2.gz PHZKPFNICPYNJS-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(F)cn2)cc1[N+](=O)[O-] ZINC000181627949 393498320 /nfs/dbraw/zinc/49/83/20/393498320.db2.gz FKNVUWUNTLKITB-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccccn1 ZINC000181773468 393501162 /nfs/dbraw/zinc/50/11/62/393501162.db2.gz OCZHAOGEFMEAFP-JTQLQIEISA-N 0 0 298.302 2.982 20 5 CFBDRN CCCCN(CCCO)Cc1c(F)cccc1[N+](=O)[O-] ZINC000182153630 393507668 /nfs/dbraw/zinc/50/76/68/393507668.db2.gz YYIHBHKNKRQEGG-UHFFFAOYSA-N 0 0 284.331 2.718 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1nccn1C ZINC000184664871 393530150 /nfs/dbraw/zinc/53/01/50/393530150.db2.gz JZFGFOQJSFNHLO-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000377382367 393530828 /nfs/dbraw/zinc/53/08/28/393530828.db2.gz LFFUPPNYVVYPDN-MEBBXXQBSA-N 0 0 298.298 2.847 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 ZINC000042196962 393550295 /nfs/dbraw/zinc/55/02/95/393550295.db2.gz ZAPIAPAIDARPGK-UHFFFAOYSA-N 0 0 272.260 2.747 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000331496479 393555994 /nfs/dbraw/zinc/55/59/94/393555994.db2.gz RTVPBCGIIBRUOD-NXEZZACHSA-N 0 0 265.313 2.622 20 5 CFBDRN C[C@@H](CNc1ncccc1[N+](=O)[O-])c1nccs1 ZINC000230608772 413069150 /nfs/dbraw/zinc/06/91/50/413069150.db2.gz ZPXUIMCDVVMANR-QMMMGPOBSA-N 0 0 264.310 2.662 20 5 CFBDRN CCCCCNc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000048892750 393606618 /nfs/dbraw/zinc/60/66/18/393606618.db2.gz MMJGHIKMWWUWAE-UHFFFAOYSA-N 0 0 265.313 2.557 20 5 CFBDRN CCOC(=O)[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000050086053 393615943 /nfs/dbraw/zinc/61/59/43/393615943.db2.gz PZLRPRGPLRJSFQ-GFCCVEGCSA-N 0 0 298.726 2.780 20 5 CFBDRN Cc1cccc(CCNc2ccc([N+](=O)[O-])cn2)c1 ZINC000051670464 393617097 /nfs/dbraw/zinc/61/70/97/393617097.db2.gz IBZOHSPOULBEMG-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN CCC(C)(C)CNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000192346083 393622621 /nfs/dbraw/zinc/62/26/21/393622621.db2.gz LRVVVYSPNRTAAP-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN CCCCC[C@@H]1NC(=O)N(c2cccc([N+](=O)[O-])c2)C1=O ZINC000192403034 393623602 /nfs/dbraw/zinc/62/36/02/393623602.db2.gz ICKULKXBMPFVJR-LBPRGKRZSA-N 0 0 291.307 2.600 20 5 CFBDRN CCC(C)(C)NC(=O)CNc1cc([N+](=O)[O-])c(C)cc1C ZINC000192572179 393625450 /nfs/dbraw/zinc/62/54/50/393625450.db2.gz QNVGPCLRYTWCOB-UHFFFAOYSA-N 0 0 293.367 2.928 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000408303762 393625799 /nfs/dbraw/zinc/62/57/99/393625799.db2.gz SPNNPGVHQYNOSX-NXEZZACHSA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1cc(N2C[C@H](C)O[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000408441832 393626841 /nfs/dbraw/zinc/62/68/41/393626841.db2.gz GOJZYMDRQFTDLZ-TUAOUCFPSA-N 0 0 264.325 2.905 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C[C@H]1C ZINC000334919094 393627750 /nfs/dbraw/zinc/62/77/50/393627750.db2.gz DPSICMDJLKWGDV-NXEZZACHSA-N 0 0 287.319 2.804 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000192705128 393629871 /nfs/dbraw/zinc/62/98/71/393629871.db2.gz UMDBOYLIKXTGFJ-ZWNOBZJWSA-N 0 0 278.308 2.575 20 5 CFBDRN O=C(OCC1CC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000192904254 393636296 /nfs/dbraw/zinc/63/62/96/393636296.db2.gz OXVSRTZPCZQDOL-UHFFFAOYSA-N 0 0 276.292 2.894 20 5 CFBDRN CC/C=C/CNC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000411035006 393650736 /nfs/dbraw/zinc/65/07/36/393650736.db2.gz MMGULYKOWXZAIS-AATRIKPKSA-N 0 0 277.324 2.723 20 5 CFBDRN CCOC[C@@H]1CCCCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000411116222 393667123 /nfs/dbraw/zinc/66/71/23/393667123.db2.gz UYHBWJUBBYOJLG-LBPRGKRZSA-N 0 0 292.335 2.626 20 5 CFBDRN COc1cccc(C(=O)N(C(C)C)C2CC2)c1[N+](=O)[O-] ZINC000193959836 393669250 /nfs/dbraw/zinc/66/92/50/393669250.db2.gz PREUDDQPTAXFCK-UHFFFAOYSA-N 0 0 278.308 2.616 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1c2ccccc2C[C@H]1C ZINC000195518455 393742336 /nfs/dbraw/zinc/74/23/36/393742336.db2.gz XYDJHAWJWHGDID-SNVBAGLBSA-N 0 0 297.314 2.890 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cc(F)cc(F)c2)s1 ZINC000195508090 393743047 /nfs/dbraw/zinc/74/30/47/393743047.db2.gz AIJCJBGZGRQWDN-UHFFFAOYSA-N 0 0 271.248 2.942 20 5 CFBDRN CCCN(C)C(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000411736864 393743352 /nfs/dbraw/zinc/74/33/52/393743352.db2.gz GLEXCSBIEPXYFA-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCC[C@H]2O)c1 ZINC000084726648 393750263 /nfs/dbraw/zinc/75/02/63/393750263.db2.gz ALHMUXUYOPWPGX-SMDDNHRTSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cccnc1CNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000209843656 393752965 /nfs/dbraw/zinc/75/29/65/393752965.db2.gz QBKCGGXFURFYLM-HJWRWDBZSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1c(NC(=O)C[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000086969822 393756358 /nfs/dbraw/zinc/75/63/58/393756358.db2.gz WUZJYMSBZQLGMW-LLVKDONJSA-N 0 0 278.308 2.801 20 5 CFBDRN CCOC1CCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)CC1 ZINC000194581229 393706795 /nfs/dbraw/zinc/70/67/95/393706795.db2.gz WHTIIRDHSBFTBW-UHFFFAOYSA-N 0 0 298.364 2.606 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000335917904 393707582 /nfs/dbraw/zinc/70/75/82/393707582.db2.gz QIYALEUAAMEJNW-SNVBAGLBSA-N 0 0 280.299 2.852 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)OC(C)(C)C2)cc([N+](=O)[O-])c1 ZINC000194647225 393712040 /nfs/dbraw/zinc/71/20/40/393712040.db2.gz UAKNQSFZOOGKKG-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1c(NC(=O)N2[C@@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000336056836 393716278 /nfs/dbraw/zinc/71/62/78/393716278.db2.gz NSLVFFPFLNNXJV-IUCAKERBSA-N 0 0 263.297 2.918 20 5 CFBDRN COc1cccc(C(=O)Nc2ccnc(C)c2)c1[N+](=O)[O-] ZINC000194801727 393717321 /nfs/dbraw/zinc/71/73/21/393717321.db2.gz CXNHBZLKXVHOBQ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCCCCOCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000194810510 393718164 /nfs/dbraw/zinc/71/81/64/393718164.db2.gz SSHNUAANVYESAE-LBPRGKRZSA-N 0 0 294.351 2.979 20 5 CFBDRN CC(=O)Nc1cc(Br)cc([N+](=O)[O-])c1C ZINC000336127982 393719548 /nfs/dbraw/zinc/71/95/48/393719548.db2.gz FZHMBAXRRJXEHK-UHFFFAOYSA-N 0 0 273.086 2.624 20 5 CFBDRN Cc1nccn1CCCCn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000195038742 393728444 /nfs/dbraw/zinc/72/84/44/393728444.db2.gz DWMUCWDQGBMRGB-UHFFFAOYSA-N 0 0 289.339 2.654 20 5 CFBDRN CCOc1cc(N[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000220091164 393761088 /nfs/dbraw/zinc/76/10/88/393761088.db2.gz NEEYXIMJTZOAKN-JQWIXIFHSA-N 0 0 280.324 2.973 20 5 CFBDRN CCOC[C@H](C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227888924 393773818 /nfs/dbraw/zinc/77/38/18/393773818.db2.gz LWCYTKXDMFYGRU-ZETCQYMHSA-N 0 0 260.240 2.710 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000230100107 393777365 /nfs/dbraw/zinc/77/73/65/393777365.db2.gz YEOFGRXCMCXTOI-PRHODGIISA-N 0 0 254.261 2.713 20 5 CFBDRN CCOc1cccc(N[C@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000231038317 393780443 /nfs/dbraw/zinc/78/04/43/393780443.db2.gz TUEPSYCHNDTRNQ-QWRGUYRKSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC1Cc2ccccc2C1 ZINC000231038644 393780461 /nfs/dbraw/zinc/78/04/61/393780461.db2.gz ITIYAMHGEBMAFB-UHFFFAOYSA-N 0 0 269.304 2.878 20 5 CFBDRN Cn1nccc1CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000231039398 393780471 /nfs/dbraw/zinc/78/04/71/393780471.db2.gz BIIQEKRHHVUWKW-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN CCOc1cc(N[C@H](C)[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000092657512 393790028 /nfs/dbraw/zinc/79/00/28/393790028.db2.gz LGTICGFLTSUGFJ-MNOVXSKESA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1ncccc1CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000093443805 393798762 /nfs/dbraw/zinc/79/87/62/393798762.db2.gz BXJJJBXCJJWPHI-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN CCc1nn(C)c(N2CC[C@H](CC3CC3)C2)c1[N+](=O)[O-] ZINC000338806453 393800488 /nfs/dbraw/zinc/80/04/88/393800488.db2.gz ASYDFXBCFUVKSW-LLVKDONJSA-N 0 0 278.356 2.517 20 5 CFBDRN O=C([C@H]1[C@@H]2CCCC[C@@H]21)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000245417960 393803344 /nfs/dbraw/zinc/80/33/44/393803344.db2.gz ACJZSRLUNYGPQJ-NHAGDIPZSA-N 0 0 286.331 2.920 20 5 CFBDRN O=C([O-])[C@@H]1CCCC[N@@H+]1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000093633486 393803258 /nfs/dbraw/zinc/80/32/58/393803258.db2.gz DNMGXZCCZZPDEM-LBPRGKRZSA-N 0 0 298.726 2.687 20 5 CFBDRN Cc1c(Cc2noc([C@@]3(C)C[C@H]3F)n2)cccc1[N+](=O)[O-] ZINC000424351344 393838081 /nfs/dbraw/zinc/83/80/81/393838081.db2.gz WJOYTUUVPQGEFH-RISCZKNCSA-N 0 0 291.282 2.877 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000457996401 393820035 /nfs/dbraw/zinc/82/00/35/393820035.db2.gz RITCXHXIXUBYPQ-PSASIEDQSA-N 0 0 268.338 2.821 20 5 CFBDRN CCOC[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000458049578 393833372 /nfs/dbraw/zinc/83/33/72/393833372.db2.gz BXNJYCOXRMLWDL-CQSZACIVSA-N 0 0 292.335 2.626 20 5 CFBDRN Cc1cnccc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000471725957 393910402 /nfs/dbraw/zinc/91/04/02/393910402.db2.gz JVWGGWMCKSRBHS-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)[C@@H](C)[C@H]1C ZINC000416083330 393869270 /nfs/dbraw/zinc/86/92/70/393869270.db2.gz UNJYHTFJCVBDFJ-VWYCJHECSA-N 0 0 262.309 2.711 20 5 CFBDRN C[C@@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000118286743 393911215 /nfs/dbraw/zinc/91/12/15/393911215.db2.gz SZSFWRJOAYHJAP-IUCAKERBSA-N 0 0 298.339 2.700 20 5 CFBDRN CC(C)C[C@H]1COCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000113941064 393899274 /nfs/dbraw/zinc/89/92/74/393899274.db2.gz UCBBVVJWSRGZGY-HNNXBMFYSA-N 0 0 278.352 2.842 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])n[nH]1)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000416297564 393899844 /nfs/dbraw/zinc/89/98/44/393899844.db2.gz XMJFNXQYDAIMKE-MWLCHTKSSA-N 0 0 294.355 2.605 20 5 CFBDRN COc1c(C(=O)N[C@@H]2C[C@@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000458103948 393847729 /nfs/dbraw/zinc/84/77/29/393847729.db2.gz CRIHROHJHCHUBV-DOMZBBRYSA-N 0 0 292.335 2.768 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000458117094 393850642 /nfs/dbraw/zinc/85/06/42/393850642.db2.gz SYJBDRJUXCSWJL-OCCSQVGLSA-N 0 0 280.299 2.898 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@@]1(C)C(C)C ZINC000458117201 393850902 /nfs/dbraw/zinc/85/09/02/393850902.db2.gz IXGAREUQWBKQMM-HIFRSBDPSA-N 0 0 292.335 2.768 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000458130595 393854787 /nfs/dbraw/zinc/85/47/87/393854787.db2.gz NWUXJHIPECTJIZ-DZGCQCFKSA-N 0 0 294.326 2.827 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000458151569 393859879 /nfs/dbraw/zinc/85/98/79/393859879.db2.gz QRGQJFJUMZOFPA-OCCSQVGLSA-N 0 0 262.309 2.759 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000471950763 393925930 /nfs/dbraw/zinc/92/59/30/393925930.db2.gz ICXAZIQNRFKHBM-GFCCVEGCSA-N 0 0 276.336 2.933 20 5 CFBDRN C[C@H]1C[C@H]1CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000473510270 393935894 /nfs/dbraw/zinc/93/58/94/393935894.db2.gz SNCNWHDGDZLQIU-ONGXEEELSA-N 0 0 257.293 2.633 20 5 CFBDRN C[C@H](c1cccnc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352707914 394018250 /nfs/dbraw/zinc/01/82/50/394018250.db2.gz DGTBGVUDKUJOQD-GFCCVEGCSA-N 0 0 299.330 2.945 20 5 CFBDRN COc1c(C(=O)NC[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000476098628 393958324 /nfs/dbraw/zinc/95/83/24/393958324.db2.gz KWMHUAVKLOTZFU-SNVBAGLBSA-N 0 0 280.324 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+]1C[C@H](C(=O)[O-])CC[C@H]1C ZINC000263346004 393961536 /nfs/dbraw/zinc/96/15/36/393961536.db2.gz OQGQOMSETLTTJR-DGCLKSJQSA-N 0 0 292.335 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc(CN=c2[nH]c3ccccc3o2)c(F)c1 ZINC000349160556 393963944 /nfs/dbraw/zinc/96/39/44/393963944.db2.gz QFRYAFUXMFNVIZ-UHFFFAOYSA-N 0 0 287.250 2.909 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)CCC(F)(F)F ZINC000430208377 393967757 /nfs/dbraw/zinc/96/77/57/393967757.db2.gz OQWVRFUGAFGBGG-UHFFFAOYSA-N 0 0 292.257 2.988 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC[C@@](C)(F)C1 ZINC000430209582 393969165 /nfs/dbraw/zinc/96/91/65/393969165.db2.gz RVDJTSCXIPDXSQ-CYBMUJFWSA-N 0 0 268.288 2.537 20 5 CFBDRN O=C(N[C@H]1CC12CCCC2)c1cccc([N+](=O)[O-])c1 ZINC000430264252 393975417 /nfs/dbraw/zinc/97/54/17/393975417.db2.gz ZWHRSTCRUPFVLL-LBPRGKRZSA-N 0 0 260.293 2.657 20 5 CFBDRN CC(C)c1nccn1CCOc1ccccc1[N+](=O)[O-] ZINC000123633424 393984288 /nfs/dbraw/zinc/98/42/88/393984288.db2.gz NIUBRINGNMKWEQ-UHFFFAOYSA-N 0 0 275.308 2.994 20 5 CFBDRN CN(CC(F)(F)F)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000124388362 393993975 /nfs/dbraw/zinc/99/39/75/393993975.db2.gz WXPGRTYSJWCVMS-QPJJXVBHSA-N 0 0 288.225 2.629 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2c([N+](=O)[O-])cnn2C)cc1 ZINC000274566815 394042849 /nfs/dbraw/zinc/04/28/49/394042849.db2.gz DOLCNBCPAKMFHI-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC(C)Oc1ccccc1Cn1cnc([N+](=O)[O-])c1 ZINC000127193671 394046075 /nfs/dbraw/zinc/04/60/75/394046075.db2.gz GCFIAHATLULETF-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN CC(C)OCC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000437120715 394047007 /nfs/dbraw/zinc/04/70/07/394047007.db2.gz UVCIPYWPRSPCAP-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1c(C(=O)NC2C[C@H](C)O[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000127639638 394054050 /nfs/dbraw/zinc/05/40/50/394054050.db2.gz KOFOVZDCBCOVTE-UWVGGRQHSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ccncc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000354989665 394054315 /nfs/dbraw/zinc/05/43/15/394054315.db2.gz DWGMXAXUIDCDIX-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2cn(CC3CC3)nn2)cc1 ZINC000419577961 394055941 /nfs/dbraw/zinc/05/59/41/394055941.db2.gz ZQTMYYHLBATXKF-UHFFFAOYSA-N 0 0 290.348 2.889 20 5 CFBDRN CCc1ncc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000437494622 394058232 /nfs/dbraw/zinc/05/82/32/394058232.db2.gz IIPMEOWHUQKIOE-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCCOCC1CCCC1 ZINC000419603779 394058738 /nfs/dbraw/zinc/05/87/38/394058738.db2.gz PZRYBABECQHFLP-UHFFFAOYSA-N 0 0 299.396 2.768 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000279190871 394066117 /nfs/dbraw/zinc/06/61/17/394066117.db2.gz JMHNCDSYIMSZRN-SECBINFHSA-N 0 0 295.314 2.721 20 5 CFBDRN CCC(CC)(CNc1nccc(C)c1[N+](=O)[O-])C(=O)OC ZINC000128779640 394076910 /nfs/dbraw/zinc/07/69/10/394076910.db2.gz LSGRLQGPDAZOEJ-UHFFFAOYSA-N 0 0 295.339 2.690 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000356716313 394079424 /nfs/dbraw/zinc/07/94/24/394079424.db2.gz BAIFLUCVFUCEMO-SKDRFNHKSA-N 0 0 285.303 2.671 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000281834301 394080621 /nfs/dbraw/zinc/08/06/21/394080621.db2.gz HOXOEHJLNAIJEN-MWODSPESSA-N 0 0 279.292 2.622 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000357261565 394086386 /nfs/dbraw/zinc/08/63/86/394086386.db2.gz RACSRQVQOJFIHS-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)CCCO1 ZINC000281885110 394088031 /nfs/dbraw/zinc/08/80/31/394088031.db2.gz ONJCNJWQOAEVMA-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCC(F)(F)C1 ZINC000281910662 394092133 /nfs/dbraw/zinc/09/21/33/394092133.db2.gz GHFQJNHYGBUCNB-SECBINFHSA-N 0 0 288.298 2.967 20 5 CFBDRN C[C@H](CSCCn1cc([N+](=O)[O-])cn1)C(F)(F)F ZINC000439023076 394095198 /nfs/dbraw/zinc/09/51/98/394095198.db2.gz OOLQNCPXNKSXNB-SSDOTTSWSA-N 0 0 283.275 2.723 20 5 CFBDRN CCc1cccc(OCCn2cc(C)c([N+](=O)[O-])n2)c1 ZINC000439202854 394105035 /nfs/dbraw/zinc/10/50/35/394105035.db2.gz WXVAQYDONYMHBT-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)[C@H](C)C1 ZINC000281937061 394097154 /nfs/dbraw/zinc/09/71/54/394097154.db2.gz UHDCYQGJIDLDAE-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(OCC(=O)N(C)[C@@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000281942909 394098323 /nfs/dbraw/zinc/09/83/23/394098323.db2.gz IVZLVSOMWDNPQM-LBPRGKRZSA-N 0 0 294.351 2.785 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)Cc2ccncc2)cc1[N+](=O)[O-] ZINC000487881830 394028005 /nfs/dbraw/zinc/02/80/05/394028005.db2.gz UACFTKBZEDHNOR-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)Cc2ccncc2)cc([N+](=O)[O-])c1 ZINC000487899602 394029211 /nfs/dbraw/zinc/02/92/11/394029211.db2.gz WLSFXBBPMDNPPJ-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN CN(C(=O)c1ccc(Cl)s1)c1ccc([N+](=O)[O-])nc1 ZINC000439280204 394108823 /nfs/dbraw/zinc/10/88/23/394108823.db2.gz DEDBRYBQDNAUKB-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN Cc1ccc(CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1 ZINC000439290472 394110356 /nfs/dbraw/zinc/11/03/56/394110356.db2.gz XWDSSSPLKWHUKR-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN CC[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000439481334 394118699 /nfs/dbraw/zinc/11/86/99/394118699.db2.gz HTMNLZVQLBMJQK-LLVKDONJSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CC12CCSCC2 ZINC000420649800 394122205 /nfs/dbraw/zinc/12/22/05/394122205.db2.gz GQZIZVRMBWRAQX-LLVKDONJSA-N 0 0 293.392 2.665 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000493020835 394126079 /nfs/dbraw/zinc/12/60/79/394126079.db2.gz IHBLWRZMWJEELW-XQRVVYSFSA-N 0 0 297.314 2.521 20 5 CFBDRN CC(F)(F)CNC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000493021112 394127244 /nfs/dbraw/zinc/12/72/44/394127244.db2.gz IYYMPWZXOGAUFB-HWKANZROSA-N 0 0 288.225 2.519 20 5 CFBDRN O=C(Nc1ccccc1-c1cn[nH]c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000439739430 394128514 /nfs/dbraw/zinc/12/85/14/394128514.db2.gz MZZYGTOLKYEVJI-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN COC(=O)c1ccc(COc2ccc([N+](=O)[O-])cc2C)o1 ZINC000131397394 394132684 /nfs/dbraw/zinc/13/26/84/394132684.db2.gz NAWJQMZQHOBDKD-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1ccc(OCC(=O)Nc2ccccc2)cc1[N+](=O)[O-] ZINC000131739559 394140072 /nfs/dbraw/zinc/14/00/72/394140072.db2.gz PSDAGFILMMHKTK-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C[C@H](CC)O1 ZINC000420957732 394140657 /nfs/dbraw/zinc/14/06/57/394140657.db2.gz OSWWHNXIEVHSRM-GASCZTMLSA-N 0 0 278.352 2.984 20 5 CFBDRN CCC[C@@]1(NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCOC1 ZINC000285801383 394147936 /nfs/dbraw/zinc/14/79/36/394147936.db2.gz ULEGLNDAUDKJHK-CQSZACIVSA-N 0 0 293.323 2.676 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)cc(=O)[nH]1 ZINC000132549146 394157315 /nfs/dbraw/zinc/15/73/15/394157315.db2.gz RPGOJKCGFAAFTI-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CCOc1cc(CSC[C@H]2C[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000421217695 394182418 /nfs/dbraw/zinc/18/24/18/394182418.db2.gz KMAITAKXJULOIX-TXEJJXNPSA-N 0 0 297.376 2.998 20 5 CFBDRN Cc1cnc(COc2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000361089973 394165029 /nfs/dbraw/zinc/16/50/29/394165029.db2.gz ZMQPTJLBMZEIHY-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CCOC(=O)c1ccnc(N2CCCCCC2)c1[N+](=O)[O-] ZINC000133108226 394169338 /nfs/dbraw/zinc/16/93/38/394169338.db2.gz ZNJROJLMJZKJPQ-UHFFFAOYSA-N 0 0 293.323 2.547 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H]1[C@@H]1CCCO1 ZINC000286617183 394198723 /nfs/dbraw/zinc/19/87/23/394198723.db2.gz YQLRZQXMIVSUOZ-KBPBESRZSA-N 0 0 262.309 2.743 20 5 CFBDRN CC1(C)[C@@H]2CN(c3ncnc4sc([N+](=O)[O-])cc43)C[C@@H]21 ZINC000287225976 394203274 /nfs/dbraw/zinc/20/32/74/394203274.db2.gz JAOWQOXHURDLLQ-DTORHVGOSA-N 0 0 290.348 2.692 20 5 CFBDRN C[C@@H](CF)NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000287272047 394203560 /nfs/dbraw/zinc/20/35/60/394203560.db2.gz BNVNUAFFTABWEJ-NSHDSACASA-N 0 0 297.330 2.575 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CC[C@@H](c2ccccc2)C1 ZINC000364402098 394209512 /nfs/dbraw/zinc/20/95/12/394209512.db2.gz KVWDSLRETIMEEU-CYBMUJFWSA-N 0 0 297.314 2.620 20 5 CFBDRN Cc1nc(N2CCC[C@H](OCC3CC3)C2)ccc1[N+](=O)[O-] ZINC000364416805 394211316 /nfs/dbraw/zinc/21/13/16/394211316.db2.gz NPMJPYWKZDHQRZ-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc(CNCc2csc([N+](=O)[O-])c2)cc(C)n1 ZINC000421383162 394213261 /nfs/dbraw/zinc/21/32/61/394213261.db2.gz NQZDRHDRNAQXKX-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC[C@@H]1C[C@H]1C1CC1 ZINC000493394358 394230225 /nfs/dbraw/zinc/23/02/25/394230225.db2.gz CMEHPSCJSCDZQH-DVXZOOKTSA-N 0 0 286.331 2.770 20 5 CFBDRN CN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000493420452 394237978 /nfs/dbraw/zinc/23/79/78/394237978.db2.gz NTOPIECNGIKAPR-YFHOEESVSA-N 0 0 262.309 2.865 20 5 CFBDRN CN(C(=O)/C=C/c1ccccc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000493428406 394240512 /nfs/dbraw/zinc/24/05/12/394240512.db2.gz GXFIRBAZJUQABI-XEHSLEBBSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccsc1[C@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000151018944 394258940 /nfs/dbraw/zinc/25/89/40/394258940.db2.gz PDAZKOMQQBMNLL-JTQLQIEISA-N 0 0 293.348 2.814 20 5 CFBDRN CC(C)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000133959046 394185739 /nfs/dbraw/zinc/18/57/39/394185739.db2.gz RRZLAZMDWNINJX-UHFFFAOYSA-N 0 0 277.324 2.677 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000448795838 394187406 /nfs/dbraw/zinc/18/74/06/394187406.db2.gz WWSJAKWSIVZXDB-RKDXNWHRSA-N 0 0 298.314 2.907 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000493254657 394192022 /nfs/dbraw/zinc/19/20/22/394192022.db2.gz MOPSHJPKVRRQLQ-WILPJHFFSA-N 0 0 278.283 2.662 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000493503561 394262059 /nfs/dbraw/zinc/26/20/59/394262059.db2.gz YDHBSYLJAKNXLD-NTIFLXNXSA-N 0 0 278.283 2.662 20 5 CFBDRN CC(C)[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000450739594 394330988 /nfs/dbraw/zinc/33/09/88/394330988.db2.gz RFMFSBNGTMLGOR-YPMHNXCESA-N 0 0 279.340 2.853 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@H]1CF ZINC000493521419 394267191 /nfs/dbraw/zinc/26/71/91/394267191.db2.gz NBLJQLTXSZUDTB-RNZFLTOJSA-N 0 0 296.273 2.708 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000450741755 394331552 /nfs/dbraw/zinc/33/15/52/394331552.db2.gz XZWQQTSGRFEXCJ-TZMCWYRMSA-N 0 0 293.367 2.583 20 5 CFBDRN Cc1ccccc1/C=C/C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000493551633 394275750 /nfs/dbraw/zinc/27/57/50/394275750.db2.gz IWJFSEKQVIXTNI-JXMROGBWSA-N 0 0 297.314 2.974 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCOC(C)(C)C1 ZINC000153371738 394282485 /nfs/dbraw/zinc/28/24/85/394282485.db2.gz VJYWWZPKEKWTDK-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(c2cnccn2)C2CC2)cc1 ZINC000153638469 394287338 /nfs/dbraw/zinc/28/73/38/394287338.db2.gz YEDCYORMRDDZOE-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN C[C@@H](CCCC(F)(F)F)Nc1ncc([N+](=O)[O-])cn1 ZINC000493602240 394288761 /nfs/dbraw/zinc/28/87/61/394288761.db2.gz GGKVNKWMYDNZIB-ZETCQYMHSA-N 0 0 278.234 2.918 20 5 CFBDRN CCO[C@@H]1CCN(c2cccc(OC)c2[N+](=O)[O-])C[C@H]1C ZINC000450746339 394333350 /nfs/dbraw/zinc/33/33/50/394333350.db2.gz RBCDBWDWAIDMCT-DGCLKSJQSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1nc(N2CC[C@H](c3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000295612886 394301560 /nfs/dbraw/zinc/30/15/60/394301560.db2.gz VMBCFEIGFCURQQ-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN CCn1nnc(C)c1COc1ccc([N+](=O)[O-])cc1Cl ZINC000450626183 394302349 /nfs/dbraw/zinc/30/23/49/394302349.db2.gz RJDFABVPTQEYFK-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN CCO[C@H]1CCN(c2cc(OC)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000450747831 394334213 /nfs/dbraw/zinc/33/42/13/394334213.db2.gz VARZSDBKMVXTKC-NHYWBVRUSA-N 0 0 294.351 2.855 20 5 CFBDRN C[C@H](NCc1ncccc1F)c1ccc([N+](=O)[O-])cc1 ZINC000154884847 394304393 /nfs/dbraw/zinc/30/43/93/394304393.db2.gz AMCLWMVQPWPXPD-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN CCOc1cc(N2CC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000450642464 394306795 /nfs/dbraw/zinc/30/67/95/394306795.db2.gz IFRNDTAHPHNVCP-LBPRGKRZSA-N 0 0 262.309 2.982 20 5 CFBDRN C[C@H](Oc1cccnc1[N+](=O)[O-])C(=O)C1CCCCC1 ZINC000450669236 394314541 /nfs/dbraw/zinc/31/45/41/394314541.db2.gz BSHGAWCVPPUURH-JTQLQIEISA-N 0 0 278.308 2.907 20 5 CFBDRN O=C(/C=C\c1cncs1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000493795563 394318280 /nfs/dbraw/zinc/31/82/80/394318280.db2.gz SHVQSQFMUDSKAH-UPHRSURJSA-N 0 0 293.279 2.842 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC(CF)CC1 ZINC000450690245 394318388 /nfs/dbraw/zinc/31/83/88/394318388.db2.gz VGHHXYYFRCKZIW-UHFFFAOYSA-N 0 0 280.299 2.983 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\C1CC1 ZINC000493824502 394324332 /nfs/dbraw/zinc/32/43/32/394324332.db2.gz OTZPBUZXIORWNX-DAXSKMNVSA-N 0 0 261.281 2.541 20 5 CFBDRN CO[C@H]1CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C[C@@H]1C ZINC000450771224 394338726 /nfs/dbraw/zinc/33/87/26/394338726.db2.gz YJHYEMMXCHUFGT-BONVTDFDSA-N 0 0 292.335 2.659 20 5 CFBDRN Cc1nc(N2C[C@H](C3CC3)[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000450792347 394340450 /nfs/dbraw/zinc/34/04/50/394340450.db2.gz JZUPIPNADSCEQI-DOMZBBRYSA-N 0 0 273.336 2.923 20 5 CFBDRN C[C@H]1CCC(=O)[C@@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC000450807828 394340869 /nfs/dbraw/zinc/34/08/69/394340869.db2.gz HIRSHXBCKGQMPU-SDBXPKJASA-N 0 0 267.256 2.870 20 5 CFBDRN Cc1cnc(OCCCCCn2cccn2)c([N+](=O)[O-])c1 ZINC000157959282 394352557 /nfs/dbraw/zinc/35/25/57/394352557.db2.gz OWNRUWVXSSGTJK-UHFFFAOYSA-N 0 0 290.323 2.744 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCCF ZINC000159097270 394363438 /nfs/dbraw/zinc/36/34/38/394363438.db2.gz AMDXSHCIOGXBEO-UHFFFAOYSA-N 0 0 286.328 2.796 20 5 CFBDRN Cc1ccc(C[N@@H+]2CCC[C@H](CC(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000519631059 394372790 /nfs/dbraw/zinc/37/27/90/394372790.db2.gz ATNJOCXEDFTSHY-GFCCVEGCSA-N 0 0 292.335 2.590 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000172655039 394373609 /nfs/dbraw/zinc/37/36/09/394373609.db2.gz BMHDMRIPAZGBHD-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN COC(=O)[C@H](C)Sc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000519648206 394373854 /nfs/dbraw/zinc/37/38/54/394373854.db2.gz YUBOZWWPFLGCHU-QMMMGPOBSA-N 0 0 296.348 2.522 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H](O)C(C)(C)C1 ZINC000275535322 135109579 /nfs/dbraw/zinc/10/95/79/135109579.db2.gz ZDQDYYQWRHYIOM-GFCCVEGCSA-N 0 0 264.325 2.500 20 5 CFBDRN CNc1nc(C(=O)N[C@@H](C)CCC(C)C)ccc1[N+](=O)[O-] ZINC000454474562 394412663 /nfs/dbraw/zinc/41/26/63/394412663.db2.gz UAOKSJNRJYJNHJ-JTQLQIEISA-N 0 0 294.355 2.586 20 5 CFBDRN C[C@H](CC(F)(F)F)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000457476118 394464865 /nfs/dbraw/zinc/46/48/65/394464865.db2.gz KJYWTKQMIJSDRU-MRVPVSSYSA-N 0 0 290.241 2.800 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCc1nc2ccccc2o1 ZINC001154650373 781997389 /nfs/dbraw/zinc/99/73/89/781997389.db2.gz NQBZTKIUNPTZOZ-UHFFFAOYSA-N 0 0 288.238 2.882 20 5 CFBDRN COCC1(C(=O)Nc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000457366936 394441846 /nfs/dbraw/zinc/44/18/46/394441846.db2.gz IAXYREMKQTYVFJ-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN COC(=O)[C@@H](CNc1ccc([N+](=O)[O-])cc1)CC(C)C ZINC000536988034 394575427 /nfs/dbraw/zinc/57/54/27/394575427.db2.gz YHHHMJQYOLADSD-LLVKDONJSA-N 0 0 280.324 2.842 20 5 CFBDRN C[C@@H]1CC[C@@H](CN2CCc3c(cccc3[N+](=O)[O-])C2)O1 ZINC000530442293 394517787 /nfs/dbraw/zinc/51/77/87/394517787.db2.gz OFURCDCXFVGUNK-YPMHNXCESA-N 0 0 276.336 2.520 20 5 CFBDRN Cc1cccc(C)c1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531888160 394531036 /nfs/dbraw/zinc/53/10/36/394531036.db2.gz MHQMDKUZJSNENC-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC[C@@H]1CCCOC1 ZINC000540902284 394655551 /nfs/dbraw/zinc/65/55/51/394655551.db2.gz VSBHWPWIRMUGQK-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1cc(CNC/C=C/c2ccccc2[N+](=O)[O-])no1 ZINC000538374252 394601939 /nfs/dbraw/zinc/60/19/39/394601939.db2.gz QSSLGFOVSJOOGO-GQCTYLIASA-N 0 0 273.292 2.694 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)NCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000540643169 394650764 /nfs/dbraw/zinc/65/07/64/394650764.db2.gz ZLJDEHFEFKJAAX-NWDGAFQWSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCc2nc(C)ncc2C1 ZINC000541775321 394683879 /nfs/dbraw/zinc/68/38/79/394683879.db2.gz XBAHPQDAFGXPPA-UHFFFAOYSA-N 0 0 298.346 2.560 20 5 CFBDRN COc1ccc(NC(=O)Cc2ccc(C)o2)cc1[N+](=O)[O-] ZINC000542002274 394693422 /nfs/dbraw/zinc/69/34/22/394693422.db2.gz DOFSGLVIZVOWGL-UHFFFAOYSA-N 0 0 290.275 2.686 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)OCC(C)C)cc1[N+](=O)[O-] ZINC000543856941 394746157 /nfs/dbraw/zinc/74/61/57/394746157.db2.gz QQBVGKRLKOCZPQ-JTQLQIEISA-N 0 0 296.323 2.603 20 5 CFBDRN Cc1cnc(CNc2nc(C)cc(C)c2[N+](=O)[O-])s1 ZINC000542865641 394716928 /nfs/dbraw/zinc/71/69/28/394716928.db2.gz PFHJCTFNBBPANT-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(C[C@H]2CCCO2)n1 ZINC000544780453 394771149 /nfs/dbraw/zinc/77/11/49/394771149.db2.gz QFYBWJYRZZWJMY-LLVKDONJSA-N 0 0 289.291 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3Cc4ccccc43)no2)nc1 ZINC000545579961 394797304 /nfs/dbraw/zinc/79/73/04/394797304.db2.gz IJMYZIWMPWVWPD-LBPRGKRZSA-N 0 0 294.270 2.728 20 5 CFBDRN CCOc1cccc(N(C)CC(C)(C)OC)c1[N+](=O)[O-] ZINC000545873425 394805600 /nfs/dbraw/zinc/80/56/00/394805600.db2.gz YSFARFUKUPZXSM-UHFFFAOYSA-N 0 0 282.340 2.855 20 5 CFBDRN Cc1c(CC(=O)NC[C@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000546668579 394838077 /nfs/dbraw/zinc/83/80/77/394838077.db2.gz NMGCPQUQDZSWBJ-BXUZGUMPSA-N 0 0 290.363 2.998 20 5 CFBDRN Cc1ccc(CN2CCc3c(cccc3[N+](=O)[O-])C2)cn1 ZINC000547320919 394858327 /nfs/dbraw/zinc/85/83/27/394858327.db2.gz MDWPKKJLAFKBGU-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN Cc1ccncc1N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000547363626 394861490 /nfs/dbraw/zinc/86/14/90/394861490.db2.gz ZSRTWMFIJVHOKU-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN COc1ccc(CN2[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000548097652 394910275 /nfs/dbraw/zinc/91/02/75/394910275.db2.gz OSEYAUJSFHHFGB-NXEZZACHSA-N 0 0 250.298 2.586 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cccc2cc[nH]c21 ZINC000548475207 394933916 /nfs/dbraw/zinc/93/39/16/394933916.db2.gz ZGXHOUVUKQOQEA-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN Cc1cnccc1CN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000556168931 394993352 /nfs/dbraw/zinc/99/33/52/394993352.db2.gz ZZLVPXZHXWOUNE-UHFFFAOYSA-N 0 0 299.330 2.693 20 5 CFBDRN C[C@H](NC(=O)N1CCCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000556683831 395008583 /nfs/dbraw/zinc/00/85/83/395008583.db2.gz LXUVTPONGPKEIF-NSHDSACASA-N 0 0 293.323 2.783 20 5 CFBDRN COC(=O)c1cnc(N[C@H]2CCCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000302783244 292030923 /nfs/dbraw/zinc/03/09/23/292030923.db2.gz TYEPHOGVHIQTBP-KOLCDFICSA-N 0 0 293.323 2.767 20 5 CFBDRN C[C@H](NC(=O)N1C2CCC1CC2)c1ccc([N+](=O)[O-])cc1 ZINC000556756190 395013005 /nfs/dbraw/zinc/01/30/05/395013005.db2.gz KHBSSOVMAYLDOX-PKSQDBQZSA-N 0 0 289.335 2.992 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2C3CCC2CC3)c1 ZINC000554877277 394961920 /nfs/dbraw/zinc/96/19/20/394961920.db2.gz UDCWOQIEPRSAGU-UHFFFAOYSA-N 0 0 290.319 2.761 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCc2ncccc2C1 ZINC000371008274 296501656 /nfs/dbraw/zinc/50/16/56/296501656.db2.gz XQHUKVZFHKPLJN-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@]2(CCOC2)C1 ZINC000366658010 296510919 /nfs/dbraw/zinc/51/09/19/296510919.db2.gz ILRKNNOFZKHKNQ-ZDUSSCGKSA-N 0 0 282.727 2.865 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC2(CCC2(F)F)C1 ZINC000335822405 296513868 /nfs/dbraw/zinc/51/38/68/296513868.db2.gz ZMIBIEFAFYORRH-UHFFFAOYSA-N 0 0 268.263 2.826 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@]2(O)CCCC[C@@H]2C1 ZINC000128315468 296515192 /nfs/dbraw/zinc/51/51/92/296515192.db2.gz DUXARFWLUAEYJG-IUODEOHRSA-N 0 0 276.336 2.726 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2 ZINC000301826080 296515581 /nfs/dbraw/zinc/51/55/81/296515581.db2.gz OVPAZOIZNMYWME-ZLKJLUDKSA-N 0 0 274.320 2.964 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2ccc(F)cc2C1 ZINC000135549959 296517883 /nfs/dbraw/zinc/51/78/83/296517883.db2.gz RNWSYNJULXZECC-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCCC12CCOCC2 ZINC000283373692 296518120 /nfs/dbraw/zinc/51/81/20/296518120.db2.gz CUAJLFJOMMERNE-GFCCVEGCSA-N 0 0 277.324 2.751 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCS[C@H]3CCCC[C@H]32)s1 ZINC000249275962 296520391 /nfs/dbraw/zinc/52/03/91/296520391.db2.gz KXEJJDZJPJNFNX-BDAKNGLRSA-N 0 0 285.394 2.916 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2c1cccc2F ZINC000361008314 296522275 /nfs/dbraw/zinc/52/22/75/296522275.db2.gz VJHBWPATJHSBAQ-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H]3CCC[C@@H]3C2)cc1[N+](=O)[O-] ZINC000152266785 292070102 /nfs/dbraw/zinc/07/01/02/292070102.db2.gz CPHYDHCGTZIOSH-CHWSQXEVSA-N 0 0 274.320 2.775 20 5 CFBDRN CO[C@@H]1CCN(c2nccc(C)c2[N+](=O)[O-])CC1(C)C ZINC000295646826 285723537 /nfs/dbraw/zinc/72/35/37/285723537.db2.gz CPRXIWJUFWRPFG-LLVKDONJSA-N 0 0 279.340 2.550 20 5 CFBDRN COc1cccc(C(=O)Nc2scnc2C)c1[N+](=O)[O-] ZINC000355976139 155134665 /nfs/dbraw/zinc/13/46/65/155134665.db2.gz YTEWZGNOVUZKTR-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1ccnc3ccc([N+](=O)[O-])cc31)C2 ZINC000601195125 397587406 /nfs/dbraw/zinc/58/74/06/397587406.db2.gz DWLXUNYVJUUMED-BZNIZROVSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@@H]1[C@H](C)C[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])[C@H]1C ZINC000418120699 397567962 /nfs/dbraw/zinc/56/79/62/397567962.db2.gz CNXKTTBHEFBQNG-MXWKQRLJSA-N 0 0 264.325 2.777 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)Cc1cnc(C)s1 ZINC000192510421 397611520 /nfs/dbraw/zinc/61/15/20/397611520.db2.gz OZJCFTSOZQHEAP-UHFFFAOYSA-N 0 0 295.368 2.521 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCS[C@H]2CCCC[C@@H]21 ZINC000301575515 304811274 /nfs/dbraw/zinc/81/12/74/304811274.db2.gz PYVXYCLPYSDTNS-JQWIXIFHSA-N 0 0 279.365 2.854 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)[C@@H]1Cc2ccccc21 ZINC000356039568 155146926 /nfs/dbraw/zinc/14/69/26/155146926.db2.gz MMXGSNYMTVFEHM-OAHLLOKOSA-N 0 0 283.283 2.883 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000353889440 285952886 /nfs/dbraw/zinc/95/28/86/285952886.db2.gz AMAPOSRPPALKDO-GFCCVEGCSA-N 0 0 294.351 2.789 20 5 CFBDRN Cc1cnc(OCC(=O)[C@@H]2Cc3ccccc32)c([N+](=O)[O-])c1 ZINC000356048856 155149533 /nfs/dbraw/zinc/14/95/33/155149533.db2.gz WVHPVMMRSWLRJV-CYBMUJFWSA-N 0 0 298.298 2.586 20 5 CFBDRN CO[C@H]1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000338290015 286062788 /nfs/dbraw/zinc/06/27/88/286062788.db2.gz PTNBOPBOCGDDKA-VIFPVBQESA-N 0 0 290.241 2.839 20 5 CFBDRN CO[C@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC[C@H]1C ZINC000275798841 286074618 /nfs/dbraw/zinc/07/46/18/286074618.db2.gz CYNAXUQZIOGVBC-BMIGLBTASA-N 0 0 292.335 2.659 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000280180954 286161826 /nfs/dbraw/zinc/16/18/26/286161826.db2.gz HYGGWOMBCBBOAP-CQSZACIVSA-N 0 0 264.325 2.990 20 5 CFBDRN COc1cc(N2CCC[C@@](C)(OC)C2)c([N+](=O)[O-])cc1F ZINC000280137028 286229121 /nfs/dbraw/zinc/22/91/21/286229121.db2.gz BPTOUUAGXMLPFI-CQSZACIVSA-N 0 0 298.314 2.748 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCCSCC2)c1 ZINC000301431796 286225642 /nfs/dbraw/zinc/22/56/42/286225642.db2.gz HCUXZKDVVDSYMO-UHFFFAOYSA-N 0 0 286.328 2.686 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](C)[C@H]2C)c1 ZINC000301499714 286225860 /nfs/dbraw/zinc/22/58/60/286225860.db2.gz WJBAZSWLZDHUMI-DTWKUNHWSA-N 0 0 268.288 2.977 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2C[C@H](C)[C@H](C)C2)c1 ZINC000301487572 286225911 /nfs/dbraw/zinc/22/59/11/286225911.db2.gz YWWTWZDLPYCUHV-DTORHVGOSA-N 0 0 268.288 2.835 20 5 CFBDRN COc1cc(N2CCS[C@@H](C)CC2)ccc1[N+](=O)[O-] ZINC000276883159 286230250 /nfs/dbraw/zinc/23/02/50/286230250.db2.gz JDCISEYPTMTZNI-JTQLQIEISA-N 0 0 282.365 2.935 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2ncccc2[N+](=O)[O-])C1(C)C ZINC000156780509 286248721 /nfs/dbraw/zinc/24/87/21/286248721.db2.gz SILQHZLQXHFYFH-VXGBXAGGSA-N 0 0 279.340 2.630 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H](C)C1CCC1 ZINC000356564900 155303571 /nfs/dbraw/zinc/30/35/71/155303571.db2.gz NSGXMCKLRUXGCJ-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000356654849 155326655 /nfs/dbraw/zinc/32/66/55/155326655.db2.gz QJDHGPHFTNRTSO-SSDOTTSWSA-N 0 0 274.679 2.726 20 5 CFBDRN C[C@H](F)CCNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000356678563 155330901 /nfs/dbraw/zinc/33/09/01/155330901.db2.gz QEWVIZRSFVKGKG-QMMMGPOBSA-N 0 0 288.706 2.655 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000356699425 155333707 /nfs/dbraw/zinc/33/37/07/155333707.db2.gz ZZBUKWSOCQOCCD-SSDOTTSWSA-N 0 0 274.679 2.726 20 5 CFBDRN CC[C@]1(C)COCCN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000356707703 155336957 /nfs/dbraw/zinc/33/69/57/155336957.db2.gz YXDPQYPUHMMUBH-CYBMUJFWSA-N 0 0 298.364 2.606 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000356720712 155339438 /nfs/dbraw/zinc/33/94/38/155339438.db2.gz ZKOSBHULQWIHEC-SECBINFHSA-N 0 0 295.314 2.647 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000356756600 155356047 /nfs/dbraw/zinc/35/60/47/155356047.db2.gz ODCYVZVHJKTSCI-GXSJLCMTSA-N 0 0 278.308 2.522 20 5 CFBDRN CCCN(CC(C)(C)O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000356777961 155364910 /nfs/dbraw/zinc/36/49/10/155364910.db2.gz LCYNLWPPMMMMNQ-UHFFFAOYSA-N 0 0 266.341 2.891 20 5 CFBDRN O=C(N[C@H]1C[C@H]1Cc1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000356800760 155373214 /nfs/dbraw/zinc/37/32/14/155373214.db2.gz JUERRAUUIIDFOU-ZBFHGGJFSA-N 0 0 296.326 2.956 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NCC2(C)CCCCC2)n1 ZINC000356803800 155373408 /nfs/dbraw/zinc/37/34/08/155373408.db2.gz VNQMEWHFMFTZMJ-UHFFFAOYSA-N 0 0 294.355 2.511 20 5 CFBDRN C[C@@H](C1CCC1)N(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000356798680 155371826 /nfs/dbraw/zinc/37/18/26/155371826.db2.gz RMNIOZMKZXGMJU-QMMMGPOBSA-N 0 0 280.353 2.929 20 5 CFBDRN O=C(N[C@H]1C[C@H]1Cc1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000356807806 155375531 /nfs/dbraw/zinc/37/55/31/155375531.db2.gz MJDKRBJFVAUBIY-ZBFHGGJFSA-N 0 0 296.326 2.956 20 5 CFBDRN Cc1ccc(N2CC3(C2)CCOCC3)c([N+](=O)[O-])c1 ZINC000825163894 782004775 /nfs/dbraw/zinc/00/47/75/782004775.db2.gz PHPFAFUITOTEML-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](CO)[C@@H]2C)c1 ZINC000413594162 292286576 /nfs/dbraw/zinc/28/65/76/292286576.db2.gz MKIGIVSUCKVQKP-NWDGAFQWSA-N 0 0 264.325 2.500 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCS[C@H](C)C2)c1 ZINC000266179123 286382728 /nfs/dbraw/zinc/38/27/28/286382728.db2.gz AHFOIPOAWORJPI-SECBINFHSA-N 0 0 268.338 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCS[C@H](C)CC2)n1 ZINC000191843774 292288086 /nfs/dbraw/zinc/28/80/86/292288086.db2.gz YRZFISLOHKWTJO-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN COCCC1(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000271855581 292298502 /nfs/dbraw/zinc/29/85/02/292298502.db2.gz XTLFWEXQENOTNB-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@H]1CCC[C@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000343071950 154104850 /nfs/dbraw/zinc/10/48/50/154104850.db2.gz QYJPQQKFRGFBKU-UWVGGRQHSA-N 0 0 277.324 2.542 20 5 CFBDRN CCOc1cc(NCCC2(O)CCC2)ccc1[N+](=O)[O-] ZINC000343152961 154127146 /nfs/dbraw/zinc/12/71/46/154127146.db2.gz YDKQLBJYSCRBTF-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN CCc1cc(Nc2ncc([N+](=O)[O-])cn2)ccc1F ZINC000343236183 154150383 /nfs/dbraw/zinc/15/03/83/154150383.db2.gz HCIQBNSBLQISGU-UHFFFAOYSA-N 0 0 262.244 2.830 20 5 CFBDRN C[C@@H](O)CCN(C)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000343238709 154151647 /nfs/dbraw/zinc/15/16/47/154151647.db2.gz ZOJUYRLRLWQCEN-LLVKDONJSA-N 0 0 296.367 2.979 20 5 CFBDRN Cc1cc(Cc2noc(-c3ccc([N+](=O)[O-])s3)n2)no1 ZINC000356898316 155418207 /nfs/dbraw/zinc/41/82/07/155418207.db2.gz VVOXXZXUNLOPDX-UHFFFAOYSA-N 0 0 292.276 2.594 20 5 CFBDRN CCOc1cc(N(C)C2CC(OC)C2)ccc1[N+](=O)[O-] ZINC000343255358 154156341 /nfs/dbraw/zinc/15/63/41/154156341.db2.gz OHQVDVUNRZRXFP-UHFFFAOYSA-N 0 0 280.324 2.607 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c2cnccc21)[C@@H]1CCCOC1 ZINC000343276036 154164617 /nfs/dbraw/zinc/16/46/17/154164617.db2.gz UREMBRWURMKBDB-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CSc1ccc(C)c(NC(=O)c2n[nH]cc2[N+](=O)[O-])c1 ZINC000343295180 154169597 /nfs/dbraw/zinc/16/95/97/154169597.db2.gz DOLXUAYMUFZZCF-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN CC(C)Cn1nccc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000343338336 154178044 /nfs/dbraw/zinc/17/80/44/154178044.db2.gz JOAMRSQMXSBSDQ-UHFFFAOYSA-N 0 0 294.336 2.761 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@H]2C[C@H]2C(F)F)n1 ZINC000345527225 154195379 /nfs/dbraw/zinc/19/53/79/154195379.db2.gz MGUIEONJSDKVOS-BDAKNGLRSA-N 0 0 295.245 2.937 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC(F)C1 ZINC000345574595 154210069 /nfs/dbraw/zinc/21/00/69/154210069.db2.gz SBECDFDHWQLLOE-UHFFFAOYSA-N 0 0 284.312 2.501 20 5 CFBDRN C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)c1ncccc1F ZINC000345623853 154223007 /nfs/dbraw/zinc/22/30/07/154223007.db2.gz DGHRXYAHPVGKOX-VIFPVBQESA-N 0 0 289.266 2.871 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000345630843 154224661 /nfs/dbraw/zinc/22/46/61/154224661.db2.gz LFQWINHTHHWXSI-NEPJUHHUSA-N 0 0 280.299 2.778 20 5 CFBDRN COc1cc(C(=O)NCCC2(F)CCC2)ccc1[N+](=O)[O-] ZINC000345736639 154254280 /nfs/dbraw/zinc/25/42/80/154254280.db2.gz DXAWHKJUXIVKKO-UHFFFAOYSA-N 0 0 296.298 2.616 20 5 CFBDRN CC(C)(NC(=O)c1cscn1)c1ccccc1[N+](=O)[O-] ZINC000345798698 154269977 /nfs/dbraw/zinc/26/99/77/154269977.db2.gz IHOBUFBJCDRMCD-UHFFFAOYSA-N 0 0 291.332 2.716 20 5 CFBDRN CC(F)(F)CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348021046 154288539 /nfs/dbraw/zinc/28/85/39/154288539.db2.gz UVGZOZIPHYWZOZ-UHFFFAOYSA-N 0 0 262.187 2.718 20 5 CFBDRN CC[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000348061616 154302265 /nfs/dbraw/zinc/30/22/65/154302265.db2.gz CCOQISLTEJDHJK-SNVBAGLBSA-N 0 0 290.241 2.594 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC[C@@H]1C ZINC000348173065 154349210 /nfs/dbraw/zinc/34/92/10/154349210.db2.gz UGBCCWUNLXNGHG-WCBMZHEXSA-N 0 0 279.296 2.523 20 5 CFBDRN Cc1cn2cc(Nc3cc(C)c([N+](=O)[O-])cn3)ccc2n1 ZINC000348179999 154350883 /nfs/dbraw/zinc/35/08/83/154350883.db2.gz NSFTVOGTBPKXLV-UHFFFAOYSA-N 0 0 283.291 2.998 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000352103040 154470763 /nfs/dbraw/zinc/47/07/63/154470763.db2.gz XLJOWQZJNRBNEV-NOZJJQNGSA-N 0 0 296.298 2.878 20 5 CFBDRN COc1nc(C)cc(Oc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000354512306 154732268 /nfs/dbraw/zinc/73/22/68/154732268.db2.gz SALHPLQMXCAWID-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN Cc1nn(Cc2cc3ccccc3o2)cc1[N+](=O)[O-] ZINC000354931220 154862980 /nfs/dbraw/zinc/86/29/80/154862980.db2.gz QDWBNHMXVWXRPO-UHFFFAOYSA-N 0 0 257.249 2.894 20 5 CFBDRN Cc1c(NC(=O)CC2CCC(=O)CC2)cccc1[N+](=O)[O-] ZINC000355485252 155021583 /nfs/dbraw/zinc/02/15/83/155021583.db2.gz MELCOOKUKGCNRQ-UHFFFAOYSA-N 0 0 290.319 2.991 20 5 CFBDRN COc1ccc(OC)c(CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000355558881 155041503 /nfs/dbraw/zinc/04/15/03/155041503.db2.gz KVGJEBGGTNNEIP-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CCOC[C@@H](C)NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000355600429 155051905 /nfs/dbraw/zinc/05/19/05/155051905.db2.gz QOILZHXPDYNMDH-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN COCCCCc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355669353 155060671 /nfs/dbraw/zinc/06/06/71/155060671.db2.gz KNKKLFZZYNJYRO-UHFFFAOYSA-N 0 0 291.307 2.538 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(CCC2CC2)n1 ZINC000355690640 155063711 /nfs/dbraw/zinc/06/37/11/155063711.db2.gz QBPBUCNDPXTBDA-UHFFFAOYSA-N 0 0 273.292 2.911 20 5 CFBDRN COc1cccc2c1CCN(c1ccc(N)cc1[N+](=O)[O-])C2 ZINC000287077756 286476132 /nfs/dbraw/zinc/47/61/32/286476132.db2.gz YCDLMRLIRICRFI-UHFFFAOYSA-N 0 0 299.330 2.748 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C/c2nc([C@H]3CCCO3)no2)c1 ZINC000357092974 155497233 /nfs/dbraw/zinc/49/72/33/155497233.db2.gz VJHPJGKIXQEAKX-NNNHXZLVSA-N 0 0 287.275 3.000 20 5 CFBDRN CCCC[C@H](C)N(C)c1ccc2ncc([N+](=O)[O-])n2n1 ZINC000357779015 155532893 /nfs/dbraw/zinc/53/28/93/155532893.db2.gz FAYJQVSCBRBXQB-JTQLQIEISA-N 0 0 277.328 2.652 20 5 CFBDRN Cn1nc([N+](=O)[O-])cc1C(=O)Nc1cccc2ccccc21 ZINC000357928888 155578044 /nfs/dbraw/zinc/57/80/44/155578044.db2.gz LNXWSPMRAXHINW-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN CC(C)c1ncc(NC(=O)c2cccc([N+](=O)[O-])c2)cn1 ZINC000358500250 155784278 /nfs/dbraw/zinc/78/42/78/155784278.db2.gz ZBPYEDNTMWTFSA-UHFFFAOYSA-N 0 0 286.291 2.761 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1cccs1 ZINC000358906254 155911232 /nfs/dbraw/zinc/91/12/32/155911232.db2.gz ODUDJMZATMKEQY-UHFFFAOYSA-N 0 0 276.317 2.629 20 5 CFBDRN CC(C)CNC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000358920185 155916528 /nfs/dbraw/zinc/91/65/28/155916528.db2.gz MEGAPQNVDNVZAD-UHFFFAOYSA-N 0 0 288.250 2.582 20 5 CFBDRN CC[C@@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])[C@H]1CCCO1 ZINC000359090403 155982224 /nfs/dbraw/zinc/98/22/24/155982224.db2.gz FKAJIABXANQBPE-VXGBXAGGSA-N 0 0 279.340 2.976 20 5 CFBDRN COCC1(CSc2ccc([N+](=O)[O-])cn2)CCC1 ZINC000359156814 156007325 /nfs/dbraw/zinc/00/73/25/156007325.db2.gz DWNYNNYUEQDXRF-UHFFFAOYSA-N 0 0 268.338 2.899 20 5 CFBDRN CC[C@H]1CCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000359178687 156015880 /nfs/dbraw/zinc/01/58/80/156015880.db2.gz PWJLGYBIKXFFEB-LBPRGKRZSA-N 0 0 262.309 2.538 20 5 CFBDRN Cc1cc(Cl)cnc1NCc1ccc([N+](=O)[O-])cc1 ZINC000359473241 156024158 /nfs/dbraw/zinc/02/41/58/156024158.db2.gz PQPHVRGWFCUQKZ-UHFFFAOYSA-N 0 0 277.711 2.986 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NCCC1(F)CCC1 ZINC000360220743 156056626 /nfs/dbraw/zinc/05/66/26/156056626.db2.gz JVBZAGJMUCDDPR-UHFFFAOYSA-N 0 0 298.289 2.675 20 5 CFBDRN CSc1cccc(C(=O)N(C)CC(F)F)c1[N+](=O)[O-] ZINC000362755878 156081055 /nfs/dbraw/zinc/08/10/55/156081055.db2.gz LKCLQIDTPYWYHS-UHFFFAOYSA-N 0 0 290.291 2.654 20 5 CFBDRN Cc1cc(C)cc([C@@H]2CCCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000363064405 156145905 /nfs/dbraw/zinc/14/59/05/156145905.db2.gz ZOMFNHDQONUBDJ-QLFBSQMISA-N 0 0 288.347 2.632 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCC[C@@H]1c1ccccc1 ZINC000363113561 156149002 /nfs/dbraw/zinc/14/90/02/156149002.db2.gz GSKOASNQKTXIHX-CYBMUJFWSA-N 0 0 286.335 2.665 20 5 CFBDRN C[C@@H]1CN(Cc2c([N+](=O)[O-])ncn2C)[C@H]1c1ccccc1 ZINC000365783018 156231109 /nfs/dbraw/zinc/23/11/09/156231109.db2.gz BUXCHFBIPXADGI-BXUZGUMPSA-N 0 0 286.335 2.521 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1noc([C@H]2C[C@@]23CCOC3)n1 ZINC000367356032 156319490 /nfs/dbraw/zinc/31/94/90/156319490.db2.gz JCJJBOSQZBNRJQ-QMTHXVAHSA-N 0 0 287.275 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](C[C@@H]3CCOC3)C2)nc1 ZINC000367359425 156320240 /nfs/dbraw/zinc/32/02/40/156320240.db2.gz XKJSRNYIFNKRIB-OLZOCXBDSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1noc([C@@H]2CCCOCC2)n1 ZINC000367378239 156321895 /nfs/dbraw/zinc/32/18/95/156321895.db2.gz PVODEPBQDOWZJE-SNVBAGLBSA-N 0 0 289.291 2.929 20 5 CFBDRN Cc1cnn(C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1 ZINC000369274738 156561530 /nfs/dbraw/zinc/56/15/30/156561530.db2.gz RROLRUUWOHZPSP-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN CCOc1cccc(N2CCCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000368155243 286572794 /nfs/dbraw/zinc/57/27/94/286572794.db2.gz YNVGWMXFNRJGAU-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](O)C(C)(C)C2)c1[N+](=O)[O-] ZINC000275531238 286573595 /nfs/dbraw/zinc/57/35/95/286573595.db2.gz YDZAGRXORLBKAN-CYBMUJFWSA-N 0 0 294.351 2.591 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1C1CC1 ZINC000371750058 156817222 /nfs/dbraw/zinc/81/72/22/156817222.db2.gz YJHGEJHMVRVAGM-AWEZNQCLSA-N 0 0 274.320 2.538 20 5 CFBDRN CCOc1cc(CN2CCOCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000373816496 157035238 /nfs/dbraw/zinc/03/52/38/157035238.db2.gz UIEQMMGXEORQLA-LBPRGKRZSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCCOCC2)cccc1[N+](=O)[O-] ZINC000373905821 157045332 /nfs/dbraw/zinc/04/53/32/157045332.db2.gz BCEMHONVLWMRIX-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](Oc2ccccc2)C1 ZINC000374214449 157083288 /nfs/dbraw/zinc/08/32/88/157083288.db2.gz XWYMKNCMLXDPSI-HNNXBMFYSA-N 0 0 299.330 2.956 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](c3ccco3)C2)s1 ZINC000374414094 157110762 /nfs/dbraw/zinc/11/07/62/157110762.db2.gz GBNJYCXRJYYZGV-MRVPVSSYSA-N 0 0 265.294 2.638 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](Cc3ccncc3)C2)s1 ZINC000374537415 157126356 /nfs/dbraw/zinc/12/63/56/157126356.db2.gz MLBUZGWUJQEYLL-LLVKDONJSA-N 0 0 290.348 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2c2ncc[nH]2)cc1F ZINC000374919921 157174293 /nfs/dbraw/zinc/17/42/93/157174293.db2.gz WLXKQAWLDLCYPU-ZDUSSCGKSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC3(CCOCC3)[C@H]2C)n1 ZINC000374931595 157176056 /nfs/dbraw/zinc/17/60/56/157176056.db2.gz UEUHXBKNQAGHBM-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOC[C@@H]2c2ccco2)c1 ZINC000375791772 157286113 /nfs/dbraw/zinc/28/61/13/157286113.db2.gz MDHORABUOIDLJJ-CQSZACIVSA-N 0 0 288.303 2.761 20 5 CFBDRN COc1cc(N2C[C@H](C)O[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000376012264 157314846 /nfs/dbraw/zinc/31/48/46/157314846.db2.gz CRJJWKFRMXHIMO-ZUZCIYMTSA-N 0 0 292.335 2.607 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@H]3OCC[C@@H]32)n1 ZINC000408171419 157383619 /nfs/dbraw/zinc/38/36/19/157383619.db2.gz JEWXIKXVUFJOFU-JHJVBQTASA-N 0 0 291.351 2.976 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000408303765 157392439 /nfs/dbraw/zinc/39/24/39/157392439.db2.gz SPNNPGVHQYNOSX-ZJUUUORDSA-N 0 0 265.313 2.622 20 5 CFBDRN CC1(C)CCCCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000408418536 157397063 /nfs/dbraw/zinc/39/70/63/157397063.db2.gz ZEKYOGPJILNGKN-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c(C)n1 ZINC000340182366 161593728 /nfs/dbraw/zinc/59/37/28/161593728.db2.gz NNMJJNDHVAZYBL-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(=O)c1cc(NC[C@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000342546053 161653274 /nfs/dbraw/zinc/65/32/74/161653274.db2.gz RLPSGUXIPICKPQ-AWEZNQCLSA-N 0 0 278.308 2.778 20 5 CFBDRN O=C(NCCc1ccco1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342933187 161741193 /nfs/dbraw/zinc/74/11/93/161741193.db2.gz YNHDITSQHFUKJU-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN CC1(C)CC[C@@H]1Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000343800792 161865270 /nfs/dbraw/zinc/86/52/70/161865270.db2.gz ZYSHAPSTQWGKIH-VIFPVBQESA-N 0 0 253.277 2.917 20 5 CFBDRN Cc1nc(N(C)CCc2ccccc2O)ccc1[N+](=O)[O-] ZINC000343844922 161877008 /nfs/dbraw/zinc/87/70/08/161877008.db2.gz BLCMMZKFJITQMM-UHFFFAOYSA-N 0 0 287.319 2.683 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344190362 161967128 /nfs/dbraw/zinc/96/71/28/161967128.db2.gz REWSLYUEXXWKSW-BDAKNGLRSA-N 0 0 268.288 2.898 20 5 CFBDRN Cc1sccc1CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344199981 161972234 /nfs/dbraw/zinc/97/22/34/161972234.db2.gz NFKLHHHYWGWFEB-UHFFFAOYSA-N 0 0 291.332 2.565 20 5 CFBDRN Cc1cccc(C)c1CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344212546 161975448 /nfs/dbraw/zinc/97/54/48/161975448.db2.gz PIGUVTNMANBYGT-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000344348879 162018813 /nfs/dbraw/zinc/01/88/13/162018813.db2.gz IFPCSJJZBQVPPA-MVWJERBFSA-N 0 0 295.314 2.846 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000344369499 162027493 /nfs/dbraw/zinc/02/74/93/162027493.db2.gz GMVCWUJUNWDDBI-GBIKHYSHSA-N 0 0 277.324 2.754 20 5 CFBDRN Cn1c(C(=O)NCc2ccc(Cl)cc2)ccc1[N+](=O)[O-] ZINC000344429457 162042464 /nfs/dbraw/zinc/04/24/64/162042464.db2.gz AKQDAJLAQQVJKO-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(Cc3ccccc3)c2)cn1 ZINC000344443109 162044922 /nfs/dbraw/zinc/04/49/22/162044922.db2.gz XLINRBSKQAIJDN-UHFFFAOYSA-N 0 0 295.302 2.978 20 5 CFBDRN Cn1c(C(=O)Nc2cnc3ccccc3c2)ccc1[N+](=O)[O-] ZINC000344582702 162071518 /nfs/dbraw/zinc/07/15/18/162071518.db2.gz SNLNCYKQOADWGM-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Nc1c(C(=O)NC2(c3ccccc3)CC2)cccc1[N+](=O)[O-] ZINC000344932412 162149700 /nfs/dbraw/zinc/14/97/00/162149700.db2.gz BHSIUCQRJIGGEF-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1cnc(C)s1 ZINC000345067886 162174160 /nfs/dbraw/zinc/17/41/60/162174160.db2.gz PMVBPXYSASSKFV-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1cccc(C(=O)Nc2cnn(C(F)F)c2)c1[N+](=O)[O-] ZINC000345169750 162192012 /nfs/dbraw/zinc/19/20/12/162192012.db2.gz HKGKQCPCDHZOPV-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H]1CC[C@H](C)C1 ZINC000345172642 162195306 /nfs/dbraw/zinc/19/53/06/162195306.db2.gz KRWULKBXOPVMBY-WDEREUQCSA-N 0 0 292.335 2.656 20 5 CFBDRN CC(C)COCCC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000345190654 162199563 /nfs/dbraw/zinc/19/95/63/162199563.db2.gz VBECBLYPLIHEGL-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN CN(Cc1ccoc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000345199531 162200203 /nfs/dbraw/zinc/20/02/03/162200203.db2.gz JZGFOAKPRWEJKU-UHFFFAOYSA-N 0 0 250.229 2.963 20 5 CFBDRN CN(Cc1ccoc1)c1nc2sccn2c1[N+](=O)[O-] ZINC000345199506 162200730 /nfs/dbraw/zinc/20/07/30/162200730.db2.gz JIZLVOWMABNCDJ-UHFFFAOYSA-N 0 0 278.293 2.533 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])c2nccn21 ZINC000345352512 162233386 /nfs/dbraw/zinc/23/33/86/162233386.db2.gz JPHGRCBRRMYMOD-MWLCHTKSSA-N 0 0 258.281 2.909 20 5 CFBDRN C[C@H]1C[C@H](NCc2cccc([N+](=O)[O-])c2)c2nccn21 ZINC000345350556 162233853 /nfs/dbraw/zinc/23/38/53/162233853.db2.gz HVLUYBYGFXLPMH-GWCFXTLKSA-N 0 0 272.308 2.587 20 5 CFBDRN CC(C)SCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000345513207 162263123 /nfs/dbraw/zinc/26/31/23/162263123.db2.gz GKELEOKKGDKGGE-UHFFFAOYSA-N 0 0 274.367 2.528 20 5 CFBDRN CCn1nccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000345930891 162278450 /nfs/dbraw/zinc/27/84/50/162278450.db2.gz FODYOAOOTLMASR-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN Cc1ccc(-c2noc(C[C@H]3CCOC3)n2)cc1[N+](=O)[O-] ZINC000345930013 162278834 /nfs/dbraw/zinc/27/88/34/162278834.db2.gz BVDGBKZOVFXEAG-SNVBAGLBSA-N 0 0 289.291 2.532 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000345969249 162287236 /nfs/dbraw/zinc/28/72/36/162287236.db2.gz BKHQZPSCHSMCIH-GFCCVEGCSA-N 0 0 298.314 2.669 20 5 CFBDRN Cc1cc(-c2nc(Cc3ccccn3)no2)ccc1[N+](=O)[O-] ZINC000346016925 162298689 /nfs/dbraw/zinc/29/86/89/162298689.db2.gz PTYDZFBWALHLDI-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C\c2nc(-c3ncccn3)no2)c1 ZINC000346057358 162308305 /nfs/dbraw/zinc/30/83/05/162308305.db2.gz MPONAQIHBVQQMQ-WAYWQWQTSA-N 0 0 295.258 2.605 20 5 CFBDRN CC(C)CCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000346082081 162312646 /nfs/dbraw/zinc/31/26/46/162312646.db2.gz QBMKAJYYZZKPLH-UHFFFAOYSA-N 0 0 271.338 2.805 20 5 CFBDRN CO[C@H](C)C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000346082060 162313470 /nfs/dbraw/zinc/31/34/70/162313470.db2.gz TUDVBBWCDXJDHF-LLVKDONJSA-N 0 0 292.335 2.905 20 5 CFBDRN CC[N@@H+]1C[C@H](C)N(Cc2cccc([N+](=O)[O-])c2C)C[C@@H]1C ZINC000346199430 162348063 /nfs/dbraw/zinc/34/80/63/162348063.db2.gz VRFKTNVCAKDOSS-STQMWFEESA-N 0 0 291.395 2.818 20 5 CFBDRN COc1nc(C)ccc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000346197317 162348715 /nfs/dbraw/zinc/34/87/15/162348715.db2.gz AAQQORSOLCEFIB-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1F ZINC000346249895 162362562 /nfs/dbraw/zinc/36/25/62/162362562.db2.gz XQUVHFKIJWVQNE-UHFFFAOYSA-N 0 0 291.282 2.739 20 5 CFBDRN CCc1nc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)co1 ZINC000346540195 162440252 /nfs/dbraw/zinc/44/02/52/162440252.db2.gz XINHZJVXBYLBOQ-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000346960796 162564690 /nfs/dbraw/zinc/56/46/90/162564690.db2.gz PTTSVAXLXMKLLE-WCQYABFASA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1nc(-c2noc(-c3ccc(C)c([N+](=O)[O-])c3)n2)co1 ZINC000347288977 162646988 /nfs/dbraw/zinc/64/69/88/162646988.db2.gz RVUAGQLQDFGURC-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN CC(C)Oc1ccccc1NCc1c([N+](=O)[O-])ncn1C ZINC000347738731 162766386 /nfs/dbraw/zinc/76/63/86/162766386.db2.gz WFPGOLGVVUAAHB-UHFFFAOYSA-N 0 0 290.323 2.728 20 5 CFBDRN CCCOc1ccccc1NCc1c([N+](=O)[O-])ncn1C ZINC000347763122 162770689 /nfs/dbraw/zinc/77/06/89/162770689.db2.gz FABUWNQABIZGAR-UHFFFAOYSA-N 0 0 290.323 2.729 20 5 CFBDRN CC[C@H](C)[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000348487831 162859568 /nfs/dbraw/zinc/85/95/68/162859568.db2.gz CORJLMQMZWESIT-GXFFZTMASA-N 0 0 279.340 2.964 20 5 CFBDRN CSCCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000348912232 162921098 /nfs/dbraw/zinc/92/10/98/162921098.db2.gz QCTFMUJRESKJPH-VIFPVBQESA-N 0 0 280.349 2.626 20 5 CFBDRN CCn1c(C)nnc1SCc1c(F)cccc1[N+](=O)[O-] ZINC000349045352 162935553 /nfs/dbraw/zinc/93/55/53/162935553.db2.gz ZEAOPWPZTXNNGG-UHFFFAOYSA-N 0 0 296.327 2.946 20 5 CFBDRN CC1=CCCN(c2nnc(-c3ccc([N+](=O)[O-])cc3)n2C)C1 ZINC000349722360 163008491 /nfs/dbraw/zinc/00/84/91/163008491.db2.gz OJRAZHFEQDNCBI-UHFFFAOYSA-N 0 0 299.334 2.547 20 5 CFBDRN C[C@H]1OCC[C@@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000349784327 163015592 /nfs/dbraw/zinc/01/55/92/163015592.db2.gz URJBJFJYKAJUPW-KCJUWKMLSA-N 0 0 275.264 2.537 20 5 CFBDRN CCOC1CC(CNc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000349789341 163015819 /nfs/dbraw/zinc/01/58/19/163015819.db2.gz YBLCZAIZPJYKPF-UHFFFAOYSA-N 0 0 268.288 2.961 20 5 CFBDRN COCCCOc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000349830210 163021433 /nfs/dbraw/zinc/02/14/33/163021433.db2.gz FTDFMAONYGNSKQ-UHFFFAOYSA-N 0 0 259.689 2.972 20 5 CFBDRN CCOC(=O)CC[C@@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000349831223 163021927 /nfs/dbraw/zinc/02/19/27/163021927.db2.gz KMJPMQWHWMHMJZ-SECBINFHSA-N 0 0 284.337 2.814 20 5 CFBDRN C[C@H]1CC[C@@H](COc2c(Cl)cncc2[N+](=O)[O-])O1 ZINC000349856625 163027356 /nfs/dbraw/zinc/02/73/56/163027356.db2.gz XOHIEILZZJNUEM-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN Cn1c(-c2nc(-c3cccs3)no2)ccc1[N+](=O)[O-] ZINC000349857904 163027717 /nfs/dbraw/zinc/02/77/17/163027717.db2.gz GWLCXRGFKSQXBE-UHFFFAOYSA-N 0 0 276.277 2.712 20 5 CFBDRN Cn1c(-c2nc(C3CCCC3)no2)ccc1[N+](=O)[O-] ZINC000349874327 163030196 /nfs/dbraw/zinc/03/01/96/163030196.db2.gz BOKRRQCJZPUQFC-UHFFFAOYSA-N 0 0 262.269 2.641 20 5 CFBDRN Cn1c(-c2nc(-c3ccsc3)no2)ccc1[N+](=O)[O-] ZINC000349877076 163030454 /nfs/dbraw/zinc/03/04/54/163030454.db2.gz HMTDSOIAUKFHBB-UHFFFAOYSA-N 0 0 276.277 2.712 20 5 CFBDRN Cc1cc(OCc2nccn2C)c(Cl)cc1[N+](=O)[O-] ZINC000349908065 163035719 /nfs/dbraw/zinc/03/57/19/163035719.db2.gz BEPJZFMHZRTWCU-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CC(C)(C)OCCOc1c(Cl)cncc1[N+](=O)[O-] ZINC000349921381 163037993 /nfs/dbraw/zinc/03/79/93/163037993.db2.gz QUSWVFJEZJWRAS-UHFFFAOYSA-N 0 0 274.704 2.837 20 5 CFBDRN CO[C@](C)(c1noc(-c2ccc([N+](=O)[O-])cc2)n1)C1CC1 ZINC000350442757 163081790 /nfs/dbraw/zinc/08/17/90/163081790.db2.gz YGKPLKJCPHIFTQ-AWEZNQCLSA-N 0 0 289.291 2.916 20 5 CFBDRN CCc1[nH]nc(-c2nc(-c3ccccc3)no2)c1[N+](=O)[O-] ZINC000351050180 163116422 /nfs/dbraw/zinc/11/64/22/163116422.db2.gz BRFXAEWNHFKNNX-UHFFFAOYSA-N 0 0 285.263 2.597 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1C[C@@H]1C(F)F ZINC000351539084 163143767 /nfs/dbraw/zinc/14/37/67/163143767.db2.gz PDICCLKKWUAMJK-WCBMZHEXSA-N 0 0 299.277 2.987 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccc(C)cc1[N+](=O)[O-] ZINC000351549221 163145263 /nfs/dbraw/zinc/14/52/63/163145263.db2.gz ZQJLTAJFHUIBNR-DLRQAJBASA-N 0 0 250.298 2.642 20 5 CFBDRN CSCCN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351635561 163163566 /nfs/dbraw/zinc/16/35/66/163163566.db2.gz PVBKGZZQZBMBPV-UHFFFAOYSA-N 0 0 287.316 2.561 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351655545 163168246 /nfs/dbraw/zinc/16/82/46/163168246.db2.gz NAHVAYVWXPPVGY-SFYZADRCSA-N 0 0 267.260 2.511 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1CC2CCC1CC2 ZINC000351696366 163177371 /nfs/dbraw/zinc/17/73/71/163177371.db2.gz XMZNXVCWJCWUIG-HGMXIMQMSA-N 0 0 288.347 2.832 20 5 CFBDRN Cc1ncsc1COc1c(Cl)cncc1[N+](=O)[O-] ZINC000351748695 163186674 /nfs/dbraw/zinc/18/66/74/163186674.db2.gz JLPGCPAMCUDKMT-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN COC(=O)[C@H](CC(C)C)N(C)Cc1cccc([N+](=O)[O-])c1 ZINC000351878606 163209659 /nfs/dbraw/zinc/20/96/59/163209659.db2.gz DYCFXNLEWRYJAC-AWEZNQCLSA-N 0 0 294.351 2.614 20 5 CFBDRN Cc1cc(NC(=O)NCC2(C)CC2)ccc1[N+](=O)[O-] ZINC000352904673 163266219 /nfs/dbraw/zinc/26/62/19/163266219.db2.gz XCGKSVXFBNREJC-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CS[C@@H]3CCCOC3)nc2c1 ZINC000352917902 163269234 /nfs/dbraw/zinc/26/92/34/163269234.db2.gz WDRLLTYMZJVZNP-SNVBAGLBSA-N 0 0 293.348 2.883 20 5 CFBDRN CC(=O)[C@H](C)SCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000352918368 163270469 /nfs/dbraw/zinc/27/04/69/163270469.db2.gz XYAWKHBYFAWRQQ-QMMMGPOBSA-N 0 0 279.321 2.682 20 5 CFBDRN CC(C)c1nccn1Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000352932020 163272174 /nfs/dbraw/zinc/27/21/74/163272174.db2.gz RMQAKKCTBKWFFV-UHFFFAOYSA-N 0 0 285.307 2.839 20 5 CFBDRN Cn1ccnc1[C@@H](NCc1csc([N+](=O)[O-])c1)C1CC1 ZINC000353036707 163293421 /nfs/dbraw/zinc/29/34/21/163293421.db2.gz HNJLNDSZQMUOSZ-LBPRGKRZSA-N 0 0 292.364 2.631 20 5 CFBDRN C[C@@H](CCC1CCCCC1)NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000353060357 163299548 /nfs/dbraw/zinc/29/95/48/163299548.db2.gz GDPUJCQJTXYGQV-JTQLQIEISA-N 0 0 294.355 2.797 20 5 CFBDRN CO[C@@H](C)[C@@H](C)NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000353326159 163345646 /nfs/dbraw/zinc/34/56/46/163345646.db2.gz CIEULCIUEHUXKC-MNOVXSKESA-N 0 0 295.339 2.757 20 5 CFBDRN O=C(NC(C1CCC1)C1CCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000353327833 163346284 /nfs/dbraw/zinc/34/62/84/163346284.db2.gz FCHQTLBQDDVEGW-UHFFFAOYSA-N 0 0 277.324 2.622 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000353443377 163365597 /nfs/dbraw/zinc/36/55/97/163365597.db2.gz XCMHWQPYISIFJG-YPMHNXCESA-N 0 0 291.351 2.830 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000353450955 163366723 /nfs/dbraw/zinc/36/67/23/163366723.db2.gz VVSMUEAHHXJEHR-CYBMUJFWSA-N 0 0 252.314 2.986 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@H+](C)CC1(O)CCC1 ZINC000353483505 163375380 /nfs/dbraw/zinc/37/53/80/163375380.db2.gz HSHIKTLBCXWVJY-LLVKDONJSA-N 0 0 264.325 2.503 20 5 CFBDRN Cc1ccncc1CN1CCc2c1cccc2[N+](=O)[O-] ZINC000353547208 163391403 /nfs/dbraw/zinc/39/14/03/163391403.db2.gz IQHVDOXMUQUVKM-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cn1ccc([C@H]2CCCN(c3sccc3[N+](=O)[O-])C2)n1 ZINC000825638613 782012713 /nfs/dbraw/zinc/01/27/13/782012713.db2.gz QCXMZFARGLMYQV-JTQLQIEISA-N 0 0 292.364 2.774 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])CCCO1 ZINC000281799705 287212329 /nfs/dbraw/zinc/21/23/29/287212329.db2.gz IHGIRVLNLYEQNE-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN CC[C@@H]1CN(c2nccc(C)c2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420637715 287214426 /nfs/dbraw/zinc/21/44/26/287214426.db2.gz WLONZUPJWDQIJN-TXEJJXNPSA-N 0 0 279.340 2.692 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)cc1O ZINC000005062548 170062664 /nfs/dbraw/zinc/06/26/64/170062664.db2.gz JSJHXTVTBXJNLL-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CCCN(CCC)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000005591200 170116879 /nfs/dbraw/zinc/11/68/79/170116879.db2.gz DUZWKMLKHSKMON-UHFFFAOYSA-N 0 0 264.325 2.786 20 5 CFBDRN O=C(NCCc1cccc(F)c1)c1ccc([N+](=O)[O-])cc1 ZINC000010101298 170397376 /nfs/dbraw/zinc/39/73/76/170397376.db2.gz SOBLBJHNEUKSKB-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN COc1cccc(CNc2ncccc2[N+](=O)[O-])c1 ZINC000020135247 171022857 /nfs/dbraw/zinc/02/28/57/171022857.db2.gz GOFLALYGPUCWTP-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(O)cc1 ZINC000020578482 171256402 /nfs/dbraw/zinc/25/64/02/171256402.db2.gz LEIIJOJAUNMQLF-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CC[C@@H](C)NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000020886799 171278227 /nfs/dbraw/zinc/27/82/27/171278227.db2.gz XLVBUSQBWSUWIF-LLVKDONJSA-N 0 0 279.340 2.702 20 5 CFBDRN COc1ccc(COc2ccc(C=O)cc2)cc1[N+](=O)[O-] ZINC000021019578 171290400 /nfs/dbraw/zinc/29/04/00/171290400.db2.gz PNHWQIKTTJGKSI-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cnc2ccccc2n1 ZINC000021887479 171336254 /nfs/dbraw/zinc/33/62/54/171336254.db2.gz ATCOYKUZUJFBQI-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN CCCN(CC1CC1)c1nc2sccn2c1[N+](=O)[O-] ZINC000022159046 171363505 /nfs/dbraw/zinc/36/35/05/171363505.db2.gz PRLZISBJWFKMDU-UHFFFAOYSA-N 0 0 280.353 2.930 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSc1nnc(C2CC2)[nH]1 ZINC000022545906 171390671 /nfs/dbraw/zinc/39/06/71/171390671.db2.gz ILRXTDQAXIUCBT-UHFFFAOYSA-N 0 0 276.321 2.883 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2OC)C1 ZINC000027151733 171509164 /nfs/dbraw/zinc/50/91/64/171509164.db2.gz JGRNXHVZCBELHR-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2nnsc2Cl)c1 ZINC000029444217 171598895 /nfs/dbraw/zinc/59/88/95/171598895.db2.gz POAARRMXZNNHOA-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN COC(=O)[C@H](N[C@@H](C)c1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000284882045 196149440 /nfs/dbraw/zinc/14/94/40/196149440.db2.gz FLAKWZRUWKUFFP-IINYFYTJSA-N 0 0 292.335 2.505 20 5 CFBDRN Cc1cc(NCCc2ccccn2)ccc1[N+](=O)[O-] ZINC000035277237 172097465 /nfs/dbraw/zinc/09/74/65/172097465.db2.gz XIOZZEGJOLZCJH-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN COCC1=CCN(Cc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000285253945 196233831 /nfs/dbraw/zinc/23/38/31/196233831.db2.gz INQZFLLVWQJKKQ-UHFFFAOYSA-N 0 0 298.289 2.652 20 5 CFBDRN CCc1nn(C)cc1N[C@@H](C)c1ccc(N)c([N+](=O)[O-])c1 ZINC000285332268 196253292 /nfs/dbraw/zinc/25/32/92/196253292.db2.gz UJRXEUHHFVSGMD-VIFPVBQESA-N 0 0 289.339 2.646 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC1CCC(=O)CC1 ZINC000285348652 196258052 /nfs/dbraw/zinc/25/80/52/196258052.db2.gz UGZVDGRVNBLODB-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN Cc1nc(NC(=O)Cc2ccccc2[N+](=O)[O-])sc1C ZINC000036063013 172430939 /nfs/dbraw/zinc/43/09/39/172430939.db2.gz LLDFFKAHTXUBHP-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037285933 173091808 /nfs/dbraw/zinc/09/18/08/173091808.db2.gz KSZFSVKJGNUZIB-SECBINFHSA-N 0 0 266.272 2.749 20 5 CFBDRN CC(C)CCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286102 173092338 /nfs/dbraw/zinc/09/23/38/173092338.db2.gz QNMBRBKQXXMYIQ-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN COC(=O)c1ccc(CNc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000037298951 173103398 /nfs/dbraw/zinc/10/33/98/173103398.db2.gz PGDDVBJUSUIDCI-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN CC(C)c1nccn1CC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000037340452 173141967 /nfs/dbraw/zinc/14/19/67/173141967.db2.gz BIIZXIOIODECLG-UHFFFAOYSA-N 0 0 273.292 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(O)cc2)cc1 ZINC000038387187 174140778 /nfs/dbraw/zinc/14/07/78/174140778.db2.gz YMWDBMNUDSCYEH-UHFFFAOYSA-N 0 0 258.277 2.955 20 5 CFBDRN CC(C)N(CCO)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000040452571 174266496 /nfs/dbraw/zinc/26/64/96/174266496.db2.gz KTEGYIQGFBUDNG-UHFFFAOYSA-N 0 0 292.257 2.821 20 5 CFBDRN Cc1ccc(OC[C@@H](C)Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000040500000 174296390 /nfs/dbraw/zinc/29/63/90/174296390.db2.gz FNKFZGRZJUMILY-LLVKDONJSA-N 0 0 290.323 2.516 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1NCC1CC1 ZINC000040713343 174381512 /nfs/dbraw/zinc/38/15/12/174381512.db2.gz LXJKAIWIOPAQCX-UHFFFAOYSA-N 0 0 272.102 2.574 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccc(F)c(F)c1 ZINC000042673727 174834073 /nfs/dbraw/zinc/83/40/73/174834073.db2.gz IEHOIDXBXQWCNK-ZETCQYMHSA-N 0 0 296.229 2.957 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000043342521 175015694 /nfs/dbraw/zinc/01/56/94/175015694.db2.gz GSQCMYTUVBZOSV-MRVPVSSYSA-N 0 0 299.714 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)c2ccccc2)cc1F ZINC000043472819 175053774 /nfs/dbraw/zinc/05/37/74/175053774.db2.gz VIOHFFLZHFFNER-CQSZACIVSA-N 0 0 277.251 2.846 20 5 CFBDRN CO[C@@H](CNc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC000043510809 175059938 /nfs/dbraw/zinc/05/99/38/175059938.db2.gz MNSQRQTWGPGAPI-ZDUSSCGKSA-N 0 0 273.292 2.789 20 5 CFBDRN O=C(Nc1ccc(O)cc1)c1ccc([N+](=O)[O-])cc1F ZINC000043570856 175068492 /nfs/dbraw/zinc/06/84/92/175068492.db2.gz PXZWZBSENABXGW-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1F)c1cnn(C)c1 ZINC000044500750 175185244 /nfs/dbraw/zinc/18/52/44/175185244.db2.gz SCVFVJLJGXJKDK-QMMMGPOBSA-N 0 0 264.260 2.641 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000044692669 175234149 /nfs/dbraw/zinc/23/41/49/175234149.db2.gz ODKUYMFSCHFYSE-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCCC1CCCC1 ZINC000044705802 175235453 /nfs/dbraw/zinc/23/54/53/175235453.db2.gz RMCSYNHFMOOIQL-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC(C)(C)C ZINC000044718142 175236528 /nfs/dbraw/zinc/23/65/28/175236528.db2.gz IOKSCKWKVFLDHT-VIFPVBQESA-N 0 0 282.365 2.990 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@@H]2CC(F)F)cs1 ZINC000286328805 196568870 /nfs/dbraw/zinc/56/88/70/196568870.db2.gz FWNUVTXISNVCKR-VIFPVBQESA-N 0 0 292.307 2.512 20 5 CFBDRN CC(C)n1nccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000070686600 176001584 /nfs/dbraw/zinc/00/15/84/176001584.db2.gz JQGVOQPXMKOCNF-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN CC[C@@H](NCc1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000071273083 176105048 /nfs/dbraw/zinc/10/50/48/176105048.db2.gz BYZMDPMAKAPEHG-CYBMUJFWSA-N 0 0 274.324 2.569 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC000286417122 196596401 /nfs/dbraw/zinc/59/64/01/196596401.db2.gz POMNYLVVRXBMFO-ZWNOBZJWSA-N 0 0 292.335 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cnn3ccccc23)cc1 ZINC000071372962 176138253 /nfs/dbraw/zinc/13/82/53/176138253.db2.gz MMDXJTXMBWJNLQ-UHFFFAOYSA-N 0 0 268.276 2.855 20 5 CFBDRN Cc1nc(C)c(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000072986878 176447040 /nfs/dbraw/zinc/44/70/40/176447040.db2.gz QTIYHPICJLHKBC-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN Cc1cc(NCc2ccc([N+](=O)[O-])cc2)ncc1[N+](=O)[O-] ZINC000073000280 176448275 /nfs/dbraw/zinc/44/82/75/176448275.db2.gz AMJHLRZTXSPWLZ-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1CC=CCC1 ZINC000076779640 177089046 /nfs/dbraw/zinc/08/90/46/177089046.db2.gz RBHNTHUPEAQOSX-JTQLQIEISA-N 0 0 261.281 2.825 20 5 CFBDRN C[C@@H](O)CCNc1ccc([N+](=O)[O-])cc1Br ZINC000077208465 177234797 /nfs/dbraw/zinc/23/47/97/177234797.db2.gz WUCZUKXTFYVMJU-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN O=C1OCCN1CCCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000077357068 177257073 /nfs/dbraw/zinc/25/70/73/177257073.db2.gz DDWVFGMLBHUUPP-UHFFFAOYSA-N 0 0 299.714 2.502 20 5 CFBDRN O=C1CCC(COc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000286583725 196648511 /nfs/dbraw/zinc/64/85/11/196648511.db2.gz ZOFVDLAAIKSXKJ-UHFFFAOYSA-N 0 0 267.256 2.872 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000078002921 177316196 /nfs/dbraw/zinc/31/61/96/177316196.db2.gz ODSQPKAKKFWOQI-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)C[C@@H]2C)c1 ZINC000078008556 177317877 /nfs/dbraw/zinc/31/78/77/177317877.db2.gz RNRNNDDMULLBFK-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CCCSCC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148720 177324094 /nfs/dbraw/zinc/32/40/94/177324094.db2.gz MDFIOXDRFUUNEW-UHFFFAOYSA-N 0 0 280.349 2.627 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(C)CC1 ZINC000078421643 177340097 /nfs/dbraw/zinc/34/00/97/177340097.db2.gz JVFWWJSJQGSYDO-LBPRGKRZSA-N 0 0 292.335 2.621 20 5 CFBDRN CCC[C@@H](CCO)CNc1cccc(F)c1[N+](=O)[O-] ZINC000078672710 177369028 /nfs/dbraw/zinc/36/90/28/177369028.db2.gz AUCLJQJEZXOOOI-JTQLQIEISA-N 0 0 270.304 2.945 20 5 CFBDRN C[C@H](O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000078771398 177384913 /nfs/dbraw/zinc/38/49/13/177384913.db2.gz VKXNNQBHVVSNDA-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN COC(=O)C[C@@H](C)SCc1cccc([N+](=O)[O-])c1 ZINC000078816368 177392393 /nfs/dbraw/zinc/39/23/93/177392393.db2.gz UCIXMZBMZXUOTA-SECBINFHSA-N 0 0 269.322 2.780 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000268146562 287339717 /nfs/dbraw/zinc/33/97/17/287339717.db2.gz SUGCLZAMYPQKQQ-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H]2C[C@H](O)CC[C@H]2C1 ZINC000450814255 292585861 /nfs/dbraw/zinc/58/58/61/292585861.db2.gz QBWKVOIGQDIRCH-HZSPNIEDSA-N 0 0 290.363 2.891 20 5 CFBDRN C[C@@H]1CCC[C@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330414510 287498272 /nfs/dbraw/zinc/49/82/72/287498272.db2.gz TXMJBTFUQSXKSL-BXKDBHETSA-N 0 0 250.298 2.579 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2cc(F)ccc2F)s1 ZINC000192474967 227823357 /nfs/dbraw/zinc/82/33/57/227823357.db2.gz WRYPXBDYNSAEDE-UHFFFAOYSA-N 0 0 285.275 2.984 20 5 CFBDRN O=c1cc(C(F)(F)F)ccn1Cc1cccc([N+](=O)[O-])c1 ZINC000192572744 228142239 /nfs/dbraw/zinc/14/22/39/228142239.db2.gz ZLTGUVUFUFLMQP-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@H]1C ZINC001154800402 782025840 /nfs/dbraw/zinc/02/58/40/782025840.db2.gz AABSSRWMIOSPTL-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN CC[C@](C)(CNc1cc(OC)c([N+](=O)[O-])cc1F)OC ZINC000322977843 260112945 /nfs/dbraw/zinc/11/29/45/260112945.db2.gz YMMRURFOTXWHFE-CYBMUJFWSA-N 0 0 286.303 2.970 20 5 CFBDRN COC(=O)[C@H](C)CSCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000078827543 260169656 /nfs/dbraw/zinc/16/96/56/260169656.db2.gz JPPRZWUXLFNUPJ-SECBINFHSA-N 0 0 299.348 2.646 20 5 CFBDRN CN(C(=O)CCc1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000087170636 260230534 /nfs/dbraw/zinc/23/05/34/260230534.db2.gz JFIMWOXCBIYCQN-UHFFFAOYSA-N 0 0 285.303 2.585 20 5 CFBDRN CC1(C)COC[C@@H]1Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000313036789 260240581 /nfs/dbraw/zinc/24/05/81/260240581.db2.gz NAOJDQSADWEAHY-ZDUSSCGKSA-N 0 0 287.319 2.980 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000313111898 260249655 /nfs/dbraw/zinc/24/96/55/260249655.db2.gz YREQTQWQJKQNIF-GWCFXTLKSA-N 0 0 252.314 2.865 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])Nc1cccc(F)n1 ZINC000313435657 260293535 /nfs/dbraw/zinc/29/35/35/260293535.db2.gz URDSLZYMVCTIGC-HJWRWDBZSA-N 0 0 287.250 2.781 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H](C)CCCCO ZINC000517962236 260327305 /nfs/dbraw/zinc/32/73/05/260327305.db2.gz VBOQITZDQXWEPX-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN CC1(C)CC[N@H+](Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000083214429 260346463 /nfs/dbraw/zinc/34/64/63/260346463.db2.gz HVFZXKDYXIXPQN-UHFFFAOYSA-N 0 0 275.308 2.968 20 5 CFBDRN CC(C)CCC(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000188464833 262298711 /nfs/dbraw/zinc/29/87/11/262298711.db2.gz FUVPFWIQJZVFTO-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN COc1cccc(NCC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000185695908 262306963 /nfs/dbraw/zinc/30/69/63/262306963.db2.gz VTMZSAJXGHUWFZ-NSHDSACASA-N 0 0 280.324 2.975 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCC2(F)F)ncc1[N+](=O)[O-] ZINC000390838074 262350754 /nfs/dbraw/zinc/35/07/54/262350754.db2.gz BBENZVCLWHNVQL-VIFPVBQESA-N 0 0 271.267 2.567 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCC=C(C)C1 ZINC000280691308 263063788 /nfs/dbraw/zinc/06/37/88/263063788.db2.gz BEKOYTWKCDOVNA-UHFFFAOYSA-N 0 0 262.309 2.755 20 5 CFBDRN Cn1cc(Cl)cc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000291098893 263120160 /nfs/dbraw/zinc/12/01/60/263120160.db2.gz QWCZTQCLOFQMPP-UHFFFAOYSA-N 0 0 298.730 2.716 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000297933106 263212425 /nfs/dbraw/zinc/21/24/25/263212425.db2.gz VEJMWQXUAHCCHL-UONOGXRCSA-N 0 0 292.335 2.763 20 5 CFBDRN CCCCOCCCNc1c([N+](=O)[O-])c(CC)nn1C ZINC000094131332 265220716 /nfs/dbraw/zinc/22/07/16/265220716.db2.gz FRLGDLZEGLFHNW-UHFFFAOYSA-N 0 0 284.360 2.509 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H](C)C1 ZINC000192639842 270232655 /nfs/dbraw/zinc/23/26/55/270232655.db2.gz YKSBIAWACLZBEM-SNVBAGLBSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cc(NC(=O)NCc2ccco2)ccc1[N+](=O)[O-] ZINC000193510082 270238745 /nfs/dbraw/zinc/23/87/45/270238745.db2.gz KTBOYIMAKGDHGD-UHFFFAOYSA-N 0 0 275.264 2.818 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cnc(C)s1 ZINC000193860777 270240795 /nfs/dbraw/zinc/24/07/95/270240795.db2.gz QYXMIWHRRGVIGP-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CC1(NC(=O)COc2ccccc2[N+](=O)[O-])CCCCC1 ZINC000194842425 270247479 /nfs/dbraw/zinc/24/74/79/270247479.db2.gz HTJDCKJGOSVFQO-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)C1CCCC1 ZINC000194894340 270248140 /nfs/dbraw/zinc/24/81/40/270248140.db2.gz QHYACSCADLOPNN-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN CCC[C@H](CC)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000194896721 270248230 /nfs/dbraw/zinc/24/82/30/270248230.db2.gz LKIZXQQKOLRTKW-LBPRGKRZSA-N 0 0 264.325 2.832 20 5 CFBDRN CN(CC1(O)CCCCCC1)c1ncccc1[N+](=O)[O-] ZINC000275016952 270280703 /nfs/dbraw/zinc/28/07/03/270280703.db2.gz LASHGXQKQNBZEZ-UHFFFAOYSA-N 0 0 279.340 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1CC[C@@H](CO)C1 ZINC000296267375 270284875 /nfs/dbraw/zinc/28/48/75/270284875.db2.gz JZJNTQZQKUPGCD-SCZZXKLOSA-N 0 0 270.716 2.821 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@H](O)C1CCC1 ZINC000315757544 270293596 /nfs/dbraw/zinc/29/35/96/270293596.db2.gz YDTMEUCWARFYPS-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@](C)(CNc1cc(OC)ccc1[N+](=O)[O-])OC ZINC000322932785 270297998 /nfs/dbraw/zinc/29/79/98/270297998.db2.gz NTYBKTJIWAWIAJ-CYBMUJFWSA-N 0 0 268.313 2.830 20 5 CFBDRN CCC[C@@H](Nc1c([N+](=O)[O-])ncn1C)C1CCC1 ZINC000324827265 270298192 /nfs/dbraw/zinc/29/81/92/270298192.db2.gz YAXGAGWDSLJYGU-SNVBAGLBSA-N 0 0 252.318 2.709 20 5 CFBDRN CSc1ccccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340558013 270302277 /nfs/dbraw/zinc/30/22/77/270302277.db2.gz QKWBQODYVGORLW-UHFFFAOYSA-N 0 0 277.305 2.897 20 5 CFBDRN CCOc1cccc(NCCC2(CO)CC2)c1[N+](=O)[O-] ZINC000395862209 270313775 /nfs/dbraw/zinc/31/37/75/270313775.db2.gz AYHDTNMMVIKVJV-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN COc1cccc(N[C@H]2CS[C@H](C)C2)c1[N+](=O)[O-] ZINC000397777435 270319349 /nfs/dbraw/zinc/31/93/49/270319349.db2.gz NPQIAZYVNIMFSV-RKDXNWHRSA-N 0 0 268.338 2.909 20 5 CFBDRN C[C@H](CCO)CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000397835460 270319793 /nfs/dbraw/zinc/31/97/93/270319793.db2.gz MGKBQNYWHOMIKN-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN COc1cc(NCc2cnc(C)o2)c(F)cc1[N+](=O)[O-] ZINC000397849699 270320225 /nfs/dbraw/zinc/32/02/25/270320225.db2.gz GSFOXUYKUHXHED-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])CS1 ZINC000397889643 270320390 /nfs/dbraw/zinc/32/03/90/270320390.db2.gz LKMBFKCWVOJMGA-XPUUQOCRSA-N 0 0 273.745 2.949 20 5 CFBDRN COc1cc(N[C@H](C)c2csnn2)c(F)cc1[N+](=O)[O-] ZINC000398356752 270321862 /nfs/dbraw/zinc/32/18/62/270321862.db2.gz KQYSHKYRTKMPPN-ZCFIWIBFSA-N 0 0 298.299 2.767 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1csnn1 ZINC000398493801 270322642 /nfs/dbraw/zinc/32/26/42/270322642.db2.gz XBNVDVJHQZOCNO-ZETCQYMHSA-N 0 0 298.755 2.951 20 5 CFBDRN O=C(Nc1ccccc1-c1cn[nH]c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000439732340 270334497 /nfs/dbraw/zinc/33/44/97/270334497.db2.gz ATDQEFHMQQTSLC-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000514650779 270347479 /nfs/dbraw/zinc/34/74/79/270347479.db2.gz WNDCFWHYEVLDDR-WCBMZHEXSA-N 0 0 288.250 2.786 20 5 CFBDRN C[C@H]1CN(Cc2csc([N+](=O)[O-])c2)CC2(CCC2)O1 ZINC000515349654 270369095 /nfs/dbraw/zinc/36/90/95/270369095.db2.gz BVWBPTAALJYOPO-JTQLQIEISA-N 0 0 282.365 2.800 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1C2CCC1CC2 ZINC000515509363 270372252 /nfs/dbraw/zinc/37/22/52/270372252.db2.gz YDQPMFFGGRGNSH-UHFFFAOYSA-N 0 0 285.303 2.843 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC(C)(OC)CC1 ZINC000516584170 270384606 /nfs/dbraw/zinc/38/46/06/270384606.db2.gz PRWLYMXLMXOBHP-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CC[C@@H](C2CCCC2)C1 ZINC000516641864 270386792 /nfs/dbraw/zinc/38/67/92/270386792.db2.gz OXCQPMBJSAYPFR-GFCCVEGCSA-N 0 0 289.335 2.642 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC=C(C)CC1 ZINC000517047650 270396703 /nfs/dbraw/zinc/39/67/03/270396703.db2.gz DMPJFKPDJWRLKJ-UHFFFAOYSA-N 0 0 290.319 2.846 20 5 CFBDRN O=C(Nc1nc(C2CC2)cs1)c1ccc([N+](=O)[O-])cn1 ZINC000531884116 270846287 /nfs/dbraw/zinc/84/62/87/270846287.db2.gz MNJBMVLSNZPRLF-UHFFFAOYSA-N 0 0 290.304 2.576 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cn1)c1c(F)cccc1F ZINC000531880967 270846326 /nfs/dbraw/zinc/84/63/26/270846326.db2.gz YRUDQZLROJEEBV-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN CC(C)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532139031 270853987 /nfs/dbraw/zinc/85/39/87/270853987.db2.gz PIUVUYNWFASIHG-ZDUSSCGKSA-N 0 0 277.324 2.641 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532138190 270854231 /nfs/dbraw/zinc/85/42/31/270854231.db2.gz BWBUOOGCVCHVNH-JTNHKYCSSA-N 0 0 289.335 2.783 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCc2ccccc2[C@H]1CO ZINC000133862738 275039963 /nfs/dbraw/zinc/03/99/63/275039963.db2.gz QQOORFKRZXBHMT-QGZVFWFLSA-N 0 0 298.342 2.687 20 5 CFBDRN CC(C)(C)c1ncc(NC(=O)c2ccc([N+](=O)[O-])o2)cn1 ZINC000068221841 275540608 /nfs/dbraw/zinc/54/06/08/275540608.db2.gz ZXYZUMRBOAQRPS-UHFFFAOYSA-N 0 0 290.279 2.528 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000269380894 275722657 /nfs/dbraw/zinc/72/26/57/275722657.db2.gz OZJATAGEZJGRHF-NXEZZACHSA-N 0 0 294.355 2.750 20 5 CFBDRN CC(C)(C)[C@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000349203841 275842171 /nfs/dbraw/zinc/84/21/71/275842171.db2.gz VJVBKEFLMAFEJU-UWVGGRQHSA-N 0 0 294.355 2.653 20 5 CFBDRN CC(C)(C)[C@H]1CN(Cc2csc([N+](=O)[O-])c2)CCO1 ZINC000279688952 275844162 /nfs/dbraw/zinc/84/41/62/275844162.db2.gz FYZYSNPUHCFFTG-LLVKDONJSA-N 0 0 284.381 2.903 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000356868633 276040148 /nfs/dbraw/zinc/04/01/48/276040148.db2.gz QEFWZONCOHYPJH-VIFPVBQESA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC2(C)CCCC2)c1[N+](=O)[O-] ZINC000269323602 276041359 /nfs/dbraw/zinc/04/13/59/276041359.db2.gz CHTWTZBJHVPPGT-UHFFFAOYSA-N 0 0 280.328 2.504 20 5 CFBDRN CC(C)c1cccnc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000337559534 276061901 /nfs/dbraw/zinc/06/19/01/276061901.db2.gz JHBVAVLDSTXYIL-UHFFFAOYSA-N 0 0 275.264 2.959 20 5 CFBDRN CCOC1CC(N(C)Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000347472299 276310140 /nfs/dbraw/zinc/31/01/40/276310140.db2.gz XGZVKYGIHRPUEW-UHFFFAOYSA-N 0 0 282.315 2.733 20 5 CFBDRN CCO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2C)C[C@H]1C ZINC000450747508 276339613 /nfs/dbraw/zinc/33/96/13/276339613.db2.gz UJYYXGZJEFBNPU-DGCLKSJQSA-N 0 0 279.340 2.550 20 5 CFBDRN CCOC[C@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153774848 276464120 /nfs/dbraw/zinc/46/41/20/276464120.db2.gz YRYJYZGJIANPGE-JTQLQIEISA-N 0 0 299.758 2.896 20 5 CFBDRN CC[C@@H]1CCCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000450308677 276465057 /nfs/dbraw/zinc/46/50/57/276465057.db2.gz NRDZHHIPEVFNPI-SECBINFHSA-N 0 0 260.297 2.850 20 5 CFBDRN CC[C@@H]1COCCN1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000174185569 276508310 /nfs/dbraw/zinc/50/83/10/276508310.db2.gz YIKSEOHTMCIXNC-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)C[C@@H](C)O1 ZINC000360904432 276576322 /nfs/dbraw/zinc/57/63/22/276576322.db2.gz RTGYYYZLYDHDTB-ZWNOBZJWSA-N 0 0 292.335 2.801 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000156202279 276653090 /nfs/dbraw/zinc/65/30/90/276653090.db2.gz NMRXKZUHZBWUTR-GXFFZTMASA-N 0 0 262.309 2.903 20 5 CFBDRN CC[C@H]1Cc2ccccc2CN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000331915121 276670498 /nfs/dbraw/zinc/67/04/98/276670498.db2.gz DGHKIPBOUDYUMS-ZDUSSCGKSA-N 0 0 299.330 2.900 20 5 CFBDRN CC[C@]1(O)CCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000349252399 276681165 /nfs/dbraw/zinc/68/11/65/276681165.db2.gz MBAIFKPUYFPBQD-LBPRGKRZSA-N 0 0 270.716 2.599 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H](C)c1cccnc1 ZINC000091549306 276722623 /nfs/dbraw/zinc/72/26/23/276722623.db2.gz DEKFOMINIROECG-SECBINFHSA-N 0 0 275.312 2.688 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@H]1CCc2ccccc21 ZINC000334380297 276747038 /nfs/dbraw/zinc/74/70/38/276747038.db2.gz IHPLPLSZWTWXDA-ZDUSSCGKSA-N 0 0 299.330 2.693 20 5 CFBDRN CN(C)c1cccnc1CNc1ccccc1[N+](=O)[O-] ZINC000357629978 276889997 /nfs/dbraw/zinc/88/99/97/276889997.db2.gz PHKGQTNWAOUBJU-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cn1)[C@@H]1CCc2ccccc21 ZINC000273158645 277004382 /nfs/dbraw/zinc/00/43/82/277004382.db2.gz JUTZGNNSQLPONB-CYBMUJFWSA-N 0 0 270.292 2.509 20 5 CFBDRN CNc1c(C(=O)Nc2cnccc2C)cccc1[N+](=O)[O-] ZINC000161483561 277042738 /nfs/dbraw/zinc/04/27/38/277042738.db2.gz VVHCSCPTVJXNDV-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CC(=O)c1ccc(N2C[C@H](C)[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000090824944 277112103 /nfs/dbraw/zinc/11/21/03/277112103.db2.gz UWJSZKWVTJIIMH-UWVGGRQHSA-N 0 0 262.309 2.890 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)C[C@@H]1CCCOC1 ZINC000360910718 277113794 /nfs/dbraw/zinc/11/37/94/277113794.db2.gz YAMYSOBVKDAGTQ-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN CC(C)(C)NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000157387540 289954452 /nfs/dbraw/zinc/95/44/52/289954452.db2.gz SDVHQFDXOFUVJG-UHFFFAOYSA-N 0 0 279.340 2.928 20 5 CFBDRN CC(C)(C)C(C)(C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000350809177 277132777 /nfs/dbraw/zinc/13/27/77/277132777.db2.gz BOGUXWQXZORGNU-UHFFFAOYSA-N 0 0 254.286 2.742 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@H](C)[C@@H]2C)c1 ZINC000302149206 277162042 /nfs/dbraw/zinc/16/20/42/277162042.db2.gz HHLWKXZGVGOGSC-UWVGGRQHSA-N 0 0 278.308 2.616 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000276337110 277231715 /nfs/dbraw/zinc/23/17/15/277231715.db2.gz JJAHIDIGZXPYFB-ZDUSSCGKSA-N 0 0 291.351 2.828 20 5 CFBDRN CC(C)(C)[C@@H]1NC(=O)CC[C@H]1Nc1ccccc1[N+](=O)[O-] ZINC000286631738 277246405 /nfs/dbraw/zinc/24/64/05/277246405.db2.gz VFOQOOGNLOPESB-BXUZGUMPSA-N 0 0 291.351 2.700 20 5 CFBDRN CO[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CC[C@H]1C ZINC000275789957 277300914 /nfs/dbraw/zinc/30/09/14/277300914.db2.gz CETHZIVPLRZHSQ-LDYMZIIASA-N 0 0 285.731 2.504 20 5 CFBDRN CO[C@@](C)(CNc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000312868822 277317292 /nfs/dbraw/zinc/31/72/92/277317292.db2.gz YMUKTFMTBPYFJZ-LBPRGKRZSA-N 0 0 296.352 2.531 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)C[C@H]2C)cc([N+](=O)[O-])c1C ZINC000331070160 277369625 /nfs/dbraw/zinc/36/96/25/277369625.db2.gz CDWIFSJLVFKOPO-NXEZZACHSA-N 0 0 292.335 2.782 20 5 CFBDRN COc1cc(N2CCCO[C@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000369698473 277381623 /nfs/dbraw/zinc/38/16/23/277381623.db2.gz XYSHEEXCFXXXLK-OCCSQVGLSA-N 0 0 292.335 2.751 20 5 CFBDRN COc1cc(N2CC[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000268160208 277382149 /nfs/dbraw/zinc/38/21/49/277382149.db2.gz NGWLIZOWAAUPIW-MRVPVSSYSA-N 0 0 254.261 2.589 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000220086186 277385769 /nfs/dbraw/zinc/38/57/69/277385769.db2.gz ZPYZKUIZRIHAOF-IUCAKERBSA-N 0 0 284.287 2.722 20 5 CFBDRN COC(=O)c1cc(N2C[C@H](C)[C@@H](C)C2)cc(C)c1[N+](=O)[O-] ZINC000301706091 277423596 /nfs/dbraw/zinc/42/35/96/277423596.db2.gz VMPYRJUMFMYGJZ-QWRGUYRKSA-N 0 0 292.335 2.782 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC(C)(F)F)c1 ZINC000357697022 277437635 /nfs/dbraw/zinc/43/76/35/277437635.db2.gz HLQKKWPCQMAHOI-UHFFFAOYSA-N 0 0 288.250 2.839 20 5 CFBDRN COC[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000265814392 277577296 /nfs/dbraw/zinc/57/72/96/277577296.db2.gz MSOUMGIVWFKZEH-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN CO[C@@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000374656386 277646128 /nfs/dbraw/zinc/64/61/28/277646128.db2.gz AWABGSLYAWATIR-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN CO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H]1C ZINC000450769562 277659080 /nfs/dbraw/zinc/65/90/80/277659080.db2.gz WLFDEMSVSQTLPZ-LDYMZIIASA-N 0 0 285.731 2.504 20 5 CFBDRN CO[C@H]1CCCN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000076291025 277739296 /nfs/dbraw/zinc/73/92/96/277739296.db2.gz MVNHZTTWCVNUGC-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000450768084 277746092 /nfs/dbraw/zinc/74/60/92/277746092.db2.gz UWITVDQBHSZUOL-HZMBPMFUSA-N 0 0 282.315 2.904 20 5 CFBDRN CO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)[C@H](C)C1 ZINC000281728926 277747185 /nfs/dbraw/zinc/74/71/85/277747185.db2.gz WBTIGSYEJBBHQZ-SCZZXKLOSA-N 0 0 285.731 2.647 20 5 CFBDRN CO[C@H]1c2ccccc2C[C@H]1Nc1ncc([N+](=O)[O-])s1 ZINC000413490630 277773001 /nfs/dbraw/zinc/77/30/01/277773001.db2.gz AAPRBCBGCCWOEF-PWSUYJOCSA-N 0 0 291.332 2.776 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301623051 277790954 /nfs/dbraw/zinc/79/09/54/277790954.db2.gz OKJMFOFHDTYVAQ-DTORHVGOSA-N 0 0 265.269 2.880 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000185294191 277818714 /nfs/dbraw/zinc/81/87/14/277818714.db2.gz GHLHNAPJCSFCIY-STQMWFEESA-N 0 0 274.320 2.776 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000269466838 278002523 /nfs/dbraw/zinc/00/25/23/278002523.db2.gz IIPJMVQWSGPFHR-VIFPVBQESA-N 0 0 294.355 2.750 20 5 CFBDRN COc1cccc2c1CCN(c1cc(C)c([N+](=O)[O-])cn1)C2 ZINC000272792425 278030818 /nfs/dbraw/zinc/03/08/18/278030818.db2.gz CNOIMTSCMBWATP-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2nncs2)cc1[N+](=O)[O-] ZINC000190501941 278033707 /nfs/dbraw/zinc/03/37/07/278033707.db2.gz BHZQGMOFLJYNJC-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] ZINC000281069918 278035801 /nfs/dbraw/zinc/03/58/01/278035801.db2.gz ZSEQKTJOELAJFE-ZWNOBZJWSA-N 0 0 297.314 2.795 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265607473 278036414 /nfs/dbraw/zinc/03/64/14/278036414.db2.gz MOIRBYRDBJWRLK-FOGDFJRCSA-N 0 0 277.324 2.716 20 5 CFBDRN CSC[C@@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000066759755 278133731 /nfs/dbraw/zinc/13/37/31/278133731.db2.gz DXMFJCBSDYEHHF-NXEZZACHSA-N 0 0 294.376 2.872 20 5 CFBDRN CC1(C)CCC(NC(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000181119874 278199751 /nfs/dbraw/zinc/19/97/51/278199751.db2.gz HEBQXKBMBZOVHY-UHFFFAOYSA-N 0 0 291.351 2.876 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@@H]2C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000301776620 278246909 /nfs/dbraw/zinc/24/69/09/278246909.db2.gz OMYZWYDHDKUBHK-GXFFZTMASA-N 0 0 292.335 2.537 20 5 CFBDRN CC(=O)c1ccc(N2CCO[C@H]3CCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000043425735 278247729 /nfs/dbraw/zinc/24/77/29/278247729.db2.gz TVBPCQLYQZAOEA-ZFWWWQNUSA-N 0 0 290.319 2.555 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1C[C@H](C)OC(C)(C)C1 ZINC000360954304 278250748 /nfs/dbraw/zinc/25/07/48/278250748.db2.gz QCWSRSBSHZRGQY-JTQLQIEISA-N 0 0 292.335 2.801 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C[C@H]1C ZINC000335849766 288030290 /nfs/dbraw/zinc/03/02/90/288030290.db2.gz DWINFQYTPPOVIY-RKDXNWHRSA-N 0 0 282.727 2.976 20 5 CFBDRN CC1(C)CN(c2ccc(Cl)cc2[N+](=O)[O-])CC[C@H]1O ZINC000275501160 278343329 /nfs/dbraw/zinc/34/33/29/278343329.db2.gz SLUCBTLGJOYRET-GFCCVEGCSA-N 0 0 284.743 2.845 20 5 CFBDRN CC1(C)CN(c2ccccc2[N+](=O)[O-])CCCO1 ZINC000370913770 278344078 /nfs/dbraw/zinc/34/40/78/278344078.db2.gz WZRNWWRJHRFLFE-UHFFFAOYSA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@H]1CCC[C@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301910564 278353234 /nfs/dbraw/zinc/35/32/34/278353234.db2.gz GEEPEQHBMRFKHZ-UWVGGRQHSA-N 0 0 275.312 2.628 20 5 CFBDRN C[C@@H]1CCN(c2nc(N3CC[C@H](C)C3)ccc2[N+](=O)[O-])C1 ZINC000408323813 278558779 /nfs/dbraw/zinc/55/87/79/278558779.db2.gz CWEUAPHPOJUNEE-NWDGAFQWSA-N 0 0 290.367 2.682 20 5 CFBDRN CCC(=O)c1ccc(N2CCCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000280774802 278573489 /nfs/dbraw/zinc/57/34/89/278573489.db2.gz SGTGYZQEQXJYKG-LLVKDONJSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@@H]1CCSCCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000294931322 278575259 /nfs/dbraw/zinc/57/52/59/278575259.db2.gz JBOYHLFXWDOMIY-SECBINFHSA-N 0 0 292.364 2.803 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H]2OCCC[C@@H]2C1 ZINC000152404852 278746199 /nfs/dbraw/zinc/74/61/99/278746199.db2.gz CSPLFNTXGUGDIE-IUODEOHRSA-N 0 0 276.336 2.909 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000408371827 278884921 /nfs/dbraw/zinc/88/49/21/278884921.db2.gz FMCJDDNDWIDEJA-NXEZZACHSA-N 0 0 274.324 2.953 20 5 CFBDRN Cc1cccc(N2CCc3ncsc3C2)c1[N+](=O)[O-] ZINC000338714464 278923311 /nfs/dbraw/zinc/92/33/11/278923311.db2.gz MQKMDPLRKIIBQQ-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@]2(CCOC2)C1 ZINC000129130397 278932341 /nfs/dbraw/zinc/93/23/41/278932341.db2.gz SHFFGPIVHBMQPI-CQSZACIVSA-N 0 0 262.309 2.520 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCCC[C@H]2F)c1[N+](=O)[O-] ZINC000413531649 278987480 /nfs/dbraw/zinc/98/74/80/278987480.db2.gz MTQHDSXZWGEIRW-NXEZZACHSA-N 0 0 253.277 2.991 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Sc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286203077 279046204 /nfs/dbraw/zinc/04/62/04/279046204.db2.gz MJAFELUCXHIARF-XPUUQOCRSA-N 0 0 297.361 2.869 20 5 CFBDRN C[C@@H]1SCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)[C@H]1C ZINC000278496659 279073127 /nfs/dbraw/zinc/07/31/27/279073127.db2.gz QDSGWRFVRFKDGL-IUCAKERBSA-N 0 0 292.364 2.801 20 5 CFBDRN Cc1nc(N2CCC[C@@H](CC(C)C)C2)ncc1[N+](=O)[O-] ZINC000297588098 279090301 /nfs/dbraw/zinc/09/03/01/279090301.db2.gz TYVKOEUOYACXNJ-LBPRGKRZSA-N 0 0 278.356 2.956 20 5 CFBDRN CCN(Cc1c(F)cccc1[N+](=O)[O-])C[C@H](C)OC ZINC000361559760 279233862 /nfs/dbraw/zinc/23/38/62/279233862.db2.gz WNIGKIHIUGNFJJ-JTQLQIEISA-N 0 0 270.304 2.591 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1Cc2ccccc2C(C)(C)C1 ZINC000270206332 279385340 /nfs/dbraw/zinc/38/53/40/279385340.db2.gz FKYKRSANFRKTGM-UHFFFAOYSA-N 0 0 286.335 2.626 20 5 CFBDRN C[C@H]1CCN(c2ccc3ncc([N+](=O)[O-])n3n2)C[C@@H](C)C1 ZINC000301981889 279729401 /nfs/dbraw/zinc/72/94/01/279729401.db2.gz YAZYFWASHKQUFT-QWRGUYRKSA-N 0 0 289.339 2.510 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000356716311 279750656 /nfs/dbraw/zinc/75/06/56/279750656.db2.gz BAIFLUCVFUCEMO-CABZTGNLSA-N 0 0 285.303 2.671 20 5 CFBDRN O=C(N[C@H]1CC12CCCC2)c1ccc([N+](=O)[O-])cc1F ZINC000336117805 279946880 /nfs/dbraw/zinc/94/68/80/279946880.db2.gz URGGSGKENOGLFG-LBPRGKRZSA-N 0 0 278.283 2.796 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H](F)C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000335406905 279957996 /nfs/dbraw/zinc/95/79/96/279957996.db2.gz FFJITPBHBDPYLG-SCZZXKLOSA-N 0 0 284.262 2.744 20 5 CFBDRN CCOc1cccc(N2CCC[C@@H]([C@@H](C)O)C2)c1[N+](=O)[O-] ZINC000302136193 279971912 /nfs/dbraw/zinc/97/19/12/279971912.db2.gz DUIOEWMSNXUSCK-VXGBXAGGSA-N 0 0 294.351 2.591 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@H]3OCCC[C@H]23)c1[N+](=O)[O-] ZINC000302588536 279973728 /nfs/dbraw/zinc/97/37/28/279973728.db2.gz VUVWHEPAYCIWHD-MPKXVKKWSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@H]1C[C@H](c2noc(-c3cccc([N+](=O)[O-])c3)n2)CCO1 ZINC000357465247 280019281 /nfs/dbraw/zinc/01/92/81/280019281.db2.gz IAYOLCOJWRKZDO-VHSXEESVSA-N 0 0 289.291 2.927 20 5 CFBDRN C[C@H]1OCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000155979979 280056078 /nfs/dbraw/zinc/05/60/78/280056078.db2.gz UQEZNSGBZMOCOU-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000342154801 280121246 /nfs/dbraw/zinc/12/12/46/280121246.db2.gz IXRSVYZSRJDSQH-RNCFNFMXSA-N 0 0 270.304 2.943 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC=C(F)C1 ZINC000272315296 280163155 /nfs/dbraw/zinc/16/31/55/280163155.db2.gz ZFCWFEOUZWSAKS-UHFFFAOYSA-N 0 0 284.674 2.948 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000456273246 280191170 /nfs/dbraw/zinc/19/11/70/280191170.db2.gz HTZIYEMGDVNPCI-HUUCEWRRSA-N 0 0 286.331 2.855 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@@H]2CCC[C@@H]21 ZINC000335427666 280191595 /nfs/dbraw/zinc/19/15/95/280191595.db2.gz LWTNJWDLMRFSEP-ZANVPECISA-N 0 0 278.283 2.749 20 5 CFBDRN Cc1c(NC(=O)N2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000174476130 280242937 /nfs/dbraw/zinc/24/29/37/280242937.db2.gz XHPJRZLOASASFA-SECBINFHSA-N 0 0 263.297 2.919 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)[C@@H](C)[C@H](C)O1 ZINC000438359931 288223505 /nfs/dbraw/zinc/22/35/05/288223505.db2.gz RKVLHWFIIQLPRM-UTLUCORTSA-N 0 0 270.354 2.654 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@@H]3CC=CC[C@@H]3C2)nc2sccn21 ZINC000301447000 280354375 /nfs/dbraw/zinc/35/43/75/280354375.db2.gz VWALNIZGHCTKRK-AOOOYVTPSA-N 0 0 290.348 2.706 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC([C@H]2CCOC2)CC1 ZINC000374642647 280360034 /nfs/dbraw/zinc/36/00/34/280360034.db2.gz CJTZFUCGHKXYSP-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(C2)CCCOC3)c(F)c1 ZINC000376485855 280367886 /nfs/dbraw/zinc/36/78/86/280367886.db2.gz GEKZLLOJHSWESF-AWEZNQCLSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(CCC(F)(F)F)CCO2 ZINC000352675899 280370016 /nfs/dbraw/zinc/37/00/16/280370016.db2.gz GTDXPTATROQWMP-UHFFFAOYSA-N 0 0 290.241 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CCC(F)(F)C3)c2c1 ZINC000413232204 280371316 /nfs/dbraw/zinc/37/13/16/280371316.db2.gz UCXXWPVDDULFPG-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN(CC(F)F)C3CC3)nc2c1 ZINC000444603689 280371329 /nfs/dbraw/zinc/37/13/29/280371329.db2.gz VZUOKYFHXNBQDL-UHFFFAOYSA-N 0 0 296.277 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@@H](C4CC4)C3)nc2c1 ZINC000447676794 280371898 /nfs/dbraw/zinc/37/18/98/280371898.db2.gz RNUQTUNGJNVHHA-SNVBAGLBSA-N 0 0 272.308 2.707 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1c2ccccc2C[C@@H]1O ZINC000341540899 280372708 /nfs/dbraw/zinc/37/27/08/280372708.db2.gz CCMZCTYUFQMVQW-UONOGXRCSA-N 0 0 288.278 2.804 20 5 CFBDRN Cc1cc(C(=O)Nc2nc(C)cc(C)n2)cc([N+](=O)[O-])c1 ZINC000358886561 280373989 /nfs/dbraw/zinc/37/39/89/280373989.db2.gz TXQFAUAPNMMFJN-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1ccc(CO)cc1 ZINC000020031276 280374447 /nfs/dbraw/zinc/37/44/47/280374447.db2.gz MUOATOYDMDHIPU-UHFFFAOYSA-N 0 0 259.261 2.666 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccccc1C(F)(F)F ZINC000119738066 280377495 /nfs/dbraw/zinc/37/74/95/280377495.db2.gz ODTMKJRNQKNKPH-UHFFFAOYSA-N 0 0 271.198 2.858 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1C[C@@H]2CCC[C@@H]21 ZINC000436383490 280377763 /nfs/dbraw/zinc/37/77/63/280377763.db2.gz DJZTYISNJHUQAY-YUMQZZPRSA-N 0 0 287.241 2.997 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(C3CCCC3)CC2)nc1 ZINC000368119487 280378221 /nfs/dbraw/zinc/37/82/21/280378221.db2.gz UZHANBOQQVYMAO-UHFFFAOYSA-N 0 0 276.340 2.791 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](CC(F)(F)F)C2)nc1 ZINC000420620655 280379133 /nfs/dbraw/zinc/37/91/33/280379133.db2.gz HBBUBPYAORISQL-QMMMGPOBSA-N 0 0 290.245 2.554 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](CC3CCCC3)C2)nc1 ZINC000368727449 280380563 /nfs/dbraw/zinc/38/05/63/280380563.db2.gz JKXIDIKUKFVGAN-LBPRGKRZSA-N 0 0 276.340 2.791 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](C3CC3)C2)c(Cl)c1 ZINC000367045316 280380906 /nfs/dbraw/zinc/38/09/06/280380906.db2.gz KPYBRXHILLLETE-VIFPVBQESA-N 0 0 267.716 2.880 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCOC3(CCCCC3)C2)nc1 ZINC000273994919 280382138 /nfs/dbraw/zinc/38/21/38/280382138.db2.gz SCELCGJBOJIJBI-NSHDSACASA-N 0 0 292.339 2.679 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000111003661 280388771 /nfs/dbraw/zinc/38/87/71/280388771.db2.gz ONYLNASFKXHUOZ-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN O=c1ccn(C[C@@H]2C[C@@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359172079 280395520 /nfs/dbraw/zinc/39/55/20/280395520.db2.gz XCBOOIMEEDONSS-QWHCGFSZSA-N 0 0 270.288 2.560 20 5 CFBDRN CC[C@@H]1CN(c2c([N+](=O)[O-])c(C)nn2CC)C[C@@H](CC)O1 ZINC000420626184 280442807 /nfs/dbraw/zinc/44/28/07/280442807.db2.gz MAFPRWLKXWUHTB-VXGBXAGGSA-N 0 0 296.371 2.513 20 5 CFBDRN CC[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000301432131 280444399 /nfs/dbraw/zinc/44/43/99/280444399.db2.gz HFPLWHMMWFYKEZ-VXGBXAGGSA-N 0 0 264.325 2.907 20 5 CFBDRN CC(=O)c1csc(NC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000068742674 280478178 /nfs/dbraw/zinc/47/81/78/280478178.db2.gz HHPQYMCQNZDDJZ-UHFFFAOYSA-N 0 0 297.317 2.568 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2ccccc2[N+](=O)[O-])CCO1 ZINC000070352664 280484110 /nfs/dbraw/zinc/48/41/10/280484110.db2.gz KACVZDFXYCQYQV-GHMZBOCLSA-N 0 0 250.298 2.964 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000071211469 280486622 /nfs/dbraw/zinc/48/66/22/280486622.db2.gz KPRKRTNQHVRDNC-KOLCDFICSA-N 0 0 277.324 2.555 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)CC[C@@H]2C)c1 ZINC000072543184 280488670 /nfs/dbraw/zinc/48/86/70/280488670.db2.gz DJCDDSHRNNOYIL-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CSCCCCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000077350214 280524209 /nfs/dbraw/zinc/52/42/09/280524209.db2.gz NQFPTUFYHNVTKA-UHFFFAOYSA-N 0 0 297.380 2.537 20 5 CFBDRN CCOC(=O)c1ccnc(NCCC(C)C)c1[N+](=O)[O-] ZINC000077902349 280526569 /nfs/dbraw/zinc/52/65/69/280526569.db2.gz ZZESFYIHZOLLHO-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN CC(C)(NC(=O)C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000079631104 280535670 /nfs/dbraw/zinc/53/56/70/280535670.db2.gz SZISIKSYGUCHNS-UHFFFAOYSA-N 0 0 262.309 2.746 20 5 CFBDRN Cc1cc(N2CCCSCC2)c(F)cc1[N+](=O)[O-] ZINC000290901888 280550260 /nfs/dbraw/zinc/55/02/60/280550260.db2.gz FDDYRQUWAUZMIP-UHFFFAOYSA-N 0 0 270.329 2.986 20 5 CFBDRN CCC(CC)NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000087024682 280556680 /nfs/dbraw/zinc/55/66/80/280556680.db2.gz WNZCHUANDXCERB-UHFFFAOYSA-N 0 0 291.351 2.851 20 5 CFBDRN CC[C@H](C)C(=O)CSc1ncccc1[N+](=O)[O-] ZINC000089685331 280564209 /nfs/dbraw/zinc/56/42/09/280564209.db2.gz KIGPPEPJMVYTFO-QMMMGPOBSA-N 0 0 254.311 2.697 20 5 CFBDRN Cc1cc(C(=O)NCCc2cccc([N+](=O)[O-])c2)oc1C ZINC000089835689 280565257 /nfs/dbraw/zinc/56/52/57/280565257.db2.gz XAANYQZRMIHYQW-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2ncn(-c3ccccc3)n2)c1 ZINC000092214718 280573084 /nfs/dbraw/zinc/57/30/84/280573084.db2.gz AVIUFNMRPCQQCH-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccc(C)nc2)cc([N+](=O)[O-])c1 ZINC000111031757 280593260 /nfs/dbraw/zinc/59/32/60/280593260.db2.gz DYCGXJYVBHMYBL-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@@H]1c2ccccc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000302150852 280608120 /nfs/dbraw/zinc/60/81/20/280608120.db2.gz KIHQYKLSJDZFDI-CQSZACIVSA-N 0 0 284.319 2.899 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@](=O)c1cccs1 ZINC000119856465 280610780 /nfs/dbraw/zinc/61/07/80/280610780.db2.gz NJMFXOYFOCPOLP-LJQANCHMSA-N 0 0 297.357 2.973 20 5 CFBDRN O=C(c1ccccc1O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000122064851 280625821 /nfs/dbraw/zinc/62/58/21/280625821.db2.gz DKDVCHSLWNQGJY-UHFFFAOYSA-N 0 0 284.271 2.503 20 5 CFBDRN Cc1c(CC(=O)N[C@H](C)CC2CC2)cccc1[N+](=O)[O-] ZINC000122712975 280628714 /nfs/dbraw/zinc/62/87/14/280628714.db2.gz UQMNIZDVMQDYJY-SNVBAGLBSA-N 0 0 276.336 2.751 20 5 CFBDRN CSCCCNC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000123522090 280633837 /nfs/dbraw/zinc/63/38/37/280633837.db2.gz GEWXMKXBLHKBMH-GQCTYLIASA-N 0 0 298.339 2.616 20 5 CFBDRN CCC(CC)CNC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000125401038 280643100 /nfs/dbraw/zinc/64/31/00/280643100.db2.gz VOBHSSAPAZSGFV-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1cc(C(=O)Cn2cc([N+](=O)[O-])cn2)c(Cl)cc1F ZINC000126889862 280657674 /nfs/dbraw/zinc/65/76/74/280657674.db2.gz KSYHGYLCANJUII-UHFFFAOYSA-N 0 0 297.673 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H](c2nccn2C)C1 ZINC000378264401 280661921 /nfs/dbraw/zinc/66/19/21/280661921.db2.gz CJDLMRADRSGCON-LBPRGKRZSA-N 0 0 286.335 2.631 20 5 CFBDRN C[C@H](Cn1ccc(=O)c([N+](=O)[O-])c1)c1ccccc1 ZINC000130955850 280710377 /nfs/dbraw/zinc/71/03/77/280710377.db2.gz LMUISKACEPNVJX-LLVKDONJSA-N 0 0 258.277 2.560 20 5 CFBDRN COc1ccc(COc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000131583462 280719680 /nfs/dbraw/zinc/71/96/80/280719680.db2.gz DAWQILZWJBLVHF-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN O=C(COc1cc(F)cc([N+](=O)[O-])c1)NC1CCCCC1 ZINC000135344286 280771321 /nfs/dbraw/zinc/77/13/21/280771321.db2.gz OIPSNVPTEVURIE-UHFFFAOYSA-N 0 0 296.298 2.562 20 5 CFBDRN O=C(COc1cc(F)cc([N+](=O)[O-])c1)Nc1ccccc1 ZINC000135362354 280771408 /nfs/dbraw/zinc/77/14/08/280771408.db2.gz SVCXGHZYCNNVFA-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN CCc1ccc(NC(=O)c2nn(CC)cc2[N+](=O)[O-])cc1 ZINC000138483126 280790235 /nfs/dbraw/zinc/79/02/35/280790235.db2.gz XWGMFCBXOSSBKE-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173138301 280811753 /nfs/dbraw/zinc/81/17/53/280811753.db2.gz UKJXMGUAZRTWEE-LLVKDONJSA-N 0 0 264.325 2.546 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CCCOC1 ZINC000183948696 280823406 /nfs/dbraw/zinc/82/34/06/280823406.db2.gz RNDBYGUSZKRACQ-SECBINFHSA-N 0 0 299.710 2.623 20 5 CFBDRN Cc1nccc(NCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000183980224 280823449 /nfs/dbraw/zinc/82/34/49/280823449.db2.gz ZKQGVIGXMZBGNR-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000184020338 280824603 /nfs/dbraw/zinc/82/46/03/280824603.db2.gz MEDUAYHXTUHYIA-VIFPVBQESA-N 0 0 299.710 2.623 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)NCC1CCC1 ZINC000184387787 280826901 /nfs/dbraw/zinc/82/69/01/280826901.db2.gz KZHYYGFLRKLJNW-UHFFFAOYSA-N 0 0 297.742 2.848 20 5 CFBDRN COc1cccc(NC[C@H](C)Cn2cccn2)c1[N+](=O)[O-] ZINC000185185931 280834807 /nfs/dbraw/zinc/83/48/07/280834807.db2.gz RTUUWBQIYZJYGG-NSHDSACASA-N 0 0 290.323 2.548 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000185356357 280836201 /nfs/dbraw/zinc/83/62/01/280836201.db2.gz RYQIWKVKBBBPBX-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN CS[C@@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186319720 280845722 /nfs/dbraw/zinc/84/57/22/280845722.db2.gz IQDYOISEZFXFIW-ZETCQYMHSA-N 0 0 288.756 2.616 20 5 CFBDRN Cc1sc(C(=O)NC[C@H]2CCC(C)(C)O2)cc1[N+](=O)[O-] ZINC000187401352 280855491 /nfs/dbraw/zinc/85/54/91/280855491.db2.gz MUYUZURHDCBWNE-SECBINFHSA-N 0 0 298.364 2.652 20 5 CFBDRN O=c1ccn(Cc2cc(Cl)ccc2F)cc1[N+](=O)[O-] ZINC000189047836 280868996 /nfs/dbraw/zinc/86/89/96/280868996.db2.gz QBISFMKHCVPKTN-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN CC(C)=CCNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000191793328 280905230 /nfs/dbraw/zinc/90/52/30/280905230.db2.gz MUJCCPXCRSKENF-BQYQJAHWSA-N 0 0 260.293 2.690 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000193173820 280912854 /nfs/dbraw/zinc/91/28/54/280912854.db2.gz GLAPGPXKDPJDHQ-SECBINFHSA-N 0 0 268.338 2.919 20 5 CFBDRN CCNC(=O)c1ccccc1NCc1ccc([N+](=O)[O-])cc1 ZINC000193472742 280913819 /nfs/dbraw/zinc/91/38/19/280913819.db2.gz BXUOSOSZALPXRG-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000194261383 280918748 /nfs/dbraw/zinc/91/87/48/280918748.db2.gz ILSKVFBEHZOFNQ-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CCC(C)(CC)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000226519248 280935178 /nfs/dbraw/zinc/93/51/78/280935178.db2.gz FRGANYUATCGXLA-UHFFFAOYSA-N 0 0 279.340 2.945 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269297926 281050784 /nfs/dbraw/zinc/05/07/84/281050784.db2.gz BEIQTODNXCAQKU-JTQLQIEISA-N 0 0 294.355 2.846 20 5 CFBDRN CC[C@H]1CCC[C@@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330435199 281085011 /nfs/dbraw/zinc/08/50/11/281085011.db2.gz AQRPBKIAPWGTAJ-GWCFXTLKSA-N 0 0 264.325 2.969 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@H]1CC=CCC1 ZINC000255052707 281085137 /nfs/dbraw/zinc/08/51/37/281085137.db2.gz VZRRRZTYQCCKOD-XPSMFNQNSA-N 0 0 272.304 2.833 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNc1c([N+](=O)[O-])ncn1C ZINC000450659337 281090606 /nfs/dbraw/zinc/09/06/06/281090606.db2.gz HFZLNIBLBWIWQX-UWVGGRQHSA-N 0 0 252.318 2.567 20 5 CFBDRN CN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000255325414 281094007 /nfs/dbraw/zinc/09/40/07/281094007.db2.gz MUVJPMRQOHXZGY-YFHOEESVSA-N 0 0 260.293 2.619 20 5 CFBDRN CN(CC(F)(F)F)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255420759 281098205 /nfs/dbraw/zinc/09/82/05/281098205.db2.gz GQSSFZXSVHYZIG-SREVYHEPSA-N 0 0 288.225 2.629 20 5 CFBDRN CCc1ncc(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)cn1 ZINC000255529215 281100310 /nfs/dbraw/zinc/10/03/10/281100310.db2.gz LECDUZKMQXAAHD-SREVYHEPSA-N 0 0 298.302 2.599 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000255579337 281102993 /nfs/dbraw/zinc/10/29/93/281102993.db2.gz UJELYMFSAYTRFT-MEQVVJDKSA-N 0 0 292.360 2.572 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC1CCSCC1 ZINC000255675827 281105403 /nfs/dbraw/zinc/10/54/03/281105403.db2.gz AQTWZGJREJAFFO-WAYWQWQTSA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC(C)(C)C[C@@H](C)O ZINC000266242434 281114509 /nfs/dbraw/zinc/11/45/09/281114509.db2.gz LOUIOPVPSWDMSJ-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN CC/C(C)=C/C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000294467272 281139720 /nfs/dbraw/zinc/13/97/20/281139720.db2.gz SOGYOWROBTVECV-PKNBQFBNSA-N 0 0 262.309 2.910 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)C1 ZINC000299674403 281146582 /nfs/dbraw/zinc/14/65/82/281146582.db2.gz RHMDSCAMHDDRHJ-JTQLQIEISA-N 0 0 289.335 2.651 20 5 CFBDRN CCSCCCNc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000336830504 281163948 /nfs/dbraw/zinc/16/39/48/281163948.db2.gz MDCWEVHXXPUSIY-UHFFFAOYSA-N 0 0 298.364 2.937 20 5 CFBDRN CC[C@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000268064148 281176557 /nfs/dbraw/zinc/17/65/57/281176557.db2.gz AAPYSFTVUZJYFM-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN COCCN(C[C@@H]1CC=CCC1)c1ncccc1[N+](=O)[O-] ZINC000358024001 281181397 /nfs/dbraw/zinc/18/13/97/281181397.db2.gz HVPQAJIQFDPYPS-CYBMUJFWSA-N 0 0 291.351 2.799 20 5 CFBDRN CNc1cccnc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000359839788 281182737 /nfs/dbraw/zinc/18/27/37/281182737.db2.gz RAUOYKKDTXNRAS-UHFFFAOYSA-N 0 0 276.271 2.783 20 5 CFBDRN Cc1ccc(NCc2nn(C)cc2Cl)c([N+](=O)[O-])c1 ZINC000393347997 281193715 /nfs/dbraw/zinc/19/37/15/281193715.db2.gz JKMUZXUICARTRU-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN C[C@@H](CNc1ncccc1[N+](=O)[O-])CC(F)(F)F ZINC000397025781 281199872 /nfs/dbraw/zinc/19/98/72/281199872.db2.gz UQGJAMKDOULXSU-SSDOTTSWSA-N 0 0 263.219 2.990 20 5 CFBDRN CC(C)=CCCN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1 ZINC000397817289 281202392 /nfs/dbraw/zinc/20/23/92/281202392.db2.gz GAYOTLODSTYYAF-UHFFFAOYSA-N 0 0 260.297 2.661 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CS[C@@H](C)C2)c1 ZINC000397793411 281202773 /nfs/dbraw/zinc/20/27/73/281202773.db2.gz SONZVAQDXUVVFP-IUCAKERBSA-N 0 0 268.338 2.909 20 5 CFBDRN Cc1ccnc(N[C@@H]2CS[C@H](C)C2)c1[N+](=O)[O-] ZINC000397982976 281203508 /nfs/dbraw/zinc/20/35/08/281203508.db2.gz SHUPNHWRNXXEHW-BDAKNGLRSA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1ncc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000397980704 281203582 /nfs/dbraw/zinc/20/35/82/281203582.db2.gz MIRYWGZXLDAGNC-SECBINFHSA-N 0 0 261.281 2.742 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCCC[C@H]1F ZINC000412678799 281214026 /nfs/dbraw/zinc/21/40/26/281214026.db2.gz ZXVULCGCPAGJDB-VXGBXAGGSA-N 0 0 296.298 2.614 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1csc([N+](=O)[O-])c1 ZINC000298743362 281221260 /nfs/dbraw/zinc/22/12/60/281221260.db2.gz FHAPLKUZORXNCN-KOLCDFICSA-N 0 0 270.354 2.656 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CCS1 ZINC000134481606 281221348 /nfs/dbraw/zinc/22/13/48/281221348.db2.gz NALRBOICHQWZGN-UHFFFAOYSA-N 0 0 298.339 2.702 20 5 CFBDRN COc1cccc(C(=O)N2CC[C@@H](C)C[C@H]2C)c1[N+](=O)[O-] ZINC000425037674 281229330 /nfs/dbraw/zinc/22/93/30/281229330.db2.gz TYWQSAVTWCVUOH-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1nc(N2CCc3ccc(O)cc3C2)ccc1[N+](=O)[O-] ZINC000433428627 281234469 /nfs/dbraw/zinc/23/44/69/281234469.db2.gz YZKZKKOWUYLGOJ-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN Cc1cccc2c1CN(c1ccc([N+](=O)[O-])nc1)CC2 ZINC000428652266 281275472 /nfs/dbraw/zinc/27/54/72/281275472.db2.gz NGPBVGVXZQPIER-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1cc(NC(=O)C=Cc2ccccc2[N+](=O)[O-])c(C)cn1 ZINC000492324046 281278383 /nfs/dbraw/zinc/27/83/83/281278383.db2.gz WUJPJMBRFHWXLA-BQYQJAHWSA-N 0 0 297.314 2.680 20 5 CFBDRN Cc1cccc2c1N(C(=O)c1ccc([N+](=O)[O-])n1C)CCC2 ZINC000335318894 281280333 /nfs/dbraw/zinc/28/03/33/281280333.db2.gz ZSZTWSKYPXBDAM-UHFFFAOYSA-N 0 0 299.330 2.835 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc([N+](=O)[O-])c(C)s2)o1 ZINC000515078279 281290841 /nfs/dbraw/zinc/29/08/41/281290841.db2.gz LQGHAZVRBYAYRW-UHFFFAOYSA-N 0 0 294.332 2.839 20 5 CFBDRN CCO[C@@H](CC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000516692818 281305243 /nfs/dbraw/zinc/30/52/43/281305243.db2.gz BODYVDPUKMFPKJ-LBPRGKRZSA-N 0 0 266.297 2.657 20 5 CFBDRN CC(=O)c1cc(N2CC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000516798455 281306183 /nfs/dbraw/zinc/30/61/83/281306183.db2.gz XSWTVTMYSIVHNM-ZDUSSCGKSA-N 0 0 266.272 2.736 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000517557539 281307259 /nfs/dbraw/zinc/30/72/59/281307259.db2.gz HYYBSBTZFHSTQG-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H](N(C)C(=O)CNc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000520993257 281310072 /nfs/dbraw/zinc/31/00/72/281310072.db2.gz JAVLBWOBZKBAOH-NSHDSACASA-N 0 0 293.367 2.900 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])C1 ZINC000332725240 281316773 /nfs/dbraw/zinc/31/67/73/281316773.db2.gz TXVUXZPFGHXWAS-CQSZACIVSA-N 0 0 294.355 2.704 20 5 CFBDRN Cc1ccn(C)c1-c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000345522260 281427422 /nfs/dbraw/zinc/42/74/22/281427422.db2.gz AVMNVAIUIFUMJD-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531631949 281436259 /nfs/dbraw/zinc/43/62/59/281436259.db2.gz RPZWHTBRAGRBFW-PWSUYJOCSA-N 0 0 291.351 2.934 20 5 CFBDRN Cc1ccc([C@H](C)CNC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000531629162 281436621 /nfs/dbraw/zinc/43/66/21/281436621.db2.gz JIVIKSWIZHSNMJ-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccccc3)no2)nc1 ZINC000531629778 281436661 /nfs/dbraw/zinc/43/66/61/281436661.db2.gz XMXWPHXUTBCYJZ-UHFFFAOYSA-N 0 0 268.232 2.707 20 5 CFBDRN CC(C)[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532392167 281452928 /nfs/dbraw/zinc/45/29/28/281452928.db2.gz ICIKQFPNLJRRGH-NWDGAFQWSA-N 0 0 291.351 2.934 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532397445 281452987 /nfs/dbraw/zinc/45/29/87/281452987.db2.gz QEQFQFUVSFRNHK-LLVKDONJSA-N 0 0 279.340 2.936 20 5 CFBDRN CC(C)(NC(=O)CSc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000534294442 281481420 /nfs/dbraw/zinc/48/14/20/281481420.db2.gz JEWRXOKMRAQORP-UHFFFAOYSA-N 0 0 294.376 2.992 20 5 CFBDRN Cc1ccncc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000358439803 281481925 /nfs/dbraw/zinc/48/19/25/281481925.db2.gz QMBJYLJRBZQSCD-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c(C)cn1 ZINC000535036640 281495390 /nfs/dbraw/zinc/49/53/90/281495390.db2.gz XGDAYCLQJNWPFE-UHFFFAOYSA-N 0 0 285.303 2.589 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC2C[C@@H](C)O[C@H](C)C2)c1 ZINC000535050840 281495468 /nfs/dbraw/zinc/49/54/68/281495468.db2.gz LSYHMCVVISYKIV-NXEZZACHSA-N 0 0 280.324 2.971 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cncc2ccccc21 ZINC000535464114 281502580 /nfs/dbraw/zinc/50/25/80/281502580.db2.gz OWAOHBXKFLJZEP-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2[C@H](C)C[C@@H]2C)c1 ZINC000540687791 281589070 /nfs/dbraw/zinc/58/90/70/281589070.db2.gz MYNNRLZGEJPNLW-TXEJJXNPSA-N 0 0 292.335 2.681 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CC[C@@H](C)C1 ZINC000336793010 281597949 /nfs/dbraw/zinc/59/79/49/281597949.db2.gz VBULLLLXJZBXDT-SCZZXKLOSA-N 0 0 252.318 2.720 20 5 CFBDRN Cc1cnc(N2C[C@@H](O)C[C@H]2c2ccccc2)c([N+](=O)[O-])c1 ZINC000413503548 281612764 /nfs/dbraw/zinc/61/27/64/281612764.db2.gz PDKPZJYJTXGREU-KBPBESRZSA-N 0 0 299.330 2.611 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C[C@@H]1C ZINC000542587813 281629125 /nfs/dbraw/zinc/62/91/25/281629125.db2.gz XSVXDVUCAHMDLJ-OUAUKWLOSA-N 0 0 277.324 2.544 20 5 CFBDRN COc1cc(NCc2nnc(C)s2)c([N+](=O)[O-])cc1F ZINC000543727440 281654154 /nfs/dbraw/zinc/65/41/54/281654154.db2.gz RIFRKSVLSCGKST-UHFFFAOYSA-N 0 0 298.299 2.515 20 5 CFBDRN CSCCCN(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000545255364 281683612 /nfs/dbraw/zinc/68/36/12/281683612.db2.gz JDWHSKAMWZWWPJ-NSHDSACASA-N 0 0 296.392 2.910 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000545768744 281692855 /nfs/dbraw/zinc/69/28/55/281692855.db2.gz HZBPOJHABAZPJS-WKEGUHRASA-N 0 0 263.228 2.907 20 5 CFBDRN CC(C)C1(C)CCN(c2c([N+](=O)[O-])ncn2C)CC1 ZINC000547961606 281761911 /nfs/dbraw/zinc/76/19/11/281761911.db2.gz XRLGCAAFTKOERL-UHFFFAOYSA-N 0 0 266.345 2.591 20 5 CFBDRN CNc1ccc(C(=O)NCC2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000548712215 281797170 /nfs/dbraw/zinc/79/71/70/281797170.db2.gz IMGUCSXEMBKSJM-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN Cc1ccc(Cl)cc1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548735330 281798304 /nfs/dbraw/zinc/79/83/04/281798304.db2.gz RAQJLDRGIKAAAY-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1sc(C(=O)N2Cc3cccnc3C2)cc1[N+](=O)[O-] ZINC000550106597 281823949 /nfs/dbraw/zinc/82/39/49/281823949.db2.gz FSLHSDSUXDJYBS-UHFFFAOYSA-N 0 0 289.316 2.516 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000553729538 281881217 /nfs/dbraw/zinc/88/12/17/281881217.db2.gz FSVJOOAEEZAXFC-NEPJUHHUSA-N 0 0 288.347 2.992 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ccn(C(C)C)n2)n1 ZINC000554622391 281900394 /nfs/dbraw/zinc/90/03/94/281900394.db2.gz PTMGGGCSRKWXEG-UHFFFAOYSA-N 0 0 289.339 2.996 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(OC(C)C)CC1 ZINC000554676481 281901017 /nfs/dbraw/zinc/90/10/17/281901017.db2.gz INJDFMFVGCWZOL-UHFFFAOYSA-N 0 0 296.371 2.513 20 5 CFBDRN CCc1nn(C)c(Nc2ccc(C)cc2)c1[N+](=O)[O-] ZINC000554673665 281901179 /nfs/dbraw/zinc/90/11/79/281901179.db2.gz LYFBEINAMLFTHW-UHFFFAOYSA-N 0 0 260.297 2.943 20 5 CFBDRN Cc1cn(Cc2nc(-c3ccc(C)cc3)no2)nc1[N+](=O)[O-] ZINC000554697171 281902072 /nfs/dbraw/zinc/90/20/72/281902072.db2.gz RNXBLSXXBPIAFX-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000274742942 288369888 /nfs/dbraw/zinc/36/98/88/288369888.db2.gz CYYUFJMMGFDUSH-CABZTGNLSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000295665281 281919434 /nfs/dbraw/zinc/91/94/34/281919434.db2.gz ISZQBKFSXLWQCZ-LLVKDONJSA-N 0 0 264.329 2.564 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000556552531 281936611 /nfs/dbraw/zinc/93/66/11/281936611.db2.gz PYLMXOMDKKHUHS-CQSZACIVSA-N 0 0 295.314 2.628 20 5 CFBDRN CC(C)c1[nH]ccc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000556805510 281946916 /nfs/dbraw/zinc/94/69/16/281946916.db2.gz GDMDGRSJCDXQJG-UHFFFAOYSA-N 0 0 287.319 2.976 20 5 CFBDRN CC[C@@H]1CCN1C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000558163861 281977732 /nfs/dbraw/zinc/97/77/32/281977732.db2.gz XBYQFKMJVUVIIZ-ZYHUDNBSSA-N 0 0 262.309 2.709 20 5 CFBDRN Cc1nnsc1CN[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000560452298 282027312 /nfs/dbraw/zinc/02/73/12/282027312.db2.gz TXWKWKBVDYHMHJ-SECBINFHSA-N 0 0 292.364 2.914 20 5 CFBDRN O=C(NC(C1CCC1)C1CCC1)c1ccc([N+](=O)[O-])cn1 ZINC000561375483 282053373 /nfs/dbraw/zinc/05/33/73/282053373.db2.gz CIELYLWUCVPCSZ-UHFFFAOYSA-N 0 0 289.335 2.688 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)Cc1cscn1 ZINC000561881928 282062640 /nfs/dbraw/zinc/06/26/40/282062640.db2.gz FSXRNDHUYGHBRR-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN CCCN(CC)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000562299571 282072761 /nfs/dbraw/zinc/07/27/61/282072761.db2.gz LKPVLESRWVHCHF-NSHDSACASA-N 0 0 264.325 2.957 20 5 CFBDRN COc1cc(C(=O)NCCCC2CC2)cc([N+](=O)[O-])c1C ZINC000562312473 282073874 /nfs/dbraw/zinc/07/38/74/282073874.db2.gz FHGOYAFUOIMTMN-UHFFFAOYSA-N 0 0 292.335 2.832 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCOC2(CCC2)C1 ZINC000359592647 288757242 /nfs/dbraw/zinc/75/72/42/288757242.db2.gz OLZOJFNOPFIGPR-NSHDSACASA-N 0 0 294.355 2.633 20 5 CFBDRN CS[C@H](C)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152190836 289841190 /nfs/dbraw/zinc/84/11/90/289841190.db2.gz NMHPNBSHYADCII-SNVBAGLBSA-N 0 0 294.376 2.837 20 5 CFBDRN CC[C@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156626533 289942133 /nfs/dbraw/zinc/94/21/33/289942133.db2.gz GATGLUBQHCSPRK-JTQLQIEISA-N 0 0 262.309 2.526 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@H]3CC[C@@H]2O3)ccc1[N+](=O)[O-] ZINC000156668002 289942572 /nfs/dbraw/zinc/94/25/72/289942572.db2.gz JCBYEIQCZYXZFP-WZRBSPASSA-N 0 0 278.308 2.725 20 5 CFBDRN O=C(N[C@@H]1C=CCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000158240749 289972215 /nfs/dbraw/zinc/97/22/15/289972215.db2.gz XKQOETZMCHCLJH-SECBINFHSA-N 0 0 264.256 2.572 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@H]2C1 ZINC000158758282 289981564 /nfs/dbraw/zinc/98/15/64/289981564.db2.gz VPJXRAGDLOIUJF-STQMWFEESA-N 0 0 289.335 2.536 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ccccc2[N+](=O)[O-])C12CCC2 ZINC000308354329 290012198 /nfs/dbraw/zinc/01/21/98/290012198.db2.gz XTHCTWRCGLXYTQ-QWHCGFSZSA-N 0 0 262.309 2.964 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccccc2[N+](=O)[O-])C12CCC2 ZINC000308354330 290012274 /nfs/dbraw/zinc/01/22/74/290012274.db2.gz XTHCTWRCGLXYTQ-STQMWFEESA-N 0 0 262.309 2.964 20 5 CFBDRN C[C@@H](C(=O)N(C)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000562400605 290040431 /nfs/dbraw/zinc/04/04/31/290040431.db2.gz RTRPHXULVYPMCW-SNVBAGLBSA-N 0 0 262.309 2.709 20 5 CFBDRN C[C@H](c1nc([C@H]2CCOC2)no1)c1cccc([N+](=O)[O-])c1 ZINC000562413638 290041501 /nfs/dbraw/zinc/04/15/01/290041501.db2.gz WFUBCGPENWSIQY-ONGXEEELSA-N 0 0 289.291 2.634 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000562534616 290052390 /nfs/dbraw/zinc/05/23/90/290052390.db2.gz VENJVXINRYKLPW-APPZFPTMSA-N 0 0 291.229 2.598 20 5 CFBDRN Cc1nc(N[C@@H]2CCc3ccc(F)cc32)ncc1[N+](=O)[O-] ZINC000562691836 290067112 /nfs/dbraw/zinc/06/71/12/290067112.db2.gz ODRLVIMVLYTPFB-GFCCVEGCSA-N 0 0 288.282 2.932 20 5 CFBDRN CCSCCn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000563134857 290107574 /nfs/dbraw/zinc/10/75/74/290107574.db2.gz IHNLBJKZEMMQTQ-UHFFFAOYSA-N 0 0 278.333 2.663 20 5 CFBDRN CCn1ccc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000563166867 290111828 /nfs/dbraw/zinc/11/18/28/290111828.db2.gz RWSWIHLGERXUDQ-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=C(NC1(CF)CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000563196339 290116788 /nfs/dbraw/zinc/11/67/88/290116788.db2.gz UGERHPUFPHKUDU-UHFFFAOYSA-N 0 0 286.690 2.870 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000563245080 290122883 /nfs/dbraw/zinc/12/28/83/290122883.db2.gz JSNSUENBIXNBEE-GHMZBOCLSA-N 0 0 267.329 2.507 20 5 CFBDRN COC(=O)[C@@H](CNc1ccc([N+](=O)[O-])cc1C)CC1CC1 ZINC000563244568 290123056 /nfs/dbraw/zinc/12/30/56/290123056.db2.gz BYEKDYGVXYSGMW-GFCCVEGCSA-N 0 0 292.335 2.904 20 5 CFBDRN CCO[C@H](CC)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000563333330 290133430 /nfs/dbraw/zinc/13/34/30/290133430.db2.gz ZVFXATURWXPECV-CYBMUJFWSA-N 0 0 294.351 2.761 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1nc(C)oc1C ZINC000563424695 290149259 /nfs/dbraw/zinc/14/92/59/290149259.db2.gz FZYJTZLNRJJNIU-UHFFFAOYSA-N 0 0 291.307 2.863 20 5 CFBDRN CC(C)(C)c1coc(NC(=O)c2ccc([N+](=O)[O-])o2)n1 ZINC000563632991 290165862 /nfs/dbraw/zinc/16/58/62/290165862.db2.gz VYPNZNJTOIPBRQ-UHFFFAOYSA-N 0 0 279.252 2.726 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC[N@H+]1CC=C(C)CC1 ZINC000563898754 290182440 /nfs/dbraw/zinc/18/24/40/290182440.db2.gz QIDVZIHWIZLPKB-UHFFFAOYSA-N 0 0 291.351 2.667 20 5 CFBDRN CC(C)n1cc(NC(=O)Cc2cccc([N+](=O)[O-])c2)cn1 ZINC000564591027 290217614 /nfs/dbraw/zinc/21/76/14/290217614.db2.gz BPJHAYDPQKSDOQ-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]12C[C@@H]1CCC2 ZINC000564731216 290227856 /nfs/dbraw/zinc/22/78/56/290227856.db2.gz RHRQQUHKQUWBOV-XPTSAGLGSA-N 0 0 275.308 2.765 20 5 CFBDRN CCc1nocc1CNc1cc([N+](=O)[O-])ccc1OC ZINC000565221653 290284651 /nfs/dbraw/zinc/28/46/51/290284651.db2.gz LJJPNWBUBOMGPZ-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H](C)C[C@@H]1CCCO1 ZINC000565222896 290285086 /nfs/dbraw/zinc/28/50/86/290285086.db2.gz RHZGXOGBBXPJBZ-QWRGUYRKSA-N 0 0 280.324 2.973 20 5 CFBDRN COCC1(CCNc2cc(OC)c([N+](=O)[O-])cc2F)CC1 ZINC000565304818 290292993 /nfs/dbraw/zinc/29/29/93/290292993.db2.gz PZTSHXNSEMLGNU-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2NCc1ncccc1F ZINC000565371483 290297974 /nfs/dbraw/zinc/29/79/74/290297974.db2.gz DNMPNAJGRQRIBC-ZDUSSCGKSA-N 0 0 287.294 2.906 20 5 CFBDRN C[C@@H](c1nc(-c2ncccn2)no1)c1cccc([N+](=O)[O-])c1 ZINC000565506031 290306163 /nfs/dbraw/zinc/30/61/63/290306163.db2.gz YWJWCUUBZNAWHK-SECBINFHSA-N 0 0 297.274 2.587 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C1CC2(CCC2)C1 ZINC000565660715 290316519 /nfs/dbraw/zinc/31/65/19/290316519.db2.gz PANQDCGOSSBGEY-UHFFFAOYSA-N 0 0 274.320 2.791 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])[C@H](C)[N@@H+]1Cc1ccccc1[N+](=O)[O-] ZINC000565727365 290320848 /nfs/dbraw/zinc/32/08/48/290320848.db2.gz IJKAIQIGEHLVSD-MDZLAQPJSA-N 0 0 292.335 2.668 20 5 CFBDRN Cc1nccnc1NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000565748481 290321391 /nfs/dbraw/zinc/32/13/91/290321391.db2.gz QETAZWAWVBKTMF-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN C[C@H](c1nc([C@@H]2CCCO2)no1)c1cccc([N+](=O)[O-])c1 ZINC000565918226 290334472 /nfs/dbraw/zinc/33/44/72/290334472.db2.gz NWJPOINDFIAJKI-CABZTGNLSA-N 0 0 289.291 2.981 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@H]1CC13CC3)CCCC2 ZINC000565947982 290336400 /nfs/dbraw/zinc/33/64/00/290336400.db2.gz GOWBQIWNOUJJAW-LBPRGKRZSA-N 0 0 259.309 2.833 20 5 CFBDRN CC[C@@H]1CN(c2c(OC)cccc2[N+](=O)[O-])CCS1 ZINC000566479236 290392576 /nfs/dbraw/zinc/39/25/76/290392576.db2.gz NSIPVFYYASZXKI-SNVBAGLBSA-N 0 0 282.365 2.935 20 5 CFBDRN CC(C)[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@H]1C(C)C ZINC000567445222 290463829 /nfs/dbraw/zinc/46/38/29/290463829.db2.gz KXLRRJNTQZZOEE-OCCSQVGLSA-N 0 0 291.351 2.742 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Oc2ncnc3c2CCC3)c1 ZINC000567767286 290493442 /nfs/dbraw/zinc/49/34/42/290493442.db2.gz HRDJVDKCUZMSAU-UHFFFAOYSA-N 0 0 287.275 2.674 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCC[C@@H](F)C1 ZINC000567768673 290493988 /nfs/dbraw/zinc/49/39/88/290493988.db2.gz UVLAIPBTULUPBL-ZJUUUORDSA-N 0 0 253.277 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@@H]4OCC[C@@H]4C3)ccc2c1 ZINC000569115193 290587484 /nfs/dbraw/zinc/58/74/84/290587484.db2.gz UNRIPMQSTYZTAQ-DOMZBBRYSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1cnc(N2C[C@H]3CCCC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000301544545 292918375 /nfs/dbraw/zinc/91/83/75/292918375.db2.gz KTQOGVAFJXKQBZ-VXGBXAGGSA-N 0 0 261.325 2.925 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCSC[C@@H](C)C1 ZINC000302727085 293301103 /nfs/dbraw/zinc/30/11/03/293301103.db2.gz OHKODFVHIOUBKZ-NSHDSACASA-N 0 0 296.392 2.931 20 5 CFBDRN Cc1nn(C)c(N2C[C@H](C)c3ccccc32)c1[N+](=O)[O-] ZINC000301272213 293743653 /nfs/dbraw/zinc/74/36/53/293743653.db2.gz JVQPHZHYQOQVDS-VIFPVBQESA-N 0 0 272.308 2.892 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1C[C@H]1C1CCCCC1 ZINC000357679423 294461769 /nfs/dbraw/zinc/46/17/69/294461769.db2.gz JBSXHBGIPNNCIQ-WDEREUQCSA-N 0 0 264.329 2.709 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])[C@H](C)C1 ZINC000281769960 294547065 /nfs/dbraw/zinc/54/70/65/294547065.db2.gz JZAZCSUMFDHCHK-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN COc1cc(N[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000277453848 295003639 /nfs/dbraw/zinc/00/36/39/295003639.db2.gz JZQAGJREJOZMDA-SCZZXKLOSA-N 0 0 284.287 2.579 20 5 CFBDRN COc1cccc(C(=O)N2CCCC2(C)C)c1[N+](=O)[O-] ZINC000194910854 295283701 /nfs/dbraw/zinc/28/37/01/295283701.db2.gz HDTZLJXAPMZDBV-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN COc1cccc(C(=O)Nc2ncc(C)s2)c1[N+](=O)[O-] ZINC000193819495 295288691 /nfs/dbraw/zinc/28/86/91/295288691.db2.gz XIUINDBBDNOURU-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN COc1cccc(N2CCCCCC2)c1[N+](=O)[O-] ZINC000185079099 295304738 /nfs/dbraw/zinc/30/47/38/295304738.db2.gz RLLVJOUJWXAUEU-UHFFFAOYSA-N 0 0 250.298 2.984 20 5 CFBDRN COc1cccc(N2CCC[C@@](C)(F)C2)c1[N+](=O)[O-] ZINC000450568271 295304991 /nfs/dbraw/zinc/30/49/91/295304991.db2.gz ZOUPAWFCUGBIBV-CYBMUJFWSA-N 0 0 268.288 2.932 20 5 CFBDRN COc1cccc(N2CCC[C@](C)(F)C2)c1[N+](=O)[O-] ZINC000450568272 295305173 /nfs/dbraw/zinc/30/51/73/295305173.db2.gz ZOUPAWFCUGBIBV-ZDUSSCGKSA-N 0 0 268.288 2.932 20 5 CFBDRN Nc1ccc(N2CCC3(CCOCC3)CC2)c([N+](=O)[O-])c1 ZINC000286679968 295353325 /nfs/dbraw/zinc/35/33/25/295353325.db2.gz IOCIGFMTNYOBPL-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]3OCC[C@H]32)c1 ZINC000248657308 295357156 /nfs/dbraw/zinc/35/71/56/295357156.db2.gz SHUMTZHHIKZVPH-WDMOLILDSA-N 0 0 277.324 2.547 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCc2ccccc21 ZINC000354447877 295363139 /nfs/dbraw/zinc/36/31/39/295363139.db2.gz PHXYHYUAZDRYCN-CYBMUJFWSA-N 0 0 297.314 2.594 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000416076401 297752724 /nfs/dbraw/zinc/75/27/24/297752724.db2.gz HEPZLGRJJIINOB-MXWKQRLJSA-N 0 0 262.309 2.711 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C(C)(C)C1 ZINC000266927149 300187671 /nfs/dbraw/zinc/18/76/71/300187671.db2.gz RLWBGLXMBMWMCN-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])C[C@@H]1C ZINC000149521338 300197244 /nfs/dbraw/zinc/19/72/44/300197244.db2.gz AGERIHLBTKOYNE-IUCAKERBSA-N 0 0 282.727 2.976 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000292080780 300352958 /nfs/dbraw/zinc/35/29/58/300352958.db2.gz XWOYLUMLVJQVSZ-JQWIXIFHSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000288479469 300409195 /nfs/dbraw/zinc/40/91/95/300409195.db2.gz CFCKMGYMZJEAQX-UWVGGRQHSA-N 0 0 294.355 2.605 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(CCCO)CC(C)(C)C)n1 ZINC000359092613 301202602 /nfs/dbraw/zinc/20/26/02/301202602.db2.gz GFELELYHBJZMJC-UHFFFAOYSA-N 0 0 295.383 2.842 20 5 CFBDRN Cc1cc(N[C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000364885871 301365198 /nfs/dbraw/zinc/36/51/98/301365198.db2.gz NLFIYZQFQRPKOO-GDLCADMTSA-N 0 0 291.351 2.914 20 5 CFBDRN Cc1ccc(N2CCO[C@H]3CCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000269914256 301629017 /nfs/dbraw/zinc/62/90/17/301629017.db2.gz JBBCQHDFORETOT-JSGCOSHPSA-N 0 0 262.309 2.661 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(C)(C)OC(C)(C)C2)n1 ZINC000189879097 301850888 /nfs/dbraw/zinc/85/08/88/301850888.db2.gz BJAWJQRKZQLRFT-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOCC[C@H]2C)c1 ZINC000301990922 301853799 /nfs/dbraw/zinc/85/37/99/301853799.db2.gz MVWLODZRVGRTHB-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@@H]3OCC[C@@H]32)n1 ZINC000178265451 301856142 /nfs/dbraw/zinc/85/61/42/301856142.db2.gz OXPRCALEAHKZQB-MDZLAQPJSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@H]3CCCC[C@H]32)c1[N+](=O)[O-] ZINC000367766319 301954784 /nfs/dbraw/zinc/95/47/84/301954784.db2.gz YWYSBRYELFCURR-DGCLKSJQSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC(C)=C[C@@H](C)C1 ZINC000334919625 302037487 /nfs/dbraw/zinc/03/74/87/302037487.db2.gz LTYCYEZPDDZLKO-SNVBAGLBSA-N 0 0 274.320 2.941 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)OC(C)(C)C1 ZINC000194491174 302039408 /nfs/dbraw/zinc/03/94/08/302039408.db2.gz YAOVVFHNUJIZSF-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cnc(N2CC(C)(C)[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000290753634 302347976 /nfs/dbraw/zinc/34/79/76/302347976.db2.gz SVEXGTGXOYYZNH-LBPRGKRZSA-N 0 0 261.325 2.923 20 5 CFBDRN Cc1cnc(N2C[C@@H](C3CC3)[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000450800632 302353666 /nfs/dbraw/zinc/35/36/66/302353666.db2.gz VIINCXGZRKKUSP-GXTWGEPZSA-N 0 0 273.336 2.923 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCCC[C@@H]1c1ccco1 ZINC000371825458 303018974 /nfs/dbraw/zinc/01/89/74/303018974.db2.gz UWQLAUKFBCIHSP-LLVKDONJSA-N 0 0 290.323 2.649 20 5 CFBDRN Cn1nccc1[C@@H]1CCCCN1c1ncc([N+](=O)[O-])s1 ZINC000281988097 303073642 /nfs/dbraw/zinc/07/36/42/303073642.db2.gz SQSAOWUUGKPUKN-JTQLQIEISA-N 0 0 293.352 2.516 20 5 CFBDRN Nc1c(C(=O)N(C2CCC2)C2CCC2)cccc1[N+](=O)[O-] ZINC000293990203 303491611 /nfs/dbraw/zinc/49/16/11/303491611.db2.gz WIBDUFKPUYTDLH-UHFFFAOYSA-N 0 0 289.335 2.724 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@]12CCOC2 ZINC000420640925 304799768 /nfs/dbraw/zinc/79/97/68/304799768.db2.gz NCACGSLRMWTBIS-GFCCVEGCSA-N 0 0 268.700 2.617 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H]([C@H]2CCOC2)C1 ZINC000342779455 304806179 /nfs/dbraw/zinc/80/61/79/304806179.db2.gz MWTATVARAHPHPE-QWRGUYRKSA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H]2OCCC[C@H]2C1 ZINC000301712581 304808038 /nfs/dbraw/zinc/80/80/38/304808038.db2.gz ILVRAUJRMQOWRY-FZMZJTMJSA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000290783573 304810459 /nfs/dbraw/zinc/81/04/59/304810459.db2.gz WSDJROSPOMOZMJ-CHWSQXEVSA-N 0 0 289.335 2.528 20 5 CFBDRN COc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])o2)cc1F ZINC000159539359 322323990 /nfs/dbraw/zinc/32/39/90/322323990.db2.gz VIVMJRPWCZFXPM-UHFFFAOYSA-N 0 0 294.238 2.612 20 5 CFBDRN CC(C)(C)c1noc(CSc2ccc([N+](=O)[O-])cn2)n1 ZINC000159613080 322325316 /nfs/dbraw/zinc/32/53/16/322325316.db2.gz BNENBPSXSGWCCA-UHFFFAOYSA-N 0 0 294.336 2.963 20 5 CFBDRN CCC(C)(C)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159706372 322326830 /nfs/dbraw/zinc/32/68/30/322326830.db2.gz KFIIFESZCVXESX-SECBINFHSA-N 0 0 297.380 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCOCC(F)(F)F)nc1 ZINC000160120376 322333214 /nfs/dbraw/zinc/33/32/14/322333214.db2.gz NZFNLYGBLFAEKP-UHFFFAOYSA-N 0 0 282.243 2.661 20 5 CFBDRN O=C(c1ccsc1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161242603 322349222 /nfs/dbraw/zinc/34/92/22/322349222.db2.gz FAYSVIJYUJGAMK-UHFFFAOYSA-N 0 0 288.328 2.855 20 5 CFBDRN CS[C@H](C)CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161504874 322352805 /nfs/dbraw/zinc/35/28/05/322352805.db2.gz WGHKPKPZZXLPTQ-SNVBAGLBSA-N 0 0 294.376 2.621 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161502544 322352893 /nfs/dbraw/zinc/35/28/93/322352893.db2.gz POLLEKHOBKYZBI-CJNGLKHVSA-N 0 0 288.347 2.916 20 5 CFBDRN Cc1c(C(=O)N2[C@H](C)CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000162437024 322364701 /nfs/dbraw/zinc/36/47/01/322364701.db2.gz MZXPPVGIBUGMCB-NXEZZACHSA-N 0 0 262.309 2.916 20 5 CFBDRN O=C(/C=C/c1ccc(O)cc1)NCc1ccccc1[N+](=O)[O-] ZINC000164256181 322381697 /nfs/dbraw/zinc/38/16/97/322381697.db2.gz YMFLWUUOUKMVML-JXMROGBWSA-N 0 0 298.298 2.630 20 5 CFBDRN Cc1ncccc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000170988324 322397881 /nfs/dbraw/zinc/39/78/81/322397881.db2.gz FZEICPDDVGAQDE-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1ncsc1CN(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000171688184 322411038 /nfs/dbraw/zinc/41/10/38/322411038.db2.gz VCRNXCMTBHVGSB-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@@H]1CC=CCC1 ZINC000172492567 322426579 /nfs/dbraw/zinc/42/65/79/322426579.db2.gz VZRRRZTYQCCKOD-WTNCMQEWSA-N 0 0 272.304 2.833 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000569605942 322484743 /nfs/dbraw/zinc/48/47/43/322484743.db2.gz YAIGCGKLHXQPBI-QWHCGFSZSA-N 0 0 285.303 2.517 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@@H]1CCCC[C@@H]1C(=O)[O-] ZINC000570451140 322533421 /nfs/dbraw/zinc/53/34/21/322533421.db2.gz FVPUEKKIUDRLFU-UONOGXRCSA-N 0 0 292.335 2.636 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000570894055 322556904 /nfs/dbraw/zinc/55/69/04/322556904.db2.gz MVJXOFWNZUSSBH-DGCLKSJQSA-N 0 0 273.292 2.732 20 5 CFBDRN CCc1cc(CNc2c3ccccc3ncc2[N+](=O)[O-])on1 ZINC000571615113 322589461 /nfs/dbraw/zinc/58/94/61/322589461.db2.gz BHWYDJWXAMHHTO-UHFFFAOYSA-N 0 0 298.302 2.727 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(C[C@@H]2CCCO2)c1 ZINC000572014626 322604581 /nfs/dbraw/zinc/60/45/81/322604581.db2.gz CWCSSMXUCMYMBC-LBPRGKRZSA-N 0 0 273.292 2.637 20 5 CFBDRN Cc1nn(C)c(N2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)c1[N+](=O)[O-] ZINC000572692847 322628218 /nfs/dbraw/zinc/62/82/18/322628218.db2.gz UUXHFFSQNDOEGV-NWJSVONSSA-N 0 0 290.367 2.509 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H]1CO[C@H](C)C1 ZINC000572758181 322630883 /nfs/dbraw/zinc/63/08/83/322630883.db2.gz BEMHGVZJCJTPGB-GHMZBOCLSA-N 0 0 293.323 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCC1(CCO)CCC1)CCN2 ZINC000573420728 322655383 /nfs/dbraw/zinc/65/53/83/322655383.db2.gz BIRGSUPGSQRBAX-UHFFFAOYSA-N 0 0 291.351 2.527 20 5 CFBDRN CC[C@@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(F)F ZINC000573556191 322660433 /nfs/dbraw/zinc/66/04/33/322660433.db2.gz PZJOZDXEHMYIQW-GZMMTYOYSA-N 0 0 286.278 2.858 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000573587033 322661797 /nfs/dbraw/zinc/66/17/97/322661797.db2.gz COOQVHMBCROCQN-RNFRBKRXSA-N 0 0 264.310 2.587 20 5 CFBDRN O=[N+]([O-])c1cnc(S[C@@H]2CCOC2)c(Cl)c1 ZINC000573987626 322676599 /nfs/dbraw/zinc/67/65/99/322676599.db2.gz INKKXFCOCMJYAO-SSDOTTSWSA-N 0 0 260.702 2.524 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N(CC1CC1)C1CCC1 ZINC000573984541 322676650 /nfs/dbraw/zinc/67/66/50/322676650.db2.gz WADIIJXDNVNWAH-UHFFFAOYSA-N 0 0 274.320 3.000 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@@H]2CO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000574758728 322704895 /nfs/dbraw/zinc/70/48/95/322704895.db2.gz AXVQYZDJNOLWIS-PWSUYJOCSA-N 0 0 292.335 2.625 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000574949176 322712270 /nfs/dbraw/zinc/71/22/70/322712270.db2.gz MEIMVDZLTSFACE-NXEZZACHSA-N 0 0 275.308 2.978 20 5 CFBDRN C[C@@H]1C[C@H](C)N1c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000575150660 322720365 /nfs/dbraw/zinc/72/03/65/322720365.db2.gz FPWXYKQBEGOVFT-KNVOCYPGSA-N 0 0 275.230 2.996 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1C[C@H]2CCCC[C@@H]2C1 ZINC000178371586 323716631 /nfs/dbraw/zinc/71/66/31/323716631.db2.gz LPAWUZXXKMJTPW-GHMZBOCLSA-N 0 0 292.310 2.996 20 5 CFBDRN Cc1ccc2nc(-c3csc([N+](=O)[O-])c3)[nH]c2n1 ZINC000178979358 323729332 /nfs/dbraw/zinc/72/93/32/323729332.db2.gz YOFISAJNJIIJBU-UHFFFAOYSA-N 0 0 260.278 2.903 20 5 CFBDRN Cc1c(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)cnn1C ZINC000179866976 323762870 /nfs/dbraw/zinc/76/28/70/323762870.db2.gz RGPYDGSGKVRGFU-VIFPVBQESA-N 0 0 260.297 2.810 20 5 CFBDRN CO[C@@H](C)CSCCc1ccc([N+](=O)[O-])cc1 ZINC000181140175 323802254 /nfs/dbraw/zinc/80/22/54/323802254.db2.gz MJQCSMMSDKDWPD-JTQLQIEISA-N 0 0 255.339 2.905 20 5 CFBDRN CN1CCc2ccc(NC(=O)c3ccccc3[N+](=O)[O-])cc21 ZINC000181985538 323837131 /nfs/dbraw/zinc/83/71/31/323837131.db2.gz UYWWDKJDVBZABB-UHFFFAOYSA-N 0 0 297.314 2.839 20 5 CFBDRN CCN(CC)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182180993 323845968 /nfs/dbraw/zinc/84/59/68/323845968.db2.gz XAARZNHFRJJBRR-SECBINFHSA-N 0 0 268.288 2.706 20 5 CFBDRN COCCC[C@@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000182292122 323854563 /nfs/dbraw/zinc/85/45/63/323854563.db2.gz NUQFIWTWYJCTMH-LLVKDONJSA-N 0 0 280.324 2.620 20 5 CFBDRN CCc1cnccc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000185143527 323959497 /nfs/dbraw/zinc/95/94/97/323959497.db2.gz QXVVYGZNLAHTKH-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN C[C@H](C(=O)NC1(C)CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000185550525 323967387 /nfs/dbraw/zinc/96/73/87/323967387.db2.gz BWXGIPOJNNNMLU-VIFPVBQESA-N 0 0 280.299 2.896 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1cc(F)ccc1Cl ZINC000188136405 324009055 /nfs/dbraw/zinc/00/90/55/324009055.db2.gz QIADGVWUFGMJQC-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(-c2nc(C3CCCC3)no2)n1 ZINC000191679625 324052882 /nfs/dbraw/zinc/05/28/82/324052882.db2.gz CXMUAHPHSIFBIA-UHFFFAOYSA-N 0 0 277.284 2.519 20 5 CFBDRN Cc1ccc(OC[C@H](O)Cc2ccccc2)c([N+](=O)[O-])c1 ZINC000192482049 324060579 /nfs/dbraw/zinc/06/05/79/324060579.db2.gz XRCNOVSHCXLMMO-CQSZACIVSA-N 0 0 287.315 2.886 20 5 CFBDRN Cc1ccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])nc1 ZINC000192920868 324067250 /nfs/dbraw/zinc/06/72/50/324067250.db2.gz IVRWRDQMAPWYKT-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1cn2cccnc2n1 ZINC000193691243 324076031 /nfs/dbraw/zinc/07/60/31/324076031.db2.gz PIXHNVQFCOGXRY-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN Cc1ccc(NC(=O)NC2CCSCC2)cc1[N+](=O)[O-] ZINC000195443934 324106753 /nfs/dbraw/zinc/10/67/53/324106753.db2.gz DGNKSMGDOGBGHG-UHFFFAOYSA-N 0 0 295.364 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc(-c3cscn3)no2)c1 ZINC000350543531 324227597 /nfs/dbraw/zinc/22/75/97/324227597.db2.gz KPWVCQYJRJJGEJ-UHFFFAOYSA-N 0 0 292.251 2.907 20 5 CFBDRN CCc1nn(C)cc1-c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000350549647 324229479 /nfs/dbraw/zinc/22/94/79/324229479.db2.gz IBFNRZYADZSCAV-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN C[C@H]1COCC[C@H]1c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000350594497 324240270 /nfs/dbraw/zinc/24/02/70/324240270.db2.gz KPSVHZMVNDEDKS-JOYOIKCWSA-N 0 0 289.291 2.785 20 5 CFBDRN C[C@H]1COCC[C@@H]1c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000350609088 324245385 /nfs/dbraw/zinc/24/53/85/324245385.db2.gz JNEKRZCGSFMWHJ-YUMQZZPRSA-N 0 0 295.320 2.846 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc([C@@H]2CCCOC2)no1 ZINC000350850426 324268362 /nfs/dbraw/zinc/26/83/62/324268362.db2.gz BPKKQESZHWWYSQ-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3CCCOC3)no2)cc1 ZINC000350860845 324271610 /nfs/dbraw/zinc/27/16/10/324271610.db2.gz JYDZROPSYSENNA-JTQLQIEISA-N 0 0 275.264 2.539 20 5 CFBDRN CCc1ccc(-c2nc(-c3cncn3C)no2)cc1[N+](=O)[O-] ZINC000350882261 324276860 /nfs/dbraw/zinc/27/68/60/324276860.db2.gz OJMMHVWZNCJAHF-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCSC3)n2)s1 ZINC000350893715 324279214 /nfs/dbraw/zinc/27/92/14/324279214.db2.gz WXSOLPXCRJCONY-ZCFIWIBFSA-N 0 0 283.334 2.927 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccc4cc[nH]c4n3)no2)[nH]1 ZINC000350904739 324279961 /nfs/dbraw/zinc/27/99/61/324279961.db2.gz BGJZSUAKGAYLPM-UHFFFAOYSA-N 0 0 296.246 2.516 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(C3(C)CCCC3)n2)n1C ZINC000350912674 324281723 /nfs/dbraw/zinc/28/17/23/324281723.db2.gz ACIVDTZCUIEPGG-UHFFFAOYSA-N 0 0 291.311 2.518 20 5 CFBDRN CCC1(c2nc(-c3c([N+](=O)[O-])nc(C)n3C)no2)CCC1 ZINC000350919650 324283376 /nfs/dbraw/zinc/28/33/76/324283376.db2.gz CVKLFWGZLQWHFM-UHFFFAOYSA-N 0 0 291.311 2.518 20 5 CFBDRN CC[C@H]1CCC[C@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000351097443 324311181 /nfs/dbraw/zinc/31/11/81/324311181.db2.gz AGVKQVRMLVHUQX-DTWKUNHWSA-N 0 0 291.311 2.672 20 5 CFBDRN CC(C)n1nccc1-c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351140046 324324210 /nfs/dbraw/zinc/32/42/10/324324210.db2.gz BAEZLGOYNHJAKU-UHFFFAOYSA-N 0 0 289.251 2.682 20 5 CFBDRN Cc1cc(-c2noc([C@@H]3CCCO3)n2)cc([N+](=O)[O-])c1 ZINC000351145250 324326796 /nfs/dbraw/zinc/32/67/96/324326796.db2.gz JHTIDRDQNRGXPS-NSHDSACASA-N 0 0 275.264 2.805 20 5 CFBDRN Cc1c(-c2noc([C@@H]3CO[C@@H](C)C3)n2)cccc1[N+](=O)[O-] ZINC000351146858 324327404 /nfs/dbraw/zinc/32/74/04/324327404.db2.gz NNNHRTRQVWHIST-WPRPVWTQSA-N 0 0 289.291 2.846 20 5 CFBDRN CC[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCO1 ZINC000351147779 324328370 /nfs/dbraw/zinc/32/83/70/324328370.db2.gz ORYWABDLYQTJGN-DTWKUNHWSA-N 0 0 293.279 2.910 20 5 CFBDRN CCC1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCOCC1 ZINC000351148448 324328538 /nfs/dbraw/zinc/32/85/38/324328538.db2.gz QEBWBALDFCYDCT-UHFFFAOYSA-N 0 0 293.279 2.696 20 5 CFBDRN COC1(c2nc(-c3cccc([N+](=O)[O-])c3C)no2)CCC1 ZINC000351149330 324329139 /nfs/dbraw/zinc/32/91/39/324329139.db2.gz RLWMJSSTZZTWQQ-UHFFFAOYSA-N 0 0 289.291 2.979 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCC1=O ZINC000351151251 324329902 /nfs/dbraw/zinc/32/99/02/324329902.db2.gz VFFNQNOOYWXTOX-HTQZYQBOSA-N 0 0 291.263 2.711 20 5 CFBDRN Cc1c(-c2noc([C@@]3(C)CCOC3)n2)cccc1[N+](=O)[O-] ZINC000351152830 324330681 /nfs/dbraw/zinc/33/06/81/324330681.db2.gz XBDZDEWUCNKUIE-AWEZNQCLSA-N 0 0 289.291 2.631 20 5 CFBDRN CC[C@](C)(OC)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351153483 324330831 /nfs/dbraw/zinc/33/08/31/324330831.db2.gz YNQXVMCXHXGQSX-NSHDSACASA-N 0 0 267.241 2.509 20 5 CFBDRN Cc1cc(-c2noc(C3CCOCC3)n2)cc([N+](=O)[O-])c1 ZINC000351154490 324331335 /nfs/dbraw/zinc/33/13/35/324331335.db2.gz ZWBIXNUHSRFHFO-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN CC1(c2noc(-c3ccc([N+](=O)[O-])s3)n2)CC1 ZINC000351161884 324334437 /nfs/dbraw/zinc/33/44/37/324334437.db2.gz KTNRCSUUTOCMAF-UHFFFAOYSA-N 0 0 251.267 2.758 20 5 CFBDRN C[C@@H](CC(F)F)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000351192409 324343538 /nfs/dbraw/zinc/34/35/38/324343538.db2.gz QLGKWJRSZOEYAH-LURJTMIESA-N 0 0 298.249 2.986 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C[C@H]1F ZINC000351288371 324371533 /nfs/dbraw/zinc/37/15/33/324371533.db2.gz HWQQIPBDIVHYNX-SKDRFNHKSA-N 0 0 263.228 2.644 20 5 CFBDRN Cc1cc(-c2noc([C@@H]3CCC(=O)C3)n2)cc([N+](=O)[O-])c1 ZINC000351372815 324392855 /nfs/dbraw/zinc/39/28/55/324392855.db2.gz GPNMULILRZVVCR-SECBINFHSA-N 0 0 287.275 2.790 20 5 CFBDRN CO[C@H]1C[C@H](Nc2c(F)cccc2[N+](=O)[O-])C1(C)C ZINC000382318362 324427227 /nfs/dbraw/zinc/42/72/27/324427227.db2.gz UIQGAROBVLBYAB-QWRGUYRKSA-N 0 0 268.288 2.959 20 5 CFBDRN C[C@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1cccc(O)c1 ZINC000383477075 324439811 /nfs/dbraw/zinc/43/98/11/324439811.db2.gz MWTMUSQHGQQLKJ-VIFPVBQESA-N 0 0 298.302 2.772 20 5 CFBDRN CCOc1cc(NC[C@@H](C)CCCO)ccc1[N+](=O)[O-] ZINC000384648144 324449365 /nfs/dbraw/zinc/44/93/65/324449365.db2.gz YHEKYDSVUOVCCB-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN COC(=O)C[C@@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000385074371 324456840 /nfs/dbraw/zinc/45/68/40/324456840.db2.gz IHJOZDBQLZAYMM-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN Cc1cc(N[C@H](CO)C2CCCC2)ccc1[N+](=O)[O-] ZINC000386040129 324472097 /nfs/dbraw/zinc/47/20/97/324472097.db2.gz SOLSJJISXAOFPF-CYBMUJFWSA-N 0 0 264.325 2.866 20 5 CFBDRN CC[C@H](Nc1ccnc2c1cccc2[N+](=O)[O-])[C@@H](C)O ZINC000386796159 324482070 /nfs/dbraw/zinc/48/20/70/324482070.db2.gz AWQDFAHFEUVGGY-KOLCDFICSA-N 0 0 275.308 2.714 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CC23CCCC3)cc1[N+](=O)[O-] ZINC000386967783 324485261 /nfs/dbraw/zinc/48/52/61/324485261.db2.gz AWTWKCZLTHNSFZ-CYBMUJFWSA-N 0 0 289.335 2.699 20 5 CFBDRN CO[C@H]([C@H](C)Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000388221837 324500275 /nfs/dbraw/zinc/50/02/75/324500275.db2.gz IPRDXKQRSQQZDK-ISVAXAHUSA-N 0 0 268.288 2.959 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1ncc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000388304880 324503309 /nfs/dbraw/zinc/50/33/09/324503309.db2.gz PDORJUDKJKTQSU-CPCISQLKSA-N 0 0 285.731 2.869 20 5 CFBDRN Cc1nc(N[C@@H](CO)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000395491870 324541719 /nfs/dbraw/zinc/54/17/19/324541719.db2.gz OBBSZVOHCHNBHC-LBPRGKRZSA-N 0 0 279.340 2.651 20 5 CFBDRN COc1cc(N[C@@H]2CSC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000397857438 324548532 /nfs/dbraw/zinc/54/85/32/324548532.db2.gz XBNHGSIYQWXBLQ-OIBJUYFYSA-N 0 0 286.328 2.906 20 5 CFBDRN CCO[C@H](CC)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000576250607 324596376 /nfs/dbraw/zinc/59/63/76/324596376.db2.gz KQOYNKXAKKRPHX-IINYFYTJSA-N 0 0 292.335 2.688 20 5 CFBDRN Cc1cc(N2CC[C@@H]3OCC[C@@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000576867848 324668492 /nfs/dbraw/zinc/66/84/92/324668492.db2.gz DDQREEVPTZCTGL-YGRLFVJLSA-N 0 0 280.299 2.658 20 5 CFBDRN CC(C)Oc1nc(NC2CC(C)(F)C2)ccc1[N+](=O)[O-] ZINC000578668812 324877492 /nfs/dbraw/zinc/87/74/92/324877492.db2.gz QKWPHLXAELYOAH-UHFFFAOYSA-N 0 0 283.303 2.501 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000579210438 324930762 /nfs/dbraw/zinc/93/07/62/324930762.db2.gz DPHBDGKQXFESDH-BDAKNGLRSA-N 0 0 291.229 2.598 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000579210440 324931069 /nfs/dbraw/zinc/93/10/69/324931069.db2.gz DPHBDGKQXFESDH-IUCAKERBSA-N 0 0 291.229 2.598 20 5 CFBDRN C[C@H]1CCCC[C@H]1Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000579496234 324956696 /nfs/dbraw/zinc/95/66/96/324956696.db2.gz AKEOKGIKZYWIRM-QWRGUYRKSA-N 0 0 250.298 2.583 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000579549815 324958493 /nfs/dbraw/zinc/95/84/93/324958493.db2.gz MTUSYFHCDZNGEL-OPQQBVKSSA-N 0 0 262.309 2.613 20 5 CFBDRN CCCN(C(=O)c1cccc(OC)c1[N+](=O)[O-])C(C)C ZINC000579854708 324986460 /nfs/dbraw/zinc/98/64/60/324986460.db2.gz JLLQUKNCMZXCEB-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2CC[C@H](C)O2)c1 ZINC000580193802 325014902 /nfs/dbraw/zinc/01/49/02/325014902.db2.gz VMAULJXYOQTJEH-ONGXEEELSA-N 0 0 267.281 2.550 20 5 CFBDRN C[C@@H](CC(N)=O)Nc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000580634563 325052398 /nfs/dbraw/zinc/05/23/98/325052398.db2.gz IMTCZXFCROXCNZ-YFKPBYRVSA-N 0 0 292.122 2.577 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(CC[C@@H]2CCOC2)c1 ZINC000581022304 325084152 /nfs/dbraw/zinc/08/41/52/325084152.db2.gz RILJITOCHPAUPY-GFCCVEGCSA-N 0 0 287.319 2.885 20 5 CFBDRN Cc1cc(N[C@@H]2CC[C@H]2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000581029449 325084727 /nfs/dbraw/zinc/08/47/27/325084727.db2.gz YOYBCSLESXHAKQ-QMTHXVAHSA-N 0 0 298.346 2.993 20 5 CFBDRN CCc1cccc(N(C)C(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000581413878 325119322 /nfs/dbraw/zinc/11/93/22/325119322.db2.gz WHVCGAAHXAKKNA-UHFFFAOYSA-N 0 0 287.319 2.772 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=N[C@H]3CCC[C@@H](F)C3)[nH]c2c1 ZINC000581485827 325125331 /nfs/dbraw/zinc/12/53/31/325125331.db2.gz NIIPDLFIYTWTLC-BDAKNGLRSA-N 0 0 278.287 2.586 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCC[C@H](F)C1)CCCC2 ZINC000581485485 325125472 /nfs/dbraw/zinc/12/54/72/325125472.db2.gz XLTXFLRYJKHBDG-NSHDSACASA-N 0 0 279.315 2.807 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=N[C@H]3CCC[C@H](F)C3)[nH]c2c1 ZINC000581485829 325125555 /nfs/dbraw/zinc/12/55/55/325125555.db2.gz NIIPDLFIYTWTLC-IUCAKERBSA-N 0 0 278.287 2.586 20 5 CFBDRN CCC1(C)CN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000581641302 325138967 /nfs/dbraw/zinc/13/89/67/325138967.db2.gz KPXYFXBNFXTSMZ-NSHDSACASA-N 0 0 276.336 2.957 20 5 CFBDRN COc1cc(COc2c(C)c(C)ncc2[N+](=O)[O-])ccn1 ZINC000582014652 325169892 /nfs/dbraw/zinc/16/98/92/325169892.db2.gz ZSNJUAWXIMPJHF-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN C[C@H]1C[C@H]1Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000582033151 325170746 /nfs/dbraw/zinc/17/07/46/325170746.db2.gz RKKSKLWRQOHECN-WPRPVWTQSA-N 0 0 259.265 2.843 20 5 CFBDRN CCOC(C)(C)CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000582040009 325171039 /nfs/dbraw/zinc/17/10/39/325171039.db2.gz KILUTICGNJCXPL-LLVKDONJSA-N 0 0 294.351 2.630 20 5 CFBDRN CCO[C@H](CC)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000582050113 325171916 /nfs/dbraw/zinc/17/19/16/325171916.db2.gz IIWSTHNGSGSSLS-GFCCVEGCSA-N 0 0 280.324 2.965 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])cn1C)C1CCCCC1 ZINC000582094481 325175767 /nfs/dbraw/zinc/17/57/67/325175767.db2.gz PDDDIUXXGMKENH-SNVBAGLBSA-N 0 0 279.340 2.632 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ccn1CCCCF ZINC000582241383 325188263 /nfs/dbraw/zinc/18/82/63/325188263.db2.gz PEAWGYNMWAHYKS-UHFFFAOYSA-N 0 0 264.256 2.659 20 5 CFBDRN CC(C)C[C@H](C(=O)[O-])[N@H+](C)Cc1cccc([N+](=O)[O-])c1 ZINC000582246788 325189293 /nfs/dbraw/zinc/18/92/93/325189293.db2.gz QMBUBBMROWYDAS-CYBMUJFWSA-N 0 0 280.324 2.526 20 5 CFBDRN Cc1noc([C@@H](C)N(C)c2ncc(Cl)cc2[N+](=O)[O-])n1 ZINC000583093965 325260132 /nfs/dbraw/zinc/26/01/32/325260132.db2.gz GVRYFSGZXMQTRW-ZCFIWIBFSA-N 0 0 297.702 2.532 20 5 CFBDRN CCOC(=O)C(C)(C)CSc1ncccc1[N+](=O)[O-] ZINC000583266975 325273861 /nfs/dbraw/zinc/27/38/61/325273861.db2.gz COGRXNWTBXPAHM-UHFFFAOYSA-N 0 0 284.337 2.671 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1cnn(C(C)C)c1 ZINC000584123635 325332605 /nfs/dbraw/zinc/33/26/05/325332605.db2.gz CLXHJDWUHYNYNI-UHFFFAOYSA-N 0 0 291.307 2.960 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCSC(C)(C)C1 ZINC000584183072 325336892 /nfs/dbraw/zinc/33/68/92/325336892.db2.gz UNLYAEKLAGJRNV-UHFFFAOYSA-N 0 0 282.365 2.935 20 5 CFBDRN CCO[C@@H]1C[C@@H]1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000584211172 325337803 /nfs/dbraw/zinc/33/78/03/325337803.db2.gz SOIKAESDCZVDDP-GXTWGEPZSA-N 0 0 292.335 2.625 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1C[C@H]1C ZINC000584233595 325339751 /nfs/dbraw/zinc/33/97/51/325339751.db2.gz BBBDGEBOXDFHHO-BDAKNGLRSA-N 0 0 263.297 2.621 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000436358980 330675996 /nfs/dbraw/zinc/67/59/96/330675996.db2.gz JGXRLLGHQJLPIM-QLJPJBMISA-N 0 0 292.338 2.820 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)C12CCC2 ZINC000308338418 333027754 /nfs/dbraw/zinc/02/77/54/333027754.db2.gz FRZIJNLZGQHLGI-OLZOCXBDSA-N 0 0 262.309 2.964 20 5 CFBDRN CCCNC(=O)[C@@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337918649 519889302 /nfs/dbraw/zinc/88/93/02/519889302.db2.gz VMSPWDAJWHZWIN-SECBINFHSA-N 0 0 268.338 2.602 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000070352861 520526625 /nfs/dbraw/zinc/52/66/25/520526625.db2.gz GZHRIQOXDDAOPG-NSHDSACASA-N 0 0 250.298 2.964 20 5 CFBDRN CCSCCCNc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000336920497 521705971 /nfs/dbraw/zinc/70/59/71/521705971.db2.gz GJUYMMHWMFSDHO-UHFFFAOYSA-N 0 0 297.380 2.510 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000338666526 522018704 /nfs/dbraw/zinc/01/87/04/522018704.db2.gz CAGSNGCWIWMBAQ-IINYFYTJSA-N 0 0 282.340 2.812 20 5 CFBDRN COc1cccc([C@@H]2C[C@H]2CNc2ccc([N+](=O)[O-])cn2)c1 ZINC000413572075 533747414 /nfs/dbraw/zinc/74/74/14/533747414.db2.gz JWVBLBQGBJNCAR-WFASDCNBSA-N 0 0 299.330 2.636 20 5 CFBDRN C[C@@H](O)[C@@H]1CCCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116278983 533796216 /nfs/dbraw/zinc/79/62/16/533796216.db2.gz JWBLTJUEOCFDOY-MFKMUULPSA-N 0 0 298.770 2.984 20 5 CFBDRN C[C@@H](O)[C@H]1CCCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116278991 533832994 /nfs/dbraw/zinc/83/29/94/533832994.db2.gz JWBLTJUEOCFDOY-ZWNOBZJWSA-N 0 0 298.770 2.984 20 5 CFBDRN Cc1c(CC(=O)NCc2cccs2)cccc1[N+](=O)[O-] ZINC000159383024 533832989 /nfs/dbraw/zinc/83/29/89/533832989.db2.gz QTQBIJGZDWBEDP-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN Cc1c(Cc2noc([C@]3(C)C[C@H]3F)n2)cccc1[N+](=O)[O-] ZINC000424351341 533866170 /nfs/dbraw/zinc/86/61/70/533866170.db2.gz WJOYTUUVPQGEFH-BXUZGUMPSA-N 0 0 291.282 2.877 20 5 CFBDRN COC(C)(C)CCOc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000230402555 522477174 /nfs/dbraw/zinc/47/71/74/522477174.db2.gz GRARDZVZPIJVPE-UHFFFAOYSA-N 0 0 281.308 2.991 20 5 CFBDRN COc1cccc2c1C[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])C2 ZINC000413424406 533884798 /nfs/dbraw/zinc/88/47/98/533884798.db2.gz GGEAZWPAQKUDIJ-LBPRGKRZSA-N 0 0 299.330 2.886 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000178146421 533911636 /nfs/dbraw/zinc/91/16/36/533911636.db2.gz BMYBYHSTXIGFBB-JIVBQCDMSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)c1nccs1 ZINC000189687338 534032084 /nfs/dbraw/zinc/03/20/84/534032084.db2.gz OHYWCGYXSSJJRN-YFKPBYRVSA-N 0 0 256.312 2.681 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)COC(C)C)cc([N+](=O)[O-])c1 ZINC000414002666 534049501 /nfs/dbraw/zinc/04/95/01/534049501.db2.gz VOVONUSVNQFOLN-UHFFFAOYSA-N 0 0 294.351 2.837 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000176688120 534064143 /nfs/dbraw/zinc/06/41/43/534064143.db2.gz UVBJQJLULBUQIX-OWYVNGRQSA-N 0 0 286.331 2.919 20 5 CFBDRN COC(=O)c1cccc(OCC[C@@H](C)F)c1[N+](=O)[O-] ZINC000413014005 534280425 /nfs/dbraw/zinc/28/04/25/534280425.db2.gz HXDBLSKWGDJHEX-MRVPVSSYSA-N 0 0 271.244 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)Cc1cncs1 ZINC000188009086 534338602 /nfs/dbraw/zinc/33/86/02/534338602.db2.gz YFKAPOSKRHCJFU-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176547920 534342954 /nfs/dbraw/zinc/34/29/54/534342954.db2.gz YLZWSIYYJNMSPH-NEPJUHHUSA-N 0 0 260.293 2.888 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCSC[C@H](C)C1 ZINC000340902004 518410523 /nfs/dbraw/zinc/41/05/23/518410523.db2.gz LQHFKYDCZPOFDM-SNVBAGLBSA-N 0 0 294.376 2.987 20 5 CFBDRN CC(=O)c1ccc(Sc2ncc[nH]2)c([N+](=O)[O-])c1 ZINC000078469713 518410890 /nfs/dbraw/zinc/41/08/90/518410890.db2.gz ODLIJCJQHPCPSB-UHFFFAOYSA-N 0 0 263.278 2.672 20 5 CFBDRN C/C=C\c1ccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])cc1 ZINC000360324052 518568248 /nfs/dbraw/zinc/56/82/48/518568248.db2.gz JJFNNEQDGIJKHO-IHWYPQMZSA-N 0 0 272.264 2.603 20 5 CFBDRN CC(C)(C)C(C)(C)CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000314543947 518596159 /nfs/dbraw/zinc/59/61/59/518596159.db2.gz NYFFCXDTSGMNGT-UHFFFAOYSA-N 0 0 293.367 2.979 20 5 CFBDRN CC(C)(C)CC[C@@H](CO)Nc1ccc([N+](=O)[O-])cn1 ZINC000360257044 518657272 /nfs/dbraw/zinc/65/72/72/518657272.db2.gz JHDIXUPUNKMWNL-JTQLQIEISA-N 0 0 267.329 2.589 20 5 CFBDRN CC(C)(C)CCn1cc(Br)c([N+](=O)[O-])n1 ZINC000049256292 518661414 /nfs/dbraw/zinc/66/14/14/518661414.db2.gz SJWSRKWVHAUWOP-UHFFFAOYSA-N 0 0 276.134 2.990 20 5 CFBDRN Cc1nc(CSCCn2cc([N+](=O)[O-])cn2)sc1C ZINC000178786459 534403466 /nfs/dbraw/zinc/40/34/66/534403466.db2.gz IUMSXOIHAJOUOA-UHFFFAOYSA-N 0 0 298.393 2.798 20 5 CFBDRN CC(C)(CF)NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000354486552 519231071 /nfs/dbraw/zinc/23/10/71/519231071.db2.gz YIFJWTYPGHTDLG-UHFFFAOYSA-N 0 0 295.314 2.647 20 5 CFBDRN CC(C)(NC(=O)Cc1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000361337228 519306355 /nfs/dbraw/zinc/30/63/55/519306355.db2.gz QKOKNRJIFFTBFN-UHFFFAOYSA-N 0 0 288.303 2.782 20 5 CFBDRN CC(C)(NC(=O)NCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000080064676 519308426 /nfs/dbraw/zinc/30/84/26/519308426.db2.gz VDCUUGKKXVYDPX-UHFFFAOYSA-N 0 0 277.324 2.539 20 5 CFBDRN CC(C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000182512937 519603817 /nfs/dbraw/zinc/60/38/17/519603817.db2.gz MXURCHCQFKKGBJ-UHFFFAOYSA-N 0 0 288.250 2.677 20 5 CFBDRN CC(=O)N1Cc2ccc(Nc3ccc([N+](=O)[O-])cn3)cc2C1 ZINC000364190104 519637386 /nfs/dbraw/zinc/63/73/86/519637386.db2.gz NPLGSCWDDFDQPK-UHFFFAOYSA-N 0 0 298.302 2.596 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H]1C[C@H]1C ZINC000056287748 519656027 /nfs/dbraw/zinc/65/60/27/519656027.db2.gz QGVKDVVLMHUXKR-RISCZKNCSA-N 0 0 276.336 2.988 20 5 CFBDRN CC(C)[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000336462566 519802161 /nfs/dbraw/zinc/80/21/61/519802161.db2.gz RHUZSQKUCQXZPJ-SNVBAGLBSA-N 0 0 266.297 2.696 20 5 CFBDRN CCC1CN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000335075848 519860523 /nfs/dbraw/zinc/86/05/23/519860523.db2.gz JLCGVWDTKWJDNF-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN CC(C)n1c(N)nnc1SCc1cccc([N+](=O)[O-])c1 ZINC000057949959 519921964 /nfs/dbraw/zinc/92/19/64/519921964.db2.gz RQMCKZNEVMNAJO-UHFFFAOYSA-N 0 0 293.352 2.642 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)c1cccc(F)c1 ZINC000340373089 520093272 /nfs/dbraw/zinc/09/32/72/520093272.db2.gz TXBPQQRHJIXTMR-UHFFFAOYSA-N 0 0 291.282 2.739 20 5 CFBDRN CCN(C)C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] ZINC000181940260 520178975 /nfs/dbraw/zinc/17/89/75/520178975.db2.gz BPKVBXPFRFTXGC-UHFFFAOYSA-N 0 0 288.756 2.819 20 5 CFBDRN CC/C=C\CCN1C(=O)c2cccc([N+](=O)[O-])c2C1=O ZINC000362226234 520200802 /nfs/dbraw/zinc/20/08/02/520200802.db2.gz BBTNBTBXSAVJGC-ARJAWSKDSA-N 0 0 274.276 2.547 20 5 CFBDRN CCN(C1CCCC1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000056077732 520295105 /nfs/dbraw/zinc/29/51/05/520295105.db2.gz URIHDABGVOCSEK-UHFFFAOYSA-N 0 0 298.364 2.548 20 5 CFBDRN CCCCN(C)C(=O)c1cccc([N+](=O)[O-])c1NC ZINC000130774652 520395596 /nfs/dbraw/zinc/39/55/96/520395596.db2.gz CHXGFABDMOGYMS-UHFFFAOYSA-N 0 0 265.313 2.509 20 5 CFBDRN CCN(CC(F)F)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000182494988 520427205 /nfs/dbraw/zinc/42/72/05/520427205.db2.gz OAKVCXUIUCDBIQ-UHFFFAOYSA-N 0 0 292.669 2.975 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000311969932 520539156 /nfs/dbraw/zinc/53/91/56/520539156.db2.gz KTYVFCJUVJGKHL-MRVPVSSYSA-N 0 0 266.272 2.510 20 5 CFBDRN CCOc1cc(NC[C@]2(O)CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000327971017 520761987 /nfs/dbraw/zinc/76/19/87/520761987.db2.gz GDTHUZKQQFVOKW-XHDPSFHLSA-N 0 0 294.351 2.957 20 5 CFBDRN CCOc1cc(NCCC[C@H](C)O)ccc1[N+](=O)[O-] ZINC000228000625 520762113 /nfs/dbraw/zinc/76/21/13/520762113.db2.gz OFTKLECDQDICKL-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CCOc1cc(OCC(=O)c2ccc[nH]2)ccc1[N+](=O)[O-] ZINC000188619151 520769905 /nfs/dbraw/zinc/76/99/05/520769905.db2.gz DZSKXEATEKMHRB-UHFFFAOYSA-N 0 0 290.275 2.583 20 5 CFBDRN CCCCOCCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000076107030 520796762 /nfs/dbraw/zinc/79/67/62/520796762.db2.gz ITTBTORHSNTGRO-UHFFFAOYSA-N 0 0 290.323 2.670 20 5 CFBDRN CCOc1ccc(C(=O)NCC=C(C)C)cc1[N+](=O)[O-] ZINC000191783751 520905980 /nfs/dbraw/zinc/90/59/80/520905980.db2.gz FPTSDKMZXTUSOS-UHFFFAOYSA-N 0 0 278.308 2.690 20 5 CFBDRN CCC[C@H](C)NC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000124832062 521049633 /nfs/dbraw/zinc/04/96/33/521049633.db2.gz HSGKWFCJXPZLRB-WDEREUQCSA-N 0 0 280.324 2.667 20 5 CFBDRN CC1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000336385863 521053268 /nfs/dbraw/zinc/05/32/68/521053268.db2.gz IXRUEPCAXKEQNG-UHFFFAOYSA-N 0 0 269.688 2.732 20 5 CFBDRN CCC[C@H]1C[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000158432665 521250464 /nfs/dbraw/zinc/25/04/64/521250464.db2.gz YFQRHIXAMBNHII-GXFFZTMASA-N 0 0 280.299 2.581 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H]2F)c1 ZINC000340832773 521279646 /nfs/dbraw/zinc/27/96/46/521279646.db2.gz UMLXTZLAXVVOQT-VXGBXAGGSA-N 0 0 296.298 2.614 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H]3CCC[C@@H]32)c1 ZINC000338575317 521280044 /nfs/dbraw/zinc/28/00/44/521280044.db2.gz NTOGXUYNAGRRSQ-GWCFXTLKSA-N 0 0 290.319 2.618 20 5 CFBDRN CCC(C)(C)NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000065713595 521323113 /nfs/dbraw/zinc/32/31/13/521323113.db2.gz WYXYVZKENAURTA-UHFFFAOYSA-N 0 0 289.335 2.854 20 5 CFBDRN CCOc1cccc(N(C)CC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000341526289 521380857 /nfs/dbraw/zinc/38/08/57/521380857.db2.gz DKKZZSNPTDJNRI-GFCCVEGCSA-N 0 0 294.351 2.999 20 5 CFBDRN CCC(CC)([NH2+]Cc1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000340681683 521475705 /nfs/dbraw/zinc/47/57/05/521475705.db2.gz MSPCYLPCVAGLQN-UHFFFAOYSA-N 0 0 280.324 2.636 20 5 CFBDRN Cc1occc1CN1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000414464363 534570247 /nfs/dbraw/zinc/57/02/47/534570247.db2.gz UEKVUPASXAABQK-UHFFFAOYSA-N 0 0 288.303 2.759 20 5 CFBDRN CCC(CC)NC(=O)[C@@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418517 521588729 /nfs/dbraw/zinc/58/87/29/521588729.db2.gz AJNDNHYVOHYCNZ-SECBINFHSA-N 0 0 297.380 2.775 20 5 CFBDRN CCOC(C)(C)CNc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000159492193 521626827 /nfs/dbraw/zinc/62/68/27/521626827.db2.gz SHMAWCKYQQNWOH-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN CCCN(CC1CC1)c1c([N+](=O)[O-])nc(C)n1CC ZINC000361062461 521627666 /nfs/dbraw/zinc/62/76/66/521627666.db2.gz LYZADYLCXOFMEC-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN CO[C@]1(C)CCCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000340915927 521753464 /nfs/dbraw/zinc/75/34/64/521753464.db2.gz XWIHQHHUPIDQCZ-OAHLLOKOSA-N 0 0 292.335 2.803 20 5 CFBDRN COc1c(C(=O)N2CCC(C)=C(C)C2)cccc1[N+](=O)[O-] ZINC000361354591 521779424 /nfs/dbraw/zinc/77/94/24/521779424.db2.gz FGNWTHXNNFQPDU-UHFFFAOYSA-N 0 0 290.319 2.786 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000157272650 521782240 /nfs/dbraw/zinc/78/22/40/521782240.db2.gz ZJKBZYUUJRCBFT-NXEZZACHSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000182646231 521793817 /nfs/dbraw/zinc/79/38/17/521793817.db2.gz DNDKZQAZNGSOPX-NWDGAFQWSA-N 0 0 293.367 2.805 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000057301062 521817956 /nfs/dbraw/zinc/81/79/56/521817956.db2.gz MKDKZQWUHJQJLX-VHSXEESVSA-N 0 0 250.298 2.759 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000302994835 521933426 /nfs/dbraw/zinc/93/34/26/521933426.db2.gz JVFKCACDRYYNTC-UWVGGRQHSA-N 0 0 250.298 2.759 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000194273238 521933492 /nfs/dbraw/zinc/93/34/92/521933492.db2.gz JUUNWRWWKQATMR-UWVGGRQHSA-N 0 0 280.324 2.768 20 5 CFBDRN CCc1cnc(CCNc2ncc([N+](=O)[O-])s2)s1 ZINC000190331569 522010908 /nfs/dbraw/zinc/01/09/08/522010908.db2.gz VQLDIONAKZLEEB-UHFFFAOYSA-N 0 0 284.366 2.725 20 5 CFBDRN CCc1cnc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)s1 ZINC000081228672 522047322 /nfs/dbraw/zinc/04/73/22/522047322.db2.gz FGTBEKITFQLYTI-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@H]2C)cc([N+](=O)[O-])c1C ZINC000333973350 522144664 /nfs/dbraw/zinc/14/46/64/522144664.db2.gz DJZUGGWLDUCCPH-SKDRFNHKSA-N 0 0 292.335 2.830 20 5 CFBDRN COC(=O)c1ccc(OCCOC(C)(C)C)c([N+](=O)[O-])c1 ZINC000067653040 522144867 /nfs/dbraw/zinc/14/48/67/522144867.db2.gz SRANOEASMSXCAB-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CC[C@H](CCO)Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000234036634 522168699 /nfs/dbraw/zinc/16/86/99/522168699.db2.gz BRABZBDCCBYFFV-SSDOTTSWSA-N 0 0 293.245 2.582 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H]2CC[C@H](C)C2)n1 ZINC000230429728 522175518 /nfs/dbraw/zinc/17/55/18/522175518.db2.gz VZDLNKPIYLMSMK-VHSXEESVSA-N 0 0 293.323 2.625 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCCCSC)c1 ZINC000167332103 522177387 /nfs/dbraw/zinc/17/73/87/522177387.db2.gz SGMKXLMTEIJWGJ-UHFFFAOYSA-N 0 0 298.364 2.937 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC(C)C ZINC000184984982 522303030 /nfs/dbraw/zinc/30/30/30/522303030.db2.gz QOEGSJVAYUUKDF-GFCCVEGCSA-N 0 0 264.325 2.688 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000361792747 522325535 /nfs/dbraw/zinc/32/55/35/522325535.db2.gz QHMDTXFBLVPGKI-ZWNOBZJWSA-N 0 0 280.324 2.619 20 5 CFBDRN COc1cc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])ccn1 ZINC000062057719 522472885 /nfs/dbraw/zinc/47/28/85/522472885.db2.gz HZOPVLXNWJPKGW-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN CNc1c(C(=O)N2CCC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000161508178 522534502 /nfs/dbraw/zinc/53/45/02/522534502.db2.gz CLJISJWUPTXTNJ-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN CNc1c(C(=O)NCCc2ccccc2)cccc1[N+](=O)[O-] ZINC000317555548 522536331 /nfs/dbraw/zinc/53/63/31/522536331.db2.gz CMTIPKXIRBAVSR-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN CNc1c(C(=O)N2CC[C@@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000360945198 522536714 /nfs/dbraw/zinc/53/67/14/522536714.db2.gz QPVHVGLBRSTKMT-LLVKDONJSA-N 0 0 277.324 2.507 20 5 CFBDRN CNc1c(C(=O)NCC2CCCCC2)cccc1[N+](=O)[O-] ZINC000161434268 522538124 /nfs/dbraw/zinc/53/81/24/522538124.db2.gz GYEMLFCJTPFLFF-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CNc1c(C(=O)N[C@H](C)C2CCCC2)cccc1[N+](=O)[O-] ZINC000161492130 522539619 /nfs/dbraw/zinc/53/96/19/522539619.db2.gz FLRAWUHRVBFISU-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN CNc1c(C(=O)NCc2ccsc2)cccc1[N+](=O)[O-] ZINC000161482033 522539796 /nfs/dbraw/zinc/53/97/96/522539796.db2.gz RCQUAIBNZAUWJR-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN CNc1c(C(=O)NCc2cccc(C)c2)cccc1[N+](=O)[O-] ZINC000317584623 522540287 /nfs/dbraw/zinc/54/02/87/522540287.db2.gz GLHQXRQEXAIBAW-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CNc1c(C(=O)NCc2ccccc2)cccc1[N+](=O)[O-] ZINC000161406651 522540379 /nfs/dbraw/zinc/54/03/79/522540379.db2.gz FRLZZYMIOBSDMM-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)C1 ZINC000338194664 522628219 /nfs/dbraw/zinc/62/82/19/522628219.db2.gz WLXYCMQJZRWQJC-ZDUSSCGKSA-N 0 0 276.336 2.642 20 5 CFBDRN CCc1nn(C)c(N2CCc3cc(C)ccc32)c1[N+](=O)[O-] ZINC000361043401 522666804 /nfs/dbraw/zinc/66/68/04/522666804.db2.gz ORMQCMWMSMXUAE-UHFFFAOYSA-N 0 0 286.335 2.893 20 5 CFBDRN Cc1cnc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000177943446 534656061 /nfs/dbraw/zinc/65/60/61/534656061.db2.gz MTWLXVLRBXYDSM-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN COc1cc(NC[C@H]2C[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000227536947 522745956 /nfs/dbraw/zinc/74/59/56/522745956.db2.gz ZZJSPNLSPHBIID-HTQZYQBOSA-N 0 0 254.261 2.810 20 5 CFBDRN COc1cc(NCC[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000230104790 522745725 /nfs/dbraw/zinc/74/57/25/522745725.db2.gz RZJRJXLLIGNJPC-VIFPVBQESA-N 0 0 284.287 2.581 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230308578 522761973 /nfs/dbraw/zinc/76/19/73/522761973.db2.gz DQGNGABGHINDAI-RISCZKNCSA-N 0 0 278.308 2.583 20 5 CFBDRN CCc1nnsc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182427972 522818382 /nfs/dbraw/zinc/81/83/82/522818382.db2.gz IMRGVMRQKJNYQP-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CCc1noc(C)c1CSCc1c([N+](=O)[O-])ncn1C ZINC000341435228 522853516 /nfs/dbraw/zinc/85/35/16/522853516.db2.gz QNZRXXNQRNBLFL-UHFFFAOYSA-N 0 0 296.352 2.621 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@@H](O)C(C)C ZINC000353451962 522940024 /nfs/dbraw/zinc/94/00/24/522940024.db2.gz UFWWHRPZJNFYSH-CQSZACIVSA-N 0 0 282.340 2.560 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CC[C@H](C)C1 ZINC000334070250 522976504 /nfs/dbraw/zinc/97/65/04/522976504.db2.gz FCWSUNKYAYPIDN-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CS[C@H](C)C(C)=O ZINC000362340004 522977106 /nfs/dbraw/zinc/97/71/06/522977106.db2.gz PGAJXTWPEMJXBU-SECBINFHSA-N 0 0 269.322 2.814 20 5 CFBDRN CC[C@@H](O)CCCNc1c(F)cccc1[N+](=O)[O-] ZINC000342376783 522977848 /nfs/dbraw/zinc/97/78/48/522977848.db2.gz MTQPDFZSGDSNKG-SECBINFHSA-N 0 0 256.277 2.697 20 5 CFBDRN CC[C@H]1CCCN1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000340557487 523098623 /nfs/dbraw/zinc/09/86/23/523098623.db2.gz MYCIKFZYHVFBHP-LBPRGKRZSA-N 0 0 291.351 2.798 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000162560298 523144752 /nfs/dbraw/zinc/14/47/52/523144752.db2.gz KSMCUULRRHDIQE-NWDGAFQWSA-N 0 0 291.351 2.702 20 5 CFBDRN CC[C@@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000336633258 523144913 /nfs/dbraw/zinc/14/49/13/523144913.db2.gz HJEIHKFOHJLMIL-LLVKDONJSA-N 0 0 279.340 2.728 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCOC2(CCC2)C1 ZINC000361043431 523146388 /nfs/dbraw/zinc/14/63/88/523146388.db2.gz OYBJKOMGZDFGBX-NSHDSACASA-N 0 0 294.355 2.633 20 5 CFBDRN COCCCCc1noc(-c2cc([N+](=O)[O-])ccc2C)n1 ZINC000356337837 523189956 /nfs/dbraw/zinc/18/99/56/523189956.db2.gz MVCQXLSRPDFJCH-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000156375252 523311133 /nfs/dbraw/zinc/31/11/33/523311133.db2.gz JTBDBZCCHKSTOP-VXGBXAGGSA-N 0 0 292.335 2.669 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000361006885 523426940 /nfs/dbraw/zinc/42/69/40/523426940.db2.gz MVKHNUMXYYLRKH-ONGXEEELSA-N 0 0 266.345 2.744 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000182569463 523532921 /nfs/dbraw/zinc/53/29/21/523532921.db2.gz AFHHYMKDGBCVHW-GXFFZTMASA-N 0 0 278.308 2.739 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCC[C@H]1C ZINC000230691588 523668455 /nfs/dbraw/zinc/66/84/55/523668455.db2.gz ITNVELURLDQZHE-MWLCHTKSSA-N 0 0 266.345 2.968 20 5 CFBDRN CC[C@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049217419 523836549 /nfs/dbraw/zinc/83/65/49/523836549.db2.gz QLJKKFZSVXUVDU-VHSXEESVSA-N 0 0 250.298 2.818 20 5 CFBDRN CC[C@H](C)CC(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000191913332 523853170 /nfs/dbraw/zinc/85/31/70/523853170.db2.gz QQEVKFYIBCZJQF-VIFPVBQESA-N 0 0 294.307 2.756 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2cc[nH]c2c1 ZINC000194855962 534741848 /nfs/dbraw/zinc/74/18/48/534741848.db2.gz IVMKGQPPHJOZLR-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN CN(C(=O)[C@@H]1CCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000336417056 523894328 /nfs/dbraw/zinc/89/43/28/523894328.db2.gz LVXRBPHNBLGYJR-SECBINFHSA-N 0 0 284.262 2.993 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000159881519 534745841 /nfs/dbraw/zinc/74/58/41/534745841.db2.gz XCLISCVCGFWZCG-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1F)C1CC(C)(C)C1 ZINC000335137657 523938401 /nfs/dbraw/zinc/93/84/01/523938401.db2.gz MFVNDLGERUCGLK-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN CN(C(=O)c1occ2c1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000335861381 523965909 /nfs/dbraw/zinc/96/59/09/523965909.db2.gz SWTSTTRCXBDNFG-UHFFFAOYSA-N 0 0 286.287 2.953 20 5 CFBDRN CC[C@H](C)NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049266871 523979986 /nfs/dbraw/zinc/97/99/86/523979986.db2.gz HDOPEKMXBPBZNM-UWVGGRQHSA-N 0 0 265.313 2.754 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000231683176 524003391 /nfs/dbraw/zinc/00/33/91/524003391.db2.gz WDTUFIJGFBBYEI-UWVGGRQHSA-N 0 0 254.261 2.713 20 5 CFBDRN CO[C@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC[C@@H]1C ZINC000340895225 524008195 /nfs/dbraw/zinc/00/81/95/524008195.db2.gz HATZKEBHSRPLDG-BONVTDFDSA-N 0 0 292.335 2.659 20 5 CFBDRN CCc1ccc([C@H](CO)Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000336987169 524049438 /nfs/dbraw/zinc/04/94/38/524049438.db2.gz VTYZNWIPHVQMEO-NSHDSACASA-N 0 0 293.348 2.759 20 5 CFBDRN COc1ccc(CNC(=O)c2ccccc2)cc1[N+](=O)[O-] ZINC000340395510 524067296 /nfs/dbraw/zinc/06/72/96/524067296.db2.gz QHZBVOHTVRUVFU-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN COc1ccc(C[NH2+]C[C@](C)(OC)C2CC2)cc1[N+](=O)[O-] ZINC000314110900 524072455 /nfs/dbraw/zinc/07/24/55/524072455.db2.gz IEBIQBORZFYVJT-HNNXBMFYSA-N 0 0 294.351 2.508 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)CC(F)(F)F)cc([N+](=O)[O-])c1 ZINC000362002573 524115179 /nfs/dbraw/zinc/11/51/79/524115179.db2.gz IDBJIIIFERTBEU-QMMMGPOBSA-N 0 0 290.241 2.974 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050709505 524128427 /nfs/dbraw/zinc/12/84/27/524128427.db2.gz KDKIONFAFRAYFC-YGRLFVJLSA-N 0 0 291.351 2.828 20 5 CFBDRN C[C@@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])c1ccccn1 ZINC000339282438 524141929 /nfs/dbraw/zinc/14/19/29/524141929.db2.gz SWZZBLOZKKKTDT-LLVKDONJSA-N 0 0 297.314 2.683 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336156345 524206661 /nfs/dbraw/zinc/20/66/61/524206661.db2.gz USFVJGCWIVTCBL-SECBINFHSA-N 0 0 266.272 2.606 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000335417377 524211886 /nfs/dbraw/zinc/21/18/86/524211886.db2.gz IZWWZKNXDLOKQV-QWRGUYRKSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1cc(C)c(CNc2c([N+](=O)[O-])ncn2C)c(C)c1 ZINC000049490796 524273550 /nfs/dbraw/zinc/27/35/50/524273550.db2.gz YFWWVNXXZBMVTI-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000335965883 524300192 /nfs/dbraw/zinc/30/01/92/524300192.db2.gz GWYVPHLUCBJCGM-GHMZBOCLSA-N 0 0 279.340 2.584 20 5 CFBDRN C[C@@H]1CCC[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000336400902 524353112 /nfs/dbraw/zinc/35/31/12/524353112.db2.gz WZIGVKIZHGQYRG-WDEREUQCSA-N 0 0 279.340 2.584 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000336514497 524361437 /nfs/dbraw/zinc/36/14/37/524361437.db2.gz RARWTJAPVSYHQI-WDEREUQCSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000157359665 524368370 /nfs/dbraw/zinc/36/83/70/524368370.db2.gz GZXCAAVKUAYJEL-DTWKUNHWSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000356723147 524372912 /nfs/dbraw/zinc/37/29/12/524372912.db2.gz GQMDPRMWIQOZLV-CABZTGNLSA-N 0 0 280.349 2.602 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)C1 ZINC000336480140 524376446 /nfs/dbraw/zinc/37/64/46/524376446.db2.gz OZCKTBYHMVRXIL-QWRGUYRKSA-N 0 0 262.309 2.994 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000334483561 524377807 /nfs/dbraw/zinc/37/78/07/524377807.db2.gz ZCNFFHZOUQJHIU-QWRGUYRKSA-N 0 0 262.309 2.994 20 5 CFBDRN Cc1cccc(CN(C)c2nc(C)ccc2[N+](=O)[O-])n1 ZINC000360886520 524380191 /nfs/dbraw/zinc/38/01/91/524380191.db2.gz YWYVCOXLLPMPBR-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1cccc(CN(C)c2ncccc2[N+](=O)[O-])c1 ZINC000339031599 524380207 /nfs/dbraw/zinc/38/02/07/524380207.db2.gz LLUAYHACLQWHLW-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN COc1ccccc1CCNc1ccc([N+](=O)[O-])nc1 ZINC000217523671 524421366 /nfs/dbraw/zinc/42/13/66/524421366.db2.gz BROFODPAERRILV-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000050707972 524518142 /nfs/dbraw/zinc/51/81/42/524518142.db2.gz AYNFWYXLLVVKKR-UHFFFAOYSA-N 0 0 285.303 2.762 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000361339523 524519635 /nfs/dbraw/zinc/51/96/35/524519635.db2.gz OQVWOIALJVDRHP-IUCAKERBSA-N 0 0 264.281 2.588 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)[C@@H]1c1ccccc1 ZINC000334325270 524526635 /nfs/dbraw/zinc/52/66/35/524526635.db2.gz ARPKONGROWQRCI-NHYWBVRUSA-N 0 0 299.330 2.767 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000157263817 524611503 /nfs/dbraw/zinc/61/15/03/524611503.db2.gz UEFVHFSWPKPHEZ-LDYMZIIASA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000226190998 524619448 /nfs/dbraw/zinc/61/94/48/524619448.db2.gz ODIROPADFCKDNH-JFGNBEQYSA-N 0 0 291.351 2.930 20 5 CFBDRN C[C@H](C[C@@H]1CCCO1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000338715952 524627000 /nfs/dbraw/zinc/62/70/00/524627000.db2.gz JCTDRBCMWUMFPT-MFKMUULPSA-N 0 0 293.323 2.674 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000228128930 524639260 /nfs/dbraw/zinc/63/92/60/524639260.db2.gz FDSPEMVWBAPZEG-ZJUUUORDSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1ccc(C[C@H](C)Nc2c([N+](=O)[O-])ncn2C)s1 ZINC000078003882 524683128 /nfs/dbraw/zinc/68/31/28/524683128.db2.gz NCKKEKRHOXRXSS-QMMMGPOBSA-N 0 0 280.353 2.741 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000336123100 524683921 /nfs/dbraw/zinc/68/39/21/524683921.db2.gz IPWWOVFPLDEXMW-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000302029228 524728186 /nfs/dbraw/zinc/72/81/86/524728186.db2.gz QHPFXAWWQIFRMB-KWQFWETISA-N 0 0 254.261 2.571 20 5 CFBDRN C[C@H](Cc1ncc[nH]1)OCc1csc([N+](=O)[O-])c1 ZINC000360961046 524767638 /nfs/dbraw/zinc/76/76/38/524767638.db2.gz NNCLBPRHCVPRHY-MRVPVSSYSA-N 0 0 267.310 2.527 20 5 CFBDRN C[C@@H](CO)CSCc1c(F)cccc1[N+](=O)[O-] ZINC000173310937 524778456 /nfs/dbraw/zinc/77/84/56/524778456.db2.gz UVZPCOGMPGTIQN-QMMMGPOBSA-N 0 0 259.302 2.596 20 5 CFBDRN C[C@H](Cn1nccc1[N+](=O)[O-])C(=O)c1ccc(F)c(F)c1 ZINC000155275744 524803231 /nfs/dbraw/zinc/80/32/31/524803231.db2.gz OFTAGMNBEXOHTD-MRVPVSSYSA-N 0 0 295.245 2.589 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000336448487 524841769 /nfs/dbraw/zinc/84/17/69/524841769.db2.gz WHHANHVCFRQTAL-GXSJLCMTSA-N 0 0 260.293 2.530 20 5 CFBDRN Cc1cccc([C@@H]2CCCN2C(=O)[C@H]2C[C@@H]2[N+](=O)[O-])c1C ZINC000361364271 524847963 /nfs/dbraw/zinc/84/79/63/524847963.db2.gz BKAHEDBZQGBSHX-KKUMJFAQSA-N 0 0 288.347 2.632 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)[C@@H]1C ZINC000335003963 524851115 /nfs/dbraw/zinc/85/11/15/524851115.db2.gz IXGMKZODQAVVTR-RKDXNWHRSA-N 0 0 273.292 2.557 20 5 CFBDRN COc1ccncc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000340402379 524858450 /nfs/dbraw/zinc/85/84/50/524858450.db2.gz FABKDZOCOCBKCO-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN C[C@@H](Cc1ncc[nH]1)OCc1ccc([N+](=O)[O-])cc1F ZINC000360945704 524945235 /nfs/dbraw/zinc/94/52/35/524945235.db2.gz HEUDZSIEUVDSGR-VIFPVBQESA-N 0 0 279.271 2.605 20 5 CFBDRN C[C@@H](Cn1ccnc1)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000317324348 524966138 /nfs/dbraw/zinc/96/61/38/524966138.db2.gz ORUGAOGFPHAHOH-NSHDSACASA-N 0 0 297.318 2.840 20 5 CFBDRN C[C@@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1cncs1 ZINC000340895438 525035521 /nfs/dbraw/zinc/03/55/21/525035521.db2.gz FIJFZTMYKMSPNY-SSDOTTSWSA-N 0 0 289.320 2.523 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)Nc1ccc([N+](=O)[O-])cc1F ZINC000230098243 525042087 /nfs/dbraw/zinc/04/20/87/525042087.db2.gz AHLJGYCGJWUZHK-PRHODGIISA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@H]1OCC[C@]1(C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000230100115 525053361 /nfs/dbraw/zinc/05/33/61/525053361.db2.gz YEOFGRXCMCXTOI-PELKAZGASA-N 0 0 254.261 2.713 20 5 CFBDRN COc1ncccc1OCc1ccc([N+](=O)[O-])cc1 ZINC000361900382 525077301 /nfs/dbraw/zinc/07/73/01/525077301.db2.gz NGWBMABVIQWUDC-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN Cc1cc(N2CCO[C@H](COC(C)C)C2)ccc1[N+](=O)[O-] ZINC000364201553 525086929 /nfs/dbraw/zinc/08/69/29/525086929.db2.gz CXDHKYBGECOPJE-AWEZNQCLSA-N 0 0 294.351 2.533 20 5 CFBDRN Cc1ccccc1CCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000152646524 525110261 /nfs/dbraw/zinc/11/02/61/525110261.db2.gz MBTWVYPMHKFYSW-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN Cc1ccccc1CN(C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000317330710 525129255 /nfs/dbraw/zinc/12/92/55/525129255.db2.gz PJDDZMWYZZVIML-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000334690001 525181524 /nfs/dbraw/zinc/18/15/24/525181524.db2.gz NLBPWCLWXRKZNA-ZDUSSCGKSA-N 0 0 275.308 2.919 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CSC2(C)C)cc1[N+](=O)[O-] ZINC000338404694 525184000 /nfs/dbraw/zinc/18/40/00/525184000.db2.gz DCJSDLLDDBTXSG-NSHDSACASA-N 0 0 295.364 2.919 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000336395010 525216102 /nfs/dbraw/zinc/21/61/02/525216102.db2.gz USQSWQWQYZVQIX-RKDXNWHRSA-N 0 0 281.287 2.996 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000229506185 525222424 /nfs/dbraw/zinc/22/24/24/525222424.db2.gz FVKQBIUTKJBKNF-GMTAPVOTSA-N 0 0 291.351 2.684 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2OC[C@H]3CCC[C@H]23)ccc1[N+](=O)[O-] ZINC000366052067 525222758 /nfs/dbraw/zinc/22/27/58/525222758.db2.gz YUWKXTKSSSZUNU-SCDSUCTJSA-N 0 0 290.319 2.657 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)cc1O ZINC000070332666 525240394 /nfs/dbraw/zinc/24/03/94/525240394.db2.gz OLNRSENWCHZECV-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cc1ccccc1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183632778 525255696 /nfs/dbraw/zinc/25/56/96/525255696.db2.gz OVTYIMHJDJHFTG-UHFFFAOYSA-N 0 0 272.264 2.942 20 5 CFBDRN CS(=O)(=O)c1cccc(N[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000089620391 525272282 /nfs/dbraw/zinc/27/22/82/525272282.db2.gz MFXVPMGCPBWQIM-SNVBAGLBSA-N 0 0 296.348 2.519 20 5 CFBDRN CSC1(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000189142437 525307102 /nfs/dbraw/zinc/30/71/02/525307102.db2.gz BIFBPGGVHAWGQC-UHFFFAOYSA-N 0 0 266.322 2.737 20 5 CFBDRN Cc1cc(O)ccc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000172645119 525339583 /nfs/dbraw/zinc/33/95/83/525339583.db2.gz JQIGFIYQJKVYNA-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN CSC1(CNc2ccncc2[N+](=O)[O-])CCC1 ZINC000227987774 525343005 /nfs/dbraw/zinc/34/30/05/525343005.db2.gz WWZBOBRSPBDVHY-UHFFFAOYSA-N 0 0 253.327 2.687 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000057648035 525381091 /nfs/dbraw/zinc/38/10/91/525381091.db2.gz FERIEFGUCRYTHX-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN CSCCCCCNc1ccc([N+](=O)[O-])nc1 ZINC000229570334 525429340 /nfs/dbraw/zinc/42/93/40/525429340.db2.gz CXWHPHBIOLETKI-UHFFFAOYSA-N 0 0 255.343 2.935 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@]3(C2)CCCOC3)c1 ZINC000338719032 525450430 /nfs/dbraw/zinc/45/04/30/525450430.db2.gz BDFUDXVAKONLJQ-OAHLLOKOSA-N 0 0 292.335 2.610 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2nc(C)oc2C)c1 ZINC000338686340 525451081 /nfs/dbraw/zinc/45/10/81/525451081.db2.gz GCGUJUASSWTDLO-UHFFFAOYSA-N 0 0 291.307 2.863 20 5 CFBDRN C[C@H](NCc1ccccc1[N+](=O)[O-])c1nccs1 ZINC000080268420 525465250 /nfs/dbraw/zinc/46/52/50/525465250.db2.gz VKTAKQVPQHZFIO-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1C[C@H]1C(F)F ZINC000337977341 525524742 /nfs/dbraw/zinc/52/47/42/525524742.db2.gz LTFRWYQZWFCVLJ-ONOSFVFSSA-N 0 0 296.273 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC(C)C1 ZINC000334166841 525553686 /nfs/dbraw/zinc/55/36/86/525553686.db2.gz AKQVFQGMXFOOMT-UHFFFAOYSA-N 0 0 263.297 2.695 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1CC12CC2 ZINC000335132433 525554201 /nfs/dbraw/zinc/55/42/01/525554201.db2.gz OADRQODXMCWDMY-GFCCVEGCSA-N 0 0 275.308 2.886 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000447284838 534871644 /nfs/dbraw/zinc/87/16/44/534871644.db2.gz LMKYKOWACWXTAR-JQWIXIFHSA-N 0 0 293.319 2.991 20 5 CFBDRN Cc1ccnc(CNc2ncc(C)cc2[N+](=O)[O-])c1 ZINC000328229434 525651479 /nfs/dbraw/zinc/65/14/79/525651479.db2.gz CMMWZWBQUJDRSJ-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1ccnc(NC[C@@H](CO)C2CCCCC2)c1[N+](=O)[O-] ZINC000338769418 525693378 /nfs/dbraw/zinc/69/33/78/525693378.db2.gz XYHQBDLOTRJBNO-ZDUSSCGKSA-N 0 0 293.367 2.899 20 5 CFBDRN Cc1ccnc(NCCc2cnc(C3CC3)nc2)c1[N+](=O)[O-] ZINC000339355671 525694451 /nfs/dbraw/zinc/69/44/51/525694451.db2.gz FUWWQHDDBYXTDY-UHFFFAOYSA-N 0 0 299.334 2.620 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c(N)c1F)c1cncs1 ZINC000340938230 525711783 /nfs/dbraw/zinc/71/17/83/525711783.db2.gz YKBSHNHNUGIASC-ZCFIWIBFSA-N 0 0 282.300 2.946 20 5 CFBDRN Cc1c(CNC(=O)N2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000336079958 525714218 /nfs/dbraw/zinc/71/42/18/525714218.db2.gz GSPDMAJYPFJTCK-JTQLQIEISA-N 0 0 277.324 2.597 20 5 CFBDRN Cc1c(CNC(=O)[C@@H](C)c2cccnc2)cccc1[N+](=O)[O-] ZINC000360526376 525718028 /nfs/dbraw/zinc/71/80/28/525718028.db2.gz STWFNEJPDWEHND-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN C[C@@H](O)CCCOc1ccc([N+](=O)[O-])c2ncccc12 ZINC000312977965 525759354 /nfs/dbraw/zinc/75/93/54/525759354.db2.gz KYFSCHNEGIEXMV-SNVBAGLBSA-N 0 0 276.292 2.683 20 5 CFBDRN C[C@@H](O)CCOc1c(Br)cccc1[N+](=O)[O-] ZINC000134062743 525770688 /nfs/dbraw/zinc/77/06/88/525770688.db2.gz FJDJIMIFYAQEJG-SSDOTTSWSA-N 0 0 290.113 2.507 20 5 CFBDRN CS[C@@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182444036 525793996 /nfs/dbraw/zinc/79/39/96/525793996.db2.gz VNKBYPPNAWPQSC-VIFPVBQESA-N 0 0 268.338 2.983 20 5 CFBDRN Cc1c(NCC[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000235841336 525869202 /nfs/dbraw/zinc/86/92/02/525869202.db2.gz CNTHGBRXQCVWGK-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1c(Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)cnn1C ZINC000181213099 525878878 /nfs/dbraw/zinc/87/88/78/525878878.db2.gz KPPCEDQFEANTTA-UHFFFAOYSA-N 0 0 298.249 2.982 20 5 CFBDRN C[C@@]1(O)CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000230376031 525881673 /nfs/dbraw/zinc/88/16/73/525881673.db2.gz XBNICIOOLYSARC-CQSZACIVSA-N 0 0 298.770 2.985 20 5 CFBDRN CS[C@H](C)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000162892995 525886611 /nfs/dbraw/zinc/88/66/11/525886611.db2.gz GENRPGRWLISCCD-SSDOTTSWSA-N 0 0 266.326 2.635 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2C[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000337874882 525908497 /nfs/dbraw/zinc/90/84/97/525908497.db2.gz UBHKBHPISDRWGJ-LSJOCFKGSA-N 0 0 298.289 2.982 20 5 CFBDRN Cc1cnc(CCNc2cccc(C)c2[N+](=O)[O-])nc1 ZINC000338686730 526004731 /nfs/dbraw/zinc/00/47/31/526004731.db2.gz JMWNRRQBGLHXCD-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC(C)C1 ZINC000336300670 526055882 /nfs/dbraw/zinc/05/58/82/526055882.db2.gz MQIZSEOYNLTXEM-UHFFFAOYSA-N 0 0 263.297 2.695 20 5 CFBDRN Cc1cnc(COc2cccc(C)c2[N+](=O)[O-])nc1 ZINC000361013540 526057639 /nfs/dbraw/zinc/05/76/39/526057639.db2.gz JMJCNNGXXVPRBQ-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CC[C@@H](C)O1 ZINC000154020850 526057640 /nfs/dbraw/zinc/05/76/40/526057640.db2.gz AKBLXJREHFPAKU-SKDRFNHKSA-N 0 0 278.308 2.718 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2ccoc2)cc1[N+](=O)[O-] ZINC000048820138 526063471 /nfs/dbraw/zinc/06/34/71/526063471.db2.gz MUFYPYCHXNZSTE-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCCCCF)c1 ZINC000360517866 526063628 /nfs/dbraw/zinc/06/36/28/526063628.db2.gz MVLNCYPBFBATCF-UHFFFAOYSA-N 0 0 298.314 2.538 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@H]1CCCCO1 ZINC000181553908 526071287 /nfs/dbraw/zinc/07/12/87/526071287.db2.gz OIBRWSLUWPINMN-CYBMUJFWSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1ncon1 ZINC000366667658 526077608 /nfs/dbraw/zinc/07/76/08/526077608.db2.gz VQPFFJHWZHYROC-GWCFXTLKSA-N 0 0 288.307 2.876 20 5 CFBDRN Cc1ccc(C(=O)N2CCC[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000335954743 526099710 /nfs/dbraw/zinc/09/97/10/526099710.db2.gz AWRSTCKVMSTQGQ-SNVBAGLBSA-N 0 0 284.262 2.773 20 5 CFBDRN Cc1cc(NCCc2ccccc2[N+](=O)[O-])nc(C2CC2)n1 ZINC000361315334 526113470 /nfs/dbraw/zinc/11/34/70/526113470.db2.gz UMCSOEWNYYEUKI-UHFFFAOYSA-N 0 0 298.346 2.647 20 5 CFBDRN Cc1cnc([C@@H](C)Nc2ccc([N+](=O)[O-])nc2)s1 ZINC000134931496 526129104 /nfs/dbraw/zinc/12/91/04/526129104.db2.gz JGDIUQFPAMXUEO-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN C[C@@H](c1ccncc1)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000049349423 526150020 /nfs/dbraw/zinc/15/00/20/526150020.db2.gz QLMIMVSWNOCGFB-VIFPVBQESA-N 0 0 291.332 2.885 20 5 CFBDRN Cc1ccc(C(=O)NCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000362498426 526161022 /nfs/dbraw/zinc/16/10/22/526161022.db2.gz LZRSNDCBKVFGBA-UHFFFAOYSA-N 0 0 276.214 2.585 20 5 CFBDRN Cc1cncc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c1 ZINC000079450368 526178057 /nfs/dbraw/zinc/17/80/57/526178057.db2.gz JIWWMRVBVGJKSQ-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)s1 ZINC000049412903 526186641 /nfs/dbraw/zinc/18/66/41/526186641.db2.gz ZZFHSMYZOQKLMH-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2C)c(C)n1 ZINC000360532015 526187587 /nfs/dbraw/zinc/18/75/87/526187587.db2.gz ZNVNHTJRIDBHOI-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000049217351 526210823 /nfs/dbraw/zinc/21/08/23/526210823.db2.gz SGJVRAVZLUNHQL-SNVBAGLBSA-N 0 0 274.276 2.987 20 5 CFBDRN Cc1cnccc1CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000228701544 526235743 /nfs/dbraw/zinc/23/57/43/526235743.db2.gz RZXZMWOXYVMXRU-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cc(C(=O)N2CCCCCCC2)c(N)c([N+](=O)[O-])c1 ZINC000068510094 526243171 /nfs/dbraw/zinc/24/31/71/526243171.db2.gz DZLLQCRQOPMTNZ-UHFFFAOYSA-N 0 0 291.351 2.892 20 5 CFBDRN C[C@H]1CCCCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000049247278 526243545 /nfs/dbraw/zinc/24/35/45/526243545.db2.gz JTQWVMBEKAPDKS-NSHDSACASA-N 0 0 277.324 2.679 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000361978026 526259043 /nfs/dbraw/zinc/25/90/43/526259043.db2.gz BXXHZVSUMWAEQH-RXMQYKEDSA-N 0 0 282.243 2.727 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000336344517 526261313 /nfs/dbraw/zinc/26/13/13/526261313.db2.gz XRLUUMUUGIWVNF-ONGXEEELSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000336344516 526262500 /nfs/dbraw/zinc/26/25/00/526262500.db2.gz XRLUUMUUGIWVNF-MWLCHTKSSA-N 0 0 262.309 2.774 20 5 CFBDRN O=C(C1CC1)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334542036 526286059 /nfs/dbraw/zinc/28/60/59/526286059.db2.gz GKVUATDJBUNCES-ZDUSSCGKSA-N 0 0 274.320 2.711 20 5 CFBDRN O=C([O-])[C@H]([NH2+]Cc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000050509165 526314256 /nfs/dbraw/zinc/31/42/56/526314256.db2.gz MAALRSTWZDVDCF-CQSZACIVSA-N 0 0 286.287 2.510 20 5 CFBDRN CC(C)(C)OC(=O)CCCn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000195041310 526354399 /nfs/dbraw/zinc/35/43/99/526354399.db2.gz DSQQQYUNOHRXDE-UHFFFAOYSA-N 0 0 295.339 2.791 20 5 CFBDRN Cc1csc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000049376456 526354473 /nfs/dbraw/zinc/35/44/73/526354473.db2.gz JJBDPGWAXVVIKQ-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1csc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000173319720 526365096 /nfs/dbraw/zinc/36/50/96/526365096.db2.gz WFGNSVUVFQGMRG-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN O=C(NCCc1ccccc1)c1ccc([N+](=O)[O-])cc1F ZINC000054056818 526426215 /nfs/dbraw/zinc/42/62/15/526426215.db2.gz MNSPAPSEHKKRIL-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1c1ccco1 ZINC000049416963 526446308 /nfs/dbraw/zinc/44/63/08/526446308.db2.gz PGIYYMXNIMPKAT-VXGBXAGGSA-N 0 0 273.244 2.897 20 5 CFBDRN Cc1noc(C)c1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000049373684 526522134 /nfs/dbraw/zinc/52/21/34/526522134.db2.gz FEROUIUOVMENBU-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])C[C@H]1CCCCO1 ZINC000505904035 536454473 /nfs/dbraw/zinc/45/44/73/536454473.db2.gz YQNUOTNXPGTFPX-LLVKDONJSA-N 0 0 282.315 2.735 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000335731363 526619714 /nfs/dbraw/zinc/61/97/14/526619714.db2.gz VRQQNXPTXKKTEW-AOOOYVTPSA-N 0 0 278.283 2.606 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)NC1CCC1 ZINC000341288564 526694951 /nfs/dbraw/zinc/69/49/51/526694951.db2.gz QKKVTGMGQZSNEY-UHFFFAOYSA-N 0 0 283.715 2.600 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cccc(F)c1F ZINC000054204806 526695371 /nfs/dbraw/zinc/69/53/71/526695371.db2.gz UHBRLZWXKVPLJV-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C1CCCCC1 ZINC000049401964 526725376 /nfs/dbraw/zinc/72/53/76/526725376.db2.gz GOGGEUBFYGDSCH-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN O=C(CNc1ccccc1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000078246149 526898811 /nfs/dbraw/zinc/89/88/11/526898811.db2.gz MGVOKZFBJWEPTI-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000335431739 526901784 /nfs/dbraw/zinc/90/17/84/526901784.db2.gz YMSLEWNWULQQBD-NXEZZACHSA-N 0 0 266.272 2.605 20 5 CFBDRN O=C(COCc1ccccc1)Nc1cccc([N+](=O)[O-])c1 ZINC000247336043 526941258 /nfs/dbraw/zinc/94/12/58/526941258.db2.gz QVUXRXNFATUEIE-UHFFFAOYSA-N 0 0 286.287 2.750 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC2(CCCC2)C1 ZINC000173721949 527244406 /nfs/dbraw/zinc/24/44/06/527244406.db2.gz XPVHGKFNJSHRAK-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1ccoc1 ZINC000185454378 527259700 /nfs/dbraw/zinc/25/97/00/527259700.db2.gz VGIWXZOEFZINSU-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCCc1ccccc1 ZINC000151770086 527271269 /nfs/dbraw/zinc/27/12/69/527271269.db2.gz HYRUQJYHIXFOBA-UHFFFAOYSA-N 0 0 298.342 2.886 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(F)cc1O ZINC000340329177 527272183 /nfs/dbraw/zinc/27/21/83/527272183.db2.gz VZPLTSQTQIYGCN-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc2n[nH]cc2c1 ZINC000146740962 527272236 /nfs/dbraw/zinc/27/22/36/527272236.db2.gz DMJSXPUJPNVZGS-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN O=C(Cc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000336313149 527279650 /nfs/dbraw/zinc/27/96/50/527279650.db2.gz HENBYYXVCSOSBI-UHFFFAOYSA-N 0 0 296.326 2.722 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(CC(C)C)C1CC1 ZINC000193193515 527311501 /nfs/dbraw/zinc/31/15/01/527311501.db2.gz MSZHFQFTFNRXFL-UHFFFAOYSA-N 0 0 277.324 2.559 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCC1(c2cccc(F)c2)CC1 ZINC000360997948 527323604 /nfs/dbraw/zinc/32/36/04/527323604.db2.gz YEXBWNYFTBRDGI-UHFFFAOYSA-N 0 0 287.294 2.695 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C(C)(C)C ZINC000334240071 527327908 /nfs/dbraw/zinc/32/79/08/527327908.db2.gz VIZSYHNDZRSZSM-GFCCVEGCSA-N 0 0 279.340 2.584 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1NCCCO ZINC000309561208 527332147 /nfs/dbraw/zinc/33/21/47/527332147.db2.gz JIIBRMWIQGBOCI-UHFFFAOYSA-N 0 0 265.096 2.696 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCc2ccncc2)c(F)c1 ZINC000227891636 527336355 /nfs/dbraw/zinc/33/63/55/527336355.db2.gz LMRKESMJUBWEEZ-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1ccccc1CO ZINC000049979898 527336503 /nfs/dbraw/zinc/33/65/03/527336503.db2.gz YRHFXDZWQYNASR-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H](CO)CC2CCC2)c(F)c1 ZINC000360093733 527337248 /nfs/dbraw/zinc/33/72/48/527337248.db2.gz NUEDOOWOIGRUSL-SECBINFHSA-N 0 0 286.278 2.836 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCc2cscn2)s1 ZINC000311473004 527341082 /nfs/dbraw/zinc/34/10/82/527341082.db2.gz JGFASUQIQHAMRI-UHFFFAOYSA-N 0 0 293.333 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCCO[C@@H]2CCC[C@@H]21 ZINC000363692568 527356614 /nfs/dbraw/zinc/35/66/14/527356614.db2.gz NUNJPKPSMKCEOC-LSDHHAIUSA-N 0 0 294.326 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2ccccn2)c(F)c1 ZINC000042096810 527365737 /nfs/dbraw/zinc/36/57/37/527365737.db2.gz NQWQVFYRPAKRML-UHFFFAOYSA-N 0 0 276.271 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC(F)(F)F)c(F)c1 ZINC000066409760 527373134 /nfs/dbraw/zinc/37/31/34/527373134.db2.gz MKUGOUKRXSTMJM-UHFFFAOYSA-N 0 0 283.177 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2noc(C3CC3)n2)c(F)c1 ZINC000048989306 527374066 /nfs/dbraw/zinc/37/40/66/527374066.db2.gz ZIMDYEZKOGWDHP-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]nc(-c3nc(-c4ccco4)no3)c2c1 ZINC000340654835 527379255 /nfs/dbraw/zinc/37/92/55/527379255.db2.gz HOHDMTRHSDALJO-UHFFFAOYSA-N 0 0 297.230 2.781 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3CCC[C@H](O)C3)n2)c1 ZINC000314268711 527382866 /nfs/dbraw/zinc/38/28/66/527382866.db2.gz XNGIGGNNZUOHIB-PWSUYJOCSA-N 0 0 289.291 2.663 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCc1ccncc1 ZINC000311717341 527389229 /nfs/dbraw/zinc/38/92/29/527389229.db2.gz TYYFHFLROXSPBH-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H]1CCC[C@@H](CO)C1 ZINC000312450488 527389586 /nfs/dbraw/zinc/38/95/86/527389586.db2.gz JZNKYKUYTYVRNW-WDEREUQCSA-N 0 0 282.315 2.945 20 5 CFBDRN CC(C)=CCCNC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430820688 527396291 /nfs/dbraw/zinc/39/62/91/527396291.db2.gz GQODXLNRJDESFT-UHFFFAOYSA-N 0 0 292.335 2.755 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](CO)c1cccc(F)c1 ZINC000341490743 527404005 /nfs/dbraw/zinc/40/40/05/527404005.db2.gz USLNJGZDLSVAAP-CYBMUJFWSA-N 0 0 276.267 2.879 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSc2ccc(F)cc2F)n1 ZINC000360382894 527408171 /nfs/dbraw/zinc/40/81/71/527408171.db2.gz FBOREEWZMKXFMZ-UHFFFAOYSA-N 0 0 285.275 2.862 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2cccc(F)c2)s1 ZINC000189682408 527409965 /nfs/dbraw/zinc/40/99/65/527409965.db2.gz IOPIHZNXRCDXSE-UHFFFAOYSA-N 0 0 267.285 2.845 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCC[C@@H]2n2cccn2)s1 ZINC000192474251 527411058 /nfs/dbraw/zinc/41/10/58/527411058.db2.gz VWAYWRVRFIVRAL-ZJUUUORDSA-N 0 0 293.352 2.844 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC[C@H](O)C2)c(Cl)c1 ZINC000078304274 527411744 /nfs/dbraw/zinc/41/17/44/527411744.db2.gz PJTNZQVKHNOCCR-WPRPVWTQSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCC[C@@H]1CCOC1 ZINC000236411772 527412725 /nfs/dbraw/zinc/41/27/25/527412725.db2.gz MSSDLWRGSUHKKY-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1C[C@H]1CCCCC1(F)F ZINC000335341186 527451959 /nfs/dbraw/zinc/45/19/59/527451959.db2.gz GFTOUTQEOULKQN-MRVPVSSYSA-N 0 0 290.241 2.721 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@@H]1CCC(F)(F)C1 ZINC000334181758 527452247 /nfs/dbraw/zinc/45/22/47/527452247.db2.gz DZEMRPBPJBVQSD-SSDOTTSWSA-N 0 0 292.669 2.845 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CCC1CCCC1 ZINC000158530702 527452973 /nfs/dbraw/zinc/45/29/73/527452973.db2.gz GBZZNUDIBYMIEG-UHFFFAOYSA-N 0 0 270.716 2.990 20 5 CFBDRN O=C(Nc1cnc2c(n1)CCCC2)c1ccccc1[N+](=O)[O-] ZINC000367977307 527476772 /nfs/dbraw/zinc/47/67/72/527476772.db2.gz JGSUDKRGNYVUFE-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN O=C(NC1CC(C(F)(F)F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000360512595 527482042 /nfs/dbraw/zinc/48/20/42/527482042.db2.gz SVHOOFYEAAPCEM-UHFFFAOYSA-N 0 0 288.225 2.666 20 5 CFBDRN O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc21)c1ccccc1 ZINC000089420750 527496258 /nfs/dbraw/zinc/49/62/58/527496258.db2.gz VCAAPKZQXYLMFK-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H]2CCCCC[C@H]21 ZINC000364980792 527521010 /nfs/dbraw/zinc/52/10/10/527521010.db2.gz MBBCNSIMJWTRKO-ZYHUDNBSSA-N 0 0 289.335 2.582 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000431164359 527532253 /nfs/dbraw/zinc/53/22/53/527532253.db2.gz VQGDQYDREWEWEB-UONOGXRCSA-N 0 0 288.347 2.787 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000187638140 527563744 /nfs/dbraw/zinc/56/37/44/527563744.db2.gz RSNAQXQTRPFYCR-UHFFFAOYSA-N 0 0 294.351 2.772 20 5 CFBDRN CC(C)C1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000177381251 527603522 /nfs/dbraw/zinc/60/35/22/527603522.db2.gz JQCXJFBNQDWBKD-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1nn(C)c(NCCC2C[C@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000338487216 527678460 /nfs/dbraw/zinc/67/84/60/527678460.db2.gz JSFXJZYPFKWATR-UWVGGRQHSA-N 0 0 296.371 2.642 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000171484666 527784630 /nfs/dbraw/zinc/78/46/30/527784630.db2.gz AGICCJWSFSEXDN-UHFFFAOYSA-N 0 0 268.338 2.979 20 5 CFBDRN CC(C)OCC(C)(C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000414004267 527904029 /nfs/dbraw/zinc/90/40/29/527904029.db2.gz HVQLUCOHFPDQEY-UHFFFAOYSA-N 0 0 280.324 2.528 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000193982026 527961655 /nfs/dbraw/zinc/96/16/55/527961655.db2.gz POOFTKGOWDOIEQ-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CC1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000176416614 527967767 /nfs/dbraw/zinc/96/77/67/527967767.db2.gz BMYZGARFDNXMDK-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN CCCCN(C(=O)c1nn(CC)cc1[N+](=O)[O-])[C@@H](C)CC ZINC000191523872 528314053 /nfs/dbraw/zinc/31/40/53/528314053.db2.gz QIHQWNOOHQCPPX-NSHDSACASA-N 0 0 296.371 2.852 20 5 CFBDRN CCOc1cc(OC[C@H](CC)OC)ccc1[N+](=O)[O-] ZINC000416903815 528334296 /nfs/dbraw/zinc/33/42/96/528334296.db2.gz GSVPHTFRTRCKTP-JTQLQIEISA-N 0 0 269.297 2.797 20 5 CFBDRN CC(C)c1nnc(CNc2ncc([N+](=O)[O-])cc2F)s1 ZINC000413185760 528446248 /nfs/dbraw/zinc/44/62/48/528446248.db2.gz NUXYLONKHCPVGO-UHFFFAOYSA-N 0 0 297.315 2.716 20 5 CFBDRN CCCCNC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000411174351 528550584 /nfs/dbraw/zinc/55/05/84/528550584.db2.gz OWFKNFFKMVUZQI-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CCC(C)(CC)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000427603271 528615133 /nfs/dbraw/zinc/61/51/33/528615133.db2.gz JRYVCDWBDAXGEY-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CCCc1ccccc1NC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000271386761 528647346 /nfs/dbraw/zinc/64/73/46/528647346.db2.gz KPRSFEATZLYAAZ-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN CC(C)Cn1ccnc1CNc1ncc([N+](=O)[O-])cc1F ZINC000413156630 528733603 /nfs/dbraw/zinc/73/36/03/528733603.db2.gz FVPLPAKOVXJHAH-UHFFFAOYSA-N 0 0 293.302 2.594 20 5 CFBDRN CCCC[C@@H](CCC)NC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000191654927 528794483 /nfs/dbraw/zinc/79/44/83/528794483.db2.gz KNWUEDAKMUYNEX-LLVKDONJSA-N 0 0 296.371 2.900 20 5 CFBDRN CCN(Cc1ccccc1)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000175858826 528829098 /nfs/dbraw/zinc/82/90/98/528829098.db2.gz PBUYECRLWRXYQJ-UHFFFAOYSA-N 0 0 299.330 2.839 20 5 CFBDRN CC(C)[C@H](C)SCCn1cc([N+](=O)[O-])ccc1=O ZINC000179484890 528973261 /nfs/dbraw/zinc/97/32/61/528973261.db2.gz UVBAPADVPLXWLQ-JTQLQIEISA-N 0 0 270.354 2.534 20 5 CFBDRN CCC(O)(CC)COc1ccc([N+](=O)[O-])cc1OC ZINC000165226394 528978533 /nfs/dbraw/zinc/97/85/33/528978533.db2.gz IPOUFGWSCCNDHV-UHFFFAOYSA-N 0 0 269.297 2.533 20 5 CFBDRN CCC[C@@H](O)CNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413132437 529037122 /nfs/dbraw/zinc/03/71/22/529037122.db2.gz GNEFVNQVAGWZOQ-MRVPVSSYSA-N 0 0 293.245 2.582 20 5 CFBDRN CCC[C@@H](O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413136726 529037611 /nfs/dbraw/zinc/03/76/11/529037611.db2.gz LGVMQOLZMUJVJL-GFCCVEGCSA-N 0 0 275.308 2.716 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2ccc(F)c(C)c2)n1 ZINC000191598724 529154626 /nfs/dbraw/zinc/15/46/26/529154626.db2.gz KEBCRDPBQFMWLG-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1)[C@H](O)C(C)C ZINC000413548326 529210254 /nfs/dbraw/zinc/21/02/54/529210254.db2.gz UYUDXQGYQKFDEL-CHWSQXEVSA-N 0 0 252.314 2.802 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2nccc(C)c2[N+](=O)[O-])CCO1 ZINC000420617567 529321446 /nfs/dbraw/zinc/32/14/46/529321446.db2.gz KDMHWNZHAFEPKU-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000421099576 529325194 /nfs/dbraw/zinc/32/51/94/529325194.db2.gz PDWCODXAOAZMBC-SECBINFHSA-N 0 0 281.312 2.771 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000176600970 529326640 /nfs/dbraw/zinc/32/66/40/529326640.db2.gz UDLKYWIFVMJGDG-MRVPVSSYSA-N 0 0 254.311 2.528 20 5 CFBDRN CC[C@@H](C)[N@H+](CC(=O)[O-])Cc1ccc([N+](=O)[O-])cc1C ZINC000414679758 529346432 /nfs/dbraw/zinc/34/64/32/529346432.db2.gz KOTCHEWKVFZSDT-LLVKDONJSA-N 0 0 280.324 2.588 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)C[C@@H]21 ZINC000266256897 535040909 /nfs/dbraw/zinc/04/09/09/535040909.db2.gz UFSXJGRIGBLVSQ-BETUJISGSA-N 0 0 299.330 2.804 20 5 CFBDRN CC1(C2(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)CC2)CC1 ZINC000456654572 535102442 /nfs/dbraw/zinc/10/24/42/535102442.db2.gz XSWOIJSIOACCPB-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN CCC1(CC)[C@H](OC)C[C@@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000450394486 535280675 /nfs/dbraw/zinc/28/06/75/535280675.db2.gz RQIPDFWTSWZPSU-NWDGAFQWSA-N 0 0 294.355 2.699 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000264766555 535692301 /nfs/dbraw/zinc/69/23/01/535692301.db2.gz FJJJMXBJQNQWPM-KCJUWKMLSA-N 0 0 256.277 2.553 20 5 CFBDRN CCC[C@H]1C[C@@H]1NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000450005073 535748125 /nfs/dbraw/zinc/74/81/25/535748125.db2.gz NGDPQBMBERRABV-KWQFWETISA-N 0 0 296.298 2.661 20 5 CFBDRN CCN(CCOC)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000263764962 535748592 /nfs/dbraw/zinc/74/85/92/535748592.db2.gz VMAKPDAQYZVHAT-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000445781353 535820109 /nfs/dbraw/zinc/82/01/09/535820109.db2.gz KYNGKBNLRDIWFC-CYBMUJFWSA-N 0 0 276.336 2.928 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000452527598 535822616 /nfs/dbraw/zinc/82/26/16/535822616.db2.gz MGCUWSFNUJCFSX-MNOVXSKESA-N 0 0 291.351 2.733 20 5 CFBDRN CCc1cccc(C(=O)NCCc2ccccc2[N+](=O)[O-])n1 ZINC000449966674 535848742 /nfs/dbraw/zinc/84/87/42/535848742.db2.gz QZXARGIXADXOHV-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN CC[C@@](C)(CNC(=O)/C=C\c1cccc([N+](=O)[O-])c1)OC ZINC000493632143 535979047 /nfs/dbraw/zinc/97/90/47/535979047.db2.gz VIADRZSVJMHBIH-CDNLZTBQSA-N 0 0 292.335 2.539 20 5 CFBDRN CCc1ccc(CN(C)c2ncc([N+](=O)[O-])c(C)n2)cc1 ZINC000450215685 536172647 /nfs/dbraw/zinc/17/26/47/536172647.db2.gz LMFZDGJZCRLRLW-UHFFFAOYSA-N 0 0 286.335 2.892 20 5 CFBDRN CNC(=O)Oc1cccc(Nc2ncc([N+](=O)[O-])s2)c1 ZINC000497307626 536343178 /nfs/dbraw/zinc/34/31/78/536343178.db2.gz QILLTYQYYYTDRI-UHFFFAOYSA-N 0 0 294.292 2.513 20 5 CFBDRN COC(=O)Cc1ccc(NCCC(C)C)c([N+](=O)[O-])c1 ZINC000450207312 536366688 /nfs/dbraw/zinc/36/66/88/536366688.db2.gz CWVIIBCZDZXCOJ-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C=CCCC2)c([N+](=O)[O-])cc1F ZINC000450105141 536502650 /nfs/dbraw/zinc/50/26/50/536502650.db2.gz KHVISBBFORETKR-SECBINFHSA-N 0 0 294.282 2.581 20 5 CFBDRN CO[C@@H](CNc1ncc(Cl)cc1[N+](=O)[O-])C(C)C ZINC000269412290 536516282 /nfs/dbraw/zinc/51/62/82/536516282.db2.gz XHUMOLQWCJKZOR-JTQLQIEISA-N 0 0 273.720 2.726 20 5 CFBDRN COc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)cc(C)n1 ZINC000443460404 536534319 /nfs/dbraw/zinc/53/43/19/536534319.db2.gz LPNJNECAGUHISE-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCC[C@H](C)OC ZINC000342118158 536587739 /nfs/dbraw/zinc/58/77/39/536587739.db2.gz QCYVKYFVZQWYGO-JTQLQIEISA-N 0 0 296.323 2.527 20 5 CFBDRN COC1(Cn2cc([N+](=O)[O-])c(C3CC3)n2)CCCC1 ZINC000436198098 536697525 /nfs/dbraw/zinc/69/75/25/536697525.db2.gz NBNYKKHDGPTYET-UHFFFAOYSA-N 0 0 265.313 2.628 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2NC1CCC1 ZINC000450323072 537014398 /nfs/dbraw/zinc/01/43/98/537014398.db2.gz FBLHOGBLJRHQKZ-UHFFFAOYSA-N 0 0 273.292 2.538 20 5 CFBDRN COc1cccc(C(=O)NCC2CCCC2)c1[N+](=O)[O-] ZINC000435516401 537085030 /nfs/dbraw/zinc/08/50/30/537085030.db2.gz SVHYXYXDWPBAJN-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2CC2(Cl)Cl)c([N+](=O)[O-])c1 ZINC000727434912 574050235 /nfs/dbraw/zinc/05/02/35/574050235.db2.gz USKRGENSXCKUHQ-QMMMGPOBSA-N 0 0 290.102 2.980 20 5 CFBDRN O=C(OCCC1CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000744286777 574064543 /nfs/dbraw/zinc/06/45/43/574064543.db2.gz PGWQNXLNDYGMOF-UHFFFAOYSA-N 0 0 253.229 2.691 20 5 CFBDRN CCC[C@@H](O)CC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000740977809 574065954 /nfs/dbraw/zinc/06/59/54/574065954.db2.gz CQDQUZVYEVMYHQ-GXFFZTMASA-N 0 0 281.308 2.750 20 5 CFBDRN Cc1nnc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)s1 ZINC000754109863 574073842 /nfs/dbraw/zinc/07/38/42/574073842.db2.gz QBZCEOXZCKGTJP-UHFFFAOYSA-N 0 0 290.348 2.626 20 5 CFBDRN Cc1cccc(COC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000736790564 574074936 /nfs/dbraw/zinc/07/49/36/574074936.db2.gz QORZOBVZVJHLQV-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC(=O)c1ccc(N2CC(C(C)C)C2)c([N+](=O)[O-])c1 ZINC000753820786 574076813 /nfs/dbraw/zinc/07/68/13/574076813.db2.gz ABEBDDSOOCXTJG-UHFFFAOYSA-N 0 0 262.309 2.890 20 5 CFBDRN CCc1cnc(COC(=O)c2ccc([N+](=O)[O-])cc2)o1 ZINC000744612452 574082034 /nfs/dbraw/zinc/08/20/34/574082034.db2.gz FUJSETDYKSMZAA-UHFFFAOYSA-N 0 0 276.248 2.502 20 5 CFBDRN Cc1cc(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)on1 ZINC000736865648 574082579 /nfs/dbraw/zinc/08/25/79/574082579.db2.gz HFHYZPKHXALIAA-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CCOC1 ZINC000754285699 574086492 /nfs/dbraw/zinc/08/64/92/574086492.db2.gz UOCHKVFSFGSEJQ-ZDUSSCGKSA-N 0 0 298.726 2.922 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCc1cnccn1 ZINC000754290339 574087061 /nfs/dbraw/zinc/08/70/61/574087061.db2.gz FHDYHDWLFKTNCX-FLIBITNWSA-N 0 0 299.286 2.532 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc[nH]1 ZINC000754325349 574088517 /nfs/dbraw/zinc/08/85/17/574088517.db2.gz MPWZASIJNVUWNV-BENRWUELSA-N 0 0 299.330 2.985 20 5 CFBDRN CSCCCOC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000744905085 574093166 /nfs/dbraw/zinc/09/31/66/574093166.db2.gz SHHFEHOQQMIBIB-UHFFFAOYSA-N 0 0 294.332 2.986 20 5 CFBDRN CC/C=C\CCOC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000744944257 574094466 /nfs/dbraw/zinc/09/44/66/574094466.db2.gz VQHAFBKHDDRWND-ARJAWSKDSA-N 0 0 278.308 2.906 20 5 CFBDRN Cc1ccc(NC(=O)C=Cc2ccc([N+](=O)[O-])cc2)c(=O)[nH]1 ZINC000754505427 574099125 /nfs/dbraw/zinc/09/91/25/574099125.db2.gz MCWGASZEMSARHY-WEVVVXLNSA-N 0 0 299.286 2.656 20 5 CFBDRN CSc1ccc(C(=O)OCC(=O)C(C)C)cc1[N+](=O)[O-] ZINC000730843731 574099750 /nfs/dbraw/zinc/09/97/50/574099750.db2.gz KFOIYMTZTVWHOJ-UHFFFAOYSA-N 0 0 297.332 2.699 20 5 CFBDRN CC(C)C(=O)COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730849753 574100475 /nfs/dbraw/zinc/10/04/75/574100475.db2.gz JRSJIKUFXSRLGF-UHFFFAOYSA-N 0 0 285.683 2.630 20 5 CFBDRN COCC[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000754582627 574105131 /nfs/dbraw/zinc/10/51/31/574105131.db2.gz IEILMUQMVLQKMU-NSHDSACASA-N 0 0 295.335 2.841 20 5 CFBDRN Cc1cccc(COC(=O)Cc2cccc([N+](=O)[O-])c2)n1 ZINC000745332971 574110072 /nfs/dbraw/zinc/11/00/72/574110072.db2.gz LPYGSXXUNMABPU-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN O=C(OCCc1ccccc1F)c1ccc([N+](=O)[O-])o1 ZINC000731139223 574110856 /nfs/dbraw/zinc/11/08/56/574110856.db2.gz JFULVIPSMIACTL-UHFFFAOYSA-N 0 0 279.223 2.726 20 5 CFBDRN CSCCCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000745385658 574112536 /nfs/dbraw/zinc/11/25/36/574112536.db2.gz GPPDCEONIKIJLN-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)C(C)(C)C)c1 ZINC000745387978 574112567 /nfs/dbraw/zinc/11/25/67/574112567.db2.gz OMDCONLZLORSTB-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN C[C@@H]1CN(c2nc3ccc([N+](=O)[O-])cc3s2)[C@@H](C)CO1 ZINC000731208345 574112663 /nfs/dbraw/zinc/11/26/63/574112663.db2.gz BUOHRRQVBUCEMH-DTWKUNHWSA-N 0 0 293.348 2.818 20 5 CFBDRN CSc1ccc(OC(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000754676864 574113148 /nfs/dbraw/zinc/11/31/48/574113148.db2.gz MOASPLZGJWPPDJ-UHFFFAOYSA-N 0 0 292.316 2.874 20 5 CFBDRN Cc1cncc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])c1 ZINC000745508456 574114339 /nfs/dbraw/zinc/11/43/39/574114339.db2.gz BXGADKFOKURVEP-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC1CCC(COC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000754771497 574119670 /nfs/dbraw/zinc/11/96/70/574119670.db2.gz XZISXOFHCVHQPP-UHFFFAOYSA-N 0 0 280.324 2.916 20 5 CFBDRN O=C(OCCC(F)(F)F)c1ccc([N+](=O)[O-])c(F)c1 ZINC000731519407 574122404 /nfs/dbraw/zinc/12/24/04/574122404.db2.gz PUZWWDKWCIBTHH-UHFFFAOYSA-N 0 0 281.161 2.843 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731545974 574122968 /nfs/dbraw/zinc/12/29/68/574122968.db2.gz BQSYOFAZNMJMGI-IONNQARKSA-N 0 0 253.229 2.547 20 5 CFBDRN CC1CCC(COC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000754840598 574124265 /nfs/dbraw/zinc/12/42/65/574124265.db2.gz ADRSOTFBLLFORB-UHFFFAOYSA-N 0 0 278.308 2.973 20 5 CFBDRN Nc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c(F)c1 ZINC000754957013 574129381 /nfs/dbraw/zinc/12/93/81/574129381.db2.gz SPBMLNJSVWGRAS-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN CCN(Cc1ccc(Cl)cc1)Cn1cc([N+](=O)[O-])cn1 ZINC000746033108 574131836 /nfs/dbraw/zinc/13/18/36/574131836.db2.gz MWPAZWXEODMZGN-UHFFFAOYSA-N 0 0 294.742 2.924 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755015847 574132644 /nfs/dbraw/zinc/13/26/44/574132644.db2.gz WLKVYQPZUPGHER-FBOQAHMBSA-N 0 0 277.276 2.519 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N(CCc1ccccc1)C1CC1 ZINC000746079764 574132714 /nfs/dbraw/zinc/13/27/14/574132714.db2.gz VQOGWJSNWXOWAO-UHFFFAOYSA-N 0 0 286.335 2.540 20 5 CFBDRN Cc1cc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])n[nH]1 ZINC000746068326 574132783 /nfs/dbraw/zinc/13/27/83/574132783.db2.gz FVGNRLFCQWMXCI-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN CCCOCC(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746072928 574132791 /nfs/dbraw/zinc/13/27/91/574132791.db2.gz LDNLHRGPMAESDV-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755056076 574134723 /nfs/dbraw/zinc/13/47/23/574134723.db2.gz RMDQIQLZDFTTHW-BDAKNGLRSA-N 0 0 297.282 2.748 20 5 CFBDRN CC(C)c1ocnc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000731955612 574137177 /nfs/dbraw/zinc/13/71/77/574137177.db2.gz PKJJVYBVXHZNEP-UHFFFAOYSA-N 0 0 276.248 2.925 20 5 CFBDRN CC[C@H](C)CN(C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000732049429 574140972 /nfs/dbraw/zinc/14/09/72/574140972.db2.gz WMAINNIXSXRPHH-JTQLQIEISA-N 0 0 262.313 2.725 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1cncs1 ZINC000728991586 574143993 /nfs/dbraw/zinc/14/39/93/574143993.db2.gz VIOAUBBUANCPKJ-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CC[C@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728989527 574144096 /nfs/dbraw/zinc/14/40/96/574144096.db2.gz XICZGZMBXNBYFK-QMMMGPOBSA-N 0 0 255.245 2.823 20 5 CFBDRN O=C(CC[C@@H]1CCCO1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728992753 574144518 /nfs/dbraw/zinc/14/45/18/574144518.db2.gz VGKDKWDUQMXIRE-JTQLQIEISA-N 0 0 297.282 2.736 20 5 CFBDRN COC(=O)/C=C\c1ccc(NC2CC(C)C2)c([N+](=O)[O-])c1 ZINC000751961370 574148367 /nfs/dbraw/zinc/14/83/67/574148367.db2.gz JNIMFAFFJWSLET-XQRVVYSFSA-N 0 0 290.319 2.991 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1cscn1 ZINC000729000873 574155337 /nfs/dbraw/zinc/15/53/37/574155337.db2.gz QRHHOWVSROJBCP-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CCC1(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)COC1 ZINC000755379275 574158105 /nfs/dbraw/zinc/15/81/05/574158105.db2.gz PIUQGXGSSFWGMA-NSHDSACASA-N 0 0 292.335 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nnc(-c3ccccc3)[nH]2)cc1 ZINC000732568051 574161475 /nfs/dbraw/zinc/16/14/75/574161475.db2.gz HJYRMJOTLBYHMF-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCC2CCC(C)CC2)n1 ZINC000755575293 574168909 /nfs/dbraw/zinc/16/89/09/574168909.db2.gz LWSANLLUWRYUOU-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN CCCC(=O)COC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000738564867 574173287 /nfs/dbraw/zinc/17/32/87/574173287.db2.gz HCJYBFWHXUFQCC-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN CCc1ocnc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746985284 574174209 /nfs/dbraw/zinc/17/42/09/574174209.db2.gz RAZFPAXEOATXLK-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN C[C@H](C(=O)O[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1F ZINC000755653836 574175885 /nfs/dbraw/zinc/17/58/85/574175885.db2.gz CJURCTJADPOMBT-WCBMZHEXSA-N 0 0 299.323 2.886 20 5 CFBDRN CC1(C)CCCN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000732983149 574181619 /nfs/dbraw/zinc/18/16/19/574181619.db2.gz YOCVCXMDHVMQQX-UHFFFAOYSA-N 0 0 274.324 2.869 20 5 CFBDRN COC[C@H](OC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000747158287 574182820 /nfs/dbraw/zinc/18/28/20/574182820.db2.gz KGENRKNTPPZARH-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC(C)(C)C ZINC000755759594 574185446 /nfs/dbraw/zinc/18/54/46/574185446.db2.gz GUNXGIGGJABVNA-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN O=C(Nc1ccon1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000755765170 574185902 /nfs/dbraw/zinc/18/59/02/574185902.db2.gz ZMWABVRIDSDPHH-UHFFFAOYSA-N 0 0 273.204 2.581 20 5 CFBDRN C/C(=C/C(=O)Nc1ccon1)c1ccc([N+](=O)[O-])cc1 ZINC000755771372 574186167 /nfs/dbraw/zinc/18/61/67/574186167.db2.gz XOKIKRFOFZVDCD-HJWRWDBZSA-N 0 0 273.248 2.625 20 5 CFBDRN C=COCCOC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000755775695 574187304 /nfs/dbraw/zinc/18/73/04/574187304.db2.gz HZDYISYYGNLDKA-ZHACJKMWSA-N 0 0 277.276 2.701 20 5 CFBDRN Cc1ccc(N2CCC[C@H](n3ccnc3)C2)c([N+](=O)[O-])c1 ZINC000747215830 574187553 /nfs/dbraw/zinc/18/75/53/574187553.db2.gz DFXLSDIIGDZSFV-ZDUSSCGKSA-N 0 0 286.335 2.941 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CCSC2)c1 ZINC000747224631 574187872 /nfs/dbraw/zinc/18/78/72/574187872.db2.gz WGPHDPVBXXSSMA-ZETCQYMHSA-N 0 0 272.301 2.660 20 5 CFBDRN Cc1nc(CCC(=O)Oc2cccc([N+](=O)[O-])c2)cs1 ZINC000733087823 574188715 /nfs/dbraw/zinc/18/87/15/574188715.db2.gz FIMUHSNRZWBHMP-UHFFFAOYSA-N 0 0 292.316 2.898 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)C(C)C ZINC000755821015 574190148 /nfs/dbraw/zinc/19/01/48/574190148.db2.gz YRHFUKGEAXKEND-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN CC[C@H](Nc1nc2ccccn2c1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000755922044 574198209 /nfs/dbraw/zinc/19/82/09/574198209.db2.gz DUNUNWWLCLZLEJ-QWRGUYRKSA-N 0 0 290.323 2.612 20 5 CFBDRN CCCC(=O)COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000738597657 574203746 /nfs/dbraw/zinc/20/37/46/574203746.db2.gz LSJIVZBYSWEGGV-UHFFFAOYSA-N 0 0 290.275 2.602 20 5 CFBDRN C[C@H](CCCO)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000756145848 574213601 /nfs/dbraw/zinc/21/36/01/574213601.db2.gz MVJKJERZMYOUNQ-MRVPVSSYSA-N 0 0 281.337 2.777 20 5 CFBDRN Cn1ccc(COc2cc([N+](=O)[O-])ccc2Cl)cc1=O ZINC000747812318 574213781 /nfs/dbraw/zinc/21/37/81/574213781.db2.gz VITKMVYNHIDLRE-UHFFFAOYSA-N 0 0 294.694 2.526 20 5 CFBDRN CCc1ocnc1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000739522304 574216722 /nfs/dbraw/zinc/21/67/22/574216722.db2.gz APEMRXGPQVECHT-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN CO[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000733303376 574216873 /nfs/dbraw/zinc/21/68/73/574216873.db2.gz OBVLAZUHYCWWRN-VIFPVBQESA-N 0 0 282.193 2.723 20 5 CFBDRN CC1(C)CCC[C@@H](C(=O)OCCn2ccc([N+](=O)[O-])n2)C1 ZINC000756267988 574220252 /nfs/dbraw/zinc/22/02/52/574220252.db2.gz HOMXISXAXXNNCJ-LLVKDONJSA-N 0 0 295.339 2.551 20 5 CFBDRN CCc1ccc(OC(=O)C[C@H](C)COC)c([N+](=O)[O-])c1 ZINC000756283113 574221626 /nfs/dbraw/zinc/22/16/26/574221626.db2.gz APDGVJULLMIPNB-JTQLQIEISA-N 0 0 281.308 2.735 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)c1cccnc1 ZINC000733380291 574224764 /nfs/dbraw/zinc/22/47/64/574224764.db2.gz PSYKMGAEJOQEIN-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])O[C@H]1C=CCCC1 ZINC000733389214 574225830 /nfs/dbraw/zinc/22/58/30/574225830.db2.gz MVAYREIMZADKCL-NSHDSACASA-N 0 0 277.276 2.626 20 5 CFBDRN CC[C@@H](C)COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000756340505 574226446 /nfs/dbraw/zinc/22/64/46/574226446.db2.gz SZNFTLZZHYEBBN-SNVBAGLBSA-N 0 0 251.282 2.727 20 5 CFBDRN CCC[C@H](C)OC(=O)COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000748120938 574230666 /nfs/dbraw/zinc/23/06/66/574230666.db2.gz BSPSQRLWYQJRPH-JTQLQIEISA-N 0 0 295.291 2.518 20 5 CFBDRN CC[C@H](C)COC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000756375514 574230770 /nfs/dbraw/zinc/23/07/70/574230770.db2.gz RSGNDSWZJSPGLU-JTQLQIEISA-N 0 0 291.307 2.534 20 5 CFBDRN CCC[C@H](C)OC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000748131366 574231325 /nfs/dbraw/zinc/23/13/25/574231325.db2.gz BTSSSSQXLNJFES-NSHDSACASA-N 0 0 280.324 2.763 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000756386140 574232043 /nfs/dbraw/zinc/23/20/43/574232043.db2.gz IXLNZTLERIOHNU-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN COc1ccc(COC(=O)[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000748144203 574232207 /nfs/dbraw/zinc/23/22/07/574232207.db2.gz SKWSHBRIXFOEOY-SECBINFHSA-N 0 0 279.292 2.693 20 5 CFBDRN Cc1ocnc1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000729892717 574235719 /nfs/dbraw/zinc/23/57/19/574235719.db2.gz IEZDUJKOCBPJLU-MRVPVSSYSA-N 0 0 276.248 2.809 20 5 CFBDRN CC[C@H](C)COC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000756426047 574236088 /nfs/dbraw/zinc/23/60/88/574236088.db2.gz QATLJNNGINELAO-NSHDSACASA-N 0 0 280.324 2.620 20 5 CFBDRN C[C@H](COC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000748216294 574239766 /nfs/dbraw/zinc/23/97/66/574239766.db2.gz AFDJKPMKVNWIJX-SSDOTTSWSA-N 0 0 277.198 2.950 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])O[C@H]1C=CCCC1 ZINC000733460027 574239897 /nfs/dbraw/zinc/23/98/97/574239897.db2.gz CZKAYTQHLROQEQ-NSHDSACASA-N 0 0 276.292 2.659 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000733581151 574247502 /nfs/dbraw/zinc/24/75/02/574247502.db2.gz YSOOGSDSXLLERP-QMMMGPOBSA-N 0 0 257.311 2.992 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000733580797 574247562 /nfs/dbraw/zinc/24/75/62/574247562.db2.gz SKZAVXASMJTCME-SNVBAGLBSA-N 0 0 251.282 2.930 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000733686458 574252019 /nfs/dbraw/zinc/25/20/19/574252019.db2.gz YYBSTSWJFPNPJT-GFCCVEGCSA-N 0 0 294.351 2.866 20 5 CFBDRN Cc1c(CC(=O)OC/C=C\Cl)cccc1[N+](=O)[O-] ZINC000748473625 574254118 /nfs/dbraw/zinc/25/41/18/574254118.db2.gz FBGTVSMDAHRJKU-UTCJRWHESA-N 0 0 269.684 2.741 20 5 CFBDRN CC(C)(C(=O)OCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000740531548 574254185 /nfs/dbraw/zinc/25/41/85/574254185.db2.gz ZYMDWRHXOLGOEW-UHFFFAOYSA-N 0 0 263.293 2.826 20 5 CFBDRN O=C(C=C1CCC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000756733653 574260121 /nfs/dbraw/zinc/26/01/21/574260121.db2.gz NBBRULUPWBYFTN-UHFFFAOYSA-N 0 0 265.240 2.887 20 5 CFBDRN CC(C)n1ccc(CC(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000740697531 574263059 /nfs/dbraw/zinc/26/30/59/574263059.db2.gz OIOVKJZIHDWUNC-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN CCn1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000734081514 574272448 /nfs/dbraw/zinc/27/24/48/574272448.db2.gz LQMYERYRBKHCMV-JTQLQIEISA-N 0 0 289.291 2.729 20 5 CFBDRN CC1(C)CCC[C@@H](COC(=O)Cn2ccc([N+](=O)[O-])n2)C1 ZINC000749009654 574276440 /nfs/dbraw/zinc/27/64/40/574276440.db2.gz FKYVWGIXMBZEIP-LLVKDONJSA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@@H](CNC(=O)Cc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000734340641 574282762 /nfs/dbraw/zinc/28/27/62/574282762.db2.gz SKXSJPBUVHOHLW-NSHDSACASA-N 0 0 278.352 2.936 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ccc([N+](=O)[O-])cc2)o1 ZINC000741243959 574284442 /nfs/dbraw/zinc/28/44/42/574284442.db2.gz SSXWPQXXVBNDIC-GFCCVEGCSA-N 0 0 262.265 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC[C@@H]2CCCO2)c1 ZINC000749206203 574284900 /nfs/dbraw/zinc/28/49/00/574284900.db2.gz QQQPPVYCSGXREC-NSHDSACASA-N 0 0 279.292 2.629 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)c1ccncc1F ZINC000741378225 574288024 /nfs/dbraw/zinc/28/80/24/574288024.db2.gz RTUVBFIQTQEOCT-UHFFFAOYSA-N 0 0 290.250 2.528 20 5 CFBDRN COC[C@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000741504829 574294369 /nfs/dbraw/zinc/29/43/69/574294369.db2.gz UKPAECVDHDXKJO-LBPRGKRZSA-N 0 0 297.380 2.552 20 5 CFBDRN O=C(CCC1CC1)OCCOc1ccccc1[N+](=O)[O-] ZINC000734611375 574295315 /nfs/dbraw/zinc/29/53/15/574295315.db2.gz ZXLRATLMNAYWBS-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN Cc1[nH]nc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)c1C ZINC000734622009 574296115 /nfs/dbraw/zinc/29/61/15/574296115.db2.gz NXAAKGRXNPOBLE-JTQLQIEISA-N 0 0 289.291 2.853 20 5 CFBDRN Cc1[nH]nc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])c1C ZINC000734707824 574299594 /nfs/dbraw/zinc/29/95/94/574299594.db2.gz NCMVUPDDKVUTFX-JTQLQIEISA-N 0 0 289.291 2.853 20 5 CFBDRN CCO[C@H]1C[C@H]1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734780309 574301697 /nfs/dbraw/zinc/30/16/97/574301697.db2.gz QRGXUMYFGVSIMU-PWSUYJOCSA-N 0 0 299.710 2.716 20 5 CFBDRN CSCC(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734779106 574302554 /nfs/dbraw/zinc/30/25/54/574302554.db2.gz ASKNSWSQNWZCEO-UHFFFAOYSA-N 0 0 275.713 2.654 20 5 CFBDRN Cc1cccc(C(=O)OCC(=O)C2CCCC2)c1[N+](=O)[O-] ZINC000741823543 574305370 /nfs/dbraw/zinc/30/53/70/574305370.db2.gz QZBICAWSVBIRDO-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN CCC1(C)CCN(Cn2nc(C)c([N+](=O)[O-])c2C)CC1 ZINC000725776771 574306528 /nfs/dbraw/zinc/30/65/28/574306528.db2.gz AUMPKYWNKKWUBQ-UHFFFAOYSA-N 0 0 280.372 2.878 20 5 CFBDRN CCO[C@H]1C[C@@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734889298 574306784 /nfs/dbraw/zinc/30/67/84/574306784.db2.gz DUXKGTIPLFCHSD-JQWIXIFHSA-N 0 0 299.710 2.716 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2Cc3ccccc32)c([N+](=O)[O-])c1 ZINC000741884081 574308990 /nfs/dbraw/zinc/30/89/90/574308990.db2.gz CRXOHAMWJKMWST-ZDUSSCGKSA-N 0 0 299.282 2.849 20 5 CFBDRN Cc1cnc(OC[C@H]2CC2(Cl)Cl)c([N+](=O)[O-])c1 ZINC000750005518 574320763 /nfs/dbraw/zinc/32/07/63/574320763.db2.gz REIDVXVCYKWUHX-SSDOTTSWSA-N 0 0 277.107 2.871 20 5 CFBDRN C[C@H](CO)CSc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000750029223 574321670 /nfs/dbraw/zinc/32/16/70/574321670.db2.gz FBEKJXSSRJNFTA-SSDOTTSWSA-N 0 0 284.362 2.925 20 5 CFBDRN Cc1ccc(CCOC(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000735398966 574323274 /nfs/dbraw/zinc/32/32/74/574323274.db2.gz VABYMGXOSNNXIR-UHFFFAOYSA-N 0 0 288.303 2.641 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1ccc([N+](=O)[O-])nc1 ZINC000735487744 574326737 /nfs/dbraw/zinc/32/67/37/574326737.db2.gz YGTKNIZPAOFVNU-UHFFFAOYSA-N 0 0 291.219 2.699 20 5 CFBDRN Cc1ccc(NCCNc2ccc([N+](=O)[O-])cc2)nc1 ZINC000742390569 574327195 /nfs/dbraw/zinc/32/71/95/574327195.db2.gz SXIFCZKUBIDCRP-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H](n2ccnc2)C1 ZINC000742400881 574328304 /nfs/dbraw/zinc/32/83/04/574328304.db2.gz KWBNMKLDAUILHJ-LLVKDONJSA-N 0 0 290.298 2.772 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC[C@H](O)c1ccccc1 ZINC000742427815 574329765 /nfs/dbraw/zinc/32/97/65/574329765.db2.gz QDSNOPSRASGZJD-ZDUSSCGKSA-N 0 0 273.292 2.525 20 5 CFBDRN Cc1cccnc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000750185669 574330104 /nfs/dbraw/zinc/33/01/04/574330104.db2.gz UJOMROGMBXGDGD-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C[C@@H](CO)Sc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000742430011 574330447 /nfs/dbraw/zinc/33/04/47/574330447.db2.gz GLQNOFFXAJCBFE-LURJTMIESA-N 0 0 270.335 2.677 20 5 CFBDRN C[C@H](OC(=O)[C@H](O)C(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000742455243 574331018 /nfs/dbraw/zinc/33/10/18/574331018.db2.gz ZUNUBJOFWOKPQO-CABZTGNLSA-N 0 0 281.308 2.606 20 5 CFBDRN CN(Cc1ncc[nH]1)c1ccc([N+](=O)[O-])cc1Cl ZINC000735832013 574337550 /nfs/dbraw/zinc/33/75/50/574337550.db2.gz CAWWRJPCTICBCN-UHFFFAOYSA-N 0 0 266.688 2.608 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000742739294 574341939 /nfs/dbraw/zinc/34/19/39/574341939.db2.gz CCFZDZRXEHXVRY-JQWIXIFHSA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC1([C@@H]2CCOC2)CC1 ZINC000735911202 574341916 /nfs/dbraw/zinc/34/19/16/574341916.db2.gz PVKHGIGVPJWYLO-LLVKDONJSA-N 0 0 291.303 2.629 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])o1)c1ccncc1 ZINC000735962740 574344381 /nfs/dbraw/zinc/34/43/81/574344381.db2.gz QHJYGPBCQYVCPA-QMMMGPOBSA-N 0 0 262.221 2.501 20 5 CFBDRN O=C(OCc1ccon1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735962632 574344489 /nfs/dbraw/zinc/34/44/89/574344489.db2.gz NOFYZOBBOOKTMU-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000735962806 574344497 /nfs/dbraw/zinc/34/44/97/574344497.db2.gz RNEYTKBPKVYWOG-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN COc1ccc(C(=O)OC[Si](C)(C)C)cc1[N+](=O)[O-] ZINC000735967270 574344728 /nfs/dbraw/zinc/34/47/28/574344728.db2.gz VSLDBVKUOOJRGK-UHFFFAOYSA-N 0 0 283.356 2.638 20 5 CFBDRN CC1(C(=O)OCc2csc([N+](=O)[O-])c2)CCC1 ZINC000750534087 574346738 /nfs/dbraw/zinc/34/67/38/574346738.db2.gz IYDGIXKOBDHLQX-UHFFFAOYSA-N 0 0 255.295 2.890 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])n2C)[C@@H](C)C1 ZINC000736033114 574348503 /nfs/dbraw/zinc/34/85/03/574348503.db2.gz ZMWXHWVBIRPDMK-JFGNBEQYSA-N 0 0 280.324 2.915 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000736048248 574348773 /nfs/dbraw/zinc/34/87/73/574348773.db2.gz DNTLFFIPEYWRNM-SNVBAGLBSA-N 0 0 298.314 2.669 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)c2cnccn2)cccc1[N+](=O)[O-] ZINC000751156524 574370179 /nfs/dbraw/zinc/37/01/79/574370179.db2.gz JFTNYCXSXQRUAL-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN C/C=C\COC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000725935058 574371313 /nfs/dbraw/zinc/37/13/13/574371313.db2.gz DEUCINZTTDVTPJ-IHWYPQMZSA-N 0 0 257.192 2.606 20 5 CFBDRN CSC(C)(C)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000743794266 574372407 /nfs/dbraw/zinc/37/24/07/574372407.db2.gz XYWSHKFAHYQXCN-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN COC(=O)c1cc(NC(=O)C(C)=C(C)C)cc([N+](=O)[O-])c1 ZINC000751384000 574376189 /nfs/dbraw/zinc/37/61/89/574376189.db2.gz FKYKIJBOYYDPNW-UHFFFAOYSA-N 0 0 292.291 2.676 20 5 CFBDRN O=C(Nc1ccc[nH]c1=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000751399431 574376438 /nfs/dbraw/zinc/37/64/38/574376438.db2.gz RTHXOMFGEONLDG-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@H]1CCCCC1=O ZINC000725989357 574376949 /nfs/dbraw/zinc/37/69/49/574376949.db2.gz ZUGRCZKYWDEARG-ZDUSSCGKSA-N 0 0 277.276 2.572 20 5 CFBDRN CS/C=C\C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000751586916 574379804 /nfs/dbraw/zinc/37/98/04/574379804.db2.gz WYTCSSJQPIZNAS-VURMDHGXSA-N 0 0 278.333 2.751 20 5 CFBDRN O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)C1CCCC1 ZINC000751624703 574380245 /nfs/dbraw/zinc/38/02/45/574380245.db2.gz SAIVWNAVQQRNAE-UHFFFAOYSA-N 0 0 290.275 2.969 20 5 CFBDRN CCC1(C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)CC1 ZINC000751676717 574380916 /nfs/dbraw/zinc/38/09/16/574380916.db2.gz WKLKXWRYQYAEKC-UHFFFAOYSA-N 0 0 290.275 2.969 20 5 CFBDRN O=C(CC[C@H]1CCOC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000751711003 574381284 /nfs/dbraw/zinc/38/12/84/574381284.db2.gz VKKGSUYMMFFZAK-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1ccc(F)cn1 ZINC000751828834 574383551 /nfs/dbraw/zinc/38/35/51/574383551.db2.gz OPURRALQULZBKZ-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN C[C@@H]1CN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000751901366 574384545 /nfs/dbraw/zinc/38/45/45/574384545.db2.gz YYBNMSMDXNHROY-NXEZZACHSA-N 0 0 279.365 2.879 20 5 CFBDRN Cc1ccc([C@H](C)NC(=S)NC(C)C)cc1[N+](=O)[O-] ZINC000751916013 574385038 /nfs/dbraw/zinc/38/50/38/574385038.db2.gz XTGVVBCTJUYAIR-JTQLQIEISA-N 0 0 281.381 2.837 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000752349564 574390579 /nfs/dbraw/zinc/39/05/79/574390579.db2.gz UEVWDKXVWSZBRZ-DWOQACPDSA-N 0 0 291.303 2.909 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCC(=O)C(C)(C)C)c1[N+](=O)[O-] ZINC000752556893 574392766 /nfs/dbraw/zinc/39/27/66/574392766.db2.gz IGCMXSSPSDRNNY-UHFFFAOYSA-N 0 0 297.282 2.814 20 5 CFBDRN Cc1cc(COC(=O)c2c(F)ccc([N+](=O)[O-])c2F)no1 ZINC000752565725 574392854 /nfs/dbraw/zinc/39/28/54/574392854.db2.gz MTKXMCBGRHRHQU-UHFFFAOYSA-N 0 0 298.201 2.526 20 5 CFBDRN C[Si](C)(C)COC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752563869 574392871 /nfs/dbraw/zinc/39/28/71/574392871.db2.gz UVNMXRJONDLNMS-UHFFFAOYSA-N 0 0 289.310 2.907 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000753100548 574398472 /nfs/dbraw/zinc/39/84/72/574398472.db2.gz SYYBZDGZRVILNV-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)nc1 ZINC000753117848 574398863 /nfs/dbraw/zinc/39/88/63/574398863.db2.gz QUUZHMKKNRYLSO-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COC1(C(=O)OCc2csc([N+](=O)[O-])c2)CCCC1 ZINC000753518252 574403773 /nfs/dbraw/zinc/40/37/73/574403773.db2.gz YQNNPXKLEYWGQK-UHFFFAOYSA-N 0 0 285.321 2.659 20 5 CFBDRN O=C([O-])C1([NH2+]Cc2ccc(Cl)c([N+](=O)[O-])c2)CCCC1 ZINC000819225175 597260325 /nfs/dbraw/zinc/26/03/25/597260325.db2.gz ULFCIMAKYGRGMS-UHFFFAOYSA-N 0 0 298.726 2.735 20 5 CFBDRN O=C([O-])[C@@H]1CCC[N@H+](Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000093633474 601113310 /nfs/dbraw/zinc/11/33/10/601113310.db2.gz GFGLIYNNEZVLNE-SECBINFHSA-N 0 0 298.726 2.545 20 5 CFBDRN O=C([O-])CC1([NH2+]Cc2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000833205686 601554279 /nfs/dbraw/zinc/55/42/79/601554279.db2.gz LCDZEYYONCFGKA-UHFFFAOYSA-N 0 0 292.335 2.862 20 5 CFBDRN O=C([O-])CC1([NH2+]Cc2ccccc2[N+](=O)[O-])CCCCC1 ZINC000833205415 601554455 /nfs/dbraw/zinc/55/44/55/601554455.db2.gz FAGGJPOGEZDBGN-UHFFFAOYSA-N 0 0 292.335 2.862 20 5 CFBDRN CCC(CC)(CC(=O)[O-])[NH2+]Cc1ccccc1[N+](=O)[O-] ZINC000828804500 601634148 /nfs/dbraw/zinc/63/41/48/601634148.db2.gz PKPURRIPPYMFQY-UHFFFAOYSA-N 0 0 280.324 2.718 20 5 CFBDRN C/C(=C(\F)C(=O)NCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000900240041 620652946 /nfs/dbraw/zinc/65/29/46/620652946.db2.gz JAQALVLGQLMWNM-UKTHLTGXSA-N 0 0 278.283 2.865 20 5 CFBDRN CC1(C)CN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000935717053 649933387 /nfs/dbraw/zinc/93/33/87/649933387.db2.gz DRJAJKNGIBGDDS-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN CC(C)[C@H](C[NH2+][C@@H](C)c1cccc([N+](=O)[O-])c1)NC(=O)[O-] ZINC000825486377 603621591 /nfs/dbraw/zinc/62/15/91/603621591.db2.gz XPHULSJGUZISSP-GWCFXTLKSA-N 0 0 295.339 2.538 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935785682 649944331 /nfs/dbraw/zinc/94/43/31/649944331.db2.gz RUAGRWGLGZRISB-ZQDZILKHSA-N 0 0 286.331 2.613 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935791111 649945192 /nfs/dbraw/zinc/94/51/92/649945192.db2.gz KEDGPGZANAWLOY-WBMYTEFPSA-N 0 0 274.320 2.613 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@@]1(C)C=CCC1 ZINC000935859507 649955598 /nfs/dbraw/zinc/95/55/98/649955598.db2.gz XKMPPGSCKZRMKY-INIZCTEOSA-N 0 0 288.347 2.952 20 5 CFBDRN Cc1cc(-c2nn[nH]n2)ccc1Oc1ccc([N+](=O)[O-])cc1 ZINC000826254914 608375646 /nfs/dbraw/zinc/37/56/46/608375646.db2.gz FOBXCOGMFLVLDB-UHFFFAOYSA-N 0 0 297.274 2.876 20 5 CFBDRN CC(C)Oc1ccc(Nc2c([N+](=O)[O-])cnn2C)cc1 ZINC000891879866 617798690 /nfs/dbraw/zinc/79/86/90/617798690.db2.gz YJSZOPTXSFQGLV-UHFFFAOYSA-N 0 0 276.296 2.859 20 5 CFBDRN CCc1ccc([C@H](O)CNc2cccnc2[N+](=O)[O-])cc1 ZINC000804776306 617877320 /nfs/dbraw/zinc/87/73/20/617877320.db2.gz VXUOEVTYHKOQOU-CQSZACIVSA-N 0 0 287.319 2.698 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1ccc(C)cc1C ZINC000176214447 617880980 /nfs/dbraw/zinc/88/09/80/617880980.db2.gz BUSHEZFGTYGRCQ-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN Cc1ccc(C(C)C)cc1OCC(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813106284 617926797 /nfs/dbraw/zinc/92/67/97/617926797.db2.gz AAFZBMVIULOPBH-GFCCVEGCSA-N 0 0 295.335 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NC/C=C/Cl)c1 ZINC000891211615 618046816 /nfs/dbraw/zinc/04/68/16/618046816.db2.gz PBHNGVXLCIPBLC-QHHAFSJGSA-N 0 0 298.726 2.541 20 5 CFBDRN CC[C@@H](C)CS(=O)(=O)Oc1ccc([N+](=O)[O-])cc1C ZINC000161334187 618069879 /nfs/dbraw/zinc/06/98/79/618069879.db2.gz DUSFAGKEWIJIOQ-SECBINFHSA-N 0 0 287.337 2.658 20 5 CFBDRN Cc1nnc(COc2cc(F)c([N+](=O)[O-])cc2Cl)o1 ZINC000302509266 617720334 /nfs/dbraw/zinc/72/03/34/617720334.db2.gz MVAYHFHJPHWMRJ-UHFFFAOYSA-N 0 0 287.634 2.658 20 5 CFBDRN CC[C@@H](C)OC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 ZINC000121590736 617775299 /nfs/dbraw/zinc/77/52/99/617775299.db2.gz HZLWKLLFUUPOPD-SNVBAGLBSA-N 0 0 289.291 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCc1ncccc1O ZINC000804680144 617800682 /nfs/dbraw/zinc/80/06/82/617800682.db2.gz OEYJTVKDBKOKQX-UHFFFAOYSA-N 0 0 279.683 2.961 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@@H]2C[C@@H]21 ZINC000804687599 617811363 /nfs/dbraw/zinc/81/13/63/617811363.db2.gz CZOACVHMLGCWAE-PWSUYJOCSA-N 0 0 255.277 2.742 20 5 CFBDRN Cc1c(Br)c(N2CC[C@@H]3C[C@@H]32)ncc1[N+](=O)[O-] ZINC000804688630 617812353 /nfs/dbraw/zinc/81/23/53/617812353.db2.gz PJABTLFXFOTACO-SFYZADRCSA-N 0 0 298.140 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCO[C@@H](C(F)F)C1 ZINC000804694237 617816710 /nfs/dbraw/zinc/81/67/10/617816710.db2.gz PJSYXTOZDIKOEX-SNVBAGLBSA-N 0 0 292.669 2.719 20 5 CFBDRN C[C@@H](O)[C@@H]1CCCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000120820592 617824353 /nfs/dbraw/zinc/82/43/53/617824353.db2.gz WYPWJCUEKXGLSY-GHMZBOCLSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(OCc1cccnc1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000901182256 620758790 /nfs/dbraw/zinc/75/87/90/620758790.db2.gz IWBXVLGPBJNBMW-LSDHHAIUSA-N 0 0 298.298 2.837 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCCCCC(C)C)n1 ZINC000860895193 617854389 /nfs/dbraw/zinc/85/43/89/617854389.db2.gz QXGLZRRNQZUWKC-UHFFFAOYSA-N 0 0 283.328 2.794 20 5 CFBDRN CC1CC(NC(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000934025229 617864704 /nfs/dbraw/zinc/86/47/04/617864704.db2.gz CKACEAAUJVAZMG-FDIUBAFMSA-N 0 0 274.320 2.613 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC=CC[C@H]2C)c1 ZINC000890771057 617868117 /nfs/dbraw/zinc/86/81/17/617868117.db2.gz KFGPQWIKRZGBBH-ZWNOBZJWSA-N 0 0 290.319 2.688 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000890772612 617870634 /nfs/dbraw/zinc/87/06/34/617870634.db2.gz YYGIKGWHEMPJBN-RKDXNWHRSA-N 0 0 266.322 2.741 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000890772545 617871158 /nfs/dbraw/zinc/87/11/58/617871158.db2.gz WWSIDSIQVLZDED-BXUZGUMPSA-N 0 0 274.320 2.608 20 5 CFBDRN O=C(/C=C\C1CCCCCC1)OCCC[N+](=O)[O-] ZINC000860918973 617872191 /nfs/dbraw/zinc/87/21/91/617872191.db2.gz JATKRWLPDBEIGA-HJWRWDBZSA-N 0 0 255.314 2.723 20 5 CFBDRN CC(C)c1cc(C(=O)OCc2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000051368729 617879438 /nfs/dbraw/zinc/87/94/38/617879438.db2.gz GUFSEXBUDNLLCY-UHFFFAOYSA-N 0 0 289.291 2.798 20 5 CFBDRN CN(Cc1cnc(Cl)cn1)Cc1ccccc1[N+](=O)[O-] ZINC000788008579 617880028 /nfs/dbraw/zinc/88/00/28/617880028.db2.gz FBMQNIASOIEFET-UHFFFAOYSA-N 0 0 292.726 2.670 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@@H]3C[C@@H]32)c(F)c1 ZINC000804785160 617880518 /nfs/dbraw/zinc/88/05/18/617880518.db2.gz ZDLMUCOFZLBXET-HQJQHLMTSA-N 0 0 254.236 2.862 20 5 CFBDRN COCCCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000234621498 617887221 /nfs/dbraw/zinc/88/72/21/617887221.db2.gz QGXLVFZWUSUVRS-UHFFFAOYSA-N 0 0 262.668 2.836 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2Nc1c([N+](=O)[O-])cnn1C ZINC000891977339 617897794 /nfs/dbraw/zinc/89/77/94/617897794.db2.gz VSWUPCOYLLWNLR-LBPRGKRZSA-N 0 0 272.308 2.736 20 5 CFBDRN O=C(OCc1ccccn1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000901173250 620761585 /nfs/dbraw/zinc/76/15/85/620761585.db2.gz SKOJQPUARXQZGI-HUUCEWRRSA-N 0 0 298.298 2.837 20 5 CFBDRN C[C@@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC(C)(C)O1 ZINC000892025284 617917585 /nfs/dbraw/zinc/91/75/85/617917585.db2.gz OUTOOOIQAORVGI-SECBINFHSA-N 0 0 295.295 2.507 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCc2c1cccc2Cl)[N+](=O)[O-] ZINC000813103535 617924965 /nfs/dbraw/zinc/92/49/65/617924965.db2.gz CZSQARORKHMWMI-LDYMZIIASA-N 0 0 283.711 2.578 20 5 CFBDRN Cc1[nH]c2c(cccc2C(=O)OC[C@@H](C)[N+](=O)[O-])c1C ZINC000813104514 617925064 /nfs/dbraw/zinc/92/50/64/617925064.db2.gz DHWPSLAEBUPHLF-MRVPVSSYSA-N 0 0 276.292 2.607 20 5 CFBDRN CCCC[C@H](CCC)NC(=O)Cn1c(C)ncc1[N+](=O)[O-] ZINC000934171449 617933101 /nfs/dbraw/zinc/93/31/01/617933101.db2.gz ZIHIXSNEWBJVQR-LBPRGKRZSA-N 0 0 296.371 2.575 20 5 CFBDRN CO[C@@H](C)CN(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804840434 617936391 /nfs/dbraw/zinc/93/63/91/617936391.db2.gz KUDDZYMJCAFRKB-QMMMGPOBSA-N 0 0 258.705 2.719 20 5 CFBDRN CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813110725 617936853 /nfs/dbraw/zinc/93/68/53/617936853.db2.gz ICVWSXDREMDDSH-RVMXOQNASA-N 0 0 287.356 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C(F)(F)F)c1C ZINC000890953628 617955873 /nfs/dbraw/zinc/95/58/73/617955873.db2.gz CFYQPGJETOWPCA-QMMMGPOBSA-N 0 0 290.241 2.892 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCc2ccc(Cl)cc21 ZINC000892129672 617964407 /nfs/dbraw/zinc/96/44/07/617964407.db2.gz XGVQNKCGKWVZFC-UHFFFAOYSA-N 0 0 278.699 2.676 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000160309827 617969133 /nfs/dbraw/zinc/96/91/33/617969133.db2.gz ZEUSJJFNDMJERH-SECBINFHSA-N 0 0 284.337 2.814 20 5 CFBDRN CCc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2CC)no1 ZINC000891031375 617976649 /nfs/dbraw/zinc/97/66/49/617976649.db2.gz YWKLXHLRLKPFEG-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000068492360 617977021 /nfs/dbraw/zinc/97/70/21/617977021.db2.gz HJPPLTHGHFEHLK-JTQLQIEISA-N 0 0 267.281 2.705 20 5 CFBDRN Cc1ncc(COc2cc(C=O)ccc2[N+](=O)[O-])s1 ZINC000060280871 617983669 /nfs/dbraw/zinc/98/36/69/617983669.db2.gz AMSALYKIBHMERH-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN CC[S@](=O)CCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000234094356 617985810 /nfs/dbraw/zinc/98/58/10/617985810.db2.gz ORJONTKYSJWODW-SFHVURJKSA-N 0 0 294.735 2.568 20 5 CFBDRN Cc1cc(C(=O)N=[S@](C)(=O)C(C)C)cc([N+](=O)[O-])c1 ZINC000926066056 617998999 /nfs/dbraw/zinc/99/89/99/617998999.db2.gz GRZPQEQHOBWPHJ-LJQANCHMSA-N 0 0 284.337 2.550 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@]1(C)CCOC1 ZINC000807974338 618014231 /nfs/dbraw/zinc/01/42/31/618014231.db2.gz COZRSEWNFUJPLL-AWEZNQCLSA-N 0 0 278.308 2.636 20 5 CFBDRN C[C@H](C(=O)NC/C=C\Cl)c1ccc([N+](=O)[O-])cc1F ZINC000891205143 618042330 /nfs/dbraw/zinc/04/23/30/618042330.db2.gz PXNJNYYRZRJHSK-YAPUSRSVSA-N 0 0 286.690 2.706 20 5 CFBDRN CC1(C)OC[C@H](COc2cc([N+](=O)[O-])ccc2Cl)O1 ZINC000200609697 618053399 /nfs/dbraw/zinc/05/33/99/618053399.db2.gz GFDPTDVPLUJMPI-VIFPVBQESA-N 0 0 287.699 2.779 20 5 CFBDRN O=C([O-])C[C@H]1CCC[N@@H+]1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000049964261 618054543 /nfs/dbraw/zinc/05/45/43/618054543.db2.gz ROONKBWMXAVUPP-SNVBAGLBSA-N 0 0 298.726 2.687 20 5 CFBDRN C[C@H](CCO)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235435539 618116238 /nfs/dbraw/zinc/11/62/38/618116238.db2.gz BBTZVIGFUZHBLZ-SSDOTTSWSA-N 0 0 276.695 2.818 20 5 CFBDRN O=C(OCc1c(F)cccc1F)c1ccc([N+](=O)[O-])cn1 ZINC000779261031 618118357 /nfs/dbraw/zinc/11/83/57/618118357.db2.gz IWXFSDXGNMHKTQ-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2nccs2)ccc1F ZINC000106403927 618119035 /nfs/dbraw/zinc/11/90/35/618119035.db2.gz OEUXXMKNNHIVPF-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCC1CC1 ZINC000160616264 618125238 /nfs/dbraw/zinc/12/52/38/618125238.db2.gz SQNRQAFDSSIYFI-UHFFFAOYSA-N 0 0 274.276 2.572 20 5 CFBDRN O=C(OC1CCCC1)c1c(F)ccc([N+](=O)[O-])c1F ZINC000221404740 618137017 /nfs/dbraw/zinc/13/70/17/618137017.db2.gz FLTKWYUNZAPYIH-UHFFFAOYSA-N 0 0 271.219 2.972 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)c1sccc1C1CC1 ZINC000891347880 618141876 /nfs/dbraw/zinc/14/18/76/618141876.db2.gz LFWUTIAKEVHODK-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCSC2)c1C ZINC000889354482 618162909 /nfs/dbraw/zinc/16/29/09/618162909.db2.gz GVKJXWBICDDVRW-NSHDSACASA-N 0 0 294.376 2.837 20 5 CFBDRN CCOCCCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255314884 618191451 /nfs/dbraw/zinc/19/14/51/618191451.db2.gz QAXAEFZANNFSRF-YFHOEESVSA-N 0 0 293.319 2.968 20 5 CFBDRN C[C@H]1CCCCN1c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000891442423 618205608 /nfs/dbraw/zinc/20/56/08/618205608.db2.gz QJNCLDWSNOGLJR-VIFPVBQESA-N 0 0 265.269 2.882 20 5 CFBDRN CCCCCCOC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000065659707 618208882 /nfs/dbraw/zinc/20/88/82/618208882.db2.gz ZBATVSNPDPWNRR-UHFFFAOYSA-N 0 0 283.328 2.613 20 5 CFBDRN CCc1nc(CNc2ncc([N+](=O)[O-])cc2OC)cs1 ZINC000892408496 618230762 /nfs/dbraw/zinc/23/07/62/618230762.db2.gz HDYKISJDWHYIHP-UHFFFAOYSA-N 0 0 294.336 2.629 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)OCc1ccccc1[N+](=O)[O-] ZINC000782334381 618230906 /nfs/dbraw/zinc/23/09/06/618230906.db2.gz ZAEYKPBJFSSUNZ-FPLPWBNLSA-N 0 0 272.260 2.680 20 5 CFBDRN COc1cc(Cl)ccc1CNc1c([N+](=O)[O-])cnn1C ZINC000892409348 618230919 /nfs/dbraw/zinc/23/09/19/618230919.db2.gz CIDXFFHNYKLALY-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@H]1CSc2ccccc21 ZINC000894008042 618266627 /nfs/dbraw/zinc/26/66/27/618266627.db2.gz VTEGMWRZTPDNKN-VIFPVBQESA-N 0 0 290.348 2.630 20 5 CFBDRN CC(C)CCCOC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000102596461 618268965 /nfs/dbraw/zinc/26/89/65/618268965.db2.gz WBBIPQXSHICLGM-UHFFFAOYSA-N 0 0 283.328 2.506 20 5 CFBDRN C[C@H]1C[C@H](C)CN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000881736193 618277404 /nfs/dbraw/zinc/27/74/04/618277404.db2.gz YZUXFQPSMUSKPU-IUCAKERBSA-N 0 0 253.277 2.611 20 5 CFBDRN CCC1(Nc2c3ccccc3n(C)c(=O)c2[N+](=O)[O-])CC1 ZINC000894035637 618278350 /nfs/dbraw/zinc/27/83/50/618278350.db2.gz WTLDEATYIVESKQ-UHFFFAOYSA-N 0 0 287.319 2.801 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N[C@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000894039516 618283982 /nfs/dbraw/zinc/28/39/82/618283982.db2.gz LYSKGZJGVBDKIB-ZCFIWIBFSA-N 0 0 292.669 2.940 20 5 CFBDRN C[C@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)C(F)F ZINC000894054665 618294409 /nfs/dbraw/zinc/29/44/09/618294409.db2.gz RMQAMPIBGZAYMK-ZETCQYMHSA-N 0 0 299.277 2.553 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCCC2CC2)c1F ZINC000229576775 618315419 /nfs/dbraw/zinc/31/54/19/618315419.db2.gz VFNRVAPTEHZGMM-UHFFFAOYSA-N 0 0 267.256 2.999 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC[C@@H](C)C2CC2)c1F ZINC000235448856 618322648 /nfs/dbraw/zinc/32/26/48/618322648.db2.gz JBHHTCXSXLOMIL-SECBINFHSA-N 0 0 280.299 2.818 20 5 CFBDRN O=C(Nc1cc(F)ccc1O)c1cc(F)ccc1[N+](=O)[O-] ZINC000179645800 618332777 /nfs/dbraw/zinc/33/27/77/618332777.db2.gz MLICEKKZXGBBRJ-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN COc1cc(C[NH2+]Cc2cccc([N+](=O)[O-])c2C)ccn1 ZINC000062070270 618340137 /nfs/dbraw/zinc/34/01/37/618340137.db2.gz LCRPMUOHUGRRHA-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN Cn1c(=O)c([N+](=O)[O-])c(NC[C@@H]2C=CCC2)c2ccccc21 ZINC000895129837 618353650 /nfs/dbraw/zinc/35/36/50/618353650.db2.gz HKMMKEKAJCPEOJ-LLVKDONJSA-N 0 0 299.330 2.825 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C=CCC1 ZINC000893327218 618362014 /nfs/dbraw/zinc/36/20/14/618362014.db2.gz XMPLNQXNFVEGKH-SNVBAGLBSA-N 0 0 278.283 2.738 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)[C@@H](C)[C@H](C)O1 ZINC000782403973 618386314 /nfs/dbraw/zinc/38/63/14/618386314.db2.gz QOCIGXZXYKKAGT-VWYCJHECSA-N 0 0 250.298 2.597 20 5 CFBDRN CC1(C)CCCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000063067551 618401461 /nfs/dbraw/zinc/40/14/61/618401461.db2.gz OJLYINIYCQCBOK-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN O=C(N[C@H]1CCC(F)(F)C1)c1cc(F)ccc1[N+](=O)[O-] ZINC000312646045 618407135 /nfs/dbraw/zinc/40/71/35/618407135.db2.gz DSZYWFSWKDKSHF-QMMMGPOBSA-N 0 0 288.225 2.652 20 5 CFBDRN CC(C)OCC(N)=NO[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000749788514 618407720 /nfs/dbraw/zinc/40/77/20/618407720.db2.gz BKWMLDHAGMGLCF-SNVBAGLBSA-N 0 0 281.312 2.579 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cc([C@@H](O)C2CC2)on1 ZINC000901394352 620803591 /nfs/dbraw/zinc/80/35/91/620803591.db2.gz FAJXCQOAIXORMH-ZDUSSCGKSA-N 0 0 260.249 2.693 20 5 CFBDRN C[C@@H](Cn1cc([N+](=O)[O-])cc(Cl)c1=O)C(F)(F)F ZINC000439046944 618417622 /nfs/dbraw/zinc/41/76/22/618417622.db2.gz OLWRNMLQRBXFNH-YFKPBYRVSA-N 0 0 284.621 2.608 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867500246 618421316 /nfs/dbraw/zinc/42/13/16/618421316.db2.gz UVJIZZOIYYFXIQ-MFKMUULPSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@@H](O)CCc1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901395926 620804803 /nfs/dbraw/zinc/80/48/03/620804803.db2.gz NFKZYKJCTQQFIW-SECBINFHSA-N 0 0 262.265 2.563 20 5 CFBDRN CCOc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000739778117 618430487 /nfs/dbraw/zinc/43/04/87/618430487.db2.gz VJCHVNBSFHMBIG-UHFFFAOYSA-N 0 0 289.291 2.598 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(Cl)cc1Cl ZINC000814037085 618438656 /nfs/dbraw/zinc/43/86/56/618438656.db2.gz QSQWFTUBCUKBPG-LURJTMIESA-N 0 0 278.091 2.815 20 5 CFBDRN C/C(=C/c1ccccc1Cl)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814040513 618442162 /nfs/dbraw/zinc/44/21/62/618442162.db2.gz HYQSOKRLMUFNEL-UJICNMFASA-N 0 0 283.711 2.952 20 5 CFBDRN CCn1cc(NC(=S)Nc2cccc([N+](=O)[O-])c2)cn1 ZINC000726120728 618445221 /nfs/dbraw/zinc/44/52/21/618445221.db2.gz UBOHLSWUGKRKAR-UHFFFAOYSA-N 0 0 291.336 2.620 20 5 CFBDRN CCOCc1cc(-c2cc3c(cc2[N+](=O)[O-])OCO3)no1 ZINC000901398274 620806074 /nfs/dbraw/zinc/80/60/74/620806074.db2.gz NGARVLJHOUVOFL-UHFFFAOYSA-N 0 0 292.247 2.515 20 5 CFBDRN CCCCc1ccc(CC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814048584 618449599 /nfs/dbraw/zinc/44/95/99/618449599.db2.gz VEPWMYHINGRLGA-GFCCVEGCSA-N 0 0 279.336 2.780 20 5 CFBDRN CC(C)c1cccc(O[C@@H](C)C(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000814045813 618449723 /nfs/dbraw/zinc/44/97/23/618449723.db2.gz AAAQWUWAQMZESC-RYUDHWBXSA-N 0 0 295.335 2.786 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000842117145 618487315 /nfs/dbraw/zinc/48/73/15/618487315.db2.gz UJXBOBRVYHPLEN-CYBMUJFWSA-N 0 0 299.298 2.838 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000928590498 618502723 /nfs/dbraw/zinc/50/27/23/618502723.db2.gz XVZXQCOBXYYIGI-HUYFXPKMSA-N 0 0 292.335 2.538 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000928590500 618502931 /nfs/dbraw/zinc/50/29/31/618502931.db2.gz XVZXQCOBXYYIGI-ZJRUKIMVSA-N 0 0 292.335 2.538 20 5 CFBDRN CN(CCCF)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000895300925 618520314 /nfs/dbraw/zinc/52/03/14/618520314.db2.gz XEXUWCJHCNKKDS-QWHCGFSZSA-N 0 0 280.299 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3C[C@]3(c3ccccc3)C2)nc1 ZINC000895393665 618565929 /nfs/dbraw/zinc/56/59/29/618565929.db2.gz GUZTYPQSCGDPTH-XJKSGUPXSA-N 0 0 281.315 2.768 20 5 CFBDRN Cc1nc([C@@H]2CCN(c3c(C)cccc3[N+](=O)[O-])C2)no1 ZINC000895404865 618570421 /nfs/dbraw/zinc/57/04/21/618570421.db2.gz XJAXPLGKRHWERC-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN NC(=O)c1cc(NC[C@@]23C[C@@H]2CCCC3)ccc1[N+](=O)[O-] ZINC000895428174 618577384 /nfs/dbraw/zinc/57/73/84/618577384.db2.gz RFVADGCBPJYWJQ-ZUZCIYMTSA-N 0 0 289.335 2.686 20 5 CFBDRN Cc1ccc(OS(=O)(=O)CC2CCCC2)c([N+](=O)[O-])c1 ZINC000177740165 618604846 /nfs/dbraw/zinc/60/48/46/618604846.db2.gz XZMJXRDCGQBUPI-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN O=C(OCC[C@@H]1CCCO1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000177948744 618614925 /nfs/dbraw/zinc/61/49/25/618614925.db2.gz WGBSBCHCLDWBLY-LBPRGKRZSA-N 0 0 299.710 2.974 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NCC1(O)CCC1 ZINC000236465250 618623975 /nfs/dbraw/zinc/62/39/75/618623975.db2.gz LCERZVBXZHLEIV-UHFFFAOYSA-N 0 0 274.679 2.714 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000310911491 618656598 /nfs/dbraw/zinc/65/65/98/618656598.db2.gz HKUHJMQSDWWRDI-OIBJUYFYSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@@H]1CO[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000892636302 618666266 /nfs/dbraw/zinc/66/62/66/618666266.db2.gz OBSKTIFPLLXTOO-CPCISQLKSA-N 0 0 285.683 2.579 20 5 CFBDRN O=C(Nc1nccc2cc([N+](=O)[O-])ccc21)[C@H]1CC12CC2 ZINC000892637649 618666566 /nfs/dbraw/zinc/66/65/66/618666566.db2.gz KZSGVQWBIZJMIM-GFCCVEGCSA-N 0 0 283.287 2.882 20 5 CFBDRN COC(C)(C)C(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892644013 618669304 /nfs/dbraw/zinc/66/93/04/618669304.db2.gz NKMPPJAVBRCNPB-UHFFFAOYSA-N 0 0 289.291 2.507 20 5 CFBDRN COc1cc(Cl)ccc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125544 618688036 /nfs/dbraw/zinc/68/80/36/618688036.db2.gz HNDWBZHUCPJWRE-UHFFFAOYSA-N 0 0 287.699 2.561 20 5 CFBDRN Cc1cn(Cc2nc(Cl)ccc2Cl)nc1[N+](=O)[O-] ZINC000070218339 625285248 /nfs/dbraw/zinc/28/52/48/625285248.db2.gz YFXSLERVYNBOHW-UHFFFAOYSA-N 0 0 287.106 2.850 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CC[C@H](SC)C1 ZINC000892696359 618715640 /nfs/dbraw/zinc/71/56/40/618715640.db2.gz IAAAFNIJJAJMAZ-SCZZXKLOSA-N 0 0 283.353 2.694 20 5 CFBDRN CCOc1cc(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000892742356 618737341 /nfs/dbraw/zinc/73/73/41/618737341.db2.gz RYTVVRKXDWHCFX-URLYPYJESA-N 0 0 290.319 2.978 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])c(S[C@H](C)CO)cc1C ZINC000892740128 618737616 /nfs/dbraw/zinc/73/76/16/618737616.db2.gz MZRUFKCGZHAMIY-SECBINFHSA-N 0 0 299.348 2.553 20 5 CFBDRN CCOc1cc(NC(=O)C2(C)CC2)ccc1[N+](=O)[O-] ZINC000892746064 618738533 /nfs/dbraw/zinc/73/85/33/618738533.db2.gz ITLQORZEPNZEOC-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN CCOc1cc(NC(=O)C(C)(C)COC)ccc1[N+](=O)[O-] ZINC000892747153 618739994 /nfs/dbraw/zinc/73/99/94/618739994.db2.gz QVVHEVVQGYLGEI-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN O=C(N1CCCCc2cc([N+](=O)[O-])ccc21)C1(F)CC1 ZINC000892853452 618757816 /nfs/dbraw/zinc/75/78/16/618757816.db2.gz DVNYVPXYAYDCJR-UHFFFAOYSA-N 0 0 278.283 2.766 20 5 CFBDRN CC[C@@H](OC)C(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892859047 618759524 /nfs/dbraw/zinc/75/95/24/618759524.db2.gz UNHUODAQVULWAV-CQSZACIVSA-N 0 0 292.335 2.689 20 5 CFBDRN CC1(C)CC[C@@H]1Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000311976212 618811806 /nfs/dbraw/zinc/81/18/06/618811806.db2.gz NUVLSADFMCIZKD-VIFPVBQESA-N 0 0 262.269 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@H]1C[C@H](C)CO1 ZINC000893157036 618851226 /nfs/dbraw/zinc/85/12/26/618851226.db2.gz ITHZPRRQJJHNQK-LKFCYVNXSA-N 0 0 293.319 2.680 20 5 CFBDRN COCCC1CN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000853772273 618871405 /nfs/dbraw/zinc/87/14/05/618871405.db2.gz LWTPLIAOZRZERB-UHFFFAOYSA-N 0 0 270.716 2.721 20 5 CFBDRN CC1CN(c2c3cc(F)ccc3ncc2[N+](=O)[O-])C1 ZINC000893197170 618879600 /nfs/dbraw/zinc/87/96/00/618879600.db2.gz JVOHNVBCKMOUJD-UHFFFAOYSA-N 0 0 261.256 2.738 20 5 CFBDRN CCOC(=O)/C=C\COc1ccc(CC)cc1[N+](=O)[O-] ZINC000312152043 618883574 /nfs/dbraw/zinc/88/35/74/618883574.db2.gz KCMJSZSRPRRKEG-WAYWQWQTSA-N 0 0 279.292 2.655 20 5 CFBDRN C[C@@H]1CN(c2ccc(C(F)F)cc2[N+](=O)[O-])CC[C@H]1O ZINC000312261981 618914716 /nfs/dbraw/zinc/91/47/16/618914716.db2.gz OPTUZTDUJCEVJY-PRHODGIISA-N 0 0 286.278 2.740 20 5 CFBDRN Cn1ccc(CNc2ccc(C(F)F)cc2[N+](=O)[O-])n1 ZINC000312758825 618931633 /nfs/dbraw/zinc/93/16/33/618931633.db2.gz LTOCZNNHXMZCRL-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCCC2CC2)c1F ZINC000312833169 618954113 /nfs/dbraw/zinc/95/41/13/618954113.db2.gz UOZARFBWLOMESJ-UHFFFAOYSA-N 0 0 284.262 2.711 20 5 CFBDRN CC1(C)C[C@]1(C)CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000893384066 618956518 /nfs/dbraw/zinc/95/65/18/618956518.db2.gz NYBGHZAVOBVCDB-OAHLLOKOSA-N 0 0 276.336 2.690 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@@H]1C(F)F ZINC000931423658 618966934 /nfs/dbraw/zinc/96/69/34/618966934.db2.gz CEBLDGDUENEHJA-SECBINFHSA-N 0 0 260.215 2.573 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N(C)CC(C)C)c1F ZINC000312966057 618969861 /nfs/dbraw/zinc/96/98/61/618969861.db2.gz UQXDASPBKNFCDT-UHFFFAOYSA-N 0 0 286.278 2.909 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CCC(F)(F)C1 ZINC000313319756 618979691 /nfs/dbraw/zinc/97/96/91/618979691.db2.gz WJLDIIZPSYIRDU-UHFFFAOYSA-N 0 0 290.653 2.729 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2F)C[C@@H](C)S1 ZINC000070385030 625308058 /nfs/dbraw/zinc/30/80/58/625308058.db2.gz VYTLXEPXKLVEDX-RKDXNWHRSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)Cc2c[nH]nc21 ZINC000931459437 618980494 /nfs/dbraw/zinc/98/04/94/618980494.db2.gz OYGIJRZHUMNTBY-VIFPVBQESA-N 0 0 290.298 2.576 20 5 CFBDRN C[C@@H](CNC(=O)NCC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000893412908 618981857 /nfs/dbraw/zinc/98/18/57/618981857.db2.gz QFWYBTBVZWHJJL-NSHDSACASA-N 0 0 291.351 2.798 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CC12CCC2)[N+](=O)[O-] ZINC000893467922 619008476 /nfs/dbraw/zinc/00/84/76/619008476.db2.gz YBQSRPXDWCUNHI-SNVBAGLBSA-N 0 0 255.314 2.555 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCc1cscc1C ZINC000893543801 619056941 /nfs/dbraw/zinc/05/69/41/619056941.db2.gz XIZFPLBAECQISO-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000163075589 619125267 /nfs/dbraw/zinc/12/52/67/619125267.db2.gz WZKXWOVJWUJMSK-JTQLQIEISA-N 0 0 298.339 2.620 20 5 CFBDRN Cc1ccc([C@H]2CCCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1C ZINC000107342936 619134387 /nfs/dbraw/zinc/13/43/87/619134387.db2.gz XEXLDJNRTMGIOQ-RBSFLKMASA-N 0 0 288.347 2.632 20 5 CFBDRN O=C(OCCOC1CC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000893680816 619155166 /nfs/dbraw/zinc/15/51/66/619155166.db2.gz CSYMPXLGUGHTSA-UHFFFAOYSA-N 0 0 285.683 2.584 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCCO2)cc1F ZINC000067015607 619163148 /nfs/dbraw/zinc/16/31/48/619163148.db2.gz PQUUWAWAESKONB-JTQLQIEISA-N 0 0 255.245 2.682 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000893696570 619165381 /nfs/dbraw/zinc/16/53/81/619165381.db2.gz JAYXTHAZCGVSOK-FPMFFAJLSA-N 0 0 288.347 2.832 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccc(-n3nccn3)cc2)c1 ZINC000122703559 619167797 /nfs/dbraw/zinc/16/77/97/619167797.db2.gz VCGKDSNNPDCXFJ-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCSC[C@H]2C)c1C ZINC000889089768 619181391 /nfs/dbraw/zinc/18/13/91/619181391.db2.gz NOFWSUXBYDNRIX-SNVBAGLBSA-N 0 0 294.376 2.789 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000893725729 619213103 /nfs/dbraw/zinc/21/31/03/619213103.db2.gz AUHSXXINVOPYPU-JTQLQIEISA-N 0 0 283.309 2.809 20 5 CFBDRN CCOC1CC(N(C)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000893868390 619231552 /nfs/dbraw/zinc/23/15/52/619231552.db2.gz WOBFXDHNUNYIJO-UHFFFAOYSA-N 0 0 295.295 2.507 20 5 CFBDRN Cn1c(=O)c([N+](=O)[O-])c(NCCCCF)c2ccccc21 ZINC000893926187 619245045 /nfs/dbraw/zinc/24/50/45/619245045.db2.gz QVWXYROFIFBFIQ-UHFFFAOYSA-N 0 0 293.298 2.608 20 5 CFBDRN Nc1ccc(C(=O)NC2(c3ccccc3)CC2)cc1[N+](=O)[O-] ZINC000726564818 619252197 /nfs/dbraw/zinc/25/21/97/619252197.db2.gz WSHWVZCAXJRHIY-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCc1cc(C)nc(C)c1 ZINC000894240087 619276430 /nfs/dbraw/zinc/27/64/30/619276430.db2.gz QGCIZVRTAWZXAM-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Nc1ccc(OCCc2ccccc2)cc1[N+](=O)[O-] ZINC000204914563 619283329 /nfs/dbraw/zinc/28/33/29/619283329.db2.gz NRLWAVXTBJHMBL-UHFFFAOYSA-N 0 0 258.277 2.799 20 5 CFBDRN O=C(OCc1c(Cl)cccc1Cl)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840672266 619308561 /nfs/dbraw/zinc/30/85/61/619308561.db2.gz GVRLZTTVBWCYAK-LHLIQPBNSA-N 0 0 290.102 2.702 20 5 CFBDRN C[C@@H](NC(=O)C[C@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000928244615 619328272 /nfs/dbraw/zinc/32/82/72/619328272.db2.gz ACIXFASCQFPMCF-VXGBXAGGSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1nc(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)c(C)o1 ZINC000928245716 619328364 /nfs/dbraw/zinc/32/83/64/619328364.db2.gz WDYPGCBEXWLTMX-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)Oc2ccccc2)c(F)c1 ZINC000048660026 619366011 /nfs/dbraw/zinc/36/60/11/619366011.db2.gz DIOLLUFGGZCCCE-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN Cc1ccc(NCCN=S2(=O)CCCC2)c([N+](=O)[O-])c1 ZINC000894418239 619379281 /nfs/dbraw/zinc/37/92/81/619379281.db2.gz BHIFYESTMMCDRE-UHFFFAOYSA-N 0 0 297.380 2.577 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCCOC(C)(C)C ZINC000894548028 619417296 /nfs/dbraw/zinc/41/72/96/619417296.db2.gz GCMXQPYXLDOPQL-UHFFFAOYSA-N 0 0 283.328 2.616 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000894587729 619425136 /nfs/dbraw/zinc/42/51/36/619425136.db2.gz GHDSBROBGXVWFR-DMDPSCGWSA-N 0 0 291.351 2.796 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000164255033 619431385 /nfs/dbraw/zinc/43/13/85/619431385.db2.gz DZVPDVOTISXQOC-IUCAKERBSA-N 0 0 266.272 2.604 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1NCC[C@H]1CC1(F)F ZINC000894673493 619439028 /nfs/dbraw/zinc/43/90/28/619439028.db2.gz OANICCUZDNWLEE-LURJTMIESA-N 0 0 284.222 2.588 20 5 CFBDRN CC(=O)C1CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000232456987 619473142 /nfs/dbraw/zinc/47/31/42/619473142.db2.gz RIMDSSHFCYBVAI-UHFFFAOYSA-N 0 0 262.309 2.709 20 5 CFBDRN C/C=C/C[C@H]1CCCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000894830934 619476135 /nfs/dbraw/zinc/47/61/35/619476135.db2.gz PEBBUZABPZPKSW-UFFNRZRYSA-N 0 0 264.329 2.511 20 5 CFBDRN O=C(/C=C/c1ccco1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000018234436 619477906 /nfs/dbraw/zinc/47/79/06/619477906.db2.gz FJEXJZOBCPCPJZ-GQCTYLIASA-N 0 0 284.271 2.790 20 5 CFBDRN Cc1ccc(N2CC(c3ccccn3)C2)c([N+](=O)[O-])c1 ZINC000894846500 619481265 /nfs/dbraw/zinc/48/12/65/619481265.db2.gz YGKLEUXBGZOLAD-UHFFFAOYSA-N 0 0 269.304 2.902 20 5 CFBDRN COC(=O)c1cc(NC(=O)C=C(C)C)c(C)c([N+](=O)[O-])c1 ZINC000792627121 619483986 /nfs/dbraw/zinc/48/39/86/619483986.db2.gz YDDLUNDDAIWBBK-UHFFFAOYSA-N 0 0 292.291 2.595 20 5 CFBDRN COc1c(C(=O)Oc2ccccc2F)cccc1[N+](=O)[O-] ZINC000792865002 619500766 /nfs/dbraw/zinc/50/07/66/619500766.db2.gz NOFMHQHGISBHJM-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN C[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000894892806 619501046 /nfs/dbraw/zinc/50/10/46/619501046.db2.gz ABIUDZJBLPUDFA-QMMMGPOBSA-N 0 0 276.271 2.581 20 5 CFBDRN Cc1cc(N2Cc3c[nH]nc3[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000894893572 619501119 /nfs/dbraw/zinc/50/11/19/619501119.db2.gz FMBZNNKFPYOLBH-JTQLQIEISA-N 0 0 272.308 2.750 20 5 CFBDRN Cc1nc2c(s1)CN(c1ccc([N+](=O)[O-])c(C)n1)C2 ZINC000894907134 619510412 /nfs/dbraw/zinc/51/04/12/619510412.db2.gz IJQYYBJWUXRGSU-UHFFFAOYSA-N 0 0 276.321 2.583 20 5 CFBDRN Cc1nc2c(s1)CN(c1ncc(Cl)cc1[N+](=O)[O-])C2 ZINC000894907864 619510799 /nfs/dbraw/zinc/51/07/99/619510799.db2.gz WMDOMVFDGRKPEN-UHFFFAOYSA-N 0 0 296.739 2.928 20 5 CFBDRN Cc1nc2c(s1)CN(c1ncc(C)cc1[N+](=O)[O-])C2 ZINC000894907861 619510962 /nfs/dbraw/zinc/51/09/62/619510962.db2.gz WLHSDZUPVWJDPU-UHFFFAOYSA-N 0 0 276.321 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2Cc3cncn3C2)c(C(F)F)c1 ZINC000894997639 619524642 /nfs/dbraw/zinc/52/46/42/619524642.db2.gz WTCMNNHNXUYTPO-MRVPVSSYSA-N 0 0 294.261 2.766 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1cc([N+](=O)[O-])ccc1F ZINC000889603918 619527395 /nfs/dbraw/zinc/52/73/95/619527395.db2.gz AQERHFBGXMWJSE-IYYTYJHQSA-N 0 0 278.283 2.652 20 5 CFBDRN COC(=O)C[C@@H](C)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000895035987 619530167 /nfs/dbraw/zinc/53/01/67/619530167.db2.gz IWBZHDXJHGAJIR-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN CCOc1cccc(NC/C=C(\C)C(=O)OC)c1[N+](=O)[O-] ZINC000895513483 619545417 /nfs/dbraw/zinc/54/54/17/619545417.db2.gz GVLKFNMPXUQSHM-CSKARUKUSA-N 0 0 294.307 2.525 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000895536549 619549817 /nfs/dbraw/zinc/54/98/17/619549817.db2.gz HENBPFKAJCKLBR-CZULRBLNSA-N 0 0 264.329 2.576 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1Nc1ncc([N+](=O)[O-])cc1F ZINC000895537318 619550220 /nfs/dbraw/zinc/55/02/20/619550220.db2.gz NTQOBRKHWOHHGK-GPUHXXMPSA-N 0 0 251.261 2.585 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCS[C@@H](C(F)(F)F)C2)s1 ZINC000895596993 619566702 /nfs/dbraw/zinc/56/67/02/619566702.db2.gz JYWGVMZVCUODBX-RXMQYKEDSA-N 0 0 299.299 2.535 20 5 CFBDRN Cc1cnc(NCc2cc(C(F)F)on2)c([N+](=O)[O-])c1 ZINC000895607509 619567143 /nfs/dbraw/zinc/56/71/43/619567143.db2.gz LCDINHLUELAHJP-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2cccnc2)c1C ZINC000895607703 619567173 /nfs/dbraw/zinc/56/71/73/619567173.db2.gz ALUFDUZGARPFJW-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cn1ccc([C@@H]2CCCN(c3ccccc3[N+](=O)[O-])C2)n1 ZINC000895632874 619572482 /nfs/dbraw/zinc/57/24/82/619572482.db2.gz UNLFESNNLURHCD-GFCCVEGCSA-N 0 0 286.335 2.712 20 5 CFBDRN COCc1nsc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000895667142 619581592 /nfs/dbraw/zinc/58/15/92/619581592.db2.gz ABAZNEWTBCTIME-MRVPVSSYSA-N 0 0 294.336 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@@H]2C)c1C ZINC000895722207 619590978 /nfs/dbraw/zinc/59/09/78/619590978.db2.gz FDPYLBYOUIWNBS-ONGXEEELSA-N 0 0 262.309 2.597 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000895722017 619591010 /nfs/dbraw/zinc/59/10/10/619591010.db2.gz BDRVLKLIZXAWFG-GXSJLCMTSA-N 0 0 299.330 2.716 20 5 CFBDRN Cc1cc(CO)cc(C)c1CNc1ccc([N+](=O)[O-])nc1 ZINC000895742204 619594451 /nfs/dbraw/zinc/59/44/51/619594451.db2.gz PYXAQIWTEQVNSA-UHFFFAOYSA-N 0 0 287.319 2.711 20 5 CFBDRN Cc1cc(CO)cc(C)c1CNc1ncc([N+](=O)[O-])s1 ZINC000895742669 619594578 /nfs/dbraw/zinc/59/45/78/619594578.db2.gz ZGNZNZFMYHBHGG-UHFFFAOYSA-N 0 0 293.348 2.773 20 5 CFBDRN CO[C@@]1(CNc2ccc([N+](=O)[O-])s2)CCSC1 ZINC000895754813 619599636 /nfs/dbraw/zinc/59/96/36/619599636.db2.gz ZDTWSQFESXRTHA-SNVBAGLBSA-N 0 0 274.367 2.590 20 5 CFBDRN CC(C)O[C@@]1(CNc2ccc(F)cc2[N+](=O)[O-])CCOC1 ZINC000895871007 619624965 /nfs/dbraw/zinc/62/49/65/619624965.db2.gz KHTJRVVXOIAGDN-CQSZACIVSA-N 0 0 298.314 2.730 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@]1(OC(C)C)CCOC1 ZINC000895870169 619624972 /nfs/dbraw/zinc/62/49/72/619624972.db2.gz XYZAAGKRRLVNSN-HNNXBMFYSA-N 0 0 294.351 2.899 20 5 CFBDRN C[C@@H]1COC[C@H]1Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000895904651 619631208 /nfs/dbraw/zinc/63/12/08/619631208.db2.gz GDBJCWXYZGHWDY-VXNVDRBHSA-N 0 0 288.250 2.643 20 5 CFBDRN Cc1cc(N[C@@H]2COC[C@H]2C)c2cccc([N+](=O)[O-])c2n1 ZINC000895908227 619632411 /nfs/dbraw/zinc/63/24/11/619632411.db2.gz GVQXUKQMBSBVHC-NOZJJQNGSA-N 0 0 287.319 2.898 20 5 CFBDRN Cc1cc(CCNc2ccc(C)cc2[N+](=O)[O-])nn1C ZINC000896026219 619655285 /nfs/dbraw/zinc/65/52/85/619655285.db2.gz JGNMTFLOSVGEKI-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN Cc1cc(CCNc2cc(C)ccc2[N+](=O)[O-])nn1C ZINC000896025774 619655342 /nfs/dbraw/zinc/65/53/42/619655342.db2.gz CRDCFTONVUMNMR-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC000896077768 619665311 /nfs/dbraw/zinc/66/53/11/619665311.db2.gz OUCWKCPPTUTTCD-TUAOUCFPSA-N 0 0 290.319 2.606 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(C2(N(C)C)CC2)n1 ZINC000896087883 619668025 /nfs/dbraw/zinc/66/80/25/619668025.db2.gz OPMNVAJZGBWYJT-UHFFFAOYSA-N 0 0 288.307 2.504 20 5 CFBDRN Cc1cc(NCC2CC3(C2)OCCO3)c(F)cc1[N+](=O)[O-] ZINC000896154004 619683835 /nfs/dbraw/zinc/68/38/35/619683835.db2.gz FUHHOBBQVREZQX-UHFFFAOYSA-N 0 0 296.298 2.607 20 5 CFBDRN Cc1ccnc(NC[C@@]2(C)CCCCO2)c1[N+](=O)[O-] ZINC000896183115 619688323 /nfs/dbraw/zinc/68/83/23/619688323.db2.gz BSIBLDRUMOUVAI-CYBMUJFWSA-N 0 0 265.313 2.669 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@]1(C)CCCCO1 ZINC000896184631 619689828 /nfs/dbraw/zinc/68/98/28/619689828.db2.gz NLOYOGPFQBJQNO-CYBMUJFWSA-N 0 0 265.313 2.669 20 5 CFBDRN CCC[C@H](O)CCNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000896185456 619690116 /nfs/dbraw/zinc/69/01/16/619690116.db2.gz CWSGHKKNQMUDAT-LBPRGKRZSA-N 0 0 280.324 2.760 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCn2nc(C3CC3)cc2C1 ZINC000896220754 619693200 /nfs/dbraw/zinc/69/32/00/619693200.db2.gz VMINMYGWIWIKHC-UHFFFAOYSA-N 0 0 290.348 2.750 20 5 CFBDRN CSCC1CCN(c2ncc([N+](=O)[O-])cc2C)CC1 ZINC000896211216 619694729 /nfs/dbraw/zinc/69/47/29/619694729.db2.gz FKDFMXXLJAWQNE-UHFFFAOYSA-N 0 0 281.381 2.878 20 5 CFBDRN Cc1cnc(N[C@H]2CCS[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000896244268 619699393 /nfs/dbraw/zinc/69/93/93/619699393.db2.gz HAFJNZDDVBNYGH-ZJUUUORDSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])CCS1 ZINC000896244772 619699977 /nfs/dbraw/zinc/69/99/77/619699977.db2.gz IXMGNQPHBKDJSO-DTWKUNHWSA-N 0 0 253.327 2.686 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCS[C@@H](C)C1 ZINC000896248091 619701248 /nfs/dbraw/zinc/70/12/48/619701248.db2.gz JTQXLINUBTWJDY-WCBMZHEXSA-N 0 0 284.385 2.816 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(F)(C3CC3)CC2)cn1 ZINC000896237413 619701957 /nfs/dbraw/zinc/70/19/57/619701957.db2.gz PXRLZYKIQXQMJS-UHFFFAOYSA-N 0 0 265.288 2.708 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCSC3(CCC3)C2)nc1 ZINC000896254569 619703409 /nfs/dbraw/zinc/70/34/09/619703409.db2.gz RRNBZEKIOAUPTM-VIFPVBQESA-N 0 0 280.353 2.615 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCSC3(CCC3)C2)c1[N+](=O)[O-] ZINC000896254581 619703567 /nfs/dbraw/zinc/70/35/67/619703567.db2.gz RSRKHZMPRJPZGH-JTQLQIEISA-N 0 0 296.396 2.867 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])CCS1 ZINC000896248796 619704800 /nfs/dbraw/zinc/70/48/00/619704800.db2.gz YGALCBZYYDZMDZ-IUCAKERBSA-N 0 0 253.327 2.686 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2F)CCS1 ZINC000896250940 619705605 /nfs/dbraw/zinc/70/56/05/619705605.db2.gz VCAAIRGWKQTSNJ-YUMQZZPRSA-N 0 0 271.317 2.825 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2F)CCS1 ZINC000896250653 619705706 /nfs/dbraw/zinc/70/57/06/619705706.db2.gz VCAAIRGWKQTSNJ-JGVFFNPUSA-N 0 0 271.317 2.825 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC(O)(C2CCC2)CC1 ZINC000896320279 619717675 /nfs/dbraw/zinc/71/76/75/619717675.db2.gz KCQYUZRPMXVDDH-UHFFFAOYSA-N 0 0 294.326 2.865 20 5 CFBDRN C[C@H]1CCC(=O)CN1c1ccc([N+](=O)[O-])cc1Cl ZINC000896344237 619721879 /nfs/dbraw/zinc/72/18/79/619721879.db2.gz LBVIQABXAYGHAB-QMMMGPOBSA-N 0 0 268.700 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3(C4CC4)CC3)n2)o1 ZINC000896388312 619727792 /nfs/dbraw/zinc/72/77/92/619727792.db2.gz BGZORERAMQZJMZ-UHFFFAOYSA-N 0 0 261.237 2.679 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H]1CCCc2cccnc21 ZINC000896415950 619732843 /nfs/dbraw/zinc/73/28/43/619732843.db2.gz RXLNWHBOBDEIMA-NSHDSACASA-N 0 0 270.292 2.874 20 5 CFBDRN CC(C)[C@@H](Nc1ncc([N+](=O)[O-])cc1F)c1cnn(C)c1 ZINC000896424074 619735148 /nfs/dbraw/zinc/73/51/48/619735148.db2.gz XMXFBNRPFBXUKY-GFCCVEGCSA-N 0 0 293.302 2.672 20 5 CFBDRN Cc1ccc(NCCNc2ccsc2[N+](=O)[O-])nc1 ZINC000896452945 619737433 /nfs/dbraw/zinc/73/74/33/619737433.db2.gz JQJDPNBBJLKHGN-UHFFFAOYSA-N 0 0 278.337 2.884 20 5 CFBDRN Cc1nn(C)c(Nc2ccc3c(c2)C[C@H](C)O3)c1[N+](=O)[O-] ZINC000896441164 619739421 /nfs/dbraw/zinc/73/94/21/619739421.db2.gz ICPZRDBDKXSVFE-QMMMGPOBSA-N 0 0 288.307 2.704 20 5 CFBDRN CC(C)c1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cn1 ZINC000928618942 619743602 /nfs/dbraw/zinc/74/36/02/619743602.db2.gz NWQJKNHOKVUECD-UHFFFAOYSA-N 0 0 279.340 2.979 20 5 CFBDRN Cc1nc(NCc2cc(C3CC3)nn2C)ccc1[N+](=O)[O-] ZINC000896509758 619747276 /nfs/dbraw/zinc/74/72/76/619747276.db2.gz JMVWAIMTSSTQKY-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN CSC1(c2noc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 ZINC000896789030 619818344 /nfs/dbraw/zinc/81/83/44/619818344.db2.gz QZHRAQVYURMDGA-UHFFFAOYSA-N 0 0 277.305 2.997 20 5 CFBDRN Cc1cc(NC[C@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000896792946 619819873 /nfs/dbraw/zinc/81/98/73/619819873.db2.gz BJSCLQXDMMYDAA-JTQLQIEISA-N 0 0 272.308 2.909 20 5 CFBDRN Cc1nsc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000896793101 619820039 /nfs/dbraw/zinc/82/00/39/619820039.db2.gz DMRRQKKAVVPUJR-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1(C2(O)CCC2)CC1 ZINC000896807683 619823098 /nfs/dbraw/zinc/82/30/98/619823098.db2.gz KBRJVMMIOLGYIZ-UHFFFAOYSA-N 0 0 262.309 2.702 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC1(C2(O)CCC2)CC1 ZINC000896810049 619828220 /nfs/dbraw/zinc/82/82/20/619828220.db2.gz QHXALAHLKPGUGZ-UHFFFAOYSA-N 0 0 280.299 2.841 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000795990536 619849704 /nfs/dbraw/zinc/84/97/04/619849704.db2.gz HSRNRCVWIFZZIB-ZWNOBZJWSA-N 0 0 281.308 2.699 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1SC1COC1 ZINC000896963461 619905831 /nfs/dbraw/zinc/90/58/31/619905831.db2.gz ZCCCRAWHIPFIOP-UHFFFAOYSA-N 0 0 290.138 2.848 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1SC1COC1 ZINC000896961020 619906882 /nfs/dbraw/zinc/90/68/82/619906882.db2.gz QWRZELFGXWKUFN-UHFFFAOYSA-N 0 0 262.290 2.634 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1cnn(CCF)c1 ZINC000896992122 619909517 /nfs/dbraw/zinc/90/95/17/619909517.db2.gz VQDLUZKCYBUOFD-UHFFFAOYSA-N 0 0 278.287 2.681 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(N[C@H]3CCCC4(CC4)C3)nn21 ZINC000897044031 619917768 /nfs/dbraw/zinc/91/77/68/619917768.db2.gz YKQQRHWTWIWZCU-JTQLQIEISA-N 0 0 287.323 2.772 20 5 CFBDRN CC(C)CN(C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000897071098 619925875 /nfs/dbraw/zinc/92/58/75/619925875.db2.gz UOEYWYHZZKKKCP-GFCCVEGCSA-N 0 0 293.367 2.996 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000897072280 619926115 /nfs/dbraw/zinc/92/61/15/619926115.db2.gz IQTXFXAIKCMMDM-WBSVMABYSA-N 0 0 298.701 2.975 20 5 CFBDRN Cc1cc(N[C@@H]2CC23CC(O)C3)c(Cl)cc1[N+](=O)[O-] ZINC000897092821 619928909 /nfs/dbraw/zinc/92/89/09/619928909.db2.gz FBIOFWBQWGZPDE-ATVVMRJVSA-N 0 0 282.727 2.882 20 5 CFBDRN O=[N+]([O-])c1cccnc1Nc1cnn(C2CCC2)c1 ZINC000897103072 619933386 /nfs/dbraw/zinc/93/33/86/619933386.db2.gz PSTBDSPIAYDLCY-UHFFFAOYSA-N 0 0 259.269 2.655 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1cnn(C2CCC2)c1 ZINC000897106164 619934182 /nfs/dbraw/zinc/93/41/82/619934182.db2.gz MRJYPJSEKXOSHP-UHFFFAOYSA-N 0 0 259.269 2.655 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2ccoc2)C2CC2)s1 ZINC000897114792 619936017 /nfs/dbraw/zinc/93/60/17/619936017.db2.gz VMHYGIBCQXFTBW-UHFFFAOYSA-N 0 0 265.294 2.813 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ncc([N+](=O)[O-])c2ccccc21 ZINC000897140574 619938256 /nfs/dbraw/zinc/93/82/56/619938256.db2.gz YVJNYTQMNOFYCF-TZMCWYRMSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccc([N+](=O)[O-])cc1C ZINC000897148441 619939925 /nfs/dbraw/zinc/93/99/25/619939925.db2.gz XLVVULGLVYIVQX-OLZOCXBDSA-N 0 0 250.298 2.517 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1cccc(C)c1[N+](=O)[O-] ZINC000897145863 619941002 /nfs/dbraw/zinc/94/10/02/619941002.db2.gz VKLZATDCIOEBDH-ZYHUDNBSSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1nn(C)c(NCc2nc(C(C)(C)C)co2)c1[N+](=O)[O-] ZINC000897176293 619944678 /nfs/dbraw/zinc/94/46/78/619944678.db2.gz RXSWWEQEVAWTFG-UHFFFAOYSA-N 0 0 293.327 2.534 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897177701 619944818 /nfs/dbraw/zinc/94/48/18/619944818.db2.gz UHULKOLTNNWFMM-OUAUKWLOSA-N 0 0 264.329 2.720 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897179454 619945346 /nfs/dbraw/zinc/94/53/46/619945346.db2.gz IYGTZDHIJXTOLE-GARJFASQSA-N 0 0 264.329 2.720 20 5 CFBDRN Cc1nc(N[C@@H](C)Cc2cccnc2)ccc1[N+](=O)[O-] ZINC000897195500 619949320 /nfs/dbraw/zinc/94/93/20/619949320.db2.gz QDYGFZQQAYWSSU-JTQLQIEISA-N 0 0 272.308 2.736 20 5 CFBDRN CNc1ccc(-c2nc(CCCCF)no2)cc1[N+](=O)[O-] ZINC000897210800 619950818 /nfs/dbraw/zinc/95/08/18/619950818.db2.gz HWGDFTJYVFVIIL-UHFFFAOYSA-N 0 0 294.286 2.979 20 5 CFBDRN CC1(C)CC2(CC(Nc3cccnc3[N+](=O)[O-])C2)CO1 ZINC000897215553 619951891 /nfs/dbraw/zinc/95/18/91/619951891.db2.gz YFJPUEAOCCHSSI-UHFFFAOYSA-N 0 0 277.324 2.749 20 5 CFBDRN O=c1[nH]ccc(NCC[C@@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000897236405 619956173 /nfs/dbraw/zinc/95/61/73/619956173.db2.gz IIYCKLDODWGAJW-QMMMGPOBSA-N 0 0 287.266 2.933 20 5 CFBDRN COc1nn(C)cc1CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000897242593 619958092 /nfs/dbraw/zinc/95/80/92/619958092.db2.gz DJNMRZBZRWXBPF-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN CC1(C)CCC[C@@H](CNc2ncc([N+](=O)[O-])s2)O1 ZINC000897255073 619960508 /nfs/dbraw/zinc/96/05/08/619960508.db2.gz XEWHOKUTFZJQSN-QMMMGPOBSA-N 0 0 271.342 2.811 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@]12C[C@H]1COC21CCC1 ZINC000897267945 619962307 /nfs/dbraw/zinc/96/23/07/619962307.db2.gz QVBZLTXZCTXPFB-SMDDNHRTSA-N 0 0 274.320 2.966 20 5 CFBDRN CC1(NC(=S)NCCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000840720923 619980803 /nfs/dbraw/zinc/98/08/03/619980803.db2.gz PZBQYAFWXGSMJS-UHFFFAOYSA-N 0 0 293.392 2.544 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000310689455 619986909 /nfs/dbraw/zinc/98/69/09/619986909.db2.gz NJGGSSGSAOTCBZ-HQJQHLMTSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCC(=O)c1ccccc1 ZINC000026114317 620000667 /nfs/dbraw/zinc/00/06/67/620000667.db2.gz AFRNJTAKSZUJOS-UHFFFAOYSA-N 0 0 299.282 2.943 20 5 CFBDRN CCCC(=O)O[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000022482344 620016776 /nfs/dbraw/zinc/01/67/76/620016776.db2.gz JLTUXYVTNXXSNQ-SNVBAGLBSA-N 0 0 294.307 2.574 20 5 CFBDRN CC[C@@H]1c2ccccc2CN1c1ncc([N+](=O)[O-])cn1 ZINC000897354195 620018909 /nfs/dbraw/zinc/01/89/09/620018909.db2.gz JUCXVKWGKSEFFQ-CYBMUJFWSA-N 0 0 270.292 2.856 20 5 CFBDRN CC[C@H]1c2ccccc2CN1c1ncc([N+](=O)[O-])cn1 ZINC000897354196 620018995 /nfs/dbraw/zinc/01/89/95/620018995.db2.gz JUCXVKWGKSEFFQ-ZDUSSCGKSA-N 0 0 270.292 2.856 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@H]2CCCO2)cc1Cl ZINC000871694248 620054009 /nfs/dbraw/zinc/05/40/09/620054009.db2.gz IMJBPTKOXXAJQQ-SSDOTTSWSA-N 0 0 275.663 2.945 20 5 CFBDRN Cc1ccc(C(=O)NC[C@]2(C)CCCCO2)cc1[N+](=O)[O-] ZINC000832516453 625397207 /nfs/dbraw/zinc/39/72/07/625397207.db2.gz YNAPHEXKIMKCQD-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1C[NH2+][C@H]1CCCOC1 ZINC000109546728 620120386 /nfs/dbraw/zinc/12/03/86/620120386.db2.gz STYCFPYFFRRBDV-NSHDSACASA-N 0 0 270.716 2.517 20 5 CFBDRN CCOC(=O)CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000168984486 620142457 /nfs/dbraw/zinc/14/24/57/620142457.db2.gz TWNHRIIPVCXPMR-UHFFFAOYSA-N 0 0 272.688 2.613 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2COC[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000897441976 620172572 /nfs/dbraw/zinc/17/25/72/620172572.db2.gz NRNVGZZWNVJFJR-GXTWGEPZSA-N 0 0 290.319 2.634 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2COC[C@H]2C2CC2)c(F)c1 ZINC000897445250 620173601 /nfs/dbraw/zinc/17/36/01/620173601.db2.gz DMOFRSFZYDXIDZ-JOYOIKCWSA-N 0 0 284.262 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COC[C@H]2C2CC2)c(F)c1 ZINC000897446222 620174711 /nfs/dbraw/zinc/17/47/11/620174711.db2.gz SBWDZFBPXZITLH-GXFFZTMASA-N 0 0 266.272 2.571 20 5 CFBDRN CCOc1cc(N[C@H]2COC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897446714 620175098 /nfs/dbraw/zinc/17/50/98/620175098.db2.gz IGZNFMSEDVHRED-OLZOCXBDSA-N 0 0 292.335 2.830 20 5 CFBDRN O=C(NC1CC1)c1ccc(NC/C=C/Cl)c([N+](=O)[O-])c1 ZINC000897507968 620197516 /nfs/dbraw/zinc/19/75/16/620197516.db2.gz IVROMDREHWELNX-LZCJLJQNSA-N 0 0 295.726 2.651 20 5 CFBDRN Cc1ccnc(NCCOC2CCSCC2)c1[N+](=O)[O-] ZINC000897513855 620200491 /nfs/dbraw/zinc/20/04/91/620200491.db2.gz IOSWTHCCNOTCOW-UHFFFAOYSA-N 0 0 297.380 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]([C@H]3CCOC3)C2)cn1 ZINC000897561579 620247386 /nfs/dbraw/zinc/24/73/86/620247386.db2.gz HDIZCDPIVJZIAV-OLZOCXBDSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCC[C@H]([C@@H]2CCOC2)C1 ZINC000897569637 620249238 /nfs/dbraw/zinc/24/92/38/620249238.db2.gz SEGUQEVOYYTQJP-QWHCGFSZSA-N 0 0 291.351 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2[C@H](C)C[C@H]2C)c1C ZINC000897613360 620258770 /nfs/dbraw/zinc/25/87/70/620258770.db2.gz OKERCMAWUYXOMS-NXEZZACHSA-N 0 0 262.309 2.835 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000786813773 620260091 /nfs/dbraw/zinc/26/00/91/620260091.db2.gz MRTCQKXOIJPOOI-WCBMZHEXSA-N 0 0 298.726 2.636 20 5 CFBDRN C[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000929138315 620264125 /nfs/dbraw/zinc/26/41/25/620264125.db2.gz KTCXBKMOMICDNJ-KCPJHIHWSA-N 0 0 288.347 2.957 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1C[NH2+][C@H]1COC[C@@H]1C1CC1 ZINC000898373986 620375760 /nfs/dbraw/zinc/37/57/60/620375760.db2.gz ICZPSLSEYAAXSO-OLZOCXBDSA-N 0 0 296.754 2.763 20 5 CFBDRN C/C=C/COC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000064444767 620386207 /nfs/dbraw/zinc/38/62/07/620386207.db2.gz BWKUEGYBSAQZCA-NSCUHMNNSA-N 0 0 287.275 2.513 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)c1[N+](=O)[O-] ZINC000889597218 620414664 /nfs/dbraw/zinc/41/46/64/620414664.db2.gz QEJHSBFUYGPQMB-UFGOTCBOSA-N 0 0 292.310 2.961 20 5 CFBDRN O=C(NC1CCCC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929196442 620415463 /nfs/dbraw/zinc/41/54/63/620415463.db2.gz ATICPFUANWOAQG-ZIAGYGMSSA-N 0 0 274.320 2.757 20 5 CFBDRN O=C(OC[C@@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])cc1 ZINC000078301332 620447567 /nfs/dbraw/zinc/44/75/67/620447567.db2.gz NHFPKUBBFPXPOT-QMMMGPOBSA-N 0 0 290.102 2.945 20 5 CFBDRN O=[N+]([O-])CCOc1cc(Br)ccc1Cl ZINC000899230006 620533423 /nfs/dbraw/zinc/53/34/23/620533423.db2.gz AYLPLXMCUGXPER-UHFFFAOYSA-N 0 0 280.505 2.758 20 5 CFBDRN Cc1ccc(NC(=O)OC(C)(C)C)c(OCC[N+](=O)[O-])c1 ZINC000899230244 620533489 /nfs/dbraw/zinc/53/34/89/620533489.db2.gz FYMSDQPVTQBOHP-UHFFFAOYSA-N 0 0 296.323 2.998 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000899418966 620562541 /nfs/dbraw/zinc/56/25/41/620562541.db2.gz YPAKGBRQTGEGKO-DWZYQQQCSA-N 0 0 288.347 2.546 20 5 CFBDRN CC(C)CNC(=S)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621177 620586900 /nfs/dbraw/zinc/58/69/00/620586900.db2.gz HNGDJWRTXULIRX-NSHDSACASA-N 0 0 295.408 2.818 20 5 CFBDRN O=[N+]([O-])CCN[C@@H]1CCSc2ccc(Cl)cc21 ZINC000899865357 620607770 /nfs/dbraw/zinc/60/77/70/620607770.db2.gz RQOISLRQRZAOKY-SNVBAGLBSA-N 0 0 272.757 2.743 20 5 CFBDRN CC(C)CNC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000899926185 620613925 /nfs/dbraw/zinc/61/39/25/620613925.db2.gz SOEWLWPTKKBHOI-UHFFFAOYSA-N 0 0 274.679 2.773 20 5 CFBDRN CCc1nnc(C(=O)Nc2cccc([N+](=O)[O-])c2C)s1 ZINC000899934594 620615433 /nfs/dbraw/zinc/61/54/33/620615433.db2.gz BOPZADSCOZWRQY-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CCCNC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000899932680 620615481 /nfs/dbraw/zinc/61/54/81/620615481.db2.gz VWXMPLPINJMUCE-UHFFFAOYSA-N 0 0 260.652 2.527 20 5 CFBDRN COC/C(C)=C\COc1ccc([N+](=O)[O-])cc1F ZINC000901468374 620831255 /nfs/dbraw/zinc/83/12/55/620831255.db2.gz CFMOZEFGXNKMRT-UITAMQMPSA-N 0 0 255.245 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@@H]2C[C@H]3COC[C@@H]3O2)cc1 ZINC000901519518 620854620 /nfs/dbraw/zinc/85/46/20/620854620.db2.gz IGZSKZUFRZCZDD-UBHSHLNASA-N 0 0 295.360 2.632 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@]12C[C@H]1CCC2 ZINC000901519626 620855032 /nfs/dbraw/zinc/85/50/32/620855032.db2.gz GXULTOJYWNTHDR-AMIZOPFISA-N 0 0 264.256 2.863 20 5 CFBDRN COc1ccc(SCC[N+](=O)[O-])cc1Br ZINC000901593152 620865219 /nfs/dbraw/zinc/86/52/19/620865219.db2.gz BBKXFZNRHZXLEP-UHFFFAOYSA-N 0 0 292.154 2.827 20 5 CFBDRN CC[N@H+](CCC(=O)[O-])C/C(C)=C/c1cccc([N+](=O)[O-])c1 ZINC000901602751 620871576 /nfs/dbraw/zinc/87/15/76/620871576.db2.gz VGVHGIMDJHDZGH-FMIVXFBMSA-N 0 0 292.335 2.795 20 5 CFBDRN CC[C@@H](C)C[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000901680509 620892105 /nfs/dbraw/zinc/89/21/05/620892105.db2.gz GOROJKVBZNRTEO-ZWNOBZJWSA-N 0 0 280.324 2.574 20 5 CFBDRN Nc1ccc(C(=O)Oc2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000042487124 620919418 /nfs/dbraw/zinc/91/94/18/620919418.db2.gz SPKIUHMHCHSSOM-UHFFFAOYSA-N 0 0 276.223 2.535 20 5 CFBDRN COC/C(C)=C/CSCc1onc(C)c1[N+](=O)[O-] ZINC000901881726 620933694 /nfs/dbraw/zinc/93/36/94/620933694.db2.gz HBYJUYUMZYHXMO-XBXARRHUSA-N 0 0 272.326 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC[C@@H]1C[C@]12CCOC2 ZINC000901923202 620936412 /nfs/dbraw/zinc/93/64/12/620936412.db2.gz SKBNSTSXQUMPMW-FZMZJTMJSA-N 0 0 262.309 2.742 20 5 CFBDRN CCn1cc(CCNc2cccc([N+](=O)[O-])c2C)cn1 ZINC000901931156 620944332 /nfs/dbraw/zinc/94/43/32/620944332.db2.gz VARHNJORIYOABC-UHFFFAOYSA-N 0 0 274.324 2.774 20 5 CFBDRN C[C@@H]1C[C@@H]([NH2+]Cc2ccc([N+](=O)[O-])cc2)CC[C@@H]1C(=O)[O-] ZINC000902101629 620973909 /nfs/dbraw/zinc/97/39/09/620973909.db2.gz RYKPVQRXSSKYRE-OSMZGAPFSA-N 0 0 292.335 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2C[C@]23CCOC3)c(F)c1 ZINC000902211028 620993627 /nfs/dbraw/zinc/99/36/27/620993627.db2.gz PDRGPYOUNGTODM-RNCFNFMXSA-N 0 0 267.256 2.539 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNc1cnc(C)cn1 ZINC000902210460 620999139 /nfs/dbraw/zinc/99/91/39/620999139.db2.gz VBDJMWTZCSNNNB-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000042590758 621022918 /nfs/dbraw/zinc/02/29/18/621022918.db2.gz QSFONPHKXXWFRS-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@@]12CCOC2 ZINC000902309595 621029299 /nfs/dbraw/zinc/02/92/99/621029299.db2.gz QWAIADGQDFIRMD-SMDDNHRTSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1csc(CSCc2cn(C)nc2[N+](=O)[O-])n1 ZINC000902341394 621032536 /nfs/dbraw/zinc/03/25/36/621032536.db2.gz VHISLSYPZGFZBS-UHFFFAOYSA-N 0 0 284.366 2.527 20 5 CFBDRN Cc1nn(C[C@@H](C)COCc2ccccc2)cc1[N+](=O)[O-] ZINC000902323958 621036282 /nfs/dbraw/zinc/03/62/82/621036282.db2.gz IYJQYVUMWKCGOK-GFCCVEGCSA-N 0 0 289.335 2.953 20 5 CFBDRN CO[C@H]1C[C@@H](CSCc2cccnc2[N+](=O)[O-])C1 ZINC000902438767 621080625 /nfs/dbraw/zinc/08/06/25/621080625.db2.gz RSVZNRNUVSUNAB-JGZJWPJOSA-N 0 0 268.338 2.648 20 5 CFBDRN Cc1nonc1CSCCc1ccc([N+](=O)[O-])cc1 ZINC000902529418 621118645 /nfs/dbraw/zinc/11/86/45/621118645.db2.gz XLXJWKMEDVGRLJ-UHFFFAOYSA-N 0 0 279.321 2.762 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCCOCC(F)F ZINC000902696522 621149183 /nfs/dbraw/zinc/14/91/83/621149183.db2.gz CFRDTUBITFUCBN-UHFFFAOYSA-N 0 0 283.177 2.534 20 5 CFBDRN COC(=O)CCCCOc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902697106 621149206 /nfs/dbraw/zinc/14/92/06/621149206.db2.gz QZOMEZHDHAFCNK-UHFFFAOYSA-N 0 0 289.234 2.595 20 5 CFBDRN C[C@@H]1OCC[C@H]1COc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902696506 621149241 /nfs/dbraw/zinc/14/92/41/621149241.db2.gz BKPHXGUTFGJDTA-YUMQZZPRSA-N 0 0 273.235 2.677 20 5 CFBDRN CCc1nc(COC(=O)c2cccc([N+](=O)[O-])c2)cs1 ZINC000042638502 621187042 /nfs/dbraw/zinc/18/70/42/621187042.db2.gz ACPHZBMAHSXLQX-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC[C@@H](F)C1 ZINC000902993765 621220016 /nfs/dbraw/zinc/22/00/16/621220016.db2.gz XMULKRGLPJIBFE-LLVKDONJSA-N 0 0 256.252 2.668 20 5 CFBDRN COc1cc(CN2CCC[C@@H](F)C2)c([N+](=O)[O-])cc1F ZINC000902994494 621220410 /nfs/dbraw/zinc/22/04/10/621220410.db2.gz CXDNFPYHRJCSSP-SNVBAGLBSA-N 0 0 286.278 2.676 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000903270738 621308259 /nfs/dbraw/zinc/30/82/59/621308259.db2.gz DZGZXZBVDSYPBS-GGZOMVNGSA-N 0 0 289.291 2.783 20 5 CFBDRN C[C@H](N[C@H]1CCn2ccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000903288728 621319751 /nfs/dbraw/zinc/31/97/51/621319751.db2.gz NXZGUIUNGVLBSU-GWCFXTLKSA-N 0 0 272.308 2.587 20 5 CFBDRN CC[C@@H](C)[C@@H](C(=O)[O-])[N@H+](C)CCc1ccccc1[N+](=O)[O-] ZINC000903608424 621402238 /nfs/dbraw/zinc/40/22/38/621402238.db2.gz HQJHPAZFZLGGHT-RISCZKNCSA-N 0 0 294.351 2.568 20 5 CFBDRN CC[C@H](C)[C@H](C(=O)[O-])[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000903595802 621404378 /nfs/dbraw/zinc/40/43/78/621404378.db2.gz OQSUTGAVINYVLN-GXFFZTMASA-N 0 0 280.324 2.526 20 5 CFBDRN C[C@@H](c1noc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H]1CCCO1 ZINC000903791851 621439075 /nfs/dbraw/zinc/43/90/75/621439075.db2.gz MEOKPOBRHONJMZ-SKDRFNHKSA-N 0 0 289.291 2.927 20 5 CFBDRN CCCCN(O)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000904202799 621550182 /nfs/dbraw/zinc/55/01/82/621550182.db2.gz SQJNIKPBWAWJAR-SNVBAGLBSA-N 0 0 281.312 2.857 20 5 CFBDRN CCCc1cncc(OCc2cccnc2[N+](=O)[O-])c1 ZINC000905097796 621705048 /nfs/dbraw/zinc/70/50/48/621705048.db2.gz XXRTYPUOSJYIQY-UHFFFAOYSA-N 0 0 273.292 2.916 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H](C)[C@H]1CCCO1 ZINC000905186373 621713361 /nfs/dbraw/zinc/71/33/61/621713361.db2.gz AJDBAUNZJHKBBG-JOYOIKCWSA-N 0 0 296.298 2.796 20 5 CFBDRN CCOc1cc(OC[C@H]2C[C@]23CCOC3)ccc1[N+](=O)[O-] ZINC000905231232 621720910 /nfs/dbraw/zinc/72/09/10/621720910.db2.gz AWENJHUDCCBFGZ-ABAIWWIYSA-N 0 0 293.319 2.799 20 5 CFBDRN O=[N+]([O-])CCN[C@@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000905231589 621721855 /nfs/dbraw/zinc/72/18/55/621721855.db2.gz RGJAERCIOZUVNU-SECBINFHSA-N 0 0 291.134 2.683 20 5 CFBDRN CC(C)(C)S(=O)(=O)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000905257132 621728589 /nfs/dbraw/zinc/72/85/89/621728589.db2.gz LESNNEGYXVUJFN-UHFFFAOYSA-N 0 0 291.756 2.962 20 5 CFBDRN CCOc1cc(Br)ccc1NCC[N+](=O)[O-] ZINC000905330351 621749417 /nfs/dbraw/zinc/74/94/17/621749417.db2.gz RGVIKSYZLXVGTB-UHFFFAOYSA-N 0 0 289.129 2.536 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCc1ccon1 ZINC000148964947 621783665 /nfs/dbraw/zinc/78/36/65/621783665.db2.gz RPKXMWSSZZHQHA-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N2CCC[C@H](CF)C2)cc1 ZINC000905522725 621789589 /nfs/dbraw/zinc/78/95/89/621789589.db2.gz WNGIJEGVASWYBM-SNVBAGLBSA-N 0 0 297.355 2.973 20 5 CFBDRN C[C@H](C[NH2+]C1CC(C)(C(=O)[O-])C1)c1ccccc1[N+](=O)[O-] ZINC000905772982 621861096 /nfs/dbraw/zinc/86/10/96/621861096.db2.gz DCNHBJBAOBQIFC-RWWNRMGGSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@H](C[NH2+]CCC(C)(C)C(=O)[O-])c1ccccc1[N+](=O)[O-] ZINC000905774578 621861613 /nfs/dbraw/zinc/86/16/13/621861613.db2.gz IVUZFGNOCADIJO-NSHDSACASA-N 0 0 294.351 2.789 20 5 CFBDRN Cc1cccc([C@@H](O)Cn2cc([N+](=O)[O-])c(C3CC3)n2)c1 ZINC000905957832 621911711 /nfs/dbraw/zinc/91/17/11/621911711.db2.gz PXFUCGWIWLYLTF-AWEZNQCLSA-N 0 0 287.319 2.711 20 5 CFBDRN Cc1sc(C(=O)NC[C@H]2CC(C)(C)CO2)cc1[N+](=O)[O-] ZINC000832833300 625530103 /nfs/dbraw/zinc/53/01/03/625530103.db2.gz GQKSTCOZRJEUPG-SECBINFHSA-N 0 0 298.364 2.510 20 5 CFBDRN CCN(CC)C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149083133 621927596 /nfs/dbraw/zinc/92/75/96/621927596.db2.gz NLDSBXZQIGPDCW-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN CC(C)[C@H]1N(C(=O)CCC(C)(C)[N+](=O)[O-])CC1(C)C ZINC000832833888 625531220 /nfs/dbraw/zinc/53/12/20/625531220.db2.gz HBBBWWAVRJMJKY-GFCCVEGCSA-N 0 0 270.373 2.715 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000906117668 621933133 /nfs/dbraw/zinc/93/31/33/621933133.db2.gz CDNSZQWHHSZOEL-QMTHXVAHSA-N 0 0 292.291 2.754 20 5 CFBDRN CC(C)(C)[S@@](C)(=O)=NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000906309304 621971955 /nfs/dbraw/zinc/97/19/55/621971955.db2.gz PNTKRJPRKCZDOQ-LJQANCHMSA-N 0 0 284.337 2.631 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C1(C2CC2)CCC1 ZINC000906379810 621978927 /nfs/dbraw/zinc/97/89/27/621978927.db2.gz HDLREVBTJQDDGA-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1nccnc1C1CC1 ZINC000906417175 621987302 /nfs/dbraw/zinc/98/73/02/621987302.db2.gz ASCYSLKJBUZTDU-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Nc1c(Cl)cc(C(=O)N[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000906745658 622040229 /nfs/dbraw/zinc/04/02/29/622040229.db2.gz QGJFLQJMKVETFS-SECBINFHSA-N 0 0 295.726 2.669 20 5 CFBDRN CC(C)(CCNC(=O)CCC(C)(C)[N+](=O)[O-])C1CC1 ZINC000832920062 625538941 /nfs/dbraw/zinc/53/89/41/625538941.db2.gz PTOBZMPYQFOJBR-UHFFFAOYSA-N 0 0 270.373 2.764 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000907990099 622184086 /nfs/dbraw/zinc/18/40/86/622184086.db2.gz DLAZBUIBKHMGHJ-NXEZZACHSA-N 0 0 295.314 2.642 20 5 CFBDRN CCC/C=C\[C@H](O)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000908269235 622210270 /nfs/dbraw/zinc/21/02/70/622210270.db2.gz SWUWDQIEKHOKFU-FWWRYZNZSA-N 0 0 292.335 2.867 20 5 CFBDRN CCC/C=C/[C@H](O)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000908269238 622210325 /nfs/dbraw/zinc/21/03/25/622210325.db2.gz SWUWDQIEKHOKFU-YBJDMEARSA-N 0 0 292.335 2.867 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(Cl)c(F)c([N+](=O)[O-])c2)[C@H]1C ZINC000908433278 622229571 /nfs/dbraw/zinc/22/95/71/622229571.db2.gz IKHSEIFKGFUDPG-BQBZGAKWSA-N 0 0 286.690 2.868 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(Cl)c(F)c([N+](=O)[O-])c2)[C@H]1C ZINC000908433281 622229959 /nfs/dbraw/zinc/22/99/59/622229959.db2.gz IKHSEIFKGFUDPG-RQJHMYQMSA-N 0 0 286.690 2.868 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)[C@H]1CCCO1 ZINC000908511303 622240943 /nfs/dbraw/zinc/24/09/43/622240943.db2.gz BHLPKCPCJTWGNF-UHIISALHSA-N 0 0 292.335 2.587 20 5 CFBDRN COC/C(C)=C/COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000908702151 622265590 /nfs/dbraw/zinc/26/55/90/622265590.db2.gz HNASUHISPRIBHF-UXBLZVDNSA-N 0 0 279.292 2.653 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000908701765 622265640 /nfs/dbraw/zinc/26/56/40/622265640.db2.gz DAQTZUMGWCCBMK-XVKPBYJWSA-N 0 0 297.694 2.630 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000908702625 622266098 /nfs/dbraw/zinc/26/60/98/622266098.db2.gz LMJRUJBJEFPETR-CBAPKCEASA-N 0 0 297.694 2.630 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000908713604 622267622 /nfs/dbraw/zinc/26/76/22/622267622.db2.gz AQKVYVVMXICDFH-UITAMQMPSA-N 0 0 299.710 2.998 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])s1 ZINC000927498463 622283968 /nfs/dbraw/zinc/28/39/68/622283968.db2.gz GMKGWDBFFITPBC-IVZWLZJFSA-N 0 0 280.349 2.822 20 5 CFBDRN COC/C(C)=C\COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000909000340 622297295 /nfs/dbraw/zinc/29/72/95/622297295.db2.gz YSCMVSZRPFLULU-TWGQIWQCSA-N 0 0 299.710 2.998 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000909017877 622301169 /nfs/dbraw/zinc/30/11/69/622301169.db2.gz HUGURLMIWJLHIJ-YCRREMRBSA-N 0 0 299.710 2.998 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000909113967 622314444 /nfs/dbraw/zinc/31/44/44/622314444.db2.gz RSCSGRXALKVGCZ-APPZFPTMSA-N 0 0 297.694 2.630 20 5 CFBDRN CC(C)OCCOC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027388 622388051 /nfs/dbraw/zinc/38/80/51/622388051.db2.gz KKWPHAKLSUYSQC-ZIAGYGMSSA-N 0 0 293.319 2.667 20 5 CFBDRN COCC[C@H](C)OC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028675 622388493 /nfs/dbraw/zinc/38/84/93/622388493.db2.gz UPRBGQKJRMFPSU-GDLCADMTSA-N 0 0 293.319 2.667 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475623 622522046 /nfs/dbraw/zinc/52/20/46/622522046.db2.gz HLNIDRAEHIYSKR-NXEZZACHSA-N 0 0 298.289 2.781 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)C1CC2(CC2)C1 ZINC000911472849 622522334 /nfs/dbraw/zinc/52/23/34/622522334.db2.gz PJHXEMYPZRWJTG-UHFFFAOYSA-N 0 0 296.273 2.679 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NN1[C@H](C)CCC[C@H]1C ZINC000819644175 622541767 /nfs/dbraw/zinc/54/17/67/622541767.db2.gz CMBGTGQQCCHKEI-VXGBXAGGSA-N 0 0 291.351 2.811 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[Si](C)(C)C ZINC000911737453 622546081 /nfs/dbraw/zinc/54/60/81/622546081.db2.gz DSEARIMJCRUQCA-UHFFFAOYSA-N 0 0 296.399 2.553 20 5 CFBDRN Cc1ccccc1CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819893999 622567821 /nfs/dbraw/zinc/56/78/21/622567821.db2.gz YSQLROIJNFTIMX-UHFFFAOYSA-N 0 0 285.303 2.667 20 5 CFBDRN Nc1ccc(NC(=O)c2cc3ccccn3c2)cc1[N+](=O)[O-] ZINC000819892914 622568019 /nfs/dbraw/zinc/56/80/19/622568019.db2.gz OOIRTYOVVQHMMS-UHFFFAOYSA-N 0 0 296.286 2.682 20 5 CFBDRN CCn1nc(C)c(CNc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000819934597 622576718 /nfs/dbraw/zinc/57/67/18/622576718.db2.gz FNRKAIYMXGENHM-UHFFFAOYSA-N 0 0 293.302 2.574 20 5 CFBDRN COc1ccc(OC(=O)C(F)=C2CCC2)c([N+](=O)[O-])c1 ZINC000819947325 622580518 /nfs/dbraw/zinc/58/05/18/622580518.db2.gz ZOPQAICUCJRXCP-UHFFFAOYSA-N 0 0 281.239 2.916 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000819964691 622587099 /nfs/dbraw/zinc/58/70/99/622587099.db2.gz VUXPVDWQNXZLOS-CDNXDHMFSA-N 0 0 291.303 2.934 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000819964724 622587161 /nfs/dbraw/zinc/58/71/61/622587161.db2.gz WHIJVQUTTAFLHU-UIRLJRQTSA-N 0 0 291.303 2.934 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)[C@@H](C)C(C)C ZINC000819994530 622594071 /nfs/dbraw/zinc/59/40/71/622594071.db2.gz BCZVVAHCCQBKPA-VIFPVBQESA-N 0 0 297.330 2.823 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NN1CCCC[C@H]1C ZINC000912138845 622642765 /nfs/dbraw/zinc/64/27/65/622642765.db2.gz BNNALRZZZUVATH-GFCCVEGCSA-N 0 0 291.351 2.731 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NN1CCCC[C@H]1C ZINC000912160869 622659400 /nfs/dbraw/zinc/65/94/00/622659400.db2.gz UTYSAAZUKOQCRD-LLVKDONJSA-N 0 0 291.351 2.731 20 5 CFBDRN C[C@H](NC(=O)NCCc1ccc([N+](=O)[O-])cc1)C1(C)CC1 ZINC000912238062 622663167 /nfs/dbraw/zinc/66/31/67/622663167.db2.gz UDRGLYZPLCJCAO-NSHDSACASA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCC[C@H]1C ZINC000820418889 622668356 /nfs/dbraw/zinc/66/83/56/622668356.db2.gz XIYBKHWIMMCVCO-SNVBAGLBSA-N 0 0 262.309 2.836 20 5 CFBDRN C[C@H](O)CCOc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912364265 622699906 /nfs/dbraw/zinc/69/99/06/622699906.db2.gz HDIMKOCEHADXBC-LURJTMIESA-N 0 0 263.652 2.537 20 5 CFBDRN Cc1ncoc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000820591026 622709953 /nfs/dbraw/zinc/70/99/53/622709953.db2.gz ASYSEGISIGYEGW-UHFFFAOYSA-N 0 0 269.207 2.782 20 5 CFBDRN Cc1ncoc1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000820591593 622709983 /nfs/dbraw/zinc/70/99/83/622709983.db2.gz MCDZPIPMBJXUHE-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN Cc1ncc(CSCc2onc(C)c2[N+](=O)[O-])o1 ZINC000912434187 622710087 /nfs/dbraw/zinc/71/00/87/622710087.db2.gz VAKJTFQHRCUTRA-UHFFFAOYSA-N 0 0 269.282 2.621 20 5 CFBDRN COc1cc(N2C[C@@H](C)O[C@@H](C)C2)c([N+](=O)[O-])cc1C ZINC000820598896 622712961 /nfs/dbraw/zinc/71/29/61/622712961.db2.gz MPDGNTRIBWMTLH-PHIMTYICSA-N 0 0 280.324 2.525 20 5 CFBDRN COc1cc(N(C)Cc2ccccn2)c([N+](=O)[O-])cc1C ZINC000820635080 622721333 /nfs/dbraw/zinc/72/13/33/622721333.db2.gz UPIKSBBBWFDIDT-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CCC1(NC(=O)CCC(C)(C)[N+](=O)[O-])CCCC1 ZINC000834304237 625595432 /nfs/dbraw/zinc/59/54/32/625595432.db2.gz MJBHUVTUCKVYKV-UHFFFAOYSA-N 0 0 256.346 2.661 20 5 CFBDRN O=c1cccc(Cl)n1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000820706235 622740555 /nfs/dbraw/zinc/74/05/55/622740555.db2.gz LAYXQASMBRVWMT-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN CC1(S(=O)(=O)Oc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000820743313 622748079 /nfs/dbraw/zinc/74/80/79/622748079.db2.gz CBYXFROAXIXCNF-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923964215 622760667 /nfs/dbraw/zinc/76/06/67/622760667.db2.gz QQAFATRQBNKZGI-VIFPVBQESA-N 0 0 297.282 2.577 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccccc2[N+](=O)[O-])cn1 ZINC000912731599 622772134 /nfs/dbraw/zinc/77/21/34/622772134.db2.gz BBCUOSLDFFXVJY-UHFFFAOYSA-N 0 0 261.193 2.690 20 5 CFBDRN Cc1ccc2c(Cn3cc([N+](=O)[O-])cn3)cc(=O)oc2c1C ZINC000912771756 622774717 /nfs/dbraw/zinc/77/47/17/622774717.db2.gz GHRQLLUSOTYHMV-UHFFFAOYSA-N 0 0 299.286 2.563 20 5 CFBDRN CC(C)OC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923965990 622780003 /nfs/dbraw/zinc/78/00/03/622780003.db2.gz RXCBKJGMVBMYSM-UHFFFAOYSA-N 0 0 255.245 2.618 20 5 CFBDRN Cc1ccc(C(=O)OCCc2ccccc2[N+](=O)[O-])n1C ZINC000151178579 622847727 /nfs/dbraw/zinc/84/77/27/622847727.db2.gz UDTSRZAUPBMPQH-UHFFFAOYSA-N 0 0 288.303 2.641 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ccc2n[nH]cc2c1 ZINC000913197350 622849797 /nfs/dbraw/zinc/84/97/97/622849797.db2.gz AJSORLDSYAXRHV-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)OCCc1ccccc1[N+](=O)[O-] ZINC000151255291 622870243 /nfs/dbraw/zinc/87/02/43/622870243.db2.gz DYYNHXAUPIAAAW-ZDUSSCGKSA-N 0 0 293.319 2.640 20 5 CFBDRN Cc1noc(C)c1C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151246685 622872961 /nfs/dbraw/zinc/87/29/61/622872961.db2.gz PJWJKONYXBDNNG-UHFFFAOYSA-N 0 0 290.275 2.599 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC[C@@H]1C1CC1 ZINC000834319137 625602494 /nfs/dbraw/zinc/60/24/94/625602494.db2.gz QUKQHZGPLSRYDS-LLVKDONJSA-N 0 0 280.711 2.873 20 5 CFBDRN CC(=O)c1ccc(OC(=O)Cc2cccc([N+](=O)[O-])c2)cc1 ZINC000151414657 622905158 /nfs/dbraw/zinc/90/51/58/622905158.db2.gz DIWVWURXXRVJNP-UHFFFAOYSA-N 0 0 299.282 2.946 20 5 CFBDRN O=C(COC(=O)C(F)=C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000821391624 622912470 /nfs/dbraw/zinc/91/24/70/622912470.db2.gz CRBIHMOUULAHNL-UHFFFAOYSA-N 0 0 293.250 2.728 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000163694185 622920095 /nfs/dbraw/zinc/92/00/95/622920095.db2.gz QNHYGSQCBOAMFW-NSHDSACASA-N 0 0 293.319 2.701 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCc1ccc[nH]1 ZINC000821424052 622920090 /nfs/dbraw/zinc/92/00/90/622920090.db2.gz CWJYRTVXVYUPPE-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](C)[C@H]1C ZINC000821507469 622936476 /nfs/dbraw/zinc/93/64/76/622936476.db2.gz BKSZNUVLLCHXTJ-VHSXEESVSA-N 0 0 263.297 2.775 20 5 CFBDRN CC(C)=CC(=O)NCCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000156889173 622973969 /nfs/dbraw/zinc/97/39/69/622973969.db2.gz SXBCUYIJWRIHKA-UHFFFAOYSA-N 0 0 291.351 2.788 20 5 CFBDRN COc1ccc(C(=O)O[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000821846453 622992082 /nfs/dbraw/zinc/99/20/82/622992082.db2.gz NXRHSHJBRNYCIC-GFCCVEGCSA-N 0 0 279.292 2.949 20 5 CFBDRN COc1ccc(C(=O)OC[C@@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000822081275 623030280 /nfs/dbraw/zinc/03/02/80/623030280.db2.gz YACJVFIADRZGOY-WCQYABFASA-N 0 0 283.255 2.508 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(SCc2ccco2)n1 ZINC000166886773 623035296 /nfs/dbraw/zinc/03/52/96/623035296.db2.gz HALNNMHQFMAJRW-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@]2(C)C[C@H]2F)c1 ZINC000822117537 623040523 /nfs/dbraw/zinc/04/05/23/623040523.db2.gz ARNISSJFRBMLDM-YPMHNXCESA-N 0 0 267.256 2.808 20 5 CFBDRN C[C@]1(COC(=O)CCc2cccc([N+](=O)[O-])c2)C[C@H]1F ZINC000822120139 623042277 /nfs/dbraw/zinc/04/22/77/623042277.db2.gz LVMXRRAPPPLHIM-TZMCWYRMSA-N 0 0 281.283 2.819 20 5 CFBDRN COc1c(C(=O)OC[C@]2(C)C[C@@H]2F)cccc1[N+](=O)[O-] ZINC000822136868 623047300 /nfs/dbraw/zinc/04/73/00/623047300.db2.gz GEYHVCZQAWBSJB-GWCFXTLKSA-N 0 0 283.255 2.508 20 5 CFBDRN CC1(C)C[C@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000226234678 623062852 /nfs/dbraw/zinc/06/28/52/623062852.db2.gz CCRJPFXSUVWSDK-QMMMGPOBSA-N 0 0 265.269 2.961 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822347150 623087293 /nfs/dbraw/zinc/08/72/93/623087293.db2.gz WIAHVFGSMGXGBE-NSHDSACASA-N 0 0 258.362 2.763 20 5 CFBDRN O=C(CCn1cc([N+](=O)[O-])cn1)OC1CCCCCCC1 ZINC000077941401 623088682 /nfs/dbraw/zinc/08/86/82/623088682.db2.gz KYRCPOPEZNPWMF-UHFFFAOYSA-N 0 0 295.339 2.838 20 5 CFBDRN CC/C(C)=C\C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000822371865 623091082 /nfs/dbraw/zinc/09/10/82/623091082.db2.gz LYZWMSITBONBAC-NTMALXAHSA-N 0 0 260.293 2.840 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCc1nccs1 ZINC000060229448 623122592 /nfs/dbraw/zinc/12/25/92/623122592.db2.gz BAGKZMZWYPNUCH-UHFFFAOYSA-N 0 0 267.285 2.845 20 5 CFBDRN CSC1(CNc2ccc([N+](=O)[O-])c3nonc32)CCC1 ZINC000227986228 623124936 /nfs/dbraw/zinc/12/49/36/623124936.db2.gz DQXLVYJWRKAQAC-UHFFFAOYSA-N 0 0 294.336 2.829 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])cn2C)cnc1Cl ZINC000228031833 623125547 /nfs/dbraw/zinc/12/55/47/623125547.db2.gz HBLPADHEPKKBSD-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=C(/C=C\[C@H]1CCCO1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000822640184 623141109 /nfs/dbraw/zinc/14/11/09/623141109.db2.gz NHUIXTWFGFDXDT-AYYIZTPMSA-N 0 0 296.710 2.922 20 5 CFBDRN CC/C(C)=C\C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000822663130 623145779 /nfs/dbraw/zinc/14/57/79/623145779.db2.gz LLHRCTMNUUXVNE-YFHOEESVSA-N 0 0 278.308 2.576 20 5 CFBDRN CN(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)c1cccnc1 ZINC000228625789 623154600 /nfs/dbraw/zinc/15/46/00/623154600.db2.gz JIOXIUPVZKNPSJ-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC2(O)CCCCC2)c1 ZINC000087458496 623160659 /nfs/dbraw/zinc/16/06/59/623160659.db2.gz FOTVSYJUQRNMOU-UHFFFAOYSA-N 0 0 265.309 2.977 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCC1(O)CCCCC1 ZINC000087458531 623161228 /nfs/dbraw/zinc/16/12/28/623161228.db2.gz AYJBSTCAKGZTNG-UHFFFAOYSA-N 0 0 269.272 2.808 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)OCc1ccc([N+](=O)[O-])cc1 ZINC000060984816 623170819 /nfs/dbraw/zinc/17/08/19/623170819.db2.gz YZSGMACNAAQWGI-LLVKDONJSA-N 0 0 261.277 2.994 20 5 CFBDRN CC(C)(C)c1ncc(NC(=O)CCC(C)(C)[N+](=O)[O-])cn1 ZINC000822772997 623171596 /nfs/dbraw/zinc/17/15/96/623171596.db2.gz FEDAFAFGKSOQOB-UHFFFAOYSA-N 0 0 294.355 2.548 20 5 CFBDRN Cc1occc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000061033526 623173977 /nfs/dbraw/zinc/17/39/77/623173977.db2.gz JIKHBEDLZRULFO-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000061569928 623220220 /nfs/dbraw/zinc/22/02/20/623220220.db2.gz IMHITBPXZHWLMP-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000230106697 623252696 /nfs/dbraw/zinc/25/26/96/623252696.db2.gz KAVFRECTQLGPSK-SDBXPKJASA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1C[C@H](C)[C@H]1C ZINC000823184002 623255910 /nfs/dbraw/zinc/25/59/10/623255910.db2.gz UPPHDQHEOWFRRC-GXSJLCMTSA-N 0 0 262.309 2.692 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)[NH2+]Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000230152254 623256484 /nfs/dbraw/zinc/25/64/84/623256484.db2.gz VKTVEQDIBHOXFR-ZANVPECISA-N 0 0 284.743 2.905 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000230669981 623289369 /nfs/dbraw/zinc/28/93/69/623289369.db2.gz IRRRZWYBJPACSZ-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000230669975 623289631 /nfs/dbraw/zinc/28/96/31/623289631.db2.gz IRRRZWYBJPACSZ-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN Cc1nn(C)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000172755945 623305463 /nfs/dbraw/zinc/30/54/63/623305463.db2.gz AGUACLSXCQZRGC-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2cccnc2[N+](=O)[O-])C1 ZINC000230917991 623310289 /nfs/dbraw/zinc/31/02/89/623310289.db2.gz SXSOUWLYKZMXLM-BDAKNGLRSA-N 0 0 253.327 2.686 20 5 CFBDRN CCc1nn(C)cc1NCc1cccc([N+](=O)[O-])c1C ZINC000231083145 623323163 /nfs/dbraw/zinc/32/31/63/623323163.db2.gz ROWSRRXHKNZSPH-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1CC[C@H](C)O1 ZINC000823505275 623335822 /nfs/dbraw/zinc/33/58/22/623335822.db2.gz VIADKAYZARMPIA-JQWIXIFHSA-N 0 0 292.335 2.509 20 5 CFBDRN COCC[C@@H](C)CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000823570200 623348475 /nfs/dbraw/zinc/34/84/75/623348475.db2.gz HVIKXGXAGHGJPQ-SNVBAGLBSA-N 0 0 294.351 2.614 20 5 CFBDRN Cc1ncc(C(=O)OCc2ccc([N+](=O)[O-])cc2)s1 ZINC000063452051 623349298 /nfs/dbraw/zinc/34/92/98/623349298.db2.gz RBGQVFSSJBUPKG-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000173502199 623353423 /nfs/dbraw/zinc/35/34/23/623353423.db2.gz FRRPPFROEUVCCP-LLVKDONJSA-N 0 0 286.287 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(F)(F)C(F)F)c1 ZINC000173576100 623359000 /nfs/dbraw/zinc/35/90/00/623359000.db2.gz CNCKGZDRGBWXES-UHFFFAOYSA-N 0 0 295.188 2.960 20 5 CFBDRN C[C@@H](CC(F)(F)F)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000231749208 623365993 /nfs/dbraw/zinc/36/59/93/623365993.db2.gz QNRGGLFVBAUGIM-YFKPBYRVSA-N 0 0 290.201 2.884 20 5 CFBDRN Cc1cc(NC(=O)/C=C\[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000823700864 623381071 /nfs/dbraw/zinc/38/10/71/623381071.db2.gz TZBXYDUEVONYFP-SMYYYNMVSA-N 0 0 276.292 2.577 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)OCc2cccc([N+](=O)[O-])c2)O1 ZINC000174124741 623390054 /nfs/dbraw/zinc/39/00/54/623390054.db2.gz BMEPSSYPKAWGFO-RISCZKNCSA-N 0 0 293.319 2.986 20 5 CFBDRN Cc1nn(C/C(Cl)=C/Cl)c(C)c1[N+](=O)[O-] ZINC000174134427 623392446 /nfs/dbraw/zinc/39/24/46/623392446.db2.gz INKKVHLAYZWCML-CLTKARDFSA-N 0 0 250.085 2.727 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\[C@H]1CCCO1 ZINC000823899284 623416606 /nfs/dbraw/zinc/41/66/06/623416606.db2.gz QPTLKZZUXRIKSG-MEJMFZKBSA-N 0 0 290.319 2.831 20 5 CFBDRN CC(C(=O)NCCNc1ccccc1[N+](=O)[O-])=C1CCC1 ZINC000823921628 623419540 /nfs/dbraw/zinc/41/95/40/623419540.db2.gz IBPXZWBAABHKBK-UHFFFAOYSA-N 0 0 289.335 2.623 20 5 CFBDRN CCOCCCCOC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000174571615 623428629 /nfs/dbraw/zinc/42/86/29/623428629.db2.gz SDVJLMXDAVYFKX-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CCOCCCCOC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000174569273 623428982 /nfs/dbraw/zinc/42/89/82/623428982.db2.gz CGBLBOXYKVKEAF-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CN(CC(C)(C)C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823986828 623429918 /nfs/dbraw/zinc/42/99/18/623429918.db2.gz MZNITKYKUKCJQS-UHFFFAOYSA-N 0 0 280.328 2.687 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824008101 623431419 /nfs/dbraw/zinc/43/14/19/623431419.db2.gz FGJLBQIYFULESK-SECBINFHSA-N 0 0 278.312 2.583 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000824236191 623474270 /nfs/dbraw/zinc/47/42/70/623474270.db2.gz JEEWVFIJJXINCK-BXUZGUMPSA-N 0 0 294.351 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccc(CO)cc2)cc1F ZINC000094774073 623509556 /nfs/dbraw/zinc/50/95/56/623509556.db2.gz GRFIBPUMKAWMDW-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H]2CCn3ccnc32)c(Cl)c1 ZINC000824526570 623517494 /nfs/dbraw/zinc/51/74/94/623517494.db2.gz NGCZVQDREAHHEN-LBPRGKRZSA-N 0 0 292.726 2.679 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@]1(C)CC1(F)F ZINC000824550856 623523028 /nfs/dbraw/zinc/52/30/28/623523028.db2.gz NUCOIWYWMYTDHY-CYBMUJFWSA-N 0 0 298.289 2.987 20 5 CFBDRN Cc1cnc(COC(=O)CC2(C)CC2)c(C)c1[N+](=O)[O-] ZINC000759338406 623526258 /nfs/dbraw/zinc/52/62/58/623526258.db2.gz LRDNUSZUDYQITA-UHFFFAOYSA-N 0 0 278.308 2.840 20 5 CFBDRN C[C@@H](N(C)C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000824649308 623539103 /nfs/dbraw/zinc/53/91/03/623539103.db2.gz CKHYZUKCULXEEG-ZWNOBZJWSA-N 0 0 294.351 2.521 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)N=S(C)(C)=O)cc1[N+](=O)[O-] ZINC000913646126 623541770 /nfs/dbraw/zinc/54/17/70/623541770.db2.gz ITFSKGHPBFJZLI-UHFFFAOYSA-N 0 0 298.364 2.760 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000824922836 623589623 /nfs/dbraw/zinc/58/96/23/623589623.db2.gz AYHHBFYCJQQYOO-DOMZBBRYSA-N 0 0 292.335 2.509 20 5 CFBDRN C/C(=C\C(=O)N1CC[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000914155708 623715359 /nfs/dbraw/zinc/71/53/59/623715359.db2.gz HXBVOGPMURKEEO-USKTWTLRSA-N 0 0 260.293 2.619 20 5 CFBDRN CCC/C=C\C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825634603 623727589 /nfs/dbraw/zinc/72/75/89/623727589.db2.gz RWCHIQQGGWTUSK-WAYWQWQTSA-N 0 0 262.309 2.876 20 5 CFBDRN Cc1ccc(CNC(=O)C2(C(F)F)CCC2)cc1[N+](=O)[O-] ZINC000825633877 623727630 /nfs/dbraw/zinc/72/76/30/623727630.db2.gz LGAGNGNPBDTCPC-UHFFFAOYSA-N 0 0 298.289 2.955 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)c2ccncc2)cc1[N+](=O)[O-] ZINC000825641239 623729346 /nfs/dbraw/zinc/72/93/46/623729346.db2.gz SQTOEPYIJGMFAI-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN C/C=C(/CC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825648194 623729891 /nfs/dbraw/zinc/72/98/91/623729891.db2.gz MNULIPCZJTYSNG-QCDXTXTGSA-N 0 0 262.309 2.876 20 5 CFBDRN Cc1ccc(CNC(=O)CC(C)(F)F)cc1[N+](=O)[O-] ZINC000825647035 623730224 /nfs/dbraw/zinc/73/02/24/623730224.db2.gz BTSDDBQFPLLRIK-UHFFFAOYSA-N 0 0 272.251 2.565 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000825648451 623730454 /nfs/dbraw/zinc/73/04/54/623730454.db2.gz SBGJWORJRDNJPU-SNVBAGLBSA-N 0 0 262.309 2.566 20 5 CFBDRN Cc1ccc(CNC(=O)C2CCCC2)cc1[N+](=O)[O-] ZINC000825654937 623731200 /nfs/dbraw/zinc/73/12/00/623731200.db2.gz FPNYZPGRDFYMBP-UHFFFAOYSA-N 0 0 262.309 2.710 20 5 CFBDRN C/C(=C/C(=O)NCc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000825654755 623731395 /nfs/dbraw/zinc/73/13/95/623731395.db2.gz CGIIWGKMAGMEBM-XFFZJAGNSA-N 0 0 274.320 2.876 20 5 CFBDRN C[C@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])C(C)(C)C ZINC000825858237 623762082 /nfs/dbraw/zinc/76/20/82/623762082.db2.gz GHXCZBOSKBOIHK-SNVBAGLBSA-N 0 0 258.362 2.620 20 5 CFBDRN CC/C(C)=C\C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000826100276 623793833 /nfs/dbraw/zinc/79/38/33/623793833.db2.gz IDJRJNOLOZPVJF-KTKRTIGZSA-N 0 0 260.293 2.840 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CC[C@H]2CCC[C@@H]2C1)[N+](=O)[O-] ZINC000826113160 623794543 /nfs/dbraw/zinc/79/45/43/623794543.db2.gz QCZPCPSUDNGADO-JHJVBQTASA-N 0 0 282.384 2.907 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000072500905 625676695 /nfs/dbraw/zinc/67/66/95/625676695.db2.gz MPWKSAVXOOAKAD-WCBMZHEXSA-N 0 0 298.339 2.748 20 5 CFBDRN CC(C(=O)NCCc1ccccc1[N+](=O)[O-])=C1CCC1 ZINC000826567190 623813558 /nfs/dbraw/zinc/81/35/58/623813558.db2.gz DKKZWJXKDNJQLC-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000826580886 623816983 /nfs/dbraw/zinc/81/69/83/623816983.db2.gz UDWYDUPZVDLRKB-MJVIPROJSA-N 0 0 294.351 2.569 20 5 CFBDRN Cc1csc(N(C(=O)CCC(C)(C)[N+](=O)[O-])C2CC2)n1 ZINC000826734823 623861623 /nfs/dbraw/zinc/86/16/23/623861623.db2.gz UBHQQAXWAGWZPL-UHFFFAOYSA-N 0 0 297.380 2.782 20 5 CFBDRN C[C@@H]1CC2(CN1c1ccc([N+](=O)[O-])cc1)CCOCC2 ZINC000826767687 623869519 /nfs/dbraw/zinc/86/95/19/623869519.db2.gz NRXDITSQMSKQEO-GFCCVEGCSA-N 0 0 276.336 2.990 20 5 CFBDRN C[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])CC2(CCC2)O1 ZINC000826790901 623876847 /nfs/dbraw/zinc/87/68/47/623876847.db2.gz JEEFHDGOIROIGR-JTQLQIEISA-N 0 0 280.299 2.882 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCC1CCCC1 ZINC000914736268 623929487 /nfs/dbraw/zinc/92/94/87/623929487.db2.gz UMWUBBLTYWNRKM-YFHOEESVSA-N 0 0 274.320 2.914 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)[C@H]1CC1(F)F ZINC000835278349 623961144 /nfs/dbraw/zinc/96/11/44/623961144.db2.gz LFTOTOXKMFVGQV-MRVPVSSYSA-N 0 0 291.637 2.947 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)/C=C\SC ZINC000767656865 625689434 /nfs/dbraw/zinc/68/94/34/625689434.db2.gz YTXNTCYQCLJWON-WAYWQWQTSA-N 0 0 283.305 2.523 20 5 CFBDRN CCCCN(C)c1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000827269873 623977829 /nfs/dbraw/zinc/97/78/29/623977829.db2.gz CYHQDJUMXXRLKQ-UHFFFAOYSA-N 0 0 280.324 2.547 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1cc(C)[nH]c1C ZINC000915137180 623987388 /nfs/dbraw/zinc/98/73/88/623987388.db2.gz ASZRJFDITBTMDO-UHFFFAOYSA-N 0 0 290.275 2.768 20 5 CFBDRN CC[C@@H]1CCCN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000915212902 623997348 /nfs/dbraw/zinc/99/73/48/623997348.db2.gz QNZSQIDHAYDFQY-LLVKDONJSA-N 0 0 274.324 2.869 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1OCCOC(=O)c1ccc([N+](=O)[O-])o1 ZINC000915369954 624031921 /nfs/dbraw/zinc/03/19/21/624031921.db2.gz NNKIYCMRCRVDNM-MNOVXSKESA-N 0 0 297.307 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)OC/C=C\Cl)c1 ZINC000915439562 624042851 /nfs/dbraw/zinc/04/28/51/624042851.db2.gz AGDFSACGYDVOHR-KXFIGUGUSA-N 0 0 299.710 2.968 20 5 CFBDRN CC(C)CCOC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000915521346 624075747 /nfs/dbraw/zinc/07/57/47/624075747.db2.gz IWTAVOIBFDDXCA-UHFFFAOYSA-N 0 0 291.307 2.534 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H]1CC[C@H](C2CC2)O1 ZINC000915587981 624080479 /nfs/dbraw/zinc/08/04/79/624080479.db2.gz LWEJFKJIIRPNOO-CHWSQXEVSA-N 0 0 293.319 2.940 20 5 CFBDRN CCn1cnnc1SCCc1ccc([N+](=O)[O-])cc1 ZINC000915793819 624110032 /nfs/dbraw/zinc/11/00/32/624110032.db2.gz LOZDCAMHSPWBKQ-UHFFFAOYSA-N 0 0 278.337 2.541 20 5 CFBDRN CCc1nnc(CNc2cc(C)c([N+](=O)[O-])cc2Cl)[nH]1 ZINC000828263696 624217025 /nfs/dbraw/zinc/21/70/25/624217025.db2.gz KGEAIBRPCSNXPQ-UHFFFAOYSA-N 0 0 295.730 2.849 20 5 CFBDRN CC(C)N(C)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929922117 624228473 /nfs/dbraw/zinc/22/84/73/624228473.db2.gz KILSOBGZQMXRNG-STQMWFEESA-N 0 0 262.309 2.565 20 5 CFBDRN CO[C@H](C)CCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000174826608 624228651 /nfs/dbraw/zinc/22/86/51/624228651.db2.gz QDVLZYNLIXODPI-AYLMVEPYSA-N 0 0 279.292 2.576 20 5 CFBDRN Cc1cc(N[C@H]2CCn3ccnc32)c(F)cc1[N+](=O)[O-] ZINC000828358240 624233104 /nfs/dbraw/zinc/23/31/04/624233104.db2.gz QEHXADYJYJIINJ-JTQLQIEISA-N 0 0 276.271 2.796 20 5 CFBDRN O=C(OC[C@@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])o1 ZINC000916467838 624247085 /nfs/dbraw/zinc/24/70/85/624247085.db2.gz XQABEGUCPRWQKA-YFKPBYRVSA-N 0 0 280.063 2.538 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@@H](F)C3)sc2c1 ZINC000828448501 624248730 /nfs/dbraw/zinc/24/87/30/624248730.db2.gz GJGPLEZUFIIDND-SSDOTTSWSA-N 0 0 267.285 2.753 20 5 CFBDRN Cc1cc(C(=O)NN2[C@H](C)CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000916585966 624260464 /nfs/dbraw/zinc/26/04/64/624260464.db2.gz LBYBEAOYJIDDPM-TXEJJXNPSA-N 0 0 291.351 2.811 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000828520083 624261069 /nfs/dbraw/zinc/26/10/69/624261069.db2.gz RTXFBPVSTIUXDM-CYBMUJFWSA-N 0 0 279.292 2.674 20 5 CFBDRN COC(=O)c1cc(C(=O)OCC=C(C)C)cc([N+](=O)[O-])c1 ZINC000916608655 624263402 /nfs/dbraw/zinc/26/34/02/624263402.db2.gz ZVUVJKJUFZWNRY-UHFFFAOYSA-N 0 0 293.275 2.504 20 5 CFBDRN CNc1ccc(C(=O)OC/C=C\Cl)cc1[N+](=O)[O-] ZINC000916610765 624264319 /nfs/dbraw/zinc/26/43/19/624264319.db2.gz AJZSOQXYWTYBFG-DJWKRKHSSA-N 0 0 270.672 2.546 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCCC12CCC2 ZINC000834552935 625709582 /nfs/dbraw/zinc/70/95/82/625709582.db2.gz ZZILFDSJZXVXAC-UHFFFAOYSA-N 0 0 278.283 2.893 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000828610395 624276838 /nfs/dbraw/zinc/27/68/38/624276838.db2.gz ALOGRPJOVHHENX-LBPRGKRZSA-N 0 0 260.293 2.786 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H]2C2CC2)c(F)c1 ZINC000828610541 624276880 /nfs/dbraw/zinc/27/68/80/624276880.db2.gz KSKGHJQIMPHSJH-NSHDSACASA-N 0 0 254.236 2.862 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H](C)CCCCO ZINC000828619538 624278601 /nfs/dbraw/zinc/27/86/01/624278601.db2.gz HRPUVDYFQLASBV-JTQLQIEISA-N 0 0 280.324 2.760 20 5 CFBDRN CC(C)CC(=O)OCOc1ccc([N+](=O)[O-])cc1F ZINC000916701306 624278925 /nfs/dbraw/zinc/27/89/25/624278925.db2.gz XZQPTFUQCLPTBT-UHFFFAOYSA-N 0 0 271.244 2.660 20 5 CFBDRN Cc1ccc(OC(=O)C[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000154144969 624279990 /nfs/dbraw/zinc/27/99/90/624279990.db2.gz MBIKVLQUHHQGDT-LLVKDONJSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)COCc1ccccc1 ZINC000916772218 624284549 /nfs/dbraw/zinc/28/45/49/624284549.db2.gz ALGPJBCHRVATMQ-LBPRGKRZSA-N 0 0 289.335 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)Cc2ccccc2)cc1F ZINC000154185725 624285288 /nfs/dbraw/zinc/28/52/88/624285288.db2.gz UJNVDEVZMYGALJ-GFCCVEGCSA-N 0 0 291.278 2.716 20 5 CFBDRN Nc1ccc(NC(=O)/C=C/c2ccccc2)cc1[N+](=O)[O-] ZINC000916827242 624286017 /nfs/dbraw/zinc/28/60/17/624286017.db2.gz NAKVJDSPHCKNHW-RMKNXTFCSA-N 0 0 283.287 2.829 20 5 CFBDRN C[C@@H](Cc1ccco1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000033206628 624315749 /nfs/dbraw/zinc/31/57/49/624315749.db2.gz NUJDJSXHZXHQJT-JTQLQIEISA-N 0 0 274.276 2.549 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C[C@@H]1C ZINC000828903150 624325820 /nfs/dbraw/zinc/32/58/20/624325820.db2.gz FMMYXQGJISYUBO-SMDDNHRTSA-N 0 0 264.325 2.764 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](OC)[C@@H](C)C2)c1 ZINC000828903951 624326896 /nfs/dbraw/zinc/32/68/96/624326896.db2.gz KZDWZQSOWHVEAB-TVQRCGJNSA-N 0 0 298.314 2.604 20 5 CFBDRN CCN(CC)C(=O)OCOc1cccc(C)c1[N+](=O)[O-] ZINC000917356211 624335678 /nfs/dbraw/zinc/33/56/78/624335678.db2.gz BMARMTIICHVHNO-UHFFFAOYSA-N 0 0 282.296 2.718 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N[C@H]1CC12CCC2 ZINC000828943288 624336044 /nfs/dbraw/zinc/33/60/44/624336044.db2.gz AZNLTEVARLFWPR-ZDUSSCGKSA-N 0 0 292.310 2.725 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000828944606 624336952 /nfs/dbraw/zinc/33/69/52/624336952.db2.gz KPCRERBUMMYIGO-BFHYXJOUSA-N 0 0 286.331 2.757 20 5 CFBDRN Cc1nc2c(cnn2C)c(Oc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000917387920 624338115 /nfs/dbraw/zinc/33/81/15/624338115.db2.gz QWLNCFZEQRBHIM-UHFFFAOYSA-N 0 0 299.290 2.681 20 5 CFBDRN Cc1cccc2c1C(=O)N[C@H](c1cccc([N+](=O)[O-])c1)N2 ZINC000917419758 624341689 /nfs/dbraw/zinc/34/16/89/624341689.db2.gz CIEWWXYSNCWNIQ-CQSZACIVSA-N 0 0 283.287 2.757 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000917526621 624353299 /nfs/dbraw/zinc/35/32/99/624353299.db2.gz ZNVOQXVUPZPCDR-JTQLQIEISA-N 0 0 267.281 2.561 20 5 CFBDRN CC(C)[C@@H]1CCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829048157 624360621 /nfs/dbraw/zinc/36/06/21/624360621.db2.gz WYIBBLQJVIGQOZ-JTQLQIEISA-N 0 0 265.269 2.738 20 5 CFBDRN CCCC[C@H](SCc1cccnc1[N+](=O)[O-])C(=O)OC ZINC000917598476 624367738 /nfs/dbraw/zinc/36/77/38/624367738.db2.gz NHMTZBFDXFOTNH-NSHDSACASA-N 0 0 298.364 2.955 20 5 CFBDRN Nc1cc(N2CCCCCCCC2)cc(CO)c1[N+](=O)[O-] ZINC000829083576 624368935 /nfs/dbraw/zinc/36/89/35/624368935.db2.gz SGFCINDOKHUCDJ-UHFFFAOYSA-N 0 0 293.367 2.830 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H](C)OCC(C)C)c([N+](=O)[O-])c1 ZINC000917619802 624370527 /nfs/dbraw/zinc/37/05/27/624370527.db2.gz QNVVSWCUTOMSQY-LLVKDONJSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@]1(C)CC1(Cl)Cl ZINC000829100112 624371246 /nfs/dbraw/zinc/37/12/46/624371246.db2.gz JOFNMQMBQMZIFI-MRVPVSSYSA-N 0 0 264.112 2.684 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])cn2C)c(C)c1 ZINC000917812948 624387025 /nfs/dbraw/zinc/38/70/25/624387025.db2.gz DEVQUPBLROHUSG-UHFFFAOYSA-N 0 0 287.319 2.827 20 5 CFBDRN CCc1nc(CNc2sccc2[N+](=O)[O-])co1 ZINC000829183484 624393683 /nfs/dbraw/zinc/39/36/83/624393683.db2.gz BOWILOFXBMEVLJ-UHFFFAOYSA-N 0 0 253.283 2.819 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H]2CC[C@H](C3CC3)O2)c1 ZINC000917914217 624394821 /nfs/dbraw/zinc/39/48/21/624394821.db2.gz JBGMCSZMOMQFIS-TZMCWYRMSA-N 0 0 293.319 2.940 20 5 CFBDRN CCn1nnc(C)c1COc1cc([N+](=O)[O-])ccc1Cl ZINC000829252244 624409448 /nfs/dbraw/zinc/40/94/48/624409448.db2.gz JRCORKHPZBFXMR-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN O=[N+]([O-])c1nccn1CCSC1CCCCC1 ZINC000918377384 624433718 /nfs/dbraw/zinc/43/37/18/624433718.db2.gz GGSSJELZCBUDCL-UHFFFAOYSA-N 0 0 255.343 2.857 20 5 CFBDRN O=[N+]([O-])c1nccn1C[C@@H]1CC[C@@H](c2ccccc2)O1 ZINC000918396376 624434123 /nfs/dbraw/zinc/43/41/23/624434123.db2.gz IRPAUHZPWZCFID-STQMWFEESA-N 0 0 273.292 2.712 20 5 CFBDRN O=[N+]([O-])c1nccn1C[C@@H]1CC[C@H](c2ccccc2)O1 ZINC000918396374 624434643 /nfs/dbraw/zinc/43/46/43/624434643.db2.gz IRPAUHZPWZCFID-QWHCGFSZSA-N 0 0 273.292 2.712 20 5 CFBDRN CCc1nc(C(C)C)ccc1Cn1ccnc1[N+](=O)[O-] ZINC000918387526 624435253 /nfs/dbraw/zinc/43/52/53/624435253.db2.gz QESSLNOYCRTRKH-UHFFFAOYSA-N 0 0 274.324 2.920 20 5 CFBDRN O=[N+]([O-])c1nccn1C1CC(OCc2ccccc2)C1 ZINC000918387755 624435259 /nfs/dbraw/zinc/43/52/59/624435259.db2.gz SEWHLJLGDYPTCX-UHFFFAOYSA-N 0 0 273.292 2.712 20 5 CFBDRN COc1ccc([C@H](C)Cn2ccnc2[N+](=O)[O-])cc1 ZINC000918386769 624435315 /nfs/dbraw/zinc/43/53/15/624435315.db2.gz JQXKKLPYVKDASX-SNVBAGLBSA-N 0 0 261.281 2.604 20 5 CFBDRN CC(C)(CNc1cc(N)ccc1[N+](=O)[O-])C1(O)CCCC1 ZINC000829474617 624441068 /nfs/dbraw/zinc/44/10/68/624441068.db2.gz XVKGYRCQKBPTEY-UHFFFAOYSA-N 0 0 293.367 2.920 20 5 CFBDRN CC(C)CCCOC(=O)c1cc([N+](=O)[O-])cn1C ZINC000918494815 624443055 /nfs/dbraw/zinc/44/30/55/624443055.db2.gz HEXTWZZHBFZNBZ-UHFFFAOYSA-N 0 0 254.286 2.526 20 5 CFBDRN O=C(OCCOC1CCCCC1)c1ccc([N+](=O)[O-])o1 ZINC000918516276 624446148 /nfs/dbraw/zinc/44/61/48/624446148.db2.gz CDFGKRRNHWUJKI-UHFFFAOYSA-N 0 0 283.280 2.694 20 5 CFBDRN CC(C)CCOCCOC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000918533490 624448268 /nfs/dbraw/zinc/44/82/68/624448268.db2.gz FABHWDBUYCLFBR-UHFFFAOYSA-N 0 0 295.335 2.743 20 5 CFBDRN C[C@@H](O)CCCOc1cccc(Cl)c1[N+](=O)[O-] ZINC000829617841 624476536 /nfs/dbraw/zinc/47/65/36/624476536.db2.gz JSCUTZNADHBZEO-MRVPVSSYSA-N 0 0 259.689 2.788 20 5 CFBDRN O=C(CCc1ccco1)OCc1csc([N+](=O)[O-])c1 ZINC000918841796 624498439 /nfs/dbraw/zinc/49/84/39/624498439.db2.gz YHWVQHWESQXVRG-UHFFFAOYSA-N 0 0 281.289 2.925 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000918862151 624503690 /nfs/dbraw/zinc/50/36/90/624503690.db2.gz YRVXVJBWHDBGMA-ZKXNXJMVSA-N 0 0 277.276 2.519 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)OC1CCC1 ZINC000829736633 624517523 /nfs/dbraw/zinc/51/75/23/624517523.db2.gz MVFMYXATCBBWDZ-UHFFFAOYSA-N 0 0 267.237 2.671 20 5 CFBDRN Cc1c(COC(=O)c2cncs2)cccc1[N+](=O)[O-] ZINC000919041661 624518712 /nfs/dbraw/zinc/51/87/12/624518712.db2.gz NOYSJUVKKWZJKF-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(Cc1nncs1)C1CC1 ZINC000930059883 624526307 /nfs/dbraw/zinc/52/63/07/624526307.db2.gz DMHPKZAFGVNSFU-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN C[C@@H](NC(=O)NC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000919696822 624599291 /nfs/dbraw/zinc/59/92/91/624599291.db2.gz BUYKWRJVVJVKIJ-SECBINFHSA-N 0 0 263.297 2.508 20 5 CFBDRN CCC(F)(F)COC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000851490688 634394778 /nfs/dbraw/zinc/39/47/78/634394778.db2.gz JHCUNPALMDZHBR-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN Cc1nc(Cl)n(Cc2cccc([N+](=O)[O-])c2C)n1 ZINC000919725935 624606515 /nfs/dbraw/zinc/60/65/15/624606515.db2.gz GFWXXUSYVZVNSO-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CN(C(=O)c1scnc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000920080955 624671850 /nfs/dbraw/zinc/67/18/50/624671850.db2.gz NFYCLGYSVXHUOE-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN CSCCn1nc(-c2cccc([N+](=O)[O-])c2)nc1Cl ZINC000920413141 624719829 /nfs/dbraw/zinc/71/98/29/624719829.db2.gz XUYQSZADISYILM-UHFFFAOYSA-N 0 0 298.755 2.870 20 5 CFBDRN CC(=O)CCCCOC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830360988 624722309 /nfs/dbraw/zinc/72/23/09/624722309.db2.gz YNBXLDONPVVMET-UHFFFAOYSA-N 0 0 297.282 2.958 20 5 CFBDRN CC[C@@H](C)OC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830365741 624723364 /nfs/dbraw/zinc/72/33/64/624723364.db2.gz MPXLFVJEYZFAIB-SSDOTTSWSA-N 0 0 255.245 2.998 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CC[C@@H](C)O1 ZINC000830369273 624724686 /nfs/dbraw/zinc/72/46/86/624724686.db2.gz JPGCHBIZLIZBBB-SCZZXKLOSA-N 0 0 297.282 2.767 20 5 CFBDRN COc1ccc(CNC(=O)C[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000920437195 624728740 /nfs/dbraw/zinc/72/87/40/624728740.db2.gz RWYOGRRULPORAK-SNVBAGLBSA-N 0 0 292.335 2.656 20 5 CFBDRN COc1ccc(COC(=O)c2c[nH]cc2C)cc1[N+](=O)[O-] ZINC000920579574 624742857 /nfs/dbraw/zinc/74/28/57/624742857.db2.gz ISVPJCRDFGVWCD-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN Cc1ccc(F)c(CN(C)C(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000830539499 624774881 /nfs/dbraw/zinc/77/48/81/624774881.db2.gz IBTFTAUOBGIVGF-UHFFFAOYSA-N 0 0 296.342 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(OC(C)(C)C)C2)c1 ZINC000830536597 624775096 /nfs/dbraw/zinc/77/50/96/624775096.db2.gz HEKGSGMJHZHSOR-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN CCCCO[C@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000920919091 624778689 /nfs/dbraw/zinc/77/86/89/624778689.db2.gz XDGQBBALYYTSLZ-LLVKDONJSA-N 0 0 281.308 2.843 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000920902551 624781739 /nfs/dbraw/zinc/78/17/39/624781739.db2.gz JEYFYTFOAPCFTM-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN C[C@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000830707586 624820057 /nfs/dbraw/zinc/82/00/57/624820057.db2.gz FSLXACCCSNBOGP-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCC(=O)C1)c1cccc([N+](=O)[O-])c1 ZINC000830921792 624929219 /nfs/dbraw/zinc/92/92/19/624929219.db2.gz LCHDVVALAOEDJR-ONGXEEELSA-N 0 0 277.276 2.568 20 5 CFBDRN Cc1ncccc1[C@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000851542512 634420966 /nfs/dbraw/zinc/42/09/66/634420966.db2.gz SMMPVWMLGXXCFU-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CC[C@@H](C)[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000831187191 624970061 /nfs/dbraw/zinc/97/00/61/624970061.db2.gz BRNUPIVBTSYEEP-PSASIEDQSA-N 0 0 298.305 2.915 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H](C)OCC2CC2)c([N+](=O)[O-])c1 ZINC000068377266 624994362 /nfs/dbraw/zinc/99/43/62/624994362.db2.gz GBKCXMTXAVDMID-NSHDSACASA-N 0 0 293.319 2.932 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2N)C1 ZINC000068514899 625004763 /nfs/dbraw/zinc/00/47/63/625004763.db2.gz GHXBUXZGYMDIDZ-LLVKDONJSA-N 0 0 291.351 2.748 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2N)C1 ZINC000068514900 625004813 /nfs/dbraw/zinc/00/48/13/625004813.db2.gz GHXBUXZGYMDIDZ-NSHDSACASA-N 0 0 291.351 2.748 20 5 CFBDRN Cc1cccnc1COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000180278615 625010058 /nfs/dbraw/zinc/01/00/58/625010058.db2.gz LYNCLHOPGHIFPF-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCC1CC=CC1 ZINC000831456684 625029156 /nfs/dbraw/zinc/02/91/56/625029156.db2.gz RFKLCEBNUQWBQK-UHFFFAOYSA-N 0 0 274.320 2.610 20 5 CFBDRN CCON(CC)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000831467743 625030906 /nfs/dbraw/zinc/03/09/06/625030906.db2.gz YPBRUJISYGITTF-SECBINFHSA-N 0 0 284.287 2.637 20 5 CFBDRN CCON(CC)C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000831467744 625030962 /nfs/dbraw/zinc/03/09/62/625030962.db2.gz YPBRUJISYGITTF-VIFPVBQESA-N 0 0 284.287 2.637 20 5 CFBDRN CCON(CC)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000831476059 625031348 /nfs/dbraw/zinc/03/13/48/625031348.db2.gz DZTUQXFGKAGWSS-UHFFFAOYSA-N 0 0 280.324 2.672 20 5 CFBDRN CCON(CC)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000831475879 625032057 /nfs/dbraw/zinc/03/20/57/625032057.db2.gz CZMHNYGPPSMJEK-UHFFFAOYSA-N 0 0 286.715 2.591 20 5 CFBDRN CCON(CC)C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000831495937 625036101 /nfs/dbraw/zinc/03/61/01/625036101.db2.gz MXDLMYUODLPENZ-SNVBAGLBSA-N 0 0 298.364 2.875 20 5 CFBDRN CCON(CC)C(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000831499442 625036735 /nfs/dbraw/zinc/03/67/35/625036735.db2.gz PDOFZVGCLSMDQF-UHFFFAOYSA-N 0 0 266.297 2.625 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1OC2CCC1CC2 ZINC000831503831 625037843 /nfs/dbraw/zinc/03/78/43/625037843.db2.gz PKFOWDRBQXRVHR-UHFFFAOYSA-N 0 0 294.282 2.741 20 5 CFBDRN Cc1ccc(OC(=O)/C=C/C2CCOCC2)c([N+](=O)[O-])c1 ZINC000112164710 625091049 /nfs/dbraw/zinc/09/10/49/625091049.db2.gz TVNGIRGPXQOOJX-HWKANZROSA-N 0 0 291.303 2.791 20 5 CFBDRN Cc1c(NC(=O)c2ccc(F)nc2)cccc1[N+](=O)[O-] ZINC000069704818 625209149 /nfs/dbraw/zinc/20/91/49/625209149.db2.gz ZJRGUXCWWDOZGF-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2c(F)cccc2[N+](=O)[O-])CCS1 ZINC000834626418 625742414 /nfs/dbraw/zinc/74/24/14/625742414.db2.gz JDCIPDWCERPHLS-IUCAKERBSA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCS[C@@H](C)C1 ZINC000834650313 625754609 /nfs/dbraw/zinc/75/46/09/625754609.db2.gz OIVSSBBQJILOFB-QWRGUYRKSA-N 0 0 294.376 2.917 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCS[C@@H](C)C1 ZINC000834676407 625778448 /nfs/dbraw/zinc/77/84/48/625778448.db2.gz GMERNFSUVZFDCL-WDEREUQCSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2csc([N+](=O)[O-])c2)CCS1 ZINC000834681965 625781675 /nfs/dbraw/zinc/78/16/75/625781675.db2.gz FZUBVHSZQKDMAW-IONNQARKSA-N 0 0 286.378 2.670 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCS[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000834702675 625792164 /nfs/dbraw/zinc/79/21/64/625792164.db2.gz ZYYFYMRKSNFYBV-CMPLNLGQSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Cc2ccccc2[N+](=O)[O-])CCS1 ZINC000834707550 625796379 /nfs/dbraw/zinc/79/63/79/625796379.db2.gz WVXXMKIFQRQREY-CMPLNLGQSA-N 0 0 294.376 2.538 20 5 CFBDRN CC(C)(CCc1noc(CC2CC(F)(F)C2)n1)[N+](=O)[O-] ZINC000834743411 625823873 /nfs/dbraw/zinc/82/38/73/625823873.db2.gz DFHYNCIFCATTLU-UHFFFAOYSA-N 0 0 289.282 2.645 20 5 CFBDRN CC[C@@H]1C[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000834743564 625824189 /nfs/dbraw/zinc/82/41/89/625824189.db2.gz FBTOEFLEUFWUHV-RKDXNWHRSA-N 0 0 253.302 2.571 20 5 CFBDRN CC[C@H](N[C@H](C)c1ccc(N)c([N+](=O)[O-])c1)C(F)F ZINC000834827869 625886272 /nfs/dbraw/zinc/88/62/72/625886272.db2.gz MQNDUECNRKSOIS-XCBNKYQSSA-N 0 0 273.283 2.871 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@H]1CCn2ccnc21 ZINC000834835374 625894226 /nfs/dbraw/zinc/89/42/26/625894226.db2.gz IBGXIVMHROIHKE-FZMZJTMJSA-N 0 0 286.335 2.895 20 5 CFBDRN CC(Cl)(Cl)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000834841096 625898865 /nfs/dbraw/zinc/89/88/65/625898865.db2.gz PEKAHXVRSHHPIR-UHFFFAOYSA-N 0 0 278.051 2.888 20 5 CFBDRN CO[C@H](CNc1cc([N+](=O)[O-])ccc1C(C)=O)C1CC1 ZINC000814927976 625910800 /nfs/dbraw/zinc/91/08/00/625910800.db2.gz PVEYTLPLXMGSFS-CQSZACIVSA-N 0 0 278.308 2.634 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000929222364 625981308 /nfs/dbraw/zinc/98/13/08/625981308.db2.gz HAGRIPWEAVAUFT-OLZOCXBDSA-N 0 0 293.323 2.544 20 5 CFBDRN CC(C)n1ccc(COc2ccc([N+](=O)[O-])cc2C=O)n1 ZINC000083683047 625988166 /nfs/dbraw/zinc/98/81/66/625988166.db2.gz UGEVJBQHQWUVAR-UHFFFAOYSA-N 0 0 289.291 2.764 20 5 CFBDRN CC(=O)C1CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000311968429 626200964 /nfs/dbraw/zinc/20/09/64/626200964.db2.gz JKECJPSTULZTAQ-UHFFFAOYSA-N 0 0 266.272 2.539 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)Cc2ccccc21 ZINC000922316844 626269255 /nfs/dbraw/zinc/26/92/55/626269255.db2.gz GWGFKEUATKYPBN-JTQLQIEISA-N 0 0 286.287 2.947 20 5 CFBDRN CCn1cnnc1NC/C(C)=C/c1cccc([N+](=O)[O-])c1 ZINC000922658916 626335861 /nfs/dbraw/zinc/33/58/61/626335861.db2.gz JHMUCZJUAMOLAQ-YRNVUSSQSA-N 0 0 287.323 2.722 20 5 CFBDRN CCCc1[nH]nc(C(=O)OCc2ccsc2)c1[N+](=O)[O-] ZINC000922690538 626342728 /nfs/dbraw/zinc/34/27/28/626342728.db2.gz XSGBNAKZKYVBRN-UHFFFAOYSA-N 0 0 295.320 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCC1CCOCC1 ZINC000073969338 626401702 /nfs/dbraw/zinc/40/17/02/626401702.db2.gz ZKQLPGMCTYESEL-UHFFFAOYSA-N 0 0 255.245 2.539 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)C ZINC000086702351 626409340 /nfs/dbraw/zinc/40/93/40/626409340.db2.gz APRLJWJHMPBNBT-NSHDSACASA-N 0 0 283.284 2.586 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1nccs1 ZINC000774070545 626416468 /nfs/dbraw/zinc/41/64/68/626416468.db2.gz WQDQVGBFRMKSJX-UHFFFAOYSA-N 0 0 284.680 2.924 20 5 CFBDRN C[C@H]1CCCC[C@@H]1N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822406377 626416820 /nfs/dbraw/zinc/41/68/20/626416820.db2.gz KASPKSFTERZEEL-RYUDHWBXSA-N 0 0 270.373 2.859 20 5 CFBDRN CCCCOCCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000788082068 626419896 /nfs/dbraw/zinc/41/98/96/626419896.db2.gz VHCTXMCIXSDVLO-UHFFFAOYSA-N 0 0 295.335 2.806 20 5 CFBDRN Cc1c(CC(=O)OC[C@]2(C)CCCO2)cccc1[N+](=O)[O-] ZINC000788087602 626483450 /nfs/dbraw/zinc/48/34/50/626483450.db2.gz XOWZOXJCUZDPBE-HNNXBMFYSA-N 0 0 293.319 2.558 20 5 CFBDRN Cc1c(COC(=O)/C=C/[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000838047028 626484834 /nfs/dbraw/zinc/48/48/34/626484834.db2.gz GIEODSKDRXDWKD-FYJFLYSWSA-N 0 0 291.303 2.539 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000838086585 626485660 /nfs/dbraw/zinc/48/56/60/626485660.db2.gz QRHUSHKSSJFPDK-GXSJLCMTSA-N 0 0 266.272 2.508 20 5 CFBDRN O=C(CCCC(=O)C1CC1)OCc1ccccc1[N+](=O)[O-] ZINC000838151958 626487209 /nfs/dbraw/zinc/48/72/09/626487209.db2.gz CELOVELGTUUQRZ-UHFFFAOYSA-N 0 0 291.303 2.787 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc([C@@H]2C[C@H]2C(C)(C)C)n1 ZINC000866982701 626487271 /nfs/dbraw/zinc/48/72/71/626487271.db2.gz SOXKZTCQLAHMCE-HTQZYQBOSA-N 0 0 291.311 2.528 20 5 CFBDRN CC(C)(C)CCCOC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838285499 626491776 /nfs/dbraw/zinc/49/17/76/626491776.db2.gz OUZXOIUYNNJDCB-ZDUSSCGKSA-N 0 0 295.335 2.998 20 5 CFBDRN CC1(C)CCC[C@H]1OC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283518 626491861 /nfs/dbraw/zinc/49/18/61/626491861.db2.gz DRBHQMJRDZNQOA-OLZOCXBDSA-N 0 0 293.319 2.750 20 5 CFBDRN O=C(OCCC1CCCC1)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838286463 626491929 /nfs/dbraw/zinc/49/19/29/626491929.db2.gz SQKBZLPHQOGNRP-CQSZACIVSA-N 0 0 293.319 2.752 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H](O)C1CCC1 ZINC000838312188 626492444 /nfs/dbraw/zinc/49/24/44/626492444.db2.gz MTOAKQZDTQFDMB-IINYFYTJSA-N 0 0 293.319 2.668 20 5 CFBDRN C[C@]1(C(=O)OCc2ccccc2[N+](=O)[O-])CCCCO1 ZINC000838434699 626497574 /nfs/dbraw/zinc/49/75/74/626497574.db2.gz JKEGXQBNQHRRHA-CQSZACIVSA-N 0 0 279.292 2.597 20 5 CFBDRN C[C@@]1(C(=O)OCc2csc([N+](=O)[O-])c2)CCCCO1 ZINC000838438726 626497818 /nfs/dbraw/zinc/49/78/18/626497818.db2.gz RNOIIFUZABWSJH-LBPRGKRZSA-N 0 0 285.321 2.659 20 5 CFBDRN C/C=C(/C)COC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000842844017 626500459 /nfs/dbraw/zinc/50/04/59/626500459.db2.gz IIJXOKAQLYNOMZ-YWEYNIOJSA-N 0 0 283.255 2.866 20 5 CFBDRN CCC(C)(C)OCC(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000838785572 626506609 /nfs/dbraw/zinc/50/66/09/626506609.db2.gz SVJUDYTVFIYLAW-UHFFFAOYSA-N 0 0 297.307 2.714 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC(=O)CCCCC(C)C ZINC000838788176 626506777 /nfs/dbraw/zinc/50/67/77/626506777.db2.gz GVDQDIDSAXUTRC-UHFFFAOYSA-N 0 0 297.355 2.859 20 5 CFBDRN CCC(C)(C)OCC(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000838787931 626506799 /nfs/dbraw/zinc/50/67/99/626506799.db2.gz LFVLWXSYYQCJIH-UHFFFAOYSA-N 0 0 297.307 2.714 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2C)C1(C)C ZINC000839101001 626524814 /nfs/dbraw/zinc/52/48/14/626524814.db2.gz HLTOAVVWIABGHJ-OLZOCXBDSA-N 0 0 293.319 2.874 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1(C)C ZINC000839103030 626524875 /nfs/dbraw/zinc/52/48/75/626524875.db2.gz UYZGPXXQGXFIFA-OLZOCXBDSA-N 0 0 293.319 2.874 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2C)C1(C)C ZINC000839102430 626524915 /nfs/dbraw/zinc/52/49/15/626524915.db2.gz OINRWRYHAOBBSZ-OLZOCXBDSA-N 0 0 293.319 2.874 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC3(C2)CCCOC3)c1 ZINC000839139092 626528392 /nfs/dbraw/zinc/52/83/92/626528392.db2.gz TVDBFDBTDIYEQB-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN Cc1ncc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)o1 ZINC000839169176 626529291 /nfs/dbraw/zinc/52/92/91/626529291.db2.gz GKRYDDLJNWLMMV-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@@H]1C[C@H]1C(F)(F)F ZINC000839224352 626531617 /nfs/dbraw/zinc/53/16/17/626531617.db2.gz NPFRRDZPJAFYNM-RNFRBKRXSA-N 0 0 292.188 2.871 20 5 CFBDRN CC[C@@H]1OCC[C@H]1[NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000839198082 626531872 /nfs/dbraw/zinc/53/18/72/626531872.db2.gz GIHHEQWDEOZTSP-YPMHNXCESA-N 0 0 284.743 2.905 20 5 CFBDRN CC(C)N(CC(F)(F)F)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000839250546 626532513 /nfs/dbraw/zinc/53/25/13/626532513.db2.gz HWEPWBUFZGYIDE-UHFFFAOYSA-N 0 0 284.278 2.621 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H](F)C(F)(F)F ZINC000839312249 626533540 /nfs/dbraw/zinc/53/35/40/626533540.db2.gz VOESDOSXROBKSD-QMMMGPOBSA-N 0 0 281.161 2.709 20 5 CFBDRN CCC[C@H](OC)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000839312362 626533555 /nfs/dbraw/zinc/53/35/55/626533555.db2.gz XLQYQUNOKLYGHX-NSHDSACASA-N 0 0 267.281 2.624 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000839416213 626535772 /nfs/dbraw/zinc/53/57/72/626535772.db2.gz SSXMDRZNONSQGK-ONGXEEELSA-N 0 0 280.299 2.756 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000839433480 626536408 /nfs/dbraw/zinc/53/64/08/626536408.db2.gz HXUVHJWTXVHOHE-JTQLQIEISA-N 0 0 256.346 2.611 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc(Cl)c1 ZINC000839508735 626538300 /nfs/dbraw/zinc/53/83/00/626538300.db2.gz KIHPDONGWCMPNT-GRYCIOLGSA-N 0 0 282.727 2.573 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000757122624 626545339 /nfs/dbraw/zinc/54/53/39/626545339.db2.gz NFBUCGCKYBOFQO-YPMHNXCESA-N 0 0 297.282 2.735 20 5 CFBDRN CC(C)(C)[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000839563800 626547281 /nfs/dbraw/zinc/54/72/81/626547281.db2.gz OCPOPNKSTOGASQ-UPJWGTAASA-N 0 0 276.336 2.555 20 5 CFBDRN CCC[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)cc1F ZINC000839702912 626550983 /nfs/dbraw/zinc/55/09/83/626550983.db2.gz XLOSJQRBQREPPT-KGYLQXTDSA-N 0 0 298.289 2.587 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)c1ccc[nH]1 ZINC000839713626 626552896 /nfs/dbraw/zinc/55/28/96/626552896.db2.gz CYEDJWBHGAGTAR-LEWSCRJBSA-N 0 0 299.330 2.904 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1c1ccc([N+](=O)[O-])c2nonc21 ZINC000757789280 626562827 /nfs/dbraw/zinc/56/28/27/626562827.db2.gz OWNXTAQFUJFLFC-OCAPTIKFSA-N 0 0 262.269 2.508 20 5 CFBDRN O=C(OCCC1CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000757895751 626567020 /nfs/dbraw/zinc/56/70/20/626567020.db2.gz CDVOLOFPGDOQRW-UHFFFAOYSA-N 0 0 253.229 2.691 20 5 CFBDRN COc1ccc(CC(=O)OC2(C)CCC2)cc1[N+](=O)[O-] ZINC000757922096 626568024 /nfs/dbraw/zinc/56/80/24/626568024.db2.gz IEECGUDYJWYHTC-UHFFFAOYSA-N 0 0 279.292 2.632 20 5 CFBDRN CCc1noc(C)c1COC(=O)c1ccccc1[N+](=O)[O-] ZINC000757961195 626570187 /nfs/dbraw/zinc/57/01/87/626570187.db2.gz ZDHXGIUEEXDALX-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000758118172 626577258 /nfs/dbraw/zinc/57/72/58/626577258.db2.gz UKHUETFXZRBONM-SECBINFHSA-N 0 0 295.266 2.650 20 5 CFBDRN CC1(CC(=O)OCCOc2cccc([N+](=O)[O-])c2)CC1 ZINC000758816466 626610178 /nfs/dbraw/zinc/61/01/78/626610178.db2.gz XYYBFODOQQJAMF-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CCCC1(C(=O)OCCn2c(C)ncc2[N+](=O)[O-])CCC1 ZINC000759545463 626669891 /nfs/dbraw/zinc/66/98/91/626669891.db2.gz BFWQDLLBCVMBKF-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN COCCC(C)(C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000759669918 626681790 /nfs/dbraw/zinc/68/17/90/626681790.db2.gz HCHOWFWFLOBUJW-UHFFFAOYSA-N 0 0 295.335 2.743 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CC[C@H]1CCCO1 ZINC000760023661 626710126 /nfs/dbraw/zinc/71/01/26/626710126.db2.gz QQKORVLNOZOUBH-LLVKDONJSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1nc(NC[C@@H]2CC2(Cl)Cl)ccc1[N+](=O)[O-] ZINC000760445213 626746675 /nfs/dbraw/zinc/74/66/75/626746675.db2.gz RQUQWGVMXLFNOP-ZETCQYMHSA-N 0 0 276.123 2.904 20 5 CFBDRN CC(C)CC1CCN(c2ncc([N+](=O)[O-])cn2)CC1 ZINC000760565233 626756053 /nfs/dbraw/zinc/75/60/53/626756053.db2.gz JNBWIBKJFSAUQU-UHFFFAOYSA-N 0 0 264.329 2.647 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1cncnc1 ZINC000760803830 626773397 /nfs/dbraw/zinc/77/33/97/626773397.db2.gz YALBWJJUNCNRQZ-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN Cc1cc(C)cc(COC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000761062734 626795898 /nfs/dbraw/zinc/79/58/98/626795898.db2.gz YZJQDOHYYBPCPY-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN CCC1(O)CCN(Cc2ccc([N+](=O)[O-])c(Cl)c2)CC1 ZINC000761511708 626841817 /nfs/dbraw/zinc/84/18/17/626841817.db2.gz OESZSLGPFWSVOP-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)C1=CCCC1 ZINC000761556709 626845800 /nfs/dbraw/zinc/84/58/00/626845800.db2.gz QUFDONWKKLYXAA-UHFFFAOYSA-N 0 0 253.279 2.810 20 5 CFBDRN O=C(OCc1ccc(F)cc1F)c1ccc([N+](=O)[O-])cn1 ZINC000761973956 626876077 /nfs/dbraw/zinc/87/60/77/626876077.db2.gz AVBDIMVDSRIYIL-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000761981832 626877438 /nfs/dbraw/zinc/87/74/38/626877438.db2.gz SHKUFCIMUSCQTI-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000762068714 626885945 /nfs/dbraw/zinc/88/59/45/626885945.db2.gz MLRDQFPQVOEYTL-VIFPVBQESA-N 0 0 267.306 2.562 20 5 CFBDRN O=C(O[C@@H]1CCc2ccccc21)c1ccc([N+](=O)[O-])cn1 ZINC000762068915 626886702 /nfs/dbraw/zinc/88/67/02/626886702.db2.gz IRIMZROOPSPFFW-CQSZACIVSA-N 0 0 284.271 2.834 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762072673 626887552 /nfs/dbraw/zinc/88/75/52/626887552.db2.gz DOBCKTUHGILUJX-WDEREUQCSA-N 0 0 276.292 2.749 20 5 CFBDRN Cc1ccncc1COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000762120475 626893640 /nfs/dbraw/zinc/89/36/40/626893640.db2.gz OCRCXHJHHFTYCG-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCSC2)c1 ZINC000762140773 626896148 /nfs/dbraw/zinc/89/61/48/626896148.db2.gz VDHHMKVSDFKDEU-SNVBAGLBSA-N 0 0 281.333 2.813 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000762141748 626896749 /nfs/dbraw/zinc/89/67/49/626896749.db2.gz CDXWUPXJKUJZLV-JTQLQIEISA-N 0 0 281.333 2.813 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000762149801 626898127 /nfs/dbraw/zinc/89/81/27/626898127.db2.gz OTBXNBROOAIKCV-JTQLQIEISA-N 0 0 281.333 2.813 20 5 CFBDRN O=C1O[C@H](COc2ccc([N+](=O)[O-])c(Cl)c2)CC12CC2 ZINC000762210572 626904180 /nfs/dbraw/zinc/90/41/80/626904180.db2.gz NHTSVIICIDXDNF-VIFPVBQESA-N 0 0 297.694 2.723 20 5 CFBDRN O=C1O[C@@H](CSCc2cccc([N+](=O)[O-])c2)CC12CC2 ZINC000762366425 626912292 /nfs/dbraw/zinc/91/22/92/626912292.db2.gz CGSYSAVLIDWLBU-GFCCVEGCSA-N 0 0 293.344 2.924 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762967406 626953479 /nfs/dbraw/zinc/95/34/79/626953479.db2.gz JUEGIYIEPRBLOX-LURJTMIESA-N 0 0 281.293 2.555 20 5 CFBDRN CCC(CC)OC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762975901 626953897 /nfs/dbraw/zinc/95/38/97/626953897.db2.gz OIMNBMZKSIMXAL-UHFFFAOYSA-N 0 0 295.320 2.945 20 5 CFBDRN C=COCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000763031542 626957321 /nfs/dbraw/zinc/95/73/21/626957321.db2.gz WFZKMRUNYUKPRT-UHFFFAOYSA-N 0 0 297.282 2.715 20 5 CFBDRN CC(=O)c1c(N(C)Cc2nccs2)cccc1[N+](=O)[O-] ZINC000763206290 626970287 /nfs/dbraw/zinc/97/02/87/626970287.db2.gz VJEKYNMQYULMMB-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN CC(=O)c1c(NCCc2ccccn2)cccc1[N+](=O)[O-] ZINC000763207823 626970441 /nfs/dbraw/zinc/97/04/41/626970441.db2.gz ZUOAPMQCXBLLHV-UHFFFAOYSA-N 0 0 285.303 2.847 20 5 CFBDRN Cc1c(-c2nc([C@]3(C)CCCO3)no2)cccc1[N+](=O)[O-] ZINC000763319474 626979035 /nfs/dbraw/zinc/97/90/35/626979035.db2.gz UBQHCCWFURYGNV-AWEZNQCLSA-N 0 0 289.291 2.979 20 5 CFBDRN C[C@@H](C(=O)OC/C=C\Cl)c1cccc([N+](=O)[O-])c1 ZINC000763401283 626985904 /nfs/dbraw/zinc/98/59/04/626985904.db2.gz GJGSSOSEDWLRGX-JVBZPZKUSA-N 0 0 269.684 2.994 20 5 CFBDRN CC(C)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000763551991 626997236 /nfs/dbraw/zinc/99/72/36/626997236.db2.gz GHGFBKRGGNRZBC-JTQLQIEISA-N 0 0 280.324 2.862 20 5 CFBDRN CCCCOC(=O)c1cc([N+](=O)[O-])ccc1SCCO ZINC000763742962 627009589 /nfs/dbraw/zinc/00/95/89/627009589.db2.gz FAVOYLREJQBOJI-UHFFFAOYSA-N 0 0 299.348 2.636 20 5 CFBDRN C[C@H](C(=O)O[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000763964257 627027305 /nfs/dbraw/zinc/02/73/05/627027305.db2.gz UCENZBQVODYMRH-CABZTGNLSA-N 0 0 281.333 2.747 20 5 CFBDRN C[C@@H](C(=O)OCCOC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000764015091 627032087 /nfs/dbraw/zinc/03/20/87/627032087.db2.gz RSPOTACUAZQXMJ-LLVKDONJSA-N 0 0 293.319 2.811 20 5 CFBDRN COC[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000764044971 627033137 /nfs/dbraw/zinc/03/31/37/627033137.db2.gz WWFUDAMYCLRSDB-YGRLFVJLSA-N 0 0 293.319 2.667 20 5 CFBDRN C[C@](CO)(Nc1ccc2ncccc2c1[N+](=O)[O-])C1CC1 ZINC000764161993 627043040 /nfs/dbraw/zinc/04/30/40/627043040.db2.gz FUIXJQGKONNJLC-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN CC1(CCOC(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000764254586 627047806 /nfs/dbraw/zinc/04/78/06/627047806.db2.gz RDHGBXNKFUWWEC-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C2CC2)c1 ZINC000764383790 627055094 /nfs/dbraw/zinc/05/50/94/627055094.db2.gz HANJXJPBTXKTBU-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN O=c1ccnc(C=Cc2cc(Cl)ccc2[N+](=O)[O-])[nH]1 ZINC000764436853 627060012 /nfs/dbraw/zinc/06/00/12/627060012.db2.gz VFLAVRJUNAEJSZ-RJRFIUFISA-N 0 0 277.667 2.914 20 5 CFBDRN C[C@H](OC(=O)COc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000764797670 627095859 /nfs/dbraw/zinc/09/58/59/627095859.db2.gz WJIGOUTVQNCJSP-JTQLQIEISA-N 0 0 291.259 2.871 20 5 CFBDRN O=C(Cc1ccco1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000765380442 627134233 /nfs/dbraw/zinc/13/42/33/627134233.db2.gz GEBUUDORYQNYCL-UHFFFAOYSA-N 0 0 264.212 2.508 20 5 CFBDRN CC(C)(O)CCOC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000765398645 627135123 /nfs/dbraw/zinc/13/51/23/627135123.db2.gz LAVLARDWPDSVQY-UHFFFAOYSA-N 0 0 287.699 2.566 20 5 CFBDRN CC(C)(O)CCOC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000765491449 627142075 /nfs/dbraw/zinc/14/20/75/627142075.db2.gz GOZLMOSOYYBLLS-UHFFFAOYSA-N 0 0 287.699 2.566 20 5 CFBDRN COc1cc(COC(=O)CCC2CC2)c([N+](=O)[O-])cc1F ZINC000766202509 627184064 /nfs/dbraw/zinc/18/40/64/627184064.db2.gz AVVFORQKOQEBLS-UHFFFAOYSA-N 0 0 297.282 2.976 20 5 CFBDRN C[C@H](CC(F)(F)F)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000766444637 627203517 /nfs/dbraw/zinc/20/35/17/627203517.db2.gz BYKBZQFDXOCXND-SSDOTTSWSA-N 0 0 288.229 2.995 20 5 CFBDRN Cc1cc(N2CCC[C@@](C)(O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000766448197 627203797 /nfs/dbraw/zinc/20/37/97/627203797.db2.gz ONEPPKBIGOMVTM-CYBMUJFWSA-N 0 0 284.743 2.908 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C/C(Cl)=C/Cl ZINC000767217473 627239787 /nfs/dbraw/zinc/23/97/87/627239787.db2.gz YFQSPHOFHUAFAT-KXFIGUGUSA-N 0 0 283.498 2.729 20 5 CFBDRN Cn1ccnc1[C@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000767458352 627253223 /nfs/dbraw/zinc/25/32/23/627253223.db2.gz BFQIEBAKGORUNL-JTQLQIEISA-N 0 0 292.364 2.774 20 5 CFBDRN O=C(Nc1ccc[nH]c1=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000768706249 627365761 /nfs/dbraw/zinc/36/57/61/627365761.db2.gz KOOCFKAQMXQTBM-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN C[C@@H](ON=C(N)COC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000768932337 627387575 /nfs/dbraw/zinc/38/75/75/627387575.db2.gz NKHFOISHAZODBG-SNVBAGLBSA-N 0 0 295.339 2.969 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H](F)c1ccccc1 ZINC000768979805 627392137 /nfs/dbraw/zinc/39/21/37/627392137.db2.gz RUEOGPNWPBNRCF-AWEZNQCLSA-N 0 0 288.278 2.922 20 5 CFBDRN COC[C@@H](C)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000769311542 627422586 /nfs/dbraw/zinc/42/25/86/627422586.db2.gz DMVLMYKYAAUGAX-SECBINFHSA-N 0 0 293.275 2.780 20 5 CFBDRN COC[C@@H](C)COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000769330669 627424840 /nfs/dbraw/zinc/42/48/40/627424840.db2.gz HMEBBVNJMPIYGO-SECBINFHSA-N 0 0 292.291 2.515 20 5 CFBDRN Cc1nocc1CN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000851892139 634575100 /nfs/dbraw/zinc/57/51/00/634575100.db2.gz JNFPQXHXEATRRV-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN O=C(O[C@H]1Cc2ccccc2C1=O)c1cccc([N+](=O)[O-])c1 ZINC000769950580 627491027 /nfs/dbraw/zinc/49/10/27/627491027.db2.gz PZVPBHMGLOTVTC-AWEZNQCLSA-N 0 0 297.266 2.559 20 5 CFBDRN Cc1c(NC(=O)c2cccc3nccn32)cccc1[N+](=O)[O-] ZINC000770059604 627498966 /nfs/dbraw/zinc/49/89/66/627498966.db2.gz ZWVFXBNFLKUCCW-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCc2ncccc21 ZINC000770224651 627508638 /nfs/dbraw/zinc/50/86/38/627508638.db2.gz ZDDVPRZUIHPWEA-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN CCC/C(C)=C/C(=O)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000770856032 627551502 /nfs/dbraw/zinc/55/15/02/627551502.db2.gz JQNZJNOMUVZTJO-VQHVLOKHSA-N 0 0 264.281 2.985 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1[O-])c1scnc1Cl ZINC000770855226 627551667 /nfs/dbraw/zinc/55/16/67/627551667.db2.gz AOVUHJJKNFRYBH-UHFFFAOYSA-N 0 0 299.695 2.663 20 5 CFBDRN C[C@@H]1CCC[C@H](COC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000770960834 627564760 /nfs/dbraw/zinc/56/47/60/627564760.db2.gz GUMPIGKMYVDANZ-NEPJUHHUSA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C[C@@H]1C ZINC000770993103 627567189 /nfs/dbraw/zinc/56/71/89/627567189.db2.gz MJZRKFWFFVELAY-JFGNBEQYSA-N 0 0 280.324 2.915 20 5 CFBDRN C/C(=C\C(=O)O[C@@H]1CCO[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000771046863 627572663 /nfs/dbraw/zinc/57/26/63/627572663.db2.gz UJOSULWYRAOHRT-YCNBAEJOSA-N 0 0 291.303 2.719 20 5 CFBDRN C/C(=C/C(=O)O[C@@H]1CCO[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000771046854 627572759 /nfs/dbraw/zinc/57/27/59/627572759.db2.gz UJOSULWYRAOHRT-RHWCBERRSA-N 0 0 291.303 2.719 20 5 CFBDRN C/C(=C\C(=O)O[C@@H]1CCO[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000771046859 627572799 /nfs/dbraw/zinc/57/27/99/627572799.db2.gz UJOSULWYRAOHRT-ULOCGOKBSA-N 0 0 291.303 2.719 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2C[C@H]2C)c1 ZINC000771081003 627575566 /nfs/dbraw/zinc/57/55/66/627575566.db2.gz UYUBUSSIOUMFRT-SFYZADRCSA-N 0 0 254.261 2.810 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H](C)c2nnc(C)o2)c1 ZINC000771236398 627587040 /nfs/dbraw/zinc/58/70/40/627587040.db2.gz MRPVLZJQJVERKJ-LURJTMIESA-N 0 0 296.258 2.607 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCO[C@@H](C)C2)c1 ZINC000771258512 627588883 /nfs/dbraw/zinc/58/88/83/627588883.db2.gz IMXLOWMNONWRJH-UWVGGRQHSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cnc(COC(=O)c2ccc([N+](=O)[O-])cc2F)s1 ZINC000771927439 627649037 /nfs/dbraw/zinc/64/90/37/627649037.db2.gz SCXNYNYJBADTAC-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN COc1cccc(NCc2cccc(F)n2)c1[N+](=O)[O-] ZINC000772134616 627676890 /nfs/dbraw/zinc/67/68/90/627676890.db2.gz VGGWYCYLMPSYOG-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000772504449 627715642 /nfs/dbraw/zinc/71/56/42/627715642.db2.gz YJGPNHZWUWJJHO-NWDGAFQWSA-N 0 0 263.293 2.930 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000772517335 627718019 /nfs/dbraw/zinc/71/80/19/627718019.db2.gz PBJBTDRSAOCJEM-ZIAGYGMSSA-N 0 0 293.319 2.809 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000772554532 627721006 /nfs/dbraw/zinc/72/10/06/627721006.db2.gz GSFZHVPHZDJATI-GWCFXTLKSA-N 0 0 279.292 2.768 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1c(O)cccc1F ZINC000772596072 627724748 /nfs/dbraw/zinc/72/47/48/627724748.db2.gz YFHNLNLDEJLBCL-UHFFFAOYSA-N 0 0 297.263 2.858 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2F)cn1 ZINC000772657310 627732266 /nfs/dbraw/zinc/73/22/66/627732266.db2.gz LGWYUJXPRNHRAF-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc(COC(=O)c2cccc([N+](=O)[O-])c2)cn1 ZINC000772673949 627734223 /nfs/dbraw/zinc/73/42/23/627734223.db2.gz UKHFBGLWIPIZGN-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1ccc(S(=O)(=O)Oc2cccc([N+](=O)[O-])c2C)o1 ZINC000773284525 627802835 /nfs/dbraw/zinc/80/28/35/627802835.db2.gz HRBIZXKHSMYEQF-UHFFFAOYSA-N 0 0 297.288 2.572 20 5 CFBDRN Cc1ccc(S(=O)(=O)Oc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000773328299 627806767 /nfs/dbraw/zinc/80/67/67/627806767.db2.gz KAHDMFZZCOAWTH-UHFFFAOYSA-N 0 0 297.288 2.572 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NN1[C@@H](C)CCC[C@@H]1C ZINC000773397107 627813850 /nfs/dbraw/zinc/81/38/50/627813850.db2.gz XHJKOSFFOVFQAM-RYUDHWBXSA-N 0 0 291.351 2.811 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCCOC2CCC2)c1[N+](=O)[O-] ZINC000805487125 627839119 /nfs/dbraw/zinc/83/91/19/627839119.db2.gz NNRDYLYNQAHIIH-UHFFFAOYSA-N 0 0 297.282 2.768 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)Nc1c[nH]nc1Cl ZINC000774217262 627904615 /nfs/dbraw/zinc/90/46/15/627904615.db2.gz FMVOGZZGYMIRLS-UHFFFAOYSA-N 0 0 294.698 2.543 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cccnc1C)CC2 ZINC000774218072 627904751 /nfs/dbraw/zinc/90/47/51/627904751.db2.gz LHVMHWIDRFZFTK-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1cc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)no1 ZINC000774543252 627936443 /nfs/dbraw/zinc/93/64/43/627936443.db2.gz UEIKHGOZBZUDNY-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN CC[C@@H](CSC)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000774731957 627953537 /nfs/dbraw/zinc/95/35/37/627953537.db2.gz WIYPXZVJZODRHS-VIFPVBQESA-N 0 0 280.353 2.796 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)OC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000774745304 627957200 /nfs/dbraw/zinc/95/72/00/627957200.db2.gz VZKQTBLNYZWLJT-UWVGGRQHSA-N 0 0 297.282 2.706 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000774847427 627970438 /nfs/dbraw/zinc/97/04/38/627970438.db2.gz GZRZASOBNFVTFV-RYUDHWBXSA-N 0 0 293.319 2.809 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1CCC1CC1 ZINC000775191577 627999147 /nfs/dbraw/zinc/99/91/47/627999147.db2.gz JXKGSNYOUZHNPE-UHFFFAOYSA-N 0 0 274.118 2.662 20 5 CFBDRN CS/C=C/C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000775259044 628004529 /nfs/dbraw/zinc/00/45/29/628004529.db2.gz MXWNEYBKTGBZNS-FLOXNTQESA-N 0 0 266.322 2.649 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CCC(=O)[C@@H](C)C1 ZINC000775492548 628031576 /nfs/dbraw/zinc/03/15/76/628031576.db2.gz QBPVLGOQRYAQSR-WDEREUQCSA-N 0 0 291.303 2.814 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC(n2cccn2)CC1 ZINC000775670838 628053298 /nfs/dbraw/zinc/05/32/98/628053298.db2.gz PQTMWFYVBBJYSG-UHFFFAOYSA-N 0 0 278.337 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)COc2cccc(F)c2F)cc1 ZINC000776278358 628126141 /nfs/dbraw/zinc/12/61/41/628126141.db2.gz SIUUCGZDQWTSML-LBPRGKRZSA-N 0 0 295.241 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)COc2ccc(F)cc2F)cc1 ZINC000776278750 628126152 /nfs/dbraw/zinc/12/61/52/628126152.db2.gz UULIGBDSCBVDLV-CYBMUJFWSA-N 0 0 295.241 2.985 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000776416372 628147036 /nfs/dbraw/zinc/14/70/36/628147036.db2.gz YHAVFLVEKNMORB-NXEZZACHSA-N 0 0 297.282 2.592 20 5 CFBDRN CCc1ccc(OC(=O)[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000776523814 628156289 /nfs/dbraw/zinc/15/62/89/628156289.db2.gz WBNGBRUJVKRLGF-JQWIXIFHSA-N 0 0 293.319 2.878 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776698922 628168890 /nfs/dbraw/zinc/16/88/90/628168890.db2.gz FVRFCIYTDWRTPU-WDNDVIMCSA-N 0 0 275.304 2.727 20 5 CFBDRN CCOCc1csc(-c2cc([N+](=O)[O-])ccc2N)n1 ZINC000776724466 628170684 /nfs/dbraw/zinc/17/06/84/628170684.db2.gz UOMHVXJUWXHVCB-UHFFFAOYSA-N 0 0 279.321 2.837 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1CCC(C)(C)O ZINC000777600747 628240114 /nfs/dbraw/zinc/24/01/14/628240114.db2.gz LVJRCEYVEYZUMK-JTQLQIEISA-N 0 0 264.325 2.507 20 5 CFBDRN CN(CC(F)F)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000777956724 628267146 /nfs/dbraw/zinc/26/71/46/628267146.db2.gz NNDWBEUCPGBUOO-UHFFFAOYSA-N 0 0 296.277 2.613 20 5 CFBDRN C[C@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@H]1F ZINC000778002362 628272036 /nfs/dbraw/zinc/27/20/36/628272036.db2.gz ZNNSLSPKXYLBTR-WCBMZHEXSA-N 0 0 256.252 2.918 20 5 CFBDRN CC(C)(C)OCCCOC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000778146187 628280673 /nfs/dbraw/zinc/28/06/73/628280673.db2.gz UUQCPOFBFNMMDL-UHFFFAOYSA-N 0 0 296.323 2.539 20 5 CFBDRN O=C(OC/C=C\Cl)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778170583 628282939 /nfs/dbraw/zinc/28/29/39/628282939.db2.gz WKVCHUCZWCRGNZ-BHQIHCQQSA-N 0 0 291.637 2.982 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000778222651 628289280 /nfs/dbraw/zinc/28/92/80/628289280.db2.gz ABMRUEGUGCLWBI-ZETCQYMHSA-N 0 0 294.336 2.518 20 5 CFBDRN O=C(Nc1ccccn1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778222704 628289444 /nfs/dbraw/zinc/28/94/44/628289444.db2.gz BHUJOUFQGUAVEB-UHFFFAOYSA-N 0 0 293.229 2.720 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000778355013 628298683 /nfs/dbraw/zinc/29/86/83/628298683.db2.gz FRWIUGKQTYXUSW-UWVGGRQHSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCO[C@@H](C)C1 ZINC000778366504 628300535 /nfs/dbraw/zinc/30/05/35/628300535.db2.gz FTRPRAJTCMAIEC-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H](O)C(C)(C)C ZINC000778557088 628315736 /nfs/dbraw/zinc/31/57/36/628315736.db2.gz MWXMJBMXJCDTCO-GWCFXTLKSA-N 0 0 295.335 2.914 20 5 CFBDRN CN(Cc1ncc(Cl)n1C)c1ccc([N+](=O)[O-])s1 ZINC000778599147 628319626 /nfs/dbraw/zinc/31/96/26/628319626.db2.gz IXQDYAMAIXUMET-UHFFFAOYSA-N 0 0 286.744 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1cnsn1 ZINC000778779980 628331006 /nfs/dbraw/zinc/33/10/06/628331006.db2.gz FBKAMCAPXLTULQ-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778779860 628331139 /nfs/dbraw/zinc/33/11/39/628331139.db2.gz DIDUNPUKNOMEQK-LERXQTSPSA-N 0 0 293.319 2.932 20 5 CFBDRN CCCCC(=O)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778785987 628331753 /nfs/dbraw/zinc/33/17/53/628331753.db2.gz LMUXUSMDNMRKDN-CQSZACIVSA-N 0 0 292.335 2.907 20 5 CFBDRN CO[C@@H](C)C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778781832 628331897 /nfs/dbraw/zinc/33/18/97/628331897.db2.gz OXEVREBVHYLPDF-UWVGGRQHSA-N 0 0 267.281 2.542 20 5 CFBDRN C/C=C(/C)C(=O)OCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000778814865 628333856 /nfs/dbraw/zinc/33/38/56/628333856.db2.gz JMEWPNZIACNCET-WTKPLQERSA-N 0 0 265.265 2.613 20 5 CFBDRN COc1cc(COC(=O)[C@@H]2C[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000778816643 628334093 /nfs/dbraw/zinc/33/40/93/628334093.db2.gz RZCCPLVNXLBPFL-NWDGAFQWSA-N 0 0 291.303 2.693 20 5 CFBDRN COCC1(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000779211476 628360638 /nfs/dbraw/zinc/36/06/38/628360638.db2.gz HCRPUAJYDBGUJK-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN C[C@@H]1CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])n2C)[C@H]1C ZINC000779273526 628368331 /nfs/dbraw/zinc/36/83/31/628368331.db2.gz HQOOQPQSLYJERS-JFGNBEQYSA-N 0 0 280.324 2.915 20 5 CFBDRN CC[C@@H]1C[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000779294885 628370863 /nfs/dbraw/zinc/37/08/63/628370863.db2.gz AANRWBXLRZHYBX-OLZOCXBDSA-N 0 0 297.282 2.848 20 5 CFBDRN CC[C@@H]1C[C@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000779303129 628372172 /nfs/dbraw/zinc/37/21/72/628372172.db2.gz VVBIHUOKDLJULR-ZIAGYGMSSA-N 0 0 293.319 2.638 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C[C@H]1O ZINC000779426644 628381311 /nfs/dbraw/zinc/38/13/11/628381311.db2.gz FNWXLZHLRFJIIS-UONOGXRCSA-N 0 0 278.352 2.828 20 5 CFBDRN CCN(Cc1ccc(C)cc1)Cn1cc([N+](=O)[O-])c(C)n1 ZINC000779859375 628414777 /nfs/dbraw/zinc/41/47/77/628414777.db2.gz UHRIVILDSSCKJG-UHFFFAOYSA-N 0 0 288.351 2.888 20 5 CFBDRN Cc1nn(CN2CCc3sccc3[C@@H]2C)cc1[N+](=O)[O-] ZINC000779859847 628414829 /nfs/dbraw/zinc/41/48/29/628414829.db2.gz OTWMENVULKDZQC-JTQLQIEISA-N 0 0 292.364 2.738 20 5 CFBDRN Cc1nn(CN2C[C@@H](C)Cc3ccccc32)cc1[N+](=O)[O-] ZINC000779868224 628415393 /nfs/dbraw/zinc/41/53/93/628415393.db2.gz WWIKRYYRTXKSPN-NSHDSACASA-N 0 0 286.335 2.756 20 5 CFBDRN COc1cc(NC[C@@H]2CCC=CO2)c([N+](=O)[O-])cc1F ZINC000780620708 628482624 /nfs/dbraw/zinc/48/26/24/628482624.db2.gz BWVGQSLPPFSVEI-VIFPVBQESA-N 0 0 282.271 2.847 20 5 CFBDRN C[C@H]1CCC[C@H](COC(=O)c2cc([N+](=O)[O-])cnc2N)C1 ZINC000781668360 628571376 /nfs/dbraw/zinc/57/13/76/628571376.db2.gz RHKFYHIBUITVBE-UWVGGRQHSA-N 0 0 293.323 2.555 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCCOCC2)c([N+](=O)[O-])c1 ZINC000781987286 628595591 /nfs/dbraw/zinc/59/55/91/628595591.db2.gz ONKXLOQWBVKMHC-LLVKDONJSA-N 0 0 279.292 2.625 20 5 CFBDRN COCCSCCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000782007826 628596663 /nfs/dbraw/zinc/59/66/63/628596663.db2.gz YJWWNQKOIVVTIN-UHFFFAOYSA-N 0 0 299.348 2.521 20 5 CFBDRN CC(C)(C)C(=O)NOCc1ccc([N+](=O)[O-])cc1Cl ZINC000782325699 628628451 /nfs/dbraw/zinc/62/84/51/628628451.db2.gz LNSMIWDJAOIJBA-UHFFFAOYSA-N 0 0 286.715 2.842 20 5 CFBDRN Cc1occc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782521895 628642694 /nfs/dbraw/zinc/64/26/94/628642694.db2.gz SIXMVBAIIRTHHE-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN COCCSCCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000782599893 628646962 /nfs/dbraw/zinc/64/69/62/628646962.db2.gz TXSTUWOLWWJCSQ-UHFFFAOYSA-N 0 0 299.348 2.521 20 5 CFBDRN COc1ccc(NC(=O)NCCC2CCC2)cc1[N+](=O)[O-] ZINC000782626976 628650350 /nfs/dbraw/zinc/65/03/50/628650350.db2.gz ODJNYYCPTORVTH-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)cn1 ZINC000782639710 628651386 /nfs/dbraw/zinc/65/13/86/628651386.db2.gz FRPUZFBAVREJFV-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC(C)(C)C[C@@H](O)CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000805731205 628657762 /nfs/dbraw/zinc/65/77/62/628657762.db2.gz NBFCMVISRCCVNG-LBPRGKRZSA-N 0 0 295.335 2.825 20 5 CFBDRN CCC/C=C/C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000782816304 628659497 /nfs/dbraw/zinc/65/94/97/628659497.db2.gz XNOIKSWIRKDTRY-AATRIKPKSA-N 0 0 264.281 2.898 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@@H]1CCCOCC1 ZINC000782935354 628664772 /nfs/dbraw/zinc/66/47/72/628664772.db2.gz MAMXKKZDLNNPOX-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000783023729 628670033 /nfs/dbraw/zinc/67/00/33/628670033.db2.gz IKIGTSBIGGZBSG-YFKPBYRVSA-N 0 0 299.333 2.734 20 5 CFBDRN Cc1ccc(-c2noc(C3(O)CCCC3)n2)cc1[N+](=O)[O-] ZINC000783615725 628727101 /nfs/dbraw/zinc/72/71/01/628727101.db2.gz ZWDVYTNEQTZYCX-UHFFFAOYSA-N 0 0 289.291 2.715 20 5 CFBDRN C[C@H](OC(=O)c1cncc(O)c1)c1ccccc1[N+](=O)[O-] ZINC000783625879 628727827 /nfs/dbraw/zinc/72/78/27/628727827.db2.gz WGNXKYSQNDHYHJ-VIFPVBQESA-N 0 0 288.259 2.613 20 5 CFBDRN CC[C@@H](C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000783685738 628734641 /nfs/dbraw/zinc/73/46/41/628734641.db2.gz RFKSVAGBVIVPJK-DWOQACPDSA-N 0 0 262.309 2.913 20 5 CFBDRN C/C(=C/C(=O)NCc1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000783692594 628735338 /nfs/dbraw/zinc/73/53/38/628735338.db2.gz HEEZDKZFWXXXNW-BENRWUELSA-N 0 0 297.314 2.710 20 5 CFBDRN CCN(CC)C(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000783692614 628735511 /nfs/dbraw/zinc/73/55/11/628735511.db2.gz IFCWVBKOAXUTHO-ZHACJKMWSA-N 0 0 262.309 2.867 20 5 CFBDRN O=C(/C=C\C1CC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783909215 628754750 /nfs/dbraw/zinc/75/47/50/628754750.db2.gz GMZNEBDSMDRDGE-XQRVVYSFSA-N 0 0 265.240 2.743 20 5 CFBDRN CCCN(C)C(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000783941572 628756511 /nfs/dbraw/zinc/75/65/11/628756511.db2.gz UGNKTATTWCKMGM-KHPPLWFESA-N 0 0 262.309 2.867 20 5 CFBDRN O=C(CCOCC1CC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784047653 628768649 /nfs/dbraw/zinc/76/86/49/628768649.db2.gz JQSIYPCGLFWKKM-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN C/C(=C/C(=O)NCc1cccn1C)c1ccc([N+](=O)[O-])cc1 ZINC000784054576 628769545 /nfs/dbraw/zinc/76/95/45/628769545.db2.gz VTJYWKCXSNZCDL-BENRWUELSA-N 0 0 299.330 2.653 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000784055673 628769728 /nfs/dbraw/zinc/76/97/28/628769728.db2.gz UVCYSFFXFOSRLC-VIFPVBQESA-N 0 0 285.271 2.624 20 5 CFBDRN C/C(=C/C(=O)NCC1(C)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000784059308 628770176 /nfs/dbraw/zinc/77/01/76/628770176.db2.gz HFYHIZAMEZGNPB-LUAWRHEFSA-N 0 0 274.320 2.914 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000784072269 628772352 /nfs/dbraw/zinc/77/23/52/628772352.db2.gz FAVZCFSKANUASO-NSHDSACASA-N 0 0 255.245 2.632 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000784107311 628777255 /nfs/dbraw/zinc/77/72/55/628777255.db2.gz CZVMNIIBGYOUQZ-SCZZXKLOSA-N 0 0 299.710 2.716 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1CC1(Cl)Cl ZINC000784185930 628788342 /nfs/dbraw/zinc/78/83/42/628788342.db2.gz IULRERRPOPEFLM-MRVPVSSYSA-N 0 0 290.102 2.832 20 5 CFBDRN CO[C@H]1Cc2ccc(Nc3ccc([N+](=O)[O-])cn3)cc2C1 ZINC000784193608 628789546 /nfs/dbraw/zinc/78/95/46/628789546.db2.gz ZXPQNVZLFCOXQR-AWEZNQCLSA-N 0 0 285.303 2.847 20 5 CFBDRN CCc1cnc(COC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000784197538 628789997 /nfs/dbraw/zinc/78/99/97/628789997.db2.gz DPWQBKANYYVHGT-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN O=C(Cc1ccco1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784200909 628790556 /nfs/dbraw/zinc/79/05/56/628790556.db2.gz PWSLJLIHUNQSSM-UHFFFAOYSA-N 0 0 279.223 2.613 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000784292996 628800352 /nfs/dbraw/zinc/80/03/52/628800352.db2.gz DMQPLAUIVWTNKA-LLVKDONJSA-N 0 0 255.245 2.632 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000784298115 628801767 /nfs/dbraw/zinc/80/17/67/628801767.db2.gz JLEYZUGNEDKKNI-GFCCVEGCSA-N 0 0 285.271 2.511 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000784305433 628802858 /nfs/dbraw/zinc/80/28/58/628802858.db2.gz OQSVNNRKXNKDLF-LLVKDONJSA-N 0 0 273.235 2.771 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000784312231 628804328 /nfs/dbraw/zinc/80/43/28/628804328.db2.gz XHRNWHHXPYWSDE-ZDUSSCGKSA-N 0 0 299.298 2.901 20 5 CFBDRN O=C(NC12CCC(CC1)C2)c1cc(F)cc([N+](=O)[O-])c1 ZINC000784363306 628810352 /nfs/dbraw/zinc/81/03/52/628810352.db2.gz XZKXEFJMRYWCOX-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN C[C@H](OC(=O)C[C@](C)(O)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000784363490 628810632 /nfs/dbraw/zinc/81/06/32/628810632.db2.gz AVRNNMHWPVLBGH-BONVTDFDSA-N 0 0 293.319 2.750 20 5 CFBDRN CC(C)(F)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784482859 628820733 /nfs/dbraw/zinc/82/07/33/628820733.db2.gz SDNBEYCQYPXTJF-UHFFFAOYSA-N 0 0 259.208 2.525 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000784496765 628821675 /nfs/dbraw/zinc/82/16/75/628821675.db2.gz BRRLPUYLBSXEKE-ZDUSSCGKSA-N 0 0 298.314 2.568 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])CO1 ZINC000784501665 628822347 /nfs/dbraw/zinc/82/23/47/628822347.db2.gz NBGQKWDJZHUPGW-YUMQZZPRSA-N 0 0 285.683 2.579 20 5 CFBDRN CC(C)c1ccc(C(=O)NOCC(F)F)cc1[N+](=O)[O-] ZINC000784508597 628823008 /nfs/dbraw/zinc/82/30/08/628823008.db2.gz CFQMRKDSSKKEIQ-UHFFFAOYSA-N 0 0 288.250 2.645 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000784566908 628827793 /nfs/dbraw/zinc/82/77/93/628827793.db2.gz ILXDPEQMXAPIMQ-GXSJLCMTSA-N 0 0 297.282 2.592 20 5 CFBDRN COC[C@@H](C)OC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000784712771 628838325 /nfs/dbraw/zinc/83/83/25/628838325.db2.gz OGUKXLUHYUIZTI-MRVPVSSYSA-N 0 0 287.699 2.748 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])on1 ZINC000784725343 628838738 /nfs/dbraw/zinc/83/87/38/628838738.db2.gz YPBIVYXCPYTTGK-QMMMGPOBSA-N 0 0 292.247 2.509 20 5 CFBDRN COC[C@H](C)OC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784871928 628847395 /nfs/dbraw/zinc/84/73/95/628847395.db2.gz OXIUUAAHYIOJEX-UQSGXBNBSA-N 0 0 279.292 2.576 20 5 CFBDRN CC(=O)CCCOC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784878187 628847708 /nfs/dbraw/zinc/84/77/08/628847708.db2.gz HFVIWERNEDYUFU-ZHACJKMWSA-N 0 0 291.303 2.911 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCC[C@H]1CCCCO1 ZINC000784944122 628854051 /nfs/dbraw/zinc/85/40/51/628854051.db2.gz MEYOJHIYGMJAQS-CYBMUJFWSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCC[C@@H]1CCCCO1 ZINC000784955774 628855427 /nfs/dbraw/zinc/85/54/27/628855427.db2.gz KQHHGHABBFDOJY-AWEZNQCLSA-N 0 0 293.319 2.640 20 5 CFBDRN COc1cc(COC(=O)/C=C/C(C)C)c([N+](=O)[O-])cc1F ZINC000785062141 628863596 /nfs/dbraw/zinc/86/35/96/628863596.db2.gz YEXKYVBISBIWCN-SNAWJCMRSA-N 0 0 297.282 2.998 20 5 CFBDRN CC(C)/C=C/C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000785062428 628863610 /nfs/dbraw/zinc/86/36/10/628863610.db2.gz ACLNDBMMYNAQOD-BQYQJAHWSA-N 0 0 279.292 2.729 20 5 CFBDRN CCc1n[nH]cc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000785172032 628869909 /nfs/dbraw/zinc/86/99/09/628869909.db2.gz CNVJTDMHBYBQND-SECBINFHSA-N 0 0 289.291 2.798 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000785727702 628907732 /nfs/dbraw/zinc/90/77/32/628907732.db2.gz GDKGNERDUCPCLC-NXEZZACHSA-N 0 0 298.364 2.572 20 5 CFBDRN O=C(Cc1ccc(Cl)cn1)Oc1cccc([N+](=O)[O-])c1 ZINC000785730728 628908397 /nfs/dbraw/zinc/90/83/97/628908397.db2.gz RGQIPKJWRAQTKG-UHFFFAOYSA-N 0 0 292.678 2.791 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1COc2ccccc21 ZINC000785765528 628912125 /nfs/dbraw/zinc/91/21/25/628912125.db2.gz FQTAEEZDAWGRTI-CYBMUJFWSA-N 0 0 299.282 2.814 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCc1ccno1 ZINC000785935643 628925055 /nfs/dbraw/zinc/92/50/55/628925055.db2.gz JRXLYSPDLRXRMP-UHFFFAOYSA-N 0 0 296.666 2.522 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCC(F)F ZINC000785937939 628925419 /nfs/dbraw/zinc/92/54/19/628925419.db2.gz WUVVUXCYPVMPCA-UHFFFAOYSA-N 0 0 279.626 2.599 20 5 CFBDRN C/C=C/COC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000785938703 628925509 /nfs/dbraw/zinc/92/55/09/628925509.db2.gz AGYXXLINWYURQL-NSCUHMNNSA-N 0 0 269.684 2.910 20 5 CFBDRN COC(C)(C)CC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000786363936 628951569 /nfs/dbraw/zinc/95/15/69/628951569.db2.gz CPYYRPMNJAOMKL-UHFFFAOYSA-N 0 0 287.699 2.969 20 5 CFBDRN CCc1ccc(OC(=O)[C@H]2CCCOCC2)c([N+](=O)[O-])c1 ZINC000786420885 628955331 /nfs/dbraw/zinc/95/53/31/628955331.db2.gz SDMHREXPIGKJOP-LBPRGKRZSA-N 0 0 293.319 2.879 20 5 CFBDRN Cc1cc(C)c(CNc2ccc(N)cc2[N+](=O)[O-])c(=O)[nH]1 ZINC000786575920 628969350 /nfs/dbraw/zinc/96/93/50/628969350.db2.gz YRLOOQZYEGWWTI-UHFFFAOYSA-N 0 0 288.307 2.507 20 5 CFBDRN Nc1ccc(NCCc2ccc(O)cc2)c([N+](=O)[O-])c1 ZINC000786583514 628969778 /nfs/dbraw/zinc/96/97/78/628969778.db2.gz NWOXHFLNRVZCCY-UHFFFAOYSA-N 0 0 273.292 2.537 20 5 CFBDRN CCOC(CCNc1ccsc1[N+](=O)[O-])OCC ZINC000786824154 628980588 /nfs/dbraw/zinc/98/05/88/628980588.db2.gz RJGTUFMYMOCKCC-UHFFFAOYSA-N 0 0 274.342 2.857 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1cc([N+](=O)[O-])ccc1N)CC2 ZINC000786848981 628981553 /nfs/dbraw/zinc/98/15/53/628981553.db2.gz RJMGSPJJEQXVAE-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN Cc1nc(COc2ccc(N)c([N+](=O)[O-])c2)cs1 ZINC000786866820 628982128 /nfs/dbraw/zinc/98/21/28/628982128.db2.gz XKBLKIXJCGBBJA-UHFFFAOYSA-N 0 0 265.294 2.521 20 5 CFBDRN CC1(C)CCC([S@](=O)CCn2cc([N+](=O)[O-])cn2)CC1 ZINC000787222675 629000401 /nfs/dbraw/zinc/00/04/01/629000401.db2.gz YPTQMCBXNBQKHQ-HXUWFJFHSA-N 0 0 299.396 2.509 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000852240046 634700167 /nfs/dbraw/zinc/70/01/67/634700167.db2.gz XQJGABFZXHOSHA-WDEREUQCSA-N 0 0 275.308 2.674 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000787719491 629028826 /nfs/dbraw/zinc/02/88/26/629028826.db2.gz HGVBNWMUUICHLC-BZBKMWRSSA-N 0 0 280.711 2.777 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1cccc(Cl)c1[N+](=O)[O-] ZINC000787720044 629029480 /nfs/dbraw/zinc/02/94/80/629029480.db2.gz JRLWYWAAEFFADP-VROVMSAKSA-N 0 0 280.711 2.777 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000787733479 629030887 /nfs/dbraw/zinc/03/08/87/629030887.db2.gz FRMBFPZAVVEPGB-NSHDSACASA-N 0 0 297.282 2.768 20 5 CFBDRN CCc1cccc(COC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000787743773 629031424 /nfs/dbraw/zinc/03/14/24/629031424.db2.gz NVKUHZIFIIVIMH-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCC2(O)CC2)c(F)c1 ZINC000788502523 629075778 /nfs/dbraw/zinc/07/57/78/629075778.db2.gz NFBIQVLJOMNXKQ-UHFFFAOYSA-N 0 0 257.286 2.741 20 5 CFBDRN CC(=O)OCCCSCc1csc([N+](=O)[O-])c1 ZINC000788513900 629077783 /nfs/dbraw/zinc/07/77/83/629077783.db2.gz OAFKFAVTJBSUPO-UHFFFAOYSA-N 0 0 275.351 2.843 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1=CCOCC1 ZINC000788533685 629079402 /nfs/dbraw/zinc/07/94/02/629079402.db2.gz XEUIRKSJJMRWJA-UHFFFAOYSA-N 0 0 296.710 2.842 20 5 CFBDRN CC(C)CC(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000788594065 629084705 /nfs/dbraw/zinc/08/47/05/629084705.db2.gz GCMYCPKHKONNIZ-JTQLQIEISA-N 0 0 294.307 2.511 20 5 CFBDRN C[C@@H]1CC[C@H](COC(=O)c2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000789000826 629115735 /nfs/dbraw/zinc/11/57/35/629115735.db2.gz QGVFHRLNBILQMP-PSASIEDQSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@H]2CC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000789068303 629122927 /nfs/dbraw/zinc/12/29/27/629122927.db2.gz YFPPGBJHCUNKCU-MWLCHTKSSA-N 0 0 297.282 2.767 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1nc(Cl)cs1 ZINC000789395490 629152302 /nfs/dbraw/zinc/15/23/02/629152302.db2.gz GXFBMTJTDOJUBL-UHFFFAOYSA-N 0 0 284.680 2.924 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000789445422 629157489 /nfs/dbraw/zinc/15/74/89/629157489.db2.gz RIMWNIGLPGVUPO-UHFFFAOYSA-N 0 0 289.291 2.600 20 5 CFBDRN C[C@H](COC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2)C1CC1 ZINC000789602453 629171794 /nfs/dbraw/zinc/17/17/94/629171794.db2.gz SNSIMJQDYGQJOU-MRVPVSSYSA-N 0 0 293.275 2.526 20 5 CFBDRN Cc1ccc(O)c(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)n1 ZINC000790074234 629208344 /nfs/dbraw/zinc/20/83/44/629208344.db2.gz ZOYFSHDQECURSO-UITAMQMPSA-N 0 0 299.286 2.656 20 5 CFBDRN O=[N+]([O-])c1cc(Br)c(F)cc1OCCF ZINC000790292907 629226187 /nfs/dbraw/zinc/22/61/87/629226187.db2.gz RJKJLHJVXRZZCA-UHFFFAOYSA-N 0 0 282.040 2.845 20 5 CFBDRN Cc1c(CN(C)c2ccc([N+](=O)[O-])cc2Cl)cnn1C ZINC000790297164 629226864 /nfs/dbraw/zinc/22/68/64/629226864.db2.gz LQUNMPRNPKBLKG-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSCc1ncccn1 ZINC000790554738 629247122 /nfs/dbraw/zinc/24/71/22/629247122.db2.gz WWCMRMMKMDPGPW-UHFFFAOYSA-N 0 0 291.332 2.697 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)ccn1 ZINC000790653945 629255392 /nfs/dbraw/zinc/25/53/92/629255392.db2.gz VQOYXKMEONSSQM-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COc1cc(C(=O)OCC2(C)CC2)cc([N+](=O)[O-])c1C ZINC000790810200 629265434 /nfs/dbraw/zinc/26/54/34/629265434.db2.gz GEIGRIUZLOJCEG-UHFFFAOYSA-N 0 0 279.292 2.869 20 5 CFBDRN COc1ccc(CC(=O)OCC2=CCCC2)cc1[N+](=O)[O-] ZINC000790825289 629267136 /nfs/dbraw/zinc/26/71/36/629267136.db2.gz VTYWJQZYSQXFAW-UHFFFAOYSA-N 0 0 291.303 2.799 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2cc([N+](=O)[O-])ccc2C)c1Cl ZINC000790976207 629276465 /nfs/dbraw/zinc/27/64/65/629276465.db2.gz YTZHWRJNGHDYGJ-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2ccc([N+](=O)[O-])cc2)c1Cl ZINC000790985651 629277542 /nfs/dbraw/zinc/27/75/42/629277542.db2.gz RJWNXRRBFMHDNB-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN C/C(=C/C(=O)NCc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000791017227 629281144 /nfs/dbraw/zinc/28/11/44/629281144.db2.gz GMMNFVMZYSMQAK-NTMALXAHSA-N 0 0 274.320 2.876 20 5 CFBDRN O=C(c1ccc(Cl)cc1)C1CCN(CC[N+](=O)[O-])CC1 ZINC000791054165 629284017 /nfs/dbraw/zinc/28/40/17/629284017.db2.gz YEOJJFXXORHHLR-UHFFFAOYSA-N 0 0 296.754 2.511 20 5 CFBDRN O=[N+]([O-])CCN(Cc1ccco1)Cc1cccs1 ZINC000791052821 629284368 /nfs/dbraw/zinc/28/43/68/629284368.db2.gz FLSGLKVHDHVWMM-UHFFFAOYSA-N 0 0 266.322 2.620 20 5 CFBDRN Cc1ccnc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000791377126 629306230 /nfs/dbraw/zinc/30/62/30/629306230.db2.gz FMBUSGFMIMZUMU-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC[C@@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000791406995 629310356 /nfs/dbraw/zinc/31/03/56/629310356.db2.gz BRYWLSWNILNWAN-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN O=C(OCCCCCF)c1cccc([N+](=O)[O-])c1 ZINC000791498369 629321712 /nfs/dbraw/zinc/32/17/12/629321712.db2.gz WOCSWBWKXCQDEF-UHFFFAOYSA-N 0 0 255.245 2.891 20 5 CFBDRN CC[C@H](C)CC(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000791501158 629322503 /nfs/dbraw/zinc/32/25/03/629322503.db2.gz BPUVUKXBTCQNDZ-JTQLQIEISA-N 0 0 279.292 2.757 20 5 CFBDRN Cc1ccc(OC(=O)CCCC(=O)C2CC2)c([N+](=O)[O-])c1 ZINC000835071249 629368938 /nfs/dbraw/zinc/36/89/38/629368938.db2.gz VOIWYQPWUQVMBR-UHFFFAOYSA-N 0 0 291.303 2.958 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)C(F)F)cc([N+](=O)[O-])c1C ZINC000791891371 629372475 /nfs/dbraw/zinc/37/24/75/629372475.db2.gz QRMQHVUNKKAZLN-SSDOTTSWSA-N 0 0 289.234 2.722 20 5 CFBDRN CCC[C@H](C)COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000791893842 629373322 /nfs/dbraw/zinc/37/33/22/629373322.db2.gz BFSPVVXXHXENRI-NSHDSACASA-N 0 0 281.308 2.953 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H](C)c2ccnn2C)c1[N+](=O)[O-] ZINC000791927144 629378620 /nfs/dbraw/zinc/37/86/20/629378620.db2.gz BNSQXEKJYJYAMM-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN C/C=C(\C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791993412 629385313 /nfs/dbraw/zinc/38/53/13/629385313.db2.gz DPMWCKFMZQAMAF-FPYGCLRLSA-N 0 0 280.236 2.512 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000792120454 629399334 /nfs/dbraw/zinc/39/93/34/629399334.db2.gz GQQAWNZEEOAPDC-NXEZZACHSA-N 0 0 279.292 2.945 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000792130824 629400759 /nfs/dbraw/zinc/40/07/59/629400759.db2.gz YFVRWMWWFPPLBE-RKDXNWHRSA-N 0 0 297.742 2.941 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000835106327 629412589 /nfs/dbraw/zinc/41/25/89/629412589.db2.gz JMDVJVBPXKRXTJ-XCBNKYQSSA-N 0 0 271.219 2.854 20 5 CFBDRN C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1cccnc1 ZINC000835158896 629474030 /nfs/dbraw/zinc/47/40/30/629474030.db2.gz MISQFLHBKJFWGX-SNVBAGLBSA-N 0 0 266.297 2.521 20 5 CFBDRN CNc1c(C(=O)O[C@H](C)[C@@H](C)SC)cccc1[N+](=O)[O-] ZINC000793322942 629526637 /nfs/dbraw/zinc/52/66/37/629526637.db2.gz ZOLSNIJGUANAID-RKDXNWHRSA-N 0 0 298.364 2.933 20 5 CFBDRN CCO[C@@H]1C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C12CCC2 ZINC000835229837 629542731 /nfs/dbraw/zinc/54/27/31/629542731.db2.gz PYQNQGGWVDARBI-NEPJUHHUSA-N 0 0 299.367 2.713 20 5 CFBDRN Cc1ccc(OC(=O)CSC2CC2)cc1[N+](=O)[O-] ZINC000835247797 629560986 /nfs/dbraw/zinc/56/09/86/629560986.db2.gz BZIBIFLWZDUAKO-UHFFFAOYSA-N 0 0 267.306 2.704 20 5 CFBDRN CC[C@H]1CCN1Cn1nc(C)c2ccc([N+](=O)[O-])cc21 ZINC000793807559 629596762 /nfs/dbraw/zinc/59/67/62/629596762.db2.gz BBFKUTZNTCNNKB-NSHDSACASA-N 0 0 274.324 2.695 20 5 CFBDRN Cc1cc(CN2c3ccc([N+](=O)[O-])cc3C[C@H]2C)ncn1 ZINC000793859202 629601799 /nfs/dbraw/zinc/60/17/99/629601799.db2.gz RITZLPIZUJXZSL-LLVKDONJSA-N 0 0 284.319 2.644 20 5 CFBDRN COCc1cccc(COC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000835297752 629604511 /nfs/dbraw/zinc/60/45/11/629604511.db2.gz HIHAEMQFHJMZKZ-UHFFFAOYSA-N 0 0 295.335 2.712 20 5 CFBDRN CC(C)(CCC(=O)OCCSC(F)(F)F)[N+](=O)[O-] ZINC000835315185 629613496 /nfs/dbraw/zinc/61/34/96/629613496.db2.gz SLBXJSYZKMKFRR-UHFFFAOYSA-N 0 0 289.275 2.618 20 5 CFBDRN O=C(/C=C\c1ccco1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000794102383 629613696 /nfs/dbraw/zinc/61/36/96/629613696.db2.gz YGWBRLUYHJMXQK-WAYWQWQTSA-N 0 0 284.271 2.790 20 5 CFBDRN COc1cc(COC(=O)CSC2CC2)ccc1[N+](=O)[O-] ZINC000835333257 629637811 /nfs/dbraw/zinc/63/78/11/629637811.db2.gz YLNPOLTXSNMTNB-UHFFFAOYSA-N 0 0 297.332 2.542 20 5 CFBDRN O=C(OC[C@@H]1CCCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000806051665 629639149 /nfs/dbraw/zinc/63/91/49/629639149.db2.gz WAQNSHHEVRPEOP-JTQLQIEISA-N 0 0 281.333 2.895 20 5 CFBDRN C/C(=C/C(=O)N1CC(C2CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000794490644 629650547 /nfs/dbraw/zinc/65/05/47/629650547.db2.gz ZJKUSBZMYRZLEO-FLIBITNWSA-N 0 0 286.331 2.867 20 5 CFBDRN CCC1(C)CN(C(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000794528363 629655167 /nfs/dbraw/zinc/65/51/67/629655167.db2.gz MOMXJHODQVVYLR-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN CS[C@H](C)[C@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835381351 629663283 /nfs/dbraw/zinc/66/32/83/629663283.db2.gz AMSPOPXEBOSOQZ-DTWKUNHWSA-N 0 0 263.359 2.505 20 5 CFBDRN CC(C)(C)c1nc(COC(=O)CCC(C)(C)[N+](=O)[O-])co1 ZINC000835401048 629688991 /nfs/dbraw/zinc/68/89/91/629688991.db2.gz BZJOSNBBKWNLMZ-UHFFFAOYSA-N 0 0 298.339 2.851 20 5 CFBDRN COCCN(CCF)[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000852483400 634757489 /nfs/dbraw/zinc/75/74/89/634757489.db2.gz HYJWCZYNKUVRBD-LLVKDONJSA-N 0 0 270.304 2.574 20 5 CFBDRN CCC(=O)c1ccc(N2CCOC[C@H]2CC)c([N+](=O)[O-])c1 ZINC000795093446 629733288 /nfs/dbraw/zinc/73/32/88/629733288.db2.gz OGBHJZQHNMWPHP-GFCCVEGCSA-N 0 0 292.335 2.803 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000795189280 629743254 /nfs/dbraw/zinc/74/32/54/629743254.db2.gz JALAWMQPBZFSNK-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN COc1cc(Oc2ncccc2[N+](=O)[O-])ccc1[N+](=O)[O-] ZINC000795192552 629743511 /nfs/dbraw/zinc/74/35/11/629743511.db2.gz CRTWHXQJBDAHNJ-UHFFFAOYSA-N 0 0 291.219 2.699 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OCCC2(O)CC2)cc1F ZINC000795247999 629751047 /nfs/dbraw/zinc/75/10/47/629751047.db2.gz STORYPXCHXNJCX-UHFFFAOYSA-N 0 0 275.663 2.681 20 5 CFBDRN Cc1nonc1COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000795255324 629752526 /nfs/dbraw/zinc/75/25/26/629752526.db2.gz BTONCRQHTJLPDO-UHFFFAOYSA-N 0 0 283.671 2.827 20 5 CFBDRN CC(=O)Cc1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000835471099 629758924 /nfs/dbraw/zinc/75/89/24/629758924.db2.gz RLPGMXNEOVEALW-UHFFFAOYSA-N 0 0 293.319 2.559 20 5 CFBDRN C[C@H](O)CCCOC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000795343707 629763808 /nfs/dbraw/zinc/76/38/08/629763808.db2.gz WPIIAPNDYPXRMW-QMMMGPOBSA-N 0 0 287.699 2.566 20 5 CFBDRN Cc1ccc(COC(=O)[C@@]2(C)CCCOC2)cc1[N+](=O)[O-] ZINC000835478333 629765102 /nfs/dbraw/zinc/76/51/02/629765102.db2.gz ATQDMQINWDHYNF-HNNXBMFYSA-N 0 0 293.319 2.763 20 5 CFBDRN Cc1ccc(COC(=O)/C=C/[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000835479438 629768754 /nfs/dbraw/zinc/76/87/54/629768754.db2.gz DGOGPWPBIHILSY-ZYOFXKKJSA-N 0 0 291.303 2.539 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC000835481795 629771573 /nfs/dbraw/zinc/77/15/73/629771573.db2.gz ZZEBDZMDUMBDMJ-YPMHNXCESA-N 0 0 293.319 2.619 20 5 CFBDRN Cc1ccc(COC(=O)CC(C)C)cc1[N+](=O)[O-] ZINC000835484313 629773977 /nfs/dbraw/zinc/77/39/77/629773977.db2.gz KRMAKUVRRXTBNU-UHFFFAOYSA-N 0 0 251.282 2.993 20 5 CFBDRN CS/C=C\C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835483506 629774830 /nfs/dbraw/zinc/77/48/30/629774830.db2.gz JRRMGJVCUIGNQE-WAYWQWQTSA-N 0 0 267.306 2.823 20 5 CFBDRN C[C@H](O)CCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000795446856 629779431 /nfs/dbraw/zinc/77/94/31/629779431.db2.gz IHKFOICMCDDXNP-VIFPVBQESA-N 0 0 293.275 2.659 20 5 CFBDRN O=C(OCc1cncc(F)c1)c1ccc(F)cc1[N+](=O)[O-] ZINC000795547702 629798069 /nfs/dbraw/zinc/79/80/69/629798069.db2.gz VWFUNVKWTGISCE-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CCCCOCCOC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000102123501 629814926 /nfs/dbraw/zinc/81/49/26/629814926.db2.gz IHYFNQCEFBKHLE-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC(=O)C1CCCCCC1 ZINC000102123713 629815213 /nfs/dbraw/zinc/81/52/13/629815213.db2.gz SRJOFSAMSVOKKE-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCC(C)C ZINC000795710448 629825151 /nfs/dbraw/zinc/82/51/51/629825151.db2.gz GALIKICLOJXXDP-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCCSCC ZINC000795709123 629825807 /nfs/dbraw/zinc/82/58/07/629825807.db2.gz KVTDIQPCEIEORP-UHFFFAOYSA-N 0 0 299.348 2.903 20 5 CFBDRN CCC[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1OC)OC ZINC000835545534 629833578 /nfs/dbraw/zinc/83/35/78/629833578.db2.gz OFCARIBDRIPFHE-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN COc1cc(OCC(=O)OCC(C)(C)C)ccc1[N+](=O)[O-] ZINC000102144697 629841999 /nfs/dbraw/zinc/84/19/99/629841999.db2.gz FROPVWYPJYISHW-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN C/C=C/COC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000795846769 629841824 /nfs/dbraw/zinc/84/18/24/629841824.db2.gz NVCBFMOWHLJMFA-HWKANZROSA-N 0 0 264.281 2.760 20 5 CFBDRN CC[C@H](C)[C@H](CC)OC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000835593318 629862614 /nfs/dbraw/zinc/86/26/14/629862614.db2.gz OMNOPACACCXKGY-ONGXEEELSA-N 0 0 283.328 2.793 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796307919 629901732 /nfs/dbraw/zinc/90/17/32/629901732.db2.gz WFDSGQWYHBUXDC-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN CC(C)(C(=O)OCC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000796439220 629917796 /nfs/dbraw/zinc/91/77/96/629917796.db2.gz HJZXDNDYHFXISH-UHFFFAOYSA-N 0 0 291.225 2.978 20 5 CFBDRN CC(C)(C(=O)OCCCF)c1ccccc1[N+](=O)[O-] ZINC000796445083 629918354 /nfs/dbraw/zinc/91/83/54/629918354.db2.gz IWAUJKKTURCAGI-UHFFFAOYSA-N 0 0 269.272 2.775 20 5 CFBDRN CS[C@@H](C)CCOC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000835664768 629927041 /nfs/dbraw/zinc/92/70/41/629927041.db2.gz CBIYWQRPLXYTSF-JTQLQIEISA-N 0 0 283.349 2.822 20 5 CFBDRN CSC[C@@H](C)COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000835668218 629929946 /nfs/dbraw/zinc/92/99/46/629929946.db2.gz IWPWCRFGVYJPEU-JTQLQIEISA-N 0 0 299.348 2.516 20 5 CFBDRN CSC[C@H](C)COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835668611 629930204 /nfs/dbraw/zinc/93/02/04/629930204.db2.gz QAZCTKTWUIGOCS-SECBINFHSA-N 0 0 269.322 2.751 20 5 CFBDRN CSC[C@@H](C)COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000835673623 629935760 /nfs/dbraw/zinc/93/57/60/629935760.db2.gz AKUHRUJMYQZGHW-JTQLQIEISA-N 0 0 297.376 2.988 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H](C)SC)c1 ZINC000835677765 629942220 /nfs/dbraw/zinc/94/22/20/629942220.db2.gz JCRHRIOSRYLUMV-MRVPVSSYSA-N 0 0 285.321 2.512 20 5 CFBDRN CS[C@@H](C)COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000835680051 629946464 /nfs/dbraw/zinc/94/64/64/629946464.db2.gz LXLNCCOKVNONGO-QMMMGPOBSA-N 0 0 294.332 2.984 20 5 CFBDRN CS[C@H](C)COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000835681920 629947702 /nfs/dbraw/zinc/94/77/02/629947702.db2.gz XSIVKLAIBDXNRS-SECBINFHSA-N 0 0 269.322 2.812 20 5 CFBDRN CS[C@@H](C)COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000835681921 629948149 /nfs/dbraw/zinc/94/81/49/629948149.db2.gz XSIVKLAIBDXNRS-VIFPVBQESA-N 0 0 269.322 2.812 20 5 CFBDRN CS[C@@H](C)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000835687994 629959132 /nfs/dbraw/zinc/95/91/32/629959132.db2.gz LQGFFCPQTOIBRL-UWVGGRQHSA-N 0 0 283.349 2.993 20 5 CFBDRN COc1cccc(C(=O)OC[C@H](C)SC)c1[N+](=O)[O-] ZINC000835690733 629960340 /nfs/dbraw/zinc/96/03/40/629960340.db2.gz WXLFNKRTJMBZEM-QMMMGPOBSA-N 0 0 285.321 2.512 20 5 CFBDRN COc1c(C(=O)O[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000796770396 629963568 /nfs/dbraw/zinc/96/35/68/629963568.db2.gz QPMZXCIICINJLZ-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)CC(C)(C)C)n1 ZINC000835703084 629967155 /nfs/dbraw/zinc/96/71/55/629967155.db2.gz GHWWXIBZRIJRKP-VIFPVBQESA-N 0 0 283.328 2.793 20 5 CFBDRN Cc1cc(COC(=O)CCC(F)F)ccc1[N+](=O)[O-] ZINC000796827008 629974577 /nfs/dbraw/zinc/97/45/77/629974577.db2.gz NSCDXPWBAAHYEM-UHFFFAOYSA-N 0 0 273.235 2.992 20 5 CFBDRN O=C(CCn1cc([N+](=O)[O-])cn1)OCCC1=CCCCC1 ZINC000835719894 629981718 /nfs/dbraw/zinc/98/17/18/629981718.db2.gz USKWXKOIWBQMQG-UHFFFAOYSA-N 0 0 293.323 2.615 20 5 CFBDRN COc1c(C(=O)OCC(C)(C)F)cccc1[N+](=O)[O-] ZINC000796866915 629982726 /nfs/dbraw/zinc/98/27/26/629982726.db2.gz JFTGXBDHNADLOQ-UHFFFAOYSA-N 0 0 271.244 2.508 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000796870467 629983247 /nfs/dbraw/zinc/98/32/47/629983247.db2.gz ZXJZYMOISMSZDI-TVQRCGJNSA-N 0 0 281.308 2.870 20 5 CFBDRN COc1c(C(=O)OC[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000796922209 629989908 /nfs/dbraw/zinc/98/99/08/629989908.db2.gz VXFXOHQRJZGDSH-VIFPVBQESA-N 0 0 279.292 2.806 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCc1nccs1 ZINC000796945231 629993616 /nfs/dbraw/zinc/99/36/16/629993616.db2.gz ASWWYLUCZARAOT-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC2CC3(CC3)C2)c1 ZINC000835728724 629996056 /nfs/dbraw/zinc/99/60/56/629996056.db2.gz LFYQWHIYFOOWLT-UHFFFAOYSA-N 0 0 277.276 2.703 20 5 CFBDRN CC[C@@H](C)Cc1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000867136694 630005773 /nfs/dbraw/zinc/00/57/73/630005773.db2.gz NNEFLZWLNYGDPM-SECBINFHSA-N 0 0 255.318 2.646 20 5 CFBDRN COc1c(C(=O)O[C@@H](C)[C@@H](C)SC)cccc1[N+](=O)[O-] ZINC000797188208 630035059 /nfs/dbraw/zinc/03/50/59/630035059.db2.gz CKGGQEYRGMCPFA-DTWKUNHWSA-N 0 0 299.348 2.900 20 5 CFBDRN CS[C@@H](C)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000797201752 630037153 /nfs/dbraw/zinc/03/71/53/630037153.db2.gz TYCAPAATOXWTFV-BDAKNGLRSA-N 0 0 269.322 2.892 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCC(C)(F)F ZINC000797241197 630046666 /nfs/dbraw/zinc/04/66/66/630046666.db2.gz XNHNSQMCOQAHHU-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN CC1=C[C@H](C)CN(c2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000797439131 630066213 /nfs/dbraw/zinc/06/62/13/630066213.db2.gz WLOKBYQIPGLBGS-QMMMGPOBSA-N 0 0 274.280 2.533 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCC(F)(F)CC1 ZINC000797521655 630076200 /nfs/dbraw/zinc/07/62/00/630076200.db2.gz YBNKSQUTLNSOOU-UHFFFAOYSA-N 0 0 286.278 2.835 20 5 CFBDRN CCOc1cc(COC(=O)c2ccc[nH]2)ccc1[N+](=O)[O-] ZINC000797858217 630113780 /nfs/dbraw/zinc/11/37/80/630113780.db2.gz KZNVPQKYJLEQBP-UHFFFAOYSA-N 0 0 290.275 2.679 20 5 CFBDRN CN(C(=O)/C=C\c1cccs1)c1ccc([N+](=O)[O-])nc1 ZINC000798240816 630171821 /nfs/dbraw/zinc/17/18/21/630171821.db2.gz FFFPJNIINLMXAG-ALCCZGGFSA-N 0 0 289.316 2.728 20 5 CFBDRN CSCCCN(C)C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000798380225 630183439 /nfs/dbraw/zinc/18/34/39/630183439.db2.gz HUSRZVAWKWIEAB-UHFFFAOYSA-N 0 0 299.421 2.977 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@@H](C)CC(C)C)n1 ZINC000798657787 630225223 /nfs/dbraw/zinc/22/52/23/630225223.db2.gz SDMWYIOULQRYPY-JTQLQIEISA-N 0 0 283.328 2.650 20 5 CFBDRN CCC(CC)COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000798891108 630255452 /nfs/dbraw/zinc/25/54/52/630255452.db2.gz BPZMXAWOODYLKX-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN CCC(CC)COC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000798892248 630255802 /nfs/dbraw/zinc/25/58/02/630255802.db2.gz PAHOJYJFKPUXKQ-UHFFFAOYSA-N 0 0 266.297 2.891 20 5 CFBDRN CCC(=O)N[C@@H](c1cccc([N+](=O)[O-])c1F)C(F)(F)F ZINC000839865038 630256041 /nfs/dbraw/zinc/25/60/41/630256041.db2.gz WOYWYOQYNHXXKE-JTQLQIEISA-N 0 0 294.204 2.864 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H](O)c1ccc(F)cn1 ZINC000799616002 630324493 /nfs/dbraw/zinc/32/44/93/630324493.db2.gz FHWUBGTZDJSGBA-CYBMUJFWSA-N 0 0 292.266 2.550 20 5 CFBDRN O=C(OCCCc1cscn1)c1ccccc1[N+](=O)[O-] ZINC000799660382 630329266 /nfs/dbraw/zinc/32/92/66/630329266.db2.gz UMKYZZHWRLMERU-UHFFFAOYSA-N 0 0 292.316 2.841 20 5 CFBDRN CSC1(COC(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000799687207 630335875 /nfs/dbraw/zinc/33/58/75/630335875.db2.gz YLRMOEUMSIPKQK-UHFFFAOYSA-N 0 0 281.333 2.576 20 5 CFBDRN COc1cc(Oc2ncc([N+](=O)[O-])s2)ccc1[N+](=O)[O-] ZINC000799960945 630356437 /nfs/dbraw/zinc/35/64/37/630356437.db2.gz VAJANUMYTPZQGF-UHFFFAOYSA-N 0 0 297.248 2.760 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)O1 ZINC000800300393 630368931 /nfs/dbraw/zinc/36/89/31/630368931.db2.gz PVSJIVULYWCPGY-JRKPZEMJSA-N 0 0 297.282 2.591 20 5 CFBDRN Cc1cc(C)nc(C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000800846370 630410674 /nfs/dbraw/zinc/41/06/74/630410674.db2.gz IAVGCPILRUEWEN-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN C[C@H](Cc1ccncc1)Nc1cc(N)ccc1[N+](=O)[O-] ZINC000800856930 630411681 /nfs/dbraw/zinc/41/16/81/630411681.db2.gz APQOLFZQEXLEQT-SNVBAGLBSA-N 0 0 272.308 2.615 20 5 CFBDRN COc1ccc(CNc2cc(N)ccc2[N+](=O)[O-])c(F)c1 ZINC000800861026 630412235 /nfs/dbraw/zinc/41/22/35/630412235.db2.gz UQFFQSDEIAYAJU-UHFFFAOYSA-N 0 0 291.282 2.937 20 5 CFBDRN Cc1cccc(CCNc2cc(N)ccc2[N+](=O)[O-])n1 ZINC000800870121 630413132 /nfs/dbraw/zinc/41/31/32/630413132.db2.gz KKOFUZOVMIEGQH-UHFFFAOYSA-N 0 0 272.308 2.535 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3ccccn3)C2)c1 ZINC000800871407 630413448 /nfs/dbraw/zinc/41/34/48/630413448.db2.gz SAUUTCHDQCTBSP-LLVKDONJSA-N 0 0 284.319 2.566 20 5 CFBDRN Cc1cc(C)cc([C@H](O)CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000800884740 630414134 /nfs/dbraw/zinc/41/41/34/630414134.db2.gz LCBCIXKDUCZUIJ-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1cc(C)cc([C@H](O)CNc2cccnc2[N+](=O)[O-])c1 ZINC000800885203 630414182 /nfs/dbraw/zinc/41/41/82/630414182.db2.gz XAHPXXGGIIOZKH-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1C[C@@H]1C(F)F ZINC000800920519 630417073 /nfs/dbraw/zinc/41/70/73/630417073.db2.gz PAKBJWCZHXYGLZ-IUCAKERBSA-N 0 0 275.182 2.541 20 5 CFBDRN CC(C)CN(C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000800972464 630419470 /nfs/dbraw/zinc/41/94/70/630419470.db2.gz IWLBNNJSZOOQCQ-UHFFFAOYSA-N 0 0 293.367 2.777 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCO[C@H](C(F)F)C1 ZINC000801562476 630458202 /nfs/dbraw/zinc/45/82/02/630458202.db2.gz ZSAGHNQEJJREBP-CABZTGNLSA-N 0 0 286.278 2.622 20 5 CFBDRN Cc1cc(COC(=O)/C=C/c2ccc[nH]2)ccc1[N+](=O)[O-] ZINC000802129607 630506083 /nfs/dbraw/zinc/50/60/83/630506083.db2.gz ZXWASRIRADWODJ-FNORWQNLSA-N 0 0 286.287 2.988 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCC1CC(C)(C)C1 ZINC000802291801 630523972 /nfs/dbraw/zinc/52/39/72/630523972.db2.gz OKPHVWFYSRPMHW-UHFFFAOYSA-N 0 0 266.297 2.526 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCC(C(C)C)C(C)C)n1 ZINC000802937279 630567667 /nfs/dbraw/zinc/56/76/67/630567667.db2.gz PNYIIJKYXCUSKL-UHFFFAOYSA-N 0 0 297.355 2.896 20 5 CFBDRN C[C@H](CC1CCOCC1)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000803016877 630578283 /nfs/dbraw/zinc/57/82/83/630578283.db2.gz DIXXHNWVIUSMOU-LLVKDONJSA-N 0 0 293.319 2.957 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCCCF)c1 ZINC000803316093 630601187 /nfs/dbraw/zinc/60/11/87/630601187.db2.gz SVOYGLSFBVMWCP-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN CNc1c(C(=O)OCCCCF)cccc1[N+](=O)[O-] ZINC000803438033 630618006 /nfs/dbraw/zinc/61/80/06/630618006.db2.gz ZWZPXPCOKHUCMH-UHFFFAOYSA-N 0 0 270.260 2.543 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803453600 630619413 /nfs/dbraw/zinc/61/94/13/630619413.db2.gz NEHIWUDREHRUGH-NSHDSACASA-N 0 0 291.225 2.910 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@@H]2C[C@@H]21 ZINC000804294419 630668458 /nfs/dbraw/zinc/66/84/58/630668458.db2.gz BKDYBGAFSPVLOH-KCJUWKMLSA-N 0 0 280.711 2.873 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@H]2C[C@H]21 ZINC000804297095 630669184 /nfs/dbraw/zinc/66/91/84/630669184.db2.gz DPRHTAYXZCJCAA-CMPLNLGQSA-N 0 0 290.319 2.618 20 5 CFBDRN O=C(N1CCC[C@H]2C[C@H]21)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000804298451 630669446 /nfs/dbraw/zinc/66/94/46/630669446.db2.gz STSIUEJBDFYXQR-JOYOIKCWSA-N 0 0 296.273 2.698 20 5 CFBDRN COc1ccc(Oc2ncc(SC)cn2)c([N+](=O)[O-])c1 ZINC000804323891 630671921 /nfs/dbraw/zinc/67/19/21/630671921.db2.gz XPHAEEIDEVHOJP-UHFFFAOYSA-N 0 0 293.304 2.908 20 5 CFBDRN CN(Cc1cccs1)c1ccc(N)cc1[N+](=O)[O-] ZINC000804325712 630672704 /nfs/dbraw/zinc/67/27/04/630672704.db2.gz VMZCZGRGXBEGFF-UHFFFAOYSA-N 0 0 263.322 2.875 20 5 CFBDRN Nc1ccc(NCc2ccc(Cl)nc2)c([N+](=O)[O-])c1 ZINC000804359437 630676174 /nfs/dbraw/zinc/67/61/74/630676174.db2.gz PTKSSTKCHUIWCG-UHFFFAOYSA-N 0 0 278.699 2.838 20 5 CFBDRN CN(CC1CCC1)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804447182 630681924 /nfs/dbraw/zinc/68/19/24/630681924.db2.gz YUKOQVUKHFPMRO-UHFFFAOYSA-N 0 0 265.269 2.739 20 5 CFBDRN Nc1cc(NC/C=C/c2ccccc2)cc(CO)c1[N+](=O)[O-] ZINC000804451242 630682563 /nfs/dbraw/zinc/68/25/63/630682563.db2.gz BFYBFSSUQKVMPF-QPJJXVBHSA-N 0 0 299.330 2.795 20 5 CFBDRN Nc1cc(NC/C=C\c2ccccc2)cc(CO)c1[N+](=O)[O-] ZINC000804451241 630682684 /nfs/dbraw/zinc/68/26/84/630682684.db2.gz BFYBFSSUQKVMPF-DAXSKMNVSA-N 0 0 299.330 2.795 20 5 CFBDRN CC[C@@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804542486 630691495 /nfs/dbraw/zinc/69/14/95/630691495.db2.gz NFBRZMFKARLCDG-SECBINFHSA-N 0 0 265.269 2.739 20 5 CFBDRN Cc1cccc([C@H](O)CNc2ccc(N)cc2[N+](=O)[O-])c1 ZINC000804559780 630693072 /nfs/dbraw/zinc/69/30/72/630693072.db2.gz HFCPOVJMBVOEGK-OAHLLOKOSA-N 0 0 287.319 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@@H](O)c1cccnc1 ZINC000804561958 630693266 /nfs/dbraw/zinc/69/32/66/630693266.db2.gz BSQCURYMLOGUNY-CYBMUJFWSA-N 0 0 293.710 2.789 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000836128600 630747940 /nfs/dbraw/zinc/74/79/40/630747940.db2.gz RYASYDDKRKEHFX-PHIMTYICSA-N 0 0 279.292 2.708 20 5 CFBDRN COc1cc(F)cc(CNc2ccc(N)cc2[N+](=O)[O-])c1 ZINC000804589175 630761693 /nfs/dbraw/zinc/76/16/93/630761693.db2.gz GVQJECSLLSHQSA-UHFFFAOYSA-N 0 0 291.282 2.937 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H]1F ZINC000804597788 630762698 /nfs/dbraw/zinc/76/26/98/630762698.db2.gz NWDKPMNERWXFNE-RKDXNWHRSA-N 0 0 283.259 2.687 20 5 CFBDRN CC[C@@]1(O)CCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000804626617 630766988 /nfs/dbraw/zinc/76/69/88/630766988.db2.gz YTJKYWIXPCGTTD-GFCCVEGCSA-N 0 0 270.716 2.599 20 5 CFBDRN CO[C@H](CCNc1ccc(N)cc1[N+](=O)[O-])C(F)(F)F ZINC000804645891 630768241 /nfs/dbraw/zinc/76/82/41/630768241.db2.gz DHYCZGOZRMWAFV-SNVBAGLBSA-N 0 0 293.245 2.556 20 5 CFBDRN CC(C)ONC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000836366761 630803442 /nfs/dbraw/zinc/80/34/42/630803442.db2.gz QUPFSMVIHDGNKR-UHFFFAOYSA-N 0 0 273.676 2.710 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NC[C@H]2C[C@@H]2C)cc1Cl ZINC000836376148 630805574 /nfs/dbraw/zinc/80/55/74/630805574.db2.gz IVDVIQOXXQRAJV-IONNQARKSA-N 0 0 282.727 2.942 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N(C)CC(F)F)cc1Cl ZINC000836619002 630858522 /nfs/dbraw/zinc/85/85/22/630858522.db2.gz BGAGNJLIZABUJR-UHFFFAOYSA-N 0 0 292.669 2.894 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NCCCF)cc1Cl ZINC000836777913 630880423 /nfs/dbraw/zinc/88/04/23/630880423.db2.gz RMALZYSEAAXVBG-UHFFFAOYSA-N 0 0 274.679 2.646 20 5 CFBDRN COC/C=C\C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000836854267 630900768 /nfs/dbraw/zinc/90/07/68/630900768.db2.gz MYNVEAWZFOVYHL-PLNGDYQASA-N 0 0 293.323 2.556 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2C[C@H]2C(C)C)c(N)c([N+](=O)[O-])c1 ZINC000836915190 630915421 /nfs/dbraw/zinc/91/54/21/630915421.db2.gz JSDOYBQSVRKMJZ-QWRGUYRKSA-N 0 0 291.351 2.507 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1ccccn1 ZINC000807916086 630921471 /nfs/dbraw/zinc/92/14/71/630921471.db2.gz MJHRJBSHTPKYKW-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC1(O)CCCCC1 ZINC000807951022 630925703 /nfs/dbraw/zinc/92/57/03/630925703.db2.gz DOEIQYPCSVRLKM-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807972454 630928103 /nfs/dbraw/zinc/92/81/03/630928103.db2.gz RWXAYXLOISEQIG-YMTOWFKASA-N 0 0 280.324 2.616 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1CCC[C@H](O)C1 ZINC000807982472 630929554 /nfs/dbraw/zinc/92/95/54/630929554.db2.gz BQKYKIJWZSRION-AAEUAGOBSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H](C)C[C@@H](C)O ZINC000807981492 630929675 /nfs/dbraw/zinc/92/96/75/630929675.db2.gz OELAQJXWYGJOQA-VHSXEESVSA-N 0 0 280.324 2.616 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@]1(O)CCc2ccccc21 ZINC000808005220 630939506 /nfs/dbraw/zinc/93/95/06/630939506.db2.gz NXFFEWAGBJGDTD-CQSZACIVSA-N 0 0 290.344 2.902 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1CCCOCC1 ZINC000808012465 630941972 /nfs/dbraw/zinc/94/19/72/630941972.db2.gz OYJKQOIFYUMJLJ-LLVKDONJSA-N 0 0 278.308 2.778 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCOC(C)C ZINC000808015934 630942429 /nfs/dbraw/zinc/94/24/29/630942429.db2.gz BFVLVGWZRHKKKU-UHFFFAOYSA-N 0 0 266.297 2.634 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC1(CO)CCCC1 ZINC000808018738 630943036 /nfs/dbraw/zinc/94/30/36/630943036.db2.gz OLTIZARTGNYCHZ-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc(SCOCC(F)(F)F)nc1 ZINC000808025177 630943859 /nfs/dbraw/zinc/94/38/59/630943859.db2.gz DDBQYUKAXAAQBT-UHFFFAOYSA-N 0 0 268.216 2.618 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1CCOC[C@@H]1C ZINC000808049336 630944908 /nfs/dbraw/zinc/94/49/08/630944908.db2.gz XRGIBOQHPDTOJD-TVQRCGJNSA-N 0 0 278.308 2.634 20 5 CFBDRN Nc1ccc(NCc2nccc(C(F)F)n2)c([N+](=O)[O-])c1 ZINC000808113471 630952495 /nfs/dbraw/zinc/95/24/95/630952495.db2.gz IAILKQVLOJKGTI-UHFFFAOYSA-N 0 0 295.249 2.517 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000102708775 630954599 /nfs/dbraw/zinc/95/45/99/630954599.db2.gz XTTGMMAYHLUFGC-QMMMGPOBSA-N 0 0 285.683 2.580 20 5 CFBDRN Nc1ccc(OCc2cccc(Cl)n2)cc1[N+](=O)[O-] ZINC000808255824 630971356 /nfs/dbraw/zinc/97/13/56/630971356.db2.gz CTBYPRUIJVCZHX-UHFFFAOYSA-N 0 0 279.683 2.804 20 5 CFBDRN Nc1ccc(OCc2ncc(Cl)s2)cc1[N+](=O)[O-] ZINC000808256834 630972369 /nfs/dbraw/zinc/97/23/69/630972369.db2.gz PILUQFLJNVMWOS-UHFFFAOYSA-N 0 0 285.712 2.866 20 5 CFBDRN O=C(Nc1cccc2c1COC2=O)c1ccccc1[N+](=O)[O-] ZINC000808478436 630999943 /nfs/dbraw/zinc/99/99/43/630999943.db2.gz LCZDBKZKYVBKSH-UHFFFAOYSA-N 0 0 298.254 2.518 20 5 CFBDRN Cc1nc(NC(=O)CCCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000808512251 631005062 /nfs/dbraw/zinc/00/50/62/631005062.db2.gz LXYNJTXMMMQHCC-UHFFFAOYSA-N 0 0 295.339 2.832 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CC2(CCC2)[C@H]1c1ccco1 ZINC000840140913 631007454 /nfs/dbraw/zinc/00/74/54/631007454.db2.gz VAIXCJBYSBRKBJ-CYBMUJFWSA-N 0 0 288.307 2.569 20 5 CFBDRN CN(C(=O)CCCOC(C)(C)C)c1ccc([N+](=O)[O-])nc1 ZINC000808795067 631049476 /nfs/dbraw/zinc/04/94/76/631049476.db2.gz PZHWXYWRFJYPRY-UHFFFAOYSA-N 0 0 295.339 2.548 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc2nonc21 ZINC000808800443 631050909 /nfs/dbraw/zinc/05/09/09/631050909.db2.gz FGLPOWYKDGXGOR-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN COC1(CCOC(=O)Cc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000809050200 631071828 /nfs/dbraw/zinc/07/18/28/631071828.db2.gz CTJHQAULKCGCRC-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN COC1(CCOC(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000809054800 631073166 /nfs/dbraw/zinc/07/31/66/631073166.db2.gz DDSQRJSXWANNIH-UHFFFAOYSA-N 0 0 279.292 2.711 20 5 CFBDRN CC(C)(CCC(=O)OCC(=O)C1CCCCC1)[N+](=O)[O-] ZINC000837924089 631151631 /nfs/dbraw/zinc/15/16/31/631151631.db2.gz QJXWVRROVKNWRI-UHFFFAOYSA-N 0 0 285.340 2.515 20 5 CFBDRN Cc1cc(N)nc(SCc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000042153361 649995752 /nfs/dbraw/zinc/99/57/52/649995752.db2.gz VENYHOWKDPXJTD-UHFFFAOYSA-N 0 0 294.311 2.707 20 5 CFBDRN CCC(O)(CC)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000809352799 631218176 /nfs/dbraw/zinc/21/81/76/631218176.db2.gz VRKSTQAIJINLMV-UHFFFAOYSA-N 0 0 268.313 2.566 20 5 CFBDRN CC/C=C\CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840790424 631225022 /nfs/dbraw/zinc/22/50/22/631225022.db2.gz HXWQXHKSAPMODG-ARJAWSKDSA-N 0 0 265.338 2.847 20 5 CFBDRN Cc1n[nH]cc1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000809915253 631300887 /nfs/dbraw/zinc/30/08/87/631300887.db2.gz MYBLYONHWMQZKO-UHFFFAOYSA-N 0 0 295.686 2.924 20 5 CFBDRN CC1(F)CN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000809917725 631301067 /nfs/dbraw/zinc/30/10/67/631301067.db2.gz PFUHJMWLSKCVDK-UHFFFAOYSA-N 0 0 287.678 2.824 20 5 CFBDRN O=C(NCC(F)F)Nc1cccc([N+](=O)[O-])c1Cl ZINC000809918647 631301082 /nfs/dbraw/zinc/30/10/82/631301082.db2.gz YGSWBLZBJGUXFR-UHFFFAOYSA-N 0 0 279.630 2.635 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](CC(C)C)OC ZINC000841014230 631305878 /nfs/dbraw/zinc/30/58/78/631305878.db2.gz RFHRGYBBPJRIHG-ZDUSSCGKSA-N 0 0 297.307 2.570 20 5 CFBDRN COCC(C)(C)CC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000841068160 631329497 /nfs/dbraw/zinc/32/94/97/631329497.db2.gz KBXPNPNNHYLVDR-UHFFFAOYSA-N 0 0 281.308 2.871 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C1(F)CCCCC1 ZINC000810178117 631340819 /nfs/dbraw/zinc/34/08/19/631340819.db2.gz ONINRRSTRBHCRD-UHFFFAOYSA-N 0 0 280.299 2.883 20 5 CFBDRN Cc1cccc2c1N(C(=O)[C@@H]1CC1[N+](=O)[O-])CC[C@H]2C ZINC000841242486 631367467 /nfs/dbraw/zinc/36/74/67/631367467.db2.gz IPTSFNYNPKIAAE-OASPWFOLSA-N 0 0 274.320 2.500 20 5 CFBDRN O=C(O[C@H]1CCCc2c(F)cc(F)cc21)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841246286 631368460 /nfs/dbraw/zinc/36/84/60/631368460.db2.gz BATKSSKXHYQYCD-RTXFEEFZSA-N 0 0 297.257 2.551 20 5 CFBDRN Cc1nc(COC(=O)c2ccc([N+](=O)[O-])s2)c(C)o1 ZINC000841342094 631390967 /nfs/dbraw/zinc/39/09/67/631390967.db2.gz CWWXMAQCVDIQLM-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(N)c([N+](=O)[O-])c1)[C@H]1CC1(C)C ZINC000841451470 631407716 /nfs/dbraw/zinc/40/77/16/631407716.db2.gz QLCOQDKOHQLYAO-PWSUYJOCSA-N 0 0 291.351 2.732 20 5 CFBDRN O=C(OCCCF)Oc1ccc(F)cc1[N+](=O)[O-] ZINC000841479788 631412883 /nfs/dbraw/zinc/41/28/83/631412883.db2.gz ANHLHPXJAXEPLN-UHFFFAOYSA-N 0 0 261.180 2.609 20 5 CFBDRN O=C(OCCCF)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000841507053 631419227 /nfs/dbraw/zinc/41/92/27/631419227.db2.gz OEZLHYZJOFANDH-UHFFFAOYSA-N 0 0 261.180 2.609 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)C1(CCF)CC1 ZINC000810694448 631428321 /nfs/dbraw/zinc/42/83/21/631428321.db2.gz RKWLMWTWBOAFGM-UHFFFAOYSA-N 0 0 281.283 2.820 20 5 CFBDRN O=C(OCC1CC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000104407135 631428337 /nfs/dbraw/zinc/42/83/37/631428337.db2.gz YPQCTBVBCKHFIH-UHFFFAOYSA-N 0 0 255.657 2.815 20 5 CFBDRN CC[C@H](COc1ccc([N+](=O)[O-])c(F)c1F)OC ZINC000841670623 631448820 /nfs/dbraw/zinc/44/88/20/631448820.db2.gz NYHQBYZSPCNBDM-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN Cc1ncccc1COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000810887104 631469246 /nfs/dbraw/zinc/46/92/46/631469246.db2.gz ZJAJVCGOFTZNQX-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN COCC1(COC(=O)c2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000811064069 631510154 /nfs/dbraw/zinc/51/01/54/631510154.db2.gz SMHYFBNWXPMREK-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC(OC3CCC3)CC2)c1 ZINC000842045223 631511440 /nfs/dbraw/zinc/51/14/40/631511440.db2.gz QCVBGMWFTODHEJ-UHFFFAOYSA-N 0 0 291.351 2.715 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2cc(F)ccc2[N+](=O)[O-])C1=O ZINC000840224031 631514211 /nfs/dbraw/zinc/51/42/11/631514211.db2.gz MWFFSAASGIYRFT-NSHDSACASA-N 0 0 295.266 2.648 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])OC/C=C\Cl ZINC000842052973 631514990 /nfs/dbraw/zinc/51/49/90/631514990.db2.gz ATWXHJZUXVUPKT-CLTKARDFSA-N 0 0 284.699 2.693 20 5 CFBDRN Cc1sc(C(=O)OCCOCC2CCC2)cc1[N+](=O)[O-] ZINC000842068040 631523353 /nfs/dbraw/zinc/52/33/53/631523353.db2.gz USSPKYMYNJRTFL-UHFFFAOYSA-N 0 0 299.348 2.938 20 5 CFBDRN COC1(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000811169102 631527384 /nfs/dbraw/zinc/52/73/84/631527384.db2.gz ZVCDXEOAYVHMEK-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN COC1(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000811174965 631529813 /nfs/dbraw/zinc/52/98/13/631529813.db2.gz ZBTHFQYOQWZBOT-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN CC[C@@H](COC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)OC ZINC000842086650 631533356 /nfs/dbraw/zinc/53/33/56/631533356.db2.gz YPYLRBHWLXVOTC-VIFPVBQESA-N 0 0 292.291 2.658 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)C1(CCF)CC1 ZINC000811339435 631553505 /nfs/dbraw/zinc/55/35/05/631553505.db2.gz FJZNASCJQAUCNX-UHFFFAOYSA-N 0 0 297.282 2.657 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C1(CCF)CC1 ZINC000811340906 631554345 /nfs/dbraw/zinc/55/43/45/631554345.db2.gz HBZPFQSYGDCPPX-UHFFFAOYSA-N 0 0 297.282 2.786 20 5 CFBDRN Cc1c[nH]nc1CN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000811454976 631565430 /nfs/dbraw/zinc/56/54/30/631565430.db2.gz VDCQGWZUNYYQCH-UHFFFAOYSA-N 0 0 294.742 2.912 20 5 CFBDRN CC[C@H](C)c1ccc(OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000842283223 631579297 /nfs/dbraw/zinc/57/92/97/631579297.db2.gz VITSBBRZBCGOPJ-ZWKOPEQDSA-N 0 0 263.293 2.771 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842296969 631581339 /nfs/dbraw/zinc/58/13/39/631581339.db2.gz ZKOKJRXJWPQLFH-DGFQIJBJSA-N 0 0 275.304 2.898 20 5 CFBDRN Cc1ccc(C[C@H](OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C2CC2)cc1 ZINC000842313545 631587936 /nfs/dbraw/zinc/58/79/36/631587936.db2.gz PFCGJUDMCTZCRJ-KFWWJZLASA-N 0 0 289.331 2.525 20 5 CFBDRN CC[C@@H](O)CCCNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000811808162 631625810 /nfs/dbraw/zinc/62/58/10/631625810.db2.gz XHQNRNBXHOGRMM-GFCCVEGCSA-N 0 0 280.324 2.760 20 5 CFBDRN CN(C)c1cccnc1CNc1cccc(F)c1[N+](=O)[O-] ZINC000811852119 631632561 /nfs/dbraw/zinc/63/25/61/631632561.db2.gz GEDGJWPCDPTZHJ-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN CC(C)c1ccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)cc1 ZINC000842488373 631643119 /nfs/dbraw/zinc/64/31/19/631643119.db2.gz ZSUKQWFNNGFHHY-VXGBXAGGSA-N 0 0 273.292 2.993 20 5 CFBDRN CC(C)(c1noc([C@@H]2CC2[N+](=O)[O-])n1)c1ccccc1 ZINC000842497459 631646025 /nfs/dbraw/zinc/64/60/25/631646025.db2.gz OWUFTCLGIXKNSY-GHMZBOCLSA-N 0 0 273.292 2.528 20 5 CFBDRN Cc1ccccc1[C@@H](C)c1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000842500059 631647342 /nfs/dbraw/zinc/64/73/42/631647342.db2.gz JEWOGTJGVDKVLV-YUSALJHKSA-N 0 0 273.292 2.662 20 5 CFBDRN CCCC(N)=NOCc1ccc([N+](=O)[O-])c(F)c1 ZINC000842647747 631691061 /nfs/dbraw/zinc/69/10/61/631691061.db2.gz DJAPLEBMOODSMB-UHFFFAOYSA-N 0 0 255.249 2.532 20 5 CFBDRN Nc1ccc(C(=O)Nc2cc(C3CC3)ccn2)cc1[N+](=O)[O-] ZINC000812358798 631695249 /nfs/dbraw/zinc/69/52/49/631695249.db2.gz IEMCGSLCKKKMEX-UHFFFAOYSA-N 0 0 298.302 2.702 20 5 CFBDRN CCCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842665753 631697401 /nfs/dbraw/zinc/69/74/01/631697401.db2.gz SORAPQZSWXOOCM-UHFFFAOYSA-N 0 0 253.151 2.940 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000812529846 631729623 /nfs/dbraw/zinc/72/96/23/631729623.db2.gz DYNACNSVFFKCQP-RKDXNWHRSA-N 0 0 284.287 2.591 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000043747208 650024681 /nfs/dbraw/zinc/02/46/81/650024681.db2.gz PSICFZZEMWKFKX-LLVKDONJSA-N 0 0 299.330 2.976 20 5 CFBDRN CC(C)=CCC[C@H](C)CC(=O)O[C@H](C)C[N+](=O)[O-] ZINC000842807028 631746560 /nfs/dbraw/zinc/74/65/60/631746560.db2.gz RGLZDCZCCWLGBX-NWDGAFQWSA-N 0 0 257.330 2.967 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000812626203 631747188 /nfs/dbraw/zinc/74/71/88/631747188.db2.gz UDLOHKWANQAXED-WDEREUQCSA-N 0 0 281.308 2.557 20 5 CFBDRN C[C@@H](OC(=O)[C@@](C)(O)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000812677790 631757598 /nfs/dbraw/zinc/75/75/98/631757598.db2.gz XZRVMYSOIPVGPF-BMIGLBTASA-N 0 0 293.319 2.750 20 5 CFBDRN C[C@@H](OC(=O)[C@@](C)(O)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000812671535 631757754 /nfs/dbraw/zinc/75/77/54/631757754.db2.gz WHEJTRLTYCMUMB-BMIGLBTASA-N 0 0 293.319 2.750 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NOC1CCC1 ZINC000812790547 631777992 /nfs/dbraw/zinc/77/79/92/631777992.db2.gz XBIQUCAMSFKWBS-GFCCVEGCSA-N 0 0 292.335 2.764 20 5 CFBDRN CC(C)(CCC(=O)Oc1cccc2c1CCC2)[N+](=O)[O-] ZINC000842937843 631787542 /nfs/dbraw/zinc/78/75/42/631787542.db2.gz SCBKQPWYJDBALK-UHFFFAOYSA-N 0 0 277.320 2.916 20 5 CFBDRN CC(=O)CC(C)(C)Cc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812811918 631788515 /nfs/dbraw/zinc/78/85/15/631788515.db2.gz ORKUQNVXNMDYBI-UHFFFAOYSA-N 0 0 297.355 2.605 20 5 CFBDRN CC[C@@H](C)[C@H](OC)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812656 631788582 /nfs/dbraw/zinc/78/85/82/631788582.db2.gz OQYTUKLBZAFZRB-KOLCDFICSA-N 0 0 285.344 2.791 20 5 CFBDRN CC[C@@H]1C[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCO1 ZINC000812812869 631788846 /nfs/dbraw/zinc/78/88/46/631788846.db2.gz VUZPUVNKTYOEEI-WDEREUQCSA-N 0 0 297.355 2.730 20 5 CFBDRN Cc1nc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)sc1C ZINC000812811873 631788877 /nfs/dbraw/zinc/78/88/77/631788877.db2.gz MTQFYHJYLPQPPV-UHFFFAOYSA-N 0 0 296.352 2.798 20 5 CFBDRN CSC[C@@H](C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812814566 631789273 /nfs/dbraw/zinc/78/92/73/631789273.db2.gz KCVWXXZKMFUMML-MRVPVSSYSA-N 0 0 273.358 2.524 20 5 CFBDRN C[C@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CC1 ZINC000812814119 631789282 /nfs/dbraw/zinc/78/92/82/631789282.db2.gz TXZXXZZBGIIUBG-QMMMGPOBSA-N 0 0 253.302 2.571 20 5 CFBDRN CC[C@H]1CC[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812814297 631789354 /nfs/dbraw/zinc/78/93/54/631789354.db2.gz DVLDPNGSJAXRBV-VHSXEESVSA-N 0 0 283.328 2.688 20 5 CFBDRN CC(C)(CCc1noc(COc2ccsc2)n1)[N+](=O)[O-] ZINC000812815185 631789816 /nfs/dbraw/zinc/78/98/16/631789816.db2.gz HQGGNNLCHBIPSH-UHFFFAOYSA-N 0 0 297.336 2.698 20 5 CFBDRN CC[C@@H]1CC[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812814292 631789887 /nfs/dbraw/zinc/78/98/87/631789887.db2.gz DVLDPNGSJAXRBV-NXEZZACHSA-N 0 0 283.328 2.688 20 5 CFBDRN C[C@H](C[C@H](O)c1ccco1)Nc1ncc([N+](=O)[O-])cc1F ZINC000840275948 631814721 /nfs/dbraw/zinc/81/47/21/631814721.db2.gz OBJVTXGOMBXCHH-KCJUWKMLSA-N 0 0 295.270 2.646 20 5 CFBDRN Cc1ncc(CCNc2ncc([N+](=O)[O-])cc2F)s1 ZINC000840275960 631814913 /nfs/dbraw/zinc/81/49/13/631814913.db2.gz URMNBRPZQUOLPI-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(F)c2ccccc12)[N+](=O)[O-] ZINC000813102903 631838600 /nfs/dbraw/zinc/83/86/00/631838600.db2.gz LDFRTMJQQADYCL-VIFPVBQESA-N 0 0 277.251 2.801 20 5 CFBDRN C[C@H](CCCc1ccccc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813104096 631839491 /nfs/dbraw/zinc/83/94/91/631839491.db2.gz JWQKMZTVDJPYCN-CHWSQXEVSA-N 0 0 279.336 2.854 20 5 CFBDRN Cc1ccc(C(=O)CCCC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813105140 631839549 /nfs/dbraw/zinc/83/95/49/631839549.db2.gz JUZVPYFPGAGDAO-LBPRGKRZSA-N 0 0 293.319 2.556 20 5 CFBDRN Cc1c(C(=O)OC[C@@H](C)[N+](=O)[O-])cnn1C1CCCCC1 ZINC000813104168 631839770 /nfs/dbraw/zinc/83/97/70/631839770.db2.gz XIABWXRFKXSKBG-SNVBAGLBSA-N 0 0 295.339 2.519 20 5 CFBDRN C[C@@H](COC(=O)COc1ccc(C(C)(C)C)cc1)[N+](=O)[O-] ZINC000813107859 631843626 /nfs/dbraw/zinc/84/36/26/631843626.db2.gz RENAUYIYEPLPPE-NSHDSACASA-N 0 0 295.335 2.571 20 5 CFBDRN C[C@H](COC(=O)C1(c2ccc(F)cc2F)CCC1)[N+](=O)[O-] ZINC000813111705 631844304 /nfs/dbraw/zinc/84/43/04/631844304.db2.gz KKBCIMSWMKVOIV-SECBINFHSA-N 0 0 299.273 2.595 20 5 CFBDRN C/C(=C/C(=O)OC[C@@H](C)[N+](=O)[O-])c1ccccc1Cl ZINC000813111535 631844925 /nfs/dbraw/zinc/84/49/25/631844925.db2.gz UHVHHTGUTKXNOB-UJICNMFASA-N 0 0 283.711 2.952 20 5 CFBDRN Cc1ccc(CCCC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813112991 631845210 /nfs/dbraw/zinc/84/52/10/631845210.db2.gz NIQPAVIPPYUIJI-LBPRGKRZSA-N 0 0 265.309 2.526 20 5 CFBDRN CC[C@@H](CC1CCCC1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813114309 631845844 /nfs/dbraw/zinc/84/58/44/631845844.db2.gz PJPYLUIKQXWXHZ-JQWIXIFHSA-N 0 0 257.330 2.801 20 5 CFBDRN CC[C@@H](C)c1ccccc1OCC(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813114181 631846482 /nfs/dbraw/zinc/84/64/82/631846482.db2.gz ZRTISDFUMQOFFI-NEPJUHHUSA-N 0 0 295.335 2.787 20 5 CFBDRN CC1(C)C[C@@H]1COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000813127102 631854003 /nfs/dbraw/zinc/85/40/03/631854003.db2.gz HCYLBFRKBYXWAY-LLVKDONJSA-N 0 0 263.293 2.727 20 5 CFBDRN COC[C@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813247123 631891262 /nfs/dbraw/zinc/89/12/62/631891262.db2.gz OHYVUMNYCIWUCL-MRVPVSSYSA-N 0 0 286.715 2.859 20 5 CFBDRN CC(=Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(=O)[nH]c1 ZINC000813302112 631897530 /nfs/dbraw/zinc/89/75/30/631897530.db2.gz TZCAHQBPSGMDNV-CSKARUKUSA-N 0 0 299.286 2.737 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCOC1CCC1 ZINC000843300739 631922274 /nfs/dbraw/zinc/92/22/74/631922274.db2.gz PHCJNARGWSPTOT-UHFFFAOYSA-N 0 0 265.313 2.618 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1noc(C(C)C)n1 ZINC000843298375 631922302 /nfs/dbraw/zinc/92/23/02/631922302.db2.gz ORHWHDVTVYPYPI-UHFFFAOYSA-N 0 0 291.311 2.755 20 5 CFBDRN CC(C)Cc1noc(-c2cc([N+](=O)[O-])ccc2N(C)C)n1 ZINC000843316994 631925805 /nfs/dbraw/zinc/92/58/05/631925805.db2.gz HHJICFDXJREPOX-UHFFFAOYSA-N 0 0 290.323 2.909 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc(CC(C)C)no1 ZINC000843319719 631926790 /nfs/dbraw/zinc/92/67/90/631926790.db2.gz ZMGJQNMAKPKIAM-UHFFFAOYSA-N 0 0 277.280 2.852 20 5 CFBDRN CC[C@@H](C)CONc1c([N+](=O)[O-])nc(C)n1CC ZINC000813622500 631942975 /nfs/dbraw/zinc/94/29/75/631942975.db2.gz QODZTDBUWUEZGQ-MRVPVSSYSA-N 0 0 256.306 2.509 20 5 CFBDRN CC[C@@H](C)CON=c1nc[nH]c2cccc([N+](=O)[O-])c21 ZINC000813622384 631943039 /nfs/dbraw/zinc/94/30/39/631943039.db2.gz QAUCCDMLWLQMIX-SECBINFHSA-N 0 0 276.296 2.928 20 5 CFBDRN O=[N+]([O-])c1ccc2cc[nH]c(=NOC[C@H]3CCOC3)c2c1 ZINC000840292873 631945985 /nfs/dbraw/zinc/94/59/85/631945985.db2.gz CGENWCYUSGYTJA-JTQLQIEISA-N 0 0 289.291 2.523 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](c3ccccn3)C2)c(F)c1 ZINC000840296675 631958573 /nfs/dbraw/zinc/95/85/73/631958573.db2.gz BDOYKJLBLXXPPK-SNVBAGLBSA-N 0 0 288.282 2.518 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(C(F)F)CC1 ZINC000843526898 631976332 /nfs/dbraw/zinc/97/63/32/631976332.db2.gz YWVNZGTXHQYACG-UHFFFAOYSA-N 0 0 284.262 2.599 20 5 CFBDRN C[C@@H](NC(=O)C1(C(F)F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000843531930 631977877 /nfs/dbraw/zinc/97/78/77/631977877.db2.gz MPBNTADOQMVAAG-MRVPVSSYSA-N 0 0 284.262 2.817 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC(C)(C)c1ccccc1 ZINC000814036512 631997667 /nfs/dbraw/zinc/99/76/67/631997667.db2.gz YZWVEFUGCLGAAI-NSHDSACASA-N 0 0 265.309 2.563 20 5 CFBDRN Cc1cc(OCC(=O)O[C@@H](C)C[N+](=O)[O-])ccc1C(C)C ZINC000814036666 631997692 /nfs/dbraw/zinc/99/76/92/631997692.db2.gz IPIMIRBNAUTDLT-LBPRGKRZSA-N 0 0 295.335 2.706 20 5 CFBDRN C[C@H](CC(=O)O[C@@H](C)C[N+](=O)[O-])c1c[nH]c2ccccc21 ZINC000814037214 631997790 /nfs/dbraw/zinc/99/77/90/631997790.db2.gz UKQZWEBASAZYGK-MNOVXSKESA-N 0 0 290.319 2.870 20 5 CFBDRN CC[C@H](C)n1ncc(C(=O)O[C@@H](C)C[N+](=O)[O-])c1C1CC1 ZINC000814039191 631998908 /nfs/dbraw/zinc/99/89/08/631998908.db2.gz MOXROHWTNAOGPZ-UWVGGRQHSA-N 0 0 295.339 2.554 20 5 CFBDRN CCCCOc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814040523 631998913 /nfs/dbraw/zinc/99/89/13/631998913.db2.gz IEMNKUWSGLIMAE-NSHDSACASA-N 0 0 281.308 2.688 20 5 CFBDRN CC[C@H](C)n1ncc(C(=O)O[C@H](C)C[N+](=O)[O-])c1C1CC1 ZINC000814039192 631998948 /nfs/dbraw/zinc/99/89/48/631998948.db2.gz MOXROHWTNAOGPZ-VHSXEESVSA-N 0 0 295.339 2.554 20 5 CFBDRN Cc1nc(C2CCCC2)sc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814040898 631999491 /nfs/dbraw/zinc/99/94/91/631999491.db2.gz LIBPNCHGCVRPIE-QMMMGPOBSA-N 0 0 298.364 2.931 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc(Cl)sc1Cl ZINC000814042625 631999497 /nfs/dbraw/zinc/99/94/97/631999497.db2.gz YLMOBPKKKGXDEN-SCSAIBSYSA-N 0 0 284.120 2.877 20 5 CFBDRN Cc1[nH]c2ccc(Cl)cc2c1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814041390 631999628 /nfs/dbraw/zinc/99/96/28/631999628.db2.gz SFBVWOZYMYAYCE-SSDOTTSWSA-N 0 0 296.710 2.952 20 5 CFBDRN Cc1cc(C)c(/C=C/C(=O)O[C@@H](C)C[N+](=O)[O-])cc1C ZINC000814043084 632000218 /nfs/dbraw/zinc/00/02/18/632000218.db2.gz AUKCXXOPSMQCCG-GFUIURDCSA-N 0 0 277.320 2.833 20 5 CFBDRN CC(C)[C@@H](Cc1ccccc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814045139 632000644 /nfs/dbraw/zinc/00/06/44/632000644.db2.gz NMZJETCFHNEDBP-GXTWGEPZSA-N 0 0 279.336 2.710 20 5 CFBDRN CCc1ccc([C@@H](C)C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814048576 632001133 /nfs/dbraw/zinc/00/11/33/632001133.db2.gz VCPUKTZLYUYGFQ-GHMZBOCLSA-N 0 0 265.309 2.561 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cccc(-c2cscn2)c1 ZINC000814045641 632001140 /nfs/dbraw/zinc/00/11/40/632001140.db2.gz WPWKQXAKUOHDON-SECBINFHSA-N 0 0 292.316 2.632 20 5 CFBDRN O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1C/C=C/Cl ZINC000156195051 650047324 /nfs/dbraw/zinc/04/73/24/650047324.db2.gz FTGWNYUWRFDIQR-FARCUNLSSA-N 0 0 291.694 2.571 20 5 CFBDRN Cc1ncoc1COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000814099625 632013454 /nfs/dbraw/zinc/01/34/54/632013454.db2.gz PVYUOVBCDVEMIM-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000843739380 632044469 /nfs/dbraw/zinc/04/44/69/632044469.db2.gz VMTFYFPQJHQRPL-WEJCLXDTSA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N(C)[C@@H](C)C1CC1 ZINC000843762279 632051324 /nfs/dbraw/zinc/05/13/24/632051324.db2.gz VVXQMBBHENUUSL-VIFPVBQESA-N 0 0 280.299 2.913 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC1CCSCC1 ZINC000843784354 632055639 /nfs/dbraw/zinc/05/56/39/632055639.db2.gz ISDCNNSONKTCTK-UHFFFAOYSA-N 0 0 298.339 2.668 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2)C1 ZINC000814384100 632058028 /nfs/dbraw/zinc/05/80/28/632058028.db2.gz BOTGPUWKABBHBV-JQWIXIFHSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000843805864 632059393 /nfs/dbraw/zinc/05/93/93/632059393.db2.gz JPIXWOCGTZFFDL-AOOOYVTPSA-N 0 0 292.310 2.770 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1C[C@@H](C)[C@H](C)C1 ZINC000843812472 632060306 /nfs/dbraw/zinc/06/03/06/632060306.db2.gz XSKQCUHQKOZYIP-RKDXNWHRSA-N 0 0 280.299 2.770 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)[C@@H](C)C1 ZINC000843812470 632060679 /nfs/dbraw/zinc/06/06/79/632060679.db2.gz XSKQCUHQKOZYIP-IUCAKERBSA-N 0 0 280.299 2.770 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC1(C)CC1 ZINC000843814861 632061027 /nfs/dbraw/zinc/06/10/27/632061027.db2.gz JFKHMAFDADHIHK-UHFFFAOYSA-N 0 0 266.272 2.572 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)OCc2ccccc2[N+](=O)[O-])C1 ZINC000814563210 632092913 /nfs/dbraw/zinc/09/29/13/632092913.db2.gz HCDGELLAUXSNMU-AAEUAGOBSA-N 0 0 293.319 2.843 20 5 CFBDRN CC(C)(C)C1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000840324325 632101444 /nfs/dbraw/zinc/10/14/44/632101444.db2.gz ZAKGSLLOWNBMPT-UHFFFAOYSA-N 0 0 279.296 2.985 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OCc1ncccn1 ZINC000814773165 632121134 /nfs/dbraw/zinc/12/11/34/632121134.db2.gz DLSQNIMPOPBCGI-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN CCCCOC(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814775827 632121990 /nfs/dbraw/zinc/12/19/90/632121990.db2.gz YTUUGECEVCSEMK-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN C[C@H]1CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000844264847 632148879 /nfs/dbraw/zinc/14/88/79/632148879.db2.gz PQTFVRKGJSGPPR-UWVGGRQHSA-N 0 0 266.297 2.669 20 5 CFBDRN Cc1nc(Sc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[nH]c1C ZINC000814903722 632157215 /nfs/dbraw/zinc/15/72/15/632157215.db2.gz XLHZAZVOSPRZAW-UHFFFAOYSA-N 0 0 294.292 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H]2CC2CCC2)cc1 ZINC000814958188 632180018 /nfs/dbraw/zinc/18/00/18/632180018.db2.gz DMYJTOVYXHNRRV-OAHLLOKOSA-N 0 0 276.336 2.990 20 5 CFBDRN COC/C(C)=C/C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000844371499 632183251 /nfs/dbraw/zinc/18/32/51/632183251.db2.gz MVYLCYKSDORDFV-VMPITWQZSA-N 0 0 284.699 2.779 20 5 CFBDRN CC[C@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000844389734 632191171 /nfs/dbraw/zinc/19/11/71/632191171.db2.gz PQPBUXQUVOQUBI-MFKMUULPSA-N 0 0 282.315 2.733 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cc(OC)c(OC)cc2[N+](=O)[O-])C1 ZINC000840328443 632193194 /nfs/dbraw/zinc/19/31/94/632193194.db2.gz ZVMFKOTXUVNMSW-AOOOYVTPSA-N 0 0 296.323 2.591 20 5 CFBDRN C[C@H](NCc1cnn(C)c1Cl)c1ccccc1[N+](=O)[O-] ZINC000815136088 632213636 /nfs/dbraw/zinc/21/36/36/632213636.db2.gz YGXRDUHDPXAGBV-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN CCCc1[nH]nc(C(=O)O[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000815244024 632238081 /nfs/dbraw/zinc/23/80/81/632238081.db2.gz PITMKKBYMRILSP-IUCAKERBSA-N 0 0 281.312 2.616 20 5 CFBDRN CCCc1[nH]nc(C(=O)O[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000815244025 632238318 /nfs/dbraw/zinc/23/83/18/632238318.db2.gz PITMKKBYMRILSP-RKDXNWHRSA-N 0 0 281.312 2.616 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000844552581 632244768 /nfs/dbraw/zinc/24/47/68/632244768.db2.gz RWEZHDDVMYDMIC-AWEZNQCLSA-N 0 0 298.289 2.627 20 5 CFBDRN C[C@H](C(=O)OCc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000815493733 632283367 /nfs/dbraw/zinc/28/33/67/632283367.db2.gz NQVNJTOFKXMZGH-MRVPVSSYSA-N 0 0 273.235 2.929 20 5 CFBDRN CS[C@@H]1CCCC[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000844718287 632289015 /nfs/dbraw/zinc/28/90/15/632289015.db2.gz GCEHABZVGJTSIJ-GHMZBOCLSA-N 0 0 288.413 2.612 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCc1occc1C ZINC000816101865 632373081 /nfs/dbraw/zinc/37/30/81/632373081.db2.gz LDPKYSBQNNNBEC-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CC(=O)Cc1ccc(OC(=O)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000816209849 632392729 /nfs/dbraw/zinc/39/27/29/632392729.db2.gz PYSPBWKOHFXFEL-UHFFFAOYSA-N 0 0 299.282 2.946 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000816225447 632398775 /nfs/dbraw/zinc/39/87/75/632398775.db2.gz SPEVCHDEXJNQRF-GIPNMCIBSA-N 0 0 280.324 2.915 20 5 CFBDRN Cc1cc(COC(=O)Cc2ccc([N+](=O)[O-])cc2)co1 ZINC000816241087 632406495 /nfs/dbraw/zinc/40/64/95/632406495.db2.gz HEQKDWCVJQTYFN-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN CS[C@H](C)CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000156734512 650066841 /nfs/dbraw/zinc/06/68/41/650066841.db2.gz BPXWXKIZPJBJRW-SECBINFHSA-N 0 0 269.322 2.780 20 5 CFBDRN C[C@@H]1COCCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000845508159 632452568 /nfs/dbraw/zinc/45/25/68/632452568.db2.gz FNESEHCVSVGDRB-QMMMGPOBSA-N 0 0 288.706 2.860 20 5 CFBDRN Cc1ccc(COC(=O)c2cccc([N+](=O)[O-])c2)o1 ZINC000816427904 632454966 /nfs/dbraw/zinc/45/49/66/632454966.db2.gz RLOFAXYGNFNRDD-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccc(C)o1 ZINC000816428237 632455080 /nfs/dbraw/zinc/45/50/80/632455080.db2.gz HAIIOYFVDBYJBG-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@@H](COC(=O)/C=C/C1CCCCCC1)[N+](=O)[O-] ZINC000845577609 632468712 /nfs/dbraw/zinc/46/87/12/632468712.db2.gz XTRTZUQLUWFXJC-FBOQAHMBSA-N 0 0 255.314 2.721 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1c1ccc([N+](=O)[O-])cc1 ZINC000845650626 632504854 /nfs/dbraw/zinc/50/48/54/632504854.db2.gz OJBQYZIMRVVBFG-RISCZKNCSA-N 0 0 264.325 2.989 20 5 CFBDRN CC[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)[C@@H](C)CO1 ZINC000845662595 632509593 /nfs/dbraw/zinc/50/95/93/632509593.db2.gz XYLYNVNCTNWBRS-WPRPVWTQSA-N 0 0 286.278 2.877 20 5 CFBDRN Cc1ccc(N2CCC([C@H](C)CO)CC2)c([N+](=O)[O-])c1 ZINC000845708782 632530438 /nfs/dbraw/zinc/53/04/38/632530438.db2.gz YWPRPOFHWROTAP-GFCCVEGCSA-N 0 0 278.352 2.748 20 5 CFBDRN C[C@H](COCC1CC1)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000845724859 632533360 /nfs/dbraw/zinc/53/33/60/632533360.db2.gz PSLUNGPUCSUJKS-SECBINFHSA-N 0 0 295.295 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H]3[C@@H](C2)C3(F)F)c1 ZINC000845753622 632552361 /nfs/dbraw/zinc/55/23/61/632552361.db2.gz LBNOLGODMNWQAL-DTORHVGOSA-N 0 0 254.236 2.605 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2C[C@H]3[C@@H](C2)C3(F)F)c(F)c1 ZINC000845755659 632553197 /nfs/dbraw/zinc/55/31/97/632553197.db2.gz YNWUFQXBXQHXRS-KNVOCYPGSA-N 0 0 276.189 2.574 20 5 CFBDRN O=C(OC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cn1 ZINC000845764103 632556906 /nfs/dbraw/zinc/55/69/06/632556906.db2.gz FUQPRWJBUQCWDR-OUAUKWLOSA-N 0 0 276.292 2.583 20 5 CFBDRN O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)[C@H]1CC12CC2 ZINC000817231474 632576346 /nfs/dbraw/zinc/57/63/46/632576346.db2.gz UFOMNLPEYCMNLS-SECBINFHSA-N 0 0 288.259 2.579 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000817234418 632578280 /nfs/dbraw/zinc/57/82/80/632578280.db2.gz JEUQPTWGKSXMFF-JOYOIKCWSA-N 0 0 261.277 2.999 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCCOC(C)C ZINC000817239410 632581329 /nfs/dbraw/zinc/58/13/29/632581329.db2.gz SEGAEKNVMADLAI-UHFFFAOYSA-N 0 0 281.308 2.793 20 5 CFBDRN C[C@H](C(=O)NOCCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000817383403 632617806 /nfs/dbraw/zinc/61/78/06/632617806.db2.gz LRWLRBVBHJUMCE-JTQLQIEISA-N 0 0 278.308 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H]3C[C@@H]32)c2nonc21 ZINC000840346376 632685025 /nfs/dbraw/zinc/68/50/25/632685025.db2.gz CEQGKVPJPQQDRD-VGMNWLOBSA-N 0 0 274.280 2.732 20 5 CFBDRN C[C@H](Nc1cccc(F)c1[N+](=O)[O-])c1ccn(C)n1 ZINC000840346755 632685943 /nfs/dbraw/zinc/68/59/43/632685943.db2.gz KNELOTWNFOKONK-QMMMGPOBSA-N 0 0 264.260 2.641 20 5 CFBDRN CCO[C@H]1C[C@@H]1COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817730964 632696729 /nfs/dbraw/zinc/69/67/29/632696729.db2.gz LBOGSMZBIJYYMJ-RISCZKNCSA-N 0 0 293.319 2.793 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000817734582 632697900 /nfs/dbraw/zinc/69/79/00/632697900.db2.gz AOJGAVOTJYIRMI-TXEJJXNPSA-N 0 0 293.319 2.936 20 5 CFBDRN COCC1(COC(=O)c2ccccc2[N+](=O)[O-])CCCC1 ZINC000817747141 632701626 /nfs/dbraw/zinc/70/16/26/632701626.db2.gz UZRKYRYVLWVKCE-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN COCC1(COC(=O)c2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000817746821 632701655 /nfs/dbraw/zinc/70/16/55/632701655.db2.gz RPBRRLHQWVKXEO-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN CC[C@H](C)C(=O)OCc1cc([N+](=O)[O-])ccc1OC ZINC000817815241 632712917 /nfs/dbraw/zinc/71/29/17/632712917.db2.gz VKGRFDMRUXJIAZ-VIFPVBQESA-N 0 0 267.281 2.693 20 5 CFBDRN Cc1nn(C(C)C)cc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000846762572 632790230 /nfs/dbraw/zinc/79/02/30/632790230.db2.gz HSWIATMGAMIUFS-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN C/C(=C\C(=O)NCC(C)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000818261456 632820099 /nfs/dbraw/zinc/82/00/99/632820099.db2.gz PIRFRAAOBNBGIT-VQHVLOKHSA-N 0 0 284.262 2.770 20 5 CFBDRN C/C(=C/C(=O)NCC[C@H](C)F)c1ccc([N+](=O)[O-])cc1 ZINC000818297218 632824530 /nfs/dbraw/zinc/82/45/30/632824530.db2.gz HIYAGBRTHOOTGO-JUDLJHIGSA-N 0 0 280.299 2.862 20 5 CFBDRN COCC[C@@H](C)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000840357671 632828054 /nfs/dbraw/zinc/82/80/54/632828054.db2.gz KZNDSRQYWXPEGH-SECBINFHSA-N 0 0 286.303 2.827 20 5 CFBDRN COCC[C@@H](C)CNc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000840358114 632831550 /nfs/dbraw/zinc/83/15/50/632831550.db2.gz MSZHZMFWEKMKOB-SNVBAGLBSA-N 0 0 298.339 2.697 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCO[C@H](C2CCC2)C1 ZINC000840358988 632838080 /nfs/dbraw/zinc/83/80/80/632838080.db2.gz LQHLKHGXIYRIJM-ZDUSSCGKSA-N 0 0 280.299 2.739 20 5 CFBDRN CO[C@@H]1CCC[C@@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000818417589 632841355 /nfs/dbraw/zinc/84/13/55/632841355.db2.gz QAKDQLZPXJTDSB-ZIAGYGMSSA-N 0 0 293.319 2.638 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000847012947 632880164 /nfs/dbraw/zinc/88/01/64/632880164.db2.gz XDWIPSKDLOLUHP-SECBINFHSA-N 0 0 278.283 2.772 20 5 CFBDRN Cc1noc(CN(C)Cc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000847091563 632917945 /nfs/dbraw/zinc/91/79/45/632917945.db2.gz HTEJLGIDBOGIPT-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@@H]2C[C@H]3C[C@H]3C2)cc1 ZINC000819032817 632935048 /nfs/dbraw/zinc/93/50/48/632935048.db2.gz JJMABSSJIYDMHC-DOFRTFSJSA-N 0 0 277.349 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc2c(cnn2CN(CC(F)F)C2CC2)c1 ZINC000106856967 632986879 /nfs/dbraw/zinc/98/68/79/632986879.db2.gz PIZZNNSNWOHOLY-UHFFFAOYSA-N 0 0 296.277 2.632 20 5 CFBDRN CC/C=C(\F)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000867032682 632994723 /nfs/dbraw/zinc/99/47/23/632994723.db2.gz UODPJAQDGYRHAY-QCDXTXTGSA-N 0 0 266.272 2.517 20 5 CFBDRN C[C@H](COC(=O)c1cccc(C(C)(C)C)c1)[N+](=O)[O-] ZINC000847714448 633051841 /nfs/dbraw/zinc/05/18/41/633051841.db2.gz URYNEUAYTJGLCG-SNVBAGLBSA-N 0 0 265.309 2.806 20 5 CFBDRN CC[C@@H](CCC(C)C)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000847742104 633064865 /nfs/dbraw/zinc/06/48/65/633064865.db2.gz HHXLMBWXTQFGMQ-JTQLQIEISA-N 0 0 283.328 2.700 20 5 CFBDRN COC[C@@H](C)CCOC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000847873162 633121381 /nfs/dbraw/zinc/12/13/81/633121381.db2.gz SLBZCEMENLPOKE-JTQLQIEISA-N 0 0 281.308 2.733 20 5 CFBDRN O=C(OCc1cc(C2CC2)n[nH]1)c1ccccc1[N+](=O)[O-] ZINC000848015708 633188303 /nfs/dbraw/zinc/18/83/03/633188303.db2.gz QQDJWQQRSZSCQT-UHFFFAOYSA-N 0 0 287.275 2.552 20 5 CFBDRN CC(C)(CCn1cc([N+](=O)[O-])cc(F)c1=O)C1CC1 ZINC000848438413 633259871 /nfs/dbraw/zinc/25/98/71/633259871.db2.gz SSUBAFMAIDRQMG-UHFFFAOYSA-N 0 0 268.288 2.722 20 5 CFBDRN CC(=O)N1CCC(SCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000848445455 633260420 /nfs/dbraw/zinc/26/04/20/633260420.db2.gz NCQHNJMYDXZVIE-UHFFFAOYSA-N 0 0 294.376 2.839 20 5 CFBDRN C[C@H](N[C@H]1CCCn2ccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000848551545 633286607 /nfs/dbraw/zinc/28/66/07/633286607.db2.gz PNXISQRMLOYJHQ-FZMZJTMJSA-N 0 0 286.335 2.977 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000848664878 633313072 /nfs/dbraw/zinc/31/30/72/633313072.db2.gz DCDPKTYCBOIXTE-YGRLFVJLSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1ccc(N2CC3(CC3(F)F)C2)c([N+](=O)[O-])c1 ZINC000848743726 633332057 /nfs/dbraw/zinc/33/20/57/633332057.db2.gz XMJSDDWJHHQVSD-UHFFFAOYSA-N 0 0 254.236 2.749 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H](C2CC2)[C@@H]2CCCCO2)c1 ZINC000848744451 633332115 /nfs/dbraw/zinc/33/21/15/633332115.db2.gz MZGVWESOVVIOOZ-GJZGRUSLSA-N 0 0 291.351 2.937 20 5 CFBDRN Nc1ccc(N[C@@H](C2CC2)[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000848744468 633332299 /nfs/dbraw/zinc/33/22/99/633332299.db2.gz NLLWCNSOTFBQKC-CABCVRRESA-N 0 0 291.351 2.937 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])cc2C(C)=O)CC1 ZINC000848757448 633336668 /nfs/dbraw/zinc/33/66/68/633336668.db2.gz XYLNFYPUBAVUSC-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN CC1CCC(COC(=O)c2ccnc(N)c2[N+](=O)[O-])CC1 ZINC000848786745 633343659 /nfs/dbraw/zinc/34/36/59/633343659.db2.gz JMOYFEZPOHMANE-UHFFFAOYSA-N 0 0 293.323 2.555 20 5 CFBDRN C[C@H]1CCC[C@@H](COC(=O)c2ccnc(N)c2[N+](=O)[O-])C1 ZINC000848787714 633343713 /nfs/dbraw/zinc/34/37/13/633343713.db2.gz RRZXSOWVOZAWNJ-VHSXEESVSA-N 0 0 293.323 2.555 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000819604244 633396147 /nfs/dbraw/zinc/39/61/47/633396147.db2.gz TUZPGZGGEBTSEE-JQWIXIFHSA-N 0 0 292.310 2.900 20 5 CFBDRN C[C@H](NC(=S)NCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000849254641 633592945 /nfs/dbraw/zinc/59/29/45/633592945.db2.gz FKEYXINVJDUTHT-VIFPVBQESA-N 0 0 279.365 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNC(=S)NCC2CC2)c1 ZINC000849260072 633595781 /nfs/dbraw/zinc/59/57/81/633595781.db2.gz UUPNBJAYAIMOPF-UHFFFAOYSA-N 0 0 299.783 2.622 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=S)NCC1CC1 ZINC000849263785 633597975 /nfs/dbraw/zinc/59/79/75/633597975.db2.gz XNYVUOPORBLGRV-UHFFFAOYSA-N 0 0 295.364 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2Cc1cncs1 ZINC000849313392 633612986 /nfs/dbraw/zinc/61/29/86/633612986.db2.gz XFQODSBJDNUMNL-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN Cc1cccc(C(=O)O[C@H]2COC(C)(C)C2)c1[N+](=O)[O-] ZINC000849617525 633641353 /nfs/dbraw/zinc/64/13/53/633641353.db2.gz KZWXOLDQANZSMM-SNVBAGLBSA-N 0 0 279.292 2.628 20 5 CFBDRN CC(C)=CCCOC(=O)COc1ccccc1[N+](=O)[O-] ZINC000849630205 633646613 /nfs/dbraw/zinc/64/66/13/633646613.db2.gz ZHLJKRSETUBHOF-UHFFFAOYSA-N 0 0 279.292 2.873 20 5 CFBDRN O=C(OCCCC1CCC1)c1ccc([N+](=O)[O-])o1 ZINC000849630304 633647165 /nfs/dbraw/zinc/64/71/65/633647165.db2.gz WNPMULCVBXJOLH-UHFFFAOYSA-N 0 0 253.254 2.925 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849648961 633657001 /nfs/dbraw/zinc/65/70/01/633657001.db2.gz PIEFXAIPLFZLKD-MRVPVSSYSA-N 0 0 255.245 2.823 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)C1(C2CC2)CC1 ZINC000885732735 636109927 /nfs/dbraw/zinc/10/99/27/636109927.db2.gz GJGLEPZIMVXDCE-UHFFFAOYSA-N 0 0 291.303 2.707 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000849735206 633695423 /nfs/dbraw/zinc/69/54/23/633695423.db2.gz RZIDLBVWSXRZDG-SNVBAGLBSA-N 0 0 279.292 2.675 20 5 CFBDRN C/C=C(/C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849740274 633696488 /nfs/dbraw/zinc/69/64/88/633696488.db2.gz YCGMSVXUQWBYIS-BAQGIRSFSA-N 0 0 253.229 2.743 20 5 CFBDRN CC1(C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000849743383 633698521 /nfs/dbraw/zinc/69/85/21/633698521.db2.gz FHFRYXRNCUJHPJ-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN CC(C)(C)C[C@H](O)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849746395 633699996 /nfs/dbraw/zinc/69/99/96/633699996.db2.gz NIOWZUULPBHNHS-LBPRGKRZSA-N 0 0 299.298 2.574 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)OCC[C@H](C)O ZINC000849750395 633701249 /nfs/dbraw/zinc/70/12/49/633701249.db2.gz OXQFGKNKAVNTAD-VIFPVBQESA-N 0 0 299.348 2.635 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849760251 633706779 /nfs/dbraw/zinc/70/67/79/633706779.db2.gz DAFDAYUYDNUCBJ-NSHDSACASA-N 0 0 273.235 2.771 20 5 CFBDRN CC(C)c1ccc(C(=O)OC[C@@H](O)C(C)C)cc1[N+](=O)[O-] ZINC000849761253 633707561 /nfs/dbraw/zinc/70/75/61/633707561.db2.gz ORBUSGFZUPXNHE-CQSZACIVSA-N 0 0 295.335 2.892 20 5 CFBDRN CC(C)/C=C/C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849764554 633709193 /nfs/dbraw/zinc/70/91/93/633709193.db2.gz PYWSPZHKPQBKJH-ZZXKWVIFSA-N 0 0 267.256 2.989 20 5 CFBDRN CC(C)(C)n1cc(COC(=O)CCC(C)(C)[N+](=O)[O-])cn1 ZINC000849790883 633721183 /nfs/dbraw/zinc/72/11/83/633721183.db2.gz CGLIOUITFYFBFR-UHFFFAOYSA-N 0 0 297.355 2.517 20 5 CFBDRN CC(C)O[C@@]1(COc2ccc([N+](=O)[O-])c(F)c2)CCOC1 ZINC000849880914 633751325 /nfs/dbraw/zinc/75/13/25/633751325.db2.gz UTJFPSLOCGEIMO-AWEZNQCLSA-N 0 0 299.298 2.697 20 5 CFBDRN Cc1nc(C)c(COc2c(C)c(C)ncc2[N+](=O)[O-])o1 ZINC000849907539 633760425 /nfs/dbraw/zinc/76/04/25/633760425.db2.gz CHCDTICNXJZFGC-UHFFFAOYSA-N 0 0 277.280 2.790 20 5 CFBDRN CCc1cnc(COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)o1 ZINC000849956986 633775338 /nfs/dbraw/zinc/77/53/38/633775338.db2.gz WKZUBARHCPXUAH-UHFFFAOYSA-N 0 0 293.235 2.632 20 5 CFBDRN CSC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000849986672 633783739 /nfs/dbraw/zinc/78/37/39/633783739.db2.gz CLOBLYFZLNKBAG-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN C[C@H](CC(=O)OCc1ccccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000850078328 633826110 /nfs/dbraw/zinc/82/61/10/633826110.db2.gz WJMSDYARAPQYGO-BXUZGUMPSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@@H](OC(=O)CCCF)c1cccc([N+](=O)[O-])c1 ZINC000850192281 633880330 /nfs/dbraw/zinc/88/03/30/633880330.db2.gz DZWGYCCJXLBUPQ-SECBINFHSA-N 0 0 255.245 2.949 20 5 CFBDRN Cc1c(COC(=O)CCCF)cccc1[N+](=O)[O-] ZINC000850193532 633882378 /nfs/dbraw/zinc/88/23/78/633882378.db2.gz IGMMAMBCGOLLJM-UHFFFAOYSA-N 0 0 255.245 2.696 20 5 CFBDRN C[C@@H]1CO[C@@H](C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000885573928 633886815 /nfs/dbraw/zinc/88/68/15/633886815.db2.gz BKTNIUBULKTURY-QPUJVOFHSA-N 0 0 299.710 2.716 20 5 CFBDRN CCOC1(C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000850290875 633928452 /nfs/dbraw/zinc/92/84/52/633928452.db2.gz RNHFQJVJQNPFFL-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)[NH2+]CCOC1CCC1 ZINC000850452313 633998980 /nfs/dbraw/zinc/99/89/80/633998980.db2.gz OJXQSRPODFQGGS-LLVKDONJSA-N 0 0 294.351 2.823 20 5 CFBDRN C[C@@H]1CO[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000885576811 634052900 /nfs/dbraw/zinc/05/29/00/634052900.db2.gz RUGKTQBTJZLCLC-UFBFGSQYSA-N 0 0 299.710 2.716 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSc1cccc[n+]1[O-] ZINC000007507281 634063780 /nfs/dbraw/zinc/06/37/80/634063780.db2.gz WSDNVBQLMXCZQK-UHFFFAOYSA-N 0 0 262.290 2.521 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CCC2(C)C)c([N+](=O)[O-])c1 ZINC000850763480 634082623 /nfs/dbraw/zinc/08/26/23/634082623.db2.gz AUQHWNCOGLYNBY-JTQLQIEISA-N 0 0 279.292 2.945 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCC[C@H]1Cc1ccccc1)[N+](=O)[O-] ZINC000851037350 634190574 /nfs/dbraw/zinc/19/05/74/634190574.db2.gz UGAHPLKRAOXGSY-VHDGCEQUSA-N 0 0 291.347 2.854 20 5 CFBDRN CC(C)=C[C@H]1[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])C1(C)C ZINC000851122435 634233752 /nfs/dbraw/zinc/23/37/52/634233752.db2.gz CYAGCKJPEIAKET-WDEREUQCSA-N 0 0 269.341 2.823 20 5 CFBDRN CC(C)(COC(=O)c1ccccc1Cl)[N+](=O)[O-] ZINC000851122253 634233882 /nfs/dbraw/zinc/23/38/82/634233882.db2.gz CDXJGFRXKLVUGN-UHFFFAOYSA-N 0 0 257.673 2.552 20 5 CFBDRN Cc1ccc(OCCCC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851123787 634234711 /nfs/dbraw/zinc/23/47/11/634234711.db2.gz VSEALONMRZSYPN-UHFFFAOYSA-N 0 0 295.335 2.753 20 5 CFBDRN CC(C)(COC(=O)Cc1c(F)cccc1Cl)[N+](=O)[O-] ZINC000851124022 634234867 /nfs/dbraw/zinc/23/48/67/634234867.db2.gz ZOOMWDXWVWLGNO-UHFFFAOYSA-N 0 0 289.690 2.620 20 5 CFBDRN Cc1ccsc1[C@@H]1C[C@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125305 634235351 /nfs/dbraw/zinc/23/53/51/634235351.db2.gz BEFZZQPFJMJFBT-NXEZZACHSA-N 0 0 283.349 2.759 20 5 CFBDRN CC[C@@H](Oc1ccccc1F)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125312 634235693 /nfs/dbraw/zinc/23/56/93/634235693.db2.gz BHTIULRMLQZUJS-LLVKDONJSA-N 0 0 299.298 2.582 20 5 CFBDRN CC(C)(COC(=O)C1CCC(C)(C)CC1)[N+](=O)[O-] ZINC000851125734 634235846 /nfs/dbraw/zinc/23/58/46/634235846.db2.gz ICOACFPTXIOLMP-UHFFFAOYSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1cc(C)cc(C2(C(=O)OCC(C)(C)[N+](=O)[O-])CC2)c1 ZINC000851124999 634235880 /nfs/dbraw/zinc/23/58/80/634235880.db2.gz SSZDPQMHBRETBP-UHFFFAOYSA-N 0 0 291.347 2.934 20 5 CFBDRN C[C@@H](Cc1cccc(F)c1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851127032 634236453 /nfs/dbraw/zinc/23/64/53/634236453.db2.gz XFLPWUDPEHWMMC-JTQLQIEISA-N 0 0 283.299 2.603 20 5 CFBDRN COc1cccc([C@H](C)CC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851126468 634236736 /nfs/dbraw/zinc/23/67/36/634236736.db2.gz PNZXFJGLXCLCIW-LLVKDONJSA-N 0 0 295.335 2.787 20 5 CFBDRN CC(C)Oc1cccc(CC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851126154 634236875 /nfs/dbraw/zinc/23/68/75/634236875.db2.gz OMSHYQTTZYWMST-UHFFFAOYSA-N 0 0 295.335 2.615 20 5 CFBDRN COc1cccc([C@@H](C)CC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851126469 634236879 /nfs/dbraw/zinc/23/68/79/634236879.db2.gz PNZXFJGLXCLCIW-NSHDSACASA-N 0 0 295.335 2.787 20 5 CFBDRN C[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1cccc(F)c1 ZINC000851127422 634237423 /nfs/dbraw/zinc/23/74/23/634237423.db2.gz XEXXODQLYGJDFL-SECBINFHSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1F ZINC000851127437 634237811 /nfs/dbraw/zinc/23/78/11/634237811.db2.gz XQJDKURYWXLBDX-VIFPVBQESA-N 0 0 269.272 2.528 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1OCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851127977 634237870 /nfs/dbraw/zinc/23/78/70/634237870.db2.gz CGLPERAMLQAYQW-NEPJUHHUSA-N 0 0 287.356 2.570 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])CC1 ZINC000851128371 634237941 /nfs/dbraw/zinc/23/79/41/634237941.db2.gz SYFFCWATVVWGAV-XYPYZODXSA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)(COC(=O)c1coc2cc(F)ccc21)[N+](=O)[O-] ZINC000851129396 634238340 /nfs/dbraw/zinc/23/83/40/634238340.db2.gz MEOLRVXRAHAYEU-UHFFFAOYSA-N 0 0 281.239 2.784 20 5 CFBDRN Cc1c(C(=O)OCC(C)(C)[N+](=O)[O-])ccn1C(C)C ZINC000851128891 634238386 /nfs/dbraw/zinc/23/83/86/634238386.db2.gz HPGVWGAYHOLCNH-UHFFFAOYSA-N 0 0 268.313 2.590 20 5 CFBDRN CC(C)(COC(=O)[C@]1(c2ccccc2)CC1(C)C)[N+](=O)[O-] ZINC000851129905 634238399 /nfs/dbraw/zinc/23/83/99/634238399.db2.gz LXYYOXNRBRRQMI-MRXNPFEDSA-N 0 0 291.347 2.953 20 5 CFBDRN CC(C)(COC(=O)C[C@@]12CCCC[C@@H]1C2(F)F)[N+](=O)[O-] ZINC000851128767 634238512 /nfs/dbraw/zinc/23/85/12/634238512.db2.gz AKILUHCDTUESGO-CABZTGNLSA-N 0 0 291.294 2.801 20 5 CFBDRN CC(C)(COC(=O)c1cccc2c1CCCC2)[N+](=O)[O-] ZINC000851129193 634238713 /nfs/dbraw/zinc/23/87/13/634238713.db2.gz KFOKQTYMTQUBET-UHFFFAOYSA-N 0 0 277.320 2.778 20 5 CFBDRN CC(C)c1occc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851130322 634239748 /nfs/dbraw/zinc/23/97/48/634239748.db2.gz TZBZOGFYOMTNKF-UHFFFAOYSA-N 0 0 255.270 2.615 20 5 CFBDRN O=C(OC[C@H]1CCC[C@@H](C(F)(F)F)C1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000851158718 634252103 /nfs/dbraw/zinc/25/21/03/634252103.db2.gz ZRXLBEWRVVLGMT-SGIHWFKDSA-N 0 0 295.257 2.564 20 5 CFBDRN CSCC(C)(C)COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000851173951 634259430 /nfs/dbraw/zinc/25/94/30/634259430.db2.gz VTMQCGQIKGYKLE-UHFFFAOYSA-N 0 0 298.364 2.723 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2C[C@H]3C[C@H]3C2)c1 ZINC000851411680 634356749 /nfs/dbraw/zinc/35/67/49/634356749.db2.gz DAIYEWFRGYUGEH-RTCCRHLQSA-N 0 0 291.303 2.806 20 5 CFBDRN O=C(OC[C@H]1C[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000851413784 634357356 /nfs/dbraw/zinc/35/73/56/634357356.db2.gz RYMYSOMGJOCYEP-WLLOZRIZSA-N 0 0 261.277 2.798 20 5 CFBDRN COc1cccc(COC(=O)CCC(C)(C)[N+](=O)[O-])c1C ZINC000851461951 634375111 /nfs/dbraw/zinc/37/51/11/634375111.db2.gz UVSMLIJALNFCNJ-UHFFFAOYSA-N 0 0 295.335 2.882 20 5 CFBDRN Cc1noc(CNc2ccc(F)c([C@@H](C)O)c2)c1[N+](=O)[O-] ZINC000853062276 634893764 /nfs/dbraw/zinc/89/37/64/634893764.db2.gz PCWCNOOTWGOJAC-MRVPVSSYSA-N 0 0 295.270 2.696 20 5 CFBDRN COc1cc(N(C)Cc2ccncc2)c([N+](=O)[O-])cc1C ZINC000853134181 634917129 /nfs/dbraw/zinc/91/71/29/634917129.db2.gz ROEQKOPCLAFWPQ-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CC(C)(COC(=O)c1ccsc1Cl)[N+](=O)[O-] ZINC000853133838 634917503 /nfs/dbraw/zinc/91/75/03/634917503.db2.gz HXFJWXHNCKTPHN-UHFFFAOYSA-N 0 0 263.702 2.614 20 5 CFBDRN Cc1c(Cn2ccc3ccn(C)c3c2=O)cccc1[N+](=O)[O-] ZINC000111182352 634946171 /nfs/dbraw/zinc/94/61/71/634946171.db2.gz RFKWIZRSIGTIAR-UHFFFAOYSA-N 0 0 297.314 2.605 20 5 CFBDRN CN(CCn1cccn1)c1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000853315420 634966116 /nfs/dbraw/zinc/96/61/16/634966116.db2.gz VJORYYMPRXPVOF-UHFFFAOYSA-N 0 0 296.277 2.865 20 5 CFBDRN CO[C@H](CNc1cc(C)sc1[N+](=O)[O-])C(F)(F)F ZINC000853331417 634971316 /nfs/dbraw/zinc/97/13/16/634971316.db2.gz RPGAKEMVUASKJO-SSDOTTSWSA-N 0 0 284.259 2.954 20 5 CFBDRN COc1cc(N2CCC[C@H]2C)c([N+](=O)[O-])cc1C ZINC000853394601 634992258 /nfs/dbraw/zinc/99/22/58/634992258.db2.gz UTVUWEZQLZWYNI-SNVBAGLBSA-N 0 0 250.298 2.901 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1C[C@H]2CC[C@@H](C1)O2 ZINC000853397682 634993682 /nfs/dbraw/zinc/99/36/82/634993682.db2.gz UFLUIEUZPJMUFD-AOOOYVTPSA-N 0 0 284.262 2.900 20 5 CFBDRN COc1cc(N2CCC(F)(F)C2)c([N+](=O)[O-])cc1C ZINC000853468948 635008463 /nfs/dbraw/zinc/00/84/63/635008463.db2.gz JJAJBWMDDHKYRN-UHFFFAOYSA-N 0 0 272.251 2.757 20 5 CFBDRN CCOC(=O)N1CC[C@H](Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000853482643 635013129 /nfs/dbraw/zinc/01/31/29/635013129.db2.gz IAHKXQVNNMNBKQ-VIFPVBQESA-N 0 0 299.352 2.607 20 5 CFBDRN CCC(CC)CCCN(C)Cn1nccc1[N+](=O)[O-] ZINC000853590337 635043384 /nfs/dbraw/zinc/04/33/84/635043384.db2.gz UJUOQPBNLSKNSJ-UHFFFAOYSA-N 0 0 268.361 2.897 20 5 CFBDRN Cc1cc(NCc2ocnc2C)c([N+](=O)[O-])s1 ZINC000853640365 635057340 /nfs/dbraw/zinc/05/73/40/635057340.db2.gz CIORXZILQGVGBE-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN Cn1cncc1CCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000853657998 635064112 /nfs/dbraw/zinc/06/41/12/635064112.db2.gz BUPUWIHFBMRRGD-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN CO[C@@H](COc1ccc(N)c([N+](=O)[O-])c1)C1CCCC1 ZINC000853663070 635066307 /nfs/dbraw/zinc/06/63/07/635066307.db2.gz QWEZISLZZOXHDA-AWEZNQCLSA-N 0 0 280.324 2.761 20 5 CFBDRN CCCC(N)=NOCc1c(F)cc([N+](=O)[O-])cc1F ZINC000853666477 635067531 /nfs/dbraw/zinc/06/75/31/635067531.db2.gz MZEPFQGDVFRZQH-UHFFFAOYSA-N 0 0 273.239 2.672 20 5 CFBDRN CC1(C)SC(=O)N(Cc2ccc(F)cc2[N+](=O)[O-])C1=O ZINC000853675910 635071466 /nfs/dbraw/zinc/07/14/66/635071466.db2.gz FWYSTMLZMYJKHD-UHFFFAOYSA-N 0 0 298.295 2.708 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCc1oc(C)nc1C ZINC000853681715 635073305 /nfs/dbraw/zinc/07/33/05/635073305.db2.gz YEFUQXJCCYXLKQ-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN COC(OC)[C@H](C)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000853681180 635073316 /nfs/dbraw/zinc/07/33/16/635073316.db2.gz PHMUKRCCFCQMJQ-ZETCQYMHSA-N 0 0 297.336 2.624 20 5 CFBDRN COC(OC)[C@@H](C)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000853681905 635073554 /nfs/dbraw/zinc/07/35/54/635073554.db2.gz VYWCUULIVMAQHW-SECBINFHSA-N 0 0 291.307 2.562 20 5 CFBDRN C[C@@H]1C[C@@H]1C(N)=NOCc1c(F)cc([N+](=O)[O-])cc1F ZINC000853731784 635087252 /nfs/dbraw/zinc/08/72/52/635087252.db2.gz RCXVVTQYPSCZPI-SVRRBLITSA-N 0 0 285.250 2.527 20 5 CFBDRN C[C@H]1C[C@H]1C(N)=NOCc1c(F)cc([N+](=O)[O-])cc1F ZINC000853731782 635087566 /nfs/dbraw/zinc/08/75/66/635087566.db2.gz RCXVVTQYPSCZPI-POYBYMJQSA-N 0 0 285.250 2.527 20 5 CFBDRN COCC1(C2CCC2)CN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000853811043 635113052 /nfs/dbraw/zinc/11/30/52/635113052.db2.gz QXAFAPABVZFMGO-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN COCCN(CCF)c1ccc([N+](=O)[O-])cc1Cl ZINC000853819319 635116981 /nfs/dbraw/zinc/11/69/81/635116981.db2.gz QUAQVEBMGZDXAG-UHFFFAOYSA-N 0 0 276.695 2.671 20 5 CFBDRN CCc1nnc(Sc2nc(C)ccc2[N+](=O)[O-])s1 ZINC000853831526 635120996 /nfs/dbraw/zinc/12/09/96/635120996.db2.gz FTYBWRFHFQOJEH-UHFFFAOYSA-N 0 0 282.350 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@@](O)(C3CC3)C2)c(F)c1 ZINC000853834432 635121807 /nfs/dbraw/zinc/12/18/07/635121807.db2.gz UPNFVQZABZPLDS-AWEZNQCLSA-N 0 0 298.289 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H](O)c3ccccc32)n1 ZINC000853839648 635125200 /nfs/dbraw/zinc/12/52/00/635125200.db2.gz OXBFACGWXOVYTR-TZMCWYRMSA-N 0 0 285.303 2.889 20 5 CFBDRN Cc1cnc(NC[C@]23OCCC[C@H]2C3(F)F)c([N+](=O)[O-])c1 ZINC000853847660 635129923 /nfs/dbraw/zinc/12/99/23/635129923.db2.gz YOFDNSDABMMTEJ-PWSUYJOCSA-N 0 0 299.277 2.524 20 5 CFBDRN C[C@@]1(CNc2cc(N)ccc2[N+](=O)[O-])CC1(F)F ZINC000853850625 635131522 /nfs/dbraw/zinc/13/15/22/635131522.db2.gz VUWPKUGASDVVEO-JTQLQIEISA-N 0 0 257.240 2.634 20 5 CFBDRN O=C(OCC1CCOCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000111678059 635136149 /nfs/dbraw/zinc/13/61/49/635136149.db2.gz YJTOOFFNCQDPFS-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)O[C@@H](C)C1 ZINC000853871667 635142280 /nfs/dbraw/zinc/14/22/80/635142280.db2.gz FBEMIBSHYAFLMR-NEPJUHHUSA-N 0 0 294.351 2.745 20 5 CFBDRN Cc1noc(COC(=O)c2ccc(C)cc2C)c1[N+](=O)[O-] ZINC000853883019 635145599 /nfs/dbraw/zinc/14/55/99/635145599.db2.gz CMWKHAAPOKYFKY-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=C1CCCC12CCN(c1ccc([N+](=O)[O-])cc1)CC2 ZINC000853894961 635149632 /nfs/dbraw/zinc/14/96/32/635149632.db2.gz LNFWYOYGDUHACN-UHFFFAOYSA-N 0 0 274.320 2.934 20 5 CFBDRN Cc1noc(COC(=O)C[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000853903544 635153791 /nfs/dbraw/zinc/15/37/91/635153791.db2.gz DIADBKUPEJAMJV-SCZZXKLOSA-N 0 0 282.296 2.761 20 5 CFBDRN CC1(C)CCC(O)(CNc2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000853905046 635154931 /nfs/dbraw/zinc/15/49/31/635154931.db2.gz JNFAVFVFOCDVBY-UHFFFAOYSA-N 0 0 293.367 2.920 20 5 CFBDRN CCSC1(CNc2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000853904189 635155128 /nfs/dbraw/zinc/15/51/28/635155128.db2.gz SWEWQJMUUVAFPA-UHFFFAOYSA-N 0 0 267.354 2.875 20 5 CFBDRN CC/C(C)=C(/C)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853909934 635158514 /nfs/dbraw/zinc/15/85/14/635158514.db2.gz CZBZOBXGHQTEON-FPLPWBNLSA-N 0 0 268.269 2.681 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC(c3ccon3)CC2)c1 ZINC000853943319 635174069 /nfs/dbraw/zinc/17/40/69/635174069.db2.gz RQGXJPITKUVXJS-UHFFFAOYSA-N 0 0 288.307 2.549 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000853965718 635180586 /nfs/dbraw/zinc/18/05/86/635180586.db2.gz KLSGIVNIXBESIR-VIFPVBQESA-N 0 0 295.295 2.730 20 5 CFBDRN Cc1ccoc1Cc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000854061177 635202119 /nfs/dbraw/zinc/20/21/19/635202119.db2.gz YNFQZOMBKBUFGM-UHFFFAOYSA-N 0 0 279.296 2.550 20 5 CFBDRN CCC1=C(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CCCO1 ZINC000854495012 635317040 /nfs/dbraw/zinc/31/70/40/635317040.db2.gz JQBZNCZEVRATOP-UHFFFAOYSA-N 0 0 291.307 2.590 20 5 CFBDRN C[C@@H]1CCC[C@]1(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854494431 635317060 /nfs/dbraw/zinc/31/70/60/635317060.db2.gz BWIISWCOVVLLRM-OTYXRUKQSA-N 0 0 277.324 2.942 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000854495668 635317664 /nfs/dbraw/zinc/31/76/64/635317664.db2.gz QAHYTTACQWQNHY-SSDOTTSWSA-N 0 0 285.250 2.551 20 5 CFBDRN Nc1ccc(NC(=O)C2(F)CCCCC2)cc1[N+](=O)[O-] ZINC000854495998 635317707 /nfs/dbraw/zinc/31/77/07/635317707.db2.gz ZZTBREFHSLDSNV-UHFFFAOYSA-N 0 0 281.287 2.788 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@@H]2C[C@H]2C(C)C)c1F ZINC000854914057 635422920 /nfs/dbraw/zinc/42/29/20/635422920.db2.gz MRKILFZUPLSKKF-DTWKUNHWSA-N 0 0 298.289 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)nn1 ZINC000112810514 635426574 /nfs/dbraw/zinc/42/65/74/635426574.db2.gz KBBPEGVIDSNOJH-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000855145184 635467531 /nfs/dbraw/zinc/46/75/31/635467531.db2.gz KCNFCBLFURDXMA-MRVPVSSYSA-N 0 0 283.303 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H]2C=CCC2)c1 ZINC000855421788 635537096 /nfs/dbraw/zinc/53/70/96/635537096.db2.gz KWANFTOGSULUQE-GFCCVEGCSA-N 0 0 290.319 2.507 20 5 CFBDRN CC(C)(C(=O)N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000855427878 635541285 /nfs/dbraw/zinc/54/12/85/635541285.db2.gz LKUWMJMDRKLLGB-LBPRGKRZSA-N 0 0 274.320 2.707 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000855522818 635571079 /nfs/dbraw/zinc/57/10/79/635571079.db2.gz PESHQNPQIOXIEG-MGCOHNPYSA-N 0 0 298.726 2.546 20 5 CFBDRN CC(C)OCCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000113472408 635588651 /nfs/dbraw/zinc/58/86/51/635588651.db2.gz KSBAOVYJUHVXQJ-UHFFFAOYSA-N 0 0 267.281 2.567 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC1=CCCC1 ZINC000856098081 635723811 /nfs/dbraw/zinc/72/38/11/635723811.db2.gz VOLISMRKVLUJIR-UHFFFAOYSA-N 0 0 278.283 2.882 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@@H](C2CCC2)C1)[N+](=O)[O-] ZINC000856171970 635747137 /nfs/dbraw/zinc/74/71/37/635747137.db2.gz SNDLMOGEFGJXFN-CYBMUJFWSA-N 0 0 282.384 2.861 20 5 CFBDRN C[C@H](C(=O)NOCC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000856350498 635792668 /nfs/dbraw/zinc/79/26/68/635792668.db2.gz XFSHUWQKBXVQKC-VIFPVBQESA-N 0 0 296.298 2.685 20 5 CFBDRN CC12CC(C1)CN2C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000856510259 635828839 /nfs/dbraw/zinc/82/88/39/635828839.db2.gz MVPFAPLYZPWEKA-DAXSKMNVSA-N 0 0 272.304 2.619 20 5 CFBDRN CCCC[C@@H](C(=O)OCCn1ccc([N+](=O)[O-])n1)C(C)C ZINC000856977532 635898031 /nfs/dbraw/zinc/89/80/31/635898031.db2.gz SOSBXFVFKJIJML-GFCCVEGCSA-N 0 0 297.355 2.797 20 5 CFBDRN COc1ccc(OC[C@@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000011674619 635976217 /nfs/dbraw/zinc/97/62/17/635976217.db2.gz MLLHDUDIHYXNPY-CQSZACIVSA-N 0 0 289.287 2.716 20 5 CFBDRN CCOC1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000857572260 635985667 /nfs/dbraw/zinc/98/56/67/635985667.db2.gz WETBKMIPSMPJFT-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN O=C(NCC[C@@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])o1 ZINC000857686723 636008897 /nfs/dbraw/zinc/00/88/97/636008897.db2.gz CREXVWQUJIKADO-ZCFIWIBFSA-N 0 0 293.106 2.502 20 5 CFBDRN Cn1cc2c(n1)CCC[C@@H]2Nc1c(F)cccc1[N+](=O)[O-] ZINC000857897905 636054199 /nfs/dbraw/zinc/05/41/99/636054199.db2.gz CTDUUNHDSAIZSV-NSHDSACASA-N 0 0 290.298 2.957 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc2ccccc21)N1CCCC1 ZINC000858093341 636123358 /nfs/dbraw/zinc/12/33/58/636123358.db2.gz YQWWRLKXWWLTFP-UHFFFAOYSA-N 0 0 270.288 2.984 20 5 CFBDRN C[C@H]1COCCN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000858456439 636250148 /nfs/dbraw/zinc/25/01/48/636250148.db2.gz HXGOMMJVGPWRGZ-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CCCCCN(Cn1nccc1[N+](=O)[O-])C(C)C ZINC000116256347 636323294 /nfs/dbraw/zinc/32/32/94/636323294.db2.gz NIVWWPPQCGDPSU-UHFFFAOYSA-N 0 0 254.334 2.649 20 5 CFBDRN CN(CC1(C)COC1)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000858705781 636328085 /nfs/dbraw/zinc/32/80/85/636328085.db2.gz HPYZAHHFKHIXDK-UHFFFAOYSA-N 0 0 288.706 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC2(C1)CCCO2 ZINC000858722850 636332683 /nfs/dbraw/zinc/33/26/83/636332683.db2.gz XVNJDHRHLINQCJ-UHFFFAOYSA-N 0 0 268.700 2.617 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3CCC[C@]3(CO)C2)c(Cl)c1 ZINC000858802357 636348020 /nfs/dbraw/zinc/34/80/20/636348020.db2.gz CZBCVOIQHKJPLB-IINYFYTJSA-N 0 0 296.754 2.847 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc([N+](=O)[O-])cc1COC ZINC000858831365 636352391 /nfs/dbraw/zinc/35/23/91/636352391.db2.gz INENHQDAHXVPOL-UHFFFAOYSA-N 0 0 296.367 2.729 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](c3ccc(O)cc3)C2)n1 ZINC000858837180 636353676 /nfs/dbraw/zinc/35/36/76/636353676.db2.gz MKBJTEMROWBOCI-ZDUSSCGKSA-N 0 0 299.330 2.998 20 5 CFBDRN Cc1cccc(CNC(=O)c2cc(F)ccc2[N+](=O)[O-])c1 ZINC000048266207 650261048 /nfs/dbraw/zinc/26/10/48/650261048.db2.gz KPPUYFMTJAPTFX-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CCO[C@H](COC(=O)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000859448977 636567181 /nfs/dbraw/zinc/56/71/81/636567181.db2.gz PNRVZBYJCLCLPJ-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1c([N+](=O)[O-])cc(C(=O)OC[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000859506258 636583491 /nfs/dbraw/zinc/58/34/91/636583491.db2.gz IGFMVUDXBGFYNO-GMSGAONNSA-N 0 0 294.263 2.624 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000859614662 636623046 /nfs/dbraw/zinc/62/30/46/636623046.db2.gz IUINSEPQMMTICH-IUCAKERBSA-N 0 0 274.276 2.889 20 5 CFBDRN CCC[C@@H](OCC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000859837341 636718010 /nfs/dbraw/zinc/71/80/10/636718010.db2.gz CJLGLBILDHAXFC-CYBMUJFWSA-N 0 0 299.298 2.982 20 5 CFBDRN COc1ncccc1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000194203942 636762291 /nfs/dbraw/zinc/76/22/91/636762291.db2.gz CEJVFXNZLFNESL-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN Cc1c([N+](=O)[O-])cc(C(=O)NCC(C)(C)C)cc1[N+](=O)[O-] ZINC000194268795 636768143 /nfs/dbraw/zinc/76/81/43/636768143.db2.gz XKDDWVDKBGBQJP-UHFFFAOYSA-N 0 0 295.295 2.587 20 5 CFBDRN CCO[C@H](C(=O)OCc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000860363792 636866514 /nfs/dbraw/zinc/86/65/14/636866514.db2.gz FKAQIJWBRGTEGX-ZDUSSCGKSA-N 0 0 299.298 2.838 20 5 CFBDRN CCC[C@H](OCC)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000860615368 636927965 /nfs/dbraw/zinc/92/79/65/636927965.db2.gz SUFKHPIEZCFKFM-LBPRGKRZSA-N 0 0 285.271 2.845 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000860615365 636928015 /nfs/dbraw/zinc/92/80/15/636928015.db2.gz SUFKHPIEZCFKFM-GFCCVEGCSA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000860614273 636928072 /nfs/dbraw/zinc/92/80/72/636928072.db2.gz JNCPDDCITDCIIF-LOKDSWTASA-N 0 0 297.282 2.699 20 5 CFBDRN Cc1ccc(OC(=O)c2cnn(C3CC3)c2)cc1[N+](=O)[O-] ZINC000860628103 636932756 /nfs/dbraw/zinc/93/27/56/636932756.db2.gz OBJOXQUBAXETRO-UHFFFAOYSA-N 0 0 287.275 2.654 20 5 CFBDRN C[C@@H](COC(=O)c1csc(C(F)(F)F)c1)[N+](=O)[O-] ZINC000860777181 636969069 /nfs/dbraw/zinc/96/90/69/636969069.db2.gz LQIMSOGEVBNANU-YFKPBYRVSA-N 0 0 283.227 2.589 20 5 CFBDRN C[C@H](COC(=O)CCc1ccc(Cl)c(F)c1)[N+](=O)[O-] ZINC000860776912 636969270 /nfs/dbraw/zinc/96/92/70/636969270.db2.gz ICEDHSOKHRNYBW-MRVPVSSYSA-N 0 0 289.690 2.620 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1cc(Cl)cc(Cl)c1 ZINC000860787466 636972029 /nfs/dbraw/zinc/97/20/29/636972029.db2.gz MGOGKJPQZFAZKW-SSDOTTSWSA-N 0 0 292.118 2.744 20 5 CFBDRN Cc1cccc([C@@H]2C[C@H]2C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000860868217 636996216 /nfs/dbraw/zinc/99/62/16/636996216.db2.gz QFDXCOMAZLFZOA-QWHCGFSZSA-N 0 0 277.320 2.697 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(c2ccc(F)cc2)CCCC1 ZINC000860913131 637015577 /nfs/dbraw/zinc/01/55/77/637015577.db2.gz QGHSHPPSOLTHMV-UHFFFAOYSA-N 0 0 295.310 2.848 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000860917414 637016040 /nfs/dbraw/zinc/01/60/40/637016040.db2.gz LGLUYOSJDWIUGI-RWMBFGLXSA-N 0 0 269.341 2.803 20 5 CFBDRN CCc1ccc([C@@H](C)C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860916621 637016075 /nfs/dbraw/zinc/01/60/75/637016075.db2.gz XHZQFEQNEXYWPD-LLVKDONJSA-N 0 0 265.309 2.563 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCC[C@@H]2CCCC[C@@H]12 ZINC000860918195 637017168 /nfs/dbraw/zinc/01/71/68/637017168.db2.gz AKNXROMYLCLUKM-YNEHKIRRSA-N 0 0 269.341 2.803 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCc2c1cccc2Cl ZINC000860918463 637017233 /nfs/dbraw/zinc/01/72/33/637017233.db2.gz BPVFJZCTQNWCNW-LLVKDONJSA-N 0 0 283.711 2.580 20 5 CFBDRN CCCn1c(C(=O)OCCC[N+](=O)[O-])cc2ccccc21 ZINC000860920989 637018163 /nfs/dbraw/zinc/01/81/63/637018163.db2.gz LYYBUIRYJAJMKI-UHFFFAOYSA-N 0 0 290.319 2.875 20 5 CFBDRN CC(F)(F)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000861224582 637122593 /nfs/dbraw/zinc/12/25/93/637122593.db2.gz CPLSJDFUSYFZGA-UHFFFAOYSA-N 0 0 263.171 2.546 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@H]2CCC=CCCC2)n1 ZINC000861229743 637126551 /nfs/dbraw/zinc/12/65/51/637126551.db2.gz XOTYYRFMDIDALS-NSHDSACASA-N 0 0 293.323 2.857 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000861436189 637195693 /nfs/dbraw/zinc/19/56/93/637195693.db2.gz AMMMFCLTQKFNJE-OCAPTIKFSA-N 0 0 268.700 2.871 20 5 CFBDRN CC(C)N(Cc1ccccc1)Cn1cc([N+](=O)[O-])cn1 ZINC000075581539 650337547 /nfs/dbraw/zinc/33/75/47/650337547.db2.gz GUDKAJLHIFWYQV-UHFFFAOYSA-N 0 0 274.324 2.659 20 5 CFBDRN C/C=C/CNC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000863112373 637559385 /nfs/dbraw/zinc/55/93/85/637559385.db2.gz DKUVASWQBUNQQR-ONEGZZNKSA-N 0 0 268.700 2.863 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1C[C@H]1C[C@H]1C ZINC000863295341 637619435 /nfs/dbraw/zinc/61/94/35/637619435.db2.gz QUKMMOBZAICNLT-IYSWYEEDSA-N 0 0 274.118 2.518 20 5 CFBDRN CC(C)SCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000863296839 637620286 /nfs/dbraw/zinc/62/02/86/637620286.db2.gz QAXBIRQKDJXUSC-UHFFFAOYSA-N 0 0 255.295 2.885 20 5 CFBDRN COC(=O)CCCCOc1cc([N+](=O)[O-])c(F)cc1F ZINC000863345652 637633888 /nfs/dbraw/zinc/63/38/88/637633888.db2.gz FZHYHDPHXSDKGB-UHFFFAOYSA-N 0 0 289.234 2.595 20 5 CFBDRN O=[N+]([O-])c1cc(OCCCc2cnoc2)c(F)cc1F ZINC000863345613 637634027 /nfs/dbraw/zinc/63/40/27/637634027.db2.gz DSGPSPKYUDDTJU-UHFFFAOYSA-N 0 0 284.218 2.873 20 5 CFBDRN CCN[C@@H](C)c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000863664369 637737306 /nfs/dbraw/zinc/73/73/06/637737306.db2.gz YJVUSCQRQVGYGP-JTQLQIEISA-N 0 0 290.323 2.548 20 5 CFBDRN CC(C)OCC(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000863947191 637820436 /nfs/dbraw/zinc/82/04/36/637820436.db2.gz BDHSPEDNRJCIJW-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1ccc(CNc2ccccc2C(N)=O)cc1[N+](=O)[O-] ZINC000863993002 637834168 /nfs/dbraw/zinc/83/41/68/637834168.db2.gz DLCJIMOLKLFCSB-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cc1c(CNc2ccc(CC(N)=O)cc2)cccc1[N+](=O)[O-] ZINC000864003999 637834194 /nfs/dbraw/zinc/83/41/94/637834194.db2.gz UALFVARWPXOKOE-UHFFFAOYSA-N 0 0 299.330 2.543 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000864409934 637966745 /nfs/dbraw/zinc/96/67/45/637966745.db2.gz MJKNECVPNAFSRN-QWRGUYRKSA-N 0 0 294.326 2.781 20 5 CFBDRN CC(C)(Cn1cc(-c2cccc3cnccc32)nn1)[N+](=O)[O-] ZINC000864642432 638056454 /nfs/dbraw/zinc/05/64/54/638056454.db2.gz OWTSBLAPQVXPFV-UHFFFAOYSA-N 0 0 297.318 2.549 20 5 CFBDRN CCC(C)(C)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864723464 638077335 /nfs/dbraw/zinc/07/73/35/638077335.db2.gz YXKAXKJXKDDNNS-UHFFFAOYSA-N 0 0 268.288 2.581 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000864728020 638077981 /nfs/dbraw/zinc/07/79/81/638077981.db2.gz HKJGUILRGHJVGS-PCDDKUFXSA-N 0 0 286.331 2.776 20 5 CFBDRN CC/C=C(/F)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000864979668 638145696 /nfs/dbraw/zinc/14/56/96/638145696.db2.gz NPEBBKGPPGSXNL-KGVSQERTSA-N 0 0 266.272 2.517 20 5 CFBDRN CCC(CC)CNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865180639 638219889 /nfs/dbraw/zinc/21/98/89/638219889.db2.gz RBIOKDKKOPIJPC-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN CCCCN(C)c1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000865211125 638224397 /nfs/dbraw/zinc/22/43/97/638224397.db2.gz JXFUTXRCCZZZBB-UHFFFAOYSA-N 0 0 289.335 2.683 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)OCc2ccc([N+](=O)[O-])cc2)O1 ZINC000076857282 650407479 /nfs/dbraw/zinc/40/74/79/650407479.db2.gz CPLSZGYOELCVGP-SMDDNHRTSA-N 0 0 293.319 2.986 20 5 CFBDRN C[C@@H](Oc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000865341201 638273198 /nfs/dbraw/zinc/27/31/98/638273198.db2.gz JFSQRGWESRUAPD-SECBINFHSA-N 0 0 251.282 2.977 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CC3(CO2)CCOCC3)cc1 ZINC000865341556 638273216 /nfs/dbraw/zinc/27/32/16/638273216.db2.gz SQNOFHPUURDSHW-CQSZACIVSA-N 0 0 293.319 2.559 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCc2coc(C3CC3)n2)c1 ZINC000865353306 638278930 /nfs/dbraw/zinc/27/89/30/638278930.db2.gz FTYIABUHBVPEBQ-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H](C)C(C)(C)C)c1=O ZINC000865360652 638282468 /nfs/dbraw/zinc/28/24/68/638282468.db2.gz HZTNIKKLPOFWAQ-JTQLQIEISA-N 0 0 252.314 2.747 20 5 CFBDRN CCc1csc(SCCn2ccc([N+](=O)[O-])n2)n1 ZINC000865386496 638301042 /nfs/dbraw/zinc/30/10/42/638301042.db2.gz CZUHEROXKUQZSG-UHFFFAOYSA-N 0 0 284.366 2.603 20 5 CFBDRN CCN(CC)C(=S)Sc1ncc(F)cc1[N+](=O)[O-] ZINC000865402869 638312345 /nfs/dbraw/zinc/31/23/45/638312345.db2.gz GZACBDYNWNTZLW-UHFFFAOYSA-N 0 0 289.357 2.848 20 5 CFBDRN C[C@@H](NCc1cn(C2CC2)cn1)c1cccc([N+](=O)[O-])c1 ZINC000865417755 638322875 /nfs/dbraw/zinc/32/28/75/638322875.db2.gz CKQKWAVIXWAWFR-LLVKDONJSA-N 0 0 286.335 2.977 20 5 CFBDRN CC[C@H]1CCCN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865442392 638337817 /nfs/dbraw/zinc/33/78/17/638337817.db2.gz WOQTWOPBBXURQT-JTQLQIEISA-N 0 0 282.315 2.853 20 5 CFBDRN C[C@H](O)CN(C)c1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000865466849 638354955 /nfs/dbraw/zinc/35/49/55/638354955.db2.gz CDOWNJVUCLQUMS-LURJTMIESA-N 0 0 279.123 2.719 20 5 CFBDRN C[C@@](O)(CNc1ncc(F)cc1[N+](=O)[O-])c1ccsc1 ZINC000865553432 638417917 /nfs/dbraw/zinc/41/79/17/638417917.db2.gz HKWZAMGMGFJYMV-GFCCVEGCSA-N 0 0 297.311 2.510 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CCC3(CCCC3)C2)cc1F ZINC000865567553 638428188 /nfs/dbraw/zinc/42/81/88/638428188.db2.gz OVVGSYBWDLRFIJ-UHFFFAOYSA-N 0 0 294.326 2.997 20 5 CFBDRN C[C@H](O)c1ccc(NCCc2cccc([N+](=O)[O-])c2)nc1 ZINC000865647192 638485344 /nfs/dbraw/zinc/48/53/44/638485344.db2.gz QWHSQMFKRWSWFC-NSHDSACASA-N 0 0 287.319 2.698 20 5 CFBDRN C[C@@H]1CCN(c2cc(F)c([N+](=O)[O-])cc2CO)C[C@@H]1C ZINC000865650889 638487669 /nfs/dbraw/zinc/48/76/69/638487669.db2.gz LBZSEOFFWVKDSY-ZJUUUORDSA-N 0 0 282.315 2.709 20 5 CFBDRN CCC(CC)C[N@H+](CC)Cn1cc([N+](=O)[O-])cn1 ZINC000077442067 650425044 /nfs/dbraw/zinc/42/50/44/650425044.db2.gz JTGQDKNMVFBCHA-UHFFFAOYSA-N 0 0 254.334 2.507 20 5 CFBDRN CC(C)=CCCNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865721749 638535118 /nfs/dbraw/zinc/53/51/18/638535118.db2.gz CEKFXQKGDKUEML-UHFFFAOYSA-N 0 0 268.288 2.994 20 5 CFBDRN Cc1c([C@@H]2C[C@H]2Nc2ccsc2[N+](=O)[O-])cnn1C ZINC000865748013 638549715 /nfs/dbraw/zinc/54/97/15/638549715.db2.gz YDSPOOWDCOJJPC-GZMMTYOYSA-N 0 0 278.337 2.666 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@H]2CCCC2(F)F)cc1F ZINC000865756224 638556174 /nfs/dbraw/zinc/55/61/74/638556174.db2.gz FSVODZLTAFUGHD-NSHDSACASA-N 0 0 290.241 2.826 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CC[C@@H](C3CCC3)C2)cc1F ZINC000865881154 638640995 /nfs/dbraw/zinc/64/09/95/638640995.db2.gz WHBHYMREAAWNFW-LLVKDONJSA-N 0 0 294.326 2.853 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865902906 638651217 /nfs/dbraw/zinc/65/12/17/638651217.db2.gz KQKHGEXJJXJLIL-GMSGAONNSA-N 0 0 286.328 2.532 20 5 CFBDRN Cc1cc(N[C@@H]2CCCN(CCF)C2)c([N+](=O)[O-])s1 ZINC000865927982 638662529 /nfs/dbraw/zinc/66/25/29/638662529.db2.gz MZLRAONUOUCHPK-SNVBAGLBSA-N 0 0 287.360 2.811 20 5 CFBDRN CCn1nncc1CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865964636 638679671 /nfs/dbraw/zinc/67/96/71/638679671.db2.gz LVJWWNHUKGDHSK-UHFFFAOYSA-N 0 0 297.265 2.756 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000865979132 638685771 /nfs/dbraw/zinc/68/57/71/638685771.db2.gz PTOCQENTUBRZEP-LPTSXCQYSA-N 0 0 286.331 2.776 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H]2CCSC2)c(F)c1 ZINC000865984034 638686516 /nfs/dbraw/zinc/68/65/16/638686516.db2.gz PSOFCDVPDPPQKP-MRVPVSSYSA-N 0 0 271.317 2.684 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCSC2)c1 ZINC000865984351 638686715 /nfs/dbraw/zinc/68/67/15/638686715.db2.gz CTRJMUOTDSFCAU-SECBINFHSA-N 0 0 267.354 2.732 20 5 CFBDRN CC1(C)[C@H]2[C@H](CCCN2c2ccc([N+](=O)[O-])cc2)[C@@H]1O ZINC000866000370 638691810 /nfs/dbraw/zinc/69/18/10/638691810.db2.gz XJNDIHMWCSTTGB-MJBXVCDLSA-N 0 0 276.336 2.581 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCCC[C@@H]1F ZINC000866045576 638709834 /nfs/dbraw/zinc/70/98/34/638709834.db2.gz LKMBBROBTMQQGF-VHSXEESVSA-N 0 0 270.308 2.812 20 5 CFBDRN Nc1ccc(N[C@@H]2CCCC[C@@H]2F)c([N+](=O)[O-])c1 ZINC000866045540 638710137 /nfs/dbraw/zinc/71/01/37/638710137.db2.gz JSNOVTUJFUBKOO-VHSXEESVSA-N 0 0 253.277 2.870 20 5 CFBDRN COc1cc(N2C[C@@H](OC)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000866072041 638723128 /nfs/dbraw/zinc/72/31/28/638723128.db2.gz RZECHTVPSMQXME-JQWIXIFHSA-N 0 0 280.324 2.607 20 5 CFBDRN COC(=O)c1coc(CNc2cc(C)sc2[N+](=O)[O-])c1 ZINC000866096869 638735338 /nfs/dbraw/zinc/73/53/38/638735338.db2.gz GHVVVFADHBRHKS-UHFFFAOYSA-N 0 0 296.304 2.956 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)NC1CC2(CSC2)C1 ZINC000866136407 638753806 /nfs/dbraw/zinc/75/38/06/638753806.db2.gz SODPKDAKLCROJG-UHFFFAOYSA-N 0 0 293.348 2.612 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000866161910 638760876 /nfs/dbraw/zinc/76/08/76/638760876.db2.gz AJZDGZVGYAGPFJ-PHIMTYICSA-N 0 0 289.291 2.905 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H]1CC2(CO1)CCOCC2 ZINC000866176042 638763966 /nfs/dbraw/zinc/76/39/66/638763966.db2.gz QMGJXBUMXSFQAB-SNVBAGLBSA-N 0 0 298.364 2.654 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1CC2(CO1)CCOCC2 ZINC000866178761 638764474 /nfs/dbraw/zinc/76/44/74/638764474.db2.gz XVYYASLFKVMABX-LBPRGKRZSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1c2ccccc2CN1C ZINC000866195285 638767538 /nfs/dbraw/zinc/76/75/38/638767538.db2.gz QVMZFLVBBJCZJI-HNNXBMFYSA-N 0 0 298.346 2.897 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3CSC[C@@H]3C2)c(Cl)c1 ZINC000866203435 638769262 /nfs/dbraw/zinc/76/92/62/638769262.db2.gz MKIWKAYQMXCPAV-BDAKNGLRSA-N 0 0 299.783 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3CSC[C@@H]3C2)c(F)c1 ZINC000866207505 638770367 /nfs/dbraw/zinc/77/03/67/638770367.db2.gz PNSCBWVMQHIKOC-UWVGGRQHSA-N 0 0 282.340 2.923 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H](O)CC(C)(C)C1 ZINC000866226162 638774014 /nfs/dbraw/zinc/77/40/14/638774014.db2.gz JQUUOUJLDXCTQI-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc(N2C[C@@H](O)CC(C)(C)C2)c(F)cc1[N+](=O)[O-] ZINC000866229418 638775023 /nfs/dbraw/zinc/77/50/23/638775023.db2.gz SLZCOOKFVQLJBH-JTQLQIEISA-N 0 0 282.315 2.640 20 5 CFBDRN C[C@@H]1CCC[C@H](C2CN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)C1 ZINC000866254586 638781727 /nfs/dbraw/zinc/78/17/27/638781727.db2.gz SOLBCJFXUPAPLC-MNOVXSKESA-N 0 0 291.351 2.958 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000866303987 638792940 /nfs/dbraw/zinc/79/29/40/638792940.db2.gz SJCDBAKYNHVRGJ-MNOVXSKESA-N 0 0 289.335 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H](O)C2CCCC2)n1 ZINC000866304120 638793105 /nfs/dbraw/zinc/79/31/05/638793105.db2.gz UDFUJWWPOVBRST-CYBMUJFWSA-N 0 0 279.340 2.651 20 5 CFBDRN O=[N+]([O-])c1ccc(N2OC3CCC2CC3)c([N+](=O)[O-])c1 ZINC000866306714 638793556 /nfs/dbraw/zinc/79/35/56/638793556.db2.gz LRKUWYQVTLLVLD-UHFFFAOYSA-N 0 0 279.252 2.566 20 5 CFBDRN CCON(CC)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000866307285 638794000 /nfs/dbraw/zinc/79/40/00/638794000.db2.gz WUSNWTKKVVEXBJ-UHFFFAOYSA-N 0 0 276.239 2.974 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866540713 638838953 /nfs/dbraw/zinc/83/89/53/638838953.db2.gz BNRSAJVQUKZDTC-UWVGGRQHSA-N 0 0 280.299 2.676 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867946754 638907777 /nfs/dbraw/zinc/90/77/77/638907777.db2.gz VAUFIXQUQVNRNY-WDEREUQCSA-N 0 0 294.326 2.829 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000868410692 638943619 /nfs/dbraw/zinc/94/36/19/638943619.db2.gz NRYSPYJQNZXXSS-NXEZZACHSA-N 0 0 277.324 2.798 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000868573476 638957387 /nfs/dbraw/zinc/95/73/87/638957387.db2.gz AVSQVRWLHKWLAA-VXGBXAGGSA-N 0 0 277.324 2.501 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H](C)O[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000868607654 638961241 /nfs/dbraw/zinc/96/12/41/638961241.db2.gz AMNHDBPPJRHOJG-DEKYYXRVSA-N 0 0 292.335 2.586 20 5 CFBDRN CC(C)(NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CCC1 ZINC000868716500 638972564 /nfs/dbraw/zinc/97/25/64/638972564.db2.gz HHXSBZAMWISTFH-UHFFFAOYSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1ccc(CNC(=O)NCC2CC(C)C2)cc1[N+](=O)[O-] ZINC000868796043 638980615 /nfs/dbraw/zinc/98/06/15/638980615.db2.gz QCXWWCDOWWYDEZ-UHFFFAOYSA-N 0 0 291.351 2.749 20 5 CFBDRN CC(C)(C)C1CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000868836293 638984571 /nfs/dbraw/zinc/98/45/71/638984571.db2.gz WYHMNXMHBNYRQM-UHFFFAOYSA-N 0 0 294.326 2.781 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000868853391 638986323 /nfs/dbraw/zinc/98/63/23/638986323.db2.gz RASWHBSUJVELAT-SUZMYJTESA-N 0 0 292.310 2.581 20 5 CFBDRN Nc1ccc(C(=O)NCCCc2ccccc2)cc1[N+](=O)[O-] ZINC000017298304 638999105 /nfs/dbraw/zinc/99/91/05/638999105.db2.gz MWDRMYBHJFNIIF-UHFFFAOYSA-N 0 0 299.330 2.540 20 5 CFBDRN Cc1ccc(CNC(=O)N2C[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000869052754 639015302 /nfs/dbraw/zinc/01/53/02/639015302.db2.gz DIQJIMDKJPKLIH-ZYHUDNBSSA-N 0 0 291.351 2.843 20 5 CFBDRN CC/C=C(\F)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000869066944 639020859 /nfs/dbraw/zinc/02/08/59/639020859.db2.gz QWZOVOOOWGTILK-WTKPLQERSA-N 0 0 267.260 2.838 20 5 CFBDRN C[C@@H]1OCC[C@H]1COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000869317511 639170464 /nfs/dbraw/zinc/17/04/64/639170464.db2.gz DAKJWCRUTRXXJM-IUCAKERBSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(NCCC1CCC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000122571890 639219675 /nfs/dbraw/zinc/21/96/75/639219675.db2.gz IGMFHOFZNZWQDO-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN C[C@H]1OCC[C@H]1COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000869456463 639239790 /nfs/dbraw/zinc/23/97/90/639239790.db2.gz GPKGUJQQPCYXTE-SCZZXKLOSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(NCC[C@@H]1CCSC1)c1ccc([N+](=O)[O-])s1 ZINC000869613436 639323146 /nfs/dbraw/zinc/32/31/46/639323146.db2.gz VCJSBRYNXLHFDP-MRVPVSSYSA-N 0 0 286.378 2.529 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCC(C)(C)F ZINC000869624313 639329269 /nfs/dbraw/zinc/32/92/69/639329269.db2.gz XRGSYKQFXWSBGN-CSKARUKUSA-N 0 0 280.299 2.862 20 5 CFBDRN CCOC(C)(C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000869767100 639392544 /nfs/dbraw/zinc/39/25/44/639392544.db2.gz CHVJKXHUSNWBRY-UHFFFAOYSA-N 0 0 273.310 2.515 20 5 CFBDRN O=C(OCCCF)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869811678 639417878 /nfs/dbraw/zinc/41/78/78/639417878.db2.gz STCLJDHFJOMTFV-UHFFFAOYSA-N 0 0 281.283 2.919 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000869897555 639458517 /nfs/dbraw/zinc/45/85/17/639458517.db2.gz YKDOXZCCRSZNJX-SECBINFHSA-N 0 0 265.240 2.999 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000869896806 639458781 /nfs/dbraw/zinc/45/87/81/639458781.db2.gz HVBVWPXRFWRVNI-VHSXEESVSA-N 0 0 297.282 2.706 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897026 639459017 /nfs/dbraw/zinc/45/90/17/639459017.db2.gz LCIWBWWMQLWFAG-SECBINFHSA-N 0 0 295.266 2.650 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2C[C@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000870276675 639575494 /nfs/dbraw/zinc/57/54/94/639575494.db2.gz GCWMKPBLPYIATK-GMXVVIOVSA-N 0 0 293.319 2.760 20 5 CFBDRN C[C@H](CCc1ccc(F)cc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000870378454 639617300 /nfs/dbraw/zinc/61/73/00/639617300.db2.gz WYVUHAPXNPZIMX-MNOVXSKESA-N 0 0 283.299 2.603 20 5 CFBDRN O=C(OC[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])o1 ZINC000123128373 639643278 /nfs/dbraw/zinc/64/32/78/639643278.db2.gz QDOBJCNYWMBBSV-SECBINFHSA-N 0 0 251.238 2.701 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCCC2)c1cc(F)c([N+](=O)[O-])cc1F ZINC000870534744 639708882 /nfs/dbraw/zinc/70/88/82/639708882.db2.gz HTMFDVAZELGNER-RTHLEPHNSA-N 0 0 296.273 2.936 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000870580500 639733636 /nfs/dbraw/zinc/73/36/36/639733636.db2.gz VBKZZDYHWXERQK-BETUJISGSA-N 0 0 295.360 2.965 20 5 CFBDRN CO[C@H](C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C)C(C)C ZINC000870701986 639778887 /nfs/dbraw/zinc/77/88/87/639778887.db2.gz YGOHQWONJUARAY-AWEZNQCLSA-N 0 0 295.335 2.926 20 5 CFBDRN CCOCCC(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870701836 639779048 /nfs/dbraw/zinc/77/90/48/639779048.db2.gz XCEVNFZDDMFGBT-UHFFFAOYSA-N 0 0 281.308 2.681 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000870708921 639783769 /nfs/dbraw/zinc/78/37/69/639783769.db2.gz JCLCYFLUDKXEKT-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(Cc1ccsc1)OCc1cccc([N+](=O)[O-])c1 ZINC000191966318 650532015 /nfs/dbraw/zinc/53/20/15/650532015.db2.gz IZWCXORHOIUYQJ-UHFFFAOYSA-N 0 0 277.301 2.942 20 5 CFBDRN Cc1ncsc1C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000078946049 650549102 /nfs/dbraw/zinc/54/91/02/650549102.db2.gz MVUXPJRYJMUEEQ-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN COC(OC)[C@@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000079614571 650608407 /nfs/dbraw/zinc/60/84/07/650608407.db2.gz FRGQRKFXIGUVJO-SSDOTTSWSA-N 0 0 274.704 2.668 20 5 CFBDRN Cc1c([N+](=O)[O-])cc(C(=O)OC2CCCC2)cc1[N+](=O)[O-] ZINC000192938987 650610106 /nfs/dbraw/zinc/61/01/06/650610106.db2.gz RCFDSOCCKANFIT-UHFFFAOYSA-N 0 0 294.263 2.911 20 5 CFBDRN O=C(CC1CCCC1)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870829256 641090791 /nfs/dbraw/zinc/09/07/91/641090791.db2.gz JKBPPYWBUNNGFY-UHFFFAOYSA-N 0 0 293.323 2.618 20 5 CFBDRN Cc1cccc(C(=O)NCC[C@H]2CCCS2)c1[N+](=O)[O-] ZINC000871026473 641159227 /nfs/dbraw/zinc/15/92/27/641159227.db2.gz CSSZOHCGIYNSHK-LLVKDONJSA-N 0 0 294.376 2.919 20 5 CFBDRN CC1(F)CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000871074425 641188411 /nfs/dbraw/zinc/18/84/11/641188411.db2.gz MUSMVQYNSRGXDG-DAXSKMNVSA-N 0 0 292.310 2.959 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000871095227 641202072 /nfs/dbraw/zinc/20/20/72/641202072.db2.gz MQZOUECHACAJCL-MGCOHNPYSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1CC2(CSC2)C1 ZINC000871510099 641334058 /nfs/dbraw/zinc/33/40/58/641334058.db2.gz BZQKCNDMVNESDI-UHFFFAOYSA-N 0 0 292.360 2.529 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871515738 641337042 /nfs/dbraw/zinc/33/70/42/641337042.db2.gz FSAPKCRDSLSZOG-TXEJJXNPSA-N 0 0 272.304 2.633 20 5 CFBDRN CC(C)(C)SCn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000871626038 641372570 /nfs/dbraw/zinc/37/25/70/641372570.db2.gz VEUIVMHOSYOBHK-UHFFFAOYSA-N 0 0 293.348 2.794 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2C[C@H]3CCC[C@H]3O2)c1 ZINC000871630661 641375029 /nfs/dbraw/zinc/37/50/29/641375029.db2.gz NELFDARKIQPNRW-DDTOSNHZSA-N 0 0 263.293 2.931 20 5 CFBDRN CO[C@H]1C[C@@H](COc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000871640271 641377576 /nfs/dbraw/zinc/37/75/76/641377576.db2.gz LRBUWFZUSVAFRK-PHIMTYICSA-N 0 0 251.282 2.707 20 5 CFBDRN CO[C@H]1C[C@@H](COc2ccc([N+](=O)[O-])cc2F)C1 ZINC000871648436 641381153 /nfs/dbraw/zinc/38/11/53/641381153.db2.gz LJKACMGCLFOSNV-WAAGHKOSSA-N 0 0 255.245 2.538 20 5 CFBDRN Cn1nccc1CCOc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871693450 641408644 /nfs/dbraw/zinc/40/86/44/641408644.db2.gz AEPLJAIXGYESHL-UHFFFAOYSA-N 0 0 299.689 2.742 20 5 CFBDRN Cc1ccc(CONC(=O)C2CCCC2)cc1[N+](=O)[O-] ZINC000871731625 641428600 /nfs/dbraw/zinc/42/86/00/641428600.db2.gz JEXKJEYBDQOCKU-UHFFFAOYSA-N 0 0 278.308 2.641 20 5 CFBDRN Cc1ccc(CON=C(N)[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000871759362 641442208 /nfs/dbraw/zinc/44/22/08/641442208.db2.gz JHLGHVNWBNQMJT-ONGXEEELSA-N 0 0 263.297 2.558 20 5 CFBDRN COc1cnc(NCc2c(C)ccc([N+](=O)[O-])c2C)nc1 ZINC000871865656 641489596 /nfs/dbraw/zinc/48/95/96/641489596.db2.gz YPDHLXPDVXSFTF-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CC[C@@H](CCC(=O)[O-])[NH2+]Cc1c(C)ccc([N+](=O)[O-])c1C ZINC000871874632 641493006 /nfs/dbraw/zinc/49/30/06/641493006.db2.gz TYLLBWXVCXOXCD-LBPRGKRZSA-N 0 0 294.351 2.945 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000871934915 641517137 /nfs/dbraw/zinc/51/71/37/641517137.db2.gz ZGWADJDDIJHIPS-GRYCIOLGSA-N 0 0 293.367 2.549 20 5 CFBDRN C[C@H](NCC1=CCCOC1)c1c(F)cccc1[N+](=O)[O-] ZINC000872071459 641602710 /nfs/dbraw/zinc/60/27/10/641602710.db2.gz PLTDXPHSAMOZMR-JTQLQIEISA-N 0 0 280.299 2.731 20 5 CFBDRN C[C@@H](N[C@@H](C)c1c(F)cccc1[N+](=O)[O-])c1csnn1 ZINC000872081319 641614904 /nfs/dbraw/zinc/61/49/04/641614904.db2.gz XDMQAUZPZPRSLV-SFYZADRCSA-N 0 0 296.327 2.997 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccnn1C)c1c(F)cccc1[N+](=O)[O-] ZINC000872091008 641624478 /nfs/dbraw/zinc/62/44/78/641624478.db2.gz DWDXTLJLDQLNNU-VHSXEESVSA-N 0 0 292.314 2.879 20 5 CFBDRN O=c1[nH]ccc(NCCC[C@H]2C=CCC2)c1[N+](=O)[O-] ZINC000872692887 641898171 /nfs/dbraw/zinc/89/81/71/641898171.db2.gz CSILHLIISFLTPQ-JTQLQIEISA-N 0 0 263.297 2.854 20 5 CFBDRN Cc1cc(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)ncc1[N+](=O)[O-] ZINC000872697344 641901087 /nfs/dbraw/zinc/90/10/87/641901087.db2.gz KHMZBGWWRHWJDA-MDZLAQPJSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccnc(NC[C@@H]2C[C@H]3CCC[C@H]3O2)c1[N+](=O)[O-] ZINC000872697458 641901135 /nfs/dbraw/zinc/90/11/35/641901135.db2.gz MKPXHEMKACMLFC-GRYCIOLGSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000872697912 641901851 /nfs/dbraw/zinc/90/18/51/641901851.db2.gz CTYIDQCMEDNCBU-WXHSDQCUSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cnc(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c([N+](=O)[O-])c1 ZINC000872697819 641902101 /nfs/dbraw/zinc/90/21/01/641902101.db2.gz AHSXDXXVKAWVEF-GVXVVHGQSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1nn(CN(C)Cc2ccc3cc[nH]c3c2)cc1[N+](=O)[O-] ZINC000872747200 641919073 /nfs/dbraw/zinc/91/90/73/641919073.db2.gz HODVAQAFYQSMOZ-UHFFFAOYSA-N 0 0 299.334 2.671 20 5 CFBDRN Cc1cc(N[C@H](C)c2nccnc2C)ncc1[N+](=O)[O-] ZINC000872767881 641924700 /nfs/dbraw/zinc/92/47/00/641924700.db2.gz BHLYWXKCXDIRPD-SNVBAGLBSA-N 0 0 273.296 2.570 20 5 CFBDRN CCC1(CC)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000872765837 641924905 /nfs/dbraw/zinc/92/49/05/641924905.db2.gz FWALTFDKOZXNOQ-UHFFFAOYSA-N 0 0 250.302 2.544 20 5 CFBDRN Cc1cc(N[C@@H](C)c2nccnc2C)ncc1[N+](=O)[O-] ZINC000872767880 641924966 /nfs/dbraw/zinc/92/49/66/641924966.db2.gz BHLYWXKCXDIRPD-JTQLQIEISA-N 0 0 273.296 2.570 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@H]2CC23CCC3)c1[N+](=O)[O-] ZINC000872775102 641929598 /nfs/dbraw/zinc/92/95/98/641929598.db2.gz OQSIDIHGDVBHKI-SNVBAGLBSA-N 0 0 291.307 2.521 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3CC34CCC4)cc2N1 ZINC000872775059 641929646 /nfs/dbraw/zinc/92/96/46/641929646.db2.gz NFOMJVLPLSTDOT-ZDUSSCGKSA-N 0 0 287.319 2.834 20 5 CFBDRN CCn1ncc(Nc2ncc(Cl)cc2[N+](=O)[O-])c1C ZINC000872779148 641933379 /nfs/dbraw/zinc/93/33/79/641933379.db2.gz NPXFSIVZXHGYQU-UHFFFAOYSA-N 0 0 281.703 2.912 20 5 CFBDRN CNC(=O)c1ccc(NC2(C)CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000872779454 641933388 /nfs/dbraw/zinc/93/33/88/641933388.db2.gz YLALOMVGEOLYJW-UHFFFAOYSA-N 0 0 299.277 2.554 20 5 CFBDRN COc1cc(N2CC[C@@H](C(C)(C)O)C2)c([N+](=O)[O-])cc1C ZINC000872782070 641936393 /nfs/dbraw/zinc/93/63/93/641936393.db2.gz GVSOSDIWPDLWCQ-LLVKDONJSA-N 0 0 294.351 2.509 20 5 CFBDRN CC[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000124268772 641943302 /nfs/dbraw/zinc/94/33/02/641943302.db2.gz SENCUJWAQUGZSV-SECBINFHSA-N 0 0 267.281 2.806 20 5 CFBDRN CCCn1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C)cn1 ZINC000080733409 650706535 /nfs/dbraw/zinc/70/65/35/650706535.db2.gz DQORQBGVUZXADC-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN Cc1cc(NCc2c(C)ccc([N+](=O)[O-])c2C)nn1C ZINC000873092275 642011423 /nfs/dbraw/zinc/01/14/23/642011423.db2.gz FCTPOEHUNJXVTP-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN Cc1nnc(CNCc2c(C)ccc([N+](=O)[O-])c2C)s1 ZINC000873101962 642013774 /nfs/dbraw/zinc/01/37/74/642013774.db2.gz IYALDKMHEICBRN-UHFFFAOYSA-N 0 0 292.364 2.661 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNC(CF)CF ZINC000873129507 642021865 /nfs/dbraw/zinc/02/18/65/642021865.db2.gz PJJOLVWUVOKXQP-UHFFFAOYSA-N 0 0 258.268 2.609 20 5 CFBDRN Cc1c(C[NH2+][C@@H]2C[C@H]2C(F)(F)F)cccc1[N+](=O)[O-] ZINC000873149824 642031434 /nfs/dbraw/zinc/03/14/34/642031434.db2.gz URRIBZMJNSUGNT-NXEZZACHSA-N 0 0 274.242 2.944 20 5 CFBDRN CCn1nccc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000873183402 642053475 /nfs/dbraw/zinc/05/34/75/642053475.db2.gz KUFZVDXCMQKXMH-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN CCn1ncc(NCc2ccc([N+](=O)[O-])cc2)c1C ZINC000873195850 642060619 /nfs/dbraw/zinc/06/06/19/642060619.db2.gz KZQCIDXNVKOOHA-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CC[C@H](C)COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000124474834 642120055 /nfs/dbraw/zinc/12/00/55/642120055.db2.gz DUJKFVIYTOSBNW-JTQLQIEISA-N 0 0 251.282 2.727 20 5 CFBDRN O=C(OC[C@@H]1CC2(CCC2)CO1)c1ccc([N+](=O)[O-])s1 ZINC000873316584 642133677 /nfs/dbraw/zinc/13/36/77/642133677.db2.gz RJFBYIFPFBXTQO-VIFPVBQESA-N 0 0 297.332 2.772 20 5 CFBDRN CC[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873319645 642135544 /nfs/dbraw/zinc/13/55/44/642135544.db2.gz NAMNDYYLTYWNJO-PPGNKHEKSA-N 0 0 277.276 2.519 20 5 CFBDRN CC[C@@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(C)=O ZINC000873379912 642167267 /nfs/dbraw/zinc/16/72/67/642167267.db2.gz YZQRRPLWXZGSGR-AMIZOPFISA-N 0 0 297.282 2.748 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OC1CCOCC1 ZINC000873514518 642230249 /nfs/dbraw/zinc/23/02/49/642230249.db2.gz XMVXWVFZKLHEGP-UHFFFAOYSA-N 0 0 279.292 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@H]1CCO[C@H]1C ZINC000873520133 642232334 /nfs/dbraw/zinc/23/23/34/642232334.db2.gz KUGODJMAJGAAQS-JQWIXIFHSA-N 0 0 279.292 2.546 20 5 CFBDRN C[C@@H](COC(=O)c1cccc(OC(C)(C)C)c1)[N+](=O)[O-] ZINC000873532148 642237367 /nfs/dbraw/zinc/23/73/67/642237367.db2.gz BOGLWXQKVAMOHG-JTQLQIEISA-N 0 0 281.308 2.686 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000873531586 642237470 /nfs/dbraw/zinc/23/74/70/642237470.db2.gz RVRUCCQQLSBUTJ-PHIMTYICSA-N 0 0 279.292 2.546 20 5 CFBDRN Cc1ncoc1COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873533508 642238132 /nfs/dbraw/zinc/23/81/32/642238132.db2.gz BDPCQYDWSCIOHX-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN C[C@@H](OC(=O)/C=C\[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000873579496 642259388 /nfs/dbraw/zinc/25/93/88/642259388.db2.gz GOYDHGXNLHREBP-DSWQZHNZSA-N 0 0 291.303 2.934 20 5 CFBDRN C[C@@H](OC(=O)/C=C/[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000873579495 642259575 /nfs/dbraw/zinc/25/95/75/642259575.db2.gz GOYDHGXNLHREBP-DGTDAXKGSA-N 0 0 291.303 2.934 20 5 CFBDRN CSCC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000873582529 642261108 /nfs/dbraw/zinc/26/11/08/642261108.db2.gz JZQJSSJJRNSDSH-MRVPVSSYSA-N 0 0 255.295 2.562 20 5 CFBDRN C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]1CC12CC2 ZINC000873624823 642276189 /nfs/dbraw/zinc/27/61/89/642276189.db2.gz RSQTUNGRQSFTPP-ZJUUUORDSA-N 0 0 255.314 2.554 20 5 CFBDRN C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)[C@@H]1CC12CC2 ZINC000873627617 642279078 /nfs/dbraw/zinc/27/90/78/642279078.db2.gz AJMBPIYELVUWEA-SKDRFNHKSA-N 0 0 261.277 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCc1ccco1 ZINC000873819656 642297558 /nfs/dbraw/zinc/29/75/58/642297558.db2.gz PWGFPUAAMSGQKM-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Oc1c(F)cccc1F ZINC000195142804 650739290 /nfs/dbraw/zinc/73/92/90/650739290.db2.gz LJLTYCFDBINUDB-UHFFFAOYSA-N 0 0 294.213 2.796 20 5 CFBDRN CCn1nccc1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000124740665 642310293 /nfs/dbraw/zinc/31/02/93/642310293.db2.gz XBOHOYDRPDJZID-JTQLQIEISA-N 0 0 289.291 2.729 20 5 CFBDRN CC(C)(C)OCCOC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000124766618 642319887 /nfs/dbraw/zinc/31/98/87/642319887.db2.gz YKYFUCZEGCIIEH-UHFFFAOYSA-N 0 0 295.335 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)Cc1ccccn1 ZINC000874097059 642337754 /nfs/dbraw/zinc/33/77/54/642337754.db2.gz CBNSXWGVJNLCBS-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC[C@@H](C)C1 ZINC000874268889 642362129 /nfs/dbraw/zinc/36/21/29/642362129.db2.gz RXBGFNROSQLQLJ-SECBINFHSA-N 0 0 262.309 2.694 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000195351890 650748282 /nfs/dbraw/zinc/74/82/82/650748282.db2.gz BSNNYUCMIHITHV-SNVBAGLBSA-N 0 0 266.297 2.575 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000195351895 650748437 /nfs/dbraw/zinc/74/84/37/650748437.db2.gz BSNNYUCMIHITHV-JTQLQIEISA-N 0 0 266.297 2.575 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCCC2(CC)CC)c1[N+](=O)[O-] ZINC000874628135 642431393 /nfs/dbraw/zinc/43/13/93/642431393.db2.gz GZGRDUOVVJBTAB-UHFFFAOYSA-N 0 0 294.355 2.675 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000874790100 642491012 /nfs/dbraw/zinc/49/10/12/642491012.db2.gz BVAGAXXJMBMDIA-ZDUSSCGKSA-N 0 0 290.319 2.666 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC000875052078 642581237 /nfs/dbraw/zinc/58/12/37/642581237.db2.gz IRGZHGVTXQXXIJ-OHBODLIOSA-N 0 0 290.319 2.834 20 5 CFBDRN CCO[C@H](C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-])C1CC1 ZINC000875062769 642582364 /nfs/dbraw/zinc/58/23/64/642582364.db2.gz XRWGWPDWTJNKLT-AWEZNQCLSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1c(OC(=O)[C@]23CCC[C@H]2OCC3)cccc1[N+](=O)[O-] ZINC000875192529 642595805 /nfs/dbraw/zinc/59/58/05/642595805.db2.gz XXMZJLHQIFUOPB-HIFRSBDPSA-N 0 0 291.303 2.768 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000875423144 642620488 /nfs/dbraw/zinc/62/04/88/642620488.db2.gz GNLGQOCFOIZGJB-AYHFEMFVSA-N 0 0 275.308 2.529 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC2(CC2)C1 ZINC000888699752 642775153 /nfs/dbraw/zinc/77/51/53/642775153.db2.gz DNXFBIHXPWNFGO-CYBMUJFWSA-N 0 0 288.347 2.976 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1CCCC2(CC2)C1 ZINC000888699753 642775352 /nfs/dbraw/zinc/77/53/52/642775352.db2.gz DNXFBIHXPWNFGO-ZDUSSCGKSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC2(C)C)cc1[N+](=O)[O-] ZINC000876170763 642786916 /nfs/dbraw/zinc/78/69/16/642786916.db2.gz DXCNNDDKRPKPQO-UHFFFAOYSA-N 0 0 277.324 2.597 20 5 CFBDRN Cc1ccc(CNC(=O)N2[C@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000876172074 642787305 /nfs/dbraw/zinc/78/73/05/642787305.db2.gz QNMOLPLQSYLCJK-TXEJJXNPSA-N 0 0 291.351 2.986 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC2(CC2)C1 ZINC000888706687 642789833 /nfs/dbraw/zinc/78/98/33/642789833.db2.gz MMKHMZHHTYBDHZ-LLVKDONJSA-N 0 0 289.335 2.751 20 5 CFBDRN C[C@@H](OC(=O)C(=O)C(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000876468598 642883215 /nfs/dbraw/zinc/88/32/15/642883215.db2.gz POYMGZAYUGHPJJ-SECBINFHSA-N 0 0 279.292 2.814 20 5 CFBDRN CCCCCC(C)(C)CNC(=O)c1c([N+](=O)[O-])cnn1C ZINC000126192424 643029376 /nfs/dbraw/zinc/02/93/76/643029376.db2.gz LLTAGZPIXVNHQK-UHFFFAOYSA-N 0 0 296.371 2.665 20 5 CFBDRN CSc1ccccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000876838959 643034177 /nfs/dbraw/zinc/03/41/77/643034177.db2.gz WXXFSZCADWRALH-UHFFFAOYSA-N 0 0 278.337 2.662 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(O)cc2)c1 ZINC000088147487 650808333 /nfs/dbraw/zinc/80/83/33/650808333.db2.gz KOEPUXWOCFZADO-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCc2cn3ccccc3n2)c1 ZINC000088627144 650815310 /nfs/dbraw/zinc/81/53/10/650815310.db2.gz PBRPZIGOAUIHLD-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN COCCCCN1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000089658365 650849747 /nfs/dbraw/zinc/84/97/47/650849747.db2.gz PQFAWCDRSOUGSQ-NSHDSACASA-N 0 0 264.325 2.772 20 5 CFBDRN COC[C@H](Cn1cc([N+](=O)[O-])c(C2CC2)n1)C(C)C ZINC000877888679 643625142 /nfs/dbraw/zinc/62/51/42/643625142.db2.gz IQHGCCAQCFJVFR-NSHDSACASA-N 0 0 267.329 2.587 20 5 CFBDRN COC[C@@H](COc1ccc([N+](=O)[O-])c(OC)c1)C(C)C ZINC000877889301 643625183 /nfs/dbraw/zinc/62/51/83/643625183.db2.gz FQDKDQUSBKKNBM-NSHDSACASA-N 0 0 283.324 2.901 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](CF)C1 ZINC000877903351 643632832 /nfs/dbraw/zinc/63/28/32/643632832.db2.gz QDUSSWXBSILYOQ-SNVBAGLBSA-N 0 0 281.287 2.727 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000877917525 643639732 /nfs/dbraw/zinc/63/97/32/643639732.db2.gz WREAPRRHJPGGNN-IVMMDQJWSA-N 0 0 290.363 2.984 20 5 CFBDRN CON(Cc1cn(C)nc1[N+](=O)[O-])C[C@H]1CCC[C@H](C)C1 ZINC000878631856 643966311 /nfs/dbraw/zinc/96/63/11/643966311.db2.gz AKRMVYUGFRSKJN-RYUDHWBXSA-N 0 0 296.371 2.518 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(c1ccccc1)C1CC1 ZINC000878683618 643990061 /nfs/dbraw/zinc/99/00/61/643990061.db2.gz CMKWLKFIMWUOJS-UHFFFAOYSA-N 0 0 286.335 2.769 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cccc(F)n1 ZINC000127294080 644004264 /nfs/dbraw/zinc/00/42/64/644004264.db2.gz XPWDJSCAYDTHFJ-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN COC(C)(C)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000879228505 644182674 /nfs/dbraw/zinc/18/26/74/644182674.db2.gz JPYFNMRXSKTEQU-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1ncccc1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000091496178 650901310 /nfs/dbraw/zinc/90/13/10/650901310.db2.gz BGIPSUJXDIGIMI-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000879322041 644227647 /nfs/dbraw/zinc/22/76/47/644227647.db2.gz TZFZCYNGMJXWSN-QWRGUYRKSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1nn(C)cc1CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000127861907 644341774 /nfs/dbraw/zinc/34/17/74/644341774.db2.gz CVKWSCYBTQYGPI-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CCON(CC)[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000879796730 644428301 /nfs/dbraw/zinc/42/83/01/644428301.db2.gz SQOHYWUZNHPYCX-NSHDSACASA-N 0 0 295.339 2.504 20 5 CFBDRN Cc1noc(CN2CC[C@](C)(C(F)(F)F)C2)c1[N+](=O)[O-] ZINC000880003487 644494805 /nfs/dbraw/zinc/49/48/05/644494805.db2.gz HWCPXWSZAXMEPO-JTQLQIEISA-N 0 0 293.245 2.666 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000128144783 644505807 /nfs/dbraw/zinc/50/58/07/644505807.db2.gz IJOZIHGPKNOLKI-SNVBAGLBSA-N 0 0 266.297 2.594 20 5 CFBDRN CCOc1cc(NC(=O)N(C)C2CC2)ccc1[N+](=O)[O-] ZINC000888834614 644817012 /nfs/dbraw/zinc/81/70/12/644817012.db2.gz LBFZIIBIOUNVRN-UHFFFAOYSA-N 0 0 279.296 2.620 20 5 CFBDRN CCn1nccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000881364726 644962416 /nfs/dbraw/zinc/96/24/16/644962416.db2.gz NRBAIJPPOAKZGH-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000881498561 644998568 /nfs/dbraw/zinc/99/85/68/644998568.db2.gz KVNQYCUUODYCAM-BYCMXARLSA-N 0 0 286.331 2.781 20 5 CFBDRN O=C(NCC[C@@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])cn1 ZINC000881584200 645058685 /nfs/dbraw/zinc/05/86/85/645058685.db2.gz UYTPNGFEUGUKHF-VIFPVBQESA-N 0 0 299.277 2.545 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@H](CF)C2)cc([N+](=O)[O-])c1 ZINC000881595189 645066622 /nfs/dbraw/zinc/06/66/22/645066622.db2.gz PXJAQRZGCXAVDH-LLVKDONJSA-N 0 0 280.299 2.725 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(F)CC1 ZINC000881613618 645082623 /nfs/dbraw/zinc/08/26/23/645082623.db2.gz ISDYAUXTNWMIKB-KHPPLWFESA-N 0 0 292.310 2.959 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H](CF)C1)c1ccc([N+](=O)[O-])cc1F ZINC000881675626 645102562 /nfs/dbraw/zinc/10/25/62/645102562.db2.gz XLXFVTJTXWGTGL-UWVGGRQHSA-N 0 0 298.289 2.655 20 5 CFBDRN COCCNc1cc(F)cc(Br)c1[N+](=O)[O-] ZINC000881732412 645117469 /nfs/dbraw/zinc/11/74/69/645117469.db2.gz HGSUNFPRLRXHRA-UHFFFAOYSA-N 0 0 293.092 2.555 20 5 CFBDRN CCOCCCNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881741724 645119681 /nfs/dbraw/zinc/11/96/81/645119681.db2.gz QGNYRHAIDWUKQF-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@@H](C(F)(F)F)C1 ZINC000881749052 645120555 /nfs/dbraw/zinc/12/05/55/645120555.db2.gz FUUPPKMCANBZOU-SSDOTTSWSA-N 0 0 293.220 2.908 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H]1CC1(Cl)Cl ZINC000881768795 645124190 /nfs/dbraw/zinc/12/41/90/645124190.db2.gz CBNMWXHZBKUZDA-RXMQYKEDSA-N 0 0 280.086 2.735 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1CC1(Cl)Cl ZINC000881768796 645124292 /nfs/dbraw/zinc/12/42/92/645124292.db2.gz CBNMWXHZBKUZDA-YFKPBYRVSA-N 0 0 280.086 2.735 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881977525 645167356 /nfs/dbraw/zinc/16/73/56/645167356.db2.gz PZLUWXIQTQZCOJ-ZANVPECISA-N 0 0 280.324 2.616 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCc2ccccc2CC1 ZINC000881988489 645169151 /nfs/dbraw/zinc/16/91/51/645169151.db2.gz DQBQGFJEEBGEKG-UHFFFAOYSA-N 0 0 287.294 2.734 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC1(O)CCCCCC1 ZINC000881994016 645170346 /nfs/dbraw/zinc/17/03/46/645170346.db2.gz JXQJSSPGGUNBJF-UHFFFAOYSA-N 0 0 283.303 2.626 20 5 CFBDRN C[C@@](O)(CNc1ccnc(F)c1[N+](=O)[O-])c1cccs1 ZINC000882006221 645174782 /nfs/dbraw/zinc/17/47/82/645174782.db2.gz LUOOYXAVBWPBPB-GFCCVEGCSA-N 0 0 297.311 2.510 20 5 CFBDRN C[C@@](O)(CNc1ccnc(F)c1[N+](=O)[O-])c1ccsc1 ZINC000882008454 645175509 /nfs/dbraw/zinc/17/55/09/645175509.db2.gz PXQWEHDLBNDGIR-GFCCVEGCSA-N 0 0 297.311 2.510 20 5 CFBDRN Cc1nn(C[C@@H]2CCCC(C)(C)O2)c(C)c1[N+](=O)[O-] ZINC000882018120 645177201 /nfs/dbraw/zinc/17/72/01/645177201.db2.gz PBXGIYDIMOAUHD-NSHDSACASA-N 0 0 267.329 2.756 20 5 CFBDRN C[C@@H](CCc1cccn1C)Nc1ccnc(F)c1[N+](=O)[O-] ZINC000882026535 645181754 /nfs/dbraw/zinc/18/17/54/645181754.db2.gz RCCUUDMVUJJNNN-JTQLQIEISA-N 0 0 292.314 2.901 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1C[C@@H](C)[C@H](C)C1 ZINC000882036787 645184295 /nfs/dbraw/zinc/18/42/95/645184295.db2.gz MEDBUBICRFHSDM-NXEZZACHSA-N 0 0 262.309 2.890 20 5 CFBDRN CC1(C)C[C@@H](COc2cccnc2[N+](=O)[O-])C(C)(C)O1 ZINC000882051810 645188076 /nfs/dbraw/zinc/18/80/76/645188076.db2.gz XFAXEYBVUUFKHI-JTQLQIEISA-N 0 0 280.324 2.962 20 5 CFBDRN O=[N+]([O-])c1ccnn1C[C@@H]1CC[C@H](c2ccccc2)O1 ZINC000882107012 645204540 /nfs/dbraw/zinc/20/45/40/645204540.db2.gz MXWXNCOAUAIEFO-QWHCGFSZSA-N 0 0 273.292 2.712 20 5 CFBDRN CC(C)[C@@H](O)C(C)(C)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882132342 645214823 /nfs/dbraw/zinc/21/48/23/645214823.db2.gz YJIAHDQWNSDZPR-LLVKDONJSA-N 0 0 285.319 2.584 20 5 CFBDRN Cc1nn(CCCC2CC2)c2ncc([N+](=O)[O-])cc12 ZINC000882133320 645215514 /nfs/dbraw/zinc/21/55/14/645215514.db2.gz MQROHOWYEKOFMR-UHFFFAOYSA-N 0 0 260.297 2.838 20 5 CFBDRN Cc1cnc(OC[C@@H]2CCC3(CCC3)O2)c([N+](=O)[O-])c1 ZINC000882134533 645215627 /nfs/dbraw/zinc/21/56/27/645215627.db2.gz OJXRCLSBEXPSSP-NSHDSACASA-N 0 0 278.308 2.779 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(c1cc(C3CC3)ncn1)C2 ZINC000882136178 645216240 /nfs/dbraw/zinc/21/62/40/645216240.db2.gz JKDRXLYVRKCPKQ-UHFFFAOYSA-N 0 0 296.330 2.825 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CC(C)(C)OC2(C)C)c1=O ZINC000882144038 645218567 /nfs/dbraw/zinc/21/85/67/645218567.db2.gz AOZIGRJCNQKCQO-NSHDSACASA-N 0 0 294.351 2.659 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCOC2(CCC2)C1 ZINC000882148754 645219331 /nfs/dbraw/zinc/21/93/31/645219331.db2.gz USFMQTDABBXNON-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN C[C@H]1CCN(c2ccnc(F)c2[N+](=O)[O-])C[C@H]1C ZINC000882148411 645219389 /nfs/dbraw/zinc/21/93/89/645219389.db2.gz VKAYWPSTFJGAJH-DTWKUNHWSA-N 0 0 253.277 2.611 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CC(C)(C)OC1(C)C ZINC000882170175 645227781 /nfs/dbraw/zinc/22/77/81/645227781.db2.gz KVPRTXCQCJWGLT-LLVKDONJSA-N 0 0 294.351 2.659 20 5 CFBDRN CN(CC1(O)CCCCCC1)c1ccnc(F)c1[N+](=O)[O-] ZINC000882211934 645244962 /nfs/dbraw/zinc/24/49/62/645244962.db2.gz KPTWWUWRCOKJQT-UHFFFAOYSA-N 0 0 297.330 2.650 20 5 CFBDRN CO[C@@H](CCNc1ccnc(F)c1[N+](=O)[O-])C(F)(F)F ZINC000882242932 645252119 /nfs/dbraw/zinc/25/21/19/645252119.db2.gz YFFDFAUIZPDHNI-ZETCQYMHSA-N 0 0 297.208 2.508 20 5 CFBDRN C[C@](O)(CNc1ccnc(F)c1[N+](=O)[O-])C1CCCCC1 ZINC000882248392 645253746 /nfs/dbraw/zinc/25/37/46/645253746.db2.gz XTCLDRBTMKNLTK-AWEZNQCLSA-N 0 0 297.330 2.872 20 5 CFBDRN CC[C@@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)CCCO1 ZINC000882310022 645276112 /nfs/dbraw/zinc/27/61/12/645276112.db2.gz SOTLOLJGADNYOG-GFCCVEGCSA-N 0 0 292.335 2.803 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129573502 645278800 /nfs/dbraw/zinc/27/88/00/645278800.db2.gz AOGUTGIHZUNGOZ-SSDOTTSWSA-N 0 0 256.689 2.777 20 5 CFBDRN O=C(Nc1nccs1)c1cccc([N+](=O)[O-])c1Cl ZINC000129571485 645278872 /nfs/dbraw/zinc/27/88/72/645278872.db2.gz OAZZWQBIXSIGCT-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CC(=O)c1c(NCCCOC(F)F)cccc1[N+](=O)[O-] ZINC000882320895 645282395 /nfs/dbraw/zinc/28/23/95/645282395.db2.gz NWFCACUZKQAKQW-UHFFFAOYSA-N 0 0 288.250 2.839 20 5 CFBDRN CCc1nnc(SCc2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000882328420 645284282 /nfs/dbraw/zinc/28/42/82/645284282.db2.gz IAVJEXHEMVSPRB-UHFFFAOYSA-N 0 0 283.284 2.972 20 5 CFBDRN CO[C@@H]1CCN(c2cccc([N+](=O)[O-])c2C(C)=O)C[C@H]1C ZINC000882420011 645306147 /nfs/dbraw/zinc/30/61/47/645306147.db2.gz WQUBRWWSCZRDNY-QMTHXVAHSA-N 0 0 292.335 2.659 20 5 CFBDRN CC1(C)C[C@H](CNc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882436093 645313204 /nfs/dbraw/zinc/31/32/04/645313204.db2.gz QZMTYSYHZXEROY-SECBINFHSA-N 0 0 283.303 2.746 20 5 CFBDRN CO[C@H](C)CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129675791 645316928 /nfs/dbraw/zinc/31/69/28/645316928.db2.gz URWCUZTZTNTVOQ-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)[C@H]1CCCOC1 ZINC000882445208 645318026 /nfs/dbraw/zinc/31/80/26/645318026.db2.gz DUBVKKQGQYIOMQ-UWVGGRQHSA-N 0 0 298.364 2.591 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882603911 645381992 /nfs/dbraw/zinc/38/19/92/645381992.db2.gz KHKFYHATAVTCHA-XYPYZODXSA-N 0 0 278.308 2.777 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@H](C1CCC1)[C@@H]1CCOC1 ZINC000882691929 645411981 /nfs/dbraw/zinc/41/19/81/645411981.db2.gz CODVIYZKEXIRAZ-ZYHUDNBSSA-N 0 0 295.314 2.746 20 5 CFBDRN COC(=O)Cc1ccc(NC[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000882756535 645444949 /nfs/dbraw/zinc/44/49/49/645444949.db2.gz FSLMSQFHWQIIKW-NSHDSACASA-N 0 0 290.319 2.688 20 5 CFBDRN C=C/C=C\CCNc1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000882795009 645457285 /nfs/dbraw/zinc/45/72/85/645457285.db2.gz CNUMSXCRYYMUBQ-WAYWQWQTSA-N 0 0 291.307 2.629 20 5 CFBDRN CO[C@H]1C[C@H](CNc2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882796793 645458220 /nfs/dbraw/zinc/45/82/20/645458220.db2.gz WGEAXFWJSGZUPJ-XYPYZODXSA-N 0 0 278.308 2.634 20 5 CFBDRN C=C/C=C\CCNc1nccc(C(=O)OCC)c1[N+](=O)[O-] ZINC000882796316 645458361 /nfs/dbraw/zinc/45/83/61/645458361.db2.gz QRTVXOGYYYXBAU-WAYWQWQTSA-N 0 0 291.307 2.711 20 5 CFBDRN CO[C@H]1C[C@H](CNc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000882798221 645459998 /nfs/dbraw/zinc/45/99/98/645459998.db2.gz ZMNDEYIPDXLJQI-UMSPYCQHSA-N 0 0 278.308 2.634 20 5 CFBDRN CO[C@H]1C[C@H](CNc2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000882798519 645460541 /nfs/dbraw/zinc/46/05/41/645460541.db2.gz QFAKEVMKICZCDE-XYPYZODXSA-N 0 0 287.319 2.980 20 5 CFBDRN O=c1[nH]ccc(NCC[C@@H]2CCCC2(F)F)c1[N+](=O)[O-] ZINC000882799138 645461067 /nfs/dbraw/zinc/46/10/67/645461067.db2.gz QOWNLFODMCCLAG-QMMMGPOBSA-N 0 0 287.266 2.933 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](CF)C2)c(OC(F)F)c1 ZINC000882840256 645476429 /nfs/dbraw/zinc/47/64/29/645476429.db2.gz PFWBWFAPQCRZPW-QMMMGPOBSA-N 0 0 290.241 2.992 20 5 CFBDRN Cn1cc(Cl)cc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000026034986 645482946 /nfs/dbraw/zinc/48/29/46/645482946.db2.gz FUHWQSCHWMBGFX-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN Cc1cc(NC[C@@H](CO)Cc2ccoc2)c([N+](=O)[O-])s1 ZINC000882867494 645483366 /nfs/dbraw/zinc/48/33/66/645483366.db2.gz WLBDIYTVVWHLEH-NSHDSACASA-N 0 0 296.348 2.821 20 5 CFBDRN Cc1nn(C)c(NCc2ccc(C)nc2Cl)c1[N+](=O)[O-] ZINC000882882253 645489704 /nfs/dbraw/zinc/48/97/04/645489704.db2.gz AVUOYSIZVFYBEV-UHFFFAOYSA-N 0 0 295.730 2.606 20 5 CFBDRN Cc1ccnc(N2CC(CC3CCOCC3)C2)c1[N+](=O)[O-] ZINC000882885975 645492107 /nfs/dbraw/zinc/49/21/07/645492107.db2.gz WYAZHMDFVQERBC-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN C[C@H]1c2sccc2CCN1c1c([N+](=O)[O-])ncn1C ZINC000882911377 645507606 /nfs/dbraw/zinc/50/76/06/645507606.db2.gz QUNHJIOXMALJBB-QMMMGPOBSA-N 0 0 278.337 2.514 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])nc2)OCCc2ccccc21 ZINC000882928489 645515380 /nfs/dbraw/zinc/51/53/80/645515380.db2.gz LPGHOSUEBQUZDQ-MRXNPFEDSA-N 0 0 299.330 2.890 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3cc(F)c(F)cc3C2)s1 ZINC000882945569 645521461 /nfs/dbraw/zinc/52/14/61/645521461.db2.gz AQWPYJDPNCCTMM-UHFFFAOYSA-N 0 0 297.286 2.892 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(N)c1F)[C@@H]1CCCOC1 ZINC000882955797 645526409 /nfs/dbraw/zinc/52/64/09/645526409.db2.gz SNKQAOPFEBWAGZ-NXEZZACHSA-N 0 0 297.330 2.933 20 5 CFBDRN CC[N@@H+]1CC[C@@H]1CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000882959161 645528981 /nfs/dbraw/zinc/52/89/81/645528981.db2.gz XBDWXQGNBPKDHZ-LLVKDONJSA-N 0 0 286.335 2.649 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H]2CCN2CC)c([N+](=O)[O-])c1 ZINC000882959643 645530629 /nfs/dbraw/zinc/53/06/29/645530629.db2.gz MHFLVSJWAYQAIX-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCC2(CCC2)O1 ZINC000882972963 645535906 /nfs/dbraw/zinc/53/59/06/645535906.db2.gz CRSOBSIVJGOOBD-NSHDSACASA-N 0 0 294.355 2.633 20 5 CFBDRN Cc1nc(NC2CCC3(COC3)CC2)ccc1[N+](=O)[O-] ZINC000882995460 645552498 /nfs/dbraw/zinc/55/24/98/645552498.db2.gz ZHPFDZZMDHKEPC-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000883135708 645621029 /nfs/dbraw/zinc/62/10/29/645621029.db2.gz LBTNDKSWEAYMMV-VIFPVBQESA-N 0 0 279.271 2.822 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000883153710 645629813 /nfs/dbraw/zinc/62/98/13/645629813.db2.gz LRYYNYFJUMEZOC-WDEREUQCSA-N 0 0 295.314 2.657 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000131160050 645638543 /nfs/dbraw/zinc/63/85/43/645638543.db2.gz YQQVDSMHWNRFCK-PRHODGIISA-N 0 0 280.299 2.961 20 5 CFBDRN CC[C@@H](C)OCC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131861733 645839849 /nfs/dbraw/zinc/83/98/49/645839849.db2.gz MOVYCGISIYOPJS-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(NOCc1ccc(Cl)c([N+](=O)[O-])c1)C1CCCC1 ZINC000132221728 645895915 /nfs/dbraw/zinc/89/59/15/645895915.db2.gz IFEPQDPMWGASGF-UHFFFAOYSA-N 0 0 298.726 2.986 20 5 CFBDRN Cc1ccoc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132398134 645913847 /nfs/dbraw/zinc/91/38/47/645913847.db2.gz YOEIBNPVQLETFL-UHFFFAOYSA-N 0 0 265.196 2.855 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000132763475 645963762 /nfs/dbraw/zinc/96/37/62/645963762.db2.gz PKLGTDOLJMCSAC-NXEZZACHSA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCc1cccnc1 ZINC000132939954 645988396 /nfs/dbraw/zinc/98/83/96/645988396.db2.gz BYGGLKNTAJNTEA-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1c(C(=O)OC[C@@H](F)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000800098898 646141404 /nfs/dbraw/zinc/14/14/04/646141404.db2.gz CWITVJDJWXHHGO-SECBINFHSA-N 0 0 295.188 2.960 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1nccs1 ZINC000800143872 646154504 /nfs/dbraw/zinc/15/45/04/646154504.db2.gz IOZLHLPSTVDQQP-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1ncoc1C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800151019 646157506 /nfs/dbraw/zinc/15/75/06/646157506.db2.gz JHRHNRYDDPVTSK-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1C[C@@H]1C ZINC000800148527 646157880 /nfs/dbraw/zinc/15/78/80/646157880.db2.gz NXAFJMIFLIUDHW-XPUUQOCRSA-N 0 0 252.245 2.637 20 5 CFBDRN Cc1cc(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)no1 ZINC000800149007 646157903 /nfs/dbraw/zinc/15/79/03/646157903.db2.gz UQGHPRRZFPFIRD-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccn(C)c1 ZINC000800150120 646157933 /nfs/dbraw/zinc/15/79/33/646157933.db2.gz BWCJWOYUGRCOIW-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1cc(F)ccc1[N+](=O)[O-] ZINC000800153508 646158787 /nfs/dbraw/zinc/15/87/87/646158787.db2.gz OVXIPALHJZLRPH-MRVPVSSYSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H](F)C(F)(F)F)c1 ZINC000800175706 646170141 /nfs/dbraw/zinc/17/01/41/646170141.db2.gz RRNNWMQWTMAAGX-SECBINFHSA-N 0 0 295.188 2.960 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCC1CCC1 ZINC000133665230 646203794 /nfs/dbraw/zinc/20/37/94/646203794.db2.gz YABYMTWJYCBEPM-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN COCCOCCCCNc1cccc(F)c1[N+](=O)[O-] ZINC000133950142 646258042 /nfs/dbraw/zinc/25/80/42/646258042.db2.gz GZNZTJYHEZYSDN-UHFFFAOYSA-N 0 0 286.303 2.589 20 5 CFBDRN O=C(NCc1cccs1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134025522 646267021 /nfs/dbraw/zinc/26/70/21/646267021.db2.gz SHHNMTSVTTVLAN-UHFFFAOYSA-N 0 0 298.270 2.865 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000134238514 646309798 /nfs/dbraw/zinc/30/97/98/646309798.db2.gz GWXFLLXAUUZGKA-QMMMGPOBSA-N 0 0 286.328 2.605 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000134284742 646322142 /nfs/dbraw/zinc/32/21/42/646322142.db2.gz YSZWBSILDCQDHF-MRVPVSSYSA-N 0 0 286.328 2.605 20 5 CFBDRN CCC[C@H](O)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000134449026 646347851 /nfs/dbraw/zinc/34/78/51/646347851.db2.gz LBJUWHVUSNJDCU-VIFPVBQESA-N 0 0 258.705 2.821 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1C ZINC000134641891 646376455 /nfs/dbraw/zinc/37/64/55/646376455.db2.gz XQWREGCPTWBWJD-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N3CC[C@H]4C[C@H]43)c2c1 ZINC000884497045 646399485 /nfs/dbraw/zinc/39/94/85/646399485.db2.gz NJEMEPQFEQLBLI-TVQRCGJNSA-N 0 0 285.303 2.750 20 5 CFBDRN COc1cccc(NC[C@H](O)c2ccccc2)c1[N+](=O)[O-] ZINC000134904417 646402057 /nfs/dbraw/zinc/40/20/57/646402057.db2.gz QWDXFTXZCQVNMW-ZDUSSCGKSA-N 0 0 288.303 2.749 20 5 CFBDRN CCc1nccc(N(C)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000884499142 646402273 /nfs/dbraw/zinc/40/22/73/646402273.db2.gz VVGVHPCQYLPSEA-UHFFFAOYSA-N 0 0 286.335 2.626 20 5 CFBDRN CC1=NO[C@@H](CNc2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000884521521 646417202 /nfs/dbraw/zinc/41/72/02/646417202.db2.gz ZFUOMHMQMGVEEU-SNVBAGLBSA-N 0 0 286.291 2.720 20 5 CFBDRN CC[C@@H](CC(F)F)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884546284 646439829 /nfs/dbraw/zinc/43/98/29/646439829.db2.gz GRUWKFLAZVUZJQ-QMMMGPOBSA-N 0 0 288.250 2.714 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](CF)C2)c2ccccc21 ZINC000884582013 646468167 /nfs/dbraw/zinc/46/81/67/646468167.db2.gz RHJFKXBLWVESIO-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN COc1cc(N2C[C@@H]3C[C@@H]3C2)c(Cl)cc1[N+](=O)[O-] ZINC000884591043 646474563 /nfs/dbraw/zinc/47/45/63/646474563.db2.gz KMDYARVTEOOXOZ-OCAPTIKFSA-N 0 0 268.700 2.713 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@H]1c1ccccc1 ZINC000884641377 646502696 /nfs/dbraw/zinc/50/26/96/646502696.db2.gz IFXJHYIHFSAANF-DGCLKSJQSA-N 0 0 286.335 2.916 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@@H]1c1ccccc1 ZINC000884641836 646502838 /nfs/dbraw/zinc/50/28/38/646502838.db2.gz UTAKNVJTQGKMLS-RISCZKNCSA-N 0 0 284.319 2.972 20 5 CFBDRN COc1cc(N2C[C@@H]3CSC[C@@H]3C2)c([N+](=O)[O-])cc1F ZINC000884645746 646505311 /nfs/dbraw/zinc/50/53/11/646505311.db2.gz TZMMKJXCIZKSHN-DTORHVGOSA-N 0 0 298.339 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC3(CCC3)CO2)cc1 ZINC000884663318 646516759 /nfs/dbraw/zinc/51/67/59/646516759.db2.gz KFWUYULYNWSWKY-ZDUSSCGKSA-N 0 0 262.309 2.966 20 5 CFBDRN CCC(CC)OC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000135356913 646521090 /nfs/dbraw/zinc/52/10/90/646521090.db2.gz PPJYZBFDAYVKDH-UHFFFAOYSA-N 0 0 266.297 2.739 20 5 CFBDRN COc1ccc(F)cc1CCNc1ncc([N+](=O)[O-])s1 ZINC000884677012 646523241 /nfs/dbraw/zinc/52/32/41/646523241.db2.gz DOHLGQZJMXTTOF-UHFFFAOYSA-N 0 0 297.311 2.854 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2CCC3(CCC3)CO2)c1 ZINC000884683193 646529526 /nfs/dbraw/zinc/52/95/26/646529526.db2.gz YGAFIFLJWXQCEK-GFCCVEGCSA-N 0 0 291.351 2.938 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3ncccn3)C2)cc1 ZINC000884709112 646536266 /nfs/dbraw/zinc/53/62/66/646536266.db2.gz WECNOZSIBYCAJG-GFCCVEGCSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H]([C@@H]3CCCO3)C2)c(F)c1 ZINC000884730119 646543663 /nfs/dbraw/zinc/54/36/63/646543663.db2.gz CLTXBVFABHQOBF-ZANVPECISA-N 0 0 298.289 2.878 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H]([C@@H]3CCCO3)C2)c1 ZINC000884731254 646544553 /nfs/dbraw/zinc/54/45/53/646544553.db2.gz VTTXDSGMIUEJJH-NHYWBVRUSA-N 0 0 292.335 2.609 20 5 CFBDRN COc1cc(N2CC[C@H]([C@H]3CCCO3)C2)ccc1[N+](=O)[O-] ZINC000884732578 646546071 /nfs/dbraw/zinc/54/60/71/646546071.db2.gz QDDJCHNWFBHOCB-SMDDNHRTSA-N 0 0 292.335 2.609 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000884740709 646546889 /nfs/dbraw/zinc/54/68/89/646546889.db2.gz KPRXFHIVCVNWEE-AAEUAGOBSA-N 0 0 292.335 2.609 20 5 CFBDRN COC[C@@H](Nc1ccc(C)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000884796980 646552768 /nfs/dbraw/zinc/55/27/68/646552768.db2.gz VLXMHAVHFMFFKK-TZMCWYRMSA-N 0 0 294.351 2.757 20 5 CFBDRN COC[C@H](Nc1cccc(F)c1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000884796627 646552858 /nfs/dbraw/zinc/55/28/58/646552858.db2.gz SVJIIVGJJPMFRU-MFKMUULPSA-N 0 0 298.314 2.587 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCCCO2 ZINC000885184232 646631936 /nfs/dbraw/zinc/63/19/36/646631936.db2.gz FBKATGCJTVNNDY-VIFPVBQESA-N 0 0 295.291 2.711 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1cnc(C2CC2)o1 ZINC000885331231 646662546 /nfs/dbraw/zinc/66/25/46/646662546.db2.gz VDZAWZPPUNBGPM-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C1(C2CC2)CC1 ZINC000885732234 646741862 /nfs/dbraw/zinc/74/18/62/646741862.db2.gz LYIWXENPGOWPHX-UHFFFAOYSA-N 0 0 291.303 2.837 20 5 CFBDRN COc1cccc(C(=O)NC[C@]23C[C@H]2CCC3)c1[N+](=O)[O-] ZINC000885995497 646801422 /nfs/dbraw/zinc/80/14/22/646801422.db2.gz RKFSREZRFPCDJD-BMIGLBTASA-N 0 0 290.319 2.523 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000885998456 646804153 /nfs/dbraw/zinc/80/41/53/646804153.db2.gz CDHXSYIOMZTFSR-HWPZZCPQSA-N 0 0 299.330 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@]23C[C@H]2CCC3)c1 ZINC000886000712 646806123 /nfs/dbraw/zinc/80/61/23/646806123.db2.gz JCERVYLGDMSHQC-ABAIWWIYSA-N 0 0 274.320 2.823 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)Cc2cccnc2[N+](=O)[O-])C1(C)C ZINC000886018938 646821024 /nfs/dbraw/zinc/82/10/24/646821024.db2.gz JXRXUSVZPXPMBW-QWHCGFSZSA-N 0 0 293.367 2.625 20 5 CFBDRN CC(C)[C@H]1CN(Cc2cccnc2[N+](=O)[O-])CCS1 ZINC000886028774 646828297 /nfs/dbraw/zinc/82/82/97/646828297.db2.gz WPVXZUGWMGBQQL-GFCCVEGCSA-N 0 0 281.381 2.563 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCOC[C@@H]1c1ccccc1 ZINC000886028719 646828509 /nfs/dbraw/zinc/82/85/09/646828509.db2.gz VHITZOORRGEVNY-OAHLLOKOSA-N 0 0 299.330 2.563 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@H]1C[C@]13CCOC3)CC2 ZINC000886105387 646875006 /nfs/dbraw/zinc/87/50/06/646875006.db2.gz YXQKJWPVOMTRIR-CJNGLKHVSA-N 0 0 288.347 2.692 20 5 CFBDRN COc1ccc(C2(NCc3cccnc3[N+](=O)[O-])CC2)cc1 ZINC000886112364 646876887 /nfs/dbraw/zinc/87/68/87/646876887.db2.gz WBJAYDZEODHQOZ-UHFFFAOYSA-N 0 0 299.330 2.777 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN[C@@H](c1cccnc1)C1CC1 ZINC000886123353 646882160 /nfs/dbraw/zinc/88/21/60/646882160.db2.gz XLIUVSPSSWWBNZ-CQSZACIVSA-N 0 0 284.319 2.626 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN[C@H](c1cccnc1)C1CC1 ZINC000886123352 646882479 /nfs/dbraw/zinc/88/24/79/646882479.db2.gz XLIUVSPSSWWBNZ-AWEZNQCLSA-N 0 0 284.319 2.626 20 5 CFBDRN CCc1nc([C@H](C)NCc2cccnc2[N+](=O)[O-])cs1 ZINC000886130031 646886894 /nfs/dbraw/zinc/88/68/94/646886894.db2.gz TWYYAFHZGHTCRY-VIFPVBQESA-N 0 0 292.364 2.860 20 5 CFBDRN O=[N+]([O-])c1c(N2CCc3ccccc3C2)nc2ccccn21 ZINC000137626106 646965029 /nfs/dbraw/zinc/96/50/29/646965029.db2.gz NFLYRXJWPJNTSI-UHFFFAOYSA-N 0 0 294.314 2.805 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1C[C@@H]2C[C@@H]2C1 ZINC000886334434 646975495 /nfs/dbraw/zinc/97/54/95/646975495.db2.gz WOKGQDWMOIYNLX-AOOOYVTPSA-N 0 0 252.701 2.700 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]2C1 ZINC000890269855 647014444 /nfs/dbraw/zinc/01/44/44/647014444.db2.gz UPVYJGUMSKBUDO-TXEJJXNPSA-N 0 0 292.310 2.535 20 5 CFBDRN C[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ncc[nH]1 ZINC000138925012 647134838 /nfs/dbraw/zinc/13/48/38/647134838.db2.gz WQSIAHFRPTVHFC-MRVPVSSYSA-N 0 0 280.715 2.822 20 5 CFBDRN COc1cc(CN(C)CCCF)c([N+](=O)[O-])cc1F ZINC000887141029 647228748 /nfs/dbraw/zinc/22/87/48/647228748.db2.gz BUFMZQMHIVVEKJ-UHFFFAOYSA-N 0 0 274.267 2.534 20 5 CFBDRN CC(C)(CNC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000887381921 647295589 /nfs/dbraw/zinc/29/55/89/647295589.db2.gz LGGDZLVNCNOQGY-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H](CNC(=O)CC1CSC1)c1ccccc1[N+](=O)[O-] ZINC000887399024 647302210 /nfs/dbraw/zinc/30/22/10/647302210.db2.gz LNYKOTPGWKMHFD-SNVBAGLBSA-N 0 0 294.376 2.568 20 5 CFBDRN CCC(F)(F)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887399153 647302248 /nfs/dbraw/zinc/30/22/48/647302248.db2.gz OICJTFDDTNUNGP-VIFPVBQESA-N 0 0 286.278 2.860 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC000887399117 647302355 /nfs/dbraw/zinc/30/23/55/647302355.db2.gz NJPNXSUJLDLPTJ-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@@H](CNC(=O)[C@@H]1CCC=CO1)c1ccccc1[N+](=O)[O-] ZINC000887403186 647303815 /nfs/dbraw/zinc/30/38/15/647303815.db2.gz QRVXGFNFUWLODG-FZMZJTMJSA-N 0 0 290.319 2.507 20 5 CFBDRN C[C@@H](CNC(=O)C=C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000887403510 647304479 /nfs/dbraw/zinc/30/44/79/647304479.db2.gz YEOIJMQEQSPBAN-NSHDSACASA-N 0 0 274.320 2.925 20 5 CFBDRN CC(C)OCCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404931 647304620 /nfs/dbraw/zinc/30/46/20/647304620.db2.gz PFHMNCLGCKWTNH-LBPRGKRZSA-N 0 0 294.351 2.630 20 5 CFBDRN CC[C@H](C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404654 647304779 /nfs/dbraw/zinc/30/47/79/647304779.db2.gz JGOCZMGRGSSPFM-QWRGUYRKSA-N 0 0 264.325 2.861 20 5 CFBDRN CC1(C)CC[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])o2)OC1 ZINC000887424211 647313474 /nfs/dbraw/zinc/31/34/74/647313474.db2.gz RFIBKVLHMGKOFJ-JTQLQIEISA-N 0 0 296.323 2.513 20 5 CFBDRN Cc1cc(Cl)ncc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000301645187 647380612 /nfs/dbraw/zinc/38/06/12/647380612.db2.gz ZDIOBZOOABJSOV-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1nc(COc2cc(F)c([N+](=O)[O-])cc2Cl)no1 ZINC000302563932 647410353 /nfs/dbraw/zinc/41/03/53/647410353.db2.gz ZDYKKTKWXYJFPN-UHFFFAOYSA-N 0 0 287.634 2.658 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1cccc(C)n1 ZINC000887884096 647431042 /nfs/dbraw/zinc/43/10/42/647431042.db2.gz KJKXRYQEQBLEMN-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)C(C)C)c1C ZINC000887890578 647433053 /nfs/dbraw/zinc/43/30/53/647433053.db2.gz ANPOTZDBIHYVMH-UHFFFAOYSA-N 0 0 250.298 2.692 20 5 CFBDRN O=C(c1cnc(C2CC2)o1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000889076915 647489825 /nfs/dbraw/zinc/48/98/25/647489825.db2.gz SOKAJQRANNNNSQ-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN COC[C@@H](NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])C(C)C ZINC000889198640 647517503 /nfs/dbraw/zinc/51/75/03/647517503.db2.gz WPWYUVBMYXHDPT-GFCCVEGCSA-N 0 0 294.351 2.612 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000889293981 647538635 /nfs/dbraw/zinc/53/86/35/647538635.db2.gz RMMLOMQEZJCEIS-SNVBAGLBSA-N 0 0 299.330 2.955 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889591038 647607746 /nfs/dbraw/zinc/60/77/46/647607746.db2.gz NLDZJDZGWAJUSC-ZKYQVNSYSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000889591036 647607999 /nfs/dbraw/zinc/60/79/99/647607999.db2.gz NLDZJDZGWAJUSC-SCDSUCTJSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000889599883 647611956 /nfs/dbraw/zinc/61/19/56/647611956.db2.gz NVODTHDODUCQLA-GIPNMCIBSA-N 0 0 299.330 2.995 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000889599882 647612283 /nfs/dbraw/zinc/61/22/83/647612283.db2.gz NVODTHDODUCQLA-GBIKHYSHSA-N 0 0 299.330 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)Cc1cccnc1 ZINC000889659978 647633975 /nfs/dbraw/zinc/63/39/75/647633975.db2.gz QGFJVGZRICFOBK-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1ccc(C(=O)N2CCCSC[C@H]2C)cc1[N+](=O)[O-] ZINC000889909005 647697381 /nfs/dbraw/zinc/69/73/81/647697381.db2.gz OBJYIHDRGUWBBG-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@H]1CSCCCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000889927081 647704602 /nfs/dbraw/zinc/70/46/02/647704602.db2.gz MRHLSIJPLKWGFC-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCC=CO2)c1C ZINC000890101684 647744732 /nfs/dbraw/zinc/74/47/32/647744732.db2.gz PHEHFZLFJGXAKU-LBPRGKRZSA-N 0 0 290.319 2.634 20 5 CFBDRN CSC1(CNC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])CC1 ZINC000890294327 647774691 /nfs/dbraw/zinc/77/46/91/647774691.db2.gz XZSKQWCKZGYDLH-UHFFFAOYSA-N 0 0 294.376 2.837 20 5 CFBDRN O=C(OCCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000122466645 647830549 /nfs/dbraw/zinc/83/05/49/647830549.db2.gz MRGIGKLIKIBVGM-UHFFFAOYSA-N 0 0 263.171 2.704 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1ccc(O)cc1 ZINC000003619105 647833239 /nfs/dbraw/zinc/83/32/39/647833239.db2.gz XVYXVQDTIVYDPG-UHFFFAOYSA-N 0 0 273.244 2.657 20 5 CFBDRN Cc1ccccc1COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000008329999 647872864 /nfs/dbraw/zinc/87/28/64/647872864.db2.gz BXZJSRYMYVHSBI-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN O=C(Cc1ccc(Cl)c([N+](=O)[O-])c1)OC[C@H]1CCCO1 ZINC000924243782 647946853 /nfs/dbraw/zinc/94/68/53/647946853.db2.gz RXAYTSMFQIYQFQ-SNVBAGLBSA-N 0 0 299.710 2.513 20 5 CFBDRN C[C@@H](NC(=O)N[C@H](C)C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000925252861 648144211 /nfs/dbraw/zinc/14/42/11/648144211.db2.gz SCMMCVMNUZVBIR-HTQZYQBOSA-N 0 0 287.266 2.609 20 5 CFBDRN Cc1c([C@H](C)NCc2cc(N)cc[nH+]2)cccc1[N+](=O)[O-] ZINC000925772441 648316118 /nfs/dbraw/zinc/31/61/18/648316118.db2.gz SBPQDTSLMRFZHJ-NSHDSACASA-N 0 0 286.335 2.731 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000925897071 648360060 /nfs/dbraw/zinc/36/00/60/648360060.db2.gz LJRDSXIBQOTTHD-UHFFFAOYSA-N 0 0 284.337 2.551 20 5 CFBDRN CC(C)(CCC(=O)N=[S@](C)(=O)c1ccccc1)[N+](=O)[O-] ZINC000925950550 648372323 /nfs/dbraw/zinc/37/23/23/648372323.db2.gz ALVLENZOBZLYCQ-HXUWFJFHSA-N 0 0 298.364 2.505 20 5 CFBDRN C/C(Cl)=C/C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246121 648887343 /nfs/dbraw/zinc/88/73/43/648887343.db2.gz AMYVSKFFLUSXFH-UFGYOYAJSA-N 0 0 268.700 2.915 20 5 CFBDRN CCO[C@H](CC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246308 648887667 /nfs/dbraw/zinc/88/76/67/648887667.db2.gz ACDNTMYBZJWVJI-ZWNOBZJWSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)SCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247545 648888458 /nfs/dbraw/zinc/88/84/58/648888458.db2.gz XIBUWHMBYQAHSR-SNVBAGLBSA-N 0 0 282.365 2.914 20 5 CFBDRN COc1c(C(=O)NC(C)(C)/C=C/Cl)cccc1[N+](=O)[O-] ZINC000928926066 648966298 /nfs/dbraw/zinc/96/62/98/648966298.db2.gz BVQWKOFRYVGOGS-BQYQJAHWSA-N 0 0 298.726 2.864 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC(C)(C)/C=C/Cl ZINC000928938775 648968216 /nfs/dbraw/zinc/96/82/16/648968216.db2.gz ZFPUQZNBUCKJBV-SNAWJCMRSA-N 0 0 283.715 2.559 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000928938983 648968288 /nfs/dbraw/zinc/96/82/88/648968288.db2.gz BPDIEAWKIVQZIC-WAYWQWQTSA-N 0 0 286.690 2.995 20 5 CFBDRN CCCCCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000035073586 648971651 /nfs/dbraw/zinc/97/16/51/648971651.db2.gz JDCVCWZMVVMQJX-YFHOEESVSA-N 0 0 262.309 2.914 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000929117080 648982963 /nfs/dbraw/zinc/98/29/63/648982963.db2.gz ZPSNCNFPRUJREK-JIMOISOXSA-N 0 0 275.308 2.905 20 5 CFBDRN C[C@H](c1ncccn1)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000929165657 648992959 /nfs/dbraw/zinc/99/29/59/648992959.db2.gz URYPQJDIDFQMPA-LLVKDONJSA-N 0 0 272.308 2.578 20 5 CFBDRN CCN(C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000929863654 649142058 /nfs/dbraw/zinc/14/20/58/649142058.db2.gz OANLVWRFQKTAEG-KGLIPLIRSA-N 0 0 274.320 2.709 20 5 CFBDRN O=[N+]([O-])CCN1CCCC[C@H]1c1nc2ccccc2o1 ZINC000930037251 649172393 /nfs/dbraw/zinc/17/23/93/649172393.db2.gz HVTYWBXAJWMTHH-LBPRGKRZSA-N 0 0 275.308 2.632 20 5 CFBDRN C[C@@H](c1ncccn1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000930098872 649184513 /nfs/dbraw/zinc/18/45/13/649184513.db2.gz GWQLCRNOVLHVOK-NSHDSACASA-N 0 0 284.319 2.504 20 5 CFBDRN O=C(NCC1CCC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000930152392 649194300 /nfs/dbraw/zinc/19/43/00/649194300.db2.gz FJBQIPCXQKAFPC-ZIAGYGMSSA-N 0 0 274.320 2.615 20 5 CFBDRN Cc1cc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)c(C)nn1 ZINC000930181141 649199583 /nfs/dbraw/zinc/19/95/83/649199583.db2.gz ATEIBTQUCAWOIW-UHFFFAOYSA-N 0 0 298.346 2.873 20 5 CFBDRN CCN(C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C(C)C ZINC000930311468 649228705 /nfs/dbraw/zinc/22/87/05/649228705.db2.gz MXTLUIDMNZSPNI-UONOGXRCSA-N 0 0 276.336 2.955 20 5 CFBDRN CCn1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cn1 ZINC000035781216 649332002 /nfs/dbraw/zinc/33/20/02/649332002.db2.gz IEMMAGPEIQTZOH-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN O=[N+]([O-])c1c(NC2CCCCC2)nc2ccccn21 ZINC000035855956 649378516 /nfs/dbraw/zinc/37/85/16/649378516.db2.gz BIMIOPJLQRCQKE-UHFFFAOYSA-N 0 0 260.297 2.987 20 5 CFBDRN CC(C)(NCC[N+](=O)[O-])c1nc2cc(Cl)ccc2o1 ZINC000931381701 649417987 /nfs/dbraw/zinc/41/79/87/649417987.db2.gz CGQLEUWAZJELHS-UHFFFAOYSA-N 0 0 283.715 2.583 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000931426822 649423945 /nfs/dbraw/zinc/42/39/45/649423945.db2.gz NXWUWFMPTXHIDY-SNVBAGLBSA-N 0 0 280.299 2.535 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000932060034 649530736 /nfs/dbraw/zinc/53/07/36/649530736.db2.gz YVQOACGSKAWQKA-GXFFZTMASA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@@H]([NH2+]CCO[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000932178092 649559630 /nfs/dbraw/zinc/55/96/30/649559630.db2.gz WHMLGEMFRPYWCN-DOMZBBRYSA-N 0 0 294.351 2.789 20 5 CFBDRN CCOc1cc(CN2CCO[C@H](C)CC2)ccc1[N+](=O)[O-] ZINC000932338798 649579265 /nfs/dbraw/zinc/57/92/65/649579265.db2.gz GSUCFGURXHUDDP-GFCCVEGCSA-N 0 0 294.351 2.604 20 5 CFBDRN CC[C@H]1COCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000036326255 649580057 /nfs/dbraw/zinc/58/00/57/649580057.db2.gz NNJGHTXLFXAXHE-NSHDSACASA-N 0 0 284.743 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCn3cccc3C2)cc1F ZINC000932525123 649615010 /nfs/dbraw/zinc/61/50/10/649615010.db2.gz CTUFMHLILIPDSP-UHFFFAOYSA-N 0 0 275.283 2.551 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000932652147 649634445 /nfs/dbraw/zinc/63/44/45/649634445.db2.gz WCRODGAVKCXLCZ-HUUCEWRRSA-N 0 0 297.314 2.756 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])cc1F)C(C)(C)C(=O)OC ZINC000933186679 649678251 /nfs/dbraw/zinc/67/82/51/649678251.db2.gz AZCLAEXIWHIWDO-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOC[C@@H]2CC2CC2)c1 ZINC000933213467 649679704 /nfs/dbraw/zinc/67/97/04/649679704.db2.gz NUWIDDWEDHBVPP-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCOC[C@H]1CC1CC1 ZINC000933211292 649679809 /nfs/dbraw/zinc/67/98/09/649679809.db2.gz ATMPQWSIRJGGOU-GFCCVEGCSA-N 0 0 294.326 2.735 20 5 CFBDRN Cc1ccc(CN(C)Cc2cccc(=O)[nH]2)cc1[N+](=O)[O-] ZINC000933402344 649692297 /nfs/dbraw/zinc/69/22/97/649692297.db2.gz XSLBUYNWYSOWPI-UHFFFAOYSA-N 0 0 287.319 2.636 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000934133430 649754352 /nfs/dbraw/zinc/75/43/52/649754352.db2.gz YWSUBSBSVCUMLK-OLZOCXBDSA-N 0 0 284.743 2.857 20 5 CFBDRN CC(C)(O)C1CCN(Cc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000114119900 651217964 /nfs/dbraw/zinc/21/79/64/651217964.db2.gz GWRADSVINCSLAN-UHFFFAOYSA-N 0 0 296.342 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(OS(=O)(=O)c2ccc(F)cc2)cc1 ZINC000016049165 651236555 /nfs/dbraw/zinc/23/65/55/651236555.db2.gz OGCJZGFDZBBRFY-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN CC1CC(=O)N(Cc2ccc(Cl)cc2[N+](=O)[O-])C(=O)C1 ZINC000119373041 651297759 /nfs/dbraw/zinc/29/77/59/651297759.db2.gz KKGKMHRNSFOTQX-UHFFFAOYSA-N 0 0 296.710 2.533 20 5 CFBDRN COc1cc(NC(C)(C)C2CC2)ncc1[N+](=O)[O-] ZINC001155067489 782196096 /nfs/dbraw/zinc/19/60/96/782196096.db2.gz UZLNOYMMHAXABO-UHFFFAOYSA-N 0 0 251.286 2.599 20 5 CFBDRN O=[N+]([O-])c1cc(Nc2cnc(Cl)cn2)ccc1F ZINC001211190116 770448036 /nfs/dbraw/zinc/44/80/36/770448036.db2.gz LXCHUUYRJMSFFZ-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000700112842 780658849 /nfs/dbraw/zinc/65/88/49/780658849.db2.gz ZCXCMAXFEVDNAP-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cncc(Br)n2)c1 ZINC001204416551 769851546 /nfs/dbraw/zinc/85/15/46/769851546.db2.gz WTCDVGLZJYLWPB-UHFFFAOYSA-N 0 0 295.096 2.891 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC(c2cccnc2)C1 ZINC001167066823 769895915 /nfs/dbraw/zinc/89/59/15/769895915.db2.gz DDCTXKIGVWSLRJ-UHFFFAOYSA-N 0 0 290.710 2.642 20 5 CFBDRN CCN(CC(C)(C)O)c1ccc2ccc([N+](=O)[O-])cc2n1 ZINC001167160123 770114803 /nfs/dbraw/zinc/11/48/03/770114803.db2.gz NXFVVIAGBHQEGU-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N2CC[C@H]3OCC[C@@H]3C2)n1 ZINC001167215581 770148648 /nfs/dbraw/zinc/14/86/48/770148648.db2.gz PUTOFAMDEYXKAN-MWLCHTKSSA-N 0 0 297.742 2.567 20 5 CFBDRN O=C(CSC(F)F)Nc1ccc([N+](=O)[O-])cc1F ZINC001125337524 770159463 /nfs/dbraw/zinc/15/94/63/770159463.db2.gz MPKROVPMMZOHQT-UHFFFAOYSA-N 0 0 280.227 2.628 20 5 CFBDRN CCc1ncsc1Nc1ccc(N)c([N+](=O)[O-])c1 ZINC001209935298 770165546 /nfs/dbraw/zinc/16/55/46/770165546.db2.gz YZKAMPHVEIIMDX-UHFFFAOYSA-N 0 0 264.310 2.940 20 5 CFBDRN COc1cccc(CO)c1Nc1cccc([N+](=O)[O-])c1 ZINC001204476868 770172286 /nfs/dbraw/zinc/17/22/86/770172286.db2.gz PEZCWPNYRVZPAM-UHFFFAOYSA-N 0 0 274.276 2.839 20 5 CFBDRN CCC1(CC)CN(C(=O)[C@@H]2CC2[N+](=O)[O-])c2ccccc21 ZINC001266457349 770181086 /nfs/dbraw/zinc/18/10/86/770181086.db2.gz LDQOAXOHSYNMKC-BXUZGUMPSA-N 0 0 288.347 2.756 20 5 CFBDRN CCCc1cc(Nc2cnc(OC)c([N+](=O)[O-])c2)on1 ZINC001210135140 770215240 /nfs/dbraw/zinc/21/52/40/770215240.db2.gz OLFNIXZQPIPQDL-UHFFFAOYSA-N 0 0 278.268 2.683 20 5 CFBDRN CC(=O)Nc1cncc(Nc2cccc([N+](=O)[O-])c2F)c1 ZINC001210381970 770276066 /nfs/dbraw/zinc/27/60/66/770276066.db2.gz TVDIRTXVLNNCEJ-UHFFFAOYSA-N 0 0 290.254 2.831 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1cnnc(Cl)c1 ZINC001210443338 770285862 /nfs/dbraw/zinc/28/58/62/770285862.db2.gz CAIXKBKRTITALF-UHFFFAOYSA-N 0 0 280.671 2.790 20 5 CFBDRN Nc1ncnc(Cl)c1Nc1ccc(F)cc1[N+](=O)[O-] ZINC001210950517 770404126 /nfs/dbraw/zinc/40/41/26/770404126.db2.gz XPNAQVNAYAPUNU-UHFFFAOYSA-N 0 0 283.650 2.503 20 5 CFBDRN COC(=O)c1cccnc1Nc1cc([N+](=O)[O-])ccc1C ZINC001211286452 770476301 /nfs/dbraw/zinc/47/63/01/770476301.db2.gz UOXXAIHLVVTHCQ-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN COc1ccc(NC(=O)N2CCCCC2)cc1[N+](=O)[O-] ZINC000160798037 770523929 /nfs/dbraw/zinc/52/39/29/770523929.db2.gz KNOBBVOPXKUGNS-UHFFFAOYSA-N 0 0 279.296 2.621 20 5 CFBDRN Cc1cn(C)nc1CNc1cc([N+](=O)[O-])ccc1C ZINC000723498816 770573440 /nfs/dbraw/zinc/57/34/40/770573440.db2.gz FEWCNLUQRPFOSB-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN C[C@H]1CN(c2c3cc(F)ccc3ncc2[N+](=O)[O-])CCO1 ZINC000891650415 770674684 /nfs/dbraw/zinc/67/46/84/770674684.db2.gz DELULZIISCBJHW-VIFPVBQESA-N 0 0 291.282 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CC2)[C@@H]2CCCOC2)cn1 ZINC000723846999 770683085 /nfs/dbraw/zinc/68/30/85/770683085.db2.gz SWUBNUYIUQZCCE-RISCZKNCSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H](C1CC1)[C@@H]1CCCOC1 ZINC000723847140 770684414 /nfs/dbraw/zinc/68/44/14/770684414.db2.gz YRWISPUOOYMRRQ-YPMHNXCESA-N 0 0 277.324 2.607 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccoc1 ZINC000588438825 770696852 /nfs/dbraw/zinc/69/68/52/770696852.db2.gz AETUVAYSMXOWNQ-FLIBITNWSA-N 0 0 286.287 2.908 20 5 CFBDRN CC[C@H](Nc1c(Cl)cncc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723882586 770707139 /nfs/dbraw/zinc/70/71/39/770707139.db2.gz ZHLCZQGWGFFXEP-KOLCDFICSA-N 0 0 299.758 2.682 20 5 CFBDRN Cc1cccnc1/C=C\C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000588451616 770735455 /nfs/dbraw/zinc/73/54/55/770735455.db2.gz FPWILJMGIRIVIF-FPLPWBNLSA-N 0 0 284.271 2.917 20 5 CFBDRN Cc1cncc(/C=C\C(=O)Oc2cccc([N+](=O)[O-])c2)c1 ZINC000255435416 770817320 /nfs/dbraw/zinc/81/73/20/770817320.db2.gz POZGZUZAXGIPBT-WAYWQWQTSA-N 0 0 284.271 2.917 20 5 CFBDRN CCOc1cc(NCc2ccnc(N)c2)ccc1[N+](=O)[O-] ZINC001167619092 773190863 /nfs/dbraw/zinc/19/08/63/773190863.db2.gz UMJMKIOKSPNQKM-UHFFFAOYSA-N 0 0 288.307 2.583 20 5 CFBDRN COC(C)(C)CN(C)c1ccc([N+](=O)[O-])cc1C(C)=O ZINC000404333773 773220156 /nfs/dbraw/zinc/22/01/56/773220156.db2.gz DSGJBEXJRSNVPY-UHFFFAOYSA-N 0 0 280.324 2.659 20 5 CFBDRN Cc1nc(C)c(CN[C@@H](C)c2ccccc2[N+](=O)[O-])[nH]1 ZINC000724674309 773240651 /nfs/dbraw/zinc/24/06/51/773240651.db2.gz QFYBKBMOJJUJLR-VIFPVBQESA-N 0 0 274.324 2.786 20 5 CFBDRN CC=C(CC)C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000588572320 773245178 /nfs/dbraw/zinc/24/51/78/773245178.db2.gz QGHOEXOQHDGGAX-KMKOMSMNSA-N 0 0 285.303 2.860 20 5 CFBDRN CCCOC[C@@H](C)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224185559 775121049 /nfs/dbraw/zinc/12/10/49/775121049.db2.gz JOMLODNCPUDAEH-LLVKDONJSA-N 0 0 282.340 2.938 20 5 CFBDRN CO[C@@H](C)CCOCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224185604 775122510 /nfs/dbraw/zinc/12/25/10/775122510.db2.gz KVQQRZIRWZYFDO-JTQLQIEISA-N 0 0 268.313 2.548 20 5 CFBDRN C[C@H]1CC[C@@H](COCCc2ccc([N+](=O)[O-])cc2)O1 ZINC001224329224 775157570 /nfs/dbraw/zinc/15/75/70/775157570.db2.gz ZZGFZXUPOCUMRN-FZMZJTMJSA-N 0 0 265.309 2.721 20 5 CFBDRN CCCCOC(=O)[C@@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224330931 775159740 /nfs/dbraw/zinc/15/97/40/775159740.db2.gz MCMBSQPWAKTBBE-GFCCVEGCSA-N 0 0 295.335 2.886 20 5 CFBDRN CCO[C@H](C)COCCc1ccc([N+](=O)[O-])cc1 ZINC001224334264 775160224 /nfs/dbraw/zinc/16/02/24/775160224.db2.gz UVIJTBGAZDXGKB-LLVKDONJSA-N 0 0 253.298 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2SCCS2)cn1 ZINC000693818416 775179257 /nfs/dbraw/zinc/17/92/57/775179257.db2.gz ZBIRJKYSIBQWIP-UHFFFAOYSA-N 0 0 271.367 2.598 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1CC12CC(O)C2 ZINC000724999833 775221955 /nfs/dbraw/zinc/22/19/55/775221955.db2.gz GZENVUIWBRWIBZ-HBWJCNCUSA-N 0 0 268.700 2.574 20 5 CFBDRN C[C@@H](OCC1CC2(C1)OCCO2)c1cccc([N+](=O)[O-])c1 ZINC001224631893 775232447 /nfs/dbraw/zinc/23/24/47/775232447.db2.gz RTQQKSIAJFZDQJ-LLVKDONJSA-N 0 0 293.319 2.826 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](OC[C@@H]1CCCOC1)C2 ZINC001224643068 775236472 /nfs/dbraw/zinc/23/64/72/775236472.db2.gz ACVVMVNGNPTKOL-ABAIWWIYSA-N 0 0 277.320 2.505 20 5 CFBDRN CC[C@H](C)CO[C@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC001224668186 775242111 /nfs/dbraw/zinc/24/21/11/775242111.db2.gz ONGVNVKRQHCWLW-AAEUAGOBSA-N 0 0 279.340 2.631 20 5 CFBDRN O=[N+]([O-])c1cn(C/C(Cl)=C\Cl)nc1C1CC1 ZINC000588596204 775248346 /nfs/dbraw/zinc/24/83/46/775248346.db2.gz JVIQATIWSQHUJE-XVNBXDOJSA-N 0 0 262.096 2.988 20 5 CFBDRN CC(=O)N1CC[C@H](CO[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC001225014866 775336481 /nfs/dbraw/zinc/33/64/81/775336481.db2.gz SWPASWZKOUYWMT-YPMHNXCESA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@H](Oc1ccc(F)c([N+](=O)[O-])c1)C1CCOCC1 ZINC001225381852 775436573 /nfs/dbraw/zinc/43/65/73/775436573.db2.gz IFIUIEGQKMGPAS-SECBINFHSA-N 0 0 269.272 2.928 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H](C)C(F)(F)F ZINC001225449743 775461870 /nfs/dbraw/zinc/46/18/70/775461870.db2.gz ZVLFPPMXIREAOF-LURJTMIESA-N 0 0 293.197 2.711 20 5 CFBDRN Cc1cc2c(cccc2O[C@@H](C[N+](=O)[O-])C2CC2)[nH]1 ZINC001225467863 775467425 /nfs/dbraw/zinc/46/74/25/775467425.db2.gz ZMJQLNRKUQQQOD-AWEZNQCLSA-N 0 0 260.293 2.910 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225575120 775508175 /nfs/dbraw/zinc/50/81/75/775508175.db2.gz KMRKRKKTPCMNLU-CMPLNLGQSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccnc1Cl)c1cccnc1 ZINC001225589512 775514261 /nfs/dbraw/zinc/51/42/61/775514261.db2.gz ZNAVIMBVOPFJJX-LLVKDONJSA-N 0 0 279.683 2.527 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001225594569 775516670 /nfs/dbraw/zinc/51/66/70/775516670.db2.gz QLJVJWCECSVHCC-UWVGGRQHSA-N 0 0 296.279 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001225594571 775517089 /nfs/dbraw/zinc/51/70/89/775517089.db2.gz QLJVJWCECSVHCC-ZJUUUORDSA-N 0 0 296.279 2.758 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1CCC=CO1 ZINC001225613410 775523086 /nfs/dbraw/zinc/52/30/86/775523086.db2.gz RCCNIVRABSEHKQ-NSHDSACASA-N 0 0 265.265 2.675 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001225616188 775525304 /nfs/dbraw/zinc/52/53/04/775525304.db2.gz MWUOHTNTKGCPKZ-OPQQBVKSSA-N 0 0 261.277 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCc3ccccc3O2)nc1 ZINC001225627883 775530869 /nfs/dbraw/zinc/53/08/69/775530869.db2.gz IXEOJAVHFAMBPZ-ZDUSSCGKSA-N 0 0 286.287 2.762 20 5 CFBDRN Cc1cccc(O[C@@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC001225635382 775534425 /nfs/dbraw/zinc/53/44/25/775534425.db2.gz GRGAOUURKUODCU-WDEREUQCSA-N 0 0 251.282 2.850 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cn1)c1nccs1 ZINC001225635089 775534808 /nfs/dbraw/zinc/53/48/08/775534808.db2.gz XUSUYVAHXOENLL-ZETCQYMHSA-N 0 0 251.267 2.586 20 5 CFBDRN COC(C[C@H](C)Oc1cccc(C)c1[N+](=O)[O-])OC ZINC001225635700 775534852 /nfs/dbraw/zinc/53/48/52/775534852.db2.gz LZKFVGIPHOPZFK-JTQLQIEISA-N 0 0 269.297 2.680 20 5 CFBDRN Cc1cc(O[C@H](C)c2cccnc2F)ncc1[N+](=O)[O-] ZINC001225659175 775545673 /nfs/dbraw/zinc/54/56/73/775545673.db2.gz OCXQJJORWMKBJU-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN CCOC(=O)CC1CC(Oc2cccc(F)c2[N+](=O)[O-])C1 ZINC001225666569 775548565 /nfs/dbraw/zinc/54/85/65/775548565.db2.gz KKHPAHVVKAGOPN-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1ccc([C@H](C)Oc2[nH]ccc(=O)c2[N+](=O)[O-])cn1 ZINC001225666534 775549038 /nfs/dbraw/zinc/54/90/38/775549038.db2.gz KBAVFSLEUGWCSG-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cccc(F)c2[N+](=O)[O-])O1 ZINC001225664733 775549072 /nfs/dbraw/zinc/54/90/72/775549072.db2.gz SJLHFKXLHQYYJQ-IUCAKERBSA-N 0 0 255.245 2.680 20 5 CFBDRN O=c1cc[nH]c(O[C@H](c2cccnc2)C2CC2)c1[N+](=O)[O-] ZINC001225668019 775550972 /nfs/dbraw/zinc/55/09/72/775550972.db2.gz XUZVQWICUQHFRY-ZDUSSCGKSA-N 0 0 287.275 2.621 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCOC[C@H]2C)c1 ZINC001225680930 775555961 /nfs/dbraw/zinc/55/59/61/775555961.db2.gz GIAJCEABQXPUSL-ZYHUDNBSSA-N 0 0 251.282 2.707 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1cc(C)ccc1[N+](=O)[O-] ZINC001225681024 775556121 /nfs/dbraw/zinc/55/61/21/775556121.db2.gz JAYWNDFDWMAPTM-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cc(C)ccc2[N+](=O)[O-])C1 ZINC001225681060 775556808 /nfs/dbraw/zinc/55/68/08/775556808.db2.gz KKXFFNLUMQIHKM-WJONMLJTSA-N 0 0 279.292 2.624 20 5 CFBDRN CCOC(=O)C1CC(Oc2cc(C)ccc2[N+](=O)[O-])C1 ZINC001225682293 775557450 /nfs/dbraw/zinc/55/74/50/775557450.db2.gz JZXGVCBTLPUVOL-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN CC[N@@H+]1CCC[C@H](Oc2cccc([N+](=O)[O-])c2C)C1 ZINC001225689098 775560041 /nfs/dbraw/zinc/56/00/41/775560041.db2.gz BHDCYPLIGNCEIS-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN CC(=O)CCc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001225696676 775562977 /nfs/dbraw/zinc/56/29/77/775562977.db2.gz XRXHQASHFOSESA-HNNXBMFYSA-N 0 0 277.320 2.642 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1O[C@H]1C=CCC1 ZINC001225749326 775581656 /nfs/dbraw/zinc/58/16/56/775581656.db2.gz BSEWPEVJMFHMCG-QMMMGPOBSA-N 0 0 285.097 2.850 20 5 CFBDRN C[C@H](Oc1cc(=O)[nH]c(=S)[nH]1)c1ccccc1[N+](=O)[O-] ZINC001225765984 775586824 /nfs/dbraw/zinc/58/68/24/775586824.db2.gz PHXPDFMOUNHFCC-ZETCQYMHSA-N 0 0 293.304 2.519 20 5 CFBDRN C[C@H](Oc1cc(F)c(F)cc1[N+](=O)[O-])c1ncccn1 ZINC001225777141 775589035 /nfs/dbraw/zinc/58/90/35/775589035.db2.gz PXGQDYDTYLAVTE-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2CCCOC2)c1Cl ZINC001225786868 775593840 /nfs/dbraw/zinc/59/38/40/775593840.db2.gz YZHXVOAPMBGASU-QMMMGPOBSA-N 0 0 257.673 2.806 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1cccc([N+](=O)[O-])c1F ZINC001225795081 775596394 /nfs/dbraw/zinc/59/63/94/775596394.db2.gz BYTDBTVGOZQMEQ-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN COC(C[C@@H](C)Oc1cccc([N+](=O)[O-])c1F)OC ZINC001225797209 775597538 /nfs/dbraw/zinc/59/75/38/775597538.db2.gz RYFLYTVVCNYUNO-MRVPVSSYSA-N 0 0 273.260 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1c(O)cccc1F)C2 ZINC001225804361 775598352 /nfs/dbraw/zinc/59/83/52/775598352.db2.gz QAVUKBAFSXTZQT-GFCCVEGCSA-N 0 0 289.262 2.986 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225804175 775598836 /nfs/dbraw/zinc/59/88/36/775598836.db2.gz PGDPEYBDQCXIGC-SNVBAGLBSA-N 0 0 295.291 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1c(O)cccc1F)C2 ZINC001225804364 775598909 /nfs/dbraw/zinc/59/89/09/775598909.db2.gz QAVUKBAFSXTZQT-LBPRGKRZSA-N 0 0 289.262 2.986 20 5 CFBDRN CCOC[C@H](C)Oc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC001225893034 775625114 /nfs/dbraw/zinc/62/51/14/775625114.db2.gz KTSDRPDFDDRZHB-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc(F)cc2[N+](=O)[O-])=C1C ZINC001225904506 775629456 /nfs/dbraw/zinc/62/94/56/775629456.db2.gz FGNBVZQDQUXZHO-JTQLQIEISA-N 0 0 281.239 2.722 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(F)ccc1F)c1cccnc1 ZINC001225902607 775629475 /nfs/dbraw/zinc/62/94/75/775629475.db2.gz LQTYUVLOKGLMNN-ZDUSSCGKSA-N 0 0 280.230 2.757 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(F)c(F)c(F)c1)c1cccnc1 ZINC001225911271 775632251 /nfs/dbraw/zinc/63/22/51/775632251.db2.gz MJBKQMUCSJDUEO-LBPRGKRZSA-N 0 0 298.220 2.896 20 5 CFBDRN COC(=O)C1CCC(Oc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC001225912616 775632860 /nfs/dbraw/zinc/63/28/60/775632860.db2.gz LQHVHUIIWMLXER-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC001225916261 775633363 /nfs/dbraw/zinc/63/33/63/775633363.db2.gz QBDQOIOUUFFUSW-VHSXEESVSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(F)c(Cl)c1)C1CC1 ZINC001225918196 775635645 /nfs/dbraw/zinc/63/56/45/775635645.db2.gz ZODLAROWXHUUDJ-LLVKDONJSA-N 0 0 259.664 2.913 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1ccc([N+](=O)[O-])cc1F ZINC001225947080 775645036 /nfs/dbraw/zinc/64/50/36/775645036.db2.gz RTXGRQYIEBASOO-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(F)c(F)c1F)c1cccnc1 ZINC001225952626 775645917 /nfs/dbraw/zinc/64/59/17/775645917.db2.gz ZJMMIPKPOXJVNR-NSHDSACASA-N 0 0 298.220 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cnc3[nH]ccc3c1)C2 ZINC001226093522 775696818 /nfs/dbraw/zinc/69/68/18/775696818.db2.gz XHOLSAUBCJKWHZ-CQSZACIVSA-N 0 0 295.298 2.969 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C1 ZINC001226167201 775723224 /nfs/dbraw/zinc/72/32/24/775723224.db2.gz GVDSUIZSZOIGMN-BFVZDQMLSA-N 0 0 292.335 2.897 20 5 CFBDRN CC[C@H](Oc1ccc([N+](=O)[O-])cc1C(N)=O)C(C)C ZINC001226172410 775724446 /nfs/dbraw/zinc/72/44/46/775724446.db2.gz KFGOYCBTTPSOLF-NSHDSACASA-N 0 0 266.297 2.507 20 5 CFBDRN CCO[C@@H](C)COc1cc([N+](=O)[O-])c(F)cc1F ZINC001226179789 775725773 /nfs/dbraw/zinc/72/57/73/775725773.db2.gz FPUQBIMWJGKBQQ-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN Cc1cc(C(F)(F)F)cc(O[C@@H](C[N+](=O)[O-])C2CC2)n1 ZINC001226188100 775730870 /nfs/dbraw/zinc/73/08/70/775730870.db2.gz ZXAOMOJZKWQYFW-JTQLQIEISA-N 0 0 290.241 2.843 20 5 CFBDRN O=[N+]([O-])c1cc(OC2CC3(COC3)C2)c(Cl)cc1F ZINC001226196393 775734487 /nfs/dbraw/zinc/73/44/87/775734487.db2.gz QGUIVLXMXDJRDE-UHFFFAOYSA-N 0 0 287.674 2.945 20 5 CFBDRN COC(=O)Cc1ccc(O[C@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001226215213 775739742 /nfs/dbraw/zinc/73/97/42/775739742.db2.gz WNAOQEIEAIDKTB-GWCFXTLKSA-N 0 0 293.319 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cccn3ccnc13)C2 ZINC001226216612 775739947 /nfs/dbraw/zinc/73/99/47/775739947.db2.gz UWVHKYWQYPTIAE-AWEZNQCLSA-N 0 0 295.298 2.789 20 5 CFBDRN CC1(C)C[C@@H](Oc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC001226258306 775759075 /nfs/dbraw/zinc/75/90/75/775759075.db2.gz MKKFAZBTNSVQCV-VIFPVBQESA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@H]1C[C@H](Oc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC001226257855 775759656 /nfs/dbraw/zinc/75/96/56/775759656.db2.gz JTKHEJNMPAAQKS-IONNQARKSA-N 0 0 272.688 2.590 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2cc([N+](=O)[O-])ccc2F)C1 ZINC001226270146 775763263 /nfs/dbraw/zinc/76/32/63/775763263.db2.gz NMKBLEOFKONIQL-ONGXEEELSA-N 0 0 297.282 2.845 20 5 CFBDRN COc1cc(Br)c(OC2CC2)c([N+](=O)[O-])c1 ZINC001226280181 775766007 /nfs/dbraw/zinc/76/60/07/775766007.db2.gz XMSQDTYQIUOLJC-UHFFFAOYSA-N 0 0 288.097 2.907 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1cc(Cl)ccn1 ZINC000092417488 775770050 /nfs/dbraw/zinc/77/00/50/775770050.db2.gz YQUHDLAQHNMLKW-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(O[C@@H]3C[C@H]4C[C@H]4C3)c2c1 ZINC001226437511 775822972 /nfs/dbraw/zinc/82/29/72/775822972.db2.gz XCGJEYFBUHSZIN-DOFRTFSJSA-N 0 0 271.276 2.715 20 5 CFBDRN CC(C)(C)c1ccc(O[C@@H]2CCOC2=O)c([N+](=O)[O-])c1 ZINC001226445158 775826233 /nfs/dbraw/zinc/82/62/33/775826233.db2.gz AKEIUMMIRDNWKL-GFCCVEGCSA-N 0 0 279.292 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2cnccn2)c1 ZINC001226448486 775826820 /nfs/dbraw/zinc/82/68/20/775826820.db2.gz YGZZVRBTYBVJHN-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1cc(OC)ccc1[N+](=O)[O-] ZINC001226448463 775827476 /nfs/dbraw/zinc/82/74/76/775827476.db2.gz XRNOZQMKCROQKR-NSHDSACASA-N 0 0 297.307 2.714 20 5 CFBDRN O=c1oc2cc(OC[C@@H]3COCO3)ccc2c2ccccc21 ZINC001226516201 775856174 /nfs/dbraw/zinc/85/61/74/775856174.db2.gz YVDNOFYSHXAUMH-LBPRGKRZSA-N 0 0 298.294 2.698 20 5 CFBDRN Cc1cc(O)c(C)c(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001226524255 775859388 /nfs/dbraw/zinc/85/93/88/775859388.db2.gz UQTLOSVMORDTPM-OAHLLOKOSA-N 0 0 288.303 2.801 20 5 CFBDRN COc1cc(OC2CCCCC2)ncc1[N+](=O)[O-] ZINC001226582416 775881012 /nfs/dbraw/zinc/88/10/12/775881012.db2.gz WICJGMWIAHCXFR-UHFFFAOYSA-N 0 0 252.270 2.710 20 5 CFBDRN COc1cc(O[C@H]2CCCC(=O)C2(C)C)ncc1[N+](=O)[O-] ZINC001226581022 775881527 /nfs/dbraw/zinc/88/15/27/775881527.db2.gz IMZPALORKSIJCQ-LBPRGKRZSA-N 0 0 294.307 2.525 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2ncccc21)C1CC1 ZINC001226658960 775907414 /nfs/dbraw/zinc/90/74/14/775907414.db2.gz LPHJBEQCZGXBJM-CQSZACIVSA-N 0 0 258.277 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H](c2cccnc2)C2CC2)cn1 ZINC001226711327 775933574 /nfs/dbraw/zinc/93/35/74/775933574.db2.gz CFQYDFZNJZZZSH-AWEZNQCLSA-N 0 0 271.276 2.915 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1ccc([N+](=O)[O-])nc1 ZINC001226712887 775934939 /nfs/dbraw/zinc/93/49/39/775934939.db2.gz RGRFQLPEAKZEMP-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN CCC[C@H](Oc1ncc([N+](=O)[O-])cn1)[C@H](C)CC ZINC001226733401 775941373 /nfs/dbraw/zinc/94/13/73/775941373.db2.gz OSTSNQXLCYPLHX-KOLCDFICSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC001226734318 775942306 /nfs/dbraw/zinc/94/23/06/775942306.db2.gz XSICVCKPOVWWFC-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CC(C)(C)N1CC(Oc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC001226743695 775944309 /nfs/dbraw/zinc/94/43/09/775944309.db2.gz HQTIQDFKCBKUNT-UHFFFAOYSA-N 0 0 286.278 2.735 20 5 CFBDRN CC[C@@H](COc1c(F)cc([N+](=O)[O-])cc1F)OC ZINC001226747407 775945881 /nfs/dbraw/zinc/94/58/81/775945881.db2.gz BJVUNVDVJIMLDC-QMMMGPOBSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@@H]1CCCC[C@H]1F ZINC001226751659 775946715 /nfs/dbraw/zinc/94/67/15/775946715.db2.gz OIMQTPPIVIPCPT-PSASIEDQSA-N 0 0 258.224 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cc(F)ccn1)C2 ZINC001226769398 775955875 /nfs/dbraw/zinc/95/58/75/775955875.db2.gz YSJHIDRMJKEGDI-CYBMUJFWSA-N 0 0 274.251 2.675 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1cccc([N+](=O)[O-])c1 ZINC001226767775 775956092 /nfs/dbraw/zinc/95/60/92/775956092.db2.gz KYMZEJSEMSMXAS-STQMWFEESA-N 0 0 251.282 2.931 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2c1CCC2)c1cccnc1 ZINC001226796395 775968545 /nfs/dbraw/zinc/96/85/45/775968545.db2.gz XHJRKRFHAJQJBY-INIZCTEOSA-N 0 0 284.315 2.967 20 5 CFBDRN CC[C@@H](C)Oc1ncnc2cc(F)c([N+](=O)[O-])cc21 ZINC001226804058 775971481 /nfs/dbraw/zinc/97/14/81/775971481.db2.gz JHHFNBHEKVXYQM-SSDOTTSWSA-N 0 0 265.244 2.854 20 5 CFBDRN C[C@H](Oc1ncnc2cc(F)c([N+](=O)[O-])cc21)C1CC1 ZINC001226804001 775971863 /nfs/dbraw/zinc/97/18/63/775971863.db2.gz IMNCPGWGUUJQHM-ZETCQYMHSA-N 0 0 277.255 2.854 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@@H]2CN3CCC2CC3)c1 ZINC001226811044 775973500 /nfs/dbraw/zinc/97/35/00/775973500.db2.gz VCQLRQSKQWYMIU-CYBMUJFWSA-N 0 0 282.727 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cncc(F)c1)C2 ZINC001226844041 775987683 /nfs/dbraw/zinc/98/76/83/775987683.db2.gz ROTOLXVWWBDBRD-ZDUSSCGKSA-N 0 0 274.251 2.675 20 5 CFBDRN CC(C)[N@@H+]1CC[C@@H](Oc2cc(Cl)c([N+](=O)[O-])cn2)C1 ZINC001226918042 776016741 /nfs/dbraw/zinc/01/67/41/776016741.db2.gz PFXQOUJQTBPGQX-SECBINFHSA-N 0 0 285.731 2.505 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2ncccn2)cc1[N+](=O)[O-] ZINC001226950501 776031656 /nfs/dbraw/zinc/03/16/56/776031656.db2.gz KRCABLVTBAEGDJ-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc(O[C@H]2COc3cnccc32)cc1[N+](=O)[O-] ZINC001226953041 776032298 /nfs/dbraw/zinc/03/22/98/776032298.db2.gz QDYGVLMXCIGDHQ-AWEZNQCLSA-N 0 0 272.260 2.811 20 5 CFBDRN Cc1ccc(O[C@H]2CCCC3(C2)OCCO3)cc1[N+](=O)[O-] ZINC001226951400 776032825 /nfs/dbraw/zinc/03/28/25/776032825.db2.gz AEWLWBDPGWPSBO-ZDUSSCGKSA-N 0 0 293.319 2.968 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC001226953108 776033109 /nfs/dbraw/zinc/03/31/09/776033109.db2.gz SBIFTPLTHDNZIB-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN CC[C@@H](Oc1c(C)ccc([N+](=O)[O-])c1Cl)C(=O)OC ZINC001226991124 776051695 /nfs/dbraw/zinc/05/16/95/776051695.db2.gz HSSHMFRVQFVICP-SECBINFHSA-N 0 0 287.699 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@H]1CCOC[C@@H]1C ZINC001226992507 776052999 /nfs/dbraw/zinc/05/29/99/776052999.db2.gz LCSHJCYHEDYXCV-JQWIXIFHSA-N 0 0 251.282 2.707 20 5 CFBDRN CCOCC(COCC)Oc1cc([N+](=O)[O-])ccc1C ZINC001226993883 776053162 /nfs/dbraw/zinc/05/31/62/776053162.db2.gz AMLVEKHRXAYPFB-UHFFFAOYSA-N 0 0 283.324 2.724 20 5 CFBDRN CC(C)c1ccc(O)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001227018364 776061698 /nfs/dbraw/zinc/06/16/98/776061698.db2.gz CLECCROBNPDFDJ-AWEZNQCLSA-N 0 0 265.309 2.950 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1c(O)cccc1O)C2 ZINC001227036288 776067476 /nfs/dbraw/zinc/06/74/76/776067476.db2.gz IDNJESDJBSCHQM-GFCCVEGCSA-N 0 0 287.271 2.552 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)c2ccncc2)n1 ZINC001227062813 776080472 /nfs/dbraw/zinc/08/04/72/776080472.db2.gz UQADVVNTFLRFEG-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2COCc3ccccc32)n1 ZINC001227062256 776080662 /nfs/dbraw/zinc/08/06/62/776080662.db2.gz KWFHVAZLHRHDHP-AWEZNQCLSA-N 0 0 286.287 2.949 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-])C1CCCC1 ZINC001227111054 776101323 /nfs/dbraw/zinc/10/13/23/776101323.db2.gz HLUAAJSJYDONEX-MRVPVSSYSA-N 0 0 281.268 2.856 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CCCCCC2)c([N+](=O)[O-])c1 ZINC001227109220 776101829 /nfs/dbraw/zinc/10/18/29/776101829.db2.gz TVSLPFXIQXRFHC-UHFFFAOYSA-N 0 0 281.268 3.000 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1OC(C1CC1)C1CC1 ZINC001227122077 776106367 /nfs/dbraw/zinc/10/63/67/776106367.db2.gz KRZKLIOZDACDHC-UHFFFAOYSA-N 0 0 291.303 2.949 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC1CC(F)(F)C1 ZINC001227184042 776127174 /nfs/dbraw/zinc/12/71/74/776127174.db2.gz BQNTXHYULNAJGV-UHFFFAOYSA-N 0 0 259.208 2.780 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H](C)c1cncnc1 ZINC001227185350 776127897 /nfs/dbraw/zinc/12/78/97/776127897.db2.gz PVVBAOCNPPEGHW-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1noc(CO[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001222402648 776134074 /nfs/dbraw/zinc/13/40/74/776134074.db2.gz MEOKBKBCUUTXNO-MRVPVSSYSA-N 0 0 263.253 2.564 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCC(C)(C)O2)c([N+](=O)[O-])n1 ZINC001227218608 776142721 /nfs/dbraw/zinc/14/27/21/776142721.db2.gz HEKSZBZQEBFUBN-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1ccc(O[C@@H]2CCc3cccnc32)c([N+](=O)[O-])n1 ZINC001227222352 776144333 /nfs/dbraw/zinc/14/43/33/776144333.db2.gz MCCJLZVIDIQEHH-LLVKDONJSA-N 0 0 271.276 2.760 20 5 CFBDRN CCO[C@@H](C)COc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227232267 776148072 /nfs/dbraw/zinc/14/80/72/776148072.db2.gz QOFTZHDZMJXNRJ-QMMMGPOBSA-N 0 0 266.253 2.540 20 5 CFBDRN COC(C[C@@H](C)Oc1nc2ccc([N+](=O)[O-])cc2o1)OC ZINC001227233732 776148359 /nfs/dbraw/zinc/14/83/59/776148359.db2.gz GAUCVLDBKQOAKN-MRVPVSSYSA-N 0 0 296.279 2.512 20 5 CFBDRN C[C@H]1CC[C@@H](COc2nc3ccc([N+](=O)[O-])cc3o2)O1 ZINC001227230813 776148367 /nfs/dbraw/zinc/14/83/67/776148367.db2.gz WYFSUBLOCQQTPA-WPRPVWTQSA-N 0 0 278.264 2.682 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227230690 776148420 /nfs/dbraw/zinc/14/84/20/776148420.db2.gz WDXRBZJUIDOZBK-BDAKNGLRSA-N 0 0 278.264 2.540 20 5 CFBDRN CCC[C@@H](CC)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC001125944961 776148804 /nfs/dbraw/zinc/14/88/04/776148804.db2.gz HKBBWZAFOONYDI-GFCCVEGCSA-N 0 0 294.351 2.916 20 5 CFBDRN Cc1cnc(O[C@@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC001227237484 776150621 /nfs/dbraw/zinc/15/06/21/776150621.db2.gz WBNSAYZQIREBOC-MRVPVSSYSA-N 0 0 258.224 2.865 20 5 CFBDRN CCCC(=O)N(C)CCN(C)c1cc(C)ccc1[N+](=O)[O-] ZINC001105327340 776156633 /nfs/dbraw/zinc/15/66/33/776156633.db2.gz CAEJNUKUVOVECI-UHFFFAOYSA-N 0 0 293.367 2.598 20 5 CFBDRN CCCC(=O)N(C)CCN(C)c1ccc(C)cc1[N+](=O)[O-] ZINC001105327337 776157549 /nfs/dbraw/zinc/15/75/49/776157549.db2.gz BXQUHNUQXYYZQY-UHFFFAOYSA-N 0 0 293.367 2.598 20 5 CFBDRN CCN(Cc1cccc(Cl)c1)Cn1cc([N+](=O)[O-])cn1 ZINC000123980354 776158224 /nfs/dbraw/zinc/15/82/24/776158224.db2.gz IKXAXMKBYNYJLD-UHFFFAOYSA-N 0 0 294.742 2.924 20 5 CFBDRN COC(=O)c1ccc(O[C@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC001227295030 776172476 /nfs/dbraw/zinc/17/24/76/776172476.db2.gz IBFYCHKZKJLHLW-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN COc1cc(Cl)ccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001227368571 776186675 /nfs/dbraw/zinc/18/66/75/776186675.db2.gz CMOORNWPSDVSQW-LBPRGKRZSA-N 0 0 271.700 2.783 20 5 CFBDRN CC(C)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227466083 776208732 /nfs/dbraw/zinc/20/87/32/776208732.db2.gz KURUKRYDZASORR-MRVPVSSYSA-N 0 0 254.242 2.926 20 5 CFBDRN Cc1c([C@H](C)Oc2ccc([N+](=O)[O-])cc2)cnn1C ZINC001227521506 776218701 /nfs/dbraw/zinc/21/87/01/776218701.db2.gz RRSVQSSGNZYHHD-JTQLQIEISA-N 0 0 261.281 2.777 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2ccc([N+](=O)[O-])cc2)C1 ZINC001227523610 776218886 /nfs/dbraw/zinc/21/88/86/776218886.db2.gz ONDCNINGXMPTKD-MFKMUULPSA-N 0 0 279.292 2.705 20 5 CFBDRN COC(=O)[C@@H](Oc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC001227520166 776219252 /nfs/dbraw/zinc/21/92/52/776219252.db2.gz GZQIFBUFZCRODH-AWEZNQCLSA-N 0 0 287.271 2.888 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2COc3cscc3O2)cc1 ZINC001227523974 776219796 /nfs/dbraw/zinc/21/97/96/776219796.db2.gz UVHUNAQOMHKMDY-NSHDSACASA-N 0 0 293.300 2.875 20 5 CFBDRN Cc1cc(=O)oc2cc(O[C@H](C[N+](=O)[O-])C3CC3)ccc12 ZINC001227543967 776225539 /nfs/dbraw/zinc/22/55/39/776225539.db2.gz ZDLQYEVAIISEEF-CQSZACIVSA-N 0 0 289.287 2.536 20 5 CFBDRN Cc1cc(C(=O)OCc2ccccn2)cc([N+](=O)[O-])c1 ZINC000124961333 776226402 /nfs/dbraw/zinc/22/64/02/776226402.db2.gz QHGWHJLPYJCGLR-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2ccc(O)cc21)C1CC1 ZINC001227572208 776232221 /nfs/dbraw/zinc/23/22/21/776232221.db2.gz UGKNACZNMGDDGB-OAHLLOKOSA-N 0 0 273.288 2.980 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227691883 776259343 /nfs/dbraw/zinc/25/93/43/776259343.db2.gz RPRVUYHPMFUKNU-HTQZYQBOSA-N 0 0 264.285 2.680 20 5 CFBDRN C[C@@H](COC(C)(C)C)Oc1cncc([N+](=O)[O-])c1 ZINC001227984910 776351228 /nfs/dbraw/zinc/35/12/28/776351228.db2.gz OEHHXVREJMUDCV-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN CC(C)[C@H](Oc1cncc([N+](=O)[O-])c1)C(=O)OC(C)(C)C ZINC001227990350 776351702 /nfs/dbraw/zinc/35/17/02/776351702.db2.gz KVMWRHPWBJUGQT-LBPRGKRZSA-N 0 0 296.323 2.735 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)nc1 ZINC001227993514 776354094 /nfs/dbraw/zinc/35/40/94/776354094.db2.gz KIGRCNRTDDZOOQ-OAHLLOKOSA-N 0 0 298.298 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@@H]1CCCOC1 ZINC001228000118 776355803 /nfs/dbraw/zinc/35/58/03/776355803.db2.gz HJGQLODOAUKDRI-MRVPVSSYSA-N 0 0 257.673 2.806 20 5 CFBDRN CN1CCC[C@H](Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228002104 776356703 /nfs/dbraw/zinc/35/67/03/776356703.db2.gz IRKIWAZDMRSBBJ-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@@H](Oc1nccc(Cl)c1[N+](=O)[O-])c1cncnc1 ZINC001228016151 776364127 /nfs/dbraw/zinc/36/41/27/776364127.db2.gz BJYYJOYBLPXLJY-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CCOC[C@H](C)Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228016089 776364346 /nfs/dbraw/zinc/36/43/46/776364346.db2.gz JTJWUESLBPXCHU-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@H](Oc1nccc(Cl)c1[N+](=O)[O-])C(F)(F)F ZINC001228014751 776364688 /nfs/dbraw/zinc/36/46/88/776364688.db2.gz OFDFXRFMBJPZBL-BYPYZUCNSA-N 0 0 270.594 2.973 20 5 CFBDRN CO[C@H](C)CCOc1cc([N+](=O)[O-])cc(F)c1F ZINC001228016933 776364775 /nfs/dbraw/zinc/36/47/75/776364775.db2.gz RWWWKKYBPRGZBJ-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)c(OC[C@H]2CCCCO2)c1 ZINC001228017600 776365827 /nfs/dbraw/zinc/36/58/27/776365827.db2.gz XFWBSUCWVCUORD-SECBINFHSA-N 0 0 273.235 2.821 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1OCCOC1CCCC1 ZINC001228018371 776366310 /nfs/dbraw/zinc/36/63/10/776366310.db2.gz YBYRAQXQTNLSMS-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN C/C(=C/c1ccncc1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC001126173311 776370516 /nfs/dbraw/zinc/37/05/16/776370516.db2.gz YDYDHSHXXXKDRX-XFXZXTDPSA-N 0 0 297.314 2.710 20 5 CFBDRN Cc1ncccc1/C=C\C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC001126172887 776371384 /nfs/dbraw/zinc/37/13/84/776371384.db2.gz MRHUQOQZPZPATD-FPLPWBNLSA-N 0 0 297.314 2.628 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccnc(Cl)c2[N+](=O)[O-])=C1C ZINC001228057208 776379778 /nfs/dbraw/zinc/37/97/78/776379778.db2.gz LHJAFNPYFHRBGF-SSDOTTSWSA-N 0 0 298.682 2.631 20 5 CFBDRN CCOC[C@H](C)Oc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228135555 776405146 /nfs/dbraw/zinc/40/51/46/776405146.db2.gz JRWHWMWOYPVTPU-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN CC(C)CCC[C@@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228140371 776406627 /nfs/dbraw/zinc/40/66/27/776406627.db2.gz JEBPSEQPSXDILD-SECBINFHSA-N 0 0 269.301 2.684 20 5 CFBDRN CCC[C@H](C)[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C(C)C ZINC001228140946 776407202 /nfs/dbraw/zinc/40/72/02/776407202.db2.gz OHXKYAHBWCMVKB-ONGXEEELSA-N 0 0 283.328 2.930 20 5 CFBDRN C[C@H](CON)Oc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC001228150814 776411332 /nfs/dbraw/zinc/41/13/32/776411332.db2.gz BPXUKVBIVIDZNP-RXMQYKEDSA-N 0 0 281.095 2.559 20 5 CFBDRN CC(=O)N1CC(Oc2cccc3cc4ccccc4nc32)C1 ZINC001228176713 776416571 /nfs/dbraw/zinc/41/65/71/776416571.db2.gz PSBGWADDZLAITH-UHFFFAOYSA-N 0 0 292.338 2.998 20 5 CFBDRN O=C1OCC[C@@H]1Oc1ccc2c(c1)oc1ccccc1c2=O ZINC001228180284 776416590 /nfs/dbraw/zinc/41/65/90/776416590.db2.gz QQTKJZSQANELFB-AWEZNQCLSA-N 0 0 296.278 2.641 20 5 CFBDRN Cc1cc(C)c(OC2CN(C(C)C)C2)c([N+](=O)[O-])c1 ZINC001228230117 776427688 /nfs/dbraw/zinc/42/76/88/776427688.db2.gz MLWYMMXCKRPLJS-UHFFFAOYSA-N 0 0 264.325 2.683 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CO[C@H]1CCOC[C@@H]1C ZINC001223108685 776474900 /nfs/dbraw/zinc/47/49/00/776474900.db2.gz WISUGGNDFUDJFI-GWCFXTLKSA-N 0 0 281.308 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@H](C3CC3)O2)cc1 ZINC000722335538 776483450 /nfs/dbraw/zinc/48/34/50/776483450.db2.gz YXEKRKXAGXYWAI-UONOGXRCSA-N 0 0 262.309 2.964 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1c(C)cc([N+](=O)[O-])cc1C ZINC001228571216 776524147 /nfs/dbraw/zinc/52/41/47/776524147.db2.gz MBIJJEPNUDYZMU-OLZOCXBDSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@H]1CCCOC1 ZINC001228571676 776526004 /nfs/dbraw/zinc/52/60/04/776526004.db2.gz QQOUQGUPYIPEDV-LBPRGKRZSA-N 0 0 251.282 2.769 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228610882 776538142 /nfs/dbraw/zinc/53/81/42/776538142.db2.gz AJPCTNZQFLKVJE-QMMMGPOBSA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228612084 776540164 /nfs/dbraw/zinc/54/01/64/776540164.db2.gz YBAVNYIXZDLLAK-OIBJUYFYSA-N 0 0 273.235 2.677 20 5 CFBDRN C[C@H](Oc1ncncc1[N+](=O)[O-])c1cccc(F)c1 ZINC001228656142 776554469 /nfs/dbraw/zinc/55/44/69/776554469.db2.gz BUXRVTWQFSLGBS-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CC(C)=CCC[C@@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228655757 776554690 /nfs/dbraw/zinc/55/46/90/776554690.db2.gz VRXRWIBLIGWWSQ-SNVBAGLBSA-N 0 0 251.286 2.899 20 5 CFBDRN CC(C)CCC[C@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228655836 776555276 /nfs/dbraw/zinc/55/52/76/776555276.db2.gz WKKXTNDPEFAELT-JTQLQIEISA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1cc2[nH]c(O[C@H]3CCO[C@H](C)C3)nc2cc1[N+](=O)[O-] ZINC001228674603 776561820 /nfs/dbraw/zinc/56/18/20/776561820.db2.gz JYSWUJHYDOUBOG-ZJUUUORDSA-N 0 0 291.307 2.726 20 5 CFBDRN CCCC[C@@H](C)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228684898 776567381 /nfs/dbraw/zinc/56/73/81/776567381.db2.gz AUFNVTGLCREPGM-MRVPVSSYSA-N 0 0 254.286 2.961 20 5 CFBDRN CC[C@@H](C)[C@H](C)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228689560 776569591 /nfs/dbraw/zinc/56/95/91/776569591.db2.gz UHXISSDIDVDWQH-APPZFPTMSA-N 0 0 254.286 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCn3ccnc32)cc1Cl ZINC001228755414 776598538 /nfs/dbraw/zinc/59/85/38/776598538.db2.gz IBXZZBXFFYOUAM-NSHDSACASA-N 0 0 279.683 2.969 20 5 CFBDRN CC1=C(Oc2ccc([N+](=O)[O-])c(Cl)c2)C(=O)O[C@@H]1C ZINC001228759005 776600430 /nfs/dbraw/zinc/60/04/30/776600430.db2.gz QDFIRMHLIWCVFP-SSDOTTSWSA-N 0 0 283.667 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCOC[C@H]2F)cc1Cl ZINC001228759050 776600445 /nfs/dbraw/zinc/60/04/45/776600445.db2.gz RSTKPZJHGJBJRR-KOLCDFICSA-N 0 0 275.663 2.754 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCOC[C@@H]2F)cc1Cl ZINC001228759052 776600598 /nfs/dbraw/zinc/60/05/98/776600598.db2.gz RSTKPZJHGJBJRR-ONGXEEELSA-N 0 0 275.663 2.754 20 5 CFBDRN COC(=O)c1cc(O[C@H]2CCC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC001228769686 776603325 /nfs/dbraw/zinc/60/33/25/776603325.db2.gz XPNKDRJNGPEQFA-ZANVPECISA-N 0 0 279.292 2.949 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ncc(Cl)cc1F)c1cccnc1 ZINC001228832195 776624306 /nfs/dbraw/zinc/62/43/06/776624306.db2.gz RGZXSPSPGDQTJF-NSHDSACASA-N 0 0 297.673 2.666 20 5 CFBDRN CC(C)Oc1ccc(COc2cnccc2[N+](=O)[O-])cn1 ZINC001228880802 776645188 /nfs/dbraw/zinc/64/51/88/776645188.db2.gz XJAUQVDKXAWCSO-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN C[C@H](Oc1cnccc1[N+](=O)[O-])c1nccs1 ZINC001228883055 776645454 /nfs/dbraw/zinc/64/54/54/776645454.db2.gz SOFYNAVBDXREQF-ZETCQYMHSA-N 0 0 251.267 2.586 20 5 CFBDRN C[C@@H](Oc1cncc(O)c1)c1cccc([N+](=O)[O-])c1 ZINC001228893430 776648384 /nfs/dbraw/zinc/64/83/84/776648384.db2.gz RXSPAELHGRYPHQ-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN COc1cc(CO[C@@H]2CCO[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC001223396949 776655593 /nfs/dbraw/zinc/65/55/93/776655593.db2.gz SCNZDDASCMHTBF-ZWNOBZJWSA-N 0 0 281.308 2.688 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])cc2C)C1 ZINC001228920829 776657179 /nfs/dbraw/zinc/65/71/79/776657179.db2.gz JLJFOVYPOYWBFM-KLPPZKSPSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC1CCC2(CC1)OCCO2 ZINC001228916634 776657942 /nfs/dbraw/zinc/65/79/42/776657942.db2.gz VUKDQDHQPCRSFH-UHFFFAOYSA-N 0 0 293.319 2.968 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC(F)(F)C2)nc1Cl ZINC001228935123 776661236 /nfs/dbraw/zinc/66/12/36/776661236.db2.gz ZHIDZKZWDIXSJM-UHFFFAOYSA-N 0 0 264.615 2.820 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@H]1CCn2ccnc21 ZINC001228973685 776674192 /nfs/dbraw/zinc/67/41/92/776674192.db2.gz LIVOZFARYPVTPO-LBPRGKRZSA-N 0 0 287.275 2.518 20 5 CFBDRN CC[C@@H](COc1nccc2ccc([N+](=O)[O-])cc21)OC ZINC001229006599 776687317 /nfs/dbraw/zinc/68/73/17/776687317.db2.gz CCSRUJOVJUNNGC-LBPRGKRZSA-N 0 0 276.292 2.947 20 5 CFBDRN CCCOCCNc1c(C(=O)OCC)cccc1[N+](=O)[O-] ZINC001167873267 776696239 /nfs/dbraw/zinc/69/62/39/776696239.db2.gz JSMFPRMXCXWANL-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN CCCOCCNc1cc(F)c(OC)c([N+](=O)[O-])c1 ZINC001167873230 776696890 /nfs/dbraw/zinc/69/68/90/776696890.db2.gz HMRJTTOVHYSTNT-UHFFFAOYSA-N 0 0 272.276 2.581 20 5 CFBDRN CCCOCCNc1cc2cccnc2c([N+](=O)[O-])c1 ZINC001167874448 776699430 /nfs/dbraw/zinc/69/94/30/776699430.db2.gz CDVBFYJBDZGXLP-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN CCOC[C@H](C)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229113348 776721323 /nfs/dbraw/zinc/72/13/23/776721323.db2.gz CBHDUPOWGPARNG-FBOQAHMBSA-N 0 0 251.282 2.738 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114263 776722316 /nfs/dbraw/zinc/72/23/16/776722316.db2.gz HZWQHXZDNNNVBU-NANSOSEBSA-N 0 0 263.293 2.738 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2ccc(/C=C/[N+](=O)[O-])cc2)C1 ZINC001229114464 776723043 /nfs/dbraw/zinc/72/30/43/776723043.db2.gz KDIPOTNABFRGLB-VCPVANMVSA-N 0 0 291.303 2.655 20 5 CFBDRN CC(=O)c1cccc(OC2CCC(=O)CC2)c1[N+](=O)[O-] ZINC001229131580 776727044 /nfs/dbraw/zinc/72/70/44/776727044.db2.gz KSZWRDSCTOZASN-UHFFFAOYSA-N 0 0 277.276 2.688 20 5 CFBDRN CC(=O)c1cccc(O[C@H]2CCn3ccnc32)c1[N+](=O)[O-] ZINC001229131645 776727562 /nfs/dbraw/zinc/72/75/62/776727562.db2.gz MGIFOOYMZVZDCB-LBPRGKRZSA-N 0 0 287.275 2.518 20 5 CFBDRN CC(=O)c1cccc(O[C@H](C)c2ncccn2)c1[N+](=O)[O-] ZINC001229132290 776728300 /nfs/dbraw/zinc/72/83/00/776728300.db2.gz RKDGZKMNKNAJFN-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229137712 776730661 /nfs/dbraw/zinc/73/06/61/776730661.db2.gz JYLWFTMYDXUQFA-LLVKDONJSA-N 0 0 295.291 2.518 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H](C)COC ZINC001229178403 776745095 /nfs/dbraw/zinc/74/50/95/776745095.db2.gz WTAZNLSQDSCLGW-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H](C)C(C)=O ZINC001229180193 776745679 /nfs/dbraw/zinc/74/56/79/776745679.db2.gz TUXAMMZLDLJJSD-VIFPVBQESA-N 0 0 265.265 2.544 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1C=C(C)OC(=O)C1 ZINC001229199188 776749076 /nfs/dbraw/zinc/74/90/76/776749076.db2.gz UFLAIUDFZSWBQP-JTQLQIEISA-N 0 0 281.239 2.640 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1CCOC[C@@H]1C ZINC001229201722 776750627 /nfs/dbraw/zinc/75/06/27/776750627.db2.gz QYUSIUFBGGHPPU-JOYOIKCWSA-N 0 0 269.272 2.846 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccnc2sccc21)C1CC1 ZINC001229203461 776750735 /nfs/dbraw/zinc/75/07/35/776750735.db2.gz BDZZPMIEABDWRH-LLVKDONJSA-N 0 0 264.306 2.730 20 5 CFBDRN CCOC[C@H](C)Oc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229344325 776785119 /nfs/dbraw/zinc/78/51/19/776785119.db2.gz WVWJSOBLEVHCJG-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229342750 776785162 /nfs/dbraw/zinc/78/51/62/776785162.db2.gz NYXJNVOEHGPQTB-ZANVPECISA-N 0 0 274.276 2.557 20 5 CFBDRN CCC[C@H](C)Oc1n[nH]c(=O)c2ccc([N+](=O)[O-])cc21 ZINC001229357984 776789511 /nfs/dbraw/zinc/78/95/11/776789511.db2.gz JMFJOSZJOSERJZ-QMMMGPOBSA-N 0 0 277.280 2.811 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC001229377615 776795108 /nfs/dbraw/zinc/79/51/08/776795108.db2.gz OYTYCUIAAIJKRO-VIFPVBQESA-N 0 0 287.699 2.969 20 5 CFBDRN C[C@@H](O)CCCC(=O)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC001229376314 776795801 /nfs/dbraw/zinc/79/58/01/776795801.db2.gz HMAHVIATVMXUNY-MRVPVSSYSA-N 0 0 287.699 2.705 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1O)c1ncccc1F ZINC001229395487 776798364 /nfs/dbraw/zinc/79/83/64/776798364.db2.gz IQCQJNDQZJMPQZ-MRVPVSSYSA-N 0 0 278.239 2.975 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@@H]2CCc3cccnc32)c1 ZINC001229395496 776798378 /nfs/dbraw/zinc/79/83/78/776798378.db2.gz ISYYYQUJFKEFRY-GFCCVEGCSA-N 0 0 272.260 2.762 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1O)c1cccnc1 ZINC001229397878 776799851 /nfs/dbraw/zinc/79/98/51/776799851.db2.gz NJPLRUUMZAXOPG-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN C[C@H](Cc1ccco1)Oc1cc([N+](=O)[O-])ccc1O ZINC001229395877 776800016 /nfs/dbraw/zinc/80/00/16/776800016.db2.gz MTUGLSWQHONYJW-SECBINFHSA-N 0 0 263.249 2.903 20 5 CFBDRN CC(C)CCOC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])ccc1O ZINC001229396258 776800253 /nfs/dbraw/zinc/80/02/53/776800253.db2.gz RUNXZZZUZXFKRR-SNVBAGLBSA-N 0 0 297.307 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)ncnc2OC[C@@H]1CCC=CO1 ZINC001229399511 776800818 /nfs/dbraw/zinc/80/08/18/776800818.db2.gz LRESOZLKBKBEAX-NSHDSACASA-N 0 0 287.275 2.610 20 5 CFBDRN Cc1ccc([C@H](C)Oc2cc[n+]([O-])cc2[N+](=O)[O-])c(C)c1 ZINC001229452998 776819188 /nfs/dbraw/zinc/81/91/88/776819188.db2.gz ZGFKWZJTYCRHNN-LBPRGKRZSA-N 0 0 288.303 2.985 20 5 CFBDRN Cc1cc(OCC(=O)OC(C)C)cc(C)c1[N+](=O)[O-] ZINC001229469903 776821374 /nfs/dbraw/zinc/82/13/74/776821374.db2.gz JWTAXPNZSOTURH-UHFFFAOYSA-N 0 0 267.281 2.542 20 5 CFBDRN COC(C[C@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1)OC ZINC001229470550 776821808 /nfs/dbraw/zinc/82/18/08/776821808.db2.gz QRWJMVAMXGXXJN-NSHDSACASA-N 0 0 283.324 2.988 20 5 CFBDRN CC[C@H](COC)Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229487079 776827091 /nfs/dbraw/zinc/82/70/91/776827091.db2.gz JWJPWNXSCMXFBI-SECBINFHSA-N 0 0 266.253 2.540 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nccc2ccc(F)cc21)C1CC1 ZINC001229517994 776837614 /nfs/dbraw/zinc/83/76/14/776837614.db2.gz PRFNEUUTMOYGBN-CYBMUJFWSA-N 0 0 276.267 2.808 20 5 CFBDRN O=[N+]([O-])c1ncc(Br)cc1O[C@H]1C=CCC1 ZINC001229559099 776847912 /nfs/dbraw/zinc/84/79/12/776847912.db2.gz CJSULGNLEGTDOM-QMMMGPOBSA-N 0 0 285.097 2.850 20 5 CFBDRN C[C@@H](Oc1cc(Br)cnc1[N+](=O)[O-])C1CC1 ZINC001229563882 776848529 /nfs/dbraw/zinc/84/85/29/776848529.db2.gz ZUVLCANWWPJMJY-ZCFIWIBFSA-N 0 0 287.113 2.930 20 5 CFBDRN Cc1cc(O)c(OC[C@H]2CCC=CO2)cc1[N+](=O)[O-] ZINC001229750613 776887860 /nfs/dbraw/zinc/88/78/60/776887860.db2.gz OUGFSIRLXUGKHT-SNVBAGLBSA-N 0 0 265.265 2.680 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc(F)c1)c1cccnc1 ZINC001225229078 776903650 /nfs/dbraw/zinc/90/36/50/776903650.db2.gz PPMYXMJNTSJTSO-CYBMUJFWSA-N 0 0 262.240 2.618 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])c(C)c1C ZINC001229881451 776909679 /nfs/dbraw/zinc/90/96/79/776909679.db2.gz WUVMWIXCUKYXJY-GFCCVEGCSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCO[C@@H](C)C2)cc1F ZINC001229894370 776912714 /nfs/dbraw/zinc/91/27/14/776912714.db2.gz IBDUXLVVYBDOKA-UWVGGRQHSA-N 0 0 269.272 2.989 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])nc2Cl)=C1C ZINC001229915029 776917439 /nfs/dbraw/zinc/91/74/39/776917439.db2.gz ILKPJXLEUHGXPC-SSDOTTSWSA-N 0 0 298.682 2.631 20 5 CFBDRN CC[C@H](C)Oc1cc(C(=O)OC)c([N+](=O)[O-])cc1F ZINC001229943699 776921934 /nfs/dbraw/zinc/92/19/34/776921934.db2.gz TXLOWGVQPYJZLI-ZETCQYMHSA-N 0 0 271.244 2.698 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1OC1CCC1 ZINC001229956626 776924302 /nfs/dbraw/zinc/92/43/02/776924302.db2.gz CUTZGZAZVASVCN-UHFFFAOYSA-N 0 0 265.265 2.621 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCn3ccnc32)ccc1Cl ZINC001229961830 776925789 /nfs/dbraw/zinc/92/57/89/776925789.db2.gz CYGZWBOUUZMBIZ-LLVKDONJSA-N 0 0 279.683 2.969 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2cncnc2)c([N+](=O)[O-])c1 ZINC001230146265 776955391 /nfs/dbraw/zinc/95/53/91/776955391.db2.gz NCQWMQACSPIYMN-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2cnccn2)c([N+](=O)[O-])c1 ZINC001230146902 776955836 /nfs/dbraw/zinc/95/58/36/776955836.db2.gz ZQKJIAQTJVOBJG-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CCOC(=O)[C@H](Oc1ccc(C)cc1[N+](=O)[O-])C1CC1 ZINC001230146620 776955854 /nfs/dbraw/zinc/95/58/54/776955854.db2.gz SGDIVKUWWMLVOO-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc(O[C@H](C)c2ncccn2)c([N+](=O)[O-])c1 ZINC001230142991 776955863 /nfs/dbraw/zinc/95/58/63/776955863.db2.gz FELIALRTFUZUPH-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CC(=O)c1ccc(Cl)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001230297277 776974875 /nfs/dbraw/zinc/97/48/75/776974875.db2.gz OHBXTYACVNVMHE-CYBMUJFWSA-N 0 0 283.711 2.977 20 5 CFBDRN CCCOC[C@H](C)Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC001230316247 776977118 /nfs/dbraw/zinc/97/71/18/776977118.db2.gz SOQJQQQRDYXFGX-VIFPVBQESA-N 0 0 284.268 2.697 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1OC1CC=CC1 ZINC001230312177 776977430 /nfs/dbraw/zinc/97/74/30/776977430.db2.gz DHGCSLUNGZYPLV-UHFFFAOYSA-N 0 0 250.210 2.600 20 5 CFBDRN Cc1cc(OC2CCOCC2)cc([N+](=O)[O-])c1C ZINC001230471385 776995901 /nfs/dbraw/zinc/99/59/01/776995901.db2.gz DQFRZCJQGHIJRJ-UHFFFAOYSA-N 0 0 251.282 2.769 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc(C)c(C)c([N+](=O)[O-])c1 ZINC001230471475 776996190 /nfs/dbraw/zinc/99/61/90/776996190.db2.gz HNJDXFPBFYJIKI-SNVBAGLBSA-N 0 0 281.308 2.932 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(C)c(C)c([N+](=O)[O-])c1 ZINC001230471953 776996525 /nfs/dbraw/zinc/99/65/25/776996525.db2.gz WHCPGXZYNZZOJZ-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN CC[C@@H](C)Oc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230484313 776997293 /nfs/dbraw/zinc/99/72/93/776997293.db2.gz CYPJMJYOSCGCNJ-MRVPVSSYSA-N 0 0 254.242 2.989 20 5 CFBDRN Cc1ccc(O[C@H](C)C2CC2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230485124 776998392 /nfs/dbraw/zinc/99/83/92/776998392.db2.gz OQQYSTLGTICTCP-MRVPVSSYSA-N 0 0 266.253 2.989 20 5 CFBDRN CC[C@H](C)Oc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230491336 776998504 /nfs/dbraw/zinc/99/85/04/776998504.db2.gz OMXWKOXLPSPNFZ-QMMMGPOBSA-N 0 0 254.242 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC001230493565 776999516 /nfs/dbraw/zinc/99/95/16/776999516.db2.gz GDGWBBRSMIJAGV-JOYOIKCWSA-N 0 0 296.279 2.615 20 5 CFBDRN Cc1ccc2ncc(O[C@@H](C[N+](=O)[O-])C3CC3)cc2c1 ZINC001230526182 777004888 /nfs/dbraw/zinc/00/48/88/777004888.db2.gz SYWFVVSLJYOREU-HNNXBMFYSA-N 0 0 272.304 2.977 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1OC[C@H]1CCC=CO1 ZINC001230595037 777021804 /nfs/dbraw/zinc/02/18/04/777021804.db2.gz IIBVWAKGPWILQE-SNVBAGLBSA-N 0 0 275.264 2.543 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1O[C@@H]1CCc2cccnc21 ZINC001230601854 777024193 /nfs/dbraw/zinc/02/41/93/777024193.db2.gz RMCWCPSWKFSQSQ-CYBMUJFWSA-N 0 0 296.286 2.932 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2cc(C)c([N+](=O)[O-])cc2C)C1 ZINC001230722982 777051057 /nfs/dbraw/zinc/05/10/57/777051057.db2.gz COHNBYPBNJGWLY-CYBMUJFWSA-N 0 0 292.335 2.601 20 5 CFBDRN Cc1c([C@H](C)Oc2ccc(Cl)nc2[N+](=O)[O-])cnn1C ZINC001230872249 777078549 /nfs/dbraw/zinc/07/85/49/777078549.db2.gz LJMFMTUDTDYVLA-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN C[C@H](Oc1ccc(Cl)nc1[N+](=O)[O-])c1ncccn1 ZINC001230873030 777078695 /nfs/dbraw/zinc/07/86/95/777078695.db2.gz UVKNUEAAOZNUGX-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc(Cl)nc1[N+](=O)[O-] ZINC001230878949 777079264 /nfs/dbraw/zinc/07/92/64/777079264.db2.gz JVWJYMOYMRZUED-MRVPVSSYSA-N 0 0 274.704 2.837 20 5 CFBDRN CC1=C(Oc2cccc(Cl)c2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001230913813 777091492 /nfs/dbraw/zinc/09/14/92/777091492.db2.gz BQBYDVDYJYPFOP-SSDOTTSWSA-N 0 0 283.667 2.846 20 5 CFBDRN CCOC(=O)c1ccc(OC2CC=CC2)cc1[N+](=O)[O-] ZINC001230911977 777091928 /nfs/dbraw/zinc/09/19/28/777091928.db2.gz BITNRGLCVRWLFY-UHFFFAOYSA-N 0 0 277.276 2.869 20 5 CFBDRN CCOC(=O)c1ccc(O[C@@H](CC)COC)cc1[N+](=O)[O-] ZINC001230912039 777091931 /nfs/dbraw/zinc/09/19/31/777091931.db2.gz CCOPCTYKROFDMQ-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230915509 777092922 /nfs/dbraw/zinc/09/29/22/777092922.db2.gz QIKHHRMSQRHWQJ-QMMMGPOBSA-N 0 0 285.683 2.745 20 5 CFBDRN CC(=O)N1CCC(Oc2cccc(Cl)c2[N+](=O)[O-])CC1 ZINC001230919788 777093528 /nfs/dbraw/zinc/09/35/28/777093528.db2.gz ZHLYMZWXYXCFGM-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1O[C@H]1CCCOC1 ZINC001230919789 777093986 /nfs/dbraw/zinc/09/39/86/777093986.db2.gz ZHZXRRLZPJFGLI-QMMMGPOBSA-N 0 0 257.673 2.806 20 5 CFBDRN CO[C@H](C)COc1c(C(C)=O)cc(Cl)cc1[N+](=O)[O-] ZINC001230929830 777096870 /nfs/dbraw/zinc/09/68/70/777096870.db2.gz COGRFEARQSIEKI-SSDOTTSWSA-N 0 0 287.699 2.865 20 5 CFBDRN COC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(C)c1C ZINC001230980897 777109274 /nfs/dbraw/zinc/10/92/74/777109274.db2.gz MHPZSWLMKVJUJA-CQSZACIVSA-N 0 0 293.319 2.524 20 5 CFBDRN COc1cc(O[C@@H](C[N+](=O)[O-])C2CC2)ccc1Cl ZINC001230984064 777110144 /nfs/dbraw/zinc/11/01/44/777110144.db2.gz ILBSIURZHIFZKS-LBPRGKRZSA-N 0 0 271.700 2.783 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccc(C3CC3)nn1)C2 ZINC001231021854 777117730 /nfs/dbraw/zinc/11/77/30/777117730.db2.gz GGTRLFHEEDUPDC-AWEZNQCLSA-N 0 0 297.314 2.808 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1c(C)ccc(C)c1[N+](=O)[O-] ZINC001231059871 777128724 /nfs/dbraw/zinc/12/87/24/777128724.db2.gz KWKYIRAAPIXSQY-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN C[C@@H](Oc1ccc2c(c1)C(=O)NC2)c1ccccc1[N+](=O)[O-] ZINC001231102677 777140851 /nfs/dbraw/zinc/14/08/51/777140851.db2.gz KULVCMBYOPNLPG-SNVBAGLBSA-N 0 0 298.298 2.978 20 5 CFBDRN Cc1cc(O[C@H]2CCCC[C@@H]2F)ncc1[N+](=O)[O-] ZINC001225660913 777152275 /nfs/dbraw/zinc/15/22/75/777152275.db2.gz IEAUQFRMXCSJMQ-ONGXEEELSA-N 0 0 254.261 2.958 20 5 CFBDRN CC(C)(C)c1cc([N+](=O)[O-])c(OC2COC2)c([N+](=O)[O-])c1 ZINC001231201339 777170859 /nfs/dbraw/zinc/17/08/59/777170859.db2.gz CRASWUSAXQQUGP-UHFFFAOYSA-N 0 0 296.279 2.578 20 5 CFBDRN CCCC(=O)Nc1c(O[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC001231360163 777201033 /nfs/dbraw/zinc/20/10/33/777201033.db2.gz XBWKKPDFXSTRGO-SNVBAGLBSA-N 0 0 294.307 2.501 20 5 CFBDRN CCOC(=O)[C@H](Oc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC001225714017 777221867 /nfs/dbraw/zinc/22/18/67/777221867.db2.gz YJYKREYIVVASRN-GFCCVEGCSA-N 0 0 299.710 2.969 20 5 CFBDRN O=C1SCC[C@@H]1Nc1cc([N+](=O)[O-])cc2cc[nH]c21 ZINC001167955490 777250034 /nfs/dbraw/zinc/25/00/34/777250034.db2.gz MLYZSSBRBWNAJB-VIFPVBQESA-N 0 0 277.305 2.520 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225788866 777279086 /nfs/dbraw/zinc/27/90/86/777279086.db2.gz PMAGXEYNMHWLLA-MRVPVSSYSA-N 0 0 285.683 2.745 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)cnc1O[C@@H]1CCCOC1 ZINC001225843597 777308964 /nfs/dbraw/zinc/30/89/64/777308964.db2.gz JKAHZXQBXZXSTG-MRVPVSSYSA-N 0 0 292.213 2.566 20 5 CFBDRN C[C@H](Oc1c(F)cccc1[N+](=O)[O-])c1cnccn1 ZINC001226010976 777376897 /nfs/dbraw/zinc/37/68/97/777376897.db2.gz XSHSCRXGYJQSJZ-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)C1 ZINC001226061297 777410787 /nfs/dbraw/zinc/41/07/87/777410787.db2.gz HCEBOXAIJLWFOP-ONGXEEELSA-N 0 0 299.348 2.566 20 5 CFBDRN CCOC(=O)c1ccc(NCC(C)C)c([N+](=O)[O-])c1 ZINC001161650981 777425915 /nfs/dbraw/zinc/42/59/15/777425915.db2.gz MJQAWBXGORMTQF-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ncc(Cl)cc1[N+](=O)[O-] ZINC001226131204 777445315 /nfs/dbraw/zinc/44/53/15/777445315.db2.gz DQCLJDYTQJEFDL-SNVBAGLBSA-N 0 0 270.672 2.534 20 5 CFBDRN COCCCCOC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000126673140 777457239 /nfs/dbraw/zinc/45/72/39/777457239.db2.gz UTPBXDOATLUBIN-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN CC(C)(C)C(=O)N[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC001059218136 777500148 /nfs/dbraw/zinc/50/01/48/777500148.db2.gz DDQYMFMBVQRHFR-HAQNSBGRSA-N 0 0 291.351 2.700 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc(OC)ccc1[N+](=O)[O-] ZINC001226444533 777541218 /nfs/dbraw/zinc/54/12/18/777541218.db2.gz ARRDUWHLXAWDME-SNVBAGLBSA-N 0 0 269.297 2.797 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(NCCCn2ccnc2)c1F ZINC001161688488 777554539 /nfs/dbraw/zinc/55/45/39/777554539.db2.gz UPQQAOIORJWAEJ-UHFFFAOYSA-N 0 0 278.287 2.741 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226581511 777579898 /nfs/dbraw/zinc/57/98/98/777579898.db2.gz SKGQNTNRILIOJO-RKDXNWHRSA-N 0 0 254.286 2.812 20 5 CFBDRN CC(C)Oc1ncccc1COc1ccc([N+](=O)[O-])nc1 ZINC001226712210 777617448 /nfs/dbraw/zinc/61/74/48/777617448.db2.gz JJLICQPYLGZTNQ-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ncc([N+](=O)[O-])cn2)CC(C)(C)C1 ZINC001226732821 777627685 /nfs/dbraw/zinc/62/76/85/777627685.db2.gz ZSKWAGZOCDFZCS-KOLCDFICSA-N 0 0 265.313 2.978 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226762074 777635420 /nfs/dbraw/zinc/63/54/20/777635420.db2.gz MZJPQDMZCWBTLR-NSHDSACASA-N 0 0 298.726 2.638 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1ccc([N+](=O)[O-])c(C)c1 ZINC001226844563 777669491 /nfs/dbraw/zinc/66/94/91/777669491.db2.gz YSSFZRGILDQMDK-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1OC[C@@H]1CCO1 ZINC001226908689 777695569 /nfs/dbraw/zinc/69/55/69/777695569.db2.gz MEAJMIMBUYXNRG-LURJTMIESA-N 0 0 261.636 2.555 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1N ZINC001141765768 777704433 /nfs/dbraw/zinc/70/44/33/777704433.db2.gz RVEDLDHRMFWZKI-SNVBAGLBSA-N 0 0 279.340 2.828 20 5 CFBDRN CC(C)CCCNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000025802337 777850526 /nfs/dbraw/zinc/85/05/26/777850526.db2.gz BMVMPCSUZYGABF-UHFFFAOYSA-N 0 0 293.367 2.827 20 5 CFBDRN CC(=O)c1cc(O[C@@H](C)c2cncnc2)ccc1[N+](=O)[O-] ZINC001233501453 777970198 /nfs/dbraw/zinc/97/01/98/777970198.db2.gz MSZBUIHIIIGPQY-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1c(C)ccnc1[N+](=O)[O-] ZINC001233553297 777989378 /nfs/dbraw/zinc/98/93/78/777989378.db2.gz IGGSDAFGXHBIOT-QWRGUYRKSA-N 0 0 266.297 2.635 20 5 CFBDRN CCc1ccc(O)c(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001233580161 777997496 /nfs/dbraw/zinc/99/74/96/777997496.db2.gz JEDOUSHNNLCNQH-HNNXBMFYSA-N 0 0 288.303 2.746 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cc(F)c([N+](=O)[O-])cc1F ZINC001233597534 778004535 /nfs/dbraw/zinc/00/45/35/778004535.db2.gz NBKSXXQLFVPWPO-SFYZADRCSA-N 0 0 273.235 2.677 20 5 CFBDRN O=C1C[C@H](Oc2cc(Cl)c([N+](=O)[O-])cc2Cl)CO1 ZINC001228150867 778019011 /nfs/dbraw/zinc/01/90/11/778019011.db2.gz BXPNSOAFWYSTTG-YFKPBYRVSA-N 0 0 292.074 2.596 20 5 CFBDRN CCCC(=O)c1ccc(O)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001233650800 778024912 /nfs/dbraw/zinc/02/49/12/778024912.db2.gz RMMRZGRCZYWNMT-HNNXBMFYSA-N 0 0 293.319 2.809 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233698351 778044514 /nfs/dbraw/zinc/04/45/14/778044514.db2.gz NVNWJDYNWAEFJQ-HQJQHLMTSA-N 0 0 273.235 2.677 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1 ZINC001233701792 778048881 /nfs/dbraw/zinc/04/88/81/778048881.db2.gz GXMCQQTXOFPNNE-SECBINFHSA-N 0 0 284.268 2.697 20 5 CFBDRN C[C@H](Oc1cc(Cl)cnc1[N+](=O)[O-])c1cnccn1 ZINC001233715015 778051948 /nfs/dbraw/zinc/05/19/48/778051948.db2.gz FWIJYWHOLAQTHK-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN Cc1c(O[C@@H](C[N+](=O)[O-])C2CC2)cnc2ccccc12 ZINC001233723533 778054360 /nfs/dbraw/zinc/05/43/60/778054360.db2.gz ONFCDZIWQVUDAO-HNNXBMFYSA-N 0 0 272.304 2.977 20 5 CFBDRN CCCn1cc(CNc2ccc(C)cc2[N+](=O)[O-])nn1 ZINC000714479723 778059140 /nfs/dbraw/zinc/05/91/40/778059140.db2.gz UGLGKCHWPMSLLJ-UHFFFAOYSA-N 0 0 275.312 2.517 20 5 CFBDRN CC[C@H](COC)Oc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC001233745365 778063308 /nfs/dbraw/zinc/06/33/08/778063308.db2.gz MIEDWAPZEVKGPS-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCn3ccnc32)c(F)c1F ZINC001233764302 778070284 /nfs/dbraw/zinc/07/02/84/778070284.db2.gz BAWBVEWRHBRYDN-SECBINFHSA-N 0 0 281.218 2.593 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233780481 778076236 /nfs/dbraw/zinc/07/62/36/778076236.db2.gz ZOKJGTGKZKRFDZ-ZANVPECISA-N 0 0 274.276 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1O[C@@H]1CCCOC1 ZINC001233780480 778076425 /nfs/dbraw/zinc/07/64/25/778076425.db2.gz ZJGDPYFVDTXXGT-SNVBAGLBSA-N 0 0 274.276 2.701 20 5 CFBDRN CC[C@@H](COc1ccc2cccc([N+](=O)[O-])c2n1)OC ZINC001233836055 778090557 /nfs/dbraw/zinc/09/05/57/778090557.db2.gz GMCVFUKOAABOKP-NSHDSACASA-N 0 0 276.292 2.947 20 5 CFBDRN Cc1cc(F)c(O[C@@H](C)c2cncnc2)cc1[N+](=O)[O-] ZINC001234060818 778155405 /nfs/dbraw/zinc/15/54/05/778155405.db2.gz QYTGEKIZAHFSBV-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC001234062400 778156392 /nfs/dbraw/zinc/15/63/92/778156392.db2.gz ZXGWZQMDLPOTDZ-JOYOIKCWSA-N 0 0 269.272 2.846 20 5 CFBDRN CO[C@@H](C)CCOc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228614845 778174577 /nfs/dbraw/zinc/17/45/77/778174577.db2.gz YXJJVAFLNDBGGU-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN COc1cc(NCCOC(F)(F)F)cc([N+](=O)[O-])c1C ZINC001168174817 778212148 /nfs/dbraw/zinc/21/21/48/778212148.db2.gz OUVVDYYKGQTKQC-UHFFFAOYSA-N 0 0 294.229 2.860 20 5 CFBDRN CO[C@H](C)COc1cc(N2CCCCC2)ccc1[N+](=O)[O-] ZINC001234362559 778214651 /nfs/dbraw/zinc/21/46/51/778214651.db2.gz APLRVIRULYQADW-GFCCVEGCSA-N 0 0 294.351 2.999 20 5 CFBDRN Cc1nc(NC(=O)c2csc(Cl)n2)ccc1[N+](=O)[O-] ZINC001126706840 778238961 /nfs/dbraw/zinc/23/89/61/778238961.db2.gz CZCNIYTVBZYOKN-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN C/C=C(/C)C(=O)N(C)CCNc1cccc(F)c1[N+](=O)[O-] ZINC001101582043 778242052 /nfs/dbraw/zinc/24/20/52/778242052.db2.gz WXDZTLNNVRKGNA-WMZJFQQLSA-N 0 0 295.314 2.570 20 5 CFBDRN Cc1cc(N2CC[C@H](C(C)(C)O)C2)c(F)cc1[N+](=O)[O-] ZINC000721872219 778243742 /nfs/dbraw/zinc/24/37/42/778243742.db2.gz RNHKCPLOZCJOCK-JTQLQIEISA-N 0 0 282.315 2.640 20 5 CFBDRN CC/C(C)=C\C(=O)N(C)CCNc1ccccc1[N+](=O)[O-] ZINC001101593067 778249137 /nfs/dbraw/zinc/24/91/37/778249137.db2.gz YFWHQSCEEJZKKQ-QXMHVHEDSA-N 0 0 291.351 2.821 20 5 CFBDRN Cc1c(F)cnc(NC[C@H]2C[C@H](F)C2)c1[N+](=O)[O-] ZINC001168234185 778263222 /nfs/dbraw/zinc/26/32/22/778263222.db2.gz SSXBPNCVQVVSRD-ZKCHVHJHSA-N 0 0 257.240 2.597 20 5 CFBDRN CCOc1cnc(NC[C@H]2C[C@H](F)C2)c([N+](=O)[O-])c1 ZINC001168234065 778263408 /nfs/dbraw/zinc/26/34/08/778263408.db2.gz PSCPPDNSURUTCZ-KYZUINATSA-N 0 0 269.276 2.549 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(Cl)cc1N[C@H]1C[C@@H](CO)C1 ZINC001168246650 778270722 /nfs/dbraw/zinc/27/07/22/778270722.db2.gz HUCMKIMTAWEQEJ-CPALPZOLSA-N 0 0 282.727 2.770 20 5 CFBDRN C[N@@H+]1CCC[C@@H](Oc2nccc3c2cccc3[N+](=O)[O-])C1 ZINC001234673796 778285488 /nfs/dbraw/zinc/28/54/88/778285488.db2.gz CYXPEPDSLNNXBL-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CO[C@H](C)CCOc1nccc2c1cccc2[N+](=O)[O-] ZINC001234674288 778286390 /nfs/dbraw/zinc/28/63/90/778286390.db2.gz LVPJBVJRVKCIQK-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN Cc1nc(O[C@@H](C)CCC(C)C)c([N+](=O)[O-])c(=O)[n-]1 ZINC001229378681 778301209 /nfs/dbraw/zinc/30/12/09/778301209.db2.gz IXPWSEDEASEXQO-QMMMGPOBSA-N 0 0 269.301 2.602 20 5 CFBDRN Cc1cc(OC[C@@H]2CCC(=O)O2)c(C)c(C)c1[N+](=O)[O-] ZINC001234872044 778318184 /nfs/dbraw/zinc/31/81/84/778318184.db2.gz QOJDPMGNRWFPMB-NSHDSACASA-N 0 0 279.292 2.604 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)c1cccnc1F ZINC001234902806 778325442 /nfs/dbraw/zinc/32/54/42/778325442.db2.gz ASNNTDHDJQUCIH-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cc(C)n1 ZINC001126783797 778394269 /nfs/dbraw/zinc/39/42/69/778394269.db2.gz IEONNAHOGRLCDX-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CCC1(CNc2cc(F)cc([N+](=O)[O-])c2C)COC1 ZINC001168327038 778434707 /nfs/dbraw/zinc/43/47/07/778434707.db2.gz GJTANRZWVRFIDB-UHFFFAOYSA-N 0 0 268.288 2.881 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(NC[C@H]2C[C@@](C)(O)C2)c1F ZINC001168343601 778443454 /nfs/dbraw/zinc/44/34/54/778443454.db2.gz BAAWBCWAHWXJID-SWZMTVOYSA-N 0 0 268.288 2.615 20 5 CFBDRN CC1(C)[C@@H]2C[C@@H](OCc3ccc([N+](=O)[O-])nc3)C[C@@H]21 ZINC001224115729 778446968 /nfs/dbraw/zinc/44/69/68/778446968.db2.gz VGWQAKYXLXALQI-CNDDSTCGSA-N 0 0 262.309 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)c(F)cnc2NC1CSC1 ZINC001168362051 778502609 /nfs/dbraw/zinc/50/26/09/778502609.db2.gz KDGMTRDROMOWOD-UHFFFAOYSA-N 0 0 279.296 2.809 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CC23CCC3)c(Cl)c1 ZINC000721264444 778586069 /nfs/dbraw/zinc/58/60/69/778586069.db2.gz TZISBINGQCWHGD-VIFPVBQESA-N 0 0 253.689 2.998 20 5 CFBDRN COC(C)(CO[C@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC001224679055 778624357 /nfs/dbraw/zinc/62/43/57/778624357.db2.gz ZBCKKTPNXUMHDP-SNVBAGLBSA-N 0 0 269.297 2.681 20 5 CFBDRN CC(F)(F)CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000698009603 778627745 /nfs/dbraw/zinc/62/77/45/778627745.db2.gz FHCIBQPENILDLF-UHFFFAOYSA-N 0 0 278.642 2.633 20 5 CFBDRN C[C@@H](OCCC1OCCCO1)c1cccc([N+](=O)[O-])c1 ZINC001224728269 778648965 /nfs/dbraw/zinc/64/89/65/778648965.db2.gz RGDVRJBCIXNHCY-LLVKDONJSA-N 0 0 281.308 2.826 20 5 CFBDRN CC(=O)OC[C@@H](C)CO[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001224798759 778691491 /nfs/dbraw/zinc/69/14/91/778691491.db2.gz LEEANDBJWKXNPW-QWRGUYRKSA-N 0 0 281.308 2.872 20 5 CFBDRN CC(=O)OC[C@@H](C)CO[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001224798228 778691504 /nfs/dbraw/zinc/69/15/04/778691504.db2.gz IHJCJWYXZGCHJH-WDEREUQCSA-N 0 0 281.308 2.872 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000698256600 778784609 /nfs/dbraw/zinc/78/46/09/778784609.db2.gz REPLLBNLPNUNNP-MRVPVSSYSA-N 0 0 266.684 2.697 20 5 CFBDRN CCOCCOCCO[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001225032184 778820717 /nfs/dbraw/zinc/82/07/17/778820717.db2.gz KPYUFAOBBVHNEN-GFCCVEGCSA-N 0 0 283.324 2.726 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC/C=C\c1ccccc1 ZINC000349892464 778864034 /nfs/dbraw/zinc/86/40/34/778864034.db2.gz JGLBYQLTCZGBFL-DAXSKMNVSA-N 0 0 255.277 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)cc1NCC1(CO)CC1 ZINC001120545322 778915846 /nfs/dbraw/zinc/91/58/46/778915846.db2.gz ADBCPLJTVLDXPR-UHFFFAOYSA-N 0 0 290.241 2.798 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)C(=O)OCCC(C)C ZINC001233556936 779052616 /nfs/dbraw/zinc/05/26/16/779052616.db2.gz QUFOPHVFYFCUKZ-NSHDSACASA-N 0 0 296.323 2.655 20 5 CFBDRN CCCOCCNc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000715004948 779092603 /nfs/dbraw/zinc/09/26/03/779092603.db2.gz MQOPBDVCUGQAHK-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN C[C@H](COCc1ccccc1)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858681 779096554 /nfs/dbraw/zinc/09/65/54/779096554.db2.gz ZASRBXCNICAUJL-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN CCCC[C@H](C)Oc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC001233861972 779097292 /nfs/dbraw/zinc/09/72/92/779097292.db2.gz MHQUYYDBDXGXCM-VIFPVBQESA-N 0 0 282.296 2.734 20 5 CFBDRN CC1=C(Oc2cc([N+](=O)[O-])c(C)cc2F)C(=O)O[C@H]1C ZINC001234062027 779129299 /nfs/dbraw/zinc/12/92/99/779129299.db2.gz XUCNNSFKGBKAOC-QMMMGPOBSA-N 0 0 281.239 2.640 20 5 CFBDRN COC[C@@H](COc1ccc(C=O)cc1[N+](=O)[O-])C(C)C ZINC000721971197 779148802 /nfs/dbraw/zinc/14/88/02/779148802.db2.gz GWCBJJFEQUEYBF-LBPRGKRZSA-N 0 0 281.308 2.705 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC[C@@H]2C[C@@H]2C1 ZINC000699217065 779220609 /nfs/dbraw/zinc/22/06/09/779220609.db2.gz NDCQINNREDEUHQ-RKDXNWHRSA-N 0 0 280.711 2.730 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1cnc(C2CC2)o1 ZINC001127355381 779317768 /nfs/dbraw/zinc/31/77/68/779317768.db2.gz CJRQMLQCNZIPRV-UHFFFAOYSA-N 0 0 292.222 2.819 20 5 CFBDRN CCc1ncoc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC001127359058 779322756 /nfs/dbraw/zinc/32/27/56/779322756.db2.gz JCNWPUCGXWTDGO-UHFFFAOYSA-N 0 0 280.211 2.504 20 5 CFBDRN CCCC(=O)N(CC)CCNc1ccc([N+](=O)[O-])cc1F ZINC001106659630 779334069 /nfs/dbraw/zinc/33/40/69/779334069.db2.gz NOEPDBOVSNNTEO-UHFFFAOYSA-N 0 0 297.330 2.794 20 5 CFBDRN C/C=C(/C)C(=O)N(CC)CCNc1ccc([N+](=O)[O-])cc1 ZINC001106732551 779357450 /nfs/dbraw/zinc/35/74/50/779357450.db2.gz LWNZWDIWPBBQIG-QCDXTXTGSA-N 0 0 291.351 2.821 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001123355490 779393541 /nfs/dbraw/zinc/39/35/41/779393541.db2.gz RDYPDGALXNLBHY-MELADBBJSA-N 0 0 277.320 2.697 20 5 CFBDRN Cc1nc(C)c(COC(=O)c2cccc(C)c2[N+](=O)[O-])o1 ZINC001123358893 779395046 /nfs/dbraw/zinc/39/50/46/779395046.db2.gz SWWLTRIIWHHAAS-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(F)cc1NC1(c2cccnn2)CC1 ZINC001168515036 779427500 /nfs/dbraw/zinc/42/75/00/779427500.db2.gz XERIKFDKSCYGPB-UHFFFAOYSA-N 0 0 292.245 2.764 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1ccc([N+](=O)[O-])c(N)n1 ZINC000094261513 779592483 /nfs/dbraw/zinc/59/24/83/779592483.db2.gz IZHFIJZNAUISLD-ZJUUUORDSA-N 0 0 264.329 2.810 20 5 CFBDRN C/C=C(\C)C(=O)NC/C=C/CNc1ccc([N+](=O)[O-])cc1 ZINC001107100883 779775932 /nfs/dbraw/zinc/77/59/32/779775932.db2.gz DGISXCQOZAZBLJ-NPFHFJLZSA-N 0 0 289.335 2.645 20 5 CFBDRN CC[C@@H](F)C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC001127864026 779907034 /nfs/dbraw/zinc/90/70/34/779907034.db2.gz GYZROZQYLFRATO-SECBINFHSA-N 0 0 277.255 2.830 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC001127864362 779907515 /nfs/dbraw/zinc/90/75/15/779907515.db2.gz AWOSZFBAVNGGMC-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN CC(C)(C)[C@H](CO)Nc1cc2cc[nH]c2c([N+](=O)[O-])c1 ZINC001168558143 779967850 /nfs/dbraw/zinc/96/78/50/779967850.db2.gz VRYVCBIKALGNQO-LBPRGKRZSA-N 0 0 277.324 2.895 20 5 CFBDRN CC(C)(CC1CCCCCC1)NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC001128149987 780234945 /nfs/dbraw/zinc/23/49/45/780234945.db2.gz DMEOWXPZDJQTSM-CHWSQXEVSA-N 0 0 282.384 2.907 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H](C)c1noc(C)n1 ZINC001116818454 780241907 /nfs/dbraw/zinc/24/19/07/780241907.db2.gz ZEHXFZCPRYTXJP-QMMMGPOBSA-N 0 0 292.295 2.606 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000131747385 780257305 /nfs/dbraw/zinc/25/73/05/780257305.db2.gz VICIBZQDKSDKBF-HTQZYQBOSA-N 0 0 268.700 2.634 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1cc([N+](=O)[O-])c(=O)n(C)c1 ZINC001168692089 780346507 /nfs/dbraw/zinc/34/65/07/780346507.db2.gz XHPKLXKILQFBSO-NXEZZACHSA-N 0 0 267.329 2.530 20 5 CFBDRN COc1ccc([N+](=O)[O-])nc1N[C@@H](C)CCCC(C)(C)O ZINC001168712546 780462137 /nfs/dbraw/zinc/46/21/37/780462137.db2.gz RASUZHSPQAOUHD-JTQLQIEISA-N 0 0 297.355 2.740 20 5 CFBDRN C[C@H](CCCC(C)(C)O)Nc1cccnc1[N+](=O)[O-] ZINC001168712226 780462733 /nfs/dbraw/zinc/46/27/33/780462733.db2.gz QEGPVRWURUNDRD-SNVBAGLBSA-N 0 0 267.329 2.731 20 5 CFBDRN C[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000132763753 780596539 /nfs/dbraw/zinc/59/65/39/780596539.db2.gz HVNRELNOHCTLFE-QMMMGPOBSA-N 0 0 266.272 2.510 20 5 CFBDRN C/C=C/CO[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC001205051857 780596845 /nfs/dbraw/zinc/59/68/45/780596845.db2.gz YJUIZOWGJWTEDC-VUDBWIFFSA-N 0 0 280.299 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)n1 ZINC000701098585 780758745 /nfs/dbraw/zinc/75/87/45/780758745.db2.gz IDEOZUYAMJDVJH-SVDPJWKOSA-N 0 0 265.313 2.522 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@@H](F)C1 ZINC000701904142 780851811 /nfs/dbraw/zinc/85/18/11/780851811.db2.gz VDASNFMPOHDPPE-MRVPVSSYSA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H]2CC2(F)F)n1 ZINC000701939461 780853913 /nfs/dbraw/zinc/85/39/13/780853913.db2.gz RNFILKRQNNKGPA-QMMMGPOBSA-N 0 0 257.240 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2=CCCC2)c2nonc21 ZINC000702331584 780915361 /nfs/dbraw/zinc/91/53/61/780915361.db2.gz ZXJNYABUYFGRIG-UHFFFAOYSA-N 0 0 260.253 2.653 20 5 CFBDRN C[C@@H](F)CCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000702572286 780935193 /nfs/dbraw/zinc/93/51/93/780935193.db2.gz DPASTAFIVSZWBB-SSDOTTSWSA-N 0 0 257.221 2.663 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1CO ZINC000704005214 781082955 /nfs/dbraw/zinc/08/29/55/781082955.db2.gz GKGVMHUEDFZJSX-VHSXEESVSA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCSC2)n1 ZINC000704839000 781119495 /nfs/dbraw/zinc/11/94/95/781119495.db2.gz VBKYHUSXBFBGNJ-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN CC(C)(C)C(=O)CNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000706305868 781171670 /nfs/dbraw/zinc/17/16/70/781171670.db2.gz GONFTXBPGQTFRK-UHFFFAOYSA-N 0 0 298.726 2.593 20 5 CFBDRN CCN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)[C@H](C)C(C)C ZINC000706420662 781176817 /nfs/dbraw/zinc/17/68/17/781176817.db2.gz RYGHEQDVUBPRPS-SNVBAGLBSA-N 0 0 279.340 2.684 20 5 CFBDRN CO[C@H]1C[C@H]([NH2+]Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000706567853 781190188 /nfs/dbraw/zinc/19/01/88/781190188.db2.gz VGEDJBMZLGCQAJ-XYPYZODXSA-N 0 0 270.716 2.515 20 5 CFBDRN CC(C)(C)OC1CN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000706865847 781207015 /nfs/dbraw/zinc/20/70/15/781207015.db2.gz LWSNGTTYDDNION-UHFFFAOYSA-N 0 0 282.315 2.733 20 5 CFBDRN CC(C)[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000708964360 781285424 /nfs/dbraw/zinc/28/54/24/781285424.db2.gz RELUTWNKLKDNRD-AWEZNQCLSA-N 0 0 282.315 2.591 20 5 CFBDRN C[C@]1(C2CC2)CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000709872499 781320423 /nfs/dbraw/zinc/32/04/23/781320423.db2.gz KCASNZUPSSYIOK-CQSZACIVSA-N 0 0 280.299 2.739 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1cn(C)cn1 ZINC000709935547 781323633 /nfs/dbraw/zinc/32/36/33/781323633.db2.gz SZGJLVIBVJLWSW-WDEREUQCSA-N 0 0 274.324 2.740 20 5 CFBDRN CC[C@]1(O)CCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000710267187 781331480 /nfs/dbraw/zinc/33/14/80/781331480.db2.gz JQTQVBRBIKUXDZ-AWEZNQCLSA-N 0 0 298.770 2.985 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC(C)(C)CO2)ncc1[N+](=O)[O-] ZINC000710745825 781337015 /nfs/dbraw/zinc/33/70/15/781337015.db2.gz SXSYKKRSYKDMTI-NSHDSACASA-N 0 0 279.340 2.915 20 5 CFBDRN COc1cccc(-c2noc(-c3ccc([N+](=O)[O-])o3)n2)c1 ZINC001212570130 781435347 /nfs/dbraw/zinc/43/53/47/781435347.db2.gz PDGXNGDUEWNWRI-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@@H](CF)C1 ZINC000711516891 781451418 /nfs/dbraw/zinc/45/14/18/781451418.db2.gz DRCWMSMDXWSIIR-NSHDSACASA-N 0 0 280.299 2.983 20 5 CFBDRN Cc1cnccc1-c1noc(-c2ccc([N+](=O)[O-])o2)n1 ZINC001212570164 781464272 /nfs/dbraw/zinc/46/42/72/781464272.db2.gz QLRYSNQTRJXZJF-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2C=CCC2)nc2sccn21 ZINC000711737119 781468183 /nfs/dbraw/zinc/46/81/83/781468183.db2.gz OWLNHADWEFCPKR-QMMMGPOBSA-N 0 0 264.310 2.682 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000711784390 781472386 /nfs/dbraw/zinc/47/23/86/781472386.db2.gz GZPSKLHNUAGZHX-SECBINFHSA-N 0 0 280.711 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CCC2)[C@H]2CCOC2)cn1 ZINC000711846503 781476997 /nfs/dbraw/zinc/47/69/97/781476997.db2.gz HOGBBICLOKBKAN-SMDDNHRTSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](C1CCC1)[C@@H]1CCOC1 ZINC000711851252 781481304 /nfs/dbraw/zinc/48/13/04/781481304.db2.gz RWBGORVGXYTFSA-DGCLKSJQSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1cc(N[C@H](C)c2ncn(C)n2)c(Cl)cc1[N+](=O)[O-] ZINC000780616545 781494712 /nfs/dbraw/zinc/49/47/12/781494712.db2.gz LFHBJJFUHBSGJF-MRVPVSSYSA-N 0 0 295.730 2.858 20 5 CFBDRN CCc1cc(N(C)C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC001163572172 781511700 /nfs/dbraw/zinc/51/17/00/781511700.db2.gz DVESDVNKQHTFMR-UHFFFAOYSA-N 0 0 272.308 2.680 20 5 CFBDRN CCN(C)c1ccc(-c2ccc(C)c([N+](=O)[O-])c2)nn1 ZINC001163584733 781518374 /nfs/dbraw/zinc/51/83/74/781518374.db2.gz NVZTXXKOSPSYHD-UHFFFAOYSA-N 0 0 272.308 2.816 20 5 CFBDRN C[C@@]1(F)CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H]1F ZINC001164326918 781705846 /nfs/dbraw/zinc/70/58/46/781705846.db2.gz LPJZURDXHJIHHE-GXSJLCMTSA-N 0 0 291.685 2.920 20 5 CFBDRN Cc1nc(N2CC[C@@](C)(F)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC001164328481 781706743 /nfs/dbraw/zinc/70/67/43/781706743.db2.gz URZRTJJNDZYAPA-ZYHUDNBSSA-N 0 0 271.267 2.575 20 5 CFBDRN O=C1CCCN(c2nc3ccccc3cc2[N+](=O)[O-])CC1 ZINC001165466300 781751507 /nfs/dbraw/zinc/75/15/07/781751507.db2.gz MGMXPFUPXJZFTB-UHFFFAOYSA-N 0 0 285.303 2.702 20 5 CFBDRN CC(C(=O)OCc1cccnc1[N+](=O)[O-])=C1CCCC1 ZINC001119404040 781792937 /nfs/dbraw/zinc/79/29/37/781792937.db2.gz YDARFCPZGASBJL-UHFFFAOYSA-N 0 0 276.292 2.924 20 5 CFBDRN CN(Cc1ccsc1)c1c(Cl)[n+]([O-])ccc1[N+](=O)[O-] ZINC001166823209 781813812 /nfs/dbraw/zinc/81/38/12/781813812.db2.gz QKQZUOUGCAPRTE-UHFFFAOYSA-N 0 0 299.739 2.580 20 5 CFBDRN O=[N+]([O-])c1cccc([S@](=O)CC2CCCC2)c1 ZINC001119555941 781827598 /nfs/dbraw/zinc/82/75/98/781827598.db2.gz TULWYKSHTWHTSQ-QGZVFWFLSA-N 0 0 253.323 2.893 20 5 CFBDRN CO[C@H](C)CCOc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492690 781857633 /nfs/dbraw/zinc/85/76/33/781857633.db2.gz SRDHTAQNKICDDM-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc(O[C@H]2CCCOC2)cc([N+](=O)[O-])c1C ZINC001230471948 781858073 /nfs/dbraw/zinc/85/80/73/781858073.db2.gz WDWYVSHIGSOYGY-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000822369420 781900213 /nfs/dbraw/zinc/90/02/13/781900213.db2.gz CFNOKHVAGNCLST-SVRRBLITSA-N 0 0 286.690 2.773 20 5 CFBDRN CC1(C(=O)NCCNc2ccccc2[N+](=O)[O-])CCCC1 ZINC000067509602 346806927 /nfs/dbraw/zinc/80/69/27/346806927.db2.gz RABHYMAASRNUDI-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC1(C)CC=CC1 ZINC000594589163 349453112 /nfs/dbraw/zinc/45/31/12/349453112.db2.gz CKXBVRYJMJJLMV-UHFFFAOYSA-N 0 0 250.302 2.640 20 5 CFBDRN CC(C)[C@H](C[NH2+]C/C=C\c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000594540450 349443322 /nfs/dbraw/zinc/44/33/22/349443322.db2.gz ZPXZQRPHCATRJG-VGVVRCBHSA-N 0 0 292.335 2.554 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCC[C@@H]4C[C@@H]43)no2)[nH]1 ZINC000594538507 349443644 /nfs/dbraw/zinc/44/36/44/349443644.db2.gz YOGYGSCYXUKAFI-HLTSFMKQSA-N 0 0 274.280 2.877 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)Cc1ccc(C)cn1 ZINC000594571747 349450265 /nfs/dbraw/zinc/45/02/65/349450265.db2.gz HIDVVIZNGTXCIR-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(SCCC(C)(C)O)n1 ZINC000594588254 349452979 /nfs/dbraw/zinc/45/29/79/349452979.db2.gz AOLGMTZCMBINSV-UHFFFAOYSA-N 0 0 270.354 2.860 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000067519622 346807450 /nfs/dbraw/zinc/80/74/50/346807450.db2.gz TVUQDJNZLVJNBK-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN COCC1(CNc2ccnc3cc([N+](=O)[O-])ccc32)CC1 ZINC000594592700 349454407 /nfs/dbraw/zinc/45/44/07/349454407.db2.gz SQTSVSTZBPSYPP-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@H]1CN(c2ncccc2[N+](=O)[O-])CC2(CCCCC2)O1 ZINC000594597762 349456378 /nfs/dbraw/zinc/45/63/78/349456378.db2.gz AJGCMPFFQAALTC-LBPRGKRZSA-N 0 0 291.351 2.918 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)C1CCC(F)(F)CC1 ZINC000594597933 349456461 /nfs/dbraw/zinc/45/64/61/349456461.db2.gz FEYUGQGTLTVQBL-MRVPVSSYSA-N 0 0 288.298 2.954 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCC3(CCCC3)O2)c1[N+](=O)[O-] ZINC000594600305 349456834 /nfs/dbraw/zinc/45/68/34/349456834.db2.gz PCBJCQWPQBKHTO-LLVKDONJSA-N 0 0 294.355 2.540 20 5 CFBDRN COC[C@@H](CC(C)(C)C)Nc1ncc([N+](=O)[O-])cc1F ZINC000594600723 349457822 /nfs/dbraw/zinc/45/78/22/349457822.db2.gz REDXTODJSCXNGA-SECBINFHSA-N 0 0 285.319 2.992 20 5 CFBDRN COc1ccc2c(c1)CN(c1ncc([N+](=O)[O-])s1)C2 ZINC000594603535 349459023 /nfs/dbraw/zinc/45/90/23/349459023.db2.gz IQSOHJVXGNONFS-UHFFFAOYSA-N 0 0 277.305 2.580 20 5 CFBDRN CN(Cc1ccccc1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067536911 346808846 /nfs/dbraw/zinc/80/88/46/346808846.db2.gz ZVSXWCREVOGGIN-UHFFFAOYSA-N 0 0 299.330 2.936 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCCC(F)(F)F ZINC000087341365 260118965 /nfs/dbraw/zinc/11/89/65/260118965.db2.gz JGMSOPWAHQECED-UHFFFAOYSA-N 0 0 290.241 2.596 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000594662831 349471111 /nfs/dbraw/zinc/47/11/11/349471111.db2.gz CGRHNADYHWZJOV-SNVBAGLBSA-N 0 0 250.298 2.822 20 5 CFBDRN Cc1c(OCc2cn(C(C)(C)C)nn2)cccc1[N+](=O)[O-] ZINC000594886532 349494016 /nfs/dbraw/zinc/49/40/16/349494016.db2.gz VTYUOACMSMKJSZ-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN COc1ccc([C@H](C)Cn2cc([N+](=O)[O-])ccc2=O)cc1 ZINC000594879938 349492303 /nfs/dbraw/zinc/49/23/03/349492303.db2.gz CSXNRSACAIZNCM-LLVKDONJSA-N 0 0 288.303 2.569 20 5 CFBDRN Cc1cc(OC[C@@]2(OC(C)C)CCOC2)ccc1[N+](=O)[O-] ZINC000594880602 349492408 /nfs/dbraw/zinc/49/24/08/349492408.db2.gz NDSHJSYJPMSXJW-OAHLLOKOSA-N 0 0 295.335 2.866 20 5 CFBDRN Cc1c(NCCO[C@@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000594698986 349475421 /nfs/dbraw/zinc/47/54/21/349475421.db2.gz LALZSSMBCSNUKG-LLVKDONJSA-N 0 0 272.251 2.739 20 5 CFBDRN COC[C@@H](CNc1ccc(C(C)(C)C)c([N+](=O)[O-])c1)OC ZINC000594707427 349477851 /nfs/dbraw/zinc/47/78/51/349477851.db2.gz MZBVRGKZWCRPPL-GFCCVEGCSA-N 0 0 296.367 2.966 20 5 CFBDRN CSc1cccc(Cn2c(C)ncc2[N+](=O)[O-])c1 ZINC000594887450 349494384 /nfs/dbraw/zinc/49/43/84/349494384.db2.gz LOMDIPKDPGSOSE-UHFFFAOYSA-N 0 0 263.322 2.870 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067724009 346816850 /nfs/dbraw/zinc/81/68/50/346816850.db2.gz YHRSYYZRGGBXQM-NSHDSACASA-N 0 0 279.340 2.925 20 5 CFBDRN Cc1cc(COc2ccc(C)c([N+](=O)[O-])c2)nc(C)n1 ZINC000594893487 349495902 /nfs/dbraw/zinc/49/59/02/349495902.db2.gz CGCSONMALOFEHR-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN CO[C@@H](COc1ccc(C(C)=O)cc1[N+](=O)[O-])C1CCC1 ZINC000594893321 349496041 /nfs/dbraw/zinc/49/60/41/349496041.db2.gz XKQHOMNFQFDUCX-HNNXBMFYSA-N 0 0 293.319 2.991 20 5 CFBDRN COc1ccc([C@H](C)Cn2cc([N+](=O)[O-])cn2)cc1 ZINC000594892093 349496051 /nfs/dbraw/zinc/49/60/51/349496051.db2.gz XBENJVWVMQHJJE-SNVBAGLBSA-N 0 0 261.281 2.604 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cnc3ccccc3n2)nc1C1CC1 ZINC000594894825 349496907 /nfs/dbraw/zinc/49/69/07/349496907.db2.gz GXJMLELJVSAOEN-UHFFFAOYSA-N 0 0 295.302 2.660 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CC[C@H]3C[C@H]3C2)cc1CO ZINC000594895796 349497824 /nfs/dbraw/zinc/49/78/24/349497824.db2.gz VGRPAKKZMDSASL-GRYCIOLGSA-N 0 0 277.320 2.902 20 5 CFBDRN CO[C@H](CSc1cccc([N+](=O)[O-])c1)[C@H]1CCOC1 ZINC000594898422 349498564 /nfs/dbraw/zinc/49/85/64/349498564.db2.gz ASBYGGCVCFEDIY-GXFFZTMASA-N 0 0 283.349 2.738 20 5 CFBDRN Cc1ncsc1CCOc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000594902653 349499878 /nfs/dbraw/zinc/49/98/78/349499878.db2.gz NXFLNWIQBSQXFX-UHFFFAOYSA-N 0 0 293.348 2.993 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000067710898 346816314 /nfs/dbraw/zinc/81/63/14/346816314.db2.gz WFTDZSXFXKPANK-LLVKDONJSA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000067722584 346816794 /nfs/dbraw/zinc/81/67/94/346816794.db2.gz RBIFVDJLGLJBQK-VXGBXAGGSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1cnc(C)c(NCCc2ccccc2[N+](=O)[O-])n1 ZINC000273845837 192332119 /nfs/dbraw/zinc/33/21/19/192332119.db2.gz IUPQKRQUBIMMDW-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1ccc(NC(=O)N2CCO[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000125001475 187165030 /nfs/dbraw/zinc/16/50/30/187165030.db2.gz YTVYRDLISDBFPH-WDEREUQCSA-N 0 0 293.323 2.544 20 5 CFBDRN CC[C@H](O)CNc1ccc(Br)cc1[N+](=O)[O-] ZINC000125094560 187169607 /nfs/dbraw/zinc/16/96/07/187169607.db2.gz XJOAKHXZUPCZOI-QMMMGPOBSA-N 0 0 289.129 2.540 20 5 CFBDRN CCn1ccc(NCC(C)=Cc2cccc([N+](=O)[O-])c2)n1 ZINC000594969944 349534722 /nfs/dbraw/zinc/53/47/22/349534722.db2.gz UTVNCZHQBADBHY-XFXZXTDPSA-N 0 0 286.335 2.749 20 5 CFBDRN CCn1cc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2OC)cn1 ZINC000595030032 349556475 /nfs/dbraw/zinc/55/64/75/349556475.db2.gz LZKUZBQKYIGZKC-JTQLQIEISA-N 0 0 290.323 2.993 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000067828346 346822521 /nfs/dbraw/zinc/82/25/21/346822521.db2.gz ZGUXYHLAADUULJ-NSHDSACASA-N 0 0 289.335 2.806 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000067904822 346826768 /nfs/dbraw/zinc/82/67/68/346826768.db2.gz CRPWQSVPCNAVMI-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN C[C@@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])c1nccn1C ZINC000595110225 349583342 /nfs/dbraw/zinc/58/33/42/349583342.db2.gz YZYNDQKZVLOWFZ-MFKMUULPSA-N 0 0 286.335 2.666 20 5 CFBDRN COc1cc(CN(C)Cc2ccccc2[N+](=O)[O-])sn1 ZINC000595286306 349590313 /nfs/dbraw/zinc/59/03/13/349590313.db2.gz BKFXSKCMWGQFDM-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000125333290 187180152 /nfs/dbraw/zinc/18/01/52/187180152.db2.gz ZMHALILLKSNYTE-LLVKDONJSA-N 0 0 268.338 2.983 20 5 CFBDRN C[C@H](c1cccnc1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000068171806 346838393 /nfs/dbraw/zinc/83/83/93/346838393.db2.gz VMHDAAFSVJIABJ-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN CO[C@]1(C)C[C@@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1(C)C ZINC000595335217 349604662 /nfs/dbraw/zinc/60/46/62/349604662.db2.gz SOUJIFOCTQFHQC-BXUZGUMPSA-N 0 0 297.355 2.527 20 5 CFBDRN CCN(Cc1ccoc1)Cc1onc(C)c1[N+](=O)[O-] ZINC000595309445 349599592 /nfs/dbraw/zinc/59/95/92/349599592.db2.gz TVHMDPJERPUZCO-UHFFFAOYSA-N 0 0 265.269 2.506 20 5 CFBDRN Cc1noc(CN2C[C@H](C)Oc3ccccc32)c1[N+](=O)[O-] ZINC000595319251 349601374 /nfs/dbraw/zinc/60/13/74/349601374.db2.gz ZNTWFUIUNYIOTG-VIFPVBQESA-N 0 0 289.291 2.679 20 5 CFBDRN Cc1noc(CN(C)CCc2cccs2)c1[N+](=O)[O-] ZINC000595324614 349602643 /nfs/dbraw/zinc/60/26/43/349602643.db2.gz DMOMDYVGHUSPJR-UHFFFAOYSA-N 0 0 281.337 2.627 20 5 CFBDRN CC[C@]1(C)CCCN(Cc2onc(C)c2[N+](=O)[O-])C1 ZINC000595330801 349603125 /nfs/dbraw/zinc/60/31/25/349603125.db2.gz PCVGQMPAAGJZQJ-CYBMUJFWSA-N 0 0 267.329 2.903 20 5 CFBDRN Cc1noc(CN(C)Cc2ccccc2C)c1[N+](=O)[O-] ZINC000595292217 349592453 /nfs/dbraw/zinc/59/24/53/349592453.db2.gz VYMIEVGWHPNRKZ-UHFFFAOYSA-N 0 0 275.308 2.832 20 5 CFBDRN Cc1noc(CN2CCC[C@H](C(F)(F)F)C2)c1[N+](=O)[O-] ZINC000595292257 349592781 /nfs/dbraw/zinc/59/27/81/349592781.db2.gz XMVDVRICOWREBL-QMMMGPOBSA-N 0 0 293.245 2.666 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1C2CCC1CC2 ZINC000595292058 349592797 /nfs/dbraw/zinc/59/27/97/349592797.db2.gz UAZTUBKMQUJVTP-UHFFFAOYSA-N 0 0 250.273 2.861 20 5 CFBDRN CCN(Cc1ccc(F)cc1[N+](=O)[O-])C[C@@H]1CCCO1 ZINC000595297991 349596216 /nfs/dbraw/zinc/59/62/16/349596216.db2.gz MJNMHFPZKQSLEZ-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1noc(CN2CC[C@@H]2c2cccc(F)c2)c1[N+](=O)[O-] ZINC000595342936 349606152 /nfs/dbraw/zinc/60/61/52/349606152.db2.gz SHJXGWHYTPHQRA-GFCCVEGCSA-N 0 0 291.282 2.977 20 5 CFBDRN Cc1noc(CN2CC[C@H]2c2cccc(F)c2)c1[N+](=O)[O-] ZINC000595342937 349606474 /nfs/dbraw/zinc/60/64/74/349606474.db2.gz SHJXGWHYTPHQRA-LBPRGKRZSA-N 0 0 291.282 2.977 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1C[N@@H+]1CCC[C@H]2COCC[C@H]21 ZINC000595337886 349605103 /nfs/dbraw/zinc/60/51/03/349605103.db2.gz CJWUYCYPDIPBKD-GXTWGEPZSA-N 0 0 294.326 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1C[N@@H+]1CCC[C@@H]2COCC[C@H]21 ZINC000595337889 349605375 /nfs/dbraw/zinc/60/53/75/349605375.db2.gz CJWUYCYPDIPBKD-TZMCWYRMSA-N 0 0 294.326 2.735 20 5 CFBDRN Cc1noc(CN(C(C)C)C2CCC2)c1[N+](=O)[O-] ZINC000595379089 349612495 /nfs/dbraw/zinc/61/24/95/349612495.db2.gz VLCLOZQIKYZBIT-UHFFFAOYSA-N 0 0 253.302 2.654 20 5 CFBDRN Cc1noc(CN(C)[C@H](C)c2cccc(O)c2)c1[N+](=O)[O-] ZINC000595375528 349612038 /nfs/dbraw/zinc/61/20/38/349612038.db2.gz RPFPQHCVHVFPRT-SNVBAGLBSA-N 0 0 291.307 2.790 20 5 CFBDRN Cc1noc(CN2CCc3ccsc3C2)c1[N+](=O)[O-] ZINC000595403745 349616775 /nfs/dbraw/zinc/61/67/75/349616775.db2.gz KNUBQGSFTYOKJG-UHFFFAOYSA-N 0 0 279.321 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC=C(F)C1 ZINC000595408917 349618204 /nfs/dbraw/zinc/61/82/04/349618204.db2.gz DUGLMWRBBBOPPI-UHFFFAOYSA-N 0 0 254.236 2.793 20 5 CFBDRN COC(=O)CCSc1c(F)cc([N+](=O)[O-])cc1F ZINC000269608849 190520148 /nfs/dbraw/zinc/52/01/48/190520148.db2.gz DJDQXBWQVDSQSX-UHFFFAOYSA-N 0 0 277.248 2.528 20 5 CFBDRN Cc1cc(N(C)C[C@@H](C)c2nccs2)ncc1[N+](=O)[O-] ZINC000273107404 192045314 /nfs/dbraw/zinc/04/53/14/192045314.db2.gz FXJALEFFDNKABL-SNVBAGLBSA-N 0 0 292.364 2.995 20 5 CFBDRN Cc1noc(CN2CCc3c2cccc3F)c1[N+](=O)[O-] ZINC000595435007 349624904 /nfs/dbraw/zinc/62/49/04/349624904.db2.gz JVYHETQRPGPISJ-UHFFFAOYSA-N 0 0 277.255 2.593 20 5 CFBDRN Cc1noc(CN[C@H]2CCCO[C@H]2CC(C)C)c1[N+](=O)[O-] ZINC000595440736 349627112 /nfs/dbraw/zinc/62/71/12/349627112.db2.gz GQGAVAFWZHNEKK-RYUDHWBXSA-N 0 0 297.355 2.575 20 5 CFBDRN Cc1cc(NCC[C@H]2CCO[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000273112510 192046537 /nfs/dbraw/zinc/04/65/37/192046537.db2.gz GKZZWQPPTSJBQP-RYUDHWBXSA-N 0 0 279.340 2.915 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000595471757 349637557 /nfs/dbraw/zinc/63/75/57/349637557.db2.gz PPSJGJQLCRIBCR-LBPRGKRZSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H]1CCc2ccccc21 ZINC000335972584 282168771 /nfs/dbraw/zinc/16/87/71/282168771.db2.gz FFTYIXCYWZXPKW-LBPRGKRZSA-N 0 0 257.293 2.830 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1[C@H](C)CCC[C@H]1C ZINC000193263883 282168141 /nfs/dbraw/zinc/16/81/41/282168141.db2.gz CFRGOWKUSOTQGX-NXEZZACHSA-N 0 0 291.351 2.853 20 5 CFBDRN CC1(C)[C@H]([NH2+]Cc2ccc(F)cc2[N+](=O)[O-])[C@H]2CCO[C@H]21 ZINC000595513544 349648363 /nfs/dbraw/zinc/64/83/63/349648363.db2.gz VPYZFPIYWKVTQE-MRVWCRGKSA-N 0 0 294.326 2.637 20 5 CFBDRN Cc1noc(CN2CCSC3(CCC3)CC2)c1[N+](=O)[O-] ZINC000595533556 349652085 /nfs/dbraw/zinc/65/20/85/349652085.db2.gz BESFOWMAFXAIOE-UHFFFAOYSA-N 0 0 297.380 2.753 20 5 CFBDRN CNC(=O)c1ccc(NC[C@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000069000203 346879870 /nfs/dbraw/zinc/87/98/70/346879870.db2.gz TZEUWGFMWOQOEC-NSHDSACASA-N 0 0 289.335 2.723 20 5 CFBDRN CCCN(C)C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000068998594 346880119 /nfs/dbraw/zinc/88/01/19/346880119.db2.gz SHCHTWDCNRWIRO-JTQLQIEISA-N 0 0 282.365 2.944 20 5 CFBDRN CC(C)(F)CCNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000595572644 349664320 /nfs/dbraw/zinc/66/43/20/349664320.db2.gz GPEUUHQFCORNBA-UHFFFAOYSA-N 0 0 297.330 2.575 20 5 CFBDRN CC[C@@H](NC(=O)NCc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000595587656 349666347 /nfs/dbraw/zinc/66/63/47/349666347.db2.gz JNBCUCUFLGNTOW-CYBMUJFWSA-N 0 0 291.351 2.891 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1c1ncc([N+](=O)[O-])cc1F ZINC000413241057 529483976 /nfs/dbraw/zinc/48/39/76/529483976.db2.gz XQFZEGYDDCZVKX-LDYMZIIASA-N 0 0 253.277 2.754 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000413453514 529473905 /nfs/dbraw/zinc/47/39/05/529473905.db2.gz PIROEBJPWIIMGR-ZJUUUORDSA-N 0 0 271.704 2.623 20 5 CFBDRN CC[C@@H](CNc1nccc2ccc([N+](=O)[O-])cc21)OC ZINC000413292734 529497977 /nfs/dbraw/zinc/49/79/77/529497977.db2.gz AQIBNRNLOSDIFT-LBPRGKRZSA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2c3ccccc3C[C@@H]2CO)c1 ZINC000595656787 349692497 /nfs/dbraw/zinc/69/24/97/349692497.db2.gz FVYJKUYVEFYPFD-OAHLLOKOSA-N 0 0 284.315 2.518 20 5 CFBDRN COc1ncc(Cl)c(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000125973315 187208300 /nfs/dbraw/zinc/20/83/00/187208300.db2.gz QXAZBMPQRQKDRC-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H](C)C2CCCC2)cc1[N+](=O)[O-] ZINC000070543714 346910560 /nfs/dbraw/zinc/91/05/60/346910560.db2.gz IIPWWUPKRFLTGX-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@@]12COC[C@H]1CN(Cc1ccc(Cl)cc1[N+](=O)[O-])C2 ZINC000595755809 349723529 /nfs/dbraw/zinc/72/35/29/349723529.db2.gz CYNODQCANAIEQZ-BXUZGUMPSA-N 0 0 296.754 2.717 20 5 CFBDRN CC(C)c1cc(C(=O)NCc2ccccc2[N+](=O)[O-])on1 ZINC000070783021 346913591 /nfs/dbraw/zinc/91/35/91/346913591.db2.gz VVRPZEZQABWMDZ-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN C[C@H](c1ccccc1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000070792125 346914497 /nfs/dbraw/zinc/91/44/97/346914497.db2.gz XBLDXOSHWODADP-SNVBAGLBSA-N 0 0 260.297 2.526 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CS[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000412589641 529609005 /nfs/dbraw/zinc/60/90/05/529609005.db2.gz FOGFIPJUMCUATD-JOYOIKCWSA-N 0 0 294.376 2.781 20 5 CFBDRN O=C(COc1ccc(Cl)cc1[N+](=O)[O-])c1nccs1 ZINC000447299768 290032110 /nfs/dbraw/zinc/03/21/10/290032110.db2.gz UEZKAZQARQNPSX-UHFFFAOYSA-N 0 0 298.707 2.966 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC(C)(C)OCC1CC1 ZINC000413508361 529602133 /nfs/dbraw/zinc/60/21/33/529602133.db2.gz FZHJQQNDGHUAIR-UHFFFAOYSA-N 0 0 296.371 2.737 20 5 CFBDRN Cc1cc(N2CCCc3n[nH]cc3C2)c(F)cc1[N+](=O)[O-] ZINC000596001213 349774923 /nfs/dbraw/zinc/77/49/23/349774923.db2.gz MUTBEAHIMHBNFC-UHFFFAOYSA-N 0 0 290.298 2.718 20 5 CFBDRN CCCc1nccnc1NCc1ccc([N+](=O)[O-])cc1F ZINC000596025749 349777725 /nfs/dbraw/zinc/77/77/25/349777725.db2.gz IVENOXXTGCIBJY-UHFFFAOYSA-N 0 0 290.298 2.510 20 5 CFBDRN Cc1noc(CNc2cc(C)ccc2F)c1[N+](=O)[O-] ZINC000596029144 349777833 /nfs/dbraw/zinc/77/78/33/349777833.db2.gz PYYIYRGAVKOTMK-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596054892 349782949 /nfs/dbraw/zinc/78/29/49/349782949.db2.gz JEEHAXGVEBXDEB-IUCAKERBSA-N 0 0 251.286 2.686 20 5 CFBDRN CC1CCC(N(C)c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1 ZINC000596059540 349783383 /nfs/dbraw/zinc/78/33/83/349783383.db2.gz PTLAGGWDSRNPEK-UHFFFAOYSA-N 0 0 291.351 2.709 20 5 CFBDRN Cc1cc(N[C@H](C)Cn2cccn2)c([N+](=O)[O-])s1 ZINC000596074982 349788253 /nfs/dbraw/zinc/78/82/53/349788253.db2.gz DRYLSKBMAGKPQK-MRVPVSSYSA-N 0 0 266.326 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)nc1 ZINC000270006381 190584023 /nfs/dbraw/zinc/58/40/23/190584023.db2.gz ZWEIKBXVKMOYBN-BNOWGMLFSA-N 0 0 289.335 2.749 20 5 CFBDRN COc1ccncc1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000431489279 383677153 /nfs/dbraw/zinc/67/71/53/383677153.db2.gz GNTJYQVPTJGLTD-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596086932 349790417 /nfs/dbraw/zinc/79/04/17/349790417.db2.gz CJWXUZTWBQUQFB-QWRGUYRKSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596086382 349790437 /nfs/dbraw/zinc/79/04/37/349790437.db2.gz YGPKEWAVMCMXSC-WDEREUQCSA-N 0 0 291.351 2.932 20 5 CFBDRN CC(C)CC[C@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596087560 349791201 /nfs/dbraw/zinc/79/12/01/349791201.db2.gz HQXWRGDBRFKHTJ-JTQLQIEISA-N 0 0 279.340 2.930 20 5 CFBDRN COC(=O)CC1CCN(c2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596087818 349791528 /nfs/dbraw/zinc/79/15/28/349791528.db2.gz OGSXQLVYSRRJNJ-UHFFFAOYSA-N 0 0 298.364 2.744 20 5 CFBDRN COc1ccc(CNc2cc(C)sc2[N+](=O)[O-])cn1 ZINC000596065865 349785455 /nfs/dbraw/zinc/78/54/55/349785455.db2.gz XEMKCSPGGNBSAH-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN C[C@@H](N(C)c1ccc([N+](=O)[O-])c(C(N)=O)c1)C(C)(C)C ZINC000596073291 349787561 /nfs/dbraw/zinc/78/75/61/349787561.db2.gz LABGTAAAHAKHFG-SECBINFHSA-N 0 0 279.340 2.565 20 5 CFBDRN CC[C@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000421088738 529665616 /nfs/dbraw/zinc/66/56/16/529665616.db2.gz DJTSOTVPFSALOC-VIFPVBQESA-N 0 0 251.286 2.762 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000177786048 529671910 /nfs/dbraw/zinc/67/19/10/529671910.db2.gz QFQYQYMQAHQFLC-GFCCVEGCSA-N 0 0 273.292 2.804 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2Cc3ccccc3C2)nc1 ZINC000273900382 192355319 /nfs/dbraw/zinc/35/53/19/192355319.db2.gz HTEHDXDHBSZTQY-UHFFFAOYSA-N 0 0 284.319 2.602 20 5 CFBDRN Cc1cc(NCc2nncn2C(C)C)c([N+](=O)[O-])s1 ZINC000596125381 349800355 /nfs/dbraw/zinc/80/03/55/349800355.db2.gz PKUFHTRBCNUNAR-UHFFFAOYSA-N 0 0 281.341 2.749 20 5 CFBDRN CCC(CC)[C@@H](CCNc1ccncc1[N+](=O)[O-])OC ZINC000361044343 282230533 /nfs/dbraw/zinc/23/05/33/282230533.db2.gz SVTVSXWMSJVFRD-CQSZACIVSA-N 0 0 281.356 2.665 20 5 CFBDRN CS[C@H](C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000270128669 190601910 /nfs/dbraw/zinc/60/19/10/190601910.db2.gz MAMISSVIRKFHDH-MRVPVSSYSA-N 0 0 279.321 2.939 20 5 CFBDRN CCc1ccc(OC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000115200733 529695241 /nfs/dbraw/zinc/69/52/41/529695241.db2.gz LYVVZUBKDXDRGD-LLVKDONJSA-N 0 0 251.282 2.715 20 5 CFBDRN Cc1ncsc1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000351670789 282236094 /nfs/dbraw/zinc/23/60/94/282236094.db2.gz XMFHWHYJRPJWNB-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CC[C@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596133581 349803013 /nfs/dbraw/zinc/80/30/13/349803013.db2.gz XJABSKDFIGUUME-JTQLQIEISA-N 0 0 265.313 2.712 20 5 CFBDRN Cc1cc(N[C@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000294989741 199287350 /nfs/dbraw/zinc/28/73/50/199287350.db2.gz MWZKMFVTEXNHGZ-SNVBAGLBSA-N 0 0 252.314 2.865 20 5 CFBDRN O=c1[nH]ccc(N2CC[C@H](Cc3ccccc3)C2)c1[N+](=O)[O-] ZINC000596137349 349805036 /nfs/dbraw/zinc/80/50/36/349805036.db2.gz SCDBDZXFFHOLJJ-CYBMUJFWSA-N 0 0 299.330 2.764 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H](C)S1 ZINC000596138120 349804627 /nfs/dbraw/zinc/80/46/27/349804627.db2.gz FSTLHDJMNKDUGT-YUMQZZPRSA-N 0 0 287.772 2.973 20 5 CFBDRN Cc1cc(NC[C@H](C)C(=O)OC(C)C)c([N+](=O)[O-])s1 ZINC000596139554 349805534 /nfs/dbraw/zinc/80/55/34/349805534.db2.gz LKZBCQQPWVSMRW-QMMMGPOBSA-N 0 0 286.353 2.964 20 5 CFBDRN CC(C)(C)c1nnnn1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000072672543 346935609 /nfs/dbraw/zinc/93/56/09/346935609.db2.gz YOQUSHJHFIGDJH-UHFFFAOYSA-N 0 0 295.730 2.581 20 5 CFBDRN CC[C@H](O)CNc1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000420632107 529709307 /nfs/dbraw/zinc/70/93/07/529709307.db2.gz WIRQYZUZPUOWSZ-JTQLQIEISA-N 0 0 275.308 2.634 20 5 CFBDRN COC(=O)c1c(Cl)c(NCC(F)F)ccc1[N+](=O)[O-] ZINC000596144827 349807418 /nfs/dbraw/zinc/80/74/18/349807418.db2.gz JLOQESHVRAQPOB-UHFFFAOYSA-N 0 0 294.641 2.712 20 5 CFBDRN CO[C@@H](CNc1c(Cl)cncc1[N+](=O)[O-])C(C)(C)C ZINC000596145994 349808069 /nfs/dbraw/zinc/80/80/69/349808069.db2.gz UIVRALWCOYWUAS-JTQLQIEISA-N 0 0 287.747 2.538 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596147988 349808886 /nfs/dbraw/zinc/80/88/86/349808886.db2.gz XSLFFEJUDGRJIX-GHMZBOCLSA-N 0 0 291.351 2.709 20 5 CFBDRN CCOC[C@H]1CCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596149796 349809844 /nfs/dbraw/zinc/80/98/44/349809844.db2.gz RDMSBIWSUMINPQ-JTQLQIEISA-N 0 0 270.354 2.828 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC(C)(C)C1 ZINC000072828057 346942352 /nfs/dbraw/zinc/94/23/52/346942352.db2.gz RQEGIXOVFJKJLQ-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN CC[C@H](C)CSc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596155432 349811901 /nfs/dbraw/zinc/81/19/01/349811901.db2.gz ALNWLZDVQRDFPO-QMMMGPOBSA-N 0 0 268.338 2.832 20 5 CFBDRN CCCC1(CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1 ZINC000596159313 349812511 /nfs/dbraw/zinc/81/25/11/349812511.db2.gz GKGGMEPRVWUBHM-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)n2)CCS1 ZINC000150952513 136393389 /nfs/dbraw/zinc/39/33/89/136393389.db2.gz QXHVJMYKYFRQSP-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN CC[C@H]1CCC[C@@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596164515 349814536 /nfs/dbraw/zinc/81/45/36/349814536.db2.gz ZEFFAVQKUAVSEY-CABZTGNLSA-N 0 0 277.324 2.684 20 5 CFBDRN C[C@]1(CNC(=O)c2cc(F)ccc2[N+](=O)[O-])CCCS1 ZINC000127913242 187341047 /nfs/dbraw/zinc/34/10/47/187341047.db2.gz KXWFYQPCXYGOEA-CYBMUJFWSA-N 0 0 298.339 2.749 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2C[C@H](C)O)c([N+](=O)[O-])s1 ZINC000596173248 349816801 /nfs/dbraw/zinc/81/68/01/349816801.db2.gz SHKLODARTJUMPO-WCBMZHEXSA-N 0 0 270.354 2.704 20 5 CFBDRN Cc1cc(N2CC[C@@H](c3nccn3C)C2)c([N+](=O)[O-])s1 ZINC000596175157 349817634 /nfs/dbraw/zinc/81/76/34/349817634.db2.gz QCMARBMFHLZSAH-SNVBAGLBSA-N 0 0 292.364 2.692 20 5 CFBDRN CC1=CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596174920 349817816 /nfs/dbraw/zinc/81/78/16/349817816.db2.gz OIEBQXYMHLLFBP-UHFFFAOYSA-N 0 0 253.689 2.800 20 5 CFBDRN CCc1ncsc1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000156902746 529763900 /nfs/dbraw/zinc/76/39/00/529763900.db2.gz SQAZXEXEGKUCJM-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN CC(=O)c1cc(NC[C@@H](C)CCCO)ccc1[N+](=O)[O-] ZINC000295039614 199315168 /nfs/dbraw/zinc/31/51/68/199315168.db2.gz WNFZHKBIKHROJB-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN CC1(C)CC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596179812 349818826 /nfs/dbraw/zinc/81/88/26/349818826.db2.gz UDHXBPQQCYXVDS-QMMMGPOBSA-N 0 0 251.286 2.686 20 5 CFBDRN CC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 ZINC000295043494 199316563 /nfs/dbraw/zinc/31/65/63/199316563.db2.gz XHLCJFCGEHUXLM-UHFFFAOYSA-N 0 0 273.292 2.659 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCC[C@H]2O)c([N+](=O)[O-])s1 ZINC000596187144 349821150 /nfs/dbraw/zinc/82/11/50/349821150.db2.gz OFLSQPKKBNAHSG-CMPLNLGQSA-N 0 0 284.381 2.952 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCOCC2(CCC2)C1 ZINC000596191840 349822927 /nfs/dbraw/zinc/82/29/27/349822927.db2.gz HXBBDZPFCBHFHD-UHFFFAOYSA-N 0 0 297.742 2.650 20 5 CFBDRN Cc1cccc([C@H]2CCN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)c1 ZINC000596196076 349823848 /nfs/dbraw/zinc/82/38/48/349823848.db2.gz SMEOURFPQUNWIG-ZDUSSCGKSA-N 0 0 299.330 2.998 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C[C@@H]1C ZINC000596198518 349824857 /nfs/dbraw/zinc/82/48/57/349824857.db2.gz LDIJFVZIIJOXAU-VWYCJHECSA-N 0 0 291.351 2.930 20 5 CFBDRN CC[C@@]1(C)CN(c2cc(C)sc2[N+](=O)[O-])CCO1 ZINC000596202457 349825759 /nfs/dbraw/zinc/82/57/59/349825759.db2.gz FBKYSMREUAYUHC-LBPRGKRZSA-N 0 0 270.354 2.970 20 5 CFBDRN Cc1cc(N2CCC(O)(C(F)F)CC2)c([N+](=O)[O-])s1 ZINC000596199704 349825820 /nfs/dbraw/zinc/82/58/20/349825820.db2.gz GFSIFVXOJIPQLK-UHFFFAOYSA-N 0 0 292.307 2.561 20 5 CFBDRN Cc1nn(C)c(NC[C@H](C)Oc2ccccc2)c1[N+](=O)[O-] ZINC000072945837 346951532 /nfs/dbraw/zinc/95/15/32/346951532.db2.gz XTBCCPVFULHMDX-JTQLQIEISA-N 0 0 290.323 2.516 20 5 CFBDRN CC(C)[C@H]1C[C@@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596183215 349820018 /nfs/dbraw/zinc/82/00/18/349820018.db2.gz AGDMEPMIGWQBDQ-JOYOIKCWSA-N 0 0 299.758 2.681 20 5 CFBDRN C[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H]1F ZINC000596184592 349820283 /nfs/dbraw/zinc/82/02/83/349820283.db2.gz CFVFRTLESIRIGB-IONNQARKSA-N 0 0 273.695 2.828 20 5 CFBDRN CC[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H](C)O1 ZINC000301280245 136428366 /nfs/dbraw/zinc/42/83/66/136428366.db2.gz NVEPNXMQECIGLZ-WPRPVWTQSA-N 0 0 285.731 2.647 20 5 CFBDRN Cc1cc(NCCC2(O)CCC2)c([N+](=O)[O-])s1 ZINC000596226217 349832977 /nfs/dbraw/zinc/83/29/77/349832977.db2.gz PLEXCITWQYGWLH-UHFFFAOYSA-N 0 0 256.327 2.682 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1SC[C@H]1CCCO1 ZINC000596209518 349827783 /nfs/dbraw/zinc/82/77/83/349827783.db2.gz GUWSPWHSUUHEOX-SSDOTTSWSA-N 0 0 274.729 2.914 20 5 CFBDRN Cc1cc(N(C)CCCCCO)c([N+](=O)[O-])s1 ZINC000596214522 349828542 /nfs/dbraw/zinc/82/85/42/349828542.db2.gz JCHJNUSVRSOWDT-UHFFFAOYSA-N 0 0 258.343 2.564 20 5 CFBDRN CCOC[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000072946616 346952183 /nfs/dbraw/zinc/95/21/83/346952183.db2.gz RCAYDBIKHWBZSX-LBPRGKRZSA-N 0 0 264.325 2.848 20 5 CFBDRN CC(C)[C@H]1C[C@@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596255862 349842694 /nfs/dbraw/zinc/84/26/94/349842694.db2.gz ZUVNIMWIEABTBL-CMPLNLGQSA-N 0 0 295.339 2.559 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H](c2ccncc2)C1 ZINC000596255302 349842908 /nfs/dbraw/zinc/84/29/08/349842908.db2.gz WHJVNANBKJXXSX-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN Cn1cncc1CCOc1ccc([N+](=O)[O-])cc1Cl ZINC000596238815 349836686 /nfs/dbraw/zinc/83/66/86/349836686.db2.gz LVFWPEBWTFWGDD-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H]1COC(C)(C)C1 ZINC000596241898 349838226 /nfs/dbraw/zinc/83/82/26/349838226.db2.gz JLKYTOKFJKISSC-SNVBAGLBSA-N 0 0 267.281 2.550 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@@H]1C(F)F ZINC000596255008 349842002 /nfs/dbraw/zinc/84/20/02/349842002.db2.gz IEYLRJRXIZVIPR-SNVBAGLBSA-N 0 0 279.246 2.987 20 5 CFBDRN NC(=O)c1cc(NC[C@H]2CCCC2(F)F)ccc1[N+](=O)[O-] ZINC000596254915 349842183 /nfs/dbraw/zinc/84/21/83/349842183.db2.gz MZAVWOOEDKTAMU-MRVPVSSYSA-N 0 0 299.277 2.541 20 5 CFBDRN C[C@@H](CNc1cc[nH]c(=O)c1[N+](=O)[O-])CC(F)(F)F ZINC000596269992 349848491 /nfs/dbraw/zinc/84/84/91/349848491.db2.gz AIMPKXCSVOZJOC-ZCFIWIBFSA-N 0 0 279.218 2.696 20 5 CFBDRN C[C@H](CNc1cc[nH]c(=O)c1[N+](=O)[O-])CC(F)(F)F ZINC000596269991 349848806 /nfs/dbraw/zinc/84/88/06/349848806.db2.gz AIMPKXCSVOZJOC-LURJTMIESA-N 0 0 279.218 2.696 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCO[C@@H](C2CCC2)C1 ZINC000596270827 349848826 /nfs/dbraw/zinc/84/88/26/349848826.db2.gz SQANYXNLHISILG-GFCCVEGCSA-N 0 0 297.742 2.649 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CCC[C@@H]2C2CC2)c1[N+](=O)[O-] ZINC000596270756 349848853 /nfs/dbraw/zinc/84/88/53/349848853.db2.gz ROSQCLKUVNVDMN-GHMZBOCLSA-N 0 0 277.324 2.934 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])c(CO)c1)C1CCCC1 ZINC000596271444 349849271 /nfs/dbraw/zinc/84/92/71/349849271.db2.gz CDQLJEBFPNANQS-OAHLLOKOSA-N 0 0 295.335 2.671 20 5 CFBDRN CO[C@@H](CNc1c(Cl)cncc1[N+](=O)[O-])CC(C)C ZINC000596271466 349849480 /nfs/dbraw/zinc/84/94/80/349849480.db2.gz DADPAWJVNBTOPA-SECBINFHSA-N 0 0 287.747 2.538 20 5 CFBDRN COc1cc(NCC2([NH+](C)C)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000596274511 349849945 /nfs/dbraw/zinc/84/99/45/349849945.db2.gz JCPDIFRMPBSZMZ-UHFFFAOYSA-N 0 0 299.758 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCn1cccc1 ZINC000073153025 346963237 /nfs/dbraw/zinc/96/32/37/346963237.db2.gz BMBJOUAMPMGCBB-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1nn(CCO[C@H]2CC2(F)F)c2ccc([N+](=O)[O-])cc12 ZINC000596263640 349847326 /nfs/dbraw/zinc/84/73/26/349847326.db2.gz IIFCPTXUBIJUMF-LBPRGKRZSA-N 0 0 297.261 2.677 20 5 CFBDRN NC(=O)c1cc(NCCC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000596266685 349847719 /nfs/dbraw/zinc/84/77/19/349847719.db2.gz UMYFTEQPKBWNAM-UHFFFAOYSA-N 0 0 299.277 2.541 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1COC(C)(C)C1 ZINC000596312790 349860963 /nfs/dbraw/zinc/86/09/63/349860963.db2.gz XSVZFEZWTJMDAB-LLVKDONJSA-N 0 0 280.324 2.721 20 5 CFBDRN COC(=O)c1cccc(OCC2(C)CCC2)c1[N+](=O)[O-] ZINC000596299439 349856207 /nfs/dbraw/zinc/85/62/07/349856207.db2.gz JUWPIILYXCVVJY-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN COCC1(Nc2ncc(C)cc2[N+](=O)[O-])CCCC1 ZINC000596315130 349861624 /nfs/dbraw/zinc/86/16/24/349861624.db2.gz AWQSYVSFLUJFBX-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@]34C[C@H]3CCC4)c2c1 ZINC000596318202 349862163 /nfs/dbraw/zinc/86/21/63/349862163.db2.gz ZNJJEQDXCXDVFL-YMTOWFKASA-N 0 0 270.292 2.893 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000073337218 346972837 /nfs/dbraw/zinc/97/28/37/346972837.db2.gz YTWKSOBVKQXLDN-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cc(F)ccc1C[C@@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000596327978 349865368 /nfs/dbraw/zinc/86/53/68/349865368.db2.gz MHRKOILLOONOIH-SNVBAGLBSA-N 0 0 290.298 2.875 20 5 CFBDRN CC[C@H](COCC1CC1)Nc1ncc([N+](=O)[O-])cc1F ZINC000596329666 349866187 /nfs/dbraw/zinc/86/61/87/349866187.db2.gz YSJVHRRXRGDIAO-SNVBAGLBSA-N 0 0 283.303 2.746 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000073330911 346970358 /nfs/dbraw/zinc/97/03/58/346970358.db2.gz UAKHPZBZMPBVAG-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000073330919 346970423 /nfs/dbraw/zinc/97/04/23/346970423.db2.gz UAKHPZBZMPBVAG-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN CN(CCC(F)(F)F)c1ncc([N+](=O)[O-])cc1F ZINC000413073379 529874668 /nfs/dbraw/zinc/87/46/68/529874668.db2.gz MPVFWJAOFBVLLB-UHFFFAOYSA-N 0 0 267.182 2.518 20 5 CFBDRN COCCCCCSCc1onc(C)c1[N+](=O)[O-] ZINC000596338460 349869722 /nfs/dbraw/zinc/86/97/22/349869722.db2.gz QPLLFKUDCRWZCC-UHFFFAOYSA-N 0 0 274.342 2.941 20 5 CFBDRN CC1(C)C[C@H]1CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219357619 539366743 /nfs/dbraw/zinc/36/67/43/539366743.db2.gz RYEJSKZVOJWLQF-QMMMGPOBSA-N 0 0 260.297 2.929 20 5 CFBDRN COCCC1CN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000596366070 349879150 /nfs/dbraw/zinc/87/91/50/349879150.db2.gz OFZCQRCEZAEBAK-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1C[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000596354530 349875002 /nfs/dbraw/zinc/87/50/02/349875002.db2.gz DJSLRXMSDMZEOG-JFGNBEQYSA-N 0 0 296.754 2.845 20 5 CFBDRN Cc1noc(CS[C@H](C)c2cnc(C)cn2)c1[N+](=O)[O-] ZINC000596361412 349877309 /nfs/dbraw/zinc/87/73/09/349877309.db2.gz HITLVEKCOGOCNB-SECBINFHSA-N 0 0 294.336 2.984 20 5 CFBDRN Cc1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000273927460 192366794 /nfs/dbraw/zinc/36/67/94/192366794.db2.gz HEDSFNULYKNTTR-UHFFFAOYSA-N 0 0 265.244 2.751 20 5 CFBDRN C[S@](=O)[C@@H]1CCC[C@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000596371933 349881201 /nfs/dbraw/zinc/88/12/01/349881201.db2.gz FMULRHLCPIVEDX-ROXHKKKXSA-N 0 0 288.394 2.758 20 5 CFBDRN CCC(C)(C)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596374049 349881925 /nfs/dbraw/zinc/88/19/25/349881925.db2.gz BKTQIKUCCJJKNO-SECBINFHSA-N 0 0 279.340 2.930 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@](C)(O)c2cccs2)n1 ZINC000127027805 187277820 /nfs/dbraw/zinc/27/78/20/187277820.db2.gz KLISMWNKUMEDFA-ZDUSSCGKSA-N 0 0 293.348 2.679 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCC[C@@H]3C[C@@H]32)c([N+](=O)[O-])c1 ZINC000413464729 529942940 /nfs/dbraw/zinc/94/29/40/529942940.db2.gz TYEDJMHEALSQHJ-USWWRNFRSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1c(CSCC[C@@H](C)O)cccc1[N+](=O)[O-] ZINC000596406808 349891778 /nfs/dbraw/zinc/89/17/78/349891778.db2.gz HREALXUMRNWJFY-SECBINFHSA-N 0 0 255.339 2.907 20 5 CFBDRN CC[C@H](C)CCNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000596416152 349895992 /nfs/dbraw/zinc/89/59/92/349895992.db2.gz PTOWABLMNUHBRV-VIFPVBQESA-N 0 0 265.313 2.542 20 5 CFBDRN CC(=O)c1cc(N[C@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000295086868 199337274 /nfs/dbraw/zinc/33/72/74/199337274.db2.gz YOSAROLEMZEMDS-SECBINFHSA-N 0 0 280.324 2.759 20 5 CFBDRN O=[N+]([O-])c1cc(NC/C=C/CO)ccc1C(F)(F)F ZINC000596422791 349899266 /nfs/dbraw/zinc/89/92/66/349899266.db2.gz GJTMPFURAZCWLM-OWOJBTEDSA-N 0 0 276.214 2.574 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)c1cscn1 ZINC000596430906 349901299 /nfs/dbraw/zinc/90/12/99/349901299.db2.gz KPFWJPHBCHLUOC-RXMQYKEDSA-N 0 0 256.312 2.681 20 5 CFBDRN CC(C)c1cc(CNC(=O)c2ccccc2[N+](=O)[O-])on1 ZINC000074670014 347026406 /nfs/dbraw/zinc/02/64/06/347026406.db2.gz PSJHVDAOUVGRRZ-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000596436721 349902330 /nfs/dbraw/zinc/90/23/30/349902330.db2.gz PMMCRLMIYRDECK-KYZUINATSA-N 0 0 271.704 2.623 20 5 CFBDRN Cc1cc(NCCc2ccc3c(c2)CCO3)ncc1[N+](=O)[O-] ZINC000074763370 347031376 /nfs/dbraw/zinc/03/13/76/347031376.db2.gz FCDVLWRRMZIUGC-UHFFFAOYSA-N 0 0 299.330 2.888 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])N1CCCCCC1 ZINC000074764366 347031501 /nfs/dbraw/zinc/03/15/01/347031501.db2.gz QJEGAOPVRAONTL-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN Cc1cc(N2CCC[C@H](C)[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000596440037 349903145 /nfs/dbraw/zinc/90/31/45/349903145.db2.gz CGCKJOCIFDEMAD-FZMZJTMJSA-N 0 0 278.352 2.891 20 5 CFBDRN CCOC[C@H]1CCCN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000074751735 347030477 /nfs/dbraw/zinc/03/04/77/347030477.db2.gz ZIKDSQYGBCSSNP-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN C[C@@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H]1CCO ZINC000596441442 349903810 /nfs/dbraw/zinc/90/38/10/349903810.db2.gz GXPDOTASWDDKTF-KOLCDFICSA-N 0 0 299.758 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCO[C@@H]2CC2(F)F)c1 ZINC000596447483 349905758 /nfs/dbraw/zinc/90/57/58/349905758.db2.gz MTDTWPGXXXHSCE-LLVKDONJSA-N 0 0 272.251 2.739 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074769167 347032459 /nfs/dbraw/zinc/03/24/59/347032459.db2.gz CJDJURXOBXQIRH-ONGXEEELSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cc(N(C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC000074775479 347033459 /nfs/dbraw/zinc/03/34/59/347033459.db2.gz XTJDXJJHNJBJLA-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC(C)(C)CC(C)(C)C1 ZINC000596463918 349908641 /nfs/dbraw/zinc/90/86/41/349908641.db2.gz QJNCSQQXIXNGMU-UHFFFAOYSA-N 0 0 280.372 2.981 20 5 CFBDRN COCc1nc(C)cc(Oc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000075041146 347050422 /nfs/dbraw/zinc/05/04/22/347050422.db2.gz BKFKFZCOUUSXDX-UHFFFAOYSA-N 0 0 289.291 2.940 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC[C@@H]3C[C@@H]32)ncc1[N+](=O)[O-] ZINC000596472091 349910623 /nfs/dbraw/zinc/91/06/23/349910623.db2.gz BQEQHYVGTPEHPD-WOPDTQHZSA-N 0 0 261.325 2.568 20 5 CFBDRN Cc1cc(NC[C@](C)(O)C(C)C)ccc1[N+](=O)[O-] ZINC000075050818 347051353 /nfs/dbraw/zinc/05/13/53/347051353.db2.gz JQSUPLKCSFJXOW-ZDUSSCGKSA-N 0 0 252.314 2.722 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000596473467 349911039 /nfs/dbraw/zinc/91/10/39/349911039.db2.gz HVZBRZXVCXSVIT-VWYCJHECSA-N 0 0 278.356 2.739 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000075065911 347053622 /nfs/dbraw/zinc/05/36/22/347053622.db2.gz QPWDLYUOCHSMOX-JQWIXIFHSA-N 0 0 291.351 2.579 20 5 CFBDRN CC(=O)N(C)c1cccc(Nc2ccncc2[N+](=O)[O-])c1 ZINC000075066398 347053667 /nfs/dbraw/zinc/05/36/67/347053667.db2.gz BFIKEADBGMGNOD-UHFFFAOYSA-N 0 0 286.291 2.716 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000075109455 347056108 /nfs/dbraw/zinc/05/61/08/347056108.db2.gz AIYHSMGHPFEDAV-GFCCVEGCSA-N 0 0 293.367 2.729 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000596476181 349912961 /nfs/dbraw/zinc/91/29/61/349912961.db2.gz XPKWYPIXLSSTQV-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN CC(C)[C@@H](C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270428157 190681238 /nfs/dbraw/zinc/68/12/38/190681238.db2.gz IMDVPHRAIOCFEA-LLVKDONJSA-N 0 0 264.325 2.546 20 5 CFBDRN COCc1nc(CNc2ccc([N+](=O)[O-])c(C)n2)cs1 ZINC000290667807 197782187 /nfs/dbraw/zinc/78/21/87/197782187.db2.gz KDOGUNQUWZBPSK-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000596480568 349915136 /nfs/dbraw/zinc/91/51/36/349915136.db2.gz RZNDSJJSGRBKTG-MNOVXSKESA-N 0 0 293.323 2.626 20 5 CFBDRN C[C@]1(CCNc2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1(F)F ZINC000596479687 349914743 /nfs/dbraw/zinc/91/47/43/349914743.db2.gz UXOSZVGWZOPZNY-LBPRGKRZSA-N 0 0 299.277 2.541 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](CCF)C2)s1 ZINC000596481068 349915094 /nfs/dbraw/zinc/91/50/94/349915094.db2.gz SLRKLBFDVCPFSR-MRVPVSSYSA-N 0 0 259.306 2.627 20 5 CFBDRN COc1ccc(C(=O)N(C)CCC(C)C)cc1[N+](=O)[O-] ZINC000075433065 347076783 /nfs/dbraw/zinc/07/67/83/347076783.db2.gz ZCGNWRYLUBIXLJ-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)CC2CC2)c(F)c1 ZINC000596491985 349919091 /nfs/dbraw/zinc/91/90/91/349919091.db2.gz GSCKHCRQWJAXBX-JTQLQIEISA-N 0 0 268.288 2.554 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@@H](C)S1 ZINC000075506684 347080997 /nfs/dbraw/zinc/08/09/97/347080997.db2.gz JRKAXIBKRAXPSI-NXEZZACHSA-N 0 0 295.364 2.953 20 5 CFBDRN COc1cc(N2CC[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000596492998 349919044 /nfs/dbraw/zinc/91/90/44/349919044.db2.gz FKLYOAALAZXBFK-MNOVXSKESA-N 0 0 280.324 2.607 20 5 CFBDRN CC(C)CN(C)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000075441866 347077939 /nfs/dbraw/zinc/07/79/39/347077939.db2.gz WUVUUWYDVQKPEX-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN COC(=O)C[C@@H](CNc1ccsc1[N+](=O)[O-])C1CC1 ZINC000596490226 349917883 /nfs/dbraw/zinc/91/78/83/349917883.db2.gz IHJLUEZHDQIRRX-VIFPVBQESA-N 0 0 284.337 2.658 20 5 CFBDRN CN(CC1=CCSC1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000596494428 349919504 /nfs/dbraw/zinc/91/95/04/349919504.db2.gz OXROGHIRRVANHJ-UHFFFAOYSA-N 0 0 293.348 2.732 20 5 CFBDRN Cc1ccnc(N2CCC3(CCCC3=O)CC2)c1[N+](=O)[O-] ZINC000596506895 349923106 /nfs/dbraw/zinc/92/31/06/349923106.db2.gz VMQHJTFRRCOHGX-UHFFFAOYSA-N 0 0 289.335 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]([C@H]3CCCCO3)C2)cn1 ZINC000596506758 349923208 /nfs/dbraw/zinc/92/32/08/349923208.db2.gz SYGZEDYPXCOJGX-TZMCWYRMSA-N 0 0 291.351 2.775 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@@H]1C[C@@H](O)C12CCC2 ZINC000596504555 349923544 /nfs/dbraw/zinc/92/35/44/349923544.db2.gz HRORZVRKVKECKO-GHMZBOCLSA-N 0 0 282.727 2.964 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000084199673 178823120 /nfs/dbraw/zinc/82/31/20/178823120.db2.gz KCASPZHFPGSMGZ-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H](O)C23CCC3)c([N+](=O)[O-])cc1C ZINC000596510152 349924894 /nfs/dbraw/zinc/92/48/94/349924894.db2.gz OIQFNMOBSHOULE-ZIAGYGMSSA-N 0 0 292.335 2.627 20 5 CFBDRN Cc1cc(N2CC[C@@H]3C[C@@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000596512869 349926159 /nfs/dbraw/zinc/92/61/59/349926159.db2.gz XRHOOIFQPWFCNN-NXEZZACHSA-N 0 0 250.273 2.889 20 5 CFBDRN CC1(C)[C@@H](CNc2ncc([N+](=O)[O-])cc2F)C1(F)F ZINC000596512927 349926196 /nfs/dbraw/zinc/92/61/96/349926196.db2.gz LUHXQNXXMJBKSP-MRVPVSSYSA-N 0 0 275.230 2.832 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@@H](O)C23CCC3)ccc2ncccc21 ZINC000596514647 349926842 /nfs/dbraw/zinc/92/68/42/349926842.db2.gz XMKAQQBKXJHDLN-ZIAGYGMSSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1nc(N[C@@H]2CCO[C@@H](CC(C)C)C2)ncc1[N+](=O)[O-] ZINC000295104869 199347053 /nfs/dbraw/zinc/34/70/53/199347053.db2.gz UPRUYQMJESZLGO-NEPJUHHUSA-N 0 0 294.355 2.699 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000313176059 260256684 /nfs/dbraw/zinc/25/66/84/260256684.db2.gz ZCKUNVFGTPADPE-UWVGGRQHSA-N 0 0 273.720 2.605 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC1CCC(O)CC1 ZINC000596516444 349927380 /nfs/dbraw/zinc/92/73/80/349927380.db2.gz WAFRPLXMKHWNEH-UHFFFAOYSA-N 0 0 256.327 2.619 20 5 CFBDRN CSCCCCCCNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000273251559 192088320 /nfs/dbraw/zinc/08/83/20/192088320.db2.gz ZETIRNFJVOYBPU-UHFFFAOYSA-N 0 0 285.369 2.576 20 5 CFBDRN Cc1cccnc1CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000104564530 232187066 /nfs/dbraw/zinc/18/70/66/232187066.db2.gz LBRVDLUZTWYYLA-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000104609874 232188077 /nfs/dbraw/zinc/18/80/77/232188077.db2.gz UDLJLKLFBKPICY-CABZTGNLSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc(N2CC[C@@H]3COC[C@@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000596526149 349930042 /nfs/dbraw/zinc/93/00/42/349930042.db2.gz BUJARTONCIPRGU-MNOVXSKESA-N 0 0 280.299 2.515 20 5 CFBDRN Nc1ccc(N2CCC[C@H](C3CCC3)C2)nc1[N+](=O)[O-] ZINC000596525468 349930066 /nfs/dbraw/zinc/93/00/66/349930066.db2.gz KACRKMRLUSLUQG-NSHDSACASA-N 0 0 276.340 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@H]4COC[C@@H]4C3)ccc2c1 ZINC000596528211 349931368 /nfs/dbraw/zinc/93/13/68/349931368.db2.gz NANRGNXUIQBNSQ-STQMWFEESA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3COC[C@@H]3C2)c2ncccc12 ZINC000596526698 349930462 /nfs/dbraw/zinc/93/04/62/349930462.db2.gz FXTKCRWNUBCMDY-RYUDHWBXSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000596526560 349930587 /nfs/dbraw/zinc/93/05/87/349930587.db2.gz QFCCFTZELDUZBC-LBPRGKRZSA-N 0 0 278.356 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCC(F)(F)CC2)cc1 ZINC000596533500 349934107 /nfs/dbraw/zinc/93/41/07/349934107.db2.gz BMAFOYJDFZBGAD-UHFFFAOYSA-N 0 0 286.278 2.947 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCCC[C@H]1CC1CCC1 ZINC000596538551 349934774 /nfs/dbraw/zinc/93/47/74/349934774.db2.gz CYCNAURUGBXTCH-LBPRGKRZSA-N 0 0 278.356 2.877 20 5 CFBDRN Cc1sc(C(=O)Nc2ccc(C)nc2)cc1[N+](=O)[O-] ZINC000154512279 232225965 /nfs/dbraw/zinc/22/59/65/232225965.db2.gz BYXMVQMGBMJQOQ-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1C[C@H]2CCC[C@@H]2C1 ZINC000154393811 232226140 /nfs/dbraw/zinc/22/61/40/232226140.db2.gz JDMRNSIFZNQUKM-GHMZBOCLSA-N 0 0 299.330 2.948 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1C[C@@H]2CCC[C@H]2C1 ZINC000154393907 232226149 /nfs/dbraw/zinc/22/61/49/232226149.db2.gz JDMRNSIFZNQUKM-QWRGUYRKSA-N 0 0 299.330 2.948 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCCc1ccco1 ZINC000596544625 349937271 /nfs/dbraw/zinc/93/72/71/349937271.db2.gz WHHWOVTYCRTCRR-UHFFFAOYSA-N 0 0 281.699 2.703 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1ccoc1 ZINC000157825022 232239115 /nfs/dbraw/zinc/23/91/15/232239115.db2.gz WBTKHCCYGPOSIS-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](O)CNc1ncccc1[N+](=O)[O-] ZINC000596547715 349938572 /nfs/dbraw/zinc/93/85/72/349938572.db2.gz JLZASAOSLYOOAM-BXUZGUMPSA-N 0 0 287.319 2.566 20 5 CFBDRN CCN(c1ncc([N+](=O)[O-])c(C)n1)C1CCCCC1 ZINC000295552280 199560382 /nfs/dbraw/zinc/56/03/82/199560382.db2.gz UUPAUQZWMUVWKZ-UHFFFAOYSA-N 0 0 264.329 2.852 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2nccs2)c1 ZINC000161416246 232251924 /nfs/dbraw/zinc/25/19/24/232251924.db2.gz FKCBYZTVGHFWKJ-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN C[C@@H]1c2cccn2CCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173049262 232272445 /nfs/dbraw/zinc/27/24/45/232272445.db2.gz NGBBRBSXFBHTIH-GFCCVEGCSA-N 0 0 299.330 2.542 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000174022915 232286563 /nfs/dbraw/zinc/28/65/63/232286563.db2.gz GNYIALJRFLEPST-JTQLQIEISA-N 0 0 271.276 2.732 20 5 CFBDRN C[C@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000174027882 232286662 /nfs/dbraw/zinc/28/66/62/232286662.db2.gz MSVOMKKWTAQQMY-NSHDSACASA-N 0 0 285.303 2.756 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@H](C2CC2)C1 ZINC000290741641 197804616 /nfs/dbraw/zinc/80/46/16/197804616.db2.gz XNLSFSLVLADMDG-LBPRGKRZSA-N 0 0 274.320 2.857 20 5 CFBDRN Cc1ccsc1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000175675851 232317301 /nfs/dbraw/zinc/31/73/01/232317301.db2.gz ASMXASRPLHVODZ-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c(C)o1 ZINC000175665983 232317347 /nfs/dbraw/zinc/31/73/47/232317347.db2.gz QGWMDMZXDRBOQT-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN Nc1c(C(=O)Nc2ccc3c(c2)CCC3)cccc1[N+](=O)[O-] ZINC000178147633 232374772 /nfs/dbraw/zinc/37/47/72/232374772.db2.gz WFOOFVBHHGDGQN-UHFFFAOYSA-N 0 0 297.314 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](CC(F)(F)F)C2)s1 ZINC000596558563 349941830 /nfs/dbraw/zinc/94/18/30/349941830.db2.gz QTQACCMVRREWNC-SSDOTTSWSA-N 0 0 296.270 2.814 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1Cl)c1ccc[nH]1 ZINC000005118578 346210466 /nfs/dbraw/zinc/21/04/66/346210466.db2.gz QCDDNUQSMIJLCG-UHFFFAOYSA-N 0 0 280.667 2.838 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2ccc(C(N)=O)cc2)c1 ZINC000010346994 346227731 /nfs/dbraw/zinc/22/77/31/346227731.db2.gz QQQJXWWIIRYSJG-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H](O)C2)cc1C(F)(F)F ZINC000295126357 199359728 /nfs/dbraw/zinc/35/97/28/199359728.db2.gz BRIVCTPSGUNRDR-OCAPTIKFSA-N 0 0 276.214 2.549 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCCF)c(Cl)c1 ZINC000596569457 349943906 /nfs/dbraw/zinc/94/39/06/349943906.db2.gz HZBNFVGVFYFCKD-UHFFFAOYSA-N 0 0 262.668 2.646 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCCOCCF)c2c1 ZINC000596569678 349943959 /nfs/dbraw/zinc/94/39/59/349943959.db2.gz BTBQFQQCXIYZLQ-UHFFFAOYSA-N 0 0 279.271 2.541 20 5 CFBDRN CC(C)CCC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000008146349 346222033 /nfs/dbraw/zinc/22/20/33/346222033.db2.gz LEYPEIJIDPHKPY-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@@](C)(C(F)F)C1 ZINC000596571485 349944765 /nfs/dbraw/zinc/94/47/65/349944765.db2.gz GDSRRFMKVLIMAY-CYBMUJFWSA-N 0 0 286.278 2.764 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@H]2CCCC[C@H]21 ZINC000008368157 346223944 /nfs/dbraw/zinc/22/39/44/346223944.db2.gz UQAPHNVXYQRFSK-ZWNOBZJWSA-N 0 0 277.324 2.718 20 5 CFBDRN C[C@H](c1ccccc1)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000008404885 346224087 /nfs/dbraw/zinc/22/40/87/346224087.db2.gz ABNQXZPRLKATLN-SNVBAGLBSA-N 0 0 273.292 2.756 20 5 CFBDRN C[C@@]1(C(F)F)CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000596571512 349944859 /nfs/dbraw/zinc/94/48/59/349944859.db2.gz HDATUSNSKFZQFX-JTQLQIEISA-N 0 0 278.280 2.517 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1COc2ccccc2C1 ZINC000016959443 346239614 /nfs/dbraw/zinc/23/96/14/346239614.db2.gz DBTYDFOQUGNCOJ-GFCCVEGCSA-N 0 0 298.298 2.785 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2cccnc2)ccc1[N+](=O)[O-] ZINC000017801630 346240604 /nfs/dbraw/zinc/24/06/04/346240604.db2.gz DEVRHAITXRERCA-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN CC(=O)N1CCc2cc(Nc3ncccc3[N+](=O)[O-])ccc21 ZINC000022803313 346250025 /nfs/dbraw/zinc/25/00/25/346250025.db2.gz LVBPOGTZEXZEGR-UHFFFAOYSA-N 0 0 298.302 2.642 20 5 CFBDRN Cc1cc(C)nc(NCCNc2ccc([N+](=O)[O-])cc2)n1 ZINC000025571107 346260465 /nfs/dbraw/zinc/26/04/65/346260465.db2.gz MCLXCHVDNBMPQI-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1c(CNC(=O)N[C@@]2(C)CC2(C)C)cccc1[N+](=O)[O-] ZINC000596579526 349946399 /nfs/dbraw/zinc/94/63/99/349946399.db2.gz NZNRSSNMKJNPAZ-HNNXBMFYSA-N 0 0 291.351 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccnc2[N+](=O)[O-])cc1 ZINC000023381036 346252055 /nfs/dbraw/zinc/25/20/55/346252055.db2.gz CGDBKOSNDZVUJO-UHFFFAOYSA-N 0 0 261.193 2.690 20 5 CFBDRN CCOC(=O)c1cc(N2CC3CC2(C)C3)ccc1[N+](=O)[O-] ZINC000596575768 349945203 /nfs/dbraw/zinc/94/52/03/349945203.db2.gz XREHZBSNAFJDJD-UHFFFAOYSA-N 0 0 290.319 2.760 20 5 CFBDRN Cc1cc(N2CCO[C@@](C)(C(F)F)C2)ccc1[N+](=O)[O-] ZINC000596572778 349945439 /nfs/dbraw/zinc/94/54/39/349945439.db2.gz STCSJCCSBUZCEP-CYBMUJFWSA-N 0 0 286.278 2.764 20 5 CFBDRN Cc1csc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000029887394 346284570 /nfs/dbraw/zinc/28/45/70/346284570.db2.gz LBNWWALDFGSUFJ-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@H]1Cc1ccccc1 ZINC000026141699 346263372 /nfs/dbraw/zinc/26/33/72/346263372.db2.gz XVYCVFUUWMOYQI-CYBMUJFWSA-N 0 0 286.335 2.540 20 5 CFBDRN CCCCNC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000026938731 346267053 /nfs/dbraw/zinc/26/70/53/346267053.db2.gz YYKIVPRVIKLDLX-SNVBAGLBSA-N 0 0 282.365 2.992 20 5 CFBDRN C[C@@H](Sc1nnc(N)s1)c1cccc([N+](=O)[O-])c1 ZINC000029996804 346285395 /nfs/dbraw/zinc/28/53/95/346285395.db2.gz PFZFABUXZUJPGI-ZCFIWIBFSA-N 0 0 282.350 2.882 20 5 CFBDRN C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000027915822 346274110 /nfs/dbraw/zinc/27/41/10/346274110.db2.gz COUHYSMGXYALAP-QPUJVOFHSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000032031435 346299714 /nfs/dbraw/zinc/29/97/14/346299714.db2.gz XKVVQDULYDXEQR-IUCAKERBSA-N 0 0 268.338 2.965 20 5 CFBDRN C[C@@H]1CCCC[C@H]1N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000030378156 346287237 /nfs/dbraw/zinc/28/72/37/346287237.db2.gz OGFNRYIZBBUZDG-ZYHUDNBSSA-N 0 0 279.340 2.584 20 5 CFBDRN C[C@H]1CCCC[C@H]1N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000030378159 346287443 /nfs/dbraw/zinc/28/74/43/346287443.db2.gz OGFNRYIZBBUZDG-CMPLNLGQSA-N 0 0 279.340 2.584 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000033185903 346310610 /nfs/dbraw/zinc/31/06/10/346310610.db2.gz FCGIABWKNQBZJY-VXGBXAGGSA-N 0 0 292.335 2.669 20 5 CFBDRN CO[C@H]1CC[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000596594539 349951321 /nfs/dbraw/zinc/95/13/21/349951321.db2.gz YWAOGTBMPMXORX-YUMQZZPRSA-N 0 0 295.320 2.989 20 5 CFBDRN Cc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(O)c1 ZINC000033816376 346312772 /nfs/dbraw/zinc/31/27/72/346312772.db2.gz POZNHYDSXPQBGO-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CC1(C)CC[C@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000596596269 349952068 /nfs/dbraw/zinc/95/20/68/349952068.db2.gz MCISOVLSAHMRPV-NSHDSACASA-N 0 0 288.307 2.867 20 5 CFBDRN CO[C@@H]1CC[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1 ZINC000596600192 349952512 /nfs/dbraw/zinc/95/25/12/349952512.db2.gz CCQBMFGAAVEHDC-HTQZYQBOSA-N 0 0 279.252 2.520 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000041476720 346352348 /nfs/dbraw/zinc/35/23/48/346352348.db2.gz BUBJFKGUERTUKC-LLVKDONJSA-N 0 0 264.325 2.784 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000042077358 346360143 /nfs/dbraw/zinc/36/01/43/346360143.db2.gz FNJYQZHEFJDRPT-SECBINFHSA-N 0 0 290.323 2.732 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nncn1-c1ccccc1 ZINC000042100178 346360915 /nfs/dbraw/zinc/36/09/15/346360915.db2.gz KOVCDGAPWKAWBC-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CC1CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000042567755 346367573 /nfs/dbraw/zinc/36/75/73/346367573.db2.gz PTCNGGGHSHHCRC-UHFFFAOYSA-N 0 0 254.311 2.528 20 5 CFBDRN COC1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 ZINC000043346602 346382296 /nfs/dbraw/zinc/38/22/96/346382296.db2.gz POQJAYKZNGTAMV-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCN(c2ccccc2)CC1 ZINC000042652318 346368798 /nfs/dbraw/zinc/36/87/98/346368798.db2.gz ZGYCJLOJVXZEEH-UHFFFAOYSA-N 0 0 289.360 2.983 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000045032749 346402652 /nfs/dbraw/zinc/40/26/52/346402652.db2.gz CCFJQKDSVOIYBO-SKDRFNHKSA-N 0 0 265.313 2.574 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000045354614 346406941 /nfs/dbraw/zinc/40/69/41/346406941.db2.gz HLKIDPOFKRMFBP-SNVBAGLBSA-N 0 0 280.324 2.912 20 5 CFBDRN CCc1ncncc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000044332668 346391859 /nfs/dbraw/zinc/39/18/59/346391859.db2.gz LSTQNGZJZRZAAB-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN Cc1c(NC(=O)COC2CCCC2)cccc1[N+](=O)[O-] ZINC000044332969 346391905 /nfs/dbraw/zinc/39/19/05/346391905.db2.gz ZCHNDULZRWSYEB-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2cc(F)ccc2C)n1 ZINC000191453034 232488720 /nfs/dbraw/zinc/48/87/20/232488720.db2.gz ZLIMPPFXHCCUSK-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN C[C@H](Cc1ccco1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000044443872 346393312 /nfs/dbraw/zinc/39/33/12/346393312.db2.gz XQDZSHMEPSPACZ-SNVBAGLBSA-N 0 0 274.276 2.549 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1(c2ccccc2)CCC1 ZINC000045668486 346411901 /nfs/dbraw/zinc/41/19/01/346411901.db2.gz LHBMWHAGTQJQLV-UHFFFAOYSA-N 0 0 286.335 2.862 20 5 CFBDRN CN(CCCOc1ccccc1)c1ncccc1[N+](=O)[O-] ZINC000075668167 347091278 /nfs/dbraw/zinc/09/12/78/347091278.db2.gz GKQCWVOCQOVWEW-UHFFFAOYSA-N 0 0 287.319 2.895 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCC1CCCC1 ZINC000047013859 346441975 /nfs/dbraw/zinc/44/19/75/346441975.db2.gz FUVDPLXPXNCNJI-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cccc(C(N)=O)c2)c1 ZINC000047438839 346451493 /nfs/dbraw/zinc/45/14/93/346451493.db2.gz LKADQDADGYBNNM-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2COC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000596635801 349963504 /nfs/dbraw/zinc/96/35/04/349963504.db2.gz OONMEXBPPWJUEA-JTQLQIEISA-N 0 0 293.323 2.592 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@H]1c1cccs1 ZINC000051862899 346507442 /nfs/dbraw/zinc/50/74/42/346507442.db2.gz SHTJFADYZFLFSC-NSHDSACASA-N 0 0 291.332 2.962 20 5 CFBDRN Cc1nn(C)c(N(C)CCCc2ccccc2)c1[N+](=O)[O-] ZINC000052216817 346517235 /nfs/dbraw/zinc/51/72/35/346517235.db2.gz DEWPJWRGOVFGLD-UHFFFAOYSA-N 0 0 288.351 2.706 20 5 CFBDRN CCCC(C)(C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000050498055 346495997 /nfs/dbraw/zinc/49/59/97/346495997.db2.gz QEAAMXKTEDQECF-UHFFFAOYSA-N 0 0 250.298 2.903 20 5 CFBDRN O=C(N[C@H](c1ccccc1)C1CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000054212193 346562699 /nfs/dbraw/zinc/56/26/99/346562699.db2.gz JXGTVJYKZCBRHZ-CQSZACIVSA-N 0 0 285.303 2.804 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)n1C ZINC000053151022 346538644 /nfs/dbraw/zinc/53/86/44/346538644.db2.gz MUOARUSNUZLBRT-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CC[C@H]1CCCN(c2ccc(C(=O)NC)cc2[N+](=O)[O-])C1 ZINC000053560054 346549362 /nfs/dbraw/zinc/54/93/62/346549362.db2.gz UMCVSZSUJBOBMG-NSHDSACASA-N 0 0 291.351 2.581 20 5 CFBDRN CCCCCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)C(C)C ZINC000053733790 346551744 /nfs/dbraw/zinc/55/17/44/346551744.db2.gz AGXVBYXTNBBGNS-UHFFFAOYSA-N 0 0 267.329 2.964 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2Nc1c([N+](=O)[O-])ncn1C ZINC000057141835 346619928 /nfs/dbraw/zinc/61/99/28/346619928.db2.gz CFHVXBKSXDRUOY-GFCCVEGCSA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1ccc2nc(CNc3ccc([N+](=O)[O-])cn3)cn2c1 ZINC000054836158 346578041 /nfs/dbraw/zinc/57/80/41/346578041.db2.gz INBDNKNARQZZDJ-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CCOc1cc(NC(=O)NC(C)C)ccc1[N+](=O)[O-] ZINC000823366330 781926755 /nfs/dbraw/zinc/92/67/55/781926755.db2.gz FCOKJRASFIOMFP-UHFFFAOYSA-N 0 0 267.285 2.523 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1ccccn1 ZINC000055514273 346591398 /nfs/dbraw/zinc/59/13/98/346591398.db2.gz MYTDZAFZZIZBPM-OAHLLOKOSA-N 0 0 297.314 2.967 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N(C)CC2CC2)c1 ZINC000055520526 346591918 /nfs/dbraw/zinc/59/19/18/346591918.db2.gz AWEAZZPQKLACJQ-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN CCOc1cc(C)ccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000056335002 346606590 /nfs/dbraw/zinc/60/65/90/346606590.db2.gz QPOGWQCAPLRHSZ-UHFFFAOYSA-N 0 0 289.291 2.882 20 5 CFBDRN CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1F ZINC000056346241 346607652 /nfs/dbraw/zinc/60/76/52/346607652.db2.gz QAYGNMMEOBDPPA-UHFFFAOYSA-N 0 0 293.254 2.713 20 5 CFBDRN CC(C)CN(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000056869245 346614623 /nfs/dbraw/zinc/61/46/23/346614623.db2.gz FFGDRYNYWMANPY-UHFFFAOYSA-N 0 0 275.308 2.804 20 5 CFBDRN CCn1c2ccccc2n(Cc2ccccc2[N+](=O)[O-])c1=O ZINC000054358542 346568378 /nfs/dbraw/zinc/56/83/78/346568378.db2.gz DPLSXNCWBPLXSY-UHFFFAOYSA-N 0 0 297.314 2.779 20 5 CFBDRN O=C(CNc1ccc([N+](=O)[O-])cc1F)NC1CCCCC1 ZINC000058543828 346646301 /nfs/dbraw/zinc/64/63/01/346646301.db2.gz XLRNGTKTENIWPS-UHFFFAOYSA-N 0 0 295.314 2.595 20 5 CFBDRN CC1(O)CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000166849815 136555734 /nfs/dbraw/zinc/55/57/34/136555734.db2.gz SPYGSADWMFRHIR-UHFFFAOYSA-N 0 0 270.716 2.599 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCCCNc1ccccn1 ZINC000060369811 346663764 /nfs/dbraw/zinc/66/37/64/346663764.db2.gz UVUIKDWZWZAIOL-UHFFFAOYSA-N 0 0 287.323 2.689 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCCCNc1ccccn1 ZINC000060375369 346664488 /nfs/dbraw/zinc/66/44/88/346664488.db2.gz LFCPTMCCSLZGON-UHFFFAOYSA-N 0 0 287.323 2.689 20 5 CFBDRN CCOC1CCN(Cc2cc([N+](=O)[O-])ccc2OC)CC1 ZINC000060493518 346667070 /nfs/dbraw/zinc/66/70/70/346667070.db2.gz NSNZQRJJDNFWIZ-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1ccc(OC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000060706491 346670871 /nfs/dbraw/zinc/67/08/71/346670871.db2.gz OCOOENXOMXJFLE-LLVKDONJSA-N 0 0 251.282 2.851 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccno2)c(Cl)c1 ZINC000060767187 346671582 /nfs/dbraw/zinc/67/15/82/346671582.db2.gz JPCNJTSUUQJZFO-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1F)C(C1CC1)C1CC1 ZINC000064974675 346728999 /nfs/dbraw/zinc/72/89/99/346728999.db2.gz BXGQVBVQKVXCFQ-UHFFFAOYSA-N 0 0 292.310 2.995 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000062927893 346694111 /nfs/dbraw/zinc/69/41/11/346694111.db2.gz PQSZEQAMLPFCRI-VIFPVBQESA-N 0 0 268.338 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](C)CC2)c1 ZINC000062927562 346694412 /nfs/dbraw/zinc/69/44/12/346694412.db2.gz JMENSLAFZREPRW-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000063249036 346698714 /nfs/dbraw/zinc/69/87/14/346698714.db2.gz WLCSJLQZBNMEEA-NSHDSACASA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(C(C)C)c1 ZINC000066387057 346757097 /nfs/dbraw/zinc/75/70/97/346757097.db2.gz KMPHXIQCYHQLNC-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN Cc1ccc(C(=O)Nc2cnn(C(C)C)c2)cc1[N+](=O)[O-] ZINC000066412226 346759696 /nfs/dbraw/zinc/75/96/96/346759696.db2.gz XPSPQPWLUHEIEW-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN Cc1ncsc1CN(C)c1ncc([N+](=O)[O-])cc1C ZINC000066467644 346763335 /nfs/dbraw/zinc/76/33/35/346763335.db2.gz VDVOHBCGPUZZMD-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCCOC1CCCC1 ZINC000066811267 346780815 /nfs/dbraw/zinc/78/08/15/346780815.db2.gz OOUNRGVSRDILMM-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCOc1ccc(F)cc1 ZINC000066808340 346780829 /nfs/dbraw/zinc/78/08/29/346780829.db2.gz AWDHHVCOXRTICD-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2CCC2(C)C)c1F ZINC000289945151 232576094 /nfs/dbraw/zinc/57/60/94/232576094.db2.gz AXLOGYOJZUFGMX-LLVKDONJSA-N 0 0 280.299 2.961 20 5 CFBDRN CCS[C@@H](C(=O)NCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000066330257 346754591 /nfs/dbraw/zinc/75/45/91/346754591.db2.gz VROIKYFRCJDWAZ-CYBMUJFWSA-N 0 0 296.392 2.989 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1nccs1 ZINC000067040310 346790264 /nfs/dbraw/zinc/79/02/64/346790264.db2.gz XBPRPQQIISAKLP-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1c1cccc(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000067092580 346791703 /nfs/dbraw/zinc/79/17/03/346791703.db2.gz SHQVXIPZMJJQHY-MNOVXSKESA-N 0 0 290.250 2.613 20 5 CFBDRN COC1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)CC1 ZINC000076574889 347144025 /nfs/dbraw/zinc/14/40/25/347144025.db2.gz GPNRHAWJYVFDAB-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1nn(C)c(N2CCc3sccc3[C@H]2C)c1[N+](=O)[O-] ZINC000076610972 347145991 /nfs/dbraw/zinc/14/59/91/347145991.db2.gz WEYMZXJPKCAINT-SECBINFHSA-N 0 0 292.364 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)Cc1ccccc1 ZINC000076663939 347146771 /nfs/dbraw/zinc/14/67/71/347146771.db2.gz RTZFWMAXBIBHDO-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000076777375 347153592 /nfs/dbraw/zinc/15/35/92/347153592.db2.gz UOQQPMLXZJMWPU-LBPRGKRZSA-N 0 0 295.339 2.922 20 5 CFBDRN COCCCCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000076798441 347154145 /nfs/dbraw/zinc/15/41/45/347154145.db2.gz IZLDBHOLDRGJDW-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN Cc1ccc2c(c1)OCCN2C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294847092 136684830 /nfs/dbraw/zinc/68/48/30/136684830.db2.gz FKGGZVGJOGDDKR-UHFFFAOYSA-N 0 0 292.335 2.556 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(OC3CCCC3)CC2)nc1 ZINC000299643787 136696456 /nfs/dbraw/zinc/69/64/56/136696456.db2.gz YLQAGGHSWBZYLD-UHFFFAOYSA-N 0 0 291.351 2.918 20 5 CFBDRN CCC[C@@H](C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000077532274 347198084 /nfs/dbraw/zinc/19/80/84/347198084.db2.gz SZIPCSBJAZQGEJ-LLVKDONJSA-N 0 0 280.324 2.669 20 5 CFBDRN C[C@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000077544601 347198831 /nfs/dbraw/zinc/19/88/31/347198831.db2.gz NLZPLWGHULXIDB-RYUDHWBXSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000077584196 347201738 /nfs/dbraw/zinc/20/17/38/347201738.db2.gz CBSNJTJNPZZUOB-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN O=C(NC1CC(c2ccccc2)C1)c1ccc([N+](=O)[O-])o1 ZINC000077351399 347188565 /nfs/dbraw/zinc/18/85/65/347188565.db2.gz OFRZBRNZPKVJME-UHFFFAOYSA-N 0 0 286.287 2.864 20 5 CFBDRN CC1(C)[C@@H]2CN(c3ccc([N+](=O)[O-])cc3[N+](=O)[O-])C[C@@H]21 ZINC000078411913 347250862 /nfs/dbraw/zinc/25/08/62/347250862.db2.gz NOTMNYZFOXBBBH-AOOOYVTPSA-N 0 0 277.280 2.595 20 5 CFBDRN COc1cccc(F)c1[C@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000078420506 347251142 /nfs/dbraw/zinc/25/11/42/347251142.db2.gz SOECSKOGJAXKDO-QMMMGPOBSA-N 0 0 294.286 2.649 20 5 CFBDRN C[C@@H](CCc1cccn1C)Nc1ncccc1[N+](=O)[O-] ZINC000078423247 347251303 /nfs/dbraw/zinc/25/13/03/347251303.db2.gz NQOONFLWPZTRHC-NSHDSACASA-N 0 0 274.324 2.762 20 5 CFBDRN CC(C)[C@H](C)CNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000077897414 347218954 /nfs/dbraw/zinc/21/89/54/347218954.db2.gz IJENIERLKVDVEE-SECBINFHSA-N 0 0 290.323 2.535 20 5 CFBDRN CCOC(=O)c1ccnc(NC2CCCC2)c1[N+](=O)[O-] ZINC000077902532 347219422 /nfs/dbraw/zinc/21/94/22/347219422.db2.gz LSSOTWJKHSVTNA-UHFFFAOYSA-N 0 0 279.296 2.521 20 5 CFBDRN CCOC(=O)c1ccnc(NC[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC000077933630 347220540 /nfs/dbraw/zinc/22/05/40/347220540.db2.gz KTUAJKKNNORHBM-SECBINFHSA-N 0 0 293.323 2.625 20 5 CFBDRN COc1ccccc1[C@H]1CCN(c2ccncc2[N+](=O)[O-])C1 ZINC000078021735 347224768 /nfs/dbraw/zinc/22/47/68/347224768.db2.gz RLGSTQDLAAPIBP-LBPRGKRZSA-N 0 0 299.330 2.992 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000078027031 347225991 /nfs/dbraw/zinc/22/59/91/347225991.db2.gz FSBPNQJWIJDMPT-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CN(CCOc1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000078246878 347233691 /nfs/dbraw/zinc/23/36/91/347233691.db2.gz PYBGMPPSJXAUFP-UHFFFAOYSA-N 0 0 273.292 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@H](O)C2)s1 ZINC000078304688 347239156 /nfs/dbraw/zinc/23/91/56/347239156.db2.gz HVIYCOVHWXBAIJ-IUCAKERBSA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@H](O)C2)s1 ZINC000078304687 347239246 /nfs/dbraw/zinc/23/92/46/347239246.db2.gz HVIYCOVHWXBAIJ-BDAKNGLRSA-N 0 0 256.327 2.619 20 5 CFBDRN Cc1ccnc(NCCCC(C)(C)CO)c1[N+](=O)[O-] ZINC000078303541 347239435 /nfs/dbraw/zinc/23/94/35/347239435.db2.gz SXCAZEFSCPFZIH-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1cnn(C)c1 ZINC000078306778 347240241 /nfs/dbraw/zinc/24/02/41/347240241.db2.gz PUSPYLURNLPHMS-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN COc1cc(NCC(C)(C)C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000078339754 347243768 /nfs/dbraw/zinc/24/37/68/347243768.db2.gz WXOZAPMLBAOADP-SNVBAGLBSA-N 0 0 282.340 2.812 20 5 CFBDRN CC(=O)c1ccc(SCC(=O)OC(C)C)c([N+](=O)[O-])c1 ZINC000078361179 347245936 /nfs/dbraw/zinc/24/59/36/347245936.db2.gz YZIXTBOBPXOPIC-UHFFFAOYSA-N 0 0 297.332 2.841 20 5 CFBDRN Cc1ccccc1[C@@H]1CN(c2ncccc2[N+](=O)[O-])CCO1 ZINC000078366816 347246730 /nfs/dbraw/zinc/24/67/30/347246730.db2.gz CQZIENNQAKTKBA-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NOC(C)C ZINC000297370585 232622777 /nfs/dbraw/zinc/62/27/77/232622777.db2.gz ZQAKDLMTBDAMGB-UHFFFAOYSA-N 0 0 266.297 2.590 20 5 CFBDRN C[C@@H]1CSC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000306086930 232641950 /nfs/dbraw/zinc/64/19/50/232641950.db2.gz HDEBIQXWEWKXIG-GMSGAONNSA-N 0 0 256.302 2.897 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCS[C@H](C(C)C)C1 ZINC000078577886 347262841 /nfs/dbraw/zinc/26/28/41/347262841.db2.gz LKDMZIKNYLBOEM-LBPRGKRZSA-N 0 0 281.381 2.876 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccncc2[N+](=O)[O-])CCS1 ZINC000078577840 347262857 /nfs/dbraw/zinc/26/28/57/347262857.db2.gz ITKRKTRJFNXCIO-LBPRGKRZSA-N 0 0 267.354 2.568 20 5 CFBDRN COc1ccc(CN(C)c2ncc(C)cc2[N+](=O)[O-])cc1 ZINC000078624975 347265437 /nfs/dbraw/zinc/26/54/37/347265437.db2.gz OUDCLDHOTYPWAG-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN COc1ccc(CNc2ncc(C)cc2[N+](=O)[O-])cc1O ZINC000078630960 347266077 /nfs/dbraw/zinc/26/60/77/347266077.db2.gz XJZCYFDUYLMXCZ-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN Cc1cnc(NC[C@](C)(O)c2cccs2)c([N+](=O)[O-])c1 ZINC000078666330 347268965 /nfs/dbraw/zinc/26/89/65/347268965.db2.gz RMXIPMKUFVSHBG-ZDUSSCGKSA-N 0 0 293.348 2.679 20 5 CFBDRN O=C(NCC1CCCCC1)c1csc([N+](=O)[O-])c1 ZINC000078940431 347280183 /nfs/dbraw/zinc/28/01/83/347280183.db2.gz SCXPZTSLCCXMJC-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN Cc1cc(NCc2nnc3ccccn32)ccc1[N+](=O)[O-] ZINC000079819688 347327377 /nfs/dbraw/zinc/32/73/77/347327377.db2.gz KBWXFCYHHHZTQE-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccc(Cl)nc1 ZINC000079944333 347333188 /nfs/dbraw/zinc/33/31/88/347333188.db2.gz RHIKHCCKANKIJY-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000079635682 347318064 /nfs/dbraw/zinc/31/80/64/347318064.db2.gz VWSOOIVRMQJUDP-JGVFFNPUSA-N 0 0 290.241 2.970 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079631111 347318171 /nfs/dbraw/zinc/31/81/71/347318171.db2.gz UNEQHTMORGBANJ-SKDRFNHKSA-N 0 0 262.309 2.602 20 5 CFBDRN Cc1ccc(NCCCOC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000080538568 347364570 /nfs/dbraw/zinc/36/45/70/347364570.db2.gz JDZJKTWPHQASSJ-ZDUSSCGKSA-N 0 0 294.351 2.901 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CC(C)C ZINC000080607207 347369698 /nfs/dbraw/zinc/36/96/98/347369698.db2.gz QYSGDAWQVFORNM-UHFFFAOYSA-N 0 0 252.314 2.833 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080638636 347371769 /nfs/dbraw/zinc/37/17/69/347371769.db2.gz OHEGAHPMUUZTQE-HZMBPMFUSA-N 0 0 282.340 2.560 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCCC(C)(C)CO ZINC000080648536 347371847 /nfs/dbraw/zinc/37/18/47/347371847.db2.gz NEWJWQIYHITYPJ-UHFFFAOYSA-N 0 0 296.367 2.952 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCOC[C@@H]1CCCO1 ZINC000080640842 347371903 /nfs/dbraw/zinc/37/19/03/347371903.db2.gz VCIABIKBGJISRW-ZDUSSCGKSA-N 0 0 280.324 2.511 20 5 CFBDRN Cc1ccc(N(C)C2CCOCC2)c([N+](=O)[O-])c1 ZINC000080669336 347373372 /nfs/dbraw/zinc/37/33/72/347373372.db2.gz UMFYXHRWPINOEK-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN COC[C@H](Cc1ccccc1)Nc1ccc([N+](=O)[O-])nc1 ZINC000080683868 347374937 /nfs/dbraw/zinc/37/49/37/347374937.db2.gz VAIJCRPVDRIMKF-AWEZNQCLSA-N 0 0 287.319 2.659 20 5 CFBDRN CO[C@]1(C)C[C@@H](N(C)c2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000080760971 347378433 /nfs/dbraw/zinc/37/84/33/347378433.db2.gz OZHFNKYTXKJHGD-BXUZGUMPSA-N 0 0 279.340 2.630 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000080945771 347386664 /nfs/dbraw/zinc/38/66/64/347386664.db2.gz YNASSAQUDHXFNS-UHFFFAOYSA-N 0 0 289.291 2.785 20 5 CFBDRN C[C@H](O)[C@H](C)SCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000083093465 347447922 /nfs/dbraw/zinc/44/79/22/347447922.db2.gz KJKYPZFJEKXHFU-YUMQZZPRSA-N 0 0 282.321 2.739 20 5 CFBDRN C[C@@H](CO)CSCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000083092437 347447947 /nfs/dbraw/zinc/44/79/47/347447947.db2.gz USJWTVLLHCFBJB-QMMMGPOBSA-N 0 0 282.321 2.598 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H](C)OCC1CC1 ZINC000086613846 347487962 /nfs/dbraw/zinc/48/79/62/347487962.db2.gz VDAFMCDNWSMZEM-LLVKDONJSA-N 0 0 292.335 2.965 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000086702822 347488175 /nfs/dbraw/zinc/48/81/75/347488175.db2.gz ODJGIPQXIMTLSD-GFCCVEGCSA-N 0 0 252.314 2.986 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2ccc(CC(N)=O)cc2)c1 ZINC000088700574 347506999 /nfs/dbraw/zinc/50/69/99/347506999.db2.gz UJKTXQQFQQGJNY-UHFFFAOYSA-N 0 0 285.303 2.675 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)Cn2ccnc2)c1 ZINC000088701472 347507117 /nfs/dbraw/zinc/50/71/17/347507117.db2.gz MVTMEWBLEQPBMM-GFCCVEGCSA-N 0 0 274.324 2.848 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nnc3ccccn32)c1 ZINC000088698872 347507200 /nfs/dbraw/zinc/50/72/00/347507200.db2.gz DOOKBKVIJIEAPV-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCNc2ccccn2)c1 ZINC000088698898 347507282 /nfs/dbraw/zinc/50/72/82/347507282.db2.gz ZQNSSTSDZFLQAQ-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@](C)(O)C(C)C)c1 ZINC000088722335 347508011 /nfs/dbraw/zinc/50/80/11/347508011.db2.gz PACIWPPVOFTTPO-CYBMUJFWSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@]2(C)CO)c1 ZINC000088725868 347508480 /nfs/dbraw/zinc/50/84/80/347508480.db2.gz PEYYWNGBXQGQPS-KGLIPLIRSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2nccs2)c1 ZINC000088726652 347508744 /nfs/dbraw/zinc/50/87/44/347508744.db2.gz GRADSMJVZPCHLT-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CCc1noc(CC)c1CNc1ccc([N+](=O)[O-])nc1 ZINC000088741924 347509441 /nfs/dbraw/zinc/50/94/41/347509441.db2.gz QYJNNHQYDNJYKQ-UHFFFAOYSA-N 0 0 276.296 2.715 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CCO1 ZINC000090069466 347539184 /nfs/dbraw/zinc/53/91/84/347539184.db2.gz KESMEJGUNNOTGS-GFCCVEGCSA-N 0 0 299.330 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CC2)nc1-c1ccccc1 ZINC000090103789 347542033 /nfs/dbraw/zinc/54/20/33/347542033.db2.gz PJPABYFNLQYOAQ-UHFFFAOYSA-N 0 0 299.330 2.841 20 5 CFBDRN Cc1c(CC(=O)NCC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000128581006 187378944 /nfs/dbraw/zinc/37/89/44/187378944.db2.gz KHZNYPJDXUBLOB-UHFFFAOYSA-N 0 0 276.336 2.752 20 5 CFBDRN Cc1cc(NCc2ccnn2C)c(Cl)cc1[N+](=O)[O-] ZINC000091428196 347585373 /nfs/dbraw/zinc/58/53/73/347585373.db2.gz MQWJSVMXLRDZJP-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2c3ccccc3C[C@@H]2O)cc1 ZINC000091431655 347586087 /nfs/dbraw/zinc/58/60/87/347586087.db2.gz KBJXUFXPLTZAAW-LSDHHAIUSA-N 0 0 270.288 2.665 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cc1)Cn1ccnc1 ZINC000091454399 347586610 /nfs/dbraw/zinc/58/66/10/347586610.db2.gz BZBGSQYPLQRZRM-LLVKDONJSA-N 0 0 260.297 2.540 20 5 CFBDRN CN1C(=O)COc2ccc(Nc3ccc([N+](=O)[O-])cc3)cc21 ZINC000091485318 347590470 /nfs/dbraw/zinc/59/04/70/347590470.db2.gz YYNXNJAUBVVCPJ-UHFFFAOYSA-N 0 0 299.286 2.694 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccc(C)o1 ZINC000091554587 347592998 /nfs/dbraw/zinc/59/29/98/347592998.db2.gz MPCGDSBBKNTVHU-UHFFFAOYSA-N 0 0 264.285 2.633 20 5 CFBDRN CCc1nn(C)c(N2CC[C@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000091729833 347596329 /nfs/dbraw/zinc/59/63/29/347596329.db2.gz ILRIMJNMQMQAPZ-LLVKDONJSA-N 0 0 280.372 2.763 20 5 CFBDRN CCOc1cc(NCCC(=O)OC(C)C)ccc1[N+](=O)[O-] ZINC000092583831 347632760 /nfs/dbraw/zinc/63/27/60/347632760.db2.gz RLMRPSJYSZPHAQ-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)Cc2ccccn2)c1 ZINC000092621358 347634820 /nfs/dbraw/zinc/63/48/20/347634820.db2.gz ZZVKAOZMDLRSPY-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN Cc1cc(N2CCC[C@H]2C(C)(C)O)ccc1[N+](=O)[O-] ZINC000092693315 347642305 /nfs/dbraw/zinc/64/23/05/347642305.db2.gz LAMFMXKBHOBNFA-ZDUSSCGKSA-N 0 0 264.325 2.643 20 5 CFBDRN C[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])CC(C)(C)C ZINC000092217577 347613024 /nfs/dbraw/zinc/61/30/24/347613024.db2.gz UJVLHSKZBIPMQE-UHFFFAOYSA-N 0 0 252.314 2.778 20 5 CFBDRN CC(C)(C)c1nc(Cn2nccc2[N+](=O)[O-])cs1 ZINC000103260328 185823161 /nfs/dbraw/zinc/82/31/61/185823161.db2.gz WHGPXERASQQFNQ-UHFFFAOYSA-N 0 0 266.326 2.594 20 5 CFBDRN CSC[C@H]1CCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000093760616 347682942 /nfs/dbraw/zinc/68/29/42/347682942.db2.gz WQVQZSUTVGDRAZ-LLVKDONJSA-N 0 0 282.365 2.628 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)CC(F)F ZINC000094107215 347691678 /nfs/dbraw/zinc/69/16/78/347691678.db2.gz OVMULWFGNDGSKZ-UHFFFAOYSA-N 0 0 286.278 2.857 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CCCCC2)cc1 ZINC000094432628 347695448 /nfs/dbraw/zinc/69/54/48/347695448.db2.gz SZSIMWCPGPROHT-UHFFFAOYSA-N 0 0 264.325 2.950 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCCn2cccn2)c1 ZINC000093307974 347667812 /nfs/dbraw/zinc/66/78/12/347667812.db2.gz HWVNQPHLABVCKH-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000114101468 347770450 /nfs/dbraw/zinc/77/04/50/347770450.db2.gz CTNLKOASUAFGDS-CABZTGNLSA-N 0 0 260.293 2.530 20 5 CFBDRN CC(=O)[C@@H]1C[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000121943860 347813420 /nfs/dbraw/zinc/81/34/20/347813420.db2.gz CDYBIQNDTAJROL-QWHCGFSZSA-N 0 0 290.319 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc(-n2nc(C3CC3)cc2C2CC2)nc1 ZINC000116814509 347789399 /nfs/dbraw/zinc/78/93/99/347789399.db2.gz QYFWLORVIZQRQC-UHFFFAOYSA-N 0 0 270.292 2.930 20 5 CFBDRN CCCc1noc(COc2ccccc2[N+](=O)[O-])n1 ZINC000128709656 347853491 /nfs/dbraw/zinc/85/34/91/347853491.db2.gz ADCPXUGDJCKLFZ-UHFFFAOYSA-N 0 0 263.253 2.509 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H]1CCCCO1 ZINC000129775862 347864242 /nfs/dbraw/zinc/86/42/42/347864242.db2.gz YIOAKNATEKDEQR-SECBINFHSA-N 0 0 271.704 2.624 20 5 CFBDRN CC(C)c1noc(-c2ccn(-c3cccc([N+](=O)[O-])c3)n2)n1 ZINC000130544707 347871821 /nfs/dbraw/zinc/87/18/21/347871821.db2.gz URFZRTARMIAMMR-UHFFFAOYSA-N 0 0 299.290 2.954 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC1(CCO)CCC1 ZINC000132631565 347885701 /nfs/dbraw/zinc/88/57/01/347885701.db2.gz QGWPYWMXHTZSMD-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN C[C@H](CNc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000132820524 347887787 /nfs/dbraw/zinc/88/77/87/347887787.db2.gz IYCRHNGUJQBRST-SSDOTTSWSA-N 0 0 266.326 2.762 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)c2cccnc2)cc1[N+](=O)[O-] ZINC000143725008 347938286 /nfs/dbraw/zinc/93/82/86/347938286.db2.gz CYBOOFZXYFSERM-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN CN(Cc1c(F)cccc1Cl)c1c([N+](=O)[O-])ncn1C ZINC000137649206 347914227 /nfs/dbraw/zinc/91/42/27/347914227.db2.gz TWRHBRCSXCEZTP-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cn2C)cc1C ZINC000138080403 347914802 /nfs/dbraw/zinc/91/48/02/347914802.db2.gz CVCZGMOJEICXSC-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CCN(CC)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000138801926 347917255 /nfs/dbraw/zinc/91/72/55/347917255.db2.gz ZRAUJVQUUHMVTA-UHFFFAOYSA-N 0 0 272.251 2.555 20 5 CFBDRN CCCCNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000138758085 347917471 /nfs/dbraw/zinc/91/74/71/347917471.db2.gz JUSSNLNQBPLVDL-UHFFFAOYSA-N 0 0 272.251 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NC[C@H]3CCCS3)nc2c1 ZINC000150105329 347955181 /nfs/dbraw/zinc/95/51/81/347955181.db2.gz JTBHOZABQSXWCZ-SECBINFHSA-N 0 0 278.337 2.779 20 5 CFBDRN Cc1nc(NCCCc2ccccc2)ncc1[N+](=O)[O-] ZINC000295147495 199372212 /nfs/dbraw/zinc/37/22/12/199372212.db2.gz BPFADUWJTRPWCR-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN Cc1cc(C(=O)N(C)CC(C)C)cc([N+](=O)[O-])c1 ZINC000147729639 347948657 /nfs/dbraw/zinc/94/86/57/347948657.db2.gz MQPLFQQKSULMNM-UHFFFAOYSA-N 0 0 250.298 2.631 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccoc2)cc([N+](=O)[O-])c1 ZINC000148306975 347950771 /nfs/dbraw/zinc/95/07/71/347950771.db2.gz OPCNLDZLLAPAKM-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN Cc1cccc(C)c1Cn1cc([N+](=O)[O-])c(C)cc1=O ZINC000128684057 187384133 /nfs/dbraw/zinc/38/41/33/187384133.db2.gz SHKZRADUBYQDTA-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN COc1csc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)c1 ZINC000158507525 348007226 /nfs/dbraw/zinc/00/72/26/348007226.db2.gz IOWJCINMEXJZGV-UHFFFAOYSA-N 0 0 292.316 2.942 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161899700 348027228 /nfs/dbraw/zinc/02/72/28/348027228.db2.gz QMXTWQINOWLXAP-KOLCDFICSA-N 0 0 260.293 2.530 20 5 CFBDRN COC(=O)C1CCC(Nc2ccccc2[N+](=O)[O-])CC1 ZINC000169172166 348049739 /nfs/dbraw/zinc/04/97/39/348049739.db2.gz YMHYBOLJOZAZMZ-UHFFFAOYSA-N 0 0 278.308 2.739 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000172372771 348091875 /nfs/dbraw/zinc/09/18/75/348091875.db2.gz NCASGXYQBBWNBS-LLVKDONJSA-N 0 0 279.340 2.686 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000172449410 348094632 /nfs/dbraw/zinc/09/46/32/348094632.db2.gz NBVSEMWYPYXYPX-LBPRGKRZSA-N 0 0 294.351 2.772 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1c2ccccc2C[C@H]1O ZINC000209062514 348157058 /nfs/dbraw/zinc/15/70/58/348157058.db2.gz IKWDCTYWEDUQKU-HUUCEWRRSA-N 0 0 270.288 2.665 20 5 CFBDRN O=C([O-])c1cccc(C[NH2+]Cc2cccc([N+](=O)[O-])c2)c1 ZINC000213493150 348161096 /nfs/dbraw/zinc/16/10/96/348161096.db2.gz OBFWVNDJKRRJGQ-UHFFFAOYSA-N 0 0 286.287 2.583 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000218876157 348167791 /nfs/dbraw/zinc/16/77/91/348167791.db2.gz NHLCUVOSTMVBQL-IINYFYTJSA-N 0 0 296.298 2.722 20 5 CFBDRN C[C@@H](Nc1ccc2ncc([N+](=O)[O-])n2n1)c1ccccc1 ZINC000220174985 348169126 /nfs/dbraw/zinc/16/91/26/348169126.db2.gz WOPLFHFDLULZAL-SNVBAGLBSA-N 0 0 283.291 2.811 20 5 CFBDRN CC(C)Oc1ncccc1CNc1ncc([N+](=O)[O-])s1 ZINC000226770937 348184467 /nfs/dbraw/zinc/18/44/67/348184467.db2.gz XGAKPFIKRSBFJJ-UHFFFAOYSA-N 0 0 294.336 2.846 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC(C2CC2)C2CC2)s1 ZINC000226774116 348184761 /nfs/dbraw/zinc/18/47/61/348184761.db2.gz XVLMMVPNOXJZIX-UHFFFAOYSA-N 0 0 253.327 2.899 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1CCC(O)CC1 ZINC000227702579 348189779 /nfs/dbraw/zinc/18/97/79/348189779.db2.gz MJBWMGQAUNDPAP-UHFFFAOYSA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC(F)(F)F)c(Cl)c1 ZINC000236123418 348232096 /nfs/dbraw/zinc/23/20/96/348232096.db2.gz MUWTWZAMUAXVEN-UHFFFAOYSA-N 0 0 255.583 2.617 20 5 CFBDRN CN(Cc1ccncc1)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087036198 179384879 /nfs/dbraw/zinc/38/48/79/179384879.db2.gz VYUNZJAJRRGWFU-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN COCCCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273340358 192119012 /nfs/dbraw/zinc/11/90/12/192119012.db2.gz AFEGOXJNZPFNNP-UHFFFAOYSA-N 0 0 299.302 2.672 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCCCC2)cc1 ZINC000087030003 179382493 /nfs/dbraw/zinc/38/24/93/179382493.db2.gz CYLIQZJXOHLAEV-UHFFFAOYSA-N 0 0 250.298 2.702 20 5 CFBDRN CO[C@@](C)([C@H](C)NC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000412616959 232928740 /nfs/dbraw/zinc/92/87/40/232928740.db2.gz SJCNORTUMWEPAV-SDBXPKJASA-N 0 0 298.364 2.590 20 5 CFBDRN Cc1nn(C)c(N[C@H]2C[C@H](C)C[C@H](C)C2)c1[N+](=O)[O-] ZINC000250998896 348290589 /nfs/dbraw/zinc/29/05/89/348290589.db2.gz YXOHKAOMXIOVGL-JZYVYDRUSA-N 0 0 266.345 2.873 20 5 CFBDRN C[C@@H](NC(=O)C[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000087142573 179397176 /nfs/dbraw/zinc/39/71/76/179397176.db2.gz AITCSXOKXDLSHO-RISCZKNCSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@H](NC(=O)C[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000087142570 179397186 /nfs/dbraw/zinc/39/71/86/179397186.db2.gz AITCSXOKXDLSHO-FZMZJTMJSA-N 0 0 292.335 2.731 20 5 CFBDRN CCC(CC)CNC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269340918 348329835 /nfs/dbraw/zinc/32/98/35/348329835.db2.gz HSLJLXGLAUCDFQ-UHFFFAOYSA-N 0 0 282.344 2.607 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@@H]1CCSC1 ZINC000304297081 348378614 /nfs/dbraw/zinc/37/86/14/348378614.db2.gz ALHJFNLIKQFKBZ-ZCFIWIBFSA-N 0 0 259.718 2.561 20 5 CFBDRN C[C@@H](CCO)Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000304322082 348379565 /nfs/dbraw/zinc/37/95/65/348379565.db2.gz GCKXEHOIVZITPY-LURJTMIESA-N 0 0 262.718 2.506 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCc1ccoc1 ZINC000306078051 348383668 /nfs/dbraw/zinc/38/36/68/348383668.db2.gz BFYKKKZWXWSHJX-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN CC(C)COC[C@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000128862818 187395322 /nfs/dbraw/zinc/39/53/22/187395322.db2.gz RFGFDOQBTYNMRN-AWEZNQCLSA-N 0 0 299.392 2.862 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@H]1CCC[C@@H](O)C1 ZINC000291819929 348355236 /nfs/dbraw/zinc/35/52/36/348355236.db2.gz ZFRQLFUBPVDIGI-VHSXEESVSA-N 0 0 268.338 2.633 20 5 CFBDRN CCOC(=O)c1cc(N2CCC[C@H]2CF)ccc1[N+](=O)[O-] ZINC000292702247 348358695 /nfs/dbraw/zinc/35/86/95/348358695.db2.gz GCBRCHNSRCCOEJ-NSHDSACASA-N 0 0 296.298 2.710 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2CF)c(F)cc1[N+](=O)[O-] ZINC000292777226 348359567 /nfs/dbraw/zinc/35/95/67/348359567.db2.gz LMKDVPXXSHENBP-SECBINFHSA-N 0 0 256.252 2.981 20 5 CFBDRN C[C@H](CCO)SCc1ccc([N+](=O)[O-])cc1F ZINC000310354636 348408695 /nfs/dbraw/zinc/40/86/95/348408695.db2.gz QKRQUEDXBDTRJD-MRVPVSSYSA-N 0 0 259.302 2.738 20 5 CFBDRN C[C@@H](CCO)SCc1ccc([N+](=O)[O-])cc1F ZINC000310354638 348408862 /nfs/dbraw/zinc/40/88/62/348408862.db2.gz QKRQUEDXBDTRJD-QMMMGPOBSA-N 0 0 259.302 2.738 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1c(Cl)cncc1[N+](=O)[O-] ZINC000310423122 348409551 /nfs/dbraw/zinc/40/95/51/348409551.db2.gz OZMRPCGRPZKIEJ-SVRRBLITSA-N 0 0 273.745 2.949 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCC1(C)COC1 ZINC000310882091 348411119 /nfs/dbraw/zinc/41/11/19/348411119.db2.gz WBPTZUXMVAFZEG-UHFFFAOYSA-N 0 0 250.298 2.606 20 5 CFBDRN CCS[C@H]1CCC[C@H]1Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000311619736 348413483 /nfs/dbraw/zinc/41/34/83/348413483.db2.gz HARPQEFSWBHXIL-ZJUUUORDSA-N 0 0 282.369 2.658 20 5 CFBDRN C[C@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000311810887 348414690 /nfs/dbraw/zinc/41/46/90/348414690.db2.gz OUOLMHQVKRDXPY-MRVPVSSYSA-N 0 0 266.272 2.510 20 5 CFBDRN Nc1ccc(N2CCC3(C2)CCCCC3)nc1[N+](=O)[O-] ZINC000312517445 348418892 /nfs/dbraw/zinc/41/88/92/348418892.db2.gz OBKJOISNUBSFCK-UHFFFAOYSA-N 0 0 276.340 2.733 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])cc1C ZINC000312498987 348419119 /nfs/dbraw/zinc/41/91/19/348419119.db2.gz QIFNBUHRHUVXMK-QWRGUYRKSA-N 0 0 280.324 2.891 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CCO)C2)c(F)cc1[N+](=O)[O-] ZINC000312585482 348419198 /nfs/dbraw/zinc/41/91/98/348419198.db2.gz QENMAYVHMNLSEG-NSHDSACASA-N 0 0 282.315 2.641 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])cc1C ZINC000312498988 348419207 /nfs/dbraw/zinc/41/92/07/348419207.db2.gz QIFNBUHRHUVXMK-WDEREUQCSA-N 0 0 280.324 2.891 20 5 CFBDRN COc1cc(NCC2CCOCC2)c([N+](=O)[O-])cc1C ZINC000312733238 348420237 /nfs/dbraw/zinc/42/02/37/348420237.db2.gz WMFUXTPHXYCAII-UHFFFAOYSA-N 0 0 280.324 2.750 20 5 CFBDRN COC[C@@H](CNc1ccc(C(F)F)cc1[N+](=O)[O-])OC ZINC000312860749 348420409 /nfs/dbraw/zinc/42/04/09/348420409.db2.gz YJJHHEMHBFDGHJ-SECBINFHSA-N 0 0 290.266 2.606 20 5 CFBDRN CN(CCC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273371314 192129460 /nfs/dbraw/zinc/12/94/60/192129460.db2.gz GUORRLQUQBKKEH-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN COc1cc(NCc2cnn(C)c2C)c([N+](=O)[O-])cc1C ZINC000314774354 348427614 /nfs/dbraw/zinc/42/76/14/348427614.db2.gz PIOLTNVOHYHDLZ-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC[C@H]1F ZINC000413146232 233023750 /nfs/dbraw/zinc/02/37/50/233023750.db2.gz MUEHHZBTMACZRN-CHWSQXEVSA-N 0 0 295.314 2.675 20 5 CFBDRN COc1ccc(NC(=O)N2CC3CC2(C)C3)cc1[N+](=O)[O-] ZINC000596828018 349994391 /nfs/dbraw/zinc/99/43/91/349994391.db2.gz HHMSOMLYAJTJIY-UHFFFAOYSA-N 0 0 291.307 2.620 20 5 CFBDRN COc1ccc(NC(=O)NCCC(C)(C)F)cc1[N+](=O)[O-] ZINC000596830243 349994396 /nfs/dbraw/zinc/99/43/96/349994396.db2.gz CJMDHINECJTKLI-UHFFFAOYSA-N 0 0 299.302 2.863 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000596841023 349996065 /nfs/dbraw/zinc/99/60/65/349996065.db2.gz CYXTYDOZRGXPOF-UMSPYCQHSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000596844375 349996460 /nfs/dbraw/zinc/99/64/60/349996460.db2.gz XCKYUVXKLNPRFR-GHMZBOCLSA-N 0 0 275.308 2.777 20 5 CFBDRN Cc1ocnc1-c1noc(-c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000351057047 348481846 /nfs/dbraw/zinc/48/18/46/348481846.db2.gz MBGNDSOKOSHBFO-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN C[C@@H](CCCO)Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413178226 233029942 /nfs/dbraw/zinc/02/99/42/233029942.db2.gz BMOZRUZQHGQQJF-ZETCQYMHSA-N 0 0 293.245 2.582 20 5 CFBDRN Cc1cc(Cc2noc(C3(F)CC3)n2)ccc1[N+](=O)[O-] ZINC000351363115 348494449 /nfs/dbraw/zinc/49/44/49/348494449.db2.gz WQQQOOFLLKTZJC-UHFFFAOYSA-N 0 0 277.255 2.836 20 5 CFBDRN COc1cc(Cc2noc(C3(F)CC3)n2)ccc1[N+](=O)[O-] ZINC000351363845 348494568 /nfs/dbraw/zinc/49/45/68/348494568.db2.gz XMGNRMDREKVOAK-UHFFFAOYSA-N 0 0 293.254 2.536 20 5 CFBDRN COC[C@@H](C)Cc1noc(-c2ccc([N+](=O)[O-])cc2C)n1 ZINC000351470094 348497238 /nfs/dbraw/zinc/49/72/38/348497238.db2.gz WCYBHALXFJPUEI-VIFPVBQESA-N 0 0 291.307 2.778 20 5 CFBDRN COC1CCC(CNc2ncc([N+](=O)[O-])cc2F)CC1 ZINC000413185117 233031553 /nfs/dbraw/zinc/03/15/53/233031553.db2.gz ZURWVAHTABDADA-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1ncc([N+](=O)[O-])cc1F ZINC000413206292 233034697 /nfs/dbraw/zinc/03/46/97/233034697.db2.gz PNQJHURTZZMRLX-ZETCQYMHSA-N 0 0 294.286 2.949 20 5 CFBDRN Cc1csc(CCNc2ncc(F)cc2[N+](=O)[O-])n1 ZINC000401148436 348577190 /nfs/dbraw/zinc/57/71/90/348577190.db2.gz DCAWRIGJQWLNBG-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN CC(F)(F)CCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000401185377 348577961 /nfs/dbraw/zinc/57/79/61/348577961.db2.gz LPLUBNBOSHTBTE-UHFFFAOYSA-N 0 0 263.219 2.976 20 5 CFBDRN CCOc1cc(N[C@H]2CO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000402207595 348580979 /nfs/dbraw/zinc/58/09/79/348580979.db2.gz IJENPBBJAIEJBS-GXSJLCMTSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1cc(N[C@H]2CO[C@H](C)C2)c([N+](=O)[O-])cc1C ZINC000402219447 348581027 /nfs/dbraw/zinc/58/10/27/348581027.db2.gz OGVMVZAFRUSYSL-NXEZZACHSA-N 0 0 266.297 2.501 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000403307333 348583837 /nfs/dbraw/zinc/58/38/37/348583837.db2.gz OPQWDKIBGBZOOF-GMTAPVOTSA-N 0 0 289.335 2.555 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413257900 233043018 /nfs/dbraw/zinc/04/30/18/233043018.db2.gz GFGIHQNEWQXXIY-DTWKUNHWSA-N 0 0 253.277 2.754 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)[C@@H](C)CO1 ZINC000404049122 348589205 /nfs/dbraw/zinc/58/92/05/348589205.db2.gz IKXIZOLTUGLCHD-GWCFXTLKSA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000273445038 192152247 /nfs/dbraw/zinc/15/22/47/192152247.db2.gz JZYMXRSJNZXLKN-BDAKNGLRSA-N 0 0 281.287 2.996 20 5 CFBDRN CNc1c(C(=O)N2CCCC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000405123778 348596001 /nfs/dbraw/zinc/59/60/01/348596001.db2.gz MKRBSJOOUJIMPE-UHFFFAOYSA-N 0 0 299.277 2.508 20 5 CFBDRN CCOCCN(CC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273446842 192154024 /nfs/dbraw/zinc/15/40/24/192154024.db2.gz KIFPSXURDKZOSE-UHFFFAOYSA-N 0 0 299.302 2.624 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccccc2[N+](=O)[O-])[C@]12CCCO2 ZINC000273451893 192155917 /nfs/dbraw/zinc/15/59/17/192155917.db2.gz DTNVYRSIPGSNBA-RRFJBIMHSA-N 0 0 292.335 2.733 20 5 CFBDRN CCOc1cccc(N2CCC(CCO)CC2)c1[N+](=O)[O-] ZINC000400978337 348576545 /nfs/dbraw/zinc/57/65/45/348576545.db2.gz TZOPOBKKMGGWOW-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)C2CC2)c2cccnc21 ZINC000413296639 233048386 /nfs/dbraw/zinc/04/83/86/233048386.db2.gz FVHMHDWJVDPSFM-NSHDSACASA-N 0 0 287.319 2.573 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](F)C1 ZINC000584846806 348722706 /nfs/dbraw/zinc/72/27/06/348722706.db2.gz VQSOAPZWHWFYBX-SNVBAGLBSA-N 0 0 298.339 2.647 20 5 CFBDRN CCOC(=O)[C@H](Oc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000584602805 348686516 /nfs/dbraw/zinc/68/65/16/348686516.db2.gz AZHPRSGHMPCRCZ-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cscc1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000588035347 348767259 /nfs/dbraw/zinc/76/72/59/348767259.db2.gz QCOTYOKVXCVMBV-UHFFFAOYSA-N 0 0 293.348 2.651 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H](C(F)F)C1 ZINC000588040603 348768210 /nfs/dbraw/zinc/76/82/10/348768210.db2.gz GCWZSWGOGWKLEG-SSDOTTSWSA-N 0 0 260.215 2.825 20 5 CFBDRN Cn1nccc1C1=CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000588064542 348769346 /nfs/dbraw/zinc/76/93/46/348769346.db2.gz KACLNTZWJABVBJ-UHFFFAOYSA-N 0 0 290.348 2.684 20 5 CFBDRN COC1CCC(Nc2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000413412786 233069371 /nfs/dbraw/zinc/06/93/71/233069371.db2.gz FRNDMMOJAAFBEG-UHFFFAOYSA-N 0 0 283.303 2.686 20 5 CFBDRN COc1cc(N2CCC[C@@H]3C[C@@H]32)c([N+](=O)[O-])cc1F ZINC000588065831 348769473 /nfs/dbraw/zinc/76/94/73/348769473.db2.gz DFOMPZFVJMDGRO-SCZZXKLOSA-N 0 0 266.272 2.731 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCc3cncn3C2)c1 ZINC000588067650 348770007 /nfs/dbraw/zinc/77/00/07/348770007.db2.gz GPMUGBLNFQOWIL-LLVKDONJSA-N 0 0 272.308 2.527 20 5 CFBDRN C[C@@H]1C[C@@H]([NH+]2CCCC2)CN1c1ccsc1[N+](=O)[O-] ZINC000588071872 348770438 /nfs/dbraw/zinc/77/04/38/348770438.db2.gz LGGGRRNJWBDHNQ-GHMZBOCLSA-N 0 0 281.381 2.719 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1F)c1nncn1C ZINC000588104691 348771615 /nfs/dbraw/zinc/77/16/15/348771615.db2.gz CUENVPJWYKQKPG-SSDOTTSWSA-N 0 0 282.300 2.716 20 5 CFBDRN CC(C)SCCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000588116047 348771734 /nfs/dbraw/zinc/77/17/34/348771734.db2.gz BIFBCBJIGLJGTD-UHFFFAOYSA-N 0 0 297.380 2.536 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000596870048 350000479 /nfs/dbraw/zinc/00/04/79/350000479.db2.gz ZXVREEOJUVYDHF-LBPRGKRZSA-N 0 0 262.309 2.647 20 5 CFBDRN COc1ccc(NC(=O)N2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000588275437 348782132 /nfs/dbraw/zinc/78/21/32/348782132.db2.gz NNPQYHALSUCYEC-GXSJLCMTSA-N 0 0 291.307 2.620 20 5 CFBDRN O=C(Nc1nc(C2CC2)c[nH]1)c1ccc(F)cc1[N+](=O)[O-] ZINC000588762022 348795118 /nfs/dbraw/zinc/79/51/18/348795118.db2.gz OTJBZLFAQIZFDE-UHFFFAOYSA-N 0 0 290.254 2.587 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H](C)C[C@@H](C)C1 ZINC000588822813 348796762 /nfs/dbraw/zinc/79/67/62/348796762.db2.gz AWNYVQIOAVKAQF-WDEREUQCSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCC[C@@H](C)C1 ZINC000588824900 348797275 /nfs/dbraw/zinc/79/72/75/348797275.db2.gz CCKOLQRWSJATBU-SNVBAGLBSA-N 0 0 266.345 2.746 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1cc(Br)cc([N+](=O)[O-])c1 ZINC000585750419 348742740 /nfs/dbraw/zinc/74/27/40/348742740.db2.gz LBBQZADGLDHPNY-LDWIPMOCSA-N 0 0 299.124 2.952 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC1CC(C(F)(F)F)C1 ZINC000588849150 348799082 /nfs/dbraw/zinc/79/90/82/348799082.db2.gz SUSYGSRBIWYYEQ-UHFFFAOYSA-N 0 0 261.203 2.743 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H](OC)C23CCC3)c1 ZINC000413438220 233072914 /nfs/dbraw/zinc/07/29/14/233072914.db2.gz IRQUONLUJDRWKG-KGLIPLIRSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1COc2ccccc21 ZINC000588861310 348799685 /nfs/dbraw/zinc/79/96/85/348799685.db2.gz PUCOZYUWVVIPBT-JTQLQIEISA-N 0 0 271.276 2.578 20 5 CFBDRN CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000273951136 192375850 /nfs/dbraw/zinc/37/58/50/192375850.db2.gz UWNMLSZZIXXABC-UHFFFAOYSA-N 0 0 280.299 2.632 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H]2C[C@H]21 ZINC000586891929 348759867 /nfs/dbraw/zinc/75/98/67/348759867.db2.gz SVIXOCQZWCRYCL-QPUJVOFHSA-N 0 0 280.711 2.873 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@H]2C[C@H]21 ZINC000586898175 348760029 /nfs/dbraw/zinc/76/00/29/348760029.db2.gz KDGQCCDKXGAHMQ-TVQRCGJNSA-N 0 0 285.303 2.701 20 5 CFBDRN COC(=O)c1cc(C)nc(NC2CCCCC2)c1[N+](=O)[O-] ZINC000586923895 348760688 /nfs/dbraw/zinc/76/06/88/348760688.db2.gz SBOCSDFEHSEAPH-UHFFFAOYSA-N 0 0 293.323 2.829 20 5 CFBDRN Nc1cc(NCc2ccc(Cl)o2)cc(CO)c1[N+](=O)[O-] ZINC000587010205 348761119 /nfs/dbraw/zinc/76/11/19/348761119.db2.gz QEPRWEDDOJVVRE-UHFFFAOYSA-N 0 0 297.698 2.528 20 5 CFBDRN CCC[C@@H](CC)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587543276 348762603 /nfs/dbraw/zinc/76/26/03/348762603.db2.gz KEGGZTAPIUTSPK-SNVBAGLBSA-N 0 0 267.329 2.660 20 5 CFBDRN Cc1ccsc1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587519406 348762625 /nfs/dbraw/zinc/76/26/25/348762625.db2.gz XLYYWNJRNSRVKL-UHFFFAOYSA-N 0 0 293.348 2.651 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000587881899 348763194 /nfs/dbraw/zinc/76/31/94/348763194.db2.gz IMCDQCHTDMKKMK-GZMMTYOYSA-N 0 0 293.323 2.685 20 5 CFBDRN Nc1cc(NCC(C2CC2)C2CC2)cc(CO)c1[N+](=O)[O-] ZINC000587987473 348764012 /nfs/dbraw/zinc/76/40/12/348764012.db2.gz ZKMATKUZKNXZPU-UHFFFAOYSA-N 0 0 291.351 2.517 20 5 CFBDRN Nc1cc(NCC2(C3CC3)CCC2)cc(CO)c1[N+](=O)[O-] ZINC000588005355 348765620 /nfs/dbraw/zinc/76/56/20/348765620.db2.gz ZUAVBTFYNWXIMP-UHFFFAOYSA-N 0 0 291.351 2.662 20 5 CFBDRN CC(=O)c1cc(N2CC[C@H](C)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000588012998 348766112 /nfs/dbraw/zinc/76/61/12/348766112.db2.gz JYDIPNNWAFLWIB-TVQRCGJNSA-N 0 0 280.299 2.982 20 5 CFBDRN Nc1cc(N[C@@H]2CC23CCCCC3)cc(CO)c1[N+](=O)[O-] ZINC000588011211 348766276 /nfs/dbraw/zinc/76/62/76/348766276.db2.gz GCYFHDBGVWQEPB-CYBMUJFWSA-N 0 0 291.351 2.804 20 5 CFBDRN COc1c(-c2nc(C3CCC3)no2)cccc1[N+](=O)[O-] ZINC000589090140 348810287 /nfs/dbraw/zinc/81/02/87/348810287.db2.gz GQANDWLMFSOMFF-UHFFFAOYSA-N 0 0 275.264 2.921 20 5 CFBDRN COCC[C@@H](C)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000413491950 233082416 /nfs/dbraw/zinc/08/24/16/233082416.db2.gz LCBSQKOLFCFJHU-SECBINFHSA-N 0 0 267.329 2.691 20 5 CFBDRN CC(C)(C)c1cc(Oc2cccnc2[N+](=O)[O-])ncn1 ZINC000589103105 348810686 /nfs/dbraw/zinc/81/06/86/348810686.db2.gz NYGBWMQFNQSSJR-UHFFFAOYSA-N 0 0 274.280 2.870 20 5 CFBDRN CC[C@@H](CO)Nc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000589103813 348810910 /nfs/dbraw/zinc/81/09/10/348810910.db2.gz NLJDDCRECCMHSB-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN CC(=O)N1CC[C@@H](CNc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000589101716 348810942 /nfs/dbraw/zinc/81/09/42/348810942.db2.gz KQVCUEXKVQTTGH-JTQLQIEISA-N 0 0 297.742 2.529 20 5 CFBDRN C[C@H](O)CCNc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000589113908 348811305 /nfs/dbraw/zinc/81/13/05/348811305.db2.gz SZWITKHRHWGMQE-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN COCC[C@H](C)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000413493147 233083318 /nfs/dbraw/zinc/08/33/18/233083318.db2.gz NPIRROZAXLIIOV-JTQLQIEISA-N 0 0 268.313 2.688 20 5 CFBDRN O=C(NC1(C(F)F)CCCC1)c1ccc([N+](=O)[O-])s1 ZINC000589117930 348812229 /nfs/dbraw/zinc/81/22/29/348812229.db2.gz XOYHJPRKIAGNLA-UHFFFAOYSA-N 0 0 290.291 2.964 20 5 CFBDRN COCC[C@@H](C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000413492927 233083636 /nfs/dbraw/zinc/08/36/36/233083636.db2.gz NDUPCAYUFTVXPO-SECBINFHSA-N 0 0 256.277 2.818 20 5 CFBDRN CCC(C)(CC)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000589149789 348813401 /nfs/dbraw/zinc/81/34/01/348813401.db2.gz JTBMKGFWQQRNBM-UHFFFAOYSA-N 0 0 293.327 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(CF)C2)cc1OC(F)F ZINC000413499805 233084575 /nfs/dbraw/zinc/08/45/75/233084575.db2.gz JEUGEOQALLZONT-UHFFFAOYSA-N 0 0 276.214 2.602 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CC1(C)C ZINC000413509159 233086768 /nfs/dbraw/zinc/08/67/68/233086768.db2.gz VBBDIPJRXLJANN-LBPRGKRZSA-N 0 0 250.298 2.952 20 5 CFBDRN CO[C@@](C)([C@H](C)Nc1ncc([N+](=O)[O-])s1)C1CC1 ZINC000413520474 233088085 /nfs/dbraw/zinc/08/80/85/233088085.db2.gz PSCWCRVMAFGASJ-CPCISQLKSA-N 0 0 271.342 2.667 20 5 CFBDRN COCC1CCC(Nc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000413527869 233090546 /nfs/dbraw/zinc/09/05/46/233090546.db2.gz CDCJEVQEDQDIDL-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN COCC1CCC(Nc2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000413534740 233091362 /nfs/dbraw/zinc/09/13/62/233091362.db2.gz RRZGYLKYFOBADV-UHFFFAOYSA-N 0 0 297.330 2.933 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(CNc2ccccc2)c1 ZINC000589504594 348837178 /nfs/dbraw/zinc/83/71/78/348837178.db2.gz ZIBSFIKEDYUSQR-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN CCC[C@H](C)c1cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)n[nH]1 ZINC000589576741 348839397 /nfs/dbraw/zinc/83/93/97/348839397.db2.gz OJVSNQOWECUGRF-QMMMGPOBSA-N 0 0 291.311 2.802 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H](C)C[C@H]2CCOC2)c1 ZINC000589584437 348839749 /nfs/dbraw/zinc/83/97/49/348839749.db2.gz LAZMPTHSHLIWNS-GHMZBOCLSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cccc(N[C@@H](C)C[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000589584721 348840209 /nfs/dbraw/zinc/84/02/09/348840209.db2.gz NVGRHXZTMRQBJF-QWRGUYRKSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cccc(N[C@H](C)C[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000589584547 348840358 /nfs/dbraw/zinc/84/03/58/348840358.db2.gz NVGRHXZTMRQBJF-GHMZBOCLSA-N 0 0 280.324 2.830 20 5 CFBDRN CC(=O)c1cc(N2CC[C@@H](C(F)F)C2)ccc1[N+](=O)[O-] ZINC000589600057 348841185 /nfs/dbraw/zinc/84/11/85/348841185.db2.gz NAMQKHIRZPURRT-SECBINFHSA-N 0 0 284.262 2.889 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1ccc(CC(N)=O)cc1 ZINC000589601230 348841990 /nfs/dbraw/zinc/84/19/90/348841990.db2.gz IGSBBTHNSLJLOY-UHFFFAOYSA-N 0 0 299.330 2.543 20 5 CFBDRN CCc1nnc(CNc2ccc([N+](=O)[O-])s2)s1 ZINC000589608019 348842782 /nfs/dbraw/zinc/84/27/82/348842782.db2.gz JNUVISBCUPVFBT-UHFFFAOYSA-N 0 0 270.339 2.682 20 5 CFBDRN CCCC[C@@H](C)N(C)c1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000589609003 348842927 /nfs/dbraw/zinc/84/29/27/348842927.db2.gz FGRAICQXOQODHD-SNVBAGLBSA-N 0 0 281.356 2.684 20 5 CFBDRN Cc1cc(NCC(=O)NC2CCCC2)c(F)cc1[N+](=O)[O-] ZINC000589685902 348850223 /nfs/dbraw/zinc/85/02/23/348850223.db2.gz LFRVEBHQEAUCSP-UHFFFAOYSA-N 0 0 295.314 2.513 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)CCCC1CC1 ZINC000589693957 348851272 /nfs/dbraw/zinc/85/12/72/348851272.db2.gz SRQRZVZZDGNCQM-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H]3C[C@@H]32)nc1-c1ccccc1 ZINC000589871982 348862681 /nfs/dbraw/zinc/86/26/81/348862681.db2.gz YWWJTMBEPCFWHM-UONOGXRCSA-N 0 0 297.314 2.634 20 5 CFBDRN CSC[C@@H]1CCCN(c2cccnc2[N+](=O)[O-])C1 ZINC000589881323 348862960 /nfs/dbraw/zinc/86/29/60/348862960.db2.gz JAOWSVJIKOMIQL-SNVBAGLBSA-N 0 0 267.354 2.569 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CC(C2CC2)C1 ZINC000589990844 348868145 /nfs/dbraw/zinc/86/81/45/348868145.db2.gz DZZYNIRNDSTMJM-UHFFFAOYSA-N 0 0 280.711 2.730 20 5 CFBDRN CN(C[C@@H](O)Cc1ccccc1)c1sccc1[N+](=O)[O-] ZINC000590063244 348872696 /nfs/dbraw/zinc/87/26/96/348872696.db2.gz HQTHCZSZFIOWGP-LBPRGKRZSA-N 0 0 292.360 2.696 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@H](CO)CC3CC3)ccnc21 ZINC000590083846 348873264 /nfs/dbraw/zinc/87/32/64/348873264.db2.gz WCNIKIDTOONION-NSHDSACASA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H](CO)C1CCCCC1 ZINC000590143761 348877522 /nfs/dbraw/zinc/87/75/22/348877522.db2.gz GBODXFKFRDSVTI-GFCCVEGCSA-N 0 0 279.340 2.591 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H](CO)C1CCCCC1 ZINC000590143762 348878000 /nfs/dbraw/zinc/87/80/00/348878000.db2.gz GBODXFKFRDSVTI-LBPRGKRZSA-N 0 0 279.340 2.591 20 5 CFBDRN C[C@@H]1CCC[C@]1(O)CNc1sccc1[N+](=O)[O-] ZINC000590146867 348878347 /nfs/dbraw/zinc/87/83/47/348878347.db2.gz KZZOVWTVMMRWNO-KCJUWKMLSA-N 0 0 256.327 2.619 20 5 CFBDRN CC1(C)CCC[C@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000590148705 348878620 /nfs/dbraw/zinc/87/86/20/348878620.db2.gz SRWLVTJBDUUAMS-NSHDSACASA-N 0 0 293.367 2.908 20 5 CFBDRN COC[C@]1(C)CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000590707919 348932418 /nfs/dbraw/zinc/93/24/18/348932418.db2.gz RMPHPSRODFLBSN-LLVKDONJSA-N 0 0 256.327 2.519 20 5 CFBDRN CC(C)c1nnc(CNc2sccc2[N+](=O)[O-])[nH]1 ZINC000590709321 348932682 /nfs/dbraw/zinc/93/26/82/348932682.db2.gz IOXDLBXLJUJWLA-UHFFFAOYSA-N 0 0 267.314 2.510 20 5 CFBDRN CCN(C)C(=O)[C@@H](Nc1ccc([N+](=O)[O-])s1)C(C)C ZINC000590712627 348934379 /nfs/dbraw/zinc/93/43/79/348934379.db2.gz JWKNASFKRJHXHC-NSHDSACASA-N 0 0 285.369 2.571 20 5 CFBDRN CO[C@H]1CCN(c2sccc2[N+](=O)[O-])C[C@@H]1C ZINC000590717614 348934801 /nfs/dbraw/zinc/93/48/01/348934801.db2.gz OKBJWMDZRMXJCO-WPRPVWTQSA-N 0 0 256.327 2.518 20 5 CFBDRN C[C@@H]1C[C@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)[C@@H](C)O1 ZINC000413780392 233127492 /nfs/dbraw/zinc/12/74/92/233127492.db2.gz VSXKGIGVYRCZPG-GMTAPVOTSA-N 0 0 293.323 2.530 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000590774803 348947631 /nfs/dbraw/zinc/94/76/31/348947631.db2.gz IPAGEHXEEYDIJX-UXIGCNINSA-N 0 0 288.347 2.957 20 5 CFBDRN CO[C@@H]1CCN(c2ccsc2[N+](=O)[O-])CC1(C)C ZINC000590718797 348935896 /nfs/dbraw/zinc/93/58/96/348935896.db2.gz RUBLJHCWGYNJJK-SNVBAGLBSA-N 0 0 270.354 2.908 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@]2(CCOC2)C1 ZINC000590730311 348938699 /nfs/dbraw/zinc/93/86/99/348938699.db2.gz OIEAKZBEMBCKFR-LBPRGKRZSA-N 0 0 268.338 2.663 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000590455008 348901431 /nfs/dbraw/zinc/90/14/31/348901431.db2.gz QARFKGXJCOCJER-UWVGGRQHSA-N 0 0 262.309 2.602 20 5 CFBDRN CCOc1ccc(I)cc1[N+](=O)[O-] ZINC000306467310 539691397 /nfs/dbraw/zinc/69/13/97/539691397.db2.gz KMDWPLZDVKTUGV-UHFFFAOYSA-N 0 0 293.060 2.598 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC/C=C\c1cccnc1 ZINC000590565879 348908946 /nfs/dbraw/zinc/90/89/46/348908946.db2.gz SAGRACGNQAIHNM-DAXSKMNVSA-N 0 0 297.314 2.741 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000590570446 348909851 /nfs/dbraw/zinc/90/98/51/348909851.db2.gz XOIISNBXIDKQIA-GXSJLCMTSA-N 0 0 293.323 2.767 20 5 CFBDRN Nc1ccc(NCC2(c3ccccc3)CCC2)nc1[N+](=O)[O-] ZINC000590571482 348910077 /nfs/dbraw/zinc/91/00/77/348910077.db2.gz BCVQUAKOUVRUPD-UHFFFAOYSA-N 0 0 298.346 2.528 20 5 CFBDRN CC(C)[C@@H](Nc1ccc(N)c([N+](=O)[O-])n1)c1ccccc1 ZINC000590572814 348910536 /nfs/dbraw/zinc/91/05/36/348910536.db2.gz QZXKOJUFPGZQBP-CQSZACIVSA-N 0 0 286.335 2.803 20 5 CFBDRN C[C@@H]1OCC[C@H]1Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000590582345 348910858 /nfs/dbraw/zinc/91/08/58/348910858.db2.gz PNEXSKYQHXDANK-IMTBSYHQSA-N 0 0 274.729 2.913 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC[C@H]2C(F)(F)F)c1 ZINC000590600144 348913041 /nfs/dbraw/zinc/91/30/41/348913041.db2.gz LEMLUCRQBVBONV-JTQLQIEISA-N 0 0 260.215 2.731 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCc2nc[nH]c2C1 ZINC000590600203 348913691 /nfs/dbraw/zinc/91/36/91/348913691.db2.gz MHOULMWWJGYCJN-NSHDSACASA-N 0 0 286.335 2.746 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1cccc(C)c1[N+](=O)[O-])CC2 ZINC000590610844 348915481 /nfs/dbraw/zinc/91/54/81/348915481.db2.gz OYMYOGFUBYFZDC-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC(C2CC2)C1 ZINC000590703187 348930817 /nfs/dbraw/zinc/93/08/17/348930817.db2.gz OIUDRICVQGBBNZ-UHFFFAOYSA-N 0 0 269.304 2.989 20 5 CFBDRN CC1(F)CN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000590700356 348931107 /nfs/dbraw/zinc/93/11/07/348931107.db2.gz NYGLMKAFGFPVHK-UHFFFAOYSA-N 0 0 261.256 2.691 20 5 CFBDRN O=C(NC1CC=CC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000591068363 348984130 /nfs/dbraw/zinc/98/41/30/348984130.db2.gz ODTXTGYDIFAOCA-UONOGXRCSA-N 0 0 272.304 2.533 20 5 CFBDRN C[C@@H](N(C)c1ncc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000591139098 348991683 /nfs/dbraw/zinc/99/16/83/348991683.db2.gz GTXXVVBYCUNTTJ-MRVPVSSYSA-N 0 0 255.293 3.000 20 5 CFBDRN C[C@H]1CCN1c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000591140973 348992068 /nfs/dbraw/zinc/99/20/68/348992068.db2.gz FRPRIECSRSYSCM-LURJTMIESA-N 0 0 261.203 2.607 20 5 CFBDRN CC1(C)C[C@H](Nc2ncc([N+](=O)[O-])cc2F)C(C)(C)O1 ZINC000591153845 348993721 /nfs/dbraw/zinc/99/37/21/348993721.db2.gz RUGVUQYUZWROST-JTQLQIEISA-N 0 0 283.303 2.887 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC(c2ccncn2)CC1 ZINC000591186712 348998572 /nfs/dbraw/zinc/99/85/72/348998572.db2.gz SIVXAWOWNWLQQR-UHFFFAOYSA-N 0 0 290.348 2.830 20 5 CFBDRN CCc1nn(C)c(N2CC[C@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000591204171 348999792 /nfs/dbraw/zinc/99/97/92/348999792.db2.gz HVNSLBLSUSVSFU-NSHDSACASA-N 0 0 278.356 2.517 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CCC[C@@H](CO)C1 ZINC000591201029 348999850 /nfs/dbraw/zinc/99/98/50/348999850.db2.gz QKHZGCRZCINEKN-BDAKNGLRSA-N 0 0 256.327 2.619 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000591204170 349000064 /nfs/dbraw/zinc/00/00/64/349000064.db2.gz HVNSLBLSUSVSFU-LLVKDONJSA-N 0 0 278.356 2.517 20 5 CFBDRN Cc1cc(C(=O)NC2(C3(C)CC3)CC2)ccc1[N+](=O)[O-] ZINC000590883450 348961981 /nfs/dbraw/zinc/96/19/81/348961981.db2.gz KAOALYQLTVDNEG-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCc1ccccn1)CCCC2 ZINC000591888426 349054809 /nfs/dbraw/zinc/05/48/09/349054809.db2.gz GHALNFDHCIZRGF-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN C[C@@H]1C[C@H]1N(C(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000591310384 349007019 /nfs/dbraw/zinc/00/70/19/349007019.db2.gz JGUXTQQNQBPUKA-BXUZGUMPSA-N 0 0 299.330 2.989 20 5 CFBDRN CC[C@@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)[C@@H]1CC1(C)C ZINC000591368682 349012560 /nfs/dbraw/zinc/01/25/60/349012560.db2.gz VOTHZDOIVXWRMY-WCQYABFASA-N 0 0 293.367 2.906 20 5 CFBDRN CSCCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000591891450 349055884 /nfs/dbraw/zinc/05/58/84/349055884.db2.gz ZCXOFXMTIDRIPD-UHFFFAOYSA-N 0 0 267.354 2.644 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000591589482 349032939 /nfs/dbraw/zinc/03/29/39/349032939.db2.gz IEDSRUVHQSHMGA-QPUJVOFHSA-N 0 0 297.742 2.647 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000591981651 349068977 /nfs/dbraw/zinc/06/89/77/349068977.db2.gz MHIRXIYQFSTXLS-ONGXEEELSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1sccc1[N+](=O)[O-] ZINC000591980770 349069154 /nfs/dbraw/zinc/06/91/54/349069154.db2.gz HWOJHAAWWGQWBL-IUCAKERBSA-N 0 0 258.343 2.865 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000591981609 349069172 /nfs/dbraw/zinc/06/91/72/349069172.db2.gz LHFGCHKANFQECI-VHSXEESVSA-N 0 0 270.304 2.943 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])s2)CC2(CCC2)O1 ZINC000591994119 349071899 /nfs/dbraw/zinc/07/18/99/349071899.db2.gz AIDWMFGZXPLDCC-VIFPVBQESA-N 0 0 268.338 2.804 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2OC(F)F)CO1 ZINC000591994875 349071908 /nfs/dbraw/zinc/07/19/08/349071908.db2.gz OUTOBNVXJKPUPG-YUMQZZPRSA-N 0 0 288.250 2.786 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1C[C@H](C)OC2(CCCC2)C1 ZINC000591998063 349072689 /nfs/dbraw/zinc/07/26/89/349072689.db2.gz GOXKJGOWIGEXDR-LBPRGKRZSA-N 0 0 291.351 2.836 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000592001224 349073566 /nfs/dbraw/zinc/07/35/66/349073566.db2.gz QMBQSJYFEGPUPO-RQJHMYQMSA-N 0 0 271.317 2.622 20 5 CFBDRN CC/C=C/CCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592349350 349106910 /nfs/dbraw/zinc/10/69/10/349106910.db2.gz VQLPDGYBSUAAHI-ONEGZZNKSA-N 0 0 262.265 2.859 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCS[C@H]2C)n1 ZINC000592003307 349074176 /nfs/dbraw/zinc/07/41/76/349074176.db2.gz MMCHFYIEWHUCOD-VHSXEESVSA-N 0 0 267.354 2.913 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000592252180 349104155 /nfs/dbraw/zinc/10/41/55/349104155.db2.gz VTVKVTHIDXQOQI-JQWIXIFHSA-N 0 0 295.339 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC[C@@H]2CCOC2)c(F)c1 ZINC000592345244 349106213 /nfs/dbraw/zinc/10/62/13/349106213.db2.gz QACYYLARBOADPB-SNVBAGLBSA-N 0 0 269.272 2.929 20 5 CFBDRN CCOC(=O)Cc1ccc(N[C@@H]2CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000591944580 349063570 /nfs/dbraw/zinc/06/35/70/349063570.db2.gz RBESHIZIKLFUAX-CMPLNLGQSA-N 0 0 292.335 2.911 20 5 CFBDRN CCOC(=O)Cc1ccc(NCCCCF)c([N+](=O)[O-])c1 ZINC000591944841 349063622 /nfs/dbraw/zinc/06/36/22/349063622.db2.gz UZINBHWAIZACGF-UHFFFAOYSA-N 0 0 298.314 2.862 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCC[C@@H](F)C1 ZINC000591958249 349064863 /nfs/dbraw/zinc/06/48/63/349064863.db2.gz PLIKPLDHKPYVCA-ZJUUUORDSA-N 0 0 270.308 2.812 20 5 CFBDRN CN(CCC(C)(C)C)c1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000591970243 349066963 /nfs/dbraw/zinc/06/69/63/349066963.db2.gz GWVAKASDVMICDS-UHFFFAOYSA-N 0 0 281.356 2.542 20 5 CFBDRN Cc1ccc(CN(CC2CC2)c2ncc([N+](=O)[O-])cn2)cn1 ZINC000591974532 349067816 /nfs/dbraw/zinc/06/78/16/349067816.db2.gz MGHJRLSGLIOZEZ-UHFFFAOYSA-N 0 0 299.334 2.505 20 5 CFBDRN CC[C@@H](CNc1cc(C)c([N+](=O)[O-])cc1F)C(=O)OC ZINC000591976122 349068321 /nfs/dbraw/zinc/06/83/21/349068321.db2.gz RDZUNFMXHBKTSJ-VIFPVBQESA-N 0 0 284.287 2.653 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000592666804 349147911 /nfs/dbraw/zinc/14/79/11/349147911.db2.gz VAXKXTALYITYKV-NWDGAFQWSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000592666762 349147993 /nfs/dbraw/zinc/14/79/93/349147993.db2.gz LXTGHNWQRGHELK-NWDGAFQWSA-N 0 0 280.299 2.914 20 5 CFBDRN CCSCCOc1cc(OC)ccc1[N+](=O)[O-] ZINC000592361197 349109025 /nfs/dbraw/zinc/10/90/25/349109025.db2.gz RQYVKMVHGZYRCO-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCCC[C@@H]1C1CCC1 ZINC000592464591 349125614 /nfs/dbraw/zinc/12/56/14/349125614.db2.gz NUEYHRWZAQVAGJ-CYBMUJFWSA-N 0 0 291.351 2.728 20 5 CFBDRN C[C@H](C(=O)NC1(CF)CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000592901329 349176218 /nfs/dbraw/zinc/17/62/18/349176218.db2.gz AAOHJWJTTZSEBX-VIFPVBQESA-N 0 0 298.289 2.846 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NC(C1CC1)C1CC1 ZINC000593022038 349189013 /nfs/dbraw/zinc/18/90/13/349189013.db2.gz CWHZAEKOBKHECN-UHFFFAOYSA-N 0 0 292.310 2.581 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593029858 349190335 /nfs/dbraw/zinc/19/03/35/349190335.db2.gz RWWRBSWYUXDWGJ-VIFPVBQESA-N 0 0 268.288 2.533 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC000593032484 349190714 /nfs/dbraw/zinc/19/07/14/349190714.db2.gz ZCWVIDCFFPABST-MBNYWOFBSA-N 0 0 286.331 2.813 20 5 CFBDRN O=C(NCC1CCC(F)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000592721706 349157412 /nfs/dbraw/zinc/15/74/12/349157412.db2.gz LGQQAMGWUNXLLM-UHFFFAOYSA-N 0 0 298.289 2.992 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000592733569 349158709 /nfs/dbraw/zinc/15/87/09/349158709.db2.gz WLVCZSPRKRMKKI-RAIGVLPGSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCS[C@@H]2C)cc1[N+](=O)[O-] ZINC000592733237 349158726 /nfs/dbraw/zinc/15/87/26/349158726.db2.gz FJJIVGTVHGWBRG-MWLCHTKSSA-N 0 0 280.349 2.527 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCCC[C@H]1C1CCC1 ZINC000592734345 349159208 /nfs/dbraw/zinc/15/92/08/349159208.db2.gz FWKFFNQLOPUBLQ-AWEZNQCLSA-N 0 0 289.335 2.785 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCS[C@@H]2C)c1 ZINC000592735858 349159888 /nfs/dbraw/zinc/15/98/88/349159888.db2.gz NXUIWRGRUZKDOE-KOLCDFICSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCS[C@@H]1C ZINC000592737360 349160339 /nfs/dbraw/zinc/16/03/39/349160339.db2.gz WIOAEJPZQJOYRR-NXEZZACHSA-N 0 0 280.349 2.527 20 5 CFBDRN O=C(Nc1n[nH]cc1C1CC1)c1ccc([N+](=O)[O-])s1 ZINC000592828185 349169540 /nfs/dbraw/zinc/16/95/40/349169540.db2.gz LQLGUQNEIMKKRP-UHFFFAOYSA-N 0 0 278.293 2.509 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCCC1=CCCC1 ZINC000593104338 349209862 /nfs/dbraw/zinc/20/98/62/349209862.db2.gz YLNHTNRENHYXRU-UHFFFAOYSA-N 0 0 292.310 2.893 20 5 CFBDRN CC(C)O[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000593240560 349234049 /nfs/dbraw/zinc/23/40/49/349234049.db2.gz IBUUKJCWRUZEMO-CQSZACIVSA-N 0 0 292.335 2.624 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1CC(C)(C)C ZINC000593254598 349236370 /nfs/dbraw/zinc/23/63/70/349236370.db2.gz ODOJXRTXIHDCEW-GXFFZTMASA-N 0 0 291.351 2.853 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593047685 349193318 /nfs/dbraw/zinc/19/33/18/349193318.db2.gz CCBWQMGNSVMHLE-SKDRFNHKSA-N 0 0 280.299 2.581 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCCC(F)(F)C1 ZINC000593053293 349195041 /nfs/dbraw/zinc/19/50/41/349195041.db2.gz SAHAOXJYYQAHRX-UHFFFAOYSA-N 0 0 286.278 2.835 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCC1(CO)CCC1 ZINC000593511701 349288093 /nfs/dbraw/zinc/28/80/93/349288093.db2.gz PKQQBPRYPHWQBJ-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@@]4(CC4(F)F)C3)nc2c1 ZINC000593522301 349290307 /nfs/dbraw/zinc/29/03/07/349290307.db2.gz RWIASYUFTRLXME-GFCCVEGCSA-N 0 0 294.261 2.707 20 5 CFBDRN C[C@H](Cc1ccccc1[N+](=O)[O-])N[C@@H](C)c1csnn1 ZINC000414308017 233222292 /nfs/dbraw/zinc/22/22/92/233222292.db2.gz SGNCTLLBYCTWNL-ZJUUUORDSA-N 0 0 292.364 2.728 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)[C@@H](C)CO1 ZINC000593285822 349241707 /nfs/dbraw/zinc/24/17/07/349241707.db2.gz UYLQPLJTZOUSNS-WCBMZHEXSA-N 0 0 298.364 2.604 20 5 CFBDRN CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000593295757 349242471 /nfs/dbraw/zinc/24/24/71/349242471.db2.gz PEMWXMAXGDGPIW-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@@H]1CCCOC1 ZINC000593294202 349242485 /nfs/dbraw/zinc/24/24/85/349242485.db2.gz IMPIURCELFFBJT-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)COCC2CC2)cc1[N+](=O)[O-] ZINC000593333885 349249913 /nfs/dbraw/zinc/24/99/13/349249913.db2.gz XVDDNZYBWOVGEO-QMMMGPOBSA-N 0 0 298.364 2.510 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C(C)(C)C ZINC000593340737 349250791 /nfs/dbraw/zinc/25/07/91/349250791.db2.gz HRIPHJKNUOAMMK-SECBINFHSA-N 0 0 282.315 2.827 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593421683 349266536 /nfs/dbraw/zinc/26/65/36/349266536.db2.gz XGKOPFOZODBMNI-CYBMUJFWSA-N 0 0 294.326 2.923 20 5 CFBDRN COC[C@@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593457006 349274564 /nfs/dbraw/zinc/27/45/64/349274564.db2.gz DKSYZWXEGSDSSH-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@@H]1CCCOC1 ZINC000593457749 349274824 /nfs/dbraw/zinc/27/48/24/349274824.db2.gz NWGXICSUCAFMTO-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463749 349276041 /nfs/dbraw/zinc/27/60/41/349276041.db2.gz DXYBYMLDZPTUOZ-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN CC1(CNc2ccnc3cc([N+](=O)[O-])ccc32)COC1 ZINC000593463574 349276095 /nfs/dbraw/zinc/27/60/95/349276095.db2.gz YZHZTOSXQSIFSS-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN COC(C)(C)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593465102 349276119 /nfs/dbraw/zinc/27/61/19/349276119.db2.gz MIPRMHZPKXCXNK-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)(CCO)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593465095 349276133 /nfs/dbraw/zinc/27/61/33/349276133.db2.gz MEHBJPCUBDHVPI-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@H](CCCO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593466362 349276793 /nfs/dbraw/zinc/27/67/93/349276793.db2.gz ZQCHEYGYLNCOGF-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCC[C@@H]1CCOC1 ZINC000593467346 349276822 /nfs/dbraw/zinc/27/68/22/349276822.db2.gz LJDXXOHKVCBYGI-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593474039 349277482 /nfs/dbraw/zinc/27/74/82/349277482.db2.gz YUOCLUPRLCUIFV-GWCFXTLKSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H](CCO)CCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593475519 349278217 /nfs/dbraw/zinc/27/82/17/349278217.db2.gz JHPPUZIDYWMDRF-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1cc(N2CCC(C3(O)CC3)CC2)ccc1[N+](=O)[O-] ZINC000593481228 349279565 /nfs/dbraw/zinc/27/95/65/349279565.db2.gz FAHUCALPMCWZPU-UHFFFAOYSA-N 0 0 276.336 2.645 20 5 CFBDRN CCC1(CNc2ccnc3cc([N+](=O)[O-])ccc32)COC1 ZINC000593481176 349279794 /nfs/dbraw/zinc/27/97/94/349279794.db2.gz HZMNCKJNZSSPBQ-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCCC(F)(F)C3)nc2c1 ZINC000593487038 349281790 /nfs/dbraw/zinc/28/17/90/349281790.db2.gz LTKFJEZIWNNRCB-UHFFFAOYSA-N 0 0 282.250 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@@H](OC(C)C)C2)c1 ZINC000593487558 349282668 /nfs/dbraw/zinc/28/26/68/349282668.db2.gz RQDWTXKERBOJBH-CYBMUJFWSA-N 0 0 294.351 2.997 20 5 CFBDRN CCN(c1ncc([N+](=O)[O-])cc1Cl)[C@H]1CCCOC1 ZINC000593496359 349284441 /nfs/dbraw/zinc/28/44/41/349284441.db2.gz OTIPLIHARDKXHI-VIFPVBQESA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@@H]1CN(c2ccccc2[N+](=O)[O-])[C@@H](CC)CO1 ZINC000593495481 349284449 /nfs/dbraw/zinc/28/44/49/349284449.db2.gz ZPEOQLIYFIHMAP-NWDGAFQWSA-N 0 0 264.325 2.989 20 5 CFBDRN CCN(c1nc(C)cc(C)c1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000593496986 349284950 /nfs/dbraw/zinc/28/49/50/349284950.db2.gz ZDPWZLPSIIMYGX-LBPRGKRZSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1cnc(N2CCC3(CC3(F)F)CC2)c([N+](=O)[O-])c1 ZINC000593498535 349285172 /nfs/dbraw/zinc/28/51/72/349285172.db2.gz LNYSNXCASXVBBE-UHFFFAOYSA-N 0 0 283.278 2.924 20 5 CFBDRN Cc1nc(N2CCC3(CC3(F)F)CC2)ccc1[N+](=O)[O-] ZINC000593498538 349285334 /nfs/dbraw/zinc/28/53/34/349285334.db2.gz LQYDPEYLSRWVGI-UHFFFAOYSA-N 0 0 283.278 2.924 20 5 CFBDRN Cc1cc(SCc2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000593503985 349285722 /nfs/dbraw/zinc/28/57/22/349285722.db2.gz AIFILZYAUXUESM-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN C[C@H](COCC1CC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000593506300 349286629 /nfs/dbraw/zinc/28/66/29/349286629.db2.gz RLYPCRRXGRAGER-SNVBAGLBSA-N 0 0 250.298 2.822 20 5 CFBDRN Cc1cc(N2CCC([C@@H](C)CO)CC2)ccc1[N+](=O)[O-] ZINC000593505797 349286658 /nfs/dbraw/zinc/28/66/58/349286658.db2.gz OPLZJWBRPCHMMV-LBPRGKRZSA-N 0 0 278.352 2.748 20 5 CFBDRN Cc1cc(C(=O)NC2CC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000593884883 349332786 /nfs/dbraw/zinc/33/27/86/349332786.db2.gz LFYUXYATXUFVSE-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(CC3CCCC3)n2)nc1 ZINC000274035502 192413048 /nfs/dbraw/zinc/41/30/48/192413048.db2.gz UMBTVUCLDYPDJH-UHFFFAOYSA-N 0 0 288.307 2.696 20 5 CFBDRN Cc1ccc(CN2CCc3ccc([N+](=O)[O-])cc32)cn1 ZINC000593977301 349344329 /nfs/dbraw/zinc/34/43/29/349344329.db2.gz OQEUJFSXHYMNSA-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN C[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])[C@H]1C ZINC000593733935 349316313 /nfs/dbraw/zinc/31/63/13/349316313.db2.gz FQQXJGSLKFAQLB-MNOVXSKESA-N 0 0 292.335 2.913 20 5 CFBDRN C[C@H]1CCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])[C@H]1C ZINC000593733937 349316373 /nfs/dbraw/zinc/31/63/73/349316373.db2.gz FQQXJGSLKFAQLB-QWRGUYRKSA-N 0 0 292.335 2.913 20 5 CFBDRN CC[C@H]1C[C@@H](C)C[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593738710 349317258 /nfs/dbraw/zinc/31/72/58/349317258.db2.gz KBBAWMJBDGTUCH-MFKMUULPSA-N 0 0 292.335 2.913 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC12CCCC2 ZINC000593756675 349320942 /nfs/dbraw/zinc/32/09/42/349320942.db2.gz CXHKQWOTTCMVJL-UHFFFAOYSA-N 0 0 278.283 2.893 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC12CCCC2 ZINC000593760767 349321961 /nfs/dbraw/zinc/32/19/61/349321961.db2.gz ZWBGBUAQTACYNK-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000593785392 349326586 /nfs/dbraw/zinc/32/65/86/349326586.db2.gz FOMOWLKQXALVKW-WCQYABFASA-N 0 0 285.303 2.517 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000594178885 349390436 /nfs/dbraw/zinc/39/04/36/349390436.db2.gz DNHYJUXJVCKYOE-ZIAGYGMSSA-N 0 0 292.335 2.672 20 5 CFBDRN CC1(NC(=O)Nc2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000594053556 349364948 /nfs/dbraw/zinc/36/49/48/349364948.db2.gz CPEKOCGLNXWDNX-UHFFFAOYSA-N 0 0 261.281 2.825 20 5 CFBDRN CC1(NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC=CC1 ZINC000594071683 349368414 /nfs/dbraw/zinc/36/84/14/349368414.db2.gz AYCNJFTVLULWQD-UHFFFAOYSA-N 0 0 278.283 2.501 20 5 CFBDRN C[C@H](C(=O)NC1(C)CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000594072272 349369083 /nfs/dbraw/zinc/36/90/83/349369083.db2.gz HFDNPMUOAVLREB-NSHDSACASA-N 0 0 274.320 2.923 20 5 CFBDRN Cc1cnc(CCNC(=O)c2ccc([N+](=O)[O-])cc2)c(C)c1 ZINC000594105713 349379473 /nfs/dbraw/zinc/37/94/73/349379473.db2.gz UQPCDXRQKAPUGY-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCC(C)(C)C2CC2)c1=O ZINC000594461528 349426917 /nfs/dbraw/zinc/42/69/17/349426917.db2.gz ZSHVNOWOIFUALX-UHFFFAOYSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCC(C)(C)C1CC1 ZINC000594489057 349428123 /nfs/dbraw/zinc/42/81/23/349428123.db2.gz QKDFDYLSCCHRJL-UHFFFAOYSA-N 0 0 264.325 2.891 20 5 CFBDRN Nc1c(NC(=O)NC2CC=CC2)cc(Cl)cc1[N+](=O)[O-] ZINC000597090812 350029095 /nfs/dbraw/zinc/02/90/95/350029095.db2.gz RXWGDNGSPSUEHZ-UHFFFAOYSA-N 0 0 296.714 2.671 20 5 CFBDRN CC1(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)CC=CC1 ZINC000594382773 349412881 /nfs/dbraw/zinc/41/28/81/349412881.db2.gz MPPAYPUSPDLVQK-UHFFFAOYSA-N 0 0 285.303 2.915 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])n[nH]1)N1CCC[C@H]1CC1CCCC1 ZINC000594419115 349415971 /nfs/dbraw/zinc/41/59/71/349415971.db2.gz UKDMTPAAUZLIQJ-NSHDSACASA-N 0 0 292.339 2.503 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000271223969 191012469 /nfs/dbraw/zinc/01/24/69/191012469.db2.gz DLEISPDXQPDWKF-RKDXNWHRSA-N 0 0 284.287 2.722 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000597364025 350089730 /nfs/dbraw/zinc/08/97/30/350089730.db2.gz HYJNEFPUOPXDFG-LBPRGKRZSA-N 0 0 299.330 2.940 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000104616668 186010021 /nfs/dbraw/zinc/01/00/21/186010021.db2.gz DRDOCYATFGXUCG-VXNVDRBHSA-N 0 0 268.338 2.883 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000104621297 186010862 /nfs/dbraw/zinc/01/08/62/186010862.db2.gz XSMLPMKDPGDDQS-MWLCHTKSSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(=O)N1CCC(CNc2c(C)cccc2[N+](=O)[O-])CC1 ZINC000104660525 186011630 /nfs/dbraw/zinc/01/16/30/186011630.db2.gz NBNWLKOCBPKMCD-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN COc1cccc(C(=O)N2C[C@@H]3CCCC[C@@H]32)c1[N+](=O)[O-] ZINC000597830462 350141577 /nfs/dbraw/zinc/14/15/77/350141577.db2.gz VYWVQYXSGPVFRW-JQWIXIFHSA-N 0 0 290.319 2.618 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000597854372 350143036 /nfs/dbraw/zinc/14/30/36/350143036.db2.gz GTTJCBYLPMSCRA-NSHDSACASA-N 0 0 264.325 2.784 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)c1ccccn1 ZINC000597863111 350145247 /nfs/dbraw/zinc/14/52/47/350145247.db2.gz GHKBXGGMOKMRPQ-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)c2ccccn2)cc([N+](=O)[O-])c1 ZINC000597863187 350145374 /nfs/dbraw/zinc/14/53/74/350145374.db2.gz HOGPWWPDVXLTDB-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)c2ccccn2)cc([N+](=O)[O-])c1 ZINC000597863186 350145578 /nfs/dbraw/zinc/14/55/78/350145578.db2.gz HOGPWWPDVXLTDB-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000271266676 191037606 /nfs/dbraw/zinc/03/76/06/191037606.db2.gz MAKWKRKLVVOLOI-DTWKUNHWSA-N 0 0 298.726 2.546 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000597952926 350162285 /nfs/dbraw/zinc/16/22/85/350162285.db2.gz YASCBJBKGWKHSR-VIFPVBQESA-N 0 0 265.313 2.544 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])n[nH]1)N1CCCC2(CCCC2)CC1 ZINC000597957172 350163013 /nfs/dbraw/zinc/16/30/13/350163013.db2.gz VPQDARIVZPGYOM-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN Cc1ccc2c(c1)CN(C(=O)c1ccc([N+](=O)[O-])o1)CC2 ZINC000597965033 350163545 /nfs/dbraw/zinc/16/35/45/350163545.db2.gz JADARVSWMLZQJK-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN Cc1ccncc1CCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000597978477 350170831 /nfs/dbraw/zinc/17/08/31/350170831.db2.gz SAOWIDDYDILUGR-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CN1C(=O)c2ccccc2N[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000015249112 282667408 /nfs/dbraw/zinc/66/74/08/282667408.db2.gz RHCSFYIGTUYEDI-CQSZACIVSA-N 0 0 283.287 2.791 20 5 CFBDRN Cc1cccnc1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000104772795 186022051 /nfs/dbraw/zinc/02/20/51/186022051.db2.gz ZKPZDMRXJTUODP-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)c2cscn2)cc1[N+](=O)[O-] ZINC000598015298 350177482 /nfs/dbraw/zinc/17/74/82/350177482.db2.gz TYIXAUQRGRBRLC-ZCFIWIBFSA-N 0 0 297.361 2.912 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000271299542 191057916 /nfs/dbraw/zinc/05/79/16/191057916.db2.gz BUHKSAFHBJFJDT-AAEUAGOBSA-N 0 0 276.336 2.927 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000597976277 350169186 /nfs/dbraw/zinc/16/91/86/350169186.db2.gz SGQBXBQPEAZNDQ-SNVBAGLBSA-N 0 0 280.324 2.769 20 5 CFBDRN CC[C@H](C)CCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000597976623 350169384 /nfs/dbraw/zinc/16/93/84/350169384.db2.gz VDWYKCLADVBQAS-NSHDSACASA-N 0 0 264.325 2.690 20 5 CFBDRN CC[C@@H](C)CCNC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000597976814 350169626 /nfs/dbraw/zinc/16/96/26/350169626.db2.gz CYPOXVGDTARTMG-LLVKDONJSA-N 0 0 294.351 2.834 20 5 CFBDRN COCCOCCCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000290925812 197861351 /nfs/dbraw/zinc/86/13/51/197861351.db2.gz IHMYXXBPYQCZON-UHFFFAOYSA-N 0 0 286.303 2.507 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000598060184 350183627 /nfs/dbraw/zinc/18/36/27/350183627.db2.gz OXPOXISIHDSVIS-CZMCAQCFSA-N 0 0 298.726 2.546 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(F)c(F)c2)c1[N+](=O)[O-] ZINC000091560642 180191167 /nfs/dbraw/zinc/19/11/67/180191167.db2.gz QOORWUWDELIIPH-UHFFFAOYSA-N 0 0 296.277 2.781 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(F)cc2F)c1[N+](=O)[O-] ZINC000091560264 180191582 /nfs/dbraw/zinc/19/15/82/180191582.db2.gz DGMULTQVGQSYMW-UHFFFAOYSA-N 0 0 296.277 2.781 20 5 CFBDRN CCc1nn(C)c(NCc2cccs2)c1[N+](=O)[O-] ZINC000091561851 180191864 /nfs/dbraw/zinc/19/18/64/180191864.db2.gz BUUDLIFTLWWODY-UHFFFAOYSA-N 0 0 266.326 2.564 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2Cc3ccccc32)c1[N+](=O)[O-] ZINC000091561655 180191901 /nfs/dbraw/zinc/19/19/01/180191901.db2.gz LKQKKIQPKKUAIH-NSHDSACASA-N 0 0 286.335 2.643 20 5 CFBDRN C[C@@H](C(=O)NC[C@]1(C)CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000598214209 350215869 /nfs/dbraw/zinc/21/58/69/350215869.db2.gz HEKFVARRTVHTFO-RNCFNFMXSA-N 0 0 298.289 2.860 20 5 CFBDRN CCc1ccc(C(=O)NC[C@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000598213939 350216090 /nfs/dbraw/zinc/21/60/90/350216090.db2.gz FKKDAOCXNNGANM-ZDUSSCGKSA-N 0 0 298.289 2.932 20 5 CFBDRN CCC1(CC)CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000598215823 350216666 /nfs/dbraw/zinc/21/66/66/350216666.db2.gz NPOKQOJLPVWYQV-UHFFFAOYSA-N 0 0 293.367 2.976 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCC[C@H](C)O2)cc([N+](=O)[O-])c1 ZINC000598215182 350216815 /nfs/dbraw/zinc/21/68/15/350216815.db2.gz WIAAJFMRTPCPLL-FZMZJTMJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1c(CC(=O)NC[C@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000598215513 350217077 /nfs/dbraw/zinc/21/70/77/350217077.db2.gz LSRHYXODVFYDPD-ZDUSSCGKSA-N 0 0 298.289 2.607 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000414750571 233342353 /nfs/dbraw/zinc/34/23/53/233342353.db2.gz YDACPTBJEPMVHK-QMMMGPOBSA-N 0 0 284.262 2.775 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](CC)C1CC1 ZINC000598233197 350221489 /nfs/dbraw/zinc/22/14/89/350221489.db2.gz LXEXJDUYQMIXIN-LLVKDONJSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc(OC)c1[N+](=O)[O-])C1CC1 ZINC000598232523 350221626 /nfs/dbraw/zinc/22/16/26/350221626.db2.gz GVAQUJGNWAUCNG-LLVKDONJSA-N 0 0 278.308 2.522 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H](CC)C2CC2)cc1[N+](=O)[O-] ZINC000598234973 350222429 /nfs/dbraw/zinc/22/24/29/350222429.db2.gz YSPUDDJZURLHJH-GFCCVEGCSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1cc(C(=O)NCCC(C)(C)F)cc([N+](=O)[O-])c1C ZINC000598197884 350211815 /nfs/dbraw/zinc/21/18/15/350211815.db2.gz CVKRWHBHQMPPED-UHFFFAOYSA-N 0 0 298.314 2.780 20 5 CFBDRN CCn1ncc(C)c1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000598200044 350212739 /nfs/dbraw/zinc/21/27/39/350212739.db2.gz SBFXQCLDHIBCGM-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccc(F)cc1[N+](=O)[O-] ZINC000598209933 350214358 /nfs/dbraw/zinc/21/43/58/350214358.db2.gz WBWMNOPOPIIIAQ-NRUUGDAUSA-N 0 0 292.310 2.900 20 5 CFBDRN CC[C@](C)(NC(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598251921 350225259 /nfs/dbraw/zinc/22/52/59/350225259.db2.gz XSIRMIURUZHBDE-HNNXBMFYSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@](C)(NC(=O)CNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598251722 350225388 /nfs/dbraw/zinc/22/53/88/350225388.db2.gz VSFQCUGEVIETCU-HNNXBMFYSA-N 0 0 291.351 2.702 20 5 CFBDRN CC(C)[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271341397 191083671 /nfs/dbraw/zinc/08/36/71/191083671.db2.gz HNGFVWVYEHYWGK-OCCSQVGLSA-N 0 0 292.335 2.528 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cc1F ZINC000598332229 350237677 /nfs/dbraw/zinc/23/76/77/350237677.db2.gz SNMNJQDNBBHAME-HBNTYKKESA-N 0 0 292.310 2.706 20 5 CFBDRN CCSC1(CNC(=O)c2cccc([N+](=O)[O-])c2C)CC1 ZINC000598337219 350239561 /nfs/dbraw/zinc/23/95/61/350239561.db2.gz HGYOYWVHPZJEMW-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2C(C)(C)C2(F)F)ccc1[N+](=O)[O-] ZINC000598341197 350240898 /nfs/dbraw/zinc/24/08/98/350240898.db2.gz PJVVFCXNKCPCCC-NSHDSACASA-N 0 0 298.289 2.924 20 5 CFBDRN CC1(C)[C@@H](CNC(=O)c2ccc([N+](=O)[O-])s2)C1(F)F ZINC000598341318 350241046 /nfs/dbraw/zinc/24/10/46/350241046.db2.gz SOWILCGIRFIZTB-SSDOTTSWSA-N 0 0 290.291 2.677 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000271339992 191083549 /nfs/dbraw/zinc/08/35/49/191083549.db2.gz FULFSOYXPFLGNC-GXSJLCMTSA-N 0 0 293.323 2.592 20 5 CFBDRN CC[C@@](C)(NC(=O)c1cc([N+](=O)[O-])cnc1C)C1CC1 ZINC000598250665 350224366 /nfs/dbraw/zinc/22/43/66/350224366.db2.gz NKBYYCOMBJAJDI-CQSZACIVSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@](C)(NC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598250049 350224489 /nfs/dbraw/zinc/22/44/89/350224489.db2.gz KHDJHGOBZSMDAA-CQSZACIVSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1nnc(COc2ccc(C)cc2[N+](=O)[O-])s1 ZINC000271342265 191084478 /nfs/dbraw/zinc/08/44/78/191084478.db2.gz DJKWGBIWFNLZOD-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCC(F)CC1 ZINC000598367865 350251503 /nfs/dbraw/zinc/25/15/03/350251503.db2.gz WKPRKRCBXXZVDO-XNWIYYODSA-N 0 0 283.303 2.570 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCC1=CCCC1 ZINC000598377019 350252352 /nfs/dbraw/zinc/25/23/52/350252352.db2.gz QUVKJNLUNDQUEV-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC(NC(=O)c1ccc([N+](=O)[O-])cc1)(C1CC1)C1CC1 ZINC000598381270 350252871 /nfs/dbraw/zinc/25/28/71/350252871.db2.gz MSWBAWBEVBAAQJ-UHFFFAOYSA-N 0 0 274.320 2.903 20 5 CFBDRN COc1cc(C(=O)N[C@@]2(C)CC2(C)C)cc([N+](=O)[O-])c1C ZINC000598384856 350254260 /nfs/dbraw/zinc/25/42/60/350254260.db2.gz GTTYGNMFPGAXFV-HNNXBMFYSA-N 0 0 292.335 2.830 20 5 CFBDRN Cc1sc(C(=O)N[C@@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598385825 350254380 /nfs/dbraw/zinc/25/43/80/350254380.db2.gz KLCDGQYSYDZJKU-LBPRGKRZSA-N 0 0 268.338 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@]1(C)CC1(C)C ZINC000598388496 350255156 /nfs/dbraw/zinc/25/51/56/350255156.db2.gz YVVFQNLOQKPZTH-AWEZNQCLSA-N 0 0 262.309 2.822 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000598388470 350255282 /nfs/dbraw/zinc/25/52/82/350255282.db2.gz YCGBIHNQKNTNRO-HNNXBMFYSA-N 0 0 287.319 2.995 20 5 CFBDRN COc1cc(C(=O)N[C@@]2(C)CC2(C)C)ccc1[N+](=O)[O-] ZINC000598387448 350255323 /nfs/dbraw/zinc/25/53/23/350255323.db2.gz SXCCIBXURYGURW-AWEZNQCLSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)C[C@@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000598550650 350281482 /nfs/dbraw/zinc/28/14/82/350281482.db2.gz MJZDDDCDELHPPM-VIFPVBQESA-N 0 0 294.355 2.559 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000598554917 350282699 /nfs/dbraw/zinc/28/26/99/350282699.db2.gz BOTOUYRCURZFKT-NSHDSACASA-N 0 0 291.351 2.511 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000598555605 350282949 /nfs/dbraw/zinc/28/29/49/350282949.db2.gz CLNCAWMYNUEXGV-NSHDSACASA-N 0 0 262.309 2.713 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000598565644 350283647 /nfs/dbraw/zinc/28/36/47/350283647.db2.gz PHUZYPKGJAOCHJ-VIFPVBQESA-N 0 0 268.338 2.774 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc([N+](=O)[O-])c1 ZINC000598450793 350270944 /nfs/dbraw/zinc/27/09/44/350270944.db2.gz YCVIYFVPDHVXAY-VGPLMAKISA-N 0 0 274.320 2.998 20 5 CFBDRN CCCCC[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000598458895 350271206 /nfs/dbraw/zinc/27/12/06/350271206.db2.gz AHAKUCIANSPVKD-NSHDSACASA-N 0 0 294.355 2.893 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])o1)CC(F)(F)F ZINC000598583098 350285453 /nfs/dbraw/zinc/28/54/53/350285453.db2.gz QQBXZRYTXWOTBU-UHFFFAOYSA-N 0 0 294.229 2.896 20 5 CFBDRN Cc1sc(C(=O)N(C)CC2=CCSC2)cc1[N+](=O)[O-] ZINC000598515612 350279219 /nfs/dbraw/zinc/27/92/19/350279219.db2.gz XRWPSOAQXGQQHX-UHFFFAOYSA-N 0 0 298.389 2.710 20 5 CFBDRN COC(=O)c1ccnc(N[C@H]2C[C@@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000598630044 350297637 /nfs/dbraw/zinc/29/76/37/350297637.db2.gz SESKVZNKQLESNW-HZMBPMFUSA-N 0 0 293.323 2.623 20 5 CFBDRN C[C@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])C[C@H]1CO ZINC000598639358 350299132 /nfs/dbraw/zinc/29/91/32/350299132.db2.gz SGXBTVUVHHCANA-UWVGGRQHSA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1cc(NCc2nccc(C)n2)c([N+](=O)[O-])s1 ZINC000598641919 350299656 /nfs/dbraw/zinc/29/96/56/350299656.db2.gz ZCTJCDOKRYJPFJ-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1ccnc([C@@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)n1 ZINC000598641263 350299770 /nfs/dbraw/zinc/29/97/70/350299770.db2.gz QHTYUVBDQWDRLF-SNVBAGLBSA-N 0 0 273.296 2.570 20 5 CFBDRN Cc1ccnc([C@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)n1 ZINC000598641262 350299883 /nfs/dbraw/zinc/29/98/83/350299883.db2.gz QHTYUVBDQWDRLF-JTQLQIEISA-N 0 0 273.296 2.570 20 5 CFBDRN Cc1ccnc([C@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])n1 ZINC000598640760 350300053 /nfs/dbraw/zinc/30/00/53/350300053.db2.gz MQFZQOONRWBIIV-QMMMGPOBSA-N 0 0 293.714 2.915 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1CO ZINC000598645258 350300307 /nfs/dbraw/zinc/30/03/07/350300307.db2.gz BWONHQXHDYFWDO-ZYHUDNBSSA-N 0 0 298.770 2.699 20 5 CFBDRN CC1(C)CC[C@H](CO)[N@H+](Cc2cccc([N+](=O)[O-])c2)C1 ZINC000598646990 350301468 /nfs/dbraw/zinc/30/14/68/350301468.db2.gz JBMZXBVLGMJSRX-CQSZACIVSA-N 0 0 278.352 2.578 20 5 CFBDRN O=C(NCC[C@@H]1CC1(F)F)Nc1cccc([N+](=O)[O-])c1 ZINC000598647856 350301622 /nfs/dbraw/zinc/30/16/22/350301622.db2.gz MNWPZRAMKJOSDD-MRVPVSSYSA-N 0 0 285.250 2.762 20 5 CFBDRN CCc1nc(CSCc2ccccc2[N+](=O)[O-])no1 ZINC000105634193 186066693 /nfs/dbraw/zinc/06/66/93/186066693.db2.gz CBJKNILTJJYGPP-UHFFFAOYSA-N 0 0 279.321 2.974 20 5 CFBDRN Cc1nccnc1CN(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598679644 350308985 /nfs/dbraw/zinc/30/89/85/350308985.db2.gz XUWAEHDMQAGQMI-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN Cc1nccnc1CN(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598679148 350309265 /nfs/dbraw/zinc/30/92/65/350309265.db2.gz QFXUPRYGMYSXBG-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN Cc1nnc(COc2cccc([N+](=O)[O-])c2C)s1 ZINC000271356476 191093567 /nfs/dbraw/zinc/09/35/67/191093567.db2.gz HPUJTWDNIXUNSD-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC[C@@H](OC)C1 ZINC000598842123 350338249 /nfs/dbraw/zinc/33/82/49/350338249.db2.gz HSNGTKAYDYLBHA-DGCLKSJQSA-N 0 0 292.335 2.911 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](OC)C1CCC1 ZINC000598845345 350338784 /nfs/dbraw/zinc/33/87/84/350338784.db2.gz SVZCMGXUFYECCZ-CQSZACIVSA-N 0 0 292.335 2.911 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@]1(C)CC1(C)C ZINC000598878284 350343773 /nfs/dbraw/zinc/34/37/73/350343773.db2.gz ATPDUEWCKSGSSN-AWEZNQCLSA-N 0 0 278.308 2.522 20 5 CFBDRN CSCC(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598880554 350343912 /nfs/dbraw/zinc/34/39/12/350343912.db2.gz IJMINVCHNOFUAM-UHFFFAOYSA-N 0 0 280.349 2.627 20 5 CFBDRN CC(C)OCC(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598880808 350344053 /nfs/dbraw/zinc/34/40/53/350344053.db2.gz CHNQBBNIFQAIRR-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN CC[C@H](OC)C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598883571 350344332 /nfs/dbraw/zinc/34/43/32/350344332.db2.gz MLLWEQZMUVFEML-AWEZNQCLSA-N 0 0 292.335 2.689 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599067081 350368874 /nfs/dbraw/zinc/36/88/74/350368874.db2.gz XTOQSSHQAHURLZ-UWVGGRQHSA-N 0 0 275.308 2.714 20 5 CFBDRN COC1(CCn2cc([N+](=O)[O-])c(C3CC3)n2)CCC1 ZINC000338562383 522597942 /nfs/dbraw/zinc/59/79/42/522597942.db2.gz LGKBDUYLIJAIQR-UHFFFAOYSA-N 0 0 265.313 2.628 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCC[C@@H](O)C2)ccc2cnccc21 ZINC000599026408 350360947 /nfs/dbraw/zinc/36/09/47/350360947.db2.gz WVTPPEJRTGRADZ-NWDGAFQWSA-N 0 0 287.319 2.858 20 5 CFBDRN CC(C)(CCO)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599053002 350366759 /nfs/dbraw/zinc/36/67/59/350366759.db2.gz CKGDSYXLHCOZAV-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC(CC2CC2)C1 ZINC000598780393 350328480 /nfs/dbraw/zinc/32/84/80/350328480.db2.gz DMCHDFZZKUBEFC-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@@H]2CCOC2)ccc2cnccc21 ZINC000599065816 350368967 /nfs/dbraw/zinc/36/89/67/350368967.db2.gz OFDZTYGHPSNKNZ-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CCCCO1 ZINC000599068738 350370017 /nfs/dbraw/zinc/37/00/17/350370017.db2.gz NVROMCVDHMVIPU-UHFFFAOYSA-N 0 0 259.265 2.675 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599071984 350370866 /nfs/dbraw/zinc/37/08/66/350370866.db2.gz VUHSXDFTUZXDFZ-STQMWFEESA-N 0 0 287.319 2.714 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1[NH2+][C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000599075351 350371719 /nfs/dbraw/zinc/37/17/19/350371719.db2.gz VORVFJZMPUCOEV-LRXDAGSUSA-N 0 0 290.363 2.984 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2cn[nH]c2)c(Cl)c1 ZINC000599106955 350376697 /nfs/dbraw/zinc/37/66/97/350376697.db2.gz KRXGJLDKILYAKI-NSHDSACASA-N 0 0 293.714 2.708 20 5 CFBDRN O=[N+]([O-])c1c(NCCOCCF)ccc2cnccc21 ZINC000599112241 350377309 /nfs/dbraw/zinc/37/73/09/350377309.db2.gz WXKJTEXIGABQBT-UHFFFAOYSA-N 0 0 279.271 2.541 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H]2CCCOC2)c(Cl)c1 ZINC000599116350 350377994 /nfs/dbraw/zinc/37/79/94/350377994.db2.gz HHHHLNKMIMZWKX-SECBINFHSA-N 0 0 285.731 2.872 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cn2)C[C@@H](C)C1(F)F ZINC000599116621 350378109 /nfs/dbraw/zinc/37/81/09/350378109.db2.gz BIDJKKWNFISSPF-RKDXNWHRSA-N 0 0 271.267 2.717 20 5 CFBDRN C[C@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000599118495 350378316 /nfs/dbraw/zinc/37/83/16/350378316.db2.gz HVNHTYHAXJJEHE-LLVKDONJSA-N 0 0 288.303 2.998 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC[C@@H]1CCCOC1 ZINC000599117488 350378435 /nfs/dbraw/zinc/37/84/35/350378435.db2.gz VOAJTPOCYFFOLL-JTQLQIEISA-N 0 0 268.288 2.963 20 5 CFBDRN COCCC1CCN(c2nccc(C)c2[N+](=O)[O-])CC1 ZINC000599120910 350379771 /nfs/dbraw/zinc/37/97/71/350379771.db2.gz JWCFLNIPOFHYCM-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN COCCC1CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000599120280 350379775 /nfs/dbraw/zinc/37/97/75/350379775.db2.gz FXGKRAXRGXTMGV-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN COCCC1CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000599120298 350379998 /nfs/dbraw/zinc/37/99/98/350379998.db2.gz HMBHCQMACJCYAP-UHFFFAOYSA-N 0 0 270.354 2.909 20 5 CFBDRN CC1(C)C[C@@H](Sc2cccnc2[N+](=O)[O-])CO1 ZINC000599124896 350380457 /nfs/dbraw/zinc/38/04/57/350380457.db2.gz DDFCCNDPAMFPNE-MRVPVSSYSA-N 0 0 254.311 2.649 20 5 CFBDRN CC(C)CC[C@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000599137928 350383100 /nfs/dbraw/zinc/38/31/00/350383100.db2.gz UGIRWTHFVROHFE-SECBINFHSA-N 0 0 258.343 2.865 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])cc1C ZINC000599147380 350384876 /nfs/dbraw/zinc/38/48/76/350384876.db2.gz MOHPWGNEFNACJI-OLZOCXBDSA-N 0 0 250.298 2.883 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC(Cc2ccco2)C1 ZINC000599152382 350386088 /nfs/dbraw/zinc/38/60/88/350386088.db2.gz WKTLKVGMYCDTTL-UHFFFAOYSA-N 0 0 293.710 2.915 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(F)(F)C[C@H]1C ZINC000599162633 350386920 /nfs/dbraw/zinc/38/69/20/350386920.db2.gz OSIRQJAWXQVSLI-MRVPVSSYSA-N 0 0 288.298 2.744 20 5 CFBDRN NC(=O)c1cc(NCC[C@@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000599157211 350386955 /nfs/dbraw/zinc/38/69/55/350386955.db2.gz VADULXUWXGBVGM-LLVKDONJSA-N 0 0 289.335 2.852 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1sccc1[N+](=O)[O-] ZINC000599162946 350386961 /nfs/dbraw/zinc/38/69/61/350386961.db2.gz BVPNJNWDFWDGRI-IBCQBUCCSA-N 0 0 256.327 2.880 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000599163986 350387440 /nfs/dbraw/zinc/38/74/40/350387440.db2.gz KJOCLLPOUSAUQK-SDCKUUTBSA-N 0 0 285.731 2.867 20 5 CFBDRN Cc1cc(N[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)ncc1[N+](=O)[O-] ZINC000599163935 350387607 /nfs/dbraw/zinc/38/76/07/350387607.db2.gz IADPVIDJPINLSH-YVNDNENWSA-N 0 0 265.313 2.522 20 5 CFBDRN C[C@H](Cc1nc(-c2ccc([N+](=O)[O-])o2)no1)C(F)(F)F ZINC000599165369 350387637 /nfs/dbraw/zinc/38/76/37/350387637.db2.gz RGBPRUCQIDBMBU-RXMQYKEDSA-N 0 0 291.185 2.979 20 5 CFBDRN C[C@]1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@H]2C[C@H]2C1 ZINC000599171836 350388661 /nfs/dbraw/zinc/38/86/61/350388661.db2.gz LHNWFALYOUSIQE-WOFXILAISA-N 0 0 263.297 2.544 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cc2F)C[C@H]2C[C@H]2C1 ZINC000599172377 350388757 /nfs/dbraw/zinc/38/87/57/350388757.db2.gz NMYZWMUTDMWBEG-WOFXILAISA-N 0 0 265.288 2.977 20 5 CFBDRN CC(C)(C)Oc1cc(NC[C@H]2C[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000599174969 350389323 /nfs/dbraw/zinc/38/93/23/350389323.db2.gz OEXNIXAESSTMAP-KLPPZKSPSA-N 0 0 294.351 2.955 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2COC2)c(C(F)(F)F)c1 ZINC000599175851 350389866 /nfs/dbraw/zinc/38/98/66/350389866.db2.gz MYDLCHOJPZZOJG-UHFFFAOYSA-N 0 0 276.214 2.672 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1CO[C@H](C2CC2)C1 ZINC000599178983 350390277 /nfs/dbraw/zinc/39/02/77/350390277.db2.gz DDKMIFSBGSDCPL-ABAIWWIYSA-N 0 0 299.330 2.544 20 5 CFBDRN Cc1cccc(N[C@@H]2CO[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599179016 350390291 /nfs/dbraw/zinc/39/02/91/350390291.db2.gz DNHQGLRMGHLEMO-AAEUAGOBSA-N 0 0 262.309 2.883 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000599180037 350390445 /nfs/dbraw/zinc/39/04/45/350390445.db2.gz IMVUNOURCAOYJB-IUODEOHRSA-N 0 0 292.335 2.721 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000599178985 350390451 /nfs/dbraw/zinc/39/04/51/350390451.db2.gz DDKMIFSBGSDCPL-NHYWBVRUSA-N 0 0 299.330 2.544 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CO[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000599180841 350390884 /nfs/dbraw/zinc/39/08/84/350390884.db2.gz MPPOXYAWJMURFI-WFASDCNBSA-N 0 0 290.319 2.777 20 5 CFBDRN Cc1cc(N[C@H]2CO[C@H](C3CC3)C2)c([N+](=O)[O-])s1 ZINC000599180322 350390986 /nfs/dbraw/zinc/39/09/86/350390986.db2.gz KPFRQAWASJNDNE-KOLCDFICSA-N 0 0 268.338 2.944 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@@H](C3CC3)C2)c([N+](=O)[O-])cc1C ZINC000599181891 350391300 /nfs/dbraw/zinc/39/13/00/350391300.db2.gz UUUOUQQGHGLWKN-XHDPSFHLSA-N 0 0 292.335 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000599182402 350391430 /nfs/dbraw/zinc/39/14/30/350391430.db2.gz YBUIGLRRCHZKQS-DOMZBBRYSA-N 0 0 299.330 2.544 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000599190163 350392607 /nfs/dbraw/zinc/39/26/07/350392607.db2.gz UJZPLWXUWYDBOM-MGCOHNPYSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1cc(N2CC[C@@H](c3ccc(O)cc3)C2)ncc1[N+](=O)[O-] ZINC000599190949 350392669 /nfs/dbraw/zinc/39/26/69/350392669.db2.gz IDEYEOFRNXWCMM-CYBMUJFWSA-N 0 0 299.330 2.998 20 5 CFBDRN CCC(O)(CC)CN(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000599192829 350393474 /nfs/dbraw/zinc/39/34/74/350393474.db2.gz USVCJFUNTTZHHM-UHFFFAOYSA-N 0 0 287.747 2.631 20 5 CFBDRN Cc1ccc(NCCN(C)CC(F)F)c([N+](=O)[O-])c1 ZINC000599201560 350395937 /nfs/dbraw/zinc/39/59/37/350395937.db2.gz FBGMNLAVJNQMSJ-UHFFFAOYSA-N 0 0 273.283 2.512 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2c(F)cccc2[N+](=O)[O-])C1 ZINC000599205113 350395960 /nfs/dbraw/zinc/39/59/60/350395960.db2.gz FFXCXFBSKMDEDH-DTORHVGOSA-N 0 0 254.261 2.571 20 5 CFBDRN CCC1(C)CN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000291013047 197888217 /nfs/dbraw/zinc/88/82/17/197888217.db2.gz DIRCMUOQLIRCTN-UHFFFAOYSA-N 0 0 254.311 2.528 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCO[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000271374323 191104293 /nfs/dbraw/zinc/10/42/93/191104293.db2.gz DXDVCDFOFSHHCM-NEPJUHHUSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1noc(CN2CCC(F)(F)C[C@H]2C)c1[N+](=O)[O-] ZINC000599628423 350466964 /nfs/dbraw/zinc/46/69/64/350466964.db2.gz SZZWVLRAEFNCLH-SSDOTTSWSA-N 0 0 275.255 2.511 20 5 CFBDRN Cc1noc(CN2CCC(F)(F)C[C@@H]2C)c1[N+](=O)[O-] ZINC000599628425 350467258 /nfs/dbraw/zinc/46/72/58/350467258.db2.gz SZZWVLRAEFNCLH-ZETCQYMHSA-N 0 0 275.255 2.511 20 5 CFBDRN CCC[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)OCC ZINC000599779307 350492619 /nfs/dbraw/zinc/49/26/19/350492619.db2.gz LLQVJFARDLCHQV-CYBMUJFWSA-N 0 0 295.339 2.922 20 5 CFBDRN C/C=C\CNC(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000599788188 350493444 /nfs/dbraw/zinc/49/34/44/350493444.db2.gz ADDJEGUVOHNXKZ-HYXAFXHYSA-N 0 0 279.296 2.691 20 5 CFBDRN CC(C)(C)n1nccc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000107125555 186159665 /nfs/dbraw/zinc/15/96/65/186159665.db2.gz YWIBJOMPMASFLX-UHFFFAOYSA-N 0 0 294.336 2.860 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000600060654 350505709 /nfs/dbraw/zinc/50/57/09/350505709.db2.gz LFPIWTSHVZJJHW-CYBMUJFWSA-N 0 0 295.339 2.780 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)OCC ZINC000600173246 350530267 /nfs/dbraw/zinc/53/02/67/350530267.db2.gz BCGBKFYWDWZMGG-LBPRGKRZSA-N 0 0 294.351 2.838 20 5 CFBDRN CCC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)OCC ZINC000600174280 350531082 /nfs/dbraw/zinc/53/10/82/350531082.db2.gz KNIHBMPROSEFEE-ZDUSSCGKSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)C[C@H](C)C1(F)F ZINC000600188078 350535033 /nfs/dbraw/zinc/53/50/33/350535033.db2.gz JCKCNNMRDQYUSP-OCAPTIKFSA-N 0 0 288.250 2.551 20 5 CFBDRN O=C(NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000600162532 350525112 /nfs/dbraw/zinc/52/51/12/350525112.db2.gz SSDJBHIYFKFAHJ-WZRBSPASSA-N 0 0 274.320 2.761 20 5 CFBDRN CC(C)SCn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000600561372 350570459 /nfs/dbraw/zinc/57/04/59/350570459.db2.gz FHUJZRGBJZGGQO-UHFFFAOYSA-N 0 0 282.365 2.734 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCCC(F)(F)CC1 ZINC000600255388 350545829 /nfs/dbraw/zinc/54/58/29/350545829.db2.gz NCDCXERCAFUDTJ-UHFFFAOYSA-N 0 0 284.262 2.856 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@H]2CC[C@@H](C1)S2 ZINC000600279100 350546615 /nfs/dbraw/zinc/54/66/15/350546615.db2.gz NNZAWXKSGGMNIA-ZJUUUORDSA-N 0 0 298.389 2.766 20 5 CFBDRN Cc1cc(NC[C@@H](C)c2nccs2)ncc1[N+](=O)[O-] ZINC000230605866 539801821 /nfs/dbraw/zinc/80/18/21/539801821.db2.gz JUYCRAXUGBHKSZ-SECBINFHSA-N 0 0 278.337 2.970 20 5 CFBDRN COc1cc(C(=O)N(C2CC2)C2CC2)cc([N+](=O)[O-])c1C ZINC000600360885 350550330 /nfs/dbraw/zinc/55/03/30/350550330.db2.gz RUCCQPGTENPTFD-UHFFFAOYSA-N 0 0 290.319 2.679 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000600368715 350551691 /nfs/dbraw/zinc/55/16/91/350551691.db2.gz IFHASORCRXGGDY-YPMHNXCESA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cc(C(=O)N(C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000600367200 350551785 /nfs/dbraw/zinc/55/17/85/350551785.db2.gz WKTSUNRZNUEKFA-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H](CO)c1ccccc1 ZINC000129784580 187452803 /nfs/dbraw/zinc/45/28/03/187452803.db2.gz SOVVRIODZILQQU-LLVKDONJSA-N 0 0 293.710 2.789 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000600450610 350557467 /nfs/dbraw/zinc/55/74/67/350557467.db2.gz XEEMORSNBHVOEK-SNVBAGLBSA-N 0 0 298.289 2.784 20 5 CFBDRN C/C=C/CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000600489302 350558557 /nfs/dbraw/zinc/55/85/57/350558557.db2.gz DQFHOBLMWSCSIM-NSCUHMNNSA-N 0 0 288.225 2.920 20 5 CFBDRN C/C=C/CNC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000600491505 350558912 /nfs/dbraw/zinc/55/89/12/350558912.db2.gz LDNDSOHEAYUQCB-HMDXOVGESA-N 0 0 280.349 2.768 20 5 CFBDRN C/C=C/CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000600494015 350558928 /nfs/dbraw/zinc/55/89/28/350558928.db2.gz WAJJDBOYSRABAY-NSCUHMNNSA-N 0 0 288.225 2.920 20 5 CFBDRN C[C@]1(CNC(=O)c2ccccc2[N+](=O)[O-])C[C@H]2C[C@H]2C1 ZINC000600501390 350560132 /nfs/dbraw/zinc/56/01/32/350560132.db2.gz VXEPSXMCVFROFB-HBYGRHMLSA-N 0 0 274.320 2.761 20 5 CFBDRN CCO[C@H](COc1ccc(OC)cc1[N+](=O)[O-])C1CC1 ZINC000600511963 350561187 /nfs/dbraw/zinc/56/11/87/350561187.db2.gz VPCKMSMASMCHPF-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN C[C@@H]1C[C@@H]1Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000600523978 350563097 /nfs/dbraw/zinc/56/30/97/350563097.db2.gz ZONMGBBYXIAZLM-MWLCHTKSSA-N 0 0 258.281 2.509 20 5 CFBDRN COc1cc(OCCCc2cnoc2)ccc1[N+](=O)[O-] ZINC000600529106 350565249 /nfs/dbraw/zinc/56/52/49/350565249.db2.gz WHESRAZEGXVGBU-UHFFFAOYSA-N 0 0 278.264 2.603 20 5 CFBDRN CC1(C)C(C(=O)Cn2cc([N+](=O)[O-])c(C3CC3)n2)C1(C)C ZINC000600534173 350566339 /nfs/dbraw/zinc/56/63/39/350566339.db2.gz LRJRDXLCDZVGGA-UHFFFAOYSA-N 0 0 291.351 2.920 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cn1)C1CCOCC1 ZINC000600537771 350567561 /nfs/dbraw/zinc/56/75/61/350567561.db2.gz SDXYFVSXSPAMIR-SECBINFHSA-N 0 0 268.338 2.897 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ncccc2Cl)nc1C1CC1 ZINC000600537190 350567627 /nfs/dbraw/zinc/56/76/27/350567627.db2.gz YQVNAQMVHYUZOJ-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN CCO[C@@H](COc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000600537477 350567724 /nfs/dbraw/zinc/56/77/24/350567724.db2.gz NMCJMIKAOOAIIT-ZDUSSCGKSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@@H](CO)CNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000108877604 186234172 /nfs/dbraw/zinc/23/41/72/186234172.db2.gz GDJRWQJNACCBGU-SSDOTTSWSA-N 0 0 278.230 2.654 20 5 CFBDRN CC(C)(C)c1cnc(CNc2ccc([N+](=O)[O-])nc2)o1 ZINC000450365150 535143400 /nfs/dbraw/zinc/14/34/00/535143400.db2.gz NFUYWMDVNXNBMW-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@H]1CCCc2ccccc21 ZINC000108954203 186238178 /nfs/dbraw/zinc/23/81/78/186238178.db2.gz XTQAILZSLLEHDG-CYBMUJFWSA-N 0 0 284.315 2.877 20 5 CFBDRN C/C=C\C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000600812934 350616279 /nfs/dbraw/zinc/61/62/79/350616279.db2.gz VWQQPFAHEDKGQC-DLGQBQFBSA-N 0 0 289.335 2.808 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000600884527 350622924 /nfs/dbraw/zinc/62/29/24/350622924.db2.gz UBRHOJOENNSFRI-CKYFFXLPSA-N 0 0 278.308 2.655 20 5 CFBDRN CCN(CC)C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600884039 350623002 /nfs/dbraw/zinc/62/30/02/350623002.db2.gz SAAXIZZVNWEGOX-UHFFFAOYSA-N 0 0 276.336 2.885 20 5 CFBDRN CCCCNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600888672 350623558 /nfs/dbraw/zinc/62/35/58/350623558.db2.gz BVDCPBXYAIEHPP-UHFFFAOYSA-N 0 0 276.336 2.933 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000600888753 350623705 /nfs/dbraw/zinc/62/37/05/350623705.db2.gz FZGPROSJKBRKAI-UWVGGRQHSA-N 0 0 280.299 2.852 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCCCCC1 ZINC000600887833 350623799 /nfs/dbraw/zinc/62/37/99/350623799.db2.gz XOSWLNLSGCUHAB-UHFFFAOYSA-N 0 0 266.272 2.750 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCC1(O)CCC1 ZINC000600894133 350624318 /nfs/dbraw/zinc/62/43/18/350624318.db2.gz LGUMBNSKURCSSR-UHFFFAOYSA-N 0 0 292.335 2.845 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CC1CSC1 ZINC000600894325 350624329 /nfs/dbraw/zinc/62/43/29/350624329.db2.gz SCJPTEOPIMZKOT-UHFFFAOYSA-N 0 0 280.349 2.903 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600894428 350624439 /nfs/dbraw/zinc/62/44/39/350624439.db2.gz VXNUZDUZDAXADD-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OC[C@@H]1CCCO1 ZINC000109744154 186270913 /nfs/dbraw/zinc/27/09/13/186270913.db2.gz IRGGLTUKSCKIHF-VIFPVBQESA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OC[C@H]1CCCO1 ZINC000109744157 186271059 /nfs/dbraw/zinc/27/10/59/186271059.db2.gz IRGGLTUKSCKIHF-SECBINFHSA-N 0 0 257.673 2.806 20 5 CFBDRN CC[C@H](CO)Nc1ccc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000600787897 350611308 /nfs/dbraw/zinc/61/13/08/350611308.db2.gz HMTFZCBOGSNLBN-CYBMUJFWSA-N 0 0 287.319 2.840 20 5 CFBDRN CC[C@@H](C)n1cc(-c2cc([N+](=O)[O-])ccc2CCO)cn1 ZINC000600792698 350613689 /nfs/dbraw/zinc/61/36/89/350613689.db2.gz LGDXHJDBDGYQQL-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cn(C3CCCC3)nn2)cc1 ZINC000600969242 350639230 /nfs/dbraw/zinc/63/92/30/350639230.db2.gz AOPMHDDVXDZLLK-UHFFFAOYSA-N 0 0 288.307 2.880 20 5 CFBDRN CCCc1[nH]nc(C(=O)N(C)c2ccccc2)c1[N+](=O)[O-] ZINC000110038077 186283553 /nfs/dbraw/zinc/28/35/53/186283553.db2.gz HAQQNXVZZDQIDQ-UHFFFAOYSA-N 0 0 288.307 2.547 20 5 CFBDRN CC(C)(C)CCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600995330 350643326 /nfs/dbraw/zinc/64/33/26/350643326.db2.gz HRHVRRNOPIJQGM-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1(C(F)F)CCC1 ZINC000601000250 350644081 /nfs/dbraw/zinc/64/40/81/350644081.db2.gz ODTLYBPLAFRODA-UHFFFAOYSA-N 0 0 284.262 2.646 20 5 CFBDRN C[C@@H](NC(=O)CC1CSC1)c1cccc([N+](=O)[O-])c1 ZINC000601003773 350644157 /nfs/dbraw/zinc/64/41/57/350644157.db2.gz RFEGCDKOSNMVMI-SECBINFHSA-N 0 0 280.349 2.525 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601019788 350646615 /nfs/dbraw/zinc/64/66/15/350646615.db2.gz OZHPGPODXHFGDH-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)C2CC2)cc1C(F)(F)F ZINC000110460071 186297386 /nfs/dbraw/zinc/29/73/86/186297386.db2.gz QHKSXMHKRWAASL-LLVKDONJSA-N 0 0 290.241 2.796 20 5 CFBDRN CN(CCn1cccn1)c1ccc([N+](=O)[O-])cc1Cl ZINC000110599207 186303884 /nfs/dbraw/zinc/30/38/84/186303884.db2.gz NMSXHKLFJRIRFO-UHFFFAOYSA-N 0 0 280.715 2.581 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSC[C@@H]2CCC(F)(F)C2)c1 ZINC000601060843 350656253 /nfs/dbraw/zinc/65/62/53/350656253.db2.gz QJGXMNWCUVTCRG-SECBINFHSA-N 0 0 291.323 2.960 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601122129 350674494 /nfs/dbraw/zinc/67/44/94/350674494.db2.gz RRAOPPCRNXUQLU-NKWVEPMBSA-N 0 0 292.261 2.582 20 5 CFBDRN CC1(C)CC(n2cc(Br)c([N+](=O)[O-])n2)C1 ZINC000601075691 350659612 /nfs/dbraw/zinc/65/96/12/350659612.db2.gz HGHHECRWYGSGTQ-UHFFFAOYSA-N 0 0 274.118 2.915 20 5 CFBDRN Cc1c(OC[C@H]2CCO[C@H]2C)cccc1[N+](=O)[O-] ZINC000601075415 350659794 /nfs/dbraw/zinc/65/97/94/350659794.db2.gz AYAFWHVDJXFLFP-WDEREUQCSA-N 0 0 251.282 2.707 20 5 CFBDRN C[C@@H]1OCC[C@H]1COc1ccc([N+](=O)[O-])cc1F ZINC000601075823 350659877 /nfs/dbraw/zinc/65/98/77/350659877.db2.gz VQKPYZWNCUHRGZ-IUCAKERBSA-N 0 0 255.245 2.538 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1nc(CC2CC2)no1 ZINC000601075492 350659964 /nfs/dbraw/zinc/65/99/64/350659964.db2.gz DUOIHULLECOFLW-UHFFFAOYSA-N 0 0 293.254 2.648 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1COC1CCCCC1 ZINC000601081206 350662325 /nfs/dbraw/zinc/66/23/25/350662325.db2.gz LSWFPBXRAWMGII-UHFFFAOYSA-N 0 0 292.291 2.810 20 5 CFBDRN COc1cc(COc2c(C)c(C)ncc2[N+](=O)[O-])sn1 ZINC000601083774 350663001 /nfs/dbraw/zinc/66/30/01/350663001.db2.gz MWMYCFSOQVZNBY-UHFFFAOYSA-N 0 0 295.320 2.651 20 5 CFBDRN CCOC(=O)CCSCc1cc([N+](=O)[O-])ccc1OC ZINC000601091320 350665795 /nfs/dbraw/zinc/66/57/95/350665795.db2.gz LEWQGMGJDMYGKP-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NCc1ccco1 ZINC000601099155 350667733 /nfs/dbraw/zinc/66/77/33/350667733.db2.gz WAKHWRVKUIVFNI-UHFFFAOYSA-N 0 0 290.201 2.552 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C)C1CC1 ZINC000601100169 350668190 /nfs/dbraw/zinc/66/81/90/350668190.db2.gz GPLWTJCLQZPUCY-YFKPBYRVSA-N 0 0 278.234 2.558 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C)C1CC1 ZINC000601100168 350668598 /nfs/dbraw/zinc/66/85/98/350668598.db2.gz GPLWTJCLQZPUCY-RXMQYKEDSA-N 0 0 278.234 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@H]1c1cccnc1 ZINC000601100794 350668607 /nfs/dbraw/zinc/66/86/07/350668607.db2.gz HTLXSGDGEBOTPE-LBPRGKRZSA-N 0 0 288.282 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCCOCC(F)(F)F ZINC000601103327 350669103 /nfs/dbraw/zinc/66/91/03/350669103.db2.gz UGBIHLNFHXCJPS-UHFFFAOYSA-N 0 0 297.208 2.510 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601110479 350670695 /nfs/dbraw/zinc/67/06/95/350670695.db2.gz GVTSLTMIILVMEO-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)c1nnc(Sc2ncc(F)cc2[N+](=O)[O-])n1C ZINC000601111572 350671860 /nfs/dbraw/zinc/67/18/60/350671860.db2.gz SGEPBWQSRQANNS-UHFFFAOYSA-N 0 0 297.315 2.532 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@H](C)O[C@@H]2C)c([N+](=O)[O-])c1 ZINC000601223880 350702221 /nfs/dbraw/zinc/70/22/21/350702221.db2.gz RGSUOIOPCRJCIL-IYYTYJHQSA-N 0 0 278.308 2.775 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000601223734 350702246 /nfs/dbraw/zinc/70/22/46/350702246.db2.gz MHFOVXJJUGKMHW-GPCCPHFNSA-N 0 0 280.324 2.719 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000601223736 350702311 /nfs/dbraw/zinc/70/23/11/350702311.db2.gz MHFOVXJJUGKMHW-ISTVAULSSA-N 0 0 280.324 2.719 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC[C@@H]1C(F)(F)F ZINC000601226164 350702769 /nfs/dbraw/zinc/70/27/69/350702769.db2.gz QXFIVMRFXPVNOR-SECBINFHSA-N 0 0 289.213 2.763 20 5 CFBDRN Cc1ncc([C@@H](C)Nc2ccc([N+](=O)[O-])nc2)s1 ZINC000601228817 350703664 /nfs/dbraw/zinc/70/36/64/350703664.db2.gz WVZNPXXXTKLMMO-SSDOTTSWSA-N 0 0 264.310 2.928 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2([C@@H]3CCCCO3)CCC2)nc1 ZINC000601241641 350707902 /nfs/dbraw/zinc/70/79/02/350707902.db2.gz BNYJIZAAECRGHK-LBPRGKRZSA-N 0 0 292.339 2.536 20 5 CFBDRN CC1(F)CCN(c2ncnc3cccc([N+](=O)[O-])c32)CC1 ZINC000601246727 350708472 /nfs/dbraw/zinc/70/84/72/350708472.db2.gz SVBDDYZFXVWLDJ-UHFFFAOYSA-N 0 0 290.298 2.866 20 5 CFBDRN Cc1nocc1C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000601281237 350716495 /nfs/dbraw/zinc/71/64/95/350716495.db2.gz LUWOHSSVBOYKJT-SECBINFHSA-N 0 0 289.291 2.691 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CCC2)[C@@H]2CCCO2)s1 ZINC000601249625 350709090 /nfs/dbraw/zinc/70/90/90/350709090.db2.gz DJBVNPYDPZDJET-GXSJLCMTSA-N 0 0 283.353 2.811 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CCC2)[C@@H]2CCCO2)nc1 ZINC000601250568 350709628 /nfs/dbraw/zinc/70/96/28/350709628.db2.gz LJNBRGYKLFAZDZ-JSGCOSHPSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H](C1CCC1)[C@H]1CCCO1 ZINC000601250885 350709733 /nfs/dbraw/zinc/70/97/33/350709733.db2.gz SBHWLXFNDMZTTP-OLZOCXBDSA-N 0 0 295.314 2.889 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCn3nccc32)cc1 ZINC000601270503 350713706 /nfs/dbraw/zinc/71/37/06/350713706.db2.gz XPSVSYLGLJHEDE-GFCCVEGCSA-N 0 0 258.281 2.738 20 5 CFBDRN CC(C)[C@@H]1CCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601127628 350675781 /nfs/dbraw/zinc/67/57/81/350675781.db2.gz LPXJNMCYROZBEM-SECBINFHSA-N 0 0 253.277 2.611 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)[C@@H]1C ZINC000601126202 350676039 /nfs/dbraw/zinc/67/60/39/350676039.db2.gz UZLSGJMBZMDVGY-RNFRBKRXSA-N 0 0 292.261 2.582 20 5 CFBDRN C[C@H]1OCCN(c2ccnc3ccc([N+](=O)[O-])cc32)[C@@H]1C ZINC000601130470 350677659 /nfs/dbraw/zinc/67/76/59/350677659.db2.gz LFTZCHSMBOJQTO-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN CCC1(O)CCC(Nc2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601136953 350679153 /nfs/dbraw/zinc/67/91/53/350679153.db2.gz NXDVEQVYDCGISV-UHFFFAOYSA-N 0 0 283.303 2.625 20 5 CFBDRN Cc1cnc(CCN(C)c2ncc(F)cc2[N+](=O)[O-])s1 ZINC000601135755 350679293 /nfs/dbraw/zinc/67/92/93/350679293.db2.gz XRHYGPUSEZSIJY-UHFFFAOYSA-N 0 0 296.327 2.573 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)C[C@H](C)O1 ZINC000111010848 186343284 /nfs/dbraw/zinc/34/32/84/186343284.db2.gz BVQBTRIFFLAAGJ-JQWIXIFHSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CCO[C@@H](C4CC4)C3)c2c1 ZINC000601147469 350682054 /nfs/dbraw/zinc/68/20/54/350682054.db2.gz OQWYFQAUHLADSX-MRXNPFEDSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC2(CCC2)CC1 ZINC000601164719 350685859 /nfs/dbraw/zinc/68/58/59/350685859.db2.gz FWTYOJCACKWQSV-UHFFFAOYSA-N 0 0 265.288 2.899 20 5 CFBDRN CN(c1ccnc2ccc([N+](=O)[O-])cc21)[C@H]1CCCOC1 ZINC000601165979 350686523 /nfs/dbraw/zinc/68/65/23/350686523.db2.gz WNTWUOYBBOVVLI-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCO[C@H]1CCCCO1 ZINC000601166310 350686581 /nfs/dbraw/zinc/68/65/81/350686581.db2.gz HURTUDDMEKKMCH-JTQLQIEISA-N 0 0 272.326 2.611 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NCCCCF ZINC000601166875 350686730 /nfs/dbraw/zinc/68/67/30/350686730.db2.gz VWJDIZFPPJFJPR-UHFFFAOYSA-N 0 0 284.213 2.509 20 5 CFBDRN C[C@@H](CCCCO)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601170970 350687846 /nfs/dbraw/zinc/68/78/46/350687846.db2.gz XSDZLGPNTVZBFR-NSHDSACASA-N 0 0 289.335 2.528 20 5 CFBDRN CCc1csc([C@@H](C)Nc2c([N+](=O)[O-])c(C)nn2C)n1 ZINC000601181309 350690696 /nfs/dbraw/zinc/69/06/96/350690696.db2.gz FUDVGIWOSVCSCI-MRVPVSSYSA-N 0 0 295.368 2.829 20 5 CFBDRN CC(C)O[C@H]1CCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601183716 350690903 /nfs/dbraw/zinc/69/09/03/350690903.db2.gz DBAUPKQGMJNBTF-NSHDSACASA-N 0 0 283.303 2.523 20 5 CFBDRN C[C@H](CNc1ncc(F)cc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000601187112 350691438 /nfs/dbraw/zinc/69/14/38/350691438.db2.gz OHDYARIEHCGCAH-MRVPVSSYSA-N 0 0 299.302 2.519 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@@H](OC2CCC2)C1 ZINC000601186924 350691515 /nfs/dbraw/zinc/69/15/15/350691515.db2.gz GWDGFQSOZVTOID-GFCCVEGCSA-N 0 0 295.314 2.667 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H](C1CC1)[C@@H]1CCCCO1 ZINC000601186834 350691589 /nfs/dbraw/zinc/69/15/89/350691589.db2.gz CDRXPFOGUONVHK-STQMWFEESA-N 0 0 295.314 2.889 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@]12C[C@H]1CCC2 ZINC000601192640 350693606 /nfs/dbraw/zinc/69/36/06/350693606.db2.gz ZAFWBWQUDJCNFW-LHLIQPBNSA-N 0 0 290.245 2.702 20 5 CFBDRN C[C@@]1(CNc2ncc(F)cc2[N+](=O)[O-])CC1(F)F ZINC000601195918 350694400 /nfs/dbraw/zinc/69/44/00/350694400.db2.gz VZHXCILNLPFFHB-VIFPVBQESA-N 0 0 261.203 2.586 20 5 CFBDRN CSC1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000601207109 350697664 /nfs/dbraw/zinc/69/76/64/350697664.db2.gz WNPAPQIACZOTAS-UHFFFAOYSA-N 0 0 281.381 2.938 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@H]2C[C@@H](CO)C2)ccc1C(F)(F)F ZINC000601212033 350698928 /nfs/dbraw/zinc/69/89/28/350698928.db2.gz PTUOJRJGVQHAGT-OTSSQURYSA-N 0 0 290.241 2.796 20 5 CFBDRN CCC[C@H](CCO)Nc1cccc(OC)c1[N+](=O)[O-] ZINC000601212953 350699437 /nfs/dbraw/zinc/69/94/37/350699437.db2.gz STPHNQBOWNNZPO-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN COC(=O)C(C)(C)CSc1ncc([N+](=O)[O-])cc1C ZINC000601212739 350699454 /nfs/dbraw/zinc/69/94/54/350699454.db2.gz MJXWYKAGBHZTDV-UHFFFAOYSA-N 0 0 284.337 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3cccnc3)C2)nc1 ZINC000601216928 350700408 /nfs/dbraw/zinc/70/04/08/350700408.db2.gz IXSSIRZXTYQCHA-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN CC(=O)CN(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(C)C ZINC000601604059 350746048 /nfs/dbraw/zinc/74/60/48/350746048.db2.gz APYBPNHRLFOAOP-UHFFFAOYSA-N 0 0 298.726 2.688 20 5 CFBDRN CC(C)OC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601703051 350764458 /nfs/dbraw/zinc/76/44/58/350764458.db2.gz YEZKZBCGFBSZJF-UHFFFAOYSA-N 0 0 263.293 2.968 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000601704950 350765027 /nfs/dbraw/zinc/76/50/27/350765027.db2.gz NOSLNWKCNLUEOA-GFCCVEGCSA-N 0 0 290.319 2.666 20 5 CFBDRN C[C@@]1(CNC(=O)c2c(F)cccc2[N+](=O)[O-])CCCS1 ZINC000601309587 350720958 /nfs/dbraw/zinc/72/09/58/350720958.db2.gz HFERDJBLNSGNBN-ZDUSSCGKSA-N 0 0 298.339 2.749 20 5 CFBDRN CCOC(C)(C)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000601372707 350727483 /nfs/dbraw/zinc/72/74/83/350727483.db2.gz GGVGFRZXHVLKOL-UHFFFAOYSA-N 0 0 283.309 2.978 20 5 CFBDRN C[C@@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C1CCC1 ZINC000601372801 350727643 /nfs/dbraw/zinc/72/76/43/350727643.db2.gz JCFRUZXYUJUZFQ-MRVPVSSYSA-N 0 0 266.272 2.652 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601410720 350730857 /nfs/dbraw/zinc/73/08/57/350730857.db2.gz QRPZXHAQXHXCIR-JTQLQIEISA-N 0 0 266.272 2.604 20 5 CFBDRN CC(C)OCCCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601427207 350731725 /nfs/dbraw/zinc/73/17/25/350731725.db2.gz YAUKLOLENMDURV-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC12CC2 ZINC000601559962 350739256 /nfs/dbraw/zinc/73/92/56/350739256.db2.gz FZASZISWQMHKMC-CYBMUJFWSA-N 0 0 289.335 2.727 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601553847 350739363 /nfs/dbraw/zinc/73/93/63/350739363.db2.gz SMAIOESZGYENMA-BXUZGUMPSA-N 0 0 288.347 2.931 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000601567102 350740771 /nfs/dbraw/zinc/74/07/71/350740771.db2.gz QJKNYTRGLINFIW-LLVKDONJSA-N 0 0 291.351 2.973 20 5 CFBDRN O=C(NCC1CC(F)(F)C1)c1c(F)cccc1[N+](=O)[O-] ZINC000601597507 350744530 /nfs/dbraw/zinc/74/45/30/350744530.db2.gz YZPNAQAFHUJGMR-UHFFFAOYSA-N 0 0 288.225 2.509 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(COC3CCC3)no2)c1 ZINC000601964588 350820257 /nfs/dbraw/zinc/82/02/57/350820257.db2.gz MRXOCOCKEDRURV-UHFFFAOYSA-N 0 0 275.264 2.714 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc(C2CCC2)no1 ZINC000601969321 350821745 /nfs/dbraw/zinc/82/17/45/350821745.db2.gz SDMRTILRRUYPTH-UHFFFAOYSA-N 0 0 275.264 2.921 20 5 CFBDRN COc1cc(NC[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000271408580 191120961 /nfs/dbraw/zinc/12/09/61/191120961.db2.gz SNOAFLMNJLPHGU-MNOVXSKESA-N 0 0 280.324 2.830 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@]12C[C@@H]1CCCC2 ZINC000601779024 350775035 /nfs/dbraw/zinc/77/50/35/350775035.db2.gz WSHXNACRCSOUQC-WFASDCNBSA-N 0 0 274.320 2.586 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000601870262 350795165 /nfs/dbraw/zinc/79/51/65/350795165.db2.gz NOMBHDYCMDXICR-LLVKDONJSA-N 0 0 291.351 2.702 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CC2CCC2)c1 ZINC000601870238 350795250 /nfs/dbraw/zinc/79/52/50/350795250.db2.gz NGXFKTPTNWBJQC-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC1CCC1 ZINC000601871836 350796113 /nfs/dbraw/zinc/79/61/13/350796113.db2.gz VVTQNRPOTMOBFS-SECBINFHSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCC(C)C ZINC000601915935 350801117 /nfs/dbraw/zinc/80/11/17/350801117.db2.gz BODBUGGFNMUUDC-UHFFFAOYSA-N 0 0 257.359 2.879 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCOCC1CCC1 ZINC000601916782 350801610 /nfs/dbraw/zinc/80/16/10/350801610.db2.gz BFCLWCYPTKVXPC-UHFFFAOYSA-N 0 0 299.396 2.650 20 5 CFBDRN Cn1nccc1CCSCc1ccccc1[N+](=O)[O-] ZINC000601919227 350802379 /nfs/dbraw/zinc/80/23/79/350802379.db2.gz LPTTXTGLRXSWDK-UHFFFAOYSA-N 0 0 277.349 2.804 20 5 CFBDRN C[C@@H]1OCC[C@H]1Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000601921668 350803115 /nfs/dbraw/zinc/80/31/15/350803115.db2.gz DRXHGZAZSAOVHM-QWRGUYRKSA-N 0 0 260.293 2.975 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H]1CCO[C@@H]1C ZINC000601927145 350804296 /nfs/dbraw/zinc/80/42/96/350804296.db2.gz MROIVERMMDWWIM-MNOVXSKESA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1N1N=C(C)C[C@@H](C)C1=O ZINC000601935226 350807666 /nfs/dbraw/zinc/80/76/66/350807666.db2.gz ICKAXOCLIRYOOH-SECBINFHSA-N 0 0 261.281 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1N1N=C2CC[C@H](C)[C@H]2C1=O ZINC000601934740 350807891 /nfs/dbraw/zinc/80/78/91/350807891.db2.gz DTMFQCFUOJRKPA-TVQRCGJNSA-N 0 0 273.292 2.652 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1N1N=C2CC[C@@H](C)[C@H]2C1=O ZINC000601938021 350809548 /nfs/dbraw/zinc/80/95/48/350809548.db2.gz FPMGSCYYIBXJGK-AMIZOPFISA-N 0 0 273.292 2.652 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2Cl)C(=O)[C@H]2C[C@@H]12 ZINC000601938076 350809561 /nfs/dbraw/zinc/80/95/61/350809561.db2.gz GSDPHCKIQBZKRQ-IUCAKERBSA-N 0 0 279.683 2.607 20 5 CFBDRN CCCc1cc(=O)n(-c2cccc([N+](=O)[O-])c2C)[nH]1 ZINC000601937981 350809600 /nfs/dbraw/zinc/80/96/00/350809600.db2.gz DWDNFELVPGSDGL-UHFFFAOYSA-N 0 0 261.281 2.796 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1N=C2CC[C@@H](C)[C@H]2C1=O ZINC000601944227 350812874 /nfs/dbraw/zinc/81/28/74/350812874.db2.gz XJZMGVKKWXMARR-AMIZOPFISA-N 0 0 273.292 2.652 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000602132207 350845065 /nfs/dbraw/zinc/84/50/65/350845065.db2.gz IJARMBQEZJIWRN-MFKMUULPSA-N 0 0 294.351 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2OC3CCC2CC3)c1 ZINC000602211813 350852045 /nfs/dbraw/zinc/85/20/45/350852045.db2.gz FJMNLBQHKYFOED-UHFFFAOYSA-N 0 0 276.292 2.602 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1OC2CCC1CC2 ZINC000602211961 350852289 /nfs/dbraw/zinc/85/22/89/350852289.db2.gz INSKSHASZPCZMA-UHFFFAOYSA-N 0 0 296.710 2.947 20 5 CFBDRN Cc1cc(C(=O)N2OC3CCC2CC3)cc([N+](=O)[O-])c1 ZINC000602218272 350853394 /nfs/dbraw/zinc/85/33/94/350853394.db2.gz YLBAYVNERJYUTO-UHFFFAOYSA-N 0 0 276.292 2.602 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)[C@H](C)C1CC1 ZINC000602242439 350855867 /nfs/dbraw/zinc/85/58/67/350855867.db2.gz CWNFTFWLRCIAGS-SNVBAGLBSA-N 0 0 262.309 2.774 20 5 CFBDRN CCO[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000602251577 350856396 /nfs/dbraw/zinc/85/63/96/350856396.db2.gz XBLMWKOQICZBTL-LBPRGKRZSA-N 0 0 284.287 2.734 20 5 CFBDRN CCO[C@@H](C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000602300537 350861049 /nfs/dbraw/zinc/86/10/49/350861049.db2.gz AGORNTSUCUEDDA-CYBMUJFWSA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@H]1CN(Cc2csc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111273158 186385962 /nfs/dbraw/zinc/38/59/62/186385962.db2.gz AJBRENDIWOJNMB-ONGXEEELSA-N 0 0 270.354 2.656 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000111475394 186421508 /nfs/dbraw/zinc/42/15/08/186421508.db2.gz KOJWYCGPLVKXKJ-LBPRGKRZSA-N 0 0 293.367 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CNCCC(F)(F)F)nc2c1 ZINC000602562709 350924926 /nfs/dbraw/zinc/92/49/26/350924926.db2.gz VTNYUOJFNKZGOZ-UHFFFAOYSA-N 0 0 289.213 2.778 20 5 CFBDRN COc1ncc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC000602583772 350934312 /nfs/dbraw/zinc/93/43/12/350934312.db2.gz UJFCIAWUJNGDAJ-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2C[C@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000602397509 350874027 /nfs/dbraw/zinc/87/40/27/350874027.db2.gz PHLSAONWAAFDEB-JBLDHEPKSA-N 0 0 293.323 2.591 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2C[C@@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000602397510 350874395 /nfs/dbraw/zinc/87/43/95/350874395.db2.gz PHLSAONWAAFDEB-JFGNBEQYSA-N 0 0 293.323 2.591 20 5 CFBDRN C[C@@H]1c2ccsc2CCN1Cc1cn(C)nc1[N+](=O)[O-] ZINC000602436929 350880958 /nfs/dbraw/zinc/88/09/58/350880958.db2.gz OYQCHKJAOUDJCU-SECBINFHSA-N 0 0 292.364 2.509 20 5 CFBDRN Cn1cc(CN2CCC=C(c3ccccc3)C2)c([N+](=O)[O-])n1 ZINC000602656248 350962386 /nfs/dbraw/zinc/96/23/86/350962386.db2.gz XKEYJCNJYZGDJI-UHFFFAOYSA-N 0 0 298.346 2.618 20 5 CFBDRN CC[C@H](NCCc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000602671048 350969982 /nfs/dbraw/zinc/96/99/82/350969982.db2.gz HYNXNDSQMMJQIE-NSHDSACASA-N 0 0 258.268 2.771 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH+]1CCC(CCF)CC1 ZINC000602734570 351006550 /nfs/dbraw/zinc/00/65/50/351006550.db2.gz RPUAPRRHOZYLCB-UHFFFAOYSA-N 0 0 282.315 2.872 20 5 CFBDRN CC1CN(Cc2cc(Br)c([N+](=O)[O-])s2)C1 ZINC000602638596 350957625 /nfs/dbraw/zinc/95/76/25/350957625.db2.gz RACITLJLCIFMIP-UHFFFAOYSA-N 0 0 291.170 2.871 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)CC1CC1 ZINC000112608952 186509180 /nfs/dbraw/zinc/50/91/80/186509180.db2.gz UHCOZMQSKCTQCA-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN CCN(Cc1cn(C)nc1[N+](=O)[O-])c1ccc(F)c(F)c1 ZINC000602792092 351027249 /nfs/dbraw/zinc/02/72/49/351027249.db2.gz UZFLTGPTXXOSPH-UHFFFAOYSA-N 0 0 296.277 2.633 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN1CC2(CC2)c2ccccc21 ZINC000602794232 351028206 /nfs/dbraw/zinc/02/82/06/351028206.db2.gz MPDRUDUDFOQRRN-UHFFFAOYSA-N 0 0 298.346 2.652 20 5 CFBDRN Cc1cc([C@H](C)NCc2cn(C)nc2[N+](=O)[O-])c(C)s1 ZINC000602797913 351029139 /nfs/dbraw/zinc/02/91/39/351029139.db2.gz CYPYIHGNUGXWTB-VIFPVBQESA-N 0 0 294.380 2.857 20 5 CFBDRN Cn1cc(CN2c3ccccc3CC2(C)C)c([N+](=O)[O-])n1 ZINC000602920035 351066305 /nfs/dbraw/zinc/06/63/05/351066305.db2.gz ZMKWMFUIYUSSIX-UHFFFAOYSA-N 0 0 286.335 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2c2cn[nH]c2)cc1 ZINC000602879903 351056074 /nfs/dbraw/zinc/05/60/74/351056074.db2.gz HHAYIRUAKILFTR-AWEZNQCLSA-N 0 0 272.308 2.655 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H]2c2cn[nH]c2)cs1 ZINC000602883135 351056864 /nfs/dbraw/zinc/05/68/64/351056864.db2.gz WQADRSUFXADBAJ-LLVKDONJSA-N 0 0 278.337 2.717 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)Cc1ccns1 ZINC000602883535 351057202 /nfs/dbraw/zinc/05/72/02/351057202.db2.gz NQOIRWOUMMCDFR-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC[C@@H]1c1cn[nH]c1 ZINC000602884556 351058359 /nfs/dbraw/zinc/05/83/59/351058359.db2.gz BXGCBYWFADRDRW-CYBMUJFWSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000602756730 351014996 /nfs/dbraw/zinc/01/49/96/351014996.db2.gz OJGQIGXLZRGJNT-ZETCQYMHSA-N 0 0 273.239 2.678 20 5 CFBDRN COC(C)(C)c1cn([C@H](C)c2cccc([N+](=O)[O-])c2)nn1 ZINC000603185229 351115756 /nfs/dbraw/zinc/11/57/56/351115756.db2.gz DWHWTTCHZCOENX-SNVBAGLBSA-N 0 0 290.323 2.677 20 5 CFBDRN Cc1n[nH]cc1CN(C)[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000602940052 351070048 /nfs/dbraw/zinc/07/00/48/351070048.db2.gz DNLRMVPAQLHQLN-NSHDSACASA-N 0 0 274.324 2.819 20 5 CFBDRN Cc1n[nH]cc1CN(C)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000602943091 351070707 /nfs/dbraw/zinc/07/07/07/351070707.db2.gz OINYGTAOEOUXID-UHFFFAOYSA-N 0 0 296.277 2.537 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)NC1(C)CCCCC1 ZINC000602954848 351071642 /nfs/dbraw/zinc/07/16/42/351071642.db2.gz GBOKMFHAAPBUDQ-UHFFFAOYSA-N 0 0 292.339 2.564 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)NCC(C)(C)C(C)C ZINC000602954312 351071774 /nfs/dbraw/zinc/07/17/74/351071774.db2.gz UZGUBTDLNXQWOY-UHFFFAOYSA-N 0 0 294.355 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)C(F)F ZINC000602960281 351072485 /nfs/dbraw/zinc/07/24/85/351072485.db2.gz ZBFXKRZOZRQNTC-ZETCQYMHSA-N 0 0 273.239 2.678 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(CC2CCCCCC2)c1=O ZINC000603359086 351146800 /nfs/dbraw/zinc/14/68/00/351146800.db2.gz NBCLUDPZJBNRFJ-UHFFFAOYSA-N 0 0 280.324 2.736 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1cc(C2CC2)ncn1 ZINC000603371976 351149897 /nfs/dbraw/zinc/14/98/97/351149897.db2.gz SBQQRGVWJWGJEG-UHFFFAOYSA-N 0 0 298.346 2.941 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1cc2n(n1)CCCC2 ZINC000603401169 351157088 /nfs/dbraw/zinc/15/70/88/351157088.db2.gz IHMSHYPUWGHHNF-UHFFFAOYSA-N 0 0 290.298 2.879 20 5 CFBDRN CC(C)(Nc1ncc(Cl)cc1[N+](=O)[O-])c1ncc[nH]1 ZINC000603406956 351158149 /nfs/dbraw/zinc/15/81/49/351158149.db2.gz ODEYBVKYEPZXFU-UHFFFAOYSA-N 0 0 281.703 2.714 20 5 CFBDRN CC(C)(Nc1ccc([N+](=O)[O-])cc1F)c1ncc[nH]1 ZINC000603406585 351158477 /nfs/dbraw/zinc/15/84/77/351158477.db2.gz LCOJEXPCQNWLCU-UHFFFAOYSA-N 0 0 264.260 2.804 20 5 CFBDRN Cn1ncc2c1CN(c1ccc(Cl)cc1[N+](=O)[O-])C2 ZINC000603411009 351159004 /nfs/dbraw/zinc/15/90/04/351159004.db2.gz FRNHHFUJURFYER-UHFFFAOYSA-N 0 0 278.699 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CSC2)cc1OC(F)F ZINC000603414495 351160341 /nfs/dbraw/zinc/16/03/41/351160341.db2.gz VGRXILQJJAXVSZ-UHFFFAOYSA-N 0 0 290.291 2.971 20 5 CFBDRN COCc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000603425696 351161640 /nfs/dbraw/zinc/16/16/40/351161640.db2.gz XGCJNWCLVIWMEA-JTQLQIEISA-N 0 0 291.307 2.580 20 5 CFBDRN CCOc1ccn(Cc2ccc([N+](=O)[O-])c(OCC)c2)n1 ZINC000603423362 351161790 /nfs/dbraw/zinc/16/17/90/351161790.db2.gz CMSFHRCNTFGMJF-UHFFFAOYSA-N 0 0 291.307 2.637 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c(C)[nH]1 ZINC000603575521 351175111 /nfs/dbraw/zinc/17/51/11/351175111.db2.gz KBVMJKFUXJVSLH-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN Cc1sc(C(=O)N(C)c2nccs2)cc1[N+](=O)[O-] ZINC000603619344 351176252 /nfs/dbraw/zinc/17/62/52/351176252.db2.gz OAWHKSCTOXHWBH-UHFFFAOYSA-N 0 0 283.334 2.698 20 5 CFBDRN CCC(CC)CN(CC)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000603638405 351176485 /nfs/dbraw/zinc/17/64/85/351176485.db2.gz DWRVWAQXYNOVFM-UHFFFAOYSA-N 0 0 281.356 2.832 20 5 CFBDRN CCN(CC)C(=O)CSc1ccsc1[N+](=O)[O-] ZINC000603748890 351180793 /nfs/dbraw/zinc/18/07/93/351180793.db2.gz FZEFBUDGTIYWFS-UHFFFAOYSA-N 0 0 274.367 2.617 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1ccc2c(c1)OCCO2 ZINC000603835908 351183985 /nfs/dbraw/zinc/18/39/85/351183985.db2.gz KZOKKZWZPMXMHJ-UHFFFAOYSA-N 0 0 273.248 2.505 20 5 CFBDRN C[C@H](CNc1cccnc1[N+](=O)[O-])[N@@H+]1CCCC[C@H]1C ZINC000603853708 351186285 /nfs/dbraw/zinc/18/62/85/351186285.db2.gz KBHCITNHSSUCSX-VXGBXAGGSA-N 0 0 278.356 2.665 20 5 CFBDRN C[C@H](CNc1cccnc1[N+](=O)[O-])[N@@H+]1CCCC[C@@H]1C ZINC000603853706 351186472 /nfs/dbraw/zinc/18/64/72/351186472.db2.gz KBHCITNHSSUCSX-NWDGAFQWSA-N 0 0 278.356 2.665 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCOc1cccc(F)c1 ZINC000603854649 351186548 /nfs/dbraw/zinc/18/65/48/351186548.db2.gz XHZYZAWYNMCYOS-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1cc2ccccc2o1 ZINC000603859562 351187375 /nfs/dbraw/zinc/18/73/75/351187375.db2.gz BLYDRBLDICDYJG-UHFFFAOYSA-N 0 0 272.264 2.687 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000604438869 351212972 /nfs/dbraw/zinc/21/29/72/351212972.db2.gz XUKLQFREFRMRIG-MRVPVSSYSA-N 0 0 260.249 2.575 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000604476284 351213921 /nfs/dbraw/zinc/21/39/21/351213921.db2.gz JFQDSKVMKXGSOO-LLVKDONJSA-N 0 0 279.340 2.781 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1cnn(-c2ccccc2)c1 ZINC000604460096 351213962 /nfs/dbraw/zinc/21/39/62/351213962.db2.gz BBUADXLYSOYUME-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN Cc1ccc(CCNC(=O)Cc2ccc([N+](=O)[O-])cc2)cc1 ZINC000116206577 186701049 /nfs/dbraw/zinc/70/10/49/186701049.db2.gz UCACWZKMDBZYBT-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1CCc2ccc(F)cc21 ZINC000609288211 351494935 /nfs/dbraw/zinc/49/49/35/351494935.db2.gz HJJXLSSICWDMBA-LBPRGKRZSA-N 0 0 273.267 2.650 20 5 CFBDRN CC(C)OC1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000609331189 351495666 /nfs/dbraw/zinc/49/56/66/351495666.db2.gz MLPABAAIJOPYMA-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN CN(C[C@H]1CCCOC1)c1ccsc1[N+](=O)[O-] ZINC000609350878 351497725 /nfs/dbraw/zinc/49/77/25/351497725.db2.gz YSSLHWRUMJMJAR-SECBINFHSA-N 0 0 256.327 2.519 20 5 CFBDRN CCCO[C@H]1CCCN(c2cccnc2[N+](=O)[O-])CC1 ZINC000609384600 351500328 /nfs/dbraw/zinc/50/03/28/351500328.db2.gz VOXYOTNCXNHYLU-LBPRGKRZSA-N 0 0 279.340 2.775 20 5 CFBDRN Cc1cc(CN(C)c2ccsc2[N+](=O)[O-])no1 ZINC000609559909 351506902 /nfs/dbraw/zinc/50/69/02/351506902.db2.gz CSMKPHVIYPEQFR-UHFFFAOYSA-N 0 0 253.283 2.589 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1ccc2nccnc2n1 ZINC000609560329 351507164 /nfs/dbraw/zinc/50/71/64/351507164.db2.gz XVYJPUSHMMLPOU-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC(c2ncc[nH]2)CC1 ZINC000609560686 351507166 /nfs/dbraw/zinc/50/71/66/351507166.db2.gz MXKPRDVHXWAEOP-UHFFFAOYSA-N 0 0 278.337 2.763 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ccc([N+](=O)[O-])s1 ZINC000609560548 351507211 /nfs/dbraw/zinc/50/72/11/351507211.db2.gz JRVPFSQNDNJXPR-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN CN(CCNc1ccsc1[N+](=O)[O-])CC(F)(F)F ZINC000609563965 351507989 /nfs/dbraw/zinc/50/79/89/351507989.db2.gz IMZGRKOQLDDDAL-UHFFFAOYSA-N 0 0 283.275 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncnc3c2CCC3)cc1 ZINC000608869052 351480253 /nfs/dbraw/zinc/48/02/53/351480253.db2.gz NHXRDOUVXUJRET-UHFFFAOYSA-N 0 0 257.249 2.666 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@H]1CCCC[C@@H]1C ZINC000608947832 351482869 /nfs/dbraw/zinc/48/28/69/351482869.db2.gz ZULAWIGKOVOHKG-AAEUAGOBSA-N 0 0 293.367 2.974 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC=C(c2ccc(O)cc2)CC1 ZINC000609111667 351484780 /nfs/dbraw/zinc/48/47/80/351484780.db2.gz UZGJSOVVTVVOTP-UHFFFAOYSA-N 0 0 297.314 2.989 20 5 CFBDRN COc1ccc(CN(C)c2cccnc2[N+](=O)[O-])cc1C ZINC000609132454 351485633 /nfs/dbraw/zinc/48/56/33/351485633.db2.gz ZGTZAXIIJRCDRJ-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN O=C(NCCCC1CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000609865749 351523843 /nfs/dbraw/zinc/52/38/43/351523843.db2.gz DKSRFMPUWCGODK-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1cccc(-n2ccnc2)c1 ZINC000609964560 351528780 /nfs/dbraw/zinc/52/87/80/351528780.db2.gz VMECDSVSVQRTBK-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN CCc1cnc(COc2ccc([N+](=O)[O-])cc2F)o1 ZINC000610270300 351545359 /nfs/dbraw/zinc/54/53/59/351545359.db2.gz NRORHXKEXVTVDX-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cocn2)cc1OC(F)F ZINC000610277775 351546727 /nfs/dbraw/zinc/54/67/27/351546727.db2.gz MXBMREOHWGMLMK-UHFFFAOYSA-N 0 0 285.206 2.796 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1ccc([N+](=O)[O-])s1 ZINC000610279517 351547659 /nfs/dbraw/zinc/54/76/59/351547659.db2.gz IIYXEPYYSPIGMO-MRVPVSSYSA-N 0 0 258.343 2.865 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])C[C@H](C)S1 ZINC000610282805 351549232 /nfs/dbraw/zinc/54/92/32/351549232.db2.gz NQLBYUXOYAOCQR-OCAPTIKFSA-N 0 0 258.368 2.987 20 5 CFBDRN CC(C)Cn1cc(Nc2cccnc2[N+](=O)[O-])cn1 ZINC000610282182 351549280 /nfs/dbraw/zinc/54/92/80/351549280.db2.gz JUPWCQJFBCNGKR-UHFFFAOYSA-N 0 0 261.285 2.586 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@@H]1CCc2ccccc2C1 ZINC000609765786 351518748 /nfs/dbraw/zinc/51/87/48/351518748.db2.gz UPJIOYQINCKMSY-GFCCVEGCSA-N 0 0 283.331 2.629 20 5 CFBDRN C[C@@H]1c2ccccc2OCCN1c1ccc([N+](=O)[O-])nc1 ZINC000609796992 351519387 /nfs/dbraw/zinc/51/93/87/351519387.db2.gz IQRLDIAIBOKEMO-LLVKDONJSA-N 0 0 285.303 2.950 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2cccnc2[N+](=O)[O-])C1(C)C ZINC000610411154 351564592 /nfs/dbraw/zinc/56/45/92/351564592.db2.gz WVVGSJJMNWOTIN-ZWNOBZJWSA-N 0 0 265.313 2.605 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])N1CCC12CCC2 ZINC000610413983 351564792 /nfs/dbraw/zinc/56/47/92/351564792.db2.gz RKCUVULLCPXONE-UHFFFAOYSA-N 0 0 289.335 2.552 20 5 CFBDRN CSc1ccc(Cn2ccc(=O)c([N+](=O)[O-])c2)cc1 ZINC000610482923 351570108 /nfs/dbraw/zinc/57/01/08/351570108.db2.gz BQTXFDKSQJQAEV-UHFFFAOYSA-N 0 0 276.317 2.527 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H](CO)Cc1ccccc1 ZINC000610514792 351575739 /nfs/dbraw/zinc/57/57/39/351575739.db2.gz QDAFMHNAGPGACU-LBPRGKRZSA-N 0 0 292.360 2.919 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC000610526247 351578490 /nfs/dbraw/zinc/57/84/90/351578490.db2.gz JAYDZYGLGQBBMH-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@H](CNC(=O)c1csc([N+](=O)[O-])c1)c1ccncc1 ZINC000610526282 351579162 /nfs/dbraw/zinc/57/91/62/351579162.db2.gz MFEVYPSQCMLRJW-SECBINFHSA-N 0 0 291.332 2.585 20 5 CFBDRN CCN(CC)C(=O)CCCNc1ccsc1[N+](=O)[O-] ZINC000610550291 351581514 /nfs/dbraw/zinc/58/15/14/351581514.db2.gz DOJIYFZGHGXOFV-UHFFFAOYSA-N 0 0 285.369 2.717 20 5 CFBDRN CSc1ccncc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000610545771 351581644 /nfs/dbraw/zinc/58/16/44/351581644.db2.gz UZVPISILWJRTEF-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN CCN(CCn1c(C)ncc1[N+](=O)[O-])c1ccccc1 ZINC000610653506 351588361 /nfs/dbraw/zinc/58/83/61/351588361.db2.gz MDIMOZSRVPYVPG-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN COC(=O)[C@H](Nc1ccc(F)cc1[N+](=O)[O-])C(C)(C)C ZINC000610661568 351588893 /nfs/dbraw/zinc/58/88/93/351588893.db2.gz IRJYTBGEEUIEIO-NSHDSACASA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@H]2CCCCO2)c1 ZINC000610677280 351591623 /nfs/dbraw/zinc/59/16/23/351591623.db2.gz ASRSOQDOZJRKPA-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN O=C(NC1(C(F)(F)F)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000610704166 351594262 /nfs/dbraw/zinc/59/42/62/351594262.db2.gz BUKRBCKBCJMSKT-UHFFFAOYSA-N 0 0 292.188 2.559 20 5 CFBDRN CC(C)(CNc1cccnc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000610716617 351596155 /nfs/dbraw/zinc/59/61/55/351596155.db2.gz GGIDIVFSPJEURM-GFCCVEGCSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@]1(c2ccccc2)CN(c2cccnc2[N+](=O)[O-])CCO1 ZINC000610735439 351600114 /nfs/dbraw/zinc/60/01/14/351600114.db2.gz MFVJFICUQLPRQL-MRXNPFEDSA-N 0 0 299.330 2.742 20 5 CFBDRN O=C([C@H]1CC12CCC2)N1CCc2c1cccc2[N+](=O)[O-] ZINC000610739507 351601136 /nfs/dbraw/zinc/60/11/36/351601136.db2.gz YFBQWLQLAABYAD-LLVKDONJSA-N 0 0 272.304 2.674 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC000610856044 351613995 /nfs/dbraw/zinc/61/39/95/351613995.db2.gz MMXDFKKBUVLFHZ-UMVBOHGHSA-N 0 0 281.315 2.988 20 5 CFBDRN O=C(NCCCCc1ccccc1)c1ccc([N+](=O)[O-])cn1 ZINC000610769365 351606410 /nfs/dbraw/zinc/60/64/10/351606410.db2.gz SBCZTEBCHOCGIV-UHFFFAOYSA-N 0 0 299.330 2.743 20 5 CFBDRN COC(=O)c1cc(N2C[C@H](C)C[C@H]2C)cc(C)c1[N+](=O)[O-] ZINC000610769908 351606688 /nfs/dbraw/zinc/60/66/88/351606688.db2.gz WOTSZNRGCKZMBQ-MWLCHTKSSA-N 0 0 292.335 2.925 20 5 CFBDRN CN(CCOC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000610856169 351614066 /nfs/dbraw/zinc/61/40/66/351614066.db2.gz KJSOGRNEFCAQCJ-UHFFFAOYSA-N 0 0 252.314 2.846 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000610790415 351608820 /nfs/dbraw/zinc/60/88/20/351608820.db2.gz IKXJTEXUJYDWCQ-VIFPVBQESA-N 0 0 284.262 2.821 20 5 CFBDRN O=C(N[C@H]1CCC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000610790586 351608844 /nfs/dbraw/zinc/60/88/44/351608844.db2.gz VRYREDZJIJLKKZ-QMMMGPOBSA-N 0 0 270.235 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC(F)(F)C1 ZINC000610791079 351608846 /nfs/dbraw/zinc/60/88/46/351608846.db2.gz SDFMOMOUZUXJSC-VIFPVBQESA-N 0 0 284.262 2.821 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC2(CC(F)C2)C1 ZINC000611076842 351637505 /nfs/dbraw/zinc/63/75/05/351637505.db2.gz JOGUUPVYLNOARE-UHFFFAOYSA-N 0 0 298.701 2.822 20 5 CFBDRN Cc1sc(C(=O)N2CC3(CC(F)C3)C2)cc1[N+](=O)[O-] ZINC000611077402 351637587 /nfs/dbraw/zinc/63/75/87/351637587.db2.gz RHTAUFRRXBUCCN-UHFFFAOYSA-N 0 0 284.312 2.539 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC2(CC(F)C2)C1 ZINC000611080245 351638355 /nfs/dbraw/zinc/63/83/55/351638355.db2.gz PPAGYFDBQYAOEP-UHFFFAOYSA-N 0 0 250.273 2.529 20 5 CFBDRN CC(C)C1CN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000611078809 351638532 /nfs/dbraw/zinc/63/85/32/351638532.db2.gz ZWNWFQFVIPDTDV-UHFFFAOYSA-N 0 0 255.705 2.735 20 5 CFBDRN CCOc1ccc(C(=O)N2CC(C(C)C)C2)cc1[N+](=O)[O-] ZINC000611078973 351638604 /nfs/dbraw/zinc/63/86/04/351638604.db2.gz FZEOJWPTQOUNGJ-UHFFFAOYSA-N 0 0 292.335 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ccccn3)CC2)cn1 ZINC000611085124 351639356 /nfs/dbraw/zinc/63/93/56/351639356.db2.gz HQBNRUPOTSPDGM-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN C[C@@H](Nc1cccnc1[N+](=O)[O-])[C@@H]1COc2ccccc21 ZINC000611103894 351639963 /nfs/dbraw/zinc/63/99/63/351639963.db2.gz CMYBGBNTSVBKLO-PWSUYJOCSA-N 0 0 285.303 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC2CC2)c2ccncc21 ZINC000611104244 351640345 /nfs/dbraw/zinc/64/03/45/351640345.db2.gz PMJYTVYYCYTFHH-NSHDSACASA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCO[C@@H]2C2CC2)s1 ZINC000611166633 351645905 /nfs/dbraw/zinc/64/59/05/351645905.db2.gz IEYVLWNIZSWDOC-GZMMTYOYSA-N 0 0 254.311 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC[C@@H](C(F)F)C3)nc2c1 ZINC000611179818 351648978 /nfs/dbraw/zinc/64/89/78/351648978.db2.gz KROFFLSKSSJJIM-MRVPVSSYSA-N 0 0 297.261 2.823 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@@H](C(F)F)C2)cs1 ZINC000611179708 351649006 /nfs/dbraw/zinc/64/90/06/351649006.db2.gz HWMLPLVPWDGJSD-MRVPVSSYSA-N 0 0 262.281 2.743 20 5 CFBDRN CCC1(CO)CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000611204647 351651496 /nfs/dbraw/zinc/65/14/96/351651496.db2.gz KJIYWCUCKZLHCO-UHFFFAOYSA-N 0 0 270.354 2.645 20 5 CFBDRN COc1ccc(NCC=Cc2ccccc2[N+](=O)[O-])nc1 ZINC000610890305 351619042 /nfs/dbraw/zinc/61/90/42/351619042.db2.gz AQQVBFKNJHAASK-XQRVVYSFSA-N 0 0 285.303 2.546 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1nnc2n1CCCCC2 ZINC000610908712 351620656 /nfs/dbraw/zinc/62/06/56/351620656.db2.gz NQHNUYIRYUGVCF-UHFFFAOYSA-N 0 0 293.352 2.586 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000610970886 351626800 /nfs/dbraw/zinc/62/68/00/351626800.db2.gz POFCYPYWPZWUGX-WDEREUQCSA-N 0 0 282.365 2.909 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1ccccc1[N+](=O)[O-] ZINC000611373633 351677468 /nfs/dbraw/zinc/67/74/68/351677468.db2.gz LDJUZIGDNYLQFT-GXFFZTMASA-N 0 0 250.298 2.822 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H](O)COc1ccccc1 ZINC000611411543 351685196 /nfs/dbraw/zinc/68/51/96/351685196.db2.gz MRSBZWNQDXUIMS-JTQLQIEISA-N 0 0 294.332 2.508 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1sccc1[N+](=O)[O-] ZINC000611412038 351685283 /nfs/dbraw/zinc/68/52/83/351685283.db2.gz FEPTWCRMGAPKTK-UHFFFAOYSA-N 0 0 266.326 2.619 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1cccc(CO)c1 ZINC000611412987 351686073 /nfs/dbraw/zinc/68/60/73/351686073.db2.gz QVYLKRNRUIPSMX-UHFFFAOYSA-N 0 0 264.306 2.761 20 5 CFBDRN CC[C@H]1CN(c2sccc2[N+](=O)[O-])CCS1 ZINC000611412979 351686112 /nfs/dbraw/zinc/68/61/12/351686112.db2.gz STHGENNOGOJGNT-QMMMGPOBSA-N 0 0 258.368 2.988 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCc1ccc(CO)cc1 ZINC000611414978 351687665 /nfs/dbraw/zinc/68/76/65/351687665.db2.gz VIIYSLXNBBOONI-UHFFFAOYSA-N 0 0 278.333 2.803 20 5 CFBDRN CC(C)C[C@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611467984 351693506 /nfs/dbraw/zinc/69/35/06/351693506.db2.gz WDNVGPCXWXCZED-NEPJUHHUSA-N 0 0 279.340 2.995 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000611494768 351697294 /nfs/dbraw/zinc/69/72/94/351697294.db2.gz MKHYPPVHUZKTRJ-ZDUSSCGKSA-N 0 0 268.288 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H](C(C)C)C2)c1 ZINC000611494760 351697313 /nfs/dbraw/zinc/69/73/13/351697313.db2.gz LPGUEZHQLODLRK-CQSZACIVSA-N 0 0 264.325 2.764 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000611494634 351697440 /nfs/dbraw/zinc/69/74/40/351697440.db2.gz WLTWLTBBGMUCJP-KOLCDFICSA-N 0 0 268.288 2.788 20 5 CFBDRN C[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1F ZINC000611494549 351697451 /nfs/dbraw/zinc/69/74/51/351697451.db2.gz VNPGTJNMOVEAET-VXNVDRBHSA-N 0 0 273.695 2.828 20 5 CFBDRN C[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000611501459 351698148 /nfs/dbraw/zinc/69/81/48/351698148.db2.gz CDMASHCWGSSWEQ-GWCFXTLKSA-N 0 0 252.289 2.775 20 5 CFBDRN C[C@H]1CCN(CCOc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000611501754 351699365 /nfs/dbraw/zinc/69/93/65/351699365.db2.gz HYXXWOSYLOMQSJ-SMDDNHRTSA-N 0 0 282.315 2.654 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000611505314 351700304 /nfs/dbraw/zinc/70/03/04/351700304.db2.gz BXWCWMIESNAZMM-JOYOIKCWSA-N 0 0 280.299 2.723 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611507041 351701106 /nfs/dbraw/zinc/70/11/06/351701106.db2.gz WMYSEJHILYQNEV-PELKAZGASA-N 0 0 284.262 2.554 20 5 CFBDRN CN(Cc1ccsc1)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000611527126 351705246 /nfs/dbraw/zinc/70/52/46/351705246.db2.gz VTEHWIOYSJNMKD-UHFFFAOYSA-N 0 0 291.332 2.511 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cnn(CC2CC2)c1 ZINC000611297524 351665004 /nfs/dbraw/zinc/66/50/04/351665004.db2.gz DRVGMAWFYHGDOR-UHFFFAOYSA-N 0 0 273.296 2.648 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C)C[C@@H]1CCOC1 ZINC000611298290 351665280 /nfs/dbraw/zinc/66/52/80/351665280.db2.gz XMPQGONXWONOAG-MNOVXSKESA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1ccncc1[C@@H](C)Nc1cccnc1[N+](=O)[O-] ZINC000611299196 351666075 /nfs/dbraw/zinc/66/60/75/351666075.db2.gz VQRRJOOXYVYIBA-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1ccncc1[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000611300329 351666482 /nfs/dbraw/zinc/66/64/82/351666482.db2.gz LVACZEGBCKCQGV-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1nc(N[C@H]2CCO[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000611307049 351668000 /nfs/dbraw/zinc/66/80/00/351668000.db2.gz RJKRIKLLGWOLFJ-AAEUAGOBSA-N 0 0 279.340 2.914 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])s1)[C@@H]1CCCOC1 ZINC000611540289 351705780 /nfs/dbraw/zinc/70/57/80/351705780.db2.gz ACJJDQARZOUCRH-DTWKUNHWSA-N 0 0 256.327 2.883 20 5 CFBDRN CC(C)(C)OC(=O)CCCCNc1ccc([N+](=O)[O-])nc1 ZINC000611338651 351670259 /nfs/dbraw/zinc/67/02/59/351670259.db2.gz SHDGJGWTTUYHMS-UHFFFAOYSA-N 0 0 295.339 2.914 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1ccsc1[N+](=O)[O-] ZINC000611373353 351676526 /nfs/dbraw/zinc/67/65/26/351676526.db2.gz OHZNJQSKOWYJCF-WCBMZHEXSA-N 0 0 256.327 2.883 20 5 CFBDRN O=C(c1ccc(OC(F)F)c([N+](=O)[O-])c1)N1CC=CCC1 ZINC000611618706 351716095 /nfs/dbraw/zinc/71/60/95/351716095.db2.gz OVXYAYQKDNYGHZ-UHFFFAOYSA-N 0 0 298.245 2.598 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC[C@H]3CC=CCC3)c2c1 ZINC000611706961 351726836 /nfs/dbraw/zinc/72/68/36/351726836.db2.gz CTQQXEKSSVBQPC-NSHDSACASA-N 0 0 284.319 2.728 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611709252 351727952 /nfs/dbraw/zinc/72/79/52/351727952.db2.gz SCQZNRLMZDMYCF-AOOOYVTPSA-N 0 0 272.308 2.915 20 5 CFBDRN COCC1(N(C)Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000611794037 351741289 /nfs/dbraw/zinc/74/12/89/351741289.db2.gz JKYCXJNQMWQXIL-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000291666513 198056003 /nfs/dbraw/zinc/05/60/03/198056003.db2.gz QUDAVSRJYWJKOY-JTQLQIEISA-N 0 0 291.351 2.943 20 5 CFBDRN Cc1nccc(NC(=O)c2ccc([N+](=O)[O-])s2)c1Cl ZINC000611909696 351750343 /nfs/dbraw/zinc/75/03/43/351750343.db2.gz NURLPBAEFLOZEC-UHFFFAOYSA-N 0 0 297.723 2.687 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H](OC(F)F)C1 ZINC000611583655 351712025 /nfs/dbraw/zinc/71/20/25/351712025.db2.gz NLCMBPMGBCXGMT-SECBINFHSA-N 0 0 272.251 2.803 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@H]2C2CC2)n1 ZINC000611615873 351714413 /nfs/dbraw/zinc/71/44/13/351714413.db2.gz DXMIXNBEPCJILN-LBPRGKRZSA-N 0 0 261.325 2.985 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](CO)CC(C)(C)C)n1 ZINC000611617452 351715340 /nfs/dbraw/zinc/71/53/40/351715340.db2.gz WDZAEKSWTLBXKM-NSHDSACASA-N 0 0 281.356 2.816 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C1(C)C ZINC000611617169 351715560 /nfs/dbraw/zinc/71/55/60/351715560.db2.gz LXOLIIBXJAPRJG-WDEREUQCSA-N 0 0 279.340 2.832 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@]2(C)CCCC[C@@H]2O)n1 ZINC000611616995 351715764 /nfs/dbraw/zinc/71/57/64/351715764.db2.gz UEIFHGZQOFHBHK-SWLSCSKDSA-N 0 0 293.367 2.960 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC([C@@H]3CCOC3)CC2)cs1 ZINC000612131098 351778843 /nfs/dbraw/zinc/77/88/43/351778843.db2.gz JPJCIMBWANPNCZ-CYBMUJFWSA-N 0 0 296.392 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000612134138 351779054 /nfs/dbraw/zinc/77/90/54/351779054.db2.gz KZJPOAUJUNTUCE-APYUEPQZSA-N 0 0 290.363 2.667 20 5 CFBDRN O=c1[nH]c2ccc(CNc3ccsc3[N+](=O)[O-])cc2[nH]1 ZINC000612094721 351773728 /nfs/dbraw/zinc/77/37/28/351773728.db2.gz SZYWMOASJHRFKC-UHFFFAOYSA-N 0 0 290.304 2.850 20 5 CFBDRN COc1ccc2c(c1)CC[C@@H](Nc1ccc([N+](=O)[O-])nc1)C2 ZINC000612098168 351774426 /nfs/dbraw/zinc/77/44/26/351774426.db2.gz HAMOMJSMWAZFSQ-CYBMUJFWSA-N 0 0 299.330 2.968 20 5 CFBDRN COCCN(CC(C)C)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000121978424 187008613 /nfs/dbraw/zinc/00/86/13/187008613.db2.gz ZHCHFZVFFMAPKE-UHFFFAOYSA-N 0 0 294.351 2.648 20 5 CFBDRN CC(C)[C@@H](C)NC(=O)Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000122009198 187009735 /nfs/dbraw/zinc/00/97/35/187009735.db2.gz FKADORFFHNPJQS-LLVKDONJSA-N 0 0 289.335 2.710 20 5 CFBDRN CCCCC[C@@H](C)CC(=O)NCCn1cc([N+](=O)[O-])cn1 ZINC000122195124 187020510 /nfs/dbraw/zinc/02/05/10/187020510.db2.gz XJMNWQFSIWFWLL-GFCCVEGCSA-N 0 0 296.371 2.514 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC=CCC1 ZINC000612616507 351844232 /nfs/dbraw/zinc/84/42/32/351844232.db2.gz IISDOJLBDHQJEF-UHFFFAOYSA-N 0 0 265.244 2.528 20 5 CFBDRN CC(C)C1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000612616869 351844910 /nfs/dbraw/zinc/84/49/10/351844910.db2.gz PHZGHUUBNSJBLR-UHFFFAOYSA-N 0 0 281.287 2.854 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOCC3(CCC3)C2)c1 ZINC000612665563 351849182 /nfs/dbraw/zinc/84/91/82/351849182.db2.gz AIKWPRUQGWYQOM-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000291760077 198083036 /nfs/dbraw/zinc/08/30/36/198083036.db2.gz AXZXQIRBAOMKAZ-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN CCc1noc([C@@H](C)Oc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000123193133 187076705 /nfs/dbraw/zinc/07/67/05/187076705.db2.gz OVJGBPUYBSIUPX-SSDOTTSWSA-N 0 0 281.243 2.819 20 5 CFBDRN Cc1nc(CN(C)c2nc(C)ccc2[N+](=O)[O-])cs1 ZINC000123231923 187078946 /nfs/dbraw/zinc/07/89/46/187078946.db2.gz BPPOYYRRDQGOSV-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN CC(C)CONC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000123362886 187087505 /nfs/dbraw/zinc/08/75/05/187087505.db2.gz PSGZNWZANJNIAB-UHFFFAOYSA-N 0 0 287.703 2.957 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nc(-c2ccccn2)no1 ZINC000123421703 187091273 /nfs/dbraw/zinc/09/12/73/187091273.db2.gz URGVLTIXQKYXIF-UHFFFAOYSA-N 0 0 298.258 2.619 20 5 CFBDRN COCCCCCNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000123528294 187096648 /nfs/dbraw/zinc/09/66/48/187096648.db2.gz HZBFOHMETUYXOS-UHFFFAOYSA-N 0 0 286.303 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCC=C(F)C3)c2c1 ZINC000613331448 351941139 /nfs/dbraw/zinc/94/11/39/351941139.db2.gz BCONPPYZZKFQPB-UHFFFAOYSA-N 0 0 274.255 2.602 20 5 CFBDRN COC(=O)c1ccc(OCCC(C)C)cc1[N+](=O)[O-] ZINC000613110346 351899169 /nfs/dbraw/zinc/89/91/69/351899169.db2.gz LEDQJUIKSHTSOZ-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ncc(Cl)s2)cc1 ZINC000613179782 351910537 /nfs/dbraw/zinc/91/05/37/351910537.db2.gz AIDSRFBQSIHRRB-UHFFFAOYSA-N 0 0 283.740 2.781 20 5 CFBDRN CC[C@@H](C)[C@@H]1CCCCN1c1c([N+](=O)[O-])ncn1C ZINC000613184190 351911010 /nfs/dbraw/zinc/91/10/10/351911010.db2.gz XHIJPLXKTNMEDJ-MNOVXSKESA-N 0 0 266.345 2.733 20 5 CFBDRN CC[C@H](C)[C@H]1CCCCN1c1c([N+](=O)[O-])ncn1C ZINC000613184192 351911175 /nfs/dbraw/zinc/91/11/75/351911175.db2.gz XHIJPLXKTNMEDJ-WDEREUQCSA-N 0 0 266.345 2.733 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2cccc([N+](=O)[O-])c2)CC[C@@H]1C ZINC000131330079 187558304 /nfs/dbraw/zinc/55/83/04/187558304.db2.gz JEHKTSRNHAJSDY-RYUDHWBXSA-N 0 0 276.336 2.642 20 5 CFBDRN COCC1(CNc2ccc(C)cc2[N+](=O)[O-])CCOCC1 ZINC000291946937 198114176 /nfs/dbraw/zinc/11/41/76/198114176.db2.gz UCTVCFVYXACTBR-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000614150158 352052919 /nfs/dbraw/zinc/05/29/19/352052919.db2.gz FIEUXSXOBJZPHY-APPZFPTMSA-N 0 0 290.245 2.552 20 5 CFBDRN CC(C)=C[C@H]1[C@H](C(=O)Nc2c([N+](=O)[O-])cnn2C)C1(C)C ZINC000613849110 352016727 /nfs/dbraw/zinc/01/67/27/352016727.db2.gz FTDUDMJLDLVIOK-GXSJLCMTSA-N 0 0 292.339 2.505 20 5 CFBDRN CSc1cccc(C(=O)Nc2cnoc2)c1[N+](=O)[O-] ZINC000614408922 352090679 /nfs/dbraw/zinc/09/06/79/352090679.db2.gz OMWPUIKRERYNTA-UHFFFAOYSA-N 0 0 279.277 2.557 20 5 CFBDRN CSc1cccc(C(=O)N2CCC2(C)C)c1[N+](=O)[O-] ZINC000614457031 352095916 /nfs/dbraw/zinc/09/59/16/352095916.db2.gz NXMRRUZIVIXZCG-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@H]21 ZINC000614466975 352097225 /nfs/dbraw/zinc/09/72/25/352097225.db2.gz VNIAPZNYBKBGEB-TZMCWYRMSA-N 0 0 289.335 2.679 20 5 CFBDRN CO[C@@H]1CN(c2sccc2[N+](=O)[O-])CC[C@H]1C ZINC000615179180 352163330 /nfs/dbraw/zinc/16/33/30/352163330.db2.gz PYAKNDLABBBIEV-PSASIEDQSA-N 0 0 256.327 2.518 20 5 CFBDRN CN(C[C@H]1CCOC1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000291993540 198129245 /nfs/dbraw/zinc/12/92/45/198129245.db2.gz QRUYLWSYGRJVGC-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN COCCN(CC(C)C)c1ccc([N+](=O)[O-])s1 ZINC000615494976 352199227 /nfs/dbraw/zinc/19/92/27/352199227.db2.gz ZZAFUXZCMWQCSJ-UHFFFAOYSA-N 0 0 258.343 2.765 20 5 CFBDRN CC1(C)CCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000615528365 352203879 /nfs/dbraw/zinc/20/38/79/352203879.db2.gz OSNMYHAXXRMJTF-UHFFFAOYSA-N 0 0 267.304 2.943 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000615569677 352207949 /nfs/dbraw/zinc/20/79/49/352207949.db2.gz RMCNZNNSZKBZIF-CKYFFXLPSA-N 0 0 262.309 2.736 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(O)cc1 ZINC000206716972 539837406 /nfs/dbraw/zinc/83/74/06/539837406.db2.gz SLEIPAURXQONQF-KMKOMSMNSA-N 0 0 284.271 2.952 20 5 CFBDRN CSc1ccc(Cn2c(C)ccc([N+](=O)[O-])c2=O)cc1 ZINC000615940307 352265090 /nfs/dbraw/zinc/26/50/90/352265090.db2.gz HRVBDNPRBXKTTC-UHFFFAOYSA-N 0 0 290.344 2.835 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])cc2C)CCOCC1 ZINC000292017064 198135712 /nfs/dbraw/zinc/13/57/12/198135712.db2.gz ZYQVLOQLHIPJIC-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2csc([N+](=O)[O-])c2)nc1 ZINC000616112053 352286150 /nfs/dbraw/zinc/28/61/50/352286150.db2.gz ZKYWPKKPSAWVEJ-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccccc2[N+](=O)[O-])C[C@@H]1C ZINC000132947066 187656649 /nfs/dbraw/zinc/65/66/49/187656649.db2.gz RFVNJCMFMWTEGW-NEPJUHHUSA-N 0 0 276.336 2.642 20 5 CFBDRN Cc1nnc(CNc2cc([N+](=O)[O-])ccc2C)s1 ZINC000271500990 191177357 /nfs/dbraw/zinc/17/73/57/191177357.db2.gz MCQUBDJVCGTFTQ-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCc1cc(C)nc(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000616386725 352338131 /nfs/dbraw/zinc/33/81/31/352338131.db2.gz AWKVFHUKOFKZRL-UHFFFAOYSA-N 0 0 286.335 2.598 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)C2CCC2)cc([N+](=O)[O-])c1C ZINC000616404977 352343110 /nfs/dbraw/zinc/34/31/10/352343110.db2.gz HCQPYCUYEVAREV-SNVBAGLBSA-N 0 0 292.335 2.830 20 5 CFBDRN CC(C)(O)COc1c(Br)cccc1[N+](=O)[O-] ZINC000133614679 187696742 /nfs/dbraw/zinc/69/67/42/187696742.db2.gz ZZOZDPKQDPWMCH-UHFFFAOYSA-N 0 0 290.113 2.507 20 5 CFBDRN C[C@H]1CSCCN(c2sccc2[N+](=O)[O-])C1 ZINC000617003355 352459877 /nfs/dbraw/zinc/45/98/77/352459877.db2.gz IGFNXVPAICKZTD-MRVPVSSYSA-N 0 0 258.368 2.846 20 5 CFBDRN C[C@@H]1CSCCN(c2sccc2[N+](=O)[O-])C1 ZINC000617003356 352460246 /nfs/dbraw/zinc/46/02/46/352460246.db2.gz IGFNXVPAICKZTD-QMMMGPOBSA-N 0 0 258.368 2.846 20 5 CFBDRN CCCc1nc(COc2ccc([N+](=O)[O-])cc2C)no1 ZINC000134064254 187723337 /nfs/dbraw/zinc/72/33/37/187723337.db2.gz ZUXFMFPRSICEAP-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN CCOc1cc(CSCC(C)=O)ccc1[N+](=O)[O-] ZINC000617192945 352509096 /nfs/dbraw/zinc/50/90/96/352509096.db2.gz LWZUMDMZCMXSES-UHFFFAOYSA-N 0 0 269.322 2.816 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)C1CCC1 ZINC000617383129 352553573 /nfs/dbraw/zinc/55/35/73/352553573.db2.gz LTLYEMKIHYXIAP-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCC(=O)N1CCCCC1 ZINC000134510425 187755833 /nfs/dbraw/zinc/75/58/33/187755833.db2.gz GABMVHGQBBDVFA-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN Cc1cc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)ncn1 ZINC000271575216 191220331 /nfs/dbraw/zinc/22/03/31/191220331.db2.gz WGNOPGGBERIGLY-GFCCVEGCSA-N 0 0 286.335 2.852 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000134923060 187782348 /nfs/dbraw/zinc/78/23/48/187782348.db2.gz SGEIGWOSUCPZJC-AAEUAGOBSA-N 0 0 294.351 2.957 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000617687334 352628881 /nfs/dbraw/zinc/62/88/81/352628881.db2.gz XUBOYNVHSLTCHA-VXGBXAGGSA-N 0 0 276.336 2.957 20 5 CFBDRN C[C@H](C(=O)NCCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000617739638 352631314 /nfs/dbraw/zinc/63/13/14/352631314.db2.gz VBSYBCXIMCYCIF-JTQLQIEISA-N 0 0 262.309 2.615 20 5 CFBDRN Cc1nnc(CNC(C)(C)c2ccccc2[N+](=O)[O-])s1 ZINC000292128210 198173611 /nfs/dbraw/zinc/17/36/11/198173611.db2.gz LAEHRXPFMLRVMR-UHFFFAOYSA-N 0 0 292.364 2.780 20 5 CFBDRN CC[C@]1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2C)CCO1 ZINC000135334215 187820978 /nfs/dbraw/zinc/82/09/78/187820978.db2.gz ZSJHKHIMVLDMQW-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1cccc(C(=O)N2[C@H]3C=CC[C@H]2CC3)c1[N+](=O)[O-] ZINC000618237293 352737428 /nfs/dbraw/zinc/73/74/28/352737428.db2.gz LHHFPGBCYRBLFX-QWRGUYRKSA-N 0 0 288.303 2.537 20 5 CFBDRN C[C@H](NC(=O)c1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000618356913 352757982 /nfs/dbraw/zinc/75/79/82/352757982.db2.gz FPEVGELCDWEIAZ-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN Cc1nc(C(=O)Nc2cccc([N+](=O)[O-])c2)sc1C ZINC000618398109 352765737 /nfs/dbraw/zinc/76/57/37/352765737.db2.gz YCNQSLTYLOIXHM-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CC(F)(F)C1 ZINC000618470605 352781543 /nfs/dbraw/zinc/78/15/43/352781543.db2.gz ZIMMZWHCBUIVDV-UHFFFAOYSA-N 0 0 290.653 2.648 20 5 CFBDRN CCCCOCCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273499666 192177182 /nfs/dbraw/zinc/17/71/82/192177182.db2.gz VAFICXZJNCETQF-UHFFFAOYSA-N 0 0 299.302 2.672 20 5 CFBDRN C[C@H]1CCCN1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000136839925 187889139 /nfs/dbraw/zinc/88/91/39/187889139.db2.gz FYOMUWGLJFIGSA-JTQLQIEISA-N 0 0 287.319 2.630 20 5 CFBDRN CC(C)(NCc1ccno1)c1ccccc1[N+](=O)[O-] ZINC000292191577 198196882 /nfs/dbraw/zinc/19/68/82/198196882.db2.gz RNQJGEYLSWIHIC-UHFFFAOYSA-N 0 0 261.281 2.608 20 5 CFBDRN Cc1cc(N2CC[C@@H](n3cccn3)C2)c(F)cc1[N+](=O)[O-] ZINC000292195887 198197674 /nfs/dbraw/zinc/19/76/74/198197674.db2.gz CAISSCQXXKSMPW-LLVKDONJSA-N 0 0 290.298 2.690 20 5 CFBDRN Cc1nnsc1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292170746 198190708 /nfs/dbraw/zinc/19/07/08/198190708.db2.gz DRYJWXQOCMTVFQ-UHFFFAOYSA-N 0 0 282.300 2.814 20 5 CFBDRN Cc1cccc(C)c1NC(=O)[C@H](C)n1cc([N+](=O)[O-])cn1 ZINC000144725505 187912195 /nfs/dbraw/zinc/91/21/95/187912195.db2.gz ILKNUAJBAIQNDW-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN CCOC(=O)COc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC000139672394 187906849 /nfs/dbraw/zinc/90/68/49/187906849.db2.gz WGJVDDNHKBUXML-UHFFFAOYSA-N 0 0 294.090 2.844 20 5 CFBDRN Cc1cc(N2CC[C@H](n3cccn3)C2)c(F)cc1[N+](=O)[O-] ZINC000292195897 198198845 /nfs/dbraw/zinc/19/88/45/198198845.db2.gz CAISSCQXXKSMPW-NSHDSACASA-N 0 0 290.298 2.690 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000292196698 198198929 /nfs/dbraw/zinc/19/89/29/198198929.db2.gz XCZSBGXRDMASMV-VIFPVBQESA-N 0 0 266.297 2.611 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000619268552 352988864 /nfs/dbraw/zinc/98/88/64/352988864.db2.gz LRRBPXZVYVPOGU-SNVBAGLBSA-N 0 0 280.324 2.912 20 5 CFBDRN O=C(OC[C@@H]1CCCCO1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149550991 187922100 /nfs/dbraw/zinc/92/21/00/187922100.db2.gz VGRQYDCJMGZGMS-VIFPVBQESA-N 0 0 299.710 2.974 20 5 CFBDRN COC1(C)CCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000271651425 191261056 /nfs/dbraw/zinc/26/10/56/191261056.db2.gz IIBCUOTYBAVFPW-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000619425780 353021107 /nfs/dbraw/zinc/02/11/07/353021107.db2.gz SYHOIDNQGIGTAB-VIFPVBQESA-N 0 0 266.297 2.522 20 5 CFBDRN COc1c(C(=O)N2CCCC23CCC3)cccc1[N+](=O)[O-] ZINC000619450014 353025666 /nfs/dbraw/zinc/02/56/66/353025666.db2.gz CORFKEJIVSFAQW-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN COc1cnccc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000619570113 353036828 /nfs/dbraw/zinc/03/68/28/353036828.db2.gz CXEKIPCUBDFCGV-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@H](C2CC2)O1 ZINC000619500013 353029580 /nfs/dbraw/zinc/02/95/80/353029580.db2.gz XFWXZQLKPYORBF-PSASIEDQSA-N 0 0 268.338 2.660 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000619500233 353029619 /nfs/dbraw/zinc/02/96/19/353029619.db2.gz ZWWZIHLFVPWKNS-NSHDSACASA-N 0 0 256.327 2.662 20 5 CFBDRN C[C@H]1CN(c2sccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000619499889 353029668 /nfs/dbraw/zinc/02/96/68/353029668.db2.gz DSYWQWYZTKGXIQ-GZMMTYOYSA-N 0 0 268.338 2.660 20 5 CFBDRN CO[C@@]1(C)CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000619500220 353029688 /nfs/dbraw/zinc/02/96/88/353029688.db2.gz WZPCFEAVFKGBBE-NSHDSACASA-N 0 0 256.327 2.662 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCO[C@@H]1CCCCO1 ZINC000292244140 198215064 /nfs/dbraw/zinc/21/50/64/198215064.db2.gz GQLDNBCSMRSMHM-CYBMUJFWSA-N 0 0 284.287 2.689 20 5 CFBDRN O=[N+]([O-])c1ccn(CCN2CCCCc3sccc32)n1 ZINC000619554047 353035677 /nfs/dbraw/zinc/03/56/77/353035677.db2.gz CMLZQADPRMYDEK-UHFFFAOYSA-N 0 0 292.364 2.696 20 5 CFBDRN Cn1nccc1C1=CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000286665765 282859306 /nfs/dbraw/zinc/85/93/06/282859306.db2.gz VTBXPZPBIFXWOZ-UHFFFAOYSA-N 0 0 284.319 2.622 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000619364669 353006636 /nfs/dbraw/zinc/00/66/36/353006636.db2.gz LVEQOVICCCCOJR-SNVBAGLBSA-N 0 0 295.314 2.718 20 5 CFBDRN Cn1nccc1CCSc1ccc([N+](=O)[O-])cc1F ZINC000619791262 353066582 /nfs/dbraw/zinc/06/65/82/353066582.db2.gz STBCWHSKIRBXIX-UHFFFAOYSA-N 0 0 281.312 2.802 20 5 CFBDRN Cc1ccnc(N[C@@H]2C[C@H]2C(F)(F)F)c1[N+](=O)[O-] ZINC000619698016 353052527 /nfs/dbraw/zinc/05/25/27/353052527.db2.gz BPXUFTHUVAAPOA-RNFRBKRXSA-N 0 0 261.203 2.661 20 5 CFBDRN C[C@H]1CCCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151912513 187985936 /nfs/dbraw/zinc/98/59/36/187985936.db2.gz JPCBJQGWBBBTLA-NSHDSACASA-N 0 0 262.309 2.538 20 5 CFBDRN CC(C)N(C)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152519444 188025411 /nfs/dbraw/zinc/02/54/11/188025411.db2.gz WKKDPYHKLXETKH-UHFFFAOYSA-N 0 0 256.689 2.729 20 5 CFBDRN CC[C@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000152840884 188047285 /nfs/dbraw/zinc/04/72/85/188047285.db2.gz HXYLRWRMARLTOA-QMMMGPOBSA-N 0 0 266.326 2.540 20 5 CFBDRN CCCC[C@H](CCC)CNC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000153019505 188059286 /nfs/dbraw/zinc/05/92/86/188059286.db2.gz OGQXCRFLAZPZTO-JTQLQIEISA-N 0 0 282.344 2.654 20 5 CFBDRN Cc1cc(N[C@@H](C)CO[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000292345609 198253475 /nfs/dbraw/zinc/25/34/75/198253475.db2.gz BRLIBQQIXWGWTA-WDEREUQCSA-N 0 0 298.314 2.648 20 5 CFBDRN CCOCCN(CC)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153739050 188100812 /nfs/dbraw/zinc/10/08/12/188100812.db2.gz CNSUJXXQEIHZBM-UHFFFAOYSA-N 0 0 273.720 2.506 20 5 CFBDRN COc1cc(NC[C@@](C)(OC)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000292335421 198248509 /nfs/dbraw/zinc/24/85/09/198248509.db2.gz NGRAMHPAMJQEKL-CQSZACIVSA-N 0 0 298.314 2.970 20 5 CFBDRN CC[C@H](CCO)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292364789 198260105 /nfs/dbraw/zinc/26/01/05/198260105.db2.gz CXVLHCCEFLUGJO-SNVBAGLBSA-N 0 0 270.304 2.863 20 5 CFBDRN CC[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153937532 188113035 /nfs/dbraw/zinc/11/30/35/188113035.db2.gz WHOIKDLJKKAOIW-MRVPVSSYSA-N 0 0 255.705 2.880 20 5 CFBDRN COc1cc(CS[C@@H](C)[C@H](C)O)c([N+](=O)[O-])cc1F ZINC000274192152 192478899 /nfs/dbraw/zinc/47/88/99/192478899.db2.gz FJWATCSKVHUWHR-YUMQZZPRSA-N 0 0 289.328 2.745 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1CCCCO1 ZINC000292352508 198255390 /nfs/dbraw/zinc/25/53/90/198255390.db2.gz PKKINCORQAEVRT-JTQLQIEISA-N 0 0 284.699 2.756 20 5 CFBDRN CCC(CC)(CNC(=O)c1cccc([N+](=O)[O-])c1C)OC ZINC000292352504 198255554 /nfs/dbraw/zinc/25/55/54/198255554.db2.gz PUWXAEIXYSCRCZ-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H](n2cccn2)C1 ZINC000292401271 198274141 /nfs/dbraw/zinc/27/41/41/198274141.db2.gz RUIRDVFWWMTLSG-GFCCVEGCSA-N 0 0 272.308 2.551 20 5 CFBDRN CCc1nc(C)c(CNc2cc(N)ccc2[N+](=O)[O-])o1 ZINC000292405320 198275850 /nfs/dbraw/zinc/27/58/50/198275850.db2.gz VFNBSKLHAUPUNA-UHFFFAOYSA-N 0 0 276.296 2.648 20 5 CFBDRN Cc1ccc(N2CC[C@@H](n3cccn3)C2)c([N+](=O)[O-])c1 ZINC000292427969 198285441 /nfs/dbraw/zinc/28/54/41/198285441.db2.gz URDMIYBWGWDVPU-GFCCVEGCSA-N 0 0 272.308 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCOC(C)(C)C2)c1 ZINC000155611214 188216977 /nfs/dbraw/zinc/21/69/77/188216977.db2.gz INZSUCUHDRUJDW-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000155533842 188212613 /nfs/dbraw/zinc/21/26/13/188212613.db2.gz GTRZZFZLPQXAES-ZYHUDNBSSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1cc(N[C@H](C)c2cn[nH]c2)c(F)cc1[N+](=O)[O-] ZINC000292517045 198317733 /nfs/dbraw/zinc/31/77/33/198317733.db2.gz GSVCYHZBDFMVPG-MRVPVSSYSA-N 0 0 264.260 2.939 20 5 CFBDRN CCc1nn(C)c(NC[C@@]2(C)CCCS2)c1[N+](=O)[O-] ZINC000155753865 188225027 /nfs/dbraw/zinc/22/50/27/188225027.db2.gz SQWXIVHWDRVWTH-GFCCVEGCSA-N 0 0 284.385 2.588 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@]1(C)CCCS1 ZINC000155764736 188225792 /nfs/dbraw/zinc/22/57/92/188225792.db2.gz WVZRACIVLBPEQR-GFCCVEGCSA-N 0 0 284.385 2.817 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000156787780 188281553 /nfs/dbraw/zinc/28/15/53/188281553.db2.gz GBMYUKOCULKOFC-RYUDHWBXSA-N 0 0 279.340 2.630 20 5 CFBDRN Cc1ccc(NCCCNC(=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000156892307 188286884 /nfs/dbraw/zinc/28/68/84/188286884.db2.gz MYPHQXBAAVBFHQ-UHFFFAOYSA-N 0 0 293.367 2.868 20 5 CFBDRN CCC[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000157078931 188296059 /nfs/dbraw/zinc/29/60/59/188296059.db2.gz PUAZOODJMFSNTN-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2cc(C)c([N+](=O)[O-])cc2F)[nH]1 ZINC000292540627 198326137 /nfs/dbraw/zinc/32/61/37/198326137.db2.gz IHDVFKPVAVRWDR-SSDOTTSWSA-N 0 0 279.275 2.642 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157332084 188310440 /nfs/dbraw/zinc/31/04/40/188310440.db2.gz AOIUKUDCTCMEKL-NXEZZACHSA-N 0 0 277.324 2.580 20 5 CFBDRN CC1=C(C(=O)Nc2cccc([N+](=O)[O-])c2)CCCO1 ZINC000157368344 188312615 /nfs/dbraw/zinc/31/26/15/188312615.db2.gz GPWBPPCQAJOPIN-UHFFFAOYSA-N 0 0 262.265 2.618 20 5 CFBDRN Cc1cc(N[C@H](C)CC[S@@](C)=O)c(F)cc1[N+](=O)[O-] ZINC000292573786 198338107 /nfs/dbraw/zinc/33/81/07/198338107.db2.gz KLOHHRWXIJNLAN-AYLIAGHASA-N 0 0 288.344 2.611 20 5 CFBDRN Cc1cc(N[C@H](C)CC[S@](C)=O)c(F)cc1[N+](=O)[O-] ZINC000292573787 198338466 /nfs/dbraw/zinc/33/84/66/198338466.db2.gz KLOHHRWXIJNLAN-HOGDKLEQSA-N 0 0 288.344 2.611 20 5 CFBDRN COCCC1(C)CN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000292535194 198323697 /nfs/dbraw/zinc/32/36/97/198323697.db2.gz IAHODKFIODQASX-UHFFFAOYSA-N 0 0 282.315 2.905 20 5 CFBDRN Cc1cc(NCCNC(=O)C(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000292590177 198343465 /nfs/dbraw/zinc/34/34/65/198343465.db2.gz LPQSXZPYLCRMCK-UHFFFAOYSA-N 0 0 297.330 2.617 20 5 CFBDRN CCC(CC)(CO)CNc1ccc([N+](=O)[O-])cc1COC ZINC000157617137 188327579 /nfs/dbraw/zinc/32/75/79/188327579.db2.gz ZAPAAZYHKLMFJA-UHFFFAOYSA-N 0 0 296.367 2.952 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCCOC(C)(C)C ZINC000157728091 188335173 /nfs/dbraw/zinc/33/51/73/188335173.db2.gz GEPFRFBNFQLMTA-UHFFFAOYSA-N 0 0 281.308 2.991 20 5 CFBDRN Cc1cc(NCCC[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292669721 198369833 /nfs/dbraw/zinc/36/98/33/198369833.db2.gz QLKHUHVMNDFGSX-SECBINFHSA-N 0 0 256.277 2.615 20 5 CFBDRN CC(C)CSCCCNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000158574419 188383704 /nfs/dbraw/zinc/38/37/04/188383704.db2.gz NBKWMEMEJGFLHD-UHFFFAOYSA-N 0 0 286.353 2.697 20 5 CFBDRN Cc1ccc(NCCc2nccnc2C)c([N+](=O)[O-])c1 ZINC000292727534 198391260 /nfs/dbraw/zinc/39/12/60/198391260.db2.gz HWEYNSZCQBOCJK-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CC[C@H](O)CCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292729936 198391825 /nfs/dbraw/zinc/39/18/25/198391825.db2.gz UMTRFHZDIQKBBN-VIFPVBQESA-N 0 0 256.277 2.615 20 5 CFBDRN CCc1noc(C)c1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000292936271 198467713 /nfs/dbraw/zinc/46/77/13/198467713.db2.gz ZWBYEHYGTXWMHK-UHFFFAOYSA-N 0 0 276.296 2.648 20 5 CFBDRN C[C@@H](Nc1c(Cl)cccc1[N+](=O)[O-])c1ncn(C)n1 ZINC000293018498 198497368 /nfs/dbraw/zinc/49/73/68/198497368.db2.gz KKUUQHJUJPEEEB-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN CC(C)CN(C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271718141 191288780 /nfs/dbraw/zinc/28/87/80/191288780.db2.gz QRYQMHQCMCUBEF-LLVKDONJSA-N 0 0 279.340 2.953 20 5 CFBDRN CC/C=C\CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000454194885 535254805 /nfs/dbraw/zinc/25/48/05/535254805.db2.gz GKXZHIDSTDWXDZ-PLNGDYQASA-N 0 0 263.297 2.991 20 5 CFBDRN CC[C@H](SC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295260671 199427941 /nfs/dbraw/zinc/42/79/41/199427941.db2.gz GBRIXVMNMADTAQ-CABZTGNLSA-N 0 0 282.365 2.914 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000167224030 188641627 /nfs/dbraw/zinc/64/16/27/188641627.db2.gz LBJSTNAVECQBSW-YUMQZZPRSA-N 0 0 258.705 2.677 20 5 CFBDRN C[C@@H](Nc1cccc(F)c1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000166379274 188636743 /nfs/dbraw/zinc/63/67/43/188636743.db2.gz OQLJWRBXKZAUNQ-RKDXNWHRSA-N 0 0 254.261 2.571 20 5 CFBDRN CC1(C)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000273507472 192180251 /nfs/dbraw/zinc/18/02/51/192180251.db2.gz WGBJHAYFCYZACL-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1([C@H](C)CCO)CCC1 ZINC000271770906 191317614 /nfs/dbraw/zinc/31/76/14/191317614.db2.gz GIXZXSFPTWKCKQ-GFCCVEGCSA-N 0 0 293.367 2.899 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172884504 188832442 /nfs/dbraw/zinc/83/24/42/188832442.db2.gz ZLBJELYAMMLUOP-GHMZBOCLSA-N 0 0 264.325 2.688 20 5 CFBDRN CCCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000172976973 188836534 /nfs/dbraw/zinc/83/65/34/188836534.db2.gz MFWYXBKECIGNQC-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1sc(C(=O)NOC2CCCC2)cc1[N+](=O)[O-] ZINC000271809577 191340969 /nfs/dbraw/zinc/34/09/69/191340969.db2.gz UBFYNWIHJYSPQT-UHFFFAOYSA-N 0 0 270.310 2.569 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272382966 191690240 /nfs/dbraw/zinc/69/02/40/191690240.db2.gz BTTYDCINBHLJBT-WDEREUQCSA-N 0 0 294.351 2.902 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]2CCC[C@@H]21 ZINC000174337143 188893263 /nfs/dbraw/zinc/89/32/63/188893263.db2.gz WURAFLVBLQVRPY-FZMZJTMJSA-N 0 0 274.320 3.000 20 5 CFBDRN C[C@@H]1CCCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000272517903 191764523 /nfs/dbraw/zinc/76/45/23/191764523.db2.gz KWJBDWZISCURCF-SNVBAGLBSA-N 0 0 298.289 2.945 20 5 CFBDRN CC(C)C1CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000174497105 188901313 /nfs/dbraw/zinc/90/13/13/188901313.db2.gz CQUVECYMJDNAIZ-UHFFFAOYSA-N 0 0 291.351 2.685 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@H]1CCCCS1 ZINC000272976645 192003686 /nfs/dbraw/zinc/00/36/86/192003686.db2.gz OIRUSNVZUKSKGK-CYBMUJFWSA-N 0 0 294.376 2.539 20 5 CFBDRN CC(C)OCCCC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000272966071 192000372 /nfs/dbraw/zinc/00/03/72/192000372.db2.gz PXWZTTUZDOTKSY-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000273518246 192183896 /nfs/dbraw/zinc/18/38/96/192183896.db2.gz YEGFHZSDIADTIS-DTORHVGOSA-N 0 0 281.287 2.854 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccccc2[N+](=O)[O-])s1 ZINC000273543764 192196512 /nfs/dbraw/zinc/19/65/12/192196512.db2.gz XIZZEFCGHBNARV-ZETCQYMHSA-N 0 0 264.310 2.928 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(c3ccc(F)cc3)CC2)nc1 ZINC000273608853 192227879 /nfs/dbraw/zinc/22/78/79/192227879.db2.gz LGJLJXGSPSHCQO-UHFFFAOYSA-N 0 0 288.282 2.668 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOC2CCCCCC2)nc1 ZINC000273622643 192235394 /nfs/dbraw/zinc/23/53/94/192235394.db2.gz OXDNRPIJDGRLNG-UHFFFAOYSA-N 0 0 280.328 2.536 20 5 CFBDRN C[C@@H]1C[C@H](CCNC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000273619870 192233045 /nfs/dbraw/zinc/23/30/45/192233045.db2.gz VOZLXFUMBMTNFY-VXGBXAGGSA-N 0 0 292.335 2.530 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cn1)c1cccc(F)c1 ZINC000273673584 192256515 /nfs/dbraw/zinc/25/65/15/192256515.db2.gz XZGRGLFYIXMLHM-UHFFFAOYSA-N 0 0 290.298 2.914 20 5 CFBDRN CCCOCc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273727743 192280166 /nfs/dbraw/zinc/28/01/66/192280166.db2.gz KCJJXQUBYGNGBJ-UHFFFAOYSA-N 0 0 277.280 2.880 20 5 CFBDRN CC(C)(CO)CCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000568623399 290562304 /nfs/dbraw/zinc/56/23/04/290562304.db2.gz OMQHIRJXLVFDLR-UHFFFAOYSA-N 0 0 293.367 2.689 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCO[C@H](C)C1 ZINC000569000551 290582142 /nfs/dbraw/zinc/58/21/42/290582142.db2.gz LKEYUOMASIEFJK-NXEZZACHSA-N 0 0 266.297 2.583 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000294217202 198955088 /nfs/dbraw/zinc/95/50/88/198955088.db2.gz YPDRTPZVKPIFEV-SECBINFHSA-N 0 0 273.292 2.701 20 5 CFBDRN CCOc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000294260483 198974306 /nfs/dbraw/zinc/97/43/06/198974306.db2.gz QHXVDDIWUGCSNT-UHFFFAOYSA-N 0 0 280.324 2.859 20 5 CFBDRN O=C(NC[C@@H](c1ccccc1)C1CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000294358075 199010240 /nfs/dbraw/zinc/01/02/40/199010240.db2.gz BOLBQAYYTZQKPX-ZDUSSCGKSA-N 0 0 299.330 2.847 20 5 CFBDRN CC(C)=CCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000452542681 535368243 /nfs/dbraw/zinc/36/82/43/535368243.db2.gz BYTKPGLOGHNZOH-NSHDSACASA-N 0 0 279.340 2.798 20 5 CFBDRN COc1cc(C(=O)N2CCC(C)CC2)c([N+](=O)[O-])cc1F ZINC000294425146 199034517 /nfs/dbraw/zinc/03/45/17/199034517.db2.gz RBGIHAWJEAAHIE-UHFFFAOYSA-N 0 0 296.298 2.615 20 5 CFBDRN CCN(CC)C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491279975 535390935 /nfs/dbraw/zinc/39/09/35/535390935.db2.gz RPUSHARNNZOVNP-VURMDHGXSA-N 0 0 266.272 2.616 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000296139092 199795129 /nfs/dbraw/zinc/79/51/29/199795129.db2.gz CQEWEJKWODWLAS-AWEZNQCLSA-N 0 0 295.314 2.628 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(Cl)nc1)[N+](=O)[O-] ZINC000294634049 199116141 /nfs/dbraw/zinc/11/61/41/199116141.db2.gz ZTTAKEJANHGIPU-UHFFFAOYSA-N 0 0 271.704 2.509 20 5 CFBDRN CN(C(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-])C1CC1 ZINC000294832899 199203179 /nfs/dbraw/zinc/20/31/79/199203179.db2.gz XLJBXFSKBMTPCM-UHFFFAOYSA-N 0 0 288.225 2.848 20 5 CFBDRN Cc1cc(NCCC(C)(C)CO)c(F)cc1[N+](=O)[O-] ZINC000294896834 199237086 /nfs/dbraw/zinc/23/70/86/199237086.db2.gz GUHHJIFMOAVLHW-UHFFFAOYSA-N 0 0 270.304 2.863 20 5 CFBDRN COc1cc(N[C@@H](C)CC(C)(C)O)c([N+](=O)[O-])cc1OC ZINC000294905462 199240966 /nfs/dbraw/zinc/24/09/66/199240966.db2.gz FFXOIZCJFXEDNX-VIFPVBQESA-N 0 0 298.339 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(S[C@H]3CCCOC3)nc2c1 ZINC000294905088 199241663 /nfs/dbraw/zinc/24/16/63/199241663.db2.gz HKGZTJCIAYXJLB-VIFPVBQESA-N 0 0 279.321 2.742 20 5 CFBDRN Cc1cc(NCc2nnc(C3CC3)[nH]2)ccc1[N+](=O)[O-] ZINC000294906261 199241947 /nfs/dbraw/zinc/24/19/47/199241947.db2.gz HTNASZRYIMBCOO-UHFFFAOYSA-N 0 0 273.296 2.511 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)CC(C)(C)O)c([N+](=O)[O-])c1 ZINC000294933222 199256822 /nfs/dbraw/zinc/25/68/22/199256822.db2.gz HNJHNWQQBQAJTB-SECBINFHSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@H](C)NC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296460055 199899702 /nfs/dbraw/zinc/89/97/02/199899702.db2.gz YWVVMIFUTZGOBD-UWVGGRQHSA-N 0 0 265.313 2.754 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC1CC(C)C1 ZINC000421779760 236912134 /nfs/dbraw/zinc/91/21/34/236912134.db2.gz ONVDBHUKHSETCP-UHFFFAOYSA-N 0 0 262.309 2.740 20 5 CFBDRN CCc1noc([C@@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000296540200 199925862 /nfs/dbraw/zinc/92/58/62/199925862.db2.gz DZSOAXZIWWGYOC-VHSXEESVSA-N 0 0 290.323 2.952 20 5 CFBDRN CC(C)C[C@H]1CCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000192473033 540438299 /nfs/dbraw/zinc/43/82/99/540438299.db2.gz QICLZAHFMMGLLR-SECBINFHSA-N 0 0 255.343 2.924 20 5 CFBDRN CC[C@H]1CCN1C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296811276 200005610 /nfs/dbraw/zinc/00/56/10/200005610.db2.gz LZWGFPFJYCXEKL-JQWIXIFHSA-N 0 0 277.324 2.850 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000296919313 200034340 /nfs/dbraw/zinc/03/43/40/200034340.db2.gz ZBKUBKNKLLZCOF-PSASIEDQSA-N 0 0 281.287 2.962 20 5 CFBDRN CC(C)=CCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000296957583 200045551 /nfs/dbraw/zinc/04/55/51/200045551.db2.gz PMKADUMZCWGUIR-SNVBAGLBSA-N 0 0 265.313 2.788 20 5 CFBDRN C[C@H](NC(=O)N1C[C@@H](C)[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000296964761 200048369 /nfs/dbraw/zinc/04/83/69/200048369.db2.gz BFYGTCBCIKTQAI-OUAUKWLOSA-N 0 0 277.324 2.706 20 5 CFBDRN Cc1nc(NC[C@H](C)Oc2ccccc2)ncc1[N+](=O)[O-] ZINC000297043247 200069801 /nfs/dbraw/zinc/06/98/01/200069801.db2.gz HZDQSKMGCMIYPB-JTQLQIEISA-N 0 0 288.307 2.573 20 5 CFBDRN Cc1nc(NC[C@@H](C)Oc2ccccc2)ncc1[N+](=O)[O-] ZINC000297043251 200070212 /nfs/dbraw/zinc/07/02/12/200070212.db2.gz HZDQSKMGCMIYPB-SNVBAGLBSA-N 0 0 288.307 2.573 20 5 CFBDRN CC[C@@H]1CCCN(c2ncc([N+](=O)[O-])c(C)n2)CC1 ZINC000297165809 200103151 /nfs/dbraw/zinc/10/31/51/200103151.db2.gz PDGFRFWIGAPSLQ-LLVKDONJSA-N 0 0 264.329 2.710 20 5 CFBDRN COC[C@H]1CCN(c2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000297125882 200091725 /nfs/dbraw/zinc/09/17/25/200091725.db2.gz MSNWZVQHJZDNOE-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN CCN(CCSC)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000592000447 540619858 /nfs/dbraw/zinc/61/98/58/540619858.db2.gz RBBGLAKGZUZPEY-UHFFFAOYSA-N 0 0 281.381 2.752 20 5 CFBDRN COc1cccc(C(=O)NC2C(C)(C)C2(C)C)c1[N+](=O)[O-] ZINC000435817341 240356556 /nfs/dbraw/zinc/35/65/56/240356556.db2.gz NNMCTFUYZMPHNV-UHFFFAOYSA-N 0 0 292.335 2.768 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000297408972 200179980 /nfs/dbraw/zinc/17/99/80/200179980.db2.gz IPKXOLDTQCDDMM-ZJUUUORDSA-N 0 0 277.324 2.754 20 5 CFBDRN C[C@H](NC(=O)CSC1CC1)c1ccccc1[N+](=O)[O-] ZINC000297366723 200164567 /nfs/dbraw/zinc/16/45/67/200164567.db2.gz CMRPEZYHXWRXFK-VIFPVBQESA-N 0 0 280.349 2.668 20 5 CFBDRN CS[C@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000297378936 200169561 /nfs/dbraw/zinc/16/95/61/200169561.db2.gz GEFRDEZTLDLBGJ-JTQLQIEISA-N 0 0 295.364 2.872 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCO[C@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000297431226 200187469 /nfs/dbraw/zinc/18/74/69/200187469.db2.gz HYMPEWQGUKWLOJ-AAEUAGOBSA-N 0 0 294.355 2.556 20 5 CFBDRN C[C@H](NC(=O)NC1(C)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000297448396 200193376 /nfs/dbraw/zinc/19/33/76/200193376.db2.gz BKTFMQZYIVSPDV-JTQLQIEISA-N 0 0 277.324 2.898 20 5 CFBDRN CC(C)[C@@H](CO)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297470708 200202309 /nfs/dbraw/zinc/20/23/09/200202309.db2.gz KVDXOEAXDQDGDY-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN Cc1nc(N2CC[C@H](C)C[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000297498507 200211015 /nfs/dbraw/zinc/21/10/15/200211015.db2.gz MXSJWKXQBPFIKR-VHSXEESVSA-N 0 0 264.329 2.566 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000297735713 200271688 /nfs/dbraw/zinc/27/16/88/200271688.db2.gz HQLVZFRYDLGWDJ-VIFPVBQESA-N 0 0 266.272 2.667 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000297711818 200266494 /nfs/dbraw/zinc/26/64/94/200266494.db2.gz GDYQPVDAGBGSNX-GXFFZTMASA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1cc(F)c(NC(=O)CSC2CC2)cc1[N+](=O)[O-] ZINC000297862970 200294621 /nfs/dbraw/zinc/29/46/21/200294621.db2.gz SSGJMUJGKNAOMH-UHFFFAOYSA-N 0 0 284.312 2.876 20 5 CFBDRN CCc1nc(C)c(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000298075376 200329024 /nfs/dbraw/zinc/32/90/24/200329024.db2.gz MFXLSUXKSHFIFM-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000298136561 200342106 /nfs/dbraw/zinc/34/21/06/200342106.db2.gz ODIJZZCTUTVMED-UAWPZABVSA-N 0 0 274.320 2.865 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCC[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000298152292 200346191 /nfs/dbraw/zinc/34/61/91/200346191.db2.gz CUSRPSRKZXZIRP-DGCLKSJQSA-N 0 0 292.335 2.760 20 5 CFBDRN C[C@@H](NC(=O)CC[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000176778802 189008758 /nfs/dbraw/zinc/00/87/58/189008758.db2.gz KXUJSEDDFMLQTD-NEPJUHHUSA-N 0 0 292.335 2.589 20 5 CFBDRN CO[C@@H](C)c1noc(COc2ccc(C)cc2[N+](=O)[O-])n1 ZINC000177211254 189036723 /nfs/dbraw/zinc/03/67/23/189036723.db2.gz OHVHLXNRSSFWQB-VIFPVBQESA-N 0 0 293.279 2.573 20 5 CFBDRN COC[C@@H](NCc1csc([N+](=O)[O-])c1)c1ccco1 ZINC000177572897 189058043 /nfs/dbraw/zinc/05/80/43/189058043.db2.gz KJWYMRURAMOEAV-SNVBAGLBSA-N 0 0 282.321 2.727 20 5 CFBDRN CS[C@H](C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177873142 189075742 /nfs/dbraw/zinc/07/57/42/189075742.db2.gz ZGZGXHLRHXGILY-NXEZZACHSA-N 0 0 282.365 2.832 20 5 CFBDRN CC[C@@H](NC(=O)CCCCOC)c1cccc([N+](=O)[O-])c1 ZINC000177783336 189068954 /nfs/dbraw/zinc/06/89/54/189068954.db2.gz KWIBTKDQCSXVEH-CQSZACIVSA-N 0 0 294.351 2.979 20 5 CFBDRN O=C(C[C@H]1CCCO1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000298827402 200542488 /nfs/dbraw/zinc/54/24/88/200542488.db2.gz XDRUZVNKKDSEQR-SECBINFHSA-N 0 0 284.699 2.756 20 5 CFBDRN COc1ccc(CNC(=O)/C=C(\C)C2CC2)cc1[N+](=O)[O-] ZINC000298834031 200544124 /nfs/dbraw/zinc/54/41/24/200544124.db2.gz BLWGQYLTNWVJCJ-JXMROGBWSA-N 0 0 290.319 2.576 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@@H]1Cc1ccccc1 ZINC000298837503 200544624 /nfs/dbraw/zinc/54/46/24/200544624.db2.gz DYCHVRXPIIEYKM-CYBMUJFWSA-N 0 0 299.330 2.770 20 5 CFBDRN CCC1(CNC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000178159108 189094086 /nfs/dbraw/zinc/09/40/86/189094086.db2.gz SHSZCBODFHYYCZ-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN CCC1(CNC(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000178165963 189094433 /nfs/dbraw/zinc/09/44/33/189094433.db2.gz SEEKGOJWEUWUID-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000299014223 200582892 /nfs/dbraw/zinc/58/28/92/200582892.db2.gz DQRGVZOIYCSVOZ-NSHDSACASA-N 0 0 250.298 2.600 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000178415219 189114265 /nfs/dbraw/zinc/11/42/65/189114265.db2.gz GQTWHVZXUNZHHZ-GFCCVEGCSA-N 0 0 295.364 2.954 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000178584271 189128717 /nfs/dbraw/zinc/12/87/17/189128717.db2.gz WXVTVNNHRMERBY-WDEREUQCSA-N 0 0 277.324 2.535 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N(CC(F)(F)F)C1CC1 ZINC000299175034 200631927 /nfs/dbraw/zinc/63/19/27/200631927.db2.gz XBABVYZXGIVZPA-UHFFFAOYSA-N 0 0 288.225 2.762 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000178694315 189138394 /nfs/dbraw/zinc/13/83/94/189138394.db2.gz VEROKGFSDFEGOC-MRVPVSSYSA-N 0 0 256.327 2.821 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC1(C)C ZINC000299181733 200633263 /nfs/dbraw/zinc/63/32/63/200633263.db2.gz ZTENFSOXAFAPAN-JOYOIKCWSA-N 0 0 294.376 2.990 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1CCC[C@H]1CCO ZINC000275068087 192900458 /nfs/dbraw/zinc/90/04/58/192900458.db2.gz YKNCBUVMCSMGSU-GXSJLCMTSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000299706793 200839542 /nfs/dbraw/zinc/83/95/42/200839542.db2.gz GUOHQRLMKDWCCK-SECBINFHSA-N 0 0 273.292 2.558 20 5 CFBDRN O=C(N[C@@H]1CCCOCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000180185653 189241895 /nfs/dbraw/zinc/24/18/95/189241895.db2.gz VLCMRZJHIHCPJS-SECBINFHSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1ccnn1C ZINC000180396737 189253381 /nfs/dbraw/zinc/25/33/81/189253381.db2.gz VMKJDHYLZZOXMD-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CC(C)c1nnc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000180437351 189255141 /nfs/dbraw/zinc/25/51/41/189255141.db2.gz NIRQGKDIITZENV-SNVBAGLBSA-N 0 0 290.323 2.952 20 5 CFBDRN CCCCOC(=O)[C@@H](C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180446008 189256035 /nfs/dbraw/zinc/25/60/35/189256035.db2.gz GHALEFQEJLUTHQ-NWDGAFQWSA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)CCn1cccn1 ZINC000302625885 540984535 /nfs/dbraw/zinc/98/45/35/540984535.db2.gz AZJQHEISFHBWPQ-UHFFFAOYSA-N 0 0 294.742 2.890 20 5 CFBDRN Cn1nccc1CNc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000180377835 189252294 /nfs/dbraw/zinc/25/22/94/189252294.db2.gz VWTOINUXAMOTBR-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN CCCn1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)cn1 ZINC000180566110 189262287 /nfs/dbraw/zinc/26/22/87/189262287.db2.gz REOKTVONFYEEPN-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)n1cc([N+](=O)[O-])cn1 ZINC000180505964 189259222 /nfs/dbraw/zinc/25/92/22/189259222.db2.gz FNVMCTOZOHPPPS-SECBINFHSA-N 0 0 276.252 2.617 20 5 CFBDRN Nc1nc(Sc2ccccc2Cl)ncc1[N+](=O)[O-] ZINC000301149989 200926688 /nfs/dbraw/zinc/92/66/88/200926688.db2.gz JJJGTWNHVPGWAL-UHFFFAOYSA-N 0 0 282.712 2.772 20 5 CFBDRN Cc1cc2cc(Nc3ncc([N+](=O)[O-])c(N)n3)ccc2[nH]1 ZINC000301153742 200928054 /nfs/dbraw/zinc/92/80/54/200928054.db2.gz MERZGVRZPOQHAX-UHFFFAOYSA-N 0 0 284.279 2.500 20 5 CFBDRN CCc1ccc(Nc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000301289361 200964693 /nfs/dbraw/zinc/96/46/93/200964693.db2.gz POCFMNNAWTYSCZ-UHFFFAOYSA-N 0 0 260.297 2.943 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000301418637 201002605 /nfs/dbraw/zinc/00/26/05/201002605.db2.gz DCYCQTPJGUUVOY-CHWSQXEVSA-N 0 0 293.367 2.938 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1C ZINC000301425386 201004080 /nfs/dbraw/zinc/00/40/80/201004080.db2.gz BXHSLBTXQSDVNK-ZANVPECISA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@H]1CCCCO1 ZINC000301460779 201016354 /nfs/dbraw/zinc/01/63/54/201016354.db2.gz YXGYHGKZAMUHOX-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN CC(C)(C)N1C[C@H](Nc2ccc(F)cc2[N+](=O)[O-])CC1=O ZINC000301472199 201020584 /nfs/dbraw/zinc/02/05/84/201020584.db2.gz KPVMMJLSMFKNHI-SNVBAGLBSA-N 0 0 295.314 2.545 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2ncccc2[N+](=O)[O-])C1(C)C ZINC000301438994 201008580 /nfs/dbraw/zinc/00/85/80/201008580.db2.gz UFFKVLSPGRLICC-GXFFZTMASA-N 0 0 265.313 2.605 20 5 CFBDRN CNC(=O)c1cccc(CNc2ccc(C)cc2[N+](=O)[O-])c1 ZINC000301440868 201009202 /nfs/dbraw/zinc/00/92/02/201009202.db2.gz MSFXSXSLJDLGSB-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301527182 201047177 /nfs/dbraw/zinc/04/71/77/201047177.db2.gz JPRGKRUAPAUGTH-BDAKNGLRSA-N 0 0 279.344 2.594 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000301511969 201039639 /nfs/dbraw/zinc/03/96/39/201039639.db2.gz ITPVUQYGPUYVLY-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@]2(C)CCCO2)c1 ZINC000301566991 201070627 /nfs/dbraw/zinc/07/06/27/201070627.db2.gz MSZHMISHJUXASB-CYBMUJFWSA-N 0 0 284.287 2.724 20 5 CFBDRN COC[C@H](C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000301545610 201062061 /nfs/dbraw/zinc/06/20/61/201062061.db2.gz PIIRZVUMJNLTPC-ZETCQYMHSA-N 0 0 276.239 2.643 20 5 CFBDRN CCOc1cc(N[C@@H]2CCC[C@]2(C)CO)ccc1[N+](=O)[O-] ZINC000301596906 201088467 /nfs/dbraw/zinc/08/84/67/201088467.db2.gz SOQBTHTUWDLDCF-HUUCEWRRSA-N 0 0 294.351 2.957 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182703144 189331872 /nfs/dbraw/zinc/33/18/72/189331872.db2.gz QXTMRGPINXTSDU-RISCZKNCSA-N 0 0 292.335 2.763 20 5 CFBDRN O=C1CCCCN1COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000182744663 189333386 /nfs/dbraw/zinc/33/33/86/189333386.db2.gz OJAXILMRZZGFFO-UHFFFAOYSA-N 0 0 284.699 2.597 20 5 CFBDRN CCCN(CC(=O)OC(C)(C)C)c1ncccc1[N+](=O)[O-] ZINC000182757963 189333530 /nfs/dbraw/zinc/33/35/30/189333530.db2.gz VMIYUJLGACIDNN-UHFFFAOYSA-N 0 0 295.339 2.548 20 5 CFBDRN COc1cc(N(C)CCSC)c([N+](=O)[O-])cc1F ZINC000301630369 201105596 /nfs/dbraw/zinc/10/55/96/201105596.db2.gz ISNUAQBNIFLNQO-UHFFFAOYSA-N 0 0 274.317 2.542 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1CCCOCC1 ZINC000182994240 189341641 /nfs/dbraw/zinc/34/16/41/189341641.db2.gz GYLBVTCILYYYHL-SECBINFHSA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1nc(CN2CCc3ccc([N+](=O)[O-])cc32)oc1C ZINC000182784220 189334882 /nfs/dbraw/zinc/33/48/82/189334882.db2.gz SOPSJJLYUHRRMB-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN COc1cc(N(C)CC2CCC2)c([N+](=O)[O-])cc1F ZINC000301626820 201104034 /nfs/dbraw/zinc/10/40/34/201104034.db2.gz QLDHEENYPVUKTC-UHFFFAOYSA-N 0 0 268.288 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](Oc3ccncc3)C2)cc1 ZINC000301661303 201120315 /nfs/dbraw/zinc/12/03/15/201120315.db2.gz XPLJSHSMVOWXJJ-OAHLLOKOSA-N 0 0 285.303 2.648 20 5 CFBDRN CC[C@H]1CCN(c2cc(C)c([N+](=O)[O-])c(C(=O)OC)c2)C1 ZINC000301669609 201125639 /nfs/dbraw/zinc/12/56/39/201125639.db2.gz NSQHATRORCLSSZ-NSHDSACASA-N 0 0 292.335 2.926 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H]1CCC[C@@H](F)C1 ZINC000424613103 238804459 /nfs/dbraw/zinc/80/44/59/238804459.db2.gz UOFHMVJWUJRIMA-QWHCGFSZSA-N 0 0 294.326 2.782 20 5 CFBDRN Cc1cc(Nc2cccc(-n3ccnn3)c2)ncc1[N+](=O)[O-] ZINC000301693061 201141674 /nfs/dbraw/zinc/14/16/74/201141674.db2.gz LJBCSOWEYPYAFV-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN COCCC(C)(C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000183823797 189368725 /nfs/dbraw/zinc/36/87/25/189368725.db2.gz IKXQMLUJPHUESW-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN COC[C@@H](NCc1ccc([N+](=O)[O-])cc1C)c1ccco1 ZINC000183533052 189361662 /nfs/dbraw/zinc/36/16/62/189361662.db2.gz YESSZNIBXBRCGY-CQSZACIVSA-N 0 0 290.319 2.974 20 5 CFBDRN CCN(C(=O)Nc1ccncc1[N+](=O)[O-])C1CCCC1 ZINC000183555962 189361836 /nfs/dbraw/zinc/36/18/36/189361836.db2.gz AYBHNIMNKFGUBI-UHFFFAOYSA-N 0 0 278.312 2.786 20 5 CFBDRN C[C@H]1CCCN(C(=O)Nc2ccncc2[N+](=O)[O-])[C@H]1C ZINC000183559109 189362250 /nfs/dbraw/zinc/36/22/50/189362250.db2.gz VHBUFFHGRSXPPH-UWVGGRQHSA-N 0 0 278.312 2.642 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccncc1[N+](=O)[O-])C1CCCC1 ZINC000183634104 189364856 /nfs/dbraw/zinc/36/48/56/189364856.db2.gz WHUHIFFUNIQCDA-SECBINFHSA-N 0 0 278.312 2.690 20 5 CFBDRN CC(C)N(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CCCC1 ZINC000184375735 189384795 /nfs/dbraw/zinc/38/47/95/189384795.db2.gz RWLWOXWJDIAPEV-UHFFFAOYSA-N 0 0 291.351 2.970 20 5 CFBDRN CN(Cc1ccccn1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000301802832 201206366 /nfs/dbraw/zinc/20/63/66/201206366.db2.gz UMOWWDRLFNFJMD-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN C[C@@]1(CO)CCC[C@@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000301780632 201192280 /nfs/dbraw/zinc/19/22/80/201192280.db2.gz JEVORTPMHLNQIH-AAEUAGOBSA-N 0 0 286.278 2.836 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@H]1CCCO1 ZINC000301820044 201216311 /nfs/dbraw/zinc/21/63/11/201216311.db2.gz GDPHYCVIVUBJHM-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@@H]1CCCO1 ZINC000301820046 201217036 /nfs/dbraw/zinc/21/70/36/201217036.db2.gz GDPHYCVIVUBJHM-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1ccc(CN[C@H](CCO)c2ccco2)cc1[N+](=O)[O-] ZINC000184515165 189388477 /nfs/dbraw/zinc/38/84/77/189388477.db2.gz MBESGMMALSFSDK-CYBMUJFWSA-N 0 0 290.319 2.710 20 5 CFBDRN CC(C)(C)OCCOc1c(F)cccc1[N+](=O)[O-] ZINC000185034136 189401708 /nfs/dbraw/zinc/40/17/08/189401708.db2.gz IRKYOXDKBHJEGO-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN COC1(C(=O)Nc2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000184802654 189395607 /nfs/dbraw/zinc/39/56/07/189395607.db2.gz PJKSLFRJSCICFO-UHFFFAOYSA-N 0 0 278.308 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCCC[C@@H]2C(N)=O)c1 ZINC000301907282 201257665 /nfs/dbraw/zinc/25/76/65/201257665.db2.gz ALLJYLCICVSLBF-NWDGAFQWSA-N 0 0 291.351 2.749 20 5 CFBDRN CNc1nc(CNc2sccc2[N+](=O)[O-])cs1 ZINC000301944738 201271415 /nfs/dbraw/zinc/27/14/15/201271415.db2.gz ZMTBASVEJJFRLI-UHFFFAOYSA-N 0 0 270.339 2.767 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC1C[C@@H](C)O[C@H](C)C1 ZINC000301955012 201276320 /nfs/dbraw/zinc/27/63/20/201276320.db2.gz KMVRVPKAISBWNB-NXEZZACHSA-N 0 0 265.313 2.666 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301959531 201278030 /nfs/dbraw/zinc/27/80/30/201278030.db2.gz HCJKPEMYHSRCHL-WDEREUQCSA-N 0 0 289.339 2.876 20 5 CFBDRN Cc1cccc(C(=O)N2CCCC3(CC3)C2)c1[N+](=O)[O-] ZINC000185360102 189411301 /nfs/dbraw/zinc/41/13/01/189411301.db2.gz LAOVOBUZABCWBK-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1cc(NCCn2cccn2)c2cccc([N+](=O)[O-])c2n1 ZINC000302026474 201306475 /nfs/dbraw/zinc/30/64/75/201306475.db2.gz QKFSZVJVQZFELD-UHFFFAOYSA-N 0 0 297.318 2.760 20 5 CFBDRN Cc1c(Oc2nccn(C3CC3)c2=O)cccc1[N+](=O)[O-] ZINC000302004743 201294320 /nfs/dbraw/zinc/29/43/20/201294320.db2.gz KLMOKGNEAGBVFJ-UHFFFAOYSA-N 0 0 287.275 2.587 20 5 CFBDRN CC(C)(C)SCCNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000302005303 201295182 /nfs/dbraw/zinc/29/51/82/201295182.db2.gz SNAMYSWOOHFVCE-UHFFFAOYSA-N 0 0 295.368 2.581 20 5 CFBDRN CSc1ccc(Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302140562 201362137 /nfs/dbraw/zinc/36/21/37/201362137.db2.gz DTAKDLCYUILKFZ-UHFFFAOYSA-N 0 0 263.278 2.899 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CCC2)c(Cl)c1 ZINC000302135631 201356856 /nfs/dbraw/zinc/35/68/56/201356856.db2.gz HWDMXDAFBQQYQX-UHFFFAOYSA-N 0 0 270.716 2.823 20 5 CFBDRN O=[N+]([O-])c1cnc(Sc2cc(F)ccc2F)nc1 ZINC000302162447 201374105 /nfs/dbraw/zinc/37/41/05/201374105.db2.gz PWYFIPHLFBYPRK-UHFFFAOYSA-N 0 0 269.232 2.814 20 5 CFBDRN Nc1nc(NCc2ccc(C(F)F)cc2)ncc1[N+](=O)[O-] ZINC000302168667 201379434 /nfs/dbraw/zinc/37/94/34/201379434.db2.gz KSFHWSOTUUQCNX-UHFFFAOYSA-N 0 0 295.249 2.517 20 5 CFBDRN Cn1nccc1CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000302178436 201382942 /nfs/dbraw/zinc/38/29/42/201382942.db2.gz YESAKNBIMCKJHJ-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN C[C@@]1(C(=O)[O-])CCCC[C@@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000426721150 239252901 /nfs/dbraw/zinc/25/29/01/239252901.db2.gz RHRWBMQNPINXRJ-DZGCQCFKSA-N 0 0 292.335 2.718 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)CCC1CC1 ZINC000427064150 239283679 /nfs/dbraw/zinc/28/36/79/239283679.db2.gz IUBPGCPNVUKRRC-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cccc(F)c2)cc1CO ZINC000428079158 239370751 /nfs/dbraw/zinc/37/07/51/239370751.db2.gz KNKWIFMWPDLVGB-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc(F)cc2F)cc1CO ZINC000428077054 239371489 /nfs/dbraw/zinc/37/14/89/239371489.db2.gz WAXWONKVTHEJRT-UHFFFAOYSA-N 0 0 295.241 2.944 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])s1 ZINC000336978711 291298826 /nfs/dbraw/zinc/29/88/26/291298826.db2.gz BCSZODJGXITRPY-NTSWFWBYSA-N 0 0 281.259 2.828 20 5 CFBDRN Cc1nc(NC(=O)C[C@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000188489143 189504219 /nfs/dbraw/zinc/50/42/19/189504219.db2.gz UZBFJVXAWIAXHQ-NSHDSACASA-N 0 0 275.308 2.983 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])s2)CCCS1 ZINC000189689063 189543104 /nfs/dbraw/zinc/54/31/04/189543104.db2.gz AOEINAXUIMWKCX-VIFPVBQESA-N 0 0 259.356 2.749 20 5 CFBDRN CC(C)c1ccc(C(=O)N(C)CC[C@@H](C)O)cc1[N+](=O)[O-] ZINC000189996742 189551531 /nfs/dbraw/zinc/55/15/31/189551531.db2.gz QZDJOAYHLOKZAK-LLVKDONJSA-N 0 0 294.351 2.561 20 5 CFBDRN CC(C)c1ccc(C(=O)NC(C)(C)CCO)cc1[N+](=O)[O-] ZINC000190349991 189561704 /nfs/dbraw/zinc/56/17/04/189561704.db2.gz LPCOQCUBWCVZGZ-UHFFFAOYSA-N 0 0 294.351 2.609 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000430450259 239761927 /nfs/dbraw/zinc/76/19/27/239761927.db2.gz LSQKRHULPRJWEQ-WPRPVWTQSA-N 0 0 295.314 2.577 20 5 CFBDRN COC(=O)CC1CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000341592648 283777527 /nfs/dbraw/zinc/77/75/27/283777527.db2.gz HBFHZVFZGJVHJC-UHFFFAOYSA-N 0 0 296.298 2.513 20 5 CFBDRN COc1ccc(OC(=O)C=C2CCC2)cc1[N+](=O)[O-] ZINC000191014347 189580322 /nfs/dbraw/zinc/58/03/22/189580322.db2.gz MUAZTAMFNSQNQP-UHFFFAOYSA-N 0 0 263.249 2.619 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000191019029 189580639 /nfs/dbraw/zinc/58/06/39/189580639.db2.gz BXJXNOXEGIKRRP-SNVBAGLBSA-N 0 0 277.276 2.865 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1C[C@H](c2ccc(F)cc2)C1 ZINC000431469833 239896201 /nfs/dbraw/zinc/89/62/01/239896201.db2.gz FNYLNWQVSOMDDC-AULYBMBSSA-N 0 0 287.294 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@H]2CCCC[C@@H]2O)cn1 ZINC000432052331 239960337 /nfs/dbraw/zinc/96/03/37/239960337.db2.gz YJZOENHSSDMSQA-YPMHNXCESA-N 0 0 279.340 2.733 20 5 CFBDRN CN(CC1CC1)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432324790 239986104 /nfs/dbraw/zinc/98/61/04/239986104.db2.gz XPLLKRFPLJSLDV-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN COC[C@@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000432327474 239986504 /nfs/dbraw/zinc/98/65/04/239986504.db2.gz SZIKKDUVELPLQQ-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@@H](CO)CC(F)F)ccnc21 ZINC000432177231 239972126 /nfs/dbraw/zinc/97/21/26/239972126.db2.gz OMVYZKNERFTGBK-MRVPVSSYSA-N 0 0 297.261 2.571 20 5 CFBDRN CC(C)C1CCC(N(C)c2c([N+](=O)[O-])ncn2C)CC1 ZINC000432845029 240055444 /nfs/dbraw/zinc/05/54/44/240055444.db2.gz GYZDIYSBQFKOOA-UHFFFAOYSA-N 0 0 280.372 2.979 20 5 CFBDRN CSC1(CNc2nc3ccccc3cc2[N+](=O)[O-])CC1 ZINC000432849224 240057678 /nfs/dbraw/zinc/05/76/78/240057678.db2.gz ZYEDYGYUMSCEPY-UHFFFAOYSA-N 0 0 289.360 2.872 20 5 CFBDRN C/C=C\C[C@@H](NCc1cccc([N+](=O)[O-])c1)C(=O)OCC ZINC000432917080 240066180 /nfs/dbraw/zinc/06/61/80/240066180.db2.gz ZFKHSUMKCHRRLJ-PKXJPQMGSA-N 0 0 292.335 2.582 20 5 CFBDRN Cc1cccc(CN(C)c2ncc(Cl)cc2[N+](=O)[O-])n1 ZINC000434949093 240280544 /nfs/dbraw/zinc/28/05/44/240280544.db2.gz AQVCAYAHFBBRMA-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN Cc1cccc(N(C)Cc2nccs2)c1[N+](=O)[O-] ZINC000275387584 193018471 /nfs/dbraw/zinc/01/84/71/193018471.db2.gz CCKHZVDNYLOZDR-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2nnc(C3CC3)o2)c(Cl)c1 ZINC000275323992 193001317 /nfs/dbraw/zinc/00/13/17/193001317.db2.gz DZMDSZVYBYLUPS-UHFFFAOYSA-N 0 0 295.686 2.516 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCc3nccn3C2)c1 ZINC000275540090 193071855 /nfs/dbraw/zinc/07/18/55/193071855.db2.gz MPEPDGYPQVTEEB-GFCCVEGCSA-N 0 0 286.335 2.774 20 5 CFBDRN COc1cccc(N[C@@H]2CCC[C@@H]2CCO)c1[N+](=O)[O-] ZINC000302417138 201445155 /nfs/dbraw/zinc/44/51/55/201445155.db2.gz HZMDHAKGXOFSMK-GHMZBOCLSA-N 0 0 280.324 2.566 20 5 CFBDRN CC1(C)CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CC[C@@H]1O ZINC000275520771 193065060 /nfs/dbraw/zinc/06/50/60/193065060.db2.gz VIMREBSCOKWIRZ-ZDUSSCGKSA-N 0 0 298.770 2.841 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@@H](C)[C@H](C)C1 ZINC000275632046 193092109 /nfs/dbraw/zinc/09/21/09/193092109.db2.gz LMURJOWKOJJEIO-NXEZZACHSA-N 0 0 293.323 2.723 20 5 CFBDRN CCN(C(=O)Cc1cccc([N+](=O)[O-])c1C)C1CCC1 ZINC000438834816 240708495 /nfs/dbraw/zinc/70/84/95/240708495.db2.gz UVTXTTIPRYKWIS-UHFFFAOYSA-N 0 0 276.336 2.847 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NCC(C)(C)C(C)C)c1[N+](=O)[O-] ZINC000275848582 193145615 /nfs/dbraw/zinc/14/56/15/193145615.db2.gz MOMSATBHZODAEM-UHFFFAOYSA-N 0 0 296.371 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCC2(O)CC2)cc1 ZINC000442915034 241021955 /nfs/dbraw/zinc/02/19/55/241021955.db2.gz VSWPOQFFHOIHQE-UHFFFAOYSA-N 0 0 253.323 2.743 20 5 CFBDRN CC(C)Oc1cc(CNc2ccc([N+](=O)[O-])nc2)ccn1 ZINC000443513767 241070010 /nfs/dbraw/zinc/07/00/10/241070010.db2.gz WKZAAKFMFFEWFJ-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2cc3[nH]ccc3cc2C)c1[N+](=O)[O-] ZINC000444456062 241141572 /nfs/dbraw/zinc/14/15/72/241141572.db2.gz MHCCOKKLWUPYGG-UHFFFAOYSA-N 0 0 299.290 2.668 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)CSc2ccccc2[N+](=O)[O-])C1 ZINC000444463049 241142189 /nfs/dbraw/zinc/14/21/89/241142189.db2.gz FXBMOCVNNCMCNI-GHMZBOCLSA-N 0 0 294.376 2.992 20 5 CFBDRN Cc1ccccc1C[C@H](C)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000444862938 241199194 /nfs/dbraw/zinc/19/91/94/241199194.db2.gz DQHPPRWYKPCMOK-LBPRGKRZSA-N 0 0 286.335 2.761 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000444320211 241135668 /nfs/dbraw/zinc/13/56/68/241135668.db2.gz QPRWVOSHRORZOY-RYUDHWBXSA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000444316771 241135730 /nfs/dbraw/zinc/13/57/30/241135730.db2.gz KHCOFVVRZWDKFN-VXGBXAGGSA-N 0 0 291.351 2.702 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000444316766 241135941 /nfs/dbraw/zinc/13/59/41/241135941.db2.gz KHCOFVVRZWDKFN-NEPJUHHUSA-N 0 0 291.351 2.702 20 5 CFBDRN COc1cc(CN2C[C@H](C)O[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000446058789 241265998 /nfs/dbraw/zinc/26/59/98/241265998.db2.gz GOHDQNQNUHWEJV-SRVKXCTJSA-N 0 0 294.351 2.601 20 5 CFBDRN C[C@@H](Sc1ncco1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000446074897 241274113 /nfs/dbraw/zinc/27/41/13/241274113.db2.gz ZQHJVQOGLBGWNH-MRVPVSSYSA-N 0 0 293.304 2.702 20 5 CFBDRN C/C=C(/C)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000445208458 241212351 /nfs/dbraw/zinc/21/23/51/241212351.db2.gz KVFMLVLRRJQNHG-CLTKARDFSA-N 0 0 260.253 2.650 20 5 CFBDRN Cc1oncc1Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000445610513 241226014 /nfs/dbraw/zinc/22/60/14/241226014.db2.gz OCWAMXSNLSHFJT-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCc2ncsc2C1 ZINC000445870727 241247615 /nfs/dbraw/zinc/24/76/15/241247615.db2.gz CQUNTJDVVOSVAE-UHFFFAOYSA-N 0 0 293.323 2.749 20 5 CFBDRN NC(=O)c1coc(CNc2ccc(Cl)cc2[N+](=O)[O-])c1 ZINC000302485068 201460307 /nfs/dbraw/zinc/46/03/07/201460307.db2.gz YGTJOCAJCORCHM-UHFFFAOYSA-N 0 0 295.682 2.552 20 5 CFBDRN CSCc1cccc(CNc2ncc([N+](=O)[O-])cn2)c1 ZINC000302502628 201466943 /nfs/dbraw/zinc/46/69/43/201466943.db2.gz PEAFNVXYBSDARO-UHFFFAOYSA-N 0 0 290.348 2.860 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@@H]2O)c(Cl)cc1[N+](=O)[O-] ZINC000302613852 201490995 /nfs/dbraw/zinc/49/09/95/201490995.db2.gz CBPSIIYQTCTDBK-CABZTGNLSA-N 0 0 270.716 2.882 20 5 CFBDRN CC(C)[C@@H]1CN(c2ncc([N+](=O)[O-])c(N)n2)c2ccccc21 ZINC000447678316 241399413 /nfs/dbraw/zinc/39/94/13/241399413.db2.gz KDBCSFSGEYMQPN-NSHDSACASA-N 0 0 299.334 2.689 20 5 CFBDRN Cc1c(NC(=O)CCCC(=O)C2CC2)cccc1[N+](=O)[O-] ZINC000448567896 241477363 /nfs/dbraw/zinc/47/73/63/241477363.db2.gz BIUCRNMIXRCXAT-UHFFFAOYSA-N 0 0 290.319 2.991 20 5 CFBDRN CC(C)C[C@@H](O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000224285837 189741200 /nfs/dbraw/zinc/74/12/00/189741200.db2.gz UUGVNSJTPUHAFL-CYBMUJFWSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CC[C@@H](F)C1 ZINC000449387198 241891262 /nfs/dbraw/zinc/89/12/62/241891262.db2.gz KVWDYOLJBKNBOL-KTLBGCOUSA-N 0 0 250.273 2.652 20 5 CFBDRN CCC[C@H](Nc1nccc(C(N)=O)c1[N+](=O)[O-])C(C)(C)C ZINC000450713236 283942310 /nfs/dbraw/zinc/94/23/10/283942310.db2.gz WOYJZQOZXFPKGB-JTQLQIEISA-N 0 0 294.355 2.715 20 5 CFBDRN C[C@@H]1C[C@H](NCc2ccc([N+](=O)[O-])cc2F)c2nccn21 ZINC000449569092 242040148 /nfs/dbraw/zinc/04/01/48/242040148.db2.gz GEFBOWUPTQKOND-RNCFNFMXSA-N 0 0 290.298 2.726 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/C[N@H+]1CC[C@@H](CCCO)C1 ZINC000449791802 242169119 /nfs/dbraw/zinc/16/91/19/242169119.db2.gz QIEWJSSNHQOTSS-UFZAZABTSA-N 0 0 290.363 2.702 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)N1CCc2c1cccc2[N+](=O)[O-] ZINC000449952252 242222994 /nfs/dbraw/zinc/22/29/94/242222994.db2.gz VEBZRVJCUAPKME-GMXVVIOVSA-N 0 0 286.331 2.920 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000449966685 242227742 /nfs/dbraw/zinc/22/77/42/242227742.db2.gz RBEBJKXYWIWJNV-ZIAGYGMSSA-N 0 0 290.363 2.936 20 5 CFBDRN O=C(CC1CC(F)(F)C1)NCCc1cccc([N+](=O)[O-])c1 ZINC000450276486 242337322 /nfs/dbraw/zinc/33/73/22/242337322.db2.gz MMVVAWATJIAANA-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1nccc2c1cccc2[N+](=O)[O-] ZINC000450301358 242349456 /nfs/dbraw/zinc/34/94/56/242349456.db2.gz FHXOXWJOCILUHT-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@H](C)CNc1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450471270 242438012 /nfs/dbraw/zinc/43/80/12/242438012.db2.gz ULYHSKNUBVYBIU-SECBINFHSA-N 0 0 293.348 2.587 20 5 CFBDRN CC[C@@H](CCO)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450352412 242374323 /nfs/dbraw/zinc/37/43/23/242374323.db2.gz VTEHHYUQCLPUNM-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@H](CO)Sc1nccc2c1cccc2[N+](=O)[O-] ZINC000450361105 242377603 /nfs/dbraw/zinc/37/76/03/242377603.db2.gz MUCWLSTYPPMFBM-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000450359054 242377789 /nfs/dbraw/zinc/37/77/89/242377789.db2.gz UWHFTDHPPOVAMM-RKDXNWHRSA-N 0 0 252.318 2.930 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1nccc2c1cccc2[N+](=O)[O-] ZINC000450370562 242380440 /nfs/dbraw/zinc/38/04/40/242380440.db2.gz ZFVYMCSLDQHVSA-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN COC(=O)CCCSc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000450383195 242387779 /nfs/dbraw/zinc/38/77/79/242387779.db2.gz ZDIAPLSFQLYNEM-UHFFFAOYSA-N 0 0 295.320 2.516 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450440655 242422003 /nfs/dbraw/zinc/42/20/03/242422003.db2.gz PTIYRQXMHSCAPG-ZJUUUORDSA-N 0 0 275.308 2.714 20 5 CFBDRN COC(=O)c1ccc(OCCC(C)(F)F)c([N+](=O)[O-])c1 ZINC000450724889 242565380 /nfs/dbraw/zinc/56/53/80/242565380.db2.gz HHSOYPREISKNEP-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN Cc1nc(NC[C@@H]2CCO[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000450739799 242575151 /nfs/dbraw/zinc/57/51/51/242575151.db2.gz RSPGLDCFLKIHMZ-OCCSQVGLSA-N 0 0 293.367 2.583 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)CC(C)(C)O)n1 ZINC000450696228 242549657 /nfs/dbraw/zinc/54/96/57/242549657.db2.gz UMLAOMKYYFBJKM-JTQLQIEISA-N 0 0 267.329 2.568 20 5 CFBDRN Cc1cc(N[C@H]([C@@H](CO)C2CC2)C2CC2)ncc1[N+](=O)[O-] ZINC000450775364 242597999 /nfs/dbraw/zinc/59/79/99/242597999.db2.gz KIIQPEWOWUUYJH-WFASDCNBSA-N 0 0 291.351 2.507 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CC23CCOCC3)ccc1[N+](=O)[O-] ZINC000450792452 242606915 /nfs/dbraw/zinc/60/69/15/242606915.db2.gz KOGHIRJBAZBDST-AWEZNQCLSA-N 0 0 290.319 2.778 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCC[C@H]1C(F)F ZINC000330414607 202793041 /nfs/dbraw/zinc/79/30/41/202793041.db2.gz UESHRIPAKZOPDI-LBPRGKRZSA-N 0 0 298.289 2.784 20 5 CFBDRN C[C@H](Cc1cnn(C)c1)Nc1c(F)cccc1[N+](=O)[O-] ZINC000450837385 242632356 /nfs/dbraw/zinc/63/23/56/242632356.db2.gz CKFGRJVSNWRWRC-SECBINFHSA-N 0 0 278.287 2.511 20 5 CFBDRN COC[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2C)CC1 ZINC000450949494 242685352 /nfs/dbraw/zinc/68/53/52/242685352.db2.gz SSEVYNOGTFUEBL-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN CCC(C)(C)CNc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000302772017 201546741 /nfs/dbraw/zinc/54/67/41/201546741.db2.gz CNESKFUBTYIERY-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN Nc1c(F)c(NCCC[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000450889047 242656121 /nfs/dbraw/zinc/65/61/21/242656121.db2.gz PNTYFIINSSZKKF-VIFPVBQESA-N 0 0 283.303 2.545 20 5 CFBDRN O=C([C@H]1CCCSC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000336031202 296115829 /nfs/dbraw/zinc/11/58/29/296115829.db2.gz ACPSQJBCEMJHTC-NSHDSACASA-N 0 0 292.360 2.627 20 5 CFBDRN CC(C)COCCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452185231 243077307 /nfs/dbraw/zinc/07/73/07/243077307.db2.gz ZHFKUBYKYGJEQU-LBPRGKRZSA-N 0 0 294.351 2.835 20 5 CFBDRN O=C(NCCCC1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000452205878 243084476 /nfs/dbraw/zinc/08/44/76/243084476.db2.gz AGPNKUNJLRXWMO-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN CC(C)(C(=O)NC1CC(C)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000452273907 243106270 /nfs/dbraw/zinc/10/62/70/243106270.db2.gz DNGVUIDOMURWQQ-UHFFFAOYSA-N 0 0 294.326 2.879 20 5 CFBDRN CC(C)c1ccc(C(=O)NC(CF)CF)cc1[N+](=O)[O-] ZINC000452326965 243132618 /nfs/dbraw/zinc/13/26/18/243132618.db2.gz MTPNFQDTQWNTFP-UHFFFAOYSA-N 0 0 286.278 2.756 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC[C@H]1C1CC1 ZINC000452497009 243197096 /nfs/dbraw/zinc/19/70/96/243197096.db2.gz IDDAZPUNCZEYTC-AWEZNQCLSA-N 0 0 274.320 2.538 20 5 CFBDRN CCC1(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)CC1 ZINC000452458017 243179348 /nfs/dbraw/zinc/17/93/48/243179348.db2.gz GFKFNNMGXTYOGS-UHFFFAOYSA-N 0 0 278.308 2.584 20 5 CFBDRN CCC1(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CC1 ZINC000452458623 243180729 /nfs/dbraw/zinc/18/07/29/243180729.db2.gz ITGRFUBAVQKOBV-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)(F)F ZINC000452459039 243180739 /nfs/dbraw/zinc/18/07/39/243180739.db2.gz OTSUYLGTQQWMAX-ZCFIWIBFSA-N 0 0 276.214 2.507 20 5 CFBDRN C[C@@]12CN(C(=O)c3ccccc3[N+](=O)[O-])C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000452597811 243235850 /nfs/dbraw/zinc/23/58/50/243235850.db2.gz MOKQECDTBMBCGY-NVNVUAJTSA-N 0 0 298.342 2.879 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NCC(C)C ZINC000275995396 193182893 /nfs/dbraw/zinc/18/28/93/193182893.db2.gz KDTDRJWQWFWMFN-UHFFFAOYSA-N 0 0 270.716 2.942 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H]1C1CC1 ZINC000452997814 243359506 /nfs/dbraw/zinc/35/95/06/243359506.db2.gz VKCOGEZXZXROSE-NSHDSACASA-N 0 0 290.319 2.618 20 5 CFBDRN CC[C@H](C)c1noc(-c2ccc([N+](=O)[O-])c(NC)n2)n1 ZINC000453058931 243381532 /nfs/dbraw/zinc/38/15/32/243381532.db2.gz HQUDANVHLMFUPD-ZETCQYMHSA-N 0 0 277.284 2.595 20 5 CFBDRN CC[C@H](C)N[C@H](c1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000453061247 243382527 /nfs/dbraw/zinc/38/25/27/243382527.db2.gz SLEJRLBDKJXHQY-FZMZJTMJSA-N 0 0 288.351 2.806 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H]1C1CC1 ZINC000452997812 243360178 /nfs/dbraw/zinc/36/01/78/243360178.db2.gz VKCOGEZXZXROSE-LLVKDONJSA-N 0 0 290.319 2.618 20 5 CFBDRN CC(C)C(C)(C)S(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000453026057 243367680 /nfs/dbraw/zinc/36/76/80/243367680.db2.gz MCORVYCAXKFWSL-UHFFFAOYSA-N 0 0 285.365 2.944 20 5 CFBDRN CSCCc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000453251244 243477264 /nfs/dbraw/zinc/47/72/64/243477264.db2.gz FEQNSGHVVRUHOU-UHFFFAOYSA-N 0 0 279.321 2.859 20 5 CFBDRN CC(C)CN(C)C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000330591899 203011687 /nfs/dbraw/zinc/01/16/87/203011687.db2.gz XXKYDAHAEXMHMJ-UHFFFAOYSA-N 0 0 270.716 2.976 20 5 CFBDRN CO[C@H]([C@@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000454137563 243638242 /nfs/dbraw/zinc/63/82/42/243638242.db2.gz MFFQPVTYKVNNQG-NOZJJQNGSA-N 0 0 293.323 2.530 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000456112857 244077894 /nfs/dbraw/zinc/07/78/94/244077894.db2.gz FAVUAEPIMSUAHT-MNOVXSKESA-N 0 0 262.309 2.679 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NCC1CCCCCC1 ZINC000456124832 244082144 /nfs/dbraw/zinc/08/21/44/244082144.db2.gz RLQJRLFCRXMNIR-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN Cc1ccc(CN2CCO[C@H](C(C)C)C2)cc1[N+](=O)[O-] ZINC000330691808 203123530 /nfs/dbraw/zinc/12/35/30/203123530.db2.gz BKVGBEILBQQULB-HNNXBMFYSA-N 0 0 278.352 2.760 20 5 CFBDRN CC[C@H](O)CC(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456167253 244093359 /nfs/dbraw/zinc/09/33/59/244093359.db2.gz PTEUNQNCAYWEER-NSHDSACASA-N 0 0 295.339 2.515 20 5 CFBDRN C[C@H]1C[C@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCC1=O ZINC000330696351 203126800 /nfs/dbraw/zinc/12/68/00/203126800.db2.gz DKRVMQGXJNDUOZ-WDEREUQCSA-N 0 0 290.319 2.563 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000456270430 244122908 /nfs/dbraw/zinc/12/29/08/244122908.db2.gz GAGXLODKMOUFOI-ZFWWWQNUSA-N 0 0 286.331 2.855 20 5 CFBDRN CC(C)[C@H]1CN(Cc2csc([N+](=O)[O-])c2)CCO1 ZINC000330719777 203152570 /nfs/dbraw/zinc/15/25/70/203152570.db2.gz BHHGWOISEJREQS-LLVKDONJSA-N 0 0 270.354 2.513 20 5 CFBDRN CCCc1ncc(CNC(=O)c2ccc([N+](=O)[O-])s2)o1 ZINC000456538673 244217153 /nfs/dbraw/zinc/21/71/53/244217153.db2.gz UIGBGUQZASEKBD-UHFFFAOYSA-N 0 0 295.320 2.527 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000456874738 244314426 /nfs/dbraw/zinc/31/44/26/244314426.db2.gz FJILDCRDBJDNNU-CABZTGNLSA-N 0 0 278.283 2.510 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000456902100 244324060 /nfs/dbraw/zinc/32/40/60/244324060.db2.gz JGAMSYZUPRPERI-STQMWFEESA-N 0 0 274.320 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCOC2)c(Cl)c1 ZINC000330799655 203249272 /nfs/dbraw/zinc/24/92/72/203249272.db2.gz HYRHVEWWHZXVSV-QMMMGPOBSA-N 0 0 257.673 2.664 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000456945282 244334358 /nfs/dbraw/zinc/33/43/58/244334358.db2.gz PATUXJHWXHFBGO-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000330817591 203272149 /nfs/dbraw/zinc/27/21/49/203272149.db2.gz MGDNMENZEQTMBV-LLVKDONJSA-N 0 0 289.335 2.605 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CCOC1 ZINC000330857597 203320032 /nfs/dbraw/zinc/32/00/32/203320032.db2.gz SSPCFTKYVXDRNH-AWEZNQCLSA-N 0 0 278.308 2.577 20 5 CFBDRN CCCC(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000330880038 203347385 /nfs/dbraw/zinc/34/73/85/203347385.db2.gz MRUYFKRGNHBXJT-CYBMUJFWSA-N 0 0 280.349 2.969 20 5 CFBDRN C[C@H](CC(F)(F)F)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000457464943 244556462 /nfs/dbraw/zinc/55/64/62/244556462.db2.gz RZUQDVXSIYPGHN-MRVPVSSYSA-N 0 0 290.241 2.800 20 5 CFBDRN O=[N+]([O-])c1ccc(NOC[C@H]2CCOC2)c2ncccc12 ZINC000276242110 193254278 /nfs/dbraw/zinc/25/42/78/193254278.db2.gz PNAYMKZJVJOCDA-JTQLQIEISA-N 0 0 289.291 2.523 20 5 CFBDRN CC1(C)SC[C@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000276290979 193273882 /nfs/dbraw/zinc/27/38/82/193273882.db2.gz RNCPGSKMBREEHC-FZKGZDJFSA-N 0 0 292.360 2.618 20 5 CFBDRN COCCCCCOc1ccc([N+](=O)[O-])cc1C=O ZINC000116610577 376197396 /nfs/dbraw/zinc/19/73/96/376197396.db2.gz YHVXSQPLJHRTEO-UHFFFAOYSA-N 0 0 267.281 2.603 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000276358904 193298017 /nfs/dbraw/zinc/29/80/17/193298017.db2.gz VMRBHGBKMDZFOL-UWVGGRQHSA-N 0 0 275.308 2.978 20 5 CFBDRN CC(C)c1ccc(C(=O)N(C)CCCCO)cc1[N+](=O)[O-] ZINC000276365810 193302359 /nfs/dbraw/zinc/30/23/59/193302359.db2.gz BBCFRJZCPXDIPB-UHFFFAOYSA-N 0 0 294.351 2.563 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000330933640 203399797 /nfs/dbraw/zinc/39/97/97/203399797.db2.gz DURBTTWSQHBANC-ZYHUDNBSSA-N 0 0 292.335 2.864 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330955543 203418135 /nfs/dbraw/zinc/41/81/35/203418135.db2.gz UEGXKVLKKANTET-SNVBAGLBSA-N 0 0 265.313 2.575 20 5 CFBDRN O=C(N[C@H]1CCCOCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000180175450 284174567 /nfs/dbraw/zinc/17/45/67/284174567.db2.gz YQJGTCULQODAIK-JTQLQIEISA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1nc(NCc2ccccc2N(C)C)ccc1[N+](=O)[O-] ZINC000276515989 193364495 /nfs/dbraw/zinc/36/44/95/193364495.db2.gz UDKPBMCNAVXSLT-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CCC[C@@H](O)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276572893 193386124 /nfs/dbraw/zinc/38/61/24/193386124.db2.gz HRKZRCXFQUNJGI-LLVKDONJSA-N 0 0 275.308 2.716 20 5 CFBDRN CCOCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276562268 193381962 /nfs/dbraw/zinc/38/19/62/193381962.db2.gz GJGZOSOLOCQNKH-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)C1CC(C)(C)C1 ZINC000458174325 244788392 /nfs/dbraw/zinc/78/83/92/244788392.db2.gz ADBLGIACAAULIF-UHFFFAOYSA-N 0 0 277.324 2.559 20 5 CFBDRN O=[N+]([O-])c1cnc(SC[C@@H]2CCCO2)c(Cl)c1 ZINC000276919158 193499347 /nfs/dbraw/zinc/49/93/47/193499347.db2.gz OUQWESGOWWFRTI-QMMMGPOBSA-N 0 0 274.729 2.914 20 5 CFBDRN CCOC1(C)CN(C/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000459611684 245241561 /nfs/dbraw/zinc/24/15/61/245241561.db2.gz BBSGTSNHUSQRQN-SOFGYWHQSA-N 0 0 276.336 2.719 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CC2(C1)CCCOC2 ZINC000459614196 245241617 /nfs/dbraw/zinc/24/16/17/245241617.db2.gz XVCBBKZPTBORPZ-ZZXKWVIFSA-N 0 0 288.347 2.720 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c21)N1CCCCCO1 ZINC000351896187 296164987 /nfs/dbraw/zinc/16/49/87/296164987.db2.gz WGFQVVCRGXMZQV-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)CCCO1 ZINC000331621105 204017888 /nfs/dbraw/zinc/01/78/88/204017888.db2.gz JVDMJJTYOMFURO-SNVBAGLBSA-N 0 0 298.364 2.606 20 5 CFBDRN CCC[C@H](OC)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000459981693 245347252 /nfs/dbraw/zinc/34/72/52/245347252.db2.gz CJTGGVWIXOIQCJ-YGRLFVJLSA-N 0 0 292.335 2.688 20 5 CFBDRN C[C@@H]1[C@@H](C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)C1(F)F ZINC000461029601 245365296 /nfs/dbraw/zinc/36/52/96/245365296.db2.gz OASPSOBTUOVLOT-KCJUWKMLSA-N 0 0 298.289 2.847 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000332121072 204479624 /nfs/dbraw/zinc/47/96/24/204479624.db2.gz CDCXSYWHEMKLCJ-SWLSCSKDSA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2cccc(C)c2[N+](=O)[O-])n1 ZINC000002729788 371748039 /nfs/dbraw/zinc/74/80/39/371748039.db2.gz JLANEKHGLUKLCE-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN COC(=O)[C@@H](CNc1ccccc1[N+](=O)[O-])CC(C)C ZINC000462876129 245394482 /nfs/dbraw/zinc/39/44/82/245394482.db2.gz VABKUEBGOVFFEQ-LLVKDONJSA-N 0 0 280.324 2.842 20 5 CFBDRN Cc1nn(C)c(NCCc2ccc(Cl)cc2)c1[N+](=O)[O-] ZINC000004323850 371851792 /nfs/dbraw/zinc/85/17/92/371851792.db2.gz FEMBQPZNWFNRFI-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2nnnn2C2CC2)c(Cl)c1 ZINC000015141767 372080632 /nfs/dbraw/zinc/08/06/32/372080632.db2.gz QCYBWMXXYKEATJ-UHFFFAOYSA-N 0 0 297.727 2.721 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCCCC2)c1 ZINC000017320481 372132443 /nfs/dbraw/zinc/13/24/43/372132443.db2.gz BRTSBFVMWLMDPU-UHFFFAOYSA-N 0 0 280.349 2.943 20 5 CFBDRN CSc1ccc(C(=O)Nc2ccncc2)cc1[N+](=O)[O-] ZINC000017583614 372134825 /nfs/dbraw/zinc/13/48/25/372134825.db2.gz DZUVYFJNISKRHA-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCc1cccs1 ZINC000017909597 372138008 /nfs/dbraw/zinc/13/80/08/372138008.db2.gz WKVSOTBIRWCWCL-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN O=C(NCCc1ccco1)Nc1cccc([N+](=O)[O-])c1 ZINC000018180769 372143131 /nfs/dbraw/zinc/14/31/31/372143131.db2.gz JVAVYAKQCOSDPY-UHFFFAOYSA-N 0 0 275.264 2.552 20 5 CFBDRN CCOc1ccc(C(=O)NC(CC)CC)cc1[N+](=O)[O-] ZINC000019005541 372147388 /nfs/dbraw/zinc/14/73/88/372147388.db2.gz OJCNVTQMNIFXII-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CCCCCNC(=O)COc1cc(C)ccc1[N+](=O)[O-] ZINC000019192899 372149004 /nfs/dbraw/zinc/14/90/04/372149004.db2.gz XMGWBTIWAUVOJD-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000019871365 372202568 /nfs/dbraw/zinc/20/25/68/372202568.db2.gz ZHIVDLMKAHTAGD-GFCCVEGCSA-N 0 0 279.292 2.745 20 5 CFBDRN Cn1ncc2c1CCC[C@@H]2Nc1ccc(F)cc1[N+](=O)[O-] ZINC000025567346 372302653 /nfs/dbraw/zinc/30/26/53/372302653.db2.gz IQRZUYGXCJLJIN-NSHDSACASA-N 0 0 290.298 2.957 20 5 CFBDRN COc1cccc(C(=O)COc2cccc([N+](=O)[O-])c2)c1 ZINC000025017499 372287655 /nfs/dbraw/zinc/28/76/55/372287655.db2.gz WEMXQCDFRFGTKE-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN CC(C)(C)CCNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000027366802 372339740 /nfs/dbraw/zinc/33/97/40/372339740.db2.gz HJOPBVKNTDOBOT-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc2c[nH]nc2c1 ZINC000029371522 372377744 /nfs/dbraw/zinc/37/77/44/372377744.db2.gz OTDFMQKZOMQZMI-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN C/C=C/C=C/C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029891699 372387770 /nfs/dbraw/zinc/38/77/70/372387770.db2.gz OTVPRZRVUGUBDM-MQQKCMAXSA-N 0 0 258.277 2.616 20 5 CFBDRN CC[C@H](CO)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000035100449 372538067 /nfs/dbraw/zinc/53/80/67/372538067.db2.gz GEWIPCVXUKANAM-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN O=C(N[C@@H]1CCCc2occc21)c1cccc([N+](=O)[O-])c1 ZINC000033606712 372498351 /nfs/dbraw/zinc/49/83/51/372498351.db2.gz NKHDQYOPNXDWAM-CYBMUJFWSA-N 0 0 286.287 2.995 20 5 CFBDRN CC(C)(O)CNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000034486595 372507782 /nfs/dbraw/zinc/50/77/82/372507782.db2.gz WXHVSTDZTYTFKY-UHFFFAOYSA-N 0 0 278.230 2.796 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCOc2ccccc21 ZINC000034419416 372507907 /nfs/dbraw/zinc/50/79/07/372507907.db2.gz LEYNJECHRPIYKL-UHFFFAOYSA-N 0 0 284.271 2.634 20 5 CFBDRN COC(=O)c1cc(CNc2ccc([N+](=O)[O-])cc2)oc1C ZINC000034993985 372525269 /nfs/dbraw/zinc/52/52/69/372525269.db2.gz LDNJCCJGRGSSNO-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN CNC(=O)c1ccc(NCc2cccc([N+](=O)[O-])c2)cc1 ZINC000035045537 372531055 /nfs/dbraw/zinc/53/10/55/372531055.db2.gz JRACIPIZJPZZMT-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000035019271 372531109 /nfs/dbraw/zinc/53/11/09/372531109.db2.gz SDGMITJMDRGCPY-SMDDNHRTSA-N 0 0 294.351 2.833 20 5 CFBDRN CC(=O)COc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000036431476 372580850 /nfs/dbraw/zinc/58/08/50/372580850.db2.gz SFYYOGJOXAHMHX-UHFFFAOYSA-N 0 0 263.171 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@H]1CCOC1 ZINC000036970814 372618060 /nfs/dbraw/zinc/61/80/60/372618060.db2.gz VMXVSDXWHRXPSH-MRVPVSSYSA-N 0 0 256.689 2.697 20 5 CFBDRN CCC(CC)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669430 372689110 /nfs/dbraw/zinc/68/91/10/372689110.db2.gz HVRHNSLBNRQINB-UHFFFAOYSA-N 0 0 254.261 2.652 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)c1ccccc1F ZINC000037974476 372741659 /nfs/dbraw/zinc/74/16/59/372741659.db2.gz YHTOLTGRTSQTGD-UHFFFAOYSA-N 0 0 275.235 2.996 20 5 CFBDRN Cc1c(C(=O)Nc2cccc(CO)c2)cccc1[N+](=O)[O-] ZINC000037975063 372743529 /nfs/dbraw/zinc/74/35/29/372743529.db2.gz LUPKJOZZFIFHEH-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccco2)c(F)c1 ZINC000037987971 372750144 /nfs/dbraw/zinc/75/01/44/372750144.db2.gz CCFLZQQOLNGGIE-UHFFFAOYSA-N 0 0 250.229 2.982 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037996006 372753941 /nfs/dbraw/zinc/75/39/41/372753941.db2.gz XSRFNWHKTCPZOY-SECBINFHSA-N 0 0 268.288 2.995 20 5 CFBDRN C[C@H](NCc1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000041012375 372801635 /nfs/dbraw/zinc/80/16/35/372801635.db2.gz LYQFUZURQMJKAW-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN Cc1ncc(CNc2nc3sccn3c2[N+](=O)[O-])s1 ZINC000040998312 372801741 /nfs/dbraw/zinc/80/17/41/372801741.db2.gz YKPUAFOVAKOQTH-UHFFFAOYSA-N 0 0 295.349 2.681 20 5 CFBDRN Cc1nc(CNCc2csc([N+](=O)[O-])c2)cs1 ZINC000041010684 372803341 /nfs/dbraw/zinc/80/33/41/372803341.db2.gz MVOVKAFZNBMKFA-UHFFFAOYSA-N 0 0 269.351 2.711 20 5 CFBDRN CNC(=O)c1ccccc1OCc1cccc([N+](=O)[O-])c1 ZINC000042116645 372874229 /nfs/dbraw/zinc/87/42/29/372874229.db2.gz FVLDURCYTOQGEP-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1nc(C(C)C)no1 ZINC000042385755 372917081 /nfs/dbraw/zinc/91/70/81/372917081.db2.gz JXUWJUWYKGMONS-UHFFFAOYSA-N 0 0 292.295 2.722 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H]1CCCCO1 ZINC000042822616 372960247 /nfs/dbraw/zinc/96/02/47/372960247.db2.gz TXLMAJLGPNOARV-SECBINFHSA-N 0 0 254.261 2.715 20 5 CFBDRN CC(C)CCNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000042905006 372966683 /nfs/dbraw/zinc/96/66/83/372966683.db2.gz AEAHKHVBGUVAQZ-UHFFFAOYSA-N 0 0 279.340 2.782 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000043127247 372974907 /nfs/dbraw/zinc/97/49/07/372974907.db2.gz NHVDUKSULVSANB-QMMMGPOBSA-N 0 0 267.285 2.523 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC1CCCC1 ZINC000043127158 372975002 /nfs/dbraw/zinc/97/50/02/372975002.db2.gz HGHCAJFKXJPSHA-UHFFFAOYSA-N 0 0 279.296 2.668 20 5 CFBDRN O=C(NC[C@@H]1CCCCO1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000042821461 372958532 /nfs/dbraw/zinc/95/85/32/372958532.db2.gz GCDOTPOKBSNMGV-JTQLQIEISA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(F)c1 ZINC000045452385 373018364 /nfs/dbraw/zinc/01/83/64/373018364.db2.gz RXMRDNUHLOIKPH-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)c1cccc(F)c1 ZINC000045514894 373020593 /nfs/dbraw/zinc/02/05/93/373020593.db2.gz WMXBKSSAQFSJFC-UHFFFAOYSA-N 0 0 278.239 2.994 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])NCC1CCCC1 ZINC000045622828 373022684 /nfs/dbraw/zinc/02/26/84/373022684.db2.gz XIFDZBQTKQMVCR-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN CCN(CC(C)C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000046359539 373052554 /nfs/dbraw/zinc/05/25/54/373052554.db2.gz CBLGZQYHQJNMDM-UHFFFAOYSA-N 0 0 290.323 2.589 20 5 CFBDRN CCC(C)(C)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000046539281 373056643 /nfs/dbraw/zinc/05/66/43/373056643.db2.gz KTXARMNASXDDQU-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000289799137 197487885 /nfs/dbraw/zinc/48/78/85/197487885.db2.gz QABJAWORQRLPHN-YFKPBYRVSA-N 0 0 295.192 2.806 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])c2ccccc2S1 ZINC000047249258 373083686 /nfs/dbraw/zinc/08/36/86/373083686.db2.gz HHCNENIHOWAMMH-FOGDFJRCSA-N 0 0 292.360 2.569 20 5 CFBDRN CCCCCNC(=O)COc1cccc([N+](=O)[O-])c1C ZINC000047355492 373090415 /nfs/dbraw/zinc/09/04/15/373090415.db2.gz BLAQBFUMACEJEP-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CCc2ccccc21 ZINC000047700334 373113454 /nfs/dbraw/zinc/11/34/54/373113454.db2.gz FQRYJNNUDGEUMY-UHFFFAOYSA-N 0 0 297.314 2.867 20 5 CFBDRN Cc1n[nH]cc1CCCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000048335065 373163253 /nfs/dbraw/zinc/16/32/53/373163253.db2.gz XIMOQMMLYBTGCZ-UHFFFAOYSA-N 0 0 274.324 2.979 20 5 CFBDRN CCCCNc1c([N+](=O)[O-])cc(CO)c(C)c1[N+](=O)[O-] ZINC000048391365 373175287 /nfs/dbraw/zinc/17/52/87/373175287.db2.gz WAKRDWXLODXJLA-UHFFFAOYSA-N 0 0 283.284 2.516 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])cc2)cn1 ZINC000048405433 373177246 /nfs/dbraw/zinc/17/72/46/373177246.db2.gz RBDMCKOSIBZJPN-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CN(Cc1cnn(C)c1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000048545951 373197001 /nfs/dbraw/zinc/19/70/01/373197001.db2.gz PBSHRRXMHLUORH-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN Cc1c(Oc2cc(Cl)nc(N)n2)cccc1[N+](=O)[O-] ZINC000048566167 373201302 /nfs/dbraw/zinc/20/13/02/373201302.db2.gz DWFPWMGBQPQVKA-UHFFFAOYSA-N 0 0 280.671 2.721 20 5 CFBDRN C[C@H](NC(=O)NCC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000049242035 373279709 /nfs/dbraw/zinc/27/97/09/373279709.db2.gz ZDFUPANNMWGBHW-JTQLQIEISA-N 0 0 277.324 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ccncc2)c(Cl)c1 ZINC000049963281 373337580 /nfs/dbraw/zinc/33/75/80/373337580.db2.gz VBISLIUIRKOPNP-UHFFFAOYSA-N 0 0 277.711 2.933 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H]2CCCC[C@H]21 ZINC000049982376 373337780 /nfs/dbraw/zinc/33/77/80/373337780.db2.gz HIHWZBIZFLFTEB-GHMZBOCLSA-N 0 0 278.308 2.983 20 5 CFBDRN CCCNC(=O)CCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000050352281 373373302 /nfs/dbraw/zinc/37/33/02/373373302.db2.gz MWMXEEJPEHPHLH-UHFFFAOYSA-N 0 0 285.731 2.576 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000053755692 373485828 /nfs/dbraw/zinc/48/58/28/373485828.db2.gz GJCAKBPOZCHTSD-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000055466634 373553940 /nfs/dbraw/zinc/55/39/40/373553940.db2.gz YZHLTWMVRWHRHU-ABZNLYFFSA-N 0 0 297.314 2.880 20 5 CFBDRN CCOC1(C)CCN(c2c([N+](=O)[O-])nc(C)n2CC)CC1 ZINC000488440923 245856907 /nfs/dbraw/zinc/85/69/07/245856907.db2.gz BZJOGUCPJXNMML-UHFFFAOYSA-N 0 0 296.371 2.515 20 5 CFBDRN CC(C)(C)CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057835289 373613594 /nfs/dbraw/zinc/61/35/94/373613594.db2.gz AJUCNOIGFJVTBE-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN COCCCCCNc1ccc([N+](=O)[O-])cc1F ZINC000058554145 373664112 /nfs/dbraw/zinc/66/41/12/373664112.db2.gz WUWASTIYIIAJIL-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN CNc1ccc(C(=O)N(C)CC2CCC2)cc1[N+](=O)[O-] ZINC000061487218 373718393 /nfs/dbraw/zinc/71/83/93/373718393.db2.gz RYYOYCHTNQRULA-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN CCCCOCCCNc1ccc([N+](=O)[O-])cn1 ZINC000060846425 373705731 /nfs/dbraw/zinc/70/57/31/373705731.db2.gz PEYALJKHSXHPPP-UHFFFAOYSA-N 0 0 253.302 2.609 20 5 CFBDRN C[C@H](CCNc1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000061910863 373738811 /nfs/dbraw/zinc/73/88/11/373738811.db2.gz CWGUUFLKZXRIKT-LLVKDONJSA-N 0 0 272.308 2.991 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC[C@H]2F)c1 ZINC000335201202 220237945 /nfs/dbraw/zinc/23/79/45/220237945.db2.gz GZFWPRJNHFGPRF-VXGBXAGGSA-N 0 0 280.299 2.914 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@H]1F)c1ccccc1[N+](=O)[O-] ZINC000335201727 220238283 /nfs/dbraw/zinc/23/82/83/220238283.db2.gz VVZYGESFVWNGCE-GHMZBOCLSA-N 0 0 266.272 2.605 20 5 CFBDRN CN(CCc1ccc(F)cc1)c1ncccc1[N+](=O)[O-] ZINC000065586474 373889487 /nfs/dbraw/zinc/88/94/87/373889487.db2.gz IUWKUQGMPNAYEO-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CC1(CNC(=O)NCc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000066598017 373941714 /nfs/dbraw/zinc/94/17/14/373941714.db2.gz XOYMZYXKTVDAQN-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN COc1ccc(CN2C[C@@H](C)OC(C)(C)C2)cc1[N+](=O)[O-] ZINC000068475317 374030785 /nfs/dbraw/zinc/03/07/85/374030785.db2.gz CFDMMGDGFWVCFM-LLVKDONJSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1ccc(NC(=O)N(C)C[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000069024764 374045846 /nfs/dbraw/zinc/04/58/46/374045846.db2.gz QPLQPTYWOPMZID-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@@H](CSC)Nc1c([N+](=O)[O-])nc(C)n1CC ZINC000289537467 197394049 /nfs/dbraw/zinc/39/40/49/197394049.db2.gz FEUWRMMOWOLVBX-VIFPVBQESA-N 0 0 272.374 2.673 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000070069196 374118605 /nfs/dbraw/zinc/11/86/05/374118605.db2.gz CKQSYMOEIPGWLH-QMMMGPOBSA-N 0 0 268.700 2.730 20 5 CFBDRN O=C(OC[C@@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1Cl ZINC000070166384 374133589 /nfs/dbraw/zinc/13/35/89/374133589.db2.gz KNZITBGJXBIIIJ-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1C2CCC1CC2 ZINC000490703593 246020935 /nfs/dbraw/zinc/02/09/35/246020935.db2.gz SCDWIZNKYZAFAC-RUDMXATFSA-N 0 0 272.304 2.761 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1C2CCC1CC2 ZINC000490703601 246020941 /nfs/dbraw/zinc/02/09/41/246020941.db2.gz SCDWIZNKYZAFAC-WTKPLQERSA-N 0 0 272.304 2.761 20 5 CFBDRN CSCCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000070186243 374143497 /nfs/dbraw/zinc/14/34/97/374143497.db2.gz OQNANYYNCYDFEA-UHFFFAOYSA-N 0 0 263.322 2.918 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000070231876 374151436 /nfs/dbraw/zinc/15/14/36/374151436.db2.gz RESMCDKFJAVBLS-VXGBXAGGSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCCCCC1 ZINC000070249402 374153123 /nfs/dbraw/zinc/15/31/23/374153123.db2.gz NSLHANYEUODNIS-UHFFFAOYSA-N 0 0 262.309 2.919 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000070360405 374170327 /nfs/dbraw/zinc/17/03/27/374170327.db2.gz MTBHTOAYQHQKQE-PWSUYJOCSA-N 0 0 250.298 2.883 20 5 CFBDRN C/C=C/C=C\C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000490604448 246016364 /nfs/dbraw/zinc/01/63/64/246016364.db2.gz HKSUXRCDFVIHID-IAROGAJJSA-N 0 0 268.219 2.944 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C/c1cnccn1 ZINC000491168058 246045218 /nfs/dbraw/zinc/04/52/18/246045218.db2.gz NTKSRWHZSAGTAK-ONEGZZNKSA-N 0 0 298.302 2.654 20 5 CFBDRN CCCN(C)C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491276879 246055384 /nfs/dbraw/zinc/05/53/84/246055384.db2.gz HUBQBWFMCWPGQL-ALCCZGGFSA-N 0 0 266.272 2.616 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])Nc1ccccn1 ZINC000491321830 246061094 /nfs/dbraw/zinc/06/10/94/246061094.db2.gz RILLGSCMYRICSI-ALCCZGGFSA-N 0 0 287.250 2.781 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)[C@H]1C ZINC000248534288 189949102 /nfs/dbraw/zinc/94/91/02/189949102.db2.gz UYVHESQXXCKXQV-GBIKHYSHSA-N 0 0 291.351 2.732 20 5 CFBDRN O=C(/C=C\c1cscn1)Nc1cc([N+](=O)[O-])ccc1F ZINC000491368981 246063581 /nfs/dbraw/zinc/06/35/81/246063581.db2.gz UALDLIOJWHEOEJ-RJRFIUFISA-N 0 0 293.279 2.842 20 5 CFBDRN CC[C@H](C)N(C)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491437017 246068604 /nfs/dbraw/zinc/06/86/04/246068604.db2.gz PYVTYRABSMFCEO-BRNRAETOSA-N 0 0 262.309 2.865 20 5 CFBDRN COc1ccc(CNC(=O)/C=C\C(C)(C)C)cc1[N+](=O)[O-] ZINC000491840659 246095420 /nfs/dbraw/zinc/09/54/20/246095420.db2.gz ZGCDMLZPOZZPAG-FPLPWBNLSA-N 0 0 292.335 2.822 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N[C@H]1CC=CCC1 ZINC000491847791 246098057 /nfs/dbraw/zinc/09/80/57/246098057.db2.gz RSRXEQUXEBCWNF-JWJUJFCLSA-N 0 0 290.294 2.972 20 5 CFBDRN CO[C@@]1(C)C[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1(C)C ZINC000073272334 374333888 /nfs/dbraw/zinc/33/38/88/374333888.db2.gz MLSGLSFWMDCXGS-ZANVPECISA-N 0 0 298.364 2.590 20 5 CFBDRN Cc1cc(NCc2ccc3c(c2)OCO3)ncc1[N+](=O)[O-] ZINC000073000404 374314467 /nfs/dbraw/zinc/31/44/67/374314467.db2.gz ONGOZPQQCRPGDF-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN COC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])c2cnccc12 ZINC000073713972 374374150 /nfs/dbraw/zinc/37/41/50/374374150.db2.gz OGGRSCIDZMECOP-MRVPVSSYSA-N 0 0 292.316 2.797 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]21 ZINC000491889234 246113285 /nfs/dbraw/zinc/11/32/85/246113285.db2.gz YCUDIFOLXWISES-FXOGGVSJSA-N 0 0 290.294 2.758 20 5 CFBDRN O=C(/C=C\C1CCOCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000491890477 246114100 /nfs/dbraw/zinc/11/41/00/246114100.db2.gz ZPPKDCJBASIVOJ-PLNGDYQASA-N 0 0 276.292 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)C(F)(F)F)c(Cl)c1 ZINC000074055558 374404495 /nfs/dbraw/zinc/40/44/95/374404495.db2.gz JNQWXQLJYCFVKT-QMMMGPOBSA-N 0 0 284.621 2.583 20 5 CFBDRN CCO[C@H](CCNC(=O)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000074291597 374413718 /nfs/dbraw/zinc/41/37/18/374413718.db2.gz WRQIKNAWGOASNT-CQSZACIVSA-N 0 0 294.351 2.776 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000491993735 246151369 /nfs/dbraw/zinc/15/13/69/246151369.db2.gz AQQMRHAWYOEXNA-AFPRHGJPSA-N 0 0 260.293 2.617 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000491992547 246151377 /nfs/dbraw/zinc/15/13/77/246151377.db2.gz UUCAMAMSXPQFDI-XQRVVYSFSA-N 0 0 283.287 2.525 20 5 CFBDRN Cn1ccc(/C=C\C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000491999209 246152469 /nfs/dbraw/zinc/15/24/69/246152469.db2.gz JGAWTJKHLJPTGK-IHWYPQMZSA-N 0 0 289.266 2.724 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000334151889 206101355 /nfs/dbraw/zinc/10/13/55/206101355.db2.gz LOGWYPRXSMMRBT-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN O=C(/C=C\C1CCC1)NCc1ccccc1[N+](=O)[O-] ZINC000492067619 246174733 /nfs/dbraw/zinc/17/47/33/246174733.db2.gz IDOKTOHVEJDDOD-HJWRWDBZSA-N 0 0 260.293 2.567 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000075506591 374485559 /nfs/dbraw/zinc/48/55/59/374485559.db2.gz CAHPIZJTZXCNFH-SNVBAGLBSA-N 0 0 250.298 2.774 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000075286383 374473365 /nfs/dbraw/zinc/47/33/65/374473365.db2.gz RQYGQBZGKSTWFS-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN CCC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000075908310 374510273 /nfs/dbraw/zinc/51/02/73/374510273.db2.gz UYWGIRKEERGJIN-UHFFFAOYSA-N 0 0 260.196 2.545 20 5 CFBDRN C[C@]1(F)CCN(C(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000492318184 246255891 /nfs/dbraw/zinc/25/58/91/246255891.db2.gz LDWBUNQRFSDBFH-KQIUPUNMSA-N 0 0 296.273 2.708 20 5 CFBDRN CC[C@H](CSC)NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492338625 246259932 /nfs/dbraw/zinc/25/99/32/246259932.db2.gz AHVZGQFVLYZWNN-JLVNDZCYSA-N 0 0 294.376 2.866 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CCc2ccncc21 ZINC000492393784 246278374 /nfs/dbraw/zinc/27/83/74/246278374.db2.gz FDMOHDVGPWKQQA-SNAWJCMRSA-N 0 0 295.298 2.592 20 5 CFBDRN CCN(CC(F)F)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492503420 246313114 /nfs/dbraw/zinc/31/31/14/246313114.db2.gz NQQWXSSKYMDDCF-FPLPWBNLSA-N 0 0 284.262 2.722 20 5 CFBDRN Cc1cccnc1/C=C\C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000492575116 246331665 /nfs/dbraw/zinc/33/16/65/246331665.db2.gz IFNILSZPGBQWLU-HJWRWDBZSA-N 0 0 297.314 2.628 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CS[C@@H](C)CO ZINC000079089246 374592180 /nfs/dbraw/zinc/59/21/80/374592180.db2.gz BZDFOCQQEIPPQO-JTQLQIEISA-N 0 0 285.365 2.996 20 5 CFBDRN Cc1cnccc1CNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492755315 246376560 /nfs/dbraw/zinc/37/65/60/246376560.db2.gz XIXNGOGLWOPOQV-SREVYHEPSA-N 0 0 297.314 2.628 20 5 CFBDRN C[C@@H](O)[C@H](C)SCCCOc1ccc([N+](=O)[O-])cc1 ZINC000079041412 374585474 /nfs/dbraw/zinc/58/54/74/374585474.db2.gz WNPQZWVIXXBWHZ-MNOVXSKESA-N 0 0 285.365 2.866 20 5 CFBDRN CCOc1cc(CN2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000492777022 246382814 /nfs/dbraw/zinc/38/28/14/246382814.db2.gz WNKNZGJDYPPQHE-UHFFFAOYSA-N 0 0 286.278 2.835 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@H](C)CO ZINC000277851518 193778145 /nfs/dbraw/zinc/77/81/45/193778145.db2.gz STWLKRBBMFKADL-ZETCQYMHSA-N 0 0 258.705 2.597 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCc1nccs1 ZINC000080608414 374664347 /nfs/dbraw/zinc/66/43/47/374664347.db2.gz TYAJXXXBTRFCKU-UHFFFAOYSA-N 0 0 293.348 2.852 20 5 CFBDRN CC[C@](C)(CO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000080882339 374675889 /nfs/dbraw/zinc/67/58/89/374675889.db2.gz ITTDZSCTXFMPBS-LLVKDONJSA-N 0 0 258.705 2.821 20 5 CFBDRN Cc1ccc(C(=O)N2CCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000081351291 374694706 /nfs/dbraw/zinc/69/47/06/374694706.db2.gz LGDZXGOBLBWWDE-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1ccncc1 ZINC000083480101 374748852 /nfs/dbraw/zinc/74/88/52/374748852.db2.gz DLJLGMFNHOJURD-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN CC(C)n1ccc(COc2cc(C=O)ccc2[N+](=O)[O-])n1 ZINC000083683099 374754508 /nfs/dbraw/zinc/75/45/08/374754508.db2.gz URIHQJQIKVXGQU-UHFFFAOYSA-N 0 0 289.291 2.764 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2CC2)c(Br)c1 ZINC000081941683 374723784 /nfs/dbraw/zinc/72/37/84/374723784.db2.gz ICWSRPWVCSQNNQ-UHFFFAOYSA-N 0 0 286.129 2.964 20 5 CFBDRN CSCCCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000083408190 374742760 /nfs/dbraw/zinc/74/27/60/374742760.db2.gz ZUDPFNJGVVNEGK-UHFFFAOYSA-N 0 0 271.298 2.668 20 5 CFBDRN O=C(Nc1ccc(O)c(Cl)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000085204530 374797257 /nfs/dbraw/zinc/79/72/57/374797257.db2.gz VZWQKQFRQPWSIT-UHFFFAOYSA-N 0 0 281.655 2.534 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cc2F)[C@@H]2CCO[C@@H]21 ZINC000085637112 374801892 /nfs/dbraw/zinc/80/18/92/374801892.db2.gz CDKFECSHYGXVDT-BIMULSAOSA-N 0 0 280.299 2.959 20 5 CFBDRN O=C(C=C1CCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000087316431 374815402 /nfs/dbraw/zinc/81/54/02/374815402.db2.gz RMXLNFHSWMOPDO-UHFFFAOYSA-N 0 0 258.277 2.594 20 5 CFBDRN CC(=O)c1ccc(OCC(=O)C2CCC2)c([N+](=O)[O-])c1 ZINC000277879040 193784908 /nfs/dbraw/zinc/78/49/08/193784908.db2.gz CJWPIMRGGCYKCB-UHFFFAOYSA-N 0 0 277.276 2.545 20 5 CFBDRN CCC1(O)CCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000493235570 246529654 /nfs/dbraw/zinc/52/96/54/246529654.db2.gz HVPZBXFCMROQRP-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN CCC1(CNC(=O)COc2ccccc2[N+](=O)[O-])CCC1 ZINC000084255026 374771843 /nfs/dbraw/zinc/77/18/43/374771843.db2.gz AOGQPQUCEXGRQU-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN O=C(NCc1ccc2c(c1)COC2)c1ccc([N+](=O)[O-])cc1 ZINC000431887522 383703566 /nfs/dbraw/zinc/70/35/66/383703566.db2.gz OIXALFGJTZSUCI-UHFFFAOYSA-N 0 0 298.298 2.555 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC1(CF)CCC1 ZINC000493366721 246569390 /nfs/dbraw/zinc/56/93/90/246569390.db2.gz IYDHWIDHMSUBHG-AATRIKPKSA-N 0 0 278.283 2.616 20 5 CFBDRN C[C@H](CCCO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000088503715 374849918 /nfs/dbraw/zinc/84/99/18/374849918.db2.gz NFEDDUFUHALPNI-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CCO[C@@H](C)c1nccn1Cc1ccccc1[N+](=O)[O-] ZINC000089521799 374918002 /nfs/dbraw/zinc/91/80/02/374918002.db2.gz FRUREVAJKLCOJG-NSHDSACASA-N 0 0 275.308 2.937 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)CS1 ZINC000493526743 246614003 /nfs/dbraw/zinc/61/40/03/246614003.db2.gz VYOASGTWSZVAGF-TTXFHXEYSA-N 0 0 292.360 2.618 20 5 CFBDRN CC(C)(C)CC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089835797 374959625 /nfs/dbraw/zinc/95/96/25/374959625.db2.gz YJQJULZMSMPTNR-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN CCC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000089472144 374911922 /nfs/dbraw/zinc/91/19/22/374911922.db2.gz DYOZURWMHYPXPZ-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CCC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)CCO1 ZINC000089473100 374913304 /nfs/dbraw/zinc/91/33/04/374913304.db2.gz APPHRTRCIQYDCK-JTQLQIEISA-N 0 0 298.364 2.606 20 5 CFBDRN Cc1cnc(NCc2ccc3c(c2)OCO3)c([N+](=O)[O-])c1 ZINC000090692322 375022887 /nfs/dbraw/zinc/02/28/87/375022887.db2.gz RLQBCPBJGKZCCU-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN CC[C@@H](CSC)N(C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000091150379 375044572 /nfs/dbraw/zinc/04/45/72/375044572.db2.gz SRCYYKPZDZRLLJ-JTQLQIEISA-N 0 0 297.380 2.512 20 5 CFBDRN Cc1nc(CNCc2cccc([N+](=O)[O-])c2)sc1C ZINC000091437458 375051885 /nfs/dbraw/zinc/05/18/85/375051885.db2.gz SKSUQUGQZZXOJI-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccccc1 ZINC000091558509 375054246 /nfs/dbraw/zinc/05/42/46/375054246.db2.gz CLJYGQSBPFVTKR-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CN(C/C=C/c1ccccc1[N+](=O)[O-])Cc1cnccn1 ZINC000092590927 375127215 /nfs/dbraw/zinc/12/72/15/375127215.db2.gz RMMQENRXQHOVTA-GQCTYLIASA-N 0 0 284.319 2.530 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@H]2CCC[C@@H]21 ZINC000336280893 296226508 /nfs/dbraw/zinc/22/65/08/296226508.db2.gz JESSHRYYPKPLHD-MFKMUULPSA-N 0 0 260.293 2.609 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178371575 296227236 /nfs/dbraw/zinc/22/72/36/296227236.db2.gz LPAWUZXXKMJTPW-PHIMTYICSA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCCC12CCCCC2 ZINC000361180929 296227346 /nfs/dbraw/zinc/22/73/46/296227346.db2.gz YOVYYWVFNTWRGZ-UHFFFAOYSA-N 0 0 289.335 2.929 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000049982375 296227722 /nfs/dbraw/zinc/22/77/22/296227722.db2.gz HIHWZBIZFLFTEB-QWRGUYRKSA-N 0 0 278.308 2.983 20 5 CFBDRN O=[N+]([O-])c1cn(CCOc2ccc(F)cc2Cl)cn1 ZINC000093903773 375330928 /nfs/dbraw/zinc/33/09/28/375330928.db2.gz ZCLSAPXWWIEEOV-UHFFFAOYSA-N 0 0 285.662 2.663 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2ccncc2)c1 ZINC000094659417 375373525 /nfs/dbraw/zinc/37/35/25/375373525.db2.gz PZZMRJKTHLEQNK-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2ccco2)c1 ZINC000096470509 375486879 /nfs/dbraw/zinc/48/68/79/375486879.db2.gz MXYPKSAKWZKMKP-UHFFFAOYSA-N 0 0 262.265 2.851 20 5 CFBDRN C[C@H](CCO)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000097443346 375561680 /nfs/dbraw/zinc/56/16/80/375561680.db2.gz ABVBJIVQDUBMTI-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN CCOc1cc(SC[C@H](C)CO)ccc1[N+](=O)[O-] ZINC000097459399 375562885 /nfs/dbraw/zinc/56/28/85/375562885.db2.gz CETGUPMYMAZMPG-SECBINFHSA-N 0 0 271.338 2.714 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1CC1(Cl)Cl ZINC000098072497 375600421 /nfs/dbraw/zinc/60/04/21/375600421.db2.gz UBCMIHYZSKLSKW-MRVPVSSYSA-N 0 0 275.091 2.727 20 5 CFBDRN Cc1ccc(OCc2cnc(C)nc2)c([N+](=O)[O-])c1 ZINC000505481136 247140162 /nfs/dbraw/zinc/14/01/62/247140162.db2.gz QLQGJEVLAWESQO-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1cc(OCc2cnc(C)nc2)c(F)cc1[N+](=O)[O-] ZINC000505541613 247140973 /nfs/dbraw/zinc/14/09/73/247140973.db2.gz ZYEHKEJEVMUVRQ-UHFFFAOYSA-N 0 0 277.255 2.720 20 5 CFBDRN CN(CCc1ccc(F)cc1)c1ccc([N+](=O)[O-])nc1 ZINC000505590016 247143297 /nfs/dbraw/zinc/14/32/97/247143297.db2.gz JPTTXXDBVGXBDE-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000103368597 375734958 /nfs/dbraw/zinc/73/49/58/375734958.db2.gz COHGTGXGFLJOKN-UWVGGRQHSA-N 0 0 277.324 2.701 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC000513178849 247218768 /nfs/dbraw/zinc/21/87/68/247218768.db2.gz ROOKKUKZAKLITN-JTQLQIEISA-N 0 0 275.283 2.766 20 5 CFBDRN Cc1ccc(N[C@H]2CC[C@H](CO)CC2)c([N+](=O)[O-])c1 ZINC000514189597 247228470 /nfs/dbraw/zinc/22/84/70/247228470.db2.gz IWCKGJXISXRTFC-HAQNSBGRSA-N 0 0 264.325 2.866 20 5 CFBDRN CCOCCN(CC)c1cccc(F)c1[N+](=O)[O-] ZINC000104190946 375773588 /nfs/dbraw/zinc/77/35/88/375773588.db2.gz HNJYMLVFMZYVIZ-UHFFFAOYSA-N 0 0 256.277 2.597 20 5 CFBDRN COc1cc(NCCc2ccco2)ccc1[N+](=O)[O-] ZINC000104245000 375776251 /nfs/dbraw/zinc/77/62/51/375776251.db2.gz MCHKUKXTGLHGPM-UHFFFAOYSA-N 0 0 262.265 2.851 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCOC1CCCCC1 ZINC000111608657 375856485 /nfs/dbraw/zinc/85/64/85/375856485.db2.gz IPLVTPJERRESSA-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000111629133 375857973 /nfs/dbraw/zinc/85/79/73/375857973.db2.gz IVSKSBZOVPJHNT-SECBINFHSA-N 0 0 279.340 2.604 20 5 CFBDRN CN(C[C@H]1CCCO1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000112079483 375882997 /nfs/dbraw/zinc/88/29/97/375882997.db2.gz PUOLQMKHEZQJEO-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN COc1ccc(CNc2c(C)cccc2[N+](=O)[O-])cn1 ZINC000112208979 375889901 /nfs/dbraw/zinc/88/99/01/375889901.db2.gz HMYPKJVPEFAHKN-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1cc(CNc2c(C)cccc2[N+](=O)[O-])ccn1 ZINC000112208986 375890774 /nfs/dbraw/zinc/89/07/74/375890774.db2.gz YXABFWNJCLHSMU-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1Cl)C1CCC1 ZINC000277996911 193813365 /nfs/dbraw/zinc/81/33/65/193813365.db2.gz KHHNWCJCXLCTJR-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN Cc1nnc([C@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000112532177 375928199 /nfs/dbraw/zinc/92/81/99/375928199.db2.gz UJGIIFBLFCVSCT-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000112601565 375933767 /nfs/dbraw/zinc/93/37/67/375933767.db2.gz FRUGLKBDMFKOGD-JTQLQIEISA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000112689308 375943836 /nfs/dbraw/zinc/94/38/36/375943836.db2.gz WCEPLORVGUYYGQ-SECBINFHSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2c([N+](=O)[O-])ncn2C)C[C@@H]1C ZINC000113577822 376024843 /nfs/dbraw/zinc/02/48/43/376024843.db2.gz PQWQWJQVMXCNKB-UTLUCORTSA-N 0 0 252.318 2.565 20 5 CFBDRN CC[C@H]1CCC[C@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000113581210 376025049 /nfs/dbraw/zinc/02/50/49/376025049.db2.gz IZOLBIJPAXPKTP-UWVGGRQHSA-N 0 0 252.318 2.709 20 5 CFBDRN CNC(=O)c1cccc(COc2ccccc2[N+](=O)[O-])c1 ZINC000113772489 376034413 /nfs/dbraw/zinc/03/44/13/376034413.db2.gz ZTFBYCZGUUWFMO-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN COC(=O)C[C@H](C)SCc1csc([N+](=O)[O-])c1 ZINC000115191596 376115027 /nfs/dbraw/zinc/11/50/27/376115027.db2.gz PYOWZVCKPJJXQK-ZETCQYMHSA-N 0 0 275.351 2.841 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)C[C@@H](O)C(F)(F)F ZINC000341169413 296240196 /nfs/dbraw/zinc/24/01/96/296240196.db2.gz WZOXORTWDZFVBZ-GZMMTYOYSA-N 0 0 292.257 2.511 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cccnc1 ZINC000117589804 376250229 /nfs/dbraw/zinc/25/02/29/376250229.db2.gz SACAZRILSCDMMG-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN CCCCOCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000118207544 376276557 /nfs/dbraw/zinc/27/65/57/376276557.db2.gz HPGNFQDENMJYHJ-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN O=C1CCN(Cc2ccccc2[N+](=O)[O-])c2ccccc2N1 ZINC000118845983 376319709 /nfs/dbraw/zinc/31/97/09/376319709.db2.gz MUSYJUIIVZTFNA-UHFFFAOYSA-N 0 0 297.314 2.944 20 5 CFBDRN CN(Cc1cccnc1)Cc1c(F)cccc1[N+](=O)[O-] ZINC000119073318 376348805 /nfs/dbraw/zinc/34/88/05/376348805.db2.gz QJRMMJRNILVZOM-UHFFFAOYSA-N 0 0 275.283 2.761 20 5 CFBDRN Cc1c(C(=O)N2CCS[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000118293294 376286092 /nfs/dbraw/zinc/28/60/92/376286092.db2.gz QIYYGEKFCCCQIZ-WDEREUQCSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000118624664 376304408 /nfs/dbraw/zinc/30/44/08/376304408.db2.gz WJRXQQKJOODBNZ-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN COc1cc(NCc2c(C)noc2C)c(F)cc1[N+](=O)[O-] ZINC000119361293 376401481 /nfs/dbraw/zinc/40/14/81/376401481.db2.gz OZGMAOYSFPYNTC-UHFFFAOYSA-N 0 0 295.270 2.959 20 5 CFBDRN COc1cc(N(C)CCOCC2CC2)c(F)cc1[N+](=O)[O-] ZINC000119810994 376477563 /nfs/dbraw/zinc/47/75/63/376477563.db2.gz CIVFHRBIFXCJAP-UHFFFAOYSA-N 0 0 298.314 2.605 20 5 CFBDRN CC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000119572930 376439127 /nfs/dbraw/zinc/43/91/27/376439127.db2.gz CJBKGTOYSYIYMF-VIFPVBQESA-N 0 0 273.292 2.671 20 5 CFBDRN CCCn1c(C)nnc1CSc1ccc([N+](=O)[O-])cn1 ZINC000119927803 376506260 /nfs/dbraw/zinc/50/62/60/376506260.db2.gz XRDIFOSVTRKMSC-UHFFFAOYSA-N 0 0 293.352 2.592 20 5 CFBDRN CN(C(=O)[C@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])cc1 ZINC000120332307 376595484 /nfs/dbraw/zinc/59/54/84/376595484.db2.gz WVVSKHWTNDMAAT-SECBINFHSA-N 0 0 289.118 2.751 20 5 CFBDRN COc1cc(N(C)CCC2CC2)c([N+](=O)[O-])cc1F ZINC000120292742 376588245 /nfs/dbraw/zinc/58/82/45/376588245.db2.gz AGTPGKNLVMYHHR-UHFFFAOYSA-N 0 0 268.288 2.979 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H]1CCCOC1 ZINC000120319996 376591939 /nfs/dbraw/zinc/59/19/39/376591939.db2.gz IZJWLKATVUQQNF-NSHDSACASA-N 0 0 293.323 2.512 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000120941034 376727101 /nfs/dbraw/zinc/72/71/01/376727101.db2.gz MWWKOOPWYDGJTL-LLVKDONJSA-N 0 0 264.325 2.688 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000121434467 376831478 /nfs/dbraw/zinc/83/14/78/376831478.db2.gz SLCXRKKGHKRGMD-GFCCVEGCSA-N 0 0 293.367 2.871 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cccnc1 ZINC000121761759 376900340 /nfs/dbraw/zinc/90/03/40/376900340.db2.gz BYONOJMOJQQOBR-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000121776782 376902483 /nfs/dbraw/zinc/90/24/83/376902483.db2.gz UQNRBDRJNRCOGH-GFCCVEGCSA-N 0 0 293.367 2.948 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000121620913 376871297 /nfs/dbraw/zinc/87/12/97/376871297.db2.gz SSMRMWQLTHAAJI-NSHDSACASA-N 0 0 262.309 2.857 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NOCC(C)C)cc1[N+](=O)[O-] ZINC000121645743 376875726 /nfs/dbraw/zinc/87/57/26/376875726.db2.gz FIQRGRLCOGOIMG-NSHDSACASA-N 0 0 295.339 2.851 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)c1ccccc1 ZINC000121711931 376888877 /nfs/dbraw/zinc/88/88/77/376888877.db2.gz ITTYPISOIBBOHH-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC2(CC1)OCCO2 ZINC000122577991 376933981 /nfs/dbraw/zinc/93/39/81/376933981.db2.gz NTLAZTNYYGRHRG-UHFFFAOYSA-N 0 0 298.726 2.592 20 5 CFBDRN O=C(COc1c(F)cccc1[N+](=O)[O-])Cc1ccccc1 ZINC000124288820 377035957 /nfs/dbraw/zinc/03/59/57/377035957.db2.gz FMGFFYDPZRWEBF-UHFFFAOYSA-N 0 0 289.262 2.925 20 5 CFBDRN COc1cc(N2CCS[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000125258243 377133802 /nfs/dbraw/zinc/13/38/02/377133802.db2.gz RNGVWLNWODIYJG-MRVPVSSYSA-N 0 0 286.328 2.684 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCCc2occc2C1 ZINC000289277551 296254540 /nfs/dbraw/zinc/25/45/40/296254540.db2.gz SBQMTHQALVHZSS-UHFFFAOYSA-N 0 0 286.287 2.776 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@H]2CCC[C@@H]21 ZINC000174337131 296254620 /nfs/dbraw/zinc/25/46/20/296254620.db2.gz WURAFLVBLQVRPY-RISCZKNCSA-N 0 0 274.320 3.000 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000125548214 377169873 /nfs/dbraw/zinc/16/98/73/377169873.db2.gz VGWJTXDVNIPSQS-LBPRGKRZSA-N 0 0 267.329 2.507 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@@H]1C1CC1 ZINC000334887883 284626198 /nfs/dbraw/zinc/62/61/98/284626198.db2.gz KIKWVRAZKZQJNP-GFCCVEGCSA-N 0 0 286.287 2.966 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])c3ncccc23)C[C@@H]1O ZINC000129069361 377379150 /nfs/dbraw/zinc/37/91/50/377379150.db2.gz CKVQWFFJMKYMBJ-OLZOCXBDSA-N 0 0 287.319 2.714 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1ccc([N+](=O)[O-])cn1 ZINC000129442473 377427743 /nfs/dbraw/zinc/42/77/43/377427743.db2.gz VHIGNNKVZQPLRX-MRVPVSSYSA-N 0 0 276.296 2.810 20 5 CFBDRN O=c1sccn1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000129511503 377438297 /nfs/dbraw/zinc/43/82/97/377438297.db2.gz GIQCNEXNNVSBBC-UHFFFAOYSA-N 0 0 270.697 2.520 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000130343157 377541447 /nfs/dbraw/zinc/54/14/47/377541447.db2.gz MYFCVLJTOBCTOO-NXEZZACHSA-N 0 0 275.308 2.714 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1Cc2ccccc2C1 ZINC000105981659 296263174 /nfs/dbraw/zinc/26/31/74/296263174.db2.gz RTZKKSKVRGJAIC-UHFFFAOYSA-N 0 0 268.272 2.751 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@H]1CCOC1 ZINC000130365054 377544732 /nfs/dbraw/zinc/54/47/32/377544732.db2.gz HFOQCOPZLIAVJU-SNVBAGLBSA-N 0 0 273.292 2.591 20 5 CFBDRN CCCCNC(=O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000130445665 377558263 /nfs/dbraw/zinc/55/82/63/377558263.db2.gz DRZZYCZPRCAMFZ-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000130552881 377575426 /nfs/dbraw/zinc/57/54/26/377575426.db2.gz MCHWCSVLYANFHE-SECBINFHSA-N 0 0 282.365 2.639 20 5 CFBDRN C[C@@H](O)C[N@H+](C)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 ZINC000130801814 377612843 /nfs/dbraw/zinc/61/28/43/377612843.db2.gz POJZJEBDEYRLHB-LLVKDONJSA-N 0 0 290.319 2.667 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@@H]1Cc1ccccc1 ZINC000358942412 284670313 /nfs/dbraw/zinc/67/03/13/284670313.db2.gz ASVWWPWAORIVRD-GFCCVEGCSA-N 0 0 286.287 2.645 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000131923902 377780358 /nfs/dbraw/zinc/78/03/58/377780358.db2.gz YQUPTLLZPJPGKR-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN CCC[C@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)CCO1 ZINC000131978761 377787732 /nfs/dbraw/zinc/78/77/32/377787732.db2.gz YQROFXOLFJLDEB-ZDUSSCGKSA-N 0 0 294.351 2.604 20 5 CFBDRN CSC[C@H](C)NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000132038822 377793435 /nfs/dbraw/zinc/79/34/35/377793435.db2.gz IZPHSKKENWYNEV-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000132672454 377864841 /nfs/dbraw/zinc/86/48/41/377864841.db2.gz ZCNKDVHYYGLWJC-SKDRFNHKSA-N 0 0 297.282 2.987 20 5 CFBDRN Cn1c(CNc2ccccc2[N+](=O)[O-])nnc1C1CCC1 ZINC000132852741 377882042 /nfs/dbraw/zinc/88/20/42/377882042.db2.gz MVSZVRFWQIZMPA-UHFFFAOYSA-N 0 0 287.323 2.603 20 5 CFBDRN O=C(CC1CCC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132461447 377842517 /nfs/dbraw/zinc/84/25/17/377842517.db2.gz NPWYHRSWBFLUJY-UHFFFAOYSA-N 0 0 253.229 2.830 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2ccccc2[N+](=O)[O-])n[nH]1 ZINC000133527150 377937227 /nfs/dbraw/zinc/93/72/27/377937227.db2.gz FEZRXXCTWJRAAN-SECBINFHSA-N 0 0 260.297 2.669 20 5 CFBDRN CC(C)n1ncnc1COc1cc([N+](=O)[O-])ccc1Cl ZINC000133584914 377941991 /nfs/dbraw/zinc/94/19/91/377941991.db2.gz HNFGXVZMXIBVLL-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN CC(C)n1ncnc1COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000133608190 377943537 /nfs/dbraw/zinc/94/35/37/377943537.db2.gz XHYILEANNQHBCR-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1)[C@H]1CCOC1 ZINC000133734661 377953030 /nfs/dbraw/zinc/95/30/30/377953030.db2.gz JLHPJSMERKJWQS-LBPRGKRZSA-N 0 0 294.351 2.997 20 5 CFBDRN COc1cc(C(=O)N2CCCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000133124112 377906973 /nfs/dbraw/zinc/90/69/73/377906973.db2.gz GRCXUQYTCAXWOH-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN COC(=O)CN(Cc1csc([N+](=O)[O-])c1)C1CCCC1 ZINC000134024935 377976370 /nfs/dbraw/zinc/97/63/70/377976370.db2.gz HZCVVBQEJNUWOY-UHFFFAOYSA-N 0 0 298.364 2.574 20 5 CFBDRN C[C@@H](O)CCOc1ccc(Br)cc1[N+](=O)[O-] ZINC000134060273 377979500 /nfs/dbraw/zinc/97/95/00/377979500.db2.gz ZSDGBSALANYKLV-SSDOTTSWSA-N 0 0 290.113 2.507 20 5 CFBDRN O=C(Cn1ccc2c1cccc2[N+](=O)[O-])NC1CCCC1 ZINC000134790740 378050548 /nfs/dbraw/zinc/05/05/48/378050548.db2.gz KNZCARULZWAQGM-UHFFFAOYSA-N 0 0 287.319 2.608 20 5 CFBDRN COc1cccc(NCc2cccnc2OC)c1[N+](=O)[O-] ZINC000134906208 378061502 /nfs/dbraw/zinc/06/15/02/378061502.db2.gz NRVBGTBRJSNSTP-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CCOc1cccc(NC[C@@](C)(O)CC)c1[N+](=O)[O-] ZINC000134923904 378065458 /nfs/dbraw/zinc/06/54/58/378065458.db2.gz JLKDZNFNHKFGSV-ZDUSSCGKSA-N 0 0 268.313 2.566 20 5 CFBDRN Cc1cc(NCc2ccc(O)cc2)ncc1[N+](=O)[O-] ZINC000135495971 378139218 /nfs/dbraw/zinc/13/92/18/378139218.db2.gz HGDUFQZISVLMSK-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN CCCc1nc(COc2cc(F)cc([N+](=O)[O-])c2)no1 ZINC000135531328 378143880 /nfs/dbraw/zinc/14/38/80/378143880.db2.gz CIIHDFVUBYGAAH-UHFFFAOYSA-N 0 0 281.243 2.648 20 5 CFBDRN CC[C@]1(C)CN(Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000135615977 378149941 /nfs/dbraw/zinc/14/99/41/378149941.db2.gz IABHMWMTSXQNQW-CQSZACIVSA-N 0 0 264.325 2.596 20 5 CFBDRN COC1(C)CCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000359099868 284688000 /nfs/dbraw/zinc/68/80/00/284688000.db2.gz OTAPHVSSIPPAKD-UHFFFAOYSA-N 0 0 279.340 2.612 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2CCC2)c1 ZINC000136296897 378194881 /nfs/dbraw/zinc/19/48/81/378194881.db2.gz JKPQLAWPAXLBBA-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN CCOc1cc(Oc2cccc([N+](=O)[O-])c2)ncn1 ZINC000135989295 378174088 /nfs/dbraw/zinc/17/40/88/378174088.db2.gz LLILRZLFTSAGIZ-UHFFFAOYSA-N 0 0 261.237 2.576 20 5 CFBDRN COc1c(C(=O)Nc2cccc(O)c2)cccc1[N+](=O)[O-] ZINC000137561736 378226204 /nfs/dbraw/zinc/22/62/04/378226204.db2.gz FCRPUZBRSQRVQA-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ncc[nH]1 ZINC000138903560 378234293 /nfs/dbraw/zinc/23/42/93/378234293.db2.gz JHJAGCSWOBAQJU-UWVGGRQHSA-N 0 0 260.297 2.730 20 5 CFBDRN CO[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000138623354 378234324 /nfs/dbraw/zinc/23/43/24/378234324.db2.gz PCYXIXXOKBSTSQ-ZCFIWIBFSA-N 0 0 292.213 2.587 20 5 CFBDRN CC(C)CCn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O ZINC000140409179 378238917 /nfs/dbraw/zinc/23/89/17/378238917.db2.gz BUQLIFWVACIBFI-UHFFFAOYSA-N 0 0 287.319 2.865 20 5 CFBDRN CCOC1CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CC1 ZINC000148298920 378256130 /nfs/dbraw/zinc/25/61/30/378256130.db2.gz AJCNGCIDPOLNAZ-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccccn2)c(Cl)c1 ZINC000149220162 378261817 /nfs/dbraw/zinc/26/18/17/378261817.db2.gz VKVVFVRBNOZRLE-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOC[C@H]2C2CCC2)c1 ZINC000571795643 383728101 /nfs/dbraw/zinc/72/81/01/383728101.db2.gz JMUZLVAIRORYGO-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN C[C@@H](C1CCCCC1)N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000330404147 378546515 /nfs/dbraw/zinc/54/65/15/378546515.db2.gz WQAPMMRDDFVWEF-NSHDSACASA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000330445053 378558223 /nfs/dbraw/zinc/55/82/23/378558223.db2.gz QTPHTSKIDOOWKB-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000330481298 378567034 /nfs/dbraw/zinc/56/70/34/378567034.db2.gz QZFXYZBZEVIAGM-SNVBAGLBSA-N 0 0 250.298 2.774 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000330513225 378574950 /nfs/dbraw/zinc/57/49/50/378574950.db2.gz SZIVFABFBFJOLI-JQWIXIFHSA-N 0 0 292.335 2.543 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CCC(F)(F)C1 ZINC000331072895 378626391 /nfs/dbraw/zinc/62/63/91/378626391.db2.gz FLSBRDBXIYYAIH-SNVBAGLBSA-N 0 0 298.289 2.989 20 5 CFBDRN Cc1c(CC(=O)NC[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000331090380 378631414 /nfs/dbraw/zinc/63/14/14/378631414.db2.gz VOHDOFKSQRLCHH-WCQYABFASA-N 0 0 290.363 2.998 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000330977729 378608749 /nfs/dbraw/zinc/60/87/49/378608749.db2.gz HUGPUCUCQGPCOD-HNNXBMFYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCn1ccnc1 ZINC000155097793 378611464 /nfs/dbraw/zinc/61/14/64/378611464.db2.gz OFPJAQIJTOQZAZ-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000330998111 378611596 /nfs/dbraw/zinc/61/15/96/378611596.db2.gz PELQHULYEGRVOL-HNNXBMFYSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000331032030 378617816 /nfs/dbraw/zinc/61/78/16/378617816.db2.gz UNJJPGVBARURAD-GXSJLCMTSA-N 0 0 280.349 2.525 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccsc1 ZINC000155275311 378618775 /nfs/dbraw/zinc/61/87/75/378618775.db2.gz NKWQOGJLQRZXAC-UHFFFAOYSA-N 0 0 280.280 2.977 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cccc2c1OC(C)(C)C2 ZINC000331374142 378679980 /nfs/dbraw/zinc/67/99/80/378679980.db2.gz KADGCYKMCHXASQ-UHFFFAOYSA-N 0 0 287.319 2.862 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1C[C@@H]1CCC(F)(F)C1 ZINC000331485375 378694220 /nfs/dbraw/zinc/69/42/20/378694220.db2.gz ALVARIOLFWFKTF-MRVPVSSYSA-N 0 0 298.245 2.938 20 5 CFBDRN C[C@@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CCS1 ZINC000332201187 378736085 /nfs/dbraw/zinc/73/60/85/378736085.db2.gz CGAUEQYHOUJXND-SNVBAGLBSA-N 0 0 282.365 2.628 20 5 CFBDRN COc1c(C(=O)N2CCCC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000332273038 378741573 /nfs/dbraw/zinc/74/15/73/378741573.db2.gz PZVWWEBUKXURCA-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NCC1(C2CC2)CC1 ZINC000160010123 378743804 /nfs/dbraw/zinc/74/38/04/378743804.db2.gz XZKRZHPCXWYSSA-VMPITWQZSA-N 0 0 286.331 2.914 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(C3CCCCC3)n2)n1C ZINC000331528069 378701443 /nfs/dbraw/zinc/70/14/43/378701443.db2.gz GDPLENZQZHCCCP-UHFFFAOYSA-N 0 0 291.311 2.734 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)N2CCc3ccc([N+](=O)[O-])cc32)C1 ZINC000331672225 378714505 /nfs/dbraw/zinc/71/45/05/378714505.db2.gz ZCZXSLNPTDUJSV-PWSUYJOCSA-N 0 0 274.320 2.920 20 5 CFBDRN COc1cc(C(=O)NCC2(C3CC3)CC2)ccc1[N+](=O)[O-] ZINC000159661139 378722887 /nfs/dbraw/zinc/72/28/87/378722887.db2.gz LYNWJAZMXUNRFP-UHFFFAOYSA-N 0 0 290.319 2.523 20 5 CFBDRN CC(C)N(Cc1ccc(Cl)cc1[N+](=O)[O-])C1COC1 ZINC000332454588 378764685 /nfs/dbraw/zinc/76/46/85/378764685.db2.gz OAEFFNQVFLBEDB-UHFFFAOYSA-N 0 0 284.743 2.857 20 5 CFBDRN COC1([C@@H](C)NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000332486656 378769758 /nfs/dbraw/zinc/76/97/58/378769758.db2.gz RNTTYKBMZNMVEO-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCC[C@@H]2CC(C)C)c1[N+](=O)[O-] ZINC000332660677 378784576 /nfs/dbraw/zinc/78/45/76/378784576.db2.gz FWYQHKUENFATEC-SNVBAGLBSA-N 0 0 294.355 2.531 20 5 CFBDRN CCCC[C@@H](C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332671507 378785809 /nfs/dbraw/zinc/78/58/09/378785809.db2.gz IGVYKCIELYTJRX-LLVKDONJSA-N 0 0 291.351 2.701 20 5 CFBDRN CCCCN(C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000161028428 378815703 /nfs/dbraw/zinc/81/57/03/378815703.db2.gz RBHFISFNGPMYAO-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c2cccnc12)C1CC1 ZINC000338779101 220255089 /nfs/dbraw/zinc/25/50/89/220255089.db2.gz NKTOHLQRFGZUIK-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000162828285 378936142 /nfs/dbraw/zinc/93/61/42/378936142.db2.gz JYRVXEMVXMRNPE-GXTWGEPZSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCCC1CC1 ZINC000163123592 378955964 /nfs/dbraw/zinc/95/59/64/378955964.db2.gz XWICFYJYSFSHOD-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@H](F)C1 ZINC000334237750 378965070 /nfs/dbraw/zinc/96/50/70/378965070.db2.gz ITPBGNONSZCEDG-WCBMZHEXSA-N 0 0 267.260 2.607 20 5 CFBDRN Cc1c(C(=O)N(CC(F)F)C2CC2)cccc1[N+](=O)[O-] ZINC000264378312 383733023 /nfs/dbraw/zinc/73/30/23/383733023.db2.gz CGCXXEGDCINOAJ-UHFFFAOYSA-N 0 0 284.262 2.773 20 5 CFBDRN Cc1ccc(OCc2ncnn2C(C)C)cc1[N+](=O)[O-] ZINC000278599715 194063075 /nfs/dbraw/zinc/06/30/75/194063075.db2.gz FSALBKGFUZJWPX-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC=C(C)CC1 ZINC000278609621 194066247 /nfs/dbraw/zinc/06/62/47/194066247.db2.gz LHAHHTKWMDWZLF-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC(C2CCC2)C1 ZINC000334285711 379034595 /nfs/dbraw/zinc/03/45/95/379034595.db2.gz GQWNGBSFMDYBPV-UHFFFAOYSA-N 0 0 293.298 2.998 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(SC2CCCC2)n1 ZINC000166895083 379065009 /nfs/dbraw/zinc/06/50/09/379065009.db2.gz MVWWRGODCCYBAS-UHFFFAOYSA-N 0 0 282.321 2.811 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000167220318 379068447 /nfs/dbraw/zinc/06/84/47/379068447.db2.gz ATCTWRXKBKWJBZ-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000278688279 194102706 /nfs/dbraw/zinc/10/27/06/194102706.db2.gz PIGLGOMRTNTNRH-ZIAGYGMSSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC2(C1)CCCC2 ZINC000335321604 379085255 /nfs/dbraw/zinc/08/52/55/379085255.db2.gz MBBUAQKAFJVXFD-UHFFFAOYSA-N 0 0 266.322 2.673 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NOCCC(F)(F)F ZINC000278702581 194111688 /nfs/dbraw/zinc/11/16/88/194111688.db2.gz QGXOYQZKFZIFEB-UHFFFAOYSA-N 0 0 292.213 2.517 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@@H](C2CC2)C1 ZINC000335317762 379078124 /nfs/dbraw/zinc/07/81/24/379078124.db2.gz SWKGVMINNLPDBZ-SECBINFHSA-N 0 0 266.322 2.528 20 5 CFBDRN Cc1cccc(OCc2ncnn2C(C)C)c1[N+](=O)[O-] ZINC000278715288 194117783 /nfs/dbraw/zinc/11/77/83/194117783.db2.gz ONTFNQWLQBWIBF-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@](C)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000334352429 379140130 /nfs/dbraw/zinc/14/01/30/379140130.db2.gz HEAHCFZBEFHXQX-YGRLFVJLSA-N 0 0 295.314 2.799 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000334352590 379143099 /nfs/dbraw/zinc/14/30/99/379143099.db2.gz XMKUYUUOOBKNEG-SNVBAGLBSA-N 0 0 287.319 2.948 20 5 CFBDRN O=C(N[C@H]1CC12CC2)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000335365446 379169328 /nfs/dbraw/zinc/16/93/28/379169328.db2.gz SNYHQIODVRGWQH-JTQLQIEISA-N 0 0 266.684 2.531 20 5 CFBDRN CCOCCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000170843093 379174120 /nfs/dbraw/zinc/17/41/20/379174120.db2.gz VHHYNKBLQPBXIS-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1SCCSC(C)(C)C ZINC000278795417 194159442 /nfs/dbraw/zinc/15/94/42/194159442.db2.gz NAPVXOXEYNIWFL-UHFFFAOYSA-N 0 0 275.399 2.952 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C2CC2)C1 ZINC000334380901 379195454 /nfs/dbraw/zinc/19/54/54/379195454.db2.gz ZYJVDAVPPJHXOB-GFCCVEGCSA-N 0 0 274.320 2.775 20 5 CFBDRN COC(C)(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000170968521 379200848 /nfs/dbraw/zinc/20/08/48/379200848.db2.gz NVMWLLOCQDJIAB-UHFFFAOYSA-N 0 0 266.297 2.575 20 5 CFBDRN C[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccnn1C ZINC000278841656 194178864 /nfs/dbraw/zinc/17/88/64/194178864.db2.gz UVAZNRVIOQBWDX-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@H]2C2CCCC2)ccc1[N+](=O)[O-] ZINC000334400315 379232325 /nfs/dbraw/zinc/23/23/25/379232325.db2.gz MYGLJQDQXMIEKP-LBPRGKRZSA-N 0 0 291.351 2.728 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC=C(F)C1 ZINC000278859334 194185604 /nfs/dbraw/zinc/18/56/04/194185604.db2.gz XAQRIOHZRSWZBF-UHFFFAOYSA-N 0 0 264.256 2.603 20 5 CFBDRN COCCCCC[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000171497745 379322363 /nfs/dbraw/zinc/32/23/63/379322363.db2.gz SVTGAEONQMRIAX-UHFFFAOYSA-N 0 0 266.341 2.843 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@H](F)C1 ZINC000335458765 379330335 /nfs/dbraw/zinc/33/03/35/379330335.db2.gz LGRLLLJHQVFOLG-VIFPVBQESA-N 0 0 278.239 2.525 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)CCS1 ZINC000171690837 379373788 /nfs/dbraw/zinc/37/37/88/379373788.db2.gz AVYGVOPWRLSBTJ-MRVPVSSYSA-N 0 0 258.368 2.594 20 5 CFBDRN CC1(F)CC(NC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000334497141 379394174 /nfs/dbraw/zinc/39/41/74/379394174.db2.gz FBBRQQPACXDRHK-UHFFFAOYSA-N 0 0 267.260 2.607 20 5 CFBDRN CN(Cc1ncc[nH]1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000171914264 379436609 /nfs/dbraw/zinc/43/66/09/379436609.db2.gz YKJZZUIVVYNFLC-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@](C)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000334518371 379438570 /nfs/dbraw/zinc/43/85/70/379438570.db2.gz JMDFAZPOCUGSIR-IINYFYTJSA-N 0 0 295.314 2.799 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCCC12CCC2 ZINC000334535210 379473893 /nfs/dbraw/zinc/47/38/93/379473893.db2.gz MTBQMRZOXPWPSG-UHFFFAOYSA-N 0 0 278.283 2.893 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334536974 379478772 /nfs/dbraw/zinc/47/87/72/379478772.db2.gz DWTOTLKQGZZWFB-DTORHVGOSA-N 0 0 266.272 2.747 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)/C=C/C(C)(C)C ZINC000172111722 379496372 /nfs/dbraw/zinc/49/63/72/379496372.db2.gz UNYOGMXCEJONRD-BQYQJAHWSA-N 0 0 292.335 2.822 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCC[C@H](CC)CC2)c1[N+](=O)[O-] ZINC000172295235 379533882 /nfs/dbraw/zinc/53/38/82/379533882.db2.gz LFELAEFWHPPLQP-JTQLQIEISA-N 0 0 294.355 2.533 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)Cc1cccs1 ZINC000264426679 383738275 /nfs/dbraw/zinc/73/82/75/383738275.db2.gz BOZUIMWBOOQTAP-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])N[C@@H]1CC=CCC1 ZINC000172480895 379576013 /nfs/dbraw/zinc/57/60/13/379576013.db2.gz JBFPKWCHTPWZHX-GFCCVEGCSA-N 0 0 289.335 2.622 20 5 CFBDRN Cc1cnc(CNC(=O)c2cccc([N+](=O)[O-])c2C)s1 ZINC000172715405 379629839 /nfs/dbraw/zinc/62/98/39/379629839.db2.gz KWFBODMLFOYRRJ-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN C[C@@H]1CCCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000172663195 379617148 /nfs/dbraw/zinc/61/71/48/379617148.db2.gz YHSXFTGHHUMORW-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN CC1(CNC(=O)Cc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000173000397 379685332 /nfs/dbraw/zinc/68/53/32/379685332.db2.gz BOCLBFZFNGRWBO-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000172860477 379659722 /nfs/dbraw/zinc/65/97/22/379659722.db2.gz IQTHMAXBRRMRQN-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000334631671 379665848 /nfs/dbraw/zinc/66/58/48/379665848.db2.gz YQEAJCOZZQKBPZ-AWEZNQCLSA-N 0 0 280.299 2.996 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCCC[C@H]1C(F)F ZINC000335663706 379682280 /nfs/dbraw/zinc/68/22/80/379682280.db2.gz GDPWKXBAYYIWPB-QMMMGPOBSA-N 0 0 290.291 2.916 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000335682833 379716399 /nfs/dbraw/zinc/71/63/99/379716399.db2.gz ADKBQXJWUWADRK-DTORHVGOSA-N 0 0 273.292 2.699 20 5 CFBDRN C[C@@H]1CCCN1C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000173153707 379704423 /nfs/dbraw/zinc/70/44/23/379704423.db2.gz YFEBMXUEVVCINK-ANYFNZRUSA-N 0 0 260.293 2.619 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000335676868 379706464 /nfs/dbraw/zinc/70/64/64/379706464.db2.gz WQYDCCFIWDBYCO-NXEZZACHSA-N 0 0 260.293 2.530 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1[C@H](C)C[C@@H]1C ZINC000335680032 379710919 /nfs/dbraw/zinc/71/09/19/379710919.db2.gz UTWIRMGHNPXPPJ-AOOOYVTPSA-N 0 0 263.297 2.918 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000335735553 379804755 /nfs/dbraw/zinc/80/47/55/379804755.db2.gz RIJWNGOQYGFRMD-LBPRGKRZSA-N 0 0 281.287 2.996 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@H]1C1CC1 ZINC000334686241 379766194 /nfs/dbraw/zinc/76/61/94/379766194.db2.gz FFMDMPYDTUWNKY-ZDUSSCGKSA-N 0 0 275.308 2.919 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC[C@@H](F)C1 ZINC000334693226 379777993 /nfs/dbraw/zinc/77/79/93/379777993.db2.gz NGFMBBWJIATDCG-SNVBAGLBSA-N 0 0 281.287 2.787 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2cc([N+](=O)[O-])ccc2C)o1 ZINC000174171992 379840385 /nfs/dbraw/zinc/84/03/85/379840385.db2.gz OCWBQXYEGGLXTL-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CCc1ccc(C(=O)N2CCS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000174190014 379842279 /nfs/dbraw/zinc/84/22/79/379842279.db2.gz IRHGJHOOILSCAY-SNVBAGLBSA-N 0 0 294.376 2.735 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)C1=CCCC1 ZINC000335738584 379810850 /nfs/dbraw/zinc/81/08/50/379810850.db2.gz PFBBMGYSIRXGSA-UHFFFAOYSA-N 0 0 250.229 2.783 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1CCC(F)(F)C1 ZINC000335741232 379813944 /nfs/dbraw/zinc/81/39/44/379813944.db2.gz SCUYGCKQBUOUDW-QMMMGPOBSA-N 0 0 270.235 2.969 20 5 CFBDRN O=C(NC12CCC(CC1)CC2)c1ccc([N+](=O)[O-])o1 ZINC000334716944 379817456 /nfs/dbraw/zinc/81/74/56/379817456.db2.gz FCXBSVLIJSHQRL-UHFFFAOYSA-N 0 0 264.281 2.640 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2cc([N+](=O)[O-])cn2C)CC12CCC2 ZINC000335810597 379926516 /nfs/dbraw/zinc/92/65/16/379926516.db2.gz KZQGWSFVRABWAW-ZDUSSCGKSA-N 0 0 291.351 2.584 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000335782852 379879925 /nfs/dbraw/zinc/87/99/25/379879925.db2.gz DNEZVALKXSAELK-NSHDSACASA-N 0 0 274.320 2.562 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1(F)F ZINC000334760223 379898718 /nfs/dbraw/zinc/89/87/18/379898718.db2.gz GCPQCGWOHHATHQ-SSDOTTSWSA-N 0 0 290.291 2.774 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000175002277 379976152 /nfs/dbraw/zinc/97/61/52/379976152.db2.gz IGYKPEMXFGCGOA-CYBMUJFWSA-N 0 0 276.336 2.832 20 5 CFBDRN CO[C@@]1(C)C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1(C)C ZINC000174832372 379949342 /nfs/dbraw/zinc/94/93/42/379949342.db2.gz QKUBZRTZRDOXEO-DOMZBBRYSA-N 0 0 292.335 2.528 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](C)[C@@H]1C ZINC000175048926 379985043 /nfs/dbraw/zinc/98/50/43/379985043.db2.gz ZHTCXYPRXWDEDP-UWVGGRQHSA-N 0 0 293.323 2.866 20 5 CFBDRN COc1ccc(NC(=O)c2cncc(C)c2)c([N+](=O)[O-])c1 ZINC000175072144 379990887 /nfs/dbraw/zinc/99/08/87/379990887.db2.gz XZCOGDYNPOTYER-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCSCC1 ZINC000175097631 379995374 /nfs/dbraw/zinc/99/53/74/379995374.db2.gz PQNXIIUWKKPSSH-UHFFFAOYSA-N 0 0 282.365 2.542 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)C[C@@H]1CCCOC1 ZINC000175110039 379997832 /nfs/dbraw/zinc/99/78/32/379997832.db2.gz RGGLWXCOSVJYNE-LBPRGKRZSA-N 0 0 294.351 2.604 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCS[C@@H](C)C1 ZINC000175179186 380012994 /nfs/dbraw/zinc/01/29/94/380012994.db2.gz KPCLBENHGWKSIY-NSHDSACASA-N 0 0 296.392 2.931 20 5 CFBDRN CSC(C)(C)CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000175498627 380075311 /nfs/dbraw/zinc/07/53/11/380075311.db2.gz JVCZOLGSYWXKHW-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CCSC1 ZINC000335943388 380137195 /nfs/dbraw/zinc/13/71/95/380137195.db2.gz PZEIRPQGBQEUSU-LLVKDONJSA-N 0 0 294.376 2.755 20 5 CFBDRN CCOc1cccnc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000175860559 380143803 /nfs/dbraw/zinc/14/38/03/380143803.db2.gz ZUTNXBYZLUJJIV-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN C[C@H](N(C)C(=O)Cc1cccc([N+](=O)[O-])c1)C1(C)CC1 ZINC000175585341 380094855 /nfs/dbraw/zinc/09/48/55/380094855.db2.gz JJHGAHWVRHAZQZ-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000175734247 380121489 /nfs/dbraw/zinc/12/14/89/380121489.db2.gz ZKCXUKVFXFNOMA-GHMZBOCLSA-N 0 0 277.324 2.850 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000175734202 380121721 /nfs/dbraw/zinc/12/17/21/380121721.db2.gz ZKCXUKVFXFNOMA-MNOVXSKESA-N 0 0 277.324 2.850 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2cccc([N+](=O)[O-])c2N)cc1 ZINC000175805209 380134633 /nfs/dbraw/zinc/13/46/33/380134633.db2.gz DFVZFXRDWHUYHB-UHFFFAOYSA-N 0 0 299.286 2.632 20 5 CFBDRN Cc1ccccc1C1=CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000335960560 380163502 /nfs/dbraw/zinc/16/35/02/380163502.db2.gz QRLJALMPNZUJIT-UHFFFAOYSA-N 0 0 297.314 2.771 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2N)c2ccccc21 ZINC000176004714 380167874 /nfs/dbraw/zinc/16/78/74/380167874.db2.gz CYEQUVVGAGEEHZ-JTQLQIEISA-N 0 0 297.314 2.941 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000335991079 380205285 /nfs/dbraw/zinc/20/52/85/380205285.db2.gz KYPHWHKMOPOEAB-MRVPVSSYSA-N 0 0 268.700 2.730 20 5 CFBDRN C[C@@H]1CCN(CCn2ccc([N+](=O)[O-])n2)c2ccccc21 ZINC000176209228 380195848 /nfs/dbraw/zinc/19/58/48/380195848.db2.gz XDSOMQWTXYZRNO-GFCCVEGCSA-N 0 0 286.335 2.805 20 5 CFBDRN CCCNC(=O)[C@H](C)SCc1ccc([N+](=O)[O-])cc1 ZINC000176241954 380201655 /nfs/dbraw/zinc/20/16/55/380201655.db2.gz ALBKLNVDINAGRM-JTQLQIEISA-N 0 0 282.365 2.743 20 5 CFBDRN Cc1cccc(C(=O)N2CC3(CCC3(F)F)C2)c1[N+](=O)[O-] ZINC000336020924 380247829 /nfs/dbraw/zinc/24/78/29/380247829.db2.gz POHPAAFDRZYYAI-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000342398296 220273784 /nfs/dbraw/zinc/27/37/84/220273784.db2.gz FTAMFPMNPZORLC-ZFWWWQNUSA-N 0 0 291.351 2.559 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1c[nH]nn1 ZINC000177375939 380336835 /nfs/dbraw/zinc/33/68/35/380336835.db2.gz VUVPUFPMITYPRB-UHFFFAOYSA-N 0 0 294.336 2.792 20 5 CFBDRN COC(C)(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000279057703 194265503 /nfs/dbraw/zinc/26/55/03/194265503.db2.gz SBJXVPRALAFOOY-UHFFFAOYSA-N 0 0 277.280 2.612 20 5 CFBDRN CN(Cc1ccc(Cl)cc1[N+](=O)[O-])c1cnn(C)c1 ZINC000177803681 380380227 /nfs/dbraw/zinc/38/02/27/380380227.db2.gz XKBKIVRPYCRILN-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN CC[C@H](C)C[N@H+](CC)CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000177853837 380385884 /nfs/dbraw/zinc/38/58/84/380385884.db2.gz GAPOCPVFVBNANS-LBPRGKRZSA-N 0 0 293.367 2.901 20 5 CFBDRN Cc1ccc(SCCn2ccc([N+](=O)[O-])n2)cc1 ZINC000178457126 380453418 /nfs/dbraw/zinc/45/34/18/380453418.db2.gz ZKEAFBIXVVQURO-UHFFFAOYSA-N 0 0 263.322 2.892 20 5 CFBDRN CCS[C@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000178096217 380408265 /nfs/dbraw/zinc/40/82/65/380408265.db2.gz XWQYZMRWYVYWDS-SCZZXKLOSA-N 0 0 284.337 2.592 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(C1CC1)C1CC1 ZINC000178795701 380485350 /nfs/dbraw/zinc/48/53/50/380485350.db2.gz QXOOYFSXIASGDD-UHFFFAOYSA-N 0 0 288.347 2.989 20 5 CFBDRN O=C(NC1CCC(F)(F)CC1)c1csc([N+](=O)[O-])c1 ZINC000336629510 380574160 /nfs/dbraw/zinc/57/41/60/380574160.db2.gz DXGUCRAPHHDIGL-UHFFFAOYSA-N 0 0 290.291 2.964 20 5 CFBDRN Cc1c(C(=O)N2C[C@@H](C)S[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000336633561 380580797 /nfs/dbraw/zinc/58/07/97/380580797.db2.gz ISPFWESLHRNMAF-NXEZZACHSA-N 0 0 294.376 2.869 20 5 CFBDRN O=C(Nc1ccccc1)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000179846538 380586221 /nfs/dbraw/zinc/58/62/21/380586221.db2.gz ADZMIKCAKHXQCV-UHFFFAOYSA-N 0 0 286.243 2.576 20 5 CFBDRN Cc1c(N[C@H](C)c2ccc([N+](=O)[O-])cc2)cnn1C ZINC000179866991 380590152 /nfs/dbraw/zinc/59/01/52/380590152.db2.gz RGPYDGSGKVRGFU-SECBINFHSA-N 0 0 260.297 2.810 20 5 CFBDRN CC(C)Cn1ncnc1CSc1ccc([N+](=O)[O-])cn1 ZINC000180105252 380620087 /nfs/dbraw/zinc/62/00/87/380620087.db2.gz MNBSCTFWEIIZDF-UHFFFAOYSA-N 0 0 293.352 2.530 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(Cl)cc1)n1cc([N+](=O)[O-])cn1 ZINC000180509286 380669470 /nfs/dbraw/zinc/66/94/70/380669470.db2.gz CXLVXTZYBREJCK-MRVPVSSYSA-N 0 0 294.698 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2nc[nH]n2)c(Cl)c1 ZINC000180900797 380709780 /nfs/dbraw/zinc/70/97/80/380709780.db2.gz HCEQHLBSRFFTRP-UHFFFAOYSA-N 0 0 270.701 2.659 20 5 CFBDRN Cc1ccc(NC(=O)c2c(N)cccc2[N+](=O)[O-])c(F)c1 ZINC000180609046 380681593 /nfs/dbraw/zinc/68/15/93/380681593.db2.gz YUDDVBXGWOBRQW-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2c(N)cccc2[N+](=O)[O-])c1 ZINC000180743468 380695277 /nfs/dbraw/zinc/69/52/77/380695277.db2.gz MXHZMAPHOAFSRW-UHFFFAOYSA-N 0 0 285.303 2.762 20 5 CFBDRN CCCC(C)(C)NC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000181190471 380754603 /nfs/dbraw/zinc/75/46/03/380754603.db2.gz OXWVNWCKOCFORX-UHFFFAOYSA-N 0 0 294.307 2.632 20 5 CFBDRN CC[C@H](CSC)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000180960302 380717509 /nfs/dbraw/zinc/71/75/09/380717509.db2.gz GAEMYYKJDRQUBX-SECBINFHSA-N 0 0 288.394 2.870 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000181106372 380740085 /nfs/dbraw/zinc/74/00/85/380740085.db2.gz WXCVBMFZBDPUSK-JTQLQIEISA-N 0 0 294.307 2.632 20 5 CFBDRN CC(C)CCNC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159739140 322327486 /nfs/dbraw/zinc/32/74/86/322327486.db2.gz GWCABDDWJXQVBI-SNVBAGLBSA-N 0 0 297.380 2.633 20 5 CFBDRN Cc1cc(COC(=O)CC2CCOCC2)ccc1[N+](=O)[O-] ZINC000181310734 380773807 /nfs/dbraw/zinc/77/38/07/380773807.db2.gz YFBSLFOHACBCPW-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN O=C(NCC[C@H]1CCCCO1)Nc1cccc([N+](=O)[O-])c1 ZINC000181415989 380789776 /nfs/dbraw/zinc/78/97/76/380789776.db2.gz PYEGEQBZZVWILV-CYBMUJFWSA-N 0 0 293.323 2.676 20 5 CFBDRN CC(C)CN(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CC1 ZINC000279190901 194324818 /nfs/dbraw/zinc/32/48/18/194324818.db2.gz JNORTZWYFYAUKA-UHFFFAOYSA-N 0 0 295.314 2.577 20 5 CFBDRN Nc1ccc(NC[C@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000288499264 197095767 /nfs/dbraw/zinc/09/57/67/197095767.db2.gz MIDNARLHXZBSDV-SECBINFHSA-N 0 0 267.354 2.732 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287330621 380806815 /nfs/dbraw/zinc/80/68/15/380806815.db2.gz OIPCFGUPCXPVOP-RKDXNWHRSA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H](C)CF ZINC000287340524 380807897 /nfs/dbraw/zinc/80/78/97/380807897.db2.gz PIFXLGSNEARQEC-VIFPVBQESA-N 0 0 269.276 2.691 20 5 CFBDRN CC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181294386 380770649 /nfs/dbraw/zinc/77/06/49/380770649.db2.gz ZJKWETNCPCIJED-VIFPVBQESA-N 0 0 251.282 2.993 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000295462819 380870401 /nfs/dbraw/zinc/87/04/01/380870401.db2.gz XRYNAFQCTHFYGQ-RYUDHWBXSA-N 0 0 299.330 2.971 20 5 CFBDRN COC[C@H](C)N(C)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000295469688 380875883 /nfs/dbraw/zinc/87/58/83/380875883.db2.gz IOLKNALETYGCNP-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN COc1cc(NCCC[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000401986409 380876364 /nfs/dbraw/zinc/87/63/64/380876364.db2.gz CCLHFJZJXZHJOU-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN COC(=O)C[C@H](C)Sc1c(F)cccc1[N+](=O)[O-] ZINC000287421064 380828637 /nfs/dbraw/zinc/82/86/37/380828637.db2.gz UDZGHWMMGWINMS-ZETCQYMHSA-N 0 0 273.285 2.778 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCC[C@@H]1CCOC1 ZINC000401985522 380877995 /nfs/dbraw/zinc/87/79/95/380877995.db2.gz BZNXJLBGEMOXGR-SNVBAGLBSA-N 0 0 268.288 2.963 20 5 CFBDRN CC[C@@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295398507 380829013 /nfs/dbraw/zinc/82/90/13/380829013.db2.gz RDUARMAOIJZWPM-ZJUUUORDSA-N 0 0 250.298 2.818 20 5 CFBDRN Cc1c(CC(=O)N2CCC[C@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000295426714 380845900 /nfs/dbraw/zinc/84/59/00/380845900.db2.gz DHZNCMQUSGIXBQ-HNNXBMFYSA-N 0 0 294.326 2.796 20 5 CFBDRN CCC[C@@](C)(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287494049 380846357 /nfs/dbraw/zinc/84/63/57/380846357.db2.gz UIUNUVGGGQHEKJ-GFCCVEGCSA-N 0 0 256.277 2.697 20 5 CFBDRN Cc1ccsc1CCNc1ncc([N+](=O)[O-])cc1C ZINC000358455447 380855858 /nfs/dbraw/zinc/85/58/58/380855858.db2.gz FBPKOHUZYLHCKF-UHFFFAOYSA-N 0 0 277.349 2.745 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(C2)CCCC3)c(F)c1 ZINC000408467368 380930263 /nfs/dbraw/zinc/93/02/63/380930263.db2.gz LCPJMUQALYOZRN-UHFFFAOYSA-N 0 0 251.261 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(F)cn1 ZINC000181811708 380903415 /nfs/dbraw/zinc/90/34/15/380903415.db2.gz VULBOUCUYMMHIS-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1nn(Cc2cc(-c3cccs3)on2)cc1[N+](=O)[O-] ZINC000181831411 380907950 /nfs/dbraw/zinc/90/79/50/380907950.db2.gz DRFVHCNOLIDINR-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN CC[C@@H](N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000181831590 380908120 /nfs/dbraw/zinc/90/81/20/380908120.db2.gz DMVULZOYOYXFKJ-CMPLNLGQSA-N 0 0 280.324 2.505 20 5 CFBDRN CCOc1cc(OCC(=O)[C@H](C)CC)ccc1[N+](=O)[O-] ZINC000358541996 380910926 /nfs/dbraw/zinc/91/09/26/380910926.db2.gz OZPVZCZQRHNGKR-SNVBAGLBSA-N 0 0 281.308 2.988 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@](C)(F)C2)n1 ZINC000408170052 380915170 /nfs/dbraw/zinc/91/51/70/380915170.db2.gz BVMHJWPEPYNTHT-GFCCVEGCSA-N 0 0 253.277 2.545 20 5 CFBDRN CN(CC1CCC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000347063358 380915353 /nfs/dbraw/zinc/91/53/53/380915353.db2.gz RBRMPOCJFPPIQO-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCOC(C)(C)C2)n1 ZINC000408172199 380915400 /nfs/dbraw/zinc/91/54/00/380915400.db2.gz TWBSDSKFAUGDPO-NSHDSACASA-N 0 0 279.340 2.976 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCCCCC3)c21 ZINC000408165625 380915574 /nfs/dbraw/zinc/91/55/74/380915574.db2.gz NEMYFNSVWQNZKU-UHFFFAOYSA-N 0 0 272.308 2.918 20 5 CFBDRN CC1(C)C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000341300643 380916465 /nfs/dbraw/zinc/91/64/65/380916465.db2.gz ZDSMEFKQAOKNLG-JTQLQIEISA-N 0 0 282.727 2.911 20 5 CFBDRN CN(Cc1cccnc1)c1c(F)cccc1[N+](=O)[O-] ZINC000341341585 380949435 /nfs/dbraw/zinc/94/94/35/380949435.db2.gz LAGLZFQOLWMCOH-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN CCOC[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000353914992 380951807 /nfs/dbraw/zinc/95/18/07/380951807.db2.gz RHYCFOJOSNKBKJ-JTQLQIEISA-N 0 0 295.339 2.704 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000341344185 380951965 /nfs/dbraw/zinc/95/19/65/380951965.db2.gz KECCXFJXHAANDB-IAQYHMDHSA-N 0 0 292.335 2.656 20 5 CFBDRN Cc1cn(Cc2ccc(Cl)cc2)nc1[N+](=O)[O-] ZINC000341344758 380953516 /nfs/dbraw/zinc/95/35/16/380953516.db2.gz TXYMKWSJTAVKER-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN COc1ccncc1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000341353246 380959093 /nfs/dbraw/zinc/95/90/93/380959093.db2.gz KWZLHISFMLCVCI-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN C[C@@H]1[C@@H](C)CCC[C@@H]1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000182007755 380962251 /nfs/dbraw/zinc/96/22/51/380962251.db2.gz WURXCGSTGBGRRQ-LOWVWBTDSA-N 0 0 295.339 2.549 20 5 CFBDRN CC[C@@H](CCO)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287934175 380976378 /nfs/dbraw/zinc/97/63/78/380976378.db2.gz RAXBGSMJHSTXHF-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000287797860 380941591 /nfs/dbraw/zinc/94/15/91/380941591.db2.gz PCNNBIFWDMFKLY-NOZJJQNGSA-N 0 0 278.308 2.634 20 5 CFBDRN C[C@@H](CCC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])c[nH]c1=O ZINC000347105519 380942272 /nfs/dbraw/zinc/94/22/72/380942272.db2.gz HPIFVORCAHMTNB-VIFPVBQESA-N 0 0 295.339 2.640 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC(CF)CC1 ZINC000295563020 380942662 /nfs/dbraw/zinc/94/26/62/380942662.db2.gz FPLUSXQEQAYMCK-UHFFFAOYSA-N 0 0 284.262 2.556 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CO1 ZINC000347109964 380944176 /nfs/dbraw/zinc/94/41/76/380944176.db2.gz TVKZPCUFIPQSGC-RQJHMYQMSA-N 0 0 281.293 2.599 20 5 CFBDRN Cc1cnc(NCCc2ncccc2C)c([N+](=O)[O-])c1 ZINC000295677365 381011916 /nfs/dbraw/zinc/01/19/16/381011916.db2.gz GPZLFUFLNPJLQC-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CC[C@H]1COCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182176484 381012492 /nfs/dbraw/zinc/01/24/92/381012492.db2.gz BOHRGBWGEYLRQW-NSHDSACASA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347238468 381022766 /nfs/dbraw/zinc/02/27/66/381022766.db2.gz RWFIVQYDSRBGQY-YUMQZZPRSA-N 0 0 267.260 2.511 20 5 CFBDRN CC(C)OCCCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000182221789 381027277 /nfs/dbraw/zinc/02/72/77/381027277.db2.gz OUEAFALEDQJONM-LBPRGKRZSA-N 0 0 294.351 2.977 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccsc1 ZINC000288011380 380992230 /nfs/dbraw/zinc/99/22/30/380992230.db2.gz BMXFDKRVRZDYBM-UHFFFAOYSA-N 0 0 266.326 2.793 20 5 CFBDRN Cc1cc(NC(=O)c2ccnn2C(C)C)ccc1[N+](=O)[O-] ZINC000347189396 380992720 /nfs/dbraw/zinc/99/27/20/380992720.db2.gz ROMCKBZQZNQRPG-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CCC(CC)N(CC)c1ncc([N+](=O)[O-])c(C)n1 ZINC000295649147 380995522 /nfs/dbraw/zinc/99/55/22/380995522.db2.gz GEBADFVDABOSMW-UHFFFAOYSA-N 0 0 252.318 2.708 20 5 CFBDRN C[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)C[C@H](C2CC2)O1 ZINC000279302074 194376730 /nfs/dbraw/zinc/37/67/30/194376730.db2.gz MHSXTMPNBIUBGP-IAQYHMDHSA-N 0 0 276.336 2.594 20 5 CFBDRN C[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)C[C@@H](C2CC2)O1 ZINC000279302077 194376736 /nfs/dbraw/zinc/37/67/36/194376736.db2.gz MHSXTMPNBIUBGP-NHYWBVRUSA-N 0 0 276.336 2.594 20 5 CFBDRN CN(CCC1CC1)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347252509 381030637 /nfs/dbraw/zinc/03/06/37/381030637.db2.gz CFYMVNJFFFNONJ-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN COC[C@H]1CCN1Cc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000354057592 381049329 /nfs/dbraw/zinc/04/93/29/381049329.db2.gz XCGPFBUKUXDCIS-CYBMUJFWSA-N 0 0 278.352 2.939 20 5 CFBDRN Cc1cccnc1CCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000295786124 381073915 /nfs/dbraw/zinc/07/39/15/381073915.db2.gz RCVHKXDFCIGETC-UHFFFAOYSA-N 0 0 298.346 2.921 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1CCc2ccccc21 ZINC000347308806 381062182 /nfs/dbraw/zinc/06/21/82/381062182.db2.gz KPZMQJRSRLRTAI-GFCCVEGCSA-N 0 0 269.304 2.554 20 5 CFBDRN CCC(=O)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000341524462 381065653 /nfs/dbraw/zinc/06/56/53/381065653.db2.gz QVMUYJYIBCRFGO-UHFFFAOYSA-N 0 0 267.672 2.577 20 5 CFBDRN CCCCCN1CC(=O)N[C@@H]1c1ccc(C)c([N+](=O)[O-])c1 ZINC000182330584 381066510 /nfs/dbraw/zinc/06/65/10/381066510.db2.gz FOIJHLOCHAKRLZ-HNNXBMFYSA-N 0 0 291.351 2.524 20 5 CFBDRN O=C(NCc1ccoc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347318609 381066494 /nfs/dbraw/zinc/06/64/94/381066494.db2.gz VHUFBAUYJRWAOZ-UHFFFAOYSA-N 0 0 279.227 2.649 20 5 CFBDRN C[C@H](c1ccc(F)c(F)c1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000354037415 381038459 /nfs/dbraw/zinc/03/84/59/381038459.db2.gz DUNHVTFNCGZUFD-MRVPVSSYSA-N 0 0 296.277 2.804 20 5 CFBDRN Cc1ncsc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182409373 381083123 /nfs/dbraw/zinc/08/31/23/381083123.db2.gz QKXJAGQGBWRDPI-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2C[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000182443303 381089750 /nfs/dbraw/zinc/08/97/50/381089750.db2.gz ZHZHSIDTEQPJIW-NEPJUHHUSA-N 0 0 260.293 2.888 20 5 CFBDRN CC1(C)CN(Cc2ccccc2[N+](=O)[O-])[C@H]1[C@H]1CCCO1 ZINC000289689788 381103137 /nfs/dbraw/zinc/10/31/37/381103137.db2.gz IBPZCYFVCLHUJA-CABCVRRESA-N 0 0 290.363 2.984 20 5 CFBDRN CCC[C@H](OC)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000295889597 381138542 /nfs/dbraw/zinc/13/85/42/381138542.db2.gz BGSJSRDGOBQYCV-LBPRGKRZSA-N 0 0 267.281 2.624 20 5 CFBDRN CCN(C)C(=O)[C@@H](Nc1cccc(F)c1[N+](=O)[O-])C(C)C ZINC000295899629 381143210 /nfs/dbraw/zinc/14/32/10/381143210.db2.gz CJZVILBQXBAUKX-LBPRGKRZSA-N 0 0 297.330 2.649 20 5 CFBDRN CCCCN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@H](C)CC ZINC000347424610 381144255 /nfs/dbraw/zinc/14/42/55/381144255.db2.gz DCUFKKKWAOHOLB-LLVKDONJSA-N 0 0 281.356 2.974 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCC1 ZINC000289768400 381147193 /nfs/dbraw/zinc/14/71/93/381147193.db2.gz LDWQXJUADIOGIZ-UHFFFAOYSA-N 0 0 263.297 2.785 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1ccsc1 ZINC000358907162 381148968 /nfs/dbraw/zinc/14/89/68/381148968.db2.gz PIERBAGIDHOKNL-UHFFFAOYSA-N 0 0 276.317 2.629 20 5 CFBDRN C[C@@H]1[C@@H](CO)CCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000412102438 381160578 /nfs/dbraw/zinc/16/05/78/381160578.db2.gz ZUIKBTPNYYASKX-GHMZBOCLSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@H](NCc1ccc(CO)o1)c1ccc([N+](=O)[O-])cc1 ZINC000295934437 381166360 /nfs/dbraw/zinc/16/63/60/381166360.db2.gz FWVPNUYSTXQKLA-JTQLQIEISA-N 0 0 276.292 2.531 20 5 CFBDRN CCOc1ccccc1OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295877146 381132181 /nfs/dbraw/zinc/13/21/81/381132181.db2.gz AXZVRPYZVJXFQH-UHFFFAOYSA-N 0 0 281.308 2.826 20 5 CFBDRN CCCc1noc(COc2ccc([N+](=O)[O-])c(F)c2F)n1 ZINC000295939675 381170253 /nfs/dbraw/zinc/17/02/53/381170253.db2.gz KWDWDZWBPOGHKY-UHFFFAOYSA-N 0 0 299.233 2.788 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H](CC)OC ZINC000289817726 381173500 /nfs/dbraw/zinc/17/35/00/381173500.db2.gz OLACRZZBVLQWNS-LBPRGKRZSA-N 0 0 295.339 2.704 20 5 CFBDRN CCC1(NC(=O)Cc2cccc([N+](=O)[O-])c2C)CC1 ZINC000295950976 381175946 /nfs/dbraw/zinc/17/59/46/381175946.db2.gz QVRPJQLEUMZYIS-UHFFFAOYSA-N 0 0 262.309 2.505 20 5 CFBDRN COC(=O)c1cncnc1/C=C\c1ccc(C)c([N+](=O)[O-])c1 ZINC000347481575 381178581 /nfs/dbraw/zinc/17/85/81/381178581.db2.gz JMRRHRDZDZBUQS-WAYWQWQTSA-N 0 0 299.286 2.650 20 5 CFBDRN CC(C)N(Cc1ccc(Cl)c([N+](=O)[O-])c1)C1COC1 ZINC000295956670 381180309 /nfs/dbraw/zinc/18/03/09/381180309.db2.gz HLWDSGQKEPTCAI-UHFFFAOYSA-N 0 0 284.743 2.857 20 5 CFBDRN CCC[C@H](CNC(=O)/C=C/c1ccccc1[N+](=O)[O-])OC ZINC000295968539 381188625 /nfs/dbraw/zinc/18/86/25/381188625.db2.gz HYMACRYNCRMLKJ-WTNCMQEWSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000347500905 381189109 /nfs/dbraw/zinc/18/91/09/381189109.db2.gz RWGJWQVQRSWZAI-JTQLQIEISA-N 0 0 262.309 2.903 20 5 CFBDRN C[C@H](C(=O)N(C)CC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000182944593 381189201 /nfs/dbraw/zinc/18/92/01/381189201.db2.gz IVEYPGXCOJMPIA-VIFPVBQESA-N 0 0 280.299 2.706 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)nc(C)n1 ZINC000347500972 381189853 /nfs/dbraw/zinc/18/98/53/381189853.db2.gz CFLGUTNNINNGCT-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000412174388 381196292 /nfs/dbraw/zinc/19/62/92/381196292.db2.gz PIEJFSCQTZMRKZ-VIFPVBQESA-N 0 0 298.289 2.771 20 5 CFBDRN C[C@H](CC(F)F)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000412174387 381196846 /nfs/dbraw/zinc/19/68/46/381196846.db2.gz PIEJFSCQTZMRKZ-SECBINFHSA-N 0 0 298.289 2.771 20 5 CFBDRN COc1cccc(N(C)Cc2ccccn2)c1[N+](=O)[O-] ZINC000354309824 381196858 /nfs/dbraw/zinc/19/68/58/381196858.db2.gz AYGWXFSAKJRNTH-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN CC[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000412179573 381198769 /nfs/dbraw/zinc/19/87/69/381198769.db2.gz YIVZNYOKSNVUOT-BYCMXARLSA-N 0 0 288.347 2.957 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(-c2ccc(F)cn2)no1 ZINC000359001709 381207231 /nfs/dbraw/zinc/20/72/31/381207231.db2.gz PHPFXGXGAOGGGE-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN CC/C=C/CNC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000296013618 381210367 /nfs/dbraw/zinc/21/03/67/381210367.db2.gz TZHSRUTYCSMLQM-AATRIKPKSA-N 0 0 278.308 2.608 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3CC3(F)F)n2)c1 ZINC000296025607 381216511 /nfs/dbraw/zinc/21/65/11/381216511.db2.gz UWCMMAHELRSMGD-QMMMGPOBSA-N 0 0 267.191 2.767 20 5 CFBDRN COc1cc(N2CC[C@@H](O)CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000295942191 381169577 /nfs/dbraw/zinc/16/95/77/381169577.db2.gz IBCNFDIEGUEWAY-GFCCVEGCSA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@@H](C(=O)NC(C)(C)CF)c1ccc([N+](=O)[O-])cc1F ZINC000354419116 381254911 /nfs/dbraw/zinc/25/49/11/381254911.db2.gz UEBYFXUGUMAKMT-MRVPVSSYSA-N 0 0 286.278 2.702 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])NCC1=CCCCC1 ZINC000296137065 381270598 /nfs/dbraw/zinc/27/05/98/381270598.db2.gz RKDYGEMLTMDUGC-UHFFFAOYSA-N 0 0 289.335 2.623 20 5 CFBDRN C[C@@]1(F)CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000296115132 381260569 /nfs/dbraw/zinc/26/05/69/381260569.db2.gz SPRPIEMWNRTMSE-LLVKDONJSA-N 0 0 258.318 2.980 20 5 CFBDRN CC[C@@H](CNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)OC ZINC000290015316 381261785 /nfs/dbraw/zinc/26/17/85/381261785.db2.gz ZSSSNGHJJSGOSD-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359095043 381262316 /nfs/dbraw/zinc/26/23/16/381262316.db2.gz JJZASLBEMYQUNV-SECBINFHSA-N 0 0 295.339 2.608 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nnc(C3CC3)n2C)c1 ZINC000359096895 381263960 /nfs/dbraw/zinc/26/39/60/381263960.db2.gz LCMJNAGDLCBGFP-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412351043 381265915 /nfs/dbraw/zinc/26/59/15/381265915.db2.gz YTWHDPIVNFQRGB-WTDIUWLJSA-N 0 0 274.320 2.613 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCO[C@@H]3CCC[C@H]32)cs1 ZINC000369467011 381266708 /nfs/dbraw/zinc/26/67/08/381266708.db2.gz KXPXAAMQAGASJI-VXGBXAGGSA-N 0 0 282.365 2.800 20 5 CFBDRN CC(F)(F)CCNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412359550 381268771 /nfs/dbraw/zinc/26/87/71/381268771.db2.gz CUCJIWILCJVOGX-NWDGAFQWSA-N 0 0 298.289 2.860 20 5 CFBDRN CCCCN(CCOC)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359107351 381268891 /nfs/dbraw/zinc/26/88/91/381268891.db2.gz ZWUWHPNRCSQYPV-UHFFFAOYSA-N 0 0 281.356 2.860 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC(F)F ZINC000289959934 381235028 /nfs/dbraw/zinc/23/50/28/381235028.db2.gz YGPZGBDLEQKQEL-UHFFFAOYSA-N 0 0 273.239 2.544 20 5 CFBDRN Cc1nn(CCc2cccc(F)c2F)c(C)c1[N+](=O)[O-] ZINC000342273316 381240670 /nfs/dbraw/zinc/24/06/70/381240670.db2.gz UJNHNCNZKRKPMB-UHFFFAOYSA-N 0 0 281.262 2.929 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000342287317 381248719 /nfs/dbraw/zinc/24/87/19/381248719.db2.gz ZKAARJYAJHULGE-GFCCVEGCSA-N 0 0 289.335 2.865 20 5 CFBDRN CSCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000359243449 381306134 /nfs/dbraw/zinc/30/61/34/381306134.db2.gz MAKURIWXVWUOIF-UHFFFAOYSA-N 0 0 251.267 2.508 20 5 CFBDRN Cc1nscc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000183602275 381293847 /nfs/dbraw/zinc/29/38/47/381293847.db2.gz NTLYIQRIOQFECM-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1cn2ccccc2n1 ZINC000183629073 381298033 /nfs/dbraw/zinc/29/80/33/381298033.db2.gz PZMZFWVYYJYUQO-UHFFFAOYSA-N 0 0 294.314 2.805 20 5 CFBDRN C[C@H]1CCC[C@H](C)C1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183636178 381299798 /nfs/dbraw/zinc/29/97/98/381299798.db2.gz OQLWXDFIRUVPTQ-UWVGGRQHSA-N 0 0 292.339 2.936 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1F)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412365368 381271407 /nfs/dbraw/zinc/27/14/07/381271407.db2.gz KELLPAPVAVBMNI-RFQIPJPRSA-N 0 0 292.310 2.705 20 5 CFBDRN CCN(c1nnc(-c2ccc([N+](=O)[O-])cc2)n1C)C(C)C ZINC000290112632 381305690 /nfs/dbraw/zinc/30/56/90/381305690.db2.gz GAPGBGUZJDRDSO-UHFFFAOYSA-N 0 0 289.339 2.625 20 5 CFBDRN C[C@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000359125454 381280499 /nfs/dbraw/zinc/28/04/99/381280499.db2.gz VDCHOOVGQHYHDR-JTQLQIEISA-N 0 0 291.351 2.928 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000183530677 381283963 /nfs/dbraw/zinc/28/39/63/381283963.db2.gz KOXRNTYHUHLIMF-WDEREUQCSA-N 0 0 264.325 2.893 20 5 CFBDRN Cc1ccnc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000342339348 381285111 /nfs/dbraw/zinc/28/51/11/381285111.db2.gz ORSDZRPARWIDFV-LBPRGKRZSA-N 0 0 286.335 2.852 20 5 CFBDRN CC(C)(CF)NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000354462186 381285874 /nfs/dbraw/zinc/28/58/74/381285874.db2.gz MMPSIKPMBNCRBV-UHFFFAOYSA-N 0 0 280.255 2.819 20 5 CFBDRN COc1cccc(CSc2ncc([N+](=O)[O-])cn2)c1 ZINC000354527463 381321981 /nfs/dbraw/zinc/32/19/81/381321981.db2.gz QCIVDGCGDITNQU-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccco1 ZINC000359273449 381322126 /nfs/dbraw/zinc/32/21/26/381322126.db2.gz ORSQFLCEWQYPNS-UHFFFAOYSA-N 0 0 260.249 2.677 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)[C@@H]2CCCC[C@@H]21 ZINC000183803261 381323872 /nfs/dbraw/zinc/32/38/72/381323872.db2.gz AQRVBNKWDTUBLI-GMTAPVOTSA-N 0 0 278.308 2.839 20 5 CFBDRN CCCN(CC(C)(C)O)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000354531550 381323989 /nfs/dbraw/zinc/32/39/89/381323989.db2.gz LFCBWTUAYGIGAN-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2nccn2C(F)F)n1 ZINC000354535424 381326840 /nfs/dbraw/zinc/32/68/40/381326840.db2.gz IMRJXHNHYAIFDX-UHFFFAOYSA-N 0 0 298.249 2.777 20 5 CFBDRN COC1CCN(c2nccc3cc([N+](=O)[O-])ccc32)CC1 ZINC000296272826 381328228 /nfs/dbraw/zinc/32/82/28/381328228.db2.gz GXNADWSBPWPNOE-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CCCCn1cc([N+](=O)[O-])c(C)c(Br)c1=O ZINC000342439623 381331744 /nfs/dbraw/zinc/33/17/44/381331744.db2.gz IFQNZCLOQWBXRK-UHFFFAOYSA-N 0 0 289.129 2.628 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)CCCCO)c([N+](=O)[O-])c1 ZINC000296286049 381332989 /nfs/dbraw/zinc/33/29/89/381332989.db2.gz LLHCGKIOTYNXCJ-SNVBAGLBSA-N 0 0 280.324 2.760 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000347767271 381340170 /nfs/dbraw/zinc/34/01/70/381340170.db2.gz CQAVVWGGBADAOM-CMPLNLGQSA-N 0 0 277.324 2.535 20 5 CFBDRN COc1cc(N[C@H](C)CCCCO)c([N+](=O)[O-])cc1OC ZINC000296233137 381311240 /nfs/dbraw/zinc/31/12/40/381311240.db2.gz HRYSRFBWFDLUTM-SNVBAGLBSA-N 0 0 298.339 2.575 20 5 CFBDRN Cc1cc(C)c(NCc2c([N+](=O)[O-])ncn2C)c(C)c1 ZINC000347726578 381317321 /nfs/dbraw/zinc/31/73/21/381317321.db2.gz BBNBDNQQKFEOFV-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN COc1cc(N[C@@H](C)CCCCO)ccc1[N+](=O)[O-] ZINC000296329420 381350470 /nfs/dbraw/zinc/35/04/70/381350470.db2.gz PEEDDGPEGTZCOX-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CC/C=C/CNC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000296336982 381351317 /nfs/dbraw/zinc/35/13/17/381351317.db2.gz YOVOYQBUKSIISW-SNAWJCMRSA-N 0 0 279.296 2.691 20 5 CFBDRN Cc1ccc(N2CCCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000369960785 381356134 /nfs/dbraw/zinc/35/61/34/381356134.db2.gz XYUDSFFEEJAENU-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@@H](C(=O)NCC1(C)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000347850627 381378821 /nfs/dbraw/zinc/37/88/21/381378821.db2.gz ODKPDURLEQXDRF-SECBINFHSA-N 0 0 280.299 2.754 20 5 CFBDRN CC(=O)c1ccc(Oc2ncc([N+](=O)[O-])cn2)c(C)c1 ZINC000354609301 381364145 /nfs/dbraw/zinc/36/41/45/381364145.db2.gz UHQHOZJDWUXYBR-UHFFFAOYSA-N 0 0 273.248 2.688 20 5 CFBDRN CC1(CNC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)CCC1 ZINC000342508296 381365470 /nfs/dbraw/zinc/36/54/70/381365470.db2.gz CLWJZZOSZBVCKF-UHFFFAOYSA-N 0 0 298.289 2.722 20 5 CFBDRN Cc1sc(CNc2ncc([N+](=O)[O-])cn2)nc1C(C)C ZINC000354610062 381366292 /nfs/dbraw/zinc/36/62/92/381366292.db2.gz VQQGJFDCZGBLAR-UHFFFAOYSA-N 0 0 293.352 2.885 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000347828965 381369019 /nfs/dbraw/zinc/36/90/19/381369019.db2.gz JTPDTVVQWBSLIT-AAEUAGOBSA-N 0 0 291.351 2.577 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@H]1Nc1ncc([N+](=O)[O-])s1 ZINC000288866908 197181493 /nfs/dbraw/zinc/18/14/93/197181493.db2.gz KXWVXSLGXCLYSN-HQJQHLMTSA-N 0 0 277.305 2.985 20 5 CFBDRN CCOc1cccc(NC[C@@H](O)[C@@H](C)CC)c1[N+](=O)[O-] ZINC000184115898 381373615 /nfs/dbraw/zinc/37/36/15/381373615.db2.gz CUEJTHFPINTOTJ-CMPLNLGQSA-N 0 0 282.340 2.812 20 5 CFBDRN CC(C)CNC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000184354375 381404823 /nfs/dbraw/zinc/40/48/23/381404823.db2.gz MAARIIAUICHJOH-UHFFFAOYSA-N 0 0 285.731 2.703 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC[C@H]1F ZINC000412696600 381408142 /nfs/dbraw/zinc/40/81/42/381408142.db2.gz UOMJGPXDZUDCPZ-VXGBXAGGSA-N 0 0 296.298 2.614 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1ccc(F)cc1Cl ZINC000354644781 381382595 /nfs/dbraw/zinc/38/25/95/381382595.db2.gz HCBSWYPCHITFGU-UHFFFAOYSA-N 0 0 295.701 2.859 20 5 CFBDRN COc1ncc(CNc2cccc([N+](=O)[O-])c2C)c(C)n1 ZINC000347870765 381391053 /nfs/dbraw/zinc/39/10/53/381391053.db2.gz WJZJBBKDKQKNRY-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CCOC[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000354667819 381396978 /nfs/dbraw/zinc/39/69/78/381396978.db2.gz HLAIVLCIOMXTES-ZDUSSCGKSA-N 0 0 298.314 2.525 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000184330550 381403633 /nfs/dbraw/zinc/40/36/33/381403633.db2.gz UCDKVGQLOAEWOR-VHSXEESVSA-N 0 0 280.299 2.848 20 5 CFBDRN COCCc1ccc(Oc2ncc([N+](=O)[O-])c(C)n2)cc1 ZINC000296515666 381429890 /nfs/dbraw/zinc/42/98/90/381429890.db2.gz MHFHCULKFUDGMT-UHFFFAOYSA-N 0 0 289.291 2.674 20 5 CFBDRN CN(CC[C@@H]1CCCO1)Cc1csc([N+](=O)[O-])c1 ZINC000342611337 381433628 /nfs/dbraw/zinc/43/36/28/381433628.db2.gz XUQIMMKZGADNOS-NSHDSACASA-N 0 0 270.354 2.657 20 5 CFBDRN CC(C)[C@@H]1OCC[C@@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347962576 381435727 /nfs/dbraw/zinc/43/57/27/381435727.db2.gz SHPXDVUWBKENCK-STQMWFEESA-N 0 0 296.298 2.734 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347980104 381442621 /nfs/dbraw/zinc/44/26/21/381442621.db2.gz GCJOKZCTEJEMKT-VIFPVBQESA-N 0 0 284.287 2.878 20 5 CFBDRN COc1ccc(C(=O)N2CC(C)(C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000412788267 381442827 /nfs/dbraw/zinc/44/28/27/381442827.db2.gz YUTWFOWNZRJNBT-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@H](CNC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000290483424 381446357 /nfs/dbraw/zinc/44/63/57/381446357.db2.gz RGRIWWAXWRYGPQ-CFRMROPGSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@@H](CNC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000290483426 381446748 /nfs/dbraw/zinc/44/67/48/381446748.db2.gz RGRIWWAXWRYGPQ-MARXPDLDSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(NC[C@H]1COc2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000342637780 381449744 /nfs/dbraw/zinc/44/97/44/381449744.db2.gz VVGBKYNGCBXCOK-LBPRGKRZSA-N 0 0 298.298 2.501 20 5 CFBDRN CCc1nnc(CNc2cccc(F)c2[N+](=O)[O-])s1 ZINC000290576727 381485260 /nfs/dbraw/zinc/48/52/60/381485260.db2.gz BQMFZXOSXDFFTJ-UHFFFAOYSA-N 0 0 282.300 2.760 20 5 CFBDRN CCOC(=O)CN(Cc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000342655953 381461676 /nfs/dbraw/zinc/46/16/76/381461676.db2.gz HPAIBOLYKQBJIQ-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN CC[C@@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000354815983 381466735 /nfs/dbraw/zinc/46/67/35/381466735.db2.gz FGFDDPNWUPHJQE-LURJTMIESA-N 0 0 280.202 2.649 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCCCS2)cc([N+](=O)[O-])c1 ZINC000342674582 381471047 /nfs/dbraw/zinc/47/10/47/381471047.db2.gz WNNTYFCCKKOLEC-ZDUSSCGKSA-N 0 0 294.376 2.919 20 5 CFBDRN COCCCCOc1ccc([N+](=O)[O-])c2ncccc12 ZINC000184975075 381503757 /nfs/dbraw/zinc/50/37/57/381503757.db2.gz SZHWBTIJCLBSRO-UHFFFAOYSA-N 0 0 276.292 2.948 20 5 CFBDRN CC(C)c1noc(COc2c(F)cccc2[N+](=O)[O-])n1 ZINC000185044024 381520404 /nfs/dbraw/zinc/52/04/04/381520404.db2.gz IWONHLVVBIAVKQ-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN CC(C)c1nc(COc2c(F)cccc2[N+](=O)[O-])no1 ZINC000185041132 381520807 /nfs/dbraw/zinc/52/08/07/381520807.db2.gz CCVDWMAQQYVPSV-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN CC(=O)CCCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000184852856 381487495 /nfs/dbraw/zinc/48/74/95/381487495.db2.gz CQMAWBYTEXWIJQ-UHFFFAOYSA-N 0 0 292.335 2.705 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@H]1CF)c1ccccc1[N+](=O)[O-] ZINC000296647115 381489418 /nfs/dbraw/zinc/48/94/18/381489418.db2.gz MTZXKLMJFVPTNY-MNOVXSKESA-N 0 0 295.314 2.799 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](C)C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000359585003 381489556 /nfs/dbraw/zinc/48/95/56/381489556.db2.gz YSYYCFZPADAVIZ-ZJUUUORDSA-N 0 0 280.324 2.759 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2C[C@H]2c2ccco2)cc1[N+](=O)[O-] ZINC000412917324 381497341 /nfs/dbraw/zinc/49/73/41/381497341.db2.gz FMJGQHDBKUVEOY-VXGBXAGGSA-N 0 0 286.287 2.782 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCSCC(F)(F)F ZINC000354875908 381502886 /nfs/dbraw/zinc/50/28/86/381502886.db2.gz JBKQAYHBQRBYSF-UHFFFAOYSA-N 0 0 281.259 2.697 20 5 CFBDRN CC1(C)C(NC(=O)COc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000359659609 381539764 /nfs/dbraw/zinc/53/97/64/381539764.db2.gz HRTNGMPDLQHCPE-UHFFFAOYSA-N 0 0 292.335 2.524 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000290771997 381547200 /nfs/dbraw/zinc/54/72/00/381547200.db2.gz VMRBHGBKMDZFOL-ZJUUUORDSA-N 0 0 275.308 2.978 20 5 CFBDRN CC/C=C/CCN1C(=O)c2ccc([N+](=O)[O-])cc2C1=O ZINC000185157854 381549067 /nfs/dbraw/zinc/54/90/67/381549067.db2.gz QLYZKEPSCZSUFX-ONEGZZNKSA-N 0 0 274.276 2.547 20 5 CFBDRN CC[C@@H](CNc1ccc2ncccc2c1[N+](=O)[O-])OC ZINC000290782251 381549842 /nfs/dbraw/zinc/54/98/42/381549842.db2.gz WNVXWXQJSJRMSR-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000342801008 381551336 /nfs/dbraw/zinc/55/13/36/381551336.db2.gz ZWBKEKVVQFHFBI-OXHMUOHRSA-N 0 0 279.340 2.770 20 5 CFBDRN CCCCC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359631185 381522403 /nfs/dbraw/zinc/52/24/03/381522403.db2.gz HEKZWUQZVPXSKJ-UHFFFAOYSA-N 0 0 278.308 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@@H]2[C@H]2CCCO2)nc1 ZINC000290687086 381522568 /nfs/dbraw/zinc/52/25/68/381522568.db2.gz LZGBWCWEYNUGTC-OCCSQVGLSA-N 0 0 289.335 2.528 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000348348975 381522872 /nfs/dbraw/zinc/52/28/72/381522872.db2.gz KYYYVGLPZSASSD-KOLCDFICSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1[nH]nc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)c1C ZINC000359637460 381525401 /nfs/dbraw/zinc/52/54/01/381525401.db2.gz AHTWQGAGYAIZDT-UHFFFAOYSA-N 0 0 285.263 2.652 20 5 CFBDRN Cc1ccnc(N[C@H](C)Cc2ccncc2)c1[N+](=O)[O-] ZINC000348354169 381525767 /nfs/dbraw/zinc/52/57/67/381525767.db2.gz SFYDTIIKJVMKIW-LLVKDONJSA-N 0 0 272.308 2.736 20 5 CFBDRN COCc1nc(CNc2cccc(F)c2[N+](=O)[O-])cs1 ZINC000290789454 381551718 /nfs/dbraw/zinc/55/17/18/381551718.db2.gz XGRSBEQMCKENHE-UHFFFAOYSA-N 0 0 297.311 2.949 20 5 CFBDRN Cc1cccnc1/C=C/C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000185251296 381576110 /nfs/dbraw/zinc/57/61/10/381576110.db2.gz RERDILSECGTTTD-CMDGGOBGSA-N 0 0 297.314 2.974 20 5 CFBDRN CC(C)CCCSc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290877455 381581804 /nfs/dbraw/zinc/58/18/04/381581804.db2.gz BMXPLNBYWGNWEK-UHFFFAOYSA-N 0 0 283.353 2.617 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])C[C@@H]1C ZINC000342847782 381582233 /nfs/dbraw/zinc/58/22/33/381582233.db2.gz HEJPKFWOXJCNPU-VWYCJHECSA-N 0 0 291.351 2.930 20 5 CFBDRN COC(=O)Cc1ccc(N2CC[C@@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000297383832 381561688 /nfs/dbraw/zinc/56/16/88/381561688.db2.gz DPGUWMPAMDCMET-GHMZBOCLSA-N 0 0 292.335 2.545 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2cnccc2C)c1 ZINC000355006716 381562767 /nfs/dbraw/zinc/56/27/67/381562767.db2.gz DBKNRTJBEXATGZ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cc(Oc2nnc(C)c(C)n2)c(F)cc1[N+](=O)[O-] ZINC000359696808 381564113 /nfs/dbraw/zinc/56/41/13/381564113.db2.gz PTPGHQXJHWORGH-UHFFFAOYSA-N 0 0 278.243 2.636 20 5 CFBDRN CC(C)(C)N1C[C@@H](Nc2c(F)cccc2[N+](=O)[O-])CC1=O ZINC000342818803 381566381 /nfs/dbraw/zinc/56/63/81/381566381.db2.gz YNNUOUSYCWMNRQ-VIFPVBQESA-N 0 0 295.314 2.545 20 5 CFBDRN C[C@@H](C(=O)NCCNc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000359703098 381567426 /nfs/dbraw/zinc/56/74/26/381567426.db2.gz BCZWVSDPRLNMBX-NSHDSACASA-N 0 0 293.367 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(Cl)cn2)cn1 ZINC000342824449 381569953 /nfs/dbraw/zinc/56/99/53/381569953.db2.gz LJMVQPZWSBKSAS-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1nc(C)n(Cc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000359762228 381600410 /nfs/dbraw/zinc/60/04/10/381600410.db2.gz FRMKKWRDWCHNJE-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342884297 381600594 /nfs/dbraw/zinc/60/05/94/381600594.db2.gz WGZGCJNFUWJFDB-SECBINFHSA-N 0 0 275.308 2.947 20 5 CFBDRN CC(C)[C@H](O)C1(CNc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000342850886 381584805 /nfs/dbraw/zinc/58/48/05/381584805.db2.gz PVWUMQVQOGKKFJ-ZDUSSCGKSA-N 0 0 282.315 2.943 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H](CO)C1CCCC1 ZINC000290891350 381587678 /nfs/dbraw/zinc/58/76/78/381587678.db2.gz UCJXQWJWDNXNSV-GFCCVEGCSA-N 0 0 268.288 2.697 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@@H](C2CC2)C1 ZINC000290897864 381589962 /nfs/dbraw/zinc/58/99/62/381589962.db2.gz LWGWMRHRRCNOBL-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN Cn1c(C(=O)Nc2scnc2C2CC2)ccc1[N+](=O)[O-] ZINC000342870074 381596760 /nfs/dbraw/zinc/59/67/60/381596760.db2.gz IYKONBQLRHVEMW-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN O=C(NCc1ccc(F)cc1F)c1ccc([N+](=O)[O-])cc1 ZINC000348506435 381597206 /nfs/dbraw/zinc/59/72/06/381597206.db2.gz BDRVKPUHSOYGKW-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CC1 ZINC000342912287 381610161 /nfs/dbraw/zinc/61/01/61/381610161.db2.gz BRQWGIMUTVMPBQ-QMMMGPOBSA-N 0 0 273.292 2.604 20 5 CFBDRN CCc1csc(NC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000355110604 381617665 /nfs/dbraw/zinc/61/76/65/381617665.db2.gz QEROFCVVXSMUAJ-UHFFFAOYSA-N 0 0 283.334 2.928 20 5 CFBDRN CCCN(CC)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000298438315 381625824 /nfs/dbraw/zinc/62/58/24/381625824.db2.gz MDMJZODXFVAJID-UHFFFAOYSA-N 0 0 295.295 2.684 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000185418279 381626340 /nfs/dbraw/zinc/62/63/40/381626340.db2.gz UMJWJXUCPOYXIZ-BDAKNGLRSA-N 0 0 268.338 2.917 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(N2CC[C@H](CO)C2)c(Cl)c1 ZINC000185458656 381638303 /nfs/dbraw/zinc/63/83/03/381638303.db2.gz KHUKAXLEDSSAAU-ZETCQYMHSA-N 0 0 291.134 2.720 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(CC)CCC2CC2)c1[N+](=O)[O-] ZINC000355139422 381638607 /nfs/dbraw/zinc/63/86/07/381638607.db2.gz YHTOFPLDUZYFQC-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC[C@@H](C)C2)cc([N+](=O)[O-])c1C ZINC000359858430 381645311 /nfs/dbraw/zinc/64/53/11/381645311.db2.gz PUYUKNZROUHXNA-BXKDBHETSA-N 0 0 292.335 2.830 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1ccc2cccnc2c1 ZINC000359879543 381655098 /nfs/dbraw/zinc/65/50/98/381655098.db2.gz QRJJSTLNGKIJNY-UHFFFAOYSA-N 0 0 270.248 2.669 20 5 CFBDRN CC(C)(CCc1noc(CCc2ccsc2)n1)[N+](=O)[O-] ZINC000291070528 381655842 /nfs/dbraw/zinc/65/58/42/381655842.db2.gz LRPWASMMWHRVAI-UHFFFAOYSA-N 0 0 295.364 2.904 20 5 CFBDRN O=C(NCc1cc(F)ccc1F)c1ccc([N+](=O)[O-])s1 ZINC000355183698 381661292 /nfs/dbraw/zinc/66/12/92/381661292.db2.gz GMNLXEVVSUIDSK-UHFFFAOYSA-N 0 0 298.270 2.865 20 5 CFBDRN Cc1ccnc(N[C@@H](C)CCC(=O)OC(C)C)c1[N+](=O)[O-] ZINC000359904029 381668166 /nfs/dbraw/zinc/66/81/66/381668166.db2.gz RKUCOZMJLITJHT-NSHDSACASA-N 0 0 295.339 2.830 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CC(C4CCC4)C3)c21 ZINC000343268280 381672490 /nfs/dbraw/zinc/67/24/90/381672490.db2.gz IDGGOLZXIPNVFR-UHFFFAOYSA-N 0 0 284.319 2.774 20 5 CFBDRN CC[N@H+](C/C=C/c1ccccc1[N+](=O)[O-])C[C@H](C)OC ZINC000291063073 381651376 /nfs/dbraw/zinc/65/13/76/381651376.db2.gz KHPOHAVSLCEFTA-XOVSCCBYSA-N 0 0 278.352 2.965 20 5 CFBDRN CCN(Cc1csc([N+](=O)[O-])c1)C[C@H](C)OC ZINC000291067329 381653652 /nfs/dbraw/zinc/65/36/52/381653652.db2.gz KXDNBFVECZPQEQ-VIFPVBQESA-N 0 0 258.343 2.513 20 5 CFBDRN COc1c(C(=O)NC[C@@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000355167683 381653922 /nfs/dbraw/zinc/65/39/22/381653922.db2.gz RNAXRFJCLROAOO-LLVKDONJSA-N 0 0 290.319 2.690 20 5 CFBDRN Cc1cc(C(=O)NC2Cc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000185718391 381713855 /nfs/dbraw/zinc/71/38/55/381713855.db2.gz DNOKGRPKVUGPOJ-UHFFFAOYSA-N 0 0 296.326 2.800 20 5 CFBDRN CC1(CCNC(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000348706043 381676026 /nfs/dbraw/zinc/67/60/26/381676026.db2.gz MPIOJOXTZSYKJO-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1snnc1C1CC1 ZINC000291133117 381678261 /nfs/dbraw/zinc/67/82/61/381678261.db2.gz WQUQBHIPBXZYII-UHFFFAOYSA-N 0 0 291.288 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(C3CCC3)C2)c(F)c1 ZINC000348710522 381679488 /nfs/dbraw/zinc/67/94/88/381679488.db2.gz CYOYUNPSLHFGHW-UHFFFAOYSA-N 0 0 264.300 2.966 20 5 CFBDRN O=C(NCC1CCC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000298611008 381688053 /nfs/dbraw/zinc/68/80/53/381688053.db2.gz KEJQKTYPUUBYPP-UHFFFAOYSA-N 0 0 274.276 2.871 20 5 CFBDRN CCCN(CC)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000298703139 381716688 /nfs/dbraw/zinc/71/66/88/381716688.db2.gz FUHHFQZEDKETQJ-UHFFFAOYSA-N 0 0 251.286 2.859 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCC1(C(F)F)CC1 ZINC000348749188 381694117 /nfs/dbraw/zinc/69/41/17/381694117.db2.gz UILRYHZLPKLAOQ-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])s2)CCC1(F)F ZINC000291174703 381694169 /nfs/dbraw/zinc/69/41/69/381694169.db2.gz RFWQEGWNIWINER-LURJTMIESA-N 0 0 263.269 2.533 20 5 CFBDRN CCOc1cc(N(CC)[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000359968642 381703861 /nfs/dbraw/zinc/70/38/61/381703861.db2.gz QRRQETQFPPLKTO-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN CC(=O)c1ccc(N[C@@]2(C)CCO[C@@H]2C)c([N+](=O)[O-])c1 ZINC000343434429 381707398 /nfs/dbraw/zinc/70/73/98/381707398.db2.gz AODOCEBDUDLVLO-YGRLFVJLSA-N 0 0 278.308 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]2(CO)C[C@H]2c2ccccc2)nc1 ZINC000291271983 381731072 /nfs/dbraw/zinc/73/10/72/381731072.db2.gz ZUMAFQUMRRWFKH-HOCLYGCPSA-N 0 0 299.330 2.568 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])cc1F)CC(F)F ZINC000348839138 381731984 /nfs/dbraw/zinc/73/19/84/381731984.db2.gz UUKSELGNWILPHS-UHFFFAOYSA-N 0 0 262.231 2.821 20 5 CFBDRN Cc1cc(C(=O)Nc2ccn3ccnc3c2)cc([N+](=O)[O-])c1 ZINC000355293708 381732438 /nfs/dbraw/zinc/73/24/38/381732438.db2.gz UWKNNRAUKRVAPQ-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CN(C(=O)C1(CCF)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000291283741 381734909 /nfs/dbraw/zinc/73/49/09/381734909.db2.gz YZRBGEMRJIWIIP-UHFFFAOYSA-N 0 0 266.272 2.697 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000360031942 381740808 /nfs/dbraw/zinc/74/08/08/381740808.db2.gz HFLYTKNUKVWSTE-QJPTWQEYSA-N 0 0 294.351 2.691 20 5 CFBDRN CCCCn1nccc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000298773346 381744784 /nfs/dbraw/zinc/74/47/84/381744784.db2.gz VFYPPNZOOWUGJG-UHFFFAOYSA-N 0 0 294.336 2.905 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000185837982 381750085 /nfs/dbraw/zinc/75/00/85/381750085.db2.gz ALQJIVNAVGFEIJ-UHFFFAOYSA-N 0 0 272.251 2.630 20 5 CFBDRN Cc1cc(NCC2(CO)CCC2)c(F)cc1[N+](=O)[O-] ZINC000360050200 381750217 /nfs/dbraw/zinc/75/02/17/381750217.db2.gz JSZYCGPOMDENNR-UHFFFAOYSA-N 0 0 268.288 2.617 20 5 CFBDRN COc1cc(C(=O)NC2(C)CCCC2)c([N+](=O)[O-])cc1F ZINC000298721675 381725177 /nfs/dbraw/zinc/72/51/77/381725177.db2.gz OLGLIMOLFJGXGD-UHFFFAOYSA-N 0 0 296.298 2.805 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000298725396 381726241 /nfs/dbraw/zinc/72/62/41/381726241.db2.gz MIRXEHJXJOQDSN-UHFFFAOYSA-N 0 0 260.297 2.997 20 5 CFBDRN Cn1c2ccc([N+](=O)[O-])cc2nc1-c1cc(O)cc(F)c1 ZINC000349018055 381793546 /nfs/dbraw/zinc/79/35/46/381793546.db2.gz YYDKDUKVIYZKLT-UHFFFAOYSA-N 0 0 287.250 2.993 20 5 CFBDRN CSC[C@@H]1CCCN(c2ncccc2[N+](=O)[O-])C1 ZINC000292249299 381796031 /nfs/dbraw/zinc/79/60/31/381796031.db2.gz KARBTIPCKFHLAR-SNVBAGLBSA-N 0 0 267.354 2.569 20 5 CFBDRN CC(C)CCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000291693438 381769824 /nfs/dbraw/zinc/76/98/24/381769824.db2.gz SUMNCWMYLFSUBZ-UHFFFAOYSA-N 0 0 260.293 2.956 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ccc(C(N)=O)cc1[N+](=O)[O-])C2 ZINC000360265472 381775494 /nfs/dbraw/zinc/77/54/94/381775494.db2.gz IDRCXSRUVSBOIW-UHFFFAOYSA-N 0 0 297.314 2.522 20 5 CFBDRN CC(C)[N@H+](Cc1ccccc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000291849910 381778148 /nfs/dbraw/zinc/77/81/48/381778148.db2.gz OZQCOFPYLQXMDN-ZDUSSCGKSA-N 0 0 264.325 2.594 20 5 CFBDRN Cn1nncc1-c1csc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000348975925 381780879 /nfs/dbraw/zinc/78/08/79/381780879.db2.gz PBSJGXGQZDHYNF-UHFFFAOYSA-N 0 0 287.304 2.514 20 5 CFBDRN C[C@@H](Cn1cc([N+](=O)[O-])ccc1=O)C1CCCCC1 ZINC000292804508 381842258 /nfs/dbraw/zinc/84/22/58/381842258.db2.gz WRRNBSBASQQHRG-NSHDSACASA-N 0 0 264.325 2.973 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1C[C@@H](CO)c2ccccc21 ZINC000292802529 381842750 /nfs/dbraw/zinc/84/27/50/381842750.db2.gz WMCHRNDCQIVSNN-ZDUSSCGKSA-N 0 0 284.315 2.691 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1CCC[C@@H](C)C1 ZINC000361966984 381876830 /nfs/dbraw/zinc/87/68/30/381876830.db2.gz IGJFTTMCTKOGFI-ZYHUDNBSSA-N 0 0 264.325 2.891 20 5 CFBDRN COC1(CNC(=O)c2csc([N+](=O)[O-])c2)CCCCC1 ZINC000186308317 381879916 /nfs/dbraw/zinc/87/99/16/381879916.db2.gz WQVVJEWAOSMTKM-UHFFFAOYSA-N 0 0 298.364 2.735 20 5 CFBDRN C[C@@H]1C[C@H](CCNc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000343621874 381848384 /nfs/dbraw/zinc/84/83/84/381848384.db2.gz KSNQRNUXAVYMDT-GHMZBOCLSA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1ccc(F)cc1Cl ZINC000349212465 381849177 /nfs/dbraw/zinc/84/91/77/381849177.db2.gz ATMALVLVVKRSSS-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)C1CCC1 ZINC000186332840 381885790 /nfs/dbraw/zinc/88/57/90/381885790.db2.gz RQTULUYLHPFGTO-UHFFFAOYSA-N 0 0 268.700 2.665 20 5 CFBDRN CC1=C(C(=O)Nc2ccc([N+](=O)[O-])cc2F)SCCO1 ZINC000299188371 381856705 /nfs/dbraw/zinc/85/67/05/381856705.db2.gz RMKBNDBBWLIDPD-UHFFFAOYSA-N 0 0 298.295 2.667 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000415567205 381856838 /nfs/dbraw/zinc/85/68/38/381856838.db2.gz UGOJZLANWZAMHK-LBPRGKRZSA-N 0 0 284.287 2.734 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000343634662 381857740 /nfs/dbraw/zinc/85/77/40/381857740.db2.gz RXVJWMNJDFXNGZ-PELKAZGASA-N 0 0 280.349 2.961 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000299223206 381862168 /nfs/dbraw/zinc/86/21/68/381862168.db2.gz AAPVYYIXHJSZLS-CQSZACIVSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1ccnc(NC[C@@]2(C)CCO[C@@H]2C2CC2)c1[N+](=O)[O-] ZINC000372807464 381872358 /nfs/dbraw/zinc/87/23/58/381872358.db2.gz YRLYWJOZEMSEHA-UKRRQHHQSA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H](O)CC2)ccc1Cl ZINC000292904006 381895553 /nfs/dbraw/zinc/89/55/53/381895553.db2.gz AQFMLUNIWORDMT-LLVKDONJSA-N 0 0 284.743 2.595 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC(C(F)F)CC1 ZINC000362074545 381897149 /nfs/dbraw/zinc/89/71/49/381897149.db2.gz FPKRLQSZLPDUKW-UHFFFAOYSA-N 0 0 298.289 2.641 20 5 CFBDRN Cc1cc(-c2nc(-c3ncncc3C)no2)cc([N+](=O)[O-])c1 ZINC000356926927 381908065 /nfs/dbraw/zinc/90/80/65/381908065.db2.gz RESDGLJDLGSCID-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1nc(Sc2ccc([N+](=O)[O-])c(C)n2)[nH]c1C ZINC000292934424 381909829 /nfs/dbraw/zinc/90/98/29/381909829.db2.gz ZRQKTCKBIXQKAY-UHFFFAOYSA-N 0 0 264.310 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CC12CC2 ZINC000416734677 381910676 /nfs/dbraw/zinc/91/06/76/381910676.db2.gz RBPVVLPDYDPNGS-JTQLQIEISA-N 0 0 275.308 2.825 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@H](c2ccccc2)C1 ZINC000299385558 381919502 /nfs/dbraw/zinc/91/95/02/381919502.db2.gz PFIHDUONACZRKF-LBPRGKRZSA-N 0 0 285.303 2.553 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cn1cc(-n2cccc2)cn1 ZINC000356953028 381921658 /nfs/dbraw/zinc/92/16/58/381921658.db2.gz PHVSOWCDYKKZOA-UHFFFAOYSA-N 0 0 268.276 2.630 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)Cc1ccc(O)cc1 ZINC000349404661 381924026 /nfs/dbraw/zinc/92/40/26/381924026.db2.gz GEWFQWLWHXKRSV-UHFFFAOYSA-N 0 0 278.333 2.994 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1C[C@@H]1C(F)F ZINC000343807118 381955655 /nfs/dbraw/zinc/95/56/55/381955655.db2.gz KHPLKGMEADYXJE-NTSWFWBYSA-N 0 0 263.631 2.709 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCOCC2CCC2)nc1 ZINC000416900694 381963445 /nfs/dbraw/zinc/96/34/45/381963445.db2.gz AIVUVBJPLZTORU-UHFFFAOYSA-N 0 0 268.338 2.899 20 5 CFBDRN CCOc1cc(OC[C@@H](CC)OC)ccc1[N+](=O)[O-] ZINC000416903816 381964942 /nfs/dbraw/zinc/96/49/42/381964942.db2.gz GSVPHTFRTRCKTP-SNVBAGLBSA-N 0 0 269.297 2.797 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000186641979 381971288 /nfs/dbraw/zinc/97/12/88/381971288.db2.gz BFXQODAVRBSEHH-WDEREUQCSA-N 0 0 278.308 2.834 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]1C(C)C ZINC000186641639 381972045 /nfs/dbraw/zinc/97/20/45/381972045.db2.gz ZOTBFQICUYZIMU-WDEREUQCSA-N 0 0 278.308 2.834 20 5 CFBDRN COc1ccc(C(=O)N(C)CC2CCCC2)cc1[N+](=O)[O-] ZINC000299426071 381937123 /nfs/dbraw/zinc/93/71/23/381937123.db2.gz ZPGFPSLUTGQFGC-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COC(C)(C)c1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000356976993 381938123 /nfs/dbraw/zinc/93/81/23/381938123.db2.gz LOMJNLAOLZHJAU-UHFFFAOYSA-N 0 0 267.310 2.928 20 5 CFBDRN CC(C)N(Cc1ccco1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000299432045 381938571 /nfs/dbraw/zinc/93/85/71/381938571.db2.gz MAVIBVKTCXUNDQ-UHFFFAOYSA-N 0 0 277.280 2.567 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC(OC2CCCC2)CC1 ZINC000299533282 381972555 /nfs/dbraw/zinc/97/25/55/381972555.db2.gz HEPQPNWWEPJJFM-UHFFFAOYSA-N 0 0 291.351 2.918 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3C[C@H]3C(F)F)cc2N1 ZINC000343806244 381953045 /nfs/dbraw/zinc/95/30/45/381953045.db2.gz KLRGYZUZEMSZRW-APPZFPTMSA-N 0 0 297.261 2.545 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000417070663 381996452 /nfs/dbraw/zinc/99/64/52/381996452.db2.gz PUPKPSBJYLQNSI-QMMMGPOBSA-N 0 0 279.271 2.656 20 5 CFBDRN CCCCN(C)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000299600560 382000416 /nfs/dbraw/zinc/00/04/16/382000416.db2.gz FGTNSCCUFMVCDB-UHFFFAOYSA-N 0 0 280.324 2.541 20 5 CFBDRN Cc1nc(CCNc2ccc([N+](=O)[O-])nc2)sc1C ZINC000357176854 382041305 /nfs/dbraw/zinc/04/13/05/382041305.db2.gz XQOHTHLMGQUROK-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN Cc1cc(N2CCC=C(c3ccncc3)C2)ncc1[N+](=O)[O-] ZINC000343976895 382044181 /nfs/dbraw/zinc/04/41/81/382044181.db2.gz SDHFCQQDLRBMCA-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN CCc1cnccc1-c1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000357191995 382049446 /nfs/dbraw/zinc/04/94/46/382049446.db2.gz ZWGJKEQPSHTGLO-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000186973245 382062378 /nfs/dbraw/zinc/06/23/78/382062378.db2.gz GYWKLNCZEOOLNJ-QWHCGFSZSA-N 0 0 262.309 2.850 20 5 CFBDRN CSc1ccc(C(=O)NCC2(C)CC2)cc1[N+](=O)[O-] ZINC000357218584 382062582 /nfs/dbraw/zinc/06/25/82/382062582.db2.gz QRZRYDWKPLNTRT-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN COC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])c2cccnc12 ZINC000301056275 382066504 /nfs/dbraw/zinc/06/65/04/382066504.db2.gz ABDOFGVGMBFSRE-QMMMGPOBSA-N 0 0 292.316 2.797 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1(COC)CC1 ZINC000362345876 382017861 /nfs/dbraw/zinc/01/78/61/382017861.db2.gz QGQMKFVTZNSLSA-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@](C)(OC)C1CC1 ZINC000362346070 382019668 /nfs/dbraw/zinc/01/96/68/382019668.db2.gz SOKBFGOWVWPYJP-HNNXBMFYSA-N 0 0 292.335 2.911 20 5 CFBDRN C[C@H]1CCN(CC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000299664685 382021240 /nfs/dbraw/zinc/02/12/40/382021240.db2.gz QXLYKIKUMGDJMP-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN Cc1ccc(N[C@@H]2CCO[C@@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000362361861 382025457 /nfs/dbraw/zinc/02/54/57/382025457.db2.gz SCFXMEDOTILXBZ-DOMZBBRYSA-N 0 0 292.335 2.653 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H]2C)c1 ZINC000343944181 382032539 /nfs/dbraw/zinc/03/25/39/382032539.db2.gz CKXPUAKNMSBQMD-JOYOIKCWSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3C[C@H]3C3CC3)c21 ZINC000362374381 382034555 /nfs/dbraw/zinc/03/45/55/382034555.db2.gz ACWMSPATWGIDBF-GXSJLCMTSA-N 0 0 270.292 2.748 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(OCC2CC2)CC1 ZINC000374132901 382036090 /nfs/dbraw/zinc/03/60/90/382036090.db2.gz NNBFQLXJWCCXEV-UHFFFAOYSA-N 0 0 276.336 2.990 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000357171904 382038163 /nfs/dbraw/zinc/03/81/63/382038163.db2.gz QXSJQXGSOKEYFI-PRHODGIISA-N 0 0 284.287 2.734 20 5 CFBDRN CCOC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000186899139 382039411 /nfs/dbraw/zinc/03/94/11/382039411.db2.gz VCLUVUUZRAAZPP-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H](n2cccn2)C1 ZINC000301198947 382103628 /nfs/dbraw/zinc/10/36/28/382103628.db2.gz VMUQGFZWVPOEQD-GFCCVEGCSA-N 0 0 272.308 2.633 20 5 CFBDRN CCc1nc(CCNc2ccc([N+](=O)[O-])cn2)cs1 ZINC000301198934 382103735 /nfs/dbraw/zinc/10/37/35/382103735.db2.gz VMJBEFRDYXEVIP-UHFFFAOYSA-N 0 0 278.337 2.663 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ccccc1[N+](=O)[O-] ZINC000301220649 382108366 /nfs/dbraw/zinc/10/83/66/382108366.db2.gz BFATUSVBBCOGRA-MFKMUULPSA-N 0 0 252.314 2.804 20 5 CFBDRN Cc1cscc1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000344077585 382112908 /nfs/dbraw/zinc/11/29/08/382112908.db2.gz OUUUIOFMVGJWBQ-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CCC(CC)Cc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357334252 382113931 /nfs/dbraw/zinc/11/39/31/382113931.db2.gz VHXZMTFGGFUOBJ-UHFFFAOYSA-N 0 0 290.323 2.942 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000301244550 382118238 /nfs/dbraw/zinc/11/82/38/382118238.db2.gz POPICIQRBGRLQS-RNCFNFMXSA-N 0 0 270.304 2.943 20 5 CFBDRN Cc1cnc(C)c(NCCNc2ccc([N+](=O)[O-])cc2)n1 ZINC000301073144 382074717 /nfs/dbraw/zinc/07/47/17/382074717.db2.gz NTQCFCVPDZQGJB-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nccn1C(F)F ZINC000301089745 382079897 /nfs/dbraw/zinc/07/98/97/382079897.db2.gz KJXOWXFKAZDHRS-UHFFFAOYSA-N 0 0 268.223 2.799 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC[N@H+]1C[C@@H](C)[C@@H]1C ZINC000293328562 382080065 /nfs/dbraw/zinc/08/00/65/382080065.db2.gz GHRJNXUYZIQKFD-NEPJUHHUSA-N 0 0 291.351 2.572 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCCCC1 ZINC000301120208 382085855 /nfs/dbraw/zinc/08/58/55/382085855.db2.gz RKZWSZNLLUQGCG-UHFFFAOYSA-N 0 0 291.351 2.725 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1nccc(C)n1 ZINC000357282204 382087561 /nfs/dbraw/zinc/08/75/61/382087561.db2.gz IZUHUXRHFBGUDG-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN C[C@H](CCNc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1 ZINC000301133147 382089882 /nfs/dbraw/zinc/08/98/82/382089882.db2.gz UZBDAAKTCUMQHP-SNVBAGLBSA-N 0 0 287.323 2.573 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H](n2cccn2)C1 ZINC000301147195 382092410 /nfs/dbraw/zinc/09/24/10/382092410.db2.gz BIBYLSBCCUBNKW-LBPRGKRZSA-N 0 0 290.298 2.772 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)Cc1ccsc1 ZINC000301169149 382096462 /nfs/dbraw/zinc/09/64/62/382096462.db2.gz SURKLMDMYDVOKA-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Nc1c(C(=O)N[C@@H]2CCc3ccccc32)cccc1[N+](=O)[O-] ZINC000362489403 382096885 /nfs/dbraw/zinc/09/68/85/382096885.db2.gz OILOXEGUAICAPM-CYBMUJFWSA-N 0 0 297.314 2.594 20 5 CFBDRN CO[C@]1(C)C[C@@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000301535477 382175478 /nfs/dbraw/zinc/17/54/78/382175478.db2.gz AFBMQIJITVNRPT-IUODEOHRSA-N 0 0 293.367 2.938 20 5 CFBDRN CN(C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CC1(C)C ZINC000344170096 382175549 /nfs/dbraw/zinc/17/55/49/382175549.db2.gz PTTARFYBTLOHOG-GFCCVEGCSA-N 0 0 298.289 2.672 20 5 CFBDRN CC(C)(C)CCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344185804 382185999 /nfs/dbraw/zinc/18/59/99/382185999.db2.gz UIIZFANMRXFDCE-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000301280765 382135619 /nfs/dbraw/zinc/13/56/19/382135619.db2.gz MKMICPWRVVEMPY-HNNXBMFYSA-N 0 0 272.304 2.914 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1nccc(C)c1[N+](=O)[O-] ZINC000301287461 382136693 /nfs/dbraw/zinc/13/66/93/382136693.db2.gz MFZNUGNPZXFPTF-GXFFZTMASA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@@]2(C1)CCCOC2 ZINC000376487191 382139662 /nfs/dbraw/zinc/13/96/62/382139662.db2.gz KTVNJBCTUWWYEF-CQSZACIVSA-N 0 0 280.299 2.741 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000301293664 382140490 /nfs/dbraw/zinc/14/04/90/382140490.db2.gz WFQUNBJPMDNSTR-PELKAZGASA-N 0 0 287.747 2.852 20 5 CFBDRN Cc1ccc(CCNc2nccc(C)c2[N+](=O)[O-])cn1 ZINC000301305402 382143508 /nfs/dbraw/zinc/14/35/08/382143508.db2.gz UJGRRLBMLHALTH-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1nn(C)c(Oc2ccc(C=O)cc2Cl)c1[N+](=O)[O-] ZINC000301302906 382144209 /nfs/dbraw/zinc/14/42/09/382144209.db2.gz WDHAUZCMPKMQIF-UHFFFAOYSA-N 0 0 295.682 2.895 20 5 CFBDRN Cc1c(Nc2ccc([N+](=O)[O-])c3cnccc23)cnn1C ZINC000362562001 382147100 /nfs/dbraw/zinc/14/71/00/382147100.db2.gz VYJGFFZJWCXZRE-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN COC[C@@H](C)N(C)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000187318664 382153527 /nfs/dbraw/zinc/15/35/27/382153527.db2.gz ZIOUXHCXSQKAAQ-LLVKDONJSA-N 0 0 294.351 2.825 20 5 CFBDRN Cc1ccnc(NC[C@](C)(O)CCC(C)C)c1[N+](=O)[O-] ZINC000301350947 382155583 /nfs/dbraw/zinc/15/55/83/382155583.db2.gz LINFBNNHCZESHB-CQSZACIVSA-N 0 0 281.356 2.897 20 5 CFBDRN Cc1ccnc(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1 ZINC000357413497 382159882 /nfs/dbraw/zinc/15/98/82/382159882.db2.gz SQBLHIKCBUXRKZ-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000301411041 382170768 /nfs/dbraw/zinc/17/07/68/382170768.db2.gz KEAQAAOOYXKDRI-ZDUSSCGKSA-N 0 0 273.292 2.789 20 5 CFBDRN Cc1ccc2c(c1)nc(CNc1ccc([N+](=O)[O-])nc1)n2C ZINC000357438108 382171039 /nfs/dbraw/zinc/17/10/39/382171039.db2.gz HZPUHHUZUKEEAX-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN C[C@H](CC1CCCCC1)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000362682078 382198795 /nfs/dbraw/zinc/19/87/95/382198795.db2.gz WFABOVUGPNYYCG-SECBINFHSA-N 0 0 279.344 2.568 20 5 CFBDRN C[C@H](CNc1sccc1[N+](=O)[O-])Cn1ccnc1 ZINC000301929189 382200189 /nfs/dbraw/zinc/20/01/89/382200189.db2.gz JECPCHXKKMTLOX-SECBINFHSA-N 0 0 266.326 2.601 20 5 CFBDRN COc1cc(N2C[C@H](C)[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000301932868 382200900 /nfs/dbraw/zinc/20/09/00/382200900.db2.gz PMEICPPDDMOWBY-IUCAKERBSA-N 0 0 268.288 2.835 20 5 CFBDRN CS(=O)(=O)c1ccc(Oc2sccc2[N+](=O)[O-])cc1 ZINC000301927049 382201226 /nfs/dbraw/zinc/20/12/26/382201226.db2.gz HWRBRNVMYQHWMW-UHFFFAOYSA-N 0 0 299.329 2.852 20 5 CFBDRN C[C@H]1CC=CC[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344213476 382202357 /nfs/dbraw/zinc/20/23/57/382202357.db2.gz QHPAWVFIZGAYSK-CMPLNLGQSA-N 0 0 275.308 2.555 20 5 CFBDRN Cc1cn(CCc2ccc(Cl)cc2)nc1[N+](=O)[O-] ZINC000418968842 382202404 /nfs/dbraw/zinc/20/24/04/382202404.db2.gz CPXMSYZECAMUOK-UHFFFAOYSA-N 0 0 265.700 2.996 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCc1cncc(F)c1 ZINC000344216194 382203679 /nfs/dbraw/zinc/20/36/79/382203679.db2.gz GBUHABTZJSQXTO-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344218564 382205640 /nfs/dbraw/zinc/20/56/40/382205640.db2.gz LZVRICZZSFKKIB-MRVPVSSYSA-N 0 0 254.261 2.604 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344217201 382205701 /nfs/dbraw/zinc/20/57/01/382205701.db2.gz QEOVQUPUNPMANR-UHFFFAOYSA-N 0 0 294.204 2.758 20 5 CFBDRN CN(C(=O)[C@H]1CCCC1(C)C)c1ccc([N+](=O)[O-])nc1 ZINC000344218001 382206285 /nfs/dbraw/zinc/20/62/85/382206285.db2.gz WWTPYRARHHTNEP-LLVKDONJSA-N 0 0 277.324 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@H]2CC23CC3)c1 ZINC000418985915 382207566 /nfs/dbraw/zinc/20/75/66/382207566.db2.gz XHGHICFTAMZVDS-LLVKDONJSA-N 0 0 269.304 2.777 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1cc(C2CC2)[nH]n1 ZINC000352179554 382209067 /nfs/dbraw/zinc/20/90/67/382209067.db2.gz ZBSXQDWCWKOMEV-UHFFFAOYSA-N 0 0 290.254 2.587 20 5 CFBDRN CO[C@@H](CNc1ncc(C)cc1[N+](=O)[O-])CC(C)C ZINC000418997366 382210864 /nfs/dbraw/zinc/21/08/64/382210864.db2.gz UFTFGWYBPGHJLJ-LLVKDONJSA-N 0 0 267.329 2.771 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1cc(Cl)c[nH]1 ZINC000352183581 382211712 /nfs/dbraw/zinc/21/17/12/382211712.db2.gz FWOBRYFKIYTAMI-UHFFFAOYSA-N 0 0 283.646 2.968 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cn2)c2ccccc21 ZINC000302160304 382211833 /nfs/dbraw/zinc/21/18/33/382211833.db2.gz OVKRWNXMTBQYLD-SECBINFHSA-N 0 0 256.265 2.640 20 5 CFBDRN CCOc1cccc(N(C)CCCCOC)c1[N+](=O)[O-] ZINC000419014854 382215928 /nfs/dbraw/zinc/21/59/28/382215928.db2.gz LBIFOPQNJHNFOO-UHFFFAOYSA-N 0 0 282.340 2.856 20 5 CFBDRN COCCCCN(C)c1cc(OC)c([N+](=O)[O-])cc1F ZINC000419011645 382216012 /nfs/dbraw/zinc/21/60/12/382216012.db2.gz BMKGEJGAWMSHSN-UHFFFAOYSA-N 0 0 286.303 2.605 20 5 CFBDRN Cc1ccc(N[C@@H]2CCN(CC(F)F)C2)c([N+](=O)[O-])c1 ZINC000419019585 382219133 /nfs/dbraw/zinc/21/91/33/382219133.db2.gz JPANKUPHEOVQSU-SNVBAGLBSA-N 0 0 285.294 2.655 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1Cc2ccccc2CO1 ZINC000377172414 382230715 /nfs/dbraw/zinc/23/07/15/382230715.db2.gz STQSXSNWVJXDPF-UHFFFAOYSA-N 0 0 298.298 2.611 20 5 CFBDRN COC(=O)c1cc(N2C[C@@H](C)[C@H](C)C2)cc(C)c1[N+](=O)[O-] ZINC000301706086 382188390 /nfs/dbraw/zinc/18/83/90/382188390.db2.gz VMPYRJUMFMYGJZ-GHMZBOCLSA-N 0 0 292.335 2.782 20 5 CFBDRN COCCCSCCOc1cccc([N+](=O)[O-])c1 ZINC000187825491 382277433 /nfs/dbraw/zinc/27/74/33/382277433.db2.gz WWCJWYJPUCUJOB-UHFFFAOYSA-N 0 0 271.338 2.743 20 5 CFBDRN Cc1ccc(NC(=O)NC(C)(C)CF)cc1[N+](=O)[O-] ZINC000352335751 382278378 /nfs/dbraw/zinc/27/83/78/382278378.db2.gz FBPIQIYUSYTBQB-UHFFFAOYSA-N 0 0 269.276 2.773 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cccc2c1CCO2 ZINC000357693635 382281432 /nfs/dbraw/zinc/28/14/32/382281432.db2.gz VJVUEABUSJDGQP-UHFFFAOYSA-N 0 0 298.298 2.711 20 5 CFBDRN CC[C@@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])c1ncc[nH]1 ZINC000357694036 382281683 /nfs/dbraw/zinc/28/16/83/382281683.db2.gz GGVMTMCREXCIPF-SNVBAGLBSA-N 0 0 275.312 2.893 20 5 CFBDRN CC(C)C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)CC1 ZINC000187850298 382282373 /nfs/dbraw/zinc/28/23/73/382282373.db2.gz WYBYVUIBYZKHOP-UHFFFAOYSA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1ccc(C(N)=O)c(C)c1 ZINC000357717371 382292615 /nfs/dbraw/zinc/29/26/15/382292615.db2.gz QOUMECOFHMATGK-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN Cc1ccc(CNc2nc3ccccc3cc2[N+](=O)[O-])cn1 ZINC000352358259 382288759 /nfs/dbraw/zinc/28/87/59/382288759.db2.gz XOEWZYPCVZOIFQ-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN COc1cccc(N[C@H]2CCC[C@@H]2CCO)c1[N+](=O)[O-] ZINC000302417139 382247520 /nfs/dbraw/zinc/24/75/20/382247520.db2.gz HZMDHAKGXOFSMK-MNOVXSKESA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]2CCO)c1 ZINC000302410805 382248356 /nfs/dbraw/zinc/24/83/56/382248356.db2.gz GVDDRESRHBYDMF-BXKDBHETSA-N 0 0 298.314 2.706 20 5 CFBDRN O=C(NCc1ccccn1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000357612181 382250751 /nfs/dbraw/zinc/25/07/51/382250751.db2.gz IOMXKPNCPMVFND-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN Cc1cccc2c1OC[C@H](Nc1ccc(N)cc1[N+](=O)[O-])C2 ZINC000377328798 382253292 /nfs/dbraw/zinc/25/32/92/382253292.db2.gz DBAUOIQWWWLHSG-CYBMUJFWSA-N 0 0 299.330 2.901 20 5 CFBDRN Cc1nn(C)c(NCC(F)(F)c2ccccc2)c1[N+](=O)[O-] ZINC000357624583 382255348 /nfs/dbraw/zinc/25/53/48/382255348.db2.gz UPQQCMDLMPVKFV-UHFFFAOYSA-N 0 0 296.277 2.841 20 5 CFBDRN Cc1cccc(NCC[C@H](O)C(C)C)c1[N+](=O)[O-] ZINC000302509076 382259846 /nfs/dbraw/zinc/25/98/46/382259846.db2.gz RUIMUDCKJPKBPC-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cccc(NCc2ccc(C(N)=O)cc2)c1[N+](=O)[O-] ZINC000302524335 382262119 /nfs/dbraw/zinc/26/21/19/382262119.db2.gz ZENFFJUMLQUQPA-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000352301862 382267446 /nfs/dbraw/zinc/26/74/46/382267446.db2.gz JSWYVQUSHSPDTD-JTQLQIEISA-N 0 0 287.319 2.852 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCc1ccon1 ZINC000357661642 382270268 /nfs/dbraw/zinc/27/02/68/382270268.db2.gz RDONLAZQSQYHSY-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN Cc1ccc(C[C@H](CO)Nc2ccccc2[N+](=O)[O-])cc1 ZINC000302726928 382302869 /nfs/dbraw/zinc/30/28/69/382302869.db2.gz SJAIBLGQKMOPSW-CQSZACIVSA-N 0 0 286.331 2.919 20 5 CFBDRN CCC1(CC)CN(C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000293843486 382303096 /nfs/dbraw/zinc/30/30/96/382303096.db2.gz CIPAPZOXDPZRTR-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN Cc1ccccc1C[C@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000302729304 382304089 /nfs/dbraw/zinc/30/40/89/382304089.db2.gz URAUYTQFSOUZLZ-CQSZACIVSA-N 0 0 286.331 2.919 20 5 CFBDRN COCCN(c1ncccc1[N+](=O)[O-])[C@@H]1CC[C@@H](C)C1 ZINC000302734878 382305230 /nfs/dbraw/zinc/30/52/30/382305230.db2.gz VYOMACQDFZMMFT-VXGBXAGGSA-N 0 0 279.340 2.631 20 5 CFBDRN CC1(C)CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCS1 ZINC000302882973 382344156 /nfs/dbraw/zinc/34/41/56/382344156.db2.gz KLQGCCUGWOZHOI-UHFFFAOYSA-N 0 0 293.392 2.895 20 5 CFBDRN COC(=O)[C@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C[C@H]1C ZINC000302752007 382310746 /nfs/dbraw/zinc/31/07/46/382310746.db2.gz HLTDCLHEVAENKA-NEPJUHHUSA-N 0 0 292.335 2.539 20 5 CFBDRN CN(Cc1ccc(N)nc1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000302777084 382314410 /nfs/dbraw/zinc/31/44/10/382314410.db2.gz PERYYKVGXZSLKX-UHFFFAOYSA-N 0 0 292.726 2.862 20 5 CFBDRN CSc1cccc(C(=O)Nc2ccccn2)c1[N+](=O)[O-] ZINC000188018409 382325858 /nfs/dbraw/zinc/32/58/58/382325858.db2.gz NJNBYGIQLILZFX-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCC[S@](C)=O ZINC000302827053 382329456 /nfs/dbraw/zinc/32/94/56/382329456.db2.gz NJCYFIJDOCSNKY-SFHVURJKSA-N 0 0 290.772 2.737 20 5 CFBDRN COCCc1nsc(Oc2cccc([N+](=O)[O-])c2C)n1 ZINC000302839104 382332580 /nfs/dbraw/zinc/33/25/80/382332580.db2.gz YXHLUICUZDLFGQ-UHFFFAOYSA-N 0 0 295.320 2.736 20 5 CFBDRN CC1CCC(O)(Cn2cc([N+](=O)[O-])c(C3CC3)n2)CC1 ZINC000352436549 382332774 /nfs/dbraw/zinc/33/27/74/382332774.db2.gz IFBXQXNRPGAIGX-UHFFFAOYSA-N 0 0 279.340 2.610 20 5 CFBDRN CN1C(=O)Cc2cc(Nc3ccccc3[N+](=O)[O-])ccc21 ZINC000302849902 382334570 /nfs/dbraw/zinc/33/45/70/382334570.db2.gz IIWQNRVTWJDCBK-UHFFFAOYSA-N 0 0 283.287 2.857 20 5 CFBDRN COc1cccc2c1CCN(c1ncccc1[N+](=O)[O-])CC2 ZINC000302857639 382335340 /nfs/dbraw/zinc/33/53/40/382335340.db2.gz MSLRSVQQOKLIJA-UHFFFAOYSA-N 0 0 299.330 2.604 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H]2CF)c1 ZINC000293923192 382338006 /nfs/dbraw/zinc/33/80/06/382338006.db2.gz BCOGMHODJRTZMQ-SNVBAGLBSA-N 0 0 296.298 2.568 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(Oc2cncc(Cl)n2)c1 ZINC000302870699 382339229 /nfs/dbraw/zinc/33/92/29/382339229.db2.gz YOCATMCZKGSUCE-UHFFFAOYSA-N 0 0 279.639 2.643 20 5 CFBDRN CN(CC(F)F)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352367752 382293251 /nfs/dbraw/zinc/29/32/51/382293251.db2.gz QPCYQXPYAYBZPZ-UHFFFAOYSA-N 0 0 267.235 2.844 20 5 CFBDRN CCC[C@H](CNc1ncc(Cl)cc1[N+](=O)[O-])OC ZINC000293821258 382293405 /nfs/dbraw/zinc/29/34/05/382293405.db2.gz ZTJJDUJEAKBZPK-SECBINFHSA-N 0 0 273.720 2.870 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N[C@H]1CCOC1 ZINC000302695444 382293296 /nfs/dbraw/zinc/29/32/96/382293296.db2.gz UMQBZRPOOVOKDH-QMMMGPOBSA-N 0 0 258.224 2.733 20 5 CFBDRN CC[C@@H](C)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293831757 382296389 /nfs/dbraw/zinc/29/63/89/382296389.db2.gz BHVDRYDZWNRSDS-SNVBAGLBSA-N 0 0 264.325 2.992 20 5 CFBDRN Cc1cncc(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000302711380 382299915 /nfs/dbraw/zinc/29/99/15/382299915.db2.gz KSTSBTFZALHSRR-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)[C@@H]1C ZINC000293966964 382359340 /nfs/dbraw/zinc/35/93/40/382359340.db2.gz GJXCITUULBWSEP-RKDXNWHRSA-N 0 0 273.292 2.557 20 5 CFBDRN Cc1sc(C(=O)NCCC2CCOCC2)cc1[N+](=O)[O-] ZINC000357906339 382369891 /nfs/dbraw/zinc/36/98/91/382369891.db2.gz KSBGYMNTVVBZJD-UHFFFAOYSA-N 0 0 298.364 2.511 20 5 CFBDRN Cc1ccc(C(=O)N2CCOC(C)(C)[C@H]2C)cc1[N+](=O)[O-] ZINC000357920694 382374431 /nfs/dbraw/zinc/37/44/31/382374431.db2.gz DFPGTWYWDJXACF-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN CCCCCN(C(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1)C(C)C ZINC000188242777 382375133 /nfs/dbraw/zinc/37/51/33/382375133.db2.gz UZIJKMQDFLFWPA-UHFFFAOYSA-N 0 0 295.339 2.736 20 5 CFBDRN O=C(CC1(O)CCCCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000304102024 382376215 /nfs/dbraw/zinc/37/62/15/382376215.db2.gz IDMMHVSCGZJTJE-UHFFFAOYSA-N 0 0 278.308 2.619 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@H]2CF)cc1[N+](=O)[O-] ZINC000294016034 382379601 /nfs/dbraw/zinc/37/96/01/382379601.db2.gz IZUTUXWPZIKSQZ-QMMMGPOBSA-N 0 0 272.301 2.539 20 5 CFBDRN CCOc1ccc(CNc2ccncc2[N+](=O)[O-])cc1F ZINC000357936707 382381222 /nfs/dbraw/zinc/38/12/22/382381222.db2.gz QTUDSHUYUJWNIJ-UHFFFAOYSA-N 0 0 291.282 2.562 20 5 CFBDRN Cc1ccc(S(=O)(=O)Cc2csc([N+](=O)[O-])c2)cc1 ZINC000357971872 382395151 /nfs/dbraw/zinc/39/51/51/382395151.db2.gz LIWCNHVLNZYMOG-UHFFFAOYSA-N 0 0 297.357 2.939 20 5 CFBDRN Cc1cccc(NC[C@H]2CC[C@H](C(N)=O)CC2)c1[N+](=O)[O-] ZINC000420609117 382423811 /nfs/dbraw/zinc/42/38/11/382423811.db2.gz IOXXDDAKPNXPJZ-HAQNSBGRSA-N 0 0 291.351 2.607 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2cccc(OC)c2[N+](=O)[O-])CCO1 ZINC000420619187 382428350 /nfs/dbraw/zinc/42/83/50/382428350.db2.gz OHBQBWLWTWIGDK-GHMZBOCLSA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@@H]1CC[C@H](COc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000188533334 382430083 /nfs/dbraw/zinc/43/00/83/382430083.db2.gz DKPWKFNKKHLSCU-PSASIEDQSA-N 0 0 255.245 2.680 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCO[C@H]1CCCC[C@@H]1C ZINC000346602872 382431673 /nfs/dbraw/zinc/43/16/73/382431673.db2.gz CYOCLGCMETXPSB-JQWIXIFHSA-N 0 0 267.329 2.695 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2F)C[C@H](CC)O1 ZINC000420627920 382433169 /nfs/dbraw/zinc/43/31/69/382433169.db2.gz NSSJYVBZFYSMFM-PHIMTYICSA-N 0 0 283.303 2.523 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cn1)C1CC(OC(C)(C)C)C1 ZINC000420626972 382433798 /nfs/dbraw/zinc/43/37/98/382433798.db2.gz MURMLFNKZBXMKI-UHFFFAOYSA-N 0 0 279.340 2.772 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](CCO)CC2)c1 ZINC000420637806 382437987 /nfs/dbraw/zinc/43/79/87/382437987.db2.gz IQEHBTNHGIYIOH-ZDUSSCGKSA-N 0 0 278.352 2.892 20 5 CFBDRN CCOCCCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294162664 382440471 /nfs/dbraw/zinc/44/04/71/382440471.db2.gz QUOFOPMTVLANMU-UHFFFAOYSA-N 0 0 294.351 2.763 20 5 CFBDRN CC(=O)c1cc(NCCCC2(O)CCC2)ccc1[N+](=O)[O-] ZINC000420642698 382440879 /nfs/dbraw/zinc/44/08/79/382440879.db2.gz SSZVOUYEKSLNRH-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN CCN(C)C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000188593725 382441166 /nfs/dbraw/zinc/44/11/66/382441166.db2.gz RPIUIIKNVLSRJJ-UHFFFAOYSA-N 0 0 251.286 2.695 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420645840 382442426 /nfs/dbraw/zinc/44/24/26/382442426.db2.gz ZLYHZBQYSDAQGG-SMDDNHRTSA-N 0 0 277.324 2.540 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420645830 382442841 /nfs/dbraw/zinc/44/28/41/382442841.db2.gz ZLYHZBQYSDAQGG-FZMZJTMJSA-N 0 0 277.324 2.540 20 5 CFBDRN CCOc1cc(OC[C@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000188612186 382443064 /nfs/dbraw/zinc/44/30/64/382443064.db2.gz KQQQHHXFMRCJPX-ZYHUDNBSSA-N 0 0 281.308 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cc(C)nc(C)c2)n1 ZINC000420651019 382444942 /nfs/dbraw/zinc/44/49/42/382444942.db2.gz JBWXOMDCTFPYPS-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CCc1cnccc1CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000352571999 382399897 /nfs/dbraw/zinc/39/98/97/382399897.db2.gz BHOSURNEPONVPZ-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CCc1cnccc1CNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000352672381 382446329 /nfs/dbraw/zinc/44/63/29/382446329.db2.gz BMPOFHILIAEQIT-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000357997783 382402438 /nfs/dbraw/zinc/40/24/38/382402438.db2.gz YXFVAUALEKARNY-NEPJUHHUSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CO)CC2)c(F)cc1[N+](=O)[O-] ZINC000294072133 382403495 /nfs/dbraw/zinc/40/34/95/382403495.db2.gz NJBKPXFOMBEWHZ-LLVKDONJSA-N 0 0 282.315 2.641 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000294109594 382419813 /nfs/dbraw/zinc/41/98/13/382419813.db2.gz NELSXIVRLWYIFL-LBPRGKRZSA-N 0 0 294.376 2.842 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420603247 382421027 /nfs/dbraw/zinc/42/10/27/382421027.db2.gz PISOGNGXYFMGER-DTWKUNHWSA-N 0 0 265.313 2.540 20 5 CFBDRN CCN(CC1CCC1)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358149153 382470254 /nfs/dbraw/zinc/47/02/54/382470254.db2.gz LYPRAQXHAXQLAL-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN CO[C@H](C)[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000188648792 382449103 /nfs/dbraw/zinc/44/91/03/382449103.db2.gz CBBBTASHISUEPU-WDEREUQCSA-N 0 0 295.339 2.757 20 5 CFBDRN CN(c1cccc([N+](=O)[O-])c1)S(=O)(=O)C1=CCCCC1 ZINC000294214182 382461957 /nfs/dbraw/zinc/46/19/57/382461957.db2.gz MXQLYDYOSVHQIY-UHFFFAOYSA-N 0 0 296.348 2.819 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(F)cc1F)[N+](=O)[O-] ZINC000294222299 382465428 /nfs/dbraw/zinc/46/54/28/382465428.db2.gz KOMQLROLVOSHPD-UHFFFAOYSA-N 0 0 272.251 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@](O)(C3CC3)C2)c(Cl)c1 ZINC000365976284 382465923 /nfs/dbraw/zinc/46/59/23/382465923.db2.gz NZQSTLJFEJTJIY-CYBMUJFWSA-N 0 0 282.727 2.599 20 5 CFBDRN CCCCCNC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000294243198 382474895 /nfs/dbraw/zinc/47/48/95/382474895.db2.gz NUSLDLGZUVBAMX-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000294248312 382477217 /nfs/dbraw/zinc/47/72/17/382477217.db2.gz WIEAVJNGABFPNU-AWEZNQCLSA-N 0 0 282.315 2.985 20 5 CFBDRN Cc1cnc(OC[C@H]2Cc3ccccc3O2)c([N+](=O)[O-])c1 ZINC000366161612 382478946 /nfs/dbraw/zinc/47/89/46/382478946.db2.gz HUXYKYLYKMLYOP-GFCCVEGCSA-N 0 0 286.287 2.681 20 5 CFBDRN C[C@@H](NC(=O)CSC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000294263154 382485555 /nfs/dbraw/zinc/48/55/55/382485555.db2.gz ALIHLOPBAHHXNG-SECBINFHSA-N 0 0 280.349 2.668 20 5 CFBDRN CC[C@H](C)CNC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000420879153 382487369 /nfs/dbraw/zinc/48/73/69/382487369.db2.gz OJTYRFJRTJIYQF-NSHDSACASA-N 0 0 291.351 2.709 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1cccc(F)c1F ZINC000346803543 382520521 /nfs/dbraw/zinc/52/05/21/382520521.db2.gz KIKLTLIDEQXPPA-SSDOTTSWSA-N 0 0 295.245 2.692 20 5 CFBDRN O=C(NC12CCC(CC1)C2)c1ccc([N+](=O)[O-])cc1F ZINC000378647355 382499662 /nfs/dbraw/zinc/49/96/62/382499662.db2.gz ISUFQRNNRDBWAO-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H](C)C1CC1 ZINC000421418206 382529434 /nfs/dbraw/zinc/52/94/34/382529434.db2.gz HFDPXMGNHSCDIC-JTQLQIEISA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1cnccc1CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000188904303 382501613 /nfs/dbraw/zinc/50/16/13/382501613.db2.gz GUIUIBHGZAQNPJ-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000358199274 382501981 /nfs/dbraw/zinc/50/19/81/382501981.db2.gz KODGCVWKXBVMRY-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN Cc1cc(C(=O)Nc2n[nH]c3ccc([N+](=O)[O-])cc32)co1 ZINC000188934000 382508602 /nfs/dbraw/zinc/50/86/02/382508602.db2.gz DESGWGLNWSONKX-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN CCc1nn(C)c(NCC2=CCCCC2)c1[N+](=O)[O-] ZINC000379754635 382509781 /nfs/dbraw/zinc/50/97/81/382509781.db2.gz SKKYJMRIEXUUFB-UHFFFAOYSA-N 0 0 264.329 2.803 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@@H](C)CC2(C)C)cc1[N+](=O)[O-] ZINC000380566793 382514940 /nfs/dbraw/zinc/51/49/40/382514940.db2.gz PKNVATRTFVHMNM-JTQLQIEISA-N 0 0 291.351 2.897 20 5 CFBDRN COc1ccncc1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000358231870 382516157 /nfs/dbraw/zinc/51/61/57/382516157.db2.gz UKNVNVWCNRZAFL-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC[C@@H](C)CC(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000189151082 382554866 /nfs/dbraw/zinc/55/48/66/382554866.db2.gz AJHWFHKBXWESAG-SNVBAGLBSA-N 0 0 280.324 2.656 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294964572 382555761 /nfs/dbraw/zinc/55/57/61/382555761.db2.gz LGZCMZVCOQJMCW-STQMWFEESA-N 0 0 287.319 2.714 20 5 CFBDRN CCC1(CC)CN(c2ncc([N+](=O)[O-])c(C)n2)CCS1 ZINC000294973655 382558033 /nfs/dbraw/zinc/55/80/33/382558033.db2.gz LWRZHIXLAAYTFK-UHFFFAOYSA-N 0 0 296.396 2.805 20 5 CFBDRN O=C([C@H]1CC12CC2)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000421495926 382558575 /nfs/dbraw/zinc/55/85/75/382558575.db2.gz KYNJNRWQMZVKBF-CQSZACIVSA-N 0 0 286.331 2.886 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCc1cccnc1 ZINC000353550907 382558585 /nfs/dbraw/zinc/55/85/85/382558585.db2.gz SJIMVFUBSAZDEV-UHFFFAOYSA-N 0 0 269.304 2.595 20 5 CFBDRN CC1(C)CN(Cc2ccc([N+](=O)[O-])cc2F)[C@@H]2COC[C@@H]21 ZINC000384800398 382558773 /nfs/dbraw/zinc/55/87/73/382558773.db2.gz SWTSXQVHHTXQOG-GXTWGEPZSA-N 0 0 294.326 2.591 20 5 CFBDRN C[C@@H]1[C@H](O)CCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000384895246 382561009 /nfs/dbraw/zinc/56/10/09/382561009.db2.gz LYLHKOQQQRFJNO-NOZJJQNGSA-N 0 0 284.743 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCCOCC(F)F)c1 ZINC000295044743 382563641 /nfs/dbraw/zinc/56/36/41/382563641.db2.gz OEZFDVZHPYGGBD-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN CC[C@@H](NCc1cccc([N+](=O)[O-])c1C)c1ccn(C)n1 ZINC000358321761 382567208 /nfs/dbraw/zinc/56/72/08/382567208.db2.gz KANJNZJLQSIXEH-CYBMUJFWSA-N 0 0 288.351 2.878 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)[C@H]1CC12CC2 ZINC000421525269 382569510 /nfs/dbraw/zinc/56/95/10/382569510.db2.gz VLXXJFQPKNNVRJ-SNVBAGLBSA-N 0 0 283.287 2.882 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000385552284 382571234 /nfs/dbraw/zinc/57/12/34/382571234.db2.gz XEATYDXQVOTSAE-ZYHUDNBSSA-N 0 0 277.324 2.540 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000421573031 382585268 /nfs/dbraw/zinc/58/52/68/382585268.db2.gz GVOKICDUGOVDKO-QPUJVOFHSA-N 0 0 284.262 2.817 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N(C)CC(C)C ZINC000279796265 194574577 /nfs/dbraw/zinc/57/45/77/194574577.db2.gz VWOWZEAUAQIAQX-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@H]1CCc2cccnc21 ZINC000189317235 382590362 /nfs/dbraw/zinc/59/03/62/382590362.db2.gz YDUOQFYTEZKDOV-AWEZNQCLSA-N 0 0 298.298 2.763 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cc1F)[C@@H](C)O ZINC000386750206 382592123 /nfs/dbraw/zinc/59/21/23/382592123.db2.gz GIRKVNZRLJKULA-PSASIEDQSA-N 0 0 256.277 2.614 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](C)O ZINC000386829697 382592345 /nfs/dbraw/zinc/59/23/45/382592345.db2.gz VRVROLRFHYLSLM-GMSGAONNSA-N 0 0 258.705 2.820 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000358388331 382597610 /nfs/dbraw/zinc/59/76/10/382597610.db2.gz CYRJBUJHVBKDDQ-QWRGUYRKSA-N 0 0 294.326 2.923 20 5 CFBDRN CC(C)(C)CC[C@H](CO)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000358407525 382603165 /nfs/dbraw/zinc/60/31/65/382603165.db2.gz GFWJMDVMOVXTBK-GFCCVEGCSA-N 0 0 294.351 2.512 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1ncc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000388254181 382604573 /nfs/dbraw/zinc/60/45/73/382604573.db2.gz ASZGMSGENMEFKO-CPCISQLKSA-N 0 0 285.731 2.869 20 5 CFBDRN CCOC[C@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])C(C)C ZINC000189524517 382620193 /nfs/dbraw/zinc/62/01/93/382620193.db2.gz XQHHNMPAYBAYKR-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H](C)O[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000353737097 382621557 /nfs/dbraw/zinc/62/15/57/382621557.db2.gz YKRNBOMNAPQMJM-TUAOUCFPSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H](CO)C(C)C ZINC000394063369 382625646 /nfs/dbraw/zinc/62/56/46/382625646.db2.gz QXZZVLRNTIMBLO-NSHDSACASA-N 0 0 252.314 2.580 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCO1 ZINC000189574836 382625660 /nfs/dbraw/zinc/62/56/60/382625660.db2.gz DEBYCJNVEPVCOG-SNVBAGLBSA-N 0 0 296.348 2.629 20 5 CFBDRN CCOc1cc(N[C@@H](CC)C(C)(C)O)ccc1[N+](=O)[O-] ZINC000393995507 382625790 /nfs/dbraw/zinc/62/57/90/382625790.db2.gz QTAKMEVXMXCMFS-ZDUSSCGKSA-N 0 0 282.340 2.955 20 5 CFBDRN CCOc1cc(N[C@@H](CO)C2CCCC2)ccc1[N+](=O)[O-] ZINC000395150504 382629486 /nfs/dbraw/zinc/62/94/86/382629486.db2.gz JAHOFCGPASFUGF-ZDUSSCGKSA-N 0 0 294.351 2.957 20 5 CFBDRN CC(C)(CO)CCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000385830898 382578164 /nfs/dbraw/zinc/57/81/64/382578164.db2.gz TZZZUSRUUXGJID-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1nc(N2CC[C@@H](C)C3(CCC3)C2)ncc1[N+](=O)[O-] ZINC000295140343 382578493 /nfs/dbraw/zinc/57/84/93/382578493.db2.gz ZKGQCDKPQURLLP-SNVBAGLBSA-N 0 0 276.340 2.710 20 5 CFBDRN CCN(CCc1cccs1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000346940015 382578583 /nfs/dbraw/zinc/57/85/83/382578583.db2.gz JRYDFMVOJMKMGY-UHFFFAOYSA-N 0 0 293.348 2.689 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000190319455 382662123 /nfs/dbraw/zinc/66/21/23/382662123.db2.gz PIWLHGLXUZAYPQ-SNVBAGLBSA-N 0 0 279.321 2.851 20 5 CFBDRN CC(C)c1ccc(C(=O)NC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000190373479 382664615 /nfs/dbraw/zinc/66/46/15/382664615.db2.gz VGNUBUBYUBIGIC-GFCCVEGCSA-N 0 0 292.335 2.627 20 5 CFBDRN Cc1cc(OC[C@@H]2CC[C@@H](C)O2)c(F)cc1[N+](=O)[O-] ZINC000190685637 382677666 /nfs/dbraw/zinc/67/76/66/382677666.db2.gz NGJDRFOJPQWMTP-ZJUUUORDSA-N 0 0 269.272 2.989 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000190862554 382683026 /nfs/dbraw/zinc/68/30/26/382683026.db2.gz UUOOVZBKDKKCNA-VIFPVBQESA-N 0 0 280.324 2.782 20 5 CFBDRN Cc1cc(C(=O)N2CCSC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000191301642 382698066 /nfs/dbraw/zinc/69/80/66/382698066.db2.gz YXRJSWLPUCTRDJ-SNVBAGLBSA-N 0 0 294.376 2.728 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC(F)(F)C(F)F ZINC000191354379 382698998 /nfs/dbraw/zinc/69/89/98/382698998.db2.gz KAXADELRZAFQOD-UHFFFAOYSA-N 0 0 294.204 2.533 20 5 CFBDRN Cc1cnc(COC(=O)[C@@H]2C[C@@H]2C(C)C)c(C)c1[N+](=O)[O-] ZINC000191368525 382699269 /nfs/dbraw/zinc/69/92/69/382699269.db2.gz CMJUFIUARNMQMY-VXGBXAGGSA-N 0 0 292.335 2.942 20 5 CFBDRN CC[C@@H](C(=O)[O-])[N@H+](C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000191400738 382699775 /nfs/dbraw/zinc/69/97/75/382699775.db2.gz GMACOBMPAVDFDP-NSHDSACASA-N 0 0 286.715 2.543 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C)cc2C)n1 ZINC000191447326 382700450 /nfs/dbraw/zinc/70/04/50/382700450.db2.gz QLHSUILXWNBBDS-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CO[C@H]1CC[C@@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000191640465 382701673 /nfs/dbraw/zinc/70/16/73/382701673.db2.gz RZKFVBSAGORKFS-BDAKNGLRSA-N 0 0 298.726 2.546 20 5 CFBDRN CCCN(C(=O)[C@@H]1CC[C@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000194122150 382735555 /nfs/dbraw/zinc/73/55/55/382735555.db2.gz WVRPEJFOOZRJDK-FZMZJTMJSA-N 0 0 292.335 2.905 20 5 CFBDRN CC(C)CC[C@](C)(O)CNc1ncc([N+](=O)[O-])s1 ZINC000192462443 382709106 /nfs/dbraw/zinc/70/91/06/382709106.db2.gz BQFGNJCCCZZWGL-NSHDSACASA-N 0 0 273.358 2.650 20 5 CFBDRN COC[C@@H](Nc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000192463973 382709126 /nfs/dbraw/zinc/70/91/26/382709126.db2.gz PXVMGDITKRDRNX-SNVBAGLBSA-N 0 0 279.321 2.851 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCO[C@@H]1CCCCO1 ZINC000193077897 382715375 /nfs/dbraw/zinc/71/53/75/382715375.db2.gz XADSQSSRPAMIQO-OAHLLOKOSA-N 0 0 292.335 2.501 20 5 CFBDRN C[C@H](O)COc1ccc(Cc2ccccc2)cc1[N+](=O)[O-] ZINC000193422105 382719629 /nfs/dbraw/zinc/71/96/29/382719629.db2.gz BNFUJIIUYZZWFL-LBPRGKRZSA-N 0 0 287.315 2.945 20 5 CFBDRN COc1ccc(C[N@H+](C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000575057082 382725142 /nfs/dbraw/zinc/72/51/42/382725142.db2.gz BUCPJJIFITZQPE-UHFFFAOYSA-N 0 0 252.314 2.834 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000336829806 382726045 /nfs/dbraw/zinc/72/60/45/382726045.db2.gz BAUFHQWBSYZJBO-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1c1ccccc1)c1cc([O-])ccc1[N+](=O)[O-] ZINC000336864874 382736541 /nfs/dbraw/zinc/73/65/41/382736541.db2.gz SBZLFTCNPBSPTH-OCCSQVGLSA-N 0 0 298.298 2.586 20 5 CFBDRN CSCCCCCNc1ncc([N+](=O)[O-])s1 ZINC000193854013 382727105 /nfs/dbraw/zinc/72/71/05/382727105.db2.gz KMRCTPRBTXHMAH-UHFFFAOYSA-N 0 0 261.372 2.997 20 5 CFBDRN CCCCOCCCNc1c([N+](=O)[O-])nc(C)n1CC ZINC000336841920 382731941 /nfs/dbraw/zinc/73/19/41/382731941.db2.gz SOXWXRLAFKDZKN-UHFFFAOYSA-N 0 0 284.360 2.738 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000575240564 382732403 /nfs/dbraw/zinc/73/24/03/382732403.db2.gz UGYOLSDRWQCSEZ-NXEZZACHSA-N 0 0 250.298 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H]1CC[C@H](C)O1 ZINC000191090530 382690941 /nfs/dbraw/zinc/69/09/41/382690941.db2.gz CUNQATLSGSDZIL-CMPLNLGQSA-N 0 0 251.282 2.850 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000195487856 382768330 /nfs/dbraw/zinc/76/83/30/382768330.db2.gz SSFIZSWIPXPOFM-MRVPVSSYSA-N 0 0 273.292 2.604 20 5 CFBDRN CCCN(CC(F)F)c1ncc([N+](=O)[O-])s1 ZINC000195511959 382768708 /nfs/dbraw/zinc/76/87/08/382768708.db2.gz RECIOEAPSLRDDI-UHFFFAOYSA-N 0 0 251.258 2.533 20 5 CFBDRN Cc1cc(CNc2ncc([N+](=O)[O-])s2)cc(C)c1O ZINC000195509407 382768760 /nfs/dbraw/zinc/76/87/60/382768760.db2.gz GSIJRHSIUMMZBW-UHFFFAOYSA-N 0 0 279.321 2.986 20 5 CFBDRN CN(C)c1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 ZINC000215445297 382779249 /nfs/dbraw/zinc/77/92/49/382779249.db2.gz NLQJRCHZBMNEFH-UHFFFAOYSA-N 0 0 285.303 2.913 20 5 CFBDRN CO[C@@H](CNc1c(C)cccc1[N+](=O)[O-])C(C)C ZINC000337230688 382781169 /nfs/dbraw/zinc/78/11/69/382781169.db2.gz IPXMDMUKCXQZJW-LBPRGKRZSA-N 0 0 252.314 2.986 20 5 CFBDRN CCc1ccc(C(=O)NC2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000337245648 382784490 /nfs/dbraw/zinc/78/44/90/382784490.db2.gz HJEPTKCHQQETKJ-UHFFFAOYSA-N 0 0 284.262 2.685 20 5 CFBDRN Cc1cccc(NCCC[C@H](C)CO)c1[N+](=O)[O-] ZINC000218871971 382790912 /nfs/dbraw/zinc/79/09/12/382790912.db2.gz BEGIVEYXXOTSOJ-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1cccc(N[C@@H](C)Cn2cccn2)c1[N+](=O)[O-] ZINC000218835423 382790937 /nfs/dbraw/zinc/79/09/37/382790937.db2.gz ACNMOURLNMSVSP-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN CC[C@@H](CCO)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000218869248 382791022 /nfs/dbraw/zinc/79/10/22/382791022.db2.gz QTROTNOZCMVOAH-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN Cc1cccc(NCCCCn2ccnc2)c1[N+](=O)[O-] ZINC000218873817 382791048 /nfs/dbraw/zinc/79/10/48/382791048.db2.gz MWHLRMRXIWIACV-UHFFFAOYSA-N 0 0 274.324 2.992 20 5 CFBDRN COc1cccc(CCNc2c(C)cccc2[N+](=O)[O-])n1 ZINC000337274651 382791777 /nfs/dbraw/zinc/79/17/77/382791777.db2.gz FOMKIQDXUSDVFV-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CC(C)(CCNC(=O)c1cccc([N+](=O)[O-])c1N)C1CC1 ZINC000336893061 382738381 /nfs/dbraw/zinc/73/83/81/382738381.db2.gz SULJNWDGVSCLOY-UHFFFAOYSA-N 0 0 291.351 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H]2OC(F)F)cn1 ZINC000336909585 382741043 /nfs/dbraw/zinc/74/10/43/382741043.db2.gz HPUWBKRYINWRHG-IUCAKERBSA-N 0 0 273.239 2.562 20 5 CFBDRN CSC1(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000194474737 382745269 /nfs/dbraw/zinc/74/52/69/382745269.db2.gz SLFGOGBTGZORDY-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN CSC1(CNC(=O)CCc2cccc([N+](=O)[O-])c2)CC1 ZINC000194529004 382747185 /nfs/dbraw/zinc/74/71/85/382747185.db2.gz HCZTYCURYWDOFQ-UHFFFAOYSA-N 0 0 294.376 2.539 20 5 CFBDRN C[C@H]1C[C@@H]1c1cc(NC(=O)c2ccc([N+](=O)[O-])s2)n[nH]1 ZINC000194584293 382749135 /nfs/dbraw/zinc/74/91/35/382749135.db2.gz ZZCGICLYAGRSBI-BQBZGAKWSA-N 0 0 292.320 2.755 20 5 CFBDRN COCCN(c1ncc([N+](=O)[O-])s1)[C@@H]1CC[C@H](C)C1 ZINC000336997058 382750170 /nfs/dbraw/zinc/75/01/70/382750170.db2.gz OWCWAOFTGYAZNE-VHSXEESVSA-N 0 0 285.369 2.693 20 5 CFBDRN Cc1nn(C)c(NCC2CCC(F)(F)CC2)c1[N+](=O)[O-] ZINC000336998987 382750576 /nfs/dbraw/zinc/75/05/76/382750576.db2.gz QZJMBJATVQXVKM-UHFFFAOYSA-N 0 0 288.298 2.874 20 5 CFBDRN O=C1CCCC[C@H]1Sc1ncccc1[N+](=O)[O-] ZINC000194662821 382751609 /nfs/dbraw/zinc/75/16/09/382751609.db2.gz MCWBFXCBSSSKNH-SNVBAGLBSA-N 0 0 252.295 2.594 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)c1cccnc1 ZINC000194767587 382754112 /nfs/dbraw/zinc/75/41/12/382754112.db2.gz ILVQRKOYUHCPNO-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN CC(=O)c1cc(N(C)C[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000228258405 382846068 /nfs/dbraw/zinc/84/60/68/382846068.db2.gz GFVLPEHLILENSI-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCCO)c2ccncc21 ZINC000228724365 382849004 /nfs/dbraw/zinc/84/90/04/382849004.db2.gz HRKWXADGGXORFQ-UHFFFAOYSA-N 0 0 275.308 2.718 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCCC[C@H]1C(F)F ZINC000337294315 382796193 /nfs/dbraw/zinc/79/61/93/382796193.db2.gz PJGJPBWWCAJNPM-LBPRGKRZSA-N 0 0 298.289 2.784 20 5 CFBDRN CC(C)(CCCO)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000221190491 382797683 /nfs/dbraw/zinc/79/76/83/382797683.db2.gz OLJMEINEUWMTHX-UHFFFAOYSA-N 0 0 287.747 2.854 20 5 CFBDRN Cc1ncc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)s1 ZINC000337303793 382797999 /nfs/dbraw/zinc/79/79/99/382797999.db2.gz ZWEBMEAQTRRESH-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1ncc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)s1 ZINC000337303797 382798046 /nfs/dbraw/zinc/79/80/46/382798046.db2.gz ZWIPRSPJLZBYLN-UHFFFAOYSA-N 0 0 283.334 2.982 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCOC2CCCC2)c1C ZINC000575763529 382798688 /nfs/dbraw/zinc/79/86/88/382798688.db2.gz UTDRLNBCTIMVBS-UHFFFAOYSA-N 0 0 280.324 2.945 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC[C@H](O)C1 ZINC000223247692 382804756 /nfs/dbraw/zinc/80/47/56/382804756.db2.gz RRCIQORLENKCLK-YPMHNXCESA-N 0 0 264.325 2.866 20 5 CFBDRN O=C(NOC1CCCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000224063370 382809115 /nfs/dbraw/zinc/80/91/15/382809115.db2.gz QICUYPCVPWYPSE-UHFFFAOYSA-N 0 0 284.699 2.852 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1CCSC1 ZINC000224332403 382810362 /nfs/dbraw/zinc/81/03/62/382810362.db2.gz HSPYFSCGWBNVEA-MRVPVSSYSA-N 0 0 256.302 2.899 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H]1CCSC1 ZINC000224449403 382810999 /nfs/dbraw/zinc/81/09/99/382810999.db2.gz PQESSHSYTQUAII-MRVPVSSYSA-N 0 0 256.302 2.899 20 5 CFBDRN Cc1noc2ncc(NC(=O)c3cccc([N+](=O)[O-])c3)cc12 ZINC000337637958 382850839 /nfs/dbraw/zinc/85/08/39/382850839.db2.gz RHLWOBPPNAGBSH-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN Cc1noc([C@H](C)N(C)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000577191568 382851260 /nfs/dbraw/zinc/85/12/60/382851260.db2.gz PDGBELIJGRIIHS-VIFPVBQESA-N 0 0 276.296 2.792 20 5 CFBDRN CNC(=O)c1ccc(NCC2(C)CCC2)c([N+](=O)[O-])c1 ZINC000226809548 382831493 /nfs/dbraw/zinc/83/14/93/382831493.db2.gz QSRNKUSEZWUBMH-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN Cc1nc(CCNc2nccc(C)c2[N+](=O)[O-])cs1 ZINC000227115101 382833736 /nfs/dbraw/zinc/83/37/36/382833736.db2.gz YSWJYYFWOPYSJE-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN COCCCCCNc1nccc(C)c1[N+](=O)[O-] ZINC000227115892 382833753 /nfs/dbraw/zinc/83/37/53/382833753.db2.gz CDTFTRITXAZHCB-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN COc1cc(NCC2(OC)CCC2)ccc1[N+](=O)[O-] ZINC000227304430 382835333 /nfs/dbraw/zinc/83/53/33/382835333.db2.gz YXBIZTIOVQEVHF-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN O=[N+]([O-])c1cccc(C[NH2+]CC[C@@H]2CCCCO2)c1 ZINC000227337925 382835738 /nfs/dbraw/zinc/83/57/38/382835738.db2.gz AQRVCBHLEHKNPR-AWEZNQCLSA-N 0 0 264.325 2.644 20 5 CFBDRN CCC[C@@](C)(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000227652184 382839021 /nfs/dbraw/zinc/83/90/21/382839021.db2.gz KGCGURZZZNZWAY-LLVKDONJSA-N 0 0 273.720 2.606 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000337541992 382839933 /nfs/dbraw/zinc/83/99/33/382839933.db2.gz RDJODMFBLIZQCR-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN CC[C@@H]1CCN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000576926109 382841076 /nfs/dbraw/zinc/84/10/76/382841076.db2.gz JHTDLBOZAYFZHV-NWDGAFQWSA-N 0 0 276.336 2.957 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCCC[C@H]2CCO)c(F)c1 ZINC000227887785 382842010 /nfs/dbraw/zinc/84/20/10/382842010.db2.gz OIYVGBZSTIFGRX-VIFPVBQESA-N 0 0 286.278 2.614 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC2(CO)CCCC2)c(F)c1 ZINC000227891431 382842123 /nfs/dbraw/zinc/84/21/23/382842123.db2.gz PESJNQGYGSNMJT-UHFFFAOYSA-N 0 0 272.251 2.590 20 5 CFBDRN C[C@H](CO)CSc1c(F)cc([N+](=O)[O-])cc1F ZINC000227891006 382842168 /nfs/dbraw/zinc/84/21/68/382842168.db2.gz QQXLHAYKEPEHGD-ZCFIWIBFSA-N 0 0 263.265 2.594 20 5 CFBDRN CCc1cccnc1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000337585317 382845553 /nfs/dbraw/zinc/84/55/53/382845553.db2.gz DVVRPVDBYXVLLZ-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN Cc1nc(CCNc2ccc([N+](=O)[O-])c(C)n2)cs1 ZINC000230182474 382857210 /nfs/dbraw/zinc/85/72/10/382857210.db2.gz HFCYNXIBCDEUCP-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN C[C@H](Sc1cccc([N+](=O)[O-])c1)C(=O)NC(C)(C)C ZINC000337739766 382860246 /nfs/dbraw/zinc/86/02/46/382860246.db2.gz CEOHZRMTOXUJMO-VIFPVBQESA-N 0 0 282.365 2.990 20 5 CFBDRN CO[C@@H](C)COc1cc([N+](=O)[O-])ccc1Br ZINC000230402048 382861047 /nfs/dbraw/zinc/86/10/47/382861047.db2.gz RTSHURJAFQBNQG-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN O=[N+]([O-])c1cccc(SCCCn2ccnc2)c1 ZINC000337766155 382863241 /nfs/dbraw/zinc/86/32/41/382863241.db2.gz SQROOVSVGOLZTJ-UHFFFAOYSA-N 0 0 263.322 2.974 20 5 CFBDRN CC(C)N1CC[C@H](Sc2cccc([N+](=O)[O-])c2)C1=O ZINC000337766314 382863273 /nfs/dbraw/zinc/86/32/73/382863273.db2.gz SVPTZYTYSQAJRM-LBPRGKRZSA-N 0 0 280.349 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@@H](O)C2)c(F)c1 ZINC000230909147 382863406 /nfs/dbraw/zinc/86/34/06/382863406.db2.gz JFJNUJGOWSELDA-MWLCHTKSSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCOCC(F)F ZINC000231678142 382866184 /nfs/dbraw/zinc/86/61/84/382866184.db2.gz VNDYLNUHSFVWSH-UHFFFAOYSA-N 0 0 280.658 2.942 20 5 CFBDRN CCN(C)C(=O)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000231866608 382867410 /nfs/dbraw/zinc/86/74/10/382867410.db2.gz JKJWOEUNTMMBOP-MRVPVSSYSA-N 0 0 285.731 2.527 20 5 CFBDRN Cc1c(CNC(=O)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000231879103 382867535 /nfs/dbraw/zinc/86/75/35/382867535.db2.gz DBXBAXKURVQADO-UHFFFAOYSA-N 0 0 250.298 2.566 20 5 CFBDRN C[C@H](NC(=O)NC1(C(F)F)CC1)c1ccccc1[N+](=O)[O-] ZINC000337810087 382867595 /nfs/dbraw/zinc/86/75/95/382867595.db2.gz NGBWWGVICCNFIK-QMMMGPOBSA-N 0 0 299.277 2.753 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCC(F)(F)F ZINC000232073579 382867896 /nfs/dbraw/zinc/86/78/96/382867896.db2.gz SUNPZISGGZSEOB-UHFFFAOYSA-N 0 0 291.229 2.895 20 5 CFBDRN CCOc1cc(NC[C@@H]2CC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000233796448 382872077 /nfs/dbraw/zinc/87/20/77/382872077.db2.gz UXOWOMBZMUCIIW-PWSUYJOCSA-N 0 0 280.324 2.566 20 5 CFBDRN CCOc1cccc(NC[C@H]2CC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000233809586 382872212 /nfs/dbraw/zinc/87/22/12/382872212.db2.gz GIEVNDVWTRVCIF-WDEREUQCSA-N 0 0 280.324 2.566 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233943604 382872574 /nfs/dbraw/zinc/87/25/74/382872574.db2.gz ZNWUDXNMSRUPFP-LDYMZIIASA-N 0 0 266.272 2.571 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000337939111 382874765 /nfs/dbraw/zinc/87/47/65/382874765.db2.gz WWILEZBMPIJWKX-UHFFFAOYSA-N 0 0 293.302 2.693 20 5 CFBDRN C[C@@H](C(=O)NC1CCSCC1)c1cccc([N+](=O)[O-])c1 ZINC000577929914 382875293 /nfs/dbraw/zinc/87/52/93/382875293.db2.gz UUZFAENCDMFRFP-SNVBAGLBSA-N 0 0 294.376 2.710 20 5 CFBDRN COC(=O)c1cc(NC[C@H]2C[C@H]2C)cc(C)c1[N+](=O)[O-] ZINC000577959253 382877023 /nfs/dbraw/zinc/87/70/23/382877023.db2.gz BYAHQZATZYTYQN-PSASIEDQSA-N 0 0 278.308 2.758 20 5 CFBDRN CSCCCNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000235059863 382877082 /nfs/dbraw/zinc/87/70/82/382877082.db2.gz PHADEGWZBJXRPU-UHFFFAOYSA-N 0 0 286.328 2.525 20 5 CFBDRN CC[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000421587848 382877434 /nfs/dbraw/zinc/87/74/34/382877434.db2.gz HKJUQFKUPYSMEX-DDTOSNHZSA-N 0 0 276.336 2.861 20 5 CFBDRN C[C@H](CO)CSc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235476815 382882007 /nfs/dbraw/zinc/88/20/07/382882007.db2.gz HPEXXRUOEFKVOZ-ZCFIWIBFSA-N 0 0 296.270 2.729 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCCC1 ZINC000337968701 382882966 /nfs/dbraw/zinc/88/29/66/382882966.db2.gz MRAMWDDPBGZMMY-UHFFFAOYSA-N 0 0 279.340 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1[NH2+]CCCC1(C)C ZINC000235764664 382887577 /nfs/dbraw/zinc/88/75/77/382887577.db2.gz NEXVYJUOJPBUGM-CYBMUJFWSA-N 0 0 291.351 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1[NH2+]CCCC1(C)C ZINC000235764470 382887747 /nfs/dbraw/zinc/88/77/47/382887747.db2.gz NEXVYJUOJPBUGM-ZDUSSCGKSA-N 0 0 291.351 2.620 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000421662044 382888425 /nfs/dbraw/zinc/88/84/25/382888425.db2.gz JPHWNULJLOFXFK-NWDGAFQWSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC2CCSCC2)c1F ZINC000235878065 382889567 /nfs/dbraw/zinc/88/95/67/382889567.db2.gz TZODRMOPGHSPCS-UHFFFAOYSA-N 0 0 298.339 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@@H](O)C(F)(F)F ZINC000236546363 382894655 /nfs/dbraw/zinc/89/46/55/382894655.db2.gz SGHIMEMCRXDWTB-MRVPVSSYSA-N 0 0 284.621 2.583 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H]1CC[C@@H](O)C1 ZINC000236536683 382895214 /nfs/dbraw/zinc/89/52/14/382895214.db2.gz UEDSYTSHBLUBRI-PSASIEDQSA-N 0 0 270.716 2.821 20 5 CFBDRN CC[C@@](C)(CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)OC ZINC000455320964 382895659 /nfs/dbraw/zinc/89/56/59/382895659.db2.gz BARWOILIKQYPNX-ZDUSSCGKSA-N 0 0 299.302 2.671 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(CC)C1 ZINC000455351713 382901947 /nfs/dbraw/zinc/90/19/47/382901947.db2.gz AQIFVDLXFKEHKG-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](F)C1 ZINC000455365044 382903216 /nfs/dbraw/zinc/90/32/16/382903216.db2.gz WIQZSQSSXXVGFT-VIFPVBQESA-N 0 0 297.286 2.569 20 5 CFBDRN CCOc1ccc([C@H](C)NCc2cc[nH]n2)cc1[N+](=O)[O-] ZINC000535066555 382948143 /nfs/dbraw/zinc/94/81/43/382948143.db2.gz RAVQTJLDFSRLBB-JTQLQIEISA-N 0 0 290.323 2.567 20 5 CFBDRN COC[C@@H](C)CC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000338228284 382957399 /nfs/dbraw/zinc/95/73/99/382957399.db2.gz DNWUPHDTFMJFHN-NSHDSACASA-N 0 0 292.335 2.547 20 5 CFBDRN CC(=O)CN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000421888037 382961035 /nfs/dbraw/zinc/96/10/35/382961035.db2.gz KXJFBEVRSFYZNF-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN C[C@@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1cn[nH]c1 ZINC000494688566 382919415 /nfs/dbraw/zinc/91/94/15/382919415.db2.gz TYEUTLSMIVAVBM-MRVPVSSYSA-N 0 0 280.715 2.822 20 5 CFBDRN Cc1nc(NC(=O)c2cccc(C)c2[N+](=O)[O-])cs1 ZINC000338134019 382929854 /nfs/dbraw/zinc/92/98/54/382929854.db2.gz ZABNHWKERBLXCG-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@@H]1C[C@H]1C1CC1 ZINC000338139961 382931319 /nfs/dbraw/zinc/93/13/19/382931319.db2.gz KJEHEWKCGOGSMO-LSDHHAIUSA-N 0 0 288.347 2.642 20 5 CFBDRN CC1(C)C[C@H](CNC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000457162733 382975437 /nfs/dbraw/zinc/97/54/37/382975437.db2.gz RYIQIRXOEXEIPZ-SECBINFHSA-N 0 0 298.364 2.591 20 5 CFBDRN Cc1cc(N(C)CCOCC2CC2)ccc1[N+](=O)[O-] ZINC000338290883 382978461 /nfs/dbraw/zinc/97/84/61/382978461.db2.gz SIPYKIZEKDTZGL-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1cnc(NCC(C)(C)[C@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000338289220 382978568 /nfs/dbraw/zinc/97/85/68/382978568.db2.gz OARCXLDVMRBINW-GFCCVEGCSA-N 0 0 281.356 2.753 20 5 CFBDRN O=C(NCCC1CC(F)(F)C1)c1csc([N+](=O)[O-])c1 ZINC000457217569 382994239 /nfs/dbraw/zinc/99/42/39/382994239.db2.gz RIWOFYAARYTKRU-UHFFFAOYSA-N 0 0 290.291 2.822 20 5 CFBDRN C[C@@H](C1CCCCC1)N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000549017755 382996283 /nfs/dbraw/zinc/99/62/83/382996283.db2.gz ZZNQEFSHDNHHFO-NSHDSACASA-N 0 0 293.367 2.974 20 5 CFBDRN C[C@@H](NCc1ccccc1[N+](=O)[O-])c1ccncc1F ZINC000338349509 382998917 /nfs/dbraw/zinc/99/89/17/382998917.db2.gz NSJNBRWGLWCHOE-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000579361953 383008151 /nfs/dbraw/zinc/00/81/51/383008151.db2.gz KQSHXQPCNHMVAO-CABZTGNLSA-N 0 0 281.356 2.816 20 5 CFBDRN Cc1nc(Sc2nc3sccn3c2[N+](=O)[O-])[nH]c1C ZINC000338736552 383033855 /nfs/dbraw/zinc/03/38/55/383033855.db2.gz QVQOHTCWKNACEU-UHFFFAOYSA-N 0 0 295.349 2.795 20 5 CFBDRN C[C@@H](F)CCNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000338747656 383037481 /nfs/dbraw/zinc/03/74/81/383037481.db2.gz DTMBZIHHHDJRHZ-MRVPVSSYSA-N 0 0 281.287 2.640 20 5 CFBDRN CC[C@@H](CC(F)F)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000457448788 383038974 /nfs/dbraw/zinc/03/89/74/383038974.db2.gz GTSPLGOSINNRBL-VIFPVBQESA-N 0 0 286.278 2.892 20 5 CFBDRN COC(=O)c1cc(NCC[C@H](C)F)cc(C)c1[N+](=O)[O-] ZINC000338759360 383041565 /nfs/dbraw/zinc/04/15/65/383041565.db2.gz XPHDKJNRCUHQJB-VIFPVBQESA-N 0 0 284.287 2.850 20 5 CFBDRN COC(=O)c1ccoc1CSc1ccc([N+](=O)[O-])cn1 ZINC000448055517 383042864 /nfs/dbraw/zinc/04/28/64/383042864.db2.gz VVZREQFJTIUSJM-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)OCCCF ZINC000495996883 383052585 /nfs/dbraw/zinc/05/25/85/383052585.db2.gz ZPHMKIFUNZYWMK-UHFFFAOYSA-N 0 0 284.287 2.565 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)OC1CCC1 ZINC000496014041 383055291 /nfs/dbraw/zinc/05/52/91/383055291.db2.gz KMUDZLHUORCPID-UHFFFAOYSA-N 0 0 264.281 2.716 20 5 CFBDRN CCCCNC(=O)c1cc(-c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000448564445 383071799 /nfs/dbraw/zinc/07/17/99/383071799.db2.gz HCCQVOOKCKTESY-UHFFFAOYSA-N 0 0 288.307 2.515 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000554034345 383122765 /nfs/dbraw/zinc/12/27/65/383122765.db2.gz ZISOSFPWPJAPSP-MVWJERBFSA-N 0 0 280.299 2.705 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000458114867 383129586 /nfs/dbraw/zinc/12/95/86/383129586.db2.gz NFSNPVUGSZFSGK-QWHCGFSZSA-N 0 0 299.330 2.917 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@H]1COc2ccccc21 ZINC000339058003 383134811 /nfs/dbraw/zinc/13/48/11/383134811.db2.gz KNVBNJKYLBFUQO-ZWNOBZJWSA-N 0 0 285.303 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@@H](C2CC2)C1 ZINC000339060096 383136407 /nfs/dbraw/zinc/13/64/07/383136407.db2.gz SPIADOKBYACCAI-LLVKDONJSA-N 0 0 262.309 2.532 20 5 CFBDRN CC(C)CCCC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000448595055 383081832 /nfs/dbraw/zinc/08/18/32/383081832.db2.gz OXYCWAWQVDAXBW-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN C[C@H](NC(=O)OC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000496340440 383089349 /nfs/dbraw/zinc/08/93/49/383089349.db2.gz IKHTWJZZONOCQW-VIFPVBQESA-N 0 0 264.281 2.935 20 5 CFBDRN C[C@H](NC(=O)OC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000496333586 383089630 /nfs/dbraw/zinc/08/96/30/383089630.db2.gz VXMSDOROQGJKSW-VIFPVBQESA-N 0 0 264.281 2.935 20 5 CFBDRN O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCCCCF ZINC000496349179 383090424 /nfs/dbraw/zinc/09/04/24/383090424.db2.gz TTYNBPNDAGMQBR-UHFFFAOYSA-N 0 0 297.286 2.713 20 5 CFBDRN Cc1cc(OCc2nc(CC3CC3)no2)ccc1[N+](=O)[O-] ZINC000280413053 194834679 /nfs/dbraw/zinc/83/46/79/194834679.db2.gz PWKGNGPUQLTGET-UHFFFAOYSA-N 0 0 289.291 2.818 20 5 CFBDRN O=C(NCCc1cccc(F)c1)c1csc([N+](=O)[O-])c1 ZINC000338992287 383109817 /nfs/dbraw/zinc/10/98/17/383109817.db2.gz HLODIFNFJWMVIU-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN C[C@@H]1C[C@@H](C)[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000339003187 383117260 /nfs/dbraw/zinc/11/72/60/383117260.db2.gz FXEYDZXNRZMBNF-NXEZZACHSA-N 0 0 250.298 2.531 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])Cc1ccncc1F ZINC000449313999 383212575 /nfs/dbraw/zinc/21/25/75/383212575.db2.gz BRWFRFMOSGPCAK-UHFFFAOYSA-N 0 0 289.310 2.803 20 5 CFBDRN CO[C@H](COc1ccc(C(C)=O)cc1[N+](=O)[O-])C1CC1 ZINC000424092980 383178746 /nfs/dbraw/zinc/17/87/46/383178746.db2.gz QCEVTVOUPPZXEA-CQSZACIVSA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1cc(OCc2nc(C(F)F)no2)ccc1[N+](=O)[O-] ZINC000424098444 383180298 /nfs/dbraw/zinc/18/02/98/383180298.db2.gz KXGHQMWLPUWALV-UHFFFAOYSA-N 0 0 285.206 2.803 20 5 CFBDRN O=[N+]([O-])c1cc(/C=C\c2cnc(N3CCCC3)nc2)ccn1 ZINC000566602978 383192264 /nfs/dbraw/zinc/19/22/64/383192264.db2.gz NAQXXJJIABFNTH-ARJAWSKDSA-N 0 0 297.318 2.550 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000458284302 383152907 /nfs/dbraw/zinc/15/29/07/383152907.db2.gz RUMKQLFZNAMGBP-LJWDBELGSA-N 0 0 299.330 2.995 20 5 CFBDRN C[C@]1(NC(=O)COc2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000458307130 383158943 /nfs/dbraw/zinc/15/89/43/383158943.db2.gz GYRKLHMQLRXJFA-HNNXBMFYSA-N 0 0 290.319 2.589 20 5 CFBDRN CN(Cc1cc([O-])ccc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000339131849 383160705 /nfs/dbraw/zinc/16/07/05/383160705.db2.gz GERHUVXZSIAIGV-LBPRGKRZSA-N 0 0 250.298 2.531 20 5 CFBDRN CO[C@@H](C)c1cccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000339148606 383166965 /nfs/dbraw/zinc/16/69/65/383166965.db2.gz OOCMVDGOZDIFOT-VIFPVBQESA-N 0 0 289.291 2.883 20 5 CFBDRN CC(C)[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000520466831 383258255 /nfs/dbraw/zinc/25/82/55/383258255.db2.gz RITSVPDSMWMVAE-VXGBXAGGSA-N 0 0 293.367 2.878 20 5 CFBDRN C[C@H]1CCCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000519583597 383226525 /nfs/dbraw/zinc/22/65/25/383226525.db2.gz DKVLSPYQNWNNTL-HZMBPMFUSA-N 0 0 277.324 2.688 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@H]1CCC[C@@H](F)C1 ZINC000424682119 383229493 /nfs/dbraw/zinc/22/94/93/383229493.db2.gz DKQPMVMRKNAYTA-QWHCGFSZSA-N 0 0 294.326 2.782 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000519806334 383237509 /nfs/dbraw/zinc/23/75/09/383237509.db2.gz RMNJOTGBOGDTHG-SECBINFHSA-N 0 0 265.313 2.544 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])cn2)nc2ccccc12 ZINC000523295049 383349253 /nfs/dbraw/zinc/34/92/53/383349253.db2.gz SUEUGHUGCSRJRA-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN CC1CCC(C)(NC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000522916564 383344671 /nfs/dbraw/zinc/34/46/71/383344671.db2.gz GUBCBZQJAMIZQA-UHFFFAOYSA-N 0 0 279.340 2.632 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](CO)CC(F)(F)F)c1 ZINC000339698031 383289983 /nfs/dbraw/zinc/28/99/83/383289983.db2.gz POWMTPDZVQJPFV-QMMMGPOBSA-N 0 0 278.230 2.628 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000248882370 383302726 /nfs/dbraw/zinc/30/27/26/383302726.db2.gz SSQQJORARWVJHV-XYPYZODXSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cccc(C)n1 ZINC000339741505 383304177 /nfs/dbraw/zinc/30/41/77/383304177.db2.gz CJLNJPXPAVOBPR-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCO[C@@H]1CCCC[C@@H]1C ZINC000521725466 383307602 /nfs/dbraw/zinc/30/76/02/383307602.db2.gz CCJMGWOJIZEVPO-SMDDNHRTSA-N 0 0 293.367 2.727 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000464262499 383309906 /nfs/dbraw/zinc/30/99/06/383309906.db2.gz NHXMDZWBDWXGNR-GHMZBOCLSA-N 0 0 276.336 2.992 20 5 CFBDRN CC(C)OC(=O)[C@@H](NCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000449513705 383348289 /nfs/dbraw/zinc/34/82/89/383348289.db2.gz WNUVDBQOOJFRLW-AWEZNQCLSA-N 0 0 294.351 2.661 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000339790379 383318651 /nfs/dbraw/zinc/31/86/51/383318651.db2.gz ATZYKAABURZCFP-CMPLNLGQSA-N 0 0 294.326 2.829 20 5 CFBDRN C[C@H](C(=O)N(C)c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000521990933 383319422 /nfs/dbraw/zinc/31/94/22/383319422.db2.gz AUXDJMQRFRGUTD-NSHDSACASA-N 0 0 285.303 2.756 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCC(C)(C)C2=O)c1 ZINC000567848947 383321058 /nfs/dbraw/zinc/32/10/58/383321058.db2.gz GGICQWAUNPDNOW-NSHDSACASA-N 0 0 279.292 2.740 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000524877541 383388787 /nfs/dbraw/zinc/38/87/87/383388787.db2.gz YCWWFGSFESRFLD-QWRGUYRKSA-N 0 0 277.324 2.535 20 5 CFBDRN Cc1nc(NCC23CCC(CC2)C3)ncc1[N+](=O)[O-] ZINC000450410834 383397253 /nfs/dbraw/zinc/39/72/53/383397253.db2.gz ZJWORAVHCZOEQE-UHFFFAOYSA-N 0 0 262.313 2.685 20 5 CFBDRN Cc1nc(N[C@@H](c2cccnc2)C2CC2)ncc1[N+](=O)[O-] ZINC000450412959 383398080 /nfs/dbraw/zinc/39/80/80/383398080.db2.gz RZLCLCGYCHJXBV-CYBMUJFWSA-N 0 0 285.307 2.651 20 5 CFBDRN COc1cc(NCc2oc(C)nc2C)c([N+](=O)[O-])cc1F ZINC000425255118 383350712 /nfs/dbraw/zinc/35/07/12/383350712.db2.gz PBRMQSFZFVHAPT-UHFFFAOYSA-N 0 0 295.270 2.959 20 5 CFBDRN Cc1nn(C)c(N[C@H]2C[C@@]2(F)c2ccccc2)c1[N+](=O)[O-] ZINC000425269460 383353996 /nfs/dbraw/zinc/35/39/96/383353996.db2.gz CFGSIBDPGBJIFV-SMDDNHRTSA-N 0 0 290.298 2.686 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]1C1CCC1 ZINC000425271602 383354880 /nfs/dbraw/zinc/35/48/80/383354880.db2.gz ISSOAKCIOGFGHQ-SMDDNHRTSA-N 0 0 289.335 2.555 20 5 CFBDRN CCOC(=O)c1cc(N[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000425271811 383355419 /nfs/dbraw/zinc/35/54/19/383355419.db2.gz JOJWLRJHPOYWOS-LBPRGKRZSA-N 0 0 276.292 2.736 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@H]1C1CCC1 ZINC000425274918 383357097 /nfs/dbraw/zinc/35/70/97/383357097.db2.gz RYDGBQOCONZCEC-WDEREUQCSA-N 0 0 264.329 2.720 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(CC(F)F)C2)c(Cl)c1 ZINC000425281932 383359057 /nfs/dbraw/zinc/35/90/57/383359057.db2.gz JWQOHWMQNHOTIJ-UHFFFAOYSA-N 0 0 277.658 2.735 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCO[C@H](C)C1 ZINC000568107497 383360182 /nfs/dbraw/zinc/36/01/82/383360182.db2.gz OTZIMLSKNGMTJZ-GHMZBOCLSA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@H]1CCC[C@H](CCNC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000523731839 383361118 /nfs/dbraw/zinc/36/11/18/383361118.db2.gz DARUVMWDFQHZLE-NWDGAFQWSA-N 0 0 293.367 2.880 20 5 CFBDRN C[C@H](CNc1ccncc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000340151320 383362953 /nfs/dbraw/zinc/36/29/53/383362953.db2.gz XFKDMJFSDJHTBV-SNVBAGLBSA-N 0 0 275.283 2.766 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2cc(F)c(F)cc21 ZINC000340152049 383363004 /nfs/dbraw/zinc/36/30/04/383363004.db2.gz KCVHBXHPAQGMRR-UHFFFAOYSA-N 0 0 277.230 2.962 20 5 CFBDRN Cc1c(C(=O)N2CCC=C(C)C2)cccc1[N+](=O)[O-] ZINC000280685965 194928636 /nfs/dbraw/zinc/92/86/36/194928636.db2.gz NWXZDKGEGMZTBX-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCC[C@H](C2CC2)C1 ZINC000425312208 383365261 /nfs/dbraw/zinc/36/52/61/383365261.db2.gz YUQWSTLDPMJYBP-WDEREUQCSA-N 0 0 264.329 2.709 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1 ZINC000340177814 383371163 /nfs/dbraw/zinc/37/11/63/383371163.db2.gz IRBVKURVNQFZTR-NSHDSACASA-N 0 0 287.319 2.799 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2Cc1nnc(C2CC2)o1 ZINC000524679296 383377909 /nfs/dbraw/zinc/37/79/09/383377909.db2.gz VURBRZIMWHAKHG-UHFFFAOYSA-N 0 0 284.275 2.858 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@H](F)C1 ZINC000568643930 383422825 /nfs/dbraw/zinc/42/28/25/383422825.db2.gz UPKFHVSOVGVEBU-QMMMGPOBSA-N 0 0 286.690 2.822 20 5 CFBDRN CSc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000340457373 383424715 /nfs/dbraw/zinc/42/47/15/383424715.db2.gz BTNUARHAXOKZGP-UHFFFAOYSA-N 0 0 277.305 2.897 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000450471484 383424854 /nfs/dbraw/zinc/42/48/54/383424854.db2.gz VZTDQKHEZSCDBU-CMPLNLGQSA-N 0 0 292.335 2.911 20 5 CFBDRN Cc1oncc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000526082791 383427762 /nfs/dbraw/zinc/42/77/62/383427762.db2.gz NTJUFQAHMWWBHN-SECBINFHSA-N 0 0 261.281 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@H]3[C@@H]4CCC[C@@H]43)nc2c1 ZINC000450482737 383429573 /nfs/dbraw/zinc/42/95/73/383429573.db2.gz HUVNMZRTUGLKMJ-GDGBQDQQSA-N 0 0 258.281 2.682 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000252739446 383432450 /nfs/dbraw/zinc/43/24/50/383432450.db2.gz IPCVFWPUQIKTHV-MGCOHNPYSA-N 0 0 266.345 2.881 20 5 CFBDRN O=C(NCc1ccccc1Cl)c1ccc([N+](=O)[O-])[nH]1 ZINC000340480965 383437396 /nfs/dbraw/zinc/43/73/96/383437396.db2.gz YLOCDVMKAHGYQP-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCC2)c1ccc([N+](=O)[O-])[nH]1 ZINC000340478218 383437570 /nfs/dbraw/zinc/43/75/70/383437570.db2.gz BCZLYVWLIJTJLD-UHFFFAOYSA-N 0 0 271.276 2.664 20 5 CFBDRN Cc1nc(NC(C)(C)C(C)(C)C)ncc1[N+](=O)[O-] ZINC000450540642 383453557 /nfs/dbraw/zinc/45/35/57/383453557.db2.gz OKCCDWGDDKFHRV-UHFFFAOYSA-N 0 0 252.318 2.930 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCC(CF)CC3)c21 ZINC000450685676 383482240 /nfs/dbraw/zinc/48/22/40/383482240.db2.gz LPMRDILEIQZGBF-UHFFFAOYSA-N 0 0 290.298 2.724 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2NCC[C@H](C)F ZINC000450544937 383455336 /nfs/dbraw/zinc/45/53/36/383455336.db2.gz SRDFHHZMUWMSGQ-VIFPVBQESA-N 0 0 293.298 2.734 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCC2CCOCC2)n1 ZINC000450554577 383459212 /nfs/dbraw/zinc/45/92/12/383459212.db2.gz LOPUIRWQAVVHRL-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CC[C@@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)C(F)F ZINC000450564949 383463673 /nfs/dbraw/zinc/46/36/73/383463673.db2.gz JZMUJBKGIKNWAE-MRVPVSSYSA-N 0 0 299.277 2.935 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000340556024 383468951 /nfs/dbraw/zinc/46/89/51/383468951.db2.gz BZDTUOFKCJEQIV-LLVKDONJSA-N 0 0 278.308 2.618 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1CC1=CCCCC1 ZINC000450586246 383471416 /nfs/dbraw/zinc/47/14/16/383471416.db2.gz XHPRKDBHCCFURY-UHFFFAOYSA-N 0 0 285.303 2.805 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC1([S@@](C)=O)CCC1 ZINC000280729047 194947949 /nfs/dbraw/zinc/94/79/49/194947949.db2.gz JRAFYGJNHOZEIT-LJQANCHMSA-N 0 0 282.365 2.616 20 5 CFBDRN CCc1cnc(COc2c(Cl)cncc2[N+](=O)[O-])o1 ZINC000568612062 383419368 /nfs/dbraw/zinc/41/93/68/383419368.db2.gz YJQUGKPTUHGRRG-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN Cc1cc(N2CCC[C@H]2[C@H]2CCCOC2)ncc1[N+](=O)[O-] ZINC000568624971 383420542 /nfs/dbraw/zinc/42/05/42/383420542.db2.gz DJEQESHXIOKDIX-STQMWFEESA-N 0 0 291.351 2.694 20 5 CFBDRN CCc1cc(CCCn2cc([N+](=O)[O-])c(C3CC3)n2)on1 ZINC000450909174 383545711 /nfs/dbraw/zinc/54/57/11/383545711.db2.gz WCDSZSRZZLTRJC-UHFFFAOYSA-N 0 0 290.323 2.852 20 5 CFBDRN CC(C)n1ccc(CNc2ccccc2[N+](=O)[O-])n1 ZINC000569291136 383483901 /nfs/dbraw/zinc/48/39/01/383483901.db2.gz UKOOYQGZAKZUTJ-UHFFFAOYSA-N 0 0 260.297 2.984 20 5 CFBDRN COc1c2ccccc2oc1CNc1ccncc1[N+](=O)[O-] ZINC000340918189 383504106 /nfs/dbraw/zinc/50/41/06/383504106.db2.gz ZOHJQNCXYGIGKK-UHFFFAOYSA-N 0 0 299.286 2.779 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC[C@@H](CO)C2)c(Cl)c1 ZINC000340954965 383509266 /nfs/dbraw/zinc/50/92/66/383509266.db2.gz AFEALRSDZMRUNL-NXEZZACHSA-N 0 0 299.758 2.854 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCC[C@H](CO)C2)n1 ZINC000340983201 383518465 /nfs/dbraw/zinc/51/84/65/383518465.db2.gz CJBLHDJGJBVPLZ-OLZOCXBDSA-N 0 0 293.367 2.817 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])c3cccnc23)COC1 ZINC000450849541 383520331 /nfs/dbraw/zinc/52/03/31/383520331.db2.gz WWIDNSAKZUBDFY-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])cc2COC)COC1 ZINC000450849542 383520810 /nfs/dbraw/zinc/52/08/10/383520810.db2.gz WWKMWLNUNIAREL-UHFFFAOYSA-N 0 0 280.324 2.580 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000450854518 383522174 /nfs/dbraw/zinc/52/21/74/383522174.db2.gz CVPSIJURRHOVTH-BXUZGUMPSA-N 0 0 289.335 2.526 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000450857106 383524128 /nfs/dbraw/zinc/52/41/28/383524128.db2.gz IJMFSIXOGJUFFD-QWRGUYRKSA-N 0 0 267.329 2.568 20 5 CFBDRN COc1cccc([C@H]2C[C@H](Nc3ccncc3[N+](=O)[O-])C2)c1 ZINC000450857254 383524523 /nfs/dbraw/zinc/52/45/23/383524523.db2.gz QNSZTNLTNVLRIM-JOCQHMNTSA-N 0 0 299.330 2.778 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@H](C2CC2)C1 ZINC000341067394 383552738 /nfs/dbraw/zinc/55/27/38/383552738.db2.gz QHLDKMBXDQSSOH-LBPRGKRZSA-N 0 0 278.356 2.746 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341010732 383528121 /nfs/dbraw/zinc/52/81/21/383528121.db2.gz HQGHVAUTTXOQTR-RYUDHWBXSA-N 0 0 293.367 2.871 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000569727194 383532222 /nfs/dbraw/zinc/53/22/22/383532222.db2.gz KTZFMAIRAVRALJ-DTWKUNHWSA-N 0 0 298.368 2.513 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@]3(CCOC3)C2)n1 ZINC000450883200 383533913 /nfs/dbraw/zinc/53/39/13/383533913.db2.gz POAFZCRFECDWIZ-HNNXBMFYSA-N 0 0 291.351 2.614 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccc(C(F)(F)F)cc1 ZINC000341028411 383536161 /nfs/dbraw/zinc/53/61/61/383536161.db2.gz FCBPFCMFGAKKNI-UHFFFAOYSA-N 0 0 271.198 2.858 20 5 CFBDRN COC(=O)C1(CSc2ccc([N+](=O)[O-])cn2)CCCC1 ZINC000450889119 383537056 /nfs/dbraw/zinc/53/70/56/383537056.db2.gz BXBSSQQQHHJJPQ-UHFFFAOYSA-N 0 0 296.348 2.815 20 5 CFBDRN O=[N+]([O-])c1ccc(SCC[C@@H]2CCOC2)nc1 ZINC000450891574 383537814 /nfs/dbraw/zinc/53/78/14/383537814.db2.gz KJLOBEODWAQYKL-VIFPVBQESA-N 0 0 254.311 2.509 20 5 CFBDRN O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccoc1 ZINC000569847662 383543042 /nfs/dbraw/zinc/54/30/42/383543042.db2.gz GSDXXVFKEICMIP-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN Cc1noc([C@@H](C)N(C)Cc2csc([N+](=O)[O-])c2)n1 ZINC000569862872 383544294 /nfs/dbraw/zinc/54/42/94/383544294.db2.gz KTCPOMHFGCCLCT-SSDOTTSWSA-N 0 0 282.325 2.541 20 5 CFBDRN CC(C)(C(=O)NCc1ccccn1)c1ccccc1[N+](=O)[O-] ZINC000429913111 383576778 /nfs/dbraw/zinc/57/67/78/383576778.db2.gz ZBVNBQGLJJDHMC-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)[C@@H]1CC1(C)C ZINC000489375233 383611570 /nfs/dbraw/zinc/61/15/70/383611570.db2.gz GYLNAFSVGBRAIU-GFCCVEGCSA-N 0 0 280.299 2.533 20 5 CFBDRN CN(C(=O)CSc1ccccc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000489375249 383611752 /nfs/dbraw/zinc/61/17/52/383611752.db2.gz GZRQIOGXCCXVIR-LBPRGKRZSA-N 0 0 294.376 2.944 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC(F)(F)C1 ZINC000488985012 383588995 /nfs/dbraw/zinc/58/89/95/383588995.db2.gz UAWKEVOQLCKHHU-VIFPVBQESA-N 0 0 299.277 2.606 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Cn1cc([N+](=O)[O-])cc(F)c1=O ZINC000570529683 383612400 /nfs/dbraw/zinc/61/24/00/383612400.db2.gz XYSJRKSCAKTHAL-NXEZZACHSA-N 0 0 268.288 2.722 20 5 CFBDRN CCC[C@H](C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000489271348 383602668 /nfs/dbraw/zinc/60/26/68/383602668.db2.gz QKKLGWZEQBITDY-RYUDHWBXSA-N 0 0 293.367 2.974 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2CCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000280835672 194995273 /nfs/dbraw/zinc/99/52/73/194995273.db2.gz ZNKJBJKXWMOQOG-BXUZGUMPSA-N 0 0 278.308 2.513 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCCC1(O)CCC1 ZINC000570529801 383612874 /nfs/dbraw/zinc/61/28/74/383612874.db2.gz WTCBWRABPCDTII-UHFFFAOYSA-N 0 0 264.325 2.929 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@@H](CCCO)C1 ZINC000450949545 383564511 /nfs/dbraw/zinc/56/45/11/383564511.db2.gz AWVJISGKSACJHG-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN CC(C)C[C@@H](C(=O)[O-])[N@H+](C)Cc1ccc([N+](=O)[O-])cc1 ZINC000570099937 383570740 /nfs/dbraw/zinc/57/07/40/383570740.db2.gz DJHOVVQKHDUODX-ZDUSSCGKSA-N 0 0 280.324 2.526 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@](C)(OC)C1CC1 ZINC000570826746 383639153 /nfs/dbraw/zinc/63/91/53/383639153.db2.gz JLNDZWCICACKSH-CQSZACIVSA-N 0 0 280.324 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H]2CCCN(Cc3ncccn3)C2)cc1 ZINC000451553626 383644675 /nfs/dbraw/zinc/64/46/75/383644675.db2.gz YEYSDTNBHAEXHP-CQSZACIVSA-N 0 0 298.346 2.764 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2NC1(C(F)F)CC1 ZINC000570942570 383651215 /nfs/dbraw/zinc/65/12/15/383651215.db2.gz YHDFIRFWCKIYFE-SNVBAGLBSA-N 0 0 268.263 2.969 20 5 CFBDRN CC(F)(F)CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000571235727 383675844 /nfs/dbraw/zinc/67/58/44/383675844.db2.gz MXMAHWKETYUIJL-UHFFFAOYSA-N 0 0 299.277 2.554 20 5 CFBDRN COCC1(C)CN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000432941297 383751833 /nfs/dbraw/zinc/75/18/33/383751833.db2.gz XXWLGSNVOMWAKD-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000453001267 383762534 /nfs/dbraw/zinc/76/25/34/383762534.db2.gz PJZWUVRKXHHJMP-UWVGGRQHSA-N 0 0 266.297 2.744 20 5 CFBDRN CSCCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264619363 383763752 /nfs/dbraw/zinc/76/37/52/383763752.db2.gz LWHNARQNQOIKLZ-UHFFFAOYSA-N 0 0 265.294 2.550 20 5 CFBDRN Cc1nc(N2CC[C@H](C(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000572352839 383774521 /nfs/dbraw/zinc/77/45/21/383774521.db2.gz BSYVQRUTPQDLOR-JTQLQIEISA-N 0 0 264.329 2.566 20 5 CFBDRN O=C([O-])[C@H]1CCCC[N@@H+]1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000263431673 383665960 /nfs/dbraw/zinc/66/59/60/383665960.db2.gz SIEQQSNHDUPWRB-HZRUHFOJSA-N 0 0 290.319 2.547 20 5 CFBDRN COc1ccncc1CNc1ccc(C)cc1[N+](=O)[O-] ZINC000431407717 383668201 /nfs/dbraw/zinc/66/82/01/383668201.db2.gz QKCBCCHEVUNAGE-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000531023668 383811723 /nfs/dbraw/zinc/81/17/23/383811723.db2.gz SAAHLENRPVEDSI-CYBMUJFWSA-N 0 0 291.351 2.782 20 5 CFBDRN CC[C@H](OC)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000264988165 383812371 /nfs/dbraw/zinc/81/23/71/383812371.db2.gz JAPBNVGIEAWKJY-JTQLQIEISA-N 0 0 263.253 2.742 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H](O)CC12CCC2 ZINC000572748257 383813844 /nfs/dbraw/zinc/81/38/44/383813844.db2.gz XXAGTOQEBCJSKL-NSHDSACASA-N 0 0 280.299 2.618 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000265008925 383815440 /nfs/dbraw/zinc/81/54/40/383815440.db2.gz OCQZSHDDJRXBJV-UHFFFAOYSA-N 0 0 250.298 2.855 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000436425811 383816503 /nfs/dbraw/zinc/81/65/03/383816503.db2.gz UKHPHHOUSIRCHI-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CSCCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000265040218 383820747 /nfs/dbraw/zinc/82/07/47/383820747.db2.gz YIRQRCNCYGPGEX-UHFFFAOYSA-N 0 0 265.294 2.550 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000531131250 383823370 /nfs/dbraw/zinc/82/33/70/383823370.db2.gz JLEJEPZBBVNZFB-ZYHUDNBSSA-N 0 0 293.367 2.878 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2CC23CC3)c1[N+](=O)[O-] ZINC000424972684 533011431 /nfs/dbraw/zinc/01/14/31/533011431.db2.gz QFMBDPQLUGGQLP-JTQLQIEISA-N 0 0 278.333 2.599 20 5 CFBDRN CS[C@H]1CCN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000572455257 383782683 /nfs/dbraw/zinc/78/26/83/383782683.db2.gz WJBIOEOJJKVSFI-GWCFXTLKSA-N 0 0 294.376 2.662 20 5 CFBDRN CNc1nc(-c2nc([C@H](C)C3CC3)no2)ccc1[N+](=O)[O-] ZINC000453142607 383782911 /nfs/dbraw/zinc/78/29/11/383782911.db2.gz MEWYALWNXADTBY-SSDOTTSWSA-N 0 0 289.295 2.595 20 5 CFBDRN CN(C)c1ccccc1Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000436184470 383785955 /nfs/dbraw/zinc/78/59/55/383785955.db2.gz CHESXHBJLXQYOW-UHFFFAOYSA-N 0 0 286.335 2.783 20 5 CFBDRN CC(C)C[C@@H](C)Cn1cnc2ccc([N+](=O)[O-])cc2c1=O ZINC000280985885 195057196 /nfs/dbraw/zinc/05/71/96/195057196.db2.gz MCCCCNHSOKZWDK-LLVKDONJSA-N 0 0 289.335 2.987 20 5 CFBDRN Cc1nc2ccccn2c1Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000436213113 383789236 /nfs/dbraw/zinc/78/92/36/383789236.db2.gz WZNZLHNTLHOIOU-UHFFFAOYSA-N 0 0 297.318 2.673 20 5 CFBDRN Cc1nn(C)c(C)c1N[C@H](C)Cc1ccccc1[N+](=O)[O-] ZINC000453176045 383793211 /nfs/dbraw/zinc/79/32/11/383793211.db2.gz CDZJVKWHBBRIAM-SNVBAGLBSA-N 0 0 288.351 2.988 20 5 CFBDRN C[C@@H]1CC[N@H+](CCn2cc([N+](=O)[O-])cn2)[C@@H]2CCCC[C@H]12 ZINC000530865468 383798677 /nfs/dbraw/zinc/79/86/77/383798677.db2.gz PWJWOPZCGGDZBJ-BPLDGKMQSA-N 0 0 292.383 2.692 20 5 CFBDRN CC[C@@](C)(NC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000531332129 383851493 /nfs/dbraw/zinc/85/14/93/383851493.db2.gz PFSDBMPSAYAAQZ-SECBINFHSA-N 0 0 280.202 2.649 20 5 CFBDRN COCC1(CCNc2ccc([N+](=O)[O-])cc2)CC1 ZINC000573319984 383909506 /nfs/dbraw/zinc/90/95/06/383909506.db2.gz FLUGKPUAEIXHGB-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCc1nccs1 ZINC000265436912 383915351 /nfs/dbraw/zinc/91/53/51/383915351.db2.gz HAONAEAIXGORPW-UHFFFAOYSA-N 0 0 278.337 2.799 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@H](C)[C@H](C)O1 ZINC000437919195 383921408 /nfs/dbraw/zinc/92/14/08/383921408.db2.gz UKCKBMYFGPHAEQ-MXWKQRLJSA-N 0 0 293.323 2.624 20 5 CFBDRN CCC(CC)CC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000531587199 383892513 /nfs/dbraw/zinc/89/25/13/383892513.db2.gz DLIJKUQQVCKVQL-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN CC(C)[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531628509 383900115 /nfs/dbraw/zinc/90/01/15/383900115.db2.gz AIBSYRUEEHLMLR-CHWSQXEVSA-N 0 0 291.351 2.934 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531633619 383901810 /nfs/dbraw/zinc/90/18/10/383901810.db2.gz KQNVXXWDPZJTFK-JTQLQIEISA-N 0 0 265.313 2.544 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)COC(C)C ZINC000265369809 383902293 /nfs/dbraw/zinc/90/22/93/383902293.db2.gz FLMJHRPTGVYPFT-UHFFFAOYSA-N 0 0 287.699 2.887 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000532138377 383982429 /nfs/dbraw/zinc/98/24/29/383982429.db2.gz HARLCBIKIGWANU-LBPRGKRZSA-N 0 0 299.330 2.866 20 5 CFBDRN Cc1c(NC(=O)NC[C@H]2CC[C@H](C)O2)cccc1[N+](=O)[O-] ZINC000454197667 383937747 /nfs/dbraw/zinc/93/77/47/383937747.db2.gz KPAWAGFGNGMSRC-GXSJLCMTSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000265529245 383940447 /nfs/dbraw/zinc/94/04/47/383940447.db2.gz NFQWJZUIZFUMPP-MRVPVSSYSA-N 0 0 255.705 2.880 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000265549004 383943516 /nfs/dbraw/zinc/94/35/16/383943516.db2.gz JENSBAXTAQCMPE-SECBINFHSA-N 0 0 282.727 2.659 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532388445 384022076 /nfs/dbraw/zinc/02/20/76/384022076.db2.gz XKOVDQMLUHCHGN-MNOVXSKESA-N 0 0 277.324 2.641 20 5 CFBDRN C[N@H+](Cc1nc(-c2cccc([N+](=O)[O-])c2)no1)C(C)(C)C ZINC000573918148 384011731 /nfs/dbraw/zinc/01/17/31/384011731.db2.gz PSNRSOUSFNYFKV-UHFFFAOYSA-N 0 0 290.323 2.875 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@H](C)C1 ZINC000265989156 384046087 /nfs/dbraw/zinc/04/60/87/384046087.db2.gz HVWFMXIBQQGEEA-NSHDSACASA-N 0 0 264.325 2.978 20 5 CFBDRN O=C(CC1CCCC1)NCCc1ccccc1[N+](=O)[O-] ZINC000266004655 384050320 /nfs/dbraw/zinc/05/03/20/384050320.db2.gz ASXQRNHKUPFUPU-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cc1c(CN(C)Cc2cccc([N+](=O)[O-])c2C)cnn1C ZINC000574030435 384030600 /nfs/dbraw/zinc/03/06/00/384030600.db2.gz LHRNJYRDBORRAM-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000265934361 384032253 /nfs/dbraw/zinc/03/22/53/384032253.db2.gz AYPXXVJKFZIGIK-UHFFFAOYSA-N 0 0 290.241 2.596 20 5 CFBDRN O=[N+]([O-])c1c(NC(CF)CF)ccc2ncccc21 ZINC000574367604 384085145 /nfs/dbraw/zinc/08/51/45/384085145.db2.gz BBWJFMGTMWSYQA-UHFFFAOYSA-N 0 0 267.235 2.863 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2CCCC2)c1 ZINC000266015472 384054692 /nfs/dbraw/zinc/05/46/92/384054692.db2.gz PSHWMYSTHADIKK-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CC1(NC(=O)Cc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000266034948 384059283 /nfs/dbraw/zinc/05/92/83/384059283.db2.gz NJQPABQEIMWSPZ-UHFFFAOYSA-N 0 0 262.309 2.586 20 5 CFBDRN Cc1ccc(CC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000532801370 384073410 /nfs/dbraw/zinc/07/34/10/384073410.db2.gz LQVHZMOUEYGXHY-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN Cc1noc(CCCOc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000266084774 384074415 /nfs/dbraw/zinc/07/44/15/384074415.db2.gz GUCLSQXMBRJDIX-UHFFFAOYSA-N 0 0 277.280 2.606 20 5 CFBDRN CCC(C)(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266094394 384077723 /nfs/dbraw/zinc/07/77/23/384077723.db2.gz ZXQXAULAFUXSJZ-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN C[C@@H](NC(=O)C1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000440570388 384105526 /nfs/dbraw/zinc/10/55/26/384105526.db2.gz IIPOXAHPQFLFMV-MRVPVSSYSA-N 0 0 284.262 2.817 20 5 CFBDRN Cc1nnsc1COc1cccc([N+](=O)[O-])c1C ZINC000574509156 384106142 /nfs/dbraw/zinc/10/61/42/384106142.db2.gz DEFJXDYDHITZPB-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN C[C@H](NC(=O)N(C)CC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000455089850 384137340 /nfs/dbraw/zinc/13/73/40/384137340.db2.gz DZOMOXCWKRQIOC-QMMMGPOBSA-N 0 0 287.266 2.562 20 5 CFBDRN CC(C)(C)C(C)(C)CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000455040498 384121057 /nfs/dbraw/zinc/12/10/57/384121057.db2.gz MXIXEQJHZDRGEO-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN COCCCOC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000266293382 384137397 /nfs/dbraw/zinc/13/73/97/384137397.db2.gz XERSMJYEKJXOKT-UHFFFAOYSA-N 0 0 294.351 2.617 20 5 CFBDRN Cc1cnc(NC[C@@H](c2ccco2)[NH+](C)C)c([N+](=O)[O-])c1 ZINC000266233733 384123419 /nfs/dbraw/zinc/12/34/19/384123419.db2.gz VCZXOSVCJVZLSB-LBPRGKRZSA-N 0 0 290.323 2.606 20 5 CFBDRN C[C@@H](C(=O)NC(C)(C)CF)c1cccc([N+](=O)[O-])c1 ZINC000569771666 322495127 /nfs/dbraw/zinc/49/51/27/322495127.db2.gz VCBNKQHFYXNCSC-SECBINFHSA-N 0 0 268.288 2.563 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCOC2)cc1OC(F)F ZINC000266329822 384150074 /nfs/dbraw/zinc/15/00/74/384150074.db2.gz GRCKPYYPEDKPFO-MRVPVSSYSA-N 0 0 288.250 2.645 20 5 CFBDRN CCOC(=O)CCCCOc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000574771081 384144921 /nfs/dbraw/zinc/14/49/21/384144921.db2.gz QMUXWEKZEVSBDH-UHFFFAOYSA-N 0 0 296.323 2.719 20 5 CFBDRN COc1cc(N[C@H](C)c2ccn(C)n2)ccc1[N+](=O)[O-] ZINC000413463187 533039710 /nfs/dbraw/zinc/03/97/10/533039710.db2.gz RETLJPJICIFPAC-SECBINFHSA-N 0 0 276.296 2.510 20 5 CFBDRN COc1cc(N[C@H]2CC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000310977787 533040867 /nfs/dbraw/zinc/04/08/67/533040867.db2.gz XFWPZNGLBHJCRG-APPZFPTMSA-N 0 0 254.261 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCOC2)cc1OC(F)F ZINC000266411038 384170014 /nfs/dbraw/zinc/17/00/14/384170014.db2.gz QOTCGUFZZDPVCG-VIFPVBQESA-N 0 0 288.250 2.787 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(-c2nc(-c3ncc[nH]3)no2)c1 ZINC000349762896 285088254 /nfs/dbraw/zinc/08/82/54/285088254.db2.gz GNSFOPLODWQRQD-UHFFFAOYSA-N 0 0 291.654 2.688 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CCS1 ZINC000266458532 384179119 /nfs/dbraw/zinc/17/91/19/384179119.db2.gz ZBVAHGYGTRXVLP-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H]3OCCC[C@H]3C2)c(F)c1 ZINC000301792393 285093870 /nfs/dbraw/zinc/09/38/70/285093870.db2.gz OSGCXFHBQKNPHE-ZANVPECISA-N 0 0 298.289 2.878 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCCSC1 ZINC000153899868 285093176 /nfs/dbraw/zinc/09/31/76/285093176.db2.gz YVUFICLGGLYRAK-QMMMGPOBSA-N 0 0 273.745 2.951 20 5 CFBDRN O=C(/C=C/C1CC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000266677765 384220457 /nfs/dbraw/zinc/22/04/57/384220457.db2.gz RCVRXBHSPKDJAM-ZZXKWVIFSA-N 0 0 250.229 2.639 20 5 CFBDRN C[C@H](NC(=O)CC(C)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000277191796 384227981 /nfs/dbraw/zinc/22/79/81/384227981.db2.gz HXAPESUVBWUIMM-QMMMGPOBSA-N 0 0 272.251 2.817 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC(C3CCC3)C2)s1 ZINC000343257831 285098514 /nfs/dbraw/zinc/09/85/14/285098514.db2.gz ZIHVOUUMRSDLFH-UHFFFAOYSA-N 0 0 290.348 2.836 20 5 CFBDRN C[C@@H]1CN(C(=O)COc2ccc([N+](=O)[O-])cc2)C(C)(C)C1 ZINC000266880221 384252254 /nfs/dbraw/zinc/25/22/54/384252254.db2.gz AFJJKLXMGKVOTP-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1ccc(NCC[S@](=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000267005655 384277470 /nfs/dbraw/zinc/27/74/70/384277470.db2.gz GOPQEPAMCVKHPS-IBGZPJMESA-N 0 0 284.381 2.862 20 5 CFBDRN CCOc1cccc(N[C@@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC000277315817 384264914 /nfs/dbraw/zinc/26/49/14/384264914.db2.gz BPTTXWALMIQREA-GHMZBOCLSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCc1cnn(C)c1 ZINC000266945444 384267599 /nfs/dbraw/zinc/26/75/99/384267599.db2.gz CNOMPUUNFIXKOD-UHFFFAOYSA-N 0 0 274.324 2.681 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1ccc(N(C)C)nc1 ZINC000266967742 384271965 /nfs/dbraw/zinc/27/19/65/384271965.db2.gz KMMUDPDPMYLRBD-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCCSC2)c1[N+](=O)[O-] ZINC000267194920 384320176 /nfs/dbraw/zinc/32/01/76/384320176.db2.gz CAMMWIWANSVVIS-JTQLQIEISA-N 0 0 280.349 2.529 20 5 CFBDRN CC[C@@H](C)c1nnc([C@H](C)Nc2ncccc2[N+](=O)[O-])[nH]1 ZINC000277501875 384320901 /nfs/dbraw/zinc/32/09/01/384320901.db2.gz VJMHGORMFSGBBX-BDAKNGLRSA-N 0 0 290.327 2.795 20 5 CFBDRN Cc1ccnc(NC[C@@]2(C)CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000267049882 384287848 /nfs/dbraw/zinc/28/78/48/384287848.db2.gz FCRHYURMWXAXQK-SMDDNHRTSA-N 0 0 279.340 2.651 20 5 CFBDRN CCCN(CC(C)(C)O)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000267073248 384292745 /nfs/dbraw/zinc/29/27/45/384292745.db2.gz IHJKYEAGDFNZRK-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2C[C@H]3CCCC[C@H]32)s1 ZINC000370675808 285100229 /nfs/dbraw/zinc/10/02/29/285100229.db2.gz HCYSEZWDWIGKKO-PSASIEDQSA-N 0 0 290.348 2.978 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CCOC[C@H]1C ZINC000277437141 384301283 /nfs/dbraw/zinc/30/12/83/384301283.db2.gz JCJMOPWRPJJBGL-MFKMUULPSA-N 0 0 280.324 2.578 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCSC1 ZINC000267248078 384335129 /nfs/dbraw/zinc/33/51/29/384335129.db2.gz LSMSKRSMFUCMJT-JTQLQIEISA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000267322625 384358958 /nfs/dbraw/zinc/35/89/58/384358958.db2.gz HMCCKGAQONXTAH-SNVBAGLBSA-N 0 0 295.364 2.920 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(C3CCOCC3)no2)cc1 ZINC000113752103 285104962 /nfs/dbraw/zinc/10/49/62/285104962.db2.gz QDGCTZHPCFULOS-UHFFFAOYSA-N 0 0 275.264 2.539 20 5 CFBDRN O=C(NC1CCC1)c1ccc(Br)cc1[N+](=O)[O-] ZINC000267443858 384392783 /nfs/dbraw/zinc/39/27/83/384392783.db2.gz COXMBMWKLTXBQS-UHFFFAOYSA-N 0 0 299.124 2.640 20 5 CFBDRN C[C@H]1[C@H](c2ccccc2)CCN1c1c([N+](=O)[O-])ncn1C ZINC000267525423 384408044 /nfs/dbraw/zinc/40/80/44/384408044.db2.gz HXDVVJVMTRMJDN-WCQYABFASA-N 0 0 286.335 2.711 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(N3CCC[C@@H](F)C3)n2)cc1 ZINC000357681594 285106199 /nfs/dbraw/zinc/10/61/99/285106199.db2.gz PZXDZAWSFKKMQA-SNVBAGLBSA-N 0 0 292.270 2.583 20 5 CFBDRN CCn1nc(NC(=O)c2ccc([N+](=O)[O-])o2)cc1C1CC1 ZINC000267409519 384383865 /nfs/dbraw/zinc/38/38/65/384383865.db2.gz OVYULVLMSVODCB-UHFFFAOYSA-N 0 0 290.279 2.534 20 5 CFBDRN CNC(=O)C(C)(C)CNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000277722320 384387111 /nfs/dbraw/zinc/38/71/11/384387111.db2.gz GIEBIMXAKOVUEC-UHFFFAOYSA-N 0 0 299.758 2.741 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1(C)CCC1 ZINC000267427328 384388885 /nfs/dbraw/zinc/38/88/85/384388885.db2.gz UIROGWBRURMBCJ-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN CC(C)[C@H]1OCCC[C@@H]1CNc1ncccc1[N+](=O)[O-] ZINC000267648809 384432615 /nfs/dbraw/zinc/43/26/15/384432615.db2.gz LWOVOBWXIWARIV-DGCLKSJQSA-N 0 0 279.340 2.853 20 5 CFBDRN C[C@@H]1CC[N@H+](Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000267646524 384433342 /nfs/dbraw/zinc/43/33/42/384433342.db2.gz WOWIDZLXQKSGPA-SECBINFHSA-N 0 0 261.281 2.578 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1CC(C)C1 ZINC000267684595 384443003 /nfs/dbraw/zinc/44/30/03/384443003.db2.gz FNZMUYXHJSSUQL-UHFFFAOYSA-N 0 0 263.297 2.823 20 5 CFBDRN CO[C@H]1CCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000267797421 384467587 /nfs/dbraw/zinc/46/75/87/384467587.db2.gz WQVCFKVAYWKCJJ-VIFPVBQESA-N 0 0 299.714 2.501 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1C[C@@H]1C1CC1 ZINC000347970327 220288564 /nfs/dbraw/zinc/28/85/64/220288564.db2.gz RPFVLFJTDFDUFH-VXGBXAGGSA-N 0 0 264.256 2.719 20 5 CFBDRN Cc1cc(N[C@H](C)CO[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000267870169 384480785 /nfs/dbraw/zinc/48/07/85/384480785.db2.gz LLSZTHGMGYEUAF-DGCLKSJQSA-N 0 0 280.324 2.509 20 5 CFBDRN CSCc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278210885 384499803 /nfs/dbraw/zinc/49/98/03/384499803.db2.gz QGAWNZNLJIXGIK-UHFFFAOYSA-N 0 0 257.296 2.569 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCC(C)(C)O1)c1cccc([N+](=O)[O-])c1 ZINC000278262052 384511789 /nfs/dbraw/zinc/51/17/89/384511789.db2.gz NXAXRIPQWPXOQT-MFKMUULPSA-N 0 0 292.335 2.730 20 5 CFBDRN COCCSCCCOc1ccc([N+](=O)[O-])cc1F ZINC000278182040 384493717 /nfs/dbraw/zinc/49/37/17/384493717.db2.gz CODIFFDQXDHHPQ-UHFFFAOYSA-N 0 0 289.328 2.882 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1ccc([N+](=O)[O-])cc1 ZINC000268113777 384534867 /nfs/dbraw/zinc/53/48/67/384534867.db2.gz FSTRRLPIXQCLPL-DGCLKSJQSA-N 0 0 264.325 2.594 20 5 CFBDRN COc1cc(NC[C@@H]2CCCOC2)c([N+](=O)[O-])cc1F ZINC000268117192 384535725 /nfs/dbraw/zinc/53/57/25/384535725.db2.gz ABFOJHHJQRJQSF-VIFPVBQESA-N 0 0 284.287 2.581 20 5 CFBDRN CC[C@H](C)CC(=O)NCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278263427 384512308 /nfs/dbraw/zinc/51/23/08/384512308.db2.gz YJMHNAVCGUOUKW-VIFPVBQESA-N 0 0 290.323 2.524 20 5 CFBDRN C[C@H](Nc1ncnc2cccc([N+](=O)[O-])c21)c1ccon1 ZINC000353279874 220305632 /nfs/dbraw/zinc/30/56/32/220305632.db2.gz YOWRSBKDOLPSHF-QMMMGPOBSA-N 0 0 285.263 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(F)C2)nc1-c1ccc(F)cc1 ZINC000362360927 285120450 /nfs/dbraw/zinc/12/04/50/285120450.db2.gz WINBZZPIRYZXSP-UHFFFAOYSA-N 0 0 291.257 2.954 20 5 CFBDRN CCOC[C@H]1CCN(c2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000268141980 384543753 /nfs/dbraw/zinc/54/37/53/384543753.db2.gz CLYVJXRPCMYIEA-JTQLQIEISA-N 0 0 298.314 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCN(c3ccccc3)CC2)cn1 ZINC000339032674 285122791 /nfs/dbraw/zinc/12/27/91/285122791.db2.gz BOIODRMVLXTRIY-UHFFFAOYSA-N 0 0 298.346 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@H]3CCOC3)CC2)c(F)c1 ZINC000378651910 285121548 /nfs/dbraw/zinc/12/15/48/285121548.db2.gz OZCSKPMZMPWAAA-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN CO[C@H](C)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000359946420 220317107 /nfs/dbraw/zinc/31/71/07/220317107.db2.gz JYALSCADBKNJNI-SSDOTTSWSA-N 0 0 269.688 2.633 20 5 CFBDRN COc1cc(NCC2(C)CCOCC2)c([N+](=O)[O-])cc1F ZINC000268226984 384571841 /nfs/dbraw/zinc/57/18/41/384571841.db2.gz UIIYMVDDVNDGFL-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H](C3CCC3)C2)cc1 ZINC000292421403 285126893 /nfs/dbraw/zinc/12/68/93/285126893.db2.gz WLKUKSHEVUVBJQ-ZDUSSCGKSA-N 0 0 276.336 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCCC3(CC3)C1)CCN2 ZINC000278440179 384575230 /nfs/dbraw/zinc/57/52/30/384575230.db2.gz QMSQDCPWDZTDDG-UHFFFAOYSA-N 0 0 273.336 2.943 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC2(CC(C)C)CCC2)c1[N+](=O)[O-] ZINC000268235694 384576175 /nfs/dbraw/zinc/57/61/75/384576175.db2.gz MJTLCHJOSIKCCV-UHFFFAOYSA-N 0 0 294.355 2.573 20 5 CFBDRN Cc1cnc(N2CC[C@H](OCC(C)C)C2)c([N+](=O)[O-])c1 ZINC000268269776 384586127 /nfs/dbraw/zinc/58/61/27/384586127.db2.gz NCQQHLRHDMSNED-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](OCC(C)C)C2)c1[N+](=O)[O-] ZINC000268272671 384587620 /nfs/dbraw/zinc/58/76/20/384587620.db2.gz ODHOBLBVAROMFS-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN CC(C)(C)c1nnc(CNc2ccc([N+](=O)[O-])cn2)s1 ZINC000268208879 384564655 /nfs/dbraw/zinc/56/46/55/384564655.db2.gz PWPNHAZROOWULK-UHFFFAOYSA-N 0 0 293.352 2.751 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H]3C[C@H]32)cc1C(F)(F)F ZINC000368036877 285127522 /nfs/dbraw/zinc/12/75/22/285127522.db2.gz AXIQFUHRFCHGME-MNOVXSKESA-N 0 0 288.225 2.591 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000279303125 384610112 /nfs/dbraw/zinc/61/01/12/384610112.db2.gz BYVCQBAWESTGQW-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000278726003 384595229 /nfs/dbraw/zinc/59/52/29/384595229.db2.gz HSYOIBAPAWFMTD-LDYMZIIASA-N 0 0 283.303 2.686 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2C)O1 ZINC000268316441 384600362 /nfs/dbraw/zinc/60/03/62/384600362.db2.gz JHQIMNXNINIYRF-AAEUAGOBSA-N 0 0 278.308 2.799 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000279663340 384713251 /nfs/dbraw/zinc/71/32/51/384713251.db2.gz CTSLCXTYKSXBSY-JQWIXIFHSA-N 0 0 284.381 2.782 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000279852295 384787929 /nfs/dbraw/zinc/78/79/29/384787929.db2.gz CKLUTMYUDVAXBF-ZDUSSCGKSA-N 0 0 298.364 2.688 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000269579948 384793150 /nfs/dbraw/zinc/79/31/50/384793150.db2.gz PMENNMSQICHXIK-JTQLQIEISA-N 0 0 266.297 2.514 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)NCCC1(C)CC1 ZINC000279922797 384813628 /nfs/dbraw/zinc/81/36/28/384813628.db2.gz FYXGLBCPAMJCNL-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCCc1ccccc1F ZINC000280093786 384882246 /nfs/dbraw/zinc/88/22/46/384882246.db2.gz AFUSQYFDCORPGJ-UHFFFAOYSA-N 0 0 278.287 2.512 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@@H](C)OC[C@H]2C)c([N+](=O)[O-])c1 ZINC000279996144 384843731 /nfs/dbraw/zinc/84/37/31/384843731.db2.gz HHYFVGGUHHGPTN-GHMZBOCLSA-N 0 0 292.335 2.801 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCC1(C)CC1 ZINC000280173028 384914934 /nfs/dbraw/zinc/91/49/34/384914934.db2.gz XRDFRZBQPAKUTG-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1ccnc(NCC(C)(C)[C@H](O)C(C)C)c1[N+](=O)[O-] ZINC000269979728 384928848 /nfs/dbraw/zinc/92/88/48/384928848.db2.gz ZWPYRYONIJVTBG-GFCCVEGCSA-N 0 0 281.356 2.753 20 5 CFBDRN COc1cc(N2CCC[C@@](C)(OC)C2)ccc1[N+](=O)[O-] ZINC000280215371 384931198 /nfs/dbraw/zinc/93/11/98/384931198.db2.gz GUPOIHFIXLRXGL-CQSZACIVSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1ccc(OC[C@@H](O)CCC(C)C)cc1[N+](=O)[O-] ZINC000280224322 384933221 /nfs/dbraw/zinc/93/32/21/384933221.db2.gz GQJCDVNYEYXKCJ-NSHDSACASA-N 0 0 283.324 2.779 20 5 CFBDRN COc1cc(OCc2nn(C)cc2Cl)ccc1[N+](=O)[O-] ZINC000421843408 533096277 /nfs/dbraw/zinc/09/62/77/533096277.db2.gz QKZOUUAMUVHBFA-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN C[C@H](c1cccs1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000280240559 384939142 /nfs/dbraw/zinc/93/91/42/384939142.db2.gz NJSXCBLBSXRSMA-MRVPVSSYSA-N 0 0 266.326 2.587 20 5 CFBDRN COc1ccc(C(=O)N2CCC(C)=C(C)C2)cc1[N+](=O)[O-] ZINC000280304129 384966649 /nfs/dbraw/zinc/96/66/49/384966649.db2.gz WCPUBKWKLCLZDO-UHFFFAOYSA-N 0 0 290.319 2.786 20 5 CFBDRN CC(F)(F)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000289252605 197276185 /nfs/dbraw/zinc/27/61/85/197276185.db2.gz PUBYPBZFUWOXNM-UHFFFAOYSA-N 0 0 286.278 2.689 20 5 CFBDRN CC1(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)CCC1 ZINC000270134155 384988873 /nfs/dbraw/zinc/98/88/73/384988873.db2.gz PEGDNYGYHANQSF-UHFFFAOYSA-N 0 0 297.742 2.990 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](CO)CC1CCC1 ZINC000280362175 384990788 /nfs/dbraw/zinc/99/07/88/384990788.db2.gz XTHZKWUINCROID-NSHDSACASA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@H]2CCSC2)c1 ZINC000270170150 385002795 /nfs/dbraw/zinc/00/27/95/385002795.db2.gz LBNJLMXXWNEXQD-JTQLQIEISA-N 0 0 252.339 2.845 20 5 CFBDRN CC(F)(F)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000289259885 197278143 /nfs/dbraw/zinc/27/81/43/197278143.db2.gz QJLPFKQFJNYVQH-UHFFFAOYSA-N 0 0 278.642 2.520 20 5 CFBDRN CC1=CCCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000280583721 385072319 /nfs/dbraw/zinc/07/23/19/385072319.db2.gz ALTQZLNLOCMBSG-UHFFFAOYSA-N 0 0 274.320 2.706 20 5 CFBDRN CCC(=O)c1ccc(N(C)C[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000280735171 385133673 /nfs/dbraw/zinc/13/36/73/385133673.db2.gz NRKJVTMVHHXOMQ-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC1([S@@](C)=O)CCC1 ZINC000280735794 385134178 /nfs/dbraw/zinc/13/41/78/385134178.db2.gz KLCSJRGYXHVUEX-LJQANCHMSA-N 0 0 282.365 2.616 20 5 CFBDRN CSCCCN(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000281422695 385196195 /nfs/dbraw/zinc/19/61/95/385196195.db2.gz XTFBIWMTUGCZGB-UHFFFAOYSA-N 0 0 286.328 2.559 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H](C)C1 ZINC000281578348 385197510 /nfs/dbraw/zinc/19/75/10/385197510.db2.gz FQMSLZCJRPQEBR-MWLCHTKSSA-N 0 0 295.295 2.507 20 5 CFBDRN CCC(=O)c1ccc(NCc2c[nH]nc2C)c([N+](=O)[O-])c1 ZINC000280789263 385154686 /nfs/dbraw/zinc/15/46/86/385154686.db2.gz UKNPQMUUINVZAC-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CCCN(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000282090754 385209373 /nfs/dbraw/zinc/20/93/73/385209373.db2.gz YKVMEYWLKXIULW-UHFFFAOYSA-N 0 0 281.312 2.867 20 5 CFBDRN CCC(=O)CNC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000270757557 385216157 /nfs/dbraw/zinc/21/61/57/385216157.db2.gz LAQABIKWRSLFKY-UHFFFAOYSA-N 0 0 292.335 2.601 20 5 CFBDRN Cc1ccnc(N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n1 ZINC000270784957 385225840 /nfs/dbraw/zinc/22/58/40/385225840.db2.gz PUHTWLVVIJANSA-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN Cc1cc(C)cc([C@H](O)CNc2ncc([N+](=O)[O-])s2)c1 ZINC000282191731 385241944 /nfs/dbraw/zinc/24/19/44/385241944.db2.gz HFXLYBFCMFHTMQ-LLVKDONJSA-N 0 0 293.348 2.814 20 5 CFBDRN CC(C)c1noc(N(C)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000282198923 385243297 /nfs/dbraw/zinc/24/32/97/385243297.db2.gz HWPLCMPSIPWPHT-UHFFFAOYSA-N 0 0 290.323 2.780 20 5 CFBDRN Cn1cc2c(n1)CCC[C@H]2NCc1csc([N+](=O)[O-])c1 ZINC000282421067 385306644 /nfs/dbraw/zinc/30/66/44/385306644.db2.gz BPJUAVANGJJDOJ-LLVKDONJSA-N 0 0 292.364 2.557 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2O[C@H](C)C[C@H]2C)c([N+](=O)[O-])c1 ZINC000282471038 385320451 /nfs/dbraw/zinc/32/04/51/385320451.db2.gz HVIUASXJSRMHJF-JTNHKYCSSA-N 0 0 293.319 2.931 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000282283577 385270450 /nfs/dbraw/zinc/27/04/50/385270450.db2.gz BKFSJPLDSZNWBY-MWODSPESSA-N 0 0 296.298 2.794 20 5 CFBDRN CC(C)(C)n1ncnc1COc1ccccc1[N+](=O)[O-] ZINC000282305204 385279467 /nfs/dbraw/zinc/27/94/67/385279467.db2.gz XQKBESWVEAOTCM-UHFFFAOYSA-N 0 0 276.296 2.520 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@H](CC(F)(F)F)C2)c1 ZINC000282304886 385279692 /nfs/dbraw/zinc/27/96/92/385279692.db2.gz RVMLRWPFESIKCM-MRVPVSSYSA-N 0 0 289.257 2.956 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN=c1[nH]c2c(cccc2F)o1 ZINC000362573627 285160832 /nfs/dbraw/zinc/16/08/32/285160832.db2.gz VKCFDUGPCXPXJA-UHFFFAOYSA-N 0 0 287.250 2.909 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@]1(C)CCCO1 ZINC000282397229 385300544 /nfs/dbraw/zinc/30/05/44/385300544.db2.gz RVQQSFCKIFYIKC-CYBMUJFWSA-N 0 0 282.271 2.550 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2O[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000282527000 385334888 /nfs/dbraw/zinc/33/48/88/385334888.db2.gz LLMOMGSSTRXVDJ-GIPNMCIBSA-N 0 0 278.308 2.655 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H]1c1ncc[nH]1 ZINC000364039336 285162648 /nfs/dbraw/zinc/16/26/48/285162648.db2.gz XOXRJGQHSYTTMA-LBPRGKRZSA-N 0 0 258.281 2.659 20 5 CFBDRN Cc1cc(F)c(NC(=O)CC2CC2)cc1[N+](=O)[O-] ZINC000282534968 385336012 /nfs/dbraw/zinc/33/60/12/385336012.db2.gz VUYOHXMICUQUNE-UHFFFAOYSA-N 0 0 252.245 2.781 20 5 CFBDRN O=C(NC[C@@H]1CCCC(F)(F)C1)c1ccc([N+](=O)[O-])o1 ZINC000282563416 385342129 /nfs/dbraw/zinc/34/21/29/385342129.db2.gz FSPCURJXZZMNIV-MRVPVSSYSA-N 0 0 288.250 2.743 20 5 CFBDRN COc1cc(N(C)CC2CC2)c(F)cc1[N+](=O)[O-] ZINC000271124539 385342947 /nfs/dbraw/zinc/34/29/47/385342947.db2.gz ZKPQIUIMGDEBPF-UHFFFAOYSA-N 0 0 254.261 2.589 20 5 CFBDRN Cc1cccc(CCCNC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000289024768 197216981 /nfs/dbraw/zinc/21/69/81/197216981.db2.gz KLZWJTYPSHGUAH-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1CCCC1 ZINC000289019633 197215272 /nfs/dbraw/zinc/21/52/72/197215272.db2.gz KBBMSSMMJLKBLM-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000282630274 385357818 /nfs/dbraw/zinc/35/78/18/385357818.db2.gz KLIIITIRDDCIMZ-UWVGGRQHSA-N 0 0 299.710 2.973 20 5 CFBDRN COC(C)(C)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000282656039 385363213 /nfs/dbraw/zinc/36/32/13/385363213.db2.gz NDAZYFXFSWYJGV-UHFFFAOYSA-N 0 0 263.249 2.949 20 5 CFBDRN Cc1cccc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000289053140 197222125 /nfs/dbraw/zinc/22/21/25/197222125.db2.gz NFCKXCGSCGHTBT-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN COc1ccc(CN[C@H](C)c2cc(C)on2)cc1[N+](=O)[O-] ZINC000282833447 385396520 /nfs/dbraw/zinc/39/65/20/385396520.db2.gz ZLORBWGSHGARTQ-SNVBAGLBSA-N 0 0 291.307 2.751 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000282865040 385402122 /nfs/dbraw/zinc/40/21/22/385402122.db2.gz BSEZWKVNVKGRAI-SSDOTTSWSA-N 0 0 272.688 2.612 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000271948496 385409015 /nfs/dbraw/zinc/40/90/15/385409015.db2.gz BDNFPPBQUVVEIJ-KCJUWKMLSA-N 0 0 298.364 2.652 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1COc2ccccc2[C@H]1O ZINC000377193216 285164664 /nfs/dbraw/zinc/16/46/64/285164664.db2.gz QDNIWHWHDMXBLI-IUODEOHRSA-N 0 0 286.287 2.501 20 5 CFBDRN CO[C@H](C)c1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000426187752 533117455 /nfs/dbraw/zinc/11/74/55/533117455.db2.gz CYKKGFWCMORXAL-ZCFIWIBFSA-N 0 0 253.283 2.754 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000271982527 385419304 /nfs/dbraw/zinc/41/93/04/385419304.db2.gz OVMLOHFZJNXRQS-MWLCHTKSSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000272107124 385449379 /nfs/dbraw/zinc/44/93/79/385449379.db2.gz IDRFZFNALHZCQC-RISCZKNCSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283503701 385470526 /nfs/dbraw/zinc/47/05/26/385470526.db2.gz PDAXSKAAYJIYBZ-LBPRGKRZSA-N 0 0 295.314 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)[C@H]1CCCCO1 ZINC000272225874 385480168 /nfs/dbraw/zinc/48/01/68/385480168.db2.gz WSSYXUUIGXLYFM-SMDDNHRTSA-N 0 0 292.335 2.591 20 5 CFBDRN CCCCN(C)c1nnc(-c2ccc([N+](=O)[O-])cc2)n1C ZINC000283637244 385484059 /nfs/dbraw/zinc/48/40/59/385484059.db2.gz XHKMKUCNLRGGSR-UHFFFAOYSA-N 0 0 289.339 2.627 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)NCC1CCCCC1 ZINC000283638177 385484148 /nfs/dbraw/zinc/48/41/48/385484148.db2.gz PWIVPMLRSNZMGK-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN CC[C@H]1CCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000272160755 385463498 /nfs/dbraw/zinc/46/34/98/385463498.db2.gz NUFNZTNKIDAPBB-JTQLQIEISA-N 0 0 298.289 2.945 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2C2CCC2)c(F)c1 ZINC000408473192 285173699 /nfs/dbraw/zinc/17/36/99/285173699.db2.gz ZLNZRWNCNPJNBP-LBPRGKRZSA-N 0 0 265.288 2.898 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2cccs2)nc1 ZINC000273619237 285173703 /nfs/dbraw/zinc/17/37/03/285173703.db2.gz OHFDXZKAQUWCDF-JTQLQIEISA-N 0 0 276.321 2.788 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)[C@H]2CCCCO2)c1 ZINC000272272721 385495128 /nfs/dbraw/zinc/49/51/28/385495128.db2.gz NPSXMBKOQMJTLX-SMDDNHRTSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272275745 385496207 /nfs/dbraw/zinc/49/62/07/385496207.db2.gz XLVLJTMKTLHZDO-NSHDSACASA-N 0 0 296.273 2.912 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272283759 385498543 /nfs/dbraw/zinc/49/85/43/385498543.db2.gz YSLUCMUOJYFTCT-JOYOIKCWSA-N 0 0 298.289 2.991 20 5 CFBDRN COCC1(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)CCC1 ZINC000283903424 385512797 /nfs/dbraw/zinc/51/27/97/385512797.db2.gz OVIFHYBSLGGKKT-UHFFFAOYSA-N 0 0 292.335 2.967 20 5 CFBDRN CCc1c[nH]c(Sc2c([N+](=O)[O-])nc(C)n2CC)n1 ZINC000288846071 197177798 /nfs/dbraw/zinc/17/77/98/197177798.db2.gz KBNPUGCXHLVPDV-UHFFFAOYSA-N 0 0 281.341 2.556 20 5 CFBDRN Cc1noc(C(C)(C)NCc2ccc([N+](=O)[O-])cc2C)n1 ZINC000284002718 385523868 /nfs/dbraw/zinc/52/38/68/385523868.db2.gz MOWPOMDEPGYDAP-UHFFFAOYSA-N 0 0 290.323 2.620 20 5 CFBDRN Cc1cc(CN(C)Cc2c(F)cc([N+](=O)[O-])cc2F)no1 ZINC000284003050 385524039 /nfs/dbraw/zinc/52/40/39/385524039.db2.gz JYXNOFFYEQOZKD-UHFFFAOYSA-N 0 0 297.261 2.801 20 5 CFBDRN Cc1cc(NCc2nnc(C(C)C)n2C)ccc1[N+](=O)[O-] ZINC000272497095 385552790 /nfs/dbraw/zinc/55/27/90/385552790.db2.gz KZUWNJJLBOOREP-UHFFFAOYSA-N 0 0 289.339 2.767 20 5 CFBDRN CS[C@@H](C)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286244304 385577044 /nfs/dbraw/zinc/57/70/44/385577044.db2.gz OVVFGEQTRUSNBM-LURJTMIESA-N 0 0 284.366 2.763 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc3ncsc3c2)nc1 ZINC000302163253 285183929 /nfs/dbraw/zinc/18/39/29/285183929.db2.gz QDRJEAAXDCKRNA-UHFFFAOYSA-N 0 0 273.277 2.738 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2c(F)cccc2[N+](=O)[O-])n[nH]1 ZINC000286187641 385568693 /nfs/dbraw/zinc/56/86/93/385568693.db2.gz LKBZPPDAVKWNFD-MRVPVSSYSA-N 0 0 278.287 2.809 20 5 CFBDRN CCn1cc(CNc2ccc([N+](=O)[O-])cc2C(C)=O)cn1 ZINC000286193811 385570095 /nfs/dbraw/zinc/57/00/95/385570095.db2.gz AQRDGEHEFGOIED-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272715971 385595749 /nfs/dbraw/zinc/59/57/49/385595749.db2.gz LUFAOXADDVXORU-UWVGGRQHSA-N 0 0 278.312 2.690 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288756164 197159171 /nfs/dbraw/zinc/15/91/71/197159171.db2.gz IJYQTDOHFFIBAL-SMDDNHRTSA-N 0 0 277.324 2.635 20 5 CFBDRN COCC[C@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000286261091 385579126 /nfs/dbraw/zinc/57/91/26/385579126.db2.gz NDFBSGTUHJDQSS-VIFPVBQESA-N 0 0 266.297 2.634 20 5 CFBDRN CCC1(CNC(=O)Nc2ccncc2[N+](=O)[O-])CCC1 ZINC000272630761 385579595 /nfs/dbraw/zinc/57/95/95/385579595.db2.gz AGNOKYWJABHKEP-UHFFFAOYSA-N 0 0 278.312 2.692 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000272662078 385584324 /nfs/dbraw/zinc/58/43/24/385584324.db2.gz HAQBHSGGSYVEJA-WCQYABFASA-N 0 0 293.319 2.762 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1ccn(C)n1 ZINC000272703226 385591880 /nfs/dbraw/zinc/59/18/80/385591880.db2.gz GSRFRZOQFZITPT-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1cc(NC(=O)[C@@]2(C)CCCOC2)ccc1[N+](=O)[O-] ZINC000272811700 385621834 /nfs/dbraw/zinc/62/18/34/385621834.db2.gz WCZMIOXYDHCETH-AWEZNQCLSA-N 0 0 278.308 2.658 20 5 CFBDRN COc1ccc(NC(=O)C=C2CCC2)cc1[N+](=O)[O-] ZINC000272810676 385621949 /nfs/dbraw/zinc/62/19/49/385621949.db2.gz NAVVUVOYNFYVEW-UHFFFAOYSA-N 0 0 262.265 2.652 20 5 CFBDRN CN(Cc1ccon1)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000272816108 385623003 /nfs/dbraw/zinc/62/30/03/385623003.db2.gz OFNNYMUQOPXQJJ-UHFFFAOYSA-N 0 0 281.699 2.868 20 5 CFBDRN CN(C(=O)[C@@H]1CCCCS1)c1cccc([N+](=O)[O-])c1 ZINC000272837339 385629449 /nfs/dbraw/zinc/62/94/49/385629449.db2.gz IYROAIZCFNKVQH-LBPRGKRZSA-N 0 0 280.349 2.843 20 5 CFBDRN CO[C@@H]1[C@H]2C[C@H]3CN(c4ccc([N+](=O)[O-])c(C)c4)[C@H]1[C@H]3C2 ZINC000286490189 385633915 /nfs/dbraw/zinc/63/39/15/385633915.db2.gz XHFUOEOLCUIOBA-GSJWJAIFSA-N 0 0 288.347 2.763 20 5 CFBDRN Cc1ccc(CN2CCC=C(F)C2)cc1[N+](=O)[O-] ZINC000272765295 385607707 /nfs/dbraw/zinc/60/77/07/385607707.db2.gz LYSLSGJTSXPPHC-UHFFFAOYSA-N 0 0 250.273 2.962 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@@H]2CCCc3ccccc32)c1 ZINC000108954055 285190383 /nfs/dbraw/zinc/19/03/83/285190383.db2.gz PHUKIGUZKTVBNP-LBPRGKRZSA-N 0 0 257.293 2.911 20 5 CFBDRN Cc1cc(N(C)C(=O)CCc2cccc([N+](=O)[O-])c2)ccn1 ZINC000272890174 385641761 /nfs/dbraw/zinc/64/17/61/385641761.db2.gz NMGAOLQIILJSNK-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000286544500 385644691 /nfs/dbraw/zinc/64/46/91/385644691.db2.gz PSNSJRKNPYWPFK-ZJUUUORDSA-N 0 0 268.288 2.961 20 5 CFBDRN CC[C@@H](C)CCC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288696652 197147161 /nfs/dbraw/zinc/14/71/61/197147161.db2.gz DNXKVVONGRTBAD-SNVBAGLBSA-N 0 0 265.313 2.779 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@@H]1CCCCS1 ZINC000272918531 385652101 /nfs/dbraw/zinc/65/21/01/385652101.db2.gz FMVBRIYZZPPFRK-NSHDSACASA-N 0 0 284.312 2.958 20 5 CFBDRN C[C@@H](CCO)C1(CNc2ccc(N)cc2[N+](=O)[O-])CCC1 ZINC000286581153 385656852 /nfs/dbraw/zinc/65/68/52/385656852.db2.gz SPCSCBODHQQUMK-NSHDSACASA-N 0 0 293.367 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(CCCO)CC2)c(F)c1 ZINC000272939982 385660652 /nfs/dbraw/zinc/66/06/52/385660652.db2.gz VEYNZFFXBSLRQO-UHFFFAOYSA-N 0 0 282.315 2.723 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC1(C)C ZINC000286650183 385677198 /nfs/dbraw/zinc/67/71/98/385677198.db2.gz IIQWPOONSUQPRW-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(O)CCC2)c(Cl)c1 ZINC000286750731 385702482 /nfs/dbraw/zinc/70/24/82/385702482.db2.gz OMXWFPMISKTQLW-UHFFFAOYSA-N 0 0 270.716 2.965 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCC[C@H](C)CO ZINC000286612939 385666351 /nfs/dbraw/zinc/66/63/51/385666351.db2.gz VMCNEMHYGYUFJY-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN CO[C@H](C)CN(C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000286623691 385667623 /nfs/dbraw/zinc/66/76/23/385667623.db2.gz UUNOLFNFZAVIDP-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC2(C)C)c1 ZINC000286974341 385754218 /nfs/dbraw/zinc/75/42/18/385754218.db2.gz GJXHHONOMXAHGD-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN O=C(NC[C@H]1CCCSC1)Nc1cccc([N+](=O)[O-])c1 ZINC000287010413 385760676 /nfs/dbraw/zinc/76/06/76/385760676.db2.gz QGCKROJHCFPYMS-SNVBAGLBSA-N 0 0 295.364 2.860 20 5 CFBDRN CCOC1CC(N(C)C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000287045758 385769733 /nfs/dbraw/zinc/76/97/33/385769733.db2.gz SKCBNCMJCVGWNO-UHFFFAOYSA-N 0 0 293.323 2.626 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2c(C)cccc2[N+](=O)[O-])[C@@H]1OC ZINC000275041063 385918914 /nfs/dbraw/zinc/91/89/14/385918914.db2.gz YINLHUQNMQNMEP-QKCSRTOESA-N 0 0 280.324 2.508 20 5 CFBDRN CSC[C@@H](O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000275042859 385919609 /nfs/dbraw/zinc/91/96/09/385919609.db2.gz SMHLPKCAYWBFAK-JTQLQIEISA-N 0 0 293.344 2.851 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@@H]2CCO)c1 ZINC000275068987 385926092 /nfs/dbraw/zinc/92/60/92/385926092.db2.gz YSRDKGSPPPFERE-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN CCC(=O)[C@@H]1CCCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000274773665 385868160 /nfs/dbraw/zinc/86/81/60/385868160.db2.gz IOKLTBICKHVXTB-JTQLQIEISA-N 0 0 296.348 2.630 20 5 CFBDRN CC(C)C[C@H]1OCCC[C@@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000274785134 385870785 /nfs/dbraw/zinc/87/07/85/385870785.db2.gz PZXKGLURMBIQJX-QWHCGFSZSA-N 0 0 279.340 2.995 20 5 CFBDRN CC(C)C[C@@H]1OCCC[C@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000274785131 385871298 /nfs/dbraw/zinc/87/12/98/385871298.db2.gz PZXKGLURMBIQJX-OLZOCXBDSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1cccc(N(C)C[C@@H]2CCC[C@@H]2O)c1[N+](=O)[O-] ZINC000275447876 385976419 /nfs/dbraw/zinc/97/64/19/385976419.db2.gz LFPAHPUZXRRTJZ-AAEUAGOBSA-N 0 0 264.325 2.500 20 5 CFBDRN C[C@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCS1 ZINC000275354503 385976560 /nfs/dbraw/zinc/97/65/60/385976560.db2.gz KKBVZDBBLNYBGM-VIFPVBQESA-N 0 0 279.365 2.505 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H]2CCCC(C)(C)C2)c1[N+](=O)[O-] ZINC000275924967 385980233 /nfs/dbraw/zinc/98/02/33/385980233.db2.gz BICJISBGYPBYHO-SECBINFHSA-N 0 0 294.355 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCOC[C@H]1C1CC1 ZINC000276165071 385983021 /nfs/dbraw/zinc/98/30/21/385983021.db2.gz BTFSZRUSYBFAPR-ZDUSSCGKSA-N 0 0 282.727 2.863 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCC(=O)[C@@H](C)C1 ZINC000276537581 385989349 /nfs/dbraw/zinc/98/93/49/385989349.db2.gz ILOSYEANIUFTCW-NWDGAFQWSA-N 0 0 276.336 2.875 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276612100 385992628 /nfs/dbraw/zinc/99/26/28/385992628.db2.gz LUQGBTDLQRYMGG-JDGPPOGSSA-N 0 0 287.319 2.882 20 5 CFBDRN C[C@@H](Nc1nc2ccccc2cc1[N+](=O)[O-])[C@H](C)CO ZINC000276648924 386003653 /nfs/dbraw/zinc/00/36/53/386003653.db2.gz QOLJTBYUKVWZPB-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000288519617 197101022 /nfs/dbraw/zinc/10/10/22/197101022.db2.gz RFAYOKCDSNFORL-GFCCVEGCSA-N 0 0 278.308 2.778 20 5 CFBDRN CCc1nn(C)c(NCCC=C(C)C)c1[N+](=O)[O-] ZINC000276821570 386052735 /nfs/dbraw/zinc/05/27/35/386052735.db2.gz UFOUDHJMHBSWRP-UHFFFAOYSA-N 0 0 252.318 2.659 20 5 CFBDRN CCc1ccc(C(=O)NC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000277002025 386104534 /nfs/dbraw/zinc/10/45/34/386104534.db2.gz KALNQBOYXHORAZ-UHFFFAOYSA-N 0 0 284.262 2.685 20 5 CFBDRN COC(=O)c1cc(NCCOC(C)C)c(C)c([N+](=O)[O-])c1 ZINC000277015065 386108207 /nfs/dbraw/zinc/10/82/07/386108207.db2.gz WDHQNFNXHASMKA-UHFFFAOYSA-N 0 0 296.323 2.527 20 5 CFBDRN CC(C)C[C@H](C[NH2+]Cc1ccc([N+](=O)[O-])cc1)CC(=O)[O-] ZINC000277080310 386124229 /nfs/dbraw/zinc/12/42/29/386124229.db2.gz WKTQCHSFLDVSJB-ZDUSSCGKSA-N 0 0 294.351 2.821 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149521760 296405034 /nfs/dbraw/zinc/40/50/34/296405034.db2.gz VAJFHCPWKBGNSZ-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@@H](CF)NCc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000288451356 197082583 /nfs/dbraw/zinc/08/25/83/197082583.db2.gz MXVNULVCCBKMEQ-ZETCQYMHSA-N 0 0 278.230 2.644 20 5 CFBDRN C[C@H](CF)NCc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000288451353 197082103 /nfs/dbraw/zinc/08/21/03/197082103.db2.gz MXVNULVCCBKMEQ-SSDOTTSWSA-N 0 0 278.230 2.644 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1SC[C@@H]1CCCO1 ZINC000276870390 386065193 /nfs/dbraw/zinc/06/51/93/386065193.db2.gz HLFMERSQJOCVOF-JTQLQIEISA-N 0 0 254.311 2.569 20 5 CFBDRN Cc1noc(CCCOc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000288439516 197078421 /nfs/dbraw/zinc/07/84/21/197078421.db2.gz NZEPIGOMGCDSKW-UHFFFAOYSA-N 0 0 297.698 2.951 20 5 CFBDRN CCOc1cc(CN2C[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000276971008 386095941 /nfs/dbraw/zinc/09/59/41/386095941.db2.gz WWRFFMLRTAPEKZ-TXEJJXNPSA-N 0 0 294.351 2.603 20 5 CFBDRN COC(=O)/C=C/c1ccc(N[C@@H](C)CF)c([N+](=O)[O-])c1 ZINC000288377113 197063384 /nfs/dbraw/zinc/06/33/84/197063384.db2.gz YZWHDCZNGKRFKU-DNQSNQRASA-N 0 0 282.271 2.551 20 5 CFBDRN CCn1nc(C)c(Nc2c([N+](=O)[O-])nc(C)n2CC)c1C ZINC000288319429 197050826 /nfs/dbraw/zinc/05/08/26/197050826.db2.gz DASVVYYKPHFMGM-UHFFFAOYSA-N 0 0 292.343 2.696 20 5 CFBDRN CCc1nn(C)c(Nc2ccc(F)c(OC)c2)c1[N+](=O)[O-] ZINC000288290605 197045138 /nfs/dbraw/zinc/04/51/38/197045138.db2.gz BKBLBEUQPWWISK-UHFFFAOYSA-N 0 0 294.286 2.782 20 5 CFBDRN CCc1nn(C)c(Oc2cc(F)cc(F)c2)c1[N+](=O)[O-] ZINC000288084690 197001747 /nfs/dbraw/zinc/00/17/47/197001747.db2.gz IFGDCHYUOLUNPJ-UHFFFAOYSA-N 0 0 283.234 2.961 20 5 CFBDRN O=c1oc2ccccc2n1Cc1ccccc1[N+](=O)[O-] ZINC000014376000 285230023 /nfs/dbraw/zinc/23/00/23/285230023.db2.gz KETDRFNVXWSKHP-UHFFFAOYSA-N 0 0 270.244 2.551 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCc1csc(C)n1 ZINC000288110861 197005576 /nfs/dbraw/zinc/00/55/76/197005576.db2.gz KRQDFIWGNMNFQG-UHFFFAOYSA-N 0 0 295.368 2.539 20 5 CFBDRN C[C@@H](CF)Nc1ncc([N+](=O)[O-])cc1Br ZINC000288101952 197005301 /nfs/dbraw/zinc/00/53/01/197005301.db2.gz AWLAGPYGIWQYDY-YFKPBYRVSA-N 0 0 278.081 2.522 20 5 CFBDRN COC[C@@H]1CCCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000364141388 285261233 /nfs/dbraw/zinc/26/12/33/285261233.db2.gz HWZGBTQOUGTIQB-GFCCVEGCSA-N 0 0 264.325 2.848 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[N@H+](C)CCCSC ZINC000281238802 195162713 /nfs/dbraw/zinc/16/27/13/195162713.db2.gz CUBPEIYFZYRPIV-UHFFFAOYSA-N 0 0 284.381 2.788 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NC2CC(C)C2)c1 ZINC000281438485 195239578 /nfs/dbraw/zinc/23/95/78/195239578.db2.gz DLGLVAVWZDQHPE-UHFFFAOYSA-N 0 0 292.335 2.587 20 5 CFBDRN CN(CCNc1c(F)cccc1[N+](=O)[O-])CC(F)(F)F ZINC000287200165 196801896 /nfs/dbraw/zinc/80/18/96/196801896.db2.gz HZOPCYPXWQEDTG-UHFFFAOYSA-N 0 0 295.236 2.640 20 5 CFBDRN CC(C)(O)CCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000081676462 177839681 /nfs/dbraw/zinc/83/96/81/177839681.db2.gz OLVRNYOXWAHWTM-UHFFFAOYSA-N 0 0 259.689 2.788 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1ccoc1C ZINC000414338065 533223292 /nfs/dbraw/zinc/22/32/92/533223292.db2.gz YDPFOEFCNANIET-UHFFFAOYSA-N 0 0 276.292 2.795 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1=CCCC1 ZINC000177752246 533224870 /nfs/dbraw/zinc/22/48/70/533224870.db2.gz JQBVWGBTFFQRIS-UHFFFAOYSA-N 0 0 262.265 2.652 20 5 CFBDRN CCOc1cc(N[C@@](C)(CC)CO)ccc1[N+](=O)[O-] ZINC000080882354 177722122 /nfs/dbraw/zinc/72/21/22/177722122.db2.gz LUEQCUHPJHCCTH-ZDUSSCGKSA-N 0 0 268.313 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1nccs1 ZINC000161185024 533228854 /nfs/dbraw/zinc/22/88/54/533228854.db2.gz BKYXCONGRIXGHE-UHFFFAOYSA-N 0 0 266.278 2.639 20 5 CFBDRN CC(C)C[C@H](CO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214730402 260044672 /nfs/dbraw/zinc/04/46/72/260044672.db2.gz TXWQCDYEMZPWMM-LLVKDONJSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1c(Cl)c2ccccc2nc1NCC1COC1 ZINC001154634274 781993536 /nfs/dbraw/zinc/99/35/36/781993536.db2.gz PKQWILRORHVFJC-UHFFFAOYSA-N 0 0 293.710 2.855 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2)CCCO1 ZINC000281715843 195348915 /nfs/dbraw/zinc/34/89/15/195348915.db2.gz BUWQIBOBVDVXPF-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000281729792 195354330 /nfs/dbraw/zinc/35/43/30/195354330.db2.gz FVVBZYYLOIPLJN-BXKDBHETSA-N 0 0 280.349 2.602 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000281800216 195387416 /nfs/dbraw/zinc/38/74/16/195387416.db2.gz GBWNIGLNSBXMOS-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@](C)(O)C(F)(F)F ZINC000281900096 195426083 /nfs/dbraw/zinc/42/60/83/195426083.db2.gz PDMCYZNIJMCETG-JTQLQIEISA-N 0 0 279.214 2.595 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)[C@H](C)C1 ZINC000281937067 195441627 /nfs/dbraw/zinc/44/16/27/195441627.db2.gz UHDCYQGJIDLDAE-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)(C)SCCNc1ncc([N+](=O)[O-])s1 ZINC000281974809 195458440 /nfs/dbraw/zinc/45/84/40/195458440.db2.gz RQRXHZRPZAFZMG-UHFFFAOYSA-N 0 0 261.372 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CSC1(C)C ZINC000282628523 195664751 /nfs/dbraw/zinc/66/47/51/195664751.db2.gz KAHWQFBTMQWVCU-LLVKDONJSA-N 0 0 295.364 2.919 20 5 CFBDRN CCCCN(C)C(=O)c1cc(OCC)ccc1[N+](=O)[O-] ZINC000282744387 195696237 /nfs/dbraw/zinc/69/62/37/195696237.db2.gz ZKXBDAACVNNFTR-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1N)c1ccccc1 ZINC000157267546 533299063 /nfs/dbraw/zinc/29/90/63/533299063.db2.gz ORMQNJFSMXYYGB-SNVBAGLBSA-N 0 0 285.303 2.668 20 5 CFBDRN CO[C@](C)([C@@H](C)Nc1ncc([N+](=O)[O-])s1)C1CC1 ZINC000413520476 533348253 /nfs/dbraw/zinc/34/82/53/533348253.db2.gz PSCWCRVMAFGASJ-RDDDGLTNSA-N 0 0 271.342 2.667 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000193969916 533415366 /nfs/dbraw/zinc/41/53/66/533415366.db2.gz XUVMNVSAXZSVAT-WDEREUQCSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ccn(C)n1 ZINC000414149691 533504201 /nfs/dbraw/zinc/50/42/01/533504201.db2.gz VAIVBRRFPCCXIU-WDEREUQCSA-N 0 0 274.324 2.740 20 5 CFBDRN C[C@H](C1CCC1)N(C)c1ncc([N+](=O)[O-])cc1F ZINC000413219686 533587610 /nfs/dbraw/zinc/58/76/10/533587610.db2.gz MVHWEMCFSPUBGC-MRVPVSSYSA-N 0 0 253.277 2.754 20 5 CFBDRN C[C@@H](CCCc1cccnc1)Nc1c([N+](=O)[O-])ncn1C ZINC000413404242 533567498 /nfs/dbraw/zinc/56/74/98/533567498.db2.gz XCIFQGXGSPBIBU-NSHDSACASA-N 0 0 289.339 2.547 20 5 CFBDRN COc1cccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])c1 ZINC000158282032 533614077 /nfs/dbraw/zinc/61/40/77/533614077.db2.gz DZVSGDOFMWCJPM-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1[nH]ccc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000175670568 533648872 /nfs/dbraw/zinc/64/88/72/533648872.db2.gz QKZDOAPERONEEK-VIFPVBQESA-N 0 0 273.292 2.722 20 5 CFBDRN CCC1(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000341772758 130009824 /nfs/dbraw/zinc/00/98/24/130009824.db2.gz OWGPLEKFNATPHN-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)c1ccon1 ZINC000341924274 130133968 /nfs/dbraw/zinc/13/39/68/130133968.db2.gz DYOCZYOBBHPQCY-SSDOTTSWSA-N 0 0 251.217 2.895 20 5 CFBDRN COc1cc(CN2CC[C@@](C)(F)C2)c([N+](=O)[O-])cc1OC ZINC000355350394 130285741 /nfs/dbraw/zinc/28/57/41/130285741.db2.gz BXHNWWOEFOKSCK-CQSZACIVSA-N 0 0 298.314 2.546 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000191731072 130289792 /nfs/dbraw/zinc/28/97/92/130289792.db2.gz OLDIVXWXVWPIAE-UHFFFAOYSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000355368204 130292729 /nfs/dbraw/zinc/29/27/29/130292729.db2.gz MSMQTDJATKXCKB-MPKXVKKWSA-N 0 0 290.319 2.575 20 5 CFBDRN CC(C)=CCNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000191751605 130296285 /nfs/dbraw/zinc/29/62/85/130296285.db2.gz MSJRFQXTLBKAFW-UHFFFAOYSA-N 0 0 268.700 2.944 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@H]1CCC2(CCCCC2)O1 ZINC000191803811 130306147 /nfs/dbraw/zinc/30/61/47/130306147.db2.gz FVIOJQYXXUMZKR-CYBMUJFWSA-N 0 0 292.335 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](COc3ccccc3)C2)cn1 ZINC000355575051 130317149 /nfs/dbraw/zinc/31/71/49/130317149.db2.gz MSDADIWSYVAJLZ-ZDUSSCGKSA-N 0 0 299.330 2.895 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)C[C@H]2CC[C@@H]1O2 ZINC000191851195 130318468 /nfs/dbraw/zinc/31/84/68/130318468.db2.gz UWMROUYDCHKVQF-OSAQELSMSA-N 0 0 290.319 2.799 20 5 CFBDRN Cc1[nH]nc(C(F)F)c1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000355613199 130320013 /nfs/dbraw/zinc/32/00/13/130320013.db2.gz HDKNVONDYDEJSL-UHFFFAOYSA-N 0 0 296.233 2.816 20 5 CFBDRN CC(C)(C)OC(=O)CCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000191910791 130327938 /nfs/dbraw/zinc/32/79/38/130327938.db2.gz NTOWDTFJMHLDGV-UHFFFAOYSA-N 0 0 294.307 2.655 20 5 CFBDRN CCN(C(=O)CSc1ccccc1[N+](=O)[O-])C(C)C ZINC000342148340 130339987 /nfs/dbraw/zinc/33/99/87/130339987.db2.gz KKSRRZVNHKQEGJ-UHFFFAOYSA-N 0 0 282.365 2.944 20 5 CFBDRN Cc1ccc(CN(CCO)c2ccccc2)cc1[N+](=O)[O-] ZINC000191969972 130342519 /nfs/dbraw/zinc/34/25/19/130342519.db2.gz IBIHANBPFNPOHK-UHFFFAOYSA-N 0 0 286.331 2.902 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)[C@H]1Cc2ccccc21 ZINC000356015862 130367966 /nfs/dbraw/zinc/36/79/66/130367966.db2.gz SLBDCFIRTVPYLJ-HNNXBMFYSA-N 0 0 283.283 2.883 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1cccc(C(F)F)c1 ZINC000356014895 130368542 /nfs/dbraw/zinc/36/85/42/130368542.db2.gz QMRHWWGZVUUUGD-UHFFFAOYSA-N 0 0 280.230 2.742 20 5 CFBDRN Cc1cc(-c2nc(Cc3ccccn3)no2)cc([N+](=O)[O-])c1 ZINC000356024751 130371081 /nfs/dbraw/zinc/37/10/81/130371081.db2.gz NOPDVQXYMQWDFK-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1ccc(Cn2cc([N+](=O)[O-])cc(C)c2=O)cc1C ZINC000192138282 130379847 /nfs/dbraw/zinc/37/98/47/130379847.db2.gz HKNPBKKLFYLGJQ-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN C[C@@H]1CC[N@H+](CCOc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000192190383 130390221 /nfs/dbraw/zinc/39/02/21/130390221.db2.gz SKZIHEGVTCOEDY-GFCCVEGCSA-N 0 0 296.392 2.801 20 5 CFBDRN COc1cc(NCc2ccc(F)cn2)ccc1[N+](=O)[O-] ZINC000357179304 130411751 /nfs/dbraw/zinc/41/17/51/130411751.db2.gz MIGREXXJEHAWCU-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1ccc(Cl)cc1N(C)C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000359298622 130506713 /nfs/dbraw/zinc/50/67/13/130506713.db2.gz QOVNLQCEKDXTPA-UHFFFAOYSA-N 0 0 294.698 2.556 20 5 CFBDRN O=C(NCc1ccsc1)c1ccc([N+](=O)[O-])s1 ZINC000359319159 130507549 /nfs/dbraw/zinc/50/75/49/130507549.db2.gz OZTKVIYBAJGEBH-UHFFFAOYSA-N 0 0 268.319 2.648 20 5 CFBDRN CC(C)COCCC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000359421635 130514201 /nfs/dbraw/zinc/51/42/01/130514201.db2.gz DBWZMPPEVBLJLZ-UHFFFAOYSA-N 0 0 294.351 2.616 20 5 CFBDRN O=C(NCCn1cccc1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000359490598 130516824 /nfs/dbraw/zinc/51/68/24/130516824.db2.gz JMCLALJCEQWJKD-UHFFFAOYSA-N 0 0 299.286 2.573 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC[C@@H]2CF)cc1[N+](=O)[O-] ZINC000359550840 130520867 /nfs/dbraw/zinc/52/08/67/130520867.db2.gz YJNCXBXHZCXDSF-LLVKDONJSA-N 0 0 296.298 2.568 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC[C@@H](CC2CC2)C1 ZINC000359702752 130532322 /nfs/dbraw/zinc/53/23/22/130532322.db2.gz HEMWROZIQZQZMT-AWEZNQCLSA-N 0 0 288.347 2.786 20 5 CFBDRN COc1ccc(CN2CCC[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000353175874 130591958 /nfs/dbraw/zinc/59/19/58/130591958.db2.gz SOSAVKPTDAHHSN-JTQLQIEISA-N 0 0 286.278 2.833 20 5 CFBDRN CSC[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000217506229 130761341 /nfs/dbraw/zinc/76/13/41/130761341.db2.gz LUOROMQLDKYSGF-NSHDSACASA-N 0 0 290.772 2.774 20 5 CFBDRN CC(C)(CCCO)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219364860 130775777 /nfs/dbraw/zinc/77/57/77/130775777.db2.gz GSRORYYWOMXXGI-UHFFFAOYSA-N 0 0 292.339 2.682 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000223207310 130792810 /nfs/dbraw/zinc/79/28/10/130792810.db2.gz SDYXKMXUHVPKGB-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN CO[C@H](C)CNc1cc(Br)ccc1[N+](=O)[O-] ZINC000224342327 130800871 /nfs/dbraw/zinc/80/08/71/130800871.db2.gz QJRGQSAHCQDXBY-SSDOTTSWSA-N 0 0 289.129 2.804 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000230269369 130898083 /nfs/dbraw/zinc/89/80/83/130898083.db2.gz QANKXZIPBMBNSF-HNNXBMFYSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@@H](O)[C@@H](C)SCc1cc(F)ccc1[N+](=O)[O-] ZINC000231168359 130912809 /nfs/dbraw/zinc/91/28/09/130912809.db2.gz RFXROPCRNYUPER-HTQZYQBOSA-N 0 0 259.302 2.736 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H]1CC[C@@H](O)C1 ZINC000236551197 130959388 /nfs/dbraw/zinc/95/93/88/130959388.db2.gz UEDSYTSHBLUBRI-WCBMZHEXSA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCCC[C@H](CO)C1 ZINC000374107280 291996251 /nfs/dbraw/zinc/99/62/51/291996251.db2.gz NPLVAWPLTJQPJR-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1cc(N[C@H]2CC[C@H](O)CC2)ccc1[N+](=O)[O-] ZINC000252702748 131137085 /nfs/dbraw/zinc/13/70/85/131137085.db2.gz IOFRFRXNWKCEFK-UMSPYCQHSA-N 0 0 250.298 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CC[C@H](O)CC3)ccc2c1 ZINC000252702324 131137088 /nfs/dbraw/zinc/13/70/88/131137088.db2.gz HEAVSGKMBMLSNX-AULYBMBSSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1CC[C@H](O)CC1 ZINC000252701904 131137567 /nfs/dbraw/zinc/13/75/67/131137567.db2.gz FRRUOBSWYJFNLX-MGCOHNPYSA-N 0 0 270.716 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@H]1CC[C@H](O)CC1 ZINC000253138404 131140800 /nfs/dbraw/zinc/14/08/00/131140800.db2.gz VGOYDEBAKUQQRL-MGCOHNPYSA-N 0 0 270.716 2.964 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCCc2occc2C1 ZINC000288823104 291998032 /nfs/dbraw/zinc/99/80/32/291998032.db2.gz HQVPZTRPUCHQJP-UHFFFAOYSA-N 0 0 273.292 2.844 20 5 CFBDRN CO[C@H](C)CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000263897743 131355479 /nfs/dbraw/zinc/35/54/79/131355479.db2.gz PMUDMULTQKOIGO-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CO[C@@H](C)CCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000263902736 131356506 /nfs/dbraw/zinc/35/65/06/131356506.db2.gz QJVVLVUXKDLEBG-VIFPVBQESA-N 0 0 266.297 2.634 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N(Cc1ccncc1)C1CC1 ZINC000263951140 131367315 /nfs/dbraw/zinc/36/73/15/131367315.db2.gz YNKZKVMYVUGCHY-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)C[C@H]2CCCCO2)c1 ZINC000264653390 131483109 /nfs/dbraw/zinc/48/31/09/131483109.db2.gz PDSDBAFNNIWPGW-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccn(C(C)C)n1 ZINC000265063152 131544097 /nfs/dbraw/zinc/54/40/97/131544097.db2.gz RKEKQUWMFYPVLI-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000265449587 131590404 /nfs/dbraw/zinc/59/04/04/131590404.db2.gz NTSJOPXPABKJBW-NSHDSACASA-N 0 0 260.293 2.742 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H](Cc1ccccc1)C1CC1 ZINC000265662616 131618355 /nfs/dbraw/zinc/61/83/55/131618355.db2.gz XOTLOZSAWLVCTL-CYBMUJFWSA-N 0 0 286.335 2.762 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266046525 131675355 /nfs/dbraw/zinc/67/53/55/131675355.db2.gz YXYBBXGNSHXIBL-NSHDSACASA-N 0 0 264.325 2.640 20 5 CFBDRN CC(C)c1noc(NCCc2ccccc2[N+](=O)[O-])n1 ZINC000266266248 131709692 /nfs/dbraw/zinc/70/96/92/131709692.db2.gz QDKBBVXKMAMCDF-UHFFFAOYSA-N 0 0 276.296 2.756 20 5 CFBDRN Cc1ccc(-c2nc(CC3CC3)no2)cc1[N+](=O)[O-] ZINC000266591033 131756354 /nfs/dbraw/zinc/75/63/54/131756354.db2.gz OAPHXPBVOIRUPD-UHFFFAOYSA-N 0 0 259.265 2.906 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@]1(C)CCCC[C@H]1O ZINC000267029676 131810827 /nfs/dbraw/zinc/81/08/27/131810827.db2.gz AUFBHVHZWQHYQN-TZMCWYRMSA-N 0 0 279.340 2.651 20 5 CFBDRN CCc1cccc(C)c1NC(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC000267207634 131835291 /nfs/dbraw/zinc/83/52/91/131835291.db2.gz GFILMPGJQKSKBX-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN COc1ccccc1CN(C)c1ccc([N+](=O)[O-])c(C)n1 ZINC000268425880 132007457 /nfs/dbraw/zinc/00/74/57/132007457.db2.gz AGRVXVPIGVPKKI-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN Cc1nc(NC[C@@](C)(O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000268439360 132008982 /nfs/dbraw/zinc/00/89/82/132008982.db2.gz CTJDAKCBXMOYLJ-OAHLLOKOSA-N 0 0 287.319 2.618 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000268438720 132009354 /nfs/dbraw/zinc/00/93/54/132009354.db2.gz KYAPXQJPBSHYRC-NXEZZACHSA-N 0 0 294.355 2.579 20 5 CFBDRN NC(=O)[C@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000268449593 132010708 /nfs/dbraw/zinc/01/07/08/132010708.db2.gz ILWQQLCQGBQWDN-ONGXEEELSA-N 0 0 297.742 2.704 20 5 CFBDRN COCCC1(CNc2ncc([N+](=O)[O-])cc2C)CCC1 ZINC000268448347 132010757 /nfs/dbraw/zinc/01/07/57/132010757.db2.gz FIQRTZPDSQTXKH-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Cc1nc(NC(=O)/C=C2\CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000268604319 132033888 /nfs/dbraw/zinc/03/38/88/132033888.db2.gz VMZZBTYWMBIYGF-OHMLCJAVSA-N 0 0 275.308 2.983 20 5 CFBDRN COc1ccc(CNc2c([N+](=O)[O-])ncn2C)cc1Cl ZINC000268839776 132075808 /nfs/dbraw/zinc/07/58/08/132075808.db2.gz OHVJSQAUFGJKAK-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN COc1cc(N2CCOC3(CCCC3)C2)ccc1[N+](=O)[O-] ZINC000268863170 132078548 /nfs/dbraw/zinc/07/85/48/132078548.db2.gz RZZCQZDGEVWRHM-UHFFFAOYSA-N 0 0 292.335 2.753 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2cccs2)n1 ZINC000269056236 132105089 /nfs/dbraw/zinc/10/50/89/132105089.db2.gz WSJHESIDPFNSFE-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN COCCCCn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000269355206 132159235 /nfs/dbraw/zinc/15/92/35/132159235.db2.gz KWWFBWOVTLUKPG-UHFFFAOYSA-N 0 0 263.297 2.680 20 5 CFBDRN Cc1nn(CC(=O)OC(C)(C)C)c2ccc([N+](=O)[O-])cc12 ZINC000269353520 132159359 /nfs/dbraw/zinc/15/93/59/132159359.db2.gz KLAPSMIIPINYJO-UHFFFAOYSA-N 0 0 291.307 2.595 20 5 CFBDRN CON(CC(C)(C)C)c1ncc([N+](=O)[O-])cc1C ZINC000286246396 285526825 /nfs/dbraw/zinc/52/68/25/285526825.db2.gz DOUIEDABWOISCB-UHFFFAOYSA-N 0 0 253.302 2.712 20 5 CFBDRN O=[N+]([O-])c1cccc(OS(=O)(=O)Cc2ccccc2)c1 ZINC000015331248 393481196 /nfs/dbraw/zinc/48/11/96/393481196.db2.gz ZWYZPOQNLYRXCI-UHFFFAOYSA-N 0 0 293.300 2.504 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(CC3CC3)c2)cc1 ZINC000180438121 393481922 /nfs/dbraw/zinc/48/19/22/393481922.db2.gz FJGABHWASJNWFB-UHFFFAOYSA-N 0 0 258.281 2.945 20 5 CFBDRN Cc1ncc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)s1 ZINC000179020357 393450855 /nfs/dbraw/zinc/45/08/55/393450855.db2.gz BOGJJOBDXJZRJC-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CNc1nnc(Sc2ccc(C)cc2[N+](=O)[O-])s1 ZINC000179281617 393461585 /nfs/dbraw/zinc/46/15/85/393461585.db2.gz ZUPQEIMUBVRZQS-UHFFFAOYSA-N 0 0 282.350 2.948 20 5 CFBDRN Cc1cccc(C(=O)N2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000006524446 393464016 /nfs/dbraw/zinc/46/40/16/393464016.db2.gz PVDNJOSWFWIDMH-LLVKDONJSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1ccc(N[C@@H]2CCC[C@@]2(C)CO)c([N+](=O)[O-])c1 ZINC000301474265 393471559 /nfs/dbraw/zinc/47/15/59/393471559.db2.gz MSQQZACPIDPWLN-KGLIPLIRSA-N 0 0 264.325 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(COCc2ncc[nH]2)c(Cl)c1 ZINC000181041679 393489081 /nfs/dbraw/zinc/48/90/81/393489081.db2.gz CIKNSIAXOHFUEQ-UHFFFAOYSA-N 0 0 267.672 2.688 20 5 CFBDRN C[C@H](NCc1c(F)cccc1[N+](=O)[O-])c1ccccn1 ZINC000181776185 393501437 /nfs/dbraw/zinc/50/14/37/393501437.db2.gz DMDIMBSYXHTNFO-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN Cc1nc(C)c(CNc2nc3sccn3c2[N+](=O)[O-])o1 ZINC000312322509 393521791 /nfs/dbraw/zinc/52/17/91/393521791.db2.gz CJPGWEVBSNVRLR-UHFFFAOYSA-N 0 0 293.308 2.521 20 5 CFBDRN CSc1cccc(C(=O)NCCC2CC2)c1[N+](=O)[O-] ZINC000186595932 393546044 /nfs/dbraw/zinc/54/60/44/393546044.db2.gz LUWVEBZIVZDTPG-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN C[C@H]1CCCCN1Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000332168250 393559633 /nfs/dbraw/zinc/55/96/33/393559633.db2.gz BKZFZOFSGAUCOL-JTQLQIEISA-N 0 0 274.324 2.846 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)N2CCc3c2cccc3[N+](=O)[O-])C1 ZINC000331578445 393556783 /nfs/dbraw/zinc/55/67/83/393556783.db2.gz LHJVOWKIJFSPGF-QWRGUYRKSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1sc(C(=O)NCc2ccc(C)nc2)cc1[N+](=O)[O-] ZINC000045968593 393575064 /nfs/dbraw/zinc/57/50/64/393575064.db2.gz NVWGYULIWOVUFZ-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1c(NCc2nc(C3CC3)no2)cccc1[N+](=O)[O-] ZINC000048657240 393592133 /nfs/dbraw/zinc/59/21/33/393592133.db2.gz PDYVLXHQDYHAGJ-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CCc1cc(NC)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000048738496 393597795 /nfs/dbraw/zinc/59/77/95/393597795.db2.gz RGGYYOPVJVALIV-UHFFFAOYSA-N 0 0 258.281 2.656 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000048805829 393602319 /nfs/dbraw/zinc/60/23/19/393602319.db2.gz HWADPDMWXMGQRN-DTWKUNHWSA-N 0 0 265.313 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2CO)cc1C(F)(F)F ZINC000048865302 393605806 /nfs/dbraw/zinc/60/58/06/393605806.db2.gz NFNDJWTWRJBFGN-SECBINFHSA-N 0 0 290.241 2.575 20 5 CFBDRN CNC(=O)c1ccc(NCc2ccsc2)c([N+](=O)[O-])c1 ZINC000048893345 393606439 /nfs/dbraw/zinc/60/64/39/393606439.db2.gz HMFDBYDLKMDQPB-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN CC(C)=CCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000191812466 393606530 /nfs/dbraw/zinc/60/65/30/393606530.db2.gz BXPJSXYWFGAAMX-VMPITWQZSA-N 0 0 260.293 2.690 20 5 CFBDRN CC(C)CNC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000411162814 393677195 /nfs/dbraw/zinc/67/71/95/393677195.db2.gz DNJJNEIURHWIFR-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(CCO)Cc1ccccc1 ZINC000049752616 393615093 /nfs/dbraw/zinc/61/50/93/393615093.db2.gz NCHFHFWMKJDHTK-UHFFFAOYSA-N 0 0 286.331 2.589 20 5 CFBDRN C[C@@H]1CC[C@@]2(CCN(C(=O)c3ccc([N+](=O)[O-])o3)C2)C1 ZINC000334748635 393619710 /nfs/dbraw/zinc/61/97/10/393619710.db2.gz WKOYDULZPJXNIC-QMTHXVAHSA-N 0 0 278.308 2.840 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccncc1 ZINC000192731423 393630914 /nfs/dbraw/zinc/63/09/14/393630914.db2.gz LXQPCBXSWZANJC-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000410621461 393632660 /nfs/dbraw/zinc/63/26/60/393632660.db2.gz LXQHFICMJRKISS-ZYHUDNBSSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1nc(C)c(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000192835420 393634250 /nfs/dbraw/zinc/63/42/50/393634250.db2.gz LJQDCUAEBRNDJR-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2ncccn2)c(F)c1 ZINC000193502588 393653267 /nfs/dbraw/zinc/65/32/67/393653267.db2.gz MJCZRAVXJHGPKT-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN Cc1cccc(NCCCOC(F)F)c1[N+](=O)[O-] ZINC000193620046 393659717 /nfs/dbraw/zinc/65/97/17/393659717.db2.gz CPNHYCRRBWMMCZ-UHFFFAOYSA-N 0 0 260.240 2.944 20 5 CFBDRN CC(C)NC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000411141907 393672558 /nfs/dbraw/zinc/67/25/58/393672558.db2.gz VZIFXRXSKRPORR-UHFFFAOYSA-N 0 0 266.297 2.520 20 5 CFBDRN O=C(NC[C@H]1Cc2ccccc21)c1ccc([N+](=O)[O-])s1 ZINC000194050906 393673177 /nfs/dbraw/zinc/67/31/77/393673177.db2.gz VDWRDLILRNJJSM-SNVBAGLBSA-N 0 0 288.328 2.726 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)ccn1C ZINC000195124816 393731373 /nfs/dbraw/zinc/73/13/73/393731373.db2.gz JVDCVUGVMWQXKR-SNVBAGLBSA-N 0 0 287.319 2.733 20 5 CFBDRN COCC[C@@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000081716985 393737080 /nfs/dbraw/zinc/73/70/80/393737080.db2.gz STGDWQZLVYTMJO-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN COc1cc(OC)cc(Oc2ncc([N+](=O)[O-])s2)c1 ZINC000195505926 393742280 /nfs/dbraw/zinc/74/22/80/393742280.db2.gz JZTRXEZJEOVEKZ-UHFFFAOYSA-N 0 0 282.277 2.861 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccccc2F)s1 ZINC000083394953 393743083 /nfs/dbraw/zinc/74/30/83/393743083.db2.gz GHMAYNVJULIKEF-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])s1 ZINC000336978719 393752783 /nfs/dbraw/zinc/75/27/83/393752783.db2.gz BCSZODJGXITRPY-RITPCOANSA-N 0 0 281.259 2.828 20 5 CFBDRN CCC(C)(CC)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000194356466 393690963 /nfs/dbraw/zinc/69/09/63/393690963.db2.gz CFULSIDRWFUXDC-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CCOC(=O)c1ccnc(NC2CCCCC2)c1[N+](=O)[O-] ZINC000077902169 393702994 /nfs/dbraw/zinc/70/29/94/393702994.db2.gz MGGRWUKRBNJODK-UHFFFAOYSA-N 0 0 293.323 2.911 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@@H](C)C1 ZINC000078004299 393703344 /nfs/dbraw/zinc/70/33/44/393703344.db2.gz UMFBAGODXVHHEO-BDAKNGLRSA-N 0 0 268.338 2.917 20 5 CFBDRN CCN(CC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000194551665 393705792 /nfs/dbraw/zinc/70/57/92/393705792.db2.gz BLXATBXADZHKPB-SNVBAGLBSA-N 0 0 265.313 2.707 20 5 CFBDRN Cc1cccc2c(=O)n(Cc3cccc([N+](=O)[O-])c3)cnc12 ZINC000078702334 393710908 /nfs/dbraw/zinc/71/09/08/393710908.db2.gz NLYBAGKOBINGHB-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)CC1CC1 ZINC000194701053 393714047 /nfs/dbraw/zinc/71/40/47/393714047.db2.gz XEUUOQGRHBARJY-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN CCOc1cc(N[C@@H](CC)COC)ccc1[N+](=O)[O-] ZINC000079745318 393721095 /nfs/dbraw/zinc/72/10/95/393721095.db2.gz GVIUHJHLIJWJNS-JTQLQIEISA-N 0 0 268.313 2.830 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(F)c(F)c2)nc1C1CC1 ZINC000195046336 393729357 /nfs/dbraw/zinc/72/93/57/393729357.db2.gz PHGGLRDIRZUKKT-UHFFFAOYSA-N 0 0 279.246 2.995 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2nc(C)ccc2[N+](=O)[O-])C1(C)C ZINC000223011811 393765179 /nfs/dbraw/zinc/76/51/79/393765179.db2.gz UPQPBJGGASXQHT-WDEREUQCSA-N 0 0 265.313 2.524 20 5 CFBDRN CCOC(=O)[C@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000227523310 393772665 /nfs/dbraw/zinc/77/26/65/393772665.db2.gz CWDUPMNECSCRMI-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN Cc1ccncc1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000338227247 393777729 /nfs/dbraw/zinc/77/77/29/393777729.db2.gz OLQBINCGPZRVPS-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC1Cc2ccccc2C1 ZINC000231038666 393780015 /nfs/dbraw/zinc/78/00/15/393780015.db2.gz KVHNQJWKQJUDPS-UHFFFAOYSA-N 0 0 255.277 2.569 20 5 CFBDRN CC(C)[C@@H](CCO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000231330258 393781381 /nfs/dbraw/zinc/78/13/81/393781381.db2.gz OGPARKDZZUXPBC-GFCCVEGCSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@H]1OCC[C@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235609442 393784649 /nfs/dbraw/zinc/78/46/49/393784649.db2.gz NXOOXRPQILACRV-RNFRBKRXSA-N 0 0 291.229 2.598 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2C[C@H](C)[C@H](C)C2)c1F ZINC000235667797 393784864 /nfs/dbraw/zinc/78/48/64/393784864.db2.gz VWPXOZZVEKUCON-AOOOYVTPSA-N 0 0 280.299 2.770 20 5 CFBDRN CC[C@@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])C(C)(C)O ZINC000338794957 393799663 /nfs/dbraw/zinc/79/96/63/393799663.db2.gz ZQIWLJNAGOWWIW-SNVBAGLBSA-N 0 0 267.329 2.568 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000457932623 393804807 /nfs/dbraw/zinc/80/48/07/393804807.db2.gz SGGCTDUAXQXNGO-TZMCWYRMSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000245749877 393826231 /nfs/dbraw/zinc/82/62/31/393826231.db2.gz YQHXCDVQYTZJMY-ZSBIGDGJSA-N 0 0 292.335 2.589 20 5 CFBDRN COc1ccc(C(=O)N2C[C@H](C)[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000416073410 393863585 /nfs/dbraw/zinc/86/35/85/393863585.db2.gz AIFOSARQKDIAIS-GARJFASQSA-N 0 0 292.335 2.720 20 5 CFBDRN COc1cccc(C(=O)N(C)C2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000458196904 393869124 /nfs/dbraw/zinc/86/91/24/393869124.db2.gz ZBIBOMDNMIPENT-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](c3cccs3)C2)cn1 ZINC000471744577 393911972 /nfs/dbraw/zinc/91/19/72/393911972.db2.gz DKZWUFXWPCZOLU-LLVKDONJSA-N 0 0 291.332 2.629 20 5 CFBDRN Cc1ccc(CCNc2cc(C)c([N+](=O)[O-])cn2)c(C)c1 ZINC000470867092 393901899 /nfs/dbraw/zinc/90/18/99/393901899.db2.gz QPXVWFBXLHSQHA-UHFFFAOYSA-N 0 0 285.347 2.992 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)c(C)n1 ZINC000119073894 393913974 /nfs/dbraw/zinc/91/39/74/393913974.db2.gz JTLCAPZZKAGEPB-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CO[C@](C)([C@H](C)NC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000415942198 393843104 /nfs/dbraw/zinc/84/31/04/393843104.db2.gz IPKZGVJJPKSOJN-ZUZCIYMTSA-N 0 0 292.335 2.528 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2C[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000458112184 393848995 /nfs/dbraw/zinc/84/89/95/393848995.db2.gz JSOOLDXKZNBASV-QWHCGFSZSA-N 0 0 276.336 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](C)n1cccn1 ZINC000424520045 393849057 /nfs/dbraw/zinc/84/90/57/393849057.db2.gz BSWAHRVMSAMUIS-LLVKDONJSA-N 0 0 288.307 2.690 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000458113222 393850110 /nfs/dbraw/zinc/85/01/10/393850110.db2.gz XJPVPKVMLDNLNL-TVQRCGJNSA-N 0 0 298.289 2.576 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)CC(C)C ZINC000416042552 393852780 /nfs/dbraw/zinc/85/27/80/393852780.db2.gz MDGGYTZQEKRPFW-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000458153700 393860114 /nfs/dbraw/zinc/86/01/14/393860114.db2.gz RWZGWEPDYCCSAR-TZMCWYRMSA-N 0 0 262.309 2.759 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@H]1CCC[C@@H](F)C1 ZINC000424592086 393860327 /nfs/dbraw/zinc/86/03/27/393860327.db2.gz AWQSWPTUHFQMTO-CMPLNLGQSA-N 0 0 280.299 2.739 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000429238793 393946894 /nfs/dbraw/zinc/94/68/94/393946894.db2.gz RXDIELCGRXRLMU-RISCZKNCSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1cc(N[C@H](C)Cc2ccncc2)ncc1[N+](=O)[O-] ZINC000487693334 394019439 /nfs/dbraw/zinc/01/94/39/394019439.db2.gz SYYSWIVEKQVRIS-LLVKDONJSA-N 0 0 272.308 2.736 20 5 CFBDRN CCCCOC(=O)[C@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271701886 394021762 /nfs/dbraw/zinc/02/17/62/394021762.db2.gz HPPQPNYPMJUHET-NEPJUHHUSA-N 0 0 294.351 2.977 20 5 CFBDRN CCC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)CCO1 ZINC000430203508 393967902 /nfs/dbraw/zinc/96/79/02/393967902.db2.gz OJIXPSXVVIFIHG-AWEZNQCLSA-N 0 0 294.351 2.604 20 5 CFBDRN CN(CC1CCC1)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000486933695 393972283 /nfs/dbraw/zinc/97/22/83/393972283.db2.gz AGROPCNDDUAOPV-UHFFFAOYSA-N 0 0 288.307 2.881 20 5 CFBDRN CCC1(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)CC1 ZINC000267522386 393987190 /nfs/dbraw/zinc/98/71/90/393987190.db2.gz MPJUPKAPLSKMCP-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000430449294 393987473 /nfs/dbraw/zinc/98/74/73/393987473.db2.gz JKCOFTFMSVCZGC-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN CNc1c(C(=O)N(C)[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000430450431 393987831 /nfs/dbraw/zinc/98/78/31/393987831.db2.gz AWNCASQHZUCNFB-QWRGUYRKSA-N 0 0 291.351 2.897 20 5 CFBDRN CCCCOCCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487856486 394025358 /nfs/dbraw/zinc/02/53/58/394025358.db2.gz GVJYQBBBFZNLFW-UHFFFAOYSA-N 0 0 290.319 2.726 20 5 CFBDRN Cc1cc(N2CCO[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000268780576 393994367 /nfs/dbraw/zinc/99/43/67/393994367.db2.gz BZMJKNIMUAFVGW-GHMZBOCLSA-N 0 0 250.298 2.517 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000272082521 394025719 /nfs/dbraw/zinc/02/57/19/394025719.db2.gz MZFRSXBCDLZVQP-SDBXPKJASA-N 0 0 295.266 2.645 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2cc([N+](=O)[O-])ccc2C)o1 ZINC000269089665 394001991 /nfs/dbraw/zinc/00/19/91/394001991.db2.gz YQXFPTPKPOVCHM-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN Cc1cnc(N2CCc3ccsc3C2)c([N+](=O)[O-])c1 ZINC000270510514 394013121 /nfs/dbraw/zinc/01/31/21/394013121.db2.gz WVEMFYKYIFWEGI-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCCCF ZINC000126380941 394026869 /nfs/dbraw/zinc/02/68/69/394026869.db2.gz OYQHUZIRVUUKAK-UHFFFAOYSA-N 0 0 269.276 2.693 20 5 CFBDRN Cc1ccsc1[C@H](CO)Nc1ccc([N+](=O)[O-])cn1 ZINC000487616377 394015398 /nfs/dbraw/zinc/01/53/98/394015398.db2.gz LCKXUHMYECJCOP-JTQLQIEISA-N 0 0 279.321 2.505 20 5 CFBDRN Cc1cccc(C(=O)NCc2ccccc2[N+](=O)[O-])c1O ZINC000125874205 394015788 /nfs/dbraw/zinc/01/57/88/394015788.db2.gz RMCPVPXAQPXFQY-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Cc1nc(N[C@@H](C)c2cncs2)ccc1[N+](=O)[O-] ZINC000439127070 394100406 /nfs/dbraw/zinc/10/04/06/394100406.db2.gz ADFAQCYAKDQZOJ-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000275066583 394046105 /nfs/dbraw/zinc/04/61/05/394046105.db2.gz XXMJIZMJRZMPOL-CYBMUJFWSA-N 0 0 299.758 2.632 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000128658137 394073860 /nfs/dbraw/zinc/07/38/60/394073860.db2.gz FJUSGDRZOKIZQU-LLVKDONJSA-N 0 0 296.371 2.583 20 5 CFBDRN CC1=C(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000281876359 394087199 /nfs/dbraw/zinc/08/71/99/394087199.db2.gz RTBURTLAPLFZGF-UHFFFAOYSA-N 0 0 274.320 2.706 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@@H](C(C)C)C2)cccc1[N+](=O)[O-] ZINC000438934457 394088532 /nfs/dbraw/zinc/08/85/32/394088532.db2.gz ICPAGGZKSBMUFM-CQSZACIVSA-N 0 0 290.363 2.950 20 5 CFBDRN CC(C)OCC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000492889801 394090357 /nfs/dbraw/zinc/09/03/57/394090357.db2.gz LFZKEAWJVKLZNZ-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN CCO/C=C\C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000492892251 394091176 /nfs/dbraw/zinc/09/11/76/394091176.db2.gz LJEBXCIISGGOSP-HJWRWDBZSA-N 0 0 290.319 2.636 20 5 CFBDRN CCO/C=C/C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000492892250 394091424 /nfs/dbraw/zinc/09/14/24/394091424.db2.gz LJEBXCIISGGOSP-CMDGGOBGSA-N 0 0 290.319 2.636 20 5 CFBDRN CCSCCCNc1ncc(C)cc1[N+](=O)[O-] ZINC000281908343 394092168 /nfs/dbraw/zinc/09/21/68/394092168.db2.gz NDRGTZRZOPFDQD-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN CC[C@H]1CCCN1C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000439004111 394094163 /nfs/dbraw/zinc/09/41/63/394094163.db2.gz SZMTXUIDMJNSHW-ZDUSSCGKSA-N 0 0 276.336 2.847 20 5 CFBDRN C[C@@H](CSCCn1cc([N+](=O)[O-])cn1)C(F)(F)F ZINC000439023077 394094925 /nfs/dbraw/zinc/09/49/25/394094925.db2.gz OOLQNCPXNKSXNB-ZETCQYMHSA-N 0 0 283.275 2.723 20 5 CFBDRN Cc1c(CC(=O)N2[C@H](C)CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000129914880 394096982 /nfs/dbraw/zinc/09/69/82/394096982.db2.gz KPFXCKWWPMRRKO-PHIMTYICSA-N 0 0 276.336 2.845 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1S[C@H]1CCCOC1 ZINC000272455371 394028187 /nfs/dbraw/zinc/02/81/87/394028187.db2.gz KJWOTAVSCBGGNY-QMMMGPOBSA-N 0 0 274.729 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc(O)cc2)n1 ZINC000130047272 394099749 /nfs/dbraw/zinc/09/97/49/394099749.db2.gz CTQCFCRBOGRWNQ-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN Cc1ccc(Cl)c(NC(=O)c2c([N+](=O)[O-])cnn2C)c1 ZINC000126693427 394034185 /nfs/dbraw/zinc/03/41/85/394034185.db2.gz FYZPWDNWPHPPCJ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc(OCc2cn(C(C)C)nn2)c(F)cc1[N+](=O)[O-] ZINC000488266685 394035888 /nfs/dbraw/zinc/03/58/88/394035888.db2.gz OXROFUGWRZMTIV-UHFFFAOYSA-N 0 0 294.286 2.794 20 5 CFBDRN C[C@H]1COCCN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000361781734 394177290 /nfs/dbraw/zinc/17/72/90/394177290.db2.gz OWWXKWZSABFVQI-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN COCC[C@@H](Nc1ncc([N+](=O)[O-])s1)c1ccco1 ZINC000281994937 394107206 /nfs/dbraw/zinc/10/72/06/394107206.db2.gz TWNDZJBAVODWAF-MRVPVSSYSA-N 0 0 283.309 2.834 20 5 CFBDRN O=c1ccn(CCSCc2ccccc2)cc1[N+](=O)[O-] ZINC000439272435 394108263 /nfs/dbraw/zinc/10/82/63/394108263.db2.gz KXLKEAUPELGDRL-UHFFFAOYSA-N 0 0 290.344 2.690 20 5 CFBDRN CSCCCN(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000282016245 394110624 /nfs/dbraw/zinc/11/06/24/394110624.db2.gz YFYOUZQFDUDTRU-UHFFFAOYSA-N 0 0 275.761 2.833 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC(F)(F)C2)s1 ZINC000282014728 394111088 /nfs/dbraw/zinc/11/10/88/394111088.db2.gz VMDDXNWUPVKXDC-LURJTMIESA-N 0 0 263.269 2.899 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CC1CC1 ZINC000282022608 394111545 /nfs/dbraw/zinc/11/15/45/394111545.db2.gz SHYAULBYVWXOEL-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CN(C(=O)c1c(F)cccc1F)c1ccc([N+](=O)[O-])nc1 ZINC000439304618 394112305 /nfs/dbraw/zinc/11/23/05/394112305.db2.gz ZCDWWRPXMJNCEA-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])s1)[C@@H](CCO)c1ccccc1 ZINC000282035734 394113519 /nfs/dbraw/zinc/11/35/19/394113519.db2.gz WWPKAFOVTLOZNP-NSHDSACASA-N 0 0 293.348 2.611 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])s2)CCO1 ZINC000282053190 394117056 /nfs/dbraw/zinc/11/70/56/394117056.db2.gz XYJGDCYDKGPGIH-IUCAKERBSA-N 0 0 271.342 2.667 20 5 CFBDRN CCOCC(C)(C)NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492998405 394120173 /nfs/dbraw/zinc/12/01/73/394120173.db2.gz PIAILYNSCKCLJX-CMDGGOBGSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H]1CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000420611439 394120374 /nfs/dbraw/zinc/12/03/74/394120374.db2.gz NKALEHCLHGGWGE-MNOVXSKESA-N 0 0 291.351 2.566 20 5 CFBDRN COC(=O)c1ccc(/C=C\c2ccc([N+](=O)[O-])cn2)o1 ZINC000439512248 394122747 /nfs/dbraw/zinc/12/27/47/394122747.db2.gz PNHSLRGYMDLOKC-HYXAFXHYSA-N 0 0 274.232 2.540 20 5 CFBDRN O=C1[C@H](SCc2ccc([N+](=O)[O-])cc2)CCN1C1CC1 ZINC000131318998 394129792 /nfs/dbraw/zinc/12/97/92/394129792.db2.gz LPRPCGJWLHJXOW-CYBMUJFWSA-N 0 0 292.360 2.591 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000443989227 394143740 /nfs/dbraw/zinc/14/37/40/394143740.db2.gz OBONCXBQZJGQEQ-GFCCVEGCSA-N 0 0 291.351 2.566 20 5 CFBDRN CC(C)N(Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)CC(F)F ZINC000444653096 394145055 /nfs/dbraw/zinc/14/50/55/394145055.db2.gz KUVJPEBUFRHARG-UHFFFAOYSA-N 0 0 298.293 2.947 20 5 CFBDRN CC[C@](C)(CNC(=O)/C=C/c1ccccc1[N+](=O)[O-])OC ZINC000493126927 394156840 /nfs/dbraw/zinc/15/68/40/394156840.db2.gz ZRSMJFFGXSORFJ-BOLDSZDNSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1cc(CSC[C@H]2C[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000421214567 394182604 /nfs/dbraw/zinc/18/26/04/394182604.db2.gz CSPLGTIESFQMTK-PHIMTYICSA-N 0 0 283.349 2.608 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CCC[C@H](F)C1 ZINC000493167745 394167962 /nfs/dbraw/zinc/16/79/62/394167962.db2.gz AUGRTWCDQDHKRX-DGMVEKRQSA-N 0 0 278.283 2.569 20 5 CFBDRN CC[C@@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000421088737 394172570 /nfs/dbraw/zinc/17/25/70/394172570.db2.gz DJTSOTVPFSALOC-SECBINFHSA-N 0 0 251.286 2.762 20 5 CFBDRN C[C@H]1CCCCN1c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000286905403 394200518 /nfs/dbraw/zinc/20/05/18/394200518.db2.gz JVDMDZVUNHRRBF-VIFPVBQESA-N 0 0 288.307 2.622 20 5 CFBDRN CC(C)O[C@H](Cn1cc([N+](=O)[O-])cn1)c1ccccc1 ZINC000291688913 394256374 /nfs/dbraw/zinc/25/63/74/394256374.db2.gz NXLNNJXLMYMHBJ-CQSZACIVSA-N 0 0 275.308 2.958 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2cccc([N+](=O)[O-])c2N)c1 ZINC000135046131 394209753 /nfs/dbraw/zinc/20/97/53/394209753.db2.gz CVVQPGHRDKSXNZ-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CS[C@@H]1CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000493490483 394257849 /nfs/dbraw/zinc/25/78/49/394257849.db2.gz QOYAJWVACPLDHU-LLPBQKLSSA-N 0 0 292.360 2.572 20 5 CFBDRN O=C(/C=C/c1cncs1)Nc1ccc([N+](=O)[O-])cc1F ZINC000493393762 394230163 /nfs/dbraw/zinc/23/01/63/394230163.db2.gz CBISRDIXQXYRTC-DUXPYHPUSA-N 0 0 293.279 2.842 20 5 CFBDRN CCC(=O)c1ccc(N2CCOCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000365581944 394232270 /nfs/dbraw/zinc/23/22/70/394232270.db2.gz SBJUMAZQRIUTPI-NSHDSACASA-N 0 0 292.335 2.803 20 5 CFBDRN CC(C)N(Cc1csc([N+](=O)[O-])c1)[C@H]1CCOC1 ZINC000291267367 394238613 /nfs/dbraw/zinc/23/86/13/394238613.db2.gz NOIRCDZRGSWBEK-NSHDSACASA-N 0 0 270.354 2.656 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)/C=C\c2ccccc2[N+](=O)[O-])CS1 ZINC000493455841 394248502 /nfs/dbraw/zinc/24/85/02/394248502.db2.gz DDQCREZJKPSSMI-BTTAUDESSA-N 0 0 292.360 2.618 20 5 CFBDRN CC(=O)c1cc(NCc2snnc2C)ccc1[N+](=O)[O-] ZINC000291619277 394248620 /nfs/dbraw/zinc/24/86/20/394248620.db2.gz ARSROKVNYXLBSU-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CCc1nn(C)cc1N[C@H](C)Cc1ccccc1[N+](=O)[O-] ZINC000449198910 394249446 /nfs/dbraw/zinc/24/94/46/394249446.db2.gz AKLQHQPFXGBRCB-LLVKDONJSA-N 0 0 288.351 2.934 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCc1cccnc1 ZINC000150329334 394250139 /nfs/dbraw/zinc/25/01/39/394250139.db2.gz BNAYKXYFBKGZTN-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1ccc(OC(F)F)c(Cn2cc([N+](=O)[O-])cn2)c1 ZINC000291644172 394252770 /nfs/dbraw/zinc/25/27/70/394252770.db2.gz KCMAXPZBJGDSCU-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN CC[C@@H]1CCN1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000291648671 394253332 /nfs/dbraw/zinc/25/33/32/394253332.db2.gz NJYFABIDFOLNEV-CMPLNLGQSA-N 0 0 277.324 2.850 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/[C@@H]1CCOC1 ZINC000493263467 394194790 /nfs/dbraw/zinc/19/47/90/394194790.db2.gz FCGMWSLQZSWNDT-ZXCPCRMDSA-N 0 0 290.319 2.688 20 5 CFBDRN O=C(NCCCF)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000134362710 394195298 /nfs/dbraw/zinc/19/52/98/394195298.db2.gz HAAFXACGIFMYAQ-UHFFFAOYSA-N 0 0 275.667 2.729 20 5 CFBDRN Cc1cc(N(C)C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)ccn1 ZINC000493501286 394261401 /nfs/dbraw/zinc/26/14/01/394261401.db2.gz VXDZQFBEOQZITM-VMPITWQZSA-N 0 0 297.314 2.974 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)[C@H](C)C1 ZINC000284071438 195973666 /nfs/dbraw/zinc/97/36/66/195973666.db2.gz RNPFWXZSVJBSFA-RKDXNWHRSA-N 0 0 295.314 2.577 20 5 CFBDRN Cc1cc(NC(=O)C2(CCF)CC2)ccc1[N+](=O)[O-] ZINC000291816158 394265468 /nfs/dbraw/zinc/26/54/68/394265468.db2.gz RYDXGKFPWOJCAC-UHFFFAOYSA-N 0 0 266.272 2.982 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N1CC[C@H]1C1CC1 ZINC000493524478 394267955 /nfs/dbraw/zinc/26/79/55/394267955.db2.gz NSQLPSWINUUKRE-AGLBCWCQSA-N 0 0 290.294 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCOCC2(CCC2)C1 ZINC000368701026 394276548 /nfs/dbraw/zinc/27/65/48/394276548.db2.gz XUBSQDGSMHYZDB-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1cc(N2CCC[C@]3(CCCCO3)C2)ncc1[N+](=O)[O-] ZINC000369361244 394279264 /nfs/dbraw/zinc/27/92/64/394279264.db2.gz BDHSCDXSLIVLHV-OAHLLOKOSA-N 0 0 291.351 2.838 20 5 CFBDRN CC(C)[C@H]1C[C@@H](CNc2ncccc2[N+](=O)[O-])CCO1 ZINC000450741887 394332062 /nfs/dbraw/zinc/33/20/62/394332062.db2.gz YRZUASPLAUHMJM-WCQYABFASA-N 0 0 279.340 2.853 20 5 CFBDRN CO[C@H](C[NH2+][C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000293821917 394285655 /nfs/dbraw/zinc/28/56/55/394285655.db2.gz WLIAWPHWUSRHSR-IINYFYTJSA-N 0 0 264.325 2.670 20 5 CFBDRN CC(C)[C@]1(CO)CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000293896996 394286347 /nfs/dbraw/zinc/28/63/47/394286347.db2.gz CHNUJUNLGKFCOA-OAHLLOKOSA-N 0 0 296.342 2.969 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccn(C)c1)c1cccc([N+](=O)[O-])c1 ZINC000493610223 394289623 /nfs/dbraw/zinc/28/96/23/394289623.db2.gz FVIHHXCSYUEYFQ-NNNHXZLVSA-N 0 0 299.330 2.824 20 5 CFBDRN C[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H](C)S1 ZINC000153854354 394293565 /nfs/dbraw/zinc/29/35/65/394293565.db2.gz YGKWIBHUDMROGW-YUMQZZPRSA-N 0 0 287.772 2.973 20 5 CFBDRN C[C@H]1CSC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450524434 394294568 /nfs/dbraw/zinc/29/45/68/394294568.db2.gz CAGJPNXDTWLWPK-ZANVPECISA-N 0 0 289.360 2.728 20 5 CFBDRN Cc1cc(N2CCC[C@@](C)(F)C2)ncc1[N+](=O)[O-] ZINC000294989527 394296066 /nfs/dbraw/zinc/29/60/66/394296066.db2.gz QJJKZNFRBBDILC-GFCCVEGCSA-N 0 0 253.277 2.627 20 5 CFBDRN CCCn1ncc(Nc2c([N+](=O)[O-])ncn2C)c1C1CC1 ZINC000450638607 394306243 /nfs/dbraw/zinc/30/62/43/394306243.db2.gz JAHOOIMZLCMCGK-UHFFFAOYSA-N 0 0 290.327 2.556 20 5 CFBDRN COc1ccc(OCC(=O)CCC(C)C)c([N+](=O)[O-])c1 ZINC000154963957 394306617 /nfs/dbraw/zinc/30/66/17/394306617.db2.gz MITBJWYYAFJUAN-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN COc1cc(N2CC[C@@H](OC)[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000450750596 394334642 /nfs/dbraw/zinc/33/46/42/394334642.db2.gz CHXGMSXHZULPPE-TVQRCGJNSA-N 0 0 298.314 2.604 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000450666073 394313602 /nfs/dbraw/zinc/31/36/02/394313602.db2.gz XCAJOEGIJOPHJM-WDEREUQCSA-N 0 0 291.351 2.932 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000493781269 394315193 /nfs/dbraw/zinc/31/51/93/394315193.db2.gz DZUBWHLGGZIJSL-OJCYQZFHSA-N 0 0 286.331 2.913 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000155530927 394318114 /nfs/dbraw/zinc/31/81/14/394318114.db2.gz SZMVNUCBZLZGOY-MFKMUULPSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC(=O)CCC(C)C ZINC000155557079 394318578 /nfs/dbraw/zinc/31/85/78/394318578.db2.gz DTWSHJVXQJKELF-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN CCc1ncc(NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)cn1 ZINC000155570988 394318839 /nfs/dbraw/zinc/31/88/39/394318839.db2.gz LECDUZKMQXAAHD-VOTSOKGWSA-N 0 0 298.302 2.599 20 5 CFBDRN O=C([C@H]1C[C@H]1C1CC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156586892 394335742 /nfs/dbraw/zinc/33/57/42/394335742.db2.gz JALLDSGCEXVNOL-GJZGRUSLSA-N 0 0 286.331 2.526 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])[C@@H]1C ZINC000493836981 394327454 /nfs/dbraw/zinc/32/74/54/394327454.db2.gz OBGKGXVFMIBRDD-IVRGCMMQSA-N 0 0 278.283 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC3(CCOCC3)C2)cc1 ZINC000493843560 394328270 /nfs/dbraw/zinc/32/82/70/394328270.db2.gz LRBAKVMKVJQZLS-ZDUSSCGKSA-N 0 0 292.335 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H]2CCCN(c3nncs3)C2)cc1 ZINC000450766971 394337800 /nfs/dbraw/zinc/33/78/00/394337800.db2.gz TYVQRXQRAYICEH-LLVKDONJSA-N 0 0 290.348 2.830 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C(C)C)[C@H](CO)C(C)C ZINC000450778200 394339873 /nfs/dbraw/zinc/33/98/73/394339873.db2.gz ZBPWAONGMHVCIG-ZIAGYGMSSA-N 0 0 295.383 2.999 20 5 CFBDRN CCC1(CNc2ccc(C)cc2[N+](=O)[O-])COC1 ZINC000450843345 394341326 /nfs/dbraw/zinc/34/13/26/394341326.db2.gz IAHRVKCQUJHZOZ-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN CCc1ccc(OCc2c(C)nnn2CC)c([N+](=O)[O-])c1 ZINC000450852258 394341847 /nfs/dbraw/zinc/34/18/47/394341847.db2.gz ZDUXSGAXAMRGHW-UHFFFAOYSA-N 0 0 290.323 2.656 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000451090361 394356645 /nfs/dbraw/zinc/35/66/45/394356645.db2.gz ZTKDRKULUZILCH-PSASIEDQSA-N 0 0 264.281 2.588 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000158572493 394358205 /nfs/dbraw/zinc/35/82/05/394358205.db2.gz OKWADOFOQQVQPC-GFCCVEGCSA-N 0 0 290.319 2.832 20 5 CFBDRN COc1cc(CN2CCC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000451114497 394358752 /nfs/dbraw/zinc/35/87/52/394358752.db2.gz MBWVMDPWLPWLJV-CQSZACIVSA-N 0 0 282.315 2.927 20 5 CFBDRN Cc1c(CC(=O)Nc2cccc(O)c2)cccc1[N+](=O)[O-] ZINC000158724407 394360422 /nfs/dbraw/zinc/36/04/22/394360422.db2.gz HBGIAMZWEGJQGG-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1c(C(=O)NC2(C3(C)CC3)CC2)cccc1[N+](=O)[O-] ZINC000518707754 394371078 /nfs/dbraw/zinc/37/10/78/394371078.db2.gz MYCBVMIMQCCNAL-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CC[C@H](NS(=O)(=O)C1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000451283979 394373153 /nfs/dbraw/zinc/37/31/53/394373153.db2.gz HKDLUBKGUFGLCO-LBPRGKRZSA-N 0 0 298.364 2.518 20 5 CFBDRN C[C@H]1CCc2ccccc2N1CCn1ccc([N+](=O)[O-])n1 ZINC000176194788 394381147 /nfs/dbraw/zinc/38/11/47/394381147.db2.gz VHCIFMLHWKXOKG-LBPRGKRZSA-N 0 0 286.335 2.633 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCC[C@@H]1C ZINC000535185830 394532612 /nfs/dbraw/zinc/53/26/12/394532612.db2.gz MZESGVVBIHFNSS-WDEREUQCSA-N 0 0 292.335 2.769 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000536206794 394553489 /nfs/dbraw/zinc/55/34/89/394553489.db2.gz VIUTUWPYFHHRMA-NWDGAFQWSA-N 0 0 291.351 2.577 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cccnc1C1CC1 ZINC000541261827 394667680 /nfs/dbraw/zinc/66/76/80/394667680.db2.gz CJPOXYWWNQCHQX-UHFFFAOYSA-N 0 0 297.314 2.797 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)Cc1cccc(O)c1 ZINC000538925073 394619045 /nfs/dbraw/zinc/61/90/45/394619045.db2.gz GSWSIRZNSMVHJS-UHFFFAOYSA-N 0 0 273.292 2.640 20 5 CFBDRN CS[C@@H](C)CCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000539120844 394622926 /nfs/dbraw/zinc/62/29/26/394622926.db2.gz PEQULSULIGZHNW-JTQLQIEISA-N 0 0 282.365 2.743 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1ccc(CO)cc1)C2 ZINC000539812699 394635017 /nfs/dbraw/zinc/63/50/17/394635017.db2.gz OXQDPFUPZQLHKD-UHFFFAOYSA-N 0 0 298.342 2.645 20 5 CFBDRN C[C@]1(CO)CCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000545397696 394793278 /nfs/dbraw/zinc/79/32/78/394793278.db2.gz TZMZWTCGXRCWIS-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@]2(C)CCCO2)n1 ZINC000545689803 394799323 /nfs/dbraw/zinc/79/93/23/394799323.db2.gz AYNIOYNPURXRNW-AWEZNQCLSA-N 0 0 289.291 2.979 20 5 CFBDRN COc1ccc(-c2nc([C@H]3C[C@@H]3C)no2)cc1[N+](=O)[O-] ZINC000545768124 394801402 /nfs/dbraw/zinc/80/14/02/394801402.db2.gz FRCWFTIXTLLORZ-CBAPKCEASA-N 0 0 275.264 2.777 20 5 CFBDRN COC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000547449579 394867105 /nfs/dbraw/zinc/86/71/05/394867105.db2.gz CUEXVLLGKKEZRZ-LBPRGKRZSA-N 0 0 295.339 2.696 20 5 CFBDRN Cc1[nH]nc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)c1C ZINC000547101079 394852248 /nfs/dbraw/zinc/85/22/48/394852248.db2.gz ICLVXTNHGOMMJA-UHFFFAOYSA-N 0 0 299.290 2.960 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000547180072 394854041 /nfs/dbraw/zinc/85/40/41/394854041.db2.gz GVBKHNFVNUCJDG-LDYMZIIASA-N 0 0 259.265 2.692 20 5 CFBDRN CC[C@@H](C)N(C(=O)c1cc([N+](=O)[O-])cn1C)[C@H](C)CC ZINC000547349509 394860712 /nfs/dbraw/zinc/86/07/12/394860712.db2.gz URLIRQBHBZMBTG-GHMZBOCLSA-N 0 0 281.356 2.973 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)N2[C@@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000547769251 394888289 /nfs/dbraw/zinc/88/82/89/394888289.db2.gz VVBGKWGEBAOZSI-NHCYSSNCSA-N 0 0 291.351 2.713 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)N2[C@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000547769252 394888306 /nfs/dbraw/zinc/88/83/06/394888306.db2.gz VVBGKWGEBAOZSI-UMNHJUIQSA-N 0 0 291.351 2.713 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1[C@H](C)C[C@@H]1C ZINC000547770569 394889481 /nfs/dbraw/zinc/88/94/81/394889481.db2.gz QZMDWPOIZMICGL-AOOOYVTPSA-N 0 0 250.298 2.586 20 5 CFBDRN COC1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCCC1 ZINC000548093188 394908655 /nfs/dbraw/zinc/90/86/55/394908655.db2.gz XWROMCLYHJKURO-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC(C)(C)C1CC1 ZINC000548080343 394908702 /nfs/dbraw/zinc/90/87/02/394908702.db2.gz YOKIWHTVNNTQGM-UHFFFAOYSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCO[C@]2(CCOC2)C1 ZINC000548288511 394920588 /nfs/dbraw/zinc/92/05/88/394920588.db2.gz ALLIMHIHLUDIMU-IUODEOHRSA-N 0 0 292.335 2.653 20 5 CFBDRN C[C@@H](C(=O)NC[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000548721593 394948677 /nfs/dbraw/zinc/94/86/77/394948677.db2.gz HXYCSPRDHIGTAE-GHMZBOCLSA-N 0 0 294.376 2.568 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1[C@H](C)C[C@H]1C ZINC000555682902 394981650 /nfs/dbraw/zinc/98/16/50/394981650.db2.gz GLDHKUZZFBKBSE-NXEZZACHSA-N 0 0 250.298 2.586 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCOCC1CCC1)CCN2 ZINC000555871518 394984374 /nfs/dbraw/zinc/98/43/74/394984374.db2.gz LFFPQPLFTIPZGY-UHFFFAOYSA-N 0 0 291.351 2.791 20 5 CFBDRN CC(C)C(C)(C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000558094564 395052084 /nfs/dbraw/zinc/05/20/84/395052084.db2.gz DPKGQJYEBPBBFS-UHFFFAOYSA-N 0 0 279.340 2.829 20 5 CFBDRN CC[C@@H]1CCN1C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000558163855 395054035 /nfs/dbraw/zinc/05/40/35/395054035.db2.gz XBYQFKMJVUVIIZ-CMPLNLGQSA-N 0 0 262.309 2.709 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOC2(CCCC2)C1 ZINC000268820597 296501378 /nfs/dbraw/zinc/50/13/78/296501378.db2.gz JWMCZOSSLWAAPH-UHFFFAOYSA-N 0 0 280.299 2.883 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC[C@@H](F)C1 ZINC000557663136 395042722 /nfs/dbraw/zinc/04/27/22/395042722.db2.gz UQHROSKIPFEUOR-SNVBAGLBSA-N 0 0 296.298 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(F)C2)cc1C(F)(F)F ZINC000343425048 296505897 /nfs/dbraw/zinc/50/58/97/296505897.db2.gz DECZWZMRCZBEKD-UHFFFAOYSA-N 0 0 264.178 2.772 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3CCCC[C@H]32)c2nonc21 ZINC000377994609 296507222 /nfs/dbraw/zinc/50/72/22/296507222.db2.gz VCQCXUDVZIUJFV-RKDXNWHRSA-N 0 0 274.280 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(C2)OCc2ccccc23)cn1 ZINC000350828617 296507418 /nfs/dbraw/zinc/50/74/18/296507418.db2.gz XAPCCKRPWMTSEG-INIZCTEOSA-N 0 0 297.314 2.626 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H](CCO)CC1 ZINC000420642014 296514676 /nfs/dbraw/zinc/51/46/76/296514676.db2.gz ODMXPQSRBCEEJE-LBPRGKRZSA-N 0 0 264.325 2.584 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCc2occc2C1 ZINC000289140196 296516976 /nfs/dbraw/zinc/51/69/76/296516976.db2.gz SDUBSNVHZIUJFK-UHFFFAOYSA-N 0 0 259.265 2.536 20 5 CFBDRN COC(C)(C)C[C@@H](C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000266182739 292067045 /nfs/dbraw/zinc/06/70/45/292067045.db2.gz CNOTWAXNTCXNMI-SNVBAGLBSA-N 0 0 267.329 2.914 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@H](C)C1 ZINC000051732296 296538833 /nfs/dbraw/zinc/53/88/33/296538833.db2.gz VPWHTVZGFNQVQM-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1ccc(CN2CCC[C@@H]2c2ncon2)cc1[N+](=O)[O-] ZINC000371376839 292100010 /nfs/dbraw/zinc/10/00/10/292100010.db2.gz ZZCJTNSVWWANHW-GFCCVEGCSA-N 0 0 288.307 2.623 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1nc(CC2CC2)no1 ZINC000355972038 155133905 /nfs/dbraw/zinc/13/39/05/155133905.db2.gz KXNULVFETZAMPJ-UHFFFAOYSA-N 0 0 288.307 2.585 20 5 CFBDRN C[C@]1(CCNc2ncc(F)cc2[N+](=O)[O-])CC1(F)F ZINC000601195259 397587384 /nfs/dbraw/zinc/58/73/84/397587384.db2.gz IULQNOLWWYQLLL-JTQLQIEISA-N 0 0 275.230 2.976 20 5 CFBDRN CCc1ccc(OCc2nccc(C)n2)c([N+](=O)[O-])c1 ZINC000339309270 397651330 /nfs/dbraw/zinc/65/13/30/397651330.db2.gz DWNHHPMMTCVFNJ-UHFFFAOYSA-N 0 0 273.292 2.835 20 5 CFBDRN COCCn1cc(Nc2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000048346615 397589142 /nfs/dbraw/zinc/58/91/42/397589142.db2.gz ITCVCYCDIWRKDN-UHFFFAOYSA-N 0 0 296.714 2.835 20 5 CFBDRN CC[C@@H](O)CCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000135078780 397604083 /nfs/dbraw/zinc/60/40/83/397604083.db2.gz QVXZYYRXOODWCM-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000301665729 397638808 /nfs/dbraw/zinc/63/88/08/397638808.db2.gz ZZPJCHQTHIOQPI-GFCCVEGCSA-N 0 0 280.372 2.899 20 5 CFBDRN Cc1ccc(N2CCC[C@@](C)(O)CC2)c([N+](=O)[O-])c1 ZINC000230373011 292131742 /nfs/dbraw/zinc/13/17/42/292131742.db2.gz SWEGFZHPLRADCL-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN Cc1ccc(N2CCC[C@@H]([C@@H](C)O)C2)c([N+](=O)[O-])c1 ZINC000301751252 292131803 /nfs/dbraw/zinc/13/18/03/292131803.db2.gz AUMGJIIIFITNLY-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccc(N2CC[C@@]3(C2)CCCOC3)c([N+](=O)[O-])c1 ZINC000376476875 292137276 /nfs/dbraw/zinc/13/72/76/292137276.db2.gz ALCDSXKHUUNHOW-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1ccc(N2CC[C@@H]([C@H]3CCOC3)C2)c([N+](=O)[O-])c1 ZINC000378238516 292137351 /nfs/dbraw/zinc/13/73/51/292137351.db2.gz TUJYZIYBGUBXFL-OLZOCXBDSA-N 0 0 276.336 2.766 20 5 CFBDRN Cc1nccc(COc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000356018709 155140295 /nfs/dbraw/zinc/14/02/95/155140295.db2.gz FFJBCCPLWILZPI-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Nc1c(F)c(NCC2CCCC2)ccc1[N+](=O)[O-] ZINC000356171541 155176732 /nfs/dbraw/zinc/17/67/32/155176732.db2.gz MFAGJSPENFJADA-UHFFFAOYSA-N 0 0 253.277 2.918 20 5 CFBDRN C[C@@]1(O)CCCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000230372712 289209959 /nfs/dbraw/zinc/20/99/59/289209959.db2.gz BIJKGFFSMUUNKY-CYBMUJFWSA-N 0 0 284.743 2.990 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CSC[C@H]2C)cc1[N+](=O)[O-] ZINC000356216166 155184318 /nfs/dbraw/zinc/18/43/18/155184318.db2.gz STJZASDINYSKDF-SKDRFNHKSA-N 0 0 294.376 2.639 20 5 CFBDRN CO[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CC[C@H]1C ZINC000275789950 286076431 /nfs/dbraw/zinc/07/64/31/286076431.db2.gz CETHZIVPLRZHSQ-KCJUWKMLSA-N 0 0 285.731 2.504 20 5 CFBDRN CCOCCN(CC)c1ccc(F)cc1[N+](=O)[O-] ZINC000341114232 286081365 /nfs/dbraw/zinc/08/13/65/286081365.db2.gz ZCOOQWCTOGDOHT-UHFFFAOYSA-N 0 0 256.277 2.597 20 5 CFBDRN COCCCCc1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000356329835 155230025 /nfs/dbraw/zinc/23/00/25/155230025.db2.gz BLAHPTIEOAYMRQ-UHFFFAOYSA-N 0 0 277.280 2.614 20 5 CFBDRN COCCCCc1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000356368296 155241447 /nfs/dbraw/zinc/24/14/47/155241447.db2.gz RERMIZBYQDNIQL-UHFFFAOYSA-N 0 0 295.270 2.753 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c2ncccc12)C1CC1 ZINC000356389366 155250188 /nfs/dbraw/zinc/25/01/88/155250188.db2.gz BYOHLAMMOXWTIC-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@](C)(CNc1ncc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000292242295 286147203 /nfs/dbraw/zinc/14/72/03/286147203.db2.gz GNSIFJAZEPOAFJ-GFCCVEGCSA-N 0 0 285.731 2.870 20 5 CFBDRN CCOC[C@@H]1CCCCN1c1ccccc1[N+](=O)[O-] ZINC000413423436 286148033 /nfs/dbraw/zinc/14/80/33/286148033.db2.gz DVUOINUSLNGASC-LBPRGKRZSA-N 0 0 264.325 2.990 20 5 CFBDRN O=C(NCC1(C(F)(F)F)CCC1)c1ccc([N+](=O)[O-])o1 ZINC000356458034 155273059 /nfs/dbraw/zinc/27/30/59/155273059.db2.gz JNIUTSSMVWPSJH-UHFFFAOYSA-N 0 0 292.213 2.650 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1-c1nc(CC2CCCCC2)no1 ZINC000356490408 155282210 /nfs/dbraw/zinc/28/22/10/155282210.db2.gz BFMXMJNJDPQMEW-UHFFFAOYSA-N 0 0 291.311 2.501 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1noc(C2CCC2)n1 ZINC000356498099 155284241 /nfs/dbraw/zinc/28/42/41/155284241.db2.gz KDUJKKQMOILMSM-UHFFFAOYSA-N 0 0 288.307 2.900 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2C[C@H](C)[C@@H](C)C2)c1 ZINC000301487573 286225876 /nfs/dbraw/zinc/22/58/76/286225876.db2.gz YWWTWZDLPYCUHV-IUCAKERBSA-N 0 0 268.288 2.835 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](C)[C@@H](OC)C2)c1 ZINC000302576773 286225907 /nfs/dbraw/zinc/22/59/07/286225907.db2.gz RXSGODNARKAQBP-ZANVPECISA-N 0 0 298.314 2.604 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@@H]1CC[C@H](C)C1 ZINC000429258653 286249052 /nfs/dbraw/zinc/24/90/52/286249052.db2.gz VPGQKWSXVBKVRM-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1COc1ccc(Cl)cc1 ZINC000356611966 155316945 /nfs/dbraw/zinc/31/69/45/155316945.db2.gz QSHFDQKVJDOVOL-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC[C@@H](C)F ZINC000356735485 155345443 /nfs/dbraw/zinc/34/54/43/155345443.db2.gz WWZQCVBXDNIAFO-SNVBAGLBSA-N 0 0 282.315 2.998 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000274759992 299749030 /nfs/dbraw/zinc/74/90/30/299749030.db2.gz QOUCZKSLXOVIRE-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN CCN(Cc1c([N+](=O)[O-])ncn1C)c1ccccc1C ZINC000356746279 155350832 /nfs/dbraw/zinc/35/08/32/155350832.db2.gz PPWDTEODOAOKFM-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/c1nc(Cc2ccon2)no1 ZINC000356752975 155354528 /nfs/dbraw/zinc/35/45/28/155354528.db2.gz DQCZHLXAOGJFEQ-AATRIKPKSA-N 0 0 298.258 2.727 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669420 299753526 /nfs/dbraw/zinc/75/35/26/299753526.db2.gz BHUPHOVHGHHTHN-VIFPVBQESA-N 0 0 266.272 2.749 20 5 CFBDRN O=C(Nc1cccc2[nH]c(=O)oc21)c1ccc([N+](=O)[O-])cc1 ZINC000356806446 155375074 /nfs/dbraw/zinc/37/50/74/155375074.db2.gz FYEHTKAJJNZZDT-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(C3CC3)CC2)n1 ZINC000368013030 292284442 /nfs/dbraw/zinc/28/44/42/292284442.db2.gz APWYQEXSJDSPCK-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H]2C)c1 ZINC000265993089 292283291 /nfs/dbraw/zinc/28/32/91/292283291.db2.gz DQVBPKNRIOUGLQ-CMPLNLGQSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](OCC3CC3)C2)n1 ZINC000364031976 292286550 /nfs/dbraw/zinc/28/65/50/292286550.db2.gz DDMSHEUAKWKANQ-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](OCC(C)C)C2)c1 ZINC000268287228 286383080 /nfs/dbraw/zinc/38/30/80/286383080.db2.gz SJHDDFBNHVJDKD-ZDUSSCGKSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCOC[C@@H]2C)c1 ZINC000277311202 292289958 /nfs/dbraw/zinc/28/99/58/292289958.db2.gz BJLJRAXJBOQOFB-WDEREUQCSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@]3(C)[C@H](C2)C3(F)F)n1 ZINC000291839428 292288904 /nfs/dbraw/zinc/28/89/04/292288904.db2.gz GSGDXUJOQSMWNV-CMPLNLGQSA-N 0 0 283.278 2.780 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@]2(C)CCO[C@@H]2C)c1 ZINC000230099967 292290414 /nfs/dbraw/zinc/29/04/14/292290414.db2.gz BGEUCSVNFGWVDV-ZWNOBZJWSA-N 0 0 250.298 2.883 20 5 CFBDRN CCN(C[C@@H](C)O)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000343253958 154156045 /nfs/dbraw/zinc/15/60/45/154156045.db2.gz MHGBKRHSHRHLIL-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN Cc1cnc(N[C@@H](c2nccn2C)C2CC2)c([N+](=O)[O-])c1 ZINC000343255049 154156615 /nfs/dbraw/zinc/15/66/15/154156615.db2.gz UIOLRKQIAXORGJ-GFCCVEGCSA-N 0 0 287.323 2.595 20 5 CFBDRN CCN(C[C@H](C)O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000343267875 154160550 /nfs/dbraw/zinc/16/05/50/154160550.db2.gz HGORIXNYMKKKPT-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN Cn1ccnc1[C@@H](Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000343280038 154166344 /nfs/dbraw/zinc/16/63/44/154166344.db2.gz ZMLXIJBQJRVDLS-ZDUSSCGKSA-N 0 0 272.308 2.892 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC1(C(F)(F)F)CC1 ZINC000343353490 154183405 /nfs/dbraw/zinc/18/34/05/154183405.db2.gz TVFVANXSNFRYGD-UHFFFAOYSA-N 0 0 261.203 2.744 20 5 CFBDRN CCn1nccc1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000343386938 154194166 /nfs/dbraw/zinc/19/41/66/154194166.db2.gz QMZIECBPINHCRF-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN CO[C@H](c1nc(Cc2ccccc2[N+](=O)[O-])no1)C1CC1 ZINC000345572894 154208376 /nfs/dbraw/zinc/20/83/76/154208376.db2.gz PILKAGLAOHRCOE-ZDUSSCGKSA-N 0 0 289.291 2.666 20 5 CFBDRN CO[C@@H](c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1)C(C)C ZINC000345644665 154229916 /nfs/dbraw/zinc/22/99/16/154229916.db2.gz JAMTVQAWTYJCRE-LLVKDONJSA-N 0 0 292.295 2.571 20 5 CFBDRN Cc1cnc(CC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000345665899 154234643 /nfs/dbraw/zinc/23/46/43/154234643.db2.gz KKACGIDHDVSKMS-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN COc1ccc(-c2nc(-c3cccnc3)no2)cc1[N+](=O)[O-] ZINC000345690022 154240471 /nfs/dbraw/zinc/24/04/71/154240471.db2.gz GHXQPBLAUHHYIP-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1C(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000345761631 154261235 /nfs/dbraw/zinc/26/12/35/154261235.db2.gz WBQWCFFCKHTIHG-DTWKUNHWSA-N 0 0 296.229 2.724 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1Cl ZINC000345784716 154266214 /nfs/dbraw/zinc/26/62/14/154266214.db2.gz ACIRZULIFSZUPI-IONNQARKSA-N 0 0 290.653 2.632 20 5 CFBDRN O=C(NCCC1(F)CCC1)c1ccccc1[N+](=O)[O-] ZINC000345792649 154268561 /nfs/dbraw/zinc/26/85/61/154268561.db2.gz VQOHHJSDVANRFO-UHFFFAOYSA-N 0 0 266.272 2.607 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348020595 154288955 /nfs/dbraw/zinc/28/89/55/154288955.db2.gz UCIYNPPBMJHDLC-LBPRGKRZSA-N 0 0 282.271 2.632 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H]1c1ccccc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000348076451 154307783 /nfs/dbraw/zinc/30/77/83/154307783.db2.gz DDEVLNCWXYLUNN-UONOGXRCSA-N 0 0 299.330 2.989 20 5 CFBDRN Cc1ccc(C)c(NCc2c([N+](=O)[O-])ncn2C)c1 ZINC000348123149 154328953 /nfs/dbraw/zinc/32/89/53/154328953.db2.gz QLLYGIZUGGESSA-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CC(C)(C(=O)Nc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000348175758 154349196 /nfs/dbraw/zinc/34/91/96/154349196.db2.gz FFOJARUOIZTKDH-UHFFFAOYSA-N 0 0 276.214 2.964 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000348178004 154350583 /nfs/dbraw/zinc/35/05/83/154350583.db2.gz YKCAKCFSJKHCMN-LDYMZIIASA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2C[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000348176274 154351053 /nfs/dbraw/zinc/35/10/53/154351053.db2.gz XNFZNEAJCQPTGJ-BDAKNGLRSA-N 0 0 285.250 2.678 20 5 CFBDRN Cc1oc(-c2cccs2)nc1Cn1nccc1[N+](=O)[O-] ZINC000348186957 154354801 /nfs/dbraw/zinc/35/48/01/154354801.db2.gz SNQPKPLXEVNNMG-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN CN(CCCC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])nc1 ZINC000348229136 154370073 /nfs/dbraw/zinc/37/00/73/154370073.db2.gz KAKRELCUDLDVLF-UHFFFAOYSA-N 0 0 295.339 2.548 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1cncs1 ZINC000348234341 154372532 /nfs/dbraw/zinc/37/25/32/154372532.db2.gz BFASFHTVRBDNHG-LURJTMIESA-N 0 0 283.334 2.604 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)c2cncs2)cccc1[N+](=O)[O-] ZINC000348247120 154379552 /nfs/dbraw/zinc/37/95/52/154379552.db2.gz NCMPQHQZDQBROM-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)c2cncs2)c1[N+](=O)[O-] ZINC000348249432 154380220 /nfs/dbraw/zinc/38/02/20/154380220.db2.gz RFEOJJNWKXZKIV-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@H](NC(=O)c1ccccc1[N+](=O)[O-])c1cncs1 ZINC000348271360 154388773 /nfs/dbraw/zinc/38/87/73/154388773.db2.gz WWZUCTXJNWLYCT-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN CC(=O)c1c[nH]c(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000352178401 154490951 /nfs/dbraw/zinc/49/09/51/154490951.db2.gz MQCFWSPXWIDWEJ-UHFFFAOYSA-N 0 0 291.238 2.517 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@H]1CCCS1 ZINC000352359000 154541743 /nfs/dbraw/zinc/54/17/43/154541743.db2.gz AUKROAAXPWYVIH-LLVKDONJSA-N 0 0 289.360 2.872 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2CC[C@H](C)O2)n1 ZINC000352562241 154613047 /nfs/dbraw/zinc/61/30/47/154613047.db2.gz IDCFEKAIUHXSKK-QWRGUYRKSA-N 0 0 266.297 2.553 20 5 CFBDRN COCCCCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177835846 292315741 /nfs/dbraw/zinc/31/57/41/292315741.db2.gz VFSWGFODYWCATM-GFCCVEGCSA-N 0 0 294.351 2.897 20 5 CFBDRN CC[C@@H](C)[C@H](C)N(C(=O)c1ccc([N+](=O)[O-])n1C)C1CC1 ZINC000355196918 154949466 /nfs/dbraw/zinc/94/94/66/154949466.db2.gz ZWUWIZAMUZIWIP-MNOVXSKESA-N 0 0 293.367 2.973 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000355410552 155003361 /nfs/dbraw/zinc/00/33/61/155003361.db2.gz GTVRMMCEKQPDFV-HZMBPMFUSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000355410553 155003450 /nfs/dbraw/zinc/00/34/50/155003450.db2.gz GTVRMMCEKQPDFV-IINYFYTJSA-N 0 0 282.340 2.812 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(-c2ccoc2)n1 ZINC000355690637 155063693 /nfs/dbraw/zinc/06/36/93/155063693.db2.gz QAESDEYMDSAQIC-UHFFFAOYSA-N 0 0 271.232 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccccc3F)no2)[nH]1 ZINC000355775437 155082485 /nfs/dbraw/zinc/08/24/85/155082485.db2.gz JMWNDIZIDRPPQU-UHFFFAOYSA-N 0 0 274.211 2.779 20 5 CFBDRN Cc1cccc(Cc2noc(-c3ccc([N+](=O)[O-])n3C)n2)c1 ZINC000355816839 155095178 /nfs/dbraw/zinc/09/51/78/155095178.db2.gz HIHLNFCIQMLDNG-UHFFFAOYSA-N 0 0 298.302 2.883 20 5 CFBDRN CC(C)(C(=O)NCC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000357848891 155556608 /nfs/dbraw/zinc/55/66/08/155556608.db2.gz AGOFLBSOHICXMX-UHFFFAOYSA-N 0 0 280.299 2.538 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)CCCCC1 ZINC000357999224 155596678 /nfs/dbraw/zinc/59/66/78/155596678.db2.gz FXCFOEOGPOTVHQ-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN Cc1ccncc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000358041089 155612371 /nfs/dbraw/zinc/61/23/71/155612371.db2.gz FJDYCNOPCCEYIL-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2Cc3ccccc32)c([N+](=O)[O-])c1 ZINC000358051638 155616182 /nfs/dbraw/zinc/61/61/82/155616182.db2.gz RHTSNGRVUPXDOY-ZDUSSCGKSA-N 0 0 298.298 2.882 20 5 CFBDRN Cc1nccc(Cn2ccc3c2cccc3[N+](=O)[O-])n1 ZINC000358580247 155810902 /nfs/dbraw/zinc/81/09/02/155810902.db2.gz MFMDLVNTNDBZED-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1ncc(Cl)cn1 ZINC000359009312 155951119 /nfs/dbraw/zinc/95/11/19/155951119.db2.gz ZFNJCEKSPVWHAT-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN CO[C@@H](CNc1nc(C)cc(C)c1[N+](=O)[O-])C(C)C ZINC000359090534 155982023 /nfs/dbraw/zinc/98/20/23/155982023.db2.gz GDCHPAMLBKBUEW-NSHDSACASA-N 0 0 267.329 2.690 20 5 CFBDRN CC(C)=CCNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000360177179 156042085 /nfs/dbraw/zinc/04/20/85/156042085.db2.gz IVLJQTAFRGMPBE-UHFFFAOYSA-N 0 0 273.292 2.772 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(CC(C)C)C1CC1 ZINC000360879071 156062929 /nfs/dbraw/zinc/06/29/29/156062929.db2.gz ACWMVPYRJBZRLF-UHFFFAOYSA-N 0 0 266.345 2.744 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)Cc1cccn1C ZINC000362783561 156084044 /nfs/dbraw/zinc/08/40/44/156084044.db2.gz WPJLQWNCRKZQPC-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN CCCCN(C)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000362817138 156091976 /nfs/dbraw/zinc/09/19/76/156091976.db2.gz IWMCLFZFMAPCRO-UHFFFAOYSA-N 0 0 276.296 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC(F)(F)C2)nc1 ZINC000362992495 156138683 /nfs/dbraw/zinc/13/86/83/156138683.db2.gz LKXMCXQSGODAKP-MRVPVSSYSA-N 0 0 257.240 2.980 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1ccco1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000363237067 156164189 /nfs/dbraw/zinc/16/41/89/156164189.db2.gz DKAQHQFGLWHRFA-NWDGAFQWSA-N 0 0 298.298 2.881 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC2(CCC2)C1 ZINC000366240067 156233345 /nfs/dbraw/zinc/23/33/45/156233345.db2.gz AIOVPDUKTBLVCU-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN COc1ccc(C(=O)N2CCCC23CCC3)cc1[N+](=O)[O-] ZINC000366790654 156238661 /nfs/dbraw/zinc/23/86/61/156238661.db2.gz LDUJSVOSHOESNX-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC[C@H](C2CC2)C1 ZINC000367104267 156282363 /nfs/dbraw/zinc/28/23/63/156282363.db2.gz ZMCZROWLYOPCPE-AWEZNQCLSA-N 0 0 288.347 2.786 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@H]3OCCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000367799861 156391467 /nfs/dbraw/zinc/39/14/67/156391467.db2.gz CEJCEEYOGHQUGS-NJZAAPMLSA-N 0 0 290.319 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](Oc3ccccc3)C2)n1 ZINC000371978357 156836894 /nfs/dbraw/zinc/83/68/94/156836894.db2.gz KSQCAMRIBPMUMF-AWEZNQCLSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1cc(N2CCCC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000374104413 157069576 /nfs/dbraw/zinc/06/95/76/157069576.db2.gz DUYGTDUFCWRKEO-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000374518639 157124952 /nfs/dbraw/zinc/12/49/52/157124952.db2.gz CSYZEXNRXHIMAD-DZGCQCFKSA-N 0 0 292.335 2.653 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H](CO)c3ccccc32)c1 ZINC000374588148 157134418 /nfs/dbraw/zinc/13/44/18/157134418.db2.gz ZCMSZSNYAWUCJG-QMTHXVAHSA-N 0 0 299.330 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@@H]2c2ccco2)cc1 ZINC000375798850 157286940 /nfs/dbraw/zinc/28/69/40/157286940.db2.gz XRLPUIYIZCVBLD-CQSZACIVSA-N 0 0 288.303 2.761 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCC3)CC2)s1 ZINC000376205976 157334185 /nfs/dbraw/zinc/33/41/85/157334185.db2.gz UYEQKNMMNYKXLV-UHFFFAOYSA-N 0 0 253.327 2.822 20 5 CFBDRN C[C@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000376473868 157360240 /nfs/dbraw/zinc/36/02/40/157360240.db2.gz JDHOGDGEBBCRMB-HUUCEWRRSA-N 0 0 291.351 2.794 20 5 CFBDRN COc1cc(N2CC[C@]3(C2)CCCOC3)ccc1[N+](=O)[O-] ZINC000376486091 157361214 /nfs/dbraw/zinc/36/12/14/157361214.db2.gz GPGYPTRLGHUFAZ-HNNXBMFYSA-N 0 0 292.335 2.610 20 5 CFBDRN CC1(C)[C@H](Nc2ncccc2[N+](=O)[O-])[C@H]2CCCO[C@@H]21 ZINC000376491419 157363333 /nfs/dbraw/zinc/36/33/33/157363333.db2.gz KYFFNZZBRJEENU-JLLWLGSASA-N 0 0 277.324 2.605 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000408191260 157385250 /nfs/dbraw/zinc/38/52/50/157385250.db2.gz GGIVOWFAPBPIKQ-GIPNMCIBSA-N 0 0 277.324 2.716 20 5 CFBDRN Cc1cnc(N2CCC3(CCOCC3)[C@H]2C)c([N+](=O)[O-])c1 ZINC000408199706 157385816 /nfs/dbraw/zinc/38/58/16/157385816.db2.gz VXHJJVAWHCFJJY-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN CS[C@H]1CCCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000154279664 286715191 /nfs/dbraw/zinc/71/51/91/286715191.db2.gz LOHREEZOVYGJGF-NSHDSACASA-N 0 0 294.376 2.953 20 5 CFBDRN C[C@@H](F)CCNc1ncc([N+](=O)[O-])cc1Br ZINC000338758568 161577605 /nfs/dbraw/zinc/57/76/05/161577605.db2.gz WUXINSFRHVADMI-ZCFIWIBFSA-N 0 0 292.108 2.912 20 5 CFBDRN CC(C)CCO[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000049275667 161501759 /nfs/dbraw/zinc/50/17/59/161501759.db2.gz IAZUOGDIEIPPHO-NSHDSACASA-N 0 0 280.324 2.985 20 5 CFBDRN CCOc1ccc(C(=O)N(C)C2CCC2)cc1[N+](=O)[O-] ZINC000172701553 161518385 /nfs/dbraw/zinc/51/83/85/161518385.db2.gz MQLIEMMATIJVAK-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@@H]1CCc2ccccc2C1 ZINC000336013243 161561890 /nfs/dbraw/zinc/56/18/90/161561890.db2.gz JSTLILRUZKHIAX-GFCCVEGCSA-N 0 0 284.315 2.562 20 5 CFBDRN C[C@H]1C[C@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])C[C@@H](C)C1 ZINC000342375070 161618408 /nfs/dbraw/zinc/61/84/08/161618408.db2.gz KWAYOYTXQWCQNZ-URLYPYJESA-N 0 0 291.351 2.930 20 5 CFBDRN CSc1ccc(F)cc1CNc1c([N+](=O)[O-])ncn1C ZINC000342469235 161636613 /nfs/dbraw/zinc/63/66/13/161636613.db2.gz UFTZCEOYJPZBKM-UHFFFAOYSA-N 0 0 296.327 2.801 20 5 CFBDRN CCCC1(CNc2cccc(C(N)=O)c2[N+](=O)[O-])CC1 ZINC000342687901 161687088 /nfs/dbraw/zinc/68/70/88/161687088.db2.gz OTXAKLUTWOTCSB-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN O=C(Nc1cccnc1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000344246715 161985098 /nfs/dbraw/zinc/98/50/98/161985098.db2.gz IMVBXNHYDHMXLH-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN COc1ccc(Oc2nc(C)cc(OC)n2)c([N+](=O)[O-])c1 ZINC000344424083 162039805 /nfs/dbraw/zinc/03/98/05/162039805.db2.gz TVAGFJJTTMACRP-UHFFFAOYSA-N 0 0 291.263 2.503 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(-c3ccccc3)c2)cn1 ZINC000344419362 162040937 /nfs/dbraw/zinc/04/09/37/162040937.db2.gz MVDOJRSUSKHCBN-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000344443207 162043374 /nfs/dbraw/zinc/04/33/74/162043374.db2.gz SNAXLTWPFSMDMW-CYBMUJFWSA-N 0 0 273.292 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCOc3ccccc32)cn1 ZINC000344439875 162043841 /nfs/dbraw/zinc/04/38/41/162043841.db2.gz OAVNVZOAUHMQLY-NSHDSACASA-N 0 0 285.303 2.968 20 5 CFBDRN C[C@H]1Cc2ccccc2N1CCNc1ccc([N+](=O)[O-])nc1 ZINC000344444333 162046610 /nfs/dbraw/zinc/04/66/10/162046610.db2.gz XWPCDAKWABFMDV-LBPRGKRZSA-N 0 0 298.346 2.853 20 5 CFBDRN CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccncc1 ZINC000344458258 162049789 /nfs/dbraw/zinc/04/97/89/162049789.db2.gz QHNRWHFFWKVKKH-UHFFFAOYSA-N 0 0 289.266 2.796 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2CCOCC(F)F ZINC000344654288 162089550 /nfs/dbraw/zinc/08/95/50/162089550.db2.gz LTJRNQKTVBVBCD-UHFFFAOYSA-N 0 0 270.235 2.831 20 5 CFBDRN CC(=Cc1cccc([N+](=O)[O-])c1)CNc1cc(C)n(C)n1 ZINC000344819991 162128210 /nfs/dbraw/zinc/12/82/10/162128210.db2.gz VWGFMOHQDYHVEB-YRNVUSSQSA-N 0 0 286.335 2.574 20 5 CFBDRN Cc1c(NC(=O)N[C@]2(C)CCO[C@H]2C)cccc1[N+](=O)[O-] ZINC000344908689 162147758 /nfs/dbraw/zinc/14/77/58/162147758.db2.gz CBBBTDTUQMAHHT-IINYFYTJSA-N 0 0 293.323 2.592 20 5 CFBDRN CS(=O)(=O)c1cccc(Nc2ccccc2)c1[N+](=O)[O-] ZINC000345191768 162198135 /nfs/dbraw/zinc/19/81/35/162198135.db2.gz RPDVJUFPIRWHEO-UHFFFAOYSA-N 0 0 292.316 2.742 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000345249009 162209240 /nfs/dbraw/zinc/20/92/40/162209240.db2.gz CGFRROMAQJZSQV-JQWIXIFHSA-N 0 0 299.330 2.940 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000345248579 162209283 /nfs/dbraw/zinc/20/92/83/162209283.db2.gz CCFCNYGWZMGUED-WCBMZHEXSA-N 0 0 263.297 2.673 20 5 CFBDRN CN(C(=O)Cc1cccc(F)c1)c1ccc([N+](=O)[O-])cc1 ZINC000345358060 162233606 /nfs/dbraw/zinc/23/36/06/162233606.db2.gz WPFCUFYNMMLUAQ-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN Cc1sc(C(=O)Nc2nc(C)ccc2O)cc1[N+](=O)[O-] ZINC000345919740 162276134 /nfs/dbraw/zinc/27/61/34/162276134.db2.gz CATNJSPFEIZEGL-UHFFFAOYSA-N 0 0 293.304 2.626 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000345961471 162285942 /nfs/dbraw/zinc/28/59/42/162285942.db2.gz PJDCACZZXVDMMP-NSHDSACASA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000346249890 162362401 /nfs/dbraw/zinc/36/24/01/162362401.db2.gz PHJMCNQQLWUQBB-SKDRFNHKSA-N 0 0 277.324 2.501 20 5 CFBDRN Cc1nc(CCNc2nccc(C)c2[N+](=O)[O-])c(C)o1 ZINC000346856064 162538616 /nfs/dbraw/zinc/53/86/16/162538616.db2.gz WOWWDOWKGGEDOR-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN Cc1ccc(NCc2c([N+](=O)[O-])ncn2C)cc1C ZINC000347719800 162763217 /nfs/dbraw/zinc/76/32/17/162763217.db2.gz HWXXEEWEOWXPHJ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCOCc2ccccc21 ZINC000347875030 162793187 /nfs/dbraw/zinc/79/31/87/162793187.db2.gz NOZVLZZUSYKIQB-UHFFFAOYSA-N 0 0 298.298 2.772 20 5 CFBDRN O=C(CC1CCC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347979437 162811804 /nfs/dbraw/zinc/81/18/04/162811804.db2.gz FQVWTDZVNAGOMW-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN Cc1nc(NCC2Cc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000348366592 162836606 /nfs/dbraw/zinc/83/66/06/162836606.db2.gz QHQVDXNHMFMXLT-UHFFFAOYSA-N 0 0 283.331 2.547 20 5 CFBDRN Cc1cnc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)o1 ZINC000348455114 162853637 /nfs/dbraw/zinc/85/36/37/162853637.db2.gz NPIKYYOQEZDXBA-UHFFFAOYSA-N 0 0 293.304 2.622 20 5 CFBDRN CCCC1(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000348840579 162908746 /nfs/dbraw/zinc/90/87/46/162908746.db2.gz IACZPOHHFRPTOC-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CSC[C@H](O)COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000349111323 162942887 /nfs/dbraw/zinc/94/28/87/162942887.db2.gz WOJWSMFVSNTVDI-MRVPVSSYSA-N 0 0 291.756 2.659 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc(C3CCOCC3)no2)c1 ZINC000349843575 163025727 /nfs/dbraw/zinc/02/57/27/163025727.db2.gz KFBKQIVTDMIYAL-UHFFFAOYSA-N 0 0 293.254 2.678 20 5 CFBDRN C[C@@H]1CC[C@@H](COc2c(Cl)cncc2[N+](=O)[O-])O1 ZINC000349856624 163028362 /nfs/dbraw/zinc/02/83/62/163028362.db2.gz XOHIEILZZJNUEM-SFYZADRCSA-N 0 0 272.688 2.590 20 5 CFBDRN Cc1cccc(COc2c(Cl)cncc2[N+](=O)[O-])n1 ZINC000349913428 163034794 /nfs/dbraw/zinc/03/47/94/163034794.db2.gz KYDDOHAGLRMMSK-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CCNc1cnccn1 ZINC000349902668 163035397 /nfs/dbraw/zinc/03/53/97/163035397.db2.gz JWRQYKXMDBIAHR-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@H](C(C)C)C1 ZINC000350361821 163073874 /nfs/dbraw/zinc/07/38/74/163073874.db2.gz WVJGCAFEUXAILJ-HNNXBMFYSA-N 0 0 278.352 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3cncs3)no2)cc1 ZINC000350472108 163084747 /nfs/dbraw/zinc/08/47/47/163084747.db2.gz IBUHHDOFHIBITF-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2C[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000350785950 163105943 /nfs/dbraw/zinc/10/59/43/163105943.db2.gz KWFPERJSVIIXIW-DTWKUNHWSA-N 0 0 285.250 2.678 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2ncc[nH]2)no1 ZINC000351077232 163118657 /nfs/dbraw/zinc/11/86/57/163118657.db2.gz DKWNJIRIPABAAW-WAYWQWQTSA-N 0 0 283.247 2.538 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCOC1 ZINC000351341905 163127534 /nfs/dbraw/zinc/12/75/34/163127534.db2.gz QNHLPFDDLYRVQY-NSHDSACASA-N 0 0 278.308 2.577 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C[C@@H]1O ZINC000351499180 163134953 /nfs/dbraw/zinc/13/49/53/163134953.db2.gz BXZMOTQGLDZXIT-GWCFXTLKSA-N 0 0 294.351 2.589 20 5 CFBDRN Cc1cc(Oc2ccc3c(c2)CN(C)C3=O)ncc1[N+](=O)[O-] ZINC000351507112 163136007 /nfs/dbraw/zinc/13/60/07/163136007.db2.gz XORMCKLXXBTVEB-UHFFFAOYSA-N 0 0 299.286 2.676 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)CCCC1 ZINC000351596230 163153814 /nfs/dbraw/zinc/15/38/14/163153814.db2.gz NRBHWIPVHLVJNX-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN O=C(Nc1ccc2ccccc2n1)c1ccc([N+](=O)[O-])[nH]1 ZINC000351685078 163173593 /nfs/dbraw/zinc/17/35/93/163173593.db2.gz BJPXZMLHJLMTJS-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)N(C)Cc1ccc([N+](=O)[O-])cc1 ZINC000351873576 163208400 /nfs/dbraw/zinc/20/84/00/163208400.db2.gz HDVSWCOELYTACL-CQSZACIVSA-N 0 0 294.351 2.614 20 5 CFBDRN CCC[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000352771297 163231507 /nfs/dbraw/zinc/23/15/07/163231507.db2.gz NYLALASEZRQHSE-CYBMUJFWSA-N 0 0 294.351 2.604 20 5 CFBDRN CCCc1cc(C(=O)NCc2ccc([N+](=O)[O-])cc2)ccn1 ZINC000352919295 163270188 /nfs/dbraw/zinc/27/01/88/163270188.db2.gz XIGROFQEINOQMG-UHFFFAOYSA-N 0 0 299.330 2.872 20 5 CFBDRN Cc1cc(NC(=O)N[C@]2(C)CCCOC2)ccc1[N+](=O)[O-] ZINC000352916255 163270289 /nfs/dbraw/zinc/27/02/89/163270289.db2.gz RKQKDWPRQCKKPD-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000353080624 163302992 /nfs/dbraw/zinc/30/29/92/163302992.db2.gz FGBMLZRKGDLIDA-JQWIXIFHSA-N 0 0 294.376 2.915 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1cc(C2CC2)n(C)n1 ZINC000353218496 163319317 /nfs/dbraw/zinc/31/93/17/163319317.db2.gz KIPZLTZMOXHZDE-UHFFFAOYSA-N 0 0 286.335 2.548 20 5 CFBDRN CCO[C@@H]1COCC[C@H]1Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000353267124 163333566 /nfs/dbraw/zinc/33/35/66/163333566.db2.gz OSWYCNIDVCWIHJ-TZMCWYRMSA-N 0 0 280.324 2.509 20 5 CFBDRN CC[C@H](N[C@@H](C)c1ncnn1C)c1cccc([N+](=O)[O-])c1 ZINC000353338484 163348661 /nfs/dbraw/zinc/34/86/61/163348661.db2.gz UWBWWYIENDFKBU-GWCFXTLKSA-N 0 0 289.339 2.525 20 5 CFBDRN CC(C)OCCCN(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000353757482 163445915 /nfs/dbraw/zinc/44/59/15/163445915.db2.gz YXMPCDNSVYFXLB-UHFFFAOYSA-N 0 0 298.314 2.621 20 5 CFBDRN CC[C@@H]1CCCCN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000264946898 287017439 /nfs/dbraw/zinc/01/74/39/287017439.db2.gz VQSOLKGUNNKCOW-GFCCVEGCSA-N 0 0 291.351 2.723 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000450898133 287111092 /nfs/dbraw/zinc/11/10/92/287111092.db2.gz SKJDNPCAFZYKCR-WDEREUQCSA-N 0 0 291.351 2.709 20 5 CFBDRN C[C@@H]1CC(NC(=O)c2c(N)cccc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000181113942 287226173 /nfs/dbraw/zinc/22/61/73/287226173.db2.gz RRIJPFKBENZEEF-UWVGGRQHSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1cc(OCCOCC(F)F)ccc1[N+](=O)[O-] ZINC000284285304 196021028 /nfs/dbraw/zinc/02/10/28/196021028.db2.gz QQWKGKNQPXROFN-UHFFFAOYSA-N 0 0 261.224 2.564 20 5 CFBDRN O=C(COc1ccc(F)cc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000005867095 170148886 /nfs/dbraw/zinc/14/88/86/170148886.db2.gz DURZSXOJMSDKBR-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN COc1ccccc1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000005869542 170149245 /nfs/dbraw/zinc/14/92/45/170149245.db2.gz OYKYTFQYHUAKND-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN Cc1ccc(C)c(C(=O)COc2cccnc2[N+](=O)[O-])c1 ZINC000005970945 170164521 /nfs/dbraw/zinc/16/45/21/170164521.db2.gz BXHGSEAYHIQODR-UHFFFAOYSA-N 0 0 286.287 2.868 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccccc1F ZINC000006061677 170173151 /nfs/dbraw/zinc/17/31/51/170173151.db2.gz CEABYBJGNRZOFJ-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN Cc1ccnc(NC(=O)c2cccc(C)c2[N+](=O)[O-])c1 ZINC000006396932 170198150 /nfs/dbraw/zinc/19/81/50/170198150.db2.gz YRNMKEFJQMVEEI-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CCc1nnc(SCc2ccc([N+](=O)[O-])cc2)o1 ZINC000006419372 170200087 /nfs/dbraw/zinc/20/00/87/170200087.db2.gz ZLQFQTJOVRNAOT-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN COc1ccc(C=O)cc1Oc1ncccc1[N+](=O)[O-] ZINC000007185984 170270216 /nfs/dbraw/zinc/27/02/16/170270216.db2.gz DNUUCTFFENJYJS-UHFFFAOYSA-N 0 0 274.232 2.603 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000007358640 170279392 /nfs/dbraw/zinc/27/93/92/170279392.db2.gz UUIBJEFLXMGSIN-FZMZJTMJSA-N 0 0 291.351 2.702 20 5 CFBDRN CCCCCNC(=O)COc1ccc(C)cc1[N+](=O)[O-] ZINC000008506319 170338510 /nfs/dbraw/zinc/33/85/10/170338510.db2.gz OYBDCKDLEYLUQS-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN Cc1nn(CC(=O)c2c[nH]c3ccccc23)c(C)c1[N+](=O)[O-] ZINC000008985964 170360598 /nfs/dbraw/zinc/36/05/98/170360598.db2.gz AFTOIOSLWSSXQY-UHFFFAOYSA-N 0 0 298.302 2.772 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1cc[nH]c1C1CC1 ZINC000284375507 196042653 /nfs/dbraw/zinc/04/26/53/196042653.db2.gz OWGPFSJMZXPRAI-UHFFFAOYSA-N 0 0 299.330 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000284394902 196045954 /nfs/dbraw/zinc/04/59/54/196045954.db2.gz ZHBZDFAPZMEVFJ-NSHDSACASA-N 0 0 298.320 2.915 20 5 CFBDRN CC[C@](C)(CNc1ccc(F)cc1[N+](=O)[O-])OC ZINC000322808933 270296755 /nfs/dbraw/zinc/29/67/55/270296755.db2.gz SVSKXTDYMBEPFK-GFCCVEGCSA-N 0 0 256.277 2.961 20 5 CFBDRN COCC1(NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000284618280 196096603 /nfs/dbraw/zinc/09/66/03/196096603.db2.gz AVFUZDMMQISRSS-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN COc1ccc(Oc2ccc([N+](=O)[O-])cn2)c([N+](=O)[O-])c1 ZINC000022314344 171384730 /nfs/dbraw/zinc/38/47/30/171384730.db2.gz ZOBXIAVJJLKLSF-UHFFFAOYSA-N 0 0 291.219 2.699 20 5 CFBDRN O=C(NCc1ccc(Cl)s1)c1ccc([N+](=O)[O-])o1 ZINC000025909581 171467216 /nfs/dbraw/zinc/46/72/16/171467216.db2.gz LHYBFBFIWRDJKK-UHFFFAOYSA-N 0 0 286.696 2.833 20 5 CFBDRN C[C@@H](Cc1cccs1)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000033203534 171773755 /nfs/dbraw/zinc/77/37/55/171773755.db2.gz UJQRBPGKURKLFP-QMMMGPOBSA-N 0 0 280.305 2.610 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCCCF)c1 ZINC000284951923 196163107 /nfs/dbraw/zinc/16/31/07/196163107.db2.gz AJWVYMHPVBYQIP-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN CCc1[nH]ccc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000285139048 196205100 /nfs/dbraw/zinc/20/51/00/196205100.db2.gz LGUUXLQHEAZABZ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1c(CS(=O)(=O)CCCCF)cccc1[N+](=O)[O-] ZINC000285143003 196206541 /nfs/dbraw/zinc/20/65/41/196206541.db2.gz RCXCQJVHLJKLKL-UHFFFAOYSA-N 0 0 289.328 2.568 20 5 CFBDRN CCc1[nH]ccc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000285207945 196221851 /nfs/dbraw/zinc/22/18/51/196221851.db2.gz TVTRGFOFXPFGQF-SNVBAGLBSA-N 0 0 287.319 2.976 20 5 CFBDRN CCCCN(C(=O)c1cc([N+](=O)[O-])n[nH]1)C1CCCCC1 ZINC000285316056 196248990 /nfs/dbraw/zinc/24/89/90/196248990.db2.gz WCXCCHIMPIHLTA-UHFFFAOYSA-N 0 0 294.355 2.893 20 5 CFBDRN Cc1cnc(OCC[C@@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000285345124 196256324 /nfs/dbraw/zinc/25/63/24/196256324.db2.gz ZQIJXAOCWLAOPJ-CQSZACIVSA-N 0 0 288.303 2.801 20 5 CFBDRN CN(C/C=C/Cl)CCc1ccccc1[N+](=O)[O-] ZINC000285361366 196260946 /nfs/dbraw/zinc/26/09/46/196260946.db2.gz QHZKKRZIMRNYPT-XBXARRHUSA-N 0 0 254.717 2.822 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000285472320 196296611 /nfs/dbraw/zinc/29/66/11/196296611.db2.gz VDSHHZMJKCVWHD-TXEJJXNPSA-N 0 0 284.743 2.857 20 5 CFBDRN CCOC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000036671010 172648174 /nfs/dbraw/zinc/64/81/74/172648174.db2.gz RAALMWDEPHKWCU-UHFFFAOYSA-N 0 0 273.672 2.580 20 5 CFBDRN CC[C@@H](N[C@H](C)c1nncn1C)c1cccc([N+](=O)[O-])c1 ZINC000037017022 172878198 /nfs/dbraw/zinc/87/81/98/172878198.db2.gz JYWLFRGRELZGIS-ZWNOBZJWSA-N 0 0 289.339 2.525 20 5 CFBDRN Cc1noc([C@H]2CCCN2Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000285687684 196366773 /nfs/dbraw/zinc/36/67/73/196366773.db2.gz OFJMDPWEJLUWCH-CYBMUJFWSA-N 0 0 288.307 2.623 20 5 CFBDRN COC1CCN(Cc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000037266261 173075573 /nfs/dbraw/zinc/07/55/73/173075573.db2.gz FOHXSLXJTWUOFK-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2F)s1 ZINC000037285989 173092424 /nfs/dbraw/zinc/09/24/24/173092424.db2.gz STFCTDYWLNXYEO-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Nc1ccccc1[N+](=O)[O-] ZINC000037298578 173102689 /nfs/dbraw/zinc/10/26/89/173102689.db2.gz KZTCSENCHIJYSR-AAEUAGOBSA-N 0 0 250.298 2.964 20 5 CFBDRN CC[C@H]([NH2+]CCCC(=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000037418085 173195575 /nfs/dbraw/zinc/19/55/75/173195575.db2.gz WBPHHUXKCIBRIS-LBPRGKRZSA-N 0 0 266.297 2.500 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCCO2)c(F)c1 ZINC000037987980 173949108 /nfs/dbraw/zinc/94/91/08/173949108.db2.gz QSKNZBYFLATHAE-SNVBAGLBSA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1nccc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000038037266 174037865 /nfs/dbraw/zinc/03/78/65/174037865.db2.gz GNBGQHJEGPDADN-JTQLQIEISA-N 0 0 272.308 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCOC2)c(F)c1 ZINC000038046440 174055376 /nfs/dbraw/zinc/05/53/76/174055376.db2.gz PZBHOXUDPTYJIY-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1ccc(O)cc1 ZINC000038078781 174088871 /nfs/dbraw/zinc/08/88/71/174088871.db2.gz HJUWUGBMNHNLRR-UHFFFAOYSA-N 0 0 279.321 2.598 20 5 CFBDRN Cc1csc(CNCc2cccc([N+](=O)[O-])c2C)n1 ZINC000038091457 174104573 /nfs/dbraw/zinc/10/45/73/174104573.db2.gz IJCMBJCMJITOJH-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN CC(C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000040779513 174404379 /nfs/dbraw/zinc/40/43/79/174404379.db2.gz YVXJSBPLQDLIRU-NSHDSACASA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1c(CSc2ncccn2)cccc1[N+](=O)[O-] ZINC000042128175 174639223 /nfs/dbraw/zinc/63/92/23/174639223.db2.gz VINDPDVUAITJSW-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000043149875 174981376 /nfs/dbraw/zinc/98/13/76/174981376.db2.gz FFGQPYBCXCOVMA-SECBINFHSA-N 0 0 251.286 2.823 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000043149876 174981420 /nfs/dbraw/zinc/98/14/20/174981420.db2.gz FFGQPYBCXCOVMA-VIFPVBQESA-N 0 0 251.286 2.823 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000043510854 175060240 /nfs/dbraw/zinc/06/02/40/175060240.db2.gz ZIFODPLXSXCWDO-CYBMUJFWSA-N 0 0 273.292 2.789 20 5 CFBDRN CC(C)c1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000044821502 175258935 /nfs/dbraw/zinc/25/89/35/175258935.db2.gz BCMBLKHCOYKXPW-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CCOC(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000047202955 175404607 /nfs/dbraw/zinc/40/46/07/175404607.db2.gz VQSROORDNKRQRJ-UHFFFAOYSA-N 0 0 263.171 2.790 20 5 CFBDRN CCn1cc([C@@H](C)NCc2ccccc2[N+](=O)[O-])cn1 ZINC000050015659 175506649 /nfs/dbraw/zinc/50/66/49/175506649.db2.gz LKQSGHQXWAXRPH-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN Cc1cccc(NC[C@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC000286396480 196590493 /nfs/dbraw/zinc/59/04/93/196590493.db2.gz OBELOYLIFPIJSR-VXGBXAGGSA-N 0 0 264.325 2.988 20 5 CFBDRN Cc1ncsc1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000071213250 176085003 /nfs/dbraw/zinc/08/50/03/176085003.db2.gz DMTFUCZUVHQNBW-UHFFFAOYSA-N 0 0 295.349 2.681 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC000286417119 196596098 /nfs/dbraw/zinc/59/60/98/196596098.db2.gz POMNYLVVRXBMFO-MFKMUULPSA-N 0 0 292.335 2.882 20 5 CFBDRN Cc1cccnc1CNCc1ccc(C)c([N+](=O)[O-])c1 ZINC000071339732 176129972 /nfs/dbraw/zinc/12/99/72/176129972.db2.gz ZJQAWWSBGFRIJS-UHFFFAOYSA-N 0 0 271.320 2.896 20 5 CFBDRN Cc1cccnc1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000071344404 176131104 /nfs/dbraw/zinc/13/11/04/176131104.db2.gz YBRTZDJAWFIJBQ-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN CCOC(=O)CCCOc1cc(C)ccc1[N+](=O)[O-] ZINC000071815314 176269082 /nfs/dbraw/zinc/26/90/82/176269082.db2.gz TYWPNCCRKURVOH-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN O=C(COC1CCCC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000071971506 176306178 /nfs/dbraw/zinc/30/61/78/176306178.db2.gz GHIUDLGIXKYWJD-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN COc1ccncc1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000072064564 176311943 /nfs/dbraw/zinc/31/19/43/176311943.db2.gz STGGIYSWBAXIOD-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000072545125 176411429 /nfs/dbraw/zinc/41/14/29/176411429.db2.gz OIGZKPJFQODTPO-WDEREUQCSA-N 0 0 262.309 2.855 20 5 CFBDRN CO[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000072930994 176441967 /nfs/dbraw/zinc/44/19/67/176441967.db2.gz YQCQPSUKIGRGCN-GFCCVEGCSA-N 0 0 295.339 2.777 20 5 CFBDRN CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)(C)C ZINC000073218534 176461936 /nfs/dbraw/zinc/46/19/36/176461936.db2.gz KBEHBAWACMQRGP-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN COCCCCCNc1c(F)cccc1[N+](=O)[O-] ZINC000286477110 196616181 /nfs/dbraw/zinc/61/61/81/196616181.db2.gz YSOKQQZVFQQSJH-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN CCc1nc(C)cc(NCc2ccccc2[N+](=O)[O-])n1 ZINC000286476611 196617088 /nfs/dbraw/zinc/61/70/88/196617088.db2.gz UGDXKOLWRUAZLA-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000075285038 176682768 /nfs/dbraw/zinc/68/27/68/176682768.db2.gz VUCKGARDVGMRFM-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000075288044 176683570 /nfs/dbraw/zinc/68/35/70/176683570.db2.gz BHGZARANYMAOOW-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])[C@H](C)CO ZINC000077227756 177236472 /nfs/dbraw/zinc/23/64/72/177236472.db2.gz RWMDWQVNZOWELI-HTQZYQBOSA-N 0 0 258.705 2.677 20 5 CFBDRN CC(C)(C)c1nc(COc2ccc([N+](=O)[O-])cc2)no1 ZINC000078378258 177335820 /nfs/dbraw/zinc/33/58/20/177335820.db2.gz PZUFHLRIFSSQIE-UHFFFAOYSA-N 0 0 277.280 2.854 20 5 CFBDRN CCOC(=O)CSCc1c(F)cccc1[N+](=O)[O-] ZINC000078490284 177346837 /nfs/dbraw/zinc/34/68/37/177346837.db2.gz WACBGNASXIBZGD-UHFFFAOYSA-N 0 0 273.285 2.530 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1Cn1cccn1 ZINC000078805480 177390772 /nfs/dbraw/zinc/39/07/72/177390772.db2.gz BGTGLCLTWDOZAV-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1ccc([N+](=O)[O-])cc1F ZINC000078837048 177396376 /nfs/dbraw/zinc/39/63/76/177396376.db2.gz DNWPCHQYVPWGCS-SSDOTTSWSA-N 0 0 273.285 2.778 20 5 CFBDRN Cc1c(CS[C@H](C)[C@@H](C)O)cccc1[N+](=O)[O-] ZINC000079075459 177428487 /nfs/dbraw/zinc/42/84/87/177428487.db2.gz NVSNYIDWUFSBEH-NXEZZACHSA-N 0 0 255.339 2.906 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000302558807 292586479 /nfs/dbraw/zinc/58/64/79/292586479.db2.gz MELQSYLFLZIOOI-DMDPSCGWSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1ncsc1NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000355947354 228061788 /nfs/dbraw/zinc/06/17/88/228061788.db2.gz HHPJFOGZNSLUJR-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN C[C@@H]1CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])CC1(C)C ZINC000420614867 287601362 /nfs/dbraw/zinc/60/13/62/287601362.db2.gz YMZOBMFKFJOKHC-SNVBAGLBSA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c(N)c2F)CCS1 ZINC000276987570 287603663 /nfs/dbraw/zinc/60/36/63/287603663.db2.gz ZIRAMQVYJFYHFU-MRVPVSSYSA-N 0 0 285.344 2.648 20 5 CFBDRN O=[N+]([O-])c1c[nH]c2ccc(NCCC3CCOCC3)nc21 ZINC001154844060 782035490 /nfs/dbraw/zinc/03/54/90/782035490.db2.gz YUZAXFWQTYIYQD-UHFFFAOYSA-N 0 0 290.323 2.700 20 5 CFBDRN Cc1cc(NC[C@H](CO)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000394114416 260067474 /nfs/dbraw/zinc/06/74/74/260067474.db2.gz QWMJTABSXHFZPD-SNVBAGLBSA-N 0 0 270.304 2.719 20 5 CFBDRN Cc1cc(NC(=O)CCc2cccc([N+](=O)[O-])c2)ccn1 ZINC000087355230 260131543 /nfs/dbraw/zinc/13/15/43/260131543.db2.gz YNMGAGAAVFSJBA-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN CC(C)[C@@H](CO)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000394058398 260223881 /nfs/dbraw/zinc/22/38/81/260223881.db2.gz DLKLLLJXQVPGRB-SECBINFHSA-N 0 0 272.732 2.925 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000313169770 260256006 /nfs/dbraw/zinc/25/60/06/260256006.db2.gz VMZRZFLLNZLKLY-PSASIEDQSA-N 0 0 273.720 2.605 20 5 CFBDRN Cc1cnc(NCCOCC2CCCC2)c([N+](=O)[O-])c1 ZINC000313469923 260297153 /nfs/dbraw/zinc/29/71/53/260297153.db2.gz MSASRUGRNRXKJS-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CCc1nocc1CN(C)c1c(OC)cccc1[N+](=O)[O-] ZINC000517961391 260326579 /nfs/dbraw/zinc/32/65/79/260326579.db2.gz NLWRFZVRAFZETJ-UHFFFAOYSA-N 0 0 291.307 2.790 20 5 CFBDRN Cc1cc(N[C@@H](C)C(=O)N2CCCCC2)ccc1[N+](=O)[O-] ZINC000092652142 260515234 /nfs/dbraw/zinc/51/52/34/260515234.db2.gz NBFGOMOJONJGRH-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NCc1ccc(O)cc1 ZINC000318786894 262059879 /nfs/dbraw/zinc/05/98/79/262059879.db2.gz PBNUUCVSSHTCIE-TWGQIWQCSA-N 0 0 298.298 2.630 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@@H](C)CC1 ZINC000192542241 262205059 /nfs/dbraw/zinc/20/50/59/262205059.db2.gz AILUVQXZTVJMEY-SNVBAGLBSA-N 0 0 266.345 2.746 20 5 CFBDRN Cc1cccc(NC[C@@](C)(O)C(C)C)c1[N+](=O)[O-] ZINC000188378302 262293282 /nfs/dbraw/zinc/29/32/82/262293282.db2.gz WHSVRYJYWXBYSL-CYBMUJFWSA-N 0 0 252.314 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=NC[C@H]3CCCC3(F)F)[nH]c2c1 ZINC000390853973 262354008 /nfs/dbraw/zinc/35/40/08/262354008.db2.gz JLBDNKPXICWVKW-MRVPVSSYSA-N 0 0 296.277 2.740 20 5 CFBDRN CO[C@@](C)(c1nc(-c2ccc([N+](=O)[O-])s2)no1)C1CC1 ZINC000291098838 263120140 /nfs/dbraw/zinc/12/01/40/263120140.db2.gz QVNSWWBTORAYGQ-GFCCVEGCSA-N 0 0 295.320 2.978 20 5 CFBDRN Cc1cc(F)c(NC(=O)N[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000296742846 263196149 /nfs/dbraw/zinc/19/61/49/263196149.db2.gz NIJBRNGFIJSKGY-QMMMGPOBSA-N 0 0 299.327 2.669 20 5 CFBDRN Cc1c(C(=O)N2C3CCC2CC3)cccc1[N+](=O)[O-] ZINC000514518647 265172076 /nfs/dbraw/zinc/17/20/76/265172076.db2.gz GPMKGVPKOZOYKC-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN COc1cc(/C=C(/C)c2cnccn2)cc([N+](=O)[O-])c1[O-] ZINC000095997442 265319694 /nfs/dbraw/zinc/31/96/94/265319694.db2.gz VIQPBDGGTBTWHH-UITAMQMPSA-N 0 0 287.275 2.660 20 5 CFBDRN Cc1ccc(Cn2cc([N+](=O)[O-])c(C)cc2=O)c(C)c1 ZINC000096548220 265373027 /nfs/dbraw/zinc/37/30/27/265373027.db2.gz YOEISAYXZDGUBZ-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN CN(Cc1cccnc1)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000097631738 265445633 /nfs/dbraw/zinc/44/56/33/265445633.db2.gz GYPRGXGWGWSGQW-CMDGGOBGSA-N 0 0 297.314 2.662 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000033182713 270225009 /nfs/dbraw/zinc/22/50/09/270225009.db2.gz ALWWHFIRGKISDW-PWSUYJOCSA-N 0 0 291.351 2.945 20 5 CFBDRN CSc1ccc(C(=O)NCc2ccoc2)cc1[N+](=O)[O-] ZINC000193363704 270237227 /nfs/dbraw/zinc/23/72/27/270237227.db2.gz NNVJHLAZZBUFOB-UHFFFAOYSA-N 0 0 292.316 2.840 20 5 CFBDRN O=C(CCc1cccs1)NCc1ccccc1[N+](=O)[O-] ZINC000193381732 270238227 /nfs/dbraw/zinc/23/82/27/270238227.db2.gz HLDMQDKDZRBWRB-UHFFFAOYSA-N 0 0 290.344 2.905 20 5 CFBDRN Cc1cc(NC(=O)NCc2cccnc2)ccc1[N+](=O)[O-] ZINC000193514397 270238439 /nfs/dbraw/zinc/23/84/39/270238439.db2.gz SWLCBOMYTXTPCS-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1ncc(COc2ccccc2[N+](=O)[O-])s1 ZINC000193860969 270240602 /nfs/dbraw/zinc/24/06/02/270240602.db2.gz VOSXXZARCFVNFG-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000194889732 270248313 /nfs/dbraw/zinc/24/83/13/270248313.db2.gz MURYGSCBBZQRMM-SNVBAGLBSA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@H](O)CCCNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000357502819 270303327 /nfs/dbraw/zinc/30/33/27/270303327.db2.gz LZIVDDPKNJNARR-NSHDSACASA-N 0 0 268.313 2.566 20 5 CFBDRN CC(C)(O)C(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000393539735 270307739 /nfs/dbraw/zinc/30/77/39/270307739.db2.gz SRUGNIGEYDXTLU-UHFFFAOYSA-N 0 0 295.339 2.513 20 5 CFBDRN CC[C@@H]1[C@H](CO)CCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000395096551 270311018 /nfs/dbraw/zinc/31/10/18/270311018.db2.gz FIUUDHZSSMTXTC-CMPLNLGQSA-N 0 0 282.315 2.640 20 5 CFBDRN CCOc1cc(NCCC2(CO)CC2)ccc1[N+](=O)[O-] ZINC000395891865 270313880 /nfs/dbraw/zinc/31/38/80/270313880.db2.gz UXUGRGCAAYSDIF-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN Cc1ccc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)nn1 ZINC000396575126 270315105 /nfs/dbraw/zinc/31/51/05/270315105.db2.gz CERITAGTTZYFOW-LBPRGKRZSA-N 0 0 286.335 2.852 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])CS1 ZINC000397889639 270320365 /nfs/dbraw/zinc/32/03/65/270320365.db2.gz LKMBFKCWVOJMGA-POYBYMJQSA-N 0 0 273.745 2.949 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCC3(CO)CCC3)ccnc21 ZINC000432167204 270333693 /nfs/dbraw/zinc/33/36/93/270333693.db2.gz PBDYVFNHLIWWLB-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN Cc1cnc([C@@H](C)Sc2ncccc2[N+](=O)[O-])cn1 ZINC000488222774 270343046 /nfs/dbraw/zinc/34/30/46/270343046.db2.gz ZBWVJSCRUXNOTB-SECBINFHSA-N 0 0 276.321 2.942 20 5 CFBDRN Cc1ccoc1CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493627971 270345991 /nfs/dbraw/zinc/34/59/91/270345991.db2.gz KTFFJDRJYNPIIG-DAXSKMNVSA-N 0 0 286.287 2.826 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000514650780 270347712 /nfs/dbraw/zinc/34/77/12/270347712.db2.gz WNDCFWHYEVLDDR-WPRPVWTQSA-N 0 0 288.250 2.786 20 5 CFBDRN CCC[C@@H](NC(=O)c1cc([N+](=O)[O-])cn1C)C1CCC1 ZINC000514715374 270350352 /nfs/dbraw/zinc/35/03/52/270350352.db2.gz GVEDDFQSTPLZMR-GFCCVEGCSA-N 0 0 279.340 2.632 20 5 CFBDRN COc1cc(NCCOCC2CCC2)ccc1[N+](=O)[O-] ZINC000514980547 270359406 /nfs/dbraw/zinc/35/94/06/270359406.db2.gz ZQWNSBUDLFERLZ-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN C[C@H](NC(=O)N1CCC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000515134242 270363064 /nfs/dbraw/zinc/36/30/64/270363064.db2.gz FMXORBSUJMYWRD-JTQLQIEISA-N 0 0 277.324 2.850 20 5 CFBDRN CSCCCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000515265880 270365770 /nfs/dbraw/zinc/36/57/70/270365770.db2.gz UPADTDBZNODTLH-JTQLQIEISA-N 0 0 282.365 2.568 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@@H](F)C1 ZINC000516189717 270378808 /nfs/dbraw/zinc/37/88/08/270378808.db2.gz IOGSXXLMKJPSGN-SNVBAGLBSA-N 0 0 292.266 2.915 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC[C@H]1CCCCO1 ZINC000516492461 270382366 /nfs/dbraw/zinc/38/23/66/270382366.db2.gz ZZSDFKHHUVNBFD-LLVKDONJSA-N 0 0 280.324 2.975 20 5 CFBDRN O=C1CCN1c1cccc(NCc2cccc([N+](=O)[O-])c2)c1 ZINC000516536927 270383228 /nfs/dbraw/zinc/38/32/28/270383228.db2.gz JYCSUQLJOCAZCH-UHFFFAOYSA-N 0 0 297.314 2.944 20 5 CFBDRN CC(C)[C@@H]1OCC[C@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000516549675 270383925 /nfs/dbraw/zinc/38/39/25/270383925.db2.gz WTLOVEYDAIGFFY-CABZTGNLSA-N 0 0 283.303 2.602 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000516657594 270386868 /nfs/dbraw/zinc/38/68/68/270386868.db2.gz HPXKMOLOTHPLQP-CQSZACIVSA-N 0 0 299.330 2.659 20 5 CFBDRN CC(C)n1cc([C@@H](C)Nc2ccc([N+](=O)[O-])nc2)cn1 ZINC000516919240 270391236 /nfs/dbraw/zinc/39/12/36/270391236.db2.gz FDZCVZXXDUCHCA-SNVBAGLBSA-N 0 0 275.312 2.940 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000519806337 270416379 /nfs/dbraw/zinc/41/63/79/270416379.db2.gz RMNJOTGBOGDTHG-VIFPVBQESA-N 0 0 265.313 2.544 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000527444192 270503053 /nfs/dbraw/zinc/50/30/53/270503053.db2.gz NCMPCEKVTPIDCE-UHFFFAOYSA-N 0 0 294.307 2.584 20 5 CFBDRN CN(Cc1cccc(O)c1)c1ccc([N+](=O)[O-])c(N)c1F ZINC000530327155 270785760 /nfs/dbraw/zinc/78/57/60/270785760.db2.gz PMAUXAIJEDYRAQ-UHFFFAOYSA-N 0 0 291.282 2.658 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCCCCO1 ZINC000530364914 270787901 /nfs/dbraw/zinc/78/79/01/270787901.db2.gz LSGZJVXOXMMXKB-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN CC(C)CC1(CNC(=O)c2cc([N+](=O)[O-])cn2C)CCC1 ZINC000531794864 270842540 /nfs/dbraw/zinc/84/25/40/270842540.db2.gz AMCFJVZHGYIJKS-UHFFFAOYSA-N 0 0 293.367 2.880 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1F ZINC000532144914 270854225 /nfs/dbraw/zinc/85/42/25/270854225.db2.gz BOCFCQODPBQDBN-UHFFFAOYSA-N 0 0 289.266 2.796 20 5 CFBDRN O=C(Nc1cccc2cccnc21)c1ccc([N+](=O)[O-])cn1 ZINC000532143303 270854227 /nfs/dbraw/zinc/85/42/27/270854227.db2.gz IGJZQCDMCGNENA-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]([C@H](C)O)C2)c1 ZINC000533008599 270872116 /nfs/dbraw/zinc/87/21/16/270872116.db2.gz CKWZXXYGSDJWJH-RYUDHWBXSA-N 0 0 264.325 2.500 20 5 CFBDRN CCc1ccc(NC(=O)c2cc([N+](=O)[O-])cn2C)cc1F ZINC000533111079 270875099 /nfs/dbraw/zinc/87/50/99/270875099.db2.gz QJCZSMHFECOOLO-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN C[C@@H]1CC[C@]2(CCN(C(=O)c3cc([N+](=O)[O-])cn3C)C2)C1 ZINC000336592959 274319026 /nfs/dbraw/zinc/31/90/26/274319026.db2.gz MRWGRKHNXOLZIZ-ABAIWWIYSA-N 0 0 291.351 2.586 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000356641792 287777656 /nfs/dbraw/zinc/77/76/56/287777656.db2.gz IQEDUUITFKWKSZ-MJVIPROJSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1ccnc(N[C@H]2C[C@H](C)n3ccnc32)c1[N+](=O)[O-] ZINC000450827485 274818896 /nfs/dbraw/zinc/81/88/96/274818896.db2.gz IADIZCRIHBSROA-UWVGGRQHSA-N 0 0 273.296 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COc3cc(F)cc(F)c32)nc1 ZINC000374589862 275037124 /nfs/dbraw/zinc/03/71/24/275037124.db2.gz BADXKRLUKYBHJL-SNVBAGLBSA-N 0 0 293.229 2.814 20 5 CFBDRN CC(=O)c1cc(N2C[C@@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000228261194 275502061 /nfs/dbraw/zinc/50/20/61/275502061.db2.gz FXRPLCMKJWXRQS-NXEZZACHSA-N 0 0 262.309 2.890 20 5 CFBDRN CC(=O)c1cc(N2CCSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000228260711 275803136 /nfs/dbraw/zinc/80/31/36/275803136.db2.gz ZNENHRGXQMCTRE-VIFPVBQESA-N 0 0 280.349 2.739 20 5 CFBDRN CC1(C)[C@@H]2CN(c3ncnc4cccc([N+](=O)[O-])c43)C[C@@H]21 ZINC000408193214 275887988 /nfs/dbraw/zinc/88/79/88/275887988.db2.gz CBWSCTLSEAFLES-AOOOYVTPSA-N 0 0 284.319 2.630 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269816360 275911746 /nfs/dbraw/zinc/91/17/46/275911746.db2.gz QLEUKNWNXVUALJ-VIFPVBQESA-N 0 0 282.344 2.606 20 5 CFBDRN CC1CC(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000336374213 275926666 /nfs/dbraw/zinc/92/66/66/275926666.db2.gz OKHUYSWCTMYHPL-UHFFFAOYSA-N 0 0 274.276 2.869 20 5 CFBDRN CC(C)[C@@H]1CCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000450815277 275993738 /nfs/dbraw/zinc/99/37/38/275993738.db2.gz SGKIUMJXXBOQQO-LBPRGKRZSA-N 0 0 260.297 2.706 20 5 CFBDRN CCCO[C@H]1CCCN(c2ncccc2[N+](=O)[O-])CC1 ZINC000108351160 276019307 /nfs/dbraw/zinc/01/93/07/276019307.db2.gz VVHOXXFTCLAKSH-LBPRGKRZSA-N 0 0 279.340 2.775 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC[C@@H](C)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000271026717 276040765 /nfs/dbraw/zinc/04/07/65/276040765.db2.gz ZVYBYBPSVOBYQA-ZJUUUORDSA-N 0 0 294.355 2.559 20 5 CFBDRN CC1(C)C[C@H]1Nc1c(Br)cncc1[N+](=O)[O-] ZINC000231629083 276150146 /nfs/dbraw/zinc/15/01/46/276150146.db2.gz RCTNYJOLGASOPL-MRVPVSSYSA-N 0 0 286.129 2.963 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000129075760 276160216 /nfs/dbraw/zinc/16/02/16/276160216.db2.gz ACYFQBNHUPVJHH-STQMWFEESA-N 0 0 287.319 2.714 20 5 CFBDRN CC1(C)[C@@H]2CN(c3c4c(ccc3[N+](=O)[O-])NCC4)C[C@@H]21 ZINC000195265988 276161019 /nfs/dbraw/zinc/16/10/19/276161019.db2.gz RYSPWMAJQYSKLO-PHIMTYICSA-N 0 0 273.336 2.655 20 5 CFBDRN CC1(c2ccccc2)CC(NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000337256925 276172840 /nfs/dbraw/zinc/17/28/40/276172840.db2.gz SVAYDCKPQAGRMU-UHFFFAOYSA-N 0 0 299.330 2.773 20 5 CFBDRN CC1CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000265494741 276200105 /nfs/dbraw/zinc/20/01/05/276200105.db2.gz GXNPDHHCIQQCBN-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN CCC1CN(C(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000335208088 276222802 /nfs/dbraw/zinc/22/28/02/276222802.db2.gz DOXPKFNMNWUORU-UHFFFAOYSA-N 0 0 262.309 2.694 20 5 CFBDRN CCC[C@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278148971 276290330 /nfs/dbraw/zinc/29/03/30/276290330.db2.gz BESFAWFIFAZXPZ-NSHDSACASA-N 0 0 291.351 2.568 20 5 CFBDRN CCO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H]1C ZINC000450743138 276339495 /nfs/dbraw/zinc/33/94/95/276339495.db2.gz FVQAOHNFCAPICL-JOYOIKCWSA-N 0 0 299.758 2.895 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCS1 ZINC000266213454 276498141 /nfs/dbraw/zinc/49/81/41/276498141.db2.gz NUVOSVRDJIRSAL-SNVBAGLBSA-N 0 0 297.336 2.835 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000336042274 276639445 /nfs/dbraw/zinc/63/94/45/276639445.db2.gz RYAAVTOMWVFMAE-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN CCc1ccc(C(=O)N2CCCCCO2)cc1[N+](=O)[O-] ZINC000351676828 276665945 /nfs/dbraw/zinc/66/59/45/276665945.db2.gz BUIIRBVCSDMVJJ-UHFFFAOYSA-N 0 0 278.308 2.715 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cn1)[C@H]1CCc2ccccc21 ZINC000273158646 276871016 /nfs/dbraw/zinc/87/10/16/276871016.db2.gz JUTZGNNSQLPONB-ZDUSSCGKSA-N 0 0 270.292 2.509 20 5 CFBDRN CN(Cc1ccnn1C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000359901464 276996908 /nfs/dbraw/zinc/99/69/08/276996908.db2.gz OHLQFMOYYXTSJR-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN CNc1c(C(=O)N2CCCC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000161491888 277042483 /nfs/dbraw/zinc/04/24/83/277042483.db2.gz CWHRIQQXCBLDOM-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C[C@@H]1C ZINC000277015161 277092965 /nfs/dbraw/zinc/09/29/65/277092965.db2.gz YMGBYGIWQGMZSB-NWDGAFQWSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(=O)c1cc(N2CCO[C@@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000228259712 277106317 /nfs/dbraw/zinc/10/63/17/277106317.db2.gz FWQJELWQZUPPAM-HUUCEWRRSA-N 0 0 290.319 2.555 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@H]1O ZINC000408149546 277258808 /nfs/dbraw/zinc/25/88/08/277258808.db2.gz LOMQKTCFTVACIF-SMDDNHRTSA-N 0 0 278.352 2.828 20 5 CFBDRN COC[C@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000450947990 277266502 /nfs/dbraw/zinc/26/65/02/277266502.db2.gz OMBBCYHPJITQOK-JTQLQIEISA-N 0 0 299.758 2.896 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000263953726 277285824 /nfs/dbraw/zinc/28/58/24/277285824.db2.gz KMQKZKNOXUUTBX-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN CO[C@@H]1CCN(c2cc(C)c([N+](=O)[O-])cn2)CC1(C)C ZINC000295708804 277293575 /nfs/dbraw/zinc/29/35/75/277293575.db2.gz JZRSMULTLBGRAJ-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)CC[C@@H]1C ZINC000276104999 277300514 /nfs/dbraw/zinc/30/05/14/277300514.db2.gz WXRCDFPVQUPURF-TVQRCGJNSA-N 0 0 268.288 2.595 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000298013647 277308894 /nfs/dbraw/zinc/30/88/94/277308894.db2.gz ZZVYEIVVGYNSMX-UHFFFAOYSA-N 0 0 282.243 2.727 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C1 ZINC000343480619 277341476 /nfs/dbraw/zinc/34/14/76/277341476.db2.gz KGYUHDHDYWAKLM-LBPRGKRZSA-N 0 0 294.351 2.997 20 5 CFBDRN CC(C)CC[C@@H]1CCCCN1c1ncc([N+](=O)[O-])c(N)n1 ZINC000301672811 277361120 /nfs/dbraw/zinc/36/11/20/277361120.db2.gz REFHYACVAFYAFB-NSHDSACASA-N 0 0 293.371 2.762 20 5 CFBDRN CC(C)CN(C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000299333079 277375362 /nfs/dbraw/zinc/37/53/62/277375362.db2.gz KBQCMPPFMQDDLM-UHFFFAOYSA-N 0 0 277.324 2.572 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000301913672 277382354 /nfs/dbraw/zinc/38/23/54/277382354.db2.gz FAVKSHHRGQLWAU-DTWKUNHWSA-N 0 0 268.288 2.977 20 5 CFBDRN COc1cc(N[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000277453854 277385755 /nfs/dbraw/zinc/38/57/55/277385755.db2.gz JZQAGJREJOZMDA-WPRPVWTQSA-N 0 0 284.287 2.579 20 5 CFBDRN COC(=O)c1cc(N2C[C@H](C)[C@H](C)C2)cc(C)c1[N+](=O)[O-] ZINC000301706089 277423648 /nfs/dbraw/zinc/42/36/48/277423648.db2.gz VMPYRJUMFMYGJZ-PHIMTYICSA-N 0 0 292.335 2.782 20 5 CFBDRN COc1ccc([C@@H]2CCN(c3ccncc3[N+](=O)[O-])C2)cc1 ZINC000340152976 277427123 /nfs/dbraw/zinc/42/71/23/277427123.db2.gz QNGFAUFSOLSMMJ-CYBMUJFWSA-N 0 0 299.330 2.992 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC(C3CCC3)C2)c1 ZINC000343265298 277436267 /nfs/dbraw/zinc/43/62/67/277436267.db2.gz BGQRUIRJNRLULU-UHFFFAOYSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1cccc2c1nc(-c1ccc([N+](=O)[O-])o1)n2C ZINC000349056284 277464353 /nfs/dbraw/zinc/46/43/53/277464353.db2.gz TYRYYZLAUOJGDM-UHFFFAOYSA-N 0 0 273.248 2.750 20 5 CFBDRN COC[C@@H]1CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000450945353 277576295 /nfs/dbraw/zinc/57/62/95/277576295.db2.gz KNHKRZDCKJWTPR-CYBMUJFWSA-N 0 0 293.367 2.860 20 5 CFBDRN COC[C@H](C)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130376135 277585047 /nfs/dbraw/zinc/58/50/47/277585047.db2.gz XIJZNURLCYZVDV-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)CCS1 ZINC000276926497 277759758 /nfs/dbraw/zinc/75/97/58/277759758.db2.gz PZJZFQHIVNRYAU-MRVPVSSYSA-N 0 0 287.772 2.975 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])cn2)[C@H](C)C1 ZINC000070114227 277781592 /nfs/dbraw/zinc/78/15/92/277781592.db2.gz PZVFNAPVDGJDAI-FXPVBKGRSA-N 0 0 250.302 2.621 20 5 CFBDRN CC(C)[C@@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000447075758 277791530 /nfs/dbraw/zinc/79/15/30/277791530.db2.gz KXJQCAFVUHFHKS-SECBINFHSA-N 0 0 280.353 2.786 20 5 CFBDRN C[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@H](C2CC2)O1 ZINC000280607128 277914090 /nfs/dbraw/zinc/91/40/90/277914090.db2.gz YDBRWRRPZPRZDQ-AMIZOPFISA-N 0 0 298.289 2.877 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000408402290 277967577 /nfs/dbraw/zinc/96/75/77/277967577.db2.gz LLMVFBXYFPTUOE-HTQZYQBOSA-N 0 0 255.705 2.878 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@H](C)CC[C@@H]2C)c1[N+](=O)[O-] ZINC000269332189 278001345 /nfs/dbraw/zinc/00/13/45/278001345.db2.gz APESMSBSICHFNL-ZJUUUORDSA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H]2C[C@@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000458141883 278003139 /nfs/dbraw/zinc/00/31/39/278003139.db2.gz SMCINXBNRDCCDB-XPTSAGLGSA-N 0 0 294.355 2.606 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])cc1F ZINC000413264037 278089658 /nfs/dbraw/zinc/08/96/58/278089658.db2.gz IYFQVHVXNHDBRB-HTRCEHHLSA-N 0 0 293.220 2.906 20 5 CFBDRN CC(F)(F)CCCNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000295614595 278159299 /nfs/dbraw/zinc/15/92/99/278159299.db2.gz QJYVCWUFUAZWBW-UHFFFAOYSA-N 0 0 286.278 2.689 20 5 CFBDRN CC1(C)CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000294340452 278246111 /nfs/dbraw/zinc/24/61/11/278246111.db2.gz ZOUVOFLJVZTYEU-VIFPVBQESA-N 0 0 266.297 2.744 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000081925827 278323190 /nfs/dbraw/zinc/32/31/90/278323190.db2.gz OLVLGVOONDAGBD-UHFFFAOYSA-N 0 0 298.339 2.702 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]2CCC[C@@H]21 ZINC000294857939 278323246 /nfs/dbraw/zinc/32/32/46/278323246.db2.gz SLTPYXCBGPUNST-ONGXEEELSA-N 0 0 277.324 2.574 20 5 CFBDRN CC1(C)CN(Cc2csc([N+](=O)[O-])c2)CCS1 ZINC000177520549 278337995 /nfs/dbraw/zinc/33/79/95/278337995.db2.gz PVHAAJSJOJWYGS-UHFFFAOYSA-N 0 0 272.395 2.984 20 5 CFBDRN C[C@H]1CCCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000457269890 278338078 /nfs/dbraw/zinc/33/80/78/278338078.db2.gz SNCXWRHYHPUQHG-ZANVPECISA-N 0 0 266.297 2.886 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cn2)[C@H]1c1ccncc1 ZINC000292301345 278343546 /nfs/dbraw/zinc/34/35/46/278343546.db2.gz AHKJBXADKJTBII-AWEZNQCLSA-N 0 0 284.319 2.972 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])s2)CC2(CCCC2)O1 ZINC000192545134 278346340 /nfs/dbraw/zinc/34/63/40/278346340.db2.gz CYSIZUANBRVIMU-UHFFFAOYSA-N 0 0 297.380 2.979 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000104619286 278354797 /nfs/dbraw/zinc/35/47/97/278354797.db2.gz FBKJKXXFFWKMRN-QPUJVOFHSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000413118500 278371126 /nfs/dbraw/zinc/37/11/26/278371126.db2.gz ZOHPVQAENSIWNE-ZETCQYMHSA-N 0 0 275.230 2.855 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1cn[nH]c1 ZINC000222455737 278379444 /nfs/dbraw/zinc/37/94/44/278379444.db2.gz OKXHZSNRIBERSF-NXEZZACHSA-N 0 0 260.297 2.730 20 5 CFBDRN CC1(C)C[C@H](Nc2ncccc2[N+](=O)[O-])C(C)(C)O1 ZINC000272163331 278390538 /nfs/dbraw/zinc/39/05/38/278390538.db2.gz FOJBLUFTDZXLSJ-JTQLQIEISA-N 0 0 265.313 2.748 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H](C2CC2)O1 ZINC000373668994 278446944 /nfs/dbraw/zinc/44/69/44/278446944.db2.gz ALBJBYXGNFRMOB-UFBFGSQYSA-N 0 0 297.742 2.647 20 5 CFBDRN CC1(C)[C@H](Nc2nc3ccccc3cc2[N+](=O)[O-])C[C@@H]1O ZINC000352355617 278446947 /nfs/dbraw/zinc/44/69/47/278446947.db2.gz IWNIDZNIXPTXFR-OLZOCXBDSA-N 0 0 287.319 2.714 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)ns1 ZINC000435640637 278640628 /nfs/dbraw/zinc/64/06/28/278640628.db2.gz BMIUXUCOJZJJDV-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN Cc1cc(N2CC3(C2)CCCOC3)ccc1[N+](=O)[O-] ZINC000377162941 278706726 /nfs/dbraw/zinc/70/67/26/278706726.db2.gz WOHMKDHYEXBCGA-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCC(Cl)(Cl)Cl)c1=O ZINC000186271589 278746995 /nfs/dbraw/zinc/74/69/95/278746995.db2.gz MLRRVCXQRBFHJR-UHFFFAOYSA-N 0 0 299.541 2.825 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])CCC1=O ZINC000168905699 278775996 /nfs/dbraw/zinc/77/59/96/278775996.db2.gz IONUYOVKOAZDSU-SECBINFHSA-N 0 0 282.727 2.659 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000171046989 278803871 /nfs/dbraw/zinc/80/38/71/278803871.db2.gz QYMRAOCPTVIQSC-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413258745 278852899 /nfs/dbraw/zinc/85/28/99/278852899.db2.gz FRHBUXGMQIAYFM-YGRLFVJLSA-N 0 0 287.319 2.980 20 5 CFBDRN CCC[C@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000161519268 278952527 /nfs/dbraw/zinc/95/25/27/278952527.db2.gz KSGYVSRTRNYHRM-NSHDSACASA-N 0 0 291.351 2.972 20 5 CFBDRN Cc1cnc(COc2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000361011294 279013664 /nfs/dbraw/zinc/01/36/64/279013664.db2.gz BPBAPZMCSLTHQD-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000334229762 279019492 /nfs/dbraw/zinc/01/94/92/279019492.db2.gz QSTDWYPNMPPLKQ-SECBINFHSA-N 0 0 271.276 2.514 20 5 CFBDRN Cc1nc(N[C@H]2CCCc3nn(C)cc32)ccc1[N+](=O)[O-] ZINC000282224583 279103096 /nfs/dbraw/zinc/10/30/96/279103096.db2.gz KLUAPMNBMGYFSS-NSHDSACASA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000036749285 279201163 /nfs/dbraw/zinc/20/11/63/279201163.db2.gz XGJVRCAQIKVMTO-SCZZXKLOSA-N 0 0 252.318 2.627 20 5 CFBDRN CCN(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@H](C)CO ZINC000338791526 279246475 /nfs/dbraw/zinc/24/64/75/279246475.db2.gz FUHRBIWQBXCULA-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN Cc1sc(C(=O)N2CCCC2(C)C)cc1[N+](=O)[O-] ZINC000077353126 279282246 /nfs/dbraw/zinc/28/22/46/279282246.db2.gz YLQNKPRTCLFLAY-UHFFFAOYSA-N 0 0 268.338 2.979 20 5 CFBDRN Cc1sc(C(=O)N2C[C@@H]3CCC[C@H]3C2)cc1[N+](=O)[O-] ZINC000152831282 279282663 /nfs/dbraw/zinc/28/26/63/279282663.db2.gz VCTRTRRWZALIBB-UWVGGRQHSA-N 0 0 280.349 2.837 20 5 CFBDRN CCOC(=O)C1CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000218822453 279533582 /nfs/dbraw/zinc/53/35/82/279533582.db2.gz JBRBGZIWLDAIKN-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000124682764 279689199 /nfs/dbraw/zinc/68/91/99/279689199.db2.gz XJFBFXJFBRLDOL-UWVGGRQHSA-N 0 0 278.312 2.690 20 5 CFBDRN C[C@H]1CCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)[C@H]1C ZINC000486961754 279729870 /nfs/dbraw/zinc/72/98/70/279729870.db2.gz BIAAIWOISODBRU-UWVGGRQHSA-N 0 0 288.307 2.880 20 5 CFBDRN CCO[C@@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153619929 279771892 /nfs/dbraw/zinc/77/18/92/279771892.db2.gz FMRRGICIOOMJDZ-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN CCO[C@@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])C[C@H]1C ZINC000450744338 279783633 /nfs/dbraw/zinc/78/36/33/279783633.db2.gz MFIHEVMVZUCAFD-DGCLKSJQSA-N 0 0 293.367 2.858 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000428084100 279939526 /nfs/dbraw/zinc/93/95/26/279939526.db2.gz GPEHXBKOCZXUGC-ICCCGROCSA-N 0 0 285.303 2.604 20 5 CFBDRN C[C@H]1C[C@@H](c2ccsc2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000373391944 279976639 /nfs/dbraw/zinc/97/66/39/279976639.db2.gz KFBANEIDSLMGQC-GXSJLCMTSA-N 0 0 290.348 2.829 20 5 CFBDRN C[C@H]1Cc2ccccc2N(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000334444393 280029764 /nfs/dbraw/zinc/02/97/64/280029764.db2.gz DZDZZPDFXRCFMN-JTQLQIEISA-N 0 0 285.303 2.762 20 5 CFBDRN C[C@H]1OCC[C@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450404693 280068552 /nfs/dbraw/zinc/06/85/52/280068552.db2.gz CZAPIOHBXUVTSG-BXKDBHETSA-N 0 0 273.292 2.732 20 5 CFBDRN O=C([C@H]1CCSC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000335702630 280139361 /nfs/dbraw/zinc/13/93/61/280139361.db2.gz JRZYBNDEQZWUFT-JTQLQIEISA-N 0 0 292.360 2.627 20 5 CFBDRN C[C@]1(F)CCCN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000450551919 280162168 /nfs/dbraw/zinc/16/21/68/280162168.db2.gz GGWXTSIZFRGUMC-AWEZNQCLSA-N 0 0 290.298 2.866 20 5 CFBDRN C[C@]1(O)CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000230378569 280169863 /nfs/dbraw/zinc/16/98/63/280169863.db2.gz GSKIGECJGDHKAB-AWEZNQCLSA-N 0 0 298.770 2.985 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000348049354 280182294 /nfs/dbraw/zinc/18/22/94/280182294.db2.gz LNLSGPVRDFWFGT-SECBINFHSA-N 0 0 294.204 2.805 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000346879570 280182362 /nfs/dbraw/zinc/18/23/62/280182362.db2.gz BGOXVTQSIBYMPP-SECBINFHSA-N 0 0 294.204 2.805 20 5 CFBDRN Cc1c(NC(=O)N2CCO[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000125225278 280243583 /nfs/dbraw/zinc/24/35/83/280243583.db2.gz HPCTZEZGZNJRMR-QWRGUYRKSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000282583470 280245973 /nfs/dbraw/zinc/24/59/73/280245973.db2.gz PIDDJROAXVWOQF-VYUIOLGVSA-N 0 0 278.308 2.655 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000005468218 280247106 /nfs/dbraw/zinc/24/71/06/280247106.db2.gz FTAQSYQELFESHA-LLVKDONJSA-N 0 0 262.309 3.000 20 5 CFBDRN Cc1c[nH]nc1CN(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000356056318 280282238 /nfs/dbraw/zinc/28/22/38/280282238.db2.gz MEVNFRHDOFAXLZ-UHFFFAOYSA-N 0 0 286.335 2.791 20 5 CFBDRN C[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])[C@H](C)[C@H](C)O1 ZINC000446071538 288219984 /nfs/dbraw/zinc/21/99/84/288219984.db2.gz JSCBBOAZRJHHHE-UTUOFQBUSA-N 0 0 264.325 2.593 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CCc3ccccc32)cc1[N+](=O)[O-] ZINC000335972906 280305351 /nfs/dbraw/zinc/30/53/51/280305351.db2.gz PXEXEGGVIMMCKC-ZDUSSCGKSA-N 0 0 284.315 2.795 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCCSC2)nc2sccn21 ZINC000135661305 280354425 /nfs/dbraw/zinc/35/44/25/280354425.db2.gz MRLRCBMVFLSZBA-SSDOTTSWSA-N 0 0 284.366 2.612 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC(CCCO)CC1 ZINC000272876454 280360056 /nfs/dbraw/zinc/36/00/56/280360056.db2.gz FNJBRDVOWBCAPD-UHFFFAOYSA-N 0 0 282.315 2.723 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC2(CCC2(F)F)C1 ZINC000334154243 280360101 /nfs/dbraw/zinc/36/01/01/280360101.db2.gz QUERMJCGMXDSGC-UHFFFAOYSA-N 0 0 272.226 2.969 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]1c1ncc[nH]1 ZINC000374773484 280360592 /nfs/dbraw/zinc/36/05/92/280360592.db2.gz AKKVNBSUUCZLTO-LLVKDONJSA-N 0 0 276.271 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@]3(CCSC3)C2)cn1 ZINC000450904865 280366675 /nfs/dbraw/zinc/36/66/75/280366675.db2.gz OIANJYNCSYMYCN-CYBMUJFWSA-N 0 0 279.365 2.713 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3C[C@@H](F)[C@@H](F)C3)ccnc21 ZINC000450708400 280373417 /nfs/dbraw/zinc/37/34/17/280373417.db2.gz KEHHNMYUAAVJBJ-AOOOYVTPSA-N 0 0 279.246 2.639 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC3(CCCCC3)C2)c(F)c1 ZINC000408462973 280380183 /nfs/dbraw/zinc/38/01/83/280380183.db2.gz ASMMFBSJLKDOFL-UHFFFAOYSA-N 0 0 295.314 2.668 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC[C@@H](F)C2)c(Cl)c1 ZINC000340912027 280382098 /nfs/dbraw/zinc/38/20/98/280382098.db2.gz LSRSHUWWKDGRQM-RNFRBKRXSA-N 0 0 259.668 2.946 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@@H]3OCCC[C@H]23)n1 ZINC000438884077 280399878 /nfs/dbraw/zinc/39/98/78/280399878.db2.gz PCFRIGPHJKLHPW-UTUOFQBUSA-N 0 0 277.324 2.586 20 5 CFBDRN Cc1ccccc1CC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000046147308 280424992 /nfs/dbraw/zinc/42/49/92/280424992.db2.gz VTXVLEPLNWKXIK-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN CC(C)(C)CCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049397162 280438617 /nfs/dbraw/zinc/43/86/17/280438617.db2.gz RRFFNOUGHAAADK-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC(F)(F)F ZINC000067041456 280470596 /nfs/dbraw/zinc/47/05/96/280470596.db2.gz UUYQZEPYCIEAAP-UHFFFAOYSA-N 0 0 276.214 2.538 20 5 CFBDRN CC1(C)C(C(=O)NCc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000073100375 280491723 /nfs/dbraw/zinc/49/17/23/280491723.db2.gz WFAXGSGICSFJNM-UHFFFAOYSA-N 0 0 276.336 2.893 20 5 CFBDRN CCOC(=O)c1ccnc(Nc2ccccc2)c1[N+](=O)[O-] ZINC000077902192 280526575 /nfs/dbraw/zinc/52/65/75/280526575.db2.gz NZULFMKPWBVIGX-UHFFFAOYSA-N 0 0 287.275 2.910 20 5 CFBDRN CN(Cc1ccc(O)cc1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000078752431 280531022 /nfs/dbraw/zinc/53/10/22/280531022.db2.gz NPJUXEJDGZGRAS-UHFFFAOYSA-N 0 0 292.316 2.634 20 5 CFBDRN CCC1(CNC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000084254310 280550228 /nfs/dbraw/zinc/55/02/28/280550228.db2.gz KDPZVXUVJDQFAU-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN CCC(C)(C)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231988 280558776 /nfs/dbraw/zinc/55/87/76/280558776.db2.gz DXFKUKGGFUEMTK-UHFFFAOYSA-N 0 0 276.336 2.916 20 5 CFBDRN Cc1cc(N2CCOCC2)c([N+](=O)[O-])cc1C(F)(F)F ZINC000292658469 280562899 /nfs/dbraw/zinc/56/28/99/280562899.db2.gz CUWPIOKGZRVTAE-UHFFFAOYSA-N 0 0 290.241 2.759 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000279609589 280563319 /nfs/dbraw/zinc/56/33/19/280563319.db2.gz XODRMWVMKPMIKB-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1cc(Cl)c[nH]1 ZINC000089767904 280564819 /nfs/dbraw/zinc/56/48/19/280564819.db2.gz LGXYIWGWENZBDF-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN Cc1cc(N2CCSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000172373997 280565846 /nfs/dbraw/zinc/56/58/46/280565846.db2.gz RRUWOUJXIFQMRI-JTQLQIEISA-N 0 0 252.339 2.845 20 5 CFBDRN O=c1oc2ccccc2n1Cc1c(F)cccc1[N+](=O)[O-] ZINC000103462933 280582427 /nfs/dbraw/zinc/58/24/27/280582427.db2.gz XGECKWYNGVRZCR-UHFFFAOYSA-N 0 0 288.234 2.690 20 5 CFBDRN Cc1cc(N2C[C@@H]3C[C@H]2CS3)c(F)cc1[N+](=O)[O-] ZINC000413442097 280585742 /nfs/dbraw/zinc/58/57/42/280585742.db2.gz AMOSWQQOJXDVDB-IUCAKERBSA-N 0 0 268.313 2.736 20 5 CFBDRN CC(C)N(C)C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000125471624 280643506 /nfs/dbraw/zinc/64/35/06/280643506.db2.gz OSHOHFMODCYYGA-FNORWQNLSA-N 0 0 266.272 2.614 20 5 CFBDRN CC[C@@]1(C)CN(c2nc(C)cc(C)c2[N+](=O)[O-])CCO1 ZINC000408435399 280645066 /nfs/dbraw/zinc/64/50/66/280645066.db2.gz NGMTWPKICJWZGH-AWEZNQCLSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCOC2(CCC2)C1 ZINC000270847078 280661433 /nfs/dbraw/zinc/66/14/33/280661433.db2.gz XVLPQSNCCNTTGV-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1ncnn1C(C)(C)C ZINC000283501963 280663432 /nfs/dbraw/zinc/66/34/32/280663432.db2.gz LTKHWOVOHZZFHK-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN CSCCN(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000128285184 280674862 /nfs/dbraw/zinc/67/48/62/280674862.db2.gz OICADGVLJLSZRZ-UHFFFAOYSA-N 0 0 283.353 2.730 20 5 CFBDRN CC[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000128673221 280681985 /nfs/dbraw/zinc/68/19/85/280681985.db2.gz MJYZPUGFYMZTCS-QWHCGFSZSA-N 0 0 293.323 2.674 20 5 CFBDRN CS[C@H](C)CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000129490424 280692430 /nfs/dbraw/zinc/69/24/30/280692430.db2.gz BUYNFFRUFHOVEF-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN Cc1ccn(CCC2CCCC2)c(=O)c1[N+](=O)[O-] ZINC000129589420 280693261 /nfs/dbraw/zinc/69/32/61/280693261.db2.gz YRQZMFYNMZMIKA-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)ns2)c1 ZINC000130041755 280698798 /nfs/dbraw/zinc/69/87/98/280698798.db2.gz LNTCTILZAYNJSR-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1cc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])sn1 ZINC000130295592 280702154 /nfs/dbraw/zinc/70/21/54/280702154.db2.gz GQQATWMQIFITJV-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CC[C@H](C)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000074017680 280704963 /nfs/dbraw/zinc/70/49/63/280704963.db2.gz NHALUBDFXXIXKK-JTQLQIEISA-N 0 0 262.309 2.920 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000130990866 280711253 /nfs/dbraw/zinc/71/12/53/280711253.db2.gz MKZSHCSUPMWINB-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000131374922 280716818 /nfs/dbraw/zinc/71/68/18/280716818.db2.gz GUGXBZPOXFWEHC-MNOVXSKESA-N 0 0 294.326 2.781 20 5 CFBDRN COCCSc1ccc([N+](=O)[O-])cc1C(=O)OC(C)C ZINC000135904381 280779510 /nfs/dbraw/zinc/77/95/10/280779510.db2.gz IOPISMXPDXGGSX-UHFFFAOYSA-N 0 0 299.348 2.899 20 5 CFBDRN O=C(Nc1cc(F)ccc1O)c1ccc(F)cc1[N+](=O)[O-] ZINC000136989747 280786067 /nfs/dbraw/zinc/78/60/67/280786067.db2.gz WKSSKNGZBIKLOV-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173138293 280811652 /nfs/dbraw/zinc/81/16/52/280811652.db2.gz UKJXMGUAZRTWEE-NSHDSACASA-N 0 0 264.325 2.546 20 5 CFBDRN Cn1ncnc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000184287095 280826239 /nfs/dbraw/zinc/82/62/39/280826239.db2.gz DJRIOTJIRKQKQT-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000187249169 280853532 /nfs/dbraw/zinc/85/35/32/280853532.db2.gz IXKZPXXNDSXKFL-WSKFYRRCSA-N 0 0 285.303 2.814 20 5 CFBDRN Cc1ccc(N2CCC(n3cccn3)CC2)c([N+](=O)[O-])c1 ZINC000301445881 280864723 /nfs/dbraw/zinc/86/47/23/280864723.db2.gz PUYRHCPOVUCWMT-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN Cc1nn(Cc2ccc(F)c(Cl)c2)cc1[N+](=O)[O-] ZINC000189347481 280872838 /nfs/dbraw/zinc/87/28/38/280872838.db2.gz OHOCKZNIGQRZER-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN CCCCCN(CC(N)=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000190895973 280892929 /nfs/dbraw/zinc/89/29/29/280892929.db2.gz HMLVMUBQRKNUDH-UHFFFAOYSA-N 0 0 299.758 2.730 20 5 CFBDRN Cc1cc(NC(=O)N(C)[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000193660187 280916071 /nfs/dbraw/zinc/91/60/71/280916071.db2.gz WYYPZHPFVSLINC-NSHDSACASA-N 0 0 295.364 2.872 20 5 CFBDRN CCN(C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000194558008 280922794 /nfs/dbraw/zinc/92/27/94/280922794.db2.gz DGPZCFMGDUFVCH-JTQLQIEISA-N 0 0 277.324 2.850 20 5 CFBDRN CCCCOCCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000195127767 280930183 /nfs/dbraw/zinc/93/01/83/280930183.db2.gz BRBVEFDLBPBSKY-RMKNXTFCSA-N 0 0 292.335 2.541 20 5 CFBDRN CCc1cnc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000224009316 280933968 /nfs/dbraw/zinc/93/39/68/280933968.db2.gz CROMUAFNOMFTMD-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN C[C@@H]1CN(c2ncccc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000292270506 288281791 /nfs/dbraw/zinc/28/17/91/288281791.db2.gz LPKUIGJWDSDGFU-LLVKDONJSA-N 0 0 285.303 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC=C(c3ccncc3)C2)n1 ZINC000286619106 281011025 /nfs/dbraw/zinc/01/10/25/281011025.db2.gz ULQBHHRMHNYSMW-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](n3cccn3)C2)c1 ZINC000292385058 281014192 /nfs/dbraw/zinc/01/41/92/281014192.db2.gz QKGLEZXKDIESCU-LBPRGKRZSA-N 0 0 272.308 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCn2cccc2[C@@H]1C ZINC000067160097 281016296 /nfs/dbraw/zinc/01/62/96/281016296.db2.gz ZQDURHNMGWAPGS-LBPRGKRZSA-N 0 0 299.330 2.922 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C[C@H]1C ZINC000248636544 281029588 /nfs/dbraw/zinc/02/95/88/281029588.db2.gz YEMRCUYJPPDTOU-OPRDCNLKSA-N 0 0 266.297 2.742 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2C)C[C@@H](C)O1 ZINC000248700742 281031401 /nfs/dbraw/zinc/03/14/01/281031401.db2.gz ZNNUWSQFSWRITI-IUODEOHRSA-N 0 0 278.352 2.903 20 5 CFBDRN O=C([C@H]1[C@@H]2CCC[C@@H]21)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000252646343 281076687 /nfs/dbraw/zinc/07/66/87/281076687.db2.gz GGPGYCSXMILTIG-IMRBUKKESA-N 0 0 272.304 2.530 20 5 CFBDRN CN(CC1CCC1)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000254964633 281082392 /nfs/dbraw/zinc/08/23/92/281082392.db2.gz OQYZJUIBEYTBFN-KTKRTIGZSA-N 0 0 274.320 2.867 20 5 CFBDRN CC[C@@H]1CCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000255027444 281084745 /nfs/dbraw/zinc/08/47/45/281084745.db2.gz IOUMJYRWCGXENK-QDZRJHCZSA-N 0 0 274.320 2.867 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000155552631 281093134 /nfs/dbraw/zinc/09/31/34/281093134.db2.gz HOXBNCZNZLJCMF-GXFFZTMASA-N 0 0 292.335 2.912 20 5 CFBDRN CCC[C@@H](O)C[NH2+][C@@H](C)c1cccc([N+](=O)[O-])c1C ZINC000268925588 281118004 /nfs/dbraw/zinc/11/80/04/281118004.db2.gz XUIJLHRWWNLPSS-NWDGAFQWSA-N 0 0 266.341 2.715 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1CCCCS1 ZINC000272996881 281123051 /nfs/dbraw/zinc/12/30/51/281123051.db2.gz RQBRBTALNBIMKJ-LLVKDONJSA-N 0 0 266.322 2.819 20 5 CFBDRN CC(C)c1csc(CNC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000294909103 281140327 /nfs/dbraw/zinc/14/03/27/281140327.db2.gz KEEXBBKCAYURPL-UHFFFAOYSA-N 0 0 299.396 2.718 20 5 CFBDRN CC(C)(C)OC(=O)COc1ccc([N+](=O)[O-])c(F)c1F ZINC000296132744 281141859 /nfs/dbraw/zinc/14/18/59/281141859.db2.gz ZDESCHKZIMLBQR-UHFFFAOYSA-N 0 0 289.234 2.594 20 5 CFBDRN CC[C@H]1CN(c2cccc(OC)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000185400332 281177793 /nfs/dbraw/zinc/17/77/93/281177793.db2.gz VRCVAIPAAJZAMW-QWRGUYRKSA-N 0 0 280.324 2.607 20 5 CFBDRN CC[C@H]1CN(c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000275480398 281178038 /nfs/dbraw/zinc/17/80/38/281178038.db2.gz PRGKMUWPEYHVRN-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CCc1ccc(OCc2nnc(C)s2)c([N+](=O)[O-])c1 ZINC000358554828 281181910 /nfs/dbraw/zinc/18/19/10/281181910.db2.gz LNCRUIRWYGIXFM-UHFFFAOYSA-N 0 0 279.321 2.896 20 5 CFBDRN CC[C@H]1CN(c2sccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000302013508 288303851 /nfs/dbraw/zinc/30/38/51/288303851.db2.gz KWUGHZGYSBDWHP-BDAKNGLRSA-N 0 0 256.327 2.660 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3cc(F)ccc3[N+](=O)[O-])C2)c1 ZINC000368184281 281189072 /nfs/dbraw/zinc/18/90/72/281189072.db2.gz LASCTZGMMVIQLJ-LBPRGKRZSA-N 0 0 290.298 2.690 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(C2)CCCCCC3)nc1 ZINC000368187507 281189463 /nfs/dbraw/zinc/18/94/63/281189463.db2.gz GLIGINCLSYHEOZ-UHFFFAOYSA-N 0 0 276.340 2.936 20 5 CFBDRN C[C@@H](NCc1nn(C)cc1Cl)c1cccc([N+](=O)[O-])c1 ZINC000393326692 281192794 /nfs/dbraw/zinc/19/27/94/281192794.db2.gz RRIBUVLLYYCEFV-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncnc3sc([N+](=O)[O-])cc32)CS1 ZINC000397931779 281203571 /nfs/dbraw/zinc/20/35/71/281203571.db2.gz QEGRZSSVLANCTK-RQJHMYQMSA-N 0 0 296.377 2.905 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2c3ccccc3ncc2[N+](=O)[O-])O1 ZINC000397933648 281203575 /nfs/dbraw/zinc/20/35/75/281203575.db2.gz WDVRYNSXAYSGET-QWRGUYRKSA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncnc3sc([N+](=O)[O-])cc32)CS1 ZINC000397931777 281203577 /nfs/dbraw/zinc/20/35/77/281203577.db2.gz QEGRZSSVLANCTK-RNFRBKRXSA-N 0 0 296.377 2.905 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@@H](C3CC3)C2)cc1[N+](=O)[O-] ZINC000400206399 281211970 /nfs/dbraw/zinc/21/19/70/281211970.db2.gz IDVNDURCTJVVNH-GFCCVEGCSA-N 0 0 289.335 2.509 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCCC[C@H]2F)cccc1[N+](=O)[O-] ZINC000412678153 281213563 /nfs/dbraw/zinc/21/35/63/281213563.db2.gz YJWUCYWVWZARHI-GHMZBOCLSA-N 0 0 295.314 2.647 20 5 CFBDRN Cc1cccc(N2CCN(c3ccccc3[N+](=O)[O-])CC2)n1 ZINC000114183411 281228658 /nfs/dbraw/zinc/22/86/58/281228658.db2.gz YYEPRBIUTDEIMZ-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)ns1 ZINC000435667310 281235706 /nfs/dbraw/zinc/23/57/06/281235706.db2.gz JKIDXMUALGVUGR-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CCCc1ncc(CNc2ccc([N+](=O)[O-])nc2)o1 ZINC000450846644 281245246 /nfs/dbraw/zinc/24/52/46/281245246.db2.gz MVWXVIKJMYBVMT-UHFFFAOYSA-N 0 0 262.269 2.542 20 5 CFBDRN CC1(C)CN(c2ccccc2[N+](=O)[O-])[C@@H]1[C@@H]1CCCO1 ZINC000291152191 281269460 /nfs/dbraw/zinc/26/94/60/281269460.db2.gz PAYQPFIBESFQLP-UONOGXRCSA-N 0 0 276.336 2.989 20 5 CFBDRN COc1cc(C(=O)N(C)C(C)(C)C)cc([N+](=O)[O-])c1C ZINC000515486758 281293939 /nfs/dbraw/zinc/29/39/39/281293939.db2.gz RTTMJNNZZRBJHD-UHFFFAOYSA-N 0 0 280.324 2.782 20 5 CFBDRN CC(C)=CCCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000515539836 281294489 /nfs/dbraw/zinc/29/44/89/281294489.db2.gz HIUJYXLEUMNNRW-UHFFFAOYSA-N 0 0 277.324 2.750 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000527180349 281325931 /nfs/dbraw/zinc/32/59/31/281325931.db2.gz RLOUBQHPHRUVKS-AOOOYVTPSA-N 0 0 285.303 2.766 20 5 CFBDRN C[C@@H](NC(=O)N1[C@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000527456722 281331345 /nfs/dbraw/zinc/33/13/45/281331345.db2.gz PLRVAMBUGVYBEW-OUAUKWLOSA-N 0 0 277.324 2.848 20 5 CFBDRN CC[C@]1(CO)CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000274991734 281346079 /nfs/dbraw/zinc/34/60/79/281346079.db2.gz DWHRJRHBCQZXFN-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN CNc1ccc(C(=O)N2[C@H](C)CC[C@H]2C)cc1[N+](=O)[O-] ZINC000531559842 281431917 /nfs/dbraw/zinc/43/19/17/281431917.db2.gz YQZCWSCUSLMQNI-NXEZZACHSA-N 0 0 277.324 2.650 20 5 CFBDRN Cc1c(NC(=O)NC2(C3CC3)CC2)cccc1[N+](=O)[O-] ZINC000531622559 281435921 /nfs/dbraw/zinc/43/59/21/281435921.db2.gz BOVGWKLHEUETGN-UHFFFAOYSA-N 0 0 275.308 2.967 20 5 CFBDRN C[C@@H]1CCC[C@H](C)[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531635012 281436740 /nfs/dbraw/zinc/43/67/40/281436740.db2.gz OEGUESRFKDNAKY-IWIIMEHWSA-N 0 0 277.324 2.544 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000533583031 281472433 /nfs/dbraw/zinc/47/24/33/281472433.db2.gz OJSOIMZENUTQJB-QMMMGPOBSA-N 0 0 262.269 2.768 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000268050845 288329772 /nfs/dbraw/zinc/32/97/72/288329772.db2.gz SMVIHIPWBWUPKC-UWVGGRQHSA-N 0 0 295.295 2.507 20 5 CFBDRN CC[C@H]1COCCN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000534272035 281479672 /nfs/dbraw/zinc/47/96/72/281479672.db2.gz BEFHIDOVEXGRKH-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CC1(C)CCN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000534256821 281480074 /nfs/dbraw/zinc/48/00/74/281480074.db2.gz IGEMJVWWSDIJAZ-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2C)c(C)cn1 ZINC000535036658 281495495 /nfs/dbraw/zinc/49/54/95/281495495.db2.gz XPRHBWJXMHYROQ-UHFFFAOYSA-N 0 0 285.303 2.589 20 5 CFBDRN CCOc1ccc([C@@H](C)NCc2cc[nH]n2)cc1[N+](=O)[O-] ZINC000535066566 281497371 /nfs/dbraw/zinc/49/73/71/281497371.db2.gz RAVQTJLDFSRLBB-SNVBAGLBSA-N 0 0 290.323 2.567 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000535743790 281507613 /nfs/dbraw/zinc/50/76/13/281507613.db2.gz ZCEOVXHYNQABPT-UHFFFAOYSA-N 0 0 266.272 2.652 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000535794857 281510633 /nfs/dbraw/zinc/51/06/33/281510633.db2.gz WXEMAQSUMPWCIK-LBPRGKRZSA-N 0 0 291.351 2.973 20 5 CFBDRN CCC1(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)CC1 ZINC000536063078 281515798 /nfs/dbraw/zinc/51/57/98/281515798.db2.gz JBDMBXKFVHARFV-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ccccc2CO)c1 ZINC000536987446 281531293 /nfs/dbraw/zinc/53/12/93/281531293.db2.gz PEZHLZQKZWOYSZ-UHFFFAOYSA-N 0 0 288.303 2.708 20 5 CFBDRN COc1ccc(NC(=O)N2C[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000543891974 281658263 /nfs/dbraw/zinc/65/82/63/281658263.db2.gz MAAXMZQOUIQWFO-ZJUUUORDSA-N 0 0 293.323 2.866 20 5 CFBDRN COc1cc(-c2nc([C@H]3C[C@@H]3C)no2)ccc1[N+](=O)[O-] ZINC000545068098 281677879 /nfs/dbraw/zinc/67/78/79/281677879.db2.gz GFDNGLSBNUMKPW-CBAPKCEASA-N 0 0 275.264 2.777 20 5 CFBDRN Cc1ccncc1N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000545216157 281681975 /nfs/dbraw/zinc/68/19/75/281681975.db2.gz GVNCDTKYUHYIRG-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN CC[C@H]1C[C@H](C)C[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330456460 288350569 /nfs/dbraw/zinc/35/05/69/288350569.db2.gz PDMCQCPKCKCWAM-JQWIXIFHSA-N 0 0 264.325 2.921 20 5 CFBDRN C[C@@H]1c2c(F)cccc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000546620205 281713847 /nfs/dbraw/zinc/71/38/47/281713847.db2.gz SXYBYBHRTHIYAA-SECBINFHSA-N 0 0 288.282 2.648 20 5 CFBDRN C[C@H]1C[C@@H]1c1ccc(CNc2ncc([N+](=O)[O-])cn2)o1 ZINC000546899213 281722309 /nfs/dbraw/zinc/72/23/09/281722309.db2.gz YXGJQZSAIABOMW-KWQFWETISA-N 0 0 274.280 2.713 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1C2CCC1CC2 ZINC000547760605 281752308 /nfs/dbraw/zinc/75/23/08/281752308.db2.gz IWOODHOFPXCSOJ-UHFFFAOYSA-N 0 0 279.271 2.893 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC(C)(C)O2)c1 ZINC000547960350 281761831 /nfs/dbraw/zinc/76/18/31/281761831.db2.gz IRQXJRDHCPDOPT-NSHDSACASA-N 0 0 280.324 2.973 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000548750010 281798679 /nfs/dbraw/zinc/79/86/79/281798679.db2.gz NGCDEKAFUQLDMD-VIFPVBQESA-N 0 0 284.287 2.734 20 5 CFBDRN C[C@H](C(=O)NCc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000549781996 281820135 /nfs/dbraw/zinc/82/01/35/281820135.db2.gz KYKOIQYIXNLTIQ-MRVPVSSYSA-N 0 0 272.251 2.502 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])[C@@H]1C ZINC000552885336 281867364 /nfs/dbraw/zinc/86/73/64/281867364.db2.gz LUIYTOFYTJHWPV-FOGDFJRCSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1nc(N2CCO[C@H](c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000268496030 281933381 /nfs/dbraw/zinc/93/33/81/281933381.db2.gz PCCITUGDIMCJIW-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN CC(C)SCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000556361742 281933633 /nfs/dbraw/zinc/93/36/33/281933633.db2.gz XIBUWHMBYQAHSR-JTQLQIEISA-N 0 0 282.365 2.914 20 5 CFBDRN Cc1nc(N2CCS[C@H]3CCCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000297381921 281934888 /nfs/dbraw/zinc/93/48/88/281934888.db2.gz DLMOABOMSCXULF-JQWIXIFHSA-N 0 0 294.380 2.558 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])cn1C)C1CCCC1 ZINC000556516772 281935929 /nfs/dbraw/zinc/93/59/29/281935929.db2.gz NYIHNJUAYNSXIL-LBPRGKRZSA-N 0 0 279.340 2.632 20 5 CFBDRN Cc1nc(N2CC[C@]3(C)[C@H](C2)C3(F)F)ccc1[N+](=O)[O-] ZINC000291894379 281939907 /nfs/dbraw/zinc/93/99/07/281939907.db2.gz JSWMJXDTHAPIIE-CMPLNLGQSA-N 0 0 283.278 2.780 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H](CO)Cc1ccco1 ZINC000557475968 281963996 /nfs/dbraw/zinc/96/39/96/281963996.db2.gz BNLDCJLMDKELCZ-JTQLQIEISA-N 0 0 294.282 2.590 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC[C@H](C)[C@H]1C ZINC000557630661 281967629 /nfs/dbraw/zinc/96/76/29/281967629.db2.gz OZCSQOAEKLUHFQ-GXSJLCMTSA-N 0 0 292.335 2.925 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1C(F)F ZINC000557820873 281972052 /nfs/dbraw/zinc/97/20/52/281972052.db2.gz OSWITRUPFXHRIH-LLVKDONJSA-N 0 0 299.277 2.534 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@@H](C)O[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000280133092 281974002 /nfs/dbraw/zinc/97/40/02/281974002.db2.gz YBVFOIAPAUVBJN-PHIMTYICSA-N 0 0 292.335 2.801 20 5 CFBDRN C[C@@H]([C@H](C)NC(=O)c1c[nH]nc1[N+](=O)[O-])C1CCCCC1 ZINC000558757869 281995974 /nfs/dbraw/zinc/99/59/74/281995974.db2.gz QIZIDSACYBMNRE-UWVGGRQHSA-N 0 0 294.355 2.653 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1Nc1c[nH]cn1 ZINC000559590168 282007938 /nfs/dbraw/zinc/00/79/38/282007938.db2.gz FKCARZAKFXWUML-UHFFFAOYSA-N 0 0 296.670 2.502 20 5 CFBDRN Cc1nnsc1CN[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000560452299 282027677 /nfs/dbraw/zinc/02/76/77/282027677.db2.gz TXWKWKBVDYHMHJ-VIFPVBQESA-N 0 0 292.364 2.914 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@H]1CC[C@H](F)C1 ZINC000561692679 282059596 /nfs/dbraw/zinc/05/95/96/282059596.db2.gz IFGFODNZGDUPSO-UWVGGRQHSA-N 0 0 296.298 2.992 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CCC2(CCO2)CC1 ZINC000562329562 282074696 /nfs/dbraw/zinc/07/46/96/282074696.db2.gz DPLSTIOTSGXWCU-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN CCC(CC)N(C(=O)c1nn(CC)cc1[N+](=O)[O-])C1CC1 ZINC000562345569 282076366 /nfs/dbraw/zinc/07/63/66/282076366.db2.gz WOEHHHJKBUILTF-UHFFFAOYSA-N 0 0 294.355 2.604 20 5 CFBDRN CC[C@]1(C)CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000286746590 288450524 /nfs/dbraw/zinc/45/05/24/288450524.db2.gz RHZNHPKDLGYOCW-CYBMUJFWSA-N 0 0 268.288 2.739 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000275067365 288479866 /nfs/dbraw/zinc/47/98/66/288479866.db2.gz YELHMHVTDOWYBE-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000275019252 288479882 /nfs/dbraw/zinc/47/98/82/288479882.db2.gz LOPYRVCTYIBZJZ-AWEZNQCLSA-N 0 0 264.325 2.584 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000172649607 288961930 /nfs/dbraw/zinc/96/19/30/288961930.db2.gz ZRLPFQLNWXOSMT-WDEREUQCSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1c1ccccc1[N+](=O)[O-] ZINC000301254640 289027843 /nfs/dbraw/zinc/02/78/43/289027843.db2.gz BAXMPHAFFAZYHQ-ZJUUUORDSA-N 0 0 252.339 2.925 20 5 CFBDRN CN(c1ccc(F)cc1[N+](=O)[O-])[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000301379812 289784065 /nfs/dbraw/zinc/78/40/65/289784065.db2.gz XYSJQTAZXHFBNR-LERXQTSPSA-N 0 0 294.326 2.984 20 5 CFBDRN Cc1ccccc1-c1nnn(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000021618335 289821856 /nfs/dbraw/zinc/82/18/56/289821856.db2.gz ALOJSTDFPUUJMV-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)C1=CCCC1 ZINC000153326753 289865283 /nfs/dbraw/zinc/86/52/83/289865283.db2.gz VQBYEUCYPJGDGY-UHFFFAOYSA-N 0 0 298.302 2.829 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCF ZINC000154162210 289887099 /nfs/dbraw/zinc/88/70/99/289887099.db2.gz IUBJFKUSRBEACK-VIFPVBQESA-N 0 0 286.328 2.551 20 5 CFBDRN CN(CCC1CC1)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000156288843 289935814 /nfs/dbraw/zinc/93/58/14/289935814.db2.gz CXOIHTZTWRKGIR-BQYQJAHWSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1ccnc(N2CC[C@]3(C)[C@H](C2)C3(F)F)c1[N+](=O)[O-] ZINC000291888080 292779244 /nfs/dbraw/zinc/77/92/44/292779244.db2.gz JIWKHIFREFLOTG-JOYOIKCWSA-N 0 0 283.278 2.780 20 5 CFBDRN Cc1nc(NCCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000308524997 290012098 /nfs/dbraw/zinc/01/20/98/290012098.db2.gz DMGKWPWVCCGSMS-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S[C@@H]1CCCNC1=O ZINC000352034452 290021192 /nfs/dbraw/zinc/02/11/92/290021192.db2.gz BWZOMDPYXQHEBZ-ZWNOBZJWSA-N 0 0 294.376 2.976 20 5 CFBDRN CC(C)C1CCC(N(C)C(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000549758427 290037143 /nfs/dbraw/zinc/03/71/43/290037143.db2.gz NLXSASFGGDBASJ-UHFFFAOYSA-N 0 0 294.355 2.605 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000562532278 290051870 /nfs/dbraw/zinc/05/18/70/290051870.db2.gz RKYZAJALMOFMQY-DGCLKSJQSA-N 0 0 273.292 2.732 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000562554476 290055008 /nfs/dbraw/zinc/05/50/08/290055008.db2.gz JETCGHPKYRHNKU-AWEZNQCLSA-N 0 0 295.314 2.601 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CCC3(CCO3)CC2)c1 ZINC000562695799 290067588 /nfs/dbraw/zinc/06/75/88/290067588.db2.gz FVMRBRZNFWUGRP-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@H]1C(C)(C)C ZINC000562732825 290071724 /nfs/dbraw/zinc/07/17/24/290071724.db2.gz OZCLVXASAXNVIX-VXGBXAGGSA-N 0 0 293.367 2.878 20 5 CFBDRN CN(CC(C)(C)C)c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000562864800 290079659 /nfs/dbraw/zinc/07/96/59/290079659.db2.gz HJEYJSRFVPKXED-UHFFFAOYSA-N 0 0 290.323 2.726 20 5 CFBDRN CC[C@H](CNc1nc2c(cc1[N+](=O)[O-])CCCC2)OC ZINC000563034485 290095517 /nfs/dbraw/zinc/09/55/17/290095517.db2.gz AOKUERGQCOYRNS-LLVKDONJSA-N 0 0 279.340 2.706 20 5 CFBDRN C[C@]1(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)CCOC1 ZINC000563038457 290096941 /nfs/dbraw/zinc/09/69/41/290096941.db2.gz MOGGWQMPALRJCG-OAHLLOKOSA-N 0 0 291.351 2.707 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCC[C@@H]1OC ZINC000563427690 290149983 /nfs/dbraw/zinc/14/99/83/290149983.db2.gz NQSLCCRDPAZNIU-JQWIXIFHSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCC(=O)CC(C)(C)C)c1C ZINC000563441148 290151798 /nfs/dbraw/zinc/15/17/98/290151798.db2.gz XFMDJSDEXSSGLG-UHFFFAOYSA-N 0 0 280.324 2.991 20 5 CFBDRN C[C@H]1CCC[C@H](Cn2cc([N+](=O)[O-])cc(F)c2=O)C1 ZINC000563810799 290175835 /nfs/dbraw/zinc/17/58/35/290175835.db2.gz SOESAHOPJLZFDD-UWVGGRQHSA-N 0 0 268.288 2.722 20 5 CFBDRN COC(=O)[C@H](CNc1c(F)cccc1[N+](=O)[O-])CC1CC1 ZINC000564217977 290198198 /nfs/dbraw/zinc/19/81/98/290198198.db2.gz ZSMZJMCGRPMMCD-JTQLQIEISA-N 0 0 296.298 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cnn(C(C)C)c2)c1 ZINC000564506610 290212258 /nfs/dbraw/zinc/21/22/58/290212258.db2.gz QWAWFNALDHCJJR-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@]12C[C@H]1CCC2 ZINC000564731217 290227835 /nfs/dbraw/zinc/22/78/35/290227835.db2.gz RHRQQUHKQUWBOV-YMTOWFKASA-N 0 0 275.308 2.765 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cn2)c(C)cc1O ZINC000564808894 290234388 /nfs/dbraw/zinc/23/43/88/290234388.db2.gz SBZRNHGUIZUMMZ-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000565074976 290265054 /nfs/dbraw/zinc/26/50/54/290265054.db2.gz UDYSSTPAASCTBJ-ONGXEEELSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1noc([C@@H](C)N(C)Cc2cccc([N+](=O)[O-])c2C)n1 ZINC000565841681 290329508 /nfs/dbraw/zinc/32/95/08/290329508.db2.gz IAYSVWVAJNFYES-SNVBAGLBSA-N 0 0 290.323 2.788 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1CCC[C@@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000565972853 290338299 /nfs/dbraw/zinc/33/82/99/290338299.db2.gz OFAREUUXZDPTCG-JIMOISOXSA-N 0 0 280.299 2.705 20 5 CFBDRN C[C@H](c1nc(-c2cnccn2)no1)c1cccc([N+](=O)[O-])c1 ZINC000565976259 290338343 /nfs/dbraw/zinc/33/83/43/290338343.db2.gz YEMLJHZSMKVSCG-VIFPVBQESA-N 0 0 297.274 2.587 20 5 CFBDRN C[C@@H]1c2c(F)cccc2CCN1c1c([N+](=O)[O-])ncn1C ZINC000565982939 290338663 /nfs/dbraw/zinc/33/86/63/290338663.db2.gz VXMXIVMZHLVPGB-SECBINFHSA-N 0 0 290.298 2.591 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]1C ZINC000565985649 290338785 /nfs/dbraw/zinc/33/87/85/290338785.db2.gz JBUYPUWLOVLPJJ-UTUOFQBUSA-N 0 0 291.351 2.792 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H]1C1CC1 ZINC000566287161 290367504 /nfs/dbraw/zinc/36/75/04/290367504.db2.gz YTWGUGWXNOWTFY-MNOVXSKESA-N 0 0 275.308 2.621 20 5 CFBDRN C[C@H](O)[C@@H](Nc1ncc([N+](=O)[O-])s1)c1ccccc1F ZINC000566329885 290371637 /nfs/dbraw/zinc/37/16/37/290371637.db2.gz ODTUZGKZUPDBQS-WRWORJQWSA-N 0 0 297.311 2.724 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc([C@H]2C[C@@H]2C)no1 ZINC000566482545 290393338 /nfs/dbraw/zinc/39/33/38/290393338.db2.gz UYUGVOJJLGOWLP-CBAPKCEASA-N 0 0 275.264 2.777 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CC[C@H]1CCCO1 ZINC000566484681 290393920 /nfs/dbraw/zinc/39/39/20/290393920.db2.gz QQTVHECJMFMNHR-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN COC(=O)C[C@@H](C)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000566497799 290395417 /nfs/dbraw/zinc/39/54/17/290395417.db2.gz KQMSOPWAYOWQHH-MRVPVSSYSA-N 0 0 287.312 2.919 20 5 CFBDRN COC1(C(=O)Nc2cc([N+](=O)[O-])ccc2C)CCCC1 ZINC000567341599 290456207 /nfs/dbraw/zinc/45/62/07/290456207.db2.gz ZTUKLJJCCIBFDD-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H](C)[C@@H]1C ZINC000567783662 290494893 /nfs/dbraw/zinc/49/48/93/290494893.db2.gz JEPQMHDNKBVSJF-ZJUUUORDSA-N 0 0 266.345 2.744 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC(C(F)(F)F)C2)c1 ZINC000568327443 290547302 /nfs/dbraw/zinc/54/73/02/290547302.db2.gz AOWMXUSSDMNVHU-UHFFFAOYSA-N 0 0 260.215 2.589 20 5 CFBDRN CC[C@H](C)C[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C(=O)OC ZINC000568387898 290550864 /nfs/dbraw/zinc/55/08/64/290550864.db2.gz VMPKYXMOIZHSNL-GZMMTYOYSA-N 0 0 299.302 2.519 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000568869821 290574649 /nfs/dbraw/zinc/57/46/49/290574649.db2.gz AGVNDQDKHCZGBD-CMPLNLGQSA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)[C@H]1C ZINC000302880546 290590066 /nfs/dbraw/zinc/59/00/66/290590066.db2.gz IJXHTEZXKBCUMK-UWVGGRQHSA-N 0 0 261.325 2.798 20 5 CFBDRN Cc1cnc(N[C@@H]2CCC[C@@H]3OCC[C@H]32)c([N+](=O)[O-])c1 ZINC000268401156 292936535 /nfs/dbraw/zinc/93/65/35/292936535.db2.gz PUPZAMNFUVJYGW-LOWVWBTDSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1nc(N[C@H]2CCCO[C@@H]2CC(C)C)ncc1[N+](=O)[O-] ZINC000294825751 293343333 /nfs/dbraw/zinc/34/33/33/293343333.db2.gz AEYJYORLEKKTDG-WCQYABFASA-N 0 0 294.355 2.699 20 5 CFBDRN Cc1nnc([C@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000271605802 293874160 /nfs/dbraw/zinc/87/41/60/293874160.db2.gz JFFIUWUVAJLDGY-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN Cc1sc2nc(C)nc(-n3ccc([N+](=O)[O-])n3)c2c1C ZINC000353122131 294079638 /nfs/dbraw/zinc/07/96/38/294079638.db2.gz DJXKWGPHQVMDMD-UHFFFAOYSA-N 0 0 289.320 2.710 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000280180951 294133982 /nfs/dbraw/zinc/13/39/82/294133982.db2.gz HYGGWOMBCBBOAP-AWEZNQCLSA-N 0 0 264.325 2.990 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000353903897 294251183 /nfs/dbraw/zinc/25/11/83/294251183.db2.gz KQPMQUIALIOZHK-SNVBAGLBSA-N 0 0 298.314 2.619 20 5 CFBDRN C[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])[C@@H](C)[C@H](C)O1 ZINC000408445756 297078956 /nfs/dbraw/zinc/07/89/56/297078956.db2.gz ZOESHDDLIWDOJN-UTLUCORTSA-N 0 0 268.288 2.736 20 5 CFBDRN C[C@@H]1CN(c2ccncc2[N+](=O)[O-])C[C@H](c2ccccc2)O1 ZINC000340152128 297083005 /nfs/dbraw/zinc/08/30/05/297083005.db2.gz RATYZXZOKBCAMN-MLGOLLRUSA-N 0 0 299.330 2.956 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2c1ccc(F)cc1[N+](=O)[O-] ZINC000286298074 294698413 /nfs/dbraw/zinc/69/84/13/294698413.db2.gz JILVJEYCWPZTQN-UBSPAHDZSA-N 0 0 292.310 2.594 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H]3CCCC[C@H]32)c1 ZINC000367764495 295253732 /nfs/dbraw/zinc/25/37/32/295253732.db2.gz BUWFXTUNSIKDOS-ZWNOBZJWSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1cccc(C(=O)N2[C@H](C)CC[C@H]2C)c1[N+](=O)[O-] ZINC000194682901 295287576 /nfs/dbraw/zinc/28/75/76/295287576.db2.gz WIUDYGGQZFHNEY-NXEZZACHSA-N 0 0 278.308 2.616 20 5 CFBDRN COc1cccc(N2CCO[C@@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000413477617 295305518 /nfs/dbraw/zinc/30/55/18/295305518.db2.gz NJCMUHQGXILCNU-CQSZACIVSA-N 0 0 292.335 2.609 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC=C(Br)C2)c1 ZINC000282256172 295356137 /nfs/dbraw/zinc/35/61/37/295356137.db2.gz NIJIZPNCJGEHMW-UHFFFAOYSA-N 0 0 298.140 2.666 20 5 CFBDRN C[C@H]1CSCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000277153115 300386610 /nfs/dbraw/zinc/38/66/10/300386610.db2.gz FZEBSRROTJAPOP-SECBINFHSA-N 0 0 270.329 2.923 20 5 CFBDRN Cc1ccc([C@H](C)N(C)c2c([N+](=O)[O-])ncn2C)cc1 ZINC000264960247 301779731 /nfs/dbraw/zinc/77/97/31/301779731.db2.gz YXVPDJQXOFLNDL-NSHDSACASA-N 0 0 274.324 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)C(C)(C)C)c1 ZINC000335431767 301847989 /nfs/dbraw/zinc/84/79/89/301847989.db2.gz UVFPZUONTAZTCL-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCn2ccnc2C1 ZINC000332631127 301867248 /nfs/dbraw/zinc/86/72/48/301867248.db2.gz OYPOGWOEQPADJL-GFCCVEGCSA-N 0 0 286.335 2.677 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000105384716 301957418 /nfs/dbraw/zinc/95/74/18/301957418.db2.gz BAYYEUDVZXTBPY-SKDRFNHKSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C)[C@@H]1C ZINC000194801024 302038410 /nfs/dbraw/zinc/03/84/10/302038410.db2.gz DTRJELZJYDGDBR-ONGXEEELSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccnc(N2CC[C@H](O)c3ccccc3C2)c1[N+](=O)[O-] ZINC000375892464 302274306 /nfs/dbraw/zinc/27/43/06/302274306.db2.gz UXEMWYGHDIUPEP-AWEZNQCLSA-N 0 0 299.330 2.742 20 5 CFBDRN Cc1cnc(N2CC(C)(C)[C@@H]2[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000291218970 302347989 /nfs/dbraw/zinc/34/79/89/302347989.db2.gz VTKZNLLIWROBRK-STQMWFEESA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1nn(C)cc1[C@@H]1CCCN1c1ccccc1[N+](=O)[O-] ZINC000366093866 302799858 /nfs/dbraw/zinc/79/98/58/302799858.db2.gz HXQKCAXRWYOPCU-ZDUSSCGKSA-N 0 0 286.335 2.978 20 5 CFBDRN NC(=O)c1cccc(NCC23CCC(CC2)C3)c1[N+](=O)[O-] ZINC000342752051 303347305 /nfs/dbraw/zinc/34/73/05/303347305.db2.gz LBQNAGQISKRQFL-UHFFFAOYSA-N 0 0 289.335 2.686 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H]1C1CC1 ZINC000450642454 304800152 /nfs/dbraw/zinc/80/01/52/304800152.db2.gz ICLNXOUNTJQINW-VIFPVBQESA-N 0 0 253.689 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H]2c2ccccc2)cn1 ZINC000450369372 304803407 /nfs/dbraw/zinc/80/34/07/304803407.db2.gz OQNQIISFNYHDMC-AWEZNQCLSA-N 0 0 285.303 2.568 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCOC[C@H](C2CCC2)C1 ZINC000292427560 304805983 /nfs/dbraw/zinc/80/59/83/304805983.db2.gz WYNIOKJDZCOKBT-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCO[C@@H](C2CCC2)C1 ZINC000413479155 304807955 /nfs/dbraw/zinc/80/79/55/304807955.db2.gz PVXLGHULSNBSPZ-CQSZACIVSA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOCC3(CCC3)C2)c(Cl)c1 ZINC000375195109 304812076 /nfs/dbraw/zinc/81/20/76/304812076.db2.gz BWQYUEMLXQPXDA-UHFFFAOYSA-N 0 0 297.742 2.650 20 5 CFBDRN COC(=O)c1coc(CSc2ccc([N+](=O)[O-])cn2)c1 ZINC000159628767 322325119 /nfs/dbraw/zinc/32/51/19/322325119.db2.gz JZBAUHJAYCPIET-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000159860160 322329099 /nfs/dbraw/zinc/32/90/99/322329099.db2.gz XLIKJFUDIZNCOW-QMTHXVAHSA-N 0 0 278.308 2.978 20 5 CFBDRN Cc1c(Cl)cccc1NC(=O)c1c([N+](=O)[O-])cnn1C ZINC000159978641 322330931 /nfs/dbraw/zinc/33/09/31/322330931.db2.gz ZACYAKPJZAYYDV-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1ccc(Cl)cc1NC(=O)c1c([N+](=O)[O-])cnn1C ZINC000160078909 322332587 /nfs/dbraw/zinc/33/25/87/322332587.db2.gz UPVONINCJXBZOW-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc(C(=O)CSc2ccc([N+](=O)[O-])cn2)c(C)[nH]1 ZINC000160338835 322336989 /nfs/dbraw/zinc/33/69/89/322336989.db2.gz FUOAIAKQPMIERJ-UHFFFAOYSA-N 0 0 291.332 2.910 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000160421213 322338104 /nfs/dbraw/zinc/33/81/04/322338104.db2.gz GBMYLEUYCNYNCG-IUODEOHRSA-N 0 0 274.320 2.920 20 5 CFBDRN CC(C)(C)CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161290562 322349982 /nfs/dbraw/zinc/34/99/82/322349982.db2.gz CQBSIIKFDHUGGT-UHFFFAOYSA-N 0 0 276.336 2.916 20 5 CFBDRN O=C([C@@H]1C[C@@H]1C1CC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161391672 322351030 /nfs/dbraw/zinc/35/10/30/322351030.db2.gz CYTGNDLSASNBNF-ZIAGYGMSSA-N 0 0 286.331 2.526 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccccc1F ZINC000161920851 322358213 /nfs/dbraw/zinc/35/82/13/322358213.db2.gz RAHFUTKEJUIETK-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)Nc1cccc(F)n1 ZINC000162239989 322362228 /nfs/dbraw/zinc/36/22/28/322362228.db2.gz DQPXZEBEGYDOIQ-BQYQJAHWSA-N 0 0 287.250 2.781 20 5 CFBDRN Cc1sc(C(=O)N2[C@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000162376900 322364465 /nfs/dbraw/zinc/36/44/65/322364465.db2.gz LFMOQTRQDVDEOS-OCAPTIKFSA-N 0 0 268.338 2.978 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCSCC1 ZINC000163320843 322375289 /nfs/dbraw/zinc/37/52/89/322375289.db2.gz IULWWKMAOINRDO-UHFFFAOYSA-N 0 0 272.757 2.797 20 5 CFBDRN Cc1ccnc(N[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000172408842 322424449 /nfs/dbraw/zinc/42/44/49/322424449.db2.gz KZERDQPMZCFAGS-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@@H]1CC=CCC1 ZINC000172487133 322425828 /nfs/dbraw/zinc/42/58/28/322425828.db2.gz ZWONMSDBFDIPQO-OCHBPSSRSA-N 0 0 272.304 2.833 20 5 CFBDRN Cc1cnc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)s1 ZINC000172720770 322428885 /nfs/dbraw/zinc/42/88/85/322428885.db2.gz BVNGWQJTXJHXOQ-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCOCCN(C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432164217 322455283 /nfs/dbraw/zinc/45/52/83/322455283.db2.gz BIFGCRZCUWGFCL-UHFFFAOYSA-N 0 0 258.705 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCC(F)(F)C3)no2)nc1 ZINC000569541038 322479152 /nfs/dbraw/zinc/47/91/52/322479152.db2.gz SPKZNEZNMJFXFG-SSDOTTSWSA-N 0 0 296.233 2.943 20 5 CFBDRN O=[N+]([O-])c1c(NC2(CF)CCC2)nc2sccn21 ZINC000569806488 322497459 /nfs/dbraw/zinc/49/74/59/322497459.db2.gz MCWAMTVCTMAHEN-UHFFFAOYSA-N 0 0 270.289 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](Nc3ccccc3)C2)cn1 ZINC000570315374 322526039 /nfs/dbraw/zinc/52/60/39/322526039.db2.gz UOMUUIXBNKODFL-CYBMUJFWSA-N 0 0 284.319 2.681 20 5 CFBDRN O=c1onc(-c2cccc([N+](=O)[O-])c2)n1[C@H]1C=CCCC1 ZINC000570842627 322555421 /nfs/dbraw/zinc/55/54/21/322555421.db2.gz ZSGJGEFXTPJWIS-NSHDSACASA-N 0 0 287.275 2.693 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(SCCC(C)(C)O)n1 ZINC000570867903 322556484 /nfs/dbraw/zinc/55/64/84/322556484.db2.gz XYKNIOCLWGFGSE-UHFFFAOYSA-N 0 0 256.327 2.551 20 5 CFBDRN C[C@H]1C[C@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)CCO1 ZINC000571518831 322586358 /nfs/dbraw/zinc/58/63/58/322586358.db2.gz LGTIZHSCELLYPA-CMPLNLGQSA-N 0 0 291.351 2.848 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCOCC1CCC1 ZINC000572303280 322614588 /nfs/dbraw/zinc/61/45/88/322614588.db2.gz BUIGXRGCZLTSOK-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H](CO)CC(F)F)ccc2ncccc21 ZINC000572314026 322614796 /nfs/dbraw/zinc/61/47/96/322614796.db2.gz JYJFLJXOVQMTMU-MRVPVSSYSA-N 0 0 297.261 2.571 20 5 CFBDRN Cc1nc(N2CC[C@@H](C)C(F)(F)C2)ccc1[N+](=O)[O-] ZINC000573421820 322655463 /nfs/dbraw/zinc/65/54/63/322655463.db2.gz ANVALSFIJVFQJX-MRVPVSSYSA-N 0 0 271.267 2.780 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ccc2c(n1)CCC2=O ZINC000575210441 322722006 /nfs/dbraw/zinc/72/20/06/322722006.db2.gz CFHRRWOZUWZRDX-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CC[C@@H](C)C[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)C(=O)OC ZINC000575746609 322727894 /nfs/dbraw/zinc/72/78/94/322727894.db2.gz YCWAGTIAWCQGFG-KOLCDFICSA-N 0 0 295.339 2.688 20 5 CFBDRN CC(=O)CSCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000176949451 323686331 /nfs/dbraw/zinc/68/63/31/323686331.db2.gz NVVVIRMVCCVTNY-UHFFFAOYSA-N 0 0 266.278 2.558 20 5 CFBDRN C[C@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000179305447 323740881 /nfs/dbraw/zinc/74/08/81/323740881.db2.gz HMYGXOAVENFKJA-NWDGAFQWSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1ccc(CO)cc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000179581885 323752229 /nfs/dbraw/zinc/75/22/29/323752229.db2.gz NGNAJRAOFSETEZ-UHFFFAOYSA-N 0 0 292.316 2.709 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000180557122 323784946 /nfs/dbraw/zinc/78/49/46/323784946.db2.gz OLFFNVXVKDXFRL-NSHDSACASA-N 0 0 282.365 2.775 20 5 CFBDRN CO[C@H](C)CSCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000181168730 323803625 /nfs/dbraw/zinc/80/36/25/323803625.db2.gz WWJNEMFYLMOJSU-SECBINFHSA-N 0 0 299.348 2.729 20 5 CFBDRN CO[C@@H](C)CSCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000181168710 323803707 /nfs/dbraw/zinc/80/37/07/323803707.db2.gz WWJNEMFYLMOJSU-VIFPVBQESA-N 0 0 299.348 2.729 20 5 CFBDRN CC(C)OCCCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000182748693 323880496 /nfs/dbraw/zinc/88/04/96/323880496.db2.gz UJYPHRYWMVYEAH-UHFFFAOYSA-N 0 0 264.325 2.772 20 5 CFBDRN Cc1nscc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000183003701 323893382 /nfs/dbraw/zinc/89/33/82/323893382.db2.gz DGZMOFOVVJLBQG-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN C[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1)c1nccs1 ZINC000183519174 323919762 /nfs/dbraw/zinc/91/97/62/323919762.db2.gz VOUBDNPISZITLL-SECBINFHSA-N 0 0 291.332 2.585 20 5 CFBDRN Cc1cc(=O)n(Cc2cc(F)ccc2Cl)cc1[N+](=O)[O-] ZINC000188224010 324010932 /nfs/dbraw/zinc/01/09/32/324010932.db2.gz ZPITVCGZOKBHGG-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CC[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000193630997 324074717 /nfs/dbraw/zinc/07/47/17/324074717.db2.gz GLTGGCJYDPLDQS-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(CC2CCCC2)no1 ZINC000349709351 324182035 /nfs/dbraw/zinc/18/20/35/324182035.db2.gz GDBKYIABAZMIPL-UHFFFAOYSA-N 0 0 276.296 2.716 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(C2CCCC2)no1 ZINC000349878812 324190936 /nfs/dbraw/zinc/19/09/36/324190936.db2.gz LPWQCQQPXACVHT-UHFFFAOYSA-N 0 0 262.269 2.641 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(C2(C)CCCCC2)n1 ZINC000350274972 324208105 /nfs/dbraw/zinc/20/81/05/324208105.db2.gz ODEXBYMGLJQAFF-UHFFFAOYSA-N 0 0 291.311 2.600 20 5 CFBDRN Cc1c(-c2nc(-c3ccc(=O)[nH]c3)no2)cccc1[N+](=O)[O-] ZINC000350803117 324260228 /nfs/dbraw/zinc/26/02/28/324260228.db2.gz DLOBALAXJIYORC-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN Cc1c(-c2nc([C@@H]3CCCOC3)no2)cccc1[N+](=O)[O-] ZINC000350845688 324266627 /nfs/dbraw/zinc/26/66/27/324266627.db2.gz HYWACTLNUDNVIQ-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1c(-c2nc([C@H]3CCCOC3)no2)cccc1[N+](=O)[O-] ZINC000350845687 324266804 /nfs/dbraw/zinc/26/68/04/324266804.db2.gz HYWACTLNUDNVIQ-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1nc(C)c(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)o1 ZINC000350886545 324277840 /nfs/dbraw/zinc/27/78/40/324277840.db2.gz SOWRZWKPEYYMAV-UHFFFAOYSA-N 0 0 292.276 2.978 20 5 CFBDRN CC/C=C(/C)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350951188 324288344 /nfs/dbraw/zinc/28/83/44/324288344.db2.gz IYKCGJGOAKVYMG-SREVYHEPSA-N 0 0 277.284 2.500 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(CSC2CC2)n1 ZINC000351069565 324303577 /nfs/dbraw/zinc/30/35/77/324303577.db2.gz YOOHJSYXJVGFKO-UHFFFAOYSA-N 0 0 291.332 2.964 20 5 CFBDRN CC(C)(C)C[C@H](O)Cc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351140622 324324181 /nfs/dbraw/zinc/32/41/81/324324181.db2.gz BPIJHRZONSSTIH-MRVPVSSYSA-N 0 0 295.295 2.577 20 5 CFBDRN Cc1cc(-c2noc([C@H]3CO[C@H](C)C3)n2)cc([N+](=O)[O-])c1 ZINC000351140606 324324231 /nfs/dbraw/zinc/32/42/31/324324231.db2.gz BNFYZIKQNILXEV-MWLCHTKSSA-N 0 0 289.291 2.846 20 5 CFBDRN C[C@H]1CC(c2nc(-c3ccc([N+](=O)[O-])o3)no2)C[C@H](C)O1 ZINC000351143207 324325949 /nfs/dbraw/zinc/32/59/49/324325949.db2.gz FSIXOWUZUBZDSY-YUMQZZPRSA-N 0 0 293.279 2.909 20 5 CFBDRN C[C@@H]1CC(c2nc(-c3ccc([N+](=O)[O-])o3)no2)C[C@@H](C)O1 ZINC000351143204 324326091 /nfs/dbraw/zinc/32/60/91/324326091.db2.gz FSIXOWUZUBZDSY-HTQZYQBOSA-N 0 0 293.279 2.909 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351145354 324326573 /nfs/dbraw/zinc/32/65/73/324326573.db2.gz JXFWPXQKGCNQLV-CBAPKCEASA-N 0 0 291.263 2.711 20 5 CFBDRN Cc1c(-c2noc([C@]3(F)CCOC3)n2)cccc1[N+](=O)[O-] ZINC000351147274 324327568 /nfs/dbraw/zinc/32/75/68/324327568.db2.gz NVJVNYWTFRDBNI-ZDUSSCGKSA-N 0 0 293.254 2.538 20 5 CFBDRN Cc1c(-c2noc([C@@]3(F)CCOC3)n2)cccc1[N+](=O)[O-] ZINC000351147273 324327838 /nfs/dbraw/zinc/32/78/38/324327838.db2.gz NVJVNYWTFRDBNI-CYBMUJFWSA-N 0 0 293.254 2.538 20 5 CFBDRN CCO[C@@H]1C[C@@H]1c1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351149296 324329134 /nfs/dbraw/zinc/32/91/34/324329134.db2.gz RHRVWIQXKRLJPV-NWDGAFQWSA-N 0 0 289.291 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C[C@@H]3CC[C@@H]4C[C@@H]43)n2)o1 ZINC000351149338 324329175 /nfs/dbraw/zinc/32/91/75/324329175.db2.gz RMTQNAQVXJIEGQ-VGMNWLOBSA-N 0 0 275.264 2.826 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C[C@@H]3C=CCC3)n2)o1 ZINC000351153412 324330798 /nfs/dbraw/zinc/33/07/98/324330798.db2.gz YJMLJGKDSUEGTP-MRVPVSSYSA-N 0 0 261.237 2.747 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(CC2CC(F)(F)C2)no1 ZINC000351184909 324341716 /nfs/dbraw/zinc/34/17/16/324341716.db2.gz MVLQQAQCPVVAAL-UHFFFAOYSA-N 0 0 298.249 2.571 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000351292834 324372946 /nfs/dbraw/zinc/37/29/46/324372946.db2.gz MZYIRCWFXRQUJG-BDAKNGLRSA-N 0 0 291.311 2.672 20 5 CFBDRN Cc1cc(-c2noc([C@H]3CCC(=O)C3)n2)cc([N+](=O)[O-])c1 ZINC000351372816 324393028 /nfs/dbraw/zinc/39/30/28/324393028.db2.gz GPNMULILRZVVCR-VIFPVBQESA-N 0 0 287.275 2.790 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cc1F)[C@@H](C)O ZINC000386750207 324481776 /nfs/dbraw/zinc/48/17/76/324481776.db2.gz GIRKVNZRLJKULA-SCZZXKLOSA-N 0 0 256.277 2.614 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CC23CCOCC3)c(F)c1 ZINC000386915436 324483883 /nfs/dbraw/zinc/48/38/83/324483883.db2.gz DEGVKDQLFPZFTI-LLVKDONJSA-N 0 0 284.262 2.854 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000388288413 324503452 /nfs/dbraw/zinc/50/34/52/324503452.db2.gz SYIUVWBGJZOTRN-AMIZOPFISA-N 0 0 268.288 2.959 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1ccccc1[N+](=O)[O-])C1CC1 ZINC000388341940 324504814 /nfs/dbraw/zinc/50/48/14/324504814.db2.gz JZAQKMTWFUYENI-RNCFNFMXSA-N 0 0 250.298 2.820 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000398978006 324552368 /nfs/dbraw/zinc/55/23/68/324552368.db2.gz ZFZJQFJANDTAIK-UHFFFAOYSA-N 0 0 264.256 2.501 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCC[C@H]1CF)CCCC2 ZINC000576032947 324568647 /nfs/dbraw/zinc/56/86/47/324568647.db2.gz AXMJHPPPSVPCMR-NSHDSACASA-N 0 0 279.315 2.807 20 5 CFBDRN COc1cccc(C(=O)NCCCC2CC2)c1[N+](=O)[O-] ZINC000576182742 324587560 /nfs/dbraw/zinc/58/75/60/324587560.db2.gz LMGJLKPAKKHYSS-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CC1(C)CCN1C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000577816535 324781917 /nfs/dbraw/zinc/78/19/17/324781917.db2.gz YMQDHXCELNEKJW-UHFFFAOYSA-N 0 0 290.319 2.569 20 5 CFBDRN CCOc1cc(OC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000580144234 325009797 /nfs/dbraw/zinc/00/97/97/325009797.db2.gz ZRRBMCPJSMEJQR-LBPRGKRZSA-N 0 0 281.308 2.941 20 5 CFBDRN Cc1cnc([C@@H](C)N2CCc3c(cccc3[N+](=O)[O-])C2)cn1 ZINC000580392753 325031451 /nfs/dbraw/zinc/03/14/51/325031451.db2.gz HOGITSSOKFGBQF-GFCCVEGCSA-N 0 0 298.346 2.813 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2c1nc2ccccc2cc1[N+](=O)[O-] ZINC000580718016 325058429 /nfs/dbraw/zinc/05/84/29/325058429.db2.gz KVVZJHVUTYAXJN-TXEJJXNPSA-N 0 0 297.314 2.843 20 5 CFBDRN Cc1nnsc1COc1ccc([N+](=O)[O-])cc1C ZINC000580718516 325058865 /nfs/dbraw/zinc/05/88/65/325058865.db2.gz YEXILAQYNLGCKN-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2C3CCC2CC3)s1 ZINC000580909155 325074874 /nfs/dbraw/zinc/07/48/74/325074874.db2.gz OLIFWQGSSFICTJ-UHFFFAOYSA-N 0 0 276.321 2.731 20 5 CFBDRN CNc1nc(C(=O)NCCCC(C)(C)C)ccc1[N+](=O)[O-] ZINC000581055382 325085749 /nfs/dbraw/zinc/08/57/49/325085749.db2.gz LTZKYOOFBLSHGF-UHFFFAOYSA-N 0 0 294.355 2.588 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@]2(C)C[C@@H]2F)n1 ZINC000581125946 325092461 /nfs/dbraw/zinc/09/24/61/325092461.db2.gz PJQFZWXTRAEQJG-GXFFZTMASA-N 0 0 277.255 2.953 20 5 CFBDRN CCO[C@H](CC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000581232696 325102025 /nfs/dbraw/zinc/10/20/25/325102025.db2.gz ACDNTMYBZJWVJI-GXFFZTMASA-N 0 0 280.324 2.587 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1CCc1ccccc1)CCCC2 ZINC000581251139 325104343 /nfs/dbraw/zinc/10/43/43/325104343.db2.gz ZMIAQANUBCQUGR-UHFFFAOYSA-N 0 0 298.342 2.878 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Sc1nc(C)c(C)o1 ZINC000581390910 325117459 /nfs/dbraw/zinc/11/74/59/325117459.db2.gz KDUCFILPJNTLIH-UHFFFAOYSA-N 0 0 282.325 2.876 20 5 CFBDRN COCC1(Nc2nc3ccccc3cc2[N+](=O)[O-])CC1 ZINC000581640166 325138329 /nfs/dbraw/zinc/13/83/29/325138329.db2.gz CVASBJOXYOLTIP-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1c(Nc2nc3c(cc2[N+](=O)[O-])CCCC3)cnn1C ZINC000581940336 325161076 /nfs/dbraw/zinc/16/10/76/325161076.db2.gz GHOBOTNGLJRRDO-UHFFFAOYSA-N 0 0 287.323 2.654 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1C[C@@H](C)[C@@](C)(C(=O)[O-])C1 ZINC000582009252 325168699 /nfs/dbraw/zinc/16/86/99/325168699.db2.gz XRINEDXLXUYQON-ZETOZRRWSA-N 0 0 292.335 2.698 20 5 CFBDRN C[C@H](C(=O)N1CC(CC(F)F)C1)c1cccc([N+](=O)[O-])c1 ZINC000582118323 325177574 /nfs/dbraw/zinc/17/75/74/325177574.db2.gz SOMZPPCYEVLXKU-VIFPVBQESA-N 0 0 298.289 2.812 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC(C)(F)F ZINC000582134809 325180854 /nfs/dbraw/zinc/18/08/54/325180854.db2.gz TWTYMAXSLCMOHH-UHFFFAOYSA-N 0 0 260.240 2.808 20 5 CFBDRN C[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)CC2(CCC2)O1 ZINC000582484687 325208508 /nfs/dbraw/zinc/20/85/08/325208508.db2.gz MEDNLESSRGCCAC-GFCCVEGCSA-N 0 0 276.336 2.738 20 5 CFBDRN CNc1ccc(C(=O)N2CC(C)=C[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000582942828 325246777 /nfs/dbraw/zinc/24/67/77/325246777.db2.gz WKGSHIKCXXAXLQ-SNVBAGLBSA-N 0 0 289.335 2.675 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@H]2c2ccc(C)cc2)c1[N+](=O)[O-] ZINC000583319246 325277248 /nfs/dbraw/zinc/27/72/48/325277248.db2.gz HWBMZVGXGURENO-QWHCGFSZSA-N 0 0 286.335 2.913 20 5 CFBDRN Cc1ncsc1COc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000583392554 325284185 /nfs/dbraw/zinc/28/41/85/325284185.db2.gz JQCRLNFXSMSFKC-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000583965974 325321379 /nfs/dbraw/zinc/32/13/79/325321379.db2.gz FSCUFHJTPKSRCP-MWLCHTKSSA-N 0 0 296.754 2.953 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000583965975 325321684 /nfs/dbraw/zinc/32/16/84/325321684.db2.gz FSCUFHJTPKSRCP-ONGXEEELSA-N 0 0 296.754 2.953 20 5 CFBDRN C[C@H](C(=O)N1CCC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000584087934 325329460 /nfs/dbraw/zinc/32/94/60/325329460.db2.gz XVKNBPCCIGNUHB-NSHDSACASA-N 0 0 274.320 2.853 20 5 CFBDRN Cc1c(CN2CCOC[C@@H]2C2CCC2)cccc1[N+](=O)[O-] ZINC000584170797 325335505 /nfs/dbraw/zinc/33/55/05/325335505.db2.gz KHRDZQYQPJXHQN-MRXNPFEDSA-N 0 0 290.363 2.904 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CCC[C@@H](F)C1 ZINC000584233404 325339732 /nfs/dbraw/zinc/33/97/32/325339732.db2.gz VBZZTTSGHZSMHK-VXGBXAGGSA-N 0 0 280.299 2.534 20 5 CFBDRN CCc1nn(C)c(N2CCc3ccc(F)cc32)c1[N+](=O)[O-] ZINC000584253443 325341394 /nfs/dbraw/zinc/34/13/94/325341394.db2.gz YHYKKQJPMXWHMI-UHFFFAOYSA-N 0 0 290.298 2.724 20 5 CFBDRN CCOCCN[C@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000584415650 329463112 /nfs/dbraw/zinc/46/31/12/329463112.db2.gz RDQPJLAGVNMIJP-LLVKDONJSA-N 0 0 292.257 2.824 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccc(F)c(F)c2)s1 ZINC000192546086 335276551 /nfs/dbraw/zinc/27/65/51/335276551.db2.gz FWABFZOJYOMWQG-UHFFFAOYSA-N 0 0 285.275 2.984 20 5 CFBDRN C[C@@]1(O)CC[C@@H](Nc2cc([N+](=O)[O-])cc(Cl)n2)CC1 ZINC001154950806 782098393 /nfs/dbraw/zinc/09/83/93/782098393.db2.gz SAYMEQMUBPJUHG-ITWVYHRLSA-N 0 0 285.731 2.749 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194481335 500686476 /nfs/dbraw/zinc/68/64/76/500686476.db2.gz HKIJZMNTBCSFSB-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@H](C(=O)N1[C@H](C)C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000525938657 500915824 /nfs/dbraw/zinc/91/58/24/500915824.db2.gz NIZNFUSRKNLAOP-MXWKQRLJSA-N 0 0 262.309 2.708 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1(C)CCCC1 ZINC000299635355 500964688 /nfs/dbraw/zinc/96/46/88/500964688.db2.gz MHLDBASGJSUGQS-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN COCC[C@@H](COC)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000311952799 521814010 /nfs/dbraw/zinc/81/40/10/521814010.db2.gz LXWXVPYZKGAIMG-VIFPVBQESA-N 0 0 288.731 2.712 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000421510623 533730233 /nfs/dbraw/zinc/73/02/33/533730233.db2.gz NLFACZLBQWDHCX-GXSJLCMTSA-N 0 0 260.293 2.572 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000104609879 533807971 /nfs/dbraw/zinc/80/79/71/533807971.db2.gz UDLJLKLFBKPICY-BXKDBHETSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc(CCNc2ncc(C)cc2[N+](=O)[O-])nc1 ZINC000413347384 533809896 /nfs/dbraw/zinc/80/98/96/533809896.db2.gz AJHTZVZXBXSILY-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1cc(N2CCCO[C@H](CF)C2)c(F)cc1[N+](=O)[O-] ZINC000413474768 533893448 /nfs/dbraw/zinc/89/34/48/533893448.db2.gz BODYERKYDKFVRN-SNVBAGLBSA-N 0 0 286.278 2.607 20 5 CFBDRN C[C@H]1C[C@@H](Nc2nccc3cc([N+](=O)[O-])ccc32)CS1 ZINC000413503697 534098303 /nfs/dbraw/zinc/09/83/03/534098303.db2.gz BOYHDYQWFDPTPK-GXSJLCMTSA-N 0 0 289.360 2.871 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)O1 ZINC000413372479 534243289 /nfs/dbraw/zinc/24/32/89/534243289.db2.gz GEOLYPAZNAKANO-NXEZZACHSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@H](C(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000428095092 534295904 /nfs/dbraw/zinc/29/59/04/534295904.db2.gz XKMQZSGTXOMOQA-HDRXNWCYSA-N 0 0 292.310 2.752 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCc1nccs1 ZINC000160775027 518293770 /nfs/dbraw/zinc/29/37/70/518293770.db2.gz UACZQQGXZHJJFN-UHFFFAOYSA-N 0 0 278.289 2.833 20 5 CFBDRN Cc1nc(COc2ccc([N+](=O)[O-])c(CO)c2)c(C)s1 ZINC000428069018 534396901 /nfs/dbraw/zinc/39/69/01/534396901.db2.gz HWCJGIDNMYTCBZ-UHFFFAOYSA-N 0 0 294.332 2.739 20 5 CFBDRN CC(C)(C)c1nc(COc2ccc([N+](=O)[O-])cc2F)no1 ZINC000076423876 519100604 /nfs/dbraw/zinc/10/06/04/519100604.db2.gz CYUNHSRZKCHWJW-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN CC(C)(C)c1nc(COc2cccc([N+](=O)[O-])c2)no1 ZINC000076421755 519102095 /nfs/dbraw/zinc/10/20/95/519102095.db2.gz HYXFUOKPHRTJKY-UHFFFAOYSA-N 0 0 277.280 2.854 20 5 CFBDRN CC(C)CCNC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000360918714 519656566 /nfs/dbraw/zinc/65/65/66/519656566.db2.gz LTAQKFORLFSRHL-UHFFFAOYSA-N 0 0 286.278 2.578 20 5 CFBDRN CC(C)CN(C(=O)c1cc([N+](=O)[O-])ccc1N)C(C)C ZINC000050710455 519707018 /nfs/dbraw/zinc/70/70/18/519707018.db2.gz ABTYVQXQAAEVPF-UHFFFAOYSA-N 0 0 279.340 2.684 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])C1(C)C ZINC000361608271 519777452 /nfs/dbraw/zinc/77/74/52/519777452.db2.gz FNBVALMQGICIQM-NEPJUHHUSA-N 0 0 279.340 2.994 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000078620389 519808441 /nfs/dbraw/zinc/80/84/41/519808441.db2.gz ZUWFMMKTNXAHRI-LBPRGKRZSA-N 0 0 256.277 2.553 20 5 CFBDRN CC(C)[C@H](CO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213992870 519816674 /nfs/dbraw/zinc/81/66/74/519816674.db2.gz FZPJDVBPOWDTTA-LBPRGKRZSA-N 0 0 275.308 2.572 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334175610 519825069 /nfs/dbraw/zinc/82/50/69/519825069.db2.gz BTQACEDKKLYQSZ-CYBMUJFWSA-N 0 0 280.299 2.995 20 5 CFBDRN CC(C)[C@](C)(O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000313866725 519829685 /nfs/dbraw/zinc/82/96/85/519829685.db2.gz KOVMUNYCUCPJDH-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)c1cc(NC(=O)c2ccc([N+](=O)[O-])s2)n[nH]1 ZINC000063111456 519833468 /nfs/dbraw/zinc/83/34/68/519833468.db2.gz DRFFMEWADITNFB-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN CCC1(CNc2cccc(S(C)(=O)=O)c2[N+](=O)[O-])CC1 ZINC000231562254 519843390 /nfs/dbraw/zinc/84/33/90/519843390.db2.gz FWJPISVGHXYIAH-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN CCCC1(CNC(=O)c2c(N)cccc2[N+](=O)[O-])CCC1 ZINC000181190807 519891939 /nfs/dbraw/zinc/89/19/39/519891939.db2.gz HKKSKPOAHOGCCA-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN Cc1nc(NC(=O)CCc2ccccc2)ccc1[N+](=O)[O-] ZINC000175677803 534460897 /nfs/dbraw/zinc/46/08/97/534460897.db2.gz FYPRZRZJCXTOIL-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2)cc(C2CC2)n1 ZINC000160036128 534483252 /nfs/dbraw/zinc/48/32/52/534483252.db2.gz QPEXIYMBXQVUSO-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CC1(C)CCC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000161512498 520340241 /nfs/dbraw/zinc/34/02/41/520340241.db2.gz XZKZKYMDIOJFFV-SNVBAGLBSA-N 0 0 291.351 2.876 20 5 CFBDRN NC(=O)c1ccc(NCCC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000413343335 534488297 /nfs/dbraw/zinc/48/82/97/534488297.db2.gz PYCRPJDKUSARNU-UHFFFAOYSA-N 0 0 299.277 2.541 20 5 CFBDRN CC1(C)CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCCO1 ZINC000377713542 520443477 /nfs/dbraw/zinc/44/34/77/520443477.db2.gz YOJOQWNUFACSEJ-UHFFFAOYSA-N 0 0 293.323 2.628 20 5 CFBDRN CCOc1cc(NC[C@@]2(O)CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000327971015 520761799 /nfs/dbraw/zinc/76/17/99/520761799.db2.gz GDTHUZKQQFVOKW-NHYWBVRUSA-N 0 0 294.351 2.957 20 5 CFBDRN CCOC(=O)C[C@@H](C)Sc1nc(C)ccc1[N+](=O)[O-] ZINC000360874101 520838887 /nfs/dbraw/zinc/83/88/87/520838887.db2.gz TXHBLYGPOSKKGU-SECBINFHSA-N 0 0 284.337 2.732 20 5 CFBDRN CCOC(=O)[C@@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000313456161 521033638 /nfs/dbraw/zinc/03/36/38/521033638.db2.gz CYLJKYJJXPZAGK-MRVPVSSYSA-N 0 0 255.295 2.639 20 5 CFBDRN CCOC(=O)[C@@H](CC)N[C@H](CC)c1cccc([N+](=O)[O-])c1 ZINC000181562800 521051028 /nfs/dbraw/zinc/05/10/28/521051028.db2.gz ADLIQIMAMNMBAS-ZIAGYGMSSA-N 0 0 294.351 2.977 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000194171586 521081173 /nfs/dbraw/zinc/08/11/73/521081173.db2.gz QJZVLBYIQJHNOT-JTQLQIEISA-N 0 0 280.324 2.912 20 5 CFBDRN CCOC(=O)[C@H](C)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000049370486 521212480 /nfs/dbraw/zinc/21/24/80/521212480.db2.gz FWGLNMSONKWRTR-MNOVXSKESA-N 0 0 280.324 2.505 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H](C)C2)c1 ZINC000338454402 521281320 /nfs/dbraw/zinc/28/13/20/521281320.db2.gz KVQRDMBMXUHDJE-QWRGUYRKSA-N 0 0 292.335 2.912 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@@H]2C2CC2)c1 ZINC000338465268 521281400 /nfs/dbraw/zinc/28/14/00/521281400.db2.gz QGUUBGQTVYANAI-YPMHNXCESA-N 0 0 290.319 2.522 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@H]2CC)c1 ZINC000338467814 521282346 /nfs/dbraw/zinc/28/23/46/521282346.db2.gz XJUNRCTUMDEQHQ-SKDRFNHKSA-N 0 0 278.308 2.522 20 5 CFBDRN CCC[C@](C)([NH2+]Cc1ccc([N+](=O)[O-])cc1C)C(=O)[O-] ZINC000340676704 521283424 /nfs/dbraw/zinc/28/34/24/521283424.db2.gz FCCKJPKSKJTGCZ-AWEZNQCLSA-N 0 0 280.324 2.636 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H](C)C[C@@H](C)CC)c1[N+](=O)[O-] ZINC000080679847 521327685 /nfs/dbraw/zinc/32/76/85/521327685.db2.gz VRUIDESXKFTERQ-UWVGGRQHSA-N 0 0 296.371 2.825 20 5 CFBDRN CCC(C)(C)NC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000078595970 521342524 /nfs/dbraw/zinc/34/25/24/521342524.db2.gz QTWSYEDMMJJRIB-JTQLQIEISA-N 0 0 280.324 2.667 20 5 CFBDRN CCCN(C)c1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000049480128 521517290 /nfs/dbraw/zinc/51/72/90/521517290.db2.gz SKAJFPNEXPQKLH-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN CCCc1nc(COc2ccc([N+](=O)[O-])cc2F)no1 ZINC000067098238 521520436 /nfs/dbraw/zinc/52/04/36/521520436.db2.gz PIMUQOCKENFZKY-UHFFFAOYSA-N 0 0 281.243 2.648 20 5 CFBDRN CCCc1noc(CSc2ncccc2[N+](=O)[O-])n1 ZINC000067649391 521589516 /nfs/dbraw/zinc/58/95/16/521589516.db2.gz QCORYYAQYXORKC-UHFFFAOYSA-N 0 0 280.309 2.618 20 5 CFBDRN CCOC1(CNc2c([N+](=O)[O-])c(CC)nn2C)CCCC1 ZINC000314659797 521637907 /nfs/dbraw/zinc/63/79/07/521637907.db2.gz APEPNKPRXZCYBY-UHFFFAOYSA-N 0 0 296.371 2.652 20 5 CFBDRN COc1c(C(=O)N[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000157272365 521782700 /nfs/dbraw/zinc/78/27/00/521782700.db2.gz ZJKBZYUUJRCBFT-ZJUUUORDSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000057304130 521821154 /nfs/dbraw/zinc/82/11/54/521821154.db2.gz JRSXZJSPPZAMHK-DTWKUNHWSA-N 0 0 290.323 2.636 20 5 CFBDRN CNC(=O)c1ccc(NCc2cccs2)c([N+](=O)[O-])c1 ZINC000048892875 521848897 /nfs/dbraw/zinc/84/88/97/521848897.db2.gz YRYCUKXJLFMYLQ-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H](C)CC2CC2)c([N+](=O)[O-])c1 ZINC000096513965 521850638 /nfs/dbraw/zinc/85/06/38/521850638.db2.gz HGBMCLAIQCSBNJ-VIFPVBQESA-N 0 0 277.324 2.555 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000361845099 521887425 /nfs/dbraw/zinc/88/74/25/521887425.db2.gz YNBMTIIGAUAKID-TVQRCGJNSA-N 0 0 280.324 2.903 20 5 CFBDRN COCC[C@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000081716905 521923511 /nfs/dbraw/zinc/92/35/11/521923511.db2.gz UMPZZUXVOFBANS-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050701506 521966094 /nfs/dbraw/zinc/96/60/94/521966094.db2.gz OOPCANNZAQFHMK-MRVPVSSYSA-N 0 0 299.758 2.949 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161431273 521968500 /nfs/dbraw/zinc/96/85/00/521968500.db2.gz XIADMKNIGOQEJX-SNVBAGLBSA-N 0 0 279.340 2.755 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000361481178 522006008 /nfs/dbraw/zinc/00/60/08/522006008.db2.gz KETLQDFLSTVYSF-ZANVPECISA-N 0 0 296.323 2.603 20 5 CFBDRN Cc1nc([C@H]2CCCN2Cc2csc([N+](=O)[O-])c2)no1 ZINC000331421744 534609402 /nfs/dbraw/zinc/60/94/02/534609402.db2.gz RNEMOROMDURLHJ-SNVBAGLBSA-N 0 0 294.336 2.685 20 5 CFBDRN COC(=O)c1ccc(Oc2nc(C)ccc2[N+](=O)[O-])cc1 ZINC000163015184 522148103 /nfs/dbraw/zinc/14/81/03/522148103.db2.gz VQSFFAFSQRBHBY-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2CC=CC2)c1 ZINC000167340860 522177193 /nfs/dbraw/zinc/17/71/93/522177193.db2.gz HLMTXJMJPWPJTO-UHFFFAOYSA-N 0 0 262.265 2.512 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Sc2ccncc2)c1 ZINC000136308374 522179163 /nfs/dbraw/zinc/17/91/63/522179163.db2.gz YTJOYBMKHIEOSI-UHFFFAOYSA-N 0 0 290.300 2.928 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000175682065 534624542 /nfs/dbraw/zinc/62/45/42/534624542.db2.gz HGXSQLAPDRQLHA-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000362036085 522280833 /nfs/dbraw/zinc/28/08/33/522280833.db2.gz IYVSKPAYHWTOIB-MNOVXSKESA-N 0 0 280.324 2.760 20 5 CFBDRN COC(=O)c1cnc(NC[C@@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000360114328 522339778 /nfs/dbraw/zinc/33/97/78/522339778.db2.gz GKMHEVPPNJYXDB-VHSXEESVSA-N 0 0 293.323 2.625 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000194273225 522357722 /nfs/dbraw/zinc/35/77/22/522357722.db2.gz JUUNWRWWKQATMR-ZJUUUORDSA-N 0 0 280.324 2.768 20 5 CFBDRN COC(C)(C)CCSc1ncccc1[N+](=O)[O-] ZINC000340670318 522476436 /nfs/dbraw/zinc/47/64/36/522476436.db2.gz JEWXNIOPJYPRFO-UHFFFAOYSA-N 0 0 256.327 2.897 20 5 CFBDRN COc1cc(COc2ccc([N+](=O)[O-])c(C)c2)ccn1 ZINC000339613311 522500404 /nfs/dbraw/zinc/50/04/04/522500404.db2.gz OOWODXVUTGMZCQ-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CNc1c(C(=O)N2CCC(C)=C(C)C2)cccc1[N+](=O)[O-] ZINC000361341502 522534156 /nfs/dbraw/zinc/53/41/56/522534156.db2.gz PBIDQECONKANNG-UHFFFAOYSA-N 0 0 289.335 2.819 20 5 CFBDRN CNc1c(C(=O)N2Cc3ccccc3C2)cccc1[N+](=O)[O-] ZINC000317298335 522536955 /nfs/dbraw/zinc/53/69/55/522536955.db2.gz MEOLSMUOTZDEIP-UHFFFAOYSA-N 0 0 297.314 2.793 20 5 CFBDRN COc1cc(Cn2cc([N+](=O)[O-])cn2)ccc1SC ZINC000068050481 522560165 /nfs/dbraw/zinc/56/01/65/522560165.db2.gz HHNYJNHREHDJAO-UHFFFAOYSA-N 0 0 279.321 2.570 20 5 CFBDRN COC1(CNc2ccccc2[N+](=O)[O-])CCCC1 ZINC000310923010 522636060 /nfs/dbraw/zinc/63/60/60/522636060.db2.gz AQXJYGAMDQKFHD-UHFFFAOYSA-N 0 0 250.298 2.966 20 5 CFBDRN CCc1nn(C)c(SCC=C(C)C)c1[N+](=O)[O-] ZINC000340888814 522673494 /nfs/dbraw/zinc/67/34/94/522673494.db2.gz YSRZJNRSEGLTQP-UHFFFAOYSA-N 0 0 255.343 2.949 20 5 CFBDRN COc1cc(NCC2(C)CC2)c(F)cc1[N+](=O)[O-] ZINC000218867308 522737989 /nfs/dbraw/zinc/73/79/89/522737989.db2.gz DXNBQGVRWGEZQH-UHFFFAOYSA-N 0 0 254.261 2.955 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000078304837 522744133 /nfs/dbraw/zinc/74/41/33/522744133.db2.gz IZKLCRAUXLXVOI-PWSUYJOCSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@@H](O)C2)c(F)cc1[N+](=O)[O-] ZINC000218862496 522744485 /nfs/dbraw/zinc/74/44/85/522744485.db2.gz MZRCDXSBJDJCED-NXEZZACHSA-N 0 0 298.314 2.706 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000340890379 522762272 /nfs/dbraw/zinc/76/22/72/522762272.db2.gz ZDOCSPFFOOSCRS-RKDXNWHRSA-N 0 0 254.261 2.906 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])ncn1C)c1nc(C)cs1 ZINC000355255144 522763814 /nfs/dbraw/zinc/76/38/14/522763814.db2.gz PITNSQBDLAGBFQ-QMMMGPOBSA-N 0 0 281.341 2.656 20 5 CFBDRN CC[C@H](Nc1cn(C)nc1OC)c1cccc([N+](=O)[O-])c1 ZINC000353353352 522776089 /nfs/dbraw/zinc/77/60/89/522776089.db2.gz YCIJMHRRZGNBNH-LBPRGKRZSA-N 0 0 290.323 2.900 20 5 CFBDRN COc1cc([C@@H](C)Nc2ncc([N+](=O)[O-])cc2C)ccn1 ZINC000340930467 522912847 /nfs/dbraw/zinc/91/28/47/522912847.db2.gz BUDDTRBKIMSFBM-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN COc1cc([C@H](C)Nc2ncc([N+](=O)[O-])cc2C)ccn1 ZINC000340930465 522950031 /nfs/dbraw/zinc/95/00/31/522950031.db2.gz BUDDTRBKIMSFBM-JTQLQIEISA-N 0 0 288.307 2.875 20 5 CFBDRN Cc1cncc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000175084807 534679968 /nfs/dbraw/zinc/67/99/68/534679968.db2.gz SGTYZCWNPGWYAK-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN COCc1ccc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)cc1 ZINC000338561987 523001804 /nfs/dbraw/zinc/00/18/04/523001804.db2.gz IKNMJPIDGIALEK-UHFFFAOYSA-N 0 0 287.319 2.863 20 5 CFBDRN COCc1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 ZINC000338501489 523003371 /nfs/dbraw/zinc/00/33/71/523003371.db2.gz PZQWBRYTRKOGTB-UHFFFAOYSA-N 0 0 275.308 2.603 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000156202033 523133571 /nfs/dbraw/zinc/13/35/71/523133571.db2.gz NMRXKZUHZBWUTR-GWCFXTLKSA-N 0 0 262.309 2.903 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCc1ccccc1 ZINC000313296150 523143534 /nfs/dbraw/zinc/14/35/34/523143534.db2.gz GPZRRDCRSPCQRD-UHFFFAOYSA-N 0 0 274.324 2.774 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC(C)(C)[C@H](O)C(C)C ZINC000361073322 523143937 /nfs/dbraw/zinc/14/39/37/523143937.db2.gz JXWYENYAYUZIGW-LLVKDONJSA-N 0 0 298.387 2.575 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(O)CCCCCC1 ZINC000313857415 523144115 /nfs/dbraw/zinc/14/41/15/523144115.db2.gz VBYMGAVERIUVAV-UHFFFAOYSA-N 0 0 296.371 2.617 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCO[C@H](C(C)C)C1 ZINC000361060114 523146768 /nfs/dbraw/zinc/14/67/68/523146768.db2.gz UOSMKRQTKXHNAO-NEPJUHHUSA-N 0 0 296.371 2.735 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CC=CCC1 ZINC000310897040 523147037 /nfs/dbraw/zinc/14/70/37/523147037.db2.gz ADSAFTNYLQIVFA-JTQLQIEISA-N 0 0 250.302 2.640 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C=CCCC1 ZINC000361044002 523146814 /nfs/dbraw/zinc/14/68/14/523146814.db2.gz QTQJMGUHKRFVMR-SNVBAGLBSA-N 0 0 250.302 2.640 20 5 CFBDRN COCCCCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213957255 523180547 /nfs/dbraw/zinc/18/05/47/523180547.db2.gz VDTIQNALOKCKIM-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1Cl)c1cnn(C)c1 ZINC000182212887 523273959 /nfs/dbraw/zinc/27/39/59/523273959.db2.gz GOCSMDMTJYMFQG-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN CC[C@H]1CN(c2cccc(OC)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000249368408 523306059 /nfs/dbraw/zinc/30/60/59/523306059.db2.gz VRCVAIPAAJZAMW-MNOVXSKESA-N 0 0 280.324 2.607 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000156456827 523318152 /nfs/dbraw/zinc/31/81/52/523318152.db2.gz ORXVCCQVXAZSGF-ZWNOBZJWSA-N 0 0 262.309 2.903 20 5 CFBDRN CN(Cc1ccccc1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151296211 523331862 /nfs/dbraw/zinc/33/18/62/523331862.db2.gz GWLWPFUEFUIVDM-UHFFFAOYSA-N 0 0 284.315 2.796 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H](CCO)CC(C)C ZINC000192640160 523668170 /nfs/dbraw/zinc/66/81/70/523668170.db2.gz BDZNOXDXFUHYMR-LBPRGKRZSA-N 0 0 298.387 2.576 20 5 CFBDRN COC(=O)[C@@]1(C)CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@@H]1C ZINC000336921081 523836937 /nfs/dbraw/zinc/83/69/37/523836937.db2.gz RURWCMQELIJURZ-NHYWBVRUSA-N 0 0 292.335 2.539 20 5 CFBDRN CN(C(=O)Cc1ccc(F)cc1)c1ccc([N+](=O)[O-])cc1 ZINC000060848060 523850090 /nfs/dbraw/zinc/85/00/90/523850090.db2.gz YXPXNQLAVJXJHE-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000176656928 523873883 /nfs/dbraw/zinc/87/38/83/523873883.db2.gz XXTVKRAJLVZEFS-LBPRGKRZSA-N 0 0 294.351 2.868 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000174129883 523900078 /nfs/dbraw/zinc/90/00/78/523900078.db2.gz FYWAUEGPUPCXNM-RYUDHWBXSA-N 0 0 294.351 2.915 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@H]1CCc2ccccc2C1 ZINC000335982317 523923241 /nfs/dbraw/zinc/92/32/41/523923241.db2.gz NBAADNBURLEVSA-ZDUSSCGKSA-N 0 0 299.330 2.552 20 5 CFBDRN CC[C@H](C)NC(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000337920206 523975399 /nfs/dbraw/zinc/97/53/99/523975399.db2.gz YJZLLSNOHLSQIO-VIFPVBQESA-N 0 0 268.338 2.602 20 5 CFBDRN CO[C@H]1CCN(Cc2cc([N+](=O)[O-])ccc2OC(C)C)C1 ZINC000361372651 523997681 /nfs/dbraw/zinc/99/76/81/523997681.db2.gz POZXTXKDTJFDHE-AWEZNQCLSA-N 0 0 294.351 2.603 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cncs1 ZINC000362344361 524057345 /nfs/dbraw/zinc/05/73/45/524057345.db2.gz DPRIQVXLVTYUQQ-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN COc1ccc(CNC(=O)c2ccsc2)cc1[N+](=O)[O-] ZINC000340396438 524067847 /nfs/dbraw/zinc/06/78/47/524067847.db2.gz RRKQLCIYQLTWJE-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN Cc1cc(C(=O)NCCc2cccs2)cc([N+](=O)[O-])c1 ZINC000049157414 524085700 /nfs/dbraw/zinc/08/57/00/524085700.db2.gz PMKHYOAXBRISTP-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccccn1 ZINC000339235157 524087094 /nfs/dbraw/zinc/08/70/94/524087094.db2.gz NAAJWBVQGSPPAY-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000068827465 524090119 /nfs/dbraw/zinc/09/01/19/524090119.db2.gz NXBNMNMTVUMSCX-SNVBAGLBSA-N 0 0 295.314 2.547 20 5 CFBDRN C[C@H](CC1CC1)Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000070102803 524100200 /nfs/dbraw/zinc/10/02/00/524100200.db2.gz YJVVRWPAECJAFS-SECBINFHSA-N 0 0 298.364 2.599 20 5 CFBDRN COc1ccc(CNc2cccc(OC)c2[N+](=O)[O-])cn1 ZINC000134917932 524102706 /nfs/dbraw/zinc/10/27/06/524102706.db2.gz ASOXKKWKAJKKJE-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN COc1ccc(CSc2ccc([N+](=O)[O-])cc2)nn1 ZINC000337892757 524128141 /nfs/dbraw/zinc/12/81/41/524128141.db2.gz IVQRPUKVEZBJPN-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)CC(F)(F)F)cc([N+](=O)[O-])c1 ZINC000362002572 524140489 /nfs/dbraw/zinc/14/04/89/524140489.db2.gz IDBJIIIFERTBEU-MRVPVSSYSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000362020654 524140776 /nfs/dbraw/zinc/14/07/76/524140776.db2.gz PUBOAPKWSYMGMZ-MRVPVSSYSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)C(C)C)cc([N+](=O)[O-])c1 ZINC000049156238 524140989 /nfs/dbraw/zinc/14/09/89/524140989.db2.gz UDDPDCLUCCXLAK-SNVBAGLBSA-N 0 0 250.298 2.678 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000340087511 524160874 /nfs/dbraw/zinc/16/08/74/524160874.db2.gz OECKCQRUNJMJLO-NWDGAFQWSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1cccc(C(=O)N2CCCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000377701043 524175362 /nfs/dbraw/zinc/17/53/62/524175362.db2.gz KQMWVPYSYCYWTF-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000339790380 524215688 /nfs/dbraw/zinc/21/56/88/524215688.db2.gz ATZYKAABURZCFP-JQWIXIFHSA-N 0 0 294.326 2.829 20 5 CFBDRN C[C@@H]1CCCN1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000336460878 524253401 /nfs/dbraw/zinc/25/34/01/524253401.db2.gz OUWZXWBLGQORLQ-MRVPVSSYSA-N 0 0 267.260 2.750 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CCS1 ZINC000335819165 524265612 /nfs/dbraw/zinc/26/56/12/524265612.db2.gz STXUUUWBAMVWCK-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)Cc2cnccc2C)n1 ZINC000360114507 524336705 /nfs/dbraw/zinc/33/67/05/524336705.db2.gz HKXWUMUADRJWTL-UHFFFAOYSA-N 0 0 286.335 2.946 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cc1ccoc1 ZINC000163868596 524338966 /nfs/dbraw/zinc/33/89/66/524338966.db2.gz FDQXVBOVQUQURR-UHFFFAOYSA-N 0 0 274.276 2.986 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@]1(C)C[C@@H]1F ZINC000335178189 524340634 /nfs/dbraw/zinc/34/06/34/524340634.db2.gz XPVYMIVDFIWWEJ-AAEUAGOBSA-N 0 0 266.272 2.898 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000362016265 524409748 /nfs/dbraw/zinc/40/97/48/524409748.db2.gz HWKMQHGMWCAPOO-LURJTMIESA-N 0 0 294.204 2.805 20 5 CFBDRN Cc1cc(C)cc(NC(=O)[C@@H](C)n2cc([N+](=O)[O-])cn2)c1 ZINC000180506846 524431814 /nfs/dbraw/zinc/43/18/14/524431814.db2.gz UJNOYRIIGGEHSZ-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN COc1ccc(NC(=O)CCOCC(C)C)c([N+](=O)[O-])c1 ZINC000075904681 524481692 /nfs/dbraw/zinc/48/16/92/524481692.db2.gz IXIRAWOCOPKOLB-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccccc2[N+](=O)[O-])[C@H](C)C1 ZINC000078004409 524491499 /nfs/dbraw/zinc/49/14/99/524491499.db2.gz HALPHZNZIODQBK-GHMZBOCLSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H](CCO)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213957491 524509686 /nfs/dbraw/zinc/50/96/86/524509686.db2.gz FJFJXGHDRVGTFU-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000361339521 524519768 /nfs/dbraw/zinc/51/97/68/524519768.db2.gz OQVWOIALJVDRHP-BDAKNGLRSA-N 0 0 264.281 2.588 20 5 CFBDRN C[C@H](CO)CSCc1ccc([N+](=O)[O-])cc1F ZINC000336695988 524532497 /nfs/dbraw/zinc/53/24/97/524532497.db2.gz IOLJDVPEXGGUGW-MRVPVSSYSA-N 0 0 259.302 2.596 20 5 CFBDRN COc1ccc(NC(=O)N(C)CC2CCC2)cc1[N+](=O)[O-] ZINC000360389133 524535481 /nfs/dbraw/zinc/53/54/81/524535481.db2.gz NTBJUUHELQWEKB-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN COc1ccc(NC(=O)NCc2ccoc2)cc1[N+](=O)[O-] ZINC000360394494 524569762 /nfs/dbraw/zinc/56/97/62/524569762.db2.gz KVTZDXYZFAMFAQ-UHFFFAOYSA-N 0 0 291.263 2.518 20 5 CFBDRN C[C@H]1CN(Cc2c(Cl)cccc2[N+](=O)[O-])CCC1=O ZINC000168905963 524608693 /nfs/dbraw/zinc/60/86/93/524608693.db2.gz BKVDEBCBWAHKTG-VIFPVBQESA-N 0 0 282.727 2.659 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000360101899 524671420 /nfs/dbraw/zinc/67/14/20/524671420.db2.gz NPMRDWUZTHULRH-MNOVXSKESA-N 0 0 286.335 2.808 20 5 CFBDRN Cc1cccc(NC[C@@H]2CCC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000218859305 524679552 /nfs/dbraw/zinc/67/95/52/524679552.db2.gz VJJXYWLJOYOIJW-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000158417172 524695325 /nfs/dbraw/zinc/69/53/25/524695325.db2.gz MGAURLUSMDHMQF-KOLCDFICSA-N 0 0 263.297 2.905 20 5 CFBDRN C[C@@H](CO)CCCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000228306517 524770979 /nfs/dbraw/zinc/77/09/79/524770979.db2.gz SKVQIOMTHAYDTE-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H](Cn1cccn1)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000047873506 524782845 /nfs/dbraw/zinc/78/28/45/524782845.db2.gz VWVDJFQDMZDGEG-SECBINFHSA-N 0 0 280.715 2.945 20 5 CFBDRN Cc1cccc([C@@H]2CCCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1C ZINC000361364272 524848825 /nfs/dbraw/zinc/84/88/25/524848825.db2.gz BKAHEDBZQGBSHX-QLFBSQMISA-N 0 0 288.347 2.632 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]1c1ccccc1 ZINC000335050486 524855334 /nfs/dbraw/zinc/85/53/34/524855334.db2.gz PCCMLROIRYVDFX-YGRLFVJLSA-N 0 0 285.303 2.756 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)[C@H]1c1ccccc1 ZINC000334908158 524868655 /nfs/dbraw/zinc/86/86/55/524868655.db2.gz HICPRLLPUQPBFW-IAQYHMDHSA-N 0 0 299.330 2.767 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)c2ccccc21 ZINC000076337746 524869186 /nfs/dbraw/zinc/86/91/86/524869186.db2.gz QTUQFLAIYRPZSG-SECBINFHSA-N 0 0 272.260 2.952 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000335169087 524889311 /nfs/dbraw/zinc/88/93/11/524889311.db2.gz RGPQIJYUQZPNBS-UWVGGRQHSA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H]1C(C)C ZINC000336177267 524901428 /nfs/dbraw/zinc/90/14/28/524901428.db2.gz WQRDUFJLZCWEBF-LLVKDONJSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1OC[C@@H]2CCC[C@H]21 ZINC000366063892 524909349 /nfs/dbraw/zinc/90/93/49/524909349.db2.gz SBDCBRRUSPTFOT-MISXGVKJSA-N 0 0 291.303 2.624 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@@](C)(O)C(F)(F)F ZINC000358632977 524909762 /nfs/dbraw/zinc/90/97/62/524909762.db2.gz NFLRENBDPOIRMK-SNVBAGLBSA-N 0 0 279.214 2.595 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCO1 ZINC000334009195 524916864 /nfs/dbraw/zinc/91/68/64/524916864.db2.gz XJCUYMOKJPGGFB-GZMMTYOYSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H](NC(=O)CNc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000067703748 524967136 /nfs/dbraw/zinc/96/71/36/524967136.db2.gz YUYVDASBAPHIRQ-LBPRGKRZSA-N 0 0 299.330 2.884 20 5 CFBDRN C[C@](O)(CNc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000336797184 525181299 /nfs/dbraw/zinc/18/12/99/525181299.db2.gz ZGEOREREURVFHT-LBPRGKRZSA-N 0 0 270.716 2.821 20 5 CFBDRN C[C@H](NC(=O)c1cncs1)c1cccc([N+](=O)[O-])c1 ZINC000340302286 525262857 /nfs/dbraw/zinc/26/28/57/525262857.db2.gz QNLQNDXPQDJSKL-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN Cc1cc(NCC[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000312075734 525270328 /nfs/dbraw/zinc/27/03/28/525270328.db2.gz QBBVLAUPRARCSB-SNVBAGLBSA-N 0 0 268.288 2.881 20 5 CFBDRN Cc1cc(NC[C@H]2CCC[C@@H](CO)C2)ncc1[N+](=O)[O-] ZINC000312714393 525277826 /nfs/dbraw/zinc/27/78/26/525277826.db2.gz UAAWGNBMHPWTDJ-NWDGAFQWSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1cc(N[C@@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000052007409 525295604 /nfs/dbraw/zinc/29/56/04/525295604.db2.gz KZKODCRNOSGLLT-YPMHNXCESA-N 0 0 250.298 2.619 20 5 CFBDRN CSC1(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)CCC1 ZINC000336427466 525297920 /nfs/dbraw/zinc/29/79/20/525297920.db2.gz REVFYESHDVBZTR-UHFFFAOYSA-N 0 0 292.360 2.770 20 5 CFBDRN Cc1ccc(N[C@H](CO)CC2CCC2)c([N+](=O)[O-])c1 ZINC000360117168 525319169 /nfs/dbraw/zinc/31/91/69/525319169.db2.gz WDJWKHCOMLUINO-LBPRGKRZSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1cccc(O)c1 ZINC000069429239 525333052 /nfs/dbraw/zinc/33/30/52/525333052.db2.gz JRRQYGNTVRNLHV-MRVPVSSYSA-N 0 0 292.316 2.853 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000335169090 525389413 /nfs/dbraw/zinc/38/94/13/525389413.db2.gz RGPQIJYUQZPNBS-VHSXEESVSA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1nc(NC[C@H]2CCCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000336953576 525402117 /nfs/dbraw/zinc/40/21/17/525402117.db2.gz SPBXHBQJFMVIJH-JTQLQIEISA-N 0 0 285.294 2.958 20 5 CFBDRN Cc1ccc(OCc2ccc(CO)cc2)cc1[N+](=O)[O-] ZINC000305853567 525442552 /nfs/dbraw/zinc/44/25/52/525442552.db2.gz VRTSGQVIRSRUJF-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC[C@H](C)C2)c1 ZINC000334070715 525449348 /nfs/dbraw/zinc/44/93/48/525449348.db2.gz ODVVIHJBHFDDFS-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ccccn2)c1 ZINC000059288440 525452620 /nfs/dbraw/zinc/45/26/20/525452620.db2.gz IGZIQWXDXLUVTI-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CC[C@H](F)C2)c1 ZINC000338722571 525453388 /nfs/dbraw/zinc/45/33/88/525453388.db2.gz CWTAFXLWYOVGSS-DTWKUNHWSA-N 0 0 254.261 2.906 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1c(Br)cncc1[N+](=O)[O-] ZINC000227536995 525488759 /nfs/dbraw/zinc/48/87/59/525488759.db2.gz ZICXBBOMNDZQEF-RQJHMYQMSA-N 0 0 286.129 2.820 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCc1cccs1 ZINC000338039684 525561242 /nfs/dbraw/zinc/56/12/42/525561242.db2.gz BSRSCUQCNIMFMP-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CC[C@H](C)O1 ZINC000128037499 525571066 /nfs/dbraw/zinc/57/10/66/525571066.db2.gz BNKNBAURUGWKFB-CMPLNLGQSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CCCC(F)(F)C2)c1=O ZINC000336328092 525575017 /nfs/dbraw/zinc/57/50/17/525575017.db2.gz LCQJUVUHAGNHDF-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cccc2c1OCO2 ZINC000052812561 525577156 /nfs/dbraw/zinc/57/71/56/525577156.db2.gz NSNZNBFLXBMZQB-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)c2cccnc2)cccc1[N+](=O)[O-] ZINC000072651251 525645309 /nfs/dbraw/zinc/64/53/09/525645309.db2.gz STNCYZPVTBXREX-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])c1cn[nH]c1 ZINC000229871883 525652585 /nfs/dbraw/zinc/65/25/85/525652585.db2.gz HYYBGRIAIHCPIG-ZETCQYMHSA-N 0 0 250.233 2.630 20 5 CFBDRN C[C@H](Nc1ccc(Cl)cc1[N+](=O)[O-])c1nncn1C ZINC000048337116 525654061 /nfs/dbraw/zinc/65/40/61/525654061.db2.gz YPMZHMSBRQRXOK-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000335003961 525655581 /nfs/dbraw/zinc/65/55/81/525655581.db2.gz IXGMKZODQAVVTR-DTWKUNHWSA-N 0 0 273.292 2.557 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCCCS2)c1[N+](=O)[O-] ZINC000158334736 525694046 /nfs/dbraw/zinc/69/40/46/525694046.db2.gz OCDFATDFDVEMEN-JTQLQIEISA-N 0 0 267.354 2.996 20 5 CFBDRN Cc1ccnc(NCc2cccc3c2OCO3)c1[N+](=O)[O-] ZINC000070496018 525696441 /nfs/dbraw/zinc/69/64/41/525696441.db2.gz QOPFKXOAGPXSFV-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN Cc1ccnc(NCc2ccncc2C)c1[N+](=O)[O-] ZINC000228703611 525696877 /nfs/dbraw/zinc/69/68/77/525696877.db2.gz OLSKKHLQZJIWEY-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1c(CNC(=O)CC(C)C)cccc1[N+](=O)[O-] ZINC000360524174 525711092 /nfs/dbraw/zinc/71/10/92/525711092.db2.gz GAQVMMROYLKVCY-UHFFFAOYSA-N 0 0 250.298 2.566 20 5 CFBDRN Cc1c(CNC(=O)CCc2ccccn2)cccc1[N+](=O)[O-] ZINC000360515495 525711398 /nfs/dbraw/zinc/71/13/98/525711398.db2.gz PGZIAHKWJAVLNP-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN Cc1c(CNC(=O)Cc2ccccc2)cccc1[N+](=O)[O-] ZINC000360527168 525712163 /nfs/dbraw/zinc/71/21/63/525712163.db2.gz XLOOPTBCTRKAGR-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN C[C@H](O)CCCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000227678766 525716929 /nfs/dbraw/zinc/71/69/29/525716929.db2.gz VHQVGVPEZIXCIS-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@@H](Nc1ccnc2c1cccc2[N+](=O)[O-])[C@H]1CCOC1 ZINC000166421872 525723264 /nfs/dbraw/zinc/72/32/64/525723264.db2.gz FZNCFEJSQOBLAR-MNOVXSKESA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccncc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360530255 525725921 /nfs/dbraw/zinc/72/59/21/525725921.db2.gz ULQDRCDLDRTXTR-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCCOCC3CC3)c2c1 ZINC000413128390 534884747 /nfs/dbraw/zinc/88/47/47/534884747.db2.gz DBAYFFNGCDYGEG-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN COc1cccc(C(=O)NC[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000334070205 525820452 /nfs/dbraw/zinc/82/04/52/525820452.db2.gz DOMDUCWMBNDSTD-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCC3(CO)CCC3)c2c1 ZINC000413238378 534885151 /nfs/dbraw/zinc/88/51/51/534885151.db2.gz SHQRDYYHHXKDRD-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@@H]3CCOC3)c2c1 ZINC000413077772 534885241 /nfs/dbraw/zinc/88/52/41/534885241.db2.gz SAIWAHMAXAKVAR-JTQLQIEISA-N 0 0 273.292 2.591 20 5 CFBDRN Cc1c(NC(=O)[C@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000334992426 525858565 /nfs/dbraw/zinc/85/85/65/525858565.db2.gz BRTJTJHNADKWKI-NSHDSACASA-N 0 0 270.235 2.887 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1nnc(C2CC2)s1 ZINC000414558568 534887288 /nfs/dbraw/zinc/88/72/88/534887288.db2.gz SMNBQPRRIFVFDJ-UHFFFAOYSA-N 0 0 290.348 2.614 20 5 CFBDRN COc1cccc(CCNc2ccc([N+](=O)[O-])cc2C)n1 ZINC000360885000 525902866 /nfs/dbraw/zinc/90/28/66/525902866.db2.gz RGABUMYHCRETCX-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN C[C@H](C(=O)N1CCC=C(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000334589547 525961144 /nfs/dbraw/zinc/96/11/44/525961144.db2.gz DOKWEMVTVVRQPO-VIFPVBQESA-N 0 0 296.273 2.923 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2CCC[C@H]2F)cc1[N+](=O)[O-] ZINC000340779717 525969983 /nfs/dbraw/zinc/96/99/83/525969983.db2.gz OUPNJUPZFMQBOB-ZJUUUORDSA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1cnc(C)c(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000088057956 525986269 /nfs/dbraw/zinc/98/62/69/525986269.db2.gz OMAPUFMQRKZRBH-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)c2cccc(C)n2)c1 ZINC000173052099 526052519 /nfs/dbraw/zinc/05/25/19/526052519.db2.gz KOBPZISKBLBCBY-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC3(C2)CCCC3)c1 ZINC000336200062 526052604 /nfs/dbraw/zinc/05/26/04/526052604.db2.gz FEZGYAOAFQRDCU-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CS[C@@H](C)C2)c1 ZINC000335220899 526052795 /nfs/dbraw/zinc/05/27/95/526052795.db2.gz FMULQXVSFHLYAY-UWVGGRQHSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2cccc(O)c2)c1 ZINC000088147489 526053136 /nfs/dbraw/zinc/05/31/36/526053136.db2.gz HRTRKZGXFISUMT-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Cc1cnc(COc2ccc([N+](=O)[O-])cc2C)nc1 ZINC000361015510 526056281 /nfs/dbraw/zinc/05/62/81/526056281.db2.gz UCOCSEJNDMUNSC-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOc2ccc(F)cc2)n1 ZINC000360686456 526059891 /nfs/dbraw/zinc/05/98/91/526059891.db2.gz VSJQYURXXWSHSI-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(C(F)(F)F)C2)c1 ZINC000335232044 526060980 /nfs/dbraw/zinc/06/09/80/526060980.db2.gz GIJBMGZNQAIWLI-UHFFFAOYSA-N 0 0 260.215 2.902 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccccn2)cc1[N+](=O)[O-] ZINC000075476096 526067534 /nfs/dbraw/zinc/06/75/34/526067534.db2.gz FCNKAQHVDXMKDS-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000073446297 526068045 /nfs/dbraw/zinc/06/80/45/526068045.db2.gz NBYZUVJVLHWQPU-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CS[C@H](C)C1 ZINC000335450619 526073425 /nfs/dbraw/zinc/07/34/25/526073425.db2.gz AHYAPQPZRZWEBJ-NXEZZACHSA-N 0 0 280.349 2.527 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2[C@@H](C)C[C@@H]2C)c1 ZINC000335339141 526079368 /nfs/dbraw/zinc/07/93/68/526079368.db2.gz INMYSXDQAVWLTL-IUCAKERBSA-N 0 0 280.349 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CS[C@@H](C)C1 ZINC000334824584 526080586 /nfs/dbraw/zinc/08/05/86/526080586.db2.gz ZDQCBHUUDUWWBW-UWVGGRQHSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccncc1F ZINC000078072653 526083084 /nfs/dbraw/zinc/08/30/84/526083084.db2.gz AXNFYXUEBUSING-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCCOCC(F)(F)F ZINC000066409783 526086019 /nfs/dbraw/zinc/08/60/19/526086019.db2.gz VGPNSXBJWOXJPD-UHFFFAOYSA-N 0 0 279.214 2.861 20 5 CFBDRN CSc1cccc(C(=O)NCC[C@H](C)F)c1[N+](=O)[O-] ZINC000356651303 526090238 /nfs/dbraw/zinc/09/02/38/526090238.db2.gz RMTOWXTWRKRSMH-QMMMGPOBSA-N 0 0 286.328 2.795 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CCC[C@H]1F)c1ccc([N+](=O)[O-])cc1F ZINC000340847851 526119314 /nfs/dbraw/zinc/11/93/14/526119314.db2.gz VFMMRUOAESIOIH-KDDOJWQBSA-N 0 0 298.289 2.844 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000336112256 526132350 /nfs/dbraw/zinc/13/23/50/526132350.db2.gz MTAJNASDERQNHV-YPMHNXCESA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cncc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000079635912 526182937 /nfs/dbraw/zinc/18/29/37/526182937.db2.gz ZHUMTXMHRJVPCF-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)C[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000333976149 526195815 /nfs/dbraw/zinc/19/58/15/526195815.db2.gz KZPWUPLKHVGGAB-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN COc1cccc(NC[C@H](O)C2CCCCC2)c1[N+](=O)[O-] ZINC000185344216 526209534 /nfs/dbraw/zinc/20/95/34/526209534.db2.gz MIMMTEZBPAUJPA-ZDUSSCGKSA-N 0 0 294.351 2.957 20 5 CFBDRN COc1cccc(N[C@H]2CC[C@H](OC)C2)c1[N+](=O)[O-] ZINC000231683048 526211843 /nfs/dbraw/zinc/21/18/43/526211843.db2.gz HFYBGOYQNKQLSN-UWVGGRQHSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1cnccc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360525792 526220108 /nfs/dbraw/zinc/22/01/08/526220108.db2.gz QFSYBRUJQZETPA-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000360992403 526221164 /nfs/dbraw/zinc/22/11/64/526221164.db2.gz CUERYJMUEPITGW-VHSXEESVSA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1ccc2nc(CNc3ccc([N+](=O)[O-])nc3)cn2c1 ZINC000340111567 526249535 /nfs/dbraw/zinc/24/95/35/526249535.db2.gz MLASVAPZKUGNPX-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000362021274 526259074 /nfs/dbraw/zinc/25/90/74/526259074.db2.gz REPFWOCXLGJUIP-ZCFIWIBFSA-N 0 0 294.204 2.805 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)C[C@H]2C)cc([N+](=O)[O-])c1 ZINC000334796669 526262493 /nfs/dbraw/zinc/26/24/93/526262493.db2.gz ZYWIYMNGZHKVBG-GHMZBOCLSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1nn(Cc2cccnc2Cl)c(C)c1[N+](=O)[O-] ZINC000052718850 526298705 /nfs/dbraw/zinc/29/87/05/526298705.db2.gz QCKGZMWZSCJBPR-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN Cc1nnc([C@H](C)NCc2c(F)cccc2[N+](=O)[O-])s1 ZINC000353634651 526405246 /nfs/dbraw/zinc/40/52/46/526405246.db2.gz MBRIIOUPVZJXQR-ZETCQYMHSA-N 0 0 296.327 2.745 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)NCCCCF ZINC000360821088 526444388 /nfs/dbraw/zinc/44/43/88/526444388.db2.gz XPDNVRWILHVQML-UHFFFAOYSA-N 0 0 297.330 2.653 20 5 CFBDRN O=C([C@H]1C[C@@H]2C=C[C@H]1C2)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231471 526564399 /nfs/dbraw/zinc/56/43/99/526564399.db2.gz OORJUBHNCCBPKN-FFSVYQOJSA-N 0 0 298.342 2.692 20 5 CFBDRN CN(Cc1cc(C(C)(C)C)n[nH]1)c1ccc([N+](=O)[O-])cn1 ZINC000444880508 536455943 /nfs/dbraw/zinc/45/59/43/536455943.db2.gz SQFLPKLQEBAJQN-UHFFFAOYSA-N 0 0 289.339 2.647 20 5 CFBDRN CN(Cc1cc(C(C)(C)C)n[nH]1)c1ncccc1[N+](=O)[O-] ZINC000444879742 536456024 /nfs/dbraw/zinc/45/60/24/536456024.db2.gz WJUGPAFSXDFTKV-UHFFFAOYSA-N 0 0 289.339 2.647 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CC[C@H]2CCC[C@H]21 ZINC000336417504 526693855 /nfs/dbraw/zinc/69/38/55/526693855.db2.gz NBZSCAPFFBSSOC-TZMCWYRMSA-N 0 0 289.335 2.679 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N(CC(F)(F)F)C1CC1 ZINC000194277081 526713531 /nfs/dbraw/zinc/71/35/31/526713531.db2.gz VKJFFRHLAJFULN-UHFFFAOYSA-N 0 0 288.225 2.762 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC[C@H]1C1CCCC1 ZINC000366148495 526716771 /nfs/dbraw/zinc/71/67/71/526716771.db2.gz QESNSVBIMOLCIN-AWEZNQCLSA-N 0 0 289.335 2.785 20 5 CFBDRN CC(C)(C)[C@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000413996315 526729431 /nfs/dbraw/zinc/72/94/31/526729431.db2.gz UVNATRIATACESN-VHSXEESVSA-N 0 0 279.340 2.868 20 5 CFBDRN CC(C)(C)[C@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000413985338 526730125 /nfs/dbraw/zinc/73/01/25/526730125.db2.gz IQOARYMGHFYULL-WDEREUQCSA-N 0 0 279.340 2.868 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(F)cc1F ZINC000046149100 526760162 /nfs/dbraw/zinc/76/01/62/526760162.db2.gz QQTHGLKOVTVPEV-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN O=C(NCc1ccco1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000161553297 526778981 /nfs/dbraw/zinc/77/89/81/526778981.db2.gz INDADGGTHMJPAN-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN Cc1nc(CN(C)c2ccccc2[N+](=O)[O-])cs1 ZINC000049390929 526847662 /nfs/dbraw/zinc/84/76/62/526847662.db2.gz YYCDTENIAKBERI-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1sc(C(=O)NC[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000358951322 526873927 /nfs/dbraw/zinc/87/39/27/526873927.db2.gz MBCWXBXLWMDCCL-YFKPBYRVSA-N 0 0 296.270 2.893 20 5 CFBDRN CC(C)(C)n1cc(Nc2ncc([N+](=O)[O-])cc2F)cn1 ZINC000413104321 526928704 /nfs/dbraw/zinc/92/87/04/526928704.db2.gz XDZWPWUWCSNUKJ-UHFFFAOYSA-N 0 0 279.275 2.824 20 5 CFBDRN O=C(COc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000069052289 526943579 /nfs/dbraw/zinc/94/35/79/526943579.db2.gz YZZBLOYNNFJKDH-UHFFFAOYSA-N 0 0 255.657 2.606 20 5 CFBDRN O=C(N[C@@H]1CC[C@H](F)C1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000334332503 526951114 /nfs/dbraw/zinc/95/11/14/526951114.db2.gz QGFKRSOSZPQIMM-VHSXEESVSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1nc(N[C@@H](C)c2cccnc2)ccc1[N+](=O)[O-] ZINC000161507970 527000714 /nfs/dbraw/zinc/00/07/14/527000714.db2.gz KQYNIYVWLHHDNK-VIFPVBQESA-N 0 0 258.281 2.866 20 5 CFBDRN O=C(N[C@H](c1cccnc1)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000340394253 527009557 /nfs/dbraw/zinc/00/95/57/527009557.db2.gz ONOAJHKPRINHOR-HNNXBMFYSA-N 0 0 297.314 2.871 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]1F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000340791714 527033989 /nfs/dbraw/zinc/03/39/89/527033989.db2.gz ONLQZSRYCUFZAL-QWRGUYRKSA-N 0 0 286.690 2.869 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@@H]1F ZINC000340785900 527038110 /nfs/dbraw/zinc/03/81/10/527038110.db2.gz TUZDCYJOEYIASK-RYUDHWBXSA-N 0 0 298.339 2.694 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H]1CCC[C@H]1F ZINC000340810559 527044739 /nfs/dbraw/zinc/04/47/39/527044739.db2.gz ZPNOBGLVYDPRLK-NXEZZACHSA-N 0 0 298.339 2.694 20 5 CFBDRN Cc1ncc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)s1 ZINC000339191959 527244201 /nfs/dbraw/zinc/24/42/01/527244201.db2.gz GKJTUJMQKXBAFH-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC[C@H]2CCC[C@H]21 ZINC000336451084 527245817 /nfs/dbraw/zinc/24/58/17/527245817.db2.gz ABNJFUHGJALPPN-TZMCWYRMSA-N 0 0 274.320 2.538 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1C=CCCC1 ZINC000152524925 527260130 /nfs/dbraw/zinc/26/01/30/527260130.db2.gz HVITVCZDEDPPND-JTQLQIEISA-N 0 0 261.281 2.825 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCSC1 ZINC000336028034 527261381 /nfs/dbraw/zinc/26/13/81/527261381.db2.gz UXMXTSDKURJQNH-QMMMGPOBSA-N 0 0 284.312 2.816 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1cccc(Cl)n1 ZINC000051072769 527262073 /nfs/dbraw/zinc/26/20/73/527262073.db2.gz QSHKLFXLKKPZHT-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccccc1F ZINC000151476702 527272427 /nfs/dbraw/zinc/27/24/27/527272427.db2.gz WXUXYHOFXCALOR-UHFFFAOYSA-N 0 0 274.251 2.915 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccn1 ZINC000075285877 527294826 /nfs/dbraw/zinc/29/48/26/527294826.db2.gz VIWAYYDKMOHNNO-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC[C@H]2CCC[C@H]21 ZINC000334210734 527294996 /nfs/dbraw/zinc/29/49/96/527294996.db2.gz OGNJKRRZQIPONT-DGCLKSJQSA-N 0 0 274.320 2.538 20 5 CFBDRN Cc1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2F)s1 ZINC000337302540 527301666 /nfs/dbraw/zinc/30/16/66/527301666.db2.gz YHWFQQOHJZXEOI-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN O=[N+]([O-])c1c(NCC2(CCO)CC2)ccc2ncccc21 ZINC000157240532 527321681 /nfs/dbraw/zinc/32/16/81/527321681.db2.gz GHOYMBGVZKEKBY-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCOc1ccccc1Cl ZINC000361045666 527323482 /nfs/dbraw/zinc/32/34/82/527323482.db2.gz LVVFIJYOGAPBFD-UHFFFAOYSA-N 0 0 293.710 2.556 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000078353754 527333914 /nfs/dbraw/zinc/33/39/14/527333914.db2.gz RMPSVDKKIAEPJO-VIFPVBQESA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCc2ccc(CO)cc2)c1 ZINC000227491866 527337366 /nfs/dbraw/zinc/33/73/66/527337366.db2.gz IJINJMUSPISPLU-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCO[C@H]1C1CC1 ZINC000230308161 527338354 /nfs/dbraw/zinc/33/83/54/527338354.db2.gz CQOCLVHMYWBAJW-YPMHNXCESA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(C[C@@H]3CCCO3)c2c(Cl)c1 ZINC000338561031 527341636 /nfs/dbraw/zinc/34/16/36/527341636.db2.gz XSGBCYCQNKJNPA-JTQLQIEISA-N 0 0 281.699 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3cccnc3)no2)s1 ZINC000340646165 527343291 /nfs/dbraw/zinc/34/32/91/527343291.db2.gz NBXIEBOUQKGNJS-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(Cc3cccc(O)c3)n2)cc1 ZINC000315991542 527343685 /nfs/dbraw/zinc/34/36/85/527343685.db2.gz HXRAESLKNKEGMN-UHFFFAOYSA-N 0 0 297.270 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSc2nncs2)cc1 ZINC000078747253 527347947 /nfs/dbraw/zinc/34/79/47/527347947.db2.gz OUKFOAWELQJCOK-UHFFFAOYSA-N 0 0 267.335 2.781 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccon2)c(Cl)c1 ZINC000167786103 527352201 /nfs/dbraw/zinc/35/22/01/527352201.db2.gz IHTBSHGEIBHTMG-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1NC[C@@H]1CCCn2ccnc21 ZINC000366077686 527357926 /nfs/dbraw/zinc/35/79/26/527357926.db2.gz MJODNVRVPZTCAJ-JTQLQIEISA-N 0 0 290.298 2.920 20 5 CFBDRN Cc1ncccc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000336908295 527358678 /nfs/dbraw/zinc/35/86/78/527358678.db2.gz GBOQFLKNDZDWIU-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCF)cc1C(F)(F)F ZINC000310277032 527364031 /nfs/dbraw/zinc/36/40/31/527364031.db2.gz UQORDFSMASNLBI-UHFFFAOYSA-N 0 0 252.167 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccncn2)c2ncccc12 ZINC000236422716 527366456 /nfs/dbraw/zinc/36/64/56/527366456.db2.gz MWJQMSKSVHEFCM-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nccn2C(F)F)c(F)c1 ZINC000360499170 527373640 /nfs/dbraw/zinc/37/36/40/527373640.db2.gz KLLKKFGTZBRRTD-UHFFFAOYSA-N 0 0 287.197 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CSC3CCOCC3)nc2c1 ZINC000361972885 527379583 /nfs/dbraw/zinc/37/95/83/527379583.db2.gz ULJDJIWPFCAKNG-UHFFFAOYSA-N 0 0 293.348 2.883 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C\c2nc([C@@H]3CCOC3)no2)c1 ZINC000341436151 527385160 /nfs/dbraw/zinc/38/51/60/527385160.db2.gz SBTQOYNGHPASTR-DOGVGXBMSA-N 0 0 287.275 2.652 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2nccnc2C(F)F)c1 ZINC000361058804 527387028 /nfs/dbraw/zinc/38/70/28/527387028.db2.gz DQFSNKJOXOFMSN-UHFFFAOYSA-N 0 0 294.261 2.977 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCC1(CCO)CC1 ZINC000097818846 527390063 /nfs/dbraw/zinc/39/00/63/527390063.db2.gz WXAFIYRNHQRISK-UHFFFAOYSA-N 0 0 270.716 2.823 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2ccc(CO)cc2)c1 ZINC000163269341 527392692 /nfs/dbraw/zinc/39/26/92/527392692.db2.gz MTLMXFBOHAMGOR-UHFFFAOYSA-N 0 0 259.261 2.666 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nc(-c3ccncc3)no2)c1 ZINC000076421754 527392815 /nfs/dbraw/zinc/39/28/15/527392815.db2.gz HZENSRWKWYPRQC-UHFFFAOYSA-N 0 0 298.258 2.619 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@H]3CC[C@@H](O)C3)ccnc21 ZINC000227662256 527396061 /nfs/dbraw/zinc/39/60/61/527396061.db2.gz SVGOSWLURYBADE-WDEREUQCSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\c1nc([C@H]2CCOC2)no1 ZINC000341522598 527397272 /nfs/dbraw/zinc/39/72/72/527397272.db2.gz OVWDOLMKWFLYGQ-GZTOBOFZSA-N 0 0 287.275 2.652 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1ccccc1CCO ZINC000236506701 527400508 /nfs/dbraw/zinc/40/05/08/527400508.db2.gz PQRWXKXNIZQFTI-UHFFFAOYSA-N 0 0 273.288 2.709 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1ccccc1[N+](=O)[O-] ZINC000050015557 527401216 /nfs/dbraw/zinc/40/12/16/527401216.db2.gz WQSBAEIHAJPPRX-UHFFFAOYSA-N 0 0 287.275 2.793 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1cccc(CO)c1 ZINC000071354628 527402155 /nfs/dbraw/zinc/40/21/55/527402155.db2.gz RMGUKFSTDFRTAO-UHFFFAOYSA-N 0 0 258.277 2.699 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H](O)c1ccsc1 ZINC000218908293 527402891 /nfs/dbraw/zinc/40/28/91/527402891.db2.gz XXBVYOJKSZUNOS-GFCCVEGCSA-N 0 0 264.306 2.802 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC(Cc2ccccc2)C1 ZINC000361069191 527405674 /nfs/dbraw/zinc/40/56/74/527405674.db2.gz SEKRQHOPGJBHII-UHFFFAOYSA-N 0 0 269.304 2.669 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CCc2ccccc2N1 ZINC000078495551 527406113 /nfs/dbraw/zinc/40/61/13/527406113.db2.gz YPXUSFVKDWDPKK-LBPRGKRZSA-N 0 0 284.319 2.829 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccc(OC(F)(F)F)cc1 ZINC000341024635 527408469 /nfs/dbraw/zinc/40/84/69/527408469.db2.gz FAXMJPRXNSUXGG-UHFFFAOYSA-N 0 0 287.197 2.738 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2ccsc2)nc1 ZINC000364948413 527409217 /nfs/dbraw/zinc/40/92/17/527409217.db2.gz XBCVCQNRIXAHPR-NSHDSACASA-N 0 0 276.321 2.788 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)s1 ZINC000249270712 527410892 /nfs/dbraw/zinc/41/08/92/527410892.db2.gz JEPLRSCFAYXKCO-JMJZKYOTSA-N 0 0 295.364 2.811 20 5 CFBDRN O=[N+]([O-])c1ncn(CCCOc2cccc3ccccc32)n1 ZINC000079832668 527417303 /nfs/dbraw/zinc/41/73/03/527417303.db2.gz PRQFCZUJUZZYHB-UHFFFAOYSA-N 0 0 298.302 2.809 20 5 CFBDRN Cn1ccnc1Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153506185 527450921 /nfs/dbraw/zinc/45/09/21/527450921.db2.gz QWCUVFFNXAWMDY-UHFFFAOYSA-N 0 0 270.701 2.528 20 5 CFBDRN Cc1ncsc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000339193536 527482536 /nfs/dbraw/zinc/48/25/36/527482536.db2.gz HLBIAOYGQSGUQN-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(C(F)=C2CCCC2)n1 ZINC000340682415 527489182 /nfs/dbraw/zinc/48/91/82/527489182.db2.gz ZKRXAXGPSPROPJ-UHFFFAOYSA-N 0 0 293.258 2.633 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC1CC(C(C)(C)C)C1 ZINC000338737312 527490947 /nfs/dbraw/zinc/49/09/47/527490947.db2.gz RPHZKUVVJKOYFL-UHFFFAOYSA-N 0 0 252.318 2.565 20 5 CFBDRN Nc1nc(NCCCC2CCCCC2)ncc1[N+](=O)[O-] ZINC000048559284 527556683 /nfs/dbraw/zinc/55/66/83/527556683.db2.gz LJBAWQWVZFQSDO-UHFFFAOYSA-N 0 0 279.344 2.739 20 5 CFBDRN O=C([O-])CCC1CC[NH+](Cc2ccccc2[N+](=O)[O-])CC1 ZINC000050926274 527574752 /nfs/dbraw/zinc/57/47/52/527574752.db2.gz ULGSJTHMXUOGDH-UHFFFAOYSA-N 0 0 292.335 2.672 20 5 CFBDRN CC(C)(C)C1CN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000413366046 527638705 /nfs/dbraw/zinc/63/87/05/527638705.db2.gz CMFSMCAQSVYGRZ-UHFFFAOYSA-N 0 0 280.353 2.786 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2ccccc2Cl)c1[N+](=O)[O-] ZINC000048545109 527670479 /nfs/dbraw/zinc/67/04/79/527670479.db2.gz CAOORSXKWXXWQX-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1ccc([N+](=O)[O-])cc1F ZINC000068910046 527700345 /nfs/dbraw/zinc/70/03/45/527700345.db2.gz QNGWKNOBXYZXPU-QMMMGPOBSA-N 0 0 278.287 2.949 20 5 CFBDRN CC1(C)C[C@H](CNc2ncccc2[N+](=O)[O-])CCO1 ZINC000413345142 527729294 /nfs/dbraw/zinc/72/92/94/527729294.db2.gz ZTYJKQCBOZWMRA-SNVBAGLBSA-N 0 0 265.313 2.607 20 5 CFBDRN CC(C)CCOCCNc1ncc([N+](=O)[O-])cc1F ZINC000413090272 527759820 /nfs/dbraw/zinc/75/98/20/527759820.db2.gz ZMMWDVZOCIANAL-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN CCC1CN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000413361601 527877666 /nfs/dbraw/zinc/87/76/66/527877666.db2.gz KVNHBLRBFGLTST-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CCCC1(CNc2c(C(N)=O)cccc2[N+](=O)[O-])CC1 ZINC000420602221 528064227 /nfs/dbraw/zinc/06/42/27/528064227.db2.gz OLNQBEOHZTWRSQ-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000413388092 528106661 /nfs/dbraw/zinc/10/66/61/528106661.db2.gz PMMCRLMIYRDECK-DTORHVGOSA-N 0 0 271.704 2.623 20 5 CFBDRN CCCCCN(C(=O)c1nn(CC)cc1[N+](=O)[O-])C(C)C ZINC000191524962 528162657 /nfs/dbraw/zinc/16/26/57/528162657.db2.gz SRWNMNQQSCWTSR-UHFFFAOYSA-N 0 0 296.371 2.852 20 5 CFBDRN CCOc1cc(N2CCC[C@H](CO)[C@H]2C)ccc1[N+](=O)[O-] ZINC000413596313 528309197 /nfs/dbraw/zinc/30/91/97/528309197.db2.gz QWXHLEOIDJPQCK-VXGBXAGGSA-N 0 0 294.351 2.591 20 5 CFBDRN CCCCN(CC)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000126172221 528388531 /nfs/dbraw/zinc/38/85/31/528388531.db2.gz JFKCOHSYRPBLCT-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN CCOC(C)(C)CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000187557631 528422112 /nfs/dbraw/zinc/42/21/12/528422112.db2.gz SYLSVPOONASRLP-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000411113383 528430800 /nfs/dbraw/zinc/43/08/00/528430800.db2.gz NBGVQMWXPDZOCC-WCQYABFASA-N 0 0 291.351 2.801 20 5 CFBDRN CCC(C)(CC)NC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430696437 528611321 /nfs/dbraw/zinc/61/13/21/528611321.db2.gz VNWRKNGFUFLCAT-UHFFFAOYSA-N 0 0 294.351 2.977 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000191446766 528912877 /nfs/dbraw/zinc/91/28/77/528912877.db2.gz HBCALCWMXCTADW-NSHDSACASA-N 0 0 296.371 2.757 20 5 CFBDRN CCN(c1ncc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000413060264 528940411 /nfs/dbraw/zinc/94/04/11/528940411.db2.gz JFBVTCVWXUSVLW-UHFFFAOYSA-N 0 0 253.277 2.898 20 5 CFBDRN CCC[C@@H](CNc1ncc([N+](=O)[O-])cc1F)C(=O)OCC ZINC000413259378 528959002 /nfs/dbraw/zinc/95/90/02/528959002.db2.gz DCNUHXYZEPTRMF-VIFPVBQESA-N 0 0 299.302 2.520 20 5 CFBDRN CCSCC[C@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000173261420 529192984 /nfs/dbraw/zinc/19/29/84/529192984.db2.gz BKXNQXHGKWOJRT-NSHDSACASA-N 0 0 296.392 2.785 20 5 CFBDRN CC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413549119 529212971 /nfs/dbraw/zinc/21/29/71/529212971.db2.gz VMUGHRWWIWNBOR-ZYHUDNBSSA-N 0 0 267.329 2.506 20 5 CFBDRN CC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413549118 529213310 /nfs/dbraw/zinc/21/33/10/529213310.db2.gz VMUGHRWWIWNBOR-PWSUYJOCSA-N 0 0 267.329 2.506 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2nn(CC)cc2[N+](=O)[O-])C1 ZINC000191630665 529223468 /nfs/dbraw/zinc/22/34/68/529223468.db2.gz OUDUQWNESSXALT-WDEREUQCSA-N 0 0 294.355 2.510 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000177991136 529318132 /nfs/dbraw/zinc/31/81/32/529318132.db2.gz FHSURPDMIYNHPY-SNVBAGLBSA-N 0 0 279.340 2.806 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000421664260 529320552 /nfs/dbraw/zinc/32/05/52/529320552.db2.gz AUSCVYPUJAAUAS-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H](CNc1ncc([N+](=O)[O-])c(C)n1)CC(F)(F)F ZINC000413524903 529337227 /nfs/dbraw/zinc/33/72/27/529337227.db2.gz XMSBFPFUETWNEZ-QMMMGPOBSA-N 0 0 292.261 2.506 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Cc1c(F)c(OC)ccc1[N+](=O)[O-] ZINC000412174559 529338975 /nfs/dbraw/zinc/33/89/75/529338975.db2.gz IDROWYUXWDGCBB-SECBINFHSA-N 0 0 298.314 2.542 20 5 CFBDRN COCCCNc1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450209653 536549235 /nfs/dbraw/zinc/54/92/35/536549235.db2.gz XKJBWCXGWYFURB-UHFFFAOYSA-N 0 0 293.348 2.589 20 5 CFBDRN CC(C)(C)SCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000263841378 535030927 /nfs/dbraw/zinc/03/09/27/535030927.db2.gz AGXSACUSHJVHRK-UHFFFAOYSA-N 0 0 288.394 2.918 20 5 CFBDRN CCCC[C@H](COC)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000268500031 535043667 /nfs/dbraw/zinc/04/36/67/535043667.db2.gz PTYUERMGKBAEFI-LLVKDONJSA-N 0 0 267.329 2.915 20 5 CFBDRN CCC(C)(C)CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000192204168 535353016 /nfs/dbraw/zinc/35/30/16/535353016.db2.gz SQRGGPYIXZWMNQ-UHFFFAOYSA-N 0 0 256.327 2.822 20 5 CFBDRN CCC[C@@H]1C[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000360038027 535452842 /nfs/dbraw/zinc/45/28/42/535452842.db2.gz OXEGMMBTDBSBCH-PWSUYJOCSA-N 0 0 262.309 2.647 20 5 CFBDRN CC[C@@H](C)CC(=O)OCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000192102970 535482265 /nfs/dbraw/zinc/48/22/65/535482265.db2.gz BORIALKQYCUQGI-SNVBAGLBSA-N 0 0 294.307 2.513 20 5 CFBDRN CCS[C@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000264797081 535723564 /nfs/dbraw/zinc/72/35/64/535723564.db2.gz BUEOALGIBQXJDZ-JTQLQIEISA-N 0 0 297.380 2.671 20 5 CFBDRN CCOC(=O)C[C@H](C)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000471747033 535734239 /nfs/dbraw/zinc/73/42/39/535734239.db2.gz UQIZDVMRAZPBPM-VIFPVBQESA-N 0 0 294.307 2.551 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)OC ZINC000452425896 535843537 /nfs/dbraw/zinc/84/35/37/535843537.db2.gz ZZRNPDMSKXTHKM-SMDDNHRTSA-N 0 0 294.351 2.694 20 5 CFBDRN CC[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21)C(F)F ZINC000452252409 535859686 /nfs/dbraw/zinc/85/96/86/535859686.db2.gz VHVGXAQPPFWXMQ-QMMMGPOBSA-N 0 0 297.261 2.850 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1C)C(F)F ZINC000452241341 535884362 /nfs/dbraw/zinc/88/43/62/535884362.db2.gz BGMCGWRBVSNAQE-VIFPVBQESA-N 0 0 272.251 2.677 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@]2(C)CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000457258098 536007633 /nfs/dbraw/zinc/00/76/33/536007633.db2.gz MAAYHMSVMUNENH-LKFCYVNXSA-N 0 0 294.355 2.579 20 5 CFBDRN CCn1cccc(CNc2ccc([N+](=O)[O-])cc2C)c1=O ZINC000432822122 536098783 /nfs/dbraw/zinc/09/87/83/536098783.db2.gz FMAVTNIMMMLLIL-UHFFFAOYSA-N 0 0 287.319 2.697 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1C[C@@H]1C ZINC000462909235 536134824 /nfs/dbraw/zinc/13/48/24/536134824.db2.gz DOHPLAPYMYNYMA-IACUBPJLSA-N 0 0 290.363 2.936 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCC1CC1 ZINC000341734837 536587552 /nfs/dbraw/zinc/58/75/52/536587552.db2.gz UKSWOZHQESGMMX-UHFFFAOYSA-N 0 0 264.281 2.512 20 5 CFBDRN CC1=CCN(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000935083426 649872603 /nfs/dbraw/zinc/87/26/03/649872603.db2.gz KTRKFMGOHDICSK-GJZGRUSLSA-N 0 0 286.331 2.877 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2CCSC2)c1 ZINC000744100884 574053673 /nfs/dbraw/zinc/05/36/73/574053673.db2.gz HLUCKQUNUSIVNU-SECBINFHSA-N 0 0 267.306 2.566 20 5 CFBDRN CCOC(=O)/C=C/CSCc1ccc([N+](=O)[O-])cc1 ZINC000753943430 574057760 /nfs/dbraw/zinc/05/77/60/574057760.db2.gz HSCYWNCLPOCKAY-ONEGZZNKSA-N 0 0 281.333 2.947 20 5 CFBDRN CCCCO[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746965818 574058964 /nfs/dbraw/zinc/05/89/64/574058964.db2.gz CXQRXDPUUZEBHF-JTQLQIEISA-N 0 0 299.298 2.982 20 5 CFBDRN Cc1sc(C(=O)OCC2CCC2)cc1[N+](=O)[O-] ZINC000744196228 574059964 /nfs/dbraw/zinc/05/99/64/574059964.db2.gz BXEZZNRCQJKCNI-UHFFFAOYSA-N 0 0 255.295 2.922 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)O[C@H]1CCSC1 ZINC000727772806 574064302 /nfs/dbraw/zinc/06/43/02/574064302.db2.gz PQQQWYCIIDUGRP-RYBZSIHZSA-N 0 0 279.317 2.657 20 5 CFBDRN C[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000744337682 574068815 /nfs/dbraw/zinc/06/88/15/574068815.db2.gz OIPRWXMEYPKTAY-RXMQYKEDSA-N 0 0 281.161 2.842 20 5 CFBDRN O=C(COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C1CCC1 ZINC000754102178 574072875 /nfs/dbraw/zinc/07/28/75/574072875.db2.gz PDRQPBCJXXICRY-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN Cc1ccnc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)n1 ZINC000754112678 574073879 /nfs/dbraw/zinc/07/38/79/574073879.db2.gz DTRSHMKRLFGENW-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN C[C@@H](OC(=O)[C@@]1(C)CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000754110684 574073885 /nfs/dbraw/zinc/07/38/85/574073885.db2.gz QWJZFMNVXTVWRM-YGRLFVJLSA-N 0 0 279.292 2.626 20 5 CFBDRN COCCCCN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000754110633 574073918 /nfs/dbraw/zinc/07/39/18/574073918.db2.gz XKJRDORXALWOPY-UHFFFAOYSA-N 0 0 264.325 2.692 20 5 CFBDRN Cc1ccc(C(=O)OCC(=O)C2CCCC2)cc1[N+](=O)[O-] ZINC000744471793 574076104 /nfs/dbraw/zinc/07/61/04/574076104.db2.gz HGBLOAIJMVBCNN-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN C[C@@H](OC(=O)[C@@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000754141764 574077428 /nfs/dbraw/zinc/07/74/28/574077428.db2.gz PKYNDFGWXOKDPK-YGRLFVJLSA-N 0 0 279.292 2.626 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnc(C)n1 ZINC000754287747 574086852 /nfs/dbraw/zinc/08/68/52/574086852.db2.gz OGYRZMDRAMMNMC-KTKRTIGZSA-N 0 0 298.302 2.735 20 5 CFBDRN O=C(COC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CCCC1 ZINC000744883528 574092042 /nfs/dbraw/zinc/09/20/42/574092042.db2.gz YTGCELXTNZLBEF-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N(CCCO)C3CC3)sc2c1 ZINC000754491605 574105095 /nfs/dbraw/zinc/10/50/95/574105095.db2.gz ABXLXZNHUKPYFW-UHFFFAOYSA-N 0 0 293.348 2.556 20 5 CFBDRN CC[C@H](CCOC)OC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000754588062 574106438 /nfs/dbraw/zinc/10/64/38/574106438.db2.gz ZZKKOJALZMXAED-CYBMUJFWSA-N 0 0 281.308 2.875 20 5 CFBDRN Cc1ncccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000731093386 574108947 /nfs/dbraw/zinc/10/89/47/574108947.db2.gz IZVVAYPJRGLDNU-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000731391157 574119970 /nfs/dbraw/zinc/11/99/70/574119970.db2.gz ZYEFDKPOXFGBGQ-QMMMGPOBSA-N 0 0 287.312 2.776 20 5 CFBDRN C[C@@H](C(=O)OCc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000745617276 574120431 /nfs/dbraw/zinc/12/04/31/574120431.db2.gz CZBQENYMEWYDAS-ZETCQYMHSA-N 0 0 277.198 2.836 20 5 CFBDRN C[C@@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])C(F)(F)F ZINC000745625190 574120786 /nfs/dbraw/zinc/12/07/86/574120786.db2.gz UAUXZFJKQOCCMP-LURJTMIESA-N 0 0 295.188 2.976 20 5 CFBDRN CO[C@H]1CCC[C@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000731456999 574121448 /nfs/dbraw/zinc/12/14/48/574121448.db2.gz WSOCDVILEPAKOV-STQMWFEESA-N 0 0 297.282 2.848 20 5 CFBDRN CC(C)(C)OCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731530112 574122961 /nfs/dbraw/zinc/12/29/61/574122961.db2.gz ORWSZWXDRBCWDR-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)OCc2ccccc2[N+](=O)[O-])O1 ZINC000745706324 574123340 /nfs/dbraw/zinc/12/33/40/574123340.db2.gz SIRIYIZXQWQKLV-AAEUAGOBSA-N 0 0 293.319 2.986 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)CSC[C@H]2CCCO2)cc1 ZINC000754862906 574125377 /nfs/dbraw/zinc/12/53/77/574125377.db2.gz OMWPTXDEAWPALU-OLZOCXBDSA-N 0 0 283.349 2.540 20 5 CFBDRN CCCCN(CCOC)c1ccc([N+](=O)[O-])cc1F ZINC000731663310 574125972 /nfs/dbraw/zinc/12/59/72/574125972.db2.gz RGXGLSLVCBILBZ-UHFFFAOYSA-N 0 0 270.304 2.987 20 5 CFBDRN CCC(C)(C)C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000731722236 574127714 /nfs/dbraw/zinc/12/77/14/574127714.db2.gz YIVCLGFQQFFSJI-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000746071184 574132677 /nfs/dbraw/zinc/13/26/77/574132677.db2.gz FHYUNBPFLGGWSB-SECBINFHSA-N 0 0 299.710 2.718 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccno1 ZINC000755016450 574132664 /nfs/dbraw/zinc/13/26/64/574132664.db2.gz YYJDXBCJEFWZKO-CSKARUKUSA-N 0 0 288.259 2.730 20 5 CFBDRN CSCCOC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755013772 574132703 /nfs/dbraw/zinc/13/27/03/574132703.db2.gz RMZIVXIAJAWVIY-MDZDMXLPSA-N 0 0 281.333 2.904 20 5 CFBDRN CC(C)=CC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728988928 574144119 /nfs/dbraw/zinc/14/41/19/574144119.db2.gz SPSDWLJMZNCHHW-UHFFFAOYSA-N 0 0 253.229 2.743 20 5 CFBDRN COC[C@@H](C)CC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000755198846 574146285 /nfs/dbraw/zinc/14/62/85/574146285.db2.gz AJZLNNXCEINQQV-QWRGUYRKSA-N 0 0 281.308 2.872 20 5 CFBDRN CC(=Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc[nH]c1=O ZINC000755203813 574146622 /nfs/dbraw/zinc/14/66/22/574146622.db2.gz ULYYEWJRDSPIGG-MDZDMXLPSA-N 0 0 299.286 2.737 20 5 CFBDRN Cn1c(C(=O)Oc2ccccc2Cl)ccc1[N+](=O)[O-] ZINC000755232955 574148396 /nfs/dbraw/zinc/14/83/96/574148396.db2.gz WLWUNRKYXFREIB-UHFFFAOYSA-N 0 0 280.667 2.806 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1ccccc1Cl ZINC000755232416 574148483 /nfs/dbraw/zinc/14/84/83/574148483.db2.gz SMHHIOWQTJYZDM-UHFFFAOYSA-N 0 0 280.667 2.806 20 5 CFBDRN CS/C=C\C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000755247742 574149573 /nfs/dbraw/zinc/14/95/73/574149573.db2.gz BHEBYEYBESSMNH-WAYWQWQTSA-N 0 0 253.279 2.685 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000755252146 574150262 /nfs/dbraw/zinc/15/02/62/574150262.db2.gz YJILYCWYTZTJTF-LLVKDONJSA-N 0 0 261.277 2.999 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCC1CCOCC1 ZINC000732601331 574163039 /nfs/dbraw/zinc/16/30/39/574163039.db2.gz ODXDUHUZIREMBE-UTCJRWHESA-N 0 0 291.303 2.578 20 5 CFBDRN COC(=O)c1cc(Oc2nccs2)ccc1[N+](=O)[O-] ZINC000732666858 574164991 /nfs/dbraw/zinc/16/49/91/574164991.db2.gz DPPGUPRXQGUHGY-UHFFFAOYSA-N 0 0 280.261 2.630 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCC[C@H](C)C2)n1 ZINC000755575834 574168798 /nfs/dbraw/zinc/16/87/98/574168798.db2.gz OOONBVJSGIJQII-WDEREUQCSA-N 0 0 295.339 2.794 20 5 CFBDRN CC[C@H](C)OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000729079193 574170662 /nfs/dbraw/zinc/17/06/62/574170662.db2.gz UYNVDOYMOHLHIP-JTQLQIEISA-N 0 0 276.292 2.891 20 5 CFBDRN Cc1cccc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)n1 ZINC000746930060 574171321 /nfs/dbraw/zinc/17/13/21/574171321.db2.gz GHAAAQZZOYMWRO-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN C/C=C\C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000746932511 574171410 /nfs/dbraw/zinc/17/14/10/574171410.db2.gz CUAXFFCYKNWVHO-DJWKRKHSSA-N 0 0 260.293 2.662 20 5 CFBDRN C[C@H](OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000729084698 574172077 /nfs/dbraw/zinc/17/20/77/574172077.db2.gz ZFJNQCCWAJEQGP-JTQLQIEISA-N 0 0 288.303 2.891 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1cccc2c[nH]nc21 ZINC000746949694 574172473 /nfs/dbraw/zinc/17/24/73/574172473.db2.gz HBMUFXTZWPYJMB-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C(C)C)C2)c(N)c([N+](=O)[O-])c1 ZINC000755624925 574172602 /nfs/dbraw/zinc/17/26/02/574172602.db2.gz YTUNGROTSHPRJX-NSHDSACASA-N 0 0 291.351 2.604 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746984016 574174214 /nfs/dbraw/zinc/17/42/14/574174214.db2.gz VEUOHACGIICHSR-QMMMGPOBSA-N 0 0 287.312 2.776 20 5 CFBDRN CCc1ccc(C(=O)OCc2cc(C)on2)cc1[N+](=O)[O-] ZINC000747012214 574175406 /nfs/dbraw/zinc/17/54/06/574175406.db2.gz SUEATIDSPFVOLF-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=C(O[C@@H]1CCSC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000729209914 574184878 /nfs/dbraw/zinc/18/48/78/574184878.db2.gz NIDOHEKRNDDEJC-SECBINFHSA-N 0 0 292.316 2.738 20 5 CFBDRN C=COCCOC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000755775693 574187308 /nfs/dbraw/zinc/18/73/08/574187308.db2.gz HZDYISYYGNLDKA-KHPPLWFESA-N 0 0 277.276 2.701 20 5 CFBDRN CCOCCCCNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000747211551 574187577 /nfs/dbraw/zinc/18/75/77/574187577.db2.gz NOCYXODDUMHYOM-UHFFFAOYSA-N 0 0 286.303 2.971 20 5 CFBDRN CC(C)n1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)n1 ZINC000733099587 574190182 /nfs/dbraw/zinc/19/01/82/574190182.db2.gz JDJWPEITDQTORK-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN Nc1ccc(C(=O)Nc2ccc3[nH]ccc3c2)cc1[N+](=O)[O-] ZINC000733126923 574191999 /nfs/dbraw/zinc/19/19/99/574191999.db2.gz LCDILNDHUYARKJ-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000747600157 574202807 /nfs/dbraw/zinc/20/28/07/574202807.db2.gz RAAZBDBWGIWMJB-NSHDSACASA-N 0 0 269.322 2.780 20 5 CFBDRN CC(C)[C@@H](C)N(C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000733188048 574209255 /nfs/dbraw/zinc/20/92/55/574209255.db2.gz XZDNVQRCCCRZME-SNVBAGLBSA-N 0 0 262.313 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(n3cccn3)CC2)c1 ZINC000756121419 574211425 /nfs/dbraw/zinc/21/14/25/574211425.db2.gz VPRDQUHEXATZFR-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN CCn1cnnc1SCc1ccc([N+](=O)[O-])cc1F ZINC000747805206 574213136 /nfs/dbraw/zinc/21/31/36/574213136.db2.gz YDHPUAWFHKOPTH-UHFFFAOYSA-N 0 0 282.300 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]([C@@H]3CCOC3)C2)cc1 ZINC000747842891 574215014 /nfs/dbraw/zinc/21/50/14/574215014.db2.gz CAHMZFLPVHVAAL-CHWSQXEVSA-N 0 0 276.336 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]([C@@H]3CCOC3)C2)cc1 ZINC000747842893 574215283 /nfs/dbraw/zinc/21/52/83/574215283.db2.gz CAHMZFLPVHVAAL-QWHCGFSZSA-N 0 0 276.336 2.848 20 5 CFBDRN CO[C@@H](CNc1c(C)cccc1[N+](=O)[O-])C(F)(F)F ZINC000733304384 574216648 /nfs/dbraw/zinc/21/66/48/574216648.db2.gz XZMNPMKQVSIZCF-VIFPVBQESA-N 0 0 278.230 2.892 20 5 CFBDRN CCc1ccccc1OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000756213605 574217140 /nfs/dbraw/zinc/21/71/40/574217140.db2.gz ZCMHZPFVZJPNBH-UHFFFAOYSA-N 0 0 274.276 2.715 20 5 CFBDRN Cc1c(C(=O)OCc2cscn2)cccc1[N+](=O)[O-] ZINC000747949926 574221968 /nfs/dbraw/zinc/22/19/68/574221968.db2.gz GEZYGNAZSKFJFM-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OC[C@H]1CCCS1 ZINC000756296914 574222909 /nfs/dbraw/zinc/22/29/09/574222909.db2.gz ZCGYMGPHHHNBMJ-CYBMUJFWSA-N 0 0 295.360 2.966 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)O[C@H]1C=CCCC1 ZINC000733377636 574224980 /nfs/dbraw/zinc/22/49/80/574224980.db2.gz LOSUZTQNYBCMPH-ZDUSSCGKSA-N 0 0 277.276 2.626 20 5 CFBDRN C[C@@H](OC(=O)c1cocn1)c1cccc([N+](=O)[O-])c1 ZINC000729651073 574227144 /nfs/dbraw/zinc/22/71/44/574227144.db2.gz RUTXOYFBSJJFKM-MRVPVSSYSA-N 0 0 262.221 2.501 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000733384152 574227367 /nfs/dbraw/zinc/22/73/67/574227367.db2.gz RWCVASZZTQDNNE-LLVKDONJSA-N 0 0 286.287 2.837 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000756352170 574228117 /nfs/dbraw/zinc/22/81/17/574228117.db2.gz JYJHCSPZDGZBOH-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN Cc1noc([C@@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000729684755 574228821 /nfs/dbraw/zinc/22/88/21/574228821.db2.gz VSHSHUFZDSRDMK-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000748116979 574229203 /nfs/dbraw/zinc/22/92/03/574229203.db2.gz UNKFYSNTJMXYLZ-ZETCQYMHSA-N 0 0 270.260 2.662 20 5 CFBDRN CC(C)(C)C[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000756360949 574229363 /nfs/dbraw/zinc/22/93/63/574229363.db2.gz JOCLYJLFOGTMCE-GFCCVEGCSA-N 0 0 280.324 2.574 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000748108738 574229941 /nfs/dbraw/zinc/22/99/41/574229941.db2.gz KYYFHVCIMXDNIY-VIFPVBQESA-N 0 0 295.291 2.727 20 5 CFBDRN CC(C)(C)CCCC(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000748119776 574230235 /nfs/dbraw/zinc/23/02/35/574230235.db2.gz KVOGEJZLZGRCCS-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN C[C@H](CC(=O)OCCn1cc([N+](=O)[O-])cn1)CC(C)(C)C ZINC000748116564 574230251 /nfs/dbraw/zinc/23/02/51/574230251.db2.gz PTNWVBSLAAZMSG-LLVKDONJSA-N 0 0 297.355 2.797 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000756396034 574233700 /nfs/dbraw/zinc/23/37/00/574233700.db2.gz WGQRICVQVSWCMD-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN O=C(OCc1cccnc1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000729891241 574235828 /nfs/dbraw/zinc/23/58/28/574235828.db2.gz QSAUAODUXSCNER-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CC/C=C\CCOC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000729892471 574235962 /nfs/dbraw/zinc/23/59/62/574235962.db2.gz XHJVXTQQBZHGKL-PLNGDYQASA-N 0 0 264.281 2.811 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000748191145 574237241 /nfs/dbraw/zinc/23/72/41/574237241.db2.gz PIPBYHGBSXTHCA-VIFPVBQESA-N 0 0 295.266 2.650 20 5 CFBDRN CC(C)OCCCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000733450372 574238488 /nfs/dbraw/zinc/23/84/88/574238488.db2.gz ULOXSBXVZMXMDA-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN COCC(C)(C)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000756530282 574244143 /nfs/dbraw/zinc/24/41/43/574244143.db2.gz BRRBPCQMXKALRD-SNVBAGLBSA-N 0 0 281.308 2.872 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(Oc2nccs2)c1 ZINC000748307029 574246838 /nfs/dbraw/zinc/24/68/38/574246838.db2.gz HNXRAMMPLBVFJA-UHFFFAOYSA-N 0 0 250.235 2.656 20 5 CFBDRN C[C@]1(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])CCCO1 ZINC000756557695 574247128 /nfs/dbraw/zinc/24/71/28/574247128.db2.gz GBPZHCIGSHQIIA-CYBMUJFWSA-N 0 0 299.710 2.861 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000756559796 574247849 /nfs/dbraw/zinc/24/78/49/574247849.db2.gz NGYASNYRBKVBGZ-CYBMUJFWSA-N 0 0 299.710 2.861 20 5 CFBDRN O=C(OCCc1cccnc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000733696312 574251257 /nfs/dbraw/zinc/25/12/57/574251257.db2.gz RCMKCVXMUFBSBO-UHFFFAOYSA-N 0 0 290.250 2.528 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1CCCS1 ZINC000748478248 574254622 /nfs/dbraw/zinc/25/46/22/574254622.db2.gz WRUFFJSXMQOLFZ-GFCCVEGCSA-N 0 0 297.332 2.542 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1Cc2ccccc21 ZINC000740701901 574263020 /nfs/dbraw/zinc/26/30/20/574263020.db2.gz HDORKWXFZCUVPA-OAHLLOKOSA-N 0 0 283.283 2.978 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1cc([N+](=O)[O-])ccc1F)C(C)C ZINC000740803792 574267874 /nfs/dbraw/zinc/26/78/74/574267874.db2.gz SMWBGDOIFVDUSZ-SNVBAGLBSA-N 0 0 298.314 2.619 20 5 CFBDRN CC[C@H](CCO)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000740869979 574270895 /nfs/dbraw/zinc/27/08/95/574270895.db2.gz SLRGTJXTDKYVPO-MRVPVSSYSA-N 0 0 281.337 2.777 20 5 CFBDRN C[C@H]1C[C@H](C)N(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000740870654 574271445 /nfs/dbraw/zinc/27/14/45/574271445.db2.gz HMFYKPXRCUGXNZ-UWVGGRQHSA-N 0 0 289.335 2.714 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000740870655 574271472 /nfs/dbraw/zinc/27/14/72/574271472.db2.gz HMFYKPXRCUGXNZ-VHSXEESVSA-N 0 0 289.335 2.714 20 5 CFBDRN CCCOC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C ZINC000748946309 574274796 /nfs/dbraw/zinc/27/47/96/574274796.db2.gz FZEDNNGOHNKGKB-UHFFFAOYSA-N 0 0 282.252 2.687 20 5 CFBDRN C[Si](C)(C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000740959979 574276157 /nfs/dbraw/zinc/27/61/57/574276157.db2.gz PLRKMWLBSPJNBU-UHFFFAOYSA-N 0 0 271.320 2.768 20 5 CFBDRN CC(C)OCC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000749054017 574278059 /nfs/dbraw/zinc/27/80/59/574278059.db2.gz HPEKYVXQLUQPMB-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1c(COC(=O)C2CC=CC2)cccc1[N+](=O)[O-] ZINC000741043045 574279795 /nfs/dbraw/zinc/27/97/95/574279795.db2.gz NEVUSPYXLHZZBB-UHFFFAOYSA-N 0 0 261.277 2.913 20 5 CFBDRN COc1ccc(COc2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000749135892 574281654 /nfs/dbraw/zinc/28/16/54/574281654.db2.gz SHZNIEHXBASXSK-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN C[C@@H](CNC(=O)COc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000734333011 574282103 /nfs/dbraw/zinc/28/21/03/574282103.db2.gz CYEWIELXECTYEZ-NSHDSACASA-N 0 0 294.351 2.772 20 5 CFBDRN Cc1ccc([C@H](O)CNc2cccc(F)c2[N+](=O)[O-])o1 ZINC000741241772 574284197 /nfs/dbraw/zinc/28/41/97/574284197.db2.gz AXGCPIXBPHQRSP-LLVKDONJSA-N 0 0 280.255 2.781 20 5 CFBDRN CC(=O)c1ccc(NC2CCC(C)(O)CC2)c([N+](=O)[O-])c1 ZINC000749282299 574288002 /nfs/dbraw/zinc/28/80/02/574288002.db2.gz NTUQFGOGGIIVJD-UHFFFAOYSA-N 0 0 292.335 2.903 20 5 CFBDRN C/C=C/COC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000749315915 574289080 /nfs/dbraw/zinc/28/90/80/574289080.db2.gz NHXUVRHRLYHHRO-NSCUHMNNSA-N 0 0 260.249 2.809 20 5 CFBDRN Cc1cccc(C(=O)Nc2cc(Cl)[nH]n2)c1[N+](=O)[O-] ZINC000749392955 574292949 /nfs/dbraw/zinc/29/29/49/574292949.db2.gz OJSWUKRTPLQULJ-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)CCC1CC1 ZINC000734612285 574295614 /nfs/dbraw/zinc/29/56/14/574295614.db2.gz IEIRYSXDBZDESN-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN Cc1ocnc1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734896028 574307162 /nfs/dbraw/zinc/30/71/62/574307162.db2.gz BHHIMBDBUXDHCU-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1cccc(N)c1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000735151024 574315313 /nfs/dbraw/zinc/31/53/13/574315313.db2.gz XOWOZGYJCUQMTN-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCc1ccsc1 ZINC000744236676 574319598 /nfs/dbraw/zinc/31/95/98/574319598.db2.gz XCBXYFCENHGDSQ-UHFFFAOYSA-N 0 0 277.301 2.942 20 5 CFBDRN CCCCOC(=O)c1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC000735354868 574321643 /nfs/dbraw/zinc/32/16/43/574321643.db2.gz QLYPZQYFBZOEFB-UHFFFAOYSA-N 0 0 290.275 2.806 20 5 CFBDRN Cc1ccc2c(c1)N(C[C@@H](O)c1cccc([N+](=O)[O-])c1)CC2 ZINC000750095276 574324053 /nfs/dbraw/zinc/32/40/53/574324053.db2.gz JDIVOHATSJGWBT-QGZVFWFLSA-N 0 0 298.342 2.999 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1cccnc1[N+](=O)[O-] ZINC000742419219 574329182 /nfs/dbraw/zinc/32/91/82/574329182.db2.gz YAJVRWXCBNVNNE-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN CCOCCCOC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000735603437 574330688 /nfs/dbraw/zinc/33/06/88/574330688.db2.gz SBLXRUABYRWTRV-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000744337390 574331793 /nfs/dbraw/zinc/33/17/93/574331793.db2.gz AIKGXTIUTSWQQP-SSDOTTSWSA-N 0 0 277.198 2.631 20 5 CFBDRN CCCCOCC(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000735728823 574333997 /nfs/dbraw/zinc/33/39/97/574333997.db2.gz SIKAJSSYGBSWQR-UHFFFAOYSA-N 0 0 281.308 2.763 20 5 CFBDRN O=C(OCC1CC=CC1)c1ccc([N+](=O)[O-])cc1F ZINC000750359364 574335306 /nfs/dbraw/zinc/33/53/06/574335306.db2.gz CGSKGTDZKPRRHX-UHFFFAOYSA-N 0 0 265.240 2.857 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000742746997 574342578 /nfs/dbraw/zinc/34/25/78/574342578.db2.gz WSAOXEDQJIFUDZ-YUMQZZPRSA-N 0 0 286.378 2.670 20 5 CFBDRN Cc1cccnc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000750517898 574344833 /nfs/dbraw/zinc/34/48/33/574344833.db2.gz SWJKOLVIUGJURD-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCCCN1C)c1cccc([N+](=O)[O-])c1 ZINC000745080409 574347088 /nfs/dbraw/zinc/34/70/88/574347088.db2.gz AGFCOGNNDBAOJO-SMDDNHRTSA-N 0 0 292.335 2.683 20 5 CFBDRN CSCC[C@H](C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000736057891 574349211 /nfs/dbraw/zinc/34/92/11/574349211.db2.gz HPQQLHCRQGYEBV-OFSNVTPPSA-N 0 0 294.376 2.866 20 5 CFBDRN O=C(CCc1cccnc1)Nc1cc([N+](=O)[O-])ccc1F ZINC000725899950 574357652 /nfs/dbraw/zinc/35/76/52/574357652.db2.gz AXNNEXQCELASIQ-UHFFFAOYSA-N 0 0 289.266 2.700 20 5 CFBDRN CSCC[C@@H](C)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000736299770 574360716 /nfs/dbraw/zinc/36/07/16/574360716.db2.gz VLJDTLUGKUOASZ-SECBINFHSA-N 0 0 280.353 2.796 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)c2cnccn2)cccc1[N+](=O)[O-] ZINC000751156534 574370168 /nfs/dbraw/zinc/37/01/68/574370168.db2.gz JFTNYCXSXQRUAL-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C1CCC(=O)CC1 ZINC000751247678 574373018 /nfs/dbraw/zinc/37/30/18/574373018.db2.gz HQDKWUSRJXKESW-UHFFFAOYSA-N 0 0 295.266 2.536 20 5 CFBDRN CS/C=C\C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000751456923 574377103 /nfs/dbraw/zinc/37/71/03/574377103.db2.gz DFGGXPOZPQJQEU-WAYWQWQTSA-N 0 0 252.295 2.718 20 5 CFBDRN Cc1ccc(C(=O)OCC(=O)CC(C)C)cc1[N+](=O)[O-] ZINC000751622881 574380164 /nfs/dbraw/zinc/38/01/64/574380164.db2.gz GTCMIUIGYDZQFU-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN Cc1c(COC(=O)C2CCC(=O)CC2)cccc1[N+](=O)[O-] ZINC000751674776 574380871 /nfs/dbraw/zinc/38/08/71/574380871.db2.gz BMLGVLQLDKWNNS-UHFFFAOYSA-N 0 0 291.303 2.706 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1C[C@@H]1C1CC1 ZINC000751733380 574381720 /nfs/dbraw/zinc/38/17/20/574381720.db2.gz BPMKMPAGGWNPQU-ZJUUUORDSA-N 0 0 267.306 2.746 20 5 CFBDRN CC(C)OCCCC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000751748145 574382181 /nfs/dbraw/zinc/38/21/81/574382181.db2.gz LBSKRNVGAQHWNR-UHFFFAOYSA-N 0 0 287.337 2.905 20 5 CFBDRN COc1ccc(CC(=O)OC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000751853448 574383870 /nfs/dbraw/zinc/38/38/70/574383870.db2.gz HUAPSCNLYAKGJB-UHFFFAOYSA-N 0 0 291.303 2.632 20 5 CFBDRN CC[C@H](C)OCC(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000751873638 574384036 /nfs/dbraw/zinc/38/40/36/574384036.db2.gz FJTDQZHHYAULDT-JTQLQIEISA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1c(CO)cc([N+](=O)[O-])c(NCC2(C)CC2)c1[N+](=O)[O-] ZINC000751966824 574386037 /nfs/dbraw/zinc/38/60/37/574386037.db2.gz FGLODIVHUHTWOG-UHFFFAOYSA-N 0 0 295.295 2.516 20 5 CFBDRN CO[C@@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2Cl)C1 ZINC000751969811 574386069 /nfs/dbraw/zinc/38/60/69/574386069.db2.gz WBWPMECBYMOUEE-SECBINFHSA-N 0 0 270.716 2.782 20 5 CFBDRN CCCC(=O)COC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000752346966 574390581 /nfs/dbraw/zinc/39/05/81/574390581.db2.gz FECBEJIJSVISBN-LUAWRHEFSA-N 0 0 291.303 2.911 20 5 CFBDRN CCSCCOC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000752556337 574392750 /nfs/dbraw/zinc/39/27/50/574392750.db2.gz ZCYIURRLOIXENO-UHFFFAOYSA-N 0 0 287.312 2.952 20 5 CFBDRN O=C(OCCC(F)(F)F)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752560942 574392864 /nfs/dbraw/zinc/39/28/64/574392864.db2.gz ZLJUMUUORYNSJV-UHFFFAOYSA-N 0 0 299.151 2.982 20 5 CFBDRN CS[C@@H](C)CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000752768858 574394985 /nfs/dbraw/zinc/39/49/85/574394985.db2.gz CDZGNOKAYADCMT-QMMMGPOBSA-N 0 0 285.394 2.633 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])nc1 ZINC000753071687 574398153 /nfs/dbraw/zinc/39/81/53/574398153.db2.gz ORIVCWOEPJUSKE-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN O=C(COC(=O)[C@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000753490484 574403137 /nfs/dbraw/zinc/40/31/37/574403137.db2.gz PLFSWUWIHAEMPY-NSHDSACASA-N 0 0 289.287 2.677 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)C1CC(F)(F)C1 ZINC000753632768 574407137 /nfs/dbraw/zinc/40/71/37/574407137.db2.gz LLLKTMBFRKDQMJ-UHFFFAOYSA-N 0 0 274.198 2.718 20 5 CFBDRN O=C(NC1CC(F)(F)C1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935649218 649926191 /nfs/dbraw/zinc/92/61/91/649926191.db2.gz PWFZFYHWVARBAV-RYUDHWBXSA-N 0 0 296.273 2.612 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CSC1COC1 ZINC000901632969 620875752 /nfs/dbraw/zinc/87/57/52/620875752.db2.gz UIBHORJKMCTSQA-UHFFFAOYSA-N 0 0 259.714 2.880 20 5 CFBDRN Cc1c([C@H](C)[NH2+]CCCN(C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000829859018 604263582 /nfs/dbraw/zinc/26/35/82/604263582.db2.gz HHWCTZMSRSDWFX-NSHDSACASA-N 0 0 295.339 2.554 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000935782589 649943745 /nfs/dbraw/zinc/94/37/45/649943745.db2.gz RLLVQPZQKIAPBA-CMPLNLGQSA-N 0 0 292.360 2.845 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N[C@@H]1C[C@H]1C1CC1 ZINC000935782596 649943985 /nfs/dbraw/zinc/94/39/85/649943985.db2.gz RSDOKDFHAVIEBF-QWHCGFSZSA-N 0 0 292.310 2.581 20 5 CFBDRN CC(C)(CC(=O)[O-])[NH2+]Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000826538307 604441873 /nfs/dbraw/zinc/44/18/73/604441873.db2.gz PIFVVPMDZBVNNO-UHFFFAOYSA-N 0 0 286.715 2.591 20 5 CFBDRN C[N@H+](Cc1c(F)cccc1[N+](=O)[O-])C1(C(=O)[O-])CCCC1 ZINC000830806599 604457832 /nfs/dbraw/zinc/45/78/32/604457832.db2.gz RRZCSADJUXVARC-UHFFFAOYSA-N 0 0 296.298 2.563 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935785679 649944590 /nfs/dbraw/zinc/94/45/90/649944590.db2.gz RUAGRWGLGZRISB-CBBWQLFWSA-N 0 0 286.331 2.613 20 5 CFBDRN CC1=CCCN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935792157 649945943 /nfs/dbraw/zinc/94/59/43/649945943.db2.gz OVMIZEAOTZLXSG-LSDHHAIUSA-N 0 0 286.331 2.877 20 5 CFBDRN O=C([O-])[C@@H]1CCCC[N@@H+]1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000263378753 604782516 /nfs/dbraw/zinc/78/25/16/604782516.db2.gz BDBBBBSPHPRQOZ-NSHDSACASA-N 0 0 298.726 2.687 20 5 CFBDRN CC[N@H+](CCC(=O)[O-])Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000077253473 604784660 /nfs/dbraw/zinc/78/46/60/604784660.db2.gz KCHIUHYHHYNKJD-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN C[C@@]([NH2+]Cc1ccc(Cl)c([N+](=O)[O-])c1)(C(=O)[O-])C1CC1 ZINC000827740641 604787548 /nfs/dbraw/zinc/78/75/48/604787548.db2.gz ABERTOGCLNXSEM-ZDUSSCGKSA-N 0 0 298.726 2.591 20 5 CFBDRN C/C(=C\C[N@H+](Cc1ccc([N+](=O)[O-])cc1)C1CC1)C(=O)[O-] ZINC000833381458 605051569 /nfs/dbraw/zinc/05/15/69/605051569.db2.gz CNIRJDRUAMZYIX-DHZHZOJOSA-N 0 0 290.319 2.590 20 5 CFBDRN CC(C)C[C@H](C)Nc1ccc(-c2nn[nH]n2)cc1[N+](=O)[O-] ZINC000824230053 607731270 /nfs/dbraw/zinc/73/12/70/607731270.db2.gz YSVHMSXJMMNSNS-VIFPVBQESA-N 0 0 290.327 2.621 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cn1cc(C2CCC2)nn1 ZINC000900547132 620685556 /nfs/dbraw/zinc/68/55/56/620685556.db2.gz PQCACIIUWCZXCR-UHFFFAOYSA-N 0 0 276.271 2.641 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CC=CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000890767546 617858019 /nfs/dbraw/zinc/85/80/19/617858019.db2.gz RPXSJKAPVBCWEA-ZYHUDNBSSA-N 0 0 289.335 2.721 20 5 CFBDRN O=C(CCF)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000900840499 620719897 /nfs/dbraw/zinc/71/98/97/620719897.db2.gz ZIAGUIUGCXSGPS-LBPRGKRZSA-N 0 0 284.312 2.528 20 5 CFBDRN O=C(NCCC1CC1)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000900866714 620726864 /nfs/dbraw/zinc/72/68/64/620726864.db2.gz IOCOMMJIAMEFLA-UHFFFAOYSA-N 0 0 286.690 2.917 20 5 CFBDRN CCC1(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)COC1 ZINC000923773340 617963777 /nfs/dbraw/zinc/96/37/77/617963777.db2.gz JTPMPYGDPATZDH-UHFFFAOYSA-N 0 0 289.291 2.614 20 5 CFBDRN C[C@@H](CCCO)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235100683 618082988 /nfs/dbraw/zinc/08/29/88/618082988.db2.gz YSQFDLIFAUPGBP-ZETCQYMHSA-N 0 0 276.695 2.960 20 5 CFBDRN COc1cccc(COc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000083774503 618109183 /nfs/dbraw/zinc/10/91/83/618109183.db2.gz BRCGLLYLAMIBGQ-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])OC1(C2CC2)CC1 ZINC000083771082 618109204 /nfs/dbraw/zinc/10/92/04/618109204.db2.gz JQVHRZGLZLPPMA-UHFFFAOYSA-N 0 0 290.319 2.883 20 5 CFBDRN CN(Cc1ccsc1)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050202025 618086313 /nfs/dbraw/zinc/08/63/13/618086313.db2.gz MSISHCOJLYOZHH-UHFFFAOYSA-N 0 0 291.332 2.511 20 5 CFBDRN CO[C@H](C)c1cccc(Nc2c([N+](=O)[O-])cnn2C)c1 ZINC000892280014 618086528 /nfs/dbraw/zinc/08/65/28/618086528.db2.gz JHDOIURPGTYULQ-SECBINFHSA-N 0 0 276.296 2.779 20 5 CFBDRN C[C@@H](CNc1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000891511161 617644843 /nfs/dbraw/zinc/64/48/43/617644843.db2.gz QBIAERLLVSNOJF-JTQLQIEISA-N 0 0 260.297 2.544 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC(Cc2ccoc2)C1 ZINC000890567781 617658983 /nfs/dbraw/zinc/65/89/83/617658983.db2.gz DIIPJBSOQUWMIT-UHFFFAOYSA-N 0 0 286.287 2.503 20 5 CFBDRN CCOCCC(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000074719072 617741006 /nfs/dbraw/zinc/74/10/06/617741006.db2.gz SRGSTSFLJZBLDL-SNVBAGLBSA-N 0 0 267.281 2.626 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1c1ccc(N)cc1[N+](=O)[O-] ZINC000804679929 617800498 /nfs/dbraw/zinc/80/04/98/617800498.db2.gz KWGPQZNPCMAAMO-VXNVDRBHSA-N 0 0 289.257 2.954 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H]1C1CC1 ZINC000804682239 617804314 /nfs/dbraw/zinc/80/43/14/617804314.db2.gz LYEJHPLLGKPYPB-DTWKUNHWSA-N 0 0 263.253 2.713 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@@H]2C[C@@H]21 ZINC000804688450 617812390 /nfs/dbraw/zinc/81/23/90/617812390.db2.gz JZEFWQDQVRPCRV-RNCFNFMXSA-N 0 0 255.277 2.742 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000778359032 617842188 /nfs/dbraw/zinc/84/21/88/617842188.db2.gz CDWZPOZPNRTGSG-WPRPVWTQSA-N 0 0 299.348 2.937 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000890769318 617862446 /nfs/dbraw/zinc/86/24/46/617862446.db2.gz RCYYSCFXACDLOO-NOZJJQNGSA-N 0 0 278.283 2.818 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000890772507 617871360 /nfs/dbraw/zinc/87/13/60/617871360.db2.gz WJKARJVCHVRXND-DGCLKSJQSA-N 0 0 274.320 2.608 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000804780908 617879039 /nfs/dbraw/zinc/87/90/39/617879039.db2.gz FUEWAVQBQWNPOA-PHIMTYICSA-N 0 0 250.298 2.517 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@@H]3C[C@@H]32)c1 ZINC000804785206 617880881 /nfs/dbraw/zinc/88/08/81/617880881.db2.gz ZRXIIWWXMHKPDT-KCJUWKMLSA-N 0 0 266.272 2.731 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000891969629 617890051 /nfs/dbraw/zinc/89/00/51/617890051.db2.gz QOQHRQUGHQLULG-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN CCCCc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813101670 617923780 /nfs/dbraw/zinc/92/37/80/617923780.db2.gz GRTDLKRRBRUHNC-NSHDSACASA-N 0 0 265.309 2.851 20 5 CFBDRN CCc1ccc([C@@H](C)CC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813103986 617924417 /nfs/dbraw/zinc/92/44/17/617924417.db2.gz VRUKNRQSONGBCU-RYUDHWBXSA-N 0 0 279.336 2.951 20 5 CFBDRN CSc1ccc(C)c(C(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000813102019 617924537 /nfs/dbraw/zinc/92/45/37/617924537.db2.gz NCBAORYNJQVSTQ-VIFPVBQESA-N 0 0 269.322 2.539 20 5 CFBDRN C[C@@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(F)cc1F ZINC000813104608 617925488 /nfs/dbraw/zinc/92/54/88/617925488.db2.gz PBJBXDZMJDMUOK-IUCAKERBSA-N 0 0 287.262 2.667 20 5 CFBDRN C[C@H](COC(=O)c1cc2ccc(F)cc2s1)[N+](=O)[O-] ZINC000813110286 617936254 /nfs/dbraw/zinc/93/62/54/617936254.db2.gz WOCVLDMJBIQNMR-SSDOTTSWSA-N 0 0 283.280 2.862 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSC2=Nc3ccccc3C2)n1 ZINC000178521660 617976175 /nfs/dbraw/zinc/97/61/75/617976175.db2.gz JQXPZGBIQJGUSH-UHFFFAOYSA-N 0 0 288.332 2.811 20 5 CFBDRN CCCCO[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000065531503 617978679 /nfs/dbraw/zinc/97/86/79/617978679.db2.gz ALWXRCFDBHOXHO-LLVKDONJSA-N 0 0 281.308 2.843 20 5 CFBDRN Cc1nn(C)c(Cl)c1CNCc1ccc([N+](=O)[O-])cc1 ZINC000075820490 617988076 /nfs/dbraw/zinc/98/80/76/617988076.db2.gz UYJUXAYSXMVBOO-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN Cc1[nH]nc2c1CCCN2Cc1cc(F)ccc1[N+](=O)[O-] ZINC000934307339 617994833 /nfs/dbraw/zinc/99/48/33/617994833.db2.gz GBBHLHMKHLQEFW-UHFFFAOYSA-N 0 0 290.298 2.718 20 5 CFBDRN CCOC(=O)CN(CC)c1ccc([N+](=O)[O-])cc1Cl ZINC000050071516 618054751 /nfs/dbraw/zinc/05/47/51/618054751.db2.gz ZOKDADDWPJLHGG-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN O=C(NCc1ccccc1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037339 618062139 /nfs/dbraw/zinc/06/21/39/618062139.db2.gz DWDJDZPNHOZAKZ-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN C[C@H](NC(=O)C1(C2CC2)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000891245176 618077904 /nfs/dbraw/zinc/07/79/04/618077904.db2.gz ZCBGVTUYQFBIGR-JTQLQIEISA-N 0 0 274.320 2.962 20 5 CFBDRN C[C@@H](O)CCN(C)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235229386 618102023 /nfs/dbraw/zinc/10/20/23/618102023.db2.gz RKTQUQSHDJUEAJ-SSDOTTSWSA-N 0 0 276.695 2.594 20 5 CFBDRN Cc1cc(COC(=O)/C=C/C2CC2)ccc1[N+](=O)[O-] ZINC000781170580 618135581 /nfs/dbraw/zinc/13/55/81/618135581.db2.gz TUKKXKAGHVCHAE-FNORWQNLSA-N 0 0 261.277 2.913 20 5 CFBDRN CC[C@H](CC(=O)Nc1c([N+](=O)[O-])ncn1C)C(C)(C)C ZINC000891345030 618141706 /nfs/dbraw/zinc/14/17/06/618141706.db2.gz RFVCBVJOCDEVRV-SECBINFHSA-N 0 0 282.344 2.729 20 5 CFBDRN CO[C@@H](C)CC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177868415 618144120 /nfs/dbraw/zinc/14/41/20/618144120.db2.gz JTIVXXMPQJJDNQ-QWRGUYRKSA-N 0 0 280.324 2.505 20 5 CFBDRN Cc1ccccc1C1=N/C(=C\c2ccc([N+](=O)[O-])o2)C(=O)O1 ZINC000013927338 618151185 /nfs/dbraw/zinc/15/11/85/618151185.db2.gz UMSZOWQFIVALCV-WQLSENKSSA-N 0 0 298.254 2.841 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2cnc(C)cn2)c([N+](=O)[O-])c1 ZINC000022368157 618160438 /nfs/dbraw/zinc/16/04/38/618160438.db2.gz IZFLKQGDWDVZTA-UHFFFAOYSA-N 0 0 287.275 2.529 20 5 CFBDRN CN(Cc1ncc(Cl)n1C)Cc1ccccc1[N+](=O)[O-] ZINC000046599061 618163784 /nfs/dbraw/zinc/16/37/84/618163784.db2.gz KNIVIEJPODHPTB-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@H]2CC2CC2)c(F)c1 ZINC000933210709 618170033 /nfs/dbraw/zinc/17/00/33/618170033.db2.gz UPSMMMNGAHAULE-CQSZACIVSA-N 0 0 294.326 2.735 20 5 CFBDRN Nc1ncc([N+](=O)[O-])cc1C(=O)OCCCC1CCCC1 ZINC000781666738 618176522 /nfs/dbraw/zinc/17/65/22/618176522.db2.gz ANAFKHNUPBQVTH-UHFFFAOYSA-N 0 0 293.323 2.699 20 5 CFBDRN O=C(C=C1CCC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162206932 618211853 /nfs/dbraw/zinc/21/18/53/618211853.db2.gz YWHQFBUOBVMBMG-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000103686470 618248801 /nfs/dbraw/zinc/24/88/01/618248801.db2.gz DJVPZSLZSZAIFS-UHLPNAGUSA-N 0 0 261.277 2.807 20 5 CFBDRN CC(C)[C@H](O)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000232732332 618249288 /nfs/dbraw/zinc/24/92/88/618249288.db2.gz WDNUEPBILHIJJA-LLVKDONJSA-N 0 0 276.695 2.816 20 5 CFBDRN COc1nn(C)cc1NCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000893960130 618253676 /nfs/dbraw/zinc/25/36/76/618253676.db2.gz QBKUEXGZMIEBIS-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN COC(=O)/C(=C\c1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000255671524 618258441 /nfs/dbraw/zinc/25/84/41/618258441.db2.gz VWYYTIYAQQUHDN-UVTDQMKNSA-N 0 0 284.271 2.703 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC1(OC(C)C)CCC1 ZINC000895071985 618259793 /nfs/dbraw/zinc/25/97/93/618259793.db2.gz NZHOMWHJIGQHMV-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN COC/C(C)=C\CNc1cc([N+](=O)[O-])ccc1OC ZINC000901348018 620791666 /nfs/dbraw/zinc/79/16/66/620791666.db2.gz HGSGRHLHVQCBIO-POHAHGRESA-N 0 0 266.297 2.608 20 5 CFBDRN Cc1nc(C(=O)Oc2cccc([N+](=O)[O-])c2)c(C)s1 ZINC000178991540 618286233 /nfs/dbraw/zinc/28/62/33/618286233.db2.gz BUAOVHTUGHQEAG-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN COCCCCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255840133 618334324 /nfs/dbraw/zinc/33/43/24/618334324.db2.gz ARDJLRPZHCVXMR-YFHOEESVSA-N 0 0 293.319 2.968 20 5 CFBDRN C[C@H](O)CSc1c2cc(F)ccc2ncc1[N+](=O)[O-] ZINC000895123274 618336449 /nfs/dbraw/zinc/33/64/49/618336449.db2.gz DTOWVEILJTYLSL-ZETCQYMHSA-N 0 0 282.296 2.755 20 5 CFBDRN CC(C)[C@H](O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000310352103 618341384 /nfs/dbraw/zinc/34/13/84/618341384.db2.gz PPCPUWXYFUPACV-LLVKDONJSA-N 0 0 259.689 2.644 20 5 CFBDRN C[C@H]1CCC[C@H](CCOC(=O)Cn2cc([N+](=O)[O-])cn2)C1 ZINC000177133087 618350223 /nfs/dbraw/zinc/35/02/23/618350223.db2.gz IABIKZQCPSLIKA-NWDGAFQWSA-N 0 0 295.339 2.551 20 5 CFBDRN COC/C(C)=C\COc1cc([N+](=O)[O-])ccc1OC ZINC000901378886 620799329 /nfs/dbraw/zinc/79/93/29/620799329.db2.gz ZPSOZPBOBITMMI-POHAHGRESA-N 0 0 267.281 2.575 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ncn(C/C=C\Cl)n2)c1 ZINC000255863584 618373666 /nfs/dbraw/zinc/37/36/66/618373666.db2.gz GKKDUQXSVUEKFW-DJWKRKHSSA-N 0 0 264.672 2.606 20 5 CFBDRN CN(C[C@H](O)c1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000062351143 618375951 /nfs/dbraw/zinc/37/59/51/618375951.db2.gz NGQVBDROQHADEI-HNNXBMFYSA-N 0 0 272.304 2.765 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2CC[C@H](C)C2)c1F ZINC000235629144 618392586 /nfs/dbraw/zinc/39/25/86/618392586.db2.gz JLPCTHIDJGTOTR-WCBMZHEXSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2CC[C@H](C)C2)c1F ZINC000235629663 618392716 /nfs/dbraw/zinc/39/27/16/618392716.db2.gz JLPCTHIDJGTOTR-WPRPVWTQSA-N 0 0 280.299 2.961 20 5 CFBDRN CCOC(=O)CCCOc1cc([N+](=O)[O-])ccc1Cl ZINC000177329201 618402038 /nfs/dbraw/zinc/40/20/38/618402038.db2.gz KTBWCYCWUGBQBV-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN CCCN(C)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000931109505 618413985 /nfs/dbraw/zinc/41/39/85/618413985.db2.gz VOVGIFOUZJFMRN-STQMWFEESA-N 0 0 262.309 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccccc2F)c2nonc21 ZINC000015165854 618430740 /nfs/dbraw/zinc/43/07/40/618430740.db2.gz HPMMTEBZSZXTDO-UHFFFAOYSA-N 0 0 288.238 2.882 20 5 CFBDRN CC(C)OC(=O)[C@H](C)N(C)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000177500171 618434878 /nfs/dbraw/zinc/43/48/78/618434878.db2.gz JKGAUHSGUQQWHU-JTQLQIEISA-N 0 0 298.314 2.506 20 5 CFBDRN COc1c(O)ccc(CN2CCC(C)=C(C)C2)c1[N+](=O)[O-] ZINC000894193625 618435616 /nfs/dbraw/zinc/43/56/16/618435616.db2.gz GABQWFGWLKOKGW-UHFFFAOYSA-N 0 0 292.335 2.851 20 5 CFBDRN CO[C@@H](C)CCC(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000782429550 618436832 /nfs/dbraw/zinc/43/68/32/618436832.db2.gz APTSFGOLVXTTMH-JTQLQIEISA-N 0 0 281.308 2.762 20 5 CFBDRN CC(C)(CO)Cc1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901396730 620805422 /nfs/dbraw/zinc/80/54/22/620805422.db2.gz XBUOMSDXIRUYQP-UHFFFAOYSA-N 0 0 276.292 2.811 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H](C)CC(F)(F)F ZINC000894198350 618440549 /nfs/dbraw/zinc/44/05/49/618440549.db2.gz LJCNUSBJNAUESE-SSDOTTSWSA-N 0 0 293.245 2.999 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)ONC(=O)C1CCC1 ZINC000842115840 618447016 /nfs/dbraw/zinc/44/70/16/618447016.db2.gz JAHJHNAKPAZMEM-SNVBAGLBSA-N 0 0 278.308 2.812 20 5 CFBDRN COc1ccc(C(=O)NC2CCC=CCC2)cc1[N+](=O)[O-] ZINC000895205016 618470285 /nfs/dbraw/zinc/47/02/85/618470285.db2.gz OPITVEYLAQFRCV-UHFFFAOYSA-N 0 0 290.319 2.832 20 5 CFBDRN CC1(C)C(CNC(=O)c2cc([N+](=O)[O-])ccc2N)C1(C)C ZINC000092832753 618475649 /nfs/dbraw/zinc/47/56/49/618475649.db2.gz WQLYAQOBBSTJCM-UHFFFAOYSA-N 0 0 291.351 2.589 20 5 CFBDRN CC(C)(C)OCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000164768573 618482359 /nfs/dbraw/zinc/48/23/59/618482359.db2.gz UNVXRCDJQMMICE-UHFFFAOYSA-N 0 0 288.250 2.627 20 5 CFBDRN CCc1ccc(C(=O)N(C)CCCF)cc1[N+](=O)[O-] ZINC000895289987 618512645 /nfs/dbraw/zinc/51/26/45/618512645.db2.gz BFNXOKJASBHJCB-UHFFFAOYSA-N 0 0 268.288 2.589 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC000895395155 618566414 /nfs/dbraw/zinc/56/64/14/618566414.db2.gz XMFGXQVUOHIQHP-CJNGLKHVSA-N 0 0 281.315 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H]2C(F)F)c(F)c1 ZINC000895431176 618579554 /nfs/dbraw/zinc/57/95/54/618579554.db2.gz KQTJQJXVSAPHEP-JTQLQIEISA-N 0 0 260.215 2.573 20 5 CFBDRN O=C(OCCn1cc([N+](=O)[O-])cn1)C1CCCCCCC1 ZINC000177794061 618606534 /nfs/dbraw/zinc/60/65/34/618606534.db2.gz PHCXKYZBKZJSDV-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN CCC1(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1 ZINC000178153817 618627447 /nfs/dbraw/zinc/62/74/47/618627447.db2.gz CKHUJBUZNBNNRV-VMPITWQZSA-N 0 0 274.320 2.914 20 5 CFBDRN CC(C)Oc1ccc(Oc2c([N+](=O)[O-])cnn2C)cc1 ZINC000892580134 618641351 /nfs/dbraw/zinc/64/13/51/618641351.db2.gz FFXYRTYRLLUCNI-UHFFFAOYSA-N 0 0 277.280 2.908 20 5 CFBDRN Cc1cccnc1COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000180259792 618647500 /nfs/dbraw/zinc/64/75/00/618647500.db2.gz DIDLJVNHRZVCGE-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CSC[C@H](C)Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000892603472 618650485 /nfs/dbraw/zinc/65/04/85/618650485.db2.gz NCSDVNJDZPQTSJ-ZETCQYMHSA-N 0 0 271.298 2.666 20 5 CFBDRN O=C(Nc1nccc2ccc([N+](=O)[O-])cc21)[C@H]1CC12CC2 ZINC000892639227 618667136 /nfs/dbraw/zinc/66/71/36/618667136.db2.gz MFDVYNZITLBIMQ-GFCCVEGCSA-N 0 0 283.287 2.882 20 5 CFBDRN CCOCCC(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892644267 618669250 /nfs/dbraw/zinc/66/92/50/618669250.db2.gz PNMFVSJWVCUYMD-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892645844 618671242 /nfs/dbraw/zinc/67/12/42/618671242.db2.gz HKOJDJKPCGSGDS-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN CC(C)c1cccc(C(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000851037356 618678519 /nfs/dbraw/zinc/67/85/19/618678519.db2.gz UHHPGTWBMVXGQU-SNVBAGLBSA-N 0 0 251.282 2.632 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CC[C@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000851128734 618689454 /nfs/dbraw/zinc/68/94/54/618689454.db2.gz DCEUZMWVPWRAFD-YUMQZZPRSA-N 0 0 283.246 2.564 20 5 CFBDRN O=C(Cc1cccc(F)c1)Oc1cccc([N+](=O)[O-])c1 ZINC000072508356 618700339 /nfs/dbraw/zinc/70/03/39/618700339.db2.gz HJSUNCBKPBKVMS-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN Cc1ccc(CC[C@H](C)Nc2c([N+](=O)[O-])cnn2C)o1 ZINC000892694107 618714713 /nfs/dbraw/zinc/71/47/13/618714713.db2.gz ICAVOZVGIIQFHH-VIFPVBQESA-N 0 0 278.312 2.663 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H](O)c1ccsc1 ZINC000311843934 618726525 /nfs/dbraw/zinc/72/65/25/618726525.db2.gz NVYJDQWFLBZFQT-LLVKDONJSA-N 0 0 282.296 2.941 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2cnc(C3CC3)n2)ccc1Cl ZINC000311898890 618727670 /nfs/dbraw/zinc/72/76/70/618727670.db2.gz QAMUVSWHPOIVMD-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000311910817 618728832 /nfs/dbraw/zinc/72/88/32/618728832.db2.gz CDMFPBSQDANJOH-LLVKDONJSA-N 0 0 266.272 2.652 20 5 CFBDRN CCOc1cc(NC(=O)[C@H]2C[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000892740647 618738029 /nfs/dbraw/zinc/73/80/29/618738029.db2.gz ODLSZWWFVOAMIM-NEPJUHHUSA-N 0 0 290.319 2.978 20 5 CFBDRN CCO[C@@H](CCNc1c([N+](=O)[O-])cnn1C)C1CCCC1 ZINC000892777108 618744703 /nfs/dbraw/zinc/74/47/03/618744703.db2.gz AWOIAMOLVCAQIF-ZDUSSCGKSA-N 0 0 296.371 2.726 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000892899428 618765330 /nfs/dbraw/zinc/76/53/30/618765330.db2.gz LGNLLDIRTODBQD-DTORHVGOSA-N 0 0 265.269 2.880 20 5 CFBDRN COCCC1(CNc2ncc([N+](=O)[O-])cc2OC)CCC1 ZINC000892913499 618768458 /nfs/dbraw/zinc/76/84/58/618768458.db2.gz DEKPWCDGZGPFQH-UHFFFAOYSA-N 0 0 295.339 2.617 20 5 CFBDRN CC(C)(C)C[C@H](O)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784326178 618770629 /nfs/dbraw/zinc/77/06/29/618770629.db2.gz SSVICQKLMNUMGR-LBPRGKRZSA-N 0 0 299.298 2.574 20 5 CFBDRN C[C@@H](COC(=O)CCCCc1ccccc1)[N+](=O)[O-] ZINC000893046421 618813848 /nfs/dbraw/zinc/81/38/48/618813848.db2.gz BDHVWWPBCVEVEW-LBPRGKRZSA-N 0 0 265.309 2.608 20 5 CFBDRN C[C@@H](COC(=O)CC(C)(C)CC(F)(F)F)[N+](=O)[O-] ZINC000893047481 618814670 /nfs/dbraw/zinc/81/46/70/618814670.db2.gz LRERUYNIYDOYEE-ZETCQYMHSA-N 0 0 271.235 2.564 20 5 CFBDRN Cc1ccc([C@H]2CCN(c3c([N+](=O)[O-])cnn3C)C2)cc1 ZINC000893143504 618845586 /nfs/dbraw/zinc/84/55/86/618845586.db2.gz ZXRVWJFQWHFJES-ZDUSSCGKSA-N 0 0 286.335 2.631 20 5 CFBDRN CC(C)(COC(=O)CCCC(=O)c1ccccc1)[N+](=O)[O-] ZINC000893158749 618852015 /nfs/dbraw/zinc/85/20/15/618852015.db2.gz JITHDXPIXPBNSM-UHFFFAOYSA-N 0 0 293.319 2.638 20 5 CFBDRN CCCN(CC)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000202105109 618864608 /nfs/dbraw/zinc/86/46/08/618864608.db2.gz BWCKOWUPXKRGOK-UHFFFAOYSA-N 0 0 253.258 2.739 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@@H](C)CO1)c1ccccc1[N+](=O)[O-] ZINC000893221951 618892273 /nfs/dbraw/zinc/89/22/73/618892273.db2.gz BHDQRRFYBYGOGS-NRUUGDAUSA-N 0 0 279.292 2.624 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CCCC3(CC3)C2)c1 ZINC000893236303 618898070 /nfs/dbraw/zinc/89/80/70/618898070.db2.gz APMSSHKKVFWXIT-UHFFFAOYSA-N 0 0 277.280 2.883 20 5 CFBDRN CC(C)(C)SCCNc1ccncc1[N+](=O)[O-] ZINC000066817367 618911051 /nfs/dbraw/zinc/91/10/51/618911051.db2.gz STDHBSHYYHBAAW-UHFFFAOYSA-N 0 0 255.343 2.933 20 5 CFBDRN C[C@@H]1CN(c2ccc(C(F)F)cc2[N+](=O)[O-])CC[C@@H]1O ZINC000312261980 618914938 /nfs/dbraw/zinc/91/49/38/618914938.db2.gz OPTUZTDUJCEVJY-PELKAZGASA-N 0 0 286.278 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccccc2[N+](=O)[O-])n1 ZINC000162729004 618934961 /nfs/dbraw/zinc/93/49/61/618934961.db2.gz USFBEZSQLDWXLH-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CC[C@@H]1C(F)F ZINC000931421821 618966024 /nfs/dbraw/zinc/96/60/24/618966024.db2.gz RIFDZMJOBYCFBD-LDYMZIIASA-N 0 0 256.252 2.995 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@H]1CC1(Cl)Cl)[N+](=O)[O-] ZINC000857383180 618973359 /nfs/dbraw/zinc/97/33/59/618973359.db2.gz LPCKWTSNLZAVLP-QMMMGPOBSA-N 0 0 297.182 2.522 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(F)(F)C1 ZINC000313316037 618979572 /nfs/dbraw/zinc/97/95/72/618979572.db2.gz SXJXPGCEQNLUQS-UHFFFAOYSA-N 0 0 290.653 2.729 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@@H]1CCC2(CCCCC2)O1 ZINC000893454440 618999519 /nfs/dbraw/zinc/99/95/19/618999519.db2.gz VGHFYWRVFLJRHX-NSHDSACASA-N 0 0 294.355 2.622 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@@H]1CC12CCC2 ZINC000893469138 619009996 /nfs/dbraw/zinc/00/99/96/619009996.db2.gz MZGAGABBQPWHRZ-LBPRGKRZSA-N 0 0 275.304 2.871 20 5 CFBDRN C[C@H](COC(=O)CCC(C)(C)[N+](=O)[O-])[C@H]1CCCCO1 ZINC000893509964 619028422 /nfs/dbraw/zinc/02/84/22/619028422.db2.gz AOYNVINERRZMIK-VXGBXAGGSA-N 0 0 287.356 2.570 20 5 CFBDRN CO[C@@]1(C)CCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000893591523 619082103 /nfs/dbraw/zinc/08/21/03/619082103.db2.gz GVNARRRNRKQIOB-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN CCC1(CC)CN(Cn2cc([N+](=O)[O-])c(C)n2)CCS1 ZINC000767479036 625317023 /nfs/dbraw/zinc/31/70/23/625317023.db2.gz MBVAXHHVHNLSBE-UHFFFAOYSA-N 0 0 298.412 2.665 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@]12C[C@H]1CCC2 ZINC000893625303 619111596 /nfs/dbraw/zinc/11/15/96/619111596.db2.gz NNHOBFFVFUYBCU-DOMZBBRYSA-N 0 0 275.304 2.871 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233844680 619184297 /nfs/dbraw/zinc/18/42/97/619184297.db2.gz ILOFNIBJSKWGGU-MRVPVSSYSA-N 0 0 255.245 2.998 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H]1CCCSC1 ZINC000893874722 619232957 /nfs/dbraw/zinc/23/29/57/619232957.db2.gz SGHFHJPLFQQJAI-SECBINFHSA-N 0 0 283.353 2.554 20 5 CFBDRN CCOc1cc(N2CC3(C2)CCOCC3)ccc1[N+](=O)[O-] ZINC000893937785 619247883 /nfs/dbraw/zinc/24/78/83/619247883.db2.gz KWLMWYLYANHRSW-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN CCCCC[C@H](C)NC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000726496248 619249590 /nfs/dbraw/zinc/24/95/90/619249590.db2.gz QNFOEGOPHZEKNR-NSHDSACASA-N 0 0 296.371 2.611 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000726511275 619250340 /nfs/dbraw/zinc/25/03/40/619250340.db2.gz PWAHRWBVHWDDMG-UHFFFAOYSA-N 0 0 292.266 2.989 20 5 CFBDRN Cc1ccoc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000016416324 619288950 /nfs/dbraw/zinc/28/89/50/619288950.db2.gz DYFYRNMALPJASY-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c1[N+](=O)[O-] ZINC000928044407 619301490 /nfs/dbraw/zinc/30/14/90/619301490.db2.gz QHCPHNPJSKEGHL-DRYIUFOISA-N 0 0 292.310 2.817 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCO[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000928248266 619328300 /nfs/dbraw/zinc/32/83/00/619328300.db2.gz IPYCSPHTFHBERO-NQBHXWOUSA-N 0 0 292.335 2.587 20 5 CFBDRN CCC[C@H](OCC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246280 619328348 /nfs/dbraw/zinc/32/83/48/619328348.db2.gz GPRDLWKMAGLPCD-RISCZKNCSA-N 0 0 294.351 2.977 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@H]1C[C@@H]1c1ccccc1 ZINC000894350197 619345208 /nfs/dbraw/zinc/34/52/08/619345208.db2.gz XYGCQXKSACQBOS-VXGBXAGGSA-N 0 0 272.308 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(NCC[C@H]2CC2(F)F)cc([N+](=O)[O-])c1 ZINC000894673833 619441855 /nfs/dbraw/zinc/44/18/55/619441855.db2.gz QHSJICSYGCJRAT-ZETCQYMHSA-N 0 0 287.222 2.960 20 5 CFBDRN CC(C)(F)CNc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000894856938 619485395 /nfs/dbraw/zinc/48/53/95/619485395.db2.gz RHDZQAJOFQVUKC-UHFFFAOYSA-N 0 0 257.221 2.663 20 5 CFBDRN Cc1cc(C)nc(C2CN(c3sccc3[N+](=O)[O-])C2)n1 ZINC000894895363 619502467 /nfs/dbraw/zinc/50/24/67/619502467.db2.gz BLBYVHBDAHMFNR-UHFFFAOYSA-N 0 0 290.348 2.667 20 5 CFBDRN Cc1nc2c(s1)CN(c1nccc(C)c1[N+](=O)[O-])C2 ZINC000894901856 619507197 /nfs/dbraw/zinc/50/71/97/619507197.db2.gz IASMJBXHWSHROW-UHFFFAOYSA-N 0 0 276.321 2.583 20 5 CFBDRN C[C@H]1CNc2c(Nc3ccsc3[N+](=O)[O-])cnn2C1 ZINC000894948506 619515413 /nfs/dbraw/zinc/51/54/13/619515413.db2.gz NKABGKAEFJVUHJ-ZETCQYMHSA-N 0 0 279.325 2.658 20 5 CFBDRN COc1c(O)ccc(C[N@@H+]2C[C@H](C)[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000894958624 619516565 /nfs/dbraw/zinc/51/65/65/619516565.db2.gz QJYSXYSAYSXVRP-GARJFASQSA-N 0 0 294.351 2.785 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@H]1CCCS1 ZINC000894980175 619520918 /nfs/dbraw/zinc/52/09/18/619520918.db2.gz FKSNHJKCBNMPOA-SNVBAGLBSA-N 0 0 283.353 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCN3CCSC[C@@H]23)s1 ZINC000895572118 619556715 /nfs/dbraw/zinc/55/67/15/619556715.db2.gz SKCSIEYESODLPI-UWVGGRQHSA-N 0 0 299.421 2.648 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cc(C(F)F)on1 ZINC000895608185 619567049 /nfs/dbraw/zinc/56/70/49/619567049.db2.gz QDTIMLQRFKCAJI-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN Cn1ccc([C@H]2CCCN(c3ccc([N+](=O)[O-])cc3)C2)n1 ZINC000895632626 619572088 /nfs/dbraw/zinc/57/20/88/619572088.db2.gz QQSFRKOFHLXLJW-LBPRGKRZSA-N 0 0 286.335 2.712 20 5 CFBDRN Cc1cccc(N2CCC[C@H]2c2ccnn2C)c1[N+](=O)[O-] ZINC000895720306 619590711 /nfs/dbraw/zinc/59/07/11/619590711.db2.gz FZCSHSSDCVOUEB-ZDUSSCGKSA-N 0 0 286.335 2.978 20 5 CFBDRN CO[C@]1(CNc2sccc2[N+](=O)[O-])CCSC1 ZINC000895751591 619599255 /nfs/dbraw/zinc/59/92/55/619599255.db2.gz UGZYJENTIXSUSE-JTQLQIEISA-N 0 0 274.367 2.590 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C)CC2)c([N+](=O)[O-])s1 ZINC000895762512 619601913 /nfs/dbraw/zinc/60/19/13/619601913.db2.gz QOVFVGISVOJHJW-QMMMGPOBSA-N 0 0 256.327 2.580 20 5 CFBDRN C[C@@H]1CCN(c2nccc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000895763068 619602315 /nfs/dbraw/zinc/60/23/15/619602315.db2.gz ZMFMIDPBFJYPFZ-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](c2ncccn2)C2CC2)cc1 ZINC000895780753 619609061 /nfs/dbraw/zinc/60/90/61/619609061.db2.gz RZALZXDLCLROIW-ZDUSSCGKSA-N 0 0 270.292 2.948 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@]1(OC(C)C)CCOC1 ZINC000895870170 619624988 /nfs/dbraw/zinc/62/49/88/619624988.db2.gz XYZAAGKRRLVNSN-OAHLLOKOSA-N 0 0 294.351 2.899 20 5 CFBDRN CC(C)O[C@]1(CNc2c(F)cccc2[N+](=O)[O-])CCOC1 ZINC000895869185 619626458 /nfs/dbraw/zinc/62/64/58/619626458.db2.gz MDFMXSATFHBTCN-AWEZNQCLSA-N 0 0 298.314 2.730 20 5 CFBDRN C[N@@H+](C/C=C\c1ccccc1[N+](=O)[O-])CCCF ZINC000895898046 619629809 /nfs/dbraw/zinc/62/98/09/619629809.db2.gz IWDLJIHMUGHDJL-DAXSKMNVSA-N 0 0 252.289 2.899 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2ncccn2)cc1 ZINC000895941667 619640522 /nfs/dbraw/zinc/64/05/22/619640522.db2.gz CTVQTJKDQYCEGF-ZDUSSCGKSA-N 0 0 270.292 2.726 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H]1c1ncccn1 ZINC000895944530 619641784 /nfs/dbraw/zinc/64/17/84/619641784.db2.gz QCDQHLCLZKOHCC-ZDUSSCGKSA-N 0 0 270.292 2.726 20 5 CFBDRN CC(C)OC1CN(C/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000895952428 619643118 /nfs/dbraw/zinc/64/31/18/619643118.db2.gz NIDZHVMFFVZEGU-FNORWQNLSA-N 0 0 276.336 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@H]2c2ncccn2)nc1 ZINC000895975495 619644667 /nfs/dbraw/zinc/64/46/67/619644667.db2.gz YVABBKQBKIVEHH-LBPRGKRZSA-N 0 0 285.307 2.511 20 5 CFBDRN CO[C@H]1CN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)CCO1 ZINC000895971143 619646269 /nfs/dbraw/zinc/64/62/69/619646269.db2.gz XIUZFPGSXRHOFJ-OAHLLOKOSA-N 0 0 294.351 2.523 20 5 CFBDRN Cc1cnc(NCCn2ccnc2C(C)C)c([N+](=O)[O-])c1 ZINC000895988346 619649264 /nfs/dbraw/zinc/64/92/64/619649264.db2.gz RAZZQRIUCVQYLZ-UHFFFAOYSA-N 0 0 289.339 2.730 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCc1ncc(C)cc1C ZINC000896098279 619670035 /nfs/dbraw/zinc/67/00/35/619670035.db2.gz BGCQGQJHWXKLJY-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1cc(NCC2CC3(C2)OCCO3)c([N+](=O)[O-])s1 ZINC000896153960 619683817 /nfs/dbraw/zinc/68/38/17/619683817.db2.gz CMUNZVDVTGTOQE-UHFFFAOYSA-N 0 0 284.337 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC3(CCOCC3)O2)cc1 ZINC000896169805 619685566 /nfs/dbraw/zinc/68/55/66/619685566.db2.gz IVURAKNXWJGZOO-CQSZACIVSA-N 0 0 292.335 2.735 20 5 CFBDRN CCC[C@H](O)CCNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000896181226 619689455 /nfs/dbraw/zinc/68/94/55/619689455.db2.gz CKKLJYKHPDJSSB-NSHDSACASA-N 0 0 280.324 2.760 20 5 CFBDRN Nc1ccc(N2CCC(F)(C3CC3)CC2)c([N+](=O)[O-])c1 ZINC000896236277 619701300 /nfs/dbraw/zinc/70/13/00/619701300.db2.gz HLOCWNKFNDURDU-UHFFFAOYSA-N 0 0 279.315 2.896 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])CCS1 ZINC000896248794 619704657 /nfs/dbraw/zinc/70/46/57/619704657.db2.gz YGALCBZYYDZMDZ-BDAKNGLRSA-N 0 0 253.327 2.686 20 5 CFBDRN Cc1ccnc(N[C@H]2CCS[C@H](C)C2)c1[N+](=O)[O-] ZINC000896249398 619705293 /nfs/dbraw/zinc/70/52/93/619705293.db2.gz ZPQSSNLROIBDQP-ZJUUUORDSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@H]1C[C@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCS1 ZINC000896249159 619705316 /nfs/dbraw/zinc/70/53/16/619705316.db2.gz MMNXHSMKJIXTHN-JGVFFNPUSA-N 0 0 287.772 2.761 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2F)CCS1 ZINC000896250654 619705620 /nfs/dbraw/zinc/70/56/20/619705620.db2.gz VCAAIRGWKQTSNJ-SFYZADRCSA-N 0 0 271.317 2.825 20 5 CFBDRN Cc1coc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000896317059 619716985 /nfs/dbraw/zinc/71/69/85/619716985.db2.gz PCURAMHZWJLZAM-UHFFFAOYSA-N 0 0 265.269 2.626 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC(O)(C2CCC2)CC1 ZINC000896327226 619720458 /nfs/dbraw/zinc/72/04/58/619720458.db2.gz VNFAYZLVZLWFHL-UHFFFAOYSA-N 0 0 294.326 2.865 20 5 CFBDRN C[C@@H]1CCC(=O)CN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000896342147 619721259 /nfs/dbraw/zinc/72/12/59/619721259.db2.gz BVSQQAJDDRSCEY-SNVBAGLBSA-N 0 0 285.303 2.701 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1nc2c(s1)COCC2 ZINC000896543210 619760272 /nfs/dbraw/zinc/76/02/72/619760272.db2.gz MTALSGPFSPQRKV-UHFFFAOYSA-N 0 0 297.361 2.798 20 5 CFBDRN Cc1nn(C)c(NCC[C@@H]2CCC3(CCC3)O2)c1[N+](=O)[O-] ZINC000896558493 619762421 /nfs/dbraw/zinc/76/24/21/619762421.db2.gz NYCSHNGHVKZTOV-NSHDSACASA-N 0 0 294.355 2.540 20 5 CFBDRN C[C@@H](NC(=O)NC/C=C/Cl)c1ccc([N+](=O)[O-])cc1 ZINC000896612777 619772629 /nfs/dbraw/zinc/77/26/29/619772629.db2.gz OPJZJVLCRJXCOL-WEMAUNJASA-N 0 0 283.715 2.708 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOC[C@H]1CC1CC1 ZINC000896636972 619779914 /nfs/dbraw/zinc/77/99/14/619779914.db2.gz HHHRAWKDZSCELU-GFCCVEGCSA-N 0 0 280.299 2.739 20 5 CFBDRN CCn1cnc2c1CCN(c1ccc(F)cc1[N+](=O)[O-])C2 ZINC000896659892 619782565 /nfs/dbraw/zinc/78/25/65/619782565.db2.gz NPVDWAPERNKZAA-UHFFFAOYSA-N 0 0 290.298 2.513 20 5 CFBDRN CCn1cnc2c1CCN(c1ccc([N+](=O)[O-])cc1C)C2 ZINC000896660732 619782737 /nfs/dbraw/zinc/78/27/37/619782737.db2.gz SENCKROZCZJBQC-UHFFFAOYSA-N 0 0 286.335 2.682 20 5 CFBDRN CCn1cnc2c1CCN(c1cc(C)ccc1[N+](=O)[O-])C2 ZINC000896661057 619782742 /nfs/dbraw/zinc/78/27/42/619782742.db2.gz YDSKBWRZWILLMR-UHFFFAOYSA-N 0 0 286.335 2.682 20 5 CFBDRN Cc1ccc(-c2nc(C3CSC3)no2)cc1[N+](=O)[O-] ZINC000896715422 619796459 /nfs/dbraw/zinc/79/64/59/619796459.db2.gz GVZGDBYNIJWMQW-UHFFFAOYSA-N 0 0 277.305 2.784 20 5 CFBDRN Cc1cnc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC000896793412 619819799 /nfs/dbraw/zinc/81/97/99/619819799.db2.gz HZTJZBGUEKLCJB-LLVKDONJSA-N 0 0 272.308 2.909 20 5 CFBDRN Cc1nnc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC000896792968 619819979 /nfs/dbraw/zinc/81/99/79/619819979.db2.gz CNLFJJMEQWBLFI-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN CNc1cc(NC[C@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000896794142 619820293 /nfs/dbraw/zinc/82/02/93/619820293.db2.gz RFGZZKKKDYWKGZ-JTQLQIEISA-N 0 0 287.323 2.642 20 5 CFBDRN C[C@H](CNc1cc(CO)ccn1)c1ccccc1[N+](=O)[O-] ZINC000896794619 619820455 /nfs/dbraw/zinc/82/04/55/619820455.db2.gz UDKMLHWCTKWXCI-LLVKDONJSA-N 0 0 287.319 2.698 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@@H]1CCCC[C@@H]1O ZINC000896815977 619827715 /nfs/dbraw/zinc/82/77/15/619827715.db2.gz MCYCRXUJDRMUAF-AAEUAGOBSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1cccc(C)c1CN(C)c1c([N+](=O)[O-])cnn1C ZINC000896917416 619886998 /nfs/dbraw/zinc/88/69/98/619886998.db2.gz ABWRRFDYQMLDCH-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(SC2COC2)c2ccncc21 ZINC000896958734 619905650 /nfs/dbraw/zinc/90/56/50/619905650.db2.gz NSUFORONIIXGRS-UHFFFAOYSA-N 0 0 262.290 2.634 20 5 CFBDRN Cc1cc(NCc2cnn(CCF)c2)c(F)cc1[N+](=O)[O-] ZINC000896992994 619910018 /nfs/dbraw/zinc/91/00/18/619910018.db2.gz JHCNLJHKQRGDHU-UHFFFAOYSA-N 0 0 296.277 2.820 20 5 CFBDRN COC(=O)[C@@H]1C[C@@H]2C[C@H](Nc3sccc3[N+](=O)[O-])[C@@H]2C1 ZINC000897012450 619912823 /nfs/dbraw/zinc/91/28/23/619912823.db2.gz BGEJCNLYWJEZQN-KYXWUPHJSA-N 0 0 296.348 2.656 20 5 CFBDRN C[C@H](CNC(=O)N(C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000897049467 619919692 /nfs/dbraw/zinc/91/96/92/619919692.db2.gz FTSDGGDDIWEZEG-SNVBAGLBSA-N 0 0 277.324 2.502 20 5 CFBDRN NC(=O)c1cc(N[C@H]2CCCC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000897043333 619920404 /nfs/dbraw/zinc/92/04/04/619920404.db2.gz VCFWFFKITFXTKG-NSHDSACASA-N 0 0 289.335 2.828 20 5 CFBDRN C[C@@H]1CC2(CC(Nc3ccc([N+](=O)[O-])c(N)c3F)C2)CO1 ZINC000897053253 619921283 /nfs/dbraw/zinc/92/12/83/619921283.db2.gz SNNXHDAWSDLZQL-NQTXRORDSA-N 0 0 295.314 2.686 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)ccc1[N+](=O)[O-] ZINC000897075638 619923379 /nfs/dbraw/zinc/92/33/79/619923379.db2.gz POGCOZBEPAJEPC-LIIPTPMRSA-N 0 0 290.319 2.581 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000897142896 619939003 /nfs/dbraw/zinc/93/90/03/619939003.db2.gz VEZRWJUWFBLMJZ-GJZGRUSLSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000897148033 619939969 /nfs/dbraw/zinc/93/99/69/619939969.db2.gz UAWTZTQZOMRILP-TZMCWYRMSA-N 0 0 287.319 2.757 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H]1C1CCCC1 ZINC000897157294 619942066 /nfs/dbraw/zinc/94/20/66/619942066.db2.gz WESKHNZVXXQLHF-GFCCVEGCSA-N 0 0 278.356 2.889 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(CCCCF)no1 ZINC000897206193 619949887 /nfs/dbraw/zinc/94/98/87/619949887.db2.gz TXFURQNVOJEAAS-UHFFFAOYSA-N 0 0 295.270 2.946 20 5 CFBDRN CC1(C)CC2(CC(Nc3ccc([N+](=O)[O-])cn3)C2)CO1 ZINC000897212990 619951211 /nfs/dbraw/zinc/95/12/11/619951211.db2.gz MNDLIKRDKTVAMD-UHFFFAOYSA-N 0 0 277.324 2.749 20 5 CFBDRN C[C@H]1CN(c2ncccc2[N+](=O)[O-])CCN1c1ccccc1 ZINC000897274611 619965951 /nfs/dbraw/zinc/96/59/51/619965951.db2.gz PMTTWNQAUOMEJI-ZDUSSCGKSA-N 0 0 298.346 2.705 20 5 CFBDRN CCOCCOC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909594734 619967539 /nfs/dbraw/zinc/96/75/39/619967539.db2.gz UDEFVANQCHDITE-UHFFFAOYSA-N 0 0 285.321 2.510 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@@H]3CCC[C@@H]32)nc2ccccn21 ZINC000897291395 619971663 /nfs/dbraw/zinc/97/16/63/619971663.db2.gz MNZDTDDHESAIMU-QWRGUYRKSA-N 0 0 272.308 2.621 20 5 CFBDRN CS[C@@H](C)[C@@H](C)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000797198345 619975968 /nfs/dbraw/zinc/97/59/68/619975968.db2.gz UAAJXYAXWCMWQN-BDAKNGLRSA-N 0 0 269.322 2.892 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCOC12CCCC2 ZINC000897322917 619992989 /nfs/dbraw/zinc/99/29/89/619992989.db2.gz SJYCCKIBBSHUBO-NSHDSACASA-N 0 0 294.355 2.633 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1SCc1cc(C)on1 ZINC000897334585 619999205 /nfs/dbraw/zinc/99/92/05/619999205.db2.gz WXDNYUIDOGSHAR-UHFFFAOYSA-N 0 0 282.325 2.708 20 5 CFBDRN O=[N+]([O-])c1c(N2CC(Cc3ccoc3)C2)nc2ccccn21 ZINC000897350812 620013486 /nfs/dbraw/zinc/01/34/86/620013486.db2.gz LVRDBBMYRWZZBE-UHFFFAOYSA-N 0 0 298.302 2.514 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000928925961 620069871 /nfs/dbraw/zinc/06/98/71/620069871.db2.gz BKOPKPJOOQMKLU-WAYWQWQTSA-N 0 0 286.690 2.995 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC(C)(C)/C=C\Cl ZINC000928928937 620071299 /nfs/dbraw/zinc/07/12/99/620071299.db2.gz HYBVYNJZBLNRLF-SREVYHEPSA-N 0 0 298.726 2.864 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000928936721 620084841 /nfs/dbraw/zinc/08/48/41/620084841.db2.gz FROLYUQPWOAHAZ-BQYQJAHWSA-N 0 0 268.700 2.856 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCC[C@H](O)C3CC3)c2c1 ZINC000897383365 620088771 /nfs/dbraw/zinc/08/87/71/620088771.db2.gz AOLUBQGGMKWZBY-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889577723 620125286 /nfs/dbraw/zinc/12/52/86/620125286.db2.gz AHRIRCMXIBYXDH-VKGXSTMESA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC[C@H]1CCCOC1 ZINC000799971296 620141320 /nfs/dbraw/zinc/14/13/20/620141320.db2.gz AJYMYFOVMFHNEI-SNVBAGLBSA-N 0 0 268.288 2.881 20 5 CFBDRN CC(C)[N@H+](CC(=O)[O-])Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000263459812 620147154 /nfs/dbraw/zinc/14/71/54/620147154.db2.gz BKXDPUQYDBENFL-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN COc1ccccc1OC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054442371 620167847 /nfs/dbraw/zinc/16/78/47/620167847.db2.gz QRXAGXQHSWATKT-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1COC[C@H]1C1CC1 ZINC000897446232 620175045 /nfs/dbraw/zinc/17/50/45/620175045.db2.gz SGKAFUAFLGUVRS-CABZTGNLSA-N 0 0 266.272 2.571 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2cccc([N+](=O)[O-])c2C)o1 ZINC000067739912 620220118 /nfs/dbraw/zinc/22/01/18/620220118.db2.gz BNJYCONRJXHTMA-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cccs2)c2nonc21 ZINC000035120989 620234390 /nfs/dbraw/zinc/23/43/90/620234390.db2.gz GNVULLNEOMZABU-UHFFFAOYSA-N 0 0 290.304 2.847 20 5 CFBDRN CC[C@@H](C)NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929103226 620235078 /nfs/dbraw/zinc/23/50/78/620235078.db2.gz CNJPDVNXHVOKNU-SECBINFHSA-N 0 0 268.288 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC=CCC2)c2nonc21 ZINC000080120419 620242595 /nfs/dbraw/zinc/24/25/95/620242595.db2.gz FFZAKGIMKKQWOM-MRVPVSSYSA-N 0 0 260.253 2.652 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC=C(Cl)C1 ZINC000898190086 620350325 /nfs/dbraw/zinc/35/03/25/620350325.db2.gz KQROOMZOGVJVBK-UHFFFAOYSA-N 0 0 266.684 2.563 20 5 CFBDRN Cc1cc(C(=O)N2CCC=C(Cl)C2)ccc1[N+](=O)[O-] ZINC000898189798 620350397 /nfs/dbraw/zinc/35/03/97/620350397.db2.gz FDMNCYTUIGQPEX-UHFFFAOYSA-N 0 0 280.711 2.872 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CCC=C(Cl)C1 ZINC000898201110 620354179 /nfs/dbraw/zinc/35/41/79/620354179.db2.gz PXCOYTFNLHBNRA-UHFFFAOYSA-N 0 0 298.701 2.631 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1ccc(F)cc1[N+](=O)[O-] ZINC000889596099 620408522 /nfs/dbraw/zinc/40/85/22/620408522.db2.gz FXVLFFQSRVPWMD-UBHAPETDSA-N 0 0 278.283 2.652 20 5 CFBDRN O=C(OC[C@H]1CC1(Cl)Cl)c1cccc([N+](=O)[O-])c1 ZINC000078301257 620447704 /nfs/dbraw/zinc/44/77/04/620447704.db2.gz TZACFICVZAABJY-MRVPVSSYSA-N 0 0 290.102 2.945 20 5 CFBDRN O=C(CSC(F)F)OCc1cccc([N+](=O)[O-])c1 ZINC000899346467 620547297 /nfs/dbraw/zinc/54/72/97/620547297.db2.gz HOPUQBQHBHTITP-UHFFFAOYSA-N 0 0 277.248 2.594 20 5 CFBDRN CC[C@@H](C)NC(=S)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621343 620586838 /nfs/dbraw/zinc/58/68/38/620586838.db2.gz KEXAJXQMRDZPDH-GHMZBOCLSA-N 0 0 295.408 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cc(C3CCC3)nn2)cc1 ZINC000899707215 620593917 /nfs/dbraw/zinc/59/39/17/620593917.db2.gz XZZFFQZZAPETNH-UHFFFAOYSA-N 0 0 258.281 2.502 20 5 CFBDRN COc1ccc(Br)cc1SCC[N+](=O)[O-] ZINC000899878592 620608512 /nfs/dbraw/zinc/60/85/12/620608512.db2.gz TWBNENUJFODXPL-UHFFFAOYSA-N 0 0 292.154 2.827 20 5 CFBDRN CC(C)(C)OCc1cccc(NCC[N+](=O)[O-])c1 ZINC000899896463 620610112 /nfs/dbraw/zinc/61/01/12/620610112.db2.gz OBUSKCSEBHZQNJ-UHFFFAOYSA-N 0 0 252.314 2.690 20 5 CFBDRN O=[N+]([O-])c1c(OC[C@@H](O)C2CC2)cccc1C(F)(F)F ZINC000899906866 620611721 /nfs/dbraw/zinc/61/17/21/620611721.db2.gz RBGYYJXJEXKISB-SECBINFHSA-N 0 0 291.225 2.763 20 5 CFBDRN CCC/C=C/[C@H](O)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000899934935 620615305 /nfs/dbraw/zinc/61/53/05/620615305.db2.gz FMIRQCORYMXAFJ-NEXMIYJRSA-N 0 0 278.308 2.559 20 5 CFBDRN CC(C)c1ccc(C[NH2+]C2(CC(=O)[O-])CC2)cc1[N+](=O)[O-] ZINC000901479636 620826676 /nfs/dbraw/zinc/82/66/76/620826676.db2.gz GTLZAHGCTIUVAN-UHFFFAOYSA-N 0 0 292.335 2.815 20 5 CFBDRN O=C([O-])[C@@H]1CCC[C@@H]1[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000901482696 620827669 /nfs/dbraw/zinc/82/76/69/620827669.db2.gz LSCDFSPBVJRPCY-PWSUYJOCSA-N 0 0 298.726 2.591 20 5 CFBDRN COC/C(C)=C/COc1ccc(F)cc1[N+](=O)[O-] ZINC000901483173 620830909 /nfs/dbraw/zinc/83/09/09/620830909.db2.gz JKOFWAWEVGRVLV-WEVVVXLNSA-N 0 0 255.245 2.705 20 5 CFBDRN COC/C(C)=C/COc1ccc([N+](=O)[O-])cc1F ZINC000901468375 620831444 /nfs/dbraw/zinc/83/14/44/620831444.db2.gz CFMOZEFGXNKMRT-WEVVVXLNSA-N 0 0 255.245 2.705 20 5 CFBDRN Cc1cn(C)nc1COc1c(Cl)cccc1[N+](=O)[O-] ZINC000901509699 620840642 /nfs/dbraw/zinc/84/06/42/620840642.db2.gz PPEQLOPJIKFMFT-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CCOc1cc(OC/C=C(/C)COC)ccc1[N+](=O)[O-] ZINC000901535626 620848983 /nfs/dbraw/zinc/84/89/83/620848983.db2.gz IRSNQCXSGPWWRH-XFFZJAGNSA-N 0 0 281.308 2.965 20 5 CFBDRN COC/C(C)=C\COc1ccc([N+](=O)[O-])c(F)c1F ZINC000901567822 620859565 /nfs/dbraw/zinc/85/95/65/620859565.db2.gz GXEJSRWFCICDMZ-YVMONPNESA-N 0 0 273.235 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC2COC2)c(Cl)c1 ZINC000901634043 620876359 /nfs/dbraw/zinc/87/63/59/620876359.db2.gz GRORSWOJXDMKAB-UHFFFAOYSA-N 0 0 259.714 2.880 20 5 CFBDRN Cc1ccc(CSCCn2cc([N+](=O)[O-])cn2)o1 ZINC000901671799 620889005 /nfs/dbraw/zinc/88/90/05/620889005.db2.gz KGLHTHRGMMFGFS-UHFFFAOYSA-N 0 0 267.310 2.626 20 5 CFBDRN CC[C@@H](C)C[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000901672142 620889208 /nfs/dbraw/zinc/88/92/08/620889208.db2.gz DXMCTIPYRXPSOG-ZWNOBZJWSA-N 0 0 294.351 2.882 20 5 CFBDRN Cc1ccc(CSCCn2cc([N+](=O)[O-])ccc2=O)o1 ZINC000901672250 620889718 /nfs/dbraw/zinc/88/97/18/620889718.db2.gz NDSVBMOZUJRKAD-UHFFFAOYSA-N 0 0 294.332 2.591 20 5 CFBDRN CC[C@H](C)C[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000901680506 620892179 /nfs/dbraw/zinc/89/21/79/620892179.db2.gz GOROJKVBZNRTEO-GXFFZTMASA-N 0 0 280.324 2.574 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1OCC1CCC1)OCCO2 ZINC000901698805 620897715 /nfs/dbraw/zinc/89/77/15/620897715.db2.gz BUANXIBLISGDLB-UHFFFAOYSA-N 0 0 265.265 2.545 20 5 CFBDRN CC1CC(CSCc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000901882098 620933701 /nfs/dbraw/zinc/93/37/01/620933701.db2.gz HMMINUGCEBMLQY-UHFFFAOYSA-N 0 0 294.376 2.973 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@H]2C[C@@]23CCOC3)ccc1F ZINC000901924253 620942936 /nfs/dbraw/zinc/94/29/36/620942936.db2.gz GHAAOPNLVQHLKN-NOZJJQNGSA-N 0 0 266.272 2.572 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@@H]2C[C@]23CCOC3)ccc1F ZINC000901924256 620943007 /nfs/dbraw/zinc/94/30/07/620943007.db2.gz GHAAOPNLVQHLKN-ZANVPECISA-N 0 0 266.272 2.572 20 5 CFBDRN CCn1cc(CCNc2ccc(F)c([N+](=O)[O-])c2)cn1 ZINC000901925387 620943211 /nfs/dbraw/zinc/94/32/11/620943211.db2.gz LHFIASZLMIAUMS-UHFFFAOYSA-N 0 0 278.287 2.605 20 5 CFBDRN O=C([O-])CC[N@H+](Cc1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC000901971294 620948168 /nfs/dbraw/zinc/94/81/68/620948168.db2.gz HNUIOXGROYZUBS-UHFFFAOYSA-N 0 0 298.726 2.687 20 5 CFBDRN CSc1cccc(NCc2cn(C)nc2[N+](=O)[O-])c1C ZINC000902027019 620954272 /nfs/dbraw/zinc/95/42/72/620954272.db2.gz NZBKZRTYPLYLMI-UHFFFAOYSA-N 0 0 292.364 2.971 20 5 CFBDRN C[C@]1(C(=O)[O-])CCC[N@@H+]1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000902005356 620955628 /nfs/dbraw/zinc/95/56/28/620955628.db2.gz DMBMLAMYRIIUAY-NFBGWVBBSA-N 0 0 290.319 2.547 20 5 CFBDRN CC(=O)NCCCCCNc1c(C)ccc([N+](=O)[O-])c1C ZINC000902008801 620956867 /nfs/dbraw/zinc/95/68/67/620956867.db2.gz WYILTAYCWWIOGT-UHFFFAOYSA-N 0 0 293.367 2.930 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1cc(OC)no1 ZINC000902057507 620967284 /nfs/dbraw/zinc/96/72/84/620967284.db2.gz NBZVJRXVKDHLRK-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN CCn1cc(NCc2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000902071596 620967644 /nfs/dbraw/zinc/96/76/44/620967644.db2.gz DBJMCZOKZQKKQG-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1CC[C@@H]1C[C@H]1C1CC1 ZINC000902128810 620984843 /nfs/dbraw/zinc/98/48/43/620984843.db2.gz HDAJPENUGDSYBV-YPMHNXCESA-N 0 0 299.330 2.741 20 5 CFBDRN CC(F)(F)CCCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000902264830 621005742 /nfs/dbraw/zinc/00/57/42/621005742.db2.gz RKUCSWIYGQMZBV-UHFFFAOYSA-N 0 0 280.658 2.845 20 5 CFBDRN Cn1cc(CSCc2ccccc2F)c([N+](=O)[O-])n1 ZINC000902268357 621018925 /nfs/dbraw/zinc/01/89/25/621018925.db2.gz CEFFSNJWZNAWDP-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1nc(C)c2cc([N+](=O)[O-])cnc21 ZINC000902277042 621022397 /nfs/dbraw/zinc/02/23/97/621022397.db2.gz RAZAXFJRWOWABN-ZJUUUORDSA-N 0 0 260.297 2.694 20 5 CFBDRN CC(C)Oc1ncccc1OCc1cccnc1[N+](=O)[O-] ZINC000902327287 621037342 /nfs/dbraw/zinc/03/73/42/621037342.db2.gz SSZBGSYHKFGKEW-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN Cc1cn(C[C@H](C)COCc2ccccc2)nc1[N+](=O)[O-] ZINC000902373927 621045375 /nfs/dbraw/zinc/04/53/75/621045375.db2.gz VQKJLSFBABHZIK-LBPRGKRZSA-N 0 0 289.335 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@@]23CCOC3)c(F)c1F ZINC000902424340 621074510 /nfs/dbraw/zinc/07/45/10/621074510.db2.gz MTRSOCRMRSPCMI-ISVAXAHUSA-N 0 0 285.246 2.678 20 5 CFBDRN C=C/C=C/CCSCc1cn(C)nc1[N+](=O)[O-] ZINC000902453171 621093123 /nfs/dbraw/zinc/09/31/23/621093123.db2.gz QGZGUWGTLOVGIM-SNAWJCMRSA-N 0 0 253.327 2.694 20 5 CFBDRN CS(=O)(=O)CCCCSc1ccc([N+](=O)[O-])cc1 ZINC000902468818 621100276 /nfs/dbraw/zinc/10/02/76/621100276.db2.gz YPJYWJBDLSVMFD-UHFFFAOYSA-N 0 0 289.378 2.512 20 5 CFBDRN CCn1cnc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000902576414 621128576 /nfs/dbraw/zinc/12/85/76/621128576.db2.gz SQJWKDKKSVENQW-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC000902680018 621147341 /nfs/dbraw/zinc/14/73/41/621147341.db2.gz GGZLRXLFEHVAJG-JQWIXIFHSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)[C@H](O)CCOc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902696912 621149122 /nfs/dbraw/zinc/14/91/22/621149122.db2.gz LUZJCYSVGCEGNM-LLVKDONJSA-N 0 0 275.251 2.659 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCc1cc2n(n1)CCC2 ZINC000902696492 621149245 /nfs/dbraw/zinc/14/92/45/621149245.db2.gz AVSNKFBWKQRIMK-UHFFFAOYSA-N 0 0 295.245 2.595 20 5 CFBDRN COC/C(C)=C\COc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000902723264 621157670 /nfs/dbraw/zinc/15/76/70/621157670.db2.gz IDCPBIIZMZIKRM-POHAHGRESA-N 0 0 279.292 2.769 20 5 CFBDRN COc1cc([C@H](C)NCc2cc(C)no2)cc([N+](=O)[O-])c1 ZINC000903091609 621256899 /nfs/dbraw/zinc/25/68/99/621256899.db2.gz XMLJADJAJMNQGP-JTQLQIEISA-N 0 0 291.307 2.751 20 5 CFBDRN COc1cc([C@@H](C)N[C@@H](C)C(F)F)cc([N+](=O)[O-])c1 ZINC000903221884 621292985 /nfs/dbraw/zinc/29/29/85/621292985.db2.gz VHLJUQCBBZSHFB-SFYZADRCSA-N 0 0 274.267 2.908 20 5 CFBDRN C[C@@H](N[C@H]1CCCn2ccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000903287490 621319021 /nfs/dbraw/zinc/31/90/21/621319021.db2.gz PNXISQRMLOYJHQ-RISCZKNCSA-N 0 0 286.335 2.977 20 5 CFBDRN COC(C)(C)[C@@H](C)[NH2+][C@H](C)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903329309 621340722 /nfs/dbraw/zinc/34/07/22/621340722.db2.gz BNGIXDIMEZZDGR-NXEZZACHSA-N 0 0 282.340 2.765 20 5 CFBDRN CC[C@@H](C)[C@@H](C(=O)[O-])[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000903595803 621404524 /nfs/dbraw/zinc/40/45/24/621404524.db2.gz OQSUTGAVINYVLN-MFKMUULPSA-N 0 0 280.324 2.526 20 5 CFBDRN CC[C@H](C[NH2+][C@H](C)CCc1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000903709373 621418572 /nfs/dbraw/zinc/41/85/72/621418572.db2.gz MYDIMIMTIXZPLA-VXGBXAGGSA-N 0 0 294.351 2.616 20 5 CFBDRN C[C@@H](c1noc(-c2ccc([N+](=O)[O-])s2)n1)[C@@H]1CCCO1 ZINC000903792343 621439274 /nfs/dbraw/zinc/43/92/74/621439274.db2.gz PXZRLSPOHZHMDD-SFYZADRCSA-N 0 0 295.320 2.989 20 5 CFBDRN CC(C)N1CCC[C@@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000904004077 621504769 /nfs/dbraw/zinc/50/47/69/621504769.db2.gz OPAPBNMZJMIUOW-CYBMUJFWSA-N 0 0 296.298 2.512 20 5 CFBDRN CCO[C@@H](c1noc(CCC(C)(C)[N+](=O)[O-])n1)C(C)C ZINC000904125803 621529239 /nfs/dbraw/zinc/52/92/39/621529239.db2.gz RMZQKKVQRITZKA-LLVKDONJSA-N 0 0 285.344 2.791 20 5 CFBDRN Cc1cc(NC(=O)[C@@H](C)[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000904188025 621543211 /nfs/dbraw/zinc/54/32/11/621543211.db2.gz PXRMKQKBQRFCBR-GXFFZTMASA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1cc(COC(=O)C2(C(C)C)COC2)ccc1[N+](=O)[O-] ZINC000904285741 621566963 /nfs/dbraw/zinc/56/69/63/621566963.db2.gz DFGJPEILWMVWKI-UHFFFAOYSA-N 0 0 293.319 2.619 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(-c2nc(C)no2)c1 ZINC000904578172 621607690 /nfs/dbraw/zinc/60/76/90/621607690.db2.gz AZILUTATUKJTNX-UHFFFAOYSA-N 0 0 251.267 2.675 20 5 CFBDRN Cc1cc([N+](=O)[O-])nn1Cc1cccc(-c2nc(C)no2)c1 ZINC000904578782 621608189 /nfs/dbraw/zinc/60/81/89/621608189.db2.gz FZJCCDRMQBIEEY-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2nc(C3CC3)ns2)c1 ZINC000904812695 621645777 /nfs/dbraw/zinc/64/57/77/621645777.db2.gz DKRRJCWBLDPTND-UHFFFAOYSA-N 0 0 291.332 2.526 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1-c1nc(C3CCC3)no1)OCO2 ZINC000904869126 621665819 /nfs/dbraw/zinc/66/58/19/621665819.db2.gz QCOTZCYENHIJAV-UHFFFAOYSA-N 0 0 289.247 2.641 20 5 CFBDRN Cc1cccc(-c2nc(Cc3ccncc3)no2)c1[N+](=O)[O-] ZINC000904934576 621676129 /nfs/dbraw/zinc/67/61/29/621676129.db2.gz BVJCQEZZWLCZOG-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)c1ccc([N+](=O)[O-])nc1 ZINC000905022147 621687608 /nfs/dbraw/zinc/68/76/08/621687608.db2.gz CLAJCKPYCRHJJO-WCFLWFBJSA-N 0 0 289.335 2.779 20 5 CFBDRN CCC1(CC(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)COC1 ZINC000905188041 621713787 /nfs/dbraw/zinc/71/37/87/621713787.db2.gz IELJNIPIDJPAFZ-UHFFFAOYSA-N 0 0 296.298 2.798 20 5 CFBDRN CCOc1cc(OC[C@@H]2C[C@@]23CCOC3)ccc1[N+](=O)[O-] ZINC000905231235 621720858 /nfs/dbraw/zinc/72/08/58/621720858.db2.gz AWENJHUDCCBFGZ-XHDPSFHLSA-N 0 0 293.319 2.799 20 5 CFBDRN CCc1ccc(OC[C@H]2C[C@]23CCOC3)c([N+](=O)[O-])c1 ZINC000905236763 621731646 /nfs/dbraw/zinc/73/16/46/621731646.db2.gz SKXSNDNKBUVRAJ-DOMZBBRYSA-N 0 0 277.320 2.963 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H]1C[C@@]12CCOC2 ZINC000905237529 621732207 /nfs/dbraw/zinc/73/22/07/621732207.db2.gz WGNCHRDIYLDTIE-BXUZGUMPSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)O[C@H]1CCCC(C)(C)C1 ZINC000905918665 621900723 /nfs/dbraw/zinc/90/07/23/621900723.db2.gz CEZMWDRQCXLGSA-NSHDSACASA-N 0 0 295.339 2.612 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncc(C3OCCO3)s2)cc1 ZINC000906171904 621943911 /nfs/dbraw/zinc/94/39/11/621943911.db2.gz KLAXIGNBPDHOEZ-UHFFFAOYSA-N 0 0 278.289 2.764 20 5 CFBDRN Cc1cc(C(=O)N=[S@@](C)(=O)C(C)(C)C)cc([N+](=O)[O-])c1 ZINC000906314611 621972797 /nfs/dbraw/zinc/97/27/97/621972797.db2.gz GWNCJMXFJAHWPB-FQEVSTJZSA-N 0 0 298.364 2.940 20 5 CFBDRN Cc1cc(C(=O)Nc2nccnc2C2CC2)cc([N+](=O)[O-])c1 ZINC000906418413 621987835 /nfs/dbraw/zinc/98/78/35/621987835.db2.gz OHGOZWQXLLGARC-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000832950347 625541106 /nfs/dbraw/zinc/54/11/06/625541106.db2.gz XXFSMMVBDNQDAH-FZMZJTMJSA-N 0 0 294.351 2.665 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000907221553 622099737 /nfs/dbraw/zinc/09/97/37/622099737.db2.gz ROBLMHPAQLSCTB-MJVIPROJSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H](CN(C)C(=O)CCC(C)(C)[N+](=O)[O-])C(C)(C)C ZINC000874592121 622142137 /nfs/dbraw/zinc/14/21/37/622142137.db2.gz NMHAHYJNBZNYAQ-NSHDSACASA-N 0 0 272.389 2.963 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000833030312 625543297 /nfs/dbraw/zinc/54/32/97/625543297.db2.gz ZGSZOHLTYAKKBT-GWCFXTLKSA-N 0 0 274.320 2.962 20 5 CFBDRN C[C@@H](CNC(=O)OC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000907456637 622130160 /nfs/dbraw/zinc/13/01/60/622130160.db2.gz LDSLKMFUHZPTPK-JTQLQIEISA-N 0 0 278.308 2.977 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)Cc1ccsc1 ZINC000043544451 622192705 /nfs/dbraw/zinc/19/27/05/622192705.db2.gz JGDRNEWVLNASDQ-UHFFFAOYSA-N 0 0 293.300 2.813 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(F)F ZINC000908278388 622211708 /nfs/dbraw/zinc/21/17/08/622211708.db2.gz HFZQANANQALPEG-UHFFFAOYSA-N 0 0 274.223 2.587 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000927487848 622231651 /nfs/dbraw/zinc/23/16/51/622231651.db2.gz ZCZBNHWFUMQKHH-GZBFAFLISA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H]2C2CC2)c1C ZINC000908558384 622244669 /nfs/dbraw/zinc/24/46/69/622244669.db2.gz XUYSSFBJHKLGIC-LBPRGKRZSA-N 0 0 274.320 2.836 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NC(=O)CCF ZINC000909021177 622301772 /nfs/dbraw/zinc/30/17/72/622301772.db2.gz VZNHRRFMHOFFJH-UHFFFAOYSA-N 0 0 269.276 2.713 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000909085399 622308890 /nfs/dbraw/zinc/30/88/90/622308890.db2.gz BWJNBXZVSYTAQT-UHFFFAOYSA-N 0 0 296.298 2.613 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000909113973 622314468 /nfs/dbraw/zinc/31/44/68/622314468.db2.gz RSCSGRXALKVGCZ-IONNQARKSA-N 0 0 297.694 2.630 20 5 CFBDRN CCC[C@H](O)C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000909875421 622363513 /nfs/dbraw/zinc/36/35/13/622363513.db2.gz FTBGZRJJRWZUMP-MFKMUULPSA-N 0 0 281.308 2.668 20 5 CFBDRN O=C(OCC(F)F)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028909 622388590 /nfs/dbraw/zinc/38/85/90/622388590.db2.gz WSULIWRTVXGXFF-NXEZZACHSA-N 0 0 271.219 2.507 20 5 CFBDRN COCC[C@H](C)OC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028674 622388716 /nfs/dbraw/zinc/38/87/16/622388716.db2.gz UPRBGQKJRMFPSU-BPNCWPANSA-N 0 0 293.319 2.667 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC=CC1 ZINC000910015583 622391891 /nfs/dbraw/zinc/39/18/91/622391891.db2.gz LXVDYGSOBCSMBV-UHFFFAOYSA-N 0 0 286.209 2.626 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CC=CC2)cc1Cl ZINC000910017498 622392557 /nfs/dbraw/zinc/39/25/57/622392557.db2.gz KBRYECCQOVNTAP-UHFFFAOYSA-N 0 0 266.684 2.569 20 5 CFBDRN CC1(CCC(=O)OCc2cccnc2[N+](=O)[O-])CC1 ZINC000910038065 622394456 /nfs/dbraw/zinc/39/44/56/622394456.db2.gz OUPYHRPOSZTQNL-UHFFFAOYSA-N 0 0 264.281 2.613 20 5 CFBDRN C[C@@H]1C[C@@H]2C[C@@H]2C[C@@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000910082290 622397561 /nfs/dbraw/zinc/39/75/61/622397561.db2.gz NWDNMKVXYBQBKS-JHEVNIALSA-N 0 0 290.319 2.715 20 5 CFBDRN CO[C@@H](C)COC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000155712270 622397942 /nfs/dbraw/zinc/39/79/42/622397942.db2.gz OYAWLOTUVOGLJX-VHSXEESVSA-N 0 0 299.348 2.654 20 5 CFBDRN CCC/C=C\C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911473118 622522310 /nfs/dbraw/zinc/52/23/10/622522310.db2.gz QYFGZUFLLWSCFS-PLNGDYQASA-N 0 0 284.262 2.846 20 5 CFBDRN Cc1cncc(/C=C/C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000819892583 622566834 /nfs/dbraw/zinc/56/68/34/622566834.db2.gz KEFWTDIOZNTRNE-GORDUTHDSA-N 0 0 298.302 2.532 20 5 CFBDRN CCS[C@@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)C ZINC000819899417 622567950 /nfs/dbraw/zinc/56/79/50/622567950.db2.gz NEQDMENAORXART-GFCCVEGCSA-N 0 0 297.380 2.893 20 5 CFBDRN CSCC(C)(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819905816 622569284 /nfs/dbraw/zinc/56/92/84/622569284.db2.gz XBPFINJWVHQSDB-UHFFFAOYSA-N 0 0 283.353 2.505 20 5 CFBDRN CC(C)n1cccc1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819916454 622571481 /nfs/dbraw/zinc/57/14/81/622571481.db2.gz NNAUDSNGJQXWFZ-UHFFFAOYSA-N 0 0 288.307 2.812 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(CC(F)F)C2)c(F)c1 ZINC000819948932 622580666 /nfs/dbraw/zinc/58/06/66/622580666.db2.gz CPGZRJZZFJRWGO-UHFFFAOYSA-N 0 0 260.215 2.825 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc[nH]1 ZINC000819999689 622594852 /nfs/dbraw/zinc/59/48/52/622594852.db2.gz VCJRIBVADLSLTF-UHFFFAOYSA-N 0 0 275.264 2.574 20 5 CFBDRN CCc1ccc(OC(=O)/C=C\[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000820080161 622608773 /nfs/dbraw/zinc/60/87/73/622608773.db2.gz VARDIVMXSFRWTJ-XYLWRLHESA-N 0 0 291.303 2.798 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000820127854 622615212 /nfs/dbraw/zinc/61/52/12/622615212.db2.gz UEIBOWQCQKEANR-MRVPVSSYSA-N 0 0 253.254 2.923 20 5 CFBDRN C=C=CCNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000820205018 622628145 /nfs/dbraw/zinc/62/81/45/622628145.db2.gz RXDYZZLNPUGCGT-UHFFFAOYSA-N 0 0 260.293 2.591 20 5 CFBDRN Cc1nn(C)cc1NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000820216008 622629736 /nfs/dbraw/zinc/62/97/36/622629736.db2.gz JQFUSTJUDQUMCG-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CO[C@@H](COC(=O)c1cccc(Cl)c1[N+](=O)[O-])C1CC1 ZINC000820422138 622669147 /nfs/dbraw/zinc/66/91/47/622669147.db2.gz FBNQBWCGLAXCPX-NSHDSACASA-N 0 0 299.710 2.830 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCCO2)c([N+](=O)[O-])cc1C ZINC000820652147 622726968 /nfs/dbraw/zinc/72/69/68/622726968.db2.gz OANXSFBBRJPNFT-LLVKDONJSA-N 0 0 280.324 2.527 20 5 CFBDRN CCC(F)(F)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000820732076 622746364 /nfs/dbraw/zinc/74/63/64/622746364.db2.gz XWLOQACCJRSKML-UHFFFAOYSA-N 0 0 270.235 2.529 20 5 CFBDRN O=Cc1cccc(Oc2nc3ccccn3c2[N+](=O)[O-])c1 ZINC000029162532 622769988 /nfs/dbraw/zinc/76/99/88/622769988.db2.gz QXBFNNYAWASXHR-UHFFFAOYSA-N 0 0 283.243 2.847 20 5 CFBDRN COC(C)(C)CN(C)C(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000820849345 622774031 /nfs/dbraw/zinc/77/40/31/622774031.db2.gz KHAVXVGTBZPMPV-UHFFFAOYSA-N 0 0 294.351 2.709 20 5 CFBDRN Cc1cc2oc(=O)cc(Cn3cc([N+](=O)[O-])cn3)c2cc1C ZINC000912771880 622774894 /nfs/dbraw/zinc/77/48/94/622774894.db2.gz ZFRTZHPXBCVIIO-UHFFFAOYSA-N 0 0 299.286 2.563 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC/C=C\c1ccccc1 ZINC000210072065 622785358 /nfs/dbraw/zinc/78/53/58/622785358.db2.gz HOTAHJDUJRMYFL-YVMONPNESA-N 0 0 286.287 2.804 20 5 CFBDRN O=C(Cn1cnc([N+](=O)[O-])c1)Nc1ccc2ccccc2c1 ZINC000029210038 622788175 /nfs/dbraw/zinc/78/81/75/622788175.db2.gz ZTORZCFCCQNYFC-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN Cc1ncoc1-c1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000820918741 622791688 /nfs/dbraw/zinc/79/16/88/622791688.db2.gz YAYQOUSXOUJUBU-UHFFFAOYSA-N 0 0 290.210 2.747 20 5 CFBDRN COc1cnc(C=Cc2ccc(C)c([N+](=O)[O-])c2)[nH]c1=O ZINC000821009271 622819716 /nfs/dbraw/zinc/81/97/16/622819716.db2.gz YNYUMWSHGZJMAJ-AATRIKPKSA-N 0 0 287.275 2.578 20 5 CFBDRN CC(C)(NC(=O)N[C@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000821061912 622836080 /nfs/dbraw/zinc/83/60/80/622836080.db2.gz WMWXVWYMVUSVPI-NSHDSACASA-N 0 0 289.335 2.848 20 5 CFBDRN Cc1oc2ccc(NC(=O)[C@@H]3CC3[N+](=O)[O-])cc2c1C ZINC000840424247 622867334 /nfs/dbraw/zinc/86/73/34/622867334.db2.gz MLFYRDPDHKNWRX-VXGBXAGGSA-N 0 0 274.276 2.653 20 5 CFBDRN Cc1cccc2c(C(=O)O[C@@H](C)C[N+](=O)[O-])coc21 ZINC000821205051 622868400 /nfs/dbraw/zinc/86/84/00/622868400.db2.gz XKDOXZMRWCGQFH-VIFPVBQESA-N 0 0 263.249 2.563 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC(C(C)C)C1 ZINC000821280433 622883857 /nfs/dbraw/zinc/88/38/57/622883857.db2.gz QVQDZAGTNANSCS-UHFFFAOYSA-N 0 0 276.336 2.940 20 5 CFBDRN O=C(/C=C/[C@H]1CCCO1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000821409422 622917160 /nfs/dbraw/zinc/91/71/60/622917160.db2.gz GAYAMJORMNEPAG-VQCYPWCPSA-N 0 0 295.266 2.512 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)Oc1ccc(F)cc1 ZINC000075535918 622922600 /nfs/dbraw/zinc/92/26/00/622922600.db2.gz DUJGRSYDABFSIK-UHFFFAOYSA-N 0 0 291.234 2.718 20 5 CFBDRN CS[C@H](C)CC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000156386287 622938263 /nfs/dbraw/zinc/93/82/63/622938263.db2.gz YDBDALYDBNIQLF-SECBINFHSA-N 0 0 269.322 2.950 20 5 CFBDRN CS[C@@H](C)CC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000156405076 622939129 /nfs/dbraw/zinc/93/91/29/622939129.db2.gz UWILDXUVGZQGBR-VIFPVBQESA-N 0 0 269.322 2.950 20 5 CFBDRN Cc1cc(NC(=O)N2C[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000821565922 622945727 /nfs/dbraw/zinc/94/57/27/622945727.db2.gz LLFSKSRKQGDNEZ-NXEZZACHSA-N 0 0 263.297 2.775 20 5 CFBDRN Cc1c(CC(=O)O[C@@H](C)CC(C)(C)O)cccc1[N+](=O)[O-] ZINC000821591793 622950559 /nfs/dbraw/zinc/95/05/59/622950559.db2.gz OPEHGOYWJXILOY-JTQLQIEISA-N 0 0 295.335 2.538 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000055478397 622950937 /nfs/dbraw/zinc/95/09/37/622950937.db2.gz MFLIGERAVGXDAH-MNOVXSKESA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1c(C(=O)Nc2cnnc(Cl)c2)cccc1[N+](=O)[O-] ZINC000821659639 622959975 /nfs/dbraw/zinc/95/99/75/622959975.db2.gz MCMMICVHXQFYMA-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC(C)c1noc(CN2CCc3cc([N+](=O)[O-])ccc32)n1 ZINC000055827904 622961101 /nfs/dbraw/zinc/96/11/01/622961101.db2.gz PZBFBORBUHMAGN-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@H]1CCC1(C)C ZINC000821834167 622988337 /nfs/dbraw/zinc/98/83/37/622988337.db2.gz UZQYQADSSXAJMO-LBPRGKRZSA-N 0 0 279.292 2.949 20 5 CFBDRN CC1(C)CC[C@H]1OC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000821845970 622992281 /nfs/dbraw/zinc/99/22/81/622992281.db2.gz HRGNHJGYUFZRTA-GFCCVEGCSA-N 0 0 293.275 2.669 20 5 CFBDRN Cc1cc(C(=O)OC[C@@]2(C)C[C@@H]2F)ccc1[N+](=O)[O-] ZINC000822078525 623029709 /nfs/dbraw/zinc/02/97/09/623029709.db2.gz MFSXSIBGXGGFHW-WCQYABFASA-N 0 0 267.256 2.808 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)OCc1ccccc1 ZINC000058165473 623035459 /nfs/dbraw/zinc/03/54/59/623035459.db2.gz GAGFBZZBBIBNDJ-UHFFFAOYSA-N 0 0 287.271 2.717 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@@]2(C)C[C@@H]2F)c1 ZINC000822099001 623036270 /nfs/dbraw/zinc/03/62/70/623036270.db2.gz KFTGZLOUQPEZII-WCQYABFASA-N 0 0 283.255 2.508 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@]1(C)C[C@@H]1F ZINC000822109351 623038421 /nfs/dbraw/zinc/03/84/21/623038421.db2.gz HTLASBBFZMEXOR-WCQYABFASA-N 0 0 267.256 2.808 20 5 CFBDRN C[C@]1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@@H]1F ZINC000822109585 623038611 /nfs/dbraw/zinc/03/86/11/623038611.db2.gz IRAIDMDRBUWDJV-CMPLNLGQSA-N 0 0 271.219 2.639 20 5 CFBDRN C[C@]1(COC(=O)CCc2cccc([N+](=O)[O-])c2)C[C@@H]1F ZINC000822120132 623041814 /nfs/dbraw/zinc/04/18/14/623041814.db2.gz LVMXRRAPPPLHIM-GXTWGEPZSA-N 0 0 281.283 2.819 20 5 CFBDRN CC(C)(CCC(=O)NCc1ccc(Cl)cc1)[N+](=O)[O-] ZINC000822122864 623043001 /nfs/dbraw/zinc/04/30/01/623043001.db2.gz ZKCIVWZBKVFZEW-UHFFFAOYSA-N 0 0 284.743 2.792 20 5 CFBDRN C[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])Cc2ccccc21 ZINC000822219559 623059016 /nfs/dbraw/zinc/05/90/16/623059016.db2.gz JSAKKTLQISMPSV-GFCCVEGCSA-N 0 0 290.363 2.968 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@H]1c1cccnc1)[N+](=O)[O-] ZINC000822282226 623070096 /nfs/dbraw/zinc/07/00/96/623070096.db2.gz WEIOJONYKDOBOK-ZDUSSCGKSA-N 0 0 291.351 2.581 20 5 CFBDRN Cc1ccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])cc1F ZINC000822287590 623071865 /nfs/dbraw/zinc/07/18/65/623071865.db2.gz RCKPMWLUGTUCPN-UHFFFAOYSA-N 0 0 282.315 2.586 20 5 CFBDRN CN(Cc1ccc(Cl)nc1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822386387 623093331 /nfs/dbraw/zinc/09/33/31/623093331.db2.gz HJOHTQVDEDKBEL-UHFFFAOYSA-N 0 0 299.758 2.529 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000822406892 623097262 /nfs/dbraw/zinc/09/72/62/623097262.db2.gz FGTHVKMWBNBXQC-LLVKDONJSA-N 0 0 280.711 2.839 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822415772 623099066 /nfs/dbraw/zinc/09/90/66/623099066.db2.gz FTOHFJYWUXROQO-QWRGUYRKSA-N 0 0 256.346 2.517 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000822443035 623104521 /nfs/dbraw/zinc/10/45/21/623104521.db2.gz NMDCQQFWNGPFOW-GBJTYRQASA-N 0 0 288.347 2.859 20 5 CFBDRN CC(C)(CCC(=O)NCCC1CCCCC1)[N+](=O)[O-] ZINC000822460456 623108799 /nfs/dbraw/zinc/10/87/99/623108799.db2.gz GTDQLZPMKWQDER-UHFFFAOYSA-N 0 0 270.373 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000822510746 623118173 /nfs/dbraw/zinc/11/81/73/623118173.db2.gz AJPRGHWTHRMUFP-GNXNZQSNSA-N 0 0 274.320 2.740 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccc([N+](=O)[O-])c3nonc32)CCO1 ZINC000228087017 623130207 /nfs/dbraw/zinc/13/02/07/623130207.db2.gz NFCLINWJGHRHHS-QMMMGPOBSA-N 0 0 292.295 2.501 20 5 CFBDRN O=C(Cc1cccs1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000060795547 623156741 /nfs/dbraw/zinc/15/67/41/623156741.db2.gz FFLCSQWAGAHOMI-UHFFFAOYSA-N 0 0 291.328 2.985 20 5 CFBDRN Cc1ccc(OCC2(O)CCCCC2)c([N+](=O)[O-])c1 ZINC000087458521 623160857 /nfs/dbraw/zinc/16/08/57/623160857.db2.gz CZNBKOLZWFNKHY-UHFFFAOYSA-N 0 0 265.309 2.977 20 5 CFBDRN C[S@@](=O)CCNc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000228851097 623165858 /nfs/dbraw/zinc/16/58/58/623165858.db2.gz IUQZRMJSNHBHDK-QGZVFWFLSA-N 0 0 297.163 2.692 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822763131 623169249 /nfs/dbraw/zinc/16/92/49/623169249.db2.gz QQBMHBFHXLKZGQ-NWDGAFQWSA-N 0 0 270.373 2.764 20 5 CFBDRN CO[C@H](CN1CCc2cc(C)c([N+](=O)[O-])cc21)C1CC1 ZINC000822807940 623179097 /nfs/dbraw/zinc/17/90/97/623179097.db2.gz LMJYWTFBPWREHT-OAHLLOKOSA-N 0 0 276.336 2.691 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Oc1ccccc1F ZINC000061192625 623190009 /nfs/dbraw/zinc/19/00/09/623190009.db2.gz VNUMVSVBXMVBQN-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN CCC[C@@H](CC)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000822990728 623215693 /nfs/dbraw/zinc/21/56/93/623215693.db2.gz DQYDOHDOVIVQPN-SECBINFHSA-N 0 0 265.313 2.942 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823096373 623234161 /nfs/dbraw/zinc/23/41/61/623234161.db2.gz DDQGUMRERAWITP-GHMZBOCLSA-N 0 0 256.346 2.611 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC(C)(F)F ZINC000823177690 623252671 /nfs/dbraw/zinc/25/26/71/623252671.db2.gz PPDWHTGIVRPAMW-UHFFFAOYSA-N 0 0 272.251 2.597 20 5 CFBDRN Cc1ccnc(CNC(=O)c2c(C)ccc([N+](=O)[O-])c2C)c1 ZINC000823183121 623255095 /nfs/dbraw/zinc/25/50/95/623255095.db2.gz CYTBDDOCHKOMNW-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)[NH2+]Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000230152250 623256030 /nfs/dbraw/zinc/25/60/30/623256030.db2.gz VKTVEQDIBHOXFR-NOZJJQNGSA-N 0 0 284.743 2.905 20 5 CFBDRN CC(C)OCCCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000172180837 623269506 /nfs/dbraw/zinc/26/95/06/623269506.db2.gz KBLYBPTVGSATDT-UHFFFAOYSA-N 0 0 273.310 2.628 20 5 CFBDRN CS[C@@H]1CCN(C(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000823297564 623287574 /nfs/dbraw/zinc/28/75/74/623287574.db2.gz XWHHQRAMIBKIJB-LLVKDONJSA-N 0 0 294.376 2.789 20 5 CFBDRN CS[C@H]1CCN(C(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000823297565 623287844 /nfs/dbraw/zinc/28/78/44/623287844.db2.gz XWHHQRAMIBKIJB-NSHDSACASA-N 0 0 294.376 2.789 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@H](C)C1 ZINC000823319242 623291851 /nfs/dbraw/zinc/29/18/51/623291851.db2.gz DISTZDDOMWPLSA-IJLUTSLNSA-N 0 0 270.373 2.763 20 5 CFBDRN C[C@]1(C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)C=CCC1 ZINC000823408083 623310934 /nfs/dbraw/zinc/31/09/34/623310934.db2.gz UYBONXOFZORVSJ-AWEZNQCLSA-N 0 0 296.273 2.846 20 5 CFBDRN COC(C)(C)CCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000231027477 623323026 /nfs/dbraw/zinc/32/30/26/623323026.db2.gz JDUQRIZYRXEGLI-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CS[C@@H](C)C1 ZINC000823582153 623353241 /nfs/dbraw/zinc/35/32/41/623353241.db2.gz QMSNKLBJNGIVFQ-GXSJLCMTSA-N 0 0 294.376 2.835 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCC1CCCC1 ZINC000173500966 623354275 /nfs/dbraw/zinc/35/42/75/623354275.db2.gz BWOWPKNGYJFCBC-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000174020311 623380022 /nfs/dbraw/zinc/38/00/22/623380022.db2.gz SBXBAVDYDHPOEJ-NSHDSACASA-N 0 0 286.287 2.837 20 5 CFBDRN Cc1cc(NC(=O)/C=C/[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000823700861 623381690 /nfs/dbraw/zinc/38/16/90/623381690.db2.gz TZBXYDUEVONYFP-HOSRBBHYSA-N 0 0 276.292 2.577 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)o1 ZINC000174172550 623395054 /nfs/dbraw/zinc/39/50/54/623395054.db2.gz ATUBJQYMRJHUJG-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823961512 623426354 /nfs/dbraw/zinc/42/63/54/623426354.db2.gz GHVGOYAFKZKKGP-BXKDBHETSA-N 0 0 292.339 2.829 20 5 CFBDRN CCCN(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000823967898 623427127 /nfs/dbraw/zinc/42/71/27/623427127.db2.gz ICPJERHHIJZNTR-UHFFFAOYSA-N 0 0 278.312 2.583 20 5 CFBDRN CCC1(NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CCC1 ZINC000823985579 623429240 /nfs/dbraw/zinc/42/92/40/623429240.db2.gz BIEQNHRTMRZRBB-UHFFFAOYSA-N 0 0 278.312 2.631 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000823993659 623429819 /nfs/dbraw/zinc/42/98/19/623429819.db2.gz HWLCKSCGKQKBKP-MGCOHNPYSA-N 0 0 292.339 2.877 20 5 CFBDRN Nc1ccc(NC(=O)NCC2CCCCC2)cc1[N+](=O)[O-] ZINC000824002887 623430694 /nfs/dbraw/zinc/43/06/94/623430694.db2.gz ILQXBJXRNWOKDA-UHFFFAOYSA-N 0 0 292.339 2.879 20 5 CFBDRN CC1(NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CCCC1 ZINC000823997682 623430883 /nfs/dbraw/zinc/43/08/83/623430883.db2.gz GPRSJOCVRMMFGO-UHFFFAOYSA-N 0 0 278.312 2.631 20 5 CFBDRN CO[C@@H]1CCC[C@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000151549666 623497980 /nfs/dbraw/zinc/49/79/80/623497980.db2.gz IZWWXMSSLGKHCL-KGLIPLIRSA-N 0 0 293.319 2.638 20 5 CFBDRN CO[C@H]1CCC[C@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000151549734 623498053 /nfs/dbraw/zinc/49/80/53/623498053.db2.gz IZWWXMSSLGKHCL-KBPBESRZSA-N 0 0 293.319 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNC(CF)CF)c1 ZINC000824554455 623522897 /nfs/dbraw/zinc/52/28/97/623522897.db2.gz GWHQNSJHVOBHNI-UHFFFAOYSA-N 0 0 264.659 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC[C@H]2C[C@H]2C1 ZINC000824681061 623543960 /nfs/dbraw/zinc/54/39/60/623543960.db2.gz HICIDTUERDIZFI-RYUDHWBXSA-N 0 0 274.320 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC1CC(C)C1 ZINC000824804039 623569056 /nfs/dbraw/zinc/56/90/56/623569056.db2.gz DTEXOUODPSMHJY-UHFFFAOYSA-N 0 0 276.336 2.988 20 5 CFBDRN C/C(Cl)=C/C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000913753913 623577335 /nfs/dbraw/zinc/57/73/35/623577335.db2.gz BXVLZSCWWMJKJQ-UITAMQMPSA-N 0 0 268.700 2.662 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N[C@H]1C=CCC1 ZINC000824964857 623595589 /nfs/dbraw/zinc/59/55/89/623595589.db2.gz GLOLKZXSGMPELH-LBPRGKRZSA-N 0 0 278.283 2.501 20 5 CFBDRN CO[C@@H](C)CC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152183111 623659244 /nfs/dbraw/zinc/65/92/44/623659244.db2.gz XUURITVIQAZYJW-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN CO[C@@H](C)COC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000760781833 623684850 /nfs/dbraw/zinc/68/48/50/623684850.db2.gz XQIHHOXSMJYKJC-QMMMGPOBSA-N 0 0 287.699 2.748 20 5 CFBDRN CCCCN(C)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929793312 623701260 /nfs/dbraw/zinc/70/12/60/623701260.db2.gz WAGOVXYCHDEIPB-UONOGXRCSA-N 0 0 276.336 2.957 20 5 CFBDRN C/C(=C/C(=O)N1CC[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000914155705 623715216 /nfs/dbraw/zinc/71/52/16/623715216.db2.gz HXBVOGPMURKEEO-DWOQACPDSA-N 0 0 260.293 2.619 20 5 CFBDRN CN(CC1CC1)C(=O)CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000152519628 623727896 /nfs/dbraw/zinc/72/78/96/623727896.db2.gz VMWNJPHJGYOMBH-UHFFFAOYSA-N 0 0 297.742 2.529 20 5 CFBDRN Cc1ccc(CNC(=O)C(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000825640348 623728546 /nfs/dbraw/zinc/72/85/46/623728546.db2.gz DUOOEMUMIFKVGM-UHFFFAOYSA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000825641543 623729157 /nfs/dbraw/zinc/72/91/57/623729157.db2.gz YXYXZLFJMXQTIV-BFHYXJOUSA-N 0 0 288.347 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000825641215 623729312 /nfs/dbraw/zinc/72/93/12/623729312.db2.gz SCZRZUQNYOJVHX-YWVIFJGQSA-N 0 0 288.347 2.956 20 5 CFBDRN CC/C(C)=C/C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825640830 623729441 /nfs/dbraw/zinc/72/94/41/623729441.db2.gz LHJRFFNRIHZATA-JXMROGBWSA-N 0 0 262.309 2.876 20 5 CFBDRN CS[C@@H](C)CC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825647473 623729915 /nfs/dbraw/zinc/72/99/15/623729915.db2.gz FYMJEGUCPGQTFS-JTQLQIEISA-N 0 0 282.365 2.661 20 5 CFBDRN Cc1ccc(CNC(=O)C2(F)CCCC2)cc1[N+](=O)[O-] ZINC000825648379 623729917 /nfs/dbraw/zinc/72/99/17/623729917.db2.gz RAOPLOHMSYLENA-UHFFFAOYSA-N 0 0 280.299 2.802 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2C[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000825647500 623730077 /nfs/dbraw/zinc/73/00/77/623730077.db2.gz GWCZWMLLIFXRGF-CHWSQXEVSA-N 0 0 276.336 2.812 20 5 CFBDRN Cc1ccc(CNC(=O)C(C)(Cl)Cl)cc1[N+](=O)[O-] ZINC000825648186 623730368 /nfs/dbraw/zinc/73/03/68/623730368.db2.gz MMRPKPPAOAPXBF-UHFFFAOYSA-N 0 0 291.134 2.713 20 5 CFBDRN C/C=C(\CC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825648196 623730515 /nfs/dbraw/zinc/73/05/15/623730515.db2.gz MNULIPCZJTYSNG-UUILKARUSA-N 0 0 262.309 2.876 20 5 CFBDRN CCCCO[C@@H](C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825655442 623731379 /nfs/dbraw/zinc/73/13/79/623731379.db2.gz NVESTMFJARBKPX-LBPRGKRZSA-N 0 0 294.351 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)c2ccc(F)cc2)cc1 ZINC000152616685 623745182 /nfs/dbraw/zinc/74/51/82/623745182.db2.gz KSNSEAABKFCNMF-CQSZACIVSA-N 0 0 277.251 2.846 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NC[C@@H]2C=CCC2)c1F ZINC000914285834 623754275 /nfs/dbraw/zinc/75/42/75/623754275.db2.gz WKVAGCWMXBIEGZ-SECBINFHSA-N 0 0 296.273 2.877 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H](O)c2c(F)cccc2F)c1 ZINC000152730520 623760937 /nfs/dbraw/zinc/76/09/37/623760937.db2.gz SBDUVSMBULXILY-CYBMUJFWSA-N 0 0 295.241 2.985 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826026265 623783522 /nfs/dbraw/zinc/78/35/22/623783522.db2.gz ALBWZLAMZRQZSU-NEPJUHHUSA-N 0 0 270.373 2.907 20 5 CFBDRN CC(C)[C@H]1CCCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826038198 623785569 /nfs/dbraw/zinc/78/55/69/623785569.db2.gz ZQQLEVDICWTWOJ-GFCCVEGCSA-N 0 0 270.373 2.859 20 5 CFBDRN CC[C@](C)(NC(=O)CCC(C)(C)[N+](=O)[O-])c1nccs1 ZINC000826136550 623796635 /nfs/dbraw/zinc/79/66/35/623796635.db2.gz CSELOWPHNOEJIH-ZDUSSCGKSA-N 0 0 299.396 2.720 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826639555 623827627 /nfs/dbraw/zinc/82/76/27/623827627.db2.gz XBBCKRLZTVKDCT-NSHDSACASA-N 0 0 258.362 2.717 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2C[C@@H]3CCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000153146037 623857157 /nfs/dbraw/zinc/85/71/57/623857157.db2.gz IUNKAZVHCFKROI-PHIMTYICSA-N 0 0 292.310 2.914 20 5 CFBDRN CCC1(CC)CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826825619 623885202 /nfs/dbraw/zinc/88/52/02/623885202.db2.gz RCWHSBAXDUNPHZ-UHFFFAOYSA-N 0 0 270.373 2.861 20 5 CFBDRN CSCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000072557342 625683450 /nfs/dbraw/zinc/68/34/50/625683450.db2.gz OLAKKYSNKPUVST-QPJJXVBHSA-N 0 0 267.306 2.514 20 5 CFBDRN CC1CC(CNC(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000826864752 623897390 /nfs/dbraw/zinc/89/73/90/623897390.db2.gz WJCGVLIAAGDMND-FWFPMQDGSA-N 0 0 288.347 2.861 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)Cc1ccco1 ZINC000914743656 623931751 /nfs/dbraw/zinc/93/17/51/623931751.db2.gz YUCPMYUFVCTBMU-LLVKDONJSA-N 0 0 288.303 2.857 20 5 CFBDRN CC(C)c1cccnc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827250388 623974371 /nfs/dbraw/zinc/97/43/71/623974371.db2.gz QTIURYPANSQRPH-UHFFFAOYSA-N 0 0 279.340 2.979 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000915089975 623981793 /nfs/dbraw/zinc/98/17/93/623981793.db2.gz PYXYTWSLKIWYMI-SREVYHEPSA-N 0 0 297.742 2.925 20 5 CFBDRN CCc1ccc(C(=O)OCC(C)(C)OC)cc1[N+](=O)[O-] ZINC000835501173 623991019 /nfs/dbraw/zinc/99/10/19/623991019.db2.gz ZENIHGIIKZHJIR-UHFFFAOYSA-N 0 0 281.308 2.739 20 5 CFBDRN CC[C@H]1CCCN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000915212903 623997004 /nfs/dbraw/zinc/99/70/04/623997004.db2.gz QNZSQIDHAYDFQY-NSHDSACASA-N 0 0 274.324 2.869 20 5 CFBDRN CC(C)C[C@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000827496958 624017002 /nfs/dbraw/zinc/01/70/02/624017002.db2.gz PEYASPNAPHOEKQ-NSHDSACASA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc2n[nH]cc21 ZINC000827584808 624039091 /nfs/dbraw/zinc/03/90/91/624039091.db2.gz YLZWPHJUHCXLPI-UHFFFAOYSA-N 0 0 290.323 2.645 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)CCSC ZINC000835814347 624043212 /nfs/dbraw/zinc/04/32/12/624043212.db2.gz TWAPFUVPAOBVMX-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000888278223 624053328 /nfs/dbraw/zinc/05/33/28/624053328.db2.gz MZRCOGVFWPOULU-VIFPVBQESA-N 0 0 279.271 2.822 20 5 CFBDRN CCc1cnccc1[C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827783712 624091654 /nfs/dbraw/zinc/09/16/54/624091654.db2.gz OWSMMHRIWZMQGQ-NSHDSACASA-N 0 0 293.367 2.657 20 5 CFBDRN CC(C)(CCC(=O)N1CC=C(c2ccccc2)C1)[N+](=O)[O-] ZINC000827888077 624121369 /nfs/dbraw/zinc/12/13/69/624121369.db2.gz SUQHONGFHAFBKQ-UHFFFAOYSA-N 0 0 288.347 2.748 20 5 CFBDRN CO[C@H]1Cc2ccc(Nc3ccc([N+](=O)[O-])nc3)cc2C1 ZINC000827896183 624122790 /nfs/dbraw/zinc/12/27/90/624122790.db2.gz ZZQIGQUNBRXJQH-AWEZNQCLSA-N 0 0 285.303 2.847 20 5 CFBDRN CC(C(=O)NCCc1cccc([N+](=O)[O-])c1)=C1CCC1 ZINC000827895571 624122805 /nfs/dbraw/zinc/12/28/05/624122805.db2.gz VOMUDCRXPSILSX-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN CCn1nc(C)c(CNc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000834513958 625699573 /nfs/dbraw/zinc/69/95/73/625699573.db2.gz PYUDSKXFUUACSS-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCCC2CCOCC2)c1 ZINC000828292618 624223651 /nfs/dbraw/zinc/22/36/51/624223651.db2.gz FXEBPJAUBDHYDU-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2=CCOCC2)c1 ZINC000828492095 624256517 /nfs/dbraw/zinc/25/65/17/624256517.db2.gz FRLLJKQKYKACKV-UHFFFAOYSA-N 0 0 282.271 2.501 20 5 CFBDRN Cc1cc(C(=O)OCCC[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000828532532 624263281 /nfs/dbraw/zinc/26/32/81/624263281.db2.gz XHUIBGVFFJGCCI-GFCCVEGCSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1cnc(C)c(Oc2ccc(C=O)cc2[N+](=O)[O-])n1 ZINC000916621967 624265576 /nfs/dbraw/zinc/26/55/76/624265576.db2.gz UQBAPBCJPGVORA-UHFFFAOYSA-N 0 0 273.248 2.606 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCCC[C@@H]1CCOC1 ZINC000828575401 624271561 /nfs/dbraw/zinc/27/15/61/624271561.db2.gz IHSKWQKVPWCVJV-GFCCVEGCSA-N 0 0 293.319 2.877 20 5 CFBDRN CN(CCC1CCCCC1)Cn1cc([N+](=O)[O-])cn1 ZINC000101539086 625719670 /nfs/dbraw/zinc/71/96/70/625719670.db2.gz BNZFNOSEYWWVTE-UHFFFAOYSA-N 0 0 266.345 2.651 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H](C)CCCCO)c1 ZINC000828619289 624278560 /nfs/dbraw/zinc/27/85/60/624278560.db2.gz FZUFTHSVZBNPQQ-SECBINFHSA-N 0 0 286.303 2.706 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CC[C@H](C3CC3)O2)c1 ZINC000916819273 624286859 /nfs/dbraw/zinc/28/68/59/624286859.db2.gz IRAJPLQMKXCVIQ-GXTWGEPZSA-N 0 0 291.303 2.744 20 5 CFBDRN CCC1CCN(c2ccc([N+](=O)[O-])c3nonc32)CC1 ZINC000917091005 624309382 /nfs/dbraw/zinc/30/93/82/624309382.db2.gz DLPGBRFZAYRMGY-UHFFFAOYSA-N 0 0 276.296 2.757 20 5 CFBDRN COCCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000917264210 624327839 /nfs/dbraw/zinc/32/78/39/624327839.db2.gz LEAIDEUCJGXXPK-UHFFFAOYSA-N 0 0 279.248 2.534 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000828918688 624330512 /nfs/dbraw/zinc/33/05/12/624330512.db2.gz QVIXPQWOHMJFQT-LBPRGKRZSA-N 0 0 283.255 2.504 20 5 CFBDRN C/C(=C/C(=O)OCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000917338435 624332033 /nfs/dbraw/zinc/33/20/33/624332033.db2.gz NMCFHFPDLPCRIW-NTMALXAHSA-N 0 0 261.277 2.994 20 5 CFBDRN C/C(=C\C(=O)OCCOc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000917337011 624332143 /nfs/dbraw/zinc/33/21/43/624332143.db2.gz GVKUWUCOPYTDJM-PKNBQFBNSA-N 0 0 291.303 2.873 20 5 CFBDRN CC[C@@H](C)[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)OC ZINC000917346408 624333602 /nfs/dbraw/zinc/33/36/02/624333602.db2.gz BEFKZLHGSAPVSV-KCJUWKMLSA-N 0 0 284.337 2.670 20 5 CFBDRN CC[C@H](C)[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)OC ZINC000917346407 624333914 /nfs/dbraw/zinc/33/39/14/624333914.db2.gz BEFKZLHGSAPVSV-GZMMTYOYSA-N 0 0 284.337 2.670 20 5 CFBDRN Cc1nc(C)c(CSc2ccc([N+](=O)[O-])cn2)[nH]1 ZINC000917347843 624334763 /nfs/dbraw/zinc/33/47/63/624334763.db2.gz YSWQFBMXPVIPDK-UHFFFAOYSA-N 0 0 264.310 2.622 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]([C@H](CO)C1CC1)C1CC1 ZINC000828946663 624337825 /nfs/dbraw/zinc/33/78/25/624337825.db2.gz HMVJVKZKPRNXAB-BXUZGUMPSA-N 0 0 294.326 2.943 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000917390433 624338925 /nfs/dbraw/zinc/33/89/25/624338925.db2.gz RNIZIAYOHYHJTH-AOOOYVTPSA-N 0 0 295.314 2.642 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1nccs1 ZINC000917389518 624339119 /nfs/dbraw/zinc/33/91/19/624339119.db2.gz JQDADJLSHMCUTD-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cnc(C3CC3)o2)nc1C1CC1 ZINC000917483275 624346533 /nfs/dbraw/zinc/34/65/33/624346533.db2.gz CSYSDHDREFQQID-UHFFFAOYSA-N 0 0 274.280 2.582 20 5 CFBDRN Cc1cc(OCC(=O)C2(Cl)CC2)c(F)cc1[N+](=O)[O-] ZINC000917502265 624347192 /nfs/dbraw/zinc/34/71/92/624347192.db2.gz TVNHMSDCFDFSFW-UHFFFAOYSA-N 0 0 287.674 2.762 20 5 CFBDRN CC(C)(C)OC(=O)CSCc1cccnc1[N+](=O)[O-] ZINC000917490903 624348206 /nfs/dbraw/zinc/34/82/06/624348206.db2.gz NYITZLQIPBAASV-UHFFFAOYSA-N 0 0 284.337 2.565 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)OCC(C)C)c([N+](=O)[O-])c1 ZINC000917564409 624356655 /nfs/dbraw/zinc/35/66/55/624356655.db2.gz CZBNXLALVKOJFU-JTQLQIEISA-N 0 0 297.307 2.570 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N2CCCSCC2)cc1 ZINC000917580927 624365092 /nfs/dbraw/zinc/36/50/92/624365092.db2.gz FTKIRHBTSGLAGB-UHFFFAOYSA-N 0 0 297.405 2.731 20 5 CFBDRN COc1cccc2c1CN(c1ccc(N)cc1[N+](=O)[O-])CC2 ZINC000829083635 624368731 /nfs/dbraw/zinc/36/87/31/624368731.db2.gz VLNVTFKEMYGPFJ-UHFFFAOYSA-N 0 0 299.330 2.748 20 5 CFBDRN CCc1ncc(COc2c(Cl)cncc2[N+](=O)[O-])o1 ZINC000917709779 624378738 /nfs/dbraw/zinc/37/87/38/624378738.db2.gz JHMURUSXARAKJP-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN Cc1ccc(OS(=O)(=O)c2ccc([N+](=O)[O-])s2)cc1 ZINC000064240440 624388110 /nfs/dbraw/zinc/38/81/10/624388110.db2.gz YODVEEDQBMODKK-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN CN(c1cccc(F)c1[N+](=O)[O-])[C@@H](CO)CC(C)(C)C ZINC000829159707 624389354 /nfs/dbraw/zinc/38/93/54/624389354.db2.gz WNGQTILIVGXPML-SNVBAGLBSA-N 0 0 284.331 2.967 20 5 CFBDRN CCc1nc(CNc2ccc([N+](=O)[O-])cc2F)co1 ZINC000829177650 624392180 /nfs/dbraw/zinc/39/21/80/624392180.db2.gz FATBWXWYPOINSE-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CCc1nc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])co1 ZINC000829177825 624392214 /nfs/dbraw/zinc/39/22/14/624392214.db2.gz NDTHNUAZZXMIJS-UHFFFAOYSA-N 0 0 292.251 2.666 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(F)CC1 ZINC000829206170 624400280 /nfs/dbraw/zinc/40/02/80/624400280.db2.gz SDAIVNYKGHNAFC-UHFFFAOYSA-N 0 0 298.339 2.891 20 5 CFBDRN C[C@H](NC(=O)N1CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000917999456 624406674 /nfs/dbraw/zinc/40/66/74/624406674.db2.gz FOBUMPZIGWWKNW-NSHDSACASA-N 0 0 277.324 2.851 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@]2(CCOC2)C1 ZINC000829327490 624418718 /nfs/dbraw/zinc/41/87/18/624418718.db2.gz KLMWARDGTHUBNV-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN CC(C)c1ccccc1NC(=O)Cn1ccnc1[N+](=O)[O-] ZINC000918334798 624431961 /nfs/dbraw/zinc/43/19/61/624431961.db2.gz JAPKUTHPEPAZCM-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN O=[N+]([O-])c1nccn1CC[C@H]1CCc2ccccc21 ZINC000918388298 624435428 /nfs/dbraw/zinc/43/54/28/624435428.db2.gz ZSMNYDAIAUQMOJ-GFCCVEGCSA-N 0 0 257.293 2.911 20 5 CFBDRN CNc1ccc(C(=O)OCC2CCCC2)cc1[N+](=O)[O-] ZINC000918506110 624440992 /nfs/dbraw/zinc/44/09/92/624440992.db2.gz UEGVBUHRMREPOX-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCCOC1CCCC1 ZINC000918515794 624446106 /nfs/dbraw/zinc/44/61/06/624446106.db2.gz HKPRBROIEBADOU-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1ccccc1CO ZINC000112075698 624447793 /nfs/dbraw/zinc/44/77/93/624447793.db2.gz QAGKJMMVFFVOPK-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN CCOc1cc(Cn2nc(Cl)nc2C)ccc1[N+](=O)[O-] ZINC000918569911 624451418 /nfs/dbraw/zinc/45/14/18/624451418.db2.gz ZRNFGHWXHDZVMQ-UHFFFAOYSA-N 0 0 296.714 2.595 20 5 CFBDRN Cc1ccc(N2CC[C@H](CCCO)C2)c([N+](=O)[O-])c1 ZINC000829576204 624457037 /nfs/dbraw/zinc/45/70/37/624457037.db2.gz AUOOAGOZAXFHTI-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1nn(C[C@@]2(C)CC2(Cl)Cl)cc1[N+](=O)[O-] ZINC000829602551 624466340 /nfs/dbraw/zinc/46/63/40/624466340.db2.gz YINIPCUWRKVNQN-MRVPVSSYSA-N 0 0 264.112 2.684 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n(C[C@H]2CCCCC2(F)F)n1 ZINC000829833387 624560725 /nfs/dbraw/zinc/56/07/25/624560725.db2.gz CTLOPLSZVCRGPP-SSDOTTSWSA-N 0 0 290.226 2.525 20 5 CFBDRN CC[C@H](c1ccccc1)n1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829842327 624564604 /nfs/dbraw/zinc/56/46/04/624564604.db2.gz JESVFEPQOBFZHK-SNVBAGLBSA-N 0 0 276.252 2.699 20 5 CFBDRN CCCC[C@H](CC)Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829848011 624565684 /nfs/dbraw/zinc/56/56/84/624565684.db2.gz PMSNCDRAOUQIBM-VIFPVBQESA-N 0 0 270.289 2.916 20 5 CFBDRN CCC(F)(F)COC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000851488815 634392415 /nfs/dbraw/zinc/39/24/15/634392415.db2.gz GHDMANSIEKYARE-UHFFFAOYSA-N 0 0 289.234 2.562 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)[C@H]1C[C@H]2C[C@H]2C1 ZINC000829969816 624592086 /nfs/dbraw/zinc/59/20/86/624592086.db2.gz PRTJFPVVZBMYLO-MYJAWHEDSA-N 0 0 294.738 2.911 20 5 CFBDRN CC(C)N(Cc1cccs1)Cn1nccc1[N+](=O)[O-] ZINC000919955252 624647734 /nfs/dbraw/zinc/64/77/34/624647734.db2.gz UOOJULLCBFPJGL-UHFFFAOYSA-N 0 0 280.353 2.721 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C)C(C)C ZINC000920028966 624659324 /nfs/dbraw/zinc/65/93/24/624659324.db2.gz HFZKDNOPKWJKMT-ZDUSSCGKSA-N 0 0 293.367 2.825 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1scnc1Cl ZINC000920080772 624671631 /nfs/dbraw/zinc/67/16/31/624671631.db2.gz UIINBHNPHUKPEP-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN COc1ccc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])[n-]2)cc1 ZINC000920167261 624693046 /nfs/dbraw/zinc/69/30/46/624693046.db2.gz XOGRLSYSQDNKKU-SECBINFHSA-N 0 0 290.275 2.850 20 5 CFBDRN CCC/C(C)=C\C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000920221772 624700858 /nfs/dbraw/zinc/70/08/58/624700858.db2.gz LPJGCQBYMFMYFM-FLIBITNWSA-N 0 0 292.335 2.966 20 5 CFBDRN CCN(CCc1ccccn1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000920247549 624702513 /nfs/dbraw/zinc/70/25/13/624702513.db2.gz QATFQOIFXQMJJC-UHFFFAOYSA-N 0 0 299.330 2.695 20 5 CFBDRN CCOc1cc(Cn2cc(C)sc2=O)ccc1[N+](=O)[O-] ZINC000920332340 624713353 /nfs/dbraw/zinc/71/33/53/624713353.db2.gz OYSABPIGSGTZBQ-UHFFFAOYSA-N 0 0 294.332 2.573 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000830472677 624753901 /nfs/dbraw/zinc/75/39/01/624753901.db2.gz AFXVILVBSQZDNR-MRVPVSSYSA-N 0 0 270.260 2.536 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000921365200 624848325 /nfs/dbraw/zinc/84/83/25/624848325.db2.gz GJNGITSLELJOLV-WDEREUQCSA-N 0 0 277.324 2.707 20 5 CFBDRN CCCOC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000921365551 624848773 /nfs/dbraw/zinc/84/87/73/624848773.db2.gz OOAADISYPGGFLI-SECBINFHSA-N 0 0 252.270 2.792 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])C1(F)CC1 ZINC000830760798 624849311 /nfs/dbraw/zinc/84/93/11/624849311.db2.gz CNAIBEUABKJIKH-UHFFFAOYSA-N 0 0 273.647 2.794 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCC(=O)C1)c1ccccc1[N+](=O)[O-] ZINC000830891168 624918915 /nfs/dbraw/zinc/91/89/15/624918915.db2.gz CINMFBBOGJBISV-VHSXEESVSA-N 0 0 277.276 2.568 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]1CCC(C)(C)C1 ZINC000831010351 624952198 /nfs/dbraw/zinc/95/21/98/624952198.db2.gz SIRJIZWBYBOLHV-LLVKDONJSA-N 0 0 270.373 2.859 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccon1 ZINC000179528980 624966134 /nfs/dbraw/zinc/96/61/34/624966134.db2.gz DXJJUAUXDBUZHF-QMMMGPOBSA-N 0 0 293.304 2.702 20 5 CFBDRN CC(C)N(CC1CCC1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000831187535 624970175 /nfs/dbraw/zinc/97/01/75/624970175.db2.gz JCXGEDYALAOZTE-UHFFFAOYSA-N 0 0 270.373 2.859 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCC1CC=CC1 ZINC000831446498 625023556 /nfs/dbraw/zinc/02/35/56/625023556.db2.gz RJAWGLXTZFKGTD-UHFFFAOYSA-N 0 0 274.320 2.989 20 5 CFBDRN O=C(NCCC1CC=CC1)c1ccc([N+](=O)[O-])cc1F ZINC000831449431 625024241 /nfs/dbraw/zinc/02/42/41/625024241.db2.gz IDAJBWKYEPMQQQ-UHFFFAOYSA-N 0 0 278.283 2.820 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1OC2CCC1CC2 ZINC000831514274 625039836 /nfs/dbraw/zinc/03/98/36/625039836.db2.gz KJLZZJUMQRQBHV-KMKOMSMNSA-N 0 0 288.303 2.693 20 5 CFBDRN CCOC1(COC(=O)Cc2cccc([N+](=O)[O-])c2)CCC1 ZINC000851555204 634429729 /nfs/dbraw/zinc/42/97/29/634429729.db2.gz WZQZRHLLLBLODK-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN Cc1cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])n[nH]1 ZINC000080599408 625076507 /nfs/dbraw/zinc/07/65/07/625076507.db2.gz XWJXTAKCQJFISA-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2nccn2C)ccc1[N+](=O)[O-] ZINC000851583460 634445528 /nfs/dbraw/zinc/44/55/28/634445528.db2.gz LUTKDQBZBAORTJ-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCC(F)(F)F ZINC000073071005 625769685 /nfs/dbraw/zinc/76/96/85/625769685.db2.gz ZOMDRYVWKYMTJE-ZZXKWVIFSA-N 0 0 275.182 2.714 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])CCS1 ZINC000834666214 625770629 /nfs/dbraw/zinc/77/06/29/625770629.db2.gz QWSZUTJQKYVMSC-WCBMZHEXSA-N 0 0 298.339 2.748 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCS1 ZINC000834670283 625773129 /nfs/dbraw/zinc/77/31/29/625773129.db2.gz GZIFXRBXLYBUTD-GZMMTYOYSA-N 0 0 298.339 2.748 20 5 CFBDRN CC(C)(CCc1nc(-c2ccc(O)cc2F)no1)[N+](=O)[O-] ZINC000834701466 625792563 /nfs/dbraw/zinc/79/25/63/625792563.db2.gz BNOGAZISSWRGTA-UHFFFAOYSA-N 0 0 295.270 2.569 20 5 CFBDRN CN(C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000110085769 634459366 /nfs/dbraw/zinc/45/93/66/634459366.db2.gz YEKIOEXYJXYEPY-UHFFFAOYSA-N 0 0 269.688 2.874 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@H]1CCn2ccnc21 ZINC000834835375 625893585 /nfs/dbraw/zinc/89/35/85/625893585.db2.gz IBGXIVMHROIHKE-RISCZKNCSA-N 0 0 286.335 2.895 20 5 CFBDRN CCc1nc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000834898944 625914392 /nfs/dbraw/zinc/91/43/92/625914392.db2.gz COPVKYOULQHIEG-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN CCc1nc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)co1 ZINC000834899481 625914695 /nfs/dbraw/zinc/91/46/95/625914695.db2.gz HRPPUCRVZJAXOI-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000929222365 625981237 /nfs/dbraw/zinc/98/12/37/625981237.db2.gz HAGRIPWEAVAUFT-QWHCGFSZSA-N 0 0 293.323 2.544 20 5 CFBDRN CCCN(CCC)C(=O)CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000073626947 626068355 /nfs/dbraw/zinc/06/83/55/626068355.db2.gz VNICVTFRNPEFQS-UHFFFAOYSA-N 0 0 297.330 2.794 20 5 CFBDRN CC(C)(C)OCCOc1ccc([N+](=O)[O-])cc1C=O ZINC000065233552 626195167 /nfs/dbraw/zinc/19/51/67/626195167.db2.gz AMDGSTMCRZKBEC-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1cc([C@@H]2CCCN2Cc2onc(C)c2[N+](=O)[O-])no1 ZINC000851716066 634485569 /nfs/dbraw/zinc/48/55/69/634485569.db2.gz HUEFBMGWJIHZKT-NSHDSACASA-N 0 0 292.295 2.525 20 5 CFBDRN CC(F)(F)CCCOC(=O)c1ccc([N+](=O)[O-])o1 ZINC000922173368 626230645 /nfs/dbraw/zinc/23/06/45/626230645.db2.gz DCWHYBQHWFDTMO-UHFFFAOYSA-N 0 0 263.196 2.780 20 5 CFBDRN CC[C@@H]1C[C@H]1COC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000922289460 626260928 /nfs/dbraw/zinc/26/09/28/626260928.db2.gz VOVQTFZYJSQPBG-ZJUUUORDSA-N 0 0 264.281 2.501 20 5 CFBDRN CC(F)(F)CCCOC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000922297024 626262035 /nfs/dbraw/zinc/26/20/35/626262035.db2.gz IQNFHMRPEGEBDS-UHFFFAOYSA-N 0 0 288.250 2.769 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)Cc2ccccc21 ZINC000922316845 626269027 /nfs/dbraw/zinc/26/90/27/626269027.db2.gz GWGFKEUATKYPBN-SNVBAGLBSA-N 0 0 286.287 2.947 20 5 CFBDRN CC(F)(F)CCCOC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000922529917 626303685 /nfs/dbraw/zinc/30/36/85/626303685.db2.gz OUYOMNGBPYUWGO-UHFFFAOYSA-N 0 0 274.223 2.582 20 5 CFBDRN COC[C@@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000073833102 626394102 /nfs/dbraw/zinc/39/41/02/626394102.db2.gz LGUOUIMROUPPLW-ZJUUUORDSA-N 0 0 299.348 2.654 20 5 CFBDRN C[C@H]1CCCC[C@H]1N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822406376 626416734 /nfs/dbraw/zinc/41/67/34/626416734.db2.gz KASPKSFTERZEEL-NWDGAFQWSA-N 0 0 270.373 2.859 20 5 CFBDRN O=C(NCc1ccc[nH]1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000074124037 626440769 /nfs/dbraw/zinc/44/07/69/626440769.db2.gz QJGGLIFRTXBIRE-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN O=c1ccnc(C=Cc2ccc(Cl)c([N+](=O)[O-])c2)[nH]1 ZINC000742220214 626481208 /nfs/dbraw/zinc/48/12/08/626481208.db2.gz LIIUJEGYVNTRLG-RQOWECAXSA-N 0 0 277.667 2.914 20 5 CFBDRN O=C(CC1(O)CCC1)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000838211662 626488824 /nfs/dbraw/zinc/48/88/24/626488824.db2.gz NVUJMLDXMVSYOP-UHFFFAOYSA-N 0 0 299.710 2.597 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1oc(C)nc1C ZINC000788099496 626491134 /nfs/dbraw/zinc/49/11/34/626491134.db2.gz OMNKYQHANVVQGY-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc(F)c([N+](=O)[O-])cc1F ZINC000838261075 626491198 /nfs/dbraw/zinc/49/11/98/626491198.db2.gz VVFSKNWXBLZYNM-RGNHYFCHSA-N 0 0 296.273 2.791 20 5 CFBDRN CCC(C)(C)CCOC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283133 626491794 /nfs/dbraw/zinc/49/17/94/626491794.db2.gz FWKSLHQBXDEEHF-CYBMUJFWSA-N 0 0 295.335 2.998 20 5 CFBDRN CC/C=C\CCOC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283734 626491834 /nfs/dbraw/zinc/49/18/34/626491834.db2.gz IGGGAWBVYKMXDL-DHCBQETCSA-N 0 0 279.292 2.528 20 5 CFBDRN CCC(C)(C)OCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000838411681 626496364 /nfs/dbraw/zinc/49/63/64/626496364.db2.gz VFUBAHAEPTXOMA-UHFFFAOYSA-N 0 0 299.298 2.982 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000838869376 626512215 /nfs/dbraw/zinc/51/22/15/626512215.db2.gz VUSUZRQTUKDBFV-VIFPVBQESA-N 0 0 279.292 2.945 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000839108103 626525409 /nfs/dbraw/zinc/52/54/09/626525409.db2.gz NWHPCEWJHUOUOK-LERXQTSPSA-N 0 0 293.319 2.809 20 5 CFBDRN CC(C)(CCC(=O)Oc1c(F)cccc1F)[N+](=O)[O-] ZINC000839220018 626532466 /nfs/dbraw/zinc/53/24/66/626532466.db2.gz BNJFZQNBOALDTC-UHFFFAOYSA-N 0 0 273.235 2.706 20 5 CFBDRN Cc1c(OC(=O)C2(CF)CCOCC2)cccc1[N+](=O)[O-] ZINC000839255701 626532632 /nfs/dbraw/zinc/53/26/32/626532632.db2.gz HBQKIECEWWXKPU-UHFFFAOYSA-N 0 0 297.282 2.575 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1cnc(C2CC2)nc1 ZINC000839312068 626533527 /nfs/dbraw/zinc/53/35/27/626533527.db2.gz RURUEBXZEGHOIG-UHFFFAOYSA-N 0 0 299.286 2.790 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000839380016 626534741 /nfs/dbraw/zinc/53/47/41/626534741.db2.gz UURLCZCJASGIQG-JTQLQIEISA-N 0 0 266.272 2.667 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000839380018 626534782 /nfs/dbraw/zinc/53/47/82/626534782.db2.gz UURLCZCJASGIQG-SNVBAGLBSA-N 0 0 266.272 2.667 20 5 CFBDRN CC(C)(C)C[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000839526461 626538298 /nfs/dbraw/zinc/53/82/98/626538298.db2.gz CUVJJVSVGAIVSG-MGPQQGTHSA-N 0 0 290.363 2.945 20 5 CFBDRN C[C@@H](OC(=O)c1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000137340427 626538525 /nfs/dbraw/zinc/53/85/25/626538525.db2.gz YQANKGLOQYQYMU-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000749320884 626540644 /nfs/dbraw/zinc/54/06/44/626540644.db2.gz CEFMIKAVSYHLGY-IUCAKERBSA-N 0 0 286.278 2.877 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(c1cncc(Cl)n1)C2 ZINC000756960358 626542769 /nfs/dbraw/zinc/54/27/69/626542769.db2.gz ZNLDMVVFAVXSKO-UHFFFAOYSA-N 0 0 290.710 2.601 20 5 CFBDRN Cc1[nH]ccc1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756999308 626543311 /nfs/dbraw/zinc/54/33/11/626543311.db2.gz ZSARXAKUJOBDQA-UHFFFAOYSA-N 0 0 293.710 2.815 20 5 CFBDRN O=C(NC(C1CCCC1)C1CCCC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839685059 626551006 /nfs/dbraw/zinc/55/10/06/626551006.db2.gz AEEVZPMVKOMBEJ-CHWSQXEVSA-N 0 0 280.368 2.517 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CC2[N+](=O)[O-])ccc1C(F)(F)F ZINC000839689835 626551112 /nfs/dbraw/zinc/55/11/12/626551112.db2.gz AZGFVLGTAIZUFM-PSASIEDQSA-N 0 0 288.225 2.618 20 5 CFBDRN C[C@H]1[C@H](CO)CCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000839669355 626551643 /nfs/dbraw/zinc/55/16/43/626551643.db2.gz OVRVSJVCGLYOCC-QWRGUYRKSA-N 0 0 298.770 2.841 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])C(C)(C)c1ccccc1 ZINC000839704283 626552411 /nfs/dbraw/zinc/55/24/11/626552411.db2.gz VDWWZMOWRZDNJP-MCIONIFRSA-N 0 0 290.363 2.524 20 5 CFBDRN C[C@H]1CC[C@@H](c2ccccc2)N(C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C1 ZINC000839762554 626553633 /nfs/dbraw/zinc/55/36/33/626553633.db2.gz RZUAXOIDNSGUOH-PMOUVXMZSA-N 0 0 288.347 2.651 20 5 CFBDRN CCC(C)(C)c1ccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000839748830 626554192 /nfs/dbraw/zinc/55/41/92/626554192.db2.gz MUYWNPBFCYUDTF-CHWSQXEVSA-N 0 0 276.336 2.978 20 5 CFBDRN CC/C=C(\C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757618268 626556412 /nfs/dbraw/zinc/55/64/12/626556412.db2.gz VQBAYEHTZXANAN-VZUCSPMQSA-N 0 0 274.320 2.836 20 5 CFBDRN CON(C(=O)c1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000757654832 626557284 /nfs/dbraw/zinc/55/72/84/626557284.db2.gz DYLINSPPBVBDJJ-UHFFFAOYSA-N 0 0 264.281 2.541 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000758112472 626576914 /nfs/dbraw/zinc/57/69/14/626576914.db2.gz GULIDWWLNJLOJA-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000758113758 626576945 /nfs/dbraw/zinc/57/69/45/626576945.db2.gz LEKMWGMKJCNTIA-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000758478967 626595238 /nfs/dbraw/zinc/59/52/38/626595238.db2.gz CPSLACDTFCKXLJ-VIFPVBQESA-N 0 0 280.299 2.818 20 5 CFBDRN CC[C@]1(C)CCN(c2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000758486429 626595524 /nfs/dbraw/zinc/59/55/24/626595524.db2.gz NRWXAFKSARGPJM-CYBMUJFWSA-N 0 0 276.296 2.757 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2C[C@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000758502519 626596233 /nfs/dbraw/zinc/59/62/33/626596233.db2.gz CSWJHOKJMMNDKY-AOOOYVTPSA-N 0 0 280.299 2.770 20 5 CFBDRN Cc1cc(O)ccc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000758514755 626596806 /nfs/dbraw/zinc/59/68/06/626596806.db2.gz HYSJLQVNZABNAK-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN O=C(/C=C\c1ccco1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000758717170 626604406 /nfs/dbraw/zinc/60/44/06/626604406.db2.gz FJEXJZOBCPCPJZ-XQRVVYSFSA-N 0 0 284.271 2.790 20 5 CFBDRN CC1(CC(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000758835997 626612348 /nfs/dbraw/zinc/61/23/48/626612348.db2.gz ARIMWFLNUVTESY-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN Nc1c(C(=O)OCCc2cccs2)cccc1[N+](=O)[O-] ZINC000758966045 626620796 /nfs/dbraw/zinc/62/07/96/626620796.db2.gz RGSIAXBFYRAMEM-UHFFFAOYSA-N 0 0 292.316 2.638 20 5 CFBDRN Cc1nn(CN2CC[C@@H](C)C(C)(C)C2)c(C)c1[N+](=O)[O-] ZINC000758993790 626622553 /nfs/dbraw/zinc/62/25/53/626622553.db2.gz SJGICGCFBRZUNS-SNVBAGLBSA-N 0 0 280.372 2.734 20 5 CFBDRN Cc1c(COC(=O)c2cncc(F)c2)cccc1[N+](=O)[O-] ZINC000759417746 626655755 /nfs/dbraw/zinc/65/57/55/626655755.db2.gz HWDXDVWNBXOAHO-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000759564745 626671995 /nfs/dbraw/zinc/67/19/95/626671995.db2.gz BRCKUGLHGNWIDX-UHFFFAOYSA-N 0 0 297.282 2.958 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000759565268 626672116 /nfs/dbraw/zinc/67/21/16/626672116.db2.gz FMBOLMJRZQBNOR-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN CC1(O)CCN(c2nc3ccc([N+](=O)[O-])cc3s2)CC1 ZINC000759696933 626684768 /nfs/dbraw/zinc/68/47/68/626684768.db2.gz FLACGDCEOPYLIW-UHFFFAOYSA-N 0 0 293.348 2.556 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CC12CCC2 ZINC000759818702 626693389 /nfs/dbraw/zinc/69/33/89/626693389.db2.gz AFKWMYUISIPDNN-NSHDSACASA-N 0 0 261.277 2.999 20 5 CFBDRN O=C(O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)C1CCC1 ZINC000759935688 626703312 /nfs/dbraw/zinc/70/33/12/626703312.db2.gz YUGVTAKHPMFBOB-RYUDHWBXSA-N 0 0 293.323 2.618 20 5 CFBDRN COc1ccc(COC(=O)[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000759992931 626707913 /nfs/dbraw/zinc/70/79/13/626707913.db2.gz QBEYWHRLRUMWST-LLVKDONJSA-N 0 0 291.303 2.837 20 5 CFBDRN Cc1noc(C)c1CC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760019507 626709708 /nfs/dbraw/zinc/70/97/08/626709708.db2.gz ULHBUWVPWXNFKA-UHFFFAOYSA-N 0 0 290.275 2.656 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccc2nccn2c1 ZINC000760019132 626709776 /nfs/dbraw/zinc/70/97/76/626709776.db2.gz VUKPZOCILMEFRN-UHFFFAOYSA-N 0 0 297.270 2.770 20 5 CFBDRN CCn1nc(C)cc1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760016053 626709889 /nfs/dbraw/zinc/70/98/89/626709889.db2.gz JOMWACQBEFLRST-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN CS/C=C\C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760023690 626710335 /nfs/dbraw/zinc/71/03/35/626710335.db2.gz YPIQOWLGLJDYPH-SREVYHEPSA-N 0 0 253.279 2.685 20 5 CFBDRN Cc1nc(Nc2cccc(CO)c2)ccc1[N+](=O)[O-] ZINC000760444660 626746537 /nfs/dbraw/zinc/74/65/37/626746537.db2.gz JGEBHRVGFKIYDK-UHFFFAOYSA-N 0 0 259.265 2.534 20 5 CFBDRN O=C(OCc1cnc(Cl)s1)c1ccc([N+](=O)[O-])o1 ZINC000760458986 626747473 /nfs/dbraw/zinc/74/74/73/626747473.db2.gz RUYAGDJPGAFRMU-UHFFFAOYSA-N 0 0 288.668 2.655 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cn1)c1ccccc1OC ZINC000760478659 626749411 /nfs/dbraw/zinc/74/94/11/626749411.db2.gz ZBCTWOOZYOBFFR-LBPRGKRZSA-N 0 0 288.307 2.957 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2cscn2)n1 ZINC000760556158 626755408 /nfs/dbraw/zinc/75/54/08/626755408.db2.gz ZUTTVHMCXWCPTL-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN Cc1cccc(C)c1CNc1ncc([N+](=O)[O-])cn1 ZINC000760572331 626756606 /nfs/dbraw/zinc/75/66/06/626756606.db2.gz QJHHULZPUBZPHY-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1cncnc1 ZINC000760604756 626758884 /nfs/dbraw/zinc/75/88/84/626758884.db2.gz SEDLPNXDSQQUEZ-UHFFFAOYSA-N 0 0 293.666 2.566 20 5 CFBDRN O=C(OC[C@H]1CCCOC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000760715258 626766103 /nfs/dbraw/zinc/76/61/03/626766103.db2.gz CJEMEHOUSBUKFZ-VIFPVBQESA-N 0 0 299.710 2.832 20 5 CFBDRN O=C(OC[C@H]1CCCOC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000760777571 626771779 /nfs/dbraw/zinc/77/17/79/626771779.db2.gz OPGAAIHVLSPSDC-VIFPVBQESA-N 0 0 299.710 2.832 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC(CC(C)C)CC2)c1[N+](=O)[O-] ZINC000760941923 626786218 /nfs/dbraw/zinc/78/62/18/626786218.db2.gz XLHNROQBHYRTNQ-UHFFFAOYSA-N 0 0 294.355 2.525 20 5 CFBDRN C/C=C(/C)C(=O)O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000761007649 626791112 /nfs/dbraw/zinc/79/11/12/626791112.db2.gz UTVDEUMEABCCOD-VZAOXQLQSA-N 0 0 293.323 2.784 20 5 CFBDRN COCc1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])o1 ZINC000761214487 626815691 /nfs/dbraw/zinc/81/56/91/626815691.db2.gz NGDJRSASGQXLMB-UHFFFAOYSA-N 0 0 291.259 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)C2CC2)nc1-c1ccccc1 ZINC000761225781 626817520 /nfs/dbraw/zinc/81/75/20/626817520.db2.gz SQTPJMYFBAAFSX-ZDUSSCGKSA-N 0 0 299.330 2.840 20 5 CFBDRN COC[C@H]1CC[N@H+](Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000761512905 626841295 /nfs/dbraw/zinc/84/12/95/626841295.db2.gz ZXSJDOWHFYXSLR-NSHDSACASA-N 0 0 284.743 2.717 20 5 CFBDRN Cc1nc(C)c(C)c(Oc2ccc(C=O)cc2[N+](=O)[O-])n1 ZINC000761873044 626867647 /nfs/dbraw/zinc/86/76/47/626867647.db2.gz IFRVLAXKTVDILH-UHFFFAOYSA-N 0 0 287.275 2.915 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1ccc(Cl)c(F)c1 ZINC000761914387 626872409 /nfs/dbraw/zinc/87/24/09/626872409.db2.gz XMFVHDLMUCVHKG-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN O=C(OCc1cccc(F)c1F)c1ccc([N+](=O)[O-])cn1 ZINC000761984637 626877502 /nfs/dbraw/zinc/87/75/02/626877502.db2.gz BGSVLMFOOWPBHG-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCSC1 ZINC000762029139 626882541 /nfs/dbraw/zinc/88/25/41/626882541.db2.gz BCVFIOUJNORHGF-SECBINFHSA-N 0 0 285.296 2.530 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cn2)s1 ZINC000762060469 626885559 /nfs/dbraw/zinc/88/55/59/626885559.db2.gz KNICNHAWZMDFNQ-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN CCC1(c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)COC1 ZINC000762071486 626886707 /nfs/dbraw/zinc/88/67/07/626886707.db2.gz MBSZRYHRJQXHRV-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000762071015 626886728 /nfs/dbraw/zinc/88/67/28/626886728.db2.gz UUTQHLBASZUCRQ-ONGXEEELSA-N 0 0 281.333 2.952 20 5 CFBDRN CN(Cc1ccc(F)c(Cl)c1)Cn1nccc1[N+](=O)[O-] ZINC000762118995 626893450 /nfs/dbraw/zinc/89/34/50/626893450.db2.gz IHTYUFXSNUWYIK-UHFFFAOYSA-N 0 0 298.705 2.673 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCSC2)cc([N+](=O)[O-])c1 ZINC000762151818 626897849 /nfs/dbraw/zinc/89/78/49/626897849.db2.gz RZBXREQDRPRNMD-JTQLQIEISA-N 0 0 281.333 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CC[C@@H](C)O2)c1 ZINC000762181160 626901115 /nfs/dbraw/zinc/90/11/15/626901115.db2.gz XLHDOFIZJWIEOO-MNOVXSKESA-N 0 0 279.292 2.628 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)n1 ZINC000762256246 626907791 /nfs/dbraw/zinc/90/77/91/626907791.db2.gz UEXDLCPWCBKFQO-WKEGUHRASA-N 0 0 290.235 2.676 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@H]1CCSC1 ZINC000762304807 626909862 /nfs/dbraw/zinc/90/98/62/626909862.db2.gz MBQDBKXMGAQZEL-ZETCQYMHSA-N 0 0 286.740 2.940 20 5 CFBDRN CN(C)c1cc(COc2ccc([N+](=O)[O-])c(F)c2)ccn1 ZINC000762475399 626918132 /nfs/dbraw/zinc/91/81/32/626918132.db2.gz PHZIGTBYHQUMFW-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN CCOc1cccc(NCc2cc(CC)n[nH]2)c1[N+](=O)[O-] ZINC000762801205 626938362 /nfs/dbraw/zinc/93/83/62/626938362.db2.gz LBNDSDHPAXPPBB-UHFFFAOYSA-N 0 0 290.323 2.891 20 5 CFBDRN CC(=O)CCCCOC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000762816322 626940298 /nfs/dbraw/zinc/94/02/98/626940298.db2.gz OZATYRUVIBWBRH-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN C[C@@H](C(=O)OCc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000762945441 626952331 /nfs/dbraw/zinc/95/23/31/626952331.db2.gz NSXJVCXWNSTSBL-LLVKDONJSA-N 0 0 286.287 2.837 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1coc(C2CC2)n1 ZINC000763190208 626969452 /nfs/dbraw/zinc/96/94/52/626969452.db2.gz ZLHPQCKPBQGOQS-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN CC(=O)c1c(N(C)Cc2ccccn2)cccc1[N+](=O)[O-] ZINC000763202803 626970326 /nfs/dbraw/zinc/97/03/26/626970326.db2.gz GNMFQORYVMJZCH-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN C[C@]1(c2noc(Cc3cccc([N+](=O)[O-])c3)n2)CCCO1 ZINC000763314228 626978661 /nfs/dbraw/zinc/97/86/61/626978661.db2.gz XNBWCOHJSLCFKT-CQSZACIVSA-N 0 0 289.291 2.594 20 5 CFBDRN C[C@@]1(c2noc(-c3ccccc3[N+](=O)[O-])n2)CCCO1 ZINC000763330567 626980123 /nfs/dbraw/zinc/98/01/23/626980123.db2.gz LAFCIBUWWMXWKO-ZDUSSCGKSA-N 0 0 275.264 2.670 20 5 CFBDRN C[C@H](C(=O)OCC(=O)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000763405285 626985752 /nfs/dbraw/zinc/98/57/52/626985752.db2.gz LDCOLZFEEFJJRJ-JTQLQIEISA-N 0 0 291.303 2.611 20 5 CFBDRN Cc1cc(COC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000763401650 626985930 /nfs/dbraw/zinc/98/59/30/626985930.db2.gz JUBREJPGRNRZHN-SNVBAGLBSA-N 0 0 290.275 2.738 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H]1C[C@@]12CCOC2 ZINC000763455396 626990242 /nfs/dbraw/zinc/99/02/42/626990242.db2.gz RHJBWROBOMJIJP-TVQRCGJNSA-N 0 0 296.710 2.613 20 5 CFBDRN Cn1ccc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])c1 ZINC000763495817 626992293 /nfs/dbraw/zinc/99/22/93/626992293.db2.gz OTEGLJJBMGCIBF-UHFFFAOYSA-N 0 0 280.667 2.806 20 5 CFBDRN CCCC[C@@H](C)OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000763537120 626996256 /nfs/dbraw/zinc/99/62/56/626996256.db2.gz RJTRQTZAXAEKHB-SECBINFHSA-N 0 0 266.297 2.913 20 5 CFBDRN CNc1ccc(C(=O)O[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000763541874 626996641 /nfs/dbraw/zinc/99/66/41/626996641.db2.gz KBIZZISSAIVKPK-SECBINFHSA-N 0 0 266.297 2.838 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000763553892 626997799 /nfs/dbraw/zinc/99/77/99/626997799.db2.gz OTPPEPZZGFTQDJ-SNVBAGLBSA-N 0 0 251.282 2.725 20 5 CFBDRN C[C@@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(F)F ZINC000764083162 627036393 /nfs/dbraw/zinc/03/63/93/627036393.db2.gz FQHCOJVXCDSSFN-JGVFFNPUSA-N 0 0 273.235 2.895 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](C3CC3)C2)c(F)c1 ZINC000764185005 627043412 /nfs/dbraw/zinc/04/34/12/627043412.db2.gz CRQUCZJLOHMCNQ-SNVBAGLBSA-N 0 0 250.273 2.970 20 5 CFBDRN CC1(CCOC(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000764223009 627045441 /nfs/dbraw/zinc/04/54/41/627045441.db2.gz KYXZTFMVLNGKDD-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN COc1ccc(CC(=O)OCCC2(C)CC2)cc1[N+](=O)[O-] ZINC000764227845 627045888 /nfs/dbraw/zinc/04/58/88/627045888.db2.gz IVNXGOARRZRPRZ-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN CNc1ccc(C(=O)OCCC2(C)CC2)cc1[N+](=O)[O-] ZINC000764225162 627046163 /nfs/dbraw/zinc/04/61/63/627046163.db2.gz XZXXKFJLVQSJET-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN Cc1nn(CCC(=O)OCCC2(C)CC2)c(C)c1[N+](=O)[O-] ZINC000764230865 627046544 /nfs/dbraw/zinc/04/65/44/627046544.db2.gz FSSADYJWKLXGIH-UHFFFAOYSA-N 0 0 295.339 2.532 20 5 CFBDRN C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1N(C)C)C1CC1 ZINC000764388828 627055486 /nfs/dbraw/zinc/05/54/86/627055486.db2.gz RXUKDCZEIUNMMG-SECBINFHSA-N 0 0 278.308 2.616 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000764563592 627071965 /nfs/dbraw/zinc/07/19/65/627071965.db2.gz NYDREBFZNCXGHM-LLVKDONJSA-N 0 0 292.310 2.914 20 5 CFBDRN COC(=O)CCCC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764594359 627074616 /nfs/dbraw/zinc/07/46/16/627074616.db2.gz GCVMFQDHWOREQZ-JTQLQIEISA-N 0 0 295.291 2.542 20 5 CFBDRN CS/C=C/c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000764748325 627090432 /nfs/dbraw/zinc/09/04/32/627090432.db2.gz JZKIKTNMVQPZAT-AATRIKPKSA-N 0 0 263.278 2.979 20 5 CFBDRN C[C@H](OC(=O)COc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000764808825 627096954 /nfs/dbraw/zinc/09/69/54/627096954.db2.gz DBOVCFPMHVFIHJ-JTQLQIEISA-N 0 0 291.259 2.871 20 5 CFBDRN Cc1nn(C[N@H+](C)CC2CC2)c2ccc([N+](=O)[O-])cc12 ZINC000764901227 627105409 /nfs/dbraw/zinc/10/54/09/627105409.db2.gz YDVFLHZSJQGCNE-UHFFFAOYSA-N 0 0 274.324 2.552 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCNc2ccccc2)n1 ZINC000765506932 627144274 /nfs/dbraw/zinc/14/42/74/627144274.db2.gz MSFUVUKRRGAGLL-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN CNc1c(C(=O)OCCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000765516064 627144599 /nfs/dbraw/zinc/14/45/99/627144599.db2.gz SPUKWVVBNIOXPO-UHFFFAOYSA-N 0 0 292.213 2.746 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)c2ccncc2)n1 ZINC000765514053 627144734 /nfs/dbraw/zinc/14/47/34/627144734.db2.gz GVCTVFCAFCEQRP-JTQLQIEISA-N 0 0 272.308 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)C[C@H](O)c2ccco2)n1 ZINC000765526903 627145258 /nfs/dbraw/zinc/14/52/58/627145258.db2.gz PMUTVAJUIXRHSX-JQWIXIFHSA-N 0 0 291.307 2.815 20 5 CFBDRN O=C(OC[C@H]1CCCOC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000766309971 627194331 /nfs/dbraw/zinc/19/43/31/627194331.db2.gz SDCXFQKYICRDKW-VIFPVBQESA-N 0 0 299.710 2.832 20 5 CFBDRN O=[N+]([O-])c1c(Nc2cnn(C(F)F)c2)nc2ccccn21 ZINC000766434557 627203150 /nfs/dbraw/zinc/20/31/50/627203150.db2.gz RNYAQHMIKRXIGW-UHFFFAOYSA-N 0 0 294.221 2.578 20 5 CFBDRN Cc1cc(N2CCC[C@](C)(O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000766448201 627203891 /nfs/dbraw/zinc/20/38/91/627203891.db2.gz ONEPPKBIGOMVTM-ZDUSSCGKSA-N 0 0 284.743 2.908 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H](O)c1ccc(F)cc1 ZINC000767398621 627247864 /nfs/dbraw/zinc/24/78/64/627247864.db2.gz IYKVOJQNNYOCJD-LLVKDONJSA-N 0 0 282.296 2.941 20 5 CFBDRN Cn1ccnc1[C@@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000767453195 627252586 /nfs/dbraw/zinc/25/25/86/627252586.db2.gz FUQWWYKOJCAIEQ-SNVBAGLBSA-N 0 0 292.364 2.774 20 5 CFBDRN Cc1nc(CCOC(=O)c2ccccc2[N+](=O)[O-])cs1 ZINC000767495322 627255090 /nfs/dbraw/zinc/25/50/90/627255090.db2.gz GQSBEMWPYWNWNE-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CN(CCOC(C)(C)C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000767819701 627287833 /nfs/dbraw/zinc/28/78/33/627287833.db2.gz NREXAIKIMCIJQS-UHFFFAOYSA-N 0 0 297.311 2.754 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCN(Cc2ccoc2)CC1 ZINC000768162354 627321616 /nfs/dbraw/zinc/32/16/16/627321616.db2.gz CIDRFDRXZJACEW-UHFFFAOYSA-N 0 0 287.319 2.510 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1cccc(F)n1)C2 ZINC000851869719 634565106 /nfs/dbraw/zinc/56/51/06/634565106.db2.gz OXMAGCBLCVSKBD-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN CC(C)NC(=S)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000768473409 627346413 /nfs/dbraw/zinc/34/64/13/627346413.db2.gz RXHGAWQRCNIYGU-UHFFFAOYSA-N 0 0 293.392 2.842 20 5 CFBDRN COCCC1(CNc2cc(OC)cc(F)c2[N+](=O)[O-])CC1 ZINC000768540272 627352415 /nfs/dbraw/zinc/35/24/15/627352415.db2.gz WBNPBCSBLRWSTK-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN Cc1c(COC(=O)C(C)(C)F)cccc1[N+](=O)[O-] ZINC000768639187 627360204 /nfs/dbraw/zinc/36/02/04/627360204.db2.gz RIUZPMOQGAUVOB-UHFFFAOYSA-N 0 0 255.245 2.695 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCC(=O)C1CC1 ZINC000769887664 627485479 /nfs/dbraw/zinc/48/54/79/627485479.db2.gz KXJPILXJGYWRIG-UHFFFAOYSA-N 0 0 291.303 2.656 20 5 CFBDRN CO[C@H](C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)C(C)C ZINC000769920859 627487738 /nfs/dbraw/zinc/48/77/38/627487738.db2.gz SGDFLTPWDJLJIS-NSHDSACASA-N 0 0 286.715 2.858 20 5 CFBDRN Cn1ncc(NCc2ccc([N+](=O)[O-])cc2F)c1C1CC1 ZINC000769922536 627488371 /nfs/dbraw/zinc/48/83/71/627488371.db2.gz ATGZCPHRSNVGMJ-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN Cn1ncc(Nc2nc3ccccn3c2[N+](=O)[O-])c1C1CC1 ZINC000770126579 627504061 /nfs/dbraw/zinc/50/40/61/627504061.db2.gz AVIZQBRZMKSMPX-UHFFFAOYSA-N 0 0 298.306 2.597 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(Sc2ncco2)c1 ZINC000770168642 627505954 /nfs/dbraw/zinc/50/59/54/627505954.db2.gz SJBGMOKFNHSHDX-UHFFFAOYSA-N 0 0 270.241 2.882 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000805404083 627511681 /nfs/dbraw/zinc/51/16/81/627511681.db2.gz URIVMCPHTBAETI-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1ccc(Cl)s1 ZINC000770456553 627523588 /nfs/dbraw/zinc/52/35/88/627523588.db2.gz GPBBNEMGKMVSMN-UHFFFAOYSA-N 0 0 284.724 2.828 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@@](C)(O)C(C)C ZINC000770557715 627529569 /nfs/dbraw/zinc/52/95/69/627529569.db2.gz OXTSWHSSAOEGQU-ABAIWWIYSA-N 0 0 295.335 2.914 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCO[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000770700302 627543065 /nfs/dbraw/zinc/54/30/65/627543065.db2.gz XTVBHJUVRQAHMH-KWBADKCTSA-N 0 0 279.292 2.624 20 5 CFBDRN C/C=C(/C=C/C(=O)OCCn1c(C)ncc1[N+](=O)[O-])CC ZINC000770744950 627546085 /nfs/dbraw/zinc/54/60/85/627546085.db2.gz XWHGHWVZHLREQR-GJQNCLFESA-N 0 0 293.323 2.555 20 5 CFBDRN CCC/C=C/C(=O)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000770859239 627551655 /nfs/dbraw/zinc/55/16/55/627551655.db2.gz VXHUAPWDKPMNJA-SNAWJCMRSA-N 0 0 250.254 2.595 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2ccccc2[N+](=O)[O-])o1 ZINC000770908886 627556842 /nfs/dbraw/zinc/55/68/42/627556842.db2.gz OXOIEDBYJGXPQS-SECBINFHSA-N 0 0 276.248 2.809 20 5 CFBDRN C[C@H]1OCC[C@@H]1OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000771057310 627574147 /nfs/dbraw/zinc/57/41/47/627574147.db2.gz MADMQMQUGQFHGO-KCJUWKMLSA-N 0 0 291.259 2.675 20 5 CFBDRN CCCCCCc1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000771382902 627599576 /nfs/dbraw/zinc/59/95/76/627599576.db2.gz OFFDZCNGBYVLLQ-UHFFFAOYSA-N 0 0 279.300 2.501 20 5 CFBDRN O=C(NCCCCF)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000936068699 649983143 /nfs/dbraw/zinc/98/31/43/649983143.db2.gz RZCKDQNCMBUQLK-OLZOCXBDSA-N 0 0 280.299 2.564 20 5 CFBDRN Cc1cccnc1COC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000771875658 627640851 /nfs/dbraw/zinc/64/08/51/627640851.db2.gz ROYGVMPKMZTUBD-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@H](C[C@@H](O)c1ccccc1)Nc1ccc([N+](=O)[O-])nc1 ZINC000771926205 627648872 /nfs/dbraw/zinc/64/88/72/627648872.db2.gz MFUQMVTVSCVNDQ-BXUZGUMPSA-N 0 0 287.319 2.914 20 5 CFBDRN CCCC[C@@H](CCC)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000772055638 627668706 /nfs/dbraw/zinc/66/87/06/627668706.db2.gz FJSCINQCWKVQOF-SNVBAGLBSA-N 0 0 283.328 2.844 20 5 CFBDRN Cc1ccc(COC(=O)Cc2ccccc2[N+](=O)[O-])nc1 ZINC000772246185 627690968 /nfs/dbraw/zinc/69/09/68/627690968.db2.gz QIEVYKVCNSIPAJ-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN Cc1cnc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)nc1 ZINC000772303171 627699421 /nfs/dbraw/zinc/69/94/21/627699421.db2.gz IAIKBGLWCMMVOP-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCc1nc(C(=O)OCc2csc([N+](=O)[O-])c2)co1 ZINC000772385706 627706566 /nfs/dbraw/zinc/70/65/66/627706566.db2.gz SQWHYBCESQAFCR-UHFFFAOYSA-N 0 0 282.277 2.564 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)c1ccc[nH]1 ZINC000772463281 627711477 /nfs/dbraw/zinc/71/14/77/627711477.db2.gz RPUJRPCJWYYKIZ-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000772504451 627715989 /nfs/dbraw/zinc/71/59/89/627715989.db2.gz YJGPNHZWUWJJHO-VXGBXAGGSA-N 0 0 263.293 2.930 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1C[C@@H]1C(C)C ZINC000772508348 627716334 /nfs/dbraw/zinc/71/63/34/627716334.db2.gz UVLHQLWPNMNQIV-OLZOCXBDSA-N 0 0 293.319 2.939 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000772517327 627717637 /nfs/dbraw/zinc/71/76/37/627717637.db2.gz PBJBTDRSAOCJEM-KBPBESRZSA-N 0 0 293.319 2.809 20 5 CFBDRN CN(CC(=O)OCC1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000772683365 627735660 /nfs/dbraw/zinc/73/56/60/627735660.db2.gz FMIDBTPGVYUJRL-UHFFFAOYSA-N 0 0 290.319 2.540 20 5 CFBDRN O=[N+]([O-])c1cnn(CCOc2ccc(F)c(Cl)c2)c1 ZINC000772827270 627750303 /nfs/dbraw/zinc/75/03/03/627750303.db2.gz KCSOYOPSCHBIQD-UHFFFAOYSA-N 0 0 285.662 2.663 20 5 CFBDRN O=C(NCc1cnc(Cl)s1)c1ccc([N+](=O)[O-])cc1 ZINC000773332464 627806741 /nfs/dbraw/zinc/80/67/41/627806741.db2.gz MXCYHIRXZGWHGV-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000805493338 627858341 /nfs/dbraw/zinc/85/83/41/627858341.db2.gz PKIUTOAALNRJBR-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1CC(F)(F)C1)CC2 ZINC000773984235 627875830 /nfs/dbraw/zinc/87/58/30/627875830.db2.gz BIBAYNRCADPAJJ-UHFFFAOYSA-N 0 0 296.273 2.838 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1CCC1)CC2 ZINC000773989964 627876510 /nfs/dbraw/zinc/87/65/10/627876510.db2.gz ATNVRCMJGCBFGQ-UHFFFAOYSA-N 0 0 260.293 2.592 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H]1CCSC1)CC2 ZINC000773988595 627876548 /nfs/dbraw/zinc/87/65/48/627876548.db2.gz ILRVCNTYPYQZQR-NSHDSACASA-N 0 0 292.360 2.545 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])C1CCC(=O)CC1 ZINC000774021586 627879709 /nfs/dbraw/zinc/87/97/09/627879709.db2.gz DFSLTJVSWIUJAM-UHFFFAOYSA-N 0 0 297.694 2.913 20 5 CFBDRN Cc1ccc(OC(=O)c2nccs2)c([N+](=O)[O-])c1 ZINC000774055431 627882774 /nfs/dbraw/zinc/88/27/74/627882774.db2.gz OODVBTDIPSCCEV-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCOC[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000774064237 627883405 /nfs/dbraw/zinc/88/34/05/627883405.db2.gz MGPXSUOMFYRFQD-GRYCIOLGSA-N 0 0 293.319 2.872 20 5 CFBDRN CCc1cc(CNc2ccsc2[N+](=O)[O-])[nH]n1 ZINC000774528585 627935177 /nfs/dbraw/zinc/93/51/77/627935177.db2.gz GEIXLTWEXWPNAL-UHFFFAOYSA-N 0 0 252.299 2.554 20 5 CFBDRN CCCNC(=S)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000774582079 627939060 /nfs/dbraw/zinc/93/90/60/627939060.db2.gz PAUBJMNRCCIJGI-SECBINFHSA-N 0 0 267.354 2.530 20 5 CFBDRN CC1(O)CCC(Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000774666596 627946544 /nfs/dbraw/zinc/94/65/44/627946544.db2.gz JBUOEGGIGJDNHA-UHFFFAOYSA-N 0 0 290.323 2.577 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)C[C@@H]2CCOC2)cc([N+](=O)[O-])c1 ZINC000774736280 627954639 /nfs/dbraw/zinc/95/46/39/627954639.db2.gz RXJYXIPOCAENJW-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)C[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000774742923 627956779 /nfs/dbraw/zinc/95/67/79/627956779.db2.gz RUPQLUJEMOAPEX-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000774847423 627970504 /nfs/dbraw/zinc/97/05/04/627970504.db2.gz GZRZASOBNFVTFV-NEPJUHHUSA-N 0 0 293.319 2.809 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[N@H+](C[C@H]1CCC=CO1)C2 ZINC000774885186 627976607 /nfs/dbraw/zinc/97/66/07/627976607.db2.gz QCFPYSPGNCTFTI-CYBMUJFWSA-N 0 0 274.320 2.646 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000851995889 634620083 /nfs/dbraw/zinc/62/00/83/634620083.db2.gz BABZHVGZRILFFX-ZYHUDNBSSA-N 0 0 275.308 2.674 20 5 CFBDRN C[C@@H](NC(=O)COC1(C)CCC1)c1ccccc1[N+](=O)[O-] ZINC000775260165 628004494 /nfs/dbraw/zinc/00/44/94/628004494.db2.gz CADVVRNPHHEPAK-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)COc2ccccc2F)cc1 ZINC000776278891 628125927 /nfs/dbraw/zinc/12/59/27/628125927.db2.gz VCLIJSRPSDZPFW-CYBMUJFWSA-N 0 0 277.251 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)COc2cccc(F)c2F)cc1 ZINC000776278355 628126083 /nfs/dbraw/zinc/12/60/83/628126083.db2.gz SIUUCGZDQWTSML-GFCCVEGCSA-N 0 0 295.241 2.985 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000776293540 628127334 /nfs/dbraw/zinc/12/73/34/628127334.db2.gz ZSYAQZIZCDXCOA-QWRGUYRKSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CCC(=O)C[C@@H]1C ZINC000776342206 628134003 /nfs/dbraw/zinc/13/40/03/628134003.db2.gz CCPLDHHDCRYFEH-CMPLNLGQSA-N 0 0 291.303 2.814 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCCCCCCO ZINC000776365360 628136408 /nfs/dbraw/zinc/13/64/08/628136408.db2.gz FVCFZAGQGGZOHU-UHFFFAOYSA-N 0 0 281.308 2.613 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)OCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000776420800 628147864 /nfs/dbraw/zinc/14/78/64/628147864.db2.gz CSGSDGHKUKJEGL-NWDGAFQWSA-N 0 0 293.319 2.953 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)OCCOc2ccccc2[N+](=O)[O-])C1 ZINC000776423013 628148602 /nfs/dbraw/zinc/14/86/02/628148602.db2.gz HJMBXVDBDSZLCN-NEPJUHHUSA-N 0 0 293.319 2.953 20 5 CFBDRN CN(C[C@H](O)c1ccc([N+](=O)[O-])cc1)c1ccccc1F ZINC000776587171 628162139 /nfs/dbraw/zinc/16/21/39/628162139.db2.gz JQNKKSFQFZIKJP-HNNXBMFYSA-N 0 0 290.294 2.904 20 5 CFBDRN Cc1cc(NC(=O)COC2(C)CCC2)ccc1[N+](=O)[O-] ZINC000777133134 628203137 /nfs/dbraw/zinc/20/31/37/628203137.db2.gz HWTLSCWBJZISTH-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCCOC1CCCC1 ZINC000777295292 628212999 /nfs/dbraw/zinc/21/29/99/628212999.db2.gz CUVNPEAMJXBNLZ-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(NOC1CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000777959725 628267661 /nfs/dbraw/zinc/26/76/61/628267661.db2.gz AGPRUGHBTRDGCM-UHFFFAOYSA-N 0 0 264.281 2.589 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000778009498 628272499 /nfs/dbraw/zinc/27/24/99/628272499.db2.gz OFCRDCCTTGLGQB-ZDUSSCGKSA-N 0 0 268.288 2.595 20 5 CFBDRN CC(C)(NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000778015035 628272902 /nfs/dbraw/zinc/27/29/02/628272902.db2.gz RKUHALBEBBSDNL-UHFFFAOYSA-N 0 0 298.289 2.991 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)OCc1ccccc1F ZINC000778142626 628280119 /nfs/dbraw/zinc/28/01/19/628280119.db2.gz GSXUOOBCLIAPLT-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN C[C@H]1CCN(Cc2cc(F)ccc2[N+](=O)[O-])C[C@@H]1F ZINC000778235019 628290460 /nfs/dbraw/zinc/29/04/60/628290460.db2.gz KAMWLBQVVKTIGP-CABZTGNLSA-N 0 0 270.279 2.914 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)n[nH]1 ZINC000778334638 628297796 /nfs/dbraw/zinc/29/77/96/628297796.db2.gz QDAOLASRCCQGOS-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000778375834 628302042 /nfs/dbraw/zinc/30/20/42/628302042.db2.gz ZDRUJPGFVYOEDH-NXEZZACHSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)ON=C(N)COC(C)C ZINC000778592019 628318929 /nfs/dbraw/zinc/31/89/29/628318929.db2.gz HMTXMURVKFMHNF-LLVKDONJSA-N 0 0 295.339 2.888 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)COCC1CC1 ZINC000778775258 628331304 /nfs/dbraw/zinc/33/13/04/628331304.db2.gz KDVAGHYLDRDPDX-LLVKDONJSA-N 0 0 293.319 2.934 20 5 CFBDRN CC(C)CC(=O)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778790516 628332406 /nfs/dbraw/zinc/33/24/06/628332406.db2.gz ADQYZIGWVPDLAC-CQSZACIVSA-N 0 0 292.335 2.763 20 5 CFBDRN C/C=C(\C)C(=O)OCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000778814864 628333983 /nfs/dbraw/zinc/33/39/83/628333983.db2.gz JMEWPNZIACNCET-RUDMXATFSA-N 0 0 265.265 2.613 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000778826760 628335335 /nfs/dbraw/zinc/33/53/35/628335335.db2.gz MADMOLSBCMSMSP-LBPRGKRZSA-N 0 0 299.348 2.788 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2CC[C@H](C)C2)c1 ZINC000779257238 628364688 /nfs/dbraw/zinc/36/46/88/628364688.db2.gz CEXIPNVWAJNTAI-ONGXEEELSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000779263637 628366071 /nfs/dbraw/zinc/36/60/71/628366071.db2.gz XUBMPJIYIIQABI-MNOVXSKESA-N 0 0 278.308 2.739 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc32)C1 ZINC000779263874 628366552 /nfs/dbraw/zinc/36/65/52/628366552.db2.gz OBPYLVLWHANSTG-WPRPVWTQSA-N 0 0 289.291 2.817 20 5 CFBDRN C[C@@H]1CCC[C@H](OC(=O)c2cc([N+](=O)[O-])cn2C)[C@@H]1C ZINC000779276073 628368535 /nfs/dbraw/zinc/36/85/35/628368535.db2.gz SZWFHCWXKGDXDI-BREBYQMCSA-N 0 0 280.324 2.915 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000779741275 628398397 /nfs/dbraw/zinc/39/83/97/628398397.db2.gz BKINRDQKMKLZFP-AXTRIDKLSA-N 0 0 296.710 2.612 20 5 CFBDRN CC/C(C)=C(\C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000779855975 628414332 /nfs/dbraw/zinc/41/43/32/628414332.db2.gz YHCOBFCCWNZFHR-ZHACJKMWSA-N 0 0 292.335 2.966 20 5 CFBDRN Cc1ccc([C@H](C)OC(=O)c2nn(C)cc2[N+](=O)[O-])cc1 ZINC000779988192 628425140 /nfs/dbraw/zinc/42/51/40/628425140.db2.gz MCPYRYWWVCQRET-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)O[C@H]1C=CCC1 ZINC000780553894 628474741 /nfs/dbraw/zinc/47/47/41/628474741.db2.gz MUWAPTPQURWKBP-LBPRGKRZSA-N 0 0 286.287 2.880 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCC=CO1 ZINC000780619810 628482404 /nfs/dbraw/zinc/48/24/04/628482404.db2.gz URQCWRYMMQXTFX-CYBMUJFWSA-N 0 0 278.308 2.846 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)CC1CC1 ZINC000780635501 628483994 /nfs/dbraw/zinc/48/39/94/628483994.db2.gz LJAUFCNOPNMHAC-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CCC[C@@H](O)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000780911427 628504420 /nfs/dbraw/zinc/50/44/20/628504420.db2.gz OCBOKETYCCMTBV-SECBINFHSA-N 0 0 290.266 2.769 20 5 CFBDRN Cc1cc(COC(=O)CCOCC2CC2)ccc1[N+](=O)[O-] ZINC000781171699 628528791 /nfs/dbraw/zinc/52/87/91/628528791.db2.gz OIGILAOSBAXDPP-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN COC1CCC(C(=O)OCc2csc([N+](=O)[O-])c2)CC1 ZINC000781240116 628534984 /nfs/dbraw/zinc/53/49/84/628534984.db2.gz SVCBSMYXRGEJPC-UHFFFAOYSA-N 0 0 299.348 2.905 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCCOC1 ZINC000781286327 628538447 /nfs/dbraw/zinc/53/84/47/628538447.db2.gz FWQFEHPGOLJHEG-AWEZNQCLSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)co1 ZINC000781371831 628543753 /nfs/dbraw/zinc/54/37/53/628543753.db2.gz VEZVFIQNJYDDDL-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000781612059 628565700 /nfs/dbraw/zinc/56/57/00/628565700.db2.gz GRCQZWKKPATRAK-ONGXEEELSA-N 0 0 298.289 2.991 20 5 CFBDRN COC1(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000781616018 628566633 /nfs/dbraw/zinc/56/66/33/628566633.db2.gz ZZQBOWPNZYTCKP-JTQLQIEISA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000781922589 628591535 /nfs/dbraw/zinc/59/15/35/628591535.db2.gz JJHWPIQXOVDLRZ-BDAKNGLRSA-N 0 0 285.321 2.628 20 5 CFBDRN Cc1cccc2c1C(=O)N[C@@H](c1ccc([N+](=O)[O-])s1)N2 ZINC000782194119 628613231 /nfs/dbraw/zinc/61/32/31/628613231.db2.gz MSPBXCSMZOPGLR-LBPRGKRZSA-N 0 0 289.316 2.819 20 5 CFBDRN CC(C)CC(N)=NOCCOc1cccc([N+](=O)[O-])c1 ZINC000782321672 628628209 /nfs/dbraw/zinc/62/82/09/628628209.db2.gz PONZONGQTVVDGI-UHFFFAOYSA-N 0 0 281.312 2.518 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000782324211 628628453 /nfs/dbraw/zinc/62/84/53/628628453.db2.gz FTEFDVMUADDTKQ-VMPITWQZSA-N 0 0 286.287 2.722 20 5 CFBDRN CCc1cc(CNc2cccc(C)c2[N+](=O)[O-])[nH]n1 ZINC000782349859 628631006 /nfs/dbraw/zinc/63/10/06/628631006.db2.gz UDBDOGDELTYYAF-UHFFFAOYSA-N 0 0 260.297 2.801 20 5 CFBDRN CO[C@@H](C)CCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000782422468 628636973 /nfs/dbraw/zinc/63/69/73/628636973.db2.gz VVKVJUVNIFTBTH-NSHDSACASA-N 0 0 292.335 2.607 20 5 CFBDRN COc1ccc(NC(=O)C[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000782471432 628640517 /nfs/dbraw/zinc/64/05/17/628640517.db2.gz JSUAWSFVZYDRRW-VIFPVBQESA-N 0 0 278.308 2.978 20 5 CFBDRN CCCSCC(=O)OCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000782844992 628660139 /nfs/dbraw/zinc/66/01/39/628660139.db2.gz ZBEDEKUVKBJUEF-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN CC(C)COCCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782884932 628661458 /nfs/dbraw/zinc/66/14/58/628661458.db2.gz FPACKZKDSDMKIS-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@@H](OC)CC2)c1 ZINC000782965992 628666930 /nfs/dbraw/zinc/66/69/30/628666930.db2.gz BSZJTIRJALXOSG-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN C[C@@H]1C[C@H](COc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000783002472 628668548 /nfs/dbraw/zinc/66/85/48/628668548.db2.gz XITXYLZODAPRRF-NXEZZACHSA-N 0 0 269.272 2.928 20 5 CFBDRN O=C(OC1CC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000783202460 628685534 /nfs/dbraw/zinc/68/55/34/628685534.db2.gz OVFMYYXWOAGSEI-UHFFFAOYSA-N 0 0 276.292 2.514 20 5 CFBDRN O=C(OC1CC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000783203541 628685543 /nfs/dbraw/zinc/68/55/43/628685543.db2.gz ZKIIJRLMDROYIC-UHFFFAOYSA-N 0 0 275.182 2.933 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCCS1 ZINC000783226508 628689320 /nfs/dbraw/zinc/68/93/20/628689320.db2.gz ORIOZVNKKJQPBH-ZDUSSCGKSA-N 0 0 295.360 2.966 20 5 CFBDRN O=C(OCCC1CCC1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000783448276 628709694 /nfs/dbraw/zinc/70/96/94/628709694.db2.gz YSMUYEIASRXMIU-UHFFFAOYSA-N 0 0 293.275 2.671 20 5 CFBDRN Cc1nscc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000783504147 628713424 /nfs/dbraw/zinc/71/34/24/628713424.db2.gz GUARABDMOURLKV-UHFFFAOYSA-N 0 0 282.252 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@@H](C3CC3)C2)cc1Cl ZINC000783677441 628734037 /nfs/dbraw/zinc/73/40/37/628734037.db2.gz NAZXYTJWBLCMKC-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN C/C(=C\C(=O)NCc1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000783693318 628735328 /nfs/dbraw/zinc/73/53/28/628735328.db2.gz CLMKSUGOBOPXSZ-FMIVXFBMSA-N 0 0 297.314 2.710 20 5 CFBDRN C/C(=C\C(=O)NCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000783876394 628752856 /nfs/dbraw/zinc/75/28/56/628752856.db2.gz HTAAPXDGFHNUOO-SOFGYWHQSA-N 0 0 288.225 2.677 20 5 CFBDRN C/C(=C\C(=O)N(C)CC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000783912190 628754777 /nfs/dbraw/zinc/75/47/77/628754777.db2.gz SRXDQUVKNISJHD-PKNBQFBNSA-N 0 0 274.320 2.867 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C(F)(F)F ZINC000783926294 628756105 /nfs/dbraw/zinc/75/61/05/628756105.db2.gz ZAGSPRHIZNRDCZ-ZCFIWIBFSA-N 0 0 295.188 2.976 20 5 CFBDRN C/C(=C/C(=O)NCc1ccoc1)c1ccc([N+](=O)[O-])cc1 ZINC000783977400 628759310 /nfs/dbraw/zinc/75/93/10/628759310.db2.gz TZKAHVURNWUKNO-FLIBITNWSA-N 0 0 286.287 2.908 20 5 CFBDRN Cc1[nH]ccc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784017285 628764113 /nfs/dbraw/zinc/76/41/13/628764113.db2.gz KHPLJZQGCFABGB-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN Cc1cccc([C@H]2CCN(Cn3cc([N+](=O)[O-])cn3)C2)c1 ZINC000784024211 628765107 /nfs/dbraw/zinc/76/51/07/628765107.db2.gz CYRWVNMLGLPVME-AWEZNQCLSA-N 0 0 286.335 2.547 20 5 CFBDRN COC(C)(C)CNC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784049638 628768794 /nfs/dbraw/zinc/76/87/94/628768794.db2.gz JXWGIXIOLXPERR-LUAWRHEFSA-N 0 0 292.335 2.539 20 5 CFBDRN C/C(=C/C(=O)NCCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000784051085 628769342 /nfs/dbraw/zinc/76/93/42/628769342.db2.gz WKOODCZVNBZFNZ-KHPPLWFESA-N 0 0 274.320 2.914 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CO[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000784066554 628771284 /nfs/dbraw/zinc/77/12/84/628771284.db2.gz QEFCUCBFSOJGAR-SCVCMEIPSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1c(OC(=O)[C@H](F)C(C)C)cccc1[N+](=O)[O-] ZINC000784072385 628772447 /nfs/dbraw/zinc/77/24/47/628772447.db2.gz NMQGVHMKICFMJY-LLVKDONJSA-N 0 0 255.245 2.803 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000784107308 628777278 /nfs/dbraw/zinc/77/72/78/628777278.db2.gz CZVMNIIBGYOUQZ-PSASIEDQSA-N 0 0 299.710 2.716 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000784145352 628783192 /nfs/dbraw/zinc/78/31/92/628783192.db2.gz MHJHHJJSDFNFKO-BXKDBHETSA-N 0 0 268.288 2.766 20 5 CFBDRN CCCCCCC(=O)COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000784188338 628788870 /nfs/dbraw/zinc/78/88/70/628788870.db2.gz XIAPUOHHSQDEIF-UHFFFAOYSA-N 0 0 283.280 2.884 20 5 CFBDRN CC1([C@@H]2CCCN(c3ccc([N+](=O)[O-])s3)C2)OCCO1 ZINC000784255170 628795973 /nfs/dbraw/zinc/79/59/73/628795973.db2.gz QNTRZDGRFRRCAT-SNVBAGLBSA-N 0 0 298.364 2.636 20 5 CFBDRN Cc1ccn(C)c1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000784294326 628800652 /nfs/dbraw/zinc/80/06/52/628800652.db2.gz PMENMPONXXYRJL-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000784292997 628800666 /nfs/dbraw/zinc/80/06/66/628800666.db2.gz DMQPLAUIVWTNKA-NSHDSACASA-N 0 0 255.245 2.632 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000784303279 628802473 /nfs/dbraw/zinc/80/24/73/628802473.db2.gz GTEHMAICNSPONV-LBPRGKRZSA-N 0 0 269.272 2.675 20 5 CFBDRN CC[C@@H](C)OCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784340899 628807378 /nfs/dbraw/zinc/80/73/78/628807378.db2.gz XXFLFPQFRVKUIW-SECBINFHSA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])CO1 ZINC000784501664 628822617 /nfs/dbraw/zinc/82/26/17/628822617.db2.gz NBGQKWDJZHUPGW-SFYZADRCSA-N 0 0 285.683 2.579 20 5 CFBDRN CCOCCCOC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784874982 628847320 /nfs/dbraw/zinc/84/73/20/628847320.db2.gz IWLDYEZUZHLYOR-QXMHVHEDSA-N 0 0 293.319 2.968 20 5 CFBDRN CSCCOC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784881836 628848524 /nfs/dbraw/zinc/84/85/24/628848524.db2.gz AZJKQKSNIUADIE-KTKRTIGZSA-N 0 0 281.333 2.904 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784936101 628853491 /nfs/dbraw/zinc/85/34/91/628853491.db2.gz NWOSIQSOZZMWMW-BXKDBHETSA-N 0 0 281.333 2.886 20 5 CFBDRN C[C@@H](C(=O)OCC(=O)CC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000784990438 628858271 /nfs/dbraw/zinc/85/82/71/628858271.db2.gz IAFNVVWTYNJSQY-SNVBAGLBSA-N 0 0 291.303 2.611 20 5 CFBDRN O=C(COC(=O)c1ccccc1[N+](=O)[O-])Cc1ccccc1 ZINC000784997372 628858979 /nfs/dbraw/zinc/85/89/79/628858979.db2.gz AYVWVQRVNYQGDP-UHFFFAOYSA-N 0 0 299.282 2.563 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000785234315 628872500 /nfs/dbraw/zinc/87/25/00/628872500.db2.gz SORRYNBEQLHPQX-LBPRGKRZSA-N 0 0 297.282 2.735 20 5 CFBDRN C[C@@H](O)[C@@H]1C[C@H](C)CC[N@@H+]1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000785546591 628892391 /nfs/dbraw/zinc/89/23/91/628892391.db2.gz QRVSWDGCIPEBPQ-HFAKWTLXSA-N 0 0 296.342 2.715 20 5 CFBDRN Cc1[nH]ncc1C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000785577646 628894956 /nfs/dbraw/zinc/89/49/56/628894956.db2.gz KPNLPCIFKQYGFP-JTQLQIEISA-N 0 0 289.291 2.853 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1ccn(C)n1 ZINC000785686116 628905121 /nfs/dbraw/zinc/90/51/21/628905121.db2.gz IXJJOUQJARJNOT-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OC[C@H]1CCCO1 ZINC000785938088 628925514 /nfs/dbraw/zinc/92/55/14/628925514.db2.gz ZDZUZYWEFXLDFJ-LLVKDONJSA-N 0 0 299.710 2.513 20 5 CFBDRN CSCCOC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000785953143 628926236 /nfs/dbraw/zinc/92/62/36/628926236.db2.gz VHXWZWLNFUZLBP-UHFFFAOYSA-N 0 0 289.740 2.697 20 5 CFBDRN CC(C)(NC(=O)c1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000786324198 628948153 /nfs/dbraw/zinc/94/81/53/628948153.db2.gz DEBRVPOGNZPLHU-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000786511165 628962848 /nfs/dbraw/zinc/96/28/48/628962848.db2.gz ZVXGHTBZIYVXIC-SSDOTTSWSA-N 0 0 259.208 2.714 20 5 CFBDRN COc1ccc(CNc2ccc(N)cc2[N+](=O)[O-])cc1 ZINC000786560340 628968429 /nfs/dbraw/zinc/96/84/29/628968429.db2.gz LHXWSPICJHKUMZ-UHFFFAOYSA-N 0 0 273.292 2.798 20 5 CFBDRN COc1ccc(CN(C)c2ccc(N)cc2[N+](=O)[O-])cc1 ZINC000786560123 628968516 /nfs/dbraw/zinc/96/85/16/628968516.db2.gz GUXJQCYFJPMQCN-UHFFFAOYSA-N 0 0 287.319 2.822 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)Cc1cc(C)on1 ZINC000786582239 628969753 /nfs/dbraw/zinc/96/97/53/628969753.db2.gz UPBNWWADUVYUJF-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc(F)cn2)cc1F ZINC000786723446 628976418 /nfs/dbraw/zinc/97/64/18/628976418.db2.gz PQNSTGWEZITRRM-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCC=CO1)c1cccc([N+](=O)[O-])c1 ZINC000787043345 628990202 /nfs/dbraw/zinc/99/02/02/628990202.db2.gz RLZBUOVZOVFBSR-GXFFZTMASA-N 0 0 277.276 2.892 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@@H]1CCCOCC1 ZINC000787116626 628994703 /nfs/dbraw/zinc/99/47/03/628994703.db2.gz MVVXPGWGKTVLPJ-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN COC(C)(C)CC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000787124254 628994980 /nfs/dbraw/zinc/99/49/80/628994980.db2.gz GMFUICNJKUKUHS-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)C(F)F ZINC000787330572 629007451 /nfs/dbraw/zinc/00/74/51/629007451.db2.gz BRFYTLLEFHDBSG-UHFFFAOYSA-N 0 0 299.258 2.922 20 5 CFBDRN O=C(OCC[C@@H]1CCCO1)c1cc([N+](=O)[O-])ccc1Cl ZINC000787566398 629019096 /nfs/dbraw/zinc/01/90/96/629019096.db2.gz BMFZBMCTOWAZFD-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN C[C@H](O)CCCNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000788559326 629082275 /nfs/dbraw/zinc/08/22/75/629082275.db2.gz ZUJNLLVQTGYSEX-QMMMGPOBSA-N 0 0 281.337 2.777 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@@H]2CC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000789068299 629122984 /nfs/dbraw/zinc/12/29/84/629122984.db2.gz YFPPGBJHCUNKCU-KOLCDFICSA-N 0 0 297.282 2.767 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@@H]1CC[C@H](C)O1)CC2 ZINC000789355900 629150394 /nfs/dbraw/zinc/15/03/94/629150394.db2.gz FCTURBWTSZZPTH-AAEUAGOBSA-N 0 0 276.336 2.833 20 5 CFBDRN CNC(=S)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000789359513 629150396 /nfs/dbraw/zinc/15/03/96/629150396.db2.gz UGADXAOAVCKGPD-UHFFFAOYSA-N 0 0 267.354 2.809 20 5 CFBDRN C[C@H](C(=O)OCC1(F)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000789595930 629170562 /nfs/dbraw/zinc/17/05/62/629170562.db2.gz KIGRCNLPBAGKCG-QMMMGPOBSA-N 0 0 285.246 2.883 20 5 CFBDRN CCn1c(C)nnc1S/C=C\c1ccc([N+](=O)[O-])o1 ZINC000789915643 629196385 /nfs/dbraw/zinc/19/63/85/629196385.db2.gz LCRUZBSCBYVWBM-SREVYHEPSA-N 0 0 280.309 2.871 20 5 CFBDRN O=C(OCC1CC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000790041691 629205089 /nfs/dbraw/zinc/20/50/89/629205089.db2.gz SZIXLHAGGOSIKR-UHFFFAOYSA-N 0 0 255.201 2.659 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCc1ncccn1 ZINC000790573996 629249909 /nfs/dbraw/zinc/24/99/09/629249909.db2.gz SWWFHRDLVFUSQL-UHFFFAOYSA-N 0 0 291.332 2.827 20 5 CFBDRN Cc1c(CNC(=O)/C=C\c2ccco2)cccc1[N+](=O)[O-] ZINC000791016420 629280861 /nfs/dbraw/zinc/28/08/61/629280861.db2.gz OWXUNSNMOUWVIL-FPLPWBNLSA-N 0 0 286.287 2.826 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)c1ccccc1 ZINC000791290456 629297418 /nfs/dbraw/zinc/29/74/18/629297418.db2.gz NEQSIKCONOTXNX-UHFFFAOYSA-N 0 0 289.266 2.593 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000791311992 629299629 /nfs/dbraw/zinc/29/96/29/629299629.db2.gz PMUFKVSMABBHCP-SECBINFHSA-N 0 0 297.330 2.871 20 5 CFBDRN COC1(COC(=O)c2csc([N+](=O)[O-])c2)CCCC1 ZINC000791339270 629302157 /nfs/dbraw/zinc/30/21/57/629302157.db2.gz SKFJKSMVJKANOV-UHFFFAOYSA-N 0 0 285.321 2.772 20 5 CFBDRN CC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791569483 629334473 /nfs/dbraw/zinc/33/44/73/629334473.db2.gz CHWVILKETRRQGZ-QMMMGPOBSA-N 0 0 282.252 2.592 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)OCCCCCF ZINC000791651611 629342530 /nfs/dbraw/zinc/34/25/30/629342530.db2.gz QTOXDCHBDYCJBL-UHFFFAOYSA-N 0 0 298.314 2.957 20 5 CFBDRN CC1(CCNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000791810424 629360438 /nfs/dbraw/zinc/36/04/38/629360438.db2.gz ZRKIYEWUQNYFCH-DAXSKMNVSA-N 0 0 274.320 2.914 20 5 CFBDRN CC1(F)CN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000791808324 629360458 /nfs/dbraw/zinc/36/04/58/629360458.db2.gz MENXHZPMLWFCSD-UHFFFAOYSA-N 0 0 287.678 2.824 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000835058419 629360806 /nfs/dbraw/zinc/36/08/06/629360806.db2.gz FGAQUOOYXCJCEM-SCVCMEIPSA-N 0 0 291.303 2.945 20 5 CFBDRN CC/C(C)=C\C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000835058914 629362310 /nfs/dbraw/zinc/36/23/10/629362310.db2.gz LNRYDVCUNAXJNE-CLFYSBASSA-N 0 0 265.265 2.865 20 5 CFBDRN CCC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791825391 629362849 /nfs/dbraw/zinc/36/28/49/629362849.db2.gz PBORHYZGUSQTQH-UHFFFAOYSA-N 0 0 296.279 2.982 20 5 CFBDRN COc1ccc(OC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)c([N+](=O)[O-])c1 ZINC000835068098 629368648 /nfs/dbraw/zinc/36/86/48/629368648.db2.gz DOCDLMQSMYSDGQ-SCVCMEIPSA-N 0 0 291.303 2.945 20 5 CFBDRN Cc1ccc(OC(=O)c2cnc(C3CC3)nc2)c([N+](=O)[O-])c1 ZINC000835070426 629369079 /nfs/dbraw/zinc/36/90/79/629369079.db2.gz IPUCXWIMZFUXGT-UHFFFAOYSA-N 0 0 299.286 2.790 20 5 CFBDRN CCC[C@H](C)COC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000791896670 629373556 /nfs/dbraw/zinc/37/35/56/629373556.db2.gz FDOHEVFVZNCIQK-JTQLQIEISA-N 0 0 283.328 2.506 20 5 CFBDRN O=C(CCC(=O)c1cccc([N+](=O)[O-])c1)OCC1CC1 ZINC000835085122 629384201 /nfs/dbraw/zinc/38/42/01/629384201.db2.gz WUHBDZMKQKPKMR-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN CC(C)(CCC(=O)OCCOC1CCCCC1)[N+](=O)[O-] ZINC000835092921 629392568 /nfs/dbraw/zinc/39/25/68/629392568.db2.gz BLTZTVPZRWOWQR-UHFFFAOYSA-N 0 0 287.356 2.714 20 5 CFBDRN CC(C)(CCC(=O)OCCCOC1CCCC1)[N+](=O)[O-] ZINC000835096166 629396876 /nfs/dbraw/zinc/39/68/76/629396876.db2.gz WDQMXLXCYNCTEK-UHFFFAOYSA-N 0 0 287.356 2.714 20 5 CFBDRN CC[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(-n2ccnc2)c1 ZINC000835097054 629399352 /nfs/dbraw/zinc/39/93/52/629399352.db2.gz GTHXGHNKWKQEBT-JTQLQIEISA-N 0 0 289.291 2.736 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000792124578 629400366 /nfs/dbraw/zinc/40/03/66/629400366.db2.gz OMLWWALPTLFILW-SNVBAGLBSA-N 0 0 277.276 2.699 20 5 CFBDRN COc1ccc(OC(=O)c2cccc(C)n2)cc1[N+](=O)[O-] ZINC000792121313 629400374 /nfs/dbraw/zinc/40/03/74/629400374.db2.gz MPDITEWUKZBEFD-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000835107081 629412444 /nfs/dbraw/zinc/41/24/44/629412444.db2.gz NBBCUDBRDFTQTB-CYLBZQBVSA-N 0 0 291.303 2.792 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000792530932 629430963 /nfs/dbraw/zinc/43/09/63/629430963.db2.gz AUEMEFOBSUWATH-RKDXNWHRSA-N 0 0 284.262 2.696 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000792532042 629431444 /nfs/dbraw/zinc/43/14/44/629431444.db2.gz RBLUKLNOPVVVQE-OCAPTIKFSA-N 0 0 268.700 2.871 20 5 CFBDRN CC(C)(CCC(=O)OCc1cc(Cl)ccn1)[N+](=O)[O-] ZINC000835128783 629436990 /nfs/dbraw/zinc/43/69/90/629436990.db2.gz CARLMGGXHNGIHB-UHFFFAOYSA-N 0 0 286.715 2.614 20 5 CFBDRN COCC1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCC1 ZINC000792691796 629445216 /nfs/dbraw/zinc/44/52/16/629445216.db2.gz FAGDNAPMXKQOCO-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccc2c(c1)CCO2)[N+](=O)[O-] ZINC000835138039 629451055 /nfs/dbraw/zinc/45/10/55/629451055.db2.gz ZSASTKKFEOMKRC-UHFFFAOYSA-N 0 0 293.319 2.500 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1[C@@H]2CCCO[C@H]2C1(C)C)[N+](=O)[O-] ZINC000835182003 629498663 /nfs/dbraw/zinc/49/86/63/629498663.db2.gz JXKNPXGYUOLZEK-RAIGVLPGSA-N 0 0 299.367 2.569 20 5 CFBDRN C[C@H](NC(=O)C[C@@H]1CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000793773744 629593504 /nfs/dbraw/zinc/59/35/04/629593504.db2.gz VURXPZDJRMEFBU-RYUDHWBXSA-N 0 0 292.335 2.589 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000835319412 629623211 /nfs/dbraw/zinc/62/32/11/629623211.db2.gz QQZIZHQGZRSEJY-DGCLKSJQSA-N 0 0 263.293 2.727 20 5 CFBDRN CN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)[C@@H]1CCC(C)(C)C1 ZINC000794277644 629629884 /nfs/dbraw/zinc/62/98/84/629629884.db2.gz XHLAJJYKKDGLPE-LLVKDONJSA-N 0 0 291.351 2.828 20 5 CFBDRN CCC[C@H]1CCC[C@@H]1C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000835335689 629637381 /nfs/dbraw/zinc/63/73/81/629637381.db2.gz VKAQUUIUIUQUBT-AAEUAGOBSA-N 0 0 295.339 2.551 20 5 CFBDRN CC1(C)OC[C@@H](CSCc2ccc([N+](=O)[O-])cc2)O1 ZINC000794432332 629645808 /nfs/dbraw/zinc/64/58/08/629645808.db2.gz KZOVCMUQUJMOGT-LBPRGKRZSA-N 0 0 283.349 2.980 20 5 CFBDRN COC1(COC(=O)CCC(C)(C)[N+](=O)[O-])CCCCC1 ZINC000835348613 629647654 /nfs/dbraw/zinc/64/76/54/629647654.db2.gz CQVLIJQNOUOTBZ-UHFFFAOYSA-N 0 0 287.356 2.714 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C2CC2)C1 ZINC000794498558 629651072 /nfs/dbraw/zinc/65/10/72/629651072.db2.gz UWXKLQLPKPHQEU-DHZHZOJOSA-N 0 0 286.331 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc2c(cnn2CN2CCC(F)(F)CC2)c1 ZINC000794685553 629675083 /nfs/dbraw/zinc/67/50/83/629675083.db2.gz JVLCTKVUVVJVMR-UHFFFAOYSA-N 0 0 296.277 2.633 20 5 CFBDRN CC(C)CC(CC(C)C)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000835453913 629737646 /nfs/dbraw/zinc/73/76/46/629737646.db2.gz IDJFCQQAXBNBBE-NSHDSACASA-N 0 0 259.346 2.903 20 5 CFBDRN Cc1nc(C(C)C)oc1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835454728 629739968 /nfs/dbraw/zinc/73/99/68/629739968.db2.gz HJBAHVRJVIVARQ-UHFFFAOYSA-N 0 0 298.339 2.985 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2[C@H](C)C[C@H]2C)c1 ZINC000795193829 629743488 /nfs/dbraw/zinc/74/34/88/629743488.db2.gz KVHSYGNOZKIJPN-HTQZYQBOSA-N 0 0 254.261 2.730 20 5 CFBDRN Cc1cccc(C(=O)OC[C@H]2CCC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000795221519 629747758 /nfs/dbraw/zinc/74/77/58/629747758.db2.gz OUZKKXDWTZNHJO-NWDGAFQWSA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1cccc(C(=O)OC[C@H]2CCC[C@H](O)C2)c1[N+](=O)[O-] ZINC000795221521 629747770 /nfs/dbraw/zinc/74/77/70/629747770.db2.gz OUZKKXDWTZNHJO-RYUDHWBXSA-N 0 0 293.319 2.611 20 5 CFBDRN COc1cc(NCc2cccnc2F)c([N+](=O)[O-])cc1F ZINC000795225621 629747794 /nfs/dbraw/zinc/74/77/94/629747794.db2.gz NAKUMIHGOHDHDB-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1cccnc1F ZINC000795225144 629747822 /nfs/dbraw/zinc/74/78/22/629747822.db2.gz HDZMYQFMRDDICE-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN Cn1nccc1COc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000795245902 629750914 /nfs/dbraw/zinc/75/09/14/629750914.db2.gz RVLWLPMTKAKAKN-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN Cc1cc(OCN2CCCCC2=O)c(Cl)cc1[N+](=O)[O-] ZINC000795260344 629753395 /nfs/dbraw/zinc/75/33/95/629753395.db2.gz CVJNNZGDBQZDJW-UHFFFAOYSA-N 0 0 298.726 2.905 20 5 CFBDRN CC(C)CC(CC(C)C)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000835467707 629755435 /nfs/dbraw/zinc/75/54/35/629755435.db2.gz SMCKYRSMBJDDAU-NSHDSACASA-N 0 0 259.346 2.903 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)=C(C)C1 ZINC000795305517 629759438 /nfs/dbraw/zinc/75/94/38/629759438.db2.gz YOENDBQSCDRKDB-UHFFFAOYSA-N 0 0 289.335 2.501 20 5 CFBDRN C[C@@H](O)CCCOC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000795348033 629765123 /nfs/dbraw/zinc/76/51/23/629765123.db2.gz VCMKPJSDZFWJTO-MRVPVSSYSA-N 0 0 287.699 2.566 20 5 CFBDRN Cc1ccc(COC(=O)C[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000835482981 629770604 /nfs/dbraw/zinc/77/06/04/629770604.db2.gz AFLUVZRWYYLLQC-CYBMUJFWSA-N 0 0 293.319 2.906 20 5 CFBDRN Cc1ccc(COC(=O)/C=C\C2CC2)cc1[N+](=O)[O-] ZINC000835482991 629770948 /nfs/dbraw/zinc/77/09/48/629770948.db2.gz AQVMQLOHGPCZIX-SREVYHEPSA-N 0 0 261.277 2.913 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC000835481791 629771248 /nfs/dbraw/zinc/77/12/48/629771248.db2.gz ZZEBDZMDUMBDMJ-DGCLKSJQSA-N 0 0 293.319 2.619 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000835483008 629775430 /nfs/dbraw/zinc/77/54/30/629775430.db2.gz BLRRXIUFOYFFAX-ZDUSSCGKSA-N 0 0 279.292 2.516 20 5 CFBDRN Cc1noc(C)c1C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835484959 629775532 /nfs/dbraw/zinc/77/55/32/629775532.db2.gz ZEVZHBGLFDNWKC-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=C(OCc1cncc(F)c1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000795500390 629789077 /nfs/dbraw/zinc/78/90/77/629789077.db2.gz OVOBCWQEHGQQAA-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN COC(C)(C)COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000835503278 629799527 /nfs/dbraw/zinc/79/95/27/629799527.db2.gz GIGKSBRKDNXXHT-UHFFFAOYSA-N 0 0 292.291 2.658 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCCC[C@H](C)O ZINC000795592438 629808478 /nfs/dbraw/zinc/80/84/78/629808478.db2.gz XOQPGJZCCRKLQU-IIANPFDCSA-N 0 0 293.319 2.702 20 5 CFBDRN C[C@@H](OC(=O)C1CCC(O)CC1)c1cccc([N+](=O)[O-])c1 ZINC000795647680 629816248 /nfs/dbraw/zinc/81/62/48/629816248.db2.gz KADFVGDSQOXSIB-CDWSIMAYSA-N 0 0 293.319 2.750 20 5 CFBDRN CCC[C@@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000835536114 629818004 /nfs/dbraw/zinc/81/80/04/629818004.db2.gz FPYUNDJYKFMISI-LBPRGKRZSA-N 0 0 285.271 2.706 20 5 CFBDRN CCC[C@H](COC(=O)c1cccc([N+](=O)[O-])c1C)OC ZINC000835537900 629819839 /nfs/dbraw/zinc/81/98/39/629819839.db2.gz MCELNGXMHQMDJD-LLVKDONJSA-N 0 0 281.308 2.875 20 5 CFBDRN CCC[C@H](COC(=O)c1ccc([N+](=O)[O-])c(C)c1)OC ZINC000835537204 629820435 /nfs/dbraw/zinc/82/04/35/629820435.db2.gz IVHVSBXXMFFFEE-GFCCVEGCSA-N 0 0 281.308 2.875 20 5 CFBDRN CCOCCCOC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000795711131 629825798 /nfs/dbraw/zinc/82/57/98/629825798.db2.gz BYMLAHMNCKILHH-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000795834496 629839689 /nfs/dbraw/zinc/83/96/89/629839689.db2.gz VVTSMIKWOFWVDB-GFCCVEGCSA-N 0 0 299.710 2.716 20 5 CFBDRN CC[C@@H](C)[C@@H](CC)OC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000835593317 629861904 /nfs/dbraw/zinc/86/19/04/629861904.db2.gz OMNOPACACCXKGY-MWLCHTKSSA-N 0 0 283.328 2.793 20 5 CFBDRN C[C@@H]1C(=O)CC[C@H]1CC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000796238839 629894956 /nfs/dbraw/zinc/89/49/56/629894956.db2.gz OUMRTJACZCXGBQ-JQWIXIFHSA-N 0 0 291.303 2.643 20 5 CFBDRN CC[C@@H](C)[C@@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000835627136 629902325 /nfs/dbraw/zinc/90/23/25/629902325.db2.gz LJTGARKRUTYBST-NOZJJQNGSA-N 0 0 299.298 2.952 20 5 CFBDRN COc1c(C(=O)OCC2CCCC2)cccc1[N+](=O)[O-] ZINC000796309540 629902394 /nfs/dbraw/zinc/90/23/94/629902394.db2.gz PJQMXOQECWCNGL-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CC[C@H](C)[C@@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000835627142 629902484 /nfs/dbraw/zinc/90/24/84/629902484.db2.gz LJTGARKRUTYBST-TVQRCGJNSA-N 0 0 299.298 2.952 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(Cc1cc([N+](=O)[O-])ccc1Cl)C2 ZINC000852534547 634772371 /nfs/dbraw/zinc/77/23/71/634772371.db2.gz MMLMWVONCUPTAW-SMDDNHRTSA-N 0 0 296.754 2.717 20 5 CFBDRN CCCC(=O)COC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796444381 629918735 /nfs/dbraw/zinc/91/87/35/629918735.db2.gz OUOPSARKTZMLET-UHFFFAOYSA-N 0 0 293.319 2.785 20 5 CFBDRN C[C@]12COC[C@@H]1CN(Cc1cc([N+](=O)[O-])ccc1Cl)C2 ZINC000852534545 634773541 /nfs/dbraw/zinc/77/35/41/634773541.db2.gz MMLMWVONCUPTAW-FZMZJTMJSA-N 0 0 296.754 2.717 20 5 CFBDRN CSC[C@@H](C)COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000835664834 629926939 /nfs/dbraw/zinc/92/69/39/629926939.db2.gz CAPDCUQBYARQEQ-JTQLQIEISA-N 0 0 283.349 2.680 20 5 CFBDRN COc1cccc(C(=O)OCC[C@H](C)SC)c1[N+](=O)[O-] ZINC000835666818 629928653 /nfs/dbraw/zinc/92/86/53/629928653.db2.gz JRFXLRWSVMKDQW-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN CSC[C@@H](C)COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000835668029 629929671 /nfs/dbraw/zinc/92/96/71/629929671.db2.gz OAXXHNWJONYTRC-JTQLQIEISA-N 0 0 299.348 2.516 20 5 CFBDRN CSC[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000835673157 629935900 /nfs/dbraw/zinc/93/59/00/629935900.db2.gz QJAHRKWSFKXNND-VIFPVBQESA-N 0 0 269.322 2.751 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000796593559 629939714 /nfs/dbraw/zinc/93/97/14/629939714.db2.gz ZSQSDIRKHUJBGZ-NOZJJQNGSA-N 0 0 281.308 2.870 20 5 CFBDRN CS[C@@H](C)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000835677594 629941304 /nfs/dbraw/zinc/94/13/04/629941304.db2.gz FHXVSZUZQDVOFU-NVDWLVSRSA-N 0 0 281.333 2.903 20 5 CFBDRN CS[C@@H](C)COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000835679050 629943959 /nfs/dbraw/zinc/94/39/59/629943959.db2.gz VRDWLFVORYKFRV-VIFPVBQESA-N 0 0 269.322 2.812 20 5 CFBDRN CCOc1ccc(C(=O)OC[C@H](C)SC)cc1[N+](=O)[O-] ZINC000835678739 629944983 /nfs/dbraw/zinc/94/49/83/629944983.db2.gz JMXHECWMVWANAZ-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN CS[C@H](C)COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000835680048 629946236 /nfs/dbraw/zinc/94/62/36/629946236.db2.gz LXLNCCOKVNONGO-MRVPVSSYSA-N 0 0 294.332 2.984 20 5 CFBDRN Cc1c(CC(=O)OC[C@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000796897932 629986561 /nfs/dbraw/zinc/98/65/61/629986561.db2.gz HSPJGFAPAMPLAI-BXKDBHETSA-N 0 0 263.293 2.645 20 5 CFBDRN C[C@@H]1COCC[C@H]1OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000835789134 630048412 /nfs/dbraw/zinc/04/84/12/630048412.db2.gz UVMNQQVFVSMIFX-PRHODGIISA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1cc(OCC(=O)O[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000797470605 630071067 /nfs/dbraw/zinc/07/10/67/630071067.db2.gz KQICQJNXIYPBBQ-JTQLQIEISA-N 0 0 279.292 2.624 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@@H](C)C(C)C ZINC000797474918 630071551 /nfs/dbraw/zinc/07/15/51/630071551.db2.gz MRZSSEVSWROXDN-JTQLQIEISA-N 0 0 281.308 2.939 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C=C1CCC1 ZINC000797475061 630071569 /nfs/dbraw/zinc/07/15/69/630071569.db2.gz VMXSKWPSNLFWBG-UHFFFAOYSA-N 0 0 277.276 2.757 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1ccc([N+](=O)[O-])cc1OC ZINC000797476598 630071709 /nfs/dbraw/zinc/07/17/09/630071709.db2.gz TVINDTHABVSNTM-GFCCVEGCSA-N 0 0 299.348 2.788 20 5 CFBDRN COc1cccc(C(=O)O[C@@H](C)CCSC)c1[N+](=O)[O-] ZINC000835812272 630079430 /nfs/dbraw/zinc/07/94/30/630079430.db2.gz HNWJVFGLWCLDKV-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)CCSC)ccc1[N+](=O)[O-] ZINC000835815341 630081267 /nfs/dbraw/zinc/08/12/67/630081267.db2.gz LPLLLWMWCZCURO-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)CCSC)ccc1[N+](=O)[O-] ZINC000835815343 630081373 /nfs/dbraw/zinc/08/13/73/630081373.db2.gz LPLLLWMWCZCURO-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN C/C(=C\Cl)CSCCn1ccc([N+](=O)[O-])n1 ZINC000797814307 630107330 /nfs/dbraw/zinc/10/73/30/630107330.db2.gz ZCLCACALAIGCCA-SOFGYWHQSA-N 0 0 261.734 2.667 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)c1ccc[nH]1 ZINC000797857747 630113999 /nfs/dbraw/zinc/11/39/99/630113999.db2.gz BCIULJHIPBEFOP-UHFFFAOYSA-N 0 0 280.667 2.933 20 5 CFBDRN CC[C@](C)(COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000835957175 630177555 /nfs/dbraw/zinc/17/75/55/630177555.db2.gz MHTOURVANUAEIC-JOZWUWCOSA-N 0 0 293.319 2.966 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cccc([N+](=O)[O-])c1)OC ZINC000835959537 630183363 /nfs/dbraw/zinc/18/33/63/630183363.db2.gz YPYMOYBFMZXOPK-ZDUSSCGKSA-N 0 0 267.281 2.567 20 5 CFBDRN CC[C@@](C)(COC(=O)Cc1cccc([N+](=O)[O-])c1C)OC ZINC000835961804 630184878 /nfs/dbraw/zinc/18/48/78/630184878.db2.gz HASIJZWJRBQNSN-HNNXBMFYSA-N 0 0 295.335 2.804 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(=O)C1CC1 ZINC000798643140 630220905 /nfs/dbraw/zinc/22/09/05/630220905.db2.gz ADWVWFRSMNEXAA-ZETCQYMHSA-N 0 0 297.694 2.773 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@@H](C1CC1)C1CCC1 ZINC000836041863 630231770 /nfs/dbraw/zinc/23/17/70/630231770.db2.gz FJUGYOIWZJNPCT-CYBMUJFWSA-N 0 0 278.308 2.669 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@H](c2ccccn2)C1 ZINC000798711210 630232668 /nfs/dbraw/zinc/23/26/68/630232668.db2.gz PJGCXTQGOOYDDC-ZDUSSCGKSA-N 0 0 297.314 2.620 20 5 CFBDRN CCC(=O)N[C@H](c1cccc([N+](=O)[O-])c1F)C(F)(F)F ZINC000839865041 630255829 /nfs/dbraw/zinc/25/58/29/630255829.db2.gz WOYWYOQYNHXXKE-SNVBAGLBSA-N 0 0 294.204 2.864 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCC[C@@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000799059171 630271730 /nfs/dbraw/zinc/27/17/30/630271730.db2.gz MWTHSSHQODTCTL-QWRGUYRKSA-N 0 0 291.351 2.651 20 5 CFBDRN Cc1c(OC[C@@H](O)c2ccc(F)cn2)cccc1[N+](=O)[O-] ZINC000799616619 630324946 /nfs/dbraw/zinc/32/49/46/630324946.db2.gz KUWYDASRAXFYEI-CYBMUJFWSA-N 0 0 292.266 2.550 20 5 CFBDRN Cc1cc(OS(=O)(=O)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000799749639 630342666 /nfs/dbraw/zinc/34/26/66/630342666.db2.gz WTOYUANKQSXKMF-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC2(C(F)F)CC2)cc1 ZINC000799910224 630353605 /nfs/dbraw/zinc/35/36/05/630353605.db2.gz LPBPJDVAQZOBGJ-UHFFFAOYSA-N 0 0 287.291 2.679 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC(C3CCOCC3)C2)c(F)c1 ZINC000799973483 630357681 /nfs/dbraw/zinc/35/76/81/630357681.db2.gz WVBDIXIPPVTJMH-UHFFFAOYSA-N 0 0 298.289 2.736 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000800394092 630377717 /nfs/dbraw/zinc/37/77/17/630377717.db2.gz XZYIYSGQAMWDFP-PKFCDNJMSA-N 0 0 293.319 2.876 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000800460828 630387966 /nfs/dbraw/zinc/38/79/66/630387966.db2.gz IOKNMZZSFXXGJZ-UWVGGRQHSA-N 0 0 299.710 2.973 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCOc3ccccc3C2)c1 ZINC000800848293 630411117 /nfs/dbraw/zinc/41/11/17/630411117.db2.gz ZGQVSJUMWIIRDB-UHFFFAOYSA-N 0 0 285.303 2.576 20 5 CFBDRN Cc1c(OC(=O)[C@H]2C[C@@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000800849208 630411194 /nfs/dbraw/zinc/41/11/94/630411194.db2.gz ZXGCCQGQPNRQHO-YUMQZZPRSA-N 0 0 271.219 2.710 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCC[C@H]2CCCC[C@H]2O)c1 ZINC000800860679 630412142 /nfs/dbraw/zinc/41/21/42/630412142.db2.gz WLXQQXGOLPUNJV-IAQYHMDHSA-N 0 0 293.367 2.920 20 5 CFBDRN Cc1cc(C)nc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000800919358 630416839 /nfs/dbraw/zinc/41/68/39/630416839.db2.gz CCRZSBOHGXMCSV-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN Cc1cc(COC(=O)[C@H]2C[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000800937701 630417541 /nfs/dbraw/zinc/41/75/41/630417541.db2.gz RDMRZXMVHUOTQM-UWVGGRQHSA-N 0 0 285.246 2.848 20 5 CFBDRN Cc1noc(C)c1N(C)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000801524764 630453285 /nfs/dbraw/zinc/45/32/85/630453285.db2.gz NCOFXBVACUPEBF-UHFFFAOYSA-N 0 0 279.271 2.975 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H](CO)c2ccsc2)cc1F ZINC000801542092 630455612 /nfs/dbraw/zinc/45/56/12/630455612.db2.gz OLHBSUBBGCYHHF-LBPRGKRZSA-N 0 0 296.323 2.619 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)[C@H](C)[C@@H](C)O1 ZINC000801547114 630456667 /nfs/dbraw/zinc/45/66/67/630456667.db2.gz HAVGDOVIFXNNQJ-GMTAPVOTSA-N 0 0 282.315 2.732 20 5 CFBDRN C[C@@H](N(C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C(C)(C)C ZINC000801809733 630470967 /nfs/dbraw/zinc/47/09/67/630470967.db2.gz SAUCASNKRGZRFS-MRVPVSSYSA-N 0 0 297.330 2.823 20 5 CFBDRN C[C@H](CNC(=O)c1cc([N+](=O)[O-])c(F)cc1N)C(C)(C)C ZINC000801902075 630484682 /nfs/dbraw/zinc/48/46/82/630484682.db2.gz BFBVLWVQTXFUOA-MRVPVSSYSA-N 0 0 297.330 2.728 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)O[C@]1(C)CCOC1 ZINC000802900853 630562309 /nfs/dbraw/zinc/56/23/09/630562309.db2.gz WLWBAUUOBDPBNT-ZHUYAKLQSA-N 0 0 291.303 2.720 20 5 CFBDRN CC1(C)CC[C@@H](Oc2cc(F)ccc2[N+](=O)[O-])C1=O ZINC000839983498 630565249 /nfs/dbraw/zinc/56/52/49/630565249.db2.gz QRDFZWXGKKLCJZ-SNVBAGLBSA-N 0 0 267.256 2.870 20 5 CFBDRN CCOC1CC(COC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000802943137 630568849 /nfs/dbraw/zinc/56/88/49/630568849.db2.gz NYAJFHDBECOFLL-UHFFFAOYSA-N 0 0 279.292 2.567 20 5 CFBDRN CCOC1CC(COC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000802945376 630569738 /nfs/dbraw/zinc/56/97/38/630569738.db2.gz CMWAPUFAMQXQIH-UHFFFAOYSA-N 0 0 293.319 2.875 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2ccc([N+](=O)[O-])s2)C1=O ZINC000839984474 630570281 /nfs/dbraw/zinc/57/02/81/630570281.db2.gz OVTZSATULBORPU-ZETCQYMHSA-N 0 0 283.305 2.571 20 5 CFBDRN Cc1cc(C(=O)OCc2c(F)cc([N+](=O)[O-])cc2F)on1 ZINC000803266437 630596842 /nfs/dbraw/zinc/59/68/42/630596842.db2.gz SRVGPKKTEZCFRS-UHFFFAOYSA-N 0 0 298.201 2.526 20 5 CFBDRN Cc1sc(C(=O)OCC2CCC(=O)CC2)cc1[N+](=O)[O-] ZINC000803271503 630597158 /nfs/dbraw/zinc/59/71/58/630597158.db2.gz ALDVASIYYUYHJP-UHFFFAOYSA-N 0 0 297.332 2.881 20 5 CFBDRN CC(C)SCCOC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000803276568 630597913 /nfs/dbraw/zinc/59/79/13/630597913.db2.gz RZDIGBVRKDJNHR-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)c2cc([N+](=O)[O-])ccc2F)O1 ZINC000803287517 630599397 /nfs/dbraw/zinc/59/93/97/630599397.db2.gz VBTGCNVLQQAYFZ-SNVBAGLBSA-N 0 0 297.282 2.848 20 5 CFBDRN O=C(OCCCCF)c1ccc([N+](=O)[O-])c(F)c1 ZINC000803337184 630603138 /nfs/dbraw/zinc/60/31/38/630603138.db2.gz PIVHVBRGSSRUBS-UHFFFAOYSA-N 0 0 259.208 2.640 20 5 CFBDRN COCCCCOC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000803342997 630604292 /nfs/dbraw/zinc/60/42/92/630604292.db2.gz SFPXWGJADOXWFR-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN O=C(OCCCCCO)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000803359109 630606428 /nfs/dbraw/zinc/60/64/28/630606428.db2.gz KBDMOWSHLRIJAA-UHFFFAOYSA-N 0 0 287.699 2.568 20 5 CFBDRN C[C@@H](C(=O)OCCCCF)c1cccc([N+](=O)[O-])c1 ZINC000803474400 630621907 /nfs/dbraw/zinc/62/19/07/630621907.db2.gz XHDKLDZSRPYBSX-SNVBAGLBSA-N 0 0 269.272 2.991 20 5 CFBDRN CC1(C)CCC[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1=O ZINC000840009218 630630756 /nfs/dbraw/zinc/63/07/56/630630756.db2.gz OBPXYFNBCRGTRI-LBPRGKRZSA-N 0 0 291.303 2.899 20 5 CFBDRN CC[C@H](CO)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000803617924 630631023 /nfs/dbraw/zinc/63/10/23/630631023.db2.gz ZTIVZBDTSPYXQI-SNVBAGLBSA-N 0 0 294.351 2.849 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCc1ccncc1 ZINC000804315448 630670978 /nfs/dbraw/zinc/67/09/78/630670978.db2.gz LJNXRNXDKJODSZ-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCc1ccco1 ZINC000804327541 630672590 /nfs/dbraw/zinc/67/25/90/630672590.db2.gz ROCYAKWJDWKLLK-UHFFFAOYSA-N 0 0 277.236 2.751 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804349219 630674530 /nfs/dbraw/zinc/67/45/30/630674530.db2.gz KWCLCAXHNROKTP-HTQZYQBOSA-N 0 0 251.242 2.571 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCC1CCC1 ZINC000804348351 630674558 /nfs/dbraw/zinc/67/45/58/630674558.db2.gz ZEICUIJTPPEJHA-UHFFFAOYSA-N 0 0 251.242 2.715 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804374377 630676902 /nfs/dbraw/zinc/67/69/02/630676902.db2.gz IMOGVSROTCDWID-SNVBAGLBSA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000804378528 630677318 /nfs/dbraw/zinc/67/73/18/630677318.db2.gz VAMNLHREACWNAY-SECBINFHSA-N 0 0 295.295 2.507 20 5 CFBDRN CC(C)(C)SCCNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000804425400 630680859 /nfs/dbraw/zinc/68/08/59/630680859.db2.gz PECYPZYOBBHOOG-UHFFFAOYSA-N 0 0 299.396 2.613 20 5 CFBDRN CC[C@H](C)CN(C)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804445526 630681937 /nfs/dbraw/zinc/68/19/37/630681937.db2.gz HVWXXZWPUASFKC-VIFPVBQESA-N 0 0 267.285 2.985 20 5 CFBDRN CC1(C)C[C@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804451638 630683136 /nfs/dbraw/zinc/68/31/36/630683136.db2.gz QKEFVRGRPSGCHP-QMMMGPOBSA-N 0 0 265.269 2.961 20 5 CFBDRN CSCCCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804463597 630684247 /nfs/dbraw/zinc/68/42/47/630684247.db2.gz FTEQATZNXSIGPY-UHFFFAOYSA-N 0 0 271.298 2.668 20 5 CFBDRN NC(=O)[C@@H]1CCC[C@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000804473377 630685341 /nfs/dbraw/zinc/68/53/41/630685341.db2.gz HELMPXJVFOQVSA-SCZZXKLOSA-N 0 0 297.742 2.704 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CCC[C@H](O)C1 ZINC000804500059 630688302 /nfs/dbraw/zinc/68/83/02/630688302.db2.gz JRMHKXTWXKXADJ-AAEUAGOBSA-N 0 0 292.335 2.760 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804536765 630690942 /nfs/dbraw/zinc/69/09/42/630690942.db2.gz BFWWRQNMXFKZRG-MRVPVSSYSA-N 0 0 286.715 2.859 20 5 CFBDRN CC1(C)CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCS1 ZINC000804539179 630691437 /nfs/dbraw/zinc/69/14/37/630691437.db2.gz YCALJWJGXGJTQT-UHFFFAOYSA-N 0 0 297.336 2.835 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CC[C@H](C2CC2)C1 ZINC000804585317 630761092 /nfs/dbraw/zinc/76/10/92/630761092.db2.gz IFJWBQVSSQABCJ-JTQLQIEISA-N 0 0 277.280 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCOCC1CCC1 ZINC000804616120 630764810 /nfs/dbraw/zinc/76/48/10/630764810.db2.gz KSXKSJKUWVHDMN-UHFFFAOYSA-N 0 0 295.295 2.732 20 5 CFBDRN COC(=O)[C@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])[C@H]1C ZINC000804646263 630767930 /nfs/dbraw/zinc/76/79/30/630767930.db2.gz VUXSKMKJHOPNHV-WPRPVWTQSA-N 0 0 298.726 2.636 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC[C@@H]1CCC=CO1 ZINC000804630519 630768114 /nfs/dbraw/zinc/76/81/14/630768114.db2.gz DHQBYINVIJBPMY-VIFPVBQESA-N 0 0 279.252 2.608 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)O[C@H]1CCC(C)(C)C1=O ZINC000840061497 630800174 /nfs/dbraw/zinc/80/01/74/630800174.db2.gz CQXBHIREXKFHGK-NSHDSACASA-N 0 0 291.303 2.818 20 5 CFBDRN C[C@H](NC(=O)NC1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000836362162 630802615 /nfs/dbraw/zinc/80/26/15/630802615.db2.gz KXGFRGTWXIMXBQ-JTQLQIEISA-N 0 0 275.308 2.674 20 5 CFBDRN Cc1ccc([C@@H](O)Cn2cc([N+](=O)[O-])c(C3CC3)n2)cc1 ZINC000806631666 630824778 /nfs/dbraw/zinc/82/47/78/630824778.db2.gz SRPDWRKFVPCZOE-AWEZNQCLSA-N 0 0 287.319 2.711 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H]2C[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000807072812 630857358 /nfs/dbraw/zinc/85/73/58/630857358.db2.gz ZDGXOTNJVAEEGO-WDMOLILDSA-N 0 0 289.335 2.850 20 5 CFBDRN CC(=O)C[C@@H](C)NC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000836779683 630880530 /nfs/dbraw/zinc/88/05/30/630880530.db2.gz UFJAFVWFBOYLOF-MRVPVSSYSA-N 0 0 298.726 2.654 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H](F)C2)cc1Cl ZINC000836791316 630883678 /nfs/dbraw/zinc/88/36/78/630883678.db2.gz RFOARMGBIUKKQI-MRVPVSSYSA-N 0 0 286.690 2.741 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NOC(C)C)cc1Cl ZINC000836800698 630886204 /nfs/dbraw/zinc/88/62/04/630886204.db2.gz ZTQMKWVDMGXZKW-UHFFFAOYSA-N 0 0 272.688 2.626 20 5 CFBDRN C/C(=C/C(=O)N1CC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000836820520 630891172 /nfs/dbraw/zinc/89/11/72/630891172.db2.gz CJVXABAFFOCPOS-VPUINMBXSA-N 0 0 278.283 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCc2nccs2)c1 ZINC000041012518 649987908 /nfs/dbraw/zinc/98/79/08/649987908.db2.gz CMJKBPMQQSUJEM-UHFFFAOYSA-N 0 0 283.740 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@]2(O)CCc3ccccc32)s1 ZINC000808006531 630940093 /nfs/dbraw/zinc/94/00/93/630940093.db2.gz XNUKIWYJKVWKMB-AWEZNQCLSA-N 0 0 290.344 2.902 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc3nonc32)cc([N+](=O)[O-])c1 ZINC000808008907 630941777 /nfs/dbraw/zinc/94/17/77/630941777.db2.gz MSJCFZSYOOFCFZ-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1CCC[C@H]1CCO ZINC000808022551 630943569 /nfs/dbraw/zinc/94/35/69/630943569.db2.gz LHCKRZRHBPHAII-SMDDNHRTSA-N 0 0 292.335 2.760 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000102708561 630954772 /nfs/dbraw/zinc/95/47/72/630954772.db2.gz XTZJSKMJVSBOGJ-ZYHUDNBSSA-N 0 0 279.292 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COc3ccccc3[C@@H]2O)s1 ZINC000808168641 630963024 /nfs/dbraw/zinc/96/30/24/630963024.db2.gz NZQDUAIAIYSLQQ-ZANVPECISA-N 0 0 292.316 2.563 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000837230383 630982991 /nfs/dbraw/zinc/98/29/91/630982991.db2.gz MCPGPWXOASUNRJ-GWCFXTLKSA-N 0 0 294.351 2.569 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837231336 630983876 /nfs/dbraw/zinc/98/38/76/630983876.db2.gz VHAOXCAURXETHN-SNVBAGLBSA-N 0 0 258.362 2.763 20 5 CFBDRN CC(C)=CCOC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000808548456 631011899 /nfs/dbraw/zinc/01/18/99/631011899.db2.gz YSPYSTLRRLVFMZ-UHFFFAOYSA-N 0 0 279.292 2.791 20 5 CFBDRN C/C=C\COC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000808561178 631013856 /nfs/dbraw/zinc/01/38/56/631013856.db2.gz OAKOZGLQKBVRJA-IHWYPQMZSA-N 0 0 271.219 2.535 20 5 CFBDRN COc1cncc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)c1 ZINC000808742900 631042331 /nfs/dbraw/zinc/04/23/31/631042331.db2.gz LPNRFFFLWZJECF-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cccnc1F ZINC000808807724 631052468 /nfs/dbraw/zinc/05/24/68/631052468.db2.gz DYOSXCZGWKIBHC-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCc1cc[nH]n1 ZINC000837614166 631086619 /nfs/dbraw/zinc/08/66/19/631086619.db2.gz XDWATXBCYRCCOJ-UHFFFAOYSA-N 0 0 289.291 2.600 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1CCOC1CCC1 ZINC000809187976 631094166 /nfs/dbraw/zinc/09/41/66/631094166.db2.gz YELJAAZHLRPTEF-NSHDSACASA-N 0 0 276.336 2.915 20 5 CFBDRN Cc1cnc(CN2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)nc1 ZINC000809187736 631094205 /nfs/dbraw/zinc/09/42/05/631094205.db2.gz NLNUQETYZPZAGU-NSHDSACASA-N 0 0 284.319 2.644 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 ZINC000837732224 631118501 /nfs/dbraw/zinc/11/85/01/631118501.db2.gz OCHNVSHVCGQMII-DTORHVGOSA-N 0 0 298.726 2.546 20 5 CFBDRN CC[C@@H](O)CC(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000837807402 631132283 /nfs/dbraw/zinc/13/22/83/631132283.db2.gz DLXJNSOSIUIECN-CMPLNLGQSA-N 0 0 281.308 2.668 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1Cc2ccccc21)[N+](=O)[O-] ZINC000837925140 631151461 /nfs/dbraw/zinc/15/14/61/631151461.db2.gz KWNYLSXAUCDCQM-LBPRGKRZSA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C(=O)C1CCCCC1 ZINC000837926384 631151910 /nfs/dbraw/zinc/15/19/10/631151910.db2.gz QDCMFBJFCSAYTF-LLVKDONJSA-N 0 0 299.367 2.903 20 5 CFBDRN COCC(C)(C)CC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000840593213 631168035 /nfs/dbraw/zinc/16/80/35/631168035.db2.gz UZXRNZVTFMIHPE-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN CO[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000840649876 631173169 /nfs/dbraw/zinc/17/31/69/631173169.db2.gz WKAZAOPCYLOVSN-JTQLQIEISA-N 0 0 297.307 2.574 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1Cl)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840670230 631174166 /nfs/dbraw/zinc/17/41/66/631174166.db2.gz DJKIYGVMGAFILB-PSASIEDQSA-N 0 0 290.102 2.702 20 5 CFBDRN CC1(NC(=S)NCCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000840739820 631177877 /nfs/dbraw/zinc/17/78/77/631177877.db2.gz GOXXEBXMDOFIJW-UHFFFAOYSA-N 0 0 293.392 2.544 20 5 CFBDRN C[C@@H](F)CCNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840756296 631178807 /nfs/dbraw/zinc/17/88/07/631178807.db2.gz RNSTXYCRRIRHNS-MRVPVSSYSA-N 0 0 271.317 2.629 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1csc([N+](=O)[O-])c1 ZINC000042566036 649999750 /nfs/dbraw/zinc/99/97/50/649999750.db2.gz NQSYTTGHAIDRIT-UHFFFAOYSA-N 0 0 294.244 2.784 20 5 CFBDRN O=C(NOCC(F)F)Nc1cccc([N+](=O)[O-])c1Cl ZINC000809919982 631300413 /nfs/dbraw/zinc/30/04/13/631300413.db2.gz PZUMCTNBYISAHY-UHFFFAOYSA-N 0 0 295.629 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](CC(C)C)OC ZINC000841014229 631305803 /nfs/dbraw/zinc/30/58/03/631305803.db2.gz RFHRGYBBPJRIHG-CYBMUJFWSA-N 0 0 297.307 2.570 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000841154964 631348939 /nfs/dbraw/zinc/34/89/39/631348939.db2.gz OTRUSLLDKFJTFB-LBPRGKRZSA-N 0 0 285.271 2.700 20 5 CFBDRN O=Cc1cccc(C(=O)OCc2cccc([N+](=O)[O-])c2)c1 ZINC000759374389 631366620 /nfs/dbraw/zinc/36/66/20/631366620.db2.gz GZMXVSSSTKXZDG-UHFFFAOYSA-N 0 0 285.255 2.764 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@H]1F)c1cc([N+](=O)[O-])ccc1F ZINC000841327855 631385242 /nfs/dbraw/zinc/38/52/42/631385242.db2.gz SKJQDUXDDLJYDQ-VXGBXAGGSA-N 0 0 284.262 2.744 20 5 CFBDRN Cc1nc(COC(=O)c2cccc([N+](=O)[O-])c2C)c(C)o1 ZINC000841342977 631390765 /nfs/dbraw/zinc/39/07/65/631390765.db2.gz SMBAWKNPKNSEFN-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000810642898 631416467 /nfs/dbraw/zinc/41/64/67/631416467.db2.gz WPCBMYHNQCVOAZ-SNVBAGLBSA-N 0 0 281.333 2.952 20 5 CFBDRN COCC1(COC(=O)c2cccc(C)c2[N+](=O)[O-])CCC1 ZINC000811059206 631508411 /nfs/dbraw/zinc/50/84/11/631508411.db2.gz VNCBTATWZWMQOD-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000842049466 631513353 /nfs/dbraw/zinc/51/33/53/631513353.db2.gz UNRQVMARCDZKBA-OUAUKWLOSA-N 0 0 274.324 2.723 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])s1)CC(C)C ZINC000842053124 631515348 /nfs/dbraw/zinc/51/53/48/631515348.db2.gz MSSVFEUKKAZQRP-VIFPVBQESA-N 0 0 287.337 2.874 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cnc2ccccc2c1 ZINC000842300412 631583025 /nfs/dbraw/zinc/58/30/25/631583025.db2.gz SLGDLRLOUOLJPK-GAJTVXKRSA-N 0 0 286.287 2.504 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1cc2cc(Cl)ccc2[nH]1 ZINC000842367508 631608325 /nfs/dbraw/zinc/60/83/25/631608325.db2.gz KIVMCHCAOYLOBS-MRVPVSSYSA-N 0 0 296.710 2.572 20 5 CFBDRN O=C(O[C@H]1C[C@@H](c2ccccc2Cl)C1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842376026 631612499 /nfs/dbraw/zinc/61/24/99/631612499.db2.gz RNJCOFNMGUWOON-INTNWOOBSA-N 0 0 295.722 2.794 20 5 CFBDRN Cn1ncc(CNc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n1 ZINC000811770726 631620819 /nfs/dbraw/zinc/62/08/19/631620819.db2.gz GGNGGJRTYLTUKQ-UHFFFAOYSA-N 0 0 289.339 2.633 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(Cc2cccc(Cl)c2F)no1 ZINC000842487638 631643131 /nfs/dbraw/zinc/64/31/31/631643131.db2.gz KZXXCUIPSJEVFB-VXNVDRBHSA-N 0 0 297.673 2.586 20 5 CFBDRN COC[C@@H](C)OC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000867299863 631658918 /nfs/dbraw/zinc/65/89/18/631658918.db2.gz YONDPLQUGHMIPW-SNVBAGLBSA-N 0 0 296.323 2.591 20 5 CFBDRN CCCCCCn1nc(-c2ccccc2[N+](=O)[O-])oc1=O ZINC000842571411 631666090 /nfs/dbraw/zinc/66/60/90/631666090.db2.gz WVWFGLJPZISSAS-UHFFFAOYSA-N 0 0 291.307 2.992 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1Cl)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840246753 631669576 /nfs/dbraw/zinc/66/95/76/631669576.db2.gz XBNIDAVRDPVVDF-HTRCEHHLSA-N 0 0 276.075 2.564 20 5 CFBDRN Cc1cc(F)cc(-c2nc([C@H]3CCC[NH2+]3)no2)c1[N+](=O)[O-] ZINC000842643087 631689981 /nfs/dbraw/zinc/68/99/81/631689981.db2.gz MKMAFBLPHRBFGV-SNVBAGLBSA-N 0 0 292.270 2.517 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC(F)(F)C(F)F)c1 ZINC000842654755 631694406 /nfs/dbraw/zinc/69/44/06/631694406.db2.gz DOWWRTWHUAORKU-UHFFFAOYSA-N 0 0 283.177 2.883 20 5 CFBDRN CO[C@@H](C)COc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842664324 631696553 /nfs/dbraw/zinc/69/65/53/631696553.db2.gz VXCKSFAMJQYONP-BYPYZUCNSA-N 0 0 283.177 2.565 20 5 CFBDRN COC1(C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)CC1 ZINC000842723307 631717917 /nfs/dbraw/zinc/71/79/17/631717917.db2.gz WXOZJVHCIBMFBO-UHFFFAOYSA-N 0 0 285.683 2.641 20 5 CFBDRN CCC1(C)CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000812483652 631725354 /nfs/dbraw/zinc/72/53/54/631725354.db2.gz XDFZHIGGWAUXGJ-UHFFFAOYSA-N 0 0 265.269 2.739 20 5 CFBDRN Cc1cc(COC(=O)C2(C(F)F)CC2)ccc1[N+](=O)[O-] ZINC000842754278 631729023 /nfs/dbraw/zinc/72/90/23/631729023.db2.gz LGYLYIZSAYOUIS-UHFFFAOYSA-N 0 0 285.246 2.992 20 5 CFBDRN O=C(CCCC1CC1)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000812600902 631740821 /nfs/dbraw/zinc/74/08/21/631740821.db2.gz ZRLQSXWOQIATJF-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN Cc1ccc(C2(C(=O)OC[C@H](C)[N+](=O)[O-])CCC2)cc1 ZINC000842801301 631744805 /nfs/dbraw/zinc/74/48/05/631744805.db2.gz FXKZWASRJZDUAQ-LBPRGKRZSA-N 0 0 277.320 2.625 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000812624850 631746937 /nfs/dbraw/zinc/74/69/37/631746937.db2.gz IUTOZXASEUIPMV-WDEREUQCSA-N 0 0 281.308 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OCc1ncccn1 ZINC000155596018 650026731 /nfs/dbraw/zinc/02/67/31/650026731.db2.gz JLJXJQMRXQCGRG-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN CO[C@@](C)(C(=O)OCc1csc([N+](=O)[O-])c1)C1CC1 ZINC000812662384 631754118 /nfs/dbraw/zinc/75/41/18/631754118.db2.gz FODRILJWJHNFJP-GFCCVEGCSA-N 0 0 285.321 2.515 20 5 CFBDRN CCCCO[C@H](C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812813048 631788918 /nfs/dbraw/zinc/78/89/18/631788918.db2.gz DASLZCKWTKWXQU-SNVBAGLBSA-N 0 0 285.344 2.935 20 5 CFBDRN CSC1(c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCC1 ZINC000812815370 631789461 /nfs/dbraw/zinc/78/94/61/631789461.db2.gz KPYYPBGWDMTQNZ-UHFFFAOYSA-N 0 0 285.369 2.800 20 5 CFBDRN C[C@@H]1CC[C@@H](CCc2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812813153 631789670 /nfs/dbraw/zinc/78/96/70/631789670.db2.gz DAHNFXDAIQFAHJ-MNOVXSKESA-N 0 0 297.355 2.558 20 5 CFBDRN C[C@H](c1ccco1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812815933 631790745 /nfs/dbraw/zinc/79/07/45/631790745.db2.gz FTEHQNCFKCDBEW-SECBINFHSA-N 0 0 279.296 2.802 20 5 CFBDRN CCCCC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C(=O)OC ZINC000840272181 631807866 /nfs/dbraw/zinc/80/78/66/631807866.db2.gz LISWUOWQKBQQNK-LLVKDONJSA-N 0 0 299.302 2.663 20 5 CFBDRN O=C([O-])[C@@H]1CC[C@H]([NH2+]Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000843038544 631809950 /nfs/dbraw/zinc/80/99/50/631809950.db2.gz JGMADWGLFRHSHT-KCJUWKMLSA-N 0 0 298.726 2.591 20 5 CFBDRN C[C@@H](C[C@H](O)c1ccco1)Nc1ncc([N+](=O)[O-])cc1F ZINC000840275951 631814791 /nfs/dbraw/zinc/81/47/91/631814791.db2.gz OBJVTXGOMBXCHH-KWQFWETISA-N 0 0 295.270 2.646 20 5 CFBDRN CCc1ccc(OC(=O)[C@@](C)(OC)C2CC2)c([N+](=O)[O-])c1 ZINC000813068955 631824633 /nfs/dbraw/zinc/82/46/33/631824633.db2.gz BUGJTJIVNMZMFT-HNNXBMFYSA-N 0 0 293.319 2.878 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H](C)[C@H](C)COC)c([N+](=O)[O-])c1 ZINC000813068926 631824888 /nfs/dbraw/zinc/82/48/88/631824888.db2.gz BBAGPZBBQWNJMU-MNOVXSKESA-N 0 0 295.335 2.981 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CCCSC1 ZINC000813099949 631837392 /nfs/dbraw/zinc/83/73/92/631837392.db2.gz IBNDWYMADKPYJL-SNVBAGLBSA-N 0 0 281.333 2.952 20 5 CFBDRN Cc1ccc(CCC(=O)OC[C@H](C)[N+](=O)[O-])cc1Cl ZINC000813102040 631838243 /nfs/dbraw/zinc/83/82/43/631838243.db2.gz AELCZGLMNXYAQH-JTQLQIEISA-N 0 0 285.727 2.789 20 5 CFBDRN C[C@H](CC(=O)OC[C@@H](C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000813102472 631838431 /nfs/dbraw/zinc/83/84/31/631838431.db2.gz GAUQVULFRRWOAO-NXEZZACHSA-N 0 0 269.272 2.528 20 5 CFBDRN Cc1ccc(CCC(=O)OC[C@@H](C)[N+](=O)[O-])cc1Cl ZINC000813102041 631838702 /nfs/dbraw/zinc/83/87/02/631838702.db2.gz AELCZGLMNXYAQH-SNVBAGLBSA-N 0 0 285.727 2.789 20 5 CFBDRN CCOc1cc(Cl)ccc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813104882 631839744 /nfs/dbraw/zinc/83/97/44/631839744.db2.gz SOLYJCOJQOVIHS-QMMMGPOBSA-N 0 0 287.699 2.561 20 5 CFBDRN Cc1cc(CCCC(=O)OC[C@H](C)[N+](=O)[O-])c(C)s1 ZINC000813106197 631841261 /nfs/dbraw/zinc/84/12/61/631841261.db2.gz AHCZCSXMPIYFKR-VIFPVBQESA-N 0 0 285.365 2.896 20 5 CFBDRN C[C@@H](COC(=O)c1cc2c(cccc2F)s1)[N+](=O)[O-] ZINC000813106562 631841285 /nfs/dbraw/zinc/84/12/85/631841285.db2.gz DQNWXPBQJZADDO-ZETCQYMHSA-N 0 0 283.280 2.862 20 5 CFBDRN C[C@H](COC(=O)/C=C\Sc1ccccc1)[N+](=O)[O-] ZINC000813105331 631841424 /nfs/dbraw/zinc/84/14/24/631841424.db2.gz LXQIVDNIYVBDMQ-GQYWMQPJSA-N 0 0 267.306 2.501 20 5 CFBDRN Cc1ccc(SCCC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813106937 631842272 /nfs/dbraw/zinc/84/22/72/631842272.db2.gz IEPAYAATWVGJGJ-LLVKDONJSA-N 0 0 283.349 2.686 20 5 CFBDRN C[C@@H](COC(=O)c1cc2cc(F)ccc2s1)[N+](=O)[O-] ZINC000813113785 631844456 /nfs/dbraw/zinc/84/44/56/631844456.db2.gz IWLUGRUORLOTGY-ZETCQYMHSA-N 0 0 283.280 2.862 20 5 CFBDRN Cc1ccsc1CCCC(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813111668 631844794 /nfs/dbraw/zinc/84/47/94/631844794.db2.gz KENWDOULZJCKKZ-JTQLQIEISA-N 0 0 271.338 2.588 20 5 CFBDRN C/C(=C/c1ccccc1Cl)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813112646 631844916 /nfs/dbraw/zinc/84/49/16/631844916.db2.gz SAGIFKAOAQTJPL-UJICNMFASA-N 0 0 283.711 2.952 20 5 CFBDRN C/C(=C/C(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1Cl ZINC000813111532 631845036 /nfs/dbraw/zinc/84/50/36/631845036.db2.gz UHVHHTGUTKXNOB-RNKPRXRFSA-N 0 0 283.711 2.952 20 5 CFBDRN Cc1ccsc1CCCC(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813111670 631845177 /nfs/dbraw/zinc/84/51/77/631845177.db2.gz KENWDOULZJCKKZ-SNVBAGLBSA-N 0 0 271.338 2.588 20 5 CFBDRN C[C@H](COC(=O)CCCCC(=O)c1ccccc1)[N+](=O)[O-] ZINC000813111050 631845273 /nfs/dbraw/zinc/84/52/73/631845273.db2.gz BCWOCNNQPFFJGN-GFCCVEGCSA-N 0 0 293.319 2.638 20 5 CFBDRN CCc1ccccc1[C@@H]1C[C@H]1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813115547 631845626 /nfs/dbraw/zinc/84/56/26/631845626.db2.gz UWJRPMOTOYFEEM-DDTOSNHZSA-N 0 0 277.320 2.561 20 5 CFBDRN C[C@@H](COC(=O)C(C)(C)c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000813113912 631846310 /nfs/dbraw/zinc/84/63/10/631846310.db2.gz VZIUNUMDKYZILA-VIFPVBQESA-N 0 0 285.727 2.826 20 5 CFBDRN CCc1ccccc1[C@@H]1C[C@H]1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813115548 631846532 /nfs/dbraw/zinc/84/65/32/631846532.db2.gz UWJRPMOTOYFEEM-LEWSCRJBSA-N 0 0 277.320 2.561 20 5 CFBDRN C[C@H](COC(=O)c1cccc(OC2CCCC2)c1)[N+](=O)[O-] ZINC000813114123 631846622 /nfs/dbraw/zinc/84/66/22/631846622.db2.gz ZDADRCGOCVIHDO-LLVKDONJSA-N 0 0 293.319 2.830 20 5 CFBDRN CO[C@@](C)(COC(=O)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000813186623 631876746 /nfs/dbraw/zinc/87/67/46/631876746.db2.gz JWZBWKLFIWMNSK-HNNXBMFYSA-N 0 0 293.319 2.875 20 5 CFBDRN CN(C(=O)C1(F)CCCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000813237344 631889853 /nfs/dbraw/zinc/88/98/53/631889853.db2.gz BDCXILRMFPUQII-UHFFFAOYSA-N 0 0 281.287 2.625 20 5 CFBDRN COCC1(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 ZINC000813246446 631891007 /nfs/dbraw/zinc/89/10/07/631891007.db2.gz CQBGFJZLANKLJO-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@]12C[C@H]1CCCC2 ZINC000867032204 631915792 /nfs/dbraw/zinc/91/57/92/631915792.db2.gz INPKEQKAPBRCGS-CZUORRHYSA-N 0 0 288.347 2.834 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCC[C@@H]1CCOC1 ZINC000843300347 631921952 /nfs/dbraw/zinc/92/19/52/631921952.db2.gz KBSXCIBZWBTULG-LLVKDONJSA-N 0 0 279.340 2.865 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1noc(C2CC2)n1 ZINC000843298853 631922289 /nfs/dbraw/zinc/92/22/89/631922289.db2.gz RWSXRYHPFSEEIT-UHFFFAOYSA-N 0 0 289.295 2.509 20 5 CFBDRN CC[C@@H](C)CONc1nc2ccccn2c1[N+](=O)[O-] ZINC000813622211 631942836 /nfs/dbraw/zinc/94/28/36/631942836.db2.gz MUXMNFHQFNOIAP-SECBINFHSA-N 0 0 264.285 2.632 20 5 CFBDRN CC[C@@H](C)CONc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000813622337 631942854 /nfs/dbraw/zinc/94/28/54/631942854.db2.gz POYLCHMVGJKYBO-SECBINFHSA-N 0 0 282.296 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C1(F)CC1 ZINC000843526337 631976169 /nfs/dbraw/zinc/97/61/69/631976169.db2.gz XCCKOGBGESSDOX-UHFFFAOYSA-N 0 0 252.245 2.652 20 5 CFBDRN COC1(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)CC1 ZINC000843550639 631983562 /nfs/dbraw/zinc/98/35/62/631983562.db2.gz FYMQQPRHOKONIF-UHFFFAOYSA-N 0 0 284.699 2.674 20 5 CFBDRN COCC(C)(C)COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000813995029 631988376 /nfs/dbraw/zinc/98/83/76/631988376.db2.gz BBRNTYVEYKRPMN-UHFFFAOYSA-N 0 0 281.308 2.733 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H]1CCc2c1cccc2Cl ZINC000814035739 631997119 /nfs/dbraw/zinc/99/71/19/631997119.db2.gz CIYMOBHJCCBTJY-KCJUWKMLSA-N 0 0 283.711 2.578 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cccc2ccsc21 ZINC000814036067 631997838 /nfs/dbraw/zinc/99/78/38/631997838.db2.gz FZFLQGZZVNWGEW-QMMMGPOBSA-N 0 0 265.290 2.723 20 5 CFBDRN CC(C)COc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814037091 631997991 /nfs/dbraw/zinc/99/79/91/631997991.db2.gz QZLDUUZVGHCMES-LLVKDONJSA-N 0 0 281.308 2.543 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000814040311 631998672 /nfs/dbraw/zinc/99/86/72/631998672.db2.gz FOOYCAQSZFNJEY-IWSPIJDZSA-N 0 0 283.246 2.564 20 5 CFBDRN Cc1cccc2c(CCC(=O)O[C@H](C)C[N+](=O)[O-])c[nH]c21 ZINC000814038902 631998935 /nfs/dbraw/zinc/99/89/35/631998935.db2.gz VHQHZHAOKXUCJM-LLVKDONJSA-N 0 0 290.319 2.617 20 5 CFBDRN Cc1cc2occ(CC(=O)O[C@@H](C)C[N+](=O)[O-])c2cc1C ZINC000814042801 631999532 /nfs/dbraw/zinc/99/95/32/631999532.db2.gz ODAQPNYUVOVGTM-NSHDSACASA-N 0 0 291.303 2.801 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc(Cl)sc1Cl ZINC000814042624 631999725 /nfs/dbraw/zinc/99/97/25/631999725.db2.gz YLMOBPKKKGXDEN-BYPYZUCNSA-N 0 0 284.120 2.877 20 5 CFBDRN Cc1ccc(-c2nc(C(=O)O[C@@H](C)C[N+](=O)[O-])cs2)o1 ZINC000814044633 632000197 /nfs/dbraw/zinc/00/01/97/632000197.db2.gz SUAUCYQPLDZVKD-QMMMGPOBSA-N 0 0 296.304 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NC(=S)NC2CCC2)c1 ZINC000814189020 632027782 /nfs/dbraw/zinc/02/77/82/632027782.db2.gz CBDSTFWRDRHRAY-UHFFFAOYSA-N 0 0 269.301 2.573 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=S)NC1CCC1 ZINC000814205197 632037759 /nfs/dbraw/zinc/03/77/59/632037759.db2.gz STXCYZNYNKAVLK-UHFFFAOYSA-N 0 0 279.365 2.996 20 5 CFBDRN CC(=O)c1ccn(Cc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000814264966 632048548 /nfs/dbraw/zinc/04/85/48/632048548.db2.gz JPONHYJTPXZKCN-UHFFFAOYSA-N 0 0 279.683 2.696 20 5 CFBDRN C[C@@H]1SCC[C@@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000843748149 632048616 /nfs/dbraw/zinc/04/86/16/632048616.db2.gz VAKDTNCCFVHLKN-VRXNHQPZSA-N 0 0 292.360 2.618 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843760684 632050210 /nfs/dbraw/zinc/05/02/10/632050210.db2.gz ATJBCFYFCFYOQQ-MRVPVSSYSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@H]1CC1(C)C ZINC000843795153 632058216 /nfs/dbraw/zinc/05/82/16/632058216.db2.gz CYWBUKPUCQOHPA-SECBINFHSA-N 0 0 280.299 2.818 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC1CC(C)C1 ZINC000843813017 632060470 /nfs/dbraw/zinc/06/04/70/632060470.db2.gz GFGRDGOGZUBULD-UHFFFAOYSA-N 0 0 266.272 2.571 20 5 CFBDRN O=C(OCCC1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000814437291 632063920 /nfs/dbraw/zinc/06/39/20/632063920.db2.gz HIORTDRVJBFJKI-UHFFFAOYSA-N 0 0 279.292 2.568 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H](C)C1CCC1 ZINC000843838668 632065054 /nfs/dbraw/zinc/06/50/54/632065054.db2.gz DTIRUNNBZOJPNF-VIFPVBQESA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CS[C@@H](C)C1 ZINC000843906319 632076062 /nfs/dbraw/zinc/07/60/62/632076062.db2.gz OAXOSOHWZMAJMW-CBAPKCEASA-N 0 0 298.339 2.666 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C(F)=C1CCC1 ZINC000843967053 632085483 /nfs/dbraw/zinc/08/54/83/632085483.db2.gz XEFMFRVHBZMLKC-UHFFFAOYSA-N 0 0 279.271 2.983 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)C1 ZINC000814560874 632091942 /nfs/dbraw/zinc/09/19/42/632091942.db2.gz GLXYFUHXBLHUDH-OCCSQVGLSA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(CCc1cncs1)OCc1ccc([N+](=O)[O-])cc1 ZINC000814587129 632095975 /nfs/dbraw/zinc/09/59/75/632095975.db2.gz MGNVHQDHTGSZCO-UHFFFAOYSA-N 0 0 292.316 2.727 20 5 CFBDRN CCON(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000844078231 632109139 /nfs/dbraw/zinc/10/91/39/632109139.db2.gz WSEGJOPYTBCOFK-UHFFFAOYSA-N 0 0 270.260 2.536 20 5 CFBDRN CCON(C(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000844081408 632110984 /nfs/dbraw/zinc/11/09/84/632110984.db2.gz GOGPJMWAHMLLJR-UHFFFAOYSA-N 0 0 266.297 2.705 20 5 CFBDRN Cn1c(C(=O)OCCCc2ccccc2)ccc1[N+](=O)[O-] ZINC000844259378 632147257 /nfs/dbraw/zinc/14/72/57/632147257.db2.gz GGONKWCMLPNAPL-UHFFFAOYSA-N 0 0 288.303 2.723 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000844264017 632148051 /nfs/dbraw/zinc/14/80/51/632148051.db2.gz BDTJXCZYKGVMMY-GHMZBOCLSA-N 0 0 278.308 2.692 20 5 CFBDRN C[C@H]1CCC[C@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000844265373 632148961 /nfs/dbraw/zinc/14/89/61/632148961.db2.gz UKJUXTRQEYDBOZ-ONGXEEELSA-N 0 0 266.297 2.669 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2cccc(Cl)c2[N+](=O)[O-])nc1 ZINC000814902443 632156644 /nfs/dbraw/zinc/15/66/44/632156644.db2.gz CBCNLXGXFJJNKV-UHFFFAOYSA-N 0 0 296.626 2.739 20 5 CFBDRN Cn1c(C(=O)O[C@H]2CCc3ccccc32)ccc1[N+](=O)[O-] ZINC000844324489 632169150 /nfs/dbraw/zinc/16/91/50/632169150.db2.gz IOWVUJGWTNZNSP-ZDUSSCGKSA-N 0 0 286.287 2.778 20 5 CFBDRN CCN(C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000844348282 632175745 /nfs/dbraw/zinc/17/57/45/632175745.db2.gz XIJIMNDZKATXNL-UHFFFAOYSA-N 0 0 268.288 2.533 20 5 CFBDRN CC[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000844389736 632190150 /nfs/dbraw/zinc/19/01/50/632190150.db2.gz PQPBUXQUVOQUBI-ZWNOBZJWSA-N 0 0 282.315 2.733 20 5 CFBDRN COC/C(C)=C/C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000844422711 632205578 /nfs/dbraw/zinc/20/55/78/632205578.db2.gz IETIAWGDPHKXIZ-PKNBQFBNSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1C[C@H]2[C@@H](C1)C2(F)F ZINC000844452842 632217853 /nfs/dbraw/zinc/21/78/53/632217853.db2.gz NYGZFEUAODVZDD-FXAINCCUSA-N 0 0 268.263 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000844471953 632224117 /nfs/dbraw/zinc/22/41/17/632224117.db2.gz UNYOBVGCJXRXRY-GFCCVEGCSA-N 0 0 286.253 2.965 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NC12CCC(CC1)C2 ZINC000844473132 632225266 /nfs/dbraw/zinc/22/52/66/632225266.db2.gz IGTGMAWSQILSQM-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN Cc1ccc(NC(=O)N2C[C@H]3[C@@H](C2)C3(F)F)cc1[N+](=O)[O-] ZINC000844481904 632227630 /nfs/dbraw/zinc/22/76/30/632227630.db2.gz JRAGEMVKZIKISY-AOOOYVTPSA-N 0 0 297.261 2.632 20 5 CFBDRN COC1(CCOC(=O)c2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000815198519 632227720 /nfs/dbraw/zinc/22/77/20/632227720.db2.gz RTOTVEOEWUBSHH-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000844489344 632230019 /nfs/dbraw/zinc/23/00/19/632230019.db2.gz IJFSAGLQRNFCNZ-JOYOIKCWSA-N 0 0 280.299 2.581 20 5 CFBDRN CCCc1[nH]nc(C(=O)O[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000815244023 632238011 /nfs/dbraw/zinc/23/80/11/632238011.db2.gz PITMKKBYMRILSP-DTWKUNHWSA-N 0 0 281.312 2.616 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000815379866 632261542 /nfs/dbraw/zinc/26/15/42/632261542.db2.gz TXWIMEYQQLFEGN-WDEREUQCSA-N 0 0 299.348 2.905 20 5 CFBDRN C[C@@H](OC(=O)c1cc(F)c[nH]1)c1ccccc1[N+](=O)[O-] ZINC000815390545 632265422 /nfs/dbraw/zinc/26/54/22/632265422.db2.gz MQSHQNXUOBGHII-MRVPVSSYSA-N 0 0 278.239 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cc(C3CC3)cn2)c([N+](=O)[O-])c1 ZINC000844770529 632303902 /nfs/dbraw/zinc/30/39/02/632303902.db2.gz GJMFTGLXWIAZKM-UHFFFAOYSA-N 0 0 288.263 2.625 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@]1(C)C[C@]1(F)Cl)[N+](=O)[O-] ZINC000844799548 632310323 /nfs/dbraw/zinc/31/03/23/632310323.db2.gz CRWSGPXWQUNTBA-VXGBXAGGSA-N 0 0 294.754 2.643 20 5 CFBDRN CO[C@H]1CCCC[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000815697604 632312698 /nfs/dbraw/zinc/31/26/98/632312698.db2.gz HRHBMUYMEPKTOY-MNOVXSKESA-N 0 0 297.355 2.730 20 5 CFBDRN CC(C)(NC(=O)C(C)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000815766890 632319474 /nfs/dbraw/zinc/31/94/74/632319474.db2.gz SJMFRRGOPJQUPG-UHFFFAOYSA-N 0 0 272.251 2.601 20 5 CFBDRN Cc1nc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])c(C)o1 ZINC000815912182 632332156 /nfs/dbraw/zinc/33/21/56/632332156.db2.gz BTSHIPFIIZUIQF-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN CCc1nc(C)c(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000815988392 632347419 /nfs/dbraw/zinc/34/74/19/632347419.db2.gz IKOWIURKZDFMFZ-UHFFFAOYSA-N 0 0 294.238 2.812 20 5 CFBDRN CCc1nc(C)c(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000815994740 632349924 /nfs/dbraw/zinc/34/99/24/632349924.db2.gz INWHVMXSAPRULR-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CC[C@@H](CC(=O)OCCn1c(C)ncc1[N+](=O)[O-])C(C)C ZINC000105760601 632370090 /nfs/dbraw/zinc/37/00/90/632370090.db2.gz NKUKZADNXMYTHR-LBPRGKRZSA-N 0 0 297.355 2.715 20 5 CFBDRN Cc1ccoc1COC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000816103101 632373053 /nfs/dbraw/zinc/37/30/53/632373053.db2.gz KZMYLYSHFHJPJJ-UHFFFAOYSA-N 0 0 291.259 2.618 20 5 CFBDRN COc1ccc(C(=O)OCc2occc2C)cc1[N+](=O)[O-] ZINC000816104105 632373911 /nfs/dbraw/zinc/37/39/11/632373911.db2.gz BVPJENFBOWJMKQ-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCc2occc2C)c1 ZINC000816104902 632375003 /nfs/dbraw/zinc/37/50/03/632375003.db2.gz JQMJDTJOSDFGAI-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@]1(COC(=O)c2ccc(N)c([N+](=O)[O-])c2)C[C@H]2C[C@H]2C1 ZINC000816134818 632379396 /nfs/dbraw/zinc/37/93/96/632379396.db2.gz LNWDASXFJIQIAF-HBYGRHMLSA-N 0 0 290.319 2.770 20 5 CFBDRN C[C@H](CC(=O)OCc1csc([N+](=O)[O-])c1)n1ccnc1 ZINC000845279213 632401003 /nfs/dbraw/zinc/40/10/03/632401003.db2.gz AUMDUBZQEREIPM-SECBINFHSA-N 0 0 295.320 2.547 20 5 CFBDRN CCc1ccc(C(=O)OC[C@H](OC)C2CC2)cc1[N+](=O)[O-] ZINC000816373402 632431316 /nfs/dbraw/zinc/43/13/16/632431316.db2.gz FXOUUOZLMKJMNE-AWEZNQCLSA-N 0 0 293.319 2.739 20 5 CFBDRN CO[C@H](COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000816387166 632440609 /nfs/dbraw/zinc/44/06/09/632440609.db2.gz YDIFLKKXHTVSAY-QMTHXVAHSA-N 0 0 293.319 2.667 20 5 CFBDRN C[C@H]1COCCN(c2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000845508436 632452251 /nfs/dbraw/zinc/45/22/51/632452251.db2.gz GWLIYRMOAJJBQP-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CN(CC1(O)CCC1)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000845518407 632456183 /nfs/dbraw/zinc/45/61/83/632456183.db2.gz UBJDANBEMHKPSL-UHFFFAOYSA-N 0 0 288.706 2.739 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCc1ccc(C)o1 ZINC000816432463 632456657 /nfs/dbraw/zinc/45/66/57/632456657.db2.gz YICFOOZBWKACQE-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000845568998 632465410 /nfs/dbraw/zinc/46/54/10/632465410.db2.gz FLFQYSHNWRPJPN-JCJUMFQOSA-N 0 0 274.679 2.713 20 5 CFBDRN C[C@@H](C(=O)OCC[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000816448065 632466281 /nfs/dbraw/zinc/46/62/81/632466281.db2.gz SPPVJOLBGQJJTH-VXGBXAGGSA-N 0 0 293.319 2.668 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC000845578404 632468316 /nfs/dbraw/zinc/46/83/16/632468316.db2.gz WYSUMXYQCYIELK-IUCAKERBSA-N 0 0 271.700 2.652 20 5 CFBDRN CC(C)O[C@H]1CCCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000845638784 632498767 /nfs/dbraw/zinc/49/87/67/632498767.db2.gz OEJOUSAHRMLCJK-LBPRGKRZSA-N 0 0 279.340 2.571 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000845641337 632500564 /nfs/dbraw/zinc/50/05/64/632500564.db2.gz IQMLHCQPEKINAQ-BXKDBHETSA-N 0 0 270.304 2.943 20 5 CFBDRN CC(F)(F)CNCc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000816643123 632500997 /nfs/dbraw/zinc/50/09/97/632500997.db2.gz VYVOXJBIIYHTQQ-UHFFFAOYSA-N 0 0 264.659 2.993 20 5 CFBDRN C[C@]1(CCNc2ncc([N+](=O)[O-])cn2)C[C@]1(F)Cl ZINC000845646554 632502741 /nfs/dbraw/zinc/50/27/41/632502741.db2.gz CAOJCWDEIYCSDA-VHSXEESVSA-N 0 0 274.683 2.501 20 5 CFBDRN C[C@@]1(CCNc2ncc([N+](=O)[O-])cn2)C[C@]1(F)Cl ZINC000845646551 632503072 /nfs/dbraw/zinc/50/30/72/632503072.db2.gz CAOJCWDEIYCSDA-NXEZZACHSA-N 0 0 274.683 2.501 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1c1ccc([N+](=O)[O-])cc1 ZINC000845648716 632504186 /nfs/dbraw/zinc/50/41/86/632504186.db2.gz GLXQNIGXXUZNBP-LLVKDONJSA-N 0 0 264.325 2.989 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])cc1F)[C@H]1CCCOC1 ZINC000845670029 632513397 /nfs/dbraw/zinc/51/33/97/632513397.db2.gz NYYLHGNJHCFZJK-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1ccnc(NC)c1[N+](=O)[O-] ZINC000816839862 632528702 /nfs/dbraw/zinc/52/87/02/632528702.db2.gz RXUXPOLCRWIBAE-SNVBAGLBSA-N 0 0 294.355 2.730 20 5 CFBDRN C[C@@H](COCC1CC1)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000845724861 632533396 /nfs/dbraw/zinc/53/33/96/632533396.db2.gz PSLUNGPUCSUJKS-VIFPVBQESA-N 0 0 295.295 2.730 20 5 CFBDRN C[C@@H](CNc1c(C(N)=O)cccc1[N+](=O)[O-])C(C)(C)C ZINC000817117645 632559524 /nfs/dbraw/zinc/55/95/24/632559524.db2.gz KVWAEERLJSPBOB-VIFPVBQESA-N 0 0 279.340 2.788 20 5 CFBDRN O=C(OC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])o1 ZINC000845775023 632561490 /nfs/dbraw/zinc/56/14/90/632561490.db2.gz YDQQGSKTMJJJMZ-KXUCPTDWSA-N 0 0 265.265 2.781 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000817125037 632561768 /nfs/dbraw/zinc/56/17/68/632561768.db2.gz JOTTWKHXWIKJTD-NXEZZACHSA-N 0 0 295.295 2.873 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817237979 632580007 /nfs/dbraw/zinc/58/00/07/632580007.db2.gz DDKTVBNYDLJJDD-VIFPVBQESA-N 0 0 293.319 2.984 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1ccc(F)cc1[N+](=O)[O-] ZINC000845848855 632580592 /nfs/dbraw/zinc/58/05/92/632580592.db2.gz WRTLSTPIENMRRA-MRVPVSSYSA-N 0 0 291.300 2.631 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(=O)CC1CC1 ZINC000817239773 632581566 /nfs/dbraw/zinc/58/15/66/632581566.db2.gz WJKTXHXCCGCRCD-UHFFFAOYSA-N 0 0 291.303 2.738 20 5 CFBDRN CCCOCC(=O)O[C@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845873535 632588799 /nfs/dbraw/zinc/58/87/99/632588799.db2.gz DPQDARDTGJZTDK-YGRLFVJLSA-N 0 0 299.298 2.502 20 5 CFBDRN CC(C)C(=O)O[C@@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845872769 632589041 /nfs/dbraw/zinc/58/90/41/632589041.db2.gz NYDBCKZVBDWCQI-JOYOIKCWSA-N 0 0 269.272 2.731 20 5 CFBDRN CC(C)C(=O)O[C@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845872768 632589297 /nfs/dbraw/zinc/58/92/97/632589297.db2.gz NYDBCKZVBDWCQI-CABZTGNLSA-N 0 0 269.272 2.731 20 5 CFBDRN C[C@@H]([C@H](OC(=O)CC1CC1)c1ccccc1F)[N+](=O)[O-] ZINC000845876875 632590360 /nfs/dbraw/zinc/59/03/60/632590360.db2.gz QZBIZMGFKCJWNE-XPTSAGLGSA-N 0 0 281.283 2.875 20 5 CFBDRN Cc1cc(F)cc(C(=O)NOCCC2CC2)c1[N+](=O)[O-] ZINC000817429670 632631771 /nfs/dbraw/zinc/63/17/71/632631771.db2.gz AMCDYRDPCXAVIU-UHFFFAOYSA-N 0 0 282.271 2.504 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](O)[C@H](C3CCCC3)C2)cc1 ZINC000840348190 632703790 /nfs/dbraw/zinc/70/37/90/632703790.db2.gz KUXKRUTZIKHKNB-JKSUJKDBSA-N 0 0 290.363 2.972 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1cc([N+](=O)[O-])ccc1OC ZINC000817815240 632713183 /nfs/dbraw/zinc/71/31/83/632713183.db2.gz VKGRFDMRUXJIAZ-SECBINFHSA-N 0 0 267.281 2.693 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2cnsn2)cc1[N+](=O)[O-] ZINC000846846225 632820687 /nfs/dbraw/zinc/82/06/87/632820687.db2.gz FRUHFJFMVRBMNR-SECBINFHSA-N 0 0 278.337 2.606 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCC[C@@H](C)F ZINC000818290427 632822770 /nfs/dbraw/zinc/82/27/70/632822770.db2.gz DNFJGOWLFCGHNF-DWOQACPDSA-N 0 0 280.299 2.862 20 5 CFBDRN COCC[C@@H](C)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000840358141 632831369 /nfs/dbraw/zinc/83/13/69/632831369.db2.gz NCPQREFMZSQWAN-SECBINFHSA-N 0 0 283.284 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C3CCC3)C2)cc1 ZINC000840358795 632838352 /nfs/dbraw/zinc/83/83/52/632838352.db2.gz KYRLRQUYARCVPI-AWEZNQCLSA-N 0 0 262.309 2.600 20 5 CFBDRN C[C@H]1C[C@@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CS1 ZINC000840364073 632870112 /nfs/dbraw/zinc/87/01/12/632870112.db2.gz JZGLQFYHASIRPQ-JGVFFNPUSA-N 0 0 283.309 2.809 20 5 CFBDRN C[C@@H]1C[C@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000840368567 632910268 /nfs/dbraw/zinc/91/02/68/632910268.db2.gz NJNMIGFPZIEXFK-OPRDCNLKSA-N 0 0 295.295 2.728 20 5 CFBDRN O=C(NCCNc1ccccc1[N+](=O)[O-])C(F)=C1CCC1 ZINC000819022217 632932125 /nfs/dbraw/zinc/93/21/25/632932125.db2.gz FLXDUDYWZLWLHL-UHFFFAOYSA-N 0 0 293.298 2.530 20 5 CFBDRN CC1(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000847150575 632938405 /nfs/dbraw/zinc/93/84/05/632938405.db2.gz DDSNYXYQNKFXEM-TWGQIWQCSA-N 0 0 272.304 2.833 20 5 CFBDRN CC(C)CCOc1ccccc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000847169333 632943415 /nfs/dbraw/zinc/94/34/15/632943415.db2.gz WBLGPRPENKWUDE-DGCLKSJQSA-N 0 0 292.335 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc2cnn(CN(CC(F)F)C3CC3)c2c1 ZINC000106856907 632986738 /nfs/dbraw/zinc/98/67/38/632986738.db2.gz VYSMQEXLXUWSOD-UHFFFAOYSA-N 0 0 296.277 2.632 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCSC[C@H]1C1CC1 ZINC000888500426 635885520 /nfs/dbraw/zinc/88/55/20/635885520.db2.gz ASRSVZYNZOGXQI-JTQLQIEISA-N 0 0 296.348 2.546 20 5 CFBDRN C[C@H](COC(=O)CCC1CCCCCC1)[N+](=O)[O-] ZINC000847713897 633051479 /nfs/dbraw/zinc/05/14/79/633051479.db2.gz FMBQFWRKRHSUPD-LLVKDONJSA-N 0 0 257.330 2.945 20 5 CFBDRN C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1cscn1 ZINC000847817648 633087128 /nfs/dbraw/zinc/08/71/28/633087128.db2.gz KUUQZZKMLAXKGT-MRVPVSSYSA-N 0 0 272.326 2.583 20 5 CFBDRN O=C(OC[C@H]1CCc2cccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000847834052 633102974 /nfs/dbraw/zinc/10/29/74/633102974.db2.gz IWHPXUXAAFTQBD-CYBMUJFWSA-N 0 0 298.298 2.877 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000847917879 633143596 /nfs/dbraw/zinc/14/35/96/633143596.db2.gz CNJSIAMSKXSZLL-ZMGTZCARSA-N 0 0 291.303 2.719 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)Cc2cccc([N+](=O)[O-])c2C)C1 ZINC000847923834 633148870 /nfs/dbraw/zinc/14/88/70/633148870.db2.gz HDVLQOOFRAEGON-JOCQHMNTSA-N 0 0 293.319 2.556 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000847924832 633150289 /nfs/dbraw/zinc/15/02/89/633150289.db2.gz XXHHBPVHQDFCJD-LERXQTSPSA-N 0 0 293.319 2.809 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CCC[C@@H](CO)C2)c1 ZINC000840386120 633178612 /nfs/dbraw/zinc/17/86/12/633178612.db2.gz NDUNAWPZVHKDQK-NXEZZACHSA-N 0 0 298.314 2.706 20 5 CFBDRN C=C=CCNC(=O)[C@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000848114619 633205942 /nfs/dbraw/zinc/20/59/42/633205942.db2.gz NQOFBCUKLCGXCC-CYBMUJFWSA-N 0 0 274.320 2.621 20 5 CFBDRN CN(CC(F)F)Cn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000848479057 633265331 /nfs/dbraw/zinc/26/53/31/633265331.db2.gz ZJYBUOOMMQJOBL-UHFFFAOYSA-N 0 0 296.277 2.613 20 5 CFBDRN CC(C)Oc1nc(NC[C@H]2CCC=CO2)ccc1[N+](=O)[O-] ZINC000848687246 633317651 /nfs/dbraw/zinc/31/76/51/633317651.db2.gz YFTSAIKRMYUUTI-LLVKDONJSA-N 0 0 293.323 2.882 20 5 CFBDRN CC(=O)c1ccc(N2CC3(CC3(F)F)C2)c([N+](=O)[O-])c1 ZINC000848743754 633332481 /nfs/dbraw/zinc/33/24/81/633332481.db2.gz YLFFOWNRDABHRD-UHFFFAOYSA-N 0 0 282.246 2.643 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@H](OC3CCC3)C2)c1 ZINC000848762206 633338149 /nfs/dbraw/zinc/33/81/49/633338149.db2.gz AJWJTJWBVKOTQL-ZDUSSCGKSA-N 0 0 291.351 2.715 20 5 CFBDRN Cc1noc([C@@H](C)N(C)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000848777996 633341480 /nfs/dbraw/zinc/34/14/80/633341480.db2.gz USHSDVAVGBBOEN-SSDOTTSWSA-N 0 0 280.259 2.623 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](C3CCC3)C2)n1 ZINC000840391026 633398778 /nfs/dbraw/zinc/39/87/78/633398778.db2.gz FKKNSRGOOZCFNS-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@H]1CC12CC2 ZINC000819626952 633402795 /nfs/dbraw/zinc/40/27/95/633402795.db2.gz KPLGGDCHUIRBAX-NSHDSACASA-N 0 0 298.701 2.599 20 5 CFBDRN C[C@H](NC(=S)NCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000849264509 633598473 /nfs/dbraw/zinc/59/84/73/633598473.db2.gz OKNVRXPTXDMFOK-VIFPVBQESA-N 0 0 279.365 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@@H]2C=CCC2)cc1 ZINC000849280632 633603703 /nfs/dbraw/zinc/60/37/03/633603703.db2.gz YMXFJBJWYIEGLR-SECBINFHSA-N 0 0 263.322 2.600 20 5 CFBDRN O=C(NCC1CCC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000849332899 633620302 /nfs/dbraw/zinc/62/03/02/633620302.db2.gz KCJNLJKIEZYSDD-UHFFFAOYSA-N 0 0 267.260 2.656 20 5 CFBDRN CCOc1cc(-c2nc(CC)no2)c([N+](=O)[O-])cc1OC ZINC000849338712 633621009 /nfs/dbraw/zinc/62/10/09/633621009.db2.gz RILUGJJZLJQKGO-UHFFFAOYSA-N 0 0 293.279 2.615 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])o1)C1CCCC1 ZINC000849627523 633645713 /nfs/dbraw/zinc/64/57/13/633645713.db2.gz GXXDTOCFFHKPLG-NSHDSACASA-N 0 0 283.280 2.550 20 5 CFBDRN Cc1cc(C(=O)O[C@H]2COC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000849631362 633648224 /nfs/dbraw/zinc/64/82/24/633648224.db2.gz AFIZJKDBNADQLN-LLVKDONJSA-N 0 0 279.292 2.628 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000849633953 633649599 /nfs/dbraw/zinc/64/95/99/633649599.db2.gz QUBIDKSQXYVPMT-AYLMVEPYSA-N 0 0 291.303 2.766 20 5 CFBDRN CC(C)=CCCOC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000849680134 633672468 /nfs/dbraw/zinc/67/24/68/633672468.db2.gz FIQCXOFBJUMYEO-UHFFFAOYSA-N 0 0 279.292 2.873 20 5 CFBDRN O=C(OCC1CC=CC1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849692030 633676057 /nfs/dbraw/zinc/67/60/57/633676057.db2.gz DLSMIKLBARNBQW-UHFFFAOYSA-N 0 0 292.247 2.626 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000849705757 633681805 /nfs/dbraw/zinc/68/18/05/633681805.db2.gz SEHSUKYWPWPEFZ-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000849705756 633682267 /nfs/dbraw/zinc/68/22/67/633682267.db2.gz SEHSUKYWPWPEFZ-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(C)cc([N+](=O)[O-])c1)C1CCC1 ZINC000849715158 633685447 /nfs/dbraw/zinc/68/54/47/633685447.db2.gz XCHNZFREJMRRAQ-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(C)(C(=O)OCCCC1CCC1)n1cc([N+](=O)[O-])cn1 ZINC000849723210 633689009 /nfs/dbraw/zinc/68/90/09/633689009.db2.gz QFVNMSVOLXBTOE-UHFFFAOYSA-N 0 0 295.339 2.650 20 5 CFBDRN CC(C)(CCC(=O)OCC=C(Cl)Cl)[N+](=O)[O-] ZINC000849791468 633721123 /nfs/dbraw/zinc/72/11/23/633721123.db2.gz IZMZYIFRFHVECQ-UHFFFAOYSA-N 0 0 270.112 2.684 20 5 CFBDRN CC(C)(CCC(=O)OCCCC1CCC1)[N+](=O)[O-] ZINC000849791601 633721942 /nfs/dbraw/zinc/72/19/42/633721942.db2.gz KEVGVBUDJBPBEV-UHFFFAOYSA-N 0 0 257.330 2.945 20 5 CFBDRN CC1(C)OC[C@@H]2C[C@@]21C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000849929904 633767069 /nfs/dbraw/zinc/76/70/69/633767069.db2.gz OEESVTGOPCKZGT-ZANVPECISA-N 0 0 297.332 2.515 20 5 CFBDRN CO[C@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000850175126 633872504 /nfs/dbraw/zinc/87/25/04/633872504.db2.gz JJXZKIBVCAUCPF-ZDUSSCGKSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1nc(CNCc2cc([N+](=O)[O-])ccc2Cl)[nH]c1C ZINC000850184295 633877773 /nfs/dbraw/zinc/87/77/73/633877773.db2.gz OOEINMXXPFOHED-UHFFFAOYSA-N 0 0 294.742 2.878 20 5 CFBDRN C[C@@H](OC(=O)CCCF)c1ccc([N+](=O)[O-])cc1 ZINC000850194505 633883725 /nfs/dbraw/zinc/88/37/25/633883725.db2.gz MXVBFJUZGRFPNX-SECBINFHSA-N 0 0 255.245 2.949 20 5 CFBDRN COc1ccc(COC(=O)C2CC3(CC3)C2)cc1[N+](=O)[O-] ZINC000850213676 633896926 /nfs/dbraw/zinc/89/69/26/633896926.db2.gz FDJNSTQZOSWJOT-UHFFFAOYSA-N 0 0 291.303 2.837 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1C[C@H]2C[C@H]2C1 ZINC000850260231 633915129 /nfs/dbraw/zinc/91/51/29/633915129.db2.gz ARQYIWRQCURPAA-GDNZZTSVSA-N 0 0 261.277 2.684 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1C[C@@H](C)CO1 ZINC000885576404 634020896 /nfs/dbraw/zinc/02/08/96/634020896.db2.gz NQIVRDRMCSPYAL-OLUVUFQESA-N 0 0 293.319 2.932 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000850743338 634071957 /nfs/dbraw/zinc/07/19/57/634071957.db2.gz VHYGOWQIQHBQJL-WQAKAFBOSA-N 0 0 261.277 2.936 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Oc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000850766731 634082595 /nfs/dbraw/zinc/08/25/95/634082595.db2.gz RKTAGMNPJOZDGA-WDEREUQCSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2C)C1 ZINC000850769953 634084374 /nfs/dbraw/zinc/08/43/74/634084374.db2.gz KOMNGSAFTVQPBP-GHMZBOCLSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000850908934 634134325 /nfs/dbraw/zinc/13/43/25/634134325.db2.gz FTTDKZYSZXHMTH-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](COC(=O)c1ccn(C)c1-c1ccccc1)[N+](=O)[O-] ZINC000851037313 634190069 /nfs/dbraw/zinc/19/00/69/634190069.db2.gz SJCBCGJMSBNEHF-NSHDSACASA-N 0 0 288.303 2.514 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC000851044967 634194423 /nfs/dbraw/zinc/19/44/23/634194423.db2.gz IIQHXPMHLQGJES-RAIGVLPGSA-N 0 0 269.341 2.801 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000851052725 634197491 /nfs/dbraw/zinc/19/74/91/634197491.db2.gz GVPJKBKTWSAPHS-ITGUQSILSA-N 0 0 275.304 2.993 20 5 CFBDRN CC(C)(COC(=O)c1cc2c(s1)CCCC2)[N+](=O)[O-] ZINC000851123042 634234912 /nfs/dbraw/zinc/23/49/12/634234912.db2.gz NECMUTBKXJHJNW-UHFFFAOYSA-N 0 0 283.349 2.839 20 5 CFBDRN CCc1ccc(CCC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851123283 634234931 /nfs/dbraw/zinc/23/49/31/634234931.db2.gz OUUUZLAXRANQRJ-UHFFFAOYSA-N 0 0 279.336 2.780 20 5 CFBDRN CC(C)c1cccc(OCC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851125133 634235389 /nfs/dbraw/zinc/23/53/89/634235389.db2.gz YPVJXHDYTVARON-UHFFFAOYSA-N 0 0 295.335 2.787 20 5 CFBDRN Cc1ccc(CCCC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851124593 634235591 /nfs/dbraw/zinc/23/55/91/634235591.db2.gz CWKXOGKYVSFGQN-UHFFFAOYSA-N 0 0 279.336 2.916 20 5 CFBDRN CC(C)(COC(=O)[C@H]1C[C@@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000851125126 634235768 /nfs/dbraw/zinc/23/57/68/634235768.db2.gz YKTRSRUASXDROT-NEPJUHHUSA-N 0 0 281.283 2.528 20 5 CFBDRN CC(C)(COC(=O)[C@H]1C[C@@H]1c1cc(F)ccc1F)[N+](=O)[O-] ZINC000851125478 634235851 /nfs/dbraw/zinc/23/58/51/634235851.db2.gz CYYRZCNPQMTKMN-KOLCDFICSA-N 0 0 299.273 2.667 20 5 CFBDRN CC(C)(COC(=O)[C@H]1C[C@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000851125128 634235955 /nfs/dbraw/zinc/23/59/55/634235955.db2.gz YKTRSRUASXDROT-RYUDHWBXSA-N 0 0 281.283 2.528 20 5 CFBDRN CC(C)(COC(=O)[C@H]1C[C@@H]1c1c(F)cccc1F)[N+](=O)[O-] ZINC000851126324 634236762 /nfs/dbraw/zinc/23/67/62/634236762.db2.gz NAPMALJNXFMEAS-IUCAKERBSA-N 0 0 299.273 2.667 20 5 CFBDRN CC(C)(COC(=O)c1cccc(C(F)F)c1)[N+](=O)[O-] ZINC000851126108 634236901 /nfs/dbraw/zinc/23/69/01/634236901.db2.gz MRKOUGDJKWFPOM-UHFFFAOYSA-N 0 0 273.235 2.836 20 5 CFBDRN C[C@@H](Cc1ccccc1F)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126660 634236947 /nfs/dbraw/zinc/23/69/47/634236947.db2.gz PYAHRDLOGWQWCR-JTQLQIEISA-N 0 0 283.299 2.603 20 5 CFBDRN CC(C)(COC(=O)CCc1ccc2[nH]ccc2c1)[N+](=O)[O-] ZINC000851127829 634237235 /nfs/dbraw/zinc/23/72/35/634237235.db2.gz YBPYCLLKQIOGPJ-UHFFFAOYSA-N 0 0 290.319 2.699 20 5 CFBDRN CCOCCC1(C(=O)OCC(C)(C)[N+](=O)[O-])CCCC1 ZINC000851127389 634237750 /nfs/dbraw/zinc/23/77/50/634237750.db2.gz XDEJOWCOLLXMRU-UHFFFAOYSA-N 0 0 287.356 2.572 20 5 CFBDRN CC(C)(COC(=O)C1[C@@H]2CCCCCC[C@@H]12)[N+](=O)[O-] ZINC000851129281 634238601 /nfs/dbraw/zinc/23/86/01/634238601.db2.gz GTOVCMVGYNEZQM-GHMZBOCLSA-N 0 0 269.341 2.801 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000851225531 634278688 /nfs/dbraw/zinc/27/86/88/634278688.db2.gz PMKFUEDMJAUYIE-JGPRNRPPSA-N 0 0 291.303 2.806 20 5 CFBDRN C[C@]1(COC(=O)c2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000851264579 634300161 /nfs/dbraw/zinc/30/01/61/634300161.db2.gz PEIJTZYDYVNSER-LLVKDONJSA-N 0 0 271.219 2.797 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC(F)F)c(Cl)c1 ZINC000853012926 634875219 /nfs/dbraw/zinc/87/52/19/634875219.db2.gz NLXXIPJKQCQGQS-UHFFFAOYSA-N 0 0 267.615 2.866 20 5 CFBDRN CCCCCCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000853085195 634898904 /nfs/dbraw/zinc/89/89/04/634898904.db2.gz UINVVJLYDYGONP-UHFFFAOYSA-N 0 0 265.313 2.686 20 5 CFBDRN C/C(=C/C(=O)O[C@@H]1COC[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000853197855 634932908 /nfs/dbraw/zinc/93/29/08/634932908.db2.gz YWWYHRRLVWFMGB-GDEHEKBSSA-N 0 0 291.303 2.576 20 5 CFBDRN Cc1cc(NCCCCCCO)c([N+](=O)[O-])s1 ZINC000853469537 635009024 /nfs/dbraw/zinc/00/90/24/635009024.db2.gz MQVLXTBNFYJCNS-UHFFFAOYSA-N 0 0 258.343 2.929 20 5 CFBDRN Cc1cc(NCC2(C)OCCCO2)c([N+](=O)[O-])s1 ZINC000853532674 635029822 /nfs/dbraw/zinc/02/98/22/635029822.db2.gz JQNANLGGDOYSJA-UHFFFAOYSA-N 0 0 272.326 2.530 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccnc(Cl)c1 ZINC000853691756 635076228 /nfs/dbraw/zinc/07/62/28/635076228.db2.gz CZBOFPPIRJJTHX-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN CCc1nn(C)c(NCc2ccnc(Cl)c2)c1[N+](=O)[O-] ZINC000853691775 635077295 /nfs/dbraw/zinc/07/72/95/635077295.db2.gz DOJYYGUDSHFMBG-UHFFFAOYSA-N 0 0 295.730 2.551 20 5 CFBDRN Cc1cc(NC/C=C/CO)c(Cl)cc1[N+](=O)[O-] ZINC000853794874 635108805 /nfs/dbraw/zinc/10/88/05/635108805.db2.gz RLXDDIQFGYEKRH-NSCUHMNNSA-N 0 0 256.689 2.517 20 5 CFBDRN O=C1OCCN1c1cc([N+](=O)[O-])ccc1N[C@@H]1C=CCC1 ZINC000853800496 635110599 /nfs/dbraw/zinc/11/05/99/635110599.db2.gz USWFJMSZOJLTQF-SNVBAGLBSA-N 0 0 289.291 2.682 20 5 CFBDRN COC(=O)/C=C\c1ccc(N[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000853801008 635112089 /nfs/dbraw/zinc/11/20/89/635112089.db2.gz KOFNUVBHOZBNRY-UPZNUWHASA-N 0 0 288.303 2.912 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000853800986 635112142 /nfs/dbraw/zinc/11/21/42/635112142.db2.gz JTWWHCWEZRSHSO-GFCCVEGCSA-N 0 0 289.335 2.864 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000853811101 635113078 /nfs/dbraw/zinc/11/30/78/635113078.db2.gz TVXDMNYVKIPNMC-UMSPYCQHSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@@H](O)c2ccccc21 ZINC000853836318 635123391 /nfs/dbraw/zinc/12/33/91/635123391.db2.gz BGJGGLVPUKCWAD-ZIAGYGMSSA-N 0 0 285.303 2.889 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2ccc([N+](=O)[O-])c3nonc32)O1 ZINC000853849261 635131419 /nfs/dbraw/zinc/13/14/19/635131419.db2.gz IXLWONUILLNCRF-IUCAKERBSA-N 0 0 292.295 2.501 20 5 CFBDRN Nc1ccc(N2CCC[C@H](CCF)C2)c([N+](=O)[O-])c1 ZINC000853855158 635133109 /nfs/dbraw/zinc/13/31/09/635133109.db2.gz QASPUBYWWSEGKC-SNVBAGLBSA-N 0 0 267.304 2.753 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](CCF)C2)c2nonc21 ZINC000853854209 635133163 /nfs/dbraw/zinc/13/31/63/635133163.db2.gz FYVJNCCAPYJYTA-SECBINFHSA-N 0 0 294.286 2.707 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000853872489 635143302 /nfs/dbraw/zinc/14/33/02/635143302.db2.gz WAPRAYWHYANASV-ZJUUUORDSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1noc(COC(=O)c2cccc(C)c2C)c1[N+](=O)[O-] ZINC000853883026 635145651 /nfs/dbraw/zinc/14/56/51/635145651.db2.gz DCQZEFRDDFWXPS-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1noc(COC(=O)C=C2CCCCC2)c1[N+](=O)[O-] ZINC000853893259 635148102 /nfs/dbraw/zinc/14/81/02/635148102.db2.gz OCHXCIMMXXVJJJ-UHFFFAOYSA-N 0 0 280.280 2.825 20 5 CFBDRN CCC/C(C)=C/C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853893041 635148775 /nfs/dbraw/zinc/14/87/75/635148775.db2.gz HBRSXADNWMEKCU-SOFGYWHQSA-N 0 0 268.269 2.681 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H]3C[C@H]3C2)c1 ZINC000853902801 635154107 /nfs/dbraw/zinc/15/41/07/635154107.db2.gz UGNRBFDLWBNBHH-IUCAKERBSA-N 0 0 266.272 2.589 20 5 CFBDRN CC1(C)[C@@H](CNc2nc3ccccn3c2[N+](=O)[O-])C1(F)F ZINC000853904548 635154768 /nfs/dbraw/zinc/15/47/68/635154768.db2.gz RWPOUDYBKTYCQV-MRVPVSSYSA-N 0 0 296.277 2.946 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000853905234 635154776 /nfs/dbraw/zinc/15/47/76/635154776.db2.gz PWADGOKULJQUFW-ILWJIGKKSA-N 0 0 277.276 2.503 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2C[C@@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000853909403 635158988 /nfs/dbraw/zinc/15/89/88/635158988.db2.gz PHWFQIVCNJJRFW-ZANVPECISA-N 0 0 282.296 2.617 20 5 CFBDRN Cc1noc(COC(=O)CC(C)(C)C2CC2)c1[N+](=O)[O-] ZINC000853920244 635162924 /nfs/dbraw/zinc/16/29/24/635162924.db2.gz CVDPMZISMZJOSD-UHFFFAOYSA-N 0 0 282.296 2.761 20 5 CFBDRN Nc1ccc(N2CCC(c3ccon3)CC2)c([N+](=O)[O-])c1 ZINC000853943287 635174058 /nfs/dbraw/zinc/17/40/58/635174058.db2.gz QJGRPEVANQCJMB-UHFFFAOYSA-N 0 0 288.307 2.549 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC2(COC2)C1 ZINC000853950158 635175268 /nfs/dbraw/zinc/17/52/68/635175268.db2.gz LABLLRUVGWKHFS-UHFFFAOYSA-N 0 0 282.727 2.783 20 5 CFBDRN Nc1ccc(NCCC2SCCS2)c([N+](=O)[O-])c1 ZINC000853960966 635178527 /nfs/dbraw/zinc/17/85/27/635178527.db2.gz MQJBZBCDHIUVFJ-UHFFFAOYSA-N 0 0 285.394 2.785 20 5 CFBDRN CN(CC1=CCSC1)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000853980785 635183392 /nfs/dbraw/zinc/18/33/92/635183392.db2.gz IEPXMIZWVVPWKT-UHFFFAOYSA-N 0 0 295.320 2.612 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC3CC2(C)C3)c1 ZINC000853998452 635186941 /nfs/dbraw/zinc/18/69/41/635186941.db2.gz XXOSUVOXMWWULO-UHFFFAOYSA-N 0 0 266.272 2.731 20 5 CFBDRN C[C@@]1(C(F)F)CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000853997464 635187655 /nfs/dbraw/zinc/18/76/55/635187655.db2.gz KXGQPWQZMROZFK-LBPRGKRZSA-N 0 0 290.241 2.594 20 5 CFBDRN CCC/C=C/c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000854060564 635201753 /nfs/dbraw/zinc/20/17/53/635201753.db2.gz BCPKQDFEJCNVSI-VOTSOKGWSA-N 0 0 253.302 2.871 20 5 CFBDRN Cc1noc([C@H](C)OC(=O)c2cccc(C)c2[N+](=O)[O-])n1 ZINC000111987376 635241929 /nfs/dbraw/zinc/24/19/29/635241929.db2.gz BRDYJZSUNYNPQI-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1ccc(-c2cnc3c(c2)CC[C@H]3O)cc1[N+](=O)[O-] ZINC000854198493 635251411 /nfs/dbraw/zinc/25/14/11/635251411.db2.gz KSNIXFHHSOIWNK-CQSZACIVSA-N 0 0 270.288 2.945 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@H](C2CC2)C1 ZINC000854488610 635315994 /nfs/dbraw/zinc/31/59/94/635315994.db2.gz XMOMXSVZHLRQBJ-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN Nc1ccc(NC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000854496531 635317804 /nfs/dbraw/zinc/31/78/04/635317804.db2.gz MXYIZTCNKAVEHU-YWVKMMECSA-N 0 0 275.308 2.552 20 5 CFBDRN C[C@@H]1CC/C(=C/C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497592 635318258 /nfs/dbraw/zinc/31/82/58/635318258.db2.gz RNKNJPNGJRILJW-SBMLRHLQSA-N 0 0 275.308 2.862 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCCC2CSC2)c1[N+](=O)[O-] ZINC000854978407 635433589 /nfs/dbraw/zinc/43/35/89/635433589.db2.gz RWIRAZXLNMRRKD-UHFFFAOYSA-N 0 0 298.339 2.525 20 5 CFBDRN CC(C)(CCC(=O)NCc1ccc2occc2c1)[N+](=O)[O-] ZINC000855385503 635526560 /nfs/dbraw/zinc/52/65/60/635526560.db2.gz DOENEQODGACCBD-UHFFFAOYSA-N 0 0 290.319 2.885 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCCOC(C)C ZINC000113480912 635598159 /nfs/dbraw/zinc/59/81/59/635598159.db2.gz QOHMCOAYHXYCQZ-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN C/C(=C/C(=O)N1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000856063241 635712720 /nfs/dbraw/zinc/71/27/20/635712720.db2.gz QHKPLAYFRXMVQU-RDNHTORASA-N 0 0 286.331 2.867 20 5 CFBDRN C[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1CCC(F)CC1 ZINC000856080271 635720367 /nfs/dbraw/zinc/72/03/67/635720367.db2.gz IBOHEMBXWUTCOX-VOMCLLRMSA-N 0 0 288.363 2.855 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H](O)c1ccccc1 ZINC000045292489 650210852 /nfs/dbraw/zinc/21/08/52/650210852.db2.gz FRKMJUMVITVLMN-CQSZACIVSA-N 0 0 276.267 2.879 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000856135366 635736562 /nfs/dbraw/zinc/73/65/62/635736562.db2.gz HNRLUILIPKUHNU-CYBMUJFWSA-N 0 0 298.289 2.991 20 5 CFBDRN CC1(C)CC(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000856337098 635784419 /nfs/dbraw/zinc/78/44/19/635784419.db2.gz GJLJHKJZQIYXFW-UHFFFAOYSA-N 0 0 298.289 2.991 20 5 CFBDRN CCCCCCNC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000045794124 650214805 /nfs/dbraw/zinc/21/48/05/650214805.db2.gz TVDHCDKQUVCUHS-UHFFFAOYSA-N 0 0 279.340 2.947 20 5 CFBDRN C[C@@H](c1ccco1)c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000857087969 635927884 /nfs/dbraw/zinc/92/78/84/635927884.db2.gz PDTHZGMQHVLZKE-VIFPVBQESA-N 0 0 279.296 2.802 20 5 CFBDRN C[C@@H]1CCN(Cn2cc([N+](=O)[O-])cn2)c2ccccc21 ZINC000158336926 650224566 /nfs/dbraw/zinc/22/45/66/650224566.db2.gz DEWZEXZMIWOFOS-LLVKDONJSA-N 0 0 272.308 2.763 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CC(CC2CC2)C1 ZINC000857738063 636019354 /nfs/dbraw/zinc/01/93/54/636019354.db2.gz MBVJSFRCRQAANK-YVMONPNESA-N 0 0 286.331 2.867 20 5 CFBDRN Cn1cc2c(n1)CCC[C@H]2Nc1c(F)cccc1[N+](=O)[O-] ZINC000857897903 636054513 /nfs/dbraw/zinc/05/45/13/636054513.db2.gz CTDUUNHDSAIZSV-LLVKDONJSA-N 0 0 290.298 2.957 20 5 CFBDRN CCO[C@H](C(=O)Oc1cccc([N+](=O)[O-])c1)C(C)C ZINC000858187627 636151861 /nfs/dbraw/zinc/15/18/61/636151861.db2.gz IHOIUNRAPTUKCW-LBPRGKRZSA-N 0 0 267.281 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OCc1ccon1 ZINC000115965885 636166689 /nfs/dbraw/zinc/16/66/89/636166689.db2.gz HBEIJYUWXRVNGO-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN Cc1cc(C)c2c(c1)N(Cn1cc([N+](=O)[O-])cn1)CCC2 ZINC000158945617 650242580 /nfs/dbraw/zinc/24/25/80/650242580.db2.gz RHJARLOGAVSMDF-UHFFFAOYSA-N 0 0 286.335 2.818 20 5 CFBDRN COCOCCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000858606390 636306481 /nfs/dbraw/zinc/30/64/81/636306481.db2.gz VJNQWKCLUXJYOJ-UHFFFAOYSA-N 0 0 291.307 2.566 20 5 CFBDRN CCn1ncc(CNc2cc(C)c([N+](=O)[O-])cc2Cl)n1 ZINC000858650902 636317529 /nfs/dbraw/zinc/31/75/29/636317529.db2.gz CYPGRQQOHIESKX-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000858653514 636318244 /nfs/dbraw/zinc/31/82/44/636318244.db2.gz XLJQJOYNEMUUKU-JTQLQIEISA-N 0 0 295.295 2.732 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCC[C@@H]1CCCOC1 ZINC000858653516 636318319 /nfs/dbraw/zinc/31/83/19/636318319.db2.gz XLQKAYRFEFJNLF-JTQLQIEISA-N 0 0 295.295 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000858653515 636318500 /nfs/dbraw/zinc/31/85/00/636318500.db2.gz XLJQJOYNEMUUKU-SNVBAGLBSA-N 0 0 295.295 2.732 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCC1CC=CC1 ZINC000858682084 636324850 /nfs/dbraw/zinc/32/48/50/636324850.db2.gz PLYLDXFOJXWDNF-UHFFFAOYSA-N 0 0 263.253 2.881 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000858690786 636327223 /nfs/dbraw/zinc/32/72/23/636327223.db2.gz BGNRXOHGGUFYEU-LLVKDONJSA-N 0 0 276.292 2.577 20 5 CFBDRN CC(C)CC[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000858708208 636329042 /nfs/dbraw/zinc/32/90/42/636329042.db2.gz MIPIGQFULUVLEZ-JTQLQIEISA-N 0 0 297.311 2.712 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(Cc3ccco3)C2)cc1 ZINC000858729662 636334630 /nfs/dbraw/zinc/33/46/30/636334630.db2.gz OYGJGVFGYDMJHX-UHFFFAOYSA-N 0 0 258.277 2.867 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000858733812 636336038 /nfs/dbraw/zinc/33/60/38/636336038.db2.gz JURUZTAAOMRXSG-NSHDSACASA-N 0 0 276.292 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCO[C@@H](CCF)C1 ZINC000858797631 636346436 /nfs/dbraw/zinc/34/64/36/636346436.db2.gz MHTNLVBTYNXAPQ-JTQLQIEISA-N 0 0 288.706 2.813 20 5 CFBDRN CC(=O)c1ccc(N(C)OCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000858870077 636365436 /nfs/dbraw/zinc/36/54/36/636365436.db2.gz SPNZTEDSYFQLAB-UHFFFAOYSA-N 0 0 292.213 2.728 20 5 CFBDRN CCCCOC(=O)COc1cccc(C)c1[N+](=O)[O-] ZINC000048022677 650252673 /nfs/dbraw/zinc/25/26/73/650252673.db2.gz VFXTWFNBUUIGIM-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCCOC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000859153458 636475660 /nfs/dbraw/zinc/47/56/60/636475660.db2.gz JIHDHBMLJABYSM-UHFFFAOYSA-N 0 0 266.297 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccccc2[N+](=O)[O-])cn1 ZINC000048337286 650263146 /nfs/dbraw/zinc/26/31/46/650263146.db2.gz DYTPVZMQOJIOET-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN CCO[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000859514333 636586355 /nfs/dbraw/zinc/58/63/55/636586355.db2.gz VAQIBHLSZSJFQE-CYBMUJFWSA-N 0 0 297.282 2.706 20 5 CFBDRN O=C(CCC1CC1)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859559321 636599664 /nfs/dbraw/zinc/59/96/64/636599664.db2.gz ZSEHHUVTYZTUKH-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000859614664 636623444 /nfs/dbraw/zinc/62/34/44/636623444.db2.gz IUINSEPQMMTICH-RKDXNWHRSA-N 0 0 274.276 2.889 20 5 CFBDRN CCC[C@H](C)CCCOC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000859621918 636625005 /nfs/dbraw/zinc/62/50/05/636625005.db2.gz VGGSUKLMUSNQLO-JTQLQIEISA-N 0 0 283.328 2.702 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H]1C[C@H]1C ZINC000859673522 636647838 /nfs/dbraw/zinc/64/78/38/636647838.db2.gz ULOHHZKHYXEXPQ-ZJUUUORDSA-N 0 0 279.292 2.806 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@H]1C[C@@H]1C ZINC000859731889 636671520 /nfs/dbraw/zinc/67/15/20/636671520.db2.gz IUXRULDGTAIJNZ-IONNQARKSA-N 0 0 267.256 2.855 20 5 CFBDRN Cc1c(OS(=O)(=O)c2ccccc2)cccc1[N+](=O)[O-] ZINC000048672682 650276062 /nfs/dbraw/zinc/27/60/62/650276062.db2.gz KJVPUTRXIVLLCL-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN CCC[C@@H](OCC)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000859835254 636716753 /nfs/dbraw/zinc/71/67/53/636716753.db2.gz ZWIFDGXMJITXMU-CYBMUJFWSA-N 0 0 281.308 2.843 20 5 CFBDRN CCO[C@@H](C(=O)OCc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000859863567 636729735 /nfs/dbraw/zinc/72/97/35/636729735.db2.gz CNLGSBMGOWLYOD-CYBMUJFWSA-N 0 0 297.282 2.592 20 5 CFBDRN CCO[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000859868174 636732340 /nfs/dbraw/zinc/73/23/40/636732340.db2.gz WBXHJQUURBVMBX-ZDUSSCGKSA-N 0 0 297.282 2.592 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])OCc1ccoc1 ZINC000194203534 636762144 /nfs/dbraw/zinc/76/21/44/636762144.db2.gz OSLOTNWTNKDYIG-UHFFFAOYSA-N 0 0 290.275 2.733 20 5 CFBDRN Cc1c(OC(=O)CC(F)(F)F)cccc1[N+](=O)[O-] ZINC000194203827 636762963 /nfs/dbraw/zinc/76/29/63/636762963.db2.gz WZGBRCUDCYRADJ-UHFFFAOYSA-N 0 0 263.171 2.761 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(Cl)nc2Cl)cn1 ZINC000194356540 636775229 /nfs/dbraw/zinc/77/52/29/636775229.db2.gz ONOSAIYUWHMAAE-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN[C@@H](C)c1ccns1 ZINC000860062248 636775415 /nfs/dbraw/zinc/77/54/15/636775415.db2.gz SFNVCAOTIPJSEQ-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN CO[C@H]1C[C@H](NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000860260500 636840532 /nfs/dbraw/zinc/84/05/32/636840532.db2.gz LNXHDFQEFSTRPR-KYZUINATSA-N 0 0 299.714 2.547 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C12CC(C1)C2 ZINC000860270408 636844879 /nfs/dbraw/zinc/84/48/79/636844879.db2.gz ATEKHRUIJRWPAN-UHFFFAOYSA-N 0 0 265.240 2.577 20 5 CFBDRN CCO[C@H](C(=O)OCCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000860370057 636869515 /nfs/dbraw/zinc/86/95/15/636869515.db2.gz IOYYLXZRFPKXSJ-AWEZNQCLSA-N 0 0 295.335 2.742 20 5 CFBDRN CCc1nocc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000860436010 636883979 /nfs/dbraw/zinc/88/39/79/636883979.db2.gz YGFJHSMOACYPNK-UHFFFAOYSA-N 0 0 290.275 2.545 20 5 CFBDRN CCc1nocc1C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000860607410 636926451 /nfs/dbraw/zinc/92/64/51/636926451.db2.gz ZFEATSAXNBZUFD-UHFFFAOYSA-N 0 0 290.275 2.545 20 5 CFBDRN Cc1ccc2occ(CC(=O)OCC(C)(C)[N+](=O)[O-])c2c1 ZINC000860866263 636995255 /nfs/dbraw/zinc/99/52/55/636995255.db2.gz BCBYSTLOYAEDFR-UHFFFAOYSA-N 0 0 291.303 2.882 20 5 CFBDRN CC(C)(COC(=O)CC1CCC(F)(F)CC1)[N+](=O)[O-] ZINC000860868386 636996099 /nfs/dbraw/zinc/99/60/99/636996099.db2.gz UICZNBDORLVCHV-UHFFFAOYSA-N 0 0 279.283 2.801 20 5 CFBDRN Cc1c2ccccc2oc1C(=O)OCCC[N+](=O)[O-] ZINC000860912097 637014715 /nfs/dbraw/zinc/01/47/15/637014715.db2.gz FFAWFKSSGVFGHT-UHFFFAOYSA-N 0 0 263.249 2.565 20 5 CFBDRN O=C(/C=C\c1ccc(Cl)s1)OCCC[N+](=O)[O-] ZINC000860914583 637015335 /nfs/dbraw/zinc/01/53/35/637015335.db2.gz ZSEXYWWQKRTQBB-HYXAFXHYSA-N 0 0 275.713 2.625 20 5 CFBDRN CC(C)[C@H](Cc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860914870 637015488 /nfs/dbraw/zinc/01/54/88/637015488.db2.gz JBOGDUUMAIELAN-AWEZNQCLSA-N 0 0 279.336 2.711 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(c2ccc(Cl)cc2)CC1 ZINC000860912718 637015510 /nfs/dbraw/zinc/01/55/10/637015510.db2.gz BBYGKVUVIZDBSO-UHFFFAOYSA-N 0 0 283.711 2.582 20 5 CFBDRN O=C(Cc1ccc(Cl)c(Cl)c1)OCCC[N+](=O)[O-] ZINC000860913348 637015559 /nfs/dbraw/zinc/01/55/59/637015559.db2.gz ZMOAGHLOEUDHLV-UHFFFAOYSA-N 0 0 292.118 2.746 20 5 CFBDRN CCCCc1ccc(CC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860913659 637015626 /nfs/dbraw/zinc/01/56/26/637015626.db2.gz HWNZUJHWBMXDPE-UHFFFAOYSA-N 0 0 279.336 2.782 20 5 CFBDRN Cc1ccc(OCCCC(=O)OCCC[N+](=O)[O-])cc1C ZINC000860918107 637016918 /nfs/dbraw/zinc/01/69/18/637016918.db2.gz XLTGFCAZYLRYPA-UHFFFAOYSA-N 0 0 295.335 2.672 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@H]1CCC[C@@H]1c1ccccc1 ZINC000860919305 637017095 /nfs/dbraw/zinc/01/70/95/637017095.db2.gz WONQULIUCQLOLI-KGLIPLIRSA-N 0 0 277.320 2.780 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCC[C@H]1c1ccccc1 ZINC000860919306 637017249 /nfs/dbraw/zinc/01/72/49/637017249.db2.gz WONQULIUCQLOLI-UONOGXRCSA-N 0 0 277.320 2.780 20 5 CFBDRN O=C(Cc1cc2cc(Cl)ccc2[nH]1)OCCC[N+](=O)[O-] ZINC000860918886 637017337 /nfs/dbraw/zinc/01/73/37/637017337.db2.gz FYKUANKDCYUIOL-UHFFFAOYSA-N 0 0 296.710 2.574 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCCCc1ccco1 ZINC000861016121 637042808 /nfs/dbraw/zinc/04/28/08/637042808.db2.gz KOBIFPZKKIIMEU-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@]2(C)CCCOC2)c1 ZINC000861045896 637055333 /nfs/dbraw/zinc/05/53/33/637055333.db2.gz PVRUKNLGXABLQS-HNNXBMFYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1conc1COC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000861193016 637107930 /nfs/dbraw/zinc/10/79/30/637107930.db2.gz HGKPGDMPKUGWDO-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN CO[C@H](C)CNC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000861214679 637118863 /nfs/dbraw/zinc/11/88/63/637118863.db2.gz LSGUDKJQNAWNBF-SNVBAGLBSA-N 0 0 288.303 2.513 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)/C(C)=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000861304710 637157639 /nfs/dbraw/zinc/15/76/39/637157639.db2.gz KLKWWHDSXSNZRW-FPERESLSSA-N 0 0 291.303 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(C2)CCCO3)cc1Cl ZINC000862484259 637399198 /nfs/dbraw/zinc/39/91/98/637399198.db2.gz HUHGVSOTJHSICV-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN Cc1nn(CCC(F)(F)Cl)c(C)c1[N+](=O)[O-] ZINC000863208498 637589696 /nfs/dbraw/zinc/58/96/96/637589696.db2.gz GVIDNFUGBMHFJZ-UHFFFAOYSA-N 0 0 253.636 2.630 20 5 CFBDRN Cc1ccc(NCc2ccc(C)c([N+](=O)[O-])c2)c(C(N)=O)c1 ZINC000864016256 637835668 /nfs/dbraw/zinc/83/56/68/637835668.db2.gz PXCYNWPUMNHRIT-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN CN(C(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1nccs1 ZINC000119911393 637911784 /nfs/dbraw/zinc/91/17/84/637911784.db2.gz CECVVUPLEBUELL-UHFFFAOYSA-N 0 0 299.258 2.606 20 5 CFBDRN CCCN(CCC)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864412586 637967360 /nfs/dbraw/zinc/96/73/60/637967360.db2.gz WGSADMRMMUAZCR-UHFFFAOYSA-N 0 0 282.315 2.925 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000864419210 637970399 /nfs/dbraw/zinc/97/03/99/637970399.db2.gz BTSRIHGUTAUKAN-JTQLQIEISA-N 0 0 277.324 2.501 20 5 CFBDRN Cc1ccc(CNC(=O)NC(C)(C)C)cc1[N+](=O)[O-] ZINC000864420891 637971879 /nfs/dbraw/zinc/97/18/79/637971879.db2.gz VDKQQVYQUWYZDP-UHFFFAOYSA-N 0 0 265.313 2.501 20 5 CFBDRN CC(C)(Cn1cc(-c2ccc(Cl)s2)nn1)[N+](=O)[O-] ZINC000864642585 638055917 /nfs/dbraw/zinc/05/59/17/638055917.db2.gz RDKALVWUCKSDMY-UHFFFAOYSA-N 0 0 286.744 2.715 20 5 CFBDRN CSc1ccccc1-c1cn(CC(C)(C)[N+](=O)[O-])nn1 ZINC000864642898 638056535 /nfs/dbraw/zinc/05/65/35/638056535.db2.gz ZOUDUCGMKPUXCT-UHFFFAOYSA-N 0 0 292.364 2.722 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000864788626 638093070 /nfs/dbraw/zinc/09/30/70/638093070.db2.gz OSFKSNAOWSFMBZ-JQWIXIFHSA-N 0 0 294.326 2.971 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@]12C[C@H]1CCCC2 ZINC000865029583 638164882 /nfs/dbraw/zinc/16/48/82/638164882.db2.gz VUKJONACFCQAOE-IUODEOHRSA-N 0 0 274.320 2.791 20 5 CFBDRN COC(C)(C)CCNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000865260926 638247096 /nfs/dbraw/zinc/24/70/96/638247096.db2.gz UNNAQRDTAZITOQ-UHFFFAOYSA-N 0 0 297.380 2.696 20 5 CFBDRN COC[C@H](CSCc1ccc(C)c([N+](=O)[O-])c1)OC ZINC000865283290 638255947 /nfs/dbraw/zinc/25/59/47/638255947.db2.gz RVEXFXQLQUENEB-GFCCVEGCSA-N 0 0 285.365 2.798 20 5 CFBDRN COc1ccc(OC(=O)CC2CCC2)c([N+](=O)[O-])c1 ZINC000076837425 650406108 /nfs/dbraw/zinc/40/61/08/650406108.db2.gz ABOCQFUHXYZOIS-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN CC1(C)CC[C@H](COc2ccc([N+](=O)[O-])cc2C=O)OC1 ZINC000865346554 638275463 /nfs/dbraw/zinc/27/54/63/638275463.db2.gz NATJCBDRSYSKPF-CYBMUJFWSA-N 0 0 293.319 2.991 20 5 CFBDRN Cc1cnc(OC[C@@H]2CCC(C)(C)CO2)c([N+](=O)[O-])c1 ZINC000865358806 638281482 /nfs/dbraw/zinc/28/14/82/638281482.db2.gz NRGWJQDIXLELQK-NSHDSACASA-N 0 0 280.324 2.882 20 5 CFBDRN COCC(C)(C)CSc1ccc([N+](=O)[O-])cn1 ZINC000865360978 638282435 /nfs/dbraw/zinc/28/24/35/638282435.db2.gz MKQUCXONLWIVSB-UHFFFAOYSA-N 0 0 256.327 2.755 20 5 CFBDRN CC1(C)CC[C@H](COc2ccc([N+](=O)[O-])c(CO)c2)OC1 ZINC000865365149 638285738 /nfs/dbraw/zinc/28/57/38/638285738.db2.gz WJBWSTOYBGJQPC-CYBMUJFWSA-N 0 0 295.335 2.671 20 5 CFBDRN COc1cc(O[C@H](C)C(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000865367311 638287698 /nfs/dbraw/zinc/28/76/98/638287698.db2.gz UAIHALGVBHKWJT-SECBINFHSA-N 0 0 281.308 2.986 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H](C)C(C)(C)C ZINC000865368044 638287867 /nfs/dbraw/zinc/28/78/67/638287867.db2.gz KWXDJOOAXBHRFG-VIFPVBQESA-N 0 0 252.314 2.747 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC1CC2(CCC2)C1 ZINC000865368201 638288157 /nfs/dbraw/zinc/28/81/57/638288157.db2.gz NEAAQEMTJULSNK-UHFFFAOYSA-N 0 0 262.309 2.645 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cc([N+](=O)[O-])c(F)cc1F ZINC000865370550 638289834 /nfs/dbraw/zinc/28/98/34/638289834.db2.gz NABRGBAJYHOWCK-SFYZADRCSA-N 0 0 273.235 2.677 20 5 CFBDRN C[C@H](Cn1cc([N+](=O)[O-])cc(F)c1=O)C(C)(C)C ZINC000865370385 638290044 /nfs/dbraw/zinc/29/00/44/638290044.db2.gz QTAVHLGLBDFTDP-MRVPVSSYSA-N 0 0 256.277 2.578 20 5 CFBDRN CCN(c1c([N+](=O)[O-])c(C(F)(F)F)nn1C)C(C)C ZINC000865456204 638347637 /nfs/dbraw/zinc/34/76/37/638347637.db2.gz SODXMARBJMJBKM-UHFFFAOYSA-N 0 0 280.250 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1N1CC[C@@H](CO)C1 ZINC000865550953 638416310 /nfs/dbraw/zinc/41/63/10/638416310.db2.gz PLWAHXFMHUHKON-SSDOTTSWSA-N 0 0 291.134 2.720 20 5 CFBDRN CC(=O)c1cccc(N2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000865644252 638484059 /nfs/dbraw/zinc/48/40/59/638484059.db2.gz MPOGJHYSPAAWFI-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN C[C@H]1CN(c2c(Cl)c(Cl)ccc2[N+](=O)[O-])C[C@H]1O ZINC000865652805 638489995 /nfs/dbraw/zinc/48/99/95/638489995.db2.gz IHGRWVNRUUDANM-IMTBSYHQSA-N 0 0 291.134 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1N1CC(CCO)C1 ZINC000865658401 638494814 /nfs/dbraw/zinc/49/48/14/638494814.db2.gz MJYHOQBSCQGDMT-UHFFFAOYSA-N 0 0 291.134 2.720 20 5 CFBDRN O=[N+]([O-])c1cnn(C[N@@H+]2CCC[C@H]2C2CCCCC2)c1 ZINC000077446196 650425544 /nfs/dbraw/zinc/42/55/44/650425544.db2.gz PZVSWXJVNCHEOR-AWEZNQCLSA-N 0 0 278.356 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(N2Cc3c[nH]nc3C2)c(C(F)F)c1 ZINC000865823063 638593047 /nfs/dbraw/zinc/59/30/47/638593047.db2.gz BAMIKTFOASGYPV-UHFFFAOYSA-N 0 0 280.234 2.776 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865856723 638616474 /nfs/dbraw/zinc/61/64/74/638616474.db2.gz KSXLDPTWCAJHQA-ONGXEEELSA-N 0 0 282.315 2.930 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865902909 638650729 /nfs/dbraw/zinc/65/07/29/638650729.db2.gz KQKHGEXJJXJLIL-XVKPBYJWSA-N 0 0 286.328 2.532 20 5 CFBDRN CC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])C(OC)OC ZINC000865923866 638659561 /nfs/dbraw/zinc/65/95/61/638659561.db2.gz CTGWGOYALGFWMV-SECBINFHSA-N 0 0 272.276 2.543 20 5 CFBDRN CN(OCC(F)(F)F)c1ccsc1[N+](=O)[O-] ZINC000865976483 638685044 /nfs/dbraw/zinc/68/50/44/638685044.db2.gz NLLGCQCWGZKNBS-UHFFFAOYSA-N 0 0 256.205 2.586 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@H]2CCSC2)nc2ccccn21 ZINC000865986142 638687414 /nfs/dbraw/zinc/68/74/14/638687414.db2.gz QODPHNISBJHFKF-JTQLQIEISA-N 0 0 292.364 2.798 20 5 CFBDRN CC1(C)[C@H]2[C@H](CCCN2c2ccc([N+](=O)[O-])cc2F)[C@@H]1O ZINC000865999698 638692380 /nfs/dbraw/zinc/69/23/80/638692380.db2.gz GBEFCKPPZZBZRW-GDLCADMTSA-N 0 0 294.326 2.720 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC(CCF)CC2)nc2ccccn21 ZINC000866024509 638702321 /nfs/dbraw/zinc/70/23/21/638702321.db2.gz JGUBGAIKQNIYOA-UHFFFAOYSA-N 0 0 292.314 2.819 20 5 CFBDRN O=C(NCC[C@@H]1CCSC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000866027848 638703692 /nfs/dbraw/zinc/70/36/92/638703692.db2.gz SSGVSDJTCJPZLP-SNVBAGLBSA-N 0 0 295.364 2.860 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1C[C@H](C)O[C@H]1C ZINC000866040888 638707201 /nfs/dbraw/zinc/70/72/01/638707201.db2.gz KUCVBQLGEFHBQN-GMOODISLSA-N 0 0 278.308 2.775 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32 ZINC000866047539 638711950 /nfs/dbraw/zinc/71/19/50/638711950.db2.gz ZKUZXMPFVIYRLX-LYEQHPFYSA-N 0 0 274.320 2.739 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000866069114 638721427 /nfs/dbraw/zinc/72/14/27/638721427.db2.gz BMOUETKOHBXHBA-DGCLKSJQSA-N 0 0 264.325 2.907 20 5 CFBDRN COc1cc(N[C@H]2C[C@H](SC)C2)c([N+](=O)[O-])cc1OC ZINC000866102720 638739138 /nfs/dbraw/zinc/73/91/38/638739138.db2.gz QQRSLKXCPXNOKI-KYZUINATSA-N 0 0 298.364 2.918 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1C[C@H](SC)C1 ZINC000866103229 638739868 /nfs/dbraw/zinc/73/98/68/638739868.db2.gz ZFHLOONGMMOXNJ-KYZUINATSA-N 0 0 268.338 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CCC[C@@H]3O)sc2c1 ZINC000866107255 638740752 /nfs/dbraw/zinc/74/07/52/638740752.db2.gz WLPCBWYQQMUTPJ-WPRPVWTQSA-N 0 0 279.321 2.530 20 5 CFBDRN Nc1ccc(N[C@@H](C2CCC2)[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000866108685 638742147 /nfs/dbraw/zinc/74/21/47/638742147.db2.gz UYAUYXGYURWAPQ-CABCVRRESA-N 0 0 291.351 2.937 20 5 CFBDRN Cc1nc(NC[C@H]2CCC23CCOCC3)ccc1[N+](=O)[O-] ZINC000866174031 638763726 /nfs/dbraw/zinc/76/37/26/638763726.db2.gz CPHITHGWHNMZKO-GFCCVEGCSA-N 0 0 291.351 2.917 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NCCCCC3CC3)nn21 ZINC000866185174 638765677 /nfs/dbraw/zinc/76/56/77/638765677.db2.gz ZTKUABNXESRUHO-UHFFFAOYSA-N 0 0 275.312 2.630 20 5 CFBDRN Cc1ccnc(NCc2nn(C)cc2C(F)F)c1[N+](=O)[O-] ZINC000866192373 638766833 /nfs/dbraw/zinc/76/68/33/638766833.db2.gz AHGPCFHYSXVSIN-UHFFFAOYSA-N 0 0 297.265 2.581 20 5 CFBDRN CN1Cc2ccccc2[C@H]1CNc1ncccc1[N+](=O)[O-] ZINC000866193990 638767369 /nfs/dbraw/zinc/76/73/69/638767369.db2.gz FSEPFJPNJSTDCD-CQSZACIVSA-N 0 0 284.319 2.588 20 5 CFBDRN Cc1nc(NC[C@H]2c3ccccc3CN2C)ccc1[N+](=O)[O-] ZINC000866195459 638767833 /nfs/dbraw/zinc/76/78/33/638767833.db2.gz VMVKFSJZZLVRGX-HNNXBMFYSA-N 0 0 298.346 2.897 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3CSC[C@H]3C2)c(Cl)c1 ZINC000866203438 638769320 /nfs/dbraw/zinc/76/93/20/638769320.db2.gz MKIWKAYQMXCPAV-RKDXNWHRSA-N 0 0 299.783 2.833 20 5 CFBDRN CC(=O)c1cc(N[C@@H](C)c2ccnn2C)ccc1[N+](=O)[O-] ZINC000866253095 638780801 /nfs/dbraw/zinc/78/08/01/638780801.db2.gz WBPXMIUYISEJJJ-VIFPVBQESA-N 0 0 288.307 2.704 20 5 CFBDRN C[C@H]1CCC[C@H](C2CN(c3c([N+](=O)[O-])ncn3C)C2)C1 ZINC000866254548 638781557 /nfs/dbraw/zinc/78/15/57/638781557.db2.gz QZWAFVYIKXXGJJ-QWRGUYRKSA-N 0 0 278.356 2.591 20 5 CFBDRN CC(C)(C)OC1CN(c2ccsc2[N+](=O)[O-])C1 ZINC000866279086 638787981 /nfs/dbraw/zinc/78/79/81/638787981.db2.gz IFEFCEUIBRHJMM-UHFFFAOYSA-N 0 0 256.327 2.660 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000866301068 638791628 /nfs/dbraw/zinc/79/16/28/638791628.db2.gz FZEPWOKPSSFBJG-MWLCHTKSSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000866300528 638791672 /nfs/dbraw/zinc/79/16/72/638791672.db2.gz BFFFZJTWXYMZNX-ONGXEEELSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000866301123 638791702 /nfs/dbraw/zinc/79/17/02/638791702.db2.gz GVAADBRGTHCGBA-NXEZZACHSA-N 0 0 298.339 2.573 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1c(OC)cccc1[N+](=O)[O-] ZINC000866301645 638791990 /nfs/dbraw/zinc/79/19/90/638791990.db2.gz QAXFURYTJDMHSX-ZJUUUORDSA-N 0 0 268.313 2.565 20 5 CFBDRN COc1cccc(NCC[C@@H](O)C2CCCC2)c1[N+](=O)[O-] ZINC000866303970 638792977 /nfs/dbraw/zinc/79/29/77/638792977.db2.gz SDDDIOBMDDMTHR-CYBMUJFWSA-N 0 0 294.351 2.957 20 5 CFBDRN CCON(CC)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000866307404 638794032 /nfs/dbraw/zinc/79/40/32/638794032.db2.gz ZSNXUAGQQRRPNG-UHFFFAOYSA-N 0 0 261.281 2.921 20 5 CFBDRN CCn1cc(N[C@H](C)Cc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000866325302 638797410 /nfs/dbraw/zinc/79/74/10/638797410.db2.gz IVMVXIMKFHCMBD-SNVBAGLBSA-N 0 0 292.314 2.993 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000121092685 638832728 /nfs/dbraw/zinc/83/27/28/638832728.db2.gz ZRUPZHPCIRSFGW-GWCFXTLKSA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000121092849 638832837 /nfs/dbraw/zinc/83/28/37/638832837.db2.gz ZRUPZHPCIRSFGW-MFKMUULPSA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867490882 638880936 /nfs/dbraw/zinc/88/09/36/638880936.db2.gz JSPBGPJBUJHODU-LLVKDONJSA-N 0 0 294.326 2.925 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000867559376 638885038 /nfs/dbraw/zinc/88/50/38/638885038.db2.gz JVKMOLNOWUKXFK-SASUGWTJSA-N 0 0 290.319 2.834 20 5 CFBDRN CCCOC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000867784931 638897287 /nfs/dbraw/zinc/89/72/87/638897287.db2.gz IGTXUILXJDOXIL-UHFFFAOYSA-N 0 0 252.270 2.539 20 5 CFBDRN O=C(OCC1CC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000077866586 650456555 /nfs/dbraw/zinc/45/65/55/650456555.db2.gz RUWAAZZANAOUFI-UHFFFAOYSA-N 0 0 255.657 2.815 20 5 CFBDRN Cc1ccc(CNC(=O)NCC2CCC2)cc1[N+](=O)[O-] ZINC000868049799 638918502 /nfs/dbraw/zinc/91/85/02/638918502.db2.gz VAVMEWIPFQKGSM-UHFFFAOYSA-N 0 0 277.324 2.503 20 5 CFBDRN Nc1ccc(NC(=O)C2(C(F)F)CCC2)cc1[N+](=O)[O-] ZINC000868411319 638943608 /nfs/dbraw/zinc/94/36/08/638943608.db2.gz VGCMSHKKSUOMJZ-UHFFFAOYSA-N 0 0 285.250 2.551 20 5 CFBDRN Nc1ccc(NC(=O)[C@@]23C[C@@H]2CCCC3)cc1[N+](=O)[O-] ZINC000868411120 638943771 /nfs/dbraw/zinc/94/37/71/638943771.db2.gz SNZLRKNWZQMGCQ-XPTSAGLGSA-N 0 0 275.308 2.696 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H]1C(F)F ZINC000868604481 638960671 /nfs/dbraw/zinc/96/06/71/638960671.db2.gz TUFMQHSLYDWVLN-BCPZQOPPSA-N 0 0 296.273 2.864 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000868610714 638961606 /nfs/dbraw/zinc/96/16/06/638961606.db2.gz IMCGZCKZSWYTDY-ZDUSSCGKSA-N 0 0 284.262 2.698 20 5 CFBDRN Cc1ccc(CNC(=O)NC2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000868790112 638979621 /nfs/dbraw/zinc/97/96/21/638979621.db2.gz OABKTRQEZZEZIH-UHFFFAOYSA-N 0 0 291.351 2.891 20 5 CFBDRN CC[C@H]1CCN(C(=O)NCc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000869053118 639015170 /nfs/dbraw/zinc/01/51/70/639015170.db2.gz IHTQJALBFHCFKZ-LBPRGKRZSA-N 0 0 291.351 2.845 20 5 CFBDRN CC(C)(F)CCNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000869262102 639112095 /nfs/dbraw/zinc/11/20/95/639112095.db2.gz LMFFSCCQQCZVJZ-UHFFFAOYSA-N 0 0 286.278 2.531 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)C(C)(C)C ZINC000869338338 639184268 /nfs/dbraw/zinc/18/42/68/639184268.db2.gz IAOPRTNTRZSNML-VIFPVBQESA-N 0 0 279.292 2.755 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H](O)c2ccccc2)c(Cl)c1 ZINC000122566496 639218047 /nfs/dbraw/zinc/21/80/47/639218047.db2.gz VMEIQFIGCBSIMX-GFCCVEGCSA-N 0 0 293.710 2.789 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@@H]2CCO[C@@H]2C)c1[N+](=O)[O-] ZINC000869430826 639226290 /nfs/dbraw/zinc/22/62/90/639226290.db2.gz LOCQDJICGPFJJJ-ZJUUUORDSA-N 0 0 297.282 2.624 20 5 CFBDRN CCCCC(=O)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000869433035 639228414 /nfs/dbraw/zinc/22/84/14/639228414.db2.gz YAIOWYUCSGTZAA-UHFFFAOYSA-N 0 0 293.319 2.830 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@]12CCC[C@H]1OCC2 ZINC000869718342 639368044 /nfs/dbraw/zinc/36/80/44/639368044.db2.gz YEFWVRVFYOICDO-HIFRSBDPSA-N 0 0 291.303 2.597 20 5 CFBDRN O=C(OCC1CC1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869812023 639417536 /nfs/dbraw/zinc/41/75/36/639417536.db2.gz YYACOQKGJQTQQK-UHFFFAOYSA-N 0 0 275.304 2.970 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000869896802 639458419 /nfs/dbraw/zinc/45/84/19/639458419.db2.gz HVBVWPXRFWRVNI-NXEZZACHSA-N 0 0 297.282 2.706 20 5 CFBDRN CCO[C@@H](COC(=O)c1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000869897632 639458460 /nfs/dbraw/zinc/45/84/60/639458460.db2.gz ACOAGFZEVXIJRM-LBPRGKRZSA-N 0 0 297.282 2.706 20 5 CFBDRN COCCCCCOC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897384 639459037 /nfs/dbraw/zinc/45/90/37/639459037.db2.gz RXVLHFAWDLMYPH-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN O=C(OCC1CC=CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000869898599 639459249 /nfs/dbraw/zinc/45/92/49/639459249.db2.gz MOUKWQAIAFPIIK-UHFFFAOYSA-N 0 0 265.240 2.857 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)cccc1[N+](=O)[O-] ZINC000870159961 639530389 /nfs/dbraw/zinc/53/03/89/639530389.db2.gz HIBCIQREKRVMEE-FBIMIBRVSA-N 0 0 279.292 2.622 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])[C@H](C)O1 ZINC000870174328 639534511 /nfs/dbraw/zinc/53/45/11/639534511.db2.gz OZBKUIDELBRVJU-WEDXCCLWSA-N 0 0 299.710 2.967 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000870276677 639575171 /nfs/dbraw/zinc/57/51/71/639575171.db2.gz GCWMKPBLPYIATK-NTZNESFSSA-N 0 0 293.319 2.760 20 5 CFBDRN CC(C)(COC(=O)c1conc1C1CCCC1)[N+](=O)[O-] ZINC000870467335 639652175 /nfs/dbraw/zinc/65/21/75/639652175.db2.gz DHBTUOLEEBCYRY-UHFFFAOYSA-N 0 0 282.296 2.544 20 5 CFBDRN COc1cccc(C(C)(C)C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000870467785 639653750 /nfs/dbraw/zinc/65/37/50/639653750.db2.gz LGDPORNVXVJAPJ-UHFFFAOYSA-N 0 0 295.335 2.571 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1CCCC[C@@H]1F ZINC000870489621 639686810 /nfs/dbraw/zinc/68/68/10/639686810.db2.gz MQYRSACRLFPGIS-CMPLNLGQSA-N 0 0 298.289 2.673 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000870578847 639731961 /nfs/dbraw/zinc/73/19/61/639731961.db2.gz SMGYKNUCBWPBKO-TXEJJXNPSA-N 0 0 281.333 2.954 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2C)C1 ZINC000870580810 639734072 /nfs/dbraw/zinc/73/40/72/639734072.db2.gz WBNVHFOXYDAUDL-TXEJJXNPSA-N 0 0 295.360 2.883 20 5 CFBDRN O=C(NCC[C@@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000871024010 641157966 /nfs/dbraw/zinc/15/79/66/641157966.db2.gz KVMOFBWCMDDGKV-LBPRGKRZSA-N 0 0 280.349 2.610 20 5 CFBDRN O=C(NCC[C@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000871026163 641159542 /nfs/dbraw/zinc/15/95/42/641159542.db2.gz JPTBNBUHTRYLPA-SNVBAGLBSA-N 0 0 280.349 2.610 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000871087320 641195194 /nfs/dbraw/zinc/19/51/94/641195194.db2.gz BSMJRDXUKHAWKI-MGCOHNPYSA-N 0 0 280.349 2.527 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000871095392 641202133 /nfs/dbraw/zinc/20/21/33/641202133.db2.gz RWAXQUHVDKLBNQ-GAFUQQFSSA-N 0 0 294.376 2.709 20 5 CFBDRN CCCCC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(=O)OC ZINC000080233073 650653023 /nfs/dbraw/zinc/65/30/23/650653023.db2.gz LUMSWLJAOFKIPT-NSHDSACASA-N 0 0 295.339 2.832 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H]3CC=CC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000871515285 641336277 /nfs/dbraw/zinc/33/62/77/641336277.db2.gz YWHBGMRJMFGMKG-OKILXGFUSA-N 0 0 286.331 2.941 20 5 CFBDRN Cc1nn(Cc2scnc2Cl)c(C)c1[N+](=O)[O-] ZINC000871622385 641370254 /nfs/dbraw/zinc/37/02/54/641370254.db2.gz ANGXRCYYARUHEJ-UHFFFAOYSA-N 0 0 272.717 2.566 20 5 CFBDRN CC(C)(C)SCN1C(=O)c2ccc([N+](=O)[O-])cc2C1=O ZINC000871632255 641375685 /nfs/dbraw/zinc/37/56/85/641375685.db2.gz UCWJMVSDJQFJTM-UHFFFAOYSA-N 0 0 294.332 2.680 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CC2(CCC2)CO1 ZINC000871638180 641376926 /nfs/dbraw/zinc/37/69/26/641376926.db2.gz USEZNPSYMNRLAH-CYBMUJFWSA-N 0 0 291.303 2.745 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CSCCS1 ZINC000193439400 650654808 /nfs/dbraw/zinc/65/48/08/650654808.db2.gz CGMUFLJIZZUFDM-NSHDSACASA-N 0 0 298.389 2.690 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2C[C@@H]3CCC[C@H]3O2)nc1C1CC1 ZINC000871667288 641392066 /nfs/dbraw/zinc/39/20/66/641392066.db2.gz GOBLLPKDAPVAME-GMXVVIOVSA-N 0 0 277.324 2.626 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1 ZINC000871677420 641399042 /nfs/dbraw/zinc/39/90/42/641399042.db2.gz JWOHXMSKICPNNY-OSMZGAPFSA-N 0 0 293.319 2.940 20 5 CFBDRN CC1(C)CO[C@@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000871745009 641434172 /nfs/dbraw/zinc/43/41/72/641434172.db2.gz BNUZEWNXKAUMLG-GFCCVEGCSA-N 0 0 293.323 2.531 20 5 CFBDRN CC1(C)CO[C@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000871746499 641436810 /nfs/dbraw/zinc/43/68/10/641436810.db2.gz UATXTJYZGZLXNB-LBPRGKRZSA-N 0 0 293.323 2.531 20 5 CFBDRN Cc1c(CNc2ncn(C(C)(C)C)n2)cccc1[N+](=O)[O-] ZINC000871863185 641487487 /nfs/dbraw/zinc/48/74/87/641487487.db2.gz ZSRZMFZJVNSYSD-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN Cc1c(C[NH2+]C[C@H](CC(C)C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000871874296 641493011 /nfs/dbraw/zinc/49/30/11/641493011.db2.gz KJBXWZURNBIFFO-ZDUSSCGKSA-N 0 0 294.351 2.740 20 5 CFBDRN C[C@H](NCc1cocn1)c1c(F)cccc1[N+](=O)[O-] ZINC000872055851 641590920 /nfs/dbraw/zinc/59/09/20/641590920.db2.gz MJJAAHWZFSGEGU-QMMMGPOBSA-N 0 0 265.244 2.573 20 5 CFBDRN C[C@@H](NC1COC(C)(C)OC1)c1c(F)cccc1[N+](=O)[O-] ZINC000872062410 641594268 /nfs/dbraw/zinc/59/42/68/641594268.db2.gz MNBPVUKJXUXINS-SECBINFHSA-N 0 0 298.314 2.536 20 5 CFBDRN C[C@H](N[C@@H](C)c1c(F)cccc1[N+](=O)[O-])c1ccnn1C ZINC000872091007 641624634 /nfs/dbraw/zinc/62/46/34/641624634.db2.gz DWDXTLJLDQLNNU-UWVGGRQHSA-N 0 0 292.314 2.879 20 5 CFBDRN C[C@@H](N[C@H]1CCn2ccnc21)c1ccccc1[N+](=O)[O-] ZINC000872129849 641657966 /nfs/dbraw/zinc/65/79/66/641657966.db2.gz ZUOKCTIBBKRLDL-PWSUYJOCSA-N 0 0 272.308 2.587 20 5 CFBDRN CCC[C@@H](NCc1nonc1C)c1cccc([N+](=O)[O-])c1 ZINC000872136349 641664933 /nfs/dbraw/zinc/66/49/33/641664933.db2.gz MUYWVRPIPCSNLM-CYBMUJFWSA-N 0 0 290.323 2.917 20 5 CFBDRN CCC[C@H](NCc1nonc1C)c1cccc([N+](=O)[O-])c1 ZINC000872136350 641664978 /nfs/dbraw/zinc/66/49/78/641664978.db2.gz MUYWVRPIPCSNLM-ZDUSSCGKSA-N 0 0 290.323 2.917 20 5 CFBDRN Cc1ccc(C[N@@H+](C[C@@H](C)C(=O)[O-])C2CC2)cc1[N+](=O)[O-] ZINC000872160996 641682317 /nfs/dbraw/zinc/68/23/17/641682317.db2.gz QKKCKGAIYGJJFM-LLVKDONJSA-N 0 0 292.335 2.588 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCCc2cccnc21 ZINC000872299873 641748309 /nfs/dbraw/zinc/74/83/09/641748309.db2.gz DABKXYADTGMBPZ-UHFFFAOYSA-N 0 0 287.275 2.566 20 5 CFBDRN Cc1cc(N2CCC[C@@H](C(C)(C)O)C2)c([N+](=O)[O-])s1 ZINC000872672701 641892828 /nfs/dbraw/zinc/89/28/28/641892828.db2.gz WCXRMCHWWFEYHN-SNVBAGLBSA-N 0 0 284.381 2.952 20 5 CFBDRN Cc1nn(C)c(NCCC[C@H]2C=CCC2)c1[N+](=O)[O-] ZINC000872694191 641899279 /nfs/dbraw/zinc/89/92/79/641899279.db2.gz JAFVOTBNIXBKOD-NSHDSACASA-N 0 0 264.329 2.795 20 5 CFBDRN COc1cccc(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1[N+](=O)[O-] ZINC000872697053 641901071 /nfs/dbraw/zinc/90/10/71/641901071.db2.gz FUTZFSNYMIWXEL-MDZLAQPJSA-N 0 0 292.335 2.973 20 5 CFBDRN Nc1ccc(NC[C@@H]2C[C@H]3CCC[C@H]3O2)c([N+](=O)[O-])c1 ZINC000872697645 641901748 /nfs/dbraw/zinc/90/17/48/641901748.db2.gz OXNISUMPTZVBQA-OLUVUFQESA-N 0 0 277.324 2.547 20 5 CFBDRN Cc1cnc(NC[C@@H]2C[C@H]3CCC[C@H]3O2)c([N+](=O)[O-])c1 ZINC000872697822 641902008 /nfs/dbraw/zinc/90/20/08/641902008.db2.gz AHSXDXXVKAWVEF-NTZNESFSSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000872699676 641903816 /nfs/dbraw/zinc/90/38/16/641903816.db2.gz OMAAFVQOBDIJEN-UHIISALHSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCC[C@H]1CCOC1=O ZINC000872702359 641905016 /nfs/dbraw/zinc/90/50/16/641905016.db2.gz FARBIWNHTYWNBB-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@H]2CC23CCC3)cc1F ZINC000872774836 641928848 /nfs/dbraw/zinc/92/88/48/641928848.db2.gz IMKRTOLXEPHARI-LBPRGKRZSA-N 0 0 266.272 2.581 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])s1)[C@H](O)c1ccccc1 ZINC000872774608 641929012 /nfs/dbraw/zinc/92/90/12/641929012.db2.gz LJIFLUSFPNBOKA-CMPLNLGQSA-N 0 0 293.348 2.975 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2cccc(OC)c2[N+](=O)[O-])C1 ZINC000872775893 641929406 /nfs/dbraw/zinc/92/94/06/641929406.db2.gz IYYMYYWENYTPPD-VROQLPPWSA-N 0 0 292.335 2.973 20 5 CFBDRN CCn1ncc(Nc2nc(C)ccc2[N+](=O)[O-])c1C ZINC000872778092 641931101 /nfs/dbraw/zinc/93/11/01/641931101.db2.gz AGXJPCVJXFLVOD-UHFFFAOYSA-N 0 0 261.285 2.567 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1CC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000872960027 641972000 /nfs/dbraw/zinc/97/20/00/641972000.db2.gz KHLAHJJWVXKCDV-MFKMUULPSA-N 0 0 289.335 2.898 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000080852292 650711879 /nfs/dbraw/zinc/71/18/79/650711879.db2.gz LTKOLUPALAEGFJ-UWVGGRQHSA-N 0 0 298.339 2.748 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@H]2C[C@@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000873232285 642086254 /nfs/dbraw/zinc/08/62/54/642086254.db2.gz OGWCNVQMQSWVFJ-IJLUTSLNSA-N 0 0 289.335 2.707 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000873318109 642134307 /nfs/dbraw/zinc/13/43/07/642134307.db2.gz XYKKZUWDLJTVAA-WAAGHKOSSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1csc([N+](=O)[O-])c1 ZINC000873348560 642145142 /nfs/dbraw/zinc/14/51/42/642145142.db2.gz LVAXHNABGLMOCR-MIMYLULJSA-N 0 0 297.332 2.771 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000873356295 642150771 /nfs/dbraw/zinc/15/07/71/642150771.db2.gz AKMJBYKWEYDSTE-DTORHVGOSA-N 0 0 299.710 2.830 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H](CC)C(C)=O)cc1[N+](=O)[O-] ZINC000873364330 642156069 /nfs/dbraw/zinc/15/60/69/642156069.db2.gz UOPOOGCIAMQDTL-ZDUSSCGKSA-N 0 0 279.292 2.682 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000873366480 642158026 /nfs/dbraw/zinc/15/80/26/642158026.db2.gz WMUONVRHFWFUCC-JGZJWPJOSA-N 0 0 297.282 2.624 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000873382997 642170479 /nfs/dbraw/zinc/17/04/79/642170479.db2.gz BCELPSGGPSWFGF-COPLHBTASA-N 0 0 293.319 2.667 20 5 CFBDRN CC[C@@H](OC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873402435 642186268 /nfs/dbraw/zinc/18/62/68/642186268.db2.gz OSYXEBLDCQNEAQ-BFHYXJOUSA-N 0 0 291.303 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OC[C@@H]1CCCOC1 ZINC000873438413 642204967 /nfs/dbraw/zinc/20/49/67/642204967.db2.gz LWDHAFFWZTXHLQ-GFCCVEGCSA-N 0 0 293.319 2.795 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC[C@H]1CCCO1 ZINC000873438344 642205000 /nfs/dbraw/zinc/20/50/00/642205000.db2.gz JPBBZFYUAGXQRO-GFCCVEGCSA-N 0 0 293.319 2.938 20 5 CFBDRN CCOC[C@@H](C)OC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873523716 642234106 /nfs/dbraw/zinc/23/41/06/642234106.db2.gz MTBCEUTYZWRYIJ-SNVBAGLBSA-N 0 0 281.308 2.793 20 5 CFBDRN CCC[C@H](CC)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000873553469 642245884 /nfs/dbraw/zinc/24/58/84/642245884.db2.gz SKAOSTWGZKLMDX-ZBOXLXRLSA-N 0 0 295.339 2.864 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1)[C@H]1CC12CC2 ZINC000873630582 642280199 /nfs/dbraw/zinc/28/01/99/642280199.db2.gz IHYUEQVFTFWFSN-ZWNOBZJWSA-N 0 0 275.304 2.869 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000195131003 650739132 /nfs/dbraw/zinc/73/91/32/650739132.db2.gz XQMWOEYYCLJJHV-SNVBAGLBSA-N 0 0 297.314 2.768 20 5 CFBDRN COC[C@@H](C)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124767713 642320325 /nfs/dbraw/zinc/32/03/25/642320325.db2.gz AJSWWRWZZGTRRA-QMMMGPOBSA-N 0 0 258.705 2.943 20 5 CFBDRN Cc1ccc(OC(=O)CCc2cscn2)c([N+](=O)[O-])c1 ZINC000195237386 650744339 /nfs/dbraw/zinc/74/43/39/650744339.db2.gz XDCYBBZZYFQOCZ-UHFFFAOYSA-N 0 0 292.316 2.898 20 5 CFBDRN C[C@@H](NC(=O)/C=C/[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000874625499 642428437 /nfs/dbraw/zinc/42/84/37/642428437.db2.gz GTINRBUEHGOLQS-SVKHLYGUSA-N 0 0 290.319 2.507 20 5 CFBDRN CC[C@@H](O)CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000125082633 642428964 /nfs/dbraw/zinc/42/89/64/642428964.db2.gz OQBWSMKEYMDDET-MRVPVSSYSA-N 0 0 260.240 2.715 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000874625554 642429128 /nfs/dbraw/zinc/42/91/28/642429128.db2.gz PCRQJIOXVPZAGK-UTUOFQBUSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1F ZINC000874779852 642479914 /nfs/dbraw/zinc/47/99/14/642479914.db2.gz FGNAGPKLAUGOSM-ZANVPECISA-N 0 0 292.310 2.896 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000874784756 642486472 /nfs/dbraw/zinc/48/64/72/642486472.db2.gz LSRHERXFDVCONG-NSHDSACASA-N 0 0 296.273 2.745 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC23CCC3)cc([N+](=O)[O-])c1 ZINC000874787349 642487807 /nfs/dbraw/zinc/48/78/07/642487807.db2.gz UHDDSUPQUSEAJM-LBPRGKRZSA-N 0 0 260.293 2.576 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000874792117 642492122 /nfs/dbraw/zinc/49/21/22/642492122.db2.gz QEKGTAZJNBYYAV-LBPRGKRZSA-N 0 0 260.293 2.576 20 5 CFBDRN CCn1ncc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1C ZINC000874817959 642505183 /nfs/dbraw/zinc/50/51/83/642505183.db2.gz CZTRRCORXGGODV-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CC1(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000874855789 642528963 /nfs/dbraw/zinc/52/89/63/642528963.db2.gz LCPADKVSASSWME-UHFFFAOYSA-N 0 0 288.225 2.652 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])cc2)CC(F)(F)C1 ZINC000874858886 642530883 /nfs/dbraw/zinc/53/08/83/642530883.db2.gz SPABBLYKOCDTHV-UHFFFAOYSA-N 0 0 270.235 2.512 20 5 CFBDRN O=C(NC1CC=CC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000125271519 642579012 /nfs/dbraw/zinc/57/90/12/642579012.db2.gz KIASDRMEVGFHGI-UHFFFAOYSA-N 0 0 266.684 2.697 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000875077586 642582201 /nfs/dbraw/zinc/58/22/01/642582201.db2.gz DRUGGVBZZBLUIH-IGJVIKARSA-N 0 0 274.320 2.850 20 5 CFBDRN CCO[C@H](C(=O)Oc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000875192058 642596022 /nfs/dbraw/zinc/59/60/22/642596022.db2.gz ISFKWEQTYWYRAN-ZDUSSCGKSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1C[C@H](C)O[C@H]1C ZINC000875502220 642630819 /nfs/dbraw/zinc/63/08/19/642630819.db2.gz ZTDJGCAHYJBDBZ-GARJFASQSA-N 0 0 279.292 2.622 20 5 CFBDRN CC[C@H](SC)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000125449095 642634452 /nfs/dbraw/zinc/63/44/52/642634452.db2.gz GSQLJFZGSBQFNI-NSHDSACASA-N 0 0 269.322 2.950 20 5 CFBDRN COC1CC(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000875680227 642665036 /nfs/dbraw/zinc/66/50/36/642665036.db2.gz UCQMHVQSKLPDRU-UHFFFAOYSA-N 0 0 284.699 2.612 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC=C(F)C2)cc1[N+](=O)[O-] ZINC000876169217 642784461 /nfs/dbraw/zinc/78/44/61/642784461.db2.gz PXHHJJBGBDFMSV-UHFFFAOYSA-N 0 0 293.298 2.672 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC2(CC2)C1 ZINC000888708148 642792080 /nfs/dbraw/zinc/79/20/80/642792080.db2.gz AWPPCMUDOFPGNG-CYBMUJFWSA-N 0 0 288.347 2.976 20 5 CFBDRN CO[C@H](C)CC(=O)O[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000084809007 650782569 /nfs/dbraw/zinc/78/25/69/650782569.db2.gz MIQHHBNKGOMUES-MNOVXSKESA-N 0 0 281.308 2.932 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@H](C)[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000876406262 642853853 /nfs/dbraw/zinc/85/38/53/642853853.db2.gz KRMHCYJUNMGOQS-HBNTYKKESA-N 0 0 277.324 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccnc(F)c1 ZINC000086167529 650795238 /nfs/dbraw/zinc/79/52/38/650795238.db2.gz HUPLEGMDIMNMHL-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1ccc(Cl)c(NCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000876872170 643044072 /nfs/dbraw/zinc/04/40/72/643044072.db2.gz TWDFFKQBWIEOAR-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN C[C@@H]1C[C@@H](OC(=O)Cn2ccc([N+](=O)[O-])n2)CC(C)(C)C1 ZINC000126564229 643337372 /nfs/dbraw/zinc/33/73/72/643337372.db2.gz MYMQTGSXUGQVOG-GHMZBOCLSA-N 0 0 295.339 2.549 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1CC(C(F)F)C1 ZINC000877580232 643376926 /nfs/dbraw/zinc/37/69/26/643376926.db2.gz IXEVRUZHNHTITL-UHFFFAOYSA-N 0 0 270.279 2.909 20 5 CFBDRN O=C(C=Cc1ccco1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089420748 650841381 /nfs/dbraw/zinc/84/13/81/650841381.db2.gz SLOYEDOBWSTOIV-GQCTYLIASA-N 0 0 298.258 2.716 20 5 CFBDRN CC1(C)C[C@H](O)CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000877752045 643529582 /nfs/dbraw/zinc/52/95/82/643529582.db2.gz LWHMQPAZFIMVLI-LBPRGKRZSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1ccc2c(c1)C(=O)N[C@H](c1ccc([N+](=O)[O-])cc1)N2 ZINC000877861924 643610612 /nfs/dbraw/zinc/61/06/12/643610612.db2.gz QFKHIHKMRFQLPF-CQSZACIVSA-N 0 0 283.287 2.757 20 5 CFBDRN Cc1ccc2c(c1)N[C@@H](c1ccc([N+](=O)[O-])cc1)NC2=O ZINC000877865069 643612511 /nfs/dbraw/zinc/61/25/11/643612511.db2.gz WZDKEAOAYYRPCR-CQSZACIVSA-N 0 0 283.287 2.757 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCc1ccns1 ZINC000877874110 643615658 /nfs/dbraw/zinc/61/56/58/643615658.db2.gz FMJDULGTMCQXLR-UHFFFAOYSA-N 0 0 280.305 2.681 20 5 CFBDRN Cc1ccc(OCCc2ccns2)cc1[N+](=O)[O-] ZINC000877879994 643619404 /nfs/dbraw/zinc/61/94/04/643619404.db2.gz YIPIUPLJPDAOGH-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN CC1CC(Cn2ccc3c(cccc3[N+](=O)[O-])c2=O)C1 ZINC000877883716 643621325 /nfs/dbraw/zinc/62/13/25/643621325.db2.gz LSVPMSFEWIARQB-UHFFFAOYSA-N 0 0 272.304 2.956 20 5 CFBDRN C=C/C=C\CCn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000877884894 643622277 /nfs/dbraw/zinc/62/22/77/643622277.db2.gz HOONXAQUPPHWKM-ARJAWSKDSA-N 0 0 274.320 2.768 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CC1CCC2(CC2)CC1 ZINC000877888205 643624895 /nfs/dbraw/zinc/62/48/95/643624895.db2.gz MYOKJEPXQZXDQH-UHFFFAOYSA-N 0 0 262.309 2.727 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1C=CCC1 ZINC000877892989 643627427 /nfs/dbraw/zinc/62/74/27/643627427.db2.gz IKASBTHQTHVZSY-JTQLQIEISA-N 0 0 291.307 2.691 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)C(C)C)c([N+](=O)[O-])cc1OC ZINC000127132228 643907099 /nfs/dbraw/zinc/90/70/99/643907099.db2.gz XKROMOBDZNMGDB-VIFPVBQESA-N 0 0 297.307 2.813 20 5 CFBDRN Cc1ccc(CN2CCC[C@H]2c2cc[nH]n2)cc1[N+](=O)[O-] ZINC000878523740 643926855 /nfs/dbraw/zinc/92/68/55/643926855.db2.gz HUKJMQCWKICHAC-AWEZNQCLSA-N 0 0 286.335 2.963 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1ccc(C)cc1F ZINC000878711356 644001656 /nfs/dbraw/zinc/00/16/56/644001656.db2.gz KGDOVYHKLSOAHM-UHFFFAOYSA-N 0 0 292.314 2.684 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)C[C@H]1C ZINC000246796049 650883756 /nfs/dbraw/zinc/88/37/56/650883756.db2.gz DHIUHULOUZPWMD-GMTAPVOTSA-N 0 0 291.351 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1CN1CCC=C(Cl)C1 ZINC000878790280 644026840 /nfs/dbraw/zinc/02/68/40/644026840.db2.gz JGKPALPKEDYTRN-UHFFFAOYSA-N 0 0 268.700 2.629 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC[C@H]1c1cn[nH]c1 ZINC000878879144 644059014 /nfs/dbraw/zinc/05/90/14/644059014.db2.gz RZEUIGFPGJJSHO-ZDUSSCGKSA-N 0 0 290.298 2.794 20 5 CFBDRN COC(C)(C)CCNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000879121776 644150241 /nfs/dbraw/zinc/15/02/41/644150241.db2.gz BPPSOFKWCJLPHC-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@H](CF)C1 ZINC000879229086 644182217 /nfs/dbraw/zinc/18/22/17/644182217.db2.gz PXCNWXNQLQOLIK-SNVBAGLBSA-N 0 0 281.287 2.727 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@H](OC)CC[C@@H]1C ZINC000879317873 644223415 /nfs/dbraw/zinc/22/34/15/644223415.db2.gz MUQCIZYWHKUVEU-SMDDNHRTSA-N 0 0 294.351 2.603 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1)OCC(F)(F)F ZINC000879511800 644297663 /nfs/dbraw/zinc/29/76/63/644297663.db2.gz ZXNPFVZBOWOEDM-UHFFFAOYSA-N 0 0 264.203 2.521 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000127898963 644358528 /nfs/dbraw/zinc/35/85/28/644358528.db2.gz HYYMGQSNMUZGIC-LLVKDONJSA-N 0 0 263.293 2.727 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000888815511 644708071 /nfs/dbraw/zinc/70/80/71/644708071.db2.gz RNJZQVMMBIEVDC-LLVKDONJSA-N 0 0 294.376 2.999 20 5 CFBDRN CC(C)(C)c1ccc([N+](=O)[O-])cc1NC(=O)NCCF ZINC000880707706 644811578 /nfs/dbraw/zinc/81/15/78/644811578.db2.gz RHAMEJVVPQTOEW-UHFFFAOYSA-N 0 0 283.303 2.983 20 5 CFBDRN CCOc1cc(NC(=O)N[C@@H]2C[C@H]2CC)ccc1[N+](=O)[O-] ZINC000888831361 644812963 /nfs/dbraw/zinc/81/29/63/644812963.db2.gz JQCDTXXNNFBFSR-MWLCHTKSSA-N 0 0 293.323 2.914 20 5 CFBDRN CC1(C)CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000094332533 650956494 /nfs/dbraw/zinc/95/64/94/650956494.db2.gz MKGUBFBJWNMCET-ZDUSSCGKSA-N 0 0 291.351 2.876 20 5 CFBDRN O=C(OC[C@H]1CCCO1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000211345573 644902861 /nfs/dbraw/zinc/90/28/61/644902861.db2.gz FOWVTEQDWOZSBB-MRVPVSSYSA-N 0 0 285.683 2.584 20 5 CFBDRN CCCC(=O)c1cn(Cc2cccc([N+](=O)[O-])c2C)nn1 ZINC000881195411 644921800 /nfs/dbraw/zinc/92/18/00/644921800.db2.gz IIDBOVFNQCSKTE-UHFFFAOYSA-N 0 0 288.307 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccccc2CO)cc1F ZINC000094774075 650962973 /nfs/dbraw/zinc/96/29/73/650962973.db2.gz VEFOOIUYURMDKE-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NC[C@@H]1C=CCC1 ZINC000881491644 644991268 /nfs/dbraw/zinc/99/12/68/644991268.db2.gz XZXKFIGMXBZNFG-LLVKDONJSA-N 0 0 292.360 2.769 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1C=CCC1 ZINC000881492442 644992013 /nfs/dbraw/zinc/99/20/13/644992013.db2.gz IRIXVRPYYUTCDF-LLVKDONJSA-N 0 0 260.293 2.599 20 5 CFBDRN Cc1c(CC(=O)NC[C@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000881495096 644994840 /nfs/dbraw/zinc/99/48/40/644994840.db2.gz GDSHWRFXWDGPDA-LBPRGKRZSA-N 0 0 274.320 2.528 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000881498562 644998454 /nfs/dbraw/zinc/99/84/54/644998454.db2.gz KVNQYCUUODYCAM-TUKIKUTGSA-N 0 0 286.331 2.781 20 5 CFBDRN CC1(C)CC[C@H](CNC(=O)c2ccccc2[N+](=O)[O-])OC1 ZINC000881507598 645005376 /nfs/dbraw/zinc/00/53/76/645005376.db2.gz MNPNCOVTSRPVAQ-LLVKDONJSA-N 0 0 292.335 2.530 20 5 CFBDRN O=C(NCC1(CC2CC2)CC1)c1ccccc1[N+](=O)[O-] ZINC000881516984 645011863 /nfs/dbraw/zinc/01/18/63/645011863.db2.gz FYCPIPRSCLBREC-UHFFFAOYSA-N 0 0 274.320 2.905 20 5 CFBDRN CC1(CNC(=O)c2ccccc2[N+](=O)[O-])CC(F)(F)C1 ZINC000881521706 645017737 /nfs/dbraw/zinc/01/77/37/645017737.db2.gz ONSGPUYQPSUXCD-UHFFFAOYSA-N 0 0 284.262 2.760 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@@]2(C)CC2(C)C)c1 ZINC000881540330 645032827 /nfs/dbraw/zinc/03/28/27/645032827.db2.gz HEOWLKWFVAMVNP-OAHLLOKOSA-N 0 0 292.335 2.769 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)[C@H]1C ZINC000250485447 650974640 /nfs/dbraw/zinc/97/46/40/650974640.db2.gz LGBNIQSKMOPXPH-BFVZDQMLSA-N 0 0 291.351 2.732 20 5 CFBDRN CC(C)(C(=O)N1CCC(F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000881610279 645080011 /nfs/dbraw/zinc/08/00/11/645080011.db2.gz DXTMZBPELNDXHT-UHFFFAOYSA-N 0 0 294.326 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCC(F)CC1 ZINC000881614076 645083084 /nfs/dbraw/zinc/08/30/84/645083084.db2.gz AOGLMWYOORCENE-UHFFFAOYSA-N 0 0 280.299 2.786 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000129223281 645125158 /nfs/dbraw/zinc/12/51/58/645125158.db2.gz BTGNPEQBAJISPQ-KOLCDFICSA-N 0 0 277.324 2.555 20 5 CFBDRN CC[C@@H]1CCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000881801810 645130497 /nfs/dbraw/zinc/13/04/97/645130497.db2.gz PQIYWCQTHDYYPK-SECBINFHSA-N 0 0 253.277 2.755 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H]1CC=CCC1 ZINC000881851156 645141346 /nfs/dbraw/zinc/14/13/46/645141346.db2.gz PALVHOOYKMMQMV-VIFPVBQESA-N 0 0 251.261 2.897 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCS[C@H](C)C1 ZINC000881920212 645155337 /nfs/dbraw/zinc/15/53/37/645155337.db2.gz YXVSSHQHTPKJPV-SECBINFHSA-N 0 0 280.349 2.739 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC[C@@H](c2ccco2)C1 ZINC000881964194 645164819 /nfs/dbraw/zinc/16/48/19/645164819.db2.gz MLZTWBJTUIBZOP-SECBINFHSA-N 0 0 277.255 2.716 20 5 CFBDRN CC(=O)c1c(NC[C@]2(C)CCOC2)cccc1[N+](=O)[O-] ZINC000881980170 645166989 /nfs/dbraw/zinc/16/69/89/645166989.db2.gz CHEYYNYTQABCOA-AWEZNQCLSA-N 0 0 278.308 2.636 20 5 CFBDRN CC(=O)c1c(NC[C@@H](C)C[C@H](C)O)cccc1[N+](=O)[O-] ZINC000882007258 645174812 /nfs/dbraw/zinc/17/48/12/645174812.db2.gz HOEPINADZKTNCX-UWVGGRQHSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1nn(C[C@H]2CCCC(C)(C)O2)c(C)c1[N+](=O)[O-] ZINC000882018119 645177297 /nfs/dbraw/zinc/17/72/97/645177297.db2.gz PBXGIYDIMOAUHD-LLVKDONJSA-N 0 0 267.329 2.756 20 5 CFBDRN CC1CC(Nc2ccc([N+](=O)[O-])cc2C(=O)NC2CC2)C1 ZINC000882047790 645186895 /nfs/dbraw/zinc/18/68/95/645186895.db2.gz WKQOURLCUXHANX-UHFFFAOYSA-N 0 0 289.335 2.697 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2CC[C@H](c3ccccc3)O2)cn1 ZINC000882092381 645198610 /nfs/dbraw/zinc/19/86/10/645198610.db2.gz GUPKFGAXAMNLAF-QWHCGFSZSA-N 0 0 273.292 2.712 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@@H]1C[C@@H](C)O ZINC000882127662 645213749 /nfs/dbraw/zinc/21/37/49/645213749.db2.gz KKBQOCIVKAGIGV-ZYHUDNBSSA-N 0 0 292.335 2.537 20 5 CFBDRN Cc1cnc(CCN(C)c2ccnc(F)c2[N+](=O)[O-])s1 ZINC000882131715 645214763 /nfs/dbraw/zinc/21/47/63/645214763.db2.gz OHNBAGSEWJHDKI-UHFFFAOYSA-N 0 0 296.327 2.573 20 5 CFBDRN CC(=O)c1c(NCCCCCCO)cccc1[N+](=O)[O-] ZINC000882161180 645224680 /nfs/dbraw/zinc/22/46/80/645224680.db2.gz DJPCCFOYGGNJKQ-UHFFFAOYSA-N 0 0 280.324 2.762 20 5 CFBDRN Cc1nn(C[C@@H]2CC(C)(C)OC2(C)C)cc1[N+](=O)[O-] ZINC000882170205 645228038 /nfs/dbraw/zinc/22/80/38/645228038.db2.gz LOXRAWMYVGVYLE-JTQLQIEISA-N 0 0 267.329 2.693 20 5 CFBDRN CC(=O)c1c(NCC[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000882178738 645233426 /nfs/dbraw/zinc/23/34/26/645233426.db2.gz FCMLLQDELUZJAU-LLVKDONJSA-N 0 0 278.308 2.636 20 5 CFBDRN Cc1cccc(COC(=O)c2cccc(C)c2[N+](=O)[O-])n1 ZINC000129507997 645240847 /nfs/dbraw/zinc/24/08/47/645240847.db2.gz ACDAGSJGIYBBRT-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1CC[C@H]1CCCC1(F)F ZINC000882194476 645241196 /nfs/dbraw/zinc/24/11/96/645241196.db2.gz XVYLXKMKPLSLLT-MRVPVSSYSA-N 0 0 290.241 2.721 20 5 CFBDRN C[C@]1(CNc2ccnc(F)c2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000882197282 645241884 /nfs/dbraw/zinc/24/18/84/645241884.db2.gz QPQADOLTXHZLON-TZMCWYRMSA-N 0 0 295.314 2.746 20 5 CFBDRN CCc1csc(SCc2cn(C)nc2[N+](=O)[O-])n1 ZINC000882283079 645262507 /nfs/dbraw/zinc/26/25/07/645262507.db2.gz XEPDIJPEIZEUOG-UHFFFAOYSA-N 0 0 284.366 2.640 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1c1ccnc(F)c1[N+](=O)[O-] ZINC000882287008 645263654 /nfs/dbraw/zinc/26/36/54/645263654.db2.gz UOUWFHQSSRMUBX-RQJHMYQMSA-N 0 0 293.220 2.906 20 5 CFBDRN O=C(Nc1ccncc1)c1cccc([N+](=O)[O-])c1Cl ZINC000129578893 645280210 /nfs/dbraw/zinc/28/02/10/645280210.db2.gz MELDONMGHLYLQH-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN Cc1ncnc(N(C)CCc2ccccc2[N+](=O)[O-])c1C ZINC000882348748 645288399 /nfs/dbraw/zinc/28/83/99/645288399.db2.gz ASRVCTCIBULSLE-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN O=C(NCCC1CC1)c1cccc([N+](=O)[O-])c1Cl ZINC000129632819 645296763 /nfs/dbraw/zinc/29/67/63/645296763.db2.gz MMUPKGLCMFWAMZ-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2Cl)C[C@H]1C ZINC000129636463 645297835 /nfs/dbraw/zinc/29/78/35/645297835.db2.gz UWEMVDRYGAKRET-RKDXNWHRSA-N 0 0 282.727 2.976 20 5 CFBDRN CCOC1(CNc2ccnc(F)c2[N+](=O)[O-])CCCC1 ZINC000882400911 645299627 /nfs/dbraw/zinc/29/96/27/645299627.db2.gz NUAWFPHDLWXYOG-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN CO[C@H](CNc1cccc([N+](=O)[O-])c1C(C)=O)C1CC1 ZINC000882402790 645300567 /nfs/dbraw/zinc/30/05/67/645300567.db2.gz WBDCCKBTGJURKI-CYBMUJFWSA-N 0 0 278.308 2.634 20 5 CFBDRN CC(=O)c1c(N[C@H](C)CC(C)(C)O)cccc1[N+](=O)[O-] ZINC000882413626 645304098 /nfs/dbraw/zinc/30/40/98/645304098.db2.gz OWCQRTSDKKVPTL-SECBINFHSA-N 0 0 280.324 2.759 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000882444625 645317838 /nfs/dbraw/zinc/31/78/38/645317838.db2.gz RTXMWZSGPKGCAR-HRDYMLBCSA-N 0 0 251.261 2.729 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H](O)c1ccccc1 ZINC000129761084 645392294 /nfs/dbraw/zinc/39/22/94/645392294.db2.gz HLXLWDBIRXETEA-GFCCVEGCSA-N 0 0 293.710 2.789 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H](C1CCC1)[C@H]1CCCO1 ZINC000882688092 645411061 /nfs/dbraw/zinc/41/10/61/645411061.db2.gz BMCCDFQEYUDOAV-NEPJUHHUSA-N 0 0 295.314 2.889 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC(C(C)=O)CC1 ZINC000882714214 645427263 /nfs/dbraw/zinc/42/72/63/645427263.db2.gz OAHLPGDJUBJNEP-UHFFFAOYSA-N 0 0 290.319 2.603 20 5 CFBDRN C=C/C=C/CCNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000882795005 645457756 /nfs/dbraw/zinc/45/77/56/645457756.db2.gz CNAKSUQMKBHOKB-SNAWJCMRSA-N 0 0 290.245 2.891 20 5 CFBDRN CO[C@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000882797442 645459925 /nfs/dbraw/zinc/45/99/25/645459925.db2.gz UPJFFFSAZVWBTP-UMSPYCQHSA-N 0 0 278.308 2.634 20 5 CFBDRN Cn1c(Cl)cnc1CNc1cccc([N+](=O)[O-])c1 ZINC000097058491 651013555 /nfs/dbraw/zinc/01/35/55/651013555.db2.gz HSBWDFMJBPIVAV-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@@H](CF)C1 ZINC000882840354 645476324 /nfs/dbraw/zinc/47/63/24/645476324.db2.gz RVAMXHWKQWBZCD-JTQLQIEISA-N 0 0 266.272 2.593 20 5 CFBDRN CCC(=O)c1ccc(N2CC[C@H](CF)C2)c([N+](=O)[O-])c1 ZINC000882840180 645476597 /nfs/dbraw/zinc/47/65/97/645476597.db2.gz NNCZHZDKCXKSLR-SNVBAGLBSA-N 0 0 280.299 2.983 20 5 CFBDRN CO[C@@]1(C(F)(F)F)CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000882846523 645478651 /nfs/dbraw/zinc/47/86/51/645478651.db2.gz NUJZVCRWDPBBMM-VIFPVBQESA-N 0 0 296.270 2.814 20 5 CFBDRN Cc1nc(N2CC[C@H](c3nccs3)C2)ccc1[N+](=O)[O-] ZINC000882857552 645480074 /nfs/dbraw/zinc/48/00/74/645480074.db2.gz LYYIJNPVIZPQPZ-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H](CO)Cc1ccoc1 ZINC000882866134 645481820 /nfs/dbraw/zinc/48/18/20/645481820.db2.gz FHSQWURHMQXUDE-NSHDSACASA-N 0 0 294.282 2.590 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](CO)Cc2ccoc2)c1 ZINC000882866167 645482176 /nfs/dbraw/zinc/48/21/76/645482176.db2.gz GCIWPHXUICRUPJ-ZDUSSCGKSA-N 0 0 290.319 2.759 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H](CO)Cc1ccoc1 ZINC000882867112 645483229 /nfs/dbraw/zinc/48/32/29/645483229.db2.gz IRNYDIAURLFOOW-LLVKDONJSA-N 0 0 294.282 2.590 20 5 CFBDRN Cn1ccc(COc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000130353783 645487508 /nfs/dbraw/zinc/48/75/08/645487508.db2.gz OIHWHGBEFJIUQK-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3cnccc23)C1 ZINC000882906225 645504672 /nfs/dbraw/zinc/50/46/72/645504672.db2.gz SVYYSDROHIPACA-PHIMTYICSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])nc2)OCCc2ccccc21 ZINC000882928488 645514812 /nfs/dbraw/zinc/51/48/12/645514812.db2.gz LPGHOSUEBQUZDQ-INIZCTEOSA-N 0 0 299.330 2.890 20 5 CFBDRN CCc1nn(C)c(N[C@@H](CC)[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000882955321 645526736 /nfs/dbraw/zinc/52/67/36/645526736.db2.gz IHSWRCSLIRMORN-QWRGUYRKSA-N 0 0 296.371 2.508 20 5 CFBDRN CC[N@@H+]1CC[C@H]1CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000882959116 645528971 /nfs/dbraw/zinc/52/89/71/645528971.db2.gz VKABZEKOWXQHST-LBPRGKRZSA-N 0 0 286.335 2.649 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2CCC3(CCC3)O2)c1 ZINC000882973186 645536084 /nfs/dbraw/zinc/53/60/84/645536084.db2.gz LYXGQYQXIOHPDP-LLVKDONJSA-N 0 0 277.324 2.691 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCC3(COC3)CC2)c(F)c1 ZINC000882994623 645551172 /nfs/dbraw/zinc/55/11/72/645551172.db2.gz NGOBKRBXOJFHKL-UHFFFAOYSA-N 0 0 281.287 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC2CCC3(COC3)CC2)n1 ZINC000882995466 645552017 /nfs/dbraw/zinc/55/20/17/645552017.db2.gz ZOXHVDISJNKNRM-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000883153709 645629743 /nfs/dbraw/zinc/62/97/43/645629743.db2.gz LRYYNYFJUMEZOC-QWRGUYRKSA-N 0 0 295.314 2.657 20 5 CFBDRN CCCCOC(=O)COc1ccc(C)c([N+](=O)[O-])c1 ZINC000131673722 645780092 /nfs/dbraw/zinc/78/00/92/645780092.db2.gz LAMPOCNOCSTPNS-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CCCO1 ZINC000132069680 645872349 /nfs/dbraw/zinc/87/23/49/645872349.db2.gz XWAFVGJJIDTTMM-LBPRGKRZSA-N 0 0 284.699 2.756 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@@H](C)CO1 ZINC000889053086 645979568 /nfs/dbraw/zinc/97/95/68/645979568.db2.gz DZGTZSJDZORTFR-KRTXAFLBSA-N 0 0 299.710 2.887 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2Cc1nnsc1Cl ZINC000132957156 645991715 /nfs/dbraw/zinc/99/17/15/645991715.db2.gz KODHJOQBFAUWRL-UHFFFAOYSA-N 0 0 296.739 2.662 20 5 CFBDRN Cc1sc(C(=O)NCCC2CCC2)cc1[N+](=O)[O-] ZINC000133111524 646030846 /nfs/dbraw/zinc/03/08/46/646030846.db2.gz KUVAZLNWMVPODL-UHFFFAOYSA-N 0 0 268.338 2.885 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000800025565 646105977 /nfs/dbraw/zinc/10/59/77/646105977.db2.gz VTKOVQWATWSVEU-QWRGUYRKSA-N 0 0 297.282 2.767 20 5 CFBDRN CC(=O)CCC(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000800093058 646137007 /nfs/dbraw/zinc/13/70/07/646137007.db2.gz VUGGAXGGYWXJRY-UHFFFAOYSA-N 0 0 285.683 2.661 20 5 CFBDRN Cc1cnccc1C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800151221 646157238 /nfs/dbraw/zinc/15/72/38/646157238.db2.gz DNQHHQXTDGUTTA-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H](F)C(F)(F)F ZINC000800158440 646161944 /nfs/dbraw/zinc/16/19/44/646161944.db2.gz ZHHAFNYDKBNXNM-VIFPVBQESA-N 0 0 295.188 2.960 20 5 CFBDRN CCn1nc(C)cc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000133644716 646201554 /nfs/dbraw/zinc/20/15/54/646201554.db2.gz RGIFZXVAEPAXOC-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N(C)CCCF)cc1[N+](=O)[O-] ZINC000884191425 646257813 /nfs/dbraw/zinc/25/78/13/646257813.db2.gz DAGDTTSTOPAOAX-LLVKDONJSA-N 0 0 297.330 2.965 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nccs2)cc1F ZINC000134149686 646290546 /nfs/dbraw/zinc/29/05/46/646290546.db2.gz BVPIGINLYPZOQH-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000134219906 646307086 /nfs/dbraw/zinc/30/70/86/646307086.db2.gz AYOITMUGUPDPHB-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000134219156 646307166 /nfs/dbraw/zinc/30/71/66/646307166.db2.gz GGSBVVIFFHIVDA-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN O=C(NCc1ccoc1)c1cc([N+](=O)[O-])ccc1Cl ZINC000134509483 646354214 /nfs/dbraw/zinc/35/42/14/646354214.db2.gz NEYOFZDMJNCGAU-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN Nc1cccc(-c2noc(-c3cccc([N+](=O)[O-])c3)n2)c1 ZINC000134616457 646371729 /nfs/dbraw/zinc/37/17/29/646371729.db2.gz WAAJYSZOAPCCJB-UHFFFAOYSA-N 0 0 282.259 2.894 20 5 CFBDRN CN(Cc1ccc(Cl)c(F)c1)Cn1cc([N+](=O)[O-])cn1 ZINC000134814141 646388996 /nfs/dbraw/zinc/38/89/96/646388996.db2.gz XHCCWXRGIKCZLE-UHFFFAOYSA-N 0 0 298.705 2.673 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2[C@@H]3COC[C@@H]32)cccc1C(F)(F)F ZINC000884494449 646398594 /nfs/dbraw/zinc/39/85/94/646398594.db2.gz SVSGPOLJZLIGSD-MTBHXBHISA-N 0 0 288.225 2.670 20 5 CFBDRN CCOc1cccc(N2CCC(C(C)=O)CC2)c1[N+](=O)[O-] ZINC000134892750 646401623 /nfs/dbraw/zinc/40/16/23/646401623.db2.gz UWJWLZYUNCOSRT-UHFFFAOYSA-N 0 0 292.335 2.799 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N3CC[C@@H](F)C3)c2c1 ZINC000884517985 646414798 /nfs/dbraw/zinc/41/47/98/646414798.db2.gz BEKYLNKFGXAUFI-SECBINFHSA-N 0 0 291.282 2.700 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])c3ccccc32)CO1 ZINC000884543355 646434657 /nfs/dbraw/zinc/43/46/57/646434657.db2.gz BQJGPRYPIARXMB-ZJUUUORDSA-N 0 0 273.292 2.732 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N3CC[C@H]4C[C@H]4C3)c2c1 ZINC000884558758 646448953 /nfs/dbraw/zinc/44/89/53/646448953.db2.gz CYECUTMBKQFOTA-QWRGUYRKSA-N 0 0 299.330 2.998 20 5 CFBDRN CN(CC1(C)COC1)c1ncc([N+](=O)[O-])c2ccccc21 ZINC000884564502 646454849 /nfs/dbraw/zinc/45/48/49/646454849.db2.gz IRUJFMOQRAZBLC-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@H]1C[C@@H]1C1CCCC1 ZINC000884567760 646457397 /nfs/dbraw/zinc/45/73/97/646457397.db2.gz RCFOSJIKUKTPAL-OLZOCXBDSA-N 0 0 290.319 2.859 20 5 CFBDRN C[C@H](CC(=O)OCc1cccnc1[N+](=O)[O-])c1ccco1 ZINC000884589951 646474157 /nfs/dbraw/zinc/47/41/57/646474157.db2.gz MQGKLMAVWDGYIC-SNVBAGLBSA-N 0 0 290.275 2.820 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1C[C@@H]2C[C@@H]2C1 ZINC000884591037 646474685 /nfs/dbraw/zinc/47/46/85/646474685.db2.gz KLGWSJGXCSPHLT-PHIMTYICSA-N 0 0 255.277 2.599 20 5 CFBDRN C[C@H](CC(=O)OCc1cccnc1[N+](=O)[O-])C(F)(F)F ZINC000884599681 646479650 /nfs/dbraw/zinc/47/96/50/646479650.db2.gz IMTJYZQFEYZORF-SSDOTTSWSA-N 0 0 292.213 2.622 20 5 CFBDRN Cc1cc(NC[C@@H]2CC3(CCC3)CO2)ncc1[N+](=O)[O-] ZINC000884661981 646514680 /nfs/dbraw/zinc/51/46/80/646514680.db2.gz DZRHLNNGNYKTFQ-NSHDSACASA-N 0 0 277.324 2.669 20 5 CFBDRN COc1cc(NC[C@H]2CC3(CCC3)CO2)ccc1[N+](=O)[O-] ZINC000884662982 646515873 /nfs/dbraw/zinc/51/58/73/646515873.db2.gz CBDYDXLDMCTXRI-GFCCVEGCSA-N 0 0 292.335 2.975 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@H]1CC(C)(C)CO1 ZINC000884675941 646523414 /nfs/dbraw/zinc/52/34/14/646523414.db2.gz VXIPOMCTSJJWFO-NSHDSACASA-N 0 0 296.371 2.737 20 5 CFBDRN CC1(C)CO[C@H](CCNc2ncc([N+](=O)[O-])cc2F)C1 ZINC000884675222 646523421 /nfs/dbraw/zinc/52/34/21/646523421.db2.gz WLHLVKVRFJZKDY-SNVBAGLBSA-N 0 0 283.303 2.746 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1ccsc1 ZINC000135370573 646526438 /nfs/dbraw/zinc/52/64/38/646526438.db2.gz ZCDUDALFWQJUDJ-UHFFFAOYSA-N 0 0 296.279 2.813 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000884730249 646543158 /nfs/dbraw/zinc/54/31/58/646543158.db2.gz FDCBALVKNSXWBI-MWLCHTKSSA-N 0 0 268.338 2.662 20 5 CFBDRN COc1cc(N2CC[C@H]([C@@H]3CCCO3)C2)ccc1[N+](=O)[O-] ZINC000884732576 646545037 /nfs/dbraw/zinc/54/50/37/646545037.db2.gz QDDJCHNWFBHOCB-FZMZJTMJSA-N 0 0 292.335 2.609 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000884743131 646548013 /nfs/dbraw/zinc/54/80/13/646548013.db2.gz WEGICNFGGNGKGH-GWCFXTLKSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(C3(O)CCC3)CCC2)s1 ZINC000884808108 646553803 /nfs/dbraw/zinc/55/38/03/646553803.db2.gz OIDLDQXYOBWCFA-UHFFFAOYSA-N 0 0 283.353 2.549 20 5 CFBDRN Cc1cc(N[C@@H](CCO)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000884832926 646556327 /nfs/dbraw/zinc/55/63/27/646556327.db2.gz CGQFJFHJCFWRAZ-JTQLQIEISA-N 0 0 296.220 2.768 20 5 CFBDRN Cc1ccc(N[C@@H](CCO)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000884837991 646557569 /nfs/dbraw/zinc/55/75/69/646557569.db2.gz OBEBKBUEBPSMEQ-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN CN(CCCF)c1nccc2c1cccc2[N+](=O)[O-] ZINC000884856576 646560719 /nfs/dbraw/zinc/56/07/19/646560719.db2.gz HBXQDASJCZGNTA-UHFFFAOYSA-N 0 0 263.272 2.939 20 5 CFBDRN C[C@@H](O)CCN(C)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000135626707 646562137 /nfs/dbraw/zinc/56/21/37/646562137.db2.gz FSPSMEZPICNRST-MRVPVSSYSA-N 0 0 274.267 2.740 20 5 CFBDRN Cc1ncc(CC(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000885340276 646665836 /nfs/dbraw/zinc/66/58/36/646665836.db2.gz PXXZBWFAXWAXMC-SECBINFHSA-N 0 0 290.275 2.738 20 5 CFBDRN Cc1cc([C@H]2CCCN2Cc2cccnc2[N+](=O)[O-])no1 ZINC000885940394 646775707 /nfs/dbraw/zinc/77/57/07/646775707.db2.gz IFXFSOVRKATPOV-CYBMUJFWSA-N 0 0 288.307 2.623 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NCC1CCC=CCC1 ZINC000886020184 646822357 /nfs/dbraw/zinc/82/23/57/646822357.db2.gz SOWOHMURMXJJQK-UHFFFAOYSA-N 0 0 289.335 2.653 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC1CCC=CCC1 ZINC000886020234 646822913 /nfs/dbraw/zinc/82/29/13/646822913.db2.gz VLNLXSSFNYFOGA-UHFFFAOYSA-N 0 0 288.347 3.000 20 5 CFBDRN O=C(NCC1CCC=CCC1)c1ccc([N+](=O)[O-])o1 ZINC000886025059 646825910 /nfs/dbraw/zinc/82/59/10/646825910.db2.gz SHGAAWOTCSWBPP-UHFFFAOYSA-N 0 0 264.281 2.664 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN(CC(F)F)CC1CCC1 ZINC000886094665 646865514 /nfs/dbraw/zinc/86/55/14/646865514.db2.gz XBNWZKRQQIFUBZ-UHFFFAOYSA-N 0 0 285.294 2.857 20 5 CFBDRN CC1(C)CN(Cc2cccnc2[N+](=O)[O-])[C@@H]1c1ccncc1 ZINC000886288531 646954912 /nfs/dbraw/zinc/95/49/12/646954912.db2.gz BCPCOMAKRKFSKM-CQSZACIVSA-N 0 0 298.346 2.968 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1C[C@@H]2CCC[C@@H]2C1 ZINC000890269873 647014458 /nfs/dbraw/zinc/01/44/58/647014458.db2.gz YGOICXSNAMLOOH-PHIMTYICSA-N 0 0 292.310 2.914 20 5 CFBDRN CCOCCCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404841 647304627 /nfs/dbraw/zinc/30/46/27/647304627.db2.gz NBGSYVAMHQZUMX-LBPRGKRZSA-N 0 0 294.351 2.631 20 5 CFBDRN CSCCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404706 647304696 /nfs/dbraw/zinc/30/46/96/647304696.db2.gz KHBMCPYYNZNRMK-JTQLQIEISA-N 0 0 282.365 2.568 20 5 CFBDRN CCCSCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404943 647305064 /nfs/dbraw/zinc/30/50/64/647305064.db2.gz PNLLLWYCDRSKQP-NSHDSACASA-N 0 0 296.392 2.958 20 5 CFBDRN CCn1nccc1NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000887703640 647393727 /nfs/dbraw/zinc/39/37/27/647393727.db2.gz ARHSUEABUJASJG-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CC1(C)CO[C@H](CC(=O)Nc2cc([N+](=O)[O-])ccc2F)C1 ZINC000887730529 647402068 /nfs/dbraw/zinc/40/20/68/647402068.db2.gz VHCGIRHVMICABJ-SNVBAGLBSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H](C)CO1 ZINC000887732045 647402086 /nfs/dbraw/zinc/40/20/86/647402086.db2.gz FKLKNXQTHYSPRV-OQPBUACISA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2ccccn2)c1C ZINC000887893218 647433183 /nfs/dbraw/zinc/43/31/83/647433183.db2.gz ZCKDJSZLIIDGBV-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCN(C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N)C1CC1 ZINC000887933825 647440461 /nfs/dbraw/zinc/44/04/61/647440461.db2.gz GYNUNNRJEIQILX-UHFFFAOYSA-N 0 0 299.330 2.955 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@H](C(F)(F)F)C1 ZINC000888002501 647460127 /nfs/dbraw/zinc/46/01/27/647460127.db2.gz OOVZZQDKHKXHAT-QMMMGPOBSA-N 0 0 288.225 2.619 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)C2CCC2)c1C ZINC000889242767 647525047 /nfs/dbraw/zinc/52/50/47/647525047.db2.gz BXBDNCGCEPOKLH-UHFFFAOYSA-N 0 0 262.309 2.836 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCOC2CCC2)c1C ZINC000889264925 647530024 /nfs/dbraw/zinc/53/00/24/647530024.db2.gz KZTLNSXLGSKEOF-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2C[C@@H](C)CO2)cc1[N+](=O)[O-] ZINC000889371267 647551727 /nfs/dbraw/zinc/55/17/27/647551727.db2.gz KLNLXEXLWBNWFT-OLUVUFQESA-N 0 0 292.335 2.505 20 5 CFBDRN COC(C)(C)CN(C)C(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000889493452 647583766 /nfs/dbraw/zinc/58/37/66/647583766.db2.gz RYNPCMADOIXZGI-UHFFFAOYSA-N 0 0 294.351 2.709 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000889585787 647604937 /nfs/dbraw/zinc/60/49/37/647604937.db2.gz WVAZESQDAHGGLP-SUZMYJTESA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1c(C(=O)Nc2ccnn2C2CC2)cccc1[N+](=O)[O-] ZINC000889695197 647654886 /nfs/dbraw/zinc/65/48/86/647654886.db2.gz FBDZSLJYKSHGOE-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN Cc1cc(C(=O)Nc2ccnn2C2CC2)ccc1[N+](=O)[O-] ZINC000889695618 647655993 /nfs/dbraw/zinc/65/59/93/647655993.db2.gz TYEPXJVYRVMTSW-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN O=C(N1CCc2c(cccc2[N+](=O)[O-])C1)C1(C2CC2)CC1 ZINC000889729501 647664175 /nfs/dbraw/zinc/66/41/75/647664175.db2.gz MNWJDPHZXRGUGF-UHFFFAOYSA-N 0 0 286.331 2.670 20 5 CFBDRN O=C(Nc1ccnn1C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000890347300 647781133 /nfs/dbraw/zinc/78/11/33/647781133.db2.gz HJVOFQGUFOTZPR-UHFFFAOYSA-N 0 0 286.291 2.769 20 5 CFBDRN O=C(Nc1ccnn1C1CCC1)c1ccc([N+](=O)[O-])s1 ZINC000890347455 647781345 /nfs/dbraw/zinc/78/13/45/647781345.db2.gz JDTGBNISPOXWJN-UHFFFAOYSA-N 0 0 292.320 2.830 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000006219675 647855703 /nfs/dbraw/zinc/85/57/03/647855703.db2.gz FVQQTDLLIMGKPN-GFCCVEGCSA-N 0 0 292.247 2.769 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])OC/C=C/Cl ZINC000923965359 647909005 /nfs/dbraw/zinc/90/90/05/647909005.db2.gz NGINZHNMVCEFKM-LZCJLJQNSA-N 0 0 287.674 2.962 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000924036524 647915329 /nfs/dbraw/zinc/91/53/29/647915329.db2.gz QSKUDOYDZLXGSM-LLHIFLOGSA-N 0 0 292.310 2.756 20 5 CFBDRN CSCCOC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000924240590 647945990 /nfs/dbraw/zinc/94/59/90/647945990.db2.gz MULNLRWOKQLUTR-UHFFFAOYSA-N 0 0 289.740 2.697 20 5 CFBDRN C[C@@H](NC(=O)N1CCC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000925236130 648138426 /nfs/dbraw/zinc/13/84/26/648138426.db2.gz FMXORBSUJMYWRD-SNVBAGLBSA-N 0 0 277.324 2.850 20 5 CFBDRN C[C@@H](NC(=O)N1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000925241931 648139501 /nfs/dbraw/zinc/13/95/01/648139501.db2.gz XHTNRUWKRULGAR-SECBINFHSA-N 0 0 299.277 2.706 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)NC1CCC=CCC1 ZINC000925393386 648161465 /nfs/dbraw/zinc/16/14/65/648161465.db2.gz OOUBKZIUKNPMIB-UHFFFAOYSA-N 0 0 293.298 2.545 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000925889032 648357146 /nfs/dbraw/zinc/35/71/46/648357146.db2.gz OUYOZEVDGFTHHP-UHFFFAOYSA-N 0 0 284.337 2.551 20 5 CFBDRN Cc1cc(C(=O)N=[S@](C)(=O)C(C)C)ccc1[N+](=O)[O-] ZINC000926076941 648400304 /nfs/dbraw/zinc/40/03/04/648400304.db2.gz DOGNLMIVIFNRGZ-LJQANCHMSA-N 0 0 284.337 2.550 20 5 CFBDRN O=C(NCC[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000927426983 648775372 /nfs/dbraw/zinc/77/53/72/648775372.db2.gz XFGPWGNRKKYBOX-OCCSQVGLSA-N 0 0 274.320 2.761 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@]12C[C@H]1CCCC2 ZINC000927460223 648783529 /nfs/dbraw/zinc/78/35/29/648783529.db2.gz IJFZXHYIEKNMMD-ABAIWWIYSA-N 0 0 289.335 2.608 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1O[C@H](C)C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000928244960 648886761 /nfs/dbraw/zinc/88/67/61/648886761.db2.gz HVYYFWXRZLYCID-BIAAXOCRSA-N 0 0 292.335 2.586 20 5 CFBDRN COCC(C)(C)CC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245442 648887910 /nfs/dbraw/zinc/88/79/10/648887910.db2.gz PZQSZPHBPZNOTP-LLVKDONJSA-N 0 0 294.351 2.835 20 5 CFBDRN Cc1ccc(CC(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000928247227 648888556 /nfs/dbraw/zinc/88/85/56/648888556.db2.gz RBNYAGRGUGTISX-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN CO[C@H](C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000928247099 648888599 /nfs/dbraw/zinc/88/85/99/648888599.db2.gz PMZTWPDIDXNHFZ-YGRLFVJLSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H](NC(=O)C(C)(Cl)Cl)c1ccc([N+](=O)[O-])cc1 ZINC000928250211 648889773 /nfs/dbraw/zinc/88/97/73/648889773.db2.gz ZWFUBWXKYICVTR-SSDOTTSWSA-N 0 0 291.134 2.966 20 5 CFBDRN C[C@@H](NC(=O)C1SCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000928249904 648889826 /nfs/dbraw/zinc/88/98/26/648889826.db2.gz WHFJOIMOXLUBCR-MRVPVSSYSA-N 0 0 298.389 2.578 20 5 CFBDRN COc1c(C(=O)NC(C)(C)/C=C\Cl)cccc1[N+](=O)[O-] ZINC000928926069 648966467 /nfs/dbraw/zinc/96/64/67/648966467.db2.gz BVQWKOFRYVGOGS-FPLPWBNLSA-N 0 0 298.726 2.864 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000929117836 648982956 /nfs/dbraw/zinc/98/29/56/648982956.db2.gz FONLSJKHWBVQPZ-YPMHNXCESA-N 0 0 293.323 2.544 20 5 CFBDRN O=C(C[C@@H]1CC[C@H](C2CC2)O1)Nc1cccc([N+](=O)[O-])c1 ZINC000929134265 648987096 /nfs/dbraw/zinc/98/70/96/648987096.db2.gz ULOFQAIXEDBNBB-UONOGXRCSA-N 0 0 290.319 2.881 20 5 CFBDRN CCCCNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929150882 648990587 /nfs/dbraw/zinc/99/05/87/648990587.db2.gz WAMNUGSYBBHLRP-QWHCGFSZSA-N 0 0 262.309 2.615 20 5 CFBDRN CCCCNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929150883 648990862 /nfs/dbraw/zinc/99/08/62/648990862.db2.gz WAMNUGSYBBHLRP-STQMWFEESA-N 0 0 262.309 2.615 20 5 CFBDRN CC(C)CCNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929159939 648991606 /nfs/dbraw/zinc/99/16/06/648991606.db2.gz SUJAEPJRNFIHTL-ZIAGYGMSSA-N 0 0 276.336 2.861 20 5 CFBDRN Cc1cc(CCC(=O)Nc2cccc([N+](=O)[O-])c2C)no1 ZINC000929227427 649008435 /nfs/dbraw/zinc/00/84/35/649008435.db2.gz GBDSGBBOKBSFEA-UHFFFAOYSA-N 0 0 289.291 2.771 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)no1 ZINC000929460761 649053819 /nfs/dbraw/zinc/05/38/19/649053819.db2.gz CCOCLZBVPTZATR-NWDGAFQWSA-N 0 0 287.275 2.633 20 5 CFBDRN O=[N+]([O-])CCN1CCc2c(Cl)c(Cl)ccc2C1 ZINC000929481840 649057753 /nfs/dbraw/zinc/05/77/53/649057753.db2.gz VJRHZTFSMBJFKZ-UHFFFAOYSA-N 0 0 275.135 2.628 20 5 CFBDRN CC(C)[C@H]1C[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCO1 ZINC000929498011 649061361 /nfs/dbraw/zinc/06/13/61/649061361.db2.gz NCDOGKGSIJHLIX-SMDDNHRTSA-N 0 0 293.319 2.951 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@]12CCO[C@@H]1CCCC2 ZINC000929498177 649061396 /nfs/dbraw/zinc/06/13/96/649061396.db2.gz PYAOHQKVMNAMFY-UKRRQHHQSA-N 0 0 291.303 2.850 20 5 CFBDRN COC/C(C)=C/CN1CCc2c1cccc2[N+](=O)[O-] ZINC000930090021 649182587 /nfs/dbraw/zinc/18/25/87/649182587.db2.gz KOQZQRLPPOIOLE-IZZDOVSWSA-N 0 0 262.309 2.550 20 5 CFBDRN COC/C(C)=C/CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000930099242 649183947 /nfs/dbraw/zinc/18/39/47/649183947.db2.gz KBPQYUCZUJKQBK-WUXMJOGZSA-N 0 0 276.336 2.546 20 5 CFBDRN O=[N+]([O-])CCN[C@H](COc1ccccc1)c1ccccc1 ZINC000930219148 649208333 /nfs/dbraw/zinc/20/83/33/649208333.db2.gz GKIMAFMONXUFEF-MRXNPFEDSA-N 0 0 286.331 2.673 20 5 CFBDRN CC1(C(=O)NCc2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000930275200 649220360 /nfs/dbraw/zinc/22/03/60/649220360.db2.gz JDUCSGKJQDFCOW-UHFFFAOYSA-N 0 0 260.293 2.567 20 5 CFBDRN CCCOc1ccc(C(C)(C)[NH2+]CC[N+](=O)[O-])cc1 ZINC000930302696 649225657 /nfs/dbraw/zinc/22/56/57/649225657.db2.gz PDZFHMXNTPZAOJ-UHFFFAOYSA-N 0 0 266.341 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC(OC(C)C)C1 ZINC000930882850 649342706 /nfs/dbraw/zinc/34/27/06/649342706.db2.gz QTVSQZNSCYNDDD-UHFFFAOYSA-N 0 0 293.323 2.544 20 5 CFBDRN COc1cc(CN2CC[C@@H]2C(F)F)c([N+](=O)[O-])cc1F ZINC000931425721 649424126 /nfs/dbraw/zinc/42/41/26/649424126.db2.gz PUCCSGFLVWHKFC-SECBINFHSA-N 0 0 290.241 2.582 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931452372 649430184 /nfs/dbraw/zinc/43/01/84/649430184.db2.gz JHOWYLQQKBYERK-QWRGUYRKSA-N 0 0 286.335 2.998 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1Cc2c[nH]nc2[C@H](C)C1 ZINC000931458565 649431919 /nfs/dbraw/zinc/43/19/19/649431919.db2.gz IHFVFAWATINNNX-GHMZBOCLSA-N 0 0 286.335 2.998 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC(F)F ZINC000931933329 649514890 /nfs/dbraw/zinc/51/48/90/649514890.db2.gz JAPJZRZTCSOEIM-UHFFFAOYSA-N 0 0 290.291 2.654 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000932060035 649530322 /nfs/dbraw/zinc/53/03/22/649530322.db2.gz YVQOACGSKAWQKA-MFKMUULPSA-N 0 0 294.326 2.971 20 5 CFBDRN CSC[C@H](C)NC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933175657 649677823 /nfs/dbraw/zinc/67/78/23/649677823.db2.gz AYSQUBDJISSGBR-BIMULSAOSA-N 0 0 294.376 2.566 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N[C@H]1CC=CCC1 ZINC000933183531 649678248 /nfs/dbraw/zinc/67/82/48/649678248.db2.gz RBRXBMZSOSOXDU-ZDUSSCGKSA-N 0 0 292.310 2.891 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@@H]2CC2CC2)cs1 ZINC000933212416 649679840 /nfs/dbraw/zinc/67/98/40/649679840.db2.gz GVEBBUFAGWSRDP-LBPRGKRZSA-N 0 0 282.365 2.657 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOC[C@H]2CC2CC2)c1 ZINC000933213468 649679983 /nfs/dbraw/zinc/67/99/83/649679983.db2.gz NUWIDDWEDHBVPP-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN CC(C)OC(=O)C(C)(C)NCc1ccc(F)cc1[N+](=O)[O-] ZINC000934821154 649834685 /nfs/dbraw/zinc/83/46/85/649834685.db2.gz TYKPZVYIWALRHD-UHFFFAOYSA-N 0 0 298.314 2.554 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)Oc2cccc(F)c2)cc1 ZINC000016090468 651238389 /nfs/dbraw/zinc/23/83/89/651238389.db2.gz WPHFZGFPVOHMSU-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN CCC(C)(CC)NS(=O)(=O)c1csc([N+](=O)[O-])c1 ZINC000119759852 651300496 /nfs/dbraw/zinc/30/04/96/651300496.db2.gz IXNFPHPQGPUGPZ-UHFFFAOYSA-N 0 0 292.382 2.513 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N2C[C@@H](C)OC[C@H]2C)n1 ZINC001155417620 782287566 /nfs/dbraw/zinc/28/75/66/782287566.db2.gz KGODHRNDWPBYCH-RKDXNWHRSA-N 0 0 285.731 2.565 20 5 CFBDRN C[C@H](O)CCCOc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000690699427 770241036 /nfs/dbraw/zinc/24/10/36/770241036.db2.gz WMJLJHOJGVCCBQ-ZETCQYMHSA-N 0 0 277.679 2.927 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC[C@H](CF)C1 ZINC000711506595 781449355 /nfs/dbraw/zinc/44/93/55/781449355.db2.gz FLUMSDLIUSHOFU-MRVPVSSYSA-N 0 0 286.690 2.680 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(c3ccccc3)C2)c(F)c1 ZINC001167063896 769887414 /nfs/dbraw/zinc/88/74/14/769887414.db2.gz VHAUTXSXBDYQFR-UHFFFAOYSA-N 0 0 273.267 2.733 20 5 CFBDRN CO[C@H](CNc1nc(C)ccc1[N+](=O)[O-])C1CCCC1 ZINC000692975971 769947926 /nfs/dbraw/zinc/94/79/26/769947926.db2.gz QRIVGYQTGUCOIP-CYBMUJFWSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1nc(Cl)c([N+](=O)[O-])c(N(C)C[C@H]2CC2(C)C)n1 ZINC001167090530 769970388 /nfs/dbraw/zinc/97/03/88/769970388.db2.gz CAWXGWCHGZHPGP-MRVPVSSYSA-N 0 0 284.747 2.829 20 5 CFBDRN CCC(CC)N(CC)c1nc(OC)nc(C)c1[N+](=O)[O-] ZINC001167111678 770036897 /nfs/dbraw/zinc/03/68/97/770036897.db2.gz VNAYCGQCANCKPA-UHFFFAOYSA-N 0 0 282.344 2.717 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cc3n(n2)CC2(CC2)CO3)c1 ZINC001204450583 770114556 /nfs/dbraw/zinc/11/45/56/770114556.db2.gz QTXCZWGSRHZQGP-UHFFFAOYSA-N 0 0 286.291 2.708 20 5 CFBDRN Cc1ncc(Nc2ccc(N)c([N+](=O)[O-])c2)s1 ZINC001209935208 770165688 /nfs/dbraw/zinc/16/56/88/770165688.db2.gz RBIXITOXFZWDHQ-UHFFFAOYSA-N 0 0 250.283 2.686 20 5 CFBDRN C[C@H]1CCC[C@@H](CCOC(=O)Cn2cc([N+](=O)[O-])cn2)C1 ZINC000177133114 770241505 /nfs/dbraw/zinc/24/15/05/770241505.db2.gz IABIKZQCPSLIKA-RYUDHWBXSA-N 0 0 295.339 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1cncnc1Cl ZINC001210443345 770285044 /nfs/dbraw/zinc/28/50/44/770285044.db2.gz CIVRTPLAOZADKY-UHFFFAOYSA-N 0 0 280.671 2.790 20 5 CFBDRN Cc1nc(CO[C@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC001210603936 770338131 /nfs/dbraw/zinc/33/81/31/770338131.db2.gz ZUSBVFSZNRUTOG-MRVPVSSYSA-N 0 0 263.253 2.564 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC/C=C/Cl ZINC000891202992 770355573 /nfs/dbraw/zinc/35/55/73/770355573.db2.gz YQTQKYJVDDUNGC-ONEGZZNKSA-N 0 0 268.700 2.694 20 5 CFBDRN Cc1c[nH]c(=O)c(Nc2ccc(F)cc2[N+](=O)[O-])c1 ZINC001210952174 770404185 /nfs/dbraw/zinc/40/41/85/770404185.db2.gz ZLHIHZRYPZCXEG-UHFFFAOYSA-N 0 0 263.228 2.887 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Nc1nccnc1Cl ZINC001210950657 770404327 /nfs/dbraw/zinc/40/43/27/770404327.db2.gz ZNZDGJISDWFXSR-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN C[C@@H](NC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1(C)CC1 ZINC000706565217 770421323 /nfs/dbraw/zinc/42/13/23/770421323.db2.gz JHTXLRQHNPSOBK-SSDOTTSWSA-N 0 0 297.742 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1ccc2c(c1)CCNC2=O ZINC001211290206 770476392 /nfs/dbraw/zinc/47/63/92/770476392.db2.gz STHRCKMJRYPTMQ-UHFFFAOYSA-N 0 0 297.314 2.933 20 5 CFBDRN C/C=C/COC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000126470492 770541794 /nfs/dbraw/zinc/54/17/94/770541794.db2.gz NDOQLCATBGMAHU-NSCUHMNNSA-N 0 0 278.308 2.906 20 5 CFBDRN Cc1cc(C(=O)N2CCc3cccnc32)ccc1[N+](=O)[O-] ZINC001266788525 770612040 /nfs/dbraw/zinc/61/20/40/770612040.db2.gz SDCZXEWCCKMBJA-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN C[C@H](Nc1cccnc1[N+](=O)[O-])c1ccc(F)cn1 ZINC001167483622 770646950 /nfs/dbraw/zinc/64/69/50/770646950.db2.gz UYNBQPCPXNXPEE-QMMMGPOBSA-N 0 0 262.244 2.697 20 5 CFBDRN COC[C@H](C)COC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588485073 770809141 /nfs/dbraw/zinc/80/91/41/770809141.db2.gz MSCUIUDHJSXXFT-LCFDYFRESA-N 0 0 293.319 2.824 20 5 CFBDRN CC(=O)CNc1cc(Cl)ccc1/C=C/[N+](=O)[O-] ZINC001167629995 773199608 /nfs/dbraw/zinc/19/96/08/773199608.db2.gz USOSKHLGYPXJEV-SNAWJCMRSA-N 0 0 254.673 2.588 20 5 CFBDRN Cc1ccc(Nc2c(N)ncnc2Cl)cc1[N+](=O)[O-] ZINC001213659950 773204235 /nfs/dbraw/zinc/20/42/35/773204235.db2.gz IPGPAMUAWRPYIF-UHFFFAOYSA-N 0 0 279.687 2.672 20 5 CFBDRN CCOC(=O)[C@@H](C)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001204600176 773212752 /nfs/dbraw/zinc/21/27/52/773212752.db2.gz WLEVMKIKFYGTRD-VHSXEESVSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC001224096681 775100954 /nfs/dbraw/zinc/10/09/54/775100954.db2.gz NVMYMKUODZZMEI-RBZYPMLTSA-N 0 0 290.275 2.984 20 5 CFBDRN Cc1cn2c(n1)[C@@H](OCc1ccc([N+](=O)[O-])nc1)CCC2 ZINC001224114690 775103573 /nfs/dbraw/zinc/10/35/73/775103573.db2.gz PLFXRKMHHYMBTJ-LBPRGKRZSA-N 0 0 288.307 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@H]2CCc3cccnc32)cn1 ZINC001224113398 775103651 /nfs/dbraw/zinc/10/36/51/775103651.db2.gz HSMZXOJZPCFFAW-LBPRGKRZSA-N 0 0 271.276 2.589 20 5 CFBDRN Cc1cnc(COC[C@@H]2CCO[C@@H]2C)c(C)c1[N+](=O)[O-] ZINC001224183777 775121360 /nfs/dbraw/zinc/12/13/60/775121360.db2.gz QLLHAKXIEUVZBA-NEPJUHHUSA-N 0 0 280.324 2.548 20 5 CFBDRN Cc1cnc(CO[C@@H](C)c2cncnc2)c(C)c1[N+](=O)[O-] ZINC001224185868 775122813 /nfs/dbraw/zinc/12/28/13/775122813.db2.gz RPCCWCLVWIUSDL-NSHDSACASA-N 0 0 288.307 2.674 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2N)C1 ZINC000721527695 775128804 /nfs/dbraw/zinc/12/88/04/775128804.db2.gz FJPALHGXGBOPFM-SCZZXKLOSA-N 0 0 264.281 2.522 20 5 CFBDRN C[C@@H](OCCc1ccc([N+](=O)[O-])cc1)c1ncccn1 ZINC001224328890 775157678 /nfs/dbraw/zinc/15/76/78/775157678.db2.gz UVRCQMZCXCJXRO-LLVKDONJSA-N 0 0 273.292 2.705 20 5 CFBDRN CCCOC[C@@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224331362 775158985 /nfs/dbraw/zinc/15/89/85/775158985.db2.gz PLLOWKOZKAGHCF-GFCCVEGCSA-N 0 0 267.325 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO[C@@H]2COc3cnccc32)cc1 ZINC001224333329 775160411 /nfs/dbraw/zinc/16/04/11/775160411.db2.gz FCJAHSRVCHDRGX-OAHLLOKOSA-N 0 0 286.287 2.683 20 5 CFBDRN C[C@H](OCC1CC2(C1)OCCO2)c1cccc([N+](=O)[O-])c1 ZINC001224631894 775232387 /nfs/dbraw/zinc/23/23/87/775232387.db2.gz RTQQKSIAJFZDQJ-NSHDSACASA-N 0 0 293.319 2.826 20 5 CFBDRN CC[C@@H](C)CO[C@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001224668449 775242198 /nfs/dbraw/zinc/24/21/98/775242198.db2.gz SNSWDJFNTQNYDT-QMTHXVAHSA-N 0 0 281.308 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCO[C@@H]2C[C@H]3C=C[C@@H]2C3)cc1 ZINC001224777106 775270880 /nfs/dbraw/zinc/27/08/80/775270880.db2.gz LJTCGEOXZBZJFM-YWPYICTPSA-N 0 0 275.304 2.955 20 5 CFBDRN O=[N+]([O-])C[C@H](OCC1=CCCCC1)c1cccnc1 ZINC001225000142 775330231 /nfs/dbraw/zinc/33/02/31/775330231.db2.gz DUIHKRJZAPKKKF-AWEZNQCLSA-N 0 0 262.309 2.916 20 5 CFBDRN CC(=O)N1CC[C@@H](CO[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC001225014863 775335164 /nfs/dbraw/zinc/33/51/64/775335164.db2.gz SWPASWZKOUYWMT-DGCLKSJQSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1ccccc1OCCCO[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225014656 775336701 /nfs/dbraw/zinc/33/67/01/775336701.db2.gz MYPJQSXXCYXREX-HNNXBMFYSA-N 0 0 279.336 2.836 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(F)cccc1F)c1cccnc1 ZINC001225207893 775366423 /nfs/dbraw/zinc/36/64/23/775366423.db2.gz RYPCDUBOHNPZLL-GFCCVEGCSA-N 0 0 280.230 2.757 20 5 CFBDRN COc1ccccc1O[C@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001225214655 775368165 /nfs/dbraw/zinc/36/81/65/775368165.db2.gz HSJHJOWKHCKWCO-SNVBAGLBSA-N 0 0 291.307 2.576 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(F)cc(F)c1)c1cccnc1 ZINC001225226367 775370262 /nfs/dbraw/zinc/37/02/62/775370262.db2.gz ODGYFUBXVFBSDX-CYBMUJFWSA-N 0 0 280.230 2.757 20 5 CFBDRN Cc1cccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001225241042 775376401 /nfs/dbraw/zinc/37/64/01/775376401.db2.gz HQHGOEHQXZXFRK-AWEZNQCLSA-N 0 0 258.277 2.787 20 5 CFBDRN Cc1cccc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)n1 ZINC001225274971 775387517 /nfs/dbraw/zinc/38/75/17/775387517.db2.gz AHFFITUZXZMMDY-AWEZNQCLSA-N 0 0 270.288 2.844 20 5 CFBDRN COc1ccc(C)cc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225324203 775410757 /nfs/dbraw/zinc/41/07/57/775410757.db2.gz XSGWIHPZFBHQNP-HNNXBMFYSA-N 0 0 288.303 2.795 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc(F)c([N+](=O)[O-])c2)=C1C ZINC001225381931 775437084 /nfs/dbraw/zinc/43/70/84/775437084.db2.gz ISXIPFGIYWIMCK-NSHDSACASA-N 0 0 281.239 2.722 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385957 775438481 /nfs/dbraw/zinc/43/84/81/775438481.db2.gz VHASMFGSFVISOS-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@H](Oc1c(Cl)nccc1[N+](=O)[O-])c1cncnc1 ZINC001225432019 775454353 /nfs/dbraw/zinc/45/43/53/775454353.db2.gz XYLQPDGAVBAGFK-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])c(OC)c2)=C1C ZINC001225436313 775456091 /nfs/dbraw/zinc/45/60/91/775456091.db2.gz RISUXGIVRZMGQO-LLVKDONJSA-N 0 0 293.275 2.592 20 5 CFBDRN COc1cc(O[C@H](C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC001225435904 775456188 /nfs/dbraw/zinc/45/61/88/775456188.db2.gz NTHSURDMLLQLKU-SNVBAGLBSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1ccnc2c(O[C@H](C[N+](=O)[O-])C3CC3)cccc12 ZINC001225496959 775482033 /nfs/dbraw/zinc/48/20/33/775482033.db2.gz XBWJNJAASYHLCV-CQSZACIVSA-N 0 0 272.304 2.977 20 5 CFBDRN O=C1CCC(Oc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC001225574926 775508108 /nfs/dbraw/zinc/50/81/08/775508108.db2.gz ISSGLMPDVREJHZ-UHFFFAOYSA-N 0 0 253.229 2.624 20 5 CFBDRN C[C@@H](COC(C)(C)C)Oc1ncccc1[N+](=O)[O-] ZINC001225589573 775514231 /nfs/dbraw/zinc/51/42/31/775514231.db2.gz BJLWHAVNUOQJDZ-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN C[C@@H](Oc1ncccc1[N+](=O)[O-])c1ncccc1F ZINC001225595434 775516770 /nfs/dbraw/zinc/51/67/70/775516770.db2.gz JUBBXWHPXJWCCK-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H](C)c1cnccn1 ZINC001225614766 775523449 /nfs/dbraw/zinc/52/34/49/775523449.db2.gz DUPHSNPDHOQZIJ-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1cc([N+](=O)[O-])ccc1OC ZINC001225614367 775523573 /nfs/dbraw/zinc/52/35/73/775523573.db2.gz CCVALUYKDKOPDE-NSHDSACASA-N 0 0 297.307 2.714 20 5 CFBDRN CC(C)C[C@H](Oc1cccnc1[N+](=O)[O-])C(=O)OC(C)C ZINC001225628358 775529938 /nfs/dbraw/zinc/52/99/38/775529938.db2.gz JGTRCOLFLJRQTF-LBPRGKRZSA-N 0 0 296.323 2.735 20 5 CFBDRN CC(C)C[C@@H](Oc1ccc([N+](=O)[O-])cn1)C(=O)OC(C)C ZINC001225633688 775533067 /nfs/dbraw/zinc/53/30/67/775533067.db2.gz RPLGQTOHWVWKHZ-GFCCVEGCSA-N 0 0 296.323 2.735 20 5 CFBDRN Cc1cccc(OC2CN(C(C)(C)C)C2)c1[N+](=O)[O-] ZINC001225631901 775533358 /nfs/dbraw/zinc/53/33/58/775533358.db2.gz GFWWRECLBXPPHQ-UHFFFAOYSA-N 0 0 264.325 2.765 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1ccc([N+](=O)[O-])cn1 ZINC001225634593 775534419 /nfs/dbraw/zinc/53/44/19/775534419.db2.gz IXTKIFJODQYGKO-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN O=C1CCCc2cc(O[C@@H](C[N+](=O)[O-])C3CC3)ccc21 ZINC001225636702 775534911 /nfs/dbraw/zinc/53/49/11/775534911.db2.gz AZPWIEIINUJGJN-HNNXBMFYSA-N 0 0 275.304 2.640 20 5 CFBDRN Cc1cc(O[C@H]2Cc3ccncc3[C@H]2C)ncc1[N+](=O)[O-] ZINC001225658373 775545142 /nfs/dbraw/zinc/54/51/42/775545142.db2.gz GRCZDVLHIGXKDJ-YGRLFVJLSA-N 0 0 285.303 2.800 20 5 CFBDRN Cc1cc(O[C@H](C)c2cccnc2)ncc1[N+](=O)[O-] ZINC001225657791 775545804 /nfs/dbraw/zinc/54/58/04/775545804.db2.gz PDWXSBHFXQHQNK-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc(O[C@@H](C)CC(=O)OC(C)(C)C)ncc1[N+](=O)[O-] ZINC001225661887 775547385 /nfs/dbraw/zinc/54/73/85/775547385.db2.gz LUXUTVIVWSHKHR-JTQLQIEISA-N 0 0 296.323 2.797 20 5 CFBDRN C[C@H](Oc1cccc(F)c1[N+](=O)[O-])c1ncccn1 ZINC001225663215 775547771 /nfs/dbraw/zinc/54/77/71/775547771.db2.gz AFGBBXOWASCHPL-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN O=c1cc[nH]c(O[C@@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC001225665475 775548477 /nfs/dbraw/zinc/54/84/77/775548477.db2.gz AKGKUXYPCBSSNM-NWGYLPEXSA-N 0 0 264.281 2.653 20 5 CFBDRN CC[C@@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])C(C)(C)C ZINC001225667333 775548575 /nfs/dbraw/zinc/54/85/75/775548575.db2.gz RGYLENRSVAZFCA-SECBINFHSA-N 0 0 254.286 2.899 20 5 CFBDRN CC1(C)CC(Oc2[nH]ccc(=O)c2[N+](=O)[O-])CC(C)(C)O1 ZINC001225664717 775549344 /nfs/dbraw/zinc/54/93/44/775549344.db2.gz RYSCAWMZFHOOIW-UHFFFAOYSA-N 0 0 296.323 2.810 20 5 CFBDRN C[C@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C[C@]1(C)CO ZINC000694707229 775552915 /nfs/dbraw/zinc/55/29/15/775552915.db2.gz NYIATBZRQCMCAP-IINYFYTJSA-N 0 0 298.770 2.699 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cccc([N+](=O)[O-])c2C)=C1C ZINC001225689299 775560064 /nfs/dbraw/zinc/56/00/64/775560064.db2.gz FDFPKLLHWGKLJH-LLVKDONJSA-N 0 0 277.276 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1O[C@@H]1CCOC[C@@H]1F ZINC001225713837 775569917 /nfs/dbraw/zinc/56/99/17/775569917.db2.gz XLTSKBGHYANRNS-WCBMZHEXSA-N 0 0 275.663 2.754 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1O[C@@H]1C=CCC1 ZINC001225749324 775581870 /nfs/dbraw/zinc/58/18/70/775581870.db2.gz BSEWPEVJMFHMCG-MRVPVSSYSA-N 0 0 285.097 2.850 20 5 CFBDRN COc1ccc(O[C@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC001225769506 775587812 /nfs/dbraw/zinc/58/78/12/775587812.db2.gz MBYUNMOBVKGYGB-ZCFIWIBFSA-N 0 0 265.187 2.933 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)cc1O[C@H]1CCn2ccnc21 ZINC001225776271 775588531 /nfs/dbraw/zinc/58/85/31/775588531.db2.gz ISIZJKUUUHZLLH-JTQLQIEISA-N 0 0 281.218 2.593 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225778712 775590310 /nfs/dbraw/zinc/59/03/10/775590310.db2.gz JTLNYGXINPHKBX-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225785481 775591744 /nfs/dbraw/zinc/59/17/44/775591744.db2.gz CJYQHGWQYDDSSZ-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN CN1CCC[C@H](Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225785675 775592341 /nfs/dbraw/zinc/59/23/41/775592341.db2.gz KCRAMUFNKPFNPF-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2CCOC[C@@H]2F)c1Cl ZINC001225787646 775593406 /nfs/dbraw/zinc/59/34/06/775593406.db2.gz HIKJMNZWMKFIJV-IONNQARKSA-N 0 0 275.663 2.754 20 5 CFBDRN CCC[C@@H](Oc1cccc([N+](=O)[O-])c1F)C(=O)OCC ZINC001225794382 775595898 /nfs/dbraw/zinc/59/58/98/775595898.db2.gz OYDUKHSFPZUASK-LLVKDONJSA-N 0 0 285.271 2.845 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225804686 775598425 /nfs/dbraw/zinc/59/84/25/775598425.db2.gz YCPQMJLRAWAMPG-NSHDSACASA-N 0 0 295.291 2.518 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@H](C)C2CCOCC2)c1 ZINC001225803750 775598672 /nfs/dbraw/zinc/59/86/72/775598672.db2.gz GZTXOOJPFDVHNU-LLVKDONJSA-N 0 0 293.319 2.991 20 5 CFBDRN CCOC[C@H](C)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225803591 775599022 /nfs/dbraw/zinc/59/90/22/775599022.db2.gz FRZJDCRMGMIHEI-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN O=C1CCC(Oc2c([N+](=O)[O-])cc(F)cc2[N+](=O)[O-])CC1 ZINC001225887026 775624004 /nfs/dbraw/zinc/62/40/04/775624004.db2.gz RQPDOVDSZMKYHG-UHFFFAOYSA-N 0 0 298.226 2.533 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cc(F)ccc1F ZINC001225902753 775629400 /nfs/dbraw/zinc/62/94/00/775629400.db2.gz ODFFNZGQUHQUNB-MRVPVSSYSA-N 0 0 297.261 2.845 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225908256 775630917 /nfs/dbraw/zinc/63/09/17/775630917.db2.gz ZQYISNOLRSAVFD-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2COC[C@H]2F)cc1C(F)(F)F ZINC001225914329 775634325 /nfs/dbraw/zinc/63/43/25/775634325.db2.gz RULVFJPAKBYFOG-PSASIEDQSA-N 0 0 295.188 2.729 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1F ZINC001225941227 775642080 /nfs/dbraw/zinc/64/20/80/775642080.db2.gz DTTGVRXZCMAVOO-PWSUYJOCSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(F)c(F)c1F)C1CC1 ZINC001225956842 775647875 /nfs/dbraw/zinc/64/78/75/775647875.db2.gz OLYLIHLOTYMRJG-SECBINFHSA-N 0 0 261.199 2.538 20 5 CFBDRN CC[C@@H](C)O[C@@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001204628865 775652681 /nfs/dbraw/zinc/65/26/81/775652681.db2.gz AWMAJRUHFDEAIF-RNCFNFMXSA-N 0 0 267.281 2.548 20 5 CFBDRN C[C@@H](CON)Oc1ccc(-c2ccc([N+](=O)[O-])cc2)cc1 ZINC001225974569 775653181 /nfs/dbraw/zinc/65/31/81/775653181.db2.gz ZACKPTGCDKLXBA-NSHDSACASA-N 0 0 288.303 2.919 20 5 CFBDRN Cc1c(=O)[nH]cnc1O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001226076776 775690693 /nfs/dbraw/zinc/69/06/93/775690693.db2.gz ORRYNPJHBZVRIH-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1ccnc(O[C@H]2CCC(=O)CC2(C)C)c1[N+](=O)[O-] ZINC001226120957 775707961 /nfs/dbraw/zinc/70/79/61/775707961.db2.gz ZGXCTAXHITUQJS-NSHDSACASA-N 0 0 278.308 2.825 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ncc(Cl)cc1[N+](=O)[O-] ZINC001226131156 775711570 /nfs/dbraw/zinc/71/15/70/775711570.db2.gz CIFZOXRRRBHHIN-QWRGUYRKSA-N 0 0 286.715 2.980 20 5 CFBDRN CC[C@@H](Oc1ccc([N+](=O)[O-])cc1C(N)=O)C(C)(C)C ZINC001226168208 775723191 /nfs/dbraw/zinc/72/31/91/775723191.db2.gz PUSQCHKQZHNKOT-GFCCVEGCSA-N 0 0 280.324 2.897 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCC=CCCC1 ZINC001226168355 775723671 /nfs/dbraw/zinc/72/36/71/775723671.db2.gz QKHJODPSGWBATF-LBPRGKRZSA-N 0 0 290.319 2.961 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CC2CCC1CC2 ZINC001226167760 775723685 /nfs/dbraw/zinc/72/36/85/775723685.db2.gz KTXPCIVRWJIASK-FDZGAKKTSA-N 0 0 290.319 2.651 20 5 CFBDRN C[C@H]1CCCC[C@H]1Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168419 775723776 /nfs/dbraw/zinc/72/37/76/775723776.db2.gz RZDWRVIOKHTWQT-JOYOIKCWSA-N 0 0 278.308 2.651 20 5 CFBDRN CC(C)C[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168203 775723993 /nfs/dbraw/zinc/72/39/93/775723993.db2.gz PTVNZAROYTVART-VIFPVBQESA-N 0 0 266.297 2.507 20 5 CFBDRN CC[N@@H+]1CCC[C@@H](Oc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC001226179406 775725670 /nfs/dbraw/zinc/72/56/70/775725670.db2.gz AKAQUNZLIGUNAP-SECBINFHSA-N 0 0 286.278 2.736 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])c(F)cc1F)c1cnccn1 ZINC001226182274 775728154 /nfs/dbraw/zinc/72/81/54/775728154.db2.gz ZZFQTMHVHZJTDX-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cc([N+](=O)[O-])c(F)cc2F)O1 ZINC001226192609 775733181 /nfs/dbraw/zinc/73/31/81/775733181.db2.gz XYBKZAFNJRLRRT-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN O=[N+]([O-])c1cc(OC2CCOCC2)c(Cl)cc1F ZINC001226195930 775734382 /nfs/dbraw/zinc/73/43/82/775734382.db2.gz NBEYZAANEMNEAK-UHFFFAOYSA-N 0 0 275.663 2.945 20 5 CFBDRN O=[N+]([O-])c1cc(OC2COCOC2)c(Cl)cc1Cl ZINC001226203139 775736048 /nfs/dbraw/zinc/73/60/48/775736048.db2.gz DXFKXDOICNCHJG-UHFFFAOYSA-N 0 0 294.090 2.653 20 5 CFBDRN O=C1C[C@H](Oc2cc([N+](=O)[O-])c(Cl)cc2Cl)CO1 ZINC001226205092 775737201 /nfs/dbraw/zinc/73/72/01/775737201.db2.gz RCFBBZYNGWFCGB-YFKPBYRVSA-N 0 0 292.074 2.596 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccccc1-n1cccc1)C1CC1 ZINC001226243325 775752805 /nfs/dbraw/zinc/75/28/05/775752805.db2.gz FRILGTZPGPHWEF-HNNXBMFYSA-N 0 0 272.304 2.911 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc([N+](=O)[O-])ccc1F ZINC001226269410 775763208 /nfs/dbraw/zinc/76/32/08/775763208.db2.gz FOBJMQJAWBWTKR-LLVKDONJSA-N 0 0 255.245 2.870 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2cc([N+](=O)[O-])ccc2F)C1 ZINC001226270140 775763923 /nfs/dbraw/zinc/76/39/23/775763923.db2.gz NMKBLEOFKONIQL-KOLCDFICSA-N 0 0 297.282 2.845 20 5 CFBDRN CC[C@@H](COC)Oc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226293087 775770019 /nfs/dbraw/zinc/77/00/19/775770019.db2.gz UFFJDIKRNMJCSC-NSHDSACASA-N 0 0 267.281 2.601 20 5 CFBDRN CC1(C)C(=O)CCC[C@@H]1Oc1c(O)cccc1[N+](=O)[O-] ZINC001226333304 775782581 /nfs/dbraw/zinc/78/25/81/775782581.db2.gz JMYPWNXXMGSJDR-LBPRGKRZSA-N 0 0 279.292 2.827 20 5 CFBDRN CC1(C)CC(=O)CC[C@@H]1Oc1c(O)cccc1[N+](=O)[O-] ZINC001226334467 775782797 /nfs/dbraw/zinc/78/27/97/775782797.db2.gz VCEDQPALMUONTN-LBPRGKRZSA-N 0 0 279.292 2.827 20 5 CFBDRN C[C@H](Oc1c(O)cccc1[N+](=O)[O-])c1ccncc1 ZINC001226333343 775782957 /nfs/dbraw/zinc/78/29/57/775782957.db2.gz JVDWINCUYDOVBD-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@@H]1COCc2ccccc21 ZINC001226334411 775782980 /nfs/dbraw/zinc/78/29/80/775782980.db2.gz ULBKMCTVHFWLCG-CQSZACIVSA-N 0 0 287.271 2.951 20 5 CFBDRN COc1ccc([C@H](C)Oc2c(O)cccc2[N+](=O)[O-])cn1 ZINC001226332534 775783118 /nfs/dbraw/zinc/78/31/18/775783118.db2.gz BMDYXDKLNKPICI-VIFPVBQESA-N 0 0 290.275 2.844 20 5 CFBDRN CC1(C)C[C@H](Oc2nc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC001226363961 775790596 /nfs/dbraw/zinc/79/05/96/775790596.db2.gz BDNFZWNSQAZCQI-MRVPVSSYSA-N 0 0 286.715 2.980 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1nc(Cl)ccc1[N+](=O)[O-] ZINC001226366187 775792339 /nfs/dbraw/zinc/79/23/39/775792339.db2.gz JNIKRFQHKSIGGZ-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN CCN1CC(Oc2ccc(C(C)(C)C)cc2[N+](=O)[O-])C1 ZINC001226445496 775826211 /nfs/dbraw/zinc/82/62/11/775826211.db2.gz DNRPVFQCYMQWJF-UHFFFAOYSA-N 0 0 278.352 2.975 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nc2ccc(Cl)cc2o1)C1CC1 ZINC001226458481 775830934 /nfs/dbraw/zinc/83/09/34/775830934.db2.gz NDIWACZBGUCOMJ-LLVKDONJSA-N 0 0 282.683 2.915 20 5 CFBDRN CCc1nc(O[C@@H](C)c2ccccc2[N+](=O)[O-])cc(=O)[nH]1 ZINC001226475943 775839285 /nfs/dbraw/zinc/83/92/85/775839285.db2.gz OVIKSHGHYIUHRS-VIFPVBQESA-N 0 0 289.291 2.793 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(-c2ccccc2)nn1)C1CC1 ZINC001226501874 775848973 /nfs/dbraw/zinc/84/89/73/775848973.db2.gz FATQKFULQYSMCK-CQSZACIVSA-N 0 0 285.303 2.578 20 5 CFBDRN O=C1OCC[C@@H]1Oc1ccc2c(c1)oc(=O)c1ccccc21 ZINC001226510529 775852470 /nfs/dbraw/zinc/85/24/70/775852470.db2.gz CKCYXSFJPCUPBN-AWEZNQCLSA-N 0 0 296.278 2.641 20 5 CFBDRN O=C1OCCC[C@@H]1Oc1ccc([N+](=O)[O-])c2ccccc12 ZINC001226509201 775853341 /nfs/dbraw/zinc/85/33/41/775853341.db2.gz MLULSRDLNLUYPP-AWEZNQCLSA-N 0 0 287.271 2.832 20 5 CFBDRN CCO[C@H](C)COc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226530382 775861852 /nfs/dbraw/zinc/86/18/52/775861852.db2.gz BTHRAEPVFAGLQP-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN COc1cc(O[C@@H](C)c2ncccc2F)ncc1[N+](=O)[O-] ZINC001226582433 775880836 /nfs/dbraw/zinc/88/08/36/775880836.db2.gz WVFGWWOLNVTGMJ-QMMMGPOBSA-N 0 0 293.254 2.673 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2ccncc21)C1CC1 ZINC001226616074 775893003 /nfs/dbraw/zinc/89/30/03/775893003.db2.gz UVLPCFIVZUHLBK-CQSZACIVSA-N 0 0 258.277 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(C(F)(F)F)C(F)(F)F)cn1 ZINC001226709147 775931949 /nfs/dbraw/zinc/93/19/49/775931949.db2.gz FJSILJJMAPGPBT-UHFFFAOYSA-N 0 0 290.119 2.862 20 5 CFBDRN CCC(CC)[C@@H](CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733349 775940983 /nfs/dbraw/zinc/94/09/83/775940983.db2.gz NFKWKYJLGLDLHJ-LLVKDONJSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2Oc1ncc([N+](=O)[O-])cn1 ZINC001226732816 775941144 /nfs/dbraw/zinc/94/11/44/775941144.db2.gz ZQJCWYJJDWFQKC-ZDUSSCGKSA-N 0 0 271.276 2.760 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@@H](Oc2ncc([N+](=O)[O-])cn2)C1 ZINC001226731580 775941950 /nfs/dbraw/zinc/94/19/50/775941950.db2.gz PJFOARNXOQGNLS-KKZNHRDASA-N 0 0 251.286 2.588 20 5 CFBDRN CC(C)(CCO)Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC001167740083 775944217 /nfs/dbraw/zinc/94/42/17/775944217.db2.gz RPJINILJUOULKC-UHFFFAOYSA-N 0 0 293.245 2.582 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@@H]2CCC=CO2)c(F)c1 ZINC001226745160 775944929 /nfs/dbraw/zinc/94/49/29/775944929.db2.gz ZPXHIHMGROGGQT-VIFPVBQESA-N 0 0 271.219 2.945 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)c1ccncc1 ZINC001226761491 775952888 /nfs/dbraw/zinc/95/28/88/775952888.db2.gz IPSOQOGMUVGRSD-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1ncc([N+](=O)[O-])cc1C ZINC001226762272 775953222 /nfs/dbraw/zinc/95/32/22/775953222.db2.gz SMPMSWKOYJSLHY-LBPRGKRZSA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1cc(OC2CN(C(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC001226841052 775986958 /nfs/dbraw/zinc/98/69/58/775986958.db2.gz JBPZEVFHIYRCIE-UHFFFAOYSA-N 0 0 264.325 2.765 20 5 CFBDRN Cc1cc(O[C@@H](C)c2ncccn2)ccc1[N+](=O)[O-] ZINC001226842296 775987207 /nfs/dbraw/zinc/98/72/07/775987207.db2.gz TVKCIROCFHEJDG-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC001226843028 775987364 /nfs/dbraw/zinc/98/73/64/775987364.db2.gz DTOATWMNQAMILC-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2C[C@H]3C[C@H]3C2)cc1Cl ZINC001226916804 776016567 /nfs/dbraw/zinc/01/65/67/776016567.db2.gz GFGSIODPAGXKAZ-RNLVFQAGSA-N 0 0 254.673 2.821 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H]1CCCC2(C1)OCCO2 ZINC001226971309 776039838 /nfs/dbraw/zinc/03/98/38/776039838.db2.gz LSKSQYYTZXDXAO-GFCCVEGCSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1O[C@@H]1CCCOC1=O ZINC001226987702 776050244 /nfs/dbraw/zinc/05/02/44/776050244.db2.gz CBXPVWJYIUZRHA-SECBINFHSA-N 0 0 285.683 2.641 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@@H]1COc2cnccc21 ZINC001226993455 776052875 /nfs/dbraw/zinc/05/28/75/776052875.db2.gz WXUPVWYODZXGAD-CQSZACIVSA-N 0 0 272.260 2.811 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@H]1CCOC[C@H]1C ZINC001226992508 776052984 /nfs/dbraw/zinc/05/29/84/776052984.db2.gz LCSHJCYHEDYXCV-PWSUYJOCSA-N 0 0 251.282 2.707 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc([N+](=O)[O-])ccc1C ZINC001226995059 776054276 /nfs/dbraw/zinc/05/42/76/776054276.db2.gz KMWDQCZCPXGCOA-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](Oc2nccs2)C1 ZINC001227039849 776069058 /nfs/dbraw/zinc/06/90/58/776069058.db2.gz DXQLVCUCHFBVEP-JTQLQIEISA-N 0 0 291.332 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2Cc3ccncc3[C@H]2C)n1 ZINC001227064962 776081865 /nfs/dbraw/zinc/08/18/65/776081865.db2.gz QQQPIJMLEXTVIC-YGRLFVJLSA-N 0 0 285.303 2.800 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2[nH]c(=O)nc3ccc([N+](=O)[O-])cc32)C1 ZINC001227096338 776094719 /nfs/dbraw/zinc/09/47/19/776094719.db2.gz OUJSYMSUKCAICG-WCBMZHEXSA-N 0 0 289.291 2.811 20 5 CFBDRN CCC[C@@H](CC)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227109232 776101496 /nfs/dbraw/zinc/10/14/96/776101496.db2.gz UDPQXHOFPIKBIE-SECBINFHSA-N 0 0 269.257 2.856 20 5 CFBDRN C[C@H](Cc1ccco1)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227111239 776102002 /nfs/dbraw/zinc/10/20/02/776102002.db2.gz JWGCYPXUMZDUIA-MRVPVSSYSA-N 0 0 293.235 2.501 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@H]1CCC[C@@H]1C ZINC001227121941 776106215 /nfs/dbraw/zinc/10/62/15/776106215.db2.gz HXJYYPZEGWRIFY-CABZTGNLSA-N 0 0 279.292 2.949 20 5 CFBDRN COC(=O)c1ccc(Cl)c(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001227157703 776119082 /nfs/dbraw/zinc/11/90/82/776119082.db2.gz BKYMUWLFYHNZMC-GFCCVEGCSA-N 0 0 299.710 2.561 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H](C)C(F)(F)F ZINC001227185336 776127411 /nfs/dbraw/zinc/12/74/11/776127411.db2.gz PSRCXYOXWYFERB-ZCFIWIBFSA-N 0 0 265.187 2.933 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1CCC(C)(C)O1 ZINC001227184604 776127461 /nfs/dbraw/zinc/12/74/61/776127461.db2.gz HXXIXZDVTMCECM-NSHDSACASA-N 0 0 281.308 2.940 20 5 CFBDRN CC[C@H](COC)Oc1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC001227221611 776143505 /nfs/dbraw/zinc/14/35/05/776143505.db2.gz RHZHNQFCMIITHB-SNVBAGLBSA-N 0 0 292.291 2.652 20 5 CFBDRN C[C@H](Oc1nc2ccc([N+](=O)[O-])cc2o1)c1ncccn1 ZINC001227228666 776147045 /nfs/dbraw/zinc/14/70/45/776147045.db2.gz IKAKCONVOQNZNE-QMMMGPOBSA-N 0 0 286.247 2.666 20 5 CFBDRN Cc1cnc(O[C@@H]2COCc3ccccc32)c([N+](=O)[O-])c1 ZINC001227236025 776149043 /nfs/dbraw/zinc/14/90/43/776149043.db2.gz BMJNCJQTTAJASW-CQSZACIVSA-N 0 0 286.287 2.949 20 5 CFBDRN CCCOC[C@H](C)Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227234246 776149561 /nfs/dbraw/zinc/14/95/61/776149561.db2.gz MAXOCWBSDWOYHE-VIFPVBQESA-N 0 0 280.280 2.930 20 5 CFBDRN Cc1cnc(O[C@H](C)C(=O)OCCC(C)C)c([N+](=O)[O-])c1 ZINC001227236015 776149834 /nfs/dbraw/zinc/14/98/34/776149834.db2.gz BFYWLTQKSPYALF-LLVKDONJSA-N 0 0 296.323 2.655 20 5 CFBDRN CCC[C@@H](CC(=O)OCC)Oc1ncc(C)cc1[N+](=O)[O-] ZINC001227234819 776149897 /nfs/dbraw/zinc/14/98/97/776149897.db2.gz KDUBQLWNLSUCLP-NSHDSACASA-N 0 0 296.323 2.799 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227235951 776150049 /nfs/dbraw/zinc/15/00/49/776150049.db2.gz MXIVMWSWILNNIY-WCBMZHEXSA-N 0 0 278.264 2.540 20 5 CFBDRN Cc1cnc(O[C@H]2CCc3cccnc32)c([N+](=O)[O-])c1 ZINC001227237471 776150663 /nfs/dbraw/zinc/15/06/63/776150663.db2.gz VZIQCNIKYVUXMA-LBPRGKRZSA-N 0 0 271.276 2.760 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227238961 776152778 /nfs/dbraw/zinc/15/27/78/776152778.db2.gz QWYVYJSJJHLMBE-BDAKNGLRSA-N 0 0 278.264 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)nc1OC[C@H]1CCCO1 ZINC001227243009 776153796 /nfs/dbraw/zinc/15/37/96/776153796.db2.gz DHFQMUYGDCBZBU-SSDOTTSWSA-N 0 0 292.213 2.566 20 5 CFBDRN CCOC[C@@H](C)Oc1nc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001227242895 776154084 /nfs/dbraw/zinc/15/40/84/776154084.db2.gz CDYOSJOYJJXMQL-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN CCO[C@H](C)COc1nc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001227247273 776155659 /nfs/dbraw/zinc/15/56/59/776155659.db2.gz VWOHKZYTLZVBFF-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])c3cnccc23)nn1C ZINC001167765654 776171131 /nfs/dbraw/zinc/17/11/31/776171131.db2.gz NIGPTEXLHWLUQW-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CCOC(=O)c1ccc(OC2CC=CC2)c([N+](=O)[O-])c1 ZINC001227297618 776174086 /nfs/dbraw/zinc/17/40/86/776174086.db2.gz VQKBSBSQAMURJR-UHFFFAOYSA-N 0 0 277.276 2.869 20 5 CFBDRN CCCOC[C@H](C)Oc1nc(Cl)nc(C)c1[N+](=O)[O-] ZINC001227341378 776179188 /nfs/dbraw/zinc/17/91/88/776179188.db2.gz WOPXTTDDRXLREK-ZETCQYMHSA-N 0 0 289.719 2.541 20 5 CFBDRN C[C@@H](Oc1[nH]c(=S)ncc1F)c1ccccc1[N+](=O)[O-] ZINC001227383961 776189030 /nfs/dbraw/zinc/18/90/30/776189030.db2.gz UXKAEBWFCPESSY-SSDOTTSWSA-N 0 0 295.295 2.953 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccc(O)cc1C ZINC001227451350 776206929 /nfs/dbraw/zinc/20/69/29/776206929.db2.gz BJEQTDXXZRBBGK-SNVBAGLBSA-N 0 0 291.307 2.581 20 5 CFBDRN CC1(C)CC[C@@H](COc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])O1 ZINC001227462272 776208514 /nfs/dbraw/zinc/20/85/14/776208514.db2.gz CEODISXFHMMAET-JTQLQIEISA-N 0 0 296.279 2.839 20 5 CFBDRN COC1=CC[C@H](Oc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC001227468488 776210154 /nfs/dbraw/zinc/21/01/54/776210154.db2.gz ZKXURXDRMIJYLJ-NSHDSACASA-N 0 0 294.263 2.965 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC1CCC2(CC1)OCCO2 ZINC001227483127 776212492 /nfs/dbraw/zinc/21/24/92/776212492.db2.gz SAYSQXHOLVEABB-UHFFFAOYSA-N 0 0 279.292 2.659 20 5 CFBDRN CCC(CC)Oc1c([N+](=O)[O-])cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227499537 776214437 /nfs/dbraw/zinc/21/44/37/776214437.db2.gz YKGAHZQLBAHCEL-UHFFFAOYSA-N 0 0 299.239 2.979 20 5 CFBDRN CCC[C@H](C)Oc1c([N+](=O)[O-])cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227498748 776214617 /nfs/dbraw/zinc/21/46/17/776214617.db2.gz SHNLFRYKMJATIT-ZETCQYMHSA-N 0 0 299.239 2.979 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC001227519977 776217416 /nfs/dbraw/zinc/21/74/16/776217416.db2.gz DZCZZNPLTMHNCA-SNVBAGLBSA-N 0 0 251.282 2.789 20 5 CFBDRN O=[N+]([O-])c1sccc1NCC1SCCS1 ZINC000692824127 776233508 /nfs/dbraw/zinc/23/35/08/776233508.db2.gz QFOOVGCTIFAETG-UHFFFAOYSA-N 0 0 262.381 2.874 20 5 CFBDRN COC[C@H](C)Oc1ccc(OC(F)(F)F)cc1[N+](=O)[O-] ZINC001227600045 776237795 /nfs/dbraw/zinc/23/77/95/776237795.db2.gz CCMYJPIVSFASLQ-ZETCQYMHSA-N 0 0 295.213 2.907 20 5 CFBDRN C[C@@H](Cc1ccccc1)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227691015 776258649 /nfs/dbraw/zinc/25/86/49/776258649.db2.gz LTSREGOFWKIMDM-JTQLQIEISA-N 0 0 298.302 2.876 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H](C)C(F)(F)F ZINC001227696110 776261436 /nfs/dbraw/zinc/26/14/36/776261436.db2.gz KJFIOCXVZFLGLR-ZCFIWIBFSA-N 0 0 265.187 2.933 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1OC[C@H]1CCCCO1 ZINC001227700825 776262536 /nfs/dbraw/zinc/26/25/36/776262536.db2.gz HVEOZGALTGVNEJ-SNVBAGLBSA-N 0 0 267.281 2.551 20 5 CFBDRN C=Cc1cnc(=O)[nH]c1O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001227717606 776269992 /nfs/dbraw/zinc/26/99/92/776269992.db2.gz KACOXZANORROKI-VIFPVBQESA-N 0 0 287.275 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(O[C@@H]3C=CCCC3)nc2c1 ZINC001227745794 776276611 /nfs/dbraw/zinc/27/66/11/776276611.db2.gz OKNRATVRWRADBR-SNVBAGLBSA-N 0 0 259.265 2.959 20 5 CFBDRN COC(=O)c1cccc(O[C@@H]2C[C@@H]3CC[C@H]2C3)c1[N+](=O)[O-] ZINC001227897001 776321908 /nfs/dbraw/zinc/32/19/08/776321908.db2.gz MDFBRGJIEHLKKB-GBIKHYSHSA-N 0 0 291.303 2.949 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(F)cc2cccnc21)C1CC1 ZINC001227980069 776346314 /nfs/dbraw/zinc/34/63/14/776346314.db2.gz LESKMAVYLZTQTL-CYBMUJFWSA-N 0 0 276.267 2.808 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1cncc([N+](=O)[O-])c1 ZINC001227987884 776350507 /nfs/dbraw/zinc/35/05/07/776350507.db2.gz LKOPMJYXMJZGFO-ZDUSSCGKSA-N 0 0 266.297 2.907 20 5 CFBDRN C[C@H](COc1cncc([N+](=O)[O-])c1)Oc1ccccc1 ZINC001227990055 776352530 /nfs/dbraw/zinc/35/25/30/776352530.db2.gz FFNMQPBAFLUPGI-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@H]1CCOC[C@H]1F ZINC001228001267 776356835 /nfs/dbraw/zinc/35/68/35/776356835.db2.gz VCTDDUHNGCJESX-SCZZXKLOSA-N 0 0 275.663 2.754 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC1CC2(COC2)C1 ZINC001228003599 776358469 /nfs/dbraw/zinc/35/84/69/776358469.db2.gz ZVZNKYRKSCSISD-UHFFFAOYSA-N 0 0 269.684 2.806 20 5 CFBDRN C[C@@H](Oc1nccc(Cl)c1[N+](=O)[O-])c1ncccn1 ZINC001228016006 776363933 /nfs/dbraw/zinc/36/39/33/776363933.db2.gz ZGUMANACAZMGFS-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])cc(F)c1F)c1cnccn1 ZINC001228017209 776364406 /nfs/dbraw/zinc/36/44/06/776364406.db2.gz UOGRVGBUFBGXBW-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])cc(F)c1F)c1cncnc1 ZINC001228016522 776364602 /nfs/dbraw/zinc/36/46/02/776364602.db2.gz NYWAPVXKOSUKHH-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@@H]3CCC[C@@H]32)n1 ZINC001126173447 776370601 /nfs/dbraw/zinc/37/06/01/776370601.db2.gz FTUHFFHUBSDUQW-FZMZJTMJSA-N 0 0 289.335 2.703 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nccc1OC1CCSCC1 ZINC001228055653 776380411 /nfs/dbraw/zinc/38/04/11/776380411.db2.gz VOWUOQCEAMBPMX-UHFFFAOYSA-N 0 0 274.729 2.918 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ccnc(Cl)c1[N+](=O)[O-] ZINC001228060146 776381072 /nfs/dbraw/zinc/38/10/72/776381072.db2.gz CMIASESEJIIBDV-MRVPVSSYSA-N 0 0 270.672 2.534 20 5 CFBDRN Cc1nc(N)ccc1CNc1nc(Cl)ccc1[N+](=O)[O-] ZINC001167786108 776390312 /nfs/dbraw/zinc/39/03/12/776390312.db2.gz LAUXWFYKTUWKPL-UHFFFAOYSA-N 0 0 293.714 2.541 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCO2)c2ncccc12 ZINC001228135513 776405260 /nfs/dbraw/zinc/40/52/60/776405260.db2.gz IRULMRCGAOOECR-SNVBAGLBSA-N 0 0 274.276 2.701 20 5 CFBDRN Cc1cccc([C@H](C)Oc2nc[nH]c(=O)c2[N+](=O)[O-])c1 ZINC001228140912 776407170 /nfs/dbraw/zinc/40/71/70/776407170.db2.gz NNHMABJLZLUICY-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN CC[C@@H](C)C[C@@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228144186 776409592 /nfs/dbraw/zinc/40/95/92/776409592.db2.gz SLMNWFXSKHFYFD-RKDXNWHRSA-N 0 0 269.301 2.684 20 5 CFBDRN CCOCc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(OC)c1 ZINC001228169258 776415478 /nfs/dbraw/zinc/41/54/78/776415478.db2.gz DYOOKAWAGUZGRM-OAHLLOKOSA-N 0 0 295.335 2.666 20 5 CFBDRN O=c1c2ccccc2oc2cc(OC3COC3)ccc21 ZINC001228179461 776416157 /nfs/dbraw/zinc/41/61/57/776416157.db2.gz GDRAXBQJYHKKAK-UHFFFAOYSA-N 0 0 268.268 2.724 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC2COC2)c(Cl)c1 ZINC001228199323 776421093 /nfs/dbraw/zinc/42/10/93/776421093.db2.gz YJIRLOJWSAFREF-UHFFFAOYSA-N 0 0 264.064 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(OC[C@H]3CCCO3)sc2c1 ZINC001228208833 776422445 /nfs/dbraw/zinc/42/24/45/776422445.db2.gz HCSFOGMFQGEYLM-SECBINFHSA-N 0 0 280.305 2.762 20 5 CFBDRN CC(=O)[C@H](C)Oc1nc2ccc([N+](=O)[O-])cc2s1 ZINC001228208986 776422476 /nfs/dbraw/zinc/42/24/76/776422476.db2.gz IJSBVQMZLSSORV-ZETCQYMHSA-N 0 0 266.278 2.561 20 5 CFBDRN Cc1cc(C)c(OC2CCOCC2)c([N+](=O)[O-])c1 ZINC001228230050 776427655 /nfs/dbraw/zinc/42/76/55/776427655.db2.gz LMSIMJCHXWEVMF-UHFFFAOYSA-N 0 0 251.282 2.769 20 5 CFBDRN O=c1nc2cc([N+](=O)[O-])ccc2c(O[C@@H]2C=CCCC2)[n-]1 ZINC001228243186 776431579 /nfs/dbraw/zinc/43/15/79/776431579.db2.gz WSWZQAYOEULHJN-SNVBAGLBSA-N 0 0 287.275 2.731 20 5 CFBDRN CCOC(=O)[C@@H](Oc1c(C)cc(C)cc1[N+](=O)[O-])C1CC1 ZINC001228238913 776432160 /nfs/dbraw/zinc/43/21/60/776432160.db2.gz ZDJHJACUZGMJHX-AWEZNQCLSA-N 0 0 293.319 2.932 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228258297 776436142 /nfs/dbraw/zinc/43/61/42/776436142.db2.gz SVOMJGKKCPTWEK-NEPJUHHUSA-N 0 0 299.710 2.969 20 5 CFBDRN Cc1c(NC2(CO)CCCC2)cc(F)cc1[N+](=O)[O-] ZINC001167804330 776499433 /nfs/dbraw/zinc/49/94/33/776499433.db2.gz CLOZEGSGMUVPPS-UHFFFAOYSA-N 0 0 268.288 2.759 20 5 CFBDRN c1ccc2nc3c(cccc3OC[C@@H]3COCO3)nc2c1 ZINC001228522541 776512029 /nfs/dbraw/zinc/51/20/29/776512029.db2.gz PHUXQGWUYVSINF-NSHDSACASA-N 0 0 282.299 2.535 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccnc(C(F)F)c1)c1cccnc1 ZINC001228532292 776513687 /nfs/dbraw/zinc/51/36/87/776513687.db2.gz XAXPZQMBMYDVEV-GFCCVEGCSA-N 0 0 295.245 2.811 20 5 CFBDRN Cc1cnc(CO[C@@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC001223203462 776514233 /nfs/dbraw/zinc/51/42/33/776514233.db2.gz GGLFOSZITICFCD-NSHDSACASA-N 0 0 273.292 2.971 20 5 CFBDRN COc1nccc(O[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001228560745 776521116 /nfs/dbraw/zinc/52/11/16/776521116.db2.gz BQRMQGJATZWMGB-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CCCOC(=O)[C@H](C)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC001223224110 776524758 /nfs/dbraw/zinc/52/47/58/776524758.db2.gz ISDWSNFRDSIDDH-NSHDSACASA-N 0 0 281.308 2.762 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2c(C)cc([N+](=O)[O-])cc2C)C1 ZINC001228572344 776525212 /nfs/dbraw/zinc/52/52/12/776525212.db2.gz ZKRHIJKGCBHXDP-CQSZACIVSA-N 0 0 292.335 2.601 20 5 CFBDRN CC[C@@H](C)[C@H](C)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604857 776537651 /nfs/dbraw/zinc/53/76/51/776537651.db2.gz XEMUIZSMQOVSIF-SFYZADRCSA-N 0 0 281.312 2.781 20 5 CFBDRN C[C@@H](Oc1cc(F)cc(F)c1[N+](=O)[O-])c1ncccn1 ZINC001228610016 776538188 /nfs/dbraw/zinc/53/81/88/776538188.db2.gz LRRNISAATWKDEJ-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(F)cc1O[C@@H]1COc2cnccc21 ZINC001228611058 776538869 /nfs/dbraw/zinc/53/88/69/776538869.db2.gz FHNBHVLSUQOTDF-GFCCVEGCSA-N 0 0 294.213 2.781 20 5 CFBDRN CCc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(C(C)=O)c1 ZINC001228641569 776549130 /nfs/dbraw/zinc/54/91/30/776549130.db2.gz IXKKXIMDLYLFAW-HNNXBMFYSA-N 0 0 277.320 2.886 20 5 CFBDRN CCC[C@H](Oc1ncncc1[N+](=O)[O-])[C@H](C)CC ZINC001228654950 776554034 /nfs/dbraw/zinc/55/40/34/776554034.db2.gz OARHLTGQNNPIEE-KOLCDFICSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1cc2[nH]c(O[C@@H](C)C3CC3)nc2cc1[N+](=O)[O-] ZINC001228672133 776562675 /nfs/dbraw/zinc/56/26/75/776562675.db2.gz PRFFGFUIMGVRKU-QMMMGPOBSA-N 0 0 261.281 2.957 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)C2CCC2)c1[N+](=O)[O-] ZINC001228685585 776567842 /nfs/dbraw/zinc/56/78/42/776567842.db2.gz ILVDQMMYPRZDCC-QMMMGPOBSA-N 0 0 252.270 2.571 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)C2CCC2)c1[N+](=O)[O-] ZINC001228685584 776567897 /nfs/dbraw/zinc/56/78/97/776567897.db2.gz ILVDQMMYPRZDCC-MRVPVSSYSA-N 0 0 252.270 2.571 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC001228687835 776569666 /nfs/dbraw/zinc/56/96/66/776569666.db2.gz CCIRRYHLYRPPMY-ZETCQYMHSA-N 0 0 274.223 2.570 20 5 CFBDRN Cc1oc(=O)oc1CO[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001223315335 776573577 /nfs/dbraw/zinc/57/35/77/776573577.db2.gz HZWBJYUOEFVZET-QMMMGPOBSA-N 0 0 279.248 2.727 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])C2CC2)n(-c2ccccc2)n1 ZINC001228719906 776584195 /nfs/dbraw/zinc/58/41/95/776584195.db2.gz YNTHYUDPGKVBFU-AWEZNQCLSA-N 0 0 287.319 2.615 20 5 CFBDRN c1ccc2nc3cc(OC[C@@H]4COCO4)ccc3nc2c1 ZINC001228740810 776592607 /nfs/dbraw/zinc/59/26/07/776592607.db2.gz MAOTVBZJCNPDOV-LBPRGKRZSA-N 0 0 282.299 2.535 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2cccc(F)c2n1)C1CC1 ZINC001228746335 776594356 /nfs/dbraw/zinc/59/43/56/776594356.db2.gz RLJPPUYKMZYTNH-GFCCVEGCSA-N 0 0 276.267 2.808 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCn3ccnc32)cc1Cl ZINC001228755413 776599337 /nfs/dbraw/zinc/59/93/37/776599337.db2.gz IBXZZBXFFYOUAM-LLVKDONJSA-N 0 0 279.683 2.969 20 5 CFBDRN CCSCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000125965926 776599736 /nfs/dbraw/zinc/59/97/36/776599736.db2.gz CDEXTYGEFXMZBM-UHFFFAOYSA-N 0 0 255.295 2.505 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC001228758480 776600537 /nfs/dbraw/zinc/60/05/37/776600537.db2.gz GLUXHPBNWLNNPF-SWZMTVOYSA-N 0 0 299.710 2.969 20 5 CFBDRN CCOC(=O)C1CC(Oc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC001228759096 776600635 /nfs/dbraw/zinc/60/06/35/776600635.db2.gz TVFZELBWRFDLCW-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN Cc1cc(OC2CCC3(CC2)OCCO3)cc([N+](=O)[O-])c1 ZINC001228834021 776625964 /nfs/dbraw/zinc/62/59/64/776625964.db2.gz YNGMVCDRAHRESV-UHFFFAOYSA-N 0 0 293.319 2.968 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2cc(C)cc([N+](=O)[O-])c2)C1(C)C ZINC001228837991 776627580 /nfs/dbraw/zinc/62/75/80/776627580.db2.gz KUNHNTROIIIUTQ-STQMWFEESA-N 0 0 293.319 2.870 20 5 CFBDRN Cc1cc(O[C@H](C)c2cncnc2)cc([N+](=O)[O-])c1 ZINC001228838528 776629230 /nfs/dbraw/zinc/62/92/30/776629230.db2.gz NUZOIKXFEHOFTG-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1ccc([N+](=O)[O-])cc1C ZINC001228921619 776658784 /nfs/dbraw/zinc/65/87/84/776658784.db2.gz OSCYDPCCRNRBTA-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)C1(C)CC(Oc2ccc([N+](=O)[O-])cc2C)C1 ZINC001228921647 776658806 /nfs/dbraw/zinc/65/88/06/776658806.db2.gz PQBORUVPWFHPQL-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2ccc([N+](=O)[O-])cc2C)C1 ZINC001228921648 776659080 /nfs/dbraw/zinc/65/90/80/776659080.db2.gz PQBORUVPWFHPQL-VDISTLRHSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1ccc([N+](=O)[O-])cc1C ZINC001228921620 776659272 /nfs/dbraw/zinc/65/92/72/776659272.db2.gz OSCYDPCCRNRBTA-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ccc([N+](=O)[O-])c(Cl)n1 ZINC001228936577 776663670 /nfs/dbraw/zinc/66/36/70/776663670.db2.gz VSCSUAOECFZXCO-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN CC(C)(C)OC(=O)c1ccc(NC=N)cc1[N+](=O)[O-] ZINC001167869644 776689010 /nfs/dbraw/zinc/68/90/10/776689010.db2.gz YVRUEUJSITZLKB-UHFFFAOYSA-N 0 0 265.269 2.569 20 5 CFBDRN CCCOCCNc1cc([N+](=O)[O-])cc2cc[nH]c21 ZINC001167873214 776696157 /nfs/dbraw/zinc/69/61/57/776696157.db2.gz GYRURSBCVAMFSO-UHFFFAOYSA-N 0 0 263.297 2.915 20 5 CFBDRN Cc1cccc2c1ccnc2O[C@H](C[N+](=O)[O-])C1CC1 ZINC001229042209 776697009 /nfs/dbraw/zinc/69/70/09/776697009.db2.gz CBDBSKHRADQBPW-CQSZACIVSA-N 0 0 272.304 2.977 20 5 CFBDRN COC(=O)c1c(O[C@@H]2C[C@H]3CC[C@@H]2C3)cccc1[N+](=O)[O-] ZINC001229044201 776698532 /nfs/dbraw/zinc/69/85/32/776698532.db2.gz FHESSFKUXKTKQG-OPQQBVKSSA-N 0 0 291.303 2.949 20 5 CFBDRN COC(=O)c1c(O[C@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC001229043792 776699091 /nfs/dbraw/zinc/69/90/91/776699091.db2.gz BHSKKYCMKROPIO-ONGXEEELSA-N 0 0 279.292 2.949 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1OC1CC(F)(F)C1 ZINC001229056281 776702584 /nfs/dbraw/zinc/70/25/84/776702584.db2.gz LZNPKSGLFOFQJH-UHFFFAOYSA-N 0 0 287.218 2.558 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H](C)C(C)C ZINC001229056851 776702841 /nfs/dbraw/zinc/70/28/41/776702841.db2.gz VVUHKKZOCWWYGN-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CC[C@@H](C)C1 ZINC001229056885 776704513 /nfs/dbraw/zinc/70/45/13/776704513.db2.gz WTGRVALLJXOSCS-KOLCDFICSA-N 0 0 279.292 2.949 20 5 CFBDRN CCOC[C@@H](C)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229113347 776721578 /nfs/dbraw/zinc/72/15/78/776721578.db2.gz CBHDUPOWGPARNG-ANYFNZRUSA-N 0 0 251.282 2.738 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(OC2CCN(CCF)CC2)cc1 ZINC001229114912 776722740 /nfs/dbraw/zinc/72/27/40/776722740.db2.gz MQSZWWLUFOSLQA-VZUCSPMQSA-N 0 0 294.326 2.747 20 5 CFBDRN CC[C@H](COc1ccc(/C=C/[N+](=O)[O-])cc1)OC ZINC001229116839 776724424 /nfs/dbraw/zinc/72/44/24/776724424.db2.gz XXNGMVZSLRSPMS-IDVQTMNDSA-N 0 0 251.282 2.738 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCOC[C@@H]1C ZINC001229177253 776744119 /nfs/dbraw/zinc/74/41/19/776744119.db2.gz QUPFYJWTLJDBTE-IINYFYTJSA-N 0 0 293.319 2.991 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCOC[C@H]1F ZINC001229178852 776744850 /nfs/dbraw/zinc/74/48/50/776744850.db2.gz DBOWXLINPSLILA-RISCZKNCSA-N 0 0 297.282 2.693 20 5 CFBDRN CCCOC[C@H](C)OCc1ccc(OC)c([N+](=O)[O-])c1 ZINC001223651379 776745263 /nfs/dbraw/zinc/74/52/63/776745263.db2.gz WRANAPNCIFSKLP-NSHDSACASA-N 0 0 283.324 2.935 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OCC[C@H]1COC(C)(C)O1 ZINC001229199802 776750435 /nfs/dbraw/zinc/75/04/35/776750435.db2.gz ZUOHWQDDBIBBFP-NSHDSACASA-N 0 0 299.298 2.963 20 5 CFBDRN COC(=O)C1(C)CC(Oc2c(C)cc(F)cc2[N+](=O)[O-])C1 ZINC001229201229 776750509 /nfs/dbraw/zinc/75/05/09/776750509.db2.gz MKTSCTHEWVQBGN-UHFFFAOYSA-N 0 0 297.282 2.763 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1CCOC[C@H]1F ZINC001229200876 776751471 /nfs/dbraw/zinc/75/14/71/776751471.db2.gz IVYQWIIBSPCVAI-KOLCDFICSA-N 0 0 273.235 2.548 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(OC2CC3(COC3)C2)c1 ZINC001229344106 776785225 /nfs/dbraw/zinc/78/52/25/776785225.db2.gz PYSQJTDXYFFDHA-UHFFFAOYSA-N 0 0 286.287 2.701 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229343583 776785517 /nfs/dbraw/zinc/78/55/17/776785517.db2.gz WKJROAGHOMYJPF-PWSUYJOCSA-N 0 0 288.303 2.947 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1O)c1ccncc1 ZINC001229395365 776798450 /nfs/dbraw/zinc/79/84/50/776798450.db2.gz HPXHDGWODXJVPU-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN C[C@@H]1c2cnccc2C[C@@H]1Oc1cc([N+](=O)[O-])ccc1O ZINC001229400474 776801309 /nfs/dbraw/zinc/80/13/09/776801309.db2.gz WASGREANHFVRCD-OTYXRUKQSA-N 0 0 286.287 2.803 20 5 CFBDRN CC(=O)Nc1cc(Cl)ccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001229415717 776805188 /nfs/dbraw/zinc/80/51/88/776805188.db2.gz LYCAFHPTEIPANK-ZDUSSCGKSA-N 0 0 298.726 2.733 20 5 CFBDRN COc1cc(O[C@@H](C[N+](=O)[O-])C2CC2)nc2ccccc21 ZINC001229441783 776814710 /nfs/dbraw/zinc/81/47/10/776814710.db2.gz QOFIIOHTCFBBQJ-AWEZNQCLSA-N 0 0 288.303 2.678 20 5 CFBDRN CCC(=O)c1ccc(OC)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001229447274 776816139 /nfs/dbraw/zinc/81/61/39/776816139.db2.gz KZOHNPHMANVLEW-OAHLLOKOSA-N 0 0 293.319 2.722 20 5 CFBDRN O=[N+]([O-])c1c[n+]([O-])ccc1OC1CCCCCCC1 ZINC001229451086 776817732 /nfs/dbraw/zinc/81/77/32/776817732.db2.gz BNXABCULPBWNGT-UHFFFAOYSA-N 0 0 266.297 2.720 20 5 CFBDRN CC1(C)[C@H](Oc2cc[n+]([O-])cc2[N+](=O)[O-])[C@]2(C)CC[C@H]1C2 ZINC001229452404 776817923 /nfs/dbraw/zinc/81/79/23/776817923.db2.gz RJKVAIAOOAQIMK-VZJVUDMVSA-N 0 0 292.335 2.822 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229454064 776818727 /nfs/dbraw/zinc/81/87/27/776818727.db2.gz HNQXKFYPKUVVEB-AWEZNQCLSA-N 0 0 286.287 2.603 20 5 CFBDRN Cc1cc(O[C@H]2C=C(C)OC(=O)C2)cc(C)c1[N+](=O)[O-] ZINC001229465779 776820627 /nfs/dbraw/zinc/82/06/27/776820627.db2.gz FUHJEBKXRCONAW-LBPRGKRZSA-N 0 0 277.276 2.810 20 5 CFBDRN CCOC(=O)C1CC(Oc2cc(C)c([N+](=O)[O-])c(C)c2)C1 ZINC001229468663 776821361 /nfs/dbraw/zinc/82/13/61/776821361.db2.gz DNRQFPMBTRJWFD-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN CC1=C(Oc2cc(C)c([N+](=O)[O-])c(C)c2)C(=O)O[C@@H]1C ZINC001229470898 776821746 /nfs/dbraw/zinc/82/17/46/776821746.db2.gz VDXYAZQJICRLNY-SNVBAGLBSA-N 0 0 277.276 2.810 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229485143 776827295 /nfs/dbraw/zinc/82/72/95/776827295.db2.gz WETDWHRCKMJSSF-BDAKNGLRSA-N 0 0 278.264 2.540 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1cc([N+](=O)[O-])c(C)cc1O ZINC001229750160 776887600 /nfs/dbraw/zinc/88/76/00/776887600.db2.gz KUKKBMSSWCXUNY-JTQLQIEISA-N 0 0 297.307 2.719 20 5 CFBDRN Cc1cc(O)c(C)c(C)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001229772076 776890548 /nfs/dbraw/zinc/89/05/48/776890548.db2.gz VSSHMMUQNLSHSG-CYBMUJFWSA-N 0 0 265.309 2.752 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2(C)CCC2)c1 ZINC000168098708 776896140 /nfs/dbraw/zinc/89/61/40/776896140.db2.gz HMHVOXPMBQTALS-UHFFFAOYSA-N 0 0 264.281 2.736 20 5 CFBDRN CC1=C(Oc2ccc([N+](=O)[O-])c(C)c2C)C(=O)O[C@@H]1C ZINC001229880126 776909278 /nfs/dbraw/zinc/90/92/78/776909278.db2.gz AFKKUUJWOCILNY-SNVBAGLBSA-N 0 0 277.276 2.810 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H](C)c2ncccn2)cc1F ZINC001229892378 776911867 /nfs/dbraw/zinc/91/18/67/776911867.db2.gz MCIXBLFPSNSJIH-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN COC(=O)c1ccc(C)c([N+](=O)[O-])c1OC1CC=CC1 ZINC001229902120 776914261 /nfs/dbraw/zinc/91/42/61/776914261.db2.gz DMWDZNJFGKDADF-UHFFFAOYSA-N 0 0 277.276 2.787 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])nc2Cl)CCO1 ZINC001229916839 776918765 /nfs/dbraw/zinc/91/87/65/776918765.db2.gz BUXHIIOQIOEQLR-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCc3nccn3C2)ccc1Cl ZINC001229964828 776926146 /nfs/dbraw/zinc/92/61/46/776926146.db2.gz WDLYTYFXNIEDLC-JTQLQIEISA-N 0 0 293.710 2.839 20 5 CFBDRN COCC(COC)Oc1cc(C)c(Cl)cc1[N+](=O)[O-] ZINC001229976287 776929497 /nfs/dbraw/zinc/92/94/97/776929497.db2.gz WMMUYYBVEFARHJ-UHFFFAOYSA-N 0 0 289.715 2.597 20 5 CFBDRN Cc1c(Br)cnc(OC2CC2)c1[N+](=O)[O-] ZINC001230036534 776947195 /nfs/dbraw/zinc/94/71/95/776947195.db2.gz BPKQIYYTKJQGAB-UHFFFAOYSA-N 0 0 273.086 2.602 20 5 CFBDRN Cc1ccc(OC2CN(C(C)(C)C)C2)c([N+](=O)[O-])c1 ZINC001230143256 776955401 /nfs/dbraw/zinc/95/54/01/776955401.db2.gz IEEBBDURHDAKHI-UHFFFAOYSA-N 0 0 264.325 2.765 20 5 CFBDRN Cc1ccc(OCC[C@H]2COC(C)(C)O2)c([N+](=O)[O-])c1 ZINC001230143912 776955448 /nfs/dbraw/zinc/95/54/48/776955448.db2.gz QSZPKBOVUJSLEB-NSHDSACASA-N 0 0 281.308 2.824 20 5 CFBDRN Cc1ccc(O[C@H](C)c2cncnc2)c([N+](=O)[O-])c1 ZINC001230146266 776955681 /nfs/dbraw/zinc/95/56/81/776955681.db2.gz NCQWMQACSPIYMN-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230230294 776961699 /nfs/dbraw/zinc/96/16/99/776961699.db2.gz NHXGFKFLISTWHJ-HTQZYQBOSA-N 0 0 264.285 2.680 20 5 CFBDRN O=[N+]([O-])c1c[nH]cc2nc(O[C@@H]3CCCC[C@H]3F)nc1-2 ZINC001230231830 776962162 /nfs/dbraw/zinc/96/21/62/776962162.db2.gz UYEOWHYHWPHBQL-GMSGAONNSA-N 0 0 280.259 2.526 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225384048 776980419 /nfs/dbraw/zinc/98/04/19/776980419.db2.gz KAUOHQCQOAOGQK-GFCCVEGCSA-N 0 0 253.229 2.624 20 5 CFBDRN CC1(C)C[C@@H](Oc2c(Cl)nccc2[N+](=O)[O-])CCO1 ZINC001225434862 776986158 /nfs/dbraw/zinc/98/61/58/776986158.db2.gz NQPSNLSXMCQQFF-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(O)c2ccccc12)C1CC1 ZINC001230461513 776994886 /nfs/dbraw/zinc/99/48/86/776994886.db2.gz XSATVNSDULIUEG-OAHLLOKOSA-N 0 0 273.288 2.980 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1OC[C@@H]1CCC=CO1 ZINC001230595036 777022247 /nfs/dbraw/zinc/02/22/47/777022247.db2.gz IIBVWAKGPWILQE-JTQLQIEISA-N 0 0 275.264 2.543 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1cc2n[nH]cc2cc1[N+](=O)[O-] ZINC001230600528 777023195 /nfs/dbraw/zinc/02/31/95/777023195.db2.gz GIDGMSPXPFCKEB-STQMWFEESA-N 0 0 291.307 2.808 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1OCCOC1CCCC1 ZINC001230601803 777023370 /nfs/dbraw/zinc/02/33/70/777023370.db2.gz QIVFSVXUUWEJAX-UHFFFAOYSA-N 0 0 291.307 2.809 20 5 CFBDRN O=C1CC(Oc2ccc3ccccc3c2[N+](=O)[O-])C1 ZINC001230626519 777029807 /nfs/dbraw/zinc/02/98/07/777029807.db2.gz MEIRERANLUVMGS-UHFFFAOYSA-N 0 0 257.245 2.858 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC1CN(C(C)C)C1 ZINC001230724484 777051775 /nfs/dbraw/zinc/05/17/75/777051775.db2.gz WYAMAVWLPMHEGL-UHFFFAOYSA-N 0 0 264.325 2.683 20 5 CFBDRN C[C@H](CON)Oc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC001230766011 777058830 /nfs/dbraw/zinc/05/88/30/777058830.db2.gz IVMLXRZOHBDQEY-RXMQYKEDSA-N 0 0 281.095 2.559 20 5 CFBDRN CN1CC(Oc2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 ZINC001230768500 777059215 /nfs/dbraw/zinc/05/92/15/777059215.db2.gz LEOWUZTZENKCNW-UHFFFAOYSA-N 0 0 277.107 2.594 20 5 CFBDRN C[C@H](O)C(=O)Oc1c2c(O)cccc2cc2cccc(O)c21 ZINC001230760623 777059470 /nfs/dbraw/zinc/05/94/70/777059470.db2.gz DWERNHHBRODBPU-VIFPVBQESA-N 0 0 298.294 2.690 20 5 CFBDRN CCO[C@@H](C)COc1ccc([N+](=O)[O-])c(CC(C)=O)c1F ZINC001230796602 777067010 /nfs/dbraw/zinc/06/70/10/777067010.db2.gz MPWCMCNRFWXPFB-JTQLQIEISA-N 0 0 299.298 2.669 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1OC1CCSCC1 ZINC001230872153 777078218 /nfs/dbraw/zinc/07/82/18/777078218.db2.gz KCYVMTFRYCTAAJ-UHFFFAOYSA-N 0 0 274.729 2.918 20 5 CFBDRN C[C@H](Oc1ccc(Cl)nc1[N+](=O)[O-])c1cncnc1 ZINC001230879644 777079901 /nfs/dbraw/zinc/07/99/01/777079901.db2.gz QYGJZSCBCAKOJI-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc(Cl)c1[N+](=O)[O-])C1CC1 ZINC001230914428 777091285 /nfs/dbraw/zinc/09/12/85/777091285.db2.gz ITELCIQXKQJONF-JTQLQIEISA-N 0 0 286.671 2.682 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cccc(Cl)c1[N+](=O)[O-])C1CC1 ZINC001230915152 777092980 /nfs/dbraw/zinc/09/29/80/777092980.db2.gz NLEZYMBRXIFJED-LBPRGKRZSA-N 0 0 299.710 2.969 20 5 CFBDRN O=C1OCC[C@H]1Oc1ccc(-c2cccc([N+](=O)[O-])c2)cc1 ZINC001230941685 777097810 /nfs/dbraw/zinc/09/78/10/777097810.db2.gz VTPRAOYTWIHBHO-OAHLLOKOSA-N 0 0 299.282 2.956 20 5 CFBDRN COc1cc(O[C@H](C[N+](=O)[O-])C2CC2)ccc1Cl ZINC001230984061 777110264 /nfs/dbraw/zinc/11/02/64/777110264.db2.gz ILBSIURZHIFZKS-GFCCVEGCSA-N 0 0 271.700 2.783 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2c(Cl)ncnc2c1)C1CC1 ZINC001231114690 777145106 /nfs/dbraw/zinc/14/51/06/777145106.db2.gz HGBILRUPDZXJCX-LBPRGKRZSA-N 0 0 293.710 2.717 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(O[C@@H]3CCn4ccnc43)cnc21 ZINC001231158997 777160594 /nfs/dbraw/zinc/16/05/94/777160594.db2.gz QXCLAAXZERIJHF-CYBMUJFWSA-N 0 0 296.286 2.863 20 5 CFBDRN C[C@H](CON)Oc1ccc(-c2ccccc2[N+](=O)[O-])cc1 ZINC001231254411 777179122 /nfs/dbraw/zinc/17/91/22/777179122.db2.gz WNEWYJJZGCMCNM-LLVKDONJSA-N 0 0 288.303 2.919 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cc(C)ccc1[N+](=O)[O-] ZINC001225683718 777179785 /nfs/dbraw/zinc/17/97/85/777179785.db2.gz VSZPKIZEPLGPLH-PWSUYJOCSA-N 0 0 279.292 2.624 20 5 CFBDRN CCCOC(=O)c1ccccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001231341107 777195940 /nfs/dbraw/zinc/19/59/40/777195940.db2.gz UIYMSPKQFRLGIY-AWEZNQCLSA-N 0 0 293.319 2.688 20 5 CFBDRN CO[C@H](C)CCOc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225779773 777270663 /nfs/dbraw/zinc/27/06/63/777270663.db2.gz RIRKZSSOHCKJEV-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CC1(C)COC(=O)[C@H]1Oc1cccc([N+](=O)[O-])c1Cl ZINC001225785373 777277014 /nfs/dbraw/zinc/27/70/14/777277014.db2.gz ATZFAGVLQLYAQI-SNVBAGLBSA-N 0 0 285.683 2.579 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cccc([N+](=O)[O-])c1Cl)C1CC1 ZINC001225787351 777277810 /nfs/dbraw/zinc/27/78/10/777277810.db2.gz FWUYMKXNQNKYAY-LBPRGKRZSA-N 0 0 299.710 2.969 20 5 CFBDRN CCN1CC(Oc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC001225955296 777346018 /nfs/dbraw/zinc/34/60/18/777346018.db2.gz CMCYYSUKEYGXPU-UHFFFAOYSA-N 0 0 290.241 2.697 20 5 CFBDRN O=C(Nc1cc2cc[nH]c2cn1)c1ccc([N+](=O)[O-])s1 ZINC001277616006 777446686 /nfs/dbraw/zinc/44/66/86/777446686.db2.gz GLCJYKKSMBMURK-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN c1cnc2c(c1)ccc1ccc(CN3CC4(COC4)C3)nc12 ZINC001232219523 777479069 /nfs/dbraw/zinc/47/90/69/777479069.db2.gz SUGIYMFFULBONR-UHFFFAOYSA-N 0 0 291.354 2.615 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(OC[C@@H]2CCC=CO2)c1 ZINC001226265544 777490149 /nfs/dbraw/zinc/49/01/49/777490149.db2.gz KNBJIXNYLLUAOM-JTQLQIEISA-N 0 0 253.229 2.805 20 5 CFBDRN CC[C@H](C)Nc1ncnc2cc(F)c([N+](=O)[O-])cc21 ZINC001167999328 777548232 /nfs/dbraw/zinc/54/82/32/777548232.db2.gz OJPDWIZMGVPBQI-ZETCQYMHSA-N 0 0 264.260 2.888 20 5 CFBDRN CC[C@@H](C)Nc1cc([N+](=O)[O-])ccc1C(=O)OC ZINC001167999143 777548341 /nfs/dbraw/zinc/54/83/41/777548341.db2.gz HNAXGQUCUNQXPC-MRVPVSSYSA-N 0 0 252.270 2.592 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226531834 777570044 /nfs/dbraw/zinc/57/00/44/777570044.db2.gz OSCHHSSYBYVNIH-SNVBAGLBSA-N 0 0 281.308 2.991 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cn1)c1ccc(F)c(F)c1 ZINC001226733324 777628777 /nfs/dbraw/zinc/62/87/77/777628777.db2.gz MKLZOOFCXPSHSX-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@H](Oc1c(F)cc([N+](=O)[O-])cc1F)c1cncnc1 ZINC001226743674 777632240 /nfs/dbraw/zinc/63/22/40/777632240.db2.gz GLYYTNAFJMKXHA-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN CC/C=C/CCOC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000126966463 777702123 /nfs/dbraw/zinc/70/21/23/777702123.db2.gz AALVJSAIHNFQAT-ONEGZZNKSA-N 0 0 279.292 2.873 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1O[C@H]1CCOC[C@@H]1F ZINC001227158260 777775977 /nfs/dbraw/zinc/77/59/77/777775977.db2.gz TWEIQFBRFIWTEL-WPRPVWTQSA-N 0 0 293.653 2.893 20 5 CFBDRN Cc1ccc(O[C@H]2CCCC(=O)C2(C)C)c([N+](=O)[O-])n1 ZINC001227222488 777791574 /nfs/dbraw/zinc/79/15/74/777791574.db2.gz OTERKSWJFJHYJF-LBPRGKRZSA-N 0 0 278.308 2.825 20 5 CFBDRN Cc1ccc(O[C@H]2CCCC[C@H]2F)c([N+](=O)[O-])n1 ZINC001227223714 777792417 /nfs/dbraw/zinc/79/24/17/777792417.db2.gz ONUHIURGMUBHOP-ZJUUUORDSA-N 0 0 254.261 2.958 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCOC2)c1 ZINC000127047319 777803860 /nfs/dbraw/zinc/80/38/60/777803860.db2.gz LSLMKMNGMQTAJJ-VIFPVBQESA-N 0 0 297.332 2.510 20 5 CFBDRN C[C@H](CO)[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000696801922 777826872 /nfs/dbraw/zinc/82/68/72/777826872.db2.gz TVPBFNGWMKGJMQ-MFKMUULPSA-N 0 0 282.315 2.721 20 5 CFBDRN CC(C)C[C@@H](C)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227692767 777864033 /nfs/dbraw/zinc/86/40/33/777864033.db2.gz CJZZDGHZTFMLPM-MRVPVSSYSA-N 0 0 264.285 2.680 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]2c2ccc(F)c(F)c2)nc1 ZINC001168064425 777869180 /nfs/dbraw/zinc/86/91/80/777869180.db2.gz BBHHHJNMQSEBHC-JOYOIKCWSA-N 0 0 292.245 2.631 20 5 CFBDRN CCC1(Nc2cc(OC)c([N+](=O)[O-])cn2)CCC1 ZINC001161563036 777922236 /nfs/dbraw/zinc/92/22/36/777922236.db2.gz YKNWHZTWTOMVFN-UHFFFAOYSA-N 0 0 251.286 2.743 20 5 CFBDRN CC(=O)c1cc(OC2CCC(=O)CC2)ccc1[N+](=O)[O-] ZINC001233495892 777968746 /nfs/dbraw/zinc/96/87/46/777968746.db2.gz NIEMFTNXBTWOTH-UHFFFAOYSA-N 0 0 277.276 2.688 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1)OC ZINC001233498488 777970146 /nfs/dbraw/zinc/97/01/46/777970146.db2.gz LAZTXBMRBIYTMF-SECBINFHSA-N 0 0 297.307 2.574 20 5 CFBDRN COC(C[C@H](C)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1)OC ZINC001233498531 777970813 /nfs/dbraw/zinc/97/08/13/777970813.db2.gz LAZTXBMRBIYTMF-VIFPVBQESA-N 0 0 297.307 2.574 20 5 CFBDRN C[C@H](Oc1cncc([N+](=O)[O-])c1)c1ccncc1F ZINC001227990046 777987803 /nfs/dbraw/zinc/98/78/03/777987803.db2.gz DZFGJTVWWHBGOR-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H]1CCOC(C)(C)C1 ZINC001233555630 777989147 /nfs/dbraw/zinc/98/91/47/777989147.db2.gz IMQYXQSDWSLPNN-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)Cc1ccco1 ZINC001233556279 777989217 /nfs/dbraw/zinc/98/92/17/777989217.db2.gz LVVDGMKKCQROPE-JTQLQIEISA-N 0 0 262.265 2.901 20 5 CFBDRN COc1ccc([C@@H](C)Oc2c(C)ccnc2[N+](=O)[O-])cn1 ZINC001233557038 777990859 /nfs/dbraw/zinc/99/08/59/777990859.db2.gz RMGARIVFRLXFBX-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC001228001468 777991825 /nfs/dbraw/zinc/99/18/25/777991825.db2.gz YXKQOQFLUBNYJJ-JTQLQIEISA-N 0 0 286.671 2.682 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001233574517 777996244 /nfs/dbraw/zinc/99/62/44/777996244.db2.gz JAIBHGCIALSESY-SNVBAGLBSA-N 0 0 282.252 2.640 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2C=CCC2)c1[N+](=O)[O-] ZINC001233579054 777998048 /nfs/dbraw/zinc/99/80/48/777998048.db2.gz YIRYFXZTABEPFP-QMMMGPOBSA-N 0 0 250.210 2.600 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nccc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001228056982 778003424 /nfs/dbraw/zinc/00/34/24/778003424.db2.gz KFVMHDLJKVBMRC-XKSSXDPKSA-N 0 0 266.684 2.987 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(O[C@H]2COc3cnccc32)cc1F ZINC001233601647 778008588 /nfs/dbraw/zinc/00/85/88/778008588.db2.gz WVITZVHTLCMRKI-ZDUSSCGKSA-N 0 0 294.213 2.781 20 5 CFBDRN CC(C)C[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C(C)C ZINC001228140308 778015832 /nfs/dbraw/zinc/01/58/32/778015832.db2.gz HJFOTLWCHQKBLZ-VIFPVBQESA-N 0 0 269.301 2.540 20 5 CFBDRN C[C@@H](Oc1cc(Cl)cnc1[N+](=O)[O-])c1cncnc1 ZINC001233714284 778051878 /nfs/dbraw/zinc/05/18/78/778051878.db2.gz AJNMTSAGAUVIQQ-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CCOC[C@@H](C)Oc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC001233745343 778061380 /nfs/dbraw/zinc/06/13/80/778061380.db2.gz LVRITVRHCOUYLY-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cc(F)c([N+](=O)[O-])c(F)c2)CCO1 ZINC001233753875 778064135 /nfs/dbraw/zinc/06/41/35/778064135.db2.gz POQMCGIAFZHNKK-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN CO[C@@H](C)CCOc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233778366 778074841 /nfs/dbraw/zinc/07/48/41/778074841.db2.gz JLJZGVSNEPDSHM-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN C[C@H](Oc1ccnc([N+](=O)[O-])c1)c1ncccc1F ZINC001233857187 778097350 /nfs/dbraw/zinc/09/73/50/778097350.db2.gz KHGBKJLAPQVDFX-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H](Oc1ccnc([N+](=O)[O-])c1)c1ccncc1F ZINC001233858778 778097408 /nfs/dbraw/zinc/09/74/08/778097408.db2.gz FBAYWPJAYIGJMD-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(=O)c1cnc(O[C@@H]2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001233860545 778099028 /nfs/dbraw/zinc/09/90/28/778099028.db2.gz AZQWMVLJRUKTKF-MWLCHTKSSA-N 0 0 294.307 2.734 20 5 CFBDRN COC(=O)c1cnc(O[C@H](C)c2ccco2)c([N+](=O)[O-])c1 ZINC001233866843 778100338 /nfs/dbraw/zinc/10/03/38/778100338.db2.gz PZACDOGGRYVGRG-MRVPVSSYSA-N 0 0 292.247 2.509 20 5 CFBDRN COc1cc(NCC2(F)CCOCC2)cc([N+](=O)[O-])c1C ZINC001168126856 778113081 /nfs/dbraw/zinc/11/30/81/778113081.db2.gz YXELVSPTZRSOLR-UHFFFAOYSA-N 0 0 298.314 2.842 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001233985616 778131073 /nfs/dbraw/zinc/13/10/73/778131073.db2.gz CGJCLUNNVCGESA-GUTXKFCHSA-N 0 0 289.331 2.858 20 5 CFBDRN COC(=O)c1ccc(OC2CCCC2)cc1[N+](=O)[O-] ZINC001234050803 778153146 /nfs/dbraw/zinc/15/31/46/778153146.db2.gz LLXYGCYDZWDOLI-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN COC(=O)c1ccc(OC(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC001234052314 778153659 /nfs/dbraw/zinc/15/36/59/778153659.db2.gz RLQNZHHQGAJQQF-UHFFFAOYSA-N 0 0 291.303 2.949 20 5 CFBDRN CC[C@@H](COc1cc([N+](=O)[O-])c(C)cc1F)OC ZINC001234059345 778155549 /nfs/dbraw/zinc/15/55/49/778155549.db2.gz JTVIJSLKINVLJP-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC001234062398 778156412 /nfs/dbraw/zinc/15/64/12/778156412.db2.gz ZXGWZQMDLPOTDZ-BXKDBHETSA-N 0 0 269.272 2.846 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCN(C)C(=O)C(C)(C)F)c1 ZINC001101473638 778159038 /nfs/dbraw/zinc/15/90/38/778159038.db2.gz GWOGDEFHDFBNDI-UHFFFAOYSA-N 0 0 297.330 2.522 20 5 CFBDRN O=C1OCCC[C@H]1Oc1ccnc2c1ccc1cccnc12 ZINC001234087900 778162350 /nfs/dbraw/zinc/16/23/50/778162350.db2.gz SKMPGRPDYSDENI-CQSZACIVSA-N 0 0 294.310 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@H]1CCO[C@@H](C)C1 ZINC001228921939 778208145 /nfs/dbraw/zinc/20/81/45/778208145.db2.gz ROHDZSQPPNIQDA-JQWIXIFHSA-N 0 0 251.282 2.850 20 5 CFBDRN O=C1CCc2c1c(Cl)ccc2O[C@H](C[N+](=O)[O-])C1CC1 ZINC001234508036 778239687 /nfs/dbraw/zinc/23/96/87/778239687.db2.gz SBFLBCJLZFFYHH-CYBMUJFWSA-N 0 0 295.722 2.903 20 5 CFBDRN Cc1cc(N2CC[C@@H](C(C)(C)O)C2)c(F)cc1[N+](=O)[O-] ZINC000721872222 778243545 /nfs/dbraw/zinc/24/35/45/778243545.db2.gz RNHKCPLOZCJOCK-SNVBAGLBSA-N 0 0 282.315 2.640 20 5 CFBDRN CN(C)c1ccc(NC[C@H]2C[C@H](F)C2)c([N+](=O)[O-])c1 ZINC001168238742 778264465 /nfs/dbraw/zinc/26/44/65/778264465.db2.gz RPYMQMCZCZSLDL-MGCOHNPYSA-N 0 0 267.304 2.821 20 5 CFBDRN CCOC(=O)c1ccc(NC[C@H]2C[C@H](F)C2)c([N+](=O)[O-])c1 ZINC001168238787 778264625 /nfs/dbraw/zinc/26/46/25/778264625.db2.gz TXUMPSBOANMYJD-HOMQSWHASA-N 0 0 296.298 2.932 20 5 CFBDRN COc1c(Cl)cccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001234639483 778272010 /nfs/dbraw/zinc/27/20/10/778272010.db2.gz CLRQLZPMTCGWSQ-LLVKDONJSA-N 0 0 271.700 2.783 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2ncc(C)cc2[N+](=O)[O-])C1 ZINC000721246672 778273824 /nfs/dbraw/zinc/27/38/24/778273824.db2.gz UOFYEGYBBUTNCM-KSGYAGIASA-N 0 0 277.324 2.668 20 5 CFBDRN CCO[C@@H](C)COc1nccc2c1cccc2[N+](=O)[O-] ZINC001234675881 778286378 /nfs/dbraw/zinc/28/63/78/778286378.db2.gz DNAZREDUURSPSJ-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN Cc1cncc(NC(=O)c2c(C)c(F)ccc2[N+](=O)[O-])c1 ZINC001126737237 778295352 /nfs/dbraw/zinc/29/53/52/778295352.db2.gz ONPTYJTUAONAGD-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN c1ccc2cc3c(cc2c1)ncnc3NC[C@H]1COCCO1 ZINC001168265313 778299094 /nfs/dbraw/zinc/29/90/94/778299094.db2.gz HOVJSDZJENMPPU-AWEZNQCLSA-N 0 0 295.342 2.610 20 5 CFBDRN CC1(C)CC(=O)CC[C@H]1Oc1cc([N+](=O)[O-])ccc1O ZINC001229395433 778301280 /nfs/dbraw/zinc/30/12/80/778301280.db2.gz ILGXWOPEHULWOL-CYBMUJFWSA-N 0 0 279.292 2.827 20 5 CFBDRN CC(C)[C@H](C)Oc1ncnc2cc([N+](=O)[O-])ccc21 ZINC001229398870 778302389 /nfs/dbraw/zinc/30/23/89/778302389.db2.gz BVJMXNUONGSLOM-VIFPVBQESA-N 0 0 261.281 2.961 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cc(O)c1OC1CCC1 ZINC001234878222 778320080 /nfs/dbraw/zinc/32/00/80/778320080.db2.gz TZUCYFIZOQVQLO-UHFFFAOYSA-N 0 0 288.097 2.994 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)C2CCCC2)n1 ZINC001234880300 778320373 /nfs/dbraw/zinc/32/03/73/778320373.db2.gz APXGRPOHDWDPSP-SECBINFHSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)n1 ZINC001234881649 778321257 /nfs/dbraw/zinc/32/12/57/778321257.db2.gz RGEOGRSSWVLFIO-OWUUHHOZSA-N 0 0 278.308 2.812 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H]1CCCC[C@H]1F ZINC001234905007 778326890 /nfs/dbraw/zinc/32/68/90/778326890.db2.gz KTGGTPGFJVKIPP-KOLCDFICSA-N 0 0 254.261 2.958 20 5 CFBDRN C[C@@H](OCc1ccc([N+](=O)[O-])nc1)c1cccnc1F ZINC001224112889 778447302 /nfs/dbraw/zinc/44/73/02/778447302.db2.gz FHJLDSRULOECQO-SECBINFHSA-N 0 0 277.255 2.802 20 5 CFBDRN CN(CCn1cccn1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000128041606 778473282 /nfs/dbraw/zinc/47/32/82/778473282.db2.gz IMBDRNXLRSQRBN-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037991272 778497143 /nfs/dbraw/zinc/49/71/43/778497143.db2.gz KPCAEZFBCMYNDV-VIFPVBQESA-N 0 0 268.288 2.995 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC23CCC3)c(Cl)c1 ZINC000721264441 778585373 /nfs/dbraw/zinc/58/53/73/778585373.db2.gz TZISBINGQCWHGD-SECBINFHSA-N 0 0 253.689 2.998 20 5 CFBDRN CCCOC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000161136969 778624508 /nfs/dbraw/zinc/62/45/08/778624508.db2.gz CDRIRJJEFKMZCI-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)OCCc1cccs1 ZINC001224786789 778683890 /nfs/dbraw/zinc/68/38/90/778683890.db2.gz XCGOBCOJCIQVJP-SNVBAGLBSA-N 0 0 295.364 2.809 20 5 CFBDRN Cc1nnc(COc2ccc(Cl)c([N+](=O)[O-])c2)s1 ZINC000698243269 778777993 /nfs/dbraw/zinc/77/79/93/778777993.db2.gz FMYHYMXSLLEAAH-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CN(Cn1c2cc([N+](=O)[O-])ccc2oc1=S)C1CC1 ZINC000046477827 778838617 /nfs/dbraw/zinc/83/86/17/778838617.db2.gz ZAFIWXKZJCFGEW-UHFFFAOYSA-N 0 0 279.321 2.924 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(OCCCF)CC2)cc1 ZINC001225155882 778851291 /nfs/dbraw/zinc/85/12/91/778851291.db2.gz GNIXFUCVEMPBCU-UHFFFAOYSA-N 0 0 282.315 2.940 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H](OCCCF)C1 ZINC001225157689 778853636 /nfs/dbraw/zinc/85/36/36/778853636.db2.gz ONZILSLIEBPFFU-JTQLQIEISA-N 0 0 286.278 2.689 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2NCCC(F)F ZINC001120921742 779005351 /nfs/dbraw/zinc/00/53/51/779005351.db2.gz ACWCNILZXCZXQP-UHFFFAOYSA-N 0 0 297.261 2.641 20 5 CFBDRN C[C@H](Oc1cc(F)c([N+](=O)[O-])cc1F)c1cnccn1 ZINC001233601250 779058283 /nfs/dbraw/zinc/05/82/83/779058283.db2.gz VRUYHFUNAJHOBE-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN COc1cc(CN(C)c2cccc(F)c2[N+](=O)[O-])sn1 ZINC000722387044 779060577 /nfs/dbraw/zinc/06/05/77/779060577.db2.gz SXQMEEPKKOFICX-UHFFFAOYSA-N 0 0 297.311 2.835 20 5 CFBDRN CCCOCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000715007866 779093574 /nfs/dbraw/zinc/09/35/74/779093574.db2.gz GOVWOJWQEJSZAW-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN COC(C[C@@H](C)Oc1cc([N+](=O)[O-])c(C)cc1F)OC ZINC001234059129 779128310 /nfs/dbraw/zinc/12/83/10/779128310.db2.gz IHWNKUAJSJNJPI-SECBINFHSA-N 0 0 287.287 2.819 20 5 CFBDRN COc1c(F)cc(NC[C@@H]2CC(C)(C)O2)cc1[N+](=O)[O-] ZINC001168456201 779184039 /nfs/dbraw/zinc/18/40/39/779184039.db2.gz KYLODCUJOLBOIS-VIFPVBQESA-N 0 0 284.287 2.722 20 5 CFBDRN C[C@H](C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000176557337 779245500 /nfs/dbraw/zinc/24/55/00/779245500.db2.gz UFHAORDSTLNBMA-NSHDSACASA-N 0 0 292.335 2.620 20 5 CFBDRN O=C1CCc2cc(O[C@H](C[N+](=O)[O-])C3CC3)cc(Cl)c21 ZINC001234845538 779270042 /nfs/dbraw/zinc/27/00/42/779270042.db2.gz KPJLGAWSPQZPLR-CYBMUJFWSA-N 0 0 295.722 2.903 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)Cc2ccco2)n1 ZINC001234880795 779279654 /nfs/dbraw/zinc/27/96/54/779279654.db2.gz HPMNAZPHXASHAH-VIFPVBQESA-N 0 0 278.264 2.601 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])cc1)C(=O)C(C)(C)F ZINC001106654874 779331666 /nfs/dbraw/zinc/33/16/66/779331666.db2.gz QUVNHKFQDYQUMW-UHFFFAOYSA-N 0 0 297.330 2.603 20 5 CFBDRN CCN(CCNc1ccc(F)cc1[N+](=O)[O-])C(=O)C(C)C ZINC001106682255 779337846 /nfs/dbraw/zinc/33/78/46/779337846.db2.gz ZVGNVRVDXUENRI-UHFFFAOYSA-N 0 0 297.330 2.650 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)c1ccc2cc(C)ccc2n1 ZINC001123354942 779393607 /nfs/dbraw/zinc/39/36/07/779393607.db2.gz HVVVBULDXPUFHN-LBPRGKRZSA-N 0 0 288.303 2.755 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CC23CCC3)ccc1[N+](=O)[O-] ZINC000721276258 779540111 /nfs/dbraw/zinc/54/01/11/779540111.db2.gz XPPZPAMPVOOIFL-SECBINFHSA-N 0 0 251.261 2.671 20 5 CFBDRN CCCCC(=O)NC/C=C/CNc1ccccc1[N+](=O)[O-] ZINC001107045662 779759839 /nfs/dbraw/zinc/75/98/39/779759839.db2.gz NGFYQGJVNGDISG-VOTSOKGWSA-N 0 0 291.351 2.869 20 5 CFBDRN C/C=C(/C)C(=O)NC/C=C\CNc1ccc([N+](=O)[O-])cc1 ZINC001107100882 779775963 /nfs/dbraw/zinc/77/59/63/779775963.db2.gz DGISXCQOZAZBLJ-FURAMDEGSA-N 0 0 289.335 2.645 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2ccc(OC)c([N+](=O)[O-])c2)[C@@H]1C ZINC001128282394 780376949 /nfs/dbraw/zinc/37/69/49/780376949.db2.gz WMFPHVNSVNJZSU-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@H]1CCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC001128278815 780378418 /nfs/dbraw/zinc/37/84/18/780378418.db2.gz BSFQIJYOLCMDGW-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN CCCc1ccc(C(=O)Nc2c([N+](=O)[O-])cnn2C)cc1 ZINC001128320701 780393881 /nfs/dbraw/zinc/39/38/81/780393881.db2.gz FWOUKDAJULZRTC-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN COC(=O)c1cc(NC(C2CC2)C2CC2)cc([N+](=O)[O-])c1 ZINC001168744776 780603640 /nfs/dbraw/zinc/60/36/40/780603640.db2.gz UELRHZIUOPYNEC-UHFFFAOYSA-N 0 0 290.319 2.982 20 5 CFBDRN Cc1ccnc(CNc2cc(F)cc([N+](=O)[O-])c2C)n1 ZINC001162194136 780611404 /nfs/dbraw/zinc/61/14/04/780611404.db2.gz UOLGMYLUIXXKHO-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN CCCCNc1cc(OC)c(C(=O)OC)cc1[N+](=O)[O-] ZINC001162205395 780615965 /nfs/dbraw/zinc/61/59/65/780615965.db2.gz JNXLJFVNDXLNJN-UHFFFAOYSA-N 0 0 282.296 2.602 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])c2nonc21)C1CC1 ZINC000132822189 780618323 /nfs/dbraw/zinc/61/83/23/780618323.db2.gz AIWJQRUCVTWWQA-SSDOTTSWSA-N 0 0 262.269 2.589 20 5 CFBDRN C[C@H]1C[C@@H](CCNC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])CC(C)(C)C1 ZINC001128604897 780664491 /nfs/dbraw/zinc/66/44/91/780664491.db2.gz BBCOLZRAAARBMC-UMSGYPCISA-N 0 0 282.384 2.620 20 5 CFBDRN C[C@H]1C[C@H](CCNC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])CC(C)(C)C1 ZINC001128604900 780664548 /nfs/dbraw/zinc/66/45/48/780664548.db2.gz BBCOLZRAAARBMC-WUHRBBMRSA-N 0 0 282.384 2.620 20 5 CFBDRN C[C@H]1CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C[C@H]1CO ZINC000700379462 780683563 /nfs/dbraw/zinc/68/35/63/780683563.db2.gz WPYANCQKMZRRPS-JQWIXIFHSA-N 0 0 298.770 2.699 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000700622335 780709880 /nfs/dbraw/zinc/70/98/80/780709880.db2.gz RAVDLCRKSAKVLQ-SECBINFHSA-N 0 0 266.684 2.697 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@H](F)C1 ZINC000701904143 780852562 /nfs/dbraw/zinc/85/25/62/780852562.db2.gz VDASNFMPOHDPPE-QMMMGPOBSA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC2(C)CC=CC2)c1F ZINC000702174949 780896275 /nfs/dbraw/zinc/89/62/75/780896275.db2.gz NDRUNRIPWSGSJT-UHFFFAOYSA-N 0 0 278.283 2.881 20 5 CFBDRN CC1(C)C[C@@]1(C)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000702350008 780910773 /nfs/dbraw/zinc/91/07/73/780910773.db2.gz WXUHKOPCIOHXHS-AWEZNQCLSA-N 0 0 280.299 2.900 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000702327263 780915457 /nfs/dbraw/zinc/91/54/57/780915457.db2.gz PKLFDYJDLKCVLK-ZDUSSCGKSA-N 0 0 266.272 2.652 20 5 CFBDRN O=[N+]([O-])c1c(NC2CSC2)ccc2ncccc21 ZINC000702384562 780918994 /nfs/dbraw/zinc/91/89/94/780918994.db2.gz JFMOKOJUALQQOM-UHFFFAOYSA-N 0 0 261.306 2.670 20 5 CFBDRN O=C(NCC1=CCCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000702416167 780922932 /nfs/dbraw/zinc/92/29/32/780922932.db2.gz HHMFGDYZEJCWLU-UHFFFAOYSA-N 0 0 264.256 2.574 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCC2=CCCC2)c1F ZINC000702416053 780923226 /nfs/dbraw/zinc/92/32/26/780923226.db2.gz GHNHMPAKTIFNSR-UHFFFAOYSA-N 0 0 278.283 2.882 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000702570101 780933814 /nfs/dbraw/zinc/93/38/14/780933814.db2.gz MCRWHZNXUMYTRR-SSDOTTSWSA-N 0 0 274.679 2.726 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2SCCS2)n1 ZINC000703114905 780988257 /nfs/dbraw/zinc/98/82/57/780988257.db2.gz YGTDEHAXZJUSCF-UHFFFAOYSA-N 0 0 271.367 2.516 20 5 CFBDRN CC(C)(Nc1cc([N+](=O)[O-])c2nccn2c1)C1CC1 ZINC001162517644 781029297 /nfs/dbraw/zinc/02/92/97/781029297.db2.gz ACGPDCYDIXSPCE-UHFFFAOYSA-N 0 0 260.297 2.843 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1NC(C)(C)C1CC1 ZINC001162518074 781029744 /nfs/dbraw/zinc/02/97/44/781029744.db2.gz MGDOGCSWCRDOIA-UHFFFAOYSA-N 0 0 278.308 2.982 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1nccnc1[N+](=O)[O-] ZINC001162523721 781031867 /nfs/dbraw/zinc/03/18/67/781031867.db2.gz HCPQXZMHKWYGAE-VHSXEESVSA-N 0 0 250.302 2.623 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000704749871 781112677 /nfs/dbraw/zinc/11/26/77/781112677.db2.gz UTBCIEZNTLEKIO-ZSBIGDGJSA-N 0 0 261.277 2.832 20 5 CFBDRN COc1c(F)cc(NCc2cccn2C)cc1[N+](=O)[O-] ZINC001162711212 781129446 /nfs/dbraw/zinc/12/94/46/781129446.db2.gz CPBMVXPSTCWFDA-UHFFFAOYSA-N 0 0 279.271 2.693 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]21 ZINC000706936744 781217436 /nfs/dbraw/zinc/21/74/36/781217436.db2.gz DXEBUJPKFVOBAM-CABZTGNLSA-N 0 0 278.283 2.749 20 5 CFBDRN Cc1cncc(-c2noc(-c3ccc([N+](=O)[O-])o3)n2)c1 ZINC001212570120 781434957 /nfs/dbraw/zinc/43/49/57/781434957.db2.gz OKIBZSHRFSLUEZ-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@@H](CF)C1 ZINC000711489243 781445305 /nfs/dbraw/zinc/44/53/05/781445305.db2.gz JWMBTMFHPPETAA-VIFPVBQESA-N 0 0 284.262 2.556 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ccc([N+](=O)[O-])nc1Cl ZINC001229914783 781457897 /nfs/dbraw/zinc/45/78/97/781457897.db2.gz GLZXGBBBVSBRED-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN CC(=O)N[C@H]1CC[C@H](Nc2ccc(C)cc2[N+](=O)[O-])CC1 ZINC001063342846 781515925 /nfs/dbraw/zinc/51/59/25/781515925.db2.gz AHFBXMXFWYONRT-JOCQHMNTSA-N 0 0 291.351 2.762 20 5 CFBDRN CCN(C)c1nc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC001163583732 781516565 /nfs/dbraw/zinc/51/65/65/781516565.db2.gz PHSZKRLHVKWFON-UHFFFAOYSA-N 0 0 250.085 2.753 20 5 CFBDRN CCCN(CC)c1nc(C)nc(Cl)c1[N+](=O)[O-] ZINC001163601948 781522213 /nfs/dbraw/zinc/52/22/13/781522213.db2.gz JNVIJBDWZCQZDH-UHFFFAOYSA-N 0 0 258.709 2.583 20 5 CFBDRN CCN(Cc1ccncc1)c1ncc(F)cc1[N+](=O)[O-] ZINC001163607937 781523416 /nfs/dbraw/zinc/52/34/16/781523416.db2.gz NHFHENBVNFWWBE-UHFFFAOYSA-N 0 0 276.271 2.550 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N2CCC[C@H](O)[C@H](C)C2)n1 ZINC001164219790 781694838 /nfs/dbraw/zinc/69/48/38/781694838.db2.gz XTBCJDZFEQOSOD-KCJUWKMLSA-N 0 0 299.758 2.549 20 5 CFBDRN C[C@]1(F)CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H]1F ZINC001164326921 781705437 /nfs/dbraw/zinc/70/54/37/781705437.db2.gz LPJZURDXHJIHHE-ONGXEEELSA-N 0 0 291.685 2.920 20 5 CFBDRN Cc1cc(Cl)nc(N2CC[C@@](C)(O)[C@@H](C)C2)c1[N+](=O)[O-] ZINC001164347010 781716174 /nfs/dbraw/zinc/71/61/74/781716174.db2.gz UZHVTVXRWYXEEK-TVQRCGJNSA-N 0 0 299.758 2.549 20 5 CFBDRN O=C(N[C@H]1CCC[C@H](F)C1)c1cc([N+](=O)[O-])ccc1F ZINC001118765232 781717431 /nfs/dbraw/zinc/71/74/31/781717431.db2.gz XBSLNNMGJPSXFQ-IUCAKERBSA-N 0 0 284.262 2.744 20 5 CFBDRN CN(c1nc(Cl)nc(Cl)c1[N+](=O)[O-])C(C)(C)C ZINC001166529737 781760936 /nfs/dbraw/zinc/76/09/36/781760936.db2.gz JCKFJJKTLWVWDT-UHFFFAOYSA-N 0 0 279.127 2.926 20 5 CFBDRN COc1cc(N[C@@H]2C=CCC2)c([N+](=O)[O-])cc1OC ZINC000698328088 781761932 /nfs/dbraw/zinc/76/19/32/781761932.db2.gz SIXTUWSVSFLQIY-SECBINFHSA-N 0 0 264.281 2.743 20 5 CFBDRN O=C(N1Cc2ccc([N+](=O)[O-])cc2C1)C1(C2CC2)CC1 ZINC001119454362 781812082 /nfs/dbraw/zinc/81/20/82/781812082.db2.gz ZTCYZDRJFUSAQA-UHFFFAOYSA-N 0 0 272.304 2.627 20 5 CFBDRN CCOC(=O)c1ccc(OCC[C@@H](C)OC)cc1[N+](=O)[O-] ZINC001230913686 781881068 /nfs/dbraw/zinc/88/10/68/781881068.db2.gz ZPNGFQBKFAPAPV-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN C[C@@H]1CCCCN1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000822409665 781900672 /nfs/dbraw/zinc/90/06/72/781900672.db2.gz LJOCUIXEASQEIV-SECBINFHSA-N 0 0 297.742 2.767 20 5 CFBDRN CC(C)[C@@H](C[NH2+]C/C=C\c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000594540449 349443638 /nfs/dbraw/zinc/44/36/38/349443638.db2.gz ZPXZQRPHCATRJG-SCXUMTSISA-N 0 0 292.335 2.554 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC[C@H]1CCCO1 ZINC000594542957 349444212 /nfs/dbraw/zinc/44/42/12/349444212.db2.gz QVWLESYPKGTHGO-SNVBAGLBSA-N 0 0 266.297 2.584 20 5 CFBDRN CC(C)Oc1nc(N[C@@H]2CCS[C@H]2C)ccc1[N+](=O)[O-] ZINC000594585495 349452231 /nfs/dbraw/zinc/45/22/31/349452231.db2.gz BYKRYQDIYMDZSW-VHSXEESVSA-N 0 0 297.380 2.505 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCCC(F)(F)C1 ZINC000594586064 349452440 /nfs/dbraw/zinc/45/24/40/349452440.db2.gz KZTGWEDSDLWXAR-UHFFFAOYSA-N 0 0 272.251 2.839 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC2(CC2(F)F)C1 ZINC000594588640 349452930 /nfs/dbraw/zinc/45/29/30/349452930.db2.gz HWJJAESZVKMLHO-UHFFFAOYSA-N 0 0 258.199 2.579 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CC2)[C@@H]2CCCCO2)s1 ZINC000594590581 349452993 /nfs/dbraw/zinc/45/29/93/349452993.db2.gz LDMPHEFQJVYVBZ-ONGXEEELSA-N 0 0 283.353 2.811 20 5 CFBDRN COCC1(CNc2cccc(C)c2[N+](=O)[O-])CC1 ZINC000594592067 349453864 /nfs/dbraw/zinc/45/38/64/349453864.db2.gz KNEDODHPBWELOZ-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000067520123 346807476 /nfs/dbraw/zinc/80/74/76/346807476.db2.gz ZWXJHHHNNTZDSQ-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1c(C)cccc1CNc1ncc([N+](=O)[O-])cc1C ZINC000594595584 349455258 /nfs/dbraw/zinc/45/52/58/349455258.db2.gz HTXBKYFYRRVQIV-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](OC3CCC3)C2)s1 ZINC000594595193 349455345 /nfs/dbraw/zinc/45/53/45/349455345.db2.gz VUENMFGIMMEDTE-SNVBAGLBSA-N 0 0 283.353 2.589 20 5 CFBDRN Cc1cnc(NC[C@H](C)C(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000594595931 349455656 /nfs/dbraw/zinc/45/56/56/349455656.db2.gz LYDWJEXYAKLMME-JTQLQIEISA-N 0 0 295.339 2.688 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])nc(C)n1CC)[C@@H]1CCCCO1 ZINC000594599341 349456823 /nfs/dbraw/zinc/45/68/23/349456823.db2.gz JJRPRHJGKOJZBQ-RYUDHWBXSA-N 0 0 296.371 2.879 20 5 CFBDRN CC(C)OC(=O)C[C@@H](Nc1ccc([N+](=O)[O-])nc1)C(C)C ZINC000594598928 349457144 /nfs/dbraw/zinc/45/71/44/349457144.db2.gz ANEJKJBHSXQTRE-GFCCVEGCSA-N 0 0 295.339 2.768 20 5 CFBDRN O=[N+]([O-])c1cc(/C=C\c2ccnn2CC2CC2)ccn1 ZINC000594695409 349475304 /nfs/dbraw/zinc/47/53/04/349475304.db2.gz FTLFVDQBTWCHNY-ARJAWSKDSA-N 0 0 270.292 2.767 20 5 CFBDRN Cc1c(NCCO[C@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000594698987 349475380 /nfs/dbraw/zinc/47/53/80/349475380.db2.gz LALZSSMBCSNUKG-NSHDSACASA-N 0 0 272.251 2.739 20 5 CFBDRN C[C@H](N[C@@H]1C[C@H](C)n2ncnc21)c1ccc([N+](=O)[O-])cc1 ZINC000594710121 349478482 /nfs/dbraw/zinc/47/84/82/349478482.db2.gz IKCSLIVOMGWNKV-OUJBWJOFSA-N 0 0 287.323 2.543 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CCCC1CCC1 ZINC000594888228 349494282 /nfs/dbraw/zinc/49/42/82/349494282.db2.gz XMSKSTKNWFTQCI-UHFFFAOYSA-N 0 0 270.716 2.990 20 5 CFBDRN CC[C@H](CC(F)F)Cn1cc([N+](=O)[O-])c(C)cc1=O ZINC000594890292 349495167 /nfs/dbraw/zinc/49/51/67/349495167.db2.gz BFRZZWNLMJNQJV-SECBINFHSA-N 0 0 274.267 2.746 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000594892196 349495940 /nfs/dbraw/zinc/49/59/40/349495940.db2.gz RFYBWZHYHNSASH-UTUOFQBUSA-N 0 0 262.309 2.501 20 5 CFBDRN CCc1nnc([C@@H](C)Sc2ccc([N+](=O)[O-])cn2)[nH]1 ZINC000594893179 349496174 /nfs/dbraw/zinc/49/61/74/349496174.db2.gz RTPAAZUGRHWPLH-SSDOTTSWSA-N 0 0 279.325 2.524 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000594893256 349496281 /nfs/dbraw/zinc/49/62/81/349496281.db2.gz VJZPEFDQFYHTSP-KXUCPTDWSA-N 0 0 282.727 2.846 20 5 CFBDRN CO[C@@H](Cn1cc([N+](=O)[O-])c(C2CC2)n1)C1CCCC1 ZINC000594895485 349497838 /nfs/dbraw/zinc/49/78/38/349497838.db2.gz JXZMUFYEPGYRPU-ZDUSSCGKSA-N 0 0 279.340 2.874 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CCCC[C@@H]1C ZINC000594897307 349498098 /nfs/dbraw/zinc/49/80/98/349498098.db2.gz JZRCZARRGKYWAQ-JQWIXIFHSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2cc(C)nc(C)n2)n1 ZINC000594898638 349499221 /nfs/dbraw/zinc/49/92/21/349499221.db2.gz WXFGATKALRWYDZ-UHFFFAOYSA-N 0 0 288.307 2.592 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H](OC)C2CCC2)c1 ZINC000594906006 349500784 /nfs/dbraw/zinc/50/07/84/349500784.db2.gz GAKGLPNNPBPDIP-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1C[C@H]1C ZINC000289967375 197546313 /nfs/dbraw/zinc/54/63/13/197546313.db2.gz YQSGAZNEMFQJFK-MWLCHTKSSA-N 0 0 277.324 2.935 20 5 CFBDRN CN(CC1CCCC1)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124636301 187146462 /nfs/dbraw/zinc/14/64/62/187146462.db2.gz BSYPYPQMVZYOOE-UHFFFAOYSA-N 0 0 278.312 2.644 20 5 CFBDRN C[C@@H](NCc1cnc2n1CCC2)c1ccccc1[N+](=O)[O-] ZINC000594934793 349517532 /nfs/dbraw/zinc/51/75/32/349517532.db2.gz LJMLVHIDCUOLOV-LLVKDONJSA-N 0 0 286.335 2.588 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124649453 187146928 /nfs/dbraw/zinc/14/69/28/187146928.db2.gz FGHCNLLYOFUMDN-UWVGGRQHSA-N 0 0 278.312 2.690 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124669542 187148453 /nfs/dbraw/zinc/14/84/53/187148453.db2.gz GOJDAUXEORODDK-SNVBAGLBSA-N 0 0 280.328 2.936 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1nccn1C ZINC000124767402 187152705 /nfs/dbraw/zinc/15/27/05/187152705.db2.gz PKRDEUTYYILPKV-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000290051931 197577301 /nfs/dbraw/zinc/57/73/01/197577301.db2.gz JUWJMXVLEGHDHX-NWDGAFQWSA-N 0 0 288.307 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCCOCC2)c1 ZINC000124870895 187158746 /nfs/dbraw/zinc/15/87/46/187158746.db2.gz JHIILKNIMHGFLP-NSHDSACASA-N 0 0 250.298 2.884 20 5 CFBDRN CCCc1[nH]nc(C(=O)Nc2ccc(C)cc2)c1[N+](=O)[O-] ZINC000068263519 346843535 /nfs/dbraw/zinc/84/35/35/346843535.db2.gz TYBSLTGLHKNAOO-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1(C)C ZINC000595326418 349602624 /nfs/dbraw/zinc/60/26/24/349602624.db2.gz CERJDULBPPLUSN-NWDGAFQWSA-N 0 0 297.355 2.527 20 5 CFBDRN Cc1noc(CN(C)Cc2ccccc2)c1[N+](=O)[O-] ZINC000595293022 349594004 /nfs/dbraw/zinc/59/40/04/349594004.db2.gz WHMKETQNTMSDAR-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269555122 190510654 /nfs/dbraw/zinc/51/06/54/190510654.db2.gz YXQNAHXEEOTJLA-NXEZZACHSA-N 0 0 296.371 2.996 20 5 CFBDRN C[C@@H]1N(Cc2ccc(F)cc2[N+](=O)[O-])CCOC1(C)C ZINC000595347458 349607489 /nfs/dbraw/zinc/60/74/89/349607489.db2.gz PSFBPENDKDKFNI-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1noc(CN(C)c2c(F)cccc2F)c1[N+](=O)[O-] ZINC000595363296 349610636 /nfs/dbraw/zinc/61/06/36/349610636.db2.gz ZRSIHWMHRZCEDJ-UHFFFAOYSA-N 0 0 283.234 2.806 20 5 CFBDRN C[C@H]1CN(c2ccsc2[N+](=O)[O-])CC(C)(C)O1 ZINC000068463453 346854041 /nfs/dbraw/zinc/85/40/41/346854041.db2.gz DBYTXIUUEXWDEM-QMMMGPOBSA-N 0 0 256.327 2.660 20 5 CFBDRN Cc1nc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000595413718 349618966 /nfs/dbraw/zinc/61/89/66/349618966.db2.gz GEYMQBDHMQTNRH-SECBINFHSA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCO[C@H](C)C2)n1 ZINC000273108491 192045786 /nfs/dbraw/zinc/04/57/86/192045786.db2.gz FZNUVIWXHKNQKV-VXGBXAGGSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@H]1C(F)(F)F ZINC000595487055 349640264 /nfs/dbraw/zinc/64/02/64/349640264.db2.gz CTFGRTWJFHVWMX-JTQLQIEISA-N 0 0 278.205 2.871 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000341991337 534926822 /nfs/dbraw/zinc/92/68/22/534926822.db2.gz LOQIIXZQVHRJCI-LLVKDONJSA-N 0 0 297.742 2.846 20 5 CFBDRN Cc1c(C(=O)NC[C@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000068833814 346873484 /nfs/dbraw/zinc/87/34/84/346873484.db2.gz WRIHFLMVWZHAOK-LBPRGKRZSA-N 0 0 274.320 2.989 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N(CC1CC1)C1CCCCC1 ZINC000068910184 346876333 /nfs/dbraw/zinc/87/63/33/346876333.db2.gz ASQYUJJJMOSHMH-UHFFFAOYSA-N 0 0 278.356 2.877 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@@H]1c1cccnc1 ZINC000068936611 346877137 /nfs/dbraw/zinc/87/71/37/346877137.db2.gz DNOOSHOSCXXWJE-ZIAGYGMSSA-N 0 0 283.287 2.732 20 5 CFBDRN CC[C@H](F)COc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC000413018276 529439151 /nfs/dbraw/zinc/43/91/51/529439151.db2.gz QQMHCZGNDQLREH-QMMMGPOBSA-N 0 0 271.244 2.508 20 5 CFBDRN Cc1noc(CN2CCC3(CC3(F)F)CC2)c1[N+](=O)[O-] ZINC000595561038 349659684 /nfs/dbraw/zinc/65/96/84/349659684.db2.gz YTNSYCKRWUKOML-UHFFFAOYSA-N 0 0 287.266 2.512 20 5 CFBDRN CO[C@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])CC1(C)C ZINC000595524762 349651318 /nfs/dbraw/zinc/65/13/18/349651318.db2.gz OWXHHVIDVTXGFB-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN CNC(=O)c1ccc(NC[C@@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000069000204 346879764 /nfs/dbraw/zinc/87/97/64/346879764.db2.gz TZEUWGFMWOQOEC-LLVKDONJSA-N 0 0 289.335 2.723 20 5 CFBDRN O=[N+]([O-])c1cc(CN(C2CC2)C2(CO)CCC2)cs1 ZINC000595541725 349654539 /nfs/dbraw/zinc/65/45/39/349654539.db2.gz VBLASAQDIXJCAA-UHFFFAOYSA-N 0 0 282.365 2.536 20 5 CFBDRN COc1ccc(OC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000069199024 346885829 /nfs/dbraw/zinc/88/58/29/346885829.db2.gz PIAJVAOEOJLANW-NSHDSACASA-N 0 0 267.281 2.551 20 5 CFBDRN CC[C@@H](CC(F)F)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000410552995 529460930 /nfs/dbraw/zinc/46/09/30/529460930.db2.gz GYJNSTOETQCBOD-QMMMGPOBSA-N 0 0 287.266 2.918 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)NCC1=CCCC1 ZINC000595574765 349664028 /nfs/dbraw/zinc/66/40/28/349664028.db2.gz PSHJFQOCJVBSFU-UHFFFAOYSA-N 0 0 289.335 2.547 20 5 CFBDRN CCc1n[nH]c(C(=O)N(CC)C2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000413990757 529466021 /nfs/dbraw/zinc/46/60/21/529466021.db2.gz PGOHIAWDCMBIGE-UHFFFAOYSA-N 0 0 294.355 2.531 20 5 CFBDRN C[C@@]1(C2CC2)CN(Cc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000595616374 349677000 /nfs/dbraw/zinc/67/70/00/349677000.db2.gz BMJNABMOGUQTMT-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1cc(C)ccc1[N+](=O)[O-] ZINC000413455660 529473325 /nfs/dbraw/zinc/47/33/25/529473325.db2.gz SJUHWWKNWMYNRF-MFKMUULPSA-N 0 0 250.298 2.883 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000413459726 529473658 /nfs/dbraw/zinc/47/36/58/529473658.db2.gz XWUYLRAWPUAHSL-YPMHNXCESA-N 0 0 250.298 2.883 20 5 CFBDRN CC[C@@](C)(O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413102467 529513139 /nfs/dbraw/zinc/51/31/39/529513139.db2.gz FBCYLJHYBYDRDL-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN CCc1cccc(NC(=O)c2nn(CC)cc2[N+](=O)[O-])c1 ZINC000191454401 529542457 /nfs/dbraw/zinc/54/24/57/529542457.db2.gz YPUXZOKCMSKDET-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CNc1ccc(C(=O)N[C@H](C)C2CCCC2)cc1[N+](=O)[O-] ZINC000070543715 346910455 /nfs/dbraw/zinc/91/04/55/346910455.db2.gz IIPWWUPKRFLTGX-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@@H]1CCCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000070737746 346912931 /nfs/dbraw/zinc/91/29/31/346912931.db2.gz JPZHHNBHQCYVHU-LLVKDONJSA-N 0 0 294.376 2.945 20 5 CFBDRN CC[C@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000595788433 349733678 /nfs/dbraw/zinc/73/36/78/349733678.db2.gz OAEACCQWQJLBPT-ZDUSSCGKSA-N 0 0 291.351 2.925 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000173445845 529608544 /nfs/dbraw/zinc/60/85/44/529608544.db2.gz KYSFKAQIWSPYQE-ZDUSSCGKSA-N 0 0 274.320 2.996 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)CC1=CCSC1 ZINC000595895479 349764405 /nfs/dbraw/zinc/76/44/05/349764405.db2.gz NZWMPECNXCUYPR-UHFFFAOYSA-N 0 0 270.379 2.761 20 5 CFBDRN C[C@@H]1C[C@H](COc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000447299078 290031756 /nfs/dbraw/zinc/03/17/56/290031756.db2.gz NPYNSAXXRBAURZ-NXEZZACHSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1noc(CSCc2ccco2)c1[N+](=O)[O-] ZINC000596009677 349775762 /nfs/dbraw/zinc/77/57/62/349775762.db2.gz DZCDVSCVRAKMMM-UHFFFAOYSA-N 0 0 254.267 2.918 20 5 CFBDRN Cc1noc(CSc2nnc(C(C)(C)C)o2)c1[N+](=O)[O-] ZINC000596019879 349776698 /nfs/dbraw/zinc/77/66/98/349776698.db2.gz GYVWLAUNYYULEJ-UHFFFAOYSA-N 0 0 298.324 2.864 20 5 CFBDRN Cc1noc(CNc2cc(F)ccc2C)c1[N+](=O)[O-] ZINC000596029279 349777811 /nfs/dbraw/zinc/77/78/11/349777811.db2.gz XLWSRWGYNCGUPY-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN COc1cc(N2CCCC2)c(Cl)cc1[N+](=O)[O-] ZINC000596051573 349782044 /nfs/dbraw/zinc/78/20/44/349782044.db2.gz RPSKDWSVRAYPQC-UHFFFAOYSA-N 0 0 256.689 2.857 20 5 CFBDRN CC12CC(C1)CN2Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000595918523 349768898 /nfs/dbraw/zinc/76/88/98/349768898.db2.gz FEEPAAHWBYKWBF-UHFFFAOYSA-N 0 0 268.263 2.857 20 5 CFBDRN CC[C@H](C)NC(=O)CCNc1cc(C)sc1[N+](=O)[O-] ZINC000596081347 349788770 /nfs/dbraw/zinc/78/87/70/349788770.db2.gz FZRAHYHESJXDJX-QMMMGPOBSA-N 0 0 285.369 2.681 20 5 CFBDRN C[C@@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])CCCO1 ZINC000571254719 383677155 /nfs/dbraw/zinc/67/71/55/383677155.db2.gz GZYBHNLAXNKYGM-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN COc1cc(NCc2nnc(C)o2)c(Cl)cc1[N+](=O)[O-] ZINC000596082729 349789702 /nfs/dbraw/zinc/78/97/02/349789702.db2.gz LFIDQGNZPZBXGQ-UHFFFAOYSA-N 0 0 298.686 2.560 20 5 CFBDRN CCOCCNc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596082782 349789862 /nfs/dbraw/zinc/78/98/62/349789862.db2.gz MTWWSVXLAPLVBL-UHFFFAOYSA-N 0 0 274.704 2.705 20 5 CFBDRN CN(C(=O)C1CCSCC1)c1cccc([N+](=O)[O-])c1 ZINC000270001405 190583237 /nfs/dbraw/zinc/58/32/37/190583237.db2.gz NDXINWGCEFAILC-UHFFFAOYSA-N 0 0 280.349 2.701 20 5 CFBDRN COC(=O)CCCCNc1cc(C)sc1[N+](=O)[O-] ZINC000596092537 349792945 /nfs/dbraw/zinc/79/29/45/349792945.db2.gz BXHUQSUNKXHFAE-UHFFFAOYSA-N 0 0 272.326 2.720 20 5 CFBDRN CC[C@H](Nc1ccc(C(C)=O)cc1[N+](=O)[O-])C(C)(C)O ZINC000292816752 529675608 /nfs/dbraw/zinc/67/56/08/529675608.db2.gz OLSBRSRGPGMAMT-ZDUSSCGKSA-N 0 0 280.324 2.759 20 5 CFBDRN CCC(CC)Sc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596102743 349794677 /nfs/dbraw/zinc/79/46/77/349794677.db2.gz XGOSJPWBYMNYFG-UHFFFAOYSA-N 0 0 268.338 2.974 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC000596120810 349798673 /nfs/dbraw/zinc/79/86/73/349798673.db2.gz SVXKZRPIOGSCOP-LLVKDONJSA-N 0 0 285.303 2.837 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)sc2[N+](=O)[O-])C[C@H](C)O1 ZINC000596121836 349799737 /nfs/dbraw/zinc/79/97/37/349799737.db2.gz YAYPUIBKKKLKMH-WCBMZHEXSA-N 0 0 270.354 2.968 20 5 CFBDRN CC[C@H](C)N(CCO)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000287125616 529693836 /nfs/dbraw/zinc/69/38/36/529693836.db2.gz MELOTNGPGJQTEJ-NSHDSACASA-N 0 0 295.339 2.528 20 5 CFBDRN CC[C@H](C)[N@H+](C/C=C/c1ccccc1[N+](=O)[O-])CC(=O)[O-] ZINC000414682413 529692026 /nfs/dbraw/zinc/69/20/26/529692026.db2.gz NXFVJIHYYYWPEA-WMADIVHISA-N 0 0 292.335 2.793 20 5 CFBDRN CC[C@H](C)[N@H+](CC(=O)[O-])Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000414686811 529692470 /nfs/dbraw/zinc/69/24/70/529692470.db2.gz WCRSLFYGMDXMFT-NSHDSACASA-N 0 0 280.324 2.588 20 5 CFBDRN CCc1ccc(OCC(=O)N[C@@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC000115200892 529694842 /nfs/dbraw/zinc/69/48/42/529694842.db2.gz UIDCVHNXNSCQPM-NSHDSACASA-N 0 0 294.351 2.697 20 5 CFBDRN C[C@@H](CCO)CCNc1cccc(F)c1[N+](=O)[O-] ZINC000294983972 199284639 /nfs/dbraw/zinc/28/46/39/199284639.db2.gz PSVVIQZSNDQQQQ-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN Cc1cc(N2CCCOC(C)(C)C2)c([N+](=O)[O-])s1 ZINC000596131515 349802288 /nfs/dbraw/zinc/80/22/88/349802288.db2.gz OBBDVGFNMLNVKI-UHFFFAOYSA-N 0 0 270.354 2.970 20 5 CFBDRN Cc1ncsc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000351681142 282236153 /nfs/dbraw/zinc/23/61/53/282236153.db2.gz VTBMQOWTXKBFAN-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1=CCCCC1 ZINC000126621680 187252468 /nfs/dbraw/zinc/25/24/68/187252468.db2.gz OFJVKWJTBHBXGH-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN CC(C)(C)CCCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596135015 349803854 /nfs/dbraw/zinc/80/38/54/349803854.db2.gz RAWMGATYKMSYRU-UHFFFAOYSA-N 0 0 279.340 2.932 20 5 CFBDRN O=c1[nH]ccc(N2CCC3(C2)CCCCC3)c1[N+](=O)[O-] ZINC000596136862 349804667 /nfs/dbraw/zinc/80/46/67/349804667.db2.gz GARVWTKBRCPFBP-UHFFFAOYSA-N 0 0 277.324 2.856 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@H](C)Nc1ccccc1[N+](=O)[O-] ZINC000273911558 192359091 /nfs/dbraw/zinc/35/90/91/192359091.db2.gz ARHOCGHKSITPAM-UWVGGRQHSA-N 0 0 266.297 2.594 20 5 CFBDRN Cc1cc(N[C@@H](C)CC[S@@](C)=O)c([N+](=O)[O-])s1 ZINC000596143108 349806358 /nfs/dbraw/zinc/80/63/58/349806358.db2.gz XAAYTYHPCMMIDU-BWKAKNAASA-N 0 0 276.383 2.534 20 5 CFBDRN CC(C)OC(=O)CSc1c(Cl)cncc1[N+](=O)[O-] ZINC000596146391 349807898 /nfs/dbraw/zinc/80/78/98/349807898.db2.gz MDGDBPRNWININY-UHFFFAOYSA-N 0 0 290.728 2.687 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3c[nH]nc3C2)c([N+](=O)[O-])s1 ZINC000596163874 349814854 /nfs/dbraw/zinc/81/48/54/349814854.db2.gz RYLCEECZGLYXDF-SECBINFHSA-N 0 0 278.337 2.657 20 5 CFBDRN Cc1cc(Nc2cnn(C)c2C)c([N+](=O)[O-])s1 ZINC000596164141 349814885 /nfs/dbraw/zinc/81/48/85/349814885.db2.gz WEBICPZGCPJVAG-UHFFFAOYSA-N 0 0 252.299 2.750 20 5 CFBDRN Cc1ccc(-c2noc([C@]3(C)CCCO3)n2)cc1[N+](=O)[O-] ZINC000273917752 192361994 /nfs/dbraw/zinc/36/19/94/192361994.db2.gz YIDJCSFGRIJUFP-AWEZNQCLSA-N 0 0 289.291 2.979 20 5 CFBDRN CCc1nnc(CNc2c(Cl)cccc2[N+](=O)[O-])[nH]1 ZINC000295002133 199294057 /nfs/dbraw/zinc/29/40/57/199294057.db2.gz RWLPALRPLNNDEX-UHFFFAOYSA-N 0 0 281.703 2.541 20 5 CFBDRN C[C@@]1(c2ccccc2)CCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596166363 349815550 /nfs/dbraw/zinc/81/55/50/349815550.db2.gz XBFQHFBSIYWGGL-MRXNPFEDSA-N 0 0 298.346 2.740 20 5 CFBDRN Cc1cccc(C)c1CCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596169831 349816353 /nfs/dbraw/zinc/81/63/53/349816353.db2.gz WPIXRNTUZONYOJ-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CCC(CC)[C@@H](CCNc1cc[nH]c(=O)c1[N+](=O)[O-])OC ZINC000596170000 349816410 /nfs/dbraw/zinc/81/64/10/349816410.db2.gz NRKYVTQPCRBKPN-GFCCVEGCSA-N 0 0 297.355 2.949 20 5 CFBDRN COc1cc(N[C@@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000295022287 199304656 /nfs/dbraw/zinc/30/46/56/199304656.db2.gz QQPYSNHADDYNFX-VIFPVBQESA-N 0 0 268.313 2.565 20 5 CFBDRN CC(C)C1CN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596172242 349817068 /nfs/dbraw/zinc/81/70/68/349817068.db2.gz JFBCEPWNDDWUGH-UHFFFAOYSA-N 0 0 255.705 2.735 20 5 CFBDRN CCC[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596176755 349817967 /nfs/dbraw/zinc/81/79/67/349817967.db2.gz DMPXPSJEOCAEJT-VIFPVBQESA-N 0 0 285.731 2.649 20 5 CFBDRN CCc1ncsc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000157192812 529763901 /nfs/dbraw/zinc/76/39/01/529763901.db2.gz NOSGHBCDYDSKJB-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN Cc1ccc(N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)nn1 ZINC000596178829 349818875 /nfs/dbraw/zinc/81/88/75/349818875.db2.gz SPAOMZFIEWTNQO-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596207762 349826992 /nfs/dbraw/zinc/82/69/92/349826992.db2.gz GKNWPXOTOJZFRP-CYBMUJFWSA-N 0 0 299.758 2.632 20 5 CFBDRN COCC1=CCN(c2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596195498 349823894 /nfs/dbraw/zinc/82/38/94/349823894.db2.gz MPLARRIFOMGUAH-UHFFFAOYSA-N 0 0 268.338 2.748 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C)N(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596207438 349827022 /nfs/dbraw/zinc/82/70/22/349827022.db2.gz QPOJOZJCESXVQV-MXWKQRLJSA-N 0 0 291.351 2.565 20 5 CFBDRN Cc1csc([C@@H](C)CNc2cc[nH]c(=O)c2[N+](=O)[O-])n1 ZINC000596196657 349824778 /nfs/dbraw/zinc/82/47/78/349824778.db2.gz XMJRSSSRECBMRK-ZETCQYMHSA-N 0 0 294.336 2.676 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@H]1C ZINC000596198932 349824912 /nfs/dbraw/zinc/82/49/12/349824912.db2.gz OLOVHLRTSZIVAM-OPRDCNLKSA-N 0 0 265.313 2.932 20 5 CFBDRN Cc1cc(N2CCOc3ncccc3C2)c([N+](=O)[O-])s1 ZINC000596199248 349825028 /nfs/dbraw/zinc/82/50/28/349825028.db2.gz QHQIPMDNJWKZLB-UHFFFAOYSA-N 0 0 291.332 2.759 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@@H](O)C2)c([N+](=O)[O-])s1 ZINC000596207580 349827062 /nfs/dbraw/zinc/82/70/62/349827062.db2.gz SPNNABIJLYIFSQ-RKDXNWHRSA-N 0 0 256.327 2.538 20 5 CFBDRN CN(CC1(c2ccccc2)CC1)c1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596201860 349825724 /nfs/dbraw/zinc/82/57/24/349825724.db2.gz JWNWCEBARWQOHP-UHFFFAOYSA-N 0 0 299.330 2.863 20 5 CFBDRN COc1cc(N2CCC(=O)[C@@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596200381 349825745 /nfs/dbraw/zinc/82/57/45/349825745.db2.gz NMFFEMIGJRAWRI-QMMMGPOBSA-N 0 0 298.726 2.672 20 5 CFBDRN CCC[C@@H]1CCCCN1c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596199869 349825824 /nfs/dbraw/zinc/82/58/24/349825824.db2.gz XEPYXGBNJRCQKQ-LLVKDONJSA-N 0 0 291.351 2.853 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596180652 349819410 /nfs/dbraw/zinc/81/94/10/349819410.db2.gz WXAWYGBHSYPLEM-QMMMGPOBSA-N 0 0 286.353 2.966 20 5 CFBDRN Cc1cc(CO)cc(N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000596184025 349819888 /nfs/dbraw/zinc/81/98/88/349819888.db2.gz DGNLCXWRGXUZNJ-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN COc1cc(N2CC(C)(F)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596221951 349832265 /nfs/dbraw/zinc/83/22/65/349832265.db2.gz HJWRIMPLDMFURA-UHFFFAOYSA-N 0 0 274.679 2.805 20 5 CFBDRN Cc1cc(NCC2([S@](C)=O)CCC2)c([N+](=O)[O-])s1 ZINC000596226367 349833037 /nfs/dbraw/zinc/83/30/37/349833037.db2.gz SVXITLDTKDSINS-SFHVURJKSA-N 0 0 288.394 2.678 20 5 CFBDRN Cc1cc(NCCN2CC=CCC2)c([N+](=O)[O-])s1 ZINC000596226027 349833068 /nfs/dbraw/zinc/83/30/68/349833068.db2.gz TUFAMVVXBWOOFM-UHFFFAOYSA-N 0 0 267.354 2.639 20 5 CFBDRN Cc1ccc(C)c(CN(C)c2cc[nH]c(=O)c2[N+](=O)[O-])c1 ZINC000596209915 349827935 /nfs/dbraw/zinc/82/79/35/349827935.db2.gz IYDCYYMARIFSKY-UHFFFAOYSA-N 0 0 287.319 2.949 20 5 CFBDRN COc1cc(NCCC[C@@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000596215510 349828436 /nfs/dbraw/zinc/82/84/36/349828436.db2.gz VGYUNJBEOUNUJM-MRVPVSSYSA-N 0 0 288.731 2.830 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596216065 349829597 /nfs/dbraw/zinc/82/95/97/349829597.db2.gz CDTCDSORZORJAD-VHSXEESVSA-N 0 0 277.324 2.542 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000072946614 346952626 /nfs/dbraw/zinc/95/26/26/346952626.db2.gz RCAYDBIKHWBZSX-GFCCVEGCSA-N 0 0 264.325 2.848 20 5 CFBDRN CCOc1ccccc1OCc1onc(C)c1[N+](=O)[O-] ZINC000596237198 349835514 /nfs/dbraw/zinc/83/55/14/349835514.db2.gz RANLEGDSJGAAHI-UHFFFAOYSA-N 0 0 278.264 2.869 20 5 CFBDRN O=[N+]([O-])c1cc(N2CCO[C@H]3C[C@H]32)ccc1C(F)(F)F ZINC000596237953 349836470 /nfs/dbraw/zinc/83/64/70/349836470.db2.gz KRGUYKDKZMHWGR-MNOVXSKESA-N 0 0 288.225 2.591 20 5 CFBDRN COc1cc(C)ccc1OCc1onc(C)c1[N+](=O)[O-] ZINC000596239275 349836664 /nfs/dbraw/zinc/83/66/64/349836664.db2.gz FFIUMRWPPZBBHZ-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN COC(=O)C1(Cn2ccc3c2cccc3[N+](=O)[O-])CC1 ZINC000596256925 349843725 /nfs/dbraw/zinc/84/37/25/349843725.db2.gz HAPKYYOZIDLVFA-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN Cc1cc(N2CC[C@H]2C(F)F)c(F)cc1[N+](=O)[O-] ZINC000596245974 349839072 /nfs/dbraw/zinc/83/90/72/349839072.db2.gz COQJBIODJNAYAN-QMMMGPOBSA-N 0 0 260.215 2.886 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)[C@H]1C ZINC000596248992 349839496 /nfs/dbraw/zinc/83/94/96/349839496.db2.gz ORISCRAOTDKVFL-UWVGGRQHSA-N 0 0 257.293 2.988 20 5 CFBDRN Cc1cc(NCCC2(CO)CC2)c([N+](=O)[O-])s1 ZINC000596258096 349843788 /nfs/dbraw/zinc/84/37/88/349843788.db2.gz QQJCUBWAHOUXGH-UHFFFAOYSA-N 0 0 256.327 2.539 20 5 CFBDRN Cc1cc(NC[C@@]2(O)CCC[C@H]2C)c([N+](=O)[O-])s1 ZINC000596248236 349839754 /nfs/dbraw/zinc/83/97/54/349839754.db2.gz UWWGBQOSNOCVMW-PELKAZGASA-N 0 0 270.354 2.928 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1ncc([N+](=O)[O-])cc1Br ZINC000596251545 349840922 /nfs/dbraw/zinc/84/09/22/349840922.db2.gz XMJUYVOWOYVCQY-NKWVEPMBSA-N 0 0 286.129 2.597 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Br)[C@H]1C ZINC000596251547 349841064 /nfs/dbraw/zinc/84/10/64/349841064.db2.gz XMJUYVOWOYVCQY-RQJHMYQMSA-N 0 0 286.129 2.597 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1c2ccccc2ncc1[N+](=O)[O-] ZINC000596251583 349841235 /nfs/dbraw/zinc/84/12/35/349841235.db2.gz YEKRHACYJHMZDT-VHSXEESVSA-N 0 0 257.293 2.988 20 5 CFBDRN CCOc1cc(N2CCC[C@H](OC)C2)ccc1[N+](=O)[O-] ZINC000301546661 136433439 /nfs/dbraw/zinc/43/34/39/136433439.db2.gz UEBZIQCHMXYEDH-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCC1CCC1 ZINC000596270488 349848760 /nfs/dbraw/zinc/84/87/60/349848760.db2.gz ONEQMSFEHKXPIU-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN COCC[C@H](C)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000596269438 349848799 /nfs/dbraw/zinc/84/87/99/349848799.db2.gz BLYRYEKGBUKKMM-JTQLQIEISA-N 0 0 282.340 2.996 20 5 CFBDRN Cc1cc(N2CCC[C@@H]([C@@H](C)O)C2)ccc1[N+](=O)[O-] ZINC000301791941 136440030 /nfs/dbraw/zinc/44/00/30/136440030.db2.gz RKOSMYSDOWZDFC-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1cc(C)no1 ZINC000290542178 197743806 /nfs/dbraw/zinc/74/38/06/197743806.db2.gz IKZGOEKUFNCKHI-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN Cc1nn(C)c(C)c1OCc1ccc(F)cc1[N+](=O)[O-] ZINC000596261361 349845726 /nfs/dbraw/zinc/84/57/26/349845726.db2.gz QHDFKPGEEOPIEE-UHFFFAOYSA-N 0 0 279.271 2.663 20 5 CFBDRN Cc1cc(NCc2ncc3c(n2)CCC3)c([N+](=O)[O-])s1 ZINC000596265868 349846915 /nfs/dbraw/zinc/84/69/15/349846915.db2.gz VYSDLGOUZKDGJK-UHFFFAOYSA-N 0 0 290.348 2.856 20 5 CFBDRN CC1(C)C[C@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)CO1 ZINC000596310726 349860189 /nfs/dbraw/zinc/86/01/89/349860189.db2.gz KBXDEWHWMJLTFW-NSHDSACASA-N 0 0 291.351 2.848 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000073325482 346969731 /nfs/dbraw/zinc/96/97/31/346969731.db2.gz BTEMNTCYDBCJAD-AAEUAGOBSA-N 0 0 276.336 2.784 20 5 CFBDRN CC1(C)C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CO1 ZINC000596312808 349860596 /nfs/dbraw/zinc/86/05/96/349860596.db2.gz YIEFXORYZCNLIN-VIFPVBQESA-N 0 0 277.324 2.542 20 5 CFBDRN COC[C@@H](COc1cc(C)c([N+](=O)[O-])cc1Cl)OC ZINC000596281482 349852377 /nfs/dbraw/zinc/85/23/77/349852377.db2.gz RXZWWHFKHHWJGQ-VIFPVBQESA-N 0 0 289.715 2.597 20 5 CFBDRN Cc1noc(COc2ccc3c(c2)CCCO3)c1[N+](=O)[O-] ZINC000596283441 349852940 /nfs/dbraw/zinc/85/29/40/349852940.db2.gz WNRUITAAOJUCSM-UHFFFAOYSA-N 0 0 290.275 2.795 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]3CC[C@@H]2O3)c([N+](=O)[O-])cc1C ZINC000596290141 349854112 /nfs/dbraw/zinc/85/41/12/349854112.db2.gz KGSRLMFYUROYTF-XWIASGKRSA-N 0 0 278.308 2.644 20 5 CFBDRN CCOc1cc(Cn2cnc(C(C)C)n2)ccc1[N+](=O)[O-] ZINC000596302270 349857109 /nfs/dbraw/zinc/85/71/09/349857109.db2.gz VCNQUKLWNQJFLZ-UHFFFAOYSA-N 0 0 290.323 2.757 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000073336253 346971903 /nfs/dbraw/zinc/97/19/03/346971903.db2.gz QTIDNATXCXERGK-CMPLNLGQSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000073336113 346971965 /nfs/dbraw/zinc/97/19/65/346971965.db2.gz IOTJUYWKDCICNZ-ZYHUDNBSSA-N 0 0 262.309 2.855 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@]12C[C@@H]1CCC2 ZINC000596318181 349862294 /nfs/dbraw/zinc/86/22/94/349862294.db2.gz ZBASEBFNSNBEFO-CPCISQLKSA-N 0 0 253.689 2.998 20 5 CFBDRN CCOc1cc(N[C@H](CO)C2CCC2)ccc1[N+](=O)[O-] ZINC000596322529 349862762 /nfs/dbraw/zinc/86/27/62/349862762.db2.gz BTRSCIFSHOPWQE-GFCCVEGCSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1cccc(N2CCO[C@@](C)(C3CC3)C2)c1[N+](=O)[O-] ZINC000596335562 349868422 /nfs/dbraw/zinc/86/84/22/349868422.db2.gz QBYVCBPJQRUKOD-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN CC(C)(C)CCCCNc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290549760 197747682 /nfs/dbraw/zinc/74/76/82/197747682.db2.gz JJXWVWIYTXMGEH-UHFFFAOYSA-N 0 0 294.355 2.717 20 5 CFBDRN CCOCCCSCc1onc(C)c1[N+](=O)[O-] ZINC000596342595 349870639 /nfs/dbraw/zinc/87/06/39/349870639.db2.gz XPUUTUCZKZZXPK-UHFFFAOYSA-N 0 0 260.315 2.551 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](C)n3ncnc32)c(F)cc1[N+](=O)[O-] ZINC000596345420 349871757 /nfs/dbraw/zinc/87/17/57/349871757.db2.gz KCKURVSEDWVJGR-KCJUWKMLSA-N 0 0 291.286 2.752 20 5 CFBDRN COC[C@H]1CCCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000450932996 293204837 /nfs/dbraw/zinc/20/48/37/293204837.db2.gz ITWYZOINABWLCF-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000074024491 346990646 /nfs/dbraw/zinc/99/06/46/346990646.db2.gz MTYCIURCJJIZOE-UHFFFAOYSA-N 0 0 256.327 2.917 20 5 CFBDRN COCCC1CN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000596364966 349878338 /nfs/dbraw/zinc/87/83/38/349878338.db2.gz MSMYREJMWFBDBJ-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1noc(CSCCOC2CCC2)c1[N+](=O)[O-] ZINC000596364848 349878366 /nfs/dbraw/zinc/87/83/66/349878366.db2.gz UHGAVMKPECFAJP-UHFFFAOYSA-N 0 0 272.326 2.694 20 5 CFBDRN C[S@](=O)[C@@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000596375317 349882852 /nfs/dbraw/zinc/88/28/52/349882852.db2.gz PYLIXOXLNJJTIZ-MVBJNABHSA-N 0 0 282.365 2.696 20 5 CFBDRN CCCn1ncnc1CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000290565792 197751534 /nfs/dbraw/zinc/75/15/34/197751534.db2.gz SNOKTHAPSRFTBL-UHFFFAOYSA-N 0 0 295.730 2.862 20 5 CFBDRN O=C(/C=C/C1CC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000074234925 347003828 /nfs/dbraw/zinc/00/38/28/347003828.db2.gz MXWUILSWTGRAEK-ZZXKWVIFSA-N 0 0 250.229 2.639 20 5 CFBDRN CN(CCc1ccncc1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000427990690 529937309 /nfs/dbraw/zinc/93/73/09/529937309.db2.gz IJJSIRANOUCQSL-UHFFFAOYSA-N 0 0 297.318 2.545 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ncccc1[N+](=O)[O-])CC2 ZINC000596407559 349892315 /nfs/dbraw/zinc/89/23/15/349892315.db2.gz FMDYSRZFIDECBO-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CC1(CSCc2ccccc2[N+](=O)[O-])COC1 ZINC000596414778 349895492 /nfs/dbraw/zinc/89/54/92/349895492.db2.gz HUEBFILDYRNLCL-UHFFFAOYSA-N 0 0 253.323 2.865 20 5 CFBDRN CC[C@H](C)CCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596415803 349896218 /nfs/dbraw/zinc/89/62/18/349896218.db2.gz MWIYSKWSZGYNQS-VIFPVBQESA-N 0 0 265.313 2.542 20 5 CFBDRN CC(C)CCCNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000074517139 347019210 /nfs/dbraw/zinc/01/92/10/347019210.db2.gz VNWYRFLYHPQDLT-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN CNC(=O)c1cccc(OCc2ccc([N+](=O)[O-])cc2)c1 ZINC000075677640 529951049 /nfs/dbraw/zinc/95/10/49/529951049.db2.gz OVEAIWIVXFWUAZ-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000074650351 347024621 /nfs/dbraw/zinc/02/46/21/347024621.db2.gz BIILYBZYRFVQRH-VIFPVBQESA-N 0 0 252.270 2.593 20 5 CFBDRN CSCC1(CCNc2ncc([N+](=O)[O-])cc2C)CC1 ZINC000596429017 349900462 /nfs/dbraw/zinc/90/04/62/349900462.db2.gz OIZJFTGRBMVOBO-UHFFFAOYSA-N 0 0 281.381 2.665 20 5 CFBDRN CCc1nnc(CNc2cccc(C)c2[N+](=O)[O-])s1 ZINC000290627329 197770937 /nfs/dbraw/zinc/77/09/37/197770937.db2.gz GTGOJPJGRYHMHU-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000074663019 347025935 /nfs/dbraw/zinc/02/59/35/347025935.db2.gz DEDAFLSNEBCUPU-LBPRGKRZSA-N 0 0 292.335 2.765 20 5 CFBDRN C[C@H](CO)[C@H]1CCCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000596434089 349901794 /nfs/dbraw/zinc/90/17/94/349901794.db2.gz IKJSIAKCSLBSIZ-MWLCHTKSSA-N 0 0 299.758 2.631 20 5 CFBDRN C[C@H](Nc1ccnc2cc([N+](=O)[O-])ccc21)C1(CO)CC1 ZINC000596433892 349901809 /nfs/dbraw/zinc/90/18/09/349901809.db2.gz SBTUDASWHQWPFJ-JTQLQIEISA-N 0 0 287.319 2.716 20 5 CFBDRN CCOc1cccc(N[C@H]2C[C@H](OCC)C2)c1[N+](=O)[O-] ZINC000596436331 349902290 /nfs/dbraw/zinc/90/22/90/349902290.db2.gz LRAKABFVAZKPGS-XYPYZODXSA-N 0 0 280.324 2.973 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000596437528 349902435 /nfs/dbraw/zinc/90/24/35/349902435.db2.gz WCPJZMPHZMWQOP-XYPYZODXSA-N 0 0 265.313 2.586 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000074763683 347031401 /nfs/dbraw/zinc/03/14/01/347031401.db2.gz PYDFPFQTXGSVMG-LBPRGKRZSA-N 0 0 293.367 2.967 20 5 CFBDRN Cc1cc(N[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000074765711 347031408 /nfs/dbraw/zinc/03/14/08/347031408.db2.gz FQSOYPDBLJZFHP-BIMULSAOSA-N 0 0 277.324 2.524 20 5 CFBDRN COCC1(C2CCC2)CN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000596439319 349903079 /nfs/dbraw/zinc/90/30/79/349903079.db2.gz AOZRJJHPAVXRLV-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)C1(CO)CC1 ZINC000596439533 349903115 /nfs/dbraw/zinc/90/31/15/349903115.db2.gz YTYFBJXNNJMNOI-JTQLQIEISA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc(N[C@H](C)COCC(F)(F)F)ncc1[N+](=O)[O-] ZINC000074743884 347030745 /nfs/dbraw/zinc/03/07/45/347030745.db2.gz PLEDFUILDCRRCY-MRVPVSSYSA-N 0 0 293.245 2.678 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])C[C@@H](C)C1=O ZINC000596447100 349905562 /nfs/dbraw/zinc/90/55/62/349905562.db2.gz LNFXMQZFJUDCOU-GHMZBOCLSA-N 0 0 299.330 2.804 20 5 CFBDRN C[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)[C@@H]1CCO ZINC000596442217 349904574 /nfs/dbraw/zinc/90/45/74/349904574.db2.gz JMRRTBDSGVIPCT-JOYOIKCWSA-N 0 0 299.758 2.631 20 5 CFBDRN CCc1nn(C)c(NCCCOC(C)(C)C)c1[N+](=O)[O-] ZINC000596463277 349908144 /nfs/dbraw/zinc/90/81/44/349908144.db2.gz ZKEXKZALDIOBNZ-UHFFFAOYSA-N 0 0 284.360 2.508 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC[C@@](O)(C2CC2)C1 ZINC000596462653 349908284 /nfs/dbraw/zinc/90/82/84/349908284.db2.gz NHODPHLNMOGJIY-AWEZNQCLSA-N 0 0 296.754 2.990 20 5 CFBDRN CO[C@H](CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000074934065 347044172 /nfs/dbraw/zinc/04/41/72/347044172.db2.gz PCDIYCSJLDBPOF-CYBMUJFWSA-N 0 0 295.339 2.696 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCC(C)(C)F ZINC000596468004 349909233 /nfs/dbraw/zinc/90/92/33/349909233.db2.gz FMAXFLOYQMZQAE-UHFFFAOYSA-N 0 0 258.297 2.670 20 5 CFBDRN CCc1nnc(Sc2nccc(C)c2[N+](=O)[O-])s1 ZINC000596470405 349909959 /nfs/dbraw/zinc/90/99/59/349909959.db2.gz UJNUVICYECWPOZ-UHFFFAOYSA-N 0 0 282.350 2.863 20 5 CFBDRN COc1cccc(NC[C@H]2CCC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000596472497 349910542 /nfs/dbraw/zinc/91/05/42/349910542.db2.gz BOXRDDIXFORINK-GHMZBOCLSA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000596471947 349910673 /nfs/dbraw/zinc/91/06/73/349910673.db2.gz GEZMVMGHXKWWCK-GFCCVEGCSA-N 0 0 263.297 2.905 20 5 CFBDRN C[C@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@H](C)C1O ZINC000596469620 349909931 /nfs/dbraw/zinc/90/99/31/349909931.db2.gz MZMXPLOTYKJFBB-IUCAKERBSA-N 0 0 284.743 2.701 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000075064548 347052843 /nfs/dbraw/zinc/05/28/43/347052843.db2.gz VSNGTDYHLIURFJ-GXFFZTMASA-N 0 0 265.313 2.605 20 5 CFBDRN CN(Cc1ccc(Cl)cc1[N+](=O)[O-])CC(F)F ZINC000116277283 529985479 /nfs/dbraw/zinc/98/54/79/529985479.db2.gz QTFGGGCMXVRUTA-UHFFFAOYSA-N 0 0 264.659 2.945 20 5 CFBDRN CC(C)CCN(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000075108986 347055901 /nfs/dbraw/zinc/05/59/01/347055901.db2.gz DALARPMJZCZCHE-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](CCF)C2)cn1 ZINC000596477470 349913224 /nfs/dbraw/zinc/91/32/24/349913224.db2.gz CZBRBJOBRANALN-JTQLQIEISA-N 0 0 253.277 2.566 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H](CCF)C1 ZINC000596479482 349914375 /nfs/dbraw/zinc/91/43/75/349914375.db2.gz TXMJJXKFMNBGBD-SNVBAGLBSA-N 0 0 253.277 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](CCF)C2)nc1 ZINC000596479930 349914594 /nfs/dbraw/zinc/91/45/94/349914594.db2.gz WYYZXEITGLXJED-JTQLQIEISA-N 0 0 253.277 2.566 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1nccc3ccc([N+](=O)[O-])cc31)C2 ZINC000596481503 349915141 /nfs/dbraw/zinc/91/51/41/349915141.db2.gz YGDQXZXGWLMCPP-MLGOLLRUSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1ccc3ncccc3c1[N+](=O)[O-])C2 ZINC000596484265 349915848 /nfs/dbraw/zinc/91/58/48/349915848.db2.gz UDAYYTITMRKJBH-BZNIZROVSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1ccnc3cc([N+](=O)[O-])ccc31)C2 ZINC000596480877 349915276 /nfs/dbraw/zinc/91/52/76/349915276.db2.gz RIRKTGCEUYUUBY-BDJLRTHQSA-N 0 0 299.330 2.616 20 5 CFBDRN CN(c1c([N+](=O)[O-])ncn1C)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000418996158 530034857 /nfs/dbraw/zinc/03/48/57/530034857.db2.gz JSIZNXGTRPNEKM-GHMZBOCLSA-N 0 0 280.372 2.979 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cc1F)C1CC(C)(C)C1 ZINC000413444471 530038393 /nfs/dbraw/zinc/03/83/93/530038393.db2.gz SABYTJGLCFKFHN-UHFFFAOYSA-N 0 0 253.277 2.754 20 5 CFBDRN COC(=O)C[C@@H](CNc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000596490588 349918101 /nfs/dbraw/zinc/91/81/01/349918101.db2.gz DYPBZAKCMMRORP-JTQLQIEISA-N 0 0 296.298 2.735 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C2CCC2)C1 ZINC000596490114 349918237 /nfs/dbraw/zinc/91/82/37/349918237.db2.gz ZRZMVPLWSYOMBS-LBPRGKRZSA-N 0 0 291.351 2.586 20 5 CFBDRN Cc1cc(NC[C@@H](CO)CC2CC2)ccc1[N+](=O)[O-] ZINC000596492697 349918682 /nfs/dbraw/zinc/91/86/82/349918682.db2.gz RZTHOGQQZBZGLP-LBPRGKRZSA-N 0 0 264.325 2.724 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(O)(C2CC2)C2CC2)c1 ZINC000596492739 349918906 /nfs/dbraw/zinc/91/89/06/349918906.db2.gz KQZWCXNJAZJPAH-UHFFFAOYSA-N 0 0 276.336 2.866 20 5 CFBDRN CN(CC(C)(C)C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000339157130 282362613 /nfs/dbraw/zinc/36/26/13/282362613.db2.gz SLDLCCHHCBLVEG-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596496188 349919725 /nfs/dbraw/zinc/91/97/25/349919725.db2.gz ODDDPLOSELXYHD-GHMZBOCLSA-N 0 0 291.351 2.709 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC(O)(C2CC2)C2CC2)cc1 ZINC000596494262 349919770 /nfs/dbraw/zinc/91/97/70/349919770.db2.gz SILKVTWEZVYSCJ-UHFFFAOYSA-N 0 0 262.309 2.558 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC([C@@H]3CCCCO3)CC2)s1 ZINC000596496531 349920549 /nfs/dbraw/zinc/92/05/49/349920549.db2.gz GCXBSRSGSGGISJ-NSHDSACASA-N 0 0 297.380 2.837 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCC3(CC3(F)F)CC2)nc1 ZINC000596501205 349922039 /nfs/dbraw/zinc/92/20/39/349922039.db2.gz LJYICQMUDUXPJH-UHFFFAOYSA-N 0 0 284.266 2.765 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC([C@H]2CCCCO2)CC1 ZINC000596500949 349921870 /nfs/dbraw/zinc/92/18/70/349921870.db2.gz AEFAMPYRQXHGHD-CQSZACIVSA-N 0 0 291.351 2.775 20 5 CFBDRN CC12CC(C1)CN2C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000596503754 349922605 /nfs/dbraw/zinc/92/26/05/349922605.db2.gz WUVVUGGXXYZBAG-UHFFFAOYSA-N 0 0 261.281 2.611 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H](O)C12CCC2 ZINC000596500211 349922071 /nfs/dbraw/zinc/92/20/71/349922071.db2.gz AJCDMMQEWHDEKF-CHWSQXEVSA-N 0 0 262.309 2.619 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC(CF)CC2)cs1 ZINC000295101405 199344639 /nfs/dbraw/zinc/34/46/39/199344639.db2.gz SUTXSRJCQXVDMS-UHFFFAOYSA-N 0 0 258.318 2.838 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H](O)C23CCC3)c2ccncc21 ZINC000596507516 349923824 /nfs/dbraw/zinc/92/38/24/349923824.db2.gz KLFCJYYBPFLOMT-GJZGRUSLSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H](O)C23CCC3)c2ccncc21 ZINC000596507517 349923956 /nfs/dbraw/zinc/92/39/56/349923956.db2.gz KLFCJYYBPFLOMT-HUUCEWRRSA-N 0 0 299.330 2.858 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1C(C)(C)C1(F)F ZINC000596512264 349926028 /nfs/dbraw/zinc/92/60/28/349926028.db2.gz BRQPIKAOFZEMPY-QMMMGPOBSA-N 0 0 288.298 2.823 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1C[C@@H](O)C12CCC2 ZINC000596512726 349926102 /nfs/dbraw/zinc/92/61/02/349926102.db2.gz SKZAGDVZEWPYPW-NWDGAFQWSA-N 0 0 262.309 2.619 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@@H](O)C12CCC2 ZINC000596512728 349926123 /nfs/dbraw/zinc/92/61/23/349926123.db2.gz SKZAGDVZEWPYPW-VXGBXAGGSA-N 0 0 262.309 2.619 20 5 CFBDRN CN(c1ccccc1)[C@H]1CCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000596517826 349927944 /nfs/dbraw/zinc/92/79/44/349927944.db2.gz PMTIXKAOMSJPFT-HNNXBMFYSA-N 0 0 298.346 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ncncc2Cl)cc1 ZINC000097847686 232181567 /nfs/dbraw/zinc/18/15/67/232181567.db2.gz KUQOESNMHMEHCP-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1F)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000336374297 295734877 /nfs/dbraw/zinc/73/48/77/295734877.db2.gz GZRKYPQSDFYATN-VHSXEESVSA-N 0 0 291.282 2.697 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@]1(C)CC1(C)C ZINC000596523769 349929872 /nfs/dbraw/zinc/92/98/72/349929872.db2.gz NPSZORLICAZCMA-GFCCVEGCSA-N 0 0 252.318 2.720 20 5 CFBDRN C[C@H](O)C1(Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 ZINC000596522693 349929047 /nfs/dbraw/zinc/92/90/47/349929047.db2.gz AXFCTUHDKBXBGM-NSHDSACASA-N 0 0 299.330 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@H]3COC[C@@H]3C2)c1 ZINC000596528978 349931374 /nfs/dbraw/zinc/93/13/74/349931374.db2.gz PENKODKKZIYPJP-STQMWFEESA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nc([C@H]3CCOC3)no2)cc1 ZINC000120199563 232197212 /nfs/dbraw/zinc/19/72/12/232197212.db2.gz ZXOHFBVCOQWOQE-GQOHGMTASA-N 0 0 287.275 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@H]2COC[C@@H]2C1 ZINC000596527369 349930449 /nfs/dbraw/zinc/93/04/49/349930449.db2.gz IWVFSDQQKVZUIZ-RYUDHWBXSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@H]2COC[C@@H]2C1 ZINC000596529968 349931973 /nfs/dbraw/zinc/93/19/73/349931973.db2.gz SBDZWINQIJLOTI-RYUDHWBXSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)c1nccn1C ZINC000596543172 349936590 /nfs/dbraw/zinc/93/65/90/349936590.db2.gz MJNAUUXBWYDSHX-ZETCQYMHSA-N 0 0 282.250 2.780 20 5 CFBDRN C[C@H]1OCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)[C@H]1C ZINC000154716695 232227818 /nfs/dbraw/zinc/22/78/18/232227818.db2.gz YVGAZDDFAFGUSI-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@H](c1ccccc1)[C@@H](O)CNc1cccnc1[N+](=O)[O-] ZINC000596548945 349938718 /nfs/dbraw/zinc/93/87/18/349938718.db2.gz WCQBYUVEMIPNKP-RISCZKNCSA-N 0 0 287.319 2.566 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000596553140 349939781 /nfs/dbraw/zinc/93/97/81/349939781.db2.gz HZPZGLVCODFZPZ-XWEPSHTISA-N 0 0 284.699 2.612 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CC2=CCSC2)c1 ZINC000596554492 349939973 /nfs/dbraw/zinc/93/99/73/349939973.db2.gz RCGFLEAKRQQKNZ-UHFFFAOYSA-N 0 0 280.349 2.713 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)CC1=CCSC1 ZINC000596554861 349940974 /nfs/dbraw/zinc/94/09/74/349940974.db2.gz VPEANRHKDXNHNW-UHFFFAOYSA-N 0 0 292.360 2.907 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)CC2=CCSC2)n1 ZINC000596554865 349941006 /nfs/dbraw/zinc/94/10/06/349941006.db2.gz VWTGZNUMUYHNDV-UHFFFAOYSA-N 0 0 279.365 2.716 20 5 CFBDRN CCC[C@@](C)(O)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000127508134 187312338 /nfs/dbraw/zinc/31/23/38/187312338.db2.gz BHLSAABIEZXNFV-XHDPSFHLSA-N 0 0 294.351 2.632 20 5 CFBDRN COc1ccc(C)nc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000174794499 232301106 /nfs/dbraw/zinc/30/11/06/232301106.db2.gz GPTNRJBHMOORLE-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)NCc2ccccc2[N+](=O)[O-])O1 ZINC000175502075 232313456 /nfs/dbraw/zinc/31/34/56/232313456.db2.gz VQNYZFOCMZJDRD-WCQYABFASA-N 0 0 292.335 2.559 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000176596893 232336572 /nfs/dbraw/zinc/33/65/72/232336572.db2.gz XIHAMGAJLNZOSM-QWHCGFSZSA-N 0 0 260.293 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CSc3ncccn3)nc2c1 ZINC000176930112 232345544 /nfs/dbraw/zinc/34/55/44/232345544.db2.gz HBPCJJURMGYCNB-UHFFFAOYSA-N 0 0 288.288 2.818 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccco2)cc1[N+](=O)[O-] ZINC000177875392 232371440 /nfs/dbraw/zinc/37/14/40/232371440.db2.gz LBELJDGRBUSJJP-SNVBAGLBSA-N 0 0 274.276 2.987 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCO[C@@H](CC(F)(F)F)C1 ZINC000596559602 349941846 /nfs/dbraw/zinc/94/18/46/349941846.db2.gz ZSJZKIFCFMCQKQ-VIFPVBQESA-N 0 0 290.241 2.752 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2cccnc2)cc1[N+](=O)[O-] ZINC000177837538 232370218 /nfs/dbraw/zinc/37/02/18/232370218.db2.gz HLMIZJHMBMTDGN-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN O=C1OCC[C@@H]1Sc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000006028004 346213724 /nfs/dbraw/zinc/21/37/24/346213724.db2.gz RONRGCOZIGSKRX-QMMMGPOBSA-N 0 0 296.329 2.612 20 5 CFBDRN Nc1ccc(N2CC3CC2(c2ccccc2)C3)nc1[N+](=O)[O-] ZINC000596563839 349942276 /nfs/dbraw/zinc/94/22/76/349942276.db2.gz PEYKPAFZWGLNIB-UHFFFAOYSA-N 0 0 296.330 2.698 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1Cc2ccccc2O1 ZINC000010613417 346227623 /nfs/dbraw/zinc/22/76/23/346227623.db2.gz LRNLHVBCCCCLAZ-HNNXBMFYSA-N 0 0 299.282 2.642 20 5 CFBDRN CCCCNC(=O)CNc1c(C)ccc([N+](=O)[O-])c1C ZINC000085288625 179005095 /nfs/dbraw/zinc/00/50/95/179005095.db2.gz RBUKSXSOYFFVOQ-UHFFFAOYSA-N 0 0 279.340 2.540 20 5 CFBDRN O=C(Cc1ccc2c(c1)CCO2)Nc1cccc([N+](=O)[O-])c1 ZINC000014206861 346233781 /nfs/dbraw/zinc/23/37/81/346233781.db2.gz NFKWMSUZJJAJJS-UHFFFAOYSA-N 0 0 298.298 2.711 20 5 CFBDRN Cc1cc(NCCOCCF)c2cccc([N+](=O)[O-])c2n1 ZINC000596568857 349943911 /nfs/dbraw/zinc/94/39/11/349943911.db2.gz CTANPWCKSPYMLS-UHFFFAOYSA-N 0 0 293.298 2.849 20 5 CFBDRN CCOc1cc(NCc2ccnn2CC)ccc1[N+](=O)[O-] ZINC000596570641 349944656 /nfs/dbraw/zinc/94/46/56/349944656.db2.gz AVUSQZBOJMWUEA-UHFFFAOYSA-N 0 0 290.323 2.822 20 5 CFBDRN O=C(Nc1ccccc1O)c1ccc([N+](=O)[O-])s1 ZINC000016483037 346238696 /nfs/dbraw/zinc/23/86/96/346238696.db2.gz CQGITIYVVZXDKP-UHFFFAOYSA-N 0 0 264.262 2.614 20 5 CFBDRN CC12CC(C1)CN2c1c(Cl)cncc1[N+](=O)[O-] ZINC000596575363 349945648 /nfs/dbraw/zinc/94/56/48/349945648.db2.gz QJJWJQFWURQQRC-UHFFFAOYSA-N 0 0 253.689 2.632 20 5 CFBDRN Cc1ccccc1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000018833788 346241922 /nfs/dbraw/zinc/24/19/22/346241922.db2.gz PKERGFVWHYMXBM-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN O=C(NCCCCc1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000020448720 346243955 /nfs/dbraw/zinc/24/39/55/346243955.db2.gz UXOIOKQDJYSLPW-UHFFFAOYSA-N 0 0 288.303 2.941 20 5 CFBDRN O=C(NCCc1cccc(Cl)c1)c1ccc([N+](=O)[O-])o1 ZINC000020448714 346244120 /nfs/dbraw/zinc/24/41/20/346244120.db2.gz JIGLUYLDLJUBTO-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCCCCCC1 ZINC000020501374 346244172 /nfs/dbraw/zinc/24/41/72/346244172.db2.gz DYOXNHQWMXBNEW-UHFFFAOYSA-N 0 0 276.336 2.930 20 5 CFBDRN CC(C)CCO[C@@H]1CCN(c2cccnc2[N+](=O)[O-])C1 ZINC000596577308 349946283 /nfs/dbraw/zinc/94/62/83/349946283.db2.gz ZTQIWYSQNQGKEO-GFCCVEGCSA-N 0 0 279.340 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CSC(C)C ZINC000024762308 346256784 /nfs/dbraw/zinc/25/67/84/346256784.db2.gz VHLKKXOJNRIWGA-UHFFFAOYSA-N 0 0 268.338 2.983 20 5 CFBDRN Cc1cc(C(=O)N2CCCC[C@@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000187098289 232428672 /nfs/dbraw/zinc/42/86/72/232428672.db2.gz BBAHDVUJJHDYMI-SNVBAGLBSA-N 0 0 291.351 2.748 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C2CC2)c1 ZINC000025772401 346261829 /nfs/dbraw/zinc/26/18/29/346261829.db2.gz ANAMONPHPHGRNW-QMMMGPOBSA-N 0 0 280.349 2.845 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)NCC1CCC1 ZINC000026139571 346263542 /nfs/dbraw/zinc/26/35/42/346263542.db2.gz ZCBKCLRDYJOLKH-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CC(C)/N=c1\ccccn1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000026492064 346265171 /nfs/dbraw/zinc/26/51/71/346265171.db2.gz DACQIIDPMUFKHB-BMRADRMJSA-N 0 0 299.330 2.588 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCCCc1ccccc1 ZINC000028794868 346276474 /nfs/dbraw/zinc/27/64/74/346276474.db2.gz YOONEEMJWQYROO-UHFFFAOYSA-N 0 0 274.324 2.763 20 5 CFBDRN Cc1cc(OCc2nc(C(C)C)no2)ccc1[N+](=O)[O-] ZINC000029142314 346278947 /nfs/dbraw/zinc/27/89/47/346278947.db2.gz YTQGXIJBARGHFT-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN CCCn1c(C)cc(C(=O)Cn2cnc([N+](=O)[O-])c2)c1C ZINC000029211148 346279562 /nfs/dbraw/zinc/27/95/62/346279562.db2.gz KDRREQMBKFWJRS-UHFFFAOYSA-N 0 0 290.323 2.503 20 5 CFBDRN Cc1ccsc1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000029235915 346279863 /nfs/dbraw/zinc/27/98/63/346279863.db2.gz ORXAAQVXBVVDBX-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CC(C)(C)CCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000031760627 346297020 /nfs/dbraw/zinc/29/70/20/346297020.db2.gz RIJUGVYWFAPKET-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)c2ccccn2)cc1[N+](=O)[O-] ZINC000031895119 346299025 /nfs/dbraw/zinc/29/90/25/346299025.db2.gz XRXIXLFTZOSWAV-MRVPVSSYSA-N 0 0 291.332 2.851 20 5 CFBDRN CSc1ccc(C(=O)NC[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000032382425 346302856 /nfs/dbraw/zinc/30/28/56/346302856.db2.gz YHXWXASGQKIKMD-WCBMZHEXSA-N 0 0 280.349 2.703 20 5 CFBDRN C[C@H]1c2cccn2CCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000030267174 346287581 /nfs/dbraw/zinc/28/75/81/346287581.db2.gz XYVLKVOBUCVJIW-NSHDSACASA-N 0 0 285.303 2.613 20 5 CFBDRN CCC/C=C\c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000596592953 349950986 /nfs/dbraw/zinc/95/09/86/349950986.db2.gz KWIKKQRRKYFHLX-SREVYHEPSA-N 0 0 277.284 2.500 20 5 CFBDRN O=C(NCCCNc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000034402163 346316873 /nfs/dbraw/zinc/31/68/73/346316873.db2.gz YQAZUZMFGVVJQT-UHFFFAOYSA-N 0 0 299.330 2.827 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]2CCCC[C@H]12 ZINC000042058615 346359633 /nfs/dbraw/zinc/35/96/33/346359633.db2.gz ZLDPZATVGPKFSI-MPKXVKKWSA-N 0 0 291.351 2.964 20 5 CFBDRN CC[C@H]1CCCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000042102759 346360728 /nfs/dbraw/zinc/36/07/28/346360728.db2.gz HSMZPLZRAPCYLC-VIFPVBQESA-N 0 0 280.353 2.930 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1nc(C(C)C)no1 ZINC000042180676 346361846 /nfs/dbraw/zinc/36/18/46/346361846.db2.gz NNGTWMGHEJGGDQ-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN Cc1nccc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000038037529 346336973 /nfs/dbraw/zinc/33/69/73/346336973.db2.gz KSQCTTIOXKNAGB-JTQLQIEISA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccncc2)ccc1[N+](=O)[O-] ZINC000042660832 346369912 /nfs/dbraw/zinc/36/99/12/346369912.db2.gz ZWTPDFGAQSDSLH-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H](C)[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000043147348 346379940 /nfs/dbraw/zinc/37/99/40/346379940.db2.gz QLQQWPPIQHNABN-ZWNOBZJWSA-N 0 0 293.323 2.592 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000596618541 349957433 /nfs/dbraw/zinc/95/74/33/349957433.db2.gz CYESYGBWBOAVQO-JQWIXIFHSA-N 0 0 278.308 2.657 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000045012216 346401803 /nfs/dbraw/zinc/40/18/03/346401803.db2.gz GJLMRXUPUCMKBG-UHFFFAOYSA-N 0 0 291.332 2.714 20 5 CFBDRN O=C(NCc1ccc(Cl)s1)c1cc([N+](=O)[O-])c[nH]1 ZINC000045313458 346406664 /nfs/dbraw/zinc/40/66/64/346406664.db2.gz HETKYLBCUNYGEO-UHFFFAOYSA-N 0 0 285.712 2.568 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000044506447 346395121 /nfs/dbraw/zinc/39/51/21/346395121.db2.gz BVSFPZABBIBSLO-SECBINFHSA-N 0 0 268.338 2.919 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1cc(-c2ccccc2)no1 ZINC000045802225 346414508 /nfs/dbraw/zinc/41/45/08/346414508.db2.gz RXMRMYOVHSBUGG-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2COC(C)(C)C2)cc1[N+](=O)[O-] ZINC000596625452 349960103 /nfs/dbraw/zinc/96/01/03/349960103.db2.gz DKJFBXDYSNCROS-LLVKDONJSA-N 0 0 293.323 2.592 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccsc1 ZINC000046346794 346425990 /nfs/dbraw/zinc/42/59/90/346425990.db2.gz SBCGQIJUALJVMO-UHFFFAOYSA-N 0 0 292.316 2.846 20 5 CFBDRN CCOc1ccc(C(=O)NC(C)(C)CC)cc1[N+](=O)[O-] ZINC000046539222 346429621 /nfs/dbraw/zinc/42/96/21/346429621.db2.gz WDNHYKRTDDHKEF-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CCCn1c(C)cc(C(=O)Cn2nccc2[N+](=O)[O-])c1C ZINC000047169092 346445669 /nfs/dbraw/zinc/44/56/69/346445669.db2.gz MFAXWGRCSABFCV-UHFFFAOYSA-N 0 0 290.323 2.503 20 5 CFBDRN O=C(NCc1ccccc1)c1csc([N+](=O)[O-])c1 ZINC000046894467 346437232 /nfs/dbraw/zinc/43/72/32/346437232.db2.gz MPSHKKVLCXCTAU-UHFFFAOYSA-N 0 0 262.290 2.586 20 5 CFBDRN CO[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1C)C1CCC1 ZINC000596631442 349962060 /nfs/dbraw/zinc/96/20/60/349962060.db2.gz OXASARCRBWPRRH-ZDUSSCGKSA-N 0 0 278.308 2.657 20 5 CFBDRN CC(C)(C)CCNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000048205165 346469577 /nfs/dbraw/zinc/46/95/77/346469577.db2.gz LTXNADFZVSVSLZ-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN CCc1cnc(-c2cc([N+](=O)[O-])ccc2CO)s1 ZINC000596634278 349963605 /nfs/dbraw/zinc/96/36/05/349963605.db2.gz IBCAYBQQIXWIPU-UHFFFAOYSA-N 0 0 264.306 2.773 20 5 CFBDRN CCCSCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000048637683 346476449 /nfs/dbraw/zinc/47/64/49/346476449.db2.gz GVYQMHLIOHQYPI-UHFFFAOYSA-N 0 0 268.338 2.985 20 5 CFBDRN Cc1cc(C)n(CCCNc2ccc([N+](=O)[O-])s2)n1 ZINC000048999422 346478051 /nfs/dbraw/zinc/47/80/51/346478051.db2.gz LVWZCLUCQJDHBL-UHFFFAOYSA-N 0 0 280.353 2.972 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCc2c1cccc2F ZINC000048962696 346478474 /nfs/dbraw/zinc/47/84/74/346478474.db2.gz KUPLOCPIUJECSA-NSHDSACASA-N 0 0 276.271 2.567 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1cn2ccccc2n1 ZINC000049056606 346478900 /nfs/dbraw/zinc/47/89/00/346478900.db2.gz FSZMZVMLOCIQRR-UHFFFAOYSA-N 0 0 274.305 2.916 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCNc1ccccn1 ZINC000049056633 346479154 /nfs/dbraw/zinc/47/91/54/346479154.db2.gz JEZSZMHQWJUMDK-UHFFFAOYSA-N 0 0 264.310 2.575 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)c1ccc(C)cc1C ZINC000049418720 346483078 /nfs/dbraw/zinc/48/30/78/346483078.db2.gz SRKCWQRMQUYIQF-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN CNC(=O)c1ccc(CNc2ccc([N+](=O)[O-])c(C)c2)cc1 ZINC000051825260 346507568 /nfs/dbraw/zinc/50/75/68/346507568.db2.gz ZYIKMTWRZIMTLR-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CC(=O)Nc1cccc(CNc2ccc([N+](=O)[O-])cn2)c1 ZINC000052130479 346515366 /nfs/dbraw/zinc/51/53/66/346515366.db2.gz FWJJATFJBXFAJI-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cn1)N(C)c1ccccc1 ZINC000052183017 346516862 /nfs/dbraw/zinc/51/68/62/346516862.db2.gz ODZFVTYODSQOHM-GFCCVEGCSA-N 0 0 286.335 2.927 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2ccc3c(c2)COC3)c1 ZINC000596647417 349967489 /nfs/dbraw/zinc/96/74/89/349967489.db2.gz JNCOEDMNUZCGKS-UHFFFAOYSA-N 0 0 285.299 2.827 20 5 CFBDRN Cc1ccccc1CC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000053214933 346540792 /nfs/dbraw/zinc/54/07/92/346540792.db2.gz RJLCSTUPIUIPAO-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN CNC(=O)c1ccc(N2CCc3ccccc32)c([N+](=O)[O-])c1 ZINC000053548488 346549620 /nfs/dbraw/zinc/54/96/20/346549620.db2.gz VUBODJDMBPXKOG-UHFFFAOYSA-N 0 0 297.314 2.649 20 5 CFBDRN CNC(=O)c1ccc(NCc2ccccc2C)c([N+](=O)[O-])c1 ZINC000053551420 346549622 /nfs/dbraw/zinc/54/96/22/346549622.db2.gz YOJRMYXDWNGHMC-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CNC(=O)c1ccc(Nc2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000053548284 346549637 /nfs/dbraw/zinc/54/96/37/346549637.db2.gz QCDKPMAKBRWBRG-UHFFFAOYSA-N 0 0 289.266 2.837 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCc3cc(F)ccc32)c1[N+](=O)[O-] ZINC000057141745 346619734 /nfs/dbraw/zinc/61/97/34/346619734.db2.gz JVXGUMQKAUGYBY-LBPRGKRZSA-N 0 0 290.298 2.875 20 5 CFBDRN Cc1cc(N(C)C)ccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000056322592 346606642 /nfs/dbraw/zinc/60/66/42/346606642.db2.gz UAWITFHSAVZZAL-UHFFFAOYSA-N 0 0 288.307 2.550 20 5 CFBDRN CC(C)C(=O)NCCCNc1ccc([N+](=O)[O-])cc1Cl ZINC000059642507 346657238 /nfs/dbraw/zinc/65/72/38/346657238.db2.gz NYLMWTMSQVDAES-UHFFFAOYSA-N 0 0 299.758 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)C[C@H](C)C2)c1 ZINC000060063686 346659485 /nfs/dbraw/zinc/65/94/85/346659485.db2.gz WAFJVGABULUWEN-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCc1ccccc1Cl ZINC000060212718 346661328 /nfs/dbraw/zinc/66/13/28/346661328.db2.gz POMLJIFBORHUPA-UHFFFAOYSA-N 0 0 278.695 2.653 20 5 CFBDRN C[C@H](CNc1ccccc1[N+](=O)[O-])Cn1ccnc1 ZINC000060380122 346664353 /nfs/dbraw/zinc/66/43/53/346664353.db2.gz PWQCMOLIWFFCHA-LLVKDONJSA-N 0 0 260.297 2.540 20 5 CFBDRN CCCCCOc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC000060805200 346671757 /nfs/dbraw/zinc/67/17/57/346671757.db2.gz SFPMXYSIPWPUAD-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN CCNc1ccc(C(=O)OC(C)C)cc1[N+](=O)[O-] ZINC000057950689 346640572 /nfs/dbraw/zinc/64/05/72/346640572.db2.gz JKLHHQCGMJAVOC-UHFFFAOYSA-N 0 0 252.270 2.592 20 5 CFBDRN Cc1c(CN2CCOCC2(C)C)cccc1[N+](=O)[O-] ZINC000064248185 346716604 /nfs/dbraw/zinc/71/66/04/346716604.db2.gz RGRZLOLBFPHSJP-UHFFFAOYSA-N 0 0 264.325 2.514 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)c1cc(C)ccc1C ZINC000064331986 346717701 /nfs/dbraw/zinc/71/77/01/346717701.db2.gz MUVNLHPFXDAKSQ-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN Cc1noc(CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)n1 ZINC000064350082 346718467 /nfs/dbraw/zinc/71/84/67/346718467.db2.gz AAHVJZAVQNOVJT-UHFFFAOYSA-N 0 0 290.323 2.697 20 5 CFBDRN Cc1cc(C)c(OC(=O)COC(C)C)c([N+](=O)[O-])c1 ZINC000064781634 346725769 /nfs/dbraw/zinc/72/57/69/346725769.db2.gz IERTZTGCJBGJCX-UHFFFAOYSA-N 0 0 267.281 2.542 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2csc(-c3nc[nH]n3)n2)c1 ZINC000061844323 346684952 /nfs/dbraw/zinc/68/49/52/346684952.db2.gz DOFWOOTYDQQUIT-UHFFFAOYSA-N 0 0 273.277 2.503 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000062926627 346693348 /nfs/dbraw/zinc/69/33/48/346693348.db2.gz FBMZGLMCKCMLRG-LBPRGKRZSA-N 0 0 276.336 2.786 20 5 CFBDRN CN(Cc1ccco1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000063069869 346695079 /nfs/dbraw/zinc/69/50/79/346695079.db2.gz MLIBPRTTWJZANG-UHFFFAOYSA-N 0 0 289.291 2.529 20 5 CFBDRN Cc1ncc(C(=O)Nc2ccc([N+](=O)[O-])cc2)s1 ZINC000063473575 346701318 /nfs/dbraw/zinc/70/13/18/346701318.db2.gz WZKKXZVGZQXFHB-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN COCCCN(C)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000066372031 346756139 /nfs/dbraw/zinc/75/61/39/346756139.db2.gz HLFFINXSMYLGCW-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN COCc1ccc(CNc2ccncc2[N+](=O)[O-])cc1 ZINC000066808466 346780442 /nfs/dbraw/zinc/78/04/42/346780442.db2.gz ZOWSSXHJBARCKD-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN CN(CCc1ccc(F)cc1)c1ccncc1[N+](=O)[O-] ZINC000066810366 346780565 /nfs/dbraw/zinc/78/05/65/346780565.db2.gz HNPBLPYFRBNIDY-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000275458023 282508434 /nfs/dbraw/zinc/50/84/34/282508434.db2.gz YMCBXDCJCNGMJN-NSHDSACASA-N 0 0 291.351 2.568 20 5 CFBDRN CCCCCNC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000065711521 346738367 /nfs/dbraw/zinc/73/83/67/346738367.db2.gz VYLOESSBZMCRBF-UHFFFAOYSA-N 0 0 289.335 2.856 20 5 CFBDRN C[C@H](Cc1ccsc1)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000065802739 346741362 /nfs/dbraw/zinc/74/13/62/346741362.db2.gz GBBQZWAUCIXRQI-MRVPVSSYSA-N 0 0 280.305 2.610 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCc1cccs1 ZINC000067029954 346789377 /nfs/dbraw/zinc/78/93/77/346789377.db2.gz KSXXVUSMZMYYKB-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CCCn1nccc1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000067041216 346790113 /nfs/dbraw/zinc/79/01/13/346790113.db2.gz CNVMAPCBWLAQAG-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN O=C(CCc1cccs1)NCc1cccc([N+](=O)[O-])c1 ZINC000067071085 346790592 /nfs/dbraw/zinc/79/05/92/346790592.db2.gz KMZGYYCCGBWASG-UHFFFAOYSA-N 0 0 290.344 2.905 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1C[C@H]1c1ccccc1 ZINC000067503277 346805993 /nfs/dbraw/zinc/80/59/93/346805993.db2.gz OVUWCOMXACHCLI-LSDHHAIUSA-N 0 0 296.326 2.810 20 5 CFBDRN CC1(C(=O)NCCNc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000067509159 346806825 /nfs/dbraw/zinc/80/68/25/346806825.db2.gz AVEWHIZVEKZHOW-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN Cc1ccn2cc(CNc3ncc([N+](=O)[O-])cc3C)nc2c1 ZINC000076666061 347147152 /nfs/dbraw/zinc/14/71/52/347147152.db2.gz ORMVCCBPUBXOIZ-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN Cc1noc(C)c1CN(C)c1ncc([N+](=O)[O-])cc1C ZINC000076665641 347147234 /nfs/dbraw/zinc/14/72/34/347147234.db2.gz UCOUXECBZOLRIY-UHFFFAOYSA-N 0 0 276.296 2.539 20 5 CFBDRN Cc1ccnc(Oc2cccc(-n3cncn3)c2)c1[N+](=O)[O-] ZINC000076688742 347148211 /nfs/dbraw/zinc/14/82/11/347148211.db2.gz WODBSTDSIFVINP-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])nc1)N(C)c1ccccc1 ZINC000076771869 347152455 /nfs/dbraw/zinc/15/24/55/347152455.db2.gz DOAVRMWFRRWVSJ-LBPRGKRZSA-N 0 0 286.335 2.927 20 5 CFBDRN Cc1ccc(Cl)c(Oc2ncc([N+](=O)[O-])c(N)n2)c1 ZINC000076267072 347131392 /nfs/dbraw/zinc/13/13/92/347131392.db2.gz IWGZHIFKRQMLSH-UHFFFAOYSA-N 0 0 280.671 2.721 20 5 CFBDRN Nc1nc(N2CCC[C@H]2C2CCCCC2)ncc1[N+](=O)[O-] ZINC000076268519 347131957 /nfs/dbraw/zinc/13/19/57/347131957.db2.gz BNKKNOXRGDRSLT-NSHDSACASA-N 0 0 291.355 2.516 20 5 CFBDRN Cc1cc(OCC(=O)NCCCC(C)C)ccc1[N+](=O)[O-] ZINC000077222656 347176775 /nfs/dbraw/zinc/17/67/75/347176775.db2.gz SWQOXHOWVYCGAS-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN Cc1cc(NC[C@H](C)C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000077228402 347177482 /nfs/dbraw/zinc/17/74/82/347177482.db2.gz ZKRGNTDODYNOPL-KOLCDFICSA-N 0 0 252.314 2.722 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1cccc(F)c1[N+](=O)[O-] ZINC000077228548 347177754 /nfs/dbraw/zinc/17/77/54/347177754.db2.gz KHWWZNHOFQAKJI-RKDXNWHRSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@@](O)(CNc1ccccc1[N+](=O)[O-])c1ccsc1 ZINC000077236629 347179253 /nfs/dbraw/zinc/17/92/53/347179253.db2.gz DEUNIYTVMVABJG-CYBMUJFWSA-N 0 0 278.333 2.976 20 5 CFBDRN CC(C)CC[C@](C)(O)CNc1ccc([N+](=O)[O-])cn1 ZINC000077235268 347179312 /nfs/dbraw/zinc/17/93/12/347179312.db2.gz KPWWBABPEWFKOL-ZDUSSCGKSA-N 0 0 267.329 2.589 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1cccc(Cl)c1 ZINC000077237027 347179792 /nfs/dbraw/zinc/17/97/92/347179792.db2.gz QDIARIUVPWHTQM-WDEREUQCSA-N 0 0 292.726 2.950 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077309683 347185559 /nfs/dbraw/zinc/18/55/59/347185559.db2.gz CKQOQUHUELXWGK-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN COC(C)(C)C[C@H](C)Nc1ccc([N+](=O)[O-])nc1 ZINC000078402203 347250012 /nfs/dbraw/zinc/25/00/12/347250012.db2.gz QRJZGDYQBFTRNO-VIFPVBQESA-N 0 0 253.302 2.605 20 5 CFBDRN CSC1(CNc2nccc(C)c2[N+](=O)[O-])CCOCC1 ZINC000078412457 347250932 /nfs/dbraw/zinc/25/09/32/347250932.db2.gz QOBSIMBBUXJTSG-UHFFFAOYSA-N 0 0 297.380 2.622 20 5 CFBDRN CC[C@H](c1ccncc1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000077989800 347223211 /nfs/dbraw/zinc/22/32/11/347223211.db2.gz NMONPMUJZIIHMJ-CYBMUJFWSA-N 0 0 272.308 2.972 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ccc([N+](=O)[O-])nc1 ZINC000077990680 347223260 /nfs/dbraw/zinc/22/32/60/347223260.db2.gz VSEXLNGRUDBYGG-MRVPVSSYSA-N 0 0 276.296 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H]2O)c(Cl)c1 ZINC000077993621 347223436 /nfs/dbraw/zinc/22/34/36/347223436.db2.gz AZJRHNGTUNQKEM-QWRGUYRKSA-N 0 0 256.689 2.574 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000078000535 347224330 /nfs/dbraw/zinc/22/43/30/347224330.db2.gz NTLYOVUIHQGWII-BDAKNGLRSA-N 0 0 268.338 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@@H](O)C2)s1 ZINC000078304689 347239121 /nfs/dbraw/zinc/23/91/21/347239121.db2.gz HVIYCOVHWXBAIJ-RKDXNWHRSA-N 0 0 256.327 2.619 20 5 CFBDRN CC(C)(C)OC(=O)CCNc1ccc([N+](=O)[O-])cc1F ZINC000078305907 347239980 /nfs/dbraw/zinc/23/99/80/347239980.db2.gz AWAKLBYLQLTTRU-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN CC(C)[C@H](O)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000078341499 347244221 /nfs/dbraw/zinc/24/42/21/347244221.db2.gz FAILPCKQUFASML-GFCCVEGCSA-N 0 0 256.277 2.553 20 5 CFBDRN CC(C)[C@@H](O)CCNc1ccc([N+](=O)[O-])cc1F ZINC000078341714 347244345 /nfs/dbraw/zinc/24/43/45/347244345.db2.gz FFQUWSAYUMVZNN-LBPRGKRZSA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1ccccc1[C@@H]1CN(c2ccncc2[N+](=O)[O-])CCO1 ZINC000078366577 347246784 /nfs/dbraw/zinc/24/67/84/347246784.db2.gz AYJCKSCPDVLVPQ-INIZCTEOSA-N 0 0 299.330 2.876 20 5 CFBDRN C[C@]1(CO)CCC[C@@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000078381245 347248196 /nfs/dbraw/zinc/24/81/96/347248196.db2.gz NEQGTKYZTYKPPB-CMPLNLGQSA-N 0 0 285.731 2.606 20 5 CFBDRN CCCn1cc(Nc2nccc(C)c2[N+](=O)[O-])cn1 ZINC000078472745 347255617 /nfs/dbraw/zinc/25/56/17/347255617.db2.gz HTIAWOJZDRFXDR-UHFFFAOYSA-N 0 0 261.285 2.648 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000078480412 347256328 /nfs/dbraw/zinc/25/63/28/347256328.db2.gz PPQTXQCXVHWISW-AOOOYVTPSA-N 0 0 252.339 2.925 20 5 CFBDRN C[C@H](CNc1c([N+](=O)[O-])ncn1C)Sc1ccccc1 ZINC000078504849 347257768 /nfs/dbraw/zinc/25/77/68/347257768.db2.gz QPBNPFXQNUKFEZ-SNVBAGLBSA-N 0 0 292.364 2.921 20 5 CFBDRN CCC[C@@H](C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000078517024 347259047 /nfs/dbraw/zinc/25/90/47/347259047.db2.gz RBVJTVPSSLEGAK-LLVKDONJSA-N 0 0 279.340 2.702 20 5 CFBDRN CC(C)Cn1cc(Nc2ncccc2[N+](=O)[O-])cn1 ZINC000078521938 347260163 /nfs/dbraw/zinc/26/01/63/347260163.db2.gz BJERGMFTJZRQKD-UHFFFAOYSA-N 0 0 261.285 2.586 20 5 CFBDRN CC(C)[C@H]1CN(c2ncccc2[N+](=O)[O-])CCS1 ZINC000078578228 347262446 /nfs/dbraw/zinc/26/24/46/347262446.db2.gz YLPBACKUXWQIOA-LLVKDONJSA-N 0 0 267.354 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccco3)C2)c(F)c1 ZINC000133428031 136713817 /nfs/dbraw/zinc/71/38/17/136713817.db2.gz VBYRRZUXQUCUHX-AWEZNQCLSA-N 0 0 292.266 2.905 20 5 CFBDRN COC(=O)c1cccc(Oc2ncc(C)cc2[N+](=O)[O-])c1 ZINC000078624907 347265148 /nfs/dbraw/zinc/26/51/48/347265148.db2.gz ITNTXTSSNDRDLI-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN Cc1cnc(N[C@H](C)C[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000078657792 347268212 /nfs/dbraw/zinc/26/82/12/347268212.db2.gz HIVBFVRKZORFKT-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cnc(NCC(C)(C)C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000078668150 347268987 /nfs/dbraw/zinc/26/89/87/347268987.db2.gz GHNZVZXQVABRBD-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN CCN(CC)C(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000078869437 347277103 /nfs/dbraw/zinc/27/71/03/347277103.db2.gz ONCXSJZOGQDJNB-UHFFFAOYSA-N 0 0 291.351 2.677 20 5 CFBDRN C[C@@H](Sc1nnc(N)s1)c1ccccc1[N+](=O)[O-] ZINC000078928486 347279608 /nfs/dbraw/zinc/27/96/08/347279608.db2.gz KERMRLIHQSLGIW-ZCFIWIBFSA-N 0 0 282.350 2.882 20 5 CFBDRN CNC(=O)c1ccc(CNc2ccc(C)cc2[N+](=O)[O-])cc1 ZINC000080139422 347345891 /nfs/dbraw/zinc/34/58/91/347345891.db2.gz JRJMGXXVPJDMLJ-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC(C(F)(F)F)CC1 ZINC000081313082 347412775 /nfs/dbraw/zinc/41/27/75/347412775.db2.gz DRWVCWZPOFATKF-UHFFFAOYSA-N 0 0 292.213 2.602 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1cccnc1N(C)C ZINC000080570479 347366457 /nfs/dbraw/zinc/36/64/57/347366457.db2.gz JXHLMCPISKWGEL-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2c3ccccc3C[C@@H]2O)s1 ZINC000080584326 347368057 /nfs/dbraw/zinc/36/80/57/347368057.db2.gz DOMFPVXRVRRUJB-GXFFZTMASA-N 0 0 276.317 2.727 20 5 CFBDRN Cc1cc(N[C@H](C)CCO)c(Cl)cc1[N+](=O)[O-] ZINC000080645786 347371765 /nfs/dbraw/zinc/37/17/65/347371765.db2.gz YUPGPCFCPRXBKW-MRVPVSSYSA-N 0 0 258.705 2.739 20 5 CFBDRN CO[C@@H](CNc1ncc(C)cc1[N+](=O)[O-])C(C)(C)C ZINC000080697564 347376456 /nfs/dbraw/zinc/37/64/56/347376456.db2.gz VYHNWYJFMXOLSQ-NSHDSACASA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)S[C@H](C)C2)c1 ZINC000080949562 347387077 /nfs/dbraw/zinc/38/70/77/347387077.db2.gz XIWOXYYSWRELNX-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C[C@@H](C)S1 ZINC000080962506 347389841 /nfs/dbraw/zinc/38/98/41/347389841.db2.gz PSRBPFSGFSDABO-RKDXNWHRSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)C[C@@H](C)S1 ZINC000080960113 347389868 /nfs/dbraw/zinc/38/98/68/347389868.db2.gz VXLXYQNYLPXFHW-HTQZYQBOSA-N 0 0 286.378 2.622 20 5 CFBDRN Cc1noc(CCCNc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000080978239 347392743 /nfs/dbraw/zinc/39/27/43/347392743.db2.gz LDAXAZZHAMSAIX-UHFFFAOYSA-N 0 0 276.296 2.639 20 5 CFBDRN Cc1cnc(N2CCSC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000081032706 347398649 /nfs/dbraw/zinc/39/86/49/347398649.db2.gz OBQBHDUWZNYCHX-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1cnc(NCCC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000401992919 232682270 /nfs/dbraw/zinc/68/22/70/232682270.db2.gz LMXHQLFDHGPUEQ-LLVKDONJSA-N 0 0 265.313 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CSc3cnccn3)nc2c1 ZINC000083092444 347448086 /nfs/dbraw/zinc/44/80/86/347448086.db2.gz CJMLVQUYWBMIGI-UHFFFAOYSA-N 0 0 288.288 2.818 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[NH+]1CCC(C)(C(=O)[O-])CC1 ZINC000086777122 347489017 /nfs/dbraw/zinc/48/90/17/347489017.db2.gz PUSVBDOCFMRSLV-NSHDSACASA-N 0 0 292.335 2.843 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087102928 347492056 /nfs/dbraw/zinc/49/20/56/347492056.db2.gz VTUVSYJGUCOOAK-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1nn(C)c(Sc2cccc(F)c2)c1[N+](=O)[O-] ZINC000084489428 347466081 /nfs/dbraw/zinc/46/60/81/347466081.db2.gz RQIJXNGWGLDUKZ-UHFFFAOYSA-N 0 0 267.285 2.927 20 5 CFBDRN CN(C(=O)C[C@@H]1CC[C@H]2C[C@H]21)c1cccc([N+](=O)[O-])c1 ZINC000410613450 232702028 /nfs/dbraw/zinc/70/20/28/232702028.db2.gz FJLFRCGQEQOQAG-COPLHBTASA-N 0 0 274.320 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2cnc(N3CCCC3)nc2)nc1 ZINC000084503577 347466704 /nfs/dbraw/zinc/46/67/04/347466704.db2.gz QUSUXRUSBYGGOE-ONEGZZNKSA-N 0 0 297.318 2.550 20 5 CFBDRN CCCC[C@@H](CCC)CNC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000084826623 347474780 /nfs/dbraw/zinc/47/47/80/347474780.db2.gz JGWRZEKEFJOTEP-LLVKDONJSA-N 0 0 296.371 2.963 20 5 CFBDRN Cc1noc(CCCNc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000088718770 347507989 /nfs/dbraw/zinc/50/79/89/347507989.db2.gz LZQZILSXCISOPI-UHFFFAOYSA-N 0 0 276.296 2.639 20 5 CFBDRN C[C@@H](CCCO)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000088758189 347510065 /nfs/dbraw/zinc/51/00/65/347510065.db2.gz HYSJYQSYIMXKQT-QMMMGPOBSA-N 0 0 290.266 2.769 20 5 CFBDRN COC(C)(C)c1noc(CCc2cccc([N+](=O)[O-])c2)n1 ZINC000088337569 347501982 /nfs/dbraw/zinc/50/19/82/347501982.db2.gz UFNZIIDAWLWOPA-UHFFFAOYSA-N 0 0 291.307 2.645 20 5 CFBDRN CCn1ccnc(Oc2ccc(Cl)cc2[N+](=O)[O-])c1=O ZINC000090999128 347565207 /nfs/dbraw/zinc/56/52/07/347565207.db2.gz HWTXEIINONIMIK-UHFFFAOYSA-N 0 0 295.682 2.617 20 5 CFBDRN CC[C@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000091929147 347603698 /nfs/dbraw/zinc/60/36/98/347603698.db2.gz IKGZAGFAKOOQLK-JTQLQIEISA-N 0 0 250.298 2.532 20 5 CFBDRN CC(=O)c1ccc(S[C@@H](C)CCO)c([N+](=O)[O-])c1 ZINC000091264259 347580640 /nfs/dbraw/zinc/58/06/40/347580640.db2.gz GDWQXCOODWLGAF-QMMMGPOBSA-N 0 0 269.322 2.660 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2c3ccccc3C[C@H]2O)cc1 ZINC000091431658 347586140 /nfs/dbraw/zinc/58/61/40/347586140.db2.gz KBJXUFXPLTZAAW-CABCVRRESA-N 0 0 270.288 2.665 20 5 CFBDRN CN(Cc1cnccn1)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000091484176 347589781 /nfs/dbraw/zinc/58/97/81/347589781.db2.gz PSCKXGSOTJYUFB-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN COCCOC1CCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000091483402 347589936 /nfs/dbraw/zinc/58/99/36/347589936.db2.gz XIBIAYGDLNDKSO-UHFFFAOYSA-N 0 0 294.351 2.535 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccn(CC(F)F)n2)cc1 ZINC000091485356 347590565 /nfs/dbraw/zinc/59/05/65/347590565.db2.gz LGJMDKRIECVHCH-UHFFFAOYSA-N 0 0 268.223 2.800 20 5 CFBDRN COC(=O)[C@@H](Nc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000091485420 347590618 /nfs/dbraw/zinc/59/06/18/347590618.db2.gz KLMLHCUJSQTSFF-LLVKDONJSA-N 0 0 266.297 2.594 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccccc1-n1ccnc1 ZINC000091506146 347591153 /nfs/dbraw/zinc/59/11/53/347591153.db2.gz TVSNNVAMCMJVJQ-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCc1nc(C)cs1 ZINC000091554592 347593085 /nfs/dbraw/zinc/59/30/85/347593085.db2.gz BPTKYCHMZFJXQB-UHFFFAOYSA-N 0 0 295.368 2.539 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCc2ccccc21 ZINC000092581712 347633060 /nfs/dbraw/zinc/63/30/60/347633060.db2.gz GIUHLTBFNVKLBB-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN C[C@@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000092586116 347633619 /nfs/dbraw/zinc/63/36/19/347633619.db2.gz CUDXDUFSIBAROS-LLVKDONJSA-N 0 0 264.325 2.922 20 5 CFBDRN Cc1cc(N[C@@H]2c3ccccc3C[C@H]2O)ccc1[N+](=O)[O-] ZINC000092608611 347634494 /nfs/dbraw/zinc/63/44/94/347634494.db2.gz VXASXVLFFFTDBE-HZPDHXFCSA-N 0 0 284.315 2.973 20 5 CFBDRN Cc1cc(NCC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC000092654733 347637238 /nfs/dbraw/zinc/63/72/38/347637238.db2.gz JMQUUJDGTSDWSN-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN COC[C@@H]1CCCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000092665651 347638183 /nfs/dbraw/zinc/63/81/83/347638183.db2.gz HBTNOMXWRBTQQV-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cc(N(C)CC(=O)Nc2ccccc2)ccc1[N+](=O)[O-] ZINC000092686427 347641147 /nfs/dbraw/zinc/64/11/47/347641147.db2.gz NHGDRJKVPAIVGP-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN CCOc1cc(N2CCC[C@H]2C(C)(C)O)ccc1[N+](=O)[O-] ZINC000092693093 347641574 /nfs/dbraw/zinc/64/15/74/347641574.db2.gz JGPOBLCSEMDAFS-AWEZNQCLSA-N 0 0 294.351 2.733 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2C(C)(C)O)ccc1[N+](=O)[O-] ZINC000092693313 347642040 /nfs/dbraw/zinc/64/20/40/347642040.db2.gz LAMFMXKBHOBNFA-CYBMUJFWSA-N 0 0 264.325 2.643 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1F)C(=O)OC(C)(C)C ZINC000092941792 347652547 /nfs/dbraw/zinc/65/25/47/347652547.db2.gz YGLRKQHVLHTEIA-QMMMGPOBSA-N 0 0 284.287 2.876 20 5 CFBDRN CCOCCN(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000092962979 347655634 /nfs/dbraw/zinc/65/56/34/347655634.db2.gz CBHURESYBWEGIA-UHFFFAOYSA-N 0 0 258.705 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH+](CC1CC1)CC1CC1 ZINC000093480031 347674914 /nfs/dbraw/zinc/67/49/14/347674914.db2.gz YVSYMGRTGPGRFB-UHFFFAOYSA-N 0 0 276.336 2.922 20 5 CFBDRN Cc1cccc(CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)n1 ZINC000094771880 347696101 /nfs/dbraw/zinc/69/61/01/347696101.db2.gz LRMZQAAXIDEPLY-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(C)CCCO2)c1 ZINC000094804668 347696898 /nfs/dbraw/zinc/69/68/98/347696898.db2.gz VDGYQQZQAMMCEZ-CYBMUJFWSA-N 0 0 250.298 2.884 20 5 CFBDRN CCCC[N@H+](CCOC)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000093322967 347668895 /nfs/dbraw/zinc/66/88/95/347668895.db2.gz SNFMCYBXYCLDLG-UHFFFAOYSA-N 0 0 282.340 2.549 20 5 CFBDRN C[C@H]1CC(Nc2c([N+](=O)[O-])ncn2C)C[C@H](C)C1 ZINC000113544321 347768018 /nfs/dbraw/zinc/76/80/18/347768018.db2.gz AKKRTOKEYPNGMW-RKDXNWHRSA-N 0 0 252.318 2.565 20 5 CFBDRN CCOc1cc(N2CCC[C@@H](CCO)C2)ccc1[N+](=O)[O-] ZINC000111053292 347752123 /nfs/dbraw/zinc/75/21/23/347752123.db2.gz YXBADGYSNDMLPM-LBPRGKRZSA-N 0 0 294.351 2.592 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H](C)C1CC1 ZINC000125916019 347831143 /nfs/dbraw/zinc/83/11/43/347831143.db2.gz JUBURZHMAVPDHL-QMMMGPOBSA-N 0 0 252.318 2.578 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCCCC1(C)C ZINC000124342056 347823615 /nfs/dbraw/zinc/82/36/15/347823615.db2.gz BSDTYGVYYNIOKQ-SECBINFHSA-N 0 0 252.318 2.709 20 5 CFBDRN CSCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000125327186 347827876 /nfs/dbraw/zinc/82/78/76/347827876.db2.gz GKKRXIIPEVQDEG-UHFFFAOYSA-N 0 0 263.322 2.918 20 5 CFBDRN CCOCCN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000086507317 179302934 /nfs/dbraw/zinc/30/29/34/179302934.db2.gz VHNQXLAHXRZRTN-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000128540961 347851953 /nfs/dbraw/zinc/85/19/53/347851953.db2.gz UABBLHPPWCRYHX-ZDUSSCGKSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1noc(C)c1CCSc1ccc([N+](=O)[O-])cn1 ZINC000128663351 347853468 /nfs/dbraw/zinc/85/34/68/347853468.db2.gz IAJIJMUOFFUQLE-UHFFFAOYSA-N 0 0 279.321 2.929 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000129139691 347857885 /nfs/dbraw/zinc/85/78/85/347857885.db2.gz NREYZGMHEMARJW-SNVBAGLBSA-N 0 0 277.324 2.535 20 5 CFBDRN CSCCCCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000126263212 347833638 /nfs/dbraw/zinc/83/36/38/347833638.db2.gz FLMMUAPDSSYMLT-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN C[C@@H]1OCC[C@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000134266925 347896379 /nfs/dbraw/zinc/89/63/79/347896379.db2.gz LGOSNMFYIJEHBA-GXSJLCMTSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1cc(C)cc(Cn2cc([N+](=O)[O-])c(C)cc2=O)c1 ZINC000128642418 187381812 /nfs/dbraw/zinc/38/18/12/187381812.db2.gz IDYPRNWNQKMKQC-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN C[C@@]1(CNc2cccnc2[N+](=O)[O-])CCCS1 ZINC000135019076 347902990 /nfs/dbraw/zinc/90/29/90/347902990.db2.gz KWQCVVAXGRABMJ-NSHDSACASA-N 0 0 253.327 2.687 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccsc1 ZINC000135347711 347906202 /nfs/dbraw/zinc/90/62/02/347906202.db2.gz QUPYAWYAERIJNE-UHFFFAOYSA-N 0 0 277.305 2.707 20 5 CFBDRN COc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])c(O)c1 ZINC000135406002 347906595 /nfs/dbraw/zinc/90/65/95/347906595.db2.gz GEMCBGMORWBSHD-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN Cc1ccc(F)cc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000138093042 347915022 /nfs/dbraw/zinc/91/50/22/347915022.db2.gz SYCGLIKPACNBHV-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000138516552 347916739 /nfs/dbraw/zinc/91/67/39/347916739.db2.gz VBQKSFULHIASGE-NWDGAFQWSA-N 0 0 291.351 2.702 20 5 CFBDRN CC1(NC(=O)CCNc2ccccc2[N+](=O)[O-])CCCC1 ZINC000150224715 347955769 /nfs/dbraw/zinc/95/57/69/347955769.db2.gz CDDBGVXHTVXCBD-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN CSCCCCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000150965945 347958325 /nfs/dbraw/zinc/95/83/25/347958325.db2.gz WVCVLXCKKJQOCK-UHFFFAOYSA-N 0 0 282.365 2.744 20 5 CFBDRN COCCC1(C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000158322565 348006166 /nfs/dbraw/zinc/00/61/66/348006166.db2.gz VRYIYAMCMKFBIJ-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H]1CCc2ccccc2C1 ZINC000159290339 348011316 /nfs/dbraw/zinc/01/13/16/348011316.db2.gz FAPMMTFUJMYWJB-ZDUSSCGKSA-N 0 0 269.304 2.959 20 5 CFBDRN CC[C@@H](C)Sc1nc2cc([N+](=O)[O-])ccc2c(=O)n1C ZINC000273940435 192372076 /nfs/dbraw/zinc/37/20/76/192372076.db2.gz SUOKVPQLWYBOMZ-MRVPVSSYSA-N 0 0 293.348 2.732 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161899849 348027351 /nfs/dbraw/zinc/02/73/51/348027351.db2.gz QMXTWQINOWLXAP-ONGXEEELSA-N 0 0 260.293 2.530 20 5 CFBDRN CN1C(=O)CCc2cc(Nc3ncccc3[N+](=O)[O-])ccc21 ZINC000171564627 348077671 /nfs/dbraw/zinc/07/76/71/348077671.db2.gz SVCJZSQFXIMQIW-UHFFFAOYSA-N 0 0 298.302 2.642 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)C[N@@H+](C)[C@H](C)C1CC1 ZINC000173251908 348107364 /nfs/dbraw/zinc/10/73/64/348107364.db2.gz DMSOZMYWJXHWLZ-LLVKDONJSA-N 0 0 291.351 2.572 20 5 CFBDRN COC(=O)c1cc(OCCC(C)C)ccc1[N+](=O)[O-] ZINC000171933391 348084048 /nfs/dbraw/zinc/08/40/48/348084048.db2.gz ROCYSGZKKCMXDI-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](C)C(C)C)c1 ZINC000172455015 348095151 /nfs/dbraw/zinc/09/51/51/348095151.db2.gz RVBCSQLGEBRCQN-JTQLQIEISA-N 0 0 280.324 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2c3ccccc3C[C@H]2O)c(F)c1 ZINC000209062263 348157370 /nfs/dbraw/zinc/15/73/70/348157370.db2.gz LMPDGWSATDDLSZ-HUUCEWRRSA-N 0 0 288.278 2.804 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000218876298 348167868 /nfs/dbraw/zinc/16/78/68/348167868.db2.gz NHLCUVOSTMVBQL-HZMBPMFUSA-N 0 0 296.298 2.722 20 5 CFBDRN COc1ccccc1CCNc1ccncc1[N+](=O)[O-] ZINC000226567422 348183378 /nfs/dbraw/zinc/18/33/78/348183378.db2.gz KJTNOPIJANTAPF-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2C[C@H](OC)C2(C)C)c1 ZINC000227821621 348191028 /nfs/dbraw/zinc/19/10/28/348191028.db2.gz KAEPUYZOYUQMQV-STQMWFEESA-N 0 0 280.324 2.829 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])C1(C)C ZINC000227822348 348191148 /nfs/dbraw/zinc/19/11/48/348191148.db2.gz PWWBYYRVBHPONO-NEPJUHHUSA-N 0 0 250.298 2.820 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000227867279 348191775 /nfs/dbraw/zinc/19/17/75/348191775.db2.gz SJQRRXKLVWDUIG-ZJUUUORDSA-N 0 0 288.307 2.517 20 5 CFBDRN CC(C)c1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000228363062 348195792 /nfs/dbraw/zinc/19/57/92/348195792.db2.gz DABTUWJBMAPLFK-UHFFFAOYSA-N 0 0 288.307 2.623 20 5 CFBDRN Cc1cnc(NCC(F)(F)C(F)F)c([N+](=O)[O-])c1 ZINC000230713818 348207151 /nfs/dbraw/zinc/20/71/51/348207151.db2.gz QLOLTWUTHLYNCE-UHFFFAOYSA-N 0 0 267.182 2.611 20 5 CFBDRN CC(C)=CCNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000232647966 348213127 /nfs/dbraw/zinc/21/31/27/348213127.db2.gz VUJFBGKUQZYZLD-UHFFFAOYSA-N 0 0 258.281 2.916 20 5 CFBDRN C[C@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000086999110 179378192 /nfs/dbraw/zinc/37/81/92/179378192.db2.gz MMCQUEWOXQVQNK-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCCOC1CCC1 ZINC000286602981 348347448 /nfs/dbraw/zinc/34/74/48/348347448.db2.gz OKIDCDKBCZZJCS-UHFFFAOYSA-N 0 0 254.311 2.651 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCCC2CC2)s1 ZINC000288209555 348348573 /nfs/dbraw/zinc/34/85/73/348348573.db2.gz DARJFVRZQKEPSH-UHFFFAOYSA-N 0 0 264.310 2.812 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1Sc1ncccc1[N+](=O)[O-] ZINC000273089322 348333517 /nfs/dbraw/zinc/33/35/17/348333517.db2.gz IGDQKUQDBWFAJM-ZJUUUORDSA-N 0 0 254.311 2.649 20 5 CFBDRN Cc1cccc(CCNC(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000279351863 348340032 /nfs/dbraw/zinc/34/00/32/348340032.db2.gz IFROYICVJDFGRR-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cc(C(=O)NCCc2cccc(C)n2)cc([N+](=O)[O-])c1 ZINC000279500908 348340065 /nfs/dbraw/zinc/34/00/65/348340065.db2.gz SZGKDFDAWSLYNH-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cccc(CCNc2ncc([N+](=O)[O-])cc2C)n1 ZINC000280470934 348341361 /nfs/dbraw/zinc/34/13/61/348341361.db2.gz MYTMZNCJFJUFGL-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN COc1cccc(NCCc2cccc(C)n2)c1[N+](=O)[O-] ZINC000281423311 348342412 /nfs/dbraw/zinc/34/24/12/348342412.db2.gz IVJSYYZFCBTSTK-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CS[C@H](C)CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000304391059 348379400 /nfs/dbraw/zinc/37/94/00/348379400.db2.gz TUKZVYGJRPNYPC-ZCFIWIBFSA-N 0 0 261.734 2.807 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCC(F)(F)F)s1 ZINC000310705219 348410352 /nfs/dbraw/zinc/41/03/52/348410352.db2.gz YFPHAIBMCKQTPO-UHFFFAOYSA-N 0 0 278.215 2.574 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000311127871 348411853 /nfs/dbraw/zinc/41/18/53/348411853.db2.gz BIJHZUKSDDATRD-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@H]1CCC[C@H](O)C1 ZINC000311546693 348413819 /nfs/dbraw/zinc/41/38/19/348413819.db2.gz KAPYOQPNBXJUFH-IUCAKERBSA-N 0 0 285.731 2.606 20 5 CFBDRN Cn1cncc1CCSc1cccc([N+](=O)[O-])c1 ZINC000413008912 233001458 /nfs/dbraw/zinc/00/14/58/233001458.db2.gz ZLVFNMPVCMWIHN-UHFFFAOYSA-N 0 0 263.322 2.663 20 5 CFBDRN Cc1cccc(N2CC[C@H](O)C(C)(C)C2)c1[N+](=O)[O-] ZINC000311823707 348415521 /nfs/dbraw/zinc/41/55/21/348415521.db2.gz KLBSJJMGYAIFCJ-LBPRGKRZSA-N 0 0 264.325 2.500 20 5 CFBDRN COc1cc(NCCOC(C)C)c([N+](=O)[O-])cc1C ZINC000311875983 348415694 /nfs/dbraw/zinc/41/56/94/348415694.db2.gz UTRRDUDPQJCTNI-UHFFFAOYSA-N 0 0 268.313 2.749 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000311848231 348415698 /nfs/dbraw/zinc/41/56/98/348415698.db2.gz OLXLJRIKBNUNRQ-JGVFFNPUSA-N 0 0 274.267 2.961 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCCCn1ccnc1 ZINC000312373018 348418021 /nfs/dbraw/zinc/41/80/21/348418021.db2.gz LECZAZXIQJCCDF-UHFFFAOYSA-N 0 0 278.287 2.823 20 5 CFBDRN Cc1cc(N2CCC[C@H](CCO)C2)c(F)cc1[N+](=O)[O-] ZINC000312585480 348419376 /nfs/dbraw/zinc/41/93/76/348419376.db2.gz QENMAYVHMNLSEG-LLVKDONJSA-N 0 0 282.315 2.641 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1F)c1ccccn1 ZINC000312619983 348419466 /nfs/dbraw/zinc/41/94/66/348419466.db2.gz WJTRMJQHYRTKRI-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)C1CC1 ZINC000128903250 187397907 /nfs/dbraw/zinc/39/79/07/187397907.db2.gz LFKUEMRLUNMKAW-UHFFFAOYSA-N 0 0 288.225 2.848 20 5 CFBDRN Cc1noc(C)c1CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000316173605 348431283 /nfs/dbraw/zinc/43/12/83/348431283.db2.gz PQCWWJGWIANOGC-UHFFFAOYSA-N 0 0 299.290 2.755 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccccc1-n1cccn1 ZINC000317396976 348433086 /nfs/dbraw/zinc/43/30/86/348433086.db2.gz HNZAUWQJDMGOIQ-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1NCCCn1ccnn1 ZINC000314154828 348425365 /nfs/dbraw/zinc/42/53/65/348425365.db2.gz OIJKUSQODJJHQY-UHFFFAOYSA-N 0 0 297.265 2.626 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000273367178 192128658 /nfs/dbraw/zinc/12/86/58/192128658.db2.gz FEYSTLIDHCHESI-UHFFFAOYSA-N 0 0 294.204 2.600 20 5 CFBDRN Cc1cc(NC(=O)N[C@]23C[C@H]2CCC3)ccc1[N+](=O)[O-] ZINC000596820593 349993253 /nfs/dbraw/zinc/99/32/53/349993253.db2.gz LIXQBZCDTYGATM-QMTHXVAHSA-N 0 0 275.308 2.967 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000596823076 349993868 /nfs/dbraw/zinc/99/38/68/349993868.db2.gz ANIXYMAFBIGIIW-NSHDSACASA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1ccoc1CC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000596840816 349996112 /nfs/dbraw/zinc/99/61/12/349996112.db2.gz CUHLRVWORPMRTN-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cc1nc([C@H]2CCCO2)no1 ZINC000351401471 348495557 /nfs/dbraw/zinc/49/55/57/348495557.db2.gz CFAAKGKLRMMDEB-LLVKDONJSA-N 0 0 293.254 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@H]3CCC[C@@H]3O)c2c1 ZINC000413165685 233026947 /nfs/dbraw/zinc/02/69/47/233026947.db2.gz JAMAKYBXRSLZCN-RISCZKNCSA-N 0 0 287.319 2.716 20 5 CFBDRN CSC1(CNc2ncc(F)cc2[N+](=O)[O-])CCC1 ZINC000401130387 348577096 /nfs/dbraw/zinc/57/70/96/348577096.db2.gz PLINGSJPQKZORX-UHFFFAOYSA-N 0 0 271.317 2.827 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@H]1CCCCS1 ZINC000401122505 348577135 /nfs/dbraw/zinc/57/71/35/348577135.db2.gz JQFXKIRRKDDBCO-SECBINFHSA-N 0 0 271.317 2.827 20 5 CFBDRN CC(C)CCOCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000401168637 348578140 /nfs/dbraw/zinc/57/81/40/348578140.db2.gz QQMZTWRQGFYNNZ-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN CC[C@H](C)[C@H](CNc1ncc(F)cc1[N+](=O)[O-])OC ZINC000401212432 348578205 /nfs/dbraw/zinc/57/82/05/348578205.db2.gz QPXLLFTYWBRCON-KWQFWETISA-N 0 0 271.292 2.602 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000401800781 348578861 /nfs/dbraw/zinc/57/88/61/348578861.db2.gz SZXYLZHJWMMREY-YUMQZZPRSA-N 0 0 256.689 2.838 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCC[C@@H]1CCOC1 ZINC000402372035 348581512 /nfs/dbraw/zinc/58/15/12/348581512.db2.gz YTJRCQLVZDKJFB-SECBINFHSA-N 0 0 256.327 2.885 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403322700 348584478 /nfs/dbraw/zinc/58/44/78/348584478.db2.gz AGBVFDYBOVMNSZ-GMTAPVOTSA-N 0 0 264.329 2.720 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])s1 ZINC000273447541 192153928 /nfs/dbraw/zinc/15/39/28/192153928.db2.gz CPYIUWBGSDJEES-RXMQYKEDSA-N 0 0 299.743 2.976 20 5 CFBDRN C[C@H](C[C@@H](O)c1cccs1)Nc1ccc([N+](=O)[O-])cn1 ZINC000128918406 187399375 /nfs/dbraw/zinc/39/93/75/187399375.db2.gz CVICGMJTNLQLFD-MWLCHTKSSA-N 0 0 293.348 2.975 20 5 CFBDRN Cc1scc(CNc2ccc([N+](=O)[O-])cn2)c1C ZINC000400010270 348570651 /nfs/dbraw/zinc/57/06/51/348570651.db2.gz XBIDBOQHGOBPPV-UHFFFAOYSA-N 0 0 263.322 2.702 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCC1CC(F)(F)C1 ZINC000413328802 233054222 /nfs/dbraw/zinc/05/42/22/233054222.db2.gz DZYNJPQZUXVRHN-UHFFFAOYSA-N 0 0 257.240 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCc1cn(C)cn1 ZINC000413376932 233062410 /nfs/dbraw/zinc/06/24/10/233062410.db2.gz CGJCRPZQCWJJMZ-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN CCC(C)(C)CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000588033011 348767578 /nfs/dbraw/zinc/76/75/78/348767578.db2.gz CSJZWRSZNZWJAD-UHFFFAOYSA-N 0 0 267.329 2.517 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588038204 348767873 /nfs/dbraw/zinc/76/78/73/348767873.db2.gz HCFJQQBMOBPMEX-ZJUUUORDSA-N 0 0 279.340 2.517 20 5 CFBDRN Cc1ccc(NC(=O)N2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000588225793 348779718 /nfs/dbraw/zinc/77/97/18/348779718.db2.gz QBPBEDXXVIESRF-GXFFZTMASA-N 0 0 275.308 2.919 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1CCCC1(F)F ZINC000588225785 348779988 /nfs/dbraw/zinc/77/99/88/348779988.db2.gz OEEAPXLRRJCIAT-JTQLQIEISA-N 0 0 285.250 2.904 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000588681578 348791859 /nfs/dbraw/zinc/79/18/59/348791859.db2.gz COZCIBTUIVCIEK-QMTHXVAHSA-N 0 0 289.335 2.677 20 5 CFBDRN COC(=O)c1ccc(OCCC(C)C)c([N+](=O)[O-])c1 ZINC000588755760 348794413 /nfs/dbraw/zinc/79/44/13/348794413.db2.gz XPAYLTLDACITSU-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN CC(C)c1ccc(C(=O)N2COCC2(C)C)cc1[N+](=O)[O-] ZINC000588823196 348796817 /nfs/dbraw/zinc/79/68/17/348796817.db2.gz LJGMSSRMHVTQHQ-UHFFFAOYSA-N 0 0 292.335 2.927 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccoc1C ZINC000588813959 348796969 /nfs/dbraw/zinc/79/69/69/348796969.db2.gz YLJKLAGCYFYGEL-UHFFFAOYSA-N 0 0 264.285 2.633 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H](C)c1ccncc1 ZINC000588822433 348797061 /nfs/dbraw/zinc/79/70/61/348797061.db2.gz QITGTODKCZWCLG-JTQLQIEISA-N 0 0 289.339 2.730 20 5 CFBDRN Cc1nnc(CNc2ccsc2[N+](=O)[O-])n1C1CC1 ZINC000588825756 348797288 /nfs/dbraw/zinc/79/72/88/348797288.db2.gz MBUOVARRBIOICU-UHFFFAOYSA-N 0 0 279.325 2.503 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(CC(F)(F)F)C1 ZINC000588823682 348797386 /nfs/dbraw/zinc/79/73/86/348797386.db2.gz ZOWUKESHJODTGP-UHFFFAOYSA-N 0 0 292.261 2.508 20 5 CFBDRN CN(C)c1ccccc1CN(C)c1cccnc1[N+](=O)[O-] ZINC000588858657 348798697 /nfs/dbraw/zinc/79/86/97/348798697.db2.gz WNFUQEUCWYHGLL-UHFFFAOYSA-N 0 0 286.335 2.692 20 5 CFBDRN C[C@@H](CO)CNc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000585728983 348741384 /nfs/dbraw/zinc/74/13/84/348741384.db2.gz OYVJZUKFZKZRSS-SNVBAGLBSA-N 0 0 266.341 2.933 20 5 CFBDRN COC(=O)c1ccc(OCCC(C)(C)OC)cc1[N+](=O)[O-] ZINC000585732550 348741439 /nfs/dbraw/zinc/74/14/39/348741439.db2.gz WALAHCQAUJDYIO-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@@H]2C[C@@H]21 ZINC000586892991 348759913 /nfs/dbraw/zinc/75/99/13/348759913.db2.gz YMGTURHDAFJVKG-BMIGLBTASA-N 0 0 299.330 2.630 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@H]2C[C@H]21 ZINC000586899720 348760685 /nfs/dbraw/zinc/76/06/85/348760685.db2.gz YDYQNKBOGOSDRW-GXTWGEPZSA-N 0 0 274.320 2.538 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587159464 348760957 /nfs/dbraw/zinc/76/09/57/348760957.db2.gz FBKRDWRDDVMUIA-JQWIXIFHSA-N 0 0 293.367 2.684 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587483425 348762600 /nfs/dbraw/zinc/76/26/00/348762600.db2.gz CJSVKSPDGBOPAB-QWRGUYRKSA-N 0 0 293.367 2.908 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)[C@@H](C)C1 ZINC000587977687 348763374 /nfs/dbraw/zinc/76/33/74/348763374.db2.gz XQFYKRLYDDXOKG-BFVZDQMLSA-N 0 0 293.367 2.906 20 5 CFBDRN C[C@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)c1ccccc1 ZINC000587972492 348763552 /nfs/dbraw/zinc/76/35/52/348763552.db2.gz LWXBQXWFXXPICC-JTQLQIEISA-N 0 0 287.319 2.842 20 5 CFBDRN CCSCC[C@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587988924 348763893 /nfs/dbraw/zinc/76/38/93/348763893.db2.gz LXYNGOMZRKHHMA-VIFPVBQESA-N 0 0 299.396 2.613 20 5 CFBDRN CC(C)C[C@@H]1CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587989248 348764746 /nfs/dbraw/zinc/76/47/46/348764746.db2.gz IAQYWDWCTFWDSW-NSHDSACASA-N 0 0 293.367 2.542 20 5 CFBDRN COc1ccc(CN(C)c2c(F)cccc2[N+](=O)[O-])cn1 ZINC000588004571 348765553 /nfs/dbraw/zinc/76/55/53/348765553.db2.gz TWDDVZSROGDAMF-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN CN(CCOC(C)(C)C)c1c(F)cccc1[N+](=O)[O-] ZINC000588001992 348765579 /nfs/dbraw/zinc/76/55/79/348765579.db2.gz VRTRNRGZPHUAGE-UHFFFAOYSA-N 0 0 270.304 2.985 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@@H]1O ZINC000588012596 348766076 /nfs/dbraw/zinc/76/60/76/348766076.db2.gz ISHMIDVJNDGWIG-MFKMUULPSA-N 0 0 296.342 2.967 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@H](OC(F)F)C1 ZINC000588014445 348766635 /nfs/dbraw/zinc/76/66/35/348766635.db2.gz JWPXDVTYKHCWHA-QMMMGPOBSA-N 0 0 290.241 2.942 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@@H](OC(F)F)C1 ZINC000588014444 348766714 /nfs/dbraw/zinc/76/67/14/348766714.db2.gz JWPXDVTYKHCWHA-MRVPVSSYSA-N 0 0 290.241 2.942 20 5 CFBDRN COCC[C@H](C)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000413488462 233082246 /nfs/dbraw/zinc/08/22/46/233082246.db2.gz FHZUKBORWORNCT-JTQLQIEISA-N 0 0 268.313 2.688 20 5 CFBDRN CC1CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000589102739 348810681 /nfs/dbraw/zinc/81/06/81/348810681.db2.gz KQWOGZSFRDNLTJ-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN COCC[C@H](C)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000413489004 233082547 /nfs/dbraw/zinc/08/25/47/233082547.db2.gz GLFKCPQBEMUWMM-JTQLQIEISA-N 0 0 280.324 2.882 20 5 CFBDRN CN(CCc1nccs1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000589111753 348811385 /nfs/dbraw/zinc/81/13/85/348811385.db2.gz AKFNEGBYLWCXQK-UHFFFAOYSA-N 0 0 298.755 2.779 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCC[C@H]2OCC[C@H]21 ZINC000589113638 348811470 /nfs/dbraw/zinc/81/14/70/348811470.db2.gz XKICGOCKLHKSMQ-DMDPSCGWSA-N 0 0 292.335 2.973 20 5 CFBDRN COCC[C@H](C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000413492928 233083847 /nfs/dbraw/zinc/08/38/47/233083847.db2.gz NDUPCAYUFTVXPO-VIFPVBQESA-N 0 0 256.277 2.818 20 5 CFBDRN Cc1ncccc1CN1CCc2c1cccc2[N+](=O)[O-] ZINC000589257082 348822649 /nfs/dbraw/zinc/82/26/49/348822649.db2.gz NWKKLDSWAUOZQO-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2C[C@H](C)O[C@H]2C)n1 ZINC000413526788 233089410 /nfs/dbraw/zinc/08/94/10/233089410.db2.gz CPOFYYAHGTVOSP-SRVKXCTJSA-N 0 0 279.340 2.832 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ncc(Cl)cc2[N+](=O)[O-])[C@H](C)O1 ZINC000413535084 233091705 /nfs/dbraw/zinc/09/17/05/233091705.db2.gz PSPOFDIAVIVTHU-VGMNWLOBSA-N 0 0 285.731 2.869 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000589585197 348840069 /nfs/dbraw/zinc/84/00/69/348840069.db2.gz VHYNMZMTKWFWJM-WDEREUQCSA-N 0 0 291.351 2.790 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC2(CC2)C1 ZINC000589600130 348842060 /nfs/dbraw/zinc/84/20/60/348842060.db2.gz QQYOQPCHVJKEIW-UHFFFAOYSA-N 0 0 260.293 2.788 20 5 CFBDRN O=C(CCc1cccnc1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000589648622 348848855 /nfs/dbraw/zinc/84/88/55/348848855.db2.gz KEJKKQDHJNTVCL-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000589670972 348850200 /nfs/dbraw/zinc/85/02/00/348850200.db2.gz YDIKENIDJYLKGB-SNVBAGLBSA-N 0 0 265.313 2.641 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1cc(C)c([N+](=O)[O-])cc1F ZINC000589689352 348851044 /nfs/dbraw/zinc/85/10/44/348851044.db2.gz JZQNRSNEETXADD-NSHDSACASA-N 0 0 282.315 2.905 20 5 CFBDRN CC(C)(C(=O)N1CC(C(F)F)C1)c1ccccc1[N+](=O)[O-] ZINC000590304638 348892671 /nfs/dbraw/zinc/89/26/71/348892671.db2.gz GUNBYSDRKICWNR-UHFFFAOYSA-N 0 0 298.289 2.596 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccnc(C)n1 ZINC000589810972 348857983 /nfs/dbraw/zinc/85/79/83/348857983.db2.gz QNDFPFFCOOVKAG-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC=CCC1 ZINC000589864853 348861739 /nfs/dbraw/zinc/86/17/39/348861739.db2.gz UBBCTKDYTCFADF-UHFFFAOYSA-N 0 0 255.277 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(F)(c3ccccc3F)C2)nc1 ZINC000589873042 348862726 /nfs/dbraw/zinc/86/27/26/348862726.db2.gz KUQCPXPIIYCUTF-UHFFFAOYSA-N 0 0 291.257 2.814 20 5 CFBDRN C[C@@H]1CCC[C@@]1(O)CNc1sccc1[N+](=O)[O-] ZINC000590146869 348878580 /nfs/dbraw/zinc/87/85/80/348878580.db2.gz KZZOVWTVMMRWNO-LDYMZIIASA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH+]1CCC2(CC2)CC1 ZINC000590169328 348881831 /nfs/dbraw/zinc/88/18/31/348881831.db2.gz PHMVLULUMWADPF-UHFFFAOYSA-N 0 0 262.309 2.676 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCC[C@@H]1C(C)(C)C ZINC000413572390 233097317 /nfs/dbraw/zinc/09/73/17/233097317.db2.gz IFFJVLCTQPSBNE-UWVGGRQHSA-N 0 0 266.345 2.955 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCCC(F)(F)[C@@H]1O ZINC000413585868 233099514 /nfs/dbraw/zinc/09/95/14/233099514.db2.gz VJTQZMXHQWEREO-GXSJLCMTSA-N 0 0 290.241 2.695 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000590711969 348933229 /nfs/dbraw/zinc/93/32/29/348933229.db2.gz VEHWJQLPINXLQP-GHMZBOCLSA-N 0 0 293.367 2.908 20 5 CFBDRN C[C@@H]1C[C@@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)[C@H](C)O1 ZINC000413780397 233128150 /nfs/dbraw/zinc/12/81/50/233128150.db2.gz VSXKGIGVYRCZPG-VWYCJHECSA-N 0 0 293.323 2.530 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1ccc([N+](=O)[O-])s1)C1CC1 ZINC000590728784 348937746 /nfs/dbraw/zinc/93/77/46/348937746.db2.gz UGKYTGQLXINZJQ-RDDDGLTNSA-N 0 0 256.327 2.882 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCC[C@@H]1CCOC1 ZINC000590732190 348938808 /nfs/dbraw/zinc/93/88/08/348938808.db2.gz DMTKCVNVZJSEFN-SECBINFHSA-N 0 0 256.327 2.885 20 5 CFBDRN C[C@]12CN(c3ccncc3[N+](=O)[O-])C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000590738024 348939124 /nfs/dbraw/zinc/93/91/24/348939124.db2.gz UFSNEYOWOYJMRP-OXJKWZBOSA-N 0 0 273.336 2.862 20 5 CFBDRN O=c1[nH]c(CNc2ccccc2[N+](=O)[O-])nc2ccccc21 ZINC000590567632 348908967 /nfs/dbraw/zinc/90/89/67/348908967.db2.gz DNBNHEHXFZZMKM-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN COC(=O)c1c(Cl)c(NCC2CC2)ccc1[N+](=O)[O-] ZINC000590568760 348909903 /nfs/dbraw/zinc/90/99/03/348909903.db2.gz BUGXBYBLSVDSBO-UHFFFAOYSA-N 0 0 284.699 2.857 20 5 CFBDRN Nc1ccc(N2CCC[C@H]2Cc2ccccc2)nc1[N+](=O)[O-] ZINC000590568729 348910022 /nfs/dbraw/zinc/91/00/22/348910022.db2.gz BLINCZXYYFDZLQ-ZDUSSCGKSA-N 0 0 298.346 2.784 20 5 CFBDRN CC[C@H](CNc1cc(C(F)(F)F)ncc1[N+](=O)[O-])OC ZINC000290804815 197824908 /nfs/dbraw/zinc/82/49/08/197824908.db2.gz ZAJUGWLTRDTEJW-SSDOTTSWSA-N 0 0 293.245 2.846 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCN2CC[C@H]2C(F)(F)F)c1 ZINC000590602883 348914403 /nfs/dbraw/zinc/91/44/03/348914403.db2.gz XJWMODWQOQSQOM-NSHDSACASA-N 0 0 290.241 2.610 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)Cc1ncc[nH]1 ZINC000590600418 348914435 /nfs/dbraw/zinc/91/44/35/348914435.db2.gz OHOODZWBMPGWHT-JTQLQIEISA-N 0 0 260.297 2.511 20 5 CFBDRN Cc1ccc(CN2CC3(C2)CCOCC3)cc1[N+](=O)[O-] ZINC000590968945 348974582 /nfs/dbraw/zinc/97/45/82/348974582.db2.gz VBANPNSLKDJGGX-UHFFFAOYSA-N 0 0 276.336 2.516 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@@H](C2CCC2)C1 ZINC000591126679 348990513 /nfs/dbraw/zinc/99/05/13/348990513.db2.gz KARNTJXODCAEKY-SNVBAGLBSA-N 0 0 280.349 2.919 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CC[C@@H](CO)CC1 ZINC000591149519 348993217 /nfs/dbraw/zinc/99/32/17/348993217.db2.gz AUTYBSDLKFXLCB-DTORHVGOSA-N 0 0 256.327 2.619 20 5 CFBDRN CC(C)(C)c1nnc(CNc2ccc([N+](=O)[O-])s2)[nH]1 ZINC000591177298 348997056 /nfs/dbraw/zinc/99/70/56/348997056.db2.gz RXVVGZOMGDKTHP-UHFFFAOYSA-N 0 0 281.341 2.684 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C3CCC3)C2)s1 ZINC000591186053 348997934 /nfs/dbraw/zinc/99/79/34/348997934.db2.gz KOZTYTMAHYYQBU-JTQLQIEISA-N 0 0 268.338 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H](O)C[C@@H]2c2ccccc2)s1 ZINC000591189760 348998624 /nfs/dbraw/zinc/99/86/24/348998624.db2.gz USJQVHRJZFHQKS-VXGBXAGGSA-N 0 0 290.344 2.969 20 5 CFBDRN Cc1cc(N2CC[C@@H](C3CCC3)C2)ncc1[N+](=O)[O-] ZINC000591204150 348999769 /nfs/dbraw/zinc/99/97/69/348999769.db2.gz GLGQQKUISLLOPO-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C\C3CCOCC3)n2)o1 ZINC000590778855 348948278 /nfs/dbraw/zinc/94/82/78/348948278.db2.gz MRUNDEPIXVEXNZ-IWQZZHSRSA-N 0 0 291.263 2.678 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nnc(C3CC3)s2)s1 ZINC000591199551 349000017 /nfs/dbraw/zinc/00/00/17/349000017.db2.gz GSRFKFHISOJPIX-UHFFFAOYSA-N 0 0 282.350 2.997 20 5 CFBDRN Cc1cc(NC(=O)NCC(C)(F)F)ccc1[N+](=O)[O-] ZINC000590825613 348955298 /nfs/dbraw/zinc/95/52/98/348955298.db2.gz WMSWNBWVPCMYJH-UHFFFAOYSA-N 0 0 273.239 2.680 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H](C2CCC2)C1 ZINC000591204509 349000527 /nfs/dbraw/zinc/00/05/27/349000527.db2.gz XUZFFWDUKUFEPS-LBPRGKRZSA-N 0 0 278.356 2.746 20 5 CFBDRN C[C@@H]1CN(c2nc3sccn3c2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000591368996 349013297 /nfs/dbraw/zinc/01/32/97/349013297.db2.gz HDRMPRKMYRPOCC-VGMNWLOBSA-N 0 0 280.353 2.785 20 5 CFBDRN O=C(N1CCc2c(cccc2[N+](=O)[O-])C1)[C@@]12C[C@@H]1CCC2 ZINC000591510626 349028115 /nfs/dbraw/zinc/02/81/15/349028115.db2.gz WANKDQVFICJGIO-LRDDRELGSA-N 0 0 286.331 2.670 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC(C(F)F)C1 ZINC000591529764 349028566 /nfs/dbraw/zinc/02/85/66/349028566.db2.gz UIHJRKCLQOIAQW-UHFFFAOYSA-N 0 0 284.262 2.549 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC(C)(C)C(F)F ZINC000591533160 349029159 /nfs/dbraw/zinc/02/91/59/349029159.db2.gz GTZIMVVAQWLHIC-UHFFFAOYSA-N 0 0 286.278 2.985 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@H]1CCC[C@@H](O)C1)CCCC2 ZINC000591898630 349056652 /nfs/dbraw/zinc/05/66/52/349056652.db2.gz BFVOQOXXUFJXTR-NWDGAFQWSA-N 0 0 291.351 2.584 20 5 CFBDRN CCCC[C@](C)(CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000591976473 349068509 /nfs/dbraw/zinc/06/85/09/349068509.db2.gz XSKXXRPQUYLBJJ-GFCCVEGCSA-N 0 0 287.747 2.996 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000591984161 349069689 /nfs/dbraw/zinc/06/96/89/349069689.db2.gz YMJVTVZTZBHYLT-SCZZXKLOSA-N 0 0 287.747 2.852 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1C[C@@H](OC(C)(C)C)C1 ZINC000591988875 349070564 /nfs/dbraw/zinc/07/05/64/349070564.db2.gz YWNFYNPCBRDOHH-PHIMTYICSA-N 0 0 296.371 2.878 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)[C@@H]1SC ZINC000591990654 349071200 /nfs/dbraw/zinc/07/12/00/349071200.db2.gz MADWZQZNEUEFBO-UPJWGTAASA-N 0 0 282.365 2.916 20 5 CFBDRN Cc1nn([C@@H](C(=O)C2CC2)c2ccccc2)cc1[N+](=O)[O-] ZINC000592353240 349106825 /nfs/dbraw/zinc/10/68/25/349106825.db2.gz MCCKFTOYXDWWMO-CQSZACIVSA-N 0 0 285.303 2.668 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCCC[C@@H]1CCOC1 ZINC000592350284 349106893 /nfs/dbraw/zinc/10/68/93/349106893.db2.gz AKMBKZHYEBURMO-SECBINFHSA-N 0 0 286.715 2.839 20 5 CFBDRN CCN(CCSC)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000592001803 349073475 /nfs/dbraw/zinc/07/34/75/349073475.db2.gz ZELJIULZJCCVJC-UHFFFAOYSA-N 0 0 292.364 2.727 20 5 CFBDRN CCN(CCSC)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000592001783 349073498 /nfs/dbraw/zinc/07/34/98/349073498.db2.gz YPFJDPFTHMCRAE-UHFFFAOYSA-N 0 0 269.370 2.796 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC[C@H]3C[C@H]3C2)c(F)c1 ZINC000592002316 349073693 /nfs/dbraw/zinc/07/36/93/349073693.db2.gz HXQRBRCBXQDVDP-XHNCKOQMSA-N 0 0 251.261 2.729 20 5 CFBDRN CCSCCn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000592359397 349108461 /nfs/dbraw/zinc/10/84/61/349108461.db2.gz JVAXVKQHQJLKFU-UHFFFAOYSA-N 0 0 278.333 2.663 20 5 CFBDRN COc1ccc(OCCC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000592343096 349105458 /nfs/dbraw/zinc/10/54/58/349105458.db2.gz BLYHCXKSJAUZFG-NSHDSACASA-N 0 0 281.308 2.799 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCC[C@@H]1CCOC1 ZINC000592343974 349105643 /nfs/dbraw/zinc/10/56/43/349105643.db2.gz CUPVVSSWUKPIIJ-LLVKDONJSA-N 0 0 281.308 2.799 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCC3(CC3)C1)CCCC2 ZINC000591940390 349063016 /nfs/dbraw/zinc/06/30/16/349063016.db2.gz RQHUKILOSXMEMX-UHFFFAOYSA-N 0 0 273.336 2.859 20 5 CFBDRN CC(=O)c1ccc(OCCC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000592348017 349106283 /nfs/dbraw/zinc/10/62/83/349106283.db2.gz BFRJALSHYLUMTC-GFCCVEGCSA-N 0 0 293.319 2.993 20 5 CFBDRN CC[C@H](C)Cn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592347963 349106295 /nfs/dbraw/zinc/10/62/95/349106295.db2.gz AMGTYHGAGIGOKC-QMMMGPOBSA-N 0 0 250.254 2.549 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@@H](F)C2)c(F)c1 ZINC000591955796 349064730 /nfs/dbraw/zinc/06/47/30/349064730.db2.gz PFPZQPRDGQKDHH-SFYZADRCSA-N 0 0 257.240 2.822 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1ccc([N+](=O)[O-])cn1 ZINC000129442249 187430925 /nfs/dbraw/zinc/43/09/25/187430925.db2.gz VHIGNNKVZQPLRX-QMMMGPOBSA-N 0 0 276.296 2.810 20 5 CFBDRN Cc1nc(N2CC(C)(CC(F)F)C2)ccc1[N+](=O)[O-] ZINC000591973321 349067741 /nfs/dbraw/zinc/06/77/41/349067741.db2.gz WSLFWWIVAOCEPA-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000592666764 349148099 /nfs/dbraw/zinc/14/80/99/349148099.db2.gz LXTGHNWQRGHELK-VXGBXAGGSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)CC(F)F)ccc1[N+](=O)[O-] ZINC000592673793 349149993 /nfs/dbraw/zinc/14/99/93/349149993.db2.gz YNHRKLHSDBHMLC-QMMMGPOBSA-N 0 0 286.278 2.924 20 5 CFBDRN COc1ccc(Cn2cc(C(C)C)cn2)cc1[N+](=O)[O-] ZINC000592361151 349108914 /nfs/dbraw/zinc/10/89/14/349108914.db2.gz LLRAABAJFQAYKP-UHFFFAOYSA-N 0 0 275.308 2.972 20 5 CFBDRN COCCc1cccc(-c2cc(CO)cc([N+](=O)[O-])c2)c1 ZINC000592984816 349181289 /nfs/dbraw/zinc/18/12/89/349181289.db2.gz BFYQFQVFMIENHS-UHFFFAOYSA-N 0 0 287.315 2.943 20 5 CFBDRN C[C@@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273489417 192171037 /nfs/dbraw/zinc/17/10/37/192171037.db2.gz SENTUOKTXRTPMS-MRVPVSSYSA-N 0 0 267.260 2.750 20 5 CFBDRN CCC[C@H](C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000592998762 349183882 /nfs/dbraw/zinc/18/38/82/349183882.db2.gz VNPHWGQKEILRHH-VIFPVBQESA-N 0 0 268.288 2.581 20 5 CFBDRN CO[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000593013107 349187071 /nfs/dbraw/zinc/18/70/71/349187071.db2.gz CIOPPTJIOSYBKX-VIFPVBQESA-N 0 0 292.213 2.501 20 5 CFBDRN CCCSCC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089420998 179785385 /nfs/dbraw/zinc/78/53/85/179785385.db2.gz FFVSOVZMGRTVOP-UHFFFAOYSA-N 0 0 294.336 2.553 20 5 CFBDRN C[C@H]1SCC[C@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000592733431 349158424 /nfs/dbraw/zinc/15/84/24/349158424.db2.gz AREMROCUCBMGIA-ZWNOBZJWSA-N 0 0 294.376 2.538 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCS[C@@H]1C ZINC000592736003 349159548 /nfs/dbraw/zinc/15/95/48/349159548.db2.gz PBLKPBZBBZDCRJ-BXKDBHETSA-N 0 0 280.349 2.527 20 5 CFBDRN CCN(CCSC)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000592742741 349163120 /nfs/dbraw/zinc/16/31/20/349163120.db2.gz XGAPBWYXHIXNDQ-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC12CC=CC2 ZINC000592899338 349175941 /nfs/dbraw/zinc/17/59/41/349175941.db2.gz DJMOKZDJFZGDIU-ZDUSSCGKSA-N 0 0 289.335 2.740 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273996446 192394968 /nfs/dbraw/zinc/39/49/68/192394968.db2.gz YKRSCTJDUCAPEW-NXEZZACHSA-N 0 0 294.326 2.784 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593133519 349217892 /nfs/dbraw/zinc/21/78/92/349217892.db2.gz XXZXKSIQJUYPGJ-SNVBAGLBSA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@H](COCC1CC1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000593132439 349217966 /nfs/dbraw/zinc/21/79/66/349217966.db2.gz QSNWJGINBLTAJF-SNVBAGLBSA-N 0 0 293.323 2.531 20 5 CFBDRN C[C@]1(NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC=CCC1 ZINC000593172858 349223095 /nfs/dbraw/zinc/22/30/95/349223095.db2.gz LZWJZMHAJYXHED-HNNXBMFYSA-N 0 0 292.310 2.891 20 5 CFBDRN CN(C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC(C)(C)C1 ZINC000593172277 349223353 /nfs/dbraw/zinc/22/33/53/349223353.db2.gz OWZSDUVQOLPIDT-UHFFFAOYSA-N 0 0 294.326 2.923 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2cc3n(n2)CCCC3)c1 ZINC000593176292 349223987 /nfs/dbraw/zinc/22/39/87/349223987.db2.gz ATBISCLQCGLIST-UHFFFAOYSA-N 0 0 272.308 2.740 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(CSC[C@H](C)OC)c1 ZINC000593192492 349226347 /nfs/dbraw/zinc/22/63/47/349226347.db2.gz ZTVRPYKAJQCKCX-VIFPVBQESA-N 0 0 299.348 2.650 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCCC(F)(F)C1 ZINC000593236701 349233194 /nfs/dbraw/zinc/23/31/94/349233194.db2.gz WRBRUXVHMSQFBO-UHFFFAOYSA-N 0 0 276.264 2.528 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Cn1cc(C2CC2)cn1 ZINC000593237743 349234144 /nfs/dbraw/zinc/23/41/44/349234144.db2.gz QWLGXHYNGUNISB-UHFFFAOYSA-N 0 0 261.256 2.856 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000593257854 349237122 /nfs/dbraw/zinc/23/71/22/349237122.db2.gz JIUGHIUNIBNXLP-SECBINFHSA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000593258554 349237139 /nfs/dbraw/zinc/23/71/39/349237139.db2.gz PHWBYHZQLARQKP-GFCCVEGCSA-N 0 0 292.335 2.624 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCCC(F)(F)C1 ZINC000593048429 349193513 /nfs/dbraw/zinc/19/35/13/349193513.db2.gz JWPUOWOTZPZZRF-UHFFFAOYSA-N 0 0 256.252 2.826 20 5 CFBDRN CCC(C)(C)N(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593059528 349197602 /nfs/dbraw/zinc/19/76/02/349197602.db2.gz JIHVSXFZAJGCLW-UHFFFAOYSA-N 0 0 282.315 2.923 20 5 CFBDRN CC[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593062579 349198304 /nfs/dbraw/zinc/19/83/04/349198304.db2.gz IRPOQRVRUZGQQT-MNOVXSKESA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593061485 349198784 /nfs/dbraw/zinc/19/87/84/349198784.db2.gz CCHIDBPDSJWILT-WCQYABFASA-N 0 0 264.325 2.594 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593081404 349203661 /nfs/dbraw/zinc/20/36/61/349203661.db2.gz RNTYXLRTTCGDEE-ZDUSSCGKSA-N 0 0 294.326 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(CO)CCC2)s1 ZINC000593512454 349287809 /nfs/dbraw/zinc/28/78/09/349287809.db2.gz OEPZIYQBDJMKFB-UHFFFAOYSA-N 0 0 256.327 2.621 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCC1(CO)CCC1 ZINC000593512876 349288031 /nfs/dbraw/zinc/28/80/31/349288031.db2.gz VSPRPIZCNMGJPH-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H](CO)CCF ZINC000593510385 349288052 /nfs/dbraw/zinc/28/80/52/349288052.db2.gz VRYHYJQZQITANW-MRVPVSSYSA-N 0 0 276.695 2.689 20 5 CFBDRN Cn1c(=O)ccc2c1CCC[C@H]2Nc1ccccc1[N+](=O)[O-] ZINC000593520381 349289347 /nfs/dbraw/zinc/28/93/47/349289347.db2.gz NZXHESRDZLZKOJ-GFCCVEGCSA-N 0 0 299.330 2.783 20 5 CFBDRN Cc1nc(N2CC[C@]3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000593521741 349290213 /nfs/dbraw/zinc/29/02/13/349290213.db2.gz PPNAQMNNWHVNOH-NSHDSACASA-N 0 0 269.251 2.534 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@]3(CC3(F)F)C2)c1 ZINC000593523343 349290264 /nfs/dbraw/zinc/29/02/64/349290264.db2.gz XQNYLDJMKKLBKO-LBPRGKRZSA-N 0 0 284.262 2.839 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)[C@H](C)CO1 ZINC000593285820 349241505 /nfs/dbraw/zinc/24/15/05/349241505.db2.gz UYLQPLJTZOUSNS-SCZZXKLOSA-N 0 0 298.364 2.604 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593284477 349241512 /nfs/dbraw/zinc/24/15/12/349241512.db2.gz VBAYYWFYSWKPMH-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593285169 349241649 /nfs/dbraw/zinc/24/16/49/349241649.db2.gz PEGBVOSNGODDKG-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000593295756 349242450 /nfs/dbraw/zinc/24/24/50/349242450.db2.gz PEMWXMAXGDGPIW-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC1(C2CCC2)CC1 ZINC000593304190 349243953 /nfs/dbraw/zinc/24/39/53/349243953.db2.gz JLEPBAMEJUWOEH-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593382307 349256835 /nfs/dbraw/zinc/25/68/35/349256835.db2.gz CNMZLBJWTJTRTB-SNVBAGLBSA-N 0 0 280.299 2.535 20 5 CFBDRN CC[C@H](OCCn1cnc([N+](=O)[O-])c1)c1ccccc1 ZINC000593420789 349265673 /nfs/dbraw/zinc/26/56/73/349265673.db2.gz NWXNVLXVEHVUJK-ZDUSSCGKSA-N 0 0 275.308 2.959 20 5 CFBDRN CC[C@@H](OCCn1cnc([N+](=O)[O-])c1)c1ccccc1 ZINC000593420788 349265840 /nfs/dbraw/zinc/26/58/40/349265840.db2.gz NWXNVLXVEHVUJK-CYBMUJFWSA-N 0 0 275.308 2.959 20 5 CFBDRN Cn1cc(CSCc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000593437829 349270609 /nfs/dbraw/zinc/27/06/09/349270609.db2.gz VXABLDSOTDQMEK-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN CC[C@H](O)CCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463669 349276090 /nfs/dbraw/zinc/27/60/90/349276090.db2.gz BQJYDQAUOIJHHD-NSHDSACASA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H](CO)CC1CC1 ZINC000593465561 349276142 /nfs/dbraw/zinc/27/61/42/349276142.db2.gz OYGBLNHQWLBYJY-LLVKDONJSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H]1OCCN(c2ccnc3cc([N+](=O)[O-])ccc32)[C@H]1C ZINC000593463750 349276158 /nfs/dbraw/zinc/27/61/58/349276158.db2.gz DXYBYMLDZPTUOZ-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@H](O)CCCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593469168 349276825 /nfs/dbraw/zinc/27/68/25/349276825.db2.gz MKXFUTIPBNNHOU-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593476936 349277812 /nfs/dbraw/zinc/27/78/12/349277812.db2.gz RBXMCJNDXUSZDR-VHSXEESVSA-N 0 0 275.308 2.978 20 5 CFBDRN COc1cc(N2CCCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000593486708 349281576 /nfs/dbraw/zinc/28/15/76/349281576.db2.gz BGRRNQTXPFCIJK-UHFFFAOYSA-N 0 0 272.251 2.839 20 5 CFBDRN Cc1nc(N2CCC[C@H](OC(C)C)C2)ccc1[N+](=O)[O-] ZINC000593486927 349281606 /nfs/dbraw/zinc/28/16/06/349281606.db2.gz JXEVKOAIPSQXDJ-LBPRGKRZSA-N 0 0 279.340 2.692 20 5 CFBDRN COc1cc(N2CCC[C@H](OC(C)C)C2)ccc1[N+](=O)[O-] ZINC000593486633 349281904 /nfs/dbraw/zinc/28/19/04/349281904.db2.gz DEIJJLVUVIJMRD-ZDUSSCGKSA-N 0 0 294.351 2.997 20 5 CFBDRN Cc1ccnc(N2CCC[C@@H](OC(C)C)C2)c1[N+](=O)[O-] ZINC000593487077 349281934 /nfs/dbraw/zinc/28/19/34/349281934.db2.gz LJORQQKMVZQSDJ-GFCCVEGCSA-N 0 0 279.340 2.692 20 5 CFBDRN CC(C)O[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000593487860 349282284 /nfs/dbraw/zinc/28/22/84/349282284.db2.gz XWFXRYLWFAACOX-LLVKDONJSA-N 0 0 283.303 2.523 20 5 CFBDRN Cc1nc(N[C@H](C2CC2)C2CCOCC2)ccc1[N+](=O)[O-] ZINC000593491774 349283065 /nfs/dbraw/zinc/28/30/65/349283065.db2.gz ORHJQPLYIIAUPJ-OAHLLOKOSA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](C1CC1)C1CCOCC1 ZINC000593492546 349283614 /nfs/dbraw/zinc/28/36/14/349283614.db2.gz PPZJWGTYTVAGLH-ZDUSSCGKSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1c1ccc([N+](=O)[O-])c(C)n1 ZINC000593492485 349283673 /nfs/dbraw/zinc/28/36/73/349283673.db2.gz CKCTVIXKBTYPRD-NSHDSACASA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1c1ccccc1[N+](=O)[O-] ZINC000593495480 349284624 /nfs/dbraw/zinc/28/46/24/349284624.db2.gz ZPEOQLIYFIHMAP-NEPJUHHUSA-N 0 0 264.325 2.989 20 5 CFBDRN CC[C@H]1CN(c2c([N+](=O)[O-])nc(C)n2CC)[C@@H](CC)CO1 ZINC000593495262 349284672 /nfs/dbraw/zinc/28/46/72/349284672.db2.gz VGPBAGPNZPRLLW-RYUDHWBXSA-N 0 0 296.371 2.513 20 5 CFBDRN CCN(c1ncc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000593496431 349284685 /nfs/dbraw/zinc/28/46/85/349284685.db2.gz QFRWIGZNJFKOTH-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN COC(=O)[C@H](CNc1sccc1[N+](=O)[O-])CC1CC1 ZINC000593496895 349285139 /nfs/dbraw/zinc/28/51/39/349285139.db2.gz CFKBJBJYBKXPAG-VIFPVBQESA-N 0 0 284.337 2.658 20 5 CFBDRN C[C@@H](COCC1CC1)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000593509571 349287062 /nfs/dbraw/zinc/28/70/62/349287062.db2.gz XFDDUFJQMFMPOX-VIFPVBQESA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1cnc(N[C@H](C)COCC2CC2)c([N+](=O)[O-])c1 ZINC000593509542 349287102 /nfs/dbraw/zinc/28/71/02/349287102.db2.gz WOAIFUWAZUUDFE-SNVBAGLBSA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1CC2(CCC2)C1 ZINC000593883070 349332138 /nfs/dbraw/zinc/33/21/38/349332138.db2.gz CVNCMKLWCFUTIC-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC1CC2(CCC2)C1 ZINC000593884334 349332719 /nfs/dbraw/zinc/33/27/19/349332719.db2.gz HNUATBVTHDWOEN-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN C[C@H]1C[C@H](C)C[NH+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593730090 349315591 /nfs/dbraw/zinc/31/55/91/349315591.db2.gz COCWSWMHYMPPRJ-QWRGUYRKSA-N 0 0 292.335 2.771 20 5 CFBDRN CCC[C@H](C)[N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593740463 349317805 /nfs/dbraw/zinc/31/78/05/349317805.db2.gz MNGYLFILHVNPRU-JTQLQIEISA-N 0 0 280.324 2.913 20 5 CFBDRN O=C([O-])C[N@H+](Cc1cccc([N+](=O)[O-])c1)C1CCCCC1 ZINC000593746085 349319533 /nfs/dbraw/zinc/31/95/33/349319533.db2.gz IVGJYSFSJDRVFN-UHFFFAOYSA-N 0 0 292.335 2.814 20 5 CFBDRN CC[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000594183208 349391285 /nfs/dbraw/zinc/39/12/85/349391285.db2.gz VEDMDHJLWPOKDP-TZMCWYRMSA-N 0 0 292.335 2.672 20 5 CFBDRN CC1(NC(=O)NCCc2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000594215152 349395181 /nfs/dbraw/zinc/39/51/81/349395181.db2.gz AGTJTUJOUXIKBD-UHFFFAOYSA-N 0 0 289.335 2.545 20 5 CFBDRN C[C@H](O)CN(Cc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000594067136 349368095 /nfs/dbraw/zinc/36/80/95/349368095.db2.gz YBJBIVSENAGDIU-VIFPVBQESA-N 0 0 284.743 2.593 20 5 CFBDRN Cc1cc(OCC(=O)NC2(C)CC=CC2)ccc1[N+](=O)[O-] ZINC000594073322 349369260 /nfs/dbraw/zinc/36/92/60/349369260.db2.gz QRRRPBDPJNCECJ-UHFFFAOYSA-N 0 0 290.319 2.507 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])cc2F)CC=CC1 ZINC000594075705 349369699 /nfs/dbraw/zinc/36/96/99/349369699.db2.gz RXQKSBHODYFVHM-UHFFFAOYSA-N 0 0 264.256 2.572 20 5 CFBDRN CC[C@H](NC(=O)N1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000090090198 179913216 /nfs/dbraw/zinc/91/32/16/179913216.db2.gz OKEPUPPDFGLGDH-ZDUSSCGKSA-N 0 0 277.324 2.851 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])cc1OC)C(C)C ZINC000594095003 349376384 /nfs/dbraw/zinc/37/63/84/349376384.db2.gz LAYZXLHQYXSJLU-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCC(C)(C)C1CC1 ZINC000594450879 349425383 /nfs/dbraw/zinc/42/53/83/349425383.db2.gz VYJWPTFFQOIRFC-UHFFFAOYSA-N 0 0 264.325 2.891 20 5 CFBDRN O=[N+]([O-])c1cnn(CCCc2c(F)cccc2F)c1 ZINC000594456566 349426097 /nfs/dbraw/zinc/42/60/97/349426097.db2.gz QSRATNUZURKZQD-UHFFFAOYSA-N 0 0 267.235 2.702 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597091622 350029245 /nfs/dbraw/zinc/02/92/45/350029245.db2.gz ABLYWBQIEGEYIV-NKWVEPMBSA-N 0 0 298.730 2.608 20 5 CFBDRN CC/C=C\CNC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597093889 350030110 /nfs/dbraw/zinc/03/01/10/350030110.db2.gz ZNZCXAPNYYEWND-ARJAWSKDSA-N 0 0 298.730 2.918 20 5 CFBDRN O=C(C1CC2(CC2)C1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000597272566 350077819 /nfs/dbraw/zinc/07/78/19/350077819.db2.gz FSRAAUYIXMNUBG-UHFFFAOYSA-N 0 0 272.304 2.674 20 5 CFBDRN CCc1cccnc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000271212381 191004851 /nfs/dbraw/zinc/00/48/51/191004851.db2.gz LGJKKGOYNXUUFA-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OC(C)(C)C ZINC000090451631 179987202 /nfs/dbraw/zinc/98/72/02/179987202.db2.gz LESLFHLRQXSHEF-WDEREUQCSA-N 0 0 294.351 2.976 20 5 CFBDRN Cc1ccncc1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000104455058 186006788 /nfs/dbraw/zinc/00/67/88/186006788.db2.gz JGDAWMOLJSPSRE-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1sc(C(=O)Nc2cnccc2C)cc1[N+](=O)[O-] ZINC000104453648 186006843 /nfs/dbraw/zinc/00/68/43/186006843.db2.gz BTHFYSKPYMDAQX-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CCc1nn(C)cc1NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000091070490 180092848 /nfs/dbraw/zinc/09/28/48/180092848.db2.gz NQZJZAZNQTYCPE-UHFFFAOYSA-N 0 0 290.323 2.511 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000597853951 350143247 /nfs/dbraw/zinc/14/32/47/350143247.db2.gz FJEHJUDBLCFUTD-LLVKDONJSA-N 0 0 264.325 2.784 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H](C)c1ccccn1 ZINC000597865251 350145379 /nfs/dbraw/zinc/14/53/79/350145379.db2.gz QSLIQPLMSOFSGQ-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])CO1 ZINC000597866399 350146210 /nfs/dbraw/zinc/14/62/10/350146210.db2.gz ZWOOVMMGBXTTDA-MRVPVSSYSA-N 0 0 298.726 2.546 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000597977571 350170234 /nfs/dbraw/zinc/17/02/34/350170234.db2.gz KDHLRNBKZXJFIF-SECBINFHSA-N 0 0 268.288 2.900 20 5 CFBDRN Cc1ccncc1CCNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000597978934 350171151 /nfs/dbraw/zinc/17/11/51/350171151.db2.gz VTOTXDVCHKRXIS-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cc(NC[C@@H]2CCO[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000271296011 191056785 /nfs/dbraw/zinc/05/67/85/191056785.db2.gz FRJUPRTZOFASLN-GHMZBOCLSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CC(C)(C)O1 ZINC000275361190 290888545 /nfs/dbraw/zinc/88/85/45/290888545.db2.gz LPXYHFBXXKJGTH-JTQLQIEISA-N 0 0 291.351 2.567 20 5 CFBDRN C[C@@H]1C[C@H](CNc2nc3sccn3c2[N+](=O)[O-])CCO1 ZINC000271313953 191067798 /nfs/dbraw/zinc/06/77/98/191067798.db2.gz JFVWUKIFLFMDNK-RKDXNWHRSA-N 0 0 296.352 2.531 20 5 CFBDRN C[C@]1(CCNC(=O)c2ccccc2[N+](=O)[O-])CC1(F)F ZINC000598214115 350216143 /nfs/dbraw/zinc/21/61/43/350216143.db2.gz BWAMVTVTQUIQDC-LBPRGKRZSA-N 0 0 284.262 2.760 20 5 CFBDRN C[C@]1(CCNC(=O)c2ccc([N+](=O)[O-])s2)CC1(F)F ZINC000598214853 350216605 /nfs/dbraw/zinc/21/66/05/350216605.db2.gz GONNYRGHBKFRET-JTQLQIEISA-N 0 0 290.291 2.822 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])NCCCC1CCC1 ZINC000598217058 350217724 /nfs/dbraw/zinc/21/77/24/350217724.db2.gz WKRJAHIDNAAXQS-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H](CCF)C1 ZINC000598218855 350218673 /nfs/dbraw/zinc/21/86/73/350218673.db2.gz FMNUQEJWAGNHBG-JTQLQIEISA-N 0 0 298.289 2.946 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](CCF)C1 ZINC000598221330 350219370 /nfs/dbraw/zinc/21/93/70/350219370.db2.gz QHRFSKIFSZHRKR-ZDUSSCGKSA-N 0 0 294.326 2.736 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H](CCF)C1 ZINC000598221393 350219401 /nfs/dbraw/zinc/21/94/01/350219401.db2.gz RUVDJTGLMFJJTE-SNVBAGLBSA-N 0 0 298.289 2.946 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@H](CCF)C1 ZINC000598222220 350219468 /nfs/dbraw/zinc/21/94/68/350219468.db2.gz WUUQURUFCZEKNW-SECBINFHSA-N 0 0 286.328 2.868 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000598233580 350221568 /nfs/dbraw/zinc/22/15/68/350221568.db2.gz QLJYCUQZZARVEN-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000598233251 350221599 /nfs/dbraw/zinc/22/15/99/350221599.db2.gz MMINJDKYMZIYBK-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCC(C)(C)F ZINC000598199731 350212711 /nfs/dbraw/zinc/21/27/11/350212711.db2.gz SYWDRHWKXUWEPW-UHFFFAOYSA-N 0 0 268.288 2.771 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]2C[C@H]21)c1ccc(F)cc1[N+](=O)[O-] ZINC000598209935 350214448 /nfs/dbraw/zinc/21/44/48/350214448.db2.gz WBWMNOPOPIIIAQ-OUJBWJOFSA-N 0 0 292.310 2.900 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1F ZINC000598332227 350237479 /nfs/dbraw/zinc/23/74/79/350237479.db2.gz SNMNJQDNBBHAME-DCAQKATOSA-N 0 0 292.310 2.706 20 5 CFBDRN CCSC1(CNC(=O)c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000598334360 350238330 /nfs/dbraw/zinc/23/83/30/350238330.db2.gz FGBJMAWDHWVOLF-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN CC[C@](C)(NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])C1CC1 ZINC000598249723 350224565 /nfs/dbraw/zinc/22/45/65/350224565.db2.gz JNTNIROGXUTFHN-AWEZNQCLSA-N 0 0 294.355 2.750 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000271347791 191087180 /nfs/dbraw/zinc/08/71/80/191087180.db2.gz NJAZBIOGEUSHSX-RKDXNWHRSA-N 0 0 285.731 2.870 20 5 CFBDRN C[C@H]1C[C@H](CNc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000271347788 191087211 /nfs/dbraw/zinc/08/72/11/191087211.db2.gz NJAZBIOGEUSHSX-DTWKUNHWSA-N 0 0 285.731 2.870 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC1=CCCC1 ZINC000598375424 350251398 /nfs/dbraw/zinc/25/13/98/350251398.db2.gz GGDWWWSRTIQLMO-UHFFFAOYSA-N 0 0 260.293 2.743 20 5 CFBDRN CC(C)[C@H](NC(=O)COc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598381409 350253778 /nfs/dbraw/zinc/25/37/78/350253778.db2.gz MTMJXFKIKBUCLW-HNNXBMFYSA-N 0 0 292.335 2.524 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC(C)(C1CC1)C1CC1 ZINC000598382382 350253822 /nfs/dbraw/zinc/25/38/22/350253822.db2.gz ZIUNIOBXSZCWFD-UHFFFAOYSA-N 0 0 289.335 2.607 20 5 CFBDRN CC(C)[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000598382597 350254500 /nfs/dbraw/zinc/25/45/00/350254500.db2.gz XOUOALQQOSFJRF-ZDUSSCGKSA-N 0 0 280.299 2.898 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000598549434 350281312 /nfs/dbraw/zinc/28/13/12/350281312.db2.gz AKCHUUGDEMDXMI-NSHDSACASA-N 0 0 294.326 2.781 20 5 CFBDRN CC12CC(C1)CN2C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000598568391 350283413 /nfs/dbraw/zinc/28/34/13/350283413.db2.gz RRVAMEJUYJWEQM-UHFFFAOYSA-N 0 0 292.360 2.698 20 5 CFBDRN C[C@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000171047036 290892998 /nfs/dbraw/zinc/89/29/98/290892998.db2.gz TWUTWZUISSJQRS-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1n[nH]c(C(=O)NC[C@@H](C)C2CCCCC2)c1[N+](=O)[O-] ZINC000598439779 350269508 /nfs/dbraw/zinc/26/95/08/350269508.db2.gz VXCWKYKZQKPCPW-SECBINFHSA-N 0 0 294.355 2.573 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000598444991 350270193 /nfs/dbraw/zinc/27/01/93/350270193.db2.gz OLVYFQUWUAWJAY-FGWVZKOKSA-N 0 0 289.335 2.580 20 5 CFBDRN CC[C@@H]1CCC[C@H](C)N1C(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000598461820 350272091 /nfs/dbraw/zinc/27/20/91/350272091.db2.gz KQGIXINRSJRESU-WDEREUQCSA-N 0 0 294.355 2.604 20 5 CFBDRN Cc1cc(C(=O)NC2CC(C)(C)C2)cc([N+](=O)[O-])c1 ZINC000598505815 350278474 /nfs/dbraw/zinc/27/84/74/350278474.db2.gz ZIDLZSFMCGQNGC-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1nccnc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000598638560 350299143 /nfs/dbraw/zinc/29/91/43/350299143.db2.gz NUQYSAVUZIWIMK-JTQLQIEISA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1nccnc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000598640894 350299825 /nfs/dbraw/zinc/29/98/25/350299825.db2.gz PBNWEQPRUBLCCW-SNVBAGLBSA-N 0 0 272.308 2.544 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC(CC3CC3)C2)c1 ZINC000598643894 350300319 /nfs/dbraw/zinc/30/03/19/350300319.db2.gz WNESGKZBZOWVGW-UHFFFAOYSA-N 0 0 290.319 2.618 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1SC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000598650529 350303126 /nfs/dbraw/zinc/30/31/26/350303126.db2.gz ZRUFQCPHCICQMG-BBBLOLIVSA-N 0 0 267.354 2.857 20 5 CFBDRN CCC[C@@H](CC)Nc1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC000598593488 350289341 /nfs/dbraw/zinc/28/93/41/350289341.db2.gz UGLCFTMTCLPSED-SECBINFHSA-N 0 0 281.312 2.767 20 5 CFBDRN Cc1cc(C)cc(CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000598832426 350336232 /nfs/dbraw/zinc/33/62/32/350336232.db2.gz XAZYPJYQDGWPQY-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN CC[C@@](C)(NC(=O)c1cc([N+](=O)[O-])ccc1OC)C1CC1 ZINC000598872529 350343256 /nfs/dbraw/zinc/34/32/56/350343256.db2.gz ULTKDJBTFVXXJT-OAHLLOKOSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C([C@H]1CC1(F)F)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598880247 350343717 /nfs/dbraw/zinc/34/37/17/350343717.db2.gz IBKWHYWGSXJMGF-LLVKDONJSA-N 0 0 296.273 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@]1(C)CC1(C)C ZINC000598878286 350343860 /nfs/dbraw/zinc/34/38/60/350343860.db2.gz ATPDUEWCKSGSSN-CQSZACIVSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@H](CCCO)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599063098 350367955 /nfs/dbraw/zinc/36/79/55/350367955.db2.gz WMVWKHIFAQMPKI-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCCO2)ccc2cnccc21 ZINC000599013597 350358988 /nfs/dbraw/zinc/35/89/88/350358988.db2.gz KZBSIUMAKVCVOL-LLVKDONJSA-N 0 0 273.292 2.734 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599043489 350363526 /nfs/dbraw/zinc/36/35/26/350363526.db2.gz MXUFTRAVFVXEQQ-YGRLFVJLSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC[C@H]1CC1(F)F ZINC000598780511 350328449 /nfs/dbraw/zinc/32/84/49/350328449.db2.gz GJBIOPLGUDKTFS-VIFPVBQESA-N 0 0 284.262 2.678 20 5 CFBDRN C[C@H](C(=O)N1CC(CC2CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000598780841 350329373 /nfs/dbraw/zinc/32/93/73/350329373.db2.gz IGWBFIBTDQECMJ-NSHDSACASA-N 0 0 288.347 2.957 20 5 CFBDRN Cc1cc(NCc2ccccc2[N+](=O)[O-])ncc1[N+](=O)[O-] ZINC000092027096 180308442 /nfs/dbraw/zinc/30/84/42/180308442.db2.gz IRNAJVHGBYNPHZ-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN Cc1c(NC(=O)[C@]23C[C@H]2COC3(C)C)cccc1[N+](=O)[O-] ZINC000598803544 350331109 /nfs/dbraw/zinc/33/11/09/350331109.db2.gz QTTHVMBQJFWFDY-BONVTDFDSA-N 0 0 290.319 2.657 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599071983 350370958 /nfs/dbraw/zinc/37/09/58/350370958.db2.gz VUHSXDFTUZXDFZ-QWHCGFSZSA-N 0 0 287.319 2.714 20 5 CFBDRN CC1(F)CN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000599076654 350371887 /nfs/dbraw/zinc/37/18/87/350371887.db2.gz DBRUIIXWNFCMGL-UHFFFAOYSA-N 0 0 261.256 2.691 20 5 CFBDRN C/C=C/CN[C@H](c1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000599081460 350372453 /nfs/dbraw/zinc/37/24/53/350372453.db2.gz LITQBEBKXDSXMS-XGACYXMMSA-N 0 0 286.335 2.583 20 5 CFBDRN Cc1ccnc([C@H]2CCN(c3cc(C)c([N+](=O)[O-])cn3)C2)c1 ZINC000599100289 350376083 /nfs/dbraw/zinc/37/60/83/350376083.db2.gz LXLNDQOWWZLRSQ-ZDUSSCGKSA-N 0 0 298.346 2.996 20 5 CFBDRN CCO[C@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000599102625 350376765 /nfs/dbraw/zinc/37/67/65/350376765.db2.gz NNEYGPQBFLISCS-HZMBPMFUSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@@H]2COC[C@H]2C1 ZINC000599110344 350377288 /nfs/dbraw/zinc/37/72/88/350377288.db2.gz RPQPOXNHJPSGAP-CHWSQXEVSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(C34CC(C3)C4)n2)c1 ZINC000599114766 350377324 /nfs/dbraw/zinc/37/73/24/350377324.db2.gz BSLHLMWQASZDDO-UHFFFAOYSA-N 0 0 257.249 2.696 20 5 CFBDRN CCn1nncc1CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000599117051 350377957 /nfs/dbraw/zinc/37/79/57/350377957.db2.gz XFTKBVMLEFHEHK-UHFFFAOYSA-N 0 0 297.265 2.756 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000599115584 350378067 /nfs/dbraw/zinc/37/80/67/350378067.db2.gz NVEZOUIGTIKAMM-MXWKQRLJSA-N 0 0 289.335 2.542 20 5 CFBDRN C[C@@H]1CN(c2ccncc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000599119105 350379133 /nfs/dbraw/zinc/37/91/33/350379133.db2.gz WXILMEFUDKSWKH-DTORHVGOSA-N 0 0 271.267 2.717 20 5 CFBDRN CCC[C@H](CNc1c(Cl)cncc1[N+](=O)[O-])OCC ZINC000599119678 350379354 /nfs/dbraw/zinc/37/93/54/350379354.db2.gz SVSNECVFOMVBKN-SECBINFHSA-N 0 0 287.747 2.682 20 5 CFBDRN Cc1cccc2c1C[C@@H](Nc1cccnc1[N+](=O)[O-])CO2 ZINC000599122531 350379870 /nfs/dbraw/zinc/37/98/70/350379870.db2.gz HPZVWDWLKQDEQN-LLVKDONJSA-N 0 0 285.303 2.714 20 5 CFBDRN Cc1cccc2c1C[C@H](Nc1ncccc1[N+](=O)[O-])CO2 ZINC000599124801 350380420 /nfs/dbraw/zinc/38/04/20/350380420.db2.gz YGALZTHXRSNNRL-NSHDSACASA-N 0 0 285.303 2.714 20 5 CFBDRN CC1(C)C[C@H](Sc2nc3sccn3c2[N+](=O)[O-])CO1 ZINC000599125203 350380650 /nfs/dbraw/zinc/38/06/50/350380650.db2.gz MAPHVGRKMRHELG-ZETCQYMHSA-N 0 0 299.377 2.964 20 5 CFBDRN Cc1nc(N2CCCC(F)(F)CC2)ccc1[N+](=O)[O-] ZINC000599134623 350381859 /nfs/dbraw/zinc/38/18/59/350381859.db2.gz ZBLCXAXGUOOHDX-UHFFFAOYSA-N 0 0 271.267 2.924 20 5 CFBDRN CCC(C)(C)OC1CN(c2ccccc2[N+](=O)[O-])C1 ZINC000599136542 350382445 /nfs/dbraw/zinc/38/24/45/350382445.db2.gz SVBRHGKWELARQY-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN C[N@@H+]1CCCC[C@H](CNc2sccc2[N+](=O)[O-])C1 ZINC000599141142 350383551 /nfs/dbraw/zinc/38/35/51/350383551.db2.gz ZOYRYUHGGBBVRB-SNVBAGLBSA-N 0 0 269.370 2.800 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000599147868 350384674 /nfs/dbraw/zinc/38/46/74/350384674.db2.gz QTBRSVNDIAIMEJ-OCCSQVGLSA-N 0 0 277.324 2.542 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC(F)(F)CC ZINC000599148663 350385409 /nfs/dbraw/zinc/38/54/09/350385409.db2.gz KFINNOHYYRTZJI-UHFFFAOYSA-N 0 0 262.260 2.577 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC(Cc3ccco3)C2)n1 ZINC000599151886 350385681 /nfs/dbraw/zinc/38/56/81/350385681.db2.gz QFJAYAGJOVCFCX-UHFFFAOYSA-N 0 0 287.319 2.879 20 5 CFBDRN Cc1cc(N[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)ncc1[N+](=O)[O-] ZINC000599163932 350387628 /nfs/dbraw/zinc/38/76/28/350387628.db2.gz IADPVIDJPINLSH-DNJQJEMRSA-N 0 0 265.313 2.522 20 5 CFBDRN CCOc1cc(N2CCO[C@H](CCF)C2)ccc1[N+](=O)[O-] ZINC000599169614 350388048 /nfs/dbraw/zinc/38/80/48/350388048.db2.gz VVPXHAFHVNZJDN-GFCCVEGCSA-N 0 0 298.314 2.558 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000599165544 350388084 /nfs/dbraw/zinc/38/80/84/350388084.db2.gz UARJPKTXAFBLTN-YDEXJTGXSA-N 0 0 268.288 2.958 20 5 CFBDRN Cc1cc(N2CCO[C@H](CCF)C2)c([N+](=O)[O-])s1 ZINC000599169259 350388090 /nfs/dbraw/zinc/38/80/90/350388090.db2.gz SXCVWYKSZYZAAR-SECBINFHSA-N 0 0 274.317 2.530 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)C[C@H]2C[C@H]2C1 ZINC000599172749 350388673 /nfs/dbraw/zinc/38/86/73/350388673.db2.gz STQFLODNKQOWFC-RTUWITSCSA-N 0 0 289.335 2.542 20 5 CFBDRN Cc1cc(N2C[C@@H]3CCC[C@]3(CO)C2)ccc1[N+](=O)[O-] ZINC000599173472 350389249 /nfs/dbraw/zinc/38/92/49/350389249.db2.gz AXVMJRCKXHYPSE-SWLSCSKDSA-N 0 0 276.336 2.502 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179767 350390405 /nfs/dbraw/zinc/39/04/05/350390405.db2.gz HOLVAPCCHDSIMM-AAEUAGOBSA-N 0 0 278.308 2.583 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc([C@@H]2CC[C@@H](C)O2)no1 ZINC000599180750 350390584 /nfs/dbraw/zinc/39/05/84/350390584.db2.gz PFPKNEYOXIZMGZ-HQJQHLMTSA-N 0 0 290.279 2.588 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000599181081 350390753 /nfs/dbraw/zinc/39/07/53/350390753.db2.gz PNONVXOTZNXLMJ-CABZTGNLSA-N 0 0 266.272 2.713 20 5 CFBDRN Cc1cc(N[C@H]2CO[C@@H](C3CC3)C2)c([N+](=O)[O-])s1 ZINC000599180324 350390911 /nfs/dbraw/zinc/39/09/11/350390911.db2.gz KPFRQAWASJNDNE-MWLCHTKSSA-N 0 0 268.338 2.944 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000599181091 350391117 /nfs/dbraw/zinc/39/11/17/350391117.db2.gz PRRFMVJMGOFNSM-FZMZJTMJSA-N 0 0 262.309 2.883 20 5 CFBDRN CCC(O)(CC)CN(C)c1sccc1[N+](=O)[O-] ZINC000599192920 350393431 /nfs/dbraw/zinc/39/34/31/350393431.db2.gz XNPPAUVKDXQMCS-UHFFFAOYSA-N 0 0 258.343 2.644 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC(Cc2cccs2)C1 ZINC000599196337 350394279 /nfs/dbraw/zinc/39/42/79/350394279.db2.gz MGROFRDWWWTEJC-UHFFFAOYSA-N 0 0 275.333 2.730 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC[C@@H]1CCCC12CC2 ZINC000599199014 350395026 /nfs/dbraw/zinc/39/50/26/350395026.db2.gz YAWWQOTUGPMWBV-JTQLQIEISA-N 0 0 289.335 2.686 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOc3cc(F)ccc3C2)s1 ZINC000599202455 350395969 /nfs/dbraw/zinc/39/59/69/350395969.db2.gz SXBYELZGEHKCBA-UHFFFAOYSA-N 0 0 295.295 2.589 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1C[C@@H](C)[C@H](C)C1 ZINC000092085708 180320066 /nfs/dbraw/zinc/32/00/66/180320066.db2.gz NVLFDBHJZLJEJZ-NXEZZACHSA-N 0 0 262.309 2.890 20 5 CFBDRN CCCC[C@H](CCC)CNC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000271372508 191104027 /nfs/dbraw/zinc/10/40/27/191104027.db2.gz IDUDICSXNKRNIV-NSHDSACASA-N 0 0 296.371 2.665 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2C1 ZINC000105980346 186096016 /nfs/dbraw/zinc/09/60/16/186096016.db2.gz QESSDNXQVTUVKX-UHFFFAOYSA-N 0 0 298.298 2.516 20 5 CFBDRN COc1ccc(CN(CC(F)F)C2CC2)cc1[N+](=O)[O-] ZINC000106872171 186145264 /nfs/dbraw/zinc/14/52/64/186145264.db2.gz OTLFTNULSSYAEO-UHFFFAOYSA-N 0 0 286.278 2.833 20 5 CFBDRN CC[C@@H](C)CN[C@](C)(C(=O)OC)c1cccc([N+](=O)[O-])c1 ZINC000599652214 350472990 /nfs/dbraw/zinc/47/29/90/350472990.db2.gz KUCXYMBAXZBNTR-ABAIWWIYSA-N 0 0 294.351 2.619 20 5 CFBDRN CCC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)OCC ZINC000600173901 350530297 /nfs/dbraw/zinc/53/02/97/350530297.db2.gz ICHCLNALCYFRJM-ZDUSSCGKSA-N 0 0 280.324 2.530 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCO[C@@H](CCF)C1 ZINC000600203936 350538154 /nfs/dbraw/zinc/53/81/54/350538154.db2.gz GNGYRGZXWFNILV-KQIUPUNMSA-N 0 0 294.326 2.668 20 5 CFBDRN Cc1cc(-c2nc([C@@H]3CCCO3)no2)ccc1[N+](=O)[O-] ZINC000274165129 192469230 /nfs/dbraw/zinc/46/92/30/192469230.db2.gz OEAWXSNDDSGOJY-NSHDSACASA-N 0 0 275.264 2.805 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])C[C@H]1CCCOC1 ZINC000107686510 186185426 /nfs/dbraw/zinc/18/54/26/186185426.db2.gz AKSWICUWFVMILF-LLVKDONJSA-N 0 0 282.315 2.592 20 5 CFBDRN CCO[C@H](COc1c(C)c(C)ncc1[N+](=O)[O-])C1CC1 ZINC000600546276 350568801 /nfs/dbraw/zinc/56/88/01/350568801.db2.gz CCNOKZCJCFFXIN-CYBMUJFWSA-N 0 0 280.324 2.801 20 5 CFBDRN CCCOCn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000600563820 350571207 /nfs/dbraw/zinc/57/12/07/350571207.db2.gz ORGIEPOOACAGOA-UHFFFAOYSA-N 0 0 261.281 2.842 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1C[C@@H]2C[C@@H]2C1 ZINC000600217570 350542358 /nfs/dbraw/zinc/54/23/58/350542358.db2.gz JQSAMYDHNUYTQY-AOOOYVTPSA-N 0 0 288.328 2.901 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NCC1CC=CC1 ZINC000600230306 350544312 /nfs/dbraw/zinc/54/43/12/350544312.db2.gz QRFVLLWIMNOFMI-UHFFFAOYSA-N 0 0 292.360 2.769 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N(C1CC1)C1CC1 ZINC000600359575 350549843 /nfs/dbraw/zinc/54/98/43/350549843.db2.gz KPZVJPZBWHKSJM-UHFFFAOYSA-N 0 0 296.273 2.569 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000600444670 350556499 /nfs/dbraw/zinc/55/64/99/350556499.db2.gz FKEFGYZZKFLUNH-SECBINFHSA-N 0 0 284.262 2.855 20 5 CFBDRN C/C=C\CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000600489300 350558679 /nfs/dbraw/zinc/55/86/79/350558679.db2.gz DQFHOBLMWSCSIM-IHWYPQMZSA-N 0 0 288.225 2.920 20 5 CFBDRN C/C=C/CNC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000600492058 350558891 /nfs/dbraw/zinc/55/88/91/350558891.db2.gz PKWYVZBSJPMRFA-ONEGZZNKSA-N 0 0 266.322 2.623 20 5 CFBDRN C/C=C\CNC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000600491506 350559143 /nfs/dbraw/zinc/55/91/43/350559143.db2.gz LDNDSOHEAYUQCB-UMBAGQNISA-N 0 0 280.349 2.768 20 5 CFBDRN CO[C@@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)CC(C)C ZINC000416042144 233525002 /nfs/dbraw/zinc/52/50/02/233525002.db2.gz LHKJPBFOUWUUPS-CQSZACIVSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cccnc1C(F)(F)F ZINC000600519181 350562217 /nfs/dbraw/zinc/56/22/17/350562217.db2.gz AOELJCDXRQNPEP-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN O=[N+]([O-])c1ccnn1C1CC(Oc2ccccc2)C1 ZINC000600517147 350562522 /nfs/dbraw/zinc/56/25/22/350562522.db2.gz AXRQOCJXWIWOQL-UHFFFAOYSA-N 0 0 259.265 2.574 20 5 CFBDRN CCc1ccc(OCCc2cnoc2)c([N+](=O)[O-])c1 ZINC000600527029 350564297 /nfs/dbraw/zinc/56/42/97/350564297.db2.gz AXILOIDAEYRMNK-UHFFFAOYSA-N 0 0 262.265 2.767 20 5 CFBDRN COC(=O)CCCCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000600527229 350564598 /nfs/dbraw/zinc/56/45/98/350564598.db2.gz ADWHFHFHEVAZHD-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2noc(C3CCC3)n2)nc1 ZINC000600536951 350566909 /nfs/dbraw/zinc/56/69/09/350566909.db2.gz OELSAWVYPQQNDA-UHFFFAOYSA-N 0 0 292.320 2.933 20 5 CFBDRN COC(=O)CCCCn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000600537820 350567916 /nfs/dbraw/zinc/56/79/16/350567916.db2.gz UHGUEQDAFKAUKV-UHFFFAOYSA-N 0 0 291.307 2.596 20 5 CFBDRN COc1cc(Cn2nccc2[N+](=O)[O-])ccc1SC ZINC000109198098 186245241 /nfs/dbraw/zinc/24/52/41/186245241.db2.gz UDGCONUDFSMICW-UHFFFAOYSA-N 0 0 279.321 2.570 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Cn1ccnc1C1CC1 ZINC000600615152 350581114 /nfs/dbraw/zinc/58/11/14/350581114.db2.gz WZEYKMKRWFNLBZ-UHFFFAOYSA-N 0 0 273.292 2.726 20 5 CFBDRN Cc1noc(CSCc2cccc([N+](=O)[O-])c2)n1 ZINC000108408762 186215726 /nfs/dbraw/zinc/21/57/26/186215726.db2.gz OKEJUBIHZZIJQH-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN C[C@@H]1CC(C(=O)[O-])C[C@@H](C)[NH+]1Cc1csc([N+](=O)[O-])c1 ZINC000600676482 350595959 /nfs/dbraw/zinc/59/59/59/350595959.db2.gz XNSFRQPJDJZMQZ-RKDXNWHRSA-N 0 0 298.364 2.730 20 5 CFBDRN CCC(F)(F)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000600692404 350597271 /nfs/dbraw/zinc/59/72/71/350597271.db2.gz NEYNIEVAFWYCEA-UHFFFAOYSA-N 0 0 291.229 2.901 20 5 CFBDRN CCn1ncc(C(=O)Nc2cccc([N+](=O)[O-])c2C)c1C ZINC000600870389 350621562 /nfs/dbraw/zinc/62/15/62/350621562.db2.gz RFANDKINLPOIAA-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN COc1ccc(-c2noc(-c3cccc([N+](=O)[O-])c3)n2)cn1 ZINC000109588730 186264406 /nfs/dbraw/zinc/26/44/06/186264406.db2.gz ZLKFMNFBFGEEBD-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN COCc1noc(-c2ccc(SC)c([N+](=O)[O-])c2)n1 ZINC000109599593 186266244 /nfs/dbraw/zinc/26/62/44/186266244.db2.gz BBCRYIGHPPYBNA-UHFFFAOYSA-N 0 0 281.293 2.513 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CCCC[C@@]21C ZINC000600756112 350606495 /nfs/dbraw/zinc/60/64/95/350606495.db2.gz FBRALOQUFNFXKP-NHYWBVRUSA-N 0 0 289.335 2.703 20 5 CFBDRN CC(C)N(C)c1ccc(-c2ccc([N+](=O)[O-])nc2)cn1 ZINC000600789003 350612109 /nfs/dbraw/zinc/61/21/09/350612109.db2.gz OWVANCBUZYRNHS-UHFFFAOYSA-N 0 0 272.308 2.896 20 5 CFBDRN COCCCn1cc(-c2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000600790653 350612838 /nfs/dbraw/zinc/61/28/38/350612838.db2.gz MCNNTSLPIAGYIU-UHFFFAOYSA-N 0 0 275.308 2.803 20 5 CFBDRN C/C=C\C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000600808385 350615836 /nfs/dbraw/zinc/61/58/36/350615836.db2.gz ZVHPDGWVRISLHC-MVZIDQBPSA-N 0 0 291.351 2.752 20 5 CFBDRN Nc1nc(N[C@H]2CCC[C@@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000110603833 186304090 /nfs/dbraw/zinc/30/40/90/186304090.db2.gz XGRTVGUYQMPJSU-NEPJUHHUSA-N 0 0 299.334 2.715 20 5 CFBDRN CSC[C@@H](C)Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601118956 350673336 /nfs/dbraw/zinc/67/33/36/350673336.db2.gz RZZSXNQJJQGGCA-RXMQYKEDSA-N 0 0 298.290 2.511 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1COC1CCCCC1 ZINC000601075346 350658883 /nfs/dbraw/zinc/65/88/83/350658883.db2.gz ORRJBWIDPLGQNM-UHFFFAOYSA-N 0 0 292.291 2.810 20 5 CFBDRN C[C@H]1OCC[C@@H]1COc1ccc(F)cc1[N+](=O)[O-] ZINC000601077309 350660267 /nfs/dbraw/zinc/66/02/67/350660267.db2.gz ZYPUWJMQYKDOJK-RKDXNWHRSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1nn(C[C@@H]2CCO[C@H]2C)c2ccc([N+](=O)[O-])cc12 ZINC000601079903 350661699 /nfs/dbraw/zinc/66/16/99/350661699.db2.gz GAKRBZWFNBKDFG-QWRGUYRKSA-N 0 0 275.308 2.678 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2CCc3ncnn32)c(F)c1 ZINC000601081449 350662084 /nfs/dbraw/zinc/66/20/84/350662084.db2.gz NWFASGRVXJUCPG-VIFPVBQESA-N 0 0 294.311 2.605 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1nc(CC2CC2)no1 ZINC000601081138 350662553 /nfs/dbraw/zinc/66/25/53/350662553.db2.gz KSIUPKFKBFDQSH-UHFFFAOYSA-N 0 0 293.254 2.648 20 5 CFBDRN CN(c1ncc(F)cc1[N+](=O)[O-])C1CCCCC1 ZINC000601099153 350667445 /nfs/dbraw/zinc/66/74/45/350667445.db2.gz VXAYPKRJQKVYFT-UHFFFAOYSA-N 0 0 253.277 2.898 20 5 CFBDRN CC[C@H](C)Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601098653 350667557 /nfs/dbraw/zinc/66/75/57/350667557.db2.gz CLEFPAGKJWGBOO-YFKPBYRVSA-N 0 0 266.223 2.558 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NCC1CCC1 ZINC000601103985 350668887 /nfs/dbraw/zinc/66/88/87/350668887.db2.gz MOAQIYLFACZYBR-UHFFFAOYSA-N 0 0 278.234 2.559 20 5 CFBDRN CC[C@@H]1CN(c2ccnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000601103268 350669116 /nfs/dbraw/zinc/66/91/16/350669116.db2.gz SJOFXXQVSRNNCJ-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN CC1(C)CCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601110625 350670618 /nfs/dbraw/zinc/67/06/18/350670618.db2.gz IGYVUCKUPGJEMX-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@]12C[C@@H]1CCCC2 ZINC000601224730 350702858 /nfs/dbraw/zinc/70/28/58/350702858.db2.gz AIIHDMMUGUGKLF-GWCFXTLKSA-N 0 0 264.329 2.864 20 5 CFBDRN CCOC(C)(C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000601281019 350716376 /nfs/dbraw/zinc/71/63/76/350716376.db2.gz KMXCVJPHIOMAQX-LLVKDONJSA-N 0 0 294.351 2.896 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](O)C(F)(F)F ZINC000601237830 350707247 /nfs/dbraw/zinc/70/72/47/350707247.db2.gz MRGVXXXPWUWVPF-SSDLBLMSSA-N 0 0 298.648 2.972 20 5 CFBDRN COc1cc(NCc2ncccc2C)ccc1[N+](=O)[O-] ZINC000111050400 186348660 /nfs/dbraw/zinc/34/86/60/186348660.db2.gz FGYOMCSBYSMLHW-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CC1(F)CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000601245529 350708291 /nfs/dbraw/zinc/70/82/91/350708291.db2.gz ARYDHFZRIASDQF-UHFFFAOYSA-N 0 0 278.287 2.800 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CCC2)[C@@H]2CCCO2)cn1 ZINC000601251139 350709722 /nfs/dbraw/zinc/70/97/22/350709722.db2.gz SBSLEVTWPNRPHZ-GXTWGEPZSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@]2(CCCOC2)C1 ZINC000601124777 350675360 /nfs/dbraw/zinc/67/53/60/350675360.db2.gz SOAXWWVIQIOXOY-AWEZNQCLSA-N 0 0 295.314 2.526 20 5 CFBDRN CN(CCC1CC1)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601132851 350677999 /nfs/dbraw/zinc/67/79/99/350677999.db2.gz OZHBOYBBEQZPAD-UHFFFAOYSA-N 0 0 292.261 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N[C@H]3CCCOCC3)c2c1 ZINC000601138468 350679963 /nfs/dbraw/zinc/67/99/63/350679963.db2.gz UTZGRAYZWPIKCR-NSHDSACASA-N 0 0 287.319 2.546 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1c1ncc(F)cc1[N+](=O)[O-] ZINC000601158087 350684241 /nfs/dbraw/zinc/68/42/41/350684241.db2.gz MIFFGCDKTOUNOA-XPUUQOCRSA-N 0 0 293.220 2.906 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@@H]2C[C@H]2C(F)(F)F)cs1 ZINC000601159245 350684346 /nfs/dbraw/zinc/68/43/46/350684346.db2.gz FISZEFWHEZNANL-RNFRBKRXSA-N 0 0 266.244 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@@H]1CCC(F)(F)C1 ZINC000601159848 350685024 /nfs/dbraw/zinc/68/50/24/350685024.db2.gz PDAYJNLXJJPFKL-SSDOTTSWSA-N 0 0 275.230 2.976 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)C[C@H](C)O1 ZINC000111022039 186345336 /nfs/dbraw/zinc/34/53/36/186345336.db2.gz JVURBRKQKNEEIF-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@]2(CCSC2)C1 ZINC000601171442 350687917 /nfs/dbraw/zinc/68/79/17/350687917.db2.gz MAEXFRRTEPAIGQ-ZDUSSCGKSA-N 0 0 297.355 2.852 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1nc(C(F)F)no1 ZINC000601178719 350690049 /nfs/dbraw/zinc/69/00/49/350690049.db2.gz XMSCQEJMPWDYNK-UHFFFAOYSA-N 0 0 276.224 2.589 20 5 CFBDRN Cc1ccc(NCc2nc(C(F)F)no2)c([N+](=O)[O-])c1 ZINC000601178663 350690057 /nfs/dbraw/zinc/69/00/57/350690057.db2.gz WLEBWONAYBRYTD-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](Nc2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601181270 350691009 /nfs/dbraw/zinc/69/10/09/350691009.db2.gz HWENHKWSVWBCPF-AOOOYVTPSA-N 0 0 283.303 2.887 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@H](C1CC1)[C@@H]1CCCCO1 ZINC000601186833 350691552 /nfs/dbraw/zinc/69/15/52/350691552.db2.gz CDRXPFOGUONVHK-QWHCGFSZSA-N 0 0 295.314 2.889 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)Cc2ccns2)n1 ZINC000601194035 350693641 /nfs/dbraw/zinc/69/36/41/350693641.db2.gz VPRWFKAVCPXUGY-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@@H]4COC[C@H]4C3)c2c1 ZINC000601195739 350694202 /nfs/dbraw/zinc/69/42/02/350694202.db2.gz BCUYCBIJRXFBOQ-VXGBXAGGSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1ccsc1[N+](=O)[O-] ZINC000601202625 350696158 /nfs/dbraw/zinc/69/61/58/350696158.db2.gz DHGDBKOIHLZHIU-OORONAJNSA-N 0 0 256.327 2.880 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCCC12CC2 ZINC000601209770 350698067 /nfs/dbraw/zinc/69/80/67/350698067.db2.gz KBHDXJOVVCXIEQ-SNVBAGLBSA-N 0 0 264.329 2.864 20 5 CFBDRN CCC[C@H](CCO)Nc1cc(OC)ccc1[N+](=O)[O-] ZINC000601211928 350698713 /nfs/dbraw/zinc/69/87/13/350698713.db2.gz AIUJXBDDUIQIQC-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN COC(=O)C(C)(C)CSc1ccsc1[N+](=O)[O-] ZINC000601212927 350699549 /nfs/dbraw/zinc/69/95/49/350699549.db2.gz RRCRPYGOGHUFMG-UHFFFAOYSA-N 0 0 275.351 2.948 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H](c2cccnc2)C1 ZINC000601216257 350699794 /nfs/dbraw/zinc/69/97/94/350699794.db2.gz AEMFSFSAWFMNPE-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN CCOc1cc(N[C@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000111049597 186347928 /nfs/dbraw/zinc/34/79/28/186347928.db2.gz JMYDZDIQXZOQBL-AAEUAGOBSA-N 0 0 280.324 2.709 20 5 CFBDRN CCOc1cc(N[C@H](C)Cn2ccnc2)ccc1[N+](=O)[O-] ZINC000111048479 186347993 /nfs/dbraw/zinc/34/79/93/186347993.db2.gz BSGJIPGFJPZCCJ-LLVKDONJSA-N 0 0 290.323 2.691 20 5 CFBDRN CCOc1cc(NC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000111048758 186348032 /nfs/dbraw/zinc/34/80/32/186348032.db2.gz INLHDLFITWTZRE-LBPRGKRZSA-N 0 0 280.324 2.975 20 5 CFBDRN CCOC(=O)CCSc1c(F)cc([N+](=O)[O-])cc1F ZINC000601221929 350701386 /nfs/dbraw/zinc/70/13/86/350701386.db2.gz UKACYUGIBXJPDO-UHFFFAOYSA-N 0 0 291.275 2.918 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]1c1ccco1 ZINC000601221744 350701674 /nfs/dbraw/zinc/70/16/74/350701674.db2.gz RANZQMSHMKLUDG-GFCCVEGCSA-N 0 0 289.291 2.739 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1C(F)F ZINC000601600964 350745382 /nfs/dbraw/zinc/74/53/82/350745382.db2.gz GMLYNKDQEAFUIG-SNVBAGLBSA-N 0 0 284.262 2.691 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000601605451 350746556 /nfs/dbraw/zinc/74/65/56/350746556.db2.gz QULXOYFXLAEUTL-SNVBAGLBSA-N 0 0 284.262 2.637 20 5 CFBDRN C[C@H](F)CCNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601605741 350746795 /nfs/dbraw/zinc/74/67/95/350746795.db2.gz GXRHVKXRHLQCAZ-NSHDSACASA-N 0 0 294.326 2.881 20 5 CFBDRN CCC1CN(C(=O)C2(c3ccc([N+](=O)[O-])cc3)CCC2)C1 ZINC000601631512 350750981 /nfs/dbraw/zinc/75/09/81/350750981.db2.gz ZUQMBPPLGWRZQF-UHFFFAOYSA-N 0 0 288.347 2.885 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000601672509 350757350 /nfs/dbraw/zinc/75/73/50/350757350.db2.gz ZZICVIFCZLKPTN-CYBMUJFWSA-N 0 0 280.299 2.898 20 5 CFBDRN O=C(C[C@@H]1CSCCS1)Nc1cccc([N+](=O)[O-])c1 ZINC000130060125 187467922 /nfs/dbraw/zinc/46/79/22/187467922.db2.gz FALYLLIQHVTKGF-LLVKDONJSA-N 0 0 298.389 2.772 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]2C1 ZINC000601306139 350720907 /nfs/dbraw/zinc/72/09/07/350720907.db2.gz BENXEQIIYSXNKI-AOOOYVTPSA-N 0 0 278.283 2.606 20 5 CFBDRN CSC1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000601697533 350763594 /nfs/dbraw/zinc/76/35/94/350763594.db2.gz FWAVDXVQVUGGTK-UHFFFAOYSA-N 0 0 280.349 2.562 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000601560106 350739591 /nfs/dbraw/zinc/73/95/91/350739591.db2.gz GYWMDSXHDXNITH-LLVKDONJSA-N 0 0 291.351 2.973 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)CCCCO)cc1[N+](=O)[O-] ZINC000601564457 350740136 /nfs/dbraw/zinc/74/01/36/350740136.db2.gz KKYDHYOGQIMTRN-UHFFFAOYSA-N 0 0 294.351 2.993 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc3nccnc3n2)cc1 ZINC000111143522 186359664 /nfs/dbraw/zinc/35/96/64/186359664.db2.gz GKFXSSJLEFUOTB-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C1CSC1 ZINC000601584984 350743155 /nfs/dbraw/zinc/74/31/55/350743155.db2.gz FJOKJUGEVKBIMR-UHFFFAOYSA-N 0 0 266.322 2.513 20 5 CFBDRN O=C(NCCCCF)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601591375 350743833 /nfs/dbraw/zinc/74/38/33/350743833.db2.gz IRLCUHTVURZLID-UHFFFAOYSA-N 0 0 294.326 2.882 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCCC2)c1ccc([N+](=O)[O-])cc1 ZINC000601774315 350774627 /nfs/dbraw/zinc/77/46/27/350774627.db2.gz LKJSJRDHKWWGJM-BXUZGUMPSA-N 0 0 260.293 2.657 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@]12C[C@H]1CCCC2 ZINC000601776011 350774694 /nfs/dbraw/zinc/77/46/94/350774694.db2.gz OXSARTHRNOJITF-CZUORRHYSA-N 0 0 288.347 2.976 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000271409794 191121111 /nfs/dbraw/zinc/12/11/11/191121111.db2.gz SRPXCUTYGWJYRW-RKDXNWHRSA-N 0 0 285.731 2.870 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC2(CCC2)[C@H]1C1CC1 ZINC000291185925 197946417 /nfs/dbraw/zinc/94/64/17/197946417.db2.gz SUXYZRLUJJVNGV-CQSZACIVSA-N 0 0 286.331 3.000 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000601870104 350795132 /nfs/dbraw/zinc/79/51/32/350795132.db2.gz LXYGNDROGNHZEU-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN CC1(F)CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601869392 350795144 /nfs/dbraw/zinc/79/51/44/350795144.db2.gz FTOFHQRTJALGDM-UHFFFAOYSA-N 0 0 284.262 2.698 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1cc(F)cc(Cl)c1 ZINC000601916608 350801466 /nfs/dbraw/zinc/80/14/66/350801466.db2.gz AZLFABJRICQVJQ-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN CCC[C@@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2OC)N=C1C ZINC000601934765 350807715 /nfs/dbraw/zinc/80/77/15/350807715.db2.gz FHHCPOJESKLXLR-NSHDSACASA-N 0 0 291.307 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1N1N=C2CC[C@H](C)[C@@H]2C1=O ZINC000601934741 350808016 /nfs/dbraw/zinc/80/80/16/350808016.db2.gz DTMFQCFUOJRKPA-ZANVPECISA-N 0 0 273.292 2.652 20 5 CFBDRN Cc1cc(-c2ccc([N+](=O)[O-])cc2)n(C[C@H]2CCCO2)n1 ZINC000601939306 350810554 /nfs/dbraw/zinc/81/05/54/350810554.db2.gz NGLKDSXPXUALQU-CQSZACIVSA-N 0 0 287.319 2.946 20 5 CFBDRN CCC[C@H](OCC)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000602231056 350854104 /nfs/dbraw/zinc/85/41/04/350854104.db2.gz LWDHNTORTRKALS-LBPRGKRZSA-N 0 0 266.297 2.739 20 5 CFBDRN Cc1nc(NC(=O)C[C@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000602289081 350860019 /nfs/dbraw/zinc/86/00/19/350860019.db2.gz QTYWLKHWKBYOAN-LURJTMIESA-N 0 0 291.229 2.825 20 5 CFBDRN C[C@@H]1CCSCCN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000602317966 350863171 /nfs/dbraw/zinc/86/31/71/350863171.db2.gz ZKJFLUVRXIXSKZ-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H](C)O[C@H]1C ZINC000602340343 350866056 /nfs/dbraw/zinc/86/60/56/350866056.db2.gz DJKHFNHQRRSFIB-GBIKHYSHSA-N 0 0 292.335 2.909 20 5 CFBDRN Cc1nn(C)c(NCC2(C)CCCC2)c1[N+](=O)[O-] ZINC000111617814 186432760 /nfs/dbraw/zinc/43/27/60/186432760.db2.gz KPRIUYTXMAGYBO-UHFFFAOYSA-N 0 0 252.318 2.629 20 5 CFBDRN C[C@@H]1CCN(Cc2cn(C)nc2[N+](=O)[O-])c2ccccc21 ZINC000602598912 350940885 /nfs/dbraw/zinc/94/08/85/350940885.db2.gz LDAXYGVPANJWTE-LLVKDONJSA-N 0 0 286.335 2.842 20 5 CFBDRN Cc1cc(C(=O)Nc2nccc(C3CC3)n2)cc([N+](=O)[O-])c1 ZINC000602382238 350872199 /nfs/dbraw/zinc/87/21/99/350872199.db2.gz ZEFCFGPNTIQTTJ-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ccncc12)C1CCC1 ZINC000602380952 350872202 /nfs/dbraw/zinc/87/22/02/350872202.db2.gz ZCMVKAWKBBUDFJ-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN CCNc1ccc(C(=O)N(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000602390907 350873712 /nfs/dbraw/zinc/87/37/12/350873712.db2.gz PHOVTUSZHFGLMC-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2C[C@@H](C)O[C@@H]2C)cccc1[N+](=O)[O-] ZINC000602395637 350874381 /nfs/dbraw/zinc/87/43/81/350874381.db2.gz GDLBOQCZNUUEKE-HLUHBDAQSA-N 0 0 293.323 2.591 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2COCC2(C)C)c1 ZINC000602403717 350874958 /nfs/dbraw/zinc/87/49/58/350874958.db2.gz YQRMRWDNGNVHQD-UHFFFAOYSA-N 0 0 296.348 2.525 20 5 CFBDRN CCCN(Cc1cn(C)nc1[N+](=O)[O-])Cc1ccccc1 ZINC000602436371 350881396 /nfs/dbraw/zinc/88/13/96/350881396.db2.gz ZLWFBDKEZNCPEP-UHFFFAOYSA-N 0 0 288.351 2.741 20 5 CFBDRN C[C@H]1c2ccsc2CCN1Cc1cn(C)nc1[N+](=O)[O-] ZINC000602436931 350881798 /nfs/dbraw/zinc/88/17/98/350881798.db2.gz OYQCHKJAOUDJCU-VIFPVBQESA-N 0 0 292.364 2.509 20 5 CFBDRN COc1ncc(CN(C)Cc2ccccc2[N+](=O)[O-])s1 ZINC000602439311 350882793 /nfs/dbraw/zinc/88/27/93/350882793.db2.gz KPAYSKQAVMZZLA-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN COCCN(C)Cc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000602438244 350882911 /nfs/dbraw/zinc/88/29/11/350882911.db2.gz OYGDGAUCVOCWHO-UHFFFAOYSA-N 0 0 292.257 2.692 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC1CCC(O)CC1 ZINC000112208843 186474066 /nfs/dbraw/zinc/47/40/66/186474066.db2.gz LTTOVKZMNDWGBW-UHFFFAOYSA-N 0 0 250.298 2.619 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCOCC(F)(F)F ZINC000112208992 186475209 /nfs/dbraw/zinc/47/52/09/186475209.db2.gz AAQXMUUWIMBUCH-UHFFFAOYSA-N 0 0 278.230 2.894 20 5 CFBDRN CCC(F)(F)CNCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602722970 351000309 /nfs/dbraw/zinc/00/03/09/351000309.db2.gz JKSMWHYMMMXYGM-UHFFFAOYSA-N 0 0 285.250 2.871 20 5 CFBDRN C[C@H](c1ccccc1F)N(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602768876 351019416 /nfs/dbraw/zinc/01/94/16/351019416.db2.gz ZAPYTUPZPNPPPC-SNVBAGLBSA-N 0 0 292.314 2.660 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC[NH+]1CCC(C(C)(C)C)CC1 ZINC000602778108 351021237 /nfs/dbraw/zinc/02/12/37/351021237.db2.gz FDWIJZVNCGFPSP-UHFFFAOYSA-N 0 0 294.399 2.858 20 5 CFBDRN CCCN(Cc1cn(C)nc1[N+](=O)[O-])c1ccccc1F ZINC000602915113 351064436 /nfs/dbraw/zinc/06/44/36/351064436.db2.gz GLAXEMFSZLDMLC-UHFFFAOYSA-N 0 0 292.314 2.884 20 5 CFBDRN CC(C)(C)c1cn(Cc2ccccc2[N+](=O)[O-])nn1 ZINC000603185881 351115682 /nfs/dbraw/zinc/11/56/82/351115682.db2.gz CYQCSHHPMZZIJT-UHFFFAOYSA-N 0 0 260.297 2.532 20 5 CFBDRN CC[C@@H](C)Cn1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000603197624 351116453 /nfs/dbraw/zinc/11/64/53/351116453.db2.gz QDKZKXVJQHHEOC-LLVKDONJSA-N 0 0 290.323 2.811 20 5 CFBDRN CC[C@H](C)n1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000603199779 351117503 /nfs/dbraw/zinc/11/75/03/351117503.db2.gz URZZCYDAMBACCJ-JTQLQIEISA-N 0 0 276.296 2.736 20 5 CFBDRN CC(C)[C@H](C)n1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000603260763 351126658 /nfs/dbraw/zinc/12/66/58/351126658.db2.gz QBCMEPLAKDRBIV-NSHDSACASA-N 0 0 290.323 2.982 20 5 CFBDRN C[C@@H](CN(C)C(=O)Nc1ccncc1[N+](=O)[O-])C(C)(C)C ZINC000602923499 351068216 /nfs/dbraw/zinc/06/82/16/351068216.db2.gz XAIMWSOQGBHEQA-JTQLQIEISA-N 0 0 294.355 2.558 20 5 CFBDRN Cc1n[nH]cc1CN(C)[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000602940036 351069696 /nfs/dbraw/zinc/06/96/96/351069696.db2.gz DNLRMVPAQLHQLN-LLVKDONJSA-N 0 0 274.324 2.819 20 5 CFBDRN Cc1n[nH]cc1CN(C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000602940237 351070035 /nfs/dbraw/zinc/07/00/35/351070035.db2.gz DUOLNEQFBKIVKF-UHFFFAOYSA-N 0 0 294.742 2.912 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)N[C@@H](C)CC(C)(C)C ZINC000602953392 351071652 /nfs/dbraw/zinc/07/16/52/351071652.db2.gz AYFOAJNOZUIUQG-JTQLQIEISA-N 0 0 294.355 2.666 20 5 CFBDRN O=[N+]([O-])c1ccn(CCN(c2ccccc2)C2CCC2)n1 ZINC000602963267 351073352 /nfs/dbraw/zinc/07/33/52/351073352.db2.gz UDUNQHXEIXTOTO-UHFFFAOYSA-N 0 0 286.335 2.850 20 5 CFBDRN CN(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000112966675 186543509 /nfs/dbraw/zinc/54/35/09/186543509.db2.gz HNXYNISRCWBIDF-SECBINFHSA-N 0 0 290.241 2.839 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC1CSC1 ZINC000603012696 351090913 /nfs/dbraw/zinc/09/09/13/351090913.db2.gz DPHNXROFYSAICT-UHFFFAOYSA-N 0 0 295.364 2.642 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(CCCC(C)(C)C)c1=O ZINC000603359153 351146463 /nfs/dbraw/zinc/14/64/63/351146463.db2.gz PDVOGWKNRBTBBR-UHFFFAOYSA-N 0 0 268.313 2.591 20 5 CFBDRN CCOc1ccn(Cc2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000603424957 351161665 /nfs/dbraw/zinc/16/16/65/351161665.db2.gz SQLOARQKALZKNB-UHFFFAOYSA-N 0 0 283.234 2.517 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H]1c1cccnc1 ZINC000603842041 351184598 /nfs/dbraw/zinc/18/45/98/351184598.db2.gz BWKQNHVAZRILEJ-LBPRGKRZSA-N 0 0 270.292 2.726 20 5 CFBDRN COc1cccc(CN(C)c2cccnc2[N+](=O)[O-])c1 ZINC000603838245 351184798 /nfs/dbraw/zinc/18/47/98/351184798.db2.gz OUEIJRYNNAQTPE-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCOc2ccccc2C1 ZINC000603868025 351187934 /nfs/dbraw/zinc/18/79/34/351187934.db2.gz IYMHBTFURADVMR-UHFFFAOYSA-N 0 0 284.315 2.989 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H]1C ZINC000603264439 351127172 /nfs/dbraw/zinc/12/71/72/351127172.db2.gz BXMAYAYEOABKID-WCQYABFASA-N 0 0 276.336 2.784 20 5 CFBDRN COc1cc(Cn2nc(C)c([N+](=O)[O-])c2C)ccc1C ZINC000603297905 351133557 /nfs/dbraw/zinc/13/35/57/351133557.db2.gz RLTIDLWYCLVNHZ-UHFFFAOYSA-N 0 0 275.308 2.773 20 5 CFBDRN Cn1cc(CSC2CCCCC2)c([N+](=O)[O-])n1 ZINC000603300335 351133823 /nfs/dbraw/zinc/13/38/23/351133823.db2.gz PWIGTWYHSJHPBN-UHFFFAOYSA-N 0 0 255.343 2.894 20 5 CFBDRN CCC(F)(F)Cn1cc(Br)c([N+](=O)[O-])n1 ZINC000603314223 351137317 /nfs/dbraw/zinc/13/73/17/351137317.db2.gz QPFSZNOQCSMTHP-UHFFFAOYSA-N 0 0 284.060 2.599 20 5 CFBDRN Cc1occc1CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000604310901 351206340 /nfs/dbraw/zinc/20/63/40/351206340.db2.gz AIECWGOTUMPXFB-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H]2C)c1 ZINC000604397733 351210760 /nfs/dbraw/zinc/21/07/60/351210760.db2.gz FMIBORXKFDIPRL-MRVPVSSYSA-N 0 0 266.322 2.551 20 5 CFBDRN C[C@@H](CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CC1 ZINC000604398331 351211037 /nfs/dbraw/zinc/21/10/37/351211037.db2.gz OORNHGTZWNPPEF-VIFPVBQESA-N 0 0 287.319 2.852 20 5 CFBDRN CCC[C@H](C)NC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000604437658 351213066 /nfs/dbraw/zinc/21/30/66/351213066.db2.gz DMANUSSKMMKLOK-LBPRGKRZSA-N 0 0 294.351 2.977 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000604476285 351213721 /nfs/dbraw/zinc/21/37/21/351213721.db2.gz JFQDSKVMKXGSOO-NSHDSACASA-N 0 0 279.340 2.781 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1CCNc1cccnc1[N+](=O)[O-] ZINC000604482883 351214860 /nfs/dbraw/zinc/21/48/60/351214860.db2.gz COWLIHJJKULATE-GFCCVEGCSA-N 0 0 298.346 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cc3c(nn2)CCC3)cc1 ZINC000604500388 351215585 /nfs/dbraw/zinc/21/55/85/351215585.db2.gz UGWOYVFIKBWKPS-UHFFFAOYSA-N 0 0 257.249 2.666 20 5 CFBDRN CCC[C@H](C)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000604537734 351217883 /nfs/dbraw/zinc/21/78/83/351217883.db2.gz HCCXRYCUEUWWOJ-VIFPVBQESA-N 0 0 275.308 2.995 20 5 CFBDRN COc1ccc([C@@H]2CCN(c3cccnc3[N+](=O)[O-])C2)cc1 ZINC000604549415 351218569 /nfs/dbraw/zinc/21/85/69/351218569.db2.gz GNBBWHVQPWHACN-CYBMUJFWSA-N 0 0 299.330 2.992 20 5 CFBDRN CN(CC1CC1)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000117619456 186753787 /nfs/dbraw/zinc/75/37/87/186753787.db2.gz WTKRGHZPWHQGJX-UHFFFAOYSA-N 0 0 282.727 2.659 20 5 CFBDRN COCC[C@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000416993010 233643032 /nfs/dbraw/zinc/64/30/32/233643032.db2.gz HZOAZAKIFDNIDN-JTQLQIEISA-N 0 0 295.339 2.697 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(CC)c1 ZINC000291365656 198000703 /nfs/dbraw/zinc/00/07/03/198000703.db2.gz CFACTCBYQKRKKN-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CC1(C)CN(C(=O)c2ccccc2[N+](=O)[O-])C(C)(C)CO1 ZINC000609645471 351513865 /nfs/dbraw/zinc/51/38/65/351513865.db2.gz FEKXCCWVLZIEAJ-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])s2)C(C)(C)CO1 ZINC000609646154 351514172 /nfs/dbraw/zinc/51/41/72/351514172.db2.gz GYMNAYMESUDMLF-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cc2C)cn1 ZINC000609530989 351506360 /nfs/dbraw/zinc/50/63/60/351506360.db2.gz CIPZHFSWZUEJRW-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1ccc2c(c1)CCO2 ZINC000609625308 351512132 /nfs/dbraw/zinc/51/21/32/351512132.db2.gz ZTYUXDOATXKEDO-UHFFFAOYSA-N 0 0 285.303 2.579 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@@H](Nc2ccccc2)C1 ZINC000608885051 351480918 /nfs/dbraw/zinc/48/09/18/351480918.db2.gz BXABOPFTKGHSIH-CYBMUJFWSA-N 0 0 284.319 2.681 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1n[nH]c2ccccc12 ZINC000608982589 351482848 /nfs/dbraw/zinc/48/28/48/351482848.db2.gz UWXHHZLXJQTUAF-UHFFFAOYSA-N 0 0 282.303 2.761 20 5 CFBDRN O=[N+]([O-])c1cnccc1Sc1ccc2c(c1)OCCO2 ZINC000608971337 351482906 /nfs/dbraw/zinc/48/29/06/351482906.db2.gz FZUPWWJWZOUWRZ-UHFFFAOYSA-N 0 0 290.300 2.912 20 5 CFBDRN COc1ccc2c(c1)CCCN(c1ncccc1[N+](=O)[O-])C2 ZINC000609132907 351485863 /nfs/dbraw/zinc/48/58/63/351485863.db2.gz SQGWHFVOEHGNHB-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H](n2ccnc2)C1 ZINC000609132936 351486843 /nfs/dbraw/zinc/48/68/43/351486843.db2.gz XBNQTPRXIVEVDO-GFCCVEGCSA-N 0 0 272.308 2.633 20 5 CFBDRN C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)n1cccc1 ZINC000609151957 351488594 /nfs/dbraw/zinc/48/85/94/351488594.db2.gz GCPYNGPGCKUTCS-NSHDSACASA-N 0 0 273.292 2.986 20 5 CFBDRN CC(C)OC(=O)CSc1ccsc1[N+](=O)[O-] ZINC000609148688 351488804 /nfs/dbraw/zinc/48/88/04/351488804.db2.gz IKRCVVATTWTOFY-UHFFFAOYSA-N 0 0 261.324 2.700 20 5 CFBDRN CCC[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccn1 ZINC000609180075 351490417 /nfs/dbraw/zinc/49/04/17/351490417.db2.gz ZSOQVBWCMHDNDH-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CCc2ccccc2N1 ZINC000609192656 351490700 /nfs/dbraw/zinc/49/07/00/351490700.db2.gz ZSFAKJAHFSIHTD-LBPRGKRZSA-N 0 0 284.319 2.829 20 5 CFBDRN Cc1ccc2c(c1)N(c1ccc([N+](=O)[O-])nc1)CC2 ZINC000609796971 351519593 /nfs/dbraw/zinc/51/95/93/351519593.db2.gz FLLPDBOVJNICBQ-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000609849670 351522818 /nfs/dbraw/zinc/52/28/18/351522818.db2.gz TUENQSVOKZJCPN-UHFFFAOYSA-N 0 0 298.306 2.632 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000609880176 351526302 /nfs/dbraw/zinc/52/63/02/351526302.db2.gz AQXJDKGEVZBJNK-UTUOFQBUSA-N 0 0 291.351 2.727 20 5 CFBDRN COc1ccccc1[C@H]1CCN(c2cccnc2[N+](=O)[O-])C1 ZINC000609965459 351529753 /nfs/dbraw/zinc/52/97/53/351529753.db2.gz VAGHQAHWWYDDRV-LBPRGKRZSA-N 0 0 299.330 2.992 20 5 CFBDRN C[C@H](CNc1ccccc1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000119713877 186846718 /nfs/dbraw/zinc/84/67/18/186846718.db2.gz DHRBRHVAMHDSGX-GFCCVEGCSA-N 0 0 299.330 2.825 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000610168933 351536522 /nfs/dbraw/zinc/53/65/22/351536522.db2.gz VTNNHABDFDZCAP-VIFPVBQESA-N 0 0 260.249 2.930 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C(C)C)[C@@H]2CCOC2)c1 ZINC000291430654 198020209 /nfs/dbraw/zinc/02/02/09/198020209.db2.gz AFOGGTVFJNGSQD-GFCCVEGCSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000610277894 351546708 /nfs/dbraw/zinc/54/67/08/351546708.db2.gz HDYSNJBLDDWBKU-MNOVXSKESA-N 0 0 280.324 2.607 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000610284258 351549237 /nfs/dbraw/zinc/54/92/37/351549237.db2.gz IORBQIXAMJATKC-CHWSQXEVSA-N 0 0 293.367 2.938 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])C[C@H](C(F)(F)F)O1 ZINC000610412161 351565069 /nfs/dbraw/zinc/56/50/69/351565069.db2.gz QHIXWMXIBIXWTM-HTRCEHHLSA-N 0 0 296.270 2.812 20 5 CFBDRN CC[C@H](CCO)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130402747 187491553 /nfs/dbraw/zinc/49/15/53/187491553.db2.gz RIWDUMOGHQMKLV-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1nn(C)c(C(=O)N[C@@H](C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000130410402 187491555 /nfs/dbraw/zinc/49/15/55/187491555.db2.gz ZNSRCGXCTYPLLT-VIFPVBQESA-N 0 0 296.371 2.581 20 5 CFBDRN C[C@H](CNc1cccnc1[N+](=O)[O-])c1ccncc1 ZINC000610516883 351575418 /nfs/dbraw/zinc/57/54/18/351575418.db2.gz GTLZFKLXCMGFEH-SNVBAGLBSA-N 0 0 258.281 2.600 20 5 CFBDRN Cc1cc(=O)n(CCCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000119770032 186850833 /nfs/dbraw/zinc/85/08/33/186850833.db2.gz ZAEYVKRXWIXYDO-UHFFFAOYSA-N 0 0 278.230 2.798 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000610541845 351580196 /nfs/dbraw/zinc/58/01/96/351580196.db2.gz CAWAHOUGDUDVSR-VIFPVBQESA-N 0 0 298.364 2.824 20 5 CFBDRN COc1cc(N[C@@H](C)CSC)c(F)cc1[N+](=O)[O-] ZINC000119786550 186851658 /nfs/dbraw/zinc/85/16/58/186851658.db2.gz FTGYBWXYQSXWQL-ZETCQYMHSA-N 0 0 274.317 2.906 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@H]1CNc1cccnc1[N+](=O)[O-] ZINC000610588043 351584671 /nfs/dbraw/zinc/58/46/71/351584671.db2.gz XWWNURLHFJSGSO-AAEUAGOBSA-N 0 0 279.340 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2cnc3ccccn23)nc1 ZINC000610648497 351587290 /nfs/dbraw/zinc/58/72/90/351587290.db2.gz PEPPMASKXJGXQW-GQCTYLIASA-N 0 0 266.260 2.808 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000610664643 351590192 /nfs/dbraw/zinc/59/01/92/351590192.db2.gz BSHPOTMYRCRPAN-ZYHUDNBSSA-N 0 0 278.308 2.575 20 5 CFBDRN COc1cc(N2C[C@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000610685231 351593592 /nfs/dbraw/zinc/59/35/92/351593592.db2.gz WWCIJBNWROAGLK-ZJUUUORDSA-N 0 0 250.298 2.838 20 5 CFBDRN CC(C)(CNc1ncccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000610716826 351596421 /nfs/dbraw/zinc/59/64/21/351596421.db2.gz WDTIZSXDDZQMNC-GFCCVEGCSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@H]1n1ccnc1 ZINC000610726418 351598783 /nfs/dbraw/zinc/59/87/83/351598783.db2.gz IDVBLBPSLLFDAI-CMPLNLGQSA-N 0 0 292.364 2.940 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000610734452 351599177 /nfs/dbraw/zinc/59/91/77/351599177.db2.gz BVEJATUQUOTUFV-GHMZBOCLSA-N 0 0 293.323 2.531 20 5 CFBDRN C[C@]1(c2ccccc2)CN(c2ccncc2[N+](=O)[O-])CCO1 ZINC000610734745 351600382 /nfs/dbraw/zinc/60/03/82/351600382.db2.gz JVPHGLHJJIKAIJ-MRXNPFEDSA-N 0 0 299.330 2.742 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)C[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000610741008 351602485 /nfs/dbraw/zinc/60/24/85/351602485.db2.gz IRZUFFWMQTXCEI-SCZZXKLOSA-N 0 0 298.364 2.510 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC(CC(F)(F)F)C1 ZINC000610744731 351602635 /nfs/dbraw/zinc/60/26/35/351602635.db2.gz GHVBKXYPEJVLTF-UHFFFAOYSA-N 0 0 288.225 2.619 20 5 CFBDRN Cc1cncc(C)c1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000610753587 351602868 /nfs/dbraw/zinc/60/28/68/351602868.db2.gz JTKOIWFKWLMRHD-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000120006836 186868873 /nfs/dbraw/zinc/86/88/73/186868873.db2.gz UEDADKIPPKGFSI-MRVPVSSYSA-N 0 0 293.348 2.557 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])c(C)n1)C1CCCC1 ZINC000610754785 351604659 /nfs/dbraw/zinc/60/46/59/351604659.db2.gz RDKJACIBOIDWLS-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN CSc1ccc(Cn2cc([N+](=O)[O-])c(C)cc2=O)cc1 ZINC000610769690 351606230 /nfs/dbraw/zinc/60/62/30/351606230.db2.gz PZCBNKMXYGBJLR-UHFFFAOYSA-N 0 0 290.344 2.835 20 5 CFBDRN C[C@@H]1Cc2ccccc2N(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000610772153 351606706 /nfs/dbraw/zinc/60/67/06/351606706.db2.gz NOIDJCCRALUHJP-LLVKDONJSA-N 0 0 297.314 2.829 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC(F)(F)C1 ZINC000610783884 351607725 /nfs/dbraw/zinc/60/77/25/351607725.db2.gz OCZZLZKLEUDEFO-VIFPVBQESA-N 0 0 285.250 2.904 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(F)cn2)s1 ZINC000610786003 351608127 /nfs/dbraw/zinc/60/81/27/351608127.db2.gz NFPBBTGRUOGIQR-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCOC2(C1)CCCCCC2 ZINC000610786491 351608281 /nfs/dbraw/zinc/60/82/81/351608281.db2.gz GMKCXYZUKLXIAZ-UHFFFAOYSA-N 0 0 291.351 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC(F)(F)C1 ZINC000610790482 351608698 /nfs/dbraw/zinc/60/86/98/351608698.db2.gz MAZXYJNSSBMCJC-SECBINFHSA-N 0 0 284.262 2.821 20 5 CFBDRN O=C(N[C@@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000610790885 351608804 /nfs/dbraw/zinc/60/88/04/351608804.db2.gz LAVZABIKJSQESQ-SSDOTTSWSA-N 0 0 288.225 2.652 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000610790281 351608973 /nfs/dbraw/zinc/60/89/73/351608973.db2.gz AJVXEASXHCWLQF-JTQLQIEISA-N 0 0 284.262 2.821 20 5 CFBDRN CN(CCOC(C)(C)C)c1ccc(F)cc1[N+](=O)[O-] ZINC000610856139 351614329 /nfs/dbraw/zinc/61/43/29/351614329.db2.gz DTKBTDSOHODAQH-UHFFFAOYSA-N 0 0 270.304 2.985 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H]1C ZINC000120127369 186877064 /nfs/dbraw/zinc/87/70/64/186877064.db2.gz YNDKFSMPHVPLKQ-PSASIEDQSA-N 0 0 263.297 2.742 20 5 CFBDRN C[C@@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000120450524 186900144 /nfs/dbraw/zinc/90/01/44/186900144.db2.gz WOYVEPHOMBLEOL-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1c(C(=O)N2CC(C(C)C)C2)cccc1[N+](=O)[O-] ZINC000611078925 351638402 /nfs/dbraw/zinc/63/84/02/351638402.db2.gz CNTKPEACBPMDER-UHFFFAOYSA-N 0 0 262.309 2.631 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC2(CC(F)C2)C1 ZINC000611078499 351638404 /nfs/dbraw/zinc/63/84/04/351638404.db2.gz DLTQACUKJWPKJE-UHFFFAOYSA-N 0 0 254.236 2.672 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC3(CC(F)C3)C2)c1 ZINC000611080241 351638430 /nfs/dbraw/zinc/63/84/30/351638430.db2.gz OHZNLHJPWDRAID-UHFFFAOYSA-N 0 0 250.273 2.529 20 5 CFBDRN CC(C)C1CN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000611079092 351638485 /nfs/dbraw/zinc/63/84/85/351638485.db2.gz JLHQEEIJCMZFST-UHFFFAOYSA-N 0 0 287.319 2.804 20 5 CFBDRN CCOc1cc(N[C@@H](CO)CC2CC2)ccc1[N+](=O)[O-] ZINC000611104472 351640132 /nfs/dbraw/zinc/64/01/32/351640132.db2.gz XWRDKEUWUMVOLB-GFCCVEGCSA-N 0 0 280.324 2.566 20 5 CFBDRN CC1=CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000611116213 351641943 /nfs/dbraw/zinc/64/19/43/351641943.db2.gz KCVILYUSNQUOGK-UHFFFAOYSA-N 0 0 253.689 2.800 20 5 CFBDRN CN1Cc2ccccc2[C@@H](Nc2cccnc2[N+](=O)[O-])C1 ZINC000611133214 351643423 /nfs/dbraw/zinc/64/34/23/351643423.db2.gz PKEBQFGMRUYQEK-AWEZNQCLSA-N 0 0 284.319 2.588 20 5 CFBDRN CCOC(=O)CC[C@H](C)Nc1ccsc1[N+](=O)[O-] ZINC000611166515 351645793 /nfs/dbraw/zinc/64/57/93/351645793.db2.gz XGUKWXQFGXZBDS-QMMMGPOBSA-N 0 0 272.326 2.800 20 5 CFBDRN CC1=CCN(Cc2cc3c(cc2[N+](=O)[O-])OCCO3)CC1 ZINC000611272162 351662115 /nfs/dbraw/zinc/66/21/15/351662115.db2.gz NRXZMKQJBZQBKU-UHFFFAOYSA-N 0 0 290.319 2.518 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC[C@@H](C(F)F)C1 ZINC000611179471 351648324 /nfs/dbraw/zinc/64/83/24/351648324.db2.gz CUPBVWXZLFIZGP-SECBINFHSA-N 0 0 286.278 2.690 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC2(C1)CC(F)(F)C2 ZINC000611180599 351649778 /nfs/dbraw/zinc/64/97/78/351649778.db2.gz LWWZYISETCKULX-UHFFFAOYSA-N 0 0 298.289 2.835 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1cc(C)on1 ZINC000291491011 198035444 /nfs/dbraw/zinc/03/54/44/198035444.db2.gz SVPUZLOEGHREFY-UHFFFAOYSA-N 0 0 289.291 2.635 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CO)CC2CCCC2)c(Cl)c1 ZINC000611222250 351653450 /nfs/dbraw/zinc/65/34/50/351653450.db2.gz SUXWAHJVJLXDCP-SNVBAGLBSA-N 0 0 299.758 2.996 20 5 CFBDRN COC(=O)c1cc(N2CC[C@](C)(F)C2)cc(C)c1[N+](=O)[O-] ZINC000611228253 351653668 /nfs/dbraw/zinc/65/36/68/351653668.db2.gz AWUWTQBNUOCJCO-AWEZNQCLSA-N 0 0 296.298 2.628 20 5 CFBDRN Cc1cc(Cn2ccc3c2cccc3[N+](=O)[O-])nn1C ZINC000610856949 351614631 /nfs/dbraw/zinc/61/46/31/351614631.db2.gz SBBASHVBNYTPEA-UHFFFAOYSA-N 0 0 270.292 2.640 20 5 CFBDRN CCC[C@@H](C)[C@@H]1CCCN1c1c([N+](=O)[O-])ncn1C ZINC000610856484 351614658 /nfs/dbraw/zinc/61/46/58/351614658.db2.gz ZTMWEQGLVFSFHY-MNOVXSKESA-N 0 0 266.345 2.733 20 5 CFBDRN CN(CCOC(C)(C)C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000610862507 351616769 /nfs/dbraw/zinc/61/67/69/351616769.db2.gz MEMIZPQMJZVZCG-UHFFFAOYSA-N 0 0 298.314 2.621 20 5 CFBDRN COc1ccc(NCC=Cc2ccccc2[N+](=O)[O-])nc1 ZINC000610890304 351618972 /nfs/dbraw/zinc/61/89/72/351618972.db2.gz AQQVBFKNJHAASK-GQCTYLIASA-N 0 0 285.303 2.546 20 5 CFBDRN CC(C)C(NC(=O)COc1cccc([N+](=O)[O-])c1)C(C)C ZINC000610911951 351620325 /nfs/dbraw/zinc/62/03/25/351620325.db2.gz HBMPRJGUWAZSQS-UHFFFAOYSA-N 0 0 294.351 2.770 20 5 CFBDRN Cc1noc([C@H](C)Sc2ccc([N+](=O)[O-])cn2)n1 ZINC000610946320 351623169 /nfs/dbraw/zinc/62/31/69/351623169.db2.gz ATUMELADPLFQHZ-LURJTMIESA-N 0 0 266.282 2.535 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000610946522 351623595 /nfs/dbraw/zinc/62/35/95/351623595.db2.gz STUBBDYWZHBGDS-SECBINFHSA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1cccc(C(=O)N2CC3(C2)CC(F)(F)C3)c1[N+](=O)[O-] ZINC000610968308 351626849 /nfs/dbraw/zinc/62/68/49/351626849.db2.gz UKCDEPACWXDKDI-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN C[C@@H](NC(=O)NC1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000611374648 351677053 /nfs/dbraw/zinc/67/70/53/351677053.db2.gz NHJYSQVASLRRIP-SNVBAGLBSA-N 0 0 275.308 2.674 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1 ZINC000611373677 351677095 /nfs/dbraw/zinc/67/70/95/351677095.db2.gz PTAJPFDNXJRICF-MFKMUULPSA-N 0 0 250.298 2.822 20 5 CFBDRN CCCC(=O)NC1CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000611411530 351684914 /nfs/dbraw/zinc/68/49/14/351684914.db2.gz LIWWUFIVEGXAFA-UHFFFAOYSA-N 0 0 297.380 2.541 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1cn2ccsc2n1 ZINC000611411333 351684972 /nfs/dbraw/zinc/68/49/72/351684972.db2.gz GXIYNWNIUATNKF-UHFFFAOYSA-N 0 0 280.334 2.978 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCN(Cc2ccco2)CC1 ZINC000611411330 351685114 /nfs/dbraw/zinc/68/51/14/351685114.db2.gz GHIGAIUJFAHZCT-UHFFFAOYSA-N 0 0 293.348 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccn(C(F)F)n1 ZINC000611410134 351685136 /nfs/dbraw/zinc/68/51/36/351685136.db2.gz MOWXQISZJHXORT-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H](CO)Cc1ccccc1 ZINC000611411759 351685187 /nfs/dbraw/zinc/68/51/87/351685187.db2.gz ZOMIFDNLPKYITD-LBPRGKRZSA-N 0 0 292.360 2.919 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1cccc(O)c1 ZINC000611411793 351685340 /nfs/dbraw/zinc/68/53/40/351685340.db2.gz PQOCBOLEDGSTMD-UHFFFAOYSA-N 0 0 250.279 2.974 20 5 CFBDRN CC[C@@H]1CN(c2sccc2[N+](=O)[O-])CCS1 ZINC000611412965 351685799 /nfs/dbraw/zinc/68/57/99/351685799.db2.gz STHGENNOGOJGNT-MRVPVSSYSA-N 0 0 258.368 2.988 20 5 CFBDRN CCC[C@@H](CCO)CNc1sccc1[N+](=O)[O-] ZINC000611412848 351686102 /nfs/dbraw/zinc/68/61/02/351686102.db2.gz NQWUQKHVJVYXDP-VIFPVBQESA-N 0 0 258.343 2.867 20 5 CFBDRN CN(C[C@H]1CCCOC1)c1sccc1[N+](=O)[O-] ZINC000611413249 351686201 /nfs/dbraw/zinc/68/62/01/351686201.db2.gz YVBNWLVKFPJVNT-SECBINFHSA-N 0 0 256.327 2.519 20 5 CFBDRN Cc1ncc2c(n1)CC[C@H](Nc1sccc1[N+](=O)[O-])C2 ZINC000611412122 351686228 /nfs/dbraw/zinc/68/62/28/351686228.db2.gz HSZKUYYOVKQUTJ-JTQLQIEISA-N 0 0 290.348 2.724 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2sccc2[N+](=O)[O-])o1 ZINC000611413604 351687002 /nfs/dbraw/zinc/68/70/02/351687002.db2.gz HRMDBLNDOQAPGH-RXMQYKEDSA-N 0 0 254.271 2.521 20 5 CFBDRN CCC[C@H]1CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000611414746 351687629 /nfs/dbraw/zinc/68/76/29/351687629.db2.gz UGMDINYGBGOJOV-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@@H](C2CCOCC2)C1 ZINC000611414728 351687676 /nfs/dbraw/zinc/68/76/76/351687676.db2.gz RKRYUUURJZBVQQ-LLVKDONJSA-N 0 0 282.365 2.909 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1ccc([N+](=O)[O-])s1 ZINC000611494891 351697359 /nfs/dbraw/zinc/69/73/59/351697359.db2.gz NIIILEVRPJYJFX-UWVGGRQHSA-N 0 0 270.354 2.644 20 5 CFBDRN COc1cc(N2CCO[C@@H](C(C)C)C2)c([N+](=O)[O-])cc1F ZINC000611494809 351697387 /nfs/dbraw/zinc/69/73/87/351697387.db2.gz VSQQMEMXFBNIRY-CQSZACIVSA-N 0 0 298.314 2.604 20 5 CFBDRN C[C@@H]1CCN(CCOc2cccc([N+](=O)[O-])c2)C[C@H]1F ZINC000611501555 351697970 /nfs/dbraw/zinc/69/79/70/351697970.db2.gz FFOXSTHVHCWNFF-BXUZGUMPSA-N 0 0 282.315 2.654 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000611501461 351698274 /nfs/dbraw/zinc/69/82/74/351698274.db2.gz CDMASHCWGSSWEQ-MFKMUULPSA-N 0 0 252.289 2.775 20 5 CFBDRN C[C@H]1CCN(CCOc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000611501752 351699628 /nfs/dbraw/zinc/69/96/28/351699628.db2.gz HYXXWOSYLOMQSJ-FZMZJTMJSA-N 0 0 282.315 2.654 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000611505315 351700511 /nfs/dbraw/zinc/70/05/11/351700511.db2.gz BXWCWMIESNAZMM-SKDRFNHKSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)[C@@H](F)C2)c1 ZINC000611506083 351700917 /nfs/dbraw/zinc/70/09/17/351700917.db2.gz GMWRLUROSSSYMO-JQWIXIFHSA-N 0 0 280.299 2.723 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@@H]1F ZINC000611507207 351701177 /nfs/dbraw/zinc/70/11/77/351701177.db2.gz YXCLWFNOQJKQAX-KWQFWETISA-N 0 0 284.262 2.554 20 5 CFBDRN CCOC(=O)c1cc(N2CC=CCC2)ccc1[N+](=O)[O-] ZINC000611510023 351701548 /nfs/dbraw/zinc/70/15/48/351701548.db2.gz YPIDQESSGFMIEL-UHFFFAOYSA-N 0 0 276.292 2.538 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC=CCC1 ZINC000611513448 351702307 /nfs/dbraw/zinc/70/23/07/351702307.db2.gz CEBPZTTYDFHEIS-UHFFFAOYSA-N 0 0 266.684 2.650 20 5 CFBDRN Cc1c(NC(=O)N2CC=CCC2)cccc1[N+](=O)[O-] ZINC000611518166 351703284 /nfs/dbraw/zinc/70/32/84/351703284.db2.gz RDTJMZRDYLCJEU-UHFFFAOYSA-N 0 0 261.281 2.697 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CC=CCC1 ZINC000611517707 351703826 /nfs/dbraw/zinc/70/38/26/351703826.db2.gz KRKNVFRXKHJTGO-UHFFFAOYSA-N 0 0 289.335 2.575 20 5 CFBDRN Cc1nn(CCOc2cc(C)cc(C)c2)cc1[N+](=O)[O-] ZINC000611534401 351705154 /nfs/dbraw/zinc/70/51/54/351705154.db2.gz YSFITBNWVMOQLB-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN CS(=O)(=O)c1cccc(Oc2sccc2[N+](=O)[O-])c1 ZINC000611290011 351664743 /nfs/dbraw/zinc/66/47/43/351664743.db2.gz QQQMSHRIKCVEFO-UHFFFAOYSA-N 0 0 299.329 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](C[C@@H]3CCOC3)C2)cn1 ZINC000611338915 351670894 /nfs/dbraw/zinc/67/08/94/351670894.db2.gz XGCNTSLSJDIFNU-STQMWFEESA-N 0 0 291.351 2.633 20 5 CFBDRN CN(CCCNc1ccc([N+](=O)[O-])s1)CC(F)(F)F ZINC000611369973 351675273 /nfs/dbraw/zinc/67/52/73/351675273.db2.gz DVKYPGLCAYGMCY-UHFFFAOYSA-N 0 0 297.302 2.952 20 5 CFBDRN Cc1cccn2cc(CNc3cccnc3[N+](=O)[O-])nc12 ZINC000611372783 351675981 /nfs/dbraw/zinc/67/59/81/351675981.db2.gz NOIKHMNOAXYUAP-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC(=O)c1ccc(CNc2cccnc2[N+](=O)[O-])nc1C ZINC000611372983 351676206 /nfs/dbraw/zinc/67/62/06/351676206.db2.gz KKVSSMAFJSUYQW-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN C[C@@H](C1CC1)N(C)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611700493 351725386 /nfs/dbraw/zinc/72/53/86/351725386.db2.gz HHHINYSUQJOUHR-VIFPVBQESA-N 0 0 272.308 2.773 20 5 CFBDRN CC[C@H]1CCN(c2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000611707542 351727139 /nfs/dbraw/zinc/72/71/39/351727139.db2.gz JJHDXCPQCAHGTP-JTQLQIEISA-N 0 0 272.308 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(S[C@H]3CCCOC3)c2c1 ZINC000611713931 351727591 /nfs/dbraw/zinc/72/75/91/351727591.db2.gz LUXKOBSSMXBYNP-JTQLQIEISA-N 0 0 291.332 2.809 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1COc2ccc(Cl)cc21 ZINC000354375938 282775715 /nfs/dbraw/zinc/77/57/15/282775715.db2.gz CSARSMGBLJDYKW-VIFPVBQESA-N 0 0 294.698 2.527 20 5 CFBDRN CO[C@@H]1CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000611931088 351751998 /nfs/dbraw/zinc/75/19/98/351751998.db2.gz DUWZDZFCAYSJCT-GFCCVEGCSA-N 0 0 279.340 2.612 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000431498651 383678845 /nfs/dbraw/zinc/67/88/45/383678845.db2.gz XOGWAMFPKSHLMA-SNVBAGLBSA-N 0 0 297.330 2.698 20 5 CFBDRN CCN(CCn1c(C)ncc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000611559285 351708811 /nfs/dbraw/zinc/70/88/11/351708811.db2.gz WZOAERUHVURMOR-UHFFFAOYSA-N 0 0 292.314 2.765 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NC3CCCC3)c21 ZINC000611564943 351709679 /nfs/dbraw/zinc/70/96/79/351709679.db2.gz SWNCPVVZJXDLNG-UHFFFAOYSA-N 0 0 258.281 2.893 20 5 CFBDRN Cc1cnc(N2CCC[C@@H](OC(F)F)C2)c([N+](=O)[O-])c1 ZINC000611583886 351712244 /nfs/dbraw/zinc/71/22/44/351712244.db2.gz OZVSODKTWBRQIY-SECBINFHSA-N 0 0 287.266 2.506 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H](O)CC(C)(C)C)n1 ZINC000611616251 351714737 /nfs/dbraw/zinc/71/47/37/351714737.db2.gz CAEOUIPFBWZKCX-LLVKDONJSA-N 0 0 281.356 2.816 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)C[C@@H]2CCOC2)n1 ZINC000611617315 351715130 /nfs/dbraw/zinc/71/51/30/351715130.db2.gz WTZQKOSXNAQZEP-RYUDHWBXSA-N 0 0 279.340 2.834 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@]2(C)CCCO2)n1 ZINC000611617199 351715261 /nfs/dbraw/zinc/71/52/61/351715261.db2.gz OTBYCLUIDUYJFP-ZDUSSCGKSA-N 0 0 265.313 2.588 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCCS2)n1 ZINC000611617246 351715310 /nfs/dbraw/zinc/71/53/10/351715310.db2.gz UTJFGRFBPOEMDI-SNVBAGLBSA-N 0 0 267.354 2.914 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCO[C@H](C)C2)n1 ZINC000611617405 351715767 /nfs/dbraw/zinc/71/57/67/351715767.db2.gz NHADJCWXGWVCEK-VXGBXAGGSA-N 0 0 279.340 2.834 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2([C@H](O)C(C)C)CC2)n1 ZINC000611617495 351715892 /nfs/dbraw/zinc/71/58/92/351715892.db2.gz CKOZZTYNJWLRIK-CYBMUJFWSA-N 0 0 293.367 2.816 20 5 CFBDRN Cc1c(CNC(C)(C)c2ccccc2[N+](=O)[O-])cnn1C ZINC000291712466 198067955 /nfs/dbraw/zinc/06/79/55/198067955.db2.gz LOPPMVGVUJOFFU-UHFFFAOYSA-N 0 0 288.351 2.662 20 5 CFBDRN C[C@H](CO)Nc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000121172562 186955268 /nfs/dbraw/zinc/95/52/68/186955268.db2.gz TTWNOOWLSYMYAF-RXMQYKEDSA-N 0 0 265.096 2.694 20 5 CFBDRN CCc1nnc(COc2cc(C)ccc2[N+](=O)[O-])s1 ZINC000612013386 351761567 /nfs/dbraw/zinc/76/15/67/351761567.db2.gz MSYTVQRHRGRPLQ-UHFFFAOYSA-N 0 0 279.321 2.896 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])cn2)CCC(F)(F)CC1 ZINC000612064206 351768203 /nfs/dbraw/zinc/76/82/03/351768203.db2.gz ACFVTLBYLYSNNT-UHFFFAOYSA-N 0 0 299.277 2.688 20 5 CFBDRN CC1(C)C[C@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000612440033 351821661 /nfs/dbraw/zinc/82/16/61/351821661.db2.gz HJFDAFDKZSBSDE-SNVBAGLBSA-N 0 0 258.281 2.748 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3CCCOCC3)c21 ZINC000612449418 351822485 /nfs/dbraw/zinc/82/24/85/351822485.db2.gz ZOXPDQZTJNJTAJ-SNVBAGLBSA-N 0 0 288.307 2.519 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000121939048 187006461 /nfs/dbraw/zinc/00/64/61/187006461.db2.gz NEQNWBIVSSFNBH-VIFPVBQESA-N 0 0 290.323 2.636 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc(F)c2)cc([N+](=O)[O-])c1 ZINC000121963648 187007244 /nfs/dbraw/zinc/00/72/44/187007244.db2.gz BNMQWFJLVOHGGC-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000121977935 187008191 /nfs/dbraw/zinc/00/81/91/187008191.db2.gz VKFMOYMWKZJXSN-SNVBAGLBSA-N 0 0 250.298 2.774 20 5 CFBDRN CC[C@@H](C)CONC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000291724681 198070991 /nfs/dbraw/zinc/07/09/91/198070991.db2.gz HREYYOUEPFGBAX-SECBINFHSA-N 0 0 297.311 2.703 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CSCCS1 ZINC000122378089 187029070 /nfs/dbraw/zinc/02/90/70/187029070.db2.gz DYMDUGTXJKOIEI-JTQLQIEISA-N 0 0 285.394 2.559 20 5 CFBDRN C[C@H](NC(=O)c1cncc(F)c1)c1cccc([N+](=O)[O-])c1 ZINC000122498479 187035156 /nfs/dbraw/zinc/03/51/56/187035156.db2.gz YGHIHXJNMLPRCO-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN CC[C@H](C)CS(=O)(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000122674169 187045713 /nfs/dbraw/zinc/04/57/13/187045713.db2.gz SQQRGUGGUPGLCP-VIFPVBQESA-N 0 0 287.337 2.658 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)[C@H](C)C1 ZINC000122724441 187047560 /nfs/dbraw/zinc/04/75/60/187047560.db2.gz QNGCLVBZMPXBBP-NQBHXWOUSA-N 0 0 295.339 2.549 20 5 CFBDRN COc1cc(NCc2cc(C)no2)c([N+](=O)[O-])cc1F ZINC000122784038 187051400 /nfs/dbraw/zinc/05/14/00/187051400.db2.gz RKGSTXIQFWNHMK-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN Cc1cncc(CNCc2ccccc2[N+](=O)[O-])c1 ZINC000122932894 187060530 /nfs/dbraw/zinc/06/05/30/187060530.db2.gz SOQNFSDADCPQSD-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN COc1cc(Cc2noc(C3CCC3)n2)ccc1[N+](=O)[O-] ZINC000612963635 351881809 /nfs/dbraw/zinc/88/18/09/351881809.db2.gz LQNCCUNGRMAJHJ-UHFFFAOYSA-N 0 0 289.291 2.845 20 5 CFBDRN CCC(=O)COc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000123401097 187089259 /nfs/dbraw/zinc/08/92/59/187089259.db2.gz ZKQGZGGCMHKGDB-UHFFFAOYSA-N 0 0 277.198 2.972 20 5 CFBDRN C[C@@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000123790103 187109008 /nfs/dbraw/zinc/10/90/08/187109008.db2.gz NKUKKWSFQAVRQD-SECBINFHSA-N 0 0 287.319 2.533 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC000130651582 187510500 /nfs/dbraw/zinc/51/05/00/187510500.db2.gz RVLTUONNLLSXEP-UHFFFAOYSA-N 0 0 255.657 2.606 20 5 CFBDRN CCc1cc(C)nc(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000613268267 351927817 /nfs/dbraw/zinc/92/78/17/351927817.db2.gz NEMFKYAHWMXSNR-UHFFFAOYSA-N 0 0 286.335 2.892 20 5 CFBDRN Cc1csc(COc2ncc(C)cc2[N+](=O)[O-])n1 ZINC000130828358 187522759 /nfs/dbraw/zinc/52/27/59/187522759.db2.gz VYZAUGWRCRSUHA-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN CNC(=O)c1ccc(NCC2(C3CC3)CC2)c([N+](=O)[O-])c1 ZINC000131264384 187554270 /nfs/dbraw/zinc/55/42/70/187554270.db2.gz GLGDAAHYLFXZJW-UHFFFAOYSA-N 0 0 289.335 2.557 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ncc(Cl)s2)c1 ZINC000613179853 351910540 /nfs/dbraw/zinc/91/05/40/351910540.db2.gz KRMIIQQRFIHVLU-UHFFFAOYSA-N 0 0 269.713 2.739 20 5 CFBDRN Cc1noc(CSc2ccc([N+](=O)[O-])cc2F)n1 ZINC000613526733 351975866 /nfs/dbraw/zinc/97/58/66/351975866.db2.gz MBVTYDVYDTWQDL-UHFFFAOYSA-N 0 0 269.257 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC(F)F)c2cccnc21 ZINC000613643458 351991356 /nfs/dbraw/zinc/99/13/56/351991356.db2.gz MVZQIHPSJDHEGX-UHFFFAOYSA-N 0 0 254.192 2.787 20 5 CFBDRN C[C@@H](O)C(C)(C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000271456771 191148545 /nfs/dbraw/zinc/14/85/45/191148545.db2.gz ZSJXQDWGJJFEQV-SSDOTTSWSA-N 0 0 290.266 2.768 20 5 CFBDRN COc1ccc(F)cc1CNc1cccnc1[N+](=O)[O-] ZINC000614521948 352100710 /nfs/dbraw/zinc/10/07/10/352100710.db2.gz BKALSJPAEZQMRB-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@H]4CCC[C@@H]43)c2c1 ZINC000614528909 352101585 /nfs/dbraw/zinc/10/15/85/352101585.db2.gz PPVLCURUADMBFZ-YGRLFVJLSA-N 0 0 284.319 2.917 20 5 CFBDRN CO[C@@H]1CN(c2sccc2[N+](=O)[O-])CC[C@@H]1C ZINC000615179182 352163294 /nfs/dbraw/zinc/16/32/94/352163294.db2.gz PYAKNDLABBBIEV-WCBMZHEXSA-N 0 0 256.327 2.518 20 5 CFBDRN COc1ccc2c(c1)CCN(c1cccnc1[N+](=O)[O-])CC2 ZINC000615179804 352163307 /nfs/dbraw/zinc/16/33/07/352163307.db2.gz WEBJHUNBAIIESS-UHFFFAOYSA-N 0 0 299.330 2.604 20 5 CFBDRN CC(C)c1ccc(C(=O)NCc2cocn2)cc1[N+](=O)[O-] ZINC000615194224 352165763 /nfs/dbraw/zinc/16/57/63/352165763.db2.gz SRTYFJPKMGCXQL-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN CC1(C)CN(c2cccnc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000615498578 352199294 /nfs/dbraw/zinc/19/92/94/352199294.db2.gz DEWJJWNULKDRNA-UHFFFAOYSA-N 0 0 291.351 2.918 20 5 CFBDRN COc1cc(N2C[C@H](C)O[C@@H](C)[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000614980190 352139083 /nfs/dbraw/zinc/13/90/83/352139083.db2.gz IHGWAOKOFNHFFG-AEJSXWLSSA-N 0 0 298.314 2.745 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CNCc1cocn1 ZINC000615723999 352236153 /nfs/dbraw/zinc/23/61/53/352236153.db2.gz FTTGRLBAZOCSEZ-WZUFQYTHSA-N 0 0 273.292 2.776 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccc(O)cc3CC2)s1 ZINC000615698428 352232476 /nfs/dbraw/zinc/23/24/76/352232476.db2.gz AUQOWOCFJFACFR-UHFFFAOYSA-N 0 0 290.344 2.967 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CCO)CCC2)c(F)c1 ZINC000132662189 187641434 /nfs/dbraw/zinc/64/14/34/187641434.db2.gz GABZAVZQVBLZPB-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN Cc1ccc(CN(C)c2ccsc2[N+](=O)[O-])nc1 ZINC000616064690 352280955 /nfs/dbraw/zinc/28/09/55/352280955.db2.gz MIWNSQAKPUIAKA-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000616171920 352295768 /nfs/dbraw/zinc/29/57/68/352295768.db2.gz KVMJGZIXWDOBHB-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN C=C/C(C)=C/CC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000616174653 352296084 /nfs/dbraw/zinc/29/60/84/352296084.db2.gz SNGFIZLKCZPHLA-UUILKARUSA-N 0 0 274.320 2.776 20 5 CFBDRN Cc1c(CNC(=O)NC[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000616206090 352304037 /nfs/dbraw/zinc/30/40/37/352304037.db2.gz ZEOBBUOCKYXRKV-SNVBAGLBSA-N 0 0 291.351 2.749 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000271506091 191181073 /nfs/dbraw/zinc/18/10/73/191181073.db2.gz XXTZIAOPSWRVQF-BDAKNGLRSA-N 0 0 252.270 2.591 20 5 CFBDRN CC[C@@]1(NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CCOC1 ZINC000616808122 352431266 /nfs/dbraw/zinc/43/12/66/352431266.db2.gz WPUFQJYQFMLAEO-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134051139 187722561 /nfs/dbraw/zinc/72/25/61/187722561.db2.gz HYFRGYZGOZGSQR-SECBINFHSA-N 0 0 296.273 2.959 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)CCC(C)C ZINC000617352608 352548671 /nfs/dbraw/zinc/54/86/71/352548671.db2.gz BXUNQPKZPDYFNJ-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](C)C(F)(F)C2)n1 ZINC000617214910 352517819 /nfs/dbraw/zinc/51/78/19/352517819.db2.gz WQUIVBKCRSLMAO-QMMMGPOBSA-N 0 0 271.267 2.780 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCC(=O)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000134368539 187743168 /nfs/dbraw/zinc/74/31/68/187743168.db2.gz JDTSIAVKGXYZJA-CABZTGNLSA-N 0 0 290.319 2.847 20 5 CFBDRN CSCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000134402533 187745677 /nfs/dbraw/zinc/74/56/77/187745677.db2.gz KGEFDVVETMCELW-QMMMGPOBSA-N 0 0 288.394 2.870 20 5 CFBDRN CCOc1cccc(NC[C@H]2CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000134923120 187782350 /nfs/dbraw/zinc/78/23/50/187782350.db2.gz SGEIGWOSUCPZJC-DGCLKSJQSA-N 0 0 294.351 2.957 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000135250884 187811645 /nfs/dbraw/zinc/81/16/45/187811645.db2.gz RUEANFTWOFTZIS-DTWKUNHWSA-N 0 0 254.261 2.571 20 5 CFBDRN CN(CCOC(C)(C)C)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000618156457 352724159 /nfs/dbraw/zinc/72/41/59/352724159.db2.gz ISCOCWIOLPRWAS-UHFFFAOYSA-N 0 0 293.367 2.814 20 5 CFBDRN C[C@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@@H]1F ZINC000618159492 352725662 /nfs/dbraw/zinc/72/56/62/352725662.db2.gz MAKKKNRIJAWWHW-ONGXEEELSA-N 0 0 279.315 2.747 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000618174608 352730307 /nfs/dbraw/zinc/73/03/07/352730307.db2.gz VQLXMUGYSDFZHY-QWHCGFSZSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])n1C ZINC000271625095 191246854 /nfs/dbraw/zinc/24/68/54/191246854.db2.gz ARFNYBAOJIEDDB-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN C[C@H](CN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1)c1ccncc1 ZINC000618584959 352821598 /nfs/dbraw/zinc/82/15/98/352821598.db2.gz BIFGRWUROMMBHC-SNVBAGLBSA-N 0 0 297.318 2.504 20 5 CFBDRN COCCCC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000292169856 198189634 /nfs/dbraw/zinc/18/96/34/198189634.db2.gz FWLRWAXMHKIFSU-UHFFFAOYSA-N 0 0 272.688 2.613 20 5 CFBDRN C[C@H](NC(=O)NC1CC(C)C1)c1ccccc1[N+](=O)[O-] ZINC000271633312 191252412 /nfs/dbraw/zinc/25/24/12/191252412.db2.gz GXGCEFFNLBKLIF-YVNMAJEFSA-N 0 0 277.324 2.754 20 5 CFBDRN C[C@@H](NC(=O)NC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000271639818 191252756 /nfs/dbraw/zinc/25/27/56/191252756.db2.gz RDTJNDRFZGSKQA-SNVBAGLBSA-N 0 0 277.324 2.898 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H](C)c2cnn(C)c2C)c1 ZINC000271641450 191254830 /nfs/dbraw/zinc/25/48/30/191254830.db2.gz PRYCCBVDALBDTF-SECBINFHSA-N 0 0 290.323 2.818 20 5 CFBDRN CC1(CSc2ccc([N+](=O)[O-])cc2F)COC1 ZINC000618963673 352921428 /nfs/dbraw/zinc/92/14/28/352921428.db2.gz VVHUACOLDOPQFU-UHFFFAOYSA-N 0 0 257.286 2.863 20 5 CFBDRN COc1cc(NC[C@@](C)(OC)C2CC2)ccc1[N+](=O)[O-] ZINC000292210661 198203828 /nfs/dbraw/zinc/20/38/28/198203828.db2.gz DDRGXHGKQKBVCC-CQSZACIVSA-N 0 0 280.324 2.830 20 5 CFBDRN CC1(C)CN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000618984005 352926988 /nfs/dbraw/zinc/92/69/88/352926988.db2.gz LZTMOZPBULIVTP-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CC1(F)CN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000619306670 352990763 /nfs/dbraw/zinc/99/07/63/352990763.db2.gz QZQUDPHSOOFHBV-UHFFFAOYSA-N 0 0 287.678 2.824 20 5 CFBDRN CC(C)(C)OC(=O)CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000292216316 198205376 /nfs/dbraw/zinc/20/53/76/198205376.db2.gz URHRTGHLEPNWLV-UHFFFAOYSA-N 0 0 294.351 2.761 20 5 CFBDRN CC(C)(NC(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000619327992 352991344 /nfs/dbraw/zinc/99/13/44/352991344.db2.gz XEWUTKAGVZOYOX-UHFFFAOYSA-N 0 0 273.239 2.760 20 5 CFBDRN CC1(C)CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000619147719 352966720 /nfs/dbraw/zinc/96/67/20/352966720.db2.gz WCLDORCBDFZNFL-UHFFFAOYSA-N 0 0 280.349 2.555 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(CC1CC1)C1CCC1 ZINC000619458770 353026506 /nfs/dbraw/zinc/02/65/06/353026506.db2.gz UTAWENVWOANMLU-UHFFFAOYSA-N 0 0 289.335 2.703 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(CC(F)(F)F)C1 ZINC000619485285 353028492 /nfs/dbraw/zinc/02/84/92/353028492.db2.gz BJNRDUJKBGVGHX-UHFFFAOYSA-N 0 0 292.261 2.508 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@H]1CCO[C@H](C)C1 ZINC000619489877 353029242 /nfs/dbraw/zinc/02/92/42/353029242.db2.gz XHLSNWGVZXIUNO-PWSUYJOCSA-N 0 0 296.371 2.737 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000619499883 353029460 /nfs/dbraw/zinc/02/94/60/353029460.db2.gz CIYVXGULKUDFCY-RISCZKNCSA-N 0 0 276.336 2.907 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000619499925 353029599 /nfs/dbraw/zinc/02/95/99/353029599.db2.gz IHVWLJWAVBZPRM-KCJUWKMLSA-N 0 0 268.338 2.660 20 5 CFBDRN CC1(F)CN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000619500664 353029603 /nfs/dbraw/zinc/02/96/03/353029603.db2.gz WGCJQACMYSSWIU-UHFFFAOYSA-N 0 0 261.256 2.691 20 5 CFBDRN CCn1ccc(CN(C)c2c([N+](=O)[O-])c(C)nn2CC)c1 ZINC000619498009 353029660 /nfs/dbraw/zinc/02/96/60/353029660.db2.gz DXDJOSFVPJCAOD-UHFFFAOYSA-N 0 0 291.355 2.577 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000619500122 353029760 /nfs/dbraw/zinc/02/97/60/353029760.db2.gz KJHQZIHNQKCBNR-NSHDSACASA-N 0 0 256.327 2.662 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC([C@H]2CCOC2)C1 ZINC000619501768 353030213 /nfs/dbraw/zinc/03/02/13/353030213.db2.gz VIYIJGZWHDHFNL-NSHDSACASA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CCC(F)F ZINC000619516742 353031725 /nfs/dbraw/zinc/03/17/25/353031725.db2.gz DOBLBAMLSSRVDE-QMMMGPOBSA-N 0 0 284.262 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@@H]3C[C@H]3C3CC3)c2c1 ZINC000619527885 353032728 /nfs/dbraw/zinc/03/27/28/353032728.db2.gz RIZNKXWYAKXJKS-GXFFZTMASA-N 0 0 270.292 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2COc3ccccc3O2)cc1 ZINC000619544499 353034648 /nfs/dbraw/zinc/03/46/48/353034648.db2.gz LKAONOVHZTYQQR-ZDUSSCGKSA-N 0 0 287.271 2.814 20 5 CFBDRN CO[C@](C)(C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F)C1CC1 ZINC000292224973 198208511 /nfs/dbraw/zinc/20/85/11/198208511.db2.gz INLAFZFJWGYNGM-AWEZNQCLSA-N 0 0 296.298 2.796 20 5 CFBDRN CO[C@@](C)(C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F)C1CC1 ZINC000292224982 198209043 /nfs/dbraw/zinc/20/90/43/198209043.db2.gz INLAFZFJWGYNGM-CQSZACIVSA-N 0 0 296.298 2.796 20 5 CFBDRN CCc1cc(N(C)CCc2cccc([N+](=O)[O-])c2)ncn1 ZINC000619747730 353062786 /nfs/dbraw/zinc/06/27/86/353062786.db2.gz ZGMJVYWBYGRNIN-UHFFFAOYSA-N 0 0 286.335 2.626 20 5 CFBDRN C[C@H](NC(=O)c1c(N)cccc1[N+](=O)[O-])c1ccsc1 ZINC000619777467 353066094 /nfs/dbraw/zinc/06/60/94/353066094.db2.gz ALYXGNZTICVGHV-QMMMGPOBSA-N 0 0 291.332 2.730 20 5 CFBDRN Cc1noc([C@H](C)N(C)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000619785268 353066829 /nfs/dbraw/zinc/06/68/29/353066829.db2.gz YGQIMVULPFNSAA-JTQLQIEISA-N 0 0 290.323 2.522 20 5 CFBDRN CCCc1[nH]nc(C(=O)Nc2cscc2C)c1[N+](=O)[O-] ZINC000151329686 187958030 /nfs/dbraw/zinc/95/80/30/187958030.db2.gz JGXUXXFKWCJBHE-UHFFFAOYSA-N 0 0 294.336 2.893 20 5 CFBDRN O=C(CSc1cccnc1[N+](=O)[O-])Nc1ccccc1 ZINC000619699121 353052995 /nfs/dbraw/zinc/05/29/95/353052995.db2.gz GTDHOTXTOPHKIV-UHFFFAOYSA-N 0 0 289.316 2.721 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCC[C@H]2C1 ZINC000152372183 188014101 /nfs/dbraw/zinc/01/41/01/188014101.db2.gz DGFXBLPVMNLHQF-HXUSNMGPSA-N 0 0 286.331 2.867 20 5 CFBDRN CCc1ccc(C(=O)OCc2ocnc2C)cc1[N+](=O)[O-] ZINC000292313944 198240806 /nfs/dbraw/zinc/24/08/06/198240806.db2.gz VRIOTKXZFBZCAP-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H]3CCC[C@@H]3C2)cc([N+](=O)[O-])c1 ZINC000152827525 188046553 /nfs/dbraw/zinc/04/65/53/188046553.db2.gz BWCHBVNGZSLFAX-TXEJJXNPSA-N 0 0 274.320 2.775 20 5 CFBDRN CC(C)CC1(CNC(=O)c2[nH]ncc2[N+](=O)[O-])CCCC1 ZINC000153475823 188084442 /nfs/dbraw/zinc/08/44/42/188084442.db2.gz JHXKHERVHNWMSB-UHFFFAOYSA-N 0 0 294.355 2.654 20 5 CFBDRN COCC(C)(C)N(C)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000292346323 198253116 /nfs/dbraw/zinc/25/31/16/198253116.db2.gz PMSDZJKFQQQSHJ-UHFFFAOYSA-N 0 0 288.294 2.730 20 5 CFBDRN CCC(CC)(CNc1ccc([N+](=O)[O-])c(N)c1F)OC ZINC000292316506 198242483 /nfs/dbraw/zinc/24/24/83/198242483.db2.gz OQBZCJREEBZAMU-UHFFFAOYSA-N 0 0 285.319 2.933 20 5 CFBDRN Cc1cc(NC[C@@H](C)C[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292361679 198258411 /nfs/dbraw/zinc/25/84/11/198258411.db2.gz CTLSAMUVGJMGOV-WPRPVWTQSA-N 0 0 270.304 2.861 20 5 CFBDRN CC[C@@H](CCO)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292364783 198259570 /nfs/dbraw/zinc/25/95/70/198259570.db2.gz CXVLHCCEFLUGJO-JTQLQIEISA-N 0 0 270.304 2.863 20 5 CFBDRN C[C@@](O)(CNc1ncc(Cl)cc1[N+](=O)[O-])c1ccco1 ZINC000153839115 188107681 /nfs/dbraw/zinc/10/76/81/188107681.db2.gz JFUYELBMMGDGTC-GFCCVEGCSA-N 0 0 297.698 2.556 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CCCO1 ZINC000153881486 188109920 /nfs/dbraw/zinc/10/99/20/188109920.db2.gz OWJVSTBHOLXEPA-CQSZACIVSA-N 0 0 278.308 2.719 20 5 CFBDRN Cc1nnsc1CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000292376407 198264203 /nfs/dbraw/zinc/26/42/03/198264203.db2.gz SJQHJKMBXUCWQS-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000154012592 188116996 /nfs/dbraw/zinc/11/69/96/188116996.db2.gz YRSLAWKKXQLEJW-LLVKDONJSA-N 0 0 299.758 2.895 20 5 CFBDRN C[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])C1CCOCC1 ZINC000154066924 188120918 /nfs/dbraw/zinc/12/09/18/188120918.db2.gz SYWARWOHHUOEBA-QMMMGPOBSA-N 0 0 285.731 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO[C@H]2CCCCO2)cc1 ZINC000292356838 198257370 /nfs/dbraw/zinc/25/73/70/198257370.db2.gz CKGYQYYRRHXTTO-ZDUSSCGKSA-N 0 0 266.297 2.550 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000292361644 198258277 /nfs/dbraw/zinc/25/82/77/198258277.db2.gz CTKOVOYNLSXYTP-SNVBAGLBSA-N 0 0 296.220 2.768 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@]2(C)CCCO2)c([N+](=O)[O-])c1 ZINC000154143427 188125306 /nfs/dbraw/zinc/12/53/06/188125306.db2.gz LAZFPHYYGZOGRE-AWEZNQCLSA-N 0 0 279.292 2.686 20 5 CFBDRN O=[N+]([O-])c1cccc([C@@H](O)CN2Cc3ccccc3C2)c1 ZINC000154135483 188125374 /nfs/dbraw/zinc/12/53/74/188125374.db2.gz HUFHHFQNJLRHSW-INIZCTEOSA-N 0 0 284.315 2.644 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])n[nH]1 ZINC000154181342 188127549 /nfs/dbraw/zinc/12/75/49/188127549.db2.gz CCQHJZYUBVGKBU-SSDOTTSWSA-N 0 0 295.730 2.718 20 5 CFBDRN Cc1cc(C(=O)NC[C@]2(C)CCCS2)cc([N+](=O)[O-])c1 ZINC000154610720 188153187 /nfs/dbraw/zinc/15/31/87/188153187.db2.gz QIUKCVDGZHEYAL-AWEZNQCLSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1cc(=O)[nH]c([C@@H](C)Sc2ncccc2[N+](=O)[O-])n1 ZINC000154638926 188155434 /nfs/dbraw/zinc/15/54/34/188155434.db2.gz BECVUJSSNZAEBV-MRVPVSSYSA-N 0 0 292.320 2.647 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NOCCC(F)(F)F)c1 ZINC000154933518 188170794 /nfs/dbraw/zinc/17/07/94/188170794.db2.gz BSXHWZCHVDRFPF-UHFFFAOYSA-N 0 0 292.213 2.517 20 5 CFBDRN CC[C@H](C)NC(=O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000006454399 539986499 /nfs/dbraw/zinc/98/64/99/539986499.db2.gz BVKZAWGHVNDJSV-QMMMGPOBSA-N 0 0 286.715 2.542 20 5 CFBDRN CC(C)n1cnnc1CN(C)c1ccc([N+](=O)[O-])cc1F ZINC000292437431 198288166 /nfs/dbraw/zinc/28/81/66/198288166.db2.gz XZJCQYDPJTVMDA-UHFFFAOYSA-N 0 0 293.302 2.543 20 5 CFBDRN O=C(N[C@H]1CCCOCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000180164077 295858619 /nfs/dbraw/zinc/85/86/19/295858619.db2.gz OCUNAPXPYKHTDN-VIFPVBQESA-N 0 0 298.726 2.547 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000156023210 188239022 /nfs/dbraw/zinc/23/90/22/188239022.db2.gz BBEBJBMNAPRTSA-SECBINFHSA-N 0 0 251.286 2.823 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1CCCOC1 ZINC000292506625 198313030 /nfs/dbraw/zinc/31/30/30/198313030.db2.gz ZQXNKUXTYNUZAR-QMMMGPOBSA-N 0 0 284.699 2.613 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000157290222 188308211 /nfs/dbraw/zinc/30/82/11/188308211.db2.gz JABTVICZCNLGHX-QMMMGPOBSA-N 0 0 286.378 2.624 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000271683725 191275363 /nfs/dbraw/zinc/27/53/63/191275363.db2.gz CJAZAAFJKMMZRH-GHMZBOCLSA-N 0 0 277.324 2.707 20 5 CFBDRN Cc1cc(S[C@@H](C)[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292632134 198357278 /nfs/dbraw/zinc/35/72/78/198357278.db2.gz ODGZTFRBRGKWNZ-SFYZADRCSA-N 0 0 259.302 2.904 20 5 CFBDRN Cc1cc(N[C@@H]2CCCC[C@@H]2O)c(F)cc1[N+](=O)[O-] ZINC000292638214 198359566 /nfs/dbraw/zinc/35/95/66/198359566.db2.gz OLVASWPUMRDROS-MFKMUULPSA-N 0 0 268.288 2.758 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157952754 188349293 /nfs/dbraw/zinc/34/92/93/188349293.db2.gz RNJWVUOOIGWODP-ZJUUUORDSA-N 0 0 277.324 2.580 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC3(CCCC3)C2)c(F)c1 ZINC000157970752 188349860 /nfs/dbraw/zinc/34/98/60/188349860.db2.gz ROCBYNOAMXXRJD-UHFFFAOYSA-N 0 0 294.326 2.879 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@@H](O)C(F)(F)F)cc1 ZINC000158347554 188370912 /nfs/dbraw/zinc/37/09/12/188370912.db2.gz FVHFYPOZWOAYJP-SECBINFHSA-N 0 0 281.255 2.751 20 5 CFBDRN Cc1cc(C(=O)N2CCC3(CCC3)C2)c(N)c([N+](=O)[O-])c1 ZINC000158709931 188390050 /nfs/dbraw/zinc/39/00/50/188390050.db2.gz IZTTVMLXRUVCFK-UHFFFAOYSA-N 0 0 289.335 2.502 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(C)(C)C ZINC000158452980 188376774 /nfs/dbraw/zinc/37/67/74/188376774.db2.gz GVSYVXZOPOYENZ-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN CN(C(=O)CCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000158481869 188378599 /nfs/dbraw/zinc/37/85/99/188378599.db2.gz RMOZFZGDRJBWRM-UHFFFAOYSA-N 0 0 276.214 2.900 20 5 CFBDRN COC1(CCNc2ccc([N+](=O)[O-])c(N)c2F)CCC1 ZINC000292737363 198393776 /nfs/dbraw/zinc/39/37/76/198393776.db2.gz IPYGQTGBXRSVLG-UHFFFAOYSA-N 0 0 283.303 2.687 20 5 CFBDRN CCCOC(=O)[C@@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271699451 191282020 /nfs/dbraw/zinc/28/20/20/191282020.db2.gz HDUFLCVSZOZTTA-WDEREUQCSA-N 0 0 280.324 2.587 20 5 CFBDRN O=C(NOCC1CC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000292719073 198387635 /nfs/dbraw/zinc/38/76/35/198387635.db2.gz HRPQLRNBPAYZDL-UHFFFAOYSA-N 0 0 285.687 2.711 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H](C)C[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000158752746 188392165 /nfs/dbraw/zinc/39/21/65/188392165.db2.gz WLAYJSCAFZDJOI-VXGBXAGGSA-N 0 0 290.363 2.950 20 5 CFBDRN Cc1c(CN2C[C@H](CO)c3ccccc32)cccc1[N+](=O)[O-] ZINC000292808005 198418981 /nfs/dbraw/zinc/41/89/81/198418981.db2.gz WWXZKCMAZVIZTQ-CQSZACIVSA-N 0 0 298.342 2.999 20 5 CFBDRN CO[C@H](CNc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000292872182 198441086 /nfs/dbraw/zinc/44/10/86/198441086.db2.gz TYLHVDLUSMBJOI-LLVKDONJSA-N 0 0 254.261 2.571 20 5 CFBDRN CCC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2N(C)C)C1 ZINC000292849505 198432455 /nfs/dbraw/zinc/43/24/55/198432455.db2.gz NSNIGSOOMNWPLV-UHFFFAOYSA-N 0 0 291.351 2.533 20 5 CFBDRN CC(C)(NC(=O)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000456145906 535241306 /nfs/dbraw/zinc/24/13/06/535241306.db2.gz KMIMMZDIJPDZDQ-UHFFFAOYSA-N 0 0 262.309 2.903 20 5 CFBDRN CO[C@@H](CNc1c2ccccc2ncc1[N+](=O)[O-])C1CC1 ZINC000292896602 198451753 /nfs/dbraw/zinc/45/17/53/198451753.db2.gz WJWSWGYKGOKHDL-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN COCCCCOc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000160986548 188501871 /nfs/dbraw/zinc/50/18/71/188501871.db2.gz UEECALDPAQGUKB-UHFFFAOYSA-N 0 0 267.281 2.603 20 5 CFBDRN CO[C@@H](C)CN(C)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000295672180 199613668 /nfs/dbraw/zinc/61/36/68/199613668.db2.gz KEODYXQMAZVTEQ-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccco1)c1ccccc1[N+](=O)[O-] ZINC000271736994 191297520 /nfs/dbraw/zinc/29/75/20/191297520.db2.gz HTXNUBFUUCQWEL-LLVKDONJSA-N 0 0 288.303 2.998 20 5 CFBDRN C[C@H](NC(=O)CC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000295275165 199435639 /nfs/dbraw/zinc/43/56/39/199435639.db2.gz HFLREMCQSVQPTQ-SMDDNHRTSA-N 0 0 292.335 2.731 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C(C1CC1)C1CC1 ZINC000164305187 188622410 /nfs/dbraw/zinc/62/24/10/188622410.db2.gz PORICTWNZMOWTF-UHFFFAOYSA-N 0 0 260.293 2.970 20 5 CFBDRN CCC[C@H](CC)Nc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000166745544 188639516 /nfs/dbraw/zinc/63/95/16/188639516.db2.gz JEECIHAOSQOTOO-VIFPVBQESA-N 0 0 281.312 2.767 20 5 CFBDRN O=[N+]([O-])c1ccccc1N(C[C@@H]1CCOC1)C1CC1 ZINC000167550633 188643639 /nfs/dbraw/zinc/64/36/39/188643639.db2.gz LTWTWLODRFLGDU-NSHDSACASA-N 0 0 262.309 2.600 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000295288927 199442302 /nfs/dbraw/zinc/44/23/02/199442302.db2.gz IIEYMYNBCURYRG-LEWSCRJBSA-N 0 0 292.335 2.730 20 5 CFBDRN C[C@H](NC(=O)CC1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000271747611 191303419 /nfs/dbraw/zinc/30/34/19/191303419.db2.gz JYVXVSQBPFPNJN-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@H](NC(=O)CNc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000172137590 188783533 /nfs/dbraw/zinc/78/35/33/188783533.db2.gz NVFOFVFOEGDMMD-NSHDSACASA-N 0 0 291.351 2.702 20 5 CFBDRN CCN(CC(C)C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172763205 188825324 /nfs/dbraw/zinc/82/53/24/188825324.db2.gz ABJJHTIUHUCEKF-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(CC(F)F)C2CC2)c1 ZINC000172989905 188837880 /nfs/dbraw/zinc/83/78/80/188837880.db2.gz OOOWFIWQIGHDMG-UHFFFAOYSA-N 0 0 284.262 2.773 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2([C@@H](O)C(C)C)CC2)n1 ZINC000271811648 191342003 /nfs/dbraw/zinc/34/20/03/191342003.db2.gz MEDIDRCZVATWEG-LBPRGKRZSA-N 0 0 279.340 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCO[C@H](C)C1 ZINC000271886357 191390681 /nfs/dbraw/zinc/39/06/81/191390681.db2.gz NMKATRHUKDQBKR-ZYHUDNBSSA-N 0 0 292.335 2.965 20 5 CFBDRN CC[C@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271849579 191365970 /nfs/dbraw/zinc/36/59/70/191365970.db2.gz WCSFLTMPKJKFLW-VHSXEESVSA-N 0 0 250.298 2.818 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000271982523 191444647 /nfs/dbraw/zinc/44/46/47/191444647.db2.gz OVMLOHFZJNXRQS-KOLCDFICSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc(NC(=O)N(C)C2CCC2)cc1[N+](=O)[O-] ZINC000174187186 188885790 /nfs/dbraw/zinc/88/57/90/188885790.db2.gz VBNPHTLXXNMVIV-UHFFFAOYSA-N 0 0 263.297 2.919 20 5 CFBDRN CCCN(CC(C)(C)O)c1cc(C)ccc1[N+](=O)[O-] ZINC000439093644 283143388 /nfs/dbraw/zinc/14/33/88/283143388.db2.gz TZWGJOXPUAUTLX-UHFFFAOYSA-N 0 0 266.341 2.891 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000174917748 188923651 /nfs/dbraw/zinc/92/36/51/188923651.db2.gz HYIOXWMJNZCTMV-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN Cc1cccc(OC[C@@H](C)Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000273565940 192207202 /nfs/dbraw/zinc/20/72/02/192207202.db2.gz CNJPGIXXVQZTDR-LLVKDONJSA-N 0 0 288.307 2.573 20 5 CFBDRN CCO[C@@H](CCNc1ncc([N+](=O)[O-])cn1)C1CCCC1 ZINC000273575778 192212164 /nfs/dbraw/zinc/21/21/64/192212164.db2.gz DYLIHPPZBYTOMH-ZDUSSCGKSA-N 0 0 294.355 2.782 20 5 CFBDRN CCC(C)(C)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273638692 192240389 /nfs/dbraw/zinc/24/03/89/192240389.db2.gz DROWFJTYTPZDIF-UHFFFAOYSA-N 0 0 276.296 2.915 20 5 CFBDRN CCC1(c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)CC1 ZINC000273642973 192241836 /nfs/dbraw/zinc/24/18/36/192241836.db2.gz FHXUBZVHKRLDGW-UHFFFAOYSA-N 0 0 274.280 2.669 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](Cc2ccccc2)C2CC2)nc1 ZINC000273619132 192233444 /nfs/dbraw/zinc/23/34/44/192233444.db2.gz OBJPUKNBGSRSLD-CQSZACIVSA-N 0 0 284.319 2.818 20 5 CFBDRN Cc1ccc(-c2nc([C@@H]3CCCO3)no2)cc1[N+](=O)[O-] ZINC000273754380 192292959 /nfs/dbraw/zinc/29/29/59/192292959.db2.gz AGNPYCILYVDKCX-NSHDSACASA-N 0 0 275.264 2.805 20 5 CFBDRN Nc1ccc(-c2noc(C3CCCC3)n2)cc1[N+](=O)[O-] ZINC000273791387 192309326 /nfs/dbraw/zinc/30/93/26/192309326.db2.gz VGWMRBKHSVNSBH-UHFFFAOYSA-N 0 0 274.280 2.885 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000273815891 192318877 /nfs/dbraw/zinc/31/88/77/192318877.db2.gz BWLVXIVMQQWELO-LBPRGKRZSA-N 0 0 294.326 2.926 20 5 CFBDRN CC1CC(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000273822522 192321037 /nfs/dbraw/zinc/32/10/37/192321037.db2.gz GEBJELFEIAKUIY-UHFFFAOYSA-N 0 0 294.326 2.926 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000293815427 198784206 /nfs/dbraw/zinc/78/42/06/198784206.db2.gz UOWQVEAWXZCNEO-MRVPVSSYSA-N 0 0 286.715 2.956 20 5 CFBDRN CCOC(=O)N1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000274497976 192622184 /nfs/dbraw/zinc/62/21/84/192622184.db2.gz RHHALHLDRNSJCX-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000568138493 290526259 /nfs/dbraw/zinc/52/62/59/290526259.db2.gz PXBSYIORHQRRNK-PWSUYJOCSA-N 0 0 280.299 2.659 20 5 CFBDRN C[C@@H](C(=O)NC[C@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000568942318 290577767 /nfs/dbraw/zinc/57/77/67/290577767.db2.gz VXZZAUNPINKCTC-ZWNOBZJWSA-N 0 0 294.376 2.710 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000568942315 290577780 /nfs/dbraw/zinc/57/77/80/290577780.db2.gz VXZZAUNPINKCTC-GWCFXTLKSA-N 0 0 294.376 2.710 20 5 CFBDRN CCC1(CC)CN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000294128886 198920008 /nfs/dbraw/zinc/92/00/08/198920008.db2.gz KMELMEKCFJRHOT-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN C[C@H]1CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])CC1(C)C ZINC000420614866 290589725 /nfs/dbraw/zinc/58/97/25/290589725.db2.gz YMZOBMFKFJOKHC-JTQLQIEISA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1ocnc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294245140 198967668 /nfs/dbraw/zinc/96/76/68/198967668.db2.gz WHJCVQLVOCPPGV-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN CC(F)(F)CCNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000294303999 198990980 /nfs/dbraw/zinc/99/09/80/198990980.db2.gz VGINNKOZZMWZHU-UHFFFAOYSA-N 0 0 294.204 2.648 20 5 CFBDRN CC(F)(F)CCNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000294355958 199010828 /nfs/dbraw/zinc/01/08/28/199010828.db2.gz ZPZOZWVYVBFKAQ-UHFFFAOYSA-N 0 0 294.204 2.648 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCCC1CCOCC1 ZINC000294347889 199007073 /nfs/dbraw/zinc/00/70/73/199007073.db2.gz YYGMZSJWLKDOBR-UHFFFAOYSA-N 0 0 294.351 2.662 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000294400526 199025374 /nfs/dbraw/zinc/02/53/74/199025374.db2.gz NEQBBSPZVWEZPA-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN CCCN(Cc1ccccc1[N+](=O)[O-])[C@H](C)C(=O)OCC ZINC000429718891 283297178 /nfs/dbraw/zinc/29/71/78/283297178.db2.gz BNGNJJZWXCTQHD-GFCCVEGCSA-N 0 0 294.351 2.758 20 5 CFBDRN Cc1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000294454280 199046366 /nfs/dbraw/zinc/04/63/66/199046366.db2.gz PCIROVQOLZBUOJ-UHFFFAOYSA-N 0 0 251.282 2.736 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC(C)(C)C(F)(F)F ZINC000294486925 199059130 /nfs/dbraw/zinc/05/91/30/199059130.db2.gz XVPFKOHEBATZAG-UHFFFAOYSA-N 0 0 290.241 2.974 20 5 CFBDRN CCC1(CC)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000294512070 199067488 /nfs/dbraw/zinc/06/74/88/199067488.db2.gz TVVKFEULEIXHHH-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCc1cccc(F)c1 ZINC000294591270 199099239 /nfs/dbraw/zinc/09/92/39/199099239.db2.gz ZGBNDFWITHICSX-UHFFFAOYSA-N 0 0 290.294 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCc1cscn1 ZINC000418917642 236413254 /nfs/dbraw/zinc/41/32/54/236413254.db2.gz BFGIBJUSKZOFTN-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN CC(C)(CCC(=O)Nc1cccc(F)c1F)[N+](=O)[O-] ZINC000294740405 199161378 /nfs/dbraw/zinc/16/13/78/199161378.db2.gz OGDAFWMCYQQORF-UHFFFAOYSA-N 0 0 272.251 2.739 20 5 CFBDRN CC[C@@](C)(O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294779601 199179738 /nfs/dbraw/zinc/17/97/38/199179738.db2.gz ALOCAAKJVJFCBC-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN CSc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])nc1 ZINC000294824477 199199685 /nfs/dbraw/zinc/19/96/85/199199685.db2.gz HCXVVFJVFWFCAU-UHFFFAOYSA-N 0 0 283.353 2.578 20 5 CFBDRN Cc1cccc(N[C@@H](C)CC(C)(C)O)c1[N+](=O)[O-] ZINC000294866927 199222163 /nfs/dbraw/zinc/22/21/63/199222163.db2.gz ATBJYLFGHRXQBT-JTQLQIEISA-N 0 0 252.314 2.865 20 5 CFBDRN COC[C@@]1(C)CCN(c2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000294887229 199232949 /nfs/dbraw/zinc/23/29/49/199232949.db2.gz FVCXVDWFBTZVPO-AWEZNQCLSA-N 0 0 298.314 2.605 20 5 CFBDRN COC1([C@@H](C)Nc2ccc([N+](=O)[O-])cc2F)CCOCC1 ZINC000420639313 236665911 /nfs/dbraw/zinc/66/59/11/236665911.db2.gz KOMKDKAEJJCHLI-SNVBAGLBSA-N 0 0 298.314 2.730 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H]1CC12CC2 ZINC000421435191 236813513 /nfs/dbraw/zinc/81/35/13/236813513.db2.gz UYDQNAQDHDENGZ-QMMMGPOBSA-N 0 0 298.245 2.935 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CC23CC3)c2cc([N+](=O)[O-])ccc2n1 ZINC000421525609 236833496 /nfs/dbraw/zinc/83/34/96/236833496.db2.gz VQFJSYCKNOKTOJ-LBPRGKRZSA-N 0 0 297.314 2.612 20 5 CFBDRN Cc1nc(NCCC2CCCC2)ncc1[N+](=O)[O-] ZINC000296572420 199936382 /nfs/dbraw/zinc/93/63/82/199936382.db2.gz QMUOQMGFBQUFBH-UHFFFAOYSA-N 0 0 250.302 2.685 20 5 CFBDRN CC(C)CCCc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000297053766 200073385 /nfs/dbraw/zinc/07/33/85/200073385.db2.gz VSSRIPCODBJARQ-UHFFFAOYSA-N 0 0 290.323 2.942 20 5 CFBDRN CC(C)C[C@@H](CO)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297171950 200104710 /nfs/dbraw/zinc/10/47/10/200104710.db2.gz PMNXJFAPBHYXHV-LBPRGKRZSA-N 0 0 289.335 2.962 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NOC(C)C ZINC000297303543 200141836 /nfs/dbraw/zinc/14/18/36/200141836.db2.gz GFHSCSJSDFRAKD-UHFFFAOYSA-N 0 0 267.285 2.619 20 5 CFBDRN COc1cc(C)cc(CNc2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000297403602 200178186 /nfs/dbraw/zinc/17/81/86/200178186.db2.gz FYXSABFDEANVMQ-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1n[nH]c2cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc12 ZINC000297389986 200174104 /nfs/dbraw/zinc/17/41/04/200174104.db2.gz DZAKCHKJHUGKAY-UHFFFAOYSA-N 0 0 290.323 2.645 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1C)C(C)(C)O ZINC000297439272 200190414 /nfs/dbraw/zinc/19/04/14/200190414.db2.gz LDOSSXNULOEDOH-GFCCVEGCSA-N 0 0 295.339 2.574 20 5 CFBDRN C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)C(C)(F)F ZINC000297441674 200191615 /nfs/dbraw/zinc/19/16/15/200191615.db2.gz CULWXZQDLPOFFH-LURJTMIESA-N 0 0 276.214 2.964 20 5 CFBDRN C[C@H](NC(=O)NC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000297527618 200219696 /nfs/dbraw/zinc/21/96/96/200219696.db2.gz HYELOMUFEGGMPI-VIFPVBQESA-N 0 0 265.313 2.754 20 5 CFBDRN CC(C)(CCNC(=O)Nc1ccncc1[N+](=O)[O-])C1CC1 ZINC000297689141 200262551 /nfs/dbraw/zinc/26/25/51/200262551.db2.gz HJBXGYFGIJYFFD-UHFFFAOYSA-N 0 0 292.339 2.938 20 5 CFBDRN CCN(C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000297806352 200284771 /nfs/dbraw/zinc/28/47/71/200284771.db2.gz YNNQMKAMZQRWAQ-JTQLQIEISA-N 0 0 277.324 2.850 20 5 CFBDRN CN(C(=O)c1ncoc1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000297889602 200299706 /nfs/dbraw/zinc/29/97/06/200299706.db2.gz RYYWQQVAFFVXKK-UHFFFAOYSA-N 0 0 287.275 2.737 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000297835417 200290169 /nfs/dbraw/zinc/29/01/69/200290169.db2.gz GUYPRMXPLRSVPI-DTWKUNHWSA-N 0 0 297.742 2.798 20 5 CFBDRN C[C@@H]1CN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)[C@H]1C ZINC000297835415 200290346 /nfs/dbraw/zinc/29/03/46/200290346.db2.gz GUYPRMXPLRSVPI-BDAKNGLRSA-N 0 0 297.742 2.798 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CC1(F)F ZINC000297943349 200307106 /nfs/dbraw/zinc/30/71/06/200307106.db2.gz VNAAIVAEHPALDB-LURJTMIESA-N 0 0 276.626 2.842 20 5 CFBDRN C[C@@H]1CCCN(C(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000298089079 200330881 /nfs/dbraw/zinc/33/08/81/200330881.db2.gz SALGPJROCZAZCS-GFCCVEGCSA-N 0 0 291.351 2.655 20 5 CFBDRN COc1cc(C(=O)N(C)[C@H](C)C2CC2)c([N+](=O)[O-])cc1F ZINC000298194946 200357377 /nfs/dbraw/zinc/35/73/77/200357377.db2.gz WUHSTXIQBQACGT-MRVPVSSYSA-N 0 0 296.298 2.613 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])cn1)c1ccc2c(c1)CCCC2 ZINC000298206213 200360098 /nfs/dbraw/zinc/36/00/98/200360098.db2.gz MSFCRWIPIANRPO-UHFFFAOYSA-N 0 0 285.303 2.553 20 5 CFBDRN CCOC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000298264213 200376289 /nfs/dbraw/zinc/37/62/89/200376289.db2.gz MYUVNVDSKXKPFB-UHFFFAOYSA-N 0 0 292.335 2.626 20 5 CFBDRN Cc1cc(C)c(OC(=O)CC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000176558417 189000205 /nfs/dbraw/zinc/00/02/05/189000205.db2.gz ZAQFRTJIFLOSLB-LBPRGKRZSA-N 0 0 293.319 2.934 20 5 CFBDRN Cc1sc(C(=O)NCCc2cccs2)cc1[N+](=O)[O-] ZINC000298292186 200385526 /nfs/dbraw/zinc/38/55/26/200385526.db2.gz GQWMKZVMDQMEQK-UHFFFAOYSA-N 0 0 296.373 2.999 20 5 CFBDRN Cc1sc(C(=O)N2CCCCC2)cc1[N+](=O)[O-] ZINC000298328696 200396062 /nfs/dbraw/zinc/39/60/62/200396062.db2.gz ZBVLOEIWHJBJHW-UHFFFAOYSA-N 0 0 254.311 2.591 20 5 CFBDRN Cc1sc(C(=O)NCCC(C)C)cc1[N+](=O)[O-] ZINC000298332207 200397677 /nfs/dbraw/zinc/39/76/77/200397677.db2.gz BBKYUOSBEPBECU-UHFFFAOYSA-N 0 0 256.327 2.741 20 5 CFBDRN C[C@H]1CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000176983473 189018406 /nfs/dbraw/zinc/01/84/06/189018406.db2.gz LJDJYGARYZHSAT-QWRGUYRKSA-N 0 0 294.376 2.944 20 5 CFBDRN CCC1(CNC(=O)NCc2ccccc2[N+](=O)[O-])CCC1 ZINC000177267452 189040178 /nfs/dbraw/zinc/04/01/78/189040178.db2.gz AAKQVDYRMZCWDL-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN CCOC(=O)CSc1ccc([N+](=O)[O-])c(C)c1 ZINC000298699632 200508211 /nfs/dbraw/zinc/50/82/11/200508211.db2.gz IKLVGQLZELOFRG-UHFFFAOYSA-N 0 0 255.295 2.558 20 5 CFBDRN CCCCCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000298661546 200496282 /nfs/dbraw/zinc/49/62/82/200496282.db2.gz VXDMNDOQLBWLRX-UHFFFAOYSA-N 0 0 250.298 2.791 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)COCC2CC2)cc1[N+](=O)[O-] ZINC000177855929 189074136 /nfs/dbraw/zinc/07/41/36/189074136.db2.gz KLJIMSYHHYGWDI-LLVKDONJSA-N 0 0 292.335 2.507 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000298776056 200531971 /nfs/dbraw/zinc/53/19/71/200531971.db2.gz HVCRYMQBSTVMPB-SECBINFHSA-N 0 0 268.288 2.850 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@H]1c1cccs1 ZINC000298849652 200548387 /nfs/dbraw/zinc/54/83/87/200548387.db2.gz CBWVPLDLFMFPRO-JTQLQIEISA-N 0 0 291.332 2.962 20 5 CFBDRN CCC1(CNC(=O)CCOc2ccccc2[N+](=O)[O-])CC1 ZINC000178162554 189094589 /nfs/dbraw/zinc/09/45/89/189094589.db2.gz IFXNFTKJROMPCD-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000178167549 189095189 /nfs/dbraw/zinc/09/51/89/189095189.db2.gz NAEJIWXPNRLMOY-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1ncc(CN(C)c2nc(C)ccc2[N+](=O)[O-])s1 ZINC000178177813 189095314 /nfs/dbraw/zinc/09/53/14/189095314.db2.gz LLURWLKMLZZSPM-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1nc(COc2cccnc2[N+](=O)[O-])sc1C ZINC000178317897 189106580 /nfs/dbraw/zinc/10/65/80/189106580.db2.gz PBJBGAGULRVCMD-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN CCc1nc(COc2ncc(C)cc2[N+](=O)[O-])cs1 ZINC000178284055 189102670 /nfs/dbraw/zinc/10/26/70/189102670.db2.gz HGPFYAZABFXZCI-UHFFFAOYSA-N 0 0 279.321 2.896 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000178440242 189116448 /nfs/dbraw/zinc/11/64/48/189116448.db2.gz RDMHJUBYXTZYIW-NXEZZACHSA-N 0 0 262.309 2.818 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)C1CC1 ZINC000178389172 189112592 /nfs/dbraw/zinc/11/25/92/189112592.db2.gz ZGLYWNOHNISJOK-QMMMGPOBSA-N 0 0 265.265 2.555 20 5 CFBDRN CC(=O)c1ccc(NCC2(O)CCCC2)c([N+](=O)[O-])c1 ZINC000299103585 200609086 /nfs/dbraw/zinc/60/90/86/200609086.db2.gz WYKCNIOUNYLKIT-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN CCC1(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000178585458 189128802 /nfs/dbraw/zinc/12/88/02/189128802.db2.gz JCZYNDWTUSSYSH-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)(F)F ZINC000299191073 200635447 /nfs/dbraw/zinc/63/54/47/200635447.db2.gz RYQQPQNCFDPKNM-ZCFIWIBFSA-N 0 0 276.214 2.964 20 5 CFBDRN Cc1cc(C(=O)NCCCc2ccccn2)ccc1[N+](=O)[O-] ZINC000178832265 189149313 /nfs/dbraw/zinc/14/93/13/189149313.db2.gz MSXUBZPZPLFJHB-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN O=C(COC1CCCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000299327150 200680872 /nfs/dbraw/zinc/68/08/72/200680872.db2.gz OMSAMEGSYVVIQP-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN CC(C)N(Cc1cc[nH]n1)Cc1ccc([N+](=O)[O-])cc1 ZINC000179320677 189185606 /nfs/dbraw/zinc/18/56/06/189185606.db2.gz FGWPFZNGXINDIZ-UHFFFAOYSA-N 0 0 274.324 2.729 20 5 CFBDRN O=C(N[C@H]1CCCOCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000179987082 189231132 /nfs/dbraw/zinc/23/11/32/189231132.db2.gz AMJFXANTZGKZBV-VIFPVBQESA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@@]1(c2ccccc2)CN(c2ncccc2[N+](=O)[O-])CCO1 ZINC000301621213 540924859 /nfs/dbraw/zinc/92/48/59/540924859.db2.gz FFWLIYSMHQYKEQ-INIZCTEOSA-N 0 0 299.330 2.742 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCc1ccnn1C ZINC000179861940 189224374 /nfs/dbraw/zinc/22/43/74/189224374.db2.gz AIRJNEANIFNXTK-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1ncnn1CC(C)C ZINC000180110955 189237091 /nfs/dbraw/zinc/23/70/91/189237091.db2.gz HQLBOGLCUCJTFZ-UHFFFAOYSA-N 0 0 290.323 2.730 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000180321406 189249982 /nfs/dbraw/zinc/24/99/82/189249982.db2.gz OBWVIGTYQJVCLM-GRYCIOLGSA-N 0 0 264.325 2.765 20 5 CFBDRN CCCCOC(=O)[C@H](C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180446023 189255834 /nfs/dbraw/zinc/25/58/34/189255834.db2.gz GHALEFQEJLUTHQ-RYUDHWBXSA-N 0 0 294.351 2.977 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H](O)Cc1ccccc1 ZINC000301172878 200931679 /nfs/dbraw/zinc/93/16/79/200931679.db2.gz FPHLUSDTQDHFIF-ZDUSSCGKSA-N 0 0 290.294 2.749 20 5 CFBDRN Cc1cc(C)c(C)c(Oc2ncc([N+](=O)[O-])c(N)n2)c1 ZINC000301147335 200927192 /nfs/dbraw/zinc/92/71/92/200927192.db2.gz HNPDUEKBZXUFSP-UHFFFAOYSA-N 0 0 274.280 2.685 20 5 CFBDRN CO[C@H](C)CCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000180836245 189278731 /nfs/dbraw/zinc/27/87/31/189278731.db2.gz DABPPLBIYSISSF-LLVKDONJSA-N 0 0 280.324 2.965 20 5 CFBDRN Cc1cc(NCCOC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000301306476 200971414 /nfs/dbraw/zinc/97/14/14/200971414.db2.gz UXJYUQUWOKCKGT-CYBMUJFWSA-N 0 0 280.324 2.511 20 5 CFBDRN Cc1cc(NCCOc2cccnc2)ccc1[N+](=O)[O-] ZINC000301307426 200972262 /nfs/dbraw/zinc/97/22/62/200972262.db2.gz ZHBVAKYPIQSVRJ-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2csc([N+](=O)[O-])c2)[C@@H]1C ZINC000172355176 291259274 /nfs/dbraw/zinc/25/92/74/291259274.db2.gz INLSDKYRTKLWOI-HTQZYQBOSA-N 0 0 286.378 2.622 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@](C)(O)C(C)C)c1 ZINC000301429155 201005081 /nfs/dbraw/zinc/00/50/81/201005081.db2.gz FMCDCVOXCZSZRG-ZDUSSCGKSA-N 0 0 286.303 2.561 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCCOC2)c1 ZINC000301443121 201010159 /nfs/dbraw/zinc/01/01/59/201010159.db2.gz NYHVWBJADASKIJ-VIFPVBQESA-N 0 0 284.287 2.581 20 5 CFBDRN Cc1cc(N(C)[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000301461457 201017595 /nfs/dbraw/zinc/01/75/95/201017595.db2.gz YZHCXIQUYNCHJT-ZLKJLUDKSA-N 0 0 291.351 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCc2ccccc2)nc1 ZINC000301476812 201021155 /nfs/dbraw/zinc/02/11/55/201021155.db2.gz OXCOSVOZOPOPQM-UHFFFAOYSA-N 0 0 273.292 2.619 20 5 CFBDRN CCOc1cc(NC[C@@H](O)c2ccco2)ccc1[N+](=O)[O-] ZINC000301496846 201030323 /nfs/dbraw/zinc/03/03/23/201030323.db2.gz BJDHIFXVZRRVKZ-GFCCVEGCSA-N 0 0 292.291 2.732 20 5 CFBDRN CCOc1cc(N2CCC[C@H](COC)C2)ccc1[N+](=O)[O-] ZINC000301499775 201031750 /nfs/dbraw/zinc/03/17/50/201031750.db2.gz AGEKJHPAELTQNK-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN Cc1cc(N(C)CCc2ccncc2)ccc1[N+](=O)[O-] ZINC000301500479 201032418 /nfs/dbraw/zinc/03/24/18/201032418.db2.gz DMKDYNBJIZXSMR-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CN(C[C@H]1CCCO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000301511970 201039340 /nfs/dbraw/zinc/03/93/40/201039340.db2.gz ITPVUQYGPUYVLY-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1ccc(OCc2nc(C(F)F)no2)c([N+](=O)[O-])c1 ZINC000424087465 238667241 /nfs/dbraw/zinc/66/72/41/238667241.db2.gz HVDXWAAYCBEGLB-UHFFFAOYSA-N 0 0 285.206 2.803 20 5 CFBDRN Cc1ncc(CN2CCc3ccc([N+](=O)[O-])cc32)s1 ZINC000182756788 189333845 /nfs/dbraw/zinc/33/38/45/189333845.db2.gz KYCVLLIUGKRFII-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1c(NC(=O)NCCCCCCO)cccc1[N+](=O)[O-] ZINC000182771583 189334508 /nfs/dbraw/zinc/33/45/08/189334508.db2.gz YHDHXEHDQIPYJD-UHFFFAOYSA-N 0 0 295.339 2.577 20 5 CFBDRN CC(=O)c1ccc(NC[C@]2(C)CCCO2)c([N+](=O)[O-])c1 ZINC000301577944 201077802 /nfs/dbraw/zinc/07/78/02/201077802.db2.gz RLVLNGDMGYJBMQ-AWEZNQCLSA-N 0 0 278.308 2.778 20 5 CFBDRN COCCCCNC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000182779639 189334659 /nfs/dbraw/zinc/33/46/59/189334659.db2.gz BUIYIJDQQOUCLR-VAWYXSNFSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1nc2ncnn2c(Oc2cccc([N+](=O)[O-])c2C)c1C ZINC000301593041 201085422 /nfs/dbraw/zinc/08/54/22/201085422.db2.gz YASRSHHIGDYHIM-UHFFFAOYSA-N 0 0 299.290 2.750 20 5 CFBDRN COc1cc(N[C@@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000182981399 189341372 /nfs/dbraw/zinc/34/13/72/189341372.db2.gz OQYNQFNQSALWIS-SNVBAGLBSA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1nc(Nc2ccc3c(c2)OCCO3)ccc1[N+](=O)[O-] ZINC000301647631 201113257 /nfs/dbraw/zinc/11/32/57/201113257.db2.gz OKMRRQQTORBYPJ-UHFFFAOYSA-N 0 0 287.275 2.813 20 5 CFBDRN CCOC(=O)c1cc(N(C)C2CCC2)ccc1[N+](=O)[O-] ZINC000301621405 201099553 /nfs/dbraw/zinc/09/95/53/201099553.db2.gz DDCDEJACYOIFOJ-UHFFFAOYSA-N 0 0 278.308 2.760 20 5 CFBDRN Cc1nc(Nc2cnn(C(C)(C)C)c2)ccc1[N+](=O)[O-] ZINC000301619841 201100063 /nfs/dbraw/zinc/10/00/63/201100063.db2.gz DPFGLFIVXOELDX-UHFFFAOYSA-N 0 0 275.312 2.993 20 5 CFBDRN Cc1cnc(N[C@@H](C)C[C@H](O)c2ccco2)c([N+](=O)[O-])c1 ZINC000301624834 201102269 /nfs/dbraw/zinc/10/22/69/201102269.db2.gz GBHPOCIULAAWIU-JQWIXIFHSA-N 0 0 291.307 2.815 20 5 CFBDRN CC[C@@H](C)N(C)c1ncc([N+](=O)[O-])cc1Br ZINC000301623908 201102547 /nfs/dbraw/zinc/10/25/47/201102547.db2.gz OVIBOTPZDCIEOU-SSDOTTSWSA-N 0 0 288.145 2.987 20 5 CFBDRN CCOc1ncccc1CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000301657097 201118708 /nfs/dbraw/zinc/11/87/08/201118708.db2.gz SFCGZZVQQQYLNM-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N(C)C1CC1 ZINC000183263219 189352419 /nfs/dbraw/zinc/35/24/19/189352419.db2.gz GUJILJDOPBYGEN-MDZDMXLPSA-N 0 0 260.293 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(Cl)nc2)nc1 ZINC000301661216 201121490 /nfs/dbraw/zinc/12/14/90/201121490.db2.gz MRCHZFKUMANVAM-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN CO[C@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000301669214 201126204 /nfs/dbraw/zinc/12/62/04/201126204.db2.gz PPRQFQQRKKNSMT-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)N(C)c1ccc([N+](=O)[O-])cc1F ZINC000301678719 201133051 /nfs/dbraw/zinc/13/30/51/201133051.db2.gz RTRBDZFUICVWQY-SECBINFHSA-N 0 0 284.287 2.510 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC[C@@H](F)C1 ZINC000424596100 238799454 /nfs/dbraw/zinc/79/94/54/238799454.db2.gz ODVAPTSCZZPVOY-VXGBXAGGSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1cnn(CCNc2ccnc3ccsc32)c1 ZINC000301693704 201141435 /nfs/dbraw/zinc/14/14/35/201141435.db2.gz ABACKQSDOQHCIM-UHFFFAOYSA-N 0 0 289.320 2.513 20 5 CFBDRN O=C(NCCC1CCCC1)Nc1ccncc1[N+](=O)[O-] ZINC000183577047 189362995 /nfs/dbraw/zinc/36/29/95/189362995.db2.gz FLZPJRDOPHRBOV-UHFFFAOYSA-N 0 0 278.312 2.692 20 5 CFBDRN C[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1cnn(C)c1 ZINC000301735475 201165172 /nfs/dbraw/zinc/16/51/72/201165172.db2.gz HDMXYCMPIKVLHI-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCOC3(CCCC3)C2)c1[N+](=O)[O-] ZINC000301709577 201154060 /nfs/dbraw/zinc/15/40/60/201154060.db2.gz ULZJLWAXBISEDW-NSHDSACASA-N 0 0 294.355 2.540 20 5 CFBDRN Cc1cc2ccccc2nc1NCCn1cc([N+](=O)[O-])cn1 ZINC000301714844 201154698 /nfs/dbraw/zinc/15/46/98/201154698.db2.gz JKMDWWICXFBWBJ-UHFFFAOYSA-N 0 0 297.318 2.760 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1ccc([N+](=O)[O-])cc1C ZINC000301761455 201181945 /nfs/dbraw/zinc/18/19/45/201181945.db2.gz GFFKDPBLEINGLF-SNVBAGLBSA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1nn(C)c(N2CC[C@H](C)C[C@H](C)C2)c1[N+](=O)[O-] ZINC000301788300 201197546 /nfs/dbraw/zinc/19/75/46/201197546.db2.gz XPDGGJZHFPNVOW-UWVGGRQHSA-N 0 0 266.345 2.509 20 5 CFBDRN CN(C)c1cccc(CNc2cccc(F)c2[N+](=O)[O-])n1 ZINC000301824263 201218583 /nfs/dbraw/zinc/21/85/83/201218583.db2.gz IEOXNWRFZLNIBG-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1oc(C)nc1C ZINC000425249731 238970076 /nfs/dbraw/zinc/97/00/76/238970076.db2.gz DAXHXPCPPJPFDD-UHFFFAOYSA-N 0 0 291.307 2.958 20 5 CFBDRN CNc1c(C(=O)N2C[C@@H](C)C[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000161421907 283686294 /nfs/dbraw/zinc/68/62/94/283686294.db2.gz LDCTZYHXZXDYKD-QWRGUYRKSA-N 0 0 291.351 2.755 20 5 CFBDRN CCc1nc(Sc2c(F)cc([N+](=O)[O-])cc2F)n[nH]1 ZINC000301807437 201209744 /nfs/dbraw/zinc/20/97/44/201209744.db2.gz WNCPJCKTPRFOAQ-UHFFFAOYSA-N 0 0 286.263 2.705 20 5 CFBDRN COC[C@H](NCc1ccccc1[N+](=O)[O-])c1ccc(C)o1 ZINC000184688154 189392674 /nfs/dbraw/zinc/39/26/74/189392674.db2.gz WRIOTKYJINGLNR-ZDUSSCGKSA-N 0 0 290.319 2.974 20 5 CFBDRN NC(=O)C[C@H](Nc1ccccc1[N+](=O)[O-])C1CCCCC1 ZINC000301840585 201226735 /nfs/dbraw/zinc/22/67/35/201226735.db2.gz YFLQUBVKMQOAJC-ZDUSSCGKSA-N 0 0 291.351 2.831 20 5 CFBDRN CC/C=C/CCNc1cc([N+](=O)[O-])ccc1NCCO ZINC000185046911 189402457 /nfs/dbraw/zinc/40/24/57/189402457.db2.gz KGIJLEOJYPFWPQ-ONEGZZNKSA-N 0 0 279.340 2.767 20 5 CFBDRN Cc1cnccc1CCNc1ccc([N+](=O)[O-])cc1 ZINC000301860725 201238440 /nfs/dbraw/zinc/23/84/40/201238440.db2.gz SYGNOMAWOVBJBO-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN C[C@H]1CCCCN1C(=O)COc1c(F)cccc1[N+](=O)[O-] ZINC000185025294 189401703 /nfs/dbraw/zinc/40/17/03/189401703.db2.gz HOQUKBYOYMZVHQ-JTQLQIEISA-N 0 0 296.298 2.514 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000185102596 189403421 /nfs/dbraw/zinc/40/34/21/189403421.db2.gz AWQNXROMOJRTAZ-UONOGXRCSA-N 0 0 276.336 2.546 20 5 CFBDRN CC(C)(C)Oc1cc(NCC2(CO)CC2)ccc1[N+](=O)[O-] ZINC000301911386 201259266 /nfs/dbraw/zinc/25/92/66/201259266.db2.gz SNFVRXQIMFALDF-UHFFFAOYSA-N 0 0 294.351 2.957 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1c2ccccc2C[C@@H]1O ZINC000301931530 201266215 /nfs/dbraw/zinc/26/62/15/201266215.db2.gz LWMBSXLDZNTZLC-NWDGAFQWSA-N 0 0 276.317 2.727 20 5 CFBDRN Cc1nc(NC2C[C@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301953629 201276017 /nfs/dbraw/zinc/27/60/17/201276017.db2.gz JYHYGEAWCBXONR-IUCAKERBSA-N 0 0 265.313 2.666 20 5 CFBDRN CN(CC1CCOCC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000301969332 201282028 /nfs/dbraw/zinc/28/20/28/201282028.db2.gz QFBDMYQTJUZIDC-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN CC(=O)c1ccc(NCCc2ccc[nH]2)c([N+](=O)[O-])c1 ZINC000301975199 201283560 /nfs/dbraw/zinc/28/35/60/201283560.db2.gz BLEBNUKLEJZBPD-UHFFFAOYSA-N 0 0 273.292 2.780 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000185294231 189408919 /nfs/dbraw/zinc/40/89/19/189408919.db2.gz GHLHNAPJCSFCIY-QWHCGFSZSA-N 0 0 274.320 2.776 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1sccc1[N+](=O)[O-] ZINC000301989060 201288380 /nfs/dbraw/zinc/28/83/80/201288380.db2.gz FCNUHJZZEFPMNM-GZMMTYOYSA-N 0 0 258.343 2.865 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H]1COc2ccccc2O1 ZINC000301985613 201289020 /nfs/dbraw/zinc/28/90/20/201289020.db2.gz JSYYTAFQODPOLK-SECBINFHSA-N 0 0 292.316 2.908 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccc[nH]2)c(Cl)c1 ZINC000301989099 201289172 /nfs/dbraw/zinc/28/91/72/201289172.db2.gz LZCGMBLZXKQOFW-UHFFFAOYSA-N 0 0 266.688 2.626 20 5 CFBDRN CCc1cc(Nc2ncc([N+](=O)[O-])c(N)n2)ccc1C ZINC000302057626 201324643 /nfs/dbraw/zinc/32/46/43/201324643.db2.gz QJMDONYVQZNFNF-UHFFFAOYSA-N 0 0 273.296 2.581 20 5 CFBDRN O=[N+]([O-])c1ccsc1Nc1ccc(OCCO)cc1 ZINC000302043375 201316927 /nfs/dbraw/zinc/31/69/27/201316927.db2.gz XCCWRDGMBSFQSV-UHFFFAOYSA-N 0 0 280.305 2.771 20 5 CFBDRN CC(C)N(C1CCC1)S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000185837039 189423882 /nfs/dbraw/zinc/42/38/82/189423882.db2.gz UWQVUNFRJQGLBR-UHFFFAOYSA-N 0 0 298.364 2.546 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1ccc(F)cc1[N+](=O)[O-] ZINC000302088047 201337501 /nfs/dbraw/zinc/33/75/01/201337501.db2.gz ZXCHKTBNBCDLIX-HZMBPMFUSA-N 0 0 282.315 2.721 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1ccc(F)cc1[N+](=O)[O-] ZINC000302088048 201337937 /nfs/dbraw/zinc/33/79/37/201337937.db2.gz ZXCHKTBNBCDLIX-IINYFYTJSA-N 0 0 282.315 2.721 20 5 CFBDRN C[C@@H]1C[C@@H](c2cccnc2)N(c2ccc([N+](=O)[O-])cn2)C1 ZINC000302139650 201361552 /nfs/dbraw/zinc/36/15/52/201361552.db2.gz OJPPHKZIVHLCJQ-RISCZKNCSA-N 0 0 284.319 2.972 20 5 CFBDRN Cn1nccc1CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000302153343 201369791 /nfs/dbraw/zinc/36/97/91/201369791.db2.gz MLFUMPSMHDPGRT-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccon2)c(OC(F)F)c1 ZINC000302155524 201371089 /nfs/dbraw/zinc/37/10/89/201371089.db2.gz MNAVCAFMTNIKSC-UHFFFAOYSA-N 0 0 285.206 2.796 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2cc(Cl)ccc2[N+](=O)[O-])nc1 ZINC000302160316 201372349 /nfs/dbraw/zinc/37/23/49/201372349.db2.gz OWHLDSYLXWGWBB-UHFFFAOYSA-N 0 0 296.626 2.739 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cn1)Sc1ccccc1 ZINC000302137039 201359278 /nfs/dbraw/zinc/35/92/78/201359278.db2.gz BRLFRLDYMCNHAL-SNVBAGLBSA-N 0 0 290.348 2.978 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2C=CCCC2)c1 ZINC000302201253 201392366 /nfs/dbraw/zinc/39/23/66/201392366.db2.gz VGOKJKUTRBGCQM-NSHDSACASA-N 0 0 276.292 2.902 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@@H](CC(=O)[O-])C(C)(C)C ZINC000426835169 239269372 /nfs/dbraw/zinc/26/93/72/239269372.db2.gz QGSBASWRSWIXTB-ZDUSSCGKSA-N 0 0 294.351 2.882 20 5 CFBDRN CCCCO[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cn2)C1(C)C ZINC000302161671 201373895 /nfs/dbraw/zinc/37/38/95/201373895.db2.gz PODQWOLXUDENCQ-RYUDHWBXSA-N 0 0 294.355 2.781 20 5 CFBDRN COC1CCC(CNc2ccc([N+](=O)[O-])nc2)CC1 ZINC000426885665 239272763 /nfs/dbraw/zinc/27/27/63/239272763.db2.gz DIKJUYQQMBKDPL-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000302176427 201383146 /nfs/dbraw/zinc/38/31/46/201383146.db2.gz XGOMRPZKVPBWJC-JGVFFNPUSA-N 0 0 290.266 2.625 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000302183077 201386753 /nfs/dbraw/zinc/38/67/53/201386753.db2.gz ZNLNWUSUVQOZCW-WDEREUQCSA-N 0 0 280.324 2.903 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc3c2OCCO3)nc1 ZINC000302209878 201397506 /nfs/dbraw/zinc/39/75/06/201397506.db2.gz GCNIMMDOLAIGFE-UHFFFAOYSA-N 0 0 274.232 2.553 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@@H]1C(C)C ZINC000187064633 189458283 /nfs/dbraw/zinc/45/82/83/189458283.db2.gz VBSUBORSFFPDMD-GHMZBOCLSA-N 0 0 279.292 2.801 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cc(C)ns1 ZINC000427866828 239344016 /nfs/dbraw/zinc/34/40/16/239344016.db2.gz MTMVPPGDSRNDMM-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN C[C@@H](NC(=O)CC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000428135503 239388140 /nfs/dbraw/zinc/38/81/40/239388140.db2.gz QLSAWMRBCFMLJQ-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN COc1ccc(CCNc2ncc([N+](=O)[O-])s2)cc1 ZINC000189680632 189542358 /nfs/dbraw/zinc/54/23/58/189542358.db2.gz ZUIHJBBHZISXSX-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN C[C@@H]1CC[C@@H](CN2CCc3c2cccc3[N+](=O)[O-])O1 ZINC000190957758 189579029 /nfs/dbraw/zinc/57/90/29/189579029.db2.gz MXZDPYMMZUUNAG-MNOVXSKESA-N 0 0 262.309 2.525 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000191009021 189579790 /nfs/dbraw/zinc/57/97/90/189579790.db2.gz DLSDYWVBUDYFKT-MRVPVSSYSA-N 0 0 265.265 2.555 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1CCCOC1 ZINC000191369258 189593465 /nfs/dbraw/zinc/59/34/65/189593465.db2.gz FLCOAFAXCKKIRS-NSHDSACASA-N 0 0 293.323 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCS[C@@H](C)CC1 ZINC000191419013 189595134 /nfs/dbraw/zinc/59/51/34/189595134.db2.gz GALNFVGBSUCQLM-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN CCC(C)(C)CNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000192224992 189616248 /nfs/dbraw/zinc/61/62/48/189616248.db2.gz XYTZLUYMPLPAGN-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@H]2CCCC[C@H]2O)cn1 ZINC000432052329 239961118 /nfs/dbraw/zinc/96/11/18/239961118.db2.gz YJZOENHSSDMSQA-DGCLKSJQSA-N 0 0 279.340 2.733 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1ccc(-c2cc[nH]n2)o1 ZINC000433321505 240144764 /nfs/dbraw/zinc/14/47/64/240144764.db2.gz XXNPZCXFDUESNP-UHFFFAOYSA-N 0 0 298.302 2.868 20 5 CFBDRN Cc1c(NC(=O)CCOCC2CC2)cccc1[N+](=O)[O-] ZINC000433526407 240156646 /nfs/dbraw/zinc/15/66/46/240156646.db2.gz VBGYMXBNRVNYNH-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000434942300 240279943 /nfs/dbraw/zinc/27/99/43/240279943.db2.gz JEPSUHVOPNGXBN-WCBMZHEXSA-N 0 0 287.747 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(OC(F)F)C2)c2ccncc21 ZINC000435598161 240328380 /nfs/dbraw/zinc/32/83/80/240328380.db2.gz NEVNQMWTHOUXPM-UHFFFAOYSA-N 0 0 295.245 2.571 20 5 CFBDRN COCCCSCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000435988607 240375932 /nfs/dbraw/zinc/37/59/32/240375932.db2.gz XUSZWSLZZLZKCP-UHFFFAOYSA-N 0 0 271.338 2.873 20 5 CFBDRN COc1ccc(CNc2c(F)cc([N+](=O)[O-])cc2F)nc1 ZINC000436427692 240445532 /nfs/dbraw/zinc/44/55/32/240445532.db2.gz HHNSZNQNOBZDLC-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN Cc1cccc(NCc2cccc(N(C)C)n2)c1[N+](=O)[O-] ZINC000275380522 193015176 /nfs/dbraw/zinc/01/51/76/193015176.db2.gz BFTNFGYSRPBDNF-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN C[C@@H](NC(=O)NCC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000271638310 296078150 /nfs/dbraw/zinc/07/81/50/296078150.db2.gz QUVFHHYKFAVCTM-SNVBAGLBSA-N 0 0 277.324 2.755 20 5 CFBDRN Cc1cccc(NC[C@H](O)C(C)(C)C)c1[N+](=O)[O-] ZINC000275374865 193013141 /nfs/dbraw/zinc/01/31/41/193013141.db2.gz AAENBBWJELBZOR-NSHDSACASA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cccc(N[C@H]2CCC[C@H]2CCO)c1[N+](=O)[O-] ZINC000275423171 193030282 /nfs/dbraw/zinc/03/02/82/193030282.db2.gz HQMSLWLLPIQLJL-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN CC1(C)CN(Cc2cc(Cl)ccc2[N+](=O)[O-])CC[C@H]1O ZINC000275486266 193055729 /nfs/dbraw/zinc/05/57/29/193055729.db2.gz OYKPGZBBWXOWJI-CYBMUJFWSA-N 0 0 298.770 2.841 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC1(C)C ZINC000275763186 193122382 /nfs/dbraw/zinc/12/23/82/193122382.db2.gz OWVUALDYJNPPQO-NSHDSACASA-N 0 0 279.296 2.523 20 5 CFBDRN CC[C@@H](F)COc1ccc([N+](=O)[O-])c(C(=O)OC)c1 ZINC000440587100 240858307 /nfs/dbraw/zinc/85/83/07/240858307.db2.gz YLLFHTAGFPPJKQ-MRVPVSSYSA-N 0 0 271.244 2.508 20 5 CFBDRN CC(C)C(C)(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000275830582 193140924 /nfs/dbraw/zinc/14/09/24/193140924.db2.gz FWFDVHKIGQMPGP-UHFFFAOYSA-N 0 0 264.325 2.893 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCCC1(O)CC1 ZINC000442847731 241007801 /nfs/dbraw/zinc/00/78/01/241007801.db2.gz CVABPTPIXWJTNJ-UHFFFAOYSA-N 0 0 257.673 2.542 20 5 CFBDRN CCc1ccc(CCNc2ncc([N+](=O)[O-])s2)cc1 ZINC000443511816 241069973 /nfs/dbraw/zinc/06/99/73/241069973.db2.gz RMQLMTWLXSCCNW-UHFFFAOYSA-N 0 0 277.349 2.690 20 5 CFBDRN O=C(Nc1ccc2ccoc2c1)c1cc([N+](=O)[O-])c[nH]c1=O ZINC000443674760 241085633 /nfs/dbraw/zinc/08/56/33/241085633.db2.gz PBTOBZAWCAFKNN-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN CCNc1ccc(C(=O)Nc2ncc(C)o2)cc1[N+](=O)[O-] ZINC000443940631 241095328 /nfs/dbraw/zinc/09/53/28/241095328.db2.gz ZKXANBPGHPPTEE-UHFFFAOYSA-N 0 0 290.279 2.575 20 5 CFBDRN Cn1cc([C@H](Nc2ncccc2[N+](=O)[O-])C(C)(C)C)cn1 ZINC000444083091 241112142 /nfs/dbraw/zinc/11/21/42/241112142.db2.gz ISDSEWDMMWLMJJ-LBPRGKRZSA-N 0 0 289.339 2.923 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ccnc2ccncc21 ZINC000444106621 241114167 /nfs/dbraw/zinc/11/41/67/241114167.db2.gz PHOMMVZZRXRCNJ-UHFFFAOYSA-N 0 0 280.287 2.572 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000444469061 241144533 /nfs/dbraw/zinc/14/45/33/241144533.db2.gz SBZCKKNFTLXXJO-UWVGGRQHSA-N 0 0 287.319 2.995 20 5 CFBDRN COCCc1nsc(Oc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000444827526 241195081 /nfs/dbraw/zinc/19/50/81/241195081.db2.gz PGLSGZIPYMOYMX-UHFFFAOYSA-N 0 0 299.283 2.567 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H](C)C2)c1 ZINC000444323969 241135983 /nfs/dbraw/zinc/13/59/83/241135983.db2.gz WZVUIRVWDXYUGU-NXEZZACHSA-N 0 0 278.308 2.522 20 5 CFBDRN COC[C@@H](C)CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000446059236 241267097 /nfs/dbraw/zinc/26/70/97/241267097.db2.gz IRGQFGBDTOCREC-WDEREUQCSA-N 0 0 292.335 2.545 20 5 CFBDRN C/C=C(/C)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000445178826 241208870 /nfs/dbraw/zinc/20/88/70/241208870.db2.gz AWXQVOTWCIHDFR-OQFOIZHKSA-N 0 0 259.265 2.992 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nc(-c3cocn3)no2)cc1 ZINC000445557467 241224147 /nfs/dbraw/zinc/22/41/47/241224147.db2.gz LZCBXJVMHRUPOG-UTCJRWHESA-N 0 0 284.231 2.803 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000446108873 241279565 /nfs/dbraw/zinc/27/95/65/241279565.db2.gz PGDPBIAWTKLIEY-GFCCVEGCSA-N 0 0 276.336 2.690 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000446327910 241295156 /nfs/dbraw/zinc/29/51/56/241295156.db2.gz AQJFQTNQUXONCP-LBPRGKRZSA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)c(C)n1 ZINC000446427735 241301184 /nfs/dbraw/zinc/30/11/84/241301184.db2.gz JVNZBNZNBZZCEE-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000446527604 241302975 /nfs/dbraw/zinc/30/29/75/241302975.db2.gz CEVOJTWCRWDDOW-UHFFFAOYSA-N 0 0 279.340 2.586 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H]1CCO[C@@H](C)C1 ZINC000447326871 241368531 /nfs/dbraw/zinc/36/85/31/241368531.db2.gz QWNSZKBBHFDLPT-JQWIXIFHSA-N 0 0 293.319 2.991 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCCCC2CCOCC2)c1 ZINC000447931598 241434130 /nfs/dbraw/zinc/43/41/30/241434130.db2.gz XUYZXPQCONEDST-UHFFFAOYSA-N 0 0 299.396 2.731 20 5 CFBDRN C[C@@]1(C(=O)NCc2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000448722412 241531580 /nfs/dbraw/zinc/53/15/80/241531580.db2.gz CBKOGEFXIPPEHE-OAHLLOKOSA-N 0 0 274.320 2.957 20 5 CFBDRN Cc1ccc(NC(=O)C2(C)CCC(=O)CC2)cc1[N+](=O)[O-] ZINC000449039648 241657955 /nfs/dbraw/zinc/65/79/55/241657955.db2.gz CRPUCVDBHVOQDG-UHFFFAOYSA-N 0 0 290.319 2.991 20 5 CFBDRN C[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])[C@H](CO)CC(C)(C)C ZINC000449582697 242048804 /nfs/dbraw/zinc/04/88/04/242048804.db2.gz GZOWFKIKCCRJAT-LBPRGKRZSA-N 0 0 296.367 2.529 20 5 CFBDRN CCC[C@H]1CCCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342507502 283967871 /nfs/dbraw/zinc/96/78/71/283967871.db2.gz IJFDUJFJBISZEU-NSHDSACASA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1nc(N[C@H](C)Cc2ccccc2F)ncc1[N+](=O)[O-] ZINC000450270428 242333668 /nfs/dbraw/zinc/33/36/68/242333668.db2.gz RQVBTHGTEVZGNW-SECBINFHSA-N 0 0 290.298 2.875 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000450273077 242336138 /nfs/dbraw/zinc/33/61/38/242336138.db2.gz ALUGYFGWYZGUES-UKRRQHHQSA-N 0 0 290.363 2.936 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000450274688 242337834 /nfs/dbraw/zinc/33/78/34/242337834.db2.gz HNNFNQPSOVYWKI-VXGBXAGGSA-N 0 0 270.292 2.661 20 5 CFBDRN Cc1cccc([C@H](C)CNc2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000450345028 242366742 /nfs/dbraw/zinc/36/67/42/242366742.db2.gz DNQIHHYSORLHEZ-LLVKDONJSA-N 0 0 286.335 2.639 20 5 CFBDRN CCCCCNc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000450210068 242309676 /nfs/dbraw/zinc/30/96/76/242309676.db2.gz ZOLDQHYJYFQZFO-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CC(C)OCCCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450218646 242312785 /nfs/dbraw/zinc/31/27/85/242312785.db2.gz UIOYBUWRARXNOV-UHFFFAOYSA-N 0 0 289.335 2.792 20 5 CFBDRN CC[C@H](CNc1ncc([N+](=O)[O-])c(C)n1)c1ccccc1 ZINC000450223733 242314762 /nfs/dbraw/zinc/31/47/62/242314762.db2.gz PCKBATABTJUUPD-GFCCVEGCSA-N 0 0 286.335 2.721 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450352002 242371865 /nfs/dbraw/zinc/37/18/65/242371865.db2.gz VCQYKODUHAFYNW-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(N(C[C@@H]2CCCO2)C2CCCC2)cn1 ZINC000450354850 242374364 /nfs/dbraw/zinc/37/43/64/242374364.db2.gz APCDTNQNRIIDMR-AWEZNQCLSA-N 0 0 291.351 2.918 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@H]1CCC[C@H]1O ZINC000450357115 242375735 /nfs/dbraw/zinc/37/57/35/242375735.db2.gz JNNOEFKGJZUHIA-QMTHXVAHSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1nc(NCC2(CC(C)C)CC2)ncc1[N+](=O)[O-] ZINC000450378919 242387193 /nfs/dbraw/zinc/38/71/93/242387193.db2.gz MJFLHUDGWQRBGE-UHFFFAOYSA-N 0 0 264.329 2.931 20 5 CFBDRN CCc1nnc(CNc2cc(C)c([N+](=O)[O-])cc2F)o1 ZINC000450399456 242397764 /nfs/dbraw/zinc/39/77/64/242397764.db2.gz AABXCCYVOKZXFT-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN CCOC1(C)CN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000450583069 242490918 /nfs/dbraw/zinc/49/09/18/242490918.db2.gz UENPYZJBGSTPIX-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)CC(C)(C)O)n1 ZINC000450696233 242549799 /nfs/dbraw/zinc/54/97/99/242549799.db2.gz UMLAOMKYYFBJKM-SNVBAGLBSA-N 0 0 267.329 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]([C@@H](CO)C2CC2)C2CC2)c(F)c1 ZINC000450783596 242602879 /nfs/dbraw/zinc/60/28/79/242602879.db2.gz XUZDUDVPHNNFIQ-SWLSCSKDSA-N 0 0 294.326 2.943 20 5 CFBDRN Cc1nc(N2C[C@@H](C(C)C)[C@@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000450801614 242611199 /nfs/dbraw/zinc/61/11/99/242611199.db2.gz FGIPJVJEQOADIP-AAEUAGOBSA-N 0 0 278.356 2.810 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])cc2C)COC1 ZINC000450844131 242635584 /nfs/dbraw/zinc/63/55/84/242635584.db2.gz KBDSYTMSGRSXRS-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN COc1cccnc1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000450926873 242672103 /nfs/dbraw/zinc/67/21/03/242672103.db2.gz NOOBWXYIDPBIPX-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1cc(N2CC[C@@H](CCCO)C2)ccc1[N+](=O)[O-] ZINC000450951626 242687033 /nfs/dbraw/zinc/68/70/33/242687033.db2.gz GNJDKAQZFUYBHC-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1nn([C@H](C)C(=O)C2CCCCC2)cc1[N+](=O)[O-] ZINC000450965351 242691712 /nfs/dbraw/zinc/69/17/12/242691712.db2.gz XAWASRDSLONONO-SNVBAGLBSA-N 0 0 265.313 2.810 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ccc(C)[n+]([O-])c2)c1 ZINC000302835611 201571406 /nfs/dbraw/zinc/57/14/06/201571406.db2.gz VRUYZPHKRATJKC-UHFFFAOYSA-N 0 0 260.249 2.637 20 5 CFBDRN O=C([C@H]1CCCS1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162035258 296114997 /nfs/dbraw/zinc/11/49/97/296114997.db2.gz XCOHZXKFQDRVQX-CYBMUJFWSA-N 0 0 292.360 2.770 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000452186201 243076951 /nfs/dbraw/zinc/07/69/51/243076951.db2.gz WFVJGBFHWHSQDU-WCQYABFASA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000452186533 243077440 /nfs/dbraw/zinc/07/74/40/243077440.db2.gz ZIDVIGOJWNVADY-CMPLNLGQSA-N 0 0 294.376 2.915 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000452182387 243077998 /nfs/dbraw/zinc/07/79/98/243077998.db2.gz LMRBUDRUTLFJAU-FZZIBODNSA-N 0 0 274.320 2.818 20 5 CFBDRN Cc1cc(C(=O)NCCC(C)(F)F)ccc1[N+](=O)[O-] ZINC000452207326 243086513 /nfs/dbraw/zinc/08/65/13/243086513.db2.gz GQBLBWDJEOVBRA-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000452405258 243153362 /nfs/dbraw/zinc/15/33/62/243153362.db2.gz DPKLYYPBNUOFEY-RNCFNFMXSA-N 0 0 298.314 2.525 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000452468142 243186285 /nfs/dbraw/zinc/18/62/85/243186285.db2.gz XTEIMSYZAAAKEA-LURJTMIESA-N 0 0 278.280 2.738 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000452495593 243196695 /nfs/dbraw/zinc/19/66/95/243196695.db2.gz DFRJKNLIVCOGFI-LLVKDONJSA-N 0 0 292.360 2.941 20 5 CFBDRN CCC[C@@H](NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1)C(C)(C)C ZINC000452681350 243261108 /nfs/dbraw/zinc/26/11/08/243261108.db2.gz YWPIECCYZJXISC-LLVKDONJSA-N 0 0 295.339 2.640 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@H]1CCCC[C@H]1C ZINC000330479376 202874622 /nfs/dbraw/zinc/87/46/22/202874622.db2.gz NURHGCZNAOGNQM-MFKMUULPSA-N 0 0 279.340 2.964 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000452778145 243295416 /nfs/dbraw/zinc/29/54/16/243295416.db2.gz QVKQCAQOXAFEDO-OLZOCXBDSA-N 0 0 276.336 2.690 20 5 CFBDRN CCC(=O)[C@@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000330555171 202965893 /nfs/dbraw/zinc/96/58/93/202965893.db2.gz PEDUITNFZJCSQZ-ZDUSSCGKSA-N 0 0 290.319 2.569 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)CC(C)(C)O)cc1[N+](=O)[O-] ZINC000330624009 203052881 /nfs/dbraw/zinc/05/28/81/203052881.db2.gz FTEKOTHZEQQRPH-UHFFFAOYSA-N 0 0 294.351 2.992 20 5 CFBDRN CC[C@](C)(CNC(=O)Nc1cccc([N+](=O)[O-])c1C)OC ZINC000454192055 243653445 /nfs/dbraw/zinc/65/34/45/243653445.db2.gz AJOQXMNHEABZDU-CQSZACIVSA-N 0 0 295.339 2.840 20 5 CFBDRN CC[C@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(F)F ZINC000455341766 243939873 /nfs/dbraw/zinc/93/98/73/243939873.db2.gz XKHUTJKSSGQKKN-VIFPVBQESA-N 0 0 291.229 2.899 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000456039255 244055560 /nfs/dbraw/zinc/05/55/60/244055560.db2.gz GBWAJDNMRBCLLA-GHMZBOCLSA-N 0 0 291.351 2.733 20 5 CFBDRN CC/C=C\CNC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000456106902 244077630 /nfs/dbraw/zinc/07/76/30/244077630.db2.gz VFJJPYIWPWFFME-WAYWQWQTSA-N 0 0 278.308 2.690 20 5 CFBDRN O=C(NCC1CCCCCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000456127169 244083862 /nfs/dbraw/zinc/08/38/62/244083862.db2.gz XWONXXPWEDHIHS-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCC1=O ZINC000330696350 203127612 /nfs/dbraw/zinc/12/76/12/203127612.db2.gz DKRVMQGXJNDUOZ-QWRGUYRKSA-N 0 0 290.319 2.563 20 5 CFBDRN CC(C)C[C@@H]1COCCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000330711700 203143437 /nfs/dbraw/zinc/14/34/37/203143437.db2.gz QEWJLJFFGUBPHM-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456192944 244100521 /nfs/dbraw/zinc/10/05/21/244100521.db2.gz SOKGNCVZNHNSSI-JTQLQIEISA-N 0 0 295.339 2.779 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000456319808 244137392 /nfs/dbraw/zinc/13/73/92/244137392.db2.gz BRZGEAPQRIZWSM-AAEUAGOBSA-N 0 0 262.309 2.617 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000456266490 244120603 /nfs/dbraw/zinc/12/06/03/244120603.db2.gz CTIOTHBPDBGHSD-ZWNOBZJWSA-N 0 0 292.360 2.917 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]1C(C)C ZINC000456274996 244121868 /nfs/dbraw/zinc/12/18/68/244121868.db2.gz AKNBGDBKDPFPEW-GXFFZTMASA-N 0 0 279.340 2.676 20 5 CFBDRN COc1cc(C(=O)NC[C@@H]2C[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000456290599 244128318 /nfs/dbraw/zinc/12/83/18/244128318.db2.gz BMVIGVYCYCLRST-RYUDHWBXSA-N 0 0 292.335 2.625 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2C[C@H]2C2CC2)cc([N+](=O)[O-])c1 ZINC000456875752 244314200 /nfs/dbraw/zinc/31/42/00/244314200.db2.gz GICJPYAHJZTSBD-JSGCOSHPSA-N 0 0 274.320 2.679 20 5 CFBDRN CCOC(CCNc1ccc([N+](=O)[O-])c(OC)c1)OCC ZINC000276086025 193209994 /nfs/dbraw/zinc/20/99/94/193209994.db2.gz HAGDCBGOBUDNMQ-UHFFFAOYSA-N 0 0 298.339 2.805 20 5 CFBDRN CC(C)(C)C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000457010703 244358700 /nfs/dbraw/zinc/35/87/00/244358700.db2.gz HXKABZQAYMDFPC-SNVBAGLBSA-N 0 0 279.340 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(NOC[C@@H]2CCOC2)c(Cl)c1 ZINC000276157374 193228591 /nfs/dbraw/zinc/22/85/91/193228591.db2.gz ALRITOGRUCEJLC-MRVPVSSYSA-N 0 0 272.688 2.628 20 5 CFBDRN CCc1ccc(OC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000330896139 203364790 /nfs/dbraw/zinc/36/47/90/203364790.db2.gz GQDFCYNZVYBQCF-LLVKDONJSA-N 0 0 251.282 2.573 20 5 CFBDRN O=[N+]([O-])c1c(NOC[C@@H]2CCOC2)ccc2ncccc21 ZINC000276224192 193247560 /nfs/dbraw/zinc/24/75/60/193247560.db2.gz MHGJCBQCSACFOZ-SNVBAGLBSA-N 0 0 289.291 2.523 20 5 CFBDRN CCOC(CCNc1ccccc1[N+](=O)[O-])OCC ZINC000276223509 193248201 /nfs/dbraw/zinc/24/82/01/193248201.db2.gz NIRBUTAPWFGXBY-UHFFFAOYSA-N 0 0 268.313 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(NOC[C@H]2CCOC2)c2ccncc21 ZINC000276203969 193242658 /nfs/dbraw/zinc/24/26/58/193242658.db2.gz JEDCTVCMWIGUGS-JTQLQIEISA-N 0 0 289.291 2.523 20 5 CFBDRN COCCCCCOc1ccc([N+](=O)[O-])cc1F ZINC000116611892 376197332 /nfs/dbraw/zinc/19/73/32/376197332.db2.gz FZVTYCLDSKEMCH-UHFFFAOYSA-N 0 0 257.261 2.929 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CSC2(C)C)cccc1[N+](=O)[O-] ZINC000276294572 193275442 /nfs/dbraw/zinc/27/54/42/193275442.db2.gz RYEYGRMNDGDUID-LLVKDONJSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CSC2(C)C)cccc1[N+](=O)[O-] ZINC000276294579 193275636 /nfs/dbraw/zinc/27/56/36/193275636.db2.gz RYEYGRMNDGDUID-NSHDSACASA-N 0 0 280.349 2.527 20 5 CFBDRN CCC(O)(CC)CCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000276363257 193301532 /nfs/dbraw/zinc/30/15/32/193301532.db2.gz ZAFKBEHYARNHND-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN C[C@H](CCO)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276533550 193369911 /nfs/dbraw/zinc/36/99/11/193369911.db2.gz CGLLNPUXFUFEHR-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1ccnc(N[C@@H]2CSC2(C)C)c1[N+](=O)[O-] ZINC000276534079 193371729 /nfs/dbraw/zinc/37/17/29/193371729.db2.gz WCQQSHNDCYMFRZ-MRVPVSSYSA-N 0 0 253.327 2.604 20 5 CFBDRN C[C@@H](CO)CSc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276594976 193393707 /nfs/dbraw/zinc/39/37/07/193393707.db2.gz JYPUVROIXSELNE-VIFPVBQESA-N 0 0 278.333 2.864 20 5 CFBDRN CC(C)=CCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000276603340 193396822 /nfs/dbraw/zinc/39/68/22/193396822.db2.gz COJZJEONEGVTDE-UHFFFAOYSA-N 0 0 266.272 2.820 20 5 CFBDRN C[C@@H](CCCO)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276663919 193419486 /nfs/dbraw/zinc/41/94/86/193419486.db2.gz SIMOZRHPEGDIBT-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC1(C2CCC2)CCC1 ZINC000458231482 244808537 /nfs/dbraw/zinc/80/85/37/244808537.db2.gz FIZZVRRGQKLLHT-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@]1(C)C(C)C ZINC000458152201 244781572 /nfs/dbraw/zinc/78/15/72/244781572.db2.gz RCFWGXNPCVBZOX-UKRRQHHQSA-N 0 0 292.335 2.768 20 5 CFBDRN O=C(N[C@H]1CCCc2occc21)c1ccc([N+](=O)[O-])cc1 ZINC000033606710 284189298 /nfs/dbraw/zinc/18/92/98/284189298.db2.gz HHINEPJVHIJYMT-ZDUSSCGKSA-N 0 0 286.287 2.995 20 5 CFBDRN CC(C)=CCNC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000276761244 193452317 /nfs/dbraw/zinc/45/23/17/193452317.db2.gz ALAKLFYQCWGHEP-LLVKDONJSA-N 0 0 277.324 2.921 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CC1(OC)CCC1 ZINC000276776090 193457826 /nfs/dbraw/zinc/45/78/26/193457826.db2.gz PJZLPKZTTSXTJS-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN Cc1c(C(=O)N2CCCC3(CC3)C2)cccc1[N+](=O)[O-] ZINC000185380074 291493575 /nfs/dbraw/zinc/49/35/75/291493575.db2.gz OXIXQOZXOITHCP-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN COc1ccc(CN[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000277204152 193584574 /nfs/dbraw/zinc/58/45/74/193584574.db2.gz VXZBPZHPLHBLFM-SSDOTTSWSA-N 0 0 278.230 2.644 20 5 CFBDRN CCNC(=O)C1(CNc2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000277173050 193575585 /nfs/dbraw/zinc/57/55/85/193575585.db2.gz IBEDAUVODOLLJB-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CC(OC(F)F)C1 ZINC000459630578 245252513 /nfs/dbraw/zinc/25/25/13/245252513.db2.gz PDFAPIVMHWJZOD-HWKANZROSA-N 0 0 284.262 2.531 20 5 CFBDRN Cc1ccc(CN2CC(Oc3ccc([N+](=O)[O-])cc3)C2)nc1 ZINC000459625127 245248262 /nfs/dbraw/zinc/24/82/62/245248262.db2.gz WVEMVGGEKXHQLK-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN CC(C)[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000331643664 204038912 /nfs/dbraw/zinc/03/89/12/204038912.db2.gz NKWMVWQXQPYORY-LLVKDONJSA-N 0 0 279.340 2.821 20 5 CFBDRN O=C(CC1CC(F)(F)C1)Nc1ccc([N+](=O)[O-])cc1 ZINC000459893231 245341115 /nfs/dbraw/zinc/34/11/15/245341115.db2.gz TXRKUQBTQVJTLZ-UHFFFAOYSA-N 0 0 270.235 2.969 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000459900693 245343557 /nfs/dbraw/zinc/34/35/57/245343557.db2.gz MZBVXLKKVWOKPV-BXUZGUMPSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3n[nH]cc3C2)c(F)cc1[N+](=O)[O-] ZINC000461951729 245373747 /nfs/dbraw/zinc/37/37/47/245373747.db2.gz YLVNMHHGSHWOIB-SNVBAGLBSA-N 0 0 290.298 2.735 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000461916170 245372353 /nfs/dbraw/zinc/37/23/53/245372353.db2.gz JCQBMDGFCBKFPU-TZMCWYRMSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000000360820 371594385 /nfs/dbraw/zinc/59/43/85/371594385.db2.gz ARWJXRRQHYUJSS-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(C)OC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000001475657 371677172 /nfs/dbraw/zinc/67/71/72/371677172.db2.gz PFEAWWPWSYSAPT-UHFFFAOYSA-N 0 0 255.295 2.639 20 5 CFBDRN CC(C)NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000008363349 371897815 /nfs/dbraw/zinc/89/78/15/371897815.db2.gz FYIIXIMWXLYOFI-QMMMGPOBSA-N 0 0 286.715 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2nnc(C3CC3)[nH]2)cc1 ZINC000012992196 372008158 /nfs/dbraw/zinc/00/81/58/372008158.db2.gz UMHSFHKIQMJRCV-UHFFFAOYSA-N 0 0 276.321 2.883 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000014785885 372073867 /nfs/dbraw/zinc/07/38/67/372073867.db2.gz UYFZEFGCCUABNI-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1occ2c1CCC2 ZINC000361032424 284316674 /nfs/dbraw/zinc/31/66/74/284316674.db2.gz ZKYKZBWLEJGGAO-UHFFFAOYSA-N 0 0 272.260 2.929 20 5 CFBDRN Cc1c(C(=O)NC(C2CC2)C2CC2)cccc1[N+](=O)[O-] ZINC000023352809 372258258 /nfs/dbraw/zinc/25/82/58/372258258.db2.gz GHQUZUVWUZFYHW-UHFFFAOYSA-N 0 0 274.320 2.822 20 5 CFBDRN CCCNc1nnc(Sc2ccc([N+](=O)[O-])cn2)s1 ZINC000023619961 372262572 /nfs/dbraw/zinc/26/25/72/372262572.db2.gz VADKIRSBRMGRFC-UHFFFAOYSA-N 0 0 297.365 2.814 20 5 CFBDRN CNC(=O)c1ccc(CNc2ccccc2[N+](=O)[O-])cc1 ZINC000026709163 372327169 /nfs/dbraw/zinc/32/71/69/372327169.db2.gz VZAIQCIRYPBMQY-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CCOc1ncccc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000027587681 372343575 /nfs/dbraw/zinc/34/35/75/372343575.db2.gz HGGPBMXHKBCXTH-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000027707720 372346760 /nfs/dbraw/zinc/34/67/60/372346760.db2.gz LZBMXSHVBBIVOH-VHSXEESVSA-N 0 0 266.297 2.886 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cccnc1 ZINC000027774255 372349311 /nfs/dbraw/zinc/34/93/11/372349311.db2.gz YGJSXZXLMQUTRM-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)C1CCCC1 ZINC000277569879 193695262 /nfs/dbraw/zinc/69/52/62/193695262.db2.gz LDQYFHNXRLNQNS-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CCCCCCNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000029186973 372376555 /nfs/dbraw/zinc/37/65/55/372376555.db2.gz UFLMGKPUGYQONB-UHFFFAOYSA-N 0 0 290.323 2.824 20 5 CFBDRN Cc1c(C(=O)N2CCn3cccc3[C@H]2C)cccc1[N+](=O)[O-] ZINC000030266708 372390558 /nfs/dbraw/zinc/39/05/58/372390558.db2.gz FWQLQVOVWSIBBR-GFCCVEGCSA-N 0 0 299.330 2.922 20 5 CFBDRN CC[C@H]1CCCN(CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000030141982 372391201 /nfs/dbraw/zinc/39/12/01/372391201.db2.gz KDCQSHWDFAEZPL-LBPRGKRZSA-N 0 0 291.351 2.655 20 5 CFBDRN CCCC[C@@H](CC)CNc1c([N+](=O)[O-])ncn1C ZINC000030545914 372393558 /nfs/dbraw/zinc/39/35/58/372393558.db2.gz KULFYPAKNJXKPI-SNVBAGLBSA-N 0 0 254.334 2.957 20 5 CFBDRN COC(=O)c1ccc(CNc2ccccc2[N+](=O)[O-])cc1 ZINC000030553183 372393797 /nfs/dbraw/zinc/39/37/97/372393797.db2.gz DYMCAOKLJKQJIJ-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN O=C(NCC1CCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000031441303 372410554 /nfs/dbraw/zinc/41/05/54/372410554.db2.gz UXRFAPZYWQPZHG-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN Cc1csc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)n1 ZINC000032033818 372425672 /nfs/dbraw/zinc/42/56/72/372425672.db2.gz LPEREPGQCCYGKI-UHFFFAOYSA-N 0 0 283.334 2.982 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCc1ccccc1 ZINC000034150124 372505045 /nfs/dbraw/zinc/50/50/45/372505045.db2.gz OYMZBVAFZLRWPD-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN C[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)[C@H](C)CO1 ZINC000035784478 372551225 /nfs/dbraw/zinc/55/12/25/372551225.db2.gz WIMFOWDNCXKSAM-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000036961356 372617448 /nfs/dbraw/zinc/61/74/48/372617448.db2.gz AVNAXULFFNOZHD-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC1CCOCC1 ZINC000036970357 372619185 /nfs/dbraw/zinc/61/91/85/372619185.db2.gz JLAOTUNEXHNRRH-UHFFFAOYSA-N 0 0 256.689 2.839 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1ccc([N+](=O)[O-])cc1C ZINC000037061666 372643313 /nfs/dbraw/zinc/64/33/13/372643313.db2.gz DRSBCKPAHOSAMC-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN COc1ncccc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000037650291 372687222 /nfs/dbraw/zinc/68/72/22/372687222.db2.gz GMJOXKAGKBTOES-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN CCCN(CC)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669643 372689086 /nfs/dbraw/zinc/68/90/86/372689086.db2.gz JYHDVBHEKKUXEP-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc[nH]n2)c(C(F)(F)F)c1 ZINC000041015915 372805198 /nfs/dbraw/zinc/80/51/98/372805198.db2.gz YHWQEABMIQAJHT-UHFFFAOYSA-N 0 0 286.213 2.949 20 5 CFBDRN Cc1c([C@@H](C)Nc2ccc([N+](=O)[O-])cc2F)cnn1C ZINC000042098506 372871687 /nfs/dbraw/zinc/87/16/87/372871687.db2.gz NMTICUKSDGIBRS-MRVPVSSYSA-N 0 0 278.287 2.949 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])cnc2C)CC1 ZINC000479644808 245626853 /nfs/dbraw/zinc/62/68/53/245626853.db2.gz HJMDCPRCYDUKOT-HAQNSBGRSA-N 0 0 291.351 2.997 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000042804925 372955952 /nfs/dbraw/zinc/95/59/52/372955952.db2.gz KMFCWRUKPHLNKV-MWLCHTKSSA-N 0 0 266.345 2.875 20 5 CFBDRN COC(=O)[C@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000302752010 284370249 /nfs/dbraw/zinc/37/02/49/284370249.db2.gz HLTDCLHEVAENKA-RYUDHWBXSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cc(C(=O)NCc2ccc(O)cc2)ccc1[N+](=O)[O-] ZINC000045889454 373036960 /nfs/dbraw/zinc/03/69/60/373036960.db2.gz HCLPIEUALSODSB-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN COC(=O)[C@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000276978591 284370885 /nfs/dbraw/zinc/37/08/85/284370885.db2.gz URSVQVAXQFEAHC-RYUDHWBXSA-N 0 0 292.335 2.539 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C[C@H]1CCCCO1 ZINC000046598895 373056413 /nfs/dbraw/zinc/05/64/13/373056413.db2.gz BYYFHHBZPPDJND-CYBMUJFWSA-N 0 0 264.325 2.596 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC1CCCCC1 ZINC000046552053 373056475 /nfs/dbraw/zinc/05/64/75/373056475.db2.gz BSANRHSMSVIWLM-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CCC(CC)NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000046082316 373042585 /nfs/dbraw/zinc/04/25/85/373042585.db2.gz WVHUZLIZMXCJCQ-UHFFFAOYSA-N 0 0 295.295 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2COc3ccccc3O2)cc1 ZINC000046229678 373046842 /nfs/dbraw/zinc/04/68/42/373046842.db2.gz GMJPWMQSGWYRSL-ZDUSSCGKSA-N 0 0 286.287 2.847 20 5 CFBDRN CCOC[C@H]1CCN(c2ccc([N+](=O)[O-])c(OCC)c2)C1 ZINC000486954136 245709429 /nfs/dbraw/zinc/70/94/29/245709429.db2.gz HOKUWAJYILJUCR-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN Cc1ccc(CC(=O)Nc2cc([N+](=O)[O-])ccc2C)cn1 ZINC000047412891 373092069 /nfs/dbraw/zinc/09/20/69/373092069.db2.gz CHCOZWSJLDRFEW-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N([C@H](C)C1CC1)C1CC1 ZINC000047448954 373094463 /nfs/dbraw/zinc/09/44/63/373094463.db2.gz WLTVLEOBYFINRS-SNVBAGLBSA-N 0 0 289.335 2.701 20 5 CFBDRN C[C@H](NC(=O)Nc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000048349620 373168716 /nfs/dbraw/zinc/16/87/16/373168716.db2.gz BRHYWARZXYCDEP-JTQLQIEISA-N 0 0 286.291 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2ccccn2)cc1 ZINC000047832690 373119123 /nfs/dbraw/zinc/11/91/23/373119123.db2.gz BTQRMIUUZMISCE-UHFFFAOYSA-N 0 0 258.281 2.514 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1Cl ZINC000048545194 373196788 /nfs/dbraw/zinc/19/67/88/373196788.db2.gz FTFTYTIRACCFTC-SSDOTTSWSA-N 0 0 293.714 2.794 20 5 CFBDRN Cc1nn(C)c(N[C@H](C)Cc2ccsc2)c1[N+](=O)[O-] ZINC000048553111 373198582 /nfs/dbraw/zinc/19/85/82/373198582.db2.gz FDYWDOMMAJLMQI-MRVPVSSYSA-N 0 0 280.353 2.741 20 5 CFBDRN COc1ccc(CNc2cccc(F)c2[N+](=O)[O-])cn1 ZINC000048962328 373239018 /nfs/dbraw/zinc/23/90/18/373239018.db2.gz FMDZPPOHESYDJX-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CN(Cc1cnn(C)c1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000048971993 373240992 /nfs/dbraw/zinc/24/09/92/373240992.db2.gz YIFAMTNBJMIQCD-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCSCC1 ZINC000289717968 197457648 /nfs/dbraw/zinc/45/76/48/197457648.db2.gz IBBRBFYFTBFRRI-UHFFFAOYSA-N 0 0 295.364 2.738 20 5 CFBDRN Cc1cc(CNCc2ccc([N+](=O)[O-])cc2Cl)on1 ZINC000049963802 373337298 /nfs/dbraw/zinc/33/72/98/373337298.db2.gz HTUAOVNVRIZNKV-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H]2CCCC[C@H]21 ZINC000049982378 373338160 /nfs/dbraw/zinc/33/81/60/373338160.db2.gz HIHWZBIZFLFTEB-WDEREUQCSA-N 0 0 278.308 2.983 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000050086144 373352108 /nfs/dbraw/zinc/35/21/08/373352108.db2.gz OJKLJRUHROBYIL-NSHDSACASA-N 0 0 298.726 2.780 20 5 CFBDRN COC[C@H](C)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000050352292 373372744 /nfs/dbraw/zinc/37/27/44/373372744.db2.gz RBXXHDXCEPWRDW-MRVPVSSYSA-N 0 0 258.705 2.943 20 5 CFBDRN Cc1ccc(N[C@@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000052007397 373428221 /nfs/dbraw/zinc/42/82/21/373428221.db2.gz IKNZKKFRAKBYFN-YPMHNXCESA-N 0 0 250.298 2.619 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccccn1 ZINC000054150197 373499120 /nfs/dbraw/zinc/49/91/20/373499120.db2.gz RDGJDCIDRWEQCC-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000057075556 373580311 /nfs/dbraw/zinc/58/03/11/373580311.db2.gz MHYPDPRYDFDMRB-HTQZYQBOSA-N 0 0 256.327 2.821 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)n1C ZINC000055466305 373553690 /nfs/dbraw/zinc/55/36/90/373553690.db2.gz MDJVWCDFEJAAAC-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN Cc1ccc(CC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000055467656 373554236 /nfs/dbraw/zinc/55/42/36/373554236.db2.gz CRVUCYMHLRNDHB-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000057004160 373576347 /nfs/dbraw/zinc/57/63/47/373576347.db2.gz UXRSXRSBXQCAHF-YPMHNXCESA-N 0 0 291.351 2.973 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000057005011 373576353 /nfs/dbraw/zinc/57/63/53/373576353.db2.gz MFDXGGIQWMGRHS-GFCCVEGCSA-N 0 0 291.351 2.926 20 5 CFBDRN Cc1ccc(CN[C@H](C)C(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000123205748 220211169 /nfs/dbraw/zinc/21/11/69/220211169.db2.gz BYPUMBWQUQFVAS-LLVKDONJSA-N 0 0 294.351 2.723 20 5 CFBDRN CCCN(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000058414635 373654175 /nfs/dbraw/zinc/65/41/75/373654175.db2.gz GDWYSMJEMLTFQE-UHFFFAOYSA-N 0 0 251.286 2.777 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC=CCC1 ZINC000058963542 373676369 /nfs/dbraw/zinc/67/63/69/373676369.db2.gz FCZSNLWHDGNJRN-GFCCVEGCSA-N 0 0 261.277 2.994 20 5 CFBDRN CCCCCOc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000060806034 373704238 /nfs/dbraw/zinc/70/42/38/373704238.db2.gz MIURMHIOBXQCRC-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCc2ccc(Cl)cc21 ZINC000334499204 220232414 /nfs/dbraw/zinc/23/24/14/220232414.db2.gz FOSKMLLAFHGFAY-UHFFFAOYSA-N 0 0 291.694 2.779 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)C[C@@H](C)C1 ZINC000246511658 189929463 /nfs/dbraw/zinc/92/94/63/189929463.db2.gz UHHLZYVOGCZTCK-URLYPYJESA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)CCCO1 ZINC000061918222 373739255 /nfs/dbraw/zinc/73/92/55/373739255.db2.gz DBSOUVNIERAMMN-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN CCCCN(C(=O)Cn1cc([N+](=O)[O-])nc1C)[C@H](C)CC ZINC000065725658 373898098 /nfs/dbraw/zinc/89/80/98/373898098.db2.gz WAQJCHWVYLAXHK-LLVKDONJSA-N 0 0 296.371 2.527 20 5 CFBDRN CCc1ncc(C(=O)Nc2ccc([N+](=O)[O-])cc2)s1 ZINC000065050569 373841038 /nfs/dbraw/zinc/84/10/38/373841038.db2.gz CAWBXZZICMSZOG-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CC(C)(C)O1 ZINC000065278894 373853662 /nfs/dbraw/zinc/85/36/62/373853662.db2.gz ZBAPAZVCRHETOT-JTQLQIEISA-N 0 0 293.323 2.626 20 5 CFBDRN C[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)CC(C)(C)O1 ZINC000065422869 373871026 /nfs/dbraw/zinc/87/10/26/373871026.db2.gz ZJBWOJJDZZOJGM-NSHDSACASA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000066404060 373930000 /nfs/dbraw/zinc/93/00/00/373930000.db2.gz OBWVIGTYQJVCLM-TUAOUCFPSA-N 0 0 264.325 2.765 20 5 CFBDRN CSc1ccc(C(=O)Nc2cc(C)[nH]n2)cc1[N+](=O)[O-] ZINC000070164566 374133799 /nfs/dbraw/zinc/13/37/99/374133799.db2.gz CIFBBPOUYMOXJP-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000070069195 374119793 /nfs/dbraw/zinc/11/97/93/374119793.db2.gz CKQSYMOEIPGWLH-MRVPVSSYSA-N 0 0 268.700 2.730 20 5 CFBDRN CC[C@H]1CCN1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000490199981 246000409 /nfs/dbraw/zinc/00/04/09/246000409.db2.gz IELKQJXLXVRSRF-LBPRGKRZSA-N 0 0 277.324 2.597 20 5 CFBDRN COC(=O)c1cc(OCCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000069801995 374098353 /nfs/dbraw/zinc/09/83/53/374098353.db2.gz NUASKIAOSKVDAA-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CC(C)CC[C@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000070186534 374144031 /nfs/dbraw/zinc/14/40/31/374144031.db2.gz VKUDIUDZMFSTPX-JTQLQIEISA-N 0 0 279.340 2.930 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000490887414 246030001 /nfs/dbraw/zinc/03/00/01/246030001.db2.gz PUYKADIEHFNYID-WDDYJBPVSA-N 0 0 274.320 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC2)c(Br)c1 ZINC000070967067 374208292 /nfs/dbraw/zinc/20/82/92/374208292.db2.gz SVPYEYGVMGBIEO-SSDOTTSWSA-N 0 0 287.113 2.558 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])NCc1ccco1 ZINC000491304862 246059576 /nfs/dbraw/zinc/05/95/76/246059576.db2.gz NNXCCFURHGEMHM-XQRVVYSFSA-N 0 0 290.250 2.657 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])NCC1CCC1 ZINC000491333797 246060694 /nfs/dbraw/zinc/06/06/94/246060694.db2.gz HWWYAGCWXATZPP-ALCCZGGFSA-N 0 0 278.283 2.663 20 5 CFBDRN CCn1cc(CN(C)Cc2csc([N+](=O)[O-])c2)cn1 ZINC000072981654 374312497 /nfs/dbraw/zinc/31/24/97/374312497.db2.gz WZUATIZWTWHFIB-UHFFFAOYSA-N 0 0 280.353 2.505 20 5 CFBDRN Cn1cccc1[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000072905310 374299770 /nfs/dbraw/zinc/29/97/70/374299770.db2.gz UYLWVMNQCSRMBN-LLVKDONJSA-N 0 0 289.291 2.504 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000072897488 374300606 /nfs/dbraw/zinc/30/06/06/374300606.db2.gz LUVPBRJZQUJQQK-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCn2cccn2)c(Cl)c1 ZINC000073286439 374334500 /nfs/dbraw/zinc/33/45/00/374334500.db2.gz JVWMUGCKUVXZJE-UHFFFAOYSA-N 0 0 280.715 2.947 20 5 CFBDRN Cc1cc(NCCOc2ccccc2)ncc1[N+](=O)[O-] ZINC000073000355 374313954 /nfs/dbraw/zinc/31/39/54/374313954.db2.gz FGCOMLKKZABWBR-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN Cc1cc(NC[C@@H]2Cc3ccccc3O2)ncc1[N+](=O)[O-] ZINC000073000297 374315029 /nfs/dbraw/zinc/31/50/29/374315029.db2.gz YYGJHIGQWFZYAY-LBPRGKRZSA-N 0 0 285.303 2.714 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000491985873 246148294 /nfs/dbraw/zinc/14/82/94/246148294.db2.gz YJJRCVMPUSPDRL-YBEUMFKESA-N 0 0 260.293 2.617 20 5 CFBDRN Cc1noc(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])c1C ZINC000491945096 246131113 /nfs/dbraw/zinc/13/11/13/246131113.db2.gz JWSZWUSNAKJNEU-FPLPWBNLSA-N 0 0 287.275 2.852 20 5 CFBDRN Cc1cccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)n1 ZINC000074017484 374402727 /nfs/dbraw/zinc/40/27/27/374402727.db2.gz NYYLIMIJZWNGHP-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1cccnc1N(C)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000491957817 246136785 /nfs/dbraw/zinc/13/67/85/246136785.db2.gz CRYIYZXXFFZNEO-CMDGGOBGSA-N 0 0 297.314 2.974 20 5 CFBDRN CCC[C@H](C)C(=O)OCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000073755282 374378695 /nfs/dbraw/zinc/37/86/95/374378695.db2.gz QCOBDLREAHZMRL-JTQLQIEISA-N 0 0 294.307 2.513 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NCC1(C(F)F)CC1 ZINC000491968695 246140678 /nfs/dbraw/zinc/14/06/78/246140678.db2.gz AWZPUVHJIVCGKQ-SNAWJCMRSA-N 0 0 296.273 2.770 20 5 CFBDRN Cc1sc(C(=O)N(C)Cc2nccs2)cc1[N+](=O)[O-] ZINC000074548343 374426232 /nfs/dbraw/zinc/42/62/32/374426232.db2.gz COKVYMBFGQRXEI-UHFFFAOYSA-N 0 0 297.361 2.693 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)/C=C\C1CCC1 ZINC000492091742 246185022 /nfs/dbraw/zinc/18/50/22/246185022.db2.gz DERMMXBXFKVUBG-KTKRTIGZSA-N 0 0 274.320 2.910 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)/C=C/C1CCC1 ZINC000492091743 246185178 /nfs/dbraw/zinc/18/51/78/246185178.db2.gz DERMMXBXFKVUBG-MDZDMXLPSA-N 0 0 274.320 2.910 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000492064326 246174485 /nfs/dbraw/zinc/17/44/85/246174485.db2.gz FKYZJESUFUTKES-CAGCLMLXSA-N 0 0 274.320 2.722 20 5 CFBDRN CCn1ccnc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000492151711 246203098 /nfs/dbraw/zinc/20/30/98/246203098.db2.gz NFGIGWLZERCOGA-UHFFFAOYSA-N 0 0 288.351 2.836 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)Nc2cccc([N+](=O)[O-])c2)O1 ZINC000075182490 374468005 /nfs/dbraw/zinc/46/80/05/374468005.db2.gz QICLMKONZHOZTA-GWCFXTLKSA-N 0 0 278.308 2.881 20 5 CFBDRN CN(Cc1nc(-c2cccc([N+](=O)[O-])c2)no1)C1CCC1 ZINC000075842637 374509117 /nfs/dbraw/zinc/50/91/17/374509117.db2.gz MMHWBRGDGVNCPO-UHFFFAOYSA-N 0 0 288.307 2.629 20 5 CFBDRN C[C@]1(F)CCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000492282161 246245283 /nfs/dbraw/zinc/24/52/83/246245283.db2.gz GYRFEDJJWLXCGF-GXMNPTEKSA-N 0 0 278.283 2.569 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CCC=C(F)C1 ZINC000492339054 246259996 /nfs/dbraw/zinc/25/99/96/246259996.db2.gz NUPZQGIRQQMHLO-SREVYHEPSA-N 0 0 276.267 2.694 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)C1CCCCC1 ZINC000076505431 374554163 /nfs/dbraw/zinc/55/41/63/374554163.db2.gz FMZOUGOVJKECLA-VIFPVBQESA-N 0 0 266.297 2.886 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CCC=C(F)C1 ZINC000492395167 246279221 /nfs/dbraw/zinc/27/92/21/246279221.db2.gz UPFRLXUJCVBVMG-BQYQJAHWSA-N 0 0 276.267 2.694 20 5 CFBDRN O=C(/C=C\c1ccc(O)cc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000492404113 246281012 /nfs/dbraw/zinc/28/10/12/246281012.db2.gz FEIBSYHVZMCWFP-YHYXMXQVSA-N 0 0 298.298 2.630 20 5 CFBDRN Cc1ccncc1/C=C\C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000492530775 246319495 /nfs/dbraw/zinc/31/94/95/246319495.db2.gz KBFIXBICFVGZPR-WAYWQWQTSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1ccncc1N(C)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492585066 246335544 /nfs/dbraw/zinc/33/55/44/246335544.db2.gz CNHDIJUCLNNUCB-SREVYHEPSA-N 0 0 297.314 2.974 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NOC1CCCCC1 ZINC000492746281 246374664 /nfs/dbraw/zinc/37/46/64/246374664.db2.gz BKMNHMDDENIRGX-MDZDMXLPSA-N 0 0 290.319 2.989 20 5 CFBDRN C[C@@H](CO)SCc1cc([N+](=O)[O-])ccc1Cl ZINC000079100749 374593539 /nfs/dbraw/zinc/59/35/39/374593539.db2.gz ACLFBVADIPSUSV-ZETCQYMHSA-N 0 0 261.730 2.862 20 5 CFBDRN O=C(/C=C\C1CC1)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000492616775 246344608 /nfs/dbraw/zinc/34/46/08/246344608.db2.gz LHTUFUURSMVJAA-UTCJRWHESA-N 0 0 280.711 2.831 20 5 CFBDRN C[C@H](O)[C@H](C)SCCc1ccc([N+](=O)[O-])cc1 ZINC000079040589 374586212 /nfs/dbraw/zinc/58/62/12/374586212.db2.gz UBPWJXGPMCHTEJ-UWVGGRQHSA-N 0 0 255.339 2.640 20 5 CFBDRN CS(=O)(=O)c1ccc(N[C@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000080120171 374642821 /nfs/dbraw/zinc/64/28/21/374642821.db2.gz YWUOLGLHFQMTGY-JTQLQIEISA-N 0 0 296.348 2.519 20 5 CFBDRN COCCSCCCOc1ccc([N+](=O)[O-])cc1 ZINC000079384720 374607183 /nfs/dbraw/zinc/60/71/83/374607183.db2.gz AAVJAKYYXKNLFQ-UHFFFAOYSA-N 0 0 271.338 2.743 20 5 CFBDRN CC1(C)CCN(C(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000081353452 374695663 /nfs/dbraw/zinc/69/56/63/374695663.db2.gz WTZWNBBYRVUANM-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CC1(C)CCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000081352506 374696507 /nfs/dbraw/zinc/69/65/07/374696507.db2.gz RYDDOTGYKVKZQI-BQYQJAHWSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1ccc(NC[C@H](O)CC(C)C)c([N+](=O)[O-])c1 ZINC000080607889 374664524 /nfs/dbraw/zinc/66/45/24/374664524.db2.gz PDPCCGCMMSTZPC-LLVKDONJSA-N 0 0 252.314 2.722 20 5 CFBDRN CC[C@](C)(CO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000080882198 374674799 /nfs/dbraw/zinc/67/47/99/374674799.db2.gz ZVVAOSAZISTXDT-LLVKDONJSA-N 0 0 258.705 2.821 20 5 CFBDRN CC[C@H]1CCN1C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492897387 246419354 /nfs/dbraw/zinc/41/93/54/246419354.db2.gz RYWPWPYBZSXSJJ-KRZKBDHCSA-N 0 0 260.293 2.619 20 5 CFBDRN CC(C)(O)COc1ccc(Br)cc1[N+](=O)[O-] ZINC000083826910 374757510 /nfs/dbraw/zinc/75/75/10/374757510.db2.gz TULXUUMWXSTUQN-UHFFFAOYSA-N 0 0 290.113 2.507 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000081822243 374718690 /nfs/dbraw/zinc/71/86/90/374718690.db2.gz BCNPVOKZKATJLO-VIFPVBQESA-N 0 0 262.309 2.686 20 5 CFBDRN CCc1ccc(C(=O)NCCCCCOC)cc1[N+](=O)[O-] ZINC000081934723 374723771 /nfs/dbraw/zinc/72/37/71/374723771.db2.gz OHWIGEBGNORHCE-UHFFFAOYSA-N 0 0 294.351 2.704 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC[C@H](F)C1 ZINC000493162822 246504972 /nfs/dbraw/zinc/50/49/72/246504972.db2.gz SVRSHVYBJFHJMA-ZCRIDZFUSA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1c(OC(=O)C[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000087189230 374808020 /nfs/dbraw/zinc/80/80/20/374808020.db2.gz RBMGLFWQMOHIOE-LLVKDONJSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)[C@@H]2CCCO2)c1 ZINC000084726277 374786985 /nfs/dbraw/zinc/78/69/85/374786985.db2.gz QXUPUFSYNFTICQ-GWCFXTLKSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCC[C@H]2O)c1 ZINC000084726505 374788123 /nfs/dbraw/zinc/78/81/23/374788123.db2.gz LTYSOKQBWHLAGD-ZWNOBZJWSA-N 0 0 250.298 2.619 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1scnc1C ZINC000088869345 374864159 /nfs/dbraw/zinc/86/41/59/374864159.db2.gz VOLIMQJMPIERKV-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C(C1CC1)C1CC1 ZINC000089303048 374896057 /nfs/dbraw/zinc/89/60/57/374896057.db2.gz DZCRBZWBLOJWPN-UHFFFAOYSA-N 0 0 288.347 2.690 20 5 CFBDRN CCO[C@@H](C)c1nccn1Cc1cccc([N+](=O)[O-])c1 ZINC000089521503 374917764 /nfs/dbraw/zinc/91/77/64/374917764.db2.gz HKQSDHLKCWMEAG-NSHDSACASA-N 0 0 275.308 2.937 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CC=CCC1 ZINC000089835946 374959572 /nfs/dbraw/zinc/95/95/72/374959572.db2.gz HNCFSBSDTCCMDF-CYBMUJFWSA-N 0 0 274.320 2.610 20 5 CFBDRN CC1=CCCN(C(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000493631307 246648910 /nfs/dbraw/zinc/64/89/10/246648910.db2.gz NMNBTXWIEONTRU-FNORWQNLSA-N 0 0 290.294 2.926 20 5 CFBDRN C[C@H](CCO)Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090140550 374993051 /nfs/dbraw/zinc/99/30/51/374993051.db2.gz UOFJHHQFZWJMSW-LLVKDONJSA-N 0 0 287.319 2.840 20 5 CFBDRN COc1cc(NCc2c(C)nn(C)c2C)ccc1[N+](=O)[O-] ZINC000090794723 375030132 /nfs/dbraw/zinc/03/01/32/375030132.db2.gz MULLXFYEXJPXBT-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCC[C@@H]2O)c1 ZINC000090794875 375030465 /nfs/dbraw/zinc/03/04/65/375030465.db2.gz GURITGLILOSWSK-HZMBPMFUSA-N 0 0 280.324 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000090906171 375035416 /nfs/dbraw/zinc/03/54/16/375035416.db2.gz DQCDRLXGYVDEML-TXEJJXNPSA-N 0 0 282.727 2.611 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccncc1Cl ZINC000091450308 375051550 /nfs/dbraw/zinc/05/15/50/375051550.db2.gz WSMRHIRVZBTLSF-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H](C)c1cccnc1 ZINC000091549305 375053598 /nfs/dbraw/zinc/05/35/98/375053598.db2.gz DEKFOMINIROECG-VIFPVBQESA-N 0 0 275.312 2.688 20 5 CFBDRN C[C@@H]1CC(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C[C@@H](C)O1 ZINC000091847346 375066657 /nfs/dbraw/zinc/06/66/57/375066657.db2.gz QRFIKUWSKADMBI-GHMZBOCLSA-N 0 0 292.335 2.761 20 5 CFBDRN CC1(C)CCN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493866103 246731155 /nfs/dbraw/zinc/73/11/55/246731155.db2.gz AREXSZGBSNHYGF-YVMONPNESA-N 0 0 260.293 2.619 20 5 CFBDRN Cc1ccc(CN(C)[C@H](C)C(=O)OC(C)C)cc1[N+](=O)[O-] ZINC000093773025 375310531 /nfs/dbraw/zinc/31/05/31/375310531.db2.gz GNEGHDRFGXZRAT-GFCCVEGCSA-N 0 0 294.351 2.675 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ncc(Cl)cn2)c1 ZINC000093537619 375265878 /nfs/dbraw/zinc/26/58/78/375265878.db2.gz QOIHPMVCCMZGNB-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN C[C@H](CCCO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000094944634 375392364 /nfs/dbraw/zinc/39/23/64/375392364.db2.gz YBGBCQGEVAFQFQ-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CCCNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000093901423 375329682 /nfs/dbraw/zinc/32/96/82/375329682.db2.gz DHOQBZXRGSRTAR-UHFFFAOYSA-N 0 0 250.298 2.660 20 5 CFBDRN CCOc1cc(NCc2ccon2)ccc1[N+](=O)[O-] ZINC000094195460 375344259 /nfs/dbraw/zinc/34/42/59/375344259.db2.gz YXGHSFMTTPOZNK-UHFFFAOYSA-N 0 0 263.253 2.594 20 5 CFBDRN O=C(CSc1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000094614555 375370077 /nfs/dbraw/zinc/37/00/77/375370077.db2.gz GDBMYEYOCOPWOV-UHFFFAOYSA-N 0 0 274.301 2.965 20 5 CFBDRN Cc1cccnc1CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000094771925 375377818 /nfs/dbraw/zinc/37/78/18/375377818.db2.gz GLQGNLINFASHDQ-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN Cc1ccc(NC[C@@]2(C)CCCO2)c([N+](=O)[O-])c1 ZINC000094804762 375380984 /nfs/dbraw/zinc/38/09/84/375380984.db2.gz OMXRRAAELLVGCU-CYBMUJFWSA-N 0 0 250.298 2.884 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000094810693 375382814 /nfs/dbraw/zinc/38/28/14/375382814.db2.gz WEVUEAIHPRKNBT-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN O=C(NCCCO)c1cc2ccccc2c2cccnc12 ZINC000097367461 375552174 /nfs/dbraw/zinc/55/21/74/375552174.db2.gz GFTYORJWXCDESC-UHFFFAOYSA-N 0 0 280.327 2.500 20 5 CFBDRN CC(C)CC[C@](C)(O)CNc1ncccc1[N+](=O)[O-] ZINC000097596939 375570176 /nfs/dbraw/zinc/57/01/76/375570176.db2.gz AYCPGSFXDPRHSS-ZDUSSCGKSA-N 0 0 267.329 2.589 20 5 CFBDRN CC[C@]1(C)OC(=O)N(Cc2cccc([N+](=O)[O-])c2C)C1=O ZINC000497261953 247065263 /nfs/dbraw/zinc/06/52/63/247065263.db2.gz OMQMLABOVZXHBA-AWEZNQCLSA-N 0 0 292.291 2.551 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(F)cc2Cl)nc1 ZINC000500427779 247095347 /nfs/dbraw/zinc/09/53/47/247095347.db2.gz SEUZOWGNXGKTOL-UHFFFAOYSA-N 0 0 295.701 2.859 20 5 CFBDRN C[C@@H](F)CCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000505570612 247142440 /nfs/dbraw/zinc/14/24/40/247142440.db2.gz MWGRCMQLFWFODY-SECBINFHSA-N 0 0 264.260 2.601 20 5 CFBDRN CCn1c(C)nnc1SCCc1ccc([N+](=O)[O-])cc1 ZINC000102205733 375667094 /nfs/dbraw/zinc/66/70/94/375667094.db2.gz KIVCDOKMKKNAGK-UHFFFAOYSA-N 0 0 292.364 2.849 20 5 CFBDRN CC[C@H](C)CCC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000505393762 247136829 /nfs/dbraw/zinc/13/68/29/247136829.db2.gz DNBGHTWVKNBBOB-LBPRGKRZSA-N 0 0 293.367 2.949 20 5 CFBDRN COCCCCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000103375462 375736773 /nfs/dbraw/zinc/73/67/73/375736773.db2.gz SFDBMYDBZGUCIO-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN CC(C)[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000513345099 247220705 /nfs/dbraw/zinc/22/07/05/247220705.db2.gz GSAVSBIMCKONLJ-GFCCVEGCSA-N 0 0 293.367 2.832 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)Nc1ccncc1 ZINC000103303773 375730061 /nfs/dbraw/zinc/73/00/61/375730061.db2.gz ITZDNYGWASKQCT-PLNGDYQASA-N 0 0 269.260 2.642 20 5 CFBDRN CC[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000111422091 375846903 /nfs/dbraw/zinc/84/69/03/375846903.db2.gz VARTYNBQZLGJLF-GXFFZTMASA-N 0 0 293.323 2.626 20 5 CFBDRN O=C(OC1CCCC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000111609289 375858690 /nfs/dbraw/zinc/85/86/90/375858690.db2.gz KEUILYBDZXXXAJ-UHFFFAOYSA-N 0 0 271.219 2.972 20 5 CFBDRN CCN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000112182246 375888132 /nfs/dbraw/zinc/88/81/32/375888132.db2.gz MNZXSCBOAHSHAE-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CCN(CC1CC1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000112180982 375889136 /nfs/dbraw/zinc/88/91/36/375889136.db2.gz INELAMURJIXCTN-JXMROGBWSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H](C)C[C@@H]1C ZINC000112835854 375968531 /nfs/dbraw/zinc/96/85/31/375968531.db2.gz ZMYAJKCZLUWXSJ-PKFCDNJMSA-N 0 0 291.351 2.853 20 5 CFBDRN C[C@H]1CCC[C@@H](CCNc2c([N+](=O)[O-])ncn2C)C1 ZINC000112400942 375918744 /nfs/dbraw/zinc/91/87/44/375918744.db2.gz ZCMSZQAQNTWEDK-QWRGUYRKSA-N 0 0 266.345 2.957 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)CC1CC1 ZINC000112608950 375937164 /nfs/dbraw/zinc/93/71/64/375937164.db2.gz UHCOZMQSKCTQCA-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN COc1ccc(C(=O)Nc2ccsc2)cc1[N+](=O)[O-] ZINC000112650995 375940773 /nfs/dbraw/zinc/94/07/73/375940773.db2.gz QDFOFVGCYSWQKR-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC(C)(C)C1 ZINC000112695716 375946465 /nfs/dbraw/zinc/94/64/65/375946465.db2.gz DNSYTEUXINKMPF-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN CC(C)OCCn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000113775220 376034702 /nfs/dbraw/zinc/03/47/02/376034702.db2.gz QXQAWXUDMDKJSM-UHFFFAOYSA-N 0 0 275.308 2.883 20 5 CFBDRN CC(C)C[C@@H]1COCCN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000113942674 376042467 /nfs/dbraw/zinc/04/24/67/376042467.db2.gz OHWZXUSCQZZEKM-GFCCVEGCSA-N 0 0 296.342 2.981 20 5 CFBDRN CCc1noc([C@@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000114748845 376086818 /nfs/dbraw/zinc/08/68/18/376086818.db2.gz IUPSSZJNUHWCGV-NXEZZACHSA-N 0 0 290.323 2.952 20 5 CFBDRN COC(=O)C[C@@H](C)SCc1csc([N+](=O)[O-])c1 ZINC000115191598 376114934 /nfs/dbraw/zinc/11/49/34/376114934.db2.gz PYOWZVCKPJJXQK-SSDOTTSWSA-N 0 0 275.351 2.841 20 5 CFBDRN Cc1nn(C)c(N[C@H](C)c2cccc(O)c2)c1[N+](=O)[O-] ZINC000114709235 376082750 /nfs/dbraw/zinc/08/27/50/376082750.db2.gz RCZWWFLWFFXCAB-MRVPVSSYSA-N 0 0 276.296 2.515 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CC1CCOCC1 ZINC000117124635 376221055 /nfs/dbraw/zinc/22/10/55/376221055.db2.gz HHJJVIFCQQCVTL-UHFFFAOYSA-N 0 0 292.335 2.967 20 5 CFBDRN O=[N+]([O-])c1cccc(Cn2cnc(-c3ccccc3)n2)c1 ZINC000117555712 376247285 /nfs/dbraw/zinc/24/72/85/376247285.db2.gz UEOYNLFCQXGHTJ-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN Cc1cc(Cn2cnc([N+](=O)[O-])c2)c2ccccc2n1 ZINC000117550502 376247840 /nfs/dbraw/zinc/24/78/40/376247840.db2.gz KEUKOOWUCPOZFQ-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN O=C(Nc1cccc(-c2ncc[nH]2)c1)c1ccc([N+](=O)[O-])o1 ZINC000118642316 376305407 /nfs/dbraw/zinc/30/54/07/376305407.db2.gz PWAGSWJZCALGPV-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN CC[C@@H](C)OCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000119389851 376406858 /nfs/dbraw/zinc/40/68/58/376406858.db2.gz PVCUKPZATFBABC-LLVKDONJSA-N 0 0 294.351 2.761 20 5 CFBDRN COc1cc(NCCc2ccco2)c(F)cc1[N+](=O)[O-] ZINC000119127641 376359289 /nfs/dbraw/zinc/35/92/89/376359289.db2.gz UPECIIVOJVYWQT-UHFFFAOYSA-N 0 0 280.255 2.990 20 5 CFBDRN CC(C)C[C@H]1CCC[N@H+](CCn2ccc([N+](=O)[O-])n2)C1 ZINC000119283855 376385169 /nfs/dbraw/zinc/38/51/69/376385169.db2.gz PTGXCLBWVJRKCR-CYBMUJFWSA-N 0 0 280.372 2.549 20 5 CFBDRN Cc1n[nH]cc1NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000120251716 376577628 /nfs/dbraw/zinc/57/76/28/376577628.db2.gz XNUXUNUWTISSAJ-UHFFFAOYSA-N 0 0 289.295 2.887 20 5 CFBDRN CN(C(=O)NCCCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000120878138 376711933 /nfs/dbraw/zinc/71/19/33/376711933.db2.gz WBSIJFFMVACVRQ-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@H](C2CC2)C1 ZINC000336370130 284599165 /nfs/dbraw/zinc/59/91/65/284599165.db2.gz BXMRHWXGBAOODM-NSHDSACASA-N 0 0 299.330 2.948 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000121305893 376800985 /nfs/dbraw/zinc/80/09/85/376800985.db2.gz DNWAHWFQMNZZHQ-SECBINFHSA-N 0 0 268.288 2.898 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000121349564 376810916 /nfs/dbraw/zinc/81/09/16/376810916.db2.gz PVKVXTVTOIWDIZ-SECBINFHSA-N 0 0 268.288 2.898 20 5 CFBDRN CC(=O)c1ccc(OCc2ccccn2)c([N+](=O)[O-])c1 ZINC000121354943 376812749 /nfs/dbraw/zinc/81/27/49/376812749.db2.gz FAXLETFEEXKREO-UHFFFAOYSA-N 0 0 272.260 2.771 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000121623081 376870305 /nfs/dbraw/zinc/87/03/05/376870305.db2.gz RIIYMQKPLVBOTM-GFCCVEGCSA-N 0 0 292.335 2.622 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NCc1ccccc1 ZINC000121672980 376881527 /nfs/dbraw/zinc/88/15/27/376881527.db2.gz SOCPJSCLZKYRLK-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000121692757 376884436 /nfs/dbraw/zinc/88/44/36/376884436.db2.gz BEILGQOQSGGOGS-UHFFFAOYSA-N 0 0 262.309 2.998 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000121709520 376889608 /nfs/dbraw/zinc/88/96/08/376889608.db2.gz KBIXFFKBPOEDDH-SNVBAGLBSA-N 0 0 250.298 2.759 20 5 CFBDRN CCc1ccc(C(=O)NCc2cncc(C)c2)cc1[N+](=O)[O-] ZINC000124154348 377022088 /nfs/dbraw/zinc/02/20/88/377022088.db2.gz AZYDGNONVAXOEC-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CN(CCc1ccccn1)Cc1c(F)cccc1[N+](=O)[O-] ZINC000124380151 377044043 /nfs/dbraw/zinc/04/40/43/377044043.db2.gz DYRBSHCCMWBSOH-UHFFFAOYSA-N 0 0 289.310 2.803 20 5 CFBDRN Cc1ccccc1CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124540342 377059974 /nfs/dbraw/zinc/05/99/74/377059974.db2.gz BKYZIXSXGCBJRB-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1Cc2ccccc2C1 ZINC000105981668 296254836 /nfs/dbraw/zinc/25/48/36/296254836.db2.gz NSJJXGAXAIRAOR-UHFFFAOYSA-N 0 0 268.272 2.751 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCCO3)n2)s1 ZINC000278150654 193845165 /nfs/dbraw/zinc/84/51/65/193845165.db2.gz MCPJYCZUTZQFAA-ZETCQYMHSA-N 0 0 281.293 2.948 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])nc2)CCCS1 ZINC000126416441 377226033 /nfs/dbraw/zinc/22/60/33/377226033.db2.gz JRSJISOIRGPHOM-NSHDSACASA-N 0 0 253.327 2.687 20 5 CFBDRN CCC[C@@H](C)C(=O)NCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278146916 193844186 /nfs/dbraw/zinc/84/41/86/193844186.db2.gz NZXHDHSJEGBRDI-SECBINFHSA-N 0 0 290.323 2.524 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000129069977 377379714 /nfs/dbraw/zinc/37/97/14/377379714.db2.gz CKVQWFFJMKYMBJ-QWHCGFSZSA-N 0 0 287.319 2.714 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000129107299 377386461 /nfs/dbraw/zinc/38/64/61/377386461.db2.gz YVEPKDFRNJDQEF-CHWSQXEVSA-N 0 0 287.319 2.714 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2C)C1 ZINC000129415101 377423060 /nfs/dbraw/zinc/42/30/60/377423060.db2.gz PDABHOWVMJBJDK-GFCCVEGCSA-N 0 0 276.336 2.704 20 5 CFBDRN O=C(C1CCC1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129608955 377451407 /nfs/dbraw/zinc/45/14/07/377451407.db2.gz SRNBKTYFXZRPOS-UHFFFAOYSA-N 0 0 274.320 2.886 20 5 CFBDRN CC1(C)[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C[C@@H]1O ZINC000129257636 377405271 /nfs/dbraw/zinc/40/52/71/377405271.db2.gz WQDWQBIFLYNGOL-MNOVXSKESA-N 0 0 270.716 2.820 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCC2CCOCC2)n1 ZINC000129669504 377458325 /nfs/dbraw/zinc/45/83/25/377458325.db2.gz PJJXQRNRTRDNPY-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1c(CC(=O)N2C[C@@H]3CCC[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000129695863 377462464 /nfs/dbraw/zinc/46/24/64/377462464.db2.gz AMIFKNXWYBDIRZ-OKILXGFUSA-N 0 0 288.347 2.704 20 5 CFBDRN CCO[C@@H](C)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129716687 377465057 /nfs/dbraw/zinc/46/50/57/377465057.db2.gz DNJJYDPUQZQCAO-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H](CO)c1ccccc1 ZINC000129784788 377472029 /nfs/dbraw/zinc/47/20/29/377472029.db2.gz SOVVRIODZILQQU-NSHDSACASA-N 0 0 293.710 2.789 20 5 CFBDRN COCCSCCCOc1ccc([N+](=O)[O-])cc1C=O ZINC000278175738 193852484 /nfs/dbraw/zinc/85/24/84/193852484.db2.gz BXWHIYGEARDQRV-UHFFFAOYSA-N 0 0 299.348 2.556 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000130338715 377540650 /nfs/dbraw/zinc/54/06/50/377540650.db2.gz PTIZJKYLGOVUIU-JGVFFNPUSA-N 0 0 258.705 2.820 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2ccc(CO)cc2)nc1 ZINC000130318286 377536533 /nfs/dbraw/zinc/53/65/33/377536533.db2.gz ZKENTXXPHGCQAL-UHFFFAOYSA-N 0 0 262.290 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC3(C2)OCCO3)c(Cl)c1 ZINC000131082631 377654448 /nfs/dbraw/zinc/65/44/48/377654448.db2.gz OTQNMITXYOLNSG-UHFFFAOYSA-N 0 0 298.726 2.592 20 5 CFBDRN CC(=O)Nc1cccc(COc2cccnc2[N+](=O)[O-])c1 ZINC000131322768 377695861 /nfs/dbraw/zinc/69/58/61/377695861.db2.gz PJHVRMNPHCWQPV-UHFFFAOYSA-N 0 0 287.275 2.527 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCc1cccnc1 ZINC000131353982 377700017 /nfs/dbraw/zinc/70/00/17/377700017.db2.gz PCRUWGAERISJDH-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000131389143 377703983 /nfs/dbraw/zinc/70/39/83/377703983.db2.gz DILISDOMFYULSZ-LLVKDONJSA-N 0 0 262.309 2.775 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000131476192 377717019 /nfs/dbraw/zinc/71/70/19/377717019.db2.gz SPUXPWXEGDEJHY-WDEREUQCSA-N 0 0 262.309 2.713 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1cccnc1 ZINC000131718338 377752415 /nfs/dbraw/zinc/75/24/15/377752415.db2.gz ZQKFFPCWVBUQMA-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cccc(-n2ccnn2)c1 ZINC000132386715 377833346 /nfs/dbraw/zinc/83/33/46/377833346.db2.gz ZMGBRUASZFVKAR-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CCCS1 ZINC000132404452 377835347 /nfs/dbraw/zinc/83/53/47/377835347.db2.gz NPSTYAMKDFRJSA-SNVBAGLBSA-N 0 0 271.269 2.535 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000132947447 377889488 /nfs/dbraw/zinc/88/94/88/377889488.db2.gz RFVNJCMFMWTEGW-VXGBXAGGSA-N 0 0 276.336 2.642 20 5 CFBDRN CCC[C@H]1CN(Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000132455062 377842921 /nfs/dbraw/zinc/84/29/21/377842921.db2.gz UZLZMSMRPRVJEQ-ZDUSSCGKSA-N 0 0 264.325 2.596 20 5 CFBDRN CSCCCNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000132595412 377856880 /nfs/dbraw/zinc/85/68/80/377856880.db2.gz SKTRTADNIHXPBM-SNVBAGLBSA-N 0 0 297.380 2.708 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccnn1C(C)C ZINC000133615021 377943788 /nfs/dbraw/zinc/94/37/88/377943788.db2.gz JHAHSCZAMOLTIX-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN Cc1csc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)n1 ZINC000133079508 377901646 /nfs/dbraw/zinc/90/16/46/377901646.db2.gz HSTLNOCRZJIKJQ-UHFFFAOYSA-N 0 0 295.295 2.680 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ccc([N+](=O)[O-])c(C)n2)cc1 ZINC000133095946 377903094 /nfs/dbraw/zinc/90/30/94/377903094.db2.gz ZQFSFZDWWQVCJD-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN CC[C@H](C)C(=O)COc1ccc([N+](=O)[O-])cc1OC ZINC000133132729 377906417 /nfs/dbraw/zinc/90/64/17/377906417.db2.gz DRYUJPBRZDCQNH-VIFPVBQESA-N 0 0 267.281 2.597 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@H]1CCCO1 ZINC000133163526 377911069 /nfs/dbraw/zinc/91/10/69/377911069.db2.gz YJOTUCXXOUUHNS-GXTWGEPZSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000134368250 378005979 /nfs/dbraw/zinc/00/59/79/378005979.db2.gz SLPKCYRCFCCONR-KCJUWKMLSA-N 0 0 294.282 2.678 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000134368376 378006018 /nfs/dbraw/zinc/00/60/18/378006018.db2.gz FEKFQBQOXSOJIG-LDYMZIIASA-N 0 0 294.282 2.678 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCSCC2)c([N+](=O)[O-])c1 ZINC000133974323 377972712 /nfs/dbraw/zinc/97/27/12/377972712.db2.gz AEEPZTIGTUAHBV-UHFFFAOYSA-N 0 0 283.309 2.811 20 5 CFBDRN Cc1nc(NC[C@H](O)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000134176788 377989339 /nfs/dbraw/zinc/98/93/39/377989339.db2.gz PNTGKUIYHGOQFM-ZDUSSCGKSA-N 0 0 279.340 2.651 20 5 CFBDRN COc1cccc(NCCCSC)c1[N+](=O)[O-] ZINC000134920107 378064035 /nfs/dbraw/zinc/06/40/35/378064035.db2.gz WSWKQBOXLRTCFR-UHFFFAOYSA-N 0 0 256.327 2.768 20 5 CFBDRN COc1cccc(NCc2cccc(CO)c2)c1[N+](=O)[O-] ZINC000135063379 378079396 /nfs/dbraw/zinc/07/93/96/378079396.db2.gz BIRHNXNGRKYTBN-UHFFFAOYSA-N 0 0 288.303 2.708 20 5 CFBDRN CC(C)(C)CCNC(=O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000135367274 378125011 /nfs/dbraw/zinc/12/50/11/378125011.db2.gz VXRRWFMNPOTIPL-UHFFFAOYSA-N 0 0 298.314 2.665 20 5 CFBDRN CC1CC(Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000135567553 378144714 /nfs/dbraw/zinc/14/47/14/378144714.db2.gz CMWUVSRJZSGIMZ-UHFFFAOYSA-N 0 0 275.308 2.690 20 5 CFBDRN CC[C@]1(C)CN(Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000135590337 378146524 /nfs/dbraw/zinc/14/65/24/378146524.db2.gz HUPLDILHORWKKV-CQSZACIVSA-N 0 0 264.325 2.596 20 5 CFBDRN CSc1ccc(C(=O)NOC2CCCC2)cc1[N+](=O)[O-] ZINC000135142990 378089584 /nfs/dbraw/zinc/08/95/84/378089584.db2.gz AYVPWHVIPAANTI-UHFFFAOYSA-N 0 0 296.348 2.921 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000264320595 383725841 /nfs/dbraw/zinc/72/58/41/383725841.db2.gz UBVMUGNCOOGWFF-GXFFZTMASA-N 0 0 277.324 2.583 20 5 CFBDRN CN(C(=O)c1n[nH]cc1[N+](=O)[O-])C1CCCCCCC1 ZINC000136760611 378208613 /nfs/dbraw/zinc/20/86/13/378208613.db2.gz KQTAJDLXAOUZOB-UHFFFAOYSA-N 0 0 280.328 2.503 20 5 CFBDRN CC[C@@]1(C)CN(Cc2cccc([N+](=O)[O-])c2C)CCO1 ZINC000135709720 378160187 /nfs/dbraw/zinc/16/01/87/378160187.db2.gz ZJPCTYWIMLYAPJ-HNNXBMFYSA-N 0 0 278.352 2.904 20 5 CFBDRN CCOc1cc(N[C@H](CC)CCO)ccc1[N+](=O)[O-] ZINC000135771690 378162978 /nfs/dbraw/zinc/16/29/78/378162978.db2.gz WAMAWPAOKWUQIP-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000135801748 378163741 /nfs/dbraw/zinc/16/37/41/378163741.db2.gz HYBOXNAGFQHPIC-YUMQZZPRSA-N 0 0 268.700 2.634 20 5 CFBDRN CC1(C)CCCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000137280711 378222262 /nfs/dbraw/zinc/22/22/62/378222262.db2.gz QBXURGNXNKZGCC-GFCCVEGCSA-N 0 0 291.351 2.876 20 5 CFBDRN CCOc1cc(N[C@H]2CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000150040810 378267200 /nfs/dbraw/zinc/26/72/00/378267200.db2.gz ZKPRIYCFHFGMSB-KOLCDFICSA-N 0 0 266.297 2.583 20 5 CFBDRN CCc1cnc(CNc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000150934107 378281303 /nfs/dbraw/zinc/28/13/03/378281303.db2.gz HSWMSAKGJBLFAE-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN CN(Cc1cccnc1)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000151101673 378293793 /nfs/dbraw/zinc/29/37/93/378293793.db2.gz HKSQQGMYHNSFRZ-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN CCC1(CC)[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C[C@@H]1OC ZINC000151841616 378364345 /nfs/dbraw/zinc/36/43/45/378364345.db2.gz XVECYOBAUCANDT-QWRGUYRKSA-N 0 0 296.323 2.511 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1C=CCCC1 ZINC000152506908 378422224 /nfs/dbraw/zinc/42/22/24/378422224.db2.gz KOASFNQVLSWVIS-SNVBAGLBSA-N 0 0 261.281 2.825 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCn1cccc1 ZINC000153908880 378523164 /nfs/dbraw/zinc/52/31/64/378523164.db2.gz LFJTVEKDCYMASG-UHFFFAOYSA-N 0 0 266.688 2.557 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H]1CCC[C@H](O)C1 ZINC000153827608 378514353 /nfs/dbraw/zinc/51/43/53/378514353.db2.gz LRSRSTRNSGTQKJ-WPRPVWTQSA-N 0 0 285.731 2.606 20 5 CFBDRN C[C@]1(CO)CCC[C@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153841268 378516085 /nfs/dbraw/zinc/51/60/85/378516085.db2.gz OWPVXKKFPBXOGK-ZYHUDNBSSA-N 0 0 285.731 2.606 20 5 CFBDRN C[C@H]1CCC[C@H](CCn2cccc([N+](=O)[O-])c2=O)C1 ZINC000330431386 378553390 /nfs/dbraw/zinc/55/33/90/378553390.db2.gz IWLDUNXJMYHYJA-NWDGAFQWSA-N 0 0 264.325 2.973 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000330452140 378559372 /nfs/dbraw/zinc/55/93/72/378559372.db2.gz KIYAVLXGEOAEEM-AAEUAGOBSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC1(O)CCCCC1 ZINC000154452233 378567324 /nfs/dbraw/zinc/56/73/24/378567324.db2.gz ZERQVBXNNMHQNW-UHFFFAOYSA-N 0 0 292.335 2.927 20 5 CFBDRN CC[C@H]1CCCN1CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC000330511208 378574906 /nfs/dbraw/zinc/57/49/06/378574906.db2.gz JTJSWFMJXMCKPG-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000330934646 378597793 /nfs/dbraw/zinc/59/77/93/378597793.db2.gz JSBRIFAVZFROLR-KOLCDFICSA-N 0 0 277.324 2.559 20 5 CFBDRN C[C@H]1CN(C(=O)COc2ccccc2[N+](=O)[O-])C(C)(C)C1 ZINC000330975268 378607161 /nfs/dbraw/zinc/60/71/61/378607161.db2.gz LNXSLYUZELTMHV-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN COc1cc(C(=O)N2C[C@@H](C)C[C@H]2C)cc([N+](=O)[O-])c1C ZINC000331070162 378624811 /nfs/dbraw/zinc/62/48/11/378624811.db2.gz CDWIFSJLVFKOPO-VHSXEESVSA-N 0 0 292.335 2.782 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CO[C@@H](C)C1 ZINC000331268524 378661344 /nfs/dbraw/zinc/66/13/44/378661344.db2.gz SDBPYBBTXXDDIH-ONGXEEELSA-N 0 0 278.308 2.575 20 5 CFBDRN C[C@@H](O)[C@@H]1C[C@H](C)CCN1Cc1csc([N+](=O)[O-])c1 ZINC000331280713 378662730 /nfs/dbraw/zinc/66/27/30/378662730.db2.gz IENSNNQDXICSKR-FOGDFJRCSA-N 0 0 284.381 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2CCCC[C@H]2O)nc1 ZINC000331316560 378668100 /nfs/dbraw/zinc/66/81/00/378668100.db2.gz DCLDEAHSRVBVRR-MWLCHTKSSA-N 0 0 268.338 2.633 20 5 CFBDRN C[C@@]1(NC(=O)c2ccc([N+](=O)[O-])cc2)CC=CCC1 ZINC000331335810 378672092 /nfs/dbraw/zinc/67/20/92/378672092.db2.gz IVEKCMRGBRZDIS-CQSZACIVSA-N 0 0 260.293 2.823 20 5 CFBDRN Cc1cc(OCC(=O)N2C[C@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000331182624 378645432 /nfs/dbraw/zinc/64/54/32/378645432.db2.gz HQXVGXKYPRTYAF-ZYHUDNBSSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000331174555 378645613 /nfs/dbraw/zinc/64/56/13/378645613.db2.gz GIUPHHAHRZYESQ-GHMZBOCLSA-N 0 0 294.355 2.510 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000331190105 378646925 /nfs/dbraw/zinc/64/69/25/378646925.db2.gz JXWHRRKDOIDADO-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC1(C2CC2)CC1 ZINC000159879967 378736058 /nfs/dbraw/zinc/73/60/58/378736058.db2.gz ZPPWYIYDFMHXNN-UHFFFAOYSA-N 0 0 274.320 2.823 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278439932 193985801 /nfs/dbraw/zinc/98/58/01/193985801.db2.gz KGQXJFAXATZLAH-WCQYABFASA-N 0 0 290.323 2.841 20 5 CFBDRN CCC(CC)SCCn1cc([N+](=O)[O-])ccc1=O ZINC000160117756 378750743 /nfs/dbraw/zinc/75/07/43/378750743.db2.gz AIZGGCZYEVFCAC-UHFFFAOYSA-N 0 0 270.354 2.678 20 5 CFBDRN CC[C@H](C)OCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000159333195 378702195 /nfs/dbraw/zinc/70/21/95/378702195.db2.gz VXFJGSGTEJLTHK-WDEREUQCSA-N 0 0 280.324 2.587 20 5 CFBDRN CCOc1cc(NCC2(CO)CCCC2)ccc1[N+](=O)[O-] ZINC000160202283 378756473 /nfs/dbraw/zinc/75/64/73/378756473.db2.gz SDEJMABRCABLCT-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000159775972 378728881 /nfs/dbraw/zinc/72/88/81/378728881.db2.gz ILAMTWFSCJEEQW-UHFFFAOYSA-N 0 0 260.293 2.515 20 5 CFBDRN COc1c(C(=O)N(C)[C@H](C)C2(C)CC2)cccc1[N+](=O)[O-] ZINC000332147201 378729270 /nfs/dbraw/zinc/72/92/70/378729270.db2.gz NUJJOQWUZOCMKX-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN COC1([C@@H](C)NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCC1 ZINC000332473198 378767520 /nfs/dbraw/zinc/76/75/20/378767520.db2.gz NTVVTCMQFWURPN-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cccc(CN2CCc3ncc([N+](=O)[O-])cc3C2)c1 ZINC000332504895 378771453 /nfs/dbraw/zinc/77/14/53/378771453.db2.gz FMBXONRRDVVNHX-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(C)CC1 ZINC000160526400 378779372 /nfs/dbraw/zinc/77/93/72/378779372.db2.gz YVTVKUYKRIQWJJ-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CC(C)[C@H](C)CC(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332741028 378797490 /nfs/dbraw/zinc/79/74/90/378797490.db2.gz ZDUTWSMFQVQBGP-LLVKDONJSA-N 0 0 291.351 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@@H]1CCCC[C@H]1O)CCN2 ZINC000278481211 194003780 /nfs/dbraw/zinc/00/37/80/194003780.db2.gz VMWQEDQXCDPBMV-IINYFYTJSA-N 0 0 291.351 2.526 20 5 CFBDRN Cc1ncc(CNc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000161534406 378854885 /nfs/dbraw/zinc/85/48/85/378854885.db2.gz KGYQBZBGMSVHJK-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000161571797 378856199 /nfs/dbraw/zinc/85/61/99/378856199.db2.gz SXWLOEZETYKXKI-VIFPVBQESA-N 0 0 253.327 2.606 20 5 CFBDRN Cc1c(CN2CCC[C@@H]2c2cc[nH]n2)cccc1[N+](=O)[O-] ZINC000278531383 194030414 /nfs/dbraw/zinc/03/04/14/194030414.db2.gz FDFHHNANRPOYIS-OAHLLOKOSA-N 0 0 286.335 2.963 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2cc([N+](=O)[O-])cn2C)c1C ZINC000334195111 378908785 /nfs/dbraw/zinc/90/87/85/378908785.db2.gz JKHFOIQUARUJGD-UHFFFAOYSA-N 0 0 287.319 2.827 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000335194442 296284190 /nfs/dbraw/zinc/28/41/90/296284190.db2.gz FIUUACQYHDDSQU-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN CC1CN(C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)C1 ZINC000334201533 378917254 /nfs/dbraw/zinc/91/72/54/378917254.db2.gz RGBVKFXWAQNFPR-UHFFFAOYSA-N 0 0 288.225 2.706 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000334241254 378969307 /nfs/dbraw/zinc/96/93/07/378969307.db2.gz NDVOCFABAPDAAI-SECBINFHSA-N 0 0 297.742 2.800 20 5 CFBDRN CC[C@@H](CSC)[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000163867731 378993528 /nfs/dbraw/zinc/99/35/28/378993528.db2.gz SWXNQMJKOGDUSM-NSHDSACASA-N 0 0 254.355 2.826 20 5 CFBDRN C[C@H]1OCC[C@@H]1SCCOc1ccc([N+](=O)[O-])cc1 ZINC000163608930 378983027 /nfs/dbraw/zinc/98/30/27/378983027.db2.gz KCKHETKQBJQMKV-MFKMUULPSA-N 0 0 283.349 2.884 20 5 CFBDRN COC(C)(C)CC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000278639209 194079038 /nfs/dbraw/zinc/07/90/38/194079038.db2.gz YYCSUEOQPLLAOX-UHFFFAOYSA-N 0 0 280.324 2.965 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000334276020 379019653 /nfs/dbraw/zinc/01/96/53/379019653.db2.gz NEVHYKVBXGCJGS-PSASIEDQSA-N 0 0 263.297 2.823 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000164267595 379011765 /nfs/dbraw/zinc/01/17/65/379011765.db2.gz BXYIAFCTUNIJOP-SNVBAGLBSA-N 0 0 264.325 2.703 20 5 CFBDRN COCC(C)(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000165074458 379031670 /nfs/dbraw/zinc/03/16/70/379031670.db2.gz JKCFNANDSNRUAU-UHFFFAOYSA-N 0 0 266.297 2.514 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCC(C)(C)O1)c1ccccc1[N+](=O)[O-] ZINC000278732001 194128213 /nfs/dbraw/zinc/12/82/13/194128213.db2.gz KYZXRQKJFJCMOT-GWCFXTLKSA-N 0 0 292.335 2.730 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1CC[C@H](F)C1 ZINC000335344458 379128281 /nfs/dbraw/zinc/12/82/81/379128281.db2.gz ASTRKTNPFJJXLK-YUMQZZPRSA-N 0 0 285.250 2.746 20 5 CFBDRN CC(C)(C)SCCSc1ncc([N+](=O)[O-])c(N)n1 ZINC000278766961 194145431 /nfs/dbraw/zinc/14/54/31/194145431.db2.gz KQZRIVSKHVZXIT-UHFFFAOYSA-N 0 0 288.398 2.591 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@@H]2C(C)C)c1[N+](=O)[O-] ZINC000334356322 379148480 /nfs/dbraw/zinc/14/84/80/379148480.db2.gz NBRUPRXPVDDIHU-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000334368091 379169590 /nfs/dbraw/zinc/16/95/90/379169590.db2.gz RYEZWDDZVYVWJY-SECBINFHSA-N 0 0 254.311 2.527 20 5 CFBDRN Cc1ccc(OCc2ncnn2C(C)C)c([N+](=O)[O-])c1 ZINC000278794861 194158132 /nfs/dbraw/zinc/15/81/32/194158132.db2.gz VJCDUBJCIOKMJT-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN COCCSCCCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000278804657 194161586 /nfs/dbraw/zinc/16/15/86/194161586.db2.gz YEXYQUOHGRBXKM-UHFFFAOYSA-N 0 0 299.348 2.556 20 5 CFBDRN CC(C)c1noc(COc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000171150186 379243949 /nfs/dbraw/zinc/24/39/49/379243949.db2.gz AXEBGHVIGMKAIC-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000335430323 379283372 /nfs/dbraw/zinc/28/33/72/379283372.db2.gz FCVGAJHIWSPYAQ-CQSZACIVSA-N 0 0 262.309 2.857 20 5 CFBDRN CSC1(CNc2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000278931944 194217186 /nfs/dbraw/zinc/21/71/86/194217186.db2.gz VDYUFNMUIADLGD-UHFFFAOYSA-N 0 0 271.317 2.624 20 5 CFBDRN COc1ccsc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000171657719 379363928 /nfs/dbraw/zinc/36/39/28/379363928.db2.gz WWCFRGILBDTNMR-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)C(C)C ZINC000171912364 379434229 /nfs/dbraw/zinc/43/42/29/379434229.db2.gz DZRJMSRQZTYSJN-SECBINFHSA-N 0 0 266.297 2.834 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000171846774 379420603 /nfs/dbraw/zinc/42/06/03/379420603.db2.gz CDFDZZPQZXKNGX-SECBINFHSA-N 0 0 263.297 2.905 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000171877270 379427971 /nfs/dbraw/zinc/42/79/71/379427971.db2.gz CNTGCBBFEWTLNH-SNVBAGLBSA-N 0 0 280.324 2.722 20 5 CFBDRN CCCCOCCCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000278988166 194242820 /nfs/dbraw/zinc/24/28/20/194242820.db2.gz DVBHXIFIIQRVEM-UHFFFAOYSA-N 0 0 294.351 2.840 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@H]21 ZINC000336111288 296297815 /nfs/dbraw/zinc/29/78/15/296297815.db2.gz VJXDODMHKIRTIK-PSASIEDQSA-N 0 0 266.322 2.671 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)C2CCCC2)ccc1[N+](=O)[O-] ZINC000172099431 379492723 /nfs/dbraw/zinc/49/27/23/379492723.db2.gz WGXMCERRNWKOFB-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC12CCCC2 ZINC000335572722 379533166 /nfs/dbraw/zinc/53/31/66/379533166.db2.gz DIUZDPJJAUERGN-ZDUSSCGKSA-N 0 0 291.351 2.964 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H](C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000172372300 379551405 /nfs/dbraw/zinc/55/14/05/379551405.db2.gz HPVVMPBLOHDPSL-VIFPVBQESA-N 0 0 296.371 2.825 20 5 CFBDRN CC1=CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)CC1 ZINC000334574506 379556810 /nfs/dbraw/zinc/55/68/10/379556810.db2.gz CSAIDFXVYMAFJF-LSDHHAIUSA-N 0 0 286.331 2.877 20 5 CFBDRN O=C(Cc1ccccc1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172420788 379565235 /nfs/dbraw/zinc/56/52/35/379565235.db2.gz KAWHFAJVKNTVGO-UHFFFAOYSA-N 0 0 282.299 2.727 20 5 CFBDRN CN(C(=O)/C=C/c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000172701743 379628497 /nfs/dbraw/zinc/62/84/97/379628497.db2.gz XFEFBAVVGZWBGW-MDZDMXLPSA-N 0 0 260.293 2.619 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335674770 379701374 /nfs/dbraw/zinc/70/13/74/379701374.db2.gz PSLWVDIFHZCDFV-PWSUYJOCSA-N 0 0 274.320 2.526 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCc2cccc(F)c21 ZINC000334646053 379699893 /nfs/dbraw/zinc/69/98/93/379699893.db2.gz WSTDYNBOBYDYLL-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN C[C@@H](c1ccncc1)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172901589 379667869 /nfs/dbraw/zinc/66/78/69/379667869.db2.gz VJRIEKMJDLCSHA-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN CN(C(=O)Cc1cccc([N+](=O)[O-])c1)C(C1CC1)C1CC1 ZINC000172915700 379670509 /nfs/dbraw/zinc/67/05/09/379670509.db2.gz FGXSUYSUWZALJZ-UHFFFAOYSA-N 0 0 288.347 2.784 20 5 CFBDRN CSc1ccc(OCCn2cc([N+](=O)[O-])cn2)cc1 ZINC000172916775 379670741 /nfs/dbraw/zinc/67/07/41/379670741.db2.gz XFPMEIFCPGLSOL-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000173216053 379712512 /nfs/dbraw/zinc/71/25/12/379712512.db2.gz TWZALDPOSAKTFF-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000173241700 379715762 /nfs/dbraw/zinc/71/57/62/379715762.db2.gz SBDRZLMGDUXOBO-NEPJUHHUSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000335460291 296301235 /nfs/dbraw/zinc/30/12/35/296301235.db2.gz RVHMVQKQOCAWJK-SNVBAGLBSA-N 0 0 262.309 2.998 20 5 CFBDRN CN(CC1CCCC1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173321618 379726495 /nfs/dbraw/zinc/72/64/95/379726495.db2.gz MPZJJBYYTTXOLW-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335674769 379701921 /nfs/dbraw/zinc/70/19/21/379701921.db2.gz PSLWVDIFHZCDFV-JQWIXIFHSA-N 0 0 274.320 2.526 20 5 CFBDRN COC[C@@H](C)SCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000173895293 379794810 /nfs/dbraw/zinc/79/48/10/379794810.db2.gz ZXTSOZAMQOKIGA-SECBINFHSA-N 0 0 299.348 2.729 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H]1C1CC1 ZINC000334704229 379796271 /nfs/dbraw/zinc/79/62/71/379796271.db2.gz YBOSCFCBBYXIMU-ZDUSSCGKSA-N 0 0 275.308 2.919 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)C1CC(F)(F)C1 ZINC000335735428 379802851 /nfs/dbraw/zinc/80/28/51/379802851.db2.gz QNPMTABONQWABO-UHFFFAOYSA-N 0 0 274.198 2.718 20 5 CFBDRN C[C@@H]1CC[C@@]2(CCN(C(=O)c3ccc([N+](=O)[O-])n3C)C2)C1 ZINC000335708996 379759819 /nfs/dbraw/zinc/75/98/19/379759819.db2.gz XJTFZPWZRAQAHE-IAQYHMDHSA-N 0 0 291.351 2.586 20 5 CFBDRN CCC1CN(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000334683834 379762223 /nfs/dbraw/zinc/76/22/23/379762223.db2.gz AHGABGRRQARTKO-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN COCCS[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000173736613 379771086 /nfs/dbraw/zinc/77/10/86/379771086.db2.gz SXNDDGKTQJXELC-JTQLQIEISA-N 0 0 298.364 2.610 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCCC12CCC2 ZINC000335717886 379777673 /nfs/dbraw/zinc/77/76/73/379777673.db2.gz BESRNOBMYSIDEA-UHFFFAOYSA-N 0 0 274.320 2.682 20 5 CFBDRN C[C@@H]1CCC[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000335737915 379808714 /nfs/dbraw/zinc/80/87/14/379808714.db2.gz JLMAGEQVAPQUGY-MFKMUULPSA-N 0 0 262.309 2.994 20 5 CFBDRN CCc1ccc(C(=O)NCc2cccc(C)n2)cc1[N+](=O)[O-] ZINC000174113758 379830282 /nfs/dbraw/zinc/83/02/82/379830282.db2.gz IMPFQKJDTSPYHC-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN O=C([C@@H]1CCC(F)(F)C1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000335760990 379846020 /nfs/dbraw/zinc/84/60/20/379846020.db2.gz HVCPABIIKQKYKI-SNVBAGLBSA-N 0 0 296.273 2.919 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3ccc(Cl)c([N+](=O)[O-])c3)C[C@@H]21 ZINC000174039110 379817870 /nfs/dbraw/zinc/81/78/70/379817870.db2.gz VABAIXYVHPWDPC-AOOOYVTPSA-N 0 0 294.738 2.976 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335844222 379983070 /nfs/dbraw/zinc/98/30/70/379983070.db2.gz ULOOSPGFHBWVSI-GFCCVEGCSA-N 0 0 285.250 2.700 20 5 CFBDRN CC[C@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)CCS1 ZINC000174789524 379940833 /nfs/dbraw/zinc/94/08/33/379940833.db2.gz QTSFMWUNARJXHW-VIFPVBQESA-N 0 0 286.378 2.624 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000335823587 379947604 /nfs/dbraw/zinc/94/76/04/379947604.db2.gz COJHFIYNRSWIRP-LBPRGKRZSA-N 0 0 288.347 2.928 20 5 CFBDRN CO[C@]1(C)C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1(C)C ZINC000174832359 379950366 /nfs/dbraw/zinc/95/03/66/379950366.db2.gz QKUBZRTZRDOXEO-SWLSCSKDSA-N 0 0 292.335 2.528 20 5 CFBDRN Cc1ccn(C)c1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335832491 379962477 /nfs/dbraw/zinc/96/24/77/379962477.db2.gz ATUNVNHQOBKKNK-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](C)[C@@H]1C ZINC000175048910 379984723 /nfs/dbraw/zinc/98/47/23/379984723.db2.gz ZHTCXYPRXWDEDP-ZJUUUORDSA-N 0 0 293.323 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CC1CCC1 ZINC000175071806 379990715 /nfs/dbraw/zinc/99/07/15/379990715.db2.gz BGJCOXGYSFVQEZ-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000175178790 380013509 /nfs/dbraw/zinc/01/35/09/380013509.db2.gz HMCRQUZYECWZSA-RKDXNWHRSA-N 0 0 268.338 2.917 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@H]1CCC(C)(C)C1 ZINC000335872946 380030873 /nfs/dbraw/zinc/03/08/73/380030873.db2.gz QLKVFSIFVSQQRB-VIFPVBQESA-N 0 0 265.313 2.574 20 5 CFBDRN CS[C@H]1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335239641 380062210 /nfs/dbraw/zinc/06/22/10/380062210.db2.gz MEKZAJAUSNVLST-NSHDSACASA-N 0 0 299.327 2.703 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335242103 380066743 /nfs/dbraw/zinc/06/67/43/380066743.db2.gz WHUQXKVVZIJQIJ-LBPRGKRZSA-N 0 0 281.287 2.996 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000335231070 380049408 /nfs/dbraw/zinc/04/94/08/380049408.db2.gz GGYLZBOHYMBMNU-SNVBAGLBSA-N 0 0 298.289 2.641 20 5 CFBDRN C[C@@H](N(C)C(=O)c1ccc([N+](=O)[O-])cc1)C1(C)CC1 ZINC000175619019 380100735 /nfs/dbraw/zinc/10/07/35/380100735.db2.gz CCUIMCDCPDPIFA-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN CSc1cccc(C(=O)N2C[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000335972014 380178680 /nfs/dbraw/zinc/17/86/80/380178680.db2.gz QXQRZYOWFBDMQF-IUCAKERBSA-N 0 0 280.349 2.797 20 5 CFBDRN Cc1cccc([C@@H]2CCN(C(=O)c3cc([N+](=O)[O-])c[nH]3)C2)c1 ZINC000335984238 380196824 /nfs/dbraw/zinc/19/68/24/380196824.db2.gz APLKBVUQQNPPOY-CYBMUJFWSA-N 0 0 299.330 2.861 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1ccc(F)c(F)c1 ZINC000176213931 380197605 /nfs/dbraw/zinc/19/76/05/380197605.db2.gz RAHWQVLFUZVKIK-UHFFFAOYSA-N 0 0 296.277 2.596 20 5 CFBDRN Cc1ccc(NC(=O)CC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000176547751 380253125 /nfs/dbraw/zinc/25/31/25/380253125.db2.gz HZJGKGBIIFLUEC-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@@H]1C ZINC000336012346 380235593 /nfs/dbraw/zinc/23/55/93/380235593.db2.gz RIDZEUQYYHQLAZ-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN CC(C)C[C@H](C)N(C)C(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000176821326 380275814 /nfs/dbraw/zinc/27/58/14/380275814.db2.gz MOLVXPWBIBJVMJ-LBPRGKRZSA-N 0 0 293.367 2.900 20 5 CFBDRN COc1ccc(C(=O)Nc2cc(C)ns2)cc1[N+](=O)[O-] ZINC000176842100 380276982 /nfs/dbraw/zinc/27/69/82/380276982.db2.gz UDCKLIHJDFAFMY-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)[C@@H]1C ZINC000177152371 380309436 /nfs/dbraw/zinc/30/94/36/380309436.db2.gz OOFQOGISVRLAKG-ONGXEEELSA-N 0 0 262.309 2.774 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000177209445 380314581 /nfs/dbraw/zinc/31/45/81/380314581.db2.gz SIRMAWNVPOSJQX-DOMZBBRYSA-N 0 0 292.335 2.656 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCCC12CCC2 ZINC000336496190 380366318 /nfs/dbraw/zinc/36/63/18/380366318.db2.gz KYPKEHSAWYXSEU-UHFFFAOYSA-N 0 0 278.283 2.893 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1Cc1ccc(F)c(Cl)c1 ZINC000336498522 380368792 /nfs/dbraw/zinc/36/87/92/380368792.db2.gz NIUGPXMKAUTLHP-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN Cc1cc(Cc2nc3ccccc3c(=O)[nH]2)ccc1[N+](=O)[O-] ZINC000177695546 380369011 /nfs/dbraw/zinc/36/90/11/380369011.db2.gz YDXYHSKPLOSXEO-UHFFFAOYSA-N 0 0 295.298 2.731 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000336400900 380339768 /nfs/dbraw/zinc/33/97/68/380339768.db2.gz WZIGVKIZHGQYRG-MNOVXSKESA-N 0 0 279.340 2.584 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000336469065 380351140 /nfs/dbraw/zinc/35/11/40/380351140.db2.gz JYPNVQCNEGCTSE-VIFPVBQESA-N 0 0 266.272 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@](C)(O)CCC(C)C)n1 ZINC000178235820 380425203 /nfs/dbraw/zinc/42/52/03/380425203.db2.gz QQANEGNQFJBBGF-AWEZNQCLSA-N 0 0 281.356 2.897 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1C[C@H]2CCCC[C@@H]2C1 ZINC000178370399 380443486 /nfs/dbraw/zinc/44/34/86/380443486.db2.gz CHSIRPMBNCLDFG-ZIAGYGMSSA-N 0 0 288.347 2.786 20 5 CFBDRN C[C@H](C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C)C1CC1 ZINC000178422155 380450226 /nfs/dbraw/zinc/45/02/26/380450226.db2.gz HGBPYYJHCOOHAK-ZJUUUORDSA-N 0 0 274.320 2.919 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSc2ccccc2F)n1 ZINC000178447357 380453137 /nfs/dbraw/zinc/45/31/37/380453137.db2.gz WCKHVRNHGKVXKB-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN CCS[C@@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000178096551 380408740 /nfs/dbraw/zinc/40/87/40/380408740.db2.gz ZEQOGTQRRKLVNV-QWHCGFSZSA-N 0 0 294.376 2.999 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000178159608 380415828 /nfs/dbraw/zinc/41/58/28/380415828.db2.gz PNPXWLXCWDHDQZ-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1nc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c(C)s1 ZINC000179273075 380526708 /nfs/dbraw/zinc/52/67/08/380526708.db2.gz DXAARIXQPGDFSE-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN Cc1cccc(O)c1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000179563591 380556187 /nfs/dbraw/zinc/55/61/87/380556187.db2.gz QGGZQHNCZCLPHL-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000336630252 380576189 /nfs/dbraw/zinc/57/61/89/380576189.db2.gz HHDHBLGCSMARMT-APPZFPTMSA-N 0 0 268.700 2.777 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2c(F)cccc2[N+](=O)[O-])C1 ZINC000336785757 380630100 /nfs/dbraw/zinc/63/01/00/380630100.db2.gz FYJVZMQQAJQPKC-RKDXNWHRSA-N 0 0 254.261 2.713 20 5 CFBDRN COC(C)(C)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000336789579 380635713 /nfs/dbraw/zinc/63/57/13/380635713.db2.gz JOMYGXPQYXEEOQ-UHFFFAOYSA-N 0 0 256.277 2.879 20 5 CFBDRN CCOC(=O)[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000179872332 380590285 /nfs/dbraw/zinc/59/02/85/380590285.db2.gz MKBQFSPMICULKL-NSHDSACASA-N 0 0 284.337 2.670 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Sc1nncn1C ZINC000179977376 380605215 /nfs/dbraw/zinc/60/52/15/380605215.db2.gz AXADOLISDJKWKL-SECBINFHSA-N 0 0 278.337 2.885 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@H](C)CF)cc1[N+](=O)[O-] ZINC000288427816 197075629 /nfs/dbraw/zinc/07/56/29/197075629.db2.gz XVWGZPBJMQIWOQ-SECBINFHSA-N 0 0 268.288 2.806 20 5 CFBDRN COCCNc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000336775483 380625740 /nfs/dbraw/zinc/62/57/40/380625740.db2.gz ZEJMKLOSWPUFPS-UHFFFAOYSA-N 0 0 265.096 2.960 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000180517517 380670674 /nfs/dbraw/zinc/67/06/74/380670674.db2.gz BTTRVNRHTUJSFC-JTQLQIEISA-N 0 0 294.355 2.518 20 5 CFBDRN COCC(C)(C)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000336811169 380671978 /nfs/dbraw/zinc/67/19/78/380671978.db2.gz LIUAFNOFKOTFMN-UHFFFAOYSA-N 0 0 268.313 2.688 20 5 CFBDRN Cc1cc(N(C)[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000181235975 380761928 /nfs/dbraw/zinc/76/19/28/380761928.db2.gz AGOGWBOUJRWOPP-NSHDSACASA-N 0 0 252.339 2.845 20 5 CFBDRN Cn1c(CNc2cccc([N+](=O)[O-])c2)nnc1C1CCC1 ZINC000180937596 380714339 /nfs/dbraw/zinc/71/43/39/380714339.db2.gz IIOZTWWNJPUCNA-UHFFFAOYSA-N 0 0 287.323 2.603 20 5 CFBDRN CO[C@@H](C)COc1ccc([N+](=O)[O-])cc1Br ZINC000181004403 380724004 /nfs/dbraw/zinc/72/40/04/380724004.db2.gz LAMOCHYZYTUUTG-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN CC(C)NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] ZINC000181273035 380768305 /nfs/dbraw/zinc/76/83/05/380768305.db2.gz MJWMEQOFEDHOBI-UHFFFAOYSA-N 0 0 288.756 2.865 20 5 CFBDRN CO[C@@H](C)CCC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000181079496 380735791 /nfs/dbraw/zinc/73/57/91/380735791.db2.gz CAVLIZGDAGCVJJ-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000181181497 380752695 /nfs/dbraw/zinc/75/26/95/380752695.db2.gz WPUGDQZCQSJLPG-LLVKDONJSA-N 0 0 282.365 2.775 20 5 CFBDRN CCC[C@@H](OC)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000295389937 380824571 /nfs/dbraw/zinc/82/45/71/380824571.db2.gz SZBHQQBCDKNEES-BXUZGUMPSA-N 0 0 294.351 2.896 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H](C)CF ZINC000287552572 380863995 /nfs/dbraw/zinc/86/39/95/380863995.db2.gz LWTJMSMTNIGIJY-VIFPVBQESA-N 0 0 269.276 2.691 20 5 CFBDRN CC[C@H](C(=O)c1ccccc1)n1cc([N+](=O)[O-])c(C)n1 ZINC000295467559 380876543 /nfs/dbraw/zinc/87/65/43/380876543.db2.gz XUCYQVQGMNVNMF-GFCCVEGCSA-N 0 0 273.292 2.934 20 5 CFBDRN CCC(C)(C)CCn1cc([N+](=O)[O-])c(C)cc1=O ZINC000353820307 380876764 /nfs/dbraw/zinc/87/67/64/380876764.db2.gz XXDVBSNJACDGKZ-UHFFFAOYSA-N 0 0 252.314 2.891 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000367988307 380878068 /nfs/dbraw/zinc/87/80/68/380878068.db2.gz RPRFGPCDCHKDTA-COPLHBTASA-N 0 0 291.303 2.624 20 5 CFBDRN CCOC1CC(N(C)C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000287504560 380849459 /nfs/dbraw/zinc/84/94/59/380849459.db2.gz JKZIMIYCCPTZNR-UHFFFAOYSA-N 0 0 293.323 2.626 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1C ZINC000295433652 380851485 /nfs/dbraw/zinc/85/14/85/380851485.db2.gz VAIYPYQDJQIXGK-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000287522350 380854358 /nfs/dbraw/zinc/85/43/58/380854358.db2.gz VHISVLACHANMRF-XPTSAGLGSA-N 0 0 280.324 2.616 20 5 CFBDRN CCOCCOc1ccc([N+](=O)[O-])cc1Br ZINC000181661344 380855988 /nfs/dbraw/zinc/85/59/88/380855988.db2.gz RTKDXISQRKZGIR-UHFFFAOYSA-N 0 0 290.113 2.773 20 5 CFBDRN CCCCc1nnc(NCc2cccc([N+](=O)[O-])c2)o1 ZINC000181663911 380858226 /nfs/dbraw/zinc/85/82/26/380858226.db2.gz IDTQSJHRCCJYJC-UHFFFAOYSA-N 0 0 276.296 2.933 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCCC34CCC4)nc2c1 ZINC000408458594 380925719 /nfs/dbraw/zinc/92/57/19/380925719.db2.gz UNKWQDLHFFGPJS-UHFFFAOYSA-N 0 0 272.308 2.994 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000408454095 380926594 /nfs/dbraw/zinc/92/65/94/380926594.db2.gz TWPLJVHVKFMURF-RKDXNWHRSA-N 0 0 260.297 2.706 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCC23CCOCC3)c(F)c1 ZINC000408466688 380928476 /nfs/dbraw/zinc/92/84/76/380928476.db2.gz JOSYUZMARZHAGE-GFCCVEGCSA-N 0 0 295.314 2.890 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1c(F)cccc1[N+](=O)[O-] ZINC000287602060 380881052 /nfs/dbraw/zinc/88/10/52/380881052.db2.gz FRDIXCUIRWLACB-PSASIEDQSA-N 0 0 254.261 2.571 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCC[C@@H]2CCOC2)c1 ZINC000402148952 380882133 /nfs/dbraw/zinc/88/21/33/380882133.db2.gz OHGCVCVNYYSPAG-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)NC1CC1 ZINC000181787656 380893177 /nfs/dbraw/zinc/89/31/77/380893177.db2.gz PNGGNFYSNCCWCT-UHFFFAOYSA-N 0 0 289.213 2.898 20 5 CFBDRN Cc1nn(Cc2c(F)cccc2Cl)cc1[N+](=O)[O-] ZINC000181823856 380906399 /nfs/dbraw/zinc/90/63/99/380906399.db2.gz WXZZIMVOMCLZGX-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN Cc1nn(CCOc2cc(C)ccc2C)cc1[N+](=O)[O-] ZINC000181831083 380907812 /nfs/dbraw/zinc/90/78/12/380907812.db2.gz SMWUYWARNMQFQO-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN COc1ccc(Cl)c(Cn2c(C)ncc2[N+](=O)[O-])c1 ZINC000358544078 380913374 /nfs/dbraw/zinc/91/33/74/380913374.db2.gz VGVIZDUPYMFBFN-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN C[C@H]1CCN(c2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000287764783 380931764 /nfs/dbraw/zinc/93/17/64/380931764.db2.gz NISGRDJEBRSIFS-VIFPVBQESA-N 0 0 267.354 2.509 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N[C@H](C)CF)cc1[N+](=O)[O-] ZINC000287715104 380917488 /nfs/dbraw/zinc/91/74/88/380917488.db2.gz RFBNDCHPNAWDER-ZJUUUORDSA-N 0 0 283.303 2.621 20 5 CFBDRN C[C@H]1CCN(c2ncnc3cccc([N+](=O)[O-])c32)[C@H]1C ZINC000408196183 380917902 /nfs/dbraw/zinc/91/79/02/380917902.db2.gz YSIITSDZEXCUKZ-UWVGGRQHSA-N 0 0 272.308 2.773 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000341307609 380921960 /nfs/dbraw/zinc/92/19/60/380921960.db2.gz RZWUZPKLEQHJLM-UWVGGRQHSA-N 0 0 280.349 2.525 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000408423658 380924301 /nfs/dbraw/zinc/92/43/01/380924301.db2.gz AUIDDGRSHZRMGV-ZJUUUORDSA-N 0 0 297.742 2.506 20 5 CFBDRN COc1cncc(COc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000341340994 380949319 /nfs/dbraw/zinc/94/93/19/380949319.db2.gz AOOGMEDSKLPVGO-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Cc1c(CNC(=O)NCC2CCC2)cccc1[N+](=O)[O-] ZINC000358589686 380951215 /nfs/dbraw/zinc/95/12/15/380951215.db2.gz YEBJUUXXEWQNTK-UHFFFAOYSA-N 0 0 277.324 2.503 20 5 CFBDRN NC(=O)c1ccc(Oc2c(F)cccc2[N+](=O)[O-])cc1 ZINC000341348123 380955329 /nfs/dbraw/zinc/95/53/29/380955329.db2.gz QTBVGGZQINVFPR-UHFFFAOYSA-N 0 0 276.223 2.625 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341365524 380968551 /nfs/dbraw/zinc/96/85/51/380968551.db2.gz QMAOHEQSVRRFPK-JSGCOSHPSA-N 0 0 288.347 2.856 20 5 CFBDRN CC[C@@H](C)CCc1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000347152475 380971633 /nfs/dbraw/zinc/97/16/33/380971633.db2.gz WEKWVLSOCTZDDG-MRVPVSSYSA-N 0 0 293.327 2.665 20 5 CFBDRN COc1cncc(COc2nc(C)cc(C)c2[N+](=O)[O-])c1 ZINC000341370737 380971666 /nfs/dbraw/zinc/97/16/66/380971666.db2.gz VIHGYFPEBQKSBO-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN C[C@H](NC(=O)CCc1ccoc1)c1ccccc1[N+](=O)[O-] ZINC000341329004 380939202 /nfs/dbraw/zinc/93/92/02/380939202.db2.gz NRDREOHFYAHVTM-NSHDSACASA-N 0 0 288.303 2.998 20 5 CFBDRN CCCC[C@H](C)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347105732 380941691 /nfs/dbraw/zinc/94/16/91/380941691.db2.gz CUBKGQFRCVJZBZ-QMMMGPOBSA-N 0 0 279.300 2.672 20 5 CFBDRN C[C@H]1COCC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000347105493 380942253 /nfs/dbraw/zinc/94/22/53/380942253.db2.gz HFRXRBONNSSGSH-YUMQZZPRSA-N 0 0 295.320 2.846 20 5 CFBDRN CC(F)(F)CCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000295681547 381012953 /nfs/dbraw/zinc/01/29/53/381012953.db2.gz YGSZLDUJJTXSPF-UHFFFAOYSA-N 0 0 278.280 2.822 20 5 CFBDRN Cc1cc(NC(=O)CCOCC(C)C)ccc1[N+](=O)[O-] ZINC000347179781 380986483 /nfs/dbraw/zinc/98/64/83/380986483.db2.gz IHKQVDWSCMDUGN-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN CC(C)(CCC(=O)NC[C@@H]1C[C@H]1c1ccccc1)[N+](=O)[O-] ZINC000295642072 380991257 /nfs/dbraw/zinc/99/12/57/380991257.db2.gz QVIQKHLSIOBSRN-KBPBESRZSA-N 0 0 290.363 2.742 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@]1(C)CCO[C@H]1C1CC1 ZINC000368367301 381003529 /nfs/dbraw/zinc/00/35/29/381003529.db2.gz OCMIKDPLCSLZPD-ZFWWWQNUSA-N 0 0 291.351 2.915 20 5 CFBDRN CCOC1CC(N(C)C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000288076455 381005594 /nfs/dbraw/zinc/00/55/94/381005594.db2.gz GGPJDKJNKWNKAV-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@H](O)[C@H]1CCCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182155806 381006434 /nfs/dbraw/zinc/00/64/34/381006434.db2.gz HOZPQYNEONUUHQ-IINYFYTJSA-N 0 0 298.770 2.984 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NCC2=CCCCC2)c1[N+](=O)[O-] ZINC000295760809 381059903 /nfs/dbraw/zinc/05/99/03/381059903.db2.gz IOWVXVDSBJLEOA-UHFFFAOYSA-N 0 0 292.339 2.672 20 5 CFBDRN Cc1nc(-c2noc(-c3cc([N+](=O)[O-])ccc3C)n2)co1 ZINC000347306794 381061664 /nfs/dbraw/zinc/06/16/64/381061664.db2.gz WZBWRIJTQUIVQC-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@@H]1CCc2ccccc21 ZINC000347308808 381062313 /nfs/dbraw/zinc/06/23/13/381062313.db2.gz KPZMQJRSRLRTAI-LBPRGKRZSA-N 0 0 269.304 2.554 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1CCC(F)(F)CC1 ZINC000341520678 381062571 /nfs/dbraw/zinc/06/25/71/381062571.db2.gz BHSCEYPFRFFIGM-UHFFFAOYSA-N 0 0 299.277 2.606 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)OC ZINC000295770290 381065358 /nfs/dbraw/zinc/06/53/58/381065358.db2.gz PQZKGMBJBAMPEI-HZMBPMFUSA-N 0 0 294.351 2.694 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN(C)Cc1ccc[nH]1 ZINC000354044052 381042913 /nfs/dbraw/zinc/04/29/13/381042913.db2.gz DWFYETXSWKWXOB-UHFFFAOYSA-N 0 0 289.335 2.954 20 5 CFBDRN Cc1cccnc1CCNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000295800800 381083815 /nfs/dbraw/zinc/08/38/15/381083815.db2.gz FAJTXLHWEZCOEM-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cc(NC(=O)c2cncc(F)c2)ccc1[N+](=O)[O-] ZINC000182418071 381086492 /nfs/dbraw/zinc/08/64/92/381086492.db2.gz MWOXQQTYIRHAJA-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CN(C)c1ncccc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000341563178 381090485 /nfs/dbraw/zinc/09/04/85/381090485.db2.gz MISXNBPNBPSJJZ-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN CCC1(c2noc(-c3cc([N+](=O)[O-])nn3C)n2)CCCC1 ZINC000354127688 381095536 /nfs/dbraw/zinc/09/55/36/381095536.db2.gz SRYATZCQIVRFRU-UHFFFAOYSA-N 0 0 291.311 2.600 20 5 CFBDRN C[C@H](C(=O)Nc1cccnc1)c1ccc([N+](=O)[O-])cc1F ZINC000182494453 381099403 /nfs/dbraw/zinc/09/94/03/381099403.db2.gz IBZFYGXEBOOZMV-VIFPVBQESA-N 0 0 289.266 2.871 20 5 CFBDRN CCc1nc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c(C)o1 ZINC000341580258 381100301 /nfs/dbraw/zinc/10/03/01/381100301.db2.gz AEEQNHSMEPLNEF-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN CCC1(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)CC1 ZINC000295835086 381105953 /nfs/dbraw/zinc/10/59/53/381105953.db2.gz ITCCLOPVWYSHTA-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCCSC2)n1 ZINC000288661358 197139770 /nfs/dbraw/zinc/13/97/70/197139770.db2.gz YSRCMQYNTLBSRT-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccoc1 ZINC000347336608 381079402 /nfs/dbraw/zinc/07/94/02/381079402.db2.gz CAOWMPXOAHVTRW-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN Cc1cc(NC(=O)c2n[nH]cc2[N+](=O)[O-])ccc1C1CC1 ZINC000358888152 381139884 /nfs/dbraw/zinc/13/98/84/381139884.db2.gz RWHXPOLYUNBKCN-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CC(C)(CCc1nc(COc2ccccc2)no1)[N+](=O)[O-] ZINC000295904191 381146313 /nfs/dbraw/zinc/14/63/13/381146313.db2.gz LQULQDPKRZGQPX-UHFFFAOYSA-N 0 0 291.307 2.637 20 5 CFBDRN COc1cccc(CN2CCc3ccc([N+](=O)[O-])cc32)n1 ZINC000182749820 381149194 /nfs/dbraw/zinc/14/91/94/381149194.db2.gz BQMSBSDYCAPBIT-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182760706 381152579 /nfs/dbraw/zinc/15/25/79/381152579.db2.gz QXHIRWSDGIXVQW-UHFFFAOYSA-N 0 0 289.295 2.887 20 5 CFBDRN CCC(=O)c1ccc(NCCC2CC(O)C2)c([N+](=O)[O-])c1 ZINC000358916860 381154677 /nfs/dbraw/zinc/15/46/77/381154677.db2.gz UBPAGYTYQZJAFJ-UHFFFAOYSA-N 0 0 292.335 2.760 20 5 CFBDRN C[C@H]1[C@@H](CO)CCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000412092478 381158089 /nfs/dbraw/zinc/15/80/89/381158089.db2.gz RDHPJRVIZCHICZ-CMPLNLGQSA-N 0 0 298.770 2.841 20 5 CFBDRN CCc1ccc(NCc2c([N+](=O)[O-])ncn2C)cc1C ZINC000354240751 381158995 /nfs/dbraw/zinc/15/89/95/381158995.db2.gz TWSXGARPTZRJLD-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CCOC1CC(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC000289737045 381129062 /nfs/dbraw/zinc/12/90/62/381129062.db2.gz TURAIBZZGLCKNB-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN C[C@H]1CN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000412147051 381182046 /nfs/dbraw/zinc/18/20/46/381182046.db2.gz GOHDCQAKPGMNIH-IDTSFGKNSA-N 0 0 288.347 2.813 20 5 CFBDRN CCC(CC)S(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000295968300 381188697 /nfs/dbraw/zinc/18/86/97/381188697.db2.gz QBPRBACRTMVSCT-UHFFFAOYSA-N 0 0 271.338 2.698 20 5 CFBDRN CC(C)n1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)nn1 ZINC000289886557 381203067 /nfs/dbraw/zinc/20/30/67/381203067.db2.gz XODCJUABXIZDON-LLVKDONJSA-N 0 0 289.339 2.618 20 5 CFBDRN CN(CC[C@@H]1CCCO1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000341964516 381204338 /nfs/dbraw/zinc/20/43/38/381204338.db2.gz PBHBDEGAVVCOHQ-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCCCCOC ZINC000289893986 381204494 /nfs/dbraw/zinc/20/44/94/381204494.db2.gz TYWXLJPEUMOQCF-UHFFFAOYSA-N 0 0 295.339 2.705 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Nc2ccc(N(C)C)nc2)n1 ZINC000359080691 381252181 /nfs/dbraw/zinc/25/21/81/381252181.db2.gz GZRIGONSCGZMPZ-UHFFFAOYSA-N 0 0 287.323 2.811 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H](C)C(=O)OC(C)C)n1 ZINC000359079971 381252203 /nfs/dbraw/zinc/25/22/03/381252203.db2.gz CSRRKKPDERQTOZ-SNVBAGLBSA-N 0 0 295.339 2.606 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cccc(O)c2)n1 ZINC000359081732 381253400 /nfs/dbraw/zinc/25/34/00/381253400.db2.gz KPGPLSWPQOKXLM-UHFFFAOYSA-N 0 0 273.292 2.924 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCCOC(C)C)n1 ZINC000359081705 381254185 /nfs/dbraw/zinc/25/41/85/381254185.db2.gz KIUHMLRKOKRJGX-UHFFFAOYSA-N 0 0 267.329 2.834 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2ccc[nH]2)n1 ZINC000359090730 381260186 /nfs/dbraw/zinc/26/01/86/381260186.db2.gz DVDHLSXYRLPXRR-UHFFFAOYSA-N 0 0 260.297 2.589 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)Cc2ccc(O)cc2)n1 ZINC000359098104 381264204 /nfs/dbraw/zinc/26/42/04/381264204.db2.gz MWKQPJZVPTZXQH-UHFFFAOYSA-N 0 0 287.319 2.949 20 5 CFBDRN CCCN(C)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000183389213 381267259 /nfs/dbraw/zinc/26/72/59/381267259.db2.gz AUQDCKHUMFGKJV-SECBINFHSA-N 0 0 268.288 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C=C[C@@H](CO)C2)c(Cl)c1 ZINC000359025927 381220153 /nfs/dbraw/zinc/22/01/53/381220153.db2.gz DSZRWEKFFIWJCB-BDAKNGLRSA-N 0 0 268.700 2.597 20 5 CFBDRN Cc1ncsc1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359108804 381269002 /nfs/dbraw/zinc/26/90/02/381269002.db2.gz MTJFZNBDCPPYFF-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN CC1(C)C[C@@H](O)CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000296134029 381269148 /nfs/dbraw/zinc/26/91/48/381269148.db2.gz WUUQZBWGWKVZQH-NSHDSACASA-N 0 0 282.315 2.721 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1ccn2ccnc2c1 ZINC000354384467 381238305 /nfs/dbraw/zinc/23/83/05/381238305.db2.gz JJCLVNDZULFUJB-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN COC(C)(C)c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000289977015 381244305 /nfs/dbraw/zinc/24/43/05/381244305.db2.gz DCGJPGVXCSYXJB-UHFFFAOYSA-N 0 0 277.280 2.835 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000354400406 381245106 /nfs/dbraw/zinc/24/51/06/381245106.db2.gz IITCTFNATPWONZ-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCCOC1CCC1 ZINC000288780217 197164803 /nfs/dbraw/zinc/16/48/03/197164803.db2.gz WJDSDIDLLWIPFZ-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN COc1cccc(NC(=O)Nc2ccncc2[N+](=O)[O-])c1 ZINC000183553684 381287358 /nfs/dbraw/zinc/28/73/58/381287358.db2.gz VLUSNYGBLUJWFP-UHFFFAOYSA-N 0 0 288.263 2.642 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183579722 381288931 /nfs/dbraw/zinc/28/89/31/381288931.db2.gz BNHVMMRGBIFNNG-MNOVXSKESA-N 0 0 292.339 2.938 20 5 CFBDRN C[C@H]1CCC[C@@H](CNC(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000183590180 381291685 /nfs/dbraw/zinc/29/16/85/381291685.db2.gz MRUBHMJAYZCYGI-WDEREUQCSA-N 0 0 292.339 2.938 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000347689221 381298744 /nfs/dbraw/zinc/29/87/44/381298744.db2.gz WUAXHJOLUHGLAT-ZDUSSCGKSA-N 0 0 299.330 2.659 20 5 CFBDRN CC(C)OCCCN1CCc2c1cccc2[N+](=O)[O-] ZINC000183631673 381299511 /nfs/dbraw/zinc/29/95/11/381299511.db2.gz IHMIHIOCJOUWMQ-UHFFFAOYSA-N 0 0 264.325 2.772 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000183647267 381300849 /nfs/dbraw/zinc/30/08/49/381300849.db2.gz RREJEUGRJQSUOD-UWVGGRQHSA-N 0 0 278.312 2.642 20 5 CFBDRN C[C@@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(F)(F)F ZINC000290106887 381301785 /nfs/dbraw/zinc/30/17/85/381301785.db2.gz MOFNKTUFKJOPPT-RXMQYKEDSA-N 0 0 296.632 2.929 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC2(CCC2)C1 ZINC000369632574 381303400 /nfs/dbraw/zinc/30/34/00/381303400.db2.gz XSVBTGNNQGBNIK-UHFFFAOYSA-N 0 0 289.335 2.705 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000296139098 381272180 /nfs/dbraw/zinc/27/21/80/381272180.db2.gz CQEWEJKWODWLAS-CQSZACIVSA-N 0 0 295.314 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CCC2)c(F)c1F ZINC000296143919 381274442 /nfs/dbraw/zinc/27/44/42/381274442.db2.gz ZZLBEGKYDBURSM-UHFFFAOYSA-N 0 0 273.235 2.821 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000342322462 381277287 /nfs/dbraw/zinc/27/72/87/381277287.db2.gz LTDHJSWHVDJHOQ-LURJTMIESA-N 0 0 266.194 2.774 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)C(F)(F)F ZINC000290055652 381280656 /nfs/dbraw/zinc/28/06/56/381280656.db2.gz JFIATPGQTAAWHV-SSDOTTSWSA-N 0 0 276.214 2.584 20 5 CFBDRN CS[C@H]1CCN(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000296159854 381281492 /nfs/dbraw/zinc/28/14/92/381281492.db2.gz KKDPEHLYCOIXOX-NSHDSACASA-N 0 0 295.364 2.872 20 5 CFBDRN Cc1cc(C(=O)N(C)CC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000342439120 381331672 /nfs/dbraw/zinc/33/16/72/381331672.db2.gz BKCGSVCYTLIVIC-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1nc(N(C)[C@H]2CCCC[C@H]2C)ncc1[N+](=O)[O-] ZINC000296288470 381333394 /nfs/dbraw/zinc/33/33/94/381333394.db2.gz HROFXLPVFKUWKG-KOLCDFICSA-N 0 0 264.329 2.708 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C(F)(F)F)c1 ZINC000290195639 381337740 /nfs/dbraw/zinc/33/77/40/381337740.db2.gz UHQGNIPNKSUBOE-ZETCQYMHSA-N 0 0 276.214 2.584 20 5 CFBDRN CCc1nn(C)c(Oc2cncc(Cl)c2)c1[N+](=O)[O-] ZINC000288823669 197173490 /nfs/dbraw/zinc/17/34/90/197173490.db2.gz IKVGSGVEIMDCSB-UHFFFAOYSA-N 0 0 282.687 2.731 20 5 CFBDRN C[C@@H](CCCO)Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354565049 381341150 /nfs/dbraw/zinc/34/11/50/381341150.db2.gz CHDOQKGUPMYXHJ-QMMMGPOBSA-N 0 0 290.266 2.769 20 5 CFBDRN Cc1ccn(C[C@@H]2CCC[C@@H](C)C2)c(=O)c1[N+](=O)[O-] ZINC000354508171 381310351 /nfs/dbraw/zinc/31/03/51/381310351.db2.gz OVNPNBFDZRVJKP-ZYHUDNBSSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1ccn(C[C@H]2CCC[C@H](C)C2)c(=O)c1[N+](=O)[O-] ZINC000354508168 381310375 /nfs/dbraw/zinc/31/03/75/381310375.db2.gz OVNPNBFDZRVJKP-JQWIXIFHSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1nc2ccccc2n1CCNc1ccc([N+](=O)[O-])nc1 ZINC000347718756 381312704 /nfs/dbraw/zinc/31/27/04/381312704.db2.gz ZTWUTBRMFSMBRX-UHFFFAOYSA-N 0 0 297.318 2.760 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2csc3ccccc23)nc1 ZINC000354514564 381313555 /nfs/dbraw/zinc/31/35/55/381313555.db2.gz WUQWUIPDLODNEO-UHFFFAOYSA-N 0 0 286.316 2.634 20 5 CFBDRN Cc1ccn(C2CCN(c3ccc([N+](=O)[O-])cc3)CC2)n1 ZINC000369692608 381315455 /nfs/dbraw/zinc/31/54/55/381315455.db2.gz NPPYYRZMQOWLSB-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN CC(C)(CCC(=O)N1CCC=C(c2ccco2)C1)[N+](=O)[O-] ZINC000296246515 381318427 /nfs/dbraw/zinc/31/84/27/381318427.db2.gz PDRQBXKHNGUVAU-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN COc1cc(N[C@H](C)CCCCO)ccc1[N+](=O)[O-] ZINC000296329427 381350228 /nfs/dbraw/zinc/35/02/28/381350228.db2.gz PEEDDGPEGTZCOX-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN CCOC1CC(N(C)C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000290234830 381352396 /nfs/dbraw/zinc/35/23/96/381352396.db2.gz RGHHUQDDWPBQPM-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1nn(C[C@@H]2C[C@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359366422 381374473 /nfs/dbraw/zinc/37/44/73/381374473.db2.gz IOTRFGCXJFFCFQ-STQMWFEESA-N 0 0 257.293 2.903 20 5 CFBDRN CCn1cc(CCSc2ccc([N+](=O)[O-])cn2)cn1 ZINC000347907364 381407702 /nfs/dbraw/zinc/40/77/02/381407702.db2.gz WGSPARRVJSETNG-UHFFFAOYSA-N 0 0 278.337 2.541 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C12CCC(CC1)C2 ZINC000342576552 381408725 /nfs/dbraw/zinc/40/87/25/381408725.db2.gz GWMPABMVHWEIBB-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2C[C@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000354704021 381409962 /nfs/dbraw/zinc/40/99/62/381409962.db2.gz KCHIDYZHKXBLJO-HZPDHXFCSA-N 0 0 288.347 2.738 20 5 CFBDRN Cc1ccc(N[C@@H]2CCc3nc[nH]c3C2)c([N+](=O)[O-])c1 ZINC000370271004 381417402 /nfs/dbraw/zinc/41/74/02/381417402.db2.gz ONUAOVBREZHSIF-SNVBAGLBSA-N 0 0 272.308 2.596 20 5 CFBDRN C[C@@H](CNC(=O)c1ccccc1[N+](=O)[O-])CC(F)(F)F ZINC000412658827 381387281 /nfs/dbraw/zinc/38/72/81/381387281.db2.gz PROFPWVTAMLLRG-MRVPVSSYSA-N 0 0 290.241 2.913 20 5 CFBDRN COC1CCC(CCNc2c([N+](=O)[O-])c(C)nn2C)CC1 ZINC000347874217 381393190 /nfs/dbraw/zinc/39/31/90/381393190.db2.gz GXHSZQSIKHMTPB-UHFFFAOYSA-N 0 0 296.371 2.644 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCCC[C@H]2F)c1[N+](=O)[O-] ZINC000412671609 381395244 /nfs/dbraw/zinc/39/52/44/381395244.db2.gz LAPJKRQVJCKGCP-GHMZBOCLSA-N 0 0 296.298 2.614 20 5 CFBDRN COc1ccc(C(=O)NCC2(C)CCCC2)cc1[N+](=O)[O-] ZINC000342560296 381396269 /nfs/dbraw/zinc/39/62/69/381396269.db2.gz CQNGWCQRYXDKEJ-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN C[C@@H](CNC(=O)c1ccccc1[N+](=O)[O-])c1nccs1 ZINC000184296319 381396945 /nfs/dbraw/zinc/39/69/45/381396945.db2.gz CTUKGWZJDJUMQX-VIFPVBQESA-N 0 0 291.332 2.585 20 5 CFBDRN C[C@H]1CC(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000347947851 381429241 /nfs/dbraw/zinc/42/92/41/381429241.db2.gz FQSBIAGJJDAABP-IUCAKERBSA-N 0 0 296.298 2.876 20 5 CFBDRN CC(C)[C@H]1OCC[C@@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347962575 381436041 /nfs/dbraw/zinc/43/60/41/381436041.db2.gz SHPXDVUWBKENCK-QWHCGFSZSA-N 0 0 296.298 2.734 20 5 CFBDRN CCc1onc(C)c1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347983032 381445015 /nfs/dbraw/zinc/44/50/15/381445015.db2.gz OOOALYKBVVZOFT-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCCCS2)ccc1[N+](=O)[O-] ZINC000342636672 381449261 /nfs/dbraw/zinc/44/92/61/381449261.db2.gz KYEVORJLZARYHI-GFCCVEGCSA-N 0 0 294.376 2.919 20 5 CFBDRN Cn1c(C(=O)N[C@H]2CCC[C@@H]2C(C)(C)C)ccc1[N+](=O)[O-] ZINC000412802702 381452835 /nfs/dbraw/zinc/45/28/35/381452835.db2.gz CZTXLBZYYBZOEI-QWRGUYRKSA-N 0 0 293.367 2.878 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cn[nH]c1 ZINC000184686661 381461119 /nfs/dbraw/zinc/46/11/19/381461119.db2.gz QGGCWTGXUQFYOI-NSHDSACASA-N 0 0 288.307 2.525 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1ccn2ccnc2c1 ZINC000342668874 381467366 /nfs/dbraw/zinc/46/73/66/381467366.db2.gz CVDQWLSFZMTMIL-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000354818142 381468273 /nfs/dbraw/zinc/46/82/73/381468273.db2.gz YVGZLHHHMUZFBO-KOLCDFICSA-N 0 0 285.303 2.550 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC2CC2)c2cccnc21 ZINC000184979200 381504652 /nfs/dbraw/zinc/50/46/52/381504652.db2.gz CJHDVBYDFBQVEP-UHFFFAOYSA-N 0 0 288.303 2.948 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC(C)C ZINC000184984963 381505683 /nfs/dbraw/zinc/50/56/83/381505683.db2.gz QOEGSJVAYUUKDF-LBPRGKRZSA-N 0 0 264.325 2.688 20 5 CFBDRN CCN(CCC1CC1)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000354885602 381506127 /nfs/dbraw/zinc/50/61/27/381506127.db2.gz UADOINABDZDEPH-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CC/C=C/CCSCc1c([N+](=O)[O-])ncn1C ZINC000342754903 381519507 /nfs/dbraw/zinc/51/95/07/381519507.db2.gz VFHYHBJVKRAQKS-SNAWJCMRSA-N 0 0 255.343 2.918 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000354863077 381494474 /nfs/dbraw/zinc/49/44/74/381494474.db2.gz OGDHAQYMJPNEJF-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN COc1cc(CSc2ccc([N+](=O)[O-])cn2)ccn1 ZINC000342721457 381499361 /nfs/dbraw/zinc/49/93/61/381499361.db2.gz CAUOUZRYRHCMBI-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN C[C@@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)CCC1=O ZINC000413240371 381537248 /nfs/dbraw/zinc/53/72/48/381537248.db2.gz XAKXMRAIIHWITH-SNVBAGLBSA-N 0 0 285.303 2.558 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN[C@@H](CCO)c1ccco1 ZINC000185110869 381537863 /nfs/dbraw/zinc/53/78/63/381537863.db2.gz JRTNQFGDJFMHMZ-LBPRGKRZSA-N 0 0 294.282 2.540 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CC3)C2)c(C(F)(F)F)c1 ZINC000413330543 381540207 /nfs/dbraw/zinc/54/02/07/381540207.db2.gz PCXWYCMTXMHXCF-UHFFFAOYSA-N 0 0 273.214 2.609 20 5 CFBDRN CS[C@@H](C)CNC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000185129883 381541214 /nfs/dbraw/zinc/54/12/14/381541214.db2.gz ZBOUCUGEHZMELA-UQSGXBNBSA-N 0 0 294.376 2.866 20 5 CFBDRN COc1cccc(N[C@H](C)c2cnn(C)c2C)c1[N+](=O)[O-] ZINC000185170272 381552647 /nfs/dbraw/zinc/55/26/47/381552647.db2.gz HSPYTNZNVBFHHW-SECBINFHSA-N 0 0 290.323 2.818 20 5 CFBDRN COc1cccc(N(C)Cc2cc(C)on2)c1[N+](=O)[O-] ZINC000185169548 381550228 /nfs/dbraw/zinc/55/02/28/381550228.db2.gz VVUNSAJPCWAOFU-UHFFFAOYSA-N 0 0 277.280 2.536 20 5 CFBDRN CCC(=O)c1ccc(NCC2(CCO)CC2)c([N+](=O)[O-])c1 ZINC000359631145 381522569 /nfs/dbraw/zinc/52/25/69/381522569.db2.gz IZTCWHDLMVIHLQ-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN COc1cccc(N(C)Cc2ccco2)c1[N+](=O)[O-] ZINC000185099918 381534980 /nfs/dbraw/zinc/53/49/80/381534980.db2.gz QNXRRSHVIYGGTH-UHFFFAOYSA-N 0 0 262.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000342803453 381553262 /nfs/dbraw/zinc/55/32/62/381553262.db2.gz YVCXTWBUWPWRJG-NWDGAFQWSA-N 0 0 294.326 2.987 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@@H](CC2CC2)C1 ZINC000359691419 381561018 /nfs/dbraw/zinc/56/10/18/381561018.db2.gz AHYUGOBBCDBRTL-LBPRGKRZSA-N 0 0 274.320 2.857 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000359705485 381569519 /nfs/dbraw/zinc/56/95/19/381569519.db2.gz GROHOASHHVTHTR-JTQLQIEISA-N 0 0 279.340 2.949 20 5 CFBDRN Cn1c(C(=O)NCC(C)(C)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000348480426 381586752 /nfs/dbraw/zinc/58/67/52/381586752.db2.gz TWJAMRCVOWCLOH-UHFFFAOYSA-N 0 0 281.356 2.735 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3ccccc3[N+](=O)[O-])C2)c1 ZINC000290890001 381587500 /nfs/dbraw/zinc/58/75/00/381587500.db2.gz TXOSGJPCIPNFFI-LBPRGKRZSA-N 0 0 272.308 2.551 20 5 CFBDRN CN(c1c(Cl)cc(Cl)cc1[N+](=O)[O-])C1CC(O)C1 ZINC000342858392 381589086 /nfs/dbraw/zinc/58/90/86/381589086.db2.gz YRFJWFYIPZBWBA-UHFFFAOYSA-N 0 0 291.134 2.861 20 5 CFBDRN CSCCCN(C)c1cccc(F)c1[N+](=O)[O-] ZINC000290907368 381592307 /nfs/dbraw/zinc/59/23/07/381592307.db2.gz GGAHAHMEHRIDJK-UHFFFAOYSA-N 0 0 258.318 2.923 20 5 CFBDRN Cc1cc(NCC2(O)CCCC2)c(F)cc1[N+](=O)[O-] ZINC000290912587 381594896 /nfs/dbraw/zinc/59/48/96/381594896.db2.gz GOAOFQCLBJKUHC-UHFFFAOYSA-N 0 0 268.288 2.759 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC2(CC2)C1 ZINC000185321635 381595120 /nfs/dbraw/zinc/59/51/20/381595120.db2.gz QDYOWLGMWRGSIE-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1c(CN2CCc3ccc(CO)cc32)cccc1[N+](=O)[O-] ZINC000371349801 381596861 /nfs/dbraw/zinc/59/68/61/381596861.db2.gz MNEWMFSFDULBII-UHFFFAOYSA-N 0 0 298.342 2.958 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342910489 381609522 /nfs/dbraw/zinc/60/95/22/381609522.db2.gz JNLREYNYNYRCQC-QMMMGPOBSA-N 0 0 293.348 2.557 20 5 CFBDRN Cc1cc(C(=O)N2CC3CCC2CC3)cc([N+](=O)[O-])c1 ZINC000371388105 381609866 /nfs/dbraw/zinc/60/98/66/381609866.db2.gz LXEGVIXIDDRGMD-UHFFFAOYSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1c(CNCc2ccn(C(F)F)n2)cccc1[N+](=O)[O-] ZINC000359793913 381614897 /nfs/dbraw/zinc/61/48/97/381614897.db2.gz HJXFHGMPDYPGDO-UHFFFAOYSA-N 0 0 296.277 2.785 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000298434334 381623683 /nfs/dbraw/zinc/62/36/83/381623683.db2.gz YVSOSSDXQGWJGZ-NSHDSACASA-N 0 0 262.309 2.857 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc([C@H]3CCCOC3)n2)c1 ZINC000359839055 381633962 /nfs/dbraw/zinc/63/39/62/381633962.db2.gz YPDCFPBCXZNNCF-QMMMGPOBSA-N 0 0 279.321 2.940 20 5 CFBDRN CNc1ccccc1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000342997421 381643032 /nfs/dbraw/zinc/64/30/32/381643032.db2.gz FTJWIBGISJVTTJ-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN CC1(CCNC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000348660548 381659452 /nfs/dbraw/zinc/65/94/52/381659452.db2.gz MHTNCMLOMJCGKS-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](Cc3ccncc3)C2)c1[N+](=O)[O-] ZINC000359903264 381667818 /nfs/dbraw/zinc/66/78/18/381667818.db2.gz SPRIKBIDOGTNTD-AWEZNQCLSA-N 0 0 298.346 2.762 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC(C2CCC2)C1 ZINC000343257603 381671753 /nfs/dbraw/zinc/67/17/53/381671753.db2.gz RUHQSWQSXJHMAT-UHFFFAOYSA-N 0 0 267.716 2.880 20 5 CFBDRN CC(C)(CCc1noc(C[C@H]2C=CCC2)n1)[N+](=O)[O-] ZINC000291062935 381652341 /nfs/dbraw/zinc/65/23/41/381652341.db2.gz KFJVINNGQJNGRY-JTQLQIEISA-N 0 0 265.313 2.566 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1Cl)CC(F)F ZINC000359991055 381715852 /nfs/dbraw/zinc/71/58/52/381715852.db2.gz HAVNYJMIUFQGFS-UHFFFAOYSA-N 0 0 264.659 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H](CO)C2)c1 ZINC000298570680 381677911 /nfs/dbraw/zinc/67/79/11/381677911.db2.gz KMHMQWIJAQGTAV-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000371743254 381690436 /nfs/dbraw/zinc/69/04/36/381690436.db2.gz IAADVGWMTOLIHY-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN COc1ccc(NC(=O)C[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000355227284 381691649 /nfs/dbraw/zinc/69/16/49/381691649.db2.gz BYSUPLBHMTTWHA-DTWKUNHWSA-N 0 0 264.281 2.588 20 5 CFBDRN Nc1nc(Nc2cccc(C3CCC3)c2)ncc1[N+](=O)[O-] ZINC000359953105 381696103 /nfs/dbraw/zinc/69/61/03/381696103.db2.gz UBDULONOXHNMFX-UHFFFAOYSA-N 0 0 285.307 2.808 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)C1(CCF)CC1 ZINC000291187296 381700772 /nfs/dbraw/zinc/70/07/72/381700772.db2.gz ADDRPUWGSFKZQX-UHFFFAOYSA-N 0 0 270.235 2.812 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCC[C@@H](CO)C1 ZINC000298671221 381705385 /nfs/dbraw/zinc/70/53/85/381705385.db2.gz UBHKSHPDGBXLCL-KOLCDFICSA-N 0 0 268.288 2.697 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)C1(CCF)CC1 ZINC000355288945 381729535 /nfs/dbraw/zinc/72/95/35/381729535.db2.gz KFARVXVFBAJEDL-UHFFFAOYSA-N 0 0 270.235 2.812 20 5 CFBDRN CCOC(=O)[C@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000298746750 381734097 /nfs/dbraw/zinc/73/40/97/381734097.db2.gz HSJKDNUWRIQWSS-LLVKDONJSA-N 0 0 298.364 2.574 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000185795251 381735893 /nfs/dbraw/zinc/73/58/93/381735893.db2.gz RQGQUQHBGVRQOI-UHFFFAOYSA-N 0 0 292.669 2.975 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCN(c3ccccc3)CC2)nc1 ZINC000298754908 381738819 /nfs/dbraw/zinc/73/88/19/381738819.db2.gz VOGVLQGHXIXWOY-UHFFFAOYSA-N 0 0 298.346 2.707 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000355311487 381742851 /nfs/dbraw/zinc/74/28/51/381742851.db2.gz WCVWILPOAJSPJH-LBPRGKRZSA-N 0 0 294.376 2.663 20 5 CFBDRN Cc1cc(NCC(=O)OC(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000360049464 381750682 /nfs/dbraw/zinc/75/06/82/381750682.db2.gz DMJFNOPVYRGIEQ-UHFFFAOYSA-N 0 0 284.287 2.796 20 5 CFBDRN Cc1ncccc1Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000348888455 381754744 /nfs/dbraw/zinc/75/47/44/381754744.db2.gz QVJLPGVAVBLAAV-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCC[C@H](CO)C1 ZINC000298709361 381720040 /nfs/dbraw/zinc/72/00/40/381720040.db2.gz VXUXAYWFWYISML-WCBMZHEXSA-N 0 0 285.731 2.606 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000291262246 381726330 /nfs/dbraw/zinc/72/63/30/381726330.db2.gz OUNLUIZXIBWZJA-NSHDSACASA-N 0 0 295.339 2.792 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000360992401 381800135 /nfs/dbraw/zinc/80/01/35/381800135.db2.gz CUERYJMUEPITGW-NXEZZACHSA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@@H](C2CC2)C1 ZINC000372265711 381783489 /nfs/dbraw/zinc/78/34/89/381783489.db2.gz BJLJRXTZRGAHEW-CQSZACIVSA-N 0 0 262.309 2.518 20 5 CFBDRN CN(CCC(=O)OC(C)(C)C)c1ccc(F)cc1[N+](=O)[O-] ZINC000343547374 381788595 /nfs/dbraw/zinc/78/85/95/381788595.db2.gz JDKJCWWVXUJUNC-UHFFFAOYSA-N 0 0 298.314 2.902 20 5 CFBDRN C[C@H]1C[C@@H](O)CCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000292168605 381790638 /nfs/dbraw/zinc/79/06/38/381790638.db2.gz DOELBBJPOPGJEG-WPRPVWTQSA-N 0 0 270.716 2.598 20 5 CFBDRN O=C(NC[C@@H]1CCCc2ccccc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000298840475 381763366 /nfs/dbraw/zinc/76/33/66/381763366.db2.gz GBWJNNBHJOYXTJ-LBPRGKRZSA-N 0 0 299.330 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCSC3)n2)cc1 ZINC000355439144 381771690 /nfs/dbraw/zinc/77/16/90/381771690.db2.gz GDWSZGHPHAASGH-VIFPVBQESA-N 0 0 277.305 2.865 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000343525369 381772480 /nfs/dbraw/zinc/77/24/80/381772480.db2.gz WZDQMMXWODCPGG-BDAKNGLRSA-N 0 0 285.731 2.728 20 5 CFBDRN Cn1nncc1-c1csc(-c2ccccc2[N+](=O)[O-])n1 ZINC000348964549 381777726 /nfs/dbraw/zinc/77/77/26/381777726.db2.gz LRRBXYBJLJJPEN-UHFFFAOYSA-N 0 0 287.304 2.514 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000185922300 381778394 /nfs/dbraw/zinc/77/83/94/381778394.db2.gz GLFPFRZVTNYTJW-MRVPVSSYSA-N 0 0 268.338 2.845 20 5 CFBDRN C[C@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])CCC1=O ZINC000291863407 381781060 /nfs/dbraw/zinc/78/10/60/381781060.db2.gz HZRKPMZJQHFMMJ-JTQLQIEISA-N 0 0 285.303 2.558 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000299106631 381825160 /nfs/dbraw/zinc/82/51/60/381825160.db2.gz XCGSQXHGJJWZQC-FZKGZDJFSA-N 0 0 260.293 2.523 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000415409352 381838987 /nfs/dbraw/zinc/83/89/87/381838987.db2.gz SZBAQCPFJAPNPO-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN CC(C)(C)CNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000299075335 381816629 /nfs/dbraw/zinc/81/66/29/381816629.db2.gz AOXNGGBNAVHBFL-UHFFFAOYSA-N 0 0 282.365 2.849 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000355957511 381817141 /nfs/dbraw/zinc/81/71/41/381817141.db2.gz ZHPGHEUEULYMMU-FOGDFJRCSA-N 0 0 291.351 2.805 20 5 CFBDRN CO[C@H](CNc1ccc(C(C)=O)cc1[N+](=O)[O-])C1CC1 ZINC000292647223 381817090 /nfs/dbraw/zinc/81/70/90/381817090.db2.gz BJYNYHNAZZTPTP-CQSZACIVSA-N 0 0 278.308 2.634 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H](C)C1CC1 ZINC000299278380 381881352 /nfs/dbraw/zinc/88/13/52/381881352.db2.gz ATTGDJXYHGRKHY-JTQLQIEISA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000372872615 381882550 /nfs/dbraw/zinc/88/25/50/381882550.db2.gz WFWJMJCOHDVRKQ-SNVBAGLBSA-N 0 0 289.335 2.582 20 5 CFBDRN COCC1(CCNc2ncc(C)cc2[N+](=O)[O-])CCC1 ZINC000292821572 381850295 /nfs/dbraw/zinc/85/02/95/381850295.db2.gz OZNMETSTBCEKJY-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ccon1 ZINC000349231278 381855786 /nfs/dbraw/zinc/85/57/86/381855786.db2.gz ACMNSBQPEMHADX-UWVGGRQHSA-N 0 0 261.281 2.995 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCSCC1 ZINC000299208258 381860443 /nfs/dbraw/zinc/86/04/43/381860443.db2.gz RSOXQOPIMLSCBE-SNVBAGLBSA-N 0 0 252.339 2.705 20 5 CFBDRN CCc1nocc1CN(C)c1ccc([N+](=O)[O-])c(N)c1F ZINC000292852517 381864538 /nfs/dbraw/zinc/86/45/38/381864538.db2.gz RMOACVOYQSXUPH-UHFFFAOYSA-N 0 0 294.286 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCSc1ccccc1 ZINC000349250156 381865879 /nfs/dbraw/zinc/86/58/79/381865879.db2.gz FRRSRSZATUVCFN-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000356897353 381894655 /nfs/dbraw/zinc/89/46/55/381894655.db2.gz POJVLZVWJWUKSW-ZANVPECISA-N 0 0 296.323 2.603 20 5 CFBDRN Cc1ccccc1OCCCn1nccc1[N+](=O)[O-] ZINC000299355437 381909539 /nfs/dbraw/zinc/90/95/39/381909539.db2.gz DPDABSTWQHCWFE-UHFFFAOYSA-N 0 0 261.281 2.569 20 5 CFBDRN CN(Cc1nccn1C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000299414958 381931444 /nfs/dbraw/zinc/93/14/44/381931444.db2.gz INRPPOZGKSCKAJ-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN C[N@@H+]1CCC[C@@]2(CCN(c3ccc([N+](=O)[O-])cc3F)C2)C1 ZINC000292892409 381888147 /nfs/dbraw/zinc/88/81/47/381888147.db2.gz VWRYXHAXEQNMEA-OAHLLOKOSA-N 0 0 293.342 2.656 20 5 CFBDRN O=C(CN1CCCC12CCC2)Nc1ccccc1[N+](=O)[O-] ZINC000373104095 381929241 /nfs/dbraw/zinc/92/92/41/381929241.db2.gz LOEKKSFRCLWCKY-UHFFFAOYSA-N 0 0 289.335 2.552 20 5 CFBDRN Cc1cc(Cc2noc(-c3ccccc3[N+](=O)[O-])n2)no1 ZINC000356895706 381893208 /nfs/dbraw/zinc/89/32/08/381893208.db2.gz QAJQAHIFDUOUKF-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCC1CCOCC1 ZINC000292899702 381893368 /nfs/dbraw/zinc/89/33/68/381893368.db2.gz JXFLGNYNDOGSGL-UHFFFAOYSA-N 0 0 276.336 2.774 20 5 CFBDRN CC[C@@H](Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)OC ZINC000416904805 381964879 /nfs/dbraw/zinc/96/48/79/381964879.db2.gz IKSACYUTPFTASC-AWEZNQCLSA-N 0 0 275.308 2.883 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000186641998 381971949 /nfs/dbraw/zinc/97/19/49/381971949.db2.gz BFXQODAVRBSEHH-GHMZBOCLSA-N 0 0 278.308 2.834 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])c1c(F)cncc1F ZINC000343799603 381947528 /nfs/dbraw/zinc/94/75/28/381947528.db2.gz ZKOIEDDSNRGSBZ-ZETCQYMHSA-N 0 0 280.234 2.836 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(CF)C1 ZINC000417148336 382007381 /nfs/dbraw/zinc/00/73/81/382007381.db2.gz GXVGXTXNBZXXJP-UHFFFAOYSA-N 0 0 281.287 2.590 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc3ncccc3c2[N+](=O)[O-])[nH]1 ZINC000343905022 382012163 /nfs/dbraw/zinc/01/21/63/382012163.db2.gz AUMKYXHMMOSDGE-MRVPVSSYSA-N 0 0 298.306 2.743 20 5 CFBDRN Cc1noc(C)c1C(=O)COc1cccc(C)c1[N+](=O)[O-] ZINC000186651753 381975252 /nfs/dbraw/zinc/97/52/52/381975252.db2.gz HZTZFJZPUOQVIF-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN CC[C@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])c1ccccc1 ZINC000299546216 381977124 /nfs/dbraw/zinc/97/71/24/381977124.db2.gz PTMZLYYDRQTIDO-NSHDSACASA-N 0 0 288.307 2.507 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCC[C@H]1CF ZINC000293084512 381982867 /nfs/dbraw/zinc/98/28/67/381982867.db2.gz PCOLLYIFNPDNEV-ZDUSSCGKSA-N 0 0 282.315 2.927 20 5 CFBDRN C[C@@H]1CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000299570460 381986558 /nfs/dbraw/zinc/98/65/58/381986558.db2.gz BFJVMLQTFPKDDO-SNVBAGLBSA-N 0 0 280.349 2.555 20 5 CFBDRN Cn1c(-c2nc(-c3ccccc3)no2)ccc1[N+](=O)[O-] ZINC000349859844 381995092 /nfs/dbraw/zinc/99/50/92/381995092.db2.gz MMSVZPQWCGYMAZ-UHFFFAOYSA-N 0 0 270.248 2.650 20 5 CFBDRN CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)CC)cc1OC ZINC000299592895 381995106 /nfs/dbraw/zinc/99/51/06/381995106.db2.gz KVBADIUMQWXCMK-SECBINFHSA-N 0 0 296.323 2.530 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC(Cc2cccnc2)CC1 ZINC000374491347 382047273 /nfs/dbraw/zinc/04/72/73/382047273.db2.gz ZGEFEYSANFYZBG-UHFFFAOYSA-N 0 0 298.346 2.844 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000343985374 382049453 /nfs/dbraw/zinc/04/94/53/382049453.db2.gz NSGHRTMKABYTEX-SMDDNHRTSA-N 0 0 291.351 2.702 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2N)CC1 ZINC000300239382 382059095 /nfs/dbraw/zinc/05/90/95/382059095.db2.gz KCJQOLGUPJDSNO-CZMCAQCFSA-N 0 0 295.314 2.625 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@@H]1C ZINC000362345933 382017157 /nfs/dbraw/zinc/01/71/57/382017157.db2.gz RABCBTJCFVIXGL-BXKDBHETSA-N 0 0 278.308 2.521 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CCOC(C)C ZINC000362344704 382018010 /nfs/dbraw/zinc/01/80/10/382018010.db2.gz IAGJOZXPIKOSFW-UHFFFAOYSA-N 0 0 280.324 2.911 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@H](C)CC(=O)[O-])cc1[N+](=O)[O-] ZINC000362349341 382020786 /nfs/dbraw/zinc/02/07/86/382020786.db2.gz URZMVYPYRAGMDX-SNVBAGLBSA-N 0 0 280.324 2.671 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000299684380 382026225 /nfs/dbraw/zinc/02/62/25/382026225.db2.gz SVUPRODNTAPKBC-GFCCVEGCSA-N 0 0 292.335 2.864 20 5 CFBDRN CCc1csc(NCc2ccc(OC)c([N+](=O)[O-])c2)n1 ZINC000362368079 382027426 /nfs/dbraw/zinc/02/74/26/382027426.db2.gz ABFWLZPTEZJSCH-UHFFFAOYSA-N 0 0 293.348 2.656 20 5 CFBDRN CCNC(=O)[C@@H](Nc1cc(C)c([N+](=O)[O-])cc1F)C(C)C ZINC000293220940 382033942 /nfs/dbraw/zinc/03/39/42/382033942.db2.gz VJTZPRYFXDSNCS-ZDUSSCGKSA-N 0 0 297.330 2.615 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(C)=C[C@H](C)C1 ZINC000362377245 382035298 /nfs/dbraw/zinc/03/52/98/382035298.db2.gz AZTOVRIEMQSWDQ-VIFPVBQESA-N 0 0 264.329 2.522 20 5 CFBDRN Cn1c(C(=O)Nc2ccc3ccoc3c2)ccc1[N+](=O)[O-] ZINC000343965896 382038834 /nfs/dbraw/zinc/03/88/34/382038834.db2.gz FYIQMOOGYKRUCH-UHFFFAOYSA-N 0 0 285.259 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCOc1cccc(F)c1 ZINC000301197780 382103743 /nfs/dbraw/zinc/10/37/43/382103743.db2.gz ORUQPZCASDFKFL-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN COc1cc(CN(C)Cc2ccoc2)ccc1[N+](=O)[O-] ZINC000351978763 382104321 /nfs/dbraw/zinc/10/43/21/382104321.db2.gz ZNCYTDHPBIQTDS-UHFFFAOYSA-N 0 0 276.292 2.828 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000301221456 382108215 /nfs/dbraw/zinc/10/82/15/382108215.db2.gz BZPZHPWBOGSVBO-TVQRCGJNSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])cc1F ZINC000301220191 382109204 /nfs/dbraw/zinc/10/92/04/382109204.db2.gz ATUAYSJACUNEBP-QPUJVOFHSA-N 0 0 256.277 2.553 20 5 CFBDRN CC1(C)CC[C@@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)O1 ZINC000187145748 382110440 /nfs/dbraw/zinc/11/04/40/382110440.db2.gz WJTIVVUTFNHBPQ-LBPRGKRZSA-N 0 0 293.323 2.674 20 5 CFBDRN CC1(C)CC[C@@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)O1 ZINC000187142600 382110836 /nfs/dbraw/zinc/11/08/36/382110836.db2.gz XFMWZPGNMAISOD-LBPRGKRZSA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C(C)(C)C)C1 ZINC000344084074 382118660 /nfs/dbraw/zinc/11/86/60/382118660.db2.gz FLDCSVWSVCGQNA-NSHDSACASA-N 0 0 291.351 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCOC2)c(OC(F)F)c1 ZINC000357341261 382119554 /nfs/dbraw/zinc/11/95/54/382119554.db2.gz VKNOXFMFMMNVGU-MRVPVSSYSA-N 0 0 288.250 2.645 20 5 CFBDRN CSCCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000301063918 382070733 /nfs/dbraw/zinc/07/07/33/382070733.db2.gz VYZMHZQTZMIYOI-UHFFFAOYSA-N 0 0 254.311 2.572 20 5 CFBDRN C[C@@H]1CN(CC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000293307599 382071809 /nfs/dbraw/zinc/07/18/09/382071809.db2.gz FHSBKACUHMGNLF-BDAKNGLRSA-N 0 0 297.742 2.527 20 5 CFBDRN CNC(=O)c1cccc(CNc2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000301073317 382074207 /nfs/dbraw/zinc/07/42/07/382074207.db2.gz DPXQTBSRFATBBE-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1nccn1C(F)F ZINC000301104662 382082505 /nfs/dbraw/zinc/08/25/05/382082505.db2.gz PHNJWQMCQGEVEQ-UHFFFAOYSA-N 0 0 286.213 2.938 20 5 CFBDRN Cc1cc(N[C@H](C(N)=O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000301105862 382082636 /nfs/dbraw/zinc/08/26/36/382082636.db2.gz NBZJDEOHWQJYAL-AWEZNQCLSA-N 0 0 285.303 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nccn2C(F)F)c(F)c1 ZINC000301125836 382087478 /nfs/dbraw/zinc/08/74/78/382087478.db2.gz YMMQGOHTKUXJTM-UHFFFAOYSA-N 0 0 286.213 2.938 20 5 CFBDRN Cc1cn2c(n1)CC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])C2 ZINC000301132025 382089850 /nfs/dbraw/zinc/08/98/50/382089850.db2.gz SPIAJROTIZHNOL-LLVKDONJSA-N 0 0 290.298 2.666 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H](O)c1ccco1 ZINC000301146693 382092640 /nfs/dbraw/zinc/09/26/40/382092640.db2.gz BAZXPSOPEVGLKZ-NSHDSACASA-N 0 0 262.265 2.642 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1cccnc1N(C)C ZINC000301152693 382092869 /nfs/dbraw/zinc/09/28/69/382092869.db2.gz DTNJJSMBIIQEJA-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CC(C)[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1 ZINC000301162812 382096562 /nfs/dbraw/zinc/09/65/62/382096562.db2.gz PYRNGHRANVWZIE-GFCCVEGCSA-N 0 0 287.323 2.776 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](n3cccn3)C2)c(F)c1 ZINC000301177449 382097686 /nfs/dbraw/zinc/09/76/86/382097686.db2.gz LXZJEFKUGINUBC-LBPRGKRZSA-N 0 0 290.298 2.772 20 5 CFBDRN COc1ncccc1OCc1cccc([N+](=O)[O-])c1 ZINC000357308474 382098069 /nfs/dbraw/zinc/09/80/69/382098069.db2.gz QHNBUAUQISTHGT-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000352103042 382173715 /nfs/dbraw/zinc/17/37/15/382173715.db2.gz XLJOWQZJNRBNEV-TVQRCGJNSA-N 0 0 296.298 2.878 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000187404991 382174204 /nfs/dbraw/zinc/17/42/04/382174204.db2.gz KBINETRDAJRCHW-JQWIXIFHSA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301272074 382132244 /nfs/dbraw/zinc/13/22/44/382132244.db2.gz RDVBUXFTTCWFPJ-LBPRGKRZSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000301280766 382134846 /nfs/dbraw/zinc/13/48/46/382134846.db2.gz MKMICPWRVVEMPY-OAHLLOKOSA-N 0 0 272.304 2.914 20 5 CFBDRN Cc1cccc(N2CC[C@]3(C2)CCCOC3)c1[N+](=O)[O-] ZINC000376474705 382137472 /nfs/dbraw/zinc/13/74/72/382137472.db2.gz LGSDSPPUWHMQTQ-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC1(OC)CCC1 ZINC000418864286 382183603 /nfs/dbraw/zinc/18/36/03/382183603.db2.gz KFHAVZKIYLYADN-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cc1Cl)Cn1cccn1 ZINC000301287840 382138542 /nfs/dbraw/zinc/13/85/42/382138542.db2.gz RNKJPJLIHCKUHW-SECBINFHSA-N 0 0 295.730 2.588 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@@H](C(C)C)CC2)c1[N+](=O)[O-] ZINC000301295074 382140903 /nfs/dbraw/zinc/14/09/03/382140903.db2.gz OWSOLPMQDFLUKZ-GFCCVEGCSA-N 0 0 280.372 2.899 20 5 CFBDRN COc1cc(C=Cc2nc(C)cc(=O)[nH]2)ccc1[N+](=O)[O-] ZINC000352041378 382145000 /nfs/dbraw/zinc/14/50/00/382145000.db2.gz XXJWYJUNUHAECQ-GQCTYLIASA-N 0 0 287.275 2.578 20 5 CFBDRN CCc1cc(CNc2ccc(F)cc2[N+](=O)[O-])on1 ZINC000301338561 382152228 /nfs/dbraw/zinc/15/22/28/382152228.db2.gz HHBWCKYDTNMIIS-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCC[C@@]2(C)CO)c([N+](=O)[O-])c1 ZINC000301348107 382154919 /nfs/dbraw/zinc/15/49/19/382154919.db2.gz IUJBSBUUTGRZOY-GJZGRUSLSA-N 0 0 292.335 2.760 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1ccc(C)cc1 ZINC000301348685 382155731 /nfs/dbraw/zinc/15/57/31/382155731.db2.gz IYGZIFGILZOLIR-LLVKDONJSA-N 0 0 287.323 2.839 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1cccc2ocnc21 ZINC000357405258 382156381 /nfs/dbraw/zinc/15/63/81/382156381.db2.gz XWGMHVUWJXFIGM-UHFFFAOYSA-N 0 0 297.270 2.917 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000301354929 382157878 /nfs/dbraw/zinc/15/78/78/382157878.db2.gz NZSZVYIQMCVRQY-NEPJUHHUSA-N 0 0 277.324 2.749 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2COC)C1 ZINC000301380408 382162693 /nfs/dbraw/zinc/16/26/93/382162693.db2.gz ZZPFUVCWICKHCI-CQSZACIVSA-N 0 0 294.351 2.747 20 5 CFBDRN O=C(Nc1cccc2ocnc21)c1ccccc1[N+](=O)[O-] ZINC000357427571 382166851 /nfs/dbraw/zinc/16/68/51/382166851.db2.gz QSJDQLNYYDMESN-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCC(F)(F)F ZINC000362607263 382170049 /nfs/dbraw/zinc/17/00/49/382170049.db2.gz UIFRBSOOOBETNS-UHFFFAOYSA-N 0 0 276.214 2.585 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccsc2)cc1 ZINC000302299996 382236489 /nfs/dbraw/zinc/23/64/89/382236489.db2.gz YLKBGZYVPONZOT-LBPRGKRZSA-N 0 0 264.306 2.802 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000357517520 382202757 /nfs/dbraw/zinc/20/27/57/382202757.db2.gz UDAMPKQWZXIVTL-UWVGGRQHSA-N 0 0 279.340 2.930 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000344216830 382203882 /nfs/dbraw/zinc/20/38/82/382203882.db2.gz UEDPPBNSRFKABS-MNOVXSKESA-N 0 0 279.340 2.632 20 5 CFBDRN Cc1cn(C2CC(OC(C)(C)C)C2)nc1[N+](=O)[O-] ZINC000418978803 382204414 /nfs/dbraw/zinc/20/44/14/382204414.db2.gz ZXDVCMKCRGUGAL-UHFFFAOYSA-N 0 0 253.302 2.618 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])ncn1C)[C@@H]1CC1(C)C ZINC000418983969 382206028 /nfs/dbraw/zinc/20/60/28/382206028.db2.gz DJXBFVSXTLRQFA-DTWKUNHWSA-N 0 0 252.318 2.565 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@H](O)C(C)C ZINC000357528666 382209307 /nfs/dbraw/zinc/20/93/07/382209307.db2.gz LTYPZPWWKCOVBN-NSHDSACASA-N 0 0 272.732 2.985 20 5 CFBDRN COc1ccc(OCCc2cscn2)cc1[N+](=O)[O-] ZINC000418995999 382211001 /nfs/dbraw/zinc/21/10/01/382211001.db2.gz QICOFKDWAUMPQC-UHFFFAOYSA-N 0 0 280.305 2.681 20 5 CFBDRN COCCCCN(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000419029609 382221700 /nfs/dbraw/zinc/22/17/00/382221700.db2.gz YQNQEPDLVHYZRP-UHFFFAOYSA-N 0 0 256.277 2.597 20 5 CFBDRN Cc1cccc(C)c1[C@H](C)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000302235575 382225282 /nfs/dbraw/zinc/22/52/82/382225282.db2.gz UYXYWMUYZQOFKJ-JTQLQIEISA-N 0 0 287.323 2.757 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCCOCC1 ZINC000363378250 382232130 /nfs/dbraw/zinc/23/21/30/382232130.db2.gz SOEJZQRGGQGWLV-UHFFFAOYSA-N 0 0 290.241 2.840 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344189817 382189127 /nfs/dbraw/zinc/18/91/27/382189127.db2.gz OFOITZPDLCGIPG-JTQLQIEISA-N 0 0 278.283 2.820 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@@H]([C@H](C)O)C1 ZINC000301759423 382191374 /nfs/dbraw/zinc/19/13/74/382191374.db2.gz FMFGXXJOPSOXOI-NWDGAFQWSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@H]([C@H](C)O)C1 ZINC000301789176 382192216 /nfs/dbraw/zinc/19/22/16/382192216.db2.gz QPJRWYCYJDIMIR-RYUDHWBXSA-N 0 0 264.325 2.500 20 5 CFBDRN COCC[C@H]1CCCCN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000364157267 382276010 /nfs/dbraw/zinc/27/60/10/382276010.db2.gz YJNYTRYXTSUZQF-CYBMUJFWSA-N 0 0 293.367 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC([C@@H]2CCOC2)CC1 ZINC000364187257 382278026 /nfs/dbraw/zinc/27/80/26/382278026.db2.gz JJLKVWBPHHLEED-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN CSC[C@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000187909026 382292860 /nfs/dbraw/zinc/29/28/60/382292860.db2.gz QWTNSAFHEKQLFT-NSHDSACASA-N 0 0 273.379 2.552 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)c1ccc(F)cc1 ZINC000357689797 382280498 /nfs/dbraw/zinc/28/04/98/382280498.db2.gz PIHDYVASJKPYAA-UHFFFAOYSA-N 0 0 291.282 2.739 20 5 CFBDRN CC[C@]1(C)CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000302662085 382285222 /nfs/dbraw/zinc/28/52/22/382285222.db2.gz LVAXECUIIYFTMV-LLVKDONJSA-N 0 0 256.327 2.662 20 5 CFBDRN CCCNC(=O)CCNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000302662979 382286374 /nfs/dbraw/zinc/28/63/74/382286374.db2.gz ITJLBHXDUHFFIY-UHFFFAOYSA-N 0 0 299.758 2.885 20 5 CFBDRN CN(Cc1ncc[nH]1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352359619 382288183 /nfs/dbraw/zinc/28/81/83/382288183.db2.gz IQYSSLDXAOCSNG-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN COC(=O)[C@H](C)Sc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352358005 382288994 /nfs/dbraw/zinc/28/89/94/382288994.db2.gz UXCJEMZKBQNLGU-QMMMGPOBSA-N 0 0 292.316 2.797 20 5 CFBDRN Cc1ccnc(N2CCC([C@@H]3CCOC3)CC2)c1[N+](=O)[O-] ZINC000363653774 382239959 /nfs/dbraw/zinc/23/99/59/382239959.db2.gz XKXPAGGEKCZUAB-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN CCC[C@@H](CNc1ncnc2sc([N+](=O)[O-])cc21)OC ZINC000293717407 382248016 /nfs/dbraw/zinc/24/80/16/382248016.db2.gz QEGCATHSYBQUMG-QMMMGPOBSA-N 0 0 296.352 2.827 20 5 CFBDRN Cc1cc(N2CC[C@@H](O)[C@@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000302433018 382249609 /nfs/dbraw/zinc/24/96/09/382249609.db2.gz PCEUJAPHDMOXCR-TVQRCGJNSA-N 0 0 284.743 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCCCCC1)CCN2 ZINC000302475139 382253959 /nfs/dbraw/zinc/25/39/59/382253959.db2.gz UZMAYTBSAHSSKD-UHFFFAOYSA-N 0 0 261.325 2.943 20 5 CFBDRN CC1(C)CC[C@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])O1 ZINC000302469611 382254286 /nfs/dbraw/zinc/25/42/86/382254286.db2.gz VVOZPMQZBCRJEP-SNVBAGLBSA-N 0 0 295.295 2.873 20 5 CFBDRN Cc1ccnc(Oc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000302512568 382259912 /nfs/dbraw/zinc/25/99/12/382259912.db2.gz XOAXJNJDACCHAE-UHFFFAOYSA-N 0 0 263.228 2.933 20 5 CFBDRN Cc1cccc(N2CC[C@@]3(CCOC3)C2)c1[N+](=O)[O-] ZINC000302507762 382260036 /nfs/dbraw/zinc/26/00/36/382260036.db2.gz RIOOVPBHQWOGFW-CQSZACIVSA-N 0 0 262.309 2.520 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]3OCCC[C@@H]23)c(F)cc1[N+](=O)[O-] ZINC000302514215 382260075 /nfs/dbraw/zinc/26/00/75/382260075.db2.gz ADXWYVWUYAWXRH-IYYTYJHQSA-N 0 0 296.298 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCOCC1CCC1)CC2 ZINC000419255939 382261542 /nfs/dbraw/zinc/26/15/42/382261542.db2.gz YYSHBIJBRRGRGC-UHFFFAOYSA-N 0 0 276.336 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H]3OCCC[C@H]23)c(F)c1 ZINC000302519793 382262261 /nfs/dbraw/zinc/26/22/61/382262261.db2.gz BJYSOJUYSKXJEW-OASPWFOLSA-N 0 0 266.272 2.713 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c([N+](=O)[O-])c1 ZINC000302544386 382265227 /nfs/dbraw/zinc/26/52/27/382265227.db2.gz IKGHMMCKPCEHEQ-LNSITVRQSA-N 0 0 290.319 2.777 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000352302799 382267346 /nfs/dbraw/zinc/26/73/46/382267346.db2.gz LOAMSLGAUGDLHW-VIFPVBQESA-N 0 0 266.272 2.510 20 5 CFBDRN CCOc1ccc(C(=O)NC[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000352306613 382268837 /nfs/dbraw/zinc/26/88/37/382268837.db2.gz XVLCPINUJUAHMH-NSHDSACASA-N 0 0 292.335 2.769 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]3OCCC[C@H]23)c([N+](=O)[O-])cc1F ZINC000302575278 382272646 /nfs/dbraw/zinc/27/26/46/382272646.db2.gz RMEJBFZFFPVSFK-ZDSQKVDBSA-N 0 0 296.298 2.722 20 5 CFBDRN CC(C)(C)OCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293857968 382309282 /nfs/dbraw/zinc/30/92/82/382309282.db2.gz DDPHANQYYPZKAQ-UHFFFAOYSA-N 0 0 294.351 2.761 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H](O)CC2CCCC2)nc1C1CC1 ZINC000352436530 382332391 /nfs/dbraw/zinc/33/23/91/382332391.db2.gz HTAJLWIYRLOZRW-GFCCVEGCSA-N 0 0 279.340 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(OC(F)F)cn2)nc1 ZINC000302839528 382332414 /nfs/dbraw/zinc/33/24/14/382332414.db2.gz ZIZFCSMKFJRQSN-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN CN1C(=O)Cc2cc(Nc3ccc([N+](=O)[O-])cc3)ccc21 ZINC000302847760 382333975 /nfs/dbraw/zinc/33/39/75/382333975.db2.gz HJCRYXVAGPYBCE-UHFFFAOYSA-N 0 0 283.287 2.857 20 5 CFBDRN COCC1(CNc2ncccc2[N+](=O)[O-])CCCCC1 ZINC000357811839 382334263 /nfs/dbraw/zinc/33/42/63/382334263.db2.gz QZPBXXYQBVXYLX-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1nnc(C2CC2)o1 ZINC000302873339 382340383 /nfs/dbraw/zinc/34/03/83/382340383.db2.gz CZEVUVSNRDVYLB-UHFFFAOYSA-N 0 0 266.282 2.529 20 5 CFBDRN CCCC[C@H](C)N(C)c1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000357829860 382340588 /nfs/dbraw/zinc/34/05/88/382340588.db2.gz SEFZHNYLOIDMDR-JTQLQIEISA-N 0 0 279.340 2.709 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302879024 382341730 /nfs/dbraw/zinc/34/17/30/382341730.db2.gz HJQAKMGFIUWWQO-ZUZCIYMTSA-N 0 0 293.367 2.772 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCO[C@H](C2CCCCC2)C1 ZINC000364633335 382343021 /nfs/dbraw/zinc/34/30/21/382343021.db2.gz MZANOYTXPAASPN-AWEZNQCLSA-N 0 0 291.351 2.775 20 5 CFBDRN CCOC(=O)C1(CNc2ccc([N+](=O)[O-])cn2)CCCC1 ZINC000302701120 382296078 /nfs/dbraw/zinc/29/60/78/382296078.db2.gz FTXXPXOXYMOEHT-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN CCN(C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1)C1CC1 ZINC000187915202 382296779 /nfs/dbraw/zinc/29/67/79/382296779.db2.gz CFOYOAJMKNDYJS-UHFFFAOYSA-N 0 0 278.308 2.536 20 5 CFBDRN COc1cc(N(C)[C@@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000302710762 382299597 /nfs/dbraw/zinc/29/95/97/382299597.db2.gz ZIHZVBKDYDMPCR-JTQLQIEISA-N 0 0 290.323 2.534 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000345361466 382300251 /nfs/dbraw/zinc/30/02/51/382300251.db2.gz UBRPBXFIGWPZFP-QWRGUYRKSA-N 0 0 279.340 2.632 20 5 CFBDRN COCCC1(NC(=O)c2cccc([N+](=O)[O-])c2C)CCC1 ZINC000357743329 382301408 /nfs/dbraw/zinc/30/14/08/382301408.db2.gz GJFDCPDAYZUJBQ-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCc2ncccn2)cc1 ZINC000188126338 382351262 /nfs/dbraw/zinc/35/12/62/382351262.db2.gz CZJCKRLVUROFOZ-UHFFFAOYSA-N 0 0 261.306 2.818 20 5 CFBDRN CN(C)C(=O)CCCSCc1ccc([N+](=O)[O-])cc1 ZINC000188126270 382351513 /nfs/dbraw/zinc/35/15/13/382351513.db2.gz LYUBVRQBZNZMQM-UHFFFAOYSA-N 0 0 282.365 2.696 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000357852389 382351947 /nfs/dbraw/zinc/35/19/47/382351947.db2.gz OHCFPRIVJPBMLD-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)C2CCCCCC2)c1[N+](=O)[O-] ZINC000188131716 382352759 /nfs/dbraw/zinc/35/27/59/382352759.db2.gz MVVKWVPAZNFNBH-UHFFFAOYSA-N 0 0 294.355 2.675 20 5 CFBDRN CC(C)C1(NC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000293968921 382358881 /nfs/dbraw/zinc/35/88/81/382358881.db2.gz QMOBCBUFCKQREM-UHFFFAOYSA-N 0 0 254.311 2.575 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000293970023 382360630 /nfs/dbraw/zinc/36/06/30/382360630.db2.gz HRUIIWVFXVCCKB-LSDHHAIUSA-N 0 0 274.320 2.743 20 5 CFBDRN CC(C)[C@@]1(CO)CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000377979225 382378847 /nfs/dbraw/zinc/37/88/47/382378847.db2.gz WFOVKKMJBSVLQA-AWEZNQCLSA-N 0 0 282.315 2.579 20 5 CFBDRN COCC1(CCNC(=O)c2ccccc2[N+](=O)[O-])CCC1 ZINC000294024414 382383439 /nfs/dbraw/zinc/38/34/39/382383439.db2.gz ZQCHVQUDNDMEQY-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN Nc1ccc(C(=O)N2C[C@@H]3CCCCC[C@H]32)cc1[N+](=O)[O-] ZINC000378094726 382398615 /nfs/dbraw/zinc/39/86/15/382398615.db2.gz YKTGYNIDEWTGDJ-WCQYABFASA-N 0 0 289.335 2.582 20 5 CFBDRN CC[C@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000302892280 382347889 /nfs/dbraw/zinc/34/78/89/382347889.db2.gz QAIZRDWQBQMWNO-JTQLQIEISA-N 0 0 261.325 2.799 20 5 CFBDRN CC1(C)CCCCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420612882 382426266 /nfs/dbraw/zinc/42/62/66/382426266.db2.gz QCFVXVNYWFPLJX-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)C[C@H](CC)O1 ZINC000420617259 382428535 /nfs/dbraw/zinc/42/85/35/382428535.db2.gz AZYDPMDREATMTD-UWVGGRQHSA-N 0 0 297.330 2.710 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000346597371 382429246 /nfs/dbraw/zinc/42/92/46/382429246.db2.gz PHVZZPHLMCDLFB-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)CCO1 ZINC000420620695 382429773 /nfs/dbraw/zinc/42/97/73/382429773.db2.gz QRVURKOUUUPPRZ-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@H]1CN(c2cc(C)c([N+](=O)[O-])cn2)C[C@H](CC)O1 ZINC000420634176 382436878 /nfs/dbraw/zinc/43/68/78/382436878.db2.gz SVMJNHQEGXLUKB-RYUDHWBXSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc2ccccc2n1 ZINC000346610995 382436912 /nfs/dbraw/zinc/43/69/12/382436912.db2.gz RKCQCMCXUPENSF-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN CC[C@H]1CN(c2ncc([N+](=O)[O-])cc2C)C[C@H](CC)O1 ZINC000420636540 382438058 /nfs/dbraw/zinc/43/80/58/382438058.db2.gz VFLKRLGHOPISJI-STQMWFEESA-N 0 0 279.340 2.692 20 5 CFBDRN CN(C(=O)c1c(N)cccc1[N+](=O)[O-])[C@@H]1CCC(C)(C)C1 ZINC000358073577 382438062 /nfs/dbraw/zinc/43/80/62/382438062.db2.gz INPATICZWLMOAX-SNVBAGLBSA-N 0 0 291.351 2.828 20 5 CFBDRN CCOc1cc(OCc2noc(CC)n2)ccc1[N+](=O)[O-] ZINC000188606225 382443341 /nfs/dbraw/zinc/44/33/41/382443341.db2.gz FEQSJPWWVLFSHG-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN CCN(CCc1ccccc1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294173676 382444862 /nfs/dbraw/zinc/44/48/62/382444862.db2.gz AASYJTUNMPLNIU-UHFFFAOYSA-N 0 0 292.379 2.913 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)[C@H]1C ZINC000294061114 382399554 /nfs/dbraw/zinc/39/95/54/382399554.db2.gz KYSHZFCABXQOLA-UWVGGRQHSA-N 0 0 285.303 2.623 20 5 CFBDRN COc1nn(C)cc1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000352601451 382411316 /nfs/dbraw/zinc/41/13/16/382411316.db2.gz FVLUBUPXZOVFDC-UHFFFAOYSA-N 0 0 299.290 2.629 20 5 CFBDRN COc1cc(C(=O)N2CCCC23CCC3)ccc1[N+](=O)[O-] ZINC000365605961 382420103 /nfs/dbraw/zinc/42/01/03/382420103.db2.gz ZYYJYKIDIQNAKT-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000358035075 382420739 /nfs/dbraw/zinc/42/07/39/382420739.db2.gz KLAHPTOQUGDDGK-MNOVXSKESA-N 0 0 294.326 2.829 20 5 CFBDRN COCCCCCN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352706591 382452624 /nfs/dbraw/zinc/45/26/24/382452624.db2.gz OJSHWXFFILTDNN-UHFFFAOYSA-N 0 0 294.351 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(CCCCF)CCO2 ZINC000352705304 382453063 /nfs/dbraw/zinc/45/30/63/382453063.db2.gz JNEQSXRBAYHXEN-UHFFFAOYSA-N 0 0 268.288 2.539 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000378403182 382455621 /nfs/dbraw/zinc/45/56/21/382455621.db2.gz NNBXUWKCHDXLRL-STQMWFEESA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@](O)(C3CC3)C2)c(Cl)c1 ZINC000365976285 382465870 /nfs/dbraw/zinc/46/58/70/382465870.db2.gz NZQSTLJFEJTJIY-ZDUSSCGKSA-N 0 0 282.727 2.599 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000294222072 382466084 /nfs/dbraw/zinc/46/60/84/382466084.db2.gz YUHZWMRLZPNLJI-MRVPVSSYSA-N 0 0 254.311 2.589 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(CC)CC2CCC2)c1[N+](=O)[O-] ZINC000358143212 382467292 /nfs/dbraw/zinc/46/72/92/382467292.db2.gz BUTCTTDGYOFOPQ-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN CC[C@H](C)N(CCO)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000310801025 382497735 /nfs/dbraw/zinc/49/77/35/382497735.db2.gz SZIQEDNZKUJDST-VIFPVBQESA-N 0 0 272.732 2.845 20 5 CFBDRN C[C@H]1CCOCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000378493093 382472731 /nfs/dbraw/zinc/47/27/31/382472731.db2.gz IPRNPXCASURHOH-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN COc1cc(C(=O)N2CCCCCC2)c([N+](=O)[O-])cc1F ZINC000294246077 382476156 /nfs/dbraw/zinc/47/61/56/382476156.db2.gz OACSZFPAYLWHJA-UHFFFAOYSA-N 0 0 296.298 2.759 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1ccc([N+](=O)[O-])c(C)n1)OC ZINC000294245403 382476277 /nfs/dbraw/zinc/47/62/77/382476277.db2.gz POAHASXBFFZZCH-BXKDBHETSA-N 0 0 267.329 2.771 20 5 CFBDRN CC(C)(CCNC(=O)c1ccc([N+](=O)[O-])o1)C1CC1 ZINC000294252903 382478602 /nfs/dbraw/zinc/47/86/02/382478602.db2.gz SYFBBNLUTSFNBY-UHFFFAOYSA-N 0 0 266.297 2.744 20 5 CFBDRN Cc1nn(C)c(Nc2cnn(CC(C)C)c2C)c1[N+](=O)[O-] ZINC000346699029 382482112 /nfs/dbraw/zinc/48/21/12/382482112.db2.gz MLXARSPDWIDFPT-UHFFFAOYSA-N 0 0 292.343 2.541 20 5 CFBDRN CCCOc1cccc(Cn2cccc([N+](=O)[O-])c2=O)c1 ZINC000294265326 382484726 /nfs/dbraw/zinc/48/47/26/382484726.db2.gz RGYGLVPHKGDXRC-UHFFFAOYSA-N 0 0 288.303 2.594 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)Nc1cccc(CO)c1 ZINC000314451348 382526400 /nfs/dbraw/zinc/52/64/00/382526400.db2.gz JZZUJIQNYCIWHI-FPLPWBNLSA-N 0 0 298.298 2.739 20 5 CFBDRN Cc1nc(N[C@@H]2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000310954514 382500206 /nfs/dbraw/zinc/50/02/06/382500206.db2.gz MVUAALDCAKWHBW-MRVPVSSYSA-N 0 0 257.240 2.898 20 5 CFBDRN Cc1nn(CC(=O)c2ccc(C)c(C)c2)cc1[N+](=O)[O-] ZINC000294312770 382505343 /nfs/dbraw/zinc/50/53/43/382505343.db2.gz WCAOCTPYROMZRO-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2C(F)F)c(F)c1 ZINC000353166856 382507401 /nfs/dbraw/zinc/50/74/01/382507401.db2.gz DIQAMOPOSAPKHW-LLVKDONJSA-N 0 0 274.242 2.963 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN1CCC[C@@H]1C(F)F ZINC000353173791 382512437 /nfs/dbraw/zinc/51/24/37/382512437.db2.gz PIOHHIJDPRRILR-LLVKDONJSA-N 0 0 286.278 2.703 20 5 CFBDRN CC1(O)C[C@H]2CC[C@@H](C1)N2c1ccc(F)cc1[N+](=O)[O-] ZINC000366647059 382538244 /nfs/dbraw/zinc/53/82/44/382538244.db2.gz XINMNEPFMCJSFY-BVUQATHDSA-N 0 0 280.299 2.616 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NOC1CCCC1 ZINC000382955876 382538603 /nfs/dbraw/zinc/53/86/03/382538603.db2.gz NEQCVDWIXOHTGZ-HJWRWDBZSA-N 0 0 276.292 2.598 20 5 CFBDRN CC(=O)c1cc(N2CCC(CF)CC2)ccc1[N+](=O)[O-] ZINC000294891561 382541994 /nfs/dbraw/zinc/54/19/94/382541994.db2.gz DBHPHIGAYHCACT-UHFFFAOYSA-N 0 0 280.299 2.983 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000383614605 382546414 /nfs/dbraw/zinc/54/64/14/382546414.db2.gz YZFUTYBUOTYDKC-RKDXNWHRSA-N 0 0 294.336 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC23CCOCC3)c2ccncc21 ZINC000366788804 382549538 /nfs/dbraw/zinc/54/95/38/382549538.db2.gz HZHBMRHICBUYGT-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC12CC2 ZINC000421481653 382553918 /nfs/dbraw/zinc/55/39/18/382553918.db2.gz SNIJDQPNBKPUAO-AWEZNQCLSA-N 0 0 288.347 2.834 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000421482203 382553970 /nfs/dbraw/zinc/55/39/70/382553970.db2.gz QRMSWOSZXZOCRX-MJBXVCDLSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1c(Cc2noc(COC(F)F)n2)cccc1[N+](=O)[O-] ZINC000346875173 382555452 /nfs/dbraw/zinc/55/54/52/382555452.db2.gz VLFCXIUFYKYYEV-UHFFFAOYSA-N 0 0 299.233 2.616 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@@H](C)C1 ZINC000421502833 382561216 /nfs/dbraw/zinc/56/12/16/382561216.db2.gz FUCSMCHQWIPWMY-NWDGAFQWSA-N 0 0 292.335 2.509 20 5 CFBDRN COC(=O)C[C@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000385074373 382565782 /nfs/dbraw/zinc/56/57/82/382565782.db2.gz IHJOZDBQLZAYMM-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN CC[C@H](NCc1cccc([N+](=O)[O-])c1C)c1ccn(C)n1 ZINC000358321763 382566743 /nfs/dbraw/zinc/56/67/43/382566743.db2.gz KANJNZJLQSIXEH-ZDUSSCGKSA-N 0 0 288.351 2.878 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@@]1(C)CC1(F)F ZINC000421546602 382574639 /nfs/dbraw/zinc/57/46/39/382574639.db2.gz VDRTVMDRYLJFST-CYBMUJFWSA-N 0 0 298.289 2.641 20 5 CFBDRN COCCC(C)(C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000353271891 382532541 /nfs/dbraw/zinc/53/25/41/382532541.db2.gz ZOSUKXNJSMADEV-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000294870437 382535826 /nfs/dbraw/zinc/53/58/26/382535826.db2.gz GVJPCNKXFFLOLV-WCBMZHEXSA-N 0 0 284.262 2.736 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000294870436 382535880 /nfs/dbraw/zinc/53/58/80/382535880.db2.gz GVJPCNKXFFLOLV-SCZZXKLOSA-N 0 0 284.262 2.736 20 5 CFBDRN CC[C@H]1[C@@H](CO)CCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000386241522 382584666 /nfs/dbraw/zinc/58/46/66/382584666.db2.gz HYSNTQUCWYUZDQ-NEPJUHHUSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1nc(C)c(NCc2cccc([N+](=O)[O-])c2)nc1C ZINC000396915577 382632545 /nfs/dbraw/zinc/63/25/45/382632545.db2.gz GGBRTYIVMFYJFZ-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CC[C@H](C)CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000421582584 382591435 /nfs/dbraw/zinc/59/14/35/382591435.db2.gz URRKETQLNRSVOC-ZETCQYMHSA-N 0 0 256.327 2.741 20 5 CFBDRN Cc1ccc(OC[C@H](O)CC(F)(F)F)c([N+](=O)[O-])c1 ZINC000189410831 382605545 /nfs/dbraw/zinc/60/55/45/382605545.db2.gz YVGNPGMZNVCFRT-MRVPVSSYSA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)O[C@@H](C)[C@@H]1C ZINC000353697059 382608480 /nfs/dbraw/zinc/60/84/80/382608480.db2.gz PCPYARFRESZHQR-SRVKXCTJSA-N 0 0 292.335 2.541 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])OC ZINC000295315211 382614834 /nfs/dbraw/zinc/61/48/34/382614834.db2.gz AOLOMQIOYNQWFF-GWCFXTLKSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)O[C@H](C)[C@H]1C ZINC000353718740 382616895 /nfs/dbraw/zinc/61/68/95/382616895.db2.gz JCXWXRIULKHQJF-QJPTWQEYSA-N 0 0 292.335 2.541 20 5 CFBDRN CCC(O)(CC)COc1ccc(OC)c([N+](=O)[O-])c1 ZINC000189572313 382625895 /nfs/dbraw/zinc/62/58/95/382625895.db2.gz ALLZCTBGJQBXMU-UHFFFAOYSA-N 0 0 269.297 2.533 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOc2ccccc2)s1 ZINC000189685064 382635091 /nfs/dbraw/zinc/63/50/91/382635091.db2.gz NLJFVMSWRJCNSQ-UHFFFAOYSA-N 0 0 265.294 2.542 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CC2(O)CCCCCC2)n1 ZINC000189278636 382580223 /nfs/dbraw/zinc/58/02/23/382580223.db2.gz BKBBVCMFOXOALO-UHFFFAOYSA-N 0 0 293.367 2.820 20 5 CFBDRN CCOC[C@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000190969188 382686876 /nfs/dbraw/zinc/68/68/76/382686876.db2.gz MVKZJLMKLXZXII-AWEZNQCLSA-N 0 0 294.351 2.694 20 5 CFBDRN O=[N+]([O-])c1ccccc1CO[C@H]1CCCc2c[nH]nc21 ZINC000190156169 382654944 /nfs/dbraw/zinc/65/49/44/382654944.db2.gz XSKMKNKHVSQMRL-ZDUSSCGKSA-N 0 0 273.292 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCOCC(F)F ZINC000190266027 382660158 /nfs/dbraw/zinc/66/01/58/382660158.db2.gz FENOBUCJZFYMFI-UHFFFAOYSA-N 0 0 288.250 2.514 20 5 CFBDRN CN(Cc1ccc(F)c(F)c1)c1ncc([N+](=O)[O-])s1 ZINC000190313713 382661590 /nfs/dbraw/zinc/66/15/90/382661590.db2.gz LIVBEARMAZFDMP-UHFFFAOYSA-N 0 0 285.275 2.966 20 5 CFBDRN CC1(C)CN(Cc2ccccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000190533265 382672589 /nfs/dbraw/zinc/67/25/89/382672589.db2.gz WDVFCAAFYWGXRV-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1cccc(F)c1[N+](=O)[O-] ZINC000090794694 184616970 /nfs/dbraw/zinc/61/69/70/184616970.db2.gz IWHDBXODVKSEDR-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC[C@H](C)O1 ZINC000190677770 382677387 /nfs/dbraw/zinc/67/73/87/382677387.db2.gz ALMOBZSNTKPHLR-JQWIXIFHSA-N 0 0 251.282 2.850 20 5 CFBDRN CCC(C)(C)CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000190886335 382683878 /nfs/dbraw/zinc/68/38/78/382683878.db2.gz WGDDHEMYHPJBQY-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H]2CC[C@H](C)O2)c1 ZINC000191024953 382688787 /nfs/dbraw/zinc/68/87/87/382688787.db2.gz NKPOXAHEEWUIGC-WDEREUQCSA-N 0 0 251.282 2.850 20 5 CFBDRN CCCCC(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191025602 382688881 /nfs/dbraw/zinc/68/88/81/382688881.db2.gz MJRJPAHKGKDCTB-UHFFFAOYSA-N 0 0 253.254 2.699 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H]3CC[C@H](O)C[C@@H]3C2)c1 ZINC000398842213 382636535 /nfs/dbraw/zinc/63/65/35/382636535.db2.gz PEJIMFJQCIFXCL-XQQFMLRXSA-N 0 0 276.336 2.500 20 5 CFBDRN Cc1c(C(=O)N2CCSC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000191284981 382697712 /nfs/dbraw/zinc/69/77/12/382697712.db2.gz YZZMSTJVOUEZKR-SNVBAGLBSA-N 0 0 294.376 2.728 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000191346350 382698811 /nfs/dbraw/zinc/69/88/11/382698811.db2.gz AVAZVSOTCYKTKG-SNVBAGLBSA-N 0 0 280.349 2.562 20 5 CFBDRN Cc1ccc(C(=O)N2CCS[C@H](C)CC2)cc1[N+](=O)[O-] ZINC000191382758 382699506 /nfs/dbraw/zinc/69/95/06/382699506.db2.gz RLJVQWGKODHUBX-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN COC[C@H](Nc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000192463964 382709113 /nfs/dbraw/zinc/70/91/13/382709113.db2.gz PXVMGDITKRDRNX-JTQLQIEISA-N 0 0 279.321 2.851 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)CCc1ccccc1 ZINC000192507644 382709622 /nfs/dbraw/zinc/70/96/22/382709622.db2.gz CZOXSKMBLSFRSE-UHFFFAOYSA-N 0 0 288.351 2.799 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC(C(C)C)C(C)C ZINC000192608847 382710577 /nfs/dbraw/zinc/71/05/77/382710577.db2.gz XUZROFLCRUGFTM-UHFFFAOYSA-N 0 0 293.367 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCCO[C@@H]1CCCCO1 ZINC000192833851 382712737 /nfs/dbraw/zinc/71/27/37/382712737.db2.gz XGXSMJFLCPOUTK-CYBMUJFWSA-N 0 0 285.271 2.656 20 5 CFBDRN CNC(=O)c1ccc(NCc2ccc(C)c([N+](=O)[O-])c2)cc1 ZINC000192979773 382714345 /nfs/dbraw/zinc/71/43/45/382714345.db2.gz RDSUKQRAJRSIDM-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CCc1n[nH]c(C(=O)N(CC2CCC2)C(C)C)c1[N+](=O)[O-] ZINC000193013934 382714650 /nfs/dbraw/zinc/71/46/50/382714650.db2.gz UELWVBIRANCVPN-UHFFFAOYSA-N 0 0 294.355 2.531 20 5 CFBDRN CN(c1nc2sccn2c1[N+](=O)[O-])C1CCCC1 ZINC000193418752 382719626 /nfs/dbraw/zinc/71/96/26/382719626.db2.gz ILRRSQIRVAASRS-UHFFFAOYSA-N 0 0 266.326 2.683 20 5 CFBDRN CC(C)[C@@H](O)C(C)(C)CNc1cc(N)ccc1[N+](=O)[O-] ZINC000193487363 382720233 /nfs/dbraw/zinc/72/02/33/382720233.db2.gz SLSCKINWKWMARL-CYBMUJFWSA-N 0 0 281.356 2.632 20 5 CFBDRN CCN(C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000193667575 382722220 /nfs/dbraw/zinc/72/22/20/382722220.db2.gz ZRSNOILKRZMOSO-UHFFFAOYSA-N 0 0 263.297 2.919 20 5 CFBDRN CC1(C)CN(Cc2c(Cl)cccc2[N+](=O)[O-])CC[C@H]1O ZINC000336829778 382726037 /nfs/dbraw/zinc/72/60/37/382726037.db2.gz AYRIHYRZSDIQRY-CYBMUJFWSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCOC[C@@H]1C ZINC000193869228 382727664 /nfs/dbraw/zinc/72/76/64/382727664.db2.gz GCCTWRGKOJJDBM-NWDGAFQWSA-N 0 0 292.335 2.823 20 5 CFBDRN COCC1(CNc2cc(OC)ccc2[N+](=O)[O-])CCC1 ZINC000336837050 382729838 /nfs/dbraw/zinc/72/98/38/382729838.db2.gz YHTHBVUMPZCKLY-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN COc1cccc(C(=O)N2CCC[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000194173447 382736733 /nfs/dbraw/zinc/73/67/33/382736733.db2.gz MGUHVANNCJKVBV-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336844351 382733369 /nfs/dbraw/zinc/73/33/69/382733369.db2.gz ZEVXEIZDPULKIR-ZJUUUORDSA-N 0 0 280.299 2.900 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)NC1(C(F)F)CC1 ZINC000337125212 382764147 /nfs/dbraw/zinc/76/41/47/382764147.db2.gz HKISPXPRUSTNNU-UHFFFAOYSA-N 0 0 271.223 2.514 20 5 CFBDRN CC1(CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCOCC1 ZINC000219362378 382793581 /nfs/dbraw/zinc/79/35/81/382793581.db2.gz PRFWASLCHXMJQA-UHFFFAOYSA-N 0 0 290.323 2.700 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)c1ncc([N+](=O)[O-])s1 ZINC000195511473 382768659 /nfs/dbraw/zinc/76/86/59/382768659.db2.gz IYDAOKNTEHBSKV-QMMMGPOBSA-N 0 0 264.310 2.644 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCNc2ccccc2)s1 ZINC000195512502 382768924 /nfs/dbraw/zinc/76/89/24/382768924.db2.gz NAYRKVMKOYHSMZ-UHFFFAOYSA-N 0 0 278.337 2.965 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCO[C@H](C)C1 ZINC000220105201 382794857 /nfs/dbraw/zinc/79/48/57/382794857.db2.gz ONRGBDVBSZYVTJ-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000220106896 382795017 /nfs/dbraw/zinc/79/50/17/382795017.db2.gz LQRRIKIHWAMGKK-ONGXEEELSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000220097282 382795179 /nfs/dbraw/zinc/79/51/79/382795179.db2.gz CPEASUXEXKRPHX-BDAKNGLRSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1cccc(N[C@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000218873310 382791034 /nfs/dbraw/zinc/79/10/34/382791034.db2.gz VTZHUPKPOJXILX-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN CC1(C)CCC[C@](O)(CNc2ncc([N+](=O)[O-])s2)C1 ZINC000336935525 382743877 /nfs/dbraw/zinc/74/38/77/382743877.db2.gz TYNZVCWZULUZFF-GFCCVEGCSA-N 0 0 285.369 2.795 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CC=CCC1 ZINC000194492233 382746081 /nfs/dbraw/zinc/74/60/81/382746081.db2.gz JANPIQOWNSRVFD-GFCCVEGCSA-N 0 0 274.320 2.989 20 5 CFBDRN C[C@@H]1C[C@H]1c1cc(NC(=O)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000194590520 382749416 /nfs/dbraw/zinc/74/94/16/382749416.db2.gz SKEJYTHTAHKFBE-LDYMZIIASA-N 0 0 286.291 2.694 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC1(C)CCC1 ZINC000194809836 382754882 /nfs/dbraw/zinc/75/48/82/382754882.db2.gz YKWHMUKCLCYEDR-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1cc(COc2ccc(C)cc2[N+](=O)[O-])n(C)n1 ZINC000575331315 382758940 /nfs/dbraw/zinc/75/89/40/382758940.db2.gz QSWYDMFNYFZDOT-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000228626305 382848295 /nfs/dbraw/zinc/84/82/95/382848295.db2.gz DQPGFHQWSOYWJY-QMMMGPOBSA-N 0 0 282.246 2.712 20 5 CFBDRN Cc1ncc(NC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000337298883 382797169 /nfs/dbraw/zinc/79/71/69/382797169.db2.gz LURLXALXABMVIE-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000221050295 382797393 /nfs/dbraw/zinc/79/73/93/382797393.db2.gz NMHUAGQZWOSMQL-RKDXNWHRSA-N 0 0 266.297 2.822 20 5 CFBDRN Cc1cnccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000337317606 382800068 /nfs/dbraw/zinc/80/00/68/382800068.db2.gz FUGHELLUYATWDE-UHFFFAOYSA-N 0 0 297.270 2.719 20 5 CFBDRN CCc1nn(C)cc1NCc1csc([N+](=O)[O-])c1 ZINC000222951040 382803847 /nfs/dbraw/zinc/80/38/47/382803847.db2.gz FJZACWGJCSHHTR-UHFFFAOYSA-N 0 0 266.326 2.564 20 5 CFBDRN Cc1ccc([C@H](C)NCc2ccno2)cc1[N+](=O)[O-] ZINC000223920973 382808256 /nfs/dbraw/zinc/80/82/56/382808256.db2.gz RDAITPYNTFJIDL-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN COc1cc(N2CCC[C@@H](F)C2)c(F)cc1[N+](=O)[O-] ZINC000576201661 382814435 /nfs/dbraw/zinc/81/44/35/382814435.db2.gz IYZUOVWQGPUPPM-MRVPVSSYSA-N 0 0 272.251 2.681 20 5 CFBDRN COCCC1(CNc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000225370137 382814737 /nfs/dbraw/zinc/81/47/37/382814737.db2.gz MHYHGKAQSZIEIP-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN Cc1cc(CN[C@@H]2CCc3c2cccc3[N+](=O)[O-])on1 ZINC000576239590 382815941 /nfs/dbraw/zinc/81/59/41/382815941.db2.gz CAXYNZYQBQTZNS-CYBMUJFWSA-N 0 0 273.292 2.668 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCCCC1 ZINC000576353781 382819940 /nfs/dbraw/zinc/81/99/40/382819940.db2.gz LBKRGDYJYAIXMY-UHFFFAOYSA-N 0 0 278.308 2.680 20 5 CFBDRN O=C(NC1(CF)CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000576403394 382821221 /nfs/dbraw/zinc/82/12/21/382821221.db2.gz VGTXVABWKIKMNT-QWHCGFSZSA-N 0 0 292.310 2.707 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000226234546 382825306 /nfs/dbraw/zinc/82/53/06/382825306.db2.gz IXCWSBAXMHGVGR-CYBMUJFWSA-N 0 0 252.314 2.866 20 5 CFBDRN Cc1noc([C@H](C)N(C)c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000576530685 382826522 /nfs/dbraw/zinc/82/65/22/382826522.db2.gz YYEILDRVABXAAC-ZETCQYMHSA-N 0 0 280.259 2.623 20 5 CFBDRN Cc1ccc(N(C)CCCCCO)c([N+](=O)[O-])c1 ZINC000227109551 382833399 /nfs/dbraw/zinc/83/33/99/382833399.db2.gz SOZITCYIIACWEZ-UHFFFAOYSA-N 0 0 252.314 2.502 20 5 CFBDRN CN(CCCCCO)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000227109192 382833440 /nfs/dbraw/zinc/83/34/40/382833440.db2.gz NYYDVTLVTMWQCK-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)CCCCCO ZINC000227109772 382833489 /nfs/dbraw/zinc/83/34/89/382833489.db2.gz GFECRNRIKMKRGO-UHFFFAOYSA-N 0 0 252.314 2.502 20 5 CFBDRN COc1cc(NCC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000227316112 382835341 /nfs/dbraw/zinc/83/53/41/382835341.db2.gz HNIRCZZZQUAOJU-GFCCVEGCSA-N 0 0 280.324 2.975 20 5 CFBDRN CCOc1cc(NCC2(OC)CCC2)ccc1[N+](=O)[O-] ZINC000227304865 382835381 /nfs/dbraw/zinc/83/53/81/382835381.db2.gz RAEAERGCEZKSGJ-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN COCCN(C(=O)Nc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000337516283 382835442 /nfs/dbraw/zinc/83/54/42/382835442.db2.gz IQSAOLFQHQIJSQ-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN COC(=O)[C@@H](C)CSc1ncc([N+](=O)[O-])cc1Cl ZINC000227652520 382838963 /nfs/dbraw/zinc/83/89/63/382838963.db2.gz VWPXODUXOLWURZ-LURJTMIESA-N 0 0 290.728 2.544 20 5 CFBDRN CCC1(C)CCN(C(=O)c2cc([N+](=O)[O-])cnc2C)CC1 ZINC000337574007 382844030 /nfs/dbraw/zinc/84/40/30/382844030.db2.gz UHFIWZSPFANWLR-UHFFFAOYSA-N 0 0 291.351 2.951 20 5 CFBDRN CC(C)SCC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000533606803 382907456 /nfs/dbraw/zinc/90/74/56/382907456.db2.gz XVMKDWVYYMZSQO-UHFFFAOYSA-N 0 0 268.338 2.699 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000337684869 382855297 /nfs/dbraw/zinc/85/52/97/382855297.db2.gz IIGFJVNCDJNEEO-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN C[C@H](CO)CCCNc1ccc([N+](=O)[O-])cc1F ZINC000230147022 382856270 /nfs/dbraw/zinc/85/62/70/382856270.db2.gz HUFZWHLMSBJPER-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN C[C@@H](CO)CCC[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000230161520 382856789 /nfs/dbraw/zinc/85/67/89/382856789.db2.gz YLFKSHUOIRNIAT-SNVBAGLBSA-N 0 0 286.759 2.746 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000230184238 382857080 /nfs/dbraw/zinc/85/70/80/382857080.db2.gz CNBDZPKIPQJORU-GFCCVEGCSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1c([C@H](C)NCc2ncccn2)cccc1[N+](=O)[O-] ZINC000577388148 382857379 /nfs/dbraw/zinc/85/73/79/382857379.db2.gz AZFCYRKYZVLIRN-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC[C@@H]1C1CCC1 ZINC000577391176 382857942 /nfs/dbraw/zinc/85/79/42/382857942.db2.gz YTLTXGWGRRLCBE-CQSZACIVSA-N 0 0 262.309 2.600 20 5 CFBDRN Cc1cnc(CNCc2ccc([N+](=O)[O-])cc2)s1 ZINC000230686331 382862028 /nfs/dbraw/zinc/86/20/28/382862028.db2.gz HRTCEYNFPOQJGO-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2N[C@H]1CCCc2c[nH]nc21 ZINC000577529389 382862816 /nfs/dbraw/zinc/86/28/16/382862816.db2.gz SROMMFGGEHMQNO-KGLIPLIRSA-N 0 0 298.346 2.972 20 5 CFBDRN COC(=O)[C@@H](Sc1cccc([N+](=O)[O-])c1)C(C)C ZINC000337764203 382862948 /nfs/dbraw/zinc/86/29/48/382862948.db2.gz RHUXRNDWNBCBNE-NSHDSACASA-N 0 0 269.322 2.885 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2nccc(C)c2[N+](=O)[O-])C1 ZINC000230917791 382863883 /nfs/dbraw/zinc/86/38/83/382863883.db2.gz CEBVPVJQTYIBRQ-ZJUUUORDSA-N 0 0 267.354 2.994 20 5 CFBDRN CCC[C@@H](CCO)CNc1nccc(C)c1[N+](=O)[O-] ZINC000231149856 382864223 /nfs/dbraw/zinc/86/42/23/382864223.db2.gz IAMJDVYYAUFTGZ-NSHDSACASA-N 0 0 267.329 2.509 20 5 CFBDRN CCC[C@H](CCO)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000231148192 382864422 /nfs/dbraw/zinc/86/44/22/382864422.db2.gz BKTUAUBGJPRJQM-SECBINFHSA-N 0 0 298.368 2.515 20 5 CFBDRN Cc1nnc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000232286009 382868223 /nfs/dbraw/zinc/86/82/23/382868223.db2.gz KYKJQMOUCFVBMJ-ZETCQYMHSA-N 0 0 264.310 2.928 20 5 CFBDRN CC1CCC(CNC(=O)c2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000232807906 382870091 /nfs/dbraw/zinc/87/00/91/382870091.db2.gz WCGUESBPLFOOLI-UHFFFAOYSA-N 0 0 292.335 2.857 20 5 CFBDRN CCC[C@H](O)CNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000233365236 382871396 /nfs/dbraw/zinc/87/13/96/382871396.db2.gz GHZIRBXHSHYOQO-ZETCQYMHSA-N 0 0 293.245 2.582 20 5 CFBDRN COc1c(C(=O)NC[C@@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000533742880 382910288 /nfs/dbraw/zinc/91/02/88/382910288.db2.gz MRFXKESUQPRZMR-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1C[C@H]1C(F)F ZINC000337945309 382875880 /nfs/dbraw/zinc/87/58/80/382875880.db2.gz JFMYECAIDAOCAS-RNFRBKRXSA-N 0 0 274.198 2.574 20 5 CFBDRN C[C@@H](CO)CSc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235113894 382877272 /nfs/dbraw/zinc/87/72/72/382877272.db2.gz HPEXXRUOEFKVOZ-LURJTMIESA-N 0 0 296.270 2.729 20 5 CFBDRN CC(C)N(C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000337964308 382881821 /nfs/dbraw/zinc/88/18/21/382881821.db2.gz VYBRXSIJQSHHRH-UHFFFAOYSA-N 0 0 279.340 2.529 20 5 CFBDRN CC[C@@H](C)CNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000421597317 382882484 /nfs/dbraw/zinc/88/24/84/382882484.db2.gz UVRNBIXQPKIPCI-SNVBAGLBSA-N 0 0 282.365 2.849 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC1CC(F)(F)C1 ZINC000493720197 382883783 /nfs/dbraw/zinc/88/37/83/382883783.db2.gz QDLRHPLRLFDQBY-UTCJRWHESA-N 0 0 282.246 2.522 20 5 CFBDRN CC[C@](C)(OC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000280146478 194722708 /nfs/dbraw/zinc/72/27/08/194722708.db2.gz ZQDGUKOSQPGKJB-HZMBPMFUSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H]1CCCOC1 ZINC000533202211 382886191 /nfs/dbraw/zinc/88/61/91/382886191.db2.gz VMTJDCNLNOJMDE-LLVKDONJSA-N 0 0 251.282 2.709 20 5 CFBDRN C[C@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000533237320 382888593 /nfs/dbraw/zinc/88/85/93/382888593.db2.gz OMTWMJFUAJMHDO-VOMCLLRMSA-N 0 0 289.335 2.549 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCC2(C)CCC2)c1F ZINC000235878354 382889390 /nfs/dbraw/zinc/88/93/90/382889390.db2.gz YASWVAKTAPKEHP-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC2CCCC2)c1F ZINC000235877794 382889748 /nfs/dbraw/zinc/88/97/48/382889748.db2.gz HDPIHEMVGWTTGQ-UHFFFAOYSA-N 0 0 266.272 2.715 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000493746367 382892178 /nfs/dbraw/zinc/89/21/78/382892178.db2.gz OACGMCPTBFRSPP-XHPSBEMXSA-N 0 0 292.310 2.959 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1NC[C@@H]1CCCO1 ZINC000236143531 382892529 /nfs/dbraw/zinc/89/25/29/382892529.db2.gz NVIOYGAEQLNJEA-ZETCQYMHSA-N 0 0 291.229 2.600 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@H](O)C(F)(F)F ZINC000236541934 382894878 /nfs/dbraw/zinc/89/48/78/382894878.db2.gz WIXWUPAPBUVKMO-SSDOTTSWSA-N 0 0 284.621 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(CCOc2ccc(CCO)cc2)cc1 ZINC000236514780 382894915 /nfs/dbraw/zinc/89/49/15/382894915.db2.gz HIKUBMSTCAEQHJ-UHFFFAOYSA-N 0 0 287.315 2.751 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CC[C@H](O)C2)ccc2ncccc21 ZINC000236571976 382896420 /nfs/dbraw/zinc/89/64/20/382896420.db2.gz IAZQDLCJZBBLCL-QWRGUYRKSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2nn(C)cc2Cl)c1 ZINC000421832493 382938498 /nfs/dbraw/zinc/93/84/98/382938498.db2.gz MRFKJXNEBHXSTQ-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1cnc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)o1 ZINC000338161101 382938527 /nfs/dbraw/zinc/93/85/27/382938527.db2.gz OVUMCZLPGVSMRC-UHFFFAOYSA-N 0 0 272.264 2.598 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](F)C1 ZINC000578663632 382943372 /nfs/dbraw/zinc/94/33/72/382943372.db2.gz CKWOWOHIHYXDAP-JTQLQIEISA-N 0 0 281.287 2.869 20 5 CFBDRN COc1cccc(CCNc2ccc([N+](=O)[O-])cc2)n1 ZINC000441813101 382924842 /nfs/dbraw/zinc/92/48/42/382924842.db2.gz LFCMBCFPZQLBNU-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CC[C@@H](C(=O)[O-])[N@@H+]1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000578524748 382929691 /nfs/dbraw/zinc/92/96/91/382929691.db2.gz QEJXEXHJWWNLAL-JSGCOSHPSA-N 0 0 292.335 2.637 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H](CO)CC(F)(F)F ZINC000534494703 382931760 /nfs/dbraw/zinc/93/17/60/382931760.db2.gz XSLAXLZINDTHEH-SSDOTTSWSA-N 0 0 298.648 2.973 20 5 CFBDRN C[C@@H]1C[C@H](C)N1CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000548754420 382980213 /nfs/dbraw/zinc/98/02/13/382980213.db2.gz IIOHSWDCNAODEC-DTORHVGOSA-N 0 0 297.742 2.669 20 5 CFBDRN CC[C@](C)(OC)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000280291091 194785084 /nfs/dbraw/zinc/78/50/84/194785084.db2.gz MSPGPYZDWKYBCB-ZDUSSCGKSA-N 0 0 277.280 2.916 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H](C1CC1)C1CCC1 ZINC000457224408 382995884 /nfs/dbraw/zinc/99/58/84/382995884.db2.gz RAGQAFIANHCGNW-INIZCTEOSA-N 0 0 288.347 2.832 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1CSC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000549032446 382996805 /nfs/dbraw/zinc/99/68/05/382996805.db2.gz GUMWQROPIRKUFS-SKDRFNHKSA-N 0 0 294.376 2.709 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](F)C1 ZINC000579251455 382997554 /nfs/dbraw/zinc/99/75/54/382997554.db2.gz SWORHAFZADHXBQ-LLVKDONJSA-N 0 0 280.299 2.786 20 5 CFBDRN O=C(N[C@H](C1CC1)C1CCC1)c1csc([N+](=O)[O-])c1 ZINC000457228687 382998040 /nfs/dbraw/zinc/99/80/40/382998040.db2.gz XTRXZMGMDPDCOU-LBPRGKRZSA-N 0 0 280.349 2.965 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=NC[C@H]3CC=CCC3)[nH]c2c1 ZINC000447692028 382999786 /nfs/dbraw/zinc/99/97/86/382999786.db2.gz XYXOCNQSVWPVGD-JTQLQIEISA-N 0 0 272.308 2.661 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cc3n(n2)CCCC3)c1 ZINC000579267219 383000069 /nfs/dbraw/zinc/00/00/69/383000069.db2.gz BJFNYBVPUOIMTE-UHFFFAOYSA-N 0 0 272.308 2.602 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2cccnc2)n1 ZINC000447788599 383005394 /nfs/dbraw/zinc/00/53/94/383005394.db2.gz QYWCWVGDPDATPG-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCCO)c(OC(F)F)c1 ZINC000447816757 383010994 /nfs/dbraw/zinc/01/09/94/383010994.db2.gz KJKJRMDIWJNDIA-UHFFFAOYSA-N 0 0 290.266 2.771 20 5 CFBDRN CC(C)(C)[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000447824658 383013081 /nfs/dbraw/zinc/01/30/81/383013081.db2.gz AGXQKZBQTVEALK-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN CCc1coc(NC(=O)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000550083854 383018737 /nfs/dbraw/zinc/01/87/37/383018737.db2.gz BUAVODLSCYCSNQ-UHFFFAOYSA-N 0 0 289.291 2.717 20 5 CFBDRN Cc1cc(NCC[N@H+]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000338709660 383029630 /nfs/dbraw/zinc/02/96/30/383029630.db2.gz FFDAMOGYBWGWEJ-UHFFFAOYSA-N 0 0 261.325 2.577 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cn2)C[C@H]1c1ccccc1 ZINC000338744391 383036042 /nfs/dbraw/zinc/03/60/42/383036042.db2.gz SUHGBYKKPHPLOJ-DZGCQCFKSA-N 0 0 284.319 2.991 20 5 CFBDRN COc1cc(NCC[C@@H](C)F)c([N+](=O)[O-])cc1F ZINC000338752449 383039404 /nfs/dbraw/zinc/03/94/04/383039404.db2.gz QRAUPRJINXPBGJ-SSDOTTSWSA-N 0 0 260.240 2.903 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000551558689 383044364 /nfs/dbraw/zinc/04/43/64/383044364.db2.gz PVGQKOOUXFXPLU-BXUZGUMPSA-N 0 0 274.320 2.813 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC(=O)c2cccn21 ZINC000448133400 383045936 /nfs/dbraw/zinc/04/59/36/383045936.db2.gz AYWDTBCWMHUEMU-ZDUSSCGKSA-N 0 0 299.286 2.553 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])c(C(F)(F)F)c1)[C@@H](C)CO ZINC000338790133 383053374 /nfs/dbraw/zinc/05/33/74/383053374.db2.gz REBYRABSASTCQI-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1)C1CCC1 ZINC000457625274 383054582 /nfs/dbraw/zinc/05/45/82/383054582.db2.gz HSJJQJMNJUUWDS-SNVBAGLBSA-N 0 0 299.330 2.940 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@]2(O)CCC[C@H]2C)n1 ZINC000338814892 383059443 /nfs/dbraw/zinc/05/94/43/383059443.db2.gz XKNQXAGJSRGNHC-YGRLFVJLSA-N 0 0 279.340 2.570 20 5 CFBDRN CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000245664382 383019437 /nfs/dbraw/zinc/01/94/37/383019437.db2.gz AUIVSKMQOKFYRT-VWYCJHECSA-N 0 0 294.355 2.509 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@@]2(C)CCCC[C@@H]2C)n1 ZINC000457309873 383019476 /nfs/dbraw/zinc/01/94/76/383019476.db2.gz YBKXCSONFDAAHW-HZMBPMFUSA-N 0 0 294.355 2.510 20 5 CFBDRN CCc1coc(NC(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000550240058 383022549 /nfs/dbraw/zinc/02/25/49/383022549.db2.gz YLBLJIKXRCEUCY-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000245726781 383027297 /nfs/dbraw/zinc/02/72/97/383027297.db2.gz DUQXPEXSUPBHKE-ZSBIGDGJSA-N 0 0 292.335 2.761 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cn1)C1CCC1 ZINC000579556526 383027459 /nfs/dbraw/zinc/02/74/59/383027459.db2.gz LQXUUVDESPYFSV-UHFFFAOYSA-N 0 0 277.324 2.546 20 5 CFBDRN CN(C)C(=O)[C@H]1CCC[C@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000609631355 383134303 /nfs/dbraw/zinc/13/43/03/383134303.db2.gz IADXAWUHBKCMIO-UWVGGRQHSA-N 0 0 297.380 2.715 20 5 CFBDRN C[C@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C[C@H]1C ZINC000339060004 383136355 /nfs/dbraw/zinc/13/63/55/383136355.db2.gz LPKMGJAQMIWKDS-WDEREUQCSA-N 0 0 264.325 2.778 20 5 CFBDRN CCOCCN[C@@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000584415651 383100991 /nfs/dbraw/zinc/10/09/91/383100991.db2.gz RDQPJLAGVNMIJP-NSHDSACASA-N 0 0 292.257 2.824 20 5 CFBDRN CC(F)(F)CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000554601606 383145031 /nfs/dbraw/zinc/14/50/31/383145031.db2.gz YYWQVLKLBQJWEI-UHFFFAOYSA-N 0 0 278.642 2.633 20 5 CFBDRN CC(F)(F)CN[C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000517246036 383173753 /nfs/dbraw/zinc/17/37/53/383173753.db2.gz IWBGWZAUOVTOTI-JTQLQIEISA-N 0 0 256.252 2.827 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000424084583 383176575 /nfs/dbraw/zinc/17/65/75/383176575.db2.gz BWNHMFKCOMEBSP-ZDUSSCGKSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH2+][C@@H]1CC2CCC1CC2 ZINC000339226669 383185210 /nfs/dbraw/zinc/18/52/10/383185210.db2.gz CRUGJYPZTYXJSU-QIMFLAQGSA-N 0 0 276.336 2.969 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@H](C)[C@H](C(=O)[O-])C(C)C ZINC000424623311 383213416 /nfs/dbraw/zinc/21/34/16/383213416.db2.gz PPMIQLQFNWCBOE-BXUZGUMPSA-N 0 0 294.351 2.738 20 5 CFBDRN Cc1noc([C@@H](C)N[C@H](C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000449276181 383195892 /nfs/dbraw/zinc/19/58/92/383195892.db2.gz BGSVGKMVVQBXAV-NXEZZACHSA-N 0 0 290.323 2.568 20 5 CFBDRN C[C@@]1(NC(=O)COc2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000458307131 383159313 /nfs/dbraw/zinc/15/93/13/383159313.db2.gz GYRKLHMQLRXJFA-OAHLLOKOSA-N 0 0 290.319 2.589 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@H]1CCC[C@H](F)C1 ZINC000424745730 383248976 /nfs/dbraw/zinc/24/89/76/383248976.db2.gz CYNCUNQVRWKWDK-STQMWFEESA-N 0 0 294.326 2.782 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@@H](Nc2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000413221516 532975778 /nfs/dbraw/zinc/97/57/78/532975778.db2.gz JLCRAOJXWHQELG-WHGOUJPWSA-N 0 0 283.303 2.600 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000458628850 383218686 /nfs/dbraw/zinc/21/86/86/383218686.db2.gz XUJSUJXMNCSMLS-MFKMUULPSA-N 0 0 289.335 2.850 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C1=CCCCC1 ZINC000339512271 383225349 /nfs/dbraw/zinc/22/53/49/383225349.db2.gz MVGMIADUEFOLLF-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN Cc1c(C[N@@H+](CCC(=O)[O-])CC(C)C)cccc1[N+](=O)[O-] ZINC000519600933 383228435 /nfs/dbraw/zinc/22/84/35/383228435.db2.gz HGISDFOPUQBCNG-UHFFFAOYSA-N 0 0 294.351 2.836 20 5 CFBDRN CNc1ccc(Nc2cc(C)ccc2[N+](=O)[O-])cn1 ZINC000339666522 383280736 /nfs/dbraw/zinc/28/07/36/383280736.db2.gz BJXVZPQJFJGKRP-UHFFFAOYSA-N 0 0 258.281 2.505 20 5 CFBDRN CCO[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@H]1C ZINC000449494445 383331479 /nfs/dbraw/zinc/33/14/79/383331479.db2.gz NBCBGCYHGRLHFT-ZYHUDNBSSA-N 0 0 284.381 2.903 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CCNC(=O)c1cc([N+](=O)[O-])cn1C ZINC000522249963 383334753 /nfs/dbraw/zinc/33/47/53/383334753.db2.gz ANLAYBHPFRDSRG-NWDGAFQWSA-N 0 0 293.367 2.880 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H]2CCCN(Cc3ncc[nH]3)C2)cc1 ZINC000449497662 383335432 /nfs/dbraw/zinc/33/54/32/383335432.db2.gz IYXAUCLBWDPDFY-CYBMUJFWSA-N 0 0 286.335 2.698 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC(C)C1 ZINC000336481965 291823851 /nfs/dbraw/zinc/82/38/51/291823851.db2.gz JTYOBKDUWGKFSY-UHFFFAOYSA-N 0 0 262.309 2.612 20 5 CFBDRN C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(F)(F)F ZINC000424952986 383297719 /nfs/dbraw/zinc/29/77/19/383297719.db2.gz SAJAXZMHZHLMIX-YFKPBYRVSA-N 0 0 296.632 2.929 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC12CC2 ZINC000424961151 383298363 /nfs/dbraw/zinc/29/83/63/383298363.db2.gz AIWCKUODJOTZCW-GFCCVEGCSA-N 0 0 292.360 2.989 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]2C2CCC2)ccc1[N+](=O)[O-] ZINC000424984318 383304201 /nfs/dbraw/zinc/30/42/01/383304201.db2.gz SGZNMDBAXIHPNP-QWHCGFSZSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCO[C@H]1CCCC[C@@H]1C ZINC000521725460 383307485 /nfs/dbraw/zinc/30/74/85/383307485.db2.gz CCJMGWOJIZEVPO-FZMZJTMJSA-N 0 0 293.367 2.727 20 5 CFBDRN CCc1nc(C)cc(N2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000521989038 383319187 /nfs/dbraw/zinc/31/91/87/383319187.db2.gz YSXZKGHKXLFKJZ-UHFFFAOYSA-N 0 0 298.346 2.818 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000522005245 383320254 /nfs/dbraw/zinc/32/02/54/383320254.db2.gz WYKUAJSOWNKWFM-RNCFNFMXSA-N 0 0 295.339 2.636 20 5 CFBDRN CCOC1CC(COc2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000567851505 383322101 /nfs/dbraw/zinc/32/21/01/383322101.db2.gz MKBBMIIZWJTLKA-UHFFFAOYSA-N 0 0 281.308 2.797 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2nn(CC)cc2[N+](=O)[O-])CC1 ZINC000479543399 383408073 /nfs/dbraw/zinc/40/80/73/383408073.db2.gz IUMRCARLLXLWQL-XYPYZODXSA-N 0 0 294.355 2.510 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000340096471 383353285 /nfs/dbraw/zinc/35/32/85/383353285.db2.gz VNHMKGDMYMNJTD-RYUDHWBXSA-N 0 0 293.367 2.871 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)NCC1CCCC1 ZINC000340104004 383354762 /nfs/dbraw/zinc/35/47/62/383354762.db2.gz REZGAYXBDHGNCH-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1cnn(-c2ccccn2)c1 ZINC000523535283 383356693 /nfs/dbraw/zinc/35/66/93/383356693.db2.gz GEACPSIIAOHLMA-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2O)c1 ZINC000340123419 383360097 /nfs/dbraw/zinc/36/00/97/383360097.db2.gz QOMNCYFFIVSKTF-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN C[C@@H](C(=O)NCCOc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000523713582 383361170 /nfs/dbraw/zinc/36/11/70/383361170.db2.gz HDNXUNUXIHWDDT-NSHDSACASA-N 0 0 294.351 2.772 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC(OC2CCCC2)CC1 ZINC000340150264 383363257 /nfs/dbraw/zinc/36/32/57/383363257.db2.gz GAMFUIHMRNUOFY-UHFFFAOYSA-N 0 0 291.351 2.918 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000524164072 383366579 /nfs/dbraw/zinc/36/65/79/383366579.db2.gz LPDSAHXTIAEWBQ-SECBINFHSA-N 0 0 284.287 2.734 20 5 CFBDRN CCC(=O)c1ccc(N(C)C[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000280735445 194951265 /nfs/dbraw/zinc/95/12/65/194951265.db2.gz NTDMEZDEOWBGLX-NSHDSACASA-N 0 0 292.335 2.660 20 5 CFBDRN COc1ccccc1COc1ccc([N+](=O)[O-])c(CO)c1 ZINC000428236883 383433269 /nfs/dbraw/zinc/43/32/69/383433269.db2.gz JECKMKXBKQLYEH-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN CCCC[C@H](Sc1ncc([N+](=O)[O-])c(C)n1)C(=O)OC ZINC000450494105 383436642 /nfs/dbraw/zinc/43/66/42/383436642.db2.gz JAEVBQOILYIYSS-JTQLQIEISA-N 0 0 299.352 2.517 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCOC2(C)C)n1 ZINC000450636473 291826979 /nfs/dbraw/zinc/82/69/79/291826979.db2.gz DMBZKSQALXBAGV-SNVBAGLBSA-N 0 0 265.313 2.586 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC(C)(C)C1 ZINC000526946386 383439701 /nfs/dbraw/zinc/43/97/01/383439701.db2.gz OHSBXGFTSGGZQB-SNVBAGLBSA-N 0 0 279.340 2.632 20 5 CFBDRN C=Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000428283446 383442007 /nfs/dbraw/zinc/44/20/07/383442007.db2.gz IALTWQKMKOVRFP-UHFFFAOYSA-N 0 0 285.303 2.538 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450534580 383449945 /nfs/dbraw/zinc/44/99/45/383449945.db2.gz GDZSESPPPSBMBM-NOZJJQNGSA-N 0 0 257.293 2.775 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CC[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC000450535543 383450581 /nfs/dbraw/zinc/45/05/81/383450581.db2.gz OARKQAMRUSGQJN-GHMZBOCLSA-N 0 0 296.298 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCC2CCOCC2)cn1 ZINC000450551006 383458237 /nfs/dbraw/zinc/45/82/37/383458237.db2.gz COPHMKBDZKLDNS-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CCCc1nc(COc2cccc([N+](=O)[O-])c2C)no1 ZINC000568999464 383459461 /nfs/dbraw/zinc/45/94/61/383459461.db2.gz LUHUVMLQQUWAGY-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc(F)cc1 ZINC000340532634 383460349 /nfs/dbraw/zinc/46/03/49/383460349.db2.gz HPPGYWTWRZBULT-UHFFFAOYSA-N 0 0 277.255 2.729 20 5 CFBDRN CN(C(=O)[C@@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])nc1 ZINC000569086219 383465771 /nfs/dbraw/zinc/46/57/71/383465771.db2.gz DXQPNUUQFUYWJO-GCZXYKMCSA-N 0 0 289.335 2.779 20 5 CFBDRN Cc1cncc(CNc2ncc([N+](=O)[O-])cc2Cl)c1 ZINC000340569493 383473371 /nfs/dbraw/zinc/47/33/71/383473371.db2.gz FMGLFVNJVOTIIE-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN COC[C@H](C)N(C)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413086906 532986773 /nfs/dbraw/zinc/98/67/73/532986773.db2.gz YPUYXMBBNXSEOB-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN CCC[C@H](C)[C@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000568635982 383421268 /nfs/dbraw/zinc/42/12/68/383421268.db2.gz YARZVQRCAOKJDC-GWCFXTLKSA-N 0 0 295.339 2.513 20 5 CFBDRN CC[C@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])CCO1 ZINC000487952953 383484175 /nfs/dbraw/zinc/48/41/75/383484175.db2.gz WOJAJPYFLHOKMM-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H]1COCCN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000488083500 383494279 /nfs/dbraw/zinc/49/42/79/383494279.db2.gz FGFSSHPLPGWSNN-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1c(Nc2ccc([N+](=O)[O-])cc2)cnn1[C@H]1CCOC1 ZINC000450796534 383494332 /nfs/dbraw/zinc/49/43/32/383494332.db2.gz QXYGESGEAJQEJY-ZDUSSCGKSA-N 0 0 288.307 2.805 20 5 CFBDRN C[C@H]1C[C@H](CN2CCc3c2cccc3[N+](=O)[O-])CCO1 ZINC000488110568 383496209 /nfs/dbraw/zinc/49/62/09/383496209.db2.gz MYFFUEXIZNFVIW-NWDGAFQWSA-N 0 0 276.336 2.772 20 5 CFBDRN Cc1nn(C)c(NCCc2cc(C)ccc2F)c1[N+](=O)[O-] ZINC000450807202 383497063 /nfs/dbraw/zinc/49/70/63/383497063.db2.gz GFJVCQJCXORBQS-UHFFFAOYSA-N 0 0 292.314 2.739 20 5 CFBDRN Cc1ccc(F)c(CCNc2ncc([N+](=O)[O-])s2)c1 ZINC000450806666 383497213 /nfs/dbraw/zinc/49/72/13/383497213.db2.gz FIQLQQMIJRVEQW-UHFFFAOYSA-N 0 0 281.312 2.575 20 5 CFBDRN CNc1ccc(C(=O)N2CCCC23CCC3)cc1[N+](=O)[O-] ZINC000569431351 383497614 /nfs/dbraw/zinc/49/76/14/383497614.db2.gz HRKYSUFJBLJXAM-UHFFFAOYSA-N 0 0 289.335 2.795 20 5 CFBDRN C[C@@H]1CCC(=O)[C@@H](Sc2ncccc2[N+](=O)[O-])C1 ZINC000450814714 383500638 /nfs/dbraw/zinc/50/06/38/383500638.db2.gz ZKCWRWJBATZPOY-KCJUWKMLSA-N 0 0 266.322 2.840 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2C[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000450815409 383501255 /nfs/dbraw/zinc/50/12/55/383501255.db2.gz YFONTPCCRKRWCV-UWVGGRQHSA-N 0 0 266.345 2.595 20 5 CFBDRN CC[C@@H]1CN(c2c(OC)cccc2[N+](=O)[O-])CCCO1 ZINC000569473200 383503201 /nfs/dbraw/zinc/50/32/01/383503201.db2.gz VZQRWNRQVXPADT-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])c2nccn21 ZINC000450830219 383508979 /nfs/dbraw/zinc/50/89/79/383508979.db2.gz NNKNTTLIEIUOGE-APPZFPTMSA-N 0 0 293.714 2.958 20 5 CFBDRN O=[N+]([O-])c1c(NCCc2cnccn2)ccc2ncccc21 ZINC000340956505 383509309 /nfs/dbraw/zinc/50/93/09/383509309.db2.gz OGXIPVKTQMCMJI-UHFFFAOYSA-N 0 0 295.302 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2COc3ccccc32)cn1 ZINC000341064306 383551246 /nfs/dbraw/zinc/55/12/46/383551246.db2.gz DUFJYYXZAZVUAP-SNVBAGLBSA-N 0 0 271.276 2.578 20 5 CFBDRN COc1cc(C)nc(N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000341003319 383523731 /nfs/dbraw/zinc/52/37/31/383523731.db2.gz REJJXBAQTYHPRU-JTQLQIEISA-N 0 0 288.307 2.875 20 5 CFBDRN Cc1ccn(CCC2=CCCCC2)c(=O)c1[N+](=O)[O-] ZINC000450870382 383528611 /nfs/dbraw/zinc/52/86/11/383528611.db2.gz LRFWFNUSHYJKBF-UHFFFAOYSA-N 0 0 262.309 2.955 20 5 CFBDRN COc1cc(N2CCC[C@@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000450880330 383532741 /nfs/dbraw/zinc/53/27/41/383532741.db2.gz KSEZETKLFHEQHV-OAHLLOKOSA-N 0 0 292.335 2.610 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2cccc(C)c2C)c1[N+](=O)[O-] ZINC000488446236 383541244 /nfs/dbraw/zinc/54/12/44/383541244.db2.gz UAMZMBSZYFFLQK-UHFFFAOYSA-N 0 0 288.351 2.890 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000450899759 383541994 /nfs/dbraw/zinc/54/19/94/383541994.db2.gz XGTTVQAKAAZXGD-UHFFFAOYSA-N 0 0 293.367 2.772 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000341046993 383542841 /nfs/dbraw/zinc/54/28/41/383542841.db2.gz NSNLHJRSHHNSST-OAHLLOKOSA-N 0 0 293.367 2.596 20 5 CFBDRN Cc1ccoc1CN(C)c1ccc([N+](=O)[O-])c(C)n1 ZINC000488744279 383572372 /nfs/dbraw/zinc/57/23/72/383572372.db2.gz BLWPCXILXZDWOK-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCc1ncccc1F ZINC000570142556 383574779 /nfs/dbraw/zinc/57/47/79/383574779.db2.gz GJXIFIWOMFBWMF-UHFFFAOYSA-N 0 0 298.277 2.711 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](C)[C@H](C)CO)c([N+](=O)[O-])c1 ZINC000280814117 194985254 /nfs/dbraw/zinc/98/52/54/194985254.db2.gz XFXFLYQGAJVBPR-ZJUUUORDSA-N 0 0 280.324 2.616 20 5 CFBDRN O=c1ccn(Cc2cc3ccccc3o2)cc1[N+](=O)[O-] ZINC000488793218 383578230 /nfs/dbraw/zinc/57/82/30/383578230.db2.gz HVDMMISUWPIJNB-UHFFFAOYSA-N 0 0 270.244 2.551 20 5 CFBDRN CS[C@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000451320790 383589449 /nfs/dbraw/zinc/58/94/49/383589449.db2.gz MDIHVSPILMVAHY-VIFPVBQESA-N 0 0 270.329 2.671 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1CCCC(F)(F)C1 ZINC000489004591 383590867 /nfs/dbraw/zinc/59/08/67/383590867.db2.gz CVWYTRWTSDJMRF-NSHDSACASA-N 0 0 298.289 2.831 20 5 CFBDRN COc1cc(CN(C)Cc2ccccn2)ccc1[N+](=O)[O-] ZINC000451368340 383600399 /nfs/dbraw/zinc/60/03/99/383600399.db2.gz OMAPWNLCVCKGOQ-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN CC(C)Oc1nc(N2CCC[C@H]2CF)ccc1[N+](=O)[O-] ZINC000570462252 383606245 /nfs/dbraw/zinc/60/62/45/383606245.db2.gz CCHBCGQTDDUNHS-JTQLQIEISA-N 0 0 283.303 2.715 20 5 CFBDRN Cc1cccc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000450940063 383558891 /nfs/dbraw/zinc/55/88/91/383558891.db2.gz CVKYYSQPTNXCOZ-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN C[C@@H](c1csnn1)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000450942463 383560618 /nfs/dbraw/zinc/56/06/18/383560618.db2.gz RBLGCSHFTSUGRZ-JTQLQIEISA-N 0 0 292.364 2.682 20 5 CFBDRN CCCN(Cc1ccc([N+](=O)[O-])cc1)[C@H](C)C(=O)OCC ZINC000429712892 383562528 /nfs/dbraw/zinc/56/25/28/383562528.db2.gz HXSNDLFCMKEVIK-GFCCVEGCSA-N 0 0 294.351 2.758 20 5 CFBDRN COC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000450949780 383564560 /nfs/dbraw/zinc/56/45/60/383564560.db2.gz UDIMWLILKBPVPV-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2[C@@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000488600687 383564620 /nfs/dbraw/zinc/56/46/20/383564620.db2.gz RAKVITNUEBPKEK-PJXYFTJBSA-N 0 0 275.308 2.823 20 5 CFBDRN CO[C@@](C)(C(=O)OCc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000291845625 532993099 /nfs/dbraw/zinc/99/30/99/532993099.db2.gz UDYYLJVCAJTPSF-OAHLLOKOSA-N 0 0 293.319 2.762 20 5 CFBDRN CCOc1cc(N2CC[C@@H](CCCO)C2)ccc1[N+](=O)[O-] ZINC000450955393 383566844 /nfs/dbraw/zinc/56/68/44/383566844.db2.gz PKFLLLXQBFFBEO-GFCCVEGCSA-N 0 0 294.351 2.592 20 5 CFBDRN CC(C)OC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000488709110 383567916 /nfs/dbraw/zinc/56/79/16/383567916.db2.gz JXYXKDBTXXCZMI-UHFFFAOYSA-N 0 0 257.673 2.742 20 5 CFBDRN CN(Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)[C@H]1CC1(C)C ZINC000489475079 383622801 /nfs/dbraw/zinc/62/28/01/383622801.db2.gz LRFAACHBCSGFPE-LBPRGKRZSA-N 0 0 274.324 2.701 20 5 CFBDRN CCO[C@@H]1CC[N@H+](CCc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000451526281 383634808 /nfs/dbraw/zinc/63/48/08/383634808.db2.gz GMUONAHAAKBJKQ-CZUORRHYSA-N 0 0 292.379 2.884 20 5 CFBDRN CCO[C@@H]1CC[N@H+](CCc2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000451526283 383635011 /nfs/dbraw/zinc/63/50/11/383635011.db2.gz GMUONAHAAKBJKQ-XJKSGUPXSA-N 0 0 292.379 2.884 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000431470461 383675330 /nfs/dbraw/zinc/67/53/30/383675330.db2.gz HTXNQORZEALLSI-LLVKDONJSA-N 0 0 293.367 2.868 20 5 CFBDRN Cc1cc(C)n(CCN(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000264554308 383754690 /nfs/dbraw/zinc/75/46/90/383754690.db2.gz KSPDUGGXXKJBSZ-UHFFFAOYSA-N 0 0 288.351 2.540 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CSC(C)C ZINC000572123176 383756518 /nfs/dbraw/zinc/75/65/18/383756518.db2.gz CNWYJLQLWZHNIA-UHFFFAOYSA-N 0 0 283.353 2.717 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CCOc2ccccc21 ZINC000264587283 383759507 /nfs/dbraw/zinc/75/95/07/383759507.db2.gz XQGLEFXXGMIBGL-NSHDSACASA-N 0 0 285.303 2.968 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC(C)=C[C@@H](C)C1 ZINC000435262057 383773997 /nfs/dbraw/zinc/77/39/97/383773997.db2.gz FVOXOJJLWOFJMC-SNVBAGLBSA-N 0 0 290.319 2.642 20 5 CFBDRN O=C([O-])[C@@H]1CCCC[N@@H+]1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000263431672 383665871 /nfs/dbraw/zinc/66/58/71/383665871.db2.gz SIEQQSNHDUPWRB-DYLGSBMWSA-N 0 0 290.319 2.547 20 5 CFBDRN Cc1c(C(=O)NCC2CCSCC2)cccc1[N+](=O)[O-] ZINC000264959828 383807558 /nfs/dbraw/zinc/80/75/58/383807558.db2.gz YQLKRHZLLHDGCY-UHFFFAOYSA-N 0 0 294.376 2.776 20 5 CFBDRN CCC(C)(CC)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000264973257 383810309 /nfs/dbraw/zinc/81/03/09/383810309.db2.gz GHNDJPBZRDKPLX-UHFFFAOYSA-N 0 0 250.298 2.903 20 5 CFBDRN CCO[C@@H]1C[C@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000265024236 383817481 /nfs/dbraw/zinc/81/74/81/383817481.db2.gz UMCYVLNZOSWBBY-GHMZBOCLSA-N 0 0 275.264 2.537 20 5 CFBDRN COCC1(CCNc2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000572837878 383824306 /nfs/dbraw/zinc/82/43/06/383824306.db2.gz GJFOJINTULETDN-UHFFFAOYSA-N 0 0 296.352 2.533 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000264766553 383781280 /nfs/dbraw/zinc/78/12/80/383781280.db2.gz FJJJMXBJQNQWPM-GZMMTYOYSA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1nc2cc(Cn3cc([N+](=O)[O-])c(C4CC4)n3)ccc2[nH]1 ZINC000436210047 383788700 /nfs/dbraw/zinc/78/87/00/383788700.db2.gz MDNRWMYDBBAMIH-UHFFFAOYSA-N 0 0 297.318 2.902 20 5 CFBDRN CC(C)Oc1nc(N2C[C@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000572541644 383791466 /nfs/dbraw/zinc/79/14/66/383791466.db2.gz DTERGBYFEBORCY-PHIMTYICSA-N 0 0 279.340 2.869 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)C1CCSCC1 ZINC000264871095 383793809 /nfs/dbraw/zinc/79/38/09/383793809.db2.gz ULEPFXJFSFCQCN-UHFFFAOYSA-N 0 0 284.312 2.816 20 5 CFBDRN CC1=CCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000436261132 383794037 /nfs/dbraw/zinc/79/40/37/383794037.db2.gz OERUNPJWZQQMES-UHFFFAOYSA-N 0 0 250.273 2.886 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCc1ccco1)CCN2 ZINC000436274332 383798170 /nfs/dbraw/zinc/79/81/70/383798170.db2.gz WXAYHNFJUAQLCE-UHFFFAOYSA-N 0 0 273.292 2.810 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCCCC1CCOCC1 ZINC000281028304 195075486 /nfs/dbraw/zinc/07/54/86/195075486.db2.gz QFGGUENWGHTZDA-UHFFFAOYSA-N 0 0 280.324 2.966 20 5 CFBDRN C[C@H]1C[C@H](c2ccccc2)CN1c1c([N+](=O)[O-])ncn1C ZINC000265204960 383858830 /nfs/dbraw/zinc/85/88/30/383858830.db2.gz KIQIHZWPOVFSPM-AAEUAGOBSA-N 0 0 286.335 2.711 20 5 CFBDRN COc1ccc(Sc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000265270915 383880920 /nfs/dbraw/zinc/88/09/20/383880920.db2.gz LYINCDWVFFNUGR-UHFFFAOYSA-N 0 0 279.321 2.797 20 5 CFBDRN CC(C)C[C@H](C(=O)[O-])[N@H+](C)CCc1ccc([N+](=O)[O-])cc1 ZINC000573097750 383871784 /nfs/dbraw/zinc/87/17/84/383871784.db2.gz PSVUAAXQEHJQEU-CQSZACIVSA-N 0 0 294.351 2.568 20 5 CFBDRN Cc1ccc(NCc2ncnn2C(C)C)c([N+](=O)[O-])c1 ZINC000281042533 195080628 /nfs/dbraw/zinc/08/06/28/195080628.db2.gz VRXXGBKCZYYVSI-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000531808872 383924610 /nfs/dbraw/zinc/92/46/10/383924610.db2.gz BBVWLLLLKCIYIB-UKRRQHHQSA-N 0 0 292.335 2.526 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000531808873 383924963 /nfs/dbraw/zinc/92/49/63/383924963.db2.gz BBVWLLLLKCIYIB-ZFWWWQNUSA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000265482620 383929417 /nfs/dbraw/zinc/92/94/17/383929417.db2.gz MAUBVDGGKHRJHQ-SFYZADRCSA-N 0 0 298.393 2.634 20 5 CFBDRN Cc1c(C[N@@H+](C[C@H](C)C(=O)[O-])C2CC2)cccc1[N+](=O)[O-] ZINC000573452287 383933158 /nfs/dbraw/zinc/93/31/58/383933158.db2.gz JMKSWYOXNZIJSX-JTQLQIEISA-N 0 0 292.335 2.588 20 5 CFBDRN Cc1ccccc1C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531626886 383899928 /nfs/dbraw/zinc/89/99/28/383899928.db2.gz RUXHHFYWGBFHEE-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN CC(C)(C)[S@@](=O)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000265362337 383901341 /nfs/dbraw/zinc/90/13/41/383901341.db2.gz XOHOYOYDFXKWMS-IBGZPJMESA-N 0 0 288.344 2.693 20 5 CFBDRN O=C(NC1(c2ccccc2)CCC1)c1ccc([N+](=O)[O-])cn1 ZINC000531634930 383902605 /nfs/dbraw/zinc/90/26/05/383902605.db2.gz APYRBZRYYGFFAB-UHFFFAOYSA-N 0 0 297.314 2.799 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1cc(C)no1 ZINC000573732664 383981161 /nfs/dbraw/zinc/98/11/61/383981161.db2.gz CHFYSTFDHFWHKN-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN O=C(Nc1cc(F)ccc1F)c1ccc([N+](=O)[O-])cn1 ZINC000532144448 383984088 /nfs/dbraw/zinc/98/40/88/383984088.db2.gz LXTZIVYGLUWQIH-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN C[C@H]1CCc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532144967 383984257 /nfs/dbraw/zinc/98/42/57/383984257.db2.gz WRDPTQPVVWNSOA-NSHDSACASA-N 0 0 297.314 2.971 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCc3ncsc3C2)c1 ZINC000438349215 383990494 /nfs/dbraw/zinc/99/04/94/383990494.db2.gz NGMZNENNTMTVMD-UHFFFAOYSA-N 0 0 275.333 2.610 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000265575305 383947929 /nfs/dbraw/zinc/94/79/29/383947929.db2.gz TZTMLYCEEPXCGK-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN CC[C@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(F)F ZINC000573556193 383948337 /nfs/dbraw/zinc/94/83/37/383948337.db2.gz PZJOZDXEHMYIQW-KWQFWETISA-N 0 0 286.278 2.858 20 5 CFBDRN COC(C)(C)CN(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000532073833 383970113 /nfs/dbraw/zinc/97/01/13/383970113.db2.gz JAHSYEITMWGOQC-UHFFFAOYSA-N 0 0 256.277 2.595 20 5 CFBDRN CC(C)OCCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000265846549 384016031 /nfs/dbraw/zinc/01/60/31/384016031.db2.gz OWXWFFKENYVIRO-UHFFFAOYSA-N 0 0 252.314 2.846 20 5 CFBDRN CC[C@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532392785 384023323 /nfs/dbraw/zinc/02/33/23/384023323.db2.gz PWFQNTOQLDRSCD-NSHDSACASA-N 0 0 277.324 2.785 20 5 CFBDRN CC1CCC(C)(NC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000532391445 384023455 /nfs/dbraw/zinc/02/34/55/384023455.db2.gz GHZROJZWLVGKPZ-UHFFFAOYSA-N 0 0 277.324 2.688 20 5 CFBDRN CC(C)[C@@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000438594174 384024705 /nfs/dbraw/zinc/02/47/05/384024705.db2.gz YCMRPIPIMZVLHZ-SSDOTTSWSA-N 0 0 294.229 2.895 20 5 CFBDRN C[C@@H]1CCC[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532393379 384025221 /nfs/dbraw/zinc/02/52/21/384025221.db2.gz RMYPJXFVXKMHOQ-WDEREUQCSA-N 0 0 277.324 2.641 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532393376 384025443 /nfs/dbraw/zinc/02/54/43/384025443.db2.gz RMYPJXFVXKMHOQ-GHMZBOCLSA-N 0 0 277.324 2.641 20 5 CFBDRN CC(C)Oc1nc(N2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000569470964 322475297 /nfs/dbraw/zinc/47/52/97/322475297.db2.gz DQWFGFYHCFEKQU-SNVBAGLBSA-N 0 0 265.313 2.766 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000265990041 384046833 /nfs/dbraw/zinc/04/68/33/384046833.db2.gz HVSMYILPJSLJOW-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]([C@@H]3CCOC3)C2)n1 ZINC000439088525 384032220 /nfs/dbraw/zinc/03/22/20/384032220.db2.gz TTYRCVNHOBJPRY-CHWSQXEVSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CCC[C@H]2F)cccc1[N+](=O)[O-] ZINC000454862739 384059553 /nfs/dbraw/zinc/05/95/53/384059553.db2.gz YJBHGWDSQUXESA-NEPJUHHUSA-N 0 0 295.314 2.593 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])nc2)[C@@H]2CCC[C@H]2O1 ZINC000440546078 384102411 /nfs/dbraw/zinc/10/24/11/384102411.db2.gz FTQJGUWXMSOFJZ-VXGBXAGGSA-N 0 0 277.324 2.526 20 5 CFBDRN C[C@H]1CCCC[C@@]1(C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000455031451 384118070 /nfs/dbraw/zinc/11/80/70/384118070.db2.gz HEYOFDAPVJBGOH-IINYFYTJSA-N 0 0 292.339 2.502 20 5 CFBDRN Cc1c(Nc2c(F)cc([N+](=O)[O-])cc2F)cnn1C ZINC000440598497 384110161 /nfs/dbraw/zinc/11/01/61/384110161.db2.gz RMEMKOQSXBIFTK-UHFFFAOYSA-N 0 0 268.223 2.659 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)C[C@H]1CCCC[C@@H]1O ZINC000574530715 384113293 /nfs/dbraw/zinc/11/32/93/384113293.db2.gz DPBJSBYQHKGEOB-YPMHNXCESA-N 0 0 294.351 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1CC[C@H](F)C1 ZINC000488047833 285080638 /nfs/dbraw/zinc/08/06/38/285080638.db2.gz XBMDHUDCKZXYHZ-VHSXEESVSA-N 0 0 275.283 2.867 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1)[C@@H](O)CC ZINC000455084837 384135596 /nfs/dbraw/zinc/13/55/96/384135596.db2.gz PLHXIMWTBTVENK-YPMHNXCESA-N 0 0 295.339 2.574 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000266286714 384136259 /nfs/dbraw/zinc/13/62/59/384136259.db2.gz VUWBPMBSLXLIAP-VIFPVBQESA-N 0 0 270.304 2.943 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@](C)(O)c2ccco2)c1 ZINC000266224828 384121536 /nfs/dbraw/zinc/12/15/36/384121536.db2.gz ILVIRAZKYSTIOU-AWEZNQCLSA-N 0 0 292.291 2.516 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCCOC2)ccc2ncccc21 ZINC000109548335 285078436 /nfs/dbraw/zinc/07/84/36/285078436.db2.gz LTLBWGRMYDQSSW-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN O=C(CCC(F)(F)F)NCc1ccccc1[N+](=O)[O-] ZINC000266290638 384137673 /nfs/dbraw/zinc/13/76/73/384137673.db2.gz ZEPNUKWXTJKGOS-UHFFFAOYSA-N 0 0 276.214 2.554 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2cscn2)c1 ZINC000266322522 384146514 /nfs/dbraw/zinc/14/65/14/384146514.db2.gz FUJGDAPNPQCGGC-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCSC1 ZINC000266325648 384148924 /nfs/dbraw/zinc/14/89/24/384148924.db2.gz ITXWAOQBYHMYKK-SECBINFHSA-N 0 0 281.337 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCOC2)cc1OC(F)F ZINC000266329823 384149396 /nfs/dbraw/zinc/14/93/96/384149396.db2.gz GRCKPYYPEDKPFO-QMMMGPOBSA-N 0 0 288.250 2.645 20 5 CFBDRN C[C@H]1C[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000266331695 384150665 /nfs/dbraw/zinc/15/06/65/384150665.db2.gz YUURZBWOKNGJSF-IMTBSYHQSA-N 0 0 288.225 2.752 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@@H]2CO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000574758727 384141664 /nfs/dbraw/zinc/14/16/64/384141664.db2.gz AXVQYZDJNOLWIS-JQWIXIFHSA-N 0 0 292.335 2.625 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CCC[C@@H]1F ZINC000455109755 384143460 /nfs/dbraw/zinc/14/34/60/384143460.db2.gz RNCVXBJJPVULRS-NWDGAFQWSA-N 0 0 295.314 2.627 20 5 CFBDRN COC1CCC(CNC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000427050890 533040935 /nfs/dbraw/zinc/04/09/35/533040935.db2.gz DNIGRCLFGBYXIY-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN COc1cc(N[C@H]2C[C@H](OC)C23CCC3)ccc1[N+](=O)[O-] ZINC000413432114 533041430 /nfs/dbraw/zinc/04/14/30/533041430.db2.gz BDBPSJKVPPTEFW-KBPBESRZSA-N 0 0 292.335 2.973 20 5 CFBDRN CCCCN(CC)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266583276 384204022 /nfs/dbraw/zinc/20/40/22/384204022.db2.gz PURKCGGSFRTHNN-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@]3(C2)CCCOC3)c(F)c1 ZINC000376486376 285093867 /nfs/dbraw/zinc/09/38/67/285093867.db2.gz HKJWYCVBXMQDPO-AWEZNQCLSA-N 0 0 298.289 2.880 20 5 CFBDRN COc1cc(-c2nc(CC3CC3)no2)ccc1[N+](=O)[O-] ZINC000266535174 384194015 /nfs/dbraw/zinc/19/40/15/384194015.db2.gz FZVAUNXWALVCEZ-UHFFFAOYSA-N 0 0 275.264 2.606 20 5 CFBDRN C[C@@H]1CC(O)C[C@@H](C)N1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000277126818 384207863 /nfs/dbraw/zinc/20/78/63/384207863.db2.gz RWKSAUYAPOKFES-NXEZZACHSA-N 0 0 298.770 2.982 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000302515334 285093229 /nfs/dbraw/zinc/09/32/29/285093229.db2.gz AJWKECUZLLQLCM-NGZCFLSTSA-N 0 0 283.715 2.623 20 5 CFBDRN Cc1cc(-c2nc(CC3CC3)no2)cc([N+](=O)[O-])c1 ZINC000266567407 384200733 /nfs/dbraw/zinc/20/07/33/384200733.db2.gz KPGOMUQVPXGGKO-UHFFFAOYSA-N 0 0 259.265 2.906 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCCc2c[nH]nc21 ZINC000268844155 285096712 /nfs/dbraw/zinc/09/67/12/285096712.db2.gz PBNASMOONMSYFO-NSHDSACASA-N 0 0 276.271 2.947 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](C)[C@@H](C)C1 ZINC000277184288 384224612 /nfs/dbraw/zinc/22/46/12/384224612.db2.gz ANWAQUWVRNVEFK-MNOVXSKESA-N 0 0 292.335 2.722 20 5 CFBDRN CSCC[C@H](C)N(C)c1cc(C)c([N+](=O)[O-])cn1 ZINC000266846757 384245630 /nfs/dbraw/zinc/24/56/30/384245630.db2.gz PIJLPUQQMAJBPN-JTQLQIEISA-N 0 0 269.370 2.876 20 5 CFBDRN Cc1noc(C)c1CCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000266860009 384248303 /nfs/dbraw/zinc/24/83/03/384248303.db2.gz WFACMTMLJREGBX-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC(CF)CC2)s1 ZINC000294958383 285099052 /nfs/dbraw/zinc/09/90/52/285099052.db2.gz JRFMFHWKRXZCHO-UHFFFAOYSA-N 0 0 296.327 2.785 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC2(CCC2)C1 ZINC000277325967 384267748 /nfs/dbraw/zinc/26/77/48/384267748.db2.gz WYMSRMHURIIPBL-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCO[C@@H]2C(C)C)c1[N+](=O)[O-] ZINC000267189672 384318179 /nfs/dbraw/zinc/31/81/79/384318179.db2.gz HBFLAXTTXHEBQJ-DGCLKSJQSA-N 0 0 279.340 2.771 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CC(C)(C)O1 ZINC000267017819 384280328 /nfs/dbraw/zinc/28/03/28/384280328.db2.gz JNFUMKPIWZWPCD-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN CCOC[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000267055050 384289603 /nfs/dbraw/zinc/28/96/03/384289603.db2.gz FVUWXRPEOHSZPF-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN CN(C[C@H]1CCOC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000267200554 384321585 /nfs/dbraw/zinc/32/15/85/384321585.db2.gz JGFHXQPYKZBJQG-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000267093894 384297465 /nfs/dbraw/zinc/29/74/65/384297465.db2.gz UBVVRLCOCSNBRT-ZETCQYMHSA-N 0 0 252.245 2.719 20 5 CFBDRN CC[C@@H](C)c1nnc([C@H](C)Nc2ccc([N+](=O)[O-])cn2)[nH]1 ZINC000277538489 384332186 /nfs/dbraw/zinc/33/21/86/384332186.db2.gz ZAKIBXLAWVHPSP-BDAKNGLRSA-N 0 0 290.327 2.795 20 5 CFBDRN COC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)c1ccco1 ZINC000267442905 384392956 /nfs/dbraw/zinc/39/29/56/384392956.db2.gz ZDQWCVHYKQOIOI-SNVBAGLBSA-N 0 0 277.280 2.691 20 5 CFBDRN CC(=O)[C@H](C)SCc1ccc([N+](=O)[O-])cc1F ZINC000267542707 384411220 /nfs/dbraw/zinc/41/12/20/384411220.db2.gz AMRLQXNVCQCVES-QMMMGPOBSA-N 0 0 257.286 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3cccnc3)n2)cc1 ZINC000013921240 285105648 /nfs/dbraw/zinc/10/56/48/285105648.db2.gz XJYMMMODMFPQMQ-UHFFFAOYSA-N 0 0 268.232 2.707 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C[C@H]1C ZINC000267606814 384424940 /nfs/dbraw/zinc/42/49/40/384424940.db2.gz GGGYVXWTFPSNSS-NXEZZACHSA-N 0 0 287.319 2.804 20 5 CFBDRN CCS[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000267381805 384375736 /nfs/dbraw/zinc/37/57/36/384375736.db2.gz IHJAOWBSAFSUEH-MWLCHTKSSA-N 0 0 297.380 2.717 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000277695306 384378722 /nfs/dbraw/zinc/37/87/22/384378722.db2.gz RAZXXNHYWSLEEW-ZYHUDNBSSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCOC2)nc1-c1ccccc1 ZINC000341281398 285109145 /nfs/dbraw/zinc/10/91/45/285109145.db2.gz KUTCPPMIAKKOLS-GFCCVEGCSA-N 0 0 299.330 2.527 20 5 CFBDRN COC1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CCC1 ZINC000277886316 384433022 /nfs/dbraw/zinc/43/30/22/384433022.db2.gz CQWGEEDYZGLYRR-UHFFFAOYSA-N 0 0 281.293 2.732 20 5 CFBDRN C[C@H]1CN(C(=O)CCNc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000267662012 384435811 /nfs/dbraw/zinc/43/58/11/384435811.db2.gz NFVGJTDALBRTMG-TXEJJXNPSA-N 0 0 291.351 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2C[C@H](O)Cc3ccccc32)cc1 ZINC000434681451 285111353 /nfs/dbraw/zinc/11/13/53/285111353.db2.gz OQNGDZZCVDXBKA-MRXNPFEDSA-N 0 0 298.342 2.561 20 5 CFBDRN CCC1(NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCC1 ZINC000267671318 384439429 /nfs/dbraw/zinc/43/94/29/384439429.db2.gz FBQIUANUUZQSRS-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000267677385 384441220 /nfs/dbraw/zinc/44/12/20/384441220.db2.gz GDQOTEMOVBEKEE-TUAOUCFPSA-N 0 0 291.351 2.953 20 5 CFBDRN C[C@H]1[C@@H](c2ccccc2)CCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000267683552 384442113 /nfs/dbraw/zinc/44/21/13/384442113.db2.gz MQXALAQEXCOPNH-AAEUAGOBSA-N 0 0 299.330 2.941 20 5 CFBDRN C[C@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000267808171 384469237 /nfs/dbraw/zinc/46/92/37/384469237.db2.gz VCVIQIHHXRFGGW-VIFPVBQESA-N 0 0 282.727 2.802 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3ccccc3[C@H]2CO)cc1 ZINC000268069352 285114131 /nfs/dbraw/zinc/11/41/31/285114131.db2.gz CBZSVALXUMBPAM-QGZVFWFLSA-N 0 0 298.342 2.687 20 5 CFBDRN CC(C)OCC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000267970489 384500287 /nfs/dbraw/zinc/50/02/87/384500287.db2.gz MJRJPDTWROYPSW-UHFFFAOYSA-N 0 0 289.291 2.507 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000267981548 384503665 /nfs/dbraw/zinc/50/36/65/384503665.db2.gz GMCGELVZDZZHKA-JTQLQIEISA-N 0 0 287.319 2.948 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000352844557 220302866 /nfs/dbraw/zinc/30/28/66/220302866.db2.gz UVRWGFBFQCKILR-NSHDSACASA-N 0 0 291.351 2.702 20 5 CFBDRN CC(=O)NC1CCC(Nc2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000268024378 384512603 /nfs/dbraw/zinc/51/26/03/384512603.db2.gz ITGGXVXRLJTCEZ-UHFFFAOYSA-N 0 0 291.351 2.762 20 5 CFBDRN COCCSCCCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000278277319 384515093 /nfs/dbraw/zinc/51/50/93/384515093.db2.gz PPOAXEFYJQYNAA-UHFFFAOYSA-N 0 0 289.328 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCO2)c(Br)c1 ZINC000281389419 285122279 /nfs/dbraw/zinc/12/22/79/285122279.db2.gz ZLFSYSYUTXBDDE-UHFFFAOYSA-N 0 0 287.113 2.889 20 5 CFBDRN CCOC(=O)c1cc(NCC[C@@H](C)OC)ccc1[N+](=O)[O-] ZINC000268119187 384537230 /nfs/dbraw/zinc/53/72/30/384537230.db2.gz BGGFIBYHDQFRSQ-SNVBAGLBSA-N 0 0 296.323 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ccncc3)CC2)nc1 ZINC000277246785 285121615 /nfs/dbraw/zinc/12/16/15/285121615.db2.gz VFCSAYSPEULAIE-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ncc[nH]3)CC2)cc1 ZINC000264925924 285121647 /nfs/dbraw/zinc/12/16/47/285121647.db2.gz NNCKKFVAUPCEMV-UHFFFAOYSA-N 0 0 272.308 2.702 20 5 CFBDRN C[C@@H]1C[C@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278429832 384570622 /nfs/dbraw/zinc/57/06/22/384570622.db2.gz PLQCUGMGYVSSJJ-GHMZBOCLSA-N 0 0 291.351 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3ncc[nH]3)C2)c(F)c1 ZINC000263923112 285124793 /nfs/dbraw/zinc/12/47/93/285124793.db2.gz WQPVKYWPWKBPPJ-JTQLQIEISA-N 0 0 290.298 2.841 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)O1 ZINC000268251335 384580008 /nfs/dbraw/zinc/58/00/08/384580008.db2.gz BZLWKQYNNCFUHV-HONMWMINSA-N 0 0 292.335 2.730 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)O1 ZINC000268251050 384580026 /nfs/dbraw/zinc/58/00/26/384580026.db2.gz PKLATPJJKNLFTD-JOYOIKCWSA-N 0 0 282.271 2.630 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCCC[C@@H]2CC(C)C)c1[N+](=O)[O-] ZINC000268256084 384582092 /nfs/dbraw/zinc/58/20/92/384582092.db2.gz YANJIISNXXXALH-LLVKDONJSA-N 0 0 294.355 2.667 20 5 CFBDRN COc1cc(N2CC[C@@H](OCC(C)C)C2)ccc1[N+](=O)[O-] ZINC000268269741 384585605 /nfs/dbraw/zinc/58/56/05/384585605.db2.gz MWVRREHAHAAOCM-CYBMUJFWSA-N 0 0 294.351 2.855 20 5 CFBDRN O=C(CC(F)(F)F)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268283334 384589116 /nfs/dbraw/zinc/58/91/16/384589116.db2.gz WWFPUGMOCOXJDT-UHFFFAOYSA-N 0 0 296.632 2.817 20 5 CFBDRN Cc1ncsc1COc1ncc(C)cc1[N+](=O)[O-] ZINC000289324552 197297651 /nfs/dbraw/zinc/29/76/51/197297651.db2.gz GRDDZMMFBDWIIC-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@@H](O)Cc3ccccc32)cc1 ZINC000278956895 384603674 /nfs/dbraw/zinc/60/36/74/384603674.db2.gz WLCVLXUDSKLJNR-HNNXBMFYSA-N 0 0 284.315 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccsc3)C2)nc1 ZINC000268242278 285127552 /nfs/dbraw/zinc/12/75/52/285127552.db2.gz XLIGMPINZHJRAF-LBPRGKRZSA-N 0 0 291.332 2.629 20 5 CFBDRN COc1ccc(OCCCn2cc(C)c([N+](=O)[O-])n2)cc1 ZINC000281148236 195124384 /nfs/dbraw/zinc/12/43/84/195124384.db2.gz KNEAGMGAYGVVHO-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2C)O1 ZINC000268316446 384600128 /nfs/dbraw/zinc/60/01/28/384600128.db2.gz JHQIMNXNINIYRF-WCQYABFASA-N 0 0 278.308 2.799 20 5 CFBDRN Cc1cc(C)c(OC[C@](C)(O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000281152043 195125955 /nfs/dbraw/zinc/12/59/55/195125955.db2.gz AXDNGQSXFZMHDY-NSHDSACASA-N 0 0 293.241 2.904 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000279576590 384682789 /nfs/dbraw/zinc/68/27/89/384682789.db2.gz VMLPGKOXKXVLRP-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3C[C@H]2CS3)c2cccnc21 ZINC000413458496 285133556 /nfs/dbraw/zinc/13/35/56/285133556.db2.gz PFBONMMRQFKAKU-UWVGGRQHSA-N 0 0 287.344 2.837 20 5 CFBDRN Cc1c(NC(=O)C[C@H]2CCC(=O)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000279612839 384695360 /nfs/dbraw/zinc/69/53/60/384695360.db2.gz JJBZEPWXOAKTFR-MWLCHTKSSA-N 0 0 290.319 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COc3cccc(F)c32)nc1 ZINC000363675997 285135381 /nfs/dbraw/zinc/13/53/81/285135381.db2.gz VGXRKUMLQYLGJN-SNVBAGLBSA-N 0 0 275.239 2.675 20 5 CFBDRN CC(=O)c1ccc(OCC(=O)C2(C)CC2)c([N+](=O)[O-])c1 ZINC000279837701 384781145 /nfs/dbraw/zinc/78/11/45/384781145.db2.gz COROKKUMFCMFOW-UHFFFAOYSA-N 0 0 277.276 2.545 20 5 CFBDRN CC1(CCNC(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000279858522 384789664 /nfs/dbraw/zinc/78/96/64/384789664.db2.gz BLGHJVSBIJOPFU-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN COC(C)(C)c1noc(Cc2cccc([N+](=O)[O-])c2C)n1 ZINC000269591861 384797508 /nfs/dbraw/zinc/79/75/08/384797508.db2.gz DTCLFMNPUTWWIF-UHFFFAOYSA-N 0 0 291.307 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc3c(c2)OCCO3)cc1 ZINC000064338428 285137494 /nfs/dbraw/zinc/13/74/94/285137494.db2.gz MAZCUODWQHCSDQ-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN CO[C@@H](C)CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269605148 384802433 /nfs/dbraw/zinc/80/24/33/384802433.db2.gz BZORPNLIRQUASG-ZETCQYMHSA-N 0 0 260.240 2.710 20 5 CFBDRN Cc1cnc(NC[C@@]2(O)CCc3ccccc32)c([N+](=O)[O-])c1 ZINC000269835751 384878210 /nfs/dbraw/zinc/87/82/10/384878210.db2.gz GVDMKLNEMLGIFL-INIZCTEOSA-N 0 0 299.330 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCN(c3ccccn3)C2)cc1 ZINC000269868589 384887846 /nfs/dbraw/zinc/88/78/46/384887846.db2.gz LVWHJFJMZWGYDX-CYBMUJFWSA-N 0 0 284.319 2.681 20 5 CFBDRN CC[C@H](C)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000269715418 384846983 /nfs/dbraw/zinc/84/69/83/384846983.db2.gz RZVLCXXNDQGBQR-VIFPVBQESA-N 0 0 277.280 2.960 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(c1ccc(F)cn1)CCO2 ZINC000357781506 285143143 /nfs/dbraw/zinc/14/31/43/285143143.db2.gz LKIJVXQCONUEAI-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN CCC(=O)c1ccc(NC(C)(C)[C@H](C)O)c([N+](=O)[O-])c1 ZINC000280037925 384859317 /nfs/dbraw/zinc/85/93/17/384859317.db2.gz AOOWXQTTWFMCQQ-VIFPVBQESA-N 0 0 280.324 2.759 20 5 CFBDRN Cc1nn(CC(=O)C2(C)CC2)c2ccc([N+](=O)[O-])cc12 ZINC000280036418 384859411 /nfs/dbraw/zinc/85/94/11/384859411.db2.gz WNGVQAJUNIMCJA-UHFFFAOYSA-N 0 0 273.292 2.622 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2 ZINC000269952954 384916392 /nfs/dbraw/zinc/91/63/92/384916392.db2.gz JUMZJHRCEYLFLC-UHTWSYAYSA-N 0 0 289.335 2.749 20 5 CFBDRN Cc1nn(C)c(NCCCc2ccccc2F)c1[N+](=O)[O-] ZINC000280178598 384916504 /nfs/dbraw/zinc/91/65/04/384916504.db2.gz HUGILJGNDAUTTA-UHFFFAOYSA-N 0 0 292.314 2.821 20 5 CFBDRN Cc1nc(N[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)ccc1[N+](=O)[O-] ZINC000269974212 384926932 /nfs/dbraw/zinc/92/69/32/384926932.db2.gz YIQKZHRHJAUGIX-ZLKJLUDKSA-N 0 0 289.335 2.668 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@@](C)(OC)CC2)c1 ZINC000280326493 384974295 /nfs/dbraw/zinc/97/42/95/384974295.db2.gz UJHOBGBDXRSFNA-OAHLLOKOSA-N 0 0 294.351 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CCO[C@H]3CCC[C@H]32)c1 ZINC000297596404 285147316 /nfs/dbraw/zinc/14/73/16/285147316.db2.gz UPIHWZFRULCUFQ-CABCVRRESA-N 0 0 299.330 2.901 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000270125804 384985472 /nfs/dbraw/zinc/98/54/72/384985472.db2.gz LPUFLOCNMLIFJX-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1cc(CN[C@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000270125801 384985609 /nfs/dbraw/zinc/98/56/09/384985609.db2.gz LPUFLOCNMLIFJX-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN CC(C)CC[C@H](O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000280358077 384987645 /nfs/dbraw/zinc/98/76/45/384987645.db2.gz SVMOGDNAJLJSMI-JTQLQIEISA-N 0 0 271.288 2.910 20 5 CFBDRN Cc1c(CC(=O)N2CC=C(C)CC2)cccc1[N+](=O)[O-] ZINC000270140985 384991497 /nfs/dbraw/zinc/99/14/97/384991497.db2.gz QUOBNNYHWQAXSB-UHFFFAOYSA-N 0 0 274.320 2.624 20 5 CFBDRN CC(=O)N1CCC[C@H](CNc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000280423005 385010576 /nfs/dbraw/zinc/01/05/76/385010576.db2.gz JUMDCRWKCROMMB-CYBMUJFWSA-N 0 0 291.351 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCO[C@@H]4CCC[C@H]43)ccc2c1 ZINC000271635450 285149743 /nfs/dbraw/zinc/14/97/43/285149743.db2.gz OTEXQGJDCHVJGZ-HUUCEWRRSA-N 0 0 299.330 2.901 20 5 CFBDRN Cc1ccc(NCC2([S@](C)=O)CCC2)c([N+](=O)[O-])c1 ZINC000280488012 385033950 /nfs/dbraw/zinc/03/39/50/385033950.db2.gz AUFOYOJNKONZLT-IBGZPJMESA-N 0 0 282.365 2.616 20 5 CFBDRN CC(=O)N1CCC[C@H](CNc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000280504548 385039446 /nfs/dbraw/zinc/03/94/46/385039446.db2.gz POXLEOZKFXTXRO-CYBMUJFWSA-N 0 0 291.351 2.574 20 5 CFBDRN Cc1cc(NCC2([S@](C)=O)CCC2)ccc1[N+](=O)[O-] ZINC000280686522 385114344 /nfs/dbraw/zinc/11/43/44/385114344.db2.gz FGOPPJVZNDAZEK-IBGZPJMESA-N 0 0 282.365 2.616 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000280666374 385107173 /nfs/dbraw/zinc/10/71/73/385107173.db2.gz HGCVEORCTPJIAD-IUODEOHRSA-N 0 0 292.335 2.903 20 5 CFBDRN C[C@@H]1C[C@@H](CNC(=O)c2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000281420759 385195797 /nfs/dbraw/zinc/19/57/97/385195797.db2.gz XQJUOWVATLNYSJ-ZSBIGDGJSA-N 0 0 292.335 2.528 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000281596351 385197614 /nfs/dbraw/zinc/19/76/14/385197614.db2.gz HNLKMSQJPWOHPR-MWLCHTKSSA-N 0 0 268.288 2.738 20 5 CFBDRN CCC(C)(C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270581683 385153247 /nfs/dbraw/zinc/15/32/47/385153247.db2.gz WWKLYCJZOTZFCQ-UHFFFAOYSA-N 0 0 279.340 2.625 20 5 CFBDRN COC[C@@H]1CCCN(c2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000270587702 385156846 /nfs/dbraw/zinc/15/68/46/385156846.db2.gz URPGVZDQUXZMKZ-SNVBAGLBSA-N 0 0 298.314 2.605 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000280798832 385158390 /nfs/dbraw/zinc/15/83/90/385158390.db2.gz RRBCXJAOOORPJZ-PWSUYJOCSA-N 0 0 278.308 2.739 20 5 CFBDRN Cc1cc(N[C@H](C)c2nnc(C(C)C)[nH]2)ncc1[N+](=O)[O-] ZINC000270709163 385200561 /nfs/dbraw/zinc/20/05/61/385200561.db2.gz KPOJOKOIIHQELA-SECBINFHSA-N 0 0 290.327 2.713 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1C[C@H]2CC[C@@H](C1)O2 ZINC000450361522 285158167 /nfs/dbraw/zinc/15/81/67/285158167.db2.gz FQENMWGPVXPLGZ-PHIMTYICSA-N 0 0 285.303 2.511 20 5 CFBDRN CSCC(C)(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000282137280 385223134 /nfs/dbraw/zinc/22/31/34/385223134.db2.gz LRDLDINOTSAMFF-UHFFFAOYSA-N 0 0 282.365 2.600 20 5 CFBDRN Cc1cccc(CN(C)c2ncc([N+](=O)[O-])s2)c1 ZINC000282149742 385228738 /nfs/dbraw/zinc/22/87/38/385228738.db2.gz MJDXBSHHXBPLRH-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000270797466 385229494 /nfs/dbraw/zinc/22/94/94/385229494.db2.gz SWNOTPQQSQPDHY-JQWIXIFHSA-N 0 0 279.340 2.995 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3C[C@H]4CC[C@@H](C3)O4)ccnc21 ZINC000432319638 285157409 /nfs/dbraw/zinc/15/74/09/285157409.db2.gz HEZRHXLIMWSUKT-PHIMTYICSA-N 0 0 285.303 2.511 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCOC[C@@H]1C1CC1 ZINC000093645295 285159485 /nfs/dbraw/zinc/15/94/85/285159485.db2.gz WOSPDUCBFIFEIX-LLCTXKFZSA-N 0 0 288.347 2.719 20 5 CFBDRN Cc1cc(NC[C@H]2COc3ccccc32)ncc1[N+](=O)[O-] ZINC000289070198 197227505 /nfs/dbraw/zinc/22/75/05/197227505.db2.gz NJSRETPZWBHATP-NSHDSACASA-N 0 0 285.303 2.886 20 5 CFBDRN Cc1cnc(N(C)CCc2cccc([N+](=O)[O-])c2)nc1C ZINC000282262611 385264327 /nfs/dbraw/zinc/26/43/27/385264327.db2.gz NYOCVSUDTAXWHN-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN Cc1cn([C@H](C)C(=O)Nc2ccccc2C)nc1[N+](=O)[O-] ZINC000282272627 385267205 /nfs/dbraw/zinc/26/72/05/385267205.db2.gz OWQNTUZBOADERH-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000282283570 385270298 /nfs/dbraw/zinc/27/02/98/385270298.db2.gz BKFSJPLDSZNWBY-IGJMFERPSA-N 0 0 296.298 2.794 20 5 CFBDRN CCC[C@]1(CO)CCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000289064256 197224962 /nfs/dbraw/zinc/22/49/62/197224962.db2.gz NALIINHQNWXBAS-HNNXBMFYSA-N 0 0 294.351 2.592 20 5 CFBDRN CC(C)(C)n1ncnc1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000282305452 385278957 /nfs/dbraw/zinc/27/89/57/385278957.db2.gz XTAZAMRTIZFMNF-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCc2ncsc2C1 ZINC000438344571 285160860 /nfs/dbraw/zinc/16/08/60/285160860.db2.gz IKVIXCFFHDTZMF-UHFFFAOYSA-N 0 0 275.333 2.610 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289092204 197232234 /nfs/dbraw/zinc/23/22/34/197232234.db2.gz QSFJJKYWDZJMOZ-KGLIPLIRSA-N 0 0 290.363 2.888 20 5 CFBDRN COC1(C)CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000271715349 385388891 /nfs/dbraw/zinc/38/88/91/385388891.db2.gz QBZVBPQPQASUGW-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000282789683 385389084 /nfs/dbraw/zinc/38/90/84/385389084.db2.gz JDXWAUPRXRXHGU-NEPJUHHUSA-N 0 0 279.292 2.628 20 5 CFBDRN CCCCNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000282571163 385344256 /nfs/dbraw/zinc/34/42/56/385344256.db2.gz KMVMPZFCQWDECE-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN C[C@H]1C[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CCO1 ZINC000271932949 385404908 /nfs/dbraw/zinc/40/49/08/385404908.db2.gz XAHGMYWPVANYAK-GXSJLCMTSA-N 0 0 289.291 2.927 20 5 CFBDRN CC[C@@H](C)NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272103280 385448050 /nfs/dbraw/zinc/44/80/50/385448050.db2.gz MJDURCIFNYWOIQ-SECBINFHSA-N 0 0 299.758 2.888 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC=C(F)C1 ZINC000272122556 385453701 /nfs/dbraw/zinc/45/37/01/385453701.db2.gz QNRXTOSWXYLTMC-UHFFFAOYSA-N 0 0 264.256 2.603 20 5 CFBDRN Cc1sc(C(=O)N2CCC=C(F)C2)cc1[N+](=O)[O-] ZINC000272125592 385454318 /nfs/dbraw/zinc/45/43/18/385454318.db2.gz RFOUCLSEKAIIBH-UHFFFAOYSA-N 0 0 270.285 2.664 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1cccc(O)c1 ZINC000288980862 197205455 /nfs/dbraw/zinc/20/54/55/197205455.db2.gz VELIHWXOJJTTAQ-SECBINFHSA-N 0 0 290.323 2.998 20 5 CFBDRN CCC[C@H](C)CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288968016 197202913 /nfs/dbraw/zinc/20/29/13/197202913.db2.gz YOKZISKPTHYEKW-JTQLQIEISA-N 0 0 265.313 2.779 20 5 CFBDRN CCC1(C(=O)Nc2cccc([N+](=O)[O-])c2)CCOCC1 ZINC000272222790 385478964 /nfs/dbraw/zinc/47/89/64/385478964.db2.gz PXMJLDLCPPQYEA-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N2CCCCO2)cc1[N+](=O)[O-] ZINC000283705014 385491451 /nfs/dbraw/zinc/49/14/51/385491451.db2.gz SSCHSMXXHXVHLC-NSHDSACASA-N 0 0 293.323 2.701 20 5 CFBDRN CC(=O)c1cc(N[C@@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000283746529 385495052 /nfs/dbraw/zinc/49/50/52/385495052.db2.gz KDBYLUNPRPFECL-GFCCVEGCSA-N 0 0 280.324 2.616 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000272340797 385514652 /nfs/dbraw/zinc/51/46/52/385514652.db2.gz OYMOIPRHVQTCIC-JQWIXIFHSA-N 0 0 263.293 2.869 20 5 CFBDRN CCC(=CC(=O)N(C)c1ccc([N+](=O)[O-])nc1)CC ZINC000288847303 197178053 /nfs/dbraw/zinc/17/80/53/197178053.db2.gz OYWPKNWEZZJZJL-UHFFFAOYSA-N 0 0 263.297 2.699 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](C(C)(C)C)[C@@H](O)C1 ZINC000272488269 385550394 /nfs/dbraw/zinc/55/03/94/385550394.db2.gz KCUBQGMJDVNIRT-OLZOCXBDSA-N 0 0 293.367 2.532 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCOc3ccccc32)s1 ZINC000189685646 285181404 /nfs/dbraw/zinc/18/14/04/285181404.db2.gz JMGWUWKTLHUUDR-SECBINFHSA-N 0 0 277.305 2.987 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2COc3cc(F)cc(F)c32)s1 ZINC000376614451 285182055 /nfs/dbraw/zinc/18/20/55/285182055.db2.gz HNOCPOGDQUTCSH-SSDOTTSWSA-N 0 0 299.258 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCN2CCC=C(F)C2)cc1 ZINC000272522644 385557398 /nfs/dbraw/zinc/55/73/98/385557398.db2.gz PZGDDGJHSFVFCU-UHFFFAOYSA-N 0 0 266.272 2.533 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CC[C@@H](F)C2)c(Cl)c1 ZINC000340912028 285182920 /nfs/dbraw/zinc/18/29/20/285182920.db2.gz LSRSHUWWKDGRQM-RQJHMYQMSA-N 0 0 259.668 2.946 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2c2cc[nH]n2)cc1F ZINC000286245233 385576856 /nfs/dbraw/zinc/57/68/56/385576856.db2.gz RXXUVTRHXRRNFI-AWEZNQCLSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1ccnc(S[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000272564371 385565917 /nfs/dbraw/zinc/56/59/17/385565917.db2.gz VVQBFJSPKUEERG-SECBINFHSA-N 0 0 254.311 2.569 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc3[nH]ccc3c2)nc1 ZINC000302157350 285184340 /nfs/dbraw/zinc/18/43/40/285184340.db2.gz NJHWBMUVIWWACW-UHFFFAOYSA-N 0 0 255.237 2.610 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1ccc([N+](=O)[O-])cc1 ZINC000286222830 385573715 /nfs/dbraw/zinc/57/37/15/385573715.db2.gz CDQQWRFOAWNLQT-QWRGUYRKSA-N 0 0 250.298 2.679 20 5 CFBDRN COC1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CCC1 ZINC000272712326 385594377 /nfs/dbraw/zinc/59/43/77/385594377.db2.gz IMZTYFOFNNPSOA-UHFFFAOYSA-N 0 0 275.264 2.670 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCOC[C@H]1C ZINC000286264612 385579962 /nfs/dbraw/zinc/57/99/62/385579962.db2.gz FTQLRFVWLVPFJO-NEPJUHHUSA-N 0 0 264.325 2.988 20 5 CFBDRN CCN(C(=O)Nc1ccncc1[N+](=O)[O-])[C@H](C)C(C)C ZINC000272647509 385581433 /nfs/dbraw/zinc/58/14/33/385581433.db2.gz DLSWGZMOISENNV-SNVBAGLBSA-N 0 0 280.328 2.888 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272643263 385581740 /nfs/dbraw/zinc/58/17/40/385581740.db2.gz CSYUZDCRRBGLQD-LLVKDONJSA-N 0 0 278.312 2.642 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000286279827 385582280 /nfs/dbraw/zinc/58/22/80/385582280.db2.gz GVUPMSWRHRFUEV-VHSXEESVSA-N 0 0 268.288 2.818 20 5 CFBDRN Cc1cc(NC[C@@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000286312616 385587296 /nfs/dbraw/zinc/58/72/96/385587296.db2.gz JBQXPNDPBGIJIV-RYUDHWBXSA-N 0 0 264.325 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)CCCOC1 ZINC000272678962 385587385 /nfs/dbraw/zinc/58/73/85/385587385.db2.gz YIYYNDPXLIGGFH-CQSZACIVSA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@@H](Nc1ncnc2sc([N+](=O)[O-])cc21)[C@H]1CCCO1 ZINC000286315268 385588980 /nfs/dbraw/zinc/58/89/80/385588980.db2.gz ZJXIDPCIIDTEGR-VXNVDRBHSA-N 0 0 294.336 2.579 20 5 CFBDRN CO[C@H](C)CCNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000286332416 385592222 /nfs/dbraw/zinc/59/22/22/385592222.db2.gz BKUFKVRWUMCWQG-SECBINFHSA-N 0 0 266.297 2.634 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)c1ccccc1 ZINC000426883872 533133672 /nfs/dbraw/zinc/13/36/72/533133672.db2.gz VISGBTBKDMNPTD-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CCSCC1 ZINC000291699218 285186742 /nfs/dbraw/zinc/18/67/42/285186742.db2.gz UFICWVPGONVYSW-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN CN(CCn1cccn1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000286416696 385614343 /nfs/dbraw/zinc/61/43/43/385614343.db2.gz BBMFANXLFSOZOT-UHFFFAOYSA-N 0 0 280.715 2.581 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)C[C@H](C)O1 ZINC000286431632 385619142 /nfs/dbraw/zinc/61/91/42/385619142.db2.gz BQGRQSOBGFIJCZ-GXFFZTMASA-N 0 0 292.335 2.801 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2[C@@H]2CCCO2)c(Cl)c1 ZINC000286472334 385629865 /nfs/dbraw/zinc/62/98/65/385629865.db2.gz ONSZIOSZVFIOTG-RYUDHWBXSA-N 0 0 297.742 2.791 20 5 CFBDRN COc1ccc(NC(=O)N2CC[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000272854441 385634126 /nfs/dbraw/zinc/63/41/26/385634126.db2.gz QUPDCAQRWPKQDV-NXEZZACHSA-N 0 0 293.323 2.866 20 5 CFBDRN Cc1ccc(OCCOC2CCC2)cc1[N+](=O)[O-] ZINC000286500764 385635701 /nfs/dbraw/zinc/63/57/01/385635701.db2.gz LZCZSNLHRZKCME-UHFFFAOYSA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])c(C)c2)n(C)n1 ZINC000286410235 385612598 /nfs/dbraw/zinc/61/25/98/385612598.db2.gz KHYMVPRDWXKOGK-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC=C(c2ccnn2C)CC1 ZINC000286538183 385643481 /nfs/dbraw/zinc/64/34/81/385643481.db2.gz IPUHVHSUNPSUHI-UHFFFAOYSA-N 0 0 298.346 2.930 20 5 CFBDRN CCCCS[C@@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288674586 197142585 /nfs/dbraw/zinc/14/25/85/197142585.db2.gz CKQTYQPMVHBILD-JTQLQIEISA-N 0 0 297.380 2.874 20 5 CFBDRN COC(=O)[C@@H](C)CSc1c(F)cccc1[N+](=O)[O-] ZINC000286650470 385677423 /nfs/dbraw/zinc/67/74/23/385677423.db2.gz CJTNPDDPKGBEKK-ZETCQYMHSA-N 0 0 273.285 2.635 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000286687105 385685680 /nfs/dbraw/zinc/68/56/80/385685680.db2.gz NAHBEMZUQZILGJ-CYBMUJFWSA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC(C)(CO)CC1 ZINC000273809729 385695158 /nfs/dbraw/zinc/69/51/58/385695158.db2.gz AQXHVELGDDTBSI-UHFFFAOYSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1cc(N2CCC(C)(CO)CC2)ccc1[N+](=O)[O-] ZINC000273907113 385696890 /nfs/dbraw/zinc/69/68/90/385696890.db2.gz WYQOWRLUQANYKA-UHFFFAOYSA-N 0 0 264.325 2.502 20 5 CFBDRN C[C@@H](NC(=O)OC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000286745173 385700596 /nfs/dbraw/zinc/70/05/96/385700596.db2.gz ULNHLDYFEJULMN-ZWNOBZJWSA-N 0 0 294.307 2.561 20 5 CFBDRN CC[C@@]1(C)CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000286746593 385700670 /nfs/dbraw/zinc/70/06/70/385700670.db2.gz RHZNHPKDLGYOCW-ZDUSSCGKSA-N 0 0 268.288 2.739 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCC(C)(C)C ZINC000286773473 385708252 /nfs/dbraw/zinc/70/82/52/385708252.db2.gz FQVDVONWSVOADQ-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN CC[C@H](C)N(CCO)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000286881199 385729698 /nfs/dbraw/zinc/72/96/98/385729698.db2.gz IGNUEFAIGOKORR-NSHDSACASA-N 0 0 295.339 2.528 20 5 CFBDRN COc1cc(CS[C@H](C)C(C)=O)c([N+](=O)[O-])cc1F ZINC000274234049 385745519 /nfs/dbraw/zinc/74/55/19/385745519.db2.gz RIKJOYOQJBUAFX-MRVPVSSYSA-N 0 0 287.312 2.953 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H](C)C[C@@H](C)O ZINC000287232911 385808953 /nfs/dbraw/zinc/80/89/53/385808953.db2.gz JJRVHPPRTFNTST-NXEZZACHSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1noc(C)c1CCNc1ccc([N+](=O)[O-])c(N)c1F ZINC000274635099 385839011 /nfs/dbraw/zinc/83/90/11/385839011.db2.gz DBPRHHUVSWWPNT-UHFFFAOYSA-N 0 0 294.286 2.576 20 5 CFBDRN C[C@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000288578081 197118613 /nfs/dbraw/zinc/11/86/13/197118613.db2.gz KMTJULLFGAFAGI-VHSXEESVSA-N 0 0 294.355 2.605 20 5 CFBDRN CCOC(=O)N1CC[C@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000274424167 385795512 /nfs/dbraw/zinc/79/55/12/385795512.db2.gz CQOQENPYKHJERN-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)c1ccn(C)n1 ZINC000274872670 385887483 /nfs/dbraw/zinc/88/74/83/385887483.db2.gz WEZGZBMNFCFNNX-SNVBAGLBSA-N 0 0 260.297 2.526 20 5 CFBDRN C[C@H]1CCCC[C@H]1Cn1cccc([N+](=O)[O-])c1=O ZINC000572986213 322640095 /nfs/dbraw/zinc/64/00/95/322640095.db2.gz BKHSEAPDXQNZEP-QWRGUYRKSA-N 0 0 250.298 2.583 20 5 CFBDRN CC(C)[C@@H](C)N(C)c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000288555562 197111269 /nfs/dbraw/zinc/11/12/69/197111269.db2.gz TUTPBRRZERNPJA-SECBINFHSA-N 0 0 290.323 2.724 20 5 CFBDRN CC(C)[C@H](C)N(C)c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000288555566 197111021 /nfs/dbraw/zinc/11/10/21/197111021.db2.gz TUTPBRRZERNPJA-VIFPVBQESA-N 0 0 290.323 2.724 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)C1CCOCC1 ZINC000288552104 197110618 /nfs/dbraw/zinc/11/06/18/197110618.db2.gz YUYFIFQDBCIDLY-UHFFFAOYSA-N 0 0 299.710 2.623 20 5 CFBDRN COCC1=CCN(c2cc(OC)c([N+](=O)[O-])cc2F)CC1 ZINC000274765031 385866369 /nfs/dbraw/zinc/86/63/69/385866369.db2.gz SIOGWZDGLGODLZ-UHFFFAOYSA-N 0 0 296.298 2.525 20 5 CFBDRN CC(=O)CCCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000274813722 385876945 /nfs/dbraw/zinc/87/69/45/385876945.db2.gz PEECQVKDQBNUQD-LLVKDONJSA-N 0 0 278.308 2.531 20 5 CFBDRN COC1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCCCC1 ZINC000274826087 385879295 /nfs/dbraw/zinc/87/92/95/385879295.db2.gz TXBUUDIJGYOINS-UHFFFAOYSA-N 0 0 297.282 2.989 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000276057491 385981989 /nfs/dbraw/zinc/98/19/89/385981989.db2.gz PZTACULTFQZEFI-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC1=CCCOC1 ZINC000288504830 197097171 /nfs/dbraw/zinc/09/71/71/197097171.db2.gz MQAYARDXFNTACS-UHFFFAOYSA-N 0 0 276.292 2.556 20 5 CFBDRN CC(C)=CCCNC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000276709353 386020131 /nfs/dbraw/zinc/02/01/31/386020131.db2.gz PSIBCPVNFSYBML-UHFFFAOYSA-N 0 0 291.351 2.504 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000276804393 386047485 /nfs/dbraw/zinc/04/74/85/386047485.db2.gz MMXBYLCWEJGUGP-VIFPVBQESA-N 0 0 296.323 2.714 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCCOC1 ZINC000288469141 197087379 /nfs/dbraw/zinc/08/73/79/197087379.db2.gz QRRJPNWINHOQIS-UHFFFAOYSA-N 0 0 292.316 2.630 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H]1C(C)C ZINC000277046313 386115352 /nfs/dbraw/zinc/11/53/52/386115352.db2.gz HQICSFQLWZXCEG-CYBMUJFWSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H]1CSCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000277055599 386119049 /nfs/dbraw/zinc/11/90/49/386119049.db2.gz BBFHUGOLAGFWOT-SECBINFHSA-N 0 0 270.329 2.923 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(C2CC2)no1 ZINC000276851569 386058382 /nfs/dbraw/zinc/05/83/82/386058382.db2.gz DRVJKNVULOEODC-UHFFFAOYSA-N 0 0 261.237 2.531 20 5 CFBDRN CCOc1cc(C[N@@H+]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000276878622 386066985 /nfs/dbraw/zinc/06/69/85/386066985.db2.gz INOPYRLCAVYADK-NSHDSACASA-N 0 0 264.325 2.835 20 5 CFBDRN Cc1nc(SC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000276900835 386073265 /nfs/dbraw/zinc/07/32/65/386073265.db2.gz LUKNMDBLEZGFAW-SECBINFHSA-N 0 0 254.311 2.569 20 5 CFBDRN Cc1cncc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 ZINC000288438045 197078977 /nfs/dbraw/zinc/07/89/77/197078977.db2.gz SEPHXAWRAOWOGR-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CCOc1cc(CSC[C@@H](C)CO)ccc1[N+](=O)[O-] ZINC000276936872 386085623 /nfs/dbraw/zinc/08/56/23/386085623.db2.gz RTESILHEGLLFCG-JTQLQIEISA-N 0 0 285.365 2.855 20 5 CFBDRN CCOC[C@H](Nc1ccc([N+](=O)[O-])c(N)c1F)C(C)C ZINC000276984135 386099399 /nfs/dbraw/zinc/09/93/99/386099399.db2.gz VGRGTGDEGDWYPS-JTQLQIEISA-N 0 0 285.319 2.789 20 5 CFBDRN CCc1nc(CC)n(CCc2ccc([N+](=O)[O-])cc2)n1 ZINC000288392749 197066784 /nfs/dbraw/zinc/06/67/84/197066784.db2.gz AVVGFTCJQJBFAQ-UHFFFAOYSA-N 0 0 274.324 2.554 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2noc3c2CCCC3)cc1 ZINC000288347042 197055945 /nfs/dbraw/zinc/05/59/45/197055945.db2.gz BTIILOLAZMOOEE-UHFFFAOYSA-N 0 0 287.319 2.751 20 5 CFBDRN CCC(CC)(CO)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000288218856 197028936 /nfs/dbraw/zinc/02/89/36/197028936.db2.gz DOZXFIKTZGNMFK-UHFFFAOYSA-N 0 0 296.352 2.563 20 5 CFBDRN CO[C@@H](C)CN(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000287637134 196906699 /nfs/dbraw/zinc/90/66/99/196906699.db2.gz QOHZZFZHKAYTLA-NSHDSACASA-N 0 0 295.339 2.710 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C1CC=CC1 ZINC000281288760 195183235 /nfs/dbraw/zinc/18/32/35/195183235.db2.gz IFNHFQNTRJLAHF-UHFFFAOYSA-N 0 0 276.292 2.898 20 5 CFBDRN CN(C(=O)CCCc1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000281308767 195190737 /nfs/dbraw/zinc/19/07/37/195190737.db2.gz ZKYKJAUBPZSWPG-UHFFFAOYSA-N 0 0 299.330 2.976 20 5 CFBDRN CSCCCN(C)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000281350342 195207748 /nfs/dbraw/zinc/20/77/48/195207748.db2.gz RXZFZJQIBQZYQZ-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CC[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)CCCO1 ZINC000281380586 195218284 /nfs/dbraw/zinc/21/82/84/195218284.db2.gz MGYUQUGAXQJYIF-AWEZNQCLSA-N 0 0 294.351 2.604 20 5 CFBDRN CC[C@@](C)(OC)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000281447984 195244071 /nfs/dbraw/zinc/24/40/71/195244071.db2.gz KQYPQULVUUEPBO-XHDPSFHLSA-N 0 0 294.351 2.896 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2c(C)cccc2[N+](=O)[O-])CCCO1 ZINC000281497834 195264389 /nfs/dbraw/zinc/26/43/89/195264389.db2.gz JGPTYIKRCZMNDP-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)nc1 ZINC000154841493 533219979 /nfs/dbraw/zinc/21/99/79/533219979.db2.gz KSHJINAIOHWKON-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000286654786 196669308 /nfs/dbraw/zinc/66/93/08/196669308.db2.gz WZZNFQCRSZXJDF-LBPRGKRZSA-N 0 0 277.324 2.583 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CC[C@H](SC)C2)c1[N+](=O)[O-] ZINC000094169571 184758501 /nfs/dbraw/zinc/75/85/01/184758501.db2.gz BLUXTTSCRXSMCE-IUCAKERBSA-N 0 0 284.385 2.587 20 5 CFBDRN CC1(C)CCC[C@@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000094267173 184772404 /nfs/dbraw/zinc/77/24/04/184772404.db2.gz MGUABUGTVGQHHY-LBPRGKRZSA-N 0 0 277.324 2.684 20 5 CFBDRN C[C@H](O)[C@H](C)Oc1ccc([N+](=O)[O-])c2ccccc12 ZINC000094654940 184835533 /nfs/dbraw/zinc/83/55/33/184835533.db2.gz PKHXBFLUIGIDKQ-UWVGGRQHSA-N 0 0 261.277 2.896 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)C ZINC000281542982 195282538 /nfs/dbraw/zinc/28/25/38/195282538.db2.gz YKQBUDLEVNHBDV-UHFFFAOYSA-N 0 0 266.297 2.978 20 5 CFBDRN Cc1cc(OCC(=O)NCC2(C)CCC2)ccc1[N+](=O)[O-] ZINC000281748099 195363508 /nfs/dbraw/zinc/36/35/08/195363508.db2.gz RWBBMNDGRNJKPZ-UHFFFAOYSA-N 0 0 292.335 2.588 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)CCCO1 ZINC000281761026 195369522 /nfs/dbraw/zinc/36/95/22/195369522.db2.gz FNZWBMGPTYBNMF-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)CCCO1 ZINC000281632350 195315505 /nfs/dbraw/zinc/31/55/05/195315505.db2.gz ZGGABJHAHCKTRR-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN CC1CCN(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000281636642 195316020 /nfs/dbraw/zinc/31/60/20/195316020.db2.gz AEXBZPPDTNIRSY-UHFFFAOYSA-N 0 0 291.351 2.879 20 5 CFBDRN CCOCC1(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000281821760 195395550 /nfs/dbraw/zinc/39/55/50/195395550.db2.gz LSKFGCQYDSVVNK-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000360729004 285367510 /nfs/dbraw/zinc/36/75/10/285367510.db2.gz MKZXNOGPCBQQAJ-TUAOUCFPSA-N 0 0 294.351 2.691 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCOC3(CCC3)C2)s1 ZINC000281917729 195434470 /nfs/dbraw/zinc/43/44/70/195434470.db2.gz NUOUPFVNLDYZGO-QMMMGPOBSA-N 0 0 269.326 2.565 20 5 CFBDRN CCSCCCNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000282553000 195643050 /nfs/dbraw/zinc/64/30/50/195643050.db2.gz FACUPCSQZKUUAA-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN CCOCCCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282557850 195645910 /nfs/dbraw/zinc/64/59/10/195645910.db2.gz XVJFIDLIRVXNCN-UHFFFAOYSA-N 0 0 284.287 2.798 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@@H](C)C[C@H]1C ZINC000282594820 195655563 /nfs/dbraw/zinc/65/55/63/195655563.db2.gz PZLFFDYEVQKGRD-UHIISALHSA-N 0 0 292.335 2.964 20 5 CFBDRN C/C=C/C=C\C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000491290282 533447305 /nfs/dbraw/zinc/44/73/05/533447305.db2.gz BUZUVDRBHNSDTJ-IAROGAJJSA-N 0 0 250.229 2.805 20 5 CFBDRN C/C=C(\C)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000445178827 533432751 /nfs/dbraw/zinc/43/27/51/533432751.db2.gz AWXQVOTWCIHDFR-YCRREMRBSA-N 0 0 259.265 2.992 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000411372646 533485758 /nfs/dbraw/zinc/48/57/58/533485758.db2.gz VTCINHROSXMDOC-VIFPVBQESA-N 0 0 286.278 2.845 20 5 CFBDRN CSCCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000176506344 533529360 /nfs/dbraw/zinc/52/93/60/533529360.db2.gz DMHBICYXNWJOLN-UHFFFAOYSA-N 0 0 282.365 2.699 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000119368772 533502660 /nfs/dbraw/zinc/50/26/60/533502660.db2.gz WZOGROLOGVMEPJ-NSHDSACASA-N 0 0 291.351 2.625 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000413558556 533539883 /nfs/dbraw/zinc/53/98/83/533539883.db2.gz HZPZGLVCODFZPZ-OTSSQURYSA-N 0 0 284.699 2.612 20 5 CFBDRN Cc1cc(N[C@H]2CCN(c3cccnc3)C2)ccc1[N+](=O)[O-] ZINC000366747983 130024273 /nfs/dbraw/zinc/02/42/73/130024273.db2.gz ACJCFKQWCZUDLV-AWEZNQCLSA-N 0 0 298.346 2.989 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341794869 130034916 /nfs/dbraw/zinc/03/49/16/130034916.db2.gz DLZKXRVFAZGYMU-QWRGUYRKSA-N 0 0 291.351 2.932 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ccnc2c1cnn2C ZINC000341783882 130046441 /nfs/dbraw/zinc/04/64/41/130046441.db2.gz YMTWCDRWJOJICE-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN Cn1ncc2c1nccc2NCCc1ccc([N+](=O)[O-])cc1 ZINC000341828148 130060077 /nfs/dbraw/zinc/06/00/77/130060077.db2.gz XFKANTXUXBZVAJ-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])nc2)CCO[C@@H]1C1CC1 ZINC000341958054 130172884 /nfs/dbraw/zinc/17/28/84/130172884.db2.gz JTPUBLTUHAGWHB-KGLIPLIRSA-N 0 0 277.324 2.607 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@@H]1C[C@H]1C ZINC000342069018 130234467 /nfs/dbraw/zinc/23/44/67/130234467.db2.gz XDIHRNHHBCGDBF-GMSGAONNSA-N 0 0 264.281 2.510 20 5 CFBDRN O=[N+]([O-])c1cccc([C@@H](O)CSC[C@@H]2CCCO2)c1 ZINC000191615560 130262833 /nfs/dbraw/zinc/26/28/33/130262833.db2.gz PFXYRNNIMIYRQY-STQMWFEESA-N 0 0 283.349 2.540 20 5 CFBDRN COC[C@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153766558 285448230 /nfs/dbraw/zinc/44/82/30/285448230.db2.gz JFZMFBVBOHRZIM-VIFPVBQESA-N 0 0 285.731 2.506 20 5 CFBDRN CC(C)=CCNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000191751627 130295805 /nfs/dbraw/zinc/29/58/05/130295805.db2.gz BTEYFMRCHJDJTA-UHFFFAOYSA-N 0 0 268.700 2.944 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000342086394 130300515 /nfs/dbraw/zinc/30/05/15/130300515.db2.gz NYTLXBAJASNELX-QMMMGPOBSA-N 0 0 298.289 2.720 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)C1=CCC1 ZINC000355525240 130310542 /nfs/dbraw/zinc/31/05/42/130310542.db2.gz MQCVRJMGXVNMCJ-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(SC[C@@H]2CCCO2)n1 ZINC000191841819 130315833 /nfs/dbraw/zinc/31/58/33/130315833.db2.gz RGEJRRQUFUEDJT-VIFPVBQESA-N 0 0 254.311 2.569 20 5 CFBDRN CSCCCN(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000342141666 130331781 /nfs/dbraw/zinc/33/17/81/130331781.db2.gz JJDSFTSIDKTZIE-UHFFFAOYSA-N 0 0 286.328 2.559 20 5 CFBDRN Cc1cc(NC(=O)CCOCC(F)F)ccc1[N+](=O)[O-] ZINC000191943879 130334996 /nfs/dbraw/zinc/33/49/96/130334996.db2.gz PUWBXIMSBZCGSI-UHFFFAOYSA-N 0 0 288.250 2.514 20 5 CFBDRN COc1c(C(=O)NC2CCC(C)CC2)cccc1[N+](=O)[O-] ZINC000355834296 130346062 /nfs/dbraw/zinc/34/60/62/130346062.db2.gz VDFCFQMWZKKWNI-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000342154812 130347204 /nfs/dbraw/zinc/34/72/04/130347204.db2.gz IXRSVYZSRJDSQH-ZANVPECISA-N 0 0 270.304 2.943 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cccs1 ZINC000355905754 130351490 /nfs/dbraw/zinc/35/14/90/130351490.db2.gz HPIKFKNMPHTPAZ-UHFFFAOYSA-N 0 0 290.344 2.971 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])[C@H]1Cc2ccccc21 ZINC000356004031 130366927 /nfs/dbraw/zinc/36/69/27/130366927.db2.gz BIDJLWQZHFXGPF-ZDUSSCGKSA-N 0 0 283.283 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2CCC3(CC2)OCCO3)cc1 ZINC000192125669 130379164 /nfs/dbraw/zinc/37/91/64/130379164.db2.gz JYUCAOJOKNGNEU-UHFFFAOYSA-N 0 0 293.319 2.907 20 5 CFBDRN CC[C@H](C)CC(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000192195155 130391914 /nfs/dbraw/zinc/39/19/14/130391914.db2.gz UNDDEMZFWZZJBS-VIFPVBQESA-N 0 0 267.281 2.945 20 5 CFBDRN CCC(C)(C)CNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000192196994 130393759 /nfs/dbraw/zinc/39/37/59/130393759.db2.gz YNBKNLRAJWDBOS-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(Cc3ccccc3)n2)cc1 ZINC000357178275 130410268 /nfs/dbraw/zinc/41/02/68/130410268.db2.gz TUPYAIVBZHTZKY-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN CC(C)n1ncnc1CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000357191255 130413160 /nfs/dbraw/zinc/41/31/60/130413160.db2.gz YBENVYRFGVWPOY-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN Cc1c(Cc2noc(-c3cccnc3)n2)cccc1[N+](=O)[O-] ZINC000357307490 130435157 /nfs/dbraw/zinc/43/51/57/130435157.db2.gz ULIUPADIEHBIEB-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1ccccc1[C@H](O)CNc1ncc([N+](=O)[O-])s1 ZINC000192453883 130450897 /nfs/dbraw/zinc/45/08/97/130450897.db2.gz HGYSSSONQJWWNE-SNVBAGLBSA-N 0 0 279.321 2.505 20 5 CFBDRN Cc1c(NC(=O)Cc2ccco2)cccc1[N+](=O)[O-] ZINC000359336101 130509242 /nfs/dbraw/zinc/50/92/42/130509242.db2.gz UIPVMSQJLPAEQJ-UHFFFAOYSA-N 0 0 260.249 2.677 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2C=C[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000359536826 130519955 /nfs/dbraw/zinc/51/99/55/130519955.db2.gz YEGUAGOWOFRJMK-ZYHUDNBSSA-N 0 0 290.319 2.536 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H](C)C(F)(F)F ZINC000359636368 130527344 /nfs/dbraw/zinc/52/73/44/130527344.db2.gz PZGNEQNPRANPPQ-ZCFIWIBFSA-N 0 0 280.250 2.730 20 5 CFBDRN Cc1cc(N(C)C(=O)Cc2ccccc2[N+](=O)[O-])ccn1 ZINC000359646783 130527646 /nfs/dbraw/zinc/52/76/46/130527646.db2.gz HUSIAXBPJCMVNE-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N(CC1CC1)CC1CC1 ZINC000359660741 130528469 /nfs/dbraw/zinc/52/84/69/130528469.db2.gz ZDGKCRHFSIFZCY-UHFFFAOYSA-N 0 0 280.349 2.919 20 5 CFBDRN Cc1noc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1C ZINC000359732119 130535533 /nfs/dbraw/zinc/53/55/33/130535533.db2.gz WARYDJLSLXOQBM-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CSC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000194457784 130695713 /nfs/dbraw/zinc/69/57/13/130695713.db2.gz HROKSNGXWCAJMI-UHFFFAOYSA-N 0 0 280.349 2.610 20 5 CFBDRN CO[C@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])C(C)(C)C ZINC000194782879 130714022 /nfs/dbraw/zinc/71/40/22/130714022.db2.gz JUVIJGVXSPPTCA-GFCCVEGCSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ncc([N+](=O)[O-])s2)cc1 ZINC000195508692 130745241 /nfs/dbraw/zinc/74/52/41/130745241.db2.gz XNJNRDFHVJSADN-JTQLQIEISA-N 0 0 279.321 2.505 20 5 CFBDRN C[C@H](O)CCCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000227678092 130848993 /nfs/dbraw/zinc/84/89/93/130848993.db2.gz CILWTRSDMYQKJE-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN C[C@H](CO)CCCNc1cccc(F)c1[N+](=O)[O-] ZINC000230146985 130892650 /nfs/dbraw/zinc/89/26/50/130892650.db2.gz CSSWCUDAYKJUFH-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN CS[C@@H](CO)[C@@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000230198867 130894664 /nfs/dbraw/zinc/89/46/64/130894664.db2.gz YMMABJCVOZVINU-HQJQHLMTSA-N 0 0 290.772 2.773 20 5 CFBDRN COc1cccc(Cl)c1CNc1c([N+](=O)[O-])ncn1C ZINC000234942746 130944605 /nfs/dbraw/zinc/94/46/05/130944605.db2.gz OLASQKNZHNSJPC-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H]2CCC[C@@H]2C1 ZINC000152807499 291995684 /nfs/dbraw/zinc/99/56/84/291995684.db2.gz BIVRXRDPDHWWPY-TXEJJXNPSA-N 0 0 274.320 2.775 20 5 CFBDRN CSCC(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000247502473 131105194 /nfs/dbraw/zinc/10/51/94/131105194.db2.gz FXIQXPDNNKQIAT-UHFFFAOYSA-N 0 0 288.756 2.570 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C1CC1 ZINC000248024219 131109699 /nfs/dbraw/zinc/10/96/99/131109699.db2.gz GKCKHOOZLZIWON-UHFFFAOYSA-N 0 0 268.700 2.617 20 5 CFBDRN CCN(C(=O)COc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000249682763 131122632 /nfs/dbraw/zinc/12/26/32/131122632.db2.gz CLAMQDDPOZBYID-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000252012198 131132745 /nfs/dbraw/zinc/13/27/45/131132745.db2.gz SRDRWKWPYUWMFX-SNVBAGLBSA-N 0 0 294.376 2.944 20 5 CFBDRN COc1cc(C(=O)N(C)[C@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000263652340 131302000 /nfs/dbraw/zinc/30/20/00/131302000.db2.gz GJLJXUMWXCUUJJ-SNVBAGLBSA-N 0 0 280.324 2.720 20 5 CFBDRN CN(CC(C)(C)C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000263811289 131336772 /nfs/dbraw/zinc/33/67/72/131336772.db2.gz UGTFLHMTBHCIHP-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN Cc1nn(C)c(NCCSC(C)(C)C)c1[N+](=O)[O-] ZINC000263891778 131354026 /nfs/dbraw/zinc/35/40/26/131354026.db2.gz TXXZZGMEMZDFGX-UHFFFAOYSA-N 0 0 272.374 2.580 20 5 CFBDRN C[C@H](O)CN(Cc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000264073135 131390787 /nfs/dbraw/zinc/39/07/87/131390787.db2.gz LGTDCOGKUGRTTB-ZDUSSCGKSA-N 0 0 286.331 2.982 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000264183351 131407609 /nfs/dbraw/zinc/40/76/09/131407609.db2.gz BJEWHUNZZQABDR-JOYOIKCWSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000264311990 131431000 /nfs/dbraw/zinc/43/10/00/131431000.db2.gz MDLOYKNRJDXAMQ-ZYHUDNBSSA-N 0 0 277.324 2.583 20 5 CFBDRN COc1ccc(CN(C)c2ncccc2[N+](=O)[O-])cc1C ZINC000264327057 131432930 /nfs/dbraw/zinc/43/29/30/131432930.db2.gz RRLZMBBZFIZUOA-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CC(C)Oc1ccc(Oc2c([N+](=O)[O-])ncn2C)cc1 ZINC000265305800 131574430 /nfs/dbraw/zinc/57/44/30/131574430.db2.gz HMZFVXPSBHQVSM-UHFFFAOYSA-N 0 0 277.280 2.908 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000265522512 131599296 /nfs/dbraw/zinc/59/92/96/131599296.db2.gz MIAFOALZNONONT-JTQLQIEISA-N 0 0 285.303 2.915 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266027037 131672723 /nfs/dbraw/zinc/67/27/23/131672723.db2.gz TUTDEOLNSHRMMU-UHFFFAOYSA-N 0 0 290.241 2.548 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc[nH]1 ZINC000266095033 131682255 /nfs/dbraw/zinc/68/22/55/131682255.db2.gz QJASOTPVSNCZAQ-HNNXBMFYSA-N 0 0 299.330 2.829 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1C1CCCCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000266151900 131691187 /nfs/dbraw/zinc/69/11/87/131691187.db2.gz KYBVBMCNDIJLJN-ZYHUDNBSSA-N 0 0 277.324 2.622 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1ccc([N+](=O)[O-])cc1F ZINC000267099527 131821054 /nfs/dbraw/zinc/82/10/54/131821054.db2.gz ZCGXOLVVOBMRJQ-QMMMGPOBSA-N 0 0 287.312 2.776 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)Cc2cccnc2)cc1[N+](=O)[O-] ZINC000267712752 131906891 /nfs/dbraw/zinc/90/68/91/131906891.db2.gz IWDXFOSATKQTSR-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000268438723 132008791 /nfs/dbraw/zinc/00/87/91/132008791.db2.gz KYAPXQJPBSHYRC-VHSXEESVSA-N 0 0 294.355 2.579 20 5 CFBDRN COCCC1(CNc2ccc([N+](=O)[O-])c(C)n2)CCC1 ZINC000268447179 132011087 /nfs/dbraw/zinc/01/10/87/132011087.db2.gz FEEGBGKBQBFLNY-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN COC(C)(C)C[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000268516995 132021111 /nfs/dbraw/zinc/02/11/11/132021111.db2.gz UCKDZAIHEABHMH-SECBINFHSA-N 0 0 267.329 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCCn2ccnc2)c1 ZINC000268943419 132092558 /nfs/dbraw/zinc/09/25/58/132092558.db2.gz GJUBTAQMFGXEON-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN Cc1ccc(N(C)CCCn2ccnc2)c([N+](=O)[O-])c1 ZINC000268993259 132096719 /nfs/dbraw/zinc/09/67/19/132096719.db2.gz VKTPJCQJGGAGTK-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN CN(CCC1CC1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000269468342 132175096 /nfs/dbraw/zinc/17/50/96/132175096.db2.gz BMQDIDZDHWFUPM-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN Cc1nccn1CCCN(C)c1ccc(C)cc1[N+](=O)[O-] ZINC000269473645 132175618 /nfs/dbraw/zinc/17/56/18/132175618.db2.gz JUZLQVGIHZJYIB-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269477352 132176312 /nfs/dbraw/zinc/17/63/12/132176312.db2.gz VJNXGMPQZUGFGN-SECBINFHSA-N 0 0 282.344 2.559 20 5 CFBDRN CON(CC(C)(C)C)c1ncc(C)cc1[N+](=O)[O-] ZINC000286381869 285526763 /nfs/dbraw/zinc/52/67/63/285526763.db2.gz NFXGUJSBEJVRFN-UHFFFAOYSA-N 0 0 253.302 2.712 20 5 CFBDRN CON(CC1CCOCC1)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000282536081 285527313 /nfs/dbraw/zinc/52/73/13/285527313.db2.gz SCBWRPVQWOHRMP-GFCCVEGCSA-N 0 0 294.351 2.946 20 5 CFBDRN C[C@@H]1CCc2ccccc2[C@@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301896526 393481704 /nfs/dbraw/zinc/48/17/04/393481704.db2.gz UTVMYRYWPPYPJW-NOZJJQNGSA-N 0 0 299.334 2.703 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC(n2cccn2)CC1 ZINC000301423752 393470397 /nfs/dbraw/zinc/47/03/97/393470397.db2.gz AWNYGMDBGLJKAA-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN CCOC1CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000301734795 393477033 /nfs/dbraw/zinc/47/70/33/393477033.db2.gz GSGGHYVLXMJKEG-UHFFFAOYSA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000025130732 393491697 /nfs/dbraw/zinc/49/16/97/393491697.db2.gz WCFGUIVAFZIUFR-NXEZZACHSA-N 0 0 282.365 2.990 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cc(-c2ccco2)[nH]n1 ZINC000028266583 393499212 /nfs/dbraw/zinc/49/92/12/393499212.db2.gz SBEASCVISYHKCX-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN C[C@@H](NCc1c(F)cccc1[N+](=O)[O-])c1ccccn1 ZINC000181776204 393501176 /nfs/dbraw/zinc/50/11/76/393501176.db2.gz DMDIMBSYXHTNFO-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cc1ccncc1 ZINC000181775478 393501350 /nfs/dbraw/zinc/50/13/50/393501350.db2.gz XKEHUQXEDRIFNV-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN C[C@@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccccn1 ZINC000181773491 393501392 /nfs/dbraw/zinc/50/13/92/393501392.db2.gz OCZHAOGEFMEAFP-SNVBAGLBSA-N 0 0 298.302 2.982 20 5 CFBDRN Cc1csc2c1CN(c1ccc([N+](=O)[O-])cn1)CC2 ZINC000374838760 393503689 /nfs/dbraw/zinc/50/36/89/393503689.db2.gz LVBMVZBHPGAOHW-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@H]2C)c1 ZINC000032386699 393513460 /nfs/dbraw/zinc/51/34/60/393513460.db2.gz NTAVNKNYPLHZPG-BDAKNGLRSA-N 0 0 280.349 2.703 20 5 CFBDRN CCc1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000183340923 393518897 /nfs/dbraw/zinc/51/88/97/393518897.db2.gz AXWWXPQNPMJBMG-UHFFFAOYSA-N 0 0 275.268 2.524 20 5 CFBDRN C[C@H](C(=O)NCCC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000184313076 393527840 /nfs/dbraw/zinc/52/78/40/393527840.db2.gz ASKFNODDSRENRT-VIFPVBQESA-N 0 0 280.299 2.754 20 5 CFBDRN CCOC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1F ZINC000185158634 393531533 /nfs/dbraw/zinc/53/15/33/393531533.db2.gz YBVNEKYGWWMPQN-ZETCQYMHSA-N 0 0 273.285 2.778 20 5 CFBDRN CCS[C@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000331146327 393554451 /nfs/dbraw/zinc/55/44/51/393554451.db2.gz ZJTXVLJSKFAEKN-KOLCDFICSA-N 0 0 297.380 2.717 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@]2(C)CC=CCC2)c1[N+](=O)[O-] ZINC000331315348 393556174 /nfs/dbraw/zinc/55/61/74/393556174.db2.gz CWCYPFWGNVGGPP-AWEZNQCLSA-N 0 0 292.339 2.670 20 5 CFBDRN CO[C@H](C(=O)N1CCCc2c([N+](=O)[O-])cccc21)C(C)C ZINC000332698157 393562950 /nfs/dbraw/zinc/56/29/50/393562950.db2.gz UEPOESNZLXNIEB-AWEZNQCLSA-N 0 0 292.335 2.545 20 5 CFBDRN CC(C)C[C@H](C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332669229 393563265 /nfs/dbraw/zinc/56/32/65/393563265.db2.gz GWHJYQMNPUDMKF-NSHDSACASA-N 0 0 291.351 2.557 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000045936144 393574315 /nfs/dbraw/zinc/57/43/15/393574315.db2.gz DTDMWIOTROASBV-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1ccc(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)s1 ZINC000045936468 393575207 /nfs/dbraw/zinc/57/52/07/393575207.db2.gz BEDKBLBEKXOPQE-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@H]1CC=CCC1 ZINC000046136683 393576476 /nfs/dbraw/zinc/57/64/76/393576476.db2.gz ZVGUBLPPXQJSBB-NSHDSACASA-N 0 0 260.293 2.567 20 5 CFBDRN CC(C)CC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000048825378 393604588 /nfs/dbraw/zinc/60/45/88/393604588.db2.gz SVEWNNKVWUWBDM-JTQLQIEISA-N 0 0 250.298 2.818 20 5 CFBDRN C[C@H](NC(=O)Nc1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000048861346 393605793 /nfs/dbraw/zinc/60/57/93/393605793.db2.gz WGXKSNOOQNTQIL-QMMMGPOBSA-N 0 0 292.320 2.934 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)COCC1CC1 ZINC000192504808 393624901 /nfs/dbraw/zinc/62/49/01/393624901.db2.gz FHFDDZBCKNHLQN-UHFFFAOYSA-N 0 0 278.308 2.577 20 5 CFBDRN C[C@@H]1C[C@H](C)N(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000408218671 393625197 /nfs/dbraw/zinc/62/51/97/393625197.db2.gz JJGDFVUGKGTBGF-SFYZADRCSA-N 0 0 255.705 2.878 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000408455917 393627226 /nfs/dbraw/zinc/62/72/26/393627226.db2.gz YYJSHLIQENDVRL-DTORHVGOSA-N 0 0 260.297 2.848 20 5 CFBDRN CC1(C)CCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000063063650 393628761 /nfs/dbraw/zinc/62/87/61/393628761.db2.gz VKIBKCODMCNYMM-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](CC(C)C)C1 ZINC000192639994 393629219 /nfs/dbraw/zinc/62/92/19/393629219.db2.gz SHIRVAFRSQMZFP-GFCCVEGCSA-N 0 0 280.372 2.992 20 5 CFBDRN CC(C)(NC(=O)c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000411040521 393651927 /nfs/dbraw/zinc/65/19/27/393651927.db2.gz HTKJXOAHTJWZSS-UHFFFAOYSA-N 0 0 262.309 2.903 20 5 CFBDRN COc1cccc(C(=O)N(C)CCC(C)C)c1[N+](=O)[O-] ZINC000193981844 393669241 /nfs/dbraw/zinc/66/92/41/393669241.db2.gz RCFAFNJWMLQVPU-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN COc1cccc(C(=O)N(CC(C)C)C2CC2)c1[N+](=O)[O-] ZINC000193963061 393669660 /nfs/dbraw/zinc/66/96/60/393669660.db2.gz FYOUCZWIDACAPS-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411136971 393672759 /nfs/dbraw/zinc/67/27/59/393672759.db2.gz JJLQCILIRNEGLU-JIMOISOXSA-N 0 0 262.309 2.613 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H]2Cc3ccccc32)cc1[N+](=O)[O-] ZINC000194049668 393673702 /nfs/dbraw/zinc/67/37/02/393673702.db2.gz HXPGZFXBAZTGIF-CQSZACIVSA-N 0 0 296.326 2.973 20 5 CFBDRN CN(C(=O)/C=C/c1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000075476084 393674029 /nfs/dbraw/zinc/67/40/29/393674029.db2.gz HZUBFKCNRJXDJP-CMDGGOBGSA-N 0 0 283.287 2.666 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2Cc3ccccc32)cccc1[N+](=O)[O-] ZINC000194052875 393674756 /nfs/dbraw/zinc/67/47/56/393674756.db2.gz OMPRTAIDNVUYAC-ZDUSSCGKSA-N 0 0 296.326 2.973 20 5 CFBDRN CCC[C@H](CC)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000195393983 393740554 /nfs/dbraw/zinc/74/05/54/393740554.db2.gz COPXDLNESMATSH-LBPRGKRZSA-N 0 0 279.340 2.973 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000336835599 393751245 /nfs/dbraw/zinc/75/12/45/393751245.db2.gz JPQDOPFVLSLISG-SCZZXKLOSA-N 0 0 275.308 2.690 20 5 CFBDRN CC(C)C1CCN(C(=O)c2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000217316904 393756822 /nfs/dbraw/zinc/75/68/22/393756822.db2.gz FJVYSCJUFMDQDR-UHFFFAOYSA-N 0 0 292.335 2.809 20 5 CFBDRN CC(C)N(C)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411236968 393688606 /nfs/dbraw/zinc/68/86/06/393688606.db2.gz FQZMSJSIRVPPEF-QWHCGFSZSA-N 0 0 262.309 2.565 20 5 CFBDRN O=C(c1cccc(F)c1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078149285 393704896 /nfs/dbraw/zinc/70/48/96/393704896.db2.gz SVMULCBYSCEWIZ-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN CC(C)Oc1cc(C(=O)NC[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000411423900 393711449 /nfs/dbraw/zinc/71/14/49/393711449.db2.gz OYFUNHSAWSYPKM-PWSUYJOCSA-N 0 0 292.335 2.768 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)OC(C)(C)C2)cc([N+](=O)[O-])c1 ZINC000194647213 393712217 /nfs/dbraw/zinc/71/22/17/393712217.db2.gz UAKNQSFZOOGKKG-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN COCC(C)(C)CC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000411501933 393718566 /nfs/dbraw/zinc/71/85/66/393718566.db2.gz IBULIZYGLUQAOI-LLVKDONJSA-N 0 0 294.351 2.835 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccc3cc[nH]c3c2)c1[N+](=O)[O-] ZINC000194834652 393719052 /nfs/dbraw/zinc/71/90/52/393719052.db2.gz UXUAHJWBMDUMLU-UHFFFAOYSA-N 0 0 299.290 2.614 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000411582621 393727686 /nfs/dbraw/zinc/72/76/86/393727686.db2.gz HGDLHOBOOGECMN-CYBMUJFWSA-N 0 0 292.335 2.910 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2nc3ccccc3[nH]2)nc1C1CC1 ZINC000195045347 393729258 /nfs/dbraw/zinc/72/92/58/393729258.db2.gz SVEGRKMIDRTUNA-UHFFFAOYSA-N 0 0 283.291 2.593 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCc1cscn1 ZINC000195062186 393729862 /nfs/dbraw/zinc/72/98/62/393729862.db2.gz LJWZNVRUVOSCIT-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000222915829 393763965 /nfs/dbraw/zinc/76/39/65/393763965.db2.gz PFXWNKPHAGRXFI-SECBINFHSA-N 0 0 283.303 2.625 20 5 CFBDRN COc1ccnc(NC(=O)c2cccc([N+](=O)[O-])c2C)c1 ZINC000089984278 393774155 /nfs/dbraw/zinc/77/41/55/393774155.db2.gz TVGVRVDXYUAJPJ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCCC1(CNC(=O)c2ccc(NC)c([N+](=O)[O-])c2)CC1 ZINC000230158986 393778303 /nfs/dbraw/zinc/77/83/03/393778303.db2.gz NEDUQCPRNADKKW-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCOC(C)(C)C1 ZINC000413332172 393781807 /nfs/dbraw/zinc/78/18/07/393781807.db2.gz HZPDXLHPLXQBEP-LLVKDONJSA-N 0 0 296.371 2.737 20 5 CFBDRN CC(C)[C@@H](CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000092401780 393787677 /nfs/dbraw/zinc/78/76/77/393787677.db2.gz ZYLLTJFGUDUAKK-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](CC2CC2)C1 ZINC000338811404 393801612 /nfs/dbraw/zinc/80/16/12/393801612.db2.gz QLQXOTNGIVPSSO-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2C[C@H]2CC(C)C)c1[N+](=O)[O-] ZINC000458002868 393822242 /nfs/dbraw/zinc/82/22/42/393822242.db2.gz KKMOEUOUGOCUFR-ZYHUDNBSSA-N 0 0 292.335 2.768 20 5 CFBDRN Cn1ccnc1[C@H](N[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000424320033 393826272 /nfs/dbraw/zinc/82/62/72/393826272.db2.gz IPASNMYXAWDFMY-UONOGXRCSA-N 0 0 298.346 2.560 20 5 CFBDRN COC1CCC(NC(=O)c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000458043181 393833260 /nfs/dbraw/zinc/83/32/60/393833260.db2.gz PFSORJVOGWQXDH-UHFFFAOYSA-N 0 0 292.335 2.591 20 5 CFBDRN COC(=O)c1cc(Nc2ccc(F)cc2[N+](=O)[O-])cn1C ZINC000471731132 393911275 /nfs/dbraw/zinc/91/12/75/393911275.db2.gz YZKNHRZBLILXIC-UHFFFAOYSA-N 0 0 293.254 2.603 20 5 CFBDRN CCOc1cc(N[C@@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000111047800 393883610 /nfs/dbraw/zinc/88/36/10/393883610.db2.gz IOZPIOLRRBZOCK-GFCCVEGCSA-N 0 0 282.340 2.812 20 5 CFBDRN CCN(CC1CC1)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000112179439 393890011 /nfs/dbraw/zinc/89/00/11/393890011.db2.gz IJQDUYJVVVPPPM-CMDGGOBGSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000416256623 393890430 /nfs/dbraw/zinc/89/04/30/393890430.db2.gz KSQTVAMJLNRWSV-SNVBAGLBSA-N 0 0 285.303 2.606 20 5 CFBDRN CC1CCN(C(=O)CCNc2ccccc2[N+](=O)[O-])CC1 ZINC000247813875 393904115 /nfs/dbraw/zinc/90/41/15/393904115.db2.gz BCGLYZHGXBIXQY-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CCC(C)(C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000119081381 393913945 /nfs/dbraw/zinc/91/39/45/393913945.db2.gz BBAWPHZDYFFFRE-UHFFFAOYSA-N 0 0 265.313 2.583 20 5 CFBDRN Cc1c(-c2noc([C@]3(C)C[C@H]3F)n2)cccc1[N+](=O)[O-] ZINC000424362177 393840078 /nfs/dbraw/zinc/84/00/78/393840078.db2.gz NFHHWWKEKYJHKM-ZWNOBZJWSA-N 0 0 277.255 2.953 20 5 CFBDRN COC1([C@@H](C)NC(=O)c2cccc(C)c2[N+](=O)[O-])CCC1 ZINC000416000870 393848497 /nfs/dbraw/zinc/84/84/97/393848497.db2.gz KMMPXFCPPHVHIC-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)CC(C)C ZINC000416042294 393852912 /nfs/dbraw/zinc/85/29/12/393852912.db2.gz LQYNGRCPYDCPBX-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN CO[C@@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)CC(C)C ZINC000416045613 393853986 /nfs/dbraw/zinc/85/39/86/393853986.db2.gz QUAPRAOOIABKET-CYBMUJFWSA-N 0 0 298.314 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1N[C@@H]1CCN(C(C)(C)C)C1=O ZINC000424562735 393855203 /nfs/dbraw/zinc/85/52/03/393855203.db2.gz KLKIJCOYRSNVEX-GFCCVEGCSA-N 0 0 291.351 2.715 20 5 CFBDRN CSc1ccc(C(=O)NC[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416057731 393857744 /nfs/dbraw/zinc/85/77/44/393857744.db2.gz AKJPSQHTNWITSA-JTQLQIEISA-N 0 0 292.360 2.847 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC23CC3)c1 ZINC000416066150 393860783 /nfs/dbraw/zinc/86/07/83/393860783.db2.gz HKRLCPJZQCLOJR-SECBINFHSA-N 0 0 292.360 2.847 20 5 CFBDRN CN(CCn1cccn1)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119101135 393914729 /nfs/dbraw/zinc/91/47/29/393914729.db2.gz NFKXCOMOQGELIG-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CC[C@H](C)C1 ZINC000429234563 393946342 /nfs/dbraw/zinc/94/63/42/393946342.db2.gz HORKFJPNAVDAIK-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cnc(CNC(C)(C)c2ccccc2[N+](=O)[O-])nc1 ZINC000429262985 393949110 /nfs/dbraw/zinc/94/91/10/393949110.db2.gz HRZQCZGDVABBQJ-UHFFFAOYSA-N 0 0 286.335 2.718 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@@H]1CNc1ccc([N+](=O)[O-])nc1 ZINC000487622345 394016237 /nfs/dbraw/zinc/01/62/37/394016237.db2.gz VBDDAMWBJYHUSS-RISCZKNCSA-N 0 0 279.340 2.853 20 5 CFBDRN CCCC(C)(C)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000475472348 393954279 /nfs/dbraw/zinc/95/42/79/393954279.db2.gz VLLVNWOKQVLUNL-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000485256924 393969233 /nfs/dbraw/zinc/96/92/33/393969233.db2.gz MEUHSISXVBTKHR-NWDGAFQWSA-N 0 0 293.367 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC(F)(F)C2)cn1 ZINC000486916683 393971853 /nfs/dbraw/zinc/97/18/53/393971853.db2.gz XEYUXPOBVQYOBW-MRVPVSSYSA-N 0 0 257.240 2.980 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC12CCCC2 ZINC000430250982 393973158 /nfs/dbraw/zinc/97/31/58/393973158.db2.gz WOQNOQNXEIIUED-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430396311 393984376 /nfs/dbraw/zinc/98/43/76/393984376.db2.gz TUKZYGPPZIKPDL-GFCCVEGCSA-N 0 0 294.351 2.929 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000266925528 393984693 /nfs/dbraw/zinc/98/46/93/393984693.db2.gz ZGWADJDDIJHIPS-SRVKXCTJSA-N 0 0 293.367 2.549 20 5 CFBDRN CCC1(CC)CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000268053605 393990188 /nfs/dbraw/zinc/99/01/88/393990188.db2.gz UUUINCNIKAYPSE-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430587735 393996693 /nfs/dbraw/zinc/99/66/93/393996693.db2.gz XLFMHGDYCNNIJX-NSHDSACASA-N 0 0 294.351 2.787 20 5 CFBDRN Cc1ccncc1Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487875823 394026911 /nfs/dbraw/zinc/02/69/11/394026911.db2.gz RECCXCXMKSSUKE-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN O=C(C1=CCCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000127101072 394043144 /nfs/dbraw/zinc/04/31/44/394043144.db2.gz ARYHOKLEAORJBP-UHFFFAOYSA-N 0 0 272.304 2.590 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000274840496 394044531 /nfs/dbraw/zinc/04/45/31/394044531.db2.gz VYTMHOHVMYSQEV-VHSXEESVSA-N 0 0 294.355 2.702 20 5 CFBDRN O=C1CC[C@@H](CSc2ccc([N+](=O)[O-])cc2)CCN1 ZINC000419510995 394049606 /nfs/dbraw/zinc/04/96/06/394049606.db2.gz BUMJMZZXSVQCKY-SNVBAGLBSA-N 0 0 280.349 2.603 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CCCC1 ZINC000276574437 394050389 /nfs/dbraw/zinc/05/03/89/394050389.db2.gz LJPRCWLDYAAXOE-UHFFFAOYSA-N 0 0 268.700 2.793 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000439130406 394101500 /nfs/dbraw/zinc/10/15/00/394101500.db2.gz FOPVSCWWCQSVHI-KOLCDFICSA-N 0 0 277.324 2.684 20 5 CFBDRN CO[C@H](CSCCn1cc([N+](=O)[O-])cn1)CC(C)C ZINC000419630100 394059432 /nfs/dbraw/zinc/05/94/32/394059432.db2.gz MAQOYHHYUNSMIY-LBPRGKRZSA-N 0 0 287.385 2.586 20 5 CFBDRN Cc1ncc(CNC(=O)c2cc([N+](=O)[O-])c(C)s2)s1 ZINC000128247648 394065736 /nfs/dbraw/zinc/06/57/36/394065736.db2.gz IXUSWVWQIPIYIL-UHFFFAOYSA-N 0 0 297.361 2.660 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000437726406 394071265 /nfs/dbraw/zinc/07/12/65/394071265.db2.gz OONOUWRVRYPNKF-GHMZBOCLSA-N 0 0 295.339 2.513 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000439158606 394103152 /nfs/dbraw/zinc/10/31/52/394103152.db2.gz PSZZPGROJZOVIB-BDAKNGLRSA-N 0 0 265.313 2.540 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@H](F)C1 ZINC000492841692 394080120 /nfs/dbraw/zinc/08/01/20/394080120.db2.gz QAMXXDPUOMTXLA-PBXSGDKMSA-N 0 0 278.283 2.615 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@@H](F)C1 ZINC000492841694 394080309 /nfs/dbraw/zinc/08/03/09/394080309.db2.gz QAMXXDPUOMTXLA-RGIBCJLZSA-N 0 0 278.283 2.615 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492885449 394089678 /nfs/dbraw/zinc/08/96/78/394089678.db2.gz ZNTJPXKYUGGHQM-CBEDBXNCSA-N 0 0 260.293 2.523 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000439004110 394093549 /nfs/dbraw/zinc/09/35/49/394093549.db2.gz SZMTXUIDMJNSHW-CYBMUJFWSA-N 0 0 276.336 2.847 20 5 CFBDRN CCOc1cccc(N2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000358357930 394105135 /nfs/dbraw/zinc/10/51/35/394105135.db2.gz SGZSATVFGUSJRP-UHFFFAOYSA-N 0 0 272.251 2.839 20 5 CFBDRN O=c1[nH]c(Cc2ccc([N+](=O)[O-])cc2)nc2ccc(O)cc12 ZINC000436908936 394033949 /nfs/dbraw/zinc/03/39/49/394033949.db2.gz KEMMCAKWCGKYDG-UHFFFAOYSA-N 0 0 297.270 2.540 20 5 CFBDRN CCCCOCCCNc1nc(C)ccc1[N+](=O)[O-] ZINC000130039175 394100083 /nfs/dbraw/zinc/10/00/83/394100083.db2.gz SGSQWIBCXMFWJG-UHFFFAOYSA-N 0 0 267.329 2.917 20 5 CFBDRN CC(C)c1nn(C)cc1Nc1ncc([N+](=O)[O-])s1 ZINC000282008782 394109749 /nfs/dbraw/zinc/10/97/49/394109749.db2.gz UZPUVKRTDNLKDP-UHFFFAOYSA-N 0 0 267.314 2.652 20 5 CFBDRN CSCC(C)(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000282014715 394110548 /nfs/dbraw/zinc/11/05/48/394110548.db2.gz VLLQGMFMUGJGMC-UHFFFAOYSA-N 0 0 296.392 2.643 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c(C)c1 ZINC000439303089 394111349 /nfs/dbraw/zinc/11/13/49/394111349.db2.gz WEIGTJZAIFTXIQ-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCO[C@H]1C(C)C ZINC000358888120 394114103 /nfs/dbraw/zinc/11/41/03/394114103.db2.gz RKGYAVGBYUFPOK-AAEUAGOBSA-N 0 0 296.371 2.593 20 5 CFBDRN CCCCCn1nc(-c2ccc([N+](=O)[O-])cc2)oc1=O ZINC000130696481 394117025 /nfs/dbraw/zinc/11/70/25/394117025.db2.gz KGOLJSHTACANCY-UHFFFAOYSA-N 0 0 277.280 2.602 20 5 CFBDRN CCSCCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000282579184 394124704 /nfs/dbraw/zinc/12/47/04/394124704.db2.gz GXBXFPLHXYMVHY-UHFFFAOYSA-N 0 0 274.367 2.529 20 5 CFBDRN C[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)CCC1=O ZINC000283162166 394130119 /nfs/dbraw/zinc/13/01/19/394130119.db2.gz OYTUWVKFNPGAEX-VIFPVBQESA-N 0 0 282.727 2.659 20 5 CFBDRN CC(C)=CCn1c(C)nc([N+](=O)[O-])c1Br ZINC000284169670 394135242 /nfs/dbraw/zinc/13/52/42/394135242.db2.gz JJPPPNBIAZFIEE-UHFFFAOYSA-N 0 0 274.118 2.828 20 5 CFBDRN CCOC(=O)CCCOc1ccc(C)c([N+](=O)[O-])c1 ZINC000131595850 394136432 /nfs/dbraw/zinc/13/64/32/394136432.db2.gz UDPGCIVWOHDUEE-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](C(C)C)C1 ZINC000359548887 394138195 /nfs/dbraw/zinc/13/81/95/394138195.db2.gz UFPFZLBABWLKNH-NSHDSACASA-N 0 0 266.345 2.602 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])Nc1ccncc1F ZINC000132274406 394151531 /nfs/dbraw/zinc/15/15/31/394151531.db2.gz BKKUOVOEVXCTEO-AATRIKPKSA-N 0 0 287.250 2.781 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@H]1CCC[C@H]1F ZINC000493117512 394153324 /nfs/dbraw/zinc/15/33/24/394153324.db2.gz CURVZCLPVNCDBY-DGTDAXKGSA-N 0 0 278.283 2.615 20 5 CFBDRN Cc1cc(N2CCc3ccsc3C2)ncc1[N+](=O)[O-] ZINC000132482520 394156663 /nfs/dbraw/zinc/15/66/63/394156663.db2.gz FQVKBCNWFCPJOI-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN CC[C@](C)(CNC(=O)/C=C\c1ccccc1[N+](=O)[O-])OC ZINC000493126930 394156773 /nfs/dbraw/zinc/15/67/73/394156773.db2.gz ZRSMJFFGXSORFJ-FJVVXJACSA-N 0 0 292.335 2.539 20 5 CFBDRN CCC1(O)CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC1 ZINC000360995176 394161726 /nfs/dbraw/zinc/16/17/26/394161726.db2.gz MMBRAABGARAAIQ-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493287709 394199974 /nfs/dbraw/zinc/19/99/74/394199974.db2.gz FMTPCRYEDVNNSP-UJZCVKTISA-N 0 0 274.320 2.913 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC ZINC000135551760 394221617 /nfs/dbraw/zinc/22/16/17/394221617.db2.gz XKGJTEMXHLWVKQ-UHFFFAOYSA-N 0 0 268.338 2.799 20 5 CFBDRN CN(C(=O)/C=C/c1cccc([N+](=O)[O-])c1)[C@@H]1CC1(C)C ZINC000493361744 394222532 /nfs/dbraw/zinc/22/25/32/394222532.db2.gz YRCSMBMUJRGJOA-SBDDDAINSA-N 0 0 274.320 2.865 20 5 CFBDRN CC[C@H](CNc1ccc2cc([N+](=O)[O-])ccc2n1)OC ZINC000290600103 394231807 /nfs/dbraw/zinc/23/18/07/394231807.db2.gz DRHKMRWPURBYPA-GFCCVEGCSA-N 0 0 275.308 2.980 20 5 CFBDRN O=C(N[C@H]1C=CCCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000137320836 394238497 /nfs/dbraw/zinc/23/84/97/394238497.db2.gz GEPGFANVMLHVNI-JTQLQIEISA-N 0 0 285.303 2.915 20 5 CFBDRN CC1(C)SC[C@H]1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493449078 394245947 /nfs/dbraw/zinc/24/59/47/394245947.db2.gz HFWJSJGKNJDBJB-ZHRWSRJISA-N 0 0 292.360 2.618 20 5 CFBDRN CN(CCCn1ccnc1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000286085334 394190385 /nfs/dbraw/zinc/19/03/85/394190385.db2.gz DFRCSJQFVSQANJ-UHFFFAOYSA-N 0 0 294.742 2.971 20 5 CFBDRN CC1(C)C[C@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C(=O)O1 ZINC000286087491 394190440 /nfs/dbraw/zinc/19/04/40/394190440.db2.gz DFXCOTKLOFUCHI-VIFPVBQESA-N 0 0 284.699 2.754 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@@H]1CF ZINC000493521416 394266861 /nfs/dbraw/zinc/26/68/61/394266861.db2.gz NBLJQLTXSZUDTB-FVOPLDGLSA-N 0 0 296.273 2.708 20 5 CFBDRN COc1cc(NCCSC)c([N+](=O)[O-])cc1F ZINC000151989542 394267590 /nfs/dbraw/zinc/26/75/90/394267590.db2.gz LCNQOQIAGMPMMO-UHFFFAOYSA-N 0 0 260.290 2.517 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N1CC[C@@H]1C1CC1 ZINC000493524490 394268007 /nfs/dbraw/zinc/26/80/07/394268007.db2.gz NSQLPSWINUUKRE-RYWCDNDXSA-N 0 0 290.294 2.758 20 5 CFBDRN CCO/C=C\C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000493549282 394275393 /nfs/dbraw/zinc/27/53/93/394275393.db2.gz HUSLEIGCOMDMIR-KTKRTIGZSA-N 0 0 290.319 2.636 20 5 CFBDRN Cc1cc(N2CCC3(CC2)OCCCO3)ccc1[N+](=O)[O-] ZINC000292717457 394276911 /nfs/dbraw/zinc/27/69/11/394276911.db2.gz HFDIRBSRTMYNTI-UHFFFAOYSA-N 0 0 292.335 2.637 20 5 CFBDRN CC1(C)C[C@@H](NCC(F)(F)CN)c2cc([N+](=O)[O-])ccc21 ZINC000423344492 394277769 /nfs/dbraw/zinc/27/77/69/394277769.db2.gz MYPGTZCCEJSCSA-GFCCVEGCSA-N 0 0 299.321 2.501 20 5 CFBDRN CC1CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000153506765 394284846 /nfs/dbraw/zinc/28/48/46/394284846.db2.gz MACSWYCIBDFRNW-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN CCOc1cccc(N2CCC[C@@H](CO)CC2)c1[N+](=O)[O-] ZINC000293869311 394285792 /nfs/dbraw/zinc/28/57/92/394285792.db2.gz AUGXJJBLTIEFFG-GFCCVEGCSA-N 0 0 294.351 2.592 20 5 CFBDRN CC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2F)CCCC1 ZINC000153563082 394286611 /nfs/dbraw/zinc/28/66/11/394286611.db2.gz QGTMNYDVPOTMOG-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN Cc1c(C(=O)N2CCC3(CC3)CC2)cccc1[N+](=O)[O-] ZINC000370872421 394294379 /nfs/dbraw/zinc/29/43/79/394294379.db2.gz RFATWBZJVLRSSE-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000493628572 394294583 /nfs/dbraw/zinc/29/45/83/394294583.db2.gz LEQKCXGJOGHPDZ-POPFVDGXSA-N 0 0 260.293 2.523 20 5 CFBDRN Cc1nn(Cc2nc3ccc(F)cc3o2)c(C)c1[N+](=O)[O-] ZINC000154373859 394296737 /nfs/dbraw/zinc/29/67/37/394296737.db2.gz UWOPDPLWQVZAAY-UHFFFAOYSA-N 0 0 290.254 2.737 20 5 CFBDRN O=C(N[C@@H]1C=CCCC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000154656508 394298905 /nfs/dbraw/zinc/29/89/05/394298905.db2.gz FYYWGRADQNHFGT-LLVKDONJSA-N 0 0 297.314 2.982 20 5 CFBDRN CCO[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000450747486 394333984 /nfs/dbraw/zinc/33/39/84/394333984.db2.gz UGVIXIHZYAPKNJ-YGRLFVJLSA-N 0 0 282.315 2.985 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C(C)C)C1COC1 ZINC000295590375 394301208 /nfs/dbraw/zinc/30/12/08/394301208.db2.gz BZYAQUKQVLBEJJ-UHFFFAOYSA-N 0 0 264.325 2.512 20 5 CFBDRN Cc1cccc(NCc2nnc(C3CC3)[nH]2)c1[N+](=O)[O-] ZINC000450627108 394302698 /nfs/dbraw/zinc/30/26/98/394302698.db2.gz IKXHWQXDZNRDAS-UHFFFAOYSA-N 0 0 273.296 2.511 20 5 CFBDRN COc1cc(C(=O)NC[C@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000154910076 394305202 /nfs/dbraw/zinc/30/52/02/394305202.db2.gz FAQPKGKJBYXLDZ-NSHDSACASA-N 0 0 290.319 2.690 20 5 CFBDRN Cc1nc(N[C@@H]2CCCC[C@H]2C)ncc1[N+](=O)[O-] ZINC000296625735 394310719 /nfs/dbraw/zinc/31/07/19/394310719.db2.gz VHYPAFQQFQNXGW-PSASIEDQSA-N 0 0 250.302 2.684 20 5 CFBDRN CCOc1cc(N2CC[C@H](SC)C2)ccc1[N+](=O)[O-] ZINC000450680167 394315932 /nfs/dbraw/zinc/31/59/32/394315932.db2.gz KSMOPEBCWDSHSE-NSHDSACASA-N 0 0 282.365 2.935 20 5 CFBDRN CC[C@@](C)(CNc1nc(C)cc(C)c1[N+](=O)[O-])OC ZINC000450710562 394322642 /nfs/dbraw/zinc/32/26/42/394322642.db2.gz GPZNIKMYKRHYIW-ZDUSSCGKSA-N 0 0 267.329 2.834 20 5 CFBDRN CC[C@](C)(CNc1nc2ccccc2cc1[N+](=O)[O-])OC ZINC000450712803 394323504 /nfs/dbraw/zinc/32/35/04/394323504.db2.gz JENNSAMNDQWWAX-OAHLLOKOSA-N 0 0 289.335 2.792 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CCC12CCC2 ZINC000493838163 394327684 /nfs/dbraw/zinc/32/76/84/394327684.db2.gz QRSDKUOPAISYQM-SREVYHEPSA-N 0 0 272.304 2.763 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC1(CF)CCOCC1 ZINC000450732012 394329452 /nfs/dbraw/zinc/32/94/52/394329452.db2.gz COEVCHWTCAODQJ-UHFFFAOYSA-N 0 0 286.278 2.912 20 5 CFBDRN O=C(/C=C\C1CCCC1)NCCc1ccccc1[N+](=O)[O-] ZINC000493850121 394329722 /nfs/dbraw/zinc/32/97/22/394329722.db2.gz GGYKQEYPPCUBMS-KTKRTIGZSA-N 0 0 288.347 3.000 20 5 CFBDRN CC(C)[C@@H](CO)[C@H](Nc1ncccc1[N+](=O)[O-])C(C)C ZINC000450772388 394338949 /nfs/dbraw/zinc/33/89/49/394338949.db2.gz PFSLHYJJCNYLEV-DGCLKSJQSA-N 0 0 281.356 2.691 20 5 CFBDRN CC(C)[C@@H](CO)[C@@H](Nc1ncc([N+](=O)[O-])s1)C(C)C ZINC000450774141 394339540 /nfs/dbraw/zinc/33/95/40/394339540.db2.gz SGYYNIAXNPRCBG-KOLCDFICSA-N 0 0 287.385 2.752 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000157570321 394347117 /nfs/dbraw/zinc/34/71/17/394347117.db2.gz RTCPEHUOLIPUNL-GFCCVEGCSA-N 0 0 260.293 2.742 20 5 CFBDRN O=C(Nc1ccccn1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000157867311 394350789 /nfs/dbraw/zinc/35/07/89/394350789.db2.gz XIJACYJNZPCNAI-UHFFFAOYSA-N 0 0 298.302 2.580 20 5 CFBDRN C[C@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1cn[nH]c1 ZINC000494633396 394361118 /nfs/dbraw/zinc/36/11/18/394361118.db2.gz AVEFJZAFDUBQMO-QMMMGPOBSA-N 0 0 280.715 2.822 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](OCC(C)C)C1 ZINC000519619629 394372442 /nfs/dbraw/zinc/37/24/42/394372442.db2.gz YGOIYQASAODIGY-LBPRGKRZSA-N 0 0 294.351 2.855 20 5 CFBDRN CCOC[C@@H]1CCCN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000178225107 394386726 /nfs/dbraw/zinc/38/67/26/394386726.db2.gz AGYRFZQBXDNVMC-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)C1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000178201466 394386760 /nfs/dbraw/zinc/38/67/60/394386760.db2.gz JDSXWMGHOGKRAN-NXEZZACHSA-N 0 0 291.351 2.732 20 5 CFBDRN COc1cc(N2CC=C(C)CC2)c(F)cc1[N+](=O)[O-] ZINC000271120091 135092135 /nfs/dbraw/zinc/09/21/35/135092135.db2.gz XSOJOPPFSSKCSX-UHFFFAOYSA-N 0 0 266.272 2.899 20 5 CFBDRN C[C@H](C(=O)NCCNc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000457403501 394447345 /nfs/dbraw/zinc/44/73/45/394447345.db2.gz OIYHODCAMVRTHF-NSHDSACASA-N 0 0 291.351 2.559 20 5 CFBDRN CC1(CCNc2cc3nc[nH]c(=O)c3cc2[N+](=O)[O-])CC1 ZINC000530481460 394521305 /nfs/dbraw/zinc/52/13/05/394521305.db2.gz PUNVVXQFHHRGEA-UHFFFAOYSA-N 0 0 288.307 2.846 20 5 CFBDRN C[C@H]1CCCC[C@@H]1N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531887532 394530529 /nfs/dbraw/zinc/53/05/29/394530529.db2.gz DYPPKWLSOJUREH-GWCFXTLKSA-N 0 0 277.324 2.641 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)cc1O ZINC000535420483 394534940 /nfs/dbraw/zinc/53/49/40/394534940.db2.gz QBQRRFPEGZXNHE-UHFFFAOYSA-N 0 0 295.682 2.545 20 5 CFBDRN Cc1cnc(NC2C[C@H](C)O[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000535763927 394543526 /nfs/dbraw/zinc/54/35/26/394543526.db2.gz SEHLDQVFYDQUEM-UWVGGRQHSA-N 0 0 265.313 2.666 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCOC(C)(C)C)n1 ZINC000541007386 394662907 /nfs/dbraw/zinc/66/29/07/394662907.db2.gz XPEIIFWRHLZXDH-UHFFFAOYSA-N 0 0 267.329 2.834 20 5 CFBDRN C[C@@H](C(=O)N1CCC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000541725678 394681370 /nfs/dbraw/zinc/68/13/70/394681370.db2.gz ICUNIMYJIXTZKI-SECBINFHSA-N 0 0 280.299 2.848 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000544455548 394761164 /nfs/dbraw/zinc/76/11/64/394761164.db2.gz DFIVIAZKQYVPOW-LLVKDONJSA-N 0 0 285.303 2.523 20 5 CFBDRN CCCOCc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000544784215 394771505 /nfs/dbraw/zinc/77/15/05/394771505.db2.gz BQBGOICFWJUWIU-UHFFFAOYSA-N 0 0 277.280 2.880 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000545267558 394787207 /nfs/dbraw/zinc/78/72/07/394787207.db2.gz NRTLMIJXHGWEAM-NSHDSACASA-N 0 0 291.351 2.973 20 5 CFBDRN COc1ccc(-c2nc([C@H]3C[C@H]3C)no2)cc1[N+](=O)[O-] ZINC000545768123 394801330 /nfs/dbraw/zinc/80/13/30/394801330.db2.gz FRCWFTIXTLLORZ-APPZFPTMSA-N 0 0 275.264 2.777 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@]2(C)CCOC2)n1 ZINC000546395068 394825147 /nfs/dbraw/zinc/82/51/47/394825147.db2.gz BBVFLPZWFAKYHS-CQSZACIVSA-N 0 0 289.291 2.631 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccco1 ZINC000545958591 394810648 /nfs/dbraw/zinc/81/06/48/394810648.db2.gz UDEXKISQDARWIN-UHFFFAOYSA-N 0 0 276.248 2.839 20 5 CFBDRN CC1(C)CC(CNC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000547706461 394882815 /nfs/dbraw/zinc/88/28/15/394882815.db2.gz YPVBURROUFKTNQ-UHFFFAOYSA-N 0 0 291.351 2.830 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C)on1 ZINC000546665070 394836772 /nfs/dbraw/zinc/83/67/72/394836772.db2.gz IMUNAPMITBVNKH-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000547187105 394854958 /nfs/dbraw/zinc/85/49/58/394854958.db2.gz WTCVURKXYVERTH-RCOVLWMOSA-N 0 0 263.228 2.907 20 5 CFBDRN C[C@H]1C[C@H](C)N1Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000547768493 394888361 /nfs/dbraw/zinc/88/83/61/394888361.db2.gz RCZDRCZEDFBNBX-UWVGGRQHSA-N 0 0 288.307 2.628 20 5 CFBDRN Cc1cc(F)ccc1Cn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000547814882 394892222 /nfs/dbraw/zinc/89/22/22/394892222.db2.gz QWNNCVREDACYSX-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CC1(C)CC(CNC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000548055804 394906183 /nfs/dbraw/zinc/90/61/83/394906183.db2.gz SFOPBVJVLKLFAQ-UHFFFAOYSA-N 0 0 291.351 2.559 20 5 CFBDRN CC1(C)CC(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000548059559 394906901 /nfs/dbraw/zinc/90/69/01/394906901.db2.gz DSGQRUWVOZGTNZ-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN O=C(c1c[nH]nc1[N+](=O)[O-])N(CC1CC1)C1CCCCC1 ZINC000548091843 394908708 /nfs/dbraw/zinc/90/87/08/394908708.db2.gz IHKDBHUISCUPBT-UHFFFAOYSA-N 0 0 292.339 2.503 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000548614529 394940774 /nfs/dbraw/zinc/94/07/74/394940774.db2.gz UDNQYRPAVCZKNB-OAHLLOKOSA-N 0 0 293.367 2.596 20 5 CFBDRN Cc1nsc(C)c1COc1ncc(C)cc1[N+](=O)[O-] ZINC000555873687 394984280 /nfs/dbraw/zinc/98/42/80/394984280.db2.gz BISNPFUSNWSIOB-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN COc1ccc(C(=O)NC(C)(C)C(C)C)cc1[N+](=O)[O-] ZINC000556449346 395001850 /nfs/dbraw/zinc/00/18/50/395001850.db2.gz BZZAGGBALSLZHQ-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN CC(=O)c1cccc(CNC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000557018247 395028729 /nfs/dbraw/zinc/02/87/29/395028729.db2.gz WBSJFKUJVOWZBC-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC=CC1 ZINC000554735341 394957733 /nfs/dbraw/zinc/95/77/33/394957733.db2.gz WEOAVGMESRSRCJ-UHFFFAOYSA-N 0 0 299.330 2.701 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@H]3CCOC3)C2)c(F)cc1[N+](=O)[O-] ZINC000555222097 394968056 /nfs/dbraw/zinc/96/80/56/394968056.db2.gz BKXMOVCKOHIUMV-RYUDHWBXSA-N 0 0 294.326 2.905 20 5 CFBDRN CC(C)[C@H]1CCC[C@@H](NC(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000555290682 394970993 /nfs/dbraw/zinc/97/09/93/394970993.db2.gz SHUHAJAJSKDJJE-WDEREUQCSA-N 0 0 294.355 2.653 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCOC[C@@H]1C1CC1 ZINC000558496544 395062794 /nfs/dbraw/zinc/06/27/94/395062794.db2.gz QOENHVQPAXCLMR-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCOCC2CCC2)c1 ZINC000558939168 395079001 /nfs/dbraw/zinc/07/90/01/395079001.db2.gz UZYGQKBGDRCCGZ-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCC[C@H](F)C1 ZINC000567768671 395083821 /nfs/dbraw/zinc/08/38/21/395083821.db2.gz UVLAIPBTULUPBL-UWVGGRQHSA-N 0 0 253.277 2.991 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@]2(C1)CCCOC2 ZINC000376487475 296501678 /nfs/dbraw/zinc/50/16/78/296501678.db2.gz LNCJLWUHAVWXOU-AWEZNQCLSA-N 0 0 280.299 2.741 20 5 CFBDRN COC(=O)[C@H](CNc1c(F)cccc1[N+](=O)[O-])CC(C)C ZINC000557588279 395040542 /nfs/dbraw/zinc/04/05/42/395040542.db2.gz VHVRIKNAYPNUAZ-JTQLQIEISA-N 0 0 298.314 2.981 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000557713745 395044582 /nfs/dbraw/zinc/04/45/82/395044582.db2.gz MQKFZRROYZETJM-BXKDBHETSA-N 0 0 298.289 2.954 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCOCC2(CC2)C1 ZINC000366616357 296510891 /nfs/dbraw/zinc/51/08/91/296510891.db2.gz ONUPFTUJVDWJLT-UHFFFAOYSA-N 0 0 282.727 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CC[C@H](C3CC3)C1)CCN2 ZINC000373058811 296509955 /nfs/dbraw/zinc/50/99/55/296509955.db2.gz OHXWAEQIRNCCDC-NSHDSACASA-N 0 0 273.336 2.799 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2ccc(F)c(F)c2C1 ZINC000363002461 296517814 /nfs/dbraw/zinc/51/78/14/296517814.db2.gz ULBMLRXDLAZUNL-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@]3(CC=CCC3)C2)nc1 ZINC000302178997 296520093 /nfs/dbraw/zinc/52/00/93/296520093.db2.gz YNNUOACUROMNJY-CQSZACIVSA-N 0 0 274.324 2.712 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000267742789 292067087 /nfs/dbraw/zinc/06/70/87/292067087.db2.gz WYABVXLCICNZRK-GHMZBOCLSA-N 0 0 262.309 2.631 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281596343 285721617 /nfs/dbraw/zinc/72/16/17/285721617.db2.gz HNLKMSQJPWOHPR-GXSJLCMTSA-N 0 0 268.288 2.738 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@@H]1C ZINC000178161706 296538794 /nfs/dbraw/zinc/53/87/94/296538794.db2.gz OPBCZMVLDJKWOY-RKDXNWHRSA-N 0 0 266.272 2.604 20 5 CFBDRN Cc1cc(Cc2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)n[nH]1 ZINC000355977922 155135605 /nfs/dbraw/zinc/13/56/05/155135605.db2.gz AWBFTHNNSTXFCX-UHFFFAOYSA-N 0 0 299.290 2.576 20 5 CFBDRN Cc1ccc(-c2noc([C@@H]3CCO[C@@H]3C)n2)cc1[N+](=O)[O-] ZINC000355982515 155136322 /nfs/dbraw/zinc/13/63/22/155136322.db2.gz JDAAKWVFWZFCCP-MWLCHTKSSA-N 0 0 289.291 2.846 20 5 CFBDRN CCOC(=O)c1cc(N2C[C@H](C)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301663532 397638936 /nfs/dbraw/zinc/63/89/36/397638936.db2.gz YSNOCRNAXYIUOH-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc(N2CCC[C@@H](n3cccn3)C2)c([N+](=O)[O-])c1 ZINC000301426503 292131751 /nfs/dbraw/zinc/13/17/51/292131751.db2.gz COYVCYOELTVAAX-CYBMUJFWSA-N 0 0 286.335 2.941 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC[C@H]2CC2CCC2)c(F)c1 ZINC000413300825 304811954 /nfs/dbraw/zinc/81/19/54/304811954.db2.gz QRLZOUSMZVYHCN-GFCCVEGCSA-N 0 0 295.314 2.524 20 5 CFBDRN Cc1cnn(Cc2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)c1 ZINC000355991760 155137677 /nfs/dbraw/zinc/13/76/77/155137677.db2.gz UPEQENBNBSYOIL-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CC(C)(C)C1CC(NC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000356037597 155144164 /nfs/dbraw/zinc/14/41/64/155144164.db2.gz NBOCYCCFXFDFPF-UHFFFAOYSA-N 0 0 291.351 2.732 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000353910674 285953245 /nfs/dbraw/zinc/95/32/45/285953245.db2.gz LGDGCDOIWSCHIN-GFCCVEGCSA-N 0 0 294.351 2.789 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000266078418 286032498 /nfs/dbraw/zinc/03/24/98/286032498.db2.gz LZTKQYHNHDNVML-JSGCOSHPSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc2cc(NC(=O)c3ccc([N+](=O)[O-])n3C)ccc2[nH]1 ZINC000356254794 155197392 /nfs/dbraw/zinc/19/73/92/155197392.db2.gz MHLFSOVEADDTGJ-UHFFFAOYSA-N 0 0 298.302 2.975 20 5 CFBDRN CO[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1(C)C ZINC000295836736 286064727 /nfs/dbraw/zinc/06/47/27/286064727.db2.gz WOZQDVAJPWIOQW-NSHDSACASA-N 0 0 299.758 2.895 20 5 CFBDRN CO[C@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C[C@H]1C ZINC000450771236 286063416 /nfs/dbraw/zinc/06/34/16/286063416.db2.gz YJVDFFKXKAFXEH-YPMHNXCESA-N 0 0 264.325 2.764 20 5 CFBDRN COc1c(C(=O)Nc2cncc(C)c2)cccc1[N+](=O)[O-] ZINC000356299635 155214548 /nfs/dbraw/zinc/21/45/48/155214548.db2.gz NGARXBDFJUULCU-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CO[C@](C)(CNc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000292230592 286146792 /nfs/dbraw/zinc/14/67/92/286146792.db2.gz FPCCCOIYYASQBO-CYBMUJFWSA-N 0 0 268.288 2.961 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000301427546 286165624 /nfs/dbraw/zinc/16/56/24/286165624.db2.gz MOPQNVWNXPLFIL-BXUZGUMPSA-N 0 0 279.340 2.914 20 5 CFBDRN COc1c(C(=O)N2CCCC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000331050006 286175823 /nfs/dbraw/zinc/17/58/23/286175823.db2.gz HULRNCQGDKVKRX-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cc(C(=O)N2CCCC2(C)C)c([N+](=O)[O-])cc1F ZINC000298122400 286193400 /nfs/dbraw/zinc/19/34/00/286193400.db2.gz WSSYVXFKJSPIAM-UHFFFAOYSA-N 0 0 296.298 2.757 20 5 CFBDRN COc1cc(N2CCO[C@H]3CCC[C@@H]32)c(F)cc1[N+](=O)[O-] ZINC000271612653 286230022 /nfs/dbraw/zinc/23/00/22/286230022.db2.gz KNCAEMRVFLGLPT-GWCFXTLKSA-N 0 0 296.298 2.500 20 5 CFBDRN C[C@@H](F)CCNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000356645355 155325078 /nfs/dbraw/zinc/32/50/78/155325078.db2.gz BOUMSAWHFSGJSF-LLVKDONJSA-N 0 0 297.330 2.651 20 5 CFBDRN Cc1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])nn2C)c1 ZINC000356728016 155342477 /nfs/dbraw/zinc/34/24/77/155342477.db2.gz IZACUZKOLWEBKD-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]2C[C@@H](C)O)c1 ZINC000269891096 292285899 /nfs/dbraw/zinc/28/58/99/292285899.db2.gz QZNZFMHQBOIEFH-VXGBXAGGSA-N 0 0 264.325 2.643 20 5 CFBDRN CCCC[C@H](CC)CNc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000356846592 155392823 /nfs/dbraw/zinc/39/28/23/155392823.db2.gz UEPCOVQTDYCAFB-JTQLQIEISA-N 0 0 294.355 2.717 20 5 CFBDRN CCOc1cc(N2C[C@@H](C)OC[C@H]2CC)ccc1[N+](=O)[O-] ZINC000356846179 155392921 /nfs/dbraw/zinc/39/29/21/155392921.db2.gz YZISMXZWDXDPCM-VXGBXAGGSA-N 0 0 294.351 2.997 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@H](C)O[C@H](C)[C@H]1C ZINC000446063639 286384082 /nfs/dbraw/zinc/38/40/82/286384082.db2.gz RQSNFHSVTJVJOL-QJPTWQEYSA-N 0 0 294.351 2.601 20 5 CFBDRN O=C(Nc1ccc2ncsc2c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000343077942 154105928 /nfs/dbraw/zinc/10/59/28/154105928.db2.gz XNANMRQXRMXPSI-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN COC(=O)C(C)(C)CCNc1cc(C)ccc1[N+](=O)[O-] ZINC000343223508 154147469 /nfs/dbraw/zinc/14/74/69/154147469.db2.gz PVFNYFTYFXGVTF-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN CCOc1cc(N(C)[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000343252361 154156064 /nfs/dbraw/zinc/15/60/64/154156064.db2.gz QORNXNPNTKXYMV-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cc(N[C@H](c2nccn2C)C2CC2)ncc1[N+](=O)[O-] ZINC000343254304 154156274 /nfs/dbraw/zinc/15/62/74/154156274.db2.gz UDSLQCFUCVWGTH-ZDUSSCGKSA-N 0 0 287.323 2.595 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2C[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000345593074 154214273 /nfs/dbraw/zinc/21/42/73/154214273.db2.gz PWSUTJWVSLXFIR-UWVGGRQHSA-N 0 0 284.262 2.541 20 5 CFBDRN COc1cccc(-c2nc(-c3cccnc3)no2)c1[N+](=O)[O-] ZINC000345650135 154230834 /nfs/dbraw/zinc/23/08/34/154230834.db2.gz CPBKNAJREKTDSP-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cccnc3)no2)c(F)c1 ZINC000345680778 154239001 /nfs/dbraw/zinc/23/90/01/154239001.db2.gz AGSCBLNZFJHPDG-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN Cc1ccn(C)c1-c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000345690554 154240193 /nfs/dbraw/zinc/24/01/93/154240193.db2.gz NGGTUJNNAXNIDV-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN O=C(N[C@H]1C[C@H]1C(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000345761597 154261160 /nfs/dbraw/zinc/26/11/60/154261160.db2.gz WBQWCFFCKHTIHG-BDAKNGLRSA-N 0 0 296.229 2.724 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000345812775 154272230 /nfs/dbraw/zinc/27/22/30/154272230.db2.gz YZFNYDICIFPUOX-IUCAKERBSA-N 0 0 298.289 2.847 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2C[C@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000348058663 154300994 /nfs/dbraw/zinc/30/09/94/154300994.db2.gz AAKXWSINSCZELD-APPZFPTMSA-N 0 0 285.250 2.678 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000348138246 154336228 /nfs/dbraw/zinc/33/62/28/154336228.db2.gz HKROQFLPUIHHDP-RKDXNWHRSA-N 0 0 297.286 2.616 20 5 CFBDRN Cc1c(Cl)cccc1NCc1c([N+](=O)[O-])ncn1C ZINC000348146189 154339775 /nfs/dbraw/zinc/33/97/75/154339775.db2.gz VUGTZAMPGKOCNJ-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc(-n3cccn3)c2)cn1 ZINC000348172370 154347673 /nfs/dbraw/zinc/34/76/73/154347673.db2.gz FZMJWZUJPDINRX-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN Cc1cc(N[C@H](C)CC(=O)OC(C)(C)C)ncc1[N+](=O)[O-] ZINC000348182174 154352881 /nfs/dbraw/zinc/35/28/81/154352881.db2.gz QVZYSXQRHZLQKG-SNVBAGLBSA-N 0 0 295.339 2.830 20 5 CFBDRN CCCCN(CCO)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352357317 154541926 /nfs/dbraw/zinc/54/19/26/154541926.db2.gz PYXBLRXZXWJFLA-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN CN(Cc1cnn(C)c1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352368157 154544594 /nfs/dbraw/zinc/54/45/94/154544594.db2.gz SRSQBZFPFKRHFZ-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H](C)C(C)C ZINC000352374219 154548399 /nfs/dbraw/zinc/54/83/99/154548399.db2.gz YQSNJERVEFHVDK-SNVBAGLBSA-N 0 0 279.340 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cnc(C)cn2)c1 ZINC000352545039 154608067 /nfs/dbraw/zinc/60/80/67/154608067.db2.gz VFPTWDWARTUNCL-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000352610861 154627078 /nfs/dbraw/zinc/62/70/78/154627078.db2.gz YLYXAPPGHZBBKZ-PWSUYJOCSA-N 0 0 262.309 2.599 20 5 CFBDRN CCC[C@@H](NC/C=C\c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000354933329 154863683 /nfs/dbraw/zinc/86/36/83/154863683.db2.gz VJCQNJLERWPIOF-OYVUYXNMSA-N 0 0 292.335 2.539 20 5 CFBDRN CN(Cc1c([N+](=O)[O-])ncn1C)Cc1cccc(Cl)c1 ZINC000355791634 155088204 /nfs/dbraw/zinc/08/82/04/155088204.db2.gz HNMGCGPIEHSIRF-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CCC1(CC)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000355866189 155102804 /nfs/dbraw/zinc/10/28/04/155102804.db2.gz PMZJXEXWDIWUJL-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN COc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1F ZINC000355878884 155107160 /nfs/dbraw/zinc/10/71/60/155107160.db2.gz LDCULKATOFMHKN-UHFFFAOYSA-N 0 0 293.254 2.631 20 5 CFBDRN Cc1cc(C(=O)N2CCOC(C)(C)[C@H]2C)cc([N+](=O)[O-])c1 ZINC000357918381 155575130 /nfs/dbraw/zinc/57/51/30/155575130.db2.gz SXCXKQRNJZCBAD-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(C)nc2)c1 ZINC000358384011 155744800 /nfs/dbraw/zinc/74/48/00/155744800.db2.gz YCPPKLZKMDEBIE-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CCC(=O)c1ccc(Nc2cnn(C)c2)c([N+](=O)[O-])c1 ZINC000358949015 155925238 /nfs/dbraw/zinc/92/52/38/155925238.db2.gz KABVLCDJDBASCV-UHFFFAOYSA-N 0 0 274.280 2.665 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCOCC(F)(F)F)n1 ZINC000359084364 155979711 /nfs/dbraw/zinc/97/97/11/155979711.db2.gz QMRAIELMNQOXQT-UHFFFAOYSA-N 0 0 293.245 2.597 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2cscn2)n1 ZINC000359097984 155985570 /nfs/dbraw/zinc/98/55/70/155985570.db2.gz MHPXENLYVSYNOE-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CCCCOCCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359111126 155990433 /nfs/dbraw/zinc/99/04/33/155990433.db2.gz VCFMNPIOUGUGOU-UHFFFAOYSA-N 0 0 267.329 2.835 20 5 CFBDRN Nc1nc(Nc2ccc(C3CCC3)cc2)ncc1[N+](=O)[O-] ZINC000362856997 156100692 /nfs/dbraw/zinc/10/06/92/156100692.db2.gz UNGVNMZUBGOGIQ-UHFFFAOYSA-N 0 0 285.307 2.808 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2[C@@H]3Cc4ccccc4[C@H]23)cn1 ZINC000362869099 156102369 /nfs/dbraw/zinc/10/23/69/156102369.db2.gz RDULIHSOSVAXIW-LZWOXQAQSA-N 0 0 281.315 2.988 20 5 CFBDRN COCCSCCC[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000362931244 156118266 /nfs/dbraw/zinc/11/82/66/156118266.db2.gz BKNPPZAMHLTKEH-UHFFFAOYSA-N 0 0 298.408 2.796 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000362945688 156122942 /nfs/dbraw/zinc/12/29/42/156122942.db2.gz UJDZRHRWEOVENW-IWIIMEHWSA-N 0 0 291.307 2.523 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1nc2sccn2c1[N+](=O)[O-])OC ZINC000362977771 156134266 /nfs/dbraw/zinc/13/42/66/156134266.db2.gz UKXULVHLTXZRQI-BDAKNGLRSA-N 0 0 298.368 2.777 20 5 CFBDRN Cc1cc(N2C[C@H]3CCC[C@@H](C2)C3O)ccc1[N+](=O)[O-] ZINC000363223820 156163840 /nfs/dbraw/zinc/16/38/40/156163840.db2.gz KCSYXRSOCRYPGS-ODOQXGPZSA-N 0 0 276.336 2.500 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@@H]1C1CC1 ZINC000363385686 156175300 /nfs/dbraw/zinc/17/53/00/156175300.db2.gz CJOLWMXVEFNKRD-SNVBAGLBSA-N 0 0 266.322 2.671 20 5 CFBDRN CC(=O)N1Cc2ccc(Nc3ncccc3[N+](=O)[O-])cc2C1 ZINC000364185845 156220120 /nfs/dbraw/zinc/22/01/20/156220120.db2.gz FBWKVLKCVQDXNC-UHFFFAOYSA-N 0 0 298.302 2.596 20 5 CFBDRN COC[C@@H]1CCCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000364148204 156220415 /nfs/dbraw/zinc/22/04/15/156220415.db2.gz DSMYQRPJXUJLQK-SNVBAGLBSA-N 0 0 298.364 2.545 20 5 CFBDRN C[C@H]1CC[C@]2(CCN(C(=O)c3ccc([N+](=O)[O-])cn3)C2)C1 ZINC000367866321 156399866 /nfs/dbraw/zinc/39/98/66/156399866.db2.gz YCUOBFGDCZJSJE-NHYWBVRUSA-N 0 0 289.335 2.642 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000367936609 156407373 /nfs/dbraw/zinc/40/73/73/156407373.db2.gz YEIWTJGLPNWBSE-CFVMTHIKSA-N 0 0 290.319 2.777 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC2(CCO2)CC1 ZINC000368558349 156507444 /nfs/dbraw/zinc/50/74/44/156507444.db2.gz UJMJQHPMHAPWMW-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@H]1C1CC1 ZINC000370752713 156722762 /nfs/dbraw/zinc/72/27/62/156722762.db2.gz HTXOJJKUVVBSPF-ZDUSSCGKSA-N 0 0 278.283 2.749 20 5 CFBDRN COc1nn(C)cc1N[C@@H](C)c1cccc([N+](=O)[O-])c1C ZINC000353306512 286582252 /nfs/dbraw/zinc/58/22/52/286582252.db2.gz QMIPXUWIWOWQDL-JTQLQIEISA-N 0 0 290.323 2.818 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCCC[C@@H]1c1ccc(F)cc1 ZINC000374045173 157060869 /nfs/dbraw/zinc/06/08/69/157060869.db2.gz WOQPEZIYCXNDLB-MGPQQGTHSA-N 0 0 292.310 2.545 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H](CO)c3ccccc32)c1 ZINC000374588146 157134306 /nfs/dbraw/zinc/13/43/06/157134306.db2.gz ZCMSZSNYAWUCJG-HZMBPMFUSA-N 0 0 299.330 2.810 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC2(CCC2)CC1 ZINC000375868645 157298580 /nfs/dbraw/zinc/29/85/80/157298580.db2.gz TUYBABZAWRRMAB-UHFFFAOYSA-N 0 0 289.335 2.705 20 5 CFBDRN O=C(NC12CCC(CC1)C2)c1cc(F)c([N+](=O)[O-])cc1F ZINC000376354115 157345189 /nfs/dbraw/zinc/34/51/89/157345189.db2.gz PRIWLVKMPFPTOH-UHFFFAOYSA-N 0 0 296.273 2.936 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]2CCCC[C@@H]12 ZINC000408172803 157384001 /nfs/dbraw/zinc/38/40/01/157384001.db2.gz ARCIXTFGTFKIOH-CDMKHQONSA-N 0 0 277.324 2.574 20 5 CFBDRN CS[C@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000153972641 286715224 /nfs/dbraw/zinc/71/52/24/286715224.db2.gz JWJPWLZEGZTUHP-ZDUSSCGKSA-N 0 0 294.376 2.953 20 5 CFBDRN Cc1cc(OCC2(O)CCCC2)c(F)cc1[N+](=O)[O-] ZINC000123654075 161515675 /nfs/dbraw/zinc/51/56/75/161515675.db2.gz XPTGVECINYEPFH-UHFFFAOYSA-N 0 0 269.272 2.726 20 5 CFBDRN Cc1c(NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)cnn1C ZINC000184604999 161524315 /nfs/dbraw/zinc/52/43/15/161524315.db2.gz QAALSQXPOFWKLX-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN Cc1ccc(NC(=O)N(C[C@@H](C)O)C(C)C)cc1[N+](=O)[O-] ZINC000304712122 161550835 /nfs/dbraw/zinc/55/08/35/161550835.db2.gz MGBQFQPLYDITSF-LLVKDONJSA-N 0 0 295.339 2.526 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCC=C(C)C ZINC000340868063 161602490 /nfs/dbraw/zinc/60/24/90/161602490.db2.gz BTIFCTWCZWLSSU-UHFFFAOYSA-N 0 0 252.318 2.888 20 5 CFBDRN Cc1ncccc1CC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000342761779 161701494 /nfs/dbraw/zinc/70/14/94/161701494.db2.gz SYBZFPCKPFHSRL-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCOC[C@H]2C)n1 ZINC000343498890 161785184 /nfs/dbraw/zinc/78/51/84/161785184.db2.gz BYXTWRFCZFAACI-PWSUYJOCSA-N 0 0 279.340 2.691 20 5 CFBDRN CCc1nc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)sc1C ZINC000343652202 161831704 /nfs/dbraw/zinc/83/17/04/161831704.db2.gz DNLMKXJLXLIESX-UHFFFAOYSA-N 0 0 280.309 2.503 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CC[C@@H]1C ZINC000343809360 161866752 /nfs/dbraw/zinc/86/67/52/161866752.db2.gz OJFOYMFVPPWTSN-CABZTGNLSA-N 0 0 250.298 2.952 20 5 CFBDRN Cc1cc(CCNc2c(C)cccc2[N+](=O)[O-])on1 ZINC000343924603 161896791 /nfs/dbraw/zinc/89/67/91/161896791.db2.gz XDINUKHVRWLWED-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN Cc1cc(CCNc2nc3ccccc3cc2[N+](=O)[O-])on1 ZINC000343975807 161904490 /nfs/dbraw/zinc/90/44/90/161904490.db2.gz WQVIKOBGTWZJGN-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN C[C@@H](C(=O)N(C)c1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000344196228 161969358 /nfs/dbraw/zinc/96/93/58/161969358.db2.gz FKUGRLKCMPJUJD-LLVKDONJSA-N 0 0 285.303 2.756 20 5 CFBDRN CN(CC1CC1)c1nc(N(C)CC2CC2)c([N+](=O)[O-])s1 ZINC000344409774 162037456 /nfs/dbraw/zinc/03/74/56/162037456.db2.gz ALGYCTCWGJOPKZ-UHFFFAOYSA-N 0 0 296.396 2.744 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(-n3ccnc3)c2)cn1 ZINC000344418507 162039480 /nfs/dbraw/zinc/03/94/80/162039480.db2.gz LUZUGQPOFYFIHH-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccc(N3CCCC3)nc2)cn1 ZINC000344416429 162040532 /nfs/dbraw/zinc/04/05/32/162040532.db2.gz JDCFQQLDFDDTQW-UHFFFAOYSA-N 0 0 285.307 2.729 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cccc(F)c2F)cn1 ZINC000344426263 162041740 /nfs/dbraw/zinc/04/17/40/162041740.db2.gz XAPXOMVAQALARH-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCc1ccc(F)cn1 ZINC000344606337 162078910 /nfs/dbraw/zinc/07/89/10/162078910.db2.gz CMYRGUUZPMXORV-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN Cc1nc(NC(=O)c2csc([N+](=O)[O-])c2)cs1 ZINC000344724464 162107660 /nfs/dbraw/zinc/10/76/60/162107660.db2.gz JKBQRGCKGACKCJ-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN Cn1c(C(=O)Nc2ccc3c(c2)CCC3)ccc1[N+](=O)[O-] ZINC000344831891 162129717 /nfs/dbraw/zinc/12/97/17/162129717.db2.gz PFYANQYKRVLPTM-UHFFFAOYSA-N 0 0 285.303 2.674 20 5 CFBDRN CO[C@@H](CCNc1nc(C)ccc1[N+](=O)[O-])C(F)(F)F ZINC000344996833 162160552 /nfs/dbraw/zinc/16/05/52/162160552.db2.gz UCQRIKJXZLUVFF-VIFPVBQESA-N 0 0 293.245 2.678 20 5 CFBDRN Cc1ccoc1CN(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000345007408 162163408 /nfs/dbraw/zinc/16/34/08/162163408.db2.gz FKRADRZYPGZMTF-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC(C)(C)c1cccc(F)c1 ZINC000345129615 162184270 /nfs/dbraw/zinc/18/42/70/162184270.db2.gz QDCNTNJRNIGFPX-UHFFFAOYSA-N 0 0 292.314 2.857 20 5 CFBDRN COc1ccc(CNC(=O)[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000345144708 162187167 /nfs/dbraw/zinc/18/71/67/162187167.db2.gz QRYUXFGCATXBEK-ZYHUDNBSSA-N 0 0 292.335 2.656 20 5 CFBDRN Cc1noc(C)c1CC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000345161736 162190998 /nfs/dbraw/zinc/19/09/98/162190998.db2.gz IJJMDBPDRKFPGG-UHFFFAOYSA-N 0 0 293.254 2.520 20 5 CFBDRN CC(C)N(C)c1cccc(Nc2ccncc2[N+](=O)[O-])c1 ZINC000345163897 162192490 /nfs/dbraw/zinc/19/24/90/162192490.db2.gz KXSRTLGFCHGRFN-UHFFFAOYSA-N 0 0 286.335 3.000 20 5 CFBDRN CNC(=O)[C@@H]1CCC[C@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000345168194 162192986 /nfs/dbraw/zinc/19/29/86/162192986.db2.gz ZRTHHZGDNFSQLI-NXEZZACHSA-N 0 0 297.742 2.575 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@H](C)c1ccccc1 ZINC000345206453 162200144 /nfs/dbraw/zinc/20/01/44/162200144.db2.gz XARWJAJPPSWPBL-NSHDSACASA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)NCCNc2ccc([N+](=O)[O-])cc2)C1 ZINC000345316725 162224935 /nfs/dbraw/zinc/22/49/35/162224935.db2.gz MGUZNDMSOBFVOU-NEPJUHHUSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1c(CN[C@@H]2C[C@H](C)n3ccnc32)cccc1[N+](=O)[O-] ZINC000345355452 162233721 /nfs/dbraw/zinc/23/37/21/162233721.db2.gz MCUBRBNBXJMWGR-GXFFZTMASA-N 0 0 286.335 2.895 20 5 CFBDRN COc1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)ccc1Cl ZINC000345382684 162239460 /nfs/dbraw/zinc/23/94/60/162239460.db2.gz LFYYDVSCNSNUMC-UHFFFAOYSA-N 0 0 295.682 2.837 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000345579123 162264143 /nfs/dbraw/zinc/26/41/43/162264143.db2.gz BIESZNRTQQLGIC-NXEZZACHSA-N 0 0 296.298 2.614 20 5 CFBDRN O=C(NC[C@H]1CCCSC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000345893661 162271802 /nfs/dbraw/zinc/27/18/02/162271802.db2.gz YFHLSHVLFSXDAW-SECBINFHSA-N 0 0 298.339 2.607 20 5 CFBDRN Cn1cc(-c2noc(/C=C/c3cccc([N+](=O)[O-])c3)n2)cn1 ZINC000346061242 162309398 /nfs/dbraw/zinc/30/93/98/162309398.db2.gz UCFKQUOTLBMYHO-AATRIKPKSA-N 0 0 297.274 2.549 20 5 CFBDRN CC(=O)c1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000346374061 162397350 /nfs/dbraw/zinc/39/73/50/162397350.db2.gz HDQHTNNSGWVGFC-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000347770747 162773581 /nfs/dbraw/zinc/77/35/81/162773581.db2.gz HJPFPKWWXOCQCQ-RISCZKNCSA-N 0 0 291.351 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ncccc1C ZINC000347896357 162797255 /nfs/dbraw/zinc/79/72/55/162797255.db2.gz HEMKQANXNWXFEF-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN O=C(CCC1CC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347982043 162812576 /nfs/dbraw/zinc/81/25/76/162812576.db2.gz NXHUYDVUWIBFQA-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN CCc1noc(C)c1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347985179 162812914 /nfs/dbraw/zinc/81/29/14/162812914.db2.gz QWCZHLBMDLJGEU-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)C1CCC1 ZINC000348314661 162824488 /nfs/dbraw/zinc/82/44/88/162824488.db2.gz ZADPCDSCJVQVRS-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN CC[C@@H](C)N(C)c1nnc(-c2ccc([N+](=O)[O-])cc2)n1C ZINC000349831021 163022013 /nfs/dbraw/zinc/02/20/13/163022013.db2.gz HCGWPKMODKCARD-SNVBAGLBSA-N 0 0 289.339 2.625 20 5 CFBDRN CC(C)COCCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000349861200 163027429 /nfs/dbraw/zinc/02/74/29/163027429.db2.gz BWPHSNCRZYAEJC-UHFFFAOYSA-N 0 0 291.307 2.860 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CCNc1ncccn1 ZINC000349869713 163029748 /nfs/dbraw/zinc/02/97/48/163029748.db2.gz SRTWMNGRRGVGID-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN CC(C)CCc1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000349866043 163030379 /nfs/dbraw/zinc/03/03/79/163030379.db2.gz LQUNSWOSLYRRRX-UHFFFAOYSA-N 0 0 264.285 2.572 20 5 CFBDRN O=[N+]([O-])c1cn(CCSc2ccccc2F)cn1 ZINC000350340828 163073954 /nfs/dbraw/zinc/07/39/54/163073954.db2.gz GFEUVFBWFQYOOT-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(C2(CCF)CC2)n1 ZINC000350392198 163075458 /nfs/dbraw/zinc/07/54/58/163075458.db2.gz BVWASPBSJMKYRG-UHFFFAOYSA-N 0 0 291.282 2.960 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@@H](O)C(F)(F)F ZINC000350510700 163090848 /nfs/dbraw/zinc/09/08/48/163090848.db2.gz ILASXYVJICZSGO-MRVPVSSYSA-N 0 0 298.648 2.892 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000350789298 163105909 /nfs/dbraw/zinc/10/59/09/163105909.db2.gz XNSDOGRLXKYSSX-GXSJLCMTSA-N 0 0 295.364 2.776 20 5 CFBDRN Cc1cnccc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000351012424 163116359 /nfs/dbraw/zinc/11/63/59/163116359.db2.gz KAFJNKYQSBNTDO-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CO[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000351011978 163116497 /nfs/dbraw/zinc/11/64/97/163116497.db2.gz JGDQNJGMPLOPFT-GFCCVEGCSA-N 0 0 266.297 2.513 20 5 CFBDRN C/C(=C/c1nc(-c2ncc[nH]2)no1)c1ccc([N+](=O)[O-])cc1 ZINC000351026227 163116821 /nfs/dbraw/zinc/11/68/21/163116821.db2.gz CWOJCLJSNNYRAA-HJWRWDBZSA-N 0 0 297.274 2.928 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC[C@@H](F)C1 ZINC000351143759 163120225 /nfs/dbraw/zinc/12/02/25/163120225.db2.gz LDBNIGJLGMNJHS-BDAKNGLRSA-N 0 0 297.286 2.616 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000351143764 163120673 /nfs/dbraw/zinc/12/06/73/163120673.db2.gz LDBNIGJLGMNJHS-RKDXNWHRSA-N 0 0 297.286 2.616 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC(C)(C)C1 ZINC000351502754 163136139 /nfs/dbraw/zinc/13/61/39/163136139.db2.gz NTFGWNDYFQIKIJ-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000351561737 163146533 /nfs/dbraw/zinc/14/65/33/163146533.db2.gz HMXYDUDKXLLEFN-OTDNITJGSA-N 0 0 287.319 2.882 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000351560936 163147444 /nfs/dbraw/zinc/14/74/44/163147444.db2.gz DRJZUZJMFCHXJB-VSQXVHSFSA-N 0 0 278.308 2.536 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC[C@H]1CCCCO1 ZINC000351633473 163161817 /nfs/dbraw/zinc/16/18/17/163161817.db2.gz ZFSHMPKRSRKSPO-GFCCVEGCSA-N 0 0 281.308 2.941 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351655546 163168409 /nfs/dbraw/zinc/16/84/09/163168409.db2.gz NAHVAYVWXPPVGY-YUMQZZPRSA-N 0 0 267.260 2.511 20 5 CFBDRN Cc1noc(C)c1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351657169 163168593 /nfs/dbraw/zinc/16/85/93/163168593.db2.gz ZJSVUPRMQDWNER-UHFFFAOYSA-N 0 0 294.242 2.983 20 5 CFBDRN Cc1cc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)on1 ZINC000351656698 163168832 /nfs/dbraw/zinc/16/88/32/163168832.db2.gz VMZJERNPXJHOIN-UHFFFAOYSA-N 0 0 272.264 2.598 20 5 CFBDRN Cc1ncsc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000351664104 163170272 /nfs/dbraw/zinc/17/02/72/163170272.db2.gz DXZSKGIMRBILEM-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC[C@H]1CCCCO1 ZINC000351698904 163179075 /nfs/dbraw/zinc/17/90/75/163179075.db2.gz ZFGAEFXJAOSUPH-GFCCVEGCSA-N 0 0 281.308 2.941 20 5 CFBDRN CC(=O)c1ccc(NC2CCC(CO)CC2)c([N+](=O)[O-])c1 ZINC000351856727 163205908 /nfs/dbraw/zinc/20/59/08/163205908.db2.gz VYGWNZHLDXDIMV-UHFFFAOYSA-N 0 0 292.335 2.760 20 5 CFBDRN CCC(O)(CC)CCNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000351914816 163215414 /nfs/dbraw/zinc/21/54/14/163215414.db2.gz FLKJAHDTKJXQTF-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN CCc1cnccc1CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000352673380 163221021 /nfs/dbraw/zinc/22/10/21/163221021.db2.gz DVALRJYAPHDAGY-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN C[C@@H]1OCC[C@@H]1SCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000352901511 163266334 /nfs/dbraw/zinc/26/63/34/163266334.db2.gz MDIUSGIRFRQXMK-UFBFGSQYSA-N 0 0 293.348 2.882 20 5 CFBDRN C[C@H](NC(=O)NC(C)(C)CF)c1ccccc1[N+](=O)[O-] ZINC000353203621 163318094 /nfs/dbraw/zinc/31/80/94/163318094.db2.gz AHZPGKVNIMZKQY-VIFPVBQESA-N 0 0 283.303 2.703 20 5 CFBDRN CO[C@H](C)[C@@H](C)NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000353326158 163345782 /nfs/dbraw/zinc/34/57/82/163345782.db2.gz CIEULCIUEHUXKC-GHMZBOCLSA-N 0 0 295.339 2.757 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000353377445 163353341 /nfs/dbraw/zinc/35/33/41/163353341.db2.gz DIFJDWSEYNWXBF-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccon1)c1ccccc1[N+](=O)[O-] ZINC000349232410 287052233 /nfs/dbraw/zinc/05/22/33/287052233.db2.gz BWQZWHGIGMCLAR-ZJUUUORDSA-N 0 0 261.281 2.995 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC=CC12CCCCC2 ZINC000825636358 782012200 /nfs/dbraw/zinc/01/22/00/782012200.db2.gz CEYIRWOHBXYHCX-UHFFFAOYSA-N 0 0 289.335 2.648 20 5 CFBDRN CC[C@@H]1CN([C@H](C)c2ccccc2[N+](=O)[O-])CCO1 ZINC000266561615 287210963 /nfs/dbraw/zinc/21/09/63/287210963.db2.gz YBGXZVOCBYPABQ-VXGBXAGGSA-N 0 0 264.325 2.767 20 5 CFBDRN CC[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000301432129 287212417 /nfs/dbraw/zinc/21/24/17/287212417.db2.gz HFPLWHMMWFYKEZ-NWDGAFQWSA-N 0 0 264.325 2.907 20 5 CFBDRN CC(C)(C)C[C@@H](CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000284200374 196001289 /nfs/dbraw/zinc/00/12/89/196001289.db2.gz LEPZNOHURWYRPA-GFCCVEGCSA-N 0 0 294.351 2.964 20 5 CFBDRN O=c1onc(-c2ccc([N+](=O)[O-])cc2)n1CCCCCF ZINC000284258391 196014959 /nfs/dbraw/zinc/01/49/59/196014959.db2.gz OZHREWHWOGHIDN-UHFFFAOYSA-N 0 0 295.270 2.551 20 5 CFBDRN O=C(CN1CCCCC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000005756817 170137551 /nfs/dbraw/zinc/13/75/51/170137551.db2.gz FPGNGTBUTMQDAT-UHFFFAOYSA-N 0 0 297.742 2.673 20 5 CFBDRN CC(=O)c1ccc(Sc2nccn2C)c([N+](=O)[O-])c1 ZINC000006262499 170186790 /nfs/dbraw/zinc/18/67/90/170186790.db2.gz AODIFFABVKRGMS-UHFFFAOYSA-N 0 0 277.305 2.682 20 5 CFBDRN CC(C)(C)NC(=O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000006454403 170202429 /nfs/dbraw/zinc/20/24/29/170202429.db2.gz BGWFCRNCXDYAPG-UHFFFAOYSA-N 0 0 286.715 2.542 20 5 CFBDRN CC(C)OCCCNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000006598547 170217980 /nfs/dbraw/zinc/21/79/80/170217980.db2.gz ZRLDYAXXZAYVHH-CMDGGOBGSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(Oc1ccccc1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000007657729 170296553 /nfs/dbraw/zinc/29/65/53/170296553.db2.gz CMBSDXYRJXGTDO-UHFFFAOYSA-N 0 0 288.215 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CN1CCCCCC1 ZINC000007782004 170302292 /nfs/dbraw/zinc/30/22/92/170302292.db2.gz ZZFGYNWOCPHIHJ-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC000007903672 170306817 /nfs/dbraw/zinc/30/68/17/170306817.db2.gz UMKAUKVLVABKTK-SSDOTTSWSA-N 0 0 251.242 2.713 20 5 CFBDRN CC(C)(C)NC(=O)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000007902598 170306973 /nfs/dbraw/zinc/30/69/73/170306973.db2.gz OMKQTTAVNLYXTR-UHFFFAOYSA-N 0 0 285.731 2.575 20 5 CFBDRN O=C(COc1cccc(F)c1)Nc1ccc([N+](=O)[O-])cc1 ZINC000008267396 170327898 /nfs/dbraw/zinc/32/78/98/170327898.db2.gz RWULIHTUGWXHFO-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN O=C(Cc1ccccc1F)Nc1ccc([N+](=O)[O-])cc1 ZINC000008267682 170327977 /nfs/dbraw/zinc/32/79/77/170327977.db2.gz AOFKREJEIZNAJE-UHFFFAOYSA-N 0 0 274.251 2.915 20 5 CFBDRN CCCCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000008308298 170329903 /nfs/dbraw/zinc/32/99/03/170329903.db2.gz TYUAQSGQRYMLMT-UHFFFAOYSA-N 0 0 254.315 2.906 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1ccc(F)cc1 ZINC000008318983 170330605 /nfs/dbraw/zinc/33/06/05/170330605.db2.gz LRSHAOTVCBXTJP-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN C[C@H](Nc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000008374659 170333908 /nfs/dbraw/zinc/33/39/08/170333908.db2.gz DFJWLSPDJCXBQZ-LURJTMIESA-N 0 0 252.299 2.514 20 5 CFBDRN Nc1ccc(C(=O)Nc2ccc(F)c(F)c2)cc1[N+](=O)[O-] ZINC000009856038 170386972 /nfs/dbraw/zinc/38/69/72/170386972.db2.gz NYULKHGVWLUXFZ-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN Cc1ccnc(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])c1 ZINC000012940564 170522104 /nfs/dbraw/zinc/52/21/04/170522104.db2.gz ITDCLDSJQGMOFG-SREVYHEPSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1cc(C(=O)NCc2ccsc2)ccc1[N+](=O)[O-] ZINC000013698096 170579436 /nfs/dbraw/zinc/57/94/36/170579436.db2.gz NQUHCUNBHNKULW-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCF)c(Br)c1 ZINC000015042742 170655256 /nfs/dbraw/zinc/65/52/56/170655256.db2.gz WLIJZCGEPZWGEW-UHFFFAOYSA-N 0 0 264.050 2.706 20 5 CFBDRN C[C@@H]1CCC(=O)[C@@H](Sc2ccc([N+](=O)[O-])cn2)C1 ZINC000450892600 287244926 /nfs/dbraw/zinc/24/49/26/287244926.db2.gz PBMNQFHPRXWNGE-KCJUWKMLSA-N 0 0 266.322 2.840 20 5 CFBDRN C[C@@H](CCc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000020448752 171207720 /nfs/dbraw/zinc/20/77/20/171207720.db2.gz YEWXMIGUYJAVCJ-NSHDSACASA-N 0 0 288.303 2.939 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000020886607 171278086 /nfs/dbraw/zinc/27/80/86/171278086.db2.gz NJURUYSGBJBDGK-NSHDSACASA-N 0 0 291.351 2.723 20 5 CFBDRN CN(CCNc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000021760334 171321527 /nfs/dbraw/zinc/32/15/27/171321527.db2.gz YXIUEAVKQPHELD-UHFFFAOYSA-N 0 0 272.308 2.538 20 5 CFBDRN Cc1cccc(N2CCC[C@@H]2C[C@@H](C)O)c1[N+](=O)[O-] ZINC000275510893 292559415 /nfs/dbraw/zinc/55/94/15/292559415.db2.gz UUVCWIRUHYUHHB-VXGBXAGGSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1F ZINC000026935812 171503104 /nfs/dbraw/zinc/50/31/04/171503104.db2.gz XOZKNLZELFDNSE-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN COC(=O)c1cc(CNc2cccc([N+](=O)[O-])c2)oc1C ZINC000030284742 171615773 /nfs/dbraw/zinc/61/57/73/171615773.db2.gz GJWHDABOIIPOLJ-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NC2CCCC2)c1 ZINC000031483137 171638941 /nfs/dbraw/zinc/63/89/41/171638941.db2.gz SKEAVWLALJLIOR-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)c1ccn(C)n1 ZINC000284921498 196156939 /nfs/dbraw/zinc/15/69/39/196156939.db2.gz HQZARXNAWLFQMF-SNVBAGLBSA-N 0 0 260.297 2.526 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])cc(C)c2F)C1 ZINC000284941347 196161143 /nfs/dbraw/zinc/16/11/43/196161143.db2.gz OEFRFIZNJODWSK-GHMZBOCLSA-N 0 0 297.282 2.767 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000080154981 287261380 /nfs/dbraw/zinc/26/13/80/287261380.db2.gz VTNQUBMQZDVWJI-SECBINFHSA-N 0 0 266.272 2.749 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000034543507 171843607 /nfs/dbraw/zinc/84/36/07/171843607.db2.gz NWYIGCFVWFBJMA-UHFFFAOYSA-N 0 0 256.261 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccccc2)c(F)c1 ZINC000035234233 172073656 /nfs/dbraw/zinc/07/36/56/172073656.db2.gz YDMNMKYRQPIXQQ-CQSZACIVSA-N 0 0 276.267 2.879 20 5 CFBDRN CC(C)C[C@@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000035331558 172129848 /nfs/dbraw/zinc/12/98/48/172129848.db2.gz GFZQJKZSAYOIOD-SECBINFHSA-N 0 0 265.313 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCc2ccccn2)c1 ZINC000035368143 172159917 /nfs/dbraw/zinc/15/99/17/172159917.db2.gz VXMJORYMDVMIKV-UHFFFAOYSA-N 0 0 277.711 2.933 20 5 CFBDRN CC(C)C(=O)COc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000285202316 196219336 /nfs/dbraw/zinc/21/93/36/196219336.db2.gz OVHDFHZOJARPIJ-UHFFFAOYSA-N 0 0 275.663 2.991 20 5 CFBDRN C[C@@H](O)[C@H](C)SCc1c(F)cc([N+](=O)[O-])cc1F ZINC000285267464 196236108 /nfs/dbraw/zinc/23/61/08/196236108.db2.gz SSHSTUZDEJGXLI-RQJHMYQMSA-N 0 0 277.292 2.876 20 5 CFBDRN Cc1c(CNc2ccc(C(N)=O)cc2)cccc1[N+](=O)[O-] ZINC000035648692 172325318 /nfs/dbraw/zinc/32/53/18/172325318.db2.gz JINDUWFRJZEMEJ-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000035784485 172416628 /nfs/dbraw/zinc/41/66/28/172416628.db2.gz SDYXKMXUHVPKGB-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1cccc(OCc2nnsc2Cl)c1[N+](=O)[O-] ZINC000036302917 172596734 /nfs/dbraw/zinc/59/67/34/172596734.db2.gz QYKRYDCQAVHWIS-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000339360185 270301784 /nfs/dbraw/zinc/30/17/84/270301784.db2.gz TYXOZRKKWNAYHB-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000465574027 287276394 /nfs/dbraw/zinc/27/63/94/287276394.db2.gz OUCYSRZQLAIYMU-DGCLKSJQSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@@H]1CCCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000008317750 287279103 /nfs/dbraw/zinc/27/91/03/287279103.db2.gz GEMWSSSPZDCIDK-MRVPVSSYSA-N 0 0 266.326 2.683 20 5 CFBDRN CC1(C(=O)Nc2n[nH]c3ccc([N+](=O)[O-])cc32)CCCC1 ZINC000285842976 196415978 /nfs/dbraw/zinc/41/59/78/196415978.db2.gz TVJAGJSLNGXFNB-UHFFFAOYSA-N 0 0 288.307 2.990 20 5 CFBDRN CCOc1cccc(CNc2c([N+](=O)[O-])c(C)nn2C)c1 ZINC000037680025 173616157 /nfs/dbraw/zinc/61/61/57/173616157.db2.gz WEVRDCOVSCKXJP-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc(O)c2)ccc1[N+](=O)[O-] ZINC000038032224 174027992 /nfs/dbraw/zinc/02/79/92/174027992.db2.gz KQVGNFVCFWSILI-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CC(C)CN(C(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000038106896 174121471 /nfs/dbraw/zinc/12/14/71/174121471.db2.gz NYDSKIHADCUJJJ-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN CCn1c(C)nnc1SCc1ccc([N+](=O)[O-])cc1 ZINC000039748269 174172722 /nfs/dbraw/zinc/17/27/22/174172722.db2.gz GQDRMSJYGRGWFG-UHFFFAOYSA-N 0 0 278.337 2.807 20 5 CFBDRN CC(C)N(CCO)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000040452589 174266740 /nfs/dbraw/zinc/26/67/40/174266740.db2.gz RWFQPMCNLGEYNA-UHFFFAOYSA-N 0 0 292.257 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ncccc2[N+](=O)[O-])cc1 ZINC000040676188 174373684 /nfs/dbraw/zinc/37/36/84/174373684.db2.gz XNPTWKBDZVKSBD-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl ZINC000042847757 174891429 /nfs/dbraw/zinc/89/14/29/174891429.db2.gz KJPZXSBOVCUQTI-LURJTMIESA-N 0 0 274.729 2.938 20 5 CFBDRN COC(=O)[C@H](CC(C)C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000043441628 175045931 /nfs/dbraw/zinc/04/59/31/175045931.db2.gz HWXNFGQJBPVCEC-NSHDSACASA-N 0 0 284.287 2.734 20 5 CFBDRN COC[C@@H](C)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000044477197 175178690 /nfs/dbraw/zinc/17/86/90/175178690.db2.gz BRWMJHUVZHSVBR-QMMMGPOBSA-N 0 0 258.705 2.943 20 5 CFBDRN CCOC[C@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000044726130 175238033 /nfs/dbraw/zinc/23/80/33/175238033.db2.gz VFKRTEAKMPPMFJ-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCn2ccnc2)cc1 ZINC000045108294 175308725 /nfs/dbraw/zinc/30/87/25/175308725.db2.gz VIJXLUKJBAWCGD-UHFFFAOYSA-N 0 0 260.297 2.684 20 5 CFBDRN Cc1c(C(=O)Nc2ccnn2C(C)C)cccc1[N+](=O)[O-] ZINC000070686550 176001418 /nfs/dbraw/zinc/00/14/18/176001418.db2.gz QEEVAHIXEXPIKQ-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN C[C@H]1CCC[C@@H]1Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000071208445 176081218 /nfs/dbraw/zinc/08/12/18/176081218.db2.gz JWUGLDRCTGNREK-ONGXEEELSA-N 0 0 298.364 2.599 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000286417115 196595885 /nfs/dbraw/zinc/59/58/85/196595885.db2.gz POMNYLVVRXBMFO-GXFFZTMASA-N 0 0 292.335 2.882 20 5 CFBDRN CCC[C@H](NCc1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000071273130 176105196 /nfs/dbraw/zinc/10/51/96/176105196.db2.gz QDERYUPEUIEMGY-AWEZNQCLSA-N 0 0 288.351 2.959 20 5 CFBDRN CCC[C@@H](C)C(=O)OCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000073755278 176491277 /nfs/dbraw/zinc/49/12/77/176491277.db2.gz QCOBDLREAHZMRL-SNVBAGLBSA-N 0 0 294.307 2.513 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1c(F)cccc1[N+](=O)[O-] ZINC000286479607 196617514 /nfs/dbraw/zinc/61/75/14/196617514.db2.gz UXGBUBVZEPIHCV-UWVGGRQHSA-N 0 0 268.288 2.818 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000075277990 176680952 /nfs/dbraw/zinc/68/09/52/176680952.db2.gz PCJISIYANCFIRY-NWDGAFQWSA-N 0 0 276.336 2.784 20 5 CFBDRN CSC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000075363496 176689427 /nfs/dbraw/zinc/68/94/27/176689427.db2.gz QHCVQCMVUHIACI-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN Cc1c(OC(=O)CC[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000076609842 177042514 /nfs/dbraw/zinc/04/25/14/177042514.db2.gz PVYGNVYJOBIRDW-NSHDSACASA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@@H]1CCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000078002924 177316321 /nfs/dbraw/zinc/31/63/21/177316321.db2.gz ODSQPKAKKFWOQI-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN CCCCC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 ZINC000078239380 177328137 /nfs/dbraw/zinc/32/81/37/177328137.db2.gz MXJRGTLQMZVBPE-UHFFFAOYSA-N 0 0 294.307 2.885 20 5 CFBDRN CCC(CC)CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000078508120 177348121 /nfs/dbraw/zinc/34/81/21/177348121.db2.gz ZFMDQNVCGSJVKV-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1cccnc1CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000078509005 177348530 /nfs/dbraw/zinc/34/85/30/177348530.db2.gz ZCCXMBUUGSLZFJ-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000078662456 177367599 /nfs/dbraw/zinc/36/75/99/177367599.db2.gz WQOAGOGUVRPFEW-GFCCVEGCSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cnc(NC[C@H](O)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000078664767 177367614 /nfs/dbraw/zinc/36/76/14/177367614.db2.gz JAUGPWZDBISUNE-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cnc(NC[C@@H](O)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000078664774 177367847 /nfs/dbraw/zinc/36/78/47/177367847.db2.gz JAUGPWZDBISUNE-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cnc(NC[C@@H](O)c2ccsc2)c([N+](=O)[O-])c1 ZINC000078666189 177368507 /nfs/dbraw/zinc/36/85/07/177368507.db2.gz BIAPWFQCHALQFI-LLVKDONJSA-N 0 0 279.321 2.505 20 5 CFBDRN Cc1cnn(Cc2cc([N+](=O)[O-])ccc2OC(C)C)c1 ZINC000078805535 177390472 /nfs/dbraw/zinc/39/04/72/177390472.db2.gz UYSBWVFCLADWCM-UHFFFAOYSA-N 0 0 275.308 2.935 20 5 CFBDRN COC(=O)C[C@H](C)SCCc1ccc([N+](=O)[O-])cc1 ZINC000078813675 177392124 /nfs/dbraw/zinc/39/21/24/177392124.db2.gz CVMLMWJZGOJVAP-JTQLQIEISA-N 0 0 283.349 2.822 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000079054011 177423116 /nfs/dbraw/zinc/42/31/16/177423116.db2.gz BBJAQXUFAXRYKI-LLVKDONJSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1ccc(CNc2ccc(C(N)=O)cc2)cc1[N+](=O)[O-] ZINC000037199707 260074015 /nfs/dbraw/zinc/07/40/15/260074015.db2.gz WWOBOXWKKDPNSB-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN C[C@@H]1CCCN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000408163685 287361918 /nfs/dbraw/zinc/36/19/18/287361918.db2.gz DHZZESHQLIFYAJ-SNVBAGLBSA-N 0 0 272.308 2.774 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000173172955 287366394 /nfs/dbraw/zinc/36/63/94/287366394.db2.gz FIFXTKIQSCCXEG-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)c1cccnc1 ZINC000192924125 227384567 /nfs/dbraw/zinc/38/45/67/227384567.db2.gz NZTDLTSZXGQLPP-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)CCC(C)(C)C)n1 ZINC000191623715 227078912 /nfs/dbraw/zinc/07/89/12/227078912.db2.gz UKIWWXBBPABPBT-JTQLQIEISA-N 0 0 296.371 2.756 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@H](C)CCC(C)(C)C)n1 ZINC000191623729 227079496 /nfs/dbraw/zinc/07/94/96/227079496.db2.gz UKIWWXBBPABPBT-SNVBAGLBSA-N 0 0 296.371 2.756 20 5 CFBDRN Cc1nc(NC(=O)c2ccoc2Cl)ccc1[N+](=O)[O-] ZINC000192335873 227790733 /nfs/dbraw/zinc/79/07/33/227790733.db2.gz SZIXGTSEHGPICO-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000302579954 227867842 /nfs/dbraw/zinc/86/78/42/227867842.db2.gz SSGJSEDLYPJCCH-YUTCNCBUSA-N 0 0 262.309 2.883 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@H]1C ZINC001154800400 782025934 /nfs/dbraw/zinc/02/59/34/782025934.db2.gz AABSSRWMIOSPTL-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000154722082 289902287 /nfs/dbraw/zinc/90/22/87/289902287.db2.gz NTAIZYAWTMSENA-LLVKDONJSA-N 0 0 279.340 2.829 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2C[C@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000397283128 270317524 /nfs/dbraw/zinc/31/75/24/270317524.db2.gz NKSYHIQGSHESSI-ZWNOBZJWSA-N 0 0 266.345 2.737 20 5 CFBDRN CC(F)(F)CNc1cnc2ccc([N+](=O)[O-])cc2n1 ZINC001154851581 782036813 /nfs/dbraw/zinc/03/68/13/782036813.db2.gz ABBJOPXWYYNECW-UHFFFAOYSA-N 0 0 268.223 2.605 20 5 CFBDRN COc1cc2ncnc(NCC(C)(F)F)c2cc1[N+](=O)[O-] ZINC001154855488 782036522 /nfs/dbraw/zinc/03/65/22/782036522.db2.gz UIYDAWYKHKUHFB-UHFFFAOYSA-N 0 0 298.249 2.614 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000313111903 260249877 /nfs/dbraw/zinc/24/98/77/260249877.db2.gz YREQTQWQJKQNIF-ZWNOBZJWSA-N 0 0 252.314 2.865 20 5 CFBDRN COc1ccc(F)c(NC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000088210060 260300518 /nfs/dbraw/zinc/30/05/18/260300518.db2.gz TVQSGADXPIGCTG-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC[C@@H]2C2CCC2)c(Cl)c1 ZINC000518368256 260385805 /nfs/dbraw/zinc/38/58/05/260385805.db2.gz SDKNVLPTDGPMLU-GFCCVEGCSA-N 0 0 297.742 2.649 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC(C2CC2)C2CC2)c(F)c1 ZINC000518721918 260512310 /nfs/dbraw/zinc/51/23/10/260512310.db2.gz MFKNGXOLLPSCRO-UHFFFAOYSA-N 0 0 265.288 2.977 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000052933527 261160832 /nfs/dbraw/zinc/16/08/32/261160832.db2.gz LRDBJLUKCTWQHJ-ISTVAULSSA-N 0 0 291.351 2.853 20 5 CFBDRN COc1cc(C(=O)NC[C@@H](C)C(C)C)cc([N+](=O)[O-])c1C ZINC000187964930 261813031 /nfs/dbraw/zinc/81/30/31/261813031.db2.gz PVMZGVFMJRSCPO-SNVBAGLBSA-N 0 0 294.351 2.934 20 5 CFBDRN O=C(NCC(C1CC1)C1CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000525731672 262031756 /nfs/dbraw/zinc/03/17/56/262031756.db2.gz BHNVLZYLBPPDMS-UHFFFAOYSA-N 0 0 292.310 2.900 20 5 CFBDRN CCCC[C@H](CCC)NC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000185243017 262303838 /nfs/dbraw/zinc/30/38/38/262303838.db2.gz XEEFEVOMYBWBPV-JTQLQIEISA-N 0 0 294.355 2.661 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCCC1(F)F ZINC000390861317 262355706 /nfs/dbraw/zinc/35/57/06/262355706.db2.gz KNICSAUGUFSPEY-VIFPVBQESA-N 0 0 288.298 2.967 20 5 CFBDRN C/C(=C(/F)C(=O)NCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000827603711 782037703 /nfs/dbraw/zinc/03/77/03/782037703.db2.gz UBIPIMZPTZSRCH-LCYFTJDESA-N 0 0 278.283 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CN1CCC(C)(C)C1 ZINC000072828056 264273703 /nfs/dbraw/zinc/27/37/03/264273703.db2.gz DZSKQBWHCPQREU-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CC[N@H+](C)[C@H](C)C2)c1 ZINC000095015703 265285236 /nfs/dbraw/zinc/28/52/36/265285236.db2.gz RKCPXYJXIBEAGJ-VXGBXAGGSA-N 0 0 263.341 2.798 20 5 CFBDRN C[C@H](C(=O)NCCCCF)c1cccc([N+](=O)[O-])c1 ZINC000519044498 265302759 /nfs/dbraw/zinc/30/27/59/265302759.db2.gz XQZYYAQXYDVZHE-JTQLQIEISA-N 0 0 268.288 2.564 20 5 CFBDRN Cc1cc(C(=O)NCCOCCC(C)C)cc([N+](=O)[O-])c1 ZINC000195085377 270250129 /nfs/dbraw/zinc/25/01/29/270250129.db2.gz WNRUADUTXHYZPK-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CS[C@H]1CCN(c2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000519147074 265336733 /nfs/dbraw/zinc/33/67/33/265336733.db2.gz IKAFDRVJDPRQSY-NSHDSACASA-N 0 0 293.392 2.810 20 5 CFBDRN COCCOC[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000357508274 266311820 /nfs/dbraw/zinc/31/18/20/266311820.db2.gz ZMGAFLXMFDKTBB-VIFPVBQESA-N 0 0 288.731 2.712 20 5 CFBDRN Cc1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2C)n[nH]1 ZINC000192306928 270230201 /nfs/dbraw/zinc/23/02/01/270230201.db2.gz SZVRXOWAKQHQSW-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Nc1cc[nH]n1 ZINC000192304055 270230526 /nfs/dbraw/zinc/23/05/26/270230526.db2.gz WLJPVPFSNNCOGC-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN Cc1cc(NC(=O)NCc2ccccn2)ccc1[N+](=O)[O-] ZINC000193514270 270238571 /nfs/dbraw/zinc/23/85/71/270238571.db2.gz LWAAQHLFVHPSCT-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN COCCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000193556086 270239091 /nfs/dbraw/zinc/23/90/91/270239091.db2.gz NHDWDZZLSZRYIO-CQSZACIVSA-N 0 0 294.351 2.535 20 5 CFBDRN CCn1cc(NC(=O)Nc2cccc([N+](=O)[O-])c2C)cn1 ZINC000193838759 270240319 /nfs/dbraw/zinc/24/03/19/270240319.db2.gz NJZDNMGTNPKVEB-UHFFFAOYSA-N 0 0 289.295 2.764 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000194297896 270244001 /nfs/dbraw/zinc/24/40/01/270244001.db2.gz GISQXYMKONRAPH-ZJUUUORDSA-N 0 0 280.324 2.768 20 5 CFBDRN CCCN(C(=O)c1cccc(OC)c1[N+](=O)[O-])C1CC1 ZINC000194293649 270244029 /nfs/dbraw/zinc/24/40/29/270244029.db2.gz VAVOCMDMUJYMSS-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1csc(N(C)C(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000194709636 270246724 /nfs/dbraw/zinc/24/67/24/270246724.db2.gz DYIGFYZFGWHFPL-UHFFFAOYSA-N 0 0 283.334 2.698 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)Cc1ccco1 ZINC000194765752 270247134 /nfs/dbraw/zinc/24/71/34/270247134.db2.gz BRXNRFRCQFNBGA-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1(C)CCC(C)CC1 ZINC000194825904 270247626 /nfs/dbraw/zinc/24/76/26/270247626.db2.gz UWOAAJQGDJYGCZ-UHFFFAOYSA-N 0 0 291.351 2.997 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000194897362 270248100 /nfs/dbraw/zinc/24/81/00/270248100.db2.gz MEGNJVNTUHHPBC-LLVKDONJSA-N 0 0 280.324 2.912 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000194889744 270248213 /nfs/dbraw/zinc/24/82/13/270248213.db2.gz MURYGSCBBZQRMM-JTQLQIEISA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc[nH]1 ZINC000194933109 270248835 /nfs/dbraw/zinc/24/88/35/270248835.db2.gz YPCCGLIMDCBMKV-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000195146427 270250630 /nfs/dbraw/zinc/25/06/30/270250630.db2.gz CVKIWZNFSMJNCZ-VIFPVBQESA-N 0 0 290.323 2.780 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000195322243 270252865 /nfs/dbraw/zinc/25/28/65/270252865.db2.gz LAHDJHPVEJDBMP-NXEZZACHSA-N 0 0 280.324 2.768 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCCO2)c1ccc([N+](=O)[O-])o1 ZINC000195419633 270253592 /nfs/dbraw/zinc/25/35/92/270253592.db2.gz XYHHQHFKYIKVRY-UHFFFAOYSA-N 0 0 288.259 2.765 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@H](O)C1CCC1 ZINC000315651458 270292406 /nfs/dbraw/zinc/29/24/06/270292406.db2.gz BIMSZAIOEAGIQX-NSHDSACASA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCOC2(C)C)c1 ZINC000328400340 270299525 /nfs/dbraw/zinc/29/95/25/270299525.db2.gz RIUJIIJEMXQXPW-LBPRGKRZSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])c3ncccc23)CCOC1 ZINC000397185332 270315812 /nfs/dbraw/zinc/31/58/12/270315812.db2.gz LRXNZMOLAXEVTE-HNNXBMFYSA-N 0 0 287.319 2.982 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000397117973 270316015 /nfs/dbraw/zinc/31/60/15/270316015.db2.gz JYQFZGWXYWEJAL-TZMCWYRMSA-N 0 0 280.299 2.898 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H]1CC[C@H](C)O1 ZINC000397865018 270319990 /nfs/dbraw/zinc/31/99/90/270319990.db2.gz FXOYVBVXJXZSSL-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cccc(NC[C@@H]2CC[C@H](C)O2)c1[N+](=O)[O-] ZINC000397891209 270320287 /nfs/dbraw/zinc/32/02/87/270320287.db2.gz RTRMBKTXNIZZLH-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN CNc1c(C(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000399668141 270329517 /nfs/dbraw/zinc/32/95/17/270329517.db2.gz MXOYPHDPDJQVPR-HBNTYKKESA-N 0 0 291.351 2.753 20 5 CFBDRN Cc1cc(OCc2cn(C(C)C)nn2)ccc1[N+](=O)[O-] ZINC000488223694 270342560 /nfs/dbraw/zinc/34/25/60/270342560.db2.gz BSJRSJMSUYGIHA-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN CC[C@@H](O)CCCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000514725271 270351478 /nfs/dbraw/zinc/35/14/78/270351478.db2.gz XXPDOVJSUPLOJA-GFCCVEGCSA-N 0 0 293.367 2.832 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CCC1CCOCC1 ZINC000515311928 270367345 /nfs/dbraw/zinc/36/73/45/270367345.db2.gz ZCYZAGYDACNMBJ-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000516055890 270377141 /nfs/dbraw/zinc/37/71/41/270377141.db2.gz IPBJORMAFAYQBM-TXEJJXNPSA-N 0 0 291.351 2.848 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1N[C@@H]1C[C@H]1CO ZINC000516557652 270383679 /nfs/dbraw/zinc/38/36/79/270383679.db2.gz YLSWXZWTUDRLOZ-YLWLKBPMSA-N 0 0 277.107 2.694 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000524877536 270470346 /nfs/dbraw/zinc/47/03/46/270470346.db2.gz YCWWFGSFESRFLD-GHMZBOCLSA-N 0 0 277.324 2.535 20 5 CFBDRN COCC1(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)CC1 ZINC000527120122 270493496 /nfs/dbraw/zinc/49/34/96/270493496.db2.gz UWPDNSMTWYOAQY-UHFFFAOYSA-N 0 0 292.335 2.627 20 5 CFBDRN CCc1ccc(C(=O)Nc2cc(C)ncn2)cc1[N+](=O)[O-] ZINC000530593580 270801984 /nfs/dbraw/zinc/80/19/84/270801984.db2.gz XFHRQISFHNDHRM-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCOC(C)(C)C ZINC000531332791 270831906 /nfs/dbraw/zinc/83/19/06/270831906.db2.gz HPNLHTZULUAXIY-UHFFFAOYSA-N 0 0 282.340 2.968 20 5 CFBDRN Cc1nc(Nc2cnn(-c3ccccn3)c2)ccc1[N+](=O)[O-] ZINC000531853615 270844764 /nfs/dbraw/zinc/84/47/64/270844764.db2.gz GRINOYWJYSKDII-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN CC(C)[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000531882594 270846128 /nfs/dbraw/zinc/84/61/28/270846128.db2.gz KAIGFIJBZVACNN-GFCCVEGCSA-N 0 0 291.351 2.888 20 5 CFBDRN COc1cc(CN2CCc3ccc([N+](=O)[O-])cc3C2)ccn1 ZINC000342865433 274093489 /nfs/dbraw/zinc/09/34/89/274093489.db2.gz VGPUXVHKNQTHCX-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])C[C@H](C)N1C ZINC000338785914 274591369 /nfs/dbraw/zinc/59/13/69/274591369.db2.gz BWSOZBXCHVQIJQ-UWVGGRQHSA-N 0 0 283.759 2.777 20 5 CFBDRN Cc1ccccc1[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000334458611 274809340 /nfs/dbraw/zinc/80/93/40/274809340.db2.gz KIWLGNWCMCILKB-GFCCVEGCSA-N 0 0 299.330 2.861 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)Cc2ccccc2)cc1 ZINC000035838032 275037315 /nfs/dbraw/zinc/03/73/15/275037315.db2.gz DUUBOVIAUNDLFP-UHFFFAOYSA-N 0 0 277.301 2.569 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@@](C)(O)CC1 ZINC000230356317 275502037 /nfs/dbraw/zinc/50/20/37/275502037.db2.gz MVHNNYFVJZZUNJ-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@@H](C)[C@H]1C ZINC000293957256 275730158 /nfs/dbraw/zinc/73/01/58/275730158.db2.gz FZGDYUHSAGQEQX-BXAYLQTHSA-N 0 0 274.320 2.865 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000129107055 275886598 /nfs/dbraw/zinc/88/65/98/275886598.db2.gz YVEPKDFRNJDQEF-STQMWFEESA-N 0 0 287.319 2.714 20 5 CFBDRN CC1(F)CC(Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000450581731 275898495 /nfs/dbraw/zinc/89/84/95/275898495.db2.gz QIELRLPLMWTSFV-UHFFFAOYSA-N 0 0 293.298 2.782 20 5 CFBDRN CC(C)[C@@H]1CCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000450803196 275993715 /nfs/dbraw/zinc/99/37/15/275993715.db2.gz ALJVEAIREANLGI-JTQLQIEISA-N 0 0 255.705 2.878 20 5 CFBDRN CC(C)[C@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330577893 276027138 /nfs/dbraw/zinc/02/71/38/276027138.db2.gz NRHAYCBCABPXDF-WDEREUQCSA-N 0 0 279.340 2.868 20 5 CFBDRN CCN(CCOC)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000266899465 276133593 /nfs/dbraw/zinc/13/35/93/276133593.db2.gz HVTFLEGJCRBARG-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2)CC(C)(C)O1 ZINC000302710815 276135794 /nfs/dbraw/zinc/13/57/94/276135794.db2.gz ZLFNQJWJYFRPFF-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])nc2)[C@H]1c1ccncc1 ZINC000450537028 276135851 /nfs/dbraw/zinc/13/58/51/276135851.db2.gz BRNWTVLLOSCHDU-AWEZNQCLSA-N 0 0 284.319 2.972 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000121713182 276144292 /nfs/dbraw/zinc/14/42/92/276144292.db2.gz XSMRAFZSRSIEEN-CYBMUJFWSA-N 0 0 274.320 2.526 20 5 CFBDRN CC1=C(C)CN(c2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000281086227 276177274 /nfs/dbraw/zinc/17/72/74/276177274.db2.gz VSWPMMPTKFHNGI-UHFFFAOYSA-N 0 0 278.337 2.851 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269357804 276269449 /nfs/dbraw/zinc/26/94/49/276269449.db2.gz IIPSRDLJIWKISU-SECBINFHSA-N 0 0 282.344 2.702 20 5 CFBDRN CCC[C@H]1CN(c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000302518405 276290375 /nfs/dbraw/zinc/29/03/75/276290375.db2.gz WLRQNVZAEFTIGI-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN CCC[C@H]1CN(c2cc(OC)cc(F)c2[N+](=O)[O-])CCO1 ZINC000301857073 276290391 /nfs/dbraw/zinc/29/03/91/276290391.db2.gz ZMOILZTXMQBPSN-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN CCOC1CCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000301810162 276312102 /nfs/dbraw/zinc/31/21/02/276312102.db2.gz XLVOMNQGMBQTGV-UHFFFAOYSA-N 0 0 286.278 2.878 20 5 CFBDRN CCO[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000301279784 276337361 /nfs/dbraw/zinc/33/73/61/276337361.db2.gz NQTBMAHEXGMJNE-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN CCO[C@@H]1CCN(c2cc(C)c([N+](=O)[O-])cn2)C[C@@H]1C ZINC000450745681 276339198 /nfs/dbraw/zinc/33/91/98/276339198.db2.gz PTJQYIVDIIDATQ-WCQYABFASA-N 0 0 279.340 2.550 20 5 CFBDRN CCOC1(C)CN(c2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000450583623 276449698 /nfs/dbraw/zinc/44/96/98/276449698.db2.gz SLPRPCSWHGIKGZ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CCO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2C)C[C@@H]1C ZINC000450747509 276469188 /nfs/dbraw/zinc/46/91/88/276469188.db2.gz UJYYXGZJEFBNPU-WCQYABFASA-N 0 0 279.340 2.550 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)CCS1 ZINC000266249761 276498075 /nfs/dbraw/zinc/49/80/75/276498075.db2.gz ZXIPXDHESWVFAS-LLVKDONJSA-N 0 0 282.365 2.935 20 5 CFBDRN CC[C@@]1(C)COCCN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000330638128 276538501 /nfs/dbraw/zinc/53/85/01/276538501.db2.gz VVYQPVIULBMUFW-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000264790762 276576791 /nfs/dbraw/zinc/57/67/91/276576791.db2.gz SJMXCDDJZXMBHJ-GXSJLCMTSA-N 0 0 295.295 2.507 20 5 CFBDRN CC[C@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])CCO1 ZINC000276530976 276629505 /nfs/dbraw/zinc/62/95/05/276629505.db2.gz BWYXPPBTUJHHQB-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN CCc1ccc([C@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)cc1 ZINC000292678523 276673249 /nfs/dbraw/zinc/67/32/49/276673249.db2.gz IILOGAXGMQZPRO-AWEZNQCLSA-N 0 0 298.346 2.941 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC=C(F)C1 ZINC000361072744 277113874 /nfs/dbraw/zinc/11/38/74/277113874.db2.gz GTPRTKBPFXOZQM-UHFFFAOYSA-N 0 0 264.256 2.861 20 5 CFBDRN COC(=O)[C@]1(C)CCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000268277676 277144936 /nfs/dbraw/zinc/14/49/36/277144936.db2.gz AGWNNYTVDIJEPK-CYBMUJFWSA-N 0 0 298.726 2.638 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N(C)Cc2ccoc2)c1 ZINC000136299145 277161616 /nfs/dbraw/zinc/16/16/16/277161616.db2.gz STUICUYUOXROMO-UHFFFAOYSA-N 0 0 290.275 2.611 20 5 CFBDRN COCC1=CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000274604456 277200589 /nfs/dbraw/zinc/20/05/89/277200589.db2.gz FSKATFLAAJYOGR-UHFFFAOYSA-N 0 0 266.272 2.517 20 5 CFBDRN COCCC(C)(C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359105817 277202773 /nfs/dbraw/zinc/20/27/73/277202773.db2.gz WQZKTGRDQITFQY-UHFFFAOYSA-N 0 0 267.329 2.834 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000343796309 277238070 /nfs/dbraw/zinc/23/80/70/277238070.db2.gz KOMKLUZYKFVYMZ-LLVKDONJSA-N 0 0 291.351 2.566 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@@H]1O ZINC000408149544 277258837 /nfs/dbraw/zinc/25/88/37/277258837.db2.gz LOMQKTCFTVACIF-FZMZJTMJSA-N 0 0 278.352 2.828 20 5 CFBDRN CO[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)C1(C)C ZINC000439859119 277306306 /nfs/dbraw/zinc/30/63/06/277306306.db2.gz RDAZNFLNTGMTNV-GHMZBOCLSA-N 0 0 298.364 2.508 20 5 CFBDRN CO[C@H]1CCCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000371734383 277337388 /nfs/dbraw/zinc/33/73/88/277337388.db2.gz NSDVUMYBFQKXIL-NSHDSACASA-N 0 0 250.298 2.600 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)CC1(C)C ZINC000295811311 277341465 /nfs/dbraw/zinc/34/14/65/277341465.db2.gz UFDRGYJLFGYTMR-ZDUSSCGKSA-N 0 0 264.325 2.846 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@H](C)C[C@@H]1C ZINC000444899239 277389937 /nfs/dbraw/zinc/38/99/37/277389937.db2.gz POOOBHNJEMJAAB-ZJUUUORDSA-N 0 0 293.323 2.866 20 5 CFBDRN COC(=O)c1cc(N2CCC(C)(C)C2)cc(C)c1[N+](=O)[O-] ZINC000301703909 277423077 /nfs/dbraw/zinc/42/30/77/277423077.db2.gz UTHFFUUUWYZLMK-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN COC1(c2ccccc2)CN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000376261691 277475103 /nfs/dbraw/zinc/47/51/03/277475103.db2.gz FVLATACATIJGKO-UHFFFAOYSA-N 0 0 299.330 2.660 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000298902794 277641650 /nfs/dbraw/zinc/64/16/50/277641650.db2.gz BWNKSFSTHYACMX-GXFFZTMASA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@@H]1CC(Nc2cccc(C(N)=O)c2[N+](=O)[O-])C[C@@H](C)C1 ZINC000342375073 277666727 /nfs/dbraw/zinc/66/67/27/277666727.db2.gz KWAYOYTXQWCQNZ-UWVGGRQHSA-N 0 0 291.351 2.930 20 5 CFBDRN C[C@@H]1CN(c2ncnc3cccc([N+](=O)[O-])c32)C[C@H]1C ZINC000408193153 277923934 /nfs/dbraw/zinc/92/39/34/277923934.db2.gz BJNBVAMGBIWRHW-NXEZZACHSA-N 0 0 272.308 2.630 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H](C)C2)c1 ZINC000444323971 277936790 /nfs/dbraw/zinc/93/67/90/277936790.db2.gz WZVUIRVWDXYUGU-VHSXEESVSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(C)[C@]1(CO)CCN(c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000377977146 277996480 /nfs/dbraw/zinc/99/64/80/277996480.db2.gz LYIPDBGSCJDFNG-CQSZACIVSA-N 0 0 282.315 2.579 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000269361345 278002763 /nfs/dbraw/zinc/00/27/63/278002763.db2.gz VUGPGGSBDPGZKE-ZJUUUORDSA-N 0 0 294.355 2.750 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000334147883 278005369 /nfs/dbraw/zinc/00/53/69/278005369.db2.gz FLKFCGBFVPZKRQ-MNOVXSKESA-N 0 0 277.324 2.535 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000377381615 278070738 /nfs/dbraw/zinc/07/07/38/278070738.db2.gz JCGNFCNQXPAMOW-BONVTDFDSA-N 0 0 298.298 2.847 20 5 CFBDRN CSC[C@@H](C)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162233721 278133352 /nfs/dbraw/zinc/13/33/52/278133352.db2.gz NSFVGMYEWFYPFJ-SNVBAGLBSA-N 0 0 294.376 2.873 20 5 CFBDRN CC1(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)CCC1 ZINC000267521550 278173753 /nfs/dbraw/zinc/17/37/53/278173753.db2.gz MKPUALYAQLNJFL-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CC1(C)CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000408257864 278206244 /nfs/dbraw/zinc/20/62/44/278206244.db2.gz SSVNKCQCYDIWHR-NSHDSACASA-N 0 0 265.313 2.622 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000162616960 278282270 /nfs/dbraw/zinc/28/22/70/278282270.db2.gz CEGLNARXPJFOPW-JTQLQIEISA-N 0 0 262.309 2.903 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000187092564 278329593 /nfs/dbraw/zinc/32/95/93/278329593.db2.gz LSGAJOZLUYBVLH-NSHDSACASA-N 0 0 262.309 2.857 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1ncc[nH]1 ZINC000138923844 278379322 /nfs/dbraw/zinc/37/93/22/278379322.db2.gz HIDWNDXEBPEVMZ-NXEZZACHSA-N 0 0 260.297 2.730 20 5 CFBDRN C[C@H]1CCc2ccccc2N1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000334463330 278387947 /nfs/dbraw/zinc/38/79/47/278387947.db2.gz RLCBZWHFVMOKPU-NSHDSACASA-N 0 0 299.330 2.915 20 5 CFBDRN CC1(C)C[C@H](Nc2nc3sccn3c2[N+](=O)[O-])CCO1 ZINC000160598806 278390524 /nfs/dbraw/zinc/39/05/24/278390524.db2.gz JWPUHATZRQETOY-MRVPVSSYSA-N 0 0 296.352 2.674 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000347985688 278505938 /nfs/dbraw/zinc/50/59/38/278505938.db2.gz MLLVBERPAPAYOT-LKFCYVNXSA-N 0 0 285.303 2.586 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000230100339 278520261 /nfs/dbraw/zinc/52/02/61/278520261.db2.gz GPIKMYUDOUTKSK-JMCQJSRRSA-N 0 0 272.251 2.852 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccncc2[N+](=O)[O-])CC1(C)C ZINC000273675227 278535678 /nfs/dbraw/zinc/53/56/78/278535678.db2.gz FDSIKAXUGCEYQZ-SNVBAGLBSA-N 0 0 292.339 2.890 20 5 CFBDRN C[C@]1(Nc2nc3ccccc3cc2[N+](=O)[O-])CCOC1 ZINC000276640511 278567192 /nfs/dbraw/zinc/56/71/92/278567192.db2.gz PLDVKWAVKJWWGZ-AWEZNQCLSA-N 0 0 273.292 2.734 20 5 CFBDRN CCC(=O)c1ccc(N2CCC[C@@H](O)[C@H]2C)c([N+](=O)[O-])c1 ZINC000295090110 278573487 /nfs/dbraw/zinc/57/34/87/278573487.db2.gz ZAVBNBILLOWGID-MEBBXXQBSA-N 0 0 292.335 2.537 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000334385241 278615266 /nfs/dbraw/zinc/61/52/66/278615266.db2.gz MVJYIQIJCPFSID-LDYMZIIASA-N 0 0 274.276 2.869 20 5 CFBDRN CCC1(CNc2ccc3ncccc3c2[N+](=O)[O-])COC1 ZINC000450843078 278641430 /nfs/dbraw/zinc/64/14/30/278641430.db2.gz HOQWTIOQDIIXBN-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CCC1(O)CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000292433852 278647458 /nfs/dbraw/zinc/64/74/58/278647458.db2.gz GXLDVKDXIISIDW-UHFFFAOYSA-N 0 0 284.743 2.990 20 5 CFBDRN Cc1cc(N2C[C@H](C)OC[C@H]2C)ccc1[N+](=O)[O-] ZINC000298741169 278718455 /nfs/dbraw/zinc/71/84/55/278718455.db2.gz YEXRTQQWFUGTAC-MNOVXSKESA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1cc(S[C@H]2CCO[C@@H]2C)ncc1[N+](=O)[O-] ZINC000269900366 278740380 /nfs/dbraw/zinc/74/03/80/278740380.db2.gz FOVGKOUVCHFSFL-SCZZXKLOSA-N 0 0 254.311 2.568 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nccc3cc([N+](=O)[O-])ccc32)CS1 ZINC000413503702 278905417 /nfs/dbraw/zinc/90/54/17/278905417.db2.gz BOYHDYQWFDPTPK-MWLCHTKSSA-N 0 0 289.360 2.871 20 5 CFBDRN CC(C)C[C@@H]1CCCCCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000124678382 279017187 /nfs/dbraw/zinc/01/71/87/279017187.db2.gz PSOUSIOTISHXLM-NSHDSACASA-N 0 0 294.355 2.749 20 5 CFBDRN Cc1cnc(N[C@H]2Cc3cccc(O)c3C2)c([N+](=O)[O-])c1 ZINC000428677107 279020538 /nfs/dbraw/zinc/02/05/38/279020538.db2.gz FQOXJWWKFGSTOB-NSHDSACASA-N 0 0 285.303 2.583 20 5 CFBDRN CC[C@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])C(C)(C)O ZINC000338794953 288093515 /nfs/dbraw/zinc/09/35/15/288093515.db2.gz ZQIWLJNAGOWWIW-JTQLQIEISA-N 0 0 267.329 2.568 20 5 CFBDRN C[C@@H]1OCC[C@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000349781142 279053362 /nfs/dbraw/zinc/05/33/62/279053362.db2.gz IEVNYXJREDZZTN-GZMMTYOYSA-N 0 0 275.264 2.537 20 5 CFBDRN Cc1ncc(CN2CCc3ccc([N+](=O)[O-])cc3C2)s1 ZINC000131447172 279152740 /nfs/dbraw/zinc/15/27/40/279152740.db2.gz QGTMWRVXZHJOPP-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC[C@@H](C)C1 ZINC000184432732 279157957 /nfs/dbraw/zinc/15/79/57/279157957.db2.gz QHCSJISILQTJLU-SNVBAGLBSA-N 0 0 277.324 2.560 20 5 CFBDRN Cc1nn(C)c(N2CC[C@@]3(CC[C@@H](C)C3)C2)c1[N+](=O)[O-] ZINC000302073381 279199325 /nfs/dbraw/zinc/19/93/25/279199325.db2.gz ZXVBMXNRKGVLCG-QMTHXVAHSA-N 0 0 278.356 2.653 20 5 CFBDRN C[C@H](F)CCN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352621524 279390301 /nfs/dbraw/zinc/39/03/01/279390301.db2.gz QOPDDYWHLKMHMA-JTQLQIEISA-N 0 0 268.288 2.537 20 5 CFBDRN O=C(C1CCC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000074017971 279738953 /nfs/dbraw/zinc/73/89/53/279738953.db2.gz WHHUJTRMJUPCQK-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CCO[C@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@@H]1C ZINC000450748701 279905937 /nfs/dbraw/zinc/90/59/37/279905937.db2.gz XGOSTRBVOHGKRN-FZMZJTMJSA-N 0 0 264.325 2.846 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000277627613 279920228 /nfs/dbraw/zinc/92/02/28/279920228.db2.gz MRRRWHYWPUTNNA-WCBMZHEXSA-N 0 0 254.261 2.571 20 5 CFBDRN CCOc1cccc(N2CCC(O)(CC)CC2)c1[N+](=O)[O-] ZINC000273886470 279971455 /nfs/dbraw/zinc/97/14/55/279971455.db2.gz SHPUXUBJJXTKAL-UHFFFAOYSA-N 0 0 294.351 2.735 20 5 CFBDRN C[C@H]1C[C@H](c2ccccc2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000273559843 280018350 /nfs/dbraw/zinc/01/83/50/280018350.db2.gz BKCXABBKLGBYSJ-AAEUAGOBSA-N 0 0 284.319 2.767 20 5 CFBDRN C[C@H]1Cc2ccccc2CN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000335329477 280027172 /nfs/dbraw/zinc/02/71/72/280027172.db2.gz NWGXGCRXMZSXKF-JTQLQIEISA-N 0 0 285.303 2.510 20 5 CFBDRN O=C(Nc1ccsc1Cl)c1cc([N+](=O)[O-])c[nH]c1=O ZINC000190084699 280047437 /nfs/dbraw/zinc/04/74/37/280047437.db2.gz QMSSRJXZRLISGX-UHFFFAOYSA-N 0 0 299.695 2.663 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c1C ZINC000265332316 280190654 /nfs/dbraw/zinc/19/06/54/280190654.db2.gz WOGYRISPDYPVAZ-UHFFFAOYSA-N 0 0 288.307 2.804 20 5 CFBDRN O=C(c1ccccn1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000074017500 280214289 /nfs/dbraw/zinc/21/42/89/280214289.db2.gz UDSNQAQQXLWYQN-UHFFFAOYSA-N 0 0 283.287 2.583 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H]([C@@H]3CCOC3)C2)c(F)c1 ZINC000366634491 280359694 /nfs/dbraw/zinc/35/96/94/280359694.db2.gz FLQVYHUKHZWERO-NXEZZACHSA-N 0 0 298.289 2.736 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1CC[C@@H](F)C1 ZINC000340985301 280361357 /nfs/dbraw/zinc/36/13/57/280361357.db2.gz YNHQBANJYBKXPP-MNOVXSKESA-N 0 0 275.283 2.867 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1CCCC[C@@H]1O ZINC000276724935 280362168 /nfs/dbraw/zinc/36/21/68/280362168.db2.gz ZRUZDPXBUBBEPG-OCCSQVGLSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3C[C@@]34CCOC4)n2)s1 ZINC000350265405 280362444 /nfs/dbraw/zinc/36/24/44/280362444.db2.gz WOXCIVKVSVJFEB-JVXZTZIISA-N 0 0 293.304 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCc3ccccc3CC2)cn1 ZINC000450811335 280367004 /nfs/dbraw/zinc/36/70/04/280367004.db2.gz ONGCJOWCCAFKKS-UHFFFAOYSA-N 0 0 283.331 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](F)[C@@H](F)C2)c(Cl)c1 ZINC000295050308 280368289 /nfs/dbraw/zinc/36/82/89/280368289.db2.gz UKXXHGRWOQZNTN-DTORHVGOSA-N 0 0 262.643 2.744 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)ns1 ZINC000170241785 280368771 /nfs/dbraw/zinc/36/87/71/280368771.db2.gz BVSPADCABNMTET-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC1CCOCC1 ZINC000050351968 280372603 /nfs/dbraw/zinc/37/26/03/280372603.db2.gz CYSLGTNJNNKWJQ-UHFFFAOYSA-N 0 0 256.689 2.839 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(c2cn[nH]c2)CC1 ZINC000373992991 280374728 /nfs/dbraw/zinc/37/47/28/280374728.db2.gz PLYOAVFTSXMJSW-UHFFFAOYSA-N 0 0 272.308 2.702 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC3(CCC3)C2)s1 ZINC000368522118 280378583 /nfs/dbraw/zinc/37/85/83/280378583.db2.gz LOKUJBQHJZKQCV-UHFFFAOYSA-N 0 0 253.327 2.822 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@]3(CCSC3)C2)s1 ZINC000450910863 280379758 /nfs/dbraw/zinc/37/97/58/280379758.db2.gz YLFZDMLLQYBTGA-LLVKDONJSA-N 0 0 285.394 2.775 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3OCCC[C@@H]3C2)c(Cl)c1 ZINC000152337269 280380952 /nfs/dbraw/zinc/38/09/52/280380952.db2.gz NUGJCPBTTGXMLY-SKDRFNHKSA-N 0 0 297.742 2.649 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@@H](F)C1 ZINC000450608918 280382952 /nfs/dbraw/zinc/38/29/52/280382952.db2.gz ZYEFTFPGZVSNEA-SECBINFHSA-N 0 0 261.256 2.691 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])nn2C)c(C)c1 ZINC000360545703 280393933 /nfs/dbraw/zinc/39/39/33/280393933.db2.gz SSDWJVJVPWQQCF-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@H]2C(F)F)n1 ZINC000343984569 280398671 /nfs/dbraw/zinc/39/86/71/280398671.db2.gz VXJANAGGUOMJFS-VIFPVBQESA-N 0 0 271.267 2.841 20 5 CFBDRN O=C(NCCC1CCCC1)NCc1ccccc1[N+](=O)[O-] ZINC000045622395 280424868 /nfs/dbraw/zinc/42/48/68/280424868.db2.gz VZEYRGDTBMNIEF-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN Cc1nn(C)c(NC[C@@H](C)c2ccccc2)c1[N+](=O)[O-] ZINC000048545412 280431081 /nfs/dbraw/zinc/43/10/81/280431081.db2.gz XRSQVAQOJAXYOK-SNVBAGLBSA-N 0 0 274.324 2.852 20 5 CFBDRN CC(C)[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000294188932 280434236 /nfs/dbraw/zinc/43/42/36/280434236.db2.gz SUESEMGZOXHCRB-GFCCVEGCSA-N 0 0 299.758 2.895 20 5 CFBDRN CC[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000264760513 280444430 /nfs/dbraw/zinc/44/44/30/280444430.db2.gz NLZAXTLSBOEQKY-MWLCHTKSSA-N 0 0 268.288 2.738 20 5 CFBDRN CCCCN(CC)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000052933084 280445660 /nfs/dbraw/zinc/44/56/60/280445660.db2.gz RMFFLWRHUKEEJP-UHFFFAOYSA-N 0 0 265.313 2.560 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000057071743 280451745 /nfs/dbraw/zinc/45/17/45/280451745.db2.gz IWDVIXPLJYZCEJ-QWRGUYRKSA-N 0 0 264.325 2.688 20 5 CFBDRN COc1ccc2c(c1)CCN2C(=O)c1cccc([N+](=O)[O-])c1 ZINC000058716768 280456793 /nfs/dbraw/zinc/45/67/93/280456793.db2.gz NVOJZKLMMZWZES-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN CCCN(CCC)C(=O)COc1ccc(C)cc1[N+](=O)[O-] ZINC000061340496 280460446 /nfs/dbraw/zinc/46/04/46/280460446.db2.gz ULTJLZABXYFQQO-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN CC(C)(C)n1cc(NC(=O)c2cccc([N+](=O)[O-])c2)cn1 ZINC000065923499 280466844 /nfs/dbraw/zinc/46/68/44/280466844.db2.gz HEKLURHIPVWSDD-UHFFFAOYSA-N 0 0 288.307 2.799 20 5 CFBDRN O=C(NCc1ccccc1)NCc1cccc([N+](=O)[O-])c1 ZINC000067527840 280472023 /nfs/dbraw/zinc/47/20/23/280472023.db2.gz IMEWKZJFYYZUPI-UHFFFAOYSA-N 0 0 285.303 2.594 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)C[C@H]1C ZINC000078009239 280527075 /nfs/dbraw/zinc/52/70/75/280527075.db2.gz CNRJWSCBFNIOOP-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@@H](C)COc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC000088495946 280560595 /nfs/dbraw/zinc/56/05/95/280560595.db2.gz GAXJHTQIYDLSLC-SECBINFHSA-N 0 0 267.281 2.806 20 5 CFBDRN Cn1cc(NCc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000094196411 280578022 /nfs/dbraw/zinc/57/80/22/280578022.db2.gz PVCNVLRNBQTPTE-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000105199229 280584425 /nfs/dbraw/zinc/58/44/25/280584425.db2.gz OEKBZFAKYBDKNP-GWCFXTLKSA-N 0 0 277.324 2.583 20 5 CFBDRN O=C(N[C@@H]1CCc2ccc(F)cc21)c1ccc([N+](=O)[O-])o1 ZINC000106678367 280586538 /nfs/dbraw/zinc/58/65/38/280586538.db2.gz AFJIRBAZOIUYOE-LLVKDONJSA-N 0 0 290.250 2.744 20 5 CFBDRN CC(C)n1cccc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000122730065 280628938 /nfs/dbraw/zinc/62/89/38/280628938.db2.gz ULXJNPXLJXCQKK-UHFFFAOYSA-N 0 0 287.319 2.907 20 5 CFBDRN CC1(NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)CCC1 ZINC000125882336 280647376 /nfs/dbraw/zinc/64/73/76/280647376.db2.gz SQKWICUVTYRPPR-VOTSOKGWSA-N 0 0 260.293 2.667 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C1=CCCCC1 ZINC000126956204 280658055 /nfs/dbraw/zinc/65/80/55/280658055.db2.gz QCGYESJNKQGWCO-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCO[C@H](C)[C@@H]1C ZINC000162566936 280662838 /nfs/dbraw/zinc/66/28/38/280662838.db2.gz DUJNSXYGWUXYHT-WDEREUQCSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1noc(C)c1CCOc1ccc([N+](=O)[O-])cc1F ZINC000127946836 280670329 /nfs/dbraw/zinc/67/03/29/280670329.db2.gz RZDVHAKCXDSBRY-UHFFFAOYSA-N 0 0 280.255 2.960 20 5 CFBDRN CSCCN(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000129121075 280686894 /nfs/dbraw/zinc/68/68/94/280686894.db2.gz RICSGAMVDOOETK-UHFFFAOYSA-N 0 0 283.353 2.730 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC(C)(C)C ZINC000130314140 280702103 /nfs/dbraw/zinc/70/21/03/280702103.db2.gz VMHJKXTYGBTFJN-UHFFFAOYSA-N 0 0 267.285 2.523 20 5 CFBDRN Cc1nnc(COc2ccc([N+](=O)[O-])cc2F)n1C1CC1 ZINC000130644586 280706833 /nfs/dbraw/zinc/70/68/33/280706833.db2.gz LVADCNZLKPSWEB-UHFFFAOYSA-N 0 0 292.270 2.548 20 5 CFBDRN CSC[C@H](C)NC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000130843118 280708950 /nfs/dbraw/zinc/70/89/50/280708950.db2.gz FDRRVAMMHMVQLX-DNQSNQRASA-N 0 0 298.339 2.615 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000131843470 280724229 /nfs/dbraw/zinc/72/42/29/280724229.db2.gz BYQMXWSXNPOPCW-VHSXEESVSA-N 0 0 280.299 2.852 20 5 CFBDRN COc1cc(C(=O)Nc2nc(C)cs2)ccc1[N+](=O)[O-] ZINC000132950430 280738728 /nfs/dbraw/zinc/73/87/28/280738728.db2.gz SNWNJFXSYVQMIK-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN O=C(CC1CCSCC1)NCc1ccccc1[N+](=O)[O-] ZINC000134316845 280756404 /nfs/dbraw/zinc/75/64/04/280756404.db2.gz WMVWPBYKZUMSAB-UHFFFAOYSA-N 0 0 294.376 2.744 20 5 CFBDRN Cc1cc(NC(=O)Cc2cccc([N+](=O)[O-])c2)ccc1O ZINC000135397988 280772405 /nfs/dbraw/zinc/77/24/05/280772405.db2.gz BMFMCNXDQJWLGK-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN CCCCOc1ccc([N+](=O)[O-])c(C(=O)OC)c1 ZINC000140497501 280791762 /nfs/dbraw/zinc/79/17/62/280791762.db2.gz KZFLNQAUZWNBDV-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN CCc1ccc(OCC(=O)[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000184010882 280823621 /nfs/dbraw/zinc/82/36/21/280823621.db2.gz DWRXEQOAORIAOM-GFCCVEGCSA-N 0 0 293.319 2.532 20 5 CFBDRN CO[C@H]1CC[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000189785046 280880018 /nfs/dbraw/zinc/88/00/18/280880018.db2.gz FRIPGYDMAZKOLV-PWSUYJOCSA-N 0 0 293.323 2.592 20 5 CFBDRN CN(Cc1cccc(F)c1)c1ncc([N+](=O)[O-])s1 ZINC000190301434 280886384 /nfs/dbraw/zinc/88/63/84/280886384.db2.gz GIWHNKDIPKPWQT-UHFFFAOYSA-N 0 0 267.285 2.827 20 5 CFBDRN CO[C@@H]1CC[C@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000191713391 280903926 /nfs/dbraw/zinc/90/39/26/280903926.db2.gz HPRZYDSTUZNETK-CMPLNLGQSA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCCOC(F)F)CCN2 ZINC000193615603 280915102 /nfs/dbraw/zinc/91/51/02/280915102.db2.gz ZWSQQTNWHXBOFM-UHFFFAOYSA-N 0 0 287.266 2.604 20 5 CFBDRN COCCCCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000194810490 280927692 /nfs/dbraw/zinc/92/76/92/280927692.db2.gz MRGLYCXBEAXXAW-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000226138681 280934985 /nfs/dbraw/zinc/93/49/85/280934985.db2.gz QTGKJCVKPZHMMY-ZJUUUORDSA-N 0 0 277.324 2.507 20 5 CFBDRN Cc1cc(CNc2ncc([N+](=O)[O-])cc2Cl)no1 ZINC000227650306 280936029 /nfs/dbraw/zinc/93/60/29/280936029.db2.gz IRRAPAIIFBZQID-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC2Cc3ccccc3C2)n1 ZINC000184150175 281014763 /nfs/dbraw/zinc/01/47/63/281014763.db2.gz HTQKMCSRTAEZHG-UHFFFAOYSA-N 0 0 269.304 2.878 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000347689215 281063856 /nfs/dbraw/zinc/06/38/56/281063856.db2.gz WUAXHJOLUHGLAT-CYBMUJFWSA-N 0 0 299.330 2.659 20 5 CFBDRN CO[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CC[C@H]1C ZINC000251948799 281075577 /nfs/dbraw/zinc/07/55/77/281075577.db2.gz VGPRWTPPSISDAH-QMTHXVAHSA-N 0 0 282.315 2.591 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)[C@H](C)C2 ZINC000357473675 281089101 /nfs/dbraw/zinc/08/91/01/281089101.db2.gz MCKHZLSCZFHGKP-BMIGLBTASA-N 0 0 299.330 2.895 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\c2cncc(O)c2)cc1[N+](=O)[O-] ZINC000255216930 281091473 /nfs/dbraw/zinc/09/14/73/281091473.db2.gz WYYBKAAGDHMRPQ-HYXAFXHYSA-N 0 0 299.286 2.656 20 5 CFBDRN C[C@H]1CSCCN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255266571 281092158 /nfs/dbraw/zinc/09/21/58/281092158.db2.gz YGDVJJOXDUBNCY-IBHLPDHGSA-N 0 0 292.360 2.572 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000435819049 281093698 /nfs/dbraw/zinc/09/36/98/281093698.db2.gz RTHXQSXEQBMNEK-CMPLNLGQSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255313500 281093923 /nfs/dbraw/zinc/09/39/23/281093923.db2.gz HAIJXEPNSHWYED-SREVYHEPSA-N 0 0 286.291 2.587 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000255386475 281096225 /nfs/dbraw/zinc/09/62/25/281096225.db2.gz QZYXXPVOLFPELE-MYNJGTHASA-N 0 0 286.331 2.867 20 5 CFBDRN CN(CC1CCC1)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255389418 281097210 /nfs/dbraw/zinc/09/72/10/281097210.db2.gz JDDRXNXPHBUHQM-HJWRWDBZSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(/C=C\c1ccc(Cl)c([N+](=O)[O-])c1)NCC1CC1 ZINC000255435509 281098185 /nfs/dbraw/zinc/09/81/85/281098185.db2.gz YFWOUPAMCLTUBF-XQRVVYSFSA-N 0 0 280.711 2.788 20 5 CFBDRN Cc1cncc(/C=C\C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000255547351 281101268 /nfs/dbraw/zinc/10/12/68/281101268.db2.gz CPJYZJRJOJKDEF-UQCOIBPSSA-N 0 0 283.287 2.950 20 5 CFBDRN CC[C@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CCCO1 ZINC000281826183 288299278 /nfs/dbraw/zinc/29/92/78/288299278.db2.gz KGEIBLFMVKYYHN-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN CSCCCCNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255834773 281107714 /nfs/dbraw/zinc/10/77/14/281107714.db2.gz YCSDDBPUISGNCX-HJWRWDBZSA-N 0 0 294.376 2.867 20 5 CFBDRN CC(C)(C)/C=C\C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000255886166 281109311 /nfs/dbraw/zinc/10/93/11/281109311.db2.gz BQHOHIMRGDNFSI-HJWRWDBZSA-N 0 0 262.309 2.813 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000255899946 281109866 /nfs/dbraw/zinc/10/98/66/281109866.db2.gz DGFXBLPVMNLHQF-JDPNTUOHSA-N 0 0 286.331 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCO[C@@H](C)C1 ZINC000271358115 281121320 /nfs/dbraw/zinc/12/13/20/281121320.db2.gz OJKCXZIBPUKQDJ-WDEREUQCSA-N 0 0 265.313 2.525 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000274742947 281125091 /nfs/dbraw/zinc/12/50/91/281125091.db2.gz CYYUFJMMGFDUSH-JOYOIKCWSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1ccc2nc(-c3ccc([N+](=O)[O-])cc3F)[nH]c2n1 ZINC000349584762 281148490 /nfs/dbraw/zinc/14/84/90/281148490.db2.gz HRDIRMRPXNHPDN-UHFFFAOYSA-N 0 0 272.239 2.981 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC(C)(C)C1CCCC1 ZINC000338057124 281165647 /nfs/dbraw/zinc/16/56/47/281165647.db2.gz SYZMCAIQMUFSTE-UHFFFAOYSA-N 0 0 266.345 2.957 20 5 CFBDRN CC[C@H]1CN(c2cccc(OC)c2[N+](=O)[O-])CCS1 ZINC000185499595 281177797 /nfs/dbraw/zinc/17/77/97/281177797.db2.gz ZKUHLFSRSPGKOS-JTQLQIEISA-N 0 0 282.365 2.935 20 5 CFBDRN Cc1ccccc1-c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357338546 281180079 /nfs/dbraw/zinc/18/00/79/281180079.db2.gz GHTWUNYTIIUJHQ-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN CCOC(=O)C[C@H](C)Sc1nccc(C)c1[N+](=O)[O-] ZINC000360361910 281183969 /nfs/dbraw/zinc/18/39/69/281183969.db2.gz MDELEXGEARZASZ-VIFPVBQESA-N 0 0 284.337 2.732 20 5 CFBDRN C[C@@H](NCc1nn(C)cc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000393328741 281192658 /nfs/dbraw/zinc/19/26/58/281192658.db2.gz XNHADWNERNEUKD-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000397633329 281201206 /nfs/dbraw/zinc/20/12/06/281201206.db2.gz NWIJAZISXVYERA-JGPRNRPPSA-N 0 0 269.304 2.775 20 5 CFBDRN CCOc1cc(NCC[C@H](C)CCO)ccc1[N+](=O)[O-] ZINC000397814133 281202378 /nfs/dbraw/zinc/20/23/78/281202378.db2.gz DWDPFJBFHKECFD-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN Cc1ncc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000397980705 281203446 /nfs/dbraw/zinc/20/34/46/281203446.db2.gz MIRYWGZXLDAGNC-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1ccc(CN[C@@H](C)c2csnn2)cc1[N+](=O)[O-] ZINC000398419836 281206361 /nfs/dbraw/zinc/20/63/61/281206361.db2.gz PGYKZWXJXMUKCB-VIFPVBQESA-N 0 0 278.337 2.606 20 5 CFBDRN C[C@@H](N[C@@H](C)c1csnn1)c1cccc([N+](=O)[O-])c1 ZINC000398407739 281206389 /nfs/dbraw/zinc/20/63/89/281206389.db2.gz KPAUGRBRYLWWKS-BDAKNGLRSA-N 0 0 278.337 2.858 20 5 CFBDRN CC(C)(C)N1CC[C@@H](Nc2cc(F)cc([N+](=O)[O-])c2)C1=O ZINC000424577603 281228541 /nfs/dbraw/zinc/22/85/41/281228541.db2.gz VDIUKCDOLXJKIG-GFCCVEGCSA-N 0 0 295.314 2.545 20 5 CFBDRN Cc1cccc(N2CC[C@H](c3nccn3C)C2)c1[N+](=O)[O-] ZINC000364603515 281229736 /nfs/dbraw/zinc/22/97/36/281229736.db2.gz FRENCCOIJLJCFN-LBPRGKRZSA-N 0 0 286.335 2.631 20 5 CFBDRN COC(=O)c1ccoc1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000433430888 281234478 /nfs/dbraw/zinc/23/44/78/281234478.db2.gz ONMZMIBUGOZFKC-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CC(C)(C)[C@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000514845730 281286538 /nfs/dbraw/zinc/28/65/38/281286538.db2.gz OMSDGRCWLRHVML-NWDGAFQWSA-N 0 0 291.351 2.934 20 5 CFBDRN CC(C)(C)[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000514845732 281286541 /nfs/dbraw/zinc/28/65/41/281286541.db2.gz OMSDGRCWLRHVML-VXGBXAGGSA-N 0 0 291.351 2.934 20 5 CFBDRN CC(C)[C@H]1CCCN1c1ncc([N+](=O)[O-])cc1F ZINC000515798773 281297606 /nfs/dbraw/zinc/29/76/06/281297606.db2.gz MLTGXNFGUPXOHF-LLVKDONJSA-N 0 0 253.277 2.754 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1coc(C)n1 ZINC000516193779 281300612 /nfs/dbraw/zinc/30/06/12/281300612.db2.gz QMBYWQWMGLGGID-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN Cc1cc(NC(=O)N2CCCCCO2)ccc1[N+](=O)[O-] ZINC000517069023 281306723 /nfs/dbraw/zinc/30/67/23/281306723.db2.gz PYCSQEAEKVHCBT-UHFFFAOYSA-N 0 0 279.296 2.853 20 5 CFBDRN CC1(C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000525330564 281315656 /nfs/dbraw/zinc/31/56/56/281315656.db2.gz PYIJYIBUSXWYTO-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN CC[C@]1(C)COCCN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000330623774 281328161 /nfs/dbraw/zinc/32/81/61/281328161.db2.gz FKMPYCGTLVFNEB-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@H](C(=O)N1[C@@H](C)C[C@@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000527471406 281332205 /nfs/dbraw/zinc/33/22/05/281332205.db2.gz VEMISNUGWQBODM-GUBZILKMSA-N 0 0 280.299 2.847 20 5 CFBDRN C[C@@H](C(=O)N1[C@H](C)C[C@@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000527471407 281332333 /nfs/dbraw/zinc/33/23/33/281332333.db2.gz VEMISNUGWQBODM-KXUCPTDWSA-N 0 0 280.299 2.847 20 5 CFBDRN Cc1ccc(NC(=O)NC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000531622250 281435895 /nfs/dbraw/zinc/43/58/95/281435895.db2.gz KTZSLNZPYHVSGK-UHFFFAOYSA-N 0 0 275.308 2.967 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cn1)[C@@H]1CCc2ccccc21 ZINC000531633656 281436440 /nfs/dbraw/zinc/43/64/40/281436440.db2.gz QCGDNRWFOYSFLA-OAHLLOKOSA-N 0 0 297.314 2.749 20 5 CFBDRN C[C@H]1OCCN(c2ccc3ncccc3c2[N+](=O)[O-])[C@H]1C ZINC000534270753 281479761 /nfs/dbraw/zinc/47/97/61/281479761.db2.gz PJALIVWXACDDTA-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN Cc1cnc(-c2noc(-c3ccc([N+](=O)[O-])c(C)c3)n2)nc1 ZINC000357179361 281568889 /nfs/dbraw/zinc/56/88/89/281568889.db2.gz ZMWODANNBRUQEK-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN C[C@@H](NC(=O)N1C2CCC1CC2)c1ccccc1[N+](=O)[O-] ZINC000540390290 281585370 /nfs/dbraw/zinc/58/53/70/281585370.db2.gz IGKJQJOZZUHOMU-VOMCLLRMSA-N 0 0 289.335 2.992 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC2C[C@H](C)O[C@@H](C)C2)n1 ZINC000541007275 281596761 /nfs/dbraw/zinc/59/67/61/281596761.db2.gz SFPYDGSHEGWHCC-QWRGUYRKSA-N 0 0 279.340 2.975 20 5 CFBDRN CCc1cc(N[C@H](C)c2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000543876771 281658600 /nfs/dbraw/zinc/65/86/00/281658600.db2.gz CRHWHNDIMZXROJ-SNVBAGLBSA-N 0 0 286.335 2.851 20 5 CFBDRN C[C@@H](c1cnn(C)c1)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000544931370 281675228 /nfs/dbraw/zinc/67/52/28/281675228.db2.gz GVRMQSJWGBARMB-VIFPVBQESA-N 0 0 299.290 2.530 20 5 CFBDRN CC1(C)CC(CNC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000547729566 281751370 /nfs/dbraw/zinc/75/13/70/281751370.db2.gz LDJXADCZSAEQHX-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN COc1ccc(NC(=O)N2[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000547760454 281752410 /nfs/dbraw/zinc/75/24/10/281752410.db2.gz XZSAZPRUGPLNAR-IUCAKERBSA-N 0 0 279.296 2.618 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCO[C@]2(CCOC2)C1 ZINC000548288513 281776321 /nfs/dbraw/zinc/77/63/21/281776321.db2.gz ALLIMHIHLUDIMU-SWLSCSKDSA-N 0 0 292.335 2.653 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCC(C)(C)O2)n1 ZINC000548288389 281776731 /nfs/dbraw/zinc/77/67/31/281776731.db2.gz ZUMZHLOFFGVEDK-LLVKDONJSA-N 0 0 279.340 2.976 20 5 CFBDRN COC(C)(C)CN(C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000548744001 281798468 /nfs/dbraw/zinc/79/84/68/281798468.db2.gz BHYYXGPRNHOBNR-UHFFFAOYSA-N 0 0 299.302 2.623 20 5 CFBDRN CC(C)(C)[C@@H]1CCCC[C@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548928308 281807969 /nfs/dbraw/zinc/80/79/69/281807969.db2.gz UEKYMFABZQQIAB-GHMZBOCLSA-N 0 0 294.355 2.653 20 5 CFBDRN COC(C)(C)CN(C)c1cccc(C)c1[N+](=O)[O-] ZINC000549522975 281817360 /nfs/dbraw/zinc/81/73/60/281817360.db2.gz KHMIDUJCOABHMZ-UHFFFAOYSA-N 0 0 252.314 2.764 20 5 CFBDRN CN(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(C)(C)C ZINC000335520578 281870717 /nfs/dbraw/zinc/87/07/17/281870717.db2.gz IWIXQSQBXWPSGE-UHFFFAOYSA-N 0 0 275.308 2.947 20 5 CFBDRN CC(F)(F)CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000555206059 281909757 /nfs/dbraw/zinc/90/97/57/281909757.db2.gz UPZGANXFSJOEHD-UHFFFAOYSA-N 0 0 285.250 2.547 20 5 CFBDRN CC(C)[C@@H]1CCC[C@@H](NC(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000555290675 281913054 /nfs/dbraw/zinc/91/30/54/281913054.db2.gz SHUHAJAJSKDJJE-GHMZBOCLSA-N 0 0 294.355 2.653 20 5 CFBDRN Cc1nc(N2CCC(C(C)(C)C)CC2)ncc1[N+](=O)[O-] ZINC000297108712 281920194 /nfs/dbraw/zinc/92/01/94/281920194.db2.gz LQGYSXWGTZUSBB-UHFFFAOYSA-N 0 0 278.356 2.956 20 5 CFBDRN Cc1nc(N2C[C@@H](C)C[C@H]2c2cccnc2)ncc1[N+](=O)[O-] ZINC000450444631 281943224 /nfs/dbraw/zinc/94/32/24/281943224.db2.gz ZIEFUPZTKGNDJH-GWCFXTLKSA-N 0 0 299.334 2.676 20 5 CFBDRN O=C(CC1CC1)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000558152284 281977755 /nfs/dbraw/zinc/97/77/55/281977755.db2.gz OHZYORQPOPMNPH-UHFFFAOYSA-N 0 0 287.319 2.535 20 5 CFBDRN COc1cccc(N[C@@H]2CCC[C@@H]2F)c1[N+](=O)[O-] ZINC000559009090 281999565 /nfs/dbraw/zinc/99/95/65/281999565.db2.gz JUOGVGCJLMRDOD-DTWKUNHWSA-N 0 0 254.261 2.906 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC2CCC2)cc1 ZINC000562280079 282071163 /nfs/dbraw/zinc/07/11/63/282071163.db2.gz UFWLPAJILWJTIL-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1(c2cccnc2)CC1 ZINC000562307198 282073855 /nfs/dbraw/zinc/07/38/55/282073855.db2.gz RCOKQLSFSNSDFK-UHFFFAOYSA-N 0 0 283.287 2.660 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000354680933 288492420 /nfs/dbraw/zinc/49/24/20/288492420.db2.gz UVCCBKKLNZBELN-OPRDCNLKSA-N 0 0 266.297 2.694 20 5 CFBDRN Cc1ccnc(N2CCC=C(Br)C2)c1[N+](=O)[O-] ZINC000281771739 292769341 /nfs/dbraw/zinc/76/93/41/292769341.db2.gz ZQYVWAFWAMOPLU-UHFFFAOYSA-N 0 0 298.140 2.787 20 5 CFBDRN O=C(N[C@H]1C=CCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000158240589 289972281 /nfs/dbraw/zinc/97/22/81/289972281.db2.gz XKQOETZMCHCLJH-VIFPVBQESA-N 0 0 264.256 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)SCC1(CO)COC1 ZINC000305801518 290009938 /nfs/dbraw/zinc/00/99/38/290009938.db2.gz DYSDOVWPYKXPAR-NSHDSACASA-N 0 0 297.376 2.706 20 5 CFBDRN C[C@H]1C[C@@H](COc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000447299672 290032117 /nfs/dbraw/zinc/03/21/17/290032117.db2.gz SQCNZWNVKLKNIS-UWVGGRQHSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1ccnc(N2C[C@H]3CCCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000301549807 292782354 /nfs/dbraw/zinc/78/23/54/292782354.db2.gz LXQBPWNZZVZKLF-VXGBXAGGSA-N 0 0 261.325 2.925 20 5 CFBDRN C[C@H](NC(=O)NC1(CF)CCC1)c1ccccc1[N+](=O)[O-] ZINC000563049712 290098278 /nfs/dbraw/zinc/09/82/78/290098278.db2.gz ZSTZJUWNVDGTHV-JTQLQIEISA-N 0 0 295.314 2.847 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H]2CCC(=O)C2)n1 ZINC000563122422 290105581 /nfs/dbraw/zinc/10/55/81/290105581.db2.gz NIAQLTIKIJREGI-VIFPVBQESA-N 0 0 287.275 2.790 20 5 CFBDRN Cn1nc([N+](=O)[O-])cc1-c1nc(C2CCCCCC2)no1 ZINC000563146136 290108323 /nfs/dbraw/zinc/10/83/23/290108323.db2.gz DEIPCRKKMPBDKM-UHFFFAOYSA-N 0 0 291.311 2.816 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H](F)C1 ZINC000563330142 290132887 /nfs/dbraw/zinc/13/28/87/290132887.db2.gz XCJCYXBIWSSQSP-VIFPVBQESA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCC[C@H]3OCC[C@H]32)c1[N+](=O)[O-] ZINC000268411607 292788916 /nfs/dbraw/zinc/78/89/16/292788916.db2.gz TVDBVLPYHUMBAM-QJPTWQEYSA-N 0 0 277.324 2.668 20 5 CFBDRN CCCN(C(=O)Cc1ccccc1[N+](=O)[O-])C(C)C ZINC000563359384 290137897 /nfs/dbraw/zinc/13/78/97/290137897.db2.gz XYSZFYMJZVPBGH-UHFFFAOYSA-N 0 0 264.325 2.784 20 5 CFBDRN CCC[C@H]1CN(c2c(OC)cccc2[N+](=O)[O-])CCO1 ZINC000563427705 290149787 /nfs/dbraw/zinc/14/97/87/290149787.db2.gz NWOIZHLEUCERIH-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Cn1cc([N+](=O)[O-])ccc1=O ZINC000563441580 290152469 /nfs/dbraw/zinc/15/24/69/290152469.db2.gz YBWQAFDSNSKXJA-GHMZBOCLSA-N 0 0 250.298 2.583 20 5 CFBDRN COC(C)(C)CCn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000564086455 290191707 /nfs/dbraw/zinc/19/17/07/290191707.db2.gz ZHQOKOQRAJLOIS-UHFFFAOYSA-N 0 0 290.319 2.725 20 5 CFBDRN Cc1cc(N2CCC[C@@H]([C@@H](C)O)C2)c(F)cc1[N+](=O)[O-] ZINC000564637894 290219369 /nfs/dbraw/zinc/21/93/69/290219369.db2.gz FKUMYFZDVJVREH-GHMZBOCLSA-N 0 0 282.315 2.640 20 5 CFBDRN C[C@H](C1CC1)N(C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000564705492 290225018 /nfs/dbraw/zinc/22/50/18/290225018.db2.gz ZPKCPVBBPSXTDL-MRVPVSSYSA-N 0 0 260.297 2.706 20 5 CFBDRN CC[C@H](CSC)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000564721080 290226666 /nfs/dbraw/zinc/22/66/66/290226666.db2.gz OPGGXKDTUPGWBE-ZYHUDNBSSA-N 0 0 296.392 2.956 20 5 CFBDRN Cc1ccc(N[C@@H]2CCN(C(C)(C)C)C2=O)c([N+](=O)[O-])c1 ZINC000564903153 290247290 /nfs/dbraw/zinc/24/72/90/290247290.db2.gz IGEIWKVNWAVYRX-GFCCVEGCSA-N 0 0 291.351 2.715 20 5 CFBDRN C[C@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])c1csnn1 ZINC000565219635 290283938 /nfs/dbraw/zinc/28/39/38/290283938.db2.gz XKCICCPJKBRPEW-GZMMTYOYSA-N 0 0 290.348 2.784 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1oc(C)nc1C ZINC000565225211 290285182 /nfs/dbraw/zinc/28/51/82/290285182.db2.gz CEHPQHAOWCYXTP-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN CCc1ccc(OCc2cc(C(C)=O)no2)c([N+](=O)[O-])c1 ZINC000565400652 290298956 /nfs/dbraw/zinc/29/89/56/290298956.db2.gz NJLKKUSXDOOJLT-UHFFFAOYSA-N 0 0 290.275 2.927 20 5 CFBDRN Cc1nc(C)c(N2CCc3c(cccc3[N+](=O)[O-])C2)nc1C ZINC000565821205 290327416 /nfs/dbraw/zinc/32/74/16/290327416.db2.gz ZRVWOXLSRAWLDU-UHFFFAOYSA-N 0 0 298.346 2.873 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1nccs1 ZINC000565962955 290337856 /nfs/dbraw/zinc/33/78/56/290337856.db2.gz ZEDJVKPLBYXYON-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC[C@@H]2OCC[C@@H]2C1 ZINC000566176137 290356602 /nfs/dbraw/zinc/35/66/02/290356602.db2.gz GBWJMMZSHKBJHQ-RISCZKNCSA-N 0 0 296.754 2.859 20 5 CFBDRN CNC(=O)CCSCc1c(Cl)cccc1[N+](=O)[O-] ZINC000566323761 290370944 /nfs/dbraw/zinc/37/09/44/290370944.db2.gz NRALYEQGBNITEA-UHFFFAOYSA-N 0 0 288.756 2.618 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CC[C@@H]1CCCO1 ZINC000566484682 290393828 /nfs/dbraw/zinc/39/38/28/290393828.db2.gz QQTVHECJMFMNHR-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cc(C(=O)NC2(C3CC3)CC2)cc([N+](=O)[O-])c1C ZINC000566890183 290424299 /nfs/dbraw/zinc/42/42/99/290424299.db2.gz ZYULZXDILBTNFL-UHFFFAOYSA-N 0 0 290.319 2.584 20 5 CFBDRN CCC[C@H](CCO)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000567291279 290452871 /nfs/dbraw/zinc/45/28/71/290452871.db2.gz WJHDGLNZJNOJCT-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCO[C@@H](C(C)(C)C)C1 ZINC000567487694 290467838 /nfs/dbraw/zinc/46/78/38/290467838.db2.gz XOJZBIKGQVWUFH-CYBMUJFWSA-N 0 0 294.351 2.855 20 5 CFBDRN C[C@H]1CCC[C@@H](CCn2cccc([N+](=O)[O-])c2=O)C1 ZINC000330431387 290470468 /nfs/dbraw/zinc/47/04/68/290470468.db2.gz IWLDUNXJMYHYJA-RYUDHWBXSA-N 0 0 264.325 2.973 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@H]1CCC[C@@H](F)C1 ZINC000567685664 290484590 /nfs/dbraw/zinc/48/45/90/290484590.db2.gz HRFJFGFSDKMKTM-NWDGAFQWSA-N 0 0 280.299 2.739 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000568091255 290523415 /nfs/dbraw/zinc/52/34/15/290523415.db2.gz PTVPYXLWXACLGX-MNOVXSKESA-N 0 0 296.298 2.614 20 5 CFBDRN C[C@@H]1CN(Cc2c(Cl)cccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000494188251 297029071 /nfs/dbraw/zinc/02/90/71/297029071.db2.gz IJEJTMIIQADLOD-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000301092273 297078694 /nfs/dbraw/zinc/07/86/94/297078694.db2.gz MPAOPBGEUZKXBS-DTWKUNHWSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@]1(C)C[C@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000301535481 294859186 /nfs/dbraw/zinc/85/91/86/294859186.db2.gz AFBMQIJITVNRPT-SWLSCSKDSA-N 0 0 293.367 2.938 20 5 CFBDRN COc1cc(N2CCC=C(C)C2)c([N+](=O)[O-])cc1F ZINC000280688099 294994103 /nfs/dbraw/zinc/99/41/03/294994103.db2.gz FHLPLKQPBMURRG-UHFFFAOYSA-N 0 0 266.272 2.899 20 5 CFBDRN COc1cccc(C(=O)N2CCC[C@](C)(F)C2)c1[N+](=O)[O-] ZINC000452209831 295284609 /nfs/dbraw/zinc/28/46/09/295284609.db2.gz SWDVXROGDHPUPX-AWEZNQCLSA-N 0 0 296.298 2.568 20 5 CFBDRN COc1cccc(N2CCC(C)CC2)c1[N+](=O)[O-] ZINC000185085115 295304430 /nfs/dbraw/zinc/30/44/30/295304430.db2.gz DZFYGXTWVKRDLQ-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN COc1cccc(N2CCC[C@]3(CCOC3)C2)c1[N+](=O)[O-] ZINC000450882674 295305259 /nfs/dbraw/zinc/30/52/59/295305259.db2.gz OEBKNXWTJCLGIL-HNNXBMFYSA-N 0 0 292.335 2.610 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC(c3ccccn3)CC2)c1 ZINC000375703351 295355598 /nfs/dbraw/zinc/35/55/98/295355598.db2.gz GUCVZRLFTXPFGU-UHFFFAOYSA-N 0 0 298.346 2.956 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1C[C@H]2CCCC[C@H]21 ZINC000368320972 295553276 /nfs/dbraw/zinc/55/32/76/295553276.db2.gz HEZFUEFYSJCCSY-TZMCWYRMSA-N 0 0 274.320 2.538 20 5 CFBDRN C[C@H]1CN(C(=O)c2c(N)cccc2[N+](=O)[O-])c2ccccc21 ZINC000180661351 300183099 /nfs/dbraw/zinc/18/30/99/300183099.db2.gz PCBGDSUPVAUACD-JTQLQIEISA-N 0 0 297.314 2.941 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])[C@H]1C ZINC000294228260 300197438 /nfs/dbraw/zinc/19/74/38/300197438.db2.gz RQABUYWENPAPCG-YUMQZZPRSA-N 0 0 268.700 2.729 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000336351618 300201172 /nfs/dbraw/zinc/20/11/72/300201172.db2.gz NMSOZMQYLSBQKM-UWVGGRQHSA-N 0 0 280.349 2.561 20 5 CFBDRN C[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000288644028 300295223 /nfs/dbraw/zinc/29/52/23/300295223.db2.gz ZHCSQXJCOQGROS-VIFPVBQESA-N 0 0 268.288 2.738 20 5 CFBDRN C[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000287856440 300295274 /nfs/dbraw/zinc/29/52/74/300295274.db2.gz SHWNGOTWFIAHIG-ZANVPECISA-N 0 0 280.299 2.738 20 5 CFBDRN C[C@H]1CN(c2cc(N)ccc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000292236273 300296334 /nfs/dbraw/zinc/29/63/34/300296334.db2.gz JDLGRQBPEXENAS-NSHDSACASA-N 0 0 299.330 2.965 20 5 CFBDRN C[C@H]1CN(c2ccc(C(N)=O)cc2[N+](=O)[O-])CC(C)(C)C1 ZINC000343431084 300297219 /nfs/dbraw/zinc/29/72/19/300297219.db2.gz JNDWPIVMUKQQRE-SNVBAGLBSA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@H]1COC(C)(C)CN1c1ccc(F)cc1[N+](=O)[O-] ZINC000408360692 300335548 /nfs/dbraw/zinc/33/55/48/300335548.db2.gz XQDJWILATZBBQN-VIFPVBQESA-N 0 0 268.288 2.738 20 5 CFBDRN C[C@H]1C[C@H](c2cccnc2)N(c2ncccc2[N+](=O)[O-])C1 ZINC000302144705 300533181 /nfs/dbraw/zinc/53/31/81/300533181.db2.gz QOOXRZUVSHRQPN-SMDDNHRTSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@H]1SCCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H]1C ZINC000153801547 300658634 /nfs/dbraw/zinc/65/86/34/300658634.db2.gz GRIDSJPJABVZJR-HTQZYQBOSA-N 0 0 287.772 2.973 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCS[C@@H](C)[C@@H]2C)n1 ZINC000408172134 301204857 /nfs/dbraw/zinc/20/48/57/301204857.db2.gz SCBORRFWSJKAKW-QWRGUYRKSA-N 0 0 281.381 2.937 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCOC[C@@H](C2CCC2)C1 ZINC000292453491 301432952 /nfs/dbraw/zinc/43/29/52/301432952.db2.gz ZIQXZYNSXFLHQD-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCS[C@@H](C)CC2)c1 ZINC000335214932 301848856 /nfs/dbraw/zinc/84/88/56/301848856.db2.gz LAAQDUKJWXSJKS-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]([C@H]3CCOC3)C2)n1 ZINC000439088528 301852960 /nfs/dbraw/zinc/85/29/60/301852960.db2.gz TTYRCVNHOBJPRY-STQMWFEESA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC3(CCCCC3)C2)n1 ZINC000268826014 301853836 /nfs/dbraw/zinc/85/38/36/301853836.db2.gz QXQINYARPGCIBY-UHFFFAOYSA-N 0 0 291.351 2.838 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCSC[C@@H]2C)c1 ZINC000176497983 301854634 /nfs/dbraw/zinc/85/46/34/301854634.db2.gz WSTKZDGURQFTBX-JTQLQIEISA-N 0 0 252.339 2.845 20 5 CFBDRN Cc1cccc(C(=O)N2CCSCC[C@H]2C)c1[N+](=O)[O-] ZINC000192354952 301950877 /nfs/dbraw/zinc/95/08/77/301950877.db2.gz BZWNYYPGMCMAMG-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1cccc(N2CCC[C@@H]([C@H](C)O)C2)c1[N+](=O)[O-] ZINC000302463335 301998303 /nfs/dbraw/zinc/99/83/03/301998303.db2.gz ARQIEBUZGWDJGF-NWDGAFQWSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H](C)OC(C)(C)C1 ZINC000194491188 302038683 /nfs/dbraw/zinc/03/86/83/302038683.db2.gz YAOVVFHNUJIZSF-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cnc(N2CCCO[C@@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000293930626 302348805 /nfs/dbraw/zinc/34/88/05/302348805.db2.gz FIDQINMEBZAEDB-CYBMUJFWSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1cnc(N2CCC[C@@H]([C@H]3CCOC3)C2)c([N+](=O)[O-])c1 ZINC000439083241 302349386 /nfs/dbraw/zinc/34/93/86/302349386.db2.gz GQZYHAOWNNINGS-OLZOCXBDSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cnc(N2CCOC[C@H](C3CCC3)C2)c([N+](=O)[O-])c1 ZINC000292414724 302351343 /nfs/dbraw/zinc/35/13/43/302351343.db2.gz VYIFSHLZWSEFQX-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC1(Cc2ccccc2)CCC1 ZINC000362672402 303021417 /nfs/dbraw/zinc/02/14/17/303021417.db2.gz NBFIWXCUFZTQQT-UHFFFAOYSA-N 0 0 286.335 2.906 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCCCC[C@H]1CCO ZINC000343059742 304800439 /nfs/dbraw/zinc/80/04/39/304800439.db2.gz HOZXJFAJZXJCPQ-LBPRGKRZSA-N 0 0 282.315 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@]23CCOC3)c2ccncc21 ZINC000376421033 304803243 /nfs/dbraw/zinc/80/32/43/304803243.db2.gz NNLZKHNEZKKQIS-MRXNPFEDSA-N 0 0 299.330 2.902 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@H]1c1cccnc1 ZINC000340157651 304812744 /nfs/dbraw/zinc/81/27/44/304812744.db2.gz ILPBCVMENKEQSU-LBPRGKRZSA-N 0 0 270.292 2.726 20 5 CFBDRN CC(C)c1ccccc1NC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000159595638 322325072 /nfs/dbraw/zinc/32/50/72/322325072.db2.gz DFIMGWFDEKRSEZ-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CCCCN(CC)C(=O)CSc1ccc([N+](=O)[O-])cn1 ZINC000159633263 322325591 /nfs/dbraw/zinc/32/55/91/322325591.db2.gz MAAJRVVKKJUMRD-UHFFFAOYSA-N 0 0 297.380 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2cn3ccccc3n2)nc1 ZINC000159659997 322326353 /nfs/dbraw/zinc/32/63/53/322326353.db2.gz QITVZUPIKXLBPF-UHFFFAOYSA-N 0 0 286.316 2.930 20 5 CFBDRN CCC(C)(C)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159706511 322326879 /nfs/dbraw/zinc/32/68/79/322326879.db2.gz KFIIFESZCVXESX-VIFPVBQESA-N 0 0 297.380 2.775 20 5 CFBDRN O=C([C@@H]1CC=CCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161219431 322349301 /nfs/dbraw/zinc/34/93/01/322349301.db2.gz OAGINCZQYLEFTF-GFCCVEGCSA-N 0 0 286.331 2.836 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCC1CC1 ZINC000161716412 322355789 /nfs/dbraw/zinc/35/57/89/322355789.db2.gz UYZQLULFBIORHU-UHFFFAOYSA-N 0 0 252.318 2.722 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000161702591 322355992 /nfs/dbraw/zinc/35/59/92/322355992.db2.gz ZCKIPUZCKJGQGS-QWRGUYRKSA-N 0 0 262.309 2.537 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1[C@H](C)CC[C@H]1C ZINC000161734126 322356339 /nfs/dbraw/zinc/35/63/39/322356339.db2.gz ZGVWXLLNNGGSDH-NXEZZACHSA-N 0 0 278.308 2.616 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000161949273 322358859 /nfs/dbraw/zinc/35/88/59/322358859.db2.gz YNWLCYROZATZIE-WDEREUQCSA-N 0 0 291.351 2.755 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ncnc2ncccc21 ZINC000163518330 322376402 /nfs/dbraw/zinc/37/64/02/322376402.db2.gz UXPRVYJPLPEDGH-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN Cc1ncccc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000170987623 322397785 /nfs/dbraw/zinc/39/77/85/322397785.db2.gz QADMKCOXHOEDGI-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN COc1ccc(OCc2cccc(OC)n2)c([N+](=O)[O-])c1 ZINC000172318889 322422915 /nfs/dbraw/zinc/42/29/15/322422915.db2.gz LRCZBEZJTPKSBE-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN CCc1ccc(C(=O)N(C)c2nccs2)cc1[N+](=O)[O-] ZINC000173300334 322432845 /nfs/dbraw/zinc/43/28/45/322432845.db2.gz LVPKOJYSHCHLFK-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN C[C@H]1C[C@@H](Nc2nccc3ccc([N+](=O)[O-])cc32)CO1 ZINC000569600771 322484476 /nfs/dbraw/zinc/48/44/76/322484476.db2.gz ZEGUVQHQHVZEFY-GXSJLCMTSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1noc([C@H](C)N(C)Cc2csc([N+](=O)[O-])c2)n1 ZINC000569862875 322501476 /nfs/dbraw/zinc/50/14/76/322501476.db2.gz KTCPOMHFGCCLCT-ZETCQYMHSA-N 0 0 282.325 2.541 20 5 CFBDRN COc1cncc(/C=C\CCn2c(C)ncc2[N+](=O)[O-])c1 ZINC000570212847 322519732 /nfs/dbraw/zinc/51/97/32/322519732.db2.gz XLXWFUXERVLQFR-HYXAFXHYSA-N 0 0 288.307 2.607 20 5 CFBDRN CSCCCCNc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000570225979 322521461 /nfs/dbraw/zinc/52/14/61/322521461.db2.gz FWSHRNUPKVNEHZ-UHFFFAOYSA-N 0 0 299.396 2.754 20 5 CFBDRN CCc1nn(C)c(Sc2nc(C)c(C)o2)c1[N+](=O)[O-] ZINC000570846147 322555496 /nfs/dbraw/zinc/55/54/96/322555496.db2.gz RIYZIMWTGHZIGS-UHFFFAOYSA-N 0 0 282.325 2.647 20 5 CFBDRN Cc1c(CC(=O)NC2(C3(C)CC3)CC2)cccc1[N+](=O)[O-] ZINC000571259790 322576717 /nfs/dbraw/zinc/57/67/17/322576717.db2.gz YKJHHNWDGICGSQ-UHFFFAOYSA-N 0 0 288.347 2.895 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1S[C@@H]1CCOC1)CCCC2 ZINC000571320204 322579060 /nfs/dbraw/zinc/57/90/60/322579060.db2.gz JFERNJJRXJCYFG-SNVBAGLBSA-N 0 0 280.349 2.750 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H](O)CC12CCC2 ZINC000572748256 322630645 /nfs/dbraw/zinc/63/06/45/322630645.db2.gz XXAGTOQEBCJSKL-LLVKDONJSA-N 0 0 280.299 2.618 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]1C(C)C ZINC000572963452 322638953 /nfs/dbraw/zinc/63/89/53/322638953.db2.gz CRVUOFIQFZTEGQ-WDEREUQCSA-N 0 0 277.324 2.867 20 5 CFBDRN Cc1nc(N2CC(C)(C)CC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000573654388 322663902 /nfs/dbraw/zinc/66/39/02/322663902.db2.gz IDOOADLZNICVPB-UHFFFAOYSA-N 0 0 278.356 2.956 20 5 CFBDRN COCC1(CCNc2ccccc2[N+](=O)[O-])CC1 ZINC000574689064 322702062 /nfs/dbraw/zinc/70/20/62/322702062.db2.gz ZVMAPARWEYMQNE-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN CC(C)(C)CCn1nc(-c2ccccc2[N+](=O)[O-])oc1=O ZINC000575399914 322725543 /nfs/dbraw/zinc/72/55/43/322725543.db2.gz AGNZRDOEIUQQJQ-UHFFFAOYSA-N 0 0 291.307 2.848 20 5 CFBDRN CC[C@H](C)C[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)C(=O)OC ZINC000575746611 322727777 /nfs/dbraw/zinc/72/77/77/322727777.db2.gz YCWAGTIAWCQGFG-ONGXEEELSA-N 0 0 295.339 2.688 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1cc(F)ccc1O ZINC000174875506 323655751 /nfs/dbraw/zinc/65/57/51/323655751.db2.gz IEELNIBJZVTERZ-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000175973439 323671224 /nfs/dbraw/zinc/67/12/24/323671224.db2.gz DFVIFLNGCYEXQX-VXGBXAGGSA-N 0 0 291.351 2.925 20 5 CFBDRN COC[C@H](NCc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000177573568 323699044 /nfs/dbraw/zinc/69/90/44/323699044.db2.gz HYZIAOTVINZGFL-ZDUSSCGKSA-N 0 0 276.292 2.665 20 5 CFBDRN O=C(CN1CCc2c1cccc2[N+](=O)[O-])Nc1ccccc1 ZINC000178947979 323727636 /nfs/dbraw/zinc/72/76/36/323727636.db2.gz IXUUZPPXKRODPO-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN COc1ncccc1COc1cccc(C)c1[N+](=O)[O-] ZINC000178987327 323728837 /nfs/dbraw/zinc/72/88/37/323728837.db2.gz JVNIFURUZMUYGH-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CN(CCn1ccc([N+](=O)[O-])n1)c1ccc(F)c(Cl)c1 ZINC000181514937 323814460 /nfs/dbraw/zinc/81/44/60/323814460.db2.gz APXGLERTGNVURC-UHFFFAOYSA-N 0 0 298.705 2.720 20 5 CFBDRN CC(C)CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182208869 323846972 /nfs/dbraw/zinc/84/69/72/323846972.db2.gz WSYVZAUUSURECM-VIFPVBQESA-N 0 0 268.288 2.610 20 5 CFBDRN CC(C)CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182208883 323847159 /nfs/dbraw/zinc/84/71/59/323847159.db2.gz WSYVZAUUSURECM-SECBINFHSA-N 0 0 268.288 2.610 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)NCC1CCC1 ZINC000182340588 323858308 /nfs/dbraw/zinc/85/83/08/323858308.db2.gz UMUWBBPQJAJTMJ-UHFFFAOYSA-N 0 0 291.351 2.540 20 5 CFBDRN Cc1ccc(F)cc1Cn1cc([N+](=O)[O-])c(C)cc1=O ZINC000188224044 324010935 /nfs/dbraw/zinc/01/09/35/324010935.db2.gz RDVMJFUDNRBWSB-UHFFFAOYSA-N 0 0 276.267 2.561 20 5 CFBDRN Cc1cc(=O)n(Cc2ccc(F)c(Cl)c2)cc1[N+](=O)[O-] ZINC000189069358 324024893 /nfs/dbraw/zinc/02/48/93/324024893.db2.gz JLSLJWOLNDPSIS-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cccc(Cl)c2F)cn1 ZINC000191819326 324054778 /nfs/dbraw/zinc/05/47/78/324054778.db2.gz COKQEKSFCIJNOL-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN Cc1cc(OC[C@H](O)Cc2ccccc2)ccc1[N+](=O)[O-] ZINC000192481864 324060544 /nfs/dbraw/zinc/06/05/44/324060544.db2.gz QHRINOKSFDVCRU-CQSZACIVSA-N 0 0 287.315 2.886 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)Cc1ccco1 ZINC000192911671 324067150 /nfs/dbraw/zinc/06/71/50/324067150.db2.gz MKEAAZNHUTTWCG-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN COCCO[C@@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000193564646 324074243 /nfs/dbraw/zinc/07/42/43/324074243.db2.gz SJJFJNCZYGDUMX-CYBMUJFWSA-N 0 0 294.351 2.535 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1CCSCC1 ZINC000195443952 324106109 /nfs/dbraw/zinc/10/61/09/324106109.db2.gz VUXQYBJQKSEXFX-UHFFFAOYSA-N 0 0 295.364 2.920 20 5 CFBDRN CC(C)N(Cc1nccn1C)Cc1ccc([N+](=O)[O-])cc1 ZINC000195515183 324108477 /nfs/dbraw/zinc/10/84/77/324108477.db2.gz QESACOZBRHLEKN-UHFFFAOYSA-N 0 0 288.351 2.739 20 5 CFBDRN COc1c(-c2nc([C@@H]3C[C@H]3C)no2)cccc1[N+](=O)[O-] ZINC000350143264 324202543 /nfs/dbraw/zinc/20/25/43/324202543.db2.gz NVGCOLYEFDTEKH-VXNVDRBHSA-N 0 0 275.264 2.777 20 5 CFBDRN C[C@H]1COCC[C@H]1c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000350609086 324245070 /nfs/dbraw/zinc/24/50/70/324245070.db2.gz JNEKRZCGSFMWHJ-JGVFFNPUSA-N 0 0 295.320 2.846 20 5 CFBDRN C[C@@H]1OCC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350841124 324266095 /nfs/dbraw/zinc/26/60/95/324266095.db2.gz WEJMRTAULUJYQN-BQBZGAKWSA-N 0 0 281.293 2.599 20 5 CFBDRN Cc1ccc(-c2nc([C@@H]3CCCOC3)no2)cc1[N+](=O)[O-] ZINC000350849090 324267903 /nfs/dbraw/zinc/26/79/03/324267903.db2.gz JHPZYUFIPULFRM-LLVKDONJSA-N 0 0 289.291 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCCOC3)no2)cc1 ZINC000350860846 324271681 /nfs/dbraw/zinc/27/16/81/324271681.db2.gz JYDZROPSYSENNA-SNVBAGLBSA-N 0 0 275.264 2.539 20 5 CFBDRN Cn1cncc1-c1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1 ZINC000350883707 324277735 /nfs/dbraw/zinc/27/77/35/324277735.db2.gz RQIIPBRXWCAQDK-QPJJXVBHSA-N 0 0 297.274 2.549 20 5 CFBDRN C/C(=C/c1nc(-c2c([N+](=O)[O-])ncn2C)no1)C(C)(C)C ZINC000350886568 324277809 /nfs/dbraw/zinc/27/78/09/324277809.db2.gz FZMWIIQGQNCODM-VURMDHGXSA-N 0 0 291.311 2.828 20 5 CFBDRN CCC[C@H](C)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350921468 324283717 /nfs/dbraw/zinc/28/37/17/324283717.db2.gz DPXMCSSSZRKSGB-ZETCQYMHSA-N 0 0 279.300 2.590 20 5 CFBDRN Cc1ocnc1-c1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000351015950 324295606 /nfs/dbraw/zinc/29/56/06/324295606.db2.gz GWRXTKAKAUTOAA-UHFFFAOYSA-N 0 0 290.210 2.747 20 5 CFBDRN Cc1ocnc1-c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000351070727 324303635 /nfs/dbraw/zinc/30/36/35/324303635.db2.gz RSCWZUYJQXGLGV-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CO[C@](C)(c1nc(-c2ccc([N+](=O)[O-])o2)no1)C1CC1 ZINC000351144759 324326528 /nfs/dbraw/zinc/32/65/28/324326528.db2.gz ILWDDHKNJGKDLM-LBPRGKRZSA-N 0 0 279.252 2.509 20 5 CFBDRN CCO[C@H](Cc1nc(-c2ccc([N+](=O)[O-])o2)no1)C(C)C ZINC000351143755 324327134 /nfs/dbraw/zinc/32/71/34/324327134.db2.gz GPVGGPINRAKNIN-SNVBAGLBSA-N 0 0 295.295 2.841 20 5 CFBDRN Cc1c(-c2noc([C@@H]3CO[C@H](C)C3)n2)cccc1[N+](=O)[O-] ZINC000351146854 324327513 /nfs/dbraw/zinc/32/75/13/324327513.db2.gz NNNHRTRQVWHIST-SCZZXKLOSA-N 0 0 289.291 2.846 20 5 CFBDRN C[C@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCO1 ZINC000351146813 324327543 /nfs/dbraw/zinc/32/75/43/324327543.db2.gz NIVLMFPMPOLNNP-YUMQZZPRSA-N 0 0 279.252 2.520 20 5 CFBDRN CC[C@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCO1 ZINC000351147778 324328368 /nfs/dbraw/zinc/32/83/68/324328368.db2.gz ORYWABDLYQTJGN-BDAKNGLRSA-N 0 0 293.279 2.910 20 5 CFBDRN Cc1c(-c2noc([C@@H]3CCCO3)n2)cccc1[N+](=O)[O-] ZINC000351150046 324329149 /nfs/dbraw/zinc/32/91/49/324329149.db2.gz SBSDNNXFQUOTLQ-NSHDSACASA-N 0 0 275.264 2.805 20 5 CFBDRN Cc1cc(-c2noc(COCC3CC3)n2)cc([N+](=O)[O-])c1 ZINC000351149340 324329260 /nfs/dbraw/zinc/32/92/60/324329260.db2.gz RNIHMYIQFLKGPS-UHFFFAOYSA-N 0 0 289.291 2.880 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351153387 324330698 /nfs/dbraw/zinc/33/06/98/324330698.db2.gz YGJQCJSMQLIJQC-PJKMHFRUSA-N 0 0 279.252 2.724 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(C2(C)CC2)no1 ZINC000351177158 324339602 /nfs/dbraw/zinc/33/96/02/324339602.db2.gz RXTHQXDXJFOTHE-UHFFFAOYSA-N 0 0 275.264 2.705 20 5 CFBDRN COCC(C)(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000351188515 324342197 /nfs/dbraw/zinc/34/21/97/324342197.db2.gz TVGWHZNXVDOPCO-UHFFFAOYSA-N 0 0 291.307 2.860 20 5 CFBDRN O=C1C[C@H]2C[C@@H]1[C@H](c1nc(-c3cccc([N+](=O)[O-])c3)no1)C2 ZINC000351189297 324342315 /nfs/dbraw/zinc/34/23/15/324342315.db2.gz UILOJVBCJHYQHD-XXILOJSOSA-N 0 0 299.286 2.727 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C[C@@H]1F ZINC000351288370 324371856 /nfs/dbraw/zinc/37/18/56/324371856.db2.gz HWQQIPBDIVHYNX-JOYOIKCWSA-N 0 0 263.228 2.644 20 5 CFBDRN O=C1CC[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000351362504 324391442 /nfs/dbraw/zinc/39/14/42/324391442.db2.gz GLBQDTDSWXRJEK-LURJTMIESA-N 0 0 279.277 2.543 20 5 CFBDRN CC(C)(CO)CCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000385829218 324468772 /nfs/dbraw/zinc/46/87/72/324468772.db2.gz SYIJKQLDRFWNED-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CC12CCOCC2 ZINC000386898225 324483949 /nfs/dbraw/zinc/48/39/49/324483949.db2.gz LITIQOCGRUDZNH-ZDUSSCGKSA-N 0 0 262.309 2.884 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCCc3cnccn3)ccnc21 ZINC000389991925 324522629 /nfs/dbraw/zinc/52/26/29/324522629.db2.gz GHGXFWAQXXTLHV-UHFFFAOYSA-N 0 0 295.302 2.588 20 5 CFBDRN Cc1nc(N2CCC[C@H]2[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000576868910 324668701 /nfs/dbraw/zinc/66/87/01/324668701.db2.gz PMCWTVFIMXVJMC-JSGCOSHPSA-N 0 0 291.351 2.694 20 5 CFBDRN COC[C@@H](NC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C(C)C ZINC000576973527 324681914 /nfs/dbraw/zinc/68/19/14/324681914.db2.gz UOYZHRHIRCIGDE-CYBMUJFWSA-N 0 0 294.351 2.612 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCCS1 ZINC000579374161 324945730 /nfs/dbraw/zinc/94/57/30/324945730.db2.gz MOSGOZDNXJGLSZ-VIFPVBQESA-N 0 0 268.338 2.911 20 5 CFBDRN Cc1nc(N2C[C@@H](C)CC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000579435922 324949689 /nfs/dbraw/zinc/94/96/89/324949689.db2.gz VCHIAJARVJYOHJ-VIFPVBQESA-N 0 0 264.329 2.566 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCCn1cccc1)CCCC2 ZINC000579826657 324983009 /nfs/dbraw/zinc/98/30/09/324983009.db2.gz JXYRHXLLEBZWFC-UHFFFAOYSA-N 0 0 286.335 2.782 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000580047102 325001729 /nfs/dbraw/zinc/00/17/29/325001729.db2.gz XJTLFPRISYWBPF-TXFQPVFDSA-N 0 0 274.320 2.708 20 5 CFBDRN COCC1(CCNc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000580426732 325033993 /nfs/dbraw/zinc/03/39/93/325033993.db2.gz POCJPOATGCAPQB-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2NC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000580433887 325035170 /nfs/dbraw/zinc/03/51/70/325035170.db2.gz ZXNMPKJOHZKDKW-DZGCQCFKSA-N 0 0 297.314 2.584 20 5 CFBDRN COc1ncccc1CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000581055639 325085843 /nfs/dbraw/zinc/08/58/43/325085843.db2.gz KVAMXPDXRKERNC-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN C[C@H](C(=O)NC1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000581921467 325158961 /nfs/dbraw/zinc/15/89/61/325158961.db2.gz QKNXUYWMXXPOEF-QMMMGPOBSA-N 0 0 284.262 2.612 20 5 CFBDRN COc1ccc(CSCc2cccc([N+](=O)[O-])c2)nn1 ZINC000582109576 325177180 /nfs/dbraw/zinc/17/71/80/325177180.db2.gz KPJXUYDDAANXJE-UHFFFAOYSA-N 0 0 291.332 2.827 20 5 CFBDRN Cc1cccc(N2CCO[C@@]3(CCSC3)C2)c1[N+](=O)[O-] ZINC000582178752 325183446 /nfs/dbraw/zinc/18/34/46/325183446.db2.gz RATWVLLGQMMCNP-AWEZNQCLSA-N 0 0 294.376 2.616 20 5 CFBDRN CC(C)C[C@H](C(=O)[O-])[N@H+](C)CCc1ccccc1[N+](=O)[O-] ZINC000582253145 325190364 /nfs/dbraw/zinc/19/03/64/325190364.db2.gz KNZZZSQHILPNIB-CQSZACIVSA-N 0 0 294.351 2.568 20 5 CFBDRN CCCN(C[C@@H]1CCCCO1)c1ncc([N+](=O)[O-])cc1F ZINC000582891793 325242914 /nfs/dbraw/zinc/24/29/14/325242914.db2.gz UNRPXUZQMFCKKE-LBPRGKRZSA-N 0 0 297.330 2.914 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)C(C)C ZINC000582911041 325245281 /nfs/dbraw/zinc/24/52/81/325245281.db2.gz NLDLHSZZMAOZQZ-VIFPVBQESA-N 0 0 265.313 2.867 20 5 CFBDRN CCCN(C(=O)COc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000582973271 325249832 /nfs/dbraw/zinc/24/98/32/325249832.db2.gz UBOFGTGPQVRDCA-UHFFFAOYSA-N 0 0 294.351 2.929 20 5 CFBDRN Cc1noc(C)c1COc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000582976817 325250387 /nfs/dbraw/zinc/25/03/87/325250387.db2.gz USCCPPGGQGJRNY-UHFFFAOYSA-N 0 0 277.280 2.790 20 5 CFBDRN COCC1(CC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000583264016 325272987 /nfs/dbraw/zinc/27/29/87/325272987.db2.gz CKTWDMDTJZXKSV-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1cnc(N(CC[NH+](C)C)C2CCCC2)c([N+](=O)[O-])c1 ZINC000583364310 325281194 /nfs/dbraw/zinc/28/11/94/325281194.db2.gz RODBKRHKOZSZSU-UHFFFAOYSA-N 0 0 292.383 2.609 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])cc(Cl)c2ncn1CCCCF ZINC000583692935 325304158 /nfs/dbraw/zinc/30/41/58/325304158.db2.gz OODPCFOLLIVKET-UHFFFAOYSA-N 0 0 299.689 2.708 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000584004492 325324647 /nfs/dbraw/zinc/32/46/47/325324647.db2.gz MJJOYDLFAVFHTA-CYBMUJFWSA-N 0 0 283.303 2.715 20 5 CFBDRN C[C@@H](C(=O)N1CCC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000584087933 325329689 /nfs/dbraw/zinc/32/96/89/325329689.db2.gz XVKNBPCCIGNUHB-LLVKDONJSA-N 0 0 274.320 2.853 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccon1 ZINC000584387884 329317683 /nfs/dbraw/zinc/31/76/83/329317683.db2.gz ZQGXWTIIKGZLMX-VHSXEESVSA-N 0 0 289.291 2.564 20 5 CFBDRN COc1ccc(CNc2nc(C(C)C)no2)cc1[N+](=O)[O-] ZINC000172581362 533709942 /nfs/dbraw/zinc/70/99/42/533709942.db2.gz IQZZNNUNWHFIHS-UHFFFAOYSA-N 0 0 292.295 2.722 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2C[C@H]2CC)cc1[N+](=O)[O-] ZINC000227035134 333274425 /nfs/dbraw/zinc/27/44/25/333274425.db2.gz GXNCJCICESOVQH-BXKDBHETSA-N 0 0 277.324 2.555 20 5 CFBDRN C[C@H]1COC[C@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000829437547 782130487 /nfs/dbraw/zinc/13/04/87/782130487.db2.gz ICXVSQAFFNUUEN-TVQRCGJNSA-N 0 0 273.292 2.590 20 5 CFBDRN CC(C)CC[C@@H](O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000360676682 519684628 /nfs/dbraw/zinc/68/46/28/519684628.db2.gz QXCJJKWKPIAMFS-GFCCVEGCSA-N 0 0 271.288 2.910 20 5 CFBDRN CCCNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000338499668 519897426 /nfs/dbraw/zinc/89/74/26/519897426.db2.gz YFCRCRLUDULIJA-UHFFFAOYSA-N 0 0 276.214 2.753 20 5 CFBDRN CCCCN(CC)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000067544175 520470916 /nfs/dbraw/zinc/47/09/16/520470916.db2.gz XWUXRZATAFLDHC-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN Cc1c(CC(=O)N2C[C@@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000336530393 500656146 /nfs/dbraw/zinc/65/61/46/500656146.db2.gz PPZLDOKYFLJYDB-WDEREUQCSA-N 0 0 276.336 2.703 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@H](C(N)=O)CC2)ccc1[N+](=O)[O-] ZINC000420609957 500963392 /nfs/dbraw/zinc/96/33/92/500963392.db2.gz LOGVDOJOOQDCPK-HAQNSBGRSA-N 0 0 291.351 2.607 20 5 CFBDRN COc1ccc(COc2c(C)c(C)nn2C)cc1[N+](=O)[O-] ZINC000418985021 533727742 /nfs/dbraw/zinc/72/77/42/533727742.db2.gz FNLNRJIBSMBCIW-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413149376 533726543 /nfs/dbraw/zinc/72/65/43/533726543.db2.gz BKLFIQUCAMVQOK-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN COc1cccc2c1C[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)C2 ZINC000413430491 533893197 /nfs/dbraw/zinc/89/31/97/533893197.db2.gz UKGHDBMTSBTIOO-GFCCVEGCSA-N 0 0 299.330 2.886 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000178146472 533910799 /nfs/dbraw/zinc/91/07/99/533910799.db2.gz QFAVYAAYLGRTJY-LASXONHFSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccc(CN2CC(CC(F)F)C2)cc1[N+](=O)[O-] ZINC000425350034 533915295 /nfs/dbraw/zinc/91/52/95/533915295.db2.gz DVEOMOBSHWWDEJ-UHFFFAOYSA-N 0 0 270.279 2.990 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(N)c2F)CS1 ZINC000413523925 533969511 /nfs/dbraw/zinc/96/95/11/533969511.db2.gz UYENYFAWNMGVHG-RQJHMYQMSA-N 0 0 271.317 2.622 20 5 CFBDRN COc1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1 ZINC000158298194 534171900 /nfs/dbraw/zinc/17/19/00/534171900.db2.gz ZPOMNFVSZOIZCN-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1ccc([C@H](C)NCc2ccc(CO)o2)cc1[N+](=O)[O-] ZINC000175059055 534226477 /nfs/dbraw/zinc/22/64/77/534226477.db2.gz NNGSSOMYNAPSDU-NSHDSACASA-N 0 0 290.319 2.839 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411399816 534300214 /nfs/dbraw/zinc/30/02/14/534300214.db2.gz MMYHYWQNSIMHMU-WKPIXPDZSA-N 0 0 288.347 2.955 20 5 CFBDRN COC(=O)c1cnc(N[C@@H]2C[C@@]2(C)C(C)C)c([N+](=O)[O-])c1 ZINC000413436446 534307122 /nfs/dbraw/zinc/30/71/22/534307122.db2.gz NJYRSVXGBRVVPV-RISCZKNCSA-N 0 0 293.323 2.623 20 5 CFBDRN COC(=O)c1cnc(N[C@H]2C[C@@]2(C)C(C)C)c([N+](=O)[O-])c1 ZINC000413436445 534307293 /nfs/dbraw/zinc/30/72/93/534307293.db2.gz NJYRSVXGBRVVPV-FZMZJTMJSA-N 0 0 293.323 2.623 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2cccc(C)n2)c1 ZINC000426323837 534316556 /nfs/dbraw/zinc/31/65/56/534316556.db2.gz DHRWQMKTALYGEM-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CC(=O)c1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)cc1 ZINC000151260741 518364462 /nfs/dbraw/zinc/36/44/62/518364462.db2.gz NTKROCAFEQBAOX-UHFFFAOYSA-N 0 0 298.298 2.979 20 5 CFBDRN CC(=O)c1cccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)c1 ZINC000151631532 518432204 /nfs/dbraw/zinc/43/22/04/518432204.db2.gz PKYWXSZMQZHWNW-UHFFFAOYSA-N 0 0 298.298 2.979 20 5 CFBDRN C/C=C/c1ccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)cc1 ZINC000360324079 518552167 /nfs/dbraw/zinc/55/21/67/518552167.db2.gz JLAHHRQWEOKWHU-NSCUHMNNSA-N 0 0 272.264 2.603 20 5 CFBDRN C/C=C\c1ccc(NC(=O)c2nn(C)cc2[N+](=O)[O-])cc1 ZINC000360316947 518567753 /nfs/dbraw/zinc/56/77/53/518567753.db2.gz IEOFAHHSQQLGSX-ARJAWSKDSA-N 0 0 286.291 2.614 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C1CC1 ZINC000334498960 534367329 /nfs/dbraw/zinc/36/73/29/534367329.db2.gz QDUOJEZRPIQZDR-GFCCVEGCSA-N 0 0 261.281 2.611 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000227356613 518683750 /nfs/dbraw/zinc/68/37/50/518683750.db2.gz WXCVXUBTVVKKKF-SNVBAGLBSA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)(C)[C@@H]1OCCC[C@@H]1CNc1ccncc1[N+](=O)[O-] ZINC000360991463 518950880 /nfs/dbraw/zinc/95/08/80/518950880.db2.gz SZYLLNBJGGUQIC-BXUZGUMPSA-N 0 0 293.367 2.665 20 5 CFBDRN CC(C)(C)c1ncn(Cc2ccc([N+](=O)[O-])cc2F)n1 ZINC000336839177 519118463 /nfs/dbraw/zinc/11/84/63/519118463.db2.gz OCNCMDQBDOYVBZ-UHFFFAOYSA-N 0 0 278.287 2.671 20 5 CFBDRN CC(C)(C)n1cc(NC(=O)c2csc([N+](=O)[O-])c2)cn1 ZINC000049457590 519164141 /nfs/dbraw/zinc/16/41/41/519164141.db2.gz JBLWBLVPFIEUBO-UHFFFAOYSA-N 0 0 294.336 2.860 20 5 CFBDRN CC(C)CC[C@H](O)COc1ccc([N+](=O)[O-])cc1 ZINC000360677138 519693654 /nfs/dbraw/zinc/69/36/54/519693654.db2.gz VRESFAPGNJPJOO-LBPRGKRZSA-N 0 0 253.298 2.771 20 5 CFBDRN CC(C)OCCCC(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000181324340 519758857 /nfs/dbraw/zinc/75/88/57/519758857.db2.gz ZYCFBUSTUVIOGP-UHFFFAOYSA-N 0 0 267.281 2.705 20 5 CFBDRN CC(C)CSCCCNc1ccncc1[N+](=O)[O-] ZINC000339368611 519767996 /nfs/dbraw/zinc/76/79/96/519767996.db2.gz WUPOIZRDVOZMIJ-UHFFFAOYSA-N 0 0 269.370 2.603 20 5 CFBDRN CC(C)OCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000168656256 519768294 /nfs/dbraw/zinc/76/82/94/519768294.db2.gz QKKUEEOPUNEAMU-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000048632565 519773550 /nfs/dbraw/zinc/77/35/50/519773550.db2.gz MMZITJUZBGZRMQ-SECBINFHSA-N 0 0 266.297 2.768 20 5 CFBDRN CC(C)O[C@@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])C1(C)C ZINC000361608275 519776872 /nfs/dbraw/zinc/77/68/72/519776872.db2.gz FNBVALMQGICIQM-VXGBXAGGSA-N 0 0 279.340 2.994 20 5 CFBDRN CC(C)[C@H](O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000356738678 519822267 /nfs/dbraw/zinc/82/22/67/519822267.db2.gz QUEARTAQARXMDQ-ZDUSSCGKSA-N 0 0 294.351 2.848 20 5 CFBDRN CC(C)c1ccc(C(=O)Cn2cc([N+](=O)[O-])cn2)cc1 ZINC000055359055 519834431 /nfs/dbraw/zinc/83/44/31/519834431.db2.gz WVLFDJUOZBGYAJ-UHFFFAOYSA-N 0 0 273.292 2.798 20 5 CFBDRN CC(C)c1ccc(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000340648838 519836149 /nfs/dbraw/zinc/83/61/49/519836149.db2.gz ZKLGZPLBAMNZKW-UHFFFAOYSA-N 0 0 287.319 2.976 20 5 CFBDRN CC(C)c1ncncc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000341483723 519881582 /nfs/dbraw/zinc/88/15/82/519881582.db2.gz NTKPUOIQONOTEW-UHFFFAOYSA-N 0 0 286.291 2.761 20 5 CFBDRN CC/C=C/CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000294961939 520200970 /nfs/dbraw/zinc/20/09/70/520200970.db2.gz JZZVNBSEVCDIMC-ONEGZZNKSA-N 0 0 291.307 2.930 20 5 CFBDRN O=C(NCCc1ccccc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000158267513 534477185 /nfs/dbraw/zinc/47/71/85/534477185.db2.gz GSFBTEFLPUEINQ-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN CC1(C)C(NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000360403741 520249659 /nfs/dbraw/zinc/24/96/59/520249659.db2.gz VYQCUTBOLDWWHF-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN CCNc1ccc(C(=O)NCC2(C)CC2)cc1[N+](=O)[O-] ZINC000223638505 520285695 /nfs/dbraw/zinc/28/56/95/520285695.db2.gz RQEWQUNYKIFPOO-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CCNc1ccc(C(=O)NCCC2CC2)cc1[N+](=O)[O-] ZINC000088464259 520286642 /nfs/dbraw/zinc/28/66/42/520286642.db2.gz ZSDAHEQRRJMQTD-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CCNc1ccc(C(=O)NCC2(CC)CC2)cc1[N+](=O)[O-] ZINC000226841236 520286673 /nfs/dbraw/zinc/28/66/73/520286673.db2.gz SYLUTDBHZMNHAV-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CC1(C)CCN(C(=O)COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000339151128 520365516 /nfs/dbraw/zinc/36/55/16/520365516.db2.gz ZODIJZOSIYAWNG-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CC1(C)CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000339148015 520366455 /nfs/dbraw/zinc/36/64/55/520366455.db2.gz KEKGTWZWFXPJOH-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CCCCN(C)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050693715 520393207 /nfs/dbraw/zinc/39/32/07/520393207.db2.gz PACCXXDUSHLZHV-UHFFFAOYSA-N 0 0 285.731 2.703 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000162591367 520406480 /nfs/dbraw/zinc/40/64/80/520406480.db2.gz MJGGXFABRGUYDO-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN CCN(CC(C)C)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000193333137 520412342 /nfs/dbraw/zinc/41/23/42/520412342.db2.gz JEGZIDNCNJPXQJ-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CC1(C)CN(Cc2c(Cl)cccc2[N+](=O)[O-])CC[C@@H]1O ZINC000336829779 520472343 /nfs/dbraw/zinc/47/23/43/520472343.db2.gz AYRIHYRZSDIQRY-ZDUSSCGKSA-N 0 0 298.770 2.841 20 5 CFBDRN CCCCN(CCOC)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000068114220 520534524 /nfs/dbraw/zinc/53/45/24/520534524.db2.gz MGDFMTXLPIUWFA-UHFFFAOYSA-N 0 0 294.351 2.792 20 5 CFBDRN CCCCNC(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000337912372 520626405 /nfs/dbraw/zinc/62/64/05/520626405.db2.gz KLNOGOXGUFCTEA-UHFFFAOYSA-N 0 0 268.338 2.603 20 5 CFBDRN CC1(C)[C@H](O)CCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000311749722 520677205 /nfs/dbraw/zinc/67/72/05/520677205.db2.gz URRAKYHYFIDNBC-GFCCVEGCSA-N 0 0 284.743 2.593 20 5 CFBDRN CC1(C2CC2)CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000336104709 520687311 /nfs/dbraw/zinc/68/73/11/520687311.db2.gz PKVFYHHFBKFVFA-UHFFFAOYSA-N 0 0 277.324 2.575 20 5 CFBDRN CC1(CC(=O)N2CCc3ccc([N+](=O)[O-])cc32)CC1 ZINC000336610573 520692442 /nfs/dbraw/zinc/69/24/42/520692442.db2.gz AMDJMUWJOHYVNP-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CCOc1cc(NC(=O)C2CC2)c([N+](=O)[O-])cc1OCC ZINC000073081881 520752171 /nfs/dbraw/zinc/75/21/71/520752171.db2.gz ILKBUTBMLCOUDI-UHFFFAOYSA-N 0 0 294.307 2.741 20 5 CFBDRN CCOc1cc(NC(C)(C)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000158812843 520759284 /nfs/dbraw/zinc/75/92/84/520759284.db2.gz SARGZQRZVLEATL-VIFPVBQESA-N 0 0 268.313 2.565 20 5 CFBDRN CCC[C@@H](O)CNc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000226570497 520809632 /nfs/dbraw/zinc/80/96/32/520809632.db2.gz QOHQBVPXVVAMIX-LLVKDONJSA-N 0 0 268.313 2.566 20 5 CFBDRN CCOC(=O)C[C@@H]1CCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000165882875 520851624 /nfs/dbraw/zinc/85/16/24/520851624.db2.gz ZSNAQGCGOLAZLG-NSHDSACASA-N 0 0 296.298 2.656 20 5 CFBDRN CC1=CCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000311815258 520859714 /nfs/dbraw/zinc/85/97/14/520859714.db2.gz PKMQJJFRGKURPM-UHFFFAOYSA-N 0 0 264.256 2.526 20 5 CFBDRN CCN(CC1CCC1)c1c([N+](=O)[O-])nc(C)n1CC ZINC000340899350 520976995 /nfs/dbraw/zinc/97/69/95/520976995.db2.gz HTYSRPAMHWYPTN-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN CC1CCN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)CC1 ZINC000050696791 520988968 /nfs/dbraw/zinc/98/89/68/520988968.db2.gz XTVGVIAZTYJYQX-UHFFFAOYSA-N 0 0 297.742 2.703 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000361823313 521212871 /nfs/dbraw/zinc/21/28/71/521212871.db2.gz FALOIJLUFQCXMM-SECBINFHSA-N 0 0 299.302 2.623 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCCCCO2)c1 ZINC000338449686 521278113 /nfs/dbraw/zinc/27/81/13/521278113.db2.gz FDNGTIKTLSCZPM-UHFFFAOYSA-N 0 0 294.307 2.551 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H]3CCC[C@H]32)c1 ZINC000338575318 521278742 /nfs/dbraw/zinc/27/87/42/521278742.db2.gz NTOGXUYNAGRRSQ-GXFFZTMASA-N 0 0 290.319 2.618 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H]3CCC[C@H]32)c1 ZINC000338575320 521278971 /nfs/dbraw/zinc/27/89/71/521278971.db2.gz NTOGXUYNAGRRSQ-ZWNOBZJWSA-N 0 0 290.319 2.618 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2C2CC2)c1 ZINC000338465259 521279913 /nfs/dbraw/zinc/27/99/13/521279913.db2.gz QGUUBGQTVYANAI-WCQYABFASA-N 0 0 290.319 2.522 20 5 CFBDRN CCC(C)(C)NC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000078595967 521335032 /nfs/dbraw/zinc/33/50/32/521335032.db2.gz QTWSYEDMMJJRIB-SNVBAGLBSA-N 0 0 280.324 2.667 20 5 CFBDRN CCCc1cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)n[nH]1 ZINC000134629761 521377224 /nfs/dbraw/zinc/37/72/24/521377224.db2.gz UUSWRAPNDBPWPA-UHFFFAOYSA-N 0 0 292.270 2.662 20 5 CFBDRN CCOc1cccc(N[C@H](C)CC(C)(C)O)c1[N+](=O)[O-] ZINC000294956992 521399889 /nfs/dbraw/zinc/39/98/89/521399889.db2.gz JPOIKXLJHGOFRF-SNVBAGLBSA-N 0 0 282.340 2.955 20 5 CFBDRN CCOc1cccc(N[C@@H]2CC[C@H](OC)C2)c1[N+](=O)[O-] ZINC000231683639 521400105 /nfs/dbraw/zinc/40/01/05/521400105.db2.gz PRYVIXCQCJYMRM-MNOVXSKESA-N 0 0 280.324 2.973 20 5 CFBDRN CCCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)C(C)C ZINC000050748261 521443969 /nfs/dbraw/zinc/44/39/69/521443969.db2.gz QHJWDXCYBWBDMZ-UHFFFAOYSA-N 0 0 279.340 2.897 20 5 CFBDRN CCC(CC)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000055379249 521595026 /nfs/dbraw/zinc/59/50/26/521595026.db2.gz QWRCUKSNXOFLHN-UHFFFAOYSA-N 0 0 265.313 2.555 20 5 CFBDRN CCCN(CC)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000338031351 521605414 /nfs/dbraw/zinc/60/54/14/521605414.db2.gz JUGACPIQEBLRIU-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN CCC1(C(=O)NCc2cccc([N+](=O)[O-])c2C)CC1 ZINC000360529382 521668754 /nfs/dbraw/zinc/66/87/54/521668754.db2.gz QCYSYPTXCKJNFS-UHFFFAOYSA-N 0 0 262.309 2.710 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cccc([N+](=O)[O-])c2NC)C1 ZINC000314633525 521689450 /nfs/dbraw/zinc/68/94/50/521689450.db2.gz XOMDEBSNXOBLMJ-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CCSCCCNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000336921313 521695959 /nfs/dbraw/zinc/69/59/59/521695959.db2.gz HCADRWNVGPIPJX-UHFFFAOYSA-N 0 0 292.364 2.515 20 5 CFBDRN CCSCCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000302354419 521705940 /nfs/dbraw/zinc/70/59/40/521705940.db2.gz KJPBNAIMESDNRE-UHFFFAOYSA-N 0 0 286.382 2.859 20 5 CFBDRN CCS[C@H]1CC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000362480302 521732570 /nfs/dbraw/zinc/73/25/70/521732570.db2.gz RXDKBFXWMQYPQT-ZJUUUORDSA-N 0 0 297.380 2.669 20 5 CFBDRN CCSc1cc(CNc2ccncc2[N+](=O)[O-])ccn1 ZINC000361011690 521733791 /nfs/dbraw/zinc/73/37/91/521733791.db2.gz CJTDREJJVBEIHA-UHFFFAOYSA-N 0 0 290.348 2.531 20 5 CFBDRN CCNC(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334799680 521739605 /nfs/dbraw/zinc/73/96/05/521739605.db2.gz FMMJTMHARKSJCR-LBPRGKRZSA-N 0 0 277.324 2.504 20 5 CFBDRN COc1c(C)ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1C ZINC000340690845 521804156 /nfs/dbraw/zinc/80/41/56/521804156.db2.gz IVMQOIDBWJNJMR-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN COCC[C@@H](COC)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000311893978 521814267 /nfs/dbraw/zinc/81/42/67/521814267.db2.gz BEQGYGQQVGJXKN-JTQLQIEISA-N 0 0 288.731 2.712 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000162650242 521851428 /nfs/dbraw/zinc/85/14/28/521851428.db2.gz QUDVWCPVYNRGOM-LLVKDONJSA-N 0 0 291.351 2.945 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000182646268 521914264 /nfs/dbraw/zinc/91/42/64/521914264.db2.gz DNDKZQAZNGSOPX-RYUDHWBXSA-N 0 0 293.367 2.805 20 5 CFBDRN CCc1cnc(CCNc2nc(C)ccc2[N+](=O)[O-])s1 ZINC000127966994 522011952 /nfs/dbraw/zinc/01/19/52/522011952.db2.gz NQUMHGQUKMTSGV-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN COC(=O)c1ccc(CNc2cc(C)ccc2[N+](=O)[O-])o1 ZINC000088723371 522041047 /nfs/dbraw/zinc/04/10/47/522041047.db2.gz LVVSTHGJWUQLJH-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000339936284 522041334 /nfs/dbraw/zinc/04/13/34/522041334.db2.gz BWMYGMOSRMXQGZ-SNVBAGLBSA-N 0 0 295.339 2.543 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000050746740 522046227 /nfs/dbraw/zinc/04/62/27/522046227.db2.gz GQVJPRRBXSERAO-SECBINFHSA-N 0 0 265.313 2.507 20 5 CFBDRN CCc1cnc(NC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000081232074 522055593 /nfs/dbraw/zinc/05/55/93/522055593.db2.gz SYGPFRFSWPMCLZ-UHFFFAOYSA-N 0 0 283.334 2.928 20 5 CFBDRN CCc1cnc(NC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000081231520 522055898 /nfs/dbraw/zinc/05/58/98/522055898.db2.gz YWJIRXVDHDXHPF-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CC[C@@H](C)NC(=O)CNc1cc([N+](=O)[O-])c(C)cc1C ZINC000192572378 522165893 /nfs/dbraw/zinc/16/58/93/522165893.db2.gz FYXZXHQZNNDJDN-LLVKDONJSA-N 0 0 279.340 2.538 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Nc2ccc(C)cc2)n1 ZINC000166655995 522178263 /nfs/dbraw/zinc/17/82/63/522178263.db2.gz RUVRVTKBIBUSQT-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN CC[C@H](CNc1ccnc2c1cccc2[N+](=O)[O-])OC ZINC000312867256 522200766 /nfs/dbraw/zinc/20/07/66/522200766.db2.gz SVRISPLPOKCGFQ-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1cnc([C@@H](C)NC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000177928707 534618496 /nfs/dbraw/zinc/61/84/96/534618496.db2.gz FVXWOAZIGKOGBA-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN CN(C)c1ccccc1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000354121170 522291654 /nfs/dbraw/zinc/29/16/54/522291654.db2.gz XOVJSVRZOCYCEU-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN CC[C@H](Cc1ccccc1)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000340622078 522315156 /nfs/dbraw/zinc/31/51/56/522315156.db2.gz PWJJPGCHBYRBKG-GFCCVEGCSA-N 0 0 287.319 2.674 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000338666528 522340713 /nfs/dbraw/zinc/34/07/13/522340713.db2.gz CAGSNGCWIWMBAQ-YGRLFVJLSA-N 0 0 282.340 2.812 20 5 CFBDRN CCc1nc(COc2ccc([N+](=O)[O-])c(Cl)c2)no1 ZINC000049302759 522363018 /nfs/dbraw/zinc/36/30/18/522363018.db2.gz QIKHHBNOIKWGAQ-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000361481176 522401252 /nfs/dbraw/zinc/40/12/52/522401252.db2.gz KETLQDFLSTVYSF-RNCFNFMXSA-N 0 0 296.323 2.603 20 5 CFBDRN COC(C)(C)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000160617120 522495918 /nfs/dbraw/zinc/49/59/18/522495918.db2.gz KQIRVACWDOGYBV-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000173864106 522504638 /nfs/dbraw/zinc/50/46/38/522504638.db2.gz BJQRRSRHQWJQIG-SECBINFHSA-N 0 0 298.314 2.667 20 5 CFBDRN CNc1c(C(=O)NC2CCCCC2)cccc1[N+](=O)[O-] ZINC000161411105 522537658 /nfs/dbraw/zinc/53/76/58/522537658.db2.gz ONZAJBDUDZEVMH-UHFFFAOYSA-N 0 0 277.324 2.699 20 5 CFBDRN CNc1c(C(=O)NCCCCSC)cccc1[N+](=O)[O-] ZINC000163198554 522537876 /nfs/dbraw/zinc/53/78/76/522537876.db2.gz PBPCWYZERPGUDX-UHFFFAOYSA-N 0 0 297.380 2.510 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000161434126 522539407 /nfs/dbraw/zinc/53/94/07/522539407.db2.gz PPIUFUJAEFFSCK-WDEREUQCSA-N 0 0 291.351 2.945 20 5 CFBDRN COc1cc(NCCC2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000336848491 522739853 /nfs/dbraw/zinc/73/98/53/522739853.db2.gz KKSARIHAMZAKQF-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN COc1cc(N[C@H]2CC[C@@H](OC)C2)c(F)cc1[N+](=O)[O-] ZINC000231682956 522767042 /nfs/dbraw/zinc/76/70/42/522767042.db2.gz UBPCAYYAPPZFJN-DTWKUNHWSA-N 0 0 284.287 2.722 20 5 CFBDRN CC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000339360183 522779319 /nfs/dbraw/zinc/77/93/19/522779319.db2.gz TYXOZRKKWNAYHB-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN COCC1=CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC1 ZINC000361015994 522922688 /nfs/dbraw/zinc/92/26/88/522922688.db2.gz XJECQJHLACAATO-UHFFFAOYSA-N 0 0 290.319 2.580 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1ccncc1 ZINC000361042368 522961295 /nfs/dbraw/zinc/96/12/95/522961295.db2.gz ADWCIHAJJUHIDY-GFCCVEGCSA-N 0 0 258.281 2.948 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050710216 523058995 /nfs/dbraw/zinc/05/89/95/523058995.db2.gz HEVLJKCWOWCBRG-IINYFYTJSA-N 0 0 291.351 2.876 20 5 CFBDRN CCc1onc(C)c1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000340427401 523060713 /nfs/dbraw/zinc/06/07/13/523060713.db2.gz OIXVIGMCBYLNAM-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN COCCC1(NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCC1 ZINC000362009583 523069910 /nfs/dbraw/zinc/06/99/10/523069910.db2.gz BCRCNPVQEYQFLH-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000124711501 523128052 /nfs/dbraw/zinc/12/80/52/523128052.db2.gz ZAUGVFNMQHTPSJ-HZMBPMFUSA-N 0 0 294.326 2.971 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCc2cccc(F)c21 ZINC000361058691 523143594 /nfs/dbraw/zinc/14/35/94/523143594.db2.gz CTISPDSGQRRIEW-UHFFFAOYSA-N 0 0 290.298 2.953 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCc1cccc(F)c1 ZINC000313821611 523144495 /nfs/dbraw/zinc/14/44/95/523144495.db2.gz KWENLNTYGYESED-UHFFFAOYSA-N 0 0 292.314 2.913 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CC(C)(C)OC1(C)C ZINC000361046073 523145641 /nfs/dbraw/zinc/14/56/41/523145641.db2.gz ZOYGKZPNLKCLSA-JTQLQIEISA-N 0 0 296.371 2.878 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000336353168 523173982 /nfs/dbraw/zinc/17/39/82/523173982.db2.gz NSXGMIVIOYEMJH-JTQLQIEISA-N 0 0 268.700 2.873 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000338850373 523390598 /nfs/dbraw/zinc/39/05/98/523390598.db2.gz OWVZIMLRXJRMSO-YWVIFJGQSA-N 0 0 288.347 2.989 20 5 CFBDRN CO[C@@H](C)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000224354012 523420788 /nfs/dbraw/zinc/42/07/88/523420788.db2.gz LVEMWGNYMNLSJW-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN CCn1cc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000357148196 523433263 /nfs/dbraw/zinc/43/32/63/523433263.db2.gz YYCDXYQUTZTSTN-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN CCn1ccnc1CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000229545456 523541310 /nfs/dbraw/zinc/54/13/10/523541310.db2.gz HQZIIOVBFRFRPL-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN CN(c1cccc(F)c1[N+](=O)[O-])[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000301562697 523647364 /nfs/dbraw/zinc/64/73/64/523647364.db2.gz IUZVMMAGGHLYSU-FZQKWOKYSA-N 0 0 294.326 2.984 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@](C)(O)CCC(C)C ZINC000192618785 523668342 /nfs/dbraw/zinc/66/83/42/523668342.db2.gz SLMKQUAGFCDXTL-AWEZNQCLSA-N 0 0 298.387 2.719 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H](C)c1cncs1 ZINC000338482822 523668924 /nfs/dbraw/zinc/66/89/24/523668924.db2.gz BBDQLSSFQVVTCO-SSDOTTSWSA-N 0 0 281.341 2.749 20 5 CFBDRN CC[C@@](C)(O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000087029608 523676736 /nfs/dbraw/zinc/67/67/36/523676736.db2.gz KLXZYTMFIUMJOJ-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN CCc1cc(NC(=O)CC2CC2)c2cc([N+](=O)[O-])ccc2n1 ZINC000360781788 523735773 /nfs/dbraw/zinc/73/57/73/523735773.db2.gz NLLUDQWHFBTAMK-UHFFFAOYSA-N 0 0 299.330 2.866 20 5 CFBDRN CC[C@H](C)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000133102868 523821998 /nfs/dbraw/zinc/82/19/98/523821998.db2.gz WFRWLFGCNQAWMJ-VIFPVBQESA-N 0 0 251.282 2.897 20 5 CFBDRN CN(C(=O)Cc1ccccc1F)c1ccc([N+](=O)[O-])cc1 ZINC000060848139 523852093 /nfs/dbraw/zinc/85/20/93/523852093.db2.gz LKXMXLPAGCQACK-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000360293091 523874510 /nfs/dbraw/zinc/87/45/10/523874510.db2.gz IKPYBYYWARIFAJ-LBPRGKRZSA-N 0 0 293.367 2.901 20 5 CFBDRN CC[C@H](C)CSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000124072701 523893814 /nfs/dbraw/zinc/89/38/14/523893814.db2.gz IDYKYHRKGDEDMC-VIFPVBQESA-N 0 0 282.365 2.973 20 5 CFBDRN CN(C(=O)[C@@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000335736544 523894903 /nfs/dbraw/zinc/89/49/03/523894903.db2.gz KPCWNUJWLXBRPD-SECBINFHSA-N 0 0 284.262 2.993 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000080693438 523900086 /nfs/dbraw/zinc/90/00/86/523900086.db2.gz VRZMBJWARGNJBR-RYUDHWBXSA-N 0 0 294.351 2.915 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000133983665 523964730 /nfs/dbraw/zinc/96/47/30/523964730.db2.gz XUSVVDDOOCZNPM-STQMWFEESA-N 0 0 293.323 2.674 20 5 CFBDRN CN(C)C(=O)c1ccccc1NCc1cccc([N+](=O)[O-])c1 ZINC000078997344 524031129 /nfs/dbraw/zinc/03/11/29/524031129.db2.gz QQKVBOAUXFSBGE-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN COc1ccc(CNCc2occc2C)cc1[N+](=O)[O-] ZINC000312484282 524073894 /nfs/dbraw/zinc/07/38/94/524073894.db2.gz IZYGDBISEBTENX-UHFFFAOYSA-N 0 0 276.292 2.795 20 5 CFBDRN Cc1cccc(C(=O)N(C)Cc2ccncc2)c1[N+](=O)[O-] ZINC000051035156 524162473 /nfs/dbraw/zinc/16/24/73/524162473.db2.gz AWAYTJHYHJZTNU-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000073881919 524215054 /nfs/dbraw/zinc/21/50/54/524215054.db2.gz GQTALPHMKLLZBU-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H]1C ZINC000336231340 524266171 /nfs/dbraw/zinc/26/61/71/524266171.db2.gz ZPIHJSXRKLXVLA-VHSXEESVSA-N 0 0 280.299 2.852 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)[C@@]12C[C@@H]1CCC2 ZINC000421512706 534774006 /nfs/dbraw/zinc/77/40/06/534774006.db2.gz DQVUHGCYUCVNLQ-ZBEGNZNMSA-N 0 0 297.314 2.694 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)C1 ZINC000336480141 524329222 /nfs/dbraw/zinc/32/92/22/524329222.db2.gz OZCKTBYHMVRXIL-WDEREUQCSA-N 0 0 262.309 2.994 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000335510467 524339043 /nfs/dbraw/zinc/33/90/43/524339043.db2.gz DJTGOFFFYNVENG-ZSBIGDGJSA-N 0 0 274.320 2.740 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000158417601 524366210 /nfs/dbraw/zinc/36/62/10/524366210.db2.gz MGAURLUSMDHMQF-GXSJLCMTSA-N 0 0 263.297 2.905 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2c([N+](=O)[O-])ncn2C)[C@@H]1C ZINC000360275939 524385332 /nfs/dbraw/zinc/38/53/32/524385332.db2.gz UPJWDDXMSAKSKZ-GMTAPVOTSA-N 0 0 266.345 2.813 20 5 CFBDRN Cc1ccc(CN(CCO)c2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000360242735 524411946 /nfs/dbraw/zinc/41/19/46/524411946.db2.gz QVAOPDKPKKMDCM-UHFFFAOYSA-N 0 0 290.319 2.804 20 5 CFBDRN Cc1cccc(Cn2cc([N+](=O)[O-])cc(Cl)c2=O)c1 ZINC000336338215 524494761 /nfs/dbraw/zinc/49/47/61/524494761.db2.gz FABFUSKOPPRYQG-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1cccc(Cn2cc(Cl)cc([N+](=O)[O-])c2=O)c1 ZINC000336337738 524495770 /nfs/dbraw/zinc/49/57/70/524495770.db2.gz YBLOKKFNCIIYBH-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]1c1ccccc1 ZINC000335050484 524525953 /nfs/dbraw/zinc/52/59/53/524525953.db2.gz PCCMLROIRYVDFX-IINYFYTJSA-N 0 0 285.303 2.756 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000360388681 524575394 /nfs/dbraw/zinc/57/53/94/524575394.db2.gz LKGZUMFZGIIBMU-JTQLQIEISA-N 0 0 291.307 2.834 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000336123097 524599657 /nfs/dbraw/zinc/59/96/57/524599657.db2.gz IPWWOVFPLDEXMW-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000336829807 524679219 /nfs/dbraw/zinc/67/92/19/524679219.db2.gz BAUFHQWBSYZJBO-ZJUUUORDSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2ccc(F)cc2[N+](=O)[O-])O1 ZINC000127886019 524680631 /nfs/dbraw/zinc/68/06/31/524680631.db2.gz LHUPIEHSNFLXJT-PSASIEDQSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1cccc(NC[C@H]2CCC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000218859376 524684701 /nfs/dbraw/zinc/68/47/01/524684701.db2.gz VJJXYWLJOYOIJW-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cccc(NCc2cccc([N+](=O)[O-])c2)c1C(N)=O ZINC000360349231 524687786 /nfs/dbraw/zinc/68/77/86/524687786.db2.gz NZJSJAAASOFQHU-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000310903484 524712789 /nfs/dbraw/zinc/71/27/89/524712789.db2.gz FRIJYETWUFZVLZ-RDDDGLTNSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1cccc(OCCNc2ccc([N+](=O)[O-])nc2)c1 ZINC000048150129 524748731 /nfs/dbraw/zinc/74/87/31/524748731.db2.gz ULGIDVYDLNWTIV-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN Cc1cc(CNCc2ccc(Cl)cc2[N+](=O)[O-])on1 ZINC000050444000 524761627 /nfs/dbraw/zinc/76/16/27/524761627.db2.gz NGMRWRRVFKSSKZ-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN Cc1cc(COC(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000181295824 524798664 /nfs/dbraw/zinc/79/86/64/524798664.db2.gz ILLUFSRYFRFJQJ-UHFFFAOYSA-N 0 0 251.282 2.993 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000335682835 524893681 /nfs/dbraw/zinc/89/36/81/524893681.db2.gz ADKBQXJWUWADRK-IUCAKERBSA-N 0 0 273.292 2.699 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCOC[C@@H]1CCCO1 ZINC000174673861 524907459 /nfs/dbraw/zinc/90/74/59/524907459.db2.gz LIFPVIOFAMJXOK-ZDUSSCGKSA-N 0 0 294.351 2.901 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1OC[C@H]2CCC[C@H]12 ZINC000366063894 524908862 /nfs/dbraw/zinc/90/88/62/524908862.db2.gz SBDCBRRUSPTFOT-UHIISALHSA-N 0 0 291.303 2.624 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)C[C@H](C)O1 ZINC000182005696 524998907 /nfs/dbraw/zinc/99/89/07/524998907.db2.gz IYKYXVBDAFQHOQ-AOOOYVTPSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@H](NC(=O)NCCCCF)c1ccccc1[N+](=O)[O-] ZINC000361544300 525062832 /nfs/dbraw/zinc/06/28/32/525062832.db2.gz DJCQACJVUQAUOG-JTQLQIEISA-N 0 0 283.303 2.705 20 5 CFBDRN Cc1cc(N2CCC[C@H](OCC3CC3)C2)ncc1[N+](=O)[O-] ZINC000368786559 525071874 /nfs/dbraw/zinc/07/18/74/525071874.db2.gz MKTCDYHFYDZIBN-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc(N2CCC[C@H]2C(C)(C)O)c(F)cc1[N+](=O)[O-] ZINC000360075926 525072674 /nfs/dbraw/zinc/07/26/74/525072674.db2.gz VYKIEADHLQLQNA-ZDUSSCGKSA-N 0 0 282.315 2.782 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000336312188 525082223 /nfs/dbraw/zinc/08/22/23/525082223.db2.gz IVEJAKAWTKXETD-BQBZGAKWSA-N 0 0 292.213 2.601 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccoc2)cc1[N+](=O)[O-] ZINC000163569321 525095437 /nfs/dbraw/zinc/09/54/37/525095437.db2.gz KEHFTFRSGLNXIB-UHFFFAOYSA-N 0 0 260.249 2.677 20 5 CFBDRN COc1nn(C)cc1N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000353310772 525095906 /nfs/dbraw/zinc/09/59/06/525095906.db2.gz YGCLMDYWNMMCTQ-SECBINFHSA-N 0 0 276.296 2.510 20 5 CFBDRN C[C@@H]1COCCN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000363713452 525114382 /nfs/dbraw/zinc/11/43/82/525114382.db2.gz OCJYYKWHNZRDNW-JTQLQIEISA-N 0 0 284.743 2.717 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000334177038 525184156 /nfs/dbraw/zinc/18/41/56/525184156.db2.gz CKTODAIUBRVOKZ-ZJUUUORDSA-N 0 0 281.287 2.915 20 5 CFBDRN C[C@@H](NC(=O)NCCCCF)c1cccc([N+](=O)[O-])c1 ZINC000361474981 525197962 /nfs/dbraw/zinc/19/79/62/525197962.db2.gz JGGVUVKDAHSNIB-SNVBAGLBSA-N 0 0 283.303 2.705 20 5 CFBDRN C[C@]1(C(=O)NCc2ccc([N+](=O)[O-])cc2)C[C@H]2C[C@H]2C1 ZINC000339036611 525211158 /nfs/dbraw/zinc/21/11/58/525211158.db2.gz WXFOJUMRHULJKQ-JYAVWHMHSA-N 0 0 274.320 2.647 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CCOC2)c(F)cc1[N+](=O)[O-] ZINC000360085918 525274640 /nfs/dbraw/zinc/27/46/40/525274640.db2.gz BGKLDJDBCZMZFC-CYBMUJFWSA-N 0 0 268.288 2.881 20 5 CFBDRN Cc1ccc(NC[C@@H]2CC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000128030654 525280695 /nfs/dbraw/zinc/28/06/95/525280695.db2.gz MLGSNLHJFGTXGW-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc(NC[C@H](C)CCCO)c([N+](=O)[O-])c1 ZINC000294965527 525280841 /nfs/dbraw/zinc/28/08/41/525280841.db2.gz NLAJNRXMMABPNM-LLVKDONJSA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1ccc(NC[C@]2(O)CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000328307387 525281166 /nfs/dbraw/zinc/28/11/66/525281166.db2.gz WAHZQGSPCMONBV-SMDDNHRTSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@]1(F)CCN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000335290300 525281501 /nfs/dbraw/zinc/28/15/01/525281501.db2.gz XNWUWPUYXZUZNJ-LBPRGKRZSA-N 0 0 286.690 2.822 20 5 CFBDRN C[C@]1(NC(=O)c2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000335181968 525296307 /nfs/dbraw/zinc/29/63/07/525296307.db2.gz XQXNEYUXGBMDGJ-AWEZNQCLSA-N 0 0 260.293 2.823 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000336066010 525394276 /nfs/dbraw/zinc/39/42/76/525394276.db2.gz UZHXLKAEWUJQFA-OCAPTIKFSA-N 0 0 268.700 2.871 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H](O)C2)c1 ZINC000230910084 525451347 /nfs/dbraw/zinc/45/13/47/525451347.db2.gz XWCNVZXQHBHTTL-GHMZBOCLSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CCCOC(C)C ZINC000181613083 525470826 /nfs/dbraw/zinc/47/08/26/525470826.db2.gz GYIPWEHVPMEBPE-UHFFFAOYSA-N 0 0 297.307 2.714 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cccc2cc[nH]c21 ZINC000341428795 525557044 /nfs/dbraw/zinc/55/70/44/525557044.db2.gz SGQHYAPUYXRPQZ-UHFFFAOYSA-N 0 0 282.303 2.814 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCc1ccccc1F ZINC000338030863 525561538 /nfs/dbraw/zinc/56/15/38/525561538.db2.gz QWAARMODNUDLOA-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H](C)S[C@H](C)C1 ZINC000336475675 525561732 /nfs/dbraw/zinc/56/17/32/525561732.db2.gz DXWKTHZAWIDMSC-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCc2ccccc2Cl)c1=O ZINC000155810049 525573263 /nfs/dbraw/zinc/57/32/63/525573263.db2.gz CHHHVLKMTXMIAY-UHFFFAOYSA-N 0 0 292.722 2.961 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(Cc2ccccc2)C1 ZINC000373120008 525573971 /nfs/dbraw/zinc/57/39/71/525573971.db2.gz DLEHBQSSXWUJDA-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2sccc2Cl)c1=O ZINC000335928761 525574451 /nfs/dbraw/zinc/57/44/51/525574451.db2.gz BBRUBBRFIXMQRL-UHFFFAOYSA-N 0 0 284.724 2.828 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CCCC2(F)F)c1=O ZINC000334622761 525574762 /nfs/dbraw/zinc/57/47/62/525574762.db2.gz NWCKDKHBYQONBF-VIFPVBQESA-N 0 0 272.251 2.500 20 5 CFBDRN Cc1ccn(Cc2ccc([N+](=O)[O-])cc2Cl)c(=O)c1 ZINC000167331156 525604691 /nfs/dbraw/zinc/60/46/91/525604691.db2.gz NDRHFMZFBDHSGR-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1ccnc(CNc2cc(C)c([N+](=O)[O-])cn2)c1 ZINC000328280068 525649298 /nfs/dbraw/zinc/64/92/98/525649298.db2.gz PJTOJFJMPINOHI-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1ccnc(COc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000339302914 525652689 /nfs/dbraw/zinc/65/26/89/525652689.db2.gz KTLJMMMTPWNKRV-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1F)c1cn[nH]c1 ZINC000229871315 525662608 /nfs/dbraw/zinc/66/26/08/525662608.db2.gz BULDKHJUHSWEAB-ZETCQYMHSA-N 0 0 250.233 2.630 20 5 CFBDRN Cc1c(C[N@H+]2CCC[C@@H](C)[C@@H]2C(=O)[O-])cccc1[N+](=O)[O-] ZINC000235323458 525706950 /nfs/dbraw/zinc/70/69/50/525706950.db2.gz GOHLAPKOACCMDB-QMTHXVAHSA-N 0 0 292.335 2.588 20 5 CFBDRN Cc1c(CNC(=O)CCC(C)C)cccc1[N+](=O)[O-] ZINC000360527135 525711500 /nfs/dbraw/zinc/71/15/00/525711500.db2.gz WWHZOKBORURNOT-UHFFFAOYSA-N 0 0 264.325 2.956 20 5 CFBDRN Cc1c(CNC(=O)CCCOC(C)C)cccc1[N+](=O)[O-] ZINC000360529783 525712296 /nfs/dbraw/zinc/71/22/96/525712296.db2.gz RZZGXHZFXNCSFK-UHFFFAOYSA-N 0 0 294.351 2.725 20 5 CFBDRN Cc1c(CNC(=O)N2[C@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000336051075 525714107 /nfs/dbraw/zinc/71/41/07/525714107.db2.gz PIGYBEDQSZRYPQ-AOOOYVTPSA-N 0 0 277.324 2.596 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2C[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000360527757 525718235 /nfs/dbraw/zinc/71/82/35/525718235.db2.gz JRWTVIHDQJDCBX-QWHCGFSZSA-N 0 0 276.336 2.812 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CCC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000360289427 525718969 /nfs/dbraw/zinc/71/89/69/525718969.db2.gz AAESSNQPSFQQME-SNVBAGLBSA-N 0 0 298.289 2.955 20 5 CFBDRN Cc1c(CNc2ccc3c(c2)C(=O)NC3)cccc1[N+](=O)[O-] ZINC000360377946 525730821 /nfs/dbraw/zinc/73/08/21/525730821.db2.gz PKTUGQDFOWDLRQ-UHFFFAOYSA-N 0 0 297.314 2.759 20 5 CFBDRN Cc1ccncc1CN(C)c1cc(C)c([N+](=O)[O-])cn1 ZINC000360086784 525738941 /nfs/dbraw/zinc/73/89/41/525738941.db2.gz HIBGAHSCHJAIJM-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H]3CC34CC4)ccc2c1 ZINC000418986923 534883629 /nfs/dbraw/zinc/88/36/29/534883629.db2.gz GRXWMIMONLKWEY-LLVKDONJSA-N 0 0 269.304 2.777 20 5 CFBDRN CS[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000334970319 525840666 /nfs/dbraw/zinc/84/06/66/525840666.db2.gz UXZSGJXJNMLDIQ-SECBINFHSA-N 0 0 299.327 2.703 20 5 CFBDRN Cc1c(NC(=O)NC[C@@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000164612417 525845904 /nfs/dbraw/zinc/84/59/04/525845904.db2.gz GDTXGPNQEMCOAW-JTQLQIEISA-N 0 0 295.364 2.920 20 5 CFBDRN Cc1ccsc1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000049227665 525861833 /nfs/dbraw/zinc/86/18/33/525861833.db2.gz AQMAGIJQNLUGGB-UHFFFAOYSA-N 0 0 266.326 2.619 20 5 CFBDRN Cc1c(NC(=O)c2cncs2)cccc1[N+](=O)[O-] ZINC000340472692 525865028 /nfs/dbraw/zinc/86/50/28/525865028.db2.gz KLCXMANDZAODFC-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1c(NCC(=O)N2CCCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000068834917 525866842 /nfs/dbraw/zinc/86/68/42/525866842.db2.gz AMLUMJMOXPLPBF-LLVKDONJSA-N 0 0 291.351 2.716 20 5 CFBDRN Cc1c(NCC[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000062723866 525869214 /nfs/dbraw/zinc/86/92/14/525869214.db2.gz OWEVNDDXIXFDHI-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN CS[C@H](CNc1ncc([N+](=O)[O-])s1)C(C)(C)C ZINC000337020598 525887516 /nfs/dbraw/zinc/88/75/16/525887516.db2.gz QPKFGVGIWGREAN-SSDOTTSWSA-N 0 0 275.399 2.663 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2C[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000337874877 525906663 /nfs/dbraw/zinc/90/66/63/525906663.db2.gz UBHKBHPISDRWGJ-INTQDDNPSA-N 0 0 298.289 2.982 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2ncc([N+](=O)[O-])cc2C)C1 ZINC000078476768 525914409 /nfs/dbraw/zinc/91/44/09/525914409.db2.gz SOORFWCCUUNPOT-ONGXEEELSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1c([C@H](C)Nc2ccc([N+](=O)[O-])cc2)cnn1C ZINC000192570288 525927019 /nfs/dbraw/zinc/92/70/19/525927019.db2.gz WZGYJLGLJWIPGJ-VIFPVBQESA-N 0 0 260.297 2.810 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2CCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000340779714 525967743 /nfs/dbraw/zinc/96/77/43/525967743.db2.gz OUPNJUPZFMQBOB-VHSXEESVSA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1cnc(CNc2c(F)cccc2[N+](=O)[O-])o1 ZINC000302639743 526048955 /nfs/dbraw/zinc/04/89/55/526048955.db2.gz FRJFHGKBZHAJRW-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN Cc1cc(-c2nc(Cc3cccnc3)no2)ccc1[N+](=O)[O-] ZINC000340653958 526051717 /nfs/dbraw/zinc/05/17/17/526051717.db2.gz WAPXZYNJUFZUMX-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(O)c2)c1 ZINC000088147488 526055708 /nfs/dbraw/zinc/05/57/08/526055708.db2.gz WDNGQHRHCDFEDZ-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCCCS2)n1 ZINC000162643387 526062783 /nfs/dbraw/zinc/06/27/83/526062783.db2.gz PTTJPRHWOBSVSP-SNVBAGLBSA-N 0 0 267.354 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccc[nH]1 ZINC000354365990 526069409 /nfs/dbraw/zinc/06/94/09/526069409.db2.gz LAHIIFRVSNOHHT-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC[C@@H]1CCOC1 ZINC000235766298 526083464 /nfs/dbraw/zinc/08/34/64/526083464.db2.gz BJYJNWFFSBDWIN-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cnc(SCC(=O)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000048353387 526099477 /nfs/dbraw/zinc/09/94/77/526099477.db2.gz JOPDAKGCLWKVAD-UHFFFAOYSA-N 0 0 289.316 2.668 20 5 CFBDRN Cc1ccc(C(=O)NC2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000336296021 526149271 /nfs/dbraw/zinc/14/92/71/526149271.db2.gz CKUUKWZEMGKEEQ-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1ccc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)s1 ZINC000048942983 526170962 /nfs/dbraw/zinc/17/09/62/526170962.db2.gz GFOPFMSSBQSTDC-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN Cc1ccc2c(c1)[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)CO2 ZINC000155930205 526208876 /nfs/dbraw/zinc/20/88/76/526208876.db2.gz ACOXXSQIVCNFBV-CQSZACIVSA-N 0 0 298.298 2.767 20 5 CFBDRN COc1cccc(N[C@@H]2CC[C@H](OC)C2)c1[N+](=O)[O-] ZINC000231683046 526211578 /nfs/dbraw/zinc/21/15/78/526211578.db2.gz HFYBGOYQNKQLSN-ZJUUUORDSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000335199321 526223482 /nfs/dbraw/zinc/22/34/82/526223482.db2.gz UIJPMDNJMHWJBK-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1cc(C(=O)N(C)[C@@H]2CC2(C)C)cc([N+](=O)[O-])c1 ZINC000335855402 526225182 /nfs/dbraw/zinc/22/51/82/526225182.db2.gz HPGXRHWMWBKPTG-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN CC(C)O[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000463486345 534915011 /nfs/dbraw/zinc/91/50/11/534915011.db2.gz ZDJMJCBXGADQLD-NWDGAFQWSA-N 0 0 279.340 2.994 20 5 CFBDRN Cc1cc(C(=O)N2CCC=C(F)C2)ccc1[N+](=O)[O-] ZINC000334178274 526241868 /nfs/dbraw/zinc/24/18/68/526241868.db2.gz CEZPXZWKNXEOFF-UHFFFAOYSA-N 0 0 264.256 2.603 20 5 CFBDRN Cc1nn(Cc2ccc(-n3ccnc3)cc2)c(C)c1[N+](=O)[O-] ZINC000192824957 526287538 /nfs/dbraw/zinc/28/75/38/526287538.db2.gz IYPWBJAIBNPQSS-UHFFFAOYSA-N 0 0 297.318 2.642 20 5 CFBDRN Cc1nn([C@@H](C)c2nnc(-c3ccccc3)o2)cc1[N+](=O)[O-] ZINC000181819148 526301917 /nfs/dbraw/zinc/30/19/17/526301917.db2.gz BBMRDCMWGXMAQQ-JTQLQIEISA-N 0 0 299.290 2.759 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2c(F)cccc2[N+](=O)[O-])s1 ZINC000353634643 526396006 /nfs/dbraw/zinc/39/60/06/526396006.db2.gz MBRIIOUPVZJXQR-SSDOTTSWSA-N 0 0 296.327 2.745 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1Cc2ccccc21 ZINC000340381726 526421398 /nfs/dbraw/zinc/42/13/98/526421398.db2.gz NGNOGCAVFLGUNM-MRXNPFEDSA-N 0 0 296.326 2.593 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000340252793 526546982 /nfs/dbraw/zinc/54/69/82/526546982.db2.gz CGUITWHZGSPWCK-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CC[C@H](C2CC2)C1 ZINC000361200029 526616552 /nfs/dbraw/zinc/61/65/52/526616552.db2.gz HGDGRWLOXFGHAA-VIFPVBQESA-N 0 0 296.273 2.745 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H](C2CC2)C1 ZINC000335182079 526620171 /nfs/dbraw/zinc/62/01/71/526620171.db2.gz WAJDJRUEONYIJI-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1Cc2ccccc2C2(CC2)C1 ZINC000336074615 526629133 /nfs/dbraw/zinc/62/91/33/526629133.db2.gz HQPFKCVJJILNMW-UHFFFAOYSA-N 0 0 297.314 2.611 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1Cc2cccc(Cl)c2C1 ZINC000336418890 526629217 /nfs/dbraw/zinc/62/92/17/526629217.db2.gz KMYKRQJBQRMDHZ-UHFFFAOYSA-N 0 0 291.694 2.732 20 5 CFBDRN Cc1nc(C(C)C)ccc1Cn1cc([N+](=O)[O-])ccc1=O ZINC000338510970 526669494 /nfs/dbraw/zinc/66/94/94/526669494.db2.gz QZTGAISRCAEANQ-UHFFFAOYSA-N 0 0 287.319 2.632 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CC[C@@H]2CCC[C@@H]21 ZINC000336417501 526693300 /nfs/dbraw/zinc/69/33/00/526693300.db2.gz NBZSCAPFFBSSOC-JSGCOSHPSA-N 0 0 289.335 2.679 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)NC(C1CC1)C1CC1 ZINC000056459285 526693939 /nfs/dbraw/zinc/69/39/39/526693939.db2.gz QMJJHTGEDICJIC-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H]1C(F)F ZINC000334975711 526715129 /nfs/dbraw/zinc/71/51/29/526715129.db2.gz GJTAXESMKVCXIF-SNVBAGLBSA-N 0 0 288.225 2.604 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCc2cccc(F)c21 ZINC000335292151 526717628 /nfs/dbraw/zinc/71/76/28/526717628.db2.gz USSYJNTYUDNLGF-UHFFFAOYSA-N 0 0 292.291 2.998 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NC1CCCCC1 ZINC000049371339 526725056 /nfs/dbraw/zinc/72/50/56/526725056.db2.gz VBOAXMOALHHFLR-UHFFFAOYSA-N 0 0 277.324 2.727 20 5 CFBDRN O=C(NCc1ccccc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000056343037 526734935 /nfs/dbraw/zinc/73/49/35/526734935.db2.gz YAACTYNLHGVLQI-UHFFFAOYSA-N 0 0 285.303 2.594 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000046136846 526761233 /nfs/dbraw/zinc/76/12/33/526761233.db2.gz OORRYAOTTSCLBT-UHFFFAOYSA-N 0 0 256.261 2.525 20 5 CFBDRN Cc1oc2ccccc2c1CCNc1ncc([N+](=O)[O-])cn1 ZINC000361754320 526785547 /nfs/dbraw/zinc/78/55/47/526785547.db2.gz BYMPSNCLQLLSJK-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN O=C(NCc1nccs1)c1ccc([N+](=O)[O-])cc1Cl ZINC000070194876 526831417 /nfs/dbraw/zinc/83/14/17/526831417.db2.gz NYEOQIPKSVRMQH-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000336619422 526873434 /nfs/dbraw/zinc/87/34/34/526873434.db2.gz DUVFJDJSWZNSAY-SFYZADRCSA-N 0 0 272.301 2.585 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)c2cccnc2)cc1[N+](=O)[O-] ZINC000045134092 526873571 /nfs/dbraw/zinc/87/35/71/526873571.db2.gz UIIOEXWOBVVGKK-MRVPVSSYSA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1sc(C(=O)Nc2ccc(C)cn2)cc1[N+](=O)[O-] ZINC000340646711 526874782 /nfs/dbraw/zinc/87/47/82/526874782.db2.gz OUOAHNUTOOVSOE-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1Cl ZINC000336442779 526948037 /nfs/dbraw/zinc/94/80/37/526948037.db2.gz PVSJIODGFAFVMQ-HTQZYQBOSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1nc(N2CC[C@H](c3ccco3)C2)ccc1[N+](=O)[O-] ZINC000366180580 526972100 /nfs/dbraw/zinc/97/21/00/526972100.db2.gz VNSRSLVIJDRUPO-NSHDSACASA-N 0 0 273.292 2.885 20 5 CFBDRN Cc1nc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cs1 ZINC000338132532 526992061 /nfs/dbraw/zinc/99/20/61/526992061.db2.gz OBIXXVKBJXFCIN-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@@H]1F ZINC000340785899 527037357 /nfs/dbraw/zinc/03/73/57/527037357.db2.gz TUZDCYJOEYIASK-NWDGAFQWSA-N 0 0 298.339 2.694 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@H]1CCC[C@@H]1F ZINC000340810560 527044128 /nfs/dbraw/zinc/04/41/28/527044128.db2.gz ZPNOBGLVYDPRLK-UWVGGRQHSA-N 0 0 298.339 2.694 20 5 CFBDRN Cn1c(C(=O)Nc2c(F)cc(F)cc2F)ccc1[N+](=O)[O-] ZINC000336426020 527044857 /nfs/dbraw/zinc/04/48/57/527044857.db2.gz ODZXSCCNUXTQOM-UHFFFAOYSA-N 0 0 299.208 2.603 20 5 CFBDRN Cn1c(Sc2ccncc2[N+](=O)[O-])nnc1C1CCC1 ZINC000361072089 527088595 /nfs/dbraw/zinc/08/85/95/527088595.db2.gz BWYNRQYBGBYZDS-UHFFFAOYSA-N 0 0 291.336 2.537 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000072647050 527177443 /nfs/dbraw/zinc/17/74/43/527177443.db2.gz WJEFNHBQHZTUFO-LNLATYFQSA-N 0 0 296.710 2.754 20 5 CFBDRN CC(C)(CNc1ncccc1[N+](=O)[O-])c1cccnc1 ZINC000413592342 527186971 /nfs/dbraw/zinc/18/69/71/527186971.db2.gz TYCOJNGAPZRAOJ-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(O)cc1F ZINC000070333034 527245777 /nfs/dbraw/zinc/24/57/77/527245777.db2.gz IKKVVALTIGEMOC-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1Cc2ccccc21 ZINC000334396552 527262180 /nfs/dbraw/zinc/26/21/80/527262180.db2.gz BZQONRZXCAGCQB-CQSZACIVSA-N 0 0 268.272 2.873 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1ccco1 ZINC000049374402 527262293 /nfs/dbraw/zinc/26/22/93/527262293.db2.gz IEPDYZKUKUTHEI-NEPJUHHUSA-N 0 0 272.260 2.930 20 5 CFBDRN Cc1ncc(CNc2ncc([N+](=O)[O-])cc2C)s1 ZINC000052812576 527282268 /nfs/dbraw/zinc/28/22/68/527282268.db2.gz AHPYWEIQSDCTDS-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC(C)(NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000410953994 527284007 /nfs/dbraw/zinc/28/40/07/527284007.db2.gz NKIXNXBGJRFSAM-UHFFFAOYSA-N 0 0 294.204 2.805 20 5 CFBDRN O=C(Nc1ccc2nccnc2c1)c1ccccc1[N+](=O)[O-] ZINC000055442987 527303919 /nfs/dbraw/zinc/30/39/19/527303919.db2.gz FWTUDJOUMIGSIL-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(-c2ccco2)no1 ZINC000340660403 527310120 /nfs/dbraw/zinc/31/01/20/527310120.db2.gz JWJAKEMDMXRHCA-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1cc(C)ccc1C ZINC000071907298 527316212 /nfs/dbraw/zinc/31/62/12/527316212.db2.gz OKSQIEBHGHDQLY-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccccc1OC(C)C ZINC000049418661 527316503 /nfs/dbraw/zinc/31/65/03/527316503.db2.gz GACVJBDTIVXAFM-UHFFFAOYSA-N 0 0 275.308 2.935 20 5 CFBDRN O=C(Cc1ccsc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797477 527318161 /nfs/dbraw/zinc/31/81/61/527318161.db2.gz PICIJKGUBIZWFG-UHFFFAOYSA-N 0 0 276.317 2.515 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H]1CCC[C@@H](O)C1 ZINC000078303860 527320363 /nfs/dbraw/zinc/32/03/63/527320363.db2.gz QZOIFKFOIXNTNL-NXEZZACHSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc(-c3cnccn3)n2)c1 ZINC000340150759 527322893 /nfs/dbraw/zinc/32/28/93/527322893.db2.gz PKIOHRZDTIFDHO-UHFFFAOYSA-N 0 0 273.277 2.503 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCCC[C@H]1CO ZINC000050445176 527333027 /nfs/dbraw/zinc/33/30/27/527333027.db2.gz DOBJDVQFVDKKQM-LBPRGKRZSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCC[C@@H]1F ZINC000338839339 527335389 /nfs/dbraw/zinc/33/53/89/527335389.db2.gz BIXPLLYCJUGISH-YUMQZZPRSA-N 0 0 259.668 2.946 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCO[C@H]2C2CC2)c(F)c1 ZINC000230308980 527337099 /nfs/dbraw/zinc/33/70/99/527337099.db2.gz KNSPUEBZJNHVIO-YPMHNXCESA-N 0 0 284.262 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(CCO)c2ccccc2)cc1 ZINC000303035719 527349345 /nfs/dbraw/zinc/34/93/45/527349345.db2.gz FZUGFCVVCSWLFJ-UHFFFAOYSA-N 0 0 272.304 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOCC23CC3)c(Cl)c1 ZINC000338591740 527349639 /nfs/dbraw/zinc/34/96/39/527349639.db2.gz HSWIKZDHJYORRC-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN Cn1ccc(CCNc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000227240494 527351968 /nfs/dbraw/zinc/35/19/68/527351968.db2.gz IQMPRYFHMQEZKG-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CC(C(F)(F)F)C2)nc1 ZINC000340897420 527364046 /nfs/dbraw/zinc/36/40/46/527364046.db2.gz MBALVGIPJMUZHW-UHFFFAOYSA-N 0 0 261.203 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CCO)c2ccccc2)cn1 ZINC000354327293 527365156 /nfs/dbraw/zinc/36/51/56/527365156.db2.gz NJTWBUMNXBYWBM-ZDUSSCGKSA-N 0 0 287.319 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOC2CCCCC2)nc1 ZINC000070167578 527365393 /nfs/dbraw/zinc/36/53/93/527365393.db2.gz CFCGJNZNJOPBBF-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CCCC2)cc1 ZINC000360318306 527373344 /nfs/dbraw/zinc/37/33/44/527373344.db2.gz MNHNQEOUHLHLOF-UHFFFAOYSA-N 0 0 251.282 2.933 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ncn(CC3CCC3)n2)c1 ZINC000172922272 527383584 /nfs/dbraw/zinc/38/35/84/527383584.db2.gz UGWXXDXCRSXBQQ-UHFFFAOYSA-N 0 0 258.281 2.653 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1OCCF ZINC000340703424 527384576 /nfs/dbraw/zinc/38/45/76/527384576.db2.gz KYFILCRKZSZTOJ-UHFFFAOYSA-N 0 0 264.050 2.706 20 5 CFBDRN O=[N+]([O-])c1cccc(COc2ccc(OCCO)cc2)c1 ZINC000178262349 527387566 /nfs/dbraw/zinc/38/75/66/527387566.db2.gz UFBADFMPEMDSLO-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCCC1CCOCC1 ZINC000338561689 527389932 /nfs/dbraw/zinc/38/99/32/527389932.db2.gz FKJPYCBXYRWIHS-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCOc2ccccc2CO)c1 ZINC000192801403 527391459 /nfs/dbraw/zinc/39/14/59/527391459.db2.gz STXZXYOLUHJJEW-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN CC(=O)c1ccccc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000174008604 527394511 /nfs/dbraw/zinc/39/45/11/527394511.db2.gz JUJSLNYFQRYOJX-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCC[C@H]3CCOC3)ccnc21 ZINC000236409591 527396677 /nfs/dbraw/zinc/39/66/77/527396677.db2.gz FVSTWANRJMSDCD-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(CCO)c1ccccc1 ZINC000078659946 527399563 /nfs/dbraw/zinc/39/95/63/527399563.db2.gz KXBGCZYLQXCXLW-UHFFFAOYSA-N 0 0 272.304 2.594 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@@H]1COCc2ccccc21 ZINC000225997064 527400743 /nfs/dbraw/zinc/40/07/43/527400743.db2.gz FHZAZHRSAOEBMZ-OAHLLOKOSA-N 0 0 284.315 2.956 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1CCC[C@@H](CO)C1 ZINC000311580490 527403203 /nfs/dbraw/zinc/40/32/03/527403203.db2.gz WUXXHSHRVKCBNA-VXGBXAGGSA-N 0 0 264.325 2.805 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSc2ccc(Cl)cn2)n1 ZINC000178497702 527408504 /nfs/dbraw/zinc/40/85/04/527408504.db2.gz BZHVVUCMEQNCTC-UHFFFAOYSA-N 0 0 284.728 2.632 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccc(Br)s1 ZINC000049372680 527408700 /nfs/dbraw/zinc/40/87/00/527408700.db2.gz DPYPIEBWTDTIMO-UHFFFAOYSA-N 0 0 288.126 2.664 20 5 CFBDRN O=[N+]([O-])c1cnn(CCc2c(F)cccc2Cl)c1 ZINC000077931452 527415472 /nfs/dbraw/zinc/41/54/72/527415472.db2.gz HBQCZFHIRCKSPJ-UHFFFAOYSA-N 0 0 269.663 2.827 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCCOc1ccccc1 ZINC000071810170 527416917 /nfs/dbraw/zinc/41/69/17/527416917.db2.gz FSBBZPFUYPFLMK-UHFFFAOYSA-N 0 0 274.276 2.838 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1ccc(C(F)(F)F)cn1 ZINC000340640814 527417397 /nfs/dbraw/zinc/41/73/97/527417397.db2.gz VNYCRMUZVXIKCL-UHFFFAOYSA-N 0 0 299.208 2.983 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1noc(-c2ccccc2)n1 ZINC000078747850 527417700 /nfs/dbraw/zinc/41/77/00/527417700.db2.gz BZNVBESKAGBQFX-UHFFFAOYSA-N 0 0 298.258 2.619 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000336118856 527481414 /nfs/dbraw/zinc/48/14/14/527481414.db2.gz SLXDKEHPOOAEGA-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN O=C(NC1CCC1)c1ccc(Br)c([N+](=O)[O-])c1 ZINC000052210618 527504000 /nfs/dbraw/zinc/50/40/00/527504000.db2.gz APTVDKWSJXGRMI-UHFFFAOYSA-N 0 0 299.124 2.640 20 5 CFBDRN O=C([O-])CCC1CC[NH+](Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000050926271 527575810 /nfs/dbraw/zinc/57/58/10/527575810.db2.gz NUHRTJGFQMNCTN-UHFFFAOYSA-N 0 0 292.335 2.672 20 5 CFBDRN CCC1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000176387736 527731469 /nfs/dbraw/zinc/73/14/69/527731469.db2.gz ZLIAYZMFSUJQQT-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN CC(C)CN(Cc1cnn(C)c1)c1ccccc1[N+](=O)[O-] ZINC000413369417 527953280 /nfs/dbraw/zinc/95/32/80/527953280.db2.gz GEEJKALCWADCLN-UHFFFAOYSA-N 0 0 288.351 2.991 20 5 CFBDRN CCOC(=O)[C@H](CC)Sc1ncc([N+](=O)[O-])cc1F ZINC000413184023 528011476 /nfs/dbraw/zinc/01/14/76/528011476.db2.gz MZAIUYUZLQTCSS-VIFPVBQESA-N 0 0 288.300 2.563 20 5 CFBDRN CC(C)c1ccc(C[NH2+]CC2(C(=O)[O-])CC2)cc1[N+](=O)[O-] ZINC000417615513 528100726 /nfs/dbraw/zinc/10/07/26/528100726.db2.gz UHKIZAFYYIWECM-UHFFFAOYSA-N 0 0 292.335 2.673 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000413385257 528108020 /nfs/dbraw/zinc/10/80/20/528108020.db2.gz HDJUWHZHNQDKBE-AOOOYVTPSA-N 0 0 288.307 2.517 20 5 CFBDRN CCOC1(CNc2ncc([N+](=O)[O-])cc2F)CCCC1 ZINC000413300296 528472616 /nfs/dbraw/zinc/47/26/16/528472616.db2.gz PYSRBVNEKBOTCB-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN CCC(C)(C)OCCNc1nc(C)ccc1[N+](=O)[O-] ZINC000308516261 528593975 /nfs/dbraw/zinc/59/39/75/528593975.db2.gz YYWZHCNZJNWOAC-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN CC(C)[C@@H](O)CCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413167240 528624222 /nfs/dbraw/zinc/62/42/22/528624222.db2.gz VFSIYHBZBKJPSD-AWEZNQCLSA-N 0 0 289.335 2.962 20 5 CFBDRN CCOCCCCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413074311 528645630 /nfs/dbraw/zinc/64/56/30/528645630.db2.gz RHSFAAUMMHOFOJ-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN CCOc1cccc(N[C@H]2C[C@@H](OCC)C2)c1[N+](=O)[O-] ZINC000413386762 528674917 /nfs/dbraw/zinc/67/49/17/528674917.db2.gz LRAKABFVAZKPGS-PHIMTYICSA-N 0 0 280.324 2.973 20 5 CFBDRN CCCc1nc(COc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000424105718 528752028 /nfs/dbraw/zinc/75/20/28/528752028.db2.gz WJYJCQSVPRPWAC-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN CCOC[C@@H]1CCCCN1c1ccc([N+](=O)[O-])c(C)n1 ZINC000413418052 528918766 /nfs/dbraw/zinc/91/87/66/528918766.db2.gz DNBLOOLJYFWOKG-LBPRGKRZSA-N 0 0 279.340 2.694 20 5 CFBDRN CCC(O)(CC)COc1ccc([N+](=O)[O-])cc1C ZINC000165227695 528978650 /nfs/dbraw/zinc/97/86/50/528978650.db2.gz NTQZFTASASNAMO-UHFFFAOYSA-N 0 0 253.298 2.833 20 5 CFBDRN CCOC[C@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000277305638 528990016 /nfs/dbraw/zinc/99/00/16/528990016.db2.gz WGOQFEOJARUKII-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN CC1(C)CCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000173686871 529001920 /nfs/dbraw/zinc/00/19/20/529001920.db2.gz JQVYHKJFWFAQBP-VOTSOKGWSA-N 0 0 274.320 2.867 20 5 CFBDRN CCC[C@@H](NCC(F)(F)CN)c1cccc([N+](=O)[O-])c1 ZINC000423335647 529005639 /nfs/dbraw/zinc/00/56/39/529005639.db2.gz UBTAYPAZKMEGAM-GFCCVEGCSA-N 0 0 287.310 2.620 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1N)C1CC(C)(C)C1 ZINC000413994055 529091683 /nfs/dbraw/zinc/09/16/83/529091683.db2.gz XELYWMLCGWVVFD-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN CCSCCOc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC000413013325 529181699 /nfs/dbraw/zinc/18/16/99/529181699.db2.gz GWILXAHXAUSXRL-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CC[C@@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413554797 529213349 /nfs/dbraw/zinc/21/33/49/529213349.db2.gz QNBWKOWCQDVNGF-DGCLKSJQSA-N 0 0 281.356 2.814 20 5 CFBDRN CC[C@@H](O)CCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413189754 529224275 /nfs/dbraw/zinc/22/42/75/529224275.db2.gz ADHOXMWGGWNHGF-GFCCVEGCSA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413144402 529263889 /nfs/dbraw/zinc/26/38/89/529263889.db2.gz TWKKAPRKRLUVTF-IINYFYTJSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413095137 529299671 /nfs/dbraw/zinc/29/96/71/529299671.db2.gz PPBLUPRIYHLNRW-SECBINFHSA-N 0 0 253.277 2.755 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2nn(CC)cc2[N+](=O)[O-])C1 ZINC000191630619 529315411 /nfs/dbraw/zinc/31/54/11/529315411.db2.gz OUDUQWNESSXALT-MNOVXSKESA-N 0 0 294.355 2.510 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000427406170 529322039 /nfs/dbraw/zinc/32/20/39/529322039.db2.gz SWFYXAQKUPCQHQ-ZWNOBZJWSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@@H](C)N(C(=O)c1nn(CC)cc1[N+](=O)[O-])[C@H](C)CC ZINC000191703032 529338068 /nfs/dbraw/zinc/33/80/68/529338068.db2.gz UANOZQURDDPXKP-GHMZBOCLSA-N 0 0 296.371 2.850 20 5 CFBDRN CC(C)CCn1cc(Br)c(=O)c([N+](=O)[O-])c1 ZINC000268572238 534980442 /nfs/dbraw/zinc/98/04/42/534980442.db2.gz AXTATFJNIBTIPM-UHFFFAOYSA-N 0 0 289.129 2.565 20 5 CFBDRN CCC(CC)(CO)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000267004686 534995473 /nfs/dbraw/zinc/99/54/73/534995473.db2.gz DNNDLZSEYMOAAR-UHFFFAOYSA-N 0 0 280.324 2.760 20 5 CFBDRN CC(C)N(CC1CCC1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000195129063 535047660 /nfs/dbraw/zinc/04/76/60/535047660.db2.gz HHBCJVSUBCHLSP-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000505295752 535252724 /nfs/dbraw/zinc/25/27/24/535252724.db2.gz MHOZQPZKRCIVAJ-VWYCJHECSA-N 0 0 294.355 2.509 20 5 CFBDRN CC/C=C\CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000452618067 535258149 /nfs/dbraw/zinc/25/81/49/535258149.db2.gz YLDSKTKRUIZPCZ-ARJAWSKDSA-N 0 0 268.700 2.944 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NCCC2CCCC2)c1[N+](=O)[O-] ZINC000269392533 535322022 /nfs/dbraw/zinc/32/20/22/535322022.db2.gz RPNDGFRCRKRBSR-UHFFFAOYSA-N 0 0 294.355 2.751 20 5 CFBDRN CCC[C@@H](OC)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000459981692 535403094 /nfs/dbraw/zinc/40/30/94/535403094.db2.gz CJTGGVWIXOIQCJ-QMTHXVAHSA-N 0 0 292.335 2.688 20 5 CFBDRN CCOC(=O)[C@@H](CC)Sc1ccc([N+](=O)[O-])c(C)n1 ZINC000268529335 535462853 /nfs/dbraw/zinc/46/28/53/535462853.db2.gz WQJASSJEQNXFLB-SNVBAGLBSA-N 0 0 284.337 2.732 20 5 CFBDRN CC[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21)C(F)F ZINC000452252408 535799359 /nfs/dbraw/zinc/79/93/59/535799359.db2.gz VHVGXAQPPFWXMQ-MRVPVSSYSA-N 0 0 297.261 2.850 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C(F)F ZINC000452250422 535800454 /nfs/dbraw/zinc/80/04/54/535800454.db2.gz SNBYZFSBTIBNIM-MRVPVSSYSA-N 0 0 276.214 2.507 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])OC ZINC000452799339 535843797 /nfs/dbraw/zinc/84/37/97/535843797.db2.gz BOAPBCKKBCKKNO-GXFFZTMASA-N 0 0 294.351 2.694 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000450770000 535911601 /nfs/dbraw/zinc/91/16/01/535911601.db2.gz YJDRDVAXUVCLKP-PSASIEDQSA-N 0 0 264.281 2.588 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000452768534 535915703 /nfs/dbraw/zinc/91/57/03/535915703.db2.gz VCMFNHCYJFYEOR-BDAKNGLRSA-N 0 0 296.298 2.518 20 5 CFBDRN CCc1n[nH]c(C(=O)N2C[C@H](CC)CC[C@@H]2C)c1[N+](=O)[O-] ZINC000456802648 536002236 /nfs/dbraw/zinc/00/22/36/536002236.db2.gz RWOZNEWLBWSPQA-VHSXEESVSA-N 0 0 294.355 2.531 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@H]1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000457276634 536032071 /nfs/dbraw/zinc/03/20/71/536032071.db2.gz KIWAKAQPLLPJEG-DOMZBBRYSA-N 0 0 291.351 2.732 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000452527600 536074597 /nfs/dbraw/zinc/07/45/97/536074597.db2.gz MGCUWSFNUJCFSX-WDEREUQCSA-N 0 0 291.351 2.733 20 5 CFBDRN CCn1cccc(CNc2cc(C)ccc2[N+](=O)[O-])c1=O ZINC000432823123 536099193 /nfs/dbraw/zinc/09/91/93/536099193.db2.gz JAPZOSWNQVJPPL-UHFFFAOYSA-N 0 0 287.319 2.697 20 5 CFBDRN COC[C@H]1CCCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000454133736 536501046 /nfs/dbraw/zinc/50/10/46/536501046.db2.gz HOGOPSFPYGKLPM-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN COc1cc(C)ccc1CNc1ccc([N+](=O)[O-])nc1 ZINC000342224162 536655370 /nfs/dbraw/zinc/65/53/70/536655370.db2.gz VDBNTYZGCDQQNE-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000459900697 536713482 /nfs/dbraw/zinc/71/34/82/536713482.db2.gz MZBVXLKKVWOKPV-RISCZKNCSA-N 0 0 292.335 2.763 20 5 CFBDRN COCCC1(C)CN(C/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000459634816 536853221 /nfs/dbraw/zinc/85/32/21/536853221.db2.gz SKYOLGUZCCXQNH-FNORWQNLSA-N 0 0 290.363 2.966 20 5 CFBDRN CC(C)C1CN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000935065272 649870639 /nfs/dbraw/zinc/87/06/39/649870639.db2.gz YZSBQLQHWPHZQV-UHFFFAOYSA-N 0 0 294.326 2.781 20 5 CFBDRN Cc1cccnc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000750185841 574050055 /nfs/dbraw/zinc/05/00/55/574050055.db2.gz SBTSKNJVJCIKCT-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC1(C)CN(Cc2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000746659471 574053655 /nfs/dbraw/zinc/05/36/55/574053655.db2.gz VMKLYQVGVWUJSI-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN O=C(OCC1CC=CC1)c1csc([N+](=O)[O-])c1 ZINC000750375106 574055299 /nfs/dbraw/zinc/05/52/99/574055299.db2.gz BGJHURQPYHGKEN-UHFFFAOYSA-N 0 0 253.279 2.779 20 5 CFBDRN C[C@@H]1CC(OC(=O)c2ccc([N+](=O)[O-])n2C)C[C@@H](C)C1 ZINC000744213271 574061468 /nfs/dbraw/zinc/06/14/68/574061468.db2.gz RJMQXXPNOFRLJV-UWVGGRQHSA-N 0 0 280.324 2.915 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCC(=O)C1CCC1 ZINC000754054980 574066937 /nfs/dbraw/zinc/06/69/37/574066937.db2.gz RGEQFFVEEFXPBV-TWGQIWQCSA-N 0 0 289.287 2.520 20 5 CFBDRN CCC(C)(C)COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000744330764 574068200 /nfs/dbraw/zinc/06/82/00/574068200.db2.gz ZKSDNTKTQVNKIR-UHFFFAOYSA-N 0 0 254.286 2.526 20 5 CFBDRN Cc1cccc(COC(=O)c2c(C)cccc2[N+](=O)[O-])n1 ZINC000736750133 574070279 /nfs/dbraw/zinc/07/02/79/574070279.db2.gz DNTVKDDDGJIANH-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1cncc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])c1 ZINC000744361774 574071184 /nfs/dbraw/zinc/07/11/84/574071184.db2.gz CFKLWPJJGPICKD-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN C[C@H](OC(=O)c1cncs1)c1ccc([N+](=O)[O-])cc1 ZINC000744358894 574071307 /nfs/dbraw/zinc/07/13/07/574071307.db2.gz FGTCDUUTLGAMDK-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN CCCCCC[C@@H](C)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000728050045 574078022 /nfs/dbraw/zinc/07/80/22/574078022.db2.gz BBOVTWYPXVGHRZ-SECBINFHSA-N 0 0 267.333 2.738 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000750897539 574078704 /nfs/dbraw/zinc/07/87/04/574078704.db2.gz RJNPXKUUNXWFSA-GFCCVEGCSA-N 0 0 281.333 2.842 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000736866610 574082700 /nfs/dbraw/zinc/08/27/00/574082700.db2.gz MAOZXSVMZWRTOF-SSDOTTSWSA-N 0 0 285.683 2.773 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C)ncn1 ZINC000754305510 574087871 /nfs/dbraw/zinc/08/78/71/574087871.db2.gz CBNSKBOQXNXMIS-YFHOEESVSA-N 0 0 298.302 2.735 20 5 CFBDRN Cc1cnc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)nc1 ZINC000754109840 574090097 /nfs/dbraw/zinc/09/00/97/574090097.db2.gz PBPZJGQZZIDYNN-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CCc1cnccc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000754365308 574090842 /nfs/dbraw/zinc/09/08/42/574090842.db2.gz LPOCYBQUDXCNPZ-UHFFFAOYSA-N 0 0 290.250 2.911 20 5 CFBDRN CCCOCCOC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000730694575 574092370 /nfs/dbraw/zinc/09/23/70/574092370.db2.gz HPJCDOUBWCVEOS-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN CC/C=C/CCOC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000744944258 574094430 /nfs/dbraw/zinc/09/44/30/574094430.db2.gz VQHAFBKHDDRWND-ONEGZZNKSA-N 0 0 278.308 2.906 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)CCOC1 ZINC000754432481 574095774 /nfs/dbraw/zinc/09/57/74/574095774.db2.gz OODQHIWEUJVHSJ-ZDUSSCGKSA-N 0 0 299.710 2.718 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000754578897 574112295 /nfs/dbraw/zinc/11/22/95/574112295.db2.gz VPLGDBBLWSCEIL-LBPRGKRZSA-N 0 0 281.308 2.875 20 5 CFBDRN O=C(OCCCF)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000741446432 574115683 /nfs/dbraw/zinc/11/56/83/574115683.db2.gz YTCWZKCNVOYIFA-UHFFFAOYSA-N 0 0 266.228 2.593 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000754588064 574115780 /nfs/dbraw/zinc/11/57/80/574115780.db2.gz ZZKKOJALZMXAED-ZDUSSCGKSA-N 0 0 281.308 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H](CO)CC3CC3)sc2c1 ZINC000754785442 574120559 /nfs/dbraw/zinc/12/05/59/574120559.db2.gz LIGGVMMAQCEUTD-VIFPVBQESA-N 0 0 293.348 2.777 20 5 CFBDRN C[C@H](Cc1ccco1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731454781 574120608 /nfs/dbraw/zinc/12/06/08/574120608.db2.gz MXUOHMXPPMTCKE-SECBINFHSA-N 0 0 292.266 2.688 20 5 CFBDRN O=C(OC1CCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731457976 574121491 /nfs/dbraw/zinc/12/14/91/574121491.db2.gz UWRGJDJDJUCUDY-UHFFFAOYSA-N 0 0 253.229 2.833 20 5 CFBDRN C[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000731547887 574122937 /nfs/dbraw/zinc/12/29/37/574122937.db2.gz PPQBJCCCDMQZPD-SSDOTTSWSA-N 0 0 253.229 2.689 20 5 CFBDRN O=C(OCCC(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731530224 574122982 /nfs/dbraw/zinc/12/29/82/574122982.db2.gz SYFHANPSKLCSFC-UHFFFAOYSA-N 0 0 281.161 2.843 20 5 CFBDRN O=C(OCCOC1CCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731546505 574122998 /nfs/dbraw/zinc/12/29/98/574122998.db2.gz DEQDBQICYSQGQI-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN CCC(CC)OC(=O)c1cccc([N+](=O)[O-])c1N ZINC000754841659 574124232 /nfs/dbraw/zinc/12/42/32/574124232.db2.gz NPWGJLMZOHQSBO-UHFFFAOYSA-N 0 0 252.270 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)CSC[C@H]2CCCO2)cc1 ZINC000754862903 574125361 /nfs/dbraw/zinc/12/53/61/574125361.db2.gz OMWPTXDEAWPALU-CHWSQXEVSA-N 0 0 283.349 2.540 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)Cc2ccccc21 ZINC000754964882 574129724 /nfs/dbraw/zinc/12/97/24/574129724.db2.gz KNDFUNJHHSMPPN-NSHDSACASA-N 0 0 299.330 2.693 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1cnn2ccccc12 ZINC000731842726 574130486 /nfs/dbraw/zinc/13/04/86/574130486.db2.gz PNXWRIGTIJWMFU-UHFFFAOYSA-N 0 0 297.270 2.600 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754985322 574131105 /nfs/dbraw/zinc/13/11/05/574131105.db2.gz SXJJRHMVELIBDJ-ZCFIWIBFSA-N 0 0 289.646 2.522 20 5 CFBDRN CCN(Cc1ccc(Cl)cc1)Cn1nccc1[N+](=O)[O-] ZINC000746033102 574131676 /nfs/dbraw/zinc/13/16/76/574131676.db2.gz MRDQXUQCQFEGEJ-UHFFFAOYSA-N 0 0 294.742 2.924 20 5 CFBDRN O=C(COCC1CC1)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746064449 574132618 /nfs/dbraw/zinc/13/26/18/574132618.db2.gz HRBUEHUMDOLBRQ-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nnc(-c2ccccc2)[nH]1 ZINC000728909899 574136910 /nfs/dbraw/zinc/13/69/10/574136910.db2.gz BABQYSAHKZTGAO-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN CCO[C@H](CC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728994827 574144532 /nfs/dbraw/zinc/14/45/32/574144532.db2.gz YSFCRNFSMDJISP-GFCCVEGCSA-N 0 0 285.271 2.592 20 5 CFBDRN COC[C@H](C)CC(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000755224695 574148117 /nfs/dbraw/zinc/14/81/17/574148117.db2.gz XZASHQGKSDCKFS-MNOVXSKESA-N 0 0 281.308 2.872 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000755242222 574149107 /nfs/dbraw/zinc/14/91/07/574149107.db2.gz AKRRACMSDFVNSR-LBPRGKRZSA-N 0 0 297.282 2.736 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000755248811 574149728 /nfs/dbraw/zinc/14/97/28/574149728.db2.gz JRPIDQUHWBQYIX-GWCFXTLKSA-N 0 0 279.292 2.766 20 5 CFBDRN CCCNC(=S)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000746531431 574153228 /nfs/dbraw/zinc/15/32/28/574153228.db2.gz CXIRLRZXADGDLB-UHFFFAOYSA-N 0 0 293.392 2.844 20 5 CFBDRN CCC1(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)COC1 ZINC000755324499 574153907 /nfs/dbraw/zinc/15/39/07/574153907.db2.gz BWIVRBLJCYRQIB-UHFFFAOYSA-N 0 0 298.726 2.922 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1ccc(C)cc1 ZINC000746663607 574161085 /nfs/dbraw/zinc/16/10/85/574161085.db2.gz SJXKMUCTLQFTMG-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN CCO[C@H]1CCCN(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000738320086 574164230 /nfs/dbraw/zinc/16/42/30/574164230.db2.gz NUHRNVPFVGCNFS-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN O=C(NCCC1CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000755499301 574166053 /nfs/dbraw/zinc/16/60/53/574166053.db2.gz JPDBEXPXGADPSS-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN O=C(/C=C/C1CCOCC1)OCc1csc([N+](=O)[O-])c1 ZINC000732690030 574166245 /nfs/dbraw/zinc/16/62/45/574166245.db2.gz MUYPHLLLTXHWGE-OWOJBTEDSA-N 0 0 297.332 2.682 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCCCC2CCCC2)n1 ZINC000755590205 574169348 /nfs/dbraw/zinc/16/93/48/574169348.db2.gz BWYHNJWJBLTWSQ-UHFFFAOYSA-N 0 0 295.339 2.938 20 5 CFBDRN Cc1cccc(OC(=O)c2ccc([N+](=O)[O-])n2C)c1C ZINC000755613158 574172126 /nfs/dbraw/zinc/17/21/26/574172126.db2.gz NSEXGRJLVIQUNX-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000729089006 574173229 /nfs/dbraw/zinc/17/32/29/574173229.db2.gz DJVPZSLZSZAIFS-BABHQGDISA-N 0 0 261.277 2.807 20 5 CFBDRN C[C@H](OC(=O)[C@]1(C)CCOC1)c1ccccc1[N+](=O)[O-] ZINC000755628548 574173389 /nfs/dbraw/zinc/17/33/89/574173389.db2.gz FJZQOXBYQOGIDQ-IINYFYTJSA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000729091298 574173752 /nfs/dbraw/zinc/17/37/52/574173752.db2.gz DNXZSBRKSLEZSW-IUCAKERBSA-N 0 0 274.276 2.889 20 5 CFBDRN CCCC(=O)COC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000747014677 574175596 /nfs/dbraw/zinc/17/55/96/574175596.db2.gz ZZYMMCZRPQDXIY-UHFFFAOYSA-N 0 0 279.292 2.683 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)C1CC1 ZINC000729114432 574176994 /nfs/dbraw/zinc/17/69/94/574176994.db2.gz RCVQXGGXABCRAE-UHFFFAOYSA-N 0 0 279.292 2.835 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC1CCCC1 ZINC000755674026 574177539 /nfs/dbraw/zinc/17/75/39/574177539.db2.gz OQNASLUZWGFLTA-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H](COC)C(C)C)cc1[N+](=O)[O-] ZINC000747158222 574182774 /nfs/dbraw/zinc/18/27/74/574182774.db2.gz JVAJHWUEGQLQGU-AWEZNQCLSA-N 0 0 295.335 2.985 20 5 CFBDRN COCC[C@H](C)OC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755747502 574184122 /nfs/dbraw/zinc/18/41/22/574184122.db2.gz LFRXLEBIRSSVJG-WEHUKYGOSA-N 0 0 293.319 2.966 20 5 CFBDRN CC(=O)CCCC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000755759870 574185092 /nfs/dbraw/zinc/18/50/92/574185092.db2.gz DYBRHCXEGZMDCB-NSHDSACASA-N 0 0 279.292 2.958 20 5 CFBDRN CCCCOCCOC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755763538 574185166 /nfs/dbraw/zinc/18/51/66/574185166.db2.gz RYBOPDRFNLFUSZ-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN C/C(=C\C(=O)Nc1ccon1)c1ccc([N+](=O)[O-])cc1 ZINC000755771371 574186182 /nfs/dbraw/zinc/18/61/82/574186182.db2.gz XOKIKRFOFZVDCD-CMDGGOBGSA-N 0 0 273.248 2.625 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)C[C@@H]2CCCOC2)c1 ZINC000747217356 574187610 /nfs/dbraw/zinc/18/76/10/574187610.db2.gz FCGWVXKGRGLAGA-JTQLQIEISA-N 0 0 298.314 2.605 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H](OC)C(C)C)c1 ZINC000747224683 574187987 /nfs/dbraw/zinc/18/79/87/574187987.db2.gz XKLOUKORIRPCOY-LBPRGKRZSA-N 0 0 286.303 2.825 20 5 CFBDRN CCOCCCCOC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755800706 574188238 /nfs/dbraw/zinc/18/82/38/574188238.db2.gz CQHDYXFZVSBYCL-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCC2C(C)(C)C2(C)C)n1 ZINC000755812651 574189173 /nfs/dbraw/zinc/18/91/73/574189173.db2.gz WRBYXRRBFIBTBQ-UHFFFAOYSA-N 0 0 295.339 2.650 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC2CCC(C)(C)CC2)n1 ZINC000755856905 574193197 /nfs/dbraw/zinc/19/31/97/574193197.db2.gz QEHYPHKKHKRKRS-UHFFFAOYSA-N 0 0 295.339 2.937 20 5 CFBDRN CC[C@@H](Nc1nc2ccccn2c1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000755922039 574198263 /nfs/dbraw/zinc/19/82/63/574198263.db2.gz DUNUNWWLCLZLEJ-MNOVXSKESA-N 0 0 290.323 2.612 20 5 CFBDRN CC[C@H](Nc1nc2ccccn2c1[N+](=O)[O-])[C@H]1CCCO1 ZINC000755922050 574198290 /nfs/dbraw/zinc/19/82/90/574198290.db2.gz DUNUNWWLCLZLEJ-WDEREUQCSA-N 0 0 290.323 2.612 20 5 CFBDRN CC(C)CN(c1nc2ccccn2c1[N+](=O)[O-])C1CC1 ZINC000733186421 574207964 /nfs/dbraw/zinc/20/79/64/574207964.db2.gz GGINJSUPTSEYEB-UHFFFAOYSA-N 0 0 274.324 2.867 20 5 CFBDRN CC(=O)c1ccc(NCc2cccc(F)n2)c([N+](=O)[O-])c1 ZINC000747845983 574215510 /nfs/dbraw/zinc/21/55/10/574215510.db2.gz QZLOJGPCJGEXAT-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN Cc1cc(CNc2nc3ccc([N+](=O)[O-])cc3s2)[nH]n1 ZINC000733302803 574216276 /nfs/dbraw/zinc/21/62/76/574216276.db2.gz QREURAXOEZZXJN-UHFFFAOYSA-N 0 0 289.320 2.848 20 5 CFBDRN CCc1ccccc1OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000756211394 574217178 /nfs/dbraw/zinc/21/71/78/574217178.db2.gz HORVTNZDXMNOLW-UHFFFAOYSA-N 0 0 274.276 2.715 20 5 CFBDRN COC[C@H](C)CC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000756259856 574219557 /nfs/dbraw/zinc/21/95/57/574219557.db2.gz ZTGHJTRFXJCCMI-MRVPVSSYSA-N 0 0 287.699 2.826 20 5 CFBDRN C/C=C\C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000739589790 574220224 /nfs/dbraw/zinc/22/02/24/574220224.db2.gz QXJUFLUSDLJWFU-DJWKRKHSSA-N 0 0 262.309 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCSCC3)sc2c1 ZINC000729634419 574224842 /nfs/dbraw/zinc/22/48/42/574224842.db2.gz XZQGPQVKIHURJM-UHFFFAOYSA-N 0 0 281.362 2.758 20 5 CFBDRN CC[C@@H](C)COC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000756338258 574226110 /nfs/dbraw/zinc/22/61/10/574226110.db2.gz KKSIORCXNYHNFQ-SECBINFHSA-N 0 0 266.297 2.839 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000756367068 574230013 /nfs/dbraw/zinc/23/00/13/574230013.db2.gz CFHXSSAXRLQFSU-SSDOTTSWSA-N 0 0 285.321 2.737 20 5 CFBDRN C[C@@H]1CCC[C@@H](CC(=O)OCCn2cc([N+](=O)[O-])cn2)C1 ZINC000748120370 574230398 /nfs/dbraw/zinc/23/03/98/574230398.db2.gz KBEUWVILFRKQEA-VXGBXAGGSA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(/C=C/C1CC1)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000745553490 574232203 /nfs/dbraw/zinc/23/22/03/574232203.db2.gz IQAONTIWQIYAPC-RUDMXATFSA-N 0 0 291.303 2.873 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000756388933 574232452 /nfs/dbraw/zinc/23/24/52/574232452.db2.gz DQRKQMQOYJUSCF-QMMMGPOBSA-N 0 0 255.245 2.937 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1ccc([N+](=O)[O-])s1 ZINC000748159457 574233395 /nfs/dbraw/zinc/23/33/95/574233395.db2.gz FBRCYDBSJXCBJC-MRVPVSSYSA-N 0 0 283.305 2.572 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@H]1C=CCCC1 ZINC000733423812 574233879 /nfs/dbraw/zinc/23/38/79/574233879.db2.gz LLCABFXXNFMEFI-NSHDSACASA-N 0 0 262.265 2.564 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC[C@@H]1CCCC1=O ZINC000748181320 574235754 /nfs/dbraw/zinc/23/57/54/574235754.db2.gz GHALIJJGNPWYLZ-NSHDSACASA-N 0 0 291.303 2.819 20 5 CFBDRN Cc1nc(C)c(C(=O)OCc2csc([N+](=O)[O-])c2)o1 ZINC000748205798 574239738 /nfs/dbraw/zinc/23/97/38/574239738.db2.gz KFBZUEVCJJMWDW-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1cnn2ccccc12 ZINC000733554596 574245230 /nfs/dbraw/zinc/24/52/30/574245230.db2.gz WURVNHHWBMVVNN-UHFFFAOYSA-N 0 0 297.270 2.600 20 5 CFBDRN CCc1ocnc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000740274332 574245363 /nfs/dbraw/zinc/24/53/63/574245363.db2.gz VODOUARAPWVBEA-UHFFFAOYSA-N 0 0 282.277 2.564 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000733580793 574247508 /nfs/dbraw/zinc/24/75/08/574247508.db2.gz SKZAVXASMJTCME-JTQLQIEISA-N 0 0 251.282 2.930 20 5 CFBDRN CC(C)OCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000740392056 574249673 /nfs/dbraw/zinc/24/96/73/574249673.db2.gz RFPSCLNTILUPTJ-UHFFFAOYSA-N 0 0 295.335 2.841 20 5 CFBDRN CC(C)(C)NC(=S)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000756856608 574269298 /nfs/dbraw/zinc/26/92/98/574269298.db2.gz VPBZYFJEZODHFZ-UHFFFAOYSA-N 0 0 293.392 2.626 20 5 CFBDRN Cc1ncc(CNCc2cc([N+](=O)[O-])ccc2Cl)cn1 ZINC000756900600 574271281 /nfs/dbraw/zinc/27/12/81/574271281.db2.gz QXQDAMGVAZEKKU-UHFFFAOYSA-N 0 0 292.726 2.636 20 5 CFBDRN C[C@H]1C[C@H](C)N(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000740871274 574271481 /nfs/dbraw/zinc/27/14/81/574271481.db2.gz QBHFXDPRFKNULN-IUCAKERBSA-N 0 0 265.269 2.738 20 5 CFBDRN Cc1sc(C(=O)OC[Si](C)(C)C)cc1[N+](=O)[O-] ZINC000740954018 574275343 /nfs/dbraw/zinc/27/53/43/574275343.db2.gz LZRAFNLEBLQDIK-UHFFFAOYSA-N 0 0 273.386 2.999 20 5 CFBDRN Cc1cc(C(=O)OC[Si](C)(C)C)cc([N+](=O)[O-])c1 ZINC000740958099 574275629 /nfs/dbraw/zinc/27/56/29/574275629.db2.gz LTLATMYQNFPPEH-UHFFFAOYSA-N 0 0 267.357 2.937 20 5 CFBDRN C[Si](C)(C)COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000740959071 574276122 /nfs/dbraw/zinc/27/61/22/574276122.db2.gz VEFRWYFYMVQJCA-UHFFFAOYSA-N 0 0 271.320 2.768 20 5 CFBDRN CCCOCC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000749058049 574278137 /nfs/dbraw/zinc/27/81/37/574278137.db2.gz WCNKCZRHPFTMNL-JTQLQIEISA-N 0 0 267.281 2.626 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])cnn1C ZINC000749058669 574278528 /nfs/dbraw/zinc/27/85/28/574278528.db2.gz PAOVSXUPXVWJFC-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2cccc(F)c2[N+](=O)[O-])o1 ZINC000741241773 574284326 /nfs/dbraw/zinc/28/43/26/574284326.db2.gz AXGCPIXBPHQRSP-NSHDSACASA-N 0 0 280.255 2.781 20 5 CFBDRN O=[N+]([O-])c1c(Oc2ccc3c[nH]nc3c2)nc2ccccn21 ZINC000749255954 574286566 /nfs/dbraw/zinc/28/65/66/574286566.db2.gz JIWDNPRHZYMNBZ-UHFFFAOYSA-N 0 0 295.258 2.911 20 5 CFBDRN O=[N+]([O-])c1c(N(CC2CC2)CC2CC2)nc2ccccn21 ZINC000734482656 574288283 /nfs/dbraw/zinc/28/82/83/574288283.db2.gz RULRPOLWUPZMCL-UHFFFAOYSA-N 0 0 286.335 2.869 20 5 CFBDRN CCCCSCC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000742008026 574313827 /nfs/dbraw/zinc/31/38/27/574313827.db2.gz VRUBCQZBNLCQEK-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN Cc1cnc(OCC(F)(F)C(F)F)c([N+](=O)[O-])c1 ZINC000750005188 574320908 /nfs/dbraw/zinc/32/09/08/574320908.db2.gz MKLIRHQVDRHJJD-UHFFFAOYSA-N 0 0 268.166 2.577 20 5 CFBDRN O=C(CC1CCOCC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000735357042 574321664 /nfs/dbraw/zinc/32/16/64/574321664.db2.gz FAHBPCQHRICUIW-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCc1ccc(F)cc1 ZINC000750085424 574323689 /nfs/dbraw/zinc/32/36/89/574323689.db2.gz IMSSXCJZYAMAPW-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])o1)c1cccnc1 ZINC000750124151 574326461 /nfs/dbraw/zinc/32/64/61/574326461.db2.gz XVESMRNEIHFZTQ-UHFFFAOYSA-N 0 0 275.264 2.640 20 5 CFBDRN Cc1c(Oc2ccc([N+](=O)[O-])nc2)cccc1[N+](=O)[O-] ZINC000735487163 574326539 /nfs/dbraw/zinc/32/65/39/574326539.db2.gz QRHLYBMRCWMWLL-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@H](O)c1ccc(F)cc1 ZINC000735487639 574326629 /nfs/dbraw/zinc/32/66/29/574326629.db2.gz RHBBFRBHOKNAMK-XPTSAGLGSA-N 0 0 291.282 2.663 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@H](n2ccnc2)C1 ZINC000742400882 574328033 /nfs/dbraw/zinc/32/80/33/574328033.db2.gz KWBNMKLDAUILHJ-NSHDSACASA-N 0 0 290.298 2.772 20 5 CFBDRN Cc1cccnc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000750165464 574329243 /nfs/dbraw/zinc/32/92/43/574329243.db2.gz SQSPELYDKVPEQG-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cnc(NCc2ccc(Cl)nc2)c([N+](=O)[O-])c1 ZINC000742430104 574330352 /nfs/dbraw/zinc/33/03/52/574330352.db2.gz UDYBNBHQOGOZGN-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CCO[C@@H](C)C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735800321 574336390 /nfs/dbraw/zinc/33/63/90/574336390.db2.gz FSDFUUOPFVXHCA-QMMMGPOBSA-N 0 0 287.699 2.716 20 5 CFBDRN CO[C@H](C)C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000735821338 574336716 /nfs/dbraw/zinc/33/67/16/574336716.db2.gz AGXBFHOHYWSNSG-SSDOTTSWSA-N 0 0 272.688 2.530 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC1([C@@H]2CCOC2)CC1 ZINC000735907718 574341440 /nfs/dbraw/zinc/34/14/40/574341440.db2.gz KJFZLWXYZJZSBP-LLVKDONJSA-N 0 0 291.303 2.629 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000742737853 574341908 /nfs/dbraw/zinc/34/19/08/574341908.db2.gz GFUIEONFSIISTM-WDEREUQCSA-N 0 0 294.376 2.917 20 5 CFBDRN Cc1cccc(C(=O)OC[Si](C)(C)C)c1[N+](=O)[O-] ZINC000735959543 574343957 /nfs/dbraw/zinc/34/39/57/574343957.db2.gz AJUCDMGFRUBJCW-UHFFFAOYSA-N 0 0 267.357 2.937 20 5 CFBDRN CC1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000750533506 574346220 /nfs/dbraw/zinc/34/62/20/574346220.db2.gz UEYDHQJVJBANDF-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000736059335 574349508 /nfs/dbraw/zinc/34/95/08/574349508.db2.gz DSXUPZWQYDSQNQ-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN CCC1(C(=O)OCCN(C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000750694593 574351528 /nfs/dbraw/zinc/35/15/28/574351528.db2.gz RQNTVWQRGPDFMR-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCc3c[nH]nc3C2)ccc1Cl ZINC000750721988 574352399 /nfs/dbraw/zinc/35/23/99/574352399.db2.gz PEDRGZKXMBUFFE-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN O=C(OCCC(F)(F)F)c1cc(F)ccc1[N+](=O)[O-] ZINC000743197489 574354913 /nfs/dbraw/zinc/35/49/13/574354913.db2.gz OOSIPDRVFCYLDI-UHFFFAOYSA-N 0 0 281.161 2.843 20 5 CFBDRN O=C(c1cc2occc2[nH]1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000750885844 574359244 /nfs/dbraw/zinc/35/92/44/574359244.db2.gz FMRVBLBZQNUPOR-UHFFFAOYSA-N 0 0 297.270 2.872 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2ccccc2)cn1 ZINC000736294399 574360080 /nfs/dbraw/zinc/36/00/80/574360080.db2.gz JNCNSHNGQSGISC-UHFFFAOYSA-N 0 0 258.281 2.514 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCNc1ccccc1 ZINC000736295619 574360187 /nfs/dbraw/zinc/36/01/87/574360187.db2.gz YRJXABSDJXMDLL-UHFFFAOYSA-N 0 0 258.281 2.514 20 5 CFBDRN Cc1ncoc1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000750932640 574363876 /nfs/dbraw/zinc/36/38/76/574363876.db2.gz VOJWMXZBCPFCLJ-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CC(C)=C(C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000750942381 574364430 /nfs/dbraw/zinc/36/44/30/574364430.db2.gz WDZVWYXCKHMFGV-UHFFFAOYSA-N 0 0 277.276 2.677 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000743460140 574365607 /nfs/dbraw/zinc/36/56/07/574365607.db2.gz AWZGPBBUBAUIIL-NXEZZACHSA-N 0 0 297.311 2.712 20 5 CFBDRN CCCCN(C(=O)Cn1c(C)ncc1[N+](=O)[O-])[C@@H](C)CC ZINC000743545360 574368525 /nfs/dbraw/zinc/36/85/25/574368525.db2.gz RGGSWEDMBPCSDA-NSHDSACASA-N 0 0 296.371 2.527 20 5 CFBDRN CC(C)=C(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000751362082 574375357 /nfs/dbraw/zinc/37/53/57/574375357.db2.gz FEPQPEMJEZEDNQ-UHFFFAOYSA-N 0 0 262.309 2.610 20 5 CFBDRN CS/C=C\C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000751458710 574377331 /nfs/dbraw/zinc/37/73/31/574377331.db2.gz YLOWGPJFRIVYQY-WAYWQWQTSA-N 0 0 252.295 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(C(Cl)=Cc3cn[nH]c3)nc2c1 ZINC000751525553 574378319 /nfs/dbraw/zinc/37/83/19/574378319.db2.gz WXJUPKZCGRLIQM-OQFOIZHKSA-N 0 0 289.682 2.931 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751626608 574380277 /nfs/dbraw/zinc/38/02/77/574380277.db2.gz BXVCETNZMUUPLZ-MQQKCMAXSA-N 0 0 288.259 2.912 20 5 CFBDRN C[C@@H](C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)C1CC1 ZINC000751675033 574380811 /nfs/dbraw/zinc/38/08/11/574380811.db2.gz UGUDNWZZTSRSAU-MRVPVSSYSA-N 0 0 290.275 2.825 20 5 CFBDRN CC(C)OCCCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000751725411 574381450 /nfs/dbraw/zinc/38/14/50/574381450.db2.gz DPZHZEWCURWDNL-UHFFFAOYSA-N 0 0 299.298 2.982 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000751735821 574381728 /nfs/dbraw/zinc/38/17/28/574381728.db2.gz IDWXDYWIYHXTIN-CHWSQXEVSA-N 0 0 291.303 2.693 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])[C@@H]1C[C@H]1C1CC1 ZINC000751747030 574382065 /nfs/dbraw/zinc/38/20/65/574382065.db2.gz SOFVBOHEOTYVMN-NWDGAFQWSA-N 0 0 291.303 2.563 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2C[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000751749247 574382198 /nfs/dbraw/zinc/38/21/98/574382198.db2.gz ZCXDAHAWQJVLGQ-CHWSQXEVSA-N 0 0 275.304 2.993 20 5 CFBDRN CC(C)(C)C[C@@H](O)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000751761895 574382547 /nfs/dbraw/zinc/38/25/47/574382547.db2.gz DWURQIGXIPPUDO-GFCCVEGCSA-N 0 0 299.298 2.574 20 5 CFBDRN CC(C)(C)C[C@H](O)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000751766279 574382604 /nfs/dbraw/zinc/38/26/04/574382604.db2.gz TVNRJOXCDCOICP-LBPRGKRZSA-N 0 0 299.298 2.574 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000751798741 574383113 /nfs/dbraw/zinc/38/31/13/574383113.db2.gz VYYJZPVBTDODST-CYBMUJFWSA-N 0 0 293.319 2.953 20 5 CFBDRN CCC(=O)COC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000752345835 574390507 /nfs/dbraw/zinc/39/05/07/574390507.db2.gz BIJWCUSSLGSNQX-NTMALXAHSA-N 0 0 277.276 2.520 20 5 CFBDRN C/C(=C/C(=O)NC1CC(C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000752500337 574392076 /nfs/dbraw/zinc/39/20/76/574392076.db2.gz NBMUXTLKGCPULC-LUAWRHEFSA-N 0 0 274.320 2.913 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000752557347 574392731 /nfs/dbraw/zinc/39/27/31/574392731.db2.gz OLSMCGDSAGSOCL-QMMMGPOBSA-N 0 0 255.245 2.998 20 5 CFBDRN CSCCCOC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000752558075 574392781 /nfs/dbraw/zinc/39/27/81/574392781.db2.gz TTXRIHHSKYWPKQ-UHFFFAOYSA-N 0 0 287.312 2.952 20 5 CFBDRN C/C(=C/C(=O)N1CC(C(F)F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000752578173 574392919 /nfs/dbraw/zinc/39/29/19/574392919.db2.gz KQQORCQSTXNQHQ-TWGQIWQCSA-N 0 0 296.273 2.722 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC2CCCC2)c1[N+](=O)[O-] ZINC000753091115 574398404 /nfs/dbraw/zinc/39/84/04/574398404.db2.gz DULUWHKXOFUCDK-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN Cc1nn(C)c(Cl)c1/C=C/c1ccc([N+](=O)[O-])cn1 ZINC000753146813 574399350 /nfs/dbraw/zinc/39/93/50/574399350.db2.gz NYLHYWYIIZTDOP-GQCTYLIASA-N 0 0 278.699 2.856 20 5 CFBDRN COc1cc(N)c([N+](=O)[O-])cc1C(=O)OCCC(C)(C)C ZINC000753447454 574402572 /nfs/dbraw/zinc/40/25/72/574402572.db2.gz HREWAYOABWKSHY-UHFFFAOYSA-N 0 0 296.323 2.779 20 5 CFBDRN CC1(CC(=O)OCCn2ccc([N+](=O)[O-])n2)CCCCC1 ZINC000753541136 574404146 /nfs/dbraw/zinc/40/41/46/574404146.db2.gz QOWIORQUYWKQDL-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1CC(F)(F)C1 ZINC000753628010 574407063 /nfs/dbraw/zinc/40/70/63/574407063.db2.gz BYBBRCGPTWUHLZ-UHFFFAOYSA-N 0 0 271.219 2.854 20 5 CFBDRN CCOc1ccc([C@@H](C)[NH2+][C@H](CC)C(=O)[O-])cc1[N+](=O)[O-] ZINC000820387448 598094584 /nfs/dbraw/zinc/09/45/84/598094584.db2.gz RATVYYGGHLLCIZ-MWLCHTKSSA-N 0 0 296.323 2.507 20 5 CFBDRN Cc1c([C@H](C)[NH2+][C@@H](C(=O)[O-])C(C)C)cccc1[N+](=O)[O-] ZINC000820944622 598197515 /nfs/dbraw/zinc/19/75/15/598197515.db2.gz HRHKEFVGGLMWPD-GXFFZTMASA-N 0 0 280.324 2.663 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[NH2+][C@@H](C(=O)[O-])C(C)C ZINC000821103434 598197527 /nfs/dbraw/zinc/19/75/27/598197527.db2.gz HUFWLZMXWNBVNT-ZWNOBZJWSA-N 0 0 280.324 2.663 20 5 CFBDRN C[C@@H]([NH2+]C1CCC(C(=O)[O-])CC1)c1ccccc1[N+](=O)[O-] ZINC000086735667 600863598 /nfs/dbraw/zinc/86/35/98/600863598.db2.gz ICWHFXRWJSEWKP-VOMCLLRMSA-N 0 0 292.335 2.889 20 5 CFBDRN COC/C(C)=C/COc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000901573557 620866978 /nfs/dbraw/zinc/86/69/78/620866978.db2.gz BQMIMGBUGCLHSG-WEVVVXLNSA-N 0 0 266.297 2.578 20 5 CFBDRN O=C([O-])CC1([NH2+]Cc2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000833206738 601553660 /nfs/dbraw/zinc/55/36/60/601553660.db2.gz ZIKVNLFOLBGTDT-UHFFFAOYSA-N 0 0 292.335 2.862 20 5 CFBDRN CC(C)[C@H](C[NH2+][C@@H](C)c1ccc([N+](=O)[O-])cc1)NC(=O)[O-] ZINC000825483753 603832718 /nfs/dbraw/zinc/83/27/18/603832718.db2.gz AAAZWPKPXHUJFU-GWCFXTLKSA-N 0 0 295.339 2.538 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[NH2+]CCCN(C)C(=O)[O-] ZINC000830428078 604263744 /nfs/dbraw/zinc/26/37/44/604263744.db2.gz REYQYIPUONTJKA-LLVKDONJSA-N 0 0 295.339 2.554 20 5 CFBDRN C/C(=C/C[N@H+](Cc1cccc([N+](=O)[O-])c1)C1CC1)C(=O)[O-] ZINC000825729979 604785655 /nfs/dbraw/zinc/78/56/55/604785655.db2.gz OUKFDNXOAFNBDF-XFFZJAGNSA-N 0 0 290.319 2.590 20 5 CFBDRN C[C@@]1([NH2+]Cc2csc([N+](=O)[O-])c2)CCCC[C@H]1C(=O)[O-] ZINC000833568616 605066480 /nfs/dbraw/zinc/06/64/80/605066480.db2.gz GBMKMJFLLATKBA-GXFFZTMASA-N 0 0 298.364 2.779 20 5 CFBDRN O=C(NC1(C(F)F)CC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935839098 649953611 /nfs/dbraw/zinc/95/36/11/649953611.db2.gz ZHYFXFYMJADPES-MNOVXSKESA-N 0 0 296.273 2.612 20 5 CFBDRN C[C@]1(c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)C=CCC1 ZINC000923949597 620694986 /nfs/dbraw/zinc/69/49/86/620694986.db2.gz CXZOJIXDEKBKAS-AWEZNQCLSA-N 0 0 286.291 2.571 20 5 CFBDRN C/C(=C(\F)C(=O)NCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000900858316 620722784 /nfs/dbraw/zinc/72/27/84/620722784.db2.gz QNEMAAPHRUOSSA-GXDHUFHOSA-N 0 0 292.310 2.907 20 5 CFBDRN Cc1ccc([C@H](C)Nc2c([N+](=O)[O-])cnn2C)s1 ZINC000891963140 617880783 /nfs/dbraw/zinc/88/07/83/617880783.db2.gz BGWZXXLVTNWJPJ-QMMMGPOBSA-N 0 0 266.326 2.871 20 5 CFBDRN C[C@H](C(=O)NC/C=C\Cl)c1cccc([N+](=O)[O-])c1 ZINC000891200698 618038524 /nfs/dbraw/zinc/03/85/24/618038524.db2.gz DYMHHTJPHYOWFY-RWIWAZIYSA-N 0 0 268.700 2.567 20 5 CFBDRN C[C@H](CCO)SCc1cc(F)ccc1[N+](=O)[O-] ZINC000091264934 618049417 /nfs/dbraw/zinc/04/94/17/618049417.db2.gz GCXARWJFGZJFKN-MRVPVSSYSA-N 0 0 259.302 2.738 20 5 CFBDRN O=C(NC/C=C\Cl)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000891216637 618049618 /nfs/dbraw/zinc/04/96/18/618049618.db2.gz VUOFFMFNKRJHCI-YNNXIKFZSA-N 0 0 280.711 2.567 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC(Cc2ccoc2)C1 ZINC000890567237 617659490 /nfs/dbraw/zinc/65/94/90/617659490.db2.gz SSQGJKVPQPXLPN-UHFFFAOYSA-N 0 0 286.287 2.503 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCc1ccc(C)s1 ZINC000891871986 617794757 /nfs/dbraw/zinc/79/47/57/617794757.db2.gz HCSLAFHPAARPKA-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC1(c2ccc(F)cc2)CC1 ZINC000891871405 617795334 /nfs/dbraw/zinc/79/53/34/617795334.db2.gz KVBSOCQSPVPNJC-UHFFFAOYSA-N 0 0 290.298 2.611 20 5 CFBDRN CCCOc1ccc(CNc2c([N+](=O)[O-])cnn2C)cc1 ZINC000891882569 617801196 /nfs/dbraw/zinc/80/11/96/617801196.db2.gz CQBLBRKTUUGMGB-UHFFFAOYSA-N 0 0 290.323 2.729 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCCC2(F)F)nc2ccccn21 ZINC000804687583 617811252 /nfs/dbraw/zinc/81/12/52/617811252.db2.gz CRZRCAYOIPPOGJ-MRVPVSSYSA-N 0 0 282.250 2.842 20 5 CFBDRN Cc1ccc(CNc2c([N+](=O)[O-])cnn2C)c(C)c1 ZINC000891896825 617811664 /nfs/dbraw/zinc/81/16/64/617811664.db2.gz RDOBVCZJKWQZEB-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CC(F)(F)C3)c([N+](=O)[O-])c1 ZINC000804688262 617812097 /nfs/dbraw/zinc/81/20/97/617812097.db2.gz JKPYQUFEIGYCPK-UHFFFAOYSA-N 0 0 299.233 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](C(F)F)C2)c([N+](=O)[O-])c1 ZINC000804688851 617812323 /nfs/dbraw/zinc/81/23/23/617812323.db2.gz QBCHTEWHMNSZGC-SSDOTTSWSA-N 0 0 287.222 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3C[C@H]32)c(Br)c1 ZINC000804688615 617812433 /nfs/dbraw/zinc/81/24/33/617812433.db2.gz PBUZZULPRHKZJX-WRWORJQWSA-N 0 0 283.125 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(C2)CC(F)(F)C3)n1 ZINC000804689130 617813920 /nfs/dbraw/zinc/81/39/20/617813920.db2.gz WERMWSCDDFYFTR-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000840533534 617821755 /nfs/dbraw/zinc/82/17/55/617821755.db2.gz WQUHKIFMFQNGFZ-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN CC[C@@H](C)OC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000121633156 617839991 /nfs/dbraw/zinc/83/99/91/617839991.db2.gz VTQQPSHTFSCOHF-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC=CC[C@H]1C ZINC000890766517 617854498 /nfs/dbraw/zinc/85/44/98/617854498.db2.gz GAVSLWUSENLEPZ-ZYHUDNBSSA-N 0 0 274.320 2.988 20 5 CFBDRN C[C@@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000806606702 617862707 /nfs/dbraw/zinc/86/27/07/617862707.db2.gz SPYMKMJKEMGDCD-ZCFIWIBFSA-N 0 0 293.270 2.832 20 5 CFBDRN CN(c1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000804785048 617880466 /nfs/dbraw/zinc/88/04/66/617880466.db2.gz XRUHKNALRQXWSV-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@H]1CO[C@@H](C(F)(F)F)CN1c1ccc([N+](=O)[O-])cc1 ZINC000804793059 617884116 /nfs/dbraw/zinc/88/41/16/617884116.db2.gz WACVOKTYOMHGSV-GZMMTYOYSA-N 0 0 290.241 2.751 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000813100985 617923018 /nfs/dbraw/zinc/92/30/18/617923018.db2.gz ZWDDVCBKTLRGAY-QWRGUYRKSA-N 0 0 281.308 2.727 20 5 CFBDRN C[C@@H](COC(=O)CCCSC(C)(C)C)[N+](=O)[O-] ZINC000813104403 617925789 /nfs/dbraw/zinc/92/57/89/617925789.db2.gz ZYCUXYQFGRYDJB-VIFPVBQESA-N 0 0 263.359 2.507 20 5 CFBDRN Cc1[nH]c2ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc2c1C ZINC000813105073 617926267 /nfs/dbraw/zinc/92/62/67/617926267.db2.gz VEWIGAKFTBHKCB-MRVPVSSYSA-N 0 0 276.292 2.607 20 5 CFBDRN C[C@@H](CCC(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813107981 617933409 /nfs/dbraw/zinc/93/34/09/617933409.db2.gz SQOFDHWGGYUXTG-RYUDHWBXSA-N 0 0 265.309 2.779 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CC[C@@H]2C)c1 ZINC000804840548 617937210 /nfs/dbraw/zinc/93/72/10/617937210.db2.gz MRRAMAXZKZRVIM-XVKPBYJWSA-N 0 0 254.261 2.953 20 5 CFBDRN C/C(=C\c1cccc(Cl)c1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813113838 617946988 /nfs/dbraw/zinc/94/69/88/617946988.db2.gz JVFLQZCCJIHLHQ-ZKXNXJMVSA-N 0 0 283.711 2.952 20 5 CFBDRN C/C(=C\c1cccc(Cl)c1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813113837 617947154 /nfs/dbraw/zinc/94/71/54/617947154.db2.gz JVFLQZCCJIHLHQ-OLKPEBQYSA-N 0 0 283.711 2.952 20 5 CFBDRN COc1cc(C(=O)OC2CC(C)C2)ccc1[N+](=O)[O-] ZINC000813232632 617986809 /nfs/dbraw/zinc/98/68/09/617986809.db2.gz VVHFZMIWPDZUQB-UHFFFAOYSA-N 0 0 265.265 2.559 20 5 CFBDRN Cc1[nH]nc2c1CCCN2Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000934310460 617996188 /nfs/dbraw/zinc/99/61/88/617996188.db2.gz KPJLRQSCFRLMHL-UHFFFAOYSA-N 0 0 290.298 2.718 20 5 CFBDRN C/C(=C(\F)C(=O)NCCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000901232496 620768011 /nfs/dbraw/zinc/76/80/11/620768011.db2.gz DWOPAFNUTIRELE-GXDHUFHOSA-N 0 0 292.310 2.907 20 5 CFBDRN C/C(=C(/F)C(=O)NCCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000901232497 620768287 /nfs/dbraw/zinc/76/82/87/620768287.db2.gz DWOPAFNUTIRELE-UVTDQMKNSA-N 0 0 292.310 2.907 20 5 CFBDRN CC(C)(CCC(=O)N1CC(C)(C)[C@@H]2CCC[C@@H]21)[N+](=O)[O-] ZINC000891167747 618019636 /nfs/dbraw/zinc/01/96/36/618019636.db2.gz FRSKZTLMUPAYOM-NEPJUHHUSA-N 0 0 282.384 2.859 20 5 CFBDRN CCCCC1(NC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000889526779 618049790 /nfs/dbraw/zinc/04/97/90/618049790.db2.gz RICAWABMIMWRSE-UHFFFAOYSA-N 0 0 256.346 2.661 20 5 CFBDRN CCCCN(C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037328 618061698 /nfs/dbraw/zinc/06/16/98/618061698.db2.gz SAGBLOBSEIFIQH-UHFFFAOYSA-N 0 0 272.251 2.745 20 5 CFBDRN CCCCCN(CCO)c1ccc([N+](=O)[O-])cc1F ZINC000176735031 618087796 /nfs/dbraw/zinc/08/77/96/618087796.db2.gz TWSPTBTZLXYCLF-UHFFFAOYSA-N 0 0 270.304 2.723 20 5 CFBDRN Cn1nccc1COc1cc([N+](=O)[O-])ccc1Cl ZINC000161391816 618099004 /nfs/dbraw/zinc/09/90/04/618099004.db2.gz WOJXBVDHBGVFHI-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN C[C@H](CCCO)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235422007 618115653 /nfs/dbraw/zinc/11/56/53/618115653.db2.gz YSQFDLIFAUPGBP-SSDOTTSWSA-N 0 0 276.695 2.960 20 5 CFBDRN CSC1(CNc2c([N+](=O)[O-])ncn2C)CCCCC1 ZINC000235129932 618115915 /nfs/dbraw/zinc/11/59/15/618115915.db2.gz IHOYUSGHKQPKSV-UHFFFAOYSA-N 0 0 284.385 2.806 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000050373154 618117645 /nfs/dbraw/zinc/11/76/45/618117645.db2.gz UIVSPKGHMYFBDY-ZYHUDNBSSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H](CC(=O)Nc1c([N+](=O)[O-])ncn1C)C1CCCCC1 ZINC000891346930 618142073 /nfs/dbraw/zinc/14/20/73/618142073.db2.gz CYYIWCCDNYAOSM-JTQLQIEISA-N 0 0 294.355 2.873 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC[C@@H]1CCCO1 ZINC000177900147 618149918 /nfs/dbraw/zinc/14/99/18/618149918.db2.gz NBPVFNVAJKVNIT-LBPRGKRZSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1nccnc1[C@@H](C)NCc1cccc([N+](=O)[O-])c1 ZINC000219120684 618162597 /nfs/dbraw/zinc/16/25/97/618162597.db2.gz FFGKCVIJVISEIR-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@@H]1CC1(Cl)Cl ZINC000309502516 618170814 /nfs/dbraw/zinc/17/08/14/618170814.db2.gz MBVMQKGGCNIQSN-LURJTMIESA-N 0 0 262.096 2.596 20 5 CFBDRN CC(C)COC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000926547623 618194608 /nfs/dbraw/zinc/19/46/08/618194608.db2.gz KZEAEGQXMQOCHI-UHFFFAOYSA-N 0 0 280.324 2.986 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NOC1CCCC1 ZINC000935238869 618213863 /nfs/dbraw/zinc/21/38/63/618213863.db2.gz HQUNDZBCEXHTMH-UHFFFAOYSA-N 0 0 296.298 2.657 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCSC2(CCCCC2)C1 ZINC000175927009 618219858 /nfs/dbraw/zinc/21/98/58/618219858.db2.gz HVDLRCSAGZEDPJ-UHFFFAOYSA-N 0 0 296.396 2.501 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1C[C@H]1C1CC1 ZINC000176940485 618232134 /nfs/dbraw/zinc/23/21/34/618232134.db2.gz AFYAJAZNECGNGJ-STQMWFEESA-N 0 0 261.277 2.684 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000892419084 618234576 /nfs/dbraw/zinc/23/45/76/618234576.db2.gz ZHSISBSWHDXTQI-FPMFFAJLSA-N 0 0 289.335 2.583 20 5 CFBDRN CSC1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000312325672 618247538 /nfs/dbraw/zinc/24/75/38/618247538.db2.gz MAMXKFTZPCADGR-UHFFFAOYSA-N 0 0 298.339 2.702 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC[C@H](CSC)C1 ZINC000893965171 618253644 /nfs/dbraw/zinc/25/36/44/618253644.db2.gz KUHATARKBRASSO-JTQLQIEISA-N 0 0 297.380 2.578 20 5 CFBDRN CC(C)(C)CCOC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000102509629 618260322 /nfs/dbraw/zinc/26/03/22/618260322.db2.gz KZOVFMWAGNDGCJ-UHFFFAOYSA-N 0 0 283.328 2.506 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000894042125 618285328 /nfs/dbraw/zinc/28/53/28/618285328.db2.gz IROQYBPOYZKZKX-BQBZGAKWSA-N 0 0 290.241 2.607 20 5 CFBDRN COC/C(C)=C/CNc1cc([N+](=O)[O-])ccc1C ZINC000901348264 620792257 /nfs/dbraw/zinc/79/22/57/620792257.db2.gz MONHBHLLSLPXSK-UXBLZVDNSA-N 0 0 250.298 2.908 20 5 CFBDRN CCc1ccc(C(=O)N[C@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000894044368 618286837 /nfs/dbraw/zinc/28/68/37/618286837.db2.gz YZWKSUSDICWNJF-SSDOTTSWSA-N 0 0 272.251 2.541 20 5 CFBDRN CC(C)C(=O)N(C)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000882140471 618324896 /nfs/dbraw/zinc/32/48/96/618324896.db2.gz MKDNLKCNTZTEHH-UHFFFAOYSA-N 0 0 286.715 2.699 20 5 CFBDRN O=C(Nc1ccc(=O)[nH]c1)c1cc([N+](=O)[O-])ccc1Cl ZINC000312562820 618333347 /nfs/dbraw/zinc/33/33/47/618333347.db2.gz WXUZZGNSVFTWHN-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN Cc1cnc(OC/C(Cl)=C\Cl)c([N+](=O)[O-])c1 ZINC000255841355 618333862 /nfs/dbraw/zinc/33/38/62/618333862.db2.gz FAFPPCBDSFLVSU-XVNBXDOJSA-N 0 0 263.080 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NOCc1ccccc1 ZINC000067139571 618339166 /nfs/dbraw/zinc/33/91/66/618339166.db2.gz SYMXORAHAVJCEW-UHFFFAOYSA-N 0 0 286.287 2.765 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC1(C)CC(F)(F)C1 ZINC000895133032 618357660 /nfs/dbraw/zinc/35/76/60/618357660.db2.gz FHJZFBFPDGQMFJ-UHFFFAOYSA-N 0 0 287.266 2.846 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc(F)n2)cc([N+](=O)[O-])c1 ZINC000162246530 618378886 /nfs/dbraw/zinc/37/88/86/618378886.db2.gz KDNHJZKMTKWROE-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@]1(COC(=O)CCc2cccc([N+](=O)[O-])c2)CCCO1 ZINC000177255016 618384876 /nfs/dbraw/zinc/38/48/76/618384876.db2.gz KCLAYLLHDLDAND-HNNXBMFYSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N(CC(F)F)C1CCC1 ZINC000895149799 618391326 /nfs/dbraw/zinc/39/13/26/618391326.db2.gz RXCTYVLXRPAOAA-UHFFFAOYSA-N 0 0 298.289 2.784 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC([C@@H]2CCOC2)C1 ZINC000894149872 618400267 /nfs/dbraw/zinc/40/02/67/618400267.db2.gz WFFMRRKAZYKKLO-LLVKDONJSA-N 0 0 296.754 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC(=O)[C@H]1C[C@H]1C ZINC000909481173 618407119 /nfs/dbraw/zinc/40/71/19/618407119.db2.gz JUFYAEZQRQUNFN-KOLCDFICSA-N 0 0 291.303 2.594 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1Nc1ccc(OCC2CC2)cc1 ZINC000892510019 618433198 /nfs/dbraw/zinc/43/31/98/618433198.db2.gz QVBMJVRWWMXCPS-UHFFFAOYSA-N 0 0 288.307 2.861 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000776342294 618433342 /nfs/dbraw/zinc/43/33/42/618433342.db2.gz FTXUMHVSSJGILL-GHMZBOCLSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1oc2ccccc2c1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814039031 618438650 /nfs/dbraw/zinc/43/86/50/618438650.db2.gz LGVWFQXLRFFOHG-QMMMGPOBSA-N 0 0 263.249 2.563 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)CSc1ccccc1 ZINC000814041225 618440311 /nfs/dbraw/zinc/44/03/11/618440311.db2.gz QUDUCUJZWIRVLZ-WDEREUQCSA-N 0 0 283.349 2.623 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1occc1-c1ccccc1 ZINC000814040495 618441563 /nfs/dbraw/zinc/44/15/63/618441563.db2.gz HOBNRUMRJBTFQO-SNVBAGLBSA-N 0 0 275.260 2.769 20 5 CFBDRN CC(C)N1CC[C@@H](Oc2ccc([N+](=O)[O-])c(Cl)c2)C1=O ZINC000177570095 618452119 /nfs/dbraw/zinc/45/21/19/618452119.db2.gz RPQGQNILHIKDCD-GFCCVEGCSA-N 0 0 298.726 2.636 20 5 CFBDRN COC[C@@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000747158885 618455870 /nfs/dbraw/zinc/45/58/70/618455870.db2.gz QUBNFKHUXRTQRE-VIIAAUOXSA-N 0 0 293.319 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCCCC2CC2)c1F ZINC000235879340 618456226 /nfs/dbraw/zinc/45/62/26/618456226.db2.gz AYALNFNJRPAILN-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN Cc1nc([C@H]2CCCN2c2ncccc2[N+](=O)[O-])[nH]c1C ZINC000895193862 618459861 /nfs/dbraw/zinc/45/98/61/618459861.db2.gz VNSUUBXPZIDIFS-LLVKDONJSA-N 0 0 287.323 2.671 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC2CCC=CCC2)c1 ZINC000895211955 618473953 /nfs/dbraw/zinc/47/39/53/618473953.db2.gz REXUKDCXLZFQRH-UHFFFAOYSA-N 0 0 290.319 2.832 20 5 CFBDRN COC/C(C)=C/COc1cc(C)ccc1[N+](=O)[O-] ZINC000901412868 620810936 /nfs/dbraw/zinc/81/09/36/620810936.db2.gz OXJDDWXAYQAXPX-IZZDOVSWSA-N 0 0 251.282 2.875 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCCF ZINC000895297506 618518993 /nfs/dbraw/zinc/51/89/93/618518993.db2.gz XXFGHQLHWDLCJO-ZDUSSCGKSA-N 0 0 296.342 2.982 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)CCCF ZINC000895300607 618519512 /nfs/dbraw/zinc/51/95/12/618519512.db2.gz OLMKRDYIVTWAKX-UHFFFAOYSA-N 0 0 268.288 2.643 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CCCF)c1C ZINC000895308596 618525278 /nfs/dbraw/zinc/52/52/78/618525278.db2.gz NSFMEQRDCAHNLT-UHFFFAOYSA-N 0 0 268.288 2.643 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC000895395521 618567041 /nfs/dbraw/zinc/56/70/41/618567041.db2.gz LRSRLEXIJCCRLC-XJKSGUPXSA-N 0 0 281.315 2.768 20 5 CFBDRN Cc1nc([C@H]2CCN(c3c(C)cccc3[N+](=O)[O-])C2)no1 ZINC000895404870 618570646 /nfs/dbraw/zinc/57/06/46/618570646.db2.gz XJAXPLGKRHWERC-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CC[C@@H]1C(F)F ZINC000895435644 618575731 /nfs/dbraw/zinc/57/57/31/618575731.db2.gz HJDFTWSBBSVTCT-KTLBGCOUSA-N 0 0 268.263 2.947 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC[C@H]1C(F)F ZINC000895437059 618585563 /nfs/dbraw/zinc/58/55/63/618585563.db2.gz RCHASKIXFKFHCK-NSHDSACASA-N 0 0 286.278 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nccc3c2CCCC3)cn1 ZINC000895451156 618593838 /nfs/dbraw/zinc/59/38/38/618593838.db2.gz ITVCRBXWCRKMLH-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCc2nc3c(s2)CCC3)c1 ZINC000895460643 618597447 /nfs/dbraw/zinc/59/74/47/618597447.db2.gz OXYXBMNCJKZAHE-UHFFFAOYSA-N 0 0 290.348 2.734 20 5 CFBDRN CCC[C@H](C)OC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000177779687 618605771 /nfs/dbraw/zinc/60/57/71/618605771.db2.gz RLTGHFMDYDKSDM-VIFPVBQESA-N 0 0 283.328 2.530 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@@H]1CCCOC1 ZINC000236185360 618608658 /nfs/dbraw/zinc/60/86/58/618608658.db2.gz TWDSVZBMHYPUDK-SSDOTTSWSA-N 0 0 274.679 2.978 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000310723091 618618250 /nfs/dbraw/zinc/61/82/50/618618250.db2.gz YUVOIQJETKWOAY-APPZFPTMSA-N 0 0 268.700 2.777 20 5 CFBDRN COc1ccc(C(=O)O[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000066546976 618622771 /nfs/dbraw/zinc/62/27/71/618622771.db2.gz KEHCTDGGMJRGJC-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN CC1(C)CN(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935715005 618623911 /nfs/dbraw/zinc/62/39/11/618623911.db2.gz GPMGKZKYXDMUHP-STQMWFEESA-N 0 0 274.320 2.567 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935735454 618631347 /nfs/dbraw/zinc/63/13/47/618631347.db2.gz BMBJYXXVBIXXQQ-QOBDMFJFSA-N 0 0 274.320 2.708 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OC/C=C\Cl)c1 ZINC000255912464 618661559 /nfs/dbraw/zinc/66/15/59/618661559.db2.gz PLPDSVRCPSOSBP-DJWKRKHSSA-N 0 0 271.656 2.513 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000310947980 618662071 /nfs/dbraw/zinc/66/20/71/618662071.db2.gz QEPHKIOLFATLMG-XVKPBYJWSA-N 0 0 268.700 2.777 20 5 CFBDRN CO[C@H]1C[C@H](Nc2cccc(F)c2[N+](=O)[O-])C1(C)C ZINC000227820387 618679505 /nfs/dbraw/zinc/67/95/05/618679505.db2.gz VQGMODSGKHSVAP-QWRGUYRKSA-N 0 0 268.288 2.959 20 5 CFBDRN CCOC(=O)/C=C\COc1ccc([N+](=O)[O-])cc1Cl ZINC000311247816 618697838 /nfs/dbraw/zinc/69/78/38/618697838.db2.gz GEVHMNXGJRNTKC-ARJAWSKDSA-N 0 0 285.683 2.746 20 5 CFBDRN O=C(CCc1ccco1)Oc1cccc([N+](=O)[O-])c1 ZINC000072508431 618700300 /nfs/dbraw/zinc/70/03/00/618700300.db2.gz YWOJKZBGROFSKK-UHFFFAOYSA-N 0 0 261.233 2.726 20 5 CFBDRN CCOc1cc(NC(=O)C23CC(C2)C3)ccc1[N+](=O)[O-] ZINC000892741606 618737126 /nfs/dbraw/zinc/73/71/26/618737126.db2.gz OFEYMLODTSCMKR-UHFFFAOYSA-N 0 0 276.292 2.732 20 5 CFBDRN CCOc1cc(NC(=O)C[C@H](C)COC)ccc1[N+](=O)[O-] ZINC000892745755 618738447 /nfs/dbraw/zinc/73/84/47/618738447.db2.gz GQNMOZJQHKGDFM-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN CCOc1cc(NC(=O)C2CC=CC2)ccc1[N+](=O)[O-] ZINC000892744320 618738983 /nfs/dbraw/zinc/73/89/83/618738983.db2.gz CEPGPVXRNUVJOY-UHFFFAOYSA-N 0 0 276.292 2.898 20 5 CFBDRN CCOc1cc(NC(=O)[C@H](C)CSC)ccc1[N+](=O)[O-] ZINC000892748425 618739154 /nfs/dbraw/zinc/73/91/54/618739154.db2.gz WMTUGOAGDPSRLG-SECBINFHSA-N 0 0 298.364 2.931 20 5 CFBDRN CCOc1cc(NC(=O)C=C(C)C)ccc1[N+](=O)[O-] ZINC000892746444 618740047 /nfs/dbraw/zinc/74/00/47/618740047.db2.gz GKNXOXLYIWERDP-UHFFFAOYSA-N 0 0 264.281 2.898 20 5 CFBDRN CCC1(CNc2ncc([N+](=O)[O-])cc2OC)CCOCC1 ZINC000892763040 618743351 /nfs/dbraw/zinc/74/33/51/618743351.db2.gz OABWEDDUPVGYER-UHFFFAOYSA-N 0 0 295.339 2.617 20 5 CFBDRN CC[C@H](OC)C(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892859046 618759657 /nfs/dbraw/zinc/75/96/57/618759657.db2.gz UNHUODAQVULWAV-AWEZNQCLSA-N 0 0 292.335 2.689 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])CO1 ZINC000784273123 618761030 /nfs/dbraw/zinc/76/10/30/618761030.db2.gz LLKMWCGDDMDBCM-SCZZXKLOSA-N 0 0 299.710 2.716 20 5 CFBDRN CC(C)CC1CCN(c2c([N+](=O)[O-])cnn2C)CC1 ZINC000892919445 618770003 /nfs/dbraw/zinc/77/00/03/618770003.db2.gz NBXDMZKHDHXXOE-UHFFFAOYSA-N 0 0 266.345 2.591 20 5 CFBDRN COc1c(O)ccc(C[NH2+][C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000893003032 618798422 /nfs/dbraw/zinc/79/84/22/618798422.db2.gz DVRLGXACISDAGV-LLVKDONJSA-N 0 0 278.308 2.507 20 5 CFBDRN C[C@@H](COC(=O)c1cscc1C(F)F)[N+](=O)[O-] ZINC000893048512 618815095 /nfs/dbraw/zinc/81/50/95/618815095.db2.gz WSVDAHJTAKCGKT-YFKPBYRVSA-N 0 0 265.237 2.508 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000893131819 618842238 /nfs/dbraw/zinc/84/22/38/618842238.db2.gz PSVKBYAWPHOOEJ-HAQNSBGRSA-N 0 0 293.319 2.936 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@@H]1CCO[C@H](C)C1 ZINC000893138469 618844901 /nfs/dbraw/zinc/84/49/01/618844901.db2.gz IJIXWXHXFGFXCH-GHMZBOCLSA-N 0 0 295.339 2.616 20 5 CFBDRN CCOC(=O)/C=C\COc1cc(C)c([N+](=O)[O-])cc1F ZINC000312178986 618902064 /nfs/dbraw/zinc/90/20/64/618902064.db2.gz IYPJTDWSSJTQMA-PLNGDYQASA-N 0 0 283.255 2.540 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC[C@H]1CC1(Cl)Cl ZINC000856786121 618931128 /nfs/dbraw/zinc/93/11/28/618931128.db2.gz NZRNTTKVGKQIDF-ZETCQYMHSA-N 0 0 276.123 2.986 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC[C@@H]1CC1(Cl)Cl ZINC000856786119 618931146 /nfs/dbraw/zinc/93/11/46/618931146.db2.gz NZRNTTKVGKQIDF-SSDOTTSWSA-N 0 0 276.123 2.986 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1ccnnc1 ZINC000925690039 618933806 /nfs/dbraw/zinc/93/38/06/618933806.db2.gz CZXUHHWTPGHQEI-GHMZBOCLSA-N 0 0 272.308 2.797 20 5 CFBDRN C=C/C=C\CCNC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000893396825 618963001 /nfs/dbraw/zinc/96/30/01/618963001.db2.gz YVZADFXEEIWSGC-WAYWQWQTSA-N 0 0 289.335 2.889 20 5 CFBDRN CCN(CC(C)(C)O)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000092970862 618972167 /nfs/dbraw/zinc/97/21/67/618972167.db2.gz CJPCAGHWGDLUDY-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN COc1ccc(OC[Si](C)(C)C)c([N+](=O)[O-])c1 ZINC000199964039 618978431 /nfs/dbraw/zinc/97/84/31/618978431.db2.gz MZYQSCPPUIIMIB-UHFFFAOYSA-N 0 0 255.346 2.860 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC(F)CC2)cc1[N+](=O)[O-] ZINC000893421199 618985896 /nfs/dbraw/zinc/98/58/96/618985896.db2.gz FZNSSVRKUAMUEN-UHFFFAOYSA-N 0 0 296.298 2.568 20 5 CFBDRN Cc1nc(C)c(C(=O)Oc2cccc([N+](=O)[O-])c2)s1 ZINC000045051934 618994529 /nfs/dbraw/zinc/99/45/29/618994529.db2.gz AYVVTSILIUASBI-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN Cn1cccc1C(=O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000313595543 618997253 /nfs/dbraw/zinc/99/72/53/618997253.db2.gz ZXYIGKGXEVWAMX-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN Cc1c(CNc2cccc([C@H](C)O)n2)cccc1[N+](=O)[O-] ZINC000893451060 618997417 /nfs/dbraw/zinc/99/74/17/618997417.db2.gz CECUUPOBPZYUON-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN COC[C@H](COC(=O)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000893458054 619001272 /nfs/dbraw/zinc/00/12/72/619001272.db2.gz ZFHZISYPQJUZRQ-GFCCVEGCSA-N 0 0 281.308 2.670 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000909397831 619055406 /nfs/dbraw/zinc/05/54/06/619055406.db2.gz YAESKSDQOPLEJO-YHYXMXQVSA-N 0 0 293.319 2.961 20 5 CFBDRN Cc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)c(C)n1 ZINC000053953219 619088698 /nfs/dbraw/zinc/08/86/98/619088698.db2.gz SHAREYGDYFBVOC-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CCc1ccc(C(=O)OC2CC(COC)C2)cc1[N+](=O)[O-] ZINC000893637657 619134574 /nfs/dbraw/zinc/13/45/74/619134574.db2.gz JKHBBLWHWIINOM-UHFFFAOYSA-N 0 0 293.319 2.739 20 5 CFBDRN C/C=C\C[C@H](CO)[NH2+]Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000893661877 619149103 /nfs/dbraw/zinc/14/91/03/619149103.db2.gz TZXTZTFBIBYMLS-ZZKXABKFSA-N 0 0 284.743 2.665 20 5 CFBDRN COc1cccc(C(=O)N2C[C@H](C)CC2(C)C)c1[N+](=O)[O-] ZINC000893670222 619152048 /nfs/dbraw/zinc/15/20/48/619152048.db2.gz LJSGCUXPRZUDCM-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCCC[C@H]12)c1ccccc1[N+](=O)[O-] ZINC000893696693 619165443 /nfs/dbraw/zinc/16/54/43/619165443.db2.gz JKIXXBUIEREFDA-GMXVVIOVSA-N 0 0 274.320 2.903 20 5 CFBDRN Cc1cc(OCC(=O)Oc2ccccc2)ccc1[N+](=O)[O-] ZINC000001284621 625324249 /nfs/dbraw/zinc/32/42/49/625324249.db2.gz XXBMEHVFSSOKRC-UHFFFAOYSA-N 0 0 287.271 2.888 20 5 CFBDRN CCCCOC(=O)[C@H](C)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000932181110 619204332 /nfs/dbraw/zinc/20/43/32/619204332.db2.gz ZNVYOQBFDLWDAE-NEPJUHHUSA-N 0 0 294.351 2.977 20 5 CFBDRN O=[N+]([O-])CCN(Cc1ccco1)Cc1ccccc1 ZINC000791053766 619274870 /nfs/dbraw/zinc/27/48/70/619274870.db2.gz PDIFZPABENJIOA-UHFFFAOYSA-N 0 0 260.293 2.559 20 5 CFBDRN COc1ccc(NC(=O)/C=C\c2ccco2)cc1[N+](=O)[O-] ZINC000206306893 619305785 /nfs/dbraw/zinc/30/57/85/619305785.db2.gz AIOIHONBMSUPIL-ALCCZGGFSA-N 0 0 288.259 2.848 20 5 CFBDRN CC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791737170 619348567 /nfs/dbraw/zinc/34/85/67/619348567.db2.gz ZIYRYQDOPPSEQZ-UHFFFAOYSA-N 0 0 282.252 2.592 20 5 CFBDRN COc1cc(C(=O)OC[C@H]2C[C@H]2C)cc([N+](=O)[O-])c1C ZINC000791788073 619352633 /nfs/dbraw/zinc/35/26/33/619352633.db2.gz PRMUHBBAXUVEAR-LDYMZIIASA-N 0 0 279.292 2.725 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)/C=C(/C)C1CC1 ZINC000048539538 619353981 /nfs/dbraw/zinc/35/39/81/619353981.db2.gz QGAZJKAFAPNHNC-CLFYSBASSA-N 0 0 277.276 2.865 20 5 CFBDRN Cc1ncoc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000894370913 619354357 /nfs/dbraw/zinc/35/43/57/619354357.db2.gz RJBWBLOYSNJDME-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1ncoc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000894370912 619354649 /nfs/dbraw/zinc/35/46/49/619354649.db2.gz RJBWBLOYSNJDME-SECBINFHSA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OS(=O)(=O)c1cccs1 ZINC000048670839 619367230 /nfs/dbraw/zinc/36/72/30/619367230.db2.gz QXDWBYDMIKQIDX-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN C[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1N)C(F)(F)F ZINC000748235060 619369487 /nfs/dbraw/zinc/36/94/87/619369487.db2.gz BSLCNAOHEQYEQW-LURJTMIESA-N 0 0 292.213 2.532 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H](C)O ZINC000792117611 619425207 /nfs/dbraw/zinc/42/52/07/619425207.db2.gz KGAGRQFYYUNMNQ-VIFPVBQESA-N 0 0 299.348 2.635 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1ccc(F)cc1F ZINC000894785427 619467098 /nfs/dbraw/zinc/46/70/98/619467098.db2.gz JXRZVMMOKPPQJX-GXSJLCMTSA-N 0 0 294.261 2.575 20 5 CFBDRN CSC1CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000894856387 619484790 /nfs/dbraw/zinc/48/47/90/619484790.db2.gz HVPRRVOQDMDCLZ-UHFFFAOYSA-N 0 0 297.336 2.835 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)cccc1[N+](=O)[O-] ZINC000889603647 619509825 /nfs/dbraw/zinc/50/98/25/619509825.db2.gz ROLQCASNUHWQRB-HOSYDEDBSA-N 0 0 289.335 2.555 20 5 CFBDRN COc1c(O)ccc(C[N@@H+]2C[C@H](C)[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000894958628 619516357 /nfs/dbraw/zinc/51/63/57/619516357.db2.gz QJYSXYSAYSXVRP-HBNTYKKESA-N 0 0 294.351 2.785 20 5 CFBDRN COc1c(O)ccc(C[N@@H+]2C[C@H](C)[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000894958620 619516711 /nfs/dbraw/zinc/51/67/11/619516711.db2.gz QJYSXYSAYSXVRP-DCAQKATOSA-N 0 0 294.351 2.785 20 5 CFBDRN CC(C)OC1CN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000894967886 619518902 /nfs/dbraw/zinc/51/89/02/619518902.db2.gz PUOGSQVUHQTLJY-UHFFFAOYSA-N 0 0 293.348 2.818 20 5 CFBDRN CC(C)OC1CN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000894970793 619519025 /nfs/dbraw/zinc/51/90/25/619519025.db2.gz CEOZSIRODFEJBY-UHFFFAOYSA-N 0 0 287.319 2.757 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCc1ccc(C)o1 ZINC000894976088 619520543 /nfs/dbraw/zinc/52/05/43/619520543.db2.gz AOUHAQXHEVWJPY-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN COC(=O)C[C@@H](C)Nc1ncc([N+](=O)[O-])c2ccccc21 ZINC000895036914 619530153 /nfs/dbraw/zinc/53/01/53/619530153.db2.gz QEIOZCSHTYGNKS-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN O=C(NC1CC1)c1cc(F)c(Cl)c([N+](=O)[O-])c1Cl ZINC000895477251 619538509 /nfs/dbraw/zinc/53/85/09/619538509.db2.gz YRDILGOFTNSOED-UHFFFAOYSA-N 0 0 293.081 2.933 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)C(F)F ZINC000895724977 619591779 /nfs/dbraw/zinc/59/17/79/619591779.db2.gz JQHMIZMQGWFHPU-LURJTMIESA-N 0 0 264.659 2.991 20 5 CFBDRN CO[C@]1(CNc2ccc([N+](=O)[O-])s2)CCSC1 ZINC000895754812 619599872 /nfs/dbraw/zinc/59/98/72/619599872.db2.gz ZDTWSQFESXRTHA-JTQLQIEISA-N 0 0 274.367 2.590 20 5 CFBDRN CCOc1cccc(N2CCO[C@H](C)CC2)c1[N+](=O)[O-] ZINC000895759369 619601130 /nfs/dbraw/zinc/60/11/30/619601130.db2.gz DRBVQKPQMRZSCK-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cc(N2CCO[C@@H](C)CC2)c([N+](=O)[O-])cc1C ZINC000895761874 619601987 /nfs/dbraw/zinc/60/19/87/619601987.db2.gz KRXQZIBJWUNFMU-NSHDSACASA-N 0 0 280.324 2.527 20 5 CFBDRN C/C=C\CNC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000896018691 619655699 /nfs/dbraw/zinc/65/56/99/619655699.db2.gz QIACUZTTYXXFDS-DLRQAJBASA-N 0 0 277.324 2.574 20 5 CFBDRN C[C@@H]1Cc2cc(CNc3ncccc3[N+](=O)[O-])ccc2O1 ZINC000896045316 619659103 /nfs/dbraw/zinc/65/91/03/619659103.db2.gz METSGGFLUCRJHF-SNVBAGLBSA-N 0 0 285.303 2.925 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000928603754 619673561 /nfs/dbraw/zinc/67/35/61/619673561.db2.gz VYPZKZKPLHAKQB-WDEREUQCSA-N 0 0 294.351 2.628 20 5 CFBDRN CN(C)[C@@H](CCNc1ccsc1[N+](=O)[O-])C(F)(F)F ZINC000896105679 619675430 /nfs/dbraw/zinc/67/54/30/619675430.db2.gz PYSPNGJSWHIUKO-QMMMGPOBSA-N 0 0 297.302 2.951 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCC3(CCC3)O2)cn1 ZINC000896169107 619685348 /nfs/dbraw/zinc/68/53/48/619685348.db2.gz CVZBCVODXREZNM-GFCCVEGCSA-N 0 0 277.324 2.894 20 5 CFBDRN C[C@]1(CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCCCO1 ZINC000896184004 619688545 /nfs/dbraw/zinc/68/85/45/619688545.db2.gz IPXNVMMQHUNGBH-CQSZACIVSA-N 0 0 290.323 2.842 20 5 CFBDRN C[C@]1(CNc2cc(F)c([N+](=O)[O-])cc2CO)CCCCO1 ZINC000896179951 619689344 /nfs/dbraw/zinc/68/93/44/619689344.db2.gz BUBGUQNMGZURCO-CQSZACIVSA-N 0 0 298.314 2.597 20 5 CFBDRN CCC[C@@H](O)CCNc1ccc([N+](=O)[O-])cc1F ZINC000896184518 619689522 /nfs/dbraw/zinc/68/95/22/619689522.db2.gz WZLXBXMSWVSBKZ-SNVBAGLBSA-N 0 0 256.277 2.697 20 5 CFBDRN C[C@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCCCO1 ZINC000896180923 619689830 /nfs/dbraw/zinc/68/98/30/619689830.db2.gz KIOXWWOJTYENDL-CYBMUJFWSA-N 0 0 265.313 2.548 20 5 CFBDRN CCC[C@@H](O)CCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000896185837 619689966 /nfs/dbraw/zinc/68/99/66/619689966.db2.gz COIWSUDKRNUUEI-GFCCVEGCSA-N 0 0 280.324 2.760 20 5 CFBDRN CCC[C@@H](O)CCNc1cccc(F)c1[N+](=O)[O-] ZINC000896191179 619691105 /nfs/dbraw/zinc/69/11/05/619691105.db2.gz JLOOQQJUHZEYFR-SECBINFHSA-N 0 0 256.277 2.697 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])CCS1 ZINC000896244205 619699335 /nfs/dbraw/zinc/69/93/35/619699335.db2.gz GDPUKXAWBBGCMQ-ZJUUUORDSA-N 0 0 292.364 2.939 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC(F)(C3CC3)CC2)c1 ZINC000896236930 619702100 /nfs/dbraw/zinc/70/21/00/619702100.db2.gz LIDBKZVWHNLYBH-UHFFFAOYSA-N 0 0 279.315 2.896 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)CCC(C)(C)[N+](=O)[O-])CC12CCC2 ZINC000832478075 625365410 /nfs/dbraw/zinc/36/54/10/625365410.db2.gz HRAWERQVQLDZHU-ZDUSSCGKSA-N 0 0 282.384 2.859 20 5 CFBDRN CCC(=O)c1ccc(N2CC(=O)CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000896340530 619719798 /nfs/dbraw/zinc/71/97/98/619719798.db2.gz YHTSEKXQQBNIEO-JTQLQIEISA-N 0 0 290.319 2.745 20 5 CFBDRN C[C@H]1CCC(=O)CN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000896340584 619719973 /nfs/dbraw/zinc/71/99/73/619719973.db2.gz ZQEXELSTRBAVOE-JTQLQIEISA-N 0 0 285.303 2.701 20 5 CFBDRN CCC(=O)c1ccc(N2CC(=O)CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000896340531 619720087 /nfs/dbraw/zinc/72/00/87/619720087.db2.gz YHTSEKXQQBNIEO-SNVBAGLBSA-N 0 0 290.319 2.745 20 5 CFBDRN CCOc1cccc(N2CC[C@H](OCC)C2)c1[N+](=O)[O-] ZINC000896405596 619730309 /nfs/dbraw/zinc/73/03/09/619730309.db2.gz FVDZDNDAJWKMID-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN CCO[C@H]1CCN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000896405346 619730327 /nfs/dbraw/zinc/73/03/27/619730327.db2.gz TUFIWNJEXSSBAJ-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cnc(N[C@@H](c2cnn(C)c2)C(C)C)c([N+](=O)[O-])c1 ZINC000896428113 619735988 /nfs/dbraw/zinc/73/59/88/619735988.db2.gz SDARIMGKCBIOIS-CYBMUJFWSA-N 0 0 289.339 2.841 20 5 CFBDRN Cc1nc(N[C@H](C2CC2)[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000896438242 619739091 /nfs/dbraw/zinc/73/90/91/619739091.db2.gz QVICSZRREPOGQI-IUODEOHRSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1nn(C)c(Nc2ccc3c(c2)C[C@@H](C)O3)c1[N+](=O)[O-] ZINC000896441163 619739428 /nfs/dbraw/zinc/73/94/28/619739428.db2.gz ICPZRDBDKXSVFE-MRVPVSSYSA-N 0 0 288.307 2.704 20 5 CFBDRN COCC(C)(C)c1noc(-c2cccc([N+](=O)[O-])c2C)n1 ZINC000896570352 619764134 /nfs/dbraw/zinc/76/41/34/619764134.db2.gz AXFKPQDYDUSOQQ-UHFFFAOYSA-N 0 0 291.307 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H]2CC2CC2)c(F)c1 ZINC000896637924 619780325 /nfs/dbraw/zinc/78/03/25/619780325.db2.gz MBZBPVIGBSTNGY-LBPRGKRZSA-N 0 0 280.299 2.739 20 5 CFBDRN CCC1(CC)CNC(=O)[C@@H]1Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000896674375 619784969 /nfs/dbraw/zinc/78/49/69/619784969.db2.gz NMKLOXUBBJLKQJ-ZDUSSCGKSA-N 0 0 291.351 2.620 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2c3ccccc3CC[C@H]2O)s1 ZINC000896669242 619785724 /nfs/dbraw/zinc/78/57/24/619785724.db2.gz UCZDBPNFVKRPPP-PWSUYJOCSA-N 0 0 291.332 2.512 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](CO)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000896689628 619788526 /nfs/dbraw/zinc/78/85/26/619788526.db2.gz FUDMPHBDXJQYBY-XYPYZODXSA-N 0 0 287.319 2.634 20 5 CFBDRN Cc1cc(-c2nc(C3CSC3)no2)ccc1[N+](=O)[O-] ZINC000896714279 619796840 /nfs/dbraw/zinc/79/68/40/619796840.db2.gz PTXPNCUAXFEQAJ-UHFFFAOYSA-N 0 0 277.305 2.784 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cnn(CC3CCC3)c2)s1 ZINC000896782769 619814146 /nfs/dbraw/zinc/81/41/46/619814146.db2.gz GRUIKBWGCDLKDJ-UHFFFAOYSA-N 0 0 293.352 2.660 20 5 CFBDRN COc1c(Cl)cccc1CNc1c([N+](=O)[O-])ncn1C ZINC000896790022 619818322 /nfs/dbraw/zinc/81/83/22/619818322.db2.gz FJSQSDQMMAORQI-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN CC1(C)CC[C@H](CCNc2ccc([N+](=O)[O-])nc2)OC1 ZINC000896790138 619818442 /nfs/dbraw/zinc/81/84/42/619818442.db2.gz OQDMDPXSMJSKIS-GFCCVEGCSA-N 0 0 279.340 2.997 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OS(=O)(=O)c1ccsc1 ZINC000795896171 619838934 /nfs/dbraw/zinc/83/89/34/619838934.db2.gz AAWNIFZMOAAJFR-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN C[C@@H]1C(=O)CC[C@H]1CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000796232022 619863018 /nfs/dbraw/zinc/86/30/18/619863018.db2.gz KQYKCRXXPWOVCP-WPRPVWTQSA-N 0 0 297.332 2.705 20 5 CFBDRN C[C@]12CN(c3ncc([N+](=O)[O-])s3)C[C@H]1[C@H]1CC[C@@H]2C1 ZINC000896901311 619872645 /nfs/dbraw/zinc/87/26/45/619872645.db2.gz RFXXLTGICYKMKN-MPXOCVNLSA-N 0 0 279.365 2.924 20 5 CFBDRN O=[N+]([O-])c1cnc(SC2COC2)c2ccccc21 ZINC000896962597 619905330 /nfs/dbraw/zinc/90/53/30/619905330.db2.gz OGFDGLFAIBETTR-UHFFFAOYSA-N 0 0 262.290 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cnn(CCF)c2)c(F)c1 ZINC000896992702 619910056 /nfs/dbraw/zinc/91/00/56/619910056.db2.gz YODVIMGNVWFNES-UHFFFAOYSA-N 0 0 282.250 2.512 20 5 CFBDRN C[C@H](CNC(=O)N(C)CCCF)c1ccccc1[N+](=O)[O-] ZINC000897049148 619919799 /nfs/dbraw/zinc/91/97/99/619919799.db2.gz BEXMJFVZSAFPEW-LLVKDONJSA-N 0 0 297.330 2.699 20 5 CFBDRN C[C@@H](CNC(=O)N1CC[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897049383 619919821 /nfs/dbraw/zinc/91/98/21/619919821.db2.gz DYTZXCLJNHAVFT-WDEREUQCSA-N 0 0 277.324 2.502 20 5 CFBDRN NC(=O)c1cc(N[C@@H]2CCCC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000897043332 619920549 /nfs/dbraw/zinc/92/05/49/619920549.db2.gz VCFWFFKITFXTKG-LLVKDONJSA-N 0 0 289.335 2.828 20 5 CFBDRN C[C@@H](CNC(=O)N[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000897054474 619921348 /nfs/dbraw/zinc/92/13/48/619921348.db2.gz XIVYPTHKPQNAJF-GWCFXTLKSA-N 0 0 289.335 2.550 20 5 CFBDRN C[C@H](CNC(=O)NC/C=C\Cl)c1ccccc1[N+](=O)[O-] ZINC000897051127 619923016 /nfs/dbraw/zinc/92/30/16/619923016.db2.gz TXGWBNAGZLJASF-ISGFRBBESA-N 0 0 297.742 2.750 20 5 CFBDRN C[C@H](CNC(=O)N1[C@H](C)C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897063719 619923611 /nfs/dbraw/zinc/92/36/11/619923611.db2.gz PWUUZRCLTYYSRC-UTUOFQBUSA-N 0 0 291.351 2.891 20 5 CFBDRN C[C@@H](CNC(=O)N[C@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000897062088 619923654 /nfs/dbraw/zinc/92/36/54/619923654.db2.gz PBNNGPAHLWZBEX-WDEREUQCSA-N 0 0 291.351 2.796 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccc(N)c([N+](=O)[O-])n2)CCS1 ZINC000897064230 619924057 /nfs/dbraw/zinc/92/40/57/619924057.db2.gz SXXHRHTYIHBAPA-QMMMGPOBSA-N 0 0 282.369 2.658 20 5 CFBDRN C[C@H](CNC(=O)N1CC[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000897067375 619924375 /nfs/dbraw/zinc/92/43/75/619924375.db2.gz IZIQMBQLRNFVCR-VXGBXAGGSA-N 0 0 291.351 2.750 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c([N+](=O)[O-])s1 ZINC000897072101 619926163 /nfs/dbraw/zinc/92/61/63/619926163.db2.gz DPNFJDZRDRMDRD-RMAYHROUSA-N 0 0 266.322 2.552 20 5 CFBDRN COc1cc(N[C@@H]2CC23CC(O)C3)c(Cl)cc1[N+](=O)[O-] ZINC000897086567 619927257 /nfs/dbraw/zinc/92/72/57/619927257.db2.gz GCSBMHWSZOJFRB-JDFFRZJASA-N 0 0 298.726 2.582 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2CC23CC(O)C3)c([N+](=O)[O-])c1 ZINC000897089364 619927748 /nfs/dbraw/zinc/92/77/48/619927748.db2.gz GJLDSPPQZYDLTC-CIQACWJJSA-N 0 0 290.319 2.513 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000897141794 619938546 /nfs/dbraw/zinc/93/85/46/619938546.db2.gz AIOXONAFLOPWDO-OCCSQVGLSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000897148177 619939856 /nfs/dbraw/zinc/93/98/56/619939856.db2.gz DEKPLOYMAJDVIL-QWRGUYRKSA-N 0 0 293.348 2.818 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000897148910 619940111 /nfs/dbraw/zinc/94/01/11/619940111.db2.gz KVYCOMOVKYHENI-UONOGXRCSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000897146906 619941242 /nfs/dbraw/zinc/94/12/42/619941242.db2.gz CVNSNKHFZJPTQV-WCQYABFASA-N 0 0 250.298 2.517 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H]1C1CCCC1 ZINC000897157295 619942179 /nfs/dbraw/zinc/94/21/79/619942179.db2.gz WESKHNZVXXQLHF-LBPRGKRZSA-N 0 0 278.356 2.889 20 5 CFBDRN CC(C)(C(=O)O[C@@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000796834546 619943216 /nfs/dbraw/zinc/94/32/16/619943216.db2.gz HGWPFHVMAAJQAS-SNVBAGLBSA-N 0 0 295.360 2.921 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897177699 619944956 /nfs/dbraw/zinc/94/49/56/619944956.db2.gz UHULKOLTNNWFMM-GMTAPVOTSA-N 0 0 264.329 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CCCCF)no2)s1 ZINC000897216559 619952510 /nfs/dbraw/zinc/95/25/10/619952510.db2.gz AQSNJNKWGVLHAU-UHFFFAOYSA-N 0 0 271.273 2.999 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@]1(C)CCCCO1 ZINC000832494917 625383850 /nfs/dbraw/zinc/38/38/50/625383850.db2.gz LKXDQZFIFNJODP-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1nc(NC[C@]23C[C@H]2COC32CCC2)ccc1[N+](=O)[O-] ZINC000897265103 619961949 /nfs/dbraw/zinc/96/19/49/619961949.db2.gz WIOKBRDZBAFVCC-SMDDNHRTSA-N 0 0 289.335 2.669 20 5 CFBDRN CC[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1SC)OC ZINC000909592804 619967161 /nfs/dbraw/zinc/96/71/61/619967161.db2.gz YOAKBNZLXGIVBS-SNVBAGLBSA-N 0 0 299.348 2.899 20 5 CFBDRN CCOCCCOC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909592913 619967186 /nfs/dbraw/zinc/96/71/86/619967186.db2.gz HGBNOYJMQVNAIG-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCCO1 ZINC000909595056 619967504 /nfs/dbraw/zinc/96/75/04/619967504.db2.gz XAEDDCAPLWKCMO-SNVBAGLBSA-N 0 0 297.332 2.653 20 5 CFBDRN CS[C@H](C)[C@@H](C)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000797198360 619976012 /nfs/dbraw/zinc/97/60/12/619976012.db2.gz UAAJXYAXWCMWQN-RKDXNWHRSA-N 0 0 269.322 2.892 20 5 CFBDRN Cc1c(CC(=O)O[C@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000797244447 619986697 /nfs/dbraw/zinc/98/66/97/619986697.db2.gz MTLOAMKHDHBOEF-LBPRGKRZSA-N 0 0 261.277 2.708 20 5 CFBDRN Cc1ccoc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000021668027 620002706 /nfs/dbraw/zinc/00/27/06/620002706.db2.gz AOLPLRFKJURMQK-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN CC[C@H](C)OC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000121633317 620007100 /nfs/dbraw/zinc/00/71/00/620007100.db2.gz VTQQPSHTFSCOHF-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN CC[C@H]1c2ccccc2CN1c1c([N+](=O)[O-])ncn1C ZINC000897354861 620019605 /nfs/dbraw/zinc/01/96/05/620019605.db2.gz VXXFRRJKPLDYDF-LBPRGKRZSA-N 0 0 272.308 2.800 20 5 CFBDRN C[C@@H](NC(=O)N1CC=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000925236513 620060459 /nfs/dbraw/zinc/06/04/59/620060459.db2.gz ABUGEHAKHLNJSK-LLVKDONJSA-N 0 0 275.308 2.627 20 5 CFBDRN COc1cc(C(=O)NC(C)(C)/C=C\Cl)ccc1[N+](=O)[O-] ZINC000928934932 620075951 /nfs/dbraw/zinc/07/59/51/620075951.db2.gz PNKYRWJQVGZESZ-SREVYHEPSA-N 0 0 298.726 2.864 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CC=CC[C@H]2C)n1 ZINC000897392903 620092840 /nfs/dbraw/zinc/09/28/40/620092840.db2.gz SZYGNGJTRYBZLA-NXEZZACHSA-N 0 0 291.307 2.543 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1cccnc1C ZINC000897403099 620103388 /nfs/dbraw/zinc/10/33/88/620103388.db2.gz NPDCRWMFBSNNOS-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COc1cc(NCCc2cccnc2C)ccc1[N+](=O)[O-] ZINC000897405749 620104636 /nfs/dbraw/zinc/10/46/36/620104636.db2.gz GBRFIYALIPITJA-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](c2ccncc2)C2CC2)nc1 ZINC000897424124 620117303 /nfs/dbraw/zinc/11/73/03/620117303.db2.gz XNPKELAYWMYEDM-CQSZACIVSA-N 0 0 270.292 2.948 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000889577876 620126488 /nfs/dbraw/zinc/12/64/88/620126488.db2.gz DPPNEEZDPXRSFZ-CYZMBNFOSA-N 0 0 274.320 2.822 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OCc1ccno1 ZINC000109744224 620146429 /nfs/dbraw/zinc/14/64/29/620146429.db2.gz BSERFPUQTJNNHY-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000889581253 620150531 /nfs/dbraw/zinc/15/05/31/620150531.db2.gz OZGGZJSKIVXKIN-IRUJWGPZSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1cc(N[C@@H]2COC[C@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000897450977 620174038 /nfs/dbraw/zinc/17/40/38/620174038.db2.gz LWLAQKHQKVJLOW-GXFFZTMASA-N 0 0 280.299 2.879 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1COC[C@H]1C1CC1 ZINC000897452186 620174301 /nfs/dbraw/zinc/17/43/01/620174301.db2.gz UCGHIKSMKXXASG-GXTWGEPZSA-N 0 0 299.330 2.980 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2COC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897452469 620174479 /nfs/dbraw/zinc/17/44/79/620174479.db2.gz VOUPCXLQCVMDOO-UONOGXRCSA-N 0 0 290.319 2.634 20 5 CFBDRN COc1cc(NC/C=C\Cl)c([N+](=O)[O-])cc1OC ZINC000897506174 620196021 /nfs/dbraw/zinc/19/60/21/620196021.db2.gz VVOSPEPEMGSDND-ARJAWSKDSA-N 0 0 272.688 2.776 20 5 CFBDRN Nc1ccc(NCCOC2CCSCC2)c([N+](=O)[O-])c1 ZINC000897512301 620199877 /nfs/dbraw/zinc/19/98/77/620199877.db2.gz WTLUXTMIGVQRSX-UHFFFAOYSA-N 0 0 297.380 2.501 20 5 CFBDRN Cc1cncc(COC(=O)c2ccc(F)cc2[N+](=O)[O-])c1 ZINC000785684920 620230753 /nfs/dbraw/zinc/23/07/53/620230753.db2.gz IIJZXENWDXJHFW-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@H](C)CC1 ZINC000929118650 620241022 /nfs/dbraw/zinc/24/10/22/620241022.db2.gz RDZGMHDMVSNIHJ-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CCc1ccc(C(=O)OCC(F)(F)F)cc1[N+](=O)[O-] ZINC000080118325 620242290 /nfs/dbraw/zinc/24/22/90/620242290.db2.gz IRFLFDSOPDNJIQ-UHFFFAOYSA-N 0 0 277.198 2.876 20 5 CFBDRN CC(C)[C@H]1C[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000929133102 620246555 /nfs/dbraw/zinc/24/65/55/620246555.db2.gz KDUAGXHQPZOLGQ-BXUZGUMPSA-N 0 0 292.335 2.985 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000841016758 620247973 /nfs/dbraw/zinc/24/79/73/620247973.db2.gz YAHOMXXAYBREEC-LBPRGKRZSA-N 0 0 284.287 2.734 20 5 CFBDRN C[C@@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000786528153 620253208 /nfs/dbraw/zinc/25/32/08/620253208.db2.gz YSTQAGXILMHPQT-RBMBQVQZSA-N 0 0 271.219 2.805 20 5 CFBDRN C[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000929138313 620264019 /nfs/dbraw/zinc/26/40/19/620264019.db2.gz KTCXBKMOMICDNJ-BYCMXARLSA-N 0 0 288.347 2.957 20 5 CFBDRN COC(=O)C[C@H](C)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000897673247 620271602 /nfs/dbraw/zinc/27/16/02/620271602.db2.gz IWBZHDXJHGAJIR-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN CS[C@H]1CCN(C(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000897888380 620298578 /nfs/dbraw/zinc/29/85/78/620298578.db2.gz BKORBFCOYIXDPN-NSHDSACASA-N 0 0 294.376 2.789 20 5 CFBDRN COc1cc(C(=O)N2CCC=C(Cl)C2)ccc1[N+](=O)[O-] ZINC000898193354 620351585 /nfs/dbraw/zinc/35/15/85/620351585.db2.gz MJQVISCSKPKYSA-UHFFFAOYSA-N 0 0 296.710 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc(CO)cc2)cc1F ZINC000169196010 620381428 /nfs/dbraw/zinc/38/14/28/620381428.db2.gz MJUUMLXWRDXWTR-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O)cc1 ZINC000041031495 620426709 /nfs/dbraw/zinc/42/67/09/620426709.db2.gz NUVRDCXYCMLXKM-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccnc(F)c1 ZINC000041039720 620427047 /nfs/dbraw/zinc/42/70/47/620427047.db2.gz ZBRCAPXBFPELBM-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccnc(F)c1 ZINC000041038998 620427116 /nfs/dbraw/zinc/42/71/16/620427116.db2.gz KNNZGJHFNAKSEG-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NC/C=C\Cl ZINC000899042470 620515446 /nfs/dbraw/zinc/51/54/46/620515446.db2.gz JJZBSXIQBOCUPE-CLTKARDFSA-N 0 0 297.742 2.531 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000899419459 620562395 /nfs/dbraw/zinc/56/23/95/620562395.db2.gz YPNQDDJZCXUPSZ-DGFQIJBJSA-N 0 0 274.320 2.617 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000899419701 620562447 /nfs/dbraw/zinc/56/24/47/620562447.db2.gz XSNAERKQPFIPPD-KATARQTJSA-N 0 0 280.349 2.678 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000899415276 620566289 /nfs/dbraw/zinc/56/62/89/620566289.db2.gz UGACCRZYIFTUGS-PSJXJDHFSA-N 0 0 292.310 2.756 20 5 CFBDRN CC[C@H](C)NC(=S)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621344 620586770 /nfs/dbraw/zinc/58/67/70/620586770.db2.gz KEXAJXQMRDZPDH-MNOVXSKESA-N 0 0 295.408 2.961 20 5 CFBDRN CCCCNc1nc2ccccc2n1CC[N+](=O)[O-] ZINC000899867080 620607413 /nfs/dbraw/zinc/60/74/13/620607413.db2.gz SSZVGHCTMKKTEZ-UHFFFAOYSA-N 0 0 262.313 2.525 20 5 CFBDRN Cc1nnc(SCC[N+](=O)[O-])n1[C@H]1CCC[C@H](C)C1 ZINC000899881559 620608923 /nfs/dbraw/zinc/60/89/23/620608923.db2.gz KZZHESLVJOJOTR-ONGXEEELSA-N 0 0 284.385 2.707 20 5 CFBDRN CCc1nnc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)s1 ZINC000899911972 620612389 /nfs/dbraw/zinc/61/23/89/620612389.db2.gz XGGLFCJMPVHSOM-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN Cc1cc(CSC[C@H](O)c2cccc([N+](=O)[O-])c2)no1 ZINC000899914058 620613124 /nfs/dbraw/zinc/61/31/24/620613124.db2.gz JMAAXRREMHNXKI-ZDUSSCGKSA-N 0 0 294.332 2.858 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H]1CCC[C@H](C(F)(F)F)O1 ZINC000901476460 620825638 /nfs/dbraw/zinc/82/56/38/620825638.db2.gz IHLZALHFEVPQHZ-RKDXNWHRSA-N 0 0 293.245 2.600 20 5 CFBDRN O=C([O-])[C@@H]1CCC[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000901485103 620842557 /nfs/dbraw/zinc/84/25/57/620842557.db2.gz HHBYOWPFVZSEMY-KOLCDFICSA-N 0 0 298.726 2.591 20 5 CFBDRN COC/C(C)=C/COc1ccc([N+](=O)[O-])cc1C ZINC000901526779 620845678 /nfs/dbraw/zinc/84/56/78/620845678.db2.gz FIWCGHMDZZHFCM-UXBLZVDNSA-N 0 0 251.282 2.875 20 5 CFBDRN Cc1cc(C)nc(COc2ccc([N+](=O)[O-])c(CO)c2)c1 ZINC000901534667 620848605 /nfs/dbraw/zinc/84/86/05/620848605.db2.gz BAEOCPIRPIDDOO-UHFFFAOYSA-N 0 0 288.303 2.678 20 5 CFBDRN CC(C)[C@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000901518463 620854097 /nfs/dbraw/zinc/85/40/97/620854097.db2.gz QDOMDIVACIYEII-SMDDNHRTSA-N 0 0 292.335 2.985 20 5 CFBDRN CC(C)C[N@H+](C/C=C/c1ccccc1[N+](=O)[O-])CC(=O)[O-] ZINC000901590670 620864299 /nfs/dbraw/zinc/86/42/99/620864299.db2.gz PBYKCCSTQQHCMI-FNORWQNLSA-N 0 0 292.335 2.651 20 5 CFBDRN CC1=NO[C@](C)(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC000901574367 620867016 /nfs/dbraw/zinc/86/70/16/620867016.db2.gz XOZQSLWLQFZVKG-AWEZNQCLSA-N 0 0 292.291 2.672 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)C[N@@H+](C)C[C@@H](C)C(=O)[O-] ZINC000901597709 620870271 /nfs/dbraw/zinc/87/02/71/620870271.db2.gz PTBWOYOBEKCMKI-SEVUFMINSA-N 0 0 292.335 2.651 20 5 CFBDRN CC(C)SCOc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000901699116 620897892 /nfs/dbraw/zinc/89/78/92/620897892.db2.gz GJLZWRGRRXFNKO-UHFFFAOYSA-N 0 0 285.321 2.844 20 5 CFBDRN CCCCC[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000901724211 620901026 /nfs/dbraw/zinc/90/10/26/620901026.db2.gz PCYSCEMBRXWPCE-CYBMUJFWSA-N 0 0 280.324 2.718 20 5 CFBDRN CCc1noc([C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)n1 ZINC000901908813 620937977 /nfs/dbraw/zinc/93/79/77/620937977.db2.gz MRPSGRCTSOXIGF-GHMZBOCLSA-N 0 0 259.265 2.811 20 5 CFBDRN Cc1c(C[NH2+][C@@](C)(CC(=O)[O-])C2CC2)cccc1[N+](=O)[O-] ZINC000901944975 620941658 /nfs/dbraw/zinc/94/16/58/620941658.db2.gz FJYQHSWDUUYCNG-HNNXBMFYSA-N 0 0 292.335 2.636 20 5 CFBDRN Cc1c(NC[C@H]2C[C@@]23CCOC3)cccc1[N+](=O)[O-] ZINC000901929571 620943857 /nfs/dbraw/zinc/94/38/57/620943857.db2.gz PVRFJFQILCNQBM-BXUZGUMPSA-N 0 0 262.309 2.742 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC[C@H]1C[C@]12CCOC2 ZINC000902047236 620963624 /nfs/dbraw/zinc/96/36/24/620963624.db2.gz YFRWOUKKRIPIEL-ABAIWWIYSA-N 0 0 292.335 2.832 20 5 CFBDRN Cn1cncc1CCCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000902165830 620991609 /nfs/dbraw/zinc/99/16/09/620991609.db2.gz SJWJUBVOONYOQC-UHFFFAOYSA-N 0 0 295.726 2.993 20 5 CFBDRN CC(F)(F)CCCOc1ccc(N)c([N+](=O)[O-])c1 ZINC000902236570 621004445 /nfs/dbraw/zinc/00/44/45/621004445.db2.gz ROQZQWRGSJEMCK-UHFFFAOYSA-N 0 0 260.240 2.991 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2C[C@@H]1C[C@]12CCOC2 ZINC000902259094 621007861 /nfs/dbraw/zinc/00/78/61/621007861.db2.gz MQSCUDRITDKNAX-NHYWBVRUSA-N 0 0 272.304 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@]12CCOC2 ZINC000902309593 621029530 /nfs/dbraw/zinc/02/95/30/621029530.db2.gz QWAIADGQDFIRMD-FZMZJTMJSA-N 0 0 263.293 2.709 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@@H]2[C@@H](c3ccccc3)C2(F)F)c1 ZINC000902323466 621036257 /nfs/dbraw/zinc/03/62/57/621036257.db2.gz DSWPVMKGZMLIMU-VXGBXAGGSA-N 0 0 279.246 2.840 20 5 CFBDRN C[C@@H](SCc1cccnc1[N+](=O)[O-])c1cnccn1 ZINC000902368178 621043499 /nfs/dbraw/zinc/04/34/99/621043499.db2.gz IOHVNIPLHHHUNF-SECBINFHSA-N 0 0 276.321 2.774 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSCCOCC(F)(F)F ZINC000902365101 621054236 /nfs/dbraw/zinc/05/42/36/621054236.db2.gz QYBIPHANBZPPCN-UHFFFAOYSA-N 0 0 296.270 2.802 20 5 CFBDRN CO[C@H](CSCc1cn(C)nc1[N+](=O)[O-])C1CCCC1 ZINC000902422741 621074094 /nfs/dbraw/zinc/07/40/94/621074094.db2.gz NJLFFJOBDWLFAE-GFCCVEGCSA-N 0 0 299.396 2.767 20 5 CFBDRN CC1(C)CCC[C@H](CSCCn2cc([N+](=O)[O-])cn2)O1 ZINC000902478411 621088095 /nfs/dbraw/zinc/08/80/95/621088095.db2.gz XLMCZCAWPWZPJF-GFCCVEGCSA-N 0 0 299.396 2.872 20 5 CFBDRN O=C1CCCc2cn(CCc3ccc([N+](=O)[O-])cc3)nc21 ZINC000902544195 621116375 /nfs/dbraw/zinc/11/63/75/621116375.db2.gz CMCJAQXHNJUGSY-UHFFFAOYSA-N 0 0 285.303 2.553 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCC1CCOCC1 ZINC000902696509 621149144 /nfs/dbraw/zinc/14/91/44/621149144.db2.gz BQPVFCDXIGLGHK-UHFFFAOYSA-N 0 0 273.235 2.678 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000902899199 621203732 /nfs/dbraw/zinc/20/37/32/621203732.db2.gz GPIGQPUJUUYCFH-SQFXPHBZSA-N 0 0 293.298 2.900 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCC[C@@H](F)C1 ZINC000902992166 621219310 /nfs/dbraw/zinc/21/93/10/621219310.db2.gz HSUQCMVZVZCNTD-LLVKDONJSA-N 0 0 268.288 2.537 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@@H](F)C1 ZINC000902997963 621223861 /nfs/dbraw/zinc/22/38/61/621223861.db2.gz CNQUFFSMQGHJGP-SECBINFHSA-N 0 0 256.252 2.668 20 5 CFBDRN COc1cc([C@H](C)[NH2+]C[C@H]2CC[C@@H](C)O2)cc([N+](=O)[O-])c1 ZINC000903183253 621277134 /nfs/dbraw/zinc/27/71/34/621277134.db2.gz NBZUFUWEJAFAMA-UHIISALHSA-N 0 0 294.351 2.822 20 5 CFBDRN CCO[C@@H]1[C@@H](C)[C@@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000903317408 621330548 /nfs/dbraw/zinc/33/05/48/621330548.db2.gz KGVYCNGPMIOETJ-KPXOXKRLSA-N 0 0 289.291 2.783 20 5 CFBDRN C[C@@]1(C(=O)N2CCc3c2cccc3[N+](=O)[O-])C=CCC1 ZINC000903477394 621391224 /nfs/dbraw/zinc/39/12/24/621391224.db2.gz AKGPEMDTJFTLED-OAHLLOKOSA-N 0 0 272.304 2.840 20 5 CFBDRN CC[C@H](C)[C@@H](C(=O)[O-])[N@H+](C)CCc1ccccc1[N+](=O)[O-] ZINC000903608423 621402212 /nfs/dbraw/zinc/40/22/12/621402212.db2.gz HQJHPAZFZLGGHT-FZMZJTMJSA-N 0 0 294.351 2.568 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000903669442 621413454 /nfs/dbraw/zinc/41/34/54/621413454.db2.gz CFWFWVDDAAQAOR-WHFVKQHSSA-N 0 0 295.320 2.845 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000903817848 621443887 /nfs/dbraw/zinc/44/38/87/621443887.db2.gz MDMUTUAFTSFKJI-JWSAXSAHSA-N 0 0 287.319 2.529 20 5 CFBDRN Nc1ccc2c(c1)CN(Cc1ccc([N+](=O)[O-])cc1)CCO2 ZINC000903947331 621485381 /nfs/dbraw/zinc/48/53/81/621485381.db2.gz QZHKMYYAIMCHNZ-UHFFFAOYSA-N 0 0 299.330 2.572 20 5 CFBDRN CCCCN(O)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000904182925 621538028 /nfs/dbraw/zinc/53/80/28/621538028.db2.gz ACOWWJTVURRBPS-UHFFFAOYSA-N 0 0 281.312 2.604 20 5 CFBDRN Cc1cc(NC(=O)[C@H](C)[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000904188026 621543306 /nfs/dbraw/zinc/54/33/06/621543306.db2.gz PXRMKQKBQRFCBR-MFKMUULPSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1cc(COC(=O)[C@@H](C)[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000904286530 621567548 /nfs/dbraw/zinc/56/75/48/621567548.db2.gz OVXDKJYMWRUIAU-FZMZJTMJSA-N 0 0 293.319 2.762 20 5 CFBDRN Cc1cc(COC(=O)[C@@H](C)[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000904286532 621567688 /nfs/dbraw/zinc/56/76/88/621567688.db2.gz OVXDKJYMWRUIAU-SMDDNHRTSA-N 0 0 293.319 2.762 20 5 CFBDRN O=C(NCC[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000927414758 621577629 /nfs/dbraw/zinc/57/76/29/621577629.db2.gz FEJXQCFLPJWXHP-MFKMUULPSA-N 0 0 292.310 2.900 20 5 CFBDRN CCc1cc(-c2cc([N+](=O)[O-])ccc2CCO)ccn1 ZINC000904812584 621645667 /nfs/dbraw/zinc/64/56/67/621645667.db2.gz AAKKPVXBTTVRCP-UHFFFAOYSA-N 0 0 272.304 2.754 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1oc(C)nc1C ZINC000905108267 621701798 /nfs/dbraw/zinc/70/17/98/621701798.db2.gz MUHVPUJQWPRZMH-UHFFFAOYSA-N 0 0 276.296 2.853 20 5 CFBDRN Cc1ccc(NCC[N+](=O)[O-])cc1NC(=O)C(C)(C)C ZINC000905320430 621740775 /nfs/dbraw/zinc/74/07/75/621740775.db2.gz YLHZEGPXPBSODO-UHFFFAOYSA-N 0 0 279.340 2.668 20 5 CFBDRN Cc1cc(NCC[N+](=O)[O-])ccc1C(=O)OC(C)(C)C ZINC000905331147 621749104 /nfs/dbraw/zinc/74/91/04/621749104.db2.gz WGFXNAQIZYIGSW-UHFFFAOYSA-N 0 0 280.324 2.639 20 5 CFBDRN CC[C@@H](C)[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000905776527 621845176 /nfs/dbraw/zinc/84/51/76/621845176.db2.gz FIYFGUPHIRAHOS-JTNHKYCSSA-N 0 0 294.351 2.833 20 5 CFBDRN CC[C@@](O)(COc1cc(F)ccc1[N+](=O)[O-])C(F)(F)F ZINC000905944399 621909214 /nfs/dbraw/zinc/90/92/14/621909214.db2.gz UJWWMHFNUCCTLZ-SNVBAGLBSA-N 0 0 297.204 2.816 20 5 CFBDRN CC[C@@H](O)COc1cccc(Br)c1[N+](=O)[O-] ZINC000905981768 621913764 /nfs/dbraw/zinc/91/37/64/621913764.db2.gz ZAFUKKIBDMYWFC-SSDOTTSWSA-N 0 0 290.113 2.507 20 5 CFBDRN COc1cccc(C(=O)N2CCCC23CCC3)c1[N+](=O)[O-] ZINC000906201610 621951471 /nfs/dbraw/zinc/95/14/71/621951471.db2.gz PNZIGZRKXCAGSV-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN Cc1c(C(=O)N=[S@@](C)(=O)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000906310132 621972049 /nfs/dbraw/zinc/97/20/49/621972049.db2.gz MLRIJWXZGKYMFO-FQEVSTJZSA-N 0 0 298.364 2.940 20 5 CFBDRN Cc1cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])n(C)n1 ZINC000149160257 621992279 /nfs/dbraw/zinc/99/22/79/621992279.db2.gz VEBFTAMORLNYQE-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C[C@@H](CNC(=O)OCCCF)c1ccccc1[N+](=O)[O-] ZINC000907457557 622130115 /nfs/dbraw/zinc/13/01/15/622130115.db2.gz QYFRLRPEPHUWGU-JTQLQIEISA-N 0 0 284.287 2.784 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CCc1ccco1 ZINC000043544389 622192753 /nfs/dbraw/zinc/19/27/53/622192753.db2.gz RPFVWFYURCQQGR-UHFFFAOYSA-N 0 0 291.259 2.735 20 5 CFBDRN C/C(=C(/F)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000908252013 622208723 /nfs/dbraw/zinc/20/87/23/622208723.db2.gz VUEKMSVOENPOEI-GHXNOFRVSA-N 0 0 279.271 2.769 20 5 CFBDRN O=C(NOC1CCC1)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000908569277 622246452 /nfs/dbraw/zinc/24/64/52/622246452.db2.gz QKPUBNADFWAYFD-UHFFFAOYSA-N 0 0 288.662 2.601 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000908700510 622265260 /nfs/dbraw/zinc/26/52/60/622265260.db2.gz MVHSFOVLPVHGOI-VXNVDRBHSA-N 0 0 297.694 2.630 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000908952093 622288026 /nfs/dbraw/zinc/28/80/26/622288026.db2.gz IXMVBVSKLODRDI-WMZJFQQLSA-N 0 0 279.292 2.653 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000909030655 622298359 /nfs/dbraw/zinc/29/83/59/622298359.db2.gz ACBIRGLMUHNRCO-IZZDOVSWSA-N 0 0 279.292 2.653 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000909051088 622304317 /nfs/dbraw/zinc/30/43/17/622304317.db2.gz WSBGQDVMXPDXHL-RMKNXTFCSA-N 0 0 299.710 2.998 20 5 CFBDRN CCOCCCOC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026934 622387999 /nfs/dbraw/zinc/38/79/99/622387999.db2.gz GJSCKZIITXQSPA-KGLIPLIRSA-N 0 0 293.319 2.668 20 5 CFBDRN C/C=C/COC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026949 622388012 /nfs/dbraw/zinc/38/80/12/622388012.db2.gz GRQZKEGAOILXOT-XCUNLHGCSA-N 0 0 261.277 2.818 20 5 CFBDRN COCCCCOC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026407 622388040 /nfs/dbraw/zinc/38/80/40/622388040.db2.gz CMRIUARSMPTTTK-KBPBESRZSA-N 0 0 293.319 2.668 20 5 CFBDRN CCSCCOC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026963 622388116 /nfs/dbraw/zinc/38/81/16/622388116.db2.gz GVLVUCDIYHUJSB-OLZOCXBDSA-N 0 0 295.360 2.995 20 5 CFBDRN Nc1ccc(NC(=O)C(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000819887092 622566784 /nfs/dbraw/zinc/56/67/84/622566784.db2.gz LLVULWVAEMQEFB-UHFFFAOYSA-N 0 0 275.308 2.552 20 5 CFBDRN CCC(CC)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819888237 622567325 /nfs/dbraw/zinc/56/73/25/622567325.db2.gz WAGNMKUAHXFCSZ-UHFFFAOYSA-N 0 0 251.286 2.552 20 5 CFBDRN CC(C)(C)CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819920105 622572038 /nfs/dbraw/zinc/57/20/38/622572038.db2.gz LJUFUMYKQNZXBH-UHFFFAOYSA-N 0 0 251.286 2.552 20 5 CFBDRN COC(=O)/C=C/c1ccc(N[C@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000819941505 622578442 /nfs/dbraw/zinc/57/84/42/622578442.db2.gz NVHCGUSXYQROBC-LQPUYASZSA-N 0 0 288.303 2.745 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)/C=C\[C@@H]1CCCO1 ZINC000819948064 622580896 /nfs/dbraw/zinc/58/08/96/622580896.db2.gz YAUXMXBECFQIKR-DGMVEKRQSA-N 0 0 277.276 2.544 20 5 CFBDRN O=C(/C=C/[C@H]1CCCO1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000819964012 622586108 /nfs/dbraw/zinc/58/61/08/622586108.db2.gz JYAAZIGUVISWCR-DFVUYQKZSA-N 0 0 297.694 2.889 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NN1CCCC[C@@H]1C ZINC000912138846 622642990 /nfs/dbraw/zinc/64/29/90/622642990.db2.gz BNNALRZZZUVATH-LBPRGKRZSA-N 0 0 291.351 2.731 20 5 CFBDRN C[C@@H]1CCCCN1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000912139925 622644209 /nfs/dbraw/zinc/64/42/09/622644209.db2.gz ASVZYJZNIDMPGH-SECBINFHSA-N 0 0 297.742 2.767 20 5 CFBDRN C[C@H]1CCCCN1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000912141829 622644783 /nfs/dbraw/zinc/64/47/83/622644783.db2.gz DDYDWFDEQMRXMI-VIFPVBQESA-N 0 0 297.742 2.767 20 5 CFBDRN Cc1cc(F)cc(C(=O)NN2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000912141825 622644992 /nfs/dbraw/zinc/64/49/92/622644992.db2.gz DCCJVHCOMKZAEB-SNVBAGLBSA-N 0 0 295.314 2.562 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@H]1CCC(C)(C)C1 ZINC000820306984 622646421 /nfs/dbraw/zinc/64/64/21/622646421.db2.gz BQXSVZQDLXFKRS-NSHDSACASA-N 0 0 295.339 2.612 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NCC(C)(F)F ZINC000820616021 622716430 /nfs/dbraw/zinc/71/64/30/622716430.db2.gz MEXCCHSNAFJKGU-UHFFFAOYSA-N 0 0 290.291 2.702 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)[C@@H](O)c2cccc([N+](=O)[O-])c2)C1 ZINC000820736926 622747128 /nfs/dbraw/zinc/74/71/28/622747128.db2.gz QOLIORRHRZZJHH-STQMWFEESA-N 0 0 293.319 2.750 20 5 CFBDRN Cc1cnc(COc2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000150930206 622771065 /nfs/dbraw/zinc/77/10/65/622771065.db2.gz CBYVGRGNHVLHCT-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN CC(=O)c1cc(COc2cc(F)ccc2[N+](=O)[O-])on1 ZINC000821058011 622835101 /nfs/dbraw/zinc/83/51/01/622835101.db2.gz SPDJPRJYTSNLOG-UHFFFAOYSA-N 0 0 280.211 2.504 20 5 CFBDRN CCC1(NC(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000821105371 622847141 /nfs/dbraw/zinc/84/71/41/622847141.db2.gz LGPZOBGACOPEPA-OLZOCXBDSA-N 0 0 274.320 2.757 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC[C@H]1C1CC1 ZINC000834314411 625599301 /nfs/dbraw/zinc/59/93/01/625599301.db2.gz FPYPMVXYMGPJJD-NSHDSACASA-N 0 0 280.711 2.873 20 5 CFBDRN Nc1c(C(=O)N2CC=CC23CCCC3)cccc1[N+](=O)[O-] ZINC000913202242 622851962 /nfs/dbraw/zinc/85/19/62/622851962.db2.gz JFTRFSBNNUPMTG-UHFFFAOYSA-N 0 0 287.319 2.502 20 5 CFBDRN Cc1ccc(C2CC2)c(C(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000821167823 622858821 /nfs/dbraw/zinc/85/88/21/622858821.db2.gz YOGYWRXADNACDN-JTQLQIEISA-N 0 0 263.293 2.694 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cc2occc2[nH]1 ZINC000151193577 622860467 /nfs/dbraw/zinc/86/04/67/622860467.db2.gz ZXUCFCUZXYLWTB-UHFFFAOYSA-N 0 0 271.232 2.921 20 5 CFBDRN O=C(CC1CCC1)OCCc1ccccc1[N+](=O)[O-] ZINC000151207109 622861407 /nfs/dbraw/zinc/86/14/07/622861407.db2.gz JTFAAJARVVDIHD-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN CSC[C@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151221220 622866076 /nfs/dbraw/zinc/86/60/76/622866076.db2.gz JHDKFUMINVUPHG-JTQLQIEISA-N 0 0 283.349 2.680 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000821214451 622871009 /nfs/dbraw/zinc/87/10/09/622871009.db2.gz XAGWDGKTZIIVEH-VIFPVBQESA-N 0 0 282.365 2.693 20 5 CFBDRN CC1(C(=O)NCc2cc(F)c(Cl)c([N+](=O)[O-])c2)CC1 ZINC000913384774 622891183 /nfs/dbraw/zinc/89/11/83/622891183.db2.gz FOPLNNPQKFNHQY-UHFFFAOYSA-N 0 0 286.690 2.804 20 5 CFBDRN O=C(NCc1cc(F)c(Cl)c([N+](=O)[O-])c1)C12CC(C1)C2 ZINC000913388138 622892939 /nfs/dbraw/zinc/89/29/39/622892939.db2.gz VYNBREQYCUPYHB-UHFFFAOYSA-N 0 0 298.701 2.804 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)C(F)=C1CCC1 ZINC000821375941 622907721 /nfs/dbraw/zinc/90/77/21/622907721.db2.gz IMQBGJPYGCBRMS-UHFFFAOYSA-N 0 0 295.266 2.924 20 5 CFBDRN O=C(COC(=O)C(F)=C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000821387654 622911542 /nfs/dbraw/zinc/91/15/42/622911542.db2.gz WUJNTHMKSTWVCU-UHFFFAOYSA-N 0 0 293.250 2.728 20 5 CFBDRN O=C(/C=C/[C@@H]1CCCO1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000821409419 622917052 /nfs/dbraw/zinc/91/70/52/622917052.db2.gz GAYAMJORMNEPAG-FGEFZZPRSA-N 0 0 295.266 2.512 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803229653 622942430 /nfs/dbraw/zinc/94/24/30/622942430.db2.gz ROKZZBRPJMACLH-XPUUQOCRSA-N 0 0 271.219 2.572 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)CC(C)(C)O)cccc1[N+](=O)[O-] ZINC000821592233 622951301 /nfs/dbraw/zinc/95/13/01/622951301.db2.gz XUWGWYIWHLJCEF-SECBINFHSA-N 0 0 281.308 2.610 20 5 CFBDRN Cn1ccc(CNC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1 ZINC000081379279 625613355 /nfs/dbraw/zinc/61/33/55/625613355.db2.gz NPMUHOCBSPINFH-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN Cc1cc2ccccc2c(NC(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC000840468105 623015584 /nfs/dbraw/zinc/01/55/84/623015584.db2.gz BQMNAOZTAAWAGO-TZMCWYRMSA-N 0 0 270.288 2.752 20 5 CFBDRN Cc1c(C(=O)OC[C@]2(C)C[C@H]2F)cccc1[N+](=O)[O-] ZINC000822081288 623030316 /nfs/dbraw/zinc/03/03/16/623030316.db2.gz YCSDVPAYSZVYOQ-YPMHNXCESA-N 0 0 267.256 2.808 20 5 CFBDRN C[C@]1(COC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc32)C[C@@H]1F ZINC000822087706 623031277 /nfs/dbraw/zinc/03/12/77/623031277.db2.gz GTQVZRGUDGQIAN-GXTWGEPZSA-N 0 0 292.266 2.981 20 5 CFBDRN CCCCC[C@@H](O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000822127602 623044907 /nfs/dbraw/zinc/04/49/07/623044907.db2.gz IFGPDFILXGYRRN-CYBMUJFWSA-N 0 0 280.324 2.783 20 5 CFBDRN COc1cccc(C(=O)OC[C@@]2(C)C[C@@H]2F)c1[N+](=O)[O-] ZINC000822141828 623048797 /nfs/dbraw/zinc/04/87/97/623048797.db2.gz YWTDKLQXYMSZTO-GXFFZTMASA-N 0 0 283.255 2.508 20 5 CFBDRN CCN(Cc1ccccc1)Cn1nc(C)c([N+](=O)[O-])c1C ZINC000058591057 623053542 /nfs/dbraw/zinc/05/35/42/623053542.db2.gz AZAADKVDUYWTJZ-UHFFFAOYSA-N 0 0 288.351 2.888 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)[C@@H](C)C1 ZINC000226217272 623062201 /nfs/dbraw/zinc/06/22/01/623062201.db2.gz ISNDOLBHGIFVPE-BFVZDQMLSA-N 0 0 291.351 2.732 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)Oc1cccc([N+](=O)[O-])c1 ZINC000822251792 623063566 /nfs/dbraw/zinc/06/35/66/623063566.db2.gz WJXKLDICLLMTPB-OUJBWJOFSA-N 0 0 261.277 2.936 20 5 CFBDRN Cc1cc(C(=O)Oc2cccc([N+](=O)[O-])c2)nn1C(C)C ZINC000822251793 623063801 /nfs/dbraw/zinc/06/38/01/623063801.db2.gz WMWZCFSIVIKPGF-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)cnc1Cl ZINC000226419250 623073403 /nfs/dbraw/zinc/07/34/03/623073403.db2.gz YAMTWJJLTHUHNV-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CC(C)(CCC(=O)NCCCCc1ccccc1)[N+](=O)[O-] ZINC000822298974 623073816 /nfs/dbraw/zinc/07/38/16/623073816.db2.gz TZIKVZIFJXNUKQ-UHFFFAOYSA-N 0 0 292.379 2.961 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ncn(C/C=C/Cl)n2)c1 ZINC000158050094 623088812 /nfs/dbraw/zinc/08/88/12/623088812.db2.gz GKKDUQXSVUEKFW-GORDUTHDSA-N 0 0 264.672 2.606 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCCc1cccnc1 ZINC000059181455 623088985 /nfs/dbraw/zinc/08/89/85/623088985.db2.gz RGDKGFQONJTVAB-VMPITWQZSA-N 0 0 298.298 2.789 20 5 CFBDRN C[C@@H](O)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000168297042 623090586 /nfs/dbraw/zinc/09/05/86/623090586.db2.gz RKVSHMIODKRFED-BDAKNGLRSA-N 0 0 286.278 2.740 20 5 CFBDRN CC(C)(CCC(=O)N1CCc2cc(F)c(F)cc21)[N+](=O)[O-] ZINC000822518569 623119477 /nfs/dbraw/zinc/11/94/77/623119477.db2.gz QVORPTKXOGEPCJ-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1ccc(CN(C(=O)CCC(C)(C)[N+](=O)[O-])C2CC2)o1 ZINC000822576400 623129853 /nfs/dbraw/zinc/12/98/53/623129853.db2.gz BHOSYTFTRYRDJE-UHFFFAOYSA-N 0 0 294.351 2.915 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000822628495 623139126 /nfs/dbraw/zinc/13/91/26/623139126.db2.gz UMPVUPQTVUYSKU-NWDGAFQWSA-N 0 0 274.320 2.740 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000822642148 623141176 /nfs/dbraw/zinc/14/11/76/623141176.db2.gz FGKGUQNMDGMIEJ-LBPRGKRZSA-N 0 0 280.299 2.581 20 5 CFBDRN CCC(F)(F)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000822673217 623148543 /nfs/dbraw/zinc/14/85/43/623148543.db2.gz ZNCUSYUWIMSNRM-MRVPVSSYSA-N 0 0 272.251 2.817 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@H]1c1ccccn1)[N+](=O)[O-] ZINC000822730767 623161884 /nfs/dbraw/zinc/16/18/84/623161884.db2.gz NJZGPCOJDPVNTR-ZDUSSCGKSA-N 0 0 291.351 2.581 20 5 CFBDRN CC(C)[C@@H](C)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1CC1 ZINC000822747466 623165860 /nfs/dbraw/zinc/16/58/60/623165860.db2.gz IZDKVDAWGUWNSB-LLVKDONJSA-N 0 0 270.373 2.857 20 5 CFBDRN CC/C=C/COC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000170724143 623177492 /nfs/dbraw/zinc/17/74/92/623177492.db2.gz APSLBPDRNIZGAE-AATRIKPKSA-N 0 0 295.291 2.735 20 5 CFBDRN Cc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c2n[nH]cc21 ZINC000822854244 623188790 /nfs/dbraw/zinc/18/87/90/623188790.db2.gz IBNXWPWZYIRLNU-UHFFFAOYSA-N 0 0 290.323 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1Cc2ccncc2C1 ZINC000822881978 623196116 /nfs/dbraw/zinc/19/61/16/623196116.db2.gz MFTTWDAXNAOZAU-UHFFFAOYSA-N 0 0 297.314 2.763 20 5 CFBDRN CCCN(CCC)C(=O)COc1cc(F)ccc1[N+](=O)[O-] ZINC000061290641 623199616 /nfs/dbraw/zinc/19/96/16/623199616.db2.gz BGAARQRBUOGZEV-UHFFFAOYSA-N 0 0 298.314 2.761 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC1CC(F)(F)C1 ZINC000823090284 623233036 /nfs/dbraw/zinc/23/30/36/623233036.db2.gz LANXMRAFOHQYAT-UHFFFAOYSA-N 0 0 298.289 2.987 20 5 CFBDRN O=C(O[C@H]1CCOC1)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000171656879 623235560 /nfs/dbraw/zinc/23/55/60/623235560.db2.gz UFTLWRFZZRNLKP-JTQLQIEISA-N 0 0 293.300 2.755 20 5 CFBDRN C[C@H]1OCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000230107605 623252162 /nfs/dbraw/zinc/25/21/62/623252162.db2.gz MSHBHCNCQBMFFU-OQPBUACISA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC[C@@H](C)F ZINC000823177383 623252477 /nfs/dbraw/zinc/25/24/77/623252477.db2.gz LHOUENFREWHQFS-SECBINFHSA-N 0 0 268.288 2.690 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2C)o1 ZINC000062280824 623258712 /nfs/dbraw/zinc/25/87/12/623258712.db2.gz ZZHHOCDOGGCATQ-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(F)(F)F)c1 ZINC000076848218 623262568 /nfs/dbraw/zinc/26/25/68/623262568.db2.gz JZGPZVIUAYMEBR-UHFFFAOYSA-N 0 0 263.171 2.622 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000823218371 623265598 /nfs/dbraw/zinc/26/55/98/623265598.db2.gz GDNDTNGXHKRKLL-GWCFXTLKSA-N 0 0 294.351 2.569 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000230415597 623274436 /nfs/dbraw/zinc/27/44/36/623274436.db2.gz TWDMOAXAXIEVBF-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN O=C(/C=C/[C@H]1CCCO1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000823300425 623288610 /nfs/dbraw/zinc/28/86/10/623288610.db2.gz UECQKSYSRRSIKW-DFVUYQKZSA-N 0 0 296.710 2.922 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000230669617 623289465 /nfs/dbraw/zinc/28/94/65/623289465.db2.gz PXULQDUCKVRWMX-NXEZZACHSA-N 0 0 280.299 2.900 20 5 CFBDRN Cn1nccc1CCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000230790884 623297995 /nfs/dbraw/zinc/29/79/95/623297995.db2.gz QHMRZLXEVBUMHX-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN CC[C@@]1(C(=O)[O-])CCC[N@H+](Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000062877698 623303279 /nfs/dbraw/zinc/30/32/79/623303279.db2.gz AHOLICADXVAHFT-OAHLLOKOSA-N 0 0 292.335 2.672 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2cccnc2[N+](=O)[O-])C1 ZINC000230917988 623310318 /nfs/dbraw/zinc/31/03/18/623310318.db2.gz SXSOUWLYKZMXLM-RKDXNWHRSA-N 0 0 253.327 2.686 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1C=CCC1 ZINC000823465768 623327246 /nfs/dbraw/zinc/32/72/46/623327246.db2.gz RADKCWDHWFTVCH-NSHDSACASA-N 0 0 275.308 2.997 20 5 CFBDRN CC[C@]1(C)CCCN(Cn2nc(C)c([N+](=O)[O-])c2C)C1 ZINC000173422823 623347690 /nfs/dbraw/zinc/34/76/90/623347690.db2.gz DIULUBMAAJARRK-CQSZACIVSA-N 0 0 280.372 2.878 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2cccc3c2OCCO3)c1 ZINC000063560581 623362752 /nfs/dbraw/zinc/36/27/52/623362752.db2.gz IBCZVSTUEDRQKM-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCCCCCCO ZINC000231745616 623367714 /nfs/dbraw/zinc/36/77/14/623367714.db2.gz OEWSBVKBFFYKKT-UHFFFAOYSA-N 0 0 257.261 2.665 20 5 CFBDRN Cc1cc(NC(=O)/C=C/[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000823700863 623380934 /nfs/dbraw/zinc/38/09/34/623380934.db2.gz TZBXYDUEVONYFP-PZBABLGHSA-N 0 0 276.292 2.577 20 5 CFBDRN Cc1ccc(C(=O)OCCOC(C)(C)C)cc1[N+](=O)[O-] ZINC000063894338 623399212 /nfs/dbraw/zinc/39/92/12/623399212.db2.gz UNGLLYGYVARRBT-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN CC[C@@H](C)CCNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823920875 623419039 /nfs/dbraw/zinc/41/90/39/623419039.db2.gz GQUPKVPVKQGKNR-SECBINFHSA-N 0 0 280.328 2.735 20 5 CFBDRN C[C@]1(NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC=CCC1 ZINC000823927315 623420811 /nfs/dbraw/zinc/42/08/11/623420811.db2.gz SLEOQRFXTDXTTO-AWEZNQCLSA-N 0 0 290.323 2.797 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823945430 623423682 /nfs/dbraw/zinc/42/36/82/623423682.db2.gz PIXRWZPKQFKREL-NXEZZACHSA-N 0 0 292.339 2.735 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823972886 623427004 /nfs/dbraw/zinc/42/70/04/623427004.db2.gz AKDYGGQQXWYDGS-NXEZZACHSA-N 0 0 292.339 2.829 20 5 CFBDRN CC1(C)CCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000823968466 623427367 /nfs/dbraw/zinc/42/73/67/623427367.db2.gz STWLNTVZCWCOOY-UHFFFAOYSA-N 0 0 292.339 2.831 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCC(C)C)c1F ZINC000232596488 623428617 /nfs/dbraw/zinc/42/86/17/623428617.db2.gz LDMZLXCQZDRREY-UHFFFAOYSA-N 0 0 255.245 2.855 20 5 CFBDRN CCC(C)(CC)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823980234 623429217 /nfs/dbraw/zinc/42/92/17/623429217.db2.gz JITDZFJKRSLNON-UHFFFAOYSA-N 0 0 280.328 2.877 20 5 CFBDRN CSCC1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000834383906 625652396 /nfs/dbraw/zinc/65/23/96/625652396.db2.gz PBULHDKXOJBJCU-UHFFFAOYSA-N 0 0 294.376 2.810 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CCS[C@@H]1C ZINC000824142675 623459674 /nfs/dbraw/zinc/45/96/74/623459674.db2.gz OUALYTJIERHJNV-GHMZBOCLSA-N 0 0 294.376 2.835 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000923595751 623513292 /nfs/dbraw/zinc/51/32/92/623513292.db2.gz WTGSKDDWZDOAAA-SECBINFHSA-N 0 0 299.710 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@]1(C)CC1(F)F ZINC000824550860 623523011 /nfs/dbraw/zinc/52/30/11/623523011.db2.gz NUCOIWYWMYTDHY-ZDUSSCGKSA-N 0 0 298.289 2.987 20 5 CFBDRN CCCCC[C@H](C)NC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000824625640 623534386 /nfs/dbraw/zinc/53/43/86/623534386.db2.gz MNTIWKZEGDHKAD-SMDDNHRTSA-N 0 0 294.351 2.713 20 5 CFBDRN Nc1ccc(C(=O)NCCCC2CCCC2)cc1[N+](=O)[O-] ZINC000030231963 623567235 /nfs/dbraw/zinc/56/72/35/623567235.db2.gz YLABMWMATMYISK-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN CC(C(=O)NCc1ccccc1[N+](=O)[O-])=C1CCC1 ZINC000825249799 623658958 /nfs/dbraw/zinc/65/89/58/623658958.db2.gz OHKJAECSKRSUDR-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN CC(C)(F)CCNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000825257338 623659907 /nfs/dbraw/zinc/65/99/07/623659907.db2.gz BWAOLJJDCLLZGT-CHWSQXEVSA-N 0 0 294.326 2.953 20 5 CFBDRN CC(C)(C)NC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929778381 623663758 /nfs/dbraw/zinc/66/37/58/623663758.db2.gz IABIXMAKYMQNTA-VXGBXAGGSA-N 0 0 262.309 2.613 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])O[C@@H]1CCOC1 ZINC000913977098 623663787 /nfs/dbraw/zinc/66/37/87/623663787.db2.gz GGONIUDVLDPCIW-MRVPVSSYSA-N 0 0 287.655 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(Cl)nc2)cn1 ZINC000760480428 623665410 /nfs/dbraw/zinc/66/54/10/623665410.db2.gz MWHZKHKNHISOGY-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN C[C@@H]1CCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000914158147 623716273 /nfs/dbraw/zinc/71/62/73/623716273.db2.gz JVIFOJCUFOLDNV-SECBINFHSA-N 0 0 298.726 2.638 20 5 CFBDRN CCOC(=O)Cc1ccc(N(C)CC2CC2)c([N+](=O)[O-])c1 ZINC000825611001 623723389 /nfs/dbraw/zinc/72/33/89/623723389.db2.gz LUTXFKSTYYATHN-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN O=C(Nc1ccccn1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152517183 623725445 /nfs/dbraw/zinc/72/54/45/623725445.db2.gz DDAFZEMCXWNGSD-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000324168826 623727273 /nfs/dbraw/zinc/72/72/73/623727273.db2.gz SMOVYCDABMVMPN-CYBMUJFWSA-N 0 0 280.299 2.898 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000825634119 623727671 /nfs/dbraw/zinc/72/76/71/623727671.db2.gz MSXMINRGRKOREU-GFCCVEGCSA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000825640451 623728124 /nfs/dbraw/zinc/72/81/24/623728124.db2.gz FETWQTCKMSPZAY-NSHDSACASA-N 0 0 298.289 2.955 20 5 CFBDRN Cc1ccc(CNC(=O)CCC(F)F)cc1[N+](=O)[O-] ZINC000825640341 623728680 /nfs/dbraw/zinc/72/86/80/623728680.db2.gz DLQFDSRJMVJWHU-UHFFFAOYSA-N 0 0 272.251 2.565 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825641201 623729360 /nfs/dbraw/zinc/72/93/60/623729360.db2.gz RVSZYHAKQFSONC-QMTHXVAHSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1ccc(CNC(=O)C2=CCCC2)cc1[N+](=O)[O-] ZINC000825648203 623729922 /nfs/dbraw/zinc/72/99/22/623729922.db2.gz MUBGEZHGSMEJCK-UHFFFAOYSA-N 0 0 260.293 2.630 20 5 CFBDRN CC[C@@H](C)CC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825647146 623730485 /nfs/dbraw/zinc/73/04/85/623730485.db2.gz CVXQZZLTJYWKPL-SNVBAGLBSA-N 0 0 264.325 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)CCC(F)(F)F)cc1[N+](=O)[O-] ZINC000825648628 623730850 /nfs/dbraw/zinc/73/08/50/623730850.db2.gz WACAFOHOTRQNTJ-UHFFFAOYSA-N 0 0 290.241 2.862 20 5 CFBDRN CSC[C@H](C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825655461 623730943 /nfs/dbraw/zinc/73/09/43/623730943.db2.gz OJYADISDNKVZDW-JTQLQIEISA-N 0 0 282.365 2.519 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000825648590 623730962 /nfs/dbraw/zinc/73/09/62/623730962.db2.gz VDJQELWRSCTMOP-MRVPVSSYSA-N 0 0 290.241 2.718 20 5 CFBDRN Cc1ccc(CNC(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000825655401 623730996 /nfs/dbraw/zinc/73/09/96/623730996.db2.gz LZKYKMBUOYCUKG-UHFFFAOYSA-N 0 0 250.298 2.566 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000825648664 623731021 /nfs/dbraw/zinc/73/10/21/623731021.db2.gz XCIHHVLDVMHZLT-LLVKDONJSA-N 0 0 264.325 2.812 20 5 CFBDRN O=C(NCCCCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000825690148 623740766 /nfs/dbraw/zinc/74/07/66/623740766.db2.gz XNWIWCPJFPHHKM-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCCOC1CCC1)CCCC2 ZINC000825790504 623753566 /nfs/dbraw/zinc/75/35/66/623753566.db2.gz MGVQAAVXKBFKBC-UHFFFAOYSA-N 0 0 291.351 2.850 20 5 CFBDRN O=[N+]([O-])c1cccc([C@H](O)COc2cccc(F)c2)c1 ZINC000152721966 623759488 /nfs/dbraw/zinc/75/94/88/623759488.db2.gz FIHKWMHNLVURRP-CQSZACIVSA-N 0 0 277.251 2.846 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NC[C@H]1CCC=CO1)CCCC2 ZINC000825979145 623778147 /nfs/dbraw/zinc/77/81/47/623778147.db2.gz JCEIDBPZWVIICA-GFCCVEGCSA-N 0 0 289.335 2.973 20 5 CFBDRN COc1ccc(OC(=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000072503438 625676024 /nfs/dbraw/zinc/67/60/24/625676024.db2.gz SBNPXRZNTUKNLI-UHFFFAOYSA-N 0 0 253.254 2.555 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826071423 623790374 /nfs/dbraw/zinc/79/03/74/623790374.db2.gz GHYAIQKKOQWCNB-NEPJUHHUSA-N 0 0 270.373 2.859 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@@]1(C)c1ccccc1)[N+](=O)[O-] ZINC000826086119 623791944 /nfs/dbraw/zinc/79/19/44/623791944.db2.gz NROMOJALOJFXQC-CJNGLKHVSA-N 0 0 290.363 2.668 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NOCC1CCC1 ZINC000826628254 623825840 /nfs/dbraw/zinc/82/58/40/623825840.db2.gz YROKTJUNDXHTMP-UHFFFAOYSA-N 0 0 296.298 2.514 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000826670317 623836488 /nfs/dbraw/zinc/83/64/88/623836488.db2.gz LDBMNDAKDQYQPL-ZJUUUORDSA-N 0 0 270.304 2.943 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H]2C2CCC2)c(F)c1 ZINC000826693531 623844066 /nfs/dbraw/zinc/84/40/66/623844066.db2.gz VXNDUPWMGYQWAS-CQSZACIVSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H]2C2CCC2)c(F)c1 ZINC000826693530 623845267 /nfs/dbraw/zinc/84/52/67/623845267.db2.gz VXNDUPWMGYQWAS-AWEZNQCLSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@H]2CCCS2)c1[N+](=O)[O-] ZINC000153128289 623854890 /nfs/dbraw/zinc/85/48/90/623854890.db2.gz WZLSRRZMDIOJNU-SNVBAGLBSA-N 0 0 298.339 2.668 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2nc(C)ccc2[N+](=O)[O-])[C@@H]1SC ZINC000826744442 623863004 /nfs/dbraw/zinc/86/30/04/623863004.db2.gz KPMVRUBWTOGPJO-JLLWLGSASA-N 0 0 297.380 2.619 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826865453 623897838 /nfs/dbraw/zinc/89/78/38/623897838.db2.gz QCYAOOBEWKCORR-GFCCVEGCSA-N 0 0 270.373 2.861 20 5 CFBDRN CCCC1(CNC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000826913930 623909022 /nfs/dbraw/zinc/90/90/22/623909022.db2.gz HKNLWVVALIVCBA-UHFFFAOYSA-N 0 0 256.346 2.518 20 5 CFBDRN COCCN(CCF)Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000827054336 623933243 /nfs/dbraw/zinc/93/32/43/623933243.db2.gz QQBKWQURWBOROP-UHFFFAOYSA-N 0 0 290.722 2.666 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CC2CCC1CC2)[N+](=O)[O-] ZINC000827059390 623934179 /nfs/dbraw/zinc/93/41/79/623934179.db2.gz IPOQOJIWLPTHEV-HTAVTVPLSA-N 0 0 268.357 2.517 20 5 CFBDRN O=C(O[C@@H]1COc2ccccc21)c1ccc([N+](=O)[O-])s1 ZINC000153359867 623942014 /nfs/dbraw/zinc/94/20/14/623942014.db2.gz NHSQQPWUJKMOAH-SNVBAGLBSA-N 0 0 291.284 2.947 20 5 CFBDRN CS[C@H]1CCCCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000827131356 623949102 /nfs/dbraw/zinc/94/91/02/623949102.db2.gz OTAODABIYLCFAT-NSHDSACASA-N 0 0 288.413 2.566 20 5 CFBDRN O=C([C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N(C1CC1)C1CC1 ZINC000827239262 623972322 /nfs/dbraw/zinc/97/23/22/623972322.db2.gz PEDLPZHXCQUDBR-GJZGRUSLSA-N 0 0 286.331 2.852 20 5 CFBDRN CC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1c(C)noc1C ZINC000827395433 623997567 /nfs/dbraw/zinc/99/75/67/623997567.db2.gz JMQWHFAZWBHPKX-LLVKDONJSA-N 0 0 297.355 2.694 20 5 CFBDRN CC[C@@H](C)[C@@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)OC ZINC000835638801 624004433 /nfs/dbraw/zinc/00/44/33/624004433.db2.gz VZLNVOJBRXMBTR-BXUZGUMPSA-N 0 0 295.335 2.742 20 5 CFBDRN COC(=O)CN(C)c1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000185159765 625692514 /nfs/dbraw/zinc/69/25/14/625692514.db2.gz HDVLXZCHBJCNQL-UHFFFAOYSA-N 0 0 293.106 2.511 20 5 CFBDRN C/C=C\COC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000915439972 624043106 /nfs/dbraw/zinc/04/31/06/624043106.db2.gz OABSLZIBZITVFS-ARJAWSKDSA-N 0 0 279.292 2.791 20 5 CFBDRN CCCCOC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000915448364 624044069 /nfs/dbraw/zinc/04/40/69/624044069.db2.gz PHFPUNBTXHHICL-UHFFFAOYSA-N 0 0 267.281 2.707 20 5 CFBDRN CC(C)(CCC(=O)NCCCc1cccs1)[N+](=O)[O-] ZINC000827697718 624067179 /nfs/dbraw/zinc/06/71/79/624067179.db2.gz ZITWMFYHYUJLQF-UHFFFAOYSA-N 0 0 284.381 2.632 20 5 CFBDRN COC(=O)/C=C(\C)COc1ccc([N+](=O)[O-])cc1Cl ZINC000915603801 624083008 /nfs/dbraw/zinc/08/30/08/624083008.db2.gz QYEUCPVHURNCIU-VMPITWQZSA-N 0 0 285.683 2.746 20 5 CFBDRN COC(=O)/C=C(/C)COc1ccc([N+](=O)[O-])cc1Cl ZINC000915603802 624083068 /nfs/dbraw/zinc/08/30/68/624083068.db2.gz QYEUCPVHURNCIU-YVMONPNESA-N 0 0 285.683 2.746 20 5 CFBDRN CCN(CC)C(=O)OCOc1cc(F)ccc1[N+](=O)[O-] ZINC000915669232 624093915 /nfs/dbraw/zinc/09/39/15/624093915.db2.gz KWUQZWCKGKAKKH-UHFFFAOYSA-N 0 0 286.259 2.549 20 5 CFBDRN CC(C)(CCc1nc(CCC(F)(F)F)no1)[N+](=O)[O-] ZINC000834525947 625702250 /nfs/dbraw/zinc/70/22/50/625702250.db2.gz CIBQRQDSWAFQIR-UHFFFAOYSA-N 0 0 281.234 2.552 20 5 CFBDRN COc1cc(COC(=O)[C@@H](C)C2CC2)c([N+](=O)[O-])cc1F ZINC000766210681 624129915 /nfs/dbraw/zinc/12/99/15/624129915.db2.gz UHHWHAJGSHJBSV-QMMMGPOBSA-N 0 0 297.282 2.832 20 5 CFBDRN Cc1c(C(=O)N2CCCSC[C@H]2C)cccc1[N+](=O)[O-] ZINC000889908828 624137232 /nfs/dbraw/zinc/13/72/32/624137232.db2.gz MFJBXJGZBNTKES-SNVBAGLBSA-N 0 0 294.376 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1N1CC[C@H](F)C1 ZINC000828449716 624247410 /nfs/dbraw/zinc/24/74/10/624247410.db2.gz XFILPVSINZZGIA-QMMMGPOBSA-N 0 0 289.104 2.906 20 5 CFBDRN Cc1cc(OCc2noc3c2COCC3)ccc1[N+](=O)[O-] ZINC000916153032 624226257 /nfs/dbraw/zinc/22/62/57/624226257.db2.gz AUPICIQLQGCBCM-UHFFFAOYSA-N 0 0 290.275 2.543 20 5 CFBDRN Cc1nn(C)cc1CN1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000834531334 625703147 /nfs/dbraw/zinc/70/31/47/625703147.db2.gz WEVOAAPYBWADIP-JTQLQIEISA-N 0 0 286.335 2.588 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC1=CCOCC1 ZINC000828498924 624257168 /nfs/dbraw/zinc/25/71/68/624257168.db2.gz IHEOXILVJULORV-UHFFFAOYSA-N 0 0 276.292 2.556 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000828520084 624261032 /nfs/dbraw/zinc/26/10/32/624261032.db2.gz RTXFBPVSTIUXDM-ZDUSSCGKSA-N 0 0 279.292 2.674 20 5 CFBDRN Cc1nc(C)c(CC(=O)Nc2cccc([N+](=O)[O-])c2)s1 ZINC000916663106 624271612 /nfs/dbraw/zinc/27/16/12/624271612.db2.gz YTMBYUJOSXVOHT-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H](C)CCCCO ZINC000828619539 624278533 /nfs/dbraw/zinc/27/85/33/624278533.db2.gz HRPUVDYFQLASBV-SNVBAGLBSA-N 0 0 280.324 2.760 20 5 CFBDRN CCc1ccc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000916827528 624286215 /nfs/dbraw/zinc/28/62/15/624286215.db2.gz YWRYTDYYKYADDJ-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000828842361 624311452 /nfs/dbraw/zinc/31/14/52/624311452.db2.gz SMOVKHALNVRWCT-GFCCVEGCSA-N 0 0 283.255 2.504 20 5 CFBDRN Cc1cnc(OCc2nc(C(C)C)ns2)c([N+](=O)[O-])c1 ZINC000917149597 624318363 /nfs/dbraw/zinc/31/83/63/624318363.db2.gz NMUSYKLAFKIRIG-UHFFFAOYSA-N 0 0 294.336 2.852 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C[C@H]1C ZINC000828903149 624325807 /nfs/dbraw/zinc/32/58/07/624325807.db2.gz FMMYXQGJISYUBO-RISCZKNCSA-N 0 0 264.325 2.764 20 5 CFBDRN C[C@@H](NC(=O)[C@]12C[C@H]1CCC2)c1ccccc1[N+](=O)[O-] ZINC000828909713 624327768 /nfs/dbraw/zinc/32/77/68/624327768.db2.gz UTSJLIRMAJBVCR-UEKVPHQBSA-N 0 0 274.320 2.962 20 5 CFBDRN CCOCCOCCSCc1ccc([N+](=O)[O-])cc1 ZINC000917351182 624334794 /nfs/dbraw/zinc/33/47/94/624334794.db2.gz STCNBXXBRIWSFC-UHFFFAOYSA-N 0 0 285.365 2.881 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000917526623 624353527 /nfs/dbraw/zinc/35/35/27/624353527.db2.gz ZNVOQXVUPZPCDR-SNVBAGLBSA-N 0 0 267.281 2.561 20 5 CFBDRN CC[C@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@H](C)O ZINC000829005759 624353774 /nfs/dbraw/zinc/35/37/74/624353774.db2.gz GTBBBMWGTPZJID-CBAPKCEASA-N 0 0 281.337 2.776 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CC12CCOCC2 ZINC000829019817 624356616 /nfs/dbraw/zinc/35/66/16/624356616.db2.gz OBBHKRQALXFWIU-AWEZNQCLSA-N 0 0 290.319 2.778 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c(C)n1 ZINC000917611511 624372256 /nfs/dbraw/zinc/37/22/56/624372256.db2.gz IGAWIJSOHANSAB-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CCn1nnc(C)c1COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000829108667 624374386 /nfs/dbraw/zinc/37/43/86/624374386.db2.gz XYFXLYJPFZWKCQ-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN O=C(OCOc1cc(F)c(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000917685808 624376982 /nfs/dbraw/zinc/37/69/82/624376982.db2.gz FBTGOQLIAYBKIQ-UHFFFAOYSA-N 0 0 289.646 2.677 20 5 CFBDRN CC(C)CCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000033389280 624380222 /nfs/dbraw/zinc/38/02/22/624380222.db2.gz WJMOOQJONUYTOP-YVMONPNESA-N 0 0 262.309 2.770 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000829149760 624386069 /nfs/dbraw/zinc/38/60/69/624386069.db2.gz IFCJHLPWGDJKQX-BYCMXARLSA-N 0 0 286.331 2.781 20 5 CFBDRN C[C@@H](Cc1cnn(C)c1)Nc1cccc(F)c1[N+](=O)[O-] ZINC000829165939 624390304 /nfs/dbraw/zinc/39/03/04/624390304.db2.gz JXPAPEVHBYGQJK-VIFPVBQESA-N 0 0 278.287 2.511 20 5 CFBDRN CCc1nc(CNc2c(F)cccc2[N+](=O)[O-])co1 ZINC000829183470 624393751 /nfs/dbraw/zinc/39/37/51/624393751.db2.gz BDOIXRPFRSKMCN-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CC(C)[C@@H](O)COc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000917929197 624396824 /nfs/dbraw/zinc/39/68/24/624396824.db2.gz OIVHGYCUVZFOQF-YFKPBYRVSA-N 0 0 297.204 2.547 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1cccc(F)c1 ZINC000917967493 624400432 /nfs/dbraw/zinc/40/04/32/624400432.db2.gz KWBYQGBYLLTQQO-VIFPVBQESA-N 0 0 292.266 2.990 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@]3(CCOC3)C2)c(F)c1 ZINC000829327231 624418482 /nfs/dbraw/zinc/41/84/82/624418482.db2.gz HBDBBZYPMGUCRN-AWEZNQCLSA-N 0 0 298.289 2.880 20 5 CFBDRN Cc1cc(C)c(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1O ZINC000829328806 624418590 /nfs/dbraw/zinc/41/85/90/624418590.db2.gz ZGXZIJOWWOEYJF-UHFFFAOYSA-N 0 0 280.324 2.783 20 5 CFBDRN CCCOc1ccc(OCCn2ccnc2[N+](=O)[O-])cc1 ZINC000918342100 624433043 /nfs/dbraw/zinc/43/30/43/624433043.db2.gz AXPRXYLABZZYNJ-UHFFFAOYSA-N 0 0 291.307 2.659 20 5 CFBDRN CC(C)(CNc1ccc(N)cc1[N+](=O)[O-])C1(O)CCCC1 ZINC000829473639 624440357 /nfs/dbraw/zinc/44/03/57/624440357.db2.gz DPYAIQKXJGZJRI-UHFFFAOYSA-N 0 0 293.367 2.920 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829506832 624448460 /nfs/dbraw/zinc/44/84/60/624448460.db2.gz YPYQJWZXTUFEBN-DGCLKSJQSA-N 0 0 295.295 2.873 20 5 CFBDRN COC[C@@H]1CCCCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000829516349 624450706 /nfs/dbraw/zinc/45/07/06/624450706.db2.gz VDOUFSRXEFAFSX-VIFPVBQESA-N 0 0 286.278 2.878 20 5 CFBDRN Cc1nc(Cl)nn1Cc1cccc([N+](=O)[O-])c1C ZINC000918599577 624454751 /nfs/dbraw/zinc/45/47/51/624454751.db2.gz RTTZLRGAMGCELS-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000829562149 624456072 /nfs/dbraw/zinc/45/60/72/624456072.db2.gz ZAQHGNKAKRRGCG-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN O=C(COc1cc(F)c(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000829572616 624457080 /nfs/dbraw/zinc/45/70/80/624457080.db2.gz QKYXMTMWLSAGOA-UHFFFAOYSA-N 0 0 273.647 2.745 20 5 CFBDRN C[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1ccc(Cl)cn1 ZINC000829602325 624465885 /nfs/dbraw/zinc/46/58/85/624465885.db2.gz QTOVTFYJQHXDLP-SECBINFHSA-N 0 0 299.758 2.748 20 5 CFBDRN CCn1nnc(C)c1COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000829606441 624468824 /nfs/dbraw/zinc/46/88/24/624468824.db2.gz JLEJTEIOAFEFCK-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN CC(=O)CCC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000918861889 624503670 /nfs/dbraw/zinc/50/36/70/624503670.db2.gz RTDGBSGFJXKPTO-JTQLQIEISA-N 0 0 265.265 2.568 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000918920696 624511452 /nfs/dbraw/zinc/51/14/52/624511452.db2.gz GYIUMXTZGYICHP-QMMMGPOBSA-N 0 0 297.332 2.841 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@]2(C)CCO[C@@H]2C)c1C ZINC000889919058 624532043 /nfs/dbraw/zinc/53/20/43/624532043.db2.gz DJCDCNSACGFSEA-IAQYHMDHSA-N 0 0 292.335 2.509 20 5 CFBDRN CN(CCCF)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000829797095 624542451 /nfs/dbraw/zinc/54/24/51/624542451.db2.gz BJECQWQXJXODSR-QWHCGFSZSA-N 0 0 280.299 2.516 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000919287640 624554052 /nfs/dbraw/zinc/55/40/52/624554052.db2.gz STTRZQAVIJAUIP-NSHDSACASA-N 0 0 281.308 2.699 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n(C[C@@H]2C[C@H]2c2ccccc2)n1 ZINC000829833648 624561515 /nfs/dbraw/zinc/56/15/15/624561515.db2.gz JVJWQPFROPHFDF-QWRGUYRKSA-N 0 0 288.263 2.503 20 5 CFBDRN Cc1ccc(CCCn2nc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 ZINC000829838455 624563362 /nfs/dbraw/zinc/56/33/62/624563362.db2.gz ZIJMNEAPNOFMQT-UHFFFAOYSA-N 0 0 290.279 2.641 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n(CCCC2CCCC2)n1 ZINC000829842639 624563937 /nfs/dbraw/zinc/56/39/37/624563937.db2.gz ONBQYHLDOKQRJU-UHFFFAOYSA-N 0 0 268.273 2.670 20 5 CFBDRN COCC1(CC(=O)OCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000829886798 624576686 /nfs/dbraw/zinc/57/66/86/624576686.db2.gz CRFRFXQTZBWZDB-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C1(C(F)F)CC1 ZINC000830026531 624602643 /nfs/dbraw/zinc/60/26/43/624602643.db2.gz MEXGWKICQKTNQL-UHFFFAOYSA-N 0 0 289.209 2.822 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000174893024 624691392 /nfs/dbraw/zinc/69/13/92/624691392.db2.gz GZDPVDOXBNLBNA-LURJTMIESA-N 0 0 263.171 2.702 20 5 CFBDRN C[C@@H](CC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000920445169 624723242 /nfs/dbraw/zinc/72/32/42/624723242.db2.gz NHUYDIUMGVIPMQ-NSHDSACASA-N 0 0 276.336 2.989 20 5 CFBDRN C[C@H](CC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000920445168 624723418 /nfs/dbraw/zinc/72/34/18/624723418.db2.gz NHUYDIUMGVIPMQ-LLVKDONJSA-N 0 0 276.336 2.989 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830370341 624724342 /nfs/dbraw/zinc/72/43/42/624724342.db2.gz WZTZYWNASXQIBL-QMMMGPOBSA-N 0 0 297.282 2.814 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCC1CC1 ZINC000830369867 624724586 /nfs/dbraw/zinc/72/45/86/624724586.db2.gz QIRKEZBBYAFALP-UHFFFAOYSA-N 0 0 253.229 2.609 20 5 CFBDRN COc1ccc(C(=O)O[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000174907703 624820009 /nfs/dbraw/zinc/82/00/09/624820009.db2.gz QMQSNYRJLCJHNR-LURJTMIESA-N 0 0 293.197 2.711 20 5 CFBDRN COc1ccc(C(=O)O[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000174907721 624820122 /nfs/dbraw/zinc/82/01/22/624820122.db2.gz QMQSNYRJLCJHNR-ZCFIWIBFSA-N 0 0 293.197 2.711 20 5 CFBDRN COC(=O)CCSc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000921231245 624827146 /nfs/dbraw/zinc/82/71/46/624827146.db2.gz PSSISSUDEXOGGC-UHFFFAOYSA-N 0 0 298.345 2.860 20 5 CFBDRN C[C@@H](NC(=O)OC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000921365269 624848238 /nfs/dbraw/zinc/84/82/38/624848238.db2.gz IKHTWJZZONOCQW-SECBINFHSA-N 0 0 264.281 2.935 20 5 CFBDRN C[C@@H](NC(=O)OC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000921365415 624848360 /nfs/dbraw/zinc/84/83/60/624848360.db2.gz LVXMAJGRSLWXHQ-ZWNOBZJWSA-N 0 0 294.307 2.561 20 5 CFBDRN CC/C(C)=C/C(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000830786923 624868893 /nfs/dbraw/zinc/86/88/93/624868893.db2.gz JQDSCVZAVHBYHD-DHZHZOJOSA-N 0 0 290.319 2.541 20 5 CFBDRN CC1(S(=O)(=O)Oc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000830864189 624905050 /nfs/dbraw/zinc/90/50/50/624905050.db2.gz ZBKXSXQKQKCPKN-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN CCCCOC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000232972939 624913729 /nfs/dbraw/zinc/91/37/29/624913729.db2.gz PSJAHOSELSJENI-UHFFFAOYSA-N 0 0 255.245 2.999 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1cncc(C)c1 ZINC000175067160 624925073 /nfs/dbraw/zinc/92/50/73/624925073.db2.gz COMPLLBKDZWAFC-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CC/C(C)=C/C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000830935665 624934405 /nfs/dbraw/zinc/93/44/05/624934405.db2.gz QYNIAMXFRMTCGF-CSKARUKUSA-N 0 0 262.309 2.876 20 5 CFBDRN Cc1ccc(OC(=O)[C@H](C)OCC2CC2)c([N+](=O)[O-])c1 ZINC000064682385 624956488 /nfs/dbraw/zinc/95/64/88/624956488.db2.gz JVWIKTPVCNPGGM-JTQLQIEISA-N 0 0 279.292 2.624 20 5 CFBDRN CCCCO[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000068499056 625003901 /nfs/dbraw/zinc/00/39/01/625003901.db2.gz ALWXRCFDBHOXHO-NSHDSACASA-N 0 0 281.308 2.843 20 5 CFBDRN Cc1cccnc1COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000180256288 625009805 /nfs/dbraw/zinc/00/98/05/625009805.db2.gz WQFUHVQIEAUTPM-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)C[C@@H]1C ZINC000068597489 625013777 /nfs/dbraw/zinc/01/37/77/625013777.db2.gz DHIUHULOUZPWMD-VWYCJHECSA-N 0 0 291.351 2.732 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCC1CC=CC1 ZINC000831442231 625021653 /nfs/dbraw/zinc/02/16/53/625021653.db2.gz NHKKOZZHKQTQIH-UHFFFAOYSA-N 0 0 274.320 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2cccc(F)c2)cc1 ZINC000068998961 625045381 /nfs/dbraw/zinc/04/53/81/625045381.db2.gz XRRZVVNYINEAEF-CQSZACIVSA-N 0 0 276.267 2.879 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)CO1 ZINC000831786525 625125815 /nfs/dbraw/zinc/12/58/15/625125815.db2.gz KBVIUGMLWWMOMQ-YUMQZZPRSA-N 0 0 299.714 2.547 20 5 CFBDRN O=C(OC[C@H]1CCCCO1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000070166387 625276768 /nfs/dbraw/zinc/27/67/68/625276768.db2.gz ABSGLKIHNJHFNJ-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOC2CCCC2)c([N+](=O)[O-])c1 ZINC000070167482 625279420 /nfs/dbraw/zinc/27/94/20/625279420.db2.gz CPAPNJXRHUUKPX-UHFFFAOYSA-N 0 0 295.295 2.874 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ccncc1Cl ZINC000072936808 625743328 /nfs/dbraw/zinc/74/33/28/625743328.db2.gz ANYLHVRREKWDRI-UHFFFAOYSA-N 0 0 278.651 2.862 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCS[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000834707820 625795726 /nfs/dbraw/zinc/79/57/26/625795726.db2.gz XZYUBWHHGDDRDG-MWLCHTKSSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)Cc2ccccc2[N+](=O)[O-])CCS1 ZINC000834707551 625795902 /nfs/dbraw/zinc/79/59/02/625795902.db2.gz WVXXMKIFQRQREY-JQWIXIFHSA-N 0 0 294.376 2.538 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCCOC(C)C)c1 ZINC000073194190 625799570 /nfs/dbraw/zinc/79/95/70/625799570.db2.gz KVJNKMKRGNNJMZ-UHFFFAOYSA-N 0 0 299.348 2.899 20 5 CFBDRN CC(C)(CCc1nc([C@@H]2CCC(F)(F)C2)no1)[N+](=O)[O-] ZINC000834729251 625809487 /nfs/dbraw/zinc/80/94/87/625809487.db2.gz CCAAERVSRGHVKB-MRVPVSSYSA-N 0 0 289.282 2.960 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000073323502 625828084 /nfs/dbraw/zinc/82/80/84/625828084.db2.gz UERIUVRHVLKUFD-GXSJLCMTSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@@H]1CCn2ccnc21 ZINC000834835376 625892883 /nfs/dbraw/zinc/89/28/83/625892883.db2.gz IBGXIVMHROIHKE-SMDDNHRTSA-N 0 0 286.335 2.895 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CC(C2CCCC2)C1 ZINC000834840760 625898852 /nfs/dbraw/zinc/89/88/52/625898852.db2.gz ULFOYEJYHSFQCQ-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN C[C@H]1OCC[C@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000771076901 625976819 /nfs/dbraw/zinc/97/68/19/625976819.db2.gz FHVBNYDSKNGDGQ-LDYMZIIASA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@@H]1OCC[C@@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000771076761 625976932 /nfs/dbraw/zinc/97/69/32/625976932.db2.gz FHVBNYDSKNGDGQ-KWQFWETISA-N 0 0 299.710 2.716 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000929222363 625981342 /nfs/dbraw/zinc/98/13/42/625981342.db2.gz HAGRIPWEAVAUFT-CHWSQXEVSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1cnc(COC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000771890510 625989855 /nfs/dbraw/zinc/98/98/55/625989855.db2.gz DPFKKMYDIWAEQK-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC[C@@H]1C[C@H]1COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000922175213 626230449 /nfs/dbraw/zinc/23/04/49/626230449.db2.gz DJQWYBCUPFQNCJ-MNOVXSKESA-N 0 0 279.292 2.563 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCCC(C)(F)F ZINC000922297346 626261722 /nfs/dbraw/zinc/26/17/22/626261722.db2.gz UTKIOJBZPMGOPT-UHFFFAOYSA-N 0 0 276.239 2.526 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)OCc2ccsc2)c1[N+](=O)[O-] ZINC000922689286 626342254 /nfs/dbraw/zinc/34/22/54/626342254.db2.gz FDWVOEGAEMUETC-UHFFFAOYSA-N 0 0 295.320 2.860 20 5 CFBDRN CCc1onc(C)c1CNCc1csc([N+](=O)[O-])c1 ZINC000922988067 626439767 /nfs/dbraw/zinc/43/97/67/626439767.db2.gz JZIKUZUSEAPVHK-UHFFFAOYSA-N 0 0 281.337 2.805 20 5 CFBDRN COc1cc(C(=O)OCCCCF)c([N+](=O)[O-])cc1F ZINC000838053085 626484992 /nfs/dbraw/zinc/48/49/92/626484992.db2.gz FHWOIPMNOHJJCI-UHFFFAOYSA-N 0 0 289.234 2.649 20 5 CFBDRN CCCCOC(=O)c1cc(F)c([N+](=O)[O-])cc1NC(C)=O ZINC000838094032 626485774 /nfs/dbraw/zinc/48/57/74/626485774.db2.gz CBDHHFKZXBBUFR-UHFFFAOYSA-N 0 0 298.270 2.649 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1oc(C)nc1C ZINC000788096281 626487612 /nfs/dbraw/zinc/48/76/12/626487612.db2.gz BYSQBQRQSBCHNG-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN CC(C)(C)CCOC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283100 626491832 /nfs/dbraw/zinc/49/18/32/626491832.db2.gz FUZCRALUDUBQBL-LBPRGKRZSA-N 0 0 281.308 2.608 20 5 CFBDRN O=C(OCCC1CCCC1)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838286461 626491926 /nfs/dbraw/zinc/49/19/26/626491926.db2.gz SQKBZLPHQOGNRP-AWEZNQCLSA-N 0 0 293.319 2.752 20 5 CFBDRN CCC(=O)COC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000838346215 626492811 /nfs/dbraw/zinc/49/28/11/626492811.db2.gz SKBXVNHGPIJFLS-UHFFFAOYSA-N 0 0 285.683 2.693 20 5 CFBDRN C[C@@H](C(=O)OCCOc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000838386855 626494839 /nfs/dbraw/zinc/49/48/39/626494839.db2.gz BEIAFFNQNWLAFE-LLVKDONJSA-N 0 0 293.319 2.953 20 5 CFBDRN C[C@H](C(=O)OCCOc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000838389314 626495051 /nfs/dbraw/zinc/49/50/51/626495051.db2.gz NLRIYGVMXPSZPY-NSHDSACASA-N 0 0 293.319 2.953 20 5 CFBDRN CCC(C)(C)OCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000838406426 626496055 /nfs/dbraw/zinc/49/60/55/626496055.db2.gz HRFHOYYTLZICND-UHFFFAOYSA-N 0 0 299.298 2.982 20 5 CFBDRN CC(C)CCCCC(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000838431664 626497371 /nfs/dbraw/zinc/49/73/71/626497371.db2.gz UCIYMJCPYXUGAO-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN C/C=C(\C)COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000842845374 626501490 /nfs/dbraw/zinc/50/14/90/626501490.db2.gz GTXPDZZVIILFTP-ONNFQVAWSA-N 0 0 263.293 2.955 20 5 CFBDRN CC1(c2nccc(NC(=O)c3ccc([N+](=O)[O-])cc3)n2)CC1 ZINC000788122296 626514203 /nfs/dbraw/zinc/51/42/03/626514203.db2.gz DZGDUZQJSJUOOV-UHFFFAOYSA-N 0 0 298.302 2.689 20 5 CFBDRN CC1(c2nccc(NC(=O)c3ccccc3[N+](=O)[O-])n2)CC1 ZINC000788122501 626514299 /nfs/dbraw/zinc/51/42/99/626514299.db2.gz TWIYNPSLFIQHPC-UHFFFAOYSA-N 0 0 298.302 2.689 20 5 CFBDRN C[C@@H](COC(=O)[C@]1(C)CC[C@H](C)C1(C)C)[N+](=O)[O-] ZINC000838963313 626517053 /nfs/dbraw/zinc/51/70/53/626517053.db2.gz AMROHLGJTBGGRV-KWBADKCTSA-N 0 0 257.330 2.657 20 5 CFBDRN COCc1ccccc1OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000839123674 626527288 /nfs/dbraw/zinc/52/72/88/626527288.db2.gz ONIJCTUOJDUTBO-UHFFFAOYSA-N 0 0 281.308 2.574 20 5 CFBDRN CC/C(C)=C\C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000839227352 626531633 /nfs/dbraw/zinc/53/16/33/626531633.db2.gz FNHXCJXTLHZZIR-NTMALXAHSA-N 0 0 260.293 2.840 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)C1 ZINC000839224690 626531710 /nfs/dbraw/zinc/53/17/10/626531710.db2.gz PMDIZHMAPVPCES-ONGXEEELSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])nc(C(C)C)n1 ZINC000839264114 626532693 /nfs/dbraw/zinc/53/26/93/626532693.db2.gz LIJYODHCEBWZGF-UHFFFAOYSA-N 0 0 294.355 2.682 20 5 CFBDRN C[C@H](NC(=S)NC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000789295657 626539438 /nfs/dbraw/zinc/53/94/38/626539438.db2.gz XMFIEZRCFLVGME-VIFPVBQESA-N 0 0 279.365 2.672 20 5 CFBDRN C/C=C(/CC)C(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000789426641 626539741 /nfs/dbraw/zinc/53/97/41/626539741.db2.gz ZSPQRBCKSWIOCO-WTKPLQERSA-N 0 0 293.275 2.643 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1ccco1 ZINC000756994165 626543135 /nfs/dbraw/zinc/54/31/35/626543135.db2.gz ROBSNNGHGOFFNP-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CC(C)(C)OCCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000757023831 626543602 /nfs/dbraw/zinc/54/36/02/626543602.db2.gz LSLVKBIWEUXWDX-UHFFFAOYSA-N 0 0 281.308 2.957 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCc2cccc([N+](=O)[O-])c2C)O1 ZINC000757119987 626545341 /nfs/dbraw/zinc/54/53/41/626545341.db2.gz HBAFOWSLBGPIFY-OCCSQVGLSA-N 0 0 293.319 2.904 20 5 CFBDRN CC(C)CCc1ccccc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000839605886 626547907 /nfs/dbraw/zinc/54/79/07/626547907.db2.gz AIUKLKQKUHLLCQ-TZMCWYRMSA-N 0 0 276.336 2.879 20 5 CFBDRN COCCN[C@@H](c1cccc([N+](=O)[O-])c1F)C(F)(F)F ZINC000839651273 626549541 /nfs/dbraw/zinc/54/95/41/626549541.db2.gz SFGCMOJWSGNZDH-JTQLQIEISA-N 0 0 296.220 2.573 20 5 CFBDRN O=C(NOC1CCCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000839717302 626553124 /nfs/dbraw/zinc/55/31/24/626553124.db2.gz DRFIUTKNEKKEFW-UONOGXRCSA-N 0 0 290.319 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CSc1c[nH]nn1 ZINC000757745820 626561750 /nfs/dbraw/zinc/56/17/50/626561750.db2.gz KICKCXUWBPQSGR-UHFFFAOYSA-N 0 0 270.701 2.659 20 5 CFBDRN O=C(OCc1nccs1)c1ccc(F)cc1[N+](=O)[O-] ZINC000757880885 626566652 /nfs/dbraw/zinc/56/66/52/626566652.db2.gz LHESXKGTTSRNAS-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN COCC[C@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000757975877 626571095 /nfs/dbraw/zinc/57/10/95/626571095.db2.gz KOSLLPVWTWKBON-AWEZNQCLSA-N 0 0 295.335 2.742 20 5 CFBDRN CC(F)(F)CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000758156022 626579591 /nfs/dbraw/zinc/57/95/91/626579591.db2.gz XZBMNODVFJEVLS-UHFFFAOYSA-N 0 0 259.208 2.683 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000758395381 626592126 /nfs/dbraw/zinc/59/21/26/626592126.db2.gz LGOUFMXGVLUGJV-VIFPVBQESA-N 0 0 268.288 2.961 20 5 CFBDRN CC(C)CCCOC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000758525535 626597052 /nfs/dbraw/zinc/59/70/52/626597052.db2.gz AMUOWOXPSAKYJJ-UHFFFAOYSA-N 0 0 266.297 2.770 20 5 CFBDRN Cc1cc(N(C)C2CC(O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000758916714 626618022 /nfs/dbraw/zinc/61/80/22/626618022.db2.gz INYXCVROKBQXDD-UHFFFAOYSA-N 0 0 270.716 2.516 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cccc([N+](=O)[O-])c1N ZINC000758958003 626620770 /nfs/dbraw/zinc/62/07/70/626620770.db2.gz QRUZLLJVKBKCSZ-MRVPVSSYSA-N 0 0 252.270 2.522 20 5 CFBDRN Cc1nn(CN2CC[C@H](C)C(C)(C)C2)c(C)c1[N+](=O)[O-] ZINC000758993780 626622423 /nfs/dbraw/zinc/62/24/23/626622423.db2.gz SJGICGCFBRZUNS-JTQLQIEISA-N 0 0 280.372 2.734 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CC1(C)CC1)CC2 ZINC000759022514 626625201 /nfs/dbraw/zinc/62/52/01/626625201.db2.gz AYHPEQTVVJSMCO-UHFFFAOYSA-N 0 0 274.320 2.983 20 5 CFBDRN Cc1nn(C[C@@H](O)c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] ZINC000759051405 626626449 /nfs/dbraw/zinc/62/64/49/626626449.db2.gz ZTFIMHLNSMYXAX-GFCCVEGCSA-N 0 0 299.689 2.626 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000759438859 626658878 /nfs/dbraw/zinc/65/88/78/626658878.db2.gz ZHHYVSCSZDOHMU-UHFFFAOYSA-N 0 0 265.265 2.511 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000759508293 626666123 /nfs/dbraw/zinc/66/61/23/626666123.db2.gz DLPRBVIGWVTLPH-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN CC(C)COCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000759712794 626686118 /nfs/dbraw/zinc/68/61/18/626686118.db2.gz JPAWPPFELHRZGV-UHFFFAOYSA-N 0 0 285.271 2.563 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@@H]1CC12CCC2 ZINC000759981265 626706966 /nfs/dbraw/zinc/70/69/66/626706966.db2.gz VIQBCUMYXCYTSA-JTQLQIEISA-N 0 0 279.267 2.967 20 5 CFBDRN COc1ccc(COC(=O)[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000759992934 626708114 /nfs/dbraw/zinc/70/81/14/626708114.db2.gz QBEYWHRLRUMWST-NSHDSACASA-N 0 0 291.303 2.837 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccccn1 ZINC000760018445 626709722 /nfs/dbraw/zinc/70/97/22/626709722.db2.gz QNBHXVYNAFSWGZ-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccnc(F)c1 ZINC000760022332 626710171 /nfs/dbraw/zinc/71/01/71/626710171.db2.gz JLOBTGMFYPWCPO-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccc[nH]1 ZINC000760423153 626744678 /nfs/dbraw/zinc/74/46/78/626744678.db2.gz PZOPZMMQRFAGAF-MRVPVSSYSA-N 0 0 277.255 2.553 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cn2)Cc2ccccc21 ZINC000760455050 626747028 /nfs/dbraw/zinc/74/70/28/626747028.db2.gz NZRDGWSDQMDDAH-JTQLQIEISA-N 0 0 270.292 2.509 20 5 CFBDRN CCC(=O)COC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000760790759 626772841 /nfs/dbraw/zinc/77/28/41/626772841.db2.gz CXPNGISRTJBGMO-UHFFFAOYSA-N 0 0 285.683 2.693 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OCc1ccno1 ZINC000760794700 626773105 /nfs/dbraw/zinc/77/31/05/626773105.db2.gz UUUPHYZTRISYGG-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CC(C)(C(=O)O[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000761322649 626823697 /nfs/dbraw/zinc/82/36/97/626823697.db2.gz QGMHOCXKONIHKC-CYBMUJFWSA-N 0 0 293.319 2.595 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2CCCOC2)c1 ZINC000761324810 626824163 /nfs/dbraw/zinc/82/41/63/626824163.db2.gz YHZITSZZQNTIKZ-SECBINFHSA-N 0 0 297.332 2.653 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccccc2[N+](=O)[O-])CCC1=O ZINC000761456453 626836659 /nfs/dbraw/zinc/83/66/59/626836659.db2.gz IBTIAXZMNUVXJE-GHMZBOCLSA-N 0 0 291.303 2.643 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000761466122 626838062 /nfs/dbraw/zinc/83/80/62/626838062.db2.gz LYCSOJCYVDZVRK-CMPLNLGQSA-N 0 0 291.303 2.643 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)C1=CCCC1 ZINC000761559073 626846278 /nfs/dbraw/zinc/84/62/78/626846278.db2.gz MPBJILMNBQJIDT-UHFFFAOYSA-N 0 0 277.276 2.627 20 5 CFBDRN Cc1ccc2c(c1)CCCN2C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000761853165 626864082 /nfs/dbraw/zinc/86/40/82/626864082.db2.gz VVJPMPQGLRCXNS-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1CCSC1 ZINC000762025737 626882680 /nfs/dbraw/zinc/88/26/80/626882680.db2.gz NJXZLXIXSAKFET-QMMMGPOBSA-N 0 0 285.296 2.530 20 5 CFBDRN CCC(CC)[C@@H](C)C(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000762041986 626883726 /nfs/dbraw/zinc/88/37/26/626883726.db2.gz OLQQVDGEGLVUNW-SNVBAGLBSA-N 0 0 297.355 2.715 20 5 CFBDRN CCC(CC)OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000762110203 626891829 /nfs/dbraw/zinc/89/18/29/626891829.db2.gz DJTQHWBSNDPSFT-UHFFFAOYSA-N 0 0 251.282 2.869 20 5 CFBDRN Cc1ccncc1COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000762113403 626892796 /nfs/dbraw/zinc/89/27/96/626892796.db2.gz SYDUPPFTSSTVLW-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1ccncc1COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000762123999 626894604 /nfs/dbraw/zinc/89/46/04/626894604.db2.gz YCXVHZKMHABHKE-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OC[C@@H]1CCSC1 ZINC000762151767 626898354 /nfs/dbraw/zinc/89/83/54/626898354.db2.gz VVXUZYBHWCLRNT-LBPRGKRZSA-N 0 0 295.360 2.824 20 5 CFBDRN C[C@@H]1CC[C@@H](COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)O1 ZINC000762176533 626900562 /nfs/dbraw/zinc/90/05/62/626900562.db2.gz KJRLWMKLZPAJPS-YFRAAWPBSA-N 0 0 291.303 2.719 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCC1=O ZINC000762430082 626915677 /nfs/dbraw/zinc/91/56/77/626915677.db2.gz ARURPNZQHQXYJV-RKDXNWHRSA-N 0 0 294.282 2.678 20 5 CFBDRN CN(C)c1cc(COc2cc(F)cc([N+](=O)[O-])c2)ccn1 ZINC000762476624 626918167 /nfs/dbraw/zinc/91/81/67/626918167.db2.gz FVXCFBIPKQLNBI-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN CC(=O)CCCCOC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000762833206 626942602 /nfs/dbraw/zinc/94/26/02/626942602.db2.gz ZPTFPRXYNQUHOA-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CC(=O)CCCCOC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000762919925 626950676 /nfs/dbraw/zinc/95/06/76/626950676.db2.gz YWWUEVIITPHJGE-UHFFFAOYSA-N 0 0 297.282 2.958 20 5 CFBDRN C[C@@H](C(=O)OC[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000762942624 626952229 /nfs/dbraw/zinc/95/22/29/626952229.db2.gz AHTHMZXMTDNEBX-BXUZGUMPSA-N 0 0 293.319 2.811 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762975544 626954083 /nfs/dbraw/zinc/95/40/83/626954083.db2.gz OCUWRXJOKKKYAL-SSDOTTSWSA-N 0 0 295.320 2.945 20 5 CFBDRN CC(C)(C(=O)OCCCF)c1ccc([N+](=O)[O-])cc1F ZINC000762996824 626954337 /nfs/dbraw/zinc/95/43/37/626954337.db2.gz FCKXZHSCEFOVNV-UHFFFAOYSA-N 0 0 287.262 2.914 20 5 CFBDRN CCOC(=O)[C@@H](Nc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000763073692 626962492 /nfs/dbraw/zinc/96/24/92/626962492.db2.gz QMRNUARRQXJYTM-GFCCVEGCSA-N 0 0 280.324 2.985 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C/C(Cl)=C\Cl ZINC000763185352 626969202 /nfs/dbraw/zinc/96/92/02/626969202.db2.gz ADGGBNLSKLQVTP-GORDUTHDSA-N 0 0 283.498 2.729 20 5 CFBDRN CCO[C@@H]1CCCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000763202778 626970211 /nfs/dbraw/zinc/97/02/11/626970211.db2.gz FRLHRFRSIGZZFM-GFCCVEGCSA-N 0 0 292.335 2.803 20 5 CFBDRN CC[C@H](CCO)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000763206553 626970233 /nfs/dbraw/zinc/97/02/33/626970233.db2.gz ZXLKYLNUOQIZKY-LLVKDONJSA-N 0 0 280.324 2.618 20 5 CFBDRN CC(=O)c1ccc(N2CCOC(C)(C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000763377405 626984112 /nfs/dbraw/zinc/98/41/12/626984112.db2.gz XJRJUSLICPFBEB-NSHDSACASA-N 0 0 292.335 2.801 20 5 CFBDRN C[C@H]1N(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCOC1(C)C ZINC000763374603 626984214 /nfs/dbraw/zinc/98/42/14/626984214.db2.gz BFWVIGIATYSJBP-SECBINFHSA-N 0 0 295.295 2.507 20 5 CFBDRN C[C@@H](OC(=O)c1ccn(C)c1)c1cccc([N+](=O)[O-])c1 ZINC000763493998 626991669 /nfs/dbraw/zinc/99/16/69/626991669.db2.gz XDFIBBFZMKGZBH-SNVBAGLBSA-N 0 0 274.276 2.851 20 5 CFBDRN CC(C)[C@H](C)OC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000763545733 626996588 /nfs/dbraw/zinc/99/65/88/626996588.db2.gz ZLJHEXJBDVJYLW-JTQLQIEISA-N 0 0 291.307 2.532 20 5 CFBDRN O=C(OCCCF)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763714182 627007527 /nfs/dbraw/zinc/00/75/27/627007527.db2.gz BNXFJAUCZSMXKS-UHFFFAOYSA-N 0 0 261.636 2.765 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763718321 627007465 /nfs/dbraw/zinc/00/74/65/627007465.db2.gz BMOXJLGPVQVFTJ-ZETCQYMHSA-N 0 0 285.683 2.773 20 5 CFBDRN Cc1cc(COC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)no1 ZINC000763720400 627007828 /nfs/dbraw/zinc/00/78/28/627007828.db2.gz FNOPBRHGSHETAW-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN C[C@@H](C(=O)O[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000763964256 627026919 /nfs/dbraw/zinc/02/69/19/627026919.db2.gz UCENZBQVODYMRH-BXKDBHETSA-N 0 0 281.333 2.747 20 5 CFBDRN O=C(OCC1CC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000763967754 627027738 /nfs/dbraw/zinc/02/77/38/627027738.db2.gz LVFOQZNWWBVKPT-UHFFFAOYSA-N 0 0 255.657 2.815 20 5 CFBDRN C[C@@H](C(=O)O[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000764055664 627033639 /nfs/dbraw/zinc/03/36/39/627033639.db2.gz UPSQJCGLAFUCSE-ZWNOBZJWSA-N 0 0 261.277 2.960 20 5 CFBDRN C[C@H](C(=O)OCC(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000764078695 627035819 /nfs/dbraw/zinc/03/58/19/627035819.db2.gz QRXAYFALSNDEHZ-VIFPVBQESA-N 0 0 269.272 2.990 20 5 CFBDRN C/C=C/C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000764080413 627036419 /nfs/dbraw/zinc/03/64/19/627036419.db2.gz RVNIDJCAEIFHIV-NSCUHMNNSA-N 0 0 260.293 2.662 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCC1(C)CC1 ZINC000764233549 627046732 /nfs/dbraw/zinc/04/67/32/627046732.db2.gz PIZGGVLDTSBVRE-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CS/C=C/C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000764244676 627047845 /nfs/dbraw/zinc/04/78/45/627047845.db2.gz AKAAVDUBKSEGAH-SNAWJCMRSA-N 0 0 271.269 2.654 20 5 CFBDRN O=C(CCC1CCCC1)COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000764488754 627065899 /nfs/dbraw/zinc/06/58/99/627065899.db2.gz AMEDPAYUNJPCKA-UHFFFAOYSA-N 0 0 295.291 2.884 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H](O)C(C)(C)C ZINC000764588012 627073696 /nfs/dbraw/zinc/07/36/96/627073696.db2.gz DOJDHDIKGZTVCT-LBPRGKRZSA-N 0 0 280.324 2.557 20 5 CFBDRN CCO[C@@H](C)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000764588968 627073774 /nfs/dbraw/zinc/07/37/74/627073774.db2.gz HZLQSUJQJYCJIT-UWVGGRQHSA-N 0 0 267.281 2.624 20 5 CFBDRN CC=CC=CC(=O)Nc1cc(C)nc2ccc([N+](=O)[O-])cc21 ZINC000765134332 627121131 /nfs/dbraw/zinc/12/11/31/627121131.db2.gz UAYRAUXEQRZRPR-ICWBMWKASA-N 0 0 297.314 2.944 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CC2(Cl)Cl)n1 ZINC000765453481 627139328 /nfs/dbraw/zinc/13/93/28/627139328.db2.gz PYIJUZUGNRMMKB-SSDOTTSWSA-N 0 0 276.123 2.904 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)C[C@H](O)c2ccco2)n1 ZINC000765526905 627145150 /nfs/dbraw/zinc/14/51/50/627145150.db2.gz PMUTVAJUIXRHSX-PWSUYJOCSA-N 0 0 291.307 2.815 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCCF ZINC000765609094 627150852 /nfs/dbraw/zinc/15/08/52/627150852.db2.gz RPOGLNVEKLFBBY-NSHDSACASA-N 0 0 269.272 2.676 20 5 CFBDRN Cc1cc(NCc2ccn(C)n2)c(Cl)cc1[N+](=O)[O-] ZINC000765626180 627151299 /nfs/dbraw/zinc/15/12/99/627151299.db2.gz ZTMNBJAOPSCYDB-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CCN1C[C@@H](C)N(c2c(F)cc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000765636630 627152048 /nfs/dbraw/zinc/15/20/48/627152048.db2.gz SJKODPWEIBXCSX-VHSXEESVSA-N 0 0 299.321 2.792 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000805319449 627174729 /nfs/dbraw/zinc/17/47/29/627174729.db2.gz TWFKITAZNUFHBJ-NSHDSACASA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1cc(C(=O)OCc2cccc([N+](=O)[O-])c2)ns1 ZINC000766512566 627206812 /nfs/dbraw/zinc/20/68/12/627206812.db2.gz MTXVTOLYFFTVFU-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN C[C@H]1COCC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000766592663 627210537 /nfs/dbraw/zinc/21/05/37/627210537.db2.gz VWKFCSQQIBKYHR-WPRPVWTQSA-N 0 0 298.726 2.859 20 5 CFBDRN Cc1nn(CN(C)Cc2cc(C)ccc2F)cc1[N+](=O)[O-] ZINC000766633625 627212454 /nfs/dbraw/zinc/21/24/54/627212454.db2.gz WBVIYWYVODMAFT-UHFFFAOYSA-N 0 0 292.314 2.637 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@@H]1CC1(Cl)Cl ZINC000767212655 627239613 /nfs/dbraw/zinc/23/96/13/627239613.db2.gz JQYIWLWYXBNHKB-YFKPBYRVSA-N 0 0 297.525 2.604 20 5 CFBDRN C[C@H](CNc1ccc2ncc([N+](=O)[O-])n2n1)C(C)(C)C ZINC000767235894 627240621 /nfs/dbraw/zinc/24/06/21/627240621.db2.gz AWSVIGIYSDRSAS-SECBINFHSA-N 0 0 277.328 2.732 20 5 CFBDRN O=C(Cc1ccco1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000767514772 627256922 /nfs/dbraw/zinc/25/69/22/627256922.db2.gz KXBRMJCZJYEDCU-UHFFFAOYSA-N 0 0 279.223 2.613 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CCOC(C)(C)C ZINC000767821371 627288350 /nfs/dbraw/zinc/28/83/50/627288350.db2.gz WTXJASVATGVZPM-UHFFFAOYSA-N 0 0 296.367 2.993 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC3(CO)CCC3)sc2c1 ZINC000767825404 627288509 /nfs/dbraw/zinc/28/85/09/627288509.db2.gz VDIKLYWFUWPEJA-UHFFFAOYSA-N 0 0 279.321 2.531 20 5 CFBDRN COc1cc(NCc2cccc(F)n2)c(F)cc1[N+](=O)[O-] ZINC000768513953 627348468 /nfs/dbraw/zinc/34/84/68/627348468.db2.gz SVSRCIPNCHZDOA-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN CC(C)(F)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000768637776 627359961 /nfs/dbraw/zinc/35/99/61/627359961.db2.gz MODITBAPUOTDES-UHFFFAOYSA-N 0 0 282.227 2.527 20 5 CFBDRN O=C(OCc1ccccc1F)c1cc(O)cc([N+](=O)[O-])c1 ZINC000769049781 627399264 /nfs/dbraw/zinc/39/92/64/627399264.db2.gz SLJGRTCWWVAHRV-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN COC[C@H](C)COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000769330670 627424857 /nfs/dbraw/zinc/42/48/57/627424857.db2.gz HMEBBVNJMPIYGO-VIFPVBQESA-N 0 0 292.291 2.515 20 5 CFBDRN COC[C@@H](C)COC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000769333875 627425593 /nfs/dbraw/zinc/42/55/93/627425593.db2.gz SDWBTDJSAJTJML-HQQGHWSLSA-N 0 0 293.319 2.824 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCOC(F)F)CC2 ZINC000851891726 634575192 /nfs/dbraw/zinc/57/51/92/634575192.db2.gz AEVBQLVWIYZYHO-UHFFFAOYSA-N 0 0 272.251 2.505 20 5 CFBDRN COc1cccc(C(=O)O[C@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000769604492 627460568 /nfs/dbraw/zinc/46/05/68/627460568.db2.gz TYORRNZVDGKHIK-JTQLQIEISA-N 0 0 277.276 2.869 20 5 CFBDRN CO[C@@H](C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000769850207 627481172 /nfs/dbraw/zinc/48/11/72/627481172.db2.gz PDQMDGJQUBDKJZ-GXFFZTMASA-N 0 0 281.308 2.870 20 5 CFBDRN CO[C@@H](C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000769850214 627481198 /nfs/dbraw/zinc/48/11/98/627481198.db2.gz PDQMDGJQUBDKJZ-ZWNOBZJWSA-N 0 0 281.308 2.870 20 5 CFBDRN COCCCOC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000769892436 627485350 /nfs/dbraw/zinc/48/53/50/627485350.db2.gz ZQJSATQTZWNGCV-UHFFFAOYSA-N 0 0 281.308 2.713 20 5 CFBDRN CO[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000770013276 627496890 /nfs/dbraw/zinc/49/68/90/627496890.db2.gz GKGMMPLSAYJYCO-VIFPVBQESA-N 0 0 299.714 2.501 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000770014133 627496896 /nfs/dbraw/zinc/49/68/96/627496896.db2.gz IEOLLCWVARSGBW-CYBMUJFWSA-N 0 0 281.308 2.617 20 5 CFBDRN CC[S@@](=O)CCNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000770096883 627501731 /nfs/dbraw/zinc/50/17/31/627501731.db2.gz OJAWPODTBOYHET-GOSISDBHSA-N 0 0 290.772 2.737 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)C1SCCS1 ZINC000770332051 627514207 /nfs/dbraw/zinc/51/42/07/627514207.db2.gz LHEJCUKWQMFBIT-UHFFFAOYSA-N 0 0 285.346 2.615 20 5 CFBDRN CC(C)[C@@](C)(O)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000770533600 627527539 /nfs/dbraw/zinc/52/75/39/627527539.db2.gz CPHRPEGVMXDTAQ-IINYFYTJSA-N 0 0 281.308 2.606 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C1=CCC1 ZINC000770552880 627529503 /nfs/dbraw/zinc/52/95/03/627529503.db2.gz QYMFFUJQAKRAKX-UHFFFAOYSA-N 0 0 280.711 2.927 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN[C@H](CO)c1ccsc1 ZINC000770690526 627541545 /nfs/dbraw/zinc/54/15/45/627541545.db2.gz XTEULKGLYNULAV-GFCCVEGCSA-N 0 0 296.323 2.619 20 5 CFBDRN CCc1ccc(C(=O)OCC2(O)CCCC2)cc1[N+](=O)[O-] ZINC000770876917 627553247 /nfs/dbraw/zinc/55/32/47/627553247.db2.gz GSLWMAGDPCUMJD-UHFFFAOYSA-N 0 0 293.319 2.619 20 5 CFBDRN CCn1cc(Nc2cc(OC)cc(F)c2[N+](=O)[O-])cn1 ZINC000771097253 627577071 /nfs/dbraw/zinc/57/70/71/627577071.db2.gz LJMSYOIVOUEZIY-UHFFFAOYSA-N 0 0 280.259 2.703 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CC[C@H](CO)CC2)c1 ZINC000771234499 627587013 /nfs/dbraw/zinc/58/70/13/627587013.db2.gz FKDGHSRFZRKQCI-MGCOHNPYSA-N 0 0 298.314 2.706 20 5 CFBDRN Cc1ccncc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771483731 627607732 /nfs/dbraw/zinc/60/77/32/627607732.db2.gz FXCLZTRCDLGDHO-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1c1ccc([N+](=O)[O-])c2nonc21 ZINC000771691368 627624067 /nfs/dbraw/zinc/62/40/67/627624067.db2.gz UMVHSRAGFDKMLT-DTWKUNHWSA-N 0 0 276.296 2.898 20 5 CFBDRN Cc1cccnc1COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000771956850 627654347 /nfs/dbraw/zinc/65/43/47/627654347.db2.gz FCYWJMOOFDINSH-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000772055713 627668642 /nfs/dbraw/zinc/66/86/42/627668642.db2.gz GGWHPJCBZDXRER-GXFFZTMASA-N 0 0 279.292 2.768 20 5 CFBDRN CC(C)(O)c1ccc(CNc2cccnc2[N+](=O)[O-])cc1 ZINC000772233416 627688782 /nfs/dbraw/zinc/68/87/82/627688782.db2.gz YTEOEIDSOBWHFX-UHFFFAOYSA-N 0 0 287.319 2.829 20 5 CFBDRN Cc1ccc(COC(=O)c2cccc(C)c2[N+](=O)[O-])nc1 ZINC000772241905 627690322 /nfs/dbraw/zinc/69/03/22/627690322.db2.gz HYGXUVQMUGMAGA-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)nc1 ZINC000772268814 627694620 /nfs/dbraw/zinc/69/46/20/627694620.db2.gz MGFQPWTUMNBQSK-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000772329393 627702600 /nfs/dbraw/zinc/70/26/00/627702600.db2.gz RJXUUMJEBOXWGW-ZDUSSCGKSA-N 0 0 279.292 2.516 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1c(O)cccc1F ZINC000772596152 627724480 /nfs/dbraw/zinc/72/44/80/627724480.db2.gz ZJBYPMCEVYLXCZ-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)C1(F)CCCC1 ZINC000772795993 627746541 /nfs/dbraw/zinc/74/65/41/627746541.db2.gz ZKFSLWNIROLOFY-UHFFFAOYSA-N 0 0 297.282 2.799 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)C1(F)CCCC1 ZINC000772794007 627746645 /nfs/dbraw/zinc/74/66/45/627746645.db2.gz KHGCETCKALYGOO-UHFFFAOYSA-N 0 0 273.285 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2COC3(CCCC3)O2)s1 ZINC000773235922 627797759 /nfs/dbraw/zinc/79/77/59/627797759.db2.gz AZTFEGBDBAZYIP-VIFPVBQESA-N 0 0 284.337 2.754 20 5 CFBDRN Cc1cc(C(=O)NOc2ccccc2)ccc1[N+](=O)[O-] ZINC000773470875 627824625 /nfs/dbraw/zinc/82/46/25/627824625.db2.gz QLTNFIBBTGTADN-UHFFFAOYSA-N 0 0 272.260 2.627 20 5 CFBDRN C[C@H](OC(=O)c1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000773495236 627828296 /nfs/dbraw/zinc/82/82/96/627828296.db2.gz BYRWTAQNSKZRCY-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN CSC[C@@H](C)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773992921 627876897 /nfs/dbraw/zinc/87/68/97/627876897.db2.gz JHASJZRABUVTFA-SNVBAGLBSA-N 0 0 294.376 2.791 20 5 CFBDRN CCCSCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773990238 627876901 /nfs/dbraw/zinc/87/69/01/627876901.db2.gz NBCQEZDIDVYQMY-UHFFFAOYSA-N 0 0 294.376 2.936 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1nccs1 ZINC000774057423 627882553 /nfs/dbraw/zinc/88/25/53/627882553.db2.gz ZBGIAGGTCPRCFT-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN COc1cccc(C(=O)OCC(C)(C)SC)c1[N+](=O)[O-] ZINC000774174127 627898614 /nfs/dbraw/zinc/89/86/14/627898614.db2.gz OYNNKZNPPJAYJS-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])C1(F)CCCC1 ZINC000774191183 627900790 /nfs/dbraw/zinc/90/07/90/627900790.db2.gz PWWJUXSDZTVKGN-UHFFFAOYSA-N 0 0 281.283 2.963 20 5 CFBDRN Cc1sc(C(=O)Nc2c[nH]nc2Cl)cc1[N+](=O)[O-] ZINC000774216478 627904685 /nfs/dbraw/zinc/90/46/85/627904685.db2.gz COBQHTVXXQWPOC-UHFFFAOYSA-N 0 0 286.700 2.594 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000774216364 627904800 /nfs/dbraw/zinc/90/48/00/627904800.db2.gz SJVYQYQBAMKUDR-NWDGAFQWSA-N 0 0 267.256 2.931 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C(C)(C)C)CC2 ZINC000774219850 627905350 /nfs/dbraw/zinc/90/53/50/627905350.db2.gz PQTKXTLGBKYAJI-UHFFFAOYSA-N 0 0 262.309 2.838 20 5 CFBDRN CCc1cc(CNc2ccc([N+](=O)[O-])cc2C)[nH]n1 ZINC000774529801 627936035 /nfs/dbraw/zinc/93/60/35/627936035.db2.gz MVIDMMZUKQDSES-UHFFFAOYSA-N 0 0 260.297 2.801 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](O)c1ccc(F)cc1Cl ZINC000774649777 627945611 /nfs/dbraw/zinc/94/56/11/627945611.db2.gz XFOJSTYSGUBOLU-LLVKDONJSA-N 0 0 299.689 2.626 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000774730516 627953442 /nfs/dbraw/zinc/95/34/42/627953442.db2.gz HDASXKUTVMNXCT-WDEREUQCSA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000774730513 627953498 /nfs/dbraw/zinc/95/34/98/627953498.db2.gz HDASXKUTVMNXCT-GHMZBOCLSA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)OC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000774745305 627957437 /nfs/dbraw/zinc/95/74/37/627957437.db2.gz VZKQTBLNYZWLJT-VHSXEESVSA-N 0 0 297.282 2.706 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000775068451 627990671 /nfs/dbraw/zinc/99/06/71/627990671.db2.gz WYSYCBZHHVNQHO-HZMBPMFUSA-N 0 0 280.324 2.772 20 5 CFBDRN CCc1ccnc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000775494362 628031749 /nfs/dbraw/zinc/03/17/49/628031749.db2.gz SYSIIVOMYAIKDG-UHFFFAOYSA-N 0 0 290.250 2.911 20 5 CFBDRN O=C(NOC1CCCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000775599560 628044969 /nfs/dbraw/zinc/04/49/69/628044969.db2.gz GOGFFKJKYUVGCF-UHFFFAOYSA-N 0 0 289.291 2.680 20 5 CFBDRN CC1(O)CCC(Nc2sccc2[N+](=O)[O-])CC1 ZINC000775675769 628053762 /nfs/dbraw/zinc/05/37/62/628053762.db2.gz BUJXFQJSNRAQSP-UHFFFAOYSA-N 0 0 256.327 2.762 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CC[C@@H](C)C1 ZINC000776290750 628127323 /nfs/dbraw/zinc/12/73/23/628127323.db2.gz HMNLNEXNZMTTOX-ZJUUUORDSA-N 0 0 279.292 2.945 20 5 CFBDRN Cc1nn(CN2CCC(F)(F)C2)c2ccc([N+](=O)[O-])cc12 ZINC000776293401 628127482 /nfs/dbraw/zinc/12/74/82/628127482.db2.gz XXTOOIVSUMDSGP-UHFFFAOYSA-N 0 0 296.277 2.551 20 5 CFBDRN Cc1ccc(C(=O)OCCCCCCO)cc1[N+](=O)[O-] ZINC000776357887 628135299 /nfs/dbraw/zinc/13/52/99/628135299.db2.gz UZLNCSSVDFDUHL-UHFFFAOYSA-N 0 0 281.308 2.613 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)OCCOc2ccccc2[N+](=O)[O-])C1 ZINC000776423019 628148608 /nfs/dbraw/zinc/14/86/08/628148608.db2.gz HJMBXVDBDSZLCN-VXGBXAGGSA-N 0 0 293.319 2.953 20 5 CFBDRN COc1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000777136279 628203272 /nfs/dbraw/zinc/20/32/72/628203272.db2.gz FBUYLCGYEOFXQT-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCCc1ccoc1 ZINC000777381084 628219949 /nfs/dbraw/zinc/21/99/49/628219949.db2.gz ZYQUPHRIRDSISL-UHFFFAOYSA-N 0 0 275.260 2.516 20 5 CFBDRN O=C(NOC1CCCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000777959954 628267868 /nfs/dbraw/zinc/26/78/68/628267868.db2.gz KWACTNTXLNTALW-UHFFFAOYSA-N 0 0 282.271 2.728 20 5 CFBDRN O=C(NOC1CCCCC1)c1ccc([N+](=O)[O-])s1 ZINC000777961603 628268139 /nfs/dbraw/zinc/26/81/39/628268139.db2.gz KTEHYWIGLYRQDO-UHFFFAOYSA-N 0 0 270.310 2.650 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@H]1F ZINC000777998692 628271572 /nfs/dbraw/zinc/27/15/72/628271572.db2.gz CNSUYOAIMPWWDH-LDYMZIIASA-N 0 0 256.252 2.918 20 5 CFBDRN Cc1ccccc1COC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000778144030 628280098 /nfs/dbraw/zinc/28/00/98/628280098.db2.gz SFEKKHQXFJDYBP-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN COCCC[C@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000778193948 628286107 /nfs/dbraw/zinc/28/61/07/628286107.db2.gz FMYFKBSQTLKTGP-VIFPVBQESA-N 0 0 287.337 2.762 20 5 CFBDRN O=C(NOC1CCCCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000778264666 628293216 /nfs/dbraw/zinc/29/32/16/628293216.db2.gz HEHCEZATOHFHHI-UHFFFAOYSA-N 0 0 279.296 2.981 20 5 CFBDRN CC1(C)CCN1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778286628 628294920 /nfs/dbraw/zinc/29/49/20/628294920.db2.gz BECCIRGCTVCWNM-UHFFFAOYSA-N 0 0 284.262 2.698 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000778380281 628302989 /nfs/dbraw/zinc/30/29/89/628302989.db2.gz VWYOVBPQFSBYFI-MNOVXSKESA-N 0 0 279.292 2.567 20 5 CFBDRN COc1cc(COc2ccc(C=O)cc2)ccc1[N+](=O)[O-] ZINC000778545656 628314266 /nfs/dbraw/zinc/31/42/66/628314266.db2.gz VNPUWQZJSZOUNW-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN CCC[C@H](C)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000778749183 628329943 /nfs/dbraw/zinc/32/99/43/628329943.db2.gz SNFUQXPSYLYIJQ-VHSXEESVSA-N 0 0 268.313 2.915 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1CCCO1 ZINC000778756736 628330318 /nfs/dbraw/zinc/33/03/18/628330318.db2.gz ICFLUCPIZYPVEU-GWCFXTLKSA-N 0 0 279.292 2.686 20 5 CFBDRN COc1cc(COC(=O)c2c[nH]cc2C)ccc1[N+](=O)[O-] ZINC000778785381 628331378 /nfs/dbraw/zinc/33/13/78/628331378.db2.gz WHHKIOSURSPXSZ-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2cc([N+](=O)[O-])ccc2C)n[nH]1 ZINC000778782334 628331654 /nfs/dbraw/zinc/33/16/54/628331654.db2.gz BRARQXMTUVKDLV-JTQLQIEISA-N 0 0 289.291 2.853 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@@H]1CCCOC1 ZINC000778796537 628332468 /nfs/dbraw/zinc/33/24/68/628332468.db2.gz YAGZJOOYUZQYAG-VXGBXAGGSA-N 0 0 293.319 2.934 20 5 CFBDRN COc1cc(COC(=O)[C@H](C)CSC)ccc1[N+](=O)[O-] ZINC000778802270 628333106 /nfs/dbraw/zinc/33/31/06/628333106.db2.gz FANGHASQYVVNCU-SECBINFHSA-N 0 0 299.348 2.646 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000778898998 628339413 /nfs/dbraw/zinc/33/94/13/628339413.db2.gz LAPOTOUSUNDDMJ-JTQLQIEISA-N 0 0 291.259 2.589 20 5 CFBDRN CC/C=C/CCOC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000779195853 628359533 /nfs/dbraw/zinc/35/95/33/628359533.db2.gz DOBWROINFKYFTN-ONEGZZNKSA-N 0 0 293.275 2.837 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)COc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000779257278 628364827 /nfs/dbraw/zinc/36/48/27/628364827.db2.gz CGEIWFWVGUCJHC-MWLCHTKSSA-N 0 0 297.282 2.845 20 5 CFBDRN COc1ccc(CC(=O)O[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000779263584 628366254 /nfs/dbraw/zinc/36/62/54/628366254.db2.gz NPJHUZNFIGNJEN-PWSUYJOCSA-N 0 0 293.319 2.878 20 5 CFBDRN CC[C@H]1C[C@@H](OC(=O)Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000779294202 628370743 /nfs/dbraw/zinc/37/07/43/628370743.db2.gz YRNBJEZYJPRLAS-STQMWFEESA-N 0 0 293.319 2.638 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NOC2CCCCC2)c1 ZINC000779319728 628373378 /nfs/dbraw/zinc/37/33/78/628373378.db2.gz DVCLIKLJPNQSQM-UHFFFAOYSA-N 0 0 278.308 2.897 20 5 CFBDRN Cc1cnc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)c(C)c1 ZINC000779860143 628414768 /nfs/dbraw/zinc/41/47/68/628414768.db2.gz ULWJQELZRJCONQ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1nn(CN2Cc3ccccc3[C@H](C)C2)cc1[N+](=O)[O-] ZINC000779858778 628414862 /nfs/dbraw/zinc/41/48/62/628414862.db2.gz BLFCLYLTDDRIHS-LLVKDONJSA-N 0 0 286.335 2.677 20 5 CFBDRN Cc1nc2c(s1)[C@H](Nc1ccncc1[N+](=O)[O-])CCC2 ZINC000779918277 628418182 /nfs/dbraw/zinc/41/81/82/628418182.db2.gz AXBOMXMCHIFADY-LLVKDONJSA-N 0 0 290.348 2.666 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2nnc(C)s2)c1 ZINC000779936861 628420031 /nfs/dbraw/zinc/42/00/31/628420031.db2.gz RZYHYBOQHXLXOR-UHFFFAOYSA-N 0 0 298.299 2.515 20 5 CFBDRN C[C@H](OC(=O)c1cc(O)cc([N+](=O)[O-])c1)c1ccncc1 ZINC000780076383 628430877 /nfs/dbraw/zinc/43/08/77/628430877.db2.gz PROTXOBUCWDTFV-VIFPVBQESA-N 0 0 288.259 2.613 20 5 CFBDRN CCCC[C@@H](CC)COC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000780320759 628454410 /nfs/dbraw/zinc/45/44/10/628454410.db2.gz JMRGPRPCSQVDTJ-SNVBAGLBSA-N 0 0 283.328 2.702 20 5 CFBDRN O=C(O[C@@H]1C=CCC1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 ZINC000780560951 628477156 /nfs/dbraw/zinc/47/71/56/628477156.db2.gz UPIRPVNGTYNXJH-LLVKDONJSA-N 0 0 299.286 2.656 20 5 CFBDRN CCC1(COC(=O)c2ccc([N+](=O)[O-])cc2)CCOCC1 ZINC000780641280 628484394 /nfs/dbraw/zinc/48/43/94/628484394.db2.gz KAGNVOFVNLQIKE-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN Cc1cc(COC(=O)COC2(C)CCC2)ccc1[N+](=O)[O-] ZINC000781172953 628528751 /nfs/dbraw/zinc/52/87/51/628528751.db2.gz SABGBJFVKNJVGL-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN Cc1cc(COC(=O)C2CCC(=O)CC2)ccc1[N+](=O)[O-] ZINC000781173903 628529534 /nfs/dbraw/zinc/52/95/34/628529534.db2.gz ZNRHTZFPHQFBHU-UHFFFAOYSA-N 0 0 291.303 2.706 20 5 CFBDRN Cc1cc(C(=O)OCCOc2ccc([N+](=O)[O-])cc2)co1 ZINC000781369458 628543731 /nfs/dbraw/zinc/54/37/31/628543731.db2.gz MNHAZLBZFUEAJC-UHFFFAOYSA-N 0 0 291.259 2.732 20 5 CFBDRN CC(C)C[C@@H](C)C[C@@H](C)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000781482075 628553043 /nfs/dbraw/zinc/55/30/43/628553043.db2.gz RWGGUJGXIFDCCD-VXGBXAGGSA-N 0 0 297.355 2.795 20 5 CFBDRN Cc1nn(CN2CC[C@]3(CC[C@H](C)C3)C2)cc1[N+](=O)[O-] ZINC000781584256 628562330 /nfs/dbraw/zinc/56/23/30/628562330.db2.gz PFATYVNSKWUBNY-FZMZJTMJSA-N 0 0 278.356 2.569 20 5 CFBDRN COCc1cc(N[C@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC000782139882 628606764 /nfs/dbraw/zinc/60/67/64/628606764.db2.gz DNCSUQVNZQOFEL-SNVBAGLBSA-N 0 0 288.307 2.704 20 5 CFBDRN COCc1cc(Oc2cc(C)ccc2[N+](=O)[O-])ncn1 ZINC000782132596 628606939 /nfs/dbraw/zinc/60/69/39/628606939.db2.gz DRTXKAVBPSQNCK-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)OCc1ccc([N+](=O)[O-])cc1 ZINC000782324401 628628784 /nfs/dbraw/zinc/62/87/84/628628784.db2.gz BTPNCFSZQRIZPY-YVMONPNESA-N 0 0 272.260 2.680 20 5 CFBDRN Cc1c(COC(=O)/C=C/c2ccc[nH]2)cccc1[N+](=O)[O-] ZINC000782329594 628629166 /nfs/dbraw/zinc/62/91/66/628629166.db2.gz HUSMYCZYTVLSJJ-BQYQJAHWSA-N 0 0 286.287 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCc2ncsc2C1 ZINC000782636582 628650994 /nfs/dbraw/zinc/65/09/94/628650994.db2.gz XABDVQZWWLRQEA-UHFFFAOYSA-N 0 0 293.323 2.749 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCCc1ccsc1 ZINC000782675314 628654374 /nfs/dbraw/zinc/65/43/74/628654374.db2.gz STVXKVLQENYTAA-UHFFFAOYSA-N 0 0 294.332 2.784 20 5 CFBDRN O=C(OCCCc1ccsc1)c1ccc([N+](=O)[O-])cn1 ZINC000782679122 628654989 /nfs/dbraw/zinc/65/49/89/628654989.db2.gz MQOXBKSQBGQEFF-UHFFFAOYSA-N 0 0 292.316 2.841 20 5 CFBDRN CSC1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000783016242 628669440 /nfs/dbraw/zinc/66/94/40/628669440.db2.gz SXDRUEFQTQKDKS-UHFFFAOYSA-N 0 0 281.333 2.924 20 5 CFBDRN O=C(OC1CC1)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000783188124 628683777 /nfs/dbraw/zinc/68/37/77/628683777.db2.gz IOERDTWLVDZXNB-UHFFFAOYSA-N 0 0 273.191 2.515 20 5 CFBDRN COc1ccc(CSCC(=O)OC2CC2)cc1[N+](=O)[O-] ZINC000783197998 628684626 /nfs/dbraw/zinc/68/46/26/628684626.db2.gz JQLGBLXSBGMSBI-UHFFFAOYSA-N 0 0 297.332 2.542 20 5 CFBDRN Cc1c(COC(=O)c2cccc(F)n2)cccc1[N+](=O)[O-] ZINC000783206892 628686294 /nfs/dbraw/zinc/68/62/94/628686294.db2.gz SVOIQWLPEJCAQF-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCSCCO)cc1 ZINC000783597348 628725344 /nfs/dbraw/zinc/72/53/44/628725344.db2.gz WMGIIDZORKXIIH-UHFFFAOYSA-N 0 0 273.379 2.554 20 5 CFBDRN C/C(=C/C(=O)NCC(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000783693051 628735217 /nfs/dbraw/zinc/73/52/17/628735217.db2.gz HQZLNPWSMIWGFX-FLIBITNWSA-N 0 0 262.309 2.770 20 5 CFBDRN O=c1ccnc(C=Cc2ccc([N+](=O)[O-])cc2Cl)[nH]1 ZINC000783710900 628737277 /nfs/dbraw/zinc/73/72/77/628737277.db2.gz OVDFLRPLTVHOKZ-RQOWECAXSA-N 0 0 277.667 2.914 20 5 CFBDRN COCC[C@@H](C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000783946175 628756980 /nfs/dbraw/zinc/75/69/80/628756980.db2.gz OVRMZHDFRNWFDD-HCRIHEDKSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1nc(C)c(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000783979960 628759355 /nfs/dbraw/zinc/75/93/55/628759355.db2.gz FCMDKKNJGLPIHF-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN CCCCCCC(=O)Cn1c(C)ncc1[N+](=O)[O-] ZINC000783983999 628759959 /nfs/dbraw/zinc/75/99/59/628759959.db2.gz CJHCRMJFCQDHJM-UHFFFAOYSA-N 0 0 253.302 2.639 20 5 CFBDRN CSC[C@H](C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784013817 628763424 /nfs/dbraw/zinc/76/34/24/628763424.db2.gz PAINWAWBLSQXCM-IEHMKBBKSA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1c(NC(=O)[C@H](F)C(C)C)cccc1[N+](=O)[O-] ZINC000784024869 628765893 /nfs/dbraw/zinc/76/58/93/628765893.db2.gz WYZJALOMXJQCID-LLVKDONJSA-N 0 0 254.261 2.836 20 5 CFBDRN CC[C@@H](COC)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784031745 628766279 /nfs/dbraw/zinc/76/62/79/628766279.db2.gz YZUAYLNNFKQQLU-STRFDMGBSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@H](COC)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784031746 628766394 /nfs/dbraw/zinc/76/63/94/628766394.db2.gz YZUAYLNNFKQQLU-YGNAEDSMSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000784041796 628767810 /nfs/dbraw/zinc/76/78/10/628767810.db2.gz ZFADIBSGLSGGGJ-LLVKDONJSA-N 0 0 254.261 2.552 20 5 CFBDRN Cc1c(OC(=O)[C@@H](F)C(C)C)cccc1[N+](=O)[O-] ZINC000784072391 628772400 /nfs/dbraw/zinc/77/24/00/628772400.db2.gz NMQGVHMKICFMJY-NSHDSACASA-N 0 0 255.245 2.803 20 5 CFBDRN CS[C@@H](C)CNC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784081554 628773932 /nfs/dbraw/zinc/77/39/32/628773932.db2.gz CTOJTQIGALSKCU-IEHMKBBKSA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@@H]1CO[C@H](C)C1 ZINC000784114127 628778644 /nfs/dbraw/zinc/77/86/44/628778644.db2.gz WYDPZXDVERUBDM-BDAKNGLRSA-N 0 0 299.710 2.887 20 5 CFBDRN CSCCCCCCNC(=O)c1cc([N+](=O)[O-])cn1C ZINC000784147681 628783622 /nfs/dbraw/zinc/78/36/22/628783622.db2.gz LVORLSHCPIMIRK-UHFFFAOYSA-N 0 0 299.396 2.587 20 5 CFBDRN CC(C)c1ccc(C(=O)OCCCCO)cc1[N+](=O)[O-] ZINC000784251714 628795508 /nfs/dbraw/zinc/79/55/08/628795508.db2.gz GVGSETAJBQNKAE-UHFFFAOYSA-N 0 0 281.308 2.648 20 5 CFBDRN CC[C@H](C)OCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784340902 628807531 /nfs/dbraw/zinc/80/75/31/628807531.db2.gz XXFLFPQFRVKUIW-VIFPVBQESA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)CC1CC1 ZINC000784435461 628816537 /nfs/dbraw/zinc/81/65/37/628816537.db2.gz LRQAPXRXFWWXFV-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN C/C=C/COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000784534348 628825491 /nfs/dbraw/zinc/82/54/91/628825491.db2.gz MFRKBYUJZXRFOD-NSCUHMNNSA-N 0 0 255.657 2.981 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000784566911 628827772 /nfs/dbraw/zinc/82/77/72/628827772.db2.gz ILXDPEQMXAPIMQ-ONGXEEELSA-N 0 0 297.282 2.592 20 5 CFBDRN CC(C)[C@@](C)(O)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000784787264 628842321 /nfs/dbraw/zinc/84/23/21/628842321.db2.gz XFMBYHKUJUTFBD-IINYFYTJSA-N 0 0 281.308 2.606 20 5 CFBDRN CO[C@@H](C)COC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784896398 628850146 /nfs/dbraw/zinc/85/01/46/628850146.db2.gz JCUIXZFQQDJVAW-UQSGXBNBSA-N 0 0 279.292 2.576 20 5 CFBDRN CCc1ccc(OC[C@@H]2COC(C)(C)O2)c([N+](=O)[O-])c1 ZINC000785038457 628861498 /nfs/dbraw/zinc/86/14/98/628861498.db2.gz SBQPDORLBPDMOB-LLVKDONJSA-N 0 0 281.308 2.688 20 5 CFBDRN Cc1cncc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])c1 ZINC000785668851 628902879 /nfs/dbraw/zinc/90/28/79/628902879.db2.gz YIAGRCQUHHKXOM-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cncc(COC(=O)Cc2cccc([N+](=O)[O-])c2)c1 ZINC000785680964 628904670 /nfs/dbraw/zinc/90/46/70/628904670.db2.gz DVBHQEBYSFXGIE-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000785913311 628922119 /nfs/dbraw/zinc/92/21/19/628922119.db2.gz DHZLPCVOJHYAAL-ZDUSSCGKSA-N 0 0 293.319 2.638 20 5 CFBDRN O=C(Cc1ccon1)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000786003852 628929772 /nfs/dbraw/zinc/92/97/72/628929772.db2.gz PPSSSGHAVQFSEO-UHFFFAOYSA-N 0 0 296.666 2.522 20 5 CFBDRN Cc1cnccc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000786031287 628931186 /nfs/dbraw/zinc/93/11/86/628931186.db2.gz BZNPMGRLDGKJRW-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCCc2nn(C)cc21 ZINC000786555436 628968235 /nfs/dbraw/zinc/96/82/35/628968235.db2.gz RZNJNFWCXYHVFQ-GFCCVEGCSA-N 0 0 287.323 2.521 20 5 CFBDRN COc1ccccc1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000786560270 628968330 /nfs/dbraw/zinc/96/83/30/628968330.db2.gz KYBXVOCPALQYAS-UHFFFAOYSA-N 0 0 273.292 2.798 20 5 CFBDRN COc1ccc(CCNc2ccc(N)cc2[N+](=O)[O-])cc1 ZINC000786562195 628968886 /nfs/dbraw/zinc/96/88/86/628968886.db2.gz RRRWKJVVQLQTSQ-UHFFFAOYSA-N 0 0 287.319 2.840 20 5 CFBDRN C[C@@H](Nc1ccc(N)cc1[N+](=O)[O-])c1ccccn1 ZINC000786575347 628969406 /nfs/dbraw/zinc/96/94/06/628969406.db2.gz UVTNERGAGUAILG-SECBINFHSA-N 0 0 258.281 2.745 20 5 CFBDRN COCc1ccccc1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000786582231 628970069 /nfs/dbraw/zinc/97/00/69/628970069.db2.gz UEHJOJDHWSIODW-UHFFFAOYSA-N 0 0 287.319 2.936 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CC[C@H]1CCCO1)CC2 ZINC000787275442 629004151 /nfs/dbraw/zinc/00/41/51/629004151.db2.gz OKBQZHPCOMIFLM-CYBMUJFWSA-N 0 0 276.336 2.835 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1cccc(Cl)c1[N+](=O)[O-] ZINC000787720041 629029216 /nfs/dbraw/zinc/02/92/16/629029216.db2.gz JRLWYWAAEFFADP-AKZRSSKFSA-N 0 0 280.711 2.777 20 5 CFBDRN CC[C@H](O)c1nccn1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000787904823 629042174 /nfs/dbraw/zinc/04/21/74/629042174.db2.gz DKETVOJLFRYLDR-LBPRGKRZSA-N 0 0 295.726 2.936 20 5 CFBDRN O=C(OCCCCCO)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000787973139 629045250 /nfs/dbraw/zinc/04/52/50/629045250.db2.gz XDWMCYZAQBNETA-UHFFFAOYSA-N 0 0 287.699 2.568 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCc1ccncc1 ZINC000787980995 629046416 /nfs/dbraw/zinc/04/64/16/629046416.db2.gz IOQJHXMFBCNDPN-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=C(OCCCCCO)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000787988071 629046831 /nfs/dbraw/zinc/04/68/31/629046831.db2.gz IUFCMNNKRWZXQY-UHFFFAOYSA-N 0 0 287.699 2.568 20 5 CFBDRN C/C(=C/C(=O)N1CCCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000788488472 629074067 /nfs/dbraw/zinc/07/40/67/629074067.db2.gz KUVJODXLKNAFMM-QXMHVHEDSA-N 0 0 290.319 2.942 20 5 CFBDRN COC(=O)/C(C)=C\CSc1ccc([N+](=O)[O-])cc1F ZINC000788502567 629075618 /nfs/dbraw/zinc/07/56/18/629075618.db2.gz OGFRUAVSVYWIPH-YVMONPNESA-N 0 0 285.296 2.945 20 5 CFBDRN C[C@@H]1CC[C@H](COC(=O)c2cc([N+](=O)[O-])ccc2Cl)O1 ZINC000789002150 629116069 /nfs/dbraw/zinc/11/60/69/629116069.db2.gz UNTFFBCZGZLYML-PSASIEDQSA-N 0 0 299.710 2.973 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1cccnc1F ZINC000789010781 629116724 /nfs/dbraw/zinc/11/67/24/629116724.db2.gz KECHZRZQNDGMSM-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1c(CC(=O)OC[C@@H]2CC[C@@H](C)O2)cccc1[N+](=O)[O-] ZINC000789080880 629124168 /nfs/dbraw/zinc/12/41/68/629124168.db2.gz WVABPFOCBKXBRT-MFKMUULPSA-N 0 0 293.319 2.556 20 5 CFBDRN CSC1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000789201982 629134180 /nfs/dbraw/zinc/13/41/80/629134180.db2.gz OLZSMNROYJTJDO-UHFFFAOYSA-N 0 0 285.296 2.673 20 5 CFBDRN CSC1(C(=O)OCc2ccccc2[N+](=O)[O-])CC1 ZINC000789208614 629134375 /nfs/dbraw/zinc/13/43/75/629134375.db2.gz ZUTUIAXUMHLGFW-UHFFFAOYSA-N 0 0 267.306 2.534 20 5 CFBDRN Cc1ccc([C@H](C)NC(=S)NC2(C)CC2)cc1[N+](=O)[O-] ZINC000789265182 629142384 /nfs/dbraw/zinc/14/23/84/629142384.db2.gz ROXAUJWVUNBTDF-JTQLQIEISA-N 0 0 293.392 2.981 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1CC(=O)c2ccccc21 ZINC000789340614 629148167 /nfs/dbraw/zinc/14/81/67/629148167.db2.gz ADDLBDYLZGWAFT-AWEZNQCLSA-N 0 0 297.266 2.870 20 5 CFBDRN CCC1(C(=O)OCc2ncc(C)c([N+](=O)[O-])c2C)CC1 ZINC000789433071 629156554 /nfs/dbraw/zinc/15/65/54/629156554.db2.gz UINSHIKRZBGBTL-UHFFFAOYSA-N 0 0 278.308 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCC(F)(F)F)cc1Cl ZINC000789564063 629166746 /nfs/dbraw/zinc/16/67/46/629166746.db2.gz RGYNJYZBEOECMA-UHFFFAOYSA-N 0 0 268.622 2.900 20 5 CFBDRN COc1cccc(C(=O)OC[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC000789604858 629172185 /nfs/dbraw/zinc/17/21/85/629172185.db2.gz LOFRUECVVBWZQD-VIFPVBQESA-N 0 0 279.292 2.806 20 5 CFBDRN C[C@@H](COC(=O)COc1ccccc1[N+](=O)[O-])C1CC1 ZINC000789610765 629173691 /nfs/dbraw/zinc/17/36/91/629173691.db2.gz YYOLSGMRKHTDJE-JTQLQIEISA-N 0 0 279.292 2.563 20 5 CFBDRN C[C@@H](COC(=O)CN(C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000789613299 629174150 /nfs/dbraw/zinc/17/41/50/629174150.db2.gz VZDYOVQCRVCRBL-NSHDSACASA-N 0 0 292.335 2.620 20 5 CFBDRN CC(=O)[C@H](C)SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000789923267 629197308 /nfs/dbraw/zinc/19/73/08/629197308.db2.gz YQYIRMCBBAAQKK-QMMMGPOBSA-N 0 0 284.293 2.714 20 5 CFBDRN CC[C@H](C)NC(=S)NCc1cccc([N+](=O)[O-])c1C ZINC000790334433 629233409 /nfs/dbraw/zinc/23/34/09/629233409.db2.gz WYFMUGZYDZTSCU-VIFPVBQESA-N 0 0 281.381 2.666 20 5 CFBDRN CC[C@@H](C)NC(=S)NCc1cccc([N+](=O)[O-])c1C ZINC000790334431 629233711 /nfs/dbraw/zinc/23/37/11/629233711.db2.gz WYFMUGZYDZTSCU-SECBINFHSA-N 0 0 281.381 2.666 20 5 CFBDRN Cc1cnc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)o1 ZINC000790799121 629264087 /nfs/dbraw/zinc/26/40/87/629264087.db2.gz DUDRBLJQLFXJQZ-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2ccc([N+](=O)[O-])cc2C)c1Cl ZINC000790986259 629277950 /nfs/dbraw/zinc/27/79/50/629277950.db2.gz XIOMRGWLGUGJTB-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1c(CNC(=O)C2(F)CCCC2)cccc1[N+](=O)[O-] ZINC000791020368 629281598 /nfs/dbraw/zinc/28/15/98/629281598.db2.gz HUBGCKFKDLWNKP-UHFFFAOYSA-N 0 0 280.299 2.802 20 5 CFBDRN COC1(CC(=O)OCc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000791516758 629325003 /nfs/dbraw/zinc/32/50/03/629325003.db2.gz HDNBXDOWKJTPPR-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000791692659 629346156 /nfs/dbraw/zinc/34/61/56/629346156.db2.gz CGZUXSHKMMDBAW-GFCCVEGCSA-N 0 0 299.710 2.716 20 5 CFBDRN Cc1ccc(OC(=O)CSC(F)F)c([N+](=O)[O-])c1 ZINC000835069453 629369939 /nfs/dbraw/zinc/36/99/39/629369939.db2.gz GXLDEYBPBOTHFF-UHFFFAOYSA-N 0 0 277.248 2.764 20 5 CFBDRN CC[C@@H](C)CC(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000791881525 629370273 /nfs/dbraw/zinc/37/02/73/629370273.db2.gz WPIKKMKYXASWNR-SECBINFHSA-N 0 0 295.291 2.723 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2ccnn2C)ccc1[N+](=O)[O-] ZINC000791936955 629381225 /nfs/dbraw/zinc/38/12/25/629381225.db2.gz SJLRDHHUEZVQNN-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CCOC[C@@H](C)OC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000792037627 629391440 /nfs/dbraw/zinc/39/14/40/629391440.db2.gz NVPHCUIVXKVKNO-LMMOQWNQSA-N 0 0 293.319 2.966 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000792050433 629393628 /nfs/dbraw/zinc/39/36/28/629393628.db2.gz PEQHIBLADAHKAH-SNVBAGLBSA-N 0 0 294.263 2.592 20 5 CFBDRN C/C=C\COC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835095703 629396892 /nfs/dbraw/zinc/39/68/92/629396892.db2.gz SBJRFRQLCPWUAL-IHWYPQMZSA-N 0 0 277.276 2.677 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000835096494 629399145 /nfs/dbraw/zinc/39/91/45/629399145.db2.gz CPSVUNPVSGZAEU-ZETCQYMHSA-N 0 0 271.244 2.698 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000835106323 629412207 /nfs/dbraw/zinc/41/22/07/629412207.db2.gz JMDVJVBPXKRXTJ-GMSGAONNSA-N 0 0 271.219 2.854 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000835106859 629412473 /nfs/dbraw/zinc/41/24/73/629412473.db2.gz LOIFWMQPNWKJKL-OIBJUYFYSA-N 0 0 271.219 2.854 20 5 CFBDRN O=C(/C=C\[C@H]1CCOC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000835110915 629416355 /nfs/dbraw/zinc/41/63/55/629416355.db2.gz DWQRVVHKLRGSON-LVXRCVOFSA-N 0 0 297.694 2.746 20 5 CFBDRN O=C(/C=C\[C@@H]1CCOC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000835110926 629417080 /nfs/dbraw/zinc/41/70/80/629417080.db2.gz DWQRVVHKLRGSON-RZXPJHQTSA-N 0 0 297.694 2.746 20 5 CFBDRN CC(=O)OC(C)(C)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000792356243 629422125 /nfs/dbraw/zinc/42/21/25/629422125.db2.gz ITEXVFITXIBHHQ-VIFPVBQESA-N 0 0 295.291 2.541 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000792530377 629430947 /nfs/dbraw/zinc/43/09/47/629430947.db2.gz XMAURJHPSQVGFI-HTQZYQBOSA-N 0 0 268.700 2.871 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000792530928 629431049 /nfs/dbraw/zinc/43/10/49/629431049.db2.gz AUEMEFOBSUWATH-IUCAKERBSA-N 0 0 284.262 2.696 20 5 CFBDRN CC(C)(CCC(=O)OCCc1ccccc1F)[N+](=O)[O-] ZINC000835146208 629460907 /nfs/dbraw/zinc/46/09/07/629460907.db2.gz FCSLOJYIVYYXJF-UHFFFAOYSA-N 0 0 283.299 2.747 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2CCO[C@H]2C)cc1[N+](=O)[O-] ZINC000793433994 629546503 /nfs/dbraw/zinc/54/65/03/629546503.db2.gz UQUMXWHZBASVBN-IONNQARKSA-N 0 0 285.321 2.547 20 5 CFBDRN Cc1ccncc1[C@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835270270 629580610 /nfs/dbraw/zinc/58/06/10/629580610.db2.gz BBSVWUHUYMLJPR-NSHDSACASA-N 0 0 280.324 2.830 20 5 CFBDRN CC/C(C)=C\C(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000835306219 629612491 /nfs/dbraw/zinc/61/24/91/629612491.db2.gz AOQPFFYCQXHKDY-CLFYSBASSA-N 0 0 293.275 2.643 20 5 CFBDRN CON(C)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000794372496 629641541 /nfs/dbraw/zinc/64/15/41/629641541.db2.gz NTIVBURNNAXMAR-UHFFFAOYSA-N 0 0 281.312 2.917 20 5 CFBDRN CC(C)(CCC(=O)OCCCC1CCOCC1)[N+](=O)[O-] ZINC000835370872 629662261 /nfs/dbraw/zinc/66/22/61/629662261.db2.gz AKFVOGDIOYSYPV-UHFFFAOYSA-N 0 0 287.356 2.572 20 5 CFBDRN CS[C@H](C)[C@@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835381353 629664882 /nfs/dbraw/zinc/66/48/82/629664882.db2.gz AMSPOPXEBOSOQZ-RKDXNWHRSA-N 0 0 263.359 2.505 20 5 CFBDRN CCCN(CCC)Cn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000794615942 629669103 /nfs/dbraw/zinc/66/91/03/629669103.db2.gz AKYDGDHSCDWHBJ-UHFFFAOYSA-N 0 0 293.323 2.582 20 5 CFBDRN Cc1nnc(CN(C)Cc2cc(Cl)ccc2[N+](=O)[O-])o1 ZINC000852471890 634754665 /nfs/dbraw/zinc/75/46/65/634754665.db2.gz MWOUGGMQXVZCML-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN CCCc1ccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)cc1 ZINC000794800458 629686848 /nfs/dbraw/zinc/68/68/48/629686848.db2.gz VMSXLYGMIQIABQ-UHFFFAOYSA-N 0 0 274.280 2.523 20 5 CFBDRN COCC[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C(C)(C)C ZINC000835401627 629691537 /nfs/dbraw/zinc/69/15/37/629691537.db2.gz JZPATNKGZDEBRZ-LLVKDONJSA-N 0 0 289.372 2.816 20 5 CFBDRN CC[C@H](C)CONC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000794854703 629695402 /nfs/dbraw/zinc/69/54/02/629695402.db2.gz WFVUPESYANZBCL-QWRGUYRKSA-N 0 0 280.324 2.792 20 5 CFBDRN C[C@@H](CC(C)(C)O)OC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000835424012 629710419 /nfs/dbraw/zinc/71/04/19/629710419.db2.gz SMCVMQTWHYJBRD-NSHDSACASA-N 0 0 295.335 2.620 20 5 CFBDRN CC(C)CNC(=S)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000795097184 629734084 /nfs/dbraw/zinc/73/40/84/629734084.db2.gz TXHQXQIJKIAQLL-UHFFFAOYSA-N 0 0 295.408 2.600 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CSc2cccc[n+]2[O-])c(F)c1 ZINC000795187388 629743216 /nfs/dbraw/zinc/74/32/16/629743216.db2.gz GGLFICSTTHOGRS-UHFFFAOYSA-N 0 0 298.270 2.799 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(Cc2cccc(Cl)c2)CC1 ZINC000835467431 629755734 /nfs/dbraw/zinc/75/57/34/629755734.db2.gz QYFGRCAEKFJNOU-JTQLQIEISA-N 0 0 297.738 2.871 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(F)(F)CC1 ZINC000795272974 629756211 /nfs/dbraw/zinc/75/62/11/629756211.db2.gz UMRSEMDUPNFRDM-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN Cc1ccc(CN(CCO)c2ccc([N+](=O)[O-])cc2F)o1 ZINC000795280089 629756921 /nfs/dbraw/zinc/75/69/21/629756921.db2.gz OBMHGNQJPNNCCR-UHFFFAOYSA-N 0 0 294.282 2.634 20 5 CFBDRN COC(=O)[C@H](C)N(C)c1cc(C)c([N+](=O)[O-])cc1Cl ZINC000795310141 629760235 /nfs/dbraw/zinc/76/02/35/629760235.db2.gz NPWYZWDKHSCCBY-QMMMGPOBSA-N 0 0 286.715 2.554 20 5 CFBDRN CC1(C(=O)COC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000795342465 629764228 /nfs/dbraw/zinc/76/42/28/629764228.db2.gz GNMHTKYMDCVAMI-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCC[C@@H](O)C2)cc([N+](=O)[O-])c1 ZINC000795355147 629766373 /nfs/dbraw/zinc/76/63/73/629766373.db2.gz MVCOSCYUSVSAKV-BXUZGUMPSA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCC[C@@H](O)C1 ZINC000795355949 629766388 /nfs/dbraw/zinc/76/63/88/629766388.db2.gz QMUFVIWHQNFCRB-WCQYABFASA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1ccc(COC(=O)CCC(F)F)cc1[N+](=O)[O-] ZINC000835480490 629769255 /nfs/dbraw/zinc/76/92/55/629769255.db2.gz NNYYVQTWPDRIRL-UHFFFAOYSA-N 0 0 273.235 2.992 20 5 CFBDRN CSC1(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000835481446 629769273 /nfs/dbraw/zinc/76/92/73/629769273.db2.gz WCJGFKGQNIBEAX-UHFFFAOYSA-N 0 0 281.333 2.842 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835486301 629776070 /nfs/dbraw/zinc/77/60/70/629776070.db2.gz SPHKZJCNMXDRBE-SNVBAGLBSA-N 0 0 283.349 2.946 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)C2(C)CC2)cc1[N+](=O)[O-] ZINC000795534095 629795561 /nfs/dbraw/zinc/79/55/61/629795561.db2.gz YGKXYXFDEOMENQ-UHFFFAOYSA-N 0 0 291.303 2.683 20 5 CFBDRN CCC[C@@H](COC(=O)c1cc(C)ccc1[N+](=O)[O-])OC ZINC000835536993 629819847 /nfs/dbraw/zinc/81/98/47/629819847.db2.gz IPYFJECRIBXZMF-NSHDSACASA-N 0 0 281.308 2.875 20 5 CFBDRN CCC[C@H](COC(=O)c1ccccc1[N+](=O)[O-])OC ZINC000835538898 629821288 /nfs/dbraw/zinc/82/12/88/629821288.db2.gz UKOPYOINRBIMFQ-SNVBAGLBSA-N 0 0 267.281 2.567 20 5 CFBDRN CCC[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1)OC ZINC000835539396 629822864 /nfs/dbraw/zinc/82/28/64/629822864.db2.gz XBPAQTWMDFCIPL-LBPRGKRZSA-N 0 0 267.281 2.567 20 5 CFBDRN Cc1ccnc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000795795678 629834802 /nfs/dbraw/zinc/83/48/02/629834802.db2.gz PGQTUOLDVZBANJ-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000795992643 629859056 /nfs/dbraw/zinc/85/90/56/629859056.db2.gz RDQWQFXBFBBVOO-GZMMTYOYSA-N 0 0 287.337 2.761 20 5 CFBDRN C[C@@H]1C(=O)CC[C@@H]1CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000796229595 629893021 /nfs/dbraw/zinc/89/30/21/629893021.db2.gz OVKCXNIFCDDSSB-CMPLNLGQSA-N 0 0 291.303 2.643 20 5 CFBDRN C[C@@H]1C(=O)CC[C@@H]1CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000796232463 629894100 /nfs/dbraw/zinc/89/41/00/629894100.db2.gz VLVHXMQJCIQUDH-WDEREUQCSA-N 0 0 291.303 2.643 20 5 CFBDRN CC[C@H]1CCCC[C@H]1C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000796321146 629903705 /nfs/dbraw/zinc/90/37/05/629903705.db2.gz GWQSTFJJKPTBSG-WCQYABFASA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@@H](C)[C@@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)OC ZINC000835644582 629914869 /nfs/dbraw/zinc/91/48/69/629914869.db2.gz QUXPZDXTPQAPES-BXUZGUMPSA-N 0 0 295.335 2.742 20 5 CFBDRN CC(=O)CCCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796445085 629918635 /nfs/dbraw/zinc/91/86/35/629918635.db2.gz IZORLLUSEWURMP-UHFFFAOYSA-N 0 0 293.319 2.785 20 5 CFBDRN O=C(CCC(F)F)Nc1cc([N+](=O)[O-])ccc1F ZINC000796533271 629931349 /nfs/dbraw/zinc/93/13/49/629931349.db2.gz KBTJATZUCDJFQB-UHFFFAOYSA-N 0 0 262.187 2.718 20 5 CFBDRN CNc1c(C(=O)OCC[C@@H](C)SC)cccc1[N+](=O)[O-] ZINC000835670450 629934236 /nfs/dbraw/zinc/93/42/36/629934236.db2.gz OBKASUJHPDMKDN-SECBINFHSA-N 0 0 298.364 2.935 20 5 CFBDRN CNc1ccc(C(=O)OC[C@H](C)SC)cc1[N+](=O)[O-] ZINC000835677586 629941842 /nfs/dbraw/zinc/94/18/42/629941842.db2.gz FELVXYVZOTUFDG-QMMMGPOBSA-N 0 0 284.337 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C[C@@H]1CCC(=O)[C@H]1C ZINC000796650139 629945622 /nfs/dbraw/zinc/94/56/22/629945622.db2.gz ZMQKHQMTUZLJGM-QWRGUYRKSA-N 0 0 291.303 2.814 20 5 CFBDRN O=C(CCC(F)F)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000796776029 629964601 /nfs/dbraw/zinc/96/46/01/629964601.db2.gz DMHPVNSDIWKMLI-UHFFFAOYSA-N 0 0 263.171 2.685 20 5 CFBDRN Cc1cccc(C(=O)OCCc2nccs2)c1[N+](=O)[O-] ZINC000796948872 629994388 /nfs/dbraw/zinc/99/43/88/629994388.db2.gz XYSMJHIGYTUPEQ-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CC(F)(F)CCOC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000797216994 630041435 /nfs/dbraw/zinc/04/14/35/630041435.db2.gz HPOITUSLOZYNEU-UHFFFAOYSA-N 0 0 273.235 2.726 20 5 CFBDRN C[C@@H]1COCC[C@H]1OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000835786594 630044354 /nfs/dbraw/zinc/04/43/54/630044354.db2.gz PJPHVAKCKDUVBQ-QRWLWRBWSA-N 0 0 291.303 2.576 20 5 CFBDRN C[C@@H]1COCC[C@H]1OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000835786587 630044381 /nfs/dbraw/zinc/04/43/81/630044381.db2.gz PJPHVAKCKDUVBQ-FKULILBMSA-N 0 0 291.303 2.576 20 5 CFBDRN CC(F)(F)CCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000797228771 630043929 /nfs/dbraw/zinc/04/39/29/630043929.db2.gz YXSCWGOBVZONLD-UHFFFAOYSA-N 0 0 259.208 2.797 20 5 CFBDRN C[C@H](COC(=O)C1CC(c2ccc(F)cc2)C1)[N+](=O)[O-] ZINC000797279001 630050923 /nfs/dbraw/zinc/05/09/23/630050923.db2.gz HNKMQZFOVAECAD-OIKLOGQESA-N 0 0 281.283 2.528 20 5 CFBDRN CCc1occc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000797401850 630061551 /nfs/dbraw/zinc/06/15/51/630061551.db2.gz ODQQZXIHDXRYCI-UHFFFAOYSA-N 0 0 261.233 2.969 20 5 CFBDRN CC1=C[C@@H](C)CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000797439150 630066117 /nfs/dbraw/zinc/06/61/17/630066117.db2.gz XGNHYIOQCZXOID-SECBINFHSA-N 0 0 277.280 2.905 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@@H]1C[C@H]1C(C)C ZINC000797476633 630071649 /nfs/dbraw/zinc/07/16/49/630071649.db2.gz WVLJYSFHCVLCMK-QWHCGFSZSA-N 0 0 293.319 2.939 20 5 CFBDRN COc1ccc(C(=O)O[C@H](C)CCSC)cc1[N+](=O)[O-] ZINC000835817518 630082736 /nfs/dbraw/zinc/08/27/36/630082736.db2.gz SAWGQDQZHMEVTD-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN C[C@@H]1CCN(Cc2cc(F)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000852588278 634787452 /nfs/dbraw/zinc/78/74/52/634787452.db2.gz BGGAUOVRITWPTH-MNOVXSKESA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@@H](CO)N(CC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000798215830 630167281 /nfs/dbraw/zinc/16/72/81/630167281.db2.gz PLAIYPBSLVWWKI-JTQLQIEISA-N 0 0 282.315 2.721 20 5 CFBDRN CC[C@@](C)(COC(=O)c1ccccc1[N+](=O)[O-])OC ZINC000835956045 630176229 /nfs/dbraw/zinc/17/62/29/630176229.db2.gz BMGKKNHXCYIALM-ZDUSSCGKSA-N 0 0 267.281 2.567 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cccc(C)c1[N+](=O)[O-])OC ZINC000835957236 630177845 /nfs/dbraw/zinc/17/78/45/630177845.db2.gz MYUVWEIYBCXZLZ-AWEZNQCLSA-N 0 0 281.308 2.875 20 5 CFBDRN CC[C@](C)(COC(=O)c1ccc([N+](=O)[O-])c(C)c1)OC ZINC000835957606 630177851 /nfs/dbraw/zinc/17/78/51/630177851.db2.gz PMAMTZHHSJQTCA-CQSZACIVSA-N 0 0 281.308 2.875 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@@H](c2ccccn2)C1 ZINC000798716093 630233704 /nfs/dbraw/zinc/23/37/04/630233704.db2.gz MKWQTLXXYPRUAF-GFCCVEGCSA-N 0 0 297.314 2.620 20 5 CFBDRN Cc1c(C(=O)OCc2c(F)cccc2[N+](=O)[O-])ccn1C ZINC000798727974 630235942 /nfs/dbraw/zinc/23/59/42/630235942.db2.gz YAGYBMGOYVRKOP-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN CCc1ccc(OC(=O)[C@H](C(C)C)N(C)C)c([N+](=O)[O-])c1 ZINC000798829996 630243098 /nfs/dbraw/zinc/24/30/98/630243098.db2.gz RVNTZPOZFINQRM-AWEZNQCLSA-N 0 0 294.351 2.649 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H](O)c2ccc(F)cn2)c1 ZINC000799616188 630324442 /nfs/dbraw/zinc/32/44/42/630324442.db2.gz CPVBIXAVAQZLGY-ZDUSSCGKSA-N 0 0 292.266 2.550 20 5 CFBDRN COCC1(COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000799664191 630330240 /nfs/dbraw/zinc/33/02/40/630330240.db2.gz XABNZPDEDDLMAT-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN Cc1cc(CNc2c(C)c([N+](=O)[O-])ccc2F)nn1C ZINC000799971340 630357331 /nfs/dbraw/zinc/35/73/31/630357331.db2.gz BTAUSKTZIXBQSR-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN Cc1c(NCC(=O)N[C@H](C)C(C)C)c(F)ccc1[N+](=O)[O-] ZINC000799972453 630357898 /nfs/dbraw/zinc/35/78/98/630357898.db2.gz BJNREYPWGGZOFW-SNVBAGLBSA-N 0 0 297.330 2.615 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000800387198 630376419 /nfs/dbraw/zinc/37/64/19/630376419.db2.gz PECQBGNEPYQXRL-SXMVTHIZSA-N 0 0 299.710 2.967 20 5 CFBDRN Cn1c(C(=O)OCC23CCC(CC2)C3)ccc1[N+](=O)[O-] ZINC000800459402 630388039 /nfs/dbraw/zinc/38/80/39/630388039.db2.gz NPHLRAMWALQUSD-UHFFFAOYSA-N 0 0 278.308 2.670 20 5 CFBDRN Cc1cc(C)nc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c1 ZINC000800802291 630405723 /nfs/dbraw/zinc/40/57/23/630405723.db2.gz HLEUBDAXLIUYTB-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC[C@H](C)NC(=S)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000800829102 630409561 /nfs/dbraw/zinc/40/95/61/630409561.db2.gz WZPVGOSJYHTCBF-NSHDSACASA-N 0 0 295.408 2.742 20 5 CFBDRN Cc1csc(CCNc2cc(N)ccc2[N+](=O)[O-])n1 ZINC000800845949 630410576 /nfs/dbraw/zinc/41/05/76/630410576.db2.gz VAYKCUDVHKQMNN-UHFFFAOYSA-N 0 0 278.337 2.597 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCN2Cc3ccccc3C2)c1 ZINC000800848649 630411040 /nfs/dbraw/zinc/41/10/40/630411040.db2.gz WAVOYKMXLFTOCI-UHFFFAOYSA-N 0 0 298.346 2.605 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H]2CCC(F)(F)C2)c1 ZINC000800857803 630411570 /nfs/dbraw/zinc/41/15/70/630411570.db2.gz DUBKGYDTHITDJP-QMMMGPOBSA-N 0 0 257.240 2.777 20 5 CFBDRN Cn1c(C(=O)Oc2cc(F)ccc2Cl)ccc1[N+](=O)[O-] ZINC000801037683 630423936 /nfs/dbraw/zinc/42/39/36/630423936.db2.gz CQXGZUSNISFUFB-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1(F)CCCC1 ZINC000801089468 630427765 /nfs/dbraw/zinc/42/77/65/630427765.db2.gz LPCSWUYJVHXKHF-UHFFFAOYSA-N 0 0 294.326 2.878 20 5 CFBDRN CCC(CC)(NCc1ccc([N+](=O)[O-])c(F)c1)C(=O)OC ZINC000801541222 630456124 /nfs/dbraw/zinc/45/61/24/630456124.db2.gz NYWQZZGGYZTKLD-UHFFFAOYSA-N 0 0 298.314 2.555 20 5 CFBDRN Cc1ccc(N2CC[C@H](OC(F)F)C2)c([N+](=O)[O-])c1 ZINC000801680219 630465105 /nfs/dbraw/zinc/46/51/05/630465105.db2.gz MKKNGEHDQRCVOM-VIFPVBQESA-N 0 0 272.251 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCOCC12CC2 ZINC000801981153 630496552 /nfs/dbraw/zinc/49/65/52/630496552.db2.gz JBVXZOSCYIIKAA-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)/C=C\c1ccc[nH]1 ZINC000802039576 630498758 /nfs/dbraw/zinc/49/87/58/630498758.db2.gz NEGXVBCMJODBEJ-DAXSKMNVSA-N 0 0 288.259 2.550 20 5 CFBDRN Cc1ccc(OC(=O)/C=C/c2ccc[nH]2)c([N+](=O)[O-])c1 ZINC000802045289 630499188 /nfs/dbraw/zinc/49/91/88/630499188.db2.gz MTJLXUFMFCHNFG-FNORWQNLSA-N 0 0 272.260 2.850 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)/C=C/c1ccc[nH]1 ZINC000802046880 630499379 /nfs/dbraw/zinc/49/93/79/630499379.db2.gz WWNXYZYOOMRUCI-FNORWQNLSA-N 0 0 272.260 2.850 20 5 CFBDRN Cn1c(C(=O)OCC2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000802294889 630525197 /nfs/dbraw/zinc/52/51/97/630525197.db2.gz QWRILELTPBICBR-UHFFFAOYSA-N 0 0 266.297 2.526 20 5 CFBDRN Cc1c(CC(=O)OCCc2ccoc2)cccc1[N+](=O)[O-] ZINC000802777049 630545340 /nfs/dbraw/zinc/54/53/40/630545340.db2.gz DXZANWDPQCDMLX-UHFFFAOYSA-N 0 0 289.287 2.825 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)O[C@@]1(C)CCOC1 ZINC000802900847 630562324 /nfs/dbraw/zinc/56/23/24/630562324.db2.gz WLWBAUUOBDPBNT-GDXASINISA-N 0 0 291.303 2.720 20 5 CFBDRN C[C@@H](CC1CCOCC1)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000803016879 630578104 /nfs/dbraw/zinc/57/81/04/630578104.db2.gz DIXXHNWVIUSMOU-NSHDSACASA-N 0 0 293.319 2.957 20 5 CFBDRN Cc1ccc(C(=O)OCC2CCC(=O)CC2)cc1[N+](=O)[O-] ZINC000803224419 630591425 /nfs/dbraw/zinc/59/14/25/630591425.db2.gz LYCUHMVYPALOLT-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC2CCC(=O)CC2)c1 ZINC000803368710 630608067 /nfs/dbraw/zinc/60/80/67/630608067.db2.gz DJYQLUWUZGTAFX-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN O=C(OCCCCCO)c1cccc(Cl)c1[N+](=O)[O-] ZINC000803381590 630609303 /nfs/dbraw/zinc/60/93/03/630609303.db2.gz BBHFIXKVKPFJHK-UHFFFAOYSA-N 0 0 287.699 2.568 20 5 CFBDRN C/C=C(\C)C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803382840 630609949 /nfs/dbraw/zinc/60/99/49/630609949.db2.gz DJFOZRNJVLBFMX-XVNBXDOJSA-N 0 0 271.219 2.882 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000803421309 630615963 /nfs/dbraw/zinc/61/59/63/630615963.db2.gz IEWBANAPBWYQHL-WOFXILAISA-N 0 0 297.257 2.962 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803460247 630620336 /nfs/dbraw/zinc/62/03/36/630620336.db2.gz DQIDJZOZRXIZPW-UHFFFAOYSA-N 0 0 297.282 2.958 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCSC(C)C ZINC000803464530 630620457 /nfs/dbraw/zinc/62/04/57/630620457.db2.gz DLNACYFAPQOFBD-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803515053 630625288 /nfs/dbraw/zinc/62/52/88/630625288.db2.gz JWCYINOJBQOKAJ-UHFFFAOYSA-N 0 0 296.229 2.867 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000804294164 630668400 /nfs/dbraw/zinc/66/84/00/630668400.db2.gz KNZSAXBKMPUOFU-SKDRFNHKSA-N 0 0 278.283 2.667 20 5 CFBDRN CSCCNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000804326784 630672681 /nfs/dbraw/zinc/67/26/81/630672681.db2.gz GGOINZRWKQTZSC-UHFFFAOYSA-N 0 0 254.311 2.572 20 5 CFBDRN CN(CCc1ccc(F)cc1)c1ccc(N)cc1[N+](=O)[O-] ZINC000804371578 630676822 /nfs/dbraw/zinc/67/68/22/630676822.db2.gz CHZWMMPZMPAWIZ-UHFFFAOYSA-N 0 0 289.310 2.995 20 5 CFBDRN CN(Cc1ccccn1)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804375556 630677380 /nfs/dbraw/zinc/67/73/80/630677380.db2.gz RAAKPKZCDPAJDE-UHFFFAOYSA-N 0 0 288.263 2.534 20 5 CFBDRN COCCCCCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804393152 630679117 /nfs/dbraw/zinc/67/91/17/630679117.db2.gz WNRYDJMERJGJSH-UHFFFAOYSA-N 0 0 283.284 2.732 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCc1cscn1 ZINC000804397907 630679689 /nfs/dbraw/zinc/67/96/89/630679689.db2.gz KBCBVXNKYWHEGP-UHFFFAOYSA-N 0 0 280.265 2.572 20 5 CFBDRN CC[C@@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000804446229 630681879 /nfs/dbraw/zinc/68/18/79/630681879.db2.gz BGAIIJZGOQRMLA-VHSXEESVSA-N 0 0 295.295 2.507 20 5 CFBDRN CC[C@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000804446228 630681978 /nfs/dbraw/zinc/68/19/78/630681978.db2.gz BGAIIJZGOQRMLA-UWVGGRQHSA-N 0 0 295.295 2.507 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804451628 630682339 /nfs/dbraw/zinc/68/23/39/630682339.db2.gz QECPQDRYVLBRPZ-NOZJJQNGSA-N 0 0 297.311 2.712 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2c(F)cccc2[N+](=O)[O-])o1 ZINC000804498474 630688368 /nfs/dbraw/zinc/68/83/68/630688368.db2.gz GCIXUEFEPWIWJW-NSHDSACASA-N 0 0 280.255 2.781 20 5 CFBDRN CC[C@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCS1 ZINC000804524827 630689876 /nfs/dbraw/zinc/68/98/76/630689876.db2.gz WDUYRROAQVPUSP-VIFPVBQESA-N 0 0 297.336 2.835 20 5 CFBDRN CN(Cc1nccs1)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804530494 630690313 /nfs/dbraw/zinc/69/03/13/630690313.db2.gz ZRMNXXWSMFTSBS-UHFFFAOYSA-N 0 0 294.292 2.596 20 5 CFBDRN CC(C)[C@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804540613 630691412 /nfs/dbraw/zinc/69/14/12/630691412.db2.gz AHHASQADBZGZOG-JTQLQIEISA-N 0 0 279.296 2.985 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccc(F)cn1 ZINC000804555842 630692799 /nfs/dbraw/zinc/69/27/99/630692799.db2.gz OMUDUPJJXITPBK-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN Nc1ccc(NCCCc2ccccn2)c([N+](=O)[O-])c1 ZINC000804563335 630693202 /nfs/dbraw/zinc/69/32/02/630693202.db2.gz VXVFAYFWNFDLGY-UHFFFAOYSA-N 0 0 272.308 2.617 20 5 CFBDRN Nc1ccc(N2CCC(C(F)F)CC2)c([N+](=O)[O-])c1 ZINC000804561187 630693306 /nfs/dbraw/zinc/69/33/06/630693306.db2.gz WTIBVTSVXIPTBP-UHFFFAOYSA-N 0 0 271.267 2.659 20 5 CFBDRN CCC[C@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000804578359 630756894 /nfs/dbraw/zinc/75/68/94/630756894.db2.gz OERZCFUYMGXIKQ-JTQLQIEISA-N 0 0 295.295 2.508 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000804581307 630758202 /nfs/dbraw/zinc/75/82/02/630758202.db2.gz SQPFETMGCSFSAW-UHFFFAOYSA-N 0 0 284.287 2.784 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000804583342 630760272 /nfs/dbraw/zinc/76/02/72/630760272.db2.gz CUWPIINLLVHXNW-UHFFFAOYSA-N 0 0 280.324 2.953 20 5 CFBDRN CNC(=O)[C@H]1CCC[C@H]1Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804586939 630761959 /nfs/dbraw/zinc/76/19/59/630761959.db2.gz SCXCQMPESROZEI-VHSXEESVSA-N 0 0 297.742 2.575 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1S[C@H]1CCCOC1 ZINC000804605703 630764020 /nfs/dbraw/zinc/76/40/20/630764020.db2.gz QPWAQKSFASFMLC-QMMMGPOBSA-N 0 0 284.293 2.774 20 5 CFBDRN CC1(C)CC[C@@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])O1 ZINC000804624925 630767053 /nfs/dbraw/zinc/76/70/53/630767053.db2.gz DBUICFPSVPPJJW-VIFPVBQESA-N 0 0 295.295 2.873 20 5 CFBDRN C[C@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCS1 ZINC000804649915 630769239 /nfs/dbraw/zinc/76/92/39/630769239.db2.gz SDDHYKQBGBMVAK-VIFPVBQESA-N 0 0 297.336 2.835 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000855148498 635468969 /nfs/dbraw/zinc/46/89/69/635468969.db2.gz FSVISBFCFMDADF-VIFPVBQESA-N 0 0 295.295 2.764 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NOC1CCC1 ZINC000836629680 630859682 /nfs/dbraw/zinc/85/96/82/630859682.db2.gz GTFJZMSQTNOIEE-UHFFFAOYSA-N 0 0 279.296 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CC=CCC2)cc1Cl ZINC000836780646 630881890 /nfs/dbraw/zinc/88/18/90/630881890.db2.gz DAOWNGPQIGKDGM-UHFFFAOYSA-N 0 0 280.711 2.959 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](F)C1 ZINC000836820973 630891302 /nfs/dbraw/zinc/89/13/02/630891302.db2.gz SYLPNDVNYXCKKE-CUZBXDDWSA-N 0 0 278.283 2.569 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000836829327 630893900 /nfs/dbraw/zinc/89/39/00/630893900.db2.gz XICWTQYPFZTXIF-ODBCZPJSSA-N 0 0 274.320 2.770 20 5 CFBDRN C/C=C/C(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000836839177 630896571 /nfs/dbraw/zinc/89/65/71/630896571.db2.gz YDHWPGYKPPCATJ-CXLRFSCWSA-N 0 0 274.320 2.877 20 5 CFBDRN C/C=C\C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000836853838 630900763 /nfs/dbraw/zinc/90/07/63/630900763.db2.gz DSYCGBARAIIPEL-PLNGDYQASA-N 0 0 263.297 2.930 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCc1ccccn1 ZINC000807915755 630921723 /nfs/dbraw/zinc/92/17/23/630921723.db2.gz BUZXWCGSZKNTQM-UHFFFAOYSA-N 0 0 285.303 2.847 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CC1(Cl)Cl ZINC000807917671 630921953 /nfs/dbraw/zinc/92/19/53/630921953.db2.gz JTWDUVAXHMFTKS-SSDOTTSWSA-N 0 0 293.154 2.725 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1CCCCO1 ZINC000807941424 630924593 /nfs/dbraw/zinc/92/45/93/630924593.db2.gz NPHPVWKDGXKWTA-GFCCVEGCSA-N 0 0 278.308 2.778 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CCC[C@@H]1CCO ZINC000808022550 630943758 /nfs/dbraw/zinc/94/37/58/630943758.db2.gz LHCKRZRHBPHAII-RISCZKNCSA-N 0 0 292.335 2.760 20 5 CFBDRN CC1(COc2ccc(Cl)c([N+](=O)[O-])c2)COC1 ZINC000808056830 630945782 /nfs/dbraw/zinc/94/57/82/630945782.db2.gz CFMAZXUVSUUEQD-UHFFFAOYSA-N 0 0 257.673 2.664 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000102708565 630954654 /nfs/dbraw/zinc/95/46/54/630954654.db2.gz XTZJSKMJVSBOGJ-PWSUYJOCSA-N 0 0 279.292 2.626 20 5 CFBDRN CC1(C)CN(Cn2nccc2[N+](=O)[O-])[C@@H]1c1cccs1 ZINC000808225197 630969202 /nfs/dbraw/zinc/96/92/02/630969202.db2.gz YQRHRAFRWZHAFW-GFCCVEGCSA-N 0 0 292.364 2.893 20 5 CFBDRN CC(C)CC1(C)CCN(Cn2nccc2[N+](=O)[O-])CC1 ZINC000808231559 630969596 /nfs/dbraw/zinc/96/95/96/630969596.db2.gz JWWOUPNPEGHICB-UHFFFAOYSA-N 0 0 280.372 2.897 20 5 CFBDRN CC1(C)CN(Cn2nccc2[N+](=O)[O-])[C@H]1c1cccs1 ZINC000808225198 630969787 /nfs/dbraw/zinc/96/97/87/630969787.db2.gz YQRHRAFRWZHAFW-LBPRGKRZSA-N 0 0 292.364 2.893 20 5 CFBDRN Nc1ccc(OCC[C@@H](O)c2ccccc2)cc1[N+](=O)[O-] ZINC000808256474 630972436 /nfs/dbraw/zinc/97/24/36/630972436.db2.gz RMQSIHFBXUPPON-OAHLLOKOSA-N 0 0 288.303 2.680 20 5 CFBDRN CC(C)=CCOC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000808513936 631005664 /nfs/dbraw/zinc/00/56/64/631005664.db2.gz PWTDRMBKNYXYPK-UHFFFAOYSA-N 0 0 264.281 2.608 20 5 CFBDRN COC1(COC(=O)c2c(Cl)cccc2[N+](=O)[O-])CCC1 ZINC000837510053 631050883 /nfs/dbraw/zinc/05/08/83/631050883.db2.gz BZVMSQSXAKWOJG-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN COc1cc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)ccn1 ZINC000808912331 631059696 /nfs/dbraw/zinc/05/96/96/631059696.db2.gz WQJWNWBICGZWSY-QPJJXVBHSA-N 0 0 299.286 2.650 20 5 CFBDRN COC1(CCOC(=O)c2csc([N+](=O)[O-])c2)CCC1 ZINC000809053186 631072425 /nfs/dbraw/zinc/07/24/25/631072425.db2.gz CSZXNOVBNQPPMQ-UHFFFAOYSA-N 0 0 285.321 2.772 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2cc(SC)ccc2[N+](=O)[O-])C1 ZINC000809106885 631078901 /nfs/dbraw/zinc/07/89/01/631078901.db2.gz XRVNOGXLZXRCTF-DTORHVGOSA-N 0 0 297.332 2.651 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000809109354 631080547 /nfs/dbraw/zinc/08/05/47/631080547.db2.gz RHURBROPUBROJN-PHIMTYICSA-N 0 0 291.259 2.675 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000809113265 631082959 /nfs/dbraw/zinc/08/29/59/631082959.db2.gz SDPFUJLRKZSVNP-AOOOYVTPSA-N 0 0 299.710 2.973 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000809113680 631083103 /nfs/dbraw/zinc/08/31/03/631083103.db2.gz XXHHBPVHQDFCJD-HONMWMINSA-N 0 0 293.319 2.809 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2ccc(SC)c([N+](=O)[O-])c2)C1 ZINC000809113685 631083311 /nfs/dbraw/zinc/08/33/11/631083311.db2.gz YEORBAOUFSFKFP-AOOOYVTPSA-N 0 0 297.332 2.651 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000809115290 631083928 /nfs/dbraw/zinc/08/39/28/631083928.db2.gz TZSZOTHXKJTAOJ-PHIMTYICSA-N 0 0 279.292 2.628 20 5 CFBDRN Nc1ncc([N+](=O)[O-])cc1C(=O)OCCC1=CCCCC1 ZINC000837610890 631084662 /nfs/dbraw/zinc/08/46/62/631084662.db2.gz FQWOSKPALCRBOE-UHFFFAOYSA-N 0 0 291.307 2.619 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1CCOC1CCC1 ZINC000809187975 631093992 /nfs/dbraw/zinc/09/39/92/631093992.db2.gz YELJAAZHLRPTEF-LLVKDONJSA-N 0 0 276.336 2.915 20 5 CFBDRN C/C=C(\C)C(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000809225717 631101148 /nfs/dbraw/zinc/10/11/48/631101148.db2.gz DNCWOPJJKTZFDI-XCVCLJGOSA-N 0 0 287.319 2.701 20 5 CFBDRN O=C(C=C1CCC1)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000809227517 631101982 /nfs/dbraw/zinc/10/19/82/631101982.db2.gz ZXZVKTOHYRXEKK-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC(=O)[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000837926075 631151419 /nfs/dbraw/zinc/15/14/19/631151419.db2.gz GULKEJSIGUXJKK-CQSZACIVSA-N 0 0 293.319 2.695 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000837979796 631157337 /nfs/dbraw/zinc/15/73/37/631157337.db2.gz PVUDICBJWYAQHD-RKDXNWHRSA-N 0 0 255.295 2.746 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CC1(F)F ZINC000837982582 631158000 /nfs/dbraw/zinc/15/80/00/631158000.db2.gz WYGKFIFWLAQADR-QMMMGPOBSA-N 0 0 291.637 2.947 20 5 CFBDRN Cc1cn(C)nc1C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000840688966 631174783 /nfs/dbraw/zinc/17/47/83/631174783.db2.gz OKMCHFRNNVLMHI-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(C2CC2)C2CC2)c2nonc21 ZINC000042374356 649998677 /nfs/dbraw/zinc/99/86/77/649998677.db2.gz GKBDRJOITQOZLV-UHFFFAOYSA-N 0 0 274.280 2.732 20 5 CFBDRN Cc1cccc(C)c1[C@@H](C)OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841165575 631351149 /nfs/dbraw/zinc/35/11/49/631351149.db2.gz FYMQGOWSXUMNFT-IJLUTSLNSA-N 0 0 263.293 2.573 20 5 CFBDRN CC1(CCCCn2cc([N+](=O)[O-])c(C3CC3)n2)OCCO1 ZINC000840204335 631392667 /nfs/dbraw/zinc/39/26/67/631392667.db2.gz OMNFAIRGNKRUEM-UHFFFAOYSA-N 0 0 295.339 2.602 20 5 CFBDRN Cc1ccc(OC(=O)OCCCF)c([N+](=O)[O-])c1 ZINC000841476011 631412890 /nfs/dbraw/zinc/41/28/90/631412890.db2.gz CCGVUNXJXMQLBQ-UHFFFAOYSA-N 0 0 257.217 2.778 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@]1(C)CC1(Cl)Cl ZINC000840209627 631443584 /nfs/dbraw/zinc/44/35/84/631443584.db2.gz YMYIIHOAHIFBRN-SNVBAGLBSA-N 0 0 291.134 2.649 20 5 CFBDRN C[C@H](O)CSCc1cc([N+](=O)[O-])ccc1Cl ZINC000840211717 631451866 /nfs/dbraw/zinc/45/18/66/631451866.db2.gz QXJOAMRCKLLLHO-ZETCQYMHSA-N 0 0 261.730 2.862 20 5 CFBDRN Cc1cccc(C(=O)OCc2cccnc2C)c1[N+](=O)[O-] ZINC000810882582 631467636 /nfs/dbraw/zinc/46/76/36/631467636.db2.gz AMYLKKVIGWWQLV-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ncccc1COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000810890287 631469991 /nfs/dbraw/zinc/46/99/91/631469991.db2.gz TYPMYVCIJXXISV-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN COC[C@@H](C)OC(=O)Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000867275142 631474620 /nfs/dbraw/zinc/47/46/20/631474620.db2.gz OVAUOKPQVUXAFB-SSDOTTSWSA-N 0 0 289.671 2.799 20 5 CFBDRN CC(C)c1nnc(COc2ccc(N)c([N+](=O)[O-])c2)s1 ZINC000842027088 631505065 /nfs/dbraw/zinc/50/50/65/631505065.db2.gz XIRWJOVYSRNTCT-UHFFFAOYSA-N 0 0 294.336 2.731 20 5 CFBDRN CN(CCC(=O)OC(C)(C)C)c1cccc(F)c1[N+](=O)[O-] ZINC000842031518 631507192 /nfs/dbraw/zinc/50/71/92/631507192.db2.gz COYHHVZMFFLTLM-UHFFFAOYSA-N 0 0 298.314 2.902 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)CC(=O)OC(C)(C)C ZINC000842036743 631509378 /nfs/dbraw/zinc/50/93/78/631509378.db2.gz WLAGREMGSKRTHY-UHFFFAOYSA-N 0 0 280.324 2.681 20 5 CFBDRN C[C@@H]1[C@H](C)CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])[C@H]1C ZINC000842048854 631512944 /nfs/dbraw/zinc/51/29/44/631512944.db2.gz LNMUWXQFEZZSEU-BBBLOLIVSA-N 0 0 279.296 2.984 20 5 CFBDRN CCNc1ccc(C(=O)OC[C@H](CC)OC)cc1[N+](=O)[O-] ZINC000842055357 631515798 /nfs/dbraw/zinc/51/57/98/631515798.db2.gz JDNOSKYHKFEXGF-NSHDSACASA-N 0 0 296.323 2.608 20 5 CFBDRN CC[C@H](COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)OC ZINC000842055315 631515905 /nfs/dbraw/zinc/51/59/05/631515905.db2.gz IBEGILAEOFDTDZ-SECBINFHSA-N 0 0 287.699 2.830 20 5 CFBDRN Cc1cc(C(=O)O[C@@H]2CCC(C)(C)C2=O)cc([N+](=O)[O-])c1 ZINC000840224899 631517683 /nfs/dbraw/zinc/51/76/83/631517683.db2.gz JLCIMRQLXPSSBQ-GFCCVEGCSA-N 0 0 291.303 2.818 20 5 CFBDRN CC[C@@H](COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)OC ZINC000842061610 631520069 /nfs/dbraw/zinc/52/00/69/631520069.db2.gz GEANOYJQWSVTEX-JTQLQIEISA-N 0 0 292.291 2.658 20 5 CFBDRN Cc1c(CONC(=O)CC(C)(C)C)cccc1[N+](=O)[O-] ZINC000842063191 631521051 /nfs/dbraw/zinc/52/10/51/631521051.db2.gz JOTIHGYDUSFIDI-UHFFFAOYSA-N 0 0 280.324 2.887 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCc1cncs1 ZINC000811126836 631522723 /nfs/dbraw/zinc/52/27/23/631522723.db2.gz APVIHAQIGCOHFS-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CCc1ccc(C(=O)OC[C@H](CC)OC)cc1[N+](=O)[O-] ZINC000842082631 631529748 /nfs/dbraw/zinc/52/97/48/631529748.db2.gz ONMOXFQPHFAEKG-LBPRGKRZSA-N 0 0 281.308 2.739 20 5 CFBDRN CC[C@H](COC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)OC ZINC000842086649 631533326 /nfs/dbraw/zinc/53/33/26/631533326.db2.gz YPYLRBHWLXVOTC-SECBINFHSA-N 0 0 292.291 2.658 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000842114024 631546557 /nfs/dbraw/zinc/54/65/57/631546557.db2.gz PPUMQMGZFGNIEO-AWEZNQCLSA-N 0 0 295.335 2.742 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1conc1C1CC1 ZINC000811319409 631551605 /nfs/dbraw/zinc/55/16/05/631551605.db2.gz PMXSSMBMULHNQP-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN COc1ccc(COC(=O)C2(CCF)CC2)cc1[N+](=O)[O-] ZINC000811339074 631553715 /nfs/dbraw/zinc/55/37/15/631553715.db2.gz QTVYGMLIUXLYPY-UHFFFAOYSA-N 0 0 297.282 2.786 20 5 CFBDRN C[C@H](Cc1ccc(Cl)s1)OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842328517 631593839 /nfs/dbraw/zinc/59/38/39/631593839.db2.gz ZESJAWJOGDMPTJ-FTLITQJKSA-N 0 0 289.740 2.541 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CC(C)(C)Oc2ccccc21)[N+](=O)[O-] ZINC000842363538 631606600 /nfs/dbraw/zinc/60/66/00/631606600.db2.gz KIVBDLGUMKPTCO-ZYHUDNBSSA-N 0 0 293.319 2.540 20 5 CFBDRN C[C@@H](COC(=O)[C@@H]1C[C@H]1c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000842364249 631606672 /nfs/dbraw/zinc/60/66/72/631606672.db2.gz UWOSNRLWCHNPOD-KPXOXKRLSA-N 0 0 283.711 2.652 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H]1CC(C)(C)Oc2ccccc21 ZINC000842366988 631608569 /nfs/dbraw/zinc/60/85/69/631608569.db2.gz FWSVQZRVGVSVIE-CMPLNLGQSA-N 0 0 293.319 2.540 20 5 CFBDRN Cc1cc(NC[C@H](O)C(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000811807449 631624739 /nfs/dbraw/zinc/62/47/39/631624739.db2.gz WAWLTKBIJYGLSF-LBPRGKRZSA-N 0 0 272.732 2.985 20 5 CFBDRN C[C@@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)CC(F)F ZINC000842436185 631627793 /nfs/dbraw/zinc/62/77/93/631627793.db2.gz AMPGLWPNLLYDAW-SECBINFHSA-N 0 0 287.262 2.972 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc2c(c1)CCOC2)[N+](=O)[O-] ZINC000855858969 635673941 /nfs/dbraw/zinc/67/39/41/635673941.db2.gz MJFITJPKZBIKRC-UHFFFAOYSA-N 0 0 292.335 2.533 20 5 CFBDRN Cc1nnc(CNc2cc(C)c([N+](=O)[O-])cc2Cl)o1 ZINC000840243928 631646874 /nfs/dbraw/zinc/64/68/74/631646874.db2.gz DBKVDSQLCVQWHT-UHFFFAOYSA-N 0 0 282.687 2.860 20 5 CFBDRN O=C(Oc1cc(Cl)cc(Cl)c1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840246434 631665836 /nfs/dbraw/zinc/66/58/36/631665836.db2.gz MDUBODYGLCFVAM-RKDXNWHRSA-N 0 0 276.075 2.564 20 5 CFBDRN CCc1csc(=O)n1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000842585437 631673661 /nfs/dbraw/zinc/67/36/61/631673661.db2.gz CWZZLNIJZGINLV-UHFFFAOYSA-N 0 0 282.296 2.568 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2oc(C)nc2C)c1 ZINC000842654815 631694427 /nfs/dbraw/zinc/69/44/27/631694427.db2.gz FURZPBDMHRPMCS-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN CCCC(=O)COc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842659849 631695215 /nfs/dbraw/zinc/69/52/15/631695215.db2.gz DQFOUGKYWMDGRY-UHFFFAOYSA-N 0 0 295.188 2.899 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ncc3c(n2)CCCC3)c1 ZINC000840250772 631695321 /nfs/dbraw/zinc/69/53/21/631695321.db2.gz RPNHCIYRHZEWSB-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN CC[C@H](COc1c(F)c(F)c([N+](=O)[O-])c(F)c1F)OC ZINC000842663345 631696114 /nfs/dbraw/zinc/69/61/14/631696114.db2.gz ZQESZCKAKGDESQ-RXMQYKEDSA-N 0 0 297.204 2.955 20 5 CFBDRN CC[C@@H](COc1c(F)c(F)c([N+](=O)[O-])c(F)c1F)OC ZINC000842663346 631696330 /nfs/dbraw/zinc/69/63/30/631696330.db2.gz ZQESZCKAKGDESQ-YFKPBYRVSA-N 0 0 297.204 2.955 20 5 CFBDRN CC(C)(O)CCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842663843 631696674 /nfs/dbraw/zinc/69/66/74/631696674.db2.gz KWYQQSMENKPZDN-UHFFFAOYSA-N 0 0 297.204 2.691 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NOCCOC(C)C)c1 ZINC000812488498 631725203 /nfs/dbraw/zinc/72/52/03/631725203.db2.gz GACSLDWLVJOBNT-UHFFFAOYSA-N 0 0 254.286 2.672 20 5 CFBDRN CC(C)OCCONc1ccc([N+](=O)[O-])c2cccnc12 ZINC000812489388 631725945 /nfs/dbraw/zinc/72/59/45/631725945.db2.gz YWHBZIYBWOHFBS-UHFFFAOYSA-N 0 0 291.307 2.912 20 5 CFBDRN C/C(=C/C(=O)NCCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000812556550 631734511 /nfs/dbraw/zinc/73/45/11/631734511.db2.gz NQFXWWHBQUXUMK-KHPPLWFESA-N 0 0 280.299 2.864 20 5 CFBDRN COC[C@H](C)OC(=O)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC000867307465 631770937 /nfs/dbraw/zinc/77/09/37/631770937.db2.gz RBEDAURZYMBIMT-ZETCQYMHSA-N 0 0 289.671 2.799 20 5 CFBDRN C[C@@H]1CC[C@H](CCc2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812813151 631789559 /nfs/dbraw/zinc/78/95/59/631789559.db2.gz DAHNFXDAIQFAHJ-GHMZBOCLSA-N 0 0 297.355 2.558 20 5 CFBDRN CC(C)CCc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812813689 631789676 /nfs/dbraw/zinc/78/96/76/631789676.db2.gz AHSYCXNPUSMLHZ-UHFFFAOYSA-N 0 0 255.318 2.646 20 5 CFBDRN C[C@H](OCC1CC1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812816271 631790816 /nfs/dbraw/zinc/79/08/16/631790816.db2.gz OLBRXYIQALKZNP-VIFPVBQESA-N 0 0 283.328 2.545 20 5 CFBDRN CCCCC[C@H](Nc1ncc([N+](=O)[O-])cc1F)C(=O)OC ZINC000840272182 631808110 /nfs/dbraw/zinc/80/81/10/631808110.db2.gz LISWUOWQKBQQNK-NSHDSACASA-N 0 0 299.302 2.663 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000813074959 631826226 /nfs/dbraw/zinc/82/62/26/631826226.db2.gz QUPBBNWMAGRXCI-BDAKNGLRSA-N 0 0 285.271 2.558 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000813076919 631828000 /nfs/dbraw/zinc/82/80/00/631828000.db2.gz ROJIHPJLVYJLIS-NEPJUHHUSA-N 0 0 295.335 2.599 20 5 CFBDRN C[C@@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000813102473 631837955 /nfs/dbraw/zinc/83/79/55/631837955.db2.gz GAUQVULFRRWOAO-UWVGGRQHSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@@H](COC(=O)C1(Cc2ccccc2)CCC1)[N+](=O)[O-] ZINC000813103306 631838356 /nfs/dbraw/zinc/83/83/56/631838356.db2.gz ZVDSCRDQKBBCRM-LBPRGKRZSA-N 0 0 277.320 2.608 20 5 CFBDRN C[C@H](COC(=O)CC/C=C/c1ccccc1)[N+](=O)[O-] ZINC000813104002 631839626 /nfs/dbraw/zinc/83/96/26/631839626.db2.gz VZADISWTLDNYQZ-BIPYJSMBSA-N 0 0 263.293 2.688 20 5 CFBDRN CC(C)c1cccc(O[C@@H](C)C(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000813104817 631840255 /nfs/dbraw/zinc/84/02/55/631840255.db2.gz RYCAKDBFXWPJSL-NEPJUHHUSA-N 0 0 295.335 2.786 20 5 CFBDRN Cc1ccc(SCCC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813106938 631841303 /nfs/dbraw/zinc/84/13/03/631841303.db2.gz IEPAYAATWVGJGJ-NSHDSACASA-N 0 0 283.349 2.686 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(-c2ccco2)s1)[N+](=O)[O-] ZINC000813107281 631841802 /nfs/dbraw/zinc/84/18/02/631841802.db2.gz OKGIRQRRTWHLAU-QMMMGPOBSA-N 0 0 281.289 2.830 20 5 CFBDRN Cc1c(C(=O)OC[C@@H](C)[N+](=O)[O-])sc2nccc(C)c12 ZINC000813107470 631841828 /nfs/dbraw/zinc/84/18/28/631841828.db2.gz OEABBDJMSFWUIB-MRVPVSSYSA-N 0 0 294.332 2.735 20 5 CFBDRN C[C@@H](COC(=O)Cc1c[nH]c2cc(Cl)ccc12)[N+](=O)[O-] ZINC000813106940 631842282 /nfs/dbraw/zinc/84/22/82/631842282.db2.gz IESCZTHPIPNTOS-QMMMGPOBSA-N 0 0 296.710 2.572 20 5 CFBDRN CC(C)[C@@H](C(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000813109305 631842913 /nfs/dbraw/zinc/84/29/13/631842913.db2.gz HSACBXXHUOAADF-GXFFZTMASA-N 0 0 283.299 2.774 20 5 CFBDRN COc1ccc2oc(C)c(C(=O)OC[C@H](C)[N+](=O)[O-])c2c1 ZINC000813110100 631842986 /nfs/dbraw/zinc/84/29/86/631842986.db2.gz SFNYVHBXZROBMN-QMMMGPOBSA-N 0 0 293.275 2.572 20 5 CFBDRN C[C@@H](COC(=O)c1cccc2ccccc21)[N+](=O)[O-] ZINC000813111294 631844380 /nfs/dbraw/zinc/84/43/80/631844380.db2.gz GDIUBNOEPVYZAC-JTQLQIEISA-N 0 0 259.261 2.662 20 5 CFBDRN Cc1ccc(CCCCC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813112732 631845281 /nfs/dbraw/zinc/84/52/81/631845281.db2.gz KDCSALZCNYDEFS-ZDUSSCGKSA-N 0 0 279.336 2.916 20 5 CFBDRN C[C@@H](COC(=O)c1cc(Cl)sc1Cl)[N+](=O)[O-] ZINC000813114883 631845773 /nfs/dbraw/zinc/84/57/73/631845773.db2.gz IKDOEPOMAVUQNJ-BYPYZUCNSA-N 0 0 284.120 2.877 20 5 CFBDRN C[C@@H](CSc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813115712 631845891 /nfs/dbraw/zinc/84/58/91/631845891.db2.gz YNRWDFDPEJLJRB-QWRGUYRKSA-N 0 0 283.349 2.623 20 5 CFBDRN CC[C@H](C)Oc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813114190 631846143 /nfs/dbraw/zinc/84/61/43/631846143.db2.gz ZTXKYOGJHNALQZ-MNOVXSKESA-N 0 0 281.308 2.686 20 5 CFBDRN CO[C@](C)(COC(=O)c1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000813186047 631875617 /nfs/dbraw/zinc/87/56/17/631875617.db2.gz MOMFRKQYXBAZOJ-OAHLLOKOSA-N 0 0 293.319 2.875 20 5 CFBDRN CO[C@@](C)(COC(=O)c1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000813185400 631875703 /nfs/dbraw/zinc/87/57/03/631875703.db2.gz DNTAVZQDTFUVNK-HNNXBMFYSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CO1 ZINC000813246933 631891194 /nfs/dbraw/zinc/89/11/94/631891194.db2.gz SICAQZHJHFDPOD-SFYZADRCSA-N 0 0 284.699 2.612 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CO1 ZINC000813246839 631891201 /nfs/dbraw/zinc/89/12/01/631891201.db2.gz SICAQZHJHFDPOD-HTQZYQBOSA-N 0 0 284.699 2.612 20 5 CFBDRN CC(=Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(=O)[nH]c1 ZINC000813302114 631897901 /nfs/dbraw/zinc/89/79/01/631897901.db2.gz TZCAHQBPSGMDNV-NTMALXAHSA-N 0 0 299.286 2.737 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000813428425 631920110 /nfs/dbraw/zinc/92/01/10/631920110.db2.gz XAUGOLTXYBPVML-JTQLQIEISA-N 0 0 279.340 2.794 20 5 CFBDRN CCCc1noc(CNc2cc([N+](=O)[O-])ccc2NC)n1 ZINC000843297681 631921294 /nfs/dbraw/zinc/92/12/94/631921294.db2.gz JPERUPVILBOMBI-UHFFFAOYSA-N 0 0 291.311 2.584 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCC[C@H]1CCCO1 ZINC000843300053 631921765 /nfs/dbraw/zinc/92/17/65/631921765.db2.gz CVDBTMWREUXCHB-LLVKDONJSA-N 0 0 265.313 2.618 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCc1cccnc1F ZINC000813606462 631941082 /nfs/dbraw/zinc/94/10/82/631941082.db2.gz ZEIYHLSPFVOXTC-UHFFFAOYSA-N 0 0 298.277 2.711 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1CC=CCC1 ZINC000843514839 631972763 /nfs/dbraw/zinc/97/27/63/631972763.db2.gz ISGUDZHJLRZWHF-VIFPVBQESA-N 0 0 296.273 2.846 20 5 CFBDRN CON(CC(C)(C)C)c1ncc([N+](=O)[O-])cc1F ZINC000840303905 631974098 /nfs/dbraw/zinc/97/40/98/631974098.db2.gz GAZIXXDWCFUIAC-UHFFFAOYSA-N 0 0 257.265 2.543 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1(C(F)F)CC1)CC2 ZINC000843573098 631992426 /nfs/dbraw/zinc/99/24/26/631992426.db2.gz WENGESFNRZDEMP-UHFFFAOYSA-N 0 0 296.273 2.838 20 5 CFBDRN CC[C@H](Sc1ccccc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814034434 631997051 /nfs/dbraw/zinc/99/70/51/631997051.db2.gz IBQSGNDGEBCZRG-JQWIXIFHSA-N 0 0 283.349 2.766 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1CCC(C(F)(F)F)CC1 ZINC000814036370 631997765 /nfs/dbraw/zinc/99/77/65/631997765.db2.gz WKNUIROKGDHZMI-UEJVZZJDSA-N 0 0 283.246 2.564 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC(C)(C)c1ccccc1 ZINC000814036511 631997885 /nfs/dbraw/zinc/99/78/85/631997885.db2.gz YZWVEFUGCLGAAI-LLVKDONJSA-N 0 0 265.309 2.563 20 5 CFBDRN CCc1ccc(C(C)(C)C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814036339 631998015 /nfs/dbraw/zinc/99/80/15/631998015.db2.gz VYVLZFSWXLSEOP-LLVKDONJSA-N 0 0 279.336 2.735 20 5 CFBDRN Cc1ccc2c(CCC(=O)O[C@@H](C)C[N+](=O)[O-])c[nH]c2c1 ZINC000814038676 631998760 /nfs/dbraw/zinc/99/87/60/631998760.db2.gz SJAWKFUJGDXWBC-NSHDSACASA-N 0 0 290.319 2.617 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(c2ccc(F)cc2F)CCC1 ZINC000814039650 631998843 /nfs/dbraw/zinc/99/88/43/631998843.db2.gz VFGDZYSMWCVHEI-SECBINFHSA-N 0 0 299.273 2.595 20 5 CFBDRN Cc1[nH]c2ccc(Cl)cc2c1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814041391 631999366 /nfs/dbraw/zinc/99/93/66/631999366.db2.gz SFBVWOZYMYAYCE-ZETCQYMHSA-N 0 0 296.710 2.952 20 5 CFBDRN Cc1ccc(SCCC(=O)O[C@H](C)C[N+](=O)[O-])cc1C ZINC000814040756 631999399 /nfs/dbraw/zinc/99/93/99/631999399.db2.gz KUAAPCQCQKYZGX-GFCCVEGCSA-N 0 0 297.376 2.994 20 5 CFBDRN Cc1c2cccc(C)c2oc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814041057 631999454 /nfs/dbraw/zinc/99/94/54/631999454.db2.gz OLLJJHOJSRIGIF-SECBINFHSA-N 0 0 277.276 2.872 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1sccc1SC(F)F ZINC000814041023 631999572 /nfs/dbraw/zinc/99/95/72/631999572.db2.gz NYXPKZJJOVQEHU-RXMQYKEDSA-N 0 0 297.304 2.885 20 5 CFBDRN COc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])c2ccccc12 ZINC000814043596 632000130 /nfs/dbraw/zinc/00/01/30/632000130.db2.gz GLYJQXIUPIZBNA-JTQLQIEISA-N 0 0 289.287 2.670 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(c2cccc(F)c2)CCCC1 ZINC000814043093 632000172 /nfs/dbraw/zinc/00/01/72/632000172.db2.gz SXGXQSOOUJOGOB-LLVKDONJSA-N 0 0 295.310 2.846 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C/c1cnc(C(C)(C)C)s1 ZINC000814043929 632000408 /nfs/dbraw/zinc/00/04/08/632000408.db2.gz LYFIDQFJHKDEFK-VUHVRTRXSA-N 0 0 298.364 2.662 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)CCCc1ccccc1 ZINC000814044687 632000567 /nfs/dbraw/zinc/00/05/67/632000567.db2.gz IKRVSXSCPJKJIH-CHWSQXEVSA-N 0 0 279.336 2.854 20 5 CFBDRN CC(C)[C@H](C(=O)O[C@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814044015 632000618 /nfs/dbraw/zinc/00/06/18/632000618.db2.gz NCWMQIGDQJBNCD-YPMHNXCESA-N 0 0 265.309 2.635 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc(Cl)ccc1Cl ZINC000814047316 632000881 /nfs/dbraw/zinc/00/08/81/632000881.db2.gz SOFZBOPDZUJCIW-ZCFIWIBFSA-N 0 0 278.091 2.815 20 5 CFBDRN CC(C)COc1ccccc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814045532 632001152 /nfs/dbraw/zinc/00/11/52/632001152.db2.gz UODZJORPABUSBQ-NSHDSACASA-N 0 0 281.308 2.543 20 5 CFBDRN C/C(=C\C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccccc1Cl ZINC000814045671 632001280 /nfs/dbraw/zinc/00/12/80/632001280.db2.gz XFWFTFLICHRAJQ-PCYYEKQGSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCCc1c[nH]c2ccccc12 ZINC000814047970 632001482 /nfs/dbraw/zinc/00/14/82/632001482.db2.gz ZIFSIQLEMIZUIT-NSHDSACASA-N 0 0 290.319 2.699 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@H](O)c1ccccn1 ZINC000814367614 632056238 /nfs/dbraw/zinc/05/62/38/632056238.db2.gz SXCUXQYGVHGKET-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC2(CC(F)C2)C1 ZINC000843838257 632064828 /nfs/dbraw/zinc/06/48/28/632064828.db2.gz WXGLTOHJMZSBJY-UHFFFAOYSA-N 0 0 296.273 2.616 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C2CC2)C1 ZINC000843842115 632065767 /nfs/dbraw/zinc/06/57/67/632065767.db2.gz PHBYCTPUJYHXOO-NSHDSACASA-N 0 0 292.310 2.914 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1[C@H](C)C[C@H]1C ZINC000843873703 632069577 /nfs/dbraw/zinc/06/95/77/632069577.db2.gz QPBHRZHWDXTMFZ-HTQZYQBOSA-N 0 0 266.272 2.665 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC(C)(C)C1 ZINC000843872088 632069595 /nfs/dbraw/zinc/06/95/95/632069595.db2.gz IXTATZLIXCADMA-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC1(C)C ZINC000843887638 632071716 /nfs/dbraw/zinc/07/17/16/632071716.db2.gz YILLZBXKWIICIS-NSHDSACASA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC[C@@H](C)F ZINC000843895503 632073816 /nfs/dbraw/zinc/07/38/16/632073816.db2.gz QMFNFKTUFQPNIX-SSDOTTSWSA-N 0 0 272.251 2.520 20 5 CFBDRN CS[C@H]1CCN(C(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000843899816 632075100 /nfs/dbraw/zinc/07/51/00/632075100.db2.gz XXLGWJGFKYRFSV-VIFPVBQESA-N 0 0 298.339 2.620 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1C1CCC1 ZINC000843908199 632075905 /nfs/dbraw/zinc/07/59/05/632075905.db2.gz JBZFDQQRXGDAFM-CMPLNLGQSA-N 0 0 292.310 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000843911772 632076005 /nfs/dbraw/zinc/07/60/05/632076005.db2.gz OVWKJZNOXINOKM-ILWJIGKKSA-N 0 0 278.283 2.571 20 5 CFBDRN CN(CCC(C)(C)C)C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000844012165 632096141 /nfs/dbraw/zinc/09/61/41/632096141.db2.gz GTSFFJDVOBVENR-ZDUSSCGKSA-N 0 0 294.351 2.523 20 5 CFBDRN CCON(C(=O)CCNc1ccccc1[N+](=O)[O-])C(C)C ZINC000844081721 632111574 /nfs/dbraw/zinc/11/15/74/632111574.db2.gz HBMVAVQPFNPUHN-UHFFFAOYSA-N 0 0 295.339 2.585 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000844099701 632119212 /nfs/dbraw/zinc/11/92/12/632119212.db2.gz AKAXWFZXAJATDL-RBSFLKMASA-N 0 0 298.342 2.572 20 5 CFBDRN Cc1c(NC(=O)CCC(C)(C)[N+](=O)[O-])n[nH]c1C(C)C ZINC000844148526 632126809 /nfs/dbraw/zinc/12/68/09/632126809.db2.gz VSGCOYLDQLZMCJ-UHFFFAOYSA-N 0 0 282.344 2.616 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1cccc(C=O)c1Cl ZINC000814903730 632157491 /nfs/dbraw/zinc/15/74/91/632157491.db2.gz XVBSMSXNBFCBRP-UHFFFAOYSA-N 0 0 281.655 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C[C@@H](C)n1ccnc1 ZINC000844339366 632174033 /nfs/dbraw/zinc/17/40/33/632174033.db2.gz ZHRMQPUZPRNGTQ-LLVKDONJSA-N 0 0 289.291 2.656 20 5 CFBDRN CC[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000844389733 632191146 /nfs/dbraw/zinc/19/11/46/632191146.db2.gz PQPBUXQUVOQUBI-GXFFZTMASA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@H]1CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)[C@H]1C ZINC000815162059 632220914 /nfs/dbraw/zinc/22/09/14/632220914.db2.gz CBTMVOSHVNJDKR-IUCAKERBSA-N 0 0 261.281 2.576 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1C[C@H](C)[C@@H]1C ZINC000815164019 632221428 /nfs/dbraw/zinc/22/14/28/632221428.db2.gz UBFPCTPINALPGM-QWRGUYRKSA-N 0 0 264.325 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H]2[C@@H](C1)C2(F)F ZINC000844481946 632227706 /nfs/dbraw/zinc/22/77/06/632227706.db2.gz KWOQJLYINOLSHC-AOOOYVTPSA-N 0 0 297.261 2.632 20 5 CFBDRN COC/C(C)=C\C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000844517883 632237056 /nfs/dbraw/zinc/23/70/56/632237056.db2.gz LFECNOCAGYLUGG-VURMDHGXSA-N 0 0 282.271 2.574 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C(\C)COC ZINC000844538960 632241119 /nfs/dbraw/zinc/24/11/19/632241119.db2.gz GDUSTEDTJWIKPI-JXMROGBWSA-N 0 0 278.308 2.688 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1ncoc1C1CC1 ZINC000815323113 632250343 /nfs/dbraw/zinc/25/03/43/632250343.db2.gz QOTYJGQIXIXUHE-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1ncoc1C1CC1 ZINC000815324048 632250842 /nfs/dbraw/zinc/25/08/42/632250842.db2.gz BWSQLPREZJNNQN-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OCCC2(O)CC2)cc1[N+](=O)[O-] ZINC000815407117 632268865 /nfs/dbraw/zinc/26/88/65/632268865.db2.gz IGQIBWNLLNHREU-UHFFFAOYSA-N 0 0 299.710 2.628 20 5 CFBDRN CCc1cc(CN[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)on1 ZINC000815583190 632292132 /nfs/dbraw/zinc/29/21/32/632292132.db2.gz YYFWDBIOTKSXFQ-VIFPVBQESA-N 0 0 290.323 2.578 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)C(C)(F)F)c([N+](=O)[O-])c1 ZINC000815911974 632332529 /nfs/dbraw/zinc/33/25/29/632332529.db2.gz VAIZITIZHFHBHM-SSDOTTSWSA-N 0 0 289.234 2.800 20 5 CFBDRN Cc1nc(C(=O)OCCc2ccccc2[N+](=O)[O-])c(C)o1 ZINC000815983256 632346829 /nfs/dbraw/zinc/34/68/29/632346829.db2.gz VRKZEMBUEJOXLU-UHFFFAOYSA-N 0 0 290.275 2.599 20 5 CFBDRN CCc1nc(C(C)C)ccc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000816091192 632369815 /nfs/dbraw/zinc/36/98/15/632369815.db2.gz NSEGDCXHXITDBL-SNVBAGLBSA-N 0 0 280.324 2.589 20 5 CFBDRN Cc1ccoc1COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000816103989 632374192 /nfs/dbraw/zinc/37/41/92/632374192.db2.gz WWTDIONKQWJRKM-UHFFFAOYSA-N 0 0 291.259 2.618 20 5 CFBDRN Cc1cc(COC(=O)c2cccc([N+](=O)[O-])c2)co1 ZINC000816242493 632406679 /nfs/dbraw/zinc/40/66/79/632406679.db2.gz JUFHOACTAPTDEF-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN C[C@@H](C(=O)OC[C@@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000816426023 632453212 /nfs/dbraw/zinc/45/32/12/632453212.db2.gz RLBYLRLRYZYAMD-SCZZXKLOSA-N 0 0 285.246 2.897 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CC[C@H](F)C1 ZINC000845554542 632462185 /nfs/dbraw/zinc/46/21/85/632462185.db2.gz MCGLNPUASZKRFV-LURJTMIESA-N 0 0 262.643 2.936 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC000845578405 632468457 /nfs/dbraw/zinc/46/84/57/632468457.db2.gz WYSUMXYQCYIELK-RKDXNWHRSA-N 0 0 271.700 2.652 20 5 CFBDRN C[C@@H](COC(=O)/C=C\C1CCCCCC1)[N+](=O)[O-] ZINC000845577610 632468757 /nfs/dbraw/zinc/46/87/57/632468757.db2.gz XTRTZUQLUWFXJC-IQQGHNRFSA-N 0 0 255.314 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC(C2(O)CC2)CC1 ZINC000845603853 632480240 /nfs/dbraw/zinc/48/02/40/632480240.db2.gz OVOIRJWAMMQSBN-UHFFFAOYSA-N 0 0 296.754 2.990 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](OC(C)C)C2)n1 ZINC000845638768 632498895 /nfs/dbraw/zinc/49/88/95/632498895.db2.gz NUZMZVGYYLXCDQ-LBPRGKRZSA-N 0 0 279.340 2.692 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000845641342 632500288 /nfs/dbraw/zinc/50/02/88/632500288.db2.gz IQMLHCQPEKINAQ-SKDRFNHKSA-N 0 0 270.304 2.943 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@@](C)(O)c1ccccc1 ZINC000845654792 632506799 /nfs/dbraw/zinc/50/67/99/632506799.db2.gz GYCCPFHIZOFFBJ-IAQYHMDHSA-N 0 0 287.319 2.698 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C2CC2)C2CCOCC2)n1 ZINC000845658081 632508128 /nfs/dbraw/zinc/50/81/28/632508128.db2.gz CJVZDQXMEWTZAK-CQSZACIVSA-N 0 0 291.351 2.915 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)[C@H](C)CO1 ZINC000845661364 632509703 /nfs/dbraw/zinc/50/97/03/632509703.db2.gz MBSSBQMRAYBNKY-KOLCDFICSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000845661884 632509904 /nfs/dbraw/zinc/50/99/04/632509904.db2.gz RTAKGDULUOWFJT-MWLCHTKSSA-N 0 0 295.295 2.507 20 5 CFBDRN CCCNC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000005597433 632514568 /nfs/dbraw/zinc/51/45/68/632514568.db2.gz AYFBXUAUCSPOJU-UHFFFAOYSA-N 0 0 257.677 2.780 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC3(CC3(F)F)CC2)n1 ZINC000845686924 632518147 /nfs/dbraw/zinc/51/81/47/632518147.db2.gz JGLUPZNQRYKVAQ-UHFFFAOYSA-N 0 0 283.278 2.924 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000816980910 632544447 /nfs/dbraw/zinc/54/44/47/632544447.db2.gz WDJMVMHHYUWGMX-QMMMGPOBSA-N 0 0 284.287 2.750 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@]3(CC3(F)F)C2)cn1 ZINC000845743860 632549023 /nfs/dbraw/zinc/54/90/23/632549023.db2.gz YSJGKCUDXZKEKM-NSHDSACASA-N 0 0 269.251 2.615 20 5 CFBDRN CC[C@H](COC(=O)c1ccc([N+](=O)[O-])n1C)CC(F)F ZINC000845769535 632559453 /nfs/dbraw/zinc/55/94/53/632559453.db2.gz PWXPMASNNLSFHA-QMMMGPOBSA-N 0 0 290.266 2.772 20 5 CFBDRN CC[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3nonc32)CCO1 ZINC000817124556 632561770 /nfs/dbraw/zinc/56/17/70/632561770.db2.gz HMFDJYGYSUZBFW-BDAKNGLRSA-N 0 0 292.295 2.501 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000817125804 632561839 /nfs/dbraw/zinc/56/18/39/632561839.db2.gz OKPWALFACNLTKH-QWRGUYRKSA-N 0 0 265.313 2.547 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H](CCO)CC1 ZINC000817129363 632562746 /nfs/dbraw/zinc/56/27/46/632562746.db2.gz HVOAEAIHPITYBA-LLVKDONJSA-N 0 0 282.315 2.723 20 5 CFBDRN CON(C(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CCCC1 ZINC000867396233 632565236 /nfs/dbraw/zinc/56/52/36/632565236.db2.gz VXNSHDPUVYGUGW-UHFFFAOYSA-N 0 0 296.298 2.609 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1ccc([N+](=O)[O-])cc1F ZINC000845821466 632575214 /nfs/dbraw/zinc/57/52/14/632575214.db2.gz KXCXTKSFKXWFBU-MRVPVSSYSA-N 0 0 291.300 2.631 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@@H]1CC12CC2 ZINC000817230620 632576189 /nfs/dbraw/zinc/57/61/89/632576189.db2.gz KBNNILOYMTXXPL-VIFPVBQESA-N 0 0 265.240 2.577 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000817234416 632578061 /nfs/dbraw/zinc/57/80/61/632578061.db2.gz JEUQPTWGKSXMFF-BXKDBHETSA-N 0 0 261.277 2.999 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000817233822 632578195 /nfs/dbraw/zinc/57/81/95/632578195.db2.gz XHZCCGTZYOEVPI-KOLCDFICSA-N 0 0 261.277 2.999 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCCCF ZINC000817240002 632581673 /nfs/dbraw/zinc/58/16/73/632581673.db2.gz RKRPTVZNRFDOTA-UHFFFAOYSA-N 0 0 255.245 2.728 20 5 CFBDRN C[C@@]1(C(=O)OCCc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000817249277 632584654 /nfs/dbraw/zinc/58/46/54/632584654.db2.gz RLLFKOXMMDMUHD-LBPRGKRZSA-N 0 0 285.246 2.726 20 5 CFBDRN CCCC(=O)O[C@@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845873831 632589253 /nfs/dbraw/zinc/58/92/53/632589253.db2.gz WXJZRHPCIAJEON-TVQRCGJNSA-N 0 0 269.272 2.875 20 5 CFBDRN CC(C)OCC(=O)O[C@@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845878233 632589774 /nfs/dbraw/zinc/58/97/74/632589774.db2.gz VLUJQWZJXFCLCD-IINYFYTJSA-N 0 0 299.298 2.500 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CC[C@H]3C[C@H]3C2)n1)[N+](=O)[O-] ZINC000817396810 632619915 /nfs/dbraw/zinc/61/99/15/632619915.db2.gz IGHBZRLJHLOWGH-AXFHLTTASA-N 0 0 279.340 2.961 20 5 CFBDRN O=C(NOCCC1CC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000817416558 632626451 /nfs/dbraw/zinc/62/64/51/632626451.db2.gz LAUWGTSHJUWEQX-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN C[C@@]1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CC1(F)F ZINC000817423595 632629358 /nfs/dbraw/zinc/62/93/58/632629358.db2.gz OLQFMWMMQWJMLA-JTQLQIEISA-N 0 0 274.198 2.718 20 5 CFBDRN CCCOCCOC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817717488 632691291 /nfs/dbraw/zinc/69/12/91/632691291.db2.gz ZBJIIDQDRRKIIK-UHFFFAOYSA-N 0 0 281.308 2.795 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@@H]1CCO[C@H]1C ZINC000817726877 632695411 /nfs/dbraw/zinc/69/54/11/632695411.db2.gz JDDXQZNMKBQISZ-GXFFZTMASA-N 0 0 279.292 2.546 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2C[C@@H]3C[C@H]2CS3)c(F)c1 ZINC000840349584 632712462 /nfs/dbraw/zinc/71/24/62/632712462.db2.gz COJVVDTZAGLJHL-YUMQZZPRSA-N 0 0 272.276 2.567 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OCc1ccc(F)cc1 ZINC000817986090 632782276 /nfs/dbraw/zinc/78/22/76/632782276.db2.gz ILERGKOQUPCOMA-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN COCC[C@@H](C)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000840356588 632821627 /nfs/dbraw/zinc/82/16/27/632821627.db2.gz CQXMYHMFRGXNKC-SNVBAGLBSA-N 0 0 280.324 2.882 20 5 CFBDRN C[C@H](F)CCNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000818292184 632823516 /nfs/dbraw/zinc/82/35/16/632823516.db2.gz YIBXSTSGGXEOMM-QMMMGPOBSA-N 0 0 290.241 2.551 20 5 CFBDRN COCC[C@@H](C)CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000840358160 632831348 /nfs/dbraw/zinc/83/13/48/632831348.db2.gz NGUGQEOEYUNHTB-SNVBAGLBSA-N 0 0 280.324 2.882 20 5 CFBDRN CC(C)(CNC(=O)CCC(C)(C)[N+](=O)[O-])CC(F)(F)F ZINC000856518735 635831601 /nfs/dbraw/zinc/83/16/01/635831601.db2.gz VSIHGJAVKWVYPV-UHFFFAOYSA-N 0 0 298.305 2.917 20 5 CFBDRN C[C@H]1C[C@H](Nc2nc3ccccn3c2[N+](=O)[O-])CS1 ZINC000840364174 632870132 /nfs/dbraw/zinc/87/01/32/632870132.db2.gz AEGHYLGJWHTORW-IUCAKERBSA-N 0 0 278.337 2.548 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C/[C@H]1CCCO1 ZINC000819003823 632928388 /nfs/dbraw/zinc/92/83/88/632928388.db2.gz ZFWZECULLMASFA-BTDICHCPSA-N 0 0 290.319 2.885 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@@H]1OCc2cc(Cl)ccc21 ZINC000847716997 633053013 /nfs/dbraw/zinc/05/30/13/633053013.db2.gz UCZQVOCCONQDDU-PELKAZGASA-N 0 0 299.710 2.510 20 5 CFBDRN CN(Cc1ccc(F)cc1Cl)Cn1cc([N+](=O)[O-])cn1 ZINC000107093677 633063164 /nfs/dbraw/zinc/06/31/64/633063164.db2.gz ZESGIYRKXAVCOJ-UHFFFAOYSA-N 0 0 298.705 2.673 20 5 CFBDRN CCCC[C@@H](CC)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847781782 633076031 /nfs/dbraw/zinc/07/60/31/633076031.db2.gz LKDPIUADEPMHLG-SNVBAGLBSA-N 0 0 283.328 2.588 20 5 CFBDRN COC[C@H](C)CCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000847868228 633118803 /nfs/dbraw/zinc/11/88/03/633118803.db2.gz AYXBGZUWNYJDHH-VVEJJEBESA-N 0 0 293.319 2.824 20 5 CFBDRN COC[C@H](C)CCOC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000847873149 633121374 /nfs/dbraw/zinc/12/13/74/633121374.db2.gz RZKIXWUAKTXCGA-SNVBAGLBSA-N 0 0 281.308 2.733 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(CN2CC=CCC2)c1 ZINC000848483777 633264764 /nfs/dbraw/zinc/26/47/64/633264764.db2.gz QUUNMRCVFBJTHO-UHFFFAOYSA-N 0 0 284.319 2.678 20 5 CFBDRN CC[C@H]1CCN1Cn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000848491898 633266423 /nfs/dbraw/zinc/26/64/23/633266423.db2.gz ZWQKMGCZPZKFCM-ZDUSSCGKSA-N 0 0 286.335 2.900 20 5 CFBDRN Cc1c(CON=C(N)[C@@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000848523121 633276220 /nfs/dbraw/zinc/27/62/20/633276220.db2.gz YNBQHFAPQPCKFU-LDYMZIIASA-N 0 0 263.297 2.558 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2N[C@@H]1CCn2ccnc21 ZINC000848554600 633288091 /nfs/dbraw/zinc/28/80/91/633288091.db2.gz XPRKRUVQOZSVRO-QWHCGFSZSA-N 0 0 284.319 2.513 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H](C2CC2)[C@@H]2CCCCO2)c1 ZINC000848744453 633332404 /nfs/dbraw/zinc/33/24/04/633332404.db2.gz MZGVWESOVVIOOZ-LSDHHAIUSA-N 0 0 291.351 2.937 20 5 CFBDRN Cc1nc(COC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000848746574 633332795 /nfs/dbraw/zinc/33/27/95/633332795.db2.gz XSCFJFRGXPJWRT-VIFPVBQESA-N 0 0 290.275 2.738 20 5 CFBDRN Nc1nccc(C(=O)OCC2CCCCCC2)c1[N+](=O)[O-] ZINC000848788254 633343276 /nfs/dbraw/zinc/34/32/76/633343276.db2.gz WGJMWIBXNVHYEG-UHFFFAOYSA-N 0 0 293.323 2.699 20 5 CFBDRN C[C@@H]1CCC[C@@H](COC(=O)c2ccnc(N)c2[N+](=O)[O-])C1 ZINC000848787710 633343479 /nfs/dbraw/zinc/34/34/79/633343479.db2.gz RRZXSOWVOZAWNJ-NXEZZACHSA-N 0 0 293.323 2.555 20 5 CFBDRN CCCCCCOC(=O)c1ccnc(NC)c1[N+](=O)[O-] ZINC000848791759 633344356 /nfs/dbraw/zinc/34/43/56/633344356.db2.gz RHLRTGPVAUGYAA-UHFFFAOYSA-N 0 0 281.312 2.769 20 5 CFBDRN Nc1ccc(N2CC[C@@H](C3CCC3)C2)c([N+](=O)[O-])c1 ZINC000840391385 633399254 /nfs/dbraw/zinc/39/92/54/633399254.db2.gz IQIKSSPECSNRKS-LLVKDONJSA-N 0 0 261.325 2.803 20 5 CFBDRN CSCCCNc1nnc(-c2cccc([N+](=O)[O-])c2)o1 ZINC000849162503 633574056 /nfs/dbraw/zinc/57/40/56/633574056.db2.gz QSAGURQHCPMZKG-UHFFFAOYSA-N 0 0 294.336 2.810 20 5 CFBDRN Cc1ccccc1C(N)=NOCc1ccccc1[N+](=O)[O-] ZINC000849213446 633583177 /nfs/dbraw/zinc/58/31/77/633583177.db2.gz HYHXTHWMYXTDOH-UHFFFAOYSA-N 0 0 285.303 2.950 20 5 CFBDRN O=[N+]([O-])c1cc(NC(=S)NCC2CC2)ccc1Cl ZINC000849251264 633591355 /nfs/dbraw/zinc/59/13/55/633591355.db2.gz IDULWLVRADTTME-UHFFFAOYSA-N 0 0 285.756 2.945 20 5 CFBDRN O=[N+]([O-])c1cc(NCCO[C@H]2CC2(F)F)ccc1F ZINC000849312087 633612534 /nfs/dbraw/zinc/61/25/34/633612534.db2.gz XBYBPGVKZPPSKI-JTQLQIEISA-N 0 0 276.214 2.570 20 5 CFBDRN O=C(Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)OC1CCC1 ZINC000849416919 633632506 /nfs/dbraw/zinc/63/25/06/633632506.db2.gz FXHXBUVZCGZXMP-UHFFFAOYSA-N 0 0 282.208 2.571 20 5 CFBDRN CO[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000849626814 633644691 /nfs/dbraw/zinc/64/46/91/633644691.db2.gz AVHZRHASETVELD-AWEZNQCLSA-N 0 0 293.319 2.957 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000849630226 633647070 /nfs/dbraw/zinc/64/70/70/633647070.db2.gz ZPFNUEHZNAKOFY-SECBINFHSA-N 0 0 299.710 2.973 20 5 CFBDRN C[C@H](O)CCOC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000849660217 633661255 /nfs/dbraw/zinc/66/12/55/633661255.db2.gz PRLDWZRWPVCVHG-QMMMGPOBSA-N 0 0 295.316 2.737 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000849672035 633666718 /nfs/dbraw/zinc/66/67/18/633666718.db2.gz ZPOAITVUZMNNLD-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849696179 633678153 /nfs/dbraw/zinc/67/81/53/633678153.db2.gz DLPPDYXLJZRXEG-JTQLQIEISA-N 0 0 299.298 2.838 20 5 CFBDRN CO[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1F)C1CCC1 ZINC000849697968 633678569 /nfs/dbraw/zinc/67/85/69/633678569.db2.gz WHVLWLHHQHGMKC-ZDUSSCGKSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1nn(C)c(C(=O)OC[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000849713023 633684897 /nfs/dbraw/zinc/68/48/97/633684897.db2.gz UYSMCIGBEXUPOC-MWLCHTKSSA-N 0 0 295.339 2.620 20 5 CFBDRN C[C@H]1CCCC[C@H]1COC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000849719423 633688366 /nfs/dbraw/zinc/68/83/66/633688366.db2.gz SKZKLJSSIRNBKI-RYUDHWBXSA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCCS1 ZINC000849737587 633695662 /nfs/dbraw/zinc/69/56/62/633695662.db2.gz UYINZQZGVJTFMZ-NSHDSACASA-N 0 0 285.296 2.673 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])C1=CCCC1 ZINC000849743371 633698387 /nfs/dbraw/zinc/69/83/87/633698387.db2.gz DNJUKELJCPXQJZ-UHFFFAOYSA-N 0 0 265.240 2.887 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849746800 633700455 /nfs/dbraw/zinc/70/04/55/633700455.db2.gz VGLKANYFNJKZSW-SNVBAGLBSA-N 0 0 267.256 2.823 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)OCC[C@@H](C)O ZINC000849750394 633701589 /nfs/dbraw/zinc/70/15/89/633701589.db2.gz OXQFGKNKAVNTAD-SECBINFHSA-N 0 0 299.348 2.635 20 5 CFBDRN COCCC[C@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849754338 633703850 /nfs/dbraw/zinc/70/38/50/633703850.db2.gz PXDSKKABFJKONH-JTQLQIEISA-N 0 0 299.298 2.840 20 5 CFBDRN C/C(=C\C(=O)OC[C@@H](O)C(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000849755920 633705282 /nfs/dbraw/zinc/70/52/82/633705282.db2.gz DQPVLVFOZJZQPA-BMGYJQCNSA-N 0 0 293.319 2.558 20 5 CFBDRN CO[C@](C)(C(=O)OCc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000849780180 633716040 /nfs/dbraw/zinc/71/60/40/633716040.db2.gz PMQNPAHCMPFGNK-AWEZNQCLSA-N 0 0 297.282 2.592 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849807166 633729250 /nfs/dbraw/zinc/72/92/50/633729250.db2.gz NZVPCPVJYNMQAX-ZDUSSCGKSA-N 0 0 299.298 2.838 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2C=CCCC2)cc([N+](=O)[O-])c1 ZINC000849956310 633774919 /nfs/dbraw/zinc/77/49/19/633774919.db2.gz KRJWQNBVIATJCY-LLVKDONJSA-N 0 0 264.237 2.991 20 5 CFBDRN O=[N+]([O-])c1cc(OCC2=CCCC2)cc([N+](=O)[O-])c1 ZINC000849957427 633775170 /nfs/dbraw/zinc/77/51/70/633775170.db2.gz AELXOHZREAOIGM-UHFFFAOYSA-N 0 0 264.237 2.992 20 5 CFBDRN CO[C@H](C(=O)OCc1csc([N+](=O)[O-])c1)C1CCCC1 ZINC000850041337 633799834 /nfs/dbraw/zinc/79/98/34/633799834.db2.gz LGYGGNYJFUODDW-LBPRGKRZSA-N 0 0 299.348 2.905 20 5 CFBDRN C[C@@H](CC(=O)OCc1csc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000850081152 633826985 /nfs/dbraw/zinc/82/69/85/633826985.db2.gz MCJWDGNXWCKOEO-ONGXEEELSA-N 0 0 299.348 2.905 20 5 CFBDRN C[C@H](CC(=O)OCc1csc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000850081150 633827613 /nfs/dbraw/zinc/82/76/13/633827613.db2.gz MCJWDGNXWCKOEO-KOLCDFICSA-N 0 0 299.348 2.905 20 5 CFBDRN C[C@H]1CO[C@@H](C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000885575284 633923430 /nfs/dbraw/zinc/92/34/30/633923430.db2.gz XQFFUEGXEKRLKU-PRHODGIISA-N 0 0 299.710 2.716 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2C)C1 ZINC000850769956 634085163 /nfs/dbraw/zinc/08/51/63/634085163.db2.gz KOMNGSAFTVQPBP-WDEREUQCSA-N 0 0 279.292 2.624 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2CC[C@H](OC)C2)c([N+](=O)[O-])c1 ZINC000850847953 634108995 /nfs/dbraw/zinc/10/89/95/634108995.db2.gz LHVHHIJUNZUWLG-NEPJUHHUSA-N 0 0 293.319 2.878 20 5 CFBDRN CCc1ccc(OC(=O)[C@H]2CC[C@H](OC)C2)c([N+](=O)[O-])c1 ZINC000850847955 634109261 /nfs/dbraw/zinc/10/92/61/634109261.db2.gz LHVHHIJUNZUWLG-RYUDHWBXSA-N 0 0 293.319 2.878 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000850984597 634167487 /nfs/dbraw/zinc/16/74/87/634167487.db2.gz IIJJKICXJJWRTI-JLLWLGSASA-N 0 0 291.303 2.945 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCC[C@@H]1Cc1ccccc1)[N+](=O)[O-] ZINC000851037348 634189959 /nfs/dbraw/zinc/18/99/59/634189959.db2.gz UGAHPLKRAOXGSY-BPLDGKMQSA-N 0 0 291.347 2.854 20 5 CFBDRN CC(C)c1cccc(C(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000851044673 634194279 /nfs/dbraw/zinc/19/42/79/634194279.db2.gz GYSCZCXHHNJSKP-SNVBAGLBSA-N 0 0 251.282 2.632 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H]1CCC[C@H]1Cc1ccccc1 ZINC000851046324 634194983 /nfs/dbraw/zinc/19/49/83/634194983.db2.gz PKIZYAMGXFTXOG-SNPRPXQTSA-N 0 0 291.347 2.854 20 5 CFBDRN Cc1ccc(COC(=O)CCCF)cc1[N+](=O)[O-] ZINC000851052949 634198015 /nfs/dbraw/zinc/19/80/15/634198015.db2.gz HAECFQZJZCJCCZ-UHFFFAOYSA-N 0 0 255.245 2.696 20 5 CFBDRN C[C@@H]([C@@H](OC(=O)CCCF)c1ccccc1F)[N+](=O)[O-] ZINC000851109364 634226242 /nfs/dbraw/zinc/22/62/42/634226242.db2.gz XGRNFQCIECLGIW-TVQRCGJNSA-N 0 0 287.262 2.825 20 5 CFBDRN CC(C)c1ccc(OCC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851122944 634234464 /nfs/dbraw/zinc/23/44/64/634234464.db2.gz LMFGUVFBZKWAGN-UHFFFAOYSA-N 0 0 295.335 2.787 20 5 CFBDRN Cc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])c(C)s1 ZINC000851123029 634234479 /nfs/dbraw/zinc/23/44/79/634234479.db2.gz MYRRTZFSEVPJAI-UHFFFAOYSA-N 0 0 257.311 2.577 20 5 CFBDRN Cc1c2ccccc2oc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851123699 634234730 /nfs/dbraw/zinc/23/47/30/634234730.db2.gz UMKLAGYWAOPQPR-UHFFFAOYSA-N 0 0 277.276 2.953 20 5 CFBDRN Cc1ccc(C)c(C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851122657 634234917 /nfs/dbraw/zinc/23/49/17/634234917.db2.gz HIPHLPTZAGSJEO-UHFFFAOYSA-N 0 0 251.282 2.516 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCC[C@@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000851124823 634235436 /nfs/dbraw/zinc/23/54/36/634235436.db2.gz MMCFQZUBTJKDNG-RKDXNWHRSA-N 0 0 297.273 2.954 20 5 CFBDRN Cc1ccc(OCCC(=O)OCC(C)(C)[N+](=O)[O-])c(C)c1 ZINC000851125131 634235981 /nfs/dbraw/zinc/23/59/81/634235981.db2.gz YOZJZGDWJDCUOM-UHFFFAOYSA-N 0 0 295.335 2.671 20 5 CFBDRN CC(C)(COC(=O)/C=C\SCc1ccco1)[N+](=O)[O-] ZINC000851126964 634236675 /nfs/dbraw/zinc/23/66/75/634236675.db2.gz SFQDINGLFJRJRY-ALCCZGGFSA-N 0 0 285.321 2.625 20 5 CFBDRN CCCN(C)c1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851126292 634236891 /nfs/dbraw/zinc/23/68/91/634236891.db2.gz MUGVFXMHNSTOER-UHFFFAOYSA-N 0 0 294.351 2.745 20 5 CFBDRN Cc1cc(C)cc(CCC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851127151 634237753 /nfs/dbraw/zinc/23/77/53/634237753.db2.gz KUBDGJFDMNQUKM-UHFFFAOYSA-N 0 0 279.336 2.835 20 5 CFBDRN Cc1oc2ccccc2c1CC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851128068 634237777 /nfs/dbraw/zinc/23/77/77/634237777.db2.gz HIIMCBBHEUNIAX-UHFFFAOYSA-N 0 0 291.303 2.882 20 5 CFBDRN C[C@@H]1[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])[C@H]1c1ccccc1 ZINC000851129359 634238433 /nfs/dbraw/zinc/23/84/33/634238433.db2.gz KURXKWZOZIQOBM-UHTWSYAYSA-N 0 0 277.320 2.635 20 5 CFBDRN CSc1c(F)cccc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851130151 634239746 /nfs/dbraw/zinc/23/97/46/634239746.db2.gz RTYPNVLXHLGGAO-UHFFFAOYSA-N 0 0 287.312 2.760 20 5 CFBDRN O=C(OC[C@@H]1CCC[C@@H](C(F)(F)F)C1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000851158720 634252055 /nfs/dbraw/zinc/25/20/55/634252055.db2.gz ZRXLBEWRVVLGMT-ZYUZMQFOSA-N 0 0 295.257 2.564 20 5 CFBDRN Cc1ncc(COC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000851446873 634365351 /nfs/dbraw/zinc/36/53/51/634365351.db2.gz WVCIBRPOLWIIJM-VIFPVBQESA-N 0 0 290.275 2.738 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCC1SCCS1 ZINC000853012638 634874761 /nfs/dbraw/zinc/87/47/61/634874761.db2.gz DHQLDNDGWWJNBQ-UHFFFAOYSA-N 0 0 272.351 2.565 20 5 CFBDRN Cc1csc(SCc2onc(C)c2[N+](=O)[O-])n1 ZINC000853012651 634874868 /nfs/dbraw/zinc/87/48/68/634874868.db2.gz DXVGKLCCYDWLNU-UHFFFAOYSA-N 0 0 271.323 2.948 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N2CC[C@H]3C[C@H]3C2)cc1 ZINC000853027459 634881582 /nfs/dbraw/zinc/88/15/82/634881582.db2.gz TZILGNDVVAQVNM-UWVGGRQHSA-N 0 0 277.349 2.633 20 5 CFBDRN COc1ccc(NCc2onc(C)c2[N+](=O)[O-])cc1 ZINC000853057072 634891673 /nfs/dbraw/zinc/89/16/73/634891673.db2.gz LOKVDKGGJGPZSS-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CC1(C)CCCC[C@@H]1COC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000853159399 634921619 /nfs/dbraw/zinc/92/16/19/634921619.db2.gz GTFTXVIJBALWPT-LLVKDONJSA-N 0 0 295.339 2.551 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCCCC2(C)C)n1 ZINC000853160712 634922284 /nfs/dbraw/zinc/92/22/84/634922284.db2.gz YTPXRNALXOZXIR-JTQLQIEISA-N 0 0 295.339 2.702 20 5 CFBDRN Cc1cc(NCCCNc2ncccn2)c([N+](=O)[O-])s1 ZINC000853197914 634932741 /nfs/dbraw/zinc/93/27/41/634932741.db2.gz GCWVFNZSPSMZPU-UHFFFAOYSA-N 0 0 293.352 2.669 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(c1cnc(F)cn1)C1CC1 ZINC000853455248 635003647 /nfs/dbraw/zinc/00/36/47/635003647.db2.gz UWLGOGLZXQJVBG-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@@H](OC)C2)c([N+](=O)[O-])cc1C ZINC000853516245 635025396 /nfs/dbraw/zinc/02/53/96/635025396.db2.gz SDTOWCXJAUNKKN-BONVTDFDSA-N 0 0 294.351 2.773 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)c(C)cc2[N+](=O)[O-])CCCO1 ZINC000853569147 635037892 /nfs/dbraw/zinc/03/78/92/635037892.db2.gz KDHKETOQCCPOHH-LBPRGKRZSA-N 0 0 294.351 2.917 20 5 CFBDRN COc1cc(N(C)[C@@H]2CCCOC2)c([N+](=O)[O-])cc1C ZINC000853613903 635049273 /nfs/dbraw/zinc/04/92/73/635049273.db2.gz ROWHRJUSLZGFGF-LLVKDONJSA-N 0 0 280.324 2.527 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1CCn2cncc2C1 ZINC000853638094 635056447 /nfs/dbraw/zinc/05/64/47/635056447.db2.gz ZHZTWBYDTZSWQT-UHFFFAOYSA-N 0 0 294.261 2.749 20 5 CFBDRN CC1=NO[C@@H](CNc2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000853661245 635065689 /nfs/dbraw/zinc/06/56/89/635065689.db2.gz LFXWSINMNYCCNI-SECBINFHSA-N 0 0 267.260 2.619 20 5 CFBDRN COc1cc(N2CC[C@@H](CCCO)C2)c([N+](=O)[O-])cc1C ZINC000853676044 635071760 /nfs/dbraw/zinc/07/17/60/635071760.db2.gz BBYRRTFGQBHJJU-GFCCVEGCSA-N 0 0 294.351 2.511 20 5 CFBDRN COC(OC)[C@@H](C)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000853681179 635072949 /nfs/dbraw/zinc/07/29/49/635072949.db2.gz PHMUKRCCFCQMJQ-SSDOTTSWSA-N 0 0 297.336 2.624 20 5 CFBDRN COC(OC)[C@H](C)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000853680846 635073292 /nfs/dbraw/zinc/07/32/92/635073292.db2.gz LTZRIOOXNLLCSY-VIFPVBQESA-N 0 0 291.307 2.562 20 5 CFBDRN C[C@]1(CCNc2ccc(N)c([N+](=O)[O-])n2)C[C@]1(F)Cl ZINC000853712132 635082320 /nfs/dbraw/zinc/08/23/20/635082320.db2.gz KKLHLOGXLZDPSE-WDEREUQCSA-N 0 0 288.710 2.689 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@](C)(C2CC2)C1 ZINC000853765195 635098710 /nfs/dbraw/zinc/09/87/10/635098710.db2.gz AAHUAFZHPOPPJR-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN CCOC(=O)Cc1ccc(N[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000853798994 635110704 /nfs/dbraw/zinc/11/07/04/635110704.db2.gz VZDCPOQEVCYSMV-LBPRGKRZSA-N 0 0 290.319 2.831 20 5 CFBDRN Cc1nnc(CN(C)c2ccc(C(F)F)cc2[N+](=O)[O-])o1 ZINC000853815098 635115863 /nfs/dbraw/zinc/11/58/63/635115863.db2.gz JWJYXQHHVZFSCN-UHFFFAOYSA-N 0 0 298.249 2.860 20 5 CFBDRN COc1cc(N[C@@H]2COC3(CCC3)C2)c([N+](=O)[O-])cc1F ZINC000853826899 635120357 /nfs/dbraw/zinc/12/03/57/635120357.db2.gz DSSCCAZHXSGNEU-VIFPVBQESA-N 0 0 296.298 2.866 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1COC2(CCC2)C1 ZINC000853829823 635121213 /nfs/dbraw/zinc/12/12/13/635121213.db2.gz XNZALBSADZTHRW-MRVPVSSYSA-N 0 0 254.311 2.780 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@@](O)(C2CC2)C1 ZINC000853834435 635121699 /nfs/dbraw/zinc/12/16/99/635121699.db2.gz USIJXMFPRBSLOW-HNNXBMFYSA-N 0 0 276.336 2.645 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](O)c3ccccc32)ncc1[N+](=O)[O-] ZINC000853837604 635124111 /nfs/dbraw/zinc/12/41/11/635124111.db2.gz HJZLFTCFVTXUNG-GXTWGEPZSA-N 0 0 285.303 2.889 20 5 CFBDRN Cc1ccnc(N[C@H]2C[C@H](O)c3ccccc32)c1[N+](=O)[O-] ZINC000853837984 635124335 /nfs/dbraw/zinc/12/43/35/635124335.db2.gz IIXVIZRYNSMPHW-STQMWFEESA-N 0 0 285.303 2.889 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2ccc(N)cc2[N+](=O)[O-])O1 ZINC000853849049 635131248 /nfs/dbraw/zinc/13/12/48/635131248.db2.gz FXBZGTHQQNFCPB-ONGXEEELSA-N 0 0 265.313 2.547 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1ccc([N+](=O)[O-])cc1Cl)C2 ZINC000853857390 635134116 /nfs/dbraw/zinc/13/41/16/635134116.db2.gz AZVIEGNPTCKPSH-NOZJJQNGSA-N 0 0 282.727 2.721 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000853863019 635138203 /nfs/dbraw/zinc/13/82/03/635138203.db2.gz VSYQWYVGAZPWEY-LDYMZIIASA-N 0 0 293.348 2.554 20 5 CFBDRN Cc1noc(COC(=O)c2cc(C)cc(C)c2)c1[N+](=O)[O-] ZINC000853883046 635145483 /nfs/dbraw/zinc/14/54/83/635145483.db2.gz DPNDVMUWWXSTCM-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000853901234 635152131 /nfs/dbraw/zinc/15/21/31/635152131.db2.gz VUWZOBBIIWYKIU-SCZZXKLOSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2C[C@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000853909401 635159181 /nfs/dbraw/zinc/15/91/81/635159181.db2.gz PHWFQIVCNJJRFW-TVQRCGJNSA-N 0 0 282.296 2.617 20 5 CFBDRN Cc1csc(C(=O)OCc2onc(C)c2[N+](=O)[O-])c1 ZINC000853923288 635166022 /nfs/dbraw/zinc/16/60/22/635166022.db2.gz ZHSRFDUOWAIRAA-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN CN(CC1=CCSC1)c1cccc(F)c1[N+](=O)[O-] ZINC000853981665 635184622 /nfs/dbraw/zinc/18/46/22/635184622.db2.gz MYNLMTFJENDBPA-UHFFFAOYSA-N 0 0 268.313 2.843 20 5 CFBDRN C[C@H](NC(=O)N1CC2CC1(C)C2)c1ccc([N+](=O)[O-])cc1 ZINC000854097463 635210350 /nfs/dbraw/zinc/21/03/50/635210350.db2.gz NAGSXTODSYDIPA-NLTNOIMHSA-N 0 0 289.335 2.850 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2ccc3c(c2)CC[C@H]3O)c1 ZINC000854208279 635254876 /nfs/dbraw/zinc/25/48/76/635254876.db2.gz ATQCIJZLYLTWJS-QGZVFWFLSA-N 0 0 299.326 2.776 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1COCC1(C)C ZINC000854327251 635290702 /nfs/dbraw/zinc/29/07/02/635290702.db2.gz KEEKKQHUVSGJGR-DHZHZOJOSA-N 0 0 290.319 2.593 20 5 CFBDRN C/C(=C/C(=O)N1COCC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000854326962 635290985 /nfs/dbraw/zinc/29/09/85/635290985.db2.gz FKEFOBRZRVTMIX-FLIBITNWSA-N 0 0 290.319 2.593 20 5 CFBDRN CC[C@@H]1CCC[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854494447 635316730 /nfs/dbraw/zinc/31/67/30/635316730.db2.gz CKQPPHCEPCOXOM-MWLCHTKSSA-N 0 0 277.324 2.942 20 5 CFBDRN Nc1ccc(NC(=O)[C@H]2CC23CCCC3)cc1[N+](=O)[O-] ZINC000854496207 635317387 /nfs/dbraw/zinc/31/73/87/635317387.db2.gz BLHDCTBTPFMKEU-SNVBAGLBSA-N 0 0 275.308 2.696 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2CCCCC2(F)F)cc1[N+](=O)[O-] ZINC000854495890 635317526 /nfs/dbraw/zinc/31/75/26/635317526.db2.gz XCQNUPZVPOXJML-VIFPVBQESA-N 0 0 299.277 2.941 20 5 CFBDRN Nc1ccc(NC(=O)/C=C\C2CCCC2)cc1[N+](=O)[O-] ZINC000854496758 635317719 /nfs/dbraw/zinc/31/77/19/635317719.db2.gz QVAZZYAFLYHNGN-YVMONPNESA-N 0 0 275.308 2.862 20 5 CFBDRN CSC(C)(C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000854678329 635363484 /nfs/dbraw/zinc/36/34/84/635363484.db2.gz DGJNGVGVGRSIDJ-VIFPVBQESA-N 0 0 282.365 2.914 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000855148689 635468730 /nfs/dbraw/zinc/46/87/30/635468730.db2.gz KDRJHVQSIZBZNX-JTQLQIEISA-N 0 0 298.314 2.760 20 5 CFBDRN Cc1cccc(C)c1[C@H]1CCCN1C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000855214072 635486988 /nfs/dbraw/zinc/48/69/88/635486988.db2.gz ITNKAACEFOODEP-MGPQQGTHSA-N 0 0 288.347 2.632 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C=CCC2)c1 ZINC000855420532 635536199 /nfs/dbraw/zinc/53/61/99/635536199.db2.gz CQGPGMQTQGTKFF-VIFPVBQESA-N 0 0 278.333 2.765 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000855430665 635543445 /nfs/dbraw/zinc/54/34/45/635543445.db2.gz HLYBSNXGBPKLIK-NXEZZACHSA-N 0 0 278.283 2.672 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 ZINC000855525289 635572095 /nfs/dbraw/zinc/57/20/95/635572095.db2.gz OCHNVSHVCGQMII-KYZUINATSA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@@H](CCF)C1 ZINC000855828263 635662339 /nfs/dbraw/zinc/66/23/39/635662339.db2.gz JUOWZDJYGFJMTE-JTQLQIEISA-N 0 0 298.289 2.946 20 5 CFBDRN CC1(C)CC(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000856338662 635785382 /nfs/dbraw/zinc/78/53/82/635785382.db2.gz ZVIOANFEWUAREW-YVMONPNESA-N 0 0 274.320 2.913 20 5 CFBDRN O=C(NOCC1CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000856344733 635788328 /nfs/dbraw/zinc/78/83/28/635788328.db2.gz AVWAPVLRXZAVBT-UHFFFAOYSA-N 0 0 289.291 2.538 20 5 CFBDRN C[C@@H](C(=O)NOCC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000856350497 635792117 /nfs/dbraw/zinc/79/21/17/635792117.db2.gz XFSHUWQKBXVQKC-SECBINFHSA-N 0 0 296.298 2.685 20 5 CFBDRN Nc1ccc(NCC[C@H]2CC2(Cl)Cl)nc1[N+](=O)[O-] ZINC000856786068 635859878 /nfs/dbraw/zinc/85/98/78/635859878.db2.gz VVHFPXZCUDFNKE-LURJTMIESA-N 0 0 291.138 2.568 20 5 CFBDRN CCCC[C@@H](C(=O)OCc1cn(C)nc1[N+](=O)[O-])C(C)C ZINC000857036010 635913235 /nfs/dbraw/zinc/91/32/35/635913235.db2.gz OCGSMKXOGPCIEM-GFCCVEGCSA-N 0 0 297.355 2.834 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)C1CC2(CC2)C1 ZINC000857572149 635985449 /nfs/dbraw/zinc/98/54/49/635985449.db2.gz TWQJGEOAKYDRTG-UHFFFAOYSA-N 0 0 264.256 2.863 20 5 CFBDRN CCCn1nccc1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000857600320 635991850 /nfs/dbraw/zinc/99/18/50/635991850.db2.gz YGWRKHVIYQKYFU-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN CO[C@H](C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F)C1CCC1 ZINC000857617216 635995300 /nfs/dbraw/zinc/99/53/00/635995300.db2.gz DYGJMIRNBSSKJK-ZDUSSCGKSA-N 0 0 296.298 2.796 20 5 CFBDRN O=C(c1ccon1)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000857666580 636006206 /nfs/dbraw/zinc/00/62/06/636006206.db2.gz XEUQYWUELKDSOZ-UHFFFAOYSA-N 0 0 287.275 2.566 20 5 CFBDRN O=[N+]([O-])c1cc(CN2Cc3ccncc3C2)cs1 ZINC000857875312 636044363 /nfs/dbraw/zinc/04/43/63/636044363.db2.gz JSNISPXGGWDELH-UHFFFAOYSA-N 0 0 261.306 2.567 20 5 CFBDRN Cc1ccc(O[C@@H]2CCN(c3ccc([N+](=O)[O-])cc3)C2)nc1 ZINC000858009778 636113946 /nfs/dbraw/zinc/11/39/46/636113946.db2.gz ZMRLIYZMQGPUDH-OAHLLOKOSA-N 0 0 299.330 2.956 20 5 CFBDRN CCCCCCNC(=O)COc1cccc([N+](=O)[O-])c1C ZINC000047355491 650232763 /nfs/dbraw/zinc/23/27/63/650232763.db2.gz NENXTFMQVVJDCI-UHFFFAOYSA-N 0 0 294.351 2.979 20 5 CFBDRN C[C@]1(Br)C[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000858097344 636124009 /nfs/dbraw/zinc/12/40/09/636124009.db2.gz JKVDWCMFFQUHDK-KOLCDFICSA-N 0 0 299.124 2.707 20 5 CFBDRN C/C=C/CNC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000858292744 636178330 /nfs/dbraw/zinc/17/83/30/636178330.db2.gz MJTFIMMXSFSEHV-NSCUHMNNSA-N 0 0 283.715 2.624 20 5 CFBDRN CCC(F)(F)CN[C@@H](C)c1ccc(N)c([N+](=O)[O-])c1 ZINC000858507190 636275745 /nfs/dbraw/zinc/27/57/45/636275745.db2.gz NAGYOYHBVGEBBD-QMMMGPOBSA-N 0 0 273.283 2.873 20 5 CFBDRN CCOCCNC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000858562370 636294286 /nfs/dbraw/zinc/29/42/86/636294286.db2.gz BXWLJSJVPPBGIV-UHFFFAOYSA-N 0 0 288.303 2.514 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1C[C@H]2[C@@H](C1)C2(F)F ZINC000858609326 636307717 /nfs/dbraw/zinc/30/77/17/636307717.db2.gz VSDYQNSTRYCPOY-PHIMTYICSA-N 0 0 291.257 2.844 20 5 CFBDRN COCCC1CCN(c2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000858661163 636319505 /nfs/dbraw/zinc/31/95/05/636319505.db2.gz MZWDXQDZUUIWIJ-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000858733582 636335138 /nfs/dbraw/zinc/33/51/38/636335138.db2.gz HMBALZKATOGKGJ-ZDUSSCGKSA-N 0 0 290.319 2.967 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000858734887 636335229 /nfs/dbraw/zinc/33/52/29/636335229.db2.gz QROOJHKGWIPUDN-LBPRGKRZSA-N 0 0 276.292 2.659 20 5 CFBDRN C[C@H](Cc1nc(CCC(C)(C)[N+](=O)[O-])no1)c1ccco1 ZINC000858773770 636343011 /nfs/dbraw/zinc/34/30/11/636343011.db2.gz LNXQXESHOMAONZ-SNVBAGLBSA-N 0 0 293.323 2.997 20 5 CFBDRN CC(C)(CCc1nc(-c2coc(C3CC3)n2)no1)[N+](=O)[O-] ZINC000858830765 636352442 /nfs/dbraw/zinc/35/24/42/636352442.db2.gz MNJKYSXCRWNSSX-UHFFFAOYSA-N 0 0 292.295 2.590 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)OCC(F)(F)F)c1 ZINC000858869002 636365594 /nfs/dbraw/zinc/36/55/94/636365594.db2.gz BAOIBIPTPOYXQT-UHFFFAOYSA-N 0 0 298.192 2.673 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cccnc2[N+](=O)[O-])cc1 ZINC000159344539 650256485 /nfs/dbraw/zinc/25/64/85/650256485.db2.gz MDNYYOQXKHXUIR-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN CC(C)(NC(=O)OCCF)c1ccccc1[N+](=O)[O-] ZINC000859168264 636484406 /nfs/dbraw/zinc/48/44/06/636484406.db2.gz MASJIPRMDJXBRV-UHFFFAOYSA-N 0 0 270.260 2.526 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@H]2c2ccccc2)c1 ZINC000048441361 650266631 /nfs/dbraw/zinc/26/66/31/650266631.db2.gz MZVJHBIJYMRWRN-AWEZNQCLSA-N 0 0 272.308 2.586 20 5 CFBDRN CCCCCCNC(=O)CSc1ccc([N+](=O)[O-])cn1 ZINC000159625496 650269885 /nfs/dbraw/zinc/26/98/85/650269885.db2.gz VFGIDUHSLTUUSM-UHFFFAOYSA-N 0 0 297.380 2.778 20 5 CFBDRN CCC[C@H](C)CCCOC(=O)c1c([N+](=O)[O-])cnn1C ZINC000859617837 636624236 /nfs/dbraw/zinc/62/42/36/636624236.db2.gz CYHAAGXNVSYRMK-JTQLQIEISA-N 0 0 283.328 2.702 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000859618240 636624715 /nfs/dbraw/zinc/62/47/15/636624715.db2.gz OALGHQXLSLPZKP-UWVGGRQHSA-N 0 0 286.287 2.956 20 5 CFBDRN CC1(C)CC(COC(=O)[C@@H](O)c2cccc([N+](=O)[O-])c2)C1 ZINC000859715699 636663981 /nfs/dbraw/zinc/66/39/81/636663981.db2.gz WCCHLFRLLPBOHC-ZDUSSCGKSA-N 0 0 293.319 2.608 20 5 CFBDRN CCC[C@H](OCC)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000859835484 636716743 /nfs/dbraw/zinc/71/67/43/636716743.db2.gz WBVXWIBDZNTFBA-ZDUSSCGKSA-N 0 0 281.308 2.843 20 5 CFBDRN CO[C@@]1(C)C[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000159786060 650277933 /nfs/dbraw/zinc/27/79/33/650277933.db2.gz RBSWGAYPHKIINS-WFASDCNBSA-N 0 0 293.319 2.955 20 5 CFBDRN CCCOC(=O)c1c(Br)cccc1[N+](=O)[O-] ZINC000860001009 636758289 /nfs/dbraw/zinc/75/82/89/636758289.db2.gz URDASDFBALXQTA-UHFFFAOYSA-N 0 0 288.097 2.924 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000860140757 636800549 /nfs/dbraw/zinc/80/05/49/636800549.db2.gz HPUPOUUAOCTSFU-DYNIEEOBSA-N 0 0 293.319 2.698 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000860177094 636815021 /nfs/dbraw/zinc/81/50/21/636815021.db2.gz VTLNENWFHFFAAV-SECBINFHSA-N 0 0 280.280 2.515 20 5 CFBDRN CCO[C@@H](C(=O)OCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000860369466 636868476 /nfs/dbraw/zinc/86/84/76/636868476.db2.gz CVASLKSOGAAJQE-CYBMUJFWSA-N 0 0 281.308 2.699 20 5 CFBDRN CCO[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000860370687 636869490 /nfs/dbraw/zinc/86/94/90/636869490.db2.gz QOAPYJBHNTVLMP-ZDUSSCGKSA-N 0 0 281.308 2.699 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000860475920 636893175 /nfs/dbraw/zinc/89/31/75/636893175.db2.gz WGEMFHYZJMGBQR-CYBMUJFWSA-N 0 0 297.307 2.714 20 5 CFBDRN CCO[C@H](C(=O)Oc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000860479544 636893258 /nfs/dbraw/zinc/89/32/58/636893258.db2.gz SRDCRZZNUJQEBZ-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000860614274 636928143 /nfs/dbraw/zinc/92/81/43/636928143.db2.gz JNCPDDCITDCIIF-NMSKTSORSA-N 0 0 297.282 2.699 20 5 CFBDRN CCC[C@H](OCC)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000860702370 636950634 /nfs/dbraw/zinc/95/06/34/636950634.db2.gz CFSYLDTZJFELDG-ZDUSSCGKSA-N 0 0 297.307 2.714 20 5 CFBDRN CSc1ccc(/C=C/C(=O)OC[C@H](C)[N+](=O)[O-])s1 ZINC000860776665 636968842 /nfs/dbraw/zinc/96/88/42/636968842.db2.gz GKEYLNJKXRCJIF-WGAJWPLOSA-N 0 0 287.362 2.692 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CC[C@@H]1c1ccc(F)cc1 ZINC000860786615 636971996 /nfs/dbraw/zinc/97/19/96/636971996.db2.gz FSXJYLXESUOHTG-OASPWFOLSA-N 0 0 281.283 2.528 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000860788058 636972147 /nfs/dbraw/zinc/97/21/47/636972147.db2.gz QEIFKYGGUMZBPY-IACUBPJLSA-N 0 0 277.320 2.779 20 5 CFBDRN CC(C)(COC(=O)c1ccsc1C(F)(F)F)[N+](=O)[O-] ZINC000860866410 636995264 /nfs/dbraw/zinc/99/52/64/636995264.db2.gz INERRKDCIMAIJR-UHFFFAOYSA-N 0 0 297.254 2.979 20 5 CFBDRN Cc1cc2occ(CC(=O)OCCC[N+](=O)[O-])c2cc1C ZINC000860912619 637015149 /nfs/dbraw/zinc/01/51/49/637015149.db2.gz UXYJWCAYIHTDQG-UHFFFAOYSA-N 0 0 291.303 2.802 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc(-c2ccco2)s1 ZINC000860917631 637015999 /nfs/dbraw/zinc/01/59/99/637015999.db2.gz OCUBNFDPKSYIMI-UHFFFAOYSA-N 0 0 281.289 2.832 20 5 CFBDRN CC(F)(F)c1ccc(C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860919346 637016864 /nfs/dbraw/zinc/01/68/64/637016864.db2.gz XGNHKMCFOPWESK-UHFFFAOYSA-N 0 0 273.235 2.622 20 5 CFBDRN C[C@H](CC(=O)OCCC[N+](=O)[O-])c1c[nH]c2ccccc21 ZINC000860921538 637018020 /nfs/dbraw/zinc/01/80/20/637018020.db2.gz VWRVVBGBWSLZKC-LLVKDONJSA-N 0 0 290.319 2.872 20 5 CFBDRN O=C(OC1CCC(F)(F)CC1)c1ccc([N+](=O)[O-])[n-]1 ZINC000860969509 637034405 /nfs/dbraw/zinc/03/44/05/637034405.db2.gz ZTMHMJZATOAQMT-UHFFFAOYSA-N 0 0 274.223 2.658 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCCCc1ccco1 ZINC000861016599 637043525 /nfs/dbraw/zinc/04/35/25/637043525.db2.gz PRMOSTMOCLOIJE-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN C[C@]1(COC(=O)c2cccc([N+](=O)[O-])c2)CCCOC1 ZINC000861038590 637051941 /nfs/dbraw/zinc/05/19/41/637051941.db2.gz ITOAXOQVMGNSLZ-AWEZNQCLSA-N 0 0 279.292 2.568 20 5 CFBDRN CC(F)(F)COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000861227475 637124534 /nfs/dbraw/zinc/12/45/34/637124534.db2.gz XQEXIHGPBYQKIU-UHFFFAOYSA-N 0 0 284.218 2.888 20 5 CFBDRN CCOCCCOC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000118149788 637139650 /nfs/dbraw/zinc/13/96/50/637139650.db2.gz CRCBFSAUOZDFLR-UHFFFAOYSA-N 0 0 299.348 2.657 20 5 CFBDRN CC(=O)O[C@@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000861444688 637196823 /nfs/dbraw/zinc/19/68/23/637196823.db2.gz RKUJRUBFFHSTQI-VIFPVBQESA-N 0 0 263.171 2.761 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CCC[C@H]2C[C@H]21 ZINC000861664459 637225350 /nfs/dbraw/zinc/22/53/50/637225350.db2.gz BSCPPTOYWIHIMR-GZMMTYOYSA-N 0 0 280.711 2.873 20 5 CFBDRN CCC[C@H](OCC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000861848106 637244639 /nfs/dbraw/zinc/24/46/39/637244639.db2.gz GPRDLWKMAGLPCD-FZMZJTMJSA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H](C)C2CCOCC2)cc1[N+](=O)[O-] ZINC000119234840 637538947 /nfs/dbraw/zinc/53/89/47/637538947.db2.gz VGJOTAMGVYPMND-NSHDSACASA-N 0 0 293.319 2.871 20 5 CFBDRN C[C@]1(CNC(=O)c2cc(F)ccc2[N+](=O)[O-])C[C@H]2C[C@H]2C1 ZINC000863125669 637566139 /nfs/dbraw/zinc/56/61/39/637566139.db2.gz AIWMADWPONPIOM-RTUWITSCSA-N 0 0 292.310 2.900 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCSC(C)C)c1 ZINC000863291772 637618599 /nfs/dbraw/zinc/61/85/99/637618599.db2.gz NDTGRQJOILSOFR-UHFFFAOYSA-N 0 0 285.321 2.859 20 5 CFBDRN CCC[C@@H](C)CCCn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000863343611 637632226 /nfs/dbraw/zinc/63/22/26/637632226.db2.gz FKHQQGQTFRRZHD-SECBINFHSA-N 0 0 270.289 2.916 20 5 CFBDRN Cc1ccc(SCCn2ncc([N+](=O)[O-])n2)cc1C ZINC000863375119 637643973 /nfs/dbraw/zinc/64/39/73/637643973.db2.gz ZIAIQSSLAMRMMK-UHFFFAOYSA-N 0 0 278.337 2.595 20 5 CFBDRN CC(C)(CCC(=O)N1CCCC2(CC2)CC1)[N+](=O)[O-] ZINC000863640625 637722631 /nfs/dbraw/zinc/72/26/31/637722631.db2.gz PGKBPLNRLWOKLD-UHFFFAOYSA-N 0 0 268.357 2.615 20 5 CFBDRN CC1(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)CC1 ZINC000119571526 637737315 /nfs/dbraw/zinc/73/73/15/637737315.db2.gz WMAAIICVTVGHRA-UHFFFAOYSA-N 0 0 256.208 2.612 20 5 CFBDRN CC(C)CC[C@H](N)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000863677803 637741730 /nfs/dbraw/zinc/74/17/30/637741730.db2.gz LMZDWVXBZPGOPM-QMMMGPOBSA-N 0 0 280.284 2.674 20 5 CFBDRN CC(C)(C)Nc1nnc(-c2cccc([N+](=O)[O-])c2)o1 ZINC000863980411 637830926 /nfs/dbraw/zinc/83/09/26/637830926.db2.gz JRGXMQDOVFAWHO-UHFFFAOYSA-N 0 0 262.269 2.855 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000864404687 637963906 /nfs/dbraw/zinc/96/39/06/637963906.db2.gz LRLQHRNFAOMVQJ-GHORINQJSA-N 0 0 260.293 2.825 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000864406138 637964656 /nfs/dbraw/zinc/96/46/56/637964656.db2.gz WZJVGGWAYYJCGO-SNVBAGLBSA-N 0 0 280.299 2.535 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864447680 637983560 /nfs/dbraw/zinc/98/35/60/637983560.db2.gz OTLDKIOPGNJUSH-MFKMUULPSA-N 0 0 294.326 2.971 20 5 CFBDRN CC(C)(Cn1cc(-c2cccc(Cl)c2)nn1)[N+](=O)[O-] ZINC000864642176 638056437 /nfs/dbraw/zinc/05/64/37/638056437.db2.gz JMTDRUCIQDDJMN-UHFFFAOYSA-N 0 0 280.715 2.654 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000864822294 638101795 /nfs/dbraw/zinc/10/17/95/638101795.db2.gz FHBVEKGPSPYOFB-VZZFWQQMSA-N 0 0 288.347 2.845 20 5 CFBDRN Cc1cc(OS(=O)(=O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000015335717 638113093 /nfs/dbraw/zinc/11/30/93/638113093.db2.gz ZKWWMHYMRHKZLM-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000865027698 638164853 /nfs/dbraw/zinc/16/48/53/638164853.db2.gz APAOJORZAYQXTE-IGJVIKARSA-N 0 0 274.320 2.503 20 5 CFBDRN CC1(C)CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000865121767 638197465 /nfs/dbraw/zinc/19/74/65/638197465.db2.gz OHVDMFOUGXTUNS-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN C[C@@H](Cn1cc([N+](=O)[O-])ccc1=O)CC(C)(C)C ZINC000865340936 638273155 /nfs/dbraw/zinc/27/31/55/638273155.db2.gz BYBODOUPSYJXSZ-SNVBAGLBSA-N 0 0 252.314 2.829 20 5 CFBDRN CCc1nc(SCc2ccc(C)c([N+](=O)[O-])c2)n[nH]1 ZINC000865349804 638277430 /nfs/dbraw/zinc/27/74/30/638277430.db2.gz MEUFGELAVRGWDM-UHFFFAOYSA-N 0 0 278.337 2.876 20 5 CFBDRN Cc1cnc(OCc2coc(C3CC3)n2)c([N+](=O)[O-])c1 ZINC000865358535 638281380 /nfs/dbraw/zinc/28/13/80/638281380.db2.gz FCJMSJIRPMAZKY-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN Cc1cc(=O)n(C[C@H](C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000865358936 638282015 /nfs/dbraw/zinc/28/20/15/638282015.db2.gz ANEHSONRJONJPN-JTQLQIEISA-N 0 0 252.314 2.747 20 5 CFBDRN O=[N+]([O-])c1cn(CCCC2CC2)c(Br)n1 ZINC000865371971 638290895 /nfs/dbraw/zinc/29/08/95/638290895.db2.gz JOFVZBOBNKQTNC-UHFFFAOYSA-N 0 0 274.118 2.744 20 5 CFBDRN CCc1nc(C)cn1Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000865393345 638304647 /nfs/dbraw/zinc/30/46/47/638304647.db2.gz RUNYKTMVGAQRLM-UHFFFAOYSA-N 0 0 281.262 2.989 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC(C2CC2)C2CC2)cc1F ZINC000865426344 638327711 /nfs/dbraw/zinc/32/77/11/638327711.db2.gz LKSWUXMXYOPWHY-UHFFFAOYSA-N 0 0 280.299 2.827 20 5 CFBDRN C[C@H](CO)Nc1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000865430415 638330211 /nfs/dbraw/zinc/33/02/11/638330211.db2.gz VKHZLHOUMISUKY-RXMQYKEDSA-N 0 0 265.096 2.694 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC[C@H]2CCCS2)cc1F ZINC000865606011 638454534 /nfs/dbraw/zinc/45/45/34/638454534.db2.gz MNURKZIVYVNTMJ-SECBINFHSA-N 0 0 286.328 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3nc[nH]c3C2)c(C(F)F)c1 ZINC000865817441 638589553 /nfs/dbraw/zinc/58/95/53/638589553.db2.gz JFDCAKIOANVKBP-UHFFFAOYSA-N 0 0 294.261 2.818 20 5 CFBDRN CCC(=O)N1CCN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000865890230 638645703 /nfs/dbraw/zinc/64/57/03/638645703.db2.gz SVBORFYXOAIHSP-UHFFFAOYSA-N 0 0 299.277 2.549 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(c3ncco3)CC2)n1 ZINC000865901905 638649900 /nfs/dbraw/zinc/64/99/00/638649900.db2.gz JPBXZHOKJPNCNO-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC2CC3(CCC3)C2)cc1F ZINC000865912894 638654084 /nfs/dbraw/zinc/65/40/84/638654084.db2.gz XIFFHCPVSBIUJB-UHFFFAOYSA-N 0 0 280.299 2.971 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@@H]2C(F)(F)F)c([N+](=O)[O-])c1 ZINC000865924020 638659129 /nfs/dbraw/zinc/65/91/29/638659129.db2.gz ZPHWSPWAEQUZGD-LLVKDONJSA-N 0 0 288.225 2.938 20 5 CFBDRN CC[C@@H](Nc1ccc(C(C)=O)cc1[N+](=O)[O-])C(OC)OC ZINC000865924555 638659297 /nfs/dbraw/zinc/65/92/97/638659297.db2.gz RODMNYOOQXKUCW-LLVKDONJSA-N 0 0 296.323 2.607 20 5 CFBDRN CC1CC(CNc2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865960638 638677330 /nfs/dbraw/zinc/67/73/30/638677330.db2.gz BHQWKKRFFRHUES-UHFFFAOYSA-N 0 0 268.288 2.684 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000865979133 638685593 /nfs/dbraw/zinc/68/55/93/638685593.db2.gz PTOCQENTUBRZEP-MGAJPHDKSA-N 0 0 286.331 2.776 20 5 CFBDRN CCN(C(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CCC1 ZINC000865981425 638685755 /nfs/dbraw/zinc/68/57/55/638685755.db2.gz KGMFPMUFRNJFCE-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN CCCCOC(=O)CSc1ncc([N+](=O)[O-])cc1C ZINC000866030779 638704487 /nfs/dbraw/zinc/70/44/87/638704487.db2.gz IVQTVZUBKCBLBX-UHFFFAOYSA-N 0 0 284.337 2.734 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H]1[C@@H](O)c1ccccc1 ZINC000866051333 638714155 /nfs/dbraw/zinc/71/41/55/638714155.db2.gz DHBFGJWXYXHZTR-HIFRSBDPSA-N 0 0 299.330 2.692 20 5 CFBDRN CCOc1cc(N2C[C@@H](OC)CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000866069279 638722217 /nfs/dbraw/zinc/72/22/17/638722217.db2.gz CJUJZUIMLQHUCA-YPMHNXCESA-N 0 0 294.351 2.997 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])s2)C1 ZINC000866072574 638724150 /nfs/dbraw/zinc/72/41/50/638724150.db2.gz UFQTVJGLELHQLV-RKDXNWHRSA-N 0 0 256.327 2.660 20 5 CFBDRN CS[C@H]1C[C@H](Nc2ncc(C)cc2[N+](=O)[O-])C1 ZINC000866102021 638739189 /nfs/dbraw/zinc/73/91/89/638739189.db2.gz ZWHFJBHBYVQMOB-KYZUINATSA-N 0 0 253.327 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](O)[C@H]3CCCC[C@H]32)c(F)c1 ZINC000866117260 638745405 /nfs/dbraw/zinc/74/54/05/638745405.db2.gz JILAEXXJYFKVRK-LNSITVRQSA-N 0 0 294.326 2.864 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H](C2CCC2)[C@H]2CCOC2)c1 ZINC000866140202 638755089 /nfs/dbraw/zinc/75/50/89/638755089.db2.gz AZQDNWQGZJWMTP-NHYWBVRUSA-N 0 0 291.351 2.794 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C2CCC2)[C@@H]2CCOC2)n1 ZINC000866141697 638755882 /nfs/dbraw/zinc/75/58/82/638755882.db2.gz MIQBNJSRAMUOAR-OCCSQVGLSA-N 0 0 291.351 2.915 20 5 CFBDRN COC(=O)[C@]12C[C@H]1C[C@H](Nc1ccc([N+](=O)[O-])c(C)c1)C2 ZINC000866170726 638763096 /nfs/dbraw/zinc/76/30/96/638763096.db2.gz ATXRSRIZJXETDG-GMXABZIVSA-N 0 0 290.319 2.657 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCC12CCOCC2 ZINC000866174359 638763909 /nfs/dbraw/zinc/76/39/09/638763909.db2.gz JCUULHOWZUZWHN-LBPRGKRZSA-N 0 0 291.351 2.917 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1CC2(CO1)CCOCC2 ZINC000866178759 638764477 /nfs/dbraw/zinc/76/44/77/638764477.db2.gz XVYYASLFKVMABX-GFCCVEGCSA-N 0 0 292.335 2.592 20 5 CFBDRN CCNc1nccnc1NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000866181391 638764744 /nfs/dbraw/zinc/76/47/44/638764744.db2.gz FENJOXUIRJXPNV-UHFFFAOYSA-N 0 0 287.323 2.737 20 5 CFBDRN CN1Cc2ccccc2[C@H]1CNc1cccnc1[N+](=O)[O-] ZINC000866194044 638767149 /nfs/dbraw/zinc/76/71/49/638767149.db2.gz GDVLDWMQCYVKMZ-CQSZACIVSA-N 0 0 284.319 2.588 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3CSC[C@H]3C2)c(Cl)c1 ZINC000866203436 638769332 /nfs/dbraw/zinc/76/93/32/638769332.db2.gz MKIWKAYQMXCPAV-DTWKUNHWSA-N 0 0 299.783 2.833 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1C[C@H]1C1CCOCC1 ZINC000866273564 638786641 /nfs/dbraw/zinc/78/66/41/638786641.db2.gz OWZKXMAQJHFGGM-WDEREUQCSA-N 0 0 297.742 2.870 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000866301066 638791691 /nfs/dbraw/zinc/79/16/91/638791691.db2.gz FZEPWOKPSSFBJG-GXSJLCMTSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1cc(C)sc1[N+](=O)[O-] ZINC000866301601 638792056 /nfs/dbraw/zinc/79/20/56/638792056.db2.gz PJILKXRVIOVSGP-APPZFPTMSA-N 0 0 258.343 2.926 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000866303986 638793110 /nfs/dbraw/zinc/79/31/10/638793110.db2.gz SJCDBAKYNHVRGJ-GHMZBOCLSA-N 0 0 289.335 2.526 20 5 CFBDRN CCC1(CNC(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000866397671 638814454 /nfs/dbraw/zinc/81/44/54/638814454.db2.gz IPQHTGCNWYAAHQ-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN CC[C@H]1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866482059 638828806 /nfs/dbraw/zinc/82/88/06/638828806.db2.gz VPCBDDIRUUHPLT-JTQLQIEISA-N 0 0 280.299 2.535 20 5 CFBDRN Cc1ccc(CN[C@@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC000866540291 638839022 /nfs/dbraw/zinc/83/90/22/638839022.db2.gz YBSCPHJQQOAEQL-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN CCC[C@H](C)NC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000867982979 638910851 /nfs/dbraw/zinc/91/08/51/638910851.db2.gz YWAVMDGHQVGROH-NSHDSACASA-N 0 0 279.340 2.891 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCC[C@@H]1CCCO1 ZINC000121994496 638918912 /nfs/dbraw/zinc/91/89/12/638918912.db2.gz VITNPZQCHLEZMW-AWEZNQCLSA-N 0 0 293.319 2.640 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000868220709 638931113 /nfs/dbraw/zinc/93/11/13/638931113.db2.gz PJQJIBNUZMHAJN-GXFFZTMASA-N 0 0 291.351 2.891 20 5 CFBDRN CC/C=C(/F)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000868252289 638932718 /nfs/dbraw/zinc/93/27/18/638932718.db2.gz FIDBOUTYFFPGBW-WUXMJOGZSA-N 0 0 280.299 2.859 20 5 CFBDRN Cc1ccc(CNC(=O)NCCC2CC2)cc1[N+](=O)[O-] ZINC000868320163 638937039 /nfs/dbraw/zinc/93/70/39/638937039.db2.gz COWMDEMBGPHJLK-UHFFFAOYSA-N 0 0 277.324 2.503 20 5 CFBDRN Cc1ccc(CNC(=O)NC[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000868366160 638940713 /nfs/dbraw/zinc/94/07/13/638940713.db2.gz VUVNTYQNKNZOCT-LLVKDONJSA-N 0 0 291.351 2.749 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC[C@H]1C(F)F ZINC000868599082 638960181 /nfs/dbraw/zinc/96/01/81/638960181.db2.gz PIKKPZBATJBYOM-VIFPVBQESA-N 0 0 290.653 2.728 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000868610551 638961509 /nfs/dbraw/zinc/96/15/09/638961509.db2.gz HBKWTWRDRLRWPG-CYBMUJFWSA-N 0 0 291.351 2.891 20 5 CFBDRN CC[C@@H](NC(=O)NCc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000868777756 638978721 /nfs/dbraw/zinc/97/87/21/638978721.db2.gz KPJANATWAUPUBB-CYBMUJFWSA-N 0 0 291.351 2.891 20 5 CFBDRN CCCCC(=O)COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000869317525 639171590 /nfs/dbraw/zinc/17/15/90/639171590.db2.gz VBZORCFECJKYCQ-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1F)C(=O)C(C)(C)C ZINC000869352766 639191648 /nfs/dbraw/zinc/19/16/48/639191648.db2.gz KEMTXHKIVADZOI-MRVPVSSYSA-N 0 0 297.282 2.894 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CC(=O)OC[C@H](C)CC(C)(C)C ZINC000869370547 639199950 /nfs/dbraw/zinc/19/99/50/639199950.db2.gz KIPSWRDCVVQXGU-SNVBAGLBSA-N 0 0 297.355 2.715 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000869390826 639208447 /nfs/dbraw/zinc/20/84/47/639208447.db2.gz BWKVUAZXAVFQNO-LBPRGKRZSA-N 0 0 297.282 2.706 20 5 CFBDRN CC(C)(C)C(=O)CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000122582583 639224966 /nfs/dbraw/zinc/22/49/66/639224966.db2.gz XSFWLAWQRQIANQ-UHFFFAOYSA-N 0 0 298.726 2.593 20 5 CFBDRN C[C@H]1OCC[C@H]1COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000869429144 639225315 /nfs/dbraw/zinc/22/53/15/639225315.db2.gz STSYQZGQGXUOSO-BDAKNGLRSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@H](COC(=O)c1nn(C)cc1[N+](=O)[O-])CC(C)(C)C ZINC000869463572 639241620 /nfs/dbraw/zinc/24/16/20/639241620.db2.gz DYUBTFSZNAGJQO-VIFPVBQESA-N 0 0 283.328 2.557 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@H]2CCO[C@@H]2C)c1F ZINC000869483905 639254290 /nfs/dbraw/zinc/25/42/90/639254290.db2.gz DHFBOMJPGAHYSQ-NXEZZACHSA-N 0 0 297.282 2.624 20 5 CFBDRN Cc1c(C(=O)NCC[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000869613818 639324470 /nfs/dbraw/zinc/32/44/70/639324470.db2.gz ZNOCYNGAENMWGZ-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000869649953 639339749 /nfs/dbraw/zinc/33/97/49/639339749.db2.gz KCWQOELDTGDJFB-NTZNESFSSA-N 0 0 293.319 2.760 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)[C@@H](C)O1 ZINC000869653647 639342147 /nfs/dbraw/zinc/34/21/47/639342147.db2.gz LXDJEWZNTOXULG-MRTMQBJTSA-N 0 0 285.321 2.513 20 5 CFBDRN C[C@H](OC(=O)C1(F)CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000869728535 639372995 /nfs/dbraw/zinc/37/29/95/639372995.db2.gz CPXNLHFMNVRSIU-JTQLQIEISA-N 0 0 297.282 2.718 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000869890153 639455254 /nfs/dbraw/zinc/45/52/54/639455254.db2.gz HDSWENWQMJDKTI-DQDDRIPDSA-N 0 0 281.333 2.992 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1c(F)cccc1[N+](=O)[O-] ZINC000869897372 639458435 /nfs/dbraw/zinc/45/84/35/639458435.db2.gz RQGTXVPZWRXTGN-JTQLQIEISA-N 0 0 297.282 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@H](C)O[C@@H]1C ZINC000870159416 639528558 /nfs/dbraw/zinc/52/85/58/639528558.db2.gz BCZSUUVCKPQHPJ-HOSYDEDBSA-N 0 0 279.292 2.622 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2C[C@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000870205551 639544222 /nfs/dbraw/zinc/54/42/22/639544222.db2.gz QSPUYKUPGSWKPV-JBLDHEPKSA-N 0 0 293.319 2.876 20 5 CFBDRN CCC(C)(C)CCNC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000870293218 639584921 /nfs/dbraw/zinc/58/49/21/639584921.db2.gz IHWYGEHVEHKIDP-CYBMUJFWSA-N 0 0 294.351 2.571 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(SC(F)(F)F)o1 ZINC000870385295 639619024 /nfs/dbraw/zinc/61/90/24/639619024.db2.gz KOSPQRCGXBPLLP-RXMQYKEDSA-N 0 0 299.226 2.714 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC(C)(C)c1ccc(F)cc1 ZINC000870387145 639619825 /nfs/dbraw/zinc/61/98/25/639619825.db2.gz LRJUNUSWQDFWNV-JTQLQIEISA-N 0 0 283.299 2.702 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1Cc2ccc(Cl)cc21)[N+](=O)[O-] ZINC000870468759 639653313 /nfs/dbraw/zinc/65/33/13/639653313.db2.gz YFYVACOTWPRNCF-LLVKDONJSA-N 0 0 283.711 2.578 20 5 CFBDRN CNc1ccc(C(=O)O[C@H]2C[C@@H](SC)C2)cc1[N+](=O)[O-] ZINC000870576530 639730722 /nfs/dbraw/zinc/73/07/22/639730722.db2.gz ICESMYJTWHVCGZ-AOOOYVTPSA-N 0 0 296.348 2.687 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000078672304 650521967 /nfs/dbraw/zinc/52/19/67/650521967.db2.gz VLQFBETWVLNSNU-SNVBAGLBSA-N 0 0 277.324 2.582 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@H]1C=CCCC1 ZINC000870637674 639752469 /nfs/dbraw/zinc/75/24/69/639752469.db2.gz BFJLROOVDWRMQR-ZDUSSCGKSA-N 0 0 261.277 2.789 20 5 CFBDRN CCO[C@H](C)C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870699879 639777932 /nfs/dbraw/zinc/77/79/32/639777932.db2.gz MFULSEKMHWKSMF-LLVKDONJSA-N 0 0 281.308 2.680 20 5 CFBDRN CC[C@H](OC)C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700481 639778009 /nfs/dbraw/zinc/77/80/09/639778009.db2.gz WIKSICNVQRMNNV-ZDUSSCGKSA-N 0 0 281.308 2.680 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000870717029 639787149 /nfs/dbraw/zinc/78/71/49/639787149.db2.gz XGSGUKJHFMTCLX-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000870717031 639787331 /nfs/dbraw/zinc/78/73/31/639787331.db2.gz XGSGUKJHFMTCLX-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CC1(C)CCC(NC(=O)c2cc([N+](=O)[O-])ccc2N)CC1 ZINC000079697234 650613004 /nfs/dbraw/zinc/61/30/04/650613004.db2.gz OETOKXDLGZYOLW-UHFFFAOYSA-N 0 0 291.351 2.876 20 5 CFBDRN CC(C)(C)CCC(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870827610 641090171 /nfs/dbraw/zinc/09/01/71/641090171.db2.gz BWOXBBRJPSBQLT-UHFFFAOYSA-N 0 0 295.339 2.864 20 5 CFBDRN O=C(C=C1CCCC1)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870829748 641090877 /nfs/dbraw/zinc/09/08/77/641090877.db2.gz PFSMIPNVBOOPEI-UHFFFAOYSA-N 0 0 291.307 2.538 20 5 CFBDRN COC(=O)/C(C)=C/COc1cc(C)c([N+](=O)[O-])cc1F ZINC000123337750 641091640 /nfs/dbraw/zinc/09/16/40/641091640.db2.gz LYDJMSBIBVCGJL-XBXARRHUSA-N 0 0 283.255 2.540 20 5 CFBDRN O=C(NCC[C@@H]1CCCS1)c1ccc([N+](=O)[O-])cc1F ZINC000871029196 641161668 /nfs/dbraw/zinc/16/16/68/641161668.db2.gz OPVNCWUGKIWEIG-JTQLQIEISA-N 0 0 298.339 2.749 20 5 CFBDRN CC1(F)CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000871074987 641189676 /nfs/dbraw/zinc/18/96/76/641189676.db2.gz UUIJVZWQCFQSOJ-UHFFFAOYSA-N 0 0 284.262 2.698 20 5 CFBDRN COC(C)(C)CCNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000871259486 641269812 /nfs/dbraw/zinc/26/98/12/641269812.db2.gz KVOULCVLCNHXJF-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN CCCCC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])C(=O)OC ZINC000080233076 650652841 /nfs/dbraw/zinc/65/28/41/650652841.db2.gz LPBJBNNQRPBKHF-NSHDSACASA-N 0 0 295.339 2.832 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2CC3(CCC3)CO2)c1 ZINC000871630809 641375396 /nfs/dbraw/zinc/37/53/96/641375396.db2.gz SUSYGNZKWDVGNT-ZDUSSCGKSA-N 0 0 263.293 2.933 20 5 CFBDRN CO[C@H]1C[C@@H](CSc2ccc([N+](=O)[O-])cn2)C1 ZINC000871663726 641388664 /nfs/dbraw/zinc/38/86/64/641388664.db2.gz QGHJNFQRSNPMGD-WAAGHKOSSA-N 0 0 254.311 2.507 20 5 CFBDRN CC[C@H](C)Oc1ccc(Br)nc1[N+](=O)[O-] ZINC000871693623 641408657 /nfs/dbraw/zinc/40/86/57/641408657.db2.gz PSHFEJARQFQUDP-LURJTMIESA-N 0 0 275.102 2.930 20 5 CFBDRN COCC(C)(C)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000871743324 641433491 /nfs/dbraw/zinc/43/34/91/641433491.db2.gz AVPXLGXKAMAIKA-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN CC[C@H](O)c1nccn1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000871747814 641438233 /nfs/dbraw/zinc/43/82/33/641438233.db2.gz NLKMJIZQSXQXRB-ZDUSSCGKSA-N 0 0 275.308 2.591 20 5 CFBDRN Cc1cn(Cc2noc3c2CC(C)(C)CC3)nc1[N+](=O)[O-] ZINC000871749357 641439235 /nfs/dbraw/zinc/43/92/35/641439235.db2.gz ICBXJNWVEWJPEF-UHFFFAOYSA-N 0 0 290.323 2.651 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2cc([N+](=O)[O-])ccc2F)c1 ZINC000123777704 641450200 /nfs/dbraw/zinc/45/02/00/641450200.db2.gz XAVJITOLMAMMDF-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cn1cc(CCOc2cc(Cl)c([N+](=O)[O-])cc2F)cn1 ZINC000871801014 641465555 /nfs/dbraw/zinc/46/55/55/641465555.db2.gz DUSSTRDRDYUHLW-UHFFFAOYSA-N 0 0 299.689 2.742 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1nocc1C ZINC000871803386 641466600 /nfs/dbraw/zinc/46/66/00/641466600.db2.gz ZIPXJYNLILOGKN-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CCC[C@H](CC)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000871843788 641478116 /nfs/dbraw/zinc/47/81/16/641478116.db2.gz KIYYJJRTHGYOQB-VIFPVBQESA-N 0 0 293.327 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CNc1ccn(CCF)n1 ZINC000871861670 641486124 /nfs/dbraw/zinc/48/61/24/641486124.db2.gz RHIJUYDFAIFMHV-UHFFFAOYSA-N 0 0 282.250 2.512 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N3CCCCCC3)cc2N1 ZINC000193507581 650664150 /nfs/dbraw/zinc/66/41/50/650664150.db2.gz WVYHOXAYUFJODY-UHFFFAOYSA-N 0 0 289.335 2.860 20 5 CFBDRN CCS(=O)(=O)Nc1cc([N+](=O)[O-])ccc1C(C)(C)C ZINC000872006993 641546255 /nfs/dbraw/zinc/54/62/55/641546255.db2.gz FFGSQSIQKVKXCA-UHFFFAOYSA-N 0 0 286.353 2.654 20 5 CFBDRN Cc1nn(C)cc1CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000123961637 641686547 /nfs/dbraw/zinc/68/65/47/641686547.db2.gz CWWRWKGKYCRHPW-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC(=O)C1CCN(c2nccc3ccc([N+](=O)[O-])cc32)CC1 ZINC000872667897 641890099 /nfs/dbraw/zinc/89/00/99/641890099.db2.gz RCIGBLPPGVISND-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN COCC(C)(C)CCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000872687029 641897292 /nfs/dbraw/zinc/89/72/92/641897292.db2.gz VNYGJUPAKSWOLF-UHFFFAOYSA-N 0 0 292.339 2.717 20 5 CFBDRN O=c1[nH]ccc(NCCC[C@@H]2C=CCC2)c1[N+](=O)[O-] ZINC000872692888 641897941 /nfs/dbraw/zinc/89/79/41/641897941.db2.gz CSILHLIISFLTPQ-SNVBAGLBSA-N 0 0 263.297 2.854 20 5 CFBDRN Cc1ccnc(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1[N+](=O)[O-] ZINC000872697461 641901046 /nfs/dbraw/zinc/90/10/46/641901046.db2.gz MKPXHEMKACMLFC-WOPDTQHZSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)n1 ZINC000872697398 641901095 /nfs/dbraw/zinc/90/10/95/641901095.db2.gz LBXYYZFMYBCJOA-MDZLAQPJSA-N 0 0 277.324 2.668 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)ccc1[N+](=O)[O-] ZINC000872697745 641901734 /nfs/dbraw/zinc/90/17/34/641901734.db2.gz QDNPNOZECWLKTR-VHRBIJSZSA-N 0 0 292.335 2.973 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)ccc1[N+](=O)[O-] ZINC000872697742 641901758 /nfs/dbraw/zinc/90/17/58/641901758.db2.gz QDNPNOZECWLKTR-JKOKRWQUSA-N 0 0 292.335 2.973 20 5 CFBDRN Nc1ccc(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)c([N+](=O)[O-])c1 ZINC000872697644 641901991 /nfs/dbraw/zinc/90/19/91/641901991.db2.gz OXNISUMPTZVBQA-NURSFMCSSA-N 0 0 277.324 2.547 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(OC(C)C)CCC1 ZINC000872706740 641905588 /nfs/dbraw/zinc/90/55/88/641905588.db2.gz FROFHXVZHXMMCE-UHFFFAOYSA-N 0 0 296.371 2.879 20 5 CFBDRN CC(C)OC1(CNc2ccc([N+](=O)[O-])nc2)CCC1 ZINC000872707048 641906871 /nfs/dbraw/zinc/90/68/71/641906871.db2.gz USUJXDMRIIVHSH-UHFFFAOYSA-N 0 0 265.313 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C(F)(F)F)CC2)s1 ZINC000872751274 641920507 /nfs/dbraw/zinc/92/05/07/641920507.db2.gz MIDOZEVXZFIRPM-SSDOTTSWSA-N 0 0 296.270 2.814 20 5 CFBDRN CCOC(=O)[C@H](C)C1CN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000872772978 641928200 /nfs/dbraw/zinc/92/82/00/641928200.db2.gz VBPAAYAXNUAORY-LLVKDONJSA-N 0 0 292.335 2.539 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@@H]1CC12CCC2 ZINC000872774486 641929051 /nfs/dbraw/zinc/92/90/51/641929051.db2.gz CIAYSGFODWEOOI-ZCFIWIBFSA-N 0 0 290.245 2.702 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CC23CCC3)cc1F ZINC000872774835 641929076 /nfs/dbraw/zinc/92/90/76/641929076.db2.gz IMKRTOLXEPHARI-GFCCVEGCSA-N 0 0 266.272 2.581 20 5 CFBDRN COC(=O)[C@]12CCC[C@H]1N(c1ccsc1[N+](=O)[O-])CC2 ZINC000872786240 641938638 /nfs/dbraw/zinc/93/86/38/641938638.db2.gz VYWADZSNIDPJCH-MFKMUULPSA-N 0 0 296.348 2.578 20 5 CFBDRN Cc1cc(CNCc2c(C)ccc([N+](=O)[O-])c2C)on1 ZINC000873044425 641993860 /nfs/dbraw/zinc/99/38/60/641993860.db2.gz NCDDYSRBKPXOOJ-UHFFFAOYSA-N 0 0 275.308 2.798 20 5 CFBDRN CCn1ncc(NCc2ccc(OC)c([N+](=O)[O-])c2)c1C ZINC000873196436 642060899 /nfs/dbraw/zinc/06/08/99/642060899.db2.gz UYEPGQXVKLSUGB-UHFFFAOYSA-N 0 0 290.323 2.740 20 5 CFBDRN O=C(OC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1ccc([N+](=O)[O-])s1 ZINC000873316080 642133026 /nfs/dbraw/zinc/13/30/26/642133026.db2.gz FSLPIDPWGYLBMU-GUBZILKMSA-N 0 0 297.332 2.771 20 5 CFBDRN CC[C@@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(C)=O ZINC000873320040 642135606 /nfs/dbraw/zinc/13/56/06/642135606.db2.gz OEBYSEJFUCDAMO-LLVKDONJSA-N 0 0 285.683 2.773 20 5 CFBDRN CC[C@H](OC(=O)c1cccc(SC)c1[N+](=O)[O-])C(C)=O ZINC000873382214 642170342 /nfs/dbraw/zinc/17/03/42/642170342.db2.gz XSORVOUWOCOWLP-JTQLQIEISA-N 0 0 297.332 2.841 20 5 CFBDRN CC[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)=O ZINC000873383684 642170842 /nfs/dbraw/zinc/17/08/42/642170842.db2.gz GYADGSCVOTXHLL-ZANVPECISA-N 0 0 279.292 2.609 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000873438755 642205985 /nfs/dbraw/zinc/20/59/85/642205985.db2.gz XLBOVSLTSAKAPJ-TXEJJXNPSA-N 0 0 293.319 2.793 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1sccc1C(F)F ZINC000873533642 642238462 /nfs/dbraw/zinc/23/84/62/642238462.db2.gz FZZOHBXSWFXKQM-RXMQYKEDSA-N 0 0 265.237 2.508 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cccc(OC(C)(C)C)c1 ZINC000873533830 642238596 /nfs/dbraw/zinc/23/85/96/642238596.db2.gz MBDYCKHBMCXRTH-JTQLQIEISA-N 0 0 281.308 2.686 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@H]1CCOC[C@@H]1C ZINC000873536437 642239170 /nfs/dbraw/zinc/23/91/70/642239170.db2.gz KFLHBDFXDRTZBN-GWCFXTLKSA-N 0 0 293.319 2.793 20 5 CFBDRN CCO[C@H]1C[C@@H]1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000873578664 642259209 /nfs/dbraw/zinc/25/92/09/642259209.db2.gz DYWXGCUDZISCNC-CDMKHQONSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCOC[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000873578722 642259287 /nfs/dbraw/zinc/25/92/87/642259287.db2.gz MGPXSUOMFYRFQD-UTUOFQBUSA-N 0 0 293.319 2.872 20 5 CFBDRN C[C@@H](OC(=O)COc1ccccc1[N+](=O)[O-])[C@@H]1CC12CC2 ZINC000873627667 642279001 /nfs/dbraw/zinc/27/90/01/642279001.db2.gz BEQZHEKOWXOTBP-MNOVXSKESA-N 0 0 291.303 2.705 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1)[C@@H]1CC12CC2 ZINC000873630581 642280338 /nfs/dbraw/zinc/28/03/38/642280338.db2.gz IHYUEQVFTFWFSN-MFKMUULPSA-N 0 0 275.304 2.869 20 5 CFBDRN CCCCNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873732578 642290624 /nfs/dbraw/zinc/29/06/24/642290624.db2.gz RRQQGIAIFLQIDH-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCCn1ccnc1 ZINC000124756379 642311182 /nfs/dbraw/zinc/31/11/82/642311182.db2.gz NCFUANVQXHVCNI-UHFFFAOYSA-N 0 0 266.688 2.557 20 5 CFBDRN O=C([O-])[C@@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000874049737 642327937 /nfs/dbraw/zinc/32/79/37/642327937.db2.gz DRQZPKVFAXDFKH-SNVBAGLBSA-N 0 0 298.726 2.545 20 5 CFBDRN CCN(Cc1cc(F)ccc1[N+](=O)[O-])C[C@@H]1CCCO1 ZINC000195311064 650746043 /nfs/dbraw/zinc/74/60/43/650746043.db2.gz BRTGNASBILCOLM-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@@H](C)O[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000874625107 642428017 /nfs/dbraw/zinc/42/80/17/642428017.db2.gz CCHPASBYDGOGMX-MPPDQPJWSA-N 0 0 292.335 2.586 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000874625648 642428946 /nfs/dbraw/zinc/42/89/46/642428946.db2.gz IQEDUUITFKWKSZ-GYSYKLTISA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1O[C@H](C)C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000874625684 642428993 /nfs/dbraw/zinc/42/89/93/642428993.db2.gz PEMDUUDCIDJEJO-ZHSDAYTOSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1F ZINC000874779851 642480051 /nfs/dbraw/zinc/48/00/51/642480051.db2.gz FGNAGPKLAUGOSM-TVQRCGJNSA-N 0 0 292.310 2.896 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000874779959 642480201 /nfs/dbraw/zinc/48/02/01/642480201.db2.gz KYUIIISVQFBMJB-GWCFXTLKSA-N 0 0 274.320 2.757 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000874787818 642488695 /nfs/dbraw/zinc/48/86/95/642488695.db2.gz BUOSMBYHZQEHSP-SNVBAGLBSA-N 0 0 266.322 2.637 20 5 CFBDRN CC1(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC(F)(F)C1 ZINC000874858816 642530711 /nfs/dbraw/zinc/53/07/11/642530711.db2.gz PAISESNYDLMNEO-DAXSKMNVSA-N 0 0 296.273 2.912 20 5 CFBDRN COCC1(N(C)C(=O)c2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000874935941 642558059 /nfs/dbraw/zinc/55/80/59/642558059.db2.gz XDMUBMALLOPWON-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000875054742 642581235 /nfs/dbraw/zinc/58/12/35/642581235.db2.gz ZJFUSWXUPCNVCQ-NMSKTSORSA-N 0 0 296.298 2.732 20 5 CFBDRN CCO[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)C(C)C ZINC000875054056 642581484 /nfs/dbraw/zinc/58/14/84/642581484.db2.gz GOYUNLUNICORPN-GFCCVEGCSA-N 0 0 284.287 2.734 20 5 CFBDRN CC1(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC(F)(F)C1 ZINC000875054389 642581512 /nfs/dbraw/zinc/58/15/12/642581512.db2.gz PYLCZUQYFYPIOZ-UHFFFAOYSA-N 0 0 270.235 2.969 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000875054744 642581542 /nfs/dbraw/zinc/58/15/42/642581542.db2.gz ZJFUSWXUPCNVCQ-YDEXJTGXSA-N 0 0 296.298 2.732 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@H]2C(C)(C)C)ccc1[N+](=O)[O-] ZINC000875422989 642620709 /nfs/dbraw/zinc/62/07/09/642620709.db2.gz FMSAGVLXQTTWBJ-NXEZZACHSA-N 0 0 277.324 2.919 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCCF ZINC000125441998 642633591 /nfs/dbraw/zinc/63/35/91/642633591.db2.gz PAWKNRBRYWTAMN-MRVPVSSYSA-N 0 0 273.285 2.588 20 5 CFBDRN Cc1nn(CCC(=O)OC2(C)CCCC2)c(C)c1[N+](=O)[O-] ZINC000125457526 642636958 /nfs/dbraw/zinc/63/69/58/642636958.db2.gz ZGPYAWKRNPAZGZ-UHFFFAOYSA-N 0 0 295.339 2.674 20 5 CFBDRN Cc1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2C)nc1 ZINC000125595643 642688586 /nfs/dbraw/zinc/68/85/86/642688586.db2.gz KDKCAUQZBXORAU-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000085067603 650786707 /nfs/dbraw/zinc/78/67/07/650786707.db2.gz AXUYBPKKJLWWJK-WDEREUQCSA-N 0 0 291.351 2.876 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1CCCC2(CC2)C1 ZINC000888708929 642793184 /nfs/dbraw/zinc/79/31/84/642793184.db2.gz SLAIJDGXRGWBRL-ZDUSSCGKSA-N 0 0 288.347 2.976 20 5 CFBDRN O=C(Nc1cc(Cl)cc(Cl)c1F)[C@@H]1CC1[N+](=O)[O-] ZINC000876461751 642879534 /nfs/dbraw/zinc/87/95/34/642879534.db2.gz ZAMADMXSMGRUCQ-SVGQVSJJSA-N 0 0 293.081 2.736 20 5 CFBDRN Cc1ccc2c(n1)N(C(=O)CCC(C)(C)[N+](=O)[O-])CCC2 ZINC000876488220 642888719 /nfs/dbraw/zinc/88/87/19/642888719.db2.gz LVIVIPNSXRPNIF-UHFFFAOYSA-N 0 0 291.351 2.505 20 5 CFBDRN COc1ccc(OC(=O)C=C2CCC2)c([N+](=O)[O-])c1 ZINC000087037699 650799406 /nfs/dbraw/zinc/79/94/06/650799406.db2.gz JPZGIFAYMGZZNO-UHFFFAOYSA-N 0 0 263.249 2.619 20 5 CFBDRN CCc1cccnc1NC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000877161878 643183169 /nfs/dbraw/zinc/18/31/69/643183169.db2.gz SJOHMSMAQGAKPP-UHFFFAOYSA-N 0 0 287.323 2.564 20 5 CFBDRN COc1cc(NCc2cn(C)nc2[N+](=O)[O-])ccc1Cl ZINC000877484951 643300820 /nfs/dbraw/zinc/30/08/20/643300820.db2.gz IUCXSBVTBSTBGU-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN[C@@H](C)C(=O)OC(C)C ZINC000877572315 643371790 /nfs/dbraw/zinc/37/17/90/643371790.db2.gz OYELRNYMUCPTLW-LBPRGKRZSA-N 0 0 294.351 2.641 20 5 CFBDRN COc1cc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)on1 ZINC000877635401 643418788 /nfs/dbraw/zinc/41/87/88/643418788.db2.gz PWVAJQGLCZZIMR-UHFFFAOYSA-N 0 0 297.698 2.535 20 5 CFBDRN CC(C)(O)C1CCN(Cc2csc([N+](=O)[O-])c2)CC1 ZINC000877753592 643533127 /nfs/dbraw/zinc/53/31/27/643533127.db2.gz HIUDXZJYLKNVDV-UHFFFAOYSA-N 0 0 284.381 2.639 20 5 CFBDRN CC1(C)C[C@@H](O)CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000877754270 643534069 /nfs/dbraw/zinc/53/40/69/643534069.db2.gz ZPXGBPHJGRQYAV-LLVKDONJSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1nn(CCSC(F)(F)F)c(C)c1[N+](=O)[O-] ZINC000877872454 643614268 /nfs/dbraw/zinc/61/42/68/643614268.db2.gz FFAQAPNYCHJOND-UHFFFAOYSA-N 0 0 269.248 2.661 20 5 CFBDRN Cc1cccc(OCCc2ccns2)c1[N+](=O)[O-] ZINC000877879537 643618684 /nfs/dbraw/zinc/61/86/84/643618684.db2.gz HFDCXFONEBPHBW-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN CCOCCOCCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000877879955 643619097 /nfs/dbraw/zinc/61/90/97/643619097.db2.gz WQBJTINZAADORG-UHFFFAOYSA-N 0 0 289.715 2.680 20 5 CFBDRN O=[N+]([O-])c1c(F)c(F)c(OCCOC2CC2)c(F)c1F ZINC000877891027 643625760 /nfs/dbraw/zinc/62/57/60/643625760.db2.gz ZUFTXBNASFKFOM-UHFFFAOYSA-N 0 0 295.188 2.709 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@@H](CF)C2)cc1[N+](=O)[O-] ZINC000877902491 643631303 /nfs/dbraw/zinc/63/13/03/643631303.db2.gz BHKRUTDJWAROTP-JTQLQIEISA-N 0 0 281.287 2.727 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000879123171 644151389 /nfs/dbraw/zinc/15/13/89/644151389.db2.gz JITJTHIIFGIGEF-XYPYZODXSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CC23CCC3)ccc1[N+](=O)[O-] ZINC000879124455 644151496 /nfs/dbraw/zinc/15/14/96/644151496.db2.gz UWIPAAWWRRQNCH-LBPRGKRZSA-N 0 0 275.308 2.967 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000879222478 644181381 /nfs/dbraw/zinc/18/13/81/644181381.db2.gz PEPXGWMAGMBTOL-LLVKDONJSA-N 0 0 294.355 2.810 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000879322288 644227848 /nfs/dbraw/zinc/22/78/48/644227848.db2.gz UKWKQHQBMASILZ-ZWNOBZJWSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000091527025 650901796 /nfs/dbraw/zinc/90/17/96/650901796.db2.gz BJMVWPNNDIMIAE-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1cnc(CN2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)o1 ZINC000127692911 644245800 /nfs/dbraw/zinc/24/58/00/644245800.db2.gz ZDSITJSYSQIQRY-VIFPVBQESA-N 0 0 273.292 2.842 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCCCCO1 ZINC000879509989 644296235 /nfs/dbraw/zinc/29/62/35/644296235.db2.gz XKFBNDDSVMSSAV-UHFFFAOYSA-N 0 0 254.261 2.651 20 5 CFBDRN CN(Cc1cccc([N+](=O)[O-])c1)OCC(F)(F)F ZINC000879510623 644296378 /nfs/dbraw/zinc/29/63/78/644296378.db2.gz HHROTGLMFUWTDR-UHFFFAOYSA-N 0 0 264.203 2.521 20 5 CFBDRN CCOC(COc1ccc(C(C)=O)cc1[N+](=O)[O-])OCC ZINC000127897944 644358835 /nfs/dbraw/zinc/35/88/35/644358835.db2.gz URENRQZOHGOWMC-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN C[C@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1ccnn1C ZINC000879684065 644378266 /nfs/dbraw/zinc/37/82/66/644378266.db2.gz FMIJDWXJUFUPPS-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1cc(N(C)Cc2ccc(C)c([N+](=O)[O-])c2)n[nH]1 ZINC000879748208 644408463 /nfs/dbraw/zinc/40/84/63/644408463.db2.gz GQTMOPVZBDXZNR-UHFFFAOYSA-N 0 0 260.297 2.571 20 5 CFBDRN C[C@@H](c1ccco1)N(C)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000248076168 650923155 /nfs/dbraw/zinc/92/31/55/650923155.db2.gz BWPBWBLKLBYZKZ-VIFPVBQESA-N 0 0 289.291 2.603 20 5 CFBDRN COC1CCC(OC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000128199695 644526037 /nfs/dbraw/zinc/52/60/37/644526037.db2.gz WBKXVKZXPFIJIK-UHFFFAOYSA-N 0 0 279.292 2.709 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC[C@@H](CF)C1 ZINC000880237414 644600587 /nfs/dbraw/zinc/60/05/87/644600587.db2.gz BSAKPMQAWUQAPQ-JTQLQIEISA-N 0 0 270.279 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@H](CF)C1 ZINC000880294487 644632904 /nfs/dbraw/zinc/63/29/04/644632904.db2.gz BSKMOWWTIRGBPP-SECBINFHSA-N 0 0 256.252 2.525 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCc2ncncc2C1 ZINC000880595998 644782963 /nfs/dbraw/zinc/78/29/63/644782963.db2.gz WUPCQRDTIJWNQR-NSHDSACASA-N 0 0 284.319 2.504 20 5 CFBDRN CCOc1cc(NC(=O)NCCC2CC2)ccc1[N+](=O)[O-] ZINC000888834642 644821091 /nfs/dbraw/zinc/82/10/91/644821091.db2.gz MGMZAWSJUDGTIF-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN CCCCOC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000094590084 650959236 /nfs/dbraw/zinc/95/92/36/650959236.db2.gz DYGJYBINNUXTTJ-UHFFFAOYSA-N 0 0 259.208 2.830 20 5 CFBDRN Cc1nc(CNc2nc(C)ccc2[N+](=O)[O-])cs1 ZINC000128910582 644939564 /nfs/dbraw/zinc/93/95/64/644939564.db2.gz PQLADPJNMOFZPH-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN C[C@H]1CCN1C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000881482041 644984332 /nfs/dbraw/zinc/98/43/32/644984332.db2.gz KYNSQDSPAWQTKE-ZETCQYMHSA-N 0 0 286.690 2.551 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000881497149 644997130 /nfs/dbraw/zinc/99/71/30/644997130.db2.gz UTBDLROPBHNKGS-JTQLQIEISA-N 0 0 296.273 2.769 20 5 CFBDRN C=C/C=C/CCNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000881568688 645047745 /nfs/dbraw/zinc/04/77/45/645047745.db2.gz AOQCHDRDOMCAAG-NMONBKHDSA-N 0 0 286.331 2.947 20 5 CFBDRN Cc1ccc(C(=O)N2CCC[C@H](CF)C2)cc1[N+](=O)[O-] ZINC000881588478 645062180 /nfs/dbraw/zinc/06/21/80/645062180.db2.gz ICTLNXQMBWRQJV-LLVKDONJSA-N 0 0 280.299 2.725 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCC(F)CC1 ZINC000881613671 645082554 /nfs/dbraw/zinc/08/25/54/645082554.db2.gz KSRNFLNOQXWSDZ-UONOGXRCSA-N 0 0 292.310 2.659 20 5 CFBDRN CCc1nc(CN(C)c2ccnc(F)c2[N+](=O)[O-])cs1 ZINC000881845364 645140144 /nfs/dbraw/zinc/14/01/44/645140144.db2.gz NKPGUYWWFWHIBA-UHFFFAOYSA-N 0 0 296.327 2.784 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881977524 645167039 /nfs/dbraw/zinc/16/70/39/645167039.db2.gz PZLUWXIQTQZCOJ-TVQRCGJNSA-N 0 0 280.324 2.616 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881977523 645167123 /nfs/dbraw/zinc/16/71/23/645167123.db2.gz PZLUWXIQTQZCOJ-RNCFNFMXSA-N 0 0 280.324 2.616 20 5 CFBDRN CO[C@@H](CNc1ccnc(F)c1[N+](=O)[O-])C(C)(C)C ZINC000882039243 645185232 /nfs/dbraw/zinc/18/52/32/645185232.db2.gz AMXJQCZJAPTRMI-VIFPVBQESA-N 0 0 271.292 2.602 20 5 CFBDRN C[C@]1(CNc2ccnc(F)c2[N+](=O)[O-])CCCS1 ZINC000882086410 645196837 /nfs/dbraw/zinc/19/68/37/645196837.db2.gz WRIZZAALDYFESK-LLVKDONJSA-N 0 0 271.317 2.827 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@H]2CC[C@H](c3ccccc3)O2)c1 ZINC000882093670 645199385 /nfs/dbraw/zinc/19/93/85/645199385.db2.gz CFYRKBAHUJNKRH-ZIAGYGMSSA-N 0 0 273.292 2.712 20 5 CFBDRN Cc1ccc(OCC[C@H](O)C(C)C)cc1[N+](=O)[O-] ZINC000882147961 645219464 /nfs/dbraw/zinc/21/94/64/645219464.db2.gz HSNUBDOXFGKCQK-ZDUSSCGKSA-N 0 0 253.298 2.689 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1CCCCS1 ZINC000882173781 645230078 /nfs/dbraw/zinc/23/00/78/645230078.db2.gz JQXOJCQQEBNQIU-QMMMGPOBSA-N 0 0 271.317 2.827 20 5 CFBDRN CC(=O)c1c(NCC2(CO)CCCC2)cccc1[N+](=O)[O-] ZINC000882199771 645242919 /nfs/dbraw/zinc/24/29/19/645242919.db2.gz VZJGJOQYKDQITK-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@H](OCC2CC2)C1 ZINC000882208243 645243838 /nfs/dbraw/zinc/24/38/38/645243838.db2.gz LDQGMDKLZSXRFQ-NSHDSACASA-N 0 0 295.314 2.524 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1c1ccnc(F)c1[N+](=O)[O-] ZINC000882287007 645263444 /nfs/dbraw/zinc/26/34/44/645263444.db2.gz UOUWFHQSSRMUBX-RNFRBKRXSA-N 0 0 293.220 2.906 20 5 CFBDRN COCc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000096620860 650996103 /nfs/dbraw/zinc/99/61/03/650996103.db2.gz HMTADQYBMXJSCQ-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN CO[C@H](C)COc1cccc(Br)c1[N+](=O)[O-] ZINC000882365489 645291290 /nfs/dbraw/zinc/29/12/90/645291290.db2.gz XDGLASSVEVZFGL-SSDOTTSWSA-N 0 0 290.113 2.771 20 5 CFBDRN CCc1nc(CNc2cccc([N+](=O)[O-])c2C(C)=O)co1 ZINC000882427426 645308714 /nfs/dbraw/zinc/30/87/14/645308714.db2.gz OKJCURDHKDUPNI-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCOCC1CCCC1 ZINC000882435700 645311818 /nfs/dbraw/zinc/31/18/18/645311818.db2.gz IKCCPVUJHKXDJF-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)[C@H]1CCCOC1 ZINC000882445655 645319234 /nfs/dbraw/zinc/31/92/34/645319234.db2.gz MTXRRYQLSDOTOF-JSGCOSHPSA-N 0 0 292.335 2.530 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CSC2)c(C(F)(F)F)c1 ZINC000882625584 645393643 /nfs/dbraw/zinc/39/36/43/645393643.db2.gz KCBZYNAVVZIMGU-UHFFFAOYSA-N 0 0 279.243 2.536 20 5 CFBDRN C[C@@]1(C(F)(F)F)CCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882716934 645428505 /nfs/dbraw/zinc/42/85/05/645428505.db2.gz IEONODVBFOJMST-SNVBAGLBSA-N 0 0 293.220 2.908 20 5 CFBDRN Cc1cnc(N[C@@]2(c3ccccc3)C[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC000882735645 645436514 /nfs/dbraw/zinc/43/65/14/645436514.db2.gz OFFJXLMESPXCBX-AKAXFMLLSA-N 0 0 299.330 2.760 20 5 CFBDRN C[C@H](O)CSc1c(Br)cccc1[N+](=O)[O-] ZINC000882738158 645437808 /nfs/dbraw/zinc/43/78/08/645437808.db2.gz LTVYFZUYUGZIAU-LURJTMIESA-N 0 0 292.154 2.830 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CCC(C)(C)CO1 ZINC000882763621 645446708 /nfs/dbraw/zinc/44/67/08/645446708.db2.gz TTWTVAGPUUMUTQ-LLVKDONJSA-N 0 0 296.371 2.737 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCC(C)(C)CO1 ZINC000882764416 645447844 /nfs/dbraw/zinc/44/78/44/645447844.db2.gz UJPNGIUSZZYAFV-LLVKDONJSA-N 0 0 296.371 2.737 20 5 CFBDRN CC(C)(CNc1ccc2ncc([N+](=O)[O-])n2n1)CC(F)F ZINC000882781189 645454382 /nfs/dbraw/zinc/45/43/82/645454382.db2.gz DKOWREPLYBDDFJ-UHFFFAOYSA-N 0 0 299.281 2.731 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1C[C@H](OC)C1 ZINC000882797862 645459913 /nfs/dbraw/zinc/45/99/13/645459913.db2.gz CSHVCVGWWVRLNS-MJHDQNEOSA-N 0 0 280.324 2.578 20 5 CFBDRN Cc1nn(C)c(NCC[C@H]2CCCC2(F)F)c1[N+](=O)[O-] ZINC000882800452 645461915 /nfs/dbraw/zinc/46/19/15/645461915.db2.gz YFYTUEOMGHZOLT-SECBINFHSA-N 0 0 288.298 2.874 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@H](CF)C2)c1 ZINC000882801566 645462992 /nfs/dbraw/zinc/46/29/92/645462992.db2.gz UUGIQESXGAGHPA-SNVBAGLBSA-N 0 0 268.288 2.789 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@H](CF)C1 ZINC000882802459 645464098 /nfs/dbraw/zinc/46/40/98/645464098.db2.gz DZDWIWXIXJWQET-SECBINFHSA-N 0 0 256.252 2.920 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC(F)CC1 ZINC000882805509 645464947 /nfs/dbraw/zinc/46/49/47/645464947.db2.gz IMUKMAGEKMQLSJ-UHFFFAOYSA-N 0 0 254.261 2.542 20 5 CFBDRN COc1cc(N2CC[C@H](CF)C2)c([N+](=O)[O-])cc1C ZINC000882839293 645475081 /nfs/dbraw/zinc/47/50/81/645475081.db2.gz MOQHYUMHIXKPKF-SNVBAGLBSA-N 0 0 268.288 2.708 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@H](CF)C2)ccc1[N+](=O)[O-] ZINC000882839711 645475145 /nfs/dbraw/zinc/47/51/45/645475145.db2.gz ZOBQLAGIUDODKJ-SNVBAGLBSA-N 0 0 283.303 2.573 20 5 CFBDRN COc1cc(N2CC[C@H](CF)C2)c(F)cc1[N+](=O)[O-] ZINC000882841040 645475888 /nfs/dbraw/zinc/47/58/88/645475888.db2.gz LBHZIEZPFHWLHF-MRVPVSSYSA-N 0 0 272.251 2.538 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@H](c3nccs3)C2)c1 ZINC000882857499 645479851 /nfs/dbraw/zinc/47/98/51/645479851.db2.gz KWMFXPVZRRFUSA-VIFPVBQESA-N 0 0 290.348 2.627 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(N)c1F)[C@H]1CCCOC1 ZINC000882955799 645526482 /nfs/dbraw/zinc/52/64/82/645526482.db2.gz SNKQAOPFEBWAGZ-VHSXEESVSA-N 0 0 297.330 2.933 20 5 CFBDRN CC(C)[C@@H](O)CCOc1ccc([N+](=O)[O-])c2ncccc12 ZINC000882988107 645545552 /nfs/dbraw/zinc/54/55/52/645545552.db2.gz ATIBSHKEFMNFMS-ZDUSSCGKSA-N 0 0 290.319 2.929 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@@H](CF)C1 ZINC000883143446 645623983 /nfs/dbraw/zinc/62/39/83/645623983.db2.gz KYEKBRVUWOVMQY-QMMMGPOBSA-N 0 0 285.250 2.557 20 5 CFBDRN CC[C@H](c1ccncc1)N(CC)Cn1nccc1[N+](=O)[O-] ZINC000131489052 645736296 /nfs/dbraw/zinc/73/62/96/645736296.db2.gz KAMUQWCFVIMWDY-CYBMUJFWSA-N 0 0 289.339 2.617 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)c(F)c1 ZINC000027383716 645743186 /nfs/dbraw/zinc/74/31/86/645743186.db2.gz IUNQOHDSDIAPMO-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000131571804 645755231 /nfs/dbraw/zinc/75/52/31/645755231.db2.gz XZDNIPSOYMZIJO-LLVKDONJSA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000131597686 645756081 /nfs/dbraw/zinc/75/60/81/645756081.db2.gz LMBAMLDPIHPQPX-ZJUUUORDSA-N 0 0 280.299 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCC1(CCO)CC1 ZINC000097818711 651042642 /nfs/dbraw/zinc/04/26/42/651042642.db2.gz WAQZFPVJCZQGGP-UHFFFAOYSA-N 0 0 270.716 2.823 20 5 CFBDRN Cc1ccc(OC(=O)CCOC(C)C)cc1[N+](=O)[O-] ZINC000131746510 645802198 /nfs/dbraw/zinc/80/21/98/645802198.db2.gz PSBQPRNRKKWRJM-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@@H](NC(=O)NC[C@@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000883553336 645885434 /nfs/dbraw/zinc/88/54/34/645885434.db2.gz QIJFXTNTIBMXTN-VXGBXAGGSA-N 0 0 289.335 2.921 20 5 CFBDRN C[N@@H+](Cc1ccc(Cl)c([N+](=O)[O-])c1)C[C@H]1CCC[C@H]1O ZINC000305308942 651057762 /nfs/dbraw/zinc/05/77/62/651057762.db2.gz JBCYQDSVCOSWKC-BXUZGUMPSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(/C=C/c1cccnc1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132552777 645931976 /nfs/dbraw/zinc/93/19/76/645931976.db2.gz ZVDIGBABPXNZAS-ONEGZZNKSA-N 0 0 288.234 2.748 20 5 CFBDRN CC(C)n1nccc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132622044 645942923 /nfs/dbraw/zinc/94/29/23/645942923.db2.gz UFRWFYSKDNFRMQ-UHFFFAOYSA-N 0 0 293.254 2.731 20 5 CFBDRN Cc1ccc(CNC(=O)NC[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000883757808 645961641 /nfs/dbraw/zinc/96/16/41/645961641.db2.gz WYFONQVSQAFDOK-GFCCVEGCSA-N 0 0 289.335 2.669 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1C[C@H]1C1CC1 ZINC000132767488 645963952 /nfs/dbraw/zinc/96/39/52/645963952.db2.gz KXJGLRNOPAOGIW-RYUDHWBXSA-N 0 0 265.240 2.685 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CC1 ZINC000132767291 645964300 /nfs/dbraw/zinc/96/43/00/645964300.db2.gz KXJGLRNOPAOGIW-NWDGAFQWSA-N 0 0 265.240 2.685 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@H]1C[C@H](C)CO1 ZINC000889053085 645979745 /nfs/dbraw/zinc/97/97/45/645979745.db2.gz DZGTZSJDZORTFR-JVXZTZIISA-N 0 0 299.710 2.887 20 5 CFBDRN CC[S@@](=O)CCNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000133180251 646048852 /nfs/dbraw/zinc/04/88/52/646048852.db2.gz OYSIOSTXDWXQIL-IBGZPJMESA-N 0 0 292.307 2.713 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1ccc([N+](=O)[O-])s1 ZINC000800083490 646129479 /nfs/dbraw/zinc/12/94/79/646129479.db2.gz VEOFFDDJKRCZSQ-YFKPBYRVSA-N 0 0 287.190 2.714 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)O1 ZINC000800147824 646156476 /nfs/dbraw/zinc/15/64/76/646156476.db2.gz BKJKPXHHWHPAQP-CABZTGNLSA-N 0 0 296.298 2.938 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1cc(F)ccc1[N+](=O)[O-] ZINC000800153509 646159186 /nfs/dbraw/zinc/15/91/86/646159186.db2.gz OVXIPALHJZLRPH-QMMMGPOBSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H](C)CO1 ZINC000889041460 646166100 /nfs/dbraw/zinc/16/61/00/646166100.db2.gz FEPKGHHSEOXZOG-MADCSZMMSA-N 0 0 298.726 2.920 20 5 CFBDRN O=C(Nc1ccc(F)cn1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134046505 646271536 /nfs/dbraw/zinc/27/15/36/646271536.db2.gz FTLFJFLCUWDQEM-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000134219880 646307406 /nfs/dbraw/zinc/30/74/06/646307406.db2.gz AYOITMUGUPDPHB-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN CSCC[C@@H](C)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000134233709 646308516 /nfs/dbraw/zinc/30/85/16/646308516.db2.gz HPQQLHCRQGYEBV-AYLMVEPYSA-N 0 0 294.376 2.866 20 5 CFBDRN CCC(F)(F)COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000884476859 646385666 /nfs/dbraw/zinc/38/56/66/646385666.db2.gz CQLRXIISYPLDBF-UHFFFAOYSA-N 0 0 277.198 2.936 20 5 CFBDRN Cc1cnc(N(C)CCc2ccccc2[N+](=O)[O-])o1 ZINC000884504499 646404876 /nfs/dbraw/zinc/40/48/76/646404876.db2.gz JWROFAMOUJCMAV-UHFFFAOYSA-N 0 0 261.281 2.570 20 5 CFBDRN CO[C@H](C)CN(C)c1ncc([N+](=O)[O-])c2ccccc21 ZINC000884507853 646406461 /nfs/dbraw/zinc/40/64/61/646406461.db2.gz OEXUWCIQBKGKFW-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000134957160 646414013 /nfs/dbraw/zinc/41/40/13/646414013.db2.gz KEFPRNAINASCRQ-RKDXNWHRSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000134957146 646414051 /nfs/dbraw/zinc/41/40/51/646414051.db2.gz KEFPRNAINASCRQ-IUCAKERBSA-N 0 0 266.272 2.604 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](F)C2)c2ccccc21 ZINC000884518059 646415317 /nfs/dbraw/zinc/41/53/17/646415317.db2.gz DBFQYAOFGHZZGL-SECBINFHSA-N 0 0 261.256 2.691 20 5 CFBDRN CCC1(CNc2ncc([N+](=O)[O-])c3ccccc32)COC1 ZINC000884523520 646420646 /nfs/dbraw/zinc/42/06/46/646420646.db2.gz ZAWMTSRLQASVPJ-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CCCc1[nH]ccc1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884554169 646445187 /nfs/dbraw/zinc/44/51/87/646445187.db2.gz OKPPBPAZDHJGKB-UHFFFAOYSA-N 0 0 289.291 2.627 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884554745 646445635 /nfs/dbraw/zinc/44/56/35/646445635.db2.gz BBUQPXXARNUYNF-PWSUYJOCSA-N 0 0 278.308 2.859 20 5 CFBDRN CO[C@H]1C[C@H](CNc2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000884581267 646467249 /nfs/dbraw/zinc/46/72/49/646467249.db2.gz YKHKOGOJDMABEY-XYPYZODXSA-N 0 0 287.319 2.980 20 5 CFBDRN CCOc1cccc(N2C[C@@H]3CSC[C@@H]3C2)c1[N+](=O)[O-] ZINC000884645683 646505414 /nfs/dbraw/zinc/50/54/14/646505414.db2.gz QGYFNECLFHZZRO-PHIMTYICSA-N 0 0 294.376 2.793 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H]2CSC[C@@H]2C1 ZINC000884645288 646505499 /nfs/dbraw/zinc/50/54/99/646505499.db2.gz YOXWTECMXHDJBN-PHIMTYICSA-N 0 0 264.350 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H]3CSC[C@@H]3C2)c1 ZINC000884645742 646505520 /nfs/dbraw/zinc/50/55/20/646505520.db2.gz TVWFKIMJJRMNEP-PHIMTYICSA-N 0 0 264.350 2.702 20 5 CFBDRN Cc1cc(N2C[C@@H]3CSC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000884645363 646505571 /nfs/dbraw/zinc/50/55/71/646505571.db2.gz CAUDCUVGDYVRSQ-PHIMTYICSA-N 0 0 264.350 2.702 20 5 CFBDRN Nc1ccc(NC[C@H]2CC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000884661046 646514019 /nfs/dbraw/zinc/51/40/19/646514019.db2.gz JTYPYYKIUUUGPH-LLVKDONJSA-N 0 0 277.324 2.548 20 5 CFBDRN CSCc1nc(CNc2cc(C)sc2[N+](=O)[O-])n[nH]1 ZINC000884694136 646530702 /nfs/dbraw/zinc/53/07/02/646530702.db2.gz BWPVJCZATRYRBO-UHFFFAOYSA-N 0 0 299.381 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H]([C@H]3CCCO3)C2)c(F)c1 ZINC000884730118 646543323 /nfs/dbraw/zinc/54/33/23/646543323.db2.gz CLTXBVFABHQOBF-TVQRCGJNSA-N 0 0 298.289 2.878 20 5 CFBDRN Cc1ccc(N2CC[C@@H]([C@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000884731162 646544511 /nfs/dbraw/zinc/54/45/11/646544511.db2.gz UILUTSMHHPPBJR-IUODEOHRSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cccc(N2CC[C@H]([C@@H]3CCCO3)C2)c1[N+](=O)[O-] ZINC000884732206 646545346 /nfs/dbraw/zinc/54/53/46/646545346.db2.gz LLVMGQYQZPTQGX-JSGCOSHPSA-N 0 0 276.336 2.909 20 5 CFBDRN O=C(N[C@H]1CCCc2cccnc21)c1cccc([N+](=O)[O-])c1 ZINC000885399037 646679822 /nfs/dbraw/zinc/67/98/22/646679822.db2.gz GYGSLRNGDYKWGA-AWEZNQCLSA-N 0 0 297.314 2.797 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCCCCCO)c1C ZINC000885424389 646684680 /nfs/dbraw/zinc/68/46/80/646684680.db2.gz PBSPUOQENKADDU-UHFFFAOYSA-N 0 0 295.335 2.921 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCC[C@@H](C)O)c1C ZINC000885423973 646684755 /nfs/dbraw/zinc/68/47/55/646684755.db2.gz ICJATSOUUWCKBT-SNVBAGLBSA-N 0 0 281.308 2.529 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885424506 646684820 /nfs/dbraw/zinc/68/48/20/646684820.db2.gz RKQDSLFAQXMWGD-SNVBAGLBSA-N 0 0 293.319 2.984 20 5 CFBDRN C[C@H](OC(=O)[C@H]1C[C@H](C)CO1)c1cccc([N+](=O)[O-])c1 ZINC000885566592 646707693 /nfs/dbraw/zinc/70/76/93/646707693.db2.gz LSZUIVPMVZGTHN-OUJBWJOFSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H]1CO[C@H](C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000885577458 646710731 /nfs/dbraw/zinc/71/07/31/646710731.db2.gz XLBRWMRASKTGJF-UFBFGSQYSA-N 0 0 299.710 2.716 20 5 CFBDRN CN(CCOc1ccccc1)Cc1cccnc1[N+](=O)[O-] ZINC000885942634 646777437 /nfs/dbraw/zinc/77/74/37/646777437.db2.gz QQCVKIPGDICVHL-UHFFFAOYSA-N 0 0 287.319 2.501 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@]12C[C@H]1CCC2 ZINC000885991786 646799649 /nfs/dbraw/zinc/79/96/49/646799649.db2.gz STSIXMPUULNMKY-BMIGLBTASA-N 0 0 292.310 2.962 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@]12C[C@H]1CCC2 ZINC000886007299 646812035 /nfs/dbraw/zinc/81/20/35/646812035.db2.gz DOJAVKDRUDATCY-BMIGLBTASA-N 0 0 290.319 2.523 20 5 CFBDRN CCCN(Cc1cccnc1[N+](=O)[O-])C[C@@H]1CCCCO1 ZINC000886065025 646850739 /nfs/dbraw/zinc/85/07/39/646850739.db2.gz NQLHBWWSRXMRLL-AWEZNQCLSA-N 0 0 293.367 2.771 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1cccnc1[N+](=O)[O-] ZINC000886181778 646910956 /nfs/dbraw/zinc/91/09/56/646910956.db2.gz TZVWLXFMDPQDHW-PSASIEDQSA-N 0 0 289.257 2.763 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCC[N@@H+]1Cc1cccnc1[N+](=O)[O-] ZINC000886203004 646918436 /nfs/dbraw/zinc/91/84/36/646918436.db2.gz JRGGVJWZDAVYOO-GXTWGEPZSA-N 0 0 293.367 2.505 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC=C(c2ccccn2)C1 ZINC000886217727 646925765 /nfs/dbraw/zinc/92/57/65/646925765.db2.gz GNUHFRZUMFJCNV-UHFFFAOYSA-N 0 0 296.330 2.674 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC[C@@H](OC2CCC2)C1 ZINC000886270683 646943226 /nfs/dbraw/zinc/94/32/26/646943226.db2.gz KCCMPEAUGCRVFE-CQSZACIVSA-N 0 0 291.351 2.523 20 5 CFBDRN C[C@@H]1COC2(CCCC2)CN1Cc1cccnc1[N+](=O)[O-] ZINC000886283779 646952234 /nfs/dbraw/zinc/95/22/34/646952234.db2.gz GYZPROHMZCGJLH-GFCCVEGCSA-N 0 0 291.351 2.523 20 5 CFBDRN CCN(Cc1cccnc1[N+](=O)[O-])[C@H](C)C(F)(F)F ZINC000886308568 646962319 /nfs/dbraw/zinc/96/23/19/646962319.db2.gz GROZRNORBWDRCI-MRVPVSSYSA-N 0 0 277.246 2.763 20 5 CFBDRN C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ncco1 ZINC000886528176 647031257 /nfs/dbraw/zinc/03/12/57/647031257.db2.gz IGAXUJWIGKGWPX-NXEZZACHSA-N 0 0 261.281 2.995 20 5 CFBDRN C[C@H](N[C@@H](CCO)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000886797684 647141774 /nfs/dbraw/zinc/14/17/74/647141774.db2.gz YVZLHATXZDOJOB-KWQFWETISA-N 0 0 292.257 2.559 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCC(C)(C)C2CC2)c1 ZINC000887379243 647294937 /nfs/dbraw/zinc/29/49/37/647294937.db2.gz LEWQIYUAQGMNFJ-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CCOC(C)(C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887398857 647302172 /nfs/dbraw/zinc/30/21/72/647302172.db2.gz IQRCLKACUNLVKC-NSHDSACASA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@@H](CNC(=O)CCCF)c1ccccc1[N+](=O)[O-] ZINC000887398898 647302218 /nfs/dbraw/zinc/30/22/18/647302218.db2.gz JGFTXEUOYCSHIQ-JTQLQIEISA-N 0 0 268.288 2.564 20 5 CFBDRN C[C@@H](CNC(=O)/C=C\C1CC1)c1ccccc1[N+](=O)[O-] ZINC000887402715 647303604 /nfs/dbraw/zinc/30/36/04/647303604.db2.gz HXBROHNEARFFLR-IQQGHNRFSA-N 0 0 274.320 2.781 20 5 CFBDRN C[C@@H](CNC(=O)C1=COCCC1)c1ccccc1[N+](=O)[O-] ZINC000887402336 647303618 /nfs/dbraw/zinc/30/36/18/647303618.db2.gz ANJXJQNKICPAMH-NSHDSACASA-N 0 0 290.319 2.509 20 5 CFBDRN C[C@H](CNC(=O)C1=CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000887402505 647303646 /nfs/dbraw/zinc/30/36/46/647303646.db2.gz DBEBIKRSQQQCIT-LLVKDONJSA-N 0 0 290.319 2.509 20 5 CFBDRN CC[C@H](C)OCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403205 647303790 /nfs/dbraw/zinc/30/37/90/647303790.db2.gz RGQOCAIURGRTSQ-RYUDHWBXSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@@H](CNC(=O)[C@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000887402573 647303826 /nfs/dbraw/zinc/30/38/26/647303826.db2.gz FOAVJIACHGCXAV-CMPLNLGQSA-N 0 0 276.336 2.861 20 5 CFBDRN C[C@H](CNC(=O)[C@@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000887402441 647303859 /nfs/dbraw/zinc/30/38/59/647303859.db2.gz CCFHGSAZUCVZBG-GHMZBOCLSA-N 0 0 294.376 2.568 20 5 CFBDRN CS[C@@H](C)CC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403297 647304740 /nfs/dbraw/zinc/30/47/40/647304740.db2.gz SWVGKHQIQBZOQD-QWRGUYRKSA-N 0 0 296.392 2.956 20 5 CFBDRN C/C=C/C=C\C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404982 647305175 /nfs/dbraw/zinc/30/51/75/647305175.db2.gz QJPBCGKMBABRKP-JCKOQUQLSA-N 0 0 274.320 2.947 20 5 CFBDRN CC1(C)CC[C@H](CCNC(=O)c2ccc([N+](=O)[O-])o2)OC1 ZINC000887424212 647314065 /nfs/dbraw/zinc/31/40/65/647314065.db2.gz RFIBKVLHMGKOFJ-SNVBAGLBSA-N 0 0 296.323 2.513 20 5 CFBDRN CC(C)(C)NC(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000887597088 647367352 /nfs/dbraw/zinc/36/73/52/647367352.db2.gz POLLEMREJRJHGL-UHFFFAOYSA-N 0 0 287.319 2.859 20 5 CFBDRN O=C(CC(F)(F)C(F)F)Nc1ccc([N+](=O)[O-])cc1 ZINC000887732444 647402689 /nfs/dbraw/zinc/40/26/89/647402689.db2.gz UZFSLQRQCFWPHG-UHFFFAOYSA-N 0 0 280.177 2.824 20 5 CFBDRN CSCCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000302276051 647403462 /nfs/dbraw/zinc/40/34/62/647403462.db2.gz BHVZMBMVVLGPIL-UHFFFAOYSA-N 0 0 286.328 2.559 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H]2C)c1C ZINC000889295889 647539444 /nfs/dbraw/zinc/53/94/44/647539444.db2.gz PXOKWWGGKWJJSU-JTQLQIEISA-N 0 0 262.309 2.836 20 5 CFBDRN COCCC(C)(C)NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000889329521 647543330 /nfs/dbraw/zinc/54/33/30/647543330.db2.gz AFEZBZMPYOJYNK-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000889579991 647603245 /nfs/dbraw/zinc/60/32/45/647603245.db2.gz GXWVNGHOIYRBAP-RAIGVLPGSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889611528 647616768 /nfs/dbraw/zinc/61/67/68/647616768.db2.gz KEKRSBNMUIJMRT-ZWKOPEQDSA-N 0 0 292.310 2.581 20 5 CFBDRN C[C@H]1CSCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000889909476 647697642 /nfs/dbraw/zinc/69/76/42/647697642.db2.gz WILLWNDYMKNFDF-JTQLQIEISA-N 0 0 280.349 2.562 20 5 CFBDRN C[C@H]1CSCCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000889917281 647700127 /nfs/dbraw/zinc/70/01/27/647700127.db2.gz ASWPIIRHMNJBCG-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@@H]1CCC(F)(F)C1)[N+](=O)[O-] ZINC000889998341 647721251 /nfs/dbraw/zinc/72/12/51/647721251.db2.gz IQXBZZOJVRLUDV-JTQLQIEISA-N 0 0 292.326 2.764 20 5 CFBDRN CC1(C)CCC[C@@H](CNC(=O)c2ccccc2[N+](=O)[O-])O1 ZINC000890137061 647756812 /nfs/dbraw/zinc/75/68/12/647756812.db2.gz RKSZHYYRSYJXJG-NSHDSACASA-N 0 0 292.335 2.672 20 5 CFBDRN CC1(C)CCC[C@@H](CNC(=O)c2cccc([N+](=O)[O-])c2)O1 ZINC000890139259 647757244 /nfs/dbraw/zinc/75/72/44/647757244.db2.gz DTTCNXKVLLVPFJ-ZDUSSCGKSA-N 0 0 292.335 2.672 20 5 CFBDRN CC1(C)CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])s2)O1 ZINC000890139970 647757861 /nfs/dbraw/zinc/75/78/61/647757861.db2.gz OHUWRPWMLOHPIG-SECBINFHSA-N 0 0 298.364 2.734 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000890421303 647798893 /nfs/dbraw/zinc/79/88/93/647798893.db2.gz HFQJSBUQJCYPBQ-VHSXEESVSA-N 0 0 297.282 2.767 20 5 CFBDRN COc1ccc(C(=O)Oc2ccccc2)cc1[N+](=O)[O-] ZINC000007657759 647868073 /nfs/dbraw/zinc/86/80/73/647868073.db2.gz POCJVDWMXUVYHN-UHFFFAOYSA-N 0 0 273.244 2.823 20 5 CFBDRN CC(C)N1CCC[C@@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000923891086 647905632 /nfs/dbraw/zinc/90/56/32/647905632.db2.gz CKTHUBSWSZTBDB-CQSZACIVSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H](C)N(C)C)n1 ZINC000923888215 647905863 /nfs/dbraw/zinc/90/58/63/647905863.db2.gz YEJFJKXMZHIOGO-SECBINFHSA-N 0 0 276.296 2.576 20 5 CFBDRN C/C=C/COC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923964719 647908903 /nfs/dbraw/zinc/90/89/03/647908903.db2.gz IQPTUKHKVSWNGR-NSCUHMNNSA-N 0 0 267.256 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC3CSC3)n2)s1 ZINC000924005452 647912657 /nfs/dbraw/zinc/91/26/57/647912657.db2.gz LMQYSOJVSBDVRI-UHFFFAOYSA-N 0 0 283.334 2.612 20 5 CFBDRN O=C(Cc1ccc(Cl)c([N+](=O)[O-])c1)OCCCF ZINC000924241182 647946143 /nfs/dbraw/zinc/94/61/43/647946143.db2.gz RVJUMHIGTBFDMR-UHFFFAOYSA-N 0 0 275.663 2.694 20 5 CFBDRN CC[C@H](OC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1)C(C)=O ZINC000924239288 647946368 /nfs/dbraw/zinc/94/63/68/647946368.db2.gz KERAHVFHYJFZLC-LBPRGKRZSA-N 0 0 299.710 2.702 20 5 CFBDRN O=C(C[C@@H]1CC=CCC1)OCc1cccnc1[N+](=O)[O-] ZINC000924249170 647947574 /nfs/dbraw/zinc/94/75/74/647947574.db2.gz FSAUEQLDKAAWIT-LLVKDONJSA-N 0 0 276.292 2.779 20 5 CFBDRN C[C@@H](NC(=O)N1[C@H](C)C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000925242295 648139596 /nfs/dbraw/zinc/13/95/96/648139596.db2.gz ZOJPCFWDWFLENF-OUAUKWLOSA-N 0 0 277.324 2.848 20 5 CFBDRN CC[S@@](C)(=O)=NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000925829338 648336150 /nfs/dbraw/zinc/33/61/50/648336150.db2.gz BLRPKIXTEZMMAZ-GOSISDBHSA-N 0 0 290.728 2.506 20 5 CFBDRN Cc1sc(C(=O)N=[S@](C)(=O)C(C)C)cc1[N+](=O)[O-] ZINC000926067805 648397669 /nfs/dbraw/zinc/39/76/69/648397669.db2.gz JJGZOMFZWPUYQC-GOSISDBHSA-N 0 0 290.366 2.611 20 5 CFBDRN C[C@@H](N[C@H]1C[C@H](C)n2ncnc21)c1ccc([N+](=O)[O-])cc1 ZINC000926891275 648647177 /nfs/dbraw/zinc/64/71/77/648647177.db2.gz IKCSLIVOMGWNKV-CWSCBRNRSA-N 0 0 287.323 2.543 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000928038213 648846245 /nfs/dbraw/zinc/84/62/45/648846245.db2.gz CKGBCJXHEZUDIB-SQFXPHBZSA-N 0 0 278.283 2.508 20 5 CFBDRN CCCCC[C@H](O)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245705 648887595 /nfs/dbraw/zinc/88/75/95/648887595.db2.gz VREUEZXWAOSGMF-RISCZKNCSA-N 0 0 294.351 2.713 20 5 CFBDRN C/C(Cl)=C\C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246120 648887769 /nfs/dbraw/zinc/88/77/69/648887769.db2.gz AMYVSKFFLUSXFH-FCZSHJHJSA-N 0 0 268.700 2.915 20 5 CFBDRN CCOC1CC(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000928245673 648887989 /nfs/dbraw/zinc/88/79/89/648887989.db2.gz USTGHLYENACQPN-PWQPVHBWSA-N 0 0 292.335 2.587 20 5 CFBDRN CS/C=C\C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246500 648888019 /nfs/dbraw/zinc/88/80/19/648888019.db2.gz AKPCVURQMKOKIN-UFGYOYAJSA-N 0 0 266.322 2.649 20 5 CFBDRN CS[C@@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247032 648888642 /nfs/dbraw/zinc/88/86/42/648888642.db2.gz NTZUAKNAAHUOIS-BDAKNGLRSA-N 0 0 268.338 2.524 20 5 CFBDRN CSC[C@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928248257 648888910 /nfs/dbraw/zinc/88/89/10/648888910.db2.gz IKDUPQFIHMZUCT-VHSXEESVSA-N 0 0 282.365 2.771 20 5 CFBDRN CC[C@H](C)OCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928249911 648890446 /nfs/dbraw/zinc/89/04/46/648890446.db2.gz WNTYKHHZVXBMDF-WDEREUQCSA-N 0 0 280.324 2.587 20 5 CFBDRN CNc1ccc(C(=O)NC(C)(C)/C=C\Cl)cc1[N+](=O)[O-] ZINC000928937803 648968165 /nfs/dbraw/zinc/96/81/65/648968165.db2.gz PPPFNXDAIMFOCI-SREVYHEPSA-N 0 0 297.742 2.897 20 5 CFBDRN CCCCNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929150070 648990856 /nfs/dbraw/zinc/99/08/56/648990856.db2.gz IWDAFHUOBVAQCV-UHFFFAOYSA-N 0 0 268.288 2.583 20 5 CFBDRN O=C(NC1CCCC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929196439 649000475 /nfs/dbraw/zinc/00/04/75/649000475.db2.gz ATICPFUANWOAQG-KBPBESRZSA-N 0 0 274.320 2.757 20 5 CFBDRN CC1CCN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000929208753 649002750 /nfs/dbraw/zinc/00/27/50/649002750.db2.gz FJWQEINBPKRTNF-HUUCEWRRSA-N 0 0 288.347 2.957 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@]12CCO[C@@H]1CCCC2 ZINC000929498176 649061328 /nfs/dbraw/zinc/06/13/28/649061328.db2.gz PYAOHQKVMNAMFY-HIFRSBDPSA-N 0 0 291.303 2.850 20 5 CFBDRN O=[N+]([O-])CCNC1(c2ccc(Cl)c(Cl)c2)CC1 ZINC000929895340 649145901 /nfs/dbraw/zinc/14/59/01/649145901.db2.gz ZEOHVXXBPJAYGG-UHFFFAOYSA-N 0 0 275.135 2.849 20 5 CFBDRN O=[N+]([O-])CCNC1(c2cccc(C(F)(F)F)c2)CC1 ZINC000929959005 649156131 /nfs/dbraw/zinc/15/61/31/649156131.db2.gz MQSKZBCMPJHFTH-UHFFFAOYSA-N 0 0 274.242 2.561 20 5 CFBDRN COC/C(C)=C\CN1CCc2c1cccc2[N+](=O)[O-] ZINC000930090023 649182541 /nfs/dbraw/zinc/18/25/41/649182541.db2.gz KOQZQRLPPOIOLE-WDZFZDKYSA-N 0 0 262.309 2.550 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NCC1CCC1 ZINC000930156604 649195251 /nfs/dbraw/zinc/19/52/51/649195251.db2.gz ZSMHFKUMLLLLRD-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN COc1ccc(CNC(=O)CCC2(C)CC2)cc1[N+](=O)[O-] ZINC000930338626 649233108 /nfs/dbraw/zinc/23/31/08/649233108.db2.gz MJJBVMBNNRYURR-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2F)o1 ZINC000035699799 649299706 /nfs/dbraw/zinc/29/97/06/649299706.db2.gz PHWFDKNTDXYFJE-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000930882963 649342621 /nfs/dbraw/zinc/34/26/21/649342621.db2.gz NFPBGXZXFSKNFC-OLZOCXBDSA-N 0 0 293.323 2.544 20 5 CFBDRN C[C@@H]1C[C@@H]2C[C@@H]2C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000930921599 649350010 /nfs/dbraw/zinc/35/00/10/649350010.db2.gz SXXOGYOBWMIZJT-BPGGGUHBSA-N 0 0 288.347 2.893 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CC[C@H]1C(F)F ZINC000931419016 649420609 /nfs/dbraw/zinc/42/06/09/649420609.db2.gz OGPQULIDGQBIQG-KWQFWETISA-N 0 0 256.252 2.995 20 5 CFBDRN CCOc1cc(NC(=O)NC/C=C/Cl)ccc1[N+](=O)[O-] ZINC000931902643 649506354 /nfs/dbraw/zinc/50/63/54/649506354.db2.gz ILRLCIYMNSOQDY-ZZXKWVIFSA-N 0 0 299.714 2.868 20 5 CFBDRN Cn1nccc1[C@H]1CCCN1Cc1csc([N+](=O)[O-])c1 ZINC000932269507 649572526 /nfs/dbraw/zinc/57/25/26/649572526.db2.gz KTBDKRRYOVLQBD-GFCCVEGCSA-N 0 0 292.364 2.727 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933177639 649677790 /nfs/dbraw/zinc/67/77/90/649677790.db2.gz ACJJVXVOEJPKQT-SNPRPXQTSA-N 0 0 286.331 2.923 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933177644 649677791 /nfs/dbraw/zinc/67/77/91/649677791.db2.gz ACJJVXVOEJPKQT-YUELXQCFSA-N 0 0 286.331 2.923 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])c(F)c1)C(C)(C)C(=O)OC ZINC000933193223 649678813 /nfs/dbraw/zinc/67/88/13/649678813.db2.gz MREFQYQPHBJPPP-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000933367317 649689287 /nfs/dbraw/zinc/68/92/87/649689287.db2.gz VXKQEWVDVRPTJH-BAESOJJISA-N 0 0 288.347 2.955 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NCC1(C)CC1 ZINC000934066063 649743555 /nfs/dbraw/zinc/74/35/55/649743555.db2.gz LXBUNQLNBAIFRJ-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000934133428 649754480 /nfs/dbraw/zinc/75/44/80/649754480.db2.gz YWSUBSBSVCUMLK-CHWSQXEVSA-N 0 0 284.743 2.857 20 5 CFBDRN CCCCCC[C@H](C)NC(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000098097551 651068414 /nfs/dbraw/zinc/06/84/14/651068414.db2.gz LYLJRTVVKCYIQD-JTQLQIEISA-N 0 0 296.371 2.725 20 5 CFBDRN O=Cc1c[nH]nc1C=Cc1cc([N+](=O)[O-])ccc1Cl ZINC000098125566 651070125 /nfs/dbraw/zinc/07/01/25/651070125.db2.gz PRJLJSUDOKEXPT-DAFODLJHSA-N 0 0 277.667 2.954 20 5 CFBDRN C[C@@H](OC(=O)c1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000098161122 651072967 /nfs/dbraw/zinc/07/29/67/651072967.db2.gz KQWRNUVTGGZCOU-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN CCc1cc(C(=O)O[C@H](C)c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000098161094 651073058 /nfs/dbraw/zinc/07/30/58/651073058.db2.gz RHRYXWAZUYKCSY-SECBINFHSA-N 0 0 289.291 2.798 20 5 CFBDRN Cc1ccc(C[N@H+]2CCCC[C@@H]2[C@H](C)O)cc1[N+](=O)[O-] ZINC000305725304 651073411 /nfs/dbraw/zinc/07/34/11/651073411.db2.gz GJMREWUHLHMDSO-GXTWGEPZSA-N 0 0 278.352 2.639 20 5 CFBDRN CC(C)CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000013791107 651180111 /nfs/dbraw/zinc/18/01/11/651180111.db2.gz RUFMOLVRUWQDEJ-UHFFFAOYSA-N 0 0 253.327 2.537 20 5 CFBDRN Cn1cccc1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116063281 651278608 /nfs/dbraw/zinc/27/86/08/651278608.db2.gz VFYPAVNBURHIMR-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)n(C)n1 ZINC000019402736 651290303 /nfs/dbraw/zinc/29/03/03/651290303.db2.gz XKWWOYPITHISEP-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1nc(Cl)nc(NC(=N)C(C)(C)C)c1[N+](=O)[O-] ZINC001155175377 782243682 /nfs/dbraw/zinc/24/36/82/782243682.db2.gz DMYVMGYUOWZZRO-UHFFFAOYSA-N 0 0 271.708 2.782 20 5 CFBDRN CCN(CC(C)(C)O)c1nc(Cl)cc(C)c1[N+](=O)[O-] ZINC001167159992 770114659 /nfs/dbraw/zinc/11/46/59/770114659.db2.gz IGYVENSHNFGTRL-UHFFFAOYSA-N 0 0 287.747 2.549 20 5 CFBDRN CCn1nnnc1SCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000706580391 770437680 /nfs/dbraw/zinc/43/76/80/770437680.db2.gz CJVQQXHDKGBDET-UHFFFAOYSA-N 0 0 299.743 2.547 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])s1)[C@@H]1CCCOC1 ZINC000723878086 770702529 /nfs/dbraw/zinc/70/25/29/770702529.db2.gz ZIIWRTJJNHDGKU-BDAKNGLRSA-N 0 0 271.342 2.668 20 5 CFBDRN O=C1CC(CNc2cc3cccnc3c([N+](=O)[O-])c2)C1 ZINC001168394307 778784104 /nfs/dbraw/zinc/78/41/04/778784104.db2.gz AADPRXUWDHNFLT-UHFFFAOYSA-N 0 0 271.276 2.534 20 5 CFBDRN O=[N+]([O-])c1cccc(N2CC(c3ccccc3)C2)n1 ZINC001167061651 769881996 /nfs/dbraw/zinc/88/19/96/769881996.db2.gz SWSKRBKLFIGKPB-UHFFFAOYSA-N 0 0 255.277 2.594 20 5 CFBDRN Cc1c([N+](=O)[O-])cc[n+]([O-])c1N(C)CC1CCCCC1 ZINC001167097175 769994695 /nfs/dbraw/zinc/99/46/95/769994695.db2.gz RKIGXOVBCKINSP-UHFFFAOYSA-N 0 0 279.340 2.553 20 5 CFBDRN CN(CC1CCCCC1)c1cc(N)c([N+](=O)[O-])cn1 ZINC001167096943 769995157 /nfs/dbraw/zinc/99/51/57/769995157.db2.gz MXNKKXYIYLSDOZ-UHFFFAOYSA-N 0 0 264.329 2.589 20 5 CFBDRN Cc1cc2c(cn1)CN(c1ncc([N+](=O)[O-])cc1Cl)C2 ZINC001167107035 770029444 /nfs/dbraw/zinc/02/94/44/770029444.db2.gz ITONCBTVOHSYHI-UHFFFAOYSA-N 0 0 290.710 2.867 20 5 CFBDRN CCC(CC)N(CC)c1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001167111856 770036530 /nfs/dbraw/zinc/03/65/30/770036530.db2.gz ZPXCXCYDAYSFPM-UHFFFAOYSA-N 0 0 282.300 2.913 20 5 CFBDRN CCC(CC)N(CC)c1ccc([N+](=O)[O-])c(N)n1 ZINC001167111421 770037305 /nfs/dbraw/zinc/03/73/05/770037305.db2.gz LZBOGKHGXHVHKW-UHFFFAOYSA-N 0 0 252.318 2.587 20 5 CFBDRN CCC(CC)N(CC)c1c(C)c([N+](=O)[O-])cc[n+]1[O-] ZINC001167111369 770037519 /nfs/dbraw/zinc/03/75/19/770037519.db2.gz LLQQFQJGLWHIEX-UHFFFAOYSA-N 0 0 267.329 2.552 20 5 CFBDRN CCN(CC(C)(C)O)c1nccc2ccc([N+](=O)[O-])cc21 ZINC001167157040 770111389 /nfs/dbraw/zinc/11/13/89/770111389.db2.gz VADAMCVLNZBRFP-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN CNC(=O)c1cccc(Nc2cccc([N+](=O)[O-])c2)c1 ZINC001204456401 770126607 /nfs/dbraw/zinc/12/66/07/770126607.db2.gz LXLAUPHKEFPYBS-UHFFFAOYSA-N 0 0 271.276 2.698 20 5 CFBDRN CC(=O)N(CCN(C)c1ccc(C)cc1[N+](=O)[O-])C(C)C ZINC001125337356 770158759 /nfs/dbraw/zinc/15/87/59/770158759.db2.gz WTYMWQXGBVTYTH-UHFFFAOYSA-N 0 0 293.367 2.596 20 5 CFBDRN CC(=O)c1ncccc1Nc1ccc(N)c([N+](=O)[O-])c1 ZINC001209935226 770165949 /nfs/dbraw/zinc/16/59/49/770165949.db2.gz RCVPWRBBCAHLGK-UHFFFAOYSA-N 0 0 272.264 2.518 20 5 CFBDRN COc1ncc(Nc2ccc(C)cc2O)cc1[N+](=O)[O-] ZINC001210137431 770216387 /nfs/dbraw/zinc/21/63/87/770216387.db2.gz AOPCFLNYFMWOFP-UHFFFAOYSA-N 0 0 275.264 2.756 20 5 CFBDRN Cc1ccc(O)c(Nc2cccc([N+](=O)[O-])c2F)n1 ZINC001210377810 770273044 /nfs/dbraw/zinc/27/30/44/770273044.db2.gz ADFSIXYKRKWYJN-UHFFFAOYSA-N 0 0 263.228 2.887 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C1(C)CC1 ZINC000706264763 770274586 /nfs/dbraw/zinc/27/45/86/770274586.db2.gz QBWPBZVRNXTBJI-QMMMGPOBSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C1(C)CC1 ZINC000706264761 770274983 /nfs/dbraw/zinc/27/49/83/770274983.db2.gz QBWPBZVRNXTBJI-MRVPVSSYSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1cccnc1Nc1cccc([N+](=O)[O-])c1F ZINC001210378947 770275182 /nfs/dbraw/zinc/27/51/82/770275182.db2.gz OWWBHDYPOYJSDK-UHFFFAOYSA-N 0 0 263.228 2.881 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC/C=C\Cl ZINC000891202991 770355355 /nfs/dbraw/zinc/35/53/55/770355355.db2.gz YQTQKYJVDDUNGC-ARJAWSKDSA-N 0 0 268.700 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cc(Cl)ccn2)n1 ZINC000432169224 770399530 /nfs/dbraw/zinc/39/95/30/770399530.db2.gz KGZPEFKDBBFOLP-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1cc(N)c2ccnc-2n1-c1ccc(F)cc1[N+](=O)[O-] ZINC001210952349 770403812 /nfs/dbraw/zinc/40/38/12/770403812.db2.gz FAITXDOUYZLYJC-UHFFFAOYSA-N 0 0 286.266 2.915 20 5 CFBDRN NC(=O)c1ccc(F)cc1Nc1ccc(F)cc1[N+](=O)[O-] ZINC001210953503 770404096 /nfs/dbraw/zinc/40/40/96/770404096.db2.gz KHVPPPPTSNWDDO-UHFFFAOYSA-N 0 0 293.229 2.716 20 5 CFBDRN CCc1nocc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC001266630945 770458977 /nfs/dbraw/zinc/45/89/77/770458977.db2.gz HKGXZOGQQXGJMG-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CC(=O)NCC1(Nc2ccc(C)cc2[N+](=O)[O-])CCCC1 ZINC001104357950 770583037 /nfs/dbraw/zinc/58/30/37/770583037.db2.gz MQWJORUEMDIEJH-UHFFFAOYSA-N 0 0 291.351 2.764 20 5 CFBDRN CC[C@@H](Nc1nccc(C)c1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723870923 770696928 /nfs/dbraw/zinc/69/69/28/770696928.db2.gz NEEVCAPVBYLROF-NWDGAFQWSA-N 0 0 279.340 2.915 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCC(=O)C1CC1 ZINC000588445147 770705657 /nfs/dbraw/zinc/70/56/57/770705657.db2.gz LDKHPZNXMPJSMZ-CSKARUKUSA-N 0 0 289.287 2.520 20 5 CFBDRN Cc1nn(C)c(NC[C@@]23C[C@@H]2CCCC3)c1[N+](=O)[O-] ZINC000693522899 770769746 /nfs/dbraw/zinc/76/97/46/770769746.db2.gz MZIYUKGTBSTJSE-GXFFZTMASA-N 0 0 264.329 2.629 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(CC1(O)CCCCCC1)C2 ZINC001167549823 770886092 /nfs/dbraw/zinc/88/60/92/770886092.db2.gz QLCOTBRVILLSFN-UHFFFAOYSA-N 0 0 290.363 2.996 20 5 CFBDRN Cc1cnn2cc(Nc3ccc(C)c([N+](=O)[O-])c3)cnc12 ZINC001213663537 773210785 /nfs/dbraw/zinc/21/07/85/773210785.db2.gz LJRRQEBIFFIMRP-UHFFFAOYSA-N 0 0 283.291 2.998 20 5 CFBDRN C[C@H]1C[C@@H](OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC001224097139 775100605 /nfs/dbraw/zinc/10/06/05/775100605.db2.gz RZEXVLXDXDOHQI-CABZTGNLSA-N 0 0 296.279 2.587 20 5 CFBDRN CC[C@H](C)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001224095309 775100770 /nfs/dbraw/zinc/10/07/70/775100770.db2.gz VTQZVRSMOLSHAM-QMMMGPOBSA-N 0 0 254.242 2.818 20 5 CFBDRN C[C@H](OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC001224094627 775100865 /nfs/dbraw/zinc/10/08/65/775100865.db2.gz ASZFERZIASINAV-QMMMGPOBSA-N 0 0 266.253 2.818 20 5 CFBDRN C[C@@H]1C[C@H](OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC001224097138 775101145 /nfs/dbraw/zinc/10/11/45/775101145.db2.gz RZEXVLXDXDOHQI-BXKDBHETSA-N 0 0 296.279 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2CCCc3cccnc32)cn1 ZINC001224108117 775102447 /nfs/dbraw/zinc/10/24/47/775102447.db2.gz CNQDPJWQNCUARP-CYBMUJFWSA-N 0 0 285.303 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2CC(F)(F)C2(F)F)cn1 ZINC001224113128 775103321 /nfs/dbraw/zinc/10/33/21/775103321.db2.gz HHAQNWQNEBKOTN-SSDOTTSWSA-N 0 0 280.177 2.549 20 5 CFBDRN CCO[C@@H](C)COCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224186013 775122355 /nfs/dbraw/zinc/12/23/55/775122355.db2.gz WBGKHGMLXGPRSP-JTQLQIEISA-N 0 0 268.313 2.548 20 5 CFBDRN C[C@H](OCCN1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC001224282086 775143878 /nfs/dbraw/zinc/14/38/78/775143878.db2.gz IOPJOKOOUFTFER-LBPRGKRZSA-N 0 0 280.324 2.700 20 5 CFBDRN CN(CC1CCCCC1)c1ccc([N+](=O)[O-])c(N)n1 ZINC000167818076 775144657 /nfs/dbraw/zinc/14/46/57/775144657.db2.gz VXWSCIZOJDYMBP-UHFFFAOYSA-N 0 0 264.329 2.589 20 5 CFBDRN O=[N+]([O-])C[C@H](OCCOc1ccc2c(c1)CCC2)C1CC1 ZINC001224302820 775149700 /nfs/dbraw/zinc/14/97/00/775149700.db2.gz QKZZVARQZVDGJS-INIZCTEOSA-N 0 0 291.347 2.626 20 5 CFBDRN O=[N+]([O-])c1ncccc1-c1nc(-c2ccccc2F)no1 ZINC001213171986 775154128 /nfs/dbraw/zinc/15/41/28/775154128.db2.gz KCRWKTSTCMKFOY-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(CCOC2CC(F)(F)C2)cc1 ZINC001224331670 775158872 /nfs/dbraw/zinc/15/88/72/775158872.db2.gz RLBAAAUASATFDM-UHFFFAOYSA-N 0 0 257.236 2.952 20 5 CFBDRN COC(C[C@@H](C)OCCc1ccc([N+](=O)[O-])cc1)OC ZINC001224331275 775159225 /nfs/dbraw/zinc/15/92/25/775159225.db2.gz OLJGORMNFFKKFW-LLVKDONJSA-N 0 0 283.324 2.551 20 5 CFBDRN CCOCC(COCC)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224330281 775159614 /nfs/dbraw/zinc/15/96/14/775159614.db2.gz FCNUPFZOYYKFQN-UHFFFAOYSA-N 0 0 297.351 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC23CC(O)C3)c(C(F)F)c1 ZINC000724930969 775184322 /nfs/dbraw/zinc/18/43/22/775184322.db2.gz JDVYKNZAOOEMEE-SAVVLTDYSA-N 0 0 284.262 2.858 20 5 CFBDRN Cc1cnc(N[C@@H](CCO)C2CCCC2)c([N+](=O)[O-])c1 ZINC000725038495 775242038 /nfs/dbraw/zinc/24/20/38/775242038.db2.gz VNOFRNIQLCMNOX-LBPRGKRZSA-N 0 0 279.340 2.651 20 5 CFBDRN CC(C)=CCCO[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001224717173 775257940 /nfs/dbraw/zinc/25/79/40/775257940.db2.gz TZPXXNOYWKCNRJ-ZDUSSCGKSA-N 0 0 250.298 2.772 20 5 CFBDRN C[C@H]1CC[C@@H](COCCOc2ccc([N+](=O)[O-])cc2)O1 ZINC001224777202 775271265 /nfs/dbraw/zinc/27/12/65/775271265.db2.gz OCXQGOAHVLGOSA-FZMZJTMJSA-N 0 0 281.308 2.558 20 5 CFBDRN CC(=O)N1CC[C@@H](CO[C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC001225011278 775333936 /nfs/dbraw/zinc/33/39/36/775333936.db2.gz JCGTXCOQKAKYAZ-DGCLKSJQSA-N 0 0 292.335 2.541 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccccc1)c1ccc2c(c1)OCO2 ZINC001225196788 775362826 /nfs/dbraw/zinc/36/28/26/775362826.db2.gz ZXGCHJKYFFDDSO-OAHLLOKOSA-N 0 0 287.271 2.812 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccc(C)cc1 ZINC001225261003 775382271 /nfs/dbraw/zinc/38/22/71/775382271.db2.gz NCXLWMTXFQUTFB-LLVKDONJSA-N 0 0 275.308 2.876 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccc(Cl)cn1 ZINC001225274543 775387238 /nfs/dbraw/zinc/38/72/38/775387238.db2.gz GJNHZPRPHNHRPN-MRVPVSSYSA-N 0 0 296.714 2.616 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccc(F)c(F)c1 ZINC001225313817 775406364 /nfs/dbraw/zinc/40/63/64/775406364.db2.gz ROLRADOHYQHMDW-MRVPVSSYSA-N 0 0 297.261 2.845 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382208 775437423 /nfs/dbraw/zinc/43/74/23/775437423.db2.gz MRRSGUNMQPDGMU-ZWNOBZJWSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccc(C)nc1C ZINC001225433637 775454002 /nfs/dbraw/zinc/45/40/02/775454002.db2.gz QJIGUMMLZIBRBP-JTQLQIEISA-N 0 0 290.323 2.579 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225578666 775509416 /nfs/dbraw/zinc/50/94/16/775509416.db2.gz OYDCSJHIMXPQJX-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN C[NH+](C)C1CCC(Oc2cccc([O-])c2[N+](=O)[O-])CC1 ZINC001225619786 775526844 /nfs/dbraw/zinc/52/68/44/775526844.db2.gz ISESWRDYRKUPQW-UHFFFAOYSA-N 0 0 280.324 2.552 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@H]1CCc2ccccc2O1 ZINC001225628522 775531624 /nfs/dbraw/zinc/53/16/24/775531624.db2.gz OEYOLRJIICSCQS-GFCCVEGCSA-N 0 0 286.287 2.762 20 5 CFBDRN C[C@@H](Oc1cccnc1[N+](=O)[O-])c1cccnc1F ZINC001225629909 775531684 /nfs/dbraw/zinc/53/16/84/775531684.db2.gz SUKQEFLEVRBYKJ-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H](COCc1ccccc1)Oc1cccnc1[N+](=O)[O-] ZINC001225629190 775532240 /nfs/dbraw/zinc/53/22/40/775532240.db2.gz GISVQJKMWHAURL-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN CC1=C(Oc2cccc(C)c2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001225636391 775534776 /nfs/dbraw/zinc/53/47/76/775534776.db2.gz WZQQWECJMZQXLW-SECBINFHSA-N 0 0 263.249 2.501 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cn1)c1cccnc1F ZINC001225634755 775534815 /nfs/dbraw/zinc/53/48/15/775534815.db2.gz OAQSJHSWHJSYCH-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2nccc3ccccc32)cn1 ZINC001167703081 775541030 /nfs/dbraw/zinc/54/10/30/775541030.db2.gz RTZGDRKLEQHKKI-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN Cc1cc(O[C@@H](C)c2cccnc2F)ncc1[N+](=O)[O-] ZINC001225659177 775545170 /nfs/dbraw/zinc/54/51/70/775545170.db2.gz OCXQJJORWMKBJU-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cc(OC2CCSCC2)ncc1[N+](=O)[O-] ZINC001225664059 775546815 /nfs/dbraw/zinc/54/68/15/775546815.db2.gz XKLZSTIHGWJQEL-UHFFFAOYSA-N 0 0 254.311 2.573 20 5 CFBDRN C[C@@H](COc1[nH]ccc(=O)c1[N+](=O)[O-])Oc1ccccc1 ZINC001225664932 775548343 /nfs/dbraw/zinc/54/83/43/775548343.db2.gz UQIWFXXUOSOVBP-JTQLQIEISA-N 0 0 290.275 2.542 20 5 CFBDRN C[C@H](COc1[nH]ccc(=O)c1[N+](=O)[O-])Oc1ccccc1 ZINC001225664933 775548882 /nfs/dbraw/zinc/54/88/82/775548882.db2.gz UQIWFXXUOSOVBP-SNVBAGLBSA-N 0 0 290.275 2.542 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225668361 775549960 /nfs/dbraw/zinc/54/99/60/775549960.db2.gz ZAJICKXETLSYIE-JTQLQIEISA-N 0 0 255.245 2.870 20 5 CFBDRN C[C@H](Cc1ccccc1)Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225668193 775550667 /nfs/dbraw/zinc/55/06/67/775550667.db2.gz ZURULROTSJOOMW-SNVBAGLBSA-N 0 0 274.276 2.705 20 5 CFBDRN CC1=C(Oc2cc(C)ccc2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001225681506 775557040 /nfs/dbraw/zinc/55/70/40/775557040.db2.gz AHPQIXPGEJYHBA-SECBINFHSA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1c(O[C@@H]2C=C(C)OC(=O)C2)cccc1[N+](=O)[O-] ZINC001225690240 775560143 /nfs/dbraw/zinc/56/01/43/775560143.db2.gz UWWYYTQQHHILKG-SNVBAGLBSA-N 0 0 263.249 2.501 20 5 CFBDRN COc1ccc(O[C@@H](C)c2ncccn2)c([N+](=O)[O-])c1 ZINC001225766861 775586369 /nfs/dbraw/zinc/58/63/69/775586369.db2.gz FMGRWHBJKBQCDR-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN COc1ccc(O[C@H]2COc3cnccc32)c([N+](=O)[O-])c1 ZINC001225773573 775587353 /nfs/dbraw/zinc/58/73/53/775587353.db2.gz YZAKBXPTIRIDAM-AWEZNQCLSA-N 0 0 288.259 2.511 20 5 CFBDRN COc1ccc(O[C@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC001225770695 775588050 /nfs/dbraw/zinc/58/80/50/775588050.db2.gz ZSLJJYIXZMRQPA-RBZYPMLTSA-N 0 0 261.277 2.947 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)cc1OC[C@@H]1CCCCO1 ZINC001225778721 775590023 /nfs/dbraw/zinc/59/00/23/775590023.db2.gz JUSWJFYROUZBMF-QMMMGPOBSA-N 0 0 273.235 2.821 20 5 CFBDRN C[C@H](Oc1cc(F)c(F)cc1[N+](=O)[O-])c1cncnc1 ZINC001225777918 775590084 /nfs/dbraw/zinc/59/00/84/775590084.db2.gz CUGPEVAISBFSSU-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(F)c(F)cc1[N+](=O)[O-])OC ZINC001225779741 775590242 /nfs/dbraw/zinc/59/02/42/775590242.db2.gz QUEWVZKBYXFKPY-SSDOTTSWSA-N 0 0 291.250 2.649 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)cc1OC[C@H]1CCCCO1 ZINC001225778716 775590266 /nfs/dbraw/zinc/59/02/66/775590266.db2.gz JUSWJFYROUZBMF-MRVPVSSYSA-N 0 0 273.235 2.821 20 5 CFBDRN CC[C@H](COC)Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225777970 775590715 /nfs/dbraw/zinc/59/07/15/775590715.db2.gz DYDQXWKKQVDGJU-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1cccc([N+](=O)[O-])c1F ZINC001225795084 775595874 /nfs/dbraw/zinc/59/58/74/775595874.db2.gz BYTDBTVGOZQMEQ-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN CC1(C)OC[C@H](CCOc2cccc([N+](=O)[O-])c2F)O1 ZINC001225795005 775595908 /nfs/dbraw/zinc/59/59/08/775595908.db2.gz ZQMDWCDGCHRXIP-VIFPVBQESA-N 0 0 285.271 2.654 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1cccc([N+](=O)[O-])c1F ZINC001225796438 775595943 /nfs/dbraw/zinc/59/59/43/775595943.db2.gz LDJIUCYIVAMRSP-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1cccc(OC2CC[NH+](C3CC3)CC2)c1F ZINC001225794404 775596516 /nfs/dbraw/zinc/59/65/16/775596516.db2.gz PRULXQUBCZCDDR-UHFFFAOYSA-N 0 0 280.299 2.740 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc([N+](=O)[O-])c1OC1CCC1 ZINC001225888900 775624761 /nfs/dbraw/zinc/62/47/61/775624761.db2.gz HZEAOGSDHJIIFT-UHFFFAOYSA-N 0 0 256.189 2.573 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1O[C@H]1COc2cnccc21 ZINC001225907854 775631483 /nfs/dbraw/zinc/63/14/83/775631483.db2.gz SVKSZEOWQPTFOJ-ZDUSSCGKSA-N 0 0 276.223 2.641 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1O[C@@H]1CCCC2(C1)OCCO2 ZINC001225908220 775631515 /nfs/dbraw/zinc/63/15/15/775631515.db2.gz ZBFSETAJUYCRQQ-LLVKDONJSA-N 0 0 297.282 2.798 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225912124 775632553 /nfs/dbraw/zinc/63/25/53/775632553.db2.gz FABCABFDJXGSAN-SECBINFHSA-N 0 0 257.261 2.928 20 5 CFBDRN CC1(C)OC[C@H](CCOc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC001225911820 775632598 /nfs/dbraw/zinc/63/25/98/775632598.db2.gz COMMBQXLHZQVKX-JTQLQIEISA-N 0 0 285.271 2.654 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(F)c1)c1cncnc1 ZINC001225916442 775634105 /nfs/dbraw/zinc/63/41/05/775634105.db2.gz RHXJMVMPHGFFJQ-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCO2)cc1C(F)(F)F ZINC001225919925 775635119 /nfs/dbraw/zinc/63/51/19/775635119.db2.gz ZTAINTYJDFERIT-MRVPVSSYSA-N 0 0 277.198 2.781 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1F)C1CCOCC1 ZINC001225947984 775645172 /nfs/dbraw/zinc/64/51/72/775645172.db2.gz PFSHTBMUOXUWMI-VIFPVBQESA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@@H]1C[C@H](Oc2c(F)c(F)ccc2[N+](=O)[O-])CCO1 ZINC001225962245 775649095 /nfs/dbraw/zinc/64/90/95/775649095.db2.gz DLRBOBGZVXXZAP-HTQZYQBOSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@H](Oc1c(F)c(F)ccc1[N+](=O)[O-])c1ncccn1 ZINC001225960080 775649345 /nfs/dbraw/zinc/64/93/45/775649345.db2.gz OUSNVDOUUJLIPP-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN CCCC(=O)N(C)CCCN(C)c1ccccc1[N+](=O)[O-] ZINC001112020790 775660351 /nfs/dbraw/zinc/66/03/51/775660351.db2.gz BIUMVWHQUWFIIV-UHFFFAOYSA-N 0 0 293.367 2.680 20 5 CFBDRN O=C1CCC(Oc2c(F)cccc2[N+](=O)[O-])CC1 ZINC001226007047 775664615 /nfs/dbraw/zinc/66/46/15/775664615.db2.gz IXXFQERNSUBZOQ-UHFFFAOYSA-N 0 0 253.229 2.624 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226008841 775666282 /nfs/dbraw/zinc/66/62/82/775666282.db2.gz ILRWZVQOBVAANB-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@@H](Oc1c(F)cccc1[N+](=O)[O-])C1CCOCC1 ZINC001226008213 775666647 /nfs/dbraw/zinc/66/66/47/775666647.db2.gz YSEHVUTWTQTLCQ-SECBINFHSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1ccnc(O[C@@H](C)c2cccnc2F)c1[N+](=O)[O-] ZINC001226117276 775705330 /nfs/dbraw/zinc/70/53/30/775705330.db2.gz BEEBVAULTUZRQR-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN COc1ccc([C@H](C)Oc2nccc(C)c2[N+](=O)[O-])cn1 ZINC001226120179 775707346 /nfs/dbraw/zinc/70/73/46/775707346.db2.gz RYDNQJRIIDXOCF-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C[C@H]1C ZINC001226167406 775723185 /nfs/dbraw/zinc/72/31/85/775723185.db2.gz IVTVWXBVISTSDN-CKYFFXLPSA-N 0 0 292.335 2.897 20 5 CFBDRN CC(C)C[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168202 775723406 /nfs/dbraw/zinc/72/34/06/775723406.db2.gz PTVNZAROYTVART-SECBINFHSA-N 0 0 266.297 2.507 20 5 CFBDRN CC1CCC(Oc2ccc([N+](=O)[O-])cc2C(N)=O)CC1 ZINC001226168122 775723649 /nfs/dbraw/zinc/72/36/49/775723649.db2.gz NRVOUGFKGSUNCU-UHFFFAOYSA-N 0 0 278.308 2.651 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226180177 775725880 /nfs/dbraw/zinc/72/58/80/775725880.db2.gz KMAGGYVLIZRYQS-CPCISQLKSA-N 0 0 273.235 2.677 20 5 CFBDRN COC(=O)Cc1ccc(O[C@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001226215515 775739846 /nfs/dbraw/zinc/73/98/46/775739846.db2.gz XTIVAVMPCGPIJL-JQWIXIFHSA-N 0 0 293.319 2.878 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2cc([N+](=O)[O-])ccc2F)C1 ZINC001226269944 775763392 /nfs/dbraw/zinc/76/33/92/775763392.db2.gz LBAJCWTZKZMZGX-GXSJLCMTSA-N 0 0 297.282 2.845 20 5 CFBDRN COc1cc(NC2CC3(CCC3)C2)ncc1[N+](=O)[O-] ZINC001161446241 775765485 /nfs/dbraw/zinc/76/54/85/775765485.db2.gz LNWBSIYXPYZRDT-UHFFFAOYSA-N 0 0 263.297 2.743 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)[n+]([O-])c(NC2CC3(CCC3)C2)c1 ZINC001161447399 775769474 /nfs/dbraw/zinc/76/94/74/775769474.db2.gz ZUJMHFUFORJUCO-UHFFFAOYSA-N 0 0 283.715 2.626 20 5 CFBDRN CC(=O)c1ccc(OC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC001226292260 775770128 /nfs/dbraw/zinc/77/01/28/775770128.db2.gz KHFGEJLMRYFPNB-UHFFFAOYSA-N 0 0 271.219 2.974 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnccc1Cl)c1cccnc1 ZINC001226294301 775770151 /nfs/dbraw/zinc/77/01/51/775770151.db2.gz REUXPVYJEUDMHY-LBPRGKRZSA-N 0 0 279.683 2.527 20 5 CFBDRN CC1(C)C[C@@H](Oc2c(O)cccc2[N+](=O)[O-])CCO1 ZINC001226334475 775782670 /nfs/dbraw/zinc/78/26/70/775782670.db2.gz VEHQBSCOSTWROE-VIFPVBQESA-N 0 0 267.281 2.637 20 5 CFBDRN C[C@@H](Oc1c(O)cccc1[N+](=O)[O-])c1ccccn1 ZINC001226333861 775782694 /nfs/dbraw/zinc/78/26/94/775782694.db2.gz OQEYTFPIVXMJJX-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN CC(C)CCOC(=O)[C@H](C)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226333346 775783105 /nfs/dbraw/zinc/78/31/05/775783105.db2.gz KCBGIODJKZKXFX-JTQLQIEISA-N 0 0 297.307 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001226364429 775790617 /nfs/dbraw/zinc/79/06/17/775790617.db2.gz LTLWAIDDGGCLEH-QXFUBDJGSA-N 0 0 266.684 2.987 20 5 CFBDRN C[C@H](O)C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] ZINC001226387194 775799048 /nfs/dbraw/zinc/79/90/48/775799048.db2.gz ZFUJVXSZKXIKBQ-JTQLQIEISA-N 0 0 287.271 2.548 20 5 CFBDRN CC(C)(C)c1ccc(O[C@H]2CCCOC2=O)c([N+](=O)[O-])c1 ZINC001226445990 775825381 /nfs/dbraw/zinc/82/53/81/775825381.db2.gz IZCWQNMBFJILBO-ZDUSSCGKSA-N 0 0 293.319 2.977 20 5 CFBDRN C[C@@H](CNc1cc[nH]c(=O)c1[N+](=O)[O-])C(C)(C)C ZINC000695470008 775849420 /nfs/dbraw/zinc/84/94/20/775849420.db2.gz WLNDOQSUUXIACH-QMMMGPOBSA-N 0 0 253.302 2.790 20 5 CFBDRN C[C@@H](O)CC(=O)Oc1ccc2c(c1)oc(=O)c1ccccc21 ZINC001226510569 775853396 /nfs/dbraw/zinc/85/33/96/775853396.db2.gz CYAIVLHHGCUNSZ-SNVBAGLBSA-N 0 0 298.294 2.623 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](C)c1ncccn1 ZINC001226529262 775861134 /nfs/dbraw/zinc/86/11/34/775861134.db2.gz FJWHAPTVADOOCU-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H](C)c1cncnc1 ZINC001226530385 775862115 /nfs/dbraw/zinc/86/21/15/775862115.db2.gz BVBXNLUGIUWSSU-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](C)c1cncnc1 ZINC001226530387 775862210 /nfs/dbraw/zinc/86/22/10/775862210.db2.gz BVBXNLUGIUWSSU-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CCO[C@@H](C)COc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226530384 775863062 /nfs/dbraw/zinc/86/30/62/775863062.db2.gz BTHRAEPVFAGLQP-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1noc2cc(O[C@@H](C[N+](=O)[O-])c3cccnc3)ccc12 ZINC001226536238 775865429 /nfs/dbraw/zinc/86/54/29/775865429.db2.gz UZJSQVWNXIXUAB-HNNXBMFYSA-N 0 0 299.286 2.928 20 5 CFBDRN COc1cc(O[C@H](C)C2CCCC2)ncc1[N+](=O)[O-] ZINC001226578580 775880300 /nfs/dbraw/zinc/88/03/00/775880300.db2.gz JVCFUHXEDOGWSQ-SECBINFHSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1cc(O[C@@H](C)c2cccnc2F)ncc1[N+](=O)[O-] ZINC001226579678 775881176 /nfs/dbraw/zinc/88/11/76/775881176.db2.gz SFMZAJUXLBSGSH-QMMMGPOBSA-N 0 0 293.254 2.673 20 5 CFBDRN COc1cc(O[C@@H]2CCC[C@H](C)C2)ncc1[N+](=O)[O-] ZINC001226582696 775881748 /nfs/dbraw/zinc/88/17/48/775881748.db2.gz ZAFDQVAEVDJIJT-VHSXEESVSA-N 0 0 266.297 2.956 20 5 CFBDRN CC(C)[C@@H](Oc1ccc([N+](=O)[O-])nc1)C(F)(F)F ZINC001226710828 775934178 /nfs/dbraw/zinc/93/41/78/775934178.db2.gz WGPQJGHHXCCCKY-SECBINFHSA-N 0 0 264.203 2.956 20 5 CFBDRN COc1ccccc1[C@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226731219 775941350 /nfs/dbraw/zinc/94/13/50/775941350.db2.gz MGLZOQKBDSLAHA-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2c(F)cc([N+](=O)[O-])cc2F)=C1C ZINC001226743290 775943611 /nfs/dbraw/zinc/94/36/11/775943611.db2.gz BNXQJNALMKXFSP-JTQLQIEISA-N 0 0 299.229 2.861 20 5 CFBDRN CC[C@H](COC)Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226744088 775944521 /nfs/dbraw/zinc/94/45/21/775944521.db2.gz LBJVCLQCHSCVGY-MRVPVSSYSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1OC[C@@H]1Cc2ccccc2O1 ZINC001226757066 775950389 /nfs/dbraw/zinc/95/03/89/775950389.db2.gz VTMLXCRPDVUFDA-NSHDSACASA-N 0 0 290.250 2.511 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)C(F)(F)F ZINC001226761931 775953267 /nfs/dbraw/zinc/95/32/67/775953267.db2.gz OEVSWDRFJDIIFX-LURJTMIESA-N 0 0 250.176 2.628 20 5 CFBDRN CCOC(=O)C1CC(Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226762157 775953317 /nfs/dbraw/zinc/95/33/17/775953317.db2.gz OJGJBEMQNLJKSA-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1ncc([N+](=O)[O-])cc1C ZINC001226764551 775954465 /nfs/dbraw/zinc/95/44/65/775954465.db2.gz KQYFBGFWQSQLMJ-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H]1CCCC[C@H]1F ZINC001226765725 775954818 /nfs/dbraw/zinc/95/48/18/775954818.db2.gz USZPFNHARWHMLX-MNOVXSKESA-N 0 0 254.261 2.958 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2CCCC3(C2)OCCO3)c1 ZINC001226767150 775954826 /nfs/dbraw/zinc/95/48/26/775954826.db2.gz HCXBRWHRLGEVNS-CYBMUJFWSA-N 0 0 279.292 2.659 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2COc3cscc3O2)c1 ZINC001226768710 775956501 /nfs/dbraw/zinc/95/65/01/775956501.db2.gz QSENGSSPVIBMOE-NSHDSACASA-N 0 0 293.300 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OC2CC3(COC3)C2)c1 ZINC001226809546 775973094 /nfs/dbraw/zinc/97/30/94/775973094.db2.gz DRKQTLJJKNRAJN-UHFFFAOYSA-N 0 0 269.684 2.806 20 5 CFBDRN Cc1ccnc(COc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000692682133 775974295 /nfs/dbraw/zinc/97/42/95/775974295.db2.gz SJYNYICHIDBUPT-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1nc3ncccc3o1)C2 ZINC001226812316 775974780 /nfs/dbraw/zinc/97/47/80/775974780.db2.gz SAZATIRDALVICJ-GFCCVEGCSA-N 0 0 297.270 2.677 20 5 CFBDRN Cc1c(F)cnc(NC2(C3CCOCC3)CC2)c1[N+](=O)[O-] ZINC001167744076 775987568 /nfs/dbraw/zinc/98/75/68/775987568.db2.gz MSNUPJJYOSLGFL-UHFFFAOYSA-N 0 0 295.314 2.808 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc(Cl)cc1Cl)C1CC1 ZINC001226893792 776006151 /nfs/dbraw/zinc/00/61/51/776006151.db2.gz KNDPFVGEWMJMQV-VIFPVBQESA-N 0 0 277.107 2.823 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1OC1CCOCC1 ZINC001226903622 776010417 /nfs/dbraw/zinc/01/04/17/776010417.db2.gz AZLPRFRYNWNRRH-UHFFFAOYSA-N 0 0 275.663 2.945 20 5 CFBDRN COC[C@H](C)Oc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC001226909661 776013813 /nfs/dbraw/zinc/01/38/13/776013813.db2.gz ZRTCNHPWGQJFCS-LURJTMIESA-N 0 0 263.652 2.801 20 5 CFBDRN CC1=C(Oc2ccc(C)c([N+](=O)[O-])c2)C(=O)O[C@@H]1C ZINC001226950384 776031183 /nfs/dbraw/zinc/03/11/83/776031183.db2.gz IXBGHKORGVESCO-SECBINFHSA-N 0 0 263.249 2.501 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC001226953142 776032390 /nfs/dbraw/zinc/03/23/90/776032390.db2.gz SZRNCJLWXHUAMM-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2cncnc2)cc1[N+](=O)[O-] ZINC001226952647 776033040 /nfs/dbraw/zinc/03/30/40/776033040.db2.gz HXLOVPUTQYHKED-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC001226953109 776033174 /nfs/dbraw/zinc/03/31/74/776033174.db2.gz SBIFTPLTHDNZIB-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2c(C)ccc([N+](=O)[O-])c2Cl)C1 ZINC001226988916 776050017 /nfs/dbraw/zinc/05/00/17/776050017.db2.gz KUEABOBASOZWMH-KYZUINATSA-N 0 0 299.710 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@H]1CCO[C@H](C)C1 ZINC001226991544 776051139 /nfs/dbraw/zinc/05/11/39/776051139.db2.gz ANENHBWWVOMIKO-PWSUYJOCSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1O[C@H]1CO[C@H]2OCC[C@@H]12 ZINC001226990747 776051918 /nfs/dbraw/zinc/05/19/18/776051918.db2.gz XZZDIHUNAGROHO-GMOODISLSA-N 0 0 299.710 2.697 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1cc([N+](=O)[O-])ccc1C ZINC001226992108 776052989 /nfs/dbraw/zinc/05/29/89/776052989.db2.gz IEZKALMXXLBNOH-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])ccc1C ZINC001226995060 776054812 /nfs/dbraw/zinc/05/48/12/776054812.db2.gz KMWDQCZCPXGCOA-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2CCC[C@@H]2C)c1 ZINC001227046655 776072495 /nfs/dbraw/zinc/07/24/95/776072495.db2.gz JQEXKSGMFIUNJJ-JOYOIKCWSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CCC(C)(C)O2)n1 ZINC001227063928 776080720 /nfs/dbraw/zinc/08/07/20/776080720.db2.gz AQJZREHNIOJVGP-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN CC[C@H](Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)C ZINC001227111952 776101653 /nfs/dbraw/zinc/10/16/53/776101653.db2.gz UQDJBPRDVFWQFI-JTQLQIEISA-N 0 0 269.257 2.711 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@H](C)C(F)(F)F ZINC001227121888 776106000 /nfs/dbraw/zinc/10/60/00/776106000.db2.gz GYWRLMPXGROYNU-ZCFIWIBFSA-N 0 0 293.197 2.711 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(Cl)cc1OC[C@@H]1COCO1 ZINC001227130052 776108555 /nfs/dbraw/zinc/10/85/55/776108555.db2.gz PBYCEDFEKYPLFU-LURJTMIESA-N 0 0 294.090 2.653 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H](C)c1cnn(C)c1C ZINC001227184060 776127131 /nfs/dbraw/zinc/12/71/31/776127131.db2.gz CACOPVHANIZSRO-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H](C)c1cnn(C)c1C ZINC001227184059 776127227 /nfs/dbraw/zinc/12/72/27/776127227.db2.gz CACOPVHANIZSRO-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN CCO[C@H](C)COc1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC001227219499 776142309 /nfs/dbraw/zinc/14/23/09/776142309.db2.gz HQZKOPCRWYSQHF-SECBINFHSA-N 0 0 292.291 2.652 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc(Cl)c(Cl)c1)C1CC1 ZINC001227230043 776147183 /nfs/dbraw/zinc/14/71/83/776147183.db2.gz YDRWCJAAKKNUGP-VIFPVBQESA-N 0 0 277.107 2.823 20 5 CFBDRN Cc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c2c1CCC2 ZINC001227232520 776147780 /nfs/dbraw/zinc/14/77/80/776147780.db2.gz UAZIVAJJCZWMIG-OAHLLOKOSA-N 0 0 261.321 2.918 20 5 CFBDRN CC[C@H](COC)Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227233847 776147988 /nfs/dbraw/zinc/14/79/88/776147988.db2.gz IDSSZTZCXLTNJQ-SECBINFHSA-N 0 0 266.253 2.540 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2nc3ccc([N+](=O)[O-])cc3o2)CCO1 ZINC001227232490 776148253 /nfs/dbraw/zinc/14/82/53/776148253.db2.gz SRPZFNKYMJDXPM-SCZZXKLOSA-N 0 0 278.264 2.682 20 5 CFBDRN C[C@H]1C[C@@H](Oc2nc3ccc([N+](=O)[O-])cc3o2)CCO1 ZINC001227232494 776148644 /nfs/dbraw/zinc/14/86/44/776148644.db2.gz SRPZFNKYMJDXPM-WPRPVWTQSA-N 0 0 278.264 2.682 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227235952 776149137 /nfs/dbraw/zinc/14/91/37/776149137.db2.gz MXIVMWSWILNNIY-WPRPVWTQSA-N 0 0 278.264 2.540 20 5 CFBDRN CCCCOC[C@@H](C)Oc1ncc(C)cc1[N+](=O)[O-] ZINC001227235638 776149916 /nfs/dbraw/zinc/14/99/16/776149916.db2.gz VKHSKIJCRBLUNS-LLVKDONJSA-N 0 0 268.313 2.882 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227235950 776150008 /nfs/dbraw/zinc/15/00/08/776150008.db2.gz MXIVMWSWILNNIY-SCZZXKLOSA-N 0 0 278.264 2.540 20 5 CFBDRN O=C1CCCC[C@H]1Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227236416 776150764 /nfs/dbraw/zinc/15/07/64/776150764.db2.gz SRSOZDBDPMUZLF-SNVBAGLBSA-N 0 0 276.248 2.627 20 5 CFBDRN Cc1cnc(O[C@H](C)c2ncccc2F)c([N+](=O)[O-])c1 ZINC001227236564 776151057 /nfs/dbraw/zinc/15/10/57/776151057.db2.gz HEXRZZDXFXCFIG-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN C[C@H]1CC[C@@H](COc2nc3c(cccc3[N+](=O)[O-])o2)O1 ZINC001227239533 776152755 /nfs/dbraw/zinc/15/27/55/776152755.db2.gz XUNGCZAJEQJDSM-IUCAKERBSA-N 0 0 278.264 2.682 20 5 CFBDRN CC[C@H](COC)Oc1nc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001227243730 776154014 /nfs/dbraw/zinc/15/40/14/776154014.db2.gz LKGPFWFJXGTSSP-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN COC(=O)c1ccc(OC2CCSCC2)c([N+](=O)[O-])c1 ZINC001227295958 776172814 /nfs/dbraw/zinc/17/28/14/776172814.db2.gz SBYALFZVQRWRLU-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN CCOC(=O)c1ccc(O[C@H](CC)COC)c([N+](=O)[O-])c1 ZINC001227297122 776173769 /nfs/dbraw/zinc/17/37/69/776173769.db2.gz LOARKJZWTGDVHY-LLVKDONJSA-N 0 0 297.307 2.575 20 5 CFBDRN COC(=O)c1ccc(O[C@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC001227296447 776173926 /nfs/dbraw/zinc/17/39/26/776173926.db2.gz XOHBMAJLMSLSLN-ZCFIWIBFSA-N 0 0 293.197 2.711 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccc3c(c1)OCO3)C2 ZINC001227297004 776174015 /nfs/dbraw/zinc/17/40/15/776174015.db2.gz XFWJZTVVNADFII-CQSZACIVSA-N 0 0 299.282 2.870 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC001227298388 776174065 /nfs/dbraw/zinc/17/40/65/776174065.db2.gz PZVXOJHXGNZUHZ-GFCCVEGCSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1cc(CNc2ccc3cc([N+](=O)[O-])ccc3n2)nn1C ZINC001167766715 776174660 /nfs/dbraw/zinc/17/46/60/776174660.db2.gz RCJVPFPZIORVKD-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CCOC(=O)c1ccc(O[C@@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC001227298943 776175158 /nfs/dbraw/zinc/17/51/58/776175158.db2.gz PAUGOWYIFKBCTQ-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)sn1)c1cccnc1 ZINC001227377085 776187710 /nfs/dbraw/zinc/18/77/10/776187710.db2.gz BBUQPEQEBUADIZ-QMMMGPOBSA-N 0 0 285.712 2.588 20 5 CFBDRN C[C@@H](OCc1cncn1C)c1cccc([N+](=O)[O-])c1 ZINC001222600090 776187803 /nfs/dbraw/zinc/18/78/03/776187803.db2.gz IQBZJXNWDBTTSF-SNVBAGLBSA-N 0 0 261.281 2.606 20 5 CFBDRN COc1cc(NCC2SCCS2)ccc1[N+](=O)[O-] ZINC000692810880 776196350 /nfs/dbraw/zinc/19/63/50/776196350.db2.gz KRDGXFRXPGTTLK-UHFFFAOYSA-N 0 0 286.378 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC001227463159 776206884 /nfs/dbraw/zinc/20/68/84/776206884.db2.gz NRXKHBFFHJACHS-UHFFFAOYSA-N 0 0 274.179 2.680 20 5 CFBDRN CC(=O)c1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc1 ZINC001227465001 776208722 /nfs/dbraw/zinc/20/87/22/776208722.db2.gz QPEHGTXKWBJFTE-OAHLLOKOSA-N 0 0 286.287 2.681 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(F)(F)F ZINC001227463108 776208920 /nfs/dbraw/zinc/20/89/20/776208920.db2.gz NFWISEHGLCCQOV-RXMQYKEDSA-N 0 0 280.158 2.833 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])c(OC2CCCC2)c([N+](=O)[O-])c1 ZINC001227498050 776214370 /nfs/dbraw/zinc/21/43/70/776214370.db2.gz KOSNDDCGYHSKHZ-UHFFFAOYSA-N 0 0 297.223 2.733 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])c(O[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC001227502125 776216787 /nfs/dbraw/zinc/21/67/87/776216787.db2.gz VJQPCCRBDBXLAA-QMMMGPOBSA-N 0 0 295.207 2.509 20 5 CFBDRN CC1(C)OC[C@H](CCOc2ccc([N+](=O)[O-])cc2)O1 ZINC001227521338 776218895 /nfs/dbraw/zinc/21/88/95/776218895.db2.gz QDPBJDPTNUALJD-LBPRGKRZSA-N 0 0 267.281 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CN(Cc3ccccc3)C2)cc1 ZINC001227521280 776219093 /nfs/dbraw/zinc/21/90/93/776219093.db2.gz ORTRUBMILKJFEC-UHFFFAOYSA-N 0 0 284.315 2.858 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cc(C)ccc1O ZINC001227539029 776224329 /nfs/dbraw/zinc/22/43/29/776224329.db2.gz ORMQNAOKSMMXHK-JTQLQIEISA-N 0 0 291.307 2.581 20 5 CFBDRN O=[N+]([O-])c1cc(OC(F)(F)F)ccc1O[C@@H]1CCOC1 ZINC001227602145 776239463 /nfs/dbraw/zinc/23/94/63/776239463.db2.gz PPWYXSQIBAXGRI-MRVPVSSYSA-N 0 0 293.197 2.661 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CCCC[C@@H]2F)c2[nH]cnc21 ZINC001227691937 776258893 /nfs/dbraw/zinc/25/88/93/776258893.db2.gz RWDDDENDAHACFZ-CBAPKCEASA-N 0 0 280.259 2.526 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nc(Cl)ccc1F)c1cccnc1 ZINC001227883694 776315840 /nfs/dbraw/zinc/31/58/40/776315840.db2.gz RTIBYTMHGDICJV-SNVBAGLBSA-N 0 0 297.673 2.666 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC001227895755 776321152 /nfs/dbraw/zinc/32/11/52/776321152.db2.gz CPGWXIJUTYSACG-NSHDSACASA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2cncc([N+](=O)[O-])c2)cn1 ZINC001227986599 776351390 /nfs/dbraw/zinc/35/13/90/776351390.db2.gz BDXNHMQIBYPQCA-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@H]1CN2CCC1CC2 ZINC001228000517 776355704 /nfs/dbraw/zinc/35/57/04/776355704.db2.gz MBEOAPKJCWZPOZ-LBPRGKRZSA-N 0 0 282.727 2.721 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228002921 776356449 /nfs/dbraw/zinc/35/64/49/776356449.db2.gz SRAKTFFMFOTPAI-XVKPBYJWSA-N 0 0 257.673 2.662 20 5 CFBDRN CCO[C@H](C)COc1cc([N+](=O)[O-])cc(F)c1F ZINC001228015603 776364007 /nfs/dbraw/zinc/36/40/07/776364007.db2.gz FAOCPOBEZHGDRK-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CC(C)(C)N1CC(Oc2cc([N+](=O)[O-])cc(F)c2F)C1 ZINC001228016025 776364201 /nfs/dbraw/zinc/36/42/01/776364201.db2.gz IKTBCOVXEMGTQA-UHFFFAOYSA-N 0 0 286.278 2.735 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228016902 776364845 /nfs/dbraw/zinc/36/48/45/776364845.db2.gz QQYAAXLPLUCCGI-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1nccc(Cl)c1[N+](=O)[O-] ZINC001228018069 776365739 /nfs/dbraw/zinc/36/57/39/776365739.db2.gz UUCUZTFSAOFEAE-SECBINFHSA-N 0 0 272.688 2.780 20 5 CFBDRN Cc1nc(N)ccc1CNc1c(Cl)nccc1[N+](=O)[O-] ZINC001167784995 776370170 /nfs/dbraw/zinc/37/01/70/776370170.db2.gz GPYHZNXIHISOBU-UHFFFAOYSA-N 0 0 293.714 2.541 20 5 CFBDRN COc1ccc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC001228056173 776379605 /nfs/dbraw/zinc/37/96/05/776379605.db2.gz OPBDPRXFCIXZFR-AWEZNQCLSA-N 0 0 286.287 2.545 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ccnc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228058868 776381163 /nfs/dbraw/zinc/38/11/63/776381163.db2.gz YECIAGZAHXUXIE-HTQZYQBOSA-N 0 0 272.688 2.590 20 5 CFBDRN CC(C)=CCC[C@@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228140734 776406638 /nfs/dbraw/zinc/40/66/38/776406638.db2.gz MURYACSGYLDPTG-SECBINFHSA-N 0 0 267.285 2.604 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228139715 776406869 /nfs/dbraw/zinc/40/68/69/776406869.db2.gz XJFJZGMGVGOGFX-GWCFXTLKSA-N 0 0 288.303 2.947 20 5 CFBDRN C[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1ccccc1Cl ZINC001228142276 776407944 /nfs/dbraw/zinc/40/79/44/776407944.db2.gz CQHNIEDAVSLKCW-SSDOTTSWSA-N 0 0 295.682 2.884 20 5 CFBDRN CC[C@H](C[C@@H](C)CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228144183 776408280 /nfs/dbraw/zinc/40/82/80/776408280.db2.gz SLMNWFXSKHFYFD-DTWKUNHWSA-N 0 0 269.301 2.684 20 5 CFBDRN O=C1OCC[C@H]1Oc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC001228153071 776410662 /nfs/dbraw/zinc/41/06/62/776410662.db2.gz ASUJPTKXNMUIFG-MRVPVSSYSA-N 0 0 292.074 2.596 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC[C@@H]2COCO2)cc1Cl ZINC001228152054 776410806 /nfs/dbraw/zinc/41/08/06/776410806.db2.gz LMQKJJMUELVWSZ-LURJTMIESA-N 0 0 294.090 2.653 20 5 CFBDRN O=C1OCC[C@H]1Oc1ccc2c(c1)oc1ccccc1c2=O ZINC001228180285 776417286 /nfs/dbraw/zinc/41/72/86/776417286.db2.gz QQTKJZSQANELFB-CQSZACIVSA-N 0 0 296.278 2.641 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228256297 776435564 /nfs/dbraw/zinc/43/55/64/776435564.db2.gz UYTMBLQIFGZAPQ-JTQLQIEISA-N 0 0 287.699 2.969 20 5 CFBDRN C[C@H](O)CCCC(=O)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228257808 776435632 /nfs/dbraw/zinc/43/56/32/776435632.db2.gz MTCDOATUERUYOJ-QMMMGPOBSA-N 0 0 287.699 2.705 20 5 CFBDRN CC1=C(Oc2cc(Cl)cc([N+](=O)[O-])c2)C(=O)O[C@@H]1C ZINC001228257110 776435698 /nfs/dbraw/zinc/43/56/98/776435698.db2.gz GGZGTCJQJXQAMP-SSDOTTSWSA-N 0 0 283.667 2.846 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228255788 776436074 /nfs/dbraw/zinc/43/60/74/776436074.db2.gz LOCWQOXAAZTKQF-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@H](C3CC3)O2)ncc1[N+](=O)[O-] ZINC000722333766 776479293 /nfs/dbraw/zinc/47/92/93/776479293.db2.gz ODVHSIPHRUAHPK-DGCLKSJQSA-N 0 0 277.324 2.668 20 5 CFBDRN CC(=O)c1cc(C)c(C)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001228433877 776490235 /nfs/dbraw/zinc/49/02/35/776490235.db2.gz KQTGPJVPFBMPJL-HNNXBMFYSA-N 0 0 277.320 2.940 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@@H]1CCOC[C@@H]1F ZINC001228450196 776495597 /nfs/dbraw/zinc/49/55/97/776495597.db2.gz IXADUFHPQLYJOA-WCQYABFASA-N 0 0 297.282 2.612 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1OC1CCOCC1 ZINC001228454189 776496608 /nfs/dbraw/zinc/49/66/08/776496608.db2.gz VAHFIVFWHRBOBC-UHFFFAOYSA-N 0 0 279.292 2.664 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1S(=O)(=O)Oc1ccccc1 ZINC000027933380 776525657 /nfs/dbraw/zinc/52/56/57/776525657.db2.gz GHDKTKQMPPFBSQ-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN O=c1[n-]c(C2CC2)nc(OC(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC001228604924 776537447 /nfs/dbraw/zinc/53/74/47/776537447.db2.gz YJSLOQRHZHXSTO-UHFFFAOYSA-N 0 0 291.307 2.535 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cc(F)cc(F)c2[N+](=O)[O-])CCO1 ZINC001228610867 776538398 /nfs/dbraw/zinc/53/83/98/776538398.db2.gz ADJTVQCBEVYFMA-CBAPKCEASA-N 0 0 273.235 2.819 20 5 CFBDRN CCC[C@@H](Oc1ncncc1[N+](=O)[O-])C(C)(C)C ZINC001228654030 776553636 /nfs/dbraw/zinc/55/36/36/776553636.db2.gz DXTXFVJBVDDKRV-SNVBAGLBSA-N 0 0 253.302 2.978 20 5 CFBDRN C[C@H](Oc1ncncc1[N+](=O)[O-])c1ccccc1F ZINC001228656934 776554407 /nfs/dbraw/zinc/55/44/07/776554407.db2.gz KZYFOYYIUZGWIC-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1cc2[nH]c(O[C@@H]3CCO[C@@H](C)C3)nc2cc1[N+](=O)[O-] ZINC001228674602 776561866 /nfs/dbraw/zinc/56/18/66/776561866.db2.gz JYSWUJHYDOUBOG-VHSXEESVSA-N 0 0 291.307 2.726 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC001228684987 776567916 /nfs/dbraw/zinc/56/79/16/776567916.db2.gz COZQOZANWHEVPV-SCZZXKLOSA-N 0 0 266.297 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)c2cccnc2F)c1[N+](=O)[O-] ZINC001228690303 776568995 /nfs/dbraw/zinc/56/89/95/776568995.db2.gz ZREHNANVTKNDQU-QMMMGPOBSA-N 0 0 293.254 2.678 20 5 CFBDRN CO[C@H](C)CCOCc1cccc([N+](=O)[O-])c1C ZINC001223331571 776585457 /nfs/dbraw/zinc/58/54/57/776585457.db2.gz MCKTVNOEYNXUSU-SNVBAGLBSA-N 0 0 253.298 2.845 20 5 CFBDRN CN(C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CCOC1 ZINC000106938419 776606680 /nfs/dbraw/zinc/60/66/80/776606680.db2.gz GUKAHJPXOFCRJX-SECBINFHSA-N 0 0 299.714 2.501 20 5 CFBDRN Cc1cc(O[C@@H]2CCn3ccnc32)cc([N+](=O)[O-])c1 ZINC001228832936 776625654 /nfs/dbraw/zinc/62/56/54/776625654.db2.gz GFHXNJWUDGVIRV-GFCCVEGCSA-N 0 0 259.265 2.624 20 5 CFBDRN Cc1cc(O[C@H](C)c2cnccn2)cc([N+](=O)[O-])c1 ZINC001228836705 776627456 /nfs/dbraw/zinc/62/74/56/776627456.db2.gz CBHBGFKMUQVIKT-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@H](C(C)(C)C)C1 ZINC000893637077 776629790 /nfs/dbraw/zinc/62/97/90/776629790.db2.gz WGIFODMUWRYMFS-JTQLQIEISA-N 0 0 266.345 2.591 20 5 CFBDRN Cc1cnc(CO[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC001223362135 776634559 /nfs/dbraw/zinc/63/45/59/776634559.db2.gz WSCVWOMPXZVOLZ-NSHDSACASA-N 0 0 273.292 2.971 20 5 CFBDRN CCC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(OC)c1 ZINC001228873842 776642149 /nfs/dbraw/zinc/64/21/49/776642149.db2.gz GUBXCVZEXIJROQ-OAHLLOKOSA-N 0 0 293.319 2.722 20 5 CFBDRN CO[C@@H](COc1cnccc1[N+](=O)[O-])c1ccccc1 ZINC001228880825 776645337 /nfs/dbraw/zinc/64/53/37/776645337.db2.gz XKXWNCBNIIWQAK-AWEZNQCLSA-N 0 0 274.276 2.756 20 5 CFBDRN Cc1ccc([C@H](C)Oc2cnccc2[N+](=O)[O-])cn1 ZINC001228880040 776645417 /nfs/dbraw/zinc/64/54/17/776645417.db2.gz PPDKNFZNNLRJDA-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC(=O)[C@H](Oc1cnccc1[N+](=O)[O-])c1ccccc1 ZINC001228882845 776645502 /nfs/dbraw/zinc/64/55/02/776645502.db2.gz QIFJKDIJTNKXFO-AWEZNQCLSA-N 0 0 272.260 2.699 20 5 CFBDRN C[C@H](Oc1cccc2c1CNC2=O)c1cccc([N+](=O)[O-])c1 ZINC001228902499 776651410 /nfs/dbraw/zinc/65/14/10/776651410.db2.gz TWKVWXVROYISMI-JTQLQIEISA-N 0 0 298.298 2.978 20 5 CFBDRN CC(=O)[C@H](C)Oc1c([N+](=O)[O-])cccc1C(F)(F)F ZINC001228929549 776660790 /nfs/dbraw/zinc/66/07/90/776660790.db2.gz DPEMJLRGQSJHTQ-ZETCQYMHSA-N 0 0 277.198 2.970 20 5 CFBDRN CCN1CC(Oc2c([N+](=O)[O-])cccc2C(F)(F)F)C1 ZINC001228931803 776661799 /nfs/dbraw/zinc/66/17/99/776661799.db2.gz YQSWJZPSCFOOGO-UHFFFAOYSA-N 0 0 290.241 2.697 20 5 CFBDRN CO[C@@H](C)CCOc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228970138 776671904 /nfs/dbraw/zinc/67/19/04/776671904.db2.gz GKHYKBGTKYEIFD-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228972381 776674005 /nfs/dbraw/zinc/67/40/05/776674005.db2.gz ZOAMXQUUIMDIHC-LBPRGKRZSA-N 0 0 295.291 2.518 20 5 CFBDRN CCN1CCC[C@@H](Oc2c(C(C)=O)cccc2[N+](=O)[O-])C1 ZINC001228972308 776674739 /nfs/dbraw/zinc/67/47/39/776674739.db2.gz YUKLNYPARCWOLL-GFCCVEGCSA-N 0 0 292.335 2.661 20 5 CFBDRN CCN1CCC[C@H](Oc2c(C(C)=O)cccc2[N+](=O)[O-])C1 ZINC001228972309 776674783 /nfs/dbraw/zinc/67/47/83/776674783.db2.gz YUKLNYPARCWOLL-LBPRGKRZSA-N 0 0 292.335 2.661 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1CCO[C@H](C)C1 ZINC001228977323 776675985 /nfs/dbraw/zinc/67/59/85/776675985.db2.gz NIKVTZZPRWHPRI-MWLCHTKSSA-N 0 0 279.292 2.744 20 5 CFBDRN N=CNc1cc([N+](=O)[O-])cc2cc(Br)cnc21 ZINC001167868333 776687416 /nfs/dbraw/zinc/68/74/16/776687416.db2.gz IXSSAWGFVDVUKS-UHFFFAOYSA-N 0 0 295.096 2.924 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](C)C(C)C ZINC001229056850 776702891 /nfs/dbraw/zinc/70/28/91/776702891.db2.gz VVUHKKZOCWWYGN-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccn3ccnc3c1)C2 ZINC001229105386 776720299 /nfs/dbraw/zinc/72/02/99/776720299.db2.gz TZGWNBMJLPDVFM-OAHLLOKOSA-N 0 0 295.298 2.789 20 5 CFBDRN CC[C@@H](COC)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114549 776722436 /nfs/dbraw/zinc/72/24/36/776722436.db2.gz LWBSDWHDOIDNFK-BCPZQOPPSA-N 0 0 251.282 2.738 20 5 CFBDRN CC(=O)c1cccc(OC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC001229137575 776729745 /nfs/dbraw/zinc/72/97/45/776729745.db2.gz GRJJTDJAHNRGBH-NSHDSACASA-N 0 0 279.292 2.745 20 5 CFBDRN COC(C[C@@H](C)Oc1c(C)cc(F)cc1[N+](=O)[O-])OC ZINC001229199717 776749720 /nfs/dbraw/zinc/74/97/20/776749720.db2.gz XWVFHJQYAJPRJV-SECBINFHSA-N 0 0 287.287 2.819 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1C=C(C)OC(=O)C1 ZINC001229199189 776750047 /nfs/dbraw/zinc/75/00/47/776750047.db2.gz UFLAIUDFZSWBQP-SNVBAGLBSA-N 0 0 281.239 2.640 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2c(C)cc(F)cc2[N+](=O)[O-])C1 ZINC001229199117 776750230 /nfs/dbraw/zinc/75/02/30/776750230.db2.gz SIONVKFXCVMCBT-KOLCDFICSA-N 0 0 297.282 2.763 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229202132 776751226 /nfs/dbraw/zinc/75/12/26/776751226.db2.gz VOCFFBBHNSDVLL-NSHDSACASA-N 0 0 285.271 2.763 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2n[nH]c(=O)c3ccc([N+](=O)[O-])cc32)C1 ZINC001229363397 776790467 /nfs/dbraw/zinc/79/04/67/776790467.db2.gz CXDWNAJWEJMQRA-SCZZXKLOSA-N 0 0 289.291 2.811 20 5 CFBDRN C[C@H]1CCC[C@H]1Oc1n[nH]c(=O)c2ccc([N+](=O)[O-])cc21 ZINC001229362920 776790588 /nfs/dbraw/zinc/79/05/88/776790588.db2.gz XCPKPJSXKYDMFK-QPUJVOFHSA-N 0 0 289.291 2.811 20 5 CFBDRN O=c1[nH]nc(O[C@H]2C[C@H]3C=C[C@@H]2C3)c2cc([N+](=O)[O-])ccc12 ZINC001229363783 776790598 /nfs/dbraw/zinc/79/05/98/776790598.db2.gz GKPPCXFHDWAETG-RWEMILLDSA-N 0 0 299.286 2.587 20 5 CFBDRN C[C@H](Oc1n[nH]c(=O)c2ccc([N+](=O)[O-])cc21)C1CCC1 ZINC001229363560 776790814 /nfs/dbraw/zinc/79/08/14/776790814.db2.gz DDZBKBQCNGXDJN-QMMMGPOBSA-N 0 0 289.291 2.811 20 5 CFBDRN C[C@H]1CC[C@H](Oc2n[nH]c(=O)c3cccc([N+](=O)[O-])c32)C1 ZINC001229366663 776792537 /nfs/dbraw/zinc/79/25/37/776792537.db2.gz ANBJYVYJFQDZLA-IUCAKERBSA-N 0 0 289.291 2.811 20 5 CFBDRN CC1(C)COC(=O)[C@@H]1Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC001229378643 776795295 /nfs/dbraw/zinc/79/52/95/776795295.db2.gz ZLGNQFAQBJKICI-JTQLQIEISA-N 0 0 285.683 2.579 20 5 CFBDRN CC1(C)COC(=O)[C@H]1Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC001229378645 776795319 /nfs/dbraw/zinc/79/53/19/776795319.db2.gz ZLGNQFAQBJKICI-SNVBAGLBSA-N 0 0 285.683 2.579 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1cc([N+](=O)[O-])ccc1O ZINC001229396095 776800060 /nfs/dbraw/zinc/80/00/60/776800060.db2.gz PSZLFQHLHBGIHG-CYBMUJFWSA-N 0 0 297.307 2.657 20 5 CFBDRN C[C@@H](Oc1ncnc2cc([N+](=O)[O-])ccc21)C(F)(F)F ZINC001229399493 776801701 /nfs/dbraw/zinc/80/17/01/776801701.db2.gz LNHRQNNMEWLEJW-ZCFIWIBFSA-N 0 0 287.197 2.868 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1cc([N+](=O)[O-])ccc1O ZINC001229400824 776801754 /nfs/dbraw/zinc/80/17/54/776801754.db2.gz ZUNYJFQNYASDSU-RYUDHWBXSA-N 0 0 267.281 2.637 20 5 CFBDRN O=[N+]([O-])c1c[n+]([O-])ccc1O[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC001229452174 776817061 /nfs/dbraw/zinc/81/70/61/776817061.db2.gz MSLKYUWLVSSFEG-YNEHKIRRSA-N 0 0 292.335 2.966 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cc[n+]([O-])cc2[N+](=O)[O-])CC(C)(C)C1 ZINC001229452678 776817143 /nfs/dbraw/zinc/81/71/43/776817143.db2.gz VXNIEGBFUIOCIY-GHMZBOCLSA-N 0 0 280.324 2.822 20 5 CFBDRN CCC(CC)[C@@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452674 776817743 /nfs/dbraw/zinc/81/77/43/776817743.db2.gz VVBDSVQQPGCOIR-GFCCVEGCSA-N 0 0 268.313 2.822 20 5 CFBDRN CCC[C@H]1CCCC[C@H]1Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229453910 776818637 /nfs/dbraw/zinc/81/86/37/776818637.db2.gz BSDNIXKTOVTFLI-WCQYABFASA-N 0 0 280.324 2.966 20 5 CFBDRN CC[C@H](C)C[C@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452879 776818877 /nfs/dbraw/zinc/81/88/77/776818877.db2.gz YJFRHRIYGXIDIL-QWRGUYRKSA-N 0 0 268.313 2.822 20 5 CFBDRN CCCC1CCC(Oc2cc[n+]([O-])cc2[N+](=O)[O-])CC1 ZINC001229455206 776819352 /nfs/dbraw/zinc/81/93/52/776819352.db2.gz XHJRLUYYDXRXGI-UHFFFAOYSA-N 0 0 280.324 2.966 20 5 CFBDRN CC[C@@H](Oc1cc(C)c([N+](=O)[O-])c(C)c1)C(=O)OC ZINC001229470919 776821068 /nfs/dbraw/zinc/82/10/68/776821068.db2.gz WAXVQBZYEWYIMP-LLVKDONJSA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1cc(OC2CCOCC2)cc(C)c1[N+](=O)[O-] ZINC001229466273 776822209 /nfs/dbraw/zinc/82/22/09/776822209.db2.gz LLNZGOQVOKYWKF-UHFFFAOYSA-N 0 0 251.282 2.769 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)c2cccnc2n1)C1CC1 ZINC001229509609 776834367 /nfs/dbraw/zinc/83/43/67/776834367.db2.gz KJLOWZPRVRAZRZ-LLVKDONJSA-N 0 0 293.710 2.717 20 5 CFBDRN CC(C)c1cccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(=O)c1 ZINC001229634191 776861017 /nfs/dbraw/zinc/86/10/17/776861017.db2.gz ISRDOHBMLPAHBK-HNNXBMFYSA-N 0 0 277.320 2.604 20 5 CFBDRN COC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC001229830674 776899066 /nfs/dbraw/zinc/89/90/66/776899066.db2.gz GLHZMMSHNZGMAN-ZCFIWIBFSA-N 0 0 293.197 2.554 20 5 CFBDRN COC(=O)c1c(Cl)cccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001229841899 776902041 /nfs/dbraw/zinc/90/20/41/776902041.db2.gz NLMLCFNMVYJFOZ-NSHDSACASA-N 0 0 299.710 2.561 20 5 CFBDRN Cc1cccc(NCCOC2CCC2)c1[N+](=O)[O-] ZINC000690932078 776902647 /nfs/dbraw/zinc/90/26/47/776902647.db2.gz AWXLKRJPJGTXAY-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN CN(C)c1ccc(Cl)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001229844190 776902725 /nfs/dbraw/zinc/90/27/25/776902725.db2.gz CXEDCOHUAUONRX-ZDUSSCGKSA-N 0 0 284.743 2.840 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cccc(F)c1 ZINC001225229322 776904324 /nfs/dbraw/zinc/90/43/24/776904324.db2.gz VDYSBFGFMISCME-VIFPVBQESA-N 0 0 279.271 2.706 20 5 CFBDRN COc1c(C)cc([N+](=O)[O-])c(O[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC001229871663 776908489 /nfs/dbraw/zinc/90/84/89/776908489.db2.gz YTLFDXQXGOINDV-MRVPVSSYSA-N 0 0 296.279 2.997 20 5 CFBDRN CC1=C(Oc2ccc([N+](=O)[O-])c(C)c2C)C(=O)O[C@H]1C ZINC001229880125 776909865 /nfs/dbraw/zinc/90/98/65/776909865.db2.gz AFKKUUJWOCILNY-JTQLQIEISA-N 0 0 277.276 2.810 20 5 CFBDRN COC(=O)C1CC(Oc2ccc([N+](=O)[O-])c(C)c2C)C1 ZINC001229883581 776910874 /nfs/dbraw/zinc/91/08/74/776910874.db2.gz WJGXBQOSYRIPEP-UHFFFAOYSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CN(C(C)C)C2)cc1F ZINC001229895622 776912695 /nfs/dbraw/zinc/91/26/95/776912695.db2.gz SOLDKHWPNLFTAJ-UHFFFAOYSA-N 0 0 268.288 2.514 20 5 CFBDRN O=C1CCC(Oc2ccc([N+](=O)[O-])nc2Cl)CC1 ZINC001229916431 776917022 /nfs/dbraw/zinc/91/70/22/776917022.db2.gz XKMKLLIGFXCLIS-UHFFFAOYSA-N 0 0 270.672 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCSCC2)c(Cl)n1 ZINC001229915574 776917520 /nfs/dbraw/zinc/91/75/20/776917520.db2.gz QWKHZOSPVINNRI-UHFFFAOYSA-N 0 0 274.729 2.918 20 5 CFBDRN C[C@@H](O)CCCC(=O)Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001229963996 776926079 /nfs/dbraw/zinc/92/60/79/776926079.db2.gz MWYLZXRMYGKIRO-MRVPVSSYSA-N 0 0 287.699 2.705 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc(C)cc1[N+](=O)[O-] ZINC001230143534 776955418 /nfs/dbraw/zinc/95/54/18/776955418.db2.gz LXTGMGKEHYMKQR-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc(O[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001230146396 776955674 /nfs/dbraw/zinc/95/56/74/776955674.db2.gz OGGQVWPGUOGVCC-MNOVXSKESA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cc2n[nH]cc2cc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001230225096 776961149 /nfs/dbraw/zinc/96/11/49/776961149.db2.gz HXJRAJAVUMRZQO-HNNXBMFYSA-N 0 0 298.302 2.663 20 5 CFBDRN O=[N+]([O-])c1c[nH]cc2nc(O[C@@H]3CC4CCC3CC4)nc1-2 ZINC001230229815 776961581 /nfs/dbraw/zinc/96/15/81/776961581.db2.gz HARUNBFXYRJBEW-SHVIVCPWSA-N 0 0 288.307 2.824 20 5 CFBDRN CC1CCC(Oc2nc3c[nH]cc([N+](=O)[O-])c-3n2)CC1 ZINC001230230405 776961836 /nfs/dbraw/zinc/96/18/36/776961836.db2.gz PVWIISCSZMNOOT-UHFFFAOYSA-N 0 0 276.296 2.824 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1OC[C@H]1CCC=CO1 ZINC001230247253 776965312 /nfs/dbraw/zinc/96/53/12/776965312.db2.gz IFUAMBFFPXQJEY-GFCCVEGCSA-N 0 0 292.291 2.625 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1OC[C@H]1CCCCO1 ZINC001230246114 776965660 /nfs/dbraw/zinc/96/56/60/776965660.db2.gz IMNVTWCWHFSXSC-GFCCVEGCSA-N 0 0 294.307 2.501 20 5 CFBDRN CCC(CC)CO[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001204938930 776975750 /nfs/dbraw/zinc/97/57/50/776975750.db2.gz KVHZQQAXQMDAMH-ZDUSSCGKSA-N 0 0 252.314 2.852 20 5 CFBDRN CC1(C)CC[C@@H](COc2c([N+](=O)[O-])cccc2[N+](=O)[O-])O1 ZINC001230313160 776977179 /nfs/dbraw/zinc/97/71/79/776977179.db2.gz XDUFWTHDPWKWEZ-VIFPVBQESA-N 0 0 296.279 2.839 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001225431347 776986052 /nfs/dbraw/zinc/98/60/52/776986052.db2.gz OOVAKHBBHFJZRA-XKSSXDPKSA-N 0 0 266.684 2.987 20 5 CFBDRN CCc1cccc(O)c1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001230371081 776986832 /nfs/dbraw/zinc/98/68/32/776986832.db2.gz BVWMBRWTCBRDPB-AWEZNQCLSA-N 0 0 288.303 2.746 20 5 CFBDRN CCC[C@H](C)Oc1cc([N+](=O)[O-])ccc1C(=O)OC ZINC001225448707 776989251 /nfs/dbraw/zinc/98/92/51/776989251.db2.gz QVMJOPRRFPJCHS-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cc(C)c(C)c([N+](=O)[O-])c1 ZINC001230478471 776997615 /nfs/dbraw/zinc/99/76/15/776997615.db2.gz ZHRATNQYVWNCLY-OCCSQVGLSA-N 0 0 293.319 2.932 20 5 CFBDRN CCO[C@@H](C)COc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492435 776999293 /nfs/dbraw/zinc/99/92/93/776999293.db2.gz OKQDLRRPLLFZPI-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN C[C@@H](Oc1cc2n[nH]cc2cc1[N+](=O)[O-])C(F)(F)F ZINC001230596355 777021552 /nfs/dbraw/zinc/02/15/52/777021552.db2.gz QALRXPDCXUMTEM-RXMQYKEDSA-N 0 0 275.186 2.801 20 5 CFBDRN C[C@H](Oc1cc2n[nH]cc2cc1[N+](=O)[O-])C1CCOCC1 ZINC001230593968 777022002 /nfs/dbraw/zinc/02/20/02/777022002.db2.gz DBWRWLWJUMOZDF-VIFPVBQESA-N 0 0 291.307 2.665 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc2n[nH]cc2cc1[N+](=O)[O-] ZINC001230600226 777022925 /nfs/dbraw/zinc/02/29/25/777022925.db2.gz DFYBDNREDJRDSK-GFCCVEGCSA-N 0 0 277.280 2.608 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@@H]1C(=O)OCC1(C)C ZINC001230722936 777051061 /nfs/dbraw/zinc/05/10/61/777051061.db2.gz BZUADJMLVBRZEA-GFCCVEGCSA-N 0 0 279.292 2.542 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ncnc2cccc(Cl)c21)C1CC1 ZINC001230755383 777057696 /nfs/dbraw/zinc/05/76/96/777057696.db2.gz MPZWJLVJMNPHIZ-NSHDSACASA-N 0 0 293.710 2.717 20 5 CFBDRN CN1CC[C@@H](Oc2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 ZINC001230768299 777059478 /nfs/dbraw/zinc/05/94/78/777059478.db2.gz JMGQMDOWDRQZLT-MRVPVSSYSA-N 0 0 291.134 2.985 20 5 CFBDRN CCO[C@H](C)COc1ccc([N+](=O)[O-])c(CC(C)=O)c1F ZINC001230796604 777066289 /nfs/dbraw/zinc/06/62/89/777066289.db2.gz MPWCMCNRFWXPFB-SNVBAGLBSA-N 0 0 299.298 2.669 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1OC[C@@H]1CCC=CO1 ZINC001230872253 777078645 /nfs/dbraw/zinc/07/86/45/777078645.db2.gz LMYSWMRNWFQDNW-QMMMGPOBSA-N 0 0 270.672 2.715 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc(Cl)nc2[N+](=O)[O-])=C1C ZINC001230878811 777079899 /nfs/dbraw/zinc/07/98/99/777079899.db2.gz JCCRHOYTYPVDJR-SSDOTTSWSA-N 0 0 298.682 2.631 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1O[C@H]1CCOC[C@H]1F ZINC001230914517 777091170 /nfs/dbraw/zinc/09/11/70/777091170.db2.gz JKKJYWIQVPLNAT-BDAKNGLRSA-N 0 0 275.663 2.754 20 5 CFBDRN CCOC(=O)c1ccc(O[C@@H](C)CC)cc1[N+](=O)[O-] ZINC001230912729 777091690 /nfs/dbraw/zinc/09/16/90/777091690.db2.gz KBKLPCOBFPBLPI-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN CC1=C[C@@H](Oc2cccc(Cl)c2[N+](=O)[O-])CC(=O)O1 ZINC001230919367 777092630 /nfs/dbraw/zinc/09/26/30/777092630.db2.gz VVUHHRMWVCOHLO-MRVPVSSYSA-N 0 0 283.667 2.846 20 5 CFBDRN CC(=O)c1cc(Cl)cc([N+](=O)[O-])c1O[C@@H](C)C(C)=O ZINC001230929958 777096365 /nfs/dbraw/zinc/09/63/65/777096365.db2.gz GHSPIVRUBUBKIV-QMMMGPOBSA-N 0 0 285.683 2.807 20 5 CFBDRN COC[C@H](C)Oc1c(C(C)=O)cc(Cl)cc1[N+](=O)[O-] ZINC001230929271 777096643 /nfs/dbraw/zinc/09/66/43/777096643.db2.gz QZOHSBOGUXJUOY-ZETCQYMHSA-N 0 0 287.699 2.865 20 5 CFBDRN COC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(C)c1C ZINC001230980896 777108984 /nfs/dbraw/zinc/10/89/84/777108984.db2.gz MHPZSWLMKVJUJA-AWEZNQCLSA-N 0 0 293.319 2.524 20 5 CFBDRN Cc1cccc(O[C@@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC001225636503 777114225 /nfs/dbraw/zinc/11/42/25/777114225.db2.gz XQZLHNICAZZQRN-WDEREUQCSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1OC(=O)CCC[C@@H](C)O ZINC001231060062 777128343 /nfs/dbraw/zinc/12/83/43/777128343.db2.gz MHNVEOXWRLLUNX-LLVKDONJSA-N 0 0 281.308 2.668 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@@H]1CCn2ccnc21 ZINC001231059858 777128747 /nfs/dbraw/zinc/12/87/47/777128747.db2.gz KNICRRFTSZPFLQ-LLVKDONJSA-N 0 0 273.292 2.932 20 5 CFBDRN Cc1nc(OC(C)C)c([N+](=O)[O-])cc1Br ZINC001231121575 777148039 /nfs/dbraw/zinc/14/80/39/777148039.db2.gz MMYDGGKHNTZAJF-UHFFFAOYSA-N 0 0 275.102 2.848 20 5 CFBDRN C[C@@H](Oc1cccc(F)c1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC001225667984 777158823 /nfs/dbraw/zinc/15/88/23/777158823.db2.gz XFGHBFYRWHYRMV-MRVPVSSYSA-N 0 0 285.271 2.843 20 5 CFBDRN COC[C@H](C)Oc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231157420 777159260 /nfs/dbraw/zinc/15/92/60/777159260.db2.gz DODKYPDALHQRIC-VIFPVBQESA-N 0 0 262.265 2.557 20 5 CFBDRN CC[C@H](COC)Oc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231156787 777159323 /nfs/dbraw/zinc/15/93/23/777159323.db2.gz QAJNBZNZBOQUMI-LLVKDONJSA-N 0 0 276.292 2.947 20 5 CFBDRN CCO[C@@H](C)COc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231156252 777159388 /nfs/dbraw/zinc/15/93/88/777159388.db2.gz JLNAHHFHBVJZPE-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN CC[C@@H](COc1cnc2c(cccc2[N+](=O)[O-])c1)OC ZINC001231156460 777159399 /nfs/dbraw/zinc/15/93/99/777159399.db2.gz LDNHSKKRVFLCKK-NSHDSACASA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(OC[C@H]3CCCO3)cnc21 ZINC001231159596 777160147 /nfs/dbraw/zinc/16/01/47/777160147.db2.gz YRQMXUOPLGURGP-LLVKDONJSA-N 0 0 274.276 2.701 20 5 CFBDRN CN(C)c1ccc(N=O)c(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001231287077 777184682 /nfs/dbraw/zinc/18/46/82/777184682.db2.gz NKUIQPLZFZDLPF-ZDUSSCGKSA-N 0 0 279.296 2.585 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCC3(CC2)OCCO3)cc1F ZINC001225913863 777334223 /nfs/dbraw/zinc/33/42/23/777334223.db2.gz WZUSDWAUINQBBL-UHFFFAOYSA-N 0 0 297.282 2.798 20 5 CFBDRN CC[C@@H](C)c1ncc(NC(=O)CCC(C)(C)[N+](=O)[O-])cn1 ZINC001270263251 777344041 /nfs/dbraw/zinc/34/40/41/777344041.db2.gz ZWZKTHMXUSZZTL-SNVBAGLBSA-N 0 0 294.355 2.764 20 5 CFBDRN FC1CN(Cc2ccc3ccc4cccnc4c3n2)C1 ZINC001232218477 777479591 /nfs/dbraw/zinc/47/95/91/777479591.db2.gz CTOSFGDCNSDOOJ-UHFFFAOYSA-N 0 0 267.307 2.937 20 5 CFBDRN C[C@H]1C[C@@H](Oc2nc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC001226363941 777516960 /nfs/dbraw/zinc/51/69/60/777516960.db2.gz AOJAEGDYTRLILU-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN CCOC(=O)c1ccc(N[C@H](C)CC)c([N+](=O)[O-])c1 ZINC001168003663 777567916 /nfs/dbraw/zinc/56/79/16/777567916.db2.gz POLBXPCWAIVCBG-SECBINFHSA-N 0 0 266.297 2.982 20 5 CFBDRN COCCO[C@@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC001204978044 777596258 /nfs/dbraw/zinc/59/62/58/777596258.db2.gz JLYQOSRDNUWYAC-JTQLQIEISA-N 0 0 279.214 2.861 20 5 CFBDRN C[C@@H]1C[C@H](Oc2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC001226748235 777632394 /nfs/dbraw/zinc/63/23/94/777632394.db2.gz IXUPUTJODZTQBE-VXNVDRBHSA-N 0 0 273.235 2.819 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)OCCc1ccccc1 ZINC001204999608 777721740 /nfs/dbraw/zinc/72/17/40/777721740.db2.gz DSQBDVRMQJJFIS-LBPRGKRZSA-N 0 0 289.335 2.748 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCC(=O)CC2(C)C)n1 ZINC001227062963 777752366 /nfs/dbraw/zinc/75/23/66/777752366.db2.gz WUHKEHMSXCRHLD-GFCCVEGCSA-N 0 0 278.308 2.825 20 5 CFBDRN Cc1ccc(O[C@H](C)c2ccccn2)c([N+](=O)[O-])n1 ZINC001227222945 777792483 /nfs/dbraw/zinc/79/24/83/777792483.db2.gz XWUIZZLUANZNGZ-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCn1cc(CNCc2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000688495594 777795602 /nfs/dbraw/zinc/79/56/02/777795602.db2.gz MLCCQHPOSQWHCT-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN CCCOC[C@H](C)Oc1c(OC)cccc1[N+](=O)[O-] ZINC001227700666 777866121 /nfs/dbraw/zinc/86/61/21/777866121.db2.gz DUBYKDJZLNYPRR-JTQLQIEISA-N 0 0 269.297 2.797 20 5 CFBDRN Cc1cncc(NC(=O)c2c(F)cccc2[N+](=O)[O-])c1 ZINC000714052722 777896461 /nfs/dbraw/zinc/89/64/61/777896461.db2.gz UEFBOFPIQCGQCH-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(=O)c1cc(OC[C@@H]2CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC001233496307 777968498 /nfs/dbraw/zinc/96/84/98/777968498.db2.gz REHBCSHRLBQLMC-MNOVXSKESA-N 0 0 279.292 2.601 20 5 CFBDRN CC(=O)c1cc(O[C@H](C)c2cncnc2)ccc1[N+](=O)[O-] ZINC001233501455 777971313 /nfs/dbraw/zinc/97/13/13/777971313.db2.gz MSZBUIHIIIGPQY-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1c(C)ccnc1[N+](=O)[O-] ZINC001233553373 777989155 /nfs/dbraw/zinc/98/91/55/777989155.db2.gz JYPMCCGRSISHJQ-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H]1CCc2cccnc21 ZINC001233556841 777990411 /nfs/dbraw/zinc/99/04/11/777990411.db2.gz PLHAQNJCQJJUPA-NSHDSACASA-N 0 0 271.276 2.760 20 5 CFBDRN COC1CCC(Oc2cccc([N+](=O)[O-])c2[N+](=O)[O-])CC1 ZINC001233576696 777996049 /nfs/dbraw/zinc/99/60/49/777996049.db2.gz YVLOEDFQUIEZCA-UHFFFAOYSA-N 0 0 296.279 2.839 20 5 CFBDRN O=[N+]([O-])c1cccc(OC2CC=CC2)c1[N+](=O)[O-] ZINC001233574804 777996444 /nfs/dbraw/zinc/99/64/44/777996444.db2.gz LCWDSHUWHGQAMZ-UHFFFAOYSA-N 0 0 250.210 2.600 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nccc1OC[C@H]1CCC=CO1 ZINC001228054361 778003411 /nfs/dbraw/zinc/00/34/11/778003411.db2.gz LUWYHUIWPXTOBH-MRVPVSSYSA-N 0 0 270.672 2.715 20 5 CFBDRN CO[C@@H](C)CCOc1cc(F)c([N+](=O)[O-])cc1F ZINC001233596955 778004128 /nfs/dbraw/zinc/00/41/28/778004128.db2.gz GVMZWRQQECPAIP-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@@H]2CCC=CO2)cc1F ZINC001233597230 778004696 /nfs/dbraw/zinc/00/46/96/778004696.db2.gz KIRMFUVNKGKUNM-QMMMGPOBSA-N 0 0 271.219 2.945 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2cc(F)cnc2c1)C1CC1 ZINC001233641323 778021499 /nfs/dbraw/zinc/02/14/99/778021499.db2.gz JIRMSGIJXJBFHZ-AWEZNQCLSA-N 0 0 276.267 2.808 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(O[C@H]2CCCOC2)c1 ZINC001228254987 778035296 /nfs/dbraw/zinc/03/52/96/778035296.db2.gz CKSJFZHDMKHQIG-JTQLQIEISA-N 0 0 257.673 2.806 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2c(F)cc(F)cc2[N+](=O)[O-])=C1C ZINC001233697768 778044870 /nfs/dbraw/zinc/04/48/70/778044870.db2.gz CHZCVZYXRFLYOP-SNVBAGLBSA-N 0 0 299.229 2.861 20 5 CFBDRN CC(C)[C@H](C)Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1 ZINC001233702245 778048164 /nfs/dbraw/zinc/04/81/64/778048164.db2.gz MTNVBQNIHHIQRW-QMMMGPOBSA-N 0 0 254.242 2.926 20 5 CFBDRN CCO[C@H](C)COc1ccc([N+](=O)[O-])c(F)c1F ZINC001233768281 778070570 /nfs/dbraw/zinc/07/05/70/778070570.db2.gz DMTCWXKNSACAFN-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1OC[C@@H]1CCCO1 ZINC001233779043 778075561 /nfs/dbraw/zinc/07/55/61/778075561.db2.gz OUOXQAQMWSDMBW-JTQLQIEISA-N 0 0 274.276 2.701 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1C[C@H]1C ZINC000127360532 778078743 /nfs/dbraw/zinc/07/87/43/778078743.db2.gz GSHORJJIPNSUPI-SKDRFNHKSA-N 0 0 279.292 2.693 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233840283 778092432 /nfs/dbraw/zinc/09/24/32/778092432.db2.gz FIUNRNZNROFQEE-GWCFXTLKSA-N 0 0 288.303 2.947 20 5 CFBDRN CC(C)[C@H](Oc1ccnc([N+](=O)[O-])c1)C(F)(F)F ZINC001233858091 778096718 /nfs/dbraw/zinc/09/67/18/778096718.db2.gz SCXNBDBQKGFCJS-VIFPVBQESA-N 0 0 264.203 2.956 20 5 CFBDRN C[C@@H](COc1ccnc([N+](=O)[O-])c1)Oc1ccccc1 ZINC001233860188 778099105 /nfs/dbraw/zinc/09/91/05/778099105.db2.gz WPWUVLPSUGNEQN-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN CC[C@@H](Oc1ncc(C(=O)OC)cc1[N+](=O)[O-])C(C)(C)C ZINC001233863599 778100231 /nfs/dbraw/zinc/10/02/31/778100231.db2.gz XKPMCNFMWMGJRV-LLVKDONJSA-N 0 0 296.323 2.980 20 5 CFBDRN CCCNc1cc(Cl)c([N+](=O)[O-])cc1C(=O)OC ZINC001168138069 778137767 /nfs/dbraw/zinc/13/77/67/778137767.db2.gz YHABPUVXHCCCDE-UHFFFAOYSA-N 0 0 272.688 2.857 20 5 CFBDRN COC(=O)c1ccc(O[C@H]2C[C@H]3C=C[C@@H]2C3)cc1[N+](=O)[O-] ZINC001234050372 778153256 /nfs/dbraw/zinc/15/32/56/778153256.db2.gz JPRVFZPOKBCWBA-RBZYPMLTSA-N 0 0 289.287 2.725 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234058622 778155244 /nfs/dbraw/zinc/15/52/44/778155244.db2.gz GVDZPXNBVILHQY-VIFPVBQESA-N 0 0 285.271 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@@H]1CCOC[C@H]1F ZINC001228571346 778157865 /nfs/dbraw/zinc/15/78/65/778157865.db2.gz NUJWPXMQLOBQLM-VXGBXAGGSA-N 0 0 269.272 2.717 20 5 CFBDRN COCC(COC)Oc1ccnc2c1ccc1cccnc12 ZINC001234086780 778161857 /nfs/dbraw/zinc/16/18/57/778161857.db2.gz JSXAJPVECVBCCP-UHFFFAOYSA-N 0 0 298.342 2.823 20 5 CFBDRN O=[N+]([O-])c1cnc2cccc(NC3(c4ccccn4)CC3)n21 ZINC001168151912 778167061 /nfs/dbraw/zinc/16/70/61/778167061.db2.gz ZCWPFKOYXWPMND-UHFFFAOYSA-N 0 0 295.302 2.739 20 5 CFBDRN CN(CCNc1ccccc1[N+](=O)[O-])C(=O)CC(C)(C)C ZINC001101497319 778177706 /nfs/dbraw/zinc/17/77/06/778177706.db2.gz BBDWSZZRCVMEPX-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN CC(=O)c1cc(O[C@H](C[N+](=O)[O-])C2CC2)ccc1C ZINC001234321251 778207824 /nfs/dbraw/zinc/20/78/24/778207824.db2.gz GRCPPNKDWGWNBI-CQSZACIVSA-N 0 0 263.293 2.632 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@@H]1CCO[C@H](C)C1 ZINC001228921941 778207872 /nfs/dbraw/zinc/20/78/72/778207872.db2.gz ROHDZSQPPNIQDA-ZYHUDNBSSA-N 0 0 251.282 2.850 20 5 CFBDRN COc1cc(C)c([N+](=O)[O-])cc1NCCOC(F)(F)F ZINC001168173698 778210299 /nfs/dbraw/zinc/21/02/99/778210299.db2.gz DHYXJHQYGFJVQG-UHFFFAOYSA-N 0 0 294.229 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCC2)cc1OC[C@@H]1CCO1 ZINC001234361357 778214606 /nfs/dbraw/zinc/21/46/06/778214606.db2.gz DCVWBZSJPVWESM-ZDUSSCGKSA-N 0 0 292.335 2.753 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCC2)cc1OC[C@H]1CCO1 ZINC001234361352 778214718 /nfs/dbraw/zinc/21/47/18/778214718.db2.gz DCVWBZSJPVWESM-CYBMUJFWSA-N 0 0 292.335 2.753 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1ccc([N+](=O)[O-])s1 ZINC000889581249 778230447 /nfs/dbraw/zinc/23/04/47/778230447.db2.gz OYPDDLHTTXOURX-DJLDLDEBSA-N 0 0 266.322 2.575 20 5 CFBDRN CC(C)c1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cn1 ZINC001234486039 778236125 /nfs/dbraw/zinc/23/61/25/778236125.db2.gz PTMNOOGKIWGCPT-HNNXBMFYSA-N 0 0 287.319 2.997 20 5 CFBDRN CCOC(=O)c1cc(NC[C@H]2C[C@H](F)C2)ccc1[N+](=O)[O-] ZINC001168240721 778267161 /nfs/dbraw/zinc/26/71/61/778267161.db2.gz UZOUAOYGHLBMMV-MGCOHNPYSA-N 0 0 296.298 2.932 20 5 CFBDRN CCc1cccc(O[C@H](C[N+](=O)[O-])C2CC2)c1OC ZINC001234646551 778273828 /nfs/dbraw/zinc/27/38/28/778273828.db2.gz FMUKLHRGGSCUDK-CYBMUJFWSA-N 0 0 265.309 2.692 20 5 CFBDRN Cc1c(=O)c2ccccc2[nH]c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001234647718 778274749 /nfs/dbraw/zinc/27/47/49/778274749.db2.gz OVAUJSTWDZXDGW-CYBMUJFWSA-N 0 0 288.303 2.683 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2O[C@H]1CCn2ccnc21 ZINC001234674994 778286170 /nfs/dbraw/zinc/28/61/70/778286170.db2.gz VJULZHXTECUVNI-ZDUSSCGKSA-N 0 0 296.286 2.863 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1nccc2c1cccc2[N+](=O)[O-] ZINC001234676713 778286283 /nfs/dbraw/zinc/28/62/83/778286283.db2.gz NCJCQNJFJMCSLP-QMTHXVAHSA-N 0 0 288.303 2.947 20 5 CFBDRN Cc1ccc(Nc2cnc(N(C)C)nc2)c([N+](=O)[O-])c1 ZINC001214167659 778295173 /nfs/dbraw/zinc/29/51/73/778295173.db2.gz RWXGDAZFGUMDPG-UHFFFAOYSA-N 0 0 273.296 2.503 20 5 CFBDRN Cc1cc(O[C@H]2CO[C@H]3OCC[C@@H]23)c(C)c(C)c1[N+](=O)[O-] ZINC001234872654 778317981 /nfs/dbraw/zinc/31/79/81/778317981.db2.gz ZUKSPKFNXUGGQC-CORIIIEPSA-N 0 0 293.319 2.660 20 5 CFBDRN Cc1cc(O[C@H]2C(=O)OCC2(C)C)c(C)c(C)c1[N+](=O)[O-] ZINC001234872377 778318000 /nfs/dbraw/zinc/31/80/00/778318000.db2.gz VJONTKWIAQWRJM-ZDUSSCGKSA-N 0 0 293.319 2.850 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])C2CC2)c(C)c(C)c1[N+](=O)[O-] ZINC001234872628 778318113 /nfs/dbraw/zinc/31/81/13/778318113.db2.gz YTQLIADQCXBHSX-CYBMUJFWSA-N 0 0 294.307 2.954 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CCCC[C@@H]2C)n1 ZINC001234880238 778320012 /nfs/dbraw/zinc/32/00/12/778320012.db2.gz ZEMAQLOLQQVHOB-GXSJLCMTSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C(C)C)C(F)(F)F)n1 ZINC001234879076 778320464 /nfs/dbraw/zinc/32/04/64/778320464.db2.gz MAFFCEBETKCLPE-SECBINFHSA-N 0 0 294.229 2.964 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CCC(F)(F)C2)n1 ZINC001234881533 778320783 /nfs/dbraw/zinc/32/07/83/778320783.db2.gz PBJWASIRBAFVNB-SSDOTTSWSA-N 0 0 274.223 2.565 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H](C)c1nccs1 ZINC001234905948 778326821 /nfs/dbraw/zinc/32/68/21/778326821.db2.gz VONSPSYKMATHBL-MRVPVSSYSA-N 0 0 265.294 2.895 20 5 CFBDRN COc1c(C)ccc(F)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001234948250 778337710 /nfs/dbraw/zinc/33/77/10/778337710.db2.gz MQQQWBAEPYMWME-NSHDSACASA-N 0 0 269.272 2.577 20 5 CFBDRN Cc1cnc(NCc2cc(Cl)ccn2)c([N+](=O)[O-])c1 ZINC000432165955 778343653 /nfs/dbraw/zinc/34/36/53/778343653.db2.gz WGQLGMYFTVELEY-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN COCC1(NCc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000697543124 778354320 /nfs/dbraw/zinc/35/43/20/778354320.db2.gz KNXDRBLUDWJQSH-UHFFFAOYSA-N 0 0 270.716 2.517 20 5 CFBDRN C[C@H](O[C@H](C[N+](=O)[O-])C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC001224027758 778398743 /nfs/dbraw/zinc/39/87/43/778398743.db2.gz XKNQOORMAUVVQH-TVQRCGJNSA-N 0 0 280.280 2.728 20 5 CFBDRN CCC1(Nc2nccc3c2cccc3[N+](=O)[O-])COC1 ZINC001168316285 778429248 /nfs/dbraw/zinc/42/92/48/778429248.db2.gz GCCGCLIESXNJNY-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN CCC1(CNc2cc3cc[nH]c3c([N+](=O)[O-])c2)COC1 ZINC001168332811 778436866 /nfs/dbraw/zinc/43/68/66/778436866.db2.gz VURNJIIEDLAKMW-UHFFFAOYSA-N 0 0 275.308 2.915 20 5 CFBDRN C[C@@]1(O)C[C@H](CNc2cc([N+](=O)[O-])cc3cc[nH]c32)C1 ZINC001168347116 778444392 /nfs/dbraw/zinc/44/43/92/778444392.db2.gz ZOORPVXIMOEPOW-DEZZCRIOSA-N 0 0 275.308 2.649 20 5 CFBDRN Cc1cnc(CO[C@@H]2C[C@H]3C[C@H]3C2)c(C)c1[N+](=O)[O-] ZINC001224185661 778453666 /nfs/dbraw/zinc/45/36/66/778453666.db2.gz LTUXNJGIDKITPA-ZSBIGDGJSA-N 0 0 262.309 2.922 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COCCc1ccc([N+](=O)[O-])cc1 ZINC001224333845 778473420 /nfs/dbraw/zinc/47/34/20/778473420.db2.gz MZDOVRPMYSQCQE-QWHCGFSZSA-N 0 0 297.351 2.594 20 5 CFBDRN C[C@@H](OC[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC001224478881 778532816 /nfs/dbraw/zinc/53/28/16/778532816.db2.gz DWKICWOUDXCXLY-MNOVXSKESA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)OCC1CC(C)(C)C1 ZINC001224769014 778674524 /nfs/dbraw/zinc/67/45/24/778674524.db2.gz IOFDHUSWZOHXIJ-SNVBAGLBSA-N 0 0 281.356 2.941 20 5 CFBDRN COc1ccccc1-c1noc(-c2ncccc2[N+](=O)[O-])n1 ZINC001213200000 778723758 /nfs/dbraw/zinc/72/37/58/778723758.db2.gz MLTSZWDZYMMSAH-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN COc1cccc(-c2noc(-c3ncccc3[N+](=O)[O-])n2)c1 ZINC001213200084 778728759 /nfs/dbraw/zinc/72/87/59/778728759.db2.gz ORZADUNCCWQEAG-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CC(C)(C)c1cnc(NCC2CC(=O)C2)c([N+](=O)[O-])c1 ZINC001168388529 778770001 /nfs/dbraw/zinc/77/00/01/778770001.db2.gz MUEGZENLHXLVRB-UHFFFAOYSA-N 0 0 277.324 2.678 20 5 CFBDRN COc1cc(C)c([N+](=O)[O-])cc1NCc1cnc(C)nc1 ZINC001168390694 778771974 /nfs/dbraw/zinc/77/19/74/778771974.db2.gz BKVMCXUJJSQELV-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1nnc(COc2ccc([N+](=O)[O-])c(Cl)c2)s1 ZINC000698251151 778785041 /nfs/dbraw/zinc/78/50/41/778785041.db2.gz WPPQZRRLIFZEOG-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000698253339 778786036 /nfs/dbraw/zinc/78/60/36/778786036.db2.gz CNOAKIYZBRBPFL-SECBINFHSA-N 0 0 266.684 2.697 20 5 CFBDRN Cc1cc(Cl)c(NCC2CC(=O)C2)c([N+](=O)[O-])c1 ZINC001168396593 778786973 /nfs/dbraw/zinc/78/69/73/778786973.db2.gz XNUYDNGBWCKBFR-UHFFFAOYSA-N 0 0 268.700 2.948 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)c1ncc[nH]1 ZINC000138949545 778902792 /nfs/dbraw/zinc/90/27/92/778902792.db2.gz GLPDZZHRXZKRBU-NXEZZACHSA-N 0 0 260.297 2.730 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233498089 779045870 /nfs/dbraw/zinc/04/58/70/779045870.db2.gz JNWSSBHYSSISNX-JTQLQIEISA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1ccc(COC2CCC(O)CC2)cc1[N+](=O)[O-] ZINC000714070305 779065618 /nfs/dbraw/zinc/06/56/18/779065618.db2.gz PFROSWPLUVGGBE-UHFFFAOYSA-N 0 0 265.309 2.723 20 5 CFBDRN CCCOCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000715018511 779092941 /nfs/dbraw/zinc/09/29/41/779092941.db2.gz OVNYOFZNANYTEH-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN CN(CCOCC1CC1)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000176381556 779118610 /nfs/dbraw/zinc/11/86/10/779118610.db2.gz UTVKWYKWELVAKC-UHFFFAOYSA-N 0 0 282.315 2.592 20 5 CFBDRN CCO[C@@H](C)COc1cc([N+](=O)[O-])c(C)cc1F ZINC001234058629 779128599 /nfs/dbraw/zinc/12/85/99/779128599.db2.gz HAZUWIYVWNOQGS-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN CC1(C)C[C@@H](CNc2cc(F)cc(F)c2[N+](=O)[O-])O1 ZINC001168456544 779184240 /nfs/dbraw/zinc/18/42/40/779184240.db2.gz OUEVFGPVPYQIJR-QMMMGPOBSA-N 0 0 272.251 2.852 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176531589 779218992 /nfs/dbraw/zinc/21/89/92/779218992.db2.gz KLGMUIRDRAWJRI-MNOVXSKESA-N 0 0 277.276 2.555 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])cc1)C(=O)C1CCC1 ZINC001106652535 779331576 /nfs/dbraw/zinc/33/15/76/779331576.db2.gz XCDLRZQURHBUAS-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CCCC(=O)N(CC)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC001106659438 779333954 /nfs/dbraw/zinc/33/39/54/779333954.db2.gz FQOFORJMQVQIAN-UHFFFAOYSA-N 0 0 297.330 2.794 20 5 CFBDRN O=C(OCC1CSC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC001123131931 779349876 /nfs/dbraw/zinc/34/98/76/779349876.db2.gz HLLCVCNNTSCUOF-QWHCGFSZSA-N 0 0 293.344 2.605 20 5 CFBDRN COc1ccc(NC(=O)N2CC(C(C)C)C2)c([N+](=O)[O-])c1 ZINC001123372929 779400550 /nfs/dbraw/zinc/40/05/50/779400550.db2.gz ZKEGZNFVLNDDBU-UHFFFAOYSA-N 0 0 293.323 2.723 20 5 CFBDRN Nc1c(Cl)cc(C(=O)N[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000721274784 779526900 /nfs/dbraw/zinc/52/69/00/779526900.db2.gz UGAMWPVHDQAUNQ-JTQLQIEISA-N 0 0 295.726 2.503 20 5 CFBDRN CC(=O)NCCC1(CNc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC001089884314 779740074 /nfs/dbraw/zinc/74/00/74/779740074.db2.gz WKHGLUFZEWFWBT-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN Cc1ccc(NC/C=C/CNC(=O)C(C)C)c([N+](=O)[O-])c1 ZINC001107045339 779759409 /nfs/dbraw/zinc/75/94/09/779759409.db2.gz IKQBMFSTKGDRGN-SNAWJCMRSA-N 0 0 291.351 2.644 20 5 CFBDRN CC(C)(C)C(=O)NC/C=C\CNc1ccccc1[N+](=O)[O-] ZINC001107165699 779817117 /nfs/dbraw/zinc/81/71/17/779817117.db2.gz WJAWIEYROMLDJQ-SREVYHEPSA-N 0 0 291.351 2.725 20 5 CFBDRN O=C(c1ccc(Cl)o1)N1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001116135408 780097795 /nfs/dbraw/zinc/09/77/95/780097795.db2.gz HHIFTPYQPKGTAP-UHFFFAOYSA-N 0 0 292.678 2.997 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H](C)c1noc(C)n1 ZINC001116818453 780241814 /nfs/dbraw/zinc/24/18/14/780241814.db2.gz ZEHXFZCPRYTXJP-MRVPVSSYSA-N 0 0 292.295 2.606 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1nc(C)nc(OC)c1[N+](=O)[O-] ZINC001168693329 780348478 /nfs/dbraw/zinc/34/84/78/780348478.db2.gz JSYWMVNFABTKDA-IUCAKERBSA-N 0 0 282.344 2.938 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC001128398306 780422745 /nfs/dbraw/zinc/42/27/45/780422745.db2.gz GRUORFDQHJUPDK-NOZJJQNGSA-N 0 0 299.330 2.859 20 5 CFBDRN CC(C)[C@H](CO)Nc1cc([N+](=O)[O-])cc2cc[nH]c21 ZINC001161740895 780502645 /nfs/dbraw/zinc/50/26/45/780502645.db2.gz WQGZLYQOQGBOTK-LBPRGKRZSA-N 0 0 263.297 2.505 20 5 CFBDRN Cc1c(NCCOC(C)C)ccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001161788785 780542109 /nfs/dbraw/zinc/54/21/09/780542109.db2.gz RSVLXJFWCWXTTR-UHFFFAOYSA-N 0 0 283.284 2.648 20 5 CFBDRN COc1nc(C)c(NC(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC001168744114 780600518 /nfs/dbraw/zinc/60/05/18/780600518.db2.gz KYBGPYMSVPCESQ-UHFFFAOYSA-N 0 0 277.324 2.907 20 5 CFBDRN COc1cc([N+](=O)[O-])c(NCC(C)(F)F)cc1F ZINC001162234652 780637690 /nfs/dbraw/zinc/63/76/90/780637690.db2.gz YNVGOQBENBXDEJ-UHFFFAOYSA-N 0 0 264.203 2.810 20 5 CFBDRN COc1c(F)cc(NCc2cnoc2C)cc1[N+](=O)[O-] ZINC001162273348 780651759 /nfs/dbraw/zinc/65/17/59/780651759.db2.gz ZJPOWURUHUEEPY-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN C[C@H]1CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C[C@@H]1CO ZINC000700379460 780682932 /nfs/dbraw/zinc/68/29/32/780682932.db2.gz WPYANCQKMZRRPS-CMPLNLGQSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@H]1CCN(Cc2cc([N+](=O)[O-])ccc2Cl)C[C@@H]1CO ZINC000700376489 780683897 /nfs/dbraw/zinc/68/38/97/780683897.db2.gz MESHQVQEMLQWLW-CMPLNLGQSA-N 0 0 298.770 2.699 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@H](F)C1 ZINC000701897746 780850892 /nfs/dbraw/zinc/85/08/92/780850892.db2.gz URKNECSKVKRNOJ-VIFPVBQESA-N 0 0 286.690 2.822 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccccc1OCCF)[N+](=O)[O-] ZINC001117888747 780921518 /nfs/dbraw/zinc/92/15/18/780921518.db2.gz DYSAUPUCPVEDHA-UHFFFAOYSA-N 0 0 298.314 2.809 20 5 CFBDRN CC1(C)C[C@]1(C)CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000702424727 780924912 /nfs/dbraw/zinc/92/49/12/780924912.db2.gz ZNSSDLFJYJKFNQ-GFCCVEGCSA-N 0 0 251.286 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2SCCS2)nc1 ZINC000703327221 781005640 /nfs/dbraw/zinc/00/56/40/781005640.db2.gz HPCHYMFTRDHQHL-UHFFFAOYSA-N 0 0 271.367 2.598 20 5 CFBDRN O=C(OCc1ccncc1F)c1ccc([N+](=O)[O-])s1 ZINC001128985895 781026800 /nfs/dbraw/zinc/02/68/00/781026800.db2.gz YVWZWWNFLULRKZ-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1CO ZINC000704005210 781082800 /nfs/dbraw/zinc/08/28/00/781082800.db2.gz GKGVMHUEDFZJSX-NXEZZACHSA-N 0 0 284.743 2.703 20 5 CFBDRN COCCC1CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000704201697 781090682 /nfs/dbraw/zinc/09/06/82/781090682.db2.gz DIZQXKQQBNUNBD-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC[C@H]1CCSC1 ZINC000704789338 781117506 /nfs/dbraw/zinc/11/75/06/781117506.db2.gz FJBUZYRQSLISQS-QMMMGPOBSA-N 0 0 287.772 2.620 20 5 CFBDRN CCC1CN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000705037297 781130926 /nfs/dbraw/zinc/13/09/26/781130926.db2.gz ALESINGCOJIITI-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN CCC1CN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 ZINC000705045646 781132105 /nfs/dbraw/zinc/13/21/05/781132105.db2.gz MWHQQIAEJQDYIQ-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC(C(F)F)C2)c1 ZINC000706458728 781175501 /nfs/dbraw/zinc/17/55/01/781175501.db2.gz JLNWLFORVIJATE-UHFFFAOYSA-N 0 0 276.670 2.945 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1N)[C@H](C)C(C)C ZINC000707084883 781222689 /nfs/dbraw/zinc/22/26/89/781222689.db2.gz FKQBLEZYVACLRG-SNVBAGLBSA-N 0 0 279.340 2.684 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2cc([N+](=O)[O-])ccc2C)C1 ZINC000708989744 781286385 /nfs/dbraw/zinc/28/63/85/781286385.db2.gz GUWJWGHEKNJNCH-KLPPZKSPSA-N 0 0 250.298 2.740 20 5 CFBDRN CC1(C)C[C@@H](CNc2ccc([N+](=O)[O-])cn2)C(C)(C)O1 ZINC000709767657 781308164 /nfs/dbraw/zinc/30/81/64/781308164.db2.gz UKKMJDNJADLCDF-JTQLQIEISA-N 0 0 279.340 2.995 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2ccns2)c1 ZINC000709915965 781321831 /nfs/dbraw/zinc/32/18/31/781321831.db2.gz PBUZGXWMCBUHSJ-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN CCOc1nc(N[C@H](C)c2ccno2)ccc1[N+](=O)[O-] ZINC001163214542 781407984 /nfs/dbraw/zinc/40/79/84/781407984.db2.gz WIXHXTRVAGTFKW-MRVPVSSYSA-N 0 0 278.268 2.550 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC3(CCC3)O2)nc1 ZINC000711495769 781446393 /nfs/dbraw/zinc/44/63/93/781446393.db2.gz LRYSVIDYLVRLOG-LLVKDONJSA-N 0 0 263.297 2.503 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC[C@@H](CF)C1 ZINC000711509948 781447706 /nfs/dbraw/zinc/44/77/06/781447706.db2.gz SFUQDFXPEASQNC-QMMMGPOBSA-N 0 0 286.690 2.680 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000711718623 781465623 /nfs/dbraw/zinc/46/56/23/781465623.db2.gz BPACVSUKGZJEMM-QMMMGPOBSA-N 0 0 282.246 2.569 20 5 CFBDRN O=C(OCCOC1CC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000712026621 781491002 /nfs/dbraw/zinc/49/10/02/781491002.db2.gz GPYVNHJTVUZUGK-UHFFFAOYSA-N 0 0 285.683 2.584 20 5 CFBDRN O=[N+]([O-])c1cccc(N2CCCC(F)(F)CC2)n1 ZINC001163977126 781624347 /nfs/dbraw/zinc/62/43/47/781624347.db2.gz LKDFALRZSFDDFI-UHFFFAOYSA-N 0 0 257.240 2.615 20 5 CFBDRN CC(C)(C)C(=O)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC001164000498 781627302 /nfs/dbraw/zinc/62/73/02/781627302.db2.gz MSDTYEOGDRMYDL-UHFFFAOYSA-N 0 0 291.351 2.896 20 5 CFBDRN O=C(OC/C=C\Br)c1ccc([N+](=O)[O-])cc1 ZINC000606511687 781636870 /nfs/dbraw/zinc/63/68/70/781636870.db2.gz YYNVEKJROKHCKA-BHQIHCQQSA-N 0 0 286.081 2.660 20 5 CFBDRN O=c1[nH]ccc(NCc2ccc3c(c2)CCC3)c1[N+](=O)[O-] ZINC001118517400 781666639 /nfs/dbraw/zinc/66/66/39/781666639.db2.gz WDOIEIUHIHFPQQ-UHFFFAOYSA-N 0 0 285.303 2.796 20 5 CFBDRN Cc1cc(Cl)nc(N2C[C@@H]3C[C@H]2C[C@H]3F)c1[N+](=O)[O-] ZINC001166531659 781759510 /nfs/dbraw/zinc/75/95/10/781759510.db2.gz AHYPPMYPTVTDMD-XHNCKOQMSA-N 0 0 285.706 2.888 20 5 CFBDRN CC(C)[C@@H]1COCCN1c1cc([N+](=O)[O-])cc(Cl)n1 ZINC001167000673 781828227 /nfs/dbraw/zinc/82/82/27/781828227.db2.gz UAKMBUSFYIMGGZ-JTQLQIEISA-N 0 0 285.731 2.504 20 5 CFBDRN Cc1cc(=O)cc(O[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC001230899208 781880860 /nfs/dbraw/zinc/88/08/60/781880860.db2.gz ZANCMNRREFKUDR-JTQLQIEISA-N 0 0 275.260 2.996 20 5 CFBDRN C[C@@H]1CCCCN1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000822409915 781900719 /nfs/dbraw/zinc/90/07/19/781900719.db2.gz RYGVAUPBTLUDIJ-SECBINFHSA-N 0 0 297.742 2.767 20 5 CFBDRN C[C@@H](N[C@H]1CCCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000594505489 349433794 /nfs/dbraw/zinc/43/37/94/349433794.db2.gz WRYRCMOCIMFPFB-RISCZKNCSA-N 0 0 286.335 2.977 20 5 CFBDRN CC(C)Oc1nc(N2CC3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000594588327 349453203 /nfs/dbraw/zinc/45/32/03/349453203.db2.gz GGLBKIFMORNOBR-UHFFFAOYSA-N 0 0 299.277 2.622 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@@H](OC)CC1 ZINC000594575960 349450255 /nfs/dbraw/zinc/45/02/55/349450255.db2.gz VMVZYTRPEIBWQS-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN COCC1(CNc2c(C)cccc2[N+](=O)[O-])CC1 ZINC000594592024 349453763 /nfs/dbraw/zinc/45/37/63/349453763.db2.gz JPQJZGQMWBTAHL-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN COC[C@H](CC(C)(C)C)Nc1ncc([N+](=O)[O-])s1 ZINC000594595361 349455228 /nfs/dbraw/zinc/45/52/28/349455228.db2.gz BECBHKAVFSVBLK-QMMMGPOBSA-N 0 0 273.358 2.914 20 5 CFBDRN Cc1nc(N2CCC[C@@H](OC3CCC3)C2)ccc1[N+](=O)[O-] ZINC000594595407 349455537 /nfs/dbraw/zinc/45/55/37/349455537.db2.gz XVUKZAUIZAHKCB-CYBMUJFWSA-N 0 0 291.351 2.836 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H](OC2CCC2)C1 ZINC000594595121 349455593 /nfs/dbraw/zinc/45/55/93/349455593.db2.gz SNEUMFFGRXMTRB-GFCCVEGCSA-N 0 0 277.324 2.528 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])nc(C)n1CC)[C@@H]1CCCCO1 ZINC000594599339 349457458 /nfs/dbraw/zinc/45/74/58/349457458.db2.gz JJRPRHJGKOJZBQ-NEPJUHHUSA-N 0 0 296.371 2.879 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000067521838 346808337 /nfs/dbraw/zinc/80/83/37/346808337.db2.gz WTHVGBDXCDWFIL-JTQLQIEISA-N 0 0 298.364 2.606 20 5 CFBDRN CC(C)OC(=O)C[C@@H](Nc1ncccc1[N+](=O)[O-])C(C)C ZINC000594603219 349459248 /nfs/dbraw/zinc/45/92/48/349459248.db2.gz VBRNYMZVUIXDKW-LLVKDONJSA-N 0 0 295.339 2.768 20 5 CFBDRN CC(C)CCCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067536528 346809103 /nfs/dbraw/zinc/80/91/03/346809103.db2.gz DIPSHLLCPXMQAR-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN Cc1cc(CNC(=O)Nc2cc([N+](=O)[O-])ccc2C)no1 ZINC000067556375 346809621 /nfs/dbraw/zinc/80/96/21/346809621.db2.gz FKESKYYWOOUBMP-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN COC(C)(C)c1cn(-c2ccc(Cl)cc2[N+](=O)[O-])nn1 ZINC000594652191 349468375 /nfs/dbraw/zinc/46/83/75/349468375.db2.gz IHUMJJBHXBYGNO-UHFFFAOYSA-N 0 0 296.714 2.710 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCC[C@H]1CCCCO1 ZINC000594698990 349475682 /nfs/dbraw/zinc/47/56/82/349475682.db2.gz LBUNXPNFSIPZIS-GFCCVEGCSA-N 0 0 280.324 2.975 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCC[C@@H]1CCCCO1 ZINC000594698991 349475756 /nfs/dbraw/zinc/47/57/56/349475756.db2.gz LBUNXPNFSIPZIS-LBPRGKRZSA-N 0 0 280.324 2.975 20 5 CFBDRN C[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])[C@H](C)C1 ZINC000594734077 349483885 /nfs/dbraw/zinc/48/38/85/349483885.db2.gz NVANBICZPCWHSE-GHMZBOCLSA-N 0 0 292.335 2.913 20 5 CFBDRN CCC(CC)Cn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000594888762 349494430 /nfs/dbraw/zinc/49/44/30/349494430.db2.gz DOPGYYHOXJXHJM-UHFFFAOYSA-N 0 0 264.281 2.939 20 5 CFBDRN CC(C)(C)n1cc(COc2cc(F)cc([N+](=O)[O-])c2)nn1 ZINC000594893207 349496075 /nfs/dbraw/zinc/49/60/75/349496075.db2.gz TVSVRQMNJOPEQW-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1cn(C(C)(C)C)nn1 ZINC000594894879 349496734 /nfs/dbraw/zinc/49/67/34/349496734.db2.gz WPXPLBCMRSMDDU-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN CCC(CC)Cn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000594899494 349498841 /nfs/dbraw/zinc/49/88/41/349498841.db2.gz JFVVKUDFXRALBZ-UHFFFAOYSA-N 0 0 264.281 2.939 20 5 CFBDRN COc1cc(OC[C@H](OC)C2CCC2)ccc1[N+](=O)[O-] ZINC000594899486 349499297 /nfs/dbraw/zinc/49/92/97/349499297.db2.gz IVYLSRVKENYMON-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1cnc(SCCn2ccc([N+](=O)[O-])n2)c(C)c1 ZINC000594917130 349505973 /nfs/dbraw/zinc/50/59/73/349505973.db2.gz NUEBRPFCFXCFQX-UHFFFAOYSA-N 0 0 278.337 2.595 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000082609584 178125816 /nfs/dbraw/zinc/12/58/16/178125816.db2.gz LWGWFQMZZSYANO-UHFFFAOYSA-N 0 0 266.297 2.962 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124649868 187146986 /nfs/dbraw/zinc/14/69/86/187146986.db2.gz FGHCNLLYOFUMDN-VHSXEESVSA-N 0 0 278.312 2.690 20 5 CFBDRN CCOCCC1(CNc2ccc([N+](=O)[O-])cn2)CC1 ZINC000127718862 187328324 /nfs/dbraw/zinc/32/83/24/187328324.db2.gz DCFVOQHYCQEIMZ-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN Cc1ccc(-c2noc(CN(C)C(C)C)n2)cc1[N+](=O)[O-] ZINC000273854686 192336011 /nfs/dbraw/zinc/33/60/11/192336011.db2.gz RCAZTKACSMLLOE-UHFFFAOYSA-N 0 0 290.323 2.793 20 5 CFBDRN COC1(c2noc(-c3ccc([N+](=O)[O-])c(C)c3)n2)CCC1 ZINC000273857290 192336716 /nfs/dbraw/zinc/33/67/16/192336716.db2.gz BYJVBCYVXKTCHR-UHFFFAOYSA-N 0 0 289.291 2.979 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C(F)(F)F ZINC000289996595 197556605 /nfs/dbraw/zinc/55/66/05/197556605.db2.gz FHPLNECCKMZHBF-ZETCQYMHSA-N 0 0 276.214 2.584 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000290051937 197577255 /nfs/dbraw/zinc/57/72/55/197577255.db2.gz JUWJMXVLEGHDHX-RYUDHWBXSA-N 0 0 288.307 2.723 20 5 CFBDRN C[C@H](NCc1cc([O-])ccc1[N+](=O)[O-])c1cscn1 ZINC000594965838 349533063 /nfs/dbraw/zinc/53/30/63/349533063.db2.gz LMHCKHFBFGUOPQ-QMMMGPOBSA-N 0 0 279.321 2.608 20 5 CFBDRN CO[C@@]1(C)C[C@H]1NCc1ccc([N+](=O)[O-])cc1Cl ZINC000594993942 349541243 /nfs/dbraw/zinc/54/12/43/349541243.db2.gz CQLLGVDNYZLSSW-NEPJUHHUSA-N 0 0 270.716 2.515 20 5 CFBDRN CC(C)(C)[C@@H](O)COc1ccc(F)cc1[N+](=O)[O-] ZINC000290191170 197626237 /nfs/dbraw/zinc/62/62/37/197626237.db2.gz RQBJQAOKGGPYEW-NSHDSACASA-N 0 0 257.261 2.520 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000125189091 187173543 /nfs/dbraw/zinc/17/35/43/187173543.db2.gz NOJTZULUHKZWIE-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@H](O)[C@H](NCc1csc([N+](=O)[O-])c1)c1ccccc1 ZINC000595008453 349547095 /nfs/dbraw/zinc/54/70/95/349547095.db2.gz SYSHQXJPZKNTBA-HZMBPMFUSA-N 0 0 292.360 2.868 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)NCc1cccnc1 ZINC000595008433 349547415 /nfs/dbraw/zinc/54/74/15/349547415.db2.gz SMMPGPUQYJBKOV-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)N[C@H](C)c1cn[nH]c1 ZINC000595033033 349557766 /nfs/dbraw/zinc/55/77/66/349557766.db2.gz ADOWZPDHABYEAT-NXEZZACHSA-N 0 0 290.323 2.738 20 5 CFBDRN COc1ccc(CC(=O)N2CCCC[C@H]2C)cc1[N+](=O)[O-] ZINC000067789242 346819859 /nfs/dbraw/zinc/81/98/59/346819859.db2.gz JCSFSMGATYEHCA-LLVKDONJSA-N 0 0 292.335 2.547 20 5 CFBDRN CC(C)[C@@H](C)CC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000067817106 346820870 /nfs/dbraw/zinc/82/08/70/346820870.db2.gz LHQMWJWLKRBVSQ-NSHDSACASA-N 0 0 264.325 2.893 20 5 CFBDRN CC(C)(C)CNC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000067868665 346824357 /nfs/dbraw/zinc/82/43/57/346824357.db2.gz FWHAEACGPFMXLY-UHFFFAOYSA-N 0 0 289.335 2.712 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000067904668 346826929 /nfs/dbraw/zinc/82/69/29/346826929.db2.gz WLCSJLQZBNMEEA-LLVKDONJSA-N 0 0 295.295 2.508 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000067914626 346827833 /nfs/dbraw/zinc/82/78/33/346827833.db2.gz STUAANMESLUSMI-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000067999749 346831261 /nfs/dbraw/zinc/83/12/61/346831261.db2.gz VUBCNQBZQIBLMD-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN Cc1cc(C)n(CCCNc2ccc([N+](=O)[O-])c(C)n2)n1 ZINC000125328631 187179292 /nfs/dbraw/zinc/17/92/92/187179292.db2.gz FJROYLBVMRTIFZ-UHFFFAOYSA-N 0 0 289.339 2.614 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000125327737 187179736 /nfs/dbraw/zinc/17/97/36/187179736.db2.gz AXGFXENZNQRUTH-JTQLQIEISA-N 0 0 272.301 2.814 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000068171805 346838443 /nfs/dbraw/zinc/83/84/43/346838443.db2.gz VMHDAAFSVJIABJ-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN CCO[C@H]1CCCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595323354 349602634 /nfs/dbraw/zinc/60/26/34/349602634.db2.gz YJPWOOINCUBPDZ-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN CCS[C@@H]1CC[C@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1 ZINC000595331939 349603549 /nfs/dbraw/zinc/60/35/49/349603549.db2.gz ZGBYKAFLEXISPC-WDEREUQCSA-N 0 0 299.396 2.997 20 5 CFBDRN CCN(C(=O)Cc1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000068304263 346846567 /nfs/dbraw/zinc/84/65/67/346846567.db2.gz ZYMRPLKUKDHGFB-UHFFFAOYSA-N 0 0 285.303 2.585 20 5 CFBDRN COc1cccc(CN(C)Cc2onc(C)c2[N+](=O)[O-])c1 ZINC000595291641 349592122 /nfs/dbraw/zinc/59/21/22/349592122.db2.gz LBSMKGGKZGYXTG-UHFFFAOYSA-N 0 0 291.307 2.532 20 5 CFBDRN Cc1noc(CN2CCC(OCC(C)C)CC2)c1[N+](=O)[O-] ZINC000595292934 349594144 /nfs/dbraw/zinc/59/41/44/349594144.db2.gz GBZFYEMSBGWVLA-UHFFFAOYSA-N 0 0 297.355 2.528 20 5 CFBDRN CC(C)CO[C@@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595345148 349606408 /nfs/dbraw/zinc/60/64/08/349606408.db2.gz PHZHBONLOLVSKD-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN Cc1noc(CN2CCC[C@H]2c2cccn2C)c1[N+](=O)[O-] ZINC000595351090 349608680 /nfs/dbraw/zinc/60/86/80/349608680.db2.gz NKKFKRFWLGNORU-LBPRGKRZSA-N 0 0 290.323 2.567 20 5 CFBDRN Cc1noc(CN(C)c2ccccc2F)c1[N+](=O)[O-] ZINC000595366825 349610572 /nfs/dbraw/zinc/61/05/72/349610572.db2.gz KHQWZNVWTCUIHF-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN Cc1noc(CN2CCS[C@@H]3CCCC[C@H]32)c1[N+](=O)[O-] ZINC000595339968 349605518 /nfs/dbraw/zinc/60/55/18/349605518.db2.gz SEELDXDBEOWSJL-ZYHUDNBSSA-N 0 0 297.380 2.751 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@@H](CC)O1 ZINC000421947010 529380787 /nfs/dbraw/zinc/38/07/87/529380787.db2.gz HISSWMUBMPSXOL-NXEZZACHSA-N 0 0 298.364 2.686 20 5 CFBDRN Cc1noc(CN2c3ccccc3OC[C@@H]2C)c1[N+](=O)[O-] ZINC000595381382 349612971 /nfs/dbraw/zinc/61/29/71/349612971.db2.gz JIJDFZQGAKUEIH-VIFPVBQESA-N 0 0 289.291 2.679 20 5 CFBDRN CN(Cc1ncc[nH]1)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000595402363 349617243 /nfs/dbraw/zinc/61/72/43/349617243.db2.gz XSGIKXJANYPYAY-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])C[C@@H]1F ZINC000595425236 349621365 /nfs/dbraw/zinc/62/13/65/349621365.db2.gz ZUHGVYCPCQCOCB-SKDRFNHKSA-N 0 0 270.279 2.914 20 5 CFBDRN C[C@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])C[C@H]1F ZINC000595425235 349621603 /nfs/dbraw/zinc/62/16/03/349621603.db2.gz ZUHGVYCPCQCOCB-JOYOIKCWSA-N 0 0 270.279 2.914 20 5 CFBDRN CCOC(=O)CSc1c(F)cc([N+](=O)[O-])cc1F ZINC000269631027 190523627 /nfs/dbraw/zinc/52/36/27/190523627.db2.gz LLVVLAAAZDUZAS-UHFFFAOYSA-N 0 0 277.248 2.528 20 5 CFBDRN CC[C@@H](NCc1onc(C)c1[N+](=O)[O-])c1nc(C)cs1 ZINC000595433047 349625150 /nfs/dbraw/zinc/62/51/50/349625150.db2.gz UQPJEYBPXSGIIR-SECBINFHSA-N 0 0 296.352 2.897 20 5 CFBDRN Cc1cnc([C@H](C)NCc2onc(C)c2[N+](=O)[O-])s1 ZINC000595440071 349626742 /nfs/dbraw/zinc/62/67/42/349626742.db2.gz ZWHCJOQVBSPUPY-QMMMGPOBSA-N 0 0 282.325 2.507 20 5 CFBDRN CC(C)c1noc(CCNc2ccccc2[N+](=O)[O-])n1 ZINC000068704874 346865831 /nfs/dbraw/zinc/86/58/31/346865831.db2.gz ZGHBMDIKYYIEIE-UHFFFAOYSA-N 0 0 276.296 2.756 20 5 CFBDRN Cc1noc(C[N@@H+]2C[C@H](C)C[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000595456783 349632636 /nfs/dbraw/zinc/63/26/36/349632636.db2.gz GFGWTOHOHCCTOT-WCABBAIRSA-N 0 0 267.329 2.758 20 5 CFBDRN Cc1noc(CN(C)Cc2cc(C)ccc2F)c1[N+](=O)[O-] ZINC000595467727 349635597 /nfs/dbraw/zinc/63/55/97/349635597.db2.gz VSYQAABITSPSSX-UHFFFAOYSA-N 0 0 293.298 2.971 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H]2CCCC[C@@H]2C1 ZINC000178345506 282167982 /nfs/dbraw/zinc/16/79/82/282167982.db2.gz DBQCLJFCXYHRJP-VXGBXAGGSA-N 0 0 289.335 2.560 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1ncc([N+](=O)[O-])cc1F)OC ZINC000413321047 529416682 /nfs/dbraw/zinc/41/66/82/529416682.db2.gz FUADZBDAHDTTBT-KCJUWKMLSA-N 0 0 271.292 2.602 20 5 CFBDRN CC[C@H](NC(=O)NCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000595521360 349650230 /nfs/dbraw/zinc/65/02/30/349650230.db2.gz BYBQNPNNRGHBQA-ZDUSSCGKSA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1noc(CN[C@@H](c2ccccc2)C(F)F)c1[N+](=O)[O-] ZINC000595502887 349644611 /nfs/dbraw/zinc/64/46/11/349644611.db2.gz ONYLLJGIHOAMDL-NSHDSACASA-N 0 0 297.261 2.987 20 5 CFBDRN CCC(CC)CNc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000125648802 187194690 /nfs/dbraw/zinc/19/46/90/187194690.db2.gz TZKKTWLTIHDYJD-UHFFFAOYSA-N 0 0 293.323 2.774 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCCC1 ZINC000068934170 346877090 /nfs/dbraw/zinc/87/70/90/346877090.db2.gz OTADTXUNLZRDGH-GFCCVEGCSA-N 0 0 292.335 2.765 20 5 CFBDRN Cc1noc(Cn2cnc3c2C[C@H](C)[C@@H](C)C3)c1[N+](=O)[O-] ZINC000595510094 349647049 /nfs/dbraw/zinc/64/70/49/349647049.db2.gz JZJCLOHUGBLDOC-IUCAKERBSA-N 0 0 290.323 2.507 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2nc3sccn3c2[N+](=O)[O-])CCO1 ZINC000420624015 529447501 /nfs/dbraw/zinc/44/75/01/529447501.db2.gz WAUFLCJNPFDEDL-RKDXNWHRSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C[C@H]1CCCO1 ZINC000069024837 346880850 /nfs/dbraw/zinc/88/08/50/346880850.db2.gz SNBKJEHTEROJLT-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN CSc1ccc(C(=O)NC2(C)CCC2)cc1[N+](=O)[O-] ZINC000125833237 187201788 /nfs/dbraw/zinc/20/17/88/187201788.db2.gz MBZQVSYFMRMBBD-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN CCN(CC1CC1)c1nc2sccn2c1[N+](=O)[O-] ZINC000069797875 346900628 /nfs/dbraw/zinc/90/06/28/346900628.db2.gz LIORYCTXMIOABR-UHFFFAOYSA-N 0 0 266.326 2.540 20 5 CFBDRN CCn1ccnc1NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421900195 529586496 /nfs/dbraw/zinc/58/64/96/529586496.db2.gz NUKUTGHJVWMGPM-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)Nc1cccc(CO)c1 ZINC000070748797 346912682 /nfs/dbraw/zinc/91/26/82/346912682.db2.gz JZZUJIQNYCIWHI-BQYQJAHWSA-N 0 0 298.298 2.739 20 5 CFBDRN CCc1ccc(C(=O)NCc2nccs2)cc1[N+](=O)[O-] ZINC000174099534 529605747 /nfs/dbraw/zinc/60/57/47/529605747.db2.gz ALEVRNMZRGLCSX-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN CC[N@H+](Cc1ccc(Cl)cc1[N+](=O)[O-])[C@@H](C)C(=O)[O-] ZINC000595881274 349760919 /nfs/dbraw/zinc/76/09/19/349760919.db2.gz BYHFYJWLHTUXOT-QMMMGPOBSA-N 0 0 286.715 2.543 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1Cc2cccc(F)c2C1 ZINC000413441602 529602293 /nfs/dbraw/zinc/60/22/93/529602293.db2.gz TVWFFUFOGCUVSJ-UHFFFAOYSA-N 0 0 290.298 2.779 20 5 CFBDRN CC12CC(C1)CN2Cc1c(F)cccc1[N+](=O)[O-] ZINC000595914547 349767569 /nfs/dbraw/zinc/76/75/69/349767569.db2.gz BRDSMXNAMWKNQI-UHFFFAOYSA-N 0 0 250.273 2.718 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCc2nccnc2C1 ZINC000595864687 349757769 /nfs/dbraw/zinc/75/77/69/349757769.db2.gz QIZXCDUVZUWARV-LLVKDONJSA-N 0 0 284.319 2.504 20 5 CFBDRN CC1(C)CCCC[C@H]1Cn1cccc([N+](=O)[O-])c1=O ZINC000596021096 349777041 /nfs/dbraw/zinc/77/70/41/349777041.db2.gz NMKWLZWYZKJTHB-NSHDSACASA-N 0 0 264.325 2.973 20 5 CFBDRN Cc1noc(CNc2cc(CO)ccc2Cl)c1[N+](=O)[O-] ZINC000596031672 349778588 /nfs/dbraw/zinc/77/85/88/349778588.db2.gz DEYDQTOFGKWLCW-UHFFFAOYSA-N 0 0 297.698 2.649 20 5 CFBDRN Cc1noc(CNc2cccc(C)c2F)c1[N+](=O)[O-] ZINC000596032987 349779309 /nfs/dbraw/zinc/77/93/09/349779309.db2.gz VCYBSJINNZZKOH-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1cc(NCCNc2ccccn2)c([N+](=O)[O-])s1 ZINC000596058077 349783455 /nfs/dbraw/zinc/78/34/55/349783455.db2.gz CCVZMSRWIPQJHO-UHFFFAOYSA-N 0 0 278.337 2.884 20 5 CFBDRN CC(C)CCN(c1ccc([N+](=O)[O-])c(C(N)=O)c1)C1CC1 ZINC000596075625 349787939 /nfs/dbraw/zinc/78/79/39/349787939.db2.gz KZTMXCAHZTVQED-UHFFFAOYSA-N 0 0 291.351 2.709 20 5 CFBDRN Cc1cc(NCCC(=O)N2CCCCC2)c([N+](=O)[O-])s1 ZINC000596081184 349789166 /nfs/dbraw/zinc/78/91/66/349789166.db2.gz UUSUHGLRXLUDNF-UHFFFAOYSA-N 0 0 297.380 2.779 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596086931 349790720 /nfs/dbraw/zinc/79/07/20/349790720.db2.gz CJWXUZTWBQUQFB-MNOVXSKESA-N 0 0 291.351 2.932 20 5 CFBDRN Cc1cc(N2C[C@H](C)OC(C)(C)C2)c([N+](=O)[O-])s1 ZINC000596090368 349792357 /nfs/dbraw/zinc/79/23/57/349792357.db2.gz QCLJAHOAOCKRPW-QMMMGPOBSA-N 0 0 270.354 2.968 20 5 CFBDRN Cc1cc(NCCc2c(C)nn(C)c2C)c([N+](=O)[O-])s1 ZINC000596072911 349787555 /nfs/dbraw/zinc/78/75/55/349787555.db2.gz ARXGZKFXMQXVLI-UHFFFAOYSA-N 0 0 294.380 2.970 20 5 CFBDRN CC1=CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)CC1 ZINC000270053746 190591453 /nfs/dbraw/zinc/59/14/53/190591453.db2.gz FJSPPMOIOZFYCI-UHFFFAOYSA-N 0 0 285.303 2.868 20 5 CFBDRN CC(C)C[C@H]1CCCN1c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596098222 349794840 /nfs/dbraw/zinc/79/48/40/349794840.db2.gz QNEOAQUNIYOLBM-LLVKDONJSA-N 0 0 291.351 2.709 20 5 CFBDRN Cc1cccc(N[C@@H]2CCc3nc(C)ncc3C2)c1[N+](=O)[O-] ZINC000596106033 349795644 /nfs/dbraw/zinc/79/56/44/349795644.db2.gz OYQXEGWQRAOWTB-CYBMUJFWSA-N 0 0 298.346 2.971 20 5 CFBDRN CC(C)(CO)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000126359377 187234402 /nfs/dbraw/zinc/23/44/02/187234402.db2.gz VKIIRCNCQMBDFF-UHFFFAOYSA-N 0 0 258.705 2.679 20 5 CFBDRN Cc1ccc(NC(=O)CN(C)c2ccccc2[N+](=O)[O-])cc1 ZINC000072521870 346927580 /nfs/dbraw/zinc/92/75/80/346927580.db2.gz AKLKIBIIUDJIPX-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN Cc1ccnc(NC[C@]2(C)CCCS2)c1[N+](=O)[O-] ZINC000126415800 187238307 /nfs/dbraw/zinc/23/83/07/187238307.db2.gz FOAGHEYGYONVCS-LBPRGKRZSA-N 0 0 267.354 2.996 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc(F)c(F)c1 ZINC000083480441 178498650 /nfs/dbraw/zinc/49/86/50/178498650.db2.gz KRHVGWFKOCHHCJ-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CC[C@H]1CCN(C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000126450623 187241408 /nfs/dbraw/zinc/24/14/08/187241408.db2.gz FFCQZFNWHXKMOZ-LBPRGKRZSA-N 0 0 291.351 2.579 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H](C)CC(C)(C)O)c1 ZINC000294980433 199282973 /nfs/dbraw/zinc/28/29/73/199282973.db2.gz LXYINBGVZGQSMM-SECBINFHSA-N 0 0 268.313 2.565 20 5 CFBDRN Cc1cc(NCCN(C)CC(F)(F)F)c([N+](=O)[O-])s1 ZINC000596126455 349800324 /nfs/dbraw/zinc/80/03/24/349800324.db2.gz SVQUNODZIWTIFB-UHFFFAOYSA-N 0 0 297.302 2.871 20 5 CFBDRN C[C@H](CCO)CCNc1cccc(F)c1[N+](=O)[O-] ZINC000294983973 199284419 /nfs/dbraw/zinc/28/44/19/199284419.db2.gz PSVVIQZSNDQQQQ-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN COc1cc(N(C)Cc2ncc[nH]2)c(Cl)cc1[N+](=O)[O-] ZINC000596132530 349802850 /nfs/dbraw/zinc/80/28/50/349802850.db2.gz NGBHMNPJHJPQCB-UHFFFAOYSA-N 0 0 296.714 2.616 20 5 CFBDRN COc1cc(NC[C@@H](O)C(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000596135917 349803595 /nfs/dbraw/zinc/80/35/95/349803595.db2.gz NHXBCUMIDKCYSQ-LLVKDONJSA-N 0 0 288.731 2.686 20 5 CFBDRN CCN(Cc1cnn(C)c1)[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000072597110 346931839 /nfs/dbraw/zinc/93/18/39/346931839.db2.gz NHCZRXTYETZTJC-LBPRGKRZSA-N 0 0 288.351 2.911 20 5 CFBDRN CO[C@H]1CCN(c2ccc(C(F)(F)F)c([N+](=O)[O-])c2)C1 ZINC000596150205 349809407 /nfs/dbraw/zinc/80/94/07/349809407.db2.gz HSUIMPGZDUIPAR-VIFPVBQESA-N 0 0 290.241 2.839 20 5 CFBDRN CC(C)(CNc1ncccc1[N+](=O)[O-])c1ccccn1 ZINC000294989990 199287830 /nfs/dbraw/zinc/28/78/30/199287830.db2.gz QGZXNZONZWQPFT-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN C[C@@H](CCCO)CNc1c(F)cccc1[N+](=O)[O-] ZINC000294995754 199290820 /nfs/dbraw/zinc/29/08/20/199290820.db2.gz QYDWAABGHWOKJJ-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000596152236 349810336 /nfs/dbraw/zinc/81/03/36/349810336.db2.gz JETLDNXUFAOHDU-JTQLQIEISA-N 0 0 296.323 2.523 20 5 CFBDRN Cc1cc(NCCCO[C@H]2CCOC2)c([N+](=O)[O-])s1 ZINC000596156479 349811671 /nfs/dbraw/zinc/81/16/71/349811671.db2.gz IDPMNBORGJLQJD-JTQLQIEISA-N 0 0 286.353 2.572 20 5 CFBDRN CCc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000072840813 346943523 /nfs/dbraw/zinc/94/35/23/346943523.db2.gz GFZKISKYRFWHLS-UHFFFAOYSA-N 0 0 259.265 2.738 20 5 CFBDRN Cc1cc(N[C@H](C)c2nnc(C)o2)c([N+](=O)[O-])s1 ZINC000596158065 349812700 /nfs/dbraw/zinc/81/27/00/349812700.db2.gz OPIXGYNYFYTBGO-ZCFIWIBFSA-N 0 0 268.298 2.829 20 5 CFBDRN Cc1ccc(N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)nn1 ZINC000596152308 349810224 /nfs/dbraw/zinc/81/02/24/349810224.db2.gz OVURAOPVHQFMHF-NSHDSACASA-N 0 0 272.308 2.597 20 5 CFBDRN Cc1cccc(N2CCN(c3cccc([N+](=O)[O-])c3)CC2)n1 ZINC000153124777 136394489 /nfs/dbraw/zinc/39/44/89/136394489.db2.gz GHBSEMJBQAPSNY-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2[C@@H]3Cc4ccccc4[C@H]23)c1[N+](=O)[O-] ZINC000596166126 349814825 /nfs/dbraw/zinc/81/48/25/349814825.db2.gz QBBRSLWTOFUHSM-SCRDCRAPSA-N 0 0 297.314 2.693 20 5 CFBDRN CCC1(CC)[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@@H]1OC ZINC000596167296 349815460 /nfs/dbraw/zinc/81/54/60/349815460.db2.gz BHGYJKVRDRGCQG-QWRGUYRKSA-N 0 0 295.339 2.701 20 5 CFBDRN CCC1(CC)[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@@H]1OC ZINC000596167295 349815709 /nfs/dbraw/zinc/81/57/09/349815709.db2.gz BHGYJKVRDRGCQG-MNOVXSKESA-N 0 0 295.339 2.701 20 5 CFBDRN CC(C)CN(CC(C)C)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596170994 349816071 /nfs/dbraw/zinc/81/60/71/349816071.db2.gz BWGXZACOFJPDFP-UHFFFAOYSA-N 0 0 293.367 2.812 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000596171365 349816148 /nfs/dbraw/zinc/81/61/48/349816148.db2.gz LLZWLHWBOQEQJP-VHSXEESVSA-N 0 0 297.742 2.506 20 5 CFBDRN CCCCn1ncc(Nc2cc[nH]c(=O)c2[N+](=O)[O-])c1C ZINC000596169571 349816297 /nfs/dbraw/zinc/81/62/97/349816297.db2.gz IXMGLVCVVWBEOS-UHFFFAOYSA-N 0 0 291.311 2.744 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C[C@H](C)C1 ZINC000596173274 349817035 /nfs/dbraw/zinc/81/70/35/349817035.db2.gz SVPFIRONHNSHNS-WDEREUQCSA-N 0 0 291.351 2.566 20 5 CFBDRN CS[C@H]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000295026871 199307352 /nfs/dbraw/zinc/30/73/52/199307352.db2.gz RIALUBJULNIFKW-ZETCQYMHSA-N 0 0 296.377 2.541 20 5 CFBDRN CCC[C@H](CNc1ccc([N+](=O)[O-])cc1COC)OC ZINC000295031269 199309446 /nfs/dbraw/zinc/30/94/46/199309446.db2.gz VZTDRPHAAVCUCH-CYBMUJFWSA-N 0 0 282.340 2.968 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2NC[C@@H](C)OC ZINC000295030766 199309607 /nfs/dbraw/zinc/30/96/07/199309607.db2.gz NCSSWSZDKSALIH-SECBINFHSA-N 0 0 291.307 2.598 20 5 CFBDRN Cc1cc(NCCc2csc(=O)[nH]2)c([N+](=O)[O-])s1 ZINC000596206965 349827125 /nfs/dbraw/zinc/82/71/25/349827125.db2.gz PZTICMMJQDDGMB-UHFFFAOYSA-N 0 0 285.350 2.781 20 5 CFBDRN Cc1cc(N(C)CC(=O)OC(C)(C)C)c([N+](=O)[O-])s1 ZINC000596184799 349821080 /nfs/dbraw/zinc/82/10/80/349821080.db2.gz JDGXOSPKRIKDHK-UHFFFAOYSA-N 0 0 286.353 2.743 20 5 CFBDRN CC(C)[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596188239 349821488 /nfs/dbraw/zinc/82/14/88/349821488.db2.gz UMMJLOSVLIIMHZ-NSHDSACASA-N 0 0 285.731 2.504 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000596188166 349821507 /nfs/dbraw/zinc/82/15/07/349821507.db2.gz CTPYSOMSFRSJBG-LBPRGKRZSA-N 0 0 293.367 2.967 20 5 CFBDRN COc1cc(NCCC(C)(C)O)c(Cl)cc1[N+](=O)[O-] ZINC000596194884 349824127 /nfs/dbraw/zinc/82/41/27/349824127.db2.gz HSHOSGWHAKMERN-UHFFFAOYSA-N 0 0 288.731 2.830 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596196167 349824133 /nfs/dbraw/zinc/82/41/33/349824133.db2.gz UXNKHZSNZLQNDO-JTQLQIEISA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](O)C2(C)C)c([N+](=O)[O-])s1 ZINC000596200400 349825676 /nfs/dbraw/zinc/82/56/76/349825676.db2.gz LEIRSUCXEIVXKN-DTWKUNHWSA-N 0 0 256.327 2.536 20 5 CFBDRN CO[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CC[C@@H]1C ZINC000596202050 349825805 /nfs/dbraw/zinc/82/58/05/349825805.db2.gz ABGXARNDQQAXPH-KWQFWETISA-N 0 0 285.731 2.504 20 5 CFBDRN COc1cc(NC[C@H](C)OC)c(Cl)cc1[N+](=O)[O-] ZINC000596205075 349826208 /nfs/dbraw/zinc/82/62/08/349826208.db2.gz YXNXVLKFDLJXFT-ZETCQYMHSA-N 0 0 274.704 2.704 20 5 CFBDRN Cc1cc(NC[C@@H](C)N2CCCCC2=O)c([N+](=O)[O-])s1 ZINC000596203617 349826224 /nfs/dbraw/zinc/82/62/24/349826224.db2.gz IQWGIOAHPYHHHZ-SECBINFHSA-N 0 0 297.380 2.778 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@@]3(CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596182872 349819212 /nfs/dbraw/zinc/81/92/12/349819212.db2.gz SBCBRQRVGRGYSS-MFKMUULPSA-N 0 0 298.364 2.715 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])s1 ZINC000596181439 349819386 /nfs/dbraw/zinc/81/93/86/349819386.db2.gz BKXOJMQIYDTUNH-CBAPKCEASA-N 0 0 256.327 2.944 20 5 CFBDRN COCC1(C)CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596183905 349820194 /nfs/dbraw/zinc/82/01/94/349820194.db2.gz ABYLZLCJIIIHCX-UHFFFAOYSA-N 0 0 299.758 2.896 20 5 CFBDRN CO[C@@]1(C)CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596223149 349832284 /nfs/dbraw/zinc/83/22/84/349832284.db2.gz MWTJVYOCUSYRJU-LBPRGKRZSA-N 0 0 270.354 2.970 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000596226616 349833098 /nfs/dbraw/zinc/83/30/98/349833098.db2.gz LDLMVSBTUHUZNT-JGPRNRPPSA-N 0 0 295.339 2.701 20 5 CFBDRN COC(=O)C(C)(C)CCNc1cc(C)sc1[N+](=O)[O-] ZINC000596230366 349834155 /nfs/dbraw/zinc/83/41/55/349834155.db2.gz JRXVSPGMZXOZCH-UHFFFAOYSA-N 0 0 286.353 2.966 20 5 CFBDRN CCn1cccc(CNc2cc(C)sc2[N+](=O)[O-])c1=O ZINC000596215718 349828622 /nfs/dbraw/zinc/82/86/22/349828622.db2.gz SXJVRMJPRFXNGS-UHFFFAOYSA-N 0 0 293.348 2.758 20 5 CFBDRN COc1cc(N2C[C@@H](C)S[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301469891 136432576 /nfs/dbraw/zinc/43/25/76/136432576.db2.gz OGGPKZHRXLOLIF-AOOOYVTPSA-N 0 0 282.365 2.934 20 5 CFBDRN CO[C@]1(C)CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596223148 349832203 /nfs/dbraw/zinc/83/22/03/349832203.db2.gz MWTJVYOCUSYRJU-GFCCVEGCSA-N 0 0 270.354 2.970 20 5 CFBDRN C[C@H](O)[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000301750087 136438446 /nfs/dbraw/zinc/43/84/46/136438446.db2.gz AMFDVMXCWXOKES-VHSXEESVSA-N 0 0 284.743 2.845 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1nccc2ccc([N+](=O)[O-])cc21 ZINC000596245806 349839092 /nfs/dbraw/zinc/83/90/92/349839092.db2.gz ALVVXLVZKHOOTI-VHSXEESVSA-N 0 0 257.293 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]2C(F)F)c2ncccc12 ZINC000596250880 349840509 /nfs/dbraw/zinc/84/05/09/349840509.db2.gz VBCIDOYIZNPJLR-LLVKDONJSA-N 0 0 279.246 2.987 20 5 CFBDRN Cc1cnc(N2CCC=C(c3cccnc3)C2)c([N+](=O)[O-])c1 ZINC000596252589 349841017 /nfs/dbraw/zinc/84/10/17/349841017.db2.gz NXYCWGSUQJYGSP-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596251376 349841239 /nfs/dbraw/zinc/84/12/39/349841239.db2.gz WMXMWALTUDQENC-NXEZZACHSA-N 0 0 265.313 2.934 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3ccncc3)C2)cn1 ZINC000596253639 349841771 /nfs/dbraw/zinc/84/17/71/349841771.db2.gz FCJSAKJIYRMIQH-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN CO[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1(C)C ZINC000596253832 349842063 /nfs/dbraw/zinc/84/20/63/349842063.db2.gz FHDTZALNRYVXOH-LLVKDONJSA-N 0 0 299.758 2.895 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CCC[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000596270757 349848626 /nfs/dbraw/zinc/84/86/26/349848626.db2.gz ROSQCLKUVNVDMN-MNOVXSKESA-N 0 0 277.324 2.934 20 5 CFBDRN O=c1[nH]ccc(N[C@H](C2CC2)C2CCC2)c1[N+](=O)[O-] ZINC000596260106 349844845 /nfs/dbraw/zinc/84/48/45/349844845.db2.gz ADKDHJRBUQUTGH-NSHDSACASA-N 0 0 263.297 2.686 20 5 CFBDRN Cc1nn(CCO[C@@H]2CC2(F)F)c2ccc([N+](=O)[O-])cc12 ZINC000596263626 349846879 /nfs/dbraw/zinc/84/68/79/349846879.db2.gz IIFCPTXUBIJUMF-GFCCVEGCSA-N 0 0 297.261 2.677 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ncc3c(n2)CCC3)c1 ZINC000596265067 349847252 /nfs/dbraw/zinc/84/72/52/349847252.db2.gz PRXLJROZMYJYAQ-UHFFFAOYSA-N 0 0 284.319 2.794 20 5 CFBDRN CC1(C)C[C@@H](CNc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596265996 349848158 /nfs/dbraw/zinc/84/81/58/349848158.db2.gz QPQGQDNOTZJIHK-VIFPVBQESA-N 0 0 299.758 2.682 20 5 CFBDRN CC[N@@H+]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000596269266 349848182 /nfs/dbraw/zinc/84/81/82/349848182.db2.gz PWTMUQDXESYSOG-SNVBAGLBSA-N 0 0 298.774 2.564 20 5 CFBDRN COC1CC(CCNc2nc3sccn3c2[N+](=O)[O-])C1 ZINC000596310341 349859835 /nfs/dbraw/zinc/85/98/35/349859835.db2.gz CYZNFYQAWWHGKB-UHFFFAOYSA-N 0 0 296.352 2.531 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2COC(C)(C)C2)n1 ZINC000596310685 349859923 /nfs/dbraw/zinc/85/99/23/349859923.db2.gz IXIIAJGGNABMRV-JTQLQIEISA-N 0 0 265.313 2.586 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])s1)[C@H](CO)Cc1ccccc1 ZINC000596312970 349860976 /nfs/dbraw/zinc/86/09/76/349860976.db2.gz MBUJODXFCRNGJY-LBPRGKRZSA-N 0 0 292.360 2.696 20 5 CFBDRN CCc1nn(C)c(NC[C@@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC000596312929 349860482 /nfs/dbraw/zinc/86/04/82/349860482.db2.gz LOEKOJZCCHKNGU-SNVBAGLBSA-N 0 0 289.339 2.501 20 5 CFBDRN CC(=O)Cc1ccc(OCc2onc(C)c2[N+](=O)[O-])cc1 ZINC000596285744 349853024 /nfs/dbraw/zinc/85/30/24/349853024.db2.gz NXYNQVJJPPLAFU-UHFFFAOYSA-N 0 0 290.275 2.602 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CCCC[C@H]2O)c1 ZINC000295481039 199528542 /nfs/dbraw/zinc/52/85/42/199528542.db2.gz JHSUEUPBONWGJD-UONOGXRCSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3C[C@@H]4CCCC[C@@H]43)c21 ZINC000596293960 349854836 /nfs/dbraw/zinc/85/48/36/349854836.db2.gz DVYPEUSMRPDIFG-JQWIXIFHSA-N 0 0 284.319 2.917 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H]3CCCC[C@@H]32)c1 ZINC000596295333 349855671 /nfs/dbraw/zinc/85/56/71/349855671.db2.gz ULCPBTSNXBSGAZ-JQWIXIFHSA-N 0 0 262.309 2.982 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])cn2)cc1F ZINC000073170842 346964507 /nfs/dbraw/zinc/96/45/07/346964507.db2.gz DRTAJNFOJRUMKN-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000073331772 346971118 /nfs/dbraw/zinc/97/11/18/346971118.db2.gz CUELRTQTJYOORY-DTWKUNHWSA-N 0 0 268.338 2.917 20 5 CFBDRN CN(CC1CC1)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413062891 529862468 /nfs/dbraw/zinc/86/24/68/529862468.db2.gz CKCBRMRXKRSGGS-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN COC[C@H](CCNc1ccc([N+](=O)[O-])cc1Cl)OC ZINC000596321332 349862950 /nfs/dbraw/zinc/86/29/50/349862950.db2.gz XFLLSDRKTGLSGP-JTQLQIEISA-N 0 0 288.731 2.712 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](CO)C2CCC2)c([N+](=O)[O-])c1 ZINC000596324309 349863432 /nfs/dbraw/zinc/86/34/32/349863432.db2.gz WDQMGPMBLTUFJU-CYBMUJFWSA-N 0 0 292.335 2.760 20 5 CFBDRN CC[C@@H](COCC1CC1)Nc1ncc([N+](=O)[O-])s1 ZINC000596326105 349863988 /nfs/dbraw/zinc/86/39/88/349863988.db2.gz HEBDSDJRBFMRRL-VIFPVBQESA-N 0 0 271.342 2.668 20 5 CFBDRN COC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])C1CCOCC1 ZINC000596328504 349865180 /nfs/dbraw/zinc/86/51/80/349865180.db2.gz DHBNGKIQZVTPIR-CYBMUJFWSA-N 0 0 298.314 2.587 20 5 CFBDRN Cc1ccc(N[C@H]2C[C@@H](C)n3ncnc32)c([N+](=O)[O-])c1 ZINC000596341792 349870320 /nfs/dbraw/zinc/87/03/20/349870320.db2.gz AOQBRJNASXVXQU-KOLCDFICSA-N 0 0 273.296 2.613 20 5 CFBDRN COCC[C@H](C)SCc1onc(C)c1[N+](=O)[O-] ZINC000596344333 349871112 /nfs/dbraw/zinc/87/11/12/349871112.db2.gz VKYYYHGFGYVHCL-ZETCQYMHSA-N 0 0 260.315 2.549 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC(c2ccco2)CC1 ZINC000596333227 349867405 /nfs/dbraw/zinc/86/74/05/349867405.db2.gz GSLGBADTYRVWSM-UHFFFAOYSA-N 0 0 273.292 2.967 20 5 CFBDRN CO[C@@H]1CCC[C@@H]([C@@H](CO)Nc2ccccc2[N+](=O)[O-])C1 ZINC000596367711 349880081 /nfs/dbraw/zinc/88/00/81/349880081.db2.gz SVUCQNQJDDCQTG-YRGRVCCFSA-N 0 0 294.351 2.573 20 5 CFBDRN Cc1noc(CSCc2nccs2)c1[N+](=O)[O-] ZINC000596351077 349873755 /nfs/dbraw/zinc/87/37/55/349873755.db2.gz QQDPOTJLTISWAC-UHFFFAOYSA-N 0 0 271.323 2.781 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000074238309 347004782 /nfs/dbraw/zinc/00/47/82/347004782.db2.gz GEIWFHAMGYGIRN-ZWNOBZJWSA-N 0 0 262.309 2.994 20 5 CFBDRN CC(C)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC(C)(C)C1 ZINC000457076312 534955430 /nfs/dbraw/zinc/95/54/30/534955430.db2.gz PMINVXKNLWRURN-UHFFFAOYSA-N 0 0 279.340 2.962 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000074344017 347009888 /nfs/dbraw/zinc/00/98/88/347009888.db2.gz WCDUBJFYOWJDIU-ZETCQYMHSA-N 0 0 256.327 2.883 20 5 CFBDRN C[C@@H](O)CCSCCc1ccc([N+](=O)[O-])cc1 ZINC000596406953 349891787 /nfs/dbraw/zinc/89/17/87/349891787.db2.gz LNHMYLGZXIKYKM-SNVBAGLBSA-N 0 0 255.339 2.641 20 5 CFBDRN CCc1nn(C)c(NCCC2(CSC)CC2)c1[N+](=O)[O-] ZINC000596418182 349897833 /nfs/dbraw/zinc/89/78/33/349897833.db2.gz ARVABVBBCYNFAB-UHFFFAOYSA-N 0 0 298.412 2.836 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NC/C=C/CO ZINC000596421995 349898145 /nfs/dbraw/zinc/89/81/45/349898145.db2.gz NOTULPWHAFKAJM-OWOJBTEDSA-N 0 0 276.214 2.574 20 5 CFBDRN CC[C@H](C)CCNc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000596415810 349896304 /nfs/dbraw/zinc/89/63/04/349896304.db2.gz MYJBMTNQXUKCJE-JTQLQIEISA-N 0 0 279.340 2.803 20 5 CFBDRN CC[C@@H](C)CCNc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000596416212 349896844 /nfs/dbraw/zinc/89/68/44/349896844.db2.gz QPUSWKSZVXBFSR-SECBINFHSA-N 0 0 281.312 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(NC/C=C/CO)cc1C(F)(F)F ZINC000596423040 349899262 /nfs/dbraw/zinc/89/92/62/349899262.db2.gz WZXVIZTVJDRIIX-OWOJBTEDSA-N 0 0 276.214 2.574 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000074650350 347024279 /nfs/dbraw/zinc/02/42/79/347024279.db2.gz BIILYBZYRFVQRH-SECBINFHSA-N 0 0 252.270 2.593 20 5 CFBDRN C[C@@H](CO)[C@@H]1CCCCN1c1ccccc1[N+](=O)[O-] ZINC000596432254 349901387 /nfs/dbraw/zinc/90/13/87/349901387.db2.gz DCPBIUVSLJQMHU-RYUDHWBXSA-N 0 0 264.325 2.582 20 5 CFBDRN Cc1cc(NCc2nc3ccccc3n2C)ncc1[N+](=O)[O-] ZINC000074726773 347028993 /nfs/dbraw/zinc/02/89/93/347028993.db2.gz CVYMSHBPPOKUSA-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1nnc(Sc2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000074725434 347029076 /nfs/dbraw/zinc/02/90/76/347029076.db2.gz AQBMHGVEXWSHRV-UHFFFAOYSA-N 0 0 268.323 2.609 20 5 CFBDRN Cc1cc(NCCCc2nccs2)ncc1[N+](=O)[O-] ZINC000074751464 347030722 /nfs/dbraw/zinc/03/07/22/347030722.db2.gz TVAFTBPWELMRDB-UHFFFAOYSA-N 0 0 278.337 2.799 20 5 CFBDRN Cc1cc(N(C)[C@@H](C)c2cccnc2)ncc1[N+](=O)[O-] ZINC000074744338 347030733 /nfs/dbraw/zinc/03/07/33/347030733.db2.gz QCSZWIIMBRBPOB-NSHDSACASA-N 0 0 272.308 2.891 20 5 CFBDRN Cc1nc(C)c(CNc2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000074766572 347031177 /nfs/dbraw/zinc/03/11/77/347031177.db2.gz NEGCJCYZXWMBNW-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN Cc1cc(N2C[C@]3(C)COC[C@]3(C)C2)c([N+](=O)[O-])s1 ZINC000596444278 349905128 /nfs/dbraw/zinc/90/51/28/349905128.db2.gz USRACSYHFWCBHV-BETUJISGSA-N 0 0 282.365 2.828 20 5 CFBDRN Cc1nn(C)c(Sc2nnc(C3CC3)s2)c1[N+](=O)[O-] ZINC000074778140 347033023 /nfs/dbraw/zinc/03/30/23/347033023.db2.gz XSAYKDCXEOQTOA-UHFFFAOYSA-N 0 0 297.365 2.517 20 5 CFBDRN CN(Cc1nccs1)c1cccc(F)c1[N+](=O)[O-] ZINC000074782679 347034152 /nfs/dbraw/zinc/03/41/52/347034152.db2.gz NBUKYIZRPXPFIZ-UHFFFAOYSA-N 0 0 267.285 2.827 20 5 CFBDRN Cc1cccc(N(C)CC2=CCCOC2)c1[N+](=O)[O-] ZINC000596465545 349909163 /nfs/dbraw/zinc/90/91/63/349909163.db2.gz JQRKOMWSRNTNFR-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)CC1=CCCOC1 ZINC000596466517 349909392 /nfs/dbraw/zinc/90/93/92/349909392.db2.gz ZWZYBKOJFZNZFZ-UHFFFAOYSA-N 0 0 290.319 2.580 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2ccccc2[N+](=O)[O-])O1 ZINC000596472492 349910669 /nfs/dbraw/zinc/91/06/69/349910669.db2.gz BMBCHVARLJKZMO-MNOVXSKESA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1cc(NCc2c(C3CC3)cnn2C)ncc1[N+](=O)[O-] ZINC000596471810 349910676 /nfs/dbraw/zinc/91/06/76/349910676.db2.gz DKHYUMJRJRNLFH-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN COCc1csc(CNc2cc[nH]c(=O)c2[N+](=O)[O-])c1 ZINC000596472746 349910690 /nfs/dbraw/zinc/91/06/90/349910690.db2.gz IIPFXZLLLBWBSM-UHFFFAOYSA-N 0 0 295.320 2.515 20 5 CFBDRN Cc1nn(C)c(N2CC[C@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000075061300 347052009 /nfs/dbraw/zinc/05/20/09/347052009.db2.gz YLJSXIBVBQCXMW-LLVKDONJSA-N 0 0 266.345 2.509 20 5 CFBDRN Nc1cc(NC[C@@H]2CCC[C@H]3C[C@H]32)cc(CO)c1[N+](=O)[O-] ZINC000596473865 349910984 /nfs/dbraw/zinc/91/09/84/349910984.db2.gz JMFOXQHGEJFBJP-OUJBWJOFSA-N 0 0 291.351 2.517 20 5 CFBDRN COc1cc(NC[C@H](OC)C(C)C)ccc1[N+](=O)[O-] ZINC000075062578 347052659 /nfs/dbraw/zinc/05/26/59/347052659.db2.gz WZFDGZVEFBEHQP-ZDUSSCGKSA-N 0 0 268.313 2.686 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2ccc([N+](=O)[O-])c(N)c2F)O1 ZINC000596473002 349911315 /nfs/dbraw/zinc/91/13/15/349911315.db2.gz DQTYEZZOFFMKHF-DTWKUNHWSA-N 0 0 283.303 2.686 20 5 CFBDRN CCC1(CC)CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000596473898 349911361 /nfs/dbraw/zinc/91/13/61/349911361.db2.gz JZJDPXPWEBVTFQ-UHFFFAOYSA-N 0 0 279.340 2.965 20 5 CFBDRN CCC1(CC)CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000596475318 349912531 /nfs/dbraw/zinc/91/25/31/349912531.db2.gz YUMZYVFEDTUOPM-UHFFFAOYSA-N 0 0 293.367 2.976 20 5 CFBDRN C[C@@]1(CCNc2ncc([N+](=O)[O-])cc2F)CC1(F)F ZINC000596476316 349913086 /nfs/dbraw/zinc/91/30/86/349913086.db2.gz CHFTWOMCMILTGU-SNVBAGLBSA-N 0 0 275.230 2.976 20 5 CFBDRN CC(C)CC[C@](C)(O)CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000075127680 347057316 /nfs/dbraw/zinc/05/73/16/347057316.db2.gz JMPBBQHAWGYOKB-HNNXBMFYSA-N 0 0 294.351 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@H]1CCO[C@H](C)C1 ZINC000273199223 192072927 /nfs/dbraw/zinc/07/29/27/192072927.db2.gz SEMKUTYXCCCFGG-NEPJUHHUSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H](CCF)C1 ZINC000596477206 349913358 /nfs/dbraw/zinc/91/33/58/349913358.db2.gz CJFIALRRQPNUEA-JTQLQIEISA-N 0 0 253.277 2.566 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H](CCF)C1 ZINC000596477493 349913982 /nfs/dbraw/zinc/91/39/82/349913982.db2.gz DIUWGKFOKXBTKH-NSHDSACASA-N 0 0 284.335 2.696 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@H]1CCO[C@@H](C)C1 ZINC000273199228 192073184 /nfs/dbraw/zinc/07/31/84/192073184.db2.gz SEMKUTYXCCCFGG-RYUDHWBXSA-N 0 0 279.340 2.915 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1nc3ccccc3cc1[N+](=O)[O-])C2 ZINC000596480623 349915346 /nfs/dbraw/zinc/91/53/46/349915346.db2.gz NWUDOJXCOCVQML-MLGOLLRUSA-N 0 0 299.330 2.616 20 5 CFBDRN COC(=O)C[C@@H](CNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000596490344 349918004 /nfs/dbraw/zinc/91/80/04/349918004.db2.gz KMITXHOPSPBELT-NSHDSACASA-N 0 0 278.308 2.596 20 5 CFBDRN COc1cc(NC[C@@H](CO)CC2CC2)c([N+](=O)[O-])cc1F ZINC000596492104 349918586 /nfs/dbraw/zinc/91/85/86/349918586.db2.gz LTJBWDQXUJDPSM-JTQLQIEISA-N 0 0 298.314 2.563 20 5 CFBDRN COC(=O)C[C@@H](CNc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000596492430 349918868 /nfs/dbraw/zinc/91/88/68/349918868.db2.gz VJBVQSZOQCUVPU-JTQLQIEISA-N 0 0 296.298 2.735 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC([C@H]2CCCCO2)CC1 ZINC000596497190 349920315 /nfs/dbraw/zinc/92/03/15/349920315.db2.gz LSAHJZUUKNLFBD-CQSZACIVSA-N 0 0 291.351 2.775 20 5 CFBDRN Cc1ccc(CCn2cc([N+](=O)[O-])cc(C)c2=O)cc1 ZINC000127290888 187294966 /nfs/dbraw/zinc/29/49/66/187294966.db2.gz GGCVULQTAWOCAO-UHFFFAOYSA-N 0 0 272.304 2.616 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]([C@@H]3CCCCO3)C2)s1 ZINC000596504283 349922847 /nfs/dbraw/zinc/92/28/47/349922847.db2.gz MOSDBNYAQPFUAQ-QWRGUYRKSA-N 0 0 297.380 2.837 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000596502825 349922867 /nfs/dbraw/zinc/92/28/67/349922867.db2.gz JEWVZUCXOUXFFS-OCCSQVGLSA-N 0 0 291.351 2.775 20 5 CFBDRN Cn1ncc(C2CCC2)c1CNc1ccc([N+](=O)[O-])cn1 ZINC000596505692 349923098 /nfs/dbraw/zinc/92/30/98/349923098.db2.gz ZMEDBYXXSJHMOW-UHFFFAOYSA-N 0 0 287.323 2.603 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N1CC[C@H]2C[C@H]2C1 ZINC000596510001 349924708 /nfs/dbraw/zinc/92/47/08/349924708.db2.gz KQUDKDQWJQOBTF-QWRGUYRKSA-N 0 0 299.330 2.998 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@H]2C[C@H]2C1 ZINC000596509229 349923929 /nfs/dbraw/zinc/92/39/29/349923929.db2.gz HKNWELOJIVWBBU-QWRGUYRKSA-N 0 0 269.304 2.989 20 5 CFBDRN Cc1noc(C)c1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000275439932 282388752 /nfs/dbraw/zinc/38/87/52/282388752.db2.gz WKNVUPNCKSMRGR-UHFFFAOYSA-N 0 0 288.307 2.780 20 5 CFBDRN Cc1cc(N2CC3(CCCC3)OC[C@H]2C)ncc1[N+](=O)[O-] ZINC000596514008 349926701 /nfs/dbraw/zinc/92/67/01/349926701.db2.gz CLESSAIEJKURMF-GFCCVEGCSA-N 0 0 291.351 2.836 20 5 CFBDRN COc1cc(N2CC[C@@H]3C[C@@H]3C2)c([N+](=O)[O-])cc1F ZINC000596512901 349926052 /nfs/dbraw/zinc/92/60/52/349926052.db2.gz XVTCKFJRPRYLEY-RKDXNWHRSA-N 0 0 266.272 2.589 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1=CCCC1 ZINC000596518137 349927958 /nfs/dbraw/zinc/92/79/58/349927958.db2.gz RVXIZPMNEFVKDJ-UHFFFAOYSA-N 0 0 250.302 2.642 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@H]1Cc1ccccc1)[N+](=O)[O-] ZINC000295108057 199348566 /nfs/dbraw/zinc/34/85/66/199348566.db2.gz XYLSUBHRIWCFDR-ZIAGYGMSSA-N 0 0 290.363 2.569 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@@H]2COC[C@@H]2C1 ZINC000596526213 349929629 /nfs/dbraw/zinc/92/96/29/349929629.db2.gz CKXOGCUASSEUDY-NEPJUHHUSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@@H]2COC[C@H]2C1 ZINC000596526253 349929655 /nfs/dbraw/zinc/92/96/55/349929655.db2.gz CSEGRFJDSSPUMT-NXEZZACHSA-N 0 0 282.727 2.721 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@@H](Oc2ccccc2)C1 ZINC000596525073 349929695 /nfs/dbraw/zinc/92/96/95/349929695.db2.gz JQKRPPPJASDWQN-CYBMUJFWSA-N 0 0 285.303 2.648 20 5 CFBDRN CCc1nn(C)c(NC[C@@H](OC)C2CCCC2)c1[N+](=O)[O-] ZINC000596525990 349930081 /nfs/dbraw/zinc/93/00/81/349930081.db2.gz NUEDNFOEEJODCU-GFCCVEGCSA-N 0 0 296.371 2.508 20 5 CFBDRN C[C@@H](O)C1(Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 ZINC000596522692 349929098 /nfs/dbraw/zinc/92/90/98/349929098.db2.gz AXFCTUHDKBXBGM-LLVKDONJSA-N 0 0 299.330 2.982 20 5 CFBDRN CC(C)(CNc1ccccc1[N+](=O)[O-])[C@@]1(O)CCCOC1 ZINC000596529471 349931171 /nfs/dbraw/zinc/93/11/71/349931171.db2.gz ADQWGGNGIQCDHB-OAHLLOKOSA-N 0 0 294.351 2.574 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@H]2COC[C@H]2C1 ZINC000596529963 349932118 /nfs/dbraw/zinc/93/21/18/349932118.db2.gz RZGXZQDABIPIHN-QWHCGFSZSA-N 0 0 299.330 2.616 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCc1ccco1 ZINC000596539199 349934701 /nfs/dbraw/zinc/93/47/01/349934701.db2.gz BZOYYHTXOMIZJK-UHFFFAOYSA-N 0 0 278.312 2.757 20 5 CFBDRN COc1cc(NC[C@@H]2CCOC2(C)C)c(F)cc1[N+](=O)[O-] ZINC000596537626 349934761 /nfs/dbraw/zinc/93/47/61/349934761.db2.gz CHEMAEWZSHOISK-VIFPVBQESA-N 0 0 298.314 2.970 20 5 CFBDRN CO[C@@H](CNc1ncccc1[N+](=O)[O-])C1CCCCC1 ZINC000596539606 349934781 /nfs/dbraw/zinc/93/47/81/349934781.db2.gz GFPDZNMDOFARKC-ZDUSSCGKSA-N 0 0 279.340 2.997 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@]2(C)OC)ccc1[N+](=O)[O-] ZINC000596539390 349934803 /nfs/dbraw/zinc/93/48/03/349934803.db2.gz JUUZJMGVONNIRY-OLZOCXBDSA-N 0 0 266.297 2.583 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000596538731 349934817 /nfs/dbraw/zinc/93/48/17/349934817.db2.gz DZDJTIQYHTYCMJ-OCCSQVGLSA-N 0 0 273.292 2.732 20 5 CFBDRN CN(Cc1nccn1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000075605936 347086759 /nfs/dbraw/zinc/08/67/59/347086759.db2.gz OIGQNOVTWOGTML-UHFFFAOYSA-N 0 0 282.250 2.823 20 5 CFBDRN CO[C@H](CNc1cccnc1[N+](=O)[O-])C1CCCCC1 ZINC000596541429 349935758 /nfs/dbraw/zinc/93/57/58/349935758.db2.gz MSXXJSJWPDUNMK-CYBMUJFWSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@H](NC(=O)N[C@@]12C[C@@H]1CCC2)c1ccccc1[N+](=O)[O-] ZINC000596541453 349935808 /nfs/dbraw/zinc/93/58/08/349935808.db2.gz MWAMFWCZPPUCNI-PGUXBMHVSA-N 0 0 289.335 2.898 20 5 CFBDRN Cc1cc(N[C@H](C)c2nccn2C)c([N+](=O)[O-])s1 ZINC000596542220 349936503 /nfs/dbraw/zinc/93/65/03/349936503.db2.gz LPTUYAMMHANRFD-MRVPVSSYSA-N 0 0 266.326 2.871 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCOC1(C)C ZINC000596547144 349938313 /nfs/dbraw/zinc/93/83/13/349938313.db2.gz WPHZLEKFIUBEBU-LBPRGKRZSA-N 0 0 294.351 2.968 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCCc1ccco1 ZINC000162633838 232255266 /nfs/dbraw/zinc/25/52/66/232255266.db2.gz XBUKQPZRAIPHFJ-UHFFFAOYSA-N 0 0 289.291 2.860 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1ccc(CO)cc1 ZINC000169196126 232262431 /nfs/dbraw/zinc/26/24/31/232262431.db2.gz GUIAYJSHAQZOSK-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN CN(C(=O)/C=C/c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000172701772 232270386 /nfs/dbraw/zinc/27/03/86/232270386.db2.gz NXJJEYKOUPFRHF-CMDGGOBGSA-N 0 0 260.293 2.619 20 5 CFBDRN CC[C@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000128264912 187360871 /nfs/dbraw/zinc/36/08/71/187360871.db2.gz FZXPEQFDVOZCSV-JTQLQIEISA-N 0 0 263.297 2.859 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCO[C@H](CC(F)(F)F)C1 ZINC000596556884 349940963 /nfs/dbraw/zinc/94/09/63/349940963.db2.gz CHLFOBQTBKXZOU-SSDOTTSWSA-N 0 0 296.270 2.814 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccccn2)cc1[N+](=O)[O-] ZINC000177863736 232371296 /nfs/dbraw/zinc/37/12/96/232371296.db2.gz LOOCEZYGUWWTKC-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN COc1ccc(C(=O)NCC2(C(C)C)CC2)cc1[N+](=O)[O-] ZINC000177381054 232359155 /nfs/dbraw/zinc/35/91/55/232359155.db2.gz ABHJGXMYDKBHMZ-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc(-c3nnco3)cc2)cn1 ZINC000006510556 346214982 /nfs/dbraw/zinc/21/49/82/346214982.db2.gz BFTFSROKWIMSOV-UHFFFAOYSA-N 0 0 284.231 2.832 20 5 CFBDRN CN(C(=O)c1csc([N+](=O)[O-])c1)c1ccccc1 ZINC000005965880 346213526 /nfs/dbraw/zinc/21/35/26/346213526.db2.gz DMVDBWIOYSSSHW-UHFFFAOYSA-N 0 0 262.290 2.933 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295123658 199358377 /nfs/dbraw/zinc/35/83/77/199358377.db2.gz XDCNQKIZEKDREI-QAVQXKDTSA-N 0 0 287.319 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCCF)c(OC(F)F)c1 ZINC000596569459 349943645 /nfs/dbraw/zinc/94/36/45/349943645.db2.gz ICORLWKXCGTPGB-UHFFFAOYSA-N 0 0 294.229 2.594 20 5 CFBDRN CN(Cc1ccco1)Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000006996520 346216760 /nfs/dbraw/zinc/21/67/60/346216760.db2.gz YBUDERNVXZTHNS-UHFFFAOYSA-N 0 0 286.291 2.696 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCCOCCF)ccnc21 ZINC000596571104 349944573 /nfs/dbraw/zinc/94/45/73/349944573.db2.gz ZDYFELMOMYKDCV-UHFFFAOYSA-N 0 0 279.271 2.541 20 5 CFBDRN C[C@H](CNc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccccc1 ZINC000007981727 346221598 /nfs/dbraw/zinc/22/15/98/346221598.db2.gz KNNFVRNOEOIGIF-LLVKDONJSA-N 0 0 299.330 2.909 20 5 CFBDRN C[C@@H](c1ccccc1)N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000025616180 346260118 /nfs/dbraw/zinc/26/01/18/346260118.db2.gz KNFCNIHVBCNQQH-NSHDSACASA-N 0 0 287.319 2.767 20 5 CFBDRN CC12CC(C1)CN2c1ncc([N+](=O)[O-])cc1Cl ZINC000596575817 349946241 /nfs/dbraw/zinc/94/62/41/349946241.db2.gz ZKIGGVZUZFXWBJ-UHFFFAOYSA-N 0 0 253.689 2.632 20 5 CFBDRN Cc1ccc(CN(C)c2ncccc2[N+](=O)[O-])cc1 ZINC000024107026 346254458 /nfs/dbraw/zinc/25/44/58/346254458.db2.gz XAPUDMSYIOFRMX-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1cc(N2CCO[C@@](C)(C(F)F)C2)c([N+](=O)[O-])s1 ZINC000596573284 349945177 /nfs/dbraw/zinc/94/51/77/349945177.db2.gz KIIYYTSWTZJFFB-LLVKDONJSA-N 0 0 292.307 2.825 20 5 CFBDRN C[C@]1(C(F)F)CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000596573180 349945393 /nfs/dbraw/zinc/94/53/93/349945393.db2.gz WMPJINNEZDGVNO-GFCCVEGCSA-N 0 0 290.241 2.594 20 5 CFBDRN CCN(CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000029911988 346284650 /nfs/dbraw/zinc/28/46/50/346284650.db2.gz RLLDUSHVYFKGGJ-UHFFFAOYSA-N 0 0 297.742 2.671 20 5 CFBDRN COCc1cccc(NC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000025821792 346261962 /nfs/dbraw/zinc/26/19/62/346261962.db2.gz MSQMVDGFPIMJJX-UHFFFAOYSA-N 0 0 276.248 2.587 20 5 CFBDRN CC(=O)C1CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000104426013 136526174 /nfs/dbraw/zinc/52/61/74/136526174.db2.gz OQRWWEXCRSZUPJ-UHFFFAOYSA-N 0 0 266.272 2.539 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000027702749 346271090 /nfs/dbraw/zinc/27/10/90/346271090.db2.gz LTWXPQQPGVSEDR-ZYHUDNBSSA-N 0 0 279.340 2.632 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ncnc2ccccc21 ZINC000029165355 346279139 /nfs/dbraw/zinc/27/91/39/346279139.db2.gz QITGCSQIYUOSQK-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN C[C@@H]1c2cccn2CCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000029378796 346280355 /nfs/dbraw/zinc/28/03/55/346280355.db2.gz FKAAQECCVJGFDY-LLVKDONJSA-N 0 0 285.303 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2n[nH]c(C3CC3)n2)c(F)c1 ZINC000029584774 346282248 /nfs/dbraw/zinc/28/22/48/346282248.db2.gz BPGPMPJVWNHBHC-UHFFFAOYSA-N 0 0 280.284 2.881 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000032383599 346302721 /nfs/dbraw/zinc/30/27/21/346302721.db2.gz ZEDKTHGRROUOGM-NWDGAFQWSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1ccc(C(=O)N2CCOc3ccccc32)cc1[N+](=O)[O-] ZINC000030577776 346289135 /nfs/dbraw/zinc/28/91/35/346289135.db2.gz ZVUGQIIJPNDPKV-UHFFFAOYSA-N 0 0 298.298 2.942 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000030898230 346291101 /nfs/dbraw/zinc/29/11/01/346291101.db2.gz GWNMNTBPTJYZAL-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)NCC1CCCC1 ZINC000033054556 346309021 /nfs/dbraw/zinc/30/90/21/346309021.db2.gz XDHQNNZVSSCYMU-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000033100632 346309139 /nfs/dbraw/zinc/30/91/39/346309139.db2.gz JCLBAMPLKHGLII-QWRGUYRKSA-N 0 0 279.340 2.584 20 5 CFBDRN CC(C)CSCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000041302629 346349602 /nfs/dbraw/zinc/34/96/02/346349602.db2.gz ZXXRSZGSCVOHDT-UHFFFAOYSA-N 0 0 282.365 2.714 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000041476723 346352667 /nfs/dbraw/zinc/35/26/67/346352667.db2.gz BUBJFKGUERTUKC-NSHDSACASA-N 0 0 264.325 2.784 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000041477651 346352745 /nfs/dbraw/zinc/35/27/45/346352745.db2.gz XRRZAJRBCDZCSL-NSHDSACASA-N 0 0 280.324 2.621 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)CC(=O)OC(C)(C)C ZINC000270689253 190773257 /nfs/dbraw/zinc/77/32/57/190773257.db2.gz BRTDRDBETRXPQF-LLVKDONJSA-N 0 0 294.351 2.929 20 5 CFBDRN C[C@H](Cn1cccn1)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000042106219 346360743 /nfs/dbraw/zinc/36/07/43/346360743.db2.gz LLJWHYSMIRSEAO-LLVKDONJSA-N 0 0 297.318 2.840 20 5 CFBDRN Cc1cc(CN(C)Cc2ccc([N+](=O)[O-])cc2)no1 ZINC000042132013 346361978 /nfs/dbraw/zinc/36/19/78/346361978.db2.gz CLSKJGRPTAOLFM-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN Cc1nccc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000038037530 346336843 /nfs/dbraw/zinc/33/68/43/346336843.db2.gz KSQCTTIOXKNAGB-SNVBAGLBSA-N 0 0 272.308 2.544 20 5 CFBDRN CCN1CCc2c(Br)ccc([N+](=O)[O-])c2C1 ZINC000038879443 346339930 /nfs/dbraw/zinc/33/99/30/346339930.db2.gz XYWDSJTUIVKTIP-UHFFFAOYSA-N 0 0 285.141 2.735 20 5 CFBDRN CNc1ccc(C(=O)NCc2ccsc2)cc1[N+](=O)[O-] ZINC000042970672 346374621 /nfs/dbraw/zinc/37/46/21/346374621.db2.gz ZPCAOBXNNRKEOW-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN COC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cn2)cc1 ZINC000042634496 346368824 /nfs/dbraw/zinc/36/88/24/346368824.db2.gz WYUDERFMTYQJCQ-UHFFFAOYSA-N 0 0 290.300 2.928 20 5 CFBDRN CCCCN(CC)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000045298426 346406223 /nfs/dbraw/zinc/40/62/23/346406223.db2.gz YMTYKKZCAJVHNI-UHFFFAOYSA-N 0 0 290.323 2.733 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000045364815 346407217 /nfs/dbraw/zinc/40/72/17/346407217.db2.gz MDXOSTBQKRPEER-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN C[C@@H](c1ccccc1F)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000045395189 346407561 /nfs/dbraw/zinc/40/75/61/346407561.db2.gz ZXOYIUKJHNPPLS-VIFPVBQESA-N 0 0 291.282 2.895 20 5 CFBDRN COc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cc1F ZINC000045988979 346417651 /nfs/dbraw/zinc/41/76/51/346417651.db2.gz MHGIMLIJSUPIQL-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COc1cccc(C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000046146861 346421579 /nfs/dbraw/zinc/42/15/79/346421579.db2.gz IZNJCADVHPJHLW-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN C[C@@H](CNc1ncccc1[N+](=O)[O-])N1CCc2ccccc21 ZINC000046479393 346427540 /nfs/dbraw/zinc/42/75/40/346427540.db2.gz CYUFWSIIIGNCIK-LBPRGKRZSA-N 0 0 298.346 2.853 20 5 CFBDRN CN(C)C(=O)c1cccc(CNc2ccc([N+](=O)[O-])cc2)c1 ZINC000046484339 346427936 /nfs/dbraw/zinc/42/79/36/346427936.db2.gz BZJPOHBBQHLSSZ-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCOC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000193869056 232498695 /nfs/dbraw/zinc/49/86/95/232498695.db2.gz HXVRAERYPILTKE-GXSJLCMTSA-N 0 0 278.308 2.514 20 5 CFBDRN CCCOc1cccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000047967832 346461423 /nfs/dbraw/zinc/46/14/23/346461423.db2.gz ZJOGQORODDWKPD-UHFFFAOYSA-N 0 0 289.291 2.964 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000596633282 349962668 /nfs/dbraw/zinc/96/26/68/349962668.db2.gz BNGZGTSRXZTUFF-VXGBXAGGSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1nnc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)s1 ZINC000596633546 349962953 /nfs/dbraw/zinc/96/29/53/349962953.db2.gz KDYZNALRHYQVAK-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CCC(C)(C)NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000205737080 539542887 /nfs/dbraw/zinc/54/28/87/539542887.db2.gz BXCSNKUDLUMVRJ-HJWRWDBZSA-N 0 0 262.309 2.913 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC2CC1(C)C2 ZINC000596636578 349964447 /nfs/dbraw/zinc/96/44/47/349964447.db2.gz OLQKKHZGJBGWKG-UHFFFAOYSA-N 0 0 275.308 2.919 20 5 CFBDRN CC(C)C(=O)N1CCC(Nc2ccc([N+](=O)[O-])s2)CC1 ZINC000048999658 346478356 /nfs/dbraw/zinc/47/83/56/346478356.db2.gz DBVCHUZMQORKGQ-UHFFFAOYSA-N 0 0 297.380 2.715 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccc(F)c(F)c1 ZINC000051867653 346507132 /nfs/dbraw/zinc/50/71/32/346507132.db2.gz WUXHPEXHQZQKCF-ZETCQYMHSA-N 0 0 295.245 2.692 20 5 CFBDRN CN(C)c1ncccc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000052075430 346512769 /nfs/dbraw/zinc/51/27/69/346512769.db2.gz QZGIWJIHFUOZKN-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN Cc1ccccc1[C@H]1CCCN1c1c([N+](=O)[O-])ncn1C ZINC000052486460 346523095 /nfs/dbraw/zinc/52/30/95/346523095.db2.gz CUIQLCFPFADWQG-CYBMUJFWSA-N 0 0 286.335 2.978 20 5 CFBDRN Cc1nn(C)c(N2CC=C(c3ccccc3)CC2)c1[N+](=O)[O-] ZINC000051185566 346500421 /nfs/dbraw/zinc/50/04/21/346500421.db2.gz RKVNMJXAFOEBFK-UHFFFAOYSA-N 0 0 298.346 2.930 20 5 CFBDRN C[C@@H](Nc1ccccc1[N+](=O)[O-])c1nnc2ccccn21 ZINC000052720827 346527698 /nfs/dbraw/zinc/52/76/98/346527698.db2.gz PZAOOKJACXIRDO-SNVBAGLBSA-N 0 0 283.291 2.811 20 5 CFBDRN Cc1c(NC(=O)NCc2cccnc2)cccc1[N+](=O)[O-] ZINC000053249799 346542007 /nfs/dbraw/zinc/54/20/07/346542007.db2.gz NWORXRUNKDWQCO-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1ccc(NC(=O)NCc2cccnc2)cc1[N+](=O)[O-] ZINC000053250257 346542183 /nfs/dbraw/zinc/54/21/83/346542183.db2.gz RRDDJGSXAPEHAN-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1noc([C@H]2CCCN(c3ccccc3[N+](=O)[O-])C2)n1 ZINC000053576481 346550313 /nfs/dbraw/zinc/55/03/13/346550313.db2.gz GOAJGYVNAVJVTM-NSHDSACASA-N 0 0 288.307 2.670 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1ccccn1 ZINC000055514268 346591023 /nfs/dbraw/zinc/59/10/23/346591023.db2.gz MYTDZAFZZIZBPM-HNNXBMFYSA-N 0 0 297.314 2.967 20 5 CFBDRN CCC[C@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797470 346596685 /nfs/dbraw/zinc/59/66/85/346596685.db2.gz MYFAZXSCOZWVIE-JTQLQIEISA-N 0 0 250.298 2.647 20 5 CFBDRN Cc1ccccc1C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000056333117 346606830 /nfs/dbraw/zinc/60/68/30/346606830.db2.gz IRNFMAVNSZPSBZ-LLVKDONJSA-N 0 0 287.319 2.592 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000056316192 346606987 /nfs/dbraw/zinc/60/69/87/346606987.db2.gz ABDGWYITDGWHQT-CYBMUJFWSA-N 0 0 285.303 2.649 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCN1CCCc2ccccc21 ZINC000054389068 346567693 /nfs/dbraw/zinc/56/76/93/346567693.db2.gz HSYGFDYUBJNCQE-UHFFFAOYSA-N 0 0 298.346 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCN(CC3CC3)CC2)c(Cl)c1 ZINC000059394081 346656415 /nfs/dbraw/zinc/65/64/15/346656415.db2.gz PVISJKCREDPUMZ-UHFFFAOYSA-N 0 0 295.770 2.780 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCCNc1ccccc1 ZINC000060362867 346663735 /nfs/dbraw/zinc/66/37/35/346663735.db2.gz ZTBIWGRTDCGVNZ-UHFFFAOYSA-N 0 0 272.308 2.904 20 5 CFBDRN CCOC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000060451120 346666540 /nfs/dbraw/zinc/66/65/40/346666540.db2.gz XLZISCTYBMEVLB-UHFFFAOYSA-N 0 0 250.298 2.600 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000057304475 346625033 /nfs/dbraw/zinc/62/50/33/346625033.db2.gz FAPXWZHRGMUEJY-WDEREUQCSA-N 0 0 280.324 2.524 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000058164098 346642613 /nfs/dbraw/zinc/64/26/13/346642613.db2.gz JIUFFQYFUYXAQE-MRVPVSSYSA-N 0 0 254.311 2.671 20 5 CFBDRN Cc1cc(C(=O)N(C)CCc2ccncc2)ccc1[N+](=O)[O-] ZINC000063488097 346702116 /nfs/dbraw/zinc/70/21/16/346702116.db2.gz GMASVWPGJQHUJL-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000063678672 346706453 /nfs/dbraw/zinc/70/64/53/346706453.db2.gz PTUKHZOTHQPKMU-UHFFFAOYSA-N 0 0 291.351 2.677 20 5 CFBDRN O=C(Nc1cc(C2CC2)[nH]n1)c1ccc([N+](=O)[O-])cc1F ZINC000063806191 346711357 /nfs/dbraw/zinc/71/13/57/346711357.db2.gz YJRPZSVYYZXTHL-UHFFFAOYSA-N 0 0 290.254 2.587 20 5 CFBDRN CNC(=O)c1cccc(CNc2ccc([N+](=O)[O-])s2)c1 ZINC000064029431 346714066 /nfs/dbraw/zinc/71/40/66/346714066.db2.gz URZWBGGAPDQWDI-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000064755190 346724936 /nfs/dbraw/zinc/72/49/36/346724936.db2.gz KIKGQMFGEXBPKI-ZDUSSCGKSA-N 0 0 291.351 2.925 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCC1CCOCC1 ZINC000061570358 346679842 /nfs/dbraw/zinc/67/98/42/346679842.db2.gz SOOIBBRDWHTDKR-UHFFFAOYSA-N 0 0 279.292 2.603 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000062923978 346693554 /nfs/dbraw/zinc/69/35/54/346693554.db2.gz YPWZZRHKPZOSHS-GFCCVEGCSA-N 0 0 276.336 2.786 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000063249118 346698317 /nfs/dbraw/zinc/69/83/17/346698317.db2.gz CRPWQSVPCNAVMI-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN O=C(CC[C@@H]1CCCO1)Nc1ccc([N+](=O)[O-])cc1F ZINC000063446720 346700091 /nfs/dbraw/zinc/70/00/91/346700091.db2.gz CTXLRCBQXKATAU-JTQLQIEISA-N 0 0 282.271 2.632 20 5 CFBDRN Cc1noc(C)c1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000063446824 346700123 /nfs/dbraw/zinc/70/01/23/346700123.db2.gz OTBQQZKTBXEXFX-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN Cc1ccc(NC(=O)N(C)C(C)C)cc1[N+](=O)[O-] ZINC000128437609 187370505 /nfs/dbraw/zinc/37/05/05/187370505.db2.gz GKHFTYDSCHXNFZ-UHFFFAOYSA-N 0 0 251.286 2.775 20 5 CFBDRN COCCCN(C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000066371996 346756265 /nfs/dbraw/zinc/75/62/65/346756265.db2.gz DJZOLPFJMJKYQI-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN C[C@H](CN(C)c1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000066815929 346781441 /nfs/dbraw/zinc/78/14/41/346781441.db2.gz WKYXZMDKGLJMRR-LLVKDONJSA-N 0 0 274.324 2.568 20 5 CFBDRN CCC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000270198093 136612210 /nfs/dbraw/zinc/61/22/10/136612210.db2.gz BWIUMRUAWXWMSE-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN CSCc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000066818955 346781230 /nfs/dbraw/zinc/78/12/30/346781230.db2.gz VDVBXKBNYPYMNU-UHFFFAOYSA-N 0 0 278.337 2.935 20 5 CFBDRN CCCCO[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000065535946 346736098 /nfs/dbraw/zinc/73/60/98/346736098.db2.gz YNOSTJBYDHEPBY-SNVBAGLBSA-N 0 0 296.323 2.747 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ccnc(N2CCCC2)c1 ZINC000066797710 346780021 /nfs/dbraw/zinc/78/00/21/346780021.db2.gz JVWBETYSGAEBRY-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN Cc1nc2ccccc2n1CCNc1ccncc1[N+](=O)[O-] ZINC000066796942 346780062 /nfs/dbraw/zinc/78/00/62/346780062.db2.gz UCEDWIBJXWAQGX-UHFFFAOYSA-N 0 0 297.318 2.760 20 5 CFBDRN CCS[C@H](C(=O)NCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000066352061 346755555 /nfs/dbraw/zinc/75/55/55/346755555.db2.gz QPNURBZQSNPUCG-ZDUSSCGKSA-N 0 0 296.392 2.989 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)C[C@@H](C)S1 ZINC000075893957 347107013 /nfs/dbraw/zinc/10/70/13/347107013.db2.gz GWNFEADZVIIBFQ-RKDXNWHRSA-N 0 0 272.395 2.982 20 5 CFBDRN CC(C)Cc1noc(COc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000066964785 346787547 /nfs/dbraw/zinc/78/75/47/346787547.db2.gz OLDHDFZDHFBUDZ-UHFFFAOYSA-N 0 0 295.270 2.894 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(F)c1 ZINC000067036367 346789198 /nfs/dbraw/zinc/78/91/98/346789198.db2.gz TYEQVGXZOUDGBL-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccs1 ZINC000067022832 346789437 /nfs/dbraw/zinc/78/94/37/346789437.db2.gz PIOXFAWAUSKHJR-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CCCCCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067071417 346790884 /nfs/dbraw/zinc/79/08/84/346790884.db2.gz PTMIQJRPSXBIBV-UHFFFAOYSA-N 0 0 250.298 2.791 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1c1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000067502178 346804938 /nfs/dbraw/zinc/80/49/38/346804938.db2.gz OPWIDUIIXPYSRD-KGLIPLIRSA-N 0 0 282.299 2.881 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1c1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000067502179 346805210 /nfs/dbraw/zinc/80/52/10/346805210.db2.gz OPWIDUIIXPYSRD-UONOGXRCSA-N 0 0 282.299 2.881 20 5 CFBDRN C[C@H](Sc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1F ZINC000076269465 347131872 /nfs/dbraw/zinc/13/18/72/347131872.db2.gz VCXYUEPJFMUVSW-ZETCQYMHSA-N 0 0 294.311 2.959 20 5 CFBDRN C[C@H](Sc1ncc([N+](=O)[O-])c(N)n1)c1ccc(F)cc1 ZINC000076269297 347132237 /nfs/dbraw/zinc/13/22/37/347132237.db2.gz XJGCOIFZBXLPCL-ZETCQYMHSA-N 0 0 294.311 2.959 20 5 CFBDRN CCC[C@H]1CCCC[C@H]1NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000077447947 347193301 /nfs/dbraw/zinc/19/33/01/347193301.db2.gz NWUJAOBQNNLEFE-WDEREUQCSA-N 0 0 294.355 2.715 20 5 CFBDRN C[C@@H]1C[C@@H]1c1ccc(CNC(=O)c2ccc([N+](=O)[O-])o2)o1 ZINC000077689596 347210488 /nfs/dbraw/zinc/21/04/88/347210488.db2.gz INXIQSBAZOBLMQ-SCZZXKLOSA-N 0 0 290.275 2.834 20 5 CFBDRN C[C@@H](CNc1cccc(F)c1[N+](=O)[O-])C[C@H](C)O ZINC000077228550 347177499 /nfs/dbraw/zinc/17/74/99/347177499.db2.gz KHWWZNHOFQAKJI-BDAKNGLRSA-N 0 0 256.277 2.553 20 5 CFBDRN CC(C)C1CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000078424296 347251820 /nfs/dbraw/zinc/25/18/20/347251820.db2.gz YXVADMWSOXLFQW-UHFFFAOYSA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1nn(C)c(Oc2cccc(-n3cccn3)c2)c1[N+](=O)[O-] ZINC000077982676 347222347 /nfs/dbraw/zinc/22/23/47/347222347.db2.gz DUDQLYLYCQJTAK-UHFFFAOYSA-N 0 0 299.290 2.615 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ncc([N+](=O)[O-])cc1C ZINC000077991343 347223530 /nfs/dbraw/zinc/22/35/30/347223530.db2.gz WOUSIVFSCCVNRL-VIFPVBQESA-N 0 0 290.323 2.946 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000077990478 347223566 /nfs/dbraw/zinc/22/35/66/347223566.db2.gz JOHNVBJDSXNUGM-QMMMGPOBSA-N 0 0 276.296 2.638 20 5 CFBDRN CC[C@H](COC)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000077995273 347223993 /nfs/dbraw/zinc/22/39/93/347223993.db2.gz KYDOYOCWYKHKJI-LLVKDONJSA-N 0 0 266.297 2.634 20 5 CFBDRN Cc1ccccc1[C@H]1CN(c2ccc([N+](=O)[O-])cn2)CCO1 ZINC000078366559 347246657 /nfs/dbraw/zinc/24/66/57/347246657.db2.gz YMFIOGOMOACVMG-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1ccnc(N2C[C@H](C)S[C@@H](C)C2)c1[N+](=O)[O-] ZINC000078494773 347257278 /nfs/dbraw/zinc/25/72/78/347257278.db2.gz AKHQBWKDQWIFGK-UWVGGRQHSA-N 0 0 267.354 2.628 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000078590779 347264217 /nfs/dbraw/zinc/26/42/17/347264217.db2.gz OUFGIWHJGFIZMV-LBPRGKRZSA-N 0 0 252.339 2.927 20 5 CFBDRN Cc1cnc(N(C)[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 ZINC000078655462 347267271 /nfs/dbraw/zinc/26/72/71/347267271.db2.gz MTDIVWGEABIVFP-LLVKDONJSA-N 0 0 272.308 2.891 20 5 CFBDRN Cc1cnc(N2Cc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000078660234 347268378 /nfs/dbraw/zinc/26/83/78/347268378.db2.gz RBTBXVINXLCTJH-UHFFFAOYSA-N 0 0 255.277 2.818 20 5 CFBDRN Cc1cnc(NCc2cn3c(cccc3C)n2)c([N+](=O)[O-])c1 ZINC000078662021 347268487 /nfs/dbraw/zinc/26/84/87/347268487.db2.gz XKCPSPCCVFSNQE-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN Cc1cnc(Nc2cnn(CC(C)C)c2)c([N+](=O)[O-])c1 ZINC000078670687 347269048 /nfs/dbraw/zinc/26/90/48/347269048.db2.gz DTXULGRVNBFUFE-UHFFFAOYSA-N 0 0 275.312 2.894 20 5 CFBDRN Cc1csc(N(C)C(=O)Cc2ccccc2[N+](=O)[O-])n1 ZINC000078943925 347281014 /nfs/dbraw/zinc/28/10/14/347281014.db2.gz RAJZAILPVOLABH-UHFFFAOYSA-N 0 0 291.332 2.565 20 5 CFBDRN CC1CC(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000080160123 347346610 /nfs/dbraw/zinc/34/66/10/347346610.db2.gz ISNYIOJFBIWLKV-UHFFFAOYSA-N 0 0 291.351 2.928 20 5 CFBDRN C[S@@](=O)c1ccc(CNc2ccc([N+](=O)[O-])cc2)cc1 ZINC000080245921 347351031 /nfs/dbraw/zinc/35/10/31/347351031.db2.gz JORCQRVVKFYTSF-FQEVSTJZSA-N 0 0 290.344 2.944 20 5 CFBDRN Cc1cncc(NC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000079578685 347313808 /nfs/dbraw/zinc/31/38/08/347313808.db2.gz IGBYUOAHYYZUBK-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1cncc(NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)c1 ZINC000079687197 347320486 /nfs/dbraw/zinc/32/04/86/347320486.db2.gz NDZAGHSCBPTQOC-AATRIKPKSA-N 0 0 283.287 2.950 20 5 CFBDRN CCC[C@@H]1[C@H](C)CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000081179293 347406624 /nfs/dbraw/zinc/40/66/24/347406624.db2.gz DNDQUOWAKVHDJL-MWLCHTKSSA-N 0 0 294.355 2.667 20 5 CFBDRN Cc1cc(NCCC(=O)NC(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000080550078 347365630 /nfs/dbraw/zinc/36/56/30/347365630.db2.gz RWAWTDLYJFBFAV-UHFFFAOYSA-N 0 0 299.758 2.883 20 5 CFBDRN Cc1cc(N2CCS[C@H](C(C)C)C2)ncc1[N+](=O)[O-] ZINC000080605996 347368593 /nfs/dbraw/zinc/36/85/93/347368593.db2.gz ZSBQPWYNOOIWPG-LBPRGKRZSA-N 0 0 281.381 2.876 20 5 CFBDRN CN(c1ccc2cc([N+](=O)[O-])ccc2n1)C1CCOCC1 ZINC000080606483 347369448 /nfs/dbraw/zinc/36/94/48/347369448.db2.gz HAFHFWASUWRGTF-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCOC[C@H]1CCCO1 ZINC000080640845 347371683 /nfs/dbraw/zinc/37/16/83/347371683.db2.gz VCIABIKBGJISRW-CYBMUJFWSA-N 0 0 280.324 2.511 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000080638596 347371937 /nfs/dbraw/zinc/37/19/37/347371937.db2.gz BIXJDUHNMUNMSP-UFBFGSQYSA-N 0 0 286.303 2.561 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)Cc1nccs1 ZINC000080669388 347373563 /nfs/dbraw/zinc/37/35/63/347373563.db2.gz TUYNTTQPZPQTOC-UHFFFAOYSA-N 0 0 293.348 2.834 20 5 CFBDRN Cc1cc(N[C@@H]2CC(=O)N(C(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000080674580 347373629 /nfs/dbraw/zinc/37/36/29/347373629.db2.gz QHBWHACNEPFJSV-GFCCVEGCSA-N 0 0 291.351 2.715 20 5 CFBDRN Cc1cnc(N2CCC[C@]3(CCCOC3)C2)c([N+](=O)[O-])c1 ZINC000080688990 347375628 /nfs/dbraw/zinc/37/56/28/347375628.db2.gz MITPTMHHTIQVRF-HNNXBMFYSA-N 0 0 291.351 2.695 20 5 CFBDRN CN(Cc1cccc(O)c1)Cc1cccc([N+](=O)[O-])c1 ZINC000080921802 347385920 /nfs/dbraw/zinc/38/59/20/347385920.db2.gz XQPBXWGUDNWOBH-UHFFFAOYSA-N 0 0 272.304 2.932 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@H](C)S1 ZINC000080946738 347386419 /nfs/dbraw/zinc/38/64/19/347386419.db2.gz JNXADWAVPVVTNL-AOOOYVTPSA-N 0 0 280.349 2.561 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@@H](C)S1 ZINC000080946742 347386636 /nfs/dbraw/zinc/38/66/36/347386636.db2.gz JNXADWAVPVVTNL-NXEZZACHSA-N 0 0 280.349 2.561 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)Cc1cnccn1 ZINC000080966041 347390701 /nfs/dbraw/zinc/39/07/01/347390701.db2.gz BYQUSWPUVQZEQU-LLVKDONJSA-N 0 0 272.308 2.578 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000102636094 185784016 /nfs/dbraw/zinc/78/40/16/185784016.db2.gz MCCCTUZCUPOBIN-UHFFFAOYSA-N 0 0 299.290 2.668 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCC[C@@H]1CCOC1 ZINC000402263793 232683812 /nfs/dbraw/zinc/68/38/12/232683812.db2.gz CFIFNWAIRXKFDW-LLVKDONJSA-N 0 0 250.298 2.823 20 5 CFBDRN CCC(O)(CC)CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000087029757 347489693 /nfs/dbraw/zinc/48/96/93/347489693.db2.gz CDPAYMRWXDPPSA-UHFFFAOYSA-N 0 0 290.323 2.501 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N(CC1CC1)c1ccccc1 ZINC000084281588 347460799 /nfs/dbraw/zinc/46/07/99/347460799.db2.gz GNJRNGXVMVZIAX-UHFFFAOYSA-N 0 0 285.303 2.980 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCNc1ncccc1F ZINC000084482956 347464752 /nfs/dbraw/zinc/46/47/52/347464752.db2.gz CKRVXKONYUCUPB-UHFFFAOYSA-N 0 0 276.271 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2cscn2)c1 ZINC000088703436 347507028 /nfs/dbraw/zinc/50/70/28/347507028.db2.gz OLMPSDYMDLRONS-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1cc(C)ccc1[N+](=O)[O-] ZINC000088702912 347507052 /nfs/dbraw/zinc/50/70/52/347507052.db2.gz ZNQVKAZRVKAATR-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)Cn2cccn2)c1 ZINC000088701219 347507188 /nfs/dbraw/zinc/50/71/88/347507188.db2.gz XTLSGKXJHVBGKX-LBPRGKRZSA-N 0 0 274.324 2.848 20 5 CFBDRN Cc1cc(N2CCc3ccc([N+](=O)[O-])cc3C2)nc(C)n1 ZINC000088764255 347510059 /nfs/dbraw/zinc/51/00/59/347510059.db2.gz LMSDHOISZMIGBW-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN Cc1ccc2c(c1)CCN2c1ncccc1[N+](=O)[O-] ZINC000091020441 347567216 /nfs/dbraw/zinc/56/72/16/347567216.db2.gz IFAFMPGPZSWSPF-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN CCc1nn(C)cc1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000091164039 347576033 /nfs/dbraw/zinc/57/60/33/347576033.db2.gz XYCFLRXBBWMJII-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1c2ccccc2C[C@@H]1O ZINC000091430625 347585419 /nfs/dbraw/zinc/58/54/19/347585419.db2.gz JPIZKZKQXNARBF-HOTGVXAUSA-N 0 0 284.315 2.973 20 5 CFBDRN COc1cc(N(C)Cc2ccccn2)c(F)cc1[N+](=O)[O-] ZINC000091456396 347587599 /nfs/dbraw/zinc/58/75/99/347587599.db2.gz VGYIXUGSULPDOO-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2cc(F)ccc2C1 ZINC000091672042 347594910 /nfs/dbraw/zinc/59/49/10/347594910.db2.gz MZORRMBCZOQEIT-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN CCc1nsc(Oc2ccc(OC)cc2[N+](=O)[O-])n1 ZINC000092582360 347633204 /nfs/dbraw/zinc/63/32/04/347633204.db2.gz ITZYFNCUWKMHCX-UHFFFAOYSA-N 0 0 281.293 2.810 20 5 CFBDRN CCOc1cc(S[C@@H](C)C(=O)OC)ccc1[N+](=O)[O-] ZINC000092585011 347633810 /nfs/dbraw/zinc/63/38/10/347633810.db2.gz CGBBLJIUENAALR-QMMMGPOBSA-N 0 0 285.321 2.647 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)Cc2cc(C)on2)c1 ZINC000092606246 347634451 /nfs/dbraw/zinc/63/44/51/347634451.db2.gz ODPSGMACWWRJGD-UHFFFAOYSA-N 0 0 295.270 2.675 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2(O)CCCCC2)c1 ZINC000092634585 347636067 /nfs/dbraw/zinc/63/60/67/347636067.db2.gz QYVYYXBDZKKTEX-UHFFFAOYSA-N 0 0 298.314 2.850 20 5 CFBDRN COCCOC1CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000092655248 347637103 /nfs/dbraw/zinc/63/71/03/347637103.db2.gz YCTZZZAPWXOWHP-UHFFFAOYSA-N 0 0 294.351 2.535 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(S[C@@H](C)[C@@H](C)O)c1 ZINC000092658830 347638416 /nfs/dbraw/zinc/63/84/16/347638416.db2.gz FRMGJEVDZYVMHI-RQJHMYQMSA-N 0 0 275.301 2.604 20 5 CFBDRN COC(=O)[C@H](Nc1ccc([N+](=O)[O-])c(C)c1)C(C)(C)C ZINC000092673998 347639905 /nfs/dbraw/zinc/63/99/05/347639905.db2.gz LXPZASXIDHWXDV-LBPRGKRZSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1S[C@@H]1CCO[C@H]1C ZINC000092688263 347641024 /nfs/dbraw/zinc/64/10/24/347641024.db2.gz BBLNKPMCBWOIJI-WCBMZHEXSA-N 0 0 254.311 2.568 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]2C(C)(C)O)c1 ZINC000092693197 347642006 /nfs/dbraw/zinc/64/20/06/347642006.db2.gz CPUDUPNFLRJHDD-ZDUSSCGKSA-N 0 0 264.325 2.643 20 5 CFBDRN CC(C)C[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])C1CC1 ZINC000092008588 347606871 /nfs/dbraw/zinc/60/68/71/347606871.db2.gz KYAHFESJJFDKCJ-UHFFFAOYSA-N 0 0 264.325 2.921 20 5 CFBDRN Cc1c(C[NH+]2CCC(CC(=O)[O-])CC2)cccc1[N+](=O)[O-] ZINC000093680829 347680298 /nfs/dbraw/zinc/68/02/98/347680298.db2.gz BXJWITQVRXPIJI-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[NH+]1CCC(CC(=O)[O-])CC1 ZINC000093682237 347681282 /nfs/dbraw/zinc/68/12/82/347681282.db2.gz SXBOVEBWPGLSFS-NSHDSACASA-N 0 0 292.335 2.843 20 5 CFBDRN CCc1nn(C)c(N(C)Cc2ccc(C)s2)c1[N+](=O)[O-] ZINC000094154934 347693245 /nfs/dbraw/zinc/69/32/45/347693245.db2.gz BNACTMQHFBEEMX-UHFFFAOYSA-N 0 0 294.380 2.897 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@]2(C)CCCO2)c1 ZINC000095015776 347697479 /nfs/dbraw/zinc/69/74/79/347697479.db2.gz KKCWZVAISAXEPM-CYBMUJFWSA-N 0 0 266.297 2.584 20 5 CFBDRN CC1(C)CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000093339779 347669832 /nfs/dbraw/zinc/66/98/32/347669832.db2.gz NKLDMMYXKSBMTI-UHFFFAOYSA-N 0 0 250.298 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH+]1C[C@H]2CCC[C@@H]2C1 ZINC000093442906 347673924 /nfs/dbraw/zinc/67/39/24/347673924.db2.gz IYDRDEGXCBITJA-GHMZBOCLSA-N 0 0 262.309 2.532 20 5 CFBDRN C[C@@H]1CC(Nc2c([N+](=O)[O-])ncn2C)C[C@@H](C)C1 ZINC000113544318 347768287 /nfs/dbraw/zinc/76/82/87/347768287.db2.gz AKKRTOKEYPNGMW-IUCAKERBSA-N 0 0 252.318 2.565 20 5 CFBDRN C[C@@H](NC(=O)CCOc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000112249763 347759254 /nfs/dbraw/zinc/75/92/54/347759254.db2.gz DIECRQKCCHRXCC-LLVKDONJSA-N 0 0 294.351 2.915 20 5 CFBDRN CSCCCCNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000125808680 347829785 /nfs/dbraw/zinc/82/97/85/347829785.db2.gz HASHAYXITASHOV-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN C[C@H](NC(=O)NC1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000119467037 347802339 /nfs/dbraw/zinc/80/23/39/347802339.db2.gz KUXNJEHVIOCFRW-JTQLQIEISA-N 0 0 275.308 2.674 20 5 CFBDRN CCn1nccc1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000120573754 347807954 /nfs/dbraw/zinc/80/79/54/347807954.db2.gz MWOJLCZGRYNDGH-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCCOC2)c([N+](=O)[O-])cc1F ZINC000125960550 347831081 /nfs/dbraw/zinc/83/10/81/347831081.db2.gz UTUQJOINGUJVFS-JTQLQIEISA-N 0 0 298.314 2.605 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCCOC2)c([N+](=O)[O-])cc1F ZINC000125960802 347831186 /nfs/dbraw/zinc/83/11/86/347831186.db2.gz UTUQJOINGUJVFS-SNVBAGLBSA-N 0 0 298.314 2.605 20 5 CFBDRN CC(C)N(C)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000128863162 347855029 /nfs/dbraw/zinc/85/50/29/347855029.db2.gz WUGNVDLKYVCLAQ-UHFFFAOYSA-N 0 0 256.689 2.729 20 5 CFBDRN CC(C)(C)CCCNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000126452045 347834576 /nfs/dbraw/zinc/83/45/76/347834576.db2.gz IXSXMMVBSPNIEK-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000129546556 347862663 /nfs/dbraw/zinc/86/26/63/347862663.db2.gz UWNHCMYVWNADOA-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1c(CC(=O)N[C@@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000129681494 347863755 /nfs/dbraw/zinc/86/37/55/347863755.db2.gz RXWRKXDNUFQPCV-NSHDSACASA-N 0 0 276.336 2.751 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129853476 347865134 /nfs/dbraw/zinc/86/51/34/347865134.db2.gz HWECAAQWAFSKJQ-HZMBPMFUSA-N 0 0 274.320 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C1CCC1 ZINC000130085035 347867256 /nfs/dbraw/zinc/86/72/56/347867256.db2.gz HZGQFMDQXASMJH-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H](S[C@@H]1CCCNC1=O)c1ccccc1[N+](=O)[O-] ZINC000489573395 290189735 /nfs/dbraw/zinc/18/97/35/290189735.db2.gz PBQSWAOSAVFQMM-JOYOIKCWSA-N 0 0 280.349 2.668 20 5 CFBDRN CC[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])c1nccs1 ZINC000130888252 347875109 /nfs/dbraw/zinc/87/51/09/347875109.db2.gz VKEOIFUXLILTKC-SNVBAGLBSA-N 0 0 291.332 2.932 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NCC3CCC3)cc2N1 ZINC000132271559 347883446 /nfs/dbraw/zinc/88/34/46/347883446.db2.gz YCUUJQXOLRUFBS-UHFFFAOYSA-N 0 0 275.308 2.692 20 5 CFBDRN CC(C)(C)CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000132730100 347887272 /nfs/dbraw/zinc/88/72/72/347887272.db2.gz HPEQGHGEGNWTSK-UHFFFAOYSA-N 0 0 277.324 2.938 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2(O)CCCCC2)c1 ZINC000133790906 347894309 /nfs/dbraw/zinc/89/43/09/347894309.db2.gz YSBJODQUHTVIFF-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN CNc1ccc(C(=O)N[C@H](C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000134545137 347899618 /nfs/dbraw/zinc/89/96/18/347899618.db2.gz VIFMVSWUARZTII-SECBINFHSA-N 0 0 279.340 2.801 20 5 CFBDRN COc1cccc(NCC2(CO)CCCCC2)c1[N+](=O)[O-] ZINC000134930012 347902323 /nfs/dbraw/zinc/90/23/23/347902323.db2.gz FPZCKTPZZQPXOY-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN Cc1nc(N[C@@H](CO)CC2CCCC2)ccc1[N+](=O)[O-] ZINC000140515903 347926591 /nfs/dbraw/zinc/92/65/91/347926591.db2.gz QPMAXPORGZZKDO-GFCCVEGCSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccc(CO)cc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000143374041 347936603 /nfs/dbraw/zinc/93/66/03/347936603.db2.gz AFDOVWHIWBNKEH-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN Cc1nn([C@H](C)c2nc(-c3ccccc3)no2)cc1[N+](=O)[O-] ZINC000139416942 347919977 /nfs/dbraw/zinc/91/99/77/347919977.db2.gz MKUABZDDSORTSZ-SNVBAGLBSA-N 0 0 299.290 2.759 20 5 CFBDRN CCc1nnc(COc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000140065380 347923673 /nfs/dbraw/zinc/92/36/73/347923673.db2.gz HBWMDVUFSKYIQU-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])n[nH]1)c1ccc(C)cc1 ZINC000140260691 347924971 /nfs/dbraw/zinc/92/49/71/347924971.db2.gz LJHQPPPIEMZIRS-NSHDSACASA-N 0 0 288.307 2.507 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152252974 347967933 /nfs/dbraw/zinc/96/79/33/347967933.db2.gz UFCAZSGKCMOIRE-GXFFZTMASA-N 0 0 274.320 2.742 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000128666304 187383390 /nfs/dbraw/zinc/38/33/90/187383390.db2.gz CTKBLYYUTUDDJU-JTQLQIEISA-N 0 0 298.314 2.669 20 5 CFBDRN COc1ccc(CNC(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000148512684 347950627 /nfs/dbraw/zinc/95/06/27/347950627.db2.gz ONVOFMYBDCYSKD-UHFFFAOYSA-N 0 0 282.296 2.628 20 5 CFBDRN Cc1cnc(NCC2(CO)CCCCC2)c([N+](=O)[O-])c1 ZINC000157369387 348000041 /nfs/dbraw/zinc/00/00/41/348000041.db2.gz DAHUJMFSPUZMPI-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN C[C@@H](CO)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000161607739 348025225 /nfs/dbraw/zinc/02/52/25/348025225.db2.gz NKZJXXUNDRPPIJ-JTQLQIEISA-N 0 0 261.277 2.755 20 5 CFBDRN Cc1cccc(N(C)C(=O)CNc2ccccc2[N+](=O)[O-])c1 ZINC000171416523 348076723 /nfs/dbraw/zinc/07/67/23/348076723.db2.gz QZNYFZJZBAESFJ-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163842469 348035001 /nfs/dbraw/zinc/03/50/01/348035001.db2.gz ANVTWZMZSRQOCN-HZMBPMFUSA-N 0 0 274.320 2.742 20 5 CFBDRN CCOC(=O)c1ccnc(N(C)C[C@H](C)CC)c1[N+](=O)[O-] ZINC000172371767 348091909 /nfs/dbraw/zinc/09/19/09/348091909.db2.gz LQZRZNPXYUPQMM-SNVBAGLBSA-N 0 0 295.339 2.649 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)C(C)C)cc([N+](=O)[O-])c1 ZINC000172443081 348094522 /nfs/dbraw/zinc/09/45/22/348094522.db2.gz RINZWGHHZVALJO-LLVKDONJSA-N 0 0 264.325 2.925 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000172478951 348095977 /nfs/dbraw/zinc/09/59/77/348095977.db2.gz UTKWHJFNKLBEEY-LBPRGKRZSA-N 0 0 290.319 2.832 20 5 CFBDRN O=C(NC1CC(F)(F)C1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412296274 232878865 /nfs/dbraw/zinc/87/88/65/232878865.db2.gz BXNVQYVWLIKTNQ-NWDGAFQWSA-N 0 0 296.273 2.612 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1ccc(Cl)s1 ZINC000198713440 348151257 /nfs/dbraw/zinc/15/12/57/348151257.db2.gz KXRLJDKSMNTLIS-UHFFFAOYSA-N 0 0 270.697 2.520 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2c([N+](=O)[O-])ncn2C)[C@@H](C)C1 ZINC000226188956 348181377 /nfs/dbraw/zinc/18/13/77/348181377.db2.gz RBBAKYYUJRFCLO-KXUCPTDWSA-N 0 0 252.318 2.565 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2nc3sccn3c2[N+](=O)[O-])C1(C)C ZINC000227820674 348190638 /nfs/dbraw/zinc/19/06/38/348190638.db2.gz JWMLSMOMKXSEEJ-JGVFFNPUSA-N 0 0 296.352 2.529 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC1CCC(O)CC1 ZINC000227825573 348191098 /nfs/dbraw/zinc/19/10/98/348191098.db2.gz YKGMOBDPRKSRQJ-UHFFFAOYSA-N 0 0 268.288 2.697 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1(C)C ZINC000227821873 348191164 /nfs/dbraw/zinc/19/11/64/348191164.db2.gz WCGDMBFRSXHQHQ-NXEZZACHSA-N 0 0 285.731 2.869 20 5 CFBDRN CC(C)n1cc(OCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000228149045 348194010 /nfs/dbraw/zinc/19/40/10/348194010.db2.gz SYQRHCQFLHCLPP-UHFFFAOYSA-N 0 0 261.281 2.951 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1ccncc1C ZINC000228668066 348197600 /nfs/dbraw/zinc/19/76/00/348197600.db2.gz BRBKUCKVBFRAGU-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000230187150 348205167 /nfs/dbraw/zinc/20/51/67/348205167.db2.gz JBIXVMSPISSAHB-MNOVXSKESA-N 0 0 265.313 2.524 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CC[C@@H](OC)C2)c1 ZINC000231684415 348209074 /nfs/dbraw/zinc/20/90/74/348209074.db2.gz HOYYRASFTUVXLR-NXEZZACHSA-N 0 0 266.297 2.583 20 5 CFBDRN CC(C)(C)n1cc(CNc2cccc([N+](=O)[O-])c2)nn1 ZINC000232512904 348212238 /nfs/dbraw/zinc/21/22/38/348212238.db2.gz NZNJEXLSDTVESC-UHFFFAOYSA-N 0 0 275.312 2.553 20 5 CFBDRN Cc1nn(C)c2ncc(NCc3cccc([N+](=O)[O-])c3)cc12 ZINC000237857750 348242502 /nfs/dbraw/zinc/24/25/02/348242502.db2.gz WCSHYXDBSFFTAG-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000245467534 348254511 /nfs/dbraw/zinc/25/45/11/348254511.db2.gz SGKIRSRZQUZGTM-IMRBUKKESA-N 0 0 274.320 2.647 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)OCc1ccc([N+](=O)[O-])cc1 ZINC000087037402 179384893 /nfs/dbraw/zinc/38/48/93/179384893.db2.gz NBOZHYDAOQHJNA-ZDUSSCGKSA-N 0 0 279.292 2.597 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000087060550 179389336 /nfs/dbraw/zinc/38/93/36/179389336.db2.gz OXNGQMWUSVHSAZ-NSHDSACASA-N 0 0 294.307 2.501 20 5 CFBDRN O=C(C=C1CCC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000087060629 179389393 /nfs/dbraw/zinc/38/93/93/179389393.db2.gz PRXHEQONFQJWNF-UHFFFAOYSA-N 0 0 250.229 2.783 20 5 CFBDRN CO[C@H](C)CCNC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000273350056 192122133 /nfs/dbraw/zinc/12/21/33/192122133.db2.gz YAVWVPIMWVVHBD-HCRIHEDKSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@H](NC(=O)Cc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000268819331 348328240 /nfs/dbraw/zinc/32/82/40/348328240.db2.gz OTCPIOSVEJJZAT-AWEZNQCLSA-N 0 0 288.303 2.998 20 5 CFBDRN O=C(Cc1ccco1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000268810652 348328386 /nfs/dbraw/zinc/32/83/86/348328386.db2.gz HCMGKDNSXRHFCZ-UHFFFAOYSA-N 0 0 286.287 2.710 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2C[C@H]2c2ccco2)cc1[N+](=O)[O-] ZINC000412935274 232986036 /nfs/dbraw/zinc/98/60/36/232986036.db2.gz ZJOBPDNEAYPYLU-RKDXNWHRSA-N 0 0 292.316 2.844 20 5 CFBDRN CC(C)O[C@H](Cn1ncc([N+](=O)[O-])c1N)c1ccccc1 ZINC000292099758 348355734 /nfs/dbraw/zinc/35/57/34/348355734.db2.gz OWWRZIBMBLZEBR-CYBMUJFWSA-N 0 0 290.323 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCC[C@@H]3CF)nc2c1 ZINC000293215957 348361865 /nfs/dbraw/zinc/36/18/65/348361865.db2.gz XSEQSIRAWAHGIB-SNVBAGLBSA-N 0 0 279.271 2.670 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCS[C@@H]1C ZINC000310526082 348409555 /nfs/dbraw/zinc/40/95/55/348409555.db2.gz XXMDOBPKGLNKIM-SCZZXKLOSA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1nc(N[C@@H]2CCS[C@@H]2C)ccc1[N+](=O)[O-] ZINC000310450784 348409702 /nfs/dbraw/zinc/40/97/02/348409702.db2.gz BMQNLTWSQAMQLG-RKDXNWHRSA-N 0 0 253.327 2.604 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@H]1CCCOCC1 ZINC000310963240 348411271 /nfs/dbraw/zinc/41/12/71/348411271.db2.gz OFHALBVWITXFAA-QMMMGPOBSA-N 0 0 271.704 2.624 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@@H]1CCCOCC1 ZINC000310963239 348411851 /nfs/dbraw/zinc/41/18/51/348411851.db2.gz OFHALBVWITXFAA-MRVPVSSYSA-N 0 0 271.704 2.624 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC1CCC(O)CC1 ZINC000311526646 348413233 /nfs/dbraw/zinc/41/32/33/348413233.db2.gz GECMIHRJMIKAHH-UHFFFAOYSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1cnc([C@@H](C)Nc2ccc(N)c([N+](=O)[O-])n2)s1 ZINC000311633008 348413464 /nfs/dbraw/zinc/41/34/64/348413464.db2.gz JOJHTDQZAMOOLQ-SSDOTTSWSA-N 0 0 279.325 2.510 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCO2)c(C(F)(F)F)c1 ZINC000413023019 233005165 /nfs/dbraw/zinc/00/51/65/233005165.db2.gz HSRFZGADRGSXIW-QMMMGPOBSA-N 0 0 291.229 2.600 20 5 CFBDRN CC[C@@H](Nc1ncnc2ccc([N+](=O)[O-])cc21)c1ncc[nH]1 ZINC000317672927 348433350 /nfs/dbraw/zinc/43/33/50/348433350.db2.gz BTUMOYQYMLWZCP-LLVKDONJSA-N 0 0 298.306 2.824 20 5 CFBDRN CC(C)[C@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])C1CC1 ZINC000324308488 348439759 /nfs/dbraw/zinc/43/97/59/348439759.db2.gz VUJRHJAQEBKUDQ-ZDUSSCGKSA-N 0 0 277.324 2.540 20 5 CFBDRN Nc1ccc(N2CC[C@@H](c3ccccc3)C2)nc1[N+](=O)[O-] ZINC000313897625 348424715 /nfs/dbraw/zinc/42/47/15/348424715.db2.gz QPIXSHVKDINBGW-GFCCVEGCSA-N 0 0 284.319 2.566 20 5 CFBDRN COC(=O)C1CCC(Nc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000314273614 348425667 /nfs/dbraw/zinc/42/56/67/348425667.db2.gz YCEVWXWNCHHZPP-UHFFFAOYSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1ccc(C[NH2+]CCc2ccc([N+](=O)[O-])cc2)nc1C ZINC000314253045 348425753 /nfs/dbraw/zinc/42/57/53/348425753.db2.gz YQGNFWWBOASSPS-UHFFFAOYSA-N 0 0 285.347 2.939 20 5 CFBDRN CC1(C)OCC[C@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000328256744 348440554 /nfs/dbraw/zinc/44/05/54/348440554.db2.gz QJBZHPGZGPECAG-GFCCVEGCSA-N 0 0 288.307 2.517 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@]1(C)CC1(C)C ZINC000596845048 349996445 /nfs/dbraw/zinc/99/64/45/349996445.db2.gz SWVZRJQEGSWJKZ-CQSZACIVSA-N 0 0 293.323 2.914 20 5 CFBDRN CO[C@@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000596844954 349996747 /nfs/dbraw/zinc/99/67/47/349996747.db2.gz CMBJBOGFKVKHNC-IINYFYTJSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1cc(OCc2nc([C@H]3C[C@@H]3C)no2)ccc1[N+](=O)[O-] ZINC000350144416 348472057 /nfs/dbraw/zinc/47/20/57/348472057.db2.gz RWSBPHFWGJLUID-KWQFWETISA-N 0 0 289.291 2.989 20 5 CFBDRN Cc1ocnc1-c1noc(-c2cccc([N+](=O)[O-])c2C)n1 ZINC000351048641 348482064 /nfs/dbraw/zinc/48/20/64/348482064.db2.gz XULPFUMSXUBZCT-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000413175358 233028857 /nfs/dbraw/zinc/02/88/57/233028857.db2.gz STZYYDQZEGWQJQ-JTQLQIEISA-N 0 0 271.292 2.602 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1cc(NC2CC2)ncn1 ZINC000413178734 233029731 /nfs/dbraw/zinc/02/97/31/233029731.db2.gz UHKXHVOZSIURMK-UHFFFAOYSA-N 0 0 299.334 2.614 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cc1nc(CC2CC2)no1 ZINC000351406770 348495359 /nfs/dbraw/zinc/49/53/59/348495359.db2.gz OYKOAFVTXXNTTL-UHFFFAOYSA-N 0 0 277.255 2.660 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)Cc1ccccn1 ZINC000273434249 192148594 /nfs/dbraw/zinc/14/85/94/192148594.db2.gz UZWMQROVEGSUMM-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN C[C@H](CO)CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000385359440 348533773 /nfs/dbraw/zinc/53/37/73/348533773.db2.gz FFAQFJCQRBPKFF-ZETCQYMHSA-N 0 0 260.240 2.573 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCCc1nccs1 ZINC000401152476 348577089 /nfs/dbraw/zinc/57/70/89/348577089.db2.gz GLHXIXWTZQLADU-UHFFFAOYSA-N 0 0 282.300 2.630 20 5 CFBDRN C[C@@]1(CNc2ncc(F)cc2[N+](=O)[O-])CCCS1 ZINC000401127508 348577125 /nfs/dbraw/zinc/57/71/25/348577125.db2.gz NGULNCSBQSICKT-NSHDSACASA-N 0 0 271.317 2.827 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000403307332 348583761 /nfs/dbraw/zinc/58/37/61/348583761.db2.gz OPQWDKIBGBZOOF-GARJFASQSA-N 0 0 289.335 2.555 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC(F)F)c2cccnc21 ZINC000413261146 233042882 /nfs/dbraw/zinc/04/28/82/233042882.db2.gz IPYPUKGSSFCHEV-MRVPVSSYSA-N 0 0 297.261 2.571 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)[C@@H]1C ZINC000273445044 192153377 /nfs/dbraw/zinc/15/33/77/192153377.db2.gz JZYMXRSJNZXLKN-RKDXNWHRSA-N 0 0 281.287 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N[C@H]3CC[C@H](O)CC3)c2c1 ZINC000413211961 233035386 /nfs/dbraw/zinc/03/53/86/233035386.db2.gz XPUMMIGPOMKQSA-AULYBMBSSA-N 0 0 287.319 2.858 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413246839 233041213 /nfs/dbraw/zinc/04/12/13/233041213.db2.gz PGBNPPXJXIDFOQ-BQBZGAKWSA-N 0 0 293.245 2.580 20 5 CFBDRN Cc1ccc(CNCc2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000400904053 348575570 /nfs/dbraw/zinc/57/55/70/348575570.db2.gz OTKJJKFIHRUCIO-UHFFFAOYSA-N 0 0 271.320 2.896 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NOC1CCCC1 ZINC000273464756 192161836 /nfs/dbraw/zinc/16/18/36/192161836.db2.gz GXFUTKYSXUWFHM-ZHACJKMWSA-N 0 0 290.319 2.989 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCOC3(CCC3)C2)c(F)c1 ZINC000413308349 233050783 /nfs/dbraw/zinc/05/07/83/233050783.db2.gz CSZKHRWMFKEEGF-SNVBAGLBSA-N 0 0 295.314 2.890 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2C[C@H]2C2CC2)c(F)c1 ZINC000413322029 233052539 /nfs/dbraw/zinc/05/25/39/233052539.db2.gz RBQBTFVGMHTXOI-WPRPVWTQSA-N 0 0 251.261 2.587 20 5 CFBDRN CC(=O)c1ccc(N(C)CCOCC2CC2)c([N+](=O)[O-])c1 ZINC000088558652 179624913 /nfs/dbraw/zinc/62/49/13/179624913.db2.gz BWUQOOSTDUWTMU-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2nccc3cc([N+](=O)[O-])ccc32)O1 ZINC000413376096 233062888 /nfs/dbraw/zinc/06/28/88/233062888.db2.gz MCMCHOHRYAUKFT-ZWNOBZJWSA-N 0 0 287.319 2.544 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(C)(C)C[C@H]1C ZINC000584576980 348679862 /nfs/dbraw/zinc/67/98/62/348679862.db2.gz UQKRJUSVGCTWDJ-SECBINFHSA-N 0 0 266.345 2.744 20 5 CFBDRN C[C@H](SC[C@@H]1CN(C)CCO1)c1cccc([N+](=O)[O-])c1 ZINC000584589958 348681254 /nfs/dbraw/zinc/68/12/54/348681254.db2.gz CXYIIZUHWUQYIT-FZMZJTMJSA-N 0 0 296.392 2.720 20 5 CFBDRN COC(=O)Nc1cccc2nc3ccccc3nc21 ZINC000584601093 348685706 /nfs/dbraw/zinc/68/57/06/348685706.db2.gz OWGFPUHEZPEBKI-UHFFFAOYSA-N 0 0 253.261 2.961 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC2(CCOC2)CC1 ZINC000588040219 348767822 /nfs/dbraw/zinc/76/78/22/348767822.db2.gz UKGPTGHDJMCNGW-UHFFFAOYSA-N 0 0 268.338 2.663 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC2(C1)CC(F)(F)C2 ZINC000588040677 348767862 /nfs/dbraw/zinc/76/78/62/348767862.db2.gz JYDFFENANGCVCV-UHFFFAOYSA-N 0 0 272.226 2.969 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CC[C@H](C(F)F)C3)c21 ZINC000588040669 348768032 /nfs/dbraw/zinc/76/80/32/348768032.db2.gz JSSIIWPUKLLZNZ-QMMMGPOBSA-N 0 0 294.261 2.629 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2[C@@H]2CCCO2)s1 ZINC000588048977 348768604 /nfs/dbraw/zinc/76/86/04/348768604.db2.gz GNMIIPFIBJLLDO-UWVGGRQHSA-N 0 0 268.338 2.804 20 5 CFBDRN Cc1ccc(N[C@@H]2CCc3cncn3C2)c([N+](=O)[O-])c1 ZINC000588067829 348769867 /nfs/dbraw/zinc/76/98/67/348769867.db2.gz TWOBLEWFMZWKNN-LLVKDONJSA-N 0 0 272.308 2.527 20 5 CFBDRN CCCN(CC[NH+]1CCCC1)c1ccc([N+](=O)[O-])s1 ZINC000588070157 348770477 /nfs/dbraw/zinc/77/04/77/348770477.db2.gz FTRIHCFGWVBPOD-UHFFFAOYSA-N 0 0 283.397 2.969 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H](O)Cc1ccccc1 ZINC000588084855 348771296 /nfs/dbraw/zinc/77/12/96/348771296.db2.gz AZDAJRRSYUPXTF-NSHDSACASA-N 0 0 278.333 2.672 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000588180611 348778236 /nfs/dbraw/zinc/77/82/36/348778236.db2.gz FKSOYZHSBVTMFX-NSHDSACASA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCCC2(F)F)c1[N+](=O)[O-] ZINC000588180673 348778418 /nfs/dbraw/zinc/77/84/18/348778418.db2.gz KLBVNEPHFTUTAU-JTQLQIEISA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H]2C[C@H]21 ZINC000588279355 348781852 /nfs/dbraw/zinc/78/18/52/348781852.db2.gz LDQXDDNVJYQZPV-GXFFZTMASA-N 0 0 275.308 2.919 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000588794498 348795933 /nfs/dbraw/zinc/79/59/33/348795933.db2.gz LUMWWDYEMBSBHJ-SFYZADRCSA-N 0 0 290.241 2.765 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccc(Cl)o1 ZINC000588807001 348796793 /nfs/dbraw/zinc/79/67/93/348796793.db2.gz UYYRNXQMBPPFNO-UHFFFAOYSA-N 0 0 284.703 2.978 20 5 CFBDRN COC(=O)c1ccc(OCCCCCF)cc1[N+](=O)[O-] ZINC000585732491 348741466 /nfs/dbraw/zinc/74/14/66/348741466.db2.gz KHYBFRFZPSKTEC-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@H]2C[C@H]21 ZINC000586785942 348752432 /nfs/dbraw/zinc/75/24/32/348752432.db2.gz PMGBOEURNXWGNV-JOYOIKCWSA-N 0 0 261.281 2.611 20 5 CFBDRN CCC(C)(CC)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000586802172 348754519 /nfs/dbraw/zinc/75/45/19/348754519.db2.gz JSCLJUASCBJKHB-UHFFFAOYSA-N 0 0 290.323 2.658 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1COc2ccccc21 ZINC000588861311 348799706 /nfs/dbraw/zinc/79/97/06/348799706.db2.gz PUCOZYUWVVIPBT-SNVBAGLBSA-N 0 0 271.276 2.578 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CC12CCC2 ZINC000586825196 348756541 /nfs/dbraw/zinc/75/65/41/348756541.db2.gz OOLUWUOSJXNMNI-CYBMUJFWSA-N 0 0 288.347 2.786 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000587881900 348763197 /nfs/dbraw/zinc/76/31/97/348763197.db2.gz IMCDQCHTDMKKMK-KCJUWKMLSA-N 0 0 293.323 2.685 20 5 CFBDRN C[C@H](CCCc1cccnc1)Nc1c([N+](=O)[O-])ncn1C ZINC000413404241 233067720 /nfs/dbraw/zinc/06/77/20/233067720.db2.gz XCIFQGXGSPBIBU-LLVKDONJSA-N 0 0 289.339 2.547 20 5 CFBDRN CC[C@@H]1CCC[C@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587996186 348764790 /nfs/dbraw/zinc/76/47/90/348764790.db2.gz ZJJWZWILVDOZCX-NOZJJQNGSA-N 0 0 279.340 2.660 20 5 CFBDRN CC(C)C[C@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000588005256 348765434 /nfs/dbraw/zinc/76/54/34/348765434.db2.gz BMFWUYQUHKXMDA-VIFPVBQESA-N 0 0 267.329 2.516 20 5 CFBDRN C[C@H]1CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C[C@H](C)C1 ZINC000588005592 348766107 /nfs/dbraw/zinc/76/61/07/348766107.db2.gz YQGCIEOJIBYTBD-WDEREUQCSA-N 0 0 293.367 2.542 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)C[C@H]1F ZINC000588012980 348766304 /nfs/dbraw/zinc/76/63/04/348766304.db2.gz HQZLQJARZSKLKC-VXNVDRBHSA-N 0 0 296.327 2.784 20 5 CFBDRN CC(C)C1CN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000588005818 348766319 /nfs/dbraw/zinc/76/63/19/348766319.db2.gz DYECEYKAQYOJBO-UHFFFAOYSA-N 0 0 278.337 2.692 20 5 CFBDRN C[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@H]1F ZINC000588013066 348766907 /nfs/dbraw/zinc/76/69/07/348766907.db2.gz VNJWJWBMIWYYAP-WCBMZHEXSA-N 0 0 256.252 2.918 20 5 CFBDRN C[C@H]1C[C@@H]1c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000589068020 348809562 /nfs/dbraw/zinc/80/95/62/348809562.db2.gz TXLJBDQXQWQCIX-WPRPVWTQSA-N 0 0 259.265 2.692 20 5 CFBDRN C[C@H](CCO)Nc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000589114369 348811655 /nfs/dbraw/zinc/81/16/55/348811655.db2.gz YMBNCEVBYXHVPI-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H]2CO[C@H](C)C2)n1 ZINC000589127230 348812059 /nfs/dbraw/zinc/81/20/59/348812059.db2.gz RIKIIENGEBPHKX-NXEZZACHSA-N 0 0 289.291 2.846 20 5 CFBDRN COCC[C@H](C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000413493786 233083369 /nfs/dbraw/zinc/08/33/69/233083369.db2.gz PAFVGFRSIMJNHI-NSHDSACASA-N 0 0 289.335 2.649 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cccnc1C ZINC000589266823 348823461 /nfs/dbraw/zinc/82/34/61/348823461.db2.gz RHWXQNHNCMLEST-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])c(N)c2F)[C@@H](C)O1 ZINC000413531815 233091267 /nfs/dbraw/zinc/09/12/67/233091267.db2.gz MEDDPKBSXKPYAE-HLTSFMKQSA-N 0 0 283.303 2.542 20 5 CFBDRN COCC1CCC(Nc2nccc(C)c2[N+](=O)[O-])CC1 ZINC000413532044 233091517 /nfs/dbraw/zinc/09/15/17/233091517.db2.gz NNMNUGWXSFWQQF-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN COCC1CCC(Nc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000413533340 233091559 /nfs/dbraw/zinc/09/15/59/233091559.db2.gz PTRCFIFIRXNSHY-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ncc([N+](=O)[O-])cc2Cl)[C@H](C)O1 ZINC000413541844 233093390 /nfs/dbraw/zinc/09/33/90/233093390.db2.gz WHMOILYHRKTKFF-VGMNWLOBSA-N 0 0 285.731 2.869 20 5 CFBDRN COC1([C@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)CCC1 ZINC000413559620 233095542 /nfs/dbraw/zinc/09/55/42/233095542.db2.gz JXKOAEYAWGNPCL-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2nccc(C)c2[N+](=O)[O-])C12CCC2 ZINC000413437862 233073480 /nfs/dbraw/zinc/07/34/80/233073480.db2.gz IGOHHTZXXMURAP-WDEREUQCSA-N 0 0 277.324 2.668 20 5 CFBDRN COc1cc(N[C@H](C)C[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000589585494 348840294 /nfs/dbraw/zinc/84/02/94/348840294.db2.gz YPYNMHXQCLPSHZ-ZJUUUORDSA-N 0 0 298.314 2.970 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000589584863 348840482 /nfs/dbraw/zinc/84/04/82/348840482.db2.gz PPEJUQJBWFURSF-IUCAKERBSA-N 0 0 283.303 2.543 20 5 CFBDRN CN(C)c1cccnc1CNc1ccsc1[N+](=O)[O-] ZINC000589603799 348842256 /nfs/dbraw/zinc/84/22/56/348842256.db2.gz RPVYEKJOGSYBBZ-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN Cc1ccc(N[C@H](C)c2ccn(C)n2)c([N+](=O)[O-])c1 ZINC000413464952 233078224 /nfs/dbraw/zinc/07/82/24/233078224.db2.gz UAEYCOPVNAABIP-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN CCOCCCNc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000104241410 185941042 /nfs/dbraw/zinc/94/10/42/185941042.db2.gz NWHHRDMCLOGFHM-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(C3CC3)C2)c2ccncc21 ZINC000589695809 348852190 /nfs/dbraw/zinc/85/21/90/348852190.db2.gz XUANVSPIRYEODV-UHFFFAOYSA-N 0 0 269.304 2.989 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC(C2CC2)C1 ZINC000589724575 348853503 /nfs/dbraw/zinc/85/35/03/348853503.db2.gz LUEPRIJDBPEYJG-UHFFFAOYSA-N 0 0 285.303 2.558 20 5 CFBDRN C[C@H](C(=O)N1CC(C2CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000589724969 348853705 /nfs/dbraw/zinc/85/37/05/348853705.db2.gz JVQZVFSBYVHKCN-JTQLQIEISA-N 0 0 274.320 2.567 20 5 CFBDRN Cc1cc(NC(=O)N2CC(C3CC3)C2)ccc1[N+](=O)[O-] ZINC000589762068 348855272 /nfs/dbraw/zinc/85/52/72/348855272.db2.gz PMUDKOJGXZOSFU-UHFFFAOYSA-N 0 0 275.308 2.777 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@@H]2C[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000590038627 348870813 /nfs/dbraw/zinc/87/08/13/348870813.db2.gz PLZXVJAKUKKVNQ-RISCZKNCSA-N 0 0 288.347 2.883 20 5 CFBDRN CC(C)[C@H]1CN(c2ccsc2[N+](=O)[O-])CCCO1 ZINC000590153062 348879305 /nfs/dbraw/zinc/87/93/05/348879305.db2.gz PKLOAFNWKPOXFV-LLVKDONJSA-N 0 0 270.354 2.908 20 5 CFBDRN CC(F)(F)CN[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000590260903 348888094 /nfs/dbraw/zinc/88/80/94/348888094.db2.gz WWTSCPPCPIZZHR-NXEZZACHSA-N 0 0 288.298 2.520 20 5 CFBDRN CC(C)c1nnc(CNc2ccc([N+](=O)[O-])s2)[nH]1 ZINC000590709589 348933546 /nfs/dbraw/zinc/93/35/46/348933546.db2.gz WLPMKXGIBXDWLM-UHFFFAOYSA-N 0 0 267.314 2.510 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@H]1C ZINC000590717622 348934944 /nfs/dbraw/zinc/93/49/44/348934944.db2.gz QBOUYAMGWUMUCM-RKDXNWHRSA-N 0 0 256.327 2.518 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]([C@H](CO)C1CC1)C1CC1 ZINC000590719256 348935829 /nfs/dbraw/zinc/93/58/29/348935829.db2.gz MBYYOFVKEBGINF-ZYHUDNBSSA-N 0 0 282.365 2.865 20 5 CFBDRN CC[C@H]1CN(CC)CCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000590723782 348937137 /nfs/dbraw/zinc/93/71/37/348937137.db2.gz PNXXQMRZCGNQJD-JTQLQIEISA-N 0 0 298.774 2.564 20 5 CFBDRN C[C@]12CN(c3cccnc3[N+](=O)[O-])C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000590737394 348939459 /nfs/dbraw/zinc/93/94/59/348939459.db2.gz ORHLXQLFWCUNPB-OXJKWZBOSA-N 0 0 273.336 2.862 20 5 CFBDRN COC1([C@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2)CCC1 ZINC000413803817 233129926 /nfs/dbraw/zinc/12/99/26/233129926.db2.gz XQODLSJTEOBNQQ-JTQLQIEISA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@H]2C(F)(F)F)cc1[N+](=O)[O-] ZINC000590380144 348897915 /nfs/dbraw/zinc/89/79/15/348897915.db2.gz YHZMMRZGAVMNIX-JTQLQIEISA-N 0 0 288.225 2.680 20 5 CFBDRN Cc1cccc(C(=O)NC/C=C\c2cccnc2)c1[N+](=O)[O-] ZINC000590396992 348898115 /nfs/dbraw/zinc/89/81/15/348898115.db2.gz KTOBWTSBQKYBMU-DAXSKMNVSA-N 0 0 297.314 2.741 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC(C2CC2)CC1 ZINC000590425005 348899705 /nfs/dbraw/zinc/89/97/05/348899705.db2.gz FIQGJGARMAGELA-UHFFFAOYSA-N 0 0 274.320 2.857 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000590570448 348909780 /nfs/dbraw/zinc/90/97/80/348909780.db2.gz XOIISNBXIDKQIA-MWLCHTKSSA-N 0 0 293.323 2.767 20 5 CFBDRN Nc1ccc(N[C@@H](c2ccccc2)C2CC2)nc1[N+](=O)[O-] ZINC000590570826 348910134 /nfs/dbraw/zinc/91/01/34/348910134.db2.gz DAGATVWWTMHGST-AWEZNQCLSA-N 0 0 284.319 2.557 20 5 CFBDRN CCc1ncn(Cc2cc([N+](=O)[O-])ccc2OC(C)C)n1 ZINC000590586126 348911299 /nfs/dbraw/zinc/91/12/99/348911299.db2.gz YRTNIDRRNIBMRB-UHFFFAOYSA-N 0 0 290.323 2.584 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(COc2ccccc2CO)c(F)c1 ZINC000590586943 348911603 /nfs/dbraw/zinc/91/16/03/348911603.db2.gz BEJXAYGFHICVLC-UHFFFAOYSA-N 0 0 295.241 2.944 20 5 CFBDRN CC1(CNc2ccc(C(F)F)cc2[N+](=O)[O-])COC1 ZINC000590585068 348911617 /nfs/dbraw/zinc/91/16/17/348911617.db2.gz LJVITQDAZBRKCD-UHFFFAOYSA-N 0 0 272.251 2.981 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CC34CCC4)n2)o1 ZINC000590778899 348948138 /nfs/dbraw/zinc/94/81/38/348948138.db2.gz YTSUDSPJUOCNGW-ZETCQYMHSA-N 0 0 261.237 2.895 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H]1C(F)F ZINC000590607648 348914688 /nfs/dbraw/zinc/91/46/88/348914688.db2.gz MNTQRJOOLHRJTG-JTQLQIEISA-N 0 0 285.250 2.775 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC([C@H]2CCOC2)C1 ZINC000590701257 348931157 /nfs/dbraw/zinc/93/11/57/348931157.db2.gz ZNRXLFFNBAPTHM-NSHDSACASA-N 0 0 299.330 2.616 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]2C[C@@H]21 ZINC000591081053 348985801 /nfs/dbraw/zinc/98/58/01/348985801.db2.gz MARANJRNONKHMR-BEAPCOKYSA-N 0 0 286.331 2.709 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSc2ccc3c(c2)CCO3)n1 ZINC000591133700 348991569 /nfs/dbraw/zinc/99/15/69/348991569.db2.gz YVHXULMCSBZHFK-UHFFFAOYSA-N 0 0 291.332 2.519 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000591159141 348994463 /nfs/dbraw/zinc/99/44/63/348994463.db2.gz NTSWGNIZMYVLEV-QWHCGFSZSA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]3COC[C@@H]32)c2ccncc21 ZINC000591183192 348998044 /nfs/dbraw/zinc/99/80/44/348998044.db2.gz KZAXBUIKDOHKBW-BZNIZROVSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CC34CCC4)n2)o1 ZINC000590778898 348948252 /nfs/dbraw/zinc/94/82/52/348948252.db2.gz YTSUDSPJUOCNGW-SSDOTTSWSA-N 0 0 261.237 2.895 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@H](C3CCC3)C2)nc2sccn21 ZINC000591204144 349000098 /nfs/dbraw/zinc/00/00/98/349000098.db2.gz FXMZECBZHSEZGH-JTQLQIEISA-N 0 0 292.364 2.930 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](C2CCC2)C1 ZINC000591204456 349000397 /nfs/dbraw/zinc/00/03/97/349000397.db2.gz UIVTXAUTLUOFEJ-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN COC1([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000413979170 233145673 /nfs/dbraw/zinc/14/56/73/233145673.db2.gz WVLXFSPVHVCDPU-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@H](NCc1ccccc1[N+](=O)[O-])c1cc2n(n1)CCC2 ZINC000414108066 233168721 /nfs/dbraw/zinc/16/87/21/233168721.db2.gz ZORLRWRAKYUNCA-NSHDSACASA-N 0 0 286.335 2.588 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC(OC2CCC2)CC1 ZINC000591368756 349012498 /nfs/dbraw/zinc/01/24/98/349012498.db2.gz QMHBIPGIHXDGLS-UHFFFAOYSA-N 0 0 277.324 2.528 20 5 CFBDRN C[C@@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)[C@@H](C)[C@H]1C ZINC000591369166 349013109 /nfs/dbraw/zinc/01/31/09/349013109.db2.gz XKXXKYUEQAJWRU-UTLUCORTSA-N 0 0 274.324 2.952 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@H](CCO)CC1 ZINC000591465539 349025830 /nfs/dbraw/zinc/02/58/30/349025830.db2.gz GNUTTXCCPOSZPA-JTQLQIEISA-N 0 0 270.354 2.645 20 5 CFBDRN CC(C)Cc1noc(CCc2cccc([N+](=O)[O-])c2)n1 ZINC000129155008 187413843 /nfs/dbraw/zinc/41/38/43/187413843.db2.gz COLAHLIALBMTCX-UHFFFAOYSA-N 0 0 275.308 2.962 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC2(CC(F)C2)C1 ZINC000591529676 349028379 /nfs/dbraw/zinc/02/83/79/349028379.db2.gz CDWAQFBLKNKFEA-UHFFFAOYSA-N 0 0 292.310 2.786 20 5 CFBDRN CCOC(=O)Cc1ccc(NC[C@@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000591897263 349056241 /nfs/dbraw/zinc/05/62/41/349056241.db2.gz YNYSVTWMGJVQFL-PWSUYJOCSA-N 0 0 292.335 2.768 20 5 CFBDRN C[C@@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000129198856 187416073 /nfs/dbraw/zinc/41/60/73/187416073.db2.gz KGQFHFDEMHEYSQ-PELKAZGASA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(/C=C\[C@@H]3CCCO3)n2)c1 ZINC000591651056 349036400 /nfs/dbraw/zinc/03/64/00/349036400.db2.gz LVTXZDSTVRDBGP-DGMVEKRQSA-N 0 0 287.275 2.837 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000591980755 349069120 /nfs/dbraw/zinc/06/91/20/349069120.db2.gz HTUFBTKJKAAFIL-IUCAKERBSA-N 0 0 285.319 2.525 20 5 CFBDRN CC(C)[C@@H]1N(c2c([N+](=O)[O-])ncn2C)CC12CC=CC2 ZINC000591992926 349071844 /nfs/dbraw/zinc/07/18/44/349071844.db2.gz IGKXJIMTRIFBID-NSHDSACASA-N 0 0 276.340 2.509 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2OC(F)F)CO1 ZINC000591994471 349071965 /nfs/dbraw/zinc/07/19/65/349071965.db2.gz OUTOBNVXJKPUPG-JGVFFNPUSA-N 0 0 288.250 2.786 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1CC1CCCC1 ZINC000592349824 349106817 /nfs/dbraw/zinc/10/68/17/349106817.db2.gz FYYFDNIRSAXVSO-UHFFFAOYSA-N 0 0 262.265 2.693 20 5 CFBDRN C[C@H]1CN(c2sccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000591995560 349072670 /nfs/dbraw/zinc/07/26/70/349072670.db2.gz UYOQIUINGUKYLC-VIFPVBQESA-N 0 0 268.338 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCC[C@H]2CCOC2)nc1 ZINC000592348555 349106881 /nfs/dbraw/zinc/10/68/81/349106881.db2.gz OVBVRVOJNOMXAN-JTQLQIEISA-N 0 0 268.338 2.899 20 5 CFBDRN Cc1nc(N2C[C@H](C)OC3(CCCC3)C2)ccc1[N+](=O)[O-] ZINC000591998795 349073262 /nfs/dbraw/zinc/07/32/62/349073262.db2.gz IORKPJJELJMWAG-NSHDSACASA-N 0 0 291.351 2.836 20 5 CFBDRN C[C@H]1SCC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000592120753 349086383 /nfs/dbraw/zinc/08/63/83/349086383.db2.gz AFFGLUCDAGPPND-LDYMZIIASA-N 0 0 281.337 2.610 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CCS[C@H]2C)cc1[N+](=O)[O-] ZINC000592123726 349086654 /nfs/dbraw/zinc/08/66/54/349086654.db2.gz YPKSDVCIUCCZQH-ONGXEEELSA-N 0 0 295.364 2.919 20 5 CFBDRN C[C@H]1SCC[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000592123008 349086674 /nfs/dbraw/zinc/08/66/74/349086674.db2.gz OWYCZHJYIULVMA-KCJUWKMLSA-N 0 0 281.337 2.610 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@@H]1CCCOCC1)CCCC2 ZINC000591928890 349061637 /nfs/dbraw/zinc/06/16/37/349061637.db2.gz XQDGYIGWEYKSAP-GFCCVEGCSA-N 0 0 291.351 2.850 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@H](F)C2)c(F)c1 ZINC000591955797 349064717 /nfs/dbraw/zinc/06/47/17/349064717.db2.gz PFPZQPRDGQKDHH-YUMQZZPRSA-N 0 0 257.240 2.822 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cc1F)CC(F)F ZINC000591961968 349066370 /nfs/dbraw/zinc/06/63/70/349066370.db2.gz UBZXOYZQMKYHOA-ZCFIWIBFSA-N 0 0 263.219 2.832 20 5 CFBDRN Cc1cn(CCc2c(F)cccc2F)nc1[N+](=O)[O-] ZINC000592357442 349108728 /nfs/dbraw/zinc/10/87/28/349108728.db2.gz CYUJISFYJXWCMC-UHFFFAOYSA-N 0 0 267.235 2.621 20 5 CFBDRN Cc1c(NCc2ccccc2[N+](=O)[O-])n[nH]c1C(C)C ZINC000592374450 349111206 /nfs/dbraw/zinc/11/12/06/349111206.db2.gz IEZKKMKGLAZOQI-UHFFFAOYSA-N 0 0 274.324 2.784 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH+]1CCC(C)(C(=O)[O-])CC1 ZINC000592423039 349118796 /nfs/dbraw/zinc/11/87/96/349118796.db2.gz HPKVNEQXNRLCOX-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN C[C@@H](NCc1cc2n(n1)CCC2)c1cccc([N+](=O)[O-])c1 ZINC000593020505 349189215 /nfs/dbraw/zinc/18/92/15/349189215.db2.gz NGQDKZPGWOFAEL-LLVKDONJSA-N 0 0 286.335 2.588 20 5 CFBDRN CC(C)(C)CCNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593026027 349189827 /nfs/dbraw/zinc/18/98/27/349189827.db2.gz DNRLPFNSSJVGSL-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC1CCC(F)CC1 ZINC000592721083 349157344 /nfs/dbraw/zinc/15/73/44/349157344.db2.gz HIHZZRQPVVTAHL-UHFFFAOYSA-N 0 0 295.314 2.556 20 5 CFBDRN C[C@H]1SCC[C@@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000592733430 349158432 /nfs/dbraw/zinc/15/84/32/349158432.db2.gz AREMROCUCBMGIA-MFKMUULPSA-N 0 0 294.376 2.538 20 5 CFBDRN C[C@@H]1SCC[C@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000592733429 349158690 /nfs/dbraw/zinc/15/86/90/349158690.db2.gz AREMROCUCBMGIA-GXFFZTMASA-N 0 0 294.376 2.538 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCS[C@@H]1C ZINC000592735109 349159139 /nfs/dbraw/zinc/15/91/39/349159139.db2.gz KLQJQOPOICKTMG-BXKDBHETSA-N 0 0 280.349 2.527 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)c1[N+](=O)[O-] ZINC000592737393 349160383 /nfs/dbraw/zinc/16/03/83/349160383.db2.gz VJGMTCGUGJKART-GMTAPVOTSA-N 0 0 290.319 2.522 20 5 CFBDRN CCN(CCSC)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000592741649 349162195 /nfs/dbraw/zinc/16/21/95/349162195.db2.gz OBUGJPJPMOPMFN-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CCN(CCSC)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000592746759 349164369 /nfs/dbraw/zinc/16/43/69/349164369.db2.gz UVTSQOPJAJYAPE-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CC1(CC(F)F)CN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000592836474 349170103 /nfs/dbraw/zinc/17/01/03/349170103.db2.gz WVRJKANELQURFC-UHFFFAOYSA-N 0 0 284.262 2.712 20 5 CFBDRN CC[C@H]1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593093574 349208033 /nfs/dbraw/zinc/20/80/33/349208033.db2.gz UTXMVDJFIJAKBU-JTQLQIEISA-N 0 0 280.299 2.535 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593133518 349218053 /nfs/dbraw/zinc/21/80/53/349218053.db2.gz XXZXKSIQJUYPGJ-JTQLQIEISA-N 0 0 294.326 2.971 20 5 CFBDRN CCN(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCOC1 ZINC000593182480 349224714 /nfs/dbraw/zinc/22/47/14/349224714.db2.gz PWZHOWVINYVWKQ-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000593252208 349235738 /nfs/dbraw/zinc/23/57/38/349235738.db2.gz OUJZJYOOTMYNET-UONOGXRCSA-N 0 0 299.330 2.528 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000593258910 349237128 /nfs/dbraw/zinc/23/71/28/349237128.db2.gz VFVOVXSJEQXYOV-NEPJUHHUSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1Cc1ccccc1[N+](=O)[O-] ZINC000593057161 349196039 /nfs/dbraw/zinc/19/60/39/349196039.db2.gz CLGREBUNLDHIIN-KGLIPLIRSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)[C@H](CC)CO1 ZINC000593057257 349197158 /nfs/dbraw/zinc/19/71/58/349197158.db2.gz DURSYOOLSLXPHN-UKRRQHHQSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@H]1CN(Cc2csc([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593061425 349198739 /nfs/dbraw/zinc/19/87/39/349198739.db2.gz APVNZYCTUOUVOD-ONGXEEELSA-N 0 0 270.354 2.656 20 5 CFBDRN C[C@H](NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000593063396 349199332 /nfs/dbraw/zinc/19/93/32/349199332.db2.gz MNWUNYQAZGELIQ-JTQLQIEISA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000593570564 349293606 /nfs/dbraw/zinc/29/36/06/349293606.db2.gz YRQUHKLNYGUXSP-GHMZBOCLSA-N 0 0 294.326 2.781 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC1(C2CCC2)CC1 ZINC000593305478 349244296 /nfs/dbraw/zinc/24/42/96/349244296.db2.gz JHIFWGRVCYVSQT-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)NC1CC2(CCC2)C1 ZINC000593314685 349245773 /nfs/dbraw/zinc/24/57/73/349245773.db2.gz FIEWTEWVRSDWOU-UHFFFAOYSA-N 0 0 289.335 2.727 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@]2(CC2(F)F)C1 ZINC000593357728 349252416 /nfs/dbraw/zinc/25/24/16/349252416.db2.gz FJUWDYIIJOVEDL-CYBMUJFWSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@]2(CC2(F)F)C1 ZINC000593359456 349252421 /nfs/dbraw/zinc/25/24/21/349252421.db2.gz PAWNYGWWMYRUJU-ZDUSSCGKSA-N 0 0 296.273 2.775 20 5 CFBDRN CCC1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593413673 349263393 /nfs/dbraw/zinc/26/33/93/349263393.db2.gz ULRWGCIWGRKHEL-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN CC1(C)CN(c2ccnc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000593454825 349273926 /nfs/dbraw/zinc/27/39/26/349273926.db2.gz MQSRTYXCLLONJS-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN COC1CCN(c2ccnc3cc([N+](=O)[O-])ccc32)CC1 ZINC000593456334 349274730 /nfs/dbraw/zinc/27/47/30/349274730.db2.gz BQXPHLYAHBVJLV-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@H](C)CCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461405 349275305 /nfs/dbraw/zinc/27/53/05/349275305.db2.gz JXKNWCJNBCNCRG-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN C[C@@](O)(CNc1ccnc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000593463408 349275943 /nfs/dbraw/zinc/27/59/43/349275943.db2.gz UDFBNUVJAWXXBT-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)(O)CCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593472493 349277047 /nfs/dbraw/zinc/27/70/47/349277047.db2.gz ZRKQGJLGMUOHGT-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN CCOC[C@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593470394 349277455 /nfs/dbraw/zinc/27/74/55/349277455.db2.gz JZRNPJONPQFHKQ-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H](CO)CC(F)F ZINC000593472776 349277505 /nfs/dbraw/zinc/27/75/05/349277505.db2.gz NMLZPJSXOYIFPY-MRVPVSSYSA-N 0 0 297.261 2.571 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593476064 349278131 /nfs/dbraw/zinc/27/81/31/349278131.db2.gz QIAVTYXWTKKLIY-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN CC[C@@H](Nc1ccnc2cc([N+](=O)[O-])ccc21)[C@H](C)O ZINC000593477539 349278722 /nfs/dbraw/zinc/27/87/22/349278722.db2.gz BXKSIDIGRGAIJX-JOYOIKCWSA-N 0 0 275.308 2.714 20 5 CFBDRN Nc1c(F)c(N2CCCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000593486769 349281901 /nfs/dbraw/zinc/28/19/01/349281901.db2.gz CKRHNTYWNCIDHL-UHFFFAOYSA-N 0 0 275.230 2.552 20 5 CFBDRN COc1cccc(N2CCC[C@@H](OC(C)C)C2)c1[N+](=O)[O-] ZINC000593487847 349282275 /nfs/dbraw/zinc/28/22/75/349282275.db2.gz XPTDHRZYRQUAEN-GFCCVEGCSA-N 0 0 294.351 2.997 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000593488120 349282474 /nfs/dbraw/zinc/28/24/74/349282474.db2.gz FILHWYBYUVDSJX-CMPLNLGQSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1ccnc(N2CCC3(CC3(F)F)CC2)c1[N+](=O)[O-] ZINC000593498965 349285050 /nfs/dbraw/zinc/28/50/50/349285050.db2.gz WESROZUNJAKACT-UHFFFAOYSA-N 0 0 283.278 2.924 20 5 CFBDRN Cc1cc(N[C@H](C)COCC2CC2)ncc1[N+](=O)[O-] ZINC000593507100 349286473 /nfs/dbraw/zinc/28/64/73/349286473.db2.gz CJZPEEOFBAFRGY-SNVBAGLBSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@@H](COCC1CC1)Nc1ccc([N+](=O)[O-])s1 ZINC000593509739 349287126 /nfs/dbraw/zinc/28/71/26/349287126.db2.gz ZFYVXWMYZSMJJN-QMMMGPOBSA-N 0 0 256.327 2.883 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593665412 349306760 /nfs/dbraw/zinc/30/67/60/349306760.db2.gz CFGSNMIXKOTTSI-GXTWGEPZSA-N 0 0 278.352 2.903 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2C)[C@@H](C)CO1 ZINC000593665586 349306985 /nfs/dbraw/zinc/30/69/85/349306985.db2.gz CIDUEMVIZHUWOV-WFASDCNBSA-N 0 0 278.352 2.903 20 5 CFBDRN CC[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593734378 349316222 /nfs/dbraw/zinc/31/62/22/349316222.db2.gz GUPTWKGHSHNIDT-LLVKDONJSA-N 0 0 292.335 2.915 20 5 CFBDRN CC(C)[C@H]1CCC[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593732538 349316274 /nfs/dbraw/zinc/31/62/74/349316274.db2.gz MYCGTUYOJCFINS-CYBMUJFWSA-N 0 0 292.335 2.913 20 5 CFBDRN C[C@@H]1C[C@H](C)[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593738087 349316887 /nfs/dbraw/zinc/31/68/87/349316887.db2.gz MNJLAIZHKZQVAF-ZJUUUORDSA-N 0 0 278.308 2.523 20 5 CFBDRN C[C@@H]1CC[C@H](C)[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593739283 349318018 /nfs/dbraw/zinc/31/80/18/349318018.db2.gz XUOAVFICKPMGFO-MNOVXSKESA-N 0 0 292.335 2.913 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1C[C@H]1C1CCC1 ZINC000593745416 349319793 /nfs/dbraw/zinc/31/97/93/349319793.db2.gz RBMBVEGKTCTWNI-QWHCGFSZSA-N 0 0 292.310 2.581 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC12CCCC2 ZINC000593759427 349321965 /nfs/dbraw/zinc/32/19/65/349321965.db2.gz KTTBUOFOXTZPLY-UHFFFAOYSA-N 0 0 274.320 2.682 20 5 CFBDRN CC[C@H](NC(=O)c1csc([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000594172236 349389889 /nfs/dbraw/zinc/38/98/89/349389889.db2.gz GXGOLWFCMQDXOM-WDEREUQCSA-N 0 0 298.364 2.734 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCCCO1 ZINC000594178425 349390733 /nfs/dbraw/zinc/39/07/33/349390733.db2.gz BFMWGIQOJXJBOW-KBPBESRZSA-N 0 0 292.335 2.672 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)Cc2ccccn2)cc1[N+](=O)[O-] ZINC000594145793 349386334 /nfs/dbraw/zinc/38/63/34/349386334.db2.gz ZMRSGHRZIRBAEC-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000596896552 350003134 /nfs/dbraw/zinc/00/31/34/350003134.db2.gz MGKDYGSHIJPFPP-CYBMUJFWSA-N 0 0 276.336 2.690 20 5 CFBDRN CCC(CC)[C@@H](CCNc1c([N+](=O)[O-])ncn1C)OC ZINC000446883980 535101682 /nfs/dbraw/zinc/10/16/82/535101682.db2.gz NEPJBTSZSQWFHB-LLVKDONJSA-N 0 0 284.360 2.582 20 5 CFBDRN C[C@@H](O)[C@H](NCc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000597249659 350072570 /nfs/dbraw/zinc/07/25/70/350072570.db2.gz XKOKYXVTAJARMP-WBMJQRKESA-N 0 0 286.331 2.807 20 5 CFBDRN C[C@@H](O)[C@@H](NCc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000597249658 350072807 /nfs/dbraw/zinc/07/28/07/350072807.db2.gz XKOKYXVTAJARMP-MLGOLLRUSA-N 0 0 286.331 2.807 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCCO[C@@H](CF)C1 ZINC000414473607 233262559 /nfs/dbraw/zinc/26/25/59/233262559.db2.gz BELQRSWOBFHLMG-XSHSDMCLSA-N 0 0 294.326 2.668 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000597491180 350104200 /nfs/dbraw/zinc/10/42/00/350104200.db2.gz BQDKNVIZZXWLEI-MYJAWHEDSA-N 0 0 276.292 2.588 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)CCCF)cc1[N+](=O)[O-] ZINC000597350570 350088129 /nfs/dbraw/zinc/08/81/29/350088129.db2.gz RLCPPIJTZCVMHL-SNVBAGLBSA-N 0 0 268.288 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOC2CCCCC2)cn1 ZINC000104448832 186005760 /nfs/dbraw/zinc/00/57/60/186005760.db2.gz BGYPSQIVBJQJFI-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@H]1C(F)(F)F ZINC000597664034 350121731 /nfs/dbraw/zinc/12/17/31/350121731.db2.gz ACZZKKKQOVOIMB-VIFPVBQESA-N 0 0 292.188 2.511 20 5 CFBDRN Cc1ncsc1CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000104465168 186007986 /nfs/dbraw/zinc/00/79/86/186007986.db2.gz RCXZLTREDGWOAI-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000597668809 350122102 /nfs/dbraw/zinc/12/21/02/350122102.db2.gz ONEBYUXXDXUKHN-NEPJUHHUSA-N 0 0 292.335 2.965 20 5 CFBDRN CCC[C@H](O)CC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000597669098 350122925 /nfs/dbraw/zinc/12/29/25/350122925.db2.gz QARRDHFGOPVBQP-NSHDSACASA-N 0 0 280.324 2.701 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC[C@H]1C ZINC000104621405 186010673 /nfs/dbraw/zinc/01/06/73/186010673.db2.gz CBIOMLNZGDAZPG-RNCFNFMXSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC[C@@H]1C ZINC000104621397 186011216 /nfs/dbraw/zinc/01/12/16/186011216.db2.gz CBIOMLNZGDAZPG-ZANVPECISA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000597853497 350142221 /nfs/dbraw/zinc/14/22/21/350142221.db2.gz BOHIIGUSVSHUNT-JTQLQIEISA-N 0 0 282.315 2.923 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1csc([N+](=O)[O-])c1 ZINC000597855609 350143917 /nfs/dbraw/zinc/14/39/17/350143917.db2.gz KXYAEEKRZCUYIZ-MRVPVSSYSA-N 0 0 256.327 2.917 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CO1 ZINC000597860305 350144919 /nfs/dbraw/zinc/14/49/19/350144919.db2.gz BZXOCKCTDLALTQ-JTQLQIEISA-N 0 0 298.726 2.546 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000597952645 350161996 /nfs/dbraw/zinc/16/19/96/350161996.db2.gz VFYMZQKBBBWVEG-VIFPVBQESA-N 0 0 296.371 2.996 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000271286739 191052252 /nfs/dbraw/zinc/05/22/52/191052252.db2.gz DHQJMZMLEAZNQL-ZJUUUORDSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000104775558 186022346 /nfs/dbraw/zinc/02/23/46/186022346.db2.gz WNCFFPFCYYZDQK-SKDRFNHKSA-N 0 0 263.297 2.905 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000597976378 350169154 /nfs/dbraw/zinc/16/91/54/350169154.db2.gz ADJPDOYNHCYDFR-SECBINFHSA-N 0 0 282.344 2.607 20 5 CFBDRN Cc1c(CNc2cncc(Cl)n2)cccc1[N+](=O)[O-] ZINC000235822528 539769742 /nfs/dbraw/zinc/76/97/42/539769742.db2.gz ZJAGCFZIQFQVGF-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1nsc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000271303438 191062313 /nfs/dbraw/zinc/06/23/13/191062313.db2.gz KSTMFYASQWSFQE-ZETCQYMHSA-N 0 0 264.310 2.928 20 5 CFBDRN COc1cc(NC[C@@H]2CCO[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000271312911 191067600 /nfs/dbraw/zinc/06/76/00/191067600.db2.gz IZERSNQZNINJJG-VHSXEESVSA-N 0 0 298.314 2.970 20 5 CFBDRN C[C@H]1c2ccccc2C[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000598099246 350199437 /nfs/dbraw/zinc/19/94/37/350199437.db2.gz AFFLQVQHRZOUHT-JOYOIKCWSA-N 0 0 286.287 2.646 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@H](C)CC2)c(N)c([N+](=O)[O-])c1 ZINC000104871657 186029299 /nfs/dbraw/zinc/02/92/99/186029299.db2.gz JCEUHGLRHOGPET-SNVBAGLBSA-N 0 0 291.351 2.748 20 5 CFBDRN C[C@]1(CCNC(=O)c2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000598215948 350216876 /nfs/dbraw/zinc/21/68/76/350216876.db2.gz OSJSQRFEGRSLDZ-LBPRGKRZSA-N 0 0 284.262 2.760 20 5 CFBDRN COc1cc(C(=O)NCCCC2CCC2)ccc1[N+](=O)[O-] ZINC000598215424 350216881 /nfs/dbraw/zinc/21/68/81/350216881.db2.gz KYEWYTBPPJBBSB-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN C[C@@]1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1(F)F ZINC000598217844 350217386 /nfs/dbraw/zinc/21/73/86/350217386.db2.gz WVBAFJKGRUKSSB-NSHDSACASA-N 0 0 288.225 2.509 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@]1(C)CC1(F)F ZINC000598217677 350217847 /nfs/dbraw/zinc/21/78/47/350217847.db2.gz VZEXMRIFEHFZJQ-CYBMUJFWSA-N 0 0 298.289 2.987 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@H](CCF)C1 ZINC000598221747 350219473 /nfs/dbraw/zinc/21/94/73/350219473.db2.gz UKMAHTBPVMGIRR-LLVKDONJSA-N 0 0 280.299 2.807 20 5 CFBDRN CC[C@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598234691 350222411 /nfs/dbraw/zinc/22/24/11/350222411.db2.gz WXYKMUHXNGISJC-ZDUSSCGKSA-N 0 0 294.376 2.992 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000091575850 180197270 /nfs/dbraw/zinc/19/72/70/180197270.db2.gz HIAAZKHFDXLZKW-ZJUUUORDSA-N 0 0 266.345 2.881 20 5 CFBDRN CC(C)(C)OCCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000598190456 350211241 /nfs/dbraw/zinc/21/12/41/350211241.db2.gz IHFJTZXOTCICGL-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCCOC(C)(C)C)c1 ZINC000598191347 350211777 /nfs/dbraw/zinc/21/17/77/350211777.db2.gz PCKSRPRKOBYJDZ-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN CC(C)(F)CCNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000598198736 350212648 /nfs/dbraw/zinc/21/26/48/350212648.db2.gz LHHFFRCSNUNBSF-UHFFFAOYSA-N 0 0 286.278 2.531 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H]2CCC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000598211497 350215116 /nfs/dbraw/zinc/21/51/16/350215116.db2.gz FRUKTLOTZAAFND-VXGBXAGGSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000271340136 191083591 /nfs/dbraw/zinc/08/35/91/191083591.db2.gz FXKKURDRULDQFF-SKDRFNHKSA-N 0 0 278.308 2.777 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC[C@H]2C[C@H]2C1 ZINC000598326936 350234528 /nfs/dbraw/zinc/23/45/28/350234528.db2.gz FERQCDKEOOJULB-QWRGUYRKSA-N 0 0 292.360 2.555 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@@H]2C[C@@H]2C1 ZINC000598329267 350236307 /nfs/dbraw/zinc/23/63/07/350236307.db2.gz KDCJVELEPFBCBK-NXEZZACHSA-N 0 0 285.303 2.558 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC[C@@H]2C[C@@H]2C1 ZINC000598333520 350238145 /nfs/dbraw/zinc/23/81/45/350238145.db2.gz WWFHOWZFJFCEIV-PSASIEDQSA-N 0 0 280.711 2.730 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@@H]2C[C@@H]2C1 ZINC000598333999 350238317 /nfs/dbraw/zinc/23/83/17/350238317.db2.gz XMNDZWFFIATUFY-NXEZZACHSA-N 0 0 285.303 2.558 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000598333306 350238334 /nfs/dbraw/zinc/23/83/34/350238334.db2.gz VNKGETJQMBSRCO-UWVGGRQHSA-N 0 0 292.360 2.799 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1C(C)(C)C1(F)F ZINC000598339706 350240048 /nfs/dbraw/zinc/24/00/48/350240048.db2.gz CUQDRIQWTGXRMU-JTQLQIEISA-N 0 0 298.289 2.924 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2C(C)(C)C2(F)F)c1[N+](=O)[O-] ZINC000598340358 350241061 /nfs/dbraw/zinc/24/10/61/350241061.db2.gz JPMKXBRMYLYIDQ-SNVBAGLBSA-N 0 0 298.289 2.924 20 5 CFBDRN CC[C@@](C)(NC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000598251148 350224420 /nfs/dbraw/zinc/22/44/20/350224420.db2.gz PMBDUOZXBJJBOI-GFCCVEGCSA-N 0 0 268.338 2.965 20 5 CFBDRN CC[C@](C)(NC(=O)c1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000598248761 350224634 /nfs/dbraw/zinc/22/46/34/350224634.db2.gz FABHJBKJLVNUDU-HNNXBMFYSA-N 0 0 292.335 2.912 20 5 CFBDRN CNc1ccc(C(=O)NC2(CC(C)C)CC2)cc1[N+](=O)[O-] ZINC000598401775 350257165 /nfs/dbraw/zinc/25/71/65/350257165.db2.gz BNWNAWMAUHFDIA-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN CC(C)[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271341385 191084306 /nfs/dbraw/zinc/08/43/06/191084306.db2.gz HNGFVWVYEHYWGK-GXTWGEPZSA-N 0 0 292.335 2.528 20 5 CFBDRN CC(C)CC1(NC(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000598404366 350257796 /nfs/dbraw/zinc/25/77/96/350257796.db2.gz XSBSOKCMRMLSKO-UHFFFAOYSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1c(C(=O)NCC2=CCCC2)cccc1[N+](=O)[O-] ZINC000598378792 350252136 /nfs/dbraw/zinc/25/21/36/350252136.db2.gz KVOHATZRZCVXQR-UHFFFAOYSA-N 0 0 260.293 2.743 20 5 CFBDRN Cc1c(CC(=O)N[C@H](C(C)C)C2CC2)cccc1[N+](=O)[O-] ZINC000598380285 350252989 /nfs/dbraw/zinc/25/29/89/350252989.db2.gz HGEOOQXNXDZZHM-MRXNPFEDSA-N 0 0 290.363 2.997 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCCc1ccco1 ZINC000598438816 350269084 /nfs/dbraw/zinc/26/90/84/350269084.db2.gz CXLHIJYKFDPIAV-UHFFFAOYSA-N 0 0 288.303 2.859 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc([N+](=O)[O-])c1N ZINC000598444977 350270298 /nfs/dbraw/zinc/27/02/98/350270298.db2.gz NXYRUVCAGRCGPV-FGWVZKOKSA-N 0 0 289.335 2.580 20 5 CFBDRN Cc1sc(C(=O)NC[C@H]2CCOC2(C)C)cc1[N+](=O)[O-] ZINC000598452737 350270952 /nfs/dbraw/zinc/27/09/52/350270952.db2.gz BIYBQNDSIWZNLH-SECBINFHSA-N 0 0 298.364 2.510 20 5 CFBDRN O=C(c1c[nH]nc1[N+](=O)[O-])N1CCCC[C@@H]1CC1CCC1 ZINC000598458909 350271347 /nfs/dbraw/zinc/27/13/47/350271347.db2.gz BDESDLKIJYJLOK-LLVKDONJSA-N 0 0 292.339 2.503 20 5 CFBDRN CC1(C)CC(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000598505592 350277711 /nfs/dbraw/zinc/27/77/11/350277711.db2.gz UMKIOCYFCWXJLD-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC1CC(C)(C)C1 ZINC000598503896 350277885 /nfs/dbraw/zinc/27/78/85/350277885.db2.gz BGXZFDKUGZHNPH-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN COc1c(C(=O)NC2CC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000598503929 350277971 /nfs/dbraw/zinc/27/79/71/350277971.db2.gz DCPMZXNOVZXLQT-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1c(CC(=O)NC2CC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000598505051 350277977 /nfs/dbraw/zinc/27/79/77/350277977.db2.gz QECYBYFUVKHJMN-UHFFFAOYSA-N 0 0 276.336 2.751 20 5 CFBDRN COC(=O)c1ccnc(N[C@H](C(C)C)C2CC2)c1[N+](=O)[O-] ZINC000598632862 350297485 /nfs/dbraw/zinc/29/74/85/350297485.db2.gz CAWPZLBCWNCFDJ-LLVKDONJSA-N 0 0 293.323 2.623 20 5 CFBDRN Cc1ccc([C@H](C)NCc2nccnc2C)cc1[N+](=O)[O-] ZINC000598634566 350298292 /nfs/dbraw/zinc/29/82/92/350298292.db2.gz NUDCTOYLWJNULX-NSHDSACASA-N 0 0 286.335 2.852 20 5 CFBDRN CC[C@H](NCc1nccnc1C)c1cccc([N+](=O)[O-])c1 ZINC000598636846 350298320 /nfs/dbraw/zinc/29/83/20/350298320.db2.gz WZRVUQOUXZHRQA-AWEZNQCLSA-N 0 0 286.335 2.934 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H]2CC2(F)F)c(F)c1 ZINC000598637202 350298822 /nfs/dbraw/zinc/29/88/22/350298822.db2.gz KOOPZNRGCYZZEK-LURJTMIESA-N 0 0 261.203 2.586 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@@H]2CC2(F)F)nc2sccn21 ZINC000598639555 350298955 /nfs/dbraw/zinc/29/89/55/350298955.db2.gz TZIYFWLPLGGQIQ-ZCFIWIBFSA-N 0 0 288.279 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC(CC4CC4)C3)c2c1 ZINC000598643936 350300340 /nfs/dbraw/zinc/30/03/40/350300340.db2.gz XSVSFQRSTAXNHY-UHFFFAOYSA-N 0 0 284.319 2.774 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC(CC2CC2)C1 ZINC000598644818 350300512 /nfs/dbraw/zinc/30/05/12/350300512.db2.gz ROYKWFMGSHZCLG-UHFFFAOYSA-N 0 0 250.273 2.970 20 5 CFBDRN CC1(C)CC[C@@H](CO)[N@H+](Cc2ccccc2[N+](=O)[O-])C1 ZINC000598647082 350301187 /nfs/dbraw/zinc/30/11/87/350301187.db2.gz KGTKCDDKDLLPKS-ZDUSSCGKSA-N 0 0 278.352 2.578 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CC[C@@H](C)[C@H](CO)C1 ZINC000598647565 350301371 /nfs/dbraw/zinc/30/13/71/350301371.db2.gz OTZGBJSBBGDBHC-XBFCOCLRSA-N 0 0 292.379 2.915 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1SC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000598650530 350303365 /nfs/dbraw/zinc/30/33/65/350303365.db2.gz ZRUFQCPHCICQMG-KXUCPTDWSA-N 0 0 267.354 2.857 20 5 CFBDRN Cc1c(C(=O)NCC2CC(C)C2)cccc1[N+](=O)[O-] ZINC000598777841 350327853 /nfs/dbraw/zinc/32/78/53/350327853.db2.gz CRNQRERIZBCTRQ-UHFFFAOYSA-N 0 0 262.309 2.679 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000598587340 350286334 /nfs/dbraw/zinc/28/63/34/350286334.db2.gz QLFBBQKRGXQRGA-NXEZZACHSA-N 0 0 293.323 2.767 20 5 CFBDRN COC(=O)c1ccnc(NCC2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000598617317 350294492 /nfs/dbraw/zinc/29/44/92/350294492.db2.gz IHJFRHBWNGURGQ-UHFFFAOYSA-N 0 0 293.323 2.625 20 5 CFBDRN CO[C@H](C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C1CCC1 ZINC000598828438 350335710 /nfs/dbraw/zinc/33/57/10/350335710.db2.gz NDLKAAMWLUKSTD-ZDUSSCGKSA-N 0 0 278.308 2.657 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@]23C[C@@H]2CCC3)cc1[N+](=O)[O-] ZINC000598858369 350341786 /nfs/dbraw/zinc/34/17/86/350341786.db2.gz VISTUBZFUISGCJ-NHYWBVRUSA-N 0 0 289.335 2.699 20 5 CFBDRN COCC1(NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCCC1 ZINC000598858003 350341974 /nfs/dbraw/zinc/34/19/74/350341974.db2.gz UKBJGOSMXBOPQE-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN O=C([C@@H]1CC1(F)F)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598880248 350343778 /nfs/dbraw/zinc/34/37/78/350343778.db2.gz IBKWHYWGSXJMGF-NSHDSACASA-N 0 0 296.273 2.919 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598884974 350345078 /nfs/dbraw/zinc/34/50/78/350345078.db2.gz QEYZILHXJQNOTN-MFKMUULPSA-N 0 0 274.320 2.920 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCc3cccnc32)cn1 ZINC000598944878 350347420 /nfs/dbraw/zinc/34/74/20/350347420.db2.gz BPLRZCMIWMEWRA-LLVKDONJSA-N 0 0 270.292 2.527 20 5 CFBDRN Cc1cnc(NC[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000271361575 191096932 /nfs/dbraw/zinc/09/69/32/191096932.db2.gz OTCPNAQQSZPOMC-MNOVXSKESA-N 0 0 265.313 2.525 20 5 CFBDRN CCO[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000598953853 350348184 /nfs/dbraw/zinc/34/81/84/350348184.db2.gz FZZDGUBVTMJNCL-GFCCVEGCSA-N 0 0 266.297 2.594 20 5 CFBDRN Cc1ccc(-c2cnn3c2OCCC3)cc1[N+](=O)[O-] ZINC000598946009 350348214 /nfs/dbraw/zinc/34/82/14/350348214.db2.gz NUJHOKLUJOYCHO-UHFFFAOYSA-N 0 0 259.265 2.549 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C12CC(C1)C2 ZINC000598962643 350349666 /nfs/dbraw/zinc/34/96/66/350349666.db2.gz VGNXZAZTERHPBP-UHFFFAOYSA-N 0 0 260.293 2.950 20 5 CFBDRN C[C@@H](CO)CCCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599059289 350368413 /nfs/dbraw/zinc/36/84/13/350368413.db2.gz MHJSDYIZCWMSTR-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN COC[C@@H](C)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599028238 350361428 /nfs/dbraw/zinc/36/14/28/350361428.db2.gz RYRYTNNZAYRLIO-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN CCOC(=O)CCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599032193 350361889 /nfs/dbraw/zinc/36/18/89/350361889.db2.gz IIOGICHCFUTRAA-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN CCO[C@@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C(C)C ZINC000599043477 350363805 /nfs/dbraw/zinc/36/38/05/350363805.db2.gz SDLROMYMGPCSDE-CQSZACIVSA-N 0 0 294.351 2.614 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599046402 350364444 /nfs/dbraw/zinc/36/44/44/350364444.db2.gz ITYDPMYOJVSALM-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@]1(CNc2ccc3cnccc3c2[N+](=O)[O-])CCOC1 ZINC000599043799 350364547 /nfs/dbraw/zinc/36/45/47/350364547.db2.gz LXIQWAMNZSUCAO-OAHLLOKOSA-N 0 0 287.319 2.982 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC(CC2CC2)C1 ZINC000598781439 350329120 /nfs/dbraw/zinc/32/91/20/350329120.db2.gz NRSCXXGNYXMLDF-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@@H]1CC1(F)F ZINC000598782705 350329755 /nfs/dbraw/zinc/32/97/55/350329755.db2.gz OHHIKKIREGQGQM-SECBINFHSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1cccc(CNc2cc(C)c([N+](=O)[O-])cn2)n1 ZINC000092027115 180308342 /nfs/dbraw/zinc/30/83/42/180308342.db2.gz FGVHAZDTHIIPHQ-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@H](CC(=O)N(C)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCCO1 ZINC000598804114 350331141 /nfs/dbraw/zinc/33/11/41/350331141.db2.gz SHGRIZQEBSWCTL-RISCZKNCSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@H]1CN(c2ccc3cnccc3c2[N+](=O)[O-])CCC1=O ZINC000599070696 350369626 /nfs/dbraw/zinc/36/96/26/350369626.db2.gz NTBGMKHDNRFUKR-JTQLQIEISA-N 0 0 285.303 2.558 20 5 CFBDRN C[C@@H](Nc1cnn(CCF)c1)c1cccc([N+](=O)[O-])c1 ZINC000599069492 350369822 /nfs/dbraw/zinc/36/98/22/350369822.db2.gz GOIOJQMFKBFMPR-SNVBAGLBSA-N 0 0 278.287 2.934 20 5 CFBDRN CN(c1ccc2cnccc2c1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000599081873 350372363 /nfs/dbraw/zinc/37/23/63/350372363.db2.gz OAJJPTGNLDZEEB-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN CCO[C@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000599101923 350376130 /nfs/dbraw/zinc/37/61/30/350376130.db2.gz KSVQPMXPTDMRSR-FZMZJTMJSA-N 0 0 294.351 2.833 20 5 CFBDRN CCC[C@H](OCC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000599101938 350376774 /nfs/dbraw/zinc/37/67/74/350376774.db2.gz LBBTYBCUXKDVOY-RISCZKNCSA-N 0 0 294.351 2.977 20 5 CFBDRN CCC[C@@H](CN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1)OCC ZINC000599117603 350378709 /nfs/dbraw/zinc/37/87/09/350378709.db2.gz DUSXDLPFZIVVSW-NSHDSACASA-N 0 0 292.339 2.510 20 5 CFBDRN CCC[C@H](CNc1c([N+](=O)[O-])nc(C)n1CC)OCC ZINC000599119971 350378968 /nfs/dbraw/zinc/37/89/68/350378968.db2.gz XDXDKUGBRQDYOQ-LLVKDONJSA-N 0 0 284.360 2.737 20 5 CFBDRN Cc1nc(S[C@H]2COC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000599125053 350380438 /nfs/dbraw/zinc/38/04/38/350380438.db2.gz GHJNXCJNTJKKQJ-SECBINFHSA-N 0 0 268.338 2.958 20 5 CFBDRN Cc1ccc(-c2noc(COCC(F)F)n2)cc1[N+](=O)[O-] ZINC000599123899 350380597 /nfs/dbraw/zinc/38/05/97/350380597.db2.gz PKDNVRNFORNYPK-UHFFFAOYSA-N 0 0 299.233 2.735 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC2(C1)CCCO2 ZINC000599144860 350384193 /nfs/dbraw/zinc/38/41/93/350384193.db2.gz RTJDCZJUNZUEGT-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@]2(C)OCC)c1[N+](=O)[O-] ZINC000599147296 350384717 /nfs/dbraw/zinc/38/47/17/350384717.db2.gz JRSWPKXYYSBELX-OCCSQVGLSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cc(N2CCC(F)(F)C[C@@H]2C)ncc1[N+](=O)[O-] ZINC000599162840 350386692 /nfs/dbraw/zinc/38/66/92/350386692.db2.gz RLGHITKLJUVVTK-VIFPVBQESA-N 0 0 271.267 2.922 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000599163984 350387536 /nfs/dbraw/zinc/38/75/36/350387536.db2.gz KJOCLLPOUSAUQK-FBSDJGSXSA-N 0 0 285.731 2.867 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1c1ncccc1[N+](=O)[O-] ZINC000599163858 350387557 /nfs/dbraw/zinc/38/75/57/350387557.db2.gz YXRMQJICNKJKJN-MRVPVSSYSA-N 0 0 257.240 2.614 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000599165540 350388076 /nfs/dbraw/zinc/38/80/76/350388076.db2.gz UARJPKTXAFBLTN-LOKDSWTASA-N 0 0 268.288 2.958 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@H]2c2ccsc2)c1[N+](=O)[O-] ZINC000599166102 350388105 /nfs/dbraw/zinc/38/81/05/350388105.db2.gz VLCHWJVJUNAVIG-VHSXEESVSA-N 0 0 278.337 2.666 20 5 CFBDRN Cc1cc(-c2noc(COCC(F)F)n2)cc([N+](=O)[O-])c1 ZINC000599165703 350388129 /nfs/dbraw/zinc/38/81/29/350388129.db2.gz QRKGGNWDUMZGQG-UHFFFAOYSA-N 0 0 299.233 2.735 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@H]1C[C@@H](O)C1 ZINC000599174850 350389367 /nfs/dbraw/zinc/38/93/67/350389367.db2.gz ICKQOUGHHSNFGD-WAAGHKOSSA-N 0 0 270.716 2.739 20 5 CFBDRN C[C@H]1CC[C@H](c2noc(Cc3cccc([N+](=O)[O-])c3)n2)O1 ZINC000599177142 350389940 /nfs/dbraw/zinc/38/99/40/350389940.db2.gz KLSMJBSUXLGFPS-JOYOIKCWSA-N 0 0 289.291 2.809 20 5 CFBDRN COc1cc(N[C@H]2CO[C@H](C3CC3)C2)c([N+](=O)[O-])cc1F ZINC000599180021 350390323 /nfs/dbraw/zinc/39/03/23/350390323.db2.gz IJSBBGQEAZFEBM-RNCFNFMXSA-N 0 0 296.298 2.722 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@H](C3CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000599181952 350391414 /nfs/dbraw/zinc/39/14/14/350391414.db2.gz VKIRFWASKZWZPR-ZANVPECISA-N 0 0 296.298 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@H]1CO[C@H](C3CC3)C1)CCN2 ZINC000599182389 350391719 /nfs/dbraw/zinc/39/17/19/350391719.db2.gz XWHRJXFLTHDBOR-YGRLFVJLSA-N 0 0 289.335 2.542 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000599189635 350392631 /nfs/dbraw/zinc/39/26/31/350392631.db2.gz JSURECWTFBIVQP-KYZUINATSA-N 0 0 256.689 2.838 20 5 CFBDRN CO[C@H]1C[C@H](Nc2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000599192759 350393374 /nfs/dbraw/zinc/39/33/74/350393374.db2.gz HBJMADQVCYWTGH-KYZUINATSA-N 0 0 254.261 2.632 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000599192257 350393503 /nfs/dbraw/zinc/39/35/03/350393503.db2.gz FKSMNNYJCBIJFK-HOMQSWHASA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(Cc3cccs3)C2)cn1 ZINC000599194820 350394348 /nfs/dbraw/zinc/39/43/48/350394348.db2.gz HDYYOESNXQEHQN-UHFFFAOYSA-N 0 0 275.333 2.730 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000599200709 350395115 /nfs/dbraw/zinc/39/51/15/350395115.db2.gz WSNVDLXOVASGAU-KXMPLOMGSA-N 0 0 277.324 2.878 20 5 CFBDRN CN(CCNc1c(Cl)cccc1[N+](=O)[O-])CC(F)F ZINC000599201556 350395978 /nfs/dbraw/zinc/39/59/78/350395978.db2.gz DXLIRFBSSWOGQI-UHFFFAOYSA-N 0 0 293.701 2.857 20 5 CFBDRN NC(=O)c1ccc(NC[C@H]2CCCC23CC3)c([N+](=O)[O-])c1 ZINC000599207636 350396444 /nfs/dbraw/zinc/39/64/44/350396444.db2.gz XZDQVTGHEUITKB-LLVKDONJSA-N 0 0 289.335 2.686 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCc2nc[nH]c2C1 ZINC000599429713 350435663 /nfs/dbraw/zinc/43/56/63/350435663.db2.gz IWLJJCNDHFISJB-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN CCCc1noc(COc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000106095341 186101010 /nfs/dbraw/zinc/10/10/10/186101010.db2.gz DAQYDQGSLGLOMH-UHFFFAOYSA-N 0 0 281.243 2.648 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1)c1nccs1 ZINC000106250904 186104590 /nfs/dbraw/zinc/10/45/90/186104590.db2.gz UTZLYMSJZKKZBH-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1Cl)CC1(C)COC1 ZINC000599571701 350458498 /nfs/dbraw/zinc/45/84/98/350458498.db2.gz RBJPESLPTIUTFC-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@H]1CCCC12CC2 ZINC000599597530 350462350 /nfs/dbraw/zinc/46/23/50/350462350.db2.gz HMPFVSJYJNWDLB-CYBMUJFWSA-N 0 0 288.347 2.834 20 5 CFBDRN Cc1ncn(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)c1C ZINC000106440473 186117734 /nfs/dbraw/zinc/11/77/34/186117734.db2.gz JEALKZUMDBBWOT-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=C(NCC[C@@H]1CCCOC1)Nc1cccc([N+](=O)[O-])c1 ZINC000599696216 350484886 /nfs/dbraw/zinc/48/48/86/350484886.db2.gz PMHJVNGJFZOLIX-NSHDSACASA-N 0 0 293.323 2.533 20 5 CFBDRN Cc1ccc(NC(=O)NCC2CC=CC2)cc1[N+](=O)[O-] ZINC000599695597 350485248 /nfs/dbraw/zinc/48/52/48/350485248.db2.gz NHZYGGHXLJYDLB-UHFFFAOYSA-N 0 0 275.308 2.991 20 5 CFBDRN Cc1cnccc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000107285595 186166110 /nfs/dbraw/zinc/16/61/10/186166110.db2.gz YMIWPDDUIDYMPZ-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN CCC[C@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)OCC ZINC000600173847 350530333 /nfs/dbraw/zinc/53/03/33/350530333.db2.gz HFTXXPINCWELLI-CQSZACIVSA-N 0 0 294.351 2.838 20 5 CFBDRN CCC[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)OCC ZINC000600174278 350530908 /nfs/dbraw/zinc/53/09/08/350530908.db2.gz KNIHBMPROSEFEE-CYBMUJFWSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)C[C@@H](C)C1(F)F ZINC000600188076 350534799 /nfs/dbraw/zinc/53/47/99/350534799.db2.gz JCKCNNMRDQYUSP-HTQZYQBOSA-N 0 0 288.250 2.551 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@@H](C)C1(F)F ZINC000600188686 350535856 /nfs/dbraw/zinc/53/58/56/350535856.db2.gz NMGAJZMDUABVIZ-NXEZZACHSA-N 0 0 298.289 2.958 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@H]1CCCOC1 ZINC000107674711 186184909 /nfs/dbraw/zinc/18/49/09/186184909.db2.gz UGFRDWCKEQQRPS-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000600219029 350542962 /nfs/dbraw/zinc/54/29/62/350542962.db2.gz KGEOGYKGVQDMCW-MCIONIFRSA-N 0 0 288.347 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC1CC=CC1 ZINC000600228968 350543472 /nfs/dbraw/zinc/54/34/72/350543472.db2.gz IIIWNTSOVPJJCM-UHFFFAOYSA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N(C1CC1)C1CC1 ZINC000600357963 350549799 /nfs/dbraw/zinc/54/97/99/350549799.db2.gz BSDMYOHNZIMDSE-UHFFFAOYSA-N 0 0 285.303 2.843 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCc1cccnc1C ZINC000600392106 350552922 /nfs/dbraw/zinc/55/29/22/350552922.db2.gz FVKFVRBDUNSHAO-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000600447685 350556330 /nfs/dbraw/zinc/55/63/30/350556330.db2.gz NTODMKOOCKTZLJ-JTQLQIEISA-N 0 0 298.289 2.784 20 5 CFBDRN COc1ccc(OCCCc2cnoc2)c([N+](=O)[O-])c1 ZINC000600510351 350561032 /nfs/dbraw/zinc/56/10/32/350561032.db2.gz KTEBTNPAJVOKOK-UHFFFAOYSA-N 0 0 278.264 2.603 20 5 CFBDRN O=[N+]([O-])c1cn(C2CC(Oc3ccccc3)C2)cn1 ZINC000600514326 350561700 /nfs/dbraw/zinc/56/17/00/350561700.db2.gz JRBIISLOJCREGV-UHFFFAOYSA-N 0 0 259.265 2.574 20 5 CFBDRN CC(C)SCn1c(=O)onc1-c1ccc([N+](=O)[O-])cc1 ZINC000600523701 350563192 /nfs/dbraw/zinc/56/31/92/350563192.db2.gz TXNDCBVFGOULBQ-UHFFFAOYSA-N 0 0 295.320 2.511 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1C[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC000600525864 350564536 /nfs/dbraw/zinc/56/45/36/350564536.db2.gz FPKGZWZETMZDAV-HBNTYKKESA-N 0 0 286.287 2.715 20 5 CFBDRN Cc1cc(=O)n(CC2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000600530881 350565533 /nfs/dbraw/zinc/56/55/33/350565533.db2.gz SUIRXIFDBXFFQX-UHFFFAOYSA-N 0 0 250.298 2.501 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCCc2cnoc2)n1 ZINC000600534640 350566309 /nfs/dbraw/zinc/56/63/09/350566309.db2.gz OCDXXTVJJRNPMO-UHFFFAOYSA-N 0 0 277.280 2.606 20 5 CFBDRN Cc1cc(OCCOC2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000600533479 350566326 /nfs/dbraw/zinc/56/63/26/350566326.db2.gz LQNUTZHVDLHIKJ-UHFFFAOYSA-N 0 0 299.298 2.617 20 5 CFBDRN CCO[C@H](COc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000600537476 350567968 /nfs/dbraw/zinc/56/79/68/350567968.db2.gz NMCJMIKAOOAIIT-CYBMUJFWSA-N 0 0 269.272 2.928 20 5 CFBDRN CCn1nc(C)cc1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000600604070 350579088 /nfs/dbraw/zinc/57/90/88/350579088.db2.gz CCAXXPWOEIRMIR-UHFFFAOYSA-N 0 0 294.336 2.742 20 5 CFBDRN CC1(C)CC(CSCCn2cc([N+](=O)[O-])cn2)C1 ZINC000600634773 350587494 /nfs/dbraw/zinc/58/74/94/350587494.db2.gz GURMNHPUKXLCFG-UHFFFAOYSA-N 0 0 269.370 2.961 20 5 CFBDRN C[C@H]1C[C@H]1CSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000600649869 350592690 /nfs/dbraw/zinc/59/26/90/350592690.db2.gz ZZQVZEHNOIFGKV-KWQFWETISA-N 0 0 280.349 2.583 20 5 CFBDRN CCO[C@@H](COc1ccc(OC)c([N+](=O)[O-])c1)C1CC1 ZINC000600673168 350594088 /nfs/dbraw/zinc/59/40/88/350594088.db2.gz DCNNZKVRXMVIBH-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1cn(-c2cccc([N+](=O)[O-])c2)c(=O)n1C[C@@H]1C[C@H]1C ZINC000600673734 350594596 /nfs/dbraw/zinc/59/45/96/350594596.db2.gz IBVWOCIKLHUUOW-PWSUYJOCSA-N 0 0 287.319 2.512 20 5 CFBDRN C[C@H]1C[C@H]1Cn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000600674656 350594863 /nfs/dbraw/zinc/59/48/63/350594863.db2.gz HDEGJYZWYBHPOZ-UWVGGRQHSA-N 0 0 258.277 2.566 20 5 CFBDRN C[C@@H]1C[C@@H]1Cn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000600676539 350595899 /nfs/dbraw/zinc/59/58/99/350595899.db2.gz WWNFBAOZGFGWBD-MWLCHTKSSA-N 0 0 258.277 2.566 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1CCCOC1 ZINC000109548030 186262349 /nfs/dbraw/zinc/26/23/49/186262349.db2.gz MBMSRUPCAVHGPY-SECBINFHSA-N 0 0 256.689 2.839 20 5 CFBDRN COc1cc(-c2nc(CC(C)C)no2)ccc1[N+](=O)[O-] ZINC000109561126 186262891 /nfs/dbraw/zinc/26/28/91/186262891.db2.gz LUUPTYDGOICSJG-UHFFFAOYSA-N 0 0 277.280 2.852 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000416075512 233535552 /nfs/dbraw/zinc/53/55/52/233535552.db2.gz FPEDDHCDDFBAED-GRYCIOLGSA-N 0 0 276.336 2.640 20 5 CFBDRN O=C(NCCc1ccco1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000109740222 186270420 /nfs/dbraw/zinc/27/04/20/186270420.db2.gz GGDFZSZXHIQXER-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN O=C(CC1CC1)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000109210615 186245734 /nfs/dbraw/zinc/24/57/34/186245734.db2.gz FQHGKRBHFWOXPY-UHFFFAOYSA-N 0 0 286.291 2.519 20 5 CFBDRN CC[C@@H](C)n1cc(-c2cc([N+](=O)[O-])ccc2CO)cn1 ZINC000600784276 350611635 /nfs/dbraw/zinc/61/16/35/350611635.db2.gz ZTLCTCLAKCVNAZ-SNVBAGLBSA-N 0 0 275.308 2.922 20 5 CFBDRN O=C(NC[C@@H]1CCCC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000600803163 350615057 /nfs/dbraw/zinc/61/50/57/350615057.db2.gz RLHSLSKTLZVMOP-LBPRGKRZSA-N 0 0 274.320 2.905 20 5 CFBDRN CCCCn1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000600967060 350638532 /nfs/dbraw/zinc/63/85/32/350638532.db2.gz CIMIVHYXNWMQJB-UHFFFAOYSA-N 0 0 276.296 2.565 20 5 CFBDRN CC(C)c1nccc(Oc2cccnc2[N+](=O)[O-])n1 ZINC000110112895 186286515 /nfs/dbraw/zinc/28/65/15/186286515.db2.gz VYZHKKNMNYLGTO-UHFFFAOYSA-N 0 0 260.253 2.696 20 5 CFBDRN O=C(NCc1ccccn1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110157431 186286876 /nfs/dbraw/zinc/28/68/76/186286876.db2.gz YNPPSECZIGEIQM-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CCOC(C)(C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000601003419 350644164 /nfs/dbraw/zinc/64/41/64/350644164.db2.gz KNVSXJOFJNGFEJ-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)NCc1ccc([N+](=O)[O-])cc1 ZINC000110234697 186288896 /nfs/dbraw/zinc/28/88/96/186288896.db2.gz BAIHGZOPENFVGI-CQSZACIVSA-N 0 0 292.335 2.560 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601009327 350644783 /nfs/dbraw/zinc/64/47/83/350644783.db2.gz NCRUKGNTILNSLQ-ZDUSSCGKSA-N 0 0 288.347 2.931 20 5 CFBDRN Cn1nc2c(c1/C=C/c1ccc([N+](=O)[O-])cn1)CCCC2 ZINC000601045366 350649852 /nfs/dbraw/zinc/64/98/52/350649852.db2.gz WNRKZYSKUJFWDW-VQHVLOKHSA-N 0 0 284.319 2.773 20 5 CFBDRN O=C(NC[C@H]1CCc2ccccc21)c1ccc([N+](=O)[O-])o1 ZINC000110377359 186294165 /nfs/dbraw/zinc/29/41/65/186294165.db2.gz CRJZYUOGEZWTAB-LLVKDONJSA-N 0 0 286.287 2.648 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nnc3n2CCCCCC3)cc1 ZINC000601043632 350650056 /nfs/dbraw/zinc/65/00/56/350650056.db2.gz GBVKDFZMDRLLEE-UHFFFAOYSA-N 0 0 272.308 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3C[C@H]4CC[C@@H](C3)O4)c2c1 ZINC000601124762 350675106 /nfs/dbraw/zinc/67/51/06/350675106.db2.gz JOCFCXVVRFSPPV-TXEJJXNPSA-N 0 0 285.303 2.511 20 5 CFBDRN Cc1nccn1CCCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000601119143 350672878 /nfs/dbraw/zinc/67/28/78/350672878.db2.gz OCZKCWVSNZSLBW-UHFFFAOYSA-N 0 0 293.302 2.526 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1cncc(N(C)C)c1 ZINC000601064787 350656855 /nfs/dbraw/zinc/65/68/55/350656855.db2.gz RBLAOKMJCOGHNS-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN Cc1cc(OC[C@H]2CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000601072820 350658583 /nfs/dbraw/zinc/65/85/83/350658583.db2.gz UTFMVCPHQDYSHU-GHMZBOCLSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2nc(C3CCC3)no2)c1 ZINC000601081043 350662212 /nfs/dbraw/zinc/66/22/12/350662212.db2.gz SFBDQMSAYZDSCO-UHFFFAOYSA-N 0 0 293.254 2.963 20 5 CFBDRN C[C@@H]1OCC[C@@H]1CSc1ccc([N+](=O)[O-])cn1 ZINC000601081074 350662271 /nfs/dbraw/zinc/66/22/71/350662271.db2.gz SYJQWXAEOPITCL-DTWKUNHWSA-N 0 0 254.311 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NCCC(F)(F)F)c2c1 ZINC000601124187 350674780 /nfs/dbraw/zinc/67/47/80/350674780.db2.gz AWRSJLSFHLTSHS-UHFFFAOYSA-N 0 0 285.225 2.929 20 5 CFBDRN COc1cc(COc2cc(OC)ccc2[N+](=O)[O-])sn1 ZINC000601086155 350664237 /nfs/dbraw/zinc/66/42/37/350664237.db2.gz NVJGWZSVVBBIPP-UHFFFAOYSA-N 0 0 296.304 2.648 20 5 CFBDRN CC(C)CCNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601099156 350667949 /nfs/dbraw/zinc/66/79/49/350667949.db2.gz WBMMUYCSHFXWHT-UHFFFAOYSA-N 0 0 280.250 2.805 20 5 CFBDRN O=[N+]([O-])c1sccc1Nc1cnn(C[C@@H]2CCCO2)c1 ZINC000601105327 350669185 /nfs/dbraw/zinc/66/91/85/350669185.db2.gz DNMRXCWNDUQNDF-JTQLQIEISA-N 0 0 294.336 2.775 20 5 CFBDRN CC(C)OC1CCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601112756 350671510 /nfs/dbraw/zinc/67/15/10/350671510.db2.gz CYRILGKWUZIXDW-UHFFFAOYSA-N 0 0 283.303 2.523 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@]12C[C@H]1CCCC2 ZINC000601224736 350702614 /nfs/dbraw/zinc/70/26/14/350702614.db2.gz AIIHDMMUGUGKLF-ZWNOBZJWSA-N 0 0 264.329 2.864 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC[C@H]1C(F)(F)F ZINC000601226165 350702800 /nfs/dbraw/zinc/70/28/00/350702800.db2.gz QXFIVMRFXPVNOR-VIFPVBQESA-N 0 0 289.213 2.763 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCO[C@@H](C(F)F)CC1 ZINC000601230985 350704431 /nfs/dbraw/zinc/70/44/31/350704431.db2.gz GYRVDNAJPXGBOI-LLVKDONJSA-N 0 0 290.241 2.594 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@@H](O)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000601237974 350706997 /nfs/dbraw/zinc/70/69/97/350706997.db2.gz PVAJAYDUKGYEOR-QUBYGPBYSA-N 0 0 296.220 2.766 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]1C(F)(F)F ZINC000601246931 350708454 /nfs/dbraw/zinc/70/84/54/350708454.db2.gz UBEBILHSROFLTC-RKDXNWHRSA-N 0 0 289.213 2.667 20 5 CFBDRN C[C@@H](CC1CCC1)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000601246909 350708601 /nfs/dbraw/zinc/70/86/01/350708601.db2.gz UUBWRHAFOHYETE-VIFPVBQESA-N 0 0 277.324 2.684 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CCC2)[C@H]2CCCO2)cn1 ZINC000601251145 350709741 /nfs/dbraw/zinc/70/97/41/350709741.db2.gz SBSLEVTWPNRPHZ-TZMCWYRMSA-N 0 0 277.324 2.749 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@H](C2CCC2)CC1 ZINC000601251885 350709755 /nfs/dbraw/zinc/70/97/55/350709755.db2.gz LUHXUPMMJFRIKH-GFCCVEGCSA-N 0 0 278.356 2.735 20 5 CFBDRN O=C(NCC(C1CC1)C1CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601257903 350710966 /nfs/dbraw/zinc/71/09/66/350710966.db2.gz YDLXNIQJHUMKNC-UHFFFAOYSA-N 0 0 292.310 2.900 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1OC2CCC1CC2 ZINC000601258438 350711067 /nfs/dbraw/zinc/71/10/67/350711067.db2.gz GNFKEBPISWZWSD-UHFFFAOYSA-N 0 0 295.270 2.824 20 5 CFBDRN C[C@@]1(C2CCCCC2)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000601269619 350713370 /nfs/dbraw/zinc/71/33/70/350713370.db2.gz JGNNWIHIENJPPP-AWEZNQCLSA-N 0 0 276.340 2.934 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3OC4CCC3CC4)c2c1 ZINC000601273097 350714750 /nfs/dbraw/zinc/71/47/50/350714750.db2.gz PSKSEGBKIYLDNH-UHFFFAOYSA-N 0 0 286.291 2.601 20 5 CFBDRN CC[C@@H]1CCN(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000601127236 350675917 /nfs/dbraw/zinc/67/59/17/350675917.db2.gz CMHBPQWWDZUOTH-SSDOTTSWSA-N 0 0 292.261 2.583 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC1(CCO)CCCCC1 ZINC000601127460 350676149 /nfs/dbraw/zinc/67/61/49/350676149.db2.gz GWAZLQKUJNDQSE-UHFFFAOYSA-N 0 0 297.330 2.874 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCC[C@@H]1CCCC[C@H]1O ZINC000601130663 350677471 /nfs/dbraw/zinc/67/74/71/350677471.db2.gz APJDYVUHDODVIM-GXFFZTMASA-N 0 0 297.330 2.872 20 5 CFBDRN CC(C)[C@@H](O)C(C)(C)CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601134641 350678127 /nfs/dbraw/zinc/67/81/27/350678127.db2.gz IXJHJJXYGBCDTK-LLVKDONJSA-N 0 0 285.319 2.584 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC2(C1)CCCC2 ZINC000601147882 350682195 /nfs/dbraw/zinc/68/21/95/350682195.db2.gz ZEIBYNAYLJMFPQ-UHFFFAOYSA-N 0 0 251.261 2.509 20 5 CFBDRN CC1(C)CCN(c2ncc(F)cc2[N+](=O)[O-])CCS1 ZINC000601155812 350683778 /nfs/dbraw/zinc/68/37/78/350683778.db2.gz GUJQUYUBXQFHQB-UHFFFAOYSA-N 0 0 285.344 2.851 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601163122 350685983 /nfs/dbraw/zinc/68/59/83/350685983.db2.gz DNZJVHWTQLQEON-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)C[C@H](C)O1 ZINC000111022041 186345273 /nfs/dbraw/zinc/34/52/73/186345273.db2.gz JVURBRKQKNEEIF-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1ncc2c(n1)CCC2 ZINC000601172838 350688653 /nfs/dbraw/zinc/68/86/53/350688653.db2.gz IKIYEFIXOPFSBC-UHFFFAOYSA-N 0 0 276.321 2.547 20 5 CFBDRN CN(Cc1noc(C2CC2)n1)c1ccsc1[N+](=O)[O-] ZINC000601176529 350689590 /nfs/dbraw/zinc/68/95/90/350689590.db2.gz VZIFUIQUKOLMPB-UHFFFAOYSA-N 0 0 280.309 2.553 20 5 CFBDRN CO[C@H](CNc1ncc(F)cc1[N+](=O)[O-])CC(C)C ZINC000601175851 350689655 /nfs/dbraw/zinc/68/96/55/350689655.db2.gz QXDPEHWCNLILKO-JTQLQIEISA-N 0 0 271.292 2.602 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nc(C(F)F)no2)c1 ZINC000601178434 350690267 /nfs/dbraw/zinc/69/02/67/350690267.db2.gz PXHFQAQIQFNERB-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN CCc1csc([C@H](C)Nc2ccncc2[N+](=O)[O-])n1 ZINC000601181293 350690837 /nfs/dbraw/zinc/69/08/37/350690837.db2.gz ITDVWJSARUHGRI-QMMMGPOBSA-N 0 0 278.337 2.604 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC1CC2(CCC2)C1 ZINC000601183773 350690924 /nfs/dbraw/zinc/69/09/24/350690924.db2.gz DLJUNHAMFPOSHD-UHFFFAOYSA-N 0 0 251.261 2.874 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H](C1CC1)C1CCOCC1 ZINC000601185544 350691734 /nfs/dbraw/zinc/69/17/34/350691734.db2.gz XDXLKOSDYQOWER-ZDUSSCGKSA-N 0 0 295.314 2.746 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]2C(F)(F)F)c(Cl)c1 ZINC000601189412 350692108 /nfs/dbraw/zinc/69/21/08/350692108.db2.gz RFUWTCBKOURBIP-ZETCQYMHSA-N 0 0 281.621 2.784 20 5 CFBDRN CC1(C)C[C@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)CO1 ZINC000601191816 350692940 /nfs/dbraw/zinc/69/29/40/350692940.db2.gz QQISTLKDFKNKBZ-JTQLQIEISA-N 0 0 287.319 2.544 20 5 CFBDRN CCSC1(CNc2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601196588 350694198 /nfs/dbraw/zinc/69/41/98/350694198.db2.gz OVVMKGNRKHKTED-UHFFFAOYSA-N 0 0 271.317 2.827 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601201626 350695405 /nfs/dbraw/zinc/69/54/05/350695405.db2.gz ROXFWVARVNPAFE-SNVBAGLBSA-N 0 0 298.314 2.669 20 5 CFBDRN CSC1CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000601207883 350697517 /nfs/dbraw/zinc/69/75/17/350697517.db2.gz RWANROYTSNCVCI-UHFFFAOYSA-N 0 0 287.772 2.975 20 5 CFBDRN CCC[C@@H](CCO)Nc1c(OC)cccc1[N+](=O)[O-] ZINC000601212182 350698789 /nfs/dbraw/zinc/69/87/89/350698789.db2.gz ISUNNWYYXCJMRS-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(CCF)CC1 ZINC000601218502 350700735 /nfs/dbraw/zinc/70/07/35/350700735.db2.gz WOZXZHDYVAYLNO-UHFFFAOYSA-N 0 0 284.335 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCC[C@@H](O)C(F)F)c2c1 ZINC000601222107 350701390 /nfs/dbraw/zinc/70/13/90/350701390.db2.gz HEPUMCQMXOFPML-LLVKDONJSA-N 0 0 297.261 2.571 20 5 CFBDRN CCC(CC)(CNC(=O)c1c(F)cccc1[N+](=O)[O-])OC ZINC000601602090 350746012 /nfs/dbraw/zinc/74/60/12/350746012.db2.gz IKVQYSFIGWNQNC-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@H]1C(F)F ZINC000601604936 350746124 /nfs/dbraw/zinc/74/61/24/350746124.db2.gz FXWYTOBDEIRHGX-NSHDSACASA-N 0 0 295.245 2.556 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC[C@@H]1C(F)F ZINC000601605684 350746553 /nfs/dbraw/zinc/74/65/53/350746553.db2.gz HAXRAPFVDDNFJT-SECBINFHSA-N 0 0 290.653 2.728 20 5 CFBDRN CC(=O)CN(C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000601605824 350746723 /nfs/dbraw/zinc/74/67/23/350746723.db2.gz IJBOTYVTFCFBKN-LBPRGKRZSA-N 0 0 292.335 2.524 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601634461 350751628 /nfs/dbraw/zinc/75/16/28/350751628.db2.gz ZUGDFKZHSACAAA-RISCZKNCSA-N 0 0 280.299 2.898 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@H]1C ZINC000601642653 350752365 /nfs/dbraw/zinc/75/23/65/350752365.db2.gz ATGFMKADUAGQLS-BBBLOLIVSA-N 0 0 280.299 2.850 20 5 CFBDRN O=C(NCC1CCC(F)CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601649217 350752634 /nfs/dbraw/zinc/75/26/34/350752634.db2.gz KUBIPMKCJIRJFX-UHFFFAOYSA-N 0 0 298.289 2.992 20 5 CFBDRN C[C@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])CC(F)F ZINC000601657712 350754328 /nfs/dbraw/zinc/75/43/28/350754328.db2.gz OVQATNMUOXKCIN-ZETCQYMHSA-N 0 0 290.241 2.755 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601675158 350757292 /nfs/dbraw/zinc/75/72/92/350757292.db2.gz KFXQWJSCSONCBZ-VIFPVBQESA-N 0 0 280.299 2.852 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]2C[C@H]21)c1c(F)cccc1[N+](=O)[O-] ZINC000601672332 350757420 /nfs/dbraw/zinc/75/74/20/350757420.db2.gz QAMIKMHILARVNP-GARJFASQSA-N 0 0 292.310 2.900 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCCC23CC3)cc1[N+](=O)[O-] ZINC000601705048 350764975 /nfs/dbraw/zinc/76/49/75/350764975.db2.gz RJSDTKDGLCNKMS-ZDUSSCGKSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CCCC23CC3)cccc1[N+](=O)[O-] ZINC000601703981 350765006 /nfs/dbraw/zinc/76/50/06/350765006.db2.gz IKIUAGKPOMFYHN-CQSZACIVSA-N 0 0 288.347 2.895 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601410721 350730885 /nfs/dbraw/zinc/73/08/85/350730885.db2.gz QRPZXHAQXHXCIR-SNVBAGLBSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@@H](NC(=O)CC1CSC1)c1ccccc1[N+](=O)[O-] ZINC000601410223 350730986 /nfs/dbraw/zinc/73/09/86/350730986.db2.gz BZPNDAHYZPWANE-SECBINFHSA-N 0 0 280.349 2.525 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])CCS1 ZINC000601529704 350736469 /nfs/dbraw/zinc/73/64/69/350736469.db2.gz ZZQZXVORYZULCQ-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601543815 350738160 /nfs/dbraw/zinc/73/81/60/350738160.db2.gz CKSRYNGFVOXVKL-VIFPVBQESA-N 0 0 278.283 2.772 20 5 CFBDRN C[C@@H](NC(=O)NCC(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000601563995 350740158 /nfs/dbraw/zinc/74/01/58/350740158.db2.gz ZBVGRCUHTKLNAH-SECBINFHSA-N 0 0 283.303 2.703 20 5 CFBDRN Cc1ncc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000601774188 350774689 /nfs/dbraw/zinc/77/46/89/350774689.db2.gz PQPZHKMRGPTPQP-LURJTMIESA-N 0 0 297.361 2.912 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000601870968 350795281 /nfs/dbraw/zinc/79/52/81/350795281.db2.gz QTUIZHRVWAEHRR-MRVPVSSYSA-N 0 0 268.338 2.965 20 5 CFBDRN COc1cccc(C(=O)N[C@@H](C)CC2CCC2)c1[N+](=O)[O-] ZINC000601871794 350796284 /nfs/dbraw/zinc/79/62/84/350796284.db2.gz VBKGMLNVTRFGIJ-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1ccncc1C ZINC000601910814 350799840 /nfs/dbraw/zinc/79/98/40/350799840.db2.gz ASJPQNZPDHZNCM-UHFFFAOYSA-N 0 0 278.337 2.595 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSC[C@@H]1CCO[C@H](C)C1 ZINC000601917361 350801269 /nfs/dbraw/zinc/80/12/69/350801269.db2.gz IAUDAUDLRRSBHH-ZYHUDNBSSA-N 0 0 299.396 2.648 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCC1CCC1 ZINC000601916716 350801635 /nfs/dbraw/zinc/80/16/35/350801635.db2.gz ILVCGGQDQQGUEQ-UHFFFAOYSA-N 0 0 255.343 2.633 20 5 CFBDRN CCCc1cc(=O)n(-c2ccc([N+](=O)[O-])cc2C)[nH]1 ZINC000601942003 350811845 /nfs/dbraw/zinc/81/18/45/350811845.db2.gz GHMTWBDJDCYSTO-UHFFFAOYSA-N 0 0 261.281 2.796 20 5 CFBDRN CC[C@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2C)N=C1C ZINC000601943229 350811926 /nfs/dbraw/zinc/81/19/26/350811926.db2.gz PZRRPBDOOBNZIP-LLVKDONJSA-N 0 0 261.281 2.652 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000602070725 350837680 /nfs/dbraw/zinc/83/76/80/350837680.db2.gz IIKFSJOQYUDYOQ-GWCFXTLKSA-N 0 0 294.351 2.569 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C1CCC=CCC1 ZINC000602082133 350838479 /nfs/dbraw/zinc/83/84/79/350838479.db2.gz ACZZEFBTDNUFSQ-UHFFFAOYSA-N 0 0 288.347 3.000 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)C[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000602218181 350853238 /nfs/dbraw/zinc/85/32/38/350853238.db2.gz NIMBHGKQGUSDIU-VIFPVBQESA-N 0 0 296.371 2.635 20 5 CFBDRN CCC[C@H](OCC)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000602226672 350854411 /nfs/dbraw/zinc/85/44/11/350854411.db2.gz CJPQEHLFEKWUIU-ZDUSSCGKSA-N 0 0 296.323 2.747 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000602239850 350854759 /nfs/dbraw/zinc/85/47/59/350854759.db2.gz ZIAHHDORCKGWLI-VIFPVBQESA-N 0 0 268.288 2.850 20 5 CFBDRN CCO[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000602232376 350854775 /nfs/dbraw/zinc/85/47/75/350854775.db2.gz SBSAIAIRPDXZQS-ZDUSSCGKSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1nc(NC(=O)C2CCC=CCC2)ccc1[N+](=O)[O-] ZINC000602289163 350859903 /nfs/dbraw/zinc/85/99/03/350859903.db2.gz RTPWZZDRZUHNOO-UHFFFAOYSA-N 0 0 275.308 2.983 20 5 CFBDRN Cc1cc(NC(=O)C23CC(C2)C3)c2cc([N+](=O)[O-])ccc2n1 ZINC000602314807 350862518 /nfs/dbraw/zinc/86/25/18/350862518.db2.gz WNCFKISHSVQPMR-UHFFFAOYSA-N 0 0 297.314 2.612 20 5 CFBDRN C[C@H]1CCSCCN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000602317967 350863000 /nfs/dbraw/zinc/86/30/00/350863000.db2.gz ZKJFLUVRXIXSKZ-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN CCCN(C(=O)c1conc1C)c1cccc([N+](=O)[O-])c1 ZINC000602324444 350863769 /nfs/dbraw/zinc/86/37/69/350863769.db2.gz GOBVNMXAACOXSF-UHFFFAOYSA-N 0 0 289.291 2.948 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)OCC ZINC000602330283 350864557 /nfs/dbraw/zinc/86/45/57/350864557.db2.gz SCCCDLGXRUMKIA-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2C)C[C@H](C)O1 ZINC000111273151 186386041 /nfs/dbraw/zinc/38/60/41/186386041.db2.gz PJGZETOIVFOVJW-SMDDNHRTSA-N 0 0 278.352 2.903 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2cnn(CC3CC3)c2)cc1 ZINC000602578949 350931729 /nfs/dbraw/zinc/93/17/29/350931729.db2.gz XBRNISRWRKOMOQ-UHFFFAOYSA-N 0 0 286.335 2.856 20 5 CFBDRN C[C@H]1CN(c2ncnc3cccc([N+](=O)[O-])c32)C[C@H]1C ZINC000408193152 290915749 /nfs/dbraw/zinc/91/57/49/290915749.db2.gz BJNBVAMGBIWRHW-AOOOYVTPSA-N 0 0 272.308 2.630 20 5 CFBDRN CC(C)c1coc(NC(=O)Cc2ccccc2[N+](=O)[O-])n1 ZINC000602399385 350874346 /nfs/dbraw/zinc/87/43/46/350874346.db2.gz UJHIBSTVJVDXCV-UHFFFAOYSA-N 0 0 289.291 2.887 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)CC(F)(F)F ZINC000112347212 186489079 /nfs/dbraw/zinc/48/90/79/186489079.db2.gz CLWXXHJNYNKOLZ-UHFFFAOYSA-N 0 0 278.230 2.598 20 5 CFBDRN CSC1CCN(Cc2csc([N+](=O)[O-])c2)CC1 ZINC000602725020 351001583 /nfs/dbraw/zinc/00/15/83/351001583.db2.gz HIILTOVGTUWDLM-UHFFFAOYSA-N 0 0 272.395 2.984 20 5 CFBDRN Cc1cnc(CNCCc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000602604269 350942254 /nfs/dbraw/zinc/94/22/54/350942254.db2.gz PNBCACHGNUZFNS-UHFFFAOYSA-N 0 0 295.726 2.877 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H](C)Cn1cccn1 ZINC000112074499 186470342 /nfs/dbraw/zinc/47/03/42/186470342.db2.gz RGZVCVXWLHEETJ-NSHDSACASA-N 0 0 274.324 2.848 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1c[nH]cc1C1CC1 ZINC000602801052 351030562 /nfs/dbraw/zinc/03/05/62/351030562.db2.gz LBWGKXRSCHQORI-UHFFFAOYSA-N 0 0 299.330 2.773 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2cn(C)nc2[N+](=O)[O-])cc1F ZINC000602803988 351032153 /nfs/dbraw/zinc/03/21/53/351032153.db2.gz FBPGZJGRSFMTPP-SNVBAGLBSA-N 0 0 292.314 2.627 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC=CC1 ZINC000602884409 351058548 /nfs/dbraw/zinc/05/85/48/351058548.db2.gz SEBCPTNOJPGONQ-UHFFFAOYSA-N 0 0 261.281 2.615 20 5 CFBDRN CC1(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)COC1 ZINC000602741833 351010870 /nfs/dbraw/zinc/01/08/70/351010870.db2.gz VQACDDULIJHSRW-UHFFFAOYSA-N 0 0 288.303 2.733 20 5 CFBDRN CC[C@H](C)n1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000603198976 351117582 /nfs/dbraw/zinc/11/75/82/351117582.db2.gz PYOAASGELOBCMG-JTQLQIEISA-N 0 0 276.296 2.736 20 5 CFBDRN CC(C)(C)c1cn(Cc2ccc([N+](=O)[O-])cc2F)nn1 ZINC000603224947 351121245 /nfs/dbraw/zinc/12/12/45/351121245.db2.gz WKYPSHPIRHNKSH-UHFFFAOYSA-N 0 0 278.287 2.671 20 5 CFBDRN Cn1cc(CN2CC(C)(C)[C@H]2c2ccco2)c([N+](=O)[O-])n1 ZINC000602926961 351068074 /nfs/dbraw/zinc/06/80/74/351068074.db2.gz QOXUAQIAJMUQFF-GFCCVEGCSA-N 0 0 290.323 2.504 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602953495 351071847 /nfs/dbraw/zinc/07/18/47/351071847.db2.gz CEZOGTZVFYSJEE-NSHDSACASA-N 0 0 294.355 2.763 20 5 CFBDRN CCN(C(=O)Nc1c(C)cncc1[N+](=O)[O-])[C@H](C)C(C)C ZINC000602956004 351072332 /nfs/dbraw/zinc/07/23/32/351072332.db2.gz HDIZEGBZXUVUBY-LLVKDONJSA-N 0 0 294.355 2.618 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602956885 351072393 /nfs/dbraw/zinc/07/23/93/351072393.db2.gz MKQZFBIZKXQKID-KOLCDFICSA-N 0 0 294.355 2.666 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)N[C@@H](C)CCC(C)C ZINC000602959520 351072603 /nfs/dbraw/zinc/07/26/03/351072603.db2.gz PMEWPDOQWBGLHN-NSHDSACASA-N 0 0 294.355 2.666 20 5 CFBDRN COc1cc(Cn2cc(C(C)(C)C)nn2)ccc1[N+](=O)[O-] ZINC000603243287 351124555 /nfs/dbraw/zinc/12/45/55/351124555.db2.gz JTWZQRFEJPBUPQ-UHFFFAOYSA-N 0 0 290.323 2.541 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H](C)C(F)F ZINC000602988202 351085765 /nfs/dbraw/zinc/08/57/65/351085765.db2.gz BUEIFDDEVZXDNO-MRVPVSSYSA-N 0 0 287.266 2.987 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC=CC1 ZINC000602988782 351085866 /nfs/dbraw/zinc/08/58/66/351085866.db2.gz WYZIKFLELYPQKA-UHFFFAOYSA-N 0 0 261.281 2.615 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1COc2ccccc21 ZINC000113015887 186550374 /nfs/dbraw/zinc/55/03/74/186550374.db2.gz MRRMHAFFIDUINY-ZDUSSCGKSA-N 0 0 284.271 2.710 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(C[C@@H]2CCCC[C@@H]2C)c1=O ZINC000603365494 351147917 /nfs/dbraw/zinc/14/79/17/351147917.db2.gz HUKSUBAVJQGLKG-QWRGUYRKSA-N 0 0 280.324 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc3n(n2)CCCC3)c(F)c1 ZINC000603404538 351157799 /nfs/dbraw/zinc/15/77/99/351157799.db2.gz ZXXKQWIXGYAMPX-UHFFFAOYSA-N 0 0 290.298 2.879 20 5 CFBDRN CC(C)(Nc1c(F)cc([N+](=O)[O-])cc1F)c1ncc[nH]1 ZINC000603407789 351158464 /nfs/dbraw/zinc/15/84/64/351158464.db2.gz WZXZGJSXDRBOKE-UHFFFAOYSA-N 0 0 282.250 2.943 20 5 CFBDRN Cc1ncc(COc2cc(C)c([N+](=O)[O-])cc2F)o1 ZINC000603424442 351161742 /nfs/dbraw/zinc/16/17/42/351161742.db2.gz WBZFFQOEUYXYOT-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1nnn(C)c1C ZINC000603428378 351162531 /nfs/dbraw/zinc/16/25/31/351162531.db2.gz MEHWLRYUXHTOGX-JXMROGBWSA-N 0 0 287.323 2.547 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@@H]1C(F)F ZINC000603429365 351163212 /nfs/dbraw/zinc/16/32/12/351163212.db2.gz OKKMJFNQAOGFCZ-SECBINFHSA-N 0 0 289.213 2.605 20 5 CFBDRN CC(F)(F)CCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000603731073 351180192 /nfs/dbraw/zinc/18/01/92/351180192.db2.gz WVRNYLSKQMCQQD-UHFFFAOYSA-N 0 0 258.224 2.969 20 5 CFBDRN CN(Cc1ccccc1F)c1cccnc1[N+](=O)[O-] ZINC000603837345 351184215 /nfs/dbraw/zinc/18/42/15/351184215.db2.gz KPXVRNHYPKMDCI-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H]1c1cccnc1 ZINC000603842040 351184658 /nfs/dbraw/zinc/18/46/58/351184658.db2.gz BWKQNHVAZRILEJ-GFCCVEGCSA-N 0 0 270.292 2.726 20 5 CFBDRN Cc1ccc(OCCNc2cccnc2[N+](=O)[O-])cc1 ZINC000603838232 351184699 /nfs/dbraw/zinc/18/46/99/351184699.db2.gz MLEZDWJBOAAVHP-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H](C(F)(F)F)C1 ZINC000603837614 351184926 /nfs/dbraw/zinc/18/49/26/351184926.db2.gz WMWHXJDYHWWONM-QMMMGPOBSA-N 0 0 275.230 2.769 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000603959401 351194536 /nfs/dbraw/zinc/19/45/36/351194536.db2.gz FQDZTGGBPWUJMS-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCO[C@H](c2ccccc2)C1 ZINC000603846376 351185458 /nfs/dbraw/zinc/18/54/58/351185458.db2.gz VOKLTWMLMNNEQE-AWEZNQCLSA-N 0 0 285.303 2.568 20 5 CFBDRN CC(=O)Nc1ccc(CNc2cccnc2[N+](=O)[O-])cc1 ZINC000603845678 351185533 /nfs/dbraw/zinc/18/55/33/351185533.db2.gz GCZFOIVXBGUYNF-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCC[C@@H](O)C2)ccc2ncccc21 ZINC000603865185 351188066 /nfs/dbraw/zinc/18/80/66/351188066.db2.gz DDRWQYWNNQZQDM-WDEREUQCSA-N 0 0 287.319 2.858 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1cc2c(s1)CCCC2 ZINC000603867333 351188166 /nfs/dbraw/zinc/18/81/66/351188166.db2.gz MLHZGVGCNCSQJV-UHFFFAOYSA-N 0 0 292.364 2.881 20 5 CFBDRN C[C@@H]1CCN1C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000603264491 351127191 /nfs/dbraw/zinc/12/71/91/351127191.db2.gz CMPYCIAZUYIJLT-LLVKDONJSA-N 0 0 274.320 2.637 20 5 CFBDRN Cc1nn(CCOCCC(C)C)c2ncc([N+](=O)[O-])cc12 ZINC000603323447 351138775 /nfs/dbraw/zinc/13/87/75/351138775.db2.gz BZXODVNVQZUQLC-UHFFFAOYSA-N 0 0 292.339 2.711 20 5 CFBDRN Cc1nn(COC2CCCC2)c2ncc([N+](=O)[O-])cc12 ZINC000603326578 351139640 /nfs/dbraw/zinc/13/96/40/351139640.db2.gz NSHZDSBDBKDHGS-UHFFFAOYSA-N 0 0 276.296 2.565 20 5 CFBDRN Cc1nn(CC[C@@H]2CCCCO2)c2ncc([N+](=O)[O-])cc12 ZINC000603327727 351140132 /nfs/dbraw/zinc/14/01/32/351140132.db2.gz QAEJXFIUNNTGQG-LBPRGKRZSA-N 0 0 290.323 2.607 20 5 CFBDRN Cc1cc(C)nc(SCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000603327432 351140250 /nfs/dbraw/zinc/14/02/50/351140250.db2.gz PBRDOYGXVDXZOU-UHFFFAOYSA-N 0 0 278.337 2.632 20 5 CFBDRN Cc1nn(Cc2ccc(C)nc2C)c2ncc([N+](=O)[O-])cc12 ZINC000603327715 351140357 /nfs/dbraw/zinc/14/03/57/351140357.db2.gz PZFXPDLMOZLWCV-UHFFFAOYSA-N 0 0 297.318 2.708 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]2CCCC[C@H]12 ZINC000604101959 351199973 /nfs/dbraw/zinc/19/99/73/351199973.db2.gz DQTUCSQQNJUKIZ-WZRBSPASSA-N 0 0 291.351 2.964 20 5 CFBDRN C[C@@H](CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CC1 ZINC000604398231 351210821 /nfs/dbraw/zinc/21/08/21/351210821.db2.gz CGGCCMOMDBSOJD-VIFPVBQESA-N 0 0 287.319 2.852 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000604396263 351210989 /nfs/dbraw/zinc/21/09/89/351210989.db2.gz TTYXRZCWBUIECD-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1nc(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)cs1 ZINC000604450658 351213298 /nfs/dbraw/zinc/21/32/98/351213298.db2.gz HOGHAGUGKQKNJD-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C)C1CC1 ZINC000604470197 351213950 /nfs/dbraw/zinc/21/39/50/351213950.db2.gz PXOKSRUWXOTXDD-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN CSc1ccc(C(=O)Nc2cnoc2)cc1[N+](=O)[O-] ZINC000604543034 351217935 /nfs/dbraw/zinc/21/79/35/351217935.db2.gz XEJRAICOYQYSTE-UHFFFAOYSA-N 0 0 279.277 2.557 20 5 CFBDRN CC(F)(F)CNC/C=C/c1ccccc1[N+](=O)[O-] ZINC000565258594 290290380 /nfs/dbraw/zinc/29/03/80/290290380.db2.gz KMJQUKOCWIIEHY-GQCTYLIASA-N 0 0 256.252 2.853 20 5 CFBDRN CC(C)(NC(=O)c1ccccc1[N+](=O)[O-])c1nccs1 ZINC000116138914 186696955 /nfs/dbraw/zinc/69/69/55/186696955.db2.gz QEUNPEHICPUUGL-UHFFFAOYSA-N 0 0 291.332 2.716 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCC1CCOCC1 ZINC000117551712 186752048 /nfs/dbraw/zinc/75/20/48/186752048.db2.gz GWBUVWAHDJALBB-UHFFFAOYSA-N 0 0 254.311 2.509 20 5 CFBDRN CC(C)CSCCCn1cccc([N+](=O)[O-])c1=O ZINC000119230744 186812416 /nfs/dbraw/zinc/81/24/16/186812416.db2.gz OYDXDBCCKTYOIE-UHFFFAOYSA-N 0 0 270.354 2.536 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000118964979 186791052 /nfs/dbraw/zinc/79/10/52/186791052.db2.gz KBALAWTVOBKNPX-ZJUUUORDSA-N 0 0 280.299 2.533 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCCO1 ZINC000291367451 198001777 /nfs/dbraw/zinc/00/17/77/198001777.db2.gz CNQAEUUBUXCKJQ-LBPRGKRZSA-N 0 0 278.308 2.665 20 5 CFBDRN CC[C@H](C)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 ZINC000119466809 186829865 /nfs/dbraw/zinc/82/98/65/186829865.db2.gz LOTUGNOXDMMGMN-VIFPVBQESA-N 0 0 294.307 2.741 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000609443064 351502142 /nfs/dbraw/zinc/50/21/42/351502142.db2.gz GCNRFBFIDIMEDK-UHFFFAOYSA-N 0 0 292.266 2.989 20 5 CFBDRN CCn1nc(C)cc1-c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000609474486 351503428 /nfs/dbraw/zinc/50/34/28/351503428.db2.gz SVEDSDJQEOGCAD-UHFFFAOYSA-N 0 0 299.290 2.837 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@@H](c2ccco2)C1 ZINC000609512808 351504190 /nfs/dbraw/zinc/50/41/90/351504190.db2.gz PWYLHQHIBBCORN-SNVBAGLBSA-N 0 0 259.265 2.577 20 5 CFBDRN C[C@@H](c1ccccc1)[C@H](CO)Nc1ccc([N+](=O)[O-])cn1 ZINC000609496286 351504288 /nfs/dbraw/zinc/50/42/88/351504288.db2.gz FGZAEOKHSUBDBV-FZMZJTMJSA-N 0 0 287.319 2.566 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000609514624 351505227 /nfs/dbraw/zinc/50/52/27/351505227.db2.gz QQLKFXOYABGSLT-SKDRFNHKSA-N 0 0 272.308 2.674 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])s1)Cn1cccn1 ZINC000609560486 351507077 /nfs/dbraw/zinc/50/70/77/351507077.db2.gz MXVPWSKKOXCAJA-SECBINFHSA-N 0 0 266.326 2.601 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ccsc1[N+](=O)[O-] ZINC000609571286 351509175 /nfs/dbraw/zinc/50/91/75/351509175.db2.gz PBVNKCKXWLYLJM-KWQFWETISA-N 0 0 258.343 2.865 20 5 CFBDRN CCC[C@H](C)N(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000609585703 351509813 /nfs/dbraw/zinc/50/98/13/351509813.db2.gz ZRLPXJXDPXFSKV-QMMMGPOBSA-N 0 0 268.342 2.929 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)C1(CCF)CC1 ZINC000291417229 198016263 /nfs/dbraw/zinc/01/62/63/198016263.db2.gz YMBIOFSKWPPLCB-UHFFFAOYSA-N 0 0 271.219 2.779 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1OCCc2ccccc21 ZINC000609586580 351510814 /nfs/dbraw/zinc/51/08/14/351510814.db2.gz VQDGVJNVRUESNI-AWEZNQCLSA-N 0 0 285.303 2.716 20 5 CFBDRN Cc1cc(CNc2ccc3ncccc3c2[N+](=O)[O-])[nH]n1 ZINC000609595748 351511130 /nfs/dbraw/zinc/51/11/30/351511130.db2.gz DOQMRZKBZLAWME-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C(F)(F)C(F)F ZINC000291421447 198017016 /nfs/dbraw/zinc/01/70/16/198017016.db2.gz KMZGUXWKVHSLGO-UHFFFAOYSA-N 0 0 294.204 2.996 20 5 CFBDRN C[C@@H](NC(=O)C1(C)CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000608847540 351480244 /nfs/dbraw/zinc/48/02/44/351480244.db2.gz PDKPROWIWYOMFP-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H]1CCN1c1ccc(Br)cc1[N+](=O)[O-] ZINC000608856042 351480375 /nfs/dbraw/zinc/48/03/75/351480375.db2.gz TWRKLIWQBHPTLR-SSDOTTSWSA-N 0 0 271.114 2.956 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@@H]1CCCC[C@@H]1C ZINC000608947834 351482834 /nfs/dbraw/zinc/48/28/34/351482834.db2.gz ZULAWIGKOVOHKG-WCQYABFASA-N 0 0 293.367 2.974 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCCNc1ccccc1 ZINC000609039202 351483735 /nfs/dbraw/zinc/48/37/35/351483735.db2.gz VZVCBSDAIYUGNU-UHFFFAOYSA-N 0 0 272.308 2.904 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000609011714 351483870 /nfs/dbraw/zinc/48/38/70/351483870.db2.gz BXWXRKVRACPIFS-QWRGUYRKSA-N 0 0 294.351 2.697 20 5 CFBDRN CCC[C@@H]1CCCC[C@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000609120606 351485963 /nfs/dbraw/zinc/48/59/63/351485963.db2.gz QOSZBAPYXITEDQ-NXEZZACHSA-N 0 0 279.344 2.568 20 5 CFBDRN CN1C(=O)CCc2cc(Nc3cccnc3[N+](=O)[O-])ccc21 ZINC000609150159 351488851 /nfs/dbraw/zinc/48/88/51/351488851.db2.gz YEGADPIDPNTCSW-UHFFFAOYSA-N 0 0 298.302 2.642 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCCO1 ZINC000291400084 198011670 /nfs/dbraw/zinc/01/16/70/198011670.db2.gz HQTHBTCVLOAINQ-ZDUSSCGKSA-N 0 0 278.308 2.665 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(Cl)o2)cn1 ZINC000609796948 351519863 /nfs/dbraw/zinc/51/98/63/351519863.db2.gz YVISGRCXSHHOCC-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN O=C(NCCCC1CC1)c1csc([N+](=O)[O-])c1 ZINC000609866268 351523690 /nfs/dbraw/zinc/52/36/90/351523690.db2.gz ZNMGMHQUIOWRHA-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000609880863 351525880 /nfs/dbraw/zinc/52/58/80/351525880.db2.gz YURVSCPLLHWAFL-NTZNESFSSA-N 0 0 291.351 2.727 20 5 CFBDRN CC1(C)CCN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000610116601 351534683 /nfs/dbraw/zinc/53/46/83/351534683.db2.gz BPDALTNFALEIGP-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CC1CCOCC1 ZINC000291449391 198025202 /nfs/dbraw/zinc/02/52/02/198025202.db2.gz NTARNRUEMCFYJI-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000610170607 351536556 /nfs/dbraw/zinc/53/65/56/351536556.db2.gz NGGDZQCGOBBVIW-LLVKDONJSA-N 0 0 288.303 2.950 20 5 CFBDRN C[C@@]1(c2ccccc2)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000610190122 351537550 /nfs/dbraw/zinc/53/75/50/351537550.db2.gz DZJYEDOMKIAMBV-WFASDCNBSA-N 0 0 286.287 2.648 20 5 CFBDRN O=C(Nc1ncc(Cl)s1)c1ccccc1[N+](=O)[O-] ZINC000610247050 351544672 /nfs/dbraw/zinc/54/46/72/351544672.db2.gz MNRWLCKWARGUIF-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCCOC[C@@H]1CCCO1 ZINC000610272613 351545332 /nfs/dbraw/zinc/54/53/32/351545332.db2.gz ACPQALDNOWPOGM-JTQLQIEISA-N 0 0 286.353 2.654 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000610277893 351546504 /nfs/dbraw/zinc/54/65/04/351546504.db2.gz HDYSNJBLDDWBKU-GHMZBOCLSA-N 0 0 280.324 2.607 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000610277895 351547228 /nfs/dbraw/zinc/54/72/28/351547228.db2.gz HDYSNJBLDDWBKU-QWRGUYRKSA-N 0 0 280.324 2.607 20 5 CFBDRN COC(=O)CS[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000610285646 351550208 /nfs/dbraw/zinc/55/02/08/351550208.db2.gz VTPNPCBJFWDMQG-MRVPVSSYSA-N 0 0 255.295 2.562 20 5 CFBDRN Cc1cc(N2CCc3ccc(O)cc3CC2)ncc1[N+](=O)[O-] ZINC000610430909 351565991 /nfs/dbraw/zinc/56/59/91/351565991.db2.gz XXMAYTHTOXMKDT-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H]1CCc2c1cccc2O ZINC000610489049 351569967 /nfs/dbraw/zinc/56/99/67/351569967.db2.gz QSOPHNWBKPGVCI-NSHDSACASA-N 0 0 271.276 2.795 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000610483293 351570012 /nfs/dbraw/zinc/57/00/12/351570012.db2.gz ITGAVMVFEXUPHG-UHFFFAOYSA-N 0 0 289.291 2.785 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])nc1)c1ccncc1 ZINC000610516856 351575162 /nfs/dbraw/zinc/57/51/62/351575162.db2.gz FOUFVPYCVWVESZ-JTQLQIEISA-N 0 0 258.281 2.600 20 5 CFBDRN CCOc1cc(N2CCCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000610515375 351575216 /nfs/dbraw/zinc/57/52/16/351575216.db2.gz RXCNXHYTLCBSQR-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CCn1cccc1 ZINC000610512014 351575698 /nfs/dbraw/zinc/57/56/98/351575698.db2.gz GTZPCCIRSJHEIE-GFCCVEGCSA-N 0 0 299.330 2.764 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CCn1cccc1 ZINC000610512015 351575719 /nfs/dbraw/zinc/57/57/19/351575719.db2.gz GTZPCCIRSJHEIE-LBPRGKRZSA-N 0 0 299.330 2.764 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](C)c2ccncc2)c1 ZINC000610526775 351578946 /nfs/dbraw/zinc/57/89/46/351578946.db2.gz VSUYUQOBYNULCN-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@@H]1CSCCN1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000610582258 351583188 /nfs/dbraw/zinc/58/31/88/351583188.db2.gz URPAKZIYWSFVJF-SECBINFHSA-N 0 0 293.348 2.673 20 5 CFBDRN COC[C@@H]1CCCN1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000610627874 351586433 /nfs/dbraw/zinc/58/64/33/351586433.db2.gz JLIXUSFIQVVLKG-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN O=c1cc(CNc2cccnc2[N+](=O)[O-])c2ccccc2[nH]1 ZINC000610661488 351589125 /nfs/dbraw/zinc/58/91/25/351589125.db2.gz ZBZYVAACRPWOGM-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN Cc1nn(CCCOC(C)(C)C)c(C)c1[N+](=O)[O-] ZINC000610681547 351592732 /nfs/dbraw/zinc/59/27/32/351592732.db2.gz VZXHQVBPPSHOQL-UHFFFAOYSA-N 0 0 255.318 2.613 20 5 CFBDRN CC[C@H]1CCCC[C@H]1N(C)c1c([N+](=O)[O-])ncn1C ZINC000610709884 351595525 /nfs/dbraw/zinc/59/55/25/351595525.db2.gz ITEWKKLEOQWLIO-WDEREUQCSA-N 0 0 266.345 2.733 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cn1)[C@H]1CCCCO1 ZINC000610716588 351596577 /nfs/dbraw/zinc/59/65/77/351596577.db2.gz DBDWVHUHBGKCTE-GFCCVEGCSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000610734519 351599145 /nfs/dbraw/zinc/59/91/45/351599145.db2.gz MDILEJXHWSITAN-GHMZBOCLSA-N 0 0 293.323 2.531 20 5 CFBDRN Cc1ccnc(N[C@@H](C)C[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000610734725 351599196 /nfs/dbraw/zinc/59/91/96/351599196.db2.gz HNYORWHNDIBMTK-QWRGUYRKSA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1ccnc(N[C@H](C)C[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000610734724 351599266 /nfs/dbraw/zinc/59/92/66/351599266.db2.gz HNYORWHNDIBMTK-MNOVXSKESA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1cncc(C)c1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000610754311 351604370 /nfs/dbraw/zinc/60/43/70/351604370.db2.gz LRJDLYSQUZTBFO-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@@H]2CCC[C@H]21 ZINC000610762736 351605496 /nfs/dbraw/zinc/60/54/96/351605496.db2.gz RPUOZSSIDFGOJQ-GXFFZTMASA-N 0 0 262.309 2.588 20 5 CFBDRN C[C@H]1Cc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000610769417 351606679 /nfs/dbraw/zinc/60/66/79/351606679.db2.gz LXHPDWPEMFECJP-JTQLQIEISA-N 0 0 283.287 2.581 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000610790414 351608900 /nfs/dbraw/zinc/60/89/00/351608900.db2.gz IKXJTEXUJYDWCQ-SECBINFHSA-N 0 0 284.262 2.821 20 5 CFBDRN CCC[C@@H]1[C@H](C)CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000610299831 351553220 /nfs/dbraw/zinc/55/32/20/351553220.db2.gz JRWAJDAAOLDJKV-DGCLKSJQSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)C[C@H]2CCCO2)n1 ZINC000610806847 351610805 /nfs/dbraw/zinc/61/08/05/351610805.db2.gz XAHQSKZEBCYTMK-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cnc(N[C@H]2CCCc3c[nH]nc32)c([N+](=O)[O-])c1 ZINC000610809087 351610871 /nfs/dbraw/zinc/61/08/71/351610871.db2.gz GBOVMEJIXWDVLP-JTQLQIEISA-N 0 0 273.296 2.511 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)CC(F)F ZINC000120103685 186874971 /nfs/dbraw/zinc/87/49/71/186874971.db2.gz NYPSKEPZIRYBOU-UHFFFAOYSA-N 0 0 287.266 2.940 20 5 CFBDRN CCC[C@H](O)CC(=O)N[C@@H](CC)c1cccc([N+](=O)[O-])c1 ZINC000611005144 351633380 /nfs/dbraw/zinc/63/33/80/351633380.db2.gz QUVHFQOAPZXGKZ-KBPBESRZSA-N 0 0 294.351 2.713 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCOC1 ZINC000291469218 198030224 /nfs/dbraw/zinc/03/02/24/198030224.db2.gz QDVZMGKAHICUOC-LLVKDONJSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(C)C1CN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000611078240 351638513 /nfs/dbraw/zinc/63/85/13/351638513.db2.gz NIVLWGNJBXHQGO-UHFFFAOYSA-N 0 0 276.336 2.642 20 5 CFBDRN CN(C)c1cccc(CNc2ccc([N+](=O)[O-])s2)n1 ZINC000611104617 351639971 /nfs/dbraw/zinc/63/99/71/351639971.db2.gz WVABSMQJYMOKMD-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN Cn1ncc(NC(=O)c2cccc([N+](=O)[O-])c2)c1C(F)F ZINC000611159650 351646183 /nfs/dbraw/zinc/64/61/83/351646183.db2.gz OQERVBQLNWZIGQ-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCN2CC3(C2)CC(F)(F)C3)cc1 ZINC000611180397 351649088 /nfs/dbraw/zinc/64/90/88/351649088.db2.gz XFBVSFLCCJMOLL-UHFFFAOYSA-N 0 0 298.289 2.705 20 5 CFBDRN COc1ccc(CN2CC3(C2)CC(F)(F)C3)cc1[N+](=O)[O-] ZINC000611180502 351649696 /nfs/dbraw/zinc/64/96/96/351649696.db2.gz YPVAHTVZWWOYNH-UHFFFAOYSA-N 0 0 298.289 2.835 20 5 CFBDRN C[C@@H]1COCCCN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000611203697 351651630 /nfs/dbraw/zinc/65/16/30/351651630.db2.gz CVGRGHYNWSGNAW-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H]1COCCCN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000611203698 351651654 /nfs/dbraw/zinc/65/16/54/351651654.db2.gz CVGRGHYNWSGNAW-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCCc2cc(F)ccc21 ZINC000611228393 351653459 /nfs/dbraw/zinc/65/34/59/351653459.db2.gz SNFVSPQLWOLFNP-LBPRGKRZSA-N 0 0 290.298 2.957 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)c(C)nn1 ZINC000611235128 351654536 /nfs/dbraw/zinc/65/45/36/351654536.db2.gz VKYIDIOGICDJJY-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)COC(C)C ZINC000291495057 198036598 /nfs/dbraw/zinc/03/65/98/198036598.db2.gz UEFQSGQITRFWKT-UHFFFAOYSA-N 0 0 266.297 2.521 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC3(CCC3)C2)cn1 ZINC000610856607 351614864 /nfs/dbraw/zinc/61/48/64/351614864.db2.gz OZSMTJGWHVAVTM-SNVBAGLBSA-N 0 0 263.297 2.503 20 5 CFBDRN Cc1nccn1CCCN(C)c1ccsc1[N+](=O)[O-] ZINC000610958172 351625348 /nfs/dbraw/zinc/62/53/48/351625348.db2.gz MHNYUBIGPCXMOY-UHFFFAOYSA-N 0 0 280.353 2.688 20 5 CFBDRN COc1ccc(CN(C)c2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000610970207 351626802 /nfs/dbraw/zinc/62/68/02/351626802.db2.gz WPGFNHIJINGTRM-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1F ZINC000611373274 351676785 /nfs/dbraw/zinc/67/67/85/351676785.db2.gz CRCLYJDBGRVJDJ-RNCFNFMXSA-N 0 0 268.288 2.961 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])cc1 ZINC000611373675 351677169 /nfs/dbraw/zinc/67/71/69/351677169.db2.gz PTAJPFDNXJRICF-GWCFXTLKSA-N 0 0 250.298 2.822 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000611373693 351677402 /nfs/dbraw/zinc/67/74/02/351677402.db2.gz QPSFDKXMHYTLCM-LDYMZIIASA-N 0 0 285.731 2.870 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H]1CCC[C@@H](O)C1 ZINC000611412865 351686022 /nfs/dbraw/zinc/68/60/22/351686022.db2.gz JULUJOUCVVACED-RKDXNWHRSA-N 0 0 256.327 2.619 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1CCN(c2sccc2[N+](=O)[O-])C1 ZINC000611413342 351686170 /nfs/dbraw/zinc/68/61/70/351686170.db2.gz JANFZBCWQRNAOV-VIFPVBQESA-N 0 0 298.364 2.824 20 5 CFBDRN C[C@H]1C[C@H](CNc2sccc2[N+](=O)[O-])CCO1 ZINC000611414078 351687012 /nfs/dbraw/zinc/68/70/12/351687012.db2.gz GUMGDETXVXPZSX-DTWKUNHWSA-N 0 0 256.327 2.883 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc(Cl)cn1 ZINC000611414489 351687715 /nfs/dbraw/zinc/68/77/15/351687715.db2.gz XZCXPEFDVIBEKC-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1cc(N(C)[C@@H]2CCOC2)c2cccc([N+](=O)[O-])c2n1 ZINC000611444709 351691008 /nfs/dbraw/zinc/69/10/08/351691008.db2.gz UPUABWCOVDNCGK-LLVKDONJSA-N 0 0 287.319 2.677 20 5 CFBDRN CCOc1ccc([C@H](C)NCc2cocn2)cc1[N+](=O)[O-] ZINC000611474087 351695168 /nfs/dbraw/zinc/69/51/68/351695168.db2.gz GXIYWQBZISTRPD-JTQLQIEISA-N 0 0 291.307 2.832 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@H](F)C2)c([N+](=O)[O-])cc1F ZINC000611494614 351697340 /nfs/dbraw/zinc/69/73/40/351697340.db2.gz VUOOKXHIJBMTNZ-PSASIEDQSA-N 0 0 286.278 2.927 20 5 CFBDRN CCC(CC)[C@@H]1C[C@@H](Nc2c([N+](=O)[O-])ncn2C)CCO1 ZINC000611495061 351697668 /nfs/dbraw/zinc/69/76/68/351697668.db2.gz VHTGWPFUNTYVRY-RYUDHWBXSA-N 0 0 296.371 2.724 20 5 CFBDRN C[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)C[C@@H]1F ZINC000611502389 351699586 /nfs/dbraw/zinc/69/95/86/351699586.db2.gz RWRSIGGSDKHZTN-ZANVPECISA-N 0 0 270.279 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCn2ccnc2)s1 ZINC000611509809 351702073 /nfs/dbraw/zinc/70/20/73/351702073.db2.gz RISADFUYDLWTER-UHFFFAOYSA-N 0 0 266.326 2.745 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC=CCC2)c1 ZINC000611513191 351702380 /nfs/dbraw/zinc/70/23/80/351702380.db2.gz OBJJNBXENKALLU-UHFFFAOYSA-N 0 0 278.333 2.719 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N2CC=CCC2)cc1[N+](=O)[O-] ZINC000611513367 351702802 /nfs/dbraw/zinc/70/28/02/351702802.db2.gz CEBPQCYIVJOORK-LBPRGKRZSA-N 0 0 289.335 2.936 20 5 CFBDRN CSc1ccc(Cn2cc([N+](=O)[O-])c(C)n2)cc1 ZINC000611534387 351705310 /nfs/dbraw/zinc/70/53/10/351705310.db2.gz AXIPINMELIJOEX-UHFFFAOYSA-N 0 0 263.322 2.870 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCCc1cccs1 ZINC000611281653 351663666 /nfs/dbraw/zinc/66/36/66/351663666.db2.gz WZNSPVSGVOKXPW-UHFFFAOYSA-N 0 0 263.322 2.518 20 5 CFBDRN CCC1(O)CCC(Nc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000611306575 351667038 /nfs/dbraw/zinc/66/70/38/351667038.db2.gz QUGSFMPLDUPLGP-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN CSc1cc(CNc2cccnc2[N+](=O)[O-])ccn1 ZINC000611306484 351667186 /nfs/dbraw/zinc/66/71/86/351667186.db2.gz SSZBHMCFBYVRJY-UHFFFAOYSA-N 0 0 276.321 2.719 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000611339150 351670930 /nfs/dbraw/zinc/67/09/30/351670930.db2.gz NMDNGBOMHGHHJO-CHWSQXEVSA-N 0 0 291.351 2.633 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1ccsc1[N+](=O)[O-] ZINC000611373351 351676135 /nfs/dbraw/zinc/67/61/35/351676135.db2.gz OHZNJQSKOWYJCF-SCZZXKLOSA-N 0 0 256.327 2.883 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@H](c2ncc[nH]2)C1 ZINC000611370601 351676535 /nfs/dbraw/zinc/67/65/35/351676535.db2.gz YBPGKQLTRULYAG-VIFPVBQESA-N 0 0 278.337 2.763 20 5 CFBDRN CCOc1cc(N2CCC[C@H](OC)CC2)ccc1[N+](=O)[O-] ZINC000611931470 351751966 /nfs/dbraw/zinc/75/19/66/351751966.db2.gz YFGJQPIYRFTYMO-ZDUSSCGKSA-N 0 0 294.351 2.999 20 5 CFBDRN COC[C@](C)(NCc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000611717873 351729701 /nfs/dbraw/zinc/72/97/01/351729701.db2.gz DEYSLHGGGLUXPL-NSHDSACASA-N 0 0 292.257 2.652 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@@H](C)[C@H](F)C2)c1 ZINC000611765002 351738522 /nfs/dbraw/zinc/73/85/22/351738522.db2.gz YYEQIXZOWVPNMW-MWLCHTKSSA-N 0 0 296.298 2.566 20 5 CFBDRN C[C@@H]1COCCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000611792495 351741239 /nfs/dbraw/zinc/74/12/39/351741239.db2.gz OTSJRVIGLDIPNE-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN CCOC[C@@H]1CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000611616546 351714779 /nfs/dbraw/zinc/71/47/79/351714779.db2.gz ZESGDBFHEXCBEN-CYBMUJFWSA-N 0 0 293.367 2.860 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@]2(C)CCCC[C@H]2O)n1 ZINC000611616993 351715089 /nfs/dbraw/zinc/71/50/89/351715089.db2.gz UEIFHGZQOFHBHK-DOMZBBRYSA-N 0 0 293.367 2.960 20 5 CFBDRN CO[C@H]1C[C@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C1(C)C ZINC000611617168 351715554 /nfs/dbraw/zinc/71/55/54/351715554.db2.gz LXOLIIBXJAPRJG-QWRGUYRKSA-N 0 0 279.340 2.832 20 5 CFBDRN CC(=O)NC1CCC(N(C)c2ccsc2[N+](=O)[O-])CC1 ZINC000612114153 351777380 /nfs/dbraw/zinc/77/73/80/351777380.db2.gz MCPPXOUOZRQXKW-UHFFFAOYSA-N 0 0 297.380 2.540 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC([C@H]3CCOC3)CC2)cs1 ZINC000612131105 351778970 /nfs/dbraw/zinc/77/89/70/351778970.db2.gz JPJCIMBWANPNCZ-ZDUSSCGKSA-N 0 0 296.392 2.905 20 5 CFBDRN Cc1cnc(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000291700054 198062235 /nfs/dbraw/zinc/06/22/35/198062235.db2.gz GKLXVWJQSUHXAX-JTQLQIEISA-N 0 0 289.291 2.691 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000291703437 198063389 /nfs/dbraw/zinc/06/33/89/198063389.db2.gz UNXUEDCEEQXEFW-UHFFFAOYSA-N 0 0 254.286 2.696 20 5 CFBDRN CO[C@H]1CCCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000611976086 351757817 /nfs/dbraw/zinc/75/78/17/351757817.db2.gz UKQFGGZBTFJNPX-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CCc1nnc(COc2ccc(C)cc2[N+](=O)[O-])s1 ZINC000612013385 351761640 /nfs/dbraw/zinc/76/16/40/351761640.db2.gz QBEOYVULHMLKLU-UHFFFAOYSA-N 0 0 279.321 2.896 20 5 CFBDRN Cc1cc(Nc2cccnc2[N+](=O)[O-])nn1[C@@H](C)C1CC1 ZINC000612094634 351773981 /nfs/dbraw/zinc/77/39/81/351773981.db2.gz MRHIMLGBBITICH-JTQLQIEISA-N 0 0 287.323 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@H]3CCOC3)CC2)s1 ZINC000612099432 351774574 /nfs/dbraw/zinc/77/45/74/351774574.db2.gz PFPCMDLBXGFOCH-NSHDSACASA-N 0 0 282.365 2.909 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000121787256 186995770 /nfs/dbraw/zinc/99/57/70/186995770.db2.gz WUZLEBHWIZQRKX-SNVBAGLBSA-N 0 0 280.299 2.535 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)c1ccc(F)cc1 ZINC000612580017 351838140 /nfs/dbraw/zinc/83/81/40/351838140.db2.gz ATKOHLVBPMTERO-UHFFFAOYSA-N 0 0 291.282 2.739 20 5 CFBDRN CC(C)N(C(=O)COc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000121854808 187001268 /nfs/dbraw/zinc/00/12/68/187001268.db2.gz FZOMCHNFYZQKAS-UHFFFAOYSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cnc(N[C@H]2CCO[C@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000271446484 191142532 /nfs/dbraw/zinc/14/25/32/191142532.db2.gz OYFYGQZLLJEFIJ-AAEUAGOBSA-N 0 0 279.340 2.914 20 5 CFBDRN COc1cc(NC[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000122081794 187014018 /nfs/dbraw/zinc/01/40/18/187014018.db2.gz UXXYHXIMEGUZJK-SNVBAGLBSA-N 0 0 268.338 2.911 20 5 CFBDRN C[C@H](CO)SCc1c(Cl)cccc1[N+](=O)[O-] ZINC000122207586 187020756 /nfs/dbraw/zinc/02/07/56/187020756.db2.gz HHCLQQYVDWNSAZ-SSDOTTSWSA-N 0 0 261.730 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CSCCS2)c(F)c1 ZINC000122387050 187029608 /nfs/dbraw/zinc/02/96/08/187029608.db2.gz OPQNUJQIRDIPIA-VIFPVBQESA-N 0 0 288.369 2.994 20 5 CFBDRN COCCC1(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000122426374 187031714 /nfs/dbraw/zinc/03/17/14/187031714.db2.gz RNHCXFDJMCYXRG-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CC(=O)CCN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000122453015 187032836 /nfs/dbraw/zinc/03/28/36/187032836.db2.gz JFGNLRFDLOBORJ-UHFFFAOYSA-N 0 0 270.716 2.659 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccco2)c(Cl)c1 ZINC000122573973 187039339 /nfs/dbraw/zinc/03/93/39/187039339.db2.gz NLMKEOXOQDWUAM-UHFFFAOYSA-N 0 0 267.672 2.891 20 5 CFBDRN Cc1ccc(CNc2ncc([N+](=O)[O-])cc2Cl)cn1 ZINC000122640090 187043355 /nfs/dbraw/zinc/04/33/55/187043355.db2.gz UXSCITCKNWLMII-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN C[C@@H]1C[C@@H](C)CC[C@@H]1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000122724210 187047743 /nfs/dbraw/zinc/04/77/43/187047743.db2.gz QNGCLVBZMPXBBP-LOWVWBTDSA-N 0 0 295.339 2.549 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2sccc2[N+](=O)[O-])[C@@]12CCCO2 ZINC000612664359 351848996 /nfs/dbraw/zinc/84/89/96/351848996.db2.gz IIJSGWFPRNYPPQ-WZRBSPASSA-N 0 0 298.364 2.795 20 5 CFBDRN COC[C@@H]1CCN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000291760216 198083124 /nfs/dbraw/zinc/08/31/24/198083124.db2.gz AYMURTILHQKWMN-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1cnc(NCc2nn(C)c3ccccc23)c([N+](=O)[O-])c1 ZINC000612666655 351849940 /nfs/dbraw/zinc/84/99/40/351849940.db2.gz DTOUCSVYBRMKAK-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CO[C@H](C)c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000612935225 351879507 /nfs/dbraw/zinc/87/95/07/351879507.db2.gz DERPKMAAWHXAGW-MRVPVSSYSA-N 0 0 263.253 2.661 20 5 CFBDRN CN(Cc1ccco1)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000123319506 187085075 /nfs/dbraw/zinc/08/50/75/187085075.db2.gz PBKWUBCYYWBMRN-UHFFFAOYSA-N 0 0 292.266 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(C3CCC3)no2)s1 ZINC000612897494 351875856 /nfs/dbraw/zinc/87/58/56/351875856.db2.gz NZXVDELWSBQAHY-UHFFFAOYSA-N 0 0 251.267 2.974 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(C3CCC3)no2)cc1 ZINC000612897975 351875971 /nfs/dbraw/zinc/87/59/71/351875971.db2.gz UYMYUHRFTPVASS-UHFFFAOYSA-N 0 0 275.264 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2CC(F)(F)C2)n1 ZINC000291960470 198117934 /nfs/dbraw/zinc/11/79/34/198117934.db2.gz VFPRWTAYBBBPDB-UHFFFAOYSA-N 0 0 257.240 2.755 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1cocn1 ZINC000613297169 351934447 /nfs/dbraw/zinc/93/44/47/351934447.db2.gz JYJGLRQRGPFYNY-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOc2ncccc2C1 ZINC000613073214 351895952 /nfs/dbraw/zinc/89/59/52/351895952.db2.gz LEFDNMWFHGNOAV-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN CCSCCOc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000613109176 351898668 /nfs/dbraw/zinc/89/86/68/351898668.db2.gz RAKDJJYGFNVHQL-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CC[C@@H](C)CONC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000291942018 198112676 /nfs/dbraw/zinc/11/26/76/198112676.db2.gz UKNLPVRKHNEJNN-LLVKDONJSA-N 0 0 295.339 2.714 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCOCC1CCC1 ZINC000613184926 351911041 /nfs/dbraw/zinc/91/10/41/351911041.db2.gz YUIMBLDIGFXMOO-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2ncc(Cl)s2)c1 ZINC000613183952 351911124 /nfs/dbraw/zinc/91/11/24/351911124.db2.gz FNTPFEVDQKXKRD-UHFFFAOYSA-N 0 0 283.740 2.781 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)[C@@H](C)C1 ZINC000131448992 187566056 /nfs/dbraw/zinc/56/60/56/187566056.db2.gz RQLKXGIAJDAGTA-MNOVXSKESA-N 0 0 292.335 2.722 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cn2)CC(C)(C)C1 ZINC000613432230 351958810 /nfs/dbraw/zinc/95/88/10/351958810.db2.gz OXCNEBMPLYFKKL-UHFFFAOYSA-N 0 0 264.329 2.647 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H](C)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000131670515 187579865 /nfs/dbraw/zinc/57/98/65/187579865.db2.gz AIWOQQRBUPHQES-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN CC1(C)CC(CNc2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000614832240 352124844 /nfs/dbraw/zinc/12/48/44/352124844.db2.gz BQSALYKMDNHATO-UHFFFAOYSA-N 0 0 286.335 2.808 20 5 CFBDRN CCC[C@@H](O)Cc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000614499773 352100020 /nfs/dbraw/zinc/10/00/20/352100020.db2.gz LUJAEUYHJQDFPV-LLVKDONJSA-N 0 0 291.307 2.657 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000614672508 352110355 /nfs/dbraw/zinc/11/03/55/352110355.db2.gz WKPZBPOGFWRBLS-ZYHUDNBSSA-N 0 0 290.319 2.618 20 5 CFBDRN CC1CN(c2ccc([N+](=O)[O-])c(OC(F)F)c2)C1 ZINC000615276142 352175382 /nfs/dbraw/zinc/17/53/82/352175382.db2.gz UEJFLHRVAPTJRA-UHFFFAOYSA-N 0 0 258.224 2.652 20 5 CFBDRN Cn1c(CNc2ccsc2[N+](=O)[O-])nnc1C1CCC1 ZINC000614976930 352138260 /nfs/dbraw/zinc/13/82/60/352138260.db2.gz GVAXHSGZZDPVIR-UHFFFAOYSA-N 0 0 293.352 2.664 20 5 CFBDRN CC1(C)CCCCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000614980623 352139279 /nfs/dbraw/zinc/13/92/79/352139279.db2.gz ZPHARZHPESYBDX-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC=CCC1 ZINC000615038369 352146797 /nfs/dbraw/zinc/14/67/97/352146797.db2.gz UFIQLATWYBLGRL-UHFFFAOYSA-N 0 0 261.281 2.697 20 5 CFBDRN CN(Cc1ccc(O)cc1)c1ccsc1[N+](=O)[O-] ZINC000615708873 352233953 /nfs/dbraw/zinc/23/39/53/352233953.db2.gz CTEWFVSFFRRJMR-UHFFFAOYSA-N 0 0 264.306 2.998 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCC[C@H]1CCCO1 ZINC000616053597 352277986 /nfs/dbraw/zinc/27/79/86/352277986.db2.gz FGINPCSPEHGQKV-GFCCVEGCSA-N 0 0 287.319 2.546 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1cocn1 ZINC000616118075 352287500 /nfs/dbraw/zinc/28/75/00/352287500.db2.gz FVHAILPVCYAFIH-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cc1nc(NCc2cccc3c2OCO3)ccc1[N+](=O)[O-] ZINC000133496545 187688157 /nfs/dbraw/zinc/68/81/57/187688157.db2.gz OTNCTIHGTOJZBM-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@@H](C(C)C)C2)n1 ZINC000271509423 191182269 /nfs/dbraw/zinc/18/22/69/191182269.db2.gz YLDPSNVLFSIABG-WCQYABFASA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1cncc(CCC(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000292044255 198144541 /nfs/dbraw/zinc/14/45/41/198144541.db2.gz RRALBZQLVYXISD-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN Cc1ccnc(NC[C@]2(O)CCc3ccccc32)c1[N+](=O)[O-] ZINC000133727901 187703486 /nfs/dbraw/zinc/70/34/86/187703486.db2.gz RNSSQCBBJULDPE-MRXNPFEDSA-N 0 0 299.330 2.544 20 5 CFBDRN CC[C@@]1(NC(=O)Nc2cccc([N+](=O)[O-])c2C)CCOC1 ZINC000616747602 352427000 /nfs/dbraw/zinc/42/70/00/352427000.db2.gz HTWQCQXBVVLMBV-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN CC[C@]1(NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CCOC1 ZINC000616808119 352431224 /nfs/dbraw/zinc/43/12/24/352431224.db2.gz WPUFQJYQFMLAEO-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000134368267 187743307 /nfs/dbraw/zinc/74/33/07/187743307.db2.gz SLPKCYRCFCCONR-KWQFWETISA-N 0 0 294.282 2.678 20 5 CFBDRN CC[C@@H](OC(=O)c1nn(C)cc1[N+](=O)[O-])c1ccccc1 ZINC000271588046 191228453 /nfs/dbraw/zinc/22/84/53/191228453.db2.gz WHBFTAHSNUMKIS-GFCCVEGCSA-N 0 0 289.291 2.636 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000617688941 352629356 /nfs/dbraw/zinc/62/93/56/352629356.db2.gz ZOMKKEYZMSNBCS-LLVKDONJSA-N 0 0 288.303 2.950 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000617688942 352629456 /nfs/dbraw/zinc/62/94/56/352629456.db2.gz ZOMKKEYZMSNBCS-NSHDSACASA-N 0 0 288.303 2.950 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000617705781 352630379 /nfs/dbraw/zinc/63/03/79/352630379.db2.gz HLWNRJLNDJIYSH-BXKDBHETSA-N 0 0 262.309 2.613 20 5 CFBDRN CSC[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000292133077 198175917 /nfs/dbraw/zinc/17/59/17/198175917.db2.gz INNRFHWFYNBQDM-NSHDSACASA-N 0 0 294.376 2.810 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCN2CCC=C(F)C2)c1 ZINC000271591966 191229982 /nfs/dbraw/zinc/22/99/82/191229982.db2.gz GRWGSPBXWQKVMJ-UHFFFAOYSA-N 0 0 266.272 2.533 20 5 CFBDRN COc1cccc(C)c1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000618143329 352723086 /nfs/dbraw/zinc/72/30/86/352723086.db2.gz VGKBTMRZDOYXDP-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN C[C@@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@@H]1F ZINC000618159490 352725328 /nfs/dbraw/zinc/72/53/28/352725328.db2.gz MAKKKNRIJAWWHW-KOLCDFICSA-N 0 0 279.315 2.747 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H]3C[C@@H]3C)n2)c1 ZINC000618419950 352774811 /nfs/dbraw/zinc/77/48/11/352774811.db2.gz BHSYFQCIBWHGIZ-GZMMTYOYSA-N 0 0 289.291 2.701 20 5 CFBDRN C[C@@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@@H]1F ZINC000618585912 352822341 /nfs/dbraw/zinc/82/23/41/352822341.db2.gz YDINBNGUUWLCEV-SCZZXKLOSA-N 0 0 278.287 2.655 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC2(C1)CC(F)(F)C2 ZINC000618468080 352780514 /nfs/dbraw/zinc/78/05/14/352780514.db2.gz PRRVPXZEHNTWFQ-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)[C@H](F)C1 ZINC000618470840 352781128 /nfs/dbraw/zinc/78/11/28/352781128.db2.gz ZIMSLUJWEUICIN-MWLCHTKSSA-N 0 0 280.299 2.723 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1cn2ccsc2n1 ZINC000618673979 352857497 /nfs/dbraw/zinc/85/74/97/352857497.db2.gz NIYOGAFVEDXHJH-UHFFFAOYSA-N 0 0 280.334 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@@H]3[C@@H]4CCC[C@@H]43)c2c1 ZINC000618692305 352861743 /nfs/dbraw/zinc/86/17/43/352861743.db2.gz GVHGIKYMHNBEGI-DDFAGTSDSA-N 0 0 270.292 2.748 20 5 CFBDRN CC[C@@](C)(CCO)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000136874888 187889117 /nfs/dbraw/zinc/88/91/17/187889117.db2.gz RBRSIUORZZIQMQ-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN Cn1ccc(CSc2ccc([N+](=O)[O-])cc2F)n1 ZINC000618963262 352921678 /nfs/dbraw/zinc/92/16/78/352921678.db2.gz LHRPFVGDNUHVHS-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN C[C@@H](C(=O)N1CC(C)(C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000619147403 352965697 /nfs/dbraw/zinc/96/56/97/352965697.db2.gz UOPVGUPNNNAYOY-SECBINFHSA-N 0 0 280.299 2.706 20 5 CFBDRN C[C@H](C(=O)N1CC(C)(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000619146869 352965837 /nfs/dbraw/zinc/96/58/37/352965837.db2.gz KDXBCEVGFMLSFN-JTQLQIEISA-N 0 0 262.309 2.567 20 5 CFBDRN Cc1ccoc1CN(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000292233691 198211588 /nfs/dbraw/zinc/21/15/88/198211588.db2.gz IYEIPRJBPVMHTP-UHFFFAOYSA-N 0 0 292.320 2.842 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000619420175 353019577 /nfs/dbraw/zinc/01/95/77/353019577.db2.gz ZXVSBSWRHHSPGK-UHFFFAOYSA-N 0 0 280.299 2.902 20 5 CFBDRN COc1c(C(=O)N2CCCC3(CC3)C2)cccc1[N+](=O)[O-] ZINC000619443223 353024826 /nfs/dbraw/zinc/02/48/26/353024826.db2.gz VYCHMGSOJHDKTI-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@@H]1CCO[C@@H](C)C1 ZINC000619489875 353028890 /nfs/dbraw/zinc/02/88/90/353028890.db2.gz XHLSNWGVZXIUNO-CMPLNLGQSA-N 0 0 296.371 2.737 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000619499881 353029630 /nfs/dbraw/zinc/02/96/30/353029630.db2.gz CIYVXGULKUDFCY-BXUZGUMPSA-N 0 0 276.336 2.907 20 5 CFBDRN CC(C)(Nc1ncnc2ccc([N+](=O)[O-])cc21)C(F)F ZINC000619501415 353029735 /nfs/dbraw/zinc/02/97/35/353029735.db2.gz AOITVSWJTBOSAD-UHFFFAOYSA-N 0 0 282.250 2.994 20 5 CFBDRN CC(C)OC(=O)CC[C@@H](C)Nc1cccnc1[N+](=O)[O-] ZINC000619501451 353029815 /nfs/dbraw/zinc/02/98/15/353029815.db2.gz IIGMGKPJNFJDEB-SNVBAGLBSA-N 0 0 281.312 2.522 20 5 CFBDRN O=C(CCC(F)F)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000619516044 353031431 /nfs/dbraw/zinc/03/14/31/353031431.db2.gz DPSZFPGZHSDREE-UHFFFAOYSA-N 0 0 270.235 2.529 20 5 CFBDRN CC1=C[C@@H](C)CN(c2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000619528057 353032936 /nfs/dbraw/zinc/03/29/36/353032936.db2.gz KKVIVERUHIKQIU-SNVBAGLBSA-N 0 0 284.319 2.940 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCO[C@H]1CCCCO1 ZINC000292244142 198216164 /nfs/dbraw/zinc/21/61/64/198216164.db2.gz GQLDNBCSMRSMHM-ZDUSSCGKSA-N 0 0 284.287 2.689 20 5 CFBDRN CCC[C@H](C)NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000619754297 353063307 /nfs/dbraw/zinc/06/33/07/353063307.db2.gz WMBRJSJXRXZPGD-LBPRGKRZSA-N 0 0 293.367 2.967 20 5 CFBDRN C[C@@H]1CC(CCNc2cccnc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000619793267 353066688 /nfs/dbraw/zinc/06/66/88/353066688.db2.gz IMEDEWYMJRSAOF-GHMZBOCLSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ncccc1F ZINC000619574404 353037628 /nfs/dbraw/zinc/03/76/28/353037628.db2.gz WRKQHLPDMVIADL-SSDOTTSWSA-N 0 0 295.295 2.681 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1nccs1 ZINC000619607655 353039861 /nfs/dbraw/zinc/03/98/61/353039861.db2.gz UNNWIFQZZQGBDV-UHFFFAOYSA-N 0 0 293.304 2.702 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])ccn1C ZINC000619615226 353040378 /nfs/dbraw/zinc/04/03/78/353040378.db2.gz JYYYYBFVNFFBKD-JTQLQIEISA-N 0 0 287.319 2.733 20 5 CFBDRN CC[C@@H](C)OCC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000152472000 188021566 /nfs/dbraw/zinc/02/15/66/188021566.db2.gz WSMRFWQEFMCRBT-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(NCCC1CC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152751155 188040844 /nfs/dbraw/zinc/04/08/44/188040844.db2.gz JNTMOMXLFKWJRB-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CN(CCc1ccccn1)Cc1csc([N+](=O)[O-])c1 ZINC000152943195 188054771 /nfs/dbraw/zinc/05/47/71/188054771.db2.gz WXKLFUREOAQTJE-UHFFFAOYSA-N 0 0 277.349 2.726 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000152961612 188055967 /nfs/dbraw/zinc/05/59/67/188055967.db2.gz BDXBILXYKBYUQM-JTQLQIEISA-N 0 0 266.297 2.575 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000153250957 188072535 /nfs/dbraw/zinc/07/25/35/188072535.db2.gz IQEKDOBZXSPFAZ-UHFFFAOYSA-N 0 0 288.303 2.941 20 5 CFBDRN CCC(=O)OCc1ccc([N+](=O)[O-])cc1Br ZINC000152801321 188044763 /nfs/dbraw/zinc/04/47/63/188044763.db2.gz CTIOFXLOPUDLSR-UHFFFAOYSA-N 0 0 288.097 2.811 20 5 CFBDRN CCCCOCCNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000153643756 188094725 /nfs/dbraw/zinc/09/47/25/188094725.db2.gz IFCIJIYVFGSEJT-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCCC[C@@H]1CO ZINC000153814097 188105303 /nfs/dbraw/zinc/10/53/03/188105303.db2.gz XGMBQDQTXXOGTC-PSASIEDQSA-N 0 0 285.731 2.606 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153833087 188106460 /nfs/dbraw/zinc/10/64/60/188106460.db2.gz XNIJVMJOJFRHKZ-QMMMGPOBSA-N 0 0 287.747 2.852 20 5 CFBDRN CCC[C@@H](CCO)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153868825 188108951 /nfs/dbraw/zinc/10/89/51/188108951.db2.gz BTVNBUIKHVUWQX-VIFPVBQESA-N 0 0 287.747 2.854 20 5 CFBDRN O=C(C[C@H]1CSCCS1)Nc1ccc([N+](=O)[O-])cc1 ZINC000154135968 188125147 /nfs/dbraw/zinc/12/51/47/188125147.db2.gz NGXQLXOMLLLTLZ-NSHDSACASA-N 0 0 298.389 2.772 20 5 CFBDRN CN(CCC1CC1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000154172033 188127521 /nfs/dbraw/zinc/12/75/21/188127521.db2.gz DLYUJTIKRZRJOY-UHFFFAOYSA-N 0 0 254.311 2.528 20 5 CFBDRN CCC(CC)(CNC(=O)c1csc([N+](=O)[O-])c1)OC ZINC000292418820 198281603 /nfs/dbraw/zinc/28/16/03/198281603.db2.gz VEEQZYBPRCGOCN-UHFFFAOYSA-N 0 0 286.353 2.591 20 5 CFBDRN CC(C)c1cc(C(=O)NCc2ccccc2[N+](=O)[O-])no1 ZINC000154307993 188134814 /nfs/dbraw/zinc/13/48/14/188134814.db2.gz JWTSSUSANQWSJZ-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN Cc1c(CC(=O)OC2CCCC2)cccc1[N+](=O)[O-] ZINC000154372788 188138387 /nfs/dbraw/zinc/13/83/87/188138387.db2.gz SWBCSJADULWIFA-UHFFFAOYSA-N 0 0 263.293 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@]1(C)CCCS1 ZINC000154746001 188160016 /nfs/dbraw/zinc/16/00/16/188160016.db2.gz UZKJIGKUJQFPPJ-AWEZNQCLSA-N 0 0 294.376 2.919 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000155552403 188213866 /nfs/dbraw/zinc/21/38/66/188213866.db2.gz HOXBNCZNZLJCMF-GWCFXTLKSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](n3cccn3)C2)c(Cl)c1 ZINC000292457238 198295998 /nfs/dbraw/zinc/29/59/98/198295998.db2.gz XKVNXIBYTFHNLB-LLVKDONJSA-N 0 0 292.726 2.896 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@H]1CCSC1 ZINC000156081437 188241311 /nfs/dbraw/zinc/24/13/11/188241311.db2.gz ACCLFLSIYAKHBX-NSHDSACASA-N 0 0 295.364 2.872 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000156243253 188251082 /nfs/dbraw/zinc/25/10/82/188251082.db2.gz LIBHXKMHVDULNB-UHFFFAOYSA-N 0 0 284.262 2.745 20 5 CFBDRN COC[C@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000292518968 198316958 /nfs/dbraw/zinc/31/69/58/198316958.db2.gz GXPNTOGCSSXNCY-JTQLQIEISA-N 0 0 268.288 2.515 20 5 CFBDRN CCCc1nc(Cn2cc([N+](=O)[O-])cc(C)c2=O)cs1 ZINC000155807038 188228986 /nfs/dbraw/zinc/22/89/86/188228986.db2.gz PDRMDNVSSUBRKJ-UHFFFAOYSA-N 0 0 293.348 2.522 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1CCCOC1 ZINC000156407308 188260569 /nfs/dbraw/zinc/26/05/69/188260569.db2.gz GXLMTNLZZKRHIZ-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000156434368 188261732 /nfs/dbraw/zinc/26/17/32/188261732.db2.gz NDRWNYQWPHWHRG-BDAKNGLRSA-N 0 0 254.261 2.713 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1CCCOCC1 ZINC000156439295 188262334 /nfs/dbraw/zinc/26/23/34/188262334.db2.gz SQPCCCGYTJYDAB-SECBINFHSA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H](C)C[C@@H](C)O ZINC000156704197 188277121 /nfs/dbraw/zinc/27/71/21/188277121.db2.gz LTRIFFIOJOPNCU-GXSJLCMTSA-N 0 0 252.314 2.722 20 5 CFBDRN COc1ccc(OCCc2csc(C)n2)c([N+](=O)[O-])c1 ZINC000156973103 188290940 /nfs/dbraw/zinc/29/09/40/188290940.db2.gz WRAGYJMLNCDDMP-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN CCC[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000157066044 188295012 /nfs/dbraw/zinc/29/50/12/188295012.db2.gz UOUGHCLWSCBLRY-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN CCn1cc(CNc2cc(C)c([N+](=O)[O-])cc2F)cn1 ZINC000292557874 198332186 /nfs/dbraw/zinc/33/21/86/198332186.db2.gz JJZNUYDOMGIGBZ-UHFFFAOYSA-N 0 0 278.287 2.871 20 5 CFBDRN NC(=O)c1ccc(NCCC2=CCCC2)c([N+](=O)[O-])c1 ZINC000157446265 188317455 /nfs/dbraw/zinc/31/74/55/188317455.db2.gz JADYNIDCWWIZAY-UHFFFAOYSA-N 0 0 275.308 2.606 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@@H](O)C2)c(F)cc1[N+](=O)[O-] ZINC000292576504 198338438 /nfs/dbraw/zinc/33/84/38/198338438.db2.gz KRESECSMKOQYGE-VHSXEESVSA-N 0 0 268.288 2.615 20 5 CFBDRN COc1cc(N(C)CCc2nccs2)ccc1[N+](=O)[O-] ZINC000157816145 188340549 /nfs/dbraw/zinc/34/05/49/188340549.db2.gz RNHIICFXHIGCOL-UHFFFAOYSA-N 0 0 293.348 2.739 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC2(CCC2)C1 ZINC000157834141 188340945 /nfs/dbraw/zinc/34/09/45/188340945.db2.gz ILLKZEBOMCATAL-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000271683727 191276308 /nfs/dbraw/zinc/27/63/08/191276308.db2.gz CJAZAAFJKMMZRH-QWRGUYRKSA-N 0 0 277.324 2.707 20 5 CFBDRN CCC[C@@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158367785 188372736 /nfs/dbraw/zinc/37/27/36/188372736.db2.gz RFHVXZCVMUDTDO-SNVBAGLBSA-N 0 0 250.298 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@H](O)C(F)(F)F)cc1 ZINC000158347419 188370695 /nfs/dbraw/zinc/37/06/95/188370695.db2.gz FVHFYPOZWOAYJP-VIFPVBQESA-N 0 0 281.255 2.751 20 5 CFBDRN CO[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000292688905 198376593 /nfs/dbraw/zinc/37/65/93/198376593.db2.gz FIBMVCSCXRDJDC-LBPRGKRZSA-N 0 0 254.261 2.571 20 5 CFBDRN CCN(CCc1nccs1)c1cc(C)c([N+](=O)[O-])cn1 ZINC000159255634 188417318 /nfs/dbraw/zinc/41/73/18/188417318.db2.gz IPQZKKXKBGTATM-UHFFFAOYSA-N 0 0 292.364 2.824 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000160078738 188454058 /nfs/dbraw/zinc/45/40/58/188454058.db2.gz BJYYVSUIQRZFBQ-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CON(C)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000160213801 188460333 /nfs/dbraw/zinc/46/03/33/188460333.db2.gz PGZIRNCZXGRTIV-UHFFFAOYSA-N 0 0 293.201 2.639 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCO[C@H](C3CC3)C2)cc1 ZINC000160309937 188465198 /nfs/dbraw/zinc/46/51/98/188465198.db2.gz GMTOUSFVNWHKNO-OCCSQVGLSA-N 0 0 262.309 2.964 20 5 CFBDRN CCC1(C)CN(C(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000292932387 198466165 /nfs/dbraw/zinc/46/61/65/198466165.db2.gz TXMBOTROFKVMRJ-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN Cc1ncsc1CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000161546824 188534310 /nfs/dbraw/zinc/53/43/10/188534310.db2.gz UMPQAGMGADOFOI-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN COC1(C)CCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)CC1 ZINC000271723740 191291596 /nfs/dbraw/zinc/29/15/96/191291596.db2.gz LJSFKLICIDQDBO-UHFFFAOYSA-N 0 0 298.364 2.606 20 5 CFBDRN C[C@H](c1nc(Cc2ccc([N+](=O)[O-])cn2)no1)C(C)(F)F ZINC000293315339 198597898 /nfs/dbraw/zinc/59/78/98/198597898.db2.gz XPIJFUXGLNLHFX-SSDOTTSWSA-N 0 0 298.249 2.722 20 5 CFBDRN CS[C@@H](C)C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163911864 188618245 /nfs/dbraw/zinc/61/82/45/188618245.db2.gz UFYICOQCCBNBQG-JTQLQIEISA-N 0 0 294.376 2.837 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H](C)C2)n1 ZINC000166672573 188638529 /nfs/dbraw/zinc/63/85/29/188638529.db2.gz JJFVTFAUDOKBKR-VHSXEESVSA-N 0 0 293.323 2.767 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)NC1CCCC1 ZINC000168992795 188654134 /nfs/dbraw/zinc/65/41/34/188654134.db2.gz XRJSHFGCKWIDIQ-UHFFFAOYSA-N 0 0 267.260 2.798 20 5 CFBDRN CSCCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271750435 191304799 /nfs/dbraw/zinc/30/47/99/191304799.db2.gz KOIGWRFVHBCOKC-SECBINFHSA-N 0 0 268.338 2.525 20 5 CFBDRN Cc1ccc(NC(=O)NOC2CCCC2)cc1[N+](=O)[O-] ZINC000271760838 191311141 /nfs/dbraw/zinc/31/11/41/191311141.db2.gz CQZMCAVVZLPIIN-UHFFFAOYSA-N 0 0 279.296 2.899 20 5 CFBDRN CC(C)[C@@H](O)C1(CNc2ccccc2[N+](=O)[O-])CC1 ZINC000271763775 191312184 /nfs/dbraw/zinc/31/21/84/191312184.db2.gz FEAZTRNLYLOIGI-CYBMUJFWSA-N 0 0 264.325 2.804 20 5 CFBDRN C[C@@H](NC(=O)CNc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000172137596 188783389 /nfs/dbraw/zinc/78/33/89/188783389.db2.gz NVFOFVFOEGDMMD-LLVKDONJSA-N 0 0 291.351 2.702 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCO[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000271799681 191334395 /nfs/dbraw/zinc/33/43/95/191334395.db2.gz ABCPJKSMGRLRKS-NQBHXWOUSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NOC1CCCC1 ZINC000271810777 191341161 /nfs/dbraw/zinc/34/11/61/191341161.db2.gz OERGFLNYPZWAIB-UHFFFAOYSA-N 0 0 295.295 2.599 20 5 CFBDRN Cc1cc(NCC2([C@@H](C)CCO)CCC2)ncc1[N+](=O)[O-] ZINC000271840095 191361781 /nfs/dbraw/zinc/36/17/81/191361781.db2.gz QEBQFFLJBPXZCX-LBPRGKRZSA-N 0 0 293.367 2.899 20 5 CFBDRN Cc1ccnc(NCC2([C@H](O)C(C)C)CC2)c1[N+](=O)[O-] ZINC000271840057 191361826 /nfs/dbraw/zinc/36/18/26/191361826.db2.gz QDJVUFRTGHGFJM-GFCCVEGCSA-N 0 0 279.340 2.507 20 5 CFBDRN C[C@@H](CCO)C1(CNc2ccc([N+](=O)[O-])cn2)CCC1 ZINC000271896704 191397526 /nfs/dbraw/zinc/39/75/26/191397526.db2.gz YKQCNGKXHOBSGY-NSHDSACASA-N 0 0 279.340 2.591 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccccn1)c1ccccc1[N+](=O)[O-] ZINC000271854107 191370374 /nfs/dbraw/zinc/37/03/74/191370374.db2.gz XIZRHQKZKNTMHX-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC000271874323 191381666 /nfs/dbraw/zinc/38/16/66/191381666.db2.gz LAOJHZLVOKJQKZ-NWDGAFQWSA-N 0 0 292.335 2.965 20 5 CFBDRN CN(Cc1ncc(Cl)n1C)c1cccc(F)c1[N+](=O)[O-] ZINC000272223497 191591381 /nfs/dbraw/zinc/59/13/81/191591381.db2.gz IZHUKKOPCZQQMR-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000272242339 191603907 /nfs/dbraw/zinc/60/39/07/191603907.db2.gz YPSMMTALBSIMNB-YPMHNXCESA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000272319044 191654298 /nfs/dbraw/zinc/65/42/98/191654298.db2.gz KMXUZGDTYINQJE-WDEREUQCSA-N 0 0 279.292 2.705 20 5 CFBDRN CCC(CC)n1ccc(Cn2cc([N+](=O)[O-])c(C)n2)n1 ZINC000272395700 191695824 /nfs/dbraw/zinc/69/58/24/191695824.db2.gz VUKZFQRZBAOVEH-UHFFFAOYSA-N 0 0 277.328 2.706 20 5 CFBDRN C[C@H]1CCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000272486429 191745979 /nfs/dbraw/zinc/74/59/79/191745979.db2.gz HHRSUBUVQJLZEA-VIFPVBQESA-N 0 0 284.262 2.555 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272715969 191880926 /nfs/dbraw/zinc/88/09/26/191880926.db2.gz LUFAOXADDVXORU-NXEZZACHSA-N 0 0 278.312 2.690 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1CCCCS1 ZINC000272968811 192001118 /nfs/dbraw/zinc/00/11/18/192001118.db2.gz NWQOVOZMINZWER-LLVKDONJSA-N 0 0 267.306 2.786 20 5 CFBDRN CO[C@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])C(C)(C)C ZINC000174766592 188916813 /nfs/dbraw/zinc/91/68/13/188916813.db2.gz YDIGDZPEEPSNSF-GFCCVEGCSA-N 0 0 294.351 2.694 20 5 CFBDRN CCC1(NC(=O)Cc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000174930535 188924653 /nfs/dbraw/zinc/92/46/53/188924653.db2.gz GXFUUAFPPVPOGF-UHFFFAOYSA-N 0 0 262.309 2.586 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000174953600 188925712 /nfs/dbraw/zinc/92/57/12/188925712.db2.gz ZZBWKPCJYHNEDP-CYBMUJFWSA-N 0 0 292.335 2.669 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](c3ccccc3F)C2)nc1 ZINC000273571452 192209617 /nfs/dbraw/zinc/20/96/17/192209617.db2.gz DIXKNXYCDKVCGP-SNVBAGLBSA-N 0 0 288.282 2.518 20 5 CFBDRN COCCOCCOc1ccc([N+](=O)[O-])c2ccccc12 ZINC000273598471 192223904 /nfs/dbraw/zinc/22/39/04/192223904.db2.gz XJIGGAKUVAXEFD-UHFFFAOYSA-N 0 0 291.303 2.790 20 5 CFBDRN Cc1ccc([C@H](C)CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000273626278 192236894 /nfs/dbraw/zinc/23/68/94/192236894.db2.gz PNFHHYMLLGAGFX-LLVKDONJSA-N 0 0 272.308 2.909 20 5 CFBDRN CC(=O)[C@H](C)S[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000175188717 188938355 /nfs/dbraw/zinc/93/83/55/188938355.db2.gz VWWMRUYFDGHYFB-UWVGGRQHSA-N 0 0 296.348 2.633 20 5 CFBDRN C[C@@H](CN(C)c1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000273682628 192260634 /nfs/dbraw/zinc/26/06/34/192260634.db2.gz ZJRLYLCRBHGEOT-NSHDSACASA-N 0 0 272.308 2.625 20 5 CFBDRN CC(=O)[C@@H](C)SCCOc1cccc([N+](=O)[O-])c1 ZINC000175201640 188939405 /nfs/dbraw/zinc/93/94/05/188939405.db2.gz IEFMIWNLFLFMDK-SNVBAGLBSA-N 0 0 269.322 2.684 20 5 CFBDRN CS[C@@H](C)Cc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273736943 192285830 /nfs/dbraw/zinc/28/58/30/192285830.db2.gz SPZVLTYKQFBEFE-ZETCQYMHSA-N 0 0 294.336 2.521 20 5 CFBDRN Cc1ccc(CNc2c3c(ccc2[N+](=O)[O-])NCC3)nc1 ZINC000568082407 290522412 /nfs/dbraw/zinc/52/24/12/290522412.db2.gz DJUXETWVVUMFFP-UHFFFAOYSA-N 0 0 284.319 2.878 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000568869823 290574710 /nfs/dbraw/zinc/57/47/10/290574710.db2.gz AGVNDQDKHCZGBD-PWSUYJOCSA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000294136706 198922954 /nfs/dbraw/zinc/92/29/54/198922954.db2.gz OCYONDPXELLOGW-VHSXEESVSA-N 0 0 280.349 2.554 20 5 CFBDRN CC/C=C/CNC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000296041838 199762533 /nfs/dbraw/zinc/76/25/33/199762533.db2.gz XCLUFKLIHMNFED-ONEGZZNKSA-N 0 0 287.319 2.632 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)[C@H]1C ZINC000294363164 199013275 /nfs/dbraw/zinc/01/32/75/199013275.db2.gz XFLLXVYCCVHDRR-ZJUUUORDSA-N 0 0 289.335 2.650 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCc2c1cccc2F)[N+](=O)[O-] ZINC000294331853 199001310 /nfs/dbraw/zinc/00/13/10/199001310.db2.gz ACUWEPCSNWFKNA-CYBMUJFWSA-N 0 0 294.326 2.765 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc2[nH]ccc2c1)[N+](=O)[O-] ZINC000294392289 199023393 /nfs/dbraw/zinc/02/33/93/199023393.db2.gz KDFCAQICMYPHHY-UHFFFAOYSA-N 0 0 275.308 2.942 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2cccc(C(F)F)c2)c1 ZINC000356058613 540303562 /nfs/dbraw/zinc/30/35/62/540303562.db2.gz LFJXUKNNWFUNLQ-UHFFFAOYSA-N 0 0 253.208 2.777 20 5 CFBDRN CS[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000294416264 199031063 /nfs/dbraw/zinc/03/10/63/199031063.db2.gz NKVWBDOCOZMKIU-NSHDSACASA-N 0 0 280.349 2.739 20 5 CFBDRN CC(C)(NC(=O)c1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000294424942 199034176 /nfs/dbraw/zinc/03/41/76/199034176.db2.gz OWEFTVZTERYJLZ-UHFFFAOYSA-N 0 0 282.243 2.727 20 5 CFBDRN Cc1ccc(F)cc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294478919 199055993 /nfs/dbraw/zinc/05/59/93/199055993.db2.gz VPMFOVQBQVKBAE-UHFFFAOYSA-N 0 0 268.288 2.908 20 5 CFBDRN C[C@H](c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1)C(C)(F)F ZINC000294537906 199077436 /nfs/dbraw/zinc/07/74/36/199077436.db2.gz ALMPMHHXHYGKQO-ZCFIWIBFSA-N 0 0 298.249 2.986 20 5 CFBDRN CC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1nc(C)cs1 ZINC000294576407 199092594 /nfs/dbraw/zinc/09/25/94/199092594.db2.gz MCHZIAYIIJMZRA-SNVBAGLBSA-N 0 0 299.396 2.854 20 5 CFBDRN CCCCN(C)C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000294585424 199096776 /nfs/dbraw/zinc/09/67/76/199096776.db2.gz WLHQXXPMFFDZPZ-UHFFFAOYSA-N 0 0 292.335 2.816 20 5 CFBDRN COCc1ccccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294621990 199110548 /nfs/dbraw/zinc/11/05/48/199110548.db2.gz WJKDSDXICPBZQB-UHFFFAOYSA-N 0 0 280.324 2.607 20 5 CFBDRN CC(C)=CCC[C@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000296252147 199831610 /nfs/dbraw/zinc/83/16/10/199831610.db2.gz IYFCODIJLRMEFR-JTQLQIEISA-N 0 0 250.302 2.932 20 5 CFBDRN CC(C)N(C(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000175960498 188974497 /nfs/dbraw/zinc/97/44/97/188974497.db2.gz FLSYPQQQBBIRRJ-UHFFFAOYSA-N 0 0 291.351 2.720 20 5 CFBDRN Cc1nc(N2CCC(OC3CCC3)CC2)ccc1[N+](=O)[O-] ZINC000418971135 236418835 /nfs/dbraw/zinc/41/88/35/236418835.db2.gz JZZCGZKQAZGBPB-UHFFFAOYSA-N 0 0 291.351 2.836 20 5 CFBDRN O=C(Nc1ncc(Cl)s1)c1ccc([N+](=O)[O-])cc1 ZINC000147762593 540397135 /nfs/dbraw/zinc/39/71/35/540397135.db2.gz CYODBTMMZVAFAQ-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CCn1cc([C@@H](C)NCc2csc([N+](=O)[O-])c2)cn1 ZINC000294788964 199183831 /nfs/dbraw/zinc/18/38/31/199183831.db2.gz HLBDDGPZHAWFNF-SECBINFHSA-N 0 0 280.353 2.724 20 5 CFBDRN C[C@H](CCO)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000294858500 199216620 /nfs/dbraw/zinc/21/66/20/199216620.db2.gz CWNDXBNEKOTMBQ-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN COC[C@@]1(C)CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000294861909 199218559 /nfs/dbraw/zinc/21/85/59/199218559.db2.gz CGBVOEYAKNZHDM-ZDUSSCGKSA-N 0 0 268.288 2.597 20 5 CFBDRN CCOc1cccc(NC[C@H](C)CCCO)c1[N+](=O)[O-] ZINC000294893735 199234950 /nfs/dbraw/zinc/23/49/50/199234950.db2.gz GMGCOVORCOXBEH-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN CC[C@H](C)[C@H](CNc1cc(N)ccc1[N+](=O)[O-])OC ZINC000294942138 199262412 /nfs/dbraw/zinc/26/24/12/199262412.db2.gz LJILLQOBHDEYLJ-ZANVPECISA-N 0 0 267.329 2.650 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@H]1CC[C@@H](CO)C1 ZINC000296291380 199843162 /nfs/dbraw/zinc/84/31/62/199843162.db2.gz LUUILMWVHSWCJH-SCZZXKLOSA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1cccnc1CCCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000296460802 199899819 /nfs/dbraw/zinc/89/98/19/199899819.db2.gz PKTDZZRASCXLTP-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000274779342 192772426 /nfs/dbraw/zinc/77/24/26/192772426.db2.gz WBAHOLIJKKVWOT-KBPBESRZSA-N 0 0 276.336 2.546 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000274779349 192772766 /nfs/dbraw/zinc/77/27/66/192772766.db2.gz WBAHOLIJKKVWOT-ZIAGYGMSSA-N 0 0 276.336 2.546 20 5 CFBDRN Cc1cnc(CNc2cc([N+](=O)[O-])c(C)cc2F)o1 ZINC000296830149 200009304 /nfs/dbraw/zinc/00/93/04/200009304.db2.gz MMOHPXXDLRHIHX-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CC(=O)c1cccc(COC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000296933180 200038087 /nfs/dbraw/zinc/03/80/87/200038087.db2.gz MYWCGKRTNSXVKG-UHFFFAOYSA-N 0 0 293.319 2.768 20 5 CFBDRN CC(C)(CNC(=O)c1c(N)cccc1[N+](=O)[O-])C1CCC1 ZINC000297142237 200096310 /nfs/dbraw/zinc/09/63/10/200096310.db2.gz UXFOBIQINUQNTG-UHFFFAOYSA-N 0 0 291.351 2.733 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297147094 200097034 /nfs/dbraw/zinc/09/70/34/200097034.db2.gz NZIQCXIXFGASCB-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1nc(N(C)C[C@@H](C)c2ccccc2)ncc1[N+](=O)[O-] ZINC000297291394 200136775 /nfs/dbraw/zinc/13/67/75/200136775.db2.gz XXXKJQQURWVQJE-LLVKDONJSA-N 0 0 286.335 2.933 20 5 CFBDRN C[C@H](NC(=O)N[C@@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000297408969 200180273 /nfs/dbraw/zinc/18/02/73/200180273.db2.gz IPKXOLDTQCDDMM-UWVGGRQHSA-N 0 0 277.324 2.754 20 5 CFBDRN Cc1nc(N2CC[C@@H](C)C[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000297498517 200211814 /nfs/dbraw/zinc/21/18/14/200211814.db2.gz MXSJWKXQBPFIKR-ZJUUUORDSA-N 0 0 264.329 2.566 20 5 CFBDRN C[C@H](NC(=O)N1CCC=C(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000297556575 200227006 /nfs/dbraw/zinc/22/70/06/200227006.db2.gz KEQDPUNUBMSKKP-JTQLQIEISA-N 0 0 293.298 2.925 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CSC1CC1 ZINC000297620292 200244383 /nfs/dbraw/zinc/24/43/83/200244383.db2.gz BYMBEDAHRNGGGN-UHFFFAOYSA-N 0 0 284.312 2.876 20 5 CFBDRN CCC1(NC(=O)c2cc(OC)c(F)cc2[N+](=O)[O-])CCC1 ZINC000297711214 200266502 /nfs/dbraw/zinc/26/65/02/200266502.db2.gz MRMWULMVGFUNQD-UHFFFAOYSA-N 0 0 296.298 2.805 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000297711820 200267211 /nfs/dbraw/zinc/26/72/11/200267211.db2.gz GDYQPVDAGBGSNX-MFKMUULPSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000297819282 200286352 /nfs/dbraw/zinc/28/63/52/200286352.db2.gz PXJDYAHUHVTPQI-ZCFIWIBFSA-N 0 0 274.198 2.636 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CSC1CC1 ZINC000297828363 200288041 /nfs/dbraw/zinc/28/80/41/200288041.db2.gz QLSGGVDSELIHBM-UHFFFAOYSA-N 0 0 280.349 2.991 20 5 CFBDRN C[C@H]1OCCN(c2nc3ccccc3cc2[N+](=O)[O-])[C@@H]1C ZINC000276628880 291208202 /nfs/dbraw/zinc/20/82/02/291208202.db2.gz NXVVKXNLWHSUDM-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NCC1CC(F)(F)C1 ZINC000298281951 200381676 /nfs/dbraw/zinc/38/16/76/200381676.db2.gz OOQQAHUUFKYNSF-AATRIKPKSA-N 0 0 296.273 2.770 20 5 CFBDRN CC(C)[C@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000298282026 200381944 /nfs/dbraw/zinc/38/19/44/200381944.db2.gz LZCIOWDVAJLJAP-GFCCVEGCSA-N 0 0 255.339 2.845 20 5 CFBDRN Cc1ccc(NC(=O)CCOC(C)C)cc1[N+](=O)[O-] ZINC000298372013 200410373 /nfs/dbraw/zinc/41/03/73/200410373.db2.gz VMDBOMIOLLSSHJ-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)[C@@H]1C ZINC000177127188 189030413 /nfs/dbraw/zinc/03/04/13/189030413.db2.gz KWBSXHCNZSCTGO-NXEZZACHSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)[C@H]1C ZINC000177127220 189030646 /nfs/dbraw/zinc/03/06/46/189030646.db2.gz KWBSXHCNZSCTGO-ZJUUUORDSA-N 0 0 287.319 2.947 20 5 CFBDRN O=[N+]([O-])c1ccn(CCN(CC2CC2)c2ccccc2)n1 ZINC000177178544 189034290 /nfs/dbraw/zinc/03/42/90/189034290.db2.gz YQTHHELBWYADIZ-UHFFFAOYSA-N 0 0 286.335 2.708 20 5 CFBDRN C[C@@H]1CC[C@]2(CCN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)C1 ZINC000596189849 540704669 /nfs/dbraw/zinc/70/46/69/540704669.db2.gz LVBBUWGGFBUCKE-YGRLFVJLSA-N 0 0 277.324 2.712 20 5 CFBDRN CCCC[C@@H](CCC)NC(=O)Cn1cc([N+](=O)[O-])nc1C ZINC000177276402 189040891 /nfs/dbraw/zinc/04/08/91/189040891.db2.gz WODIBLABJKWNIA-GFCCVEGCSA-N 0 0 296.371 2.575 20 5 CFBDRN Cc1nc(NC(=O)[C@@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000177664460 189062192 /nfs/dbraw/zinc/06/21/92/189062192.db2.gz PURJMHXBEYUFRO-QMMMGPOBSA-N 0 0 251.286 2.529 20 5 CFBDRN CS[C@@H](C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177873174 189076090 /nfs/dbraw/zinc/07/60/90/189076090.db2.gz ZGZGXHLRHXGILY-UWVGGRQHSA-N 0 0 282.365 2.832 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)Cc1cccnc1 ZINC000178004040 189085358 /nfs/dbraw/zinc/08/53/58/189085358.db2.gz KRSURGXHKWPRNP-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN C[C@H](NC(=O)C1[C@@H]2CCC[C@H]12)c1cccc([N+](=O)[O-])c1 ZINC000178136990 189092512 /nfs/dbraw/zinc/09/25/12/189092512.db2.gz JLYGFVMPAGVDHI-PRDYLTDRSA-N 0 0 274.320 2.818 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000178169027 189095133 /nfs/dbraw/zinc/09/51/33/189095133.db2.gz VCQMBEVXDBCEBE-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](O)CC(C)(C)C)n1 ZINC000178228218 189099533 /nfs/dbraw/zinc/09/95/33/189099533.db2.gz INWXQIPKZZRUOL-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN CO[C@H](CNc1nc(C)ccc1[N+](=O)[O-])C(C)(C)C ZINC000178243476 189100429 /nfs/dbraw/zinc/10/04/29/189100429.db2.gz PJOJAPFTIWZBJF-LLVKDONJSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H]3OCC[C@@H]32)n1 ZINC000178265414 189101232 /nfs/dbraw/zinc/10/12/32/189101232.db2.gz OXPRCALEAHKZQB-NQBHXWOUSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C1CC1 ZINC000178391704 189112805 /nfs/dbraw/zinc/11/28/05/189112805.db2.gz BNWRWEMLNRKBKC-SSDOTTSWSA-N 0 0 252.245 2.719 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000299080806 200601625 /nfs/dbraw/zinc/60/16/25/200601625.db2.gz ABXUJDYFJOSENZ-GFCCVEGCSA-N 0 0 293.367 2.823 20 5 CFBDRN C[C@H]1OCCN(Cc2cc([N+](=O)[O-])ccc2Cl)[C@H]1C ZINC000178595694 189129813 /nfs/dbraw/zinc/12/98/13/189129813.db2.gz DSWLMOHJQCKFOD-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN CC(C)(C)CNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000299098387 200607335 /nfs/dbraw/zinc/60/73/35/200607335.db2.gz MBMHJTHACFJOOG-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN Cc1noc(C)c1CN1CCc2c1cccc2[N+](=O)[O-] ZINC000178947671 189156730 /nfs/dbraw/zinc/15/67/30/189156730.db2.gz GIKORFPAXMAZDA-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CCCCCCO ZINC000179261380 189181279 /nfs/dbraw/zinc/18/12/79/189181279.db2.gz BYVQMYIPNKLYCD-UHFFFAOYSA-N 0 0 264.325 2.510 20 5 CFBDRN C[C@H](NC(=O)C(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000179313813 189185632 /nfs/dbraw/zinc/18/56/32/189185632.db2.gz DPXOPGNEIOSOAG-QMMMGPOBSA-N 0 0 254.261 2.520 20 5 CFBDRN C[C@@H](NC(=O)NC1(C)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000179533596 189200311 /nfs/dbraw/zinc/20/03/11/189200311.db2.gz MWAZGWHYUOUHCL-SNVBAGLBSA-N 0 0 277.324 2.898 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)C(C)C ZINC000299579794 200787614 /nfs/dbraw/zinc/78/76/14/200787614.db2.gz DEWGSMZSJSMNRW-SNVBAGLBSA-N 0 0 250.298 2.678 20 5 CFBDRN CCCCNC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000179865700 189224416 /nfs/dbraw/zinc/22/44/16/189224416.db2.gz NSQCAALXWDHKPR-UHFFFAOYSA-N 0 0 288.250 2.726 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2ncnn2CC(C)C)c1 ZINC000180006145 189231837 /nfs/dbraw/zinc/23/18/37/189231837.db2.gz ZDOWMFKVBFNMLC-UHFFFAOYSA-N 0 0 290.323 2.730 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCCCCCO ZINC000180213359 189244180 /nfs/dbraw/zinc/24/41/80/189244180.db2.gz PRAFWFUGPIIBKV-UHFFFAOYSA-N 0 0 273.720 2.608 20 5 CFBDRN COc1cc(N[C@H](C)c2cnn(C)c2C)ccc1[N+](=O)[O-] ZINC000180397500 189253023 /nfs/dbraw/zinc/25/30/23/189253023.db2.gz FHVHPKCOTGFFPK-SECBINFHSA-N 0 0 290.323 2.818 20 5 CFBDRN Cc1ccc(N[C@@H]2C[C@@H]3OCCC[C@H]23)c([N+](=O)[O-])c1 ZINC000302568281 540984792 /nfs/dbraw/zinc/98/47/92/540984792.db2.gz PLMLXVPEWSLECR-QKCSRTOESA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1cc(Oc2cccc(C(N)=O)c2)ccc1[N+](=O)[O-] ZINC000301101209 200915537 /nfs/dbraw/zinc/91/55/37/200915537.db2.gz LWYKHMDAULZHSH-UHFFFAOYSA-N 0 0 272.260 2.794 20 5 CFBDRN Cc1ccc(C)c(CSc2ncc([N+](=O)[O-])c(N)n2)c1 ZINC000301177909 200933052 /nfs/dbraw/zinc/93/30/52/200933052.db2.gz GQOPHWFNOKYVRL-UHFFFAOYSA-N 0 0 290.348 2.876 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000301221454 200942068 /nfs/dbraw/zinc/94/20/68/200942068.db2.gz BZPZHPWBOGSVBO-NOZJJQNGSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301246638 200950213 /nfs/dbraw/zinc/95/02/13/200950213.db2.gz QEQCEFCAFHOVFO-NOZJJQNGSA-N 0 0 297.311 2.712 20 5 CFBDRN Cc1nnc(Sc2ncc([N+](=O)[O-])cc2Cl)s1 ZINC000301297910 200968320 /nfs/dbraw/zinc/96/83/20/200968320.db2.gz YWEIGXRNAIWDIP-UHFFFAOYSA-N 0 0 288.741 2.954 20 5 CFBDRN CCCN(CC(F)F)c1nc2sccn2c1[N+](=O)[O-] ZINC000301287314 200964116 /nfs/dbraw/zinc/96/41/16/200964116.db2.gz RWFGCRIHYAJWLV-UHFFFAOYSA-N 0 0 290.295 2.786 20 5 CFBDRN COc1cc(N(C)CC2CCC2)ccc1[N+](=O)[O-] ZINC000301388410 200995010 /nfs/dbraw/zinc/99/50/10/200995010.db2.gz DWMOMLMDHZLXIQ-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN Cc1cnc(NCc2nccn2CC(C)C)c([N+](=O)[O-])c1 ZINC000301428437 201004957 /nfs/dbraw/zinc/00/49/57/201004957.db2.gz HUMLLKJCRJYWLF-UHFFFAOYSA-N 0 0 289.339 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@](C)(O)C(C)C ZINC000301450747 201012664 /nfs/dbraw/zinc/01/26/64/201012664.db2.gz SXMMWOHUWVTDDI-ZDUSSCGKSA-N 0 0 252.314 2.722 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H](O)C2)c1 ZINC000301470411 201019350 /nfs/dbraw/zinc/01/93/50/201019350.db2.gz IXHZQOMHZOAUJD-NXEZZACHSA-N 0 0 298.314 2.706 20 5 CFBDRN Cc1cnc(NCCOCc2ccccc2)c([N+](=O)[O-])c1 ZINC000301470933 201020556 /nfs/dbraw/zinc/02/05/56/201020556.db2.gz JIBMPIYFEUOIQK-UHFFFAOYSA-N 0 0 287.319 2.927 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])cc2)CCCC[C@H]1O ZINC000301491437 201026760 /nfs/dbraw/zinc/02/67/60/201026760.db2.gz NMBJZBSUZLKEAE-KGLIPLIRSA-N 0 0 264.325 2.948 20 5 CFBDRN COC[C@@H]1CCCN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000301525376 201047876 /nfs/dbraw/zinc/04/78/76/201047876.db2.gz ISLWHFCVOBABIZ-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCCC[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)C1CCC1 ZINC000301592372 201086263 /nfs/dbraw/zinc/08/62/63/201086263.db2.gz DKSDSJCBRTWSLI-SNVBAGLBSA-N 0 0 279.344 2.738 20 5 CFBDRN COC(=O)c1cc(NCCOC(C)C)cc(C)c1[N+](=O)[O-] ZINC000182642559 189329986 /nfs/dbraw/zinc/32/99/86/189329986.db2.gz FREYCBBSKOPSGW-UHFFFAOYSA-N 0 0 296.323 2.527 20 5 CFBDRN Cc1nc(NCCc2ccc3c(c2)CCO3)ccc1[N+](=O)[O-] ZINC000301631685 201106080 /nfs/dbraw/zinc/10/60/80/201106080.db2.gz CUQUMCPKMUOFSH-UHFFFAOYSA-N 0 0 299.330 2.888 20 5 CFBDRN C[C@H](C[C@H](O)c1ccco1)Nc1ncccc1[N+](=O)[O-] ZINC000301629552 201106217 /nfs/dbraw/zinc/10/62/17/201106217.db2.gz IETWNVCUKIRWEU-KOLCDFICSA-N 0 0 277.280 2.507 20 5 CFBDRN CC(C)OC(=O)[C@H](C)N(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000301636575 201108824 /nfs/dbraw/zinc/10/88/24/201108824.db2.gz ABJBEKQLAGWLNI-VIFPVBQESA-N 0 0 284.287 2.510 20 5 CFBDRN CCOC(=O)c1cc(NCC(C)C)ccc1[N+](=O)[O-] ZINC000301640976 201109985 /nfs/dbraw/zinc/10/99/85/201109985.db2.gz YVQDCIZYELQFFV-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN CSCC[C@@H](C)N(C)c1ccc([N+](=O)[O-])c(C)n1 ZINC000301637711 201110090 /nfs/dbraw/zinc/11/00/90/201110090.db2.gz FOZKFQDJWYQOLH-SECBINFHSA-N 0 0 269.370 2.876 20 5 CFBDRN COc1cc(C=O)ccc1Oc1ccc([N+](=O)[O-])c(C)n1 ZINC000301613628 201097865 /nfs/dbraw/zinc/09/78/65/201097865.db2.gz AGJIJHRZYBYRKB-UHFFFAOYSA-N 0 0 288.259 2.912 20 5 CFBDRN Cc1cc(N2CC[C@H](Oc3ccncc3)C2)ccc1[N+](=O)[O-] ZINC000301619490 201099342 /nfs/dbraw/zinc/09/93/42/201099342.db2.gz BYVFSFICNUVIFW-HNNXBMFYSA-N 0 0 299.330 2.956 20 5 CFBDRN COc1cc(N2C[C@@H]3[C@H](C2)C3(C)C)c([N+](=O)[O-])cc1F ZINC000301649651 201114536 /nfs/dbraw/zinc/11/45/36/201114536.db2.gz RHYXPBGRNGKZEB-DTORHVGOSA-N 0 0 280.299 2.835 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000301669210 201126237 /nfs/dbraw/zinc/12/62/37/201126237.db2.gz PPRQFQQRKKNSMT-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@@H](C)O[C@H](C)C1 ZINC000183219119 189350796 /nfs/dbraw/zinc/35/07/96/189350796.db2.gz XBNMRJPFIUKBAP-VXGBXAGGSA-N 0 0 264.325 2.512 20 5 CFBDRN Cn1ccnc1[C@H](NCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000183306764 189354259 /nfs/dbraw/zinc/35/42/59/189354259.db2.gz MLWGYFAQEPMYID-CQSZACIVSA-N 0 0 286.335 2.569 20 5 CFBDRN COCCN(Cc1ccc([N+](=O)[O-])cc1C)CC(F)F ZINC000183322245 189354726 /nfs/dbraw/zinc/35/47/26/189354726.db2.gz MDPYPDMRJSMVCA-UHFFFAOYSA-N 0 0 288.294 2.617 20 5 CFBDRN Cc1nc(N(C)[C@@H]2[C@H]3CCO[C@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000301686859 201138106 /nfs/dbraw/zinc/13/81/06/201138106.db2.gz XGVXESYJMSVUMF-LERXQTSPSA-N 0 0 291.351 2.548 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCOC2(CCC2)C1 ZINC000183364208 189356269 /nfs/dbraw/zinc/35/62/69/189356269.db2.gz WYAWFRGGUSQJNR-UHFFFAOYSA-N 0 0 276.336 2.658 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183564047 189362434 /nfs/dbraw/zinc/36/24/34/189362434.db2.gz CVIRENDILPSJMH-BDAKNGLRSA-N 0 0 266.301 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nnc(C3CC3)s2)cc1 ZINC000301705443 201150726 /nfs/dbraw/zinc/15/07/26/201150726.db2.gz BFEJUIDGUCCJNC-UHFFFAOYSA-N 0 0 276.321 2.936 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000183623599 189364456 /nfs/dbraw/zinc/36/44/56/189364456.db2.gz MOAIMYFOMLPOCC-ZETCQYMHSA-N 0 0 288.250 2.725 20 5 CFBDRN CCC[C@@H](CC)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183631327 189364482 /nfs/dbraw/zinc/36/44/82/189364482.db2.gz RBVPIMPSGOHPOZ-SECBINFHSA-N 0 0 266.301 2.690 20 5 CFBDRN NC(=O)[C@H]1CCCCC[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000301771967 201187514 /nfs/dbraw/zinc/18/75/14/201187514.db2.gz JPJLXKNLNGZSCQ-QWRGUYRKSA-N 0 0 295.314 2.580 20 5 CFBDRN CCC(=O)CCCSc1ncccc1[N+](=O)[O-] ZINC000183876044 189370140 /nfs/dbraw/zinc/37/01/40/189370140.db2.gz PMJZOFQBDXKRSM-UHFFFAOYSA-N 0 0 254.311 2.841 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@@H](C)C(=O)OC(C)C)c1 ZINC000301790340 201200633 /nfs/dbraw/zinc/20/06/33/201200633.db2.gz WKEQJRSIQYOYGB-NSHDSACASA-N 0 0 280.324 2.680 20 5 CFBDRN CNC(=O)[C@@H](Nc1cc(C)c([N+](=O)[O-])cc1Cl)C(C)C ZINC000301793583 201202671 /nfs/dbraw/zinc/20/26/71/201202671.db2.gz RWFHGINWHKQGAT-LBPRGKRZSA-N 0 0 299.758 2.739 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCc2nc(C3CC3)no2)c(F)c1 ZINC000301776573 201190546 /nfs/dbraw/zinc/19/05/46/201190546.db2.gz HULKUVSCVFRVHF-UHFFFAOYSA-N 0 0 296.233 2.746 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000301777926 201191708 /nfs/dbraw/zinc/19/17/08/201191708.db2.gz MFKLKASPFJXJJI-SNVBAGLBSA-N 0 0 266.297 2.514 20 5 CFBDRN C[C@@](O)(CNc1c(F)cc([N+](=O)[O-])cc1F)c1ccco1 ZINC000301779086 201192620 /nfs/dbraw/zinc/19/26/20/201192620.db2.gz IRWOWEKBDPVGRT-CYBMUJFWSA-N 0 0 298.245 2.786 20 5 CFBDRN CNc1c(C(=O)N2CCCC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000358874059 283685860 /nfs/dbraw/zinc/68/58/60/283685860.db2.gz IDTJEYFWMOGQEU-NSHDSACASA-N 0 0 291.351 2.899 20 5 CFBDRN Cc1ccc(Oc2cccc(C(N)=O)c2)c([N+](=O)[O-])c1 ZINC000301821218 201216911 /nfs/dbraw/zinc/21/69/11/201216911.db2.gz LXMXOHLKBRZDJW-UHFFFAOYSA-N 0 0 272.260 2.794 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H]1COc2ccccc21 ZINC000301825528 201220829 /nfs/dbraw/zinc/22/08/29/201220829.db2.gz IUOLMCBCLRFDPX-MFKMUULPSA-N 0 0 285.303 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H]2O)cc1C(F)(F)F ZINC000301825561 201220887 /nfs/dbraw/zinc/22/08/87/201220887.db2.gz MIHPZYSAUDULBW-ONGXEEELSA-N 0 0 290.241 2.939 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@]2(F)c2ccccc2)c1[N+](=O)[O-] ZINC000425269459 238974627 /nfs/dbraw/zinc/97/46/27/238974627.db2.gz CFGSIBDPGBJIFV-RISCZKNCSA-N 0 0 290.298 2.686 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])[C@@H]1COc2ccccc21 ZINC000301860163 201235846 /nfs/dbraw/zinc/23/58/46/201235846.db2.gz SVRRLBUIGLEMSM-PWSUYJOCSA-N 0 0 285.303 2.966 20 5 CFBDRN CC(=O)c1ccc(CNc2ccc([N+](=O)[O-])cn2)nc1C ZINC000301885010 201248873 /nfs/dbraw/zinc/24/88/73/201248873.db2.gz ZJXYXTXHZKKQCS-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CCCN(CCC)C(=O)COc1c(F)cccc1[N+](=O)[O-] ZINC000185036308 189401718 /nfs/dbraw/zinc/40/17/18/189401718.db2.gz QLAWKHZYLFFDCL-UHFFFAOYSA-N 0 0 298.314 2.761 20 5 CFBDRN CN(CC1CCOCC1)c1ccc([N+](=O)[O-])cc1F ZINC000301909319 201258268 /nfs/dbraw/zinc/25/82/68/201258268.db2.gz AYPCLGXMLRMQRN-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN CCCCCCNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301919451 201262925 /nfs/dbraw/zinc/26/29/25/201262925.db2.gz KGMHBLUZALGYSN-UHFFFAOYSA-N 0 0 263.301 2.630 20 5 CFBDRN COc1cc(N(C)Cc2ccncc2)c(F)cc1[N+](=O)[O-] ZINC000301967489 201282239 /nfs/dbraw/zinc/28/22/39/201282239.db2.gz OTJYDIBMFMVCGB-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN COCCCCCNc1cccc(OC)c1[N+](=O)[O-] ZINC000185293409 189408787 /nfs/dbraw/zinc/40/87/87/189408787.db2.gz LWXFRZTYVKUMGV-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN C[C@@H]1CCN(c2ccc3ncc([N+](=O)[O-])n3n2)C[C@@H](C)C1 ZINC000301981886 201287016 /nfs/dbraw/zinc/28/70/16/201287016.db2.gz YAZYFWASHKQUFT-MNOVXSKESA-N 0 0 289.339 2.510 20 5 CFBDRN O=[N+]([O-])c1ccsc1Nc1cnn(CC(F)F)c1 ZINC000301986726 201289073 /nfs/dbraw/zinc/28/90/73/201289073.db2.gz DBTPMKFZKQMRTJ-UHFFFAOYSA-N 0 0 274.252 2.862 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@H](c2ncc[nH]2)C1 ZINC000302012601 201296860 /nfs/dbraw/zinc/29/68/60/201296860.db2.gz ZIKFNCWVQNLQDZ-VIFPVBQESA-N 0 0 278.337 2.763 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1sccc1[N+](=O)[O-] ZINC000302022096 201303495 /nfs/dbraw/zinc/30/34/95/201303495.db2.gz OJOFDLGRWQIPLS-MRVPVSSYSA-N 0 0 258.343 2.865 20 5 CFBDRN CCOC[C@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000302027431 201305775 /nfs/dbraw/zinc/30/57/75/201305775.db2.gz QVAJVILNPSRGBL-JTQLQIEISA-N 0 0 270.354 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nc(-c3ccncc3)no2)cc1 ZINC000302003072 201294782 /nfs/dbraw/zinc/29/47/82/201294782.db2.gz XXMONZHVGRTRBH-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN CC(C)CCn1ccc(Nc2ccc([N+](=O)[O-])cn2)n1 ZINC000302055368 201322131 /nfs/dbraw/zinc/32/21/31/201322131.db2.gz YZOIKYKGLSKBQC-UHFFFAOYSA-N 0 0 275.312 2.976 20 5 CFBDRN CC(=O)c1ccc(N(C)[C@H](CO)CC(C)C)c([N+](=O)[O-])c1 ZINC000185877465 189424898 /nfs/dbraw/zinc/42/48/98/189424898.db2.gz WWDLQXXEIXHDSI-ZDUSSCGKSA-N 0 0 294.351 2.641 20 5 CFBDRN CCOc1cc(NCCc2ccc[nH]2)ccc1[N+](=O)[O-] ZINC000302067540 201328575 /nfs/dbraw/zinc/32/85/75/201328575.db2.gz SWNBGNSIEUDOER-UHFFFAOYSA-N 0 0 275.308 2.976 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC2)c(OC(F)F)c1 ZINC000302033628 201310676 /nfs/dbraw/zinc/31/06/76/201310676.db2.gz DFUWWLNTZFIDTD-UHFFFAOYSA-N 0 0 258.224 2.796 20 5 CFBDRN C[C@H](c1cnn(C)c1)N(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000302077031 201334641 /nfs/dbraw/zinc/33/46/41/201334641.db2.gz YQQMKAJRXCSTEU-SECBINFHSA-N 0 0 278.287 2.665 20 5 CFBDRN CCOc1cccc(NC[C@H](O)c2ccco2)c1[N+](=O)[O-] ZINC000302093203 201339206 /nfs/dbraw/zinc/33/92/06/201339206.db2.gz HXUVFIDSGZZCEI-NSHDSACASA-N 0 0 292.291 2.732 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1cccc(-n2ccnn2)c1 ZINC000302147283 201365052 /nfs/dbraw/zinc/36/50/52/201365052.db2.gz IPEUNLWFZULFBI-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN O=[N+]([O-])c1cnc(Sc2ccccc2F)nc1 ZINC000302162315 201375284 /nfs/dbraw/zinc/37/52/84/201375284.db2.gz PVLFUACRFRHKGG-UHFFFAOYSA-N 0 0 251.242 2.675 20 5 CFBDRN CCOCc1ccc(Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302167128 201377400 /nfs/dbraw/zinc/37/74/00/201377400.db2.gz RYWYPXMHSGCZKI-UHFFFAOYSA-N 0 0 274.280 2.665 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000186840791 189452946 /nfs/dbraw/zinc/45/29/46/189452946.db2.gz DOUXZBUHMXRKCZ-VHSXEESVSA-N 0 0 263.297 2.529 20 5 CFBDRN Cc1cc(=O)oc2cc(Oc3ncc([N+](=O)[O-])cn3)ccc12 ZINC000302179728 201385799 /nfs/dbraw/zinc/38/57/99/201385799.db2.gz YUWOUFGOWGFIEG-UHFFFAOYSA-N 0 0 299.242 2.592 20 5 CFBDRN Cc1cc(Oc2ccc(C)[n+]([O-])c2)ccc1[N+](=O)[O-] ZINC000302217727 201403267 /nfs/dbraw/zinc/40/32/67/201403267.db2.gz KCSAZTDJOLKORZ-UHFFFAOYSA-N 0 0 260.249 2.637 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000428093011 239379291 /nfs/dbraw/zinc/37/92/91/239379291.db2.gz COYJSUYNQROWNM-ZSBIGDGJSA-N 0 0 280.711 2.777 20 5 CFBDRN Cc1ccc(COc2ccc([N+](=O)[O-])c(CO)c2)c(C)n1 ZINC000428092831 239379587 /nfs/dbraw/zinc/37/95/87/239379587.db2.gz QUWWORMMQSMWBG-UHFFFAOYSA-N 0 0 288.303 2.678 20 5 CFBDRN CCc1nn(C)c(NCCC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000302217946 201404284 /nfs/dbraw/zinc/40/42/84/201404284.db2.gz KPPADHKMOWCHKP-NSHDSACASA-N 0 0 296.371 2.652 20 5 CFBDRN CNC(=O)c1ccc(N[C@H](C)CCC2CC2)c([N+](=O)[O-])c1 ZINC000302224593 201408851 /nfs/dbraw/zinc/40/88/51/201408851.db2.gz QNKVGUYVRJMZGA-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H](O)CC(F)(F)F ZINC000189413465 189533605 /nfs/dbraw/zinc/53/36/05/189533605.db2.gz PLEOGTGIYIJJOO-VIFPVBQESA-N 0 0 279.214 2.595 20 5 CFBDRN COc1ccc(OC[C@H](O)CC2CCCC2)cc1[N+](=O)[O-] ZINC000189572244 189538570 /nfs/dbraw/zinc/53/85/70/189538570.db2.gz COZRQMNZSPHJHT-GFCCVEGCSA-N 0 0 295.335 2.923 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@H](C)CCCO)cc1[N+](=O)[O-] ZINC000190081182 189553796 /nfs/dbraw/zinc/55/37/96/189553796.db2.gz NFASOPILWBIVJS-LLVKDONJSA-N 0 0 294.351 2.609 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191021926 189580308 /nfs/dbraw/zinc/58/03/08/189580308.db2.gz VESVCIZBHMEIDR-JTQLQIEISA-N 0 0 297.307 2.714 20 5 CFBDRN C/C=C(\CC)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191019188 189580510 /nfs/dbraw/zinc/58/05/10/189580510.db2.gz XWKWGWSNILMGFK-RUDMXATFSA-N 0 0 265.265 2.865 20 5 CFBDRN Cc1cccc(C(=O)N2CCSC[C@H](C)C2)c1[N+](=O)[O-] ZINC000191283345 189590079 /nfs/dbraw/zinc/59/00/79/189590079.db2.gz VJTWQKIVCDJNND-SNVBAGLBSA-N 0 0 294.376 2.728 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H](C)CC2CC2)ccc1[N+](=O)[O-] ZINC000430702730 239796901 /nfs/dbraw/zinc/79/69/01/239796901.db2.gz RRAYKSKYRZOLKK-LLVKDONJSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1cc(Oc2cccc3c2OCCO3)ncc1[N+](=O)[O-] ZINC000302240629 201419396 /nfs/dbraw/zinc/41/93/96/201419396.db2.gz XNYUTZGDHAFHEZ-UHFFFAOYSA-N 0 0 288.259 2.862 20 5 CFBDRN COc1ccc(OC[C@H](O)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000191362392 189593120 /nfs/dbraw/zinc/59/31/20/189593120.db2.gz PMQYVJJBUVDSKM-AWEZNQCLSA-N 0 0 295.335 2.923 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000431775593 239931927 /nfs/dbraw/zinc/93/19/27/239931927.db2.gz YBMGIIZFXZFHIP-QWRGUYRKSA-N 0 0 291.351 2.785 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432134836 239967289 /nfs/dbraw/zinc/96/72/89/239967289.db2.gz WHBAGRNHLGFPRK-OTDNITJGSA-N 0 0 287.319 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc(F)c(Cl)cc2F)nc1 ZINC000432930103 240073114 /nfs/dbraw/zinc/07/31/14/240073114.db2.gz VBOXVHKYRNMKKI-UHFFFAOYSA-N 0 0 299.664 2.955 20 5 CFBDRN Cc1ccncc1CSc1ncccc1[N+](=O)[O-] ZINC000433372385 240151839 /nfs/dbraw/zinc/15/18/39/240151839.db2.gz HQIAZALAFBCITE-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN CC(C)CCO[C@@H]1CCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000433798571 240176286 /nfs/dbraw/zinc/17/62/86/240176286.db2.gz IYLDTYCBEGRDCP-CYBMUJFWSA-N 0 0 279.340 2.631 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000302301518 201431497 /nfs/dbraw/zinc/43/14/97/201431497.db2.gz YTAGFBDRCXBFJB-JDGPPOGSSA-N 0 0 287.319 2.882 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCCC[C@H]1c1ccc(F)cc1 ZINC000374045171 296073358 /nfs/dbraw/zinc/07/33/58/296073358.db2.gz WOQPEZIYCXNDLB-HZSPNIEDSA-N 0 0 292.310 2.545 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]1C ZINC000434978219 240278858 /nfs/dbraw/zinc/27/88/58/240278858.db2.gz MISRIXKPBRZCBI-OUAUKWLOSA-N 0 0 279.340 2.725 20 5 CFBDRN Cc1cc[nH]c1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000436119410 240393358 /nfs/dbraw/zinc/39/33/58/240393358.db2.gz CRDBISPYUMHPQO-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN CCC(O)(CC)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000275372903 193013643 /nfs/dbraw/zinc/01/36/43/193013643.db2.gz NXSHVCLDDTUBGT-UHFFFAOYSA-N 0 0 279.340 2.526 20 5 CFBDRN CCOC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000275412118 193026616 /nfs/dbraw/zinc/02/66/16/193026616.db2.gz CCHVRUPCTZPPES-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@H](C)[C@H](C)C1 ZINC000275632044 193091308 /nfs/dbraw/zinc/09/13/08/193091308.db2.gz LMURJOWKOJJEIO-AOOOYVTPSA-N 0 0 293.323 2.723 20 5 CFBDRN CN(C(=O)c1cc(F)ccc1F)c1ccc([N+](=O)[O-])nc1 ZINC000439287139 240758914 /nfs/dbraw/zinc/75/89/14/240758914.db2.gz VDDNSXADLUNFQZ-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N(C)CC(C)C ZINC000275835315 193142611 /nfs/dbraw/zinc/14/26/11/193142611.db2.gz ZAZAKPVBWOMCFK-UHFFFAOYSA-N 0 0 281.312 2.723 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1CC(F)(F)C1 ZINC000440510555 240845238 /nfs/dbraw/zinc/84/52/38/240845238.db2.gz KLUDVSCGUDPEQX-UHFFFAOYSA-N 0 0 284.262 2.599 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(CCC3(O)CC3)c2c(Cl)c1 ZINC000442857278 241007588 /nfs/dbraw/zinc/00/75/88/241007588.db2.gz SOARDCQBUDFPCE-UHFFFAOYSA-N 0 0 281.699 2.513 20 5 CFBDRN Cn1c2cc(NCc3cccc([N+](=O)[O-])c3)ccc2[nH]c1=O ZINC000442969602 241025387 /nfs/dbraw/zinc/02/53/87/241025387.db2.gz UACIYQAIICDAKS-UHFFFAOYSA-N 0 0 298.302 2.799 20 5 CFBDRN CC[C@@H](F)CS(=O)(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000443062773 241035260 /nfs/dbraw/zinc/03/52/60/241035260.db2.gz XKASFIASONLICT-LLVKDONJSA-N 0 0 289.328 2.566 20 5 CFBDRN Cc1ccsc1[C@@H](CO)Nc1ncc([N+](=O)[O-])s1 ZINC000443508578 241067025 /nfs/dbraw/zinc/06/70/25/241067025.db2.gz FWDRWAATAUGJQB-SSDOTTSWSA-N 0 0 285.350 2.567 20 5 CFBDRN C[C@@H](CC(=O)OC(C)(C)C)Nc1ncc([N+](=O)[O-])s1 ZINC000443508238 241067204 /nfs/dbraw/zinc/06/72/04/241067204.db2.gz IOQQQXVVAHXCJR-ZETCQYMHSA-N 0 0 287.341 2.584 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])s1)C1Cc2ccccc2C1 ZINC000443520884 241069567 /nfs/dbraw/zinc/06/95/67/241069567.db2.gz TVLOHUNSNVRYRV-UHFFFAOYSA-N 0 0 275.333 2.655 20 5 CFBDRN Cc1ccnc(NCCc2c[nH]c3ncccc23)c1[N+](=O)[O-] ZINC000443892323 241093899 /nfs/dbraw/zinc/09/38/99/241093899.db2.gz UFGAVPRXLRGXFO-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN C[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000443981316 241099536 /nfs/dbraw/zinc/09/95/36/241099536.db2.gz ASTCXPDJQNYWSP-JGVFFNPUSA-N 0 0 253.277 2.917 20 5 CFBDRN Cn1cc([C@@H](Nc2ncccc2[N+](=O)[O-])C(C)(C)C)cn1 ZINC000444083090 241112531 /nfs/dbraw/zinc/11/25/31/241112531.db2.gz ISDSEWDMMWLMJJ-GFCCVEGCSA-N 0 0 289.339 2.923 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000444143146 241119105 /nfs/dbraw/zinc/11/91/05/241119105.db2.gz LPLYGJVYBKPYLN-YPMHNXCESA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1cc2cc[nH]c2cc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000444451899 241141603 /nfs/dbraw/zinc/14/16/03/241141603.db2.gz VWVIZZQRRSVWTB-UHFFFAOYSA-N 0 0 298.302 2.975 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000444471907 241144527 /nfs/dbraw/zinc/14/45/27/241144527.db2.gz ZHLFIZWCNYCEJY-UWVGGRQHSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Sc2ncco2)n1 ZINC000444861881 241198196 /nfs/dbraw/zinc/19/81/96/241198196.db2.gz BDIWGZKMHSUPPJ-UHFFFAOYSA-N 0 0 251.267 2.746 20 5 CFBDRN Cc1cc(CN(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)ccc1F ZINC000444280611 241133399 /nfs/dbraw/zinc/13/33/99/241133399.db2.gz YHKDLEFVCICXHC-UHFFFAOYSA-N 0 0 291.282 2.643 20 5 CFBDRN COc1cc(CN2C[C@H](C)O[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000446058788 241266783 /nfs/dbraw/zinc/26/67/83/241266783.db2.gz GOHDQNQNUHWEJV-SDDRHHMPSA-N 0 0 294.351 2.601 20 5 CFBDRN C[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])[C@@H](C)[C@@H](C)O1 ZINC000446071536 241270287 /nfs/dbraw/zinc/27/02/87/241270287.db2.gz JSCBBOAZRJHHHE-GRYCIOLGSA-N 0 0 264.325 2.593 20 5 CFBDRN Cc1ccc(C)c(-c2nc(-c3c([N+](=O)[O-])ncn3C)no2)c1 ZINC000445576869 241223986 /nfs/dbraw/zinc/22/39/86/241223986.db2.gz LYOYOBHACYLHFT-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN Cn1cncc1-c1noc(/C=C\c2cccc([N+](=O)[O-])c2)n1 ZINC000445560847 241224277 /nfs/dbraw/zinc/22/42/77/241224277.db2.gz IKXDNQVNHVDUMN-WAYWQWQTSA-N 0 0 297.274 2.549 20 5 CFBDRN CN(Cc1ccoc1)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000445909350 241253042 /nfs/dbraw/zinc/25/30/42/241253042.db2.gz DIDYAWYVPMIEIL-UHFFFAOYSA-N 0 0 288.303 2.779 20 5 CFBDRN COC[C@H](C)CC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000445942948 241254344 /nfs/dbraw/zinc/25/43/44/241254344.db2.gz GAFUHWMANPULCV-LLVKDONJSA-N 0 0 294.351 2.619 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)c1cn[nH]c1 ZINC000446478913 241301020 /nfs/dbraw/zinc/30/10/20/241301020.db2.gz ABXZGZZWRIVOCU-VIFPVBQESA-N 0 0 289.295 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1cccnc1)CCN2 ZINC000302471226 201456813 /nfs/dbraw/zinc/45/68/13/201456813.db2.gz UGQHPEDBLRCYLY-UHFFFAOYSA-N 0 0 270.292 2.570 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCN2CCCCC2=O)c1 ZINC000447067965 241349107 /nfs/dbraw/zinc/34/91/07/241349107.db2.gz KENFXTIXSJEZKA-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN C[C@@H]1C[C@H](CSc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000447117039 241354442 /nfs/dbraw/zinc/35/44/42/241354442.db2.gz AAOUQAPAOWOZPX-NXEZZACHSA-N 0 0 268.338 2.897 20 5 CFBDRN CN(C(=O)[C@H]1CCC(C)(C)O1)c1ccc([N+](=O)[O-])cc1 ZINC000447190037 241358239 /nfs/dbraw/zinc/35/82/39/241358239.db2.gz DNIQRGVFSZJKPA-GFCCVEGCSA-N 0 0 278.308 2.515 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC[C@H]1CCO[C@@H](C)C1 ZINC000447402942 241374044 /nfs/dbraw/zinc/37/40/44/241374044.db2.gz BVUHQTCEJDMAMR-QWRGUYRKSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)ccn1 ZINC000447508329 241379521 /nfs/dbraw/zinc/37/95/21/241379521.db2.gz QTZXGSYOPRLBCB-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCOc1ncccc1F ZINC000446882723 241333849 /nfs/dbraw/zinc/33/38/49/241333849.db2.gz BJTRVBCYBUBQPL-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCOc1ncccc1F ZINC000446883258 241334164 /nfs/dbraw/zinc/33/41/64/241334164.db2.gz JGUUGKXGJVBVJX-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN Cc1ccc(CNCc2nc3cc([N+](=O)[O-])ccc3[nH]2)o1 ZINC000446855111 241334811 /nfs/dbraw/zinc/33/48/11/241334811.db2.gz GPUJEPVPFMCXGQ-UHFFFAOYSA-N 0 0 286.291 2.662 20 5 CFBDRN C[C@H]1C[C@@H](NCc2ccc([N+](=O)[O-])cc2F)c2nccn21 ZINC000449569093 242039488 /nfs/dbraw/zinc/03/94/88/242039488.db2.gz GEFBOWUPTQKOND-TVQRCGJNSA-N 0 0 290.298 2.726 20 5 CFBDRN O=C(CC1CC(F)(F)C1)NCc1ccc([N+](=O)[O-])cc1 ZINC000449797385 242174014 /nfs/dbraw/zinc/17/40/14/242174014.db2.gz YDVYUOVYPZXPMF-UHFFFAOYSA-N 0 0 284.262 2.646 20 5 CFBDRN C[C@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000369214853 291391638 /nfs/dbraw/zinc/39/16/38/291391638.db2.gz MGPARZKQHCXYPM-LSDHHAIUSA-N 0 0 291.351 2.794 20 5 CFBDRN Cc1cccc(N(C)CC(=O)Nc2ccccc2)c1[N+](=O)[O-] ZINC000302702430 201516599 /nfs/dbraw/zinc/51/65/99/201516599.db2.gz BKORWSPQXWHWGO-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)NCCc1cccc([N+](=O)[O-])c1 ZINC000450274106 242335343 /nfs/dbraw/zinc/33/53/43/242335343.db2.gz FXYYIVYNRBAJDU-IPYPFGDCSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1nc(N[C@H]2C[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000450274684 242338105 /nfs/dbraw/zinc/33/81/05/242338105.db2.gz HNNFNQPSOVYWKI-RYUDHWBXSA-N 0 0 270.292 2.661 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000450313390 242352597 /nfs/dbraw/zinc/35/25/97/242352597.db2.gz QPUFXEIOYHSZTQ-PWSUYJOCSA-N 0 0 292.335 2.911 20 5 CFBDRN Cc1cccc([C@@H](C)CNc2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000450345029 242366847 /nfs/dbraw/zinc/36/68/47/242366847.db2.gz DNQIHHYSORLHEZ-NSHDSACASA-N 0 0 286.335 2.639 20 5 CFBDRN O=C([C@@H]1CC1(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000450182965 242299923 /nfs/dbraw/zinc/29/99/23/242299923.db2.gz IMEWIJAVJLYLEC-NSHDSACASA-N 0 0 296.273 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc(N(C[C@H]2CCCO2)C2CCCC2)cn1 ZINC000450354851 242373001 /nfs/dbraw/zinc/37/30/01/242373001.db2.gz APCDTNQNRIIDMR-CQSZACIVSA-N 0 0 291.351 2.918 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])nc2)C12CCC2 ZINC000450396889 242395239 /nfs/dbraw/zinc/39/52/39/242395239.db2.gz WXHBWJRNAXIEHJ-STQMWFEESA-N 0 0 291.351 2.774 20 5 CFBDRN C[C@H]1OCC[C@@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450404696 242398538 /nfs/dbraw/zinc/39/85/38/242398538.db2.gz CZAPIOHBXUVTSG-SKDRFNHKSA-N 0 0 273.292 2.732 20 5 CFBDRN CS[C@H](CNc1ncc([N+](=O)[O-])c(C)n1)C(C)(C)C ZINC000450443459 242423434 /nfs/dbraw/zinc/42/34/34/242423434.db2.gz VMSJGZAARNKZMF-SNVBAGLBSA-N 0 0 284.385 2.883 20 5 CFBDRN Cc1nn(CC(=O)CC(C)(C)C2CC2)c(C)c1[N+](=O)[O-] ZINC000450568778 242482844 /nfs/dbraw/zinc/48/28/44/242482844.db2.gz SXUKJYSPUCPQOS-UHFFFAOYSA-N 0 0 279.340 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CF)CCOCC2)c(F)c1 ZINC000450732583 242569416 /nfs/dbraw/zinc/56/94/16/242569416.db2.gz ISNYILUZYGANMK-UHFFFAOYSA-N 0 0 286.278 2.912 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450783646 242601852 /nfs/dbraw/zinc/60/18/52/242601852.db2.gz DLRREQMMVKMYBT-ZIAGYGMSSA-N 0 0 287.319 2.544 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000302774431 201546515 /nfs/dbraw/zinc/54/65/15/201546515.db2.gz OFYQBMSBYXHYSS-BDAKNGLRSA-N 0 0 281.312 2.623 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302884121 201587844 /nfs/dbraw/zinc/58/78/44/201587844.db2.gz LLVLBZQRTFPEKB-AWEZNQCLSA-N 0 0 293.367 2.772 20 5 CFBDRN C[C@H](NC(=O)CCOCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000452180273 243077840 /nfs/dbraw/zinc/07/78/40/243077840.db2.gz BZRCTJUFMGMLDN-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN CO[C@@H](C)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000275987024 193180721 /nfs/dbraw/zinc/18/07/21/193180721.db2.gz DNQFJGHISUBNMH-ZETCQYMHSA-N 0 0 276.239 2.643 20 5 CFBDRN CC[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(F)F ZINC000452245675 243097085 /nfs/dbraw/zinc/09/70/85/243097085.db2.gz NDPVXIDXOPLNIO-MRVPVSSYSA-N 0 0 297.261 2.850 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)F ZINC000452248361 243099017 /nfs/dbraw/zinc/09/90/17/243099017.db2.gz QHWPYXJCGQTGAT-SECBINFHSA-N 0 0 276.214 2.507 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC2(CC2)C1 ZINC000452279153 243109480 /nfs/dbraw/zinc/10/94/80/243109480.db2.gz YEEUFZVNPQXOMZ-UHFFFAOYSA-N 0 0 292.360 2.943 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](F)C1 ZINC000452381008 243148467 /nfs/dbraw/zinc/14/84/67/243148467.db2.gz SHDNVOACIIWCOC-STQMWFEESA-N 0 0 294.326 2.734 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CC[C@@H]1C1CC1 ZINC000452501919 243198697 /nfs/dbraw/zinc/19/86/97/243198697.db2.gz RGADPQNVPLKDSI-OAHLLOKOSA-N 0 0 299.330 2.560 20 5 CFBDRN CC(C)C[C@]1(C)CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000452506085 243201314 /nfs/dbraw/zinc/20/13/14/243201314.db2.gz AGRROQXBTOWQPE-HNNXBMFYSA-N 0 0 293.367 2.974 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000452488218 243193034 /nfs/dbraw/zinc/19/30/34/243193034.db2.gz OIKTXBVDZMAPKV-OTYXRUKQSA-N 0 0 292.310 2.848 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000452766269 243290787 /nfs/dbraw/zinc/29/07/87/243290787.db2.gz MSWUZCJBJYXEFH-MNOVXSKESA-N 0 0 299.330 2.919 20 5 CFBDRN NC(=O)C[C@@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000330514309 202909381 /nfs/dbraw/zinc/90/93/81/202909381.db2.gz HAZTZFJRCLNWLN-NSHDSACASA-N 0 0 291.307 2.515 20 5 CFBDRN CCO[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@@H]1C ZINC000452900002 243332726 /nfs/dbraw/zinc/33/27/26/243332726.db2.gz GQDQNAIHWIJJHQ-UWVGGRQHSA-N 0 0 298.364 2.543 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C[C@H]1C ZINC000302752012 284069177 /nfs/dbraw/zinc/06/91/77/284069177.db2.gz HLTDCLHEVAENKA-VXGBXAGGSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2nnc(C3CC3)s2)c1 ZINC000453055588 243378105 /nfs/dbraw/zinc/37/81/05/243378105.db2.gz DOTZKQFQGRMBJL-UHFFFAOYSA-N 0 0 276.321 2.936 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000453001266 243360515 /nfs/dbraw/zinc/36/05/15/243360515.db2.gz PJZWUVRKXHHJMP-NXEZZACHSA-N 0 0 266.297 2.744 20 5 CFBDRN CC(C)(C(=O)N1CC[C@@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000452998468 243360667 /nfs/dbraw/zinc/36/06/67/243360667.db2.gz WARXVUTXCLBNKF-CYBMUJFWSA-N 0 0 288.347 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C\[C@@H]3CCOC3)n2)cc1 ZINC000453024673 243367699 /nfs/dbraw/zinc/36/76/99/243367699.db2.gz PKXGITJKBUGHJA-ONFJKGSWSA-N 0 0 287.275 2.695 20 5 CFBDRN O=C(NCCC[C@@H]1CCOC1)Nc1cccc([N+](=O)[O-])c1 ZINC000454143469 243640576 /nfs/dbraw/zinc/64/05/76/243640576.db2.gz SLIIFPKYHHFFJE-LLVKDONJSA-N 0 0 293.323 2.533 20 5 CFBDRN CC[C@@](C)(CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1)OC ZINC000455080417 243886075 /nfs/dbraw/zinc/88/60/75/243886075.db2.gz FVBCAJLZVYFHFO-AWEZNQCLSA-N 0 0 295.339 2.840 20 5 CFBDRN CC/C=C\CNC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000455162689 243905399 /nfs/dbraw/zinc/90/53/99/243905399.db2.gz IPWQLKMSEXFFLH-PLNGDYQASA-N 0 0 279.296 2.691 20 5 CFBDRN CC[C@H]1CCCN(C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000330670382 203099841 /nfs/dbraw/zinc/09/98/41/203099841.db2.gz ZEMRKVVBMREAKJ-LBPRGKRZSA-N 0 0 292.335 2.622 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000456300496 244131470 /nfs/dbraw/zinc/13/14/70/244131470.db2.gz PDODPHAGZAMEOK-CABZTGNLSA-N 0 0 280.299 2.756 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000456304460 244133072 /nfs/dbraw/zinc/13/30/72/244133072.db2.gz VJKHQBSOWQCYGI-ZFWWWQNUSA-N 0 0 290.363 2.936 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000456273247 244122467 /nfs/dbraw/zinc/12/24/67/244122467.db2.gz HTZIYEMGDVNPCI-LSDHHAIUSA-N 0 0 286.331 2.855 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000456290481 244127329 /nfs/dbraw/zinc/12/73/29/244127329.db2.gz WATPRUIAIBNCSL-CABCVRRESA-N 0 0 286.331 2.855 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2C[C@H]2C(C)C)cc([N+](=O)[O-])c1 ZINC000456289985 244128246 /nfs/dbraw/zinc/12/82/46/244128246.db2.gz AFRVQUNLGARPBN-JSGCOSHPSA-N 0 0 276.336 2.925 20 5 CFBDRN Cc1c(CN2CCO[C@H](C(C)C)C2)cccc1[N+](=O)[O-] ZINC000330736244 203171971 /nfs/dbraw/zinc/17/19/71/203171971.db2.gz MDROBZLJFXJUEW-HNNXBMFYSA-N 0 0 278.352 2.760 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC1CCSCC1 ZINC000330739371 203175559 /nfs/dbraw/zinc/17/55/59/203175559.db2.gz PUBZEPKIWPVSIU-UHFFFAOYSA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1c(CC(=O)NC[C@@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000456867667 244310380 /nfs/dbraw/zinc/31/03/80/244310380.db2.gz BEYKCJPIIZGOMP-KBPBESRZSA-N 0 0 288.347 2.608 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H](C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000330794867 203244893 /nfs/dbraw/zinc/24/48/93/203244893.db2.gz DFRIMISGYDAXDN-QMMMGPOBSA-N 0 0 282.344 2.571 20 5 CFBDRN O=C(NCCOCC1CCCC1)c1csc([N+](=O)[O-])c1 ZINC000457127683 244401672 /nfs/dbraw/zinc/40/16/72/244401672.db2.gz DWQFGQJBXQBFCE-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN CC(C)[C@@H](C)CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000330857938 203319230 /nfs/dbraw/zinc/31/92/30/203319230.db2.gz UQYDKGLKWRSNGU-JTQLQIEISA-N 0 0 265.313 2.635 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@]1(C)CCOC1 ZINC000330857598 203320008 /nfs/dbraw/zinc/32/00/08/203320008.db2.gz SSPCFTKYVXDRNH-CQSZACIVSA-N 0 0 278.308 2.577 20 5 CFBDRN CCC(C)(C)OCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000457467398 244557391 /nfs/dbraw/zinc/55/73/91/244557391.db2.gz SNNULVWFBGOWTK-LLVKDONJSA-N 0 0 294.351 2.977 20 5 CFBDRN CCOC(CCNc1cccc(F)c1[N+](=O)[O-])OCC ZINC000276191525 193238092 /nfs/dbraw/zinc/23/80/92/193238092.db2.gz HZXQYXWSYUOSOR-UHFFFAOYSA-N 0 0 286.303 2.935 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CCS1 ZINC000330904781 203374658 /nfs/dbraw/zinc/37/46/58/203374658.db2.gz AIGUVYHNRPMKAL-UHFFFAOYSA-N 0 0 297.380 2.671 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCC(C)(C)C ZINC000276208650 193243011 /nfs/dbraw/zinc/24/30/11/193243011.db2.gz JYZPNGWDWWKVHT-UHFFFAOYSA-N 0 0 252.314 2.891 20 5 CFBDRN COC(=O)C1(CNc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000276210553 193244385 /nfs/dbraw/zinc/24/43/85/193244385.db2.gz KCJBTQFDMLDDSY-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1CCSC1 ZINC000276375335 193306249 /nfs/dbraw/zinc/30/62/49/193306249.db2.gz FADRDWCIGLDSAO-JTQLQIEISA-N 0 0 295.364 2.839 20 5 CFBDRN C[C@@H](C1CCCCC1)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330961536 203422635 /nfs/dbraw/zinc/42/26/35/203422635.db2.gz JTHHWILOEACWRD-JTQLQIEISA-N 0 0 279.340 2.964 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNCC(F)(F)F ZINC000276488934 193351944 /nfs/dbraw/zinc/35/19/44/193351944.db2.gz PSINSYRKQUMVJS-UHFFFAOYSA-N 0 0 278.230 2.645 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2C[C@@H](OC(C)C)C2)cc1[N+](=O)[O-] ZINC000457854609 244695421 /nfs/dbraw/zinc/69/54/21/244695421.db2.gz MJTPTISYNIORDY-AOOOYVTPSA-N 0 0 298.364 2.651 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2CC(C)C)c1 ZINC000457993157 244731211 /nfs/dbraw/zinc/73/12/11/244731211.db2.gz OFXWAIMKDVKWRC-ZWNOBZJWSA-N 0 0 292.335 2.768 20 5 CFBDRN COC1CCC(NC(=O)c2cc([N+](=O)[O-])c(C)s2)CC1 ZINC000458038721 244744890 /nfs/dbraw/zinc/74/48/90/244744890.db2.gz JEUNDBRHSRYGAC-UHFFFAOYSA-N 0 0 298.364 2.652 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@]1(C)C(C)C ZINC000458117203 244770137 /nfs/dbraw/zinc/77/01/37/244770137.db2.gz IXGAREUQWBKQMM-UKRRQHHQSA-N 0 0 292.335 2.768 20 5 CFBDRN CCNc1ccc(C(=O)N2CC[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000331099170 203541042 /nfs/dbraw/zinc/54/10/42/203541042.db2.gz AKPCMDGGQFBHNN-MNOVXSKESA-N 0 0 291.351 2.897 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2[nH]c1=NOC[C@H]1CCOC1 ZINC000276926563 193502424 /nfs/dbraw/zinc/50/24/24/193502424.db2.gz PGDVYRBUXSWLIY-JTQLQIEISA-N 0 0 289.291 2.523 20 5 CFBDRN CCOc1cc(CN2C[C@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000276971003 193519168 /nfs/dbraw/zinc/51/91/68/193519168.db2.gz WWRFFMLRTAPEKZ-RYUDHWBXSA-N 0 0 294.351 2.603 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H]1C(C)C ZINC000277046317 193539129 /nfs/dbraw/zinc/53/91/29/193539129.db2.gz HQICSFQLWZXCEG-ZDUSSCGKSA-N 0 0 292.335 2.864 20 5 CFBDRN COC1CCC(NC(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000459253676 245109975 /nfs/dbraw/zinc/10/99/75/245109975.db2.gz RWTWCEPNIPYOBF-UHFFFAOYSA-N 0 0 293.323 2.674 20 5 CFBDRN COC1CN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)C1 ZINC000459583372 245227125 /nfs/dbraw/zinc/22/71/25/245227125.db2.gz PEQADPLGYBGVBM-UHFFFAOYSA-N 0 0 288.303 2.685 20 5 CFBDRN COCC1(C)CN(C/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000459625598 245248046 /nfs/dbraw/zinc/24/80/46/245248046.db2.gz XZNDXMYYEMRSBK-ALCCZGGFSA-N 0 0 276.336 2.576 20 5 CFBDRN C[C@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000459790579 245328308 /nfs/dbraw/zinc/32/83/08/245328308.db2.gz MHHXOKOAFRRRHZ-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1CC[C@H]2C[C@H]21 ZINC000459882487 245341400 /nfs/dbraw/zinc/34/14/00/245341400.db2.gz CHRZZOPDROZRAZ-JBLDHEPKSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1cc(N[C@H]2CCc3n[nH]cc3C2)c(F)cc1[N+](=O)[O-] ZINC000461951728 245372434 /nfs/dbraw/zinc/37/24/34/245372434.db2.gz YLVNMHHGSHWOIB-JTQLQIEISA-N 0 0 290.298 2.735 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000331798142 204174285 /nfs/dbraw/zinc/17/42/85/204174285.db2.gz UTXNPQAEERDWTH-ONGXEEELSA-N 0 0 287.319 2.995 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000428098768 284264806 /nfs/dbraw/zinc/26/48/06/284264806.db2.gz KMKFYEMKWGIMOV-YABSGUDNSA-N 0 0 286.287 2.869 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@@H]2CCc3ccccc3C2)c1 ZINC000331915503 204289320 /nfs/dbraw/zinc/28/93/20/204289320.db2.gz BRKIPWVUDMBHGE-LLVKDONJSA-N 0 0 257.293 2.596 20 5 CFBDRN O=C(Nc1cc(F)ccc1F)c1ccc([N+](=O)[O-])o1 ZINC000020448639 284282984 /nfs/dbraw/zinc/28/29/84/284282984.db2.gz FVIBCIHGQYRRHM-UHFFFAOYSA-N 0 0 268.175 2.718 20 5 CFBDRN O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1C[C@H]2CCC[C@@H]2C1 ZINC000154791970 296176628 /nfs/dbraw/zinc/17/66/28/296176628.db2.gz UWJUWZUYLJTTJB-RKDXNWHRSA-N 0 0 296.273 2.745 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CN1CCC[C@H](C)C1 ZINC000003564728 371809398 /nfs/dbraw/zinc/80/93/98/371809398.db2.gz SCCDPMLZVHUZFL-NSHDSACASA-N 0 0 291.351 2.574 20 5 CFBDRN Cc1cc(CNC(=O)c2cccc([N+](=O)[O-])c2)ccc1F ZINC000011008117 371915774 /nfs/dbraw/zinc/91/57/74/371915774.db2.gz XCBYMDFELKGXRS-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN COC(=O)c1ccc(OCc2ccccc2[N+](=O)[O-])cc1 ZINC000014738851 372073574 /nfs/dbraw/zinc/07/35/74/372073574.db2.gz ZMVVKWJPCUSSKV-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN Cc1csc(NC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000015007809 372076768 /nfs/dbraw/zinc/07/67/68/372076768.db2.gz NMGSHTKIVYSWHM-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN Cc1nn([C@H](C)C(=O)N(C(C)C)C(C)C)c(C)c1[N+](=O)[O-] ZINC000015369401 372084054 /nfs/dbraw/zinc/08/40/54/372084054.db2.gz JFMHSOSJGZSDHF-GFCCVEGCSA-N 0 0 296.371 2.615 20 5 CFBDRN COc1cccc(CNC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000015532827 372086614 /nfs/dbraw/zinc/08/66/14/372086614.db2.gz NPDFFBMYDMNUJW-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN COc1ccc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000015616775 372088153 /nfs/dbraw/zinc/08/81/53/372088153.db2.gz DUXBUEHMJRKVIT-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCCCCNC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000017322569 372132726 /nfs/dbraw/zinc/13/27/26/372132726.db2.gz ALBQSSBDZBYZOG-UHFFFAOYSA-N 0 0 266.297 2.523 20 5 CFBDRN COc1ccc(NCc2cccc([N+](=O)[O-])c2)cn1 ZINC000020035070 372221632 /nfs/dbraw/zinc/22/16/32/372221632.db2.gz CCXPBFGZVKUMGT-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1cccc2nccnc21 ZINC000347527210 284316459 /nfs/dbraw/zinc/31/64/59/284316459.db2.gz JWUZOGWECQQIFE-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN COc1ccc(C=O)cc1Oc1ccc([N+](=O)[O-])cn1 ZINC000023605668 372263148 /nfs/dbraw/zinc/26/31/48/372263148.db2.gz LPVMLHLOEYBTRI-UHFFFAOYSA-N 0 0 274.232 2.603 20 5 CFBDRN COc1cccc(CN(C)c2ncccc2[N+](=O)[O-])c1 ZINC000024106933 372270749 /nfs/dbraw/zinc/27/07/49/372270749.db2.gz IZAHMMNMKNFWHV-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN COc1cc(F)ccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000025795937 372308718 /nfs/dbraw/zinc/30/87/18/372308718.db2.gz XDMDRBXASZLLJD-UHFFFAOYSA-N 0 0 280.211 2.588 20 5 CFBDRN CCc1nnc(Sc2ccc([N+](=O)[O-])cc2F)[nH]1 ZINC000029584776 372382851 /nfs/dbraw/zinc/38/28/51/372382851.db2.gz SKGNLQGEMAOCGY-UHFFFAOYSA-N 0 0 268.273 2.566 20 5 CFBDRN O=C(Cc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029891801 372387866 /nfs/dbraw/zinc/38/78/66/372387866.db2.gz NTSASTRTQHIARG-UHFFFAOYSA-N 0 0 282.299 2.727 20 5 CFBDRN COC(=O)c1ccc(CNc2ccc([N+](=O)[O-])cc2)cc1 ZINC000030553181 372394405 /nfs/dbraw/zinc/39/44/05/372394405.db2.gz UTFOMRJEDAOLMW-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H](C)C[C@@H]1C ZINC000277581195 193698879 /nfs/dbraw/zinc/69/88/79/193698879.db2.gz MICSRUBTCUFPDJ-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC1CCCC1 ZINC000033316486 372489764 /nfs/dbraw/zinc/48/97/64/372489764.db2.gz CRBOPZSBZARSTP-KTKRTIGZSA-N 0 0 260.293 2.667 20 5 CFBDRN O=C(N[C@@H]1CCCc2occc21)c1ccc([N+](=O)[O-])cc1 ZINC000033606709 372497948 /nfs/dbraw/zinc/49/79/48/372497948.db2.gz HHINEPJVHIJYMT-CYBMUJFWSA-N 0 0 286.287 2.995 20 5 CFBDRN O=C(NCC1CCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000034653140 372511817 /nfs/dbraw/zinc/51/18/17/372511817.db2.gz FUWBCEURHMMJFE-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CNC(=O)c1ccc(NCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000035018814 372529210 /nfs/dbraw/zinc/52/92/10/372529210.db2.gz LHDXNTUBEFHJIS-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN O=C(Cc1cccs1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000036136708 372561918 /nfs/dbraw/zinc/56/19/18/372561918.db2.gz IZNUVXVRYMEVQJ-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC3CCOCC3)ccc2c1 ZINC000036320015 372572148 /nfs/dbraw/zinc/57/21/48/372572148.db2.gz UKIVJOVVSTZRDK-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCC[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000036749275 372588577 /nfs/dbraw/zinc/58/85/77/372588577.db2.gz BGGDVJPBMCTZDA-ATZCPNFKSA-N 0 0 266.345 2.873 20 5 CFBDRN CC(=O)c1ccc(N(C)Cc2ccccn2)c([N+](=O)[O-])c1 ZINC000036777639 372591596 /nfs/dbraw/zinc/59/15/96/372591596.db2.gz AHQWIPHZUCLJQZ-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN NC(=O)C1CCC(Nc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000037981562 372747534 /nfs/dbraw/zinc/74/75/34/372747534.db2.gz OUMAWZHQXIMLDL-UHFFFAOYSA-N 0 0 297.742 2.704 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCOC2)c2ccncc21 ZINC000037988133 372749772 /nfs/dbraw/zinc/74/97/72/372749772.db2.gz OVUDSOUEAYDICF-SNVBAGLBSA-N 0 0 273.292 2.591 20 5 CFBDRN Cc1cccc(OCC(=O)c2cccn2C)c1[N+](=O)[O-] ZINC000037991058 372751721 /nfs/dbraw/zinc/75/17/21/372751721.db2.gz XNGWCGVDNSSVOR-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN CC(C)C[C@H](CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000040779193 372788844 /nfs/dbraw/zinc/78/88/44/372788844.db2.gz PRDFHNCXBSTCQU-LLVKDONJSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cc(N2CCCC[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000040444230 372782644 /nfs/dbraw/zinc/78/26/44/372782644.db2.gz CALUGBGEDKNLAQ-LBPRGKRZSA-N 0 0 264.325 2.645 20 5 CFBDRN C[C@@H](NCc1nccs1)c1ccccc1[N+](=O)[O-] ZINC000041012438 372802605 /nfs/dbraw/zinc/80/26/05/372802605.db2.gz HTTNCAXXPIEENA-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(O)c1 ZINC000041031497 372804196 /nfs/dbraw/zinc/80/41/96/372804196.db2.gz FKAVUIGZJKXQBM-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CC(C)=CCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000477951945 245604126 /nfs/dbraw/zinc/60/41/26/245604126.db2.gz UWUJXIFFEGPHDJ-UHFFFAOYSA-N 0 0 257.293 2.943 20 5 CFBDRN CC(C)CCC[C@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000042591349 372936280 /nfs/dbraw/zinc/93/62/80/372936280.db2.gz VHRPJWBNOJPAQK-JTQLQIEISA-N 0 0 254.334 2.955 20 5 CFBDRN Cc1nc(NCCCc2ccccc2F)ccc1[N+](=O)[O-] ZINC000480783400 245639137 /nfs/dbraw/zinc/63/91/37/245639137.db2.gz ZSMZLFXNJNLHMP-UHFFFAOYSA-N 0 0 289.310 2.904 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000045630884 373022829 /nfs/dbraw/zinc/02/28/29/373022829.db2.gz KFGSYQDNMALKSQ-SNVBAGLBSA-N 0 0 278.283 2.820 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000045624384 373022978 /nfs/dbraw/zinc/02/29/78/373022978.db2.gz ZMRABULHPOEOSP-WCQYABFASA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000045623738 373023181 /nfs/dbraw/zinc/02/31/81/373023181.db2.gz YWPQNIHGUOLOFU-LLVKDONJSA-N 0 0 277.324 2.679 20 5 CFBDRN Cc1cccc(CNC(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000045622608 373023445 /nfs/dbraw/zinc/02/34/45/373023445.db2.gz HVFPWZPCWHWKJT-UHFFFAOYSA-N 0 0 299.330 2.903 20 5 CFBDRN CCCNC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000046438945 373054017 /nfs/dbraw/zinc/05/40/17/373054017.db2.gz JMXSCEIMDWXJNK-UHFFFAOYSA-N 0 0 257.677 2.780 20 5 CFBDRN Cc1cc(CN(C)Cc2cccc([N+](=O)[O-])c2)no1 ZINC000046783350 373062833 /nfs/dbraw/zinc/06/28/33/373062833.db2.gz YPALBBMULDBZPL-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN Cc1cc(C)c(C(=O)Cn2nccc2[N+](=O)[O-])cc1C ZINC000047168837 373080596 /nfs/dbraw/zinc/08/05/96/373080596.db2.gz PUKCVZQMPPKXNZ-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN Cc1ncc(CN(C)Cc2ccccc2[N+](=O)[O-])s1 ZINC000047259214 373085369 /nfs/dbraw/zinc/08/53/69/373085369.db2.gz WLPMSQABVUIMFX-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN CCOCCCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000047322963 373087088 /nfs/dbraw/zinc/08/70/88/373087088.db2.gz PGVGYBQZPAKQBX-UHFFFAOYSA-N 0 0 281.312 2.533 20 5 CFBDRN Cc1ccc(CC(=O)Nc2cccc([N+](=O)[O-])c2C)cn1 ZINC000047412743 373091600 /nfs/dbraw/zinc/09/16/00/373091600.db2.gz SNRMTPSFLQUTOS-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@H]1CC13CCOCC3)CCN2 ZINC000571374600 383688149 /nfs/dbraw/zinc/68/81/49/383688149.db2.gz YVCLQYRCQZHTCJ-ZDUSSCGKSA-N 0 0 289.335 2.544 20 5 CFBDRN Cc1nn(C)c(NCc2ccc(C)c(F)c2)c1[N+](=O)[O-] ZINC000048552931 373197933 /nfs/dbraw/zinc/19/79/33/373197933.db2.gz JCKODAAXFSNMLD-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN Cc1ccccc1C[C@@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000048565910 373200212 /nfs/dbraw/zinc/20/02/12/373200212.db2.gz MJHXWEUSVZBOII-LLVKDONJSA-N 0 0 274.324 2.680 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCc1ccoc1 ZINC000048990818 373245554 /nfs/dbraw/zinc/24/55/54/373245554.db2.gz LMQMEPULEHECSX-ZZXKWVIFSA-N 0 0 272.260 2.517 20 5 CFBDRN O=[N+]([O-])c1c(NCc2ccoc2)nc2sccn21 ZINC000049000144 373248909 /nfs/dbraw/zinc/24/89/09/373248909.db2.gz JGEHLKHADSWVKP-UHFFFAOYSA-N 0 0 264.266 2.509 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000049243544 373279399 /nfs/dbraw/zinc/27/93/99/373279399.db2.gz BISMAQJKTAQGCI-NHCYSSNCSA-N 0 0 277.324 2.611 20 5 CFBDRN O=C(NCc1ccsc1)NCc1cccc([N+](=O)[O-])c1 ZINC000049397177 373292741 /nfs/dbraw/zinc/29/27/41/373292741.db2.gz GNBAJOWKIUMWAM-UHFFFAOYSA-N 0 0 291.332 2.656 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1ccccc1CO ZINC000049979937 373336749 /nfs/dbraw/zinc/33/67/49/373336749.db2.gz NKEBOYBFIITRII-UHFFFAOYSA-N 0 0 258.277 2.699 20 5 CFBDRN O=C(CCCn1cc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC000050127004 373356053 /nfs/dbraw/zinc/35/60/53/373356053.db2.gz KYCXJQMQLNSZKI-UHFFFAOYSA-N 0 0 277.255 2.594 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccc(F)cc1F ZINC000050197724 373363540 /nfs/dbraw/zinc/36/35/40/373363540.db2.gz KYULCXVQZXPHDK-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@H]1CNc1ccc([N+](=O)[O-])nc1 ZINC000487622342 245771845 /nfs/dbraw/zinc/77/18/45/245771845.db2.gz VBDDAMWBJYHUSS-FZMZJTMJSA-N 0 0 279.340 2.853 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCCn1ccnc1 ZINC000050351890 373369876 /nfs/dbraw/zinc/36/98/76/373369876.db2.gz YCZTWBZZEYQDPR-UHFFFAOYSA-N 0 0 280.715 2.947 20 5 CFBDRN CN(Cc1cnn(C)c1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000487947002 245794175 /nfs/dbraw/zinc/79/41/75/245794175.db2.gz XETUPGHXEPNORY-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H](CCO)C2)c(Cl)c1 ZINC000051896631 373419066 /nfs/dbraw/zinc/41/90/66/373419066.db2.gz IXFGWQIFIDQXQK-LLVKDONJSA-N 0 0 298.770 2.843 20 5 CFBDRN Cc1ccc(N[C@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000052007400 373428095 /nfs/dbraw/zinc/42/80/95/373428095.db2.gz IKNZKKFRAKBYFN-AAEUAGOBSA-N 0 0 250.298 2.619 20 5 CFBDRN C[C@@H](F)CCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487854296 245785973 /nfs/dbraw/zinc/78/59/73/245785973.db2.gz BYTSFXAJRXFGKF-SECBINFHSA-N 0 0 264.256 2.658 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@@H]2O)c(Cl)c1 ZINC000052006032 373429429 /nfs/dbraw/zinc/42/94/29/373429429.db2.gz NETWYIVEAWSVLC-NEPJUHHUSA-N 0 0 270.716 2.964 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)Cc2ccncc2)cc1[N+](=O)[O-] ZINC000487881832 245788844 /nfs/dbraw/zinc/78/88/44/245788844.db2.gz UACFTKBZEDHNOR-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC1CCOCC1 ZINC000052177656 373439196 /nfs/dbraw/zinc/43/91/96/373439196.db2.gz UEONKTNUSUDSEC-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1Cc2ccccc2O1 ZINC000052812447 373451503 /nfs/dbraw/zinc/45/15/03/373451503.db2.gz CIGBMJHTBHUMFV-CYBMUJFWSA-N 0 0 285.303 2.714 20 5 CFBDRN CNC(=O)c1ccc(NCCC2CCCC2)c([N+](=O)[O-])c1 ZINC000053557482 373481225 /nfs/dbraw/zinc/48/12/25/373481225.db2.gz OYGAQURMAJHTLP-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CCC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000089471615 220209096 /nfs/dbraw/zinc/20/90/96/220209096.db2.gz VUXBWAWHRUXXSB-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN Cn1nc(NCc2ccc([N+](=O)[O-])cc2)cc1OC(F)F ZINC000488196107 245823663 /nfs/dbraw/zinc/82/36/63/245823663.db2.gz LUKMGMWLYJGCQJ-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC[C@@H]1CCCOC1 ZINC000053734517 373486203 /nfs/dbraw/zinc/48/62/03/373486203.db2.gz FDODDOCLHPBBLX-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN CC(C)COCCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000055467226 373553772 /nfs/dbraw/zinc/55/37/72/373553772.db2.gz WWZOIQJFPRSSQR-LBPRGKRZSA-N 0 0 294.351 2.835 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000057004161 373576098 /nfs/dbraw/zinc/57/60/98/373576098.db2.gz UXRSXRSBXQCAHF-AAEUAGOBSA-N 0 0 291.351 2.973 20 5 CFBDRN CN(CC1CCCC1)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000058223224 373635057 /nfs/dbraw/zinc/63/50/57/373635057.db2.gz NYZFTJPCKHGMNV-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000173123168 220217515 /nfs/dbraw/zinc/21/75/15/220217515.db2.gz BDXQFWTVCBDAKL-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN CC(=O)COc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000057812513 373612320 /nfs/dbraw/zinc/61/23/20/373612320.db2.gz SGPCNKVAZCFTOS-UHFFFAOYSA-N 0 0 263.171 2.581 20 5 CFBDRN CCC1(C)CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000060941486 373708886 /nfs/dbraw/zinc/70/88/86/373708886.db2.gz NTMUMPWXFRJUOK-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN COc1cccc(CNc2c(F)cccc2[N+](=O)[O-])n1 ZINC000234968154 220228441 /nfs/dbraw/zinc/22/84/41/220228441.db2.gz UTCKEOJJVLERKE-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CCCO1 ZINC000061918218 373739299 /nfs/dbraw/zinc/73/92/99/373739299.db2.gz GNDFAAOSXKYZKD-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@H]1C1CC1 ZINC000334888311 220235778 /nfs/dbraw/zinc/23/57/78/220235778.db2.gz CROUVVIIVJXOIB-LBPRGKRZSA-N 0 0 285.303 2.701 20 5 CFBDRN COc1cc(CNc2ccc([N+](=O)[O-])cc2C)ccn1 ZINC000062055059 373745208 /nfs/dbraw/zinc/74/52/08/373745208.db2.gz UTTAGYSGTYFLTG-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1ccc2nc(-c3cccc([N+](=O)[O-])c3)[nH]c(=O)c2c1 ZINC000063580900 373809395 /nfs/dbraw/zinc/80/93/95/373809395.db2.gz XYVDMZFCQUIJMP-UHFFFAOYSA-N 0 0 297.270 2.507 20 5 CFBDRN CCCCNC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000063663546 373813230 /nfs/dbraw/zinc/81/32/30/373813230.db2.gz QQGDJTVAYPUIHZ-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN O=[N+]([O-])c1c(NCCC(F)(F)F)nc2sccn21 ZINC000063100602 373784553 /nfs/dbraw/zinc/78/45/53/373784553.db2.gz QWWJUNBUUCOXLJ-UHFFFAOYSA-N 0 0 280.231 2.668 20 5 CFBDRN CC(=O)N(C)c1ccc(Nc2ncccc2[N+](=O)[O-])cc1 ZINC000065946673 373903359 /nfs/dbraw/zinc/90/33/59/373903359.db2.gz QVARULFKRPWNCQ-UHFFFAOYSA-N 0 0 286.291 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2nncn2C(C)C)c1 ZINC000488981975 245918112 /nfs/dbraw/zinc/91/81/12/245918112.db2.gz PRQNZFAXJVCLGY-UHFFFAOYSA-N 0 0 289.339 2.712 20 5 CFBDRN C[C@@H](S[C@@H]1CCCNC1=O)c1ccccc1[N+](=O)[O-] ZINC000489573393 245967851 /nfs/dbraw/zinc/96/78/51/245967851.db2.gz PBQSWAOSAVFQMM-BXKDBHETSA-N 0 0 280.349 2.668 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1cn2ccccc2n1 ZINC000067948869 374017692 /nfs/dbraw/zinc/01/76/92/374017692.db2.gz OMAZKRQUYGQKHQ-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN CC(C)N(C(=O)COc1ccc([N+](=O)[O-])c(F)c1)C(C)C ZINC000067015700 373966553 /nfs/dbraw/zinc/96/65/53/373966553.db2.gz DVAFZJJMRIYKSU-UHFFFAOYSA-N 0 0 298.314 2.758 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C)ccn1 ZINC000067571365 373982835 /nfs/dbraw/zinc/98/28/35/373982835.db2.gz KSGAYMXMRZDZDS-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)CC(C)(C)O1 ZINC000068475310 374030845 /nfs/dbraw/zinc/03/08/45/374030845.db2.gz ZJBWOJJDZZOJGM-LLVKDONJSA-N 0 0 264.325 2.594 20 5 CFBDRN CSCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000070185034 374141639 /nfs/dbraw/zinc/14/16/39/374141639.db2.gz LIKFWANUCIXPMD-UHFFFAOYSA-N 0 0 263.322 2.918 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NCCc1ccco1 ZINC000490606377 246015587 /nfs/dbraw/zinc/01/55/87/246015587.db2.gz NGHXPIUZKZJSBD-SREVYHEPSA-N 0 0 286.287 2.560 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1N[C@@H]1CCOC1 ZINC000070967148 374209016 /nfs/dbraw/zinc/20/90/16/374209016.db2.gz KHJBQGSODYVPNW-MRVPVSSYSA-N 0 0 287.113 2.558 20 5 CFBDRN Cc1c(CO)cc([N+](=O)[O-])c(NCCC(C)C)c1[N+](=O)[O-] ZINC000073109002 374322339 /nfs/dbraw/zinc/32/23/39/374322339.db2.gz RGXLQFZGVORZGX-UHFFFAOYSA-N 0 0 297.311 2.762 20 5 CFBDRN CO[C@]1(C)C[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1(C)C ZINC000073272329 374333806 /nfs/dbraw/zinc/33/38/06/374333806.db2.gz MLSGLSFWMDCXGS-TVQRCGJNSA-N 0 0 298.364 2.590 20 5 CFBDRN O=C1CCc2cc(Oc3ccc([N+](=O)[O-])cn3)ccc2N1 ZINC000073448536 374344081 /nfs/dbraw/zinc/34/40/81/374344081.db2.gz JZRWAIYHDFRREG-UHFFFAOYSA-N 0 0 285.259 2.667 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1CCCS1 ZINC000073852130 374393311 /nfs/dbraw/zinc/39/33/11/374393311.db2.gz XZABMDXNERYHGD-LLVKDONJSA-N 0 0 267.306 2.534 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCOCC(F)(F)F ZINC000073935413 374396101 /nfs/dbraw/zinc/39/61/01/374396101.db2.gz RNRDOIOBIPTMPP-UHFFFAOYSA-N 0 0 282.193 2.725 20 5 CFBDRN CCOC(C)(C)CNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491990175 246148981 /nfs/dbraw/zinc/14/89/81/246148981.db2.gz LSTOQZUPIAEEMY-KTKRTIGZSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H](O)C(F)(F)F ZINC000074055516 374404405 /nfs/dbraw/zinc/40/44/05/374404405.db2.gz CVXFXVGLSKSWRO-QMMMGPOBSA-N 0 0 284.621 2.583 20 5 CFBDRN CCC[C@H](CCO)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074768666 374435625 /nfs/dbraw/zinc/43/56/25/374435625.db2.gz XWSQYXJCJXDXKO-LLVKDONJSA-N 0 0 267.329 2.509 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000075505975 374485796 /nfs/dbraw/zinc/48/57/96/374485796.db2.gz KCZKTTZUMVKFQY-VIFPVBQESA-N 0 0 250.298 2.774 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)Nc2cccc([N+](=O)[O-])c2)O1 ZINC000075182489 374466710 /nfs/dbraw/zinc/46/67/10/374466710.db2.gz QICLMKONZHOZTA-MFKMUULPSA-N 0 0 278.308 2.881 20 5 CFBDRN CS[C@@H](C)CNC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492221053 246222964 /nfs/dbraw/zinc/22/29/64/246222964.db2.gz HYMDFFWRAHDBLE-RNEXMXFGSA-N 0 0 298.339 2.615 20 5 CFBDRN O=C([C@@H]1CCCCS1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000272879944 284467165 /nfs/dbraw/zinc/46/71/65/284467165.db2.gz QLRUYKPAKZWMPJ-ZDUSSCGKSA-N 0 0 292.360 2.770 20 5 CFBDRN O=C(/C=C\C1CCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000492286148 246246946 /nfs/dbraw/zinc/24/69/46/246246946.db2.gz FFNLUFRCYYPDQY-FPLPWBNLSA-N 0 0 274.320 2.610 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000492296765 246249174 /nfs/dbraw/zinc/24/91/74/246249174.db2.gz PQLIRDIATBHYSX-BTKRWWFXSA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])o2)s1 ZINC000076175442 374539181 /nfs/dbraw/zinc/53/91/81/374539181.db2.gz KOHLLTUYIXIQJK-UHFFFAOYSA-N 0 0 280.305 2.830 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000492347617 246265061 /nfs/dbraw/zinc/26/50/61/246265061.db2.gz YWBUZGLBPXAETF-GWJCSSMESA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccc(/C=C/C(=O)N(C)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000492465845 246299981 /nfs/dbraw/zinc/29/99/81/246299981.db2.gz ZIEYAPWFAFBVMO-BJMVGYQFSA-N 0 0 297.314 2.974 20 5 CFBDRN Cc1ccc(/C=C/C(=O)NCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000492379715 246274223 /nfs/dbraw/zinc/27/42/23/246274223.db2.gz LNRQTQQVPVJWOL-RMKNXTFCSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1ccncc1/C=C\C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000492532667 246320525 /nfs/dbraw/zinc/32/05/25/246320525.db2.gz LSURMEHZKKQWSZ-WAYWQWQTSA-N 0 0 297.314 2.628 20 5 CFBDRN O=C(/C=C\c1ccc(O)cc1)NCc1cccc([N+](=O)[O-])c1 ZINC000492563092 246329709 /nfs/dbraw/zinc/32/97/09/246329709.db2.gz HXTHCBFRPKFBJF-TWGQIWQCSA-N 0 0 298.298 2.630 20 5 CFBDRN Cc1nc(CSCc2cccc([N+](=O)[O-])c2)no1 ZINC000079329323 374604400 /nfs/dbraw/zinc/60/44/00/374604400.db2.gz JDKALSJZGMKENN-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN CC[C@@H](COC)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000079745242 374629738 /nfs/dbraw/zinc/62/97/38/374629738.db2.gz HXDGZFQALJPAIK-NSHDSACASA-N 0 0 275.308 2.980 20 5 CFBDRN CCO/C=C/C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000492797046 246389158 /nfs/dbraw/zinc/38/91/58/246389158.db2.gz HWNYZRKGJAGVSE-VOTSOKGWSA-N 0 0 298.726 2.757 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)/C=C\C1CC1 ZINC000492926280 246429018 /nfs/dbraw/zinc/42/90/18/246429018.db2.gz LLKVPZHOGIPIJW-HJWRWDBZSA-N 0 0 274.320 2.562 20 5 CFBDRN CCc1ccc(C(=O)N(C)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000081845981 374720154 /nfs/dbraw/zinc/72/01/54/374720154.db2.gz INVCEQKJLOPJJH-UHFFFAOYSA-N 0 0 290.241 2.792 20 5 CFBDRN CC[C@@H](C)CONC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493232223 246529163 /nfs/dbraw/zinc/52/91/63/246529163.db2.gz WYCVTMJPYINDHJ-SKVAFPRGSA-N 0 0 278.308 2.702 20 5 CFBDRN CCC1(CNc2c([N+](=O)[O-])c(C)nn2C)CCC1 ZINC000084489364 374778334 /nfs/dbraw/zinc/77/83/34/374778334.db2.gz OPMFWEXMORHLAH-UHFFFAOYSA-N 0 0 252.318 2.629 20 5 CFBDRN CCc1onc(C)c1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000089394394 374898846 /nfs/dbraw/zinc/89/88/46/374898846.db2.gz ZLEBKHLOMOARHI-UHFFFAOYSA-N 0 0 289.291 2.635 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000088704981 374858813 /nfs/dbraw/zinc/85/88/13/374858813.db2.gz DVRXIUHPLAJFLC-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN CC[C@@H](NCc1c[nH]cn1)c1cccc([N+](=O)[O-])c1 ZINC000088750751 374861901 /nfs/dbraw/zinc/86/19/01/374861901.db2.gz SSWPFVVZZRNVEO-CYBMUJFWSA-N 0 0 260.297 2.559 20 5 CFBDRN CC[C@H](NCc1ccccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000089512573 374917096 /nfs/dbraw/zinc/91/70/96/374917096.db2.gz MQPKHHIZGRYGMB-ZDUSSCGKSA-N 0 0 274.324 2.569 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000431895551 383704751 /nfs/dbraw/zinc/70/47/51/383704751.db2.gz CZYDLMZSILFBSN-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCC(CC)Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000089619476 374929907 /nfs/dbraw/zinc/92/99/07/374929907.db2.gz UICLHWAFVSSSIJ-UHFFFAOYSA-N 0 0 286.353 2.599 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@@H]2C2CC2)ccc1Cl ZINC000090007982 374983693 /nfs/dbraw/zinc/98/36/93/374983693.db2.gz SOTLNKFHDOFFHY-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN CCCc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)n(C)n1 ZINC000090818072 375031840 /nfs/dbraw/zinc/03/18/40/375031840.db2.gz DEOIFKPOMJTXAS-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN CCC[C@@H](CC)Nc1c([N+](=O)[O-])c(CC)nn1C ZINC000091575908 375054536 /nfs/dbraw/zinc/05/45/36/375054536.db2.gz NWJQVRDCMHWGJD-SECBINFHSA-N 0 0 254.334 2.881 20 5 CFBDRN Cc1nn(C)c(NCC2C(C)(C)C2(C)C)c1[N+](=O)[O-] ZINC000092817366 375160474 /nfs/dbraw/zinc/16/04/74/375160474.db2.gz YSCUMLCCIBIPIW-UHFFFAOYSA-N 0 0 266.345 2.731 20 5 CFBDRN Cc1ccc(CN2CCOCC23CCC3)cc1[N+](=O)[O-] ZINC000093510026 375260114 /nfs/dbraw/zinc/26/01/14/375260114.db2.gz CQMALERGIRSVBF-UHFFFAOYSA-N 0 0 276.336 2.658 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC12CCCCC2 ZINC000372969426 296227631 /nfs/dbraw/zinc/22/76/31/296227631.db2.gz MXCMYOSJPVPCMB-UHFFFAOYSA-N 0 0 264.281 2.737 20 5 CFBDRN Cc1ccc(CN(C)Cc2cccn2C)cc1[N+](=O)[O-] ZINC000093584491 375269374 /nfs/dbraw/zinc/26/93/74/375269374.db2.gz CTWAZQBTFFWACN-UHFFFAOYSA-N 0 0 273.336 2.874 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1ccc(Cl)cc1F ZINC000096553238 375490603 /nfs/dbraw/zinc/49/06/03/375490603.db2.gz NGOCRULVFZTCAS-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1c(C)cccc1[N+](=O)[O-] ZINC000097635536 375574884 /nfs/dbraw/zinc/57/48/84/375574884.db2.gz ZUSDAEJDCNKBSP-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC(C)(C)C ZINC000098068299 375598907 /nfs/dbraw/zinc/59/89/07/375598907.db2.gz HUKAIMZZVZHHPW-UHFFFAOYSA-N 0 0 267.285 2.523 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@H]1CC1(Cl)Cl ZINC000098072583 375600403 /nfs/dbraw/zinc/60/04/03/375600403.db2.gz JQDRBHNENRWNEU-ZCFIWIBFSA-N 0 0 293.081 2.866 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)c1ccccc1[N+](=O)[O-] ZINC000098109399 375603329 /nfs/dbraw/zinc/60/33/29/375603329.db2.gz FHWISSWRTUSUJV-UHFFFAOYSA-N 0 0 276.214 2.619 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCc1ccc(O)cc1 ZINC000101647347 375649825 /nfs/dbraw/zinc/64/98/25/375649825.db2.gz HAOXBNSBFZFGGK-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CCOC(=O)c1cc(N2CC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000499684131 247087328 /nfs/dbraw/zinc/08/73/28/247087328.db2.gz XTJQSKCODCPHCF-AWEZNQCLSA-N 0 0 296.298 2.710 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cnc(C)nc2)c1 ZINC000505479401 247139842 /nfs/dbraw/zinc/13/98/42/247139842.db2.gz GQLFJACCOLSOER-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CC(C)[C@@H]1CCC[C@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000505582942 247143679 /nfs/dbraw/zinc/14/36/79/247143679.db2.gz XLUHDMMSBFSINB-MNOVXSKESA-N 0 0 266.345 2.955 20 5 CFBDRN C[C@H]1CCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000505607385 247145132 /nfs/dbraw/zinc/14/51/32/247145132.db2.gz WRXPTDXCGDOXTG-JTQLQIEISA-N 0 0 252.289 2.966 20 5 CFBDRN CCCC[C@@H](C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000503524889 247121296 /nfs/dbraw/zinc/12/12/96/247121296.db2.gz VKROAKVCRPYMGN-SECBINFHSA-N 0 0 290.323 2.658 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1occ2c1CCC2 ZINC000507172765 247166362 /nfs/dbraw/zinc/16/63/62/247166362.db2.gz HZEFPSUQSQGVPL-UHFFFAOYSA-N 0 0 286.287 2.607 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000263329269 296234405 /nfs/dbraw/zinc/23/44/05/296234405.db2.gz LDXVVXPKHPNDCU-MDZLAQPJSA-N 0 0 292.335 2.698 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)C ZINC000112965023 375978449 /nfs/dbraw/zinc/97/84/49/375978449.db2.gz DIJQFTXVBKPWPM-UHFFFAOYSA-N 0 0 253.258 2.738 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)CC2CC2)c1[N+](=O)[O-] ZINC000112601851 375934710 /nfs/dbraw/zinc/93/47/10/375934710.db2.gz DMLARHPVMFXZQH-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000112609203 375937078 /nfs/dbraw/zinc/93/70/78/375937078.db2.gz XNJGQSLFKHFWSP-ZETCQYMHSA-N 0 0 268.338 2.883 20 5 CFBDRN COc1ccc(C(=O)N[C@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000112607100 375937573 /nfs/dbraw/zinc/93/75/73/375937573.db2.gz RHUABIBPJVIENI-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN O=C(CCC1CC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000115008199 376098830 /nfs/dbraw/zinc/09/88/30/376098830.db2.gz IZPQARJRHDKNEL-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN Cc1ccnc(NCCCNc2ccccn2)c1[N+](=O)[O-] ZINC000115515981 376134661 /nfs/dbraw/zinc/13/46/61/376134661.db2.gz FEYWNBHMCAJISP-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN Cc1ccc([C@H](O)CNc2nccc(C)c2[N+](=O)[O-])cc1 ZINC000115515893 376134939 /nfs/dbraw/zinc/13/49/39/376134939.db2.gz AEPUGOSLBGRCHX-CYBMUJFWSA-N 0 0 287.319 2.752 20 5 CFBDRN C[C@@H](c1ccccc1F)N(C)c1c([N+](=O)[O-])ncn1C ZINC000264880529 296239543 /nfs/dbraw/zinc/23/95/43/296239543.db2.gz COBFZIXAVKFVQR-VIFPVBQESA-N 0 0 278.287 2.665 20 5 CFBDRN CC(C)[C@]1(C)CC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C1=O ZINC000116874324 376211506 /nfs/dbraw/zinc/21/15/06/376211506.db2.gz MPDGZTMGSXNTFB-HNNXBMFYSA-N 0 0 290.319 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CC3CCOCC3)c2)cc1 ZINC000117553478 376247226 /nfs/dbraw/zinc/24/72/26/376247226.db2.gz LRALDZOPKFNQDZ-UHFFFAOYSA-N 0 0 287.319 2.885 20 5 CFBDRN CCCCNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000117562646 376248917 /nfs/dbraw/zinc/24/89/17/376248917.db2.gz KHDRKLYBGQPELP-UHFFFAOYSA-N 0 0 270.716 2.707 20 5 CFBDRN CCCCOCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000118194531 376275172 /nfs/dbraw/zinc/27/51/72/376275172.db2.gz NMSSFLQKFGJBTB-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN Nc1c(C(=O)Nc2ccsc2)cc(F)cc1[N+](=O)[O-] ZINC000118677766 376308321 /nfs/dbraw/zinc/30/83/21/376308321.db2.gz VPAWQGJSOUVUSM-UHFFFAOYSA-N 0 0 281.268 2.630 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C1CC=CC1 ZINC000119497692 376425324 /nfs/dbraw/zinc/42/53/24/376425324.db2.gz SBMZLWYEUAOTGA-UHFFFAOYSA-N 0 0 268.219 2.778 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC1(C2CC2)CCC1 ZINC000119558973 376435305 /nfs/dbraw/zinc/43/53/05/376435305.db2.gz FZWGGNOFFFFROH-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN COc1cc(N[C@H](C)CSC)c(F)cc1[N+](=O)[O-] ZINC000119786675 376472701 /nfs/dbraw/zinc/47/27/01/376472701.db2.gz FTGYBWXYQSXWQL-SSDOTTSWSA-N 0 0 274.317 2.906 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@H](C)C1 ZINC000120088789 376545102 /nfs/dbraw/zinc/54/51/02/376545102.db2.gz WCNZCSVYMDKRSC-VXGBXAGGSA-N 0 0 276.336 2.784 20 5 CFBDRN CCCCCNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000120452055 376618467 /nfs/dbraw/zinc/61/84/67/376618467.db2.gz KMKHZMPUGFSBBS-UHFFFAOYSA-N 0 0 275.308 2.996 20 5 CFBDRN CCCN(CCC)C(=O)CSc1ncccc1[N+](=O)[O-] ZINC000120473663 376622145 /nfs/dbraw/zinc/62/21/45/376622145.db2.gz CLTWIKPIJIHCAE-UHFFFAOYSA-N 0 0 297.380 2.731 20 5 CFBDRN CCOCCCNc1ccc([N+](=O)[O-])c(C(=O)OCC)c1 ZINC000120977171 376736752 /nfs/dbraw/zinc/73/67/52/376736752.db2.gz DKHZIEOGJGZJPF-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCOC3)n2)s1 ZINC000278118646 193837453 /nfs/dbraw/zinc/83/74/53/193837453.db2.gz JGQRAJFAKQQKQU-ZETCQYMHSA-N 0 0 281.293 2.600 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000121143959 376768186 /nfs/dbraw/zinc/76/81/86/376768186.db2.gz YBPJZFCZFVGRIF-QMMMGPOBSA-N 0 0 256.327 2.821 20 5 CFBDRN CC1(C)CC(CNc2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000571666170 383716133 /nfs/dbraw/zinc/71/61/33/383716133.db2.gz AAXLMOYJVNKPJA-UHFFFAOYSA-N 0 0 277.324 2.542 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000121533802 376852856 /nfs/dbraw/zinc/85/28/56/376852856.db2.gz UDXPYFNMSPCMFZ-LLVKDONJSA-N 0 0 264.325 2.688 20 5 CFBDRN CC(C)OC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 ZINC000121733608 376893610 /nfs/dbraw/zinc/89/36/10/376893610.db2.gz QQTLUSODQBLVPF-UHFFFAOYSA-N 0 0 289.291 2.561 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000432043944 383716859 /nfs/dbraw/zinc/71/68/59/383716859.db2.gz XXWHPQBAMNDYSA-GWCFXTLKSA-N 0 0 278.308 2.739 20 5 CFBDRN Cc1cnc(NCc2ccc3c(c2)COC3)c([N+](=O)[O-])c1 ZINC000432052534 383717442 /nfs/dbraw/zinc/71/74/42/383717442.db2.gz ZRWKKWOICLMXAK-UHFFFAOYSA-N 0 0 285.303 2.941 20 5 CFBDRN CCc1cc(=O)[nH]c(CSc2ccc([N+](=O)[O-])cc2)n1 ZINC000125759591 377188613 /nfs/dbraw/zinc/18/86/13/377188613.db2.gz KVQAMBDSKCKLDO-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2Cc3ccccc3O2)n1 ZINC000128908456 377362192 /nfs/dbraw/zinc/36/21/92/377362192.db2.gz MBFGPCPTQGGCEX-GFCCVEGCSA-N 0 0 285.303 2.714 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000128978454 377367949 /nfs/dbraw/zinc/36/79/49/377367949.db2.gz PDYCVAZDFWMDGV-CHWSQXEVSA-N 0 0 287.319 2.714 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CSCCS2)n1 ZINC000129208847 377401341 /nfs/dbraw/zinc/40/13/41/377401341.db2.gz HZMYHTBISYYUFQ-VIFPVBQESA-N 0 0 285.394 2.559 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)N(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000129731453 377467567 /nfs/dbraw/zinc/46/75/67/377467567.db2.gz WRLWVHBAWXOOAQ-SNVBAGLBSA-N 0 0 298.314 2.506 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC2CCSCC2)n1 ZINC000130075114 377507807 /nfs/dbraw/zinc/50/78/07/377507807.db2.gz GWLOZLXZPMNWED-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN O=C(c1ccc[nH]1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129838641 377478862 /nfs/dbraw/zinc/47/88/62/377478862.db2.gz XGAMDBXJLGBGKS-UHFFFAOYSA-N 0 0 285.303 2.728 20 5 CFBDRN CC(C)Oc1cc(CNc2ncccc2[N+](=O)[O-])ccn1 ZINC000130022862 377501004 /nfs/dbraw/zinc/50/10/04/377501004.db2.gz XTDYTTHBAMFPMM-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCCN2CCOCC2)cc1 ZINC000131039379 377649160 /nfs/dbraw/zinc/64/91/60/377649160.db2.gz AJLXDIJYPXEJCG-UHFFFAOYSA-N 0 0 296.392 2.550 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Sc1cccc(O)c1 ZINC000131050099 377650370 /nfs/dbraw/zinc/65/03/70/377650370.db2.gz VAKGHOIELQWSFU-UHFFFAOYSA-N 0 0 279.321 2.976 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000131308031 377690850 /nfs/dbraw/zinc/69/08/50/377690850.db2.gz CAQUXPNOHPAIPI-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN CN(CCC1CC1)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000131320131 377694256 /nfs/dbraw/zinc/69/42/56/377694256.db2.gz QDRNHTLJRFXDQX-UHFFFAOYSA-N 0 0 284.262 2.745 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132504860 377847858 /nfs/dbraw/zinc/84/78/58/377847858.db2.gz UORVFCQPVNMLSS-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@H]1CC[C@H](Nc2nc3sccn3c2[N+](=O)[O-])C1 ZINC000133315247 377920341 /nfs/dbraw/zinc/92/03/41/377920341.db2.gz VRNCPXLBBYFLIV-YUMQZZPRSA-N 0 0 266.326 2.905 20 5 CFBDRN Cc1nc(NC(=O)C[C@@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000133730603 377952377 /nfs/dbraw/zinc/95/23/77/377952377.db2.gz LJLAOFLDRHERDO-SECBINFHSA-N 0 0 265.313 2.919 20 5 CFBDRN CCCc1nnc(Sc2nccc(C)c2[N+](=O)[O-])o1 ZINC000133157500 377909039 /nfs/dbraw/zinc/90/90/39/377909039.db2.gz XTURRSZILBUYCN-UHFFFAOYSA-N 0 0 280.309 2.785 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000134368674 378006316 /nfs/dbraw/zinc/00/63/16/378006316.db2.gz QYOOEJVJAMKBRV-TVQRCGJNSA-N 0 0 276.292 2.539 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000134397061 378009465 /nfs/dbraw/zinc/00/94/65/378009465.db2.gz DEKCEKLOSPRWEY-LBPRGKRZSA-N 0 0 295.364 2.954 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)[C@H]1CCCO1 ZINC000133887469 377966354 /nfs/dbraw/zinc/96/63/54/377966354.db2.gz WHWOTURWXJQMFZ-ZIAGYGMSSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc(C)c(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)o1 ZINC000134068480 377980879 /nfs/dbraw/zinc/98/08/79/377980879.db2.gz BUUWYVFMLHQIAF-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN Cc1nc(NC[C@@H](O)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000134177020 377989668 /nfs/dbraw/zinc/98/96/68/377989668.db2.gz PNTGKUIYHGOQFM-CYBMUJFWSA-N 0 0 279.340 2.651 20 5 CFBDRN COc1cccc(NC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000134913634 378061519 /nfs/dbraw/zinc/06/15/19/378061519.db2.gz VFCAMDQEOCDFAB-JTQLQIEISA-N 0 0 266.297 2.584 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2Cc1nc(C2CC2)no1 ZINC000135120327 378085169 /nfs/dbraw/zinc/08/51/69/378085169.db2.gz HLODONGXGOGFIC-UHFFFAOYSA-N 0 0 284.275 2.858 20 5 CFBDRN CCOc1cccc(NC[C@H]2CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000134923076 378064097 /nfs/dbraw/zinc/06/40/97/378064097.db2.gz SGEIGWOSUCPZJC-YPMHNXCESA-N 0 0 294.351 2.957 20 5 CFBDRN CCOc1cccc(NC[C@H](C)COC)c1[N+](=O)[O-] ZINC000134915345 378064365 /nfs/dbraw/zinc/06/43/65/378064365.db2.gz RRFHSHDJKMDNNS-JTQLQIEISA-N 0 0 268.313 2.688 20 5 CFBDRN CCOc1cccc(NC[C@@]2(C)CCCO2)c1[N+](=O)[O-] ZINC000134926601 378065151 /nfs/dbraw/zinc/06/51/51/378065151.db2.gz IDGIEEWHKZEUFK-CQSZACIVSA-N 0 0 280.324 2.975 20 5 CFBDRN CCOc1cccc(NCc2ccon2)c1[N+](=O)[O-] ZINC000134927894 378065591 /nfs/dbraw/zinc/06/55/91/378065591.db2.gz HYLMMDYPHWMJBO-UHFFFAOYSA-N 0 0 263.253 2.594 20 5 CFBDRN Cc1cnc([C@H](C)Nc2ncccc2[N+](=O)[O-])s1 ZINC000134932154 378067027 /nfs/dbraw/zinc/06/70/27/378067027.db2.gz OBUYPDSHKXZZFS-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000134962890 378068838 /nfs/dbraw/zinc/06/88/38/378068838.db2.gz LEUOZMWHOUJFNX-CQSZACIVSA-N 0 0 275.308 2.572 20 5 CFBDRN CCN(C(=O)c1n[nH]c(C)c1[N+](=O)[O-])c1cccc(C)c1 ZINC000264321046 383726563 /nfs/dbraw/zinc/72/65/63/383726563.db2.gz XRGYKWQIHDQLSD-UHFFFAOYSA-N 0 0 288.307 2.601 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432147523 383725083 /nfs/dbraw/zinc/72/50/83/383725083.db2.gz GXSKGVCEJPRMMQ-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@]1(C)CN(Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000135588741 378147284 /nfs/dbraw/zinc/14/72/84/378147284.db2.gz FFDKFCBFCQXLGJ-CQSZACIVSA-N 0 0 264.325 2.596 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000279609187 299497102 /nfs/dbraw/zinc/49/71/02/299497102.db2.gz XMVGQMFGLKJRMM-QWRGUYRKSA-N 0 0 282.315 2.864 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N(C)CC2CCC2)c1 ZINC000136303249 378194632 /nfs/dbraw/zinc/19/46/32/378194632.db2.gz AVLNKAFDIAIYED-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NOC1CCCC1 ZINC000137035154 378216894 /nfs/dbraw/zinc/21/68/94/378216894.db2.gz MBKMJSWSJGULIC-UHFFFAOYSA-N 0 0 264.281 2.507 20 5 CFBDRN CC[C@@]1(C)CN(Cc2csc([N+](=O)[O-])c2)CCO1 ZINC000135688177 378157848 /nfs/dbraw/zinc/15/78/48/378157848.db2.gz DDCXJXUYRIYOAZ-LBPRGKRZSA-N 0 0 270.354 2.657 20 5 CFBDRN CSCCCNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149294675 378264046 /nfs/dbraw/zinc/26/40/46/378264046.db2.gz ZLUKOEYYOKTYLI-UHFFFAOYSA-N 0 0 288.756 2.731 20 5 CFBDRN CC1(C)CCC[C@@H](Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000150211152 378268086 /nfs/dbraw/zinc/26/80/86/378268086.db2.gz PLYTUEFBIPEMNP-SECBINFHSA-N 0 0 250.302 2.766 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)CCC[C@@H]1C ZINC000150839946 378275955 /nfs/dbraw/zinc/27/59/55/378275955.db2.gz SWTLVJPJHPOITB-MNOVXSKESA-N 0 0 291.351 2.949 20 5 CFBDRN CCC(CC)(CC)CNC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000150869654 378276615 /nfs/dbraw/zinc/27/66/15/378276615.db2.gz SVNZEQACXHCVHY-UHFFFAOYSA-N 0 0 296.371 2.514 20 5 CFBDRN Cc1nc(N(C)[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000150894103 378278246 /nfs/dbraw/zinc/27/82/46/378278246.db2.gz XGVXESYJMSVUMF-GDLCADMTSA-N 0 0 291.351 2.548 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CCO[C@H]1C ZINC000137797431 378230179 /nfs/dbraw/zinc/23/01/79/378230179.db2.gz MBCOIAWVTGKNSO-QWRGUYRKSA-N 0 0 293.323 2.511 20 5 CFBDRN COc1ccc(OCCn2cc(Cl)cn2)c([N+](=O)[O-])c1 ZINC000151075992 378291528 /nfs/dbraw/zinc/29/15/28/378291528.db2.gz LQQRIUZSNDBJNK-UHFFFAOYSA-N 0 0 297.698 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2nnc3ccccn32)cc1 ZINC000148404861 378257268 /nfs/dbraw/zinc/25/72/68/378257268.db2.gz LHNNTMUWWHDBBZ-UHFFFAOYSA-N 0 0 272.289 2.789 20 5 CFBDRN O=C(NC[C@H]1CCCCO1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149187542 378262534 /nfs/dbraw/zinc/26/25/34/378262534.db2.gz LTWMNSHWSRSAAQ-SECBINFHSA-N 0 0 298.726 2.547 20 5 CFBDRN CCCC1(CNC(=O)c2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000151964993 378379074 /nfs/dbraw/zinc/37/90/74/378379074.db2.gz RCSGMNFUPHJNMK-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CC[C@H]1CCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000152804190 378448749 /nfs/dbraw/zinc/44/87/49/378448749.db2.gz MSCINCUBJVAPFM-JTQLQIEISA-N 0 0 289.335 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1cscn1)C2 ZINC000153088530 378472696 /nfs/dbraw/zinc/47/26/96/378472696.db2.gz NLRJRCLYHREOGP-UHFFFAOYSA-N 0 0 275.333 2.610 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1cccc(F)c1F ZINC000152689199 378439368 /nfs/dbraw/zinc/43/93/68/378439368.db2.gz IZXSDZXWZNYTAF-SSDOTTSWSA-N 0 0 296.229 2.957 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(C[C@H]1CCCCO1)C2 ZINC000153328038 378485618 /nfs/dbraw/zinc/48/56/18/378485618.db2.gz SZJWMSYXSDQRCX-CYBMUJFWSA-N 0 0 276.336 2.522 20 5 CFBDRN Cc1nc(CN2CCc3c(cccc3[N+](=O)[O-])C2)oc1C ZINC000153385348 378489795 /nfs/dbraw/zinc/48/97/95/378489795.db2.gz WISQZZHPHCLPIO-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CN(Cc1ccco1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153518365 378497440 /nfs/dbraw/zinc/49/74/40/378497440.db2.gz OIJUOHCZHZFEIU-UHFFFAOYSA-N 0 0 267.672 2.873 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000153866090 378518795 /nfs/dbraw/zinc/51/87/95/378518795.db2.gz MIKUKLBXTHZWPS-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCOC2(CCCC2)C1 ZINC000154086656 378537305 /nfs/dbraw/zinc/53/73/05/378537305.db2.gz XOHWCBVFWHLXNB-UHFFFAOYSA-N 0 0 297.742 2.793 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)C[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000330459771 378562884 /nfs/dbraw/zinc/56/28/84/378562884.db2.gz ZTJZLDQBVHAWEW-WPRPVWTQSA-N 0 0 298.364 2.652 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@@H]1CCCC[C@@H]1C ZINC000330479375 378566560 /nfs/dbraw/zinc/56/65/60/378566560.db2.gz NURHGCZNAOGNQM-GXFFZTMASA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1ccc(-c2nc3cc[nH]cc-3n2)cc1[N+](=O)[O-] ZINC000154584229 378581623 /nfs/dbraw/zinc/58/16/23/378581623.db2.gz YEMBUVZPOPIQEY-UHFFFAOYSA-N 0 0 254.249 2.842 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000154588549 378582948 /nfs/dbraw/zinc/58/29/48/378582948.db2.gz CWXPYRCDSRWYCE-VIFPVBQESA-N 0 0 280.299 2.581 20 5 CFBDRN Cc1ccc(OC[C@H](O)Cc2ccccc2)cc1[N+](=O)[O-] ZINC000154058540 378535587 /nfs/dbraw/zinc/53/55/87/378535587.db2.gz CLJDBGPQIXZGLV-CQSZACIVSA-N 0 0 287.315 2.886 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000331085278 378629788 /nfs/dbraw/zinc/62/97/88/378629788.db2.gz QLRUNELFJHAIRE-VHSXEESVSA-N 0 0 294.355 2.607 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000330936061 378599594 /nfs/dbraw/zinc/59/95/94/378599594.db2.gz QKIYSKNLUCQZIB-DGCLKSJQSA-N 0 0 276.336 2.784 20 5 CFBDRN COc1c(C(=O)N2CCCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000331014020 378614407 /nfs/dbraw/zinc/61/44/07/378614407.db2.gz GBGAJYKHIZSMBW-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000331032035 378617403 /nfs/dbraw/zinc/61/74/03/378617403.db2.gz UNJJPGVBARURAD-ONGXEEELSA-N 0 0 280.349 2.525 20 5 CFBDRN COc1c(C(=O)N2[C@@H](C)CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000331052382 378622497 /nfs/dbraw/zinc/62/24/97/378622497.db2.gz JCPUSZLJZYONLA-UWVGGRQHSA-N 0 0 278.308 2.616 20 5 CFBDRN C[C@]1(NC(=O)Cc2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000331355711 378676689 /nfs/dbraw/zinc/67/66/89/378676689.db2.gz QZYDVMDFWQYSPV-HNNXBMFYSA-N 0 0 274.320 2.752 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H]2c2ncc[nH]2)cs1 ZINC000331464466 378690896 /nfs/dbraw/zinc/69/08/96/378690896.db2.gz ZOWXTVYJCUVZIQ-SNVBAGLBSA-N 0 0 278.337 2.717 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC1C(C)(C)C1(C)C ZINC000332127255 378728121 /nfs/dbraw/zinc/72/81/21/378728121.db2.gz IYSUFLPVQADIJM-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1cc(N[C@H]2CCOC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000160650456 378789256 /nfs/dbraw/zinc/78/92/56/378789256.db2.gz LGEXNDBTBDXHRD-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@@H](C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000332731004 378795753 /nfs/dbraw/zinc/79/57/53/378795753.db2.gz RHNKRZCNKMWOEF-LLVKDONJSA-N 0 0 276.336 2.916 20 5 CFBDRN CCC[C@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000332733812 378795881 /nfs/dbraw/zinc/79/58/81/378795881.db2.gz SXADNASTSALRLR-NSHDSACASA-N 0 0 276.336 2.916 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000160755126 378796891 /nfs/dbraw/zinc/79/68/91/378796891.db2.gz QDTUNKDRRFWTHA-JGVFFNPUSA-N 0 0 284.262 2.744 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@H](O)c1ccccc1 ZINC000266233109 190242027 /nfs/dbraw/zinc/24/20/27/190242027.db2.gz JXXLSRUVTZEVAA-AWEZNQCLSA-N 0 0 287.319 2.834 20 5 CFBDRN C[C@H](O)C(C)(C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000161095019 378821308 /nfs/dbraw/zinc/82/13/08/378821308.db2.gz DSKALIXFOYCGMA-VIFPVBQESA-N 0 0 275.308 2.714 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000287558228 196885235 /nfs/dbraw/zinc/88/52/35/196885235.db2.gz WOICEFDXJTVDLQ-XPTSAGLGSA-N 0 0 280.324 2.616 20 5 CFBDRN CC[C@@H](C)CS(=O)(=O)Oc1cccc(C)c1[N+](=O)[O-] ZINC000161333557 378839820 /nfs/dbraw/zinc/83/98/20/378839820.db2.gz WJQFGHFZYGRABE-SECBINFHSA-N 0 0 287.337 2.658 20 5 CFBDRN CC(C)(C)C[C@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000161419046 378845869 /nfs/dbraw/zinc/84/58/69/378845869.db2.gz ICBXVGWDCLWQRY-SECBINFHSA-N 0 0 287.747 2.852 20 5 CFBDRN CCCn1c(C)nnc1COc1ccc([N+](=O)[O-])cc1C ZINC000161434194 378846575 /nfs/dbraw/zinc/84/65/75/378846575.db2.gz PCHNJDAAMYZUBC-UHFFFAOYSA-N 0 0 290.323 2.792 20 5 CFBDRN CCC[C@@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000161519143 378852555 /nfs/dbraw/zinc/85/25/55/378852555.db2.gz KSGYVSRTRNYHRM-LLVKDONJSA-N 0 0 291.351 2.972 20 5 CFBDRN C[C@]1(Nc2ccc(Cl)cc2[N+](=O)[O-])CCOC1 ZINC000161907658 378880827 /nfs/dbraw/zinc/88/08/27/378880827.db2.gz LKFBPUFPQPMVJL-NSHDSACASA-N 0 0 256.689 2.839 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000333971435 378886277 /nfs/dbraw/zinc/88/62/77/378886277.db2.gz XIESJJLMFGBNEW-KOLCDFICSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCc2ccc(F)cc2)c1 ZINC000162068000 378892719 /nfs/dbraw/zinc/89/27/19/378892719.db2.gz HQYFKAYUHOXIDW-UHFFFAOYSA-N 0 0 281.312 2.864 20 5 CFBDRN Cn1c(C(=O)N2CCCc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000334207013 378925140 /nfs/dbraw/zinc/92/51/40/378925140.db2.gz BGCNLVGNAPRBEI-UHFFFAOYSA-N 0 0 299.330 2.522 20 5 CFBDRN CCCCOCCNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000162711391 378928498 /nfs/dbraw/zinc/92/84/98/378928498.db2.gz ZDJUMJYKVJJIEZ-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCCC[C@@H]2C(C)C)c1[N+](=O)[O-] ZINC000278541972 194034734 /nfs/dbraw/zinc/03/47/34/194034734.db2.gz HJZFSNZJCPXTGM-LLVKDONJSA-N 0 0 294.355 2.531 20 5 CFBDRN CN1Cc2cc(Oc3ccccc3[N+](=O)[O-])ccc2C1=O ZINC000334194384 378908813 /nfs/dbraw/zinc/90/88/13/378908813.db2.gz LHOQOJWHXZJFFA-UHFFFAOYSA-N 0 0 284.271 2.973 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@@H](F)C1 ZINC000334237746 378965158 /nfs/dbraw/zinc/96/51/58/378965158.db2.gz ITPBGNONSZCEDG-PSASIEDQSA-N 0 0 267.260 2.607 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)[C@H]2CCC[C@H]21 ZINC000334253412 378986401 /nfs/dbraw/zinc/98/64/01/378986401.db2.gz LZZLKIFYJTVIIZ-NWDGAFQWSA-N 0 0 291.351 2.584 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335265318 378988473 /nfs/dbraw/zinc/98/84/73/378988473.db2.gz UWJIAOOPQWJNJY-BDAKNGLRSA-N 0 0 281.287 2.996 20 5 CFBDRN O=C(c1ccc[nH]1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163744046 378989990 /nfs/dbraw/zinc/98/99/90/378989990.db2.gz WOFNTVWFVCOCAQ-UHFFFAOYSA-N 0 0 285.303 2.728 20 5 CFBDRN Cn1cccc1C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163767841 378990126 /nfs/dbraw/zinc/99/01/26/378990126.db2.gz YTCMFARUBZAWTM-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC[C@H](F)C2)cc([N+](=O)[O-])c1 ZINC000334288399 379037785 /nfs/dbraw/zinc/03/77/85/379037785.db2.gz ZBGYOJHBTGKKPD-QWRGUYRKSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1cc(OCC(=O)CC2CC2)c(F)cc1[N+](=O)[O-] ZINC000278691999 194106630 /nfs/dbraw/zinc/10/66/30/194106630.db2.gz IWRJTZDYQRXBDR-UHFFFAOYSA-N 0 0 267.256 2.790 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCCCCCC2)n1 ZINC000166660745 379060831 /nfs/dbraw/zinc/06/08/31/379060831.db2.gz AFGLJATVADDYBF-UHFFFAOYSA-N 0 0 293.323 2.547 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC000168472095 379087303 /nfs/dbraw/zinc/08/73/03/379087303.db2.gz QQJOQSVXIXRGLG-SSDOTTSWSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)[C@H]1c1ccccc1 ZINC000334325266 379097345 /nfs/dbraw/zinc/09/73/45/379097345.db2.gz ARPKONGROWQRCI-IAQYHMDHSA-N 0 0 299.330 2.767 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335340500 379122855 /nfs/dbraw/zinc/12/28/55/379122855.db2.gz BKEXJHZHTLWEIV-VIFPVBQESA-N 0 0 278.283 2.772 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000334343496 379126819 /nfs/dbraw/zinc/12/68/19/379126819.db2.gz MFRFOPRZPVCHMW-JGVFFNPUSA-N 0 0 268.700 2.729 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CC[C@@H](F)C1 ZINC000335344455 379128278 /nfs/dbraw/zinc/12/82/78/379128278.db2.gz ASTRKTNPFJJXLK-HTQZYQBOSA-N 0 0 285.250 2.746 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000334338171 379117762 /nfs/dbraw/zinc/11/77/62/379117762.db2.gz XPPFPSZXIYMOAS-WDEREUQCSA-N 0 0 277.324 2.850 20 5 CFBDRN COc1ccc(OCc2nnc(C(C)C)o2)c([N+](=O)[O-])c1 ZINC000170697180 379141477 /nfs/dbraw/zinc/14/14/77/379141477.db2.gz ZPYWXUNIUDGTNZ-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1C1CCCC1 ZINC000334354783 379144852 /nfs/dbraw/zinc/14/48/52/379144852.db2.gz CFHWUHAYGIGBGU-ZDUSSCGKSA-N 0 0 291.351 2.728 20 5 CFBDRN Cc1cc(OCc2ncnn2C(C)C)ccc1[N+](=O)[O-] ZINC000278770681 194149020 /nfs/dbraw/zinc/14/90/20/194149020.db2.gz SRHYVWQIFREPPL-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000278840106 194177744 /nfs/dbraw/zinc/17/77/44/194177744.db2.gz VZEDZIOXPOLASQ-NSHDSACASA-N 0 0 283.255 2.597 20 5 CFBDRN CCN(C(=O)NCCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000171117612 379235292 /nfs/dbraw/zinc/23/52/92/379235292.db2.gz WSHABTWLMJKFIW-UHFFFAOYSA-N 0 0 279.340 2.577 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000334406087 379243108 /nfs/dbraw/zinc/24/31/08/379243108.db2.gz JQVUBTTWVQONTA-QPUJVOFHSA-N 0 0 273.292 2.604 20 5 CFBDRN C[C@H]1CSC[C@@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000334398035 379228387 /nfs/dbraw/zinc/22/83/87/379228387.db2.gz LSFZDVLBDQMYHR-CPCISQLKSA-N 0 0 299.327 2.607 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cccc(CC)c2)c1[N+](=O)[O-] ZINC000171367581 379289593 /nfs/dbraw/zinc/28/95/93/379289593.db2.gz XVWWGNAWQDKGTM-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccc(CC)cc2)c1[N+](=O)[O-] ZINC000171367406 379290728 /nfs/dbraw/zinc/29/07/28/379290728.db2.gz VEOFCDJGDOGTQB-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN CCCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000171488234 379320084 /nfs/dbraw/zinc/32/00/84/379320084.db2.gz NVQNNMFALKCRBX-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN COC1CCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000266988615 284775387 /nfs/dbraw/zinc/77/53/87/284775387.db2.gz UFGABQOAEALYIP-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2C1 ZINC000171554672 379336646 /nfs/dbraw/zinc/33/66/46/379336646.db2.gz DJODUELWRZOZNX-UHFFFAOYSA-N 0 0 297.314 2.820 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C[C@@H](C)C(C)C ZINC000171414991 379303841 /nfs/dbraw/zinc/30/38/41/379303841.db2.gz GCIVCZZLYQHPID-LLVKDONJSA-N 0 0 294.351 2.902 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H](C)C(C)C ZINC000171927620 379441275 /nfs/dbraw/zinc/44/12/75/379441275.db2.gz IZVOWPBPVLMIAO-SNVBAGLBSA-N 0 0 280.324 2.512 20 5 CFBDRN O=C(c1ccc[nH]1)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334546469 379499196 /nfs/dbraw/zinc/49/91/96/379499196.db2.gz WGGFSDOAIFWUIR-CYBMUJFWSA-N 0 0 299.330 2.943 20 5 CFBDRN COC[C@@H](C)N(C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000171987666 379461635 /nfs/dbraw/zinc/46/16/35/379461635.db2.gz OFENIOAXNBEGSP-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000172038369 379476379 /nfs/dbraw/zinc/47/63/79/379476379.db2.gz KKJLEPZPUWARKF-MRVPVSSYSA-N 0 0 282.727 2.705 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCCCC1 ZINC000335543932 379485369 /nfs/dbraw/zinc/48/53/69/379485369.db2.gz MPXMZLFCFGXNFW-UHFFFAOYSA-N 0 0 262.309 2.838 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000172091718 379491111 /nfs/dbraw/zinc/49/11/11/379491111.db2.gz WTNNTEGXGKGEMO-LLVKDONJSA-N 0 0 276.336 2.832 20 5 CFBDRN C[C@H](NC(=O)c1csc([N+](=O)[O-])c1)C1CCCC1 ZINC000172098022 379491885 /nfs/dbraw/zinc/49/18/85/379491885.db2.gz NAXUBDPQDMFPLQ-QMMMGPOBSA-N 0 0 268.338 2.965 20 5 CFBDRN CN(C[C@H]1CCC[C@H]1O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432324523 383737037 /nfs/dbraw/zinc/73/70/37/383737037.db2.gz WGNCFIKRVBOLAR-BXKDBHETSA-N 0 0 284.743 2.845 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000172103456 379494961 /nfs/dbraw/zinc/49/49/61/379494961.db2.gz XVROMRNHJSOADR-LLVKDONJSA-N 0 0 276.336 2.832 20 5 CFBDRN COC[C@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000432327475 383737147 /nfs/dbraw/zinc/73/71/47/383737147.db2.gz SZIKKDUVELPLQQ-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000172103590 379495256 /nfs/dbraw/zinc/49/52/56/379495256.db2.gz VZBNSAHEAGULAO-SNVBAGLBSA-N 0 0 262.309 2.903 20 5 CFBDRN CC(C)(C)/C=C/C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000172107365 379496414 /nfs/dbraw/zinc/49/64/14/379496414.db2.gz BQHOHIMRGDNFSI-CMDGGOBGSA-N 0 0 262.309 2.813 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC12CCCC2 ZINC000335572721 379533710 /nfs/dbraw/zinc/53/37/10/379533710.db2.gz DIUZDPJJAUERGN-CYBMUJFWSA-N 0 0 291.351 2.964 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCC(C)(C)CC1 ZINC000334571523 379550700 /nfs/dbraw/zinc/55/07/00/379550700.db2.gz SMDPFHGKLQAYMP-UHFFFAOYSA-N 0 0 279.340 2.964 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000172180275 379512170 /nfs/dbraw/zinc/51/21/70/379512170.db2.gz YZBURXCEGKILNH-SNVBAGLBSA-N 0 0 266.297 2.840 20 5 CFBDRN C/C=C/CS(=O)(=O)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000172237626 379523483 /nfs/dbraw/zinc/52/34/83/379523483.db2.gz XRHXXCUTYBZQBA-NSCUHMNNSA-N 0 0 289.740 2.739 20 5 CFBDRN CSCCCC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000172411806 379561787 /nfs/dbraw/zinc/56/17/87/379561787.db2.gz NHVZCXUTCISGEE-UHFFFAOYSA-N 0 0 282.365 2.696 20 5 CFBDRN COCC(C)(C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000311116980 284793472 /nfs/dbraw/zinc/79/34/72/284793472.db2.gz WQCIYIUZAYQPNC-UHFFFAOYSA-N 0 0 256.277 2.818 20 5 CFBDRN O=C(N[C@H]1C=CCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334618736 379636009 /nfs/dbraw/zinc/63/60/09/379636009.db2.gz APAYFFVNNQCPBD-NSHDSACASA-N 0 0 264.256 2.572 20 5 CFBDRN COCCN(CC(C)C)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000172763670 379642994 /nfs/dbraw/zinc/64/29/94/379642994.db2.gz IABWGLTWLATFPK-UHFFFAOYSA-N 0 0 294.351 2.648 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](F)C1 ZINC000334626490 379653845 /nfs/dbraw/zinc/65/38/45/379653845.db2.gz KRNVRDUPMJRUNA-SNVBAGLBSA-N 0 0 281.287 2.787 20 5 CFBDRN CCCCc1nc(CNc2cccc([N+](=O)[O-])c2)no1 ZINC000172846346 379655468 /nfs/dbraw/zinc/65/54/68/379655468.db2.gz VBEITNXWTZRCNQ-UHFFFAOYSA-N 0 0 276.296 2.933 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC(CF)C1 ZINC000335646124 379655659 /nfs/dbraw/zinc/65/56/59/379655659.db2.gz MDONMLZOCXAZSU-UHFFFAOYSA-N 0 0 281.287 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)OC(C)(C)C2)c1 ZINC000173265332 379716808 /nfs/dbraw/zinc/71/68/08/379716808.db2.gz ZKCGXJJBZAVMOZ-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000335687833 379723877 /nfs/dbraw/zinc/72/38/77/379723877.db2.gz ROZSOYVYDRPLPY-JGVFFNPUSA-N 0 0 254.311 2.527 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000335687834 379724053 /nfs/dbraw/zinc/72/40/53/379724053.db2.gz ROZSOYVYDRPLPY-SFYZADRCSA-N 0 0 254.311 2.527 20 5 CFBDRN Cc1sc(C(=O)N2[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000335693425 379732722 /nfs/dbraw/zinc/73/27/22/379732722.db2.gz ZZTUYPMJPIPDGA-KNVOCYPGSA-N 0 0 254.311 2.588 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000173170097 379706450 /nfs/dbraw/zinc/70/64/50/379706450.db2.gz QKMIUCRGNOXJSQ-SECBINFHSA-N 0 0 273.292 2.701 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2C[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000432352684 383740135 /nfs/dbraw/zinc/74/01/35/383740135.db2.gz CNSFDXPKAPAYMM-NWDGAFQWSA-N 0 0 275.308 2.823 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1cccnc1 ZINC000173828689 379784672 /nfs/dbraw/zinc/78/46/72/379784672.db2.gz HYRQFNFGWKDNTQ-LLVKDONJSA-N 0 0 297.314 2.683 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@H]2CCC[C@H]21 ZINC000174266641 379854149 /nfs/dbraw/zinc/85/41/49/379854149.db2.gz VZKOFPMXZQWTLI-TZMCWYRMSA-N 0 0 288.347 2.928 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000335773618 379865845 /nfs/dbraw/zinc/86/58/45/379865845.db2.gz VIPSUCRLOUKPJG-AWEZNQCLSA-N 0 0 262.309 2.857 20 5 CFBDRN CCc1nc(CCNc2ncc([N+](=O)[O-])cc2C)cs1 ZINC000264449587 383741470 /nfs/dbraw/zinc/74/14/70/383741470.db2.gz HRGSSBJLLXLFDO-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN O=C(N[C@H]1CCCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000335833511 379964579 /nfs/dbraw/zinc/96/45/79/379964579.db2.gz KCEDCHMQHXYIEY-JTQLQIEISA-N 0 0 284.262 2.903 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000335841637 379977715 /nfs/dbraw/zinc/97/77/15/379977715.db2.gz WUDATTCISIPSRF-IUCAKERBSA-N 0 0 298.289 2.672 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)c2ccccc21 ZINC000335815714 379935889 /nfs/dbraw/zinc/93/58/89/379935889.db2.gz QDYUCEYSLWJYGX-JTQLQIEISA-N 0 0 285.303 2.697 20 5 CFBDRN COc1ccc(CN(C)Cc2nccs2)cc1[N+](=O)[O-] ZINC000175087151 379994591 /nfs/dbraw/zinc/99/45/91/379994591.db2.gz KWLZGUSOYFIEOA-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN CN1CCOc2ccc(Nc3ncccc3[N+](=O)[O-])cc21 ZINC000175164572 380009841 /nfs/dbraw/zinc/00/98/41/380009841.db2.gz FXWFIJQSNFTDHI-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CC1=CCN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000335861246 380011854 /nfs/dbraw/zinc/01/18/54/380011854.db2.gz VKRRVBWCOCHNDI-UHFFFAOYSA-N 0 0 296.273 2.594 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CS[C@H](C)C1 ZINC000335216627 380022605 /nfs/dbraw/zinc/02/26/05/380022605.db2.gz CWPBLRFEWGNODY-ZJUUUORDSA-N 0 0 280.349 2.527 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H](F)C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000335892321 380061348 /nfs/dbraw/zinc/06/13/48/380061348.db2.gz DXGMBGSYKWHNTD-RKDXNWHRSA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC(CF)CC1 ZINC000335241206 380065741 /nfs/dbraw/zinc/06/57/41/380065741.db2.gz SJQRLYSDYSCBGX-UHFFFAOYSA-N 0 0 299.277 2.947 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H]1CF ZINC000335242710 380068520 /nfs/dbraw/zinc/06/85/20/380068520.db2.gz ZCHKQFVFKFHDNO-JTQLQIEISA-N 0 0 285.250 2.700 20 5 CFBDRN Cc1ccc(Cn2cnc([N+](=O)[O-])c2)c(Cl)c1 ZINC000335245784 380072860 /nfs/dbraw/zinc/07/28/60/380072860.db2.gz HWUWSGDJFSVUGW-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(Cl)c(F)c1 ZINC000335883435 380047003 /nfs/dbraw/zinc/04/70/03/380047003.db2.gz IMSJZCTUIOXHRY-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CCSC1 ZINC000335943389 380137129 /nfs/dbraw/zinc/13/71/29/380137129.db2.gz PZEIRPQGBQEUSU-NSHDSACASA-N 0 0 294.376 2.755 20 5 CFBDRN COc1cc(C(=O)N(C)[C@@H](C)C2(C)CC2)ccc1[N+](=O)[O-] ZINC000175593687 380096566 /nfs/dbraw/zinc/09/65/66/380096566.db2.gz JSLWTZCNHZUZKA-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CCN(CC)C(=O)CCCNc1c(C)cccc1[N+](=O)[O-] ZINC000175720784 380119735 /nfs/dbraw/zinc/11/97/35/380119735.db2.gz GATZERSHYJFNLO-UHFFFAOYSA-N 0 0 293.367 2.964 20 5 CFBDRN CC(C)N(CCn1ccc([N+](=O)[O-])n1)c1ccc(F)cc1 ZINC000176223174 380197341 /nfs/dbraw/zinc/19/73/41/380197341.db2.gz FOCXHONQWVVGII-UHFFFAOYSA-N 0 0 292.314 2.845 20 5 CFBDRN Cc1cccc(N(C)CCn2ccc([N+](=O)[O-])n2)c1C ZINC000176214247 380197699 /nfs/dbraw/zinc/19/76/99/380197699.db2.gz GOXKSPLMVUNNBX-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCC[C@H]2F)cc1[N+](=O)[O-] ZINC000335988779 380202183 /nfs/dbraw/zinc/20/21/83/380202183.db2.gz KUZVONMPWSWBEU-SFYZADRCSA-N 0 0 272.301 2.585 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000176535462 380250354 /nfs/dbraw/zinc/25/03/54/380250354.db2.gz OWFZAVXWOGQANW-JTQLQIEISA-N 0 0 264.256 2.572 20 5 CFBDRN O=C([C@@H]1C[C@@H]1C1CC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000176610003 380256493 /nfs/dbraw/zinc/25/64/93/380256493.db2.gz UCNHXCWXEVMINL-CHWSQXEVSA-N 0 0 272.304 2.530 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000336066011 380260223 /nfs/dbraw/zinc/26/02/23/380260223.db2.gz UZHXLKAEWUJQFA-YUMQZZPRSA-N 0 0 268.700 2.871 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H](C)C[C@H]1C ZINC000336014366 380238435 /nfs/dbraw/zinc/23/84/35/380238435.db2.gz VEKVBHAVRPCDFX-GXSJLCMTSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000176778824 380272589 /nfs/dbraw/zinc/27/25/89/380272589.db2.gz JVDYACPIWVJFAF-CUOATXAZSA-N 0 0 274.320 2.818 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)c1csc([N+](=O)[O-])c1 ZINC000176924575 380283548 /nfs/dbraw/zinc/28/35/48/380283548.db2.gz GNVHWEHZDKHHSE-OCAPTIKFSA-N 0 0 254.311 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)[C@H]2C)c1 ZINC000177131951 380307958 /nfs/dbraw/zinc/30/79/58/380307958.db2.gz ZRWIWIDELKKESI-GHMZBOCLSA-N 0 0 262.309 2.774 20 5 CFBDRN CCC1(NS(=O)(=O)c2c(C)cccc2[N+](=O)[O-])CCC1 ZINC000177539916 380353719 /nfs/dbraw/zinc/35/37/19/380353719.db2.gz ISMZAUILYBHUHF-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1C[C@H]2CCCC[C@@H]2C1 ZINC000178369363 380443303 /nfs/dbraw/zinc/44/33/03/380443303.db2.gz PUFWKPGYUYHUKL-ZIAGYGMSSA-N 0 0 288.347 2.786 20 5 CFBDRN CN(C(=O)c1cccs1)c1ccc([N+](=O)[O-])cc1 ZINC000336576676 380492466 /nfs/dbraw/zinc/49/24/66/380492466.db2.gz QHZGAUDPALCQMW-UHFFFAOYSA-N 0 0 262.290 2.933 20 5 CFBDRN O=C(N[C@@H]1CCCC(F)(F)C1)c1ccc([N+](=O)[O-])s1 ZINC000336579897 380498315 /nfs/dbraw/zinc/49/83/15/380498315.db2.gz NYUCYJBHPYMBFA-SSDOTTSWSA-N 0 0 290.291 2.964 20 5 CFBDRN CCCn1ncnc1COc1c(Cl)cccc1[N+](=O)[O-] ZINC000279121326 194294051 /nfs/dbraw/zinc/29/40/51/194294051.db2.gz UNDVPDVKJIDSAX-UHFFFAOYSA-N 0 0 296.714 2.829 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]2CCC[C@@H]21 ZINC000336556645 380461582 /nfs/dbraw/zinc/46/15/82/380461582.db2.gz ACERODWLMVNSGS-CMPLNLGQSA-N 0 0 277.324 2.574 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Br)cn1[C@H]1C=CCCC1 ZINC000179279699 380526118 /nfs/dbraw/zinc/52/61/18/380526118.db2.gz LVOLTPMZROSJMB-VIFPVBQESA-N 0 0 299.124 2.800 20 5 CFBDRN Cc1cccc(O)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000179546087 380554190 /nfs/dbraw/zinc/55/41/90/380554190.db2.gz NWNMLWRTASDHOV-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000336619421 380559999 /nfs/dbraw/zinc/55/99/99/380559999.db2.gz DUVFJDJSWZNSAY-JGVFFNPUSA-N 0 0 272.301 2.585 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1ccc[nH]1 ZINC000179647494 380566421 /nfs/dbraw/zinc/56/64/21/380566421.db2.gz SPLRZSOZCGDUHH-UHFFFAOYSA-N 0 0 287.319 2.778 20 5 CFBDRN CCc1ccnc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000180131003 380621959 /nfs/dbraw/zinc/62/19/59/380621959.db2.gz ZXCPFCMWZNUGBZ-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc2[nH]ccc21 ZINC000180736679 380695422 /nfs/dbraw/zinc/69/54/22/380695422.db2.gz IAFKQWVUCMBEAI-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN O=C(c1cc(NC2CCC2)ccc1[N+](=O)[O-])N1CCCC1 ZINC000264503834 383748503 /nfs/dbraw/zinc/74/85/03/383748503.db2.gz JAABXGMTRTYIHE-UHFFFAOYSA-N 0 0 289.335 2.795 20 5 CFBDRN CO[C@@H](C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)C1CC1 ZINC000279176023 194318430 /nfs/dbraw/zinc/31/84/30/194318430.db2.gz ARSMIFXMPBWIHH-CYBMUJFWSA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000279162479 194310756 /nfs/dbraw/zinc/31/07/56/194310756.db2.gz PYRTUBVXJWXSET-SNVBAGLBSA-N 0 0 295.364 2.778 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181294365 380771241 /nfs/dbraw/zinc/77/12/41/380771241.db2.gz ZJKWETNCPCIJED-SECBINFHSA-N 0 0 251.282 2.993 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)Oc1cccnc1[N+](=O)[O-] ZINC000295385664 380823157 /nfs/dbraw/zinc/82/31/57/380823157.db2.gz ULJCJASUUKLWJJ-SECBINFHSA-N 0 0 268.269 2.940 20 5 CFBDRN CCc1nnc(NCc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000181472062 380801776 /nfs/dbraw/zinc/80/17/76/380801776.db2.gz SBRKHOSBKCINMA-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN CCc1nnc(NCc2ccc([N+](=O)[O-])cc2)s1 ZINC000181477288 380801795 /nfs/dbraw/zinc/80/17/95/380801795.db2.gz PMUTVJOBWKNZMO-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN CC1(C)OCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000295374727 380817266 /nfs/dbraw/zinc/81/72/66/380817266.db2.gz DHTSUGVKYKBGHT-NSHDSACASA-N 0 0 298.726 2.546 20 5 CFBDRN CSC[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000287400503 380822859 /nfs/dbraw/zinc/82/28/59/380822859.db2.gz SFYYXUKDFMOYOE-MRVPVSSYSA-N 0 0 268.338 2.961 20 5 CFBDRN CCc1nc(CN[C@H](CC)c2cccc([N+](=O)[O-])c2)no1 ZINC000181547159 380823113 /nfs/dbraw/zinc/82/31/13/380823113.db2.gz ZQGPPIRACIWPFJ-GFCCVEGCSA-N 0 0 290.323 2.781 20 5 CFBDRN CCOC(=O)CN(CC(C)C)c1ccc(F)cc1[N+](=O)[O-] ZINC000358469494 380865314 /nfs/dbraw/zinc/86/53/14/380865314.db2.gz KHOADPMHSDEYJY-UHFFFAOYSA-N 0 0 298.314 2.759 20 5 CFBDRN Cc1cnc(NCCC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000401992920 380880247 /nfs/dbraw/zinc/88/02/47/380880247.db2.gz LMXHQLFDHGPUEQ-NSHDSACASA-N 0 0 265.313 2.527 20 5 CFBDRN CC(C)ONC(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000295466632 380874312 /nfs/dbraw/zinc/87/43/12/380874312.db2.gz CPGSTSYFDRNZDS-UHFFFAOYSA-N 0 0 292.213 2.683 20 5 CFBDRN Cc1ccc(CC(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000295397008 380827897 /nfs/dbraw/zinc/82/78/97/380827897.db2.gz RBNYAGRGUGTISX-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN COCC1(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000295472857 380878092 /nfs/dbraw/zinc/87/80/92/380878092.db2.gz YNPFCRMWPVSDFF-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCCOC(C)C ZINC000181574855 380832626 /nfs/dbraw/zinc/83/26/26/380832626.db2.gz CGYAMECBSXDFGU-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C12CCC2 ZINC000287469649 380837348 /nfs/dbraw/zinc/83/73/48/380837348.db2.gz WUCVJTCPXHNECS-ZIAGYGMSSA-N 0 0 291.351 2.937 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C12CCC2 ZINC000287469621 380837679 /nfs/dbraw/zinc/83/76/79/380837679.db2.gz WUCVJTCPXHNECS-KGLIPLIRSA-N 0 0 291.351 2.937 20 5 CFBDRN CC[C@H](C)CN(C)c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000287462332 380837761 /nfs/dbraw/zinc/83/77/61/380837761.db2.gz SCOBWDWSZVVSEI-VIFPVBQESA-N 0 0 290.323 2.726 20 5 CFBDRN Cc1c(C(=O)NCC[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000181628226 380846298 /nfs/dbraw/zinc/84/62/98/380846298.db2.gz JDPMTIYZSPXEMR-LBPRGKRZSA-N 0 0 292.335 2.592 20 5 CFBDRN CN(C)c1ccccc1N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295423526 380846372 /nfs/dbraw/zinc/84/63/72/380846372.db2.gz FCESOWHPSMNRKT-UHFFFAOYSA-N 0 0 293.367 2.551 20 5 CFBDRN Cc1c(CNC(=O)NC[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000358435141 380846364 /nfs/dbraw/zinc/84/63/64/380846364.db2.gz XQWPQHSHLUDONN-GFCCVEGCSA-N 0 0 291.351 2.749 20 5 CFBDRN Cc1c(CC(=O)NCCC(C)(F)F)cccc1[N+](=O)[O-] ZINC000295426664 380846574 /nfs/dbraw/zinc/84/65/74/380846574.db2.gz DHIROLBVQCCVAP-UHFFFAOYSA-N 0 0 286.278 2.607 20 5 CFBDRN Cc1cc(N[C@H]2CCc3nc[nH]c3C2)ccc1[N+](=O)[O-] ZINC000367905944 380852551 /nfs/dbraw/zinc/85/25/51/380852551.db2.gz CVCGWVOWBTYASK-NSHDSACASA-N 0 0 272.308 2.596 20 5 CFBDRN CCOC(=O)[C@H](NCc1cccc([N+](=O)[O-])c1)[C@@H](C)CC ZINC000181652783 380853714 /nfs/dbraw/zinc/85/37/14/380853714.db2.gz GXEDWZGPARPYSW-SMDDNHRTSA-N 0 0 294.351 2.662 20 5 CFBDRN CO[C@H](C)CCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000181650519 380853844 /nfs/dbraw/zinc/85/38/44/380853844.db2.gz GTSHMCGEPQLOHY-LLVKDONJSA-N 0 0 294.351 2.761 20 5 CFBDRN CO[C@@H](C)CCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000181650497 380854553 /nfs/dbraw/zinc/85/45/53/380854553.db2.gz GTSHMCGEPQLOHY-NSHDSACASA-N 0 0 294.351 2.761 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1occ2c1CCOC2 ZINC000367926040 380857959 /nfs/dbraw/zinc/85/79/59/380857959.db2.gz IYJJWVMCDFWUJS-UHFFFAOYSA-N 0 0 288.259 2.513 20 5 CFBDRN CCCc1nnc(NCc2ccc(OC)c([N+](=O)[O-])c2)o1 ZINC000181676293 380861104 /nfs/dbraw/zinc/86/11/04/380861104.db2.gz JBRZAPSIXWGWKN-UHFFFAOYSA-N 0 0 292.295 2.551 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCC[C@H]1CCOC1 ZINC000401992486 380880949 /nfs/dbraw/zinc/88/09/49/380880949.db2.gz KQYZLZCJOGJZCA-VIFPVBQESA-N 0 0 285.731 2.872 20 5 CFBDRN CSCCCCCCNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000181801002 380901185 /nfs/dbraw/zinc/90/11/85/380901185.db2.gz LRYDMVIJFJQISB-UHFFFAOYSA-N 0 0 286.353 2.841 20 5 CFBDRN Cc1nn(CCCOCCc2ccccc2)cc1[N+](=O)[O-] ZINC000181832855 380909744 /nfs/dbraw/zinc/90/97/44/380909744.db2.gz DWJLJQILPUGJCX-UHFFFAOYSA-N 0 0 289.335 2.749 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1ccc(Cl)c(F)c1 ZINC000358540398 380910803 /nfs/dbraw/zinc/91/08/03/380910803.db2.gz KKDYZILOIZNGQF-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1c1nc2sccn2c1[N+](=O)[O-] ZINC000408216145 380917856 /nfs/dbraw/zinc/91/78/56/380917856.db2.gz BAJPDGYYCOZACQ-JGVFFNPUSA-N 0 0 266.326 2.539 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@H]3CCC[C@@H]32)n1 ZINC000408363187 380921695 /nfs/dbraw/zinc/92/16/95/380921695.db2.gz VAXINHIGJRIBCC-NEPJUHHUSA-N 0 0 261.325 2.985 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000341345196 380953196 /nfs/dbraw/zinc/95/31/96/380953196.db2.gz KECCXFJXHAANDB-NHYWBVRUSA-N 0 0 292.335 2.656 20 5 CFBDRN CCOC1CC(CCNc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000287856552 380955681 /nfs/dbraw/zinc/95/56/81/380955681.db2.gz SISIWEVFFYCDJD-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN COC1(CNC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCC1 ZINC000181987975 380957638 /nfs/dbraw/zinc/95/76/38/380957638.db2.gz MHIIFKMEBPBNPA-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN COc1cncc(COc2ccc([N+](=O)[O-])cc2OC)c1 ZINC000341353022 380959926 /nfs/dbraw/zinc/95/99/26/380959926.db2.gz ZLGYVPMTOYELHV-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN Cc1ccc(CCCn2cccc([N+](=O)[O-])c2=O)cc1 ZINC000182018654 380964717 /nfs/dbraw/zinc/96/47/17/380964717.db2.gz QDZHHTCKHPXFME-UHFFFAOYSA-N 0 0 272.304 2.698 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1cncc(F)c1 ZINC000341361269 380966765 /nfs/dbraw/zinc/96/67/65/380966765.db2.gz OWOROLGZCHKDMO-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@H](C)CC(C)C)n2)n1C ZINC000347159905 380975697 /nfs/dbraw/zinc/97/56/97/380975697.db2.gz OFNVYXVNNBTYMC-MRVPVSSYSA-N 0 0 293.327 2.836 20 5 CFBDRN CC1(CCNc2nc3ccc([N+](=O)[O-])cc3c(=O)[nH]2)CC1 ZINC000287936406 380976504 /nfs/dbraw/zinc/97/65/04/380976504.db2.gz XPDGVXUXJURWOT-UHFFFAOYSA-N 0 0 288.307 2.846 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000182054274 380978189 /nfs/dbraw/zinc/97/81/89/380978189.db2.gz MXDQDVQGFUDYMW-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN CCN(C(=O)c1cc(OC)c(F)cc1[N+](=O)[O-])C1CCC1 ZINC000410501956 380981129 /nfs/dbraw/zinc/98/11/29/380981129.db2.gz XDFWEZXGHBKBID-UHFFFAOYSA-N 0 0 296.298 2.757 20 5 CFBDRN Cc1cnc(OCCCC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000182073012 380982636 /nfs/dbraw/zinc/98/26/36/380982636.db2.gz GIRDLJQTCIFHNR-UHFFFAOYSA-N 0 0 296.323 2.799 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc([C@@H]2CCCC2(C)C)n1 ZINC000347103738 380940352 /nfs/dbraw/zinc/94/03/52/380940352.db2.gz ALXASTXJJRPWPI-QMMMGPOBSA-N 0 0 291.311 2.672 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341332732 380941326 /nfs/dbraw/zinc/94/13/26/380941326.db2.gz WWFHLPYTHXUKCG-DOMZBBRYSA-N 0 0 276.336 2.690 20 5 CFBDRN COc1ccncc1COc1cccc(C)c1[N+](=O)[O-] ZINC000341339454 380947053 /nfs/dbraw/zinc/94/70/53/380947053.db2.gz NGUDHGCIVLTCNL-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCC[C@@H](O)C3)n2)s1 ZINC000347112038 380947019 /nfs/dbraw/zinc/94/70/19/380947019.db2.gz WCOBGIJTHOEHHF-JGVFFNPUSA-N 0 0 295.320 2.725 20 5 CFBDRN C[C@@H](C(=O)Nc1nccs1)c1ccc([N+](=O)[O-])cc1F ZINC000182173537 381010146 /nfs/dbraw/zinc/01/01/46/381010146.db2.gz BWWHAQMWEAABFT-SSDOTTSWSA-N 0 0 295.295 2.933 20 5 CFBDRN Nc1ccc(N2CCC[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000288253669 381010225 /nfs/dbraw/zinc/01/02/25/381010225.db2.gz PAGIPZCNHJTSMA-NSHDSACASA-N 0 0 261.325 2.803 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000341439068 381016037 /nfs/dbraw/zinc/01/60/37/381016037.db2.gz XBUCCIMQDDSAPR-IUODEOHRSA-N 0 0 288.347 2.856 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c(C)c(C)c1O ZINC000358714517 381030278 /nfs/dbraw/zinc/03/02/78/381030278.db2.gz OWVQXCMHOPOHHM-UHFFFAOYSA-N 0 0 289.291 2.806 20 5 CFBDRN C[C@H](NC(=O)c1cccc(O)c1)c1cccc([N+](=O)[O-])c1 ZINC000182131782 380998416 /nfs/dbraw/zinc/99/84/16/380998416.db2.gz IGGZLJYHBAZALP-JTQLQIEISA-N 0 0 286.287 2.791 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1CCC2(CC2)CC1 ZINC000347201370 381000060 /nfs/dbraw/zinc/00/00/60/381000060.db2.gz JHNHBANTLFINMS-UHFFFAOYSA-N 0 0 289.335 2.751 20 5 CFBDRN CC[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000341409760 381000764 /nfs/dbraw/zinc/00/07/64/381000764.db2.gz OWMUQJZXKQYNPK-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1C[C@@H]1c1c(F)cccc1F ZINC000341500799 381051465 /nfs/dbraw/zinc/05/14/65/381051465.db2.gz OBGPFOQBBFAUAO-XVKPBYJWSA-N 0 0 294.261 2.575 20 5 CFBDRN Cc1nc(-c2noc(-c3ccc([N+](=O)[O-])c(C)c3)n2)co1 ZINC000347292164 381053070 /nfs/dbraw/zinc/05/30/70/381053070.db2.gz XWHJSZZOKXGLKN-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN CCCCOCCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347309050 381061376 /nfs/dbraw/zinc/06/13/76/381061376.db2.gz VBPOOQXKBQAXPS-UHFFFAOYSA-N 0 0 299.302 2.672 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)COC(C)(C)C ZINC000182358510 381074376 /nfs/dbraw/zinc/07/43/76/381074376.db2.gz DYPIYSRDBMPRCR-UHFFFAOYSA-N 0 0 280.324 2.965 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1cnc2ccccc2c1 ZINC000341527693 381066923 /nfs/dbraw/zinc/06/69/23/381066923.db2.gz GGEGAIYOMUMAAH-UHFFFAOYSA-N 0 0 270.248 2.669 20 5 CFBDRN CCCCN(C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347320437 381068570 /nfs/dbraw/zinc/06/85/70/381068570.db2.gz WZQVHLJPNUEHPA-UHFFFAOYSA-N 0 0 269.276 2.998 20 5 CFBDRN CC(=O)NCc1ccc(Nc2ccccc2[N+](=O)[O-])cc1 ZINC000354084631 381069403 /nfs/dbraw/zinc/06/94/03/381069403.db2.gz FDQIFPUIJLOXAQ-UHFFFAOYSA-N 0 0 285.303 2.975 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCCCOC(C)C ZINC000182351842 381072210 /nfs/dbraw/zinc/07/22/10/381072210.db2.gz BNRKNJRGOWRWSX-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000182238691 381034607 /nfs/dbraw/zinc/03/46/07/381034607.db2.gz BNIWRVGHZUKMLU-NSHDSACASA-N 0 0 280.324 2.904 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1CNC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000295737344 381045085 /nfs/dbraw/zinc/04/50/85/381045085.db2.gz MYXPHWGCNZIZQU-MNOVXSKESA-N 0 0 294.355 2.573 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2Cc3ccccc3O2)ccc1[N+](=O)[O-] ZINC000182414155 381086602 /nfs/dbraw/zinc/08/66/02/381086602.db2.gz XOUOFFLQGYEVLM-OAHLLOKOSA-N 0 0 298.298 2.845 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000182437104 381089328 /nfs/dbraw/zinc/08/93/28/381089328.db2.gz IITJTAJGNKATJT-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN Cc1cccc(COc2nc(C)cc(C)c2[N+](=O)[O-])n1 ZINC000358850540 381122317 /nfs/dbraw/zinc/12/23/17/381122317.db2.gz CRLFQCYQDCNJOD-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN CC1(C)OCC[C@H]1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000295819118 381095658 /nfs/dbraw/zinc/09/56/58/381095658.db2.gz HNVUNBJNRMPWAI-LLVKDONJSA-N 0 0 298.726 2.546 20 5 CFBDRN CN(Cc1ccccn1)c1c(F)cccc1[N+](=O)[O-] ZINC000341587587 381103444 /nfs/dbraw/zinc/10/34/44/381103444.db2.gz AKZPMMNFBRGGAR-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OC[C@H](O)CC(F)(F)F ZINC000295841412 381109666 /nfs/dbraw/zinc/10/96/66/381109666.db2.gz DCJVCLKSXKSOFR-ZCFIWIBFSA-N 0 0 299.632 2.940 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000358902862 381145192 /nfs/dbraw/zinc/14/51/92/381145192.db2.gz MCCSKHZHJNAELR-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN COC(=O)[C@H](SCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000358919183 381154893 /nfs/dbraw/zinc/15/48/93/381154893.db2.gz BRMATTIGSFYOJN-GFCCVEGCSA-N 0 0 281.333 2.780 20 5 CFBDRN CN(CCOCC1CCC1)Cc1ccccc1[N+](=O)[O-] ZINC000341675509 381165030 /nfs/dbraw/zinc/16/50/30/381165030.db2.gz FRWVVCXPDFEABC-UHFFFAOYSA-N 0 0 278.352 2.843 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000341613887 381124627 /nfs/dbraw/zinc/12/46/27/381124627.db2.gz NOKGMWGMLJZEST-NWDGAFQWSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1cc(NC[C@]2(C)CCO[C@H]2C2CC2)ncc1[N+](=O)[O-] ZINC000368778814 381130894 /nfs/dbraw/zinc/13/08/94/381130894.db2.gz GAWDNXBVGBVJPN-GJZGRUSLSA-N 0 0 291.351 2.915 20 5 CFBDRN CC/C=C/CNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000296025573 381216901 /nfs/dbraw/zinc/21/69/01/381216901.db2.gz VINPRCUEZNXJOM-SNAWJCMRSA-N 0 0 262.309 2.528 20 5 CFBDRN CCC1(NC(=O)CCC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000295945412 381171959 /nfs/dbraw/zinc/17/19/59/381171959.db2.gz QMBDZNWGOIZJAA-UHFFFAOYSA-N 0 0 290.319 2.617 20 5 CFBDRN O=C(NC[C@H]1CCCCC1(F)F)c1ccc([N+](=O)[O-])o1 ZINC000295942919 381172208 /nfs/dbraw/zinc/17/22/08/381172208.db2.gz GDYLMFCVFDLSPS-MRVPVSSYSA-N 0 0 288.250 2.743 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCSc1ccc(F)cc1 ZINC000182863921 381174807 /nfs/dbraw/zinc/17/48/07/381174807.db2.gz XYWAQWYDFJIXRY-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN CCCN(C)C(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000358955234 381174788 /nfs/dbraw/zinc/17/47/88/381174788.db2.gz VRXCQBNZIXFVFD-UHFFFAOYSA-N 0 0 288.250 2.678 20 5 CFBDRN C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000412147050 381182143 /nfs/dbraw/zinc/18/21/43/381182143.db2.gz GOHDCQAKPGMNIH-HKCMKHECSA-N 0 0 288.347 2.813 20 5 CFBDRN O=C1CC[C@@H](CSCc2ccc([N+](=O)[O-])cc2)CCN1 ZINC000295975987 381192546 /nfs/dbraw/zinc/19/25/46/381192546.db2.gz KSGRXUCLYLDDHK-GFCCVEGCSA-N 0 0 294.376 2.744 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000347507836 381193501 /nfs/dbraw/zinc/19/35/01/381193501.db2.gz UABBGUFVWMOCKW-AAEUAGOBSA-N 0 0 291.351 2.625 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCC1(OC)CCC1 ZINC000295982096 381196471 /nfs/dbraw/zinc/19/64/71/381196471.db2.gz NFKLGCYENCCHNU-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN C[C@H](CC(F)F)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000412211804 381215506 /nfs/dbraw/zinc/21/55/06/381215506.db2.gz BTSSVFYCFXFOAT-SECBINFHSA-N 0 0 286.278 2.545 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H](C)Cn2cccn2)n1 ZINC000359082863 381253418 /nfs/dbraw/zinc/25/34/18/381253418.db2.gz NHWQOKCENZVKRU-SNVBAGLBSA-N 0 0 289.339 2.551 20 5 CFBDRN COc1cccc(CNc2nc(C)cc(C)c2[N+](=O)[O-])n1 ZINC000359084292 381255963 /nfs/dbraw/zinc/25/59/63/381255963.db2.gz QDFFTUHMLZXJNL-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2ccccc2O)n1 ZINC000359085834 381257142 /nfs/dbraw/zinc/25/71/42/381257142.db2.gz UDGIALMYMSABBR-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2C[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000412361464 381270561 /nfs/dbraw/zinc/27/05/61/381270561.db2.gz LDAZJSRFYNVNBE-MNOVXSKESA-N 0 0 292.335 2.862 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)c2cn[nH]c2)n1 ZINC000359095796 381261951 /nfs/dbraw/zinc/26/19/51/381261951.db2.gz NSMFVFTUZUZKCO-VIFPVBQESA-N 0 0 261.285 2.503 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCO[C@H](C)C2)n1 ZINC000359098375 381264155 /nfs/dbraw/zinc/26/41/55/381264155.db2.gz SKDRVXLTJWTUIH-MNOVXSKESA-N 0 0 265.313 2.586 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CNCc3cccs3)nc2c1 ZINC000354354357 381221594 /nfs/dbraw/zinc/22/15/94/381221594.db2.gz DFOPUAOAVJIJLP-UHFFFAOYSA-N 0 0 288.332 2.822 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2ccncc2[N+](=O)[O-])c2ccccc21 ZINC000359031335 381224230 /nfs/dbraw/zinc/22/42/30/381224230.db2.gz YBHPYNXNVAYJJB-JTQLQIEISA-N 0 0 298.302 2.567 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000359034054 381226191 /nfs/dbraw/zinc/22/61/91/381226191.db2.gz UMBUEZRBDMSNST-WDEREUQCSA-N 0 0 292.339 2.502 20 5 CFBDRN C[C@]1(F)CCCN(Cc2cccc([N+](=O)[O-])c2)C1 ZINC000296057504 381235856 /nfs/dbraw/zinc/23/58/56/381235856.db2.gz PBPROSVAEILQFQ-ZDUSSCGKSA-N 0 0 252.289 2.919 20 5 CFBDRN Cc1ccnc(NCc2ccn3ccnc3c2)c1[N+](=O)[O-] ZINC000354381703 381236017 /nfs/dbraw/zinc/23/60/17/381236017.db2.gz JAPSKAALQRPVGA-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC1(C)[C@H]([NH2+]Cc2ccc([N+](=O)[O-])c(F)c2)[C@@H]2CCO[C@@H]21 ZINC000296061763 381237868 /nfs/dbraw/zinc/23/78/68/381237868.db2.gz GEWQIUXWZHGPII-GDLCADMTSA-N 0 0 294.326 2.637 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)[C@H]1CC1(C)C ZINC000412291185 381246264 /nfs/dbraw/zinc/24/62/64/381246264.db2.gz RCYQSDLXHBOLLI-MJBXVCDLSA-N 0 0 288.347 2.955 20 5 CFBDRN C[C@@H]1C[C@@H](C)CC[C@@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183594057 381291462 /nfs/dbraw/zinc/29/14/62/381291462.db2.gz LSVHZIJWNQCNGX-AXFHLTTASA-N 0 0 292.339 2.936 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000359229644 381299790 /nfs/dbraw/zinc/29/97/90/381299790.db2.gz RBHATSLZMLFWAH-SCZZXKLOSA-N 0 0 298.364 2.604 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc(F)cc1 ZINC000347693195 381300939 /nfs/dbraw/zinc/30/09/39/381300939.db2.gz WYURWYPCWVGDJW-SECBINFHSA-N 0 0 291.282 2.596 20 5 CFBDRN C[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000359230526 381301086 /nfs/dbraw/zinc/30/10/86/381301086.db2.gz ZQZAJUBHBUJDBO-ZETCQYMHSA-N 0 0 291.229 2.915 20 5 CFBDRN CCC[C@@H](CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1)OC ZINC000296217305 381306738 /nfs/dbraw/zinc/30/67/38/381306738.db2.gz OMMNPMKWAFRBCO-LBPRGKRZSA-N 0 0 295.339 2.840 20 5 CFBDRN Cn1c(C(=O)N[C@H]2CCCCC2(C)C)ccc1[N+](=O)[O-] ZINC000342313377 381272480 /nfs/dbraw/zinc/27/24/80/381272480.db2.gz MIOPFOMHTSLZAN-NSHDSACASA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])o1)c1ccc(F)cc1 ZINC000347649838 381276537 /nfs/dbraw/zinc/27/65/37/381276537.db2.gz JQEFKDAURQVTJO-VIFPVBQESA-N 0 0 292.266 2.860 20 5 CFBDRN Cc1ncccc1O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000369527612 381280095 /nfs/dbraw/zinc/28/00/95/381280095.db2.gz NIAAOJXLGWOFSN-OAHLLOKOSA-N 0 0 299.330 2.956 20 5 CFBDRN CCOC(=O)c1ccnc(NC2(CC)CC2)c1[N+](=O)[O-] ZINC000296262311 381325233 /nfs/dbraw/zinc/32/52/33/381325233.db2.gz JOAUWGXIFQJFHR-UHFFFAOYSA-N 0 0 279.296 2.521 20 5 CFBDRN Cc1cnc(OCc2cnccc2C)c([N+](=O)[O-])c1 ZINC000347736890 381326189 /nfs/dbraw/zinc/32/61/89/381326189.db2.gz KUBQHPMJBNRWQK-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccn(C[C@@H]2CCc3ccccc3C2)c(=O)c1[N+](=O)[O-] ZINC000354514512 381313678 /nfs/dbraw/zinc/31/36/78/381313678.db2.gz YJZDVYLCHADTGF-CYBMUJFWSA-N 0 0 298.342 2.870 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1noc(C(C)(C)C)n1 ZINC000183758161 381314715 /nfs/dbraw/zinc/31/47/15/381314715.db2.gz UZCAGTLDEMDVHJ-UHFFFAOYSA-N 0 0 290.323 2.912 20 5 CFBDRN O=[N+]([O-])c1ccnn1C[C@@H]1CCc2ccccc2C1 ZINC000354524824 381320228 /nfs/dbraw/zinc/32/02/28/381320228.db2.gz CSDIFYJUMLUAGK-LLVKDONJSA-N 0 0 257.293 2.596 20 5 CFBDRN Cc1ccn(C[C@H](C)CC(C)C)c(=O)c1[N+](=O)[O-] ZINC000347779031 381344982 /nfs/dbraw/zinc/34/49/82/381344982.db2.gz OXBXEYYZGGKOBW-SNVBAGLBSA-N 0 0 252.314 2.747 20 5 CFBDRN C[C@H](CCCCO)Nc1c(F)cccc1[N+](=O)[O-] ZINC000296325913 381348168 /nfs/dbraw/zinc/34/81/68/381348168.db2.gz OUWZNNRZPLWZPJ-SECBINFHSA-N 0 0 256.277 2.697 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc(OCC(F)F)cc2)nc1 ZINC000354580278 381350136 /nfs/dbraw/zinc/35/01/36/381350136.db2.gz QHRXJMSKHMKQJQ-UHFFFAOYSA-N 0 0 296.233 2.772 20 5 CFBDRN Cc1ccc(Cl)cc1N(C)C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000359330552 381353371 /nfs/dbraw/zinc/35/33/71/381353371.db2.gz NFNVNSVNFQBODN-UHFFFAOYSA-N 0 0 294.698 2.556 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H](O)C(F)(F)F)ccc1Cl ZINC000290252762 381358407 /nfs/dbraw/zinc/35/84/07/381358407.db2.gz YCQKFJGKFMGUPF-MRVPVSSYSA-N 0 0 285.605 2.550 20 5 CFBDRN COCCC(C)(C)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000184048107 381360078 /nfs/dbraw/zinc/36/00/78/381360078.db2.gz TUDPEZFGNLCGQV-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN Cc1nc(C)c(CNC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000359343699 381363605 /nfs/dbraw/zinc/36/36/05/381363605.db2.gz IHWGASQZQNEOOE-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCC1(CNC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000342511265 381367765 /nfs/dbraw/zinc/36/77/65/381367765.db2.gz HAQVCEBNGNQRDK-UHFFFAOYSA-N 0 0 298.289 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ncccc1C ZINC000296377608 381369675 /nfs/dbraw/zinc/36/96/75/381369675.db2.gz HLZCXTDHJRQLMD-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCOc1cccc(N(C)CCOCC2CC2)c1[N+](=O)[O-] ZINC000184134372 381375174 /nfs/dbraw/zinc/37/51/74/381375174.db2.gz FMAOTBJNTXWXMT-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN Cc1ccn(CCCCC2CCOCC2)c(=O)c1[N+](=O)[O-] ZINC000347775574 381343256 /nfs/dbraw/zinc/34/32/56/381343256.db2.gz JBIHMXSPRXUEFB-UHFFFAOYSA-N 0 0 294.351 2.662 20 5 CFBDRN O=C(Nc1cccc(OCCF)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000347899370 381403995 /nfs/dbraw/zinc/40/39/95/381403995.db2.gz MQZHQFMKKWELOZ-UHFFFAOYSA-N 0 0 293.254 2.524 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2[C@H](C)C2(F)F)ccc1[N+](=O)[O-] ZINC000359420894 381404225 /nfs/dbraw/zinc/40/42/25/381404225.db2.gz LYQQRGNNENUQBC-OIBJUYFYSA-N 0 0 270.235 2.743 20 5 CFBDRN CN(CCc1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000354699087 381408437 /nfs/dbraw/zinc/40/84/37/381408437.db2.gz ZHJLNSOTFLOMQO-UHFFFAOYSA-N 0 0 257.293 2.669 20 5 CFBDRN Cc1ccn(CCc2cccc(F)c2F)c(=O)c1[N+](=O)[O-] ZINC000342560016 381396617 /nfs/dbraw/zinc/39/66/17/381396617.db2.gz GSSKRSJZYGQOQS-UHFFFAOYSA-N 0 0 294.257 2.586 20 5 CFBDRN Cc1ccc(CS(=O)(=O)c2cccc([N+](=O)[O-])c2)cc1 ZINC000347886886 381398552 /nfs/dbraw/zinc/39/85/52/381398552.db2.gz AYAKWKJPIXVUOM-UHFFFAOYSA-N 0 0 291.328 2.877 20 5 CFBDRN Cn1cc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)nn1 ZINC000359467404 381424840 /nfs/dbraw/zinc/42/48/40/381424840.db2.gz AQWKFGWLYGFMSP-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN NC(=O)c1ccnc(NC2CCCCCCC2)c1[N+](=O)[O-] ZINC000290492519 381450413 /nfs/dbraw/zinc/45/04/13/381450413.db2.gz JKZLYWZEDUDQKQ-UHFFFAOYSA-N 0 0 292.339 2.614 20 5 CFBDRN COc1c(C(=O)N2CC(C)(C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000412765133 381432381 /nfs/dbraw/zinc/43/23/81/381432381.db2.gz IWJIJPWDPYCYEH-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1c(C(=O)N2CC(C)(C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000412765132 381432416 /nfs/dbraw/zinc/43/24/16/381432416.db2.gz IWJIJPWDPYCYEH-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CCCn1ncnc1CNc1c(C)ccc([N+](=O)[O-])c1C ZINC000290469112 381440988 /nfs/dbraw/zinc/44/09/88/381440988.db2.gz AGLXWKVOUHSVRG-UHFFFAOYSA-N 0 0 289.339 2.825 20 5 CFBDRN Cc1cc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)ccn1 ZINC000347976399 381441026 /nfs/dbraw/zinc/44/10/26/381441026.db2.gz WJOIEJOUFPBGCN-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC=C(F)C1 ZINC000359506729 381441093 /nfs/dbraw/zinc/44/10/93/381441093.db2.gz JSJBBOYQQKOZOA-UHFFFAOYSA-N 0 0 254.236 2.793 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2CCC[C@H]2C1)c1cc([N+](=O)[O-])c[nH]1 ZINC000359565412 381474068 /nfs/dbraw/zinc/47/40/68/381474068.db2.gz WRYKZUHJXCZSSH-GARJFASQSA-N 0 0 277.324 2.622 20 5 CFBDRN CCc1ccc(Oc2nnc(C)c(C)n2)c([N+](=O)[O-])c1 ZINC000359572287 381478736 /nfs/dbraw/zinc/47/87/36/381478736.db2.gz AMGSTCXGGUANJS-UHFFFAOYSA-N 0 0 274.280 2.751 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@H]1CCCCS1 ZINC000342645339 381453154 /nfs/dbraw/zinc/45/31/54/381453154.db2.gz CEYYTUSIBVWTFI-ZDUSSCGKSA-N 0 0 294.376 2.539 20 5 CFBDRN CO[C@@H](C)CCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000296635987 381484241 /nfs/dbraw/zinc/48/42/41/381484241.db2.gz CWXXXCBKRLGBQQ-VIFPVBQESA-N 0 0 299.302 2.589 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)CC(C)(C)O ZINC000296584551 381459610 /nfs/dbraw/zinc/45/96/10/381459610.db2.gz FXAGGJVOPRZYDV-SNVBAGLBSA-N 0 0 295.339 2.574 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@H]1CCCCS1 ZINC000342654126 381460076 /nfs/dbraw/zinc/46/00/76/381460076.db2.gz JMIDCECKIROOGH-CYBMUJFWSA-N 0 0 294.376 2.539 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Oc1ccc2c[nH]nc2c1 ZINC000359550445 381463825 /nfs/dbraw/zinc/46/38/25/381463825.db2.gz ZKIDJKJBBDIHAM-UHFFFAOYSA-N 0 0 287.279 2.788 20 5 CFBDRN CCc1nnc(CNc2cccc(OC)c2[N+](=O)[O-])s1 ZINC000290680896 381520583 /nfs/dbraw/zinc/52/05/83/381520583.db2.gz LKXTVTYTXAJINC-UHFFFAOYSA-N 0 0 294.336 2.629 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Cn1nnc2ccccc21 ZINC000354911689 381518103 /nfs/dbraw/zinc/51/81/03/381518103.db2.gz XKRMBGAGKVJXSR-UHFFFAOYSA-N 0 0 272.239 2.527 20 5 CFBDRN Cc1nnc(COc2c(F)cccc2[N+](=O)[O-])n1C1CC1 ZINC000185034655 381518363 /nfs/dbraw/zinc/51/83/63/381518363.db2.gz JNWAHSIEDJLVLF-UHFFFAOYSA-N 0 0 292.270 2.548 20 5 CFBDRN Cc1nn(CC(=O)CCc2ccccc2)c(C)c1[N+](=O)[O-] ZINC000412986222 381521051 /nfs/dbraw/zinc/52/10/51/381521051.db2.gz TZMNTJXJNUQSAQ-UHFFFAOYSA-N 0 0 287.319 2.610 20 5 CFBDRN CC(=O)c1ccc(F)cc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000184836403 381486182 /nfs/dbraw/zinc/48/61/82/381486182.db2.gz GIGPGPUJSRYCCA-UHFFFAOYSA-N 0 0 292.222 2.782 20 5 CFBDRN CC[C@H](CNc1ccc([N+](=O)[O-])c(C(C)=O)c1)OC ZINC000290581739 381488517 /nfs/dbraw/zinc/48/85/17/381488517.db2.gz CAYXWEUBUKMRPQ-LLVKDONJSA-N 0 0 266.297 2.634 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1CF ZINC000296658784 381495274 /nfs/dbraw/zinc/49/52/74/381495274.db2.gz URJXDKVQCTWREZ-NSHDSACASA-N 0 0 267.260 2.561 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@@H](CO)c1ccc(F)cc1 ZINC000359606243 381502570 /nfs/dbraw/zinc/50/25/70/381502570.db2.gz BVGUDBNMIJEPRC-AWEZNQCLSA-N 0 0 290.294 2.557 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@@H](CC2CC2)C1 ZINC000359679711 381550443 /nfs/dbraw/zinc/55/04/43/381550443.db2.gz HCPDVZLFOAHOIV-JTQLQIEISA-N 0 0 280.349 2.919 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000348349005 381523316 /nfs/dbraw/zinc/52/33/16/381523316.db2.gz KYYYVGLPZSASSD-MWLCHTKSSA-N 0 0 267.329 2.507 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC1C(C)(C)C1(C)C ZINC000359638775 381526856 /nfs/dbraw/zinc/52/68/56/381526856.db2.gz MBQLQDLUWBIZDE-UHFFFAOYSA-N 0 0 292.335 2.768 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CCC3(O)CCC3)c2)c1 ZINC000413000631 381530773 /nfs/dbraw/zinc/53/07/73/381530773.db2.gz OFWQGAOSDLFRCG-UHFFFAOYSA-N 0 0 287.319 2.763 20 5 CFBDRN Cc1nn(CCC2(O)CCC2)c2ccc([N+](=O)[O-])cc12 ZINC000413002639 381532244 /nfs/dbraw/zinc/53/22/44/381532244.db2.gz RZHIJVNDEALAPS-UHFFFAOYSA-N 0 0 275.308 2.558 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@H](CC2CC2)C1 ZINC000359691331 381560389 /nfs/dbraw/zinc/56/03/89/381560389.db2.gz ZNZQVXIYKFNTOK-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN C[C@]1(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)C[C@H]1c1ccccc1 ZINC000355005275 381561344 /nfs/dbraw/zinc/56/13/44/381561344.db2.gz USNUMHKMBOWMBO-XJKSGUPXSA-N 0 0 299.330 2.847 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ccn(C)n1 ZINC000414149689 381564675 /nfs/dbraw/zinc/56/46/75/381564675.db2.gz VAIVBRRFPCCXIU-QWRGUYRKSA-N 0 0 274.324 2.740 20 5 CFBDRN Cc1cnc(NCC2([C@H](C)CCO)CCC2)c([N+](=O)[O-])c1 ZINC000342818636 381566131 /nfs/dbraw/zinc/56/61/31/381566131.db2.gz XNWOZFYWDGVPAH-GFCCVEGCSA-N 0 0 293.367 2.899 20 5 CFBDRN CCC[C@@H]1CCCN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000297604065 381567232 /nfs/dbraw/zinc/56/72/32/381567232.db2.gz VCZJTMUGTYEVPQ-LLVKDONJSA-N 0 0 264.329 2.710 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCC2(CC2)C1 ZINC000185322569 381597997 /nfs/dbraw/zinc/59/79/97/381597997.db2.gz OOEAYEICXJDQOP-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN CC(C)Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000342891246 381601698 /nfs/dbraw/zinc/60/16/98/381601698.db2.gz AEWCEAJZYHWPLQ-UHFFFAOYSA-N 0 0 288.307 2.769 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc2C1)C1(CCF)CC1 ZINC000355058486 381589084 /nfs/dbraw/zinc/58/90/84/381589084.db2.gz MIUCAXZYYJZRIS-UHFFFAOYSA-N 0 0 292.310 2.619 20 5 CFBDRN CCCN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000298356432 381589643 /nfs/dbraw/zinc/58/96/43/381589643.db2.gz MPWXZAQJTXCKFS-UHFFFAOYSA-N 0 0 254.286 2.696 20 5 CFBDRN CCCCNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342874273 381596805 /nfs/dbraw/zinc/59/68/05/381596805.db2.gz OQPNSXMAUNMQBW-UHFFFAOYSA-N 0 0 261.281 2.606 20 5 CFBDRN O=C(Nc1cccnc1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342874009 381596858 /nfs/dbraw/zinc/59/68/58/381596858.db2.gz KAAOZYBTGWWDOH-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CCCN(CC)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000298370326 381597293 /nfs/dbraw/zinc/59/72/93/381597293.db2.gz BBMRRFAMSUQACL-UHFFFAOYSA-N 0 0 280.324 2.541 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCC1CCCC1 ZINC000355110104 381617838 /nfs/dbraw/zinc/61/78/38/381617838.db2.gz CWRQQJQDBQHJNS-UHFFFAOYSA-N 0 0 255.343 2.752 20 5 CFBDRN CCC(C)(C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342924807 381619389 /nfs/dbraw/zinc/61/93/89/381619389.db2.gz KMVCMYKVTFRHDC-UHFFFAOYSA-N 0 0 275.308 2.995 20 5 CFBDRN CC1(CCNc2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000359821599 381626621 /nfs/dbraw/zinc/62/66/21/381626621.db2.gz XYABEQIOYSDLGH-UHFFFAOYSA-N 0 0 266.326 2.906 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CSCCCO)co2)cc1 ZINC000298457267 381635205 /nfs/dbraw/zinc/63/52/05/381635205.db2.gz YLRUZMMZEZFRCW-UHFFFAOYSA-N 0 0 294.332 2.865 20 5 CFBDRN Cn1ccc(/C=C/c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000291012986 381631000 /nfs/dbraw/zinc/63/10/00/381631000.db2.gz BJEMUWHRGPRSCI-SNAWJCMRSA-N 0 0 290.323 2.566 20 5 CFBDRN CCOCCO[C@@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000342944249 381631901 /nfs/dbraw/zinc/63/19/01/381631901.db2.gz NKXFFKNOFVIJPK-CYBMUJFWSA-N 0 0 294.351 2.535 20 5 CFBDRN CC(C)(CCc1noc(/C=C/c2cccnc2)n1)[N+](=O)[O-] ZINC000291051857 381648597 /nfs/dbraw/zinc/64/85/97/381648597.db2.gz IJAYYQBFFBUIQN-AATRIKPKSA-N 0 0 288.307 2.623 20 5 CFBDRN Cc1cccc(CCNc2nc(C)cc(C)c2[N+](=O)[O-])n1 ZINC000359848936 381639627 /nfs/dbraw/zinc/63/96/27/381639627.db2.gz RHCAHHDOWORKFQ-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN COc1cccc(NC[C@H]2CCC[C@H](O)C2)c1[N+](=O)[O-] ZINC000185469790 381642349 /nfs/dbraw/zinc/64/23/49/381642349.db2.gz PNHCNZYIACUJBV-QWRGUYRKSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cccc(NCC(C)(C)C[C@@H](C)O)c1[N+](=O)[O-] ZINC000185475299 381644501 /nfs/dbraw/zinc/64/45/01/381644501.db2.gz DNELEFDCMYLTMI-SNVBAGLBSA-N 0 0 282.340 2.812 20 5 CFBDRN COc1cccc(NC[C@]2(C)CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000185521105 381657201 /nfs/dbraw/zinc/65/72/01/381657201.db2.gz QNNHSWDJCKYHGS-HIFRSBDPSA-N 0 0 294.351 2.957 20 5 CFBDRN CC(C)(CCc1noc(CCc2ccccc2)n1)[N+](=O)[O-] ZINC000291075941 381657793 /nfs/dbraw/zinc/65/77/93/381657793.db2.gz MMKQIDFTQGWTMS-UHFFFAOYSA-N 0 0 289.335 2.843 20 5 CFBDRN CN(Cc1ccnn1C)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000359883021 381658348 /nfs/dbraw/zinc/65/83/48/381658348.db2.gz WTYMDZPEXUIFEY-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(c2ccncn2)CC1 ZINC000298519423 381659164 /nfs/dbraw/zinc/65/91/64/381659164.db2.gz IFSUOZHBMKFVBX-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN Nc1c(F)c(NCc2cccs2)ccc1[N+](=O)[O-] ZINC000348704084 381674512 /nfs/dbraw/zinc/67/45/12/381674512.db2.gz FNILREAOCKEKAA-UHFFFAOYSA-N 0 0 267.285 2.990 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCO[C@@H](C)C1 ZINC000348669683 381661783 /nfs/dbraw/zinc/66/17/83/381661783.db2.gz HGBZRBDIQCFABJ-RPHSKFLZSA-N 0 0 276.336 2.719 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCCCC[C@@H]1CCO ZINC000343106580 381662500 /nfs/dbraw/zinc/66/25/00/381662500.db2.gz ZGJSTKZVHMIXFU-LLVKDONJSA-N 0 0 299.758 2.775 20 5 CFBDRN Cc1c(CN2C[C@H](C)OC[C@H]2C)cccc1[N+](=O)[O-] ZINC000298688818 381711488 /nfs/dbraw/zinc/71/14/88/381711488.db2.gz CPHOTZXVKRHHPK-MNOVXSKESA-N 0 0 264.325 2.512 20 5 CFBDRN CC/C=C/CCSCCn1cc([N+](=O)[O-])cn1 ZINC000185719933 381713962 /nfs/dbraw/zinc/71/39/62/381713962.db2.gz CKPBVELCGCDRAZ-ONEGZZNKSA-N 0 0 255.343 2.881 20 5 CFBDRN CN(Cc1ccccc1)c1ccc([N+](=O)[O-])c(N)c1F ZINC000348707285 381675974 /nfs/dbraw/zinc/67/59/74/381675974.db2.gz SHCMEJOSGQVIPL-UHFFFAOYSA-N 0 0 275.283 2.953 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000185602350 381677688 /nfs/dbraw/zinc/67/76/88/381677688.db2.gz WDJMAMITDRMIBG-STQMWFEESA-N 0 0 276.336 2.845 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000359930685 381685545 /nfs/dbraw/zinc/68/55/45/381685545.db2.gz FJIYRNWWUBFKKA-GHMZBOCLSA-N 0 0 286.335 2.808 20 5 CFBDRN COC(=O)c1cnc(NC[C@@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000359931590 381685836 /nfs/dbraw/zinc/68/58/36/381685836.db2.gz LNXXCCYCAOVBDK-UWVGGRQHSA-N 0 0 293.323 2.625 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1(O)Cc2ccccc2C1 ZINC000371712353 381686405 /nfs/dbraw/zinc/68/64/05/381686405.db2.gz BGXCQOXWRLJPKX-UHFFFAOYSA-N 0 0 284.315 2.537 20 5 CFBDRN COC1(CNc2ncccc2[N+](=O)[O-])CCC(C)CC1 ZINC000359933074 381687066 /nfs/dbraw/zinc/68/70/66/381687066.db2.gz JBNNNMJOGOSDHM-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN CC(=O)N1CCC[C@@H](CNc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000359938583 381691050 /nfs/dbraw/zinc/69/10/50/381691050.db2.gz YUNZJVPKMDVPIX-ZDUSSCGKSA-N 0 0 291.351 2.574 20 5 CFBDRN O=[N+]([O-])c1cccc(C[N@@H+]2CCC[C@@H]([C@@H]3CCOC3)C2)c1 ZINC000355235550 381694576 /nfs/dbraw/zinc/69/45/76/381694576.db2.gz JXGNZLFNTSEYBI-HUUCEWRRSA-N 0 0 290.363 2.843 20 5 CFBDRN CCc1n[nH]c(C(=O)N2C[C@@H](C)CCC[C@H]2C)c1[N+](=O)[O-] ZINC000185730342 381717281 /nfs/dbraw/zinc/71/72/81/381717281.db2.gz MOQWWDQDTIQTDC-VHSXEESVSA-N 0 0 294.355 2.531 20 5 CFBDRN Cc1cc(OCc2noc(C3CC3)n2)c(F)cc1[N+](=O)[O-] ZINC000185672955 381701155 /nfs/dbraw/zinc/70/11/55/381701155.db2.gz HMAWFYUXLJHYSE-UHFFFAOYSA-N 0 0 293.254 2.882 20 5 CFBDRN CS[C@H](C)CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000355247629 381703292 /nfs/dbraw/zinc/70/32/92/381703292.db2.gz XHFNHRMZUMYEHO-MRVPVSSYSA-N 0 0 293.348 2.557 20 5 CFBDRN Cn1cc(NCc2cccc([N+](=O)[O-])c2)c(C2CC2)n1 ZINC000291197365 381704712 /nfs/dbraw/zinc/70/47/12/381704712.db2.gz HGJRXLHXFPYDEU-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000298670343 381705029 /nfs/dbraw/zinc/70/50/29/381705029.db2.gz GVINQBKFPDAWNA-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC1(C(F)F)CC1 ZINC000348773284 381707552 /nfs/dbraw/zinc/70/75/52/381707552.db2.gz XKRCMEITFZZVKN-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@]2(C)CCO[C@H]2C)n1 ZINC000343435713 381707904 /nfs/dbraw/zinc/70/79/04/381707904.db2.gz KOYSRUXKDAYQOD-GWCFXTLKSA-N 0 0 265.313 2.586 20 5 CFBDRN COCC[C@@H]1CCCCN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000372039461 381740045 /nfs/dbraw/zinc/74/00/45/381740045.db2.gz SJIWCLZXFJVWOG-ZDUSSCGKSA-N 0 0 293.367 2.941 20 5 CFBDRN Cc1c(CNC(=O)C[C@@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000355306197 381741236 /nfs/dbraw/zinc/74/12/36/381741236.db2.gz ROFBWCABHSAFAK-CABZTGNLSA-N 0 0 262.309 2.566 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000185811556 381741986 /nfs/dbraw/zinc/74/19/86/381741986.db2.gz OOIWUXBZWNUWLR-UHFFFAOYSA-N 0 0 272.251 2.630 20 5 CFBDRN Cc1cc(C(=O)Nc2ccn3ccnc3c2)ccc1[N+](=O)[O-] ZINC000355310281 381742777 /nfs/dbraw/zinc/74/27/77/381742777.db2.gz LIVXUUYXAVPXPZ-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CCCN(CC)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000298798467 381750688 /nfs/dbraw/zinc/75/06/88/381750688.db2.gz UTZMYXSUMWSXHO-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN Cc1cc2c(N(C)Cc3ccccc3[N+](=O)[O-])nccn2n1 ZINC000185736525 381719379 /nfs/dbraw/zinc/71/93/79/381719379.db2.gz QCXWHONLMBETMW-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN C[C@H](Sc1ncnn1C)c1cccc([N+](=O)[O-])c1 ZINC000185756829 381724972 /nfs/dbraw/zinc/72/49/72/381724972.db2.gz GKAZJZUXMOSETC-QMMMGPOBSA-N 0 0 264.310 2.577 20 5 CFBDRN CSc1cccc(C(=O)NC2CCCC2)c1[N+](=O)[O-] ZINC000185947077 381784474 /nfs/dbraw/zinc/78/44/74/381784474.db2.gz CGZWOJWVDJYVNI-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN CS[C@@H](CNc1ccc([N+](=O)[O-])c(C)n1)C(C)(C)C ZINC000343539859 381784840 /nfs/dbraw/zinc/78/48/40/381784840.db2.gz QZGZTJNNABVXSJ-NSHDSACASA-N 0 0 283.397 2.910 20 5 CFBDRN CC(C)(C)SCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000298862336 381768692 /nfs/dbraw/zinc/76/86/92/381768692.db2.gz MVMQCOPYBSQHIG-UHFFFAOYSA-N 0 0 282.365 2.743 20 5 CFBDRN Nc1ccc(-c2noc(-c3cccs3)n2)cc1[N+](=O)[O-] ZINC000355446969 381771347 /nfs/dbraw/zinc/77/13/47/381771347.db2.gz WBOSZVJQHQDASO-UHFFFAOYSA-N 0 0 288.288 2.956 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000298882286 381773782 /nfs/dbraw/zinc/77/37/82/381773782.db2.gz ZBWYHZZWQAWREY-GFCCVEGCSA-N 0 0 294.351 2.867 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC3(C2)CCCC3)c1 ZINC000372362932 381799621 /nfs/dbraw/zinc/79/96/21/381799621.db2.gz ACZLYTFBKJBBLX-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN Cc1cc(Nc2ccc([N+](=O)[O-])cn2)nn1[C@@H](C)C1CC1 ZINC000343602768 381832404 /nfs/dbraw/zinc/83/24/04/381832404.db2.gz LWXLHNAXMTVDKP-JTQLQIEISA-N 0 0 287.323 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H]2CC2(C)C)c1 ZINC000299028573 381802881 /nfs/dbraw/zinc/80/28/81/381802881.db2.gz HLHKRMWCGQXWEQ-ZDUSSCGKSA-N 0 0 292.335 2.587 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000415232527 381815720 /nfs/dbraw/zinc/81/57/20/381815720.db2.gz ZLTPXRJMSOGOOQ-CQSZACIVSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CSC[C@@H]2C)n1 ZINC000343584530 381817196 /nfs/dbraw/zinc/81/71/96/381817196.db2.gz AVYIWSIFSYBEOM-WCBMZHEXSA-N 0 0 267.354 2.770 20 5 CFBDRN C[C@H](C(=O)NCc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000292879189 381879756 /nfs/dbraw/zinc/87/97/56/381879756.db2.gz TYCGJMXOXYKCPL-MRVPVSSYSA-N 0 0 272.251 2.502 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CSC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000343632554 381856206 /nfs/dbraw/zinc/85/62/06/381856206.db2.gz OSQGXZBNFKFGQR-QPUJVOFHSA-N 0 0 280.349 2.961 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000299228373 381865364 /nfs/dbraw/zinc/86/53/64/381865364.db2.gz FQZLAIWBSDCOQQ-ZDUSSCGKSA-N 0 0 279.292 2.629 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@@H]2C2CC2)nc2sccn21 ZINC000361816213 381865539 /nfs/dbraw/zinc/86/55/39/381865539.db2.gz VJEYWILBSQWFPB-SECBINFHSA-N 0 0 278.337 2.683 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000299253477 381873403 /nfs/dbraw/zinc/87/34/03/381873403.db2.gz QTEDAMHPNXPKFY-GFCCVEGCSA-N 0 0 293.323 2.594 20 5 CFBDRN CCc1nc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)sc1C ZINC000343660150 381874522 /nfs/dbraw/zinc/87/45/22/381874522.db2.gz TYMFAMCDVUDLAC-UHFFFAOYSA-N 0 0 280.309 2.503 20 5 CFBDRN CC[C@H](c1ccncc1)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000349340869 381897860 /nfs/dbraw/zinc/89/78/60/381897860.db2.gz ABLHPCOLBNTICG-CYBMUJFWSA-N 0 0 288.307 2.541 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC23CCOCC3)c(F)c1 ZINC000372969563 381899191 /nfs/dbraw/zinc/89/91/91/381899191.db2.gz YIVFKGVDVGWXAD-UHFFFAOYSA-N 0 0 284.262 2.632 20 5 CFBDRN CC[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000299345436 381905512 /nfs/dbraw/zinc/90/55/12/381905512.db2.gz MEXLDAOTVCSPKT-GFCCVEGCSA-N 0 0 266.297 2.575 20 5 CFBDRN CCOC1(CNc2ccc(N)cc2[N+](=O)[O-])CCCC1 ZINC000292936283 381911178 /nfs/dbraw/zinc/91/11/78/381911178.db2.gz ZWGOPKWOVKMGOZ-UHFFFAOYSA-N 0 0 279.340 2.938 20 5 CFBDRN CCOC1(C)CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000292946239 381916596 /nfs/dbraw/zinc/91/65/96/381916596.db2.gz UYZDGHXTKMCFTF-UHFFFAOYSA-N 0 0 298.364 2.688 20 5 CFBDRN CC[C@H](Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)OC ZINC000416904807 381964042 /nfs/dbraw/zinc/96/40/42/381964042.db2.gz IKSACYUTPFTASC-CQSZACIVSA-N 0 0 275.308 2.883 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000373159848 381939976 /nfs/dbraw/zinc/93/99/76/381939976.db2.gz PIMYDPGHDZYBEU-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000343796260 381946671 /nfs/dbraw/zinc/94/66/71/381946671.db2.gz NOLCUYFYVRNFMN-CYBMUJFWSA-N 0 0 288.347 2.952 20 5 CFBDRN C[C@H]1C[C@@H](c2cccs2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000373393650 381995353 /nfs/dbraw/zinc/99/53/53/381995353.db2.gz PQUBAGKOTAFORZ-VHSXEESVSA-N 0 0 290.348 2.829 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000417070661 381996433 /nfs/dbraw/zinc/99/64/33/381996433.db2.gz PUPKPSBJYLQNSI-MRVPVSSYSA-N 0 0 279.271 2.656 20 5 CFBDRN CC(=O)c1ccc(NCC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000343893228 382008879 /nfs/dbraw/zinc/00/88/79/382008879.db2.gz TXKKUQJOXFXTGN-NSHDSACASA-N 0 0 278.308 2.636 20 5 CFBDRN CCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000299639520 382012045 /nfs/dbraw/zinc/01/20/45/382012045.db2.gz NBTBWMPSGFVNNE-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN CCCC1CCC(NC(=O)c2[nH]nc(C)c2[N+](=O)[O-])CC1 ZINC000299542296 381977156 /nfs/dbraw/zinc/97/71/56/381977156.db2.gz ORWDFFJYCYRPGR-UHFFFAOYSA-N 0 0 294.355 2.715 20 5 CFBDRN C[C@@](O)(CNc1c(Cl)cccc1[N+](=O)[O-])C(F)(F)F ZINC000293083402 381982797 /nfs/dbraw/zinc/98/27/97/381982797.db2.gz ODNIBEXDHVSKPN-SECBINFHSA-N 0 0 298.648 2.973 20 5 CFBDRN Cc1ccc(CN[C@H](CO)c2ccsc2)cc1[N+](=O)[O-] ZINC000349668807 381983855 /nfs/dbraw/zinc/98/38/55/381983855.db2.gz OFWLOXGFBWHGTK-CYBMUJFWSA-N 0 0 292.360 2.788 20 5 CFBDRN C[C@@H](O)CN(Cc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000299565005 381984370 /nfs/dbraw/zinc/98/43/70/381984370.db2.gz AOXPHWXLQZZPED-CYBMUJFWSA-N 0 0 286.331 2.982 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000293098495 381988261 /nfs/dbraw/zinc/98/82/61/381988261.db2.gz RUPOHOBNSJPQRU-LHLIQPBNSA-N 0 0 288.225 2.752 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000299584638 381993926 /nfs/dbraw/zinc/99/39/26/381993926.db2.gz DVXMFCSTVINUES-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)CC)cc1OC ZINC000299594773 381995080 /nfs/dbraw/zinc/99/50/80/381995080.db2.gz KVBADIUMQWXCMK-VIFPVBQESA-N 0 0 296.323 2.530 20 5 CFBDRN COC[C@H]1CCCCN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000375139801 382068845 /nfs/dbraw/zinc/06/88/45/382068845.db2.gz KDFNFCXZXJYKPX-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1cccc(Sc2nccc(C(N)=O)c2[N+](=O)[O-])c1 ZINC000357190356 382047501 /nfs/dbraw/zinc/04/75/01/382047501.db2.gz NPHJVXHSLXGCGT-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000343983988 382047649 /nfs/dbraw/zinc/04/76/49/382047649.db2.gz LXJGFTOJFORRMF-GXFFZTMASA-N 0 0 276.336 2.787 20 5 CFBDRN Cc1ccnc(C)c1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000362394535 382047802 /nfs/dbraw/zinc/04/78/02/382047802.db2.gz DUAIGERYOWTCQJ-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN CC1(CO)CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000186968009 382060071 /nfs/dbraw/zinc/06/00/71/382060071.db2.gz WWFGFGOBWTUIET-UHFFFAOYSA-N 0 0 298.770 2.843 20 5 CFBDRN CCOCC1(C(=O)Nc2cc([N+](=O)[O-])ccc2CC)CC1 ZINC000362345316 382017651 /nfs/dbraw/zinc/01/76/51/382017651.db2.gz MLEZAJNVHYUFLD-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(CCNc2c3c(ccc2[N+](=O)[O-])NCC3)on1 ZINC000343961049 382037054 /nfs/dbraw/zinc/03/70/54/382037054.db2.gz FMBPWLYKHTYJJP-UHFFFAOYSA-N 0 0 288.307 2.514 20 5 CFBDRN CNC(=O)c1cccc(CNc2c(C)cccc2[N+](=O)[O-])c1 ZINC000301189867 382101882 /nfs/dbraw/zinc/10/18/82/382101882.db2.gz RFLVNDJWLDQSMQ-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(-c3ccccc3)n2)nc1 ZINC000357316062 382103611 /nfs/dbraw/zinc/10/36/11/382103611.db2.gz NXCPJKHYSQWMEG-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN O=C(Cc1ccc2c[nH]nc2c1)Nc1cccc([N+](=O)[O-])c1 ZINC000357317819 382105584 /nfs/dbraw/zinc/10/55/84/382105584.db2.gz QDVUXZFWZZFNSV-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(C3=CCCC3)n2)nc1 ZINC000357323309 382107441 /nfs/dbraw/zinc/10/74/41/382107441.db2.gz FTHNSYAWMASEOS-UHFFFAOYSA-N 0 0 272.264 2.531 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000301220427 382109099 /nfs/dbraw/zinc/10/90/99/382109099.db2.gz AYPXUHSMIXAQPH-XPTSAGLGSA-N 0 0 280.324 2.616 20 5 CFBDRN CCOCCNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000357332266 382114197 /nfs/dbraw/zinc/11/41/97/382114197.db2.gz FPWHJPHORDHFBE-UHFFFAOYSA-N 0 0 276.239 2.645 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc([C@@H]3CC=CCC3)n2)nc1 ZINC000357335541 382115960 /nfs/dbraw/zinc/11/59/60/382115960.db2.gz QWTXIFFTZQYUAW-SNVBAGLBSA-N 0 0 286.291 2.787 20 5 CFBDRN CCC1(C(=O)NCCc2ccccc2[N+](=O)[O-])CCC1 ZINC000344081815 382117017 /nfs/dbraw/zinc/11/70/17/382117017.db2.gz VTBPRLDDGUFOLU-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CN(CCc1ccccn1)c1ncc([N+](=O)[O-])cc1Cl ZINC000301264058 382128858 /nfs/dbraw/zinc/12/88/58/382128858.db2.gz HBGQEPJWBDJBPE-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN Cc1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 ZINC000357234204 382070613 /nfs/dbraw/zinc/07/06/13/382070613.db2.gz NNJMXHPCKRYBDC-UHFFFAOYSA-N 0 0 298.096 2.640 20 5 CFBDRN Cc1ccc(Sc2ncc([N+](=O)[O-])cc2C(N)=O)cc1 ZINC000301087113 382077447 /nfs/dbraw/zinc/07/74/47/382077447.db2.gz KYCZAYBMVSIUFU-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000293324021 382079012 /nfs/dbraw/zinc/07/90/12/382079012.db2.gz AJUZTRVQRSPNSP-CHWSQXEVSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2cccc(F)c2)nc1 ZINC000301107452 382082824 /nfs/dbraw/zinc/08/28/24/382082824.db2.gz WPQHSHOOWLNIAS-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])cnc1Oc1ccc(Cl)cc1 ZINC000301113105 382084425 /nfs/dbraw/zinc/08/44/25/382084425.db2.gz WOMWVZDJWNFNOD-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN C[C@@H](CNc1ccccc1[N+](=O)[O-])Cn1cccn1 ZINC000301121230 382086322 /nfs/dbraw/zinc/08/63/22/382086322.db2.gz XBHXYDRGPCKVPZ-NSHDSACASA-N 0 0 260.297 2.540 20 5 CFBDRN CN(C)C(=O)Cc1ccc(Nc2ccc([N+](=O)[O-])cc2)cc1 ZINC000301119346 382086405 /nfs/dbraw/zinc/08/64/05/382086405.db2.gz VQNXHOJKKCSDLY-UHFFFAOYSA-N 0 0 299.330 2.969 20 5 CFBDRN CSC1(C(=O)NCCc2ccccc2[N+](=O)[O-])CCC1 ZINC000344042841 382089965 /nfs/dbraw/zinc/08/99/65/382089965.db2.gz BREQKUOKORFBRV-UHFFFAOYSA-N 0 0 294.376 2.539 20 5 CFBDRN CCS[C@H]1CC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000362480300 382092353 /nfs/dbraw/zinc/09/23/53/382092353.db2.gz RXDKBFXWMQYPQT-UWVGGRQHSA-N 0 0 297.380 2.669 20 5 CFBDRN COc1ccc(C(=O)N2CCCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000187094788 382096365 /nfs/dbraw/zinc/09/63/65/382096365.db2.gz STCVVYWLIKKETH-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN CC(C)[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1 ZINC000301162813 382096469 /nfs/dbraw/zinc/09/64/69/382096469.db2.gz PYRNGHRANVWZIE-LBPRGKRZSA-N 0 0 287.323 2.776 20 5 CFBDRN Cc1ccccc1CNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000357308369 382098455 /nfs/dbraw/zinc/09/84/55/382098455.db2.gz QEHSCVGJOGBUPI-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN CCn1cncc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000417963212 382098825 /nfs/dbraw/zinc/09/88/25/382098825.db2.gz JWACLHAADOMDDU-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN COC(=O)/C=C/c1ccc(N[C@@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000301178313 382100029 /nfs/dbraw/zinc/10/00/29/382100029.db2.gz XYJACRBIRJAQRN-ASQYTDNPSA-N 0 0 276.292 2.601 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@H]1CCCO1 ZINC000301557498 382177227 /nfs/dbraw/zinc/17/72/27/382177227.db2.gz WYNJOFIFQUGDNB-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000293587743 382186014 /nfs/dbraw/zinc/18/60/14/382186014.db2.gz BHZDVDWKUATDSU-QMMMGPOBSA-N 0 0 286.715 2.956 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301272477 382132400 /nfs/dbraw/zinc/13/24/00/382132400.db2.gz YADVKLCVCAHRMB-TVQRCGJNSA-N 0 0 270.304 2.943 20 5 CFBDRN Cc1c(-c2nc(-c3ccon3)no2)cccc1[N+](=O)[O-] ZINC000357361480 382133671 /nfs/dbraw/zinc/13/36/71/382133671.db2.gz XWSKMXFFDKTPNE-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2ccc([N+](=O)[O-])cc2F)n1 ZINC000352026983 382138340 /nfs/dbraw/zinc/13/83/40/382138340.db2.gz MHOJGIDONTUOJN-HYXAFXHYSA-N 0 0 275.239 2.708 20 5 CFBDRN COc1ccc(C=O)cc1Oc1nccc(C)c1[N+](=O)[O-] ZINC000301292550 382140645 /nfs/dbraw/zinc/14/06/45/382140645.db2.gz OCUXBTLORCSGDZ-UHFFFAOYSA-N 0 0 288.259 2.912 20 5 CFBDRN C[C@@H]1C[C@H](CO)CCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000344122052 382141859 /nfs/dbraw/zinc/14/18/59/382141859.db2.gz FZBZUPMOAFXPJF-GHMZBOCLSA-N 0 0 298.770 2.841 20 5 CFBDRN CCCCOC1CCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000362575348 382154081 /nfs/dbraw/zinc/15/40/81/382154081.db2.gz ISDAPFVYLPLDFA-UHFFFAOYSA-N 0 0 296.323 2.609 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCO)C2CC2)c2ccncc21 ZINC000301366012 382159694 /nfs/dbraw/zinc/15/96/94/382159694.db2.gz QYRQFYKQOXKPCB-ZDUSSCGKSA-N 0 0 287.319 2.716 20 5 CFBDRN CCC[C@@H](CNc1ncc([N+](=O)[O-])cc1C)OC ZINC000293555056 382171637 /nfs/dbraw/zinc/17/16/37/382171637.db2.gz CDHDEUFWHGRZAD-NSHDSACASA-N 0 0 253.302 2.525 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C)C[C@@H](C)O1 ZINC000301438253 382172170 /nfs/dbraw/zinc/17/21/70/382172170.db2.gz KYKVSKUOAKIJQL-DGCLKSJQSA-N 0 0 264.325 2.907 20 5 CFBDRN O=C(Nc1cccc2nccn21)c1csc([N+](=O)[O-])c1 ZINC000357441146 382172563 /nfs/dbraw/zinc/17/25/63/382172563.db2.gz PYGQDFUGCQHCIA-UHFFFAOYSA-N 0 0 288.288 2.556 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344202058 382195401 /nfs/dbraw/zinc/19/54/01/382195401.db2.gz AQGSWFGCWDYVHM-VIFPVBQESA-N 0 0 268.288 2.995 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344200021 382195852 /nfs/dbraw/zinc/19/58/52/382195852.db2.gz NTRWRDIYWBNFKH-ZJUUUORDSA-N 0 0 265.313 2.635 20 5 CFBDRN CCC[C@H](CNC(=O)c1cc([N+](=O)[O-])cc(C)c1F)OC ZINC000293626846 382202267 /nfs/dbraw/zinc/20/22/67/382202267.db2.gz IRQXQBLHWDBLCS-LLVKDONJSA-N 0 0 298.314 2.587 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@@H]1CC12CC2 ZINC000418977167 382204330 /nfs/dbraw/zinc/20/43/30/382204330.db2.gz JVKJKLLZUJVPOM-JTQLQIEISA-N 0 0 269.304 2.777 20 5 CFBDRN COc1cc(NCC2(CO)CCCC2)c([N+](=O)[O-])cc1F ZINC000302294241 382236785 /nfs/dbraw/zinc/23/67/85/382236785.db2.gz XDOGYIHPLBZPFO-UHFFFAOYSA-N 0 0 298.314 2.707 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CC[C@H](C2CC2)C1 ZINC000362706962 382208422 /nfs/dbraw/zinc/20/84/22/382208422.db2.gz RUDAOMFTOIMIJQ-JTQLQIEISA-N 0 0 278.283 2.606 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CC23CC3)c(Br)c1 ZINC000418991725 382208945 /nfs/dbraw/zinc/20/89/45/382208945.db2.gz MYZHPFNFOWKOSC-ZETCQYMHSA-N 0 0 298.140 2.964 20 5 CFBDRN CCC[C@H](CNc1cc(C)c([N+](=O)[O-])cn1)OC ZINC000293641089 382208941 /nfs/dbraw/zinc/20/89/41/382208941.db2.gz JSOHVQKBMFAXHA-SNVBAGLBSA-N 0 0 253.302 2.525 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H](CC(C)C)OC ZINC000418989785 382209317 /nfs/dbraw/zinc/20/93/17/382209317.db2.gz KJDYYCLFNHTTKP-LLVKDONJSA-N 0 0 284.360 2.593 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC1(C)CC1 ZINC000357529357 382209372 /nfs/dbraw/zinc/20/93/72/382209372.db2.gz QBWGHEVSRZLGNT-SNVBAGLBSA-N 0 0 294.376 2.992 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H](CC(C)C)OC ZINC000418989793 382209561 /nfs/dbraw/zinc/20/95/61/382209561.db2.gz KJDYYCLFNHTTKP-NSHDSACASA-N 0 0 284.360 2.593 20 5 CFBDRN COCc1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000352178187 382209603 /nfs/dbraw/zinc/20/96/03/382209603.db2.gz KTMYLAUEHDZQLX-UHFFFAOYSA-N 0 0 294.238 2.726 20 5 CFBDRN CN(C(=O)c1ccnc(C2CC2)c1)c1ccc([N+](=O)[O-])nc1 ZINC000344223908 382209792 /nfs/dbraw/zinc/20/97/92/382209792.db2.gz QTBZTXKKVKMXBT-UHFFFAOYSA-N 0 0 298.302 2.539 20 5 CFBDRN Cc1nn(C)c(OCc2c(F)cccc2[N+](=O)[O-])c1C ZINC000418997165 382210432 /nfs/dbraw/zinc/21/04/32/382210432.db2.gz OILKYIAUJBVJCP-UHFFFAOYSA-N 0 0 279.271 2.663 20 5 CFBDRN O=C(CCc1ccncc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000352184096 382212165 /nfs/dbraw/zinc/21/21/65/382212165.db2.gz MMLPZEKBOJOGJX-UHFFFAOYSA-N 0 0 289.266 2.700 20 5 CFBDRN COCCCCN(C)c1c(F)cccc1[N+](=O)[O-] ZINC000419014376 382216651 /nfs/dbraw/zinc/21/66/51/382216651.db2.gz KHYMLTJFUQKLLI-UHFFFAOYSA-N 0 0 256.277 2.597 20 5 CFBDRN CC(=O)c1ccc(CC(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 ZINC000357546017 382219355 /nfs/dbraw/zinc/21/93/55/382219355.db2.gz FGYZYBDRHZRYRM-UHFFFAOYSA-N 0 0 298.298 2.979 20 5 CFBDRN CCC1(CC)CN(c2ccc([N+](=O)[O-])cc2)CC[S@]1=O ZINC000302225377 382222218 /nfs/dbraw/zinc/22/22/18/382222218.db2.gz RKKSCIWWBGJJET-HXUWFJFHSA-N 0 0 296.392 2.722 20 5 CFBDRN Cc1nc(N[C@H](CO)c2ccsc2)ccc1[N+](=O)[O-] ZINC000302247930 382229859 /nfs/dbraw/zinc/22/98/59/382229859.db2.gz LKEQTKYKHSHFMT-SNVBAGLBSA-N 0 0 279.321 2.505 20 5 CFBDRN Cc1cc(N[C@@H](CO)c2ccsc2)ncc1[N+](=O)[O-] ZINC000302252887 382230761 /nfs/dbraw/zinc/23/07/61/382230761.db2.gz NJYJPASKSODPPK-JTQLQIEISA-N 0 0 279.321 2.505 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000187461104 382188063 /nfs/dbraw/zinc/18/80/63/382188063.db2.gz QGWLYXPZNLRYQM-ZYHUDNBSSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccccc1CCC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344195776 382192287 /nfs/dbraw/zinc/19/22/87/382192287.db2.gz CWSOQDJPBZDESR-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000293602598 382192335 /nfs/dbraw/zinc/19/23/35/382192335.db2.gz CLIAOQAZGPAGTB-SECBINFHSA-N 0 0 291.307 2.784 20 5 CFBDRN CC[C@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])c1ncc[nH]1 ZINC000357694033 382281361 /nfs/dbraw/zinc/28/13/61/382281361.db2.gz GGVMTMCREXCIPF-JTQLQIEISA-N 0 0 275.312 2.893 20 5 CFBDRN CC(C)OCCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352349872 382284113 /nfs/dbraw/zinc/28/41/13/382284113.db2.gz HPQHZPQNYVLOME-UHFFFAOYSA-N 0 0 289.335 2.792 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1OCCc2ccccc21 ZINC000364217300 382285098 /nfs/dbraw/zinc/28/50/98/382285098.db2.gz LNWXHUNPDQXLTO-AWEZNQCLSA-N 0 0 285.303 2.716 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])cn1)[C@H]1CC[C@H](C)C1 ZINC000302660616 382285204 /nfs/dbraw/zinc/28/52/04/382285204.db2.gz DYLDANHYEJMBIP-RYUDHWBXSA-N 0 0 279.340 2.631 20 5 CFBDRN CSCCN(C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352360935 382288396 /nfs/dbraw/zinc/28/83/96/382288396.db2.gz OVBYGOWDIVWSQV-UHFFFAOYSA-N 0 0 277.349 2.942 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000187675185 382242520 /nfs/dbraw/zinc/24/25/20/382242520.db2.gz QLUBIPAFJFFXOW-BXUZGUMPSA-N 0 0 291.351 2.925 20 5 CFBDRN CN(C)c1cccnc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000357594154 382244766 /nfs/dbraw/zinc/24/47/66/382244766.db2.gz DLNHQYGMLHAFBE-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCc1cn[nH]c1 ZINC000357616857 382252608 /nfs/dbraw/zinc/25/26/08/382252608.db2.gz JTIVLKRRGWPJOK-UHFFFAOYSA-N 0 0 266.688 2.626 20 5 CFBDRN Cc1cccc(N[C@H]2CC(=O)N(C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000302471820 382253481 /nfs/dbraw/zinc/25/34/81/382253481.db2.gz DBVOWTFDOREUNK-NSHDSACASA-N 0 0 291.351 2.715 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NOC[C@H](C)CC ZINC000293729531 382254983 /nfs/dbraw/zinc/25/49/83/382254983.db2.gz NGGXFZARDYKXBO-SNVBAGLBSA-N 0 0 296.323 2.701 20 5 CFBDRN Cc1cccc(N(C)C[C@H]2CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000302480969 382255233 /nfs/dbraw/zinc/25/52/33/382255233.db2.gz GMEFLJOVFCQOLQ-TZMCWYRMSA-N 0 0 278.352 2.891 20 5 CFBDRN CN(C)c1cccnc1CNc1ccc([N+](=O)[O-])cc1F ZINC000357622319 382255338 /nfs/dbraw/zinc/25/53/38/382255338.db2.gz CUPMEKLNNLHAOQ-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCOCC1CCC1 ZINC000419239276 382256026 /nfs/dbraw/zinc/25/60/26/382256026.db2.gz NVPSKPOSOZJTCB-UHFFFAOYSA-N 0 0 276.336 2.774 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000302531270 382264026 /nfs/dbraw/zinc/26/40/26/382264026.db2.gz FMRFXHIYNGXPBY-SCRDCRAPSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000352306332 382269101 /nfs/dbraw/zinc/26/91/01/382269101.db2.gz WPCFZCFZKGKNJA-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1cccc(F)c1F ZINC000352315964 382272350 /nfs/dbraw/zinc/27/23/50/382272350.db2.gz QVTBIBBZKQWNLG-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN Cc1coc(Sc2ncc(C)cc2[N+](=O)[O-])n1 ZINC000302725301 382302699 /nfs/dbraw/zinc/30/26/99/382302699.db2.gz OOXMSLQOHUMSSG-UHFFFAOYSA-N 0 0 251.267 2.746 20 5 CFBDRN CCO[C@@H]1C[C@@](O)(CNc2ccccc2[N+](=O)[O-])C1(C)C ZINC000302748688 382310625 /nfs/dbraw/zinc/31/06/25/382310625.db2.gz GKWWACXMJVLKRV-UKRRQHHQSA-N 0 0 294.351 2.573 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Sc1cccc(C(N)=O)c1 ZINC000357780825 382317006 /nfs/dbraw/zinc/31/70/06/382317006.db2.gz JXLBNYRWQHANSB-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000293883170 382322018 /nfs/dbraw/zinc/32/20/18/382322018.db2.gz DQVJGIMHTCIQOZ-SECBINFHSA-N 0 0 268.700 2.873 20 5 CFBDRN COCc1ccc(Nc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000302797389 382322228 /nfs/dbraw/zinc/32/22/28/382322228.db2.gz AMYOWYSWXKTXRS-UHFFFAOYSA-N 0 0 276.296 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nnc(C3CC3)o2)c1 ZINC000302887540 382345241 /nfs/dbraw/zinc/34/52/41/382345241.db2.gz NXHYWBALUGIEGL-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@H]2OCCC[C@@H]2C1 ZINC000302821060 382326397 /nfs/dbraw/zinc/32/63/97/382326397.db2.gz QBXWNNVZHZUCIH-QMTHXVAHSA-N 0 0 280.299 2.739 20 5 CFBDRN COc1cc(CN2CCC[C@@H]2CF)c([N+](=O)[O-])cc1OC ZINC000293896146 382326577 /nfs/dbraw/zinc/32/65/77/382326577.db2.gz FCKFWROGNWHQMR-LLVKDONJSA-N 0 0 298.314 2.546 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc(F)c1F)N1CCC2(CC2)CC1 ZINC000364577379 382329803 /nfs/dbraw/zinc/32/98/03/382329803.db2.gz PEVRWKUFKZSKCD-UHFFFAOYSA-N 0 0 296.273 2.889 20 5 CFBDRN COc1ncccc1CCNc1ccc([N+](=O)[O-])cc1C ZINC000357816555 382335507 /nfs/dbraw/zinc/33/55/07/382335507.db2.gz XDPMPAGOWTYNMJ-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COC1(C)CN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)C1 ZINC000346202495 382335776 /nfs/dbraw/zinc/33/57/76/382335776.db2.gz HXZFPUKTMPNSEZ-UHFFFAOYSA-N 0 0 299.330 2.882 20 5 CFBDRN CN(CCC1CC1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302873939 382340863 /nfs/dbraw/zinc/34/08/63/382340863.db2.gz DOVMAXCJAQFVOX-UHFFFAOYSA-N 0 0 261.325 2.799 20 5 CFBDRN CCC1(CC)CN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000293822628 382293320 /nfs/dbraw/zinc/29/33/20/382293320.db2.gz BELMZOBLOUPFIK-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN CCn1ccc(CN(C)c2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000302694518 382293898 /nfs/dbraw/zinc/29/38/98/382293898.db2.gz GEAHKFLUBWUDTM-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN CC(C)(NC(=O)C(C)(F)F)c1ccccc1[N+](=O)[O-] ZINC000293826739 382294563 /nfs/dbraw/zinc/29/45/63/382294563.db2.gz BAWXLUAIGYTGTC-UHFFFAOYSA-N 0 0 272.251 2.601 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC2(CC2)CC1 ZINC000377594850 382298207 /nfs/dbraw/zinc/29/82/07/382298207.db2.gz ZUBMNHGZAIUOCX-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000352379310 382298555 /nfs/dbraw/zinc/29/85/55/382298555.db2.gz FMQULBWXYATROL-QWRGUYRKSA-N 0 0 287.319 2.845 20 5 CFBDRN Cc1ccc(CN(C)c2c3c(ccc2[N+](=O)[O-])NCC3)nc1 ZINC000302905345 382349405 /nfs/dbraw/zinc/34/94/05/382349405.db2.gz VTUQJISHWQZBDL-UHFFFAOYSA-N 0 0 298.346 2.903 20 5 CFBDRN CCC(=O)N1CC=C(c2cc(C)c(N)c([N+](=O)[O-])c2)CC1 ZINC000377830247 382354348 /nfs/dbraw/zinc/35/43/48/382354348.db2.gz BZTROSLNWXDYLG-UHFFFAOYSA-N 0 0 289.335 2.511 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CCCCC[C@@H]21 ZINC000378092678 382397438 /nfs/dbraw/zinc/39/74/38/382397438.db2.gz WKVKHGWQXBOCKY-FZMZJTMJSA-N 0 0 289.335 2.703 20 5 CFBDRN Cc1cc([C@H](C)NC(=O)c2cc([N+](=O)[O-])c[nH]2)c(C)o1 ZINC000346472340 382376666 /nfs/dbraw/zinc/37/66/66/382376666.db2.gz VPFDJFQWTVQPBW-QMMMGPOBSA-N 0 0 277.280 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(CN2C[C@]3(CCCO3)[C@@H]2C2CC2)cs1 ZINC000294014169 382378430 /nfs/dbraw/zinc/37/84/30/382378430.db2.gz MZUKAUGBUCZVHK-UONOGXRCSA-N 0 0 294.376 2.800 20 5 CFBDRN CCCCOCCOc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC000357967910 382394897 /nfs/dbraw/zinc/39/48/97/382394897.db2.gz CHVGMCQKPWKZSV-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CC[C@H](C(N)=O)CC1 ZINC000420608482 382423706 /nfs/dbraw/zinc/42/37/06/382423706.db2.gz GXGDUGTUBAXLFO-HAQNSBGRSA-N 0 0 291.351 2.607 20 5 CFBDRN CC[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(N)c2F)CCO1 ZINC000420615395 382426943 /nfs/dbraw/zinc/42/69/43/382426943.db2.gz DDXJXJZPQMEZNN-BDAKNGLRSA-N 0 0 283.303 2.686 20 5 CFBDRN CC[C@H]1C[C@H](Nc2nc3sccn3c2[N+](=O)[O-])CCO1 ZINC000420624012 382432484 /nfs/dbraw/zinc/43/24/84/382432484.db2.gz WAUFLCJNPFDEDL-BDAKNGLRSA-N 0 0 296.352 2.674 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420626090 382432924 /nfs/dbraw/zinc/43/29/24/382432924.db2.gz MJXJQVDTKWPUBZ-NXEZZACHSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1nc(N2CCCO[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000294158745 382438978 /nfs/dbraw/zinc/43/89/78/382438978.db2.gz RIYLJBMUABMFQQ-ZDUSSCGKSA-N 0 0 279.340 2.550 20 5 CFBDRN COC1([C@H](C)Nc2ccccc2[N+](=O)[O-])CCOCC1 ZINC000420638493 382439609 /nfs/dbraw/zinc/43/96/09/382439609.db2.gz JWUZSJSJYDSLBF-NSHDSACASA-N 0 0 280.324 2.591 20 5 CFBDRN CCc1nn(C)c(NCc2cc(C)nc(C)c2)c1[N+](=O)[O-] ZINC000420652207 382446945 /nfs/dbraw/zinc/44/69/45/382446945.db2.gz LTEPTXGNTMZVNW-UHFFFAOYSA-N 0 0 289.339 2.515 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000294110459 382419883 /nfs/dbraw/zinc/41/98/83/382419883.db2.gz SEZQRDZJGINRNM-SNVBAGLBSA-N 0 0 280.349 2.941 20 5 CFBDRN CC[C@@H](C)C[N@@H+]1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352621226 382420806 /nfs/dbraw/zinc/42/08/06/382420806.db2.gz NPXZBGABKACQEA-LLVKDONJSA-N 0 0 264.325 2.835 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc(F)c1F)N1CC2CCC1CC2 ZINC000378387337 382452300 /nfs/dbraw/zinc/45/23/00/382452300.db2.gz DDEBZESTKYRYIN-UHFFFAOYSA-N 0 0 296.273 2.888 20 5 CFBDRN Cc1ccncc1CN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352709629 382454552 /nfs/dbraw/zinc/45/45/52/382454552.db2.gz MQQUPHXJAALEDD-UHFFFAOYSA-N 0 0 299.330 2.693 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CCCOCC1 ZINC000365975120 382465676 /nfs/dbraw/zinc/46/56/76/382465676.db2.gz JMHFREXRGNVYOG-NSHDSACASA-N 0 0 279.292 2.625 20 5 CFBDRN CCC(C)(C)OCCNc1ncccc1[N+](=O)[O-] ZINC000308514107 382478450 /nfs/dbraw/zinc/47/84/50/382478450.db2.gz QSWNEKLTQXJQJF-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000358240970 382521840 /nfs/dbraw/zinc/52/18/40/382521840.db2.gz HZJMYIZEOURXEH-ONGXEEELSA-N 0 0 294.355 2.573 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1F)C[C@H]1CCCCO1 ZINC000313677309 382521899 /nfs/dbraw/zinc/52/18/99/382521899.db2.gz OHZMLLJVYJDZRP-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000310977800 382500578 /nfs/dbraw/zinc/50/05/78/382500578.db2.gz XFWPZNGLBHJCRG-VXNVDRBHSA-N 0 0 254.261 2.953 20 5 CFBDRN CCNc1ccc(C(=O)NC2(C(C)C)CC2)cc1[N+](=O)[O-] ZINC000379469684 382506178 /nfs/dbraw/zinc/50/61/78/382506178.db2.gz VETOVDWEOZYSBM-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@@H](CCNC(=O)c1[nH]ncc1[N+](=O)[O-])CC(C)(C)C ZINC000188949210 382511457 /nfs/dbraw/zinc/51/14/57/382511457.db2.gz XEYLEBZJYKMSGU-VIFPVBQESA-N 0 0 282.344 2.510 20 5 CFBDRN C[C@H]1CCC[C@@]1(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000327991590 382545723 /nfs/dbraw/zinc/54/57/23/382545723.db2.gz LMZNFWHJJQBLMA-TVQRCGJNSA-N 0 0 268.288 2.697 20 5 CFBDRN O=C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000421466611 382546854 /nfs/dbraw/zinc/54/68/54/382546854.db2.gz DDFIKBBNMIHFAN-IJLUTSLNSA-N 0 0 286.331 2.920 20 5 CFBDRN CO[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CC[C@H]1C ZINC000189258870 382576240 /nfs/dbraw/zinc/57/62/40/382576240.db2.gz VGPRWTPPSISDAH-YGRLFVJLSA-N 0 0 282.315 2.591 20 5 CFBDRN CN1Cc2ccccc2[C@H](Nc2ncccc2[N+](=O)[O-])C1 ZINC000366762917 382549858 /nfs/dbraw/zinc/54/98/58/382549858.db2.gz YQDOSKVAWTUKHW-CYBMUJFWSA-N 0 0 284.319 2.588 20 5 CFBDRN Cc1nnsc1CNc1ccc([N+](=O)[O-])cc1F ZINC000384253256 382550568 /nfs/dbraw/zinc/55/05/68/382550568.db2.gz NGPIISSOFXIJBN-UHFFFAOYSA-N 0 0 268.273 2.506 20 5 CFBDRN COCC[C@H](C)CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421552086 382576613 /nfs/dbraw/zinc/57/66/13/382576613.db2.gz GFHRQXMMXPDPLD-JTQLQIEISA-N 0 0 294.351 2.614 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(CF)CC2)c(Cl)c1 ZINC000294978703 382558475 /nfs/dbraw/zinc/55/84/75/382558475.db2.gz LTPLVSNDMZQYOB-UHFFFAOYSA-N 0 0 273.695 2.829 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1cccc(F)c1 ZINC000346894087 382563674 /nfs/dbraw/zinc/56/36/74/382563674.db2.gz JCXSUOSWTWFUJC-UHFFFAOYSA-N 0 0 291.282 2.727 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000346913751 382569255 /nfs/dbraw/zinc/56/92/55/382569255.db2.gz HKFWMXXBZVADQI-SECBINFHSA-N 0 0 294.204 2.805 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)[C@@H]1CC12CC2 ZINC000421525253 382569416 /nfs/dbraw/zinc/56/94/16/382569416.db2.gz VLXXJFQPKNNVRJ-JTQLQIEISA-N 0 0 283.287 2.882 20 5 CFBDRN CCOC(C)(C)CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421526842 382569634 /nfs/dbraw/zinc/56/96/34/382569634.db2.gz ICCVOTDLVWEXTR-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN CSCC1CCC(Nc2ccncc2[N+](=O)[O-])CC1 ZINC000385449452 382570162 /nfs/dbraw/zinc/57/01/62/382570162.db2.gz JALQLOAWKAFGGX-UHFFFAOYSA-N 0 0 281.381 2.745 20 5 CFBDRN CN(CCOC1CCC1)Cc1ccccc1[N+](=O)[O-] ZINC000346836776 382536266 /nfs/dbraw/zinc/53/62/66/382536266.db2.gz CFVRUDRLBUEKEH-UHFFFAOYSA-N 0 0 264.325 2.596 20 5 CFBDRN CSc1ccccc1Cn1cccc([N+](=O)[O-])c1=O ZINC000358266251 382536558 /nfs/dbraw/zinc/53/65/58/382536558.db2.gz SXLXHOBHWGQVBQ-UHFFFAOYSA-N 0 0 276.317 2.527 20 5 CFBDRN CN(C(=O)[C@@H]1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000421438575 382537973 /nfs/dbraw/zinc/53/79/73/382537973.db2.gz VZRWZNMZFIGUDV-TUAOUCFPSA-N 0 0 274.320 2.994 20 5 CFBDRN CCC[C@H](OC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295220444 382590059 /nfs/dbraw/zinc/59/00/59/382590059.db2.gz AKLCANQWMKEVEY-GWCFXTLKSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)cc(C)n1 ZINC000421581989 382591645 /nfs/dbraw/zinc/59/16/45/382591645.db2.gz ZXYRSSOTTAUHGF-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC(F)F)c2cccnc21 ZINC000189359462 382598693 /nfs/dbraw/zinc/59/86/93/382598693.db2.gz FFFVIYNYQQJDDY-UHFFFAOYSA-N 0 0 298.245 2.804 20 5 CFBDRN Cc1cc(F)cc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000295249614 382599085 /nfs/dbraw/zinc/59/90/85/382599085.db2.gz GSCITJWCQXVXHL-UHFFFAOYSA-N 0 0 268.288 2.908 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1ncc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000388254188 382604054 /nfs/dbraw/zinc/60/40/54/382604054.db2.gz ASZGMSGENMEFKO-RDDDGLTNSA-N 0 0 285.731 2.869 20 5 CFBDRN CO[C@H]([C@H](C)Nc1ncc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000388304886 382604826 /nfs/dbraw/zinc/60/48/26/382604826.db2.gz PDORJUDKJKTQSU-WRWORJQWSA-N 0 0 285.731 2.869 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1CCc2ccccc21 ZINC000358421070 382606677 /nfs/dbraw/zinc/60/66/77/382606677.db2.gz CTECOIUWCJDRFR-INIZCTEOSA-N 0 0 296.326 2.941 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)O[C@H](C)[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000353715901 382615641 /nfs/dbraw/zinc/61/56/41/382615641.db2.gz ASOJMGHVZZNCJV-SDDRHHMPSA-N 0 0 292.335 2.541 20 5 CFBDRN COc1cnccc1[C@@H](C)NCc1cccc([N+](=O)[O-])c1 ZINC000189554619 382623832 /nfs/dbraw/zinc/62/38/32/382623832.db2.gz DNYURJSBEUDPGH-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN CC(C)[C@@H](CO)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000394105324 382626359 /nfs/dbraw/zinc/62/63/59/382626359.db2.gz XKWNXTYNWVDHFZ-LLVKDONJSA-N 0 0 289.335 2.819 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000189283607 382581593 /nfs/dbraw/zinc/58/15/93/382581593.db2.gz LWTKEVVLMHVAQK-UHFFFAOYSA-N 0 0 293.367 2.805 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421565845 382582537 /nfs/dbraw/zinc/58/25/37/382582537.db2.gz XRTDAFVFWIQCCK-LBPRGKRZSA-N 0 0 294.351 2.709 20 5 CFBDRN Cc1ccc([C@@H]2CN(c3ncc([N+](=O)[O-])s3)CCO2)o1 ZINC000189988676 382648961 /nfs/dbraw/zinc/64/89/61/382648961.db2.gz WSVMUMXJPONCTO-JTQLQIEISA-N 0 0 295.320 2.531 20 5 CFBDRN CC(C)c1ccc(C(=O)N(C)CC[C@H](C)O)cc1[N+](=O)[O-] ZINC000189996762 382649685 /nfs/dbraw/zinc/64/96/85/382649685.db2.gz QZDJOAYHLOKZAK-NSHDSACASA-N 0 0 294.351 2.561 20 5 CFBDRN C[C@@H]1CCSCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000190054372 382651984 /nfs/dbraw/zinc/65/19/84/382651984.db2.gz MWXDCRORJPOFTQ-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN COc1ccc(OCCOC(C)(C)C)cc1[N+](=O)[O-] ZINC000190182297 382656120 /nfs/dbraw/zinc/65/61/20/382656120.db2.gz HHRVPMNZCCQUNA-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cc1Cl)c1nncn1C ZINC000190286540 382660765 /nfs/dbraw/zinc/66/07/65/382660765.db2.gz KVMHJAHXUCPCDG-QMMMGPOBSA-N 0 0 295.730 2.592 20 5 CFBDRN COc1ccccc1CN(C)c1ncc([N+](=O)[O-])s1 ZINC000190301355 382661245 /nfs/dbraw/zinc/66/12/45/382661245.db2.gz JRUWJLBZGICGGN-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN COc1ccc(Nc2ncc([N+](=O)[O-])s2)cc1OC ZINC000190300351 382661329 /nfs/dbraw/zinc/66/13/29/382661329.db2.gz VOGSXXRVZBOLTA-UHFFFAOYSA-N 0 0 281.293 2.812 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1ncccn1 ZINC000190316099 382661777 /nfs/dbraw/zinc/66/17/77/382661777.db2.gz AFFTYCZOVSYPAM-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@H](O)c1ccc(F)cc1 ZINC000190328401 382662322 /nfs/dbraw/zinc/66/23/22/382662322.db2.gz WIYGHSDNCGUYFR-HQJQHLMTSA-N 0 0 297.311 2.724 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]3CCC[C@@H]32)s1 ZINC000190331110 382662587 /nfs/dbraw/zinc/66/25/87/382662587.db2.gz KOAGQKVLFKGVQF-IUCAKERBSA-N 0 0 253.327 2.820 20 5 CFBDRN Cc1cc(NC(=O)c2ncc(F)cc2F)ccc1[N+](=O)[O-] ZINC000190606726 382674699 /nfs/dbraw/zinc/67/46/99/382674699.db2.gz YUUACHWCGFYFRZ-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)CSC)cc1[N+](=O)[O-] ZINC000191014859 382688292 /nfs/dbraw/zinc/68/82/92/382688292.db2.gz JPLNQJDLKLBGRH-MRVPVSSYSA-N 0 0 285.321 2.508 20 5 CFBDRN CCC(CC)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191010089 382688362 /nfs/dbraw/zinc/68/83/62/382688362.db2.gz GGVPHIKJYFNTJW-UHFFFAOYSA-N 0 0 267.281 2.945 20 5 CFBDRN CC[C@@]1(C)CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000191022612 382688583 /nfs/dbraw/zinc/68/85/83/382688583.db2.gz DAGKKIODYCQHCP-AWEZNQCLSA-N 0 0 293.323 2.628 20 5 CFBDRN COc1ccc(OC(=O)C[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000191016209 382688633 /nfs/dbraw/zinc/68/86/33/382688633.db2.gz RNCPMBBHEJXZMV-SNVBAGLBSA-N 0 0 277.276 2.865 20 5 CFBDRN COc1ccc(OC(=O)CCC(C)C)cc1[N+](=O)[O-] ZINC000191028767 382688707 /nfs/dbraw/zinc/68/87/07/382688707.db2.gz CMTWOLKVEWITHL-UHFFFAOYSA-N 0 0 267.281 2.945 20 5 CFBDRN C[C@@H]1CC(O)C[C@@H](C)N1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000191176819 382694408 /nfs/dbraw/zinc/69/44/08/382694408.db2.gz OIZYFOAYNDHTDF-NXEZZACHSA-N 0 0 298.770 2.982 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCC(C)C ZINC000191318616 382698349 /nfs/dbraw/zinc/69/83/49/382698349.db2.gz NSMOBDOKYLUGOD-UHFFFAOYSA-N 0 0 265.313 2.989 20 5 CFBDRN CC(C)C(CNC(=O)c1ccc([N+](=O)[O-])o1)C(C)C ZINC000191710806 382702071 /nfs/dbraw/zinc/70/20/71/382702071.db2.gz FTCHACURJBLAGL-UHFFFAOYSA-N 0 0 268.313 2.846 20 5 CFBDRN CO[C@H]1CC[C@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000191746070 382702196 /nfs/dbraw/zinc/70/21/96/382702196.db2.gz UJGURNJOGZAYSY-UWVGGRQHSA-N 0 0 298.726 2.546 20 5 CFBDRN CCCO[C@@H]1CCCN(c2ncc([N+](=O)[O-])s2)CC1 ZINC000192452524 382709000 /nfs/dbraw/zinc/70/90/00/382709000.db2.gz XQZVMKOWWYRZQO-SNVBAGLBSA-N 0 0 285.369 2.837 20 5 CFBDRN COCCN(Cc1ccc(C)o1)c1ncc([N+](=O)[O-])s1 ZINC000192489398 382709411 /nfs/dbraw/zinc/70/94/11/382709411.db2.gz VLGBHDVHJIITLA-UHFFFAOYSA-N 0 0 297.336 2.606 20 5 CFBDRN Cc1cccc([C@H](O)CNc2ncc([N+](=O)[O-])s2)c1 ZINC000192496762 382709546 /nfs/dbraw/zinc/70/95/46/382709546.db2.gz ACJFMMNLGQBSHL-SNVBAGLBSA-N 0 0 279.321 2.505 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C(C)C)C2CCCC2)c1[N+](=O)[O-] ZINC000192520949 382709710 /nfs/dbraw/zinc/70/97/10/382709710.db2.gz IGHHYNPCTXBFHY-UHFFFAOYSA-N 0 0 294.355 2.674 20 5 CFBDRN CCc1cnc(CNc2c([N+](=O)[O-])c(C)nn2CC)s1 ZINC000192632839 382710763 /nfs/dbraw/zinc/71/07/63/382710763.db2.gz XESQHORVDAJFPH-UHFFFAOYSA-N 0 0 295.368 2.751 20 5 CFBDRN CCCC[C@@H](CCC)NC(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000192683360 382711146 /nfs/dbraw/zinc/71/11/46/382711146.db2.gz KCBCZSRTOOPCFF-SNVBAGLBSA-N 0 0 296.371 2.969 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCc1ccccn1 ZINC000192703939 382711385 /nfs/dbraw/zinc/71/13/85/382711385.db2.gz YFODXAJBEKOGOC-UHFFFAOYSA-N 0 0 289.339 2.559 20 5 CFBDRN CCC[C@]1(COC)CCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000192744082 382711735 /nfs/dbraw/zinc/71/17/35/382711735.db2.gz QHPTXRMBFJGBDI-CQSZACIVSA-N 0 0 296.323 2.609 20 5 CFBDRN CN(CCO[C@H]1CCCCO1)Cc1ccccc1[N+](=O)[O-] ZINC000193040583 382714949 /nfs/dbraw/zinc/71/49/49/382714949.db2.gz XSEMAXOGKUWLOR-HNNXBMFYSA-N 0 0 294.351 2.570 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000193326568 382718721 /nfs/dbraw/zinc/71/87/21/382718721.db2.gz RQNWCHYUXFKLIU-UHFFFAOYSA-N 0 0 291.351 2.748 20 5 CFBDRN Cc1c(CNCc2cn3ccccc3n2)cccc1[N+](=O)[O-] ZINC000336861591 382736192 /nfs/dbraw/zinc/73/61/92/382736192.db2.gz CXUXJAOFQOXCQW-UHFFFAOYSA-N 0 0 296.330 2.841 20 5 CFBDRN CCCN(c1nc(C)cc(C(=O)OC)c1[N+](=O)[O-])C(C)C ZINC000575002552 382723026 /nfs/dbraw/zinc/72/30/26/382723026.db2.gz ORXOUPJTFGACOC-UHFFFAOYSA-N 0 0 295.339 2.710 20 5 CFBDRN COCC[C@H](COC)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000336832084 382726330 /nfs/dbraw/zinc/72/63/30/382726330.db2.gz LIZBMIGNGKFDQL-SNVBAGLBSA-N 0 0 286.303 2.506 20 5 CFBDRN CCOCCCCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000195204254 382764239 /nfs/dbraw/zinc/76/42/39/382764239.db2.gz OKDPXZQTQOCQCZ-UHFFFAOYSA-N 0 0 279.340 2.791 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337133156 382765345 /nfs/dbraw/zinc/76/53/45/382765345.db2.gz LZANVQIECZWTNQ-PRHODGIISA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CCOCC1 ZINC000219362665 382793787 /nfs/dbraw/zinc/79/37/87/382793787.db2.gz JRHCYKJHIROLLD-SECBINFHSA-N 0 0 290.323 2.698 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000195411394 382767491 /nfs/dbraw/zinc/76/74/91/382767491.db2.gz JTLNEXAAACMELH-MWLCHTKSSA-N 0 0 294.355 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3OCC[C@@H]3C2)c2ccncc21 ZINC000575396337 382768831 /nfs/dbraw/zinc/76/88/31/382768831.db2.gz ORPBKXGBHOOENZ-BZNIZROVSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000337159257 382769356 /nfs/dbraw/zinc/76/93/56/382769356.db2.gz RUSXZBOUZAAULI-MNOVXSKESA-N 0 0 294.376 2.992 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1sc(C)nc1C ZINC000280037860 194678068 /nfs/dbraw/zinc/67/80/68/194678068.db2.gz ANRWFVPBPODRPF-UHFFFAOYSA-N 0 0 295.368 2.805 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)c1nc[nH]n1 ZINC000219645803 382794574 /nfs/dbraw/zinc/79/45/74/382794574.db2.gz WAGGABCUBWACHJ-LURJTMIESA-N 0 0 267.676 2.539 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCO[C@@H](C)C1 ZINC000220104970 382794869 /nfs/dbraw/zinc/79/48/69/382794869.db2.gz ONRGBDVBSZYVTJ-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@@H]1CCC[C@]1(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000336893332 382738439 /nfs/dbraw/zinc/73/84/39/382738439.db2.gz KQFROVZPOGLSSW-RNCFNFMXSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@@H]2OC(F)F)s1 ZINC000336902404 382739610 /nfs/dbraw/zinc/73/96/10/382739610.db2.gz RHXJQELNUHXTDU-RITPCOANSA-N 0 0 279.268 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H]2OC(F)F)cn1 ZINC000336909586 382740983 /nfs/dbraw/zinc/74/09/83/382740983.db2.gz HPUWBKRYINWRHG-RKDXNWHRSA-N 0 0 273.239 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@@H]2OC(F)F)cn1 ZINC000336909583 382741094 /nfs/dbraw/zinc/74/10/94/382741094.db2.gz HPUWBKRYINWRHG-BDAKNGLRSA-N 0 0 273.239 2.562 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCC[C@@H]1OC(F)F ZINC000336916682 382742179 /nfs/dbraw/zinc/74/21/79/382742179.db2.gz NNDNTILGFZFCHG-APPZFPTMSA-N 0 0 273.239 2.562 20 5 CFBDRN COC(=O)[C@]1(C)CN(c2cc(C)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000336923385 382742837 /nfs/dbraw/zinc/74/28/37/382742837.db2.gz UOWJPMPEIWDVKD-XHDPSFHLSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC[C@H]1C ZINC000336926013 382743154 /nfs/dbraw/zinc/74/31/54/382743154.db2.gz LOQDTXCESMPDSW-SKDRFNHKSA-N 0 0 278.308 2.522 20 5 CFBDRN CSC1(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1 ZINC000194541244 382747797 /nfs/dbraw/zinc/74/77/97/382747797.db2.gz DKNQVIQEJZDTBP-QPJJXVBHSA-N 0 0 292.360 2.620 20 5 CFBDRN C[C@@H]1C[C@@H]1c1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000194589922 382749292 /nfs/dbraw/zinc/74/92/92/382749292.db2.gz PSYIBELSLLEMIY-KCJUWKMLSA-N 0 0 286.291 2.694 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCc2ccccc2F)s1 ZINC000337004500 382750990 /nfs/dbraw/zinc/75/09/90/382750990.db2.gz YLSQEMMUOFFUON-UHFFFAOYSA-N 0 0 281.312 2.657 20 5 CFBDRN CS[C@@H](CNc1ncc([N+](=O)[O-])s1)C(C)(C)C ZINC000337020599 382753185 /nfs/dbraw/zinc/75/31/85/382753185.db2.gz QPKFGVGIWGREAN-ZETCQYMHSA-N 0 0 275.399 2.663 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCOC(C)(C)C1 ZINC000194952196 382758408 /nfs/dbraw/zinc/75/84/08/382758408.db2.gz WRABBTCNORNUDQ-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1c(NCCCCCO)ccc2ncccc21 ZINC000228724908 382848900 /nfs/dbraw/zinc/84/89/00/382848900.db2.gz GNROURZVQWCEEV-UHFFFAOYSA-N 0 0 275.308 2.718 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCCC[C@@H]1C(F)F ZINC000337294314 382796090 /nfs/dbraw/zinc/79/60/90/382796090.db2.gz PJGJPBWWCAJNPM-GFCCVEGCSA-N 0 0 298.289 2.784 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCS2)c(Cl)c1 ZINC000229057875 382850230 /nfs/dbraw/zinc/85/02/30/382850230.db2.gz NHFZRHLOQQACPA-MRVPVSSYSA-N 0 0 273.745 2.951 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000576044919 382808312 /nfs/dbraw/zinc/80/83/12/382808312.db2.gz IIBLXAGQGZUHKZ-PSASIEDQSA-N 0 0 285.319 2.525 20 5 CFBDRN Cc1cnc(CN[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000224010051 382808549 /nfs/dbraw/zinc/80/85/49/382808549.db2.gz OJVNNQZWDYDZNO-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN O=[N+]([O-])c1c(NCCOCC2CC2)ccc2ncccc21 ZINC000224506075 382811454 /nfs/dbraw/zinc/81/14/54/382811454.db2.gz QPTHHKNNBOMECU-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CCC(CC)(CO)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000576119690 382811605 /nfs/dbraw/zinc/81/16/05/382811605.db2.gz OQKWWFXOLJSRCD-NSHDSACASA-N 0 0 294.351 2.571 20 5 CFBDRN COCCC1(CNc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000225371256 382814467 /nfs/dbraw/zinc/81/44/67/382814467.db2.gz KHGAPDBRDMAXKJ-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(SCC2(F)CCOCC2)nc1 ZINC000576360825 382820331 /nfs/dbraw/zinc/82/03/31/382820331.db2.gz OHZVGPCMKYCDOZ-UHFFFAOYSA-N 0 0 272.301 2.601 20 5 CFBDRN CN(CCCCCO)c1ccc([N+](=O)[O-])cc1Cl ZINC000227109119 382833457 /nfs/dbraw/zinc/83/34/57/382833457.db2.gz WNQJBUYIJZWHOQ-UHFFFAOYSA-N 0 0 272.732 2.847 20 5 CFBDRN CSC[C@@H](C)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000227653429 382838924 /nfs/dbraw/zinc/83/89/24/382838924.db2.gz IVJSUNSNPQFGKL-ZCFIWIBFSA-N 0 0 261.734 2.807 20 5 CFBDRN Cc1n[nH]cc1CCCNc1ncc([N+](=O)[O-])cc1Cl ZINC000227648764 382839029 /nfs/dbraw/zinc/83/90/29/382839029.db2.gz LNQXWDQCYFTLPR-UHFFFAOYSA-N 0 0 295.730 2.719 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCCC[C@@H]2CCO)c(F)c1 ZINC000227887779 382842000 /nfs/dbraw/zinc/84/20/00/382842000.db2.gz OIYVGBZSTIFGRX-SECBINFHSA-N 0 0 286.278 2.614 20 5 CFBDRN CCC(O)(CC)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227889290 382842003 /nfs/dbraw/zinc/84/20/03/382842003.db2.gz WRFRBYRYIQEXGA-UHFFFAOYSA-N 0 0 274.267 2.836 20 5 CFBDRN C[C@@H](O)CCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000227997089 382843420 /nfs/dbraw/zinc/84/34/20/382843420.db2.gz IJWZCYZZUTVITH-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CCC1(CC)CN(c2c([N+](=O)[O-])c(C)nn2C)CCS1 ZINC000577034993 382845291 /nfs/dbraw/zinc/84/52/91/382845291.db2.gz WIICFXVEFWKQHF-UHFFFAOYSA-N 0 0 298.412 2.749 20 5 CFBDRN COc1ncc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1Cl ZINC000533549907 382903424 /nfs/dbraw/zinc/90/34/24/382903424.db2.gz PXXJAVQGXVQYDW-UHFFFAOYSA-N 0 0 296.714 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCc1cccs1 ZINC000338027345 382906835 /nfs/dbraw/zinc/90/68/35/382906835.db2.gz IAPFJVUAZKJVKT-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CC(C)[C@@H](CCO)Nc1ccc([N+](=O)[O-])cc1F ZINC000229941614 382854419 /nfs/dbraw/zinc/85/44/19/382854419.db2.gz JDCQHBOWNKYCJN-LLVKDONJSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@H](C(=O)N1C[C@H](C)[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000577340647 382855517 /nfs/dbraw/zinc/85/55/17/382855517.db2.gz KJHKKOSIBQDHAX-TUAOUCFPSA-N 0 0 276.336 2.813 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC[C@@H]1C ZINC000230259916 382858038 /nfs/dbraw/zinc/85/80/38/382858038.db2.gz ZODUXJBUHCNFMJ-BONVTDFDSA-N 0 0 292.335 2.659 20 5 CFBDRN CC(C)Oc1nc(N[C@H]2CS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000577413254 382858710 /nfs/dbraw/zinc/85/87/10/382858710.db2.gz VGNTVAWIXMQTPM-VHSXEESVSA-N 0 0 297.380 2.505 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2C)[C@H](C)C1 ZINC000337756067 382861987 /nfs/dbraw/zinc/86/19/87/382861987.db2.gz XHPXVVCOTWNJAA-PWSUYJOCSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2ncc([N+](=O)[O-])cn2)C1 ZINC000230873004 382863450 /nfs/dbraw/zinc/86/34/50/382863450.db2.gz SGKUSONXGGHTLR-VHSXEESVSA-N 0 0 250.302 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@H](O)C2)c(F)c1 ZINC000230909156 382863492 /nfs/dbraw/zinc/86/34/92/382863492.db2.gz JFJNUJGOWSELDA-KOLCDFICSA-N 0 0 268.288 2.697 20 5 CFBDRN CCC(CC)(CNc1c([N+](=O)[O-])ncn1C)SC ZINC000230920555 382863731 /nfs/dbraw/zinc/86/37/31/382863731.db2.gz ZVKNLNWVPSVNBN-UHFFFAOYSA-N 0 0 272.374 2.662 20 5 CFBDRN CCC[C@@H](CCO)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000231149801 382864417 /nfs/dbraw/zinc/86/44/17/382864417.db2.gz KYOUXGLDKOMKPW-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN Cc1nnc(NCc2cccc([N+](=O)[O-])c2C)s1 ZINC000231869119 382867361 /nfs/dbraw/zinc/86/73/61/382867361.db2.gz PTJNHOFQMQTEKA-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCCN(CC)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233025381 382870904 /nfs/dbraw/zinc/87/09/04/382870904.db2.gz QJXPIHTZELSUCD-UHFFFAOYSA-N 0 0 268.288 2.914 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000337842728 382871149 /nfs/dbraw/zinc/87/11/49/382871149.db2.gz GTFGKGAMTMRIHA-MNOVXSKESA-N 0 0 298.289 2.847 20 5 CFBDRN NC(=O)[C@H](Sc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000337938148 382874737 /nfs/dbraw/zinc/87/47/37/382874737.db2.gz URVKJMXBZQZXMQ-CYBMUJFWSA-N 0 0 288.328 2.914 20 5 CFBDRN CCCc1nc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)co1 ZINC000337944103 382875339 /nfs/dbraw/zinc/87/53/39/382875339.db2.gz DHLADGCFBMEJLM-UHFFFAOYSA-N 0 0 293.254 2.927 20 5 CFBDRN COC(=O)c1cc(NC[C@H]2C[C@@H]2C)cc(C)c1[N+](=O)[O-] ZINC000577959255 382876781 /nfs/dbraw/zinc/87/67/81/382876781.db2.gz BYAHQZATZYTYQN-WCBMZHEXSA-N 0 0 278.308 2.758 20 5 CFBDRN COc1cnc(NC(=O)c2c(C)cccc2[N+](=O)[O-])s1 ZINC000578050274 382886042 /nfs/dbraw/zinc/88/60/42/382886042.db2.gz VGGXIQGOHXQEGU-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000421664263 382889274 /nfs/dbraw/zinc/88/92/74/382889274.db2.gz AUSCVYPUJAAUAS-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN CC1=C(C(=O)NCCOc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000533465499 382898626 /nfs/dbraw/zinc/89/86/26/382898626.db2.gz JSMAQVHERLZIJY-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN COCCC1(CNc2cc(OC)c(F)cc2[N+](=O)[O-])CC1 ZINC000493769352 382900762 /nfs/dbraw/zinc/90/07/62/382900762.db2.gz RABMBTNGEHRIBO-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN CCOC(=O)c1cc(N[C@H]2CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000578215251 382902161 /nfs/dbraw/zinc/90/21/61/382902161.db2.gz SOHVODDWECZZKV-SKDRFNHKSA-N 0 0 278.308 2.982 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2C)cn1 ZINC000338049964 382912188 /nfs/dbraw/zinc/91/21/88/382912188.db2.gz ZBSOFWCJFJIWTM-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C)[C@H]1C ZINC000280276979 194778513 /nfs/dbraw/zinc/77/85/13/194778513.db2.gz DIDNVPILFQQENG-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN CN(CCCO)C(=O)c1cc2ccccc2c2cccnc12 ZINC000442988572 382964403 /nfs/dbraw/zinc/96/44/03/382964403.db2.gz BCYNZGORTPPEQH-UHFFFAOYSA-N 0 0 294.354 2.842 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)[C@H](C)C1 ZINC000441448369 382914523 /nfs/dbraw/zinc/91/45/23/382914523.db2.gz MXOGDWWGUXVWHR-SCZZXKLOSA-N 0 0 298.364 2.604 20 5 CFBDRN CC[C@](C)(OC)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000280271209 194777303 /nfs/dbraw/zinc/77/73/03/194777303.db2.gz KTAUAJONFDZQSQ-ZDUSSCGKSA-N 0 0 277.280 2.916 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@](C)(OC)C1 ZINC000579004201 382974929 /nfs/dbraw/zinc/97/49/29/382974929.db2.gz GEYVGMUEDUITOV-AWEZNQCLSA-N 0 0 280.324 2.609 20 5 CFBDRN O=C(c1cccc2c(=O)c3ccccc3[nH]c12)N1CCCC1 ZINC000444828613 382982524 /nfs/dbraw/zinc/98/25/24/382982524.db2.gz MNIWDKHSSGKJPP-UHFFFAOYSA-N 0 0 292.338 2.917 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000457203048 382989761 /nfs/dbraw/zinc/98/97/61/382989761.db2.gz LOUZQIYXQMZWSY-NSHDSACASA-N 0 0 294.351 2.915 20 5 CFBDRN CC[C@@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000447687118 382999380 /nfs/dbraw/zinc/99/93/80/382999380.db2.gz BPLMWNBGNGEVLK-SECBINFHSA-N 0 0 260.297 2.707 20 5 CFBDRN O=C(Nc1cccc(-c2ncco2)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000338354968 383000100 /nfs/dbraw/zinc/00/01/00/383000100.db2.gz GDTLUWORVIYECK-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN O=C(Nc1cccc2ccsc21)c1c[nH]nc1[N+](=O)[O-] ZINC000549214105 383002849 /nfs/dbraw/zinc/00/28/49/383002849.db2.gz QYDMLJQYIKKDDH-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@]2(C)CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000457258101 383004837 /nfs/dbraw/zinc/00/48/37/383004837.db2.gz MAAYHMSVMUNENH-YMTOWFKASA-N 0 0 294.355 2.579 20 5 CFBDRN CSc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000338387289 383014788 /nfs/dbraw/zinc/01/47/88/383014788.db2.gz MPUYJCVOVFRSOY-UHFFFAOYSA-N 0 0 291.332 2.921 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H](O)CCC(C)C ZINC000280331484 194801973 /nfs/dbraw/zinc/80/19/73/194801973.db2.gz QJGLLJDGCCLKGR-ZDUSSCGKSA-N 0 0 295.335 2.973 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCN1CC=CCC1 ZINC000338713304 383029550 /nfs/dbraw/zinc/02/95/50/383029550.db2.gz QDBJBSSDCPSXJG-UHFFFAOYSA-N 0 0 281.743 2.922 20 5 CFBDRN CC1(C)CCC[C@@]1(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000338734683 383033834 /nfs/dbraw/zinc/03/38/34/383033834.db2.gz VFPQAAIEBIKAAT-CYBMUJFWSA-N 0 0 299.758 2.996 20 5 CFBDRN CC1(C)CCC[C@]1(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000338734684 383033949 /nfs/dbraw/zinc/03/39/49/383033949.db2.gz VFPQAAIEBIKAAT-ZDUSSCGKSA-N 0 0 299.758 2.996 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@]1(C)C[C@H]1c1ccccc1 ZINC000338739786 383035298 /nfs/dbraw/zinc/03/52/98/383035298.db2.gz LCOCIRAPMRWTBW-SWLSCSKDSA-N 0 0 286.335 2.934 20 5 CFBDRN Cc1ccc(C[N@@H+]2CC[C@H]3OCCC[C@H]3C2)cc1[N+](=O)[O-] ZINC000245788179 383036032 /nfs/dbraw/zinc/03/60/32/383036032.db2.gz VPMYKXXDIAKXQZ-GOEBONIOSA-N 0 0 290.363 2.904 20 5 CFBDRN CCC[C@@H](C)[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000338898111 383078996 /nfs/dbraw/zinc/07/89/96/383078996.db2.gz FHBNDHKOHYTCQO-SNVBAGLBSA-N 0 0 252.314 2.921 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000457620932 383052851 /nfs/dbraw/zinc/05/28/51/383052851.db2.gz KVBLIOKLHXSEHL-HIFRSBDPSA-N 0 0 291.351 2.829 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc([C@@H]2CCOC2)cc1 ZINC000338797055 383055340 /nfs/dbraw/zinc/05/53/40/383055340.db2.gz SZIIOWDDVCZZOE-GFCCVEGCSA-N 0 0 285.303 2.659 20 5 CFBDRN Nc1c(F)c(N2CC[C@H](CC3CC3)C2)ccc1[N+](=O)[O-] ZINC000338804813 383057118 /nfs/dbraw/zinc/05/71/18/383057118.db2.gz CQBAUAFRLMNXHU-SNVBAGLBSA-N 0 0 279.315 2.943 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CCC[C@H]2F)ccc1[N+](=O)[O-] ZINC000338824776 383061462 /nfs/dbraw/zinc/06/14/62/383061462.db2.gz QLSIXTRJSBAPEU-RNFRBKRXSA-N 0 0 257.240 2.619 20 5 CFBDRN COC(=O)c1ccoc1COc1ccc(F)cc1[N+](=O)[O-] ZINC000338842443 383065353 /nfs/dbraw/zinc/06/53/53/383065353.db2.gz WXOGXUNODSMHMA-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)CC[C@@H]1C ZINC000579516091 383024407 /nfs/dbraw/zinc/02/44/07/383024407.db2.gz VAAYVRAVFAVBKF-ZJUUUORDSA-N 0 0 266.345 2.744 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ccnc3cccnc32)cc1 ZINC000338655636 383025972 /nfs/dbraw/zinc/02/59/72/383025972.db2.gz LKOSGMOPWCAKAF-UHFFFAOYSA-N 0 0 294.314 2.615 20 5 CFBDRN CC(=O)[C@@H](Oc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000339041344 383129067 /nfs/dbraw/zinc/12/90/67/383129067.db2.gz MCYBXAAIYBKHAY-CYBMUJFWSA-N 0 0 272.260 2.699 20 5 CFBDRN CCc1noc(C)c1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000338938393 383091726 /nfs/dbraw/zinc/09/17/26/383091726.db2.gz OONCUUGREZUMFN-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1C[C@H](C)[C@H](C)C1 ZINC000553156069 383099195 /nfs/dbraw/zinc/09/91/95/383099195.db2.gz PFILIRYBLUGIGH-PHIMTYICSA-N 0 0 292.335 2.782 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000457821431 383108989 /nfs/dbraw/zinc/10/89/89/383108989.db2.gz RMSLBUTXMJYAKX-NHYWBVRUSA-N 0 0 292.335 2.731 20 5 CFBDRN CCOc1cc(Oc2cccnn2)ccc1[N+](=O)[O-] ZINC000553582815 383113604 /nfs/dbraw/zinc/11/36/04/383113604.db2.gz BRAUKQRVWMDGKH-UHFFFAOYSA-N 0 0 261.237 2.576 20 5 CFBDRN CC(=O)N1CCC[C@@H](CNc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000280423007 194836763 /nfs/dbraw/zinc/83/67/63/194836763.db2.gz JUMDCRWKCROMMB-ZDUSSCGKSA-N 0 0 291.351 2.574 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000457845545 383117101 /nfs/dbraw/zinc/11/71/01/383117101.db2.gz AGXQWPKGCYDQIS-AOOOYVTPSA-N 0 0 298.726 2.546 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000457853186 383119373 /nfs/dbraw/zinc/11/93/73/383119373.db2.gz PEEIEQJTCIWNBE-DDTOSNHZSA-N 0 0 291.351 3.000 20 5 CFBDRN COCCCCN(C)c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000517233699 383171520 /nfs/dbraw/zinc/17/15/20/383171520.db2.gz YVTRMQIRTCFIII-UHFFFAOYSA-N 0 0 293.367 2.731 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2cccc(C)c2[N+](=O)[O-])o1 ZINC000339181843 383180007 /nfs/dbraw/zinc/18/00/07/383180007.db2.gz SEHTYAKDFMHXOU-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCO[C@@H]2CCCCO2)c1 ZINC000566619403 383194627 /nfs/dbraw/zinc/19/46/27/383194627.db2.gz HHLIUBHHABVMGK-CQSZACIVSA-N 0 0 297.307 2.525 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCOC2CCC2)c1C ZINC000566690279 383202731 /nfs/dbraw/zinc/20/27/31/383202731.db2.gz KFDIHTZHAAVCNH-UHFFFAOYSA-N 0 0 266.297 2.555 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000458293260 383154349 /nfs/dbraw/zinc/15/43/49/383154349.db2.gz ZQXCOLSZOYSWHL-JIMOISOXSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000458292071 383154474 /nfs/dbraw/zinc/15/44/74/383154474.db2.gz YUFQOVUBYHJNBH-WXHSDQCUSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc(=O)[nH]c(C=CC=Cc2ccccc2[N+](=O)[O-])n1 ZINC000339116341 383154844 /nfs/dbraw/zinc/15/48/44/383154844.db2.gz LJOOQAPPWUOOJZ-DLYLGUBQSA-N 0 0 283.287 2.713 20 5 CFBDRN CO[C@H](C)c1cccc(Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000339134746 383161497 /nfs/dbraw/zinc/16/14/97/383161497.db2.gz KFFFNSUZOOESFW-SECBINFHSA-N 0 0 276.296 2.779 20 5 CFBDRN O=C(NCc1cccc(F)c1)c1ccc([N+](=O)[O-])s1 ZINC000247310877 383162122 /nfs/dbraw/zinc/16/21/22/383162122.db2.gz IOPXQUVKVAONCA-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN CC[N@@H+](Cc1cc([O-])ccc1[N+](=O)[O-])CC1CCC1 ZINC000339142871 383164815 /nfs/dbraw/zinc/16/48/15/383164815.db2.gz AGUNGCHTTRIRDC-UHFFFAOYSA-N 0 0 264.325 2.922 20 5 CFBDRN Cc1ccnc(CNC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000517227373 383170371 /nfs/dbraw/zinc/17/03/71/383170371.db2.gz LGVFEVYSFRUTAS-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN COc1cc(COc2ccc([N+](=O)[O-])cc2OC)ccn1 ZINC000339618455 383263290 /nfs/dbraw/zinc/26/32/90/383263290.db2.gz WVPLPAKZMNZNFG-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN C[C@@H](NCc1c([N+](=O)[O-])ncn1C)c1ccccc1Cl ZINC000339653809 383274502 /nfs/dbraw/zinc/27/45/02/383274502.db2.gz XUUHRMNIJWCCDV-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN CC(C)Cc1noc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000248187752 383217829 /nfs/dbraw/zinc/21/78/29/383217829.db2.gz IHGFSJZMQYDNIZ-UHFFFAOYSA-N 0 0 277.280 2.755 20 5 CFBDRN CC[C@H](C)N(C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000248244458 383220783 /nfs/dbraw/zinc/22/07/83/383220783.db2.gz PVEPOGBNZRSKBU-NSHDSACASA-N 0 0 279.340 2.654 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000339506217 383221945 /nfs/dbraw/zinc/22/19/45/383221945.db2.gz CSZYIUKDCSJKKT-RKDXNWHRSA-N 0 0 268.338 2.822 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000248342302 383226025 /nfs/dbraw/zinc/22/60/25/383226025.db2.gz JLYGFVMPAGVDHI-QQUHWDOBSA-N 0 0 274.320 2.818 20 5 CFBDRN CC(C)N(C/C=C\c1ccccc1[N+](=O)[O-])C1COC1 ZINC000449349728 383231868 /nfs/dbraw/zinc/23/18/68/383231868.db2.gz GUVAVBARYRJRKD-ALCCZGGFSA-N 0 0 276.336 2.717 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCCc1c[nH]nc1C ZINC000519774485 383233931 /nfs/dbraw/zinc/23/39/31/383233931.db2.gz BZEFJSAWPQTHDZ-UHFFFAOYSA-N 0 0 290.323 2.680 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC[C@H]2CCCCO2)c1 ZINC000567109849 383242464 /nfs/dbraw/zinc/24/24/64/383242464.db2.gz CNIHORQSTJPYMK-LLVKDONJSA-N 0 0 281.308 2.941 20 5 CFBDRN CO[C@@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1(C)C ZINC000449498320 383335861 /nfs/dbraw/zinc/33/58/61/383335861.db2.gz KCVMNBCIPVMNEM-CQSZACIVSA-N 0 0 294.351 2.547 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000425167135 383337052 /nfs/dbraw/zinc/33/70/52/383337052.db2.gz ZDDRSFHKCLRDFO-JGPRNRPPSA-N 0 0 290.319 2.522 20 5 CFBDRN COc1cccc(N[C@@H]2CCC[C@@H]3OCC[C@H]32)c1[N+](=O)[O-] ZINC000249281484 383337068 /nfs/dbraw/zinc/33/70/68/383337068.db2.gz GPOYDXCRABRJQU-LOWVWBTDSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cc2C)o1 ZINC000522977268 383344881 /nfs/dbraw/zinc/34/48/81/383344881.db2.gz UXTWDCJTTHFNEE-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCC1)c1ccc([N+](=O)[O-])s1 ZINC000424880796 383288861 /nfs/dbraw/zinc/28/88/61/383288861.db2.gz BCFSRMXEEWJVJL-UWVGGRQHSA-N 0 0 280.349 2.822 20 5 CFBDRN Cc1cc(N[C@@H](CO)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000339697642 383290022 /nfs/dbraw/zinc/29/00/22/383290022.db2.gz LOPNHVDVHRNZTP-SECBINFHSA-N 0 0 278.230 2.628 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000424968478 383299385 /nfs/dbraw/zinc/29/93/85/383299385.db2.gz JOFSIPSLCFGZQJ-PELKAZGASA-N 0 0 278.283 2.506 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000424979033 383303716 /nfs/dbraw/zinc/30/37/16/383303716.db2.gz XMCOAMJSCIXLFP-CYBMUJFWSA-N 0 0 290.319 2.664 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCOC[C@@H]1CC1CCC1 ZINC000339770803 383311103 /nfs/dbraw/zinc/31/11/03/383311103.db2.gz GVCLGQNYOCJVFE-HNNXBMFYSA-N 0 0 290.363 2.986 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]3CCC[C@H]32)s1 ZINC000249023578 383318022 /nfs/dbraw/zinc/31/80/22/383318022.db2.gz KOAGQKVLFKGVQF-RKDXNWHRSA-N 0 0 253.327 2.820 20 5 CFBDRN Cc1cccc(C(=O)N2CC(CC(F)F)C2)c1[N+](=O)[O-] ZINC000425093883 383323411 /nfs/dbraw/zinc/32/34/11/383323411.db2.gz SEIHQQNPBIRQSN-UHFFFAOYSA-N 0 0 284.262 2.630 20 5 CFBDRN Cc1nc(NCC2(C)Cc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000450417542 383400601 /nfs/dbraw/zinc/40/06/01/383400601.db2.gz JSNPDIGVIGNYJN-UHFFFAOYSA-N 0 0 298.346 2.910 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000525673663 383402672 /nfs/dbraw/zinc/40/26/72/383402672.db2.gz UZNAIUKOOYYIFK-QJPTWQEYSA-N 0 0 291.351 2.632 20 5 CFBDRN Cc1nc(Nc2cccc(N(C)C)c2C)ncc1[N+](=O)[O-] ZINC000450428870 383406340 /nfs/dbraw/zinc/40/63/40/383406340.db2.gz XUOHRZRUNCVAAP-UHFFFAOYSA-N 0 0 287.323 2.811 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@@]2(F)c2ccccc2)ncc1[N+](=O)[O-] ZINC000425272143 383356351 /nfs/dbraw/zinc/35/63/51/383356351.db2.gz KFMSSMVVUWZZPO-TZMCWYRMSA-N 0 0 288.282 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC[C@H]2O)cc1C(F)(F)F ZINC000425275744 383357260 /nfs/dbraw/zinc/35/72/60/383357260.db2.gz NSLJVIKYFQTUBC-PSASIEDQSA-N 0 0 276.214 2.549 20 5 CFBDRN COC(=O)c1cc(N[C@@H]2C[C@H]3C[C@H]3C2)cc(C)c1[N+](=O)[O-] ZINC000425296099 383360826 /nfs/dbraw/zinc/36/08/26/383360826.db2.gz GNJDLGGWAQMYAJ-PEGIJTEDSA-N 0 0 290.319 2.900 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000425299414 383361908 /nfs/dbraw/zinc/36/19/08/383361908.db2.gz KKOXLVCGEPKCQV-PEGIJTEDSA-N 0 0 290.319 2.982 20 5 CFBDRN Cc1ccnc(NCc2ccc3c(c2)CCO3)c1[N+](=O)[O-] ZINC000523759705 383362042 /nfs/dbraw/zinc/36/20/42/383362042.db2.gz HKRISALRRAEKLM-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1c(F)cccc1Cl ZINC000340156630 383363874 /nfs/dbraw/zinc/36/38/74/383363874.db2.gz MGKDIVVWPRZXTE-UHFFFAOYSA-N 0 0 281.674 2.816 20 5 CFBDRN Cn1ccc2c1cccc2NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000524166643 383367169 /nfs/dbraw/zinc/36/71/69/383367169.db2.gz SWCFSFFUEGJYFC-UHFFFAOYSA-N 0 0 285.259 2.932 20 5 CFBDRN C[C@@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)n1cccc1 ZINC000524338160 383370925 /nfs/dbraw/zinc/37/09/25/383370925.db2.gz QOZASZDMOHCBBI-LBPRGKRZSA-N 0 0 287.319 2.664 20 5 CFBDRN CCC[C@@H](Nc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)(C)C ZINC000450447469 383414759 /nfs/dbraw/zinc/41/47/59/383414759.db2.gz VXUYKNGFNPTGMM-LLVKDONJSA-N 0 0 295.339 2.912 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CC[C@@H](C)C[C@H]2C)c1[N+](=O)[O-] ZINC000250986945 383383686 /nfs/dbraw/zinc/38/36/86/383383686.db2.gz HKRHOKJDLRGWFT-FXPVBKGRSA-N 0 0 266.345 2.873 20 5 CFBDRN CC[C@H](C)c1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])nc2)[nH]1 ZINC000450478757 383426983 /nfs/dbraw/zinc/42/69/83/383426983.db2.gz IWLFITHFWFBIBD-DTWKUNHWSA-N 0 0 290.327 2.795 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CCC3(CCCC3)O2)cc1[N+](=O)[O-] ZINC000526068453 383427172 /nfs/dbraw/zinc/42/71/72/383427172.db2.gz AHPVUEIAUBLUMO-LBPRGKRZSA-N 0 0 292.335 2.557 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@H]1CC[C@@H](O)C1 ZINC000450477402 383427310 /nfs/dbraw/zinc/42/73/10/383427310.db2.gz WMJZEINWNYRGIS-WDEREUQCSA-N 0 0 287.319 2.716 20 5 CFBDRN CCOc1cc(N[C@H]2CC[C@H](O)CC2)ccc1[N+](=O)[O-] ZINC000252704016 383428460 /nfs/dbraw/zinc/42/84/60/383428460.db2.gz LVBRSIUEYYQJRX-UMSPYCQHSA-N 0 0 280.324 2.709 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000568751309 383433299 /nfs/dbraw/zinc/43/32/99/383433299.db2.gz GHGBDPPTAGQZGS-WDEREUQCSA-N 0 0 295.314 2.647 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1C[C@H]1C1CCC1 ZINC000568767905 383436608 /nfs/dbraw/zinc/43/66/08/383436608.db2.gz ZGSQGBUQUDOVAR-UONOGXRCSA-N 0 0 288.347 2.690 20 5 CFBDRN CC(C)(C)OC(=O)CCSc1ccc([N+](=O)[O-])nc1 ZINC000450502250 383440240 /nfs/dbraw/zinc/44/02/40/383440240.db2.gz SZGSTVPLEMYSJP-UHFFFAOYSA-N 0 0 284.337 2.814 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000526952537 383440822 /nfs/dbraw/zinc/44/08/22/383440822.db2.gz RBXPSYDPOPDFRP-GHMZBOCLSA-N 0 0 279.340 2.632 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CSC[C@H]2C)n1 ZINC000343584528 291827092 /nfs/dbraw/zinc/82/70/92/291827092.db2.gz AVYIWSIFSYBEOM-PSASIEDQSA-N 0 0 267.354 2.770 20 5 CFBDRN CCc1nn(C)c(NCCCC2CCOCC2)c1[N+](=O)[O-] ZINC000450551620 383457994 /nfs/dbraw/zinc/45/79/94/383457994.db2.gz FGJIDASQFRXFHF-UHFFFAOYSA-N 0 0 296.371 2.509 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000340553279 383468398 /nfs/dbraw/zinc/46/83/98/383468398.db2.gz MEPHUJGPYJCFCA-LLVKDONJSA-N 0 0 278.308 2.618 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2[C@@H]3CCC[C@@H]32)c(Br)c1 ZINC000486470504 383472692 /nfs/dbraw/zinc/47/26/92/383472692.db2.gz PBDCPEZVNOMZHG-MBTKJCJQSA-N 0 0 298.140 2.963 20 5 CFBDRN CN(Cc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CCSC1 ZINC000340579192 383476281 /nfs/dbraw/zinc/47/62/81/383476281.db2.gz OUJXYCWMQYMWHM-QMMMGPOBSA-N 0 0 288.319 2.810 20 5 CFBDRN COc1c(C(=O)NCCCC2CC2)cccc1[N+](=O)[O-] ZINC000526006989 383420828 /nfs/dbraw/zinc/42/08/28/383420828.db2.gz XOSGFZGGVHPBNV-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CN(Cc1ccc(Cl)s1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340442610 383421319 /nfs/dbraw/zinc/42/13/19/383421319.db2.gz BAAFGVMZICATAH-UHFFFAOYSA-N 0 0 299.739 2.910 20 5 CFBDRN CC(=O)CCCCCn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000450910937 383546699 /nfs/dbraw/zinc/54/66/99/383546699.db2.gz YOYDMXLAPFKZSZ-UHFFFAOYSA-N 0 0 265.313 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@]3(CCSC3)C2)nc1 ZINC000450912055 383547154 /nfs/dbraw/zinc/54/71/54/383547154.db2.gz ZRZFNPSUFNOKKC-ZDUSSCGKSA-N 0 0 279.365 2.713 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000340641125 383488860 /nfs/dbraw/zinc/48/88/60/383488860.db2.gz FDGTWLPBGLCJJU-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@H](C)C(C)(C)C ZINC000569403396 383495121 /nfs/dbraw/zinc/49/51/21/383495121.db2.gz COWGDNUISYOBAE-SECBINFHSA-N 0 0 268.361 2.990 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CC[C@H](F)C2)c1 ZINC000340909644 383500710 /nfs/dbraw/zinc/50/07/10/383500710.db2.gz IXBCQECZYGEDBW-VHSXEESVSA-N 0 0 282.271 2.684 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])C1CC2(CCC2)C1 ZINC000569458694 383501229 /nfs/dbraw/zinc/50/12/29/383501229.db2.gz OMLSQKULZFUBNH-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@@H](O)C1CCC1 ZINC000450920670 383550557 /nfs/dbraw/zinc/55/05/57/383550557.db2.gz ZRLTYOBLQBOAMA-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cnc([C@H](C)Sc2ccc([N+](=O)[O-])cn2)cn1 ZINC000488250096 383508693 /nfs/dbraw/zinc/50/86/93/383508693.db2.gz MURMAWFAWYPAIV-VIFPVBQESA-N 0 0 276.321 2.942 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000569535970 383508953 /nfs/dbraw/zinc/50/89/53/383508953.db2.gz YVUMHYLXKOMYFL-ZYHUDNBSSA-N 0 0 274.320 2.526 20 5 CFBDRN Cc1cc(COc2cccc(C)c2[N+](=O)[O-])ncn1 ZINC000488285993 383511422 /nfs/dbraw/zinc/51/14/22/383511422.db2.gz OGQAQMXVQBHETO-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN COC(=O)c1[nH]ccc1OCc1cccc([N+](=O)[O-])c1C ZINC000429314991 383518620 /nfs/dbraw/zinc/51/86/20/383518620.db2.gz XDBJYUKIMINFMK-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1ccc([N+](=O)[O-])c(N)c1F)C1CC1 ZINC000450863262 383526688 /nfs/dbraw/zinc/52/66/88/383526688.db2.gz SSQXOBCRKXCWFL-FUXBKTLASA-N 0 0 283.303 2.542 20 5 CFBDRN Cc1nscc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000341155087 383585521 /nfs/dbraw/zinc/58/55/21/383585521.db2.gz ZMNKGXRAIWNQQW-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN COc1cc(N(C)Cc2cccc(C)n2)ccc1[N+](=O)[O-] ZINC000450931201 383555260 /nfs/dbraw/zinc/55/52/60/383555260.db2.gz OOSTVRQGMHZURJ-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN C[C@H](c1csnn1)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000450942464 383560349 /nfs/dbraw/zinc/56/03/49/383560349.db2.gz RBLGCSHFTSUGRZ-SNVBAGLBSA-N 0 0 292.364 2.682 20 5 CFBDRN CC1(CC(F)(F)F)CN(c2ncccc2[N+](=O)[O-])C1 ZINC000450953919 383565532 /nfs/dbraw/zinc/56/55/32/383565532.db2.gz MHFZKQSQCSTHLP-UHFFFAOYSA-N 0 0 275.230 2.769 20 5 CFBDRN Cc1nn(CCc2ccc(Cl)cc2)cc1[N+](=O)[O-] ZINC000450959028 383568067 /nfs/dbraw/zinc/56/80/67/383568067.db2.gz MDDCFYUPTMFNQZ-UHFFFAOYSA-N 0 0 265.700 2.996 20 5 CFBDRN Cc1cccc(N2CC[C@@H](CCCO)C2)c1[N+](=O)[O-] ZINC000450958879 383568152 /nfs/dbraw/zinc/56/81/52/383568152.db2.gz JWHPCQKLZSDLGD-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000431165618 383636863 /nfs/dbraw/zinc/63/68/63/383636863.db2.gz FYAKZQHUSYEJAV-WDEREUQCSA-N 0 0 290.319 2.522 20 5 CFBDRN COc1cc(C[N@@H+]2C[C@@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000490084126 383651909 /nfs/dbraw/zinc/65/19/09/383651909.db2.gz HSHZMMRENUTUPR-QWRGUYRKSA-N 0 0 264.325 2.834 20 5 CFBDRN COc1cc(C[N@@H+]2C[C@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000490084122 383652325 /nfs/dbraw/zinc/65/23/25/383652325.db2.gz HSHZMMRENUTUPR-GHMZBOCLSA-N 0 0 264.325 2.834 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000489504674 383626133 /nfs/dbraw/zinc/62/61/33/383626133.db2.gz YLYBHNVXHFTJIJ-GFCCVEGCSA-N 0 0 252.289 2.964 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000431133838 383633248 /nfs/dbraw/zinc/63/32/48/383633248.db2.gz AYEVELKQKYKGLT-WDEREUQCSA-N 0 0 292.360 2.845 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]1C1CC1 ZINC000431131345 383633470 /nfs/dbraw/zinc/63/34/70/383633470.db2.gz AUXOFGYSZFLZSM-QWHCGFSZSA-N 0 0 292.360 2.602 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H](c1ccccc1)C1CC1 ZINC000431484368 383676403 /nfs/dbraw/zinc/67/64/03/383676403.db2.gz LWGYZNPWXMNBKI-CYBMUJFWSA-N 0 0 286.335 2.934 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H]1c1ccccc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000264694114 383773589 /nfs/dbraw/zinc/77/35/89/383773589.db2.gz IBQCXVFZPBAZHM-QWHCGFSZSA-N 0 0 299.330 2.989 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2(CF)CCC2)c(Cl)c1 ZINC000571042967 383661769 /nfs/dbraw/zinc/66/17/69/383661769.db2.gz WOQKIPSXEJXYRU-UHFFFAOYSA-N 0 0 259.668 2.947 20 5 CFBDRN COC(C)(C)CN(C)c1cc([N+](=O)[O-])ccc1C(C)=O ZINC000571196437 383673328 /nfs/dbraw/zinc/67/33/28/383673328.db2.gz KCJHAOWOTBHLLO-UHFFFAOYSA-N 0 0 280.324 2.659 20 5 CFBDRN Cc1ccc(C[NH2+]C[C@H](CC(C)C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000263508107 383674471 /nfs/dbraw/zinc/67/44/71/383674471.db2.gz WMJCWZINIUYOTD-ZDUSSCGKSA-N 0 0 294.351 2.740 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1ccncc1 ZINC000431473370 383674711 /nfs/dbraw/zinc/67/47/11/383674711.db2.gz AMSOECRODHWWKL-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CCCN(CCC)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000264948065 383806559 /nfs/dbraw/zinc/80/65/59/383806559.db2.gz VWNRUZVGEFVKLO-UHFFFAOYSA-N 0 0 279.340 2.581 20 5 CFBDRN COc1ccc(OCCc2scnc2C)c([N+](=O)[O-])c1 ZINC000264986736 383812074 /nfs/dbraw/zinc/81/20/74/383812074.db2.gz IVRQWWXVFBUMJS-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)Cc1cc[nH]n1 ZINC000436431437 383817115 /nfs/dbraw/zinc/81/71/15/383817115.db2.gz LKKJTPRCNNOLFP-SNVBAGLBSA-N 0 0 260.297 2.511 20 5 CFBDRN Cc1cc(-c2noc(-c3ccc([N+](=O)[O-])cn3)n2)ccc1O ZINC000572824614 383822410 /nfs/dbraw/zinc/82/24/10/383822410.db2.gz LJRODTDFKRJQNG-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN Cc1cc(NC[C@H](O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000264788877 383783812 /nfs/dbraw/zinc/78/38/12/383783812.db2.gz KELVUIRDMDOFQF-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN CC[C@@H](C)C[C@@H](NCc1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000572484143 383785877 /nfs/dbraw/zinc/78/58/77/383785877.db2.gz AFPRFSRQRANUPC-BXUZGUMPSA-N 0 0 294.351 2.662 20 5 CFBDRN CC(C)CCC(=O)Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000436189437 383786688 /nfs/dbraw/zinc/78/66/88/383786688.db2.gz QIYDDGBUHWOBPP-UHFFFAOYSA-N 0 0 265.313 2.674 20 5 CFBDRN CCCCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@H](C)CC ZINC000264834262 383787928 /nfs/dbraw/zinc/78/79/28/383787928.db2.gz ZYOZVYITCNQZOM-JTQLQIEISA-N 0 0 267.329 2.964 20 5 CFBDRN CC1=CCCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000436252614 383791781 /nfs/dbraw/zinc/79/17/81/383791781.db2.gz JEUIBTNIXTWHKY-UHFFFAOYSA-N 0 0 272.308 2.623 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C1CCSCC1 ZINC000264867011 383793560 /nfs/dbraw/zinc/79/35/60/383793560.db2.gz SMGQSUKRPDRYDF-UHFFFAOYSA-N 0 0 267.306 2.643 20 5 CFBDRN COc1ccc(NC(=O)C2CCSCC2)c([N+](=O)[O-])c1 ZINC000264892411 383797366 /nfs/dbraw/zinc/79/73/66/383797366.db2.gz ZNZQYFVHBUQDQX-UHFFFAOYSA-N 0 0 296.348 2.685 20 5 CFBDRN Cc1ccc(NCC2(O)Cc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000530855999 383797533 /nfs/dbraw/zinc/79/75/33/383797533.db2.gz JTOLWVWXQSEJEZ-UHFFFAOYSA-N 0 0 298.342 2.845 20 5 CFBDRN O=C(Nc1cccc2ccncc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000531280092 383845109 /nfs/dbraw/zinc/84/51/09/383845109.db2.gz DQYCXZZBRIJVLX-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1ccc(CN2CC[C@H](OC(F)F)C2)cc1[N+](=O)[O-] ZINC000573089477 383868913 /nfs/dbraw/zinc/86/89/13/383868913.db2.gz VZBYNULWIMHSRC-NSHDSACASA-N 0 0 286.278 2.717 20 5 CFBDRN COC(C)(C)C(=O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000281040379 195079700 /nfs/dbraw/zinc/07/97/00/195079700.db2.gz RIVAYZVZQHDPTK-UHFFFAOYSA-N 0 0 287.699 2.621 20 5 CFBDRN Cc1cc(NCc2ncnn2C(C)C)ccc1[N+](=O)[O-] ZINC000281044009 195081240 /nfs/dbraw/zinc/08/12/40/195081240.db2.gz VXEPFAYFAVFWCC-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN CCc1ccc([C@@H]2CCCN2c2c([N+](=O)[O-])ncn2C)o1 ZINC000265439476 383915391 /nfs/dbraw/zinc/91/53/91/383915391.db2.gz HZGKCFNVBDLPGC-NSHDSACASA-N 0 0 290.323 2.825 20 5 CFBDRN O=C(N[C@@H](Cc1ccccc1)C1CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000265493793 383932185 /nfs/dbraw/zinc/93/21/85/383932185.db2.gz CYXVWFNWDPDPLV-AWEZNQCLSA-N 0 0 299.330 2.674 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H](C)C(C)(C)C ZINC000265302115 383889776 /nfs/dbraw/zinc/88/97/76/383889776.db2.gz RSWJVARINOJWEE-JTQLQIEISA-N 0 0 294.351 2.902 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1cc(F)ccc1Cl ZINC000531588591 383894322 /nfs/dbraw/zinc/89/43/22/383894322.db2.gz JWTTYFONQGZRCN-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CCN(Cc1ccc(C)cc1)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531631052 383900584 /nfs/dbraw/zinc/90/05/84/383900584.db2.gz HXJSZZLYYFKJIU-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN CC[C@@H](O)CCCNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000437587525 383905395 /nfs/dbraw/zinc/90/53/95/383905395.db2.gz GLDIPYXGGAAJJL-LLVKDONJSA-N 0 0 295.339 2.576 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000454302148 383983294 /nfs/dbraw/zinc/98/32/94/383983294.db2.gz NBHZVVJCVIHRJW-BDAKNGLRSA-N 0 0 281.287 2.902 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000265517275 383936626 /nfs/dbraw/zinc/93/66/26/383936626.db2.gz LLWKJIXXRWMWOM-MRVPVSSYSA-N 0 0 293.348 2.557 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531882164 383936816 /nfs/dbraw/zinc/93/68/16/383936816.db2.gz DYHRLYYPQPWUOV-AWEZNQCLSA-N 0 0 297.314 2.716 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531882482 383937247 /nfs/dbraw/zinc/93/72/47/383937247.db2.gz MFBDNMRMWAJAEH-VXGBXAGGSA-N 0 0 291.351 2.936 20 5 CFBDRN Cc1ccccc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531886392 383937468 /nfs/dbraw/zinc/93/74/68/383937468.db2.gz IEUWDCLIRGQMKV-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN C[C@@H](CCC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531882641 383937490 /nfs/dbraw/zinc/93/74/90/383937490.db2.gz RRXAYXAMECTFIK-JTQLQIEISA-N 0 0 279.340 2.934 20 5 CFBDRN C[C@@H]1C[C@H](C)N1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000573587032 383958723 /nfs/dbraw/zinc/95/87/23/383958723.db2.gz COOQVHMBCROCQN-KNVOCYPGSA-N 0 0 264.310 2.587 20 5 CFBDRN CC(C)OCCCN(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000265880427 384023382 /nfs/dbraw/zinc/02/33/82/384023382.db2.gz YFIDMAKHGAGJJR-UHFFFAOYSA-N 0 0 297.311 2.754 20 5 CFBDRN C[C@H]1CC(NC(=O)c2ccc([N+](=O)[O-])cn2)C[C@H](C)C1 ZINC000532392623 384023437 /nfs/dbraw/zinc/02/34/37/384023437.db2.gz AWUNEQPNIKFGTC-NXEZZACHSA-N 0 0 277.324 2.544 20 5 CFBDRN C[C@@H](NC(=O)NC1CC(C)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000454767558 384025956 /nfs/dbraw/zinc/02/59/56/384025956.db2.gz RUWSRBGBWVTBSR-NAUIOFCNSA-N 0 0 295.314 2.846 20 5 CFBDRN CCCCCC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266007735 384051954 /nfs/dbraw/zinc/05/19/54/384051954.db2.gz BQDKUGGSZIIXPN-UHFFFAOYSA-N 0 0 264.325 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]3OCCC[C@@H]23)c1 ZINC000438894416 384027764 /nfs/dbraw/zinc/02/77/64/384027764.db2.gz JQVRCAQXCHGPLM-MISXGVKJSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccccc2[N+](=O)[O-])c(C)o1 ZINC000266027688 384058944 /nfs/dbraw/zinc/05/89/44/384058944.db2.gz HVQJFDCECLXKQS-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])C12CCC2 ZINC000266037216 384060874 /nfs/dbraw/zinc/06/08/74/384060874.db2.gz UBQDQMUCFQBWGZ-NEPJUHHUSA-N 0 0 277.324 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2(C)CCCC2)c1 ZINC000266068757 384068534 /nfs/dbraw/zinc/06/85/34/384068534.db2.gz ROPIZMYELPZCOW-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN C[C@H]1CC=C(C(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000454888950 384069667 /nfs/dbraw/zinc/06/96/67/384069667.db2.gz PPUFFJHJLLDHNJ-NSHDSACASA-N 0 0 274.320 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(C[C@H]3CCCOC3)c2)cc1 ZINC000532880337 384079226 /nfs/dbraw/zinc/07/92/26/384079226.db2.gz DQEPUGAPKNDCFK-GFCCVEGCSA-N 0 0 287.319 2.885 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1ccc2[nH]ccc2c1 ZINC000440564022 384103229 /nfs/dbraw/zinc/10/32/29/384103229.db2.gz NUPOAMHHLVYUGK-UHFFFAOYSA-N 0 0 296.330 2.856 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC(=O)C1 ZINC000574520263 384109934 /nfs/dbraw/zinc/10/99/34/384109934.db2.gz APEJLMGLLXNINW-SNVBAGLBSA-N 0 0 276.292 2.519 20 5 CFBDRN Cc1c(CC(=O)N2C3CCC2CC3)cccc1[N+](=O)[O-] ZINC000533039569 384093947 /nfs/dbraw/zinc/09/39/47/384093947.db2.gz SZNWWPRSXMCLLD-UHFFFAOYSA-N 0 0 274.320 2.599 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@H]3CCO[C@H]3C2(C)C)c([N+](=O)[O-])c1 ZINC000266226024 384121778 /nfs/dbraw/zinc/12/17/78/384121778.db2.gz RQYSLOQASASCEU-YUSALJHKSA-N 0 0 277.324 2.524 20 5 CFBDRN CC(C)[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000574660534 384130890 /nfs/dbraw/zinc/13/08/90/384130890.db2.gz RALBMXDZPSQWDB-BXKDBHETSA-N 0 0 283.303 2.744 20 5 CFBDRN COc1cc(NCCc2ccccn2)ccc1[N+](=O)[O-] ZINC000111045422 533034800 /nfs/dbraw/zinc/03/48/00/533034800.db2.gz HMBAEXPGEZBPTH-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)C[C@H](C)O1 ZINC000266327953 384149636 /nfs/dbraw/zinc/14/96/36/384149636.db2.gz GPFXMLYAHQTANQ-JQWIXIFHSA-N 0 0 280.324 2.607 20 5 CFBDRN NC(=O)c1ccc(CSCC2CCC2)c([N+](=O)[O-])c1 ZINC000266330703 384149922 /nfs/dbraw/zinc/14/99/22/384149922.db2.gz JNXJAKFAQWNHEG-UHFFFAOYSA-N 0 0 280.349 2.727 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1CN1CCCC1 ZINC000309181762 285082649 /nfs/dbraw/zinc/08/26/49/285082649.db2.gz FPKSEVUXTPRSOO-UHFFFAOYSA-N 0 0 285.141 2.953 20 5 CFBDRN COc1cc(NC[C@H]2CC23CC3)c([N+](=O)[O-])cc1F ZINC000418982994 533036159 /nfs/dbraw/zinc/03/61/59/533036159.db2.gz BSWZMMVWSZPZBH-MRVPVSSYSA-N 0 0 266.272 2.955 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000266314086 384144569 /nfs/dbraw/zinc/14/45/69/384144569.db2.gz FPTNREMOMIAUJC-SNVBAGLBSA-N 0 0 281.337 2.530 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCSCC1 ZINC000116273223 285088967 /nfs/dbraw/zinc/08/89/67/285088967.db2.gz PLGDTMPLIUJKDG-UHFFFAOYSA-N 0 0 272.757 2.797 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCCOC[C@H]1CCCO1 ZINC000266465464 384180993 /nfs/dbraw/zinc/18/09/93/384180993.db2.gz AFFNYPDSRSHKLM-GFCCVEGCSA-N 0 0 298.314 2.732 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCc3ncccc3C2)c(F)c1 ZINC000371002634 285093859 /nfs/dbraw/zinc/09/38/59/285093859.db2.gz BEGQSOBHQSEBJU-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H]3OCCC[C@H]3C2)c(F)c1 ZINC000301792392 285093861 /nfs/dbraw/zinc/09/38/61/285093861.db2.gz OSGCXFHBQKNPHE-TVQRCGJNSA-N 0 0 298.289 2.878 20 5 CFBDRN CN(C(=O)C1CCC(F)(F)CC1)c1ccc([N+](=O)[O-])nc1 ZINC000281117155 195111058 /nfs/dbraw/zinc/11/10/58/195111058.db2.gz HUCLGDCBRZFAQK-UHFFFAOYSA-N 0 0 299.277 2.778 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(CC2CC2)no1 ZINC000266533185 384193875 /nfs/dbraw/zinc/19/38/75/384193875.db2.gz FPPCXKWOLDZFJE-UHFFFAOYSA-N 0 0 259.265 2.906 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H]1C(F)F ZINC000343970252 285095801 /nfs/dbraw/zinc/09/58/01/285095801.db2.gz TYKGTMFTNBSFDE-VIFPVBQESA-N 0 0 260.215 2.968 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCCc2c[nH]nc21 ZINC000268844154 285096604 /nfs/dbraw/zinc/09/66/04/285096604.db2.gz PBNASMOONMSYFO-LLVKDONJSA-N 0 0 276.271 2.947 20 5 CFBDRN CC[C@@H]1OCCC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000277240972 384241355 /nfs/dbraw/zinc/24/13/55/384241355.db2.gz OLGCUPAGNXMRNU-OLZOCXBDSA-N 0 0 278.308 2.739 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000277172607 384221112 /nfs/dbraw/zinc/22/11/12/384221112.db2.gz UOOLHNFOZGWPKX-MWLCHTKSSA-N 0 0 289.335 2.555 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H](C)[C@H](C)C1 ZINC000277184298 384224869 /nfs/dbraw/zinc/22/48/69/384224869.db2.gz ANWAQUWVRNVEFK-WDEREUQCSA-N 0 0 292.335 2.722 20 5 CFBDRN CO[C@@H]1CCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000266909999 384259324 /nfs/dbraw/zinc/25/93/24/384259324.db2.gz LAFOLFMNLOGJGT-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN COc1ccc(C(=O)N2C[C@@H](C)CC2(C)C)cc1[N+](=O)[O-] ZINC000266943230 384266241 /nfs/dbraw/zinc/26/62/41/384266241.db2.gz XFNUGMJZKPRIHY-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CCc1ccc(C(=O)N(C)Cc2ccccn2)cc1[N+](=O)[O-] ZINC000266952472 384267915 /nfs/dbraw/zinc/26/79/15/384267915.db2.gz TZIHYHHJHDOTSO-UHFFFAOYSA-N 0 0 299.330 2.824 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2CCC[C@H]2F)s1 ZINC000338824847 285100917 /nfs/dbraw/zinc/10/09/17/285100917.db2.gz QZYTZBLJGQDUHH-SFYZADRCSA-N 0 0 282.300 2.902 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2CC[C@H](F)C2)s1 ZINC000340850270 285100935 /nfs/dbraw/zinc/10/09/35/285100935.db2.gz MIZMOVGZKHPRBL-BQBZGAKWSA-N 0 0 282.300 2.902 20 5 CFBDRN Cc1ccc(C[NH2+][C@@H](CC(=O)[O-])C(C)(C)C)cc1[N+](=O)[O-] ZINC000277463481 384310090 /nfs/dbraw/zinc/31/00/90/384310090.db2.gz VSNDODUBNWDBQP-ZDUSSCGKSA-N 0 0 294.351 2.882 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN(C)Cc1ccon1 ZINC000267031181 384282262 /nfs/dbraw/zinc/28/22/62/384282262.db2.gz MSVHFGFZVGQYEF-UHFFFAOYSA-N 0 0 291.307 2.614 20 5 CFBDRN Cc1ccc(NC[C@@H](C)C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000267039897 384286164 /nfs/dbraw/zinc/28/61/64/384286164.db2.gz MLFYPONNXGUXSU-WDEREUQCSA-N 0 0 252.314 2.722 20 5 CFBDRN COC(=O)[C@H](C)S[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000267102272 384300503 /nfs/dbraw/zinc/30/05/03/384300503.db2.gz ZKYYGRSYSQRJLQ-IUCAKERBSA-N 0 0 269.322 2.951 20 5 CFBDRN Cc1cnc(NC[C@@]2(C)CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000267114498 384302685 /nfs/dbraw/zinc/30/26/85/384302685.db2.gz RYUXIRJWNLPCEL-TZMCWYRMSA-N 0 0 279.340 2.651 20 5 CFBDRN COC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)c1ccc(C)o1 ZINC000267342355 384362634 /nfs/dbraw/zinc/36/26/34/384362634.db2.gz DTBXZGATYMHGAP-LLVKDONJSA-N 0 0 291.307 2.999 20 5 CFBDRN COC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])c1ccco1 ZINC000267356190 384366120 /nfs/dbraw/zinc/36/61/20/384366120.db2.gz HGLFDUSQJAFWAO-SNVBAGLBSA-N 0 0 277.280 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3CCC(F)(F)C3)no2)[nH]1 ZINC000281494852 285104875 /nfs/dbraw/zinc/10/48/75/285104875.db2.gz TYZRGZMLGANOJT-LURJTMIESA-N 0 0 284.222 2.876 20 5 CFBDRN CCS[C@H]1CCC[C@@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000267238361 384332549 /nfs/dbraw/zinc/33/25/49/384332549.db2.gz XGHBGPKKJXTPQE-ZJUUUORDSA-N 0 0 284.385 2.805 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CC[C@@H]3CCOC3)n2)c1 ZINC000267550029 384412378 /nfs/dbraw/zinc/41/23/78/384412378.db2.gz GCEYCWXQEQWFDA-SNVBAGLBSA-N 0 0 289.291 2.614 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)Cc1nccn1C ZINC000277851337 384423378 /nfs/dbraw/zinc/42/33/78/384423378.db2.gz SRUMYXKDQRFRQU-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000267395554 384379080 /nfs/dbraw/zinc/37/90/80/384379080.db2.gz YBVPCHCHFPEFSG-LLVKDONJSA-N 0 0 295.364 2.920 20 5 CFBDRN Cc1ccc([C@H](O)CNc2cc(C)c([N+](=O)[O-])cn2)cc1 ZINC000277976556 384453079 /nfs/dbraw/zinc/45/30/79/384453079.db2.gz VLDAFBHCRVPKHW-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N(CC1CC1)c1ccccc1 ZINC000267740036 384454270 /nfs/dbraw/zinc/45/42/70/384454270.db2.gz NZHJLSWYJVFENW-UHFFFAOYSA-N 0 0 285.303 2.980 20 5 CFBDRN C[C@@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])[C@@H]1C ZINC000267633616 384429917 /nfs/dbraw/zinc/42/99/17/384429917.db2.gz JYOVSOHAPMLSBC-HTQZYQBOSA-N 0 0 266.326 2.539 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1C ZINC000267656381 384436025 /nfs/dbraw/zinc/43/60/25/384436025.db2.gz MPAPCENTLZSHMI-UWVGGRQHSA-N 0 0 296.754 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC(F)F)nc1-c1ccc(F)cc1 ZINC000362362120 285108542 /nfs/dbraw/zinc/10/85/42/285108542.db2.gz VPBGIVGKKFDUTM-UHFFFAOYSA-N 0 0 297.236 2.895 20 5 CFBDRN COCC(C)(C)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278062420 384469271 /nfs/dbraw/zinc/46/92/71/384469271.db2.gz DWHONKMVFQKGMT-UHFFFAOYSA-N 0 0 283.309 2.630 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN(C)Cc1cnccn1 ZINC000347360737 220287288 /nfs/dbraw/zinc/28/72/88/220287288.db2.gz OEBWAGRRPLFYPQ-JYRVWZFOSA-N 0 0 298.346 2.920 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NC2CCC2)cc1[N+](=O)[O-] ZINC000267759054 384459358 /nfs/dbraw/zinc/45/93/58/384459358.db2.gz QDZHCIHDHGZMAF-JTQLQIEISA-N 0 0 277.324 2.816 20 5 CFBDRN CCC1(O)CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000268002360 384507600 /nfs/dbraw/zinc/50/76/00/384507600.db2.gz BVMFNBYGNMJKGW-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCC(C)(C)O1)c1cccc([N+](=O)[O-])c1 ZINC000278262048 384512130 /nfs/dbraw/zinc/51/21/30/384512130.db2.gz NXAXRIPQWPXOQT-GXFFZTMASA-N 0 0 292.335 2.730 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000352289833 220301731 /nfs/dbraw/zinc/30/17/31/220301731.db2.gz MCEAQQHZZLHKHF-LLVKDONJSA-N 0 0 292.335 2.526 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCC1CCCC1 ZINC000267923364 384490225 /nfs/dbraw/zinc/49/02/25/384490225.db2.gz AWOCAHIARYPLFY-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1nnc(C2CC2)o1 ZINC000278290643 384520086 /nfs/dbraw/zinc/52/00/86/384520086.db2.gz CPSVJRDBIBUZGL-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CCOCCCNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000268145561 384542821 /nfs/dbraw/zinc/54/28/21/384542821.db2.gz JBALGUBQHMBPLO-UHFFFAOYSA-N 0 0 272.276 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCO3)CC2)c2ccncc21 ZINC000374496774 285122187 /nfs/dbraw/zinc/12/21/87/285122187.db2.gz PMKBYVFJWQGYCV-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN CCC[C@H]1CCCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000268150906 384545148 /nfs/dbraw/zinc/54/51/48/384545148.db2.gz MRPQZIQIVPOICV-NSHDSACASA-N 0 0 291.351 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@@H]3CCOC3)CC2)c(F)c1 ZINC000378651909 285121148 /nfs/dbraw/zinc/12/11/48/285121148.db2.gz OZCSKPMZMPWAAA-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN CCOC(=O)c1cc(N2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000268128428 384538736 /nfs/dbraw/zinc/53/87/36/384538736.db2.gz DTVFBHRMKOELHF-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H]3C[C@@H]32)cc1C(F)(F)F ZINC000368036880 285126921 /nfs/dbraw/zinc/12/69/21/285126921.db2.gz AXIQFUHRFCHGME-WDEREUQCSA-N 0 0 288.225 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCc3occc3C2)cn1 ZINC000341133989 285125555 /nfs/dbraw/zinc/12/55/55/285125555.db2.gz XFBHBOBJFCECHQ-UHFFFAOYSA-N 0 0 259.265 2.536 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCO[C@@H]2CCOC2)c1 ZINC000268265247 384585382 /nfs/dbraw/zinc/58/53/82/384585382.db2.gz SHQKLCWNPSPQOX-GFCCVEGCSA-N 0 0 280.324 2.511 20 5 CFBDRN C[C@H](C(=O)NCc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000268267727 384585449 /nfs/dbraw/zinc/58/54/49/384585449.db2.gz SWBUIOCCYKNIHH-QMMMGPOBSA-N 0 0 282.727 2.911 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC[C@H]1c1cc[nH]n1 ZINC000278529178 384589342 /nfs/dbraw/zinc/58/93/42/384589342.db2.gz DWGBTORYOGXRPR-AWEZNQCLSA-N 0 0 272.308 2.655 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)O1 ZINC000268277588 384589343 /nfs/dbraw/zinc/58/93/43/384589343.db2.gz UZNAZWRBUBMTIC-JOYOIKCWSA-N 0 0 282.271 2.630 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000268284122 384590101 /nfs/dbraw/zinc/59/01/01/384590101.db2.gz RGTBKHLGFBABCB-LLVKDONJSA-N 0 0 282.315 2.985 20 5 CFBDRN C[C@](O)(COc1ccc([N+](=O)[O-])cc1Cl)C(F)(F)F ZINC000281152264 195125115 /nfs/dbraw/zinc/12/51/15/195125115.db2.gz AZASRCOKEBPMGC-VIFPVBQESA-N 0 0 299.632 2.940 20 5 CFBDRN CC1(C2CCN(c3ccc([N+](=O)[O-])cc3)CC2)OCCO1 ZINC000269019738 384713645 /nfs/dbraw/zinc/71/36/45/384713645.db2.gz DPPPPWHCTVNNCR-UHFFFAOYSA-N 0 0 292.335 2.574 20 5 CFBDRN CC(C)n1ncnc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000279725802 384738051 /nfs/dbraw/zinc/73/80/51/384738051.db2.gz MNEMDPOICZREBX-LLVKDONJSA-N 0 0 289.339 2.618 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CC[C@H](CO)CC2)c(F)c1 ZINC000269674933 384830047 /nfs/dbraw/zinc/83/00/47/384830047.db2.gz YFUFNHYCHOTRCZ-KYZUINATSA-N 0 0 286.278 2.836 20 5 CFBDRN O=C(COc1cccnc1[N+](=O)[O-])C1(c2ccccc2)CC1 ZINC000279969792 384832587 /nfs/dbraw/zinc/83/25/87/384832587.db2.gz OKCNRPXYMFABGV-UHFFFAOYSA-N 0 0 298.298 2.670 20 5 CFBDRN COc1cc(OCCCOC(C)C)ccc1[N+](=O)[O-] ZINC000279880136 384796316 /nfs/dbraw/zinc/79/63/16/384796316.db2.gz HFCXJSHQLOTWAY-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cn3ccccc3n2)cc1 ZINC000004960409 285137510 /nfs/dbraw/zinc/13/75/10/285137510.db2.gz LXGGLSIIXOXGBI-UHFFFAOYSA-N 0 0 269.260 2.822 20 5 CFBDRN CC1(C(=O)COc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000279976977 384836778 /nfs/dbraw/zinc/83/67/78/384836778.db2.gz PPZXZDYRGMXVRW-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN COc1cc(OCc2cnc(C)s2)ccc1[N+](=O)[O-] ZINC000279987591 384840838 /nfs/dbraw/zinc/84/08/38/384840838.db2.gz QTDHXRYWLVGAIF-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CC1(CCNC(=O)CCc2cccc([N+](=O)[O-])c2)CC1 ZINC000280051332 384865325 /nfs/dbraw/zinc/86/53/25/384865325.db2.gz OXFONFNBLVBKSI-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CCN(Cc1cnn(C)c1)c1ccc(C)cc1[N+](=O)[O-] ZINC000269883784 384891430 /nfs/dbraw/zinc/89/14/30/384891430.db2.gz ATBXFEVVSPNXRF-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN CC[C@](C)(OC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000280153520 384905930 /nfs/dbraw/zinc/90/59/30/384905930.db2.gz CNQNKXTZINBSMG-YGRLFVJLSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000269976723 384926816 /nfs/dbraw/zinc/92/68/16/384926816.db2.gz MIDYWSCIBBSEBO-SMDDNHRTSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cnc(CCN(C)c2ncc([N+](=O)[O-])cc2C)s1 ZINC000269980637 384929885 /nfs/dbraw/zinc/92/98/85/384929885.db2.gz QVEYSMGUROXDIL-UHFFFAOYSA-N 0 0 292.364 2.742 20 5 CFBDRN COc1cc(C(=O)N2CCC(C)=C(C)C2)ccc1[N+](=O)[O-] ZINC000280234234 384938633 /nfs/dbraw/zinc/93/86/33/384938633.db2.gz MPSABWKNYBHZSD-UHFFFAOYSA-N 0 0 290.319 2.786 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2ccncc2C)c1 ZINC000270030832 384947975 /nfs/dbraw/zinc/94/79/75/384947975.db2.gz YFFWWJAWNCUTTD-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N(CC)CC1CC1 ZINC000280332784 384979379 /nfs/dbraw/zinc/97/93/79/384979379.db2.gz HJJPZXMKANADJT-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CC(C)c1nccn1C[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000270163534 384998771 /nfs/dbraw/zinc/99/87/71/384998771.db2.gz UEJKUFSQWMZAEB-ZDUSSCGKSA-N 0 0 275.308 2.648 20 5 CFBDRN CC(C)CC[C@H](O)COc1ccc(F)cc1[N+](=O)[O-] ZINC000280285739 384961248 /nfs/dbraw/zinc/96/12/48/384961248.db2.gz MEBDWRIWIIAOGC-NSHDSACASA-N 0 0 271.288 2.910 20 5 CFBDRN CC(=O)c1ccc(NCCC[C@@H](C)CO)c([N+](=O)[O-])c1 ZINC000270309769 385050360 /nfs/dbraw/zinc/05/03/60/385050360.db2.gz SESUPZHPWXSNGN-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC[C@@H]3c3ncc[nH]3)nc2c1 ZINC000450423764 285149005 /nfs/dbraw/zinc/14/90/05/285149005.db2.gz JKUYVCWLXRWLRO-GFCCVEGCSA-N 0 0 298.306 2.536 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])cc1Cl)C(F)(F)F ZINC000270472662 385114831 /nfs/dbraw/zinc/11/48/31/385114831.db2.gz NNWVRTAPUKEYSI-VIFPVBQESA-N 0 0 298.648 2.973 20 5 CFBDRN CCC(CC)N(CC)C(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000289187978 197257958 /nfs/dbraw/zinc/25/79/58/197257958.db2.gz SQOZFXSFCPQAQH-UHFFFAOYSA-N 0 0 296.371 2.527 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000280797938 385157935 /nfs/dbraw/zinc/15/79/35/385157935.db2.gz VJRNOURJVNYBHR-NSHDSACASA-N 0 0 278.308 2.778 20 5 CFBDRN CC1=CCCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000280800478 385158897 /nfs/dbraw/zinc/15/88/97/385158897.db2.gz RWMZRESODATULA-UHFFFAOYSA-N 0 0 287.319 2.636 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCN(CC2CC2)CC1 ZINC000432340077 285155234 /nfs/dbraw/zinc/15/52/34/285155234.db2.gz WUGCFARGUWAXHQ-UHFFFAOYSA-N 0 0 295.770 2.780 20 5 CFBDRN CC[C@H](OC)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000270714149 385202813 /nfs/dbraw/zinc/20/28/13/385202813.db2.gz PIANWCBAHKZMNS-AWEZNQCLSA-N 0 0 292.335 2.511 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)[C@@H](C)C1 ZINC000281709001 385203098 /nfs/dbraw/zinc/20/30/98/385203098.db2.gz UJZHNWLIGPZYPY-WCQYABFASA-N 0 0 264.325 2.907 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000281794729 385204774 /nfs/dbraw/zinc/20/47/74/385204774.db2.gz LIYAQNWJYDIBTH-BXUZGUMPSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCSC1CCCCC1 ZINC000282438019 385310941 /nfs/dbraw/zinc/31/09/41/385310941.db2.gz FUTBZXRVMMDQKB-UHFFFAOYSA-N 0 0 255.343 2.857 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CCC(C)(C)O1 ZINC000282393122 385301014 /nfs/dbraw/zinc/30/10/14/385301014.db2.gz RQAICJFQOIVFTE-NSHDSACASA-N 0 0 296.298 2.938 20 5 CFBDRN CC[C@H](C)OCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282534698 385335883 /nfs/dbraw/zinc/33/58/83/385335883.db2.gz VSRSSRQRQGGQQE-VIFPVBQESA-N 0 0 284.287 2.796 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000282540519 385337629 /nfs/dbraw/zinc/33/76/29/385337629.db2.gz DEPMHEDZGWSNCQ-GHMZBOCLSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1ccc(CN2CCO[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000271131043 385345732 /nfs/dbraw/zinc/34/57/32/385345732.db2.gz PVETTZNMOWZHJH-DZGCQCFKSA-N 0 0 276.336 2.657 20 5 CFBDRN C[C@@]1(CCCO)CCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000271141960 385348780 /nfs/dbraw/zinc/34/87/80/385348780.db2.gz DSMZSOPTPZBELN-AWEZNQCLSA-N 0 0 282.315 2.865 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000282620094 385354684 /nfs/dbraw/zinc/35/46/84/385354684.db2.gz JWWDULODXGNTJF-BHAGLWOSSA-N 0 0 291.303 2.719 20 5 CFBDRN CCSCCCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000282730608 385378827 /nfs/dbraw/zinc/37/88/27/385378827.db2.gz SLHHEXFKNNMDLY-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H]2CC[C@H](OC)C2)cc1[N+](=O)[O-] ZINC000282732162 385378875 /nfs/dbraw/zinc/37/88/75/385378875.db2.gz SMXJNLYTFMNDMI-QWHCGFSZSA-N 0 0 293.319 2.882 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)CC(C)C)c1 ZINC000282735497 385379383 /nfs/dbraw/zinc/37/93/83/385379383.db2.gz YDHXFUFYTBQMBB-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CCSCCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000282762843 385384421 /nfs/dbraw/zinc/38/44/21/385384421.db2.gz VMIFOXZYJYURBG-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1nnc(C)s1 ZINC000281185269 195140543 /nfs/dbraw/zinc/14/05/43/195140543.db2.gz MACRFDLNUOHCDV-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC(COc2ccccc2)C1 ZINC000288125950 285165134 /nfs/dbraw/zinc/16/51/34/285165134.db2.gz DIDJLSCSNKZUBD-UHFFFAOYSA-N 0 0 285.303 2.505 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CSC2(C)C)c1 ZINC000283150661 385440909 /nfs/dbraw/zinc/44/09/09/385440909.db2.gz BVROYAGNHHPLAQ-LLVKDONJSA-N 0 0 280.349 2.527 20 5 CFBDRN CC[C@@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000283188087 385444504 /nfs/dbraw/zinc/44/45/04/385444504.db2.gz XJNJAWCUHFUUID-SECBINFHSA-N 0 0 272.688 2.612 20 5 CFBDRN CC[C@H](C)NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272103282 385447876 /nfs/dbraw/zinc/44/78/76/385447876.db2.gz MJDURCIFNYWOIQ-VIFPVBQESA-N 0 0 299.758 2.888 20 5 CFBDRN COC(=O)[C@@]1(C)CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000413591248 533119519 /nfs/dbraw/zinc/11/95/19/533119519.db2.gz KNRTWHNVKJLZGV-HNNXBMFYSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@H](Nc1ccccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000272024915 385429537 /nfs/dbraw/zinc/42/95/37/385429537.db2.gz QJLFEECMGMOHMX-GXFFZTMASA-N 0 0 250.298 2.964 20 5 CFBDRN CON(CC1CCOCC1)c1ccc([N+](=O)[O-])cc1F ZINC000283076927 385432270 /nfs/dbraw/zinc/43/22/70/385432270.db2.gz VGJZJSGIASCZEH-UHFFFAOYSA-N 0 0 284.287 2.528 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000272250937 385487844 /nfs/dbraw/zinc/48/78/44/385487844.db2.gz ZOOXBOBKPSAPOR-FZMZJTMJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CSC1(C)C ZINC000283557079 385475842 /nfs/dbraw/zinc/47/58/42/385475842.db2.gz IMJKQMDYOZMKBF-SNVBAGLBSA-N 0 0 280.349 2.527 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)CC1CCC1 ZINC000283652521 385485702 /nfs/dbraw/zinc/48/57/02/385485702.db2.gz QJJFNENMKKHZQH-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN O=[N+]([O-])c1ccnn1C[C@H]1CCCc2ccccc21 ZINC000108954127 285170323 /nfs/dbraw/zinc/17/03/23/285170323.db2.gz DSRGSCIVDSUZHY-GFCCVEGCSA-N 0 0 257.293 2.911 20 5 CFBDRN CC(=O)c1cc(N(C)CCc2ccncc2)ccc1[N+](=O)[O-] ZINC000283745033 385494740 /nfs/dbraw/zinc/49/47/40/385494740.db2.gz JTTRJFHJMSWBEI-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN CC[C@@H](NC(=O)N1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000283737150 385494748 /nfs/dbraw/zinc/49/47/48/385494748.db2.gz XAYFQUSXUHSRPU-CYBMUJFWSA-N 0 0 293.323 2.783 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCc1nccs1 ZINC000288904256 197188820 /nfs/dbraw/zinc/18/88/20/197188820.db2.gz OCZQNVBJVUGHBZ-UHFFFAOYSA-N 0 0 295.368 2.621 20 5 CFBDRN CCC[C@@H]1C[C@H]1NC(=O)c1cc(OCC)ccc1[N+](=O)[O-] ZINC000283915760 385514007 /nfs/dbraw/zinc/51/40/07/385514007.db2.gz JUIKDPRGHOVWPO-ZWNOBZJWSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000272404625 385529680 /nfs/dbraw/zinc/52/96/80/385529680.db2.gz BHOVLYVZUDYMIP-JOYOIKCWSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ncccc3C2)c(Cl)c1 ZINC000371004086 285178816 /nfs/dbraw/zinc/17/88/16/285178816.db2.gz KCGQDJYPERSSOU-UHFFFAOYSA-N 0 0 290.710 2.601 20 5 CFBDRN CCOCC(C)(C)CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000288853302 197178751 /nfs/dbraw/zinc/17/87/51/197178751.db2.gz JYPMATJKVUKBOX-UHFFFAOYSA-N 0 0 285.319 2.791 20 5 CFBDRN CCOCCCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000284112139 385535782 /nfs/dbraw/zinc/53/57/82/385535782.db2.gz TULZUAUFESLUBY-UHFFFAOYSA-N 0 0 299.302 2.590 20 5 CFBDRN Cc1nn(CCC(=O)O[C@@H]2CC[C@@H](C)C2)c(C)c1[N+](=O)[O-] ZINC000272371488 385521388 /nfs/dbraw/zinc/52/13/88/385521388.db2.gz URGJYXIHZALEJW-BXKDBHETSA-N 0 0 295.339 2.530 20 5 CFBDRN CC(C)(F)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272376744 385523268 /nfs/dbraw/zinc/52/32/68/385523268.db2.gz XZEUIPPHWVXINO-UHFFFAOYSA-N 0 0 288.706 2.655 20 5 CFBDRN Cc1nn(Cc2cccc(OC(C)C)c2)cc1[N+](=O)[O-] ZINC000272379050 385523425 /nfs/dbraw/zinc/52/34/25/385523425.db2.gz QYXZGEBTVNYLRT-UHFFFAOYSA-N 0 0 275.308 2.935 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@]3(C2)CCCOC3)c(Cl)c1 ZINC000376423090 285177589 /nfs/dbraw/zinc/17/75/89/285177589.db2.gz DLALIMRHUCEQJY-ZDUSSCGKSA-N 0 0 297.742 2.650 20 5 CFBDRN CC1=CCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000272398961 385528016 /nfs/dbraw/zinc/52/80/16/385528016.db2.gz VDDOLHUDJQWKEW-UHFFFAOYSA-N 0 0 296.273 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@@H]2CCCCO2)c1 ZINC000272620572 385577472 /nfs/dbraw/zinc/57/74/72/385577472.db2.gz UHEDGMJEUBTYGH-LBPRGKRZSA-N 0 0 292.335 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCSC2)c(Cl)c1 ZINC000230919302 285183044 /nfs/dbraw/zinc/18/30/44/285183044.db2.gz MBZBLUNCHLITDN-LURJTMIESA-N 0 0 259.718 2.561 20 5 CFBDRN CC(C)CN(C)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000286122491 385562016 /nfs/dbraw/zinc/56/20/16/385562016.db2.gz GGTXWNHLLTWQDK-UHFFFAOYSA-N 0 0 266.326 2.692 20 5 CFBDRN Cc1nc(NC[C@@H]2COc3ccccc32)ccc1[N+](=O)[O-] ZINC000288807290 197169367 /nfs/dbraw/zinc/16/93/67/197169367.db2.gz GJKKROAYKWQUBL-LLVKDONJSA-N 0 0 285.303 2.886 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2COc3ccc(Cl)cc32)nc1 ZINC000354574342 285183706 /nfs/dbraw/zinc/18/37/06/285183706.db2.gz LFYMPHFMYGMECX-JTQLQIEISA-N 0 0 292.682 2.584 20 5 CFBDRN CC[C@@H](C)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286187535 385568653 /nfs/dbraw/zinc/56/86/53/385568653.db2.gz BKDWBCWANGTOBB-ZCFIWIBFSA-N 0 0 252.299 2.810 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCOCC(F)(F)C1 ZINC000288774003 197162491 /nfs/dbraw/zinc/16/24/91/197162491.db2.gz IPKBBANSYGDFLS-JTQLQIEISA-N 0 0 286.278 2.623 20 5 CFBDRN Cc1cc(NC(=O)N[C@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000288752868 197158434 /nfs/dbraw/zinc/15/84/34/197158434.db2.gz HFLSONIJKVPQTG-SSDOTTSWSA-N 0 0 291.229 2.976 20 5 CFBDRN CN(C(=O)[C@@H]1CCCC(F)(F)C1)c1ccc([N+](=O)[O-])nc1 ZINC000288762283 197160359 /nfs/dbraw/zinc/16/03/59/197160359.db2.gz IZTFKLZEPHWKLY-SECBINFHSA-N 0 0 299.277 2.778 20 5 CFBDRN CC(C)SCCOc1ccc(N)c([N+](=O)[O-])c1 ZINC000288764741 197160253 /nfs/dbraw/zinc/16/02/53/197160253.db2.gz ZHMLBGGJBQJJTD-UHFFFAOYSA-N 0 0 256.327 2.697 20 5 CFBDRN COc1cc(NC[C@@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000286331889 385591896 /nfs/dbraw/zinc/59/18/96/385591896.db2.gz KJACJJBHBGZFMT-MNOVXSKESA-N 0 0 280.324 2.688 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272788159 385615594 /nfs/dbraw/zinc/61/55/94/385615594.db2.gz GBIKTDSZOVLXSQ-QMMMGPOBSA-N 0 0 267.285 2.523 20 5 CFBDRN CCC1(C(=O)Nc2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000272803038 385619499 /nfs/dbraw/zinc/61/94/99/385619499.db2.gz LNXFZEDCPMMELE-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN COc1cc(NC[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000286448952 385624273 /nfs/dbraw/zinc/62/42/73/385624273.db2.gz RXCDHAKXRIGCMX-NXEZZACHSA-N 0 0 298.314 2.827 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@@H](C)C1CCC1 ZINC000427382690 533134231 /nfs/dbraw/zinc/13/42/31/533134231.db2.gz NWMCPWZMGNPQMH-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc(NC(=O)N2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000272893968 385643725 /nfs/dbraw/zinc/64/37/25/385643725.db2.gz ZENJZLFBUKLMLA-VIFPVBQESA-N 0 0 279.296 2.620 20 5 CFBDRN Cn1nccc1C1=CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000286540063 385645049 /nfs/dbraw/zinc/64/50/49/385645049.db2.gz ITISGBLKIYMPFT-UHFFFAOYSA-N 0 0 284.319 2.622 20 5 CFBDRN Cc1nc(NCCSC(C)C)ccc1[N+](=O)[O-] ZINC000286569829 385652583 /nfs/dbraw/zinc/65/25/83/385652583.db2.gz XNTUPDAWHMDRDC-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN CCOC(C)(C)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286566541 385652973 /nfs/dbraw/zinc/65/29/73/385652973.db2.gz FSKVTWBTXOWTCG-UHFFFAOYSA-N 0 0 296.352 2.827 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC1CCC1 ZINC000286574679 385653438 /nfs/dbraw/zinc/65/34/38/385653438.db2.gz QUXCQXLJVZJTFQ-UHFFFAOYSA-N 0 0 251.282 2.851 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000288683857 197144125 /nfs/dbraw/zinc/14/41/25/197144125.db2.gz AVYRRSMXALSWCE-AWEZNQCLSA-N 0 0 282.315 2.723 20 5 CFBDRN COC1(CNc2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000286662637 385679767 /nfs/dbraw/zinc/67/97/67/385679767.db2.gz YJYKDKQACYSGTJ-UHFFFAOYSA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1cccc(CCCNc2ccc([N+](=O)[O-])cn2)n1 ZINC000286691581 385687973 /nfs/dbraw/zinc/68/79/73/385687973.db2.gz XPJIBJGGTTWAAU-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN C[C@@H]1CC[C@@H](CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)C1 ZINC000288669459 197141347 /nfs/dbraw/zinc/14/13/47/197141347.db2.gz CBWIHNJTFOMURD-GHMZBOCLSA-N 0 0 277.324 2.779 20 5 CFBDRN Cc1cc(OCCOC2CCC2)ccc1[N+](=O)[O-] ZINC000286759964 385705085 /nfs/dbraw/zinc/70/50/85/385705085.db2.gz XKGQEVRKHDQPRI-UHFFFAOYSA-N 0 0 251.282 2.851 20 5 CFBDRN Nc1ccc(N[C@H]2CCO[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000286870420 385727609 /nfs/dbraw/zinc/72/76/09/385727609.db2.gz NMHWXZMGTBYWSJ-SMDDNHRTSA-N 0 0 277.324 2.547 20 5 CFBDRN COc1ccc(CNC(=O)[C@H](C)CC(C)C)cc1[N+](=O)[O-] ZINC000274191394 385736682 /nfs/dbraw/zinc/73/66/82/385736682.db2.gz QTUIXBJFTJFHIZ-LLVKDONJSA-N 0 0 294.351 2.902 20 5 CFBDRN COc1cc(-c2nc(CCC3CC3)no2)ccc1[N+](=O)[O-] ZINC000274190582 385736915 /nfs/dbraw/zinc/73/69/15/385736915.db2.gz ZZSPVDNVXBEGCW-UHFFFAOYSA-N 0 0 289.291 2.996 20 5 CFBDRN Nc1ccc(OCc2ncc(C3CC3)o2)cc1[N+](=O)[O-] ZINC000288633164 197132655 /nfs/dbraw/zinc/13/26/55/197132655.db2.gz PPZFUOMMQWQVEB-UHFFFAOYSA-N 0 0 275.264 2.621 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2N)CC1(C)C ZINC000274226548 385744372 /nfs/dbraw/zinc/74/43/72/385744372.db2.gz PLLPTCBRZVSJHA-JTQLQIEISA-N 0 0 291.351 2.685 20 5 CFBDRN CC(C)SCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000287021877 385763493 /nfs/dbraw/zinc/76/34/93/385763493.db2.gz LLGVKUALQHCAGD-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN CC(=O)c1cc(N(C)[C@@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000286847889 385722169 /nfs/dbraw/zinc/72/21/69/385722169.db2.gz MEBNSMGKQYPRJE-CYBMUJFWSA-N 0 0 294.351 2.641 20 5 CFBDRN CCc1cnc(CNc2c(F)cccc2[N+](=O)[O-])o1 ZINC000286856876 385725571 /nfs/dbraw/zinc/72/55/71/385725571.db2.gz MOVSYJIBZXIYPM-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN Cc1c(-c2nc([C@H]3CCCO3)no2)cccc1[N+](=O)[O-] ZINC000274144504 385726904 /nfs/dbraw/zinc/72/69/04/385726904.db2.gz MEQMCGDFODKRDH-LLVKDONJSA-N 0 0 275.264 2.805 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000274477365 385808634 /nfs/dbraw/zinc/80/86/34/385808634.db2.gz NODAGQYMBNSZNL-GKQMSVHHSA-N 0 0 270.716 2.987 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H](C)C[C@@H](C)O ZINC000287232937 385808707 /nfs/dbraw/zinc/80/87/07/385808707.db2.gz JJRVHPPRTFNTST-VHSXEESVSA-N 0 0 280.324 2.616 20 5 CFBDRN COc1cccc(NC[C@@H](OC)C(C)C)c1[N+](=O)[O-] ZINC000274722393 385857126 /nfs/dbraw/zinc/85/71/26/385857126.db2.gz YEDKUNGCDWJSSW-GFCCVEGCSA-N 0 0 268.313 2.686 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCC3(CC3)C2)c1 ZINC000274631872 385837649 /nfs/dbraw/zinc/83/76/49/385837649.db2.gz SJEXMUOQGPEHTH-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000274945952 385901632 /nfs/dbraw/zinc/90/16/32/385901632.db2.gz QSEYXSWLTXTEOM-QWRGUYRKSA-N 0 0 278.308 2.834 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@H]1CCO ZINC000275034162 385918145 /nfs/dbraw/zinc/91/81/45/385918145.db2.gz OVXVJAYQGDFSQL-SMDDNHRTSA-N 0 0 294.351 2.704 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@@H]1CCO ZINC000275047626 385920476 /nfs/dbraw/zinc/92/04/76/385920476.db2.gz SCNOIAHCSZRBEG-BXUZGUMPSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cc(NC(=O)NC2CC(C)C2)ccc1[N+](=O)[O-] ZINC000274800132 385873470 /nfs/dbraw/zinc/87/34/70/385873470.db2.gz KGYVZTXMJOQDSU-UHFFFAOYSA-N 0 0 263.297 2.823 20 5 CFBDRN COCC1CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000275423423 385976879 /nfs/dbraw/zinc/97/68/79/385976879.db2.gz HVZIRUALXVULEP-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1cc(N2CC[C@H](O)C(C)(C)C2)ccc1[N+](=O)[O-] ZINC000275535193 385977789 /nfs/dbraw/zinc/97/77/89/385977789.db2.gz YWFKLIMHDPDHNJ-ZDUSSCGKSA-N 0 0 264.325 2.500 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000276091318 385983488 /nfs/dbraw/zinc/98/34/88/385983488.db2.gz WGXNORCCDUYGBD-AWEZNQCLSA-N 0 0 280.324 2.609 20 5 CFBDRN CO[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CC[C@H]1C ZINC000276079916 385983960 /nfs/dbraw/zinc/98/39/60/385983960.db2.gz UJIXUYFXGOKISX-PRHODGIISA-N 0 0 286.278 2.734 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](C)[C@@H](OC)C2)c1[N+](=O)[O-] ZINC000276128866 385984280 /nfs/dbraw/zinc/98/42/80/385984280.db2.gz ZUTKLQOUMYLHFJ-RISCZKNCSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1cnccc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288530004 197104528 /nfs/dbraw/zinc/10/45/28/197104528.db2.gz XXVUHPXCLHQMTG-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN O=[N+]([O-])c1cc(OCCC2OCCCO2)ccc1Cl ZINC000288530712 197104301 /nfs/dbraw/zinc/10/43/01/197104301.db2.gz WVGRQBLSBZPPPP-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276650564 386003129 /nfs/dbraw/zinc/00/31/29/386003129.db2.gz QOLJTBYUKVWZPB-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN COC(=O)c1cnc(NCCC=C(C)C)c([N+](=O)[O-])c1 ZINC000276666647 386009632 /nfs/dbraw/zinc/00/96/32/386009632.db2.gz DGYOPBPBEPMUAM-UHFFFAOYSA-N 0 0 279.296 2.545 20 5 CFBDRN CC(C)=CCCNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000276701557 386018776 /nfs/dbraw/zinc/01/87/76/386018776.db2.gz NMJDNURAPSJHCZ-UHFFFAOYSA-N 0 0 291.351 2.747 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C=C1CCC1 ZINC000288502640 197095945 /nfs/dbraw/zinc/09/59/45/197095945.db2.gz WRKZFSIFXULSRC-UHFFFAOYSA-N 0 0 274.320 2.706 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2CCCSC2)c1 ZINC000288495051 197094283 /nfs/dbraw/zinc/09/42/83/197094283.db2.gz MBHWSSQZSBEISH-SECBINFHSA-N 0 0 267.354 2.732 20 5 CFBDRN C[C@@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000288479473 197090349 /nfs/dbraw/zinc/09/03/49/197090349.db2.gz CFCKMGYMZJEAQX-ZJUUUORDSA-N 0 0 294.355 2.605 20 5 CFBDRN C[C@H]1CC[C@H](COc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000276624923 385995903 /nfs/dbraw/zinc/99/59/03/385995903.db2.gz UFHYXOZOPKJYDE-GZMMTYOYSA-N 0 0 255.245 2.680 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@H]1CC[C@H](O)C1 ZINC000277027386 386111103 /nfs/dbraw/zinc/11/11/03/386111103.db2.gz ZLRXNFMDOZYNMP-JQWIXIFHSA-N 0 0 287.319 2.716 20 5 CFBDRN CCOC1CC(CCNc2ncccc2[N+](=O)[O-])C1 ZINC000276910045 386076735 /nfs/dbraw/zinc/07/67/35/386076735.db2.gz NIQUQKGUHHTICW-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN COc1cc(C(=O)NOC2CCCC2)cc([N+](=O)[O-])c1C ZINC000276912675 386076810 /nfs/dbraw/zinc/07/68/10/386076810.db2.gz XMDLZEGHFOEBRE-UHFFFAOYSA-N 0 0 294.307 2.516 20 5 CFBDRN CCOc1cc(CN2CCS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000276954927 386091006 /nfs/dbraw/zinc/09/10/06/386091006.db2.gz UVWAYLNZUJZWQG-LLVKDONJSA-N 0 0 296.392 2.931 20 5 CFBDRN COc1cc(SC[C@@H]2CCCO2)c([N+](=O)[O-])cc1OC ZINC000276962150 386092999 /nfs/dbraw/zinc/09/29/99/386092999.db2.gz VTAPPYGVZJHAQP-VIFPVBQESA-N 0 0 299.348 2.883 20 5 CFBDRN CC(C)n1ccc(COc2ccc(N)c([N+](=O)[O-])c2)n1 ZINC000288432067 197076310 /nfs/dbraw/zinc/07/63/10/197076310.db2.gz DICXTEVLKNJSCR-UHFFFAOYSA-N 0 0 276.296 2.533 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CC[C@H](F)C1 ZINC000334917506 291906263 /nfs/dbraw/zinc/90/62/63/291906263.db2.gz KNSDADORCMPAFC-VIFPVBQESA-N 0 0 286.690 2.741 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCc1ccsc1 ZINC000288154809 197015745 /nfs/dbraw/zinc/01/57/45/197015745.db2.gz OTAVFAHMCFNQDN-UHFFFAOYSA-N 0 0 280.353 2.836 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000287781984 196939932 /nfs/dbraw/zinc/93/99/32/196939932.db2.gz OIMGWRVDBLMNKC-HTRCEHHLSA-N 0 0 264.310 2.810 20 5 CFBDRN Cc1noc(CCCNc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000287652876 196909841 /nfs/dbraw/zinc/90/98/41/196909841.db2.gz CFCUDGZVUWPVCZ-UHFFFAOYSA-N 0 0 296.714 2.984 20 5 CFBDRN O=C(NC[C@@H]1CCCSC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000287533811 196878253 /nfs/dbraw/zinc/87/82/53/196878253.db2.gz KZIJXIDYLXFVOK-JTQLQIEISA-N 0 0 295.364 2.860 20 5 CFBDRN CN(C(=O)CC1=CCCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000281246899 195166955 /nfs/dbraw/zinc/16/69/55/195166955.db2.gz UFIQBMQWQLEBDF-UHFFFAOYSA-N 0 0 275.308 2.843 20 5 CFBDRN CSCCCN(C)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000281250484 195167498 /nfs/dbraw/zinc/16/74/98/195167498.db2.gz LOAFMHOJBIQDNK-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)c1cccc([O-])c1[N+](=O)[O-] ZINC000281295323 195185759 /nfs/dbraw/zinc/18/57/59/195185759.db2.gz YFTOSEAOIMIJSS-JTQLQIEISA-N 0 0 278.308 2.705 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000226531453 285273649 /nfs/dbraw/zinc/27/36/49/285273649.db2.gz DEHXXYUCHANBGZ-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN COC[C@@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000226531609 285273853 /nfs/dbraw/zinc/27/38/53/285273853.db2.gz PZVWDLCKOFDFPS-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN CCC(=O)c1ccc(N(CCO)C2CCC2)c([N+](=O)[O-])c1 ZINC000281347872 195206053 /nfs/dbraw/zinc/20/60/53/195206053.db2.gz CCIAUFNORURJOH-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN CN(C(=O)c1cc(C2CC2)no1)c1ccc([N+](=O)[O-])cc1 ZINC000281407189 195229532 /nfs/dbraw/zinc/22/95/32/195229532.db2.gz GKGOIDKALCIEBG-UHFFFAOYSA-N 0 0 287.275 2.737 20 5 CFBDRN O=C(c1cc(C2CC2)no1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000281380541 195217686 /nfs/dbraw/zinc/21/76/86/195217686.db2.gz CEGIMUMQOJPUAH-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000286774736 196700940 /nfs/dbraw/zinc/70/09/40/196700940.db2.gz QLKASXZFZQSMEF-SNVBAGLBSA-N 0 0 268.338 2.883 20 5 CFBDRN CC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000081925736 177898700 /nfs/dbraw/zinc/89/87/00/177898700.db2.gz JERKFZVHMFDOJJ-UHFFFAOYSA-N 0 0 280.349 2.562 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC(C)(C)CCO ZINC000080653264 177686354 /nfs/dbraw/zinc/68/63/54/177686354.db2.gz PQMFRQCHBLSLHE-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN CCCCOCCCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000080584751 177675731 /nfs/dbraw/zinc/67/57/31/177675731.db2.gz FICQLJMYQCLAKM-UHFFFAOYSA-N 0 0 267.329 2.917 20 5 CFBDRN CCCN(C(=O)[C@@]1(C)CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000281649083 195323213 /nfs/dbraw/zinc/32/32/13/195323213.db2.gz CHKKXUAYQYDSFI-OAHLLOKOSA-N 0 0 292.335 2.907 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)[C@H](C)C1 ZINC000281771894 195373909 /nfs/dbraw/zinc/37/39/09/195373909.db2.gz KAXSZOKBORPHSJ-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)[C@@H](C)C1 ZINC000281771897 195374413 /nfs/dbraw/zinc/37/44/13/195374413.db2.gz KAXSZOKBORPHSJ-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)CCCO1 ZINC000281632357 195315248 /nfs/dbraw/zinc/31/52/48/195315248.db2.gz ZGGABJHAHCKTRR-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000281807611 195389875 /nfs/dbraw/zinc/38/98/75/195389875.db2.gz NHWFLLJZYNCURH-LDYMZIIASA-N 0 0 284.262 2.601 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)c([N+](=O)[O-])cc2F)CCCO1 ZINC000281826302 195398069 /nfs/dbraw/zinc/39/80/69/195398069.db2.gz KISCNHFETLNAMC-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@H]2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000281976030 195458869 /nfs/dbraw/zinc/45/88/69/195458869.db2.gz MBAVVMAJYFCURP-GXFFZTMASA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1c(OC[C@](C)(O)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000282015083 195474018 /nfs/dbraw/zinc/47/40/18/195474018.db2.gz XGRAUTHMEYWIJQ-JTQLQIEISA-N 0 0 279.214 2.595 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCSC(C)C)c1 ZINC000282116339 195510681 /nfs/dbraw/zinc/51/06/81/195510681.db2.gz ATCAHVVGRQSSCS-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN C[C@@H](CCO)C1(CNc2cc(N)ccc2[N+](=O)[O-])CCC1 ZINC000282180083 195528968 /nfs/dbraw/zinc/52/89/68/195528968.db2.gz JFMLZGHPTZCAJU-NSHDSACASA-N 0 0 293.367 2.778 20 5 CFBDRN Cc1cnc(N[C@@H]2CSC2(C)C)c([N+](=O)[O-])c1 ZINC000282751351 195697743 /nfs/dbraw/zinc/69/77/43/195697743.db2.gz BJXWCIABNWFIFC-SECBINFHSA-N 0 0 253.327 2.604 20 5 CFBDRN C[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)CCC1=O ZINC000283162157 195806293 /nfs/dbraw/zinc/80/62/93/195806293.db2.gz OYTUWVKFNPGAEX-SECBINFHSA-N 0 0 282.727 2.659 20 5 CFBDRN CC[C@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000283188091 195812740 /nfs/dbraw/zinc/81/27/40/195812740.db2.gz XJNJAWCUHFUUID-VIFPVBQESA-N 0 0 272.688 2.612 20 5 CFBDRN C[C@@H](COCC(F)(F)F)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000283769247 195915960 /nfs/dbraw/zinc/91/59/60/195915960.db2.gz OMDZIAKRIGILDM-ZETCQYMHSA-N 0 0 293.245 2.556 20 5 CFBDRN Cc1cc(F)c(NC(=O)N[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000283934885 195945844 /nfs/dbraw/zinc/94/58/44/195945844.db2.gz KPTHJPVGSCRPBT-MRVPVSSYSA-N 0 0 281.287 2.962 20 5 CFBDRN CO[C@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000421509641 533263406 /nfs/dbraw/zinc/26/34/06/533263406.db2.gz SEURHQSBUPIKOQ-RYUDHWBXSA-N 0 0 292.335 2.509 20 5 CFBDRN CO[C@](C)([C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000412613338 533345173 /nfs/dbraw/zinc/34/51/73/533345173.db2.gz PNMMGJOWAIEIMM-MEBBXXQBSA-N 0 0 292.335 2.528 20 5 CFBDRN CO[C@@H](C)c1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000426187751 533357915 /nfs/dbraw/zinc/35/79/15/533357915.db2.gz CYKKGFWCMORXAL-LURJTMIESA-N 0 0 253.283 2.754 20 5 CFBDRN CSCC[C@@H](C)N(C)c1ncc([N+](=O)[O-])cc1F ZINC000413180033 533594256 /nfs/dbraw/zinc/59/42/56/533594256.db2.gz IURZQDVTNQAWIF-MRVPVSSYSA-N 0 0 273.333 2.707 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1ccn(C)n1 ZINC000413443435 533566017 /nfs/dbraw/zinc/56/60/17/533566017.db2.gz AUOWOTBFEYRSKH-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN CC(C)COCCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000341773509 130010856 /nfs/dbraw/zinc/01/08/56/130010856.db2.gz FBSQVPSVCHTOHM-LBPRGKRZSA-N 0 0 294.351 2.835 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000341842441 130087702 /nfs/dbraw/zinc/08/77/02/130087702.db2.gz QGOCKQIZEVHZOO-WDEREUQCSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@H]1C[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000341886828 130112464 /nfs/dbraw/zinc/11/24/64/130112464.db2.gz GCBCRCYIXXXBJO-WDEREUQCSA-N 0 0 290.319 2.563 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ncccc1Cl ZINC000341987309 130210669 /nfs/dbraw/zinc/21/06/69/130210669.db2.gz RKHSLVXMWOSBND-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN COC(=O)[C@@H](C)N(Cc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000191646411 130269224 /nfs/dbraw/zinc/26/92/24/130269224.db2.gz XDMMGBIPDRRCIJ-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN CC(C)=CCNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000191734062 130290725 /nfs/dbraw/zinc/29/07/25/130290725.db2.gz TZZGIBGUQXUMBZ-UHFFFAOYSA-N 0 0 268.700 2.944 20 5 CFBDRN CCc1noc(-c2cc(Br)cc([N+](=O)[O-])c2)n1 ZINC000355543852 130312784 /nfs/dbraw/zinc/31/27/84/130312784.db2.gz GPECMXZPFIDSLM-UHFFFAOYSA-N 0 0 298.096 2.970 20 5 CFBDRN O=[N+]([O-])c1cnc(S[C@@H]2CCCOC2)c(Cl)c1 ZINC000355571027 130314164 /nfs/dbraw/zinc/31/41/64/130314164.db2.gz XRPHGCNLMIPBPB-MRVPVSSYSA-N 0 0 274.729 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCCO[C@@H]1C ZINC000191866696 130320674 /nfs/dbraw/zinc/32/06/74/130320674.db2.gz LVGABIBBGYDTCD-NEPJUHHUSA-N 0 0 292.335 2.965 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)C1=CCC1 ZINC000355835821 130346831 /nfs/dbraw/zinc/34/68/31/130346831.db2.gz VGQKAMZKSYLSGE-UHFFFAOYSA-N 0 0 284.218 2.855 20 5 CFBDRN C[C@@H]1CSCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000192164674 130385366 /nfs/dbraw/zinc/38/53/66/130385366.db2.gz WVGDZMPTZBACBU-JTQLQIEISA-N 0 0 295.364 2.812 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000356557403 130389154 /nfs/dbraw/zinc/38/91/54/130389154.db2.gz BZKLYPBCRPXLNQ-QMMMGPOBSA-N 0 0 268.338 2.917 20 5 CFBDRN CSc1cccc(C(=O)NCC[C@@H](C)F)c1[N+](=O)[O-] ZINC000356651302 130391631 /nfs/dbraw/zinc/39/16/31/130391631.db2.gz RMTOWXTWRKRSMH-MRVPVSSYSA-N 0 0 286.328 2.795 20 5 CFBDRN CCN(C(=O)COc1cccc([N+](=O)[O-])c1)[C@@H](C)C(C)C ZINC000342280097 130411791 /nfs/dbraw/zinc/41/17/91/130411791.db2.gz LFJVRYNTEJHJPK-LBPRGKRZSA-N 0 0 294.351 2.867 20 5 CFBDRN CC(C)C1(NC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000357222352 130420882 /nfs/dbraw/zinc/42/08/82/130420882.db2.gz SBKRJFPLGHOBHJ-UHFFFAOYSA-N 0 0 298.289 2.720 20 5 CFBDRN CN(C)c1cccc(CN(C)c2ncc([N+](=O)[O-])s2)c1 ZINC000192499826 130459607 /nfs/dbraw/zinc/45/96/07/130459607.db2.gz CWDIMBRMLNXOMI-UHFFFAOYSA-N 0 0 292.364 2.754 20 5 CFBDRN CCC1(CNC(=O)NCc2cccc([N+](=O)[O-])c2C)CC1 ZINC000359214816 130501671 /nfs/dbraw/zinc/50/16/71/130501671.db2.gz HKBGOCZMYNVRDR-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000359294200 130506129 /nfs/dbraw/zinc/50/61/29/130506129.db2.gz BGFPRJMTZOKWKK-GWCFXTLKSA-N 0 0 291.351 2.891 20 5 CFBDRN Cc1nnc(Oc2ccc(Cl)cc2[N+](=O)[O-])nc1C ZINC000359335643 130509085 /nfs/dbraw/zinc/50/90/85/130509085.db2.gz HDSJYRUMDAFCHH-UHFFFAOYSA-N 0 0 280.671 2.842 20 5 CFBDRN CCC(=O)c1ccc(NCc2ccn(C)n2)c([N+](=O)[O-])c1 ZINC000359589501 130523832 /nfs/dbraw/zinc/52/38/32/130523832.db2.gz MMGDQXSMPIDWCU-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000359896923 130559828 /nfs/dbraw/zinc/55/98/28/130559828.db2.gz JZBKZHHCAWGUBR-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN CC1CCC(C)(CNc2c([N+](=O)[O-])ncn2C)CC1 ZINC000359945552 130566423 /nfs/dbraw/zinc/56/64/23/130566423.db2.gz XJSHXDRHEUUXQS-UHFFFAOYSA-N 0 0 266.345 2.957 20 5 CFBDRN COc1ccc(NC(=O)c2cnc(C)s2)cc1[N+](=O)[O-] ZINC000359995425 130572932 /nfs/dbraw/zinc/57/29/32/130572932.db2.gz YMPWACJOQYNTDL-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@H]1C(F)F ZINC000353178609 130593034 /nfs/dbraw/zinc/59/30/34/130593034.db2.gz XZNRNYCNUZLGAQ-NSHDSACASA-N 0 0 274.242 2.963 20 5 CFBDRN CSC1(CNC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000193707006 130646667 /nfs/dbraw/zinc/64/66/67/130646667.db2.gz PRSVDWGAXOGERF-UHFFFAOYSA-N 0 0 295.364 2.920 20 5 CFBDRN CSC1(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000194483117 130697361 /nfs/dbraw/zinc/69/73/61/130697361.db2.gz ZPEZXRNTCLBQDO-UHFFFAOYSA-N 0 0 298.339 2.749 20 5 CFBDRN COc1ccc(OCCO[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000194711157 130710490 /nfs/dbraw/zinc/71/04/90/130710490.db2.gz TWTYIZMUJZXJFF-CQSZACIVSA-N 0 0 297.307 2.525 20 5 CFBDRN CSC(C)(C)CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194781277 130714142 /nfs/dbraw/zinc/71/41/42/130714142.db2.gz IZPURMBTLQNKRF-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC(C)(C)CCCO ZINC000221189679 130782800 /nfs/dbraw/zinc/78/28/00/130782800.db2.gz NQUIURIYVPUOBL-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN C[C@@H](Sc1ncc(Cl)cc1[N+](=O)[O-])[C@H](C)O ZINC000227286241 130839640 /nfs/dbraw/zinc/83/96/40/130839640.db2.gz DOPWDFAZFVKWJZ-NTSWFWBYSA-N 0 0 262.718 2.505 20 5 CFBDRN C[C@H](O)CCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000227685920 130848683 /nfs/dbraw/zinc/84/86/83/130848683.db2.gz WIOUSNFSPHSRTI-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CCn1cc(CNc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000234215757 130933744 /nfs/dbraw/zinc/93/37/44/130933744.db2.gz OGKAMVQSCMHWEE-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCSC[C@H]2C)c1F ZINC000235300741 130948365 /nfs/dbraw/zinc/94/83/65/130948365.db2.gz CFPXPUGHKXLPEW-SECBINFHSA-N 0 0 298.339 2.620 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2CC=CCC2)c1F ZINC000235885130 130955190 /nfs/dbraw/zinc/95/51/90/130955190.db2.gz FCMLGWCQSNHFHN-SNVBAGLBSA-N 0 0 278.283 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@@H](O)C2)c2ccncc21 ZINC000236553511 130959241 /nfs/dbraw/zinc/95/92/41/130959241.db2.gz AXSLJRRPSABILR-WDEREUQCSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCSCC[C@@H]1C ZINC000190125776 291995592 /nfs/dbraw/zinc/99/55/92/291995592.db2.gz XYGYXHASOLEYEK-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN CCN(C(=O)c1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000249687778 131123164 /nfs/dbraw/zinc/12/31/64/131123164.db2.gz HUFXLAMSNDSCQP-UHFFFAOYSA-N 0 0 262.309 3.000 20 5 CFBDRN CN(C[C@@H]1OCCc2ccccc21)c1ccc([N+](=O)[O-])cn1 ZINC000263649149 131301321 /nfs/dbraw/zinc/30/13/21/131301321.db2.gz BGJXNHSBSUFSNN-HNNXBMFYSA-N 0 0 299.330 2.740 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)CC(=O)OC(C)(C)C ZINC000263874196 131350951 /nfs/dbraw/zinc/35/09/51/131350951.db2.gz JNYIUTFQPFWAFW-LLVKDONJSA-N 0 0 294.351 2.929 20 5 CFBDRN CC(C)c1nc(CCNc2c([N+](=O)[O-])ncn2C)cs1 ZINC000263909268 131358602 /nfs/dbraw/zinc/35/86/02/131358602.db2.gz RSPOAXIBTPYRMK-UHFFFAOYSA-N 0 0 295.368 2.563 20 5 CFBDRN O=C(Nc1cc(C2CC2)[nH]n1)c1ccc([N+](=O)[O-])s1 ZINC000264006940 131378280 /nfs/dbraw/zinc/37/82/80/131378280.db2.gz DHKHFRJETKTKFO-UHFFFAOYSA-N 0 0 278.293 2.509 20 5 CFBDRN O=C(NC1CC(c2ccccc2)C1)c1ccc([N+](=O)[O-])[nH]1 ZINC000264014902 131379658 /nfs/dbraw/zinc/37/96/58/131379658.db2.gz UCVVBENAXSPNFZ-UHFFFAOYSA-N 0 0 285.303 2.599 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000264324414 131432861 /nfs/dbraw/zinc/43/28/61/131432861.db2.gz VYCDCJPZKVSSDD-MWLCHTKSSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(CC(F)F)C1CC1 ZINC000264449910 131454509 /nfs/dbraw/zinc/45/45/09/131454509.db2.gz RWJGGQQTIJKOHH-UHFFFAOYSA-N 0 0 284.262 2.773 20 5 CFBDRN Cn1ncc2c1CCC[C@@H]2Nc1cccc(F)c1[N+](=O)[O-] ZINC000264794953 131502161 /nfs/dbraw/zinc/50/21/61/131502161.db2.gz BHHRUGBNPJNVAS-NSHDSACASA-N 0 0 290.298 2.957 20 5 CFBDRN CCOC[C@@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000264979750 131532446 /nfs/dbraw/zinc/53/24/46/131532446.db2.gz AAJZVPICOGJNGN-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN CSC[C@H](C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000265517277 131599793 /nfs/dbraw/zinc/59/97/93/131599793.db2.gz LLWKJIXXRWMWOM-QMMMGPOBSA-N 0 0 293.348 2.557 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000265537558 131601907 /nfs/dbraw/zinc/60/19/07/131601907.db2.gz VYEFGQCIKTVUBW-SFYZADRCSA-N 0 0 287.772 2.973 20 5 CFBDRN Cc1noc(CCCOc2cccc([N+](=O)[O-])c2C)n1 ZINC000266109778 131684855 /nfs/dbraw/zinc/68/48/55/131684855.db2.gz MGVSVSBRWBMIDQ-UHFFFAOYSA-N 0 0 277.280 2.606 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1Cc1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000266186148 131697253 /nfs/dbraw/zinc/69/72/53/131697253.db2.gz ULIRMLCZCHZKIH-RYUDHWBXSA-N 0 0 286.287 2.549 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H](OC)C(C)C)cc1[N+](=O)[O-] ZINC000266878416 131791689 /nfs/dbraw/zinc/79/16/89/131791689.db2.gz NLPDTOSIYAASRT-AWEZNQCLSA-N 0 0 294.351 2.558 20 5 CFBDRN COC(=O)[C@@H](C)S[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000267102275 131821010 /nfs/dbraw/zinc/82/10/10/131821010.db2.gz ZKYYGRSYSQRJLQ-RKDXNWHRSA-N 0 0 269.322 2.951 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])cc(Cl)c1=O)C1CCCCC1 ZINC000267144816 131826046 /nfs/dbraw/zinc/82/60/46/131826046.db2.gz GHSLXJMICOQXJJ-UHFFFAOYSA-N 0 0 298.726 2.559 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000267647090 131897174 /nfs/dbraw/zinc/89/71/74/131897174.db2.gz LNYUTLAAVCEIPD-VHSXEESVSA-N 0 0 250.298 2.838 20 5 CFBDRN C[C@H]1CN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C[C@H]1C ZINC000267806790 131919028 /nfs/dbraw/zinc/91/90/28/131919028.db2.gz UVWBPXJBZKGUCK-AOOOYVTPSA-N 0 0 287.319 2.804 20 5 CFBDRN C[C@H]1CC/C(=C\C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000267898049 131930667 /nfs/dbraw/zinc/93/06/67/131930667.db2.gz ZAGYQDITSONYNV-SEVUAYLXSA-N 0 0 288.347 3.000 20 5 CFBDRN O=[N+]([O-])c1cccc(CCc2nc(CC3CC3)no2)c1 ZINC000268061820 131952866 /nfs/dbraw/zinc/95/28/66/131952866.db2.gz UXLKZVTZSKIRLB-UHFFFAOYSA-N 0 0 273.292 2.716 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1C[C@H]2CCC[C@@H]2C1 ZINC000268137051 131964549 /nfs/dbraw/zinc/96/45/49/131964549.db2.gz OVRILZMVMNPGPM-GHMZBOCLSA-N 0 0 299.330 2.948 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000268385223 132002122 /nfs/dbraw/zinc/00/21/22/132002122.db2.gz KQGIHYNNKBIVLT-WDMOLILDSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1nc(N[C@@H]2[C@H]3CCO[C@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000268476357 132014220 /nfs/dbraw/zinc/01/42/20/132014220.db2.gz KHLGZLCIZLVKKH-OASPWFOLSA-N 0 0 277.324 2.524 20 5 CFBDRN CSC1(CNc2ccc([N+](=O)[O-])c(C)n2)CCOCC1 ZINC000268487515 132016352 /nfs/dbraw/zinc/01/63/52/132016352.db2.gz MXUYUDNDDOZBDS-UHFFFAOYSA-N 0 0 297.380 2.622 20 5 CFBDRN Cc1noc(CCCNc2cccc([N+](=O)[O-])c2C)n1 ZINC000268592966 132031571 /nfs/dbraw/zinc/03/15/71/132031571.db2.gz GFEFCRQPHBGIOP-UHFFFAOYSA-N 0 0 276.296 2.639 20 5 CFBDRN Cc1noc(CCCOc2cc([N+](=O)[O-])ccc2C)n1 ZINC000268608760 132035046 /nfs/dbraw/zinc/03/50/46/132035046.db2.gz KEBCROGPMWROAQ-UHFFFAOYSA-N 0 0 277.280 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2c(C)cc(C)[nH]c2=O)n1 ZINC000269063177 132106839 /nfs/dbraw/zinc/10/68/39/132106839.db2.gz YECIBJFWMYKVJM-UHFFFAOYSA-N 0 0 288.307 2.628 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC1(CCO)CCCC1 ZINC000269353126 132159426 /nfs/dbraw/zinc/15/94/26/132159426.db2.gz GSLKIYDJLXCZPZ-UHFFFAOYSA-N 0 0 299.758 2.998 20 5 CFBDRN CC(C)c1noc(COc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000269361501 132160076 /nfs/dbraw/zinc/16/00/76/132160076.db2.gz PKPIJCGBKRRONB-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN CCc1ncnc(Oc2ccc(OC)cc2[N+](=O)[O-])c1F ZINC000301928987 393482705 /nfs/dbraw/zinc/48/27/05/393482705.db2.gz NNSWDMSBDWABIO-UHFFFAOYSA-N 0 0 293.254 2.887 20 5 CFBDRN Cc1cnc(N2CCc3ccc([N+](=O)[O-])cc3C2)s1 ZINC000302900385 393495936 /nfs/dbraw/zinc/49/59/36/393495936.db2.gz SMNGRTXBJBPMRC-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCC[C@@H]1c1ncc[nH]1 ZINC000375355616 393507874 /nfs/dbraw/zinc/50/78/74/393507874.db2.gz KMUNEXJUGCMNAZ-CQSZACIVSA-N 0 0 286.335 2.963 20 5 CFBDRN Cc1c(NC(=O)CCOCC(C)C)cccc1[N+](=O)[O-] ZINC000031817253 393509979 /nfs/dbraw/zinc/50/99/79/393509979.db2.gz ABFWPTRMEVFGAR-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN Cc1cc(NC(=O)[C@H](C)OCC2CC2)ccc1[N+](=O)[O-] ZINC000182423917 393510479 /nfs/dbraw/zinc/51/04/79/393510479.db2.gz JKEYDPIWBADHQX-JTQLQIEISA-N 0 0 278.308 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCCCCO)c(F)c1 ZINC000185154915 393531249 /nfs/dbraw/zinc/53/12/49/393531249.db2.gz FGOZPVIHJWYHBI-UHFFFAOYSA-N 0 0 259.302 2.989 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2noc3c2CCCC3)nc1C1CC1 ZINC000185169659 393531520 /nfs/dbraw/zinc/53/15/20/393531520.db2.gz GRYQTNKPPDFWQF-UHFFFAOYSA-N 0 0 288.307 2.584 20 5 CFBDRN Cn1ncc2c1CCC[C@@H]2NCc1csc([N+](=O)[O-])c1 ZINC000037562990 393532526 /nfs/dbraw/zinc/53/25/26/393532526.db2.gz QFDCGKMTYCNFNR-NSHDSACASA-N 0 0 292.364 2.557 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@](CO)(C(C)C)C1 ZINC000377975513 393539712 /nfs/dbraw/zinc/53/97/12/393539712.db2.gz GLVJSFUFLZBYGJ-OAHLLOKOSA-N 0 0 278.352 2.748 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000042422789 393553651 /nfs/dbraw/zinc/55/36/51/393553651.db2.gz MKOZJRLQVFVHBC-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN CNc1ccc(C(=O)N2CCC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000379551533 393554686 /nfs/dbraw/zinc/55/46/86/393554686.db2.gz QQJCOFFGAKYLFM-UHFFFAOYSA-N 0 0 289.335 2.653 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCCO[C@H]1CCCCO1 ZINC000187681539 393556378 /nfs/dbraw/zinc/55/63/78/393556378.db2.gz LBSHXZHFLNOUQD-NSHDSACASA-N 0 0 284.337 2.625 20 5 CFBDRN C[C@H]1CCCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000332239162 393562138 /nfs/dbraw/zinc/56/21/38/393562138.db2.gz QZTSZXVGFVZUIX-JTQLQIEISA-N 0 0 274.324 2.703 20 5 CFBDRN Cc1nc(C)c(C(=O)NCc2ccccc2[N+](=O)[O-])s1 ZINC000046136651 393577312 /nfs/dbraw/zinc/57/73/12/393577312.db2.gz RFRSTBNUYWCGHQ-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)c2cccnc2)cc1[N+](=O)[O-] ZINC000045134091 393569738 /nfs/dbraw/zinc/56/97/38/393569738.db2.gz UIIOEXWOBVVGKK-QMMMGPOBSA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@@H]1CC[C@H](CSc2ncccc2[N+](=O)[O-])O1 ZINC000191101633 393586510 /nfs/dbraw/zinc/58/65/10/393586510.db2.gz CWLMMDZQVYUIDO-RKDXNWHRSA-N 0 0 254.311 2.649 20 5 CFBDRN C[C@H]1CC[C@@H](CSc2ncccc2[N+](=O)[O-])O1 ZINC000191101682 393586575 /nfs/dbraw/zinc/58/65/75/393586575.db2.gz CWLMMDZQVYUIDO-IUCAKERBSA-N 0 0 254.311 2.649 20 5 CFBDRN CCCN(C(=O)[C@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000194123298 393679459 /nfs/dbraw/zinc/67/94/59/393679459.db2.gz URSMNSRSKHSRHG-GWCFXTLKSA-N 0 0 262.309 2.994 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCS[C@@H](C)[C@H]2C)n1 ZINC000408172133 393624942 /nfs/dbraw/zinc/62/49/42/393624942.db2.gz SCBORRFWSJKAKW-MNOVXSKESA-N 0 0 281.381 2.937 20 5 CFBDRN C[C@@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@@H]1C ZINC000408452612 393627221 /nfs/dbraw/zinc/62/72/21/393627221.db2.gz PLKFPLBSWRHEIY-ZJUUUORDSA-N 0 0 274.324 2.953 20 5 CFBDRN C[C@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H]1C ZINC000408452609 393627243 /nfs/dbraw/zinc/62/72/43/393627243.db2.gz PLKFPLBSWRHEIY-VHSXEESVSA-N 0 0 274.324 2.953 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C1CC1)C1CCCC1 ZINC000192802024 393632865 /nfs/dbraw/zinc/63/28/65/393632865.db2.gz XOXVNWPZZVSJPL-UHFFFAOYSA-N 0 0 289.335 2.845 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCc2c1cccc2F ZINC000192770503 393632965 /nfs/dbraw/zinc/63/29/65/393632965.db2.gz WNHQXHUQHHPODT-UHFFFAOYSA-N 0 0 290.298 2.953 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]1CC ZINC000410818803 393641594 /nfs/dbraw/zinc/64/15/94/393641594.db2.gz PZHUXVIVAOWDRF-MWLCHTKSSA-N 0 0 278.308 2.978 20 5 CFBDRN CC[C@@H]1CCN1C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000410937546 393646422 /nfs/dbraw/zinc/64/64/22/393646422.db2.gz LPIHTZUJGWTWHS-LLVKDONJSA-N 0 0 276.336 2.883 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000193545403 393654291 /nfs/dbraw/zinc/65/42/91/393654291.db2.gz VFPLFBOZTGKGIL-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN O=C(NC[C@@H]1Cc2ccccc21)c1ccc([N+](=O)[O-])s1 ZINC000194050925 393673597 /nfs/dbraw/zinc/67/35/97/393673597.db2.gz VDWRDLILRNJJSM-JTQLQIEISA-N 0 0 288.328 2.726 20 5 CFBDRN Cc1nc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(C)s1 ZINC000082264952 393739183 /nfs/dbraw/zinc/73/91/83/393739183.db2.gz LVBCBTYVBMHNKY-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000195487852 393741678 /nfs/dbraw/zinc/74/16/78/393741678.db2.gz SSFIZSWIPXPOFM-QMMMGPOBSA-N 0 0 273.292 2.604 20 5 CFBDRN CN(C)C(=O)c1cccc(Oc2ncc([N+](=O)[O-])s2)c1 ZINC000195508534 393742762 /nfs/dbraw/zinc/74/27/62/393742762.db2.gz PQVYEEMYOIDRPP-UHFFFAOYSA-N 0 0 293.304 2.545 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCc1ccccc1 ZINC000086962704 393756028 /nfs/dbraw/zinc/75/60/28/393756028.db2.gz HCQFUGUYRIWETL-UHFFFAOYSA-N 0 0 284.315 2.844 20 5 CFBDRN CC(C)C(CNC(=O)c1cc([O-])ccc1[N+](=O)[O-])C(C)C ZINC000217316820 393756880 /nfs/dbraw/zinc/75/68/80/393756880.db2.gz BUWTWFNSBGGSRG-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC(C)=C[C@@H](C)C1 ZINC000335728000 393693073 /nfs/dbraw/zinc/69/30/73/393693073.db2.gz RIRIFSXPKLCEDF-SNVBAGLBSA-N 0 0 274.320 2.941 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@H]1CCC(C)(C)C1 ZINC000335722689 393693150 /nfs/dbraw/zinc/69/31/50/393693150.db2.gz CHABNBGRJNLZPR-JTQLQIEISA-N 0 0 279.340 2.584 20 5 CFBDRN Cc1cc(CN(C)C(=O)Nc2ccc([N+](=O)[O-])cc2)no1 ZINC000078553921 393709190 /nfs/dbraw/zinc/70/91/90/393709190.db2.gz HCPAZNHXFDROAV-UHFFFAOYSA-N 0 0 290.279 2.555 20 5 CFBDRN CNC(=O)c1ccccc1NCc1ccccc1[N+](=O)[O-] ZINC000078730141 393712191 /nfs/dbraw/zinc/71/21/91/393712191.db2.gz JLPUIJIGSNURNA-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN COCCCCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000194742250 393715676 /nfs/dbraw/zinc/71/56/76/393715676.db2.gz GUVIRJDDGXMEFR-UHFFFAOYSA-N 0 0 280.324 2.967 20 5 CFBDRN Nc1ccc(C(=O)N2CCC[C@@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000087784013 393760286 /nfs/dbraw/zinc/76/02/86/393760286.db2.gz PLFWWASCFSJCMO-GXFFZTMASA-N 0 0 289.335 2.582 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC1CCSCC1 ZINC000194809294 393718131 /nfs/dbraw/zinc/71/81/31/393718131.db2.gz KCRCVGMVUNSBQV-SNAWJCMRSA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1c(C(=O)N2CC3(C2)CCCC3)cccc1[N+](=O)[O-] ZINC000336110427 393719037 /nfs/dbraw/zinc/71/90/37/393719037.db2.gz RWQMPMKMBQYCJO-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1cncc(NC(=O)c2ccc([N+](=O)[O-])cc2C)c1 ZINC000079579668 393720520 /nfs/dbraw/zinc/72/05/20/393720520.db2.gz LWHBKCUVRLUQKF-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(C)(CC(F)F)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000411531776 393722672 /nfs/dbraw/zinc/72/26/72/393722672.db2.gz LKCPATVZRKBRIO-UHFFFAOYSA-N 0 0 286.278 2.892 20 5 CFBDRN COC[C@@H](NCc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000080239886 393725450 /nfs/dbraw/zinc/72/54/50/393725450.db2.gz NBPVXCUDAHHRMO-CYBMUJFWSA-N 0 0 276.292 2.665 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(F)cc2)nc1C1CC1 ZINC000195050523 393729524 /nfs/dbraw/zinc/72/95/24/393729524.db2.gz FCLCISCZDKXWKW-UHFFFAOYSA-N 0 0 261.256 2.856 20 5 CFBDRN Cc1c(Cc2noc([C@]3(C)C[C@@H]3F)n2)cccc1[N+](=O)[O-] ZINC000424351347 393839451 /nfs/dbraw/zinc/83/94/51/393839451.db2.gz WJOYTUUVPQGEFH-SMDDNHRTSA-N 0 0 291.282 2.877 20 5 CFBDRN O=C(Nc1ccccn1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088564083 393763523 /nfs/dbraw/zinc/76/35/23/393763523.db2.gz OAABYJKYNOHWQM-UHFFFAOYSA-N 0 0 298.302 2.580 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000226421401 393770401 /nfs/dbraw/zinc/77/04/01/393770401.db2.gz UOGKWBOKMSKDAE-RYUDHWBXSA-N 0 0 292.335 2.843 20 5 CFBDRN Cc1cnc(CCNc2c(C)cccc2[N+](=O)[O-])nc1 ZINC000340079007 393835546 /nfs/dbraw/zinc/83/55/46/393835546.db2.gz MESMRYAKFJGKKF-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2ccncc2Cl)c1 ZINC000340081443 393835567 /nfs/dbraw/zinc/83/55/67/393835567.db2.gz SQNFNBSNVDXWTI-UHFFFAOYSA-N 0 0 277.711 2.720 20 5 CFBDRN C[C@]1(F)CCN(c2ncc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000413217696 393780007 /nfs/dbraw/zinc/78/00/07/393780007.db2.gz DCNLYSUJTGIZQU-JTQLQIEISA-N 0 0 293.220 2.947 20 5 CFBDRN Cc1cc(NC2Cc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000231039047 393780493 /nfs/dbraw/zinc/78/04/93/393780493.db2.gz IZSSSYUNIXOFKO-UHFFFAOYSA-N 0 0 269.304 2.878 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])cc1F ZINC000413264040 393781053 /nfs/dbraw/zinc/78/10/53/393781053.db2.gz IYFQVHVXNHDBRB-POYBYMJQSA-N 0 0 293.220 2.906 20 5 CFBDRN CCC1(O)CN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)C1 ZINC000231341258 393781235 /nfs/dbraw/zinc/78/12/35/393781235.db2.gz GWVGEBDXXIRJPB-UHFFFAOYSA-N 0 0 291.134 2.863 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2CCC[C@H]2C)c1F ZINC000235256496 393783347 /nfs/dbraw/zinc/78/33/47/393783347.db2.gz WOHKULGXVSOVBE-PRHODGIISA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1[nH]nc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c1C ZINC000235080650 393784042 /nfs/dbraw/zinc/78/40/42/393784042.db2.gz SKGIYBZHRWQRNY-SECBINFHSA-N 0 0 288.307 2.677 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2C[C@@H](OC(C)C)C2)cc([N+](=O)[O-])c1 ZINC000457886618 393797089 /nfs/dbraw/zinc/79/70/89/393797089.db2.gz PZYOTJDTPDOGKX-XBXGTLAGSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@H]2F)n1 ZINC000338846427 393803301 /nfs/dbraw/zinc/80/33/01/393803301.db2.gz VXXCGACANZZASU-NXEZZACHSA-N 0 0 253.277 2.909 20 5 CFBDRN Cc1ccc(CNC(=O)c2cccc([N+](=O)[O-])c2C)nc1C ZINC000457981278 393814755 /nfs/dbraw/zinc/81/47/55/393814755.db2.gz HVAPMMBCXMFTAM-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2C[C@@H](C)O[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000245706928 393824153 /nfs/dbraw/zinc/82/41/53/393824153.db2.gz SEATVLCOWKRTNN-PTEHBNRSSA-N 0 0 292.335 2.589 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000458020004 393828275 /nfs/dbraw/zinc/82/82/75/393828275.db2.gz RMZKIBINXVYPQO-DGCLKSJQSA-N 0 0 262.309 2.759 20 5 CFBDRN Cc1ccc(-c2noc([C@]3(C)C[C@H]3F)n2)cc1[N+](=O)[O-] ZINC000424331958 393832677 /nfs/dbraw/zinc/83/26/77/393832677.db2.gz BKUZQCFBLGCFTA-ZWNOBZJWSA-N 0 0 277.255 2.953 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000416072312 393863756 /nfs/dbraw/zinc/86/37/56/393863756.db2.gz MTNNGPBUEBGWFK-SECBINFHSA-N 0 0 280.711 2.778 20 5 CFBDRN CC(C)C1CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])CC1 ZINC000342270200 393863763 /nfs/dbraw/zinc/86/37/63/393863763.db2.gz VLRUJLABUUMBMY-UHFFFAOYSA-N 0 0 291.351 2.566 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000416252778 393889465 /nfs/dbraw/zinc/88/94/65/393889465.db2.gz BGKCPKLZFQSVLK-SECBINFHSA-N 0 0 285.303 2.606 20 5 CFBDRN Cc1cc(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])ccn1 ZINC000112298613 393891041 /nfs/dbraw/zinc/89/10/41/393891041.db2.gz PDCURJOCXHEZBI-VOTSOKGWSA-N 0 0 283.287 2.950 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000471778526 393914099 /nfs/dbraw/zinc/91/40/99/393914099.db2.gz FPBNLCLWKKHMRK-GFCCVEGCSA-N 0 0 262.309 2.686 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000458103330 393847499 /nfs/dbraw/zinc/84/74/99/393847499.db2.gz LYAOFQDYIOZZPR-JSGCOSHPSA-N 0 0 280.299 2.898 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000458109937 393849262 /nfs/dbraw/zinc/84/92/62/393849262.db2.gz OJDGQTNVPYIUDU-BXKDBHETSA-N 0 0 268.338 2.821 20 5 CFBDRN Cc1cc(N[C@@H]2COc3ccc(F)cc32)ncc1[N+](=O)[O-] ZINC000341580061 393856320 /nfs/dbraw/zinc/85/63/20/393856320.db2.gz FFTPIDBQACRSJP-LLVKDONJSA-N 0 0 289.266 2.983 20 5 CFBDRN Cc1cc(CN(C)Cc2c(F)cccc2[N+](=O)[O-])no1 ZINC000103466607 393856586 /nfs/dbraw/zinc/85/65/86/393856586.db2.gz XXQFOVGNHGESRL-UHFFFAOYSA-N 0 0 279.271 2.662 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)c1c(Cl)cccc1[N+](=O)[O-] ZINC000416057661 393857618 /nfs/dbraw/zinc/85/76/18/393857618.db2.gz AHZIBVHHLGTPEN-QMMMGPOBSA-N 0 0 280.711 2.778 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1Cl ZINC000416064656 393859947 /nfs/dbraw/zinc/85/99/47/393859947.db2.gz RKGWQAQRKFWFDX-MRVPVSSYSA-N 0 0 280.711 2.778 20 5 CFBDRN C[C@H](Nc1ncc(F)cn1)c1cccc([N+](=O)[O-])c1 ZINC000119199040 393915972 /nfs/dbraw/zinc/91/59/72/393915972.db2.gz UYDLTFJDPQOERX-QMMMGPOBSA-N 0 0 262.244 2.697 20 5 CFBDRN C[C@@H](Nc1ncc(F)cn1)c1cccc([N+](=O)[O-])c1 ZINC000119199136 393916006 /nfs/dbraw/zinc/91/60/06/393916006.db2.gz UYDLTFJDPQOERX-MRVPVSSYSA-N 0 0 262.244 2.697 20 5 CFBDRN Cn1ccc2cccc(NC(=O)c3cc([N+](=O)[O-])c[nH]3)c21 ZINC000471984970 393927379 /nfs/dbraw/zinc/92/73/79/393927379.db2.gz JHUUXWHZGGIPFS-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN CCN(C(=O)CCOc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000348304425 393951280 /nfs/dbraw/zinc/95/12/80/393951280.db2.gz GEQGUCQQCBFSHA-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN CC1(CO)CCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000120887521 393942725 /nfs/dbraw/zinc/94/27/25/393942725.db2.gz NLGYMMPTQPLYAO-UHFFFAOYSA-N 0 0 298.770 2.843 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)c2ccccc2)c(F)c1 ZINC000487691380 394018818 /nfs/dbraw/zinc/01/88/18/394018818.db2.gz QXTNQFKVFASCON-LBPRGKRZSA-N 0 0 290.294 2.922 20 5 CFBDRN Cc1cc(NC/C=C\c2ccccc2)ncc1[N+](=O)[O-] ZINC000477055508 393963537 /nfs/dbraw/zinc/96/35/37/393963537.db2.gz BKLWLSNUCLGBAS-YVMONPNESA-N 0 0 269.304 2.845 20 5 CFBDRN Cc1sc(C(=O)NC2C[C@@H](C)O[C@H](C)C2)cc1[N+](=O)[O-] ZINC000126191030 394023389 /nfs/dbraw/zinc/02/33/89/394023389.db2.gz DQGFTFDAEHROQO-HTQZYQBOSA-N 0 0 298.364 2.651 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCOC(C)(C)[C@@H]1C ZINC000430229972 393970674 /nfs/dbraw/zinc/97/06/74/393970674.db2.gz WYFNOHRBNOIXSJ-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1cc(N2CCS[C@H](C)[C@H]2C)ncc1[N+](=O)[O-] ZINC000266802954 393984022 /nfs/dbraw/zinc/98/40/22/393984022.db2.gz CMNKCVDQWITEFO-NXEZZACHSA-N 0 0 267.354 2.628 20 5 CFBDRN Cc1cnc(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000268401158 393992146 /nfs/dbraw/zinc/99/21/46/393992146.db2.gz PUPZAMNFUVJYGW-WZRBSPASSA-N 0 0 277.324 2.668 20 5 CFBDRN CCCc1nc(C)c(NC(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000487269585 393993139 /nfs/dbraw/zinc/99/31/39/393993139.db2.gz NWOSLNQRKUCUBQ-UHFFFAOYSA-N 0 0 294.336 2.893 20 5 CFBDRN C[C@H](F)CCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487854297 394025473 /nfs/dbraw/zinc/02/54/73/394025473.db2.gz BYTSFXAJRXFGKF-VIFPVBQESA-N 0 0 264.256 2.658 20 5 CFBDRN CC[C@H]1CCN(CC(=O)Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000125185897 394002359 /nfs/dbraw/zinc/00/23/59/394002359.db2.gz HVDAWCMPRMXMQR-LBPRGKRZSA-N 0 0 291.351 2.574 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000269319858 394003654 /nfs/dbraw/zinc/00/36/54/394003654.db2.gz AXMJWLZDGPUSCP-JTQLQIEISA-N 0 0 294.355 2.704 20 5 CFBDRN CCC1(NC(=O)COc2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000430685559 394004008 /nfs/dbraw/zinc/00/40/08/394004008.db2.gz ISSJCGFQDRSEBN-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN CC(C)OC(=O)[C@@H]1CCCN1Cc1ccccc1[N+](=O)[O-] ZINC000125387461 394006958 /nfs/dbraw/zinc/00/69/58/394006958.db2.gz JQCMICJVABNETC-AWEZNQCLSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1cncc(Cn2cc([N+](=O)[O-])c(=O)c3ccccc32)c1 ZINC000487864429 394026669 /nfs/dbraw/zinc/02/66/69/394026669.db2.gz XEABCEFFBIYUOV-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN C[C@@H]1CCN(c2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000432317926 394011537 /nfs/dbraw/zinc/01/15/37/394011537.db2.gz NGVBQXBZNNJDIY-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN CCC[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000270278677 394011729 /nfs/dbraw/zinc/01/17/29/394011729.db2.gz RYDSLGIGUOVPTC-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN CC(C)c1ccc(C[NH2+]CC2(N(C)C)CC2)cc1[N+](=O)[O-] ZINC000418119835 394014283 /nfs/dbraw/zinc/01/42/83/394014283.db2.gz ZBDQBKHRTYAEDT-UHFFFAOYSA-N 0 0 291.395 2.902 20 5 CFBDRN CCCN(C)c1c(Br)cncc1[N+](=O)[O-] ZINC000270965999 394014811 /nfs/dbraw/zinc/01/48/11/394014811.db2.gz OYOXOXOLASSBLV-UHFFFAOYSA-N 0 0 274.118 2.599 20 5 CFBDRN CCSCCCNc1nccc(C)c1[N+](=O)[O-] ZINC000281958231 394100837 /nfs/dbraw/zinc/10/08/37/394100837.db2.gz QOGVRGFFLUAUGO-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CCO1 ZINC000275992919 394048367 /nfs/dbraw/zinc/04/83/67/394048367.db2.gz FPQSCQDVERTKBO-HNNXBMFYSA-N 0 0 292.335 2.803 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1ccc(CNCCF)o1 ZINC000437234092 394050038 /nfs/dbraw/zinc/05/00/38/394050038.db2.gz HNDRLDKONQTVHG-UHFFFAOYSA-N 0 0 264.256 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccccc2N(C)C)n1 ZINC000276938817 394053154 /nfs/dbraw/zinc/05/31/54/394053154.db2.gz RYNCDJHYMICQLC-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN COCC1(CNc2cc(C)c([N+](=O)[O-])cn2)CCC1 ZINC000281964674 394101749 /nfs/dbraw/zinc/10/17/49/394101749.db2.gz RBBOAIDGAOJERC-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000277598043 394057151 /nfs/dbraw/zinc/05/71/51/394057151.db2.gz KWHUOLCLOUQIQE-GWCFXTLKSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278151058 394059772 /nfs/dbraw/zinc/05/97/72/394059772.db2.gz BJHZXWVGYDYTCN-UWVGGRQHSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000491913251 394061035 /nfs/dbraw/zinc/06/10/35/394061035.db2.gz KVTYSRHRHSHMRZ-ZOUVEMKTSA-N 0 0 274.320 2.865 20 5 CFBDRN COc1cc(CN[C@@H]2CCCC[C@H]2F)c([N+](=O)[O-])cc1O ZINC000419819397 394064453 /nfs/dbraw/zinc/06/44/53/394064453.db2.gz NLQSNWIIRIVYFE-GHMZBOCLSA-N 0 0 298.314 2.679 20 5 CFBDRN CCSCCCNc1c([N+](=O)[O-])c(C)nn1CC ZINC000281966572 394102530 /nfs/dbraw/zinc/10/25/30/394102530.db2.gz RCTIIYDRWSYAQP-UHFFFAOYSA-N 0 0 272.374 2.675 20 5 CFBDRN CCc1ccnc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000437692980 394069370 /nfs/dbraw/zinc/06/93/70/394069370.db2.gz DKNRMFQWUGWEIQ-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CC(C)(C)[C@H]1CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000280249697 394071579 /nfs/dbraw/zinc/07/15/79/394071579.db2.gz NATMLAWKPPTQLQ-JTQLQIEISA-N 0 0 264.329 2.647 20 5 CFBDRN Cc1cn(Cc2cccc(Cl)c2)nc1[N+](=O)[O-] ZINC000439193439 394103821 /nfs/dbraw/zinc/10/38/21/394103821.db2.gz RSWVRKXEUNISFB-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)ns1 ZINC000130175434 394104353 /nfs/dbraw/zinc/10/43/53/394104353.db2.gz RECFGKRPICLWGC-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C1CC1 ZINC000281869543 394086473 /nfs/dbraw/zinc/08/64/73/394086473.db2.gz FGKAWFSEXSONPM-UHFFFAOYSA-N 0 0 279.296 2.620 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000492878827 394087378 /nfs/dbraw/zinc/08/73/78/394087378.db2.gz ANZNMUZSBBKZOI-URGSKGIXSA-N 0 0 260.293 2.523 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492885547 394089571 /nfs/dbraw/zinc/08/95/71/394089571.db2.gz DFSAYCCILZFVOH-YBJDMEARSA-N 0 0 274.320 2.913 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000357617312 394089981 /nfs/dbraw/zinc/08/99/81/394089981.db2.gz XEHWVJGTZBZJPT-QMMMGPOBSA-N 0 0 262.265 2.869 20 5 CFBDRN COCC[C@H](Nc1ccc([N+](=O)[O-])cn1)c1ccco1 ZINC000272484877 394029320 /nfs/dbraw/zinc/02/93/20/394029320.db2.gz PFVVNIGWYPZJGB-NSHDSACASA-N 0 0 277.280 2.773 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H]2C[C@H]2C1 ZINC000436866776 394030879 /nfs/dbraw/zinc/03/08/79/394030879.db2.gz YXSITEWQBNOYFV-MSRIBSCDSA-N 0 0 260.293 2.970 20 5 CFBDRN Cc1c(CNC(=O)c2ccccc2O)cccc1[N+](=O)[O-] ZINC000436892052 394031697 /nfs/dbraw/zinc/03/16/97/394031697.db2.gz YXGXAKGSUMBCHB-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN C[C@H]1C[C@@H](c2ccccc2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000273559850 394034647 /nfs/dbraw/zinc/03/46/47/394034647.db2.gz BKCXABBKLGBYSJ-WCQYABFASA-N 0 0 284.319 2.767 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@H]2CCC[C@H]21 ZINC000273504989 394034774 /nfs/dbraw/zinc/03/47/74/394034774.db2.gz ZLLUKFCTVHLOGG-CABCVRRESA-N 0 0 276.336 2.657 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)NCc1ccc([N+](=O)[O-])cc1 ZINC000448744547 394178763 /nfs/dbraw/zinc/17/87/63/394178763.db2.gz ARNORNCFFWOYIM-DYEKYZERSA-N 0 0 274.320 2.647 20 5 CFBDRN Cc1ccc(F)c(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000439284581 394110307 /nfs/dbraw/zinc/11/03/07/394110307.db2.gz AYKNQJLLGWUFHV-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN Cc1c(OC[C@@](C)(O)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000282015087 394111196 /nfs/dbraw/zinc/11/11/96/394111196.db2.gz XGRAUTHMEYWIJQ-SNVBAGLBSA-N 0 0 279.214 2.595 20 5 CFBDRN CN(C(=O)c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])nc1 ZINC000439290912 394111283 /nfs/dbraw/zinc/11/12/83/394111283.db2.gz ATMWCSCQJYNWPH-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CCC1(C)CN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000492980738 394115120 /nfs/dbraw/zinc/11/51/20/394115120.db2.gz HRNUTSFYGBZSNS-TWGQIWQCSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1nn(C)c2ncc(/C=C/c3ccc([N+](=O)[O-])cn3)cc12 ZINC000439510686 394122655 /nfs/dbraw/zinc/12/26/55/394122655.db2.gz HJWKUUAAWIKWPK-ONEGZZNKSA-N 0 0 295.302 2.750 20 5 CFBDRN CCO/C=C/C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000493012365 394123784 /nfs/dbraw/zinc/12/37/84/394123784.db2.gz ACMWWIGURIZSOT-ZRDIBKRKSA-N 0 0 292.335 2.584 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000439717355 394128655 /nfs/dbraw/zinc/12/86/55/394128655.db2.gz UHFNSUKXZNABBC-UWVGGRQHSA-N 0 0 270.304 2.943 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCC1=CCCC1 ZINC000131322395 394130148 /nfs/dbraw/zinc/13/01/48/394130148.db2.gz HRFVVKHYAHVRCP-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN Cc1cccc(OCc2nnc(C3CC3)o2)c1[N+](=O)[O-] ZINC000131351969 394130361 /nfs/dbraw/zinc/13/03/61/394130361.db2.gz XXDMEAYHGNQKDA-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCCCO1 ZINC000283552073 394132283 /nfs/dbraw/zinc/13/22/83/394132283.db2.gz IAWABSGSGRMIGF-UHFFFAOYSA-N 0 0 279.296 2.771 20 5 CFBDRN COc1ccncc1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000131494401 394134443 /nfs/dbraw/zinc/13/44/43/394134443.db2.gz RBNBVOVDDVZZGS-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCC1=CCCC1 ZINC000131552941 394135575 /nfs/dbraw/zinc/13/55/75/394135575.db2.gz SMKNABFIMQUPOS-UHFFFAOYSA-N 0 0 275.308 2.528 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000493214805 394181067 /nfs/dbraw/zinc/18/10/67/394181067.db2.gz GPXHZAQXVKPTGE-QRJSTWQJSA-N 0 0 284.262 2.768 20 5 CFBDRN Cc1ccc(OCC(=O)NCCC(C)(C)C)cc1[N+](=O)[O-] ZINC000131721451 394139045 /nfs/dbraw/zinc/13/90/45/394139045.db2.gz NAEWQZBXNLTXAO-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN C[C@H]1CN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)CC[C@@H]1C ZINC000444690543 394145351 /nfs/dbraw/zinc/14/53/51/394145351.db2.gz UCIGSZKSLCCAHY-QWRGUYRKSA-N 0 0 288.351 2.949 20 5 CFBDRN Cc1cc(N2CCC[C@@H](F)C2)c(F)cc1[N+](=O)[O-] ZINC000360085793 394145522 /nfs/dbraw/zinc/14/55/22/394145522.db2.gz ALBXCQGHEHZUAW-SECBINFHSA-N 0 0 256.252 2.981 20 5 CFBDRN CCO/C=C\C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000493112328 394151968 /nfs/dbraw/zinc/15/19/68/394151968.db2.gz DUJFBMIRKGFNHH-FPLPWBNLSA-N 0 0 293.323 2.904 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1cc[nH]c1C1CC1 ZINC000285829666 394152848 /nfs/dbraw/zinc/15/28/48/394152848.db2.gz ZWFWIQZDGDMRNB-UHFFFAOYSA-N 0 0 299.330 2.773 20 5 CFBDRN CCCCCNC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000132649954 394159603 /nfs/dbraw/zinc/15/96/03/394159603.db2.gz HCZZZMKTZYXXKQ-UHFFFAOYSA-N 0 0 266.297 2.523 20 5 CFBDRN O=C(/C=C\C1CCCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000493220718 394182537 /nfs/dbraw/zinc/18/25/37/394182537.db2.gz OCHAXYVUYJFPMQ-YFHOEESVSA-N 0 0 288.347 3.000 20 5 CFBDRN CC[C@@H](C)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000421037323 394167117 /nfs/dbraw/zinc/16/71/17/394167117.db2.gz PHZDCFHOSYIGJY-SECBINFHSA-N 0 0 251.286 2.762 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC[C@@H]1C[C@H]1C1CC1 ZINC000493168046 394167695 /nfs/dbraw/zinc/16/76/95/394167695.db2.gz BMFZYCIOJOXGMU-MFOKFAIFSA-N 0 0 286.331 2.770 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CC[C@@H](C)C1 ZINC000133252801 394172885 /nfs/dbraw/zinc/17/28/85/394172885.db2.gz STVGHTBRQKICRB-SCZZXKLOSA-N 0 0 252.318 2.720 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CC(C2CCC2)C1 ZINC000493193882 394175481 /nfs/dbraw/zinc/17/54/81/394175481.db2.gz RVXITZUEUBKPGK-CMDGGOBGSA-N 0 0 286.331 2.867 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1ccnc(C2CC2)n1 ZINC000286677023 394199502 /nfs/dbraw/zinc/19/95/02/394199502.db2.gz WMXSARLPJCJDOL-UHFFFAOYSA-N 0 0 298.346 2.941 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493287706 394200103 /nfs/dbraw/zinc/20/01/03/394200103.db2.gz FMTPCRYEDVNNSP-DMTLFAOVSA-N 0 0 274.320 2.913 20 5 CFBDRN COC(=O)c1cc(C)nc(N(C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000288111711 394210661 /nfs/dbraw/zinc/21/06/61/394210661.db2.gz XLCWGPMVBRTZHR-UHFFFAOYSA-N 0 0 295.339 2.567 20 5 CFBDRN Cc1nc(N2CCC[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000288169381 394211606 /nfs/dbraw/zinc/21/16/06/394211606.db2.gz IFYBNWAJHBGMMU-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN CC(C)OCCN(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000135220287 394212583 /nfs/dbraw/zinc/21/25/83/394212583.db2.gz ZZLDGZNHZFHGGR-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN CCC1(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000493349474 394217667 /nfs/dbraw/zinc/21/76/67/394217667.db2.gz GZMRPUYOPVOKCM-YVMONPNESA-N 0 0 260.293 2.667 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C\[C@@H]1CCOC1 ZINC000493377951 394226500 /nfs/dbraw/zinc/22/65/00/394226500.db2.gz ACJBHJIMGKPEMM-VSQXVHSFSA-N 0 0 290.319 2.743 20 5 CFBDRN CC[C@H](C)CN(C)c1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000136303106 394232944 /nfs/dbraw/zinc/23/29/44/394232944.db2.gz XFIPFPQPQLTEHF-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3ccc([N+](=O)[O-])cc3C)C2)c1 ZINC000290796080 394234387 /nfs/dbraw/zinc/23/43/87/394234387.db2.gz OOGUZXSSYYXAHF-CQSZACIVSA-N 0 0 286.335 2.860 20 5 CFBDRN Cc1cc(N2C[C@@H](C)O[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000290930589 394235837 /nfs/dbraw/zinc/23/58/37/394235837.db2.gz JAJBTIHHZYYRBK-NXEZZACHSA-N 0 0 268.288 2.656 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(F)(F)[C@H](C)C1 ZINC000291137362 394237445 /nfs/dbraw/zinc/23/74/45/394237445.db2.gz NOZZYSWZSSTQQZ-SECBINFHSA-N 0 0 271.267 2.780 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1F)[C@H]1CCOC1 ZINC000291290509 394238392 /nfs/dbraw/zinc/23/83/92/394238392.db2.gz PPBPMHQNMSKEPR-ZDUSSCGKSA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@]1(c2nc(Cc3ccccc3[N+](=O)[O-])no2)CC1(F)F ZINC000421265944 394184536 /nfs/dbraw/zinc/18/45/36/394184536.db2.gz VDYSWINQHXWVQG-GFCCVEGCSA-N 0 0 295.245 2.865 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]1C1CC1 ZINC000493254909 394192612 /nfs/dbraw/zinc/19/26/12/394192612.db2.gz DAOWIYOQJOPWFR-WREBYIDWSA-N 0 0 272.304 2.523 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2c1ccc([N+](=O)[O-])c(C)c1 ZINC000286490192 394196822 /nfs/dbraw/zinc/19/68/22/394196822.db2.gz XHFUOEOLCUIOBA-XNHOIOAFSA-N 0 0 288.347 2.763 20 5 CFBDRN O=C(/C=C/C1CCCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000493510828 394262777 /nfs/dbraw/zinc/26/27/77/394262777.db2.gz DIXRIXJMDYGWEJ-CMDGGOBGSA-N 0 0 288.347 3.000 20 5 CFBDRN CC(=O)c1ccccc1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151979467 394267207 /nfs/dbraw/zinc/26/72/07/394267207.db2.gz SGNSBRYHHSWMQI-UHFFFAOYSA-N 0 0 298.298 2.979 20 5 CFBDRN CCOC(=O)CN(Cc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000152086202 394270433 /nfs/dbraw/zinc/27/04/33/394270433.db2.gz PKNRCVQTNFGTDD-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN Cc1cnccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000153266677 394281064 /nfs/dbraw/zinc/28/10/64/394281064.db2.gz UKXUXMMFAMUECR-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@](CO)(C(C)C)C1 ZINC000293902063 394286371 /nfs/dbraw/zinc/28/63/71/394286371.db2.gz CRGUYJSZLAHZDW-HNNXBMFYSA-N 0 0 293.367 2.533 20 5 CFBDRN CC1(F)CC(NC(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000493618257 394292657 /nfs/dbraw/zinc/29/26/57/394292657.db2.gz VCKJVGMAGJGXRN-HWKANZROSA-N 0 0 296.273 2.754 20 5 CFBDRN C[C@]1(F)CCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000294879567 394295324 /nfs/dbraw/zinc/29/53/24/394295324.db2.gz FVIXXAXJJLTPBS-ZDUSSCGKSA-N 0 0 278.287 2.800 20 5 CFBDRN O=C(/C=C/C1CCCC1)NCc1ccccc1[N+](=O)[O-] ZINC000493631102 394295462 /nfs/dbraw/zinc/29/54/62/394295462.db2.gz TXWGYWHAFKYQCG-MDZDMXLPSA-N 0 0 274.320 2.957 20 5 CFBDRN O=C(N[C@H]1C=CCCC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000154656399 394298726 /nfs/dbraw/zinc/29/87/26/394298726.db2.gz FYYWGRADQNHFGT-NSHDSACASA-N 0 0 297.314 2.982 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)CCCCO)n1 ZINC000450645201 394307760 /nfs/dbraw/zinc/30/77/60/394307760.db2.gz FITUJJMADXOCLM-JTQLQIEISA-N 0 0 267.329 2.570 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CC1(F)F ZINC000450664395 394313661 /nfs/dbraw/zinc/31/36/61/394313661.db2.gz CZUOBZZMCYZLFB-QMMMGPOBSA-N 0 0 290.653 2.520 20 5 CFBDRN CCOC(=O)c1cc(N[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000450665885 394313688 /nfs/dbraw/zinc/31/36/88/394313688.db2.gz FSPUPINHHBCMJJ-SECBINFHSA-N 0 0 278.308 2.982 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000450666070 394313760 /nfs/dbraw/zinc/31/37/60/394313760.db2.gz XCAJOEGIJOPHJM-GHMZBOCLSA-N 0 0 291.351 2.932 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000450664627 394313796 /nfs/dbraw/zinc/31/37/96/394313796.db2.gz UWJCOELWNZBBIC-GHMZBOCLSA-N 0 0 266.345 2.875 20 5 CFBDRN CC(=O)CCCCCOc1cccnc1[N+](=O)[O-] ZINC000450679193 394316027 /nfs/dbraw/zinc/31/60/27/394316027.db2.gz VTEHYUNVAYBHNI-UHFFFAOYSA-N 0 0 252.270 2.518 20 5 CFBDRN Cc1ccoc1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000493897344 394335354 /nfs/dbraw/zinc/33/53/54/394335354.db2.gz NMYKEUICSINQMT-UHFFFAOYSA-N 0 0 275.264 2.818 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000155531141 394317900 /nfs/dbraw/zinc/31/79/00/394317900.db2.gz SZMVNUCBZLZGOY-ZWNOBZJWSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1C[C@@H](C)n2ccnc21 ZINC000450825920 394340623 /nfs/dbraw/zinc/34/06/23/394340623.db2.gz FXXFPZSNBSFYGV-KOLCDFICSA-N 0 0 273.296 2.613 20 5 CFBDRN COC1CCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000494159131 394343395 /nfs/dbraw/zinc/34/33/95/394343395.db2.gz BKAJJCNWRFRNIU-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1N)c1ccc(F)cc1 ZINC000157293073 394343450 /nfs/dbraw/zinc/34/34/50/394343450.db2.gz RLCXWWNYHKLLSX-UHFFFAOYSA-N 0 0 289.266 2.593 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2N)[C@@H]1C ZINC000157277664 394343468 /nfs/dbraw/zinc/34/34/68/394343468.db2.gz UKAQOKCLGNXZRF-CKYFFXLPSA-N 0 0 291.351 2.732 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)CSC1CCC1 ZINC000450993258 394344494 /nfs/dbraw/zinc/34/44/94/394344494.db2.gz UHODESNYCVIWDL-UHFFFAOYSA-N 0 0 296.348 2.828 20 5 CFBDRN CN(C(=O)Cc1ccsc1)c1cccc([N+](=O)[O-])c1 ZINC000158282444 394356791 /nfs/dbraw/zinc/35/67/91/394356791.db2.gz HHEJJLKCHBJSSP-UHFFFAOYSA-N 0 0 276.317 2.862 20 5 CFBDRN Cc1c(CNC(=O)C[C@@H]2CC[C@H]3C[C@H]32)cccc1[N+](=O)[O-] ZINC000451133784 394362060 /nfs/dbraw/zinc/36/20/60/394362060.db2.gz OAGSKOGCJSDALP-SGMGOOAPSA-N 0 0 288.347 2.956 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CC[C@H](F)C1 ZINC000451185326 394365821 /nfs/dbraw/zinc/36/58/21/394365821.db2.gz LKIUOTODOBLBFM-LBPRGKRZSA-N 0 0 282.315 2.926 20 5 CFBDRN COc1cccnc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000453382705 394393335 /nfs/dbraw/zinc/39/33/35/394393335.db2.gz ZMQIJSBDZXXAKJ-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000172638374 394373848 /nfs/dbraw/zinc/37/38/48/394373848.db2.gz ZYFBPPQKUIESDO-WDEREUQCSA-N 0 0 262.309 2.855 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1C[C@H]2CC[C@@H]1C2 ZINC000172932589 394374653 /nfs/dbraw/zinc/37/46/53/394374653.db2.gz GBWNLWZQDHLKST-MJBXVCDLSA-N 0 0 288.347 2.690 20 5 CFBDRN C[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000174807280 394379934 /nfs/dbraw/zinc/37/99/34/394379934.db2.gz CAFQVPIEXAJZCI-AOOOYVTPSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1cccc(C(=O)N2CCSC(C)(C)C2)c1[N+](=O)[O-] ZINC000176457818 394381341 /nfs/dbraw/zinc/38/13/41/394381341.db2.gz RQXWOOXGPPFVDT-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@@H]1CCN(c2nc(N3CC[C@H](C)C3)sc2[N+](=O)[O-])C1 ZINC000301267271 413075458 /nfs/dbraw/zinc/07/54/58/413075458.db2.gz FUHHGPCVXWSBFX-ZJUUUORDSA-N 0 0 296.396 2.744 20 5 CFBDRN CC(=O)CCCc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000530359412 394508231 /nfs/dbraw/zinc/50/82/31/394508231.db2.gz HDFQXWDERNSWBM-UHFFFAOYSA-N 0 0 289.291 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1N1CCC[C@H](O)C1 ZINC000343075674 296498821 /nfs/dbraw/zinc/49/88/21/296498821.db2.gz AXDLKPLOWHVQPO-QMMMGPOBSA-N 0 0 291.134 2.863 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@@H]2CCCC[C@H]2O)c1 ZINC000537570197 394585773 /nfs/dbraw/zinc/58/57/73/394585773.db2.gz VXUCGHRPQDOOSK-SWLSCSKDSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@H]1C[C@H](C)N1c1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000530484761 394521385 /nfs/dbraw/zinc/52/13/85/394521385.db2.gz WRMGZTDCSKDQSL-BQBZGAKWSA-N 0 0 275.230 2.996 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1ncccc1F ZINC000530533830 394526110 /nfs/dbraw/zinc/52/61/10/394526110.db2.gz XJXBXRLLXSJZEB-UHFFFAOYSA-N 0 0 298.277 2.711 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCc2nnsc2Cl)c1 ZINC000530539655 394526165 /nfs/dbraw/zinc/52/61/65/394526165.db2.gz YPDHAKGYAJXVCA-UHFFFAOYSA-N 0 0 288.691 2.851 20 5 CFBDRN CCc1cc(CNc2nc3ccccc3cc2[N+](=O)[O-])on1 ZINC000530542920 394527118 /nfs/dbraw/zinc/52/71/18/394527118.db2.gz AWVRDDIFSVNLPJ-UHFFFAOYSA-N 0 0 298.302 2.727 20 5 CFBDRN Cc1cnc(N[C@H]2CN(C)Cc3ccccc32)c([N+](=O)[O-])c1 ZINC000536021577 394551804 /nfs/dbraw/zinc/55/18/04/394551804.db2.gz CQSWEPUKUBITEE-AWEZNQCLSA-N 0 0 298.346 2.897 20 5 CFBDRN C[C@H](C(=O)N1C2CCC1CC2)c1ccc([N+](=O)[O-])cc1F ZINC000543444091 394730526 /nfs/dbraw/zinc/73/05/26/394730526.db2.gz RKQQLVZZQHMHEL-WHXUTIOJSA-N 0 0 292.310 2.991 20 5 CFBDRN Cc1nn(C[C@@H]2CCCCO2)c2ccc([N+](=O)[O-])cc12 ZINC000543718049 394739748 /nfs/dbraw/zinc/73/97/48/394739748.db2.gz XHRXIKPWVXXUSR-LBPRGKRZSA-N 0 0 275.308 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H]2CCO[C@H]2C)n1 ZINC000544733647 394769054 /nfs/dbraw/zinc/76/90/54/394769054.db2.gz CPIAVGKXIIGIHW-CABZTGNLSA-N 0 0 289.291 2.846 20 5 CFBDRN CC(C)c1[nH]nc(-c2nc([C@H]3C[C@H]3C)no2)c1[N+](=O)[O-] ZINC000545058633 394777564 /nfs/dbraw/zinc/77/75/64/394777564.db2.gz NRSZRFJCKCZWPK-RQJHMYQMSA-N 0 0 277.284 2.615 20 5 CFBDRN C[C@H](c1noc(-c2cc([N+](=O)[O-])cn2C)n1)c1ccncc1 ZINC000545102075 394778957 /nfs/dbraw/zinc/77/89/57/394778957.db2.gz WUNHPNYGTJORTM-VIFPVBQESA-N 0 0 299.290 2.530 20 5 CFBDRN C[C@H]1c2c(F)cccc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000546620206 394833425 /nfs/dbraw/zinc/83/34/25/394833425.db2.gz SXYBYBHRTHIYAA-VIFPVBQESA-N 0 0 288.282 2.648 20 5 CFBDRN C[C@H](c1noc(-c2ccc([N+](=O)[O-])cn2)n1)C1CC1 ZINC000547214924 394855655 /nfs/dbraw/zinc/85/56/55/394855655.db2.gz FCJXDXWMLVUTPJ-ZETCQYMHSA-N 0 0 260.253 2.553 20 5 CFBDRN Cc1c(NC(=O)[C@@H](C)N2[C@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000547769250 394888484 /nfs/dbraw/zinc/88/84/84/394888484.db2.gz VVBGKWGEBAOZSI-JFGNBEQYSA-N 0 0 291.351 2.713 20 5 CFBDRN CC1(C)CC(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000548057553 394907145 /nfs/dbraw/zinc/90/71/45/394907145.db2.gz GJOMJYSSMZTTRU-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN CCN(C(=O)c1c[nH]nc1[N+](=O)[O-])c1cc(C)cc(C)c1 ZINC000548083286 394908794 /nfs/dbraw/zinc/90/87/94/394908794.db2.gz AHFQGZDNIJTQOU-UHFFFAOYSA-N 0 0 288.307 2.601 20 5 CFBDRN CC(C)SCC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000548093999 394908829 /nfs/dbraw/zinc/90/88/29/394908829.db2.gz KAHMEOAQJDOFGG-UHFFFAOYSA-N 0 0 272.301 2.814 20 5 CFBDRN CC(C)[C@H]1CC[C@@H](C)C[C@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548412649 394928278 /nfs/dbraw/zinc/92/82/78/394928278.db2.gz XRPBAOXNBPPJKU-CKYFFXLPSA-N 0 0 294.355 2.509 20 5 CFBDRN CNc1ccc(C(=O)N2CCCC3(CC3)C2)cc1[N+](=O)[O-] ZINC000548439877 394931246 /nfs/dbraw/zinc/93/12/46/394931246.db2.gz FLOZVHFAGHWULS-UHFFFAOYSA-N 0 0 289.335 2.653 20 5 CFBDRN CCCCc1ccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])cc1 ZINC000548735947 394949668 /nfs/dbraw/zinc/94/96/68/394949668.db2.gz ICQFJUUJIROABK-UHFFFAOYSA-N 0 0 288.307 2.913 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000556136306 394991273 /nfs/dbraw/zinc/99/12/73/394991273.db2.gz KNDRNCCJVDXEQC-LLVKDONJSA-N 0 0 291.351 2.577 20 5 CFBDRN O=[N+]([O-])c1c(NCCOCC2CCC2)nc2sccn21 ZINC000555238898 394968982 /nfs/dbraw/zinc/96/89/82/394968982.db2.gz CLUZEPHEPICDCO-UHFFFAOYSA-N 0 0 296.352 2.533 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000555309718 394971178 /nfs/dbraw/zinc/97/11/78/394971178.db2.gz HVVJGGJMPADVTA-MNOVXSKESA-N 0 0 264.325 2.955 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@H](C)OC(C)(C)C1 ZINC000558311738 395057479 /nfs/dbraw/zinc/05/74/79/395057479.db2.gz VSLPWAPCZDYXIT-JTQLQIEISA-N 0 0 280.324 2.607 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000558704419 395073103 /nfs/dbraw/zinc/07/31/03/395073103.db2.gz NTOSITATXVTBNI-UHFFFAOYSA-N 0 0 280.202 2.506 20 5 CFBDRN CC[C@H](NC(=O)c1c[nH]nc1[N+](=O)[O-])c1ccc(C)cc1 ZINC000558736346 395074894 /nfs/dbraw/zinc/07/48/94/395074894.db2.gz LRUOFQOPBVFGSR-LBPRGKRZSA-N 0 0 288.307 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCc2n[nH]cc2C1 ZINC000286198944 296504835 /nfs/dbraw/zinc/50/48/35/296504835.db2.gz RKBYEHVSHHADLL-UHFFFAOYSA-N 0 0 278.699 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(O)CCCC[C@H]3C2)cc1 ZINC000227373007 296507229 /nfs/dbraw/zinc/50/72/29/296507229.db2.gz VMRRBYKGLRCDNF-WFASDCNBSA-N 0 0 276.336 2.726 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]23CCOC3)c2ccncc21 ZINC000420640388 296507279 /nfs/dbraw/zinc/50/72/79/296507279.db2.gz MNAVMFQEDJZGJJ-OAHLLOKOSA-N 0 0 285.303 2.512 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359098220 285633808 /nfs/dbraw/zinc/63/38/08/285633808.db2.gz NGJBWTLGOJEHTI-NWDGAFQWSA-N 0 0 279.340 2.976 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CC4(C3)CCCC4)c21 ZINC000408362663 296512976 /nfs/dbraw/zinc/51/29/76/296512976.db2.gz QCXHBPYOHXYEIB-UHFFFAOYSA-N 0 0 284.319 2.918 20 5 CFBDRN Cc1ccc(C(=O)N2CCCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000005547396 292051491 /nfs/dbraw/zinc/05/14/91/292051491.db2.gz RACNQNOCDQHQSM-NSHDSACASA-N 0 0 262.309 2.918 20 5 CFBDRN CC1(C)CN(c2ncc(Br)cc2[N+](=O)[O-])C1 ZINC000309890356 413081200 /nfs/dbraw/zinc/08/12/00/413081200.db2.gz DICXPTZDZLRYLL-UHFFFAOYSA-N 0 0 286.129 2.599 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccoc1 ZINC000355924427 155132989 /nfs/dbraw/zinc/13/29/89/155132989.db2.gz MUTHJGNUAVZQKC-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC[C@@H]2c2ccccc2)s1 ZINC000375592237 296520131 /nfs/dbraw/zinc/52/01/31/296520131.db2.gz REVWYQWQDWMVEX-LLVKDONJSA-N 0 0 291.332 2.629 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(Cl)o2)s1 ZINC000310020605 413083586 /nfs/dbraw/zinc/08/35/86/413083586.db2.gz NCQGAGFJHATGJK-UHFFFAOYSA-N 0 0 259.674 2.910 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@@H](C)OC(C)(C)C2)cc1[N+](=O)[O-] ZINC000068537562 292066328 /nfs/dbraw/zinc/06/63/28/292066328.db2.gz YZRKHPZYDHAJHE-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000335168962 292068794 /nfs/dbraw/zinc/06/87/94/292068794.db2.gz KQHPTSAMOPIKCF-KOLCDFICSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000178161728 296538835 /nfs/dbraw/zinc/53/88/35/296538835.db2.gz OPBCZMVLDJKWOY-BDAKNGLRSA-N 0 0 266.272 2.604 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H]1[C@H]1CCCO1 ZINC000286617190 304807758 /nfs/dbraw/zinc/80/77/58/304807758.db2.gz YQLRZQXMIVSUOZ-UONOGXRCSA-N 0 0 262.309 2.743 20 5 CFBDRN CO[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CC[C@H]1C ZINC000276043636 285777315 /nfs/dbraw/zinc/77/73/15/285777315.db2.gz PTKNLFBWYIYXFS-LDYMZIIASA-N 0 0 285.731 2.504 20 5 CFBDRN COc1c(C(=O)Nc2scnc2C)cccc1[N+](=O)[O-] ZINC000355984945 155136470 /nfs/dbraw/zinc/13/64/70/155136470.db2.gz QEZZLBCQFAOFMM-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000177558902 397609217 /nfs/dbraw/zinc/60/92/17/397609217.db2.gz SLEZDGCACOFMLE-ZIAGYGMSSA-N 0 0 288.347 2.992 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(c3ccccn3)CC2)s1 ZINC000192528332 397611664 /nfs/dbraw/zinc/61/16/64/397611664.db2.gz IJKLHDVZMBQAHU-UHFFFAOYSA-N 0 0 276.321 2.590 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000227033881 397623807 /nfs/dbraw/zinc/62/38/07/397623807.db2.gz YWLDAYWVISTDHR-RDDDGLTNSA-N 0 0 268.700 2.777 20 5 CFBDRN CCOC(=O)[C@H](C)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000190813211 285908060 /nfs/dbraw/zinc/90/80/60/285908060.db2.gz QYWMZKPAGCZSPF-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2scnc2C)c1 ZINC000355983871 155136834 /nfs/dbraw/zinc/13/68/34/155136834.db2.gz PAVMNTQJIBZSEK-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(-n2ccnc2)cc1C ZINC000356042143 155146223 /nfs/dbraw/zinc/14/62/23/155146223.db2.gz LHYXDXYOPQODHD-UHFFFAOYSA-N 0 0 297.318 2.642 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000266019235 286032491 /nfs/dbraw/zinc/03/24/91/286032491.db2.gz AEETXAMRRNLUSI-ONGXEEELSA-N 0 0 298.364 2.652 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000356246124 155196439 /nfs/dbraw/zinc/19/64/39/155196439.db2.gz NFFKMAXNHDAFOG-YPMHNXCESA-N 0 0 276.336 2.642 20 5 CFBDRN CO[C@H]1CCCC[C@H]1Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359098218 286038455 /nfs/dbraw/zinc/03/84/55/286038455.db2.gz NGJBWTLGOJEHTI-NEPJUHHUSA-N 0 0 279.340 2.976 20 5 CFBDRN CO[C@H]1CCN(c2nc(C)ccc2[N+](=O)[O-])CC1(C)C ZINC000295808617 286063955 /nfs/dbraw/zinc/06/39/55/286063955.db2.gz TZCLNCDMCTVAHN-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN CO[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H]1C ZINC000450773550 286062943 /nfs/dbraw/zinc/06/29/43/286062943.db2.gz MXGJPHPFAXESRV-ZANVPECISA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@H]1CCN(c2ccccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281607790 286063259 /nfs/dbraw/zinc/06/32/59/286063259.db2.gz IVPMDXDNDCVIQX-QWRGUYRKSA-N 0 0 250.298 2.599 20 5 CFBDRN CO[C@H]1CN(c2cc(C)c([N+](=O)[O-])cc2F)CC[C@H]1C ZINC000360055577 286074613 /nfs/dbraw/zinc/07/46/13/286074613.db2.gz VWLGGZVLBLLQDJ-OTYXRUKQSA-N 0 0 282.315 2.904 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2nccc(C)c2[N+](=O)[O-])C1(C)C ZINC000227822943 286101398 /nfs/dbraw/zinc/10/13/98/286101398.db2.gz UEVNKFXGXATSNK-ZJUUUORDSA-N 0 0 265.313 2.524 20 5 CFBDRN CCOC[C@@H]1CCCCN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000413418657 286148027 /nfs/dbraw/zinc/14/80/27/286148027.db2.gz FSXBKMQMMPPDMG-LBPRGKRZSA-N 0 0 296.371 2.515 20 5 CFBDRN CCC[C@@H](CNc1ncc(C)cc1[N+](=O)[O-])OC ZINC000356419332 155258830 /nfs/dbraw/zinc/25/88/30/155258830.db2.gz SDKVGMFWCKINJY-JTQLQIEISA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H](C)CCN2C(=O)[C@H]2C[C@H]2[N+](=O)[O-])o1 ZINC000363571823 292229672 /nfs/dbraw/zinc/22/96/72/292229672.db2.gz VFQFKHQVLBVPKY-MGAJPHDKSA-N 0 0 292.335 2.553 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])nn2C)cc1Cl ZINC000356496542 155283978 /nfs/dbraw/zinc/28/39/78/155283978.db2.gz JQPDFNYFJIPCGM-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)C2CCC2)c1 ZINC000356546813 155300262 /nfs/dbraw/zinc/30/02/62/155300262.db2.gz LPESFWLFJDHRSH-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N(C)C1CCC1 ZINC000122991248 286249611 /nfs/dbraw/zinc/24/96/11/286249611.db2.gz NECXHCFNAAZMQJ-UHFFFAOYSA-N 0 0 279.296 2.620 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1ccccc1F ZINC000356566103 155303803 /nfs/dbraw/zinc/30/38/03/155303803.db2.gz UVUJFVLORBRJLE-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN CCN(Cc1c([N+](=O)[O-])ncn1C)c1ccc(F)c(F)c1 ZINC000356748337 155350687 /nfs/dbraw/zinc/35/06/87/155350687.db2.gz TZEOEXAIQFVVBJ-UHFFFAOYSA-N 0 0 296.277 2.633 20 5 CFBDRN CCC1(CC)[C@H](Nc2c([N+](=O)[O-])ncn2C)[C@H](C)[C@@H]1OC ZINC000356796731 155371603 /nfs/dbraw/zinc/37/16/03/155371603.db2.gz RWYRSILMRIFBRD-AXFHLTTASA-N 0 0 296.371 2.580 20 5 CFBDRN C[C@@H]1C[C@H](CNc2cccc([N+](=O)[O-])c2)CCO1 ZINC000356799403 155373494 /nfs/dbraw/zinc/37/34/94/155373494.db2.gz SLTYVAZYZAEVFG-GHMZBOCLSA-N 0 0 250.298 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CC[C@H](C)O1 ZINC000154020733 292284070 /nfs/dbraw/zinc/28/40/70/292284070.db2.gz AKBLXJREHFPAKU-CABZTGNLSA-N 0 0 278.308 2.718 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]2C[C@@H](C)O)c1 ZINC000269891080 292286532 /nfs/dbraw/zinc/28/65/32/292286532.db2.gz QZNZFMHQBOIEFH-NEPJUHHUSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3ccccc3C2)n1 ZINC000178146552 292289237 /nfs/dbraw/zinc/28/92/37/292289237.db2.gz RHLMCQQBZXYLSG-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@H]3OCC[C@@H]32)n1 ZINC000178265429 292290006 /nfs/dbraw/zinc/29/00/06/292290006.db2.gz OXPRCALEAHKZQB-NTZNESFSSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2COCc3ccccc32)n1 ZINC000418986474 292290036 /nfs/dbraw/zinc/29/00/36/292290036.db2.gz GSDVOIPSXPSIGJ-CYBMUJFWSA-N 0 0 285.303 2.982 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](C(C)(C)C)[C@H](O)C2)n1 ZINC000273325371 292288108 /nfs/dbraw/zinc/28/81/08/292288108.db2.gz QEQXQSLLBOPVCB-DGCLKSJQSA-N 0 0 293.367 2.532 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CCC2CC2)c1 ZINC000338683908 286381805 /nfs/dbraw/zinc/38/18/05/286381805.db2.gz ZPBRBRXOVHVWKI-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1S[C@H]1CCOC1 ZINC000343237951 154151902 /nfs/dbraw/zinc/15/19/02/154151902.db2.gz TUTZYFJRRXNWLG-VIFPVBQESA-N 0 0 297.332 2.571 20 5 CFBDRN Cc1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000343323488 154174660 /nfs/dbraw/zinc/17/46/60/154174660.db2.gz TZWKTALXRFSFOF-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1nnc(CSCCOc2cccc([N+](=O)[O-])c2)o1 ZINC000345535572 154198475 /nfs/dbraw/zinc/19/84/75/154198475.db2.gz DFCDISALTFZORN-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN C[C@H]1COCC[C@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000345571366 154208695 /nfs/dbraw/zinc/20/86/95/154208695.db2.gz OSSUEIKZCJHDNW-JOYOIKCWSA-N 0 0 289.291 2.785 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000345597517 154215351 /nfs/dbraw/zinc/21/53/51/154215351.db2.gz ZNXKSVTZZRWXNK-UWVGGRQHSA-N 0 0 298.339 2.937 20 5 CFBDRN CC[C@@H](C)Cc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000345664516 154234586 /nfs/dbraw/zinc/23/45/86/154234586.db2.gz MOIIXMAOVJGCIP-MRVPVSSYSA-N 0 0 276.296 2.816 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC2CCC(CO)CC2)c1 ZINC000345691433 154241306 /nfs/dbraw/zinc/24/13/06/154241306.db2.gz LHKDQYIWQGGEDZ-UHFFFAOYSA-N 0 0 264.325 2.866 20 5 CFBDRN CO[C@@H](c1nc(-c2cccc([N+](=O)[O-])c2)no1)C(C)C ZINC000345702803 154244158 /nfs/dbraw/zinc/24/41/58/154244158.db2.gz YFYBSTGUUHKEHT-LLVKDONJSA-N 0 0 277.280 2.988 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(Cc3ccccc3)no2)c1 ZINC000345714154 154248645 /nfs/dbraw/zinc/24/86/45/154248645.db2.gz VBIFEUKQAHVTJL-UHFFFAOYSA-N 0 0 270.248 2.564 20 5 CFBDRN O=C(NCCC1(F)CCC1)c1csc([N+](=O)[O-])c1 ZINC000345748018 154257133 /nfs/dbraw/zinc/25/71/33/154257133.db2.gz MHHKTRWXRZKLLZ-UHFFFAOYSA-N 0 0 272.301 2.668 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000345801436 154270089 /nfs/dbraw/zinc/27/00/89/154270089.db2.gz LOWHVIBKUCNJNA-MNOVXSKESA-N 0 0 276.336 2.992 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])on1 ZINC000345828688 154276823 /nfs/dbraw/zinc/27/68/23/154276823.db2.gz UNTMKVMIBLPSEX-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC1(C)C ZINC000345830692 154278112 /nfs/dbraw/zinc/27/81/12/154278112.db2.gz DZFMPAJSOIMNJH-GFCCVEGCSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1C[C@@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000348036259 154293792 /nfs/dbraw/zinc/29/37/92/154293792.db2.gz JEQYUEDTLMXFEW-GUBZILKMSA-N 0 0 280.299 2.610 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@@H](F)C1 ZINC000348138243 154336328 /nfs/dbraw/zinc/33/63/28/154336328.db2.gz HKROQFLPUIHHDP-BDAKNGLRSA-N 0 0 297.286 2.616 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)c1cccnc1 ZINC000348140622 154338053 /nfs/dbraw/zinc/33/80/53/154338053.db2.gz BEODSKXAGTXICX-SECBINFHSA-N 0 0 289.266 2.871 20 5 CFBDRN COc1ccccc1CSCCn1cc([N+](=O)[O-])cn1 ZINC000348160767 154345000 /nfs/dbraw/zinc/34/50/00/154345000.db2.gz TYQSXVDZYPHVRN-UHFFFAOYSA-N 0 0 293.348 2.733 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)c2cncs2)cc1[N+](=O)[O-] ZINC000348239844 154376354 /nfs/dbraw/zinc/37/63/54/154376354.db2.gz ABNDFOOKSUBKLT-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1cncs1 ZINC000348240854 154376384 /nfs/dbraw/zinc/37/63/84/154376384.db2.gz BXLOPHDPXXSCKM-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN COc1cc(COc2cccnc2OC)ccc1[N+](=O)[O-] ZINC000352213218 154503409 /nfs/dbraw/zinc/50/34/09/154503409.db2.gz FXNXEQIEPQGVSA-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN Cc1ccc2c(c1)CCN(c1ncccc1[N+](=O)[O-])C2 ZINC000302739866 292310787 /nfs/dbraw/zinc/31/07/87/292310787.db2.gz YNGJWYHJUGCTGW-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CCO[C@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000302495514 286448483 /nfs/dbraw/zinc/44/84/83/286448483.db2.gz MBPRGKLXZCCZLK-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN COc1cccc(N2CCS[C@H](C)CC2)c1[N+](=O)[O-] ZINC000276848672 286457577 /nfs/dbraw/zinc/45/75/77/286457577.db2.gz DJAHWTVDERIJLT-SNVBAGLBSA-N 0 0 282.365 2.935 20 5 CFBDRN C[C@H](CCNC(=O)c1cc([N+](=O)[O-])n[nH]1)CC(C)(C)C ZINC000355018844 154888488 /nfs/dbraw/zinc/88/84/88/154888488.db2.gz YWWLFIQYJLTKHD-SECBINFHSA-N 0 0 282.344 2.510 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000355410555 155003302 /nfs/dbraw/zinc/00/33/02/155003302.db2.gz GTVRMMCEKQPDFV-YGRLFVJLSA-N 0 0 282.340 2.812 20 5 CFBDRN C/C=C/C=C\c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355440164 155007802 /nfs/dbraw/zinc/00/78/02/155007802.db2.gz LQWREWDAWQWXCT-IAROGAJJSA-N 0 0 272.264 2.816 20 5 CFBDRN Nc1ccc(-c2noc(/C=C/c3ccco3)n2)cc1[N+](=O)[O-] ZINC000355424188 155008298 /nfs/dbraw/zinc/00/82/98/155008298.db2.gz FRYHWZDXKXLVCN-GQCTYLIASA-N 0 0 298.258 2.990 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(-c2cccnc2)n1 ZINC000355690961 155063749 /nfs/dbraw/zinc/06/37/49/155063749.db2.gz RCJXRZNDWWSLAW-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN COc1cc(CCNc2ccc([N+](=O)[O-])nc2)ccc1C ZINC000355755225 155076134 /nfs/dbraw/zinc/07/61/34/155076134.db2.gz NYZJFCMZIHVGIF-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CCc1nn(C)c(NC[C@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000355780738 155085406 /nfs/dbraw/zinc/08/54/06/155085406.db2.gz IFFXYQDSLUTIML-LURJTMIESA-N 0 0 280.250 2.501 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)C(F)(F)F)n1 ZINC000355786122 155087062 /nfs/dbraw/zinc/08/70/62/155087062.db2.gz SKFTUDNUDJYAHC-ZCFIWIBFSA-N 0 0 263.219 2.909 20 5 CFBDRN CCN(Cc1c([N+](=O)[O-])ncn1C)Cc1ccccc1C ZINC000355803807 155093107 /nfs/dbraw/zinc/09/31/07/155093107.db2.gz SRZAPXVWFSRVOY-UHFFFAOYSA-N 0 0 288.351 2.659 20 5 CFBDRN Cc1c(-c2nc(Cc3ccncc3)no2)cccc1[N+](=O)[O-] ZINC000355815328 155094897 /nfs/dbraw/zinc/09/48/97/155094897.db2.gz WLUOALPIXGBWIS-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])nc1)C1CCCCC1 ZINC000357753282 155524169 /nfs/dbraw/zinc/52/41/69/155524169.db2.gz LPQIWNGDOPZJBM-CQSZACIVSA-N 0 0 279.340 2.733 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])cn2)CCCCC1 ZINC000357787004 155536194 /nfs/dbraw/zinc/53/61/94/155536194.db2.gz UQKFGTGSUJFZGH-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1ccccc1OC(F)F ZINC000357858158 155558892 /nfs/dbraw/zinc/55/88/92/155558892.db2.gz XOJJARWLEFUNDX-UHFFFAOYSA-N 0 0 285.206 2.722 20 5 CFBDRN Cc1noc(C)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358401186 155752260 /nfs/dbraw/zinc/75/22/60/155752260.db2.gz XGILERXHFLDFDY-UHFFFAOYSA-N 0 0 293.254 2.520 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC[C@](C)(O)C(F)(F)F ZINC000358646056 155831759 /nfs/dbraw/zinc/83/17/59/155831759.db2.gz FDDDYDMWRGUKBV-NSHDSACASA-N 0 0 293.241 2.904 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1ncc(Cl)s1 ZINC000358849420 155897440 /nfs/dbraw/zinc/89/74/40/155897440.db2.gz CLCAFUXSAPIQTD-UHFFFAOYSA-N 0 0 271.685 2.679 20 5 CFBDRN C[C@@H](CNC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000358948926 155925633 /nfs/dbraw/zinc/92/56/33/155925633.db2.gz JRXGCCNVYDISOT-ZETCQYMHSA-N 0 0 276.214 2.523 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)c2cnn(C)c2)n1 ZINC000359089738 155982634 /nfs/dbraw/zinc/98/26/34/155982634.db2.gz DIBPKSQBAFKQPE-SNVBAGLBSA-N 0 0 275.312 2.513 20 5 CFBDRN CC(C)=CCNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000360153007 156035260 /nfs/dbraw/zinc/03/52/60/156035260.db2.gz ARCCPXSHNCFONW-UHFFFAOYSA-N 0 0 273.292 2.772 20 5 CFBDRN O=C(Nc1cccc2c1OCO2)c1ccccc1[N+](=O)[O-] ZINC000360194309 156047044 /nfs/dbraw/zinc/04/70/44/156047044.db2.gz LNXDMGSYBARYRP-UHFFFAOYSA-N 0 0 286.243 2.576 20 5 CFBDRN CC(C)=CCCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000360228490 156060562 /nfs/dbraw/zinc/06/05/62/156060562.db2.gz SWWROUFDDWTEAY-UHFFFAOYSA-N 0 0 262.309 2.610 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Nc1ccc2n[nH]cc2c1 ZINC000360879365 156062992 /nfs/dbraw/zinc/06/29/92/156062992.db2.gz FPSKGEMHEVPMNF-UHFFFAOYSA-N 0 0 286.295 2.740 20 5 CFBDRN CCC[C@@H]1C[C@@H]1c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000361254406 156071193 /nfs/dbraw/zinc/07/11/93/156071193.db2.gz YBYSPHKPWGKIJT-BDAKNGLRSA-N 0 0 291.311 2.590 20 5 CFBDRN CCC[C@H]1C[C@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000361259045 156072527 /nfs/dbraw/zinc/07/25/27/156072527.db2.gz AIFADRXKLBBTHB-JOYOIKCWSA-N 0 0 288.307 2.867 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCC(C)(C)O2)cccc1[N+](=O)[O-] ZINC000362803558 156089450 /nfs/dbraw/zinc/08/94/50/156089450.db2.gz ZQMSXZXTASSBPR-GFCCVEGCSA-N 0 0 278.308 2.799 20 5 CFBDRN Cc1cscc1CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000362931454 156118228 /nfs/dbraw/zinc/11/82/28/156118228.db2.gz CCMALEFMAAOCIZ-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CC1(C)C ZINC000362942855 156121529 /nfs/dbraw/zinc/12/15/29/156121529.db2.gz IBSPRSCIGIWVAM-LBPRGKRZSA-N 0 0 293.323 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](Oc3ccccc3)C2)nc1 ZINC000362948572 156123008 /nfs/dbraw/zinc/12/30/08/156123008.db2.gz TZBDQRUSPZHAOX-CQSZACIVSA-N 0 0 285.303 2.648 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1nc(C)ccc1[N+](=O)[O-])OC ZINC000362976988 156134385 /nfs/dbraw/zinc/13/43/85/156134385.db2.gz NPBBNUMXLUVNPD-SKDRFNHKSA-N 0 0 267.329 2.771 20 5 CFBDRN CCCCC[C@H]1CCCN1c1c([N+](=O)[O-])ncn1C ZINC000362998459 156139802 /nfs/dbraw/zinc/13/98/02/156139802.db2.gz XAAQYGZSTGYSPO-NSHDSACASA-N 0 0 266.345 2.877 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCC[C@H]1c1ccc(Cl)cc1 ZINC000363109526 156149231 /nfs/dbraw/zinc/14/92/31/156149231.db2.gz YECYTASDHZNNMN-FRRDWIJNSA-N 0 0 294.738 2.669 20 5 CFBDRN O=C([C@H]1C[C@@H]1c1ccco1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000363237068 156164740 /nfs/dbraw/zinc/16/47/40/156164740.db2.gz DKAQHQFGLWHRFA-RYUDHWBXSA-N 0 0 298.298 2.881 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1(C2CC2)CCOCC1 ZINC000363948509 156208039 /nfs/dbraw/zinc/20/80/39/156208039.db2.gz LEYVMIGNZCYHLV-UHFFFAOYSA-N 0 0 290.319 2.740 20 5 CFBDRN Cc1ncc(-c2ccccc2)cc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000366887749 156252088 /nfs/dbraw/zinc/25/20/88/156252088.db2.gz YNMJSZNPJKKXSM-UKRRQHHQSA-N 0 0 297.314 2.661 20 5 CFBDRN Cn1cc2c(n1)CCC[C@H]2Nc1cc(F)ccc1[N+](=O)[O-] ZINC000367169024 156291848 /nfs/dbraw/zinc/29/18/48/156291848.db2.gz ADDUIEZOCATILS-LLVKDONJSA-N 0 0 290.298 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](C[C@H]3CCOC3)C2)nc1 ZINC000367359426 156320456 /nfs/dbraw/zinc/32/04/56/156320456.db2.gz XKJSRNYIFNKRIB-QWHCGFSZSA-N 0 0 291.351 2.633 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC1 ZINC000367834388 156396916 /nfs/dbraw/zinc/39/69/16/156396916.db2.gz GBTZKYREJLCYLB-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1C[C@@]12CCOC2 ZINC000368106481 156436892 /nfs/dbraw/zinc/43/68/92/156436892.db2.gz GCLVMKRXAOLKQM-IAQYHMDHSA-N 0 0 290.319 2.577 20 5 CFBDRN CCOc1cccc(N2C[C@@H](C)O[C@H](CC)C2)c1[N+](=O)[O-] ZINC000302128399 286573844 /nfs/dbraw/zinc/57/38/44/286573844.db2.gz BGHUYGABXCTLLO-VXGBXAGGSA-N 0 0 294.351 2.997 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1cccnc1 ZINC000372559521 156903822 /nfs/dbraw/zinc/90/38/22/156903822.db2.gz BTFUOCLIHLANNW-KGLIPLIRSA-N 0 0 283.287 2.732 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CC[C@@H](C2CC2)C1 ZINC000374303661 157096181 /nfs/dbraw/zinc/09/61/81/157096181.db2.gz WPHJTTUHJLFXRF-BTKRWWFXSA-N 0 0 286.331 2.867 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CCCOCC1 ZINC000374448785 157115366 /nfs/dbraw/zinc/11/53/66/157115366.db2.gz JMXYJMFQLDGRDW-JTQLQIEISA-N 0 0 296.298 2.798 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)cc1[N+](=O)[O-] ZINC000374608109 157137503 /nfs/dbraw/zinc/13/75/03/157137503.db2.gz ZSGYAHHWOHAANY-KKFJDGPESA-N 0 0 294.282 2.548 20 5 CFBDRN COC[C@H]1CCCCN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000375139652 157200435 /nfs/dbraw/zinc/20/04/35/157200435.db2.gz GKFKNOXSJCKAGP-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1nc([C@H]2CCCN(c3ccccc3[N+](=O)[O-])C2)no1 ZINC000375753708 157278875 /nfs/dbraw/zinc/27/88/75/157278875.db2.gz AGINCRMSFCAZBU-NSHDSACASA-N 0 0 288.307 2.670 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2CCCOCC2)c([N+](=O)[O-])c1 ZINC000376120089 157324609 /nfs/dbraw/zinc/32/46/09/157324609.db2.gz XVODZIZCTCAATG-GFCCVEGCSA-N 0 0 293.319 2.934 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]2CCCC[C@H]12 ZINC000408172806 157383394 /nfs/dbraw/zinc/38/33/94/157383394.db2.gz ARCIXTFGTFKIOH-XWIASGKRSA-N 0 0 277.324 2.574 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000408345040 157393400 /nfs/dbraw/zinc/39/34/00/157393400.db2.gz DYAXXZDZQIKUIV-KOLCDFICSA-N 0 0 279.340 2.868 20 5 CFBDRN CC1(C)CCCCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000408416822 157397148 /nfs/dbraw/zinc/39/71/48/157397148.db2.gz ORCZKJLBALGLFP-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN CCc1nn(C)c(N2CCCCC(C)(C)C2)c1[N+](=O)[O-] ZINC000408442606 157399501 /nfs/dbraw/zinc/39/95/01/157399501.db2.gz JWIQMGFKQPYOGN-UHFFFAOYSA-N 0 0 280.372 2.907 20 5 CFBDRN CS[C@@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000153972532 286691213 /nfs/dbraw/zinc/69/12/13/286691213.db2.gz JWJPWLZEGZTUHP-CYBMUJFWSA-N 0 0 294.376 2.953 20 5 CFBDRN Cc1cccc(C(=O)N2CC(C)=C[C@H](C)C2)c1[N+](=O)[O-] ZINC000336550878 292413544 /nfs/dbraw/zinc/41/35/44/292413544.db2.gz PVEHDAXAFYNSKY-JTQLQIEISA-N 0 0 274.320 2.941 20 5 CFBDRN CC[C@]1(CO)CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000286818449 288479627 /nfs/dbraw/zinc/47/96/27/288479627.db2.gz YAJFZSHBWXTJBM-AWEZNQCLSA-N 0 0 282.315 2.723 20 5 CFBDRN CCN(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000064907848 161504625 /nfs/dbraw/zinc/50/46/25/161504625.db2.gz RNFZYKQUJBGCCW-UHFFFAOYSA-N 0 0 291.332 2.810 20 5 CFBDRN C[C@H]1CC(CCn2cnc([N+](=O)[O-])n2)C[C@H](C)C1 ZINC000121739057 161514732 /nfs/dbraw/zinc/51/47/32/161514732.db2.gz YGBZZKVABZPPEI-NXEZZACHSA-N 0 0 252.318 2.649 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCCCS2)ccc1[N+](=O)[O-] ZINC000342636675 161674944 /nfs/dbraw/zinc/67/49/44/161674944.db2.gz KYEVORJLZARYHI-LBPRGKRZSA-N 0 0 294.376 2.919 20 5 CFBDRN O=C(Nc1scnc1C1CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000342993902 161748209 /nfs/dbraw/zinc/74/82/09/161748209.db2.gz UHWXUOUCQBIPES-UHFFFAOYSA-N 0 0 278.293 2.509 20 5 CFBDRN CS[C@H](CNC(=O)c1ccc([N+](=O)[O-])o1)C(C)(C)C ZINC000343459983 161774273 /nfs/dbraw/zinc/77/42/73/161774273.db2.gz XEFADCKDONFQII-SECBINFHSA-N 0 0 286.353 2.695 20 5 CFBDRN C[C@](CO)(CNc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000343801997 161865809 /nfs/dbraw/zinc/86/58/09/161865809.db2.gz HBHGZKZVJCPPPG-MRXNPFEDSA-N 0 0 286.331 2.957 20 5 CFBDRN COCCN(CC(C)C)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000127835553 292461216 /nfs/dbraw/zinc/46/12/16/292461216.db2.gz SAEGEVXKOOLJTH-UHFFFAOYSA-N 0 0 294.351 2.648 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000344348884 162018144 /nfs/dbraw/zinc/01/81/44/162018144.db2.gz IFPCSJJZBQVPPA-ZMLRMANQSA-N 0 0 295.314 2.846 20 5 CFBDRN Cn1c(C(=O)N(Cc2ccccc2)C2CC2)ccc1[N+](=O)[O-] ZINC000344416026 162040918 /nfs/dbraw/zinc/04/09/18/162040918.db2.gz GKEXPNGIRYFBEM-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000344443209 162044214 /nfs/dbraw/zinc/04/42/14/162044214.db2.gz SNAXLTWPFSMDMW-ZDUSSCGKSA-N 0 0 273.292 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2ncn(-c3ccccc3)n2)cn1 ZINC000344437901 162044382 /nfs/dbraw/zinc/04/43/82/162044382.db2.gz REZIPQJGZQAARR-UHFFFAOYSA-N 0 0 299.315 2.722 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2ccc(F)cn2)n1 ZINC000344472246 162052016 /nfs/dbraw/zinc/05/20/16/162052016.db2.gz ZFXBQMBZBAXMSC-UHFFFAOYSA-N 0 0 277.255 2.720 20 5 CFBDRN Cc1ccc(NC(=O)c2nn(C)cc2[N+](=O)[O-])cc1Cl ZINC000344608766 162077512 /nfs/dbraw/zinc/07/75/12/162077512.db2.gz UQXGWJRNYRXDSC-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN COc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2C)ccn1 ZINC000344654810 162090394 /nfs/dbraw/zinc/09/03/94/162090394.db2.gz AHZHDHNLOILICW-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)ccn1 ZINC000344658030 162092750 /nfs/dbraw/zinc/09/27/50/162092750.db2.gz GJKQOIVRBXTJNI-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1csc(C)n1 ZINC000344741127 162112841 /nfs/dbraw/zinc/11/28/41/162112841.db2.gz QCCQLAQGHZXGRG-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN O=c1ccn(Cc2ccc(Cl)s2)cc1[N+](=O)[O-] ZINC000344763075 162116634 /nfs/dbraw/zinc/11/66/34/162116634.db2.gz HMDQBLAUQGEXFR-UHFFFAOYSA-N 0 0 270.697 2.520 20 5 CFBDRN CO[C@@H](CCNc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000344990336 162157845 /nfs/dbraw/zinc/15/78/45/162157845.db2.gz ONNWIYMLFCVLDU-JTQLQIEISA-N 0 0 278.230 2.974 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)NCCNc2ccccc2[N+](=O)[O-])C1 ZINC000345061511 162170759 /nfs/dbraw/zinc/17/07/59/162170759.db2.gz UWNCAPJWSUUKRT-NEPJUHHUSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1ccoc1CN(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000345065113 162172489 /nfs/dbraw/zinc/17/24/89/162172489.db2.gz ZZTMHAGSESGJMW-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H]1CC[C@@H](C)C1 ZINC000345172639 162193918 /nfs/dbraw/zinc/19/39/18/162193918.db2.gz KRWULKBXOPVMBY-GHMZBOCLSA-N 0 0 292.335 2.656 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000345177711 162194221 /nfs/dbraw/zinc/19/42/21/162194221.db2.gz SBCQZKCQWAGCDR-GHMZBOCLSA-N 0 0 262.309 2.647 20 5 CFBDRN CCC(CC)(CC)CNC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000345351092 162233693 /nfs/dbraw/zinc/23/36/93/162233693.db2.gz ZCPCLLFXRQSGGW-UHFFFAOYSA-N 0 0 282.344 2.573 20 5 CFBDRN COc1cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)ccc1Cl ZINC000345382803 162239318 /nfs/dbraw/zinc/23/93/18/162239318.db2.gz MFAYABOPOFTBIF-UHFFFAOYSA-N 0 0 295.682 2.837 20 5 CFBDRN CC(C)CN(C)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000345443216 162248517 /nfs/dbraw/zinc/24/85/17/162248517.db2.gz MYLFDTURGOVXSQ-UHFFFAOYSA-N 0 0 287.319 2.871 20 5 CFBDRN CCOc1ccc(-c2nc(CC)no2)cc1[N+](=O)[O-] ZINC000345461090 162251818 /nfs/dbraw/zinc/25/18/18/162251818.db2.gz URHLDTJQMDSVRJ-UHFFFAOYSA-N 0 0 263.253 2.606 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000345464885 162252825 /nfs/dbraw/zinc/25/28/25/162252825.db2.gz DYBPSDPXPYWCFF-NXEZZACHSA-N 0 0 285.303 2.529 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCCSC2)cc([N+](=O)[O-])c1 ZINC000345873192 162268650 /nfs/dbraw/zinc/26/86/50/162268650.db2.gz VBONAXDHBFCFER-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN O=[N+]([O-])c1ccc(-n2cc(-c3nc(C4CC4)no3)cn2)cc1 ZINC000345965019 162287027 /nfs/dbraw/zinc/28/70/27/162287027.db2.gz RHUVFQZCMOWVFR-UHFFFAOYSA-N 0 0 297.274 2.708 20 5 CFBDRN Cc1ccc(-c2noc([C@@H]3CO[C@@H](C)C3)n2)cc1[N+](=O)[O-] ZINC000345970543 162288561 /nfs/dbraw/zinc/28/85/61/162288561.db2.gz CIOYWPHQIFPLCB-ONGXEEELSA-N 0 0 289.291 2.846 20 5 CFBDRN O=C(NC[C@@H]1CCCCO1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000346000333 162294902 /nfs/dbraw/zinc/29/49/02/162294902.db2.gz INBVSAHAKZDWFW-LBPRGKRZSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000346249885 162363283 /nfs/dbraw/zinc/36/32/83/162363283.db2.gz PHJMCNQQLWUQBB-BXKDBHETSA-N 0 0 277.324 2.501 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)C(C)(C)O ZINC000292861373 286934333 /nfs/dbraw/zinc/93/43/33/286934333.db2.gz SECXKPDZPVOGNK-SECBINFHSA-N 0 0 273.720 2.605 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347113700 162604116 /nfs/dbraw/zinc/60/41/16/162604116.db2.gz NKQXCYAIUHWFRE-SDBXPKJASA-N 0 0 291.311 2.528 20 5 CFBDRN COc1cccc(-c2nc(-c3ccoc3)no2)c1[N+](=O)[O-] ZINC000347118510 162605695 /nfs/dbraw/zinc/60/56/95/162605695.db2.gz VYUKJBLSHHSMHL-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN O=C(NCCc1ccco1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347255123 162638922 /nfs/dbraw/zinc/63/89/22/162638922.db2.gz DNBMRPOHWPDELQ-UHFFFAOYSA-N 0 0 293.254 2.691 20 5 CFBDRN Cc1nc(-c2noc(-c3ccc(F)cc3[N+](=O)[O-])n2)co1 ZINC000347302934 162649775 /nfs/dbraw/zinc/64/97/75/162649775.db2.gz QJCAZZWNVOVDDL-UHFFFAOYSA-N 0 0 290.210 2.747 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])s1)C1CCC1 ZINC000348322686 162827319 /nfs/dbraw/zinc/82/73/19/162827319.db2.gz OLKUSYZZUNBUED-UHFFFAOYSA-N 0 0 254.311 2.671 20 5 CFBDRN CCOC(=O)C[C@@H](C)Sc1ccc([N+](=O)[O-])c(C)n1 ZINC000348356150 162836142 /nfs/dbraw/zinc/83/61/42/162836142.db2.gz WUHURQHXGVIKQB-MRVPVSSYSA-N 0 0 284.337 2.732 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000348903559 162919285 /nfs/dbraw/zinc/91/92/85/162919285.db2.gz YTPGXLREQFTHCS-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN CC[C@H](C)N(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1C ZINC000349717353 163006700 /nfs/dbraw/zinc/00/67/00/163006700.db2.gz GNWKSFWAXZFDPB-JTQLQIEISA-N 0 0 289.339 2.625 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1C[C@@H]1C(F)F ZINC000349867531 163030281 /nfs/dbraw/zinc/03/02/81/163030281.db2.gz JKTFAXOVPXBMLQ-DTWKUNHWSA-N 0 0 299.277 2.987 20 5 CFBDRN NC(=O)c1ccc(NC/C=C/c2ccccc2)c([N+](=O)[O-])c1 ZINC000349869976 163030381 /nfs/dbraw/zinc/03/03/81/163030381.db2.gz UGPRGMNRESTYCS-QPJJXVBHSA-N 0 0 297.314 2.819 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCOCC3)n2)s1 ZINC000350253581 163067282 /nfs/dbraw/zinc/06/72/82/163067282.db2.gz JVMUMGJPPSOYOQ-MRVPVSSYSA-N 0 0 295.320 2.990 20 5 CFBDRN Nc1ccc(-c2noc(C3(CCF)CC3)n2)cc1[N+](=O)[O-] ZINC000350400029 163076470 /nfs/dbraw/zinc/07/64/70/163076470.db2.gz PTBFGDKRLZLWDM-UHFFFAOYSA-N 0 0 292.270 2.618 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(-c3cccnc3)no2)c1 ZINC000350452668 163081683 /nfs/dbraw/zinc/08/16/83/163081683.db2.gz HNWWTOBRYSVNBJ-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000350689319 163098680 /nfs/dbraw/zinc/09/86/80/163098680.db2.gz GCLXTBAXLAKNCQ-RKDXNWHRSA-N 0 0 297.286 2.616 20 5 CFBDRN Cc1cnc(CNC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000350789661 163106757 /nfs/dbraw/zinc/10/67/57/163106757.db2.gz YZMBZMJQDUOQPE-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN CC(C)(C)CNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000351354848 163126301 /nfs/dbraw/zinc/12/63/01/163126301.db2.gz VXRCOSAFFAQNKQ-UHFFFAOYSA-N 0 0 282.365 2.849 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000351560935 163146500 /nfs/dbraw/zinc/14/65/00/163146500.db2.gz DRJZUZJMFCHXJB-MVZIDQBPSA-N 0 0 278.308 2.536 20 5 CFBDRN CCOC(=O)C1(CNc2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000351562242 163147698 /nfs/dbraw/zinc/14/76/98/163147698.db2.gz IQOGTPZLZQIKHK-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1cc(COc2c(F)cccc2[N+](=O)[O-])on1 ZINC000351655855 163167475 /nfs/dbraw/zinc/16/74/75/163167475.db2.gz OQMXHVKJENJYLI-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN O=C(N[C@@H]1CC2CCC1CC2)c1cccc([N+](=O)[O-])c1 ZINC000351683407 163172663 /nfs/dbraw/zinc/17/26/63/163172663.db2.gz ORDARCQWWOHGHI-QIMFLAQGSA-N 0 0 274.320 2.903 20 5 CFBDRN O=C(N[C@H]1CC2CCC1CC2)c1csc([N+](=O)[O-])c1 ZINC000351687760 163174696 /nfs/dbraw/zinc/17/46/96/163174696.db2.gz WGSBBQVQOXCGDK-AMUVOQDHSA-N 0 0 280.349 2.965 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC2CCC1CC2 ZINC000351695193 163176840 /nfs/dbraw/zinc/17/68/40/163176840.db2.gz SKJQAAZBHBCJGN-QIMFLAQGSA-N 0 0 289.335 2.607 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC[C@@H]1C ZINC000351808742 163196303 /nfs/dbraw/zinc/19/63/03/163196303.db2.gz NESMKABHCPGIPG-GXSJLCMTSA-N 0 0 293.323 2.914 20 5 CFBDRN CN(Cc1cc[nH]n1)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000351828713 163200137 /nfs/dbraw/zinc/20/01/37/163200137.db2.gz HVKUIYTULJNGDW-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN CCc1cnccc1CNc1nccc(C)c1[N+](=O)[O-] ZINC000351875991 163208317 /nfs/dbraw/zinc/20/83/17/163208317.db2.gz KSNNWGHZOSCEGZ-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000352882466 163260237 /nfs/dbraw/zinc/26/02/37/163260237.db2.gz JFZAXJRGIORQNA-NSHDSACASA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000352880420 163260476 /nfs/dbraw/zinc/26/04/76/163260476.db2.gz AEPXKVCPBKXSAJ-ZYHUDNBSSA-N 0 0 293.323 2.592 20 5 CFBDRN COc1nn(C)cc1N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000352903235 163266515 /nfs/dbraw/zinc/26/65/15/163266515.db2.gz SDSMGQAQPKFAGH-SECBINFHSA-N 0 0 276.296 2.510 20 5 CFBDRN COc1ccc2c(c1)CN(c1ccc([N+](=O)[O-])nc1)CC2 ZINC000353197080 163315643 /nfs/dbraw/zinc/31/56/43/163315643.db2.gz FONQPOGWXJTEAD-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN O=[N+]([O-])c1cc(CNCc2ccc3cc[nH]c3n2)cs1 ZINC000353225079 163320172 /nfs/dbraw/zinc/32/01/72/163320172.db2.gz LIZIXFDAAOJOKV-UHFFFAOYSA-N 0 0 288.332 2.822 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000353347293 163350568 /nfs/dbraw/zinc/35/05/68/163350568.db2.gz BYNOQPPKILIQDH-NOZJJQNGSA-N 0 0 280.324 2.903 20 5 CFBDRN CC(C)n1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000353366212 163352757 /nfs/dbraw/zinc/35/27/57/163352757.db2.gz CUBCEDDQHJFFMN-UHFFFAOYSA-N 0 0 274.280 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cc(F)ccc2F)cn1 ZINC000353525593 163386927 /nfs/dbraw/zinc/38/69/27/163386927.db2.gz AEWKXNFDUDJXEJ-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN O=C(Nc1ccc2[nH]ccc2c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000353544052 163390341 /nfs/dbraw/zinc/39/03/41/163390341.db2.gz IAQVUDQFKJUTKV-UHFFFAOYSA-N 0 0 270.248 2.657 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1Cc2ccccc21 ZINC000359709470 163563649 /nfs/dbraw/zinc/56/36/49/163563649.db2.gz SZCDFXIIEOSWPN-OAHLLOKOSA-N 0 0 296.326 2.893 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)Cc1ccon1 ZINC000266741597 287152077 /nfs/dbraw/zinc/15/20/77/287152077.db2.gz NTPPQXZMQXMTNJ-JTQLQIEISA-N 0 0 261.281 2.776 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2c(C)cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000194682394 287171253 /nfs/dbraw/zinc/17/12/53/287171253.db2.gz VLMOXOZKIQSPJZ-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])C[C@H](C)[S@]1=O ZINC000286279424 287212140 /nfs/dbraw/zinc/21/21/40/287212140.db2.gz HXILBIUQHFZUMR-ZBUHCNDUSA-N 0 0 296.392 2.639 20 5 CFBDRN CC[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000264743428 287213349 /nfs/dbraw/zinc/21/33/49/287213349.db2.gz KUONPLKWTXWVRP-WDEREUQCSA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000408172380 287213698 /nfs/dbraw/zinc/21/36/98/287213698.db2.gz VSNPJNKIZSGSCK-NWDGAFQWSA-N 0 0 279.340 2.610 20 5 CFBDRN CC[C@@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])CCCO1 ZINC000341393635 287213756 /nfs/dbraw/zinc/21/37/56/287213756.db2.gz JYRDXRZLIWSXGP-GFCCVEGCSA-N 0 0 279.340 2.612 20 5 CFBDRN C[C@@H]1CC(C)(C)C[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000412047123 287217469 /nfs/dbraw/zinc/21/74/69/287217469.db2.gz RXQGFLATVSQREA-SNVBAGLBSA-N 0 0 264.325 2.921 20 5 CFBDRN CCN(C)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000284196808 196000668 /nfs/dbraw/zinc/00/06/68/196000668.db2.gz YNVYMKVWRAZPBM-UHFFFAOYSA-N 0 0 257.677 2.732 20 5 CFBDRN O=C(CSc1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000005395323 170094881 /nfs/dbraw/zinc/09/48/81/170094881.db2.gz JSEQERJDENGVJL-UHFFFAOYSA-N 0 0 274.301 2.965 20 5 CFBDRN O=C(Nc1ccccn1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000005937077 170158424 /nfs/dbraw/zinc/15/84/24/170158424.db2.gz COEXOLVPFFVNNO-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)N1CCc2ccccc21 ZINC000006061539 170173341 /nfs/dbraw/zinc/17/33/41/170173341.db2.gz IRYRGJMQRGDZAL-UHFFFAOYSA-N 0 0 298.298 2.563 20 5 CFBDRN Cc1cc(C(=O)NCc2ccccc2F)ccc1[N+](=O)[O-] ZINC000006260745 170186380 /nfs/dbraw/zinc/18/63/80/170186380.db2.gz KZRWFFWBMAEVJO-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ccc(F)cc1 ZINC000006270694 170187310 /nfs/dbraw/zinc/18/73/10/170187310.db2.gz PAGKJCQIUQOCHU-UHFFFAOYSA-N 0 0 261.208 2.953 20 5 CFBDRN CS(=O)(=O)c1ccc(NC2CCCCC2)c([N+](=O)[O-])c1 ZINC000008055660 170316691 /nfs/dbraw/zinc/31/66/91/170316691.db2.gz WUFBTXCLIKPPLB-UHFFFAOYSA-N 0 0 298.364 2.743 20 5 CFBDRN CCC(CC)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000008317299 170330697 /nfs/dbraw/zinc/33/06/97/170330697.db2.gz AFQAOBQFNLAIJG-UHFFFAOYSA-N 0 0 254.315 2.905 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000336136429 287234588 /nfs/dbraw/zinc/23/45/88/287234588.db2.gz ZEPPUXCRDNBINU-SECBINFHSA-N 0 0 264.281 2.593 20 5 CFBDRN COc1ccc(C(=O)Nc2ccnc(C)c2)cc1[N+](=O)[O-] ZINC000010163217 170399776 /nfs/dbraw/zinc/39/97/76/170399776.db2.gz CNYMXTZACTYJPA-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cccc(C(=O)COc2ccccc2[N+](=O)[O-])c1 ZINC000011036811 170415130 /nfs/dbraw/zinc/41/51/30/170415130.db2.gz YDXOFTKTKSSCEE-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN CC[C@@H]1COCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000301313152 287239624 /nfs/dbraw/zinc/23/96/24/287239624.db2.gz JRTIUJQHFLWZPR-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN CC[C@@](C)(CNc1ccc([N+](=O)[O-])c(OC)c1)OC ZINC000322662883 270297168 /nfs/dbraw/zinc/29/71/68/270297168.db2.gz ANPHRAOJZUKPPD-ZDUSSCGKSA-N 0 0 268.313 2.830 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359107166 287249113 /nfs/dbraw/zinc/24/91/13/287249113.db2.gz YZEANZBBBAXWHT-NWDGAFQWSA-N 0 0 279.340 2.610 20 5 CFBDRN Cc1nn(C)c(N[C@@H](C2CC2)C2CCC2)c1[N+](=O)[O-] ZINC000324771371 270298277 /nfs/dbraw/zinc/29/82/77/270298277.db2.gz DOACYPACWWWSEO-LLVKDONJSA-N 0 0 264.329 2.627 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284557018 196083196 /nfs/dbraw/zinc/08/31/96/196083196.db2.gz YBEWGEOGZHGKIW-SECBINFHSA-N 0 0 297.330 2.824 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])Nc1cccc(O)c1 ZINC000020212012 171075214 /nfs/dbraw/zinc/07/52/14/171075214.db2.gz GATFFDTULNJPBD-CMDGGOBGSA-N 0 0 284.271 2.952 20 5 CFBDRN CN(CCCNc1ccncc1[N+](=O)[O-])c1ccccc1 ZINC000020370244 171167545 /nfs/dbraw/zinc/16/75/45/171167545.db2.gz VZTCJUCHRLKOKT-UHFFFAOYSA-N 0 0 286.335 2.928 20 5 CFBDRN Cc1ccc(F)c(NC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000020448909 171207316 /nfs/dbraw/zinc/20/73/16/171207316.db2.gz OVIPRWJKJFHPLW-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN COc1ccc(COc2ccc(CO)cc2)cc1[N+](=O)[O-] ZINC000020557797 171250852 /nfs/dbraw/zinc/25/08/52/171250852.db2.gz QTKVBWHKHNAXGA-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN CCCCCNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000020887141 171278231 /nfs/dbraw/zinc/27/82/31/171278231.db2.gz WNWFGSQMNSHZEC-UHFFFAOYSA-N 0 0 279.340 2.581 20 5 CFBDRN COCC1(NC(=O)Nc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000284735729 196121000 /nfs/dbraw/zinc/12/10/00/196121000.db2.gz MRDMPVLWDHYPMC-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN COc1ccc(Nc2ccc([N+](=O)[O-])cn2)c(OC)c1 ZINC000022419407 171387403 /nfs/dbraw/zinc/38/74/03/171387403.db2.gz ZDYFDIXJIVNLDP-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000022802001 171399518 /nfs/dbraw/zinc/39/95/18/171399518.db2.gz HMIMGZVBFPZSEQ-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN C[C@@H]1CCCCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000302445662 287256249 /nfs/dbraw/zinc/25/62/49/287256249.db2.gz SBCJSXUNJPOAFA-SECBINFHSA-N 0 0 280.353 2.930 20 5 CFBDRN CNC(=O)c1ccc(CNc2ccc([N+](=O)[O-])cc2)cc1 ZINC000026709170 171496334 /nfs/dbraw/zinc/49/63/34/171496334.db2.gz VSBMEDHUNZJCAD-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CNc1ccc(C(=O)Nc2ccc(C)cn2)cc1[N+](=O)[O-] ZINC000027641184 171526029 /nfs/dbraw/zinc/52/60/29/171526029.db2.gz RUVAUELXELSMMV-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1ncc([N+](=O)[O-])cc1Cl ZINC000268061266 287257749 /nfs/dbraw/zinc/25/77/49/287257749.db2.gz YRRAEALYRLCTBS-RKDXNWHRSA-N 0 0 285.731 2.647 20 5 CFBDRN Cc1nnc(COc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000031708153 171644233 /nfs/dbraw/zinc/64/42/33/171644233.db2.gz VMTYOYLODVMHTQ-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cc1cc(NCCCn2ccnc2)ccc1[N+](=O)[O-] ZINC000035277422 172097257 /nfs/dbraw/zinc/09/72/57/172097257.db2.gz WWERADVQPLYCNI-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN COCCCOc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000285342653 196255734 /nfs/dbraw/zinc/25/57/34/196255734.db2.gz ZOXDCZNQHRXMGV-UHFFFAOYSA-N 0 0 263.652 2.803 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])CC(F)(F)F ZINC000285389114 196269788 /nfs/dbraw/zinc/26/97/88/196269788.db2.gz SGRLWAOZEDRVAJ-UHFFFAOYSA-N 0 0 262.231 2.631 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000036749284 172676469 /nfs/dbraw/zinc/67/64/69/172676469.db2.gz XGJVRCAQIKVMTO-PSASIEDQSA-N 0 0 252.318 2.627 20 5 CFBDRN CC(C)(C)[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000285528655 196315902 /nfs/dbraw/zinc/31/59/02/196315902.db2.gz PQQQBIGRAHLUAT-JTQLQIEISA-N 0 0 294.355 2.606 20 5 CFBDRN C[C@@H]1CCCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330560333 287275271 /nfs/dbraw/zinc/27/52/71/287275271.db2.gz BJUFLZGKYIDZGJ-SNVBAGLBSA-N 0 0 250.298 2.675 20 5 CFBDRN CCn1cc(NCc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000037261118 173070778 /nfs/dbraw/zinc/07/07/78/173070778.db2.gz VEYHNZVYUWSXRP-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286205 173092762 /nfs/dbraw/zinc/09/27/62/173092762.db2.gz XPSLXNSOVULSGT-MRVPVSSYSA-N 0 0 254.261 2.604 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1Nc1ccccc1[N+](=O)[O-] ZINC000037298580 173102865 /nfs/dbraw/zinc/10/28/65/173102865.db2.gz KZTCSENCHIJYSR-DGCLKSJQSA-N 0 0 250.298 2.964 20 5 CFBDRN CC(C)CCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000037485733 173229440 /nfs/dbraw/zinc/22/94/40/173229440.db2.gz DNPMMHFPXUCQAK-UHFFFAOYSA-N 0 0 253.258 2.961 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCC1CCCCC1 ZINC000037500587 173237591 /nfs/dbraw/zinc/23/75/91/173237591.db2.gz DZSNXGGCBJZBOL-UHFFFAOYSA-N 0 0 252.318 2.711 20 5 CFBDRN NC(=O)Nc1cccc(NCc2csc([N+](=O)[O-])c2)c1 ZINC000037563088 173510598 /nfs/dbraw/zinc/51/05/98/173510598.db2.gz GWZHPJPNSJKBEB-UHFFFAOYSA-N 0 0 292.320 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2Cc3ccccc32)nc1 ZINC000037566391 173513403 /nfs/dbraw/zinc/51/34/03/173513403.db2.gz WZRBZELJYQOGGV-LLVKDONJSA-N 0 0 255.277 2.742 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037991271 173951926 /nfs/dbraw/zinc/95/19/26/173951926.db2.gz KPCAEZFBCMYNDV-SECBINFHSA-N 0 0 268.288 2.995 20 5 CFBDRN Cc1nccc(CN[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000038037267 174037724 /nfs/dbraw/zinc/03/77/24/174037724.db2.gz GNBGQHJEGPDADN-SNVBAGLBSA-N 0 0 272.308 2.544 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNc1c([N+](=O)[O-])ncn1C ZINC000042804593 287287331 /nfs/dbraw/zinc/28/73/31/287287331.db2.gz AZFLPPYZQSWJHC-NXEZZACHSA-N 0 0 252.318 2.567 20 5 CFBDRN CC(C)CCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000038108616 174122465 /nfs/dbraw/zinc/12/24/65/174122465.db2.gz HPYMUIGDOVSFIW-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN Cc1ccc(NCCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000040676208 174373716 /nfs/dbraw/zinc/37/37/16/174373716.db2.gz OHHMFOGHSFHKGG-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000040724343 174386508 /nfs/dbraw/zinc/38/65/08/174386508.db2.gz SOQGJRPFLBHWPQ-MRVPVSSYSA-N 0 0 268.700 2.730 20 5 CFBDRN COC[C@@H](C)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000041675030 174601757 /nfs/dbraw/zinc/60/17/57/174601757.db2.gz UIHCRFVTQIYZTQ-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN Cc1cc(CN(C)c2ccc([N+](=O)[O-])cc2F)no1 ZINC000042098450 174637049 /nfs/dbraw/zinc/63/70/49/174637049.db2.gz BQBRMFSIAXWLDO-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1ncc([N+](=O)[O-])cn1 ZINC000042864657 174894452 /nfs/dbraw/zinc/89/44/52/174894452.db2.gz ZVENNSSNXAHXPL-VHSXEESVSA-N 0 0 250.302 2.623 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000286279823 196552768 /nfs/dbraw/zinc/55/27/68/196552768.db2.gz GVUPMSWRHRFUEV-UWVGGRQHSA-N 0 0 268.288 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nnsc2Cl)cc1F ZINC000043472781 175053701 /nfs/dbraw/zinc/05/37/01/175053701.db2.gz MVGSLVDETIQOEH-UHFFFAOYSA-N 0 0 289.675 2.818 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2cc(Cl)nc(N)n2)c1 ZINC000043534903 175062737 /nfs/dbraw/zinc/06/27/37/175062737.db2.gz ARXQQEWUZDJCPP-UHFFFAOYSA-N 0 0 280.671 2.721 20 5 CFBDRN Cc1cc(CNc2ccc(Cl)cc2[N+](=O)[O-])n(C)n1 ZINC000286287803 196554600 /nfs/dbraw/zinc/55/46/00/196554600.db2.gz CYEUJXIWJMVQSG-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC[C@H](CO)Nc1ccc([N+](=O)[O-])cc1Br ZINC000043596490 175077023 /nfs/dbraw/zinc/07/70/23/175077023.db2.gz SGFXZHIBBOSVOU-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN CC1(C)C[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000044367997 175168332 /nfs/dbraw/zinc/16/83/32/175168332.db2.gz DNZXQZPQJPBPML-ZCFIWIBFSA-N 0 0 252.299 2.514 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1C[C@@H]1c1ccccc1 ZINC000044416572 175172869 /nfs/dbraw/zinc/17/28/69/175172869.db2.gz CRHHHCSWJQGGEC-NEPJUHHUSA-N 0 0 255.277 2.958 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1C[C@H]1c1ccccc1 ZINC000044416574 175172941 /nfs/dbraw/zinc/17/29/41/175172941.db2.gz CRHHHCSWJQGGEC-NWDGAFQWSA-N 0 0 255.277 2.958 20 5 CFBDRN COC[C@@H](C)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000044477215 175178531 /nfs/dbraw/zinc/17/85/31/175178531.db2.gz BKRLNFRPZUOCNQ-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN O=C(NCCC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000044705192 175235595 /nfs/dbraw/zinc/23/55/95/175235595.db2.gz SJYICAXLWWKTAI-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN Cc1cc(CNc2cc(F)cc([N+](=O)[O-])c2)on1 ZINC000044995747 175287278 /nfs/dbraw/zinc/28/72/78/175287278.db2.gz PYTWVRPOIYJJOK-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000045087698 175304139 /nfs/dbraw/zinc/30/41/39/175304139.db2.gz DERSCOJYVHODLJ-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCCCn1ccnc1 ZINC000045108280 175308754 /nfs/dbraw/zinc/30/87/54/175308754.db2.gz VZQBCEZAXUGCBA-UHFFFAOYSA-N 0 0 278.287 2.823 20 5 CFBDRN O=C(CC[C@@H]1CCCO1)Nc1cc([N+](=O)[O-])ccc1F ZINC000059742062 175633414 /nfs/dbraw/zinc/63/34/14/175633414.db2.gz YLRNUCLGRNSGBA-JTQLQIEISA-N 0 0 282.271 2.632 20 5 CFBDRN COc1ccc(CN[C@H](C)c2nccs2)cc1[N+](=O)[O-] ZINC000070943209 176015916 /nfs/dbraw/zinc/01/59/16/176015916.db2.gz VXISFMBNSYOWIN-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NC[C@@H](O)C1CC1 ZINC000071140321 176038408 /nfs/dbraw/zinc/03/84/08/176038408.db2.gz ZNHSTBPVCGAWBZ-LLVKDONJSA-N 0 0 290.241 2.796 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCOc1ccc(F)cc1 ZINC000071793637 176264071 /nfs/dbraw/zinc/26/40/71/176264071.db2.gz CXVMYXQJHZLWEA-UHFFFAOYSA-N 0 0 278.239 2.587 20 5 CFBDRN Cn1ccnc1SCCCOc1ccc([N+](=O)[O-])cc1 ZINC000071971020 176305989 /nfs/dbraw/zinc/30/59/89/176305989.db2.gz KRGDKJFICVDHAO-UHFFFAOYSA-N 0 0 293.348 2.890 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1CC1CCCCC1 ZINC000072205311 176342219 /nfs/dbraw/zinc/34/22/19/176342219.db2.gz VKMADCDJQFJODB-UHFFFAOYSA-N 0 0 287.319 2.885 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000072645256 176420075 /nfs/dbraw/zinc/42/00/75/176420075.db2.gz MALSETPBOXOIGJ-VXGBXAGGSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1c(OCC2CCOCC2)cccc1[N+](=O)[O-] ZINC000074015937 176504916 /nfs/dbraw/zinc/50/49/16/176504916.db2.gz VDAZERGEFFOOLH-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN CSC(C)(C)CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000075364524 176689539 /nfs/dbraw/zinc/68/95/39/176689539.db2.gz ZIGTXWFQBVSXOR-UHFFFAOYSA-N 0 0 274.367 2.528 20 5 CFBDRN Cc1cc(C)c(OC(=O)CCOC(C)C)c([N+](=O)[O-])c1 ZINC000075723945 176763143 /nfs/dbraw/zinc/76/31/43/176763143.db2.gz RLGXNTVRMKMXHB-UHFFFAOYSA-N 0 0 281.308 2.932 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1cccc(F)c1[N+](=O)[O-] ZINC000286499979 196622949 /nfs/dbraw/zinc/62/29/49/196622949.db2.gz WIUALURCQCASOM-VHSXEESVSA-N 0 0 268.288 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@@H]2CO)c(F)c1 ZINC000077209939 177235604 /nfs/dbraw/zinc/23/56/04/177235604.db2.gz IODHOHYEZXOZRH-SKDRFNHKSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@H](CCO)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000077232692 177236919 /nfs/dbraw/zinc/23/69/19/177236919.db2.gz VQHGGSWBNDIFDI-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000077304057 177250610 /nfs/dbraw/zinc/25/06/10/177250610.db2.gz FUTOJOZHKLQMCU-VIFPVBQESA-N 0 0 266.272 2.606 20 5 CFBDRN C[C@H]1CCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000078002926 177316470 /nfs/dbraw/zinc/31/64/70/177316470.db2.gz ODSQPKAKKFWOQI-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN CCC(CC)CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000078507956 177348072 /nfs/dbraw/zinc/34/80/72/177348072.db2.gz SIIJDMCREXMJJP-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN C[C@H](O)CCNc1ccc(Br)cc1[N+](=O)[O-] ZINC000078777205 177386460 /nfs/dbraw/zinc/38/64/60/177386460.db2.gz OSAXZJMPDPUACF-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN Cc1noc(C)c1CNc1nccc(C)c1[N+](=O)[O-] ZINC000078834899 177395942 /nfs/dbraw/zinc/39/59/42/177395942.db2.gz BMALFYVSNQKRCD-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CS[C@H](C)[C@H](C)O ZINC000079051064 177421978 /nfs/dbraw/zinc/42/19/78/177421978.db2.gz ZZZBAZIGRNGXRG-DTWKUNHWSA-N 0 0 271.338 2.606 20 5 CFBDRN Cc1nc(CSCCOc2ccccc2[N+](=O)[O-])no1 ZINC000079376651 177474152 /nfs/dbraw/zinc/47/41/52/177474152.db2.gz CXVXHIOKLCWWLI-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN C[C@@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153502507 287361445 /nfs/dbraw/zinc/36/14/45/287361445.db2.gz BMMHBKJBBPGHPV-MRVPVSSYSA-N 0 0 255.705 2.880 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2cc(C)cc(F)c2)n1 ZINC000191679315 227123207 /nfs/dbraw/zinc/12/32/07/227123207.db2.gz JLLVMGXLXQBNFF-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2ncccn2)cc1[N+](=O)[O-] ZINC000301503160 227564327 /nfs/dbraw/zinc/56/43/27/227564327.db2.gz BPGHRVVLVHVMPW-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1ncsc1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000355947859 228062220 /nfs/dbraw/zinc/06/22/20/228062220.db2.gz CHZJLRUGGXHZDE-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN C[C@@H]1[C@H](O)CCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000394949592 270310352 /nfs/dbraw/zinc/31/03/52/270310352.db2.gz HBSKSKVBMZGHDU-NOZJJQNGSA-N 0 0 284.743 2.593 20 5 CFBDRN COc1cc(N[C@H]2CS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000397751467 270319560 /nfs/dbraw/zinc/31/95/60/270319560.db2.gz DUEYUWPDKUFCSB-PSASIEDQSA-N 0 0 268.338 2.909 20 5 CFBDRN COC(=O)c1cc(OCC2CCC2)ccc1[N+](=O)[O-] ZINC000172925256 260023203 /nfs/dbraw/zinc/02/32/03/260023203.db2.gz IPDKVEIJUYGHLS-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CC(C)(O)C(C)(C)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000393536926 260214545 /nfs/dbraw/zinc/21/45/45/260214545.db2.gz HZYOATYJFACEML-UHFFFAOYSA-N 0 0 295.339 2.513 20 5 CFBDRN CC1(C)COC[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000313019491 260235312 /nfs/dbraw/zinc/23/53/12/260235312.db2.gz DXELPVMPWBIEJF-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1cc(N[C@@H]2COCC2(C)C)c(F)cc1[N+](=O)[O-] ZINC000313046964 260241070 /nfs/dbraw/zinc/24/10/70/260241070.db2.gz XHDDYEYLYAGHSW-GFCCVEGCSA-N 0 0 268.288 2.879 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3ncccc23)CO1 ZINC000402194627 260245859 /nfs/dbraw/zinc/24/58/59/260245859.db2.gz FUAGMUFLEKKZEE-VHSXEESVSA-N 0 0 273.292 2.732 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCc1ccc(O)cc1 ZINC000318725769 262044383 /nfs/dbraw/zinc/04/43/83/262044383.db2.gz FYLOGHSCJRSQNM-YHYXMXQVSA-N 0 0 298.298 2.630 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)nc1C ZINC000298387570 263218593 /nfs/dbraw/zinc/21/85/93/263218593.db2.gz VEVSDMOHHHIPRL-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1coc(SCC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000188353489 263773447 /nfs/dbraw/zinc/77/34/47/263773447.db2.gz SJDLULPCSPADLG-UHFFFAOYSA-N 0 0 278.289 2.866 20 5 CFBDRN C[C@@H](C(=O)NCCCCF)c1cccc([N+](=O)[O-])c1 ZINC000519044499 265302705 /nfs/dbraw/zinc/30/27/05/265302705.db2.gz XQZYYAQXYDVZHE-SNVBAGLBSA-N 0 0 268.288 2.564 20 5 CFBDRN CS[C@@H]1CCN(c2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000519147071 265335978 /nfs/dbraw/zinc/33/59/78/265335978.db2.gz IKAFDRVJDPRQSY-LLVKDONJSA-N 0 0 293.392 2.810 20 5 CFBDRN COc1cc(C(=O)Nc2ncccc2C)ccc1[N+](=O)[O-] ZINC000096561115 265375308 /nfs/dbraw/zinc/37/53/08/265375308.db2.gz MLDHGUUXZXSQII-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(/C=C/c1ccc(O)cc1)Nc1cccc([N+](=O)[O-])c1 ZINC000096751153 265382587 /nfs/dbraw/zinc/38/25/87/265382587.db2.gz KZNBHSMGBPUFBN-RMKNXTFCSA-N 0 0 284.271 2.952 20 5 CFBDRN Cc1nnc(SCC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000037489048 270225665 /nfs/dbraw/zinc/22/56/65/270225665.db2.gz GDAJZTGFIHZKFZ-UHFFFAOYSA-N 0 0 295.345 2.730 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCOCC(F)(F)F ZINC000193785295 270239972 /nfs/dbraw/zinc/23/99/72/270239972.db2.gz QKRPHICQZLWACU-UHFFFAOYSA-N 0 0 265.187 2.553 20 5 CFBDRN O=C(NCc1ccc2ncccc2c1)c1ccc([N+](=O)[O-])o1 ZINC000193965746 270241612 /nfs/dbraw/zinc/24/16/12/270241612.db2.gz FFJRVUMVCZAXJG-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000194892216 270248256 /nfs/dbraw/zinc/24/82/56/270248256.db2.gz KKPPDELWPGJHDO-SNVBAGLBSA-N 0 0 280.324 2.912 20 5 CFBDRN CCC[C@@H](CC)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000194905233 270248295 /nfs/dbraw/zinc/24/82/95/270248295.db2.gz USFNXMBBPQSSKC-LLVKDONJSA-N 0 0 280.324 2.669 20 5 CFBDRN CCC[C@H](CC)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000195144176 270250503 /nfs/dbraw/zinc/25/05/03/270250503.db2.gz RQVBZQTZAFYWMF-NSHDSACASA-N 0 0 279.340 2.702 20 5 CFBDRN CC(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000195524104 270254156 /nfs/dbraw/zinc/25/41/56/270254156.db2.gz PDZPGPJPHIAUBB-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CC[N@H+](C)[C@@H](C)C2)c1 ZINC000227808699 270257809 /nfs/dbraw/zinc/25/78/09/270257809.db2.gz RKCPXYJXIBEAGJ-RYUDHWBXSA-N 0 0 263.341 2.798 20 5 CFBDRN CC(C)C(CNc1c([N+](=O)[O-])ncn1C)C(C)C ZINC000229697783 270259267 /nfs/dbraw/zinc/25/92/67/270259267.db2.gz DRRGXAIEHZKWOS-UHFFFAOYSA-N 0 0 254.334 2.668 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000264512270 270275880 /nfs/dbraw/zinc/27/58/80/270275880.db2.gz XPKFUYSFFDHBHA-SSDOTTSWSA-N 0 0 272.301 2.672 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000312263868 270289229 /nfs/dbraw/zinc/28/92/29/270289229.db2.gz LJOGAQXESLGWFN-HTQZYQBOSA-N 0 0 294.336 2.579 20 5 CFBDRN CNc1ccc(C(=O)N2Cc3ccccc3C2)cc1[N+](=O)[O-] ZINC000314929977 270291764 /nfs/dbraw/zinc/29/17/64/270291764.db2.gz RHKBQVRXEBPPDH-UHFFFAOYSA-N 0 0 297.314 2.793 20 5 CFBDRN CCC1(CC)CN(C(=O)c2ccc(NC)c([N+](=O)[O-])c2)C1 ZINC000315188384 270292054 /nfs/dbraw/zinc/29/20/54/270292054.db2.gz KPXCLCFUBSFWEQ-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CC[C@@](C)(CNc1nc2cc([N+](=O)[O-])ccc2[nH]1)OC ZINC000322913349 270297959 /nfs/dbraw/zinc/29/79/59/270297959.db2.gz JGEWVMWDUJPZGJ-ZDUSSCGKSA-N 0 0 278.312 2.698 20 5 CFBDRN CCc1nn(C)c(N[C@@H](C2CC2)C2CCC2)c1[N+](=O)[O-] ZINC000324895817 270298252 /nfs/dbraw/zinc/29/82/52/270298252.db2.gz NEYZQODJJLVLSQ-GFCCVEGCSA-N 0 0 278.356 2.881 20 5 CFBDRN CCC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1N)C1CCC1 ZINC000324767678 270298322 /nfs/dbraw/zinc/29/83/22/270298322.db2.gz BNHPLHYNLADSBF-LBPRGKRZSA-N 0 0 291.351 2.876 20 5 CFBDRN CCOc1cccc(NCCC[C@H](O)CC)c1[N+](=O)[O-] ZINC000357508369 270303360 /nfs/dbraw/zinc/30/33/60/270303360.db2.gz HDEJQMDCENYASK-LLVKDONJSA-N 0 0 282.340 2.957 20 5 CFBDRN Cc1cc(NCC(C)(C)C(C)(C)O)ncc1[N+](=O)[O-] ZINC000393482974 270307261 /nfs/dbraw/zinc/30/72/61/270307261.db2.gz MQPJHJPPLLQTMP-UHFFFAOYSA-N 0 0 267.329 2.507 20 5 CFBDRN COc1cc(NCc2nn(C)cc2Cl)ccc1[N+](=O)[O-] ZINC000393350858 270307769 /nfs/dbraw/zinc/30/77/69/270307769.db2.gz YTOJPDPLMLWXLT-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC2=CCOCC2)c(F)c1 ZINC000397774338 270319365 /nfs/dbraw/zinc/31/93/65/270319365.db2.gz ROMBSAGUDMMOCN-UHFFFAOYSA-N 0 0 270.235 2.632 20 5 CFBDRN COCCC(C)(C)Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000398363273 270322134 /nfs/dbraw/zinc/32/21/34/270322134.db2.gz KCOOLAHRISVORA-UHFFFAOYSA-N 0 0 278.312 2.698 20 5 CFBDRN Cc1ccoc1CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000493627974 270345890 /nfs/dbraw/zinc/34/58/90/270345890.db2.gz KTFFJDRJYNPIIG-QPJJXVBHSA-N 0 0 286.287 2.826 20 5 CFBDRN CCC[C@@H](OC)c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000516541565 270383463 /nfs/dbraw/zinc/38/34/63/270383463.db2.gz LWVPKFWLTLVWPX-SNVBAGLBSA-N 0 0 278.268 2.527 20 5 CFBDRN CC(C)[C@@H]1OCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000516549677 270383774 /nfs/dbraw/zinc/38/37/74/270383774.db2.gz WTLOVEYDAIGFFY-SKDRFNHKSA-N 0 0 283.303 2.602 20 5 CFBDRN O=C([O-])C1([NH2+]Cc2csc([N+](=O)[O-])c2)CCCCCC1 ZINC000516576111 270384573 /nfs/dbraw/zinc/38/45/73/270384573.db2.gz KPRXBLKTYLJOOX-UHFFFAOYSA-N 0 0 298.364 2.924 20 5 CFBDRN C[C@H](C(=O)N(C)CC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000516901532 270390239 /nfs/dbraw/zinc/39/02/39/270390239.db2.gz HOIANEZACHDODF-JTQLQIEISA-N 0 0 262.309 2.567 20 5 CFBDRN Cc1c(NC(=O)NCCOC(C)(C)C)cccc1[N+](=O)[O-] ZINC000521218810 270426092 /nfs/dbraw/zinc/42/60/92/270426092.db2.gz NUDNAEZCYVGQFV-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CNc1ccc(C(=O)NCCC2(C)CC2)cc1[N+](=O)[O-] ZINC000525942000 270476370 /nfs/dbraw/zinc/47/63/70/270476370.db2.gz UGEBMJPIFXISRY-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000525971909 270477780 /nfs/dbraw/zinc/47/77/80/270477780.db2.gz MEIMVDZLTSFACE-VHSXEESVSA-N 0 0 275.308 2.978 20 5 CFBDRN CCc1ccc(C(=O)N[C@H](COC)C(C)C)cc1[N+](=O)[O-] ZINC000531069971 270821237 /nfs/dbraw/zinc/82/12/37/270821237.db2.gz DFSBWXJCNWGVTR-CYBMUJFWSA-N 0 0 294.351 2.558 20 5 CFBDRN Cc1cc(C)c(CNC(=O)c2ccc([N+](=O)[O-])cn2)c(C)c1 ZINC000532136730 270854196 /nfs/dbraw/zinc/85/41/96/270854196.db2.gz GUKQMDSKJIXGNX-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CCO[C@@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000532376141 270858644 /nfs/dbraw/zinc/85/86/44/270858644.db2.gz DIERXIZUMPBJJX-AWEZNQCLSA-N 0 0 294.351 2.662 20 5 CFBDRN O=C(NCc1cccc(Cl)c1)c1ccc([N+](=O)[O-])cn1 ZINC000532391273 270859355 /nfs/dbraw/zinc/85/93/55/270859355.db2.gz IFXARQKACRNKAC-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1)c1cccc(O)c1 ZINC000532706212 270865671 /nfs/dbraw/zinc/86/56/71/270865671.db2.gz AJEBCBFEKIVISI-UHFFFAOYSA-N 0 0 258.277 2.937 20 5 CFBDRN CO[C@H](C)CN(C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000285584792 274074307 /nfs/dbraw/zinc/07/43/07/274074307.db2.gz IICZMMKWGFXXNM-SECBINFHSA-N 0 0 272.732 2.715 20 5 CFBDRN C[C@@H]1CCCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000332239165 274291400 /nfs/dbraw/zinc/29/14/00/274291400.db2.gz QZTSZXVGFVZUIX-SNVBAGLBSA-N 0 0 274.324 2.703 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCN(C2CC2)CC1 ZINC000049390340 275033325 /nfs/dbraw/zinc/03/33/25/275033325.db2.gz WWCISUOONOHJPJ-UHFFFAOYSA-N 0 0 281.743 2.533 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000336389185 275528500 /nfs/dbraw/zinc/52/85/00/275528500.db2.gz HORWWSUPFPDEKB-SECBINFHSA-N 0 0 266.297 2.696 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1C[C@@H](C)[C@H](C)C1 ZINC000360984679 275806239 /nfs/dbraw/zinc/80/62/39/275806239.db2.gz KMBWEJSIMSOABS-NXEZZACHSA-N 0 0 262.309 2.890 20 5 CFBDRN CC1(C)CC(C(=O)N2CCc3c2cccc3[N+](=O)[O-])C1 ZINC000353292031 275808612 /nfs/dbraw/zinc/80/86/12/275808612.db2.gz XWBACDJLMGWMTL-UHFFFAOYSA-N 0 0 274.320 2.920 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)Cc2ccccc21 ZINC000270717164 275842360 /nfs/dbraw/zinc/84/23/60/275842360.db2.gz YWARIJQPZWWLJI-UHFFFAOYSA-N 0 0 299.330 2.857 20 5 CFBDRN CC1=CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000270042991 275917216 /nfs/dbraw/zinc/91/72/16/275917216.db2.gz JXFJEIVMBKDVPE-UHFFFAOYSA-N 0 0 263.253 2.659 20 5 CFBDRN CC(C)C[C@H]1COCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000071046003 275920383 /nfs/dbraw/zinc/92/03/83/275920383.db2.gz WQCYOCWPTGPUGC-JTQLQIEISA-N 0 0 298.364 2.543 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000269361341 276041692 /nfs/dbraw/zinc/04/16/92/276041692.db2.gz VUGPGGSBDPGZKE-VHSXEESVSA-N 0 0 294.355 2.750 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2cn[nH]c2)cc1[N+](=O)[O-] ZINC000189019889 276051337 /nfs/dbraw/zinc/05/13/37/276051337.db2.gz DOKSSESWWHQOJK-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2cncnc2)cc1[N+](=O)[O-] ZINC000438953211 276051484 /nfs/dbraw/zinc/05/14/84/276051484.db2.gz ZBINJAFYGOWORN-UHFFFAOYSA-N 0 0 286.291 2.761 20 5 CFBDRN CC1(C)CCC[C@H]1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000338815745 276111135 /nfs/dbraw/zinc/11/11/35/276111135.db2.gz VSYXXHOYMJQFQH-NSHDSACASA-N 0 0 291.351 2.932 20 5 CFBDRN CC1(C)CC[C@@H](Sc2ncccc2[N+](=O)[O-])C1=O ZINC000412993445 276115782 /nfs/dbraw/zinc/11/57/82/276115782.db2.gz OPVBMHRCWOYGFY-SECBINFHSA-N 0 0 266.322 2.840 20 5 CFBDRN CC1(O)CCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000126752238 276171454 /nfs/dbraw/zinc/17/14/54/276171454.db2.gz HSBWGKUKIPYMED-UHFFFAOYSA-N 0 0 270.716 2.599 20 5 CFBDRN CC1=C(C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)CCC1 ZINC000335850893 276174752 /nfs/dbraw/zinc/17/47/52/276174752.db2.gz XSMQXYJFJPDUJF-UHFFFAOYSA-N 0 0 286.331 2.980 20 5 CFBDRN CC1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000077302178 276194906 /nfs/dbraw/zinc/19/49/06/276194906.db2.gz WDAHDOYMLDHWBG-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1ncc(C)cc1[N+](=O)[O-] ZINC000450945196 276338225 /nfs/dbraw/zinc/33/82/25/276338225.db2.gz VOGHJLSGINSMTR-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN CCc1ccc(-c2nc(-c3ccon3)no2)cc1[N+](=O)[O-] ZINC000357371556 276664257 /nfs/dbraw/zinc/66/42/57/276664257.db2.gz NZUDFBQCIIDHRP-UHFFFAOYSA-N 0 0 286.247 2.862 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC1Cc2ccccc2C1 ZINC000313799140 276710904 /nfs/dbraw/zinc/71/09/04/276710904.db2.gz FQCHJEUHRPJRCD-UHFFFAOYSA-N 0 0 286.335 2.699 20 5 CFBDRN CN1CCN(C(=O)c2cccc([N+](=O)[O-])c2)c2ccccc21 ZINC000110476355 276923258 /nfs/dbraw/zinc/92/32/58/276923258.db2.gz AIVIHHVUCOCQLH-UHFFFAOYSA-N 0 0 297.314 2.691 20 5 CFBDRN CC(C)(C)Oc1cc(N2CCC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000301863578 277219266 /nfs/dbraw/zinc/21/92/66/277219266.db2.gz GOXOKVAGUDPQGU-LBPRGKRZSA-N 0 0 294.351 2.733 20 5 CFBDRN COC[C@@H]1CCCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000450948301 277252020 /nfs/dbraw/zinc/25/20/20/277252020.db2.gz PDMYKFNEYUTJPL-GFCCVEGCSA-N 0 0 264.325 2.848 20 5 CFBDRN CC(C)(C)[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000276337093 277256156 /nfs/dbraw/zinc/25/61/56/277256156.db2.gz JJAHIDIGZXPYFB-CYBMUJFWSA-N 0 0 291.351 2.828 20 5 CFBDRN COC[C@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000450942423 277266237 /nfs/dbraw/zinc/26/62/37/277266237.db2.gz HBEBKLFQUWPYPL-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN CNc1c(C(=O)Nc2cc(C)ccn2)cccc1[N+](=O)[O-] ZINC000161424612 277301474 /nfs/dbraw/zinc/30/14/74/277301474.db2.gz WKKXDFKRCYTJQU-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CO[C@@](C)([C@@H](C)Nc1ncc([N+](=O)[O-])s1)C1CC1 ZINC000413520475 277317594 /nfs/dbraw/zinc/31/75/94/277317594.db2.gz PSCWCRVMAFGASJ-HQJQHLMTSA-N 0 0 271.342 2.667 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)CC[C@@H]1C ZINC000276105002 277344297 /nfs/dbraw/zinc/34/42/97/277344297.db2.gz WXRCDFPVQUPURF-ZANVPECISA-N 0 0 268.288 2.595 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](C)[C@H](OC)C2)c1 ZINC000302576772 277379908 /nfs/dbraw/zinc/37/99/08/277379908.db2.gz RXSGODNARKAQBP-TVQRCGJNSA-N 0 0 298.314 2.604 20 5 CFBDRN COc1cc(N2CCC[C@@H](OC)CC2)c([N+](=O)[O-])cc1F ZINC000374665616 277381680 /nfs/dbraw/zinc/38/16/80/277381680.db2.gz VWKLLWDVRZYHIL-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN COc1cc(N2CC[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000362395628 277382323 /nfs/dbraw/zinc/38/23/23/277382323.db2.gz JTHWUNMTZAQMCT-NSHDSACASA-N 0 0 262.309 2.840 20 5 CFBDRN COc1cc(N2[C@@H](C)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000301878026 277382779 /nfs/dbraw/zinc/38/27/79/277382779.db2.gz HGAZEHLWWXXVKG-UWVGGRQHSA-N 0 0 250.298 2.981 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC3(CCC3)C2)c1 ZINC000268160955 277431127 /nfs/dbraw/zinc/43/11/27/277431127.db2.gz DIAWLKLJPVWFMU-UHFFFAOYSA-N 0 0 262.309 2.984 20 5 CFBDRN CC(C)C[C@@H]1OCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000184767096 277468470 /nfs/dbraw/zinc/46/84/70/277468470.db2.gz LNUQNVWVTQBRJF-PWSUYJOCSA-N 0 0 296.323 2.511 20 5 CFBDRN CC(C)[C@@H](C)N(C(=O)c1ccc([N+](=O)[O-])n1C)C1CC1 ZINC000331515813 277730850 /nfs/dbraw/zinc/73/08/50/277730850.db2.gz QGLHMIQSEDJLAH-SNVBAGLBSA-N 0 0 279.340 2.582 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C[C@@H]1C ZINC000450774312 277746414 /nfs/dbraw/zinc/74/64/14/277746414.db2.gz OBCLTQPGHNRTNH-FZMZJTMJSA-N 0 0 264.325 2.764 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420611942 277780987 /nfs/dbraw/zinc/78/09/87/277780987.db2.gz NJQMPNSLZUJSGK-LLVKDONJSA-N 0 0 291.351 2.566 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2cc([N+](=O)[O-])cn2C)CC12CCC2 ZINC000335810596 277971840 /nfs/dbraw/zinc/97/18/40/277971840.db2.gz KZQGWSFVRABWAW-CYBMUJFWSA-N 0 0 291.351 2.584 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncnc3cccc([N+](=O)[O-])c32)CCO1 ZINC000347407185 277987253 /nfs/dbraw/zinc/98/72/53/277987253.db2.gz LFAWRUNTBZUMNZ-ZJUUUORDSA-N 0 0 288.307 2.517 20 5 CFBDRN C[C@@H]1OCCN(c2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000301698093 278053467 /nfs/dbraw/zinc/05/34/67/278053467.db2.gz CYJKPCAGADYWGY-IUCAKERBSA-N 0 0 270.716 2.862 20 5 CFBDRN C[C@@H]1OCC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000349781144 278057323 /nfs/dbraw/zinc/05/73/23/278057323.db2.gz IEVNYXJREDZZTN-KWQFWETISA-N 0 0 275.264 2.537 20 5 CFBDRN C[C@@]1(O)CCCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000163560525 278128711 /nfs/dbraw/zinc/12/87/11/278128711.db2.gz XTQJAJNEGYFURV-GFCCVEGCSA-N 0 0 270.716 2.599 20 5 CFBDRN CSC[C@@](C)(O)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000230151632 278136608 /nfs/dbraw/zinc/13/66/08/278136608.db2.gz YGCNTCRRBPRTEV-NSHDSACASA-N 0 0 290.772 2.774 20 5 CFBDRN CC1(C)CCCN1C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000151996915 278218732 /nfs/dbraw/zinc/21/87/32/278218732.db2.gz DDWMJNHDQXSMQN-UHFFFAOYSA-N 0 0 284.262 2.888 20 5 CFBDRN C[C@@H](CCc1ccccc1[N+](=O)[O-])NCC(F)F ZINC000298016070 278236605 /nfs/dbraw/zinc/23/66/05/278236605.db2.gz CWTAPYYINDOYLN-VIFPVBQESA-N 0 0 258.268 2.771 20 5 CFBDRN CC1(C)CCC[C@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330454902 278247903 /nfs/dbraw/zinc/24/79/03/278247903.db2.gz NMWPCLUPXMSCMU-CYBMUJFWSA-N 0 0 264.325 2.969 20 5 CFBDRN CC1(C)CCN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000408195926 278261121 /nfs/dbraw/zinc/26/11/21/278261121.db2.gz WTSKTCKCMGYUOG-UHFFFAOYSA-N 0 0 272.308 2.774 20 5 CFBDRN C[C@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000348707759 278344316 /nfs/dbraw/zinc/34/43/16/278344316.db2.gz UOYXJYRXEFMNMD-VIFPVBQESA-N 0 0 267.304 2.943 20 5 CFBDRN C[C@H]1CCCN1c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413169911 278346835 /nfs/dbraw/zinc/34/68/35/278346835.db2.gz NFIVAEMLSXWUND-ZETCQYMHSA-N 0 0 275.230 2.997 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@H]1c1ccccc1 ZINC000363874476 278414365 /nfs/dbraw/zinc/41/43/65/278414365.db2.gz JNBFMLUIPCAJJH-XHDPSFHLSA-N 0 0 297.314 2.823 20 5 CFBDRN CC1(C)OCC[C@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000452926212 278416415 /nfs/dbraw/zinc/41/64/15/278416415.db2.gz KUXKQHXZZYRSFJ-LLVKDONJSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CC[C@@H]1C ZINC000408452608 278445748 /nfs/dbraw/zinc/44/57/48/278445748.db2.gz PLKFPLBSWRHEIY-UWVGGRQHSA-N 0 0 274.324 2.953 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)[C@@H]1C ZINC000267884188 278539479 /nfs/dbraw/zinc/53/94/79/278539479.db2.gz ODGKWQQKYZONFJ-NXEZZACHSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)[C@@H]1C ZINC000278513413 278559154 /nfs/dbraw/zinc/55/91/54/278559154.db2.gz RQOXAQUVHMSOLF-RKDXNWHRSA-N 0 0 260.297 2.706 20 5 CFBDRN C[C@@H]1CCN(c2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000295518512 278559582 /nfs/dbraw/zinc/55/95/82/278559582.db2.gz MBSABDFWTLFCQN-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@H]3CC[C@@H](C2)O3)c([N+](=O)[O-])c1 ZINC000369162079 278574259 /nfs/dbraw/zinc/57/42/59/278574259.db2.gz GTTZCNVICNUMSW-TXEJJXNPSA-N 0 0 290.319 2.555 20 5 CFBDRN Cc1c(C(=O)N2C[C@@H](C)S[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000336633560 278588409 /nfs/dbraw/zinc/58/84/09/278588409.db2.gz ISPFWESLHRNMAF-AOOOYVTPSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cc3c(cc2[N+](=O)[O-])OCC(=O)N3)C1 ZINC000133363264 278612712 /nfs/dbraw/zinc/61/27/12/278612712.db2.gz CZMVLKRLCFNGFE-BDAKNGLRSA-N 0 0 291.307 2.526 20 5 CFBDRN C[C@@H]1CC[C@]2(CCN(C(=O)c3ccc([N+](=O)[O-])n3C)C2)C1 ZINC000335708995 278616074 /nfs/dbraw/zinc/61/60/74/278616074.db2.gz XJTFZPWZRAQAHE-ABAIWWIYSA-N 0 0 291.351 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CSC[C@@H]2C)n1 ZINC000343584531 278665770 /nfs/dbraw/zinc/66/57/70/278665770.db2.gz AVYIWSIFSYBEOM-WPRPVWTQSA-N 0 0 267.354 2.770 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCn2cccc2[C@H]1C ZINC000195467407 278745573 /nfs/dbraw/zinc/74/55/73/278745573.db2.gz JNSYXDVXTADSRN-GFCCVEGCSA-N 0 0 299.330 2.922 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC([C@H]2CCOC2)CC1 ZINC000364644785 278747264 /nfs/dbraw/zinc/74/72/64/278747264.db2.gz JFYSOPCTQTWKMQ-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1ccc(N2CC=C(c3ccnn3C)CC2)c([N+](=O)[O-])c1 ZINC000286136875 278818214 /nfs/dbraw/zinc/81/82/14/278818214.db2.gz BUBPLJVCARLZQS-UHFFFAOYSA-N 0 0 298.346 2.930 20 5 CFBDRN Cc1ccc(NC2CCC(O)CC2)c([N+](=O)[O-])c1 ZINC000037401036 278834665 /nfs/dbraw/zinc/83/46/65/278834665.db2.gz BRFIOOFCBAJZPT-UHFFFAOYSA-N 0 0 250.298 2.619 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000330507126 278879598 /nfs/dbraw/zinc/87/95/98/278879598.db2.gz ASUSXSXCVLLMEI-ZJUUUORDSA-N 0 0 276.292 2.539 20 5 CFBDRN CCC[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000270278676 278891266 /nfs/dbraw/zinc/89/12/66/278891266.db2.gz RYDSLGIGUOVPTC-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)C(C)(C)O ZINC000292861378 288093318 /nfs/dbraw/zinc/09/33/18/288093318.db2.gz SECXKPDZPVOGNK-VIFPVBQESA-N 0 0 273.720 2.605 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000160625050 278987839 /nfs/dbraw/zinc/98/78/39/278987839.db2.gz POWQHZAUAUQLPK-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1CC12CC2 ZINC000335558759 279012925 /nfs/dbraw/zinc/01/29/25/279012925.db2.gz KVKVALUVXFBABW-SKDRFNHKSA-N 0 0 272.304 2.673 20 5 CFBDRN Cc1nc(C)c(N2CCc3ccc([N+](=O)[O-])cc3C2)nc1C ZINC000413188192 279077435 /nfs/dbraw/zinc/07/74/35/279077435.db2.gz UCHYFIHMACDGMQ-UHFFFAOYSA-N 0 0 298.346 2.873 20 5 CFBDRN Cc1nc(N2CC3(CCC3)[C@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000295638861 279089158 /nfs/dbraw/zinc/08/91/58/279089158.db2.gz DHGPBULRYBIHBQ-GFCCVEGCSA-N 0 0 276.340 2.708 20 5 CFBDRN Cc1nc(N2CCC3(C2)CCCCC3)ncc1[N+](=O)[O-] ZINC000297068950 279089424 /nfs/dbraw/zinc/08/94/24/279089424.db2.gz JKHVAODVMOLURW-UHFFFAOYSA-N 0 0 276.340 2.854 20 5 CFBDRN Cc1nc(N2CC[C@@H](C)C[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000297498489 279092922 /nfs/dbraw/zinc/09/29/22/279092922.db2.gz MXSJWKXQBPFIKR-NXEZZACHSA-N 0 0 264.329 2.566 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000301698089 279107296 /nfs/dbraw/zinc/10/72/96/279107296.db2.gz CYJKPCAGADYWGY-BDAKNGLRSA-N 0 0 270.716 2.862 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1c1ccc([N+](=O)[O-])cc1 ZINC000301262124 279109136 /nfs/dbraw/zinc/10/91/36/279109136.db2.gz DDJDAIGVLIPCJO-ZJUUUORDSA-N 0 0 252.339 2.925 20 5 CFBDRN C[C@@]1(F)CCCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000295057283 279165085 /nfs/dbraw/zinc/16/50/85/279165085.db2.gz YTGSYIVPSUDPET-GFCCVEGCSA-N 0 0 296.327 2.928 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCOC(C)(C)C2)cc1[N+](=O)[O-] ZINC000155726465 279282735 /nfs/dbraw/zinc/28/27/35/279282735.db2.gz KUEOQMMXRWVNJW-SECBINFHSA-N 0 0 298.364 2.652 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CCC[C@@H]1C1CC1 ZINC000413476815 279385063 /nfs/dbraw/zinc/38/50/63/279385063.db2.gz FPSAHNKOOWNCDK-WDEREUQCSA-N 0 0 264.329 2.567 20 5 CFBDRN CCOC(=O)[C@@H](C)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000190813195 279581424 /nfs/dbraw/zinc/58/14/24/279581424.db2.gz QYWMZKPAGCZSPF-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN C[C@H]1CCCCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278513396 279653495 /nfs/dbraw/zinc/65/34/95/279653495.db2.gz RQGRWSROHDEUKS-VIFPVBQESA-N 0 0 260.297 2.850 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H]1C ZINC000301908688 279728990 /nfs/dbraw/zinc/72/89/90/279728990.db2.gz FDEYUVBNISKTEK-VHSXEESVSA-N 0 0 279.296 2.985 20 5 CFBDRN CCO[C@H]1CCCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000301790185 279902109 /nfs/dbraw/zinc/90/21/09/279902109.db2.gz NREYADQNZVZRGY-JTQLQIEISA-N 0 0 286.278 2.878 20 5 CFBDRN CCO[C@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])C[C@H]1C ZINC000450744340 279905714 /nfs/dbraw/zinc/90/57/14/279905714.db2.gz MFIHEVMVZUCAFD-YPMHNXCESA-N 0 0 293.367 2.858 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncnc3sc([N+](=O)[O-])cc32)CCO1 ZINC000286583300 279972293 /nfs/dbraw/zinc/97/22/93/279972293.db2.gz STLXVAGXBKQFGD-YUMQZZPRSA-N 0 0 294.336 2.579 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000348008307 280035143 /nfs/dbraw/zinc/03/51/43/280035143.db2.gz VLJMHOAVFXHSIM-XPTSAGLGSA-N 0 0 285.303 2.586 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000046466708 288194599 /nfs/dbraw/zinc/19/45/99/288194599.db2.gz ZXWOYMSYUARTMB-NSHDSACASA-N 0 0 277.324 2.582 20 5 CFBDRN C[C@H]1c2ccccc2CN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000336033939 280127891 /nfs/dbraw/zinc/12/78/91/280127891.db2.gz HVRIZCFDPWAXRK-VIFPVBQESA-N 0 0 271.276 2.640 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])C(F)(F)F ZINC000348072071 280181593 /nfs/dbraw/zinc/18/15/93/280181593.db2.gz LQKUSCGIBKZLNU-SNVBAGLBSA-N 0 0 290.241 2.974 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC2(CCC2)C1 ZINC000158773766 280190962 /nfs/dbraw/zinc/19/09/62/280190962.db2.gz FAKZHEYBAVUGSZ-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H]2CCC[C@@H]21 ZINC000174315943 280192016 /nfs/dbraw/zinc/19/20/16/280192016.db2.gz AKZOSIXZZWARQI-ZJUUUORDSA-N 0 0 264.281 2.593 20 5 CFBDRN Cc1c(NC(=O)[C@@]2(C)CCCCO2)cccc1[N+](=O)[O-] ZINC000459894159 280246356 /nfs/dbraw/zinc/24/63/56/280246356.db2.gz VKACQARXJDHBHE-CQSZACIVSA-N 0 0 278.308 2.801 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)[C@H](C)[C@H](C)O1 ZINC000438364401 288214690 /nfs/dbraw/zinc/21/46/90/288214690.db2.gz NVJMUTZHAQVUNY-MXWKQRLJSA-N 0 0 282.315 2.732 20 5 CFBDRN CC[C@@H]1CCC[C@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330435202 280310193 /nfs/dbraw/zinc/31/01/93/280310193.db2.gz AQRPBKIAPWGTAJ-ZWNOBZJWSA-N 0 0 264.325 2.969 20 5 CFBDRN Cc1cc(C(=O)NC2CCSCC2)ccc1[N+](=O)[O-] ZINC000334230906 280347783 /nfs/dbraw/zinc/34/77/83/280347783.db2.gz SQVSEPURCPADAN-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@H]2COC[C@H]21 ZINC000374455167 280357951 /nfs/dbraw/zinc/35/79/51/280357951.db2.gz ZRXORJANJUZEQC-TVQRCGJNSA-N 0 0 282.727 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@]2(CCOC2)C1 ZINC000370494026 280364989 /nfs/dbraw/zinc/36/49/89/280364989.db2.gz YGDAMNGOTWLZKE-ZDUSSCGKSA-N 0 0 282.727 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2cc[nH]n2)cc1 ZINC000278735058 280366869 /nfs/dbraw/zinc/36/68/69/280366869.db2.gz QGMUAAILWAPKEB-ZDUSSCGKSA-N 0 0 258.281 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC3(CCCC3)C2)cc1 ZINC000268869201 280366978 /nfs/dbraw/zinc/36/69/78/280366978.db2.gz UAETUSUHBWHQOC-UHFFFAOYSA-N 0 0 262.309 2.744 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CCO[C@H]4CCC[C@H]43)ccnc21 ZINC000432144378 280373558 /nfs/dbraw/zinc/37/35/58/280373558.db2.gz XJSVZXMDWFWJKU-HIFRSBDPSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1S[C@H]1CCOC1 ZINC000436376695 280378216 /nfs/dbraw/zinc/37/82/16/280378216.db2.gz JBNLXRODEIMROH-LURJTMIESA-N 0 0 294.254 2.890 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCC3(CCOCC3)CC2)nc1 ZINC000364721988 280381806 /nfs/dbraw/zinc/38/18/06/280381806.db2.gz MSQLJJRPJOOSDM-UHFFFAOYSA-N 0 0 292.339 2.536 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@]2(C)CCO[C@@H]2C)n1 ZINC000343435729 280399857 /nfs/dbraw/zinc/39/98/57/280399857.db2.gz KOYSRUXKDAYQOD-MFKMUULPSA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CSC[C@@H]1C ZINC000335555514 280400790 /nfs/dbraw/zinc/40/07/90/280400790.db2.gz MDWVXMBNILZPLO-CMPLNLGQSA-N 0 0 294.376 2.693 20 5 CFBDRN CC(C)c1nnc(NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000044368464 280421782 /nfs/dbraw/zinc/42/17/82/280421782.db2.gz XKVQPNNWWZEKFO-UHFFFAOYSA-N 0 0 298.349 2.884 20 5 CFBDRN CC[C@@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])CCS1 ZINC000408171458 280447395 /nfs/dbraw/zinc/44/73/95/280447395.db2.gz JXHCIXFZJMTKCU-LLVKDONJSA-N 0 0 281.381 2.938 20 5 CFBDRN O=C(NCCCOC1CCCC1)c1csc([N+](=O)[O-])c1 ZINC000061254872 280460389 /nfs/dbraw/zinc/46/03/89/280460389.db2.gz ZQFIRSZTCNPGJC-UHFFFAOYSA-N 0 0 298.364 2.735 20 5 CFBDRN O=[N+]([O-])c1cccc(Cn2ccc(C(F)(F)F)n2)c1 ZINC000065948245 280467330 /nfs/dbraw/zinc/46/73/30/280467330.db2.gz CGRPXIVGJZYUIO-UHFFFAOYSA-N 0 0 271.198 2.858 20 5 CFBDRN O=C(/C=C/c1ccccc1)NCc1cccc([N+](=O)[O-])c1 ZINC000067071465 280470857 /nfs/dbraw/zinc/47/08/57/280470857.db2.gz DTHDLBDVFMPQHI-MDZDMXLPSA-N 0 0 282.299 2.924 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067726002 280472715 /nfs/dbraw/zinc/47/27/15/280472715.db2.gz PCDWXMQMNFDRCJ-RISCZKNCSA-N 0 0 291.351 2.973 20 5 CFBDRN CC(C)(NC(=O)c1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000079624811 280535548 /nfs/dbraw/zinc/53/55/48/280535548.db2.gz BDSFNGVPBCOGIK-UHFFFAOYSA-N 0 0 274.276 2.853 20 5 CFBDRN Cc1cc(N2CCC(F)(F)[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000291070972 280543205 /nfs/dbraw/zinc/54/32/05/280543205.db2.gz GZXYVLOHJSDHOC-SECBINFHSA-N 0 0 271.267 2.780 20 5 CFBDRN CSCCCC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231783 280558917 /nfs/dbraw/zinc/55/89/17/280558917.db2.gz VFSFRIZWZJZLDT-UHFFFAOYSA-N 0 0 294.376 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(CC(F)(F)F)CC2 ZINC000088605405 280561402 /nfs/dbraw/zinc/56/14/02/280561402.db2.gz IIVPNEYIZKEKNY-UHFFFAOYSA-N 0 0 260.215 2.515 20 5 CFBDRN C[C@H](NCc1ccccc1[N+](=O)[O-])c1cncc(F)c1 ZINC000089511912 280563143 /nfs/dbraw/zinc/56/31/43/280563143.db2.gz COQWTCNKKSKKPL-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN CC[C@@H](C)C(=O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000089692474 280564941 /nfs/dbraw/zinc/56/49/41/280564941.db2.gz DBBJTAKQZNTZIX-MRVPVSSYSA-N 0 0 255.245 2.728 20 5 CFBDRN COc1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1F ZINC000090066048 280567621 /nfs/dbraw/zinc/56/76/21/280567621.db2.gz KWFRPNJYQGRSFU-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1cc(N2CCc3ncsc3C2)ccc1[N+](=O)[O-] ZINC000445662134 280581664 /nfs/dbraw/zinc/58/16/64/280581664.db2.gz QLMIKXLTRSBSSY-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000105199237 280584481 /nfs/dbraw/zinc/58/44/81/280584481.db2.gz OEKBZFAKYBDKNP-GXFFZTMASA-N 0 0 277.324 2.583 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000105199223 280584580 /nfs/dbraw/zinc/58/45/80/280584580.db2.gz OEKBZFAKYBDKNP-MFKMUULPSA-N 0 0 277.324 2.583 20 5 CFBDRN Cc1cc(N2C[C@@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)ncc1[N+](=O)[O-] ZINC000450684911 280586250 /nfs/dbraw/zinc/58/62/50/280586250.db2.gz ANHVIYNDTWNEPE-IATRGZMQSA-N 0 0 285.347 2.947 20 5 CFBDRN CCC[C@H](CC)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000110310433 280592339 /nfs/dbraw/zinc/59/23/39/280592339.db2.gz FHWRVSYBJINWPQ-NSHDSACASA-N 0 0 279.340 2.945 20 5 CFBDRN COC(=O)c1sc(-c2ccc([N+](=O)[O-])cc2)nc1C ZINC000112016246 280594872 /nfs/dbraw/zinc/59/48/72/280594872.db2.gz XDLQUNSCLCMYJL-UHFFFAOYSA-N 0 0 278.289 2.813 20 5 CFBDRN Cc1cc(NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)ccn1 ZINC000112298703 280595866 /nfs/dbraw/zinc/59/58/66/280595866.db2.gz PLWHZQIEVPRPER-AATRIKPKSA-N 0 0 283.287 2.950 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1cc2ccccn2c1 ZINC000114239720 280599131 /nfs/dbraw/zinc/59/91/31/280599131.db2.gz AWVXSQDXKNETCY-UHFFFAOYSA-N 0 0 295.298 2.778 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@H](C)[C@@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000417608016 280605800 /nfs/dbraw/zinc/60/58/00/280605800.db2.gz IAIVXPSJFUSVCY-GHMZBOCLSA-N 0 0 294.351 2.917 20 5 CFBDRN CC(C)c1ccc(C[NH2+]C[C@H](C)CC(=O)[O-])cc1[N+](=O)[O-] ZINC000417618951 280605814 /nfs/dbraw/zinc/60/58/14/280605814.db2.gz KAARMSAXUXHXKF-LLVKDONJSA-N 0 0 294.351 2.919 20 5 CFBDRN C[C@H]1OCCN(Cc2c(Cl)cccc2[N+](=O)[O-])[C@H]1C ZINC000119358218 280607933 /nfs/dbraw/zinc/60/79/33/280607933.db2.gz YTARTKIDPIWRBU-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)no1 ZINC000071805227 280611449 /nfs/dbraw/zinc/61/14/49/280611449.db2.gz NBZRXLDQLYCZRZ-UHFFFAOYSA-N 0 0 262.225 2.535 20 5 CFBDRN CCN(CC)C(=O)CSCc1csc([N+](=O)[O-])c1 ZINC000120554081 280615160 /nfs/dbraw/zinc/61/51/60/280615160.db2.gz XHKVDIDXRNWACS-UHFFFAOYSA-N 0 0 288.394 2.758 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000268429077 280629278 /nfs/dbraw/zinc/62/92/78/280629278.db2.gz ZTZCVAAKZROJCK-WZRBSPASSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1c(CC(=O)NC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000126029095 280648417 /nfs/dbraw/zinc/64/84/17/280648417.db2.gz GLZAMKGFMMOEQO-UHFFFAOYSA-N 0 0 262.309 2.505 20 5 CFBDRN C[C@@H]1CN(c2cc(F)ccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000373641677 288257325 /nfs/dbraw/zinc/25/73/25/288257325.db2.gz SPLGALHGXQYNSF-OTYXRUKQSA-N 0 0 280.299 2.738 20 5 CFBDRN Cc1ccc(CCn2ccc(C)c([N+](=O)[O-])c2=O)cc1 ZINC000129753971 280695137 /nfs/dbraw/zinc/69/51/37/280695137.db2.gz KVOKANQOLASOGE-UHFFFAOYSA-N 0 0 272.304 2.616 20 5 CFBDRN Cc1c(CC(=O)Nc2ccc(O)cc2)cccc1[N+](=O)[O-] ZINC000131236976 280715082 /nfs/dbraw/zinc/71/50/82/280715082.db2.gz XIQFTCUZAIHPBS-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1ccccn1 ZINC000131619409 280720701 /nfs/dbraw/zinc/72/07/01/280720701.db2.gz UKFJZAJYZUUPCY-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC(C)(C)O1 ZINC000140311195 280791735 /nfs/dbraw/zinc/79/17/35/280791735.db2.gz FNEZGZKYYMWEQJ-JTQLQIEISA-N 0 0 293.323 2.626 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)[C@H]1C ZINC000177152409 280814363 /nfs/dbraw/zinc/81/43/63/280814363.db2.gz OOFQOGISVRLAKG-GXSJLCMTSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cccnc1/C=C/C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000184060388 280824672 /nfs/dbraw/zinc/82/46/72/280824672.db2.gz IFNILSZPGBQWLU-CMDGGOBGSA-N 0 0 297.314 2.628 20 5 CFBDRN CC[C@H]1CN(C(=O)c2c(C)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000194682381 288270232 /nfs/dbraw/zinc/27/02/32/288270232.db2.gz VLMOXOZKIQSPJZ-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@H](C)COc1ccc([N+](=O)[O-])c2ncccc12 ZINC000184972613 280831637 /nfs/dbraw/zinc/83/16/37/280831637.db2.gz DILUUYJCJDISIE-SECBINFHSA-N 0 0 262.265 2.557 20 5 CFBDRN CCOCCOc1ccc([N+](=O)[O-])c2ncccc12 ZINC000184979355 280831744 /nfs/dbraw/zinc/83/17/44/280831744.db2.gz PUXDHCDCZDBVAW-UHFFFAOYSA-N 0 0 262.265 2.558 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN[C@H](CCO)c1ccco1 ZINC000185110885 280833800 /nfs/dbraw/zinc/83/38/00/280833800.db2.gz JRTNQFGDJFMHMZ-GFCCVEGCSA-N 0 0 294.282 2.540 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C[C@H](C)C1 ZINC000188526478 280862039 /nfs/dbraw/zinc/86/20/39/280862039.db2.gz DJWXQYPSDHUEOR-WDEREUQCSA-N 0 0 291.351 2.685 20 5 CFBDRN CO[C@@H]1CC[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000189785075 280880014 /nfs/dbraw/zinc/88/00/14/280880014.db2.gz FRIPGYDMAZKOLV-ZYHUDNBSSA-N 0 0 293.323 2.592 20 5 CFBDRN CSCCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000194054228 280916759 /nfs/dbraw/zinc/91/67/59/280916759.db2.gz BPXFUXZBPMOWJW-VIFPVBQESA-N 0 0 268.338 2.525 20 5 CFBDRN Cc1cccc(C(=O)NC2CCSCC2)c1[N+](=O)[O-] ZINC000194817009 280927687 /nfs/dbraw/zinc/92/76/87/280927687.db2.gz LFYDZUPJJQBFJY-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN C[C@@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)C[C@H](C)O1 ZINC000413237350 288280445 /nfs/dbraw/zinc/28/04/45/288280445.db2.gz OXPULJYSHLAMKJ-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN CC[C@H](Nc1ncnc2sc([N+](=O)[O-])cc21)C(C)(C)O ZINC000292777496 280980495 /nfs/dbraw/zinc/98/04/95/280980495.db2.gz LNEIMFINOIHLQZ-QMMMGPOBSA-N 0 0 296.352 2.561 20 5 CFBDRN CC[C@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000334669693 281040075 /nfs/dbraw/zinc/04/00/75/281040075.db2.gz QGHLDQXOIAWUGR-NSHDSACASA-N 0 0 279.340 2.728 20 5 CFBDRN Cc1ccc2c(c1)CCN(C(=O)c1ccc([N+](=O)[O-])o1)C2 ZINC000336079371 281053095 /nfs/dbraw/zinc/05/30/95/281053095.db2.gz VQRQTKZVJPVMJF-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN Cc1cncc(/C=C\C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000255362738 281095560 /nfs/dbraw/zinc/09/55/60/281095560.db2.gz LMIRAEKRTSTRGI-UTCJRWHESA-N 0 0 298.302 2.654 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)cn1 ZINC000255377325 281096292 /nfs/dbraw/zinc/09/62/92/281096292.db2.gz ZSOHTUAVNPTWJI-VURMDHGXSA-N 0 0 283.287 2.950 20 5 CFBDRN CN(C(=O)/C=C\c1ccccc1[N+](=O)[O-])[C@@H]1CCSC1 ZINC000255414889 281097356 /nfs/dbraw/zinc/09/73/56/281097356.db2.gz KWTGOGVIIWMGLY-ZHRWSRJISA-N 0 0 292.360 2.572 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccccc1O ZINC000255449080 281098748 /nfs/dbraw/zinc/09/87/48/281098748.db2.gz DSMQBCYKUIHKPL-YFHOEESVSA-N 0 0 284.271 2.952 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@H]1CC=CCC1 ZINC000255561171 281102104 /nfs/dbraw/zinc/10/21/04/281102104.db2.gz ZWONMSDBFDIPQO-OEYXZAGESA-N 0 0 272.304 2.833 20 5 CFBDRN CSCCCCNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255845649 281108547 /nfs/dbraw/zinc/10/85/47/281108547.db2.gz PLEFYXRHCIZUSF-FPLPWBNLSA-N 0 0 294.376 2.867 20 5 CFBDRN Cc1ccc(/C=C\C(=O)NCc2cccc([N+](=O)[O-])c2)o1 ZINC000255906453 281110090 /nfs/dbraw/zinc/11/00/90/281110090.db2.gz AFTBKVIETOAORG-FPLPWBNLSA-N 0 0 286.287 2.826 20 5 CFBDRN Cn1cc2c(n1)CCC[C@H]2Nc1ccc([N+](=O)[O-])cc1 ZINC000282312644 281131290 /nfs/dbraw/zinc/13/12/90/281131290.db2.gz SQCJTNUWRFBVLJ-CYBMUJFWSA-N 0 0 272.308 2.818 20 5 CFBDRN CCCN(CC)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000299233517 281146732 /nfs/dbraw/zinc/14/67/32/281146732.db2.gz LMQYWJAPTKTSOJ-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)C[C@H]1CCCCO1 ZINC000360958614 281184670 /nfs/dbraw/zinc/18/46/70/281184670.db2.gz CCMDLCWREYMVFD-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@H](NCc1nn(C)cc1Cl)c1cccc([N+](=O)[O-])c1 ZINC000393326693 281192912 /nfs/dbraw/zinc/19/29/12/281192912.db2.gz RRIBUVLLYYCEFV-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN CCc1nn(C)c(NC[C@H](C)CC(F)(F)F)c1[N+](=O)[O-] ZINC000396905073 281198884 /nfs/dbraw/zinc/19/88/84/281198884.db2.gz JCSFZNCHNNNZOT-SSDOTTSWSA-N 0 0 294.277 2.891 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2C[C@H]3C[C@H]3C2)s1 ZINC000397805197 281202707 /nfs/dbraw/zinc/20/27/07/281202707.db2.gz XQAYDFQQUQVXQC-RNLVFQAGSA-N 0 0 276.321 2.810 20 5 CFBDRN Cc1ccnc(N[C@H]2CS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000397982977 281203286 /nfs/dbraw/zinc/20/32/86/281203286.db2.gz SHUPNHWRNXXEHW-DTWKUNHWSA-N 0 0 253.327 2.604 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])[C@H]1C1CC1 ZINC000291918140 281221359 /nfs/dbraw/zinc/22/13/59/281221359.db2.gz MZHJNYZZRLRUKH-ZDUSSCGKSA-N 0 0 292.310 2.995 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334384019 281225711 /nfs/dbraw/zinc/22/57/11/281225711.db2.gz LKMPQLUJWKVPQX-SKDRFNHKSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cnn(CCOc2ccc(Cl)cc2[N+](=O)[O-])c1 ZINC000424102641 281226277 /nfs/dbraw/zinc/22/62/77/281226277.db2.gz RAUJFJFEAYJUOV-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN C[C@H](NC(=O)CCOCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000433423675 281233860 /nfs/dbraw/zinc/23/38/60/281233860.db2.gz PLGYNAVTILRLKH-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C(F)(F)F ZINC000448559447 281241065 /nfs/dbraw/zinc/24/10/65/281241065.db2.gz UKBGFSUTDJNVBC-RKDXNWHRSA-N 0 0 274.198 2.732 20 5 CFBDRN Cc1ccc(NC(=O)C2(C(=O)C3CC3)CC2)cc1[N+](=O)[O-] ZINC000448559454 281241091 /nfs/dbraw/zinc/24/10/91/281241091.db2.gz UMRAHHAWFQKQBF-UHFFFAOYSA-N 0 0 288.303 2.601 20 5 CFBDRN CC1(C)CN(c2nc3sccn3c2[N+](=O)[O-])[C@@H]1C1CC1 ZINC000290607533 281270618 /nfs/dbraw/zinc/27/06/18/281270618.db2.gz FHXOULMJYYAEHI-SECBINFHSA-N 0 0 292.364 2.929 20 5 CFBDRN C/C=C/C=C/C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000492123759 281277696 /nfs/dbraw/zinc/27/76/96/281277696.db2.gz BYTQXGBVKNFKLE-MQQKCMAXSA-N 0 0 280.711 2.997 20 5 CFBDRN CC(C)[C@@H]1CCCN1c1ncc([N+](=O)[O-])cc1F ZINC000515798779 281297387 /nfs/dbraw/zinc/29/73/87/281297387.db2.gz MLTGXNFGUPXOHF-NSHDSACASA-N 0 0 253.277 2.754 20 5 CFBDRN CC1(C)CCN1C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000525336775 281315381 /nfs/dbraw/zinc/31/53/81/281315381.db2.gz DPFMRGVZTPSEJG-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000525399756 281316552 /nfs/dbraw/zinc/31/65/52/281316552.db2.gz BFICWRSAQWMSQF-RYUDHWBXSA-N 0 0 291.351 2.577 20 5 CFBDRN CC[C@]1(C)CCN(c2ccc(C(=O)NC)cc2[N+](=O)[O-])C1 ZINC000343543312 281317399 /nfs/dbraw/zinc/31/73/99/281317399.db2.gz YGWPAYOPZYVKAS-OAHLLOKOSA-N 0 0 291.351 2.581 20 5 CFBDRN Cc1ccccc1[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000500104540 281399136 /nfs/dbraw/zinc/39/91/36/281399136.db2.gz OWRKQEDASIBUCV-HAQNSBGRSA-N 0 0 299.330 2.907 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531628512 281435936 /nfs/dbraw/zinc/43/59/36/281435936.db2.gz AIBSYRUEEHLMLR-STQMWFEESA-N 0 0 291.351 2.934 20 5 CFBDRN C[C@H]1CCC[C@H](C)C1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531635014 281436433 /nfs/dbraw/zinc/43/64/33/281436433.db2.gz OEGUESRFKDNAKY-UWVGGRQHSA-N 0 0 277.324 2.544 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2CCC[C@H]2C1)c1ccc([N+](=O)[O-])cn1 ZINC000531634716 281436671 /nfs/dbraw/zinc/43/66/71/281436671.db2.gz XORAJHBZBUNBPR-SDDRHHMPSA-N 0 0 289.335 2.688 20 5 CFBDRN O=C(N[C@@H](c1ccccc1)C1CC1)c1ccc([N+](=O)[O-])cn1 ZINC000531633436 281436752 /nfs/dbraw/zinc/43/67/52/281436752.db2.gz XXPNFRLNVQNPMC-HNNXBMFYSA-N 0 0 297.314 2.871 20 5 CFBDRN CC(C)C1CCC(NC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000531658973 281437282 /nfs/dbraw/zinc/43/72/82/281437282.db2.gz UJARKTNKPOLWBL-UHFFFAOYSA-N 0 0 291.351 2.934 20 5 CFBDRN Cc1ccnc(NC[C@]2(C)CCO[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000372807466 281471726 /nfs/dbraw/zinc/47/17/26/281471726.db2.gz YRLYWJOZEMSEHA-ZFWWWQNUSA-N 0 0 291.351 2.915 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1ccccc1[N+](=O)[O-] ZINC000268039944 288329755 /nfs/dbraw/zinc/32/97/55/288329755.db2.gz NYYBWOKWEZUOQR-QWRGUYRKSA-N 0 0 250.298 2.599 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(c1ncccc1F)C2 ZINC000533798898 281475064 /nfs/dbraw/zinc/47/50/64/281475064.db2.gz VROPQEXVAWLENS-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN Cc1ccncc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000358564284 281482058 /nfs/dbraw/zinc/48/20/58/281482058.db2.gz FVAUBMCIVHGSBM-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cn1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c(C(F)F)n1 ZINC000534356652 281485463 /nfs/dbraw/zinc/48/54/63/281485463.db2.gz NSYAQCGCYGSBTB-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN CN(Cc1cccc(O)c1)c1ccccc1[N+](=O)[O-] ZINC000534915210 281491273 /nfs/dbraw/zinc/49/12/73/281491273.db2.gz JCWLYVFLBBSXDH-UHFFFAOYSA-N 0 0 258.277 2.937 20 5 CFBDRN C[C@@H](NCc1nnc(C2CC2)o1)c1ccccc1[N+](=O)[O-] ZINC000537125764 281532684 /nfs/dbraw/zinc/53/26/84/281532684.db2.gz DTMGOZYLQRUTRL-SECBINFHSA-N 0 0 288.307 2.706 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1cc(C)ccc1[N+](=O)[O-] ZINC000447060383 288335712 /nfs/dbraw/zinc/33/57/12/288335712.db2.gz PYADCTIASNDVEK-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN CCOc1cc(N[C@H]2CC[C@H](CO)CC2)ccc1[N+](=O)[O-] ZINC000537830652 281545537 /nfs/dbraw/zinc/54/55/37/281545537.db2.gz KVSHFYQXDXBZHT-HAQNSBGRSA-N 0 0 294.351 2.957 20 5 CFBDRN CC[C@H](C)n1nc(Nc2cc(C)c([N+](=O)[O-])cn2)cc1C ZINC000540493338 281586048 /nfs/dbraw/zinc/58/60/48/281586048.db2.gz ZQZJJZSFTNUGFU-JTQLQIEISA-N 0 0 289.339 2.940 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC[C@H]1CCCOC1 ZINC000540902283 281592445 /nfs/dbraw/zinc/59/24/45/281592445.db2.gz VSBHWPWIRMUGQK-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN CC1(O)CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000232841027 281597036 /nfs/dbraw/zinc/59/70/36/281597036.db2.gz GZHIBALHGOLLNT-UHFFFAOYSA-N 0 0 270.716 2.599 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc([C@@H]2Cc3ccccc32)no1 ZINC000544971826 281676171 /nfs/dbraw/zinc/67/61/71/281676171.db2.gz GQMFSZGFRIKRQH-GFCCVEGCSA-N 0 0 296.286 2.671 20 5 CFBDRN CC(C)c1[nH]nc(-c2nc([C@@H]3C[C@@H]3C)no2)c1[N+](=O)[O-] ZINC000545058631 281677593 /nfs/dbraw/zinc/67/75/93/281677593.db2.gz NRSZRFJCKCZWPK-NKWVEPMBSA-N 0 0 277.284 2.615 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(CNc2ccccc2[N+](=O)[O-])n1 ZINC000545064648 281678181 /nfs/dbraw/zinc/67/81/81/281678181.db2.gz PWCLXNIYALYMKC-BDAKNGLRSA-N 0 0 274.280 2.713 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(Cc2cccnc2)n1 ZINC000545694591 281691868 /nfs/dbraw/zinc/69/18/68/281691868.db2.gz BJPCEGBXEOOJHD-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN CN(C(=O)CCOc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000193767159 281721612 /nfs/dbraw/zinc/72/16/12/281721612.db2.gz NVLNFJSFIBRIAZ-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN C[C@@H](c1noc(-c2ccc([N+](=O)[O-])cn2)n1)C1CC1 ZINC000547214917 281729672 /nfs/dbraw/zinc/72/96/72/281729672.db2.gz FCJXDXWMLVUTPJ-SSDOTTSWSA-N 0 0 260.253 2.553 20 5 CFBDRN COC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000547449578 281739022 /nfs/dbraw/zinc/73/90/22/281739022.db2.gz CUEXVLLGKKEZRZ-GFCCVEGCSA-N 0 0 295.339 2.696 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCC1CC(C)(C)C1 ZINC000548692819 281795226 /nfs/dbraw/zinc/79/52/26/281795226.db2.gz AIAKKWMYCPKATR-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN CC(C)CC[C@@H]1CCC[C@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000549184617 281813261 /nfs/dbraw/zinc/81/32/61/281813261.db2.gz SXYRQCCTFHQQKW-CMPLNLGQSA-N 0 0 294.355 2.653 20 5 CFBDRN CC(C)C(C)(C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000551369912 281835948 /nfs/dbraw/zinc/83/59/48/281835948.db2.gz CXZKGKZURMLYIV-UHFFFAOYSA-N 0 0 279.340 2.558 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCO[C@@H]2CCC[C@@H]21 ZINC000551732271 281840773 /nfs/dbraw/zinc/84/07/73/281840773.db2.gz ALBFOPUIIZYXSK-DZGCQCFKSA-N 0 0 299.330 2.901 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCO[C@@H](C(C)(C)C)C2)c1 ZINC000555197749 281910226 /nfs/dbraw/zinc/91/02/26/281910226.db2.gz GAJUILFHQNDLGZ-CQSZACIVSA-N 0 0 294.351 2.855 20 5 CFBDRN CC(C)(CNC(=O)c1c[nH]nc1[N+](=O)[O-])C1=CCCCC1 ZINC000555287348 281912718 /nfs/dbraw/zinc/91/27/18/281912718.db2.gz BEIJCVGOQKVTJY-UHFFFAOYSA-N 0 0 292.339 2.574 20 5 CFBDRN C[C@H](C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C(C)(F)F ZINC000556688904 281941086 /nfs/dbraw/zinc/94/10/86/281941086.db2.gz QELFXQUNPMOJDK-SECBINFHSA-N 0 0 298.289 2.771 20 5 CFBDRN CCC[C@@H]1CCCC[C@@H]1CNC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000556830127 281948878 /nfs/dbraw/zinc/94/88/78/281948878.db2.gz RTOKJOOPZYOISA-GHMZBOCLSA-N 0 0 294.355 2.654 20 5 CFBDRN CCC[C@H]1CCCC[C@@H]1CNC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000556830130 281948881 /nfs/dbraw/zinc/94/88/81/281948881.db2.gz RTOKJOOPZYOISA-WDEREUQCSA-N 0 0 294.355 2.654 20 5 CFBDRN C[C@H](C(=O)NCC1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000557324159 281961636 /nfs/dbraw/zinc/96/16/36/281961636.db2.gz MQNJXFKDYUCZEM-VIFPVBQESA-N 0 0 298.289 2.860 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1C2CCC1CC2 ZINC000557475839 281964327 /nfs/dbraw/zinc/96/43/27/281964327.db2.gz PNDFCLYRXVZWMD-UHFFFAOYSA-N 0 0 290.319 2.821 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC[C@H](C)[C@@H]1C ZINC000557630664 281967364 /nfs/dbraw/zinc/96/73/64/281967364.db2.gz OZCSQOAEKLUHFQ-ONGXEEELSA-N 0 0 292.335 2.925 20 5 CFBDRN CCc1coc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000559243274 282003896 /nfs/dbraw/zinc/00/38/96/282003896.db2.gz ROVWIQLOEKCCKO-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Cc1cccc(CSc2ncc([N+](=O)[O-])cn2)c1 ZINC000559559965 282008043 /nfs/dbraw/zinc/00/80/43/282008043.db2.gz WQHVHROEQRPUPS-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN C[C@H](c1nc(-c2ncc[nH]2)no1)c1cccc([N+](=O)[O-])c1 ZINC000560455306 282027656 /nfs/dbraw/zinc/02/76/56/282027656.db2.gz ZHJUUEJLRNIPRT-QMMMGPOBSA-N 0 0 285.263 2.520 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CSC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000560749457 282040364 /nfs/dbraw/zinc/04/03/64/282040364.db2.gz FFHYAOWNHRYGIH-NRUUGDAUSA-N 0 0 294.376 2.566 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CSC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000560749458 282040540 /nfs/dbraw/zinc/04/05/40/282040540.db2.gz FFHYAOWNHRYGIH-OUJBWJOFSA-N 0 0 294.376 2.566 20 5 CFBDRN CCC[C@H](CC)Nc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000561175992 282048994 /nfs/dbraw/zinc/04/89/94/282048994.db2.gz POEPWQRRLCUTCD-VIFPVBQESA-N 0 0 290.323 2.656 20 5 CFBDRN CC(C)CC[C@H]1CCC[C@@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000561162253 282049183 /nfs/dbraw/zinc/04/91/83/282049183.db2.gz TXUDJGCAMCHQJK-MNOVXSKESA-N 0 0 293.371 2.814 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000561690020 282059778 /nfs/dbraw/zinc/05/97/78/282059778.db2.gz FSVJOOAEEZAXFC-RYUDHWBXSA-N 0 0 288.347 2.992 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCC(C)(C)C(C)(C)C ZINC000562069827 282066606 /nfs/dbraw/zinc/06/66/06/282066606.db2.gz QYHACYQQGREHKM-UHFFFAOYSA-N 0 0 281.356 2.735 20 5 CFBDRN C[C@@H]1C[C@H]1Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000562104467 282066638 /nfs/dbraw/zinc/06/66/38/282066638.db2.gz VLUGOXYDFYSIMD-SCZZXKLOSA-N 0 0 259.265 2.843 20 5 CFBDRN Cc1c(CN(C)c2cc(C)c([N+](=O)[O-])cc2F)cnn1C ZINC000562274150 282070293 /nfs/dbraw/zinc/07/02/93/282070293.db2.gz DSNKQKYSMKHAFF-UHFFFAOYSA-N 0 0 292.314 2.721 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1[C@@H](C)[C@H](OC)C1(C)C ZINC000361042817 288677431 /nfs/dbraw/zinc/67/74/31/288677431.db2.gz MLVCGLVQLNILJM-IEBDPFPHSA-N 0 0 296.371 2.591 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1c1ncccc1[N+](=O)[O-] ZINC000359867883 288960237 /nfs/dbraw/zinc/96/02/37/288960237.db2.gz BQZVOSBXLOEOEP-SFYZADRCSA-N 0 0 275.230 2.767 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C1CCC1 ZINC000334752679 289305214 /nfs/dbraw/zinc/30/52/14/289305214.db2.gz XKPBDDZYDVFBMD-JTQLQIEISA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1ccnc(N2CCC([C@@H]3CCCO3)CC2)c1[N+](=O)[O-] ZINC000275815915 292768898 /nfs/dbraw/zinc/76/88/98/292768898.db2.gz FBJSSHVWGDPKFN-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H](O)Cc1ccccc1 ZINC000154068832 289884778 /nfs/dbraw/zinc/88/47/78/289884778.db2.gz JJGUYCPCUFEIFI-OAHLLOKOSA-N 0 0 287.315 2.886 20 5 CFBDRN CCc1[nH]nc(NC(=O)c2cc([N+](=O)[O-])ccc2C)c1C ZINC000155828237 289927017 /nfs/dbraw/zinc/92/70/17/289927017.db2.gz HDTBRPNDJWZYJK-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN CC1(C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)CCC1 ZINC000156608494 289941312 /nfs/dbraw/zinc/94/13/12/289941312.db2.gz QOSKDOCSZIXWHJ-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158389986 289974836 /nfs/dbraw/zinc/97/48/36/289974836.db2.gz XWGMKRANEFXUBW-GXTWGEPZSA-N 0 0 262.309 2.994 20 5 CFBDRN C[C@@H](C(=O)N1C[C@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000562400387 290040423 /nfs/dbraw/zinc/04/04/23/290040423.db2.gz SQBXRYOZMHSQSC-GRYCIOLGSA-N 0 0 276.336 2.955 20 5 CFBDRN C[C@@H](C(=O)N1CCC=C(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000562406046 290040853 /nfs/dbraw/zinc/04/08/53/290040853.db2.gz KOUPXBRWDULMCF-SNVBAGLBSA-N 0 0 278.283 2.784 20 5 CFBDRN CCO[C@@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000562437918 290043208 /nfs/dbraw/zinc/04/32/08/290043208.db2.gz NBYCAOIBBNQCKB-GHMZBOCLSA-N 0 0 299.714 2.547 20 5 CFBDRN Cc1nc(N[C@H]2CCc3ccc(F)cc32)ncc1[N+](=O)[O-] ZINC000562691837 290067438 /nfs/dbraw/zinc/06/74/38/290067438.db2.gz ODRLVIMVLYTPFB-LBPRGKRZSA-N 0 0 288.282 2.932 20 5 CFBDRN Cc1nc(N2CC[C@H](c3cccnc3)C2)ccc1[N+](=O)[O-] ZINC000563052862 290098179 /nfs/dbraw/zinc/09/81/79/290098179.db2.gz FUGYWCCDZIGDPJ-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN COC(=O)[C@H](CNc1ccc([N+](=O)[O-])cc1C)CC1CC1 ZINC000563244569 290122860 /nfs/dbraw/zinc/12/28/60/290122860.db2.gz BYEKDYGVXYSGMW-LBPRGKRZSA-N 0 0 292.335 2.904 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](O)CC(C)(C)C1 ZINC000563423529 290148524 /nfs/dbraw/zinc/14/85/24/290148524.db2.gz GKDXMRRLTNYVSO-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2NCc1ccco1 ZINC000563820355 290177116 /nfs/dbraw/zinc/17/71/16/290177116.db2.gz FXPGESDILDVFGJ-ZDUSSCGKSA-N 0 0 258.277 2.965 20 5 CFBDRN Cc1ccnc(S[C@H]2CCO[C@@H]2C)c1[N+](=O)[O-] ZINC000301817177 292793516 /nfs/dbraw/zinc/79/35/16/292793516.db2.gz KAVJYAGTWMQGQW-BDAKNGLRSA-N 0 0 254.311 2.568 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000564446430 290209589 /nfs/dbraw/zinc/20/95/89/290209589.db2.gz PCLPQTLWWBYHKI-NXEZZACHSA-N 0 0 261.325 2.856 20 5 CFBDRN Cc1ccc(NC(=O)C2(c3cccnc3)CC2)cc1[N+](=O)[O-] ZINC000564769046 290230555 /nfs/dbraw/zinc/23/05/55/290230555.db2.gz LXODFRYBBNBAOE-UHFFFAOYSA-N 0 0 297.314 2.969 20 5 CFBDRN CCC[C@@](C)(O)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000564892446 290245252 /nfs/dbraw/zinc/24/52/52/290245252.db2.gz LFBYXTRXJLKKFE-OAHLLOKOSA-N 0 0 293.367 2.832 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2c1ccc([N+](=O)[O-])c2cnccc21 ZINC000565067184 290264483 /nfs/dbraw/zinc/26/44/83/290264483.db2.gz MLXMGNUHNCONOY-PHIMTYICSA-N 0 0 297.314 2.843 20 5 CFBDRN CCCc1coc(NC(=O)Cc2ccccc2[N+](=O)[O-])n1 ZINC000565171710 290275929 /nfs/dbraw/zinc/27/59/29/290275929.db2.gz ORSHEQOVTDUCDN-UHFFFAOYSA-N 0 0 289.291 2.717 20 5 CFBDRN CC(F)(F)CNC/C=C\c1ccccc1[N+](=O)[O-] ZINC000565258601 290290354 /nfs/dbraw/zinc/29/03/54/290290354.db2.gz KMJQUKOCWIIEHY-XQRVVYSFSA-N 0 0 256.252 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2csc(-c3ncon3)n2)cc1 ZINC000565388465 290298711 /nfs/dbraw/zinc/29/87/11/290298711.db2.gz IQUHXXVXPIXZCT-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN C[C@@H](O)[C@H](C)Sc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000565418513 290300265 /nfs/dbraw/zinc/30/02/65/290300265.db2.gz VDZWCZRODAKIDP-BDAKNGLRSA-N 0 0 282.365 2.730 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@H](F)C1 ZINC000565418832 290300453 /nfs/dbraw/zinc/30/04/53/290300453.db2.gz HCTRUFLBQCWPQZ-VHSXEESVSA-N 0 0 295.314 2.647 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](NC2CC2)C(F)(F)F)cc1 ZINC000565486373 290304488 /nfs/dbraw/zinc/30/44/88/290304488.db2.gz LEKDTFVBQODLDA-JTQLQIEISA-N 0 0 260.215 2.950 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1CCc2cccc(O)c21 ZINC000565590792 290311716 /nfs/dbraw/zinc/31/17/16/290311716.db2.gz CFDUNRRTNQHFIM-ZDUSSCGKSA-N 0 0 298.298 2.969 20 5 CFBDRN Cc1sc(C(=O)NCCOCC2CCC2)cc1[N+](=O)[O-] ZINC000565650604 290315135 /nfs/dbraw/zinc/31/51/35/290315135.db2.gz YPDHQFYGNNZJOI-UHFFFAOYSA-N 0 0 298.364 2.511 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000566139942 290352412 /nfs/dbraw/zinc/35/24/12/290352412.db2.gz IMBOTCLVRBOTTP-VXGBXAGGSA-N 0 0 291.351 2.925 20 5 CFBDRN CC(C)(C)OCCn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000566229698 290360151 /nfs/dbraw/zinc/36/01/51/290360151.db2.gz LLVXOHQRUWHSME-UHFFFAOYSA-N 0 0 290.319 2.725 20 5 CFBDRN C[C@@H](O)CCCn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000566472244 290389899 /nfs/dbraw/zinc/38/98/99/290389899.db2.gz DCRPXAOAPRUCHJ-LLVKDONJSA-N 0 0 275.308 2.619 20 5 CFBDRN CCCCOCCNc1c(OC)cccc1[N+](=O)[O-] ZINC000566477504 290391930 /nfs/dbraw/zinc/39/19/30/290391930.db2.gz DGYIPHQVCIOOEX-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN CCC[C@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])C(=O)OC ZINC000566484466 290393822 /nfs/dbraw/zinc/39/38/22/290393822.db2.gz UNLCQICASFUGBM-OLZOCXBDSA-N 0 0 292.335 2.513 20 5 CFBDRN CC(=O)[C@@H](C)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000566497890 290395322 /nfs/dbraw/zinc/39/53/22/290395322.db2.gz NWDRFUIZYDXNJH-MRVPVSSYSA-N 0 0 257.286 2.945 20 5 CFBDRN COCC1(Nc2ccc3ncccc3c2[N+](=O)[O-])CC1 ZINC000566535705 290398413 /nfs/dbraw/zinc/39/84/13/290398413.db2.gz UGWZUELVDOSOIR-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@H]1CCCC[C@@]1(C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000454386164 290407901 /nfs/dbraw/zinc/40/79/01/290407901.db2.gz XENCBVHSZGULJG-TVQRCGJNSA-N 0 0 265.313 2.622 20 5 CFBDRN CCN(C(=O)CNc1ccccc1[N+](=O)[O-])[C@H](C)C(C)C ZINC000567281666 290452257 /nfs/dbraw/zinc/45/22/57/290452257.db2.gz JSFZDBCISHGDCK-GFCCVEGCSA-N 0 0 293.367 2.900 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000567750037 290490857 /nfs/dbraw/zinc/49/08/57/290490857.db2.gz FHVHIPJSJOCTPK-PWSUYJOCSA-N 0 0 291.351 2.706 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000568635985 290563430 /nfs/dbraw/zinc/56/34/30/290563430.db2.gz YARZVQRCAOKJDC-GXFFZTMASA-N 0 0 295.339 2.513 20 5 CFBDRN C[C@@H](CCC1CCCCC1)NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000568652976 290565030 /nfs/dbraw/zinc/56/50/30/290565030.db2.gz UONOSCDMTIUKLL-JTQLQIEISA-N 0 0 294.355 2.797 20 5 CFBDRN CCC(C)(C)C(=O)COc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000569020747 290583485 /nfs/dbraw/zinc/58/34/85/290583485.db2.gz FEKQOWCFWPIFHM-UHFFFAOYSA-N 0 0 280.324 2.991 20 5 CFBDRN Cc1cnc(N2CCC[C@@](C)(F)C2)c([N+](=O)[O-])c1 ZINC000295021938 292906643 /nfs/dbraw/zinc/90/66/43/292906643.db2.gz UXNDYJYDGIPFMF-GFCCVEGCSA-N 0 0 253.277 2.627 20 5 CFBDRN Cc1csc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000158713347 293048798 /nfs/dbraw/zinc/04/87/98/293048798.db2.gz AZZJJOQUNCCGDC-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN COC[C@H]1CCCCN1c1ccccc1[N+](=O)[O-] ZINC000450933384 293204508 /nfs/dbraw/zinc/20/45/08/293204508.db2.gz DJRVUKQYHOWPLU-LLVKDONJSA-N 0 0 250.298 2.600 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000036749282 293745034 /nfs/dbraw/zinc/74/50/34/293745034.db2.gz XGJVRCAQIKVMTO-WCBMZHEXSA-N 0 0 252.318 2.627 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000295626845 294070302 /nfs/dbraw/zinc/07/03/02/294070302.db2.gz RPLWRZSPPCHXHJ-GFCCVEGCSA-N 0 0 286.328 2.929 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000279303124 294127546 /nfs/dbraw/zinc/12/75/46/294127546.db2.gz BYVCQBAWESTGQW-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000288644023 297076897 /nfs/dbraw/zinc/07/68/97/297076897.db2.gz ZHCSQXJCOQGROS-SECBINFHSA-N 0 0 268.288 2.738 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCC[C@H]2C)c1 ZINC000067297356 295254028 /nfs/dbraw/zinc/25/40/28/295254028.db2.gz OFZAHJHWESWUHK-MFKMUULPSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCO[C@H](C3CCC3)C2)c1 ZINC000413483283 295254120 /nfs/dbraw/zinc/25/41/20/295254120.db2.gz WWNNGRMQKRSUNT-HNNXBMFYSA-N 0 0 292.335 2.609 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)Nc1nccs1 ZINC000360854732 295551415 /nfs/dbraw/zinc/55/14/15/295551415.db2.gz VFCFLYCDXWWIQE-UHFFFAOYSA-N 0 0 299.258 2.511 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc21)[C@]12C[C@H]1CCC2 ZINC000421417264 295583289 /nfs/dbraw/zinc/58/32/89/295583289.db2.gz BRCMFXUCGKYHMI-IAQYHMDHSA-N 0 0 272.304 2.674 20 5 CFBDRN C[C@@]1(F)CCCN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000450551920 297905983 /nfs/dbraw/zinc/90/59/83/297905983.db2.gz GGWXTSIZFRGUMC-CQSZACIVSA-N 0 0 290.298 2.866 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000075279254 300059111 /nfs/dbraw/zinc/05/91/11/300059111.db2.gz JSDKSMGULWWRSL-QWRGUYRKSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@H]1CSCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000287929943 300386588 /nfs/dbraw/zinc/38/65/88/300386588.db2.gz XEQAWZNARDYDLK-SECBINFHSA-N 0 0 270.329 2.923 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1S(=O)(=O)NC1(C)CCCC1 ZINC000049078706 301014066 /nfs/dbraw/zinc/01/40/66/301014066.db2.gz WARRHAQCNWGQAP-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCO[C@@H]3CCCC[C@@H]32)n1 ZINC000408171864 301204413 /nfs/dbraw/zinc/20/44/13/301204413.db2.gz OQSBLLBQDKGRMF-QWHCGFSZSA-N 0 0 291.351 2.754 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCO[C@@H](C(C)(C)C)C2)n1 ZINC000447798784 301204480 /nfs/dbraw/zinc/20/44/80/301204480.db2.gz AVCUJCRMYFJTFH-GFCCVEGCSA-N 0 0 293.367 2.858 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCSCC[C@@H]2C)n1 ZINC000357949280 301204838 /nfs/dbraw/zinc/20/48/38/301204838.db2.gz UPXWSMXCDLYYBH-NSHDSACASA-N 0 0 281.381 2.938 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@]3(CCCOC3)C2)n1 ZINC000408343678 301853307 /nfs/dbraw/zinc/85/33/07/301853307.db2.gz RNJSOGNJAFLBQN-HNNXBMFYSA-N 0 0 291.351 2.695 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H](C)[C@H]2C)c1 ZINC000268784093 301854215 /nfs/dbraw/zinc/85/42/15/301854215.db2.gz DGMGBAQKZSOQLQ-GHMZBOCLSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC12CCC2 ZINC000336490202 302037390 /nfs/dbraw/zinc/03/73/90/302037390.db2.gz GELPYEXFAMXYQF-UHFFFAOYSA-N 0 0 260.293 2.672 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@@](C)(F)C1 ZINC000295763722 302037659 /nfs/dbraw/zinc/03/76/59/302037659.db2.gz OOHQBVDXPBCYAI-CQSZACIVSA-N 0 0 280.299 2.867 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCSC(C)(C)C1 ZINC000194817159 302038236 /nfs/dbraw/zinc/03/82/36/302038236.db2.gz PVZNBHUJIIISQT-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1ccnc(N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000301924360 302278772 /nfs/dbraw/zinc/27/87/72/302278772.db2.gz GPEUEUKCCDXWSV-JGPRNRPPSA-N 0 0 265.313 2.666 20 5 CFBDRN Cc1cn2c(n1)CN(c1c(Cl)cccc1[N+](=O)[O-])CC2 ZINC000366613631 302324146 /nfs/dbraw/zinc/32/41/46/302324146.db2.gz KAHIRIOTJZEJEG-UHFFFAOYSA-N 0 0 292.726 2.773 20 5 CFBDRN Cc1cnc(N2CCOC[C@H]2c2ccccc2)c([N+](=O)[O-])c1 ZINC000487680791 302351669 /nfs/dbraw/zinc/35/16/69/302351669.db2.gz VHQIODJWYZVXLU-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1csc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])n1 ZINC000151636156 302429363 /nfs/dbraw/zinc/42/93/63/302429363.db2.gz HPBFJNQAWHYHQP-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1nc(N2CCCC[C@@H]2c2ncc[nH]2)ccc1[N+](=O)[O-] ZINC000268425523 302598275 /nfs/dbraw/zinc/59/82/75/302598275.db2.gz AALNOPTXJRQJMZ-GFCCVEGCSA-N 0 0 287.323 2.753 20 5 CFBDRN Cc1nc(N2CCC[C@H]2CC(C)C)ncc1[N+](=O)[O-] ZINC000450295615 302599270 /nfs/dbraw/zinc/59/92/70/302599270.db2.gz ZRIWGTOSRFMELG-NSHDSACASA-N 0 0 264.329 2.708 20 5 CFBDRN Cc1nn(C)c(N2CCc3ccc(Cl)cc32)c1[N+](=O)[O-] ZINC000301294115 302786463 /nfs/dbraw/zinc/78/64/63/302786463.db2.gz RIKZJUZQDPMRSW-UHFFFAOYSA-N 0 0 292.726 2.984 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCSc2ccccc21 ZINC000008337131 303021239 /nfs/dbraw/zinc/02/12/39/303021239.db2.gz ZGWGGNDBYSQNEQ-SNVBAGLBSA-N 0 0 290.348 2.977 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2ncc([N+](=O)[O-])cc2F)C1 ZINC000413259226 533703323 /nfs/dbraw/zinc/70/33/23/533703323.db2.gz DBJYAZDACCBIHF-DTWKUNHWSA-N 0 0 253.277 2.977 20 5 CFBDRN CCCc1noc(CSc2ccc([N+](=O)[O-])cn2)n1 ZINC000159675891 322326292 /nfs/dbraw/zinc/32/62/92/322326292.db2.gz MFOQKTFXVRLBGK-UHFFFAOYSA-N 0 0 280.309 2.618 20 5 CFBDRN CCc1noc([C@H](C)Sc2ccc([N+](=O)[O-])cn2)n1 ZINC000159759212 322328040 /nfs/dbraw/zinc/32/80/40/322328040.db2.gz YICOLAVAVJUOGM-ZETCQYMHSA-N 0 0 280.309 2.789 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)NCC(F)F ZINC000159811490 322328651 /nfs/dbraw/zinc/32/86/51/322328651.db2.gz ZMOSCZQACNDMEQ-UHFFFAOYSA-N 0 0 290.291 2.702 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000160275445 322335812 /nfs/dbraw/zinc/33/58/12/322335812.db2.gz KAHIVLGZYHAODS-OCCSQVGLSA-N 0 0 262.309 2.994 20 5 CFBDRN Cc1cccnc1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000160338598 322336811 /nfs/dbraw/zinc/33/68/11/322336811.db2.gz QEMDGNTUHBNYAY-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000160421614 322338024 /nfs/dbraw/zinc/33/80/24/322338024.db2.gz GBMYLEUYCNYNCG-WFASDCNBSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1occc1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161376114 322351058 /nfs/dbraw/zinc/35/10/58/322351058.db2.gz HKEUDLVYKFQMES-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN Cn1ncnc1NCc1ccc(-c2ccccc2[N+](=O)[O-])o1 ZINC000162201254 322361423 /nfs/dbraw/zinc/36/14/23/322361423.db2.gz MVKWIZDZTNRLFK-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN CCn1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2C)cn1 ZINC000163084595 322372472 /nfs/dbraw/zinc/37/24/72/322372472.db2.gz MVPJHTHLWOWCNC-UHFFFAOYSA-N 0 0 289.295 2.764 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2ccncc2C)n1 ZINC000166656399 322387720 /nfs/dbraw/zinc/38/77/20/322387720.db2.gz KUAQWOQNGAJYMD-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=C1Cc2ccccc2N1CCNc1ccc([N+](=O)[O-])cc1 ZINC000170641245 322391094 /nfs/dbraw/zinc/39/10/94/322391094.db2.gz FSMIMMHJZJUXPP-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN Cc1cccc(N(C)CC(=O)Nc2ccccc2[N+](=O)[O-])c1 ZINC000170729313 322393210 /nfs/dbraw/zinc/39/32/10/322393210.db2.gz OSJOSKSHXUCRHT-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN COC(=O)c1coc(COc2cccc([N+](=O)[O-])c2)c1 ZINC000171409788 322405565 /nfs/dbraw/zinc/40/55/65/322405565.db2.gz CUROHGAKFVDSBM-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN COc1cccc(CSc2ncccc2[N+](=O)[O-])n1 ZINC000171990455 322418020 /nfs/dbraw/zinc/41/80/20/322418020.db2.gz RWLCISUHMVGPHT-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nccc3ccc([N+](=O)[O-])cc32)CO1 ZINC000569600774 322484435 /nfs/dbraw/zinc/48/44/35/322484435.db2.gz ZEGUVQHQHVZEFY-MWLCHTKSSA-N 0 0 273.292 2.732 20 5 CFBDRN CCCc1coc(NC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000570174309 322518498 /nfs/dbraw/zinc/51/84/98/322518498.db2.gz WLKRYEBLWATKRG-UHFFFAOYSA-N 0 0 281.293 2.849 20 5 CFBDRN COc1cccc(N2CC[C@H](c3cccnc3)C2)c1[N+](=O)[O-] ZINC000570326796 322526206 /nfs/dbraw/zinc/52/62/06/322526206.db2.gz HBQFEODXKZICBZ-ZDUSSCGKSA-N 0 0 299.330 2.992 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@](C)(OC)C1CC1 ZINC000570826745 322554143 /nfs/dbraw/zinc/55/41/43/322554143.db2.gz JLNDZWCICACKSH-AWEZNQCLSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1nc(N2CCC[C@@H]2C2CCCC2)ncc1[N+](=O)[O-] ZINC000570913150 322558595 /nfs/dbraw/zinc/55/85/95/322558595.db2.gz CAVJITGZADMNJJ-GFCCVEGCSA-N 0 0 276.340 2.852 20 5 CFBDRN CC(=O)c1cc(COc2cccc([N+](=O)[O-])c2C)on1 ZINC000573400622 322655041 /nfs/dbraw/zinc/65/50/41/322655041.db2.gz HBEJNDAIMCESFR-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)NCc1cccc([N+](=O)[O-])c1 ZINC000573670066 322664468 /nfs/dbraw/zinc/66/44/68/322664468.db2.gz DXSBJUHJCQPPRC-DYEKYZERSA-N 0 0 274.320 2.647 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(SC[C@H]2CCCO2)n1 ZINC000573871463 322672113 /nfs/dbraw/zinc/67/21/13/322672113.db2.gz MOKCJXXZAHYISE-SNVBAGLBSA-N 0 0 268.338 2.878 20 5 CFBDRN CC(F)(F)CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000574067467 322679740 /nfs/dbraw/zinc/67/97/40/322679740.db2.gz SBBYYGLVKMINSB-UHFFFAOYSA-N 0 0 278.642 2.633 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000574348388 322690055 /nfs/dbraw/zinc/69/00/55/322690055.db2.gz JFHVVFPFESDVMP-WCQYABFASA-N 0 0 291.351 2.925 20 5 CFBDRN CC1(C(=O)NCCc2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000575023863 322715312 /nfs/dbraw/zinc/71/53/12/322715312.db2.gz ISIPDFKEGNHGAC-UHFFFAOYSA-N 0 0 287.319 2.535 20 5 CFBDRN CO[C@@H](CSc1ncccc1[N+](=O)[O-])C1CC1 ZINC000575374591 322725099 /nfs/dbraw/zinc/72/50/99/322725099.db2.gz NXBMINJTJRYGBL-JTQLQIEISA-N 0 0 254.311 2.507 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCC[C@@H](F)C2)nc2sccn21 ZINC000575746424 322727826 /nfs/dbraw/zinc/72/78/26/322727826.db2.gz VQSUUNZEFJYOPZ-SFYZADRCSA-N 0 0 284.316 2.997 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000177209457 323691593 /nfs/dbraw/zinc/69/15/93/323691593.db2.gz SIRMAWNVPOSJQX-SWLSCSKDSA-N 0 0 292.335 2.656 20 5 CFBDRN Cc1[nH]ccc1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000178849478 323726042 /nfs/dbraw/zinc/72/60/42/323726042.db2.gz BQPNEQAYOPEXFL-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cn1nccc1COc1c(Cl)cccc1[N+](=O)[O-] ZINC000180698540 323789301 /nfs/dbraw/zinc/78/93/01/323789301.db2.gz MRQPFKSXUZSVGZ-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN Cc1nn(Cc2ccc(F)cc2C)c(C)c1[N+](=O)[O-] ZINC000181834286 323829432 /nfs/dbraw/zinc/82/94/32/323829432.db2.gz IQKOJZCAUAWUQZ-UHFFFAOYSA-N 0 0 263.272 2.904 20 5 CFBDRN CSCCCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000182791565 323881864 /nfs/dbraw/zinc/88/18/64/323881864.db2.gz HASHQHASYLSKQA-UHFFFAOYSA-N 0 0 252.339 2.710 20 5 CFBDRN CCOC(=O)CCCCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000182775470 323882289 /nfs/dbraw/zinc/88/22/89/323882289.db2.gz LYJNPIFANZJZNN-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN CCCN(C)C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000183389199 323915937 /nfs/dbraw/zinc/91/59/37/323915937.db2.gz AUQDCKHUMFGKJV-VIFPVBQESA-N 0 0 268.288 2.706 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C[C@@H]1C ZINC000183470568 323917474 /nfs/dbraw/zinc/91/74/74/323917474.db2.gz YEMRCUYJPPDTOU-KXUCPTDWSA-N 0 0 266.297 2.742 20 5 CFBDRN COc1ccc(OCc2cncc(F)c2)c([N+](=O)[O-])c1 ZINC000185188477 323960417 /nfs/dbraw/zinc/96/04/17/323960417.db2.gz POFLVEIAHJALMY-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)co1 ZINC000187606349 323999040 /nfs/dbraw/zinc/99/90/40/323999040.db2.gz XQQGWXBPJCYCCK-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN CCC(CC)NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000192894266 324066347 /nfs/dbraw/zinc/06/63/47/324066347.db2.gz CGWNOSLAJPJCKC-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)c1cccs1 ZINC000193583761 324074250 /nfs/dbraw/zinc/07/42/50/324074250.db2.gz ISNAXSNDKLRKSP-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)CC(C)(C)C ZINC000193583836 324074254 /nfs/dbraw/zinc/07/42/54/324074254.db2.gz QIDJYAALTWWFSX-UHFFFAOYSA-N 0 0 280.324 2.656 20 5 CFBDRN CCn1cc(NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000194292811 324086201 /nfs/dbraw/zinc/08/62/01/324086201.db2.gz MIJDXCBTVAEXBN-UHFFFAOYSA-N 0 0 289.295 2.764 20 5 CFBDRN COc1ccccc1[C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000349424495 324173290 /nfs/dbraw/zinc/17/32/90/324173290.db2.gz ONUAIGCVULPBLD-JTQLQIEISA-N 0 0 287.319 2.902 20 5 CFBDRN CC(C)(c1nc(-c2ncc[nH]2)no1)c1ccccc1[N+](=O)[O-] ZINC000350531110 324224807 /nfs/dbraw/zinc/22/48/07/324224807.db2.gz SQHCTTALKCLDIB-UHFFFAOYSA-N 0 0 299.290 2.694 20 5 CFBDRN CCc1[nH]nc(-c2nc(-c3ccsc3)no2)c1[N+](=O)[O-] ZINC000350532446 324224814 /nfs/dbraw/zinc/22/48/14/324224814.db2.gz FPRUKNPBGZWSOG-UHFFFAOYSA-N 0 0 291.292 2.659 20 5 CFBDRN COC[C@H](C)Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350827311 324264339 /nfs/dbraw/zinc/26/43/39/324264339.db2.gz ZTNBBTMAFXKAAS-SSDOTTSWSA-N 0 0 283.309 2.531 20 5 CFBDRN C[C@H]1CC/C(=C\c2nc(-c3c([N+](=O)[O-])ncn3C)no2)C1 ZINC000350903166 324279332 /nfs/dbraw/zinc/27/93/32/324279332.db2.gz QPUNCBRYCMQKRI-CYXIBPNKSA-N 0 0 289.295 2.582 20 5 CFBDRN Cc1ocnc1-c1noc(-c2cc([N+](=O)[O-])ccc2C)n1 ZINC000351087725 324308746 /nfs/dbraw/zinc/30/87/46/324308746.db2.gz ZCHNUZJQYMLIAD-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN CC(C)(c1nc(-c2ccc([N+](=O)[O-])o2)no1)C(F)F ZINC000351139914 324324595 /nfs/dbraw/zinc/32/45/95/324324595.db2.gz AXUVAOMHMXXHHL-UHFFFAOYSA-N 0 0 273.195 2.781 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCO1 ZINC000351146808 324327529 /nfs/dbraw/zinc/32/75/29/324327529.db2.gz NIVLMFPMPOLNNP-HTQZYQBOSA-N 0 0 279.252 2.520 20 5 CFBDRN C[C@@H]1[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1(F)F ZINC000351147800 324328517 /nfs/dbraw/zinc/32/85/17/324328517.db2.gz OXJAEDJPBBQZEC-FBCQKBJTSA-N 0 0 271.179 2.606 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cn1)C1CCCC1 ZINC000382815417 324433184 /nfs/dbraw/zinc/43/31/84/324433184.db2.gz ZLDJNSWIXPVBCZ-LLVKDONJSA-N 0 0 250.302 2.766 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CC23CCOCC3)c(Cl)c1 ZINC000386912724 324483682 /nfs/dbraw/zinc/48/36/82/324483682.db2.gz CUFUOIIMJMYYHY-JTQLQIEISA-N 0 0 283.715 2.624 20 5 CFBDRN Cc1nnc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000391792204 324526772 /nfs/dbraw/zinc/52/67/72/324526772.db2.gz AMZGEPFFYJRSQS-QMMMGPOBSA-N 0 0 278.337 2.606 20 5 CFBDRN Cc1cccc(N2C[C@@H]3CC[C@H](O)C[C@H]3C2)c1[N+](=O)[O-] ZINC000398921316 324552689 /nfs/dbraw/zinc/55/26/89/324552689.db2.gz QPJJTGCTMCEWNF-AVGNSLFASA-N 0 0 276.336 2.500 20 5 CFBDRN CC(C)c1cnn(CCOc2ccc([N+](=O)[O-])cc2)c1 ZINC000575987799 324563191 /nfs/dbraw/zinc/56/31/91/324563191.db2.gz KWBJEBLGYHBYAJ-UHFFFAOYSA-N 0 0 275.308 2.994 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1CCC[C@H]1F)c1ccc([N+](=O)[O-])cc1F ZINC000576614167 324638532 /nfs/dbraw/zinc/63/85/32/324638532.db2.gz VFMMRUOAESIOIH-DYLAAIIWSA-N 0 0 298.289 2.844 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCSC1 ZINC000577231699 324714986 /nfs/dbraw/zinc/71/49/86/324714986.db2.gz PMTLJDJTEPOLBE-SECBINFHSA-N 0 0 268.338 2.768 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H]2CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000578215248 324829290 /nfs/dbraw/zinc/82/92/90/324829290.db2.gz SOHVODDWECZZKV-BXKDBHETSA-N 0 0 278.308 2.982 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@H](C2CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000578293057 324835089 /nfs/dbraw/zinc/83/50/89/324835089.db2.gz XBSJOWMWMNCMBY-RISCZKNCSA-N 0 0 288.347 2.957 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H](C2CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000578293058 324835239 /nfs/dbraw/zinc/83/52/39/324835239.db2.gz XBSJOWMWMNCMBY-SMDDNHRTSA-N 0 0 288.347 2.957 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCc2scnc2C)c1 ZINC000578505888 324858475 /nfs/dbraw/zinc/85/84/75/324858475.db2.gz QBSFXDCMLAKISN-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)CC(F)F ZINC000578511467 324859500 /nfs/dbraw/zinc/85/95/00/324859500.db2.gz RFKBUWCKICPQAJ-ZETCQYMHSA-N 0 0 287.266 2.866 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1C[C@@H]2C[C@H]1CS2 ZINC000580047823 325001722 /nfs/dbraw/zinc/00/17/22/325001722.db2.gz GUXGMRFHLBEMFM-UWVGGRQHSA-N 0 0 287.344 2.837 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCCC(C)(C)O ZINC000580570062 325047818 /nfs/dbraw/zinc/04/78/18/325047818.db2.gz NUGXFPVMNSBSCU-UHFFFAOYSA-N 0 0 285.365 2.998 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCOC[C@@H]1C ZINC000580580441 325048420 /nfs/dbraw/zinc/04/84/20/325048420.db2.gz BJNHQLKMMYAHPH-WDEREUQCSA-N 0 0 280.324 2.688 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000580648810 325052834 /nfs/dbraw/zinc/05/28/34/325052834.db2.gz MBMNSBDODRVUKW-UONOGXRCSA-N 0 0 274.320 2.994 20 5 CFBDRN COC(=O)c1cc(N[C@@H]2CC[C@H](F)C2)cc(C)c1[N+](=O)[O-] ZINC000581153636 325095513 /nfs/dbraw/zinc/09/55/13/325095513.db2.gz XGKBITRPETXWJO-VHSXEESVSA-N 0 0 296.298 2.992 20 5 CFBDRN C[C@@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@@H](C)S1 ZINC000581350156 325112728 /nfs/dbraw/zinc/11/27/28/325112728.db2.gz OJLYMDBPVFULCS-RKDXNWHRSA-N 0 0 292.364 2.801 20 5 CFBDRN O=c1oc(-c2ccccc2[N+](=O)[O-])nn1[C@@H]1C=CCCC1 ZINC000582359310 325198596 /nfs/dbraw/zinc/19/85/96/325198596.db2.gz FYGVQYJZIIXXTE-SNVBAGLBSA-N 0 0 287.275 2.693 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)C(C)C ZINC000582911037 325244496 /nfs/dbraw/zinc/24/44/96/325244496.db2.gz NLDLHSZZMAOZQZ-SECBINFHSA-N 0 0 265.313 2.867 20 5 CFBDRN C[C@H](Nc1ccc2c(n1)CCC2=O)c1ccc([N+](=O)[O-])cc1 ZINC000583362864 325281249 /nfs/dbraw/zinc/28/12/49/325281249.db2.gz CBSMSLQRBLOOFT-JTQLQIEISA-N 0 0 297.314 2.714 20 5 CFBDRN CC(C)CSCCCn1cc([N+](=O)[O-])cc(F)c1=O ZINC000583440689 325287333 /nfs/dbraw/zinc/28/73/33/325287333.db2.gz QXLKABJQRRMYIE-UHFFFAOYSA-N 0 0 288.344 2.675 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC2(CCC2)C1 ZINC000583763955 325309486 /nfs/dbraw/zinc/30/94/86/325309486.db2.gz QLSSWTDOMKTDOL-UHFFFAOYSA-N 0 0 262.309 2.984 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1C[C@@H]1C ZINC000584233596 325339672 /nfs/dbraw/zinc/33/96/72/325339672.db2.gz BBBDGEBOXDFHHO-DTWKUNHWSA-N 0 0 263.297 2.621 20 5 CFBDRN Nc1cnc(-c2nc3cc4ccccc4cc3c(=O)[nH]2)cn1 ZINC000562292607 327464532 /nfs/dbraw/zinc/46/45/32/327464532.db2.gz HIGYHIQRSLMZHZ-UHFFFAOYSA-N 0 0 289.298 2.528 20 5 CFBDRN CCN(C)C(=O)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000444863420 330826987 /nfs/dbraw/zinc/82/69/87/330826987.db2.gz YLIXSFMMLOSCHF-UHFFFAOYSA-N 0 0 280.327 2.773 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050703333 519791168 /nfs/dbraw/zinc/79/11/68/519791168.db2.gz SGJAEQJMLOBBRV-MRVPVSSYSA-N 0 0 299.758 2.947 20 5 CFBDRN CCCCNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000161565127 520680439 /nfs/dbraw/zinc/68/04/39/520680439.db2.gz PAEWAWPTQYOGKO-UHFFFAOYSA-N 0 0 261.281 2.606 20 5 CFBDRN CC(C)C[C@@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000272043467 500612148 /nfs/dbraw/zinc/61/21/48/500612148.db2.gz VFWYTRHWBNPBHB-NWDGAFQWSA-N 0 0 279.340 2.995 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000186570044 500629487 /nfs/dbraw/zinc/62/94/87/500629487.db2.gz FUKWEMBIAZKTIV-HIFRSBDPSA-N 0 0 276.336 2.893 20 5 CFBDRN CO[C@@H](CNc1c2c(ccc1[N+](=O)[O-])NCC2)C(C)C ZINC000436385431 500827513 /nfs/dbraw/zinc/82/75/13/500827513.db2.gz HSKLKJUVPUJHGK-ZDUSSCGKSA-N 0 0 279.340 2.646 20 5 CFBDRN CC1(F)CC(NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000334962102 520752032 /nfs/dbraw/zinc/75/20/32/520752032.db2.gz PCNGNINCSLLRMP-UHFFFAOYSA-N 0 0 267.260 2.607 20 5 CFBDRN Cc1cc(C(=O)NCCC(F)(F)F)cc([N+](=O)[O-])c1 ZINC000362505023 500952289 /nfs/dbraw/zinc/95/22/89/500952289.db2.gz CUAYURQHVMAMDX-UHFFFAOYSA-N 0 0 276.214 2.585 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CCC(F)(F)C2)c1=O ZINC000335928881 500964764 /nfs/dbraw/zinc/96/47/64/500964764.db2.gz QQYMWESZZYWLOZ-VIFPVBQESA-N 0 0 272.251 2.500 20 5 CFBDRN Cc1ccnc(NC[C@@]2(C)CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000267049870 500990027 /nfs/dbraw/zinc/99/00/27/500990027.db2.gz FCRHYURMWXAXQK-BXUZGUMPSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1cnc(N[C@@H](C)[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000272058136 500992281 /nfs/dbraw/zinc/99/22/81/500992281.db2.gz ZFMULJHRFADHRJ-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(CS(=O)(=O)Oc2ccccc2)cc1 ZINC000039422774 649868470 /nfs/dbraw/zinc/86/84/70/649868470.db2.gz CNYMFZVYIQQYOJ-UHFFFAOYSA-N 0 0 293.300 2.504 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429903181 533724534 /nfs/dbraw/zinc/72/45/34/533724534.db2.gz QDSRAWDXGAKOQE-GHMZBOCLSA-N 0 0 276.336 2.645 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000172495292 533805047 /nfs/dbraw/zinc/80/50/47/533805047.db2.gz FKQWJHUQLROWDJ-LLVKDONJSA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1c(CNC(=O)C2CC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000355180397 533850330 /nfs/dbraw/zinc/85/03/30/533850330.db2.gz ASQCNQCCPKGSLS-UHFFFAOYSA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2N)cc1 ZINC000175731157 533864950 /nfs/dbraw/zinc/86/49/50/533864950.db2.gz KJHXGMAWDOFBGX-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2)[C@H](C)O1 ZINC000413541093 533876860 /nfs/dbraw/zinc/87/68/60/533876860.db2.gz VPAFBFPJBBEHAR-OUAUKWLOSA-N 0 0 250.298 2.820 20 5 CFBDRN COc1cccc2c1C[C@H](Nc1ncc([N+](=O)[O-])cc1C)C2 ZINC000413427894 533893621 /nfs/dbraw/zinc/89/36/21/533893621.db2.gz ONPHQLYMBIHKKH-GFCCVEGCSA-N 0 0 299.330 2.886 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000178146451 533912050 /nfs/dbraw/zinc/91/20/50/533912050.db2.gz QFAVYAAYLGRTJY-IDDPWSFUSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@H](CCO)C1(CNc2ncc([N+](=O)[O-])cc2F)CCC1 ZINC000413220730 533948446 /nfs/dbraw/zinc/94/84/46/533948446.db2.gz HFOOIXLFBBONER-SNVBAGLBSA-N 0 0 297.330 2.730 20 5 CFBDRN COc1ccc(NC(=O)CC2(C)CC2)cc1[N+](=O)[O-] ZINC000428156647 534062755 /nfs/dbraw/zinc/06/27/55/534062755.db2.gz HAJQIEXHBBABRQ-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN C[C@@H](CO)CSc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413161693 534113572 /nfs/dbraw/zinc/11/35/72/534113572.db2.gz DODDYVZHTITMOY-VIFPVBQESA-N 0 0 278.333 2.864 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000428096609 534188354 /nfs/dbraw/zinc/18/83/54/534188354.db2.gz BATMASIXRFAVDX-IWIIMEHWSA-N 0 0 292.360 2.845 20 5 CFBDRN COC(=O)c1cccc(OCCC(C)(F)F)c1[N+](=O)[O-] ZINC000413018331 534279754 /nfs/dbraw/zinc/27/97/54/534279754.db2.gz QUKDFXIGLYFYNS-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN COC(=O)c1cnc(N[C@H]2C[C@]2(C)C(C)C)c([N+](=O)[O-])c1 ZINC000413436447 534307120 /nfs/dbraw/zinc/30/71/20/534307120.db2.gz NJYRSVXGBRVVPV-SMDDNHRTSA-N 0 0 293.323 2.623 20 5 CFBDRN COCc1cccc(Nc2ncc([N+](=O)[O-])s2)c1 ZINC000190314584 518098591 /nfs/dbraw/zinc/09/85/91/518098591.db2.gz BZGXPXWOJFVEHO-UHFFFAOYSA-N 0 0 265.294 2.941 20 5 CFBDRN O=C(NC[C@@H]1CCCCO1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000042821429 518199826 /nfs/dbraw/zinc/19/98/26/518199826.db2.gz OYOOMFQNTFCBAX-JTQLQIEISA-N 0 0 298.726 2.547 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2ccc(F)cc2C1 ZINC000361055362 518203170 /nfs/dbraw/zinc/20/31/70/518203170.db2.gz DRLPAHOQMDQSGE-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ncccc1C ZINC000426073638 534345260 /nfs/dbraw/zinc/34/52/60/534345260.db2.gz BOGBEKOOOZJQBN-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)CCc1ccccn1 ZINC000360842421 518411242 /nfs/dbraw/zinc/41/12/42/518411242.db2.gz NSPNJUDCMLVPGU-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN CC(C)(C(=O)N1CC2(CC2)C1)c1ccc([N+](=O)[O-])cc1F ZINC000335391722 518509373 /nfs/dbraw/zinc/50/93/73/518509373.db2.gz VFZLVEVDROLHNF-UHFFFAOYSA-N 0 0 292.310 2.634 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CCC[C@@H]1F)c1ccccc1[N+](=O)[O-] ZINC000340849614 518530163 /nfs/dbraw/zinc/53/01/63/518530163.db2.gz NHVWCNGOCSSINH-NWDGAFQWSA-N 0 0 294.326 2.879 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000508775616 534371267 /nfs/dbraw/zinc/37/12/67/534371267.db2.gz WRWJDGWMQKZAID-GNXNZQSNSA-N 0 0 288.347 2.787 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1ccccc1[N+](=O)[O-] ZINC000227357356 518694701 /nfs/dbraw/zinc/69/47/01/518694701.db2.gz HKRHKRXFEZJAOJ-JTQLQIEISA-N 0 0 252.314 2.804 20 5 CFBDRN CC(C)(C)c1cccc(OCCn2cnc([N+](=O)[O-])n2)c1 ZINC000072624856 519055910 /nfs/dbraw/zinc/05/59/10/519055910.db2.gz KNHMAGJCVZBXHM-UHFFFAOYSA-N 0 0 290.323 2.563 20 5 CFBDRN CC(C)(C)n1cc(NC(=O)c2ccccc2[N+](=O)[O-])cn1 ZINC000065923831 519162589 /nfs/dbraw/zinc/16/25/89/519162589.db2.gz KPIQCFXDRVYDHX-UHFFFAOYSA-N 0 0 288.307 2.799 20 5 CFBDRN CC(C)(C)/C=C\C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000491896556 534406834 /nfs/dbraw/zinc/40/68/34/534406834.db2.gz UYOZEGMPVOOOMS-FPLPWBNLSA-N 0 0 262.309 2.813 20 5 CFBDRN CC(C)(CO)CCNc1ccc([N+](=O)[O-])cc1F ZINC000294953710 519279627 /nfs/dbraw/zinc/27/96/27/519279627.db2.gz MHRKSAUPCPEHAC-UHFFFAOYSA-N 0 0 256.277 2.554 20 5 CFBDRN CC(C)=CCNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000191792175 519436984 /nfs/dbraw/zinc/43/69/84/519436984.db2.gz FFPKWVZLRRLWPB-UHFFFAOYSA-N 0 0 285.303 2.839 20 5 CFBDRN CC(C)Cc1noc(CNc2cc([N+](=O)[O-])ccc2F)n1 ZINC000069001959 519477087 /nfs/dbraw/zinc/47/70/87/519477087.db2.gz MOROYJBWPMAYGW-UHFFFAOYSA-N 0 0 294.286 2.928 20 5 CFBDRN CC(C)Cn1nccc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000078237733 519540798 /nfs/dbraw/zinc/54/07/98/519540798.db2.gz UVHBDMLPJREAKV-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN CC(C)N(C(=O)c1cccc([N+](=O)[O-])c1N)C1CCC1 ZINC000176843342 519580756 /nfs/dbraw/zinc/58/07/56/519580756.db2.gz NPBMYTNMKOYPIO-UHFFFAOYSA-N 0 0 277.324 2.580 20 5 CFBDRN CC(C)CC1(C)CCN(c2c([N+](=O)[O-])ncn2C)CC1 ZINC000341072006 519624623 /nfs/dbraw/zinc/62/46/23/519624623.db2.gz ZRWTZIPNXPFXCH-UHFFFAOYSA-N 0 0 280.372 2.981 20 5 CFBDRN CC(C)CC1(CNC(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000150741915 519631904 /nfs/dbraw/zinc/63/19/04/519631904.db2.gz XWRGUXRRRWNHDG-UHFFFAOYSA-N 0 0 291.351 2.733 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1ncccn1 ZINC000191960402 519656002 /nfs/dbraw/zinc/65/60/02/519656002.db2.gz CQACCUMTMQAWEC-UHFFFAOYSA-N 0 0 286.335 2.795 20 5 CFBDRN CC(C)CNc1c(Br)cncc1[N+](=O)[O-] ZINC000231628670 519749660 /nfs/dbraw/zinc/74/96/60/519749660.db2.gz UZGXUYPQRPCPBQ-UHFFFAOYSA-N 0 0 274.118 2.820 20 5 CFBDRN CC(C)OCCCCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000182369012 519760588 /nfs/dbraw/zinc/76/05/88/519760588.db2.gz INPMJHDWYTYBAM-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336176292 519802898 /nfs/dbraw/zinc/80/28/98/519802898.db2.gz VZLIDIOAJFDADP-SNVBAGLBSA-N 0 0 280.299 2.852 20 5 CFBDRN CCC1(CNC(=O)c2c(N)cccc2[N+](=O)[O-])CCCC1 ZINC000181199992 519841893 /nfs/dbraw/zinc/84/18/93/519841893.db2.gz HHQBADOMULDRET-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN CCOCCN(CC)c1ccc([N+](=O)[O-])c(C)c1 ZINC000338275966 519910965 /nfs/dbraw/zinc/91/09/65/519910965.db2.gz NYLDHSIDXLEEKI-UHFFFAOYSA-N 0 0 252.314 2.766 20 5 CFBDRN CCN(C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)[C@@H]1CCOC1 ZINC000361704267 520000534 /nfs/dbraw/zinc/00/05/34/520000534.db2.gz HGZKKCVOKHGIJW-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN CCN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)c1ccccc1 ZINC000048106390 520087177 /nfs/dbraw/zinc/08/71/77/520087177.db2.gz OMTLVFUBIWLEAQ-UHFFFAOYSA-N 0 0 285.303 2.844 20 5 CFBDRN CCCCCCNC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000049037863 520092394 /nfs/dbraw/zinc/09/23/94/520092394.db2.gz JTPVRFJPEAVTHO-UHFFFAOYSA-N 0 0 280.324 2.914 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000361209259 520389071 /nfs/dbraw/zinc/38/90/71/520389071.db2.gz DQTVUTYJWZTSFL-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN CCN(CC(F)F)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000182495201 520423228 /nfs/dbraw/zinc/42/32/28/520423228.db2.gz NQQWXSSKYMDDCF-BQYQJAHWSA-N 0 0 284.262 2.722 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000182496250 520428271 /nfs/dbraw/zinc/42/82/71/520428271.db2.gz BQPYLHYIFDWRAM-UHFFFAOYSA-N 0 0 272.251 2.630 20 5 CFBDRN CCCCN(CC)C(=O)COc1cc(C)ccc1[N+](=O)[O-] ZINC000061366060 520460741 /nfs/dbraw/zinc/46/07/41/520460741.db2.gz CWAFHAHUHMAZJX-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN CCCCN(CC)C(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161406927 520473284 /nfs/dbraw/zinc/47/32/84/520473284.db2.gz YSHDAUQSQTUIEG-UHFFFAOYSA-N 0 0 279.340 2.899 20 5 CFBDRN CCO[C@H](CC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000048963726 520500702 /nfs/dbraw/zinc/50/07/02/520500702.db2.gz SXBLHQAEZBJFIW-GFCCVEGCSA-N 0 0 266.297 2.657 20 5 CFBDRN CC1(C)COCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000048927623 520505121 /nfs/dbraw/zinc/50/51/21/520505121.db2.gz JGFZQFVEGTXBRB-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1nc(N[C@H](C2CC2)C2CCC2)ncc1[N+](=O)[O-] ZINC000413352548 534498350 /nfs/dbraw/zinc/49/83/50/534498350.db2.gz CHHVZIQFLTYZBY-LBPRGKRZSA-N 0 0 262.313 2.684 20 5 CFBDRN CCCCN(CCOC)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000069027448 520532146 /nfs/dbraw/zinc/53/21/46/520532146.db2.gz PDKRLXXJRXLIAO-UHFFFAOYSA-N 0 0 295.339 2.875 20 5 CFBDRN CCCCN(CCOC)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000068140157 520533414 /nfs/dbraw/zinc/53/34/14/520533414.db2.gz HCEHWJMZFSSLGT-UHFFFAOYSA-N 0 0 298.314 2.623 20 5 CFBDRN CC1(C)[C@H](Nc2ccc(F)cc2[N+](=O)[O-])[C@H]2CCO[C@@H]21 ZINC000085632485 520671593 /nfs/dbraw/zinc/67/15/93/520671593.db2.gz WJPXBDVJKGHXNK-WQAKAFBOSA-N 0 0 280.299 2.959 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000128965522 520677854 /nfs/dbraw/zinc/67/78/54/520677854.db2.gz TUWYKSCOFYVBQE-QWHCGFSZSA-N 0 0 287.319 2.714 20 5 CFBDRN CCOc1cc(N(C)C2CC(OCC)C2)ccc1[N+](=O)[O-] ZINC000340924295 520749183 /nfs/dbraw/zinc/74/91/83/520749183.db2.gz UFHDRTKTVABYAJ-UHFFFAOYSA-N 0 0 294.351 2.997 20 5 CFBDRN CCOc1cc(NC[C@](C)(O)CC)ccc1[N+](=O)[O-] ZINC000087029678 520761564 /nfs/dbraw/zinc/76/15/64/520761564.db2.gz AKLIDPXNARPGDZ-CYBMUJFWSA-N 0 0 268.313 2.566 20 5 CFBDRN CCCCO[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000049178216 520840805 /nfs/dbraw/zinc/84/08/05/520840805.db2.gz IUWJZPMAVWNNFS-SNVBAGLBSA-N 0 0 266.297 2.739 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000362015908 520886818 /nfs/dbraw/zinc/88/68/18/520886818.db2.gz SAUKQYWLEJLSTB-NXEZZACHSA-N 0 0 263.297 2.673 20 5 CFBDRN CCN(CC1CC1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000069913691 520950910 /nfs/dbraw/zinc/95/09/10/520950910.db2.gz OWPBRGGRJZSBGB-UHFFFAOYSA-N 0 0 263.297 2.859 20 5 CFBDRN CC1CN(C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C1 ZINC000338189415 521055454 /nfs/dbraw/zinc/05/54/54/521055454.db2.gz IZDPHFJMDYZTQT-UHFFFAOYSA-N 0 0 292.338 2.773 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000360066799 521189227 /nfs/dbraw/zinc/18/92/27/521189227.db2.gz SHSRJAGNKCRPSZ-SECBINFHSA-N 0 0 284.287 2.653 20 5 CFBDRN CCCC[C@H](COC)Nc1c([N+](=O)[O-])nc(C)n1CC ZINC000360986374 521201086 /nfs/dbraw/zinc/20/10/86/521201086.db2.gz TVPHZAPREXTFCF-LLVKDONJSA-N 0 0 284.360 2.737 20 5 CFBDRN CCCCc1cc(NC(=O)c2csc([N+](=O)[O-])c2)n[nH]1 ZINC000049496347 521262073 /nfs/dbraw/zinc/26/20/73/521262073.db2.gz BKQREKKXCKDMCH-UHFFFAOYSA-N 0 0 294.336 2.974 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H]3CCC[C@@H]32)c1 ZINC000338575319 521278989 /nfs/dbraw/zinc/27/89/89/521278989.db2.gz NTOGXUYNAGRRSQ-MFKMUULPSA-N 0 0 290.319 2.618 20 5 CFBDRN CCN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000361589611 521279068 /nfs/dbraw/zinc/27/90/68/521279068.db2.gz QCIXCHMLJMBIBG-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H](C)C2)c1 ZINC000338454397 521279634 /nfs/dbraw/zinc/27/96/34/521279634.db2.gz KVQRDMBMXUHDJE-GHMZBOCLSA-N 0 0 292.335 2.912 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C=CCCC2)c1 ZINC000338456228 521280809 /nfs/dbraw/zinc/28/08/09/521280809.db2.gz ZRHZDPSGEQCBOV-NSHDSACASA-N 0 0 290.319 2.832 20 5 CFBDRN CCC(C)(C)CNC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000232847984 521288362 /nfs/dbraw/zinc/28/83/62/521288362.db2.gz HSHUZGULNBGHIB-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN CCCCc1nc(Cn2cc([N+](=O)[O-])cn2)cs1 ZINC000073528977 521309403 /nfs/dbraw/zinc/30/94/03/521309403.db2.gz VUFBHEHGEKLASX-UHFFFAOYSA-N 0 0 266.326 2.639 20 5 CFBDRN CCC[C@]1(CO)CCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000341046982 521319737 /nfs/dbraw/zinc/31/97/37/521319737.db2.gz NSNLHJRSHHNSST-HNNXBMFYSA-N 0 0 293.367 2.596 20 5 CFBDRN CCC(C)(C)NC(=O)[C@@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418529 521335546 /nfs/dbraw/zinc/33/55/46/521335546.db2.gz JQLDSWKWMGIFBE-SECBINFHSA-N 0 0 297.380 2.775 20 5 CFBDRN CCC(CC)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000048807301 521498979 /nfs/dbraw/zinc/49/89/79/521498979.db2.gz HCDKCHZMTDRVDV-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN CCC(CC)CNc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000189271619 521535327 /nfs/dbraw/zinc/53/53/27/521535327.db2.gz KRPZSZNGWOJCMV-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN CCCN(CC(C)(C)O)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000354496634 521577749 /nfs/dbraw/zinc/57/77/49/521577749.db2.gz ILDQWLXRDUDCAW-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN CCCN(CC)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000340148139 521597342 /nfs/dbraw/zinc/59/73/42/521597342.db2.gz IOKYCWDERHLVHA-UHFFFAOYSA-N 0 0 280.324 2.622 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000067544466 521623347 /nfs/dbraw/zinc/62/33/47/521623347.db2.gz IZJHFAGTKAGAIT-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCCN(CCC)C(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000073626294 521637205 /nfs/dbraw/zinc/63/72/05/521637205.db2.gz WVNSAFIIWVCKDP-UHFFFAOYSA-N 0 0 279.340 2.655 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000157272804 521783031 /nfs/dbraw/zinc/78/30/31/521783031.db2.gz ZJKBZYUUJRCBFT-VHSXEESVSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000353350410 521888530 /nfs/dbraw/zinc/88/85/30/521888530.db2.gz ULPFBRIUVNYQOO-JOYOIKCWSA-N 0 0 266.297 2.594 20 5 CFBDRN COC(=O)c1cc(OCCC2CC2)ccc1[N+](=O)[O-] ZINC000340680162 521902083 /nfs/dbraw/zinc/90/20/83/521902083.db2.gz WCTNDYIFDCXQBS-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000057378820 521924623 /nfs/dbraw/zinc/92/46/23/521924623.db2.gz VQULYLKJRKFJAH-RYUDHWBXSA-N 0 0 294.351 2.915 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000057307563 521934163 /nfs/dbraw/zinc/93/41/63/521934163.db2.gz LINWHSPXKUNJRJ-IUCAKERBSA-N 0 0 268.288 2.898 20 5 CFBDRN CCc1cccnc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360529289 521963816 /nfs/dbraw/zinc/96/38/16/521963816.db2.gz PPBZJOXUPIOAHS-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000340667984 521964548 /nfs/dbraw/zinc/96/45/48/521964548.db2.gz CCBREMALYVSCSU-SECBINFHSA-N 0 0 268.288 2.852 20 5 CFBDRN COC(=O)c1ccc(COc2cccc([N+](=O)[O-])c2C)o1 ZINC000071912287 522047056 /nfs/dbraw/zinc/04/70/56/522047056.db2.gz IPXHGFPTRJOZBB-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CC[C@@H](C)N(CCO)c1cc(C)c([N+](=O)[O-])cc1F ZINC000311933347 522088900 /nfs/dbraw/zinc/08/89/00/522088900.db2.gz GQEUHMIEMWITOB-SNVBAGLBSA-N 0 0 270.304 2.640 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC(C)(C)C)n1 ZINC000166873243 522177159 /nfs/dbraw/zinc/17/71/59/522177159.db2.gz XQWAKYDQOGGFEE-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCC(C)(C)OC)c1 ZINC000234552921 522178694 /nfs/dbraw/zinc/17/86/94/522178694.db2.gz JXMWNMGZGPPEFW-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Sc2ccccc2)n1 ZINC000166881312 522178902 /nfs/dbraw/zinc/17/89/02/522178902.db2.gz RSQCJPNMXXNPHE-UHFFFAOYSA-N 0 0 290.300 2.928 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Sc2ncco2)c1 ZINC000234581984 522179697 /nfs/dbraw/zinc/17/96/97/522179697.db2.gz CZSUIEZTLHVXAH-UHFFFAOYSA-N 0 0 280.261 2.521 20 5 CFBDRN COc1cc(CN[C@H](C)c2ccccn2)ccc1[N+](=O)[O-] ZINC000361147293 522486032 /nfs/dbraw/zinc/48/60/32/522486032.db2.gz UEFRUHRQYBQXBA-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN COc1cc(CNc2ccc([N+](=O)[O-])cn2)ccc1C ZINC000048894246 522492018 /nfs/dbraw/zinc/49/20/18/522492018.db2.gz JIIBUPRTMPEYAF-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CNc1c(C(=O)N2CC[C@@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000360938237 522538069 /nfs/dbraw/zinc/53/80/69/522538069.db2.gz SAGLTEANROICFT-LLVKDONJSA-N 0 0 289.335 2.509 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000163207836 522540106 /nfs/dbraw/zinc/54/01/06/522540106.db2.gz IAOWYHTXYQURCJ-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN COc1cc(N(C)Cc2ccoc2)ccc1[N+](=O)[O-] ZINC000360976077 522622250 /nfs/dbraw/zinc/62/22/50/522622250.db2.gz XWNVUVFUJBIOCR-UHFFFAOYSA-N 0 0 262.265 2.833 20 5 CFBDRN CCc1nn(C)c(N2CC[C@H](C)C3(CCC3)C2)c1[N+](=O)[O-] ZINC000378412752 522668941 /nfs/dbraw/zinc/66/89/41/522668941.db2.gz BFBPUMFOWHRWTR-NSHDSACASA-N 0 0 292.383 2.907 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@@H]2OC)ccc1[N+](=O)[O-] ZINC000340952587 522744291 /nfs/dbraw/zinc/74/42/91/522744291.db2.gz KLDPGZGJFXHAMD-MFKMUULPSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cc(NCCc2cccnc2)c(F)cc1[N+](=O)[O-] ZINC000218840772 522745437 /nfs/dbraw/zinc/74/54/37/522745437.db2.gz LYMNLFDVFXBQFK-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN COc1cc(NCc2ccoc2)c(F)cc1[N+](=O)[O-] ZINC000218847212 522751193 /nfs/dbraw/zinc/75/11/93/522751193.db2.gz YLHWGEGBFBSWRP-UHFFFAOYSA-N 0 0 266.228 2.948 20 5 CFBDRN CN(CCCNc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000051449009 522773920 /nfs/dbraw/zinc/77/39/20/522773920.db2.gz DJHSDMCBESBVGZ-UHFFFAOYSA-N 0 0 286.335 2.928 20 5 CFBDRN COC(=O)C1(N(C)Cc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000159278941 522837172 /nfs/dbraw/zinc/83/71/72/522837172.db2.gz NSBXQFYOWYGXTL-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN CN(CCOCc1ccccc1)c1ccncc1[N+](=O)[O-] ZINC000361042869 522904100 /nfs/dbraw/zinc/90/41/00/522904100.db2.gz BMUCAANHDQKLDP-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@]1(C)CCCO1 ZINC000152545977 522938821 /nfs/dbraw/zinc/93/88/21/522938821.db2.gz WDUHNOGVTREVHD-CQSZACIVSA-N 0 0 280.324 2.722 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC(=O)c1ccccc1 ZINC000050505877 522980801 /nfs/dbraw/zinc/98/08/01/522980801.db2.gz YWRRKJIHPQXVMG-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157289853 523058956 /nfs/dbraw/zinc/05/89/56/523058956.db2.gz UQMKLRBNWBSYFU-CMPLNLGQSA-N 0 0 291.351 2.876 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@H]1CC[C@H](C)C1 ZINC000340867597 523141088 /nfs/dbraw/zinc/14/10/88/523141088.db2.gz LFTWVMIQBFQDRP-ONGXEEELSA-N 0 0 266.345 2.744 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](CC(C)C)C1 ZINC000360993277 523144646 /nfs/dbraw/zinc/14/46/46/523144646.db2.gz AKEQPWTWBLFXKI-LBPRGKRZSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000361060117 523146724 /nfs/dbraw/zinc/14/67/24/523146724.db2.gz UOSMKRQTKXHNAO-VXGBXAGGSA-N 0 0 296.371 2.735 20 5 CFBDRN COCc1csc(-c2ccccc2[N+](=O)[O-])n1 ZINC000231720505 523152912 /nfs/dbraw/zinc/15/29/12/523152912.db2.gz RFSTZKGCYYYUFY-UHFFFAOYSA-N 0 0 250.279 2.865 20 5 CFBDRN COc1ccc(-c2nc(-c3ccncc3)no2)cc1[N+](=O)[O-] ZINC000074454796 523187998 /nfs/dbraw/zinc/18/79/98/523187998.db2.gz QRJJJAYWXIZQNX-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000339206413 523196651 /nfs/dbraw/zinc/19/66/51/523196651.db2.gz POSPMZHEGVMMAP-RISCZKNCSA-N 0 0 291.351 2.997 20 5 CFBDRN CC[C@](C)(O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000087029607 523512715 /nfs/dbraw/zinc/51/27/15/523512715.db2.gz KLXZYTMFIUMJOJ-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182577906 523533655 /nfs/dbraw/zinc/53/36/55/523533655.db2.gz LAJKJTOOWCWEHV-GXFFZTMASA-N 0 0 278.308 2.739 20 5 CFBDRN CCn1ccnc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000051923697 523539900 /nfs/dbraw/zinc/53/99/00/523539900.db2.gz SMWJMDYNCSTUMO-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN COc1ccc(C(=O)COc2ccccc2[N+](=O)[O-])cc1 ZINC000005109375 523588155 /nfs/dbraw/zinc/58/81/55/523588155.db2.gz SZAXQTJQLJHCNO-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN CCc1[nH]ccc1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335814804 523663130 /nfs/dbraw/zinc/66/31/30/523663130.db2.gz MOQFJYXEDRZKHF-UHFFFAOYSA-N 0 0 299.330 2.684 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H](CCO)CC(C)C ZINC000192640173 523669265 /nfs/dbraw/zinc/66/92/65/523669265.db2.gz BDZNOXDXFUHYMR-GFCCVEGCSA-N 0 0 298.387 2.576 20 5 CFBDRN CC[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000060349515 523675840 /nfs/dbraw/zinc/67/58/40/523675840.db2.gz KEVGXFBTTBDVRZ-LLVKDONJSA-N 0 0 258.705 2.821 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000133961382 523729979 /nfs/dbraw/zinc/72/99/79/523729979.db2.gz TZRZQJKHKIUWOL-ZANVPECISA-N 0 0 263.297 2.673 20 5 CFBDRN CN(C(=O)C1Cc2ccccc2C1)c1cccc([N+](=O)[O-])c1 ZINC000336137361 523791772 /nfs/dbraw/zinc/79/17/72/523791772.db2.gz MWZWUNPMUIPDJC-UHFFFAOYSA-N 0 0 296.326 2.973 20 5 CFBDRN CCc1cc(NC(C)=O)c2cc([N+](=O)[O-])ccc2n1 ZINC000160860785 523819390 /nfs/dbraw/zinc/81/93/90/523819390.db2.gz LVZVICAHRQZIOM-UHFFFAOYSA-N 0 0 259.265 2.664 20 5 CFBDRN CN(C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CCSC1 ZINC000334792943 523883548 /nfs/dbraw/zinc/88/35/48/523883548.db2.gz RVJGVHDUSRTGDM-SNVBAGLBSA-N 0 0 299.327 2.703 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000080692021 523901784 /nfs/dbraw/zinc/90/17/84/523901784.db2.gz GNTSSDJQBBOKFF-IUCAKERBSA-N 0 0 297.330 2.871 20 5 CFBDRN CN(C(=O)[C@H]1C[C@@H]1c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000158380895 523911452 /nfs/dbraw/zinc/91/14/52/523911452.db2.gz HZZWFPCZPBIGIL-CABCVRRESA-N 0 0 297.314 2.756 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000231682808 524001246 /nfs/dbraw/zinc/00/12/46/524001246.db2.gz GTERTXLCOWLMCY-NEPJUHHUSA-N 0 0 250.298 2.883 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000231683173 524001932 /nfs/dbraw/zinc/00/19/32/524001932.db2.gz WDTUFIJGFBBYEI-ZJUUUORDSA-N 0 0 254.261 2.713 20 5 CFBDRN CCc1ccc(OCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000172025394 524005634 /nfs/dbraw/zinc/00/56/34/524005634.db2.gz GVNUMIRMUZPYNM-UHFFFAOYSA-N 0 0 265.309 2.963 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CO[C@H](C)C1 ZINC000362345309 524057445 /nfs/dbraw/zinc/05/74/45/524057445.db2.gz MKLQSWSCZPHLOR-KOLCDFICSA-N 0 0 278.308 2.521 20 5 CFBDRN COc1ccc(CNC(=O)c2cccs2)cc1[N+](=O)[O-] ZINC000340398723 524067851 /nfs/dbraw/zinc/06/78/51/524067851.db2.gz VERHSEARKTWTNY-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C[C@H]2CCCO2)cc([N+](=O)[O-])c1 ZINC000333975424 524118783 /nfs/dbraw/zinc/11/87/83/524118783.db2.gz BQFMGZUVIARHQB-SMDDNHRTSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000157094157 524134496 /nfs/dbraw/zinc/13/44/96/524134496.db2.gz VMBPXHHBNNUFTP-JOYOIKCWSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000157262627 524134883 /nfs/dbraw/zinc/13/48/83/524134883.db2.gz UPNUKIDSHNTLIT-BXKDBHETSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000340034693 524161710 /nfs/dbraw/zinc/16/17/10/524161710.db2.gz ZPWGCNACGMGCGX-CMPLNLGQSA-N 0 0 262.309 2.761 20 5 CFBDRN Cc1nccn1CCCCNc1ncc([N+](=O)[O-])cc1F ZINC000413160303 534768820 /nfs/dbraw/zinc/76/88/20/534768820.db2.gz LWWFIVOSTSVGMO-UHFFFAOYSA-N 0 0 293.302 2.526 20 5 CFBDRN C[C@H](CF)NC(=O)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000360589937 524275212 /nfs/dbraw/zinc/27/52/12/524275212.db2.gz AEGCPHNWCZYMQE-SNVBAGLBSA-N 0 0 298.317 2.769 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCCOC(F)F)n1 ZINC000337830287 524335403 /nfs/dbraw/zinc/33/54/03/524335403.db2.gz VPBSTISUHMRPLH-UHFFFAOYSA-N 0 0 275.255 2.648 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@]1(C)C[C@@H]1F ZINC000335179259 524340452 /nfs/dbraw/zinc/34/04/52/524340452.db2.gz XPVYMIVDFIWWEJ-WCQYABFASA-N 0 0 266.272 2.898 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000334070750 524355942 /nfs/dbraw/zinc/35/59/42/524355942.db2.gz PJQBWGBOYVTGRW-DTWKUNHWSA-N 0 0 268.338 2.822 20 5 CFBDRN Cc1cccc(CCNC(=O)Cc2ccc([N+](=O)[O-])cc2)c1 ZINC000338659667 524356029 /nfs/dbraw/zinc/35/60/29/524356029.db2.gz JIBXNNBHKSUJHH-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1ncc([N+](=O)[O-])cc1Br ZINC000336649147 524374765 /nfs/dbraw/zinc/37/47/65/524374765.db2.gz GSJAIDXAFZNYQH-RCOVLWMOSA-N 0 0 286.129 2.963 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000171998687 524429013 /nfs/dbraw/zinc/42/90/13/524429013.db2.gz OMZIBOMQMPKWRN-ZETCQYMHSA-N 0 0 254.311 2.575 20 5 CFBDRN C[C@H](CNc1ccncc1[N+](=O)[O-])c1nccs1 ZINC000230609027 524512572 /nfs/dbraw/zinc/51/25/72/524512572.db2.gz JARHMPLISFTHKV-MRVPVSSYSA-N 0 0 264.310 2.662 20 5 CFBDRN C[C@H](CO)CCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000230147371 524523461 /nfs/dbraw/zinc/52/34/61/524523461.db2.gz ULAMECCQFKUFNT-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@H](CN1CCCC1=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000049236279 524599970 /nfs/dbraw/zinc/59/99/70/524599970.db2.gz KTMNVZHZUMTQOV-VIFPVBQESA-N 0 0 297.742 2.671 20 5 CFBDRN COc1ccc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])cn1 ZINC000151750688 524624693 /nfs/dbraw/zinc/62/46/93/524624693.db2.gz ZPWUROZQQPGBSJ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1ccc(NC(=O)c2cnc(C)s2)c([N+](=O)[O-])c1 ZINC000195217331 524651432 /nfs/dbraw/zinc/65/14/32/524651432.db2.gz SNKSUQSQKVHKMQ-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000336514495 524683215 /nfs/dbraw/zinc/68/32/15/524683215.db2.gz RARWTJAPVSYHQI-MNOVXSKESA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000158404306 524696279 /nfs/dbraw/zinc/69/62/79/524696279.db2.gz UJOMNFAVAMQKBU-KOLCDFICSA-N 0 0 263.297 2.905 20 5 CFBDRN Cc1cccc(N[C@H]2CCn3ccnc3C2)c1[N+](=O)[O-] ZINC000338702068 524699939 /nfs/dbraw/zinc/69/99/39/524699939.db2.gz BNGMBCBOHGMSRO-NSHDSACASA-N 0 0 272.308 2.527 20 5 CFBDRN C[C@@H](CO)CSCc1ccc([N+](=O)[O-])cc1F ZINC000336696039 524777963 /nfs/dbraw/zinc/77/79/63/524777963.db2.gz IOLJDVPEXGGUGW-QMMMGPOBSA-N 0 0 259.302 2.596 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000336540253 524892952 /nfs/dbraw/zinc/89/29/52/524892952.db2.gz LOYXNHZJGUFVSX-YUMQZZPRSA-N 0 0 267.260 2.749 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCOCC2(CC2)C1 ZINC000364918191 524907039 /nfs/dbraw/zinc/90/70/39/524907039.db2.gz WTHMWYSLUSCVME-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCOCC1CC1 ZINC000224510244 524907516 /nfs/dbraw/zinc/90/75/16/524907516.db2.gz RWJFQVOFMSFREN-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN COc1coc(CSc2cccc([N+](=O)[O-])c2)cc1=O ZINC000337904784 524945898 /nfs/dbraw/zinc/94/58/98/524945898.db2.gz AQKXJUJSFQLVNE-UHFFFAOYSA-N 0 0 293.300 2.849 20 5 CFBDRN COc1ccc(OCCOCC(F)(F)F)cc1[N+](=O)[O-] ZINC000190185484 524963864 /nfs/dbraw/zinc/96/38/64/524963864.db2.gz GSGKRAULGPDDCE-UHFFFAOYSA-N 0 0 295.213 2.561 20 5 CFBDRN C[C@@H](N(C)C(=O)c1cc([N+](=O)[O-])ccc1N)C(C)(C)C ZINC000050706672 524979853 /nfs/dbraw/zinc/97/98/53/524979853.db2.gz IEVRRGAQCPVDIZ-SECBINFHSA-N 0 0 279.340 2.684 20 5 CFBDRN Cc1ccc(NC(=O)CNc2ccccc2[N+](=O)[O-])cc1 ZINC000067703950 525064142 /nfs/dbraw/zinc/06/41/42/525064142.db2.gz NZBQYYKAVOZHJG-UHFFFAOYSA-N 0 0 285.303 2.954 20 5 CFBDRN COc1ncccc1OCc1ccccc1[N+](=O)[O-] ZINC000361915072 525075905 /nfs/dbraw/zinc/07/59/05/525075905.db2.gz SXVAKAMIQULTCT-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN COc1ncccc1OCc1c(F)cccc1[N+](=O)[O-] ZINC000361911988 525076760 /nfs/dbraw/zinc/07/67/60/525076760.db2.gz AOXXSEBFGGTGEY-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Cc1cc(NC(=O)COc2ccsc2)ccc1[N+](=O)[O-] ZINC000337196281 525152352 /nfs/dbraw/zinc/15/23/52/525152352.db2.gz ZREQSUCKXYJILH-UHFFFAOYSA-N 0 0 292.316 2.982 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000335131802 525202131 /nfs/dbraw/zinc/20/21/31/525202131.db2.gz KCZGEQCDASRUIE-NSHDSACASA-N 0 0 261.281 2.577 20 5 CFBDRN C[C@H](NC(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CCCCC1 ZINC000052345021 525216051 /nfs/dbraw/zinc/21/60/51/525216051.db2.gz HJFNHXDLNUGZGJ-JTQLQIEISA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)nc1 ZINC000049398176 525233775 /nfs/dbraw/zinc/23/37/75/525233775.db2.gz WCZMAZCFOBHYJO-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CSCCS2)ccc1[N+](=O)[O-] ZINC000182444452 525236647 /nfs/dbraw/zinc/23/66/47/525236647.db2.gz UAIXDJDVOGUVLN-LLVKDONJSA-N 0 0 298.389 2.690 20 5 CFBDRN Cc1cc(NCCCN2CCCCC2=O)ccc1[N+](=O)[O-] ZINC000338280539 525266376 /nfs/dbraw/zinc/26/63/76/525266376.db2.gz HNEJESBGZXDXSN-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN CSC1(C(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 ZINC000188357135 525306309 /nfs/dbraw/zinc/30/63/09/525306309.db2.gz ZONWJYBXTGJMEV-UHFFFAOYSA-N 0 0 266.322 2.737 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2ccc([N+](=O)[O-])s2)c1 ZINC000340327927 525360325 /nfs/dbraw/zinc/36/03/25/525360325.db2.gz GPEVYXOIEARMNP-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN Cc1cc(O[C@@H](C)[C@@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000310248482 525380848 /nfs/dbraw/zinc/38/08/48/525380848.db2.gz VPGLVNNPJUJVRZ-SFYZADRCSA-N 0 0 259.689 2.705 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336445622 525389520 /nfs/dbraw/zinc/38/95/20/525389520.db2.gz HZTYSRKSDOBKKE-VHSXEESVSA-N 0 0 287.319 2.947 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCCC(C)(C)C)c1 ZINC000049216114 525448786 /nfs/dbraw/zinc/44/87/86/525448786.db2.gz HCKQFMVRHBZNBV-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2(OC)CCCC2)c1 ZINC000313130165 525450866 /nfs/dbraw/zinc/45/08/66/525450866.db2.gz DJUGJDVTUDQOPE-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H]2OC)c1 ZINC000338692609 525451623 /nfs/dbraw/zinc/45/16/23/525451623.db2.gz KLWUTHPVFPRCRW-HZMBPMFUSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2nccs2)c1 ZINC000155806551 525452177 /nfs/dbraw/zinc/45/21/77/525452177.db2.gz OOSWHBZKONFFIG-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cc(C)nc(C)n2)c1 ZINC000338719963 525452495 /nfs/dbraw/zinc/45/24/95/525452495.db2.gz HNCBKEJSHUURRP-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)Nc1cn[nH]c1 ZINC000191393186 525554795 /nfs/dbraw/zinc/55/47/95/525554795.db2.gz OIZXTIXNZBDUIE-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC[C@H](C)C1 ZINC000156959579 525560746 /nfs/dbraw/zinc/56/07/46/525560746.db2.gz GVFJGIBCLKAOQG-GXSJLCMTSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC[C@H](F)C1 ZINC000335416905 525561185 /nfs/dbraw/zinc/56/11/85/525561185.db2.gz ITNGNXIZOHIKTG-WDEREUQCSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CC[C@H](C)C1 ZINC000156959717 525564402 /nfs/dbraw/zinc/56/44/02/525564402.db2.gz GVFJGIBCLKAOQG-ONGXEEELSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+]C1CCC(C(=O)[O-])CC1 ZINC000262724093 525565709 /nfs/dbraw/zinc/56/57/09/525565709.db2.gz XSFZLTBPNCCVDB-UHFFFAOYSA-N 0 0 292.335 2.636 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC[C@@H](F)C1 ZINC000335965836 525569910 /nfs/dbraw/zinc/56/99/10/525569910.db2.gz KJTNRUOREHIEKN-ZJUUUORDSA-N 0 0 281.287 2.915 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2ccc3ccccc3n2)c1=O ZINC000155821698 525573888 /nfs/dbraw/zinc/57/38/88/525573888.db2.gz FQSHNSLKHHIHTJ-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CCCC(F)(F)C2)c1=O ZINC000336328093 525574996 /nfs/dbraw/zinc/57/49/96/525574996.db2.gz LCQJUVUHAGNHDF-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C)c1ccccn1 ZINC000052812324 525578269 /nfs/dbraw/zinc/57/82/69/525578269.db2.gz KODCALHZOMWMMJ-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1c(C(=O)N2C[C@H](C)S[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000336633562 525597761 /nfs/dbraw/zinc/59/77/61/525597761.db2.gz ISPFWESLHRNMAF-UWVGGRQHSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1c1ccncc1[N+](=O)[O-] ZINC000340898112 525632547 /nfs/dbraw/zinc/63/25/47/525632547.db2.gz IPRQIXNRLMYRLN-SFYZADRCSA-N 0 0 275.230 2.767 20 5 CFBDRN C[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)c1cn[nH]c1 ZINC000229871555 525640976 /nfs/dbraw/zinc/64/09/76/525640976.db2.gz YBPJEADUNROFDY-LURJTMIESA-N 0 0 268.223 2.769 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2Cc3ccccc32)cccc1[N+](=O)[O-] ZINC000360516057 525720148 /nfs/dbraw/zinc/72/01/48/525720148.db2.gz QWTATYQZSFPTOO-HNNXBMFYSA-N 0 0 296.326 2.859 20 5 CFBDRN Cc1c(CNC(=O)c2cccs2)cccc1[N+](=O)[O-] ZINC000231879049 525722243 /nfs/dbraw/zinc/72/22/43/525722243.db2.gz ROZXQYXEQGWBFQ-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1ccncc1CN(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000360115365 525739833 /nfs/dbraw/zinc/73/98/33/525739833.db2.gz MFJDNHDYJUPGII-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC(CC(F)F)C2)cs1 ZINC000425369209 534879751 /nfs/dbraw/zinc/87/97/51/534879751.db2.gz ZGBUIKZDPUVSOJ-UHFFFAOYSA-N 0 0 262.281 2.743 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000311080622 525778058 /nfs/dbraw/zinc/77/80/58/525778058.db2.gz LVXJBHQPYFWQSB-GFCCVEGCSA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1ccoc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049217374 525786545 /nfs/dbraw/zinc/78/65/45/525786545.db2.gz WOTRPRMSJCNPST-SNVBAGLBSA-N 0 0 274.276 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCCC3CC3)c2c1 ZINC000413169647 534884430 /nfs/dbraw/zinc/88/44/30/534884430.db2.gz OAAJRUNMHBLOJD-UHFFFAOYSA-N 0 0 257.293 2.777 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000228129099 525818850 /nfs/dbraw/zinc/81/88/50/525818850.db2.gz BPOWSJIXRLXKMB-HTQZYQBOSA-N 0 0 258.705 2.820 20 5 CFBDRN Cc1nc(C)c(NCCc2ccccc2[N+](=O)[O-])nc1C ZINC000413169072 534884648 /nfs/dbraw/zinc/88/46/48/534884648.db2.gz LPAMPILDUDRYNL-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000228130528 525819648 /nfs/dbraw/zinc/81/96/48/525819648.db2.gz WJVBVCMMYMJHPU-HTQZYQBOSA-N 0 0 258.705 2.820 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CC23CC3)cccc1[N+](=O)[O-] ZINC000335142392 525849650 /nfs/dbraw/zinc/84/96/50/525849650.db2.gz TVFDFCVHDZPTIH-NSHDSACASA-N 0 0 261.281 2.577 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000336466254 525853536 /nfs/dbraw/zinc/85/35/36/525853536.db2.gz YQIUCPKGNBQKGR-SECBINFHSA-N 0 0 266.322 2.595 20 5 CFBDRN Cc1c(NC(=O)[C@@]2(C)C[C@@H]2F)cccc1[N+](=O)[O-] ZINC000335176933 525854985 /nfs/dbraw/zinc/85/49/85/525854985.db2.gz RJBANRVEQJGBNZ-JQWIXIFHSA-N 0 0 252.245 2.590 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2[C@@H](C)C2(F)F)cccc1[N+](=O)[O-] ZINC000335157114 525855135 /nfs/dbraw/zinc/85/51/35/525855135.db2.gz VQUWYALZOZFSGR-XCBNKYQSSA-N 0 0 270.235 2.743 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2OC[C@@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000377891934 525855495 /nfs/dbraw/zinc/85/54/95/525855495.db2.gz CKHFXCKWOOKSSJ-MISXGVKJSA-N 0 0 290.319 2.657 20 5 CFBDRN Cc1c(NC(=O)[C@]2(C)C[C@@H]2F)cccc1[N+](=O)[O-] ZINC000335176932 525859194 /nfs/dbraw/zinc/85/91/94/525859194.db2.gz RJBANRVEQJGBNZ-CMPLNLGQSA-N 0 0 252.245 2.590 20 5 CFBDRN C[C@@]1(O)CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000230378566 525881873 /nfs/dbraw/zinc/88/18/73/525881873.db2.gz GSKIGECJGDHKAB-CQSZACIVSA-N 0 0 298.770 2.985 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000336308707 525896612 /nfs/dbraw/zinc/89/66/12/525896612.db2.gz SKQBLYPEJVNUHM-JQWIXIFHSA-N 0 0 295.314 2.718 20 5 CFBDRN Cc1cnc(C)c(NCc2ccccc2[N+](=O)[O-])n1 ZINC000088057942 525984695 /nfs/dbraw/zinc/98/46/95/525984695.db2.gz QQHPZHULYNZRNG-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])c1ccccn1 ZINC000339282440 525998559 /nfs/dbraw/zinc/99/85/59/525998559.db2.gz SWZZBLOZKKKTDT-NSHDSACASA-N 0 0 297.314 2.683 20 5 CFBDRN Cc1cnc(CCNc2ccc([N+](=O)[O-])cc2C)nc1 ZINC000340079497 526004689 /nfs/dbraw/zinc/00/46/89/526004689.db2.gz ZZCKEDYDPIRNJS-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN C[C@H](Sc1ncc(CO)n1C)c1cccc([N+](=O)[O-])c1 ZINC000304440645 526005382 /nfs/dbraw/zinc/00/53/82/526005382.db2.gz WULDVYGUVQOZTN-VIFPVBQESA-N 0 0 293.348 2.674 20 5 CFBDRN C[C@H](Sc1nc[nH]n1)c1cccc([N+](=O)[O-])c1 ZINC000045645404 526005953 /nfs/dbraw/zinc/00/59/53/526005953.db2.gz UEJPWSOZDRLUFQ-ZETCQYMHSA-N 0 0 250.283 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(CCO)Cc2ccccc2)c1 ZINC000084726768 526060252 /nfs/dbraw/zinc/06/02/52/526060252.db2.gz KADQVNFBRXYUGI-UHFFFAOYSA-N 0 0 286.331 2.902 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCCOC(C)C)n1 ZINC000181568365 526060260 /nfs/dbraw/zinc/06/02/60/526060260.db2.gz RFBNGLLYVRJDKN-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)CC(=O)OC(C)(C)C)n1 ZINC000360868641 526062617 /nfs/dbraw/zinc/06/26/17/526062617.db2.gz ABYCMLXPSSRAEU-JTQLQIEISA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)N2CCCC[C@@H]2C)c1 ZINC000057941828 526063826 /nfs/dbraw/zinc/06/38/26/526063826.db2.gz ZDPNAKHMMIUQRG-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000336372435 526074234 /nfs/dbraw/zinc/07/42/34/526074234.db2.gz GIKVSZSGSUCXHG-NXEZZACHSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1cnc(NC(=O)NCc2cccc([N+](=O)[O-])c2)s1 ZINC000049395972 526081830 /nfs/dbraw/zinc/08/18/30/526081830.db2.gz MDCLYFYQNGWBKB-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN CSc1cccc(C(=O)NCC(F)(F)F)c1[N+](=O)[O-] ZINC000186071945 526089281 /nfs/dbraw/zinc/08/92/81/526089281.db2.gz RAOHUYNGGFVXTH-UHFFFAOYSA-N 0 0 294.254 2.609 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCCCS2)c([N+](=O)[O-])c1 ZINC000158324764 526092648 /nfs/dbraw/zinc/09/26/48/526092648.db2.gz OLFGDMPKWSISEU-JTQLQIEISA-N 0 0 267.354 2.996 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000335168964 526136245 /nfs/dbraw/zinc/13/62/45/526136245.db2.gz KQHPTSAMOPIKCF-ONGXEEELSA-N 0 0 262.309 2.774 20 5 CFBDRN CSc1cccc(NC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000360241606 526136830 /nfs/dbraw/zinc/13/68/30/526136830.db2.gz LRMJWJIMSHOTIE-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN Cc1cncc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000173018881 526184648 /nfs/dbraw/zinc/18/46/48/526184648.db2.gz GNAXQLFUQSNUGV-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2C)o1 ZINC000360527933 526186395 /nfs/dbraw/zinc/18/63/95/526186395.db2.gz ZPXLGGGRIVPHKR-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000049217347 526196566 /nfs/dbraw/zinc/19/65/66/526196566.db2.gz SGJVRAVZLUNHQL-JTQLQIEISA-N 0 0 274.276 2.987 20 5 CFBDRN COc1cccc(NCc2cccnc2)c1[N+](=O)[O-] ZINC000134903229 526209522 /nfs/dbraw/zinc/20/95/22/526209522.db2.gz JOUGNIRPOKAEJV-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000360992404 526220943 /nfs/dbraw/zinc/22/09/43/526220943.db2.gz CUERYJMUEPITGW-ZJUUUORDSA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2cccn2C)cc([N+](=O)[O-])c1 ZINC000160553616 526223915 /nfs/dbraw/zinc/22/39/15/526223915.db2.gz CSCXKWKSZFPJJP-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050697131 526244643 /nfs/dbraw/zinc/24/46/43/526244643.db2.gz YPFJEOICASJGBG-QMMMGPOBSA-N 0 0 297.742 2.845 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000362016268 526259029 /nfs/dbraw/zinc/25/90/29/526259029.db2.gz HWKMQHGMWCAPOO-ZCFIWIBFSA-N 0 0 294.204 2.805 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413237246 526272317 /nfs/dbraw/zinc/27/23/17/526272317.db2.gz UBTHRPQQHDSVAP-VUDBWIFFSA-N 0 0 287.319 2.882 20 5 CFBDRN Cc1nn([C@@H](C)c2cc(F)ccc2F)cc1[N+](=O)[O-] ZINC000336267096 526301264 /nfs/dbraw/zinc/30/12/64/526301264.db2.gz ZIFWNIAMMFKBEK-QMMMGPOBSA-N 0 0 267.235 2.987 20 5 CFBDRN Cc1csc2[nH]c(-c3ccc([N+](=O)[O-])cc3)nc(=O)c12 ZINC000077251569 526452822 /nfs/dbraw/zinc/45/28/22/526452822.db2.gz SUSYITKOISFYQQ-UHFFFAOYSA-N 0 0 287.300 2.868 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@H]21 ZINC000336110619 526620118 /nfs/dbraw/zinc/62/01/18/526620118.db2.gz NUBHTKAYVTXFPY-NOZJJQNGSA-N 0 0 278.283 2.749 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC2(CC2)CC1 ZINC000370882019 526623263 /nfs/dbraw/zinc/62/32/63/526623263.db2.gz SWOYXZYQXCAKCL-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN Cc1nc(C)c(C(=O)NCc2cccc([N+](=O)[O-])c2)s1 ZINC000049379681 526699901 /nfs/dbraw/zinc/69/99/01/526699901.db2.gz UTHRAIZQYNELLP-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1C[C@@H]2C[C@H]1CS2 ZINC000335141284 526715305 /nfs/dbraw/zinc/71/53/05/526715305.db2.gz PMCLPGFGIHCZLJ-IUCAKERBSA-N 0 0 298.751 2.578 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cc(Cl)c[nH]1 ZINC000049379709 526726284 /nfs/dbraw/zinc/72/62/84/526726284.db2.gz LKFNDZWDGBDIQL-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1(c2ccccc2)CC1 ZINC000059306855 526760030 /nfs/dbraw/zinc/76/00/30/526760030.db2.gz ZKEMICOOTQYYPK-UHFFFAOYSA-N 0 0 296.326 2.943 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000046146734 526761463 /nfs/dbraw/zinc/76/14/63/526761463.db2.gz ZEPXEOYPLSLLMU-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@@H]21 ZINC000336110381 526778232 /nfs/dbraw/zinc/77/82/32/526778232.db2.gz PMNLMVQMVRYVMA-MFKMUULPSA-N 0 0 260.293 2.609 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCSC[C@H]1C1CC1 ZINC000334826338 526811364 /nfs/dbraw/zinc/81/13/64/526811364.db2.gz AZJKKZLQACKYLS-ZDUSSCGKSA-N 0 0 292.360 2.562 20 5 CFBDRN Cc1sc(C(=O)N2C[C@H](C)OC(C)(C)C2)cc1[N+](=O)[O-] ZINC000049181168 526872395 /nfs/dbraw/zinc/87/23/95/526872395.db2.gz NHAWJYTXKOGVFY-QMMMGPOBSA-N 0 0 298.364 2.604 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCSC[C@H]1C1CC1 ZINC000334772521 526907744 /nfs/dbraw/zinc/90/77/44/526907744.db2.gz VRRLPVODMCGBBB-JTQLQIEISA-N 0 0 298.389 2.624 20 5 CFBDRN Cc1nc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)cs1 ZINC000339958467 526991871 /nfs/dbraw/zinc/99/18/71/526991871.db2.gz AXSPPHBLCJFNAN-UHFFFAOYSA-N 0 0 283.334 2.982 20 5 CFBDRN Cc1nc(NCc2ccc(O)cc2)ccc1[N+](=O)[O-] ZINC000125329599 526999052 /nfs/dbraw/zinc/99/90/52/526999052.db2.gz PGDVXDSZOHBVGH-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN Cn1c(C(=O)N[C@@H](c2ccccc2)C2CC2)ccc1[N+](=O)[O-] ZINC000340664389 527042555 /nfs/dbraw/zinc/04/25/55/527042555.db2.gz FGXNYNFXQMSZNZ-HNNXBMFYSA-N 0 0 299.330 2.814 20 5 CFBDRN O=C(Nc1cc(Cl)ccn1)c1cccc([N+](=O)[O-])c1 ZINC000075288397 527115457 /nfs/dbraw/zinc/11/54/57/527115457.db2.gz YTNVYYLXUBNVEW-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@H]2Cc3ccccc3O2)c1 ZINC000316770916 527150203 /nfs/dbraw/zinc/15/02/03/527150203.db2.gz UDXUMVMVEAVUPE-CYBMUJFWSA-N 0 0 299.282 2.790 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cc1F)C1(O)CCCC1 ZINC000413316076 527184762 /nfs/dbraw/zinc/18/47/62/527184762.db2.gz KTWMUISFCDYTIH-UHFFFAOYSA-N 0 0 297.330 2.872 20 5 CFBDRN O=C(Nc1ccc(=O)[nH]c1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000336835205 527238778 /nfs/dbraw/zinc/23/87/78/527238778.db2.gz JFFDULUZNNNTEF-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC[C@@H]2CCC[C@@H]21 ZINC000336451082 527244876 /nfs/dbraw/zinc/24/48/76/527244876.db2.gz ABNJFUHGJALPPN-JSGCOSHPSA-N 0 0 274.320 2.538 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC[C@H]2CCC[C@@H]21 ZINC000336451083 527245563 /nfs/dbraw/zinc/24/55/63/527245563.db2.gz ABNJFUHGJALPPN-OCCSQVGLSA-N 0 0 274.320 2.538 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CCCC2(CC2)C1 ZINC000335836231 527247211 /nfs/dbraw/zinc/24/72/11/527247211.db2.gz CIIUDOIWOJPGGG-UHFFFAOYSA-N 0 0 292.310 2.679 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1(CCF)CC1 ZINC000361329134 527260572 /nfs/dbraw/zinc/26/05/72/527260572.db2.gz WLCBHMZGLMGBDT-UHFFFAOYSA-N 0 0 252.245 2.673 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@H]1C1CC1 ZINC000334497886 527261481 /nfs/dbraw/zinc/26/14/81/527261481.db2.gz HRXTYWLOCYXDLG-LBPRGKRZSA-N 0 0 279.271 2.750 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1ccco1 ZINC000049374403 527261900 /nfs/dbraw/zinc/26/19/00/527261900.db2.gz IEPDYZKUKUTHEI-RYUDHWBXSA-N 0 0 272.260 2.930 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cccc2[nH]cnc21 ZINC000173830398 527272019 /nfs/dbraw/zinc/27/20/19/527272019.db2.gz CSDSWWWLNRTBNB-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@H](CC(C)C)C1 ZINC000174599575 527313398 /nfs/dbraw/zinc/31/33/98/527313398.db2.gz QOUWXQYMZKUSGX-GFCCVEGCSA-N 0 0 291.351 2.806 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCCC[C@H]2O)ccc2ncccc21 ZINC000052006843 527321514 /nfs/dbraw/zinc/32/15/14/527321514.db2.gz IAAOXWVSJPXJCJ-TZMCWYRMSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1cc(F)c(Cl)cc1F ZINC000340880719 527325234 /nfs/dbraw/zinc/32/52/34/527325234.db2.gz UIUQVDWYJYTQCU-UHFFFAOYSA-N 0 0 299.664 2.955 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cc(F)c(F)cc1F ZINC000336370134 527328060 /nfs/dbraw/zinc/32/80/60/527328060.db2.gz WBVQSSMORBQSFJ-UHFFFAOYSA-N 0 0 299.208 2.603 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]2CCCC[C@@H]21 ZINC000334415502 527328253 /nfs/dbraw/zinc/32/82/53/527328253.db2.gz PSERSLMGWKNLQU-YPMHNXCESA-N 0 0 291.351 2.728 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCN2CC=CCC2)c(F)c1 ZINC000360114604 527336458 /nfs/dbraw/zinc/33/64/58/527336458.db2.gz HVLDMWJKSZJIGY-UHFFFAOYSA-N 0 0 283.278 2.547 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCOCC(F)F)c(F)c1 ZINC000231677923 527336699 /nfs/dbraw/zinc/33/66/99/527336699.db2.gz MWYUBBHKMWATOE-UHFFFAOYSA-N 0 0 282.193 2.567 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCc1ccncc1 ZINC000126514338 527337021 /nfs/dbraw/zinc/33/70/21/527337021.db2.gz KNDVOWITMMOYRG-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCCc2ccco2)s1 ZINC000312218285 527341812 /nfs/dbraw/zinc/34/18/12/527341812.db2.gz AARPTRHQGOFPQG-UHFFFAOYSA-N 0 0 290.304 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CCOCC3CC3)c2)cc1 ZINC000360285284 527343089 /nfs/dbraw/zinc/34/30/89/527343089.db2.gz JRVNGRJWAQBOLG-UHFFFAOYSA-N 0 0 287.319 2.885 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2cccc(-n3cncn3)c2)cc1 ZINC000072920900 527352774 /nfs/dbraw/zinc/35/27/74/527352774.db2.gz DYFKYVJYCIAOHE-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2ncon2)c(Cl)c1 ZINC000370771405 527362031 /nfs/dbraw/zinc/36/20/31/527362031.db2.gz FCIWWUGQQJPRBZ-LLVKDONJSA-N 0 0 294.698 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CO)CCCC2)c2ccncc21 ZINC000053070922 527364427 /nfs/dbraw/zinc/36/44/27/527364427.db2.gz ASFOYGUNLNEUAG-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2OCCc3ccccc32)nc1 ZINC000364232732 527365302 /nfs/dbraw/zinc/36/53/02/527365302.db2.gz ZANRHCPASJXGOQ-AWEZNQCLSA-N 0 0 285.303 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2Cc3ccccc32)cn1 ZINC000053297396 527366425 /nfs/dbraw/zinc/36/64/25/527366425.db2.gz SWOMAQBIYFJYFK-LLVKDONJSA-N 0 0 255.277 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(F)c(F)c2)nc1 ZINC000049577884 527366866 /nfs/dbraw/zinc/36/68/66/527366866.db2.gz CIHKEVYZVGXTFS-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nccc(C(F)F)n2)cc1 ZINC000340969054 527366880 /nfs/dbraw/zinc/36/68/80/527366880.db2.gz BPCSZOLYTPZHGS-UHFFFAOYSA-N 0 0 280.234 2.935 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CNc2ccon2)c1 ZINC000167778050 527370423 /nfs/dbraw/zinc/37/04/23/527370423.db2.gz VLQYAQMNSYLHDS-UHFFFAOYSA-N 0 0 285.206 2.796 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC(C2CCC2)C1 ZINC000335107544 527373852 /nfs/dbraw/zinc/37/38/52/527373852.db2.gz NHIKPMGSESZYIP-UHFFFAOYSA-N 0 0 275.308 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=NCCC3(F)CCC3)[nH]c2c1 ZINC000340956279 527379011 /nfs/dbraw/zinc/37/90/11/527379011.db2.gz JXNOBZHSNUPLNF-UHFFFAOYSA-N 0 0 278.287 2.587 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1cscn1 ZINC000156413618 527389202 /nfs/dbraw/zinc/38/92/02/527389202.db2.gz QTSHWEXBUOZLIA-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC[C@H]1CCOC1 ZINC000361589137 527389744 /nfs/dbraw/zinc/38/97/44/527389744.db2.gz GLDRHRBIBRRFDE-QMMMGPOBSA-N 0 0 257.673 2.664 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H](O)CC2CCCC2)c1 ZINC000188647703 527391594 /nfs/dbraw/zinc/39/15/94/527391594.db2.gz KBZKZNGSZBXZIR-ZDUSSCGKSA-N 0 0 265.309 2.915 20 5 CFBDRN O=[N+]([O-])c1cccc(S(=O)(=O)Cc2cccc(F)c2)c1 ZINC000337896592 527393000 /nfs/dbraw/zinc/39/30/00/527393000.db2.gz LUPJPPZPGIRCDE-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1nccc2occc21 ZINC000361280597 527399211 /nfs/dbraw/zinc/39/92/11/527399211.db2.gz JVXHQTQFYHXROB-UHFFFAOYSA-N 0 0 283.287 2.813 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1ccc2c(c1)OCCO2 ZINC000064338431 527404187 /nfs/dbraw/zinc/40/41/87/527404187.db2.gz FQORNFXIYQPGKZ-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccn(C2CCCC2)n1 ZINC000154725361 527407146 /nfs/dbraw/zinc/40/71/46/527407146.db2.gz VMLTYUPYDMROMS-UHFFFAOYSA-N 0 0 287.323 2.914 20 5 CFBDRN O=[N+]([O-])c1cn(CCOc2ccc(Cl)cc2)cn1 ZINC000247329932 527408544 /nfs/dbraw/zinc/40/85/44/527408544.db2.gz OPLWMCZVTWEHEU-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2ccc3ccccc3c2)c1 ZINC000071978660 527415268 /nfs/dbraw/zinc/41/52/68/527415268.db2.gz QXGNQVWPWIJXHZ-UHFFFAOYSA-N 0 0 253.261 2.993 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2nc(Cl)ccc2Cl)c1 ZINC000061638568 527416204 /nfs/dbraw/zinc/41/62/04/527416204.db2.gz IJFHRUWPAUJDFJ-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCOc1cccc(F)c1 ZINC000071894857 527417434 /nfs/dbraw/zinc/41/74/34/527417434.db2.gz XXOLQHZMQVREPW-UHFFFAOYSA-N 0 0 278.239 2.587 20 5 CFBDRN O=[N+]([O-])c1ncn(Cc2cc(Cl)ccc2Cl)n1 ZINC000150909656 527417560 /nfs/dbraw/zinc/41/75/60/527417560.db2.gz LXYOTQIYXFOCHT-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1cccc(C(F)(F)F)c1 ZINC000161686738 527455161 /nfs/dbraw/zinc/45/51/61/527455161.db2.gz RQLCGDVJWJUPCZ-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC(C)(C)C(C)(C)C ZINC000310906337 527490673 /nfs/dbraw/zinc/49/06/73/527490673.db2.gz XFRBCLPKZRCSIQ-UHFFFAOYSA-N 0 0 254.334 2.813 20 5 CFBDRN O=C([O-])CC[C@@H]1CCCC[N@@H+]1Cc1csc([N+](=O)[O-])c1 ZINC000086754858 527595818 /nfs/dbraw/zinc/59/58/18/527595818.db2.gz BQTCBXMTVGJSIY-NSHDSACASA-N 0 0 298.364 2.876 20 5 CFBDRN O=C([O-])CC[C@H]1CCCC[N@@H+]1Cc1cccc([N+](=O)[O-])c1 ZINC000050924577 527599354 /nfs/dbraw/zinc/59/93/54/527599354.db2.gz AHYPEHOSCUXZMT-CYBMUJFWSA-N 0 0 292.335 2.814 20 5 CFBDRN O=C(NCCCCF)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000360510753 527648721 /nfs/dbraw/zinc/64/87/21/527648721.db2.gz XRJNZJROFHRRHZ-UHFFFAOYSA-N 0 0 280.255 2.821 20 5 CFBDRN Cc1nn(C)c(NCc2cccc(Cl)c2)c1[N+](=O)[O-] ZINC000048331196 527679136 /nfs/dbraw/zinc/67/91/36/527679136.db2.gz MMOIXIQVYNORGX-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cc1nn(C)c(Oc2cccc(F)c2F)c1[N+](=O)[O-] ZINC000078727578 527680162 /nfs/dbraw/zinc/68/01/62/527680162.db2.gz DDTRTRPFOFAZMF-UHFFFAOYSA-N 0 0 269.207 2.707 20 5 CFBDRN Cc1nn(C)cc1CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000317392457 527693530 /nfs/dbraw/zinc/69/35/30/527693530.db2.gz VXLNGOMBATXGNS-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CC(C)CCCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000428066989 527706867 /nfs/dbraw/zinc/70/68/67/527706867.db2.gz PIBQJNDEVTXSIS-UHFFFAOYSA-N 0 0 258.705 2.846 20 5 CFBDRN CC(C)CCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000158484277 527740129 /nfs/dbraw/zinc/74/01/29/527740129.db2.gz UITWAZWZORUETP-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN CCCN(CC)C(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000055468340 527962260 /nfs/dbraw/zinc/96/22/60/527962260.db2.gz PEKBFYARTJFJQK-UHFFFAOYSA-N 0 0 279.340 2.899 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])C1 ZINC000413385560 528087326 /nfs/dbraw/zinc/08/73/26/528087326.db2.gz IGJDZPDFZAIHFF-DTORHVGOSA-N 0 0 296.352 2.672 20 5 CFBDRN CCOC(=O)c1cc(NC[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000418992654 528189496 /nfs/dbraw/zinc/18/94/96/528189496.db2.gz OGLMSQCTDRBMQL-SNVBAGLBSA-N 0 0 290.319 2.984 20 5 CFBDRN CCOC(=O)c1ccnc(NCC2(C)CCC2)c1[N+](=O)[O-] ZINC000176975172 528271847 /nfs/dbraw/zinc/27/18/47/528271847.db2.gz IZJPVACYQJQQCK-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CCOc1cc(N2CCC[C@@H](CO)[C@H]2C)ccc1[N+](=O)[O-] ZINC000413596310 528309413 /nfs/dbraw/zinc/30/94/13/528309413.db2.gz QWXHLEOIDJPQCK-NEPJUHHUSA-N 0 0 294.351 2.591 20 5 CFBDRN CCOc1cccc(NCc2nnc(CC)o2)c1[N+](=O)[O-] ZINC000413199797 528675143 /nfs/dbraw/zinc/67/51/43/528675143.db2.gz JCFYKVBPTQVGGT-UHFFFAOYSA-N 0 0 292.295 2.551 20 5 CFBDRN CCC(CC)CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000104552260 528760896 /nfs/dbraw/zinc/76/08/96/528760896.db2.gz VHDOUMLDQVIHFV-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1cc(C)ccc1[N+](=O)[O-] ZINC000431496281 528866865 /nfs/dbraw/zinc/86/68/65/528866865.db2.gz SZLURNBCUOEUPD-GFCCVEGCSA-N 0 0 293.367 2.868 20 5 CFBDRN CCC(O)(CC)COc1c(F)cccc1[N+](=O)[O-] ZINC000158259909 528976358 /nfs/dbraw/zinc/97/63/58/528976358.db2.gz ADXFAPSWPOVRAD-UHFFFAOYSA-N 0 0 257.261 2.664 20 5 CFBDRN CCn1ccc(CNc2ccc([N+](=O)[O-])cc2C)n1 ZINC000413494970 529175515 /nfs/dbraw/zinc/17/55/15/529175515.db2.gz RBRRMLYACADDSA-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CCn1ccc(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])n1 ZINC000413494534 529175747 /nfs/dbraw/zinc/17/57/47/529175747.db2.gz QWTRHSPNFAFFCL-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CC[C@@H](O)CCNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413207497 529224594 /nfs/dbraw/zinc/22/45/94/529224594.db2.gz RRYONNZVTZOXMX-MRVPVSSYSA-N 0 0 293.245 2.582 20 5 CFBDRN CC[C@H](C)[C@H](C)N(C(=O)c1[nH]nc(C)c1[N+](=O)[O-])C1CC1 ZINC000331440633 529238418 /nfs/dbraw/zinc/23/84/18/529238418.db2.gz FFSSHPWQZOFPKD-WPRPVWTQSA-N 0 0 294.355 2.666 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000155993689 529320548 /nfs/dbraw/zinc/32/05/48/529320548.db2.gz QNTMBASEXWLHTF-BXUZGUMPSA-N 0 0 292.335 2.669 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157352627 529335203 /nfs/dbraw/zinc/33/52/03/529335203.db2.gz WASAUEDZUUHXOP-NXEZZACHSA-N 0 0 279.340 2.732 20 5 CFBDRN CCCC[C@H](CC)CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000502399512 535000757 /nfs/dbraw/zinc/00/07/57/535000757.db2.gz PWVKTNXAOPMMDM-NSHDSACASA-N 0 0 291.355 2.688 20 5 CFBDRN CC(C)(CO)CCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000266258923 535165051 /nfs/dbraw/zinc/16/50/51/535165051.db2.gz ORFFMNMAEDUWSS-UHFFFAOYSA-N 0 0 298.368 2.515 20 5 CFBDRN CC(F)(F)CCNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000452216427 535204496 /nfs/dbraw/zinc/20/44/96/535204496.db2.gz OPKSJOQLSOMEFD-UHFFFAOYSA-N 0 0 297.261 2.851 20 5 CFBDRN CCC1(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000452455927 535305289 /nfs/dbraw/zinc/30/52/89/535305289.db2.gz AYUPZYVTVOJNIX-VIFPVBQESA-N 0 0 280.299 2.896 20 5 CFBDRN CCC(=O)N1CC[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000268536817 535310628 /nfs/dbraw/zinc/31/06/28/535310628.db2.gz JOYXNPAXVHOJQR-SNVBAGLBSA-N 0 0 297.742 2.671 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCCCCCC2)c1[N+](=O)[O-] ZINC000269365032 535321139 /nfs/dbraw/zinc/32/11/39/535321139.db2.gz KNYZPELOTGFLJL-UHFFFAOYSA-N 0 0 294.355 2.848 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC(C)(C)C2CCC2)c1[N+](=O)[O-] ZINC000456150788 535321499 /nfs/dbraw/zinc/32/14/99/535321499.db2.gz UHARSASMJNTOEV-UHFFFAOYSA-N 0 0 294.355 2.750 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H]2C2CC2)c1 ZINC000452978007 535427581 /nfs/dbraw/zinc/42/75/81/535427581.db2.gz AYAYZELPGABIBR-CYBMUJFWSA-N 0 0 290.319 2.618 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000192161367 535707324 /nfs/dbraw/zinc/70/73/24/535707324.db2.gz WEWFPECHNBMFTN-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN CC[C@@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21)C(F)F ZINC000452238274 535799350 /nfs/dbraw/zinc/79/93/50/535799350.db2.gz ARIJFVYBEOEPPK-SNVBAGLBSA-N 0 0 297.261 2.850 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1cc([N+](=O)[O-])ccc1OC ZINC000268744986 535842218 /nfs/dbraw/zinc/84/22/18/535842218.db2.gz RKUWJOVXZPQEII-WCQYABFASA-N 0 0 294.351 2.603 20 5 CFBDRN CCc1cccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])c1CC ZINC000489488077 535903063 /nfs/dbraw/zinc/90/30/63/535903063.db2.gz VZPNXHRDSIYRCI-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN CC[C@H](C)CN(C)c1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000342204021 536123508 /nfs/dbraw/zinc/12/35/08/536123508.db2.gz KOUGZRGANHISFC-VIFPVBQESA-N 0 0 295.339 2.567 20 5 CFBDRN CCc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 ZINC000357394123 536143040 /nfs/dbraw/zinc/14/30/40/536143040.db2.gz VKCOETPVYLVWRI-UHFFFAOYSA-N 0 0 272.264 2.612 20 5 CFBDRN CC[C@H](NC(=O)/C=C/c1cccc([N+](=O)[O-])c1)C(F)F ZINC000493202930 536144580 /nfs/dbraw/zinc/14/45/80/536144580.db2.gz RRJZGKOWHBPYHO-MLRMMBSGSA-N 0 0 284.262 2.768 20 5 CFBDRN CN(CCCn1ccnc1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000269002168 536363808 /nfs/dbraw/zinc/36/38/08/536363808.db2.gz YJKJYZPYSMXTHN-UHFFFAOYSA-N 0 0 294.742 2.971 20 5 CFBDRN CN(C[C@H]1CCCO1)c1nccc2c1cccc2[N+](=O)[O-] ZINC000450301357 536446872 /nfs/dbraw/zinc/44/68/72/536446872.db2.gz FHXOXWJOCILUHT-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CN(Cc1cc(F)cc(F)c1)c1ncccc1[N+](=O)[O-] ZINC000433789579 536459818 /nfs/dbraw/zinc/45/98/18/536459818.db2.gz NVOLAUSKCJBGAW-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN COC1(CNc2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000227305799 536686544 /nfs/dbraw/zinc/68/65/44/536686544.db2.gz VQEXTBZUEZRFIG-UHFFFAOYSA-N 0 0 254.261 2.715 20 5 CFBDRN COc1cc(CSCCC2(O)CC2)ccc1[N+](=O)[O-] ZINC000443162853 536817436 /nfs/dbraw/zinc/81/74/36/536817436.db2.gz VEPKXJAWMLAFDZ-UHFFFAOYSA-N 0 0 283.349 2.752 20 5 CFBDRN COc1ccc(CNc2cccc(OC)c2[N+](=O)[O-])nc1 ZINC000436427880 536899101 /nfs/dbraw/zinc/89/91/01/536899101.db2.gz BQBCUJGBFALLEC-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC(C(C)(C)C)C2)c1 ZINC000457336320 536948766 /nfs/dbraw/zinc/94/87/66/536948766.db2.gz CKCFVGXJLJABAC-UHFFFAOYSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000935128399 649878148 /nfs/dbraw/zinc/87/81/48/649878148.db2.gz HJIROATULLTSCY-LEWSCRJBSA-N 0 0 299.330 2.904 20 5 CFBDRN O=[N+]([O-])c1cnccc1Oc1cccnc1Br ZINC000727430590 574050266 /nfs/dbraw/zinc/05/02/66/574050266.db2.gz CCVULOHIJACFTE-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)OCC1CCC1 ZINC000744197661 574060205 /nfs/dbraw/zinc/06/02/05/574060205.db2.gz KNOGZYFPPSDENY-UHFFFAOYSA-N 0 0 288.303 2.893 20 5 CFBDRN O=C(CC1CC1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000727710557 574062424 /nfs/dbraw/zinc/06/24/24/574062424.db2.gz YXGSMRYLUNFPQF-UHFFFAOYSA-N 0 0 254.673 2.987 20 5 CFBDRN CO[C@@H](C)CCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000744311709 574066054 /nfs/dbraw/zinc/06/60/54/574066054.db2.gz WSJDFEAYCNNMJZ-VIFPVBQESA-N 0 0 293.275 2.923 20 5 CFBDRN COc1ccc(COC(=O)C2(C)CCC2)cc1[N+](=O)[O-] ZINC000750527090 574066748 /nfs/dbraw/zinc/06/67/48/574066748.db2.gz SDTPEKYHFXEWBK-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1CCCS1 ZINC000744361290 574071171 /nfs/dbraw/zinc/07/11/71/574071171.db2.gz WEMGVPDWJYAEQP-LLVKDONJSA-N 0 0 267.306 2.534 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@@H]1CCCOC1)CC2 ZINC000754108442 574073956 /nfs/dbraw/zinc/07/39/56/574073956.db2.gz ZCCNWFKSYXKULS-LBPRGKRZSA-N 0 0 276.336 2.692 20 5 CFBDRN CC(C)C(C)(C)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000728005826 574077352 /nfs/dbraw/zinc/07/73/52/574077352.db2.gz UDPGXCSILUZJTJ-UHFFFAOYSA-N 0 0 295.295 2.667 20 5 CFBDRN CS[C@@H](C)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000728167842 574083427 /nfs/dbraw/zinc/08/34/27/574083427.db2.gz VRTYFWHHCMUWCD-BDAKNGLRSA-N 0 0 269.322 2.951 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N(C)CC(F)F ZINC000754239088 574083582 /nfs/dbraw/zinc/08/35/82/574083582.db2.gz WKHSMUUFILAANR-VQHVLOKHSA-N 0 0 284.262 2.722 20 5 CFBDRN O=C(CCOc1cccc([N+](=O)[O-])c1)OCCC1CC1 ZINC000744732541 574084981 /nfs/dbraw/zinc/08/49/81/574084981.db2.gz VEIVQMZEJYYZJH-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@@]1(C)CCOC1 ZINC000754288691 574087085 /nfs/dbraw/zinc/08/70/85/574087085.db2.gz BTPXTQRMQONLRR-ZDUSSCGKSA-N 0 0 299.710 2.889 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCc1cccn1C ZINC000754324103 574088496 /nfs/dbraw/zinc/08/84/96/574088496.db2.gz FBUOKKMGBWZFQD-ZRDIBKRKSA-N 0 0 299.330 2.653 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCc1cccn1C ZINC000754324102 574088515 /nfs/dbraw/zinc/08/85/15/574088515.db2.gz FBUOKKMGBWZFQD-BENRWUELSA-N 0 0 299.330 2.653 20 5 CFBDRN CSCCCOC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000744833337 574089775 /nfs/dbraw/zinc/08/97/75/574089775.db2.gz QLFBLKUJFYNUAM-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NC1CC=CC1 ZINC000754357854 574090367 /nfs/dbraw/zinc/09/03/67/574090367.db2.gz JRPHBCRLWDHYQI-ZHACJKMWSA-N 0 0 272.304 2.833 20 5 CFBDRN CCc1cnc(COC(=O)c2cc([N+](=O)[O-])ccc2C)o1 ZINC000744873406 574091337 /nfs/dbraw/zinc/09/13/37/574091337.db2.gz SZXHJVSLIGBLKE-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=C(OCc1cscn1)c1ccc([N+](=O)[O-])cc1F ZINC000728331001 574091529 /nfs/dbraw/zinc/09/15/29/574091529.db2.gz XFOKPNSWASCGGP-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN Cc1c(COC(=O)c2cscn2)cccc1[N+](=O)[O-] ZINC000728339315 574092493 /nfs/dbraw/zinc/09/24/93/574092493.db2.gz UUGADVHGYGJCOZ-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN COC(C)(C)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000730744937 574094614 /nfs/dbraw/zinc/09/46/14/574094614.db2.gz SBAJIUUEAKRMNP-SECBINFHSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(OCC(F)(F)C(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000744998249 574096472 /nfs/dbraw/zinc/09/64/72/574096472.db2.gz IAFDIZGWTCGGKJ-UHFFFAOYSA-N 0 0 299.151 2.791 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc(F)cc1 ZINC000728554877 574100225 /nfs/dbraw/zinc/10/02/25/574100225.db2.gz VKGCXZKMHGBSMY-UHFFFAOYSA-N 0 0 291.282 2.727 20 5 CFBDRN CC[C@H](CCOC)OC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000754591350 574106376 /nfs/dbraw/zinc/10/63/76/574106376.db2.gz RKGOACBVMCCANL-GFCCVEGCSA-N 0 0 281.308 2.875 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)OCC1CC1 ZINC000728765391 574107257 /nfs/dbraw/zinc/10/72/57/574107257.db2.gz VHKJQUCGZRKTPJ-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN Cc1ncccc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000731099721 574109344 /nfs/dbraw/zinc/10/93/44/574109344.db2.gz ROYZTZDQHVRBKL-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCCc1ccsc1 ZINC000745331630 574109853 /nfs/dbraw/zinc/10/98/53/574109853.db2.gz BCGLUTCCNGILCU-UHFFFAOYSA-N 0 0 291.328 2.985 20 5 CFBDRN C[Si](C)(C)COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000745336965 574110197 /nfs/dbraw/zinc/11/01/97/574110197.db2.gz XDHNDMKPBZJQHI-UHFFFAOYSA-N 0 0 267.357 2.558 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)c2ccccc2)c1 ZINC000745392520 574112401 /nfs/dbraw/zinc/11/24/01/574112401.db2.gz WCIBYHLGZFFYJY-UHFFFAOYSA-N 0 0 299.282 2.943 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ncnc2[nH]c(Cl)cc21 ZINC000754670886 574112476 /nfs/dbraw/zinc/11/24/76/574112476.db2.gz MNJNSEZPPRBOQM-UHFFFAOYSA-N 0 0 291.654 2.658 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCc3cc[nH]n3)sc2c1 ZINC000731206214 574112635 /nfs/dbraw/zinc/11/26/35/574112635.db2.gz BOZPSJKGOXHIOM-UHFFFAOYSA-N 0 0 275.293 2.540 20 5 CFBDRN CC[C@H](CCOC)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000754583041 574114326 /nfs/dbraw/zinc/11/43/26/574114326.db2.gz RCYLEBJFXNMTIR-GFCCVEGCSA-N 0 0 267.281 2.567 20 5 CFBDRN CO[C@@H]1CCC[C@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000731456996 574121483 /nfs/dbraw/zinc/12/14/83/574121483.db2.gz WSOCDVILEPAKOV-OLZOCXBDSA-N 0 0 297.282 2.848 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)OCc2ccccc2[N+](=O)[O-])O1 ZINC000745706328 574123231 /nfs/dbraw/zinc/12/32/31/574123231.db2.gz SIRIYIZXQWQKLV-WCQYABFASA-N 0 0 293.319 2.986 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCC[C@H]1CCCCO1 ZINC000754826962 574123260 /nfs/dbraw/zinc/12/32/60/574123260.db2.gz BUPWASNEJDAUFC-CQSZACIVSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCC[C@@H]1CCCCO1 ZINC000754826961 574123422 /nfs/dbraw/zinc/12/34/22/574123422.db2.gz BUPWASNEJDAUFC-AWEZNQCLSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@@H]1CCCS1 ZINC000745956741 574129107 /nfs/dbraw/zinc/12/91/07/574129107.db2.gz DSWONAIDWFPIJB-NSHDSACASA-N 0 0 281.333 2.576 20 5 CFBDRN Cc1cccc(C(=O)OC[C@H]2CCCS2)c1[N+](=O)[O-] ZINC000745960551 574129621 /nfs/dbraw/zinc/12/96/21/574129621.db2.gz UNQHTKHPLTYMMT-SNVBAGLBSA-N 0 0 281.333 2.956 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@@H](C(F)(F)F)O1 ZINC000745975263 574130141 /nfs/dbraw/zinc/13/01/41/574130141.db2.gz HOVXMPBFULFCAO-KWQFWETISA-N 0 0 290.241 2.751 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3C[C@H]4CC[C@@H](C3)O4)sc2c1 ZINC000745973260 574130421 /nfs/dbraw/zinc/13/04/21/574130421.db2.gz DSCMMPVAUMMRDI-AOOOYVTPSA-N 0 0 291.332 2.572 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])C1CCOCC1 ZINC000746067162 574132665 /nfs/dbraw/zinc/13/26/65/574132665.db2.gz NWKZWHSXGAPLMX-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN C[C@@H](C(=O)OCc1ccno1)c1ccc([N+](=O)[O-])cc1F ZINC000755055010 574134567 /nfs/dbraw/zinc/13/45/67/574134567.db2.gz ODWFTBGJGHZRBW-MRVPVSSYSA-N 0 0 294.238 2.569 20 5 CFBDRN C[C@@H](C(=O)OCCCF)c1ccc([N+](=O)[O-])cc1F ZINC000755066635 574135337 /nfs/dbraw/zinc/13/53/37/574135337.db2.gz RZALBAYDRXBBAR-MRVPVSSYSA-N 0 0 273.235 2.740 20 5 CFBDRN O=C(NCCC1CCC1)NCc1ccccc1[N+](=O)[O-] ZINC000755106841 574138695 /nfs/dbraw/zinc/13/86/95/574138695.db2.gz VEYSNDJDKLNZQX-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN COC[C@H](C)CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000755122282 574140481 /nfs/dbraw/zinc/14/04/81/574140481.db2.gz MSCUCDXOHDJHBU-MRVPVSSYSA-N 0 0 286.715 2.859 20 5 CFBDRN C[C@H](CCC(C)(C)C)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000755143389 574142642 /nfs/dbraw/zinc/14/26/42/574142642.db2.gz POIGNBLBRDYKJR-LLVKDONJSA-N 0 0 297.355 2.797 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1ccco1 ZINC000728991480 574144082 /nfs/dbraw/zinc/14/40/82/574144082.db2.gz LCFUNRCAUCWMSV-UHFFFAOYSA-N 0 0 265.196 2.684 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](C)C1 ZINC000755215172 574147470 /nfs/dbraw/zinc/14/74/70/574147470.db2.gz WZZZTLKPODSHFW-AWPPVZKDSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1cc(Nc2cccc(CO)c2)ncc1[N+](=O)[O-] ZINC000746389552 574147647 /nfs/dbraw/zinc/14/76/47/574147647.db2.gz LYCZMHILQSXGKL-UHFFFAOYSA-N 0 0 259.265 2.534 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CCC1 ZINC000737591096 574149174 /nfs/dbraw/zinc/14/91/74/574149174.db2.gz RLANRXKAXXAPCD-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN CCC1(C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)COC1 ZINC000755329504 574154395 /nfs/dbraw/zinc/15/43/95/574154395.db2.gz XPVJWLPUKYCEJX-UHFFFAOYSA-N 0 0 299.710 2.889 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1ccc(Cl)cc1 ZINC000746662675 574161284 /nfs/dbraw/zinc/16/12/84/574161284.db2.gz FPJZLKWERDOBNT-UHFFFAOYSA-N 0 0 294.742 2.971 20 5 CFBDRN CC[C@H]1COCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746671365 574161653 /nfs/dbraw/zinc/16/16/53/574161653.db2.gz JWJOUAQAAONMOR-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN CS/C=C\C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000746932881 574171273 /nfs/dbraw/zinc/17/12/73/574171273.db2.gz LZTKHAUHTOQDIB-HJWRWDBZSA-N 0 0 292.360 2.963 20 5 CFBDRN Cc1cccc(OC(=O)c2cc([N+](=O)[O-])cn2C)c1C ZINC000755614770 574172147 /nfs/dbraw/zinc/17/21/47/574172147.db2.gz SFQJEBVKCIWVSH-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN COc1ccc(CC(=O)OCC(C)(C)C)cc1[N+](=O)[O-] ZINC000729096671 574175124 /nfs/dbraw/zinc/17/51/24/574175124.db2.gz UQCHLRMCAWCHGH-UHFFFAOYSA-N 0 0 281.308 2.735 20 5 CFBDRN CCCc1[nH]nc(C(=O)OCc2ccccc2)c1[N+](=O)[O-] ZINC000740758393 574176953 /nfs/dbraw/zinc/17/69/53/574176953.db2.gz GZFCFPDITCZJQA-UHFFFAOYSA-N 0 0 289.291 2.627 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC/C=C/Cl ZINC000755677993 574177558 /nfs/dbraw/zinc/17/75/58/574177558.db2.gz SIBGLPWQZARFPF-GORDUTHDSA-N 0 0 271.656 2.513 20 5 CFBDRN CCCC(=O)COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000738650382 574178162 /nfs/dbraw/zinc/17/81/62/574178162.db2.gz MWARBAIRXKQISL-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN CCCC(=O)COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000738656964 574178500 /nfs/dbraw/zinc/17/85/00/574178500.db2.gz GWUWPKQFXQKUMC-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H]1CCCOC1 ZINC000755733856 574182419 /nfs/dbraw/zinc/18/24/19/574182419.db2.gz LOJWGTJULXJVIQ-RBJHHQRMSA-N 0 0 291.303 2.720 20 5 CFBDRN COC[C@@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000747158880 574183400 /nfs/dbraw/zinc/18/34/00/574183400.db2.gz QUBNFKHUXRTQRE-DEBLGDTFSA-N 0 0 293.319 2.822 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Oc1ccccc1 ZINC000755746042 574183477 /nfs/dbraw/zinc/18/34/77/574183477.db2.gz UIIWCQKBLYCGJA-UHFFFAOYSA-N 0 0 273.244 2.823 20 5 CFBDRN COC[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000747165347 574184467 /nfs/dbraw/zinc/18/44/67/574184467.db2.gz RBUBNFTYBCHDPT-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN O=C(O[C@H]1CCSC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000729209916 574184924 /nfs/dbraw/zinc/18/49/24/574184924.db2.gz NIDOHEKRNDDEJC-VIFPVBQESA-N 0 0 292.316 2.738 20 5 CFBDRN CC1=CCN(Cn2ncc3ccc([N+](=O)[O-])cc32)CC1 ZINC000755771517 574186806 /nfs/dbraw/zinc/18/68/06/574186806.db2.gz WHLVAJWYZANUTE-UHFFFAOYSA-N 0 0 272.308 2.554 20 5 CFBDRN CC(C)n1ccc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)n1 ZINC000733093316 574189368 /nfs/dbraw/zinc/18/93/68/574189368.db2.gz RQZBPGDTLPUYSN-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000747315171 574190701 /nfs/dbraw/zinc/19/07/01/574190701.db2.gz VCBXYKQMMRRSTL-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(F)(F)C1 ZINC000755894442 574195012 /nfs/dbraw/zinc/19/50/12/574195012.db2.gz IKPBPEPIKUXOBH-CSKARUKUSA-N 0 0 296.273 2.866 20 5 CFBDRN C[C@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000747485637 574197880 /nfs/dbraw/zinc/19/78/80/574197880.db2.gz NOWALIPEWIADPE-JTQLQIEISA-N 0 0 263.293 2.869 20 5 CFBDRN CCC[C@@H](C)N(C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000733186704 574208367 /nfs/dbraw/zinc/20/83/67/574208367.db2.gz DIHWWNBOQMLEGG-SNVBAGLBSA-N 0 0 262.313 2.867 20 5 CFBDRN C[C@H](NCc1cccc(F)n1)c1ccc([N+](=O)[O-])cc1 ZINC000747744660 574209853 /nfs/dbraw/zinc/20/98/53/574209853.db2.gz PPJOQCNYINSIIR-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN Cc1ncoc1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000747823388 574214251 /nfs/dbraw/zinc/21/42/51/574214251.db2.gz RSTNSJIUQYWGOD-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(F)n2)s1 ZINC000747844115 574215031 /nfs/dbraw/zinc/21/50/31/574215031.db2.gz AOXIENWFGAPRMS-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN C[C@H](OC(=O)C[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000739509309 574216190 /nfs/dbraw/zinc/21/61/90/574216190.db2.gz IUULPFYCXYKSAB-WDEREUQCSA-N 0 0 279.292 2.626 20 5 CFBDRN O=C([O-])C1([NH2+]Cc2cc(F)ccc2[N+](=O)[O-])CCCCC1 ZINC000739514054 574216236 /nfs/dbraw/zinc/21/62/36/574216236.db2.gz ORCPQTJQZBLYCN-UHFFFAOYSA-N 0 0 296.298 2.611 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)O[C@@H]1C=CCCC1 ZINC000733377635 574224991 /nfs/dbraw/zinc/22/49/91/574224991.db2.gz LOSUZTQNYBCMPH-CYBMUJFWSA-N 0 0 277.276 2.626 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1ccc([N+](=O)[O-])s1 ZINC000733381087 574226867 /nfs/dbraw/zinc/22/68/67/574226867.db2.gz XMJBJXPIMLDTLQ-MRVPVSSYSA-N 0 0 253.279 2.922 20 5 CFBDRN CC(C)c1cnc(COC(=O)c2ccc([N+](=O)[O-])o2)o1 ZINC000733384895 574227448 /nfs/dbraw/zinc/22/74/48/574227448.db2.gz ILOUCYRNXWDQTG-UHFFFAOYSA-N 0 0 280.236 2.656 20 5 CFBDRN CC[C@@H](C)COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000756349231 574227526 /nfs/dbraw/zinc/22/75/26/574227526.db2.gz CQWPBHOODZAJDT-LLVKDONJSA-N 0 0 280.324 2.986 20 5 CFBDRN CCCC[C@@H](CC)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000748117526 574230300 /nfs/dbraw/zinc/23/03/00/574230300.db2.gz BIVOZPGVCONEMU-LLVKDONJSA-N 0 0 283.328 2.551 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000756373795 574230903 /nfs/dbraw/zinc/23/09/03/574230903.db2.gz JTVFIHWXQMOOBF-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN O=C(OCC1CC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000729889086 574235207 /nfs/dbraw/zinc/23/52/07/574235207.db2.gz HQWUUPCKJXMBGF-UHFFFAOYSA-N 0 0 260.249 2.643 20 5 CFBDRN C[C@@H](C(=O)OCc1ccccc1[N+](=O)[O-])C1CCOCC1 ZINC000756421976 574236000 /nfs/dbraw/zinc/23/60/00/574236000.db2.gz CBGHTYIWAMDKNP-LLVKDONJSA-N 0 0 293.319 2.701 20 5 CFBDRN CC1=C(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCCO1 ZINC000756441785 574237961 /nfs/dbraw/zinc/23/79/61/574237961.db2.gz KVUKHJDZMQQHIL-UHFFFAOYSA-N 0 0 295.266 2.862 20 5 CFBDRN Cc1nc(C)c(C(=O)OCc2ccc([N+](=O)[O-])cc2F)o1 ZINC000748207932 574239662 /nfs/dbraw/zinc/23/96/62/574239662.db2.gz RUZQBFVDSCRARF-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN C[C@@H](COC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000748216609 574239689 /nfs/dbraw/zinc/23/96/89/574239689.db2.gz FBSBMLDNXGKGKL-YFKPBYRVSA-N 0 0 267.159 2.543 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])O[C@@H]1C=CCCC1 ZINC000733460026 574239926 /nfs/dbraw/zinc/23/99/26/574239926.db2.gz CZKAYTQHLROQEQ-LLVKDONJSA-N 0 0 276.292 2.659 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)O[C@@H]1C=CCCC1 ZINC000733471948 574240016 /nfs/dbraw/zinc/24/00/16/574240016.db2.gz ZOALWWQTKCUZPF-SNVBAGLBSA-N 0 0 295.266 2.765 20 5 CFBDRN Cc1occc1COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000733701121 574252384 /nfs/dbraw/zinc/25/23/84/574252384.db2.gz CMJWGVLPSZFKHZ-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN O=C(OC[C@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000745963626 574256070 /nfs/dbraw/zinc/25/60/70/574256070.db2.gz HDPHCKRNPYMUJN-SECBINFHSA-N 0 0 267.306 2.647 20 5 CFBDRN O=C(C=C1CCC1)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000756724173 574259213 /nfs/dbraw/zinc/25/92/13/574259213.db2.gz JMSYYIJHCCDKNV-UHFFFAOYSA-N 0 0 277.276 2.627 20 5 CFBDRN Cc1cnc(OCc2csc([N+](=O)[O-])c2)c([N+](=O)[O-])c1 ZINC000748646099 574261533 /nfs/dbraw/zinc/26/15/33/574261533.db2.gz HXGWHEUUOKMKSU-UHFFFAOYSA-N 0 0 295.276 2.847 20 5 CFBDRN O=C(COc1cccnc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000740767479 574266365 /nfs/dbraw/zinc/26/63/65/574266365.db2.gz IGXCCGYWXZVIIM-UHFFFAOYSA-N 0 0 292.678 2.905 20 5 CFBDRN C[Si](C)(C)COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000740955770 574275277 /nfs/dbraw/zinc/27/52/77/574275277.db2.gz DFXNIQSYUJQPHS-UHFFFAOYSA-N 0 0 271.320 2.768 20 5 CFBDRN C[C@@H](OC(=O)c1cccn1C)c1ccccc1[N+](=O)[O-] ZINC000749058196 574278083 /nfs/dbraw/zinc/27/80/83/574278083.db2.gz WDPDZSVJBYPYGO-SNVBAGLBSA-N 0 0 274.276 2.851 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1ccncc1F ZINC000741374636 574288012 /nfs/dbraw/zinc/28/80/12/574288012.db2.gz BEXYWLCOIKLEGP-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CC[C@@H]1COCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000734482974 574288301 /nfs/dbraw/zinc/28/83/01/574288301.db2.gz MBUUZOPNXOGUEC-SECBINFHSA-N 0 0 293.348 2.820 20 5 CFBDRN O=C(OCCCF)c1ccc([N+](=O)[O-])cc1Cl ZINC000741444134 574290000 /nfs/dbraw/zinc/29/00/00/574290000.db2.gz MMNTXZIIHZUIFV-UHFFFAOYSA-N 0 0 261.636 2.765 20 5 CFBDRN O=C(OCCCF)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000741447403 574290310 /nfs/dbraw/zinc/29/03/10/574290310.db2.gz FIQIBWITYQIZMD-UHFFFAOYSA-N 0 0 267.212 2.857 20 5 CFBDRN CCO[C@H]1C[C@@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000741445357 574290454 /nfs/dbraw/zinc/29/04/54/574290454.db2.gz KZHUIMWKYLSCCL-CABZTGNLSA-N 0 0 299.710 2.716 20 5 CFBDRN Cc1c(C(=O)Nc2cc(Cl)[nH]n2)cccc1[N+](=O)[O-] ZINC000749406638 574294164 /nfs/dbraw/zinc/29/41/64/574294164.db2.gz RDTJSNSOCXXBDO-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)C2CC2)c1 ZINC000741608628 574297142 /nfs/dbraw/zinc/29/71/42/574297142.db2.gz ZKRBNCUSIJARTK-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCC(F)(F)C(F)F ZINC000741834964 574306092 /nfs/dbraw/zinc/30/60/92/574306092.db2.gz HUWRMDUVSSLYPQ-UHFFFAOYSA-N 0 0 295.188 2.581 20 5 CFBDRN CO[C@H](C)CC(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734895244 574307166 /nfs/dbraw/zinc/30/71/66/574307166.db2.gz XPSFYNKNIUIETK-MRVPVSSYSA-N 0 0 287.699 2.716 20 5 CFBDRN NC(=NOCc1ccc([N+](=O)[O-])cc1)c1cccc(F)c1 ZINC000725794009 574309218 /nfs/dbraw/zinc/30/92/18/574309218.db2.gz ZMHGSUNXVIZIFF-UHFFFAOYSA-N 0 0 289.266 2.781 20 5 CFBDRN COc1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)nc1 ZINC000742135179 574316297 /nfs/dbraw/zinc/31/62/97/574316297.db2.gz MNINWZODKYBLCY-WTKPLQERSA-N 0 0 299.286 2.650 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000735415876 574324822 /nfs/dbraw/zinc/32/48/22/574324822.db2.gz RAYLUYHJNUFDSL-UHFFFAOYSA-N 0 0 296.670 2.710 20 5 CFBDRN Cc1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)no1 ZINC000735464025 574325282 /nfs/dbraw/zinc/32/52/82/574325282.db2.gz LEDAHEICJABACS-UHFFFAOYSA-N 0 0 295.682 2.726 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1cc(Cl)ccc1F ZINC000735454936 574326384 /nfs/dbraw/zinc/32/63/84/574326384.db2.gz FXHWXUYLIRWVQC-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN C[C@@H](COc1ccccc1)Nc1ccc([N+](=O)[O-])nc1 ZINC000735486757 574326515 /nfs/dbraw/zinc/32/65/15/574326515.db2.gz ISEODOYEBGSPKG-NSHDSACASA-N 0 0 273.292 2.869 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@@H](O)c1ccc(F)cc1 ZINC000735487640 574326592 /nfs/dbraw/zinc/32/65/92/574326592.db2.gz RHBBFRBHOKNAMK-YMTOWFKASA-N 0 0 291.282 2.663 20 5 CFBDRN C[C@H](COc1ccccc1)Nc1ccc([N+](=O)[O-])nc1 ZINC000735486753 574326735 /nfs/dbraw/zinc/32/67/35/574326735.db2.gz ISEODOYEBGSPKG-LLVKDONJSA-N 0 0 273.292 2.869 20 5 CFBDRN Cc1cnc(NC[C@H]2CC2(Cl)Cl)c([N+](=O)[O-])c1 ZINC000742428620 574329808 /nfs/dbraw/zinc/32/98/08/574329808.db2.gz DRXRDEWCSHJNKE-SSDOTTSWSA-N 0 0 276.123 2.904 20 5 CFBDRN CCOCCCOC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000735600195 574330185 /nfs/dbraw/zinc/33/01/85/574330185.db2.gz YNVYUCLEOQNHNP-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN Cc1nccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000735638419 574331908 /nfs/dbraw/zinc/33/19/08/574331908.db2.gz UHEYEBQXAKGTLG-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN COc1ccc(C(=O)OCC2CC=CC2)cc1[N+](=O)[O-] ZINC000750373366 574336182 /nfs/dbraw/zinc/33/61/82/574336182.db2.gz NREJGBJKCDFJGA-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN Cc1ccc(C(=O)NCCNc2ccccc2)cc1[N+](=O)[O-] ZINC000735826126 574337030 /nfs/dbraw/zinc/33/70/30/574337030.db2.gz OFOBOSHUOMHYQH-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000742742936 574342454 /nfs/dbraw/zinc/34/24/54/574342454.db2.gz XJOPKXDUPIJIAT-QWRGUYRKSA-N 0 0 294.376 2.917 20 5 CFBDRN CO[C@H](C)CC(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735902136 574342672 /nfs/dbraw/zinc/34/26/72/574342672.db2.gz ZZXRLOCZDAWTHM-MRVPVSSYSA-N 0 0 287.699 2.716 20 5 CFBDRN CCC1(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000750483198 574342677 /nfs/dbraw/zinc/34/26/77/574342677.db2.gz RZXMHEKYUURRTG-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN C/C=C\COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750532957 574343625 /nfs/dbraw/zinc/34/36/25/574343625.db2.gz LRHXMKCCSYEZGJ-IHWYPQMZSA-N 0 0 255.657 2.981 20 5 CFBDRN Cc1cc(C(=O)OC[Si](C)(C)C)ccc1[N+](=O)[O-] ZINC000735962245 574343982 /nfs/dbraw/zinc/34/39/82/574343982.db2.gz AMFOCDMZBHULCR-UHFFFAOYSA-N 0 0 267.357 2.937 20 5 CFBDRN Cc1cccnc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000750514390 574344415 /nfs/dbraw/zinc/34/44/15/574344415.db2.gz VJTCKDPEDSYLND-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cccnc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000750523813 574344871 /nfs/dbraw/zinc/34/48/71/574344871.db2.gz MJXBZCQYHOCDHG-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC[C@H](C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000742862569 574346442 /nfs/dbraw/zinc/34/64/42/574346442.db2.gz GYBPDAMIOOAOGJ-JTQLQIEISA-N 0 0 267.281 2.563 20 5 CFBDRN COc1ccc(CN(C)c2ccncc2[N+](=O)[O-])cc1F ZINC000750600571 574348534 /nfs/dbraw/zinc/34/85/34/574348534.db2.gz WSUOLCGWJOZINF-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN C/C=C(\C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000750898621 574360555 /nfs/dbraw/zinc/36/05/55/574360555.db2.gz YERGQPOWEBCQIE-FPYGCLRLSA-N 0 0 253.229 2.743 20 5 CFBDRN C/C=C(/C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000750904385 574361340 /nfs/dbraw/zinc/36/13/40/574361340.db2.gz IYKYPQNMBOJEPE-BAQGIRSFSA-N 0 0 253.229 2.743 20 5 CFBDRN CC(C)=C(C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000750940377 574363948 /nfs/dbraw/zinc/36/39/48/574363948.db2.gz HRVRDODZVSESKV-UHFFFAOYSA-N 0 0 279.292 2.873 20 5 CFBDRN O=C(CCn1cccc1)OCc1csc([N+](=O)[O-])c1 ZINC000750940281 574364235 /nfs/dbraw/zinc/36/42/35/574364235.db2.gz MHOJJWHMJRHHRY-UHFFFAOYSA-N 0 0 280.305 2.591 20 5 CFBDRN Cc1c(COC(=O)CCn2cccc2)cccc1[N+](=O)[O-] ZINC000750941146 574364445 /nfs/dbraw/zinc/36/44/45/574364445.db2.gz UQSVMQQSKMFYLW-UHFFFAOYSA-N 0 0 288.303 2.838 20 5 CFBDRN Cc1cc(C)c(OC(=O)CCOCC2CC2)c([N+](=O)[O-])c1 ZINC000751218593 574372046 /nfs/dbraw/zinc/37/20/46/574372046.db2.gz IERBCRUKMSDAEQ-UHFFFAOYSA-N 0 0 293.319 2.934 20 5 CFBDRN C[C@@H](OC(=O)C1CCC(=O)CC1)c1cccc([N+](=O)[O-])c1 ZINC000751232708 574372562 /nfs/dbraw/zinc/37/25/62/574372562.db2.gz JIRGPZWRVKHDOC-SNVBAGLBSA-N 0 0 291.303 2.958 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@@H]1C[C@H]1C1CC1 ZINC000751249632 574373029 /nfs/dbraw/zinc/37/30/29/574373029.db2.gz UMMALXTZZAYTFA-NWDGAFQWSA-N 0 0 279.267 2.823 20 5 CFBDRN CC(=CC(=O)Nc1ccc[nH]c1=O)c1ccc([N+](=O)[O-])cc1 ZINC000751751403 574382467 /nfs/dbraw/zinc/38/24/67/574382467.db2.gz BGVORIPPZWBXFJ-MDZDMXLPSA-N 0 0 299.286 2.737 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000751796800 574382953 /nfs/dbraw/zinc/38/29/53/574382953.db2.gz NAVWNSVMJCERLZ-SNVBAGLBSA-N 0 0 267.256 2.823 20 5 CFBDRN CC[C@@H](C)OCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000751880925 574384270 /nfs/dbraw/zinc/38/42/70/574384270.db2.gz ZIIHEMZMQMDDSF-SECBINFHSA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@H]1CN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000751901368 574384539 /nfs/dbraw/zinc/38/45/39/574384539.db2.gz YYBNMSMDXNHROY-UWVGGRQHSA-N 0 0 279.365 2.879 20 5 CFBDRN Cc1ccc([C@H](C)NC(=S)NC2CC2)cc1[N+](=O)[O-] ZINC000751915612 574385014 /nfs/dbraw/zinc/38/50/14/574385014.db2.gz UTTWPMDFYHBMGJ-VIFPVBQESA-N 0 0 279.365 2.591 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@H]2C)c1 ZINC000751960851 574385761 /nfs/dbraw/zinc/38/57/61/574385761.db2.gz NDUFKVMXVHPJJT-MRVPVSSYSA-N 0 0 254.261 2.731 20 5 CFBDRN C[C@H]1CCCN1CC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000752302235 574390249 /nfs/dbraw/zinc/39/02/49/574390249.db2.gz ZXWXVHYKGCYXDN-VIFPVBQESA-N 0 0 297.742 2.671 20 5 CFBDRN C/C=C/COC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000752754302 574394649 /nfs/dbraw/zinc/39/46/49/574394649.db2.gz FEOSRTWATYFQGK-NSCUHMNNSA-N 0 0 290.319 2.928 20 5 CFBDRN CCC(=O)COc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000752914814 574396301 /nfs/dbraw/zinc/39/63/01/574396301.db2.gz ZKSZLHONPSFLBD-UHFFFAOYSA-N 0 0 261.636 2.745 20 5 CFBDRN Cc1cc(NC(=O)c2ccc3cccnn32)ccc1[N+](=O)[O-] ZINC000753505445 574403502 /nfs/dbraw/zinc/40/35/02/574403502.db2.gz JNQLZRRLUWQWJW-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC(C(C)C)C2)c1[N+](=O)[O-] ZINC000753738779 574409253 /nfs/dbraw/zinc/40/92/53/574409253.db2.gz DWHRMPBDDFIMHL-UHFFFAOYSA-N 0 0 280.299 2.770 20 5 CFBDRN CC(C)C1CN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 ZINC000753739963 574409293 /nfs/dbraw/zinc/40/92/93/574409293.db2.gz LWSNBQSMHHWMQG-UHFFFAOYSA-N 0 0 282.727 2.976 20 5 CFBDRN Cc1cnc(C(=O)NCc2cccc([N+](=O)[O-])c2C)cc1C ZINC000935612056 649922611 /nfs/dbraw/zinc/92/26/11/649922611.db2.gz NZKXDPMRFLNPIH-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935701897 649931162 /nfs/dbraw/zinc/93/11/62/649931162.db2.gz MEMBBHUUICUXRS-AIEDFZFUSA-N 0 0 286.331 2.613 20 5 CFBDRN Cc1ccc([C@@H](C)[NH2+]CCCN(C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000830256697 604263852 /nfs/dbraw/zinc/26/38/52/604263852.db2.gz KRHPAPOXZSCXCH-LLVKDONJSA-N 0 0 295.339 2.554 20 5 CFBDRN CC[C@@H]([NH2+]CCCN(C)C(=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000826619776 604264634 /nfs/dbraw/zinc/26/46/34/604264634.db2.gz MSCIWMOXVGFOLH-CYBMUJFWSA-N 0 0 295.339 2.635 20 5 CFBDRN CCCCOc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000901702713 620898455 /nfs/dbraw/zinc/89/84/55/620898455.db2.gz YERBFWSQXMSLLO-UHFFFAOYSA-N 0 0 253.254 2.545 20 5 CFBDRN C[C@]1([NH2+]Cc2cccc([N+](=O)[O-])c2)CCCC[C@@H]1C(=O)[O-] ZINC000833568460 604812908 /nfs/dbraw/zinc/81/29/08/604812908.db2.gz GHXVLAODLMCAEN-HIFRSBDPSA-N 0 0 292.335 2.718 20 5 CFBDRN C/C(=C/C[N@H+](Cc1ccc([N+](=O)[O-])cc1)C1CC1)C(=O)[O-] ZINC000833381459 605051584 /nfs/dbraw/zinc/05/15/84/605051584.db2.gz CNIRJDRUAMZYIX-FLIBITNWSA-N 0 0 290.319 2.590 20 5 CFBDRN O=C(NC1(C(F)F)CC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935839099 649953830 /nfs/dbraw/zinc/95/38/30/649953830.db2.gz ZHYFXFYMJADPES-QWRGUYRKSA-N 0 0 296.273 2.612 20 5 CFBDRN C[C@@H]1C[C@@H]2C[C@@H]2C[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000936024555 649975813 /nfs/dbraw/zinc/97/58/13/649975813.db2.gz OLSIZIWSQRFHCT-DNRKLUKYSA-N 0 0 289.335 2.798 20 5 CFBDRN CC1(CCC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000936024939 649975941 /nfs/dbraw/zinc/97/59/41/649975941.db2.gz SRPWEZGAMJMDHH-UHFFFAOYSA-N 0 0 263.297 2.696 20 5 CFBDRN C[C@@H]1C[C@@H]2C[C@@H]2C[C@@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000936024554 649976067 /nfs/dbraw/zinc/97/60/67/649976067.db2.gz OLSIZIWSQRFHCT-BFLSOPEQSA-N 0 0 289.335 2.798 20 5 CFBDRN CCCONC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000844088941 617906460 /nfs/dbraw/zinc/90/64/60/617906460.db2.gz WWHMOEAHQIPXQK-UHFFFAOYSA-N 0 0 293.106 2.973 20 5 CFBDRN Cc1cc(OCC(=O)OC[C@H](C)[N+](=O)[O-])ccc1C(C)C ZINC000813107831 617931355 /nfs/dbraw/zinc/93/13/55/617931355.db2.gz QVOWMHXWGMTKOQ-LBPRGKRZSA-N 0 0 295.335 2.706 20 5 CFBDRN COc1cc(C(=O)OCC=C(C)C)c([N+](=O)[O-])cc1OC ZINC000121931737 618103145 /nfs/dbraw/zinc/10/31/45/618103145.db2.gz LYTSVOKAKZZLBG-UHFFFAOYSA-N 0 0 295.291 2.735 20 5 CFBDRN C[C@H]1CCC[C@H](CCOC(=O)Cn2ccc([N+](=O)[O-])n2)C1 ZINC000160222277 617623946 /nfs/dbraw/zinc/62/39/46/617623946.db2.gz IIRDLHLEDOIBFS-NWDGAFQWSA-N 0 0 295.339 2.551 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OCc1cccc(F)c1 ZINC000023394641 617631881 /nfs/dbraw/zinc/63/18/81/617631881.db2.gz OSHJXTXHCQCIEZ-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN CC[N@H+](Cc1ccc(O)c(OC)c1[N+](=O)[O-])C(C)C ZINC000891572082 617672257 /nfs/dbraw/zinc/67/22/57/617672257.db2.gz NFBUAOCXKDCMGA-UHFFFAOYSA-N 0 0 268.313 2.539 20 5 CFBDRN CC(C)CONC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000120360092 617684887 /nfs/dbraw/zinc/68/48/87/617684887.db2.gz JNYJWBMVZTVUIX-UHFFFAOYSA-N 0 0 295.339 2.717 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@H]1c1ccsc1 ZINC000891842590 617780975 /nfs/dbraw/zinc/78/09/75/617780975.db2.gz OYJAKWKORXBNBP-JTQLQIEISA-N 0 0 278.337 2.731 20 5 CFBDRN C[C@H]1OCC[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000778094317 617788098 /nfs/dbraw/zinc/78/80/98/617788098.db2.gz ADJZSPBRNUMUGL-KCJUWKMLSA-N 0 0 299.710 2.716 20 5 CFBDRN O=C(CC[C@@H]1CCOC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000176117442 617791314 /nfs/dbraw/zinc/79/13/14/617791314.db2.gz HDLCTOFBLYAOQL-SECBINFHSA-N 0 0 299.710 2.970 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1c1ccc(N)cc1[N+](=O)[O-] ZINC000804679921 617800809 /nfs/dbraw/zinc/80/08/09/617800809.db2.gz KWGPQZNPCMAAMO-APPZFPTMSA-N 0 0 289.257 2.954 20 5 CFBDRN Nc1ccc(N2CC[C@H](c3ccccn3)C2)c([N+](=O)[O-])c1 ZINC000804685593 617809638 /nfs/dbraw/zinc/80/96/38/617809638.db2.gz KJBNHSZBNUFUNF-NSHDSACASA-N 0 0 284.319 2.566 20 5 CFBDRN CC[C@@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCCO1 ZINC000804686145 617810567 /nfs/dbraw/zinc/81/05/67/617810567.db2.gz FYKJAVPCAIVRPE-SNVBAGLBSA-N 0 0 295.295 2.508 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)NCCC1CCC1 ZINC000120812518 617824908 /nfs/dbraw/zinc/82/49/08/617824908.db2.gz QBCQIPYGBAQTHS-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCC[C@H]2CCO)c(F)c1 ZINC000804705701 617829768 /nfs/dbraw/zinc/82/97/68/617829768.db2.gz ICORMOPSCLBMEK-NSHDSACASA-N 0 0 282.315 2.865 20 5 CFBDRN CC[C@]1(O)CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000778401364 617858763 /nfs/dbraw/zinc/85/87/63/617858763.db2.gz WILAUUGBLRSXOR-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@H](CCO)SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000090360208 617859546 /nfs/dbraw/zinc/85/95/46/617859546.db2.gz DHXOFEPBKOOJQU-MRVPVSSYSA-N 0 0 286.309 2.507 20 5 CFBDRN CSCC1CC[NH+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000932586987 617887930 /nfs/dbraw/zinc/88/79/30/617887930.db2.gz USIWJKVJJWORMB-UHFFFAOYSA-N 0 0 296.392 2.875 20 5 CFBDRN COC1(CNC(=O)c2cccc([N+](=O)[O-])c2Cl)CCC1 ZINC000234700227 617899911 /nfs/dbraw/zinc/89/99/11/617899911.db2.gz DDXBNFPIWLGCLS-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CCn1cc(CNc2cc(OC)cc(F)c2[N+](=O)[O-])cn1 ZINC000804820407 617900287 /nfs/dbraw/zinc/90/02/87/617900287.db2.gz GUQYIVVLBYDAMA-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCC1=O ZINC000812815117 617902490 /nfs/dbraw/zinc/90/24/90/617902490.db2.gz ZCQIQCFNHQDICS-NXEZZACHSA-N 0 0 295.339 2.530 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CC23CCC3)n1)[N+](=O)[O-] ZINC000812816307 617903028 /nfs/dbraw/zinc/90/30/28/617903028.db2.gz PZXGQUAGHFWVEN-VIFPVBQESA-N 0 0 265.313 2.715 20 5 CFBDRN CC(C)OCc1cccc(Nc2c([N+](=O)[O-])cnn2C)c1 ZINC000892008015 617912493 /nfs/dbraw/zinc/91/24/93/617912493.db2.gz PUGXVVBJMWUDSO-UHFFFAOYSA-N 0 0 290.323 2.997 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000813100986 617923156 /nfs/dbraw/zinc/92/31/56/617923156.db2.gz ZWDDVCBKTLRGAY-WDEREUQCSA-N 0 0 281.308 2.727 20 5 CFBDRN CCSCc1ccccc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813103227 617924342 /nfs/dbraw/zinc/92/43/42/617924342.db2.gz ZFDYLKPNLKURIS-SNVBAGLBSA-N 0 0 283.349 2.762 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000804839945 617936464 /nfs/dbraw/zinc/93/64/64/617936464.db2.gz BEKBZOSMHVUCHP-QMMMGPOBSA-N 0 0 258.705 2.719 20 5 CFBDRN COC(=O)/C=C/c1ccc(N[C@@H]2CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000804841169 617937526 /nfs/dbraw/zinc/93/75/26/617937526.db2.gz WHVUZVSNVQDREF-XSLXFGENSA-N 0 0 290.319 2.991 20 5 CFBDRN CO[C@@H](C)CN(C)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000804841289 617938904 /nfs/dbraw/zinc/93/89/04/617938904.db2.gz XOXXWMFSEXFIOJ-QMMMGPOBSA-N 0 0 281.337 2.676 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(Cl)c1)N1CCCCC1 ZINC000109793291 617968867 /nfs/dbraw/zinc/96/88/67/617968867.db2.gz ILGYHEGSQGELCJ-UHFFFAOYSA-N 0 0 298.726 2.640 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1scnc1C ZINC000071971344 617975230 /nfs/dbraw/zinc/97/52/30/617975230.db2.gz GCKCPZLXHHDHLM-UHFFFAOYSA-N 0 0 294.288 2.588 20 5 CFBDRN Cc1cnc(COC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])o1 ZINC000175850904 618005635 /nfs/dbraw/zinc/00/56/35/618005635.db2.gz OYGPHHZGQJRILV-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN Cc1ccc(OC(=O)c2cc(C3CC3)[nH]n2)c([N+](=O)[O-])c1 ZINC000051558332 618018858 /nfs/dbraw/zinc/01/88/58/618018858.db2.gz ZQCJFEPWEZPUOE-UHFFFAOYSA-N 0 0 287.275 2.723 20 5 CFBDRN Cn1ccc(C(=O)COc2cc(Cl)ccc2[N+](=O)[O-])c1 ZINC000049918406 618045126 /nfs/dbraw/zinc/04/51/26/618045126.db2.gz HDBGPSLPCRUDFJ-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN C[C@@]1(C2CC2)COCCN1Cc1ccccc1[N+](=O)[O-] ZINC000934478790 618050502 /nfs/dbraw/zinc/05/05/02/618050502.db2.gz NIAROMJDPZOXGZ-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN C[C@@H](CO)Sc1cccc(Br)c1[N+](=O)[O-] ZINC000309109322 618057166 /nfs/dbraw/zinc/05/71/66/618057166.db2.gz NNGHKLDZDLMBIQ-LURJTMIESA-N 0 0 292.154 2.830 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])nc1 ZINC000050037382 618062028 /nfs/dbraw/zinc/06/20/28/618062028.db2.gz WVNLDUDLJLNOFO-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN O=C(OC[C@@H]1CCCCO1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000127970944 618070406 /nfs/dbraw/zinc/07/04/06/618070406.db2.gz JUQVTAAGZPYSPX-LBPRGKRZSA-N 0 0 299.710 2.974 20 5 CFBDRN O=C(/C=C\c1cncc(F)c1)Oc1cccc([N+](=O)[O-])c1 ZINC000255518345 618082180 /nfs/dbraw/zinc/08/21/80/618082180.db2.gz NEDMUJYZYIKXAE-PLNGDYQASA-N 0 0 288.234 2.748 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NC1(C2CCCCC2)CC1 ZINC000891281071 618098526 /nfs/dbraw/zinc/09/85/26/618098526.db2.gz LSCGBXFDESBLRK-UHFFFAOYSA-N 0 0 291.351 2.776 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC[C@@H]2CCOC2)cc1F ZINC000310666124 618098541 /nfs/dbraw/zinc/09/85/41/618098541.db2.gz CUSHQJLMKHHYQS-SSDOTTSWSA-N 0 0 275.663 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2CCOCC2)cc1F ZINC000083748228 618107145 /nfs/dbraw/zinc/10/71/45/618107145.db2.gz HLIJMGVNXHZTTE-UHFFFAOYSA-N 0 0 255.245 2.539 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000161544684 618133653 /nfs/dbraw/zinc/13/36/53/618133653.db2.gz ZEWUIVRMQNPVQN-DTORHVGOSA-N 0 0 266.272 2.747 20 5 CFBDRN CCCC[C@@H](CC)CC(=O)Nc1c([N+](=O)[O-])ncn1C ZINC000891348955 618142422 /nfs/dbraw/zinc/14/24/22/618142422.db2.gz RVIYYIBWFRDNOO-SNVBAGLBSA-N 0 0 282.344 2.873 20 5 CFBDRN O=C(Nc1cnn(C2CCC2)c1)c1ccc([N+](=O)[O-])cc1 ZINC000889066708 618146158 /nfs/dbraw/zinc/14/61/58/618146158.db2.gz KWURXSNKBFZKRE-UHFFFAOYSA-N 0 0 286.291 2.769 20 5 CFBDRN CSC(C)(C)CNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000175977133 618152204 /nfs/dbraw/zinc/15/22/04/618152204.db2.gz CXUOSFDFDKMLLI-UHFFFAOYSA-N 0 0 297.380 2.536 20 5 CFBDRN C[C@H](CO)SCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000121272634 618154170 /nfs/dbraw/zinc/15/41/70/618154170.db2.gz UZKANZHTPHSRGQ-SSDOTTSWSA-N 0 0 261.730 2.862 20 5 CFBDRN Cc1cccc2c1CC[C@H]2Nc1c([N+](=O)[O-])cnn1C ZINC000892339020 618160462 /nfs/dbraw/zinc/16/04/62/618160462.db2.gz DKBFTSBPVYEWNC-GFCCVEGCSA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1ncc(COC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000077467030 618169486 /nfs/dbraw/zinc/16/94/86/618169486.db2.gz LGBURPXDMCVBNP-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1ncc(COC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000077467057 618169549 /nfs/dbraw/zinc/16/95/49/618169549.db2.gz SOBVMYZRNLMTIQ-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=C([C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000933218382 618171522 /nfs/dbraw/zinc/17/15/22/618171522.db2.gz RAUQXGSBCJKCQQ-KURSZUGOSA-N 0 0 298.342 2.776 20 5 CFBDRN CC[C@H](C)NC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000891407544 618189088 /nfs/dbraw/zinc/18/90/88/618189088.db2.gz ACRKBRFDEAEDII-QWRGUYRKSA-N 0 0 279.340 2.796 20 5 CFBDRN Cc1cccc(OS(=O)(=O)CC2CCCC2)c1[N+](=O)[O-] ZINC000179014030 618191525 /nfs/dbraw/zinc/19/15/25/618191525.db2.gz UOIPYXZUFHZLEP-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN CC1(C)CCN1C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933299425 618194135 /nfs/dbraw/zinc/19/41/35/618194135.db2.gz VGSKGUISCIMVAV-CHWSQXEVSA-N 0 0 274.320 2.709 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CSCCS2)s1 ZINC000309544802 618196329 /nfs/dbraw/zinc/19/63/29/618196329.db2.gz ZICYRFJLIWVJHG-SSDOTTSWSA-N 0 0 276.408 2.917 20 5 CFBDRN CSC(C)(C)CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000075364768 618201540 /nfs/dbraw/zinc/20/15/40/618201540.db2.gz OMOLDNQECUNRSB-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)CC1 ZINC000070158047 618206170 /nfs/dbraw/zinc/20/61/70/618206170.db2.gz PXQNEYNKGYREEM-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN O=C(C[C@H]1CCCCO1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000176823045 618207285 /nfs/dbraw/zinc/20/72/85/618207285.db2.gz RUMAIUVCKFFQHI-CQSZACIVSA-N 0 0 293.319 2.640 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC000176896653 618223268 /nfs/dbraw/zinc/22/32/68/618223268.db2.gz LPHQJXYVZNMNKZ-QMMMGPOBSA-N 0 0 266.272 2.571 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(C2)CCOCC3)c2ccccc21 ZINC000893941979 618247205 /nfs/dbraw/zinc/24/72/05/618247205.db2.gz WZGVJQHXNDWTHN-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN CN(CC(C)(C)C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000726968069 618249033 /nfs/dbraw/zinc/24/90/33/618249033.db2.gz QQGJTNIUONOVRQ-UHFFFAOYSA-N 0 0 262.313 2.725 20 5 CFBDRN CC(C)(C(=O)OCC1CCCCC1)n1cc([N+](=O)[O-])cn1 ZINC000102509612 618260194 /nfs/dbraw/zinc/26/01/94/618260194.db2.gz ONOGHBZFEZVMGT-UHFFFAOYSA-N 0 0 295.339 2.650 20 5 CFBDRN C[C@]1(F)CCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000894005013 618270877 /nfs/dbraw/zinc/27/08/77/618270877.db2.gz FQILNPQBJGGEDR-LBPRGKRZSA-N 0 0 283.259 2.831 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000793424613 618279598 /nfs/dbraw/zinc/27/95/98/618279598.db2.gz WRLFDRNEQYIXEQ-DTWKUNHWSA-N 0 0 299.710 2.830 20 5 CFBDRN CC(C)c1nnc(COC(=O)c2csc([N+](=O)[O-])c2)o1 ZINC000726997453 618282923 /nfs/dbraw/zinc/28/29/23/618282923.db2.gz FRGYBNOCAQQUES-UHFFFAOYSA-N 0 0 297.292 2.520 20 5 CFBDRN Cc1c(NCc2noc3c2COCC3)cccc1[N+](=O)[O-] ZINC000901354714 620793543 /nfs/dbraw/zinc/79/35/43/620793543.db2.gz VVGDHDFSTRWQQV-UHFFFAOYSA-N 0 0 289.291 2.576 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000053297819 618302962 /nfs/dbraw/zinc/30/29/62/618302962.db2.gz XJIFPVUQKOIGFK-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)c1[N+](=O)[O-] ZINC000889605930 618303378 /nfs/dbraw/zinc/30/33/78/618303378.db2.gz MFJIAXAYJNWYJN-JFGNBEQYSA-N 0 0 290.319 2.522 20 5 CFBDRN C[C@@]1(NC(=O)c2cccc([N+](=O)[O-])c2Cl)CCCOC1 ZINC000235437001 618314002 /nfs/dbraw/zinc/31/40/02/618314002.db2.gz GARMJWMDKCBVSH-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1cc(NCCn2ccnc2C)c([N+](=O)[O-])s1 ZINC000895113844 618315466 /nfs/dbraw/zinc/31/54/66/618315466.db2.gz AYQGPRVNTGLXEZ-UHFFFAOYSA-N 0 0 266.326 2.582 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CC(c2ccc(Cl)cc2)C1 ZINC000894088542 618316558 /nfs/dbraw/zinc/31/65/58/618316558.db2.gz WASSNSSKMLKPRD-UHFFFAOYSA-N 0 0 292.726 2.586 20 5 CFBDRN C[C@H](O)CCCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000310451964 618323576 /nfs/dbraw/zinc/32/35/76/618323576.db2.gz VWTQNKGADNZCQZ-QMMMGPOBSA-N 0 0 259.689 2.788 20 5 CFBDRN CN(OCc1ccccc1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000178689962 618335708 /nfs/dbraw/zinc/33/57/08/618335708.db2.gz ZDASOLIRMCGZTG-UHFFFAOYSA-N 0 0 292.316 2.860 20 5 CFBDRN Cc1nn(CC[C@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000882170199 618339214 /nfs/dbraw/zinc/33/92/14/618339214.db2.gz LNDJCGITVVXPCH-SECBINFHSA-N 0 0 259.256 2.925 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CCC[C@H](CF)C2)c1 ZINC000895130991 618353004 /nfs/dbraw/zinc/35/30/04/618353004.db2.gz FKZQECCWFCYLAC-SECBINFHSA-N 0 0 283.259 2.689 20 5 CFBDRN CC[C@@H](C)NC(=S)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000749763473 618354785 /nfs/dbraw/zinc/35/47/85/618354785.db2.gz GUTKTKALJGWLBU-ZJUUUORDSA-N 0 0 281.381 2.918 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CC[C@H](CC(C)(C)C)C1 ZINC000894129447 618374644 /nfs/dbraw/zinc/37/46/44/618374644.db2.gz OYXVZVOGXZCWMD-SNVBAGLBSA-N 0 0 266.345 2.591 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2Oc2cccnc2F)s1 ZINC000804540048 618401172 /nfs/dbraw/zinc/40/11/72/618401172.db2.gz PPDHDPYUORRJMI-UHFFFAOYSA-N 0 0 292.251 2.926 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000889598935 618408079 /nfs/dbraw/zinc/40/80/79/618408079.db2.gz FXSBTHJWIVBCAF-HZSPNIEDSA-N 0 0 288.347 2.751 20 5 CFBDRN CCCN(C)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000931109504 618413441 /nfs/dbraw/zinc/41/34/41/618413441.db2.gz VOVGIFOUZJFMRN-QWHCGFSZSA-N 0 0 262.309 2.567 20 5 CFBDRN COC/C(C)=C/COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000909492226 618417881 /nfs/dbraw/zinc/41/78/81/618417881.db2.gz JVQCGSNGGHNFSB-JXMROGBWSA-N 0 0 293.319 2.961 20 5 CFBDRN C[C@H](O)CCc1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901395928 620804857 /nfs/dbraw/zinc/80/48/57/620804857.db2.gz NFKZYKJCTQQFIW-VIFPVBQESA-N 0 0 262.265 2.563 20 5 CFBDRN CCOc1cc(Cl)ccc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814034864 618431646 /nfs/dbraw/zinc/43/16/46/618431646.db2.gz JEXLRKDIRVEQKN-QMMMGPOBSA-N 0 0 287.699 2.561 20 5 CFBDRN Cc1ccc(SCCC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814035982 618434783 /nfs/dbraw/zinc/43/47/83/618434783.db2.gz DLWFAEYYDBHHJF-LLVKDONJSA-N 0 0 283.349 2.686 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC/C=C\c1ccccc1 ZINC000814037900 618437476 /nfs/dbraw/zinc/43/74/76/618437476.db2.gz IXZLFVDBXFPUMA-DJTUGOFGSA-N 0 0 263.293 2.688 20 5 CFBDRN CC(=O)CCCc1cc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000901398463 620805666 /nfs/dbraw/zinc/80/56/66/620805666.db2.gz CCSNXSPRHNEPLI-UHFFFAOYSA-N 0 0 264.237 2.755 20 5 CFBDRN CCCOc1ccc(CCC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814044970 618443935 /nfs/dbraw/zinc/44/39/35/618443935.db2.gz WNKGGBQWTHWGET-GFCCVEGCSA-N 0 0 295.335 2.616 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1C[C@@H]2C[C@@H]2C1 ZINC000895183502 618445957 /nfs/dbraw/zinc/44/59/57/618445957.db2.gz ZDQWMMMANAYZKI-DTORHVGOSA-N 0 0 273.267 2.738 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1CC(c2ccc(F)cc2)C1 ZINC000814047943 618449489 /nfs/dbraw/zinc/44/94/89/618449489.db2.gz GQHWDZPXSCCVEG-OIKLOGQESA-N 0 0 281.283 2.528 20 5 CFBDRN Cc1nc([C@@H]2CCCN2c2ccc([N+](=O)[O-])cn2)[nH]c1C ZINC000895193910 618459086 /nfs/dbraw/zinc/45/90/86/618459086.db2.gz WFXUYDDQPZWECC-LBPRGKRZSA-N 0 0 287.323 2.671 20 5 CFBDRN Cn1c(C(=O)OCCC2CCCC2)ccc1[N+](=O)[O-] ZINC000726351407 618465708 /nfs/dbraw/zinc/46/57/08/618465708.db2.gz HLOYLBHIKHXPLA-UHFFFAOYSA-N 0 0 266.297 2.670 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])NC1CCC=CCC1 ZINC000895206713 618471028 /nfs/dbraw/zinc/47/10/28/618471028.db2.gz ZPTDSBDRQNJGIU-UHFFFAOYSA-N 0 0 290.319 2.589 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC1CCSCC1 ZINC000892514651 618472727 /nfs/dbraw/zinc/47/27/27/618472727.db2.gz NLNIMMHUMPVFOS-UHFFFAOYSA-N 0 0 283.353 2.554 20 5 CFBDRN COc1cccc(C(=O)NC2CCC=CCC2)c1[N+](=O)[O-] ZINC000895216174 618480119 /nfs/dbraw/zinc/48/01/19/618480119.db2.gz GKVBVARHVWBMAD-UHFFFAOYSA-N 0 0 290.319 2.832 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@H]1CC(C)(C)CO1 ZINC000895217173 618480875 /nfs/dbraw/zinc/48/08/75/618480875.db2.gz FUBRJRIIMGISDL-NSHDSACASA-N 0 0 295.339 2.616 20 5 CFBDRN COC/C(C)=C/COc1ccc([N+](=O)[O-])c(C)c1 ZINC000901412828 620811023 /nfs/dbraw/zinc/81/10/23/620811023.db2.gz NHRJVTMTXCXSIS-UXBLZVDNSA-N 0 0 251.282 2.875 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N(C)CCCF)c1 ZINC000895287577 618508580 /nfs/dbraw/zinc/50/85/80/618508580.db2.gz XEYNAXXBFNNIBU-UHFFFAOYSA-N 0 0 286.328 2.748 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC[C@H]1CCCC1(F)F ZINC000882800149 618516416 /nfs/dbraw/zinc/51/64/16/618516416.db2.gz FVPRZXOEPASALK-MRVPVSSYSA-N 0 0 274.271 2.566 20 5 CFBDRN C[C@@H](C(=O)N(C)CCCF)c1cccc([N+](=O)[O-])c1 ZINC000895298140 618517251 /nfs/dbraw/zinc/51/72/51/618517251.db2.gz RLHZLXUSJBZXHG-SNVBAGLBSA-N 0 0 268.288 2.516 20 5 CFBDRN C/C(=C\C(=O)N(C)CCCF)c1ccc([N+](=O)[O-])cc1 ZINC000895296298 618518381 /nfs/dbraw/zinc/51/83/81/618518381.db2.gz FOWWIMOBLBSLMU-ZHACJKMWSA-N 0 0 280.299 2.816 20 5 CFBDRN Cc1cn(C)nc1COc1ccc(C)cc1[N+](=O)[O-] ZINC000901422992 620813764 /nfs/dbraw/zinc/81/37/64/620813764.db2.gz FTQNVEWTKOQWNK-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1(C2CC2)CCC1 ZINC000895360715 618550315 /nfs/dbraw/zinc/55/03/15/618550315.db2.gz WFBMXQZPSUKDCB-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1C[NH2+]C[C@@H](CO)CC1CC1 ZINC000895396220 618567663 /nfs/dbraw/zinc/56/76/63/618567663.db2.gz GJHKOJLIJCQSMK-NSHDSACASA-N 0 0 298.770 2.746 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cc(N(C)C)ccn2)c1 ZINC000895466995 618600582 /nfs/dbraw/zinc/60/05/82/618600582.db2.gz ZSARIYGHZPTFMY-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CCC[C@@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000177761905 618605269 /nfs/dbraw/zinc/60/52/69/618605269.db2.gz HHWSHXZJNQFTTJ-SNVBAGLBSA-N 0 0 267.281 2.705 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Oc1cccc(F)c1 ZINC000066326857 618606549 /nfs/dbraw/zinc/60/65/49/618606549.db2.gz ZVQDVFSIZKSRGX-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN CN(CCc1ccc(Cl)cc1)c1c([N+](=O)[O-])cnn1C ZINC000892522316 618607088 /nfs/dbraw/zinc/60/70/88/618607088.db2.gz XCARIQHMTXGLMA-UHFFFAOYSA-N 0 0 294.742 2.661 20 5 CFBDRN Cc1oc(NC(=O)CCC(C)(C)[N+](=O)[O-])nc1C1CC1 ZINC000892523530 618608556 /nfs/dbraw/zinc/60/85/56/618608556.db2.gz KYKQZOHJHMPRRY-UHFFFAOYSA-N 0 0 281.312 2.634 20 5 CFBDRN Cc1cc(CCC(=O)OCc2ccccc2[N+](=O)[O-])no1 ZINC000923881699 618613774 /nfs/dbraw/zinc/61/37/74/618613774.db2.gz BSQKBHCQYYOBEP-UHFFFAOYSA-N 0 0 290.275 2.567 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ccccc2)c(N)c([N+](=O)[O-])c1 ZINC000178144670 618627593 /nfs/dbraw/zinc/62/75/93/618627593.db2.gz YPNUSOJLWHHSLX-UHFFFAOYSA-N 0 0 285.303 2.762 20 5 CFBDRN CC[C@@H](C[NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-])OC ZINC000310905320 618656306 /nfs/dbraw/zinc/65/63/06/618656306.db2.gz BTFNMDUWNGTAMH-NSHDSACASA-N 0 0 272.732 2.763 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892648367 618672661 /nfs/dbraw/zinc/67/26/61/618672661.db2.gz MCCXNBZGNFPDOR-KCJUWKMLSA-N 0 0 271.276 2.738 20 5 CFBDRN COc1c(O)ccc(CNCc2ccoc2C)c1[N+](=O)[O-] ZINC000892657536 618677797 /nfs/dbraw/zinc/67/77/97/618677797.db2.gz AFVDSUBOOSMDAG-UHFFFAOYSA-N 0 0 292.291 2.500 20 5 CFBDRN CC1CC(NC(=O)c2cccc([N+](=O)[O-])c2Cl)C1 ZINC000163681799 618679893 /nfs/dbraw/zinc/67/98/93/618679893.db2.gz DXWCMSPPKILJES-UHFFFAOYSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1cc(CCC(=O)OCc2cccc([N+](=O)[O-])c2)no1 ZINC000923883332 618691151 /nfs/dbraw/zinc/69/11/51/618691151.db2.gz DATGMPJJEIIUPL-UHFFFAOYSA-N 0 0 290.275 2.567 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1CCCCS1 ZINC000783231852 618699450 /nfs/dbraw/zinc/69/94/50/618699450.db2.gz RMLUWQGAIVHQKF-GFCCVEGCSA-N 0 0 281.333 2.924 20 5 CFBDRN Cc1nc(Sc2c([N+](=O)[O-])cnn2C)sc1C ZINC000892694370 618714647 /nfs/dbraw/zinc/71/46/47/618714647.db2.gz SIFAGKGPVWPSDU-UHFFFAOYSA-N 0 0 270.339 2.553 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])c(SCCOC)cc1C ZINC000892744655 618739004 /nfs/dbraw/zinc/73/90/04/618739004.db2.gz BWJIMGXDWIALQT-UHFFFAOYSA-N 0 0 299.348 2.818 20 5 CFBDRN CC1(CNc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000892796746 618747652 /nfs/dbraw/zinc/74/76/52/618747652.db2.gz SUPVPPADKNQYHE-UHFFFAOYSA-N 0 0 251.242 2.715 20 5 CFBDRN CCS[C@H]1CCC[C@@H](Nc2c([N+](=O)[O-])cnn2C)C1 ZINC000892813470 618749676 /nfs/dbraw/zinc/74/96/76/618749676.db2.gz RCDLSBPFUIBPBE-ZJUUUORDSA-N 0 0 284.385 2.805 20 5 CFBDRN CCn1ccc(NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])n1 ZINC000892839895 618755254 /nfs/dbraw/zinc/75/52/54/618755254.db2.gz IPLZKLLENBUYIE-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2CCCOC2)c1C ZINC000892848358 618756832 /nfs/dbraw/zinc/75/68/32/618756832.db2.gz VBTPNHPBOFSJAC-LLVKDONJSA-N 0 0 279.292 2.547 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCC(C)C)c1F ZINC000311933293 618766420 /nfs/dbraw/zinc/76/64/20/618766420.db2.gz ILHNFWWJAKTSOJ-UHFFFAOYSA-N 0 0 272.251 2.567 20 5 CFBDRN COCCC(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000118687522 618791210 /nfs/dbraw/zinc/79/12/10/618791210.db2.gz UOVDAVHVTMWIMJ-UHFFFAOYSA-N 0 0 272.688 2.532 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CC[C@@H](C3CC3)C2)c1 ZINC000893046524 618814767 /nfs/dbraw/zinc/81/47/67/618814767.db2.gz ICGPDLICPYYFSR-SNVBAGLBSA-N 0 0 277.280 2.739 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1Nc1ccc(C2CC2)cc1 ZINC000893091981 618828256 /nfs/dbraw/zinc/82/82/56/618828256.db2.gz GVDIXXQQONIYDG-UHFFFAOYSA-N 0 0 258.281 2.949 20 5 CFBDRN Cc1nc2c(s1)[C@@H](Nc1c([N+](=O)[O-])cnn1C)CCC2 ZINC000893110520 618835471 /nfs/dbraw/zinc/83/54/71/618835471.db2.gz LDJKFQLOOMNKCX-VIFPVBQESA-N 0 0 293.352 2.583 20 5 CFBDRN O=[N+]([O-])c1cnc2c(Cl)cccc2c1N1CC(F)C1 ZINC000893134003 618843324 /nfs/dbraw/zinc/84/33/24/618843324.db2.gz DUVGSJSTKPDXOJ-UHFFFAOYSA-N 0 0 281.674 2.955 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(Cl)cc2c1N1CC(F)C1 ZINC000893137266 618844439 /nfs/dbraw/zinc/84/44/39/618844439.db2.gz YZPGWDFJCYFLMK-UHFFFAOYSA-N 0 0 281.674 2.955 20 5 CFBDRN Cc1cc(C(=O)OCC2(O)CCCCC2)ccc1[N+](=O)[O-] ZINC000893163594 618854881 /nfs/dbraw/zinc/85/48/81/618854881.db2.gz BKUIERKTOKEBPE-UHFFFAOYSA-N 0 0 293.319 2.755 20 5 CFBDRN CCO/C=C\C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000840584947 618866471 /nfs/dbraw/zinc/86/64/71/618866471.db2.gz MNOJIWQJAWRLDA-WAYWQWQTSA-N 0 0 285.683 2.842 20 5 CFBDRN O=C(Nc1ccc(O)cc1F)c1cc(F)ccc1[N+](=O)[O-] ZINC000070333207 625301788 /nfs/dbraw/zinc/30/17/88/625301788.db2.gz ALZLZOTXFNCQBN-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ncsc1C1CC1 ZINC000893280067 618929081 /nfs/dbraw/zinc/92/90/81/618929081.db2.gz PRPRBHCXOUAVNS-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN CCON(C)c1c2cc(F)ccc2ncc1[N+](=O)[O-] ZINC000893375693 618951567 /nfs/dbraw/zinc/95/15/67/618951567.db2.gz OWZONNCOKCGQFE-UHFFFAOYSA-N 0 0 265.244 2.670 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])o1)CC(F)F ZINC000893376978 618953468 /nfs/dbraw/zinc/95/34/68/618953468.db2.gz IUALONWQQQYOTQ-UHFFFAOYSA-N 0 0 276.239 2.599 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC[C@H](CF)C2)c1[N+](=O)[O-] ZINC000893415229 618982655 /nfs/dbraw/zinc/98/26/55/618982655.db2.gz GYXNRASYIMRPNE-SNVBAGLBSA-N 0 0 298.289 2.864 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H](CF)C1 ZINC000893415495 618982943 /nfs/dbraw/zinc/98/29/43/618982943.db2.gz HUULPASCXRSVPS-JTQLQIEISA-N 0 0 298.289 2.864 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC(F)CC2)c1[N+](=O)[O-] ZINC000893420024 618984743 /nfs/dbraw/zinc/98/47/43/618984743.db2.gz PCIZDHJPFSZOOW-UHFFFAOYSA-N 0 0 284.262 2.616 20 5 CFBDRN COC[C@@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000893455723 618999867 /nfs/dbraw/zinc/99/98/67/618999867.db2.gz SRLVLBDYKJSCDC-ZDUSSCGKSA-N 0 0 295.335 2.599 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(=O)[C@@H]1C[C@@H]1C ZINC000909396494 619054049 /nfs/dbraw/zinc/05/40/49/619054049.db2.gz LSTHKNSRPBZOLA-GXSJLCMTSA-N 0 0 291.303 2.594 20 5 CFBDRN Cn1cncc1CCCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000314123448 619058258 /nfs/dbraw/zinc/05/82/58/619058258.db2.gz PQBIUDQDSPOZCH-UHFFFAOYSA-N 0 0 295.726 2.993 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1SCCSC(C)(C)C ZINC000893553319 619068522 /nfs/dbraw/zinc/06/85/22/619068522.db2.gz LLFGSKUJSWZRDF-UHFFFAOYSA-N 0 0 275.399 2.952 20 5 CFBDRN CC1=CCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000893661476 619149402 /nfs/dbraw/zinc/14/94/02/619149402.db2.gz LXPBOBMEFWWYPD-UHFFFAOYSA-N 0 0 263.253 2.659 20 5 CFBDRN CC[S@](C)(=O)=NC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000925828346 619158129 /nfs/dbraw/zinc/15/81/29/619158129.db2.gz FMLCDOPTLLFKTK-QJRAZLAKSA-N 0 0 296.348 2.642 20 5 CFBDRN C[C@@H](Nc1cc(Cl)ccc1[N+](=O)[O-])c1nc[nH]n1 ZINC000230198999 619165810 /nfs/dbraw/zinc/16/58/10/619165810.db2.gz UZCKPXJEGUQQDS-ZCFIWIBFSA-N 0 0 267.676 2.539 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000726511634 619250154 /nfs/dbraw/zinc/25/01/54/619250154.db2.gz WKDZNGDMOLNBFD-UHFFFAOYSA-N 0 0 292.266 2.989 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000841162564 619258840 /nfs/dbraw/zinc/25/88/40/619258840.db2.gz VPHQEPAPMIWBKS-LBPRGKRZSA-N 0 0 281.308 2.870 20 5 CFBDRN O=C([O-])C[N@@H+](Cc1cc(Cl)ccc1[N+](=O)[O-])CC1CC1 ZINC000093633492 619284254 /nfs/dbraw/zinc/28/42/54/619284254.db2.gz WFIAQMKGNOVLOZ-UHFFFAOYSA-N 0 0 298.726 2.545 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247050 619328205 /nfs/dbraw/zinc/32/82/05/619328205.db2.gz OOHIQDULSTXXQY-GHMZBOCLSA-N 0 0 292.335 2.587 20 5 CFBDRN CCC[C@@H](OCC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246279 619328308 /nfs/dbraw/zinc/32/83/08/619328308.db2.gz GPRDLWKMAGLPCD-BXUZGUMPSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@@H](NC(=O)C[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928250105 619328592 /nfs/dbraw/zinc/32/85/92/619328592.db2.gz YSARRGBNZOCQCU-RISCZKNCSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@H](C(=O)OCCOc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000748138176 619342001 /nfs/dbraw/zinc/34/20/01/619342001.db2.gz DLAVTXFJAJYGIS-JTQLQIEISA-N 0 0 279.292 2.563 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCc2sc(Cl)cc2C1 ZINC000894344032 619342324 /nfs/dbraw/zinc/34/23/24/619342324.db2.gz YYYXNMQPDFAJDD-UHFFFAOYSA-N 0 0 298.755 2.606 20 5 CFBDRN CCC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791736647 619348612 /nfs/dbraw/zinc/34/86/12/619348612.db2.gz QNDOOCRFKVMXST-SECBINFHSA-N 0 0 296.279 2.982 20 5 CFBDRN COc1cc(C(=O)O[C@H]2CCSC2)cc([N+](=O)[O-])c1C ZINC000791800206 619353884 /nfs/dbraw/zinc/35/38/84/619353884.db2.gz DMXUZFLCVOWFOS-JTQLQIEISA-N 0 0 297.332 2.574 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2C[C@@H]3CCCC[C@@H]32)c1 ZINC000894439209 619386347 /nfs/dbraw/zinc/38/63/47/619386347.db2.gz COJJCQQNKUCBPR-ZANVPECISA-N 0 0 277.280 2.882 20 5 CFBDRN CC1(C)CO[C@H](CCNC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000894505749 619404430 /nfs/dbraw/zinc/40/44/30/619404430.db2.gz ILLSJBBFOZIAPZ-CYBMUJFWSA-N 0 0 292.335 2.530 20 5 CFBDRN CCC1(CC)CCCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000894561726 619416075 /nfs/dbraw/zinc/41/60/75/619416075.db2.gz RKZROGXXOTWUAC-UHFFFAOYSA-N 0 0 266.345 2.735 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCCc1ccco1 ZINC000894614433 619430096 /nfs/dbraw/zinc/43/00/96/619430096.db2.gz NNDRVFRYAHSSTP-UHFFFAOYSA-N 0 0 277.280 2.636 20 5 CFBDRN Cc1ncsc1CCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000048764756 619431156 /nfs/dbraw/zinc/43/11/56/619431156.db2.gz SJLOBNZNUIFTOQ-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)(F)C1 ZINC000792523553 619476306 /nfs/dbraw/zinc/47/63/06/619476306.db2.gz ATUONWGSVBGYGQ-YFHOEESVSA-N 0 0 278.283 2.569 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000048866521 619477822 /nfs/dbraw/zinc/47/78/22/619477822.db2.gz PISIAWMTDWXJRG-DTWKUNHWSA-N 0 0 268.288 2.898 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2[C@H](C)C[C@H]2C)c1[N+](=O)[O-] ZINC000792531097 619477871 /nfs/dbraw/zinc/47/78/71/619477871.db2.gz NBKFEWMODUAWGH-RKDXNWHRSA-N 0 0 266.272 2.665 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000048866524 619478035 /nfs/dbraw/zinc/47/80/35/619478035.db2.gz PISIAWMTDWXJRG-IUCAKERBSA-N 0 0 268.288 2.898 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(c3ccccn3)C2)c1 ZINC000894846249 619481743 /nfs/dbraw/zinc/48/17/43/619481743.db2.gz UNZHAAJGNXHTLD-UHFFFAOYSA-N 0 0 269.304 2.902 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCCCCCO ZINC000310776085 619509288 /nfs/dbraw/zinc/50/92/88/619509288.db2.gz VVMKHRAYFLZWOK-UHFFFAOYSA-N 0 0 273.720 2.608 20 5 CFBDRN Cc1cc(Nc2cnn3c2NC[C@@H](C)C3)c([N+](=O)[O-])s1 ZINC000894951434 619517533 /nfs/dbraw/zinc/51/75/33/619517533.db2.gz PSWGBAJIXRSQQH-SSDOTTSWSA-N 0 0 293.352 2.966 20 5 CFBDRN C[C@@H](F)CCNC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000895049988 619534732 /nfs/dbraw/zinc/53/47/32/619534732.db2.gz HSTLAUNICQBTGB-GHMZBOCLSA-N 0 0 297.330 2.746 20 5 CFBDRN NC(=O)CC1CC(Nc2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000895541552 619550990 /nfs/dbraw/zinc/55/09/90/619550990.db2.gz NOOIIYQZLXKHAL-UHFFFAOYSA-N 0 0 299.277 2.598 20 5 CFBDRN COc1cc(CN2CCC(F)CC2)c([N+](=O)[O-])cc1OC ZINC000895551406 619553502 /nfs/dbraw/zinc/55/35/02/619553502.db2.gz WJMGVYZQJAKGIP-UHFFFAOYSA-N 0 0 298.314 2.546 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C)CC2)ccc1[N+](=O)[O-] ZINC000895758256 619600563 /nfs/dbraw/zinc/60/05/63/619600563.db2.gz DFYIAQQXDHBVBR-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN C[C@@H]1CCN(c2ccc3cnccc3c2[N+](=O)[O-])CCO1 ZINC000895761077 619601478 /nfs/dbraw/zinc/60/14/78/619601478.db2.gz AXGNGMMRVIBAIG-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc(N2CCO[C@H](C)CC2)c([N+](=O)[O-])s1 ZINC000895762511 619601967 /nfs/dbraw/zinc/60/19/67/619601967.db2.gz QOVFVGISVOJHJW-MRVPVSSYSA-N 0 0 256.327 2.580 20 5 CFBDRN CC[C@H](CNc1ncccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000895826011 619615657 /nfs/dbraw/zinc/61/56/57/619615657.db2.gz NCVTYENITJMOPM-SNVBAGLBSA-N 0 0 295.339 2.770 20 5 CFBDRN C[C@@H]1COC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000895908934 619632805 /nfs/dbraw/zinc/63/28/05/619632805.db2.gz KDNLFLSHVNYRHC-RNCFNFMXSA-N 0 0 273.292 2.590 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCC[C@H]1c1ncccn1 ZINC000895975240 619647815 /nfs/dbraw/zinc/64/78/15/619647815.db2.gz SDWUJMAXGOJGPQ-NSHDSACASA-N 0 0 285.307 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCn2ccnc2C(C)C)n1 ZINC000895989401 619649703 /nfs/dbraw/zinc/64/97/03/619649703.db2.gz ZSUNEDUVBRHFLT-UHFFFAOYSA-N 0 0 289.339 2.730 20 5 CFBDRN Cc1cc(CCNc2c(F)cc([N+](=O)[O-])cc2F)nn1C ZINC000896026698 619657989 /nfs/dbraw/zinc/65/79/89/619657989.db2.gz CLNGZESZHZOORP-UHFFFAOYSA-N 0 0 296.277 2.570 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1C[C@H]1C(F)(F)F ZINC000896091361 619671729 /nfs/dbraw/zinc/67/17/29/619671729.db2.gz VEIQOYYSRVJNDP-JGVFFNPUSA-N 0 0 292.261 2.730 20 5 CFBDRN Cc1cnc(NC[C@@H]2C[C@H]2C(F)(F)F)c([N+](=O)[O-])c1 ZINC000896092136 619672215 /nfs/dbraw/zinc/67/22/15/619672215.db2.gz HDJXBZWAOMFMGR-JGVFFNPUSA-N 0 0 275.230 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CC3(C2)OCCO3)c(Cl)c1 ZINC000896160141 619684686 /nfs/dbraw/zinc/68/46/86/619684686.db2.gz VKVQJWGDSTUPTI-UHFFFAOYSA-N 0 0 298.726 2.813 20 5 CFBDRN C[C@@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCCCO1 ZINC000896180925 619689622 /nfs/dbraw/zinc/68/96/22/619689622.db2.gz KIOXWWOJTYENDL-ZDUSSCGKSA-N 0 0 265.313 2.548 20 5 CFBDRN CCC[C@H](O)CCNc1cccc(OC)c1[N+](=O)[O-] ZINC000896187527 619690625 /nfs/dbraw/zinc/69/06/25/619690625.db2.gz PSGWEWANPGEVCY-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCS[C@@H](C)C1 ZINC000896246609 619700772 /nfs/dbraw/zinc/70/07/72/619700772.db2.gz QAFJAVAWPTXFAU-VHSXEESVSA-N 0 0 267.354 2.994 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC(F)(C2CC2)CC1 ZINC000896237000 619701879 /nfs/dbraw/zinc/70/18/79/619701879.db2.gz MDGAYEQJQCXFRG-UHFFFAOYSA-N 0 0 283.278 2.847 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCSC2(CCC2)C1 ZINC000896255751 619703926 /nfs/dbraw/zinc/70/39/26/619703926.db2.gz NLASKHOYYFPYLD-VIFPVBQESA-N 0 0 282.369 2.559 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CCS[C@H](C)C1 ZINC000896248974 619704855 /nfs/dbraw/zinc/70/48/55/619704855.db2.gz XVXMJBPAILQXPJ-RKDXNWHRSA-N 0 0 283.353 2.694 20 5 CFBDRN Nc1ccc(N[C@H]2CCSC3(CCC3)C2)nc1[N+](=O)[O-] ZINC000896251642 619706060 /nfs/dbraw/zinc/70/60/60/619706060.db2.gz YDZWSXDWEXYYHT-VIFPVBQESA-N 0 0 294.380 2.802 20 5 CFBDRN C[C@H]1C(=O)CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000896337967 619719027 /nfs/dbraw/zinc/71/90/27/619719027.db2.gz AQQGRHHKWPHVMN-QMMMGPOBSA-N 0 0 268.700 2.806 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1CCCc2cccnc21 ZINC000896412634 619731918 /nfs/dbraw/zinc/73/19/18/619731918.db2.gz RXLNWHBOBDEIMA-LLVKDONJSA-N 0 0 270.292 2.874 20 5 CFBDRN CC(C)[C@@H](Nc1ncc([N+](=O)[O-])s1)c1cnn(C)c1 ZINC000896425187 619735200 /nfs/dbraw/zinc/73/52/00/619735200.db2.gz BWZACWXCCUSRPS-SNVBAGLBSA-N 0 0 281.341 2.594 20 5 CFBDRN Cc1cc(N[C@@H](C2CC2)[C@@H]2CCCOC2)ncc1[N+](=O)[O-] ZINC000896437097 619738554 /nfs/dbraw/zinc/73/85/54/619738554.db2.gz LHIIOMJIIBAMGV-DOMZBBRYSA-N 0 0 291.351 2.915 20 5 CFBDRN Cn1nc(C2CC2)cc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000896510145 619747215 /nfs/dbraw/zinc/74/72/15/619747215.db2.gz LUBUUUCOSSEOEI-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN COc1ncc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000896512409 619747695 /nfs/dbraw/zinc/74/76/95/619747695.db2.gz QNXUYWFRBFTQAF-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cnn(CC3CCC3)c2)nc1 ZINC000896778860 619811731 /nfs/dbraw/zinc/81/17/31/619811731.db2.gz KNQUPKDCGXCZAX-UHFFFAOYSA-N 0 0 287.323 2.599 20 5 CFBDRN CC(C)(CNc1ncccc1[N+](=O)[O-])C1CCOCC1 ZINC000896785836 619815538 /nfs/dbraw/zinc/81/55/38/619815538.db2.gz VDQAYVNTBJKRSK-UHFFFAOYSA-N 0 0 279.340 2.855 20 5 CFBDRN COc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])cn2)cc1C ZINC000896776462 619817155 /nfs/dbraw/zinc/81/71/55/619817155.db2.gz BSEUCOGXWZQRLM-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN CC1(C)CC[C@H](CCNc2cc[nH]c(=O)c2[N+](=O)[O-])OC1 ZINC000896789806 619818371 /nfs/dbraw/zinc/81/83/71/619818371.db2.gz LRAOOOCLTBPLNC-SNVBAGLBSA-N 0 0 295.339 2.703 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC[C@@H]1CCCC[C@@H]1O ZINC000896813223 619826960 /nfs/dbraw/zinc/82/69/60/619826960.db2.gz ZTDGOFBDGJNKAG-AAEUAGOBSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1cc(NCC[C@@H]2CCCC[C@@H]2O)ncc1[N+](=O)[O-] ZINC000896815706 619827535 /nfs/dbraw/zinc/82/75/35/619827535.db2.gz JAKYSDKBYJUALP-AAEUAGOBSA-N 0 0 279.340 2.651 20 5 CFBDRN C[C@]12CN(c3cc(N)ccc3[N+](=O)[O-])C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000896898972 619870209 /nfs/dbraw/zinc/87/02/09/619870209.db2.gz RQIABPOPYSOEER-NGOBNIPXSA-N 0 0 285.347 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2C2OCCO2)c(Cl)c1 ZINC000897005519 619911765 /nfs/dbraw/zinc/91/17/65/619911765.db2.gz APMKHCTUFXRDIA-LBPRGKRZSA-N 0 0 298.726 2.590 20 5 CFBDRN COCC1(c2noc(-c3cccc([N+](=O)[O-])c3C)n2)CC1 ZINC000897031832 619915116 /nfs/dbraw/zinc/91/51/16/619915116.db2.gz CGFBRZBTTAQKAW-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN C[C@H](CNC(=O)N1CC[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897049379 619919836 /nfs/dbraw/zinc/91/98/36/619919836.db2.gz DYTZXCLJNHAVFT-MNOVXSKESA-N 0 0 277.324 2.502 20 5 CFBDRN C[C@H](CNC(=O)N[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000897054476 619921128 /nfs/dbraw/zinc/92/11/28/619921128.db2.gz XIVYPTHKPQNAJF-MFKMUULPSA-N 0 0 289.335 2.550 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCSC(C)(C)C2)c1[N+](=O)[O-] ZINC000897060731 619922398 /nfs/dbraw/zinc/92/23/98/619922398.db2.gz NGIYGJGUGYKTEB-VIFPVBQESA-N 0 0 298.412 2.977 20 5 CFBDRN C[C@H](CNC(=O)N1[C@H](C)C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897063715 619923709 /nfs/dbraw/zinc/92/37/09/619923709.db2.gz PWUUZRCLTYYSRC-IJLUTSLNSA-N 0 0 291.351 2.891 20 5 CFBDRN CCN(C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000897072022 619926127 /nfs/dbraw/zinc/92/61/27/619926127.db2.gz SQOAQQGABCMOEE-NSHDSACASA-N 0 0 291.351 2.892 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000897148911 619940219 /nfs/dbraw/zinc/94/02/19/619940219.db2.gz KVYCOMOVKYHENI-ZIAGYGMSSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1c(C)cccc1[N+](=O)[O-] ZINC000897145818 619940868 /nfs/dbraw/zinc/94/08/68/619940868.db2.gz UUCPIJMCOYTWGL-PWSUYJOCSA-N 0 0 250.298 2.517 20 5 CFBDRN CCOc1cc(N(C)[C@H]2CC[C@H]2OC)ccc1[N+](=O)[O-] ZINC000897147157 619941280 /nfs/dbraw/zinc/94/12/80/619941280.db2.gz HFPULCKDBSGRLD-WCQYABFASA-N 0 0 280.324 2.607 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897177698 619944866 /nfs/dbraw/zinc/94/48/66/619944866.db2.gz UHULKOLTNNWFMM-GARJFASQSA-N 0 0 264.329 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC3CC(CCO)C3)ccc2c1 ZINC000897232106 619955118 /nfs/dbraw/zinc/95/51/18/619955118.db2.gz NGVQYZDGMCEORM-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN CC1(C)CCC[C@@H](CNc2ncc([N+](=O)[O-])cc2F)O1 ZINC000897253749 619960178 /nfs/dbraw/zinc/96/01/78/619960178.db2.gz GWWYEJGCMWOBHV-JTQLQIEISA-N 0 0 283.303 2.889 20 5 CFBDRN CC[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1SC)C(C)=O ZINC000909590916 619966972 /nfs/dbraw/zinc/96/69/72/619966972.db2.gz HUNUVSLOEYTIRY-NSHDSACASA-N 0 0 297.332 2.841 20 5 CFBDRN CC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@H]1CC1(C)C ZINC000841357468 619979147 /nfs/dbraw/zinc/97/91/47/619979147.db2.gz APOPFJLRVXYMRO-GHMZBOCLSA-N 0 0 270.373 2.763 20 5 CFBDRN CSCC[C@H](C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074764596 619982833 /nfs/dbraw/zinc/98/28/33/619982833.db2.gz NTDIVKAYGCEDOF-VIFPVBQESA-N 0 0 255.343 2.852 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCc1ccccc1 ZINC000144065948 620003790 /nfs/dbraw/zinc/00/37/90/620003790.db2.gz RJQMPUQTLOZERR-UHFFFAOYSA-N 0 0 271.272 2.881 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(Cc3ccoc3)C2)n1 ZINC000897350674 620013212 /nfs/dbraw/zinc/01/32/12/620013212.db2.gz IJWUHTRSVJGDJB-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN Cc1cnc(N2CC(Cc3ccoc3)C2)c([N+](=O)[O-])c1 ZINC000897350777 620013542 /nfs/dbraw/zinc/01/35/42/620013542.db2.gz LIHIVUNJVBLGAF-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN CC[C@@H]1c2ccccc2CN1c1c([N+](=O)[O-])ncn1C ZINC000897354860 620019413 /nfs/dbraw/zinc/01/94/13/620019413.db2.gz VXXFRRJKPLDYDF-GFCCVEGCSA-N 0 0 272.308 2.800 20 5 CFBDRN CC[C@@H]1c2ccccc2CN1c1c([N+](=O)[O-])cnn1C ZINC000897354914 620020129 /nfs/dbraw/zinc/02/01/29/620020129.db2.gz AVTSMKIEPCZHGB-GFCCVEGCSA-N 0 0 272.308 2.800 20 5 CFBDRN CCCC[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1C)C(=O)[O-] ZINC000262703978 620057261 /nfs/dbraw/zinc/05/72/61/620057261.db2.gz LWCPKXSFJKXOEA-CYBMUJFWSA-N 0 0 280.324 2.636 20 5 CFBDRN C[C@@H](NC(=O)NC1(C2CC2)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000925238153 620061348 /nfs/dbraw/zinc/06/13/48/620061348.db2.gz SKMSRXCQKORMDL-SNVBAGLBSA-N 0 0 289.335 2.898 20 5 CFBDRN C[C@@H](NC(=O)N1CCCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000925243130 620064749 /nfs/dbraw/zinc/06/47/49/620064749.db2.gz BAWCRONRZAZVOH-LLVKDONJSA-N 0 0 293.323 2.783 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000925247412 620067605 /nfs/dbraw/zinc/06/76/05/620067605.db2.gz ABONJMTVNHIKGV-RAIGVLPGSA-N 0 0 289.335 2.707 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC(C)(C)/C=C/Cl ZINC000928928938 620071133 /nfs/dbraw/zinc/07/11/33/620071133.db2.gz HYBVYNJZBLNRLF-VOTSOKGWSA-N 0 0 298.726 2.864 20 5 CFBDRN COC(=O)c1cnc(N[C@@H]2CC=CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000897392741 620092933 /nfs/dbraw/zinc/09/29/33/620092933.db2.gz MHODPXDSGQROGJ-MWLCHTKSSA-N 0 0 291.307 2.543 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CC=CC[C@H]1C ZINC000897392564 620092949 /nfs/dbraw/zinc/09/29/49/620092949.db2.gz GHESGUKADHTFAM-MWLCHTKSSA-N 0 0 264.329 2.886 20 5 CFBDRN CC1(C)CCC[C@H]1OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000799455171 620109525 /nfs/dbraw/zinc/10/95/25/620109525.db2.gz GECRTWQKAWNEHY-GFCCVEGCSA-N 0 0 278.308 2.913 20 5 CFBDRN O=C([O-])[C@H]1CCCC[N@@H+]1Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000263422950 620142464 /nfs/dbraw/zinc/14/24/64/620142464.db2.gz PMBZDVOKSSOQND-GFCCVEGCSA-N 0 0 298.726 2.687 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1ccc([N+](=O)[O-])s1 ZINC000889581252 620147981 /nfs/dbraw/zinc/14/79/81/620147981.db2.gz OYPDDLHTTXOURX-XHNCKOQMSA-N 0 0 266.322 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2COC[C@H]2C2CC2)c1 ZINC000897447365 620175556 /nfs/dbraw/zinc/17/55/56/620175556.db2.gz OMYVWSDPQLUEHL-AAEUAGOBSA-N 0 0 262.309 2.740 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NC/C=C\Cl ZINC000897502949 620193555 /nfs/dbraw/zinc/19/35/55/620193555.db2.gz IRGIEADGZMIVSB-IHWYPQMZSA-N 0 0 284.625 2.512 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)c1ccccc1 ZINC000010868044 620217353 /nfs/dbraw/zinc/21/73/53/620217353.db2.gz NWZUDHUAYINKAI-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)C(F)F)cccc1[N+](=O)[O-] ZINC000786528527 620254091 /nfs/dbraw/zinc/25/40/91/620254091.db2.gz ZYRWUOWKHFUNTK-ZETCQYMHSA-N 0 0 259.208 2.714 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000897613119 620259124 /nfs/dbraw/zinc/25/91/24/620259124.db2.gz LSYIORIKIVGBOY-UWVGGRQHSA-N 0 0 299.330 2.953 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NOC/C=C/Cl)c1C ZINC000897805940 620288144 /nfs/dbraw/zinc/28/81/44/620288144.db2.gz NILOYGRPMAYRGS-ZZXKWVIFSA-N 0 0 284.699 2.626 20 5 CFBDRN CCn1ccnc1NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000897982898 620318261 /nfs/dbraw/zinc/31/82/61/620318261.db2.gz ZXOBSBWVHXCCDM-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1cc(C(=O)N2CCC=C(Cl)C2)cc([N+](=O)[O-])c1 ZINC000898191365 620350498 /nfs/dbraw/zinc/35/04/98/620350498.db2.gz KDEJPENICUHZRX-UHFFFAOYSA-N 0 0 280.711 2.872 20 5 CFBDRN CC(C)(C)OC1CN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000866279398 620385936 /nfs/dbraw/zinc/38/59/36/620385936.db2.gz RQMGKSZOKCYFDG-UHFFFAOYSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@@](C)(CNc1cccc(F)c1[N+](=O)[O-])OC ZINC000322770086 620392086 /nfs/dbraw/zinc/39/20/86/620392086.db2.gz IBJQWVCUXPBMEQ-LBPRGKRZSA-N 0 0 256.277 2.961 20 5 CFBDRN CC[C@](C)(CNc1cccc(F)c1[N+](=O)[O-])OC ZINC000322770084 620392298 /nfs/dbraw/zinc/39/22/98/620392298.db2.gz IBJQWVCUXPBMEQ-GFCCVEGCSA-N 0 0 256.277 2.961 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@@H]1c1cccc(F)c1 ZINC000054570072 620409428 /nfs/dbraw/zinc/40/94/28/620409428.db2.gz ZKIBWWCYWJZXHU-CYBMUJFWSA-N 0 0 290.298 2.725 20 5 CFBDRN O=C(OCc1ccc(F)cn1)c1cc([N+](=O)[O-])ccc1F ZINC000787654614 620414193 /nfs/dbraw/zinc/41/41/93/620414193.db2.gz QHCDFNWKIAAUME-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NC/C=C/Cl ZINC000899042472 620515707 /nfs/dbraw/zinc/51/57/07/620515707.db2.gz JJZBSXIQBOCUPE-XVNBXDOJSA-N 0 0 297.742 2.531 20 5 CFBDRN CCON(CC)C(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000899187243 620530004 /nfs/dbraw/zinc/53/00/04/620530004.db2.gz BEXLYJYEFMRQES-UHFFFAOYSA-N 0 0 266.297 2.625 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1N1N=C(C)[C@@H](C(C)C)C1=O ZINC000899350442 620550395 /nfs/dbraw/zinc/55/03/95/620550395.db2.gz ZFLPLMVZGHZYKS-CYBMUJFWSA-N 0 0 291.307 2.598 20 5 CFBDRN Cn1cc(/C=C\c2ccc([N+](=O)[O-])cn2)c(C2CC2)n1 ZINC000899362656 620553628 /nfs/dbraw/zinc/55/36/28/620553628.db2.gz ZDQVXQLSNKAHMF-PLNGDYQASA-N 0 0 270.292 2.771 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000899415275 620566381 /nfs/dbraw/zinc/56/63/81/620566381.db2.gz UGACCRZYIFTUGS-PNZRWIHMSA-N 0 0 292.310 2.756 20 5 CFBDRN Cc1cccc(-n2ccnc2SCC[N+](=O)[O-])c1 ZINC000899861621 620606704 /nfs/dbraw/zinc/60/67/04/620606704.db2.gz IWXXPFPBRYRPAQ-UHFFFAOYSA-N 0 0 263.322 2.550 20 5 CFBDRN Cn1c(SCC[N+](=O)[O-])nnc1-c1cccc(Cl)c1 ZINC000899878958 620608557 /nfs/dbraw/zinc/60/85/57/620608557.db2.gz XWWCMTXDYOVJNN-UHFFFAOYSA-N 0 0 298.755 2.504 20 5 CFBDRN O=[N+]([O-])CCOc1c(Cl)cccc1Br ZINC000899894410 620609699 /nfs/dbraw/zinc/60/96/99/620609699.db2.gz ZMDWRGBARNERTO-UHFFFAOYSA-N 0 0 280.505 2.758 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCN1C(C)(C)C ZINC000899911444 620612326 /nfs/dbraw/zinc/61/23/26/620612326.db2.gz REWAHKBGHNABKZ-CYBMUJFWSA-N 0 0 291.351 2.715 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000899911428 620612376 /nfs/dbraw/zinc/61/23/76/620612376.db2.gz RCHCLSJYDSPMBE-UHFFFAOYSA-N 0 0 264.281 2.539 20 5 CFBDRN CCN(CC)C(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000899914510 620613273 /nfs/dbraw/zinc/61/32/73/620613273.db2.gz JBAJAOUTXOOLOI-UHFFFAOYSA-N 0 0 274.679 2.869 20 5 CFBDRN CCC/C=C\[C@@H](O)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000899934940 620615378 /nfs/dbraw/zinc/61/53/78/620615378.db2.gz FMIRQCORYMXAFJ-WYOARUQKSA-N 0 0 278.308 2.559 20 5 CFBDRN CCC/C=C\[C@@H](O)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000899936751 620615653 /nfs/dbraw/zinc/61/56/53/620615653.db2.gz GHYRDOQDIYYKHH-LMVHVUTASA-N 0 0 292.335 2.867 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)C[NH2+][C@H](C)[C@@H](C)C(=O)[O-] ZINC000901455134 620828324 /nfs/dbraw/zinc/82/83/24/620828324.db2.gz ZAPHOASZUHSIIZ-PWGYGRHZSA-N 0 0 292.335 2.697 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000901532045 620847832 /nfs/dbraw/zinc/84/78/32/620847832.db2.gz VGFUKJNEMISMFP-YGRLFVJLSA-N 0 0 285.303 2.683 20 5 CFBDRN O=C(C[C@H]1CC[C@H](C2CC2)O1)Nc1ccc([N+](=O)[O-])cc1 ZINC000901517967 620854129 /nfs/dbraw/zinc/85/41/29/620854129.db2.gz OGVUYBNUAANQNN-ZIAGYGMSSA-N 0 0 290.319 2.881 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccnn1C1CCC1 ZINC000901519342 620854599 /nfs/dbraw/zinc/85/45/99/620854599.db2.gz YYFVQTVFMFFHRW-UHFFFAOYSA-N 0 0 286.291 2.769 20 5 CFBDRN COC/C(C)=C/COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000901519128 620854622 /nfs/dbraw/zinc/85/46/22/620854622.db2.gz DVHMICCCUIHARG-UXBLZVDNSA-N 0 0 279.292 2.769 20 5 CFBDRN Cc1nn(C[C@@H]2CCC[C@@H](C(F)(F)F)O2)cc1[N+](=O)[O-] ZINC000901548509 620861205 /nfs/dbraw/zinc/86/12/05/620861205.db2.gz MPQZUCKZWJIUFJ-WPRPVWTQSA-N 0 0 293.245 2.600 20 5 CFBDRN CC(C)SCCOc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000901699103 620898067 /nfs/dbraw/zinc/89/80/67/620898067.db2.gz FWJABXSWFZTRSB-UHFFFAOYSA-N 0 0 299.348 2.886 20 5 CFBDRN CC(C)[C@](C)(CC(=O)[O-])[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000901818438 620927057 /nfs/dbraw/zinc/92/70/57/620927057.db2.gz HXTQFQLMACAULH-AWEZNQCLSA-N 0 0 280.324 2.574 20 5 CFBDRN CCn1cc(CSCc2cccc([N+](=O)[O-])c2C)nn1 ZINC000901864200 620929126 /nfs/dbraw/zinc/92/91/26/620929126.db2.gz ISHJVVHMPKYNEC-UHFFFAOYSA-N 0 0 292.364 2.948 20 5 CFBDRN CC(=O)NCCCCCNc1cc([N+](=O)[O-])ccc1C ZINC000901921321 620935983 /nfs/dbraw/zinc/93/59/83/620935983.db2.gz HFFJSLNMEXHNIY-UHFFFAOYSA-N 0 0 279.340 2.622 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nc(C2CCCCC2)no1 ZINC000901912371 620938821 /nfs/dbraw/zinc/93/88/21/620938821.db2.gz XHPVQCXFGJPEOD-UHFFFAOYSA-N 0 0 291.311 2.579 20 5 CFBDRN Cc1cc(CN2CCc3cc([N+](=O)[O-])ccc32)c(C)nn1 ZINC000901933763 620939290 /nfs/dbraw/zinc/93/92/90/620939290.db2.gz AJQITIIVQNXKEV-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NC[C@H]2C[C@]23CCOC3)c1 ZINC000901969786 620947432 /nfs/dbraw/zinc/94/74/32/620947432.db2.gz OKMBFEMMRNYYSF-RNCFNFMXSA-N 0 0 266.272 2.572 20 5 CFBDRN O=C([O-])C[C@H]1CCC[N@@H+]1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000902010217 620957097 /nfs/dbraw/zinc/95/70/97/620957097.db2.gz SONXOLXIVNOLGZ-VUUYWXRKSA-N 0 0 290.319 2.547 20 5 CFBDRN CCn1cc(NCc2c(F)cc([N+](=O)[O-])cc2F)cn1 ZINC000902070549 620967732 /nfs/dbraw/zinc/96/77/32/620967732.db2.gz QQFZPRPTOGAIEB-UHFFFAOYSA-N 0 0 282.250 2.702 20 5 CFBDRN C[C@@H]1[C@@H](CC(=O)[O-])CC[C@@H]1[NH2+]Cc1ccccc1[N+](=O)[O-] ZINC000902097747 620978543 /nfs/dbraw/zinc/97/85/43/620978543.db2.gz YREHHTOUPWWQED-WZRBSPASSA-N 0 0 292.335 2.574 20 5 CFBDRN C[C@@H]1C[C@H]([NH2+]Cc2ccccc2[N+](=O)[O-])CC[C@@H]1C(=O)[O-] ZINC000902097291 620978758 /nfs/dbraw/zinc/97/87/58/620978758.db2.gz XBAPJPNPUFONLQ-RTXFEEFZSA-N 0 0 292.335 2.574 20 5 CFBDRN C[C@@H](CC(C)(C)CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000902100381 620979082 /nfs/dbraw/zinc/97/90/82/620979082.db2.gz DIXNBRNAJLYPQP-NSHDSACASA-N 0 0 294.351 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@]23CCOC3)c(F)c1 ZINC000902211030 620993546 /nfs/dbraw/zinc/99/35/46/620993546.db2.gz PDRGPYOUNGTODM-ZANVPECISA-N 0 0 267.256 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(C[C@@H]3C[C@]34CCOC4)c2)cc1 ZINC000902236968 621004481 /nfs/dbraw/zinc/00/44/81/621004481.db2.gz ARLOXKROQIZFFI-HOCLYGCPSA-N 0 0 299.330 2.885 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSC[C@@H]1CCCCO1 ZINC000902287068 621015200 /nfs/dbraw/zinc/01/52/00/621015200.db2.gz RUPMFZKIDBEUNB-NSHDSACASA-N 0 0 268.338 2.792 20 5 CFBDRN C[C@@H](COCc1ccccc1)Cn1cc([N+](=O)[O-])cn1 ZINC000902323459 621036314 /nfs/dbraw/zinc/03/63/14/621036314.db2.gz DQAFTXFIWPDNMQ-GFCCVEGCSA-N 0 0 275.308 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@@H]2C[C@H]2C2CC2)cc1CO ZINC000902358431 621051843 /nfs/dbraw/zinc/05/18/43/621051843.db2.gz GUTDPBYULWGHGL-RISCZKNCSA-N 0 0 277.320 2.902 20 5 CFBDRN Cn1cc(CSCC2CC(F)(F)C2)c([N+](=O)[O-])n1 ZINC000902398132 621066286 /nfs/dbraw/zinc/06/62/86/621066286.db2.gz PGDULRYLFCRHEL-UHFFFAOYSA-N 0 0 277.296 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2C[C@@]23CCOC3)c(F)c1F ZINC000902424339 621074494 /nfs/dbraw/zinc/07/44/94/621074494.db2.gz MTRSOCRMRSPCMI-AMIZOPFISA-N 0 0 285.246 2.678 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000902432293 621078277 /nfs/dbraw/zinc/07/82/77/621078277.db2.gz NBSSRIYRRGNCAT-MNOVXSKESA-N 0 0 272.304 2.956 20 5 CFBDRN Cn1cc(CSC[C@@H]2CCC(C)(C)CO2)c([N+](=O)[O-])n1 ZINC000902436677 621080065 /nfs/dbraw/zinc/08/00/65/621080065.db2.gz RZJCBACISIMQFA-NSHDSACASA-N 0 0 299.396 2.767 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(C[C@@H]2C[C@@]23CCOC3)c1 ZINC000902490909 621102090 /nfs/dbraw/zinc/10/20/90/621102090.db2.gz IHCSKWVZFOWNTJ-XJKSGUPXSA-N 0 0 299.330 2.885 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000902486664 621112191 /nfs/dbraw/zinc/11/21/91/621112191.db2.gz AWRLHRBDHKRAOF-IINYFYTJSA-N 0 0 292.291 2.754 20 5 CFBDRN CC[C@@H](NCc1csc(N)n1)c1cccc([N+](=O)[O-])c1 ZINC000902487715 621112764 /nfs/dbraw/zinc/11/27/64/621112764.db2.gz CZBQJKCTSTULNZ-GFCCVEGCSA-N 0 0 292.364 2.874 20 5 CFBDRN CC(C)C1(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)COC1 ZINC000902488874 621113232 /nfs/dbraw/zinc/11/32/32/621113232.db2.gz PKCBHTVAJYWRHU-NSHDSACASA-N 0 0 293.319 2.872 20 5 CFBDRN CCOCCCOc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902697992 621149590 /nfs/dbraw/zinc/14/95/90/621149590.db2.gz GNTYJWHDPTWUTK-UHFFFAOYSA-N 0 0 261.224 2.678 20 5 CFBDRN CCc1ncc(COc2ccc(OC)c([N+](=O)[O-])c2)o1 ZINC000902734375 621161306 /nfs/dbraw/zinc/16/13/06/621161306.db2.gz SOWHVPLGNMJRFF-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN COc1cc([C@H](C)[NH2+]C[C@@H]2CC[C@H](C)O2)cc([N+](=O)[O-])c1 ZINC000903183251 621277587 /nfs/dbraw/zinc/27/75/87/621277587.db2.gz NBZUFUWEJAFAMA-MJVIPROJSA-N 0 0 294.351 2.822 20 5 CFBDRN C[C@H]([NH2+]C/C=C/Cl)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903445851 621383965 /nfs/dbraw/zinc/38/39/65/621383965.db2.gz WJANDSIPRDHCMY-NCJLJLRUSA-N 0 0 256.689 2.704 20 5 CFBDRN Cc1c(-c2noc([C@@H]3[C@@H]4CCC(=O)[C@H]34)n2)cccc1[N+](=O)[O-] ZINC000903714591 621430719 /nfs/dbraw/zinc/43/07/19/621430719.db2.gz AMAMHMUTIYDDCM-OASPWFOLSA-N 0 0 299.286 2.646 20 5 CFBDRN Cc1noc(-c2cc(C(F)(F)F)ccc2[N+](=O)[O-])n1 ZINC000904579486 621608225 /nfs/dbraw/zinc/60/82/25/621608225.db2.gz PKAIDWGOSSKXGN-UHFFFAOYSA-N 0 0 273.170 2.972 20 5 CFBDRN O=C(NCC[C@@H]1C[C@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000927424821 621620164 /nfs/dbraw/zinc/62/01/64/621620164.db2.gz MBVFSDNUDMSNBC-YPMHNXCESA-N 0 0 274.320 2.761 20 5 CFBDRN COc1ccc(OC(=O)[C@]2(C)C=CCC2)cc1[N+](=O)[O-] ZINC000904850698 621659025 /nfs/dbraw/zinc/65/90/25/621659025.db2.gz CGPJNRWCYAMFKK-CQSZACIVSA-N 0 0 277.276 2.865 20 5 CFBDRN CCOCOc1cnccc1-c1cnc(C)c([N+](=O)[O-])c1 ZINC000904882678 621661056 /nfs/dbraw/zinc/66/10/56/621661056.db2.gz PECXMKAKFACICV-UHFFFAOYSA-N 0 0 289.291 2.733 20 5 CFBDRN CN(C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)c1ccc([N+](=O)[O-])nc1 ZINC000905021997 621687359 /nfs/dbraw/zinc/68/73/59/621687359.db2.gz AQQKVLOMWYLXSP-CNDDSTCGSA-N 0 0 289.335 2.779 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)N1CCCC1 ZINC000905027538 621688738 /nfs/dbraw/zinc/68/87/38/621688738.db2.gz ZLRCCUIPHXLHFR-UHFFFAOYSA-N 0 0 282.727 2.803 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000832760729 625508060 /nfs/dbraw/zinc/50/80/60/625508060.db2.gz SUXYZVIVFRRWJC-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN Cc1c(OC[C@H]2C[C@]23CCOC3)cccc1[N+](=O)[O-] ZINC000905234339 621730874 /nfs/dbraw/zinc/73/08/74/621730874.db2.gz JDHOYTZWGLKVSO-RISCZKNCSA-N 0 0 263.293 2.709 20 5 CFBDRN CC(C)(C)OCc1ccc(NCC[N+](=O)[O-])cc1 ZINC000905330080 621749496 /nfs/dbraw/zinc/74/94/96/621749496.db2.gz QUMGPZGEGGUNKW-UHFFFAOYSA-N 0 0 252.314 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nnc(C3CCC3)o2)cc1 ZINC000905425656 621768410 /nfs/dbraw/zinc/76/84/10/621768410.db2.gz BSWUHFPHHQXHGL-UHFFFAOYSA-N 0 0 274.280 2.857 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)NCC1CC1 ZINC000905783027 621848293 /nfs/dbraw/zinc/84/82/93/621848293.db2.gz FNXPPCFADMAZCC-UHFFFAOYSA-N 0 0 282.727 2.707 20 5 CFBDRN C[C@@](O)(CSCc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000905948071 621910231 /nfs/dbraw/zinc/91/02/31/621910231.db2.gz VZKQQIDYDOTOJA-LLVKDONJSA-N 0 0 277.292 2.844 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C(F)F ZINC000906132807 621937308 /nfs/dbraw/zinc/93/73/08/621937308.db2.gz BHGXUHLZNODUCK-ZCFIWIBFSA-N 0 0 290.291 2.700 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cn(C3CCOCC3)cn2)cc1 ZINC000906171018 621942957 /nfs/dbraw/zinc/94/29/57/621942957.db2.gz BUIOOMCFFBHEQP-UHFFFAOYSA-N 0 0 273.292 2.810 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000906237859 621963499 /nfs/dbraw/zinc/96/34/99/621963499.db2.gz MPSJFMPZVZDEHZ-VIFPVBQESA-N 0 0 298.314 2.586 20 5 CFBDRN Cc1cc(C(=O)N=[S@](C)(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000906308371 621971559 /nfs/dbraw/zinc/97/15/59/621971559.db2.gz BYCIMYAJSKBHKC-HXUWFJFHSA-N 0 0 298.364 2.940 20 5 CFBDRN Cc1cc(C(=O)N=[S@](C)(=O)C(C)(C)C)cc([N+](=O)[O-])c1 ZINC000906314612 621972739 /nfs/dbraw/zinc/97/27/39/621972739.db2.gz GWNCJMXFJAHWPB-HXUWFJFHSA-N 0 0 298.364 2.940 20 5 CFBDRN CCC(CC)N(CC)C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000832822376 625529519 /nfs/dbraw/zinc/52/95/19/625529519.db2.gz DNNQGKKOKZFLPH-CQSZACIVSA-N 0 0 294.351 2.665 20 5 CFBDRN CC(C)=CCNC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000907687546 622152517 /nfs/dbraw/zinc/15/25/17/622152517.db2.gz IAAHZYIUSOOYEB-UHFFFAOYSA-N 0 0 283.715 2.526 20 5 CFBDRN O=C(NCCC1CC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149471409 622166335 /nfs/dbraw/zinc/16/63/35/622166335.db2.gz IATRJHGURIICGC-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NN2[C@H](C)CCC[C@H]2C)c1 ZINC000907987057 622183053 /nfs/dbraw/zinc/18/30/53/622183053.db2.gz AHJFPBREEAWXNH-VXGBXAGGSA-N 0 0 291.351 2.811 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H](C)[C@H]1CCCO1 ZINC000908267816 622209814 /nfs/dbraw/zinc/20/98/14/622209814.db2.gz LNNKMVPHALHROX-WCQYABFASA-N 0 0 292.335 2.965 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@@H]1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000908268885 622210238 /nfs/dbraw/zinc/21/02/38/622210238.db2.gz PRGFDJKMNNMFRB-SCDSUCTJSA-N 0 0 292.335 2.821 20 5 CFBDRN COC/C(C)=C\COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000908702150 622265787 /nfs/dbraw/zinc/26/57/87/622265787.db2.gz HNASUHISPRIBHF-POHAHGRESA-N 0 0 279.292 2.653 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000908713605 622267489 /nfs/dbraw/zinc/26/74/89/622267489.db2.gz AQKVYVVMXICDFH-WEVVVXLNSA-N 0 0 299.710 2.998 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000908716477 622268316 /nfs/dbraw/zinc/26/83/16/622268316.db2.gz YIJWYFQQAZDRQS-IONNQARKSA-N 0 0 297.694 2.630 20 5 CFBDRN CCc1ccc(C(=O)OC/C=C(/C)COC)cc1[N+](=O)[O-] ZINC000909037536 622299298 /nfs/dbraw/zinc/29/92/98/622299298.db2.gz BCQFSUQBKOQTKM-XFFZJAGNSA-N 0 0 293.319 2.907 20 5 CFBDRN CCc1ccc(C(=O)OC/C=C(\C)COC)cc1[N+](=O)[O-] ZINC000909037537 622299454 /nfs/dbraw/zinc/29/94/54/622299454.db2.gz BCQFSUQBKOQTKM-YRNVUSSQSA-N 0 0 293.319 2.907 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000909079845 622314027 /nfs/dbraw/zinc/31/40/27/622314027.db2.gz XAMUBULIBMWFTD-UHFFFAOYSA-N 0 0 298.364 2.844 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CC2(CC2)C1 ZINC000833316836 625560152 /nfs/dbraw/zinc/56/01/52/625560152.db2.gz LIYHLBYKNNTQGA-UHFFFAOYSA-N 0 0 298.701 2.552 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)N1CC=CC1 ZINC000909993171 622383736 /nfs/dbraw/zinc/38/37/36/622383736.db2.gz FZKNIEVKPRWIST-UHFFFAOYSA-N 0 0 280.711 2.579 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027933 622387919 /nfs/dbraw/zinc/38/79/19/622387919.db2.gz NSZPRGCAQLOVMP-ZWKOPEQDSA-N 0 0 291.303 2.609 20 5 CFBDRN CCOCCCOC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026935 622388132 /nfs/dbraw/zinc/38/81/32/622388132.db2.gz GJSCKZIITXQSPA-UONOGXRCSA-N 0 0 293.319 2.668 20 5 CFBDRN CC(=O)CCCOC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028758 622388676 /nfs/dbraw/zinc/38/86/76/622388676.db2.gz VYEUAGGCRJXTJT-KBPBESRZSA-N 0 0 291.303 2.611 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1C[C@H]2C[C@H]2C1 ZINC000911473073 622522176 /nfs/dbraw/zinc/52/21/76/622522176.db2.gz PWDGSMQIDYJZHH-BRPSZJMVSA-N 0 0 296.273 2.535 20 5 CFBDRN CC(C)[C@H](C)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475793 622522332 /nfs/dbraw/zinc/52/23/32/622522332.db2.gz JJBVTNQJENBPLP-QMMMGPOBSA-N 0 0 286.278 2.781 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475626 622522358 /nfs/dbraw/zinc/52/23/58/622522358.db2.gz HLNIDRAEHIYSKR-ZJUUUORDSA-N 0 0 298.289 2.781 20 5 CFBDRN C[C@@H]1CCC[C@@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911476663 622522386 /nfs/dbraw/zinc/52/23/86/622522386.db2.gz SEMJBXGSXYYYIC-SCZZXKLOSA-N 0 0 298.289 2.925 20 5 CFBDRN O=C(CCC(F)F)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475473 622522400 /nfs/dbraw/zinc/52/24/00/622522400.db2.gz XWTRKYLTOBYRIP-UHFFFAOYSA-N 0 0 294.204 2.535 20 5 CFBDRN CC[C@H](C)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911478923 622524428 /nfs/dbraw/zinc/52/44/28/622524428.db2.gz VQYOTJZCJYOVAV-ZETCQYMHSA-N 0 0 272.251 2.535 20 5 CFBDRN O=C(C=C1CCCC1)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911478878 622524442 /nfs/dbraw/zinc/52/44/42/622524442.db2.gz UEHRNWXWZGHDBI-UHFFFAOYSA-N 0 0 296.273 2.990 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000911739882 622546702 /nfs/dbraw/zinc/54/67/02/622546702.db2.gz ZYKHZBAHBDOTNG-UHFFFAOYSA-N 0 0 266.373 2.544 20 5 CFBDRN C[C@H]1CCC[C@@H](C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000819919337 622571413 /nfs/dbraw/zinc/57/14/13/622571413.db2.gz FCODUNQJKAGKIT-VHSXEESVSA-N 0 0 277.324 2.942 20 5 CFBDRN COC(=O)/C=C\c1ccc(N[C@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000819941502 622578514 /nfs/dbraw/zinc/57/85/14/622578514.db2.gz NVHCGUSXYQROBC-DXTPNHAXSA-N 0 0 288.303 2.745 20 5 CFBDRN CCC1(C)CN(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000819969530 622587822 /nfs/dbraw/zinc/58/78/22/622587822.db2.gz NJOXXDWBRAOIKI-KGLIPLIRSA-N 0 0 288.347 2.957 20 5 CFBDRN CCc1cnccc1NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000819987430 622591997 /nfs/dbraw/zinc/59/19/97/622591997.db2.gz WRAPOTSGFSPKKI-UHFFFAOYSA-N 0 0 299.330 2.843 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NN2CCCC[C@@H]2C)c1C ZINC000912158950 622639327 /nfs/dbraw/zinc/63/93/27/622639327.db2.gz ANKSWDDVMSJYLE-NSHDSACASA-N 0 0 291.351 2.731 20 5 CFBDRN C[C@@H]1CCCCN1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000912141828 622644952 /nfs/dbraw/zinc/64/49/52/622644952.db2.gz DDYDWFDEQMRXMI-SECBINFHSA-N 0 0 297.742 2.767 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3nc[nH]c3C2)c(Cl)c1 ZINC000820580648 622707298 /nfs/dbraw/zinc/70/72/98/622707298.db2.gz AKEGDBPVQLIJMB-UHFFFAOYSA-N 0 0 278.699 2.534 20 5 CFBDRN C[C@@H]1CN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])[C@@H]1C ZINC000820649562 622725417 /nfs/dbraw/zinc/72/54/17/622725417.db2.gz GQGRHEPRGDRPJH-NXEZZACHSA-N 0 0 280.299 2.533 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)[C@@H]1C ZINC000820656645 622729164 /nfs/dbraw/zinc/72/91/64/622729164.db2.gz OHKFCCWOYDXJGZ-BDAKNGLRSA-N 0 0 280.349 2.797 20 5 CFBDRN CC[C@@H](COC(=O)CCc1cc(F)ccc1[N+](=O)[O-])OC ZINC000923964399 622762935 /nfs/dbraw/zinc/76/29/35/622762935.db2.gz ZBAMBAIAWAPKEL-LBPRGKRZSA-N 0 0 299.298 2.635 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc3nonc3c2)cc1 ZINC000821058020 622834956 /nfs/dbraw/zinc/83/49/56/622834956.db2.gz TVQPWGNYSJLETO-UHFFFAOYSA-N 0 0 271.232 2.710 20 5 CFBDRN CCC1(NC(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000821105370 622846842 /nfs/dbraw/zinc/84/68/42/622846842.db2.gz LGPZOBGACOPEPA-CHWSQXEVSA-N 0 0 274.320 2.757 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CC[C@@H]1C1CC1 ZINC000821141838 622853898 /nfs/dbraw/zinc/85/38/98/622853898.db2.gz ACAHJWXJVRLSGI-CYBMUJFWSA-N 0 0 292.310 2.677 20 5 CFBDRN CCCSCC(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151253073 622871014 /nfs/dbraw/zinc/87/10/14/622871014.db2.gz KKUMVCOPMWFDJE-UHFFFAOYSA-N 0 0 283.349 2.824 20 5 CFBDRN CC(C)(C)Nc1ccccc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840434056 622874462 /nfs/dbraw/zinc/87/44/62/622874462.db2.gz TYAFLWOXDGQMSJ-BXKDBHETSA-N 0 0 277.324 2.501 20 5 CFBDRN Cc1ccoc1C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151264377 622876271 /nfs/dbraw/zinc/87/62/71/622876271.db2.gz WGSIFZQYIBHSSR-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC(c2ccccn2)C1 ZINC000913377000 622888383 /nfs/dbraw/zinc/88/83/83/622888383.db2.gz TWPPZONEYYHFCD-UHFFFAOYSA-N 0 0 297.314 2.538 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Oc1ccccc1F ZINC000151423122 622907423 /nfs/dbraw/zinc/90/74/23/622907423.db2.gz DQOXWCWGAIXZIB-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN Cc1ccc(NC(=O)N2C[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000821508344 622936620 /nfs/dbraw/zinc/93/66/20/622936620.db2.gz TVZSHDOUIQBDTN-UWVGGRQHSA-N 0 0 263.297 2.775 20 5 CFBDRN Cc1cccc(C(=O)O[C@H](C)CC(C)(C)O)c1[N+](=O)[O-] ZINC000821591250 622950295 /nfs/dbraw/zinc/95/02/95/622950295.db2.gz BNJWGUMUUSPMCU-SNVBAGLBSA-N 0 0 281.308 2.610 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1CCC12CCC2)[N+](=O)[O-] ZINC000821789815 622976383 /nfs/dbraw/zinc/97/63/83/622976383.db2.gz XUCPXASAKJPQHQ-SNVBAGLBSA-N 0 0 255.314 2.698 20 5 CFBDRN C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)C1CCOCC1 ZINC000165075599 622980669 /nfs/dbraw/zinc/98/06/69/622980669.db2.gz LVRJMDQKYYSTTB-VIFPVBQESA-N 0 0 296.298 2.735 20 5 CFBDRN CN(C(=O)c1c([N+](=O)[O-])cnn1C)C1CCCCCCC1 ZINC000165617693 622993400 /nfs/dbraw/zinc/99/34/00/622993400.db2.gz MHBRUKLNFKYGJA-UHFFFAOYSA-N 0 0 294.355 2.513 20 5 CFBDRN Cc1cc(C(=O)OC[C@@]2(C)C[C@H]2F)ccc1[N+](=O)[O-] ZINC000822078523 623029341 /nfs/dbraw/zinc/02/93/41/623029341.db2.gz MFSXSIBGXGGFHW-DGCLKSJQSA-N 0 0 267.256 2.808 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@]1(C)C[C@@H]1F ZINC000822092698 623034022 /nfs/dbraw/zinc/03/40/22/623034022.db2.gz XTOZTOGVXBPSCW-WCQYABFASA-N 0 0 283.255 2.508 20 5 CFBDRN CC(C)[C@H](C)N(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000058263018 623039645 /nfs/dbraw/zinc/03/96/45/623039645.db2.gz IAZNLHIVIPATQR-JTQLQIEISA-N 0 0 291.351 2.826 20 5 CFBDRN CCC(CC)(CO)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000157616664 623042537 /nfs/dbraw/zinc/04/25/37/623042537.db2.gz FEWYSBQVAGVRIT-UHFFFAOYSA-N 0 0 297.311 2.714 20 5 CFBDRN COc1c(C(=O)OC[C@]2(C)C[C@H]2F)cccc1[N+](=O)[O-] ZINC000822136870 623047036 /nfs/dbraw/zinc/04/70/36/623047036.db2.gz GEYHVCZQAWBSJB-MFKMUULPSA-N 0 0 283.255 2.508 20 5 CFBDRN CC(C)(CCC(=O)NCCC1=CCCCC1)[N+](=O)[O-] ZINC000822223286 623060187 /nfs/dbraw/zinc/06/01/87/623060187.db2.gz OPBGTVLMTQBOFN-UHFFFAOYSA-N 0 0 268.357 2.829 20 5 CFBDRN CC1(C)C[C@@H]1CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000226234944 623063304 /nfs/dbraw/zinc/06/33/04/623063304.db2.gz RKHXFFXZAHKCQW-SSDOTTSWSA-N 0 0 262.269 2.589 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])C1CCCCC1 ZINC000822330033 623084002 /nfs/dbraw/zinc/08/40/02/623084002.db2.gz GFBMTTOIUNAIPC-UHFFFAOYSA-N 0 0 256.346 2.613 20 5 CFBDRN C[C@H](O)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000168296790 623090265 /nfs/dbraw/zinc/09/02/65/623090265.db2.gz RKVSHMIODKRFED-DTWKUNHWSA-N 0 0 286.278 2.740 20 5 CFBDRN CC(C)(CCC(=O)NCCCC1CCCC1)[N+](=O)[O-] ZINC000822389634 623093491 /nfs/dbraw/zinc/09/34/91/623093491.db2.gz DRUVESZCSFLURD-UHFFFAOYSA-N 0 0 270.373 2.909 20 5 CFBDRN O=C(OC[C@H]1CCCO1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000168708093 623101521 /nfs/dbraw/zinc/10/15/21/623101521.db2.gz HCLNURUXXCPWKW-SECBINFHSA-N 0 0 285.683 2.584 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@@H]1c1ccccc1)[N+](=O)[O-] ZINC000822514219 623118930 /nfs/dbraw/zinc/11/89/30/623118930.db2.gz NWYXOMNCVWCDDI-CYBMUJFWSA-N 0 0 276.336 2.796 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC(C)(C)C1 ZINC000822541379 623122650 /nfs/dbraw/zinc/12/26/50/623122650.db2.gz WVFAPQFTVRFGKD-UHFFFAOYSA-N 0 0 262.309 2.694 20 5 CFBDRN COc1cc(C)ccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822643490 623141549 /nfs/dbraw/zinc/14/15/49/623141549.db2.gz LREMHLDXDVVQJE-UHFFFAOYSA-N 0 0 280.324 2.778 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1C=CCC1 ZINC000822654488 623143562 /nfs/dbraw/zinc/14/35/62/623143562.db2.gz LDEHZFQLAOJAQZ-JTQLQIEISA-N 0 0 261.281 2.743 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2cc(F)c([N+](=O)[O-])cc2F)C1 ZINC000228627052 623154761 /nfs/dbraw/zinc/15/47/61/623154761.db2.gz AQVCKXLKCYPDTO-VIFPVBQESA-N 0 0 298.289 2.991 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC(=O)CC1CCCCC1 ZINC000060853967 623160778 /nfs/dbraw/zinc/16/07/78/623160778.db2.gz SVAXDKBHYGWYGC-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN O=C(NCCC[C@H]1C=CCC1)c1ccc([N+](=O)[O-])o1 ZINC000834342483 625626230 /nfs/dbraw/zinc/62/62/30/625626230.db2.gz TZPXADRQEBOPBA-JTQLQIEISA-N 0 0 264.281 2.664 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000822856766 623189673 /nfs/dbraw/zinc/18/96/73/623189673.db2.gz KMTMDIMQBHYBQO-YPMHNXCESA-N 0 0 274.320 2.836 20 5 CFBDRN Cc1ncccc1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000170990037 623198423 /nfs/dbraw/zinc/19/84/23/623198423.db2.gz DGMXVTCEJQRETP-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN(CC(F)F)C1CC1 ZINC000171583235 623232833 /nfs/dbraw/zinc/23/28/33/623232833.db2.gz VANFBHDTJYEGBQ-UHFFFAOYSA-N 0 0 274.242 2.963 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCc2ccc(F)cc21)[N+](=O)[O-] ZINC000823156870 623247339 /nfs/dbraw/zinc/24/73/39/623247339.db2.gz MAXDLPBZMFQIHZ-CYBMUJFWSA-N 0 0 294.326 2.765 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1C[C@H](C)[C@@H]1C ZINC000823184005 623255918 /nfs/dbraw/zinc/25/59/18/623255918.db2.gz UPPHDQHEOWFRRC-ONGXEEELSA-N 0 0 262.309 2.692 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000230415595 623274525 /nfs/dbraw/zinc/27/45/25/623274525.db2.gz TWDMOAXAXIEVBF-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000172444157 623282625 /nfs/dbraw/zinc/28/26/25/623282625.db2.gz FEFYASLRLPAQOW-LLVKDONJSA-N 0 0 293.367 2.683 20 5 CFBDRN O=C(/C=C\[C@H]1CCCO1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000823300424 623288265 /nfs/dbraw/zinc/28/82/65/623288265.db2.gz UECQKSYSRRSIKW-AYYIZTPMSA-N 0 0 296.710 2.922 20 5 CFBDRN CCc1nn(C)cc1NCc1cc(F)ccc1[N+](=O)[O-] ZINC000231082935 623322928 /nfs/dbraw/zinc/32/29/28/623322928.db2.gz NKJHKFQOPIQYJA-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000063246206 623334198 /nfs/dbraw/zinc/33/41/98/623334198.db2.gz BEWMWQNNTFFLFV-QWRGUYRKSA-N 0 0 278.308 2.596 20 5 CFBDRN O=C(CCC1CCOCC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000173346892 623344081 /nfs/dbraw/zinc/34/40/81/623344081.db2.gz IQCVBJUDZXNIAW-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN CCC[C@]1(C)CCCN(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000173537662 623354249 /nfs/dbraw/zinc/35/42/49/623354249.db2.gz WHMYOWADVQDXEA-CYBMUJFWSA-N 0 0 266.345 2.651 20 5 CFBDRN Cc1cc(OCc2cscn2)ccc1[N+](=O)[O-] ZINC000823770910 623393773 /nfs/dbraw/zinc/39/37/73/623393773.db2.gz LAQSMPPTVQFUIE-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN O=C(OCC(F)(F)C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000174251902 623402820 /nfs/dbraw/zinc/40/28/20/623402820.db2.gz JGTSDZARTZQJGX-UHFFFAOYSA-N 0 0 281.161 2.652 20 5 CFBDRN CCCn1cc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000174274757 623408440 /nfs/dbraw/zinc/40/84/40/623408440.db2.gz UFZAQBPITJKSRZ-UHFFFAOYSA-N 0 0 292.270 2.593 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCCSC ZINC000174327342 623409117 /nfs/dbraw/zinc/40/91/17/623409117.db2.gz MHNBSKAPHFKLDK-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823927103 623420565 /nfs/dbraw/zinc/42/05/65/623420565.db2.gz MXJKPNKHASKOLD-VIFPVBQESA-N 0 0 292.339 2.829 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823944595 623422815 /nfs/dbraw/zinc/42/28/15/623422815.db2.gz AFMZNRPYKUWSMB-SECBINFHSA-N 0 0 290.323 2.607 20 5 CFBDRN CCOCCCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000174564053 623427619 /nfs/dbraw/zinc/42/76/19/623427619.db2.gz QAXAEFZANNFSRF-JXMROGBWSA-N 0 0 293.319 2.968 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823981560 623429142 /nfs/dbraw/zinc/42/91/42/623429142.db2.gz ZNXDIQAXUXICPR-CQSZACIVSA-N 0 0 292.339 2.831 20 5 CFBDRN CCC1CCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000823993692 623429879 /nfs/dbraw/zinc/42/98/79/623429879.db2.gz JBVGLRZVMBSKQG-UHFFFAOYSA-N 0 0 292.339 2.831 20 5 CFBDRN CCN(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCC1 ZINC000823993983 623429922 /nfs/dbraw/zinc/42/99/22/623429922.db2.gz MMVZMQMOYFUOOI-UHFFFAOYSA-N 0 0 278.312 2.583 20 5 CFBDRN CC1(CNC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CCCC1 ZINC000823994171 623429940 /nfs/dbraw/zinc/42/99/40/623429940.db2.gz NOMYSHGIIJAEJE-UHFFFAOYSA-N 0 0 292.339 2.879 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)C(C)C)c1F ZINC000232620804 623430327 /nfs/dbraw/zinc/43/03/27/623430327.db2.gz YJHDXYWNLYECPI-UHFFFAOYSA-N 0 0 254.261 2.523 20 5 CFBDRN Nc1ccc(NC(=O)N2CCCCCCC2)cc1[N+](=O)[O-] ZINC000824008359 623431456 /nfs/dbraw/zinc/43/14/56/623431456.db2.gz NZISBMBCDBLGSA-UHFFFAOYSA-N 0 0 292.339 2.975 20 5 CFBDRN O=C(CC1CC(F)(F)C1)Oc1cccc([N+](=O)[O-])c1 ZINC000824065844 623444302 /nfs/dbraw/zinc/44/43/02/623444302.db2.gz GBNOHVKGLWGSPN-UHFFFAOYSA-N 0 0 271.219 2.936 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OCc1ccsc1 ZINC000174646817 623454515 /nfs/dbraw/zinc/45/45/15/623454515.db2.gz CRHGGWDXRIUYJB-UHFFFAOYSA-N 0 0 293.300 2.779 20 5 CFBDRN CSc1ccncc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826914410 623458997 /nfs/dbraw/zinc/45/89/97/623458997.db2.gz NUUJWWWMTVCDEZ-UHFFFAOYSA-N 0 0 283.353 2.578 20 5 CFBDRN CSCC1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000834380283 625648911 /nfs/dbraw/zinc/64/89/11/625648911.db2.gz PNNMJCUPKUERLS-UHFFFAOYSA-N 0 0 294.376 2.810 20 5 CFBDRN COCC[C@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C(C)C ZINC000757990221 623497430 /nfs/dbraw/zinc/49/74/30/623497430.db2.gz GNYHRTXAPBVIRE-AWEZNQCLSA-N 0 0 295.335 2.742 20 5 CFBDRN O=C(NC[C@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])s1 ZINC000913861866 623627708 /nfs/dbraw/zinc/62/77/08/623627708.db2.gz OVMPZNLNQHXQCU-RXMQYKEDSA-N 0 0 295.147 2.580 20 5 CFBDRN CC(C)CN(C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000825554649 623714860 /nfs/dbraw/zinc/71/48/60/623714860.db2.gz SZALAWGTHSALCO-CQSZACIVSA-N 0 0 294.351 2.521 20 5 CFBDRN CSCC(C)(C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825641506 623729169 /nfs/dbraw/zinc/72/91/69/623729169.db2.gz YEJGRFSJZSUHRT-UHFFFAOYSA-N 0 0 296.392 2.909 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)c2ccco2)cc1[N+](=O)[O-] ZINC000825647488 623730405 /nfs/dbraw/zinc/73/04/05/623730405.db2.gz GHZOUAVFVPQBKV-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN Cc1ccc(CNC(=O)C[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000825655502 623730899 /nfs/dbraw/zinc/73/08/99/623730899.db2.gz PYTMEUGDWWQYJA-LLVKDONJSA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)OCC(C)C)cc1[N+](=O)[O-] ZINC000825654729 623730981 /nfs/dbraw/zinc/73/09/81/623730981.db2.gz BSJQFWSOKRXORU-LBPRGKRZSA-N 0 0 294.351 2.581 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H](O)c2ccccc2F)c1 ZINC000152738629 623762602 /nfs/dbraw/zinc/76/26/02/623762602.db2.gz TXGYHILAHCWEHJ-AWEZNQCLSA-N 0 0 277.251 2.846 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826026267 623783917 /nfs/dbraw/zinc/78/39/17/623783917.db2.gz ALBWZLAMZRQZSU-RYUDHWBXSA-N 0 0 270.373 2.907 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CC[C@@H]2CCC[C@H]2C1)[N+](=O)[O-] ZINC000826113161 623794823 /nfs/dbraw/zinc/79/48/23/623794823.db2.gz QCZPCPSUDNGADO-RWMBFGLXSA-N 0 0 282.384 2.907 20 5 CFBDRN O=C(NCC(F)F)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000174755670 623798450 /nfs/dbraw/zinc/79/84/50/623798450.db2.gz NNIDKQYEAZVNIM-UHFFFAOYSA-N 0 0 279.630 2.635 20 5 CFBDRN CC1(CC(F)F)CN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000826598767 623821930 /nfs/dbraw/zinc/82/19/30/623821930.db2.gz SEXFWDPBTAJPGW-UHFFFAOYSA-N 0 0 296.277 2.724 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826639553 623828432 /nfs/dbraw/zinc/82/84/32/623828432.db2.gz XBBCKRLZTVKDCT-LLVKDONJSA-N 0 0 258.362 2.717 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000826670316 623837048 /nfs/dbraw/zinc/83/70/48/623837048.db2.gz LDBMNDAKDQYQPL-VHSXEESVSA-N 0 0 270.304 2.943 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000761877223 623840578 /nfs/dbraw/zinc/84/05/78/623840578.db2.gz VBYCYXFOVQTRER-SNVBAGLBSA-N 0 0 265.313 2.688 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000826704516 623847509 /nfs/dbraw/zinc/84/75/09/623847509.db2.gz JUZYMAOTUOTZMT-PHIMTYICSA-N 0 0 279.340 2.935 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCC[C@@H]3C[C@@H]32)nc2ccccn21 ZINC000826761743 623866902 /nfs/dbraw/zinc/86/69/02/623866902.db2.gz DKFYRCSLTSJYHA-OUAUKWLOSA-N 0 0 272.308 2.843 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C[C@H]1C ZINC000072539645 625681869 /nfs/dbraw/zinc/68/18/69/625681869.db2.gz GOWSHKLZHSEMKP-RKDXNWHRSA-N 0 0 282.727 2.976 20 5 CFBDRN CN(Cc1ccc(F)c(Cl)c1)Cn1cc([N+](=O)[O-])cn1 ZINC000762105529 623870557 /nfs/dbraw/zinc/87/05/57/623870557.db2.gz IPHPAXMNIUABDM-UHFFFAOYSA-N 0 0 298.705 2.673 20 5 CFBDRN Cn1cccc1CNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000072547653 625682162 /nfs/dbraw/zinc/68/21/62/625682162.db2.gz LAPDBQTVJVVONR-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2CC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000762168167 623880077 /nfs/dbraw/zinc/88/00/77/623880077.db2.gz AJDUPWDVKWSVIV-VXNVDRBHSA-N 0 0 285.321 2.689 20 5 CFBDRN O=C(/C=C\c1cccnc1)Oc1cccc([N+](=O)[O-])c1 ZINC000213098491 623895218 /nfs/dbraw/zinc/89/52/18/623895218.db2.gz BYTANKHJYBDGGP-SREVYHEPSA-N 0 0 270.244 2.609 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ncccc1[N+](=O)[O-] ZINC000914787383 623938163 /nfs/dbraw/zinc/93/81/63/623938163.db2.gz LUZSOFZEYZZEAN-UHFFFAOYSA-N 0 0 288.263 2.534 20 5 CFBDRN CC(C)c1noc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])o2)n1 ZINC000914885693 623955501 /nfs/dbraw/zinc/95/55/01/623955501.db2.gz GDSIEXWQEYQYGG-SSDOTTSWSA-N 0 0 295.251 2.612 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000763552580 623958199 /nfs/dbraw/zinc/95/81/99/623958199.db2.gz XNQVOLBDRDOWJK-MRVPVSSYSA-N 0 0 255.245 2.935 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N(C1CC1)C1CC1 ZINC000827239195 623972245 /nfs/dbraw/zinc/97/22/45/623972245.db2.gz MRPSMUKZQLKBLL-UHFFFAOYSA-N 0 0 292.310 2.820 20 5 CFBDRN C[C@H](F)CCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000814914761 623974250 /nfs/dbraw/zinc/97/42/50/623974250.db2.gz OSJMNVMHWUUTTN-ZETCQYMHSA-N 0 0 257.221 2.663 20 5 CFBDRN CC[C@@H](C)OCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000153532663 624007737 /nfs/dbraw/zinc/00/77/37/624007737.db2.gz VHJDSVMFMDVKIF-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN Cc1nn(C[C@@H]2CC[C@H](C(F)(F)F)O2)c(C)c1[N+](=O)[O-] ZINC000915323209 624024340 /nfs/dbraw/zinc/02/43/40/624024340.db2.gz XZTIPHHVMVHGFU-DTWKUNHWSA-N 0 0 293.245 2.518 20 5 CFBDRN C[C@H]1CCCC[C@@H]1OCCOC(=O)c1ccc([N+](=O)[O-])o1 ZINC000915369955 624032095 /nfs/dbraw/zinc/03/20/95/624032095.db2.gz NNKIYCMRCRVDNM-QWRGUYRKSA-N 0 0 297.307 2.940 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2CC[C@H](C3CC3)O2)c1 ZINC000915602534 624083110 /nfs/dbraw/zinc/08/31/10/624083110.db2.gz AQPUQJZKJIJOLB-UONOGXRCSA-N 0 0 263.293 2.931 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CC(C(F)(F)F)C1 ZINC000827754048 624086042 /nfs/dbraw/zinc/08/60/42/624086042.db2.gz XGYGFIQZPTZHTJ-UHFFFAOYSA-N 0 0 291.185 2.502 20 5 CFBDRN CCSCCN1CCc2cc([N+](=O)[O-])ccc21 ZINC000834518637 625700513 /nfs/dbraw/zinc/70/05/13/625700513.db2.gz LDFQLQCARGLOOH-UHFFFAOYSA-N 0 0 252.339 2.710 20 5 CFBDRN C[C@H]1CSCCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000889908535 624136779 /nfs/dbraw/zinc/13/67/79/624136779.db2.gz IFSILROBMQXGLT-JTQLQIEISA-N 0 0 280.349 2.562 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)O[C@H]1CCOC1 ZINC000923597666 624201375 /nfs/dbraw/zinc/20/13/75/624201375.db2.gz FZAPPKWRHXEJIY-NSHDSACASA-N 0 0 299.710 2.513 20 5 CFBDRN C[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])CC(C)(C)C1 ZINC000828281340 624221138 /nfs/dbraw/zinc/22/11/38/624221138.db2.gz RRYIANMTWMMNLD-NSHDSACASA-N 0 0 270.373 2.717 20 5 CFBDRN CSCCCNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000916114325 624224168 /nfs/dbraw/zinc/22/41/68/624224168.db2.gz FBKXHRUJTYJKLO-UHFFFAOYSA-N 0 0 285.394 2.634 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCOC(=O)CC(C)C)c1 ZINC000916153813 624226185 /nfs/dbraw/zinc/22/61/85/624226185.db2.gz LVFHOWRBICUOHJ-UHFFFAOYSA-N 0 0 267.281 2.829 20 5 CFBDRN CCn1nc(C)c(COc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000828498823 624257164 /nfs/dbraw/zinc/25/71/64/624257164.db2.gz FLAFMPUNGALELS-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN CCCCC[C@@H](O)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000828511505 624259342 /nfs/dbraw/zinc/25/93/42/624259342.db2.gz OICYKBVTSFIKBU-SMDDNHRTSA-N 0 0 294.351 2.713 20 5 CFBDRN CCNc1ccc(C(=O)OC/C=C\Cl)cc1[N+](=O)[O-] ZINC000916582178 624259699 /nfs/dbraw/zinc/25/96/99/624259699.db2.gz WIWSHNRXXFZRRT-UTCJRWHESA-N 0 0 284.699 2.936 20 5 CFBDRN CSCCOC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000916600453 624262120 /nfs/dbraw/zinc/26/21/20/624262120.db2.gz FEIYXJJJMPRMQM-UHFFFAOYSA-N 0 0 275.713 2.768 20 5 CFBDRN CC(=O)[C@@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000828531989 624263426 /nfs/dbraw/zinc/26/34/26/624263426.db2.gz QBMBYBUJFVHZGQ-PPYMECGQSA-N 0 0 291.303 2.765 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000916616372 624265004 /nfs/dbraw/zinc/26/50/04/624265004.db2.gz ZAGUEMUZNFEGSM-PHIMTYICSA-N 0 0 277.324 2.503 20 5 CFBDRN COc1ccc(Oc2cccnc2[N+](=O)[O-])c([N+](=O)[O-])c1 ZINC000916621287 624265646 /nfs/dbraw/zinc/26/56/46/624265646.db2.gz NTUOUIUJWUDYBN-UHFFFAOYSA-N 0 0 291.219 2.699 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000033097817 624289479 /nfs/dbraw/zinc/28/94/79/624289479.db2.gz VADSVXMWGAZIJB-JQWIXIFHSA-N 0 0 291.351 2.828 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])cn2)CC1(Cl)Cl ZINC000828791470 624301820 /nfs/dbraw/zinc/30/18/20/624301820.db2.gz UKHZKLFJPZNZMQ-SECBINFHSA-N 0 0 276.123 2.986 20 5 CFBDRN Cc1c(Oc2cccnc2[N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000917092289 624311292 /nfs/dbraw/zinc/31/12/92/624311292.db2.gz RYBJASFRIZEZRV-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN CCc1c[nH]c(SCc2cccnc2[N+](=O)[O-])n1 ZINC000917220723 624324442 /nfs/dbraw/zinc/32/44/42/624324442.db2.gz HVBPMTSKFXGOFV-UHFFFAOYSA-N 0 0 264.310 2.568 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](OC)[C@@H](C)C2)c1 ZINC000828903957 624326599 /nfs/dbraw/zinc/32/65/99/624326599.db2.gz KZDWZQSOWHVEAB-ZANVPECISA-N 0 0 298.314 2.604 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](OC)[C@H](C)C2)c1 ZINC000828903948 624326841 /nfs/dbraw/zinc/32/68/41/624326841.db2.gz KZDWZQSOWHVEAB-RNCFNFMXSA-N 0 0 298.314 2.604 20 5 CFBDRN CCC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)NC)CC1 ZINC000917389354 624338819 /nfs/dbraw/zinc/33/88/19/624338819.db2.gz BVVFFOPLMSNTEO-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2CC[C@@H](C3CC3)O2)nc1C1CC1 ZINC000917486165 624347197 /nfs/dbraw/zinc/34/71/97/624347197.db2.gz ZRKKGSIHDMLZHT-AAEUAGOBSA-N 0 0 277.324 2.626 20 5 CFBDRN CC1CCC(C)(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000154430445 624355239 /nfs/dbraw/zinc/35/52/39/624355239.db2.gz GBKSAEPLADVEBR-UHFFFAOYSA-N 0 0 291.351 2.876 20 5 CFBDRN CCOc1cc(OCC(=O)C2(Cl)CC2)ccc1[N+](=O)[O-] ZINC000917552137 624358857 /nfs/dbraw/zinc/35/88/57/624358857.db2.gz INVVGGUXTGQMGA-UHFFFAOYSA-N 0 0 299.710 2.713 20 5 CFBDRN CCn1nnc(C)c1COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000829105703 624372787 /nfs/dbraw/zinc/37/27/87/624372787.db2.gz CCRKTNNWGHFJIS-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)OCC(C)C ZINC000917680025 624377803 /nfs/dbraw/zinc/37/78/03/624377803.db2.gz ZTBPOZFYAXIRCD-NSHDSACASA-N 0 0 281.308 2.870 20 5 CFBDRN O=C(NCc1ccc(Cl)nc1)c1ccc([N+](=O)[O-])s1 ZINC000917723681 624379213 /nfs/dbraw/zinc/37/92/13/624379213.db2.gz JKYIFQXLDGYQAZ-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1nc(C)c(COc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000829139547 624384437 /nfs/dbraw/zinc/38/44/37/624384437.db2.gz FOVKDHRIFVMBQJ-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CCc1nc(CNc2ncc([N+](=O)[O-])cc2Cl)co1 ZINC000829184195 624393728 /nfs/dbraw/zinc/39/37/28/624393728.db2.gz WGNBDFBDMWVCGN-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN CCc1ccc(OCc2nn(CC)nc2C)c([N+](=O)[O-])c1 ZINC000829197321 624396952 /nfs/dbraw/zinc/39/69/52/624396952.db2.gz RPQMQGYWMMWXBE-UHFFFAOYSA-N 0 0 290.323 2.656 20 5 CFBDRN CCc1ncc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])o1 ZINC000829212933 624401681 /nfs/dbraw/zinc/40/16/81/624401681.db2.gz NKDGBJWUBGIGJS-UHFFFAOYSA-N 0 0 292.251 2.666 20 5 CFBDRN CCn1nc(C)c(COc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000829298650 624412961 /nfs/dbraw/zinc/41/29/61/624412961.db2.gz UTRJPLNEYRLOAM-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1cc([N+](=O)[O-])nc1Br ZINC000918087142 624415085 /nfs/dbraw/zinc/41/50/85/624415085.db2.gz LEHINUOWGPVLMX-RQJHMYQMSA-N 0 0 274.118 2.600 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000829439057 624433723 /nfs/dbraw/zinc/43/37/23/624433723.db2.gz USPMHCLOSRZADS-UHFFFAOYSA-N 0 0 297.311 2.712 20 5 CFBDRN CC/C=C/CCOC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000918508200 624444734 /nfs/dbraw/zinc/44/47/34/624444734.db2.gz WVTJDAMYVGLALR-ONEGZZNKSA-N 0 0 293.275 2.837 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000829506810 624448498 /nfs/dbraw/zinc/44/84/98/624448498.db2.gz YAUHWPPBFKDOLO-LDYMZIIASA-N 0 0 292.295 2.501 20 5 CFBDRN O=C(C=C1CCCC1)NCc1ccccc1[N+](=O)[O-] ZINC000918604894 624454775 /nfs/dbraw/zinc/45/47/75/624454775.db2.gz WMUAWMRIFAUDHA-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN CC1(COc2cc(F)c(Cl)cc2[N+](=O)[O-])COC1 ZINC000829572194 624457589 /nfs/dbraw/zinc/45/75/89/624457589.db2.gz FWSQEWHROBCUES-UHFFFAOYSA-N 0 0 275.663 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@H](CCCO)C1 ZINC000829584601 624459213 /nfs/dbraw/zinc/45/92/13/624459213.db2.gz JBSDFQBWCGXPMQ-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN CN(Cc1ccoc1)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000918738383 624484372 /nfs/dbraw/zinc/48/43/72/624484372.db2.gz AXYPSUZWUSXLSY-UHFFFAOYSA-N 0 0 264.256 2.959 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])[C@@H]1CC=CCC1 ZINC000918923050 624512065 /nfs/dbraw/zinc/51/20/65/624512065.db2.gz FPWLEJMBVBVPEV-GFCCVEGCSA-N 0 0 291.303 2.873 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000919037671 624518757 /nfs/dbraw/zinc/51/87/57/624518757.db2.gz BEJHNDRCURFLGU-SECBINFHSA-N 0 0 297.332 2.598 20 5 CFBDRN Cc1c(COC(=O)CC[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000919093167 624523176 /nfs/dbraw/zinc/52/31/76/624523176.db2.gz IOKJVLJUCKKNLC-CYBMUJFWSA-N 0 0 293.319 2.906 20 5 CFBDRN C/C=C/COC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000919227524 624541626 /nfs/dbraw/zinc/54/16/26/624541626.db2.gz TVVNQDTUYZFAIZ-ONEGZZNKSA-N 0 0 280.236 2.544 20 5 CFBDRN CN(CCCF)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000829797093 624542066 /nfs/dbraw/zinc/54/20/66/624542066.db2.gz BJECQWQXJXODSR-CHWSQXEVSA-N 0 0 280.299 2.516 20 5 CFBDRN CN(CCCF)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000829797096 624542304 /nfs/dbraw/zinc/54/23/04/624542304.db2.gz BJECQWQXJXODSR-STQMWFEESA-N 0 0 280.299 2.516 20 5 CFBDRN CCC/C=C\C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000919242429 624545574 /nfs/dbraw/zinc/54/55/74/624545574.db2.gz YEHIXLLNVVXFHJ-WTKPLQERSA-N 0 0 279.292 2.873 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000919286748 624553553 /nfs/dbraw/zinc/55/35/53/624553553.db2.gz MMTYVQPACHSFKB-LLVKDONJSA-N 0 0 281.308 2.699 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1cccnc1Br ZINC000919722949 624606546 /nfs/dbraw/zinc/60/65/46/624606546.db2.gz VATVOSNZVQHVSR-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN CCC(F)(F)COC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000851492691 634395867 /nfs/dbraw/zinc/39/58/67/634395867.db2.gz QUHCOTUUFWLRFR-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN CC(C)N(Cc1cccc(F)c1)Cn1nccc1[N+](=O)[O-] ZINC000919954372 624648527 /nfs/dbraw/zinc/64/85/27/624648527.db2.gz WMCRJCDDKMUPHS-UHFFFAOYSA-N 0 0 292.314 2.799 20 5 CFBDRN CC1(S(=O)(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000830206165 624669923 /nfs/dbraw/zinc/66/99/23/624669923.db2.gz HGHPXKMGKPPBKF-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@H]2C2CCC2)cc1F ZINC000830213306 624673881 /nfs/dbraw/zinc/67/38/81/624673881.db2.gz AZNVDGUZCCPIDJ-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OCCCO)cc1Cl ZINC000920252268 624702089 /nfs/dbraw/zinc/70/20/89/624702089.db2.gz OYNHYYYTJJHYFV-UHFFFAOYSA-N 0 0 266.080 2.663 20 5 CFBDRN COC1(COC(=O)c2c(C)c(F)ccc2[N+](=O)[O-])CCC1 ZINC000830369592 624724423 /nfs/dbraw/zinc/72/44/23/624724423.db2.gz NNNZFVFDEYOMDQ-UHFFFAOYSA-N 0 0 297.282 2.768 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCC[C@@H]1CCCO1 ZINC000830369586 624724524 /nfs/dbraw/zinc/72/45/24/624724524.db2.gz NIORAKOFHNRZAF-JTQLQIEISA-N 0 0 297.282 2.768 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@H]1CC[C@H](C)O1 ZINC000830369274 624724583 /nfs/dbraw/zinc/72/45/83/624724583.db2.gz JPGCHBIZLIZBBB-WCBMZHEXSA-N 0 0 297.282 2.767 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCCC1(O)CCC1 ZINC000830369297 624724681 /nfs/dbraw/zinc/72/46/81/624724681.db2.gz KESGPNGNUWJBRZ-UHFFFAOYSA-N 0 0 297.282 2.504 20 5 CFBDRN Cc1cc(C(=O)OCC(=O)c2ccccc2)cc([N+](=O)[O-])c1 ZINC000920900940 624781559 /nfs/dbraw/zinc/78/15/59/624781559.db2.gz NJBIXWWCPVAIGR-UHFFFAOYSA-N 0 0 299.282 2.943 20 5 CFBDRN CCO[C@H](CC)C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000921136220 624809219 /nfs/dbraw/zinc/80/92/19/624809219.db2.gz FNXRFOVMPRMROZ-SNVBAGLBSA-N 0 0 287.699 2.969 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000830720315 624825482 /nfs/dbraw/zinc/82/54/82/624825482.db2.gz QHGKKBVTRPKTHQ-GDGBQDQQSA-N 0 0 280.711 2.777 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Oc1ccc(F)cc1 ZINC000195519582 624846307 /nfs/dbraw/zinc/84/63/07/624846307.db2.gz YXLIMWDGKCGPBM-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN CCCCNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000232988331 624916897 /nfs/dbraw/zinc/91/68/97/624916897.db2.gz POPRDLIQWWQSSB-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN Cc1cncc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c1 ZINC000175072957 624925527 /nfs/dbraw/zinc/92/55/27/624925527.db2.gz RLSCMGUIZJFFSJ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cncc(C(=O)Oc2cccc([N+](=O)[O-])c2C)c1 ZINC000175148087 624929789 /nfs/dbraw/zinc/92/97/89/624929789.db2.gz MRSJJFRYVLNGFM-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CCOc1ccc(C(=O)OCCCCOC)cc1[N+](=O)[O-] ZINC000064607541 624951502 /nfs/dbraw/zinc/95/15/02/624951502.db2.gz CTAISASHLQLZKT-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1C1CC1 ZINC000175570559 624958214 /nfs/dbraw/zinc/95/82/14/624958214.db2.gz AVQGIEFBGUNFHC-KBPBESRZSA-N 0 0 275.304 2.727 20 5 CFBDRN CC(C)OCC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000068008126 624969772 /nfs/dbraw/zinc/96/97/72/624969772.db2.gz OIOGKXVJDFHJOP-UHFFFAOYSA-N 0 0 272.688 2.612 20 5 CFBDRN CC[C@H](SC)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000179614478 624970392 /nfs/dbraw/zinc/97/03/92/624970392.db2.gz XIDLNKSICOVXTL-LBPRGKRZSA-N 0 0 283.349 2.822 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCCC1CC=CC1 ZINC000831422371 625017613 /nfs/dbraw/zinc/01/76/13/625017613.db2.gz DEAPQWRBWYCGDY-UHFFFAOYSA-N 0 0 292.310 2.749 20 5 CFBDRN COc1cccc(C(=O)NCCC2CC=CC2)c1[N+](=O)[O-] ZINC000831432427 625019232 /nfs/dbraw/zinc/01/92/32/625019232.db2.gz ACOGGWXIMFHPHF-UHFFFAOYSA-N 0 0 290.319 2.690 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1cccc2c[nH]nc21 ZINC000068725568 625023243 /nfs/dbraw/zinc/02/32/43/625023243.db2.gz PDGBBYJFIAZLGE-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CCCCCOC(=O)COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000069172652 625057447 /nfs/dbraw/zinc/05/74/47/625057447.db2.gz OVDSRVDQHBAFSL-UHFFFAOYSA-N 0 0 297.307 2.716 20 5 CFBDRN Cc1cnc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])c(C)c1 ZINC000831576877 625057920 /nfs/dbraw/zinc/05/79/20/625057920.db2.gz XILLFGUPLTWZLC-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(/C=C/C1CCOCC1)OCc1cccc([N+](=O)[O-])c1 ZINC000112173389 625125740 /nfs/dbraw/zinc/12/57/40/625125740.db2.gz JNIRXBFWBQDMKX-SNAWJCMRSA-N 0 0 291.303 2.621 20 5 CFBDRN O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@H]2C[C@H]21 ZINC000831818692 625141226 /nfs/dbraw/zinc/14/12/26/625141226.db2.gz NQTIANDWYCMRAG-GZMMTYOYSA-N 0 0 280.711 2.873 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(F)nc1 ZINC000069704814 625208717 /nfs/dbraw/zinc/20/87/17/625208717.db2.gz DOXDKBJGENDCJT-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cn1ccc(C(=O)COc2cc([N+](=O)[O-])ccc2Cl)c1 ZINC000070089292 625264932 /nfs/dbraw/zinc/26/49/32/625264932.db2.gz QWVCYGKSIZMPHP-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN Cc1ccc(CNCc2cscn2)cc1[N+](=O)[O-] ZINC000070168422 625279386 /nfs/dbraw/zinc/27/93/86/625279386.db2.gz LXYKAJZIMWIJRA-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN CCn1nnc(C)c1CNc1c(C)c([N+](=O)[O-])ccc1F ZINC000834592441 625730689 /nfs/dbraw/zinc/73/06/89/625730689.db2.gz AQOMMSDJDMZNIB-UHFFFAOYSA-N 0 0 293.302 2.574 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)CCS1 ZINC000834644632 625752238 /nfs/dbraw/zinc/75/22/38/625752238.db2.gz TTYPKUXQLMAOJS-RKDXNWHRSA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCS[C@@H](C)C1 ZINC000834650315 625755196 /nfs/dbraw/zinc/75/51/96/625755196.db2.gz OIVSSBBQJILOFB-WDEREUQCSA-N 0 0 294.376 2.917 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCS[C@H](C)C1 ZINC000834676401 625779259 /nfs/dbraw/zinc/77/92/59/625779259.db2.gz GMERNFSUVZFDCL-GHMZBOCLSA-N 0 0 294.376 2.917 20 5 CFBDRN CCc1onc(C)c1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000185795020 625779409 /nfs/dbraw/zinc/77/94/09/625779409.db2.gz VPTMUJWEQAVJBL-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000834704369 625793523 /nfs/dbraw/zinc/79/35/23/625793523.db2.gz BEKXYJRENJIUOT-CMPLNLGQSA-N 0 0 294.376 2.917 20 5 CFBDRN CCOc1cc(C(=O)OCC(C)C)c([N+](=O)[O-])cc1OC ZINC000073192356 625799337 /nfs/dbraw/zinc/79/93/37/625799337.db2.gz YJDWEOUBJVYLSB-UHFFFAOYSA-N 0 0 297.307 2.815 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2[C@H](C)C[C@@H]2C)c1F ZINC000834768005 625845841 /nfs/dbraw/zinc/84/58/41/625845841.db2.gz FNPHXLSHJXGQGJ-OCAPTIKFSA-N 0 0 284.262 2.804 20 5 CFBDRN COc1cc(C(=O)OCC2CCCC2)ccc1[N+](=O)[O-] ZINC000101704954 625849824 /nfs/dbraw/zinc/84/98/24/625849824.db2.gz VKEKTVGEYHDMJF-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CCC[C@@H](OC)c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000834779721 625855658 /nfs/dbraw/zinc/85/56/58/625855658.db2.gz SHCYMYMSCGQTIZ-SECBINFHSA-N 0 0 271.317 2.545 20 5 CFBDRN CCc1ccc(C(=O)N(OC)[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000768901226 625908830 /nfs/dbraw/zinc/90/88/30/625908830.db2.gz XKWBLYVSBFVEBS-JTQLQIEISA-N 0 0 280.324 2.959 20 5 CFBDRN CCC[C@@H](CNC(=S)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000840774247 625958978 /nfs/dbraw/zinc/95/89/78/625958978.db2.gz IBWDHYGHOOLJRL-LBPRGKRZSA-N 0 0 297.380 2.696 20 5 CFBDRN O=C(OCc1cnoc1C1CC1)c1ccc([N+](=O)[O-])s1 ZINC000773430697 626160059 /nfs/dbraw/zinc/16/00/59/626160059.db2.gz SWUHNYKQQHMTTK-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN CCCc1noc(COc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000067014841 626224941 /nfs/dbraw/zinc/22/49/41/626224941.db2.gz RCXMZMGOHYDVQR-UHFFFAOYSA-N 0 0 281.243 2.648 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCCCO2)c1C ZINC000888433838 626246360 /nfs/dbraw/zinc/24/63/60/626246360.db2.gz CHHDFBJOVFROGS-LBPRGKRZSA-N 0 0 292.335 2.511 20 5 CFBDRN CCCOC(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-] ZINC000073816189 626391896 /nfs/dbraw/zinc/39/18/96/626391896.db2.gz AWMMHISZGDSYAF-UHFFFAOYSA-N 0 0 283.280 2.569 20 5 CFBDRN COC[C@H](C)OC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000073836907 626395162 /nfs/dbraw/zinc/39/51/62/626395162.db2.gz HNWJPGZSTSTLTP-QMMMGPOBSA-N 0 0 285.321 2.508 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157285173 626396129 /nfs/dbraw/zinc/39/61/29/626396129.db2.gz DXXSSRUSCHMJPR-SNVBAGLBSA-N 0 0 279.340 2.876 20 5 CFBDRN O=C(Nc1cccc(F)n1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000162242450 626399102 /nfs/dbraw/zinc/39/91/02/626399102.db2.gz WKJBWESUHMREMQ-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN CC[C@H](C)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000073907029 626399312 /nfs/dbraw/zinc/39/93/12/626399312.db2.gz SLEVWRCISKUHEH-LURJTMIESA-N 0 0 258.224 2.858 20 5 CFBDRN Cn1ncnc1SCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000228035876 626406590 /nfs/dbraw/zinc/40/65/90/626406590.db2.gz JYAZUHJIJZAIHD-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000228624961 626408472 /nfs/dbraw/zinc/40/84/72/626408472.db2.gz NWNSSCQYXCAOBZ-UHFFFAOYSA-N 0 0 296.229 2.738 20 5 CFBDRN COC[C@@H](COC(=O)c1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000922918225 626424408 /nfs/dbraw/zinc/42/44/08/626424408.db2.gz QRSINKOUXGPLLW-JTQLQIEISA-N 0 0 299.298 2.809 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000075663799 626459579 /nfs/dbraw/zinc/45/95/79/626459579.db2.gz XRDPTAAAWFLWRV-MWLCHTKSSA-N 0 0 291.351 2.794 20 5 CFBDRN CS[C@@H]1CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000840788380 626481946 /nfs/dbraw/zinc/48/19/46/626481946.db2.gz NUSKBFYZPWHCBV-LLVKDONJSA-N 0 0 297.405 2.729 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000838083517 626485631 /nfs/dbraw/zinc/48/56/31/626485631.db2.gz CGZDZJJVJCGFCK-WRWORJQWSA-N 0 0 284.262 2.647 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838284141 626491842 /nfs/dbraw/zinc/49/18/42/626491842.db2.gz IDHJBTRQVWFEFB-GWCFXTLKSA-N 0 0 281.308 2.606 20 5 CFBDRN CC1(C(=O)OCc2ccccc2[N+](=O)[O-])CCC(=O)CC1 ZINC000838290407 626491930 /nfs/dbraw/zinc/49/19/30/626491930.db2.gz UIAZMITTWVTECA-UHFFFAOYSA-N 0 0 291.303 2.787 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCCCO1 ZINC000838436759 626497678 /nfs/dbraw/zinc/49/76/78/626497678.db2.gz JIUDWUFRGRAEKG-CQSZACIVSA-N 0 0 297.282 2.736 20 5 CFBDRN Cc1cc(COC(=O)[C@@]2(C)CCCCO2)ccc1[N+](=O)[O-] ZINC000838855908 626511189 /nfs/dbraw/zinc/51/11/89/626511189.db2.gz WFORENDTQYUTTR-OAHLLOKOSA-N 0 0 293.319 2.906 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1(C)C ZINC000839103246 626525054 /nfs/dbraw/zinc/52/50/54/626525054.db2.gz LJUNFSKSPPBRIH-NEPJUHHUSA-N 0 0 279.292 2.565 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2cccc(C)c2[N+](=O)[O-])C1(C)C ZINC000839103328 626525061 /nfs/dbraw/zinc/52/50/61/626525061.db2.gz LTFYANQXMGNADL-NEPJUHHUSA-N 0 0 293.319 2.874 20 5 CFBDRN COCC1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCCC1 ZINC000839224134 626531667 /nfs/dbraw/zinc/53/16/67/626531667.db2.gz NAHZFAWJDDYVHU-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN CCc1nc(C)c(C(=O)Oc2c(C)cccc2[N+](=O)[O-])o1 ZINC000839311606 626533569 /nfs/dbraw/zinc/53/35/69/626533569.db2.gz NASVSTXLUCELOA-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CC[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000839481672 626537865 /nfs/dbraw/zinc/53/78/65/626537865.db2.gz XFZROLRMSMMHFP-IJLUTSLNSA-N 0 0 282.727 2.573 20 5 CFBDRN CCCCN(Cc1ccccc1F)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839514725 626537909 /nfs/dbraw/zinc/53/79/09/626537909.db2.gz KIZSEYDNQNOHAN-TZMCWYRMSA-N 0 0 294.326 2.620 20 5 CFBDRN C/C=C(\CC)C(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000789426637 626539696 /nfs/dbraw/zinc/53/96/96/626539696.db2.gz ZSPQRBCKSWIOCO-RUDMXATFSA-N 0 0 293.275 2.643 20 5 CFBDRN CO[C@H](C)CC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000750397520 626540789 /nfs/dbraw/zinc/54/07/89/626540789.db2.gz DOLYZNLYNICLCU-NXEZZACHSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)[C@@H](O)c1ccccc1 ZINC000808130245 626540935 /nfs/dbraw/zinc/54/09/35/626540935.db2.gz JXQCFCDDTDHOJW-GZMMTYOYSA-N 0 0 279.321 2.585 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCCc1ccccc1 ZINC000805067602 626541852 /nfs/dbraw/zinc/54/18/52/626541852.db2.gz WUSPXDNTSUJVOF-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2c(F)cccc2C1 ZINC000756959117 626542741 /nfs/dbraw/zinc/54/27/41/626542741.db2.gz IKPNPZHMSIMNOJ-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN COc1ccnc(NCc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000756972508 626542931 /nfs/dbraw/zinc/54/29/31/626542931.db2.gz FPVPBQNSROYKEE-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN O=C(C=C1CCC1)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756996142 626543191 /nfs/dbraw/zinc/54/31/91/626543191.db2.gz KKBSKMWPFAKJPJ-UHFFFAOYSA-N 0 0 280.711 2.975 20 5 CFBDRN Cc1c[nH]cc1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756995169 626543221 /nfs/dbraw/zinc/54/32/21/626543221.db2.gz AEGNCBSCFAQCSR-UHFFFAOYSA-N 0 0 293.710 2.815 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCCc2ccc([N+](=O)[O-])cc2)O1 ZINC000757118702 626545221 /nfs/dbraw/zinc/54/52/21/626545221.db2.gz XAFPHECDSWFBID-KGLIPLIRSA-N 0 0 293.319 2.638 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000757122616 626545345 /nfs/dbraw/zinc/54/53/45/626545345.db2.gz NFBUCGCKYBOFQO-AAEUAGOBSA-N 0 0 297.282 2.735 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)O1 ZINC000757138170 626545867 /nfs/dbraw/zinc/54/58/67/626545867.db2.gz WZRAITRKVISODD-DGCLKSJQSA-N 0 0 297.282 2.735 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCC1(O)CCC1)CC2 ZINC000839534185 626545899 /nfs/dbraw/zinc/54/58/99/626545899.db2.gz AIDUGOIQQXFBAM-UHFFFAOYSA-N 0 0 276.336 2.571 20 5 CFBDRN COc1ccc(NC(=O)C(C)=C(C)C)c([N+](=O)[O-])c1 ZINC000757342983 626548814 /nfs/dbraw/zinc/54/88/14/626548814.db2.gz JBYPGUGOWHFMNH-UHFFFAOYSA-N 0 0 264.281 2.898 20 5 CFBDRN CCC[C@H](CCc1ccccc1)NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839689602 626551095 /nfs/dbraw/zinc/55/10/95/626551095.db2.gz QQJWKVAMCCPZAM-RBSFLKMASA-N 0 0 290.363 2.569 20 5 CFBDRN CC(C)CCOc1ccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000839695676 626551353 /nfs/dbraw/zinc/55/13/53/626551353.db2.gz JXWXOPHFYYTKEX-ZIAGYGMSSA-N 0 0 292.335 2.715 20 5 CFBDRN CC(C)(CCc1noc([C@@]23C[C@@H]2CCCC3)n1)[N+](=O)[O-] ZINC000867018037 626553952 /nfs/dbraw/zinc/55/39/52/626553952.db2.gz UGRLUVQTDFWLCR-HZMBPMFUSA-N 0 0 279.340 2.889 20 5 CFBDRN CON(C(=O)c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000757623227 626556574 /nfs/dbraw/zinc/55/65/74/626556574.db2.gz LWPMSPSEAYTTOI-UHFFFAOYSA-N 0 0 264.281 2.541 20 5 CFBDRN CON(C(=O)c1cc(F)ccc1[N+](=O)[O-])C1CCCC1 ZINC000757627405 626556726 /nfs/dbraw/zinc/55/67/26/626556726.db2.gz CJCKHWIXFCZNJL-UHFFFAOYSA-N 0 0 282.271 2.680 20 5 CFBDRN C[C@H]1CC[C@H](C)N1c1nc2ccccn2c1[N+](=O)[O-] ZINC000757784937 626562960 /nfs/dbraw/zinc/56/29/60/626562960.db2.gz AUVZXNVODSNVAP-UWVGGRQHSA-N 0 0 260.297 2.620 20 5 CFBDRN CC(C)(C(=O)OCCn1cc([N+](=O)[O-])cn1)C1CCCC1 ZINC000757843573 626564769 /nfs/dbraw/zinc/56/47/69/626564769.db2.gz WBSWDVBOJAIVDF-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN COC1(C)CN(c2cc(C)c([N+](=O)[O-])cc2Cl)C1 ZINC000758034013 626574092 /nfs/dbraw/zinc/57/40/92/626574092.db2.gz FIANTCGLTDQQOY-UHFFFAOYSA-N 0 0 270.716 2.782 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000758079722 626575955 /nfs/dbraw/zinc/57/59/55/626575955.db2.gz QHJBEJNYTFXZNF-ZETCQYMHSA-N 0 0 270.260 2.662 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2C3CCC2CC3)c1[N+](=O)[O-] ZINC000758393244 626591460 /nfs/dbraw/zinc/59/14/60/626591460.db2.gz CZPVBHGPHHKYOL-UHFFFAOYSA-N 0 0 278.283 2.809 20 5 CFBDRN C[C@H](C[C@H](O)c1ccccc1)Nc1cccnc1[N+](=O)[O-] ZINC000758465669 626595215 /nfs/dbraw/zinc/59/52/15/626595215.db2.gz AVHZSUSCSCLOHV-RISCZKNCSA-N 0 0 287.319 2.914 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC2(C)C)c1[N+](=O)[O-] ZINC000758509248 626596347 /nfs/dbraw/zinc/59/63/47/626596347.db2.gz FIBLLPCVYYSKFA-UHFFFAOYSA-N 0 0 266.272 2.667 20 5 CFBDRN CC(F)(F)CC(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000758700053 626603594 /nfs/dbraw/zinc/60/35/94/626603594.db2.gz LLAWMXMIHZGJTE-UHFFFAOYSA-N 0 0 289.234 2.562 20 5 CFBDRN CC(F)(F)CC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000758734153 626605896 /nfs/dbraw/zinc/60/58/96/626605896.db2.gz LFNQONQKIJVANJ-UHFFFAOYSA-N 0 0 277.198 2.822 20 5 CFBDRN CC1(CC(=O)OCCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000758813250 626609382 /nfs/dbraw/zinc/60/93/82/626609382.db2.gz GRMLVFWPQZDWBC-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN Cc1cc(N(C)CC2(O)CCC2)c(Cl)cc1[N+](=O)[O-] ZINC000758932170 626619306 /nfs/dbraw/zinc/61/93/06/626619306.db2.gz SRETXLCHQSQGJO-UHFFFAOYSA-N 0 0 284.743 2.908 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000759485591 626664675 /nfs/dbraw/zinc/66/46/75/626664675.db2.gz ATNKGSRHOPUMPP-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC23CCC3)c([N+](=O)[O-])c1 ZINC000759835771 626694613 /nfs/dbraw/zinc/69/46/13/626694613.db2.gz VPLKOGPKHVJLLV-JTQLQIEISA-N 0 0 277.276 2.699 20 5 CFBDRN O=C(/C=C\c1ccccc1)NCCc1cccc([N+](=O)[O-])c1 ZINC000759870616 626699152 /nfs/dbraw/zinc/69/91/52/626699152.db2.gz OEVHOMGSOKIHOG-KTKRTIGZSA-N 0 0 296.326 2.967 20 5 CFBDRN O=C(O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)C1CCC1 ZINC000759935690 626703000 /nfs/dbraw/zinc/70/30/00/626703000.db2.gz YUGVTAKHPMFBOB-VXGBXAGGSA-N 0 0 293.323 2.618 20 5 CFBDRN O=C(O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)C1CCC1 ZINC000759935686 626703333 /nfs/dbraw/zinc/70/33/33/626703333.db2.gz YUGVTAKHPMFBOB-NWDGAFQWSA-N 0 0 293.323 2.618 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@H]1CC12CCC2 ZINC000759981268 626706993 /nfs/dbraw/zinc/70/69/93/626706993.db2.gz VIQBCUMYXCYTSA-SNVBAGLBSA-N 0 0 279.267 2.967 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CCCS1 ZINC000760016237 626709746 /nfs/dbraw/zinc/70/97/46/626709746.db2.gz JJJZVBGIAJBKJF-JTQLQIEISA-N 0 0 267.306 2.704 20 5 CFBDRN Cc1noc(C)c1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760018228 626709785 /nfs/dbraw/zinc/70/97/85/626709785.db2.gz NYXDZEUZQHGDQO-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN COc1ccc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])cn1 ZINC000760014844 626709832 /nfs/dbraw/zinc/70/98/32/626709832.db2.gz DDDFGKVYNSGPJJ-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)c2ccc[nH]2)c1[N+](=O)[O-] ZINC000760425311 626744660 /nfs/dbraw/zinc/74/46/60/626744660.db2.gz UGMIIDAIYTVJBP-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN C[C@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000760425569 626745309 /nfs/dbraw/zinc/74/53/09/626745309.db2.gz DBEPWORNPHQBCG-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN Cc1nc(NC[C@H]2CC2(Cl)Cl)ccc1[N+](=O)[O-] ZINC000760445211 626746468 /nfs/dbraw/zinc/74/64/68/626746468.db2.gz RQUQWGVMXLFNOP-SSDOTTSWSA-N 0 0 276.123 2.904 20 5 CFBDRN Cc1nc(Oc2ccccc2[N+](=O)[O-])ccc1[N+](=O)[O-] ZINC000760444105 626746485 /nfs/dbraw/zinc/74/64/85/626746485.db2.gz BSYALMWEWYSALP-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cn2)Cc2ccccc21 ZINC000760455053 626747125 /nfs/dbraw/zinc/74/71/25/626747125.db2.gz NZRDGWSDQMDDAH-SNVBAGLBSA-N 0 0 270.292 2.509 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)c1ccc[nH]1 ZINC000760729334 626767833 /nfs/dbraw/zinc/76/78/33/626767833.db2.gz LAYVTDCNKSRCGP-QMMMGPOBSA-N 0 0 277.255 2.553 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OCC(=O)C(C)C ZINC000760791835 626772808 /nfs/dbraw/zinc/77/28/08/626772808.db2.gz KBKXNGMNOKYFNQ-UHFFFAOYSA-N 0 0 299.710 2.939 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000760989501 626790316 /nfs/dbraw/zinc/79/03/16/626790316.db2.gz YTFYAZLXRMJCCQ-UHFFFAOYSA-N 0 0 291.351 2.748 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCC1=O ZINC000761454782 626836790 /nfs/dbraw/zinc/83/67/90/626836790.db2.gz HZUIHYKEOUGWFW-PSASIEDQSA-N 0 0 297.332 2.705 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)C1=CCCC1 ZINC000761557205 626845830 /nfs/dbraw/zinc/84/58/30/626845830.db2.gz XHLCSNZLLVWWEW-UHFFFAOYSA-N 0 0 277.276 2.627 20 5 CFBDRN CCC[C@H](C)c1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000761841416 626862897 /nfs/dbraw/zinc/86/28/97/626862897.db2.gz FHZXWOYKTFMUJW-QMMMGPOBSA-N 0 0 264.285 2.887 20 5 CFBDRN Cc1cccc(C)c1COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000761982398 626877076 /nfs/dbraw/zinc/87/70/76/626877076.db2.gz IJRLQTARPNNIBJ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000762017637 626881130 /nfs/dbraw/zinc/88/11/30/626881130.db2.gz FJTOYCJVUROMMF-KOLCDFICSA-N 0 0 281.333 2.952 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCSC1 ZINC000762068494 626886087 /nfs/dbraw/zinc/88/60/87/626886087.db2.gz SKFUOPUNCRJZKE-SECBINFHSA-N 0 0 267.306 2.562 20 5 CFBDRN CCC(CC)OC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000762116559 626893331 /nfs/dbraw/zinc/89/33/31/626893331.db2.gz XDAIRVCBYJNLMD-UHFFFAOYSA-N 0 0 252.270 2.644 20 5 CFBDRN Cc1ccncc1COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000762128643 626894925 /nfs/dbraw/zinc/89/49/25/626894925.db2.gz NPGKNEUHXQMWCV-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OC[C@H]1CCSC1 ZINC000762151765 626898796 /nfs/dbraw/zinc/89/87/96/626898796.db2.gz VVXUZYBHWCLRNT-GFCCVEGCSA-N 0 0 295.360 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CC[C@H](C)O2)c1 ZINC000762181163 626901068 /nfs/dbraw/zinc/90/10/68/626901068.db2.gz XLHDOFIZJWIEOO-WDEREUQCSA-N 0 0 279.292 2.628 20 5 CFBDRN C[C@H](C(=O)NC[C@H]1CCC=CO1)c1cccc([N+](=O)[O-])c1 ZINC000762322477 626910388 /nfs/dbraw/zinc/91/03/88/626910388.db2.gz ZBFZWNMFCOUPIV-SMDDNHRTSA-N 0 0 290.319 2.507 20 5 CFBDRN Cc1ccc([C@H](C)C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)o1 ZINC000762336971 626911194 /nfs/dbraw/zinc/91/11/94/626911194.db2.gz IJDHOURUTNBFLR-VIFPVBQESA-N 0 0 289.291 2.942 20 5 CFBDRN O=C(Nc1cccc(-c2cn[nH]c2)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000762392681 626913388 /nfs/dbraw/zinc/91/33/88/626913388.db2.gz VUXXSSBVUATLHN-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CC[C@H]2SCCS[C@@H]12 ZINC000762564605 626924218 /nfs/dbraw/zinc/92/42/18/626924218.db2.gz SDXWJMIOFPXXOP-IEBDPFPHSA-N 0 0 297.405 2.781 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CC[C@H]2SCCS[C@H]12 ZINC000762564604 626924335 /nfs/dbraw/zinc/92/43/35/626924335.db2.gz SDXWJMIOFPXXOP-FBIMIBRVSA-N 0 0 297.405 2.781 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCCc2ccccc2[N+](=O)[O-])O1 ZINC000762706407 626931732 /nfs/dbraw/zinc/93/17/32/626931732.db2.gz QKAPHSZHSSKIRH-OCCSQVGLSA-N 0 0 293.319 2.638 20 5 CFBDRN CC(=O)CCCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000762816076 626940141 /nfs/dbraw/zinc/94/01/41/626940141.db2.gz LMJKHBYPLXLQPY-UHFFFAOYSA-N 0 0 265.265 2.511 20 5 CFBDRN CC(=O)CCCCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000762923693 626951157 /nfs/dbraw/zinc/95/11/57/626951157.db2.gz XMAKIVNHLXYXLB-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN CO[C@@H](C)COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000762988246 626953902 /nfs/dbraw/zinc/95/39/02/626953902.db2.gz ICWKAKRHHSUZNJ-VIFPVBQESA-N 0 0 299.298 2.590 20 5 CFBDRN CC(=O)c1c(N(C)CCc2ccncc2)cccc1[N+](=O)[O-] ZINC000763205181 626970488 /nfs/dbraw/zinc/97/04/88/626970488.db2.gz ZGGICSVCKHDYCU-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN CCOC(=O)[C@@H](C)NC/C(C)=C\c1cccc([N+](=O)[O-])c1 ZINC000763272265 626975310 /nfs/dbraw/zinc/97/53/10/626975310.db2.gz PIQJFVGWIDLWRN-NXIHDVOMSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc([C@@]2(C)CCCO2)no1 ZINC000763319456 626979008 /nfs/dbraw/zinc/97/90/08/626979008.db2.gz UAHRYPVTIFTVII-CQSZACIVSA-N 0 0 289.291 2.979 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc([C@]2(C)CCCO2)no1 ZINC000763319450 626979142 /nfs/dbraw/zinc/97/91/42/626979142.db2.gz UAHRYPVTIFTVII-AWEZNQCLSA-N 0 0 289.291 2.979 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc([C@@]2(C)CCCO2)no1 ZINC000763330939 626980309 /nfs/dbraw/zinc/98/03/09/626980309.db2.gz CYNLKTIVJLHKGR-CQSZACIVSA-N 0 0 289.291 2.979 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763399579 626985705 /nfs/dbraw/zinc/98/57/05/626985705.db2.gz QVUOYRDLHREGAA-WDEREUQCSA-N 0 0 293.319 2.857 20 5 CFBDRN CSCCCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763401424 626985900 /nfs/dbraw/zinc/98/59/00/626985900.db2.gz GVIHMEMZLVZDNI-SNVBAGLBSA-N 0 0 283.349 2.995 20 5 CFBDRN CCCn1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000763423113 626988319 /nfs/dbraw/zinc/98/83/19/626988319.db2.gz BDWVAPVQIPAGNB-UHFFFAOYSA-N 0 0 287.319 2.736 20 5 CFBDRN CCC[C@H](C)C(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000763707037 627007277 /nfs/dbraw/zinc/00/72/77/627007277.db2.gz VZYCFAUBWFJTNL-VIFPVBQESA-N 0 0 295.291 2.723 20 5 CFBDRN C[C@H](C(=O)OC[C@]1(C)CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000764014299 627031842 /nfs/dbraw/zinc/03/18/42/627031842.db2.gz XEOYMCAIQJSLGC-NHYWBVRUSA-N 0 0 293.319 2.811 20 5 CFBDRN COCC[C@@H](C)OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764042139 627033107 /nfs/dbraw/zinc/03/31/07/627033107.db2.gz OJZGBWSKYJEUKU-GHMZBOCLSA-N 0 0 281.308 2.667 20 5 CFBDRN COc1ccc(C(=O)OCC2(C)CCC2)cc1[N+](=O)[O-] ZINC000764120218 627040700 /nfs/dbraw/zinc/04/07/00/627040700.db2.gz JZQAJLOGNTVTBA-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CS/C=C/C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000764231381 627046473 /nfs/dbraw/zinc/04/64/73/627046473.db2.gz BQONCHBSHJIYKE-NSCUHMNNSA-N 0 0 274.248 2.688 20 5 CFBDRN CS/C=C\C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000764232618 627046904 /nfs/dbraw/zinc/04/69/04/627046904.db2.gz JYXCDLVLDNJBAJ-SSJHQANKSA-N 0 0 278.333 2.749 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000764280895 627049809 /nfs/dbraw/zinc/04/98/09/627049809.db2.gz VOYMSHXTFVPSCH-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C1CC1 ZINC000764382219 627054838 /nfs/dbraw/zinc/05/48/38/627054838.db2.gz GLCAFVPDTQARRP-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN C[C@H](OC(=O)[C@@]1(C)CCCO1)c1ccccc1[N+](=O)[O-] ZINC000764597172 627074546 /nfs/dbraw/zinc/07/45/46/627074546.db2.gz LTCBXXPLXQRNJP-IINYFYTJSA-N 0 0 279.292 2.768 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000764826989 627098706 /nfs/dbraw/zinc/09/87/06/627098706.db2.gz REGMKFRWUZGVBB-VIFPVBQESA-N 0 0 291.307 2.878 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cc(Cl)[nH]n1 ZINC000765024746 627113722 /nfs/dbraw/zinc/11/37/22/627113722.db2.gz RCHVUZAECVMYFR-UHFFFAOYSA-N 0 0 294.698 2.543 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCCC(C)(C)O)c1 ZINC000765407405 627135302 /nfs/dbraw/zinc/13/53/02/627135302.db2.gz AOCDZQWITPFOAW-UHFFFAOYSA-N 0 0 299.348 2.635 20 5 CFBDRN C[C@@H]([NH2+]Cc1cc([N+](=O)[O-])ccc1[O-])c1cncc(F)c1 ZINC000765621592 627151303 /nfs/dbraw/zinc/15/13/03/627151303.db2.gz VZBKDEWLBURLRI-SECBINFHSA-N 0 0 291.282 2.685 20 5 CFBDRN CCc1cccnc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000766295137 627191989 /nfs/dbraw/zinc/19/19/89/627191989.db2.gz LJJVNJYIPYDSKH-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN Cc1cc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)ns1 ZINC000766404339 627200176 /nfs/dbraw/zinc/20/01/76/627200176.db2.gz HXPGKBJOYCEMHE-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN Cc1c([C@H](C)NCc2ccon2)cccc1[N+](=O)[O-] ZINC000766422002 627201788 /nfs/dbraw/zinc/20/17/88/627201788.db2.gz ZEDBIJGGSKIQIS-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1cc(C(=O)Oc2cccc([N+](=O)[O-])c2C)ns1 ZINC000766423933 627202396 /nfs/dbraw/zinc/20/23/96/627202396.db2.gz FMHCCDUWNJDPOX-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN O=C(OCCCF)c1cc([N+](=O)[O-])ccc1Cl ZINC000767255559 627241389 /nfs/dbraw/zinc/24/13/89/627241389.db2.gz OIWYEXNCSMZFLV-UHFFFAOYSA-N 0 0 261.636 2.765 20 5 CFBDRN CC/C=C/CCOC(=O)c1cccc([N+](=O)[O-])c1N ZINC000767564010 627261402 /nfs/dbraw/zinc/26/14/02/627261402.db2.gz ADJFGLMBRILWQT-ONEGZZNKSA-N 0 0 264.281 2.690 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000767672294 627273209 /nfs/dbraw/zinc/27/32/09/627273209.db2.gz IYSZONORADMPRR-NSHDSACASA-N 0 0 287.312 2.919 20 5 CFBDRN Cc1noc(CN2CCc3c(F)cccc3C2)c1[N+](=O)[O-] ZINC000851869754 634565592 /nfs/dbraw/zinc/56/55/92/634565592.db2.gz QBYALFZLMPMQOV-UHFFFAOYSA-N 0 0 291.282 2.589 20 5 CFBDRN Cc1ccc(N2CCOc3ncccc3C2)c([N+](=O)[O-])c1 ZINC000768490228 627346752 /nfs/dbraw/zinc/34/67/52/627346752.db2.gz APJUFVHMNMLTPG-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN CC(C)(F)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000768641668 627361218 /nfs/dbraw/zinc/36/12/18/627361218.db2.gz XXFNCQAOVXOFTA-UHFFFAOYSA-N 0 0 259.208 2.525 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000768898900 627385570 /nfs/dbraw/zinc/38/55/70/627385570.db2.gz QLMUMXPGFLHHNW-SNVBAGLBSA-N 0 0 266.297 2.705 20 5 CFBDRN CC(=O)CC1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000769235917 627413971 /nfs/dbraw/zinc/41/39/71/627413971.db2.gz AYQNTEFGQCVZJC-UHFFFAOYSA-N 0 0 291.303 2.787 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CCOC[C@H]2C)cccc1[N+](=O)[O-] ZINC000769272174 627417995 /nfs/dbraw/zinc/41/79/95/627417995.db2.gz XGOZNPYUZXCNJE-MFKMUULPSA-N 0 0 293.319 2.619 20 5 CFBDRN COC[C@H](C)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000769311547 627422434 /nfs/dbraw/zinc/42/24/34/627422434.db2.gz DMVLMYKYAAUGAX-VIFPVBQESA-N 0 0 293.275 2.780 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)c1cn[nH]c1 ZINC000769938631 627489849 /nfs/dbraw/zinc/48/98/49/627489849.db2.gz ZCOGXQUZOSQCNX-UHFFFAOYSA-N 0 0 288.307 2.520 20 5 CFBDRN CCN(CC)C(=O)CNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000770089790 627501764 /nfs/dbraw/zinc/50/17/64/627501764.db2.gz HNDVNFKLSBGLJC-UHFFFAOYSA-N 0 0 299.758 2.837 20 5 CFBDRN CC[C@@]1(O)CCN(c2c(C)cc([N+](=O)[O-])cc2Cl)C1 ZINC000770133507 627504023 /nfs/dbraw/zinc/50/40/23/627504023.db2.gz IORNBGVJDGNOKH-CYBMUJFWSA-N 0 0 284.743 2.908 20 5 CFBDRN C[C@H]1CC/C(=C/C(=O)Nc2cc([N+](=O)[O-])ccc2[O-])C1 ZINC000770856988 627551727 /nfs/dbraw/zinc/55/17/27/627551727.db2.gz OXHMYHILUXCVGC-CBFJXKFUSA-N 0 0 276.292 2.985 20 5 CFBDRN C[C@@H]1CCC[C@H](COC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000770925893 627559013 /nfs/dbraw/zinc/55/90/13/627559013.db2.gz FVAYUJMVKPWGIF-MNOVXSKESA-N 0 0 280.324 2.916 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C[C@H]1C ZINC000770993100 627567237 /nfs/dbraw/zinc/56/72/37/627567237.db2.gz MJZRKFWFFVELAY-CKYFFXLPSA-N 0 0 280.324 2.915 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(S[C@@H](C)CCO)c1 ZINC000771234467 627586806 /nfs/dbraw/zinc/58/68/06/627586806.db2.gz RZZYFZLZKYZMAL-ZETCQYMHSA-N 0 0 275.301 2.606 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(Nc2cnn(C)c2C)c1 ZINC000771237368 627587312 /nfs/dbraw/zinc/58/73/12/627587312.db2.gz SVZYODRIJIQNKB-UHFFFAOYSA-N 0 0 280.259 2.528 20 5 CFBDRN O=C(/C=C\c1ccco1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771487094 627608380 /nfs/dbraw/zinc/60/83/80/627608380.db2.gz SSSBZRQCEUTGCS-ARJAWSKDSA-N 0 0 277.207 2.946 20 5 CFBDRN O=C(/C=C\c1cccnc1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771484713 627608459 /nfs/dbraw/zinc/60/84/59/627608459.db2.gz ZVDIGBABPXNZAS-ARJAWSKDSA-N 0 0 288.234 2.748 20 5 CFBDRN C[C@@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)c1cccnc1 ZINC000771488151 627608491 /nfs/dbraw/zinc/60/84/91/627608491.db2.gz IGOUWFFMXJJRNB-SECBINFHSA-N 0 0 290.250 2.838 20 5 CFBDRN CCc1ccc(OC(=O)/C=C\SC)c([N+](=O)[O-])c1 ZINC000771613385 627618155 /nfs/dbraw/zinc/61/81/55/627618155.db2.gz QZUXPELTXHTXPJ-SREVYHEPSA-N 0 0 267.306 2.939 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])o2)c(C)n1 ZINC000771881403 627641545 /nfs/dbraw/zinc/64/15/45/627641545.db2.gz IEPNXXDRUFSRHQ-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1ccc(COC(=O)c2ccccc2[N+](=O)[O-])c(C)n1 ZINC000771884185 627642050 /nfs/dbraw/zinc/64/20/50/627642050.db2.gz YSLVHISBGAPYFG-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ncc(CCNc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000771922097 627649118 /nfs/dbraw/zinc/64/91/18/627649118.db2.gz LJWBLLFDEJRPDK-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(F)CCCC1 ZINC000772124399 627675530 /nfs/dbraw/zinc/67/55/30/627675530.db2.gz XHUSDEXTEVVMRJ-UHFFFAOYSA-N 0 0 280.299 2.836 20 5 CFBDRN O=C(N1CCc2c(cccc2[N+](=O)[O-])C1)C1(F)CCCC1 ZINC000772194056 627684857 /nfs/dbraw/zinc/68/48/57/627684857.db2.gz ZQVKQCCCIWIRIM-UHFFFAOYSA-N 0 0 292.310 2.762 20 5 CFBDRN CC(=O)CCCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000772376055 627706245 /nfs/dbraw/zinc/70/62/45/627706245.db2.gz FOPBWDPOEVVMOC-UHFFFAOYSA-N 0 0 283.255 2.536 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000772505764 627716035 /nfs/dbraw/zinc/71/60/35/627716035.db2.gz QKBPNZZWOGZGFH-UONOGXRCSA-N 0 0 293.319 2.809 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])C1(F)CCCC1 ZINC000772789447 627745891 /nfs/dbraw/zinc/74/58/91/627745891.db2.gz LPTNSDPYNGDDPE-UHFFFAOYSA-N 0 0 267.256 2.920 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2cscn2)nc1 ZINC000772848042 627751504 /nfs/dbraw/zinc/75/15/04/627751504.db2.gz JQZGBZXWWLBLBE-UHFFFAOYSA-N 0 0 253.308 2.739 20 5 CFBDRN C[C@H]1COCCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000772908781 627758499 /nfs/dbraw/zinc/75/84/99/627758499.db2.gz FFTLPUJVYMUAJQ-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN NC(=NOCc1ccc(Cl)cc1[N+](=O)[O-])C(F)(F)F ZINC000773183537 627792692 /nfs/dbraw/zinc/79/26/92/627792692.db2.gz WCSUDPZIVCRRAX-UHFFFAOYSA-N 0 0 297.620 2.809 20 5 CFBDRN O=C(NCc1cnc(Cl)s1)c1cccc([N+](=O)[O-])c1 ZINC000773335588 627807601 /nfs/dbraw/zinc/80/76/01/627807601.db2.gz UOHJOBYBOQGSLO-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN O=C(OCc1cnoc1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000773433255 627818918 /nfs/dbraw/zinc/81/89/18/627818918.db2.gz ZIAOCSDPWITGPB-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN O=C(Nc1cc(=O)c(Cl)c[nH]1)c1csc([N+](=O)[O-])c1 ZINC000773649647 627846130 /nfs/dbraw/zinc/84/61/30/627846130.db2.gz MDUKLRPDERCTJP-UHFFFAOYSA-N 0 0 299.695 2.663 20 5 CFBDRN CS/C=C\C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773988436 627875709 /nfs/dbraw/zinc/87/57/09/627875709.db2.gz BEHCWPBMLXKYFM-XQRVVYSFSA-N 0 0 278.333 2.669 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CC(C)C)CC2 ZINC000773984300 627875806 /nfs/dbraw/zinc/87/58/06/627875806.db2.gz CWBMEUFPHHIUAF-UHFFFAOYSA-N 0 0 262.309 2.838 20 5 CFBDRN CCOc1nc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])co1 ZINC000773983397 627875989 /nfs/dbraw/zinc/87/59/89/627875989.db2.gz JQZWMBKOCCTGCN-UHFFFAOYSA-N 0 0 292.247 2.509 20 5 CFBDRN CS[C@@H](C)CC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773990850 627876559 /nfs/dbraw/zinc/87/65/59/627876559.db2.gz UPVPKHXXSMPBDM-JTQLQIEISA-N 0 0 294.376 2.934 20 5 CFBDRN CS[C@H](C)CC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773990851 627876812 /nfs/dbraw/zinc/87/68/12/627876812.db2.gz UPVPKHXXSMPBDM-SNVBAGLBSA-N 0 0 294.376 2.934 20 5 CFBDRN C/C=C(\C)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773989435 627876861 /nfs/dbraw/zinc/87/68/61/627876861.db2.gz NKMYJANUAMRVSZ-RUDMXATFSA-N 0 0 260.293 2.759 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCOC[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000774064240 627883409 /nfs/dbraw/zinc/88/34/09/627883409.db2.gz MGPXSUOMFYRFQD-SDDRHHMPSA-N 0 0 293.319 2.872 20 5 CFBDRN O=C(OCCF)c1sc(Br)cc1[N+](=O)[O-] ZINC000774121484 627890116 /nfs/dbraw/zinc/89/01/16/627890116.db2.gz XPNNWVLZCGHCFL-UHFFFAOYSA-N 0 0 298.089 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@H](NCc1ccon1)CCC2 ZINC000774212077 627904058 /nfs/dbraw/zinc/90/40/58/627904058.db2.gz YGMAYEBTMLWQRA-CQSZACIVSA-N 0 0 273.292 2.750 20 5 CFBDRN Cc1cc(C(=O)Nc2c[nH]nc2Cl)ccc1[N+](=O)[O-] ZINC000774227169 627905965 /nfs/dbraw/zinc/90/59/65/627905965.db2.gz YLUNFOKEPWHQDF-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CCO[C@@H]1C[C@H]1COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000774313415 627914715 /nfs/dbraw/zinc/91/47/15/627914715.db2.gz IFBXHUVZBLCSHF-SCDSUCTJSA-N 0 0 293.319 2.667 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(Oc3ccccc3)C2)cc1 ZINC000774529004 627935222 /nfs/dbraw/zinc/93/52/22/627935222.db2.gz KLVIFBOSABSXRF-UHFFFAOYSA-N 0 0 270.288 2.862 20 5 CFBDRN CC(C)NC(=S)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000774582918 627939219 /nfs/dbraw/zinc/93/92/19/627939219.db2.gz RFLHDHUPRJOKMH-SECBINFHSA-N 0 0 267.354 2.528 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(CN3CCCC3)c2c(Cl)c1 ZINC000774635878 627944265 /nfs/dbraw/zinc/94/42/65/627944265.db2.gz SHOVGJSQUSEAFY-UHFFFAOYSA-N 0 0 280.715 2.651 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C[C@H]1CCOC1 ZINC000774744873 627957238 /nfs/dbraw/zinc/95/72/38/627957238.db2.gz KZIVAXXUNWLPLP-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C[C@H]1CCOC1 ZINC000774744877 627957325 /nfs/dbraw/zinc/95/73/25/627957325.db2.gz KZIVAXXUNWLPLP-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN CN(Cc1ccoc1)Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000774851523 627970921 /nfs/dbraw/zinc/97/09/21/627970921.db2.gz DPVYTJWRNJEYQS-UHFFFAOYSA-N 0 0 291.263 2.728 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000774851329 627971076 /nfs/dbraw/zinc/97/10/76/627971076.db2.gz QBPRGTCTMLNSDR-TZMCWYRMSA-N 0 0 277.320 2.973 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2ncc(Cl)n2C)n1 ZINC000775213197 627999980 /nfs/dbraw/zinc/99/99/80/627999980.db2.gz DVVDMIFMLFNDAW-UHFFFAOYSA-N 0 0 296.714 2.573 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC(C)(C)SC)c1 ZINC000775320763 628009517 /nfs/dbraw/zinc/00/95/17/628009517.db2.gz YDCRCQYUODCMIP-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN C[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1nccn1C ZINC000775416737 628024096 /nfs/dbraw/zinc/02/40/96/628024096.db2.gz YODUSRWQMKAMOV-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCC(=O)[C@H](C)C1 ZINC000775481686 628029528 /nfs/dbraw/zinc/02/95/28/628029528.db2.gz OYNFZTZQGUQFKB-GHMZBOCLSA-N 0 0 291.303 2.814 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CCC(=O)[C@@H](C)C1 ZINC000775492547 628031519 /nfs/dbraw/zinc/03/15/19/628031519.db2.gz QBPVLGOQRYAQSR-QWRGUYRKSA-N 0 0 291.303 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)COc2c(F)cccc2F)cc1 ZINC000776276130 628125123 /nfs/dbraw/zinc/12/51/23/628125123.db2.gz HAWVDRITZGUSGX-ZDUSSCGKSA-N 0 0 295.241 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)COc2ccc(F)cc2F)cc1 ZINC000776278751 628125896 /nfs/dbraw/zinc/12/58/96/628125896.db2.gz UULIGBDSCBVDLV-ZDUSSCGKSA-N 0 0 295.241 2.985 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCO[C@@H](C)C1 ZINC000776304748 628128938 /nfs/dbraw/zinc/12/89/38/628128938.db2.gz YUFPTLCULZAZOX-QWRGUYRKSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)OCCOc2cccc([N+](=O)[O-])c2)C1 ZINC000776427676 628149914 /nfs/dbraw/zinc/14/99/14/628149914.db2.gz XAIBEYDIBVZBQU-RYUDHWBXSA-N 0 0 293.319 2.953 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000776513845 628154573 /nfs/dbraw/zinc/15/45/73/628154573.db2.gz TUYMTNUOVOATBO-DTWKUNHWSA-N 0 0 299.710 2.969 20 5 CFBDRN CCCN(CC(F)F)C[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776582399 628161472 /nfs/dbraw/zinc/16/14/72/628161472.db2.gz UAZHXMJTZYFBNY-GFCCVEGCSA-N 0 0 288.294 2.605 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCc1ccc(CF)cc1 ZINC000777059046 628195948 /nfs/dbraw/zinc/19/59/48/628195948.db2.gz RPERYOCOSXIYCY-UHFFFAOYSA-N 0 0 292.266 2.760 20 5 CFBDRN CC[C@@H]1C[C@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000777350428 628216972 /nfs/dbraw/zinc/21/69/72/628216972.db2.gz PJJACQQRCLGPBU-ZIAGYGMSSA-N 0 0 293.319 2.638 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000777369350 628217491 /nfs/dbraw/zinc/21/74/91/628217491.db2.gz GLDAEKMQWPAMAO-OCCSQVGLSA-N 0 0 277.320 2.973 20 5 CFBDRN CCC[C@@H](C)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777880777 628260516 /nfs/dbraw/zinc/26/05/16/628260516.db2.gz INAZTJKGJLXOEX-SECBINFHSA-N 0 0 286.278 2.991 20 5 CFBDRN C[C@H]1CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000777923526 628265668 /nfs/dbraw/zinc/26/56/68/628265668.db2.gz GZRKAERAALDYFV-CABZTGNLSA-N 0 0 297.355 2.972 20 5 CFBDRN C[C@H]1CCN(Cn2ncc3ccc([N+](=O)[O-])cc32)C[C@H]1F ZINC000777968718 628269266 /nfs/dbraw/zinc/26/92/66/628269266.db2.gz OTZXHZRRMMZCLT-GXFFZTMASA-N 0 0 292.314 2.582 20 5 CFBDRN COCCC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000778193407 628285474 /nfs/dbraw/zinc/28/54/74/628285474.db2.gz ASHJSNARRCCKFK-JTQLQIEISA-N 0 0 299.298 2.840 20 5 CFBDRN Cc1c(C(=O)OC[C@@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000778362415 628299823 /nfs/dbraw/zinc/29/98/23/628299823.db2.gz MAMKGPKPJDEHKA-CMPLNLGQSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCO[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000778377711 628302707 /nfs/dbraw/zinc/30/27/07/628302707.db2.gz USSBPWBIUNKUGV-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(C)C1CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000778393779 628303928 /nfs/dbraw/zinc/30/39/28/628303928.db2.gz LLEUYNIPQRQFFQ-UHFFFAOYSA-N 0 0 298.289 2.801 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@H](C)[C@H](F)C2)c1[N+](=O)[O-] ZINC000778461391 628308904 /nfs/dbraw/zinc/30/89/04/628308904.db2.gz RNLQUERHYDJVCH-QPUJVOFHSA-N 0 0 298.289 2.862 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@@H](O)C(C)(C)C ZINC000778557094 628315519 /nfs/dbraw/zinc/31/55/19/628315519.db2.gz MWXMJBMXJCDTCO-ZWNOBZJWSA-N 0 0 295.335 2.914 20 5 CFBDRN CCC[C@H](C)[C@H](C)OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000778747838 628329823 /nfs/dbraw/zinc/32/98/23/628329823.db2.gz IMVNHMZWTQFMJW-QWRGUYRKSA-N 0 0 297.355 2.894 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)COC(C)C ZINC000778787680 628331547 /nfs/dbraw/zinc/33/15/47/628331547.db2.gz MBQQUEHUUFAMIU-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1ccnnc1 ZINC000778832673 628335348 /nfs/dbraw/zinc/33/53/48/628335348.db2.gz QCFRLCVCFMVSRR-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2CC[C@@H](C)C2)c1 ZINC000779257235 628364616 /nfs/dbraw/zinc/36/46/16/628364616.db2.gz CEXIPNVWAJNTAI-KOLCDFICSA-N 0 0 279.292 2.949 20 5 CFBDRN COc1ccc(C(=O)O[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000779262822 628365646 /nfs/dbraw/zinc/36/56/46/628365646.db2.gz JPGISWPXPCDPDX-KOLCDFICSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1cc(OC(=O)c2nn(C)cc2[N+](=O)[O-])ccc1Cl ZINC000779715293 628395266 /nfs/dbraw/zinc/39/52/66/628395266.db2.gz KOUKJMVSIRCODS-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN Cc1cnc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c(C)c1 ZINC000779743095 628398868 /nfs/dbraw/zinc/39/88/68/628398868.db2.gz PZOOKKUJIRGPOM-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1cnc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)c(C)c1 ZINC000779759642 628400513 /nfs/dbraw/zinc/40/05/13/628400513.db2.gz XCCHBQXPLYLCQP-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1nn(CN2CCc3sccc3[C@H]2C)cc1[N+](=O)[O-] ZINC000779859848 628414940 /nfs/dbraw/zinc/41/49/40/628414940.db2.gz OTWMENVULKDZQC-SNVBAGLBSA-N 0 0 292.364 2.738 20 5 CFBDRN Cc1nn(CN2C[C@H](C)Cc3ccccc32)cc1[N+](=O)[O-] ZINC000779868220 628415539 /nfs/dbraw/zinc/41/55/39/628415539.db2.gz WWIKRYYRTXKSPN-LLVKDONJSA-N 0 0 286.335 2.756 20 5 CFBDRN CC/C(C)=C(/C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000779879224 628415906 /nfs/dbraw/zinc/41/59/06/628415906.db2.gz IUAKFQFFYYXIDJ-KHPPLWFESA-N 0 0 262.309 2.957 20 5 CFBDRN Cc1cnc(C(=O)NCc2cccc([N+](=O)[O-])c2)c(C)c1 ZINC000779879879 628416004 /nfs/dbraw/zinc/41/60/04/628416004.db2.gz QPQSVVPCLIYVJK-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780071603 628430139 /nfs/dbraw/zinc/43/01/39/628430139.db2.gz JELAKNXYKFGVHQ-GFCCVEGCSA-N 0 0 263.249 2.566 20 5 CFBDRN O=C(OCCc1cccs1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780076233 628431084 /nfs/dbraw/zinc/43/10/84/628431084.db2.gz TXBGRAPCSFCCDU-UHFFFAOYSA-N 0 0 293.300 2.761 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC=CO1 ZINC000780619812 628482854 /nfs/dbraw/zinc/48/28/54/628482854.db2.gz URQCWRYMMQXTFX-ZDUSSCGKSA-N 0 0 278.308 2.846 20 5 CFBDRN C[C@]1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCCOC1 ZINC000781149552 628526929 /nfs/dbraw/zinc/52/69/29/628526929.db2.gz MYMHXFQXRPJXFO-AWEZNQCLSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1nscc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000781275777 628537893 /nfs/dbraw/zinc/53/78/93/628537893.db2.gz OOCJWWCYHNABKD-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2N(C)C)C1 ZINC000781623115 628567865 /nfs/dbraw/zinc/56/78/65/628567865.db2.gz RWNXRULHMFDPMT-WDEREUQCSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2nc(C)cc(C)c2[N+](=O)[O-])o1 ZINC000781657543 628570006 /nfs/dbraw/zinc/57/00/06/628570006.db2.gz CVGBTRVBUOWNIL-NSHDSACASA-N 0 0 291.307 2.654 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CSCCS2)n1 ZINC000781663541 628571217 /nfs/dbraw/zinc/57/12/17/628571217.db2.gz KQRHIDPKWRVIKL-SNVBAGLBSA-N 0 0 299.421 2.867 20 5 CFBDRN CC(C)=CC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000781952504 628593642 /nfs/dbraw/zinc/59/36/42/628593642.db2.gz XIPUQKQLNJJCHH-UHFFFAOYSA-N 0 0 253.229 2.743 20 5 CFBDRN CSC1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCC1 ZINC000781966225 628594209 /nfs/dbraw/zinc/59/42/09/628594209.db2.gz IDXFNMDZMUNRPB-UHFFFAOYSA-N 0 0 284.312 2.958 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000782009327 628596667 /nfs/dbraw/zinc/59/66/67/628596667.db2.gz UXUBFDPQAPFCPQ-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN Cc1cc(NC(=O)NC[C@@H]2CCC=CO2)ccc1[N+](=O)[O-] ZINC000782062713 628601399 /nfs/dbraw/zinc/60/13/99/628601399.db2.gz WYCNGFXFHBOEEI-LBPRGKRZSA-N 0 0 291.307 2.717 20 5 CFBDRN Cc1ccc(OCCNC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000782109533 628604890 /nfs/dbraw/zinc/60/48/90/628604890.db2.gz CNFUOWUOEKBCLO-UHFFFAOYSA-N 0 0 296.323 2.807 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)c1cnccn1 ZINC000782215352 628615801 /nfs/dbraw/zinc/61/58/01/628615801.db2.gz QZDVGQGDGPCGBD-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)C1(O)CCCC1 ZINC000782315519 628627678 /nfs/dbraw/zinc/62/76/78/628627678.db2.gz ZSKGHMMLXOHNPN-UHFFFAOYSA-N 0 0 299.710 2.597 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)OCc1csc([N+](=O)[O-])c1 ZINC000782323897 628628788 /nfs/dbraw/zinc/62/87/88/628628788.db2.gz CLFBPEQPIITOPZ-ARJAWSKDSA-N 0 0 278.289 2.741 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000782338010 628630715 /nfs/dbraw/zinc/63/07/15/628630715.db2.gz INSCYAMEFPIGEQ-SREVYHEPSA-N 0 0 290.250 2.819 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H](O)C1CCCCC1 ZINC000782366102 628632668 /nfs/dbraw/zinc/63/26/68/628632668.db2.gz DDLFKDKPQBVYFB-CQSZACIVSA-N 0 0 293.319 2.579 20 5 CFBDRN Cc1ccc(N2C[C@H](C)O[C@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000782410898 628636408 /nfs/dbraw/zinc/63/64/08/628636408.db2.gz VMTBOOLBZWFLCZ-QJPTWQEYSA-N 0 0 264.325 2.905 20 5 CFBDRN CO[C@@H](C)CCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000782433802 628637319 /nfs/dbraw/zinc/63/73/19/628637319.db2.gz QPYKTIPHAPVGKH-VIFPVBQESA-N 0 0 285.271 2.592 20 5 CFBDRN CO[C@@H](C)CCC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000782436530 628637860 /nfs/dbraw/zinc/63/78/60/628637860.db2.gz FKMDUHOGRNOADD-QMMMGPOBSA-N 0 0 273.310 2.515 20 5 CFBDRN CC(C)(C)C[C@H](O)CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000805731204 628657615 /nfs/dbraw/zinc/65/76/15/628657615.db2.gz NBFCMVISRCCVNG-GFCCVEGCSA-N 0 0 295.335 2.825 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000783440633 628707865 /nfs/dbraw/zinc/70/78/65/628707865.db2.gz VNUMOUMFDJNGSK-ZJUUUORDSA-N 0 0 297.282 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@H]3CC[C@@H](C2)O3)cc1Cl ZINC000783569067 628721279 /nfs/dbraw/zinc/72/12/79/628721279.db2.gz ARYJDEFFMPOBCP-PHIMTYICSA-N 0 0 282.727 2.611 20 5 CFBDRN C/C(=C/C(=O)N[C@@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000783771078 628744578 /nfs/dbraw/zinc/74/45/78/628744578.db2.gz BDENUPJJYDCVMO-JUDLJHIGSA-N 0 0 274.320 2.913 20 5 CFBDRN CCc1nnc(CNc2cc([N+](=O)[O-])ccc2F)s1 ZINC000783878255 628753049 /nfs/dbraw/zinc/75/30/49/628753049.db2.gz ODVHNUUCNVFGBA-UHFFFAOYSA-N 0 0 282.300 2.760 20 5 CFBDRN COc1ccc(OC(=O)[C@H](F)C(C)C)c([N+](=O)[O-])c1 ZINC000784017309 628763890 /nfs/dbraw/zinc/76/38/90/628763890.db2.gz LNYMWLDHPNKDOU-LLVKDONJSA-N 0 0 271.244 2.503 20 5 CFBDRN CC1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCC1 ZINC000784017978 628764085 /nfs/dbraw/zinc/76/40/85/628764085.db2.gz NDXQUFNOSXXYEW-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000784024799 628765400 /nfs/dbraw/zinc/76/54/00/628765400.db2.gz UCLMACJBKFKBQZ-SFYZADRCSA-N 0 0 284.699 2.612 20 5 CFBDRN C/C(=C/C(=O)NCc1ccn(C)c1)c1ccc([N+](=O)[O-])cc1 ZINC000784060695 628770323 /nfs/dbraw/zinc/77/03/23/628770323.db2.gz XGMCKTOLDLNANY-XFXZXTDPSA-N 0 0 299.330 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](F)C(C)C ZINC000784062537 628770708 /nfs/dbraw/zinc/77/07/08/628770708.db2.gz PPJZNGVXJVXKPS-LLVKDONJSA-N 0 0 255.245 2.803 20 5 CFBDRN C/C(=C\C(=O)NC1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000784070048 628771690 /nfs/dbraw/zinc/77/16/90/628771690.db2.gz FHKMQAJYUQWEOC-ZHACJKMWSA-N 0 0 272.304 2.833 20 5 CFBDRN O=[N+]([O-])c1c(NC23CCC(CC2)C3)nc2ccccn21 ZINC000784205704 628790926 /nfs/dbraw/zinc/79/09/26/628790926.db2.gz VNZMIOBCDPFDLG-UHFFFAOYSA-N 0 0 272.308 2.987 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000784273638 628797210 /nfs/dbraw/zinc/79/72/10/628797210.db2.gz IKVWFLAGZLDLED-SCZZXKLOSA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@@H](OC(=O)[C@@H](O)CC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000784340536 628807491 /nfs/dbraw/zinc/80/74/91/628807491.db2.gz SIDIZIUUZCDYJC-MFKMUULPSA-N 0 0 295.335 2.996 20 5 CFBDRN CCCCCC(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000784428189 628816209 /nfs/dbraw/zinc/81/62/09/628816209.db2.gz JPGWYEBACUBZHN-UHFFFAOYSA-N 0 0 279.292 2.901 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(SCCSCCO)c1 ZINC000784684865 628836377 /nfs/dbraw/zinc/83/63/77/628836377.db2.gz MTKMXKKCLVGBTE-UHFFFAOYSA-N 0 0 273.379 2.721 20 5 CFBDRN COC(=O)CCCC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000784724395 628838743 /nfs/dbraw/zinc/83/87/43/628838743.db2.gz SUGQJQKXYPJCPC-SNVBAGLBSA-N 0 0 295.291 2.542 20 5 CFBDRN C/C(=C/C(=O)OCCOC(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000784836481 628845097 /nfs/dbraw/zinc/84/50/97/628845097.db2.gz ZUCNSAFSVWVVCV-BENRWUELSA-N 0 0 293.319 2.966 20 5 CFBDRN COCCCOC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784845884 628845562 /nfs/dbraw/zinc/84/55/62/628845562.db2.gz GKVFXCPFOIMMCA-ZHACJKMWSA-N 0 0 279.292 2.578 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])cnn1 ZINC000784890592 628848991 /nfs/dbraw/zinc/84/89/91/628848991.db2.gz VASDRVTWXCSNRA-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN COCC[C@H](C)OC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784901002 628850221 /nfs/dbraw/zinc/85/02/21/628850221.db2.gz FSKONROQPXTASY-WEHUKYGOSA-N 0 0 293.319 2.966 20 5 CFBDRN CC(C)OCC(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784934262 628853542 /nfs/dbraw/zinc/85/35/42/628853542.db2.gz CRMSKPVEFAWQER-UHFFFAOYSA-N 0 0 299.348 2.655 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1F ZINC000784945380 628853928 /nfs/dbraw/zinc/85/39/28/628853928.db2.gz QCUGZRGITRDHID-NSHDSACASA-N 0 0 297.282 2.850 20 5 CFBDRN CC1(C)OC[C@H](COc2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000785011959 628859952 /nfs/dbraw/zinc/85/99/52/628859952.db2.gz HFXQYTASESQWJH-VIFPVBQESA-N 0 0 287.699 2.779 20 5 CFBDRN CC(C)/C=C\C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000785043740 628862673 /nfs/dbraw/zinc/86/26/73/628862673.db2.gz UQUAHSKQJPGABH-ARJAWSKDSA-N 0 0 255.295 2.912 20 5 CFBDRN C/C(=C\C(=O)NC[C@@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000785258358 628873509 /nfs/dbraw/zinc/87/35/09/628873509.db2.gz VQCXTGRPCWGOAW-PFVNGKLPSA-N 0 0 274.320 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC2(O)CC2)cc1Cl ZINC000785454025 628884240 /nfs/dbraw/zinc/88/42/40/628884240.db2.gz MSYGCCWFYDWYNG-UHFFFAOYSA-N 0 0 257.673 2.542 20 5 CFBDRN Cc1cncc(COC(=O)c2ccc([N+](=O)[O-])s2)c1 ZINC000785645901 628901013 /nfs/dbraw/zinc/90/10/13/628901013.db2.gz JOXLZBSMANWWBT-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1cncc(COC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000785651151 628901431 /nfs/dbraw/zinc/90/14/31/628901431.db2.gz XZLMZECYPTZSKB-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C/C=C\C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000785655604 628901720 /nfs/dbraw/zinc/90/17/20/628901720.db2.gz ISEAUAISYWNITK-IHWYPQMZSA-N 0 0 288.225 2.806 20 5 CFBDRN CC(C)c1ccc(C(=O)OCCC2(O)CC2)cc1[N+](=O)[O-] ZINC000785701808 628906562 /nfs/dbraw/zinc/90/65/62/628906562.db2.gz JCDWQZHQNWCNFB-UHFFFAOYSA-N 0 0 293.319 2.790 20 5 CFBDRN CO[C@H](C)[C@H](C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000786677731 628973177 /nfs/dbraw/zinc/97/31/77/628973177.db2.gz OSZRUJMOFGXZQL-NYXKHXTOSA-N 0 0 292.335 2.538 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)[C@@H]1C ZINC000786813765 628980343 /nfs/dbraw/zinc/98/03/43/628980343.db2.gz MRTCQKXOIJPOOI-PSASIEDQSA-N 0 0 298.726 2.636 20 5 CFBDRN Cc1csc(COc2ccc(N)c([N+](=O)[O-])c2)n1 ZINC000786864426 628982145 /nfs/dbraw/zinc/98/21/45/628982145.db2.gz LHYQKZXGCUWCBH-UHFFFAOYSA-N 0 0 265.294 2.521 20 5 CFBDRN COC(C)(C)CCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000787571130 629019544 /nfs/dbraw/zinc/01/95/44/629019544.db2.gz TUWQSCPIDPTRKR-UHFFFAOYSA-N 0 0 273.310 2.628 20 5 CFBDRN O=C1O[C@@H](CSc2ccc([N+](=O)[O-])cc2F)CC12CC2 ZINC000788503236 629075887 /nfs/dbraw/zinc/07/58/87/629075887.db2.gz SPAGMWCVMIVVNP-SECBINFHSA-N 0 0 297.307 2.922 20 5 CFBDRN COc1ccc(N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)cn1 ZINC000788694600 629093173 /nfs/dbraw/zinc/09/31/73/629093173.db2.gz WPOPSHGEFRKVOV-VIFPVBQESA-N 0 0 288.307 2.754 20 5 CFBDRN C[C@@H](OC(=O)c1csc(N)n1)c1cccc([N+](=O)[O-])c1 ZINC000788964681 629111616 /nfs/dbraw/zinc/11/16/16/629111616.db2.gz NRRCOBOJCLIMFM-SSDOTTSWSA-N 0 0 293.304 2.552 20 5 CFBDRN C[C@H](OC(=O)c1csc(N)n1)c1ccccc1[N+](=O)[O-] ZINC000788962599 629111876 /nfs/dbraw/zinc/11/18/76/629111876.db2.gz FHJSWDSFSOXUSQ-ZETCQYMHSA-N 0 0 293.304 2.552 20 5 CFBDRN C[C@@H]1CC[C@H](COC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)O1 ZINC000789002475 629116340 /nfs/dbraw/zinc/11/63/40/629116340.db2.gz XFCPMDQDKGRGNY-PSASIEDQSA-N 0 0 299.710 2.973 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cccnc1F ZINC000789007398 629116668 /nfs/dbraw/zinc/11/66/68/629116668.db2.gz OHKVXYQIIQUNFO-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CSC1(C(=O)OCc2cccc([N+](=O)[O-])c2C)CC1 ZINC000789210958 629135311 /nfs/dbraw/zinc/13/53/11/629135311.db2.gz WUMJIUJQXIPFRU-UHFFFAOYSA-N 0 0 281.333 2.842 20 5 CFBDRN Cc1nn(CN2CCC=C(c3ccccc3)C2)cc1[N+](=O)[O-] ZINC000789545806 629165051 /nfs/dbraw/zinc/16/50/51/629165051.db2.gz MTZMIPFTFBJUKI-UHFFFAOYSA-N 0 0 298.346 2.847 20 5 CFBDRN O=C(OCC1(F)CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000789582915 629168563 /nfs/dbraw/zinc/16/85/63/629168563.db2.gz WOSUNJMIPNNPGW-UHFFFAOYSA-N 0 0 278.239 2.735 20 5 CFBDRN CNc1ccc(C(=O)OC[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000789602616 629171890 /nfs/dbraw/zinc/17/18/90/629171890.db2.gz UQKTVWREIGAYKZ-SECBINFHSA-N 0 0 278.308 2.839 20 5 CFBDRN Cn1ncc(NCc2cc(F)ccc2[N+](=O)[O-])c1C1CC1 ZINC000789668954 629179967 /nfs/dbraw/zinc/17/99/67/629179967.db2.gz HBJLVOBTYJDXIH-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/Sc2nnc3ccccn32)o1 ZINC000789727665 629184396 /nfs/dbraw/zinc/18/43/96/629184396.db2.gz RMQPFIZQVXQWNL-SOFGYWHQSA-N 0 0 288.288 2.994 20 5 CFBDRN CNc1c(C(=O)O[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000789804317 629189075 /nfs/dbraw/zinc/18/90/75/629189075.db2.gz NLSRXLPKAVXICH-VIFPVBQESA-N 0 0 266.297 2.838 20 5 CFBDRN O=C(OCC(F)(F)F)Oc1ccc(F)cc1[N+](=O)[O-] ZINC000790191864 629218550 /nfs/dbraw/zinc/21/85/50/629218550.db2.gz XKVAELAZOMMMTO-UHFFFAOYSA-N 0 0 283.133 2.812 20 5 CFBDRN Cn1ncc(-c2csc(-c3ccccc3[N+](=O)[O-])n2)n1 ZINC000790587188 629250847 /nfs/dbraw/zinc/25/08/47/629250847.db2.gz SBQYFSWTKWHNLX-UHFFFAOYSA-N 0 0 287.304 2.514 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCC1=CCCC1 ZINC000790820336 629266342 /nfs/dbraw/zinc/26/63/42/629266342.db2.gz AUGNZRJZHWXOFK-UHFFFAOYSA-N 0 0 262.265 2.565 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCC1=CCCC1 ZINC000790833327 629268707 /nfs/dbraw/zinc/26/87/07/629268707.db2.gz RKCCPGCEAQXSPX-UHFFFAOYSA-N 0 0 261.277 2.791 20 5 CFBDRN Cc1cc(C(=O)Oc2cccc([N+](=O)[O-])c2C)ccn1 ZINC000790843477 629268957 /nfs/dbraw/zinc/26/89/57/629268957.db2.gz DENRJMPTTWBIKG-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000791111882 629287783 /nfs/dbraw/zinc/28/77/83/629287783.db2.gz KZKYYZGSDGDUCK-VIFPVBQESA-N 0 0 298.339 2.525 20 5 CFBDRN Cc1ccnc(COC(=O)c2ccc([N+](=O)[O-])cc2F)c1 ZINC000791342439 629302557 /nfs/dbraw/zinc/30/25/57/629302557.db2.gz DZIWLHFRYYDREP-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CN(Cc1cnccn1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000791408766 629310424 /nfs/dbraw/zinc/31/04/24/629310424.db2.gz XUAYMICIQWNDLL-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN CO[C@H](C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC000791565959 629333580 /nfs/dbraw/zinc/33/35/80/629333580.db2.gz LLOPJAGGCIQXEM-NSHDSACASA-N 0 0 284.699 2.612 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OCCCCCF ZINC000791694447 629346146 /nfs/dbraw/zinc/34/61/46/629346146.db2.gz NVXYFARCBPNOBI-UHFFFAOYSA-N 0 0 285.271 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1ccnn1C ZINC000791917620 629376654 /nfs/dbraw/zinc/37/66/54/629376654.db2.gz DTXMLQVBNQTBSN-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)Cc2ccccc2[N+](=O)[O-])O1 ZINC000792028843 629390220 /nfs/dbraw/zinc/39/02/20/629390220.db2.gz MEMMGJMZIUPFTO-LBPRGKRZSA-N 0 0 293.319 2.638 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)c2ccc(F)cc2[N+](=O)[O-])O1 ZINC000792028345 629390631 /nfs/dbraw/zinc/39/06/31/629390631.db2.gz BWNFKBPKIVKCGN-JTQLQIEISA-N 0 0 297.282 2.848 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)c2ccco2)cc1[N+](=O)[O-] ZINC000792123093 629400196 /nfs/dbraw/zinc/40/01/96/629400196.db2.gz ACCQQBSKZYQFOG-SECBINFHSA-N 0 0 291.259 2.906 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000792130823 629400821 /nfs/dbraw/zinc/40/08/21/629400821.db2.gz YFVRWMWWFPPLBE-IUCAKERBSA-N 0 0 297.742 2.941 20 5 CFBDRN CC[C@@H](C)CC(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000792170430 629404816 /nfs/dbraw/zinc/40/48/16/629404816.db2.gz RBKXIALVNJZGQB-SECBINFHSA-N 0 0 296.279 2.982 20 5 CFBDRN CCC[C@H](OC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000835111411 629417921 /nfs/dbraw/zinc/41/79/21/629417921.db2.gz HSLQMOYTFMLCQB-LBPRGKRZSA-N 0 0 285.271 2.592 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000835112637 629420514 /nfs/dbraw/zinc/42/05/14/629420514.db2.gz RXCQFPVMLMCNDB-LDYMZIIASA-N 0 0 267.256 2.823 20 5 CFBDRN O=C(OCCOc1ccccc1)c1ccc([N+](=O)[O-])s1 ZINC000793100327 629487117 /nfs/dbraw/zinc/48/71/17/629487117.db2.gz CCMXVAOQQHPRQI-UHFFFAOYSA-N 0 0 293.300 2.892 20 5 CFBDRN O=C(CCC(=O)c1cccc([N+](=O)[O-])c1)OC1CCC1 ZINC000835192814 629508900 /nfs/dbraw/zinc/50/89/00/629508900.db2.gz YSBMMKDPUFJCOJ-UHFFFAOYSA-N 0 0 277.276 2.653 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2CCO[C@H]2C)cc1[N+](=O)[O-] ZINC000793433987 629546474 /nfs/dbraw/zinc/54/64/74/629546474.db2.gz UQUMXWHZBASVBN-CBAPKCEASA-N 0 0 285.321 2.547 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000835237560 629550542 /nfs/dbraw/zinc/55/05/42/629550542.db2.gz XYTQJPODPFMQMR-RDDDGLTNSA-N 0 0 253.229 2.685 20 5 CFBDRN Cc1ccsc1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835280423 629591987 /nfs/dbraw/zinc/59/19/87/629591987.db2.gz XZCMGICAUGVQFC-UHFFFAOYSA-N 0 0 271.338 2.935 20 5 CFBDRN Cc1cc(COC(=O)/C=C/[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000835287757 629599894 /nfs/dbraw/zinc/59/98/94/629599894.db2.gz SOANGJKENSFQEM-PYEVWLCESA-N 0 0 291.303 2.539 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@@H]1CCCSC1 ZINC000806045010 629622556 /nfs/dbraw/zinc/62/25/56/629622556.db2.gz AJIJUDFVGZQOCO-LBPRGKRZSA-N 0 0 295.360 2.824 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000794283096 629630449 /nfs/dbraw/zinc/63/04/49/629630449.db2.gz HWVGNCOXFKEHNI-UHFFFAOYSA-N 0 0 291.351 2.748 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NOC/C=C/Cl ZINC000794291314 629632188 /nfs/dbraw/zinc/63/21/88/629632188.db2.gz RYBUAFNIVVBAAQ-DSHADFJZSA-N 0 0 296.710 2.798 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC(F)(F)CC1 ZINC000794295200 629632840 /nfs/dbraw/zinc/63/28/40/629632840.db2.gz RBNTXFYRWYBRCY-UTCJRWHESA-N 0 0 296.273 2.866 20 5 CFBDRN COc1ccc(OC(=O)C[C@@H]2CC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000835348031 629647308 /nfs/dbraw/zinc/64/73/08/629647308.db2.gz HXVGBXCTKSHPSO-JBLDHEPKSA-N 0 0 291.303 2.945 20 5 CFBDRN COc1cc(OCc2cnc(Cl)cn2)ccc1[N+](=O)[O-] ZINC000794450417 629647643 /nfs/dbraw/zinc/64/76/43/629647643.db2.gz NSJKLXPYZBNITK-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN COc1cc(C(=O)OC[C@H](C)C2CC2)c([N+](=O)[O-])cc1F ZINC000835373218 629663892 /nfs/dbraw/zinc/66/38/92/629663892.db2.gz GQWWURHETCDYSN-QMMMGPOBSA-N 0 0 297.282 2.945 20 5 CFBDRN CSc1ccccc1CN(C)Cn1cc([N+](=O)[O-])cn1 ZINC000794662771 629672335 /nfs/dbraw/zinc/67/23/35/629672335.db2.gz PASKWFRHWDULHT-UHFFFAOYSA-N 0 0 292.364 2.603 20 5 CFBDRN CC(C)[C@@](C)(O)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000794660226 629672553 /nfs/dbraw/zinc/67/25/53/629672553.db2.gz CMUWQYAADOPSJD-CYBMUJFWSA-N 0 0 277.280 2.508 20 5 CFBDRN CCN(Cn1nc(C)c([N+](=O)[O-])c1C)[C@@H](C)C(F)(F)F ZINC000794679773 629673642 /nfs/dbraw/zinc/67/36/42/629673642.db2.gz PKCQUEMJSCNYBZ-VIFPVBQESA-N 0 0 294.277 2.638 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1CCCC12CCOCC2)[N+](=O)[O-] ZINC000835400831 629689014 /nfs/dbraw/zinc/68/90/14/629689014.db2.gz WZHBADWDASTNIC-GFCCVEGCSA-N 0 0 299.367 2.714 20 5 CFBDRN CCOc1ccc(C(=O)NOC[C@H](C)CC)cc1[N+](=O)[O-] ZINC000794869634 629698034 /nfs/dbraw/zinc/69/80/34/629698034.db2.gz IWBRSVYJZMFOME-SNVBAGLBSA-N 0 0 296.323 2.701 20 5 CFBDRN C[C@@H](CC(C)(C)O)OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000835420917 629706625 /nfs/dbraw/zinc/70/66/25/629706625.db2.gz SFZXIMXKMDDHPE-QWRGUYRKSA-N 0 0 295.335 2.791 20 5 CFBDRN CCC(=O)c1ccc(N(C)Cc2cc[nH]n2)c([N+](=O)[O-])c1 ZINC000795092626 629733311 /nfs/dbraw/zinc/73/33/11/629733311.db2.gz ICVZGTJTGWCOTB-UHFFFAOYSA-N 0 0 288.307 2.547 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000795191462 629743084 /nfs/dbraw/zinc/74/30/84/629743084.db2.gz XYHRTFJSRNRHBR-IAQYHMDHSA-N 0 0 276.336 2.907 20 5 CFBDRN Cc1cccc(CCNc2nc3ccccn3c2[N+](=O)[O-])n1 ZINC000795188817 629743208 /nfs/dbraw/zinc/74/32/08/629743208.db2.gz ISAWJYABXOLNEJ-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN Cc1ccc(N2C[C@@H](C)O[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000795189899 629743262 /nfs/dbraw/zinc/74/32/62/629743262.db2.gz NTXYTJVNYHDQPW-IAQYHMDHSA-N 0 0 276.336 2.907 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000795191464 629743274 /nfs/dbraw/zinc/74/32/74/629743274.db2.gz XYHRTFJSRNRHBR-NHYWBVRUSA-N 0 0 276.336 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccnc2F)s1 ZINC000795231521 629749132 /nfs/dbraw/zinc/74/91/32/629749132.db2.gz VCMDVRFKKHCGHD-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN CCc1c2ccccc2oc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000835467801 629755194 /nfs/dbraw/zinc/75/51/94/629755194.db2.gz UQMBNBWCHWUIBG-SECBINFHSA-N 0 0 277.276 2.817 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000835468098 629756180 /nfs/dbraw/zinc/75/61/80/629756180.db2.gz YNPQMODSRIOIAK-KWCYVHTRSA-N 0 0 277.320 2.779 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2CCC[C@H](O)C2)cc1[N+](=O)[O-] ZINC000795309651 629759043 /nfs/dbraw/zinc/75/90/43/629759043.db2.gz SESJOTFAYYEPMV-UWVGGRQHSA-N 0 0 299.348 2.673 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000835481590 629769387 /nfs/dbraw/zinc/76/93/87/629769387.db2.gz XHJHVHUJOWHLJK-WCQYABFASA-N 0 0 293.319 2.762 20 5 CFBDRN COC1(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000835480914 629770097 /nfs/dbraw/zinc/77/00/97/629770097.db2.gz RDBHUCBTKSVTEQ-UHFFFAOYSA-N 0 0 279.292 2.516 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCC1CC(F)(F)C1 ZINC000835495140 629787043 /nfs/dbraw/zinc/78/70/43/629787043.db2.gz ROBZKZGROAJASW-UHFFFAOYSA-N 0 0 285.246 2.726 20 5 CFBDRN CCC[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1C)OC ZINC000835535736 629816718 /nfs/dbraw/zinc/81/67/18/629816718.db2.gz CGXLXJAZSAZTBQ-GFCCVEGCSA-N 0 0 281.308 2.875 20 5 CFBDRN CCC[C@@H](COC(=O)c1cc(OC)ccc1[N+](=O)[O-])OC ZINC000835539259 629822973 /nfs/dbraw/zinc/82/29/73/629822973.db2.gz YHFLNOPQZBGRNA-NSHDSACASA-N 0 0 297.307 2.575 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCC1CCC1 ZINC000795711724 629825811 /nfs/dbraw/zinc/82/58/11/629825811.db2.gz PEZFSWNFZSEGAY-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CC[C@@H](OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1)[C@@H](C)CC ZINC000835586226 629855599 /nfs/dbraw/zinc/85/55/99/629855599.db2.gz DKNGRQPZWHJGSY-CMPLNLGQSA-N 0 0 297.355 2.894 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000796000571 629861343 /nfs/dbraw/zinc/86/13/43/629861343.db2.gz KLFZQJLCRJRDPD-ZANVPECISA-N 0 0 299.298 2.838 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000796003767 629862483 /nfs/dbraw/zinc/86/24/83/629862483.db2.gz SVLAZBGTUZVPAX-GXFFZTMASA-N 0 0 281.308 2.699 20 5 CFBDRN O=C(CCC(F)F)OCc1c(F)cccc1[N+](=O)[O-] ZINC000796020351 629864621 /nfs/dbraw/zinc/86/46/21/629864621.db2.gz FWPHBXXMBXVTQA-UHFFFAOYSA-N 0 0 277.198 2.822 20 5 CFBDRN CCCc1[nH]nc(C(=O)O[C@H](C)CCC)c1[N+](=O)[O-] ZINC000796261584 629897216 /nfs/dbraw/zinc/89/72/16/629897216.db2.gz WAFWJXOLFBPZOF-MRVPVSSYSA-N 0 0 269.301 2.616 20 5 CFBDRN CCCc1[nH]nc(C(=O)O[C@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000796262024 629897458 /nfs/dbraw/zinc/89/74/58/629897458.db2.gz VOPXEWJXWYRSNZ-VIFPVBQESA-N 0 0 279.296 2.536 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796306196 629901623 /nfs/dbraw/zinc/90/16/23/629901623.db2.gz IMMDQMRQGOXNBG-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796306724 629901764 /nfs/dbraw/zinc/90/17/64/629901764.db2.gz MCBRLFCONOQLKE-SECBINFHSA-N 0 0 267.281 2.806 20 5 CFBDRN CCCCCOC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796309541 629902679 /nfs/dbraw/zinc/90/26/79/629902679.db2.gz PKCTURSJFMGMAM-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000796324881 629903957 /nfs/dbraw/zinc/90/39/57/629903957.db2.gz NIPDGALLLAOJSY-RYUDHWBXSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@](C)(OC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000796401474 629913665 /nfs/dbraw/zinc/91/36/65/629913665.db2.gz RVTGSVXJZGRSCN-ZDUSSCGKSA-N 0 0 285.271 2.592 20 5 CFBDRN CC[C@H](OC(=O)c1ccc(N)c([N+](=O)[O-])c1)C(F)(F)F ZINC000835647898 629916005 /nfs/dbraw/zinc/91/60/05/629916005.db2.gz FDFDUXRAYZYJLD-VIFPVBQESA-N 0 0 292.213 2.675 20 5 CFBDRN CC(C)OCCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796440021 629917762 /nfs/dbraw/zinc/91/77/62/629917762.db2.gz RKWIACMKCKZAHO-UHFFFAOYSA-N 0 0 295.335 2.841 20 5 CFBDRN CSCCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796443031 629918172 /nfs/dbraw/zinc/91/81/72/629918172.db2.gz AJLFXRHADJEBBE-UHFFFAOYSA-N 0 0 283.349 2.779 20 5 CFBDRN COCC[C@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796441740 629918218 /nfs/dbraw/zinc/91/82/18/629918218.db2.gz LFTOTVIECFYNPM-NSHDSACASA-N 0 0 295.335 2.841 20 5 CFBDRN Cc1cc(C(=O)N2CCC(C)=C(C)C2)c(N)c([N+](=O)[O-])c1 ZINC000796468651 629922337 /nfs/dbraw/zinc/92/23/37/629922337.db2.gz MLOJCMUIFQTZND-UHFFFAOYSA-N 0 0 289.335 2.668 20 5 CFBDRN CON(CCOc1ccccc1[N+](=O)[O-])CC(C)(C)C ZINC000796468244 629922459 /nfs/dbraw/zinc/92/24/59/629922459.db2.gz IHSIVMWFGFGNAO-UHFFFAOYSA-N 0 0 282.340 2.883 20 5 CFBDRN CON(CCOc1cccc([N+](=O)[O-])c1)CC(C)(C)C ZINC000796477726 629923773 /nfs/dbraw/zinc/92/37/73/629923773.db2.gz LORSZWJHOWADQY-UHFFFAOYSA-N 0 0 282.340 2.883 20 5 CFBDRN CS[C@H](C)CCOC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000835662944 629925950 /nfs/dbraw/zinc/92/59/50/629925950.db2.gz IMJBXGHUAMXFLM-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000796538637 629931638 /nfs/dbraw/zinc/93/16/38/629931638.db2.gz GMODAAXGNQYTCI-GWCFXTLKSA-N 0 0 281.308 2.870 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN1CCC(F)(F)CC1 ZINC000796542482 629933372 /nfs/dbraw/zinc/93/33/72/629933372.db2.gz HAFIVIWEZCQUEJ-UHFFFAOYSA-N 0 0 286.278 2.705 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000796591155 629939586 /nfs/dbraw/zinc/93/95/86/629939586.db2.gz HSCLATHWIFLZKI-RNCFNFMXSA-N 0 0 281.308 2.870 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@H](C)SC)c1 ZINC000835677767 629941358 /nfs/dbraw/zinc/94/13/58/629941358.db2.gz JCRHRIOSRYLUMV-QMMMGPOBSA-N 0 0 285.321 2.512 20 5 CFBDRN COc1c(C(=O)O[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000796661993 629947198 /nfs/dbraw/zinc/94/71/98/629947198.db2.gz OBROCIBEMVPSRE-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN CS[C@H](C)COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000835681295 629947640 /nfs/dbraw/zinc/94/76/40/629947640.db2.gz QHTLTGLJRSGXHM-SNVBAGLBSA-N 0 0 298.364 2.692 20 5 CFBDRN COc1ccc(C(=O)OC[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000835682922 629948660 /nfs/dbraw/zinc/94/86/60/629948660.db2.gz WZRIWJSFHVNLMR-MRVPVSSYSA-N 0 0 285.321 2.512 20 5 CFBDRN C[C@H](CC(C)(C)C)OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000835702871 629967877 /nfs/dbraw/zinc/96/78/77/629967877.db2.gz GSZGWTRIBLAMJV-SNVBAGLBSA-N 0 0 297.355 2.894 20 5 CFBDRN CCc1nc(C(=O)Oc2cccc([N+](=O)[O-])c2C)c(C)o1 ZINC000796819499 629972613 /nfs/dbraw/zinc/97/26/13/629972613.db2.gz OBJYJRXZJLUAMY-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000796870465 629983134 /nfs/dbraw/zinc/98/31/34/629983134.db2.gz ZXJZYMOISMSZDI-RNCFNFMXSA-N 0 0 281.308 2.870 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000796899242 629986365 /nfs/dbraw/zinc/98/63/65/629986365.db2.gz JEAYERFAMAWXRQ-RNCFNFMXSA-N 0 0 297.307 2.570 20 5 CFBDRN CC[C@H](CCOC)OC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000797179451 630033366 /nfs/dbraw/zinc/03/33/66/630033366.db2.gz QKUFOQSAANBUAT-CYBMUJFWSA-N 0 0 295.335 2.804 20 5 CFBDRN C[C@@H]1COCC[C@@H]1OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000835789133 630048260 /nfs/dbraw/zinc/04/82/60/630048260.db2.gz UVMNQQVFVSMIFX-PELKAZGASA-N 0 0 299.710 2.830 20 5 CFBDRN CCCCC(N)=NOCc1ccc([N+](=O)[O-])cc1OC ZINC000797421932 630064685 /nfs/dbraw/zinc/06/46/85/630064685.db2.gz PAGGFHIUEYFLAQ-UHFFFAOYSA-N 0 0 281.312 2.792 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)c1cc[nH]c1C ZINC000797474584 630070766 /nfs/dbraw/zinc/07/07/66/630070766.db2.gz MSCZCLBDYMDNDT-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@@H](C)CSC ZINC000797474210 630071101 /nfs/dbraw/zinc/07/11/01/630071101.db2.gz ASWJTFOLYBIRNS-VIFPVBQESA-N 0 0 299.348 2.646 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)c1occc1C ZINC000797472003 630071129 /nfs/dbraw/zinc/07/11/29/630071129.db2.gz CLBFQFWCYKEUGJ-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)/C=C/SC ZINC000797476030 630071566 /nfs/dbraw/zinc/07/15/66/630071566.db2.gz KSNCEXCYTRJOIJ-AATRIKPKSA-N 0 0 283.305 2.523 20 5 CFBDRN CSCC[C@@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000835817120 630082830 /nfs/dbraw/zinc/08/28/30/630082830.db2.gz FTTKEHOHQZXVLC-SNVBAGLBSA-N 0 0 283.349 2.822 20 5 CFBDRN C/C(=C\Cl)CSCCn1cc([N+](=O)[O-])ccc1=O ZINC000797810253 630106831 /nfs/dbraw/zinc/10/68/31/630106831.db2.gz MEHUHQXHZKIBHV-RMKNXTFCSA-N 0 0 288.756 2.632 20 5 CFBDRN Cc1c(COC(=O)c2ccc[nH]2)cccc1[N+](=O)[O-] ZINC000797857236 630113051 /nfs/dbraw/zinc/11/30/51/630113051.db2.gz WRDMDEIZOANGPC-UHFFFAOYSA-N 0 0 260.249 2.588 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000797905906 630121911 /nfs/dbraw/zinc/12/19/11/630121911.db2.gz RHUBZFQEXSPERM-UHFFFAOYSA-N 0 0 274.276 2.897 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000797910297 630123367 /nfs/dbraw/zinc/12/33/67/630123367.db2.gz JVDJBCKLXBWRAI-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000835913601 630154145 /nfs/dbraw/zinc/15/41/45/630154145.db2.gz SECVIOMMPCUQBY-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1)c1ccc(Cl)nc1 ZINC000798238179 630170518 /nfs/dbraw/zinc/17/05/18/630170518.db2.gz YUAKSCSDGITBID-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CC[C@](C)(COC(=O)c1cc(C)cc([N+](=O)[O-])c1)OC ZINC000835963352 630187868 /nfs/dbraw/zinc/18/78/68/630187868.db2.gz YGHVCRSEAIEXFJ-CQSZACIVSA-N 0 0 281.308 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](c3ccccn3)C2)n1 ZINC000798414407 630188184 /nfs/dbraw/zinc/18/81/84/630188184.db2.gz MSBGFXBCGMXCTP-LBPRGKRZSA-N 0 0 284.319 2.687 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cc(C)ccc1[N+](=O)[O-])OC ZINC000835966339 630191786 /nfs/dbraw/zinc/19/17/86/630191786.db2.gz IFOZMYMXBKLTEH-AWEZNQCLSA-N 0 0 281.308 2.875 20 5 CFBDRN O=C(OCCOCC1CCCC1)c1ccc([N+](=O)[O-])o1 ZINC000835980494 630195765 /nfs/dbraw/zinc/19/57/65/630195765.db2.gz WTRFEMDZDHUORX-UHFFFAOYSA-N 0 0 283.280 2.551 20 5 CFBDRN C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)C1CC1 ZINC000798568005 630208389 /nfs/dbraw/zinc/20/83/89/630208389.db2.gz LIZAWOYIEOLKNY-ZETCQYMHSA-N 0 0 297.694 2.773 20 5 CFBDRN C[C@@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(=O)C1CC1 ZINC000798587848 630210754 /nfs/dbraw/zinc/21/07/54/630210754.db2.gz FQLHDNWLZQMDHF-SSDOTTSWSA-N 0 0 297.694 2.773 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000867024376 630268997 /nfs/dbraw/zinc/26/89/97/630268997.db2.gz DJXZKAKXXSTGOY-XAVMHZPKSA-N 0 0 275.264 2.997 20 5 CFBDRN CCc1ccc(C(=O)OCC2(COC)CC2)cc1[N+](=O)[O-] ZINC000799665671 630330139 /nfs/dbraw/zinc/33/01/39/630330139.db2.gz FCTBRDKEQRZXNF-UHFFFAOYSA-N 0 0 293.319 2.741 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OS(=O)(=O)CC(C)(C)C ZINC000799793056 630344517 /nfs/dbraw/zinc/34/45/17/630344517.db2.gz OVPZZNNWPCPHLL-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2Cc3ccc(Cl)cc3C2)nc1 ZINC000799967202 630357366 /nfs/dbraw/zinc/35/73/66/630357366.db2.gz QRLKUFZAINCSCH-NSHDSACASA-N 0 0 290.710 2.618 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCCC(C)(C)O ZINC000799973130 630357748 /nfs/dbraw/zinc/35/77/48/630357748.db2.gz JVONBWNFTRVCSD-UHFFFAOYSA-N 0 0 256.277 2.615 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)O1 ZINC000800303851 630369471 /nfs/dbraw/zinc/36/94/71/630369471.db2.gz CYJLNYZLRCITPM-SOCHQFKDSA-N 0 0 285.321 2.513 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000800460831 630387992 /nfs/dbraw/zinc/38/79/92/630387992.db2.gz IOKNMZZSFXXGJZ-ZJUUUORDSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2cc(N)ccc2[N+](=O)[O-])no1 ZINC000800845921 630410688 /nfs/dbraw/zinc/41/06/88/630410688.db2.gz SNPZWKFMNPGODN-GFCCVEGCSA-N 0 0 288.307 2.815 20 5 CFBDRN Cc1noc(C)c1CCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000800857295 630411601 /nfs/dbraw/zinc/41/16/01/630411601.db2.gz NAEYTJGTFNPRNI-UHFFFAOYSA-N 0 0 290.323 2.827 20 5 CFBDRN CCCCCOC1CN(c2cc(N)ccc2[N+](=O)[O-])C1 ZINC000800857593 630411653 /nfs/dbraw/zinc/41/16/53/630411653.db2.gz YJYLQJCASIHULP-UHFFFAOYSA-N 0 0 279.340 2.572 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCSCC(F)(F)F)c1 ZINC000800861049 630412011 /nfs/dbraw/zinc/41/20/11/630412011.db2.gz CCYKRSRLMSLHJB-UHFFFAOYSA-N 0 0 295.286 2.884 20 5 CFBDRN Cc1ccncc1CN(C)c1cc(N)ccc1[N+](=O)[O-] ZINC000800871136 630413285 /nfs/dbraw/zinc/41/32/85/630413285.db2.gz LTZJYZFECONSFI-UHFFFAOYSA-N 0 0 272.308 2.517 20 5 CFBDRN Cc1nc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000801390974 630443998 /nfs/dbraw/zinc/44/39/98/630443998.db2.gz AVPLAYSUHDNWTB-QMMMGPOBSA-N 0 0 276.248 2.809 20 5 CFBDRN CC(C)CO[C@H]1CCN(Cc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000801520636 630452419 /nfs/dbraw/zinc/45/24/19/630452419.db2.gz IMEKZGLISIIGAX-ZDUSSCGKSA-N 0 0 296.342 2.981 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801817578 630473001 /nfs/dbraw/zinc/47/30/01/630473001.db2.gz LFNANCJGKDSROV-QMMMGPOBSA-N 0 0 283.303 2.577 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC1 ZINC000801870238 630483502 /nfs/dbraw/zinc/48/35/02/630483502.db2.gz SJVOPVYTBPDGKZ-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801952081 630494360 /nfs/dbraw/zinc/49/43/60/630494360.db2.gz NHWOTXCYKJZWHA-MRVPVSSYSA-N 0 0 295.314 2.625 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])C1=CCOCC1 ZINC000802059105 630500000 /nfs/dbraw/zinc/50/00/00/630500000.db2.gz RHFURZDZUICALG-UHFFFAOYSA-N 0 0 283.667 2.500 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2C[C@@H]2c2cccnc2)nc2ccccn21 ZINC000802725659 630540655 /nfs/dbraw/zinc/54/06/55/630540655.db2.gz XGIPKPYSLBLAHJ-NEPJUHHUSA-N 0 0 295.302 2.606 20 5 CFBDRN CC1(C)SC[C@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000802719375 630540903 /nfs/dbraw/zinc/54/09/03/630540903.db2.gz PKMZYCHPECFGEP-SNVBAGLBSA-N 0 0 297.405 2.775 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)O[C@@]1(C)CCOC1 ZINC000802900849 630562398 /nfs/dbraw/zinc/56/23/98/630562398.db2.gz WLWBAUUOBDPBNT-KLQCNHIUSA-N 0 0 291.303 2.720 20 5 CFBDRN CC(C)C(COC(=O)CCn1cc([N+](=O)[O-])cn1)C(C)C ZINC000802937737 630568118 /nfs/dbraw/zinc/56/81/18/630568118.db2.gz YEHSFTWJBOCWTP-UHFFFAOYSA-N 0 0 297.355 2.653 20 5 CFBDRN CCOC1CC(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000802947897 630570323 /nfs/dbraw/zinc/57/03/23/630570323.db2.gz PIMJIQLAORYLEH-UHFFFAOYSA-N 0 0 293.319 2.875 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1ccc([N+](=O)[O-])s1 ZINC000803219125 630590770 /nfs/dbraw/zinc/59/07/70/630590770.db2.gz AWGXPASQFCTCCD-UHFFFAOYSA-N 0 0 283.305 2.572 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1Cc2ccccc21 ZINC000803267298 630596678 /nfs/dbraw/zinc/59/66/78/630596678.db2.gz KGXZYKXJWSWNOK-NSHDSACASA-N 0 0 298.298 2.674 20 5 CFBDRN O=C(OCCCCCO)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000803284621 630598919 /nfs/dbraw/zinc/59/89/19/630598919.db2.gz PWHIXTHDVIOUBO-UHFFFAOYSA-N 0 0 293.275 2.660 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCCCF ZINC000803327105 630602098 /nfs/dbraw/zinc/60/20/98/630602098.db2.gz ZNGDANLNXGZURY-UHFFFAOYSA-N 0 0 255.245 2.810 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCc1cn[nH]c1 ZINC000803418622 630615351 /nfs/dbraw/zinc/61/53/51/630615351.db2.gz KNNDNPICYDJPKX-UHFFFAOYSA-N 0 0 289.291 2.600 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCC(=O)C2CCC2)c1F ZINC000803461334 630620267 /nfs/dbraw/zinc/62/02/67/630620267.db2.gz SWZXNCKEURFZHZ-UHFFFAOYSA-N 0 0 295.266 2.568 20 5 CFBDRN CCc1[nH]ccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000803776979 630641740 /nfs/dbraw/zinc/64/17/40/630641740.db2.gz LRAJGGYWQUFONA-UHFFFAOYSA-N 0 0 274.276 2.842 20 5 CFBDRN CCc1[nH]ccc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000803777378 630642010 /nfs/dbraw/zinc/64/20/10/630642010.db2.gz RWFFPECFJBAHKX-UHFFFAOYSA-N 0 0 292.266 2.981 20 5 CFBDRN Nc1ccc(NC[C@H]2COc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000804330909 630672737 /nfs/dbraw/zinc/67/27/37/630672737.db2.gz GHGZJGDCKLHTFH-NSHDSACASA-N 0 0 299.330 2.840 20 5 CFBDRN CC(C)CCN(C)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804361584 630675933 /nfs/dbraw/zinc/67/59/33/630675933.db2.gz BRDYNDSZVPTLNY-UHFFFAOYSA-N 0 0 267.285 2.985 20 5 CFBDRN CCc1nc(CN(C)c2ccc(N)cc2[N+](=O)[O-])cs1 ZINC000804377617 630677389 /nfs/dbraw/zinc/67/73/89/630677389.db2.gz KQGUQISKMLZWMI-UHFFFAOYSA-N 0 0 292.364 2.832 20 5 CFBDRN CCc1cc(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)[nH]n1 ZINC000804451453 630682626 /nfs/dbraw/zinc/68/26/26/630682626.db2.gz KLIMVCSOZIUOQU-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN CCc1cc(CNc2ccc([N+](=O)[O-])cc2C(C)=O)[nH]n1 ZINC000804451854 630683102 /nfs/dbraw/zinc/68/31/02/630683102.db2.gz XZELULJBBITVGG-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN CCCn1cc(Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])cn1 ZINC000804510997 630688747 /nfs/dbraw/zinc/68/87/47/630688747.db2.gz NSDAGDSTKRHJIL-UHFFFAOYSA-N 0 0 291.267 2.853 20 5 CFBDRN C[C@@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H]1C ZINC000804526526 630689850 /nfs/dbraw/zinc/68/98/50/630689850.db2.gz IJLIQPFLZHYTPH-RKDXNWHRSA-N 0 0 265.269 2.595 20 5 CFBDRN C[C@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H]1C ZINC000804526524 630689918 /nfs/dbraw/zinc/68/99/18/630689918.db2.gz IJLIQPFLZHYTPH-DTORHVGOSA-N 0 0 265.269 2.595 20 5 CFBDRN C[C@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])[C@H]1C ZINC000804533190 630690444 /nfs/dbraw/zinc/69/04/44/630690444.db2.gz YPZJMAIITXSKQB-IUCAKERBSA-N 0 0 265.269 2.738 20 5 CFBDRN CC(C)(CCCO)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804590060 630761724 /nfs/dbraw/zinc/76/17/24/630761724.db2.gz NCVFYIZLCXBDAZ-UHFFFAOYSA-N 0 0 297.311 2.714 20 5 CFBDRN C[C@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@@H]1F ZINC000804597786 630762538 /nfs/dbraw/zinc/76/25/38/630762538.db2.gz NWDKPMNERWXFNE-IUCAKERBSA-N 0 0 283.259 2.687 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)[C@@H](F)C1 ZINC000804597180 630762593 /nfs/dbraw/zinc/76/25/93/630762593.db2.gz DVZJURUMRMYVGA-RNCFNFMXSA-N 0 0 280.299 2.982 20 5 CFBDRN Cc1ccc(N2CC(F)C2)c([N+](=O)[O-])c1Br ZINC000804611055 630763784 /nfs/dbraw/zinc/76/37/84/630763784.db2.gz FLFLTNBOIHPQKS-UHFFFAOYSA-N 0 0 289.104 2.824 20 5 CFBDRN COCCC(C)(C)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804613336 630764875 /nfs/dbraw/zinc/76/48/75/630764875.db2.gz FKGDPFNYWYAYRD-UHFFFAOYSA-N 0 0 297.311 2.978 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NC(C)C)cc1Cl ZINC000836187618 630768400 /nfs/dbraw/zinc/76/84/00/630768400.db2.gz VTINWFLPHYFPNK-UHFFFAOYSA-N 0 0 256.689 2.695 20 5 CFBDRN CCn1ccc(CN(C)c2ccc(N)cc2[N+](=O)[O-])c1 ZINC000804649310 630768719 /nfs/dbraw/zinc/76/87/19/630768719.db2.gz WGZMWQZYNOMPCP-UHFFFAOYSA-N 0 0 274.324 2.635 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC3(CCCCC3)CC2)c1 ZINC000102393466 630780655 /nfs/dbraw/zinc/78/06/55/630780655.db2.gz YGYILZAQBIWDLE-UHFFFAOYSA-N 0 0 278.356 2.795 20 5 CFBDRN CCC(C)(C)c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000867173800 630798148 /nfs/dbraw/zinc/79/81/48/630798148.db2.gz JCFPPXNOHNSDQY-UHFFFAOYSA-N 0 0 262.269 2.727 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CC[C@H](F)C1 ZINC000836367075 630803906 /nfs/dbraw/zinc/80/39/06/630803906.db2.gz UIWJWJLZFMMGTF-ZETCQYMHSA-N 0 0 287.678 2.824 20 5 CFBDRN Cn1c(C(=O)N2CCCCCCCC2)ccc1[N+](=O)[O-] ZINC000836927226 630919828 /nfs/dbraw/zinc/91/98/28/630919828.db2.gz SGLZGXLYXQQYLI-UHFFFAOYSA-N 0 0 279.340 2.730 20 5 CFBDRN COCCN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000836967646 630925994 /nfs/dbraw/zinc/92/59/94/630925994.db2.gz DACGFDOPUGNWPC-UHFFFAOYSA-N 0 0 294.351 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]2(O)CCc3ccccc32)s1 ZINC000808006532 630940191 /nfs/dbraw/zinc/94/01/91/630940191.db2.gz XNUKIWYJKVWKMB-CQSZACIVSA-N 0 0 290.344 2.902 20 5 CFBDRN Cc1c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)ccn1C ZINC000808070500 630947434 /nfs/dbraw/zinc/94/74/34/630947434.db2.gz QTODYQPCYGNCBZ-UHFFFAOYSA-N 0 0 295.245 2.772 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1CCOC[C@@H]1C ZINC000808071397 630947638 /nfs/dbraw/zinc/94/76/38/630947638.db2.gz YAZJNMKXWFIOFZ-CMPLNLGQSA-N 0 0 292.335 2.882 20 5 CFBDRN CCOC1CC(N(C)c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000808086548 630949322 /nfs/dbraw/zinc/94/93/22/630949322.db2.gz CFZYAJXQNWZMPD-UHFFFAOYSA-N 0 0 286.278 2.877 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@H](O)c1ccccc1 ZINC000808130435 630953497 /nfs/dbraw/zinc/95/34/97/630953497.db2.gz NBHBPJAXJWURBJ-HZMBPMFUSA-N 0 0 273.292 2.524 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000837111898 630959850 /nfs/dbraw/zinc/95/98/50/630959850.db2.gz DJSJDBXQZCJSAG-IUCAKERBSA-N 0 0 296.273 2.649 20 5 CFBDRN Cc1ccc(COc2ccc(N)c([N+](=O)[O-])c2)c(C)n1 ZINC000808256850 630972340 /nfs/dbraw/zinc/97/23/40/630972340.db2.gz PQDCPLPYFYUNRL-UHFFFAOYSA-N 0 0 273.292 2.768 20 5 CFBDRN O=c1cccc(Cl)n1CCc1ccc([N+](=O)[O-])cc1 ZINC000808259302 630972884 /nfs/dbraw/zinc/97/28/84/630972884.db2.gz RHASEDBIHMLDTC-UHFFFAOYSA-N 0 0 278.695 2.653 20 5 CFBDRN CCON(Cc1ccccc1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837200652 630975621 /nfs/dbraw/zinc/97/56/21/630975621.db2.gz LCAZYHBRBHZBKG-UHFFFAOYSA-N 0 0 294.351 2.802 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2C)C1 ZINC000809114746 631084329 /nfs/dbraw/zinc/08/43/29/631084329.db2.gz HDVLQOOFRAEGON-BETUJISGSA-N 0 0 293.319 2.556 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000809117467 631085464 /nfs/dbraw/zinc/08/54/64/631085464.db2.gz URSQAEPQJZHFJM-TXEJJXNPSA-N 0 0 299.710 2.973 20 5 CFBDRN O=C1OCc2ccc(NCc3cccc([N+](=O)[O-])c3)cc21 ZINC000809195336 631095813 /nfs/dbraw/zinc/09/58/13/631095813.db2.gz BUIVTSCLBIZCDY-UHFFFAOYSA-N 0 0 284.271 2.877 20 5 CFBDRN C[C@@H](OC(=O)c1cncs1)c1cccc([N+](=O)[O-])c1 ZINC000809234436 631102490 /nfs/dbraw/zinc/10/24/90/631102490.db2.gz LCYBJUDKSXUJPT-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H](O)c1ccccc1 ZINC000809342062 631107825 /nfs/dbraw/zinc/10/78/25/631107825.db2.gz BKZFIWDPTPBPSD-CYBMUJFWSA-N 0 0 288.303 2.749 20 5 CFBDRN CC(=O)c1ccc(N2CC(C(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000809339130 631108158 /nfs/dbraw/zinc/10/81/58/631108158.db2.gz SLNAOQLASGYAAO-UHFFFAOYSA-N 0 0 288.225 2.796 20 5 CFBDRN CCC[C@H](OC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000837798155 631130090 /nfs/dbraw/zinc/13/00/90/631130090.db2.gz XZASCXVEDQOTPM-LBPRGKRZSA-N 0 0 285.271 2.592 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CCC2(CCCC2)O1)[N+](=O)[O-] ZINC000837923707 631151442 /nfs/dbraw/zinc/15/14/42/631151442.db2.gz ATGCBMGMSRUTMF-LBPRGKRZSA-N 0 0 299.367 2.857 20 5 CFBDRN Cc1cccc(OCCOC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000837921496 631151618 /nfs/dbraw/zinc/15/16/18/631151618.db2.gz ATDHUXIDDQOIIK-UHFFFAOYSA-N 0 0 295.335 2.753 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000840672695 631174197 /nfs/dbraw/zinc/17/41/97/631174197.db2.gz LNIGWLHPTVKYJF-WHGOUJPWSA-N 0 0 269.684 2.609 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=S)NC1(C)CCC1 ZINC000840716354 631176364 /nfs/dbraw/zinc/17/63/64/631176364.db2.gz WAOKHWBQBLTZJJ-UHFFFAOYSA-N 0 0 293.392 2.844 20 5 CFBDRN O=C(NCC1CC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000043331371 650004611 /nfs/dbraw/zinc/00/46/11/650004611.db2.gz FZBJFGRTLWZRBM-UHFFFAOYSA-N 0 0 269.688 2.780 20 5 CFBDRN O=C(Oc1ccc(Cl)cc1Cl)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841000630 631299362 /nfs/dbraw/zinc/29/93/62/631299362.db2.gz GBKJHWAARGXNIM-HTRCEHHLSA-N 0 0 276.075 2.564 20 5 CFBDRN COC1(C)CN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000809916678 631300669 /nfs/dbraw/zinc/30/06/69/631300669.db2.gz SCEHAKURFGHYBB-UHFFFAOYSA-N 0 0 299.714 2.501 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000841014915 631307049 /nfs/dbraw/zinc/30/70/49/631307049.db2.gz APGVPKGBHJSSCE-CYBMUJFWSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NOC/C=C\Cl ZINC000810141922 631333159 /nfs/dbraw/zinc/33/31/59/631333159.db2.gz PJKABWNVBKYTFI-DJWKRKHSSA-N 0 0 285.687 2.709 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000841086881 631336198 /nfs/dbraw/zinc/33/61/98/631336198.db2.gz LYYYEMFFGSQVHF-ZDUSSCGKSA-N 0 0 281.308 2.699 20 5 CFBDRN CCO[C@@H](CC)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000841160721 631348719 /nfs/dbraw/zinc/34/87/19/631348719.db2.gz FNJMFCROXJVLFV-NSHDSACASA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1cc(Cl)c(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc1Cl ZINC000841158278 631350315 /nfs/dbraw/zinc/35/03/15/631350315.db2.gz PYVHFXJBASHJNE-LHLIQPBNSA-N 0 0 289.118 2.906 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1=O ZINC000840196235 631355762 /nfs/dbraw/zinc/35/57/62/631355762.db2.gz LWEVOKNGLHIJDN-LLVKDONJSA-N 0 0 277.276 2.509 20 5 CFBDRN O=Cc1cccc(C(=O)OCc2ccccc2[N+](=O)[O-])c1 ZINC000759370750 631366863 /nfs/dbraw/zinc/36/68/63/631366863.db2.gz CAMPHVRQCIQPFN-UHFFFAOYSA-N 0 0 285.255 2.764 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C1(CCF)CC1 ZINC000810556232 631390138 /nfs/dbraw/zinc/39/01/38/631390138.db2.gz DXWBPLZEAUBGNS-UHFFFAOYSA-N 0 0 285.246 2.917 20 5 CFBDRN Cc1nc(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)c(C)o1 ZINC000841342440 631390960 /nfs/dbraw/zinc/39/09/60/631390960.db2.gz MCKOOKLXGPKZDZ-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N)[C@@H]1CC1(C)C ZINC000841449188 631405785 /nfs/dbraw/zinc/40/57/85/631405785.db2.gz BHIQTPULWHTHHY-WCQYABFASA-N 0 0 291.351 2.732 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N)[C@H]1CC1(C)C ZINC000841449185 631405885 /nfs/dbraw/zinc/40/58/85/631405885.db2.gz BHIQTPULWHTHHY-DGCLKSJQSA-N 0 0 291.351 2.732 20 5 CFBDRN O=C(OCCCF)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000841475860 631412310 /nfs/dbraw/zinc/41/23/10/631412310.db2.gz ZLXJNJWDNNIYFI-UHFFFAOYSA-N 0 0 261.180 2.609 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])s1)CC(C)C ZINC000842053123 631515287 /nfs/dbraw/zinc/51/52/87/631515287.db2.gz MSSVFEUKKAZQRP-SECBINFHSA-N 0 0 287.337 2.874 20 5 CFBDRN CC[C@H](COC(=O)c1ccc(Cl)cc1[N+](=O)[O-])OC ZINC000842062662 631520130 /nfs/dbraw/zinc/52/01/30/631520130.db2.gz JUYYROLGRDRLKJ-SECBINFHSA-N 0 0 287.699 2.830 20 5 CFBDRN Cc1sc(C(=O)OCCc2cncs2)cc1[N+](=O)[O-] ZINC000811128364 631523222 /nfs/dbraw/zinc/52/32/22/631523222.db2.gz SNIOJWWCHYCDMI-UHFFFAOYSA-N 0 0 298.345 2.821 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCOCC2CCC2)c1 ZINC000842079362 631528083 /nfs/dbraw/zinc/52/80/83/631528083.db2.gz BGGNFYGDYZYRSS-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN COC1(COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000811177029 631530753 /nfs/dbraw/zinc/53/07/53/631530753.db2.gz ICCVJINBBGWWMS-VMPITWQZSA-N 0 0 291.303 2.720 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1CCCSC1 ZINC000811413418 631561064 /nfs/dbraw/zinc/56/10/64/631561064.db2.gz WBGLRNJGKYJIAZ-LLVKDONJSA-N 0 0 281.333 2.781 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCCc3n[nH]cc32)cc1Cl ZINC000811497134 631571301 /nfs/dbraw/zinc/57/13/01/631571301.db2.gz JGIFLQKXQNSWCC-UHFFFAOYSA-N 0 0 292.726 2.924 20 5 CFBDRN CO[C@@H](C)CCOC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842312351 631586865 /nfs/dbraw/zinc/58/68/65/631586865.db2.gz HQYKEOGGZFSDTP-LEWSCRJBSA-N 0 0 293.319 2.667 20 5 CFBDRN CO[C@H](C)CCOC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842312349 631587222 /nfs/dbraw/zinc/58/72/22/631587222.db2.gz HQYKEOGGZFSDTP-DDTOSNHZSA-N 0 0 293.319 2.667 20 5 CFBDRN CC(C)S[C@@H]1CCCC[C@@H]1OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842332701 631595751 /nfs/dbraw/zinc/59/57/51/631595751.db2.gz RLWPVTWFODWMMO-WISYIIOYSA-N 0 0 287.381 2.648 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OS(=O)(=O)CCC(C)C ZINC000104736143 631602642 /nfs/dbraw/zinc/60/26/42/631602642.db2.gz FAXFNOSWRCZBBZ-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=S)NC(C)C ZINC000811716403 631611238 /nfs/dbraw/zinc/61/12/38/631611238.db2.gz PWMGAYPOBRSTEX-UHFFFAOYSA-N 0 0 267.354 2.852 20 5 CFBDRN Cc1nccnc1NCc1cc([N+](=O)[O-])ccc1Cl ZINC000811813583 631626692 /nfs/dbraw/zinc/62/66/92/631626692.db2.gz CUZIKFUKNVRGOC-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN COc1ccc(CNc2ccc(Cl)nn2)cc1[N+](=O)[O-] ZINC000811836739 631629868 /nfs/dbraw/zinc/62/98/68/631629868.db2.gz LYMNFRZGIHUHBZ-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN C[C@@H](Cc1noc([C@@H]2CC2[N+](=O)[O-])n1)c1ccccc1 ZINC000842494541 631645030 /nfs/dbraw/zinc/64/50/30/631645030.db2.gz GVYOLLOSKVVVPK-MVWJERBFSA-N 0 0 273.292 2.548 20 5 CFBDRN CC(C)[C@@](C)(O)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000842496993 631646236 /nfs/dbraw/zinc/64/62/36/631646236.db2.gz KACXCTJNFOPNBK-LLVKDONJSA-N 0 0 283.309 2.570 20 5 CFBDRN COCC1(CC(=O)Oc2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000842688546 631706634 /nfs/dbraw/zinc/70/66/34/631706634.db2.gz IMXOHYYECFHGNG-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN Cc1cc(NC[C@](C)(O)c2ccco2)c(F)cc1[N+](=O)[O-] ZINC000812459995 631721837 /nfs/dbraw/zinc/72/18/37/631721837.db2.gz JYQQVORICZAOBS-AWEZNQCLSA-N 0 0 294.282 2.955 20 5 CFBDRN Cc1ccc(C2(C(=O)OC[C@@H](C)[N+](=O)[O-])CCC2)cc1 ZINC000842801300 631744641 /nfs/dbraw/zinc/74/46/41/631744641.db2.gz FXKZWASRJZDUAQ-GFCCVEGCSA-N 0 0 277.320 2.625 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000812627208 631748075 /nfs/dbraw/zinc/74/80/75/631748075.db2.gz RPIVVARFSCKTIM-QWRGUYRKSA-N 0 0 281.308 2.557 20 5 CFBDRN C/C=C(\C)COC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000842847145 631763141 /nfs/dbraw/zinc/76/31/41/631763141.db2.gz VEKAXYBWOREQBL-RUDMXATFSA-N 0 0 265.265 2.726 20 5 CFBDRN C/C=C(/C)COC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000842849747 631765022 /nfs/dbraw/zinc/76/50/22/631765022.db2.gz VTBWDZDHZJROSG-WTKPLQERSA-N 0 0 265.265 2.726 20 5 CFBDRN C/C=C(\C)COC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000842850316 631765469 /nfs/dbraw/zinc/76/54/69/631765469.db2.gz POEFFDRJQIQGJM-RUDMXATFSA-N 0 0 265.265 2.726 20 5 CFBDRN C[C@@](O)(CNc1ncc([N+](=O)[O-])cc1F)c1cccs1 ZINC000840265599 631765863 /nfs/dbraw/zinc/76/58/63/631765863.db2.gz GCLVTTZCSJFAHA-GFCCVEGCSA-N 0 0 297.311 2.510 20 5 CFBDRN CCC(C)(C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812595 631788819 /nfs/dbraw/zinc/78/88/19/631788819.db2.gz MIGAWQALPIOKOS-UHFFFAOYSA-N 0 0 255.318 2.745 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CC=CCC2)n1)[N+](=O)[O-] ZINC000812811860 631788935 /nfs/dbraw/zinc/78/89/35/631788935.db2.gz MMANBOXMLACJHW-SNVBAGLBSA-N 0 0 265.313 2.881 20 5 CFBDRN C[C@H]1CC[C@H](CCc2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812813159 631789661 /nfs/dbraw/zinc/78/96/61/631789661.db2.gz DAHNFXDAIQFAHJ-WDEREUQCSA-N 0 0 297.355 2.558 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000812915160 631806757 /nfs/dbraw/zinc/80/67/57/631806757.db2.gz BUOLJSKXWQRBAM-WDEREUQCSA-N 0 0 281.308 2.727 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000812923468 631807897 /nfs/dbraw/zinc/80/78/97/631807897.db2.gz SBOKPTUIMDOMBA-UWVGGRQHSA-N 0 0 281.308 2.727 20 5 CFBDRN C[C@H](COC(=O)C1(c2ccc(Cl)cc2)CC1)[N+](=O)[O-] ZINC000813103385 631837940 /nfs/dbraw/zinc/83/79/40/631837940.db2.gz PPDJXIYCVZPOIK-SECBINFHSA-N 0 0 283.711 2.580 20 5 CFBDRN C[C@H](COC(=O)c1occc1-c1ccccc1)[N+](=O)[O-] ZINC000813101857 631838099 /nfs/dbraw/zinc/83/80/99/631838099.db2.gz KAORTHVSTOGBBK-SNVBAGLBSA-N 0 0 275.260 2.769 20 5 CFBDRN C[C@H](COC(=O)/C=C/c1ccc(Cl)s1)[N+](=O)[O-] ZINC000813103379 631838385 /nfs/dbraw/zinc/83/83/85/631838385.db2.gz POOQYHLUEMJUBI-OHCKJTPYSA-N 0 0 275.713 2.623 20 5 CFBDRN C[C@@H](COC(=O)C[C@H]1CCCC(C)(C)C1)[N+](=O)[O-] ZINC000813101749 631838609 /nfs/dbraw/zinc/83/86/09/631838609.db2.gz IFRVUPVMUVHUBB-WDEREUQCSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](COC(=O)[C@@H](C)CCCc1ccccc1)[N+](=O)[O-] ZINC000813104098 631839873 /nfs/dbraw/zinc/83/98/73/631839873.db2.gz JWQKMZTVDJPYCN-QWHCGFSZSA-N 0 0 279.336 2.854 20 5 CFBDRN C[C@@H](COC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1)[N+](=O)[O-] ZINC000813104822 631840466 /nfs/dbraw/zinc/84/04/66/631840466.db2.gz RYNYUVCMJBWJHW-XLPZGREQSA-N 0 0 289.740 2.713 20 5 CFBDRN C[C@@H](COC(=O)/C=C/Sc1ccccc1)[N+](=O)[O-] ZINC000813105332 631840879 /nfs/dbraw/zinc/84/08/79/631840879.db2.gz LXQIVDNIYVBDMQ-JARNTUPDSA-N 0 0 267.306 2.501 20 5 CFBDRN C[C@H](COC(=O)c1ccc(C2CCC2)cc1)[N+](=O)[O-] ZINC000813107121 631841506 /nfs/dbraw/zinc/84/15/06/631841506.db2.gz KADNFJAGPUOMGE-SNVBAGLBSA-N 0 0 263.293 2.776 20 5 CFBDRN C[C@@H](COC(=O)Cc1ccc2ccccc2c1)[N+](=O)[O-] ZINC000813106493 631841710 /nfs/dbraw/zinc/84/17/10/631841710.db2.gz DGYPDZVSPDLZPE-NSHDSACASA-N 0 0 273.288 2.591 20 5 CFBDRN C[C@@H](COC(=O)CCCc1c[nH]c2ccccc12)[N+](=O)[O-] ZINC000813105981 631841904 /nfs/dbraw/zinc/84/19/04/631841904.db2.gz WXIJBJPULOXORS-NSHDSACASA-N 0 0 290.319 2.699 20 5 CFBDRN Cc1oc(-c2cccs2)nc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813105694 631842222 /nfs/dbraw/zinc/84/22/22/631842222.db2.gz RWJQOULKRKEKEE-SSDOTTSWSA-N 0 0 296.304 2.534 20 5 CFBDRN CC(C)CC1(C(=O)OC[C@H](C)[N+](=O)[O-])CCCC1 ZINC000813108638 631843052 /nfs/dbraw/zinc/84/30/52/631843052.db2.gz IZKJANUQVQSMED-NSHDSACASA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@@H](COC(=O)C[C@H]1CCCCC1(C)C)[N+](=O)[O-] ZINC000813108608 631843436 /nfs/dbraw/zinc/84/34/36/631843436.db2.gz IETNEIMUJYVULM-WDEREUQCSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1C ZINC000813109873 631843587 /nfs/dbraw/zinc/84/35/87/631843587.db2.gz XFQOGSLXTILOCZ-SECBINFHSA-N 0 0 271.700 2.779 20 5 CFBDRN C[C@H](COC(=O)[C@@H](F)CC1CCCCC1)[N+](=O)[O-] ZINC000813112072 631844876 /nfs/dbraw/zinc/84/48/76/631844876.db2.gz ZFZODLWDUYODJS-KOLCDFICSA-N 0 0 261.293 2.503 20 5 CFBDRN C[C@@H](COC(=O)c1sccc1SC(F)F)[N+](=O)[O-] ZINC000813113456 631845092 /nfs/dbraw/zinc/84/50/92/631845092.db2.gz QENQUYZYNCMLEG-YFKPBYRVSA-N 0 0 297.304 2.885 20 5 CFBDRN CC[C@H](CC1CCCC1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813114311 631846287 /nfs/dbraw/zinc/84/62/87/631846287.db2.gz PJPYLUIKQXWXHZ-ZYHUDNBSSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1cccc(C2(C(=O)OC[C@@H](C)[N+](=O)[O-])CCC2)c1 ZINC000813114648 631846393 /nfs/dbraw/zinc/84/63/93/631846393.db2.gz DZILZCJJVIUUFW-GFCCVEGCSA-N 0 0 277.320 2.625 20 5 CFBDRN COc1cccc(C(=O)OC[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000813128848 631855719 /nfs/dbraw/zinc/85/57/19/631855719.db2.gz DTCQFVQHWMDDRG-SECBINFHSA-N 0 0 279.292 2.806 20 5 CFBDRN CN(C(=O)[C@@H]1CC1[N+](=O)[O-])c1ccc(C(C)(C)C)cc1 ZINC000840280465 631861412 /nfs/dbraw/zinc/86/14/12/631861412.db2.gz HSBGDZRWVHZDDX-CHWSQXEVSA-N 0 0 276.336 2.612 20 5 CFBDRN O=C(Cc1cncs1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813242223 631891186 /nfs/dbraw/zinc/89/11/86/631891186.db2.gz NLXCKOZAUVNQRH-UHFFFAOYSA-N 0 0 297.723 2.886 20 5 CFBDRN CCN(CCSC)C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000843285331 631918609 /nfs/dbraw/zinc/91/86/09/631918609.db2.gz CRCNEYDFDSEFJZ-UHFFFAOYSA-N 0 0 299.421 2.977 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(C2CCCCCC2)no1 ZINC000843319690 631926826 /nfs/dbraw/zinc/92/68/26/631926826.db2.gz RKNWEAKBQSDRSX-NXEZZACHSA-N 0 0 251.286 2.640 20 5 CFBDRN COCC(C)(C)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000813626105 631942859 /nfs/dbraw/zinc/94/28/59/631942859.db2.gz SLJUUUPFSLFRKI-UHFFFAOYSA-N 0 0 280.324 2.882 20 5 CFBDRN CC[C@@H](C)CONc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000813622376 631942889 /nfs/dbraw/zinc/94/28/89/631942889.db2.gz PZUUSLLHZZIYKE-SECBINFHSA-N 0 0 293.323 2.869 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1snnc1C ZINC000813629980 631943884 /nfs/dbraw/zinc/94/38/84/631943884.db2.gz FNXXQRRHLJDMKM-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN Cc1ccoc1CN(C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000813632569 631943945 /nfs/dbraw/zinc/94/39/45/631943945.db2.gz JHKKJHBYNXMRGQ-UHFFFAOYSA-N 0 0 286.291 2.780 20 5 CFBDRN CCC/C=C\COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000813907666 631974899 /nfs/dbraw/zinc/97/48/99/631974899.db2.gz QBRXQCGTMOTQNA-DAXSKMNVSA-N 0 0 279.292 2.873 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C1(F)CC1 ZINC000843525543 631975811 /nfs/dbraw/zinc/97/58/11/631975811.db2.gz AQNQYHSXVAAEHF-UHFFFAOYSA-N 0 0 286.690 2.709 20 5 CFBDRN CCC/C=C\COC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000813911823 631976844 /nfs/dbraw/zinc/97/68/44/631976844.db2.gz HOFHQTHSSCECHU-PLNGDYQASA-N 0 0 293.275 2.837 20 5 CFBDRN CC(C)[C@H]1CC[C@H](C(=O)O[C@@H](C)C[N+](=O)[O-])CC1 ZINC000814034611 631996990 /nfs/dbraw/zinc/99/69/90/631996990.db2.gz IVFGUGSDWFCVBA-SRVKXCTJSA-N 0 0 257.330 2.657 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)SCc1ccccc1 ZINC000814033819 631997139 /nfs/dbraw/zinc/99/71/39/631997139.db2.gz DRKZAPMVZHKBPC-GHMZBOCLSA-N 0 0 283.349 2.517 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cccc(OC2CCCC2)c1 ZINC000814038039 631997951 /nfs/dbraw/zinc/99/79/51/631997951.db2.gz LFDOCTSWHUDDDQ-LLVKDONJSA-N 0 0 293.319 2.830 20 5 CFBDRN CCSc1cccc(C(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000814037302 631998066 /nfs/dbraw/zinc/99/80/66/631998066.db2.gz VPGIERMIRDAXEM-VIFPVBQESA-N 0 0 269.322 2.621 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C\c1nc2ccccc2s1 ZINC000814037118 631998116 /nfs/dbraw/zinc/99/81/16/631998116.db2.gz RNEWQTQOCWNSMR-NMTCXDENSA-N 0 0 292.316 2.518 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000814040310 631998851 /nfs/dbraw/zinc/99/88/51/631998851.db2.gz FOOYCAQSZFNJEY-HRDYMLBCSA-N 0 0 283.246 2.564 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(c2ccc(Cl)cc2)CC1 ZINC000814042442 631999580 /nfs/dbraw/zinc/99/95/80/631999580.db2.gz KPCGNSUGEGNAEY-VIFPVBQESA-N 0 0 283.711 2.580 20 5 CFBDRN COc1cc2ccccc2cc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814040983 631999619 /nfs/dbraw/zinc/99/96/19/631999619.db2.gz LZNAOEOJTIOAMD-JTQLQIEISA-N 0 0 289.287 2.670 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccccc2F)o1 ZINC000814041093 631999638 /nfs/dbraw/zinc/99/96/38/631999638.db2.gz NIXOZIDAVCNNBL-SECBINFHSA-N 0 0 293.250 2.908 20 5 CFBDRN CC[C@H](CC(=O)O[C@@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814042718 631999659 /nfs/dbraw/zinc/99/96/59/631999659.db2.gz MOQBUVASGFEWFP-NWDGAFQWSA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCC[C@@H]2CCCC[C@@H]12 ZINC000814041685 631999705 /nfs/dbraw/zinc/99/97/05/631999705.db2.gz RYLYBHVHMREFFG-YVECIDJPSA-N 0 0 269.341 2.801 20 5 CFBDRN Cc1cc(C)c(/C=C\C(=O)O[C@@H](C)C[N+](=O)[O-])cc1C ZINC000814043086 632000498 /nfs/dbraw/zinc/00/04/98/632000498.db2.gz AUKCXXOPSMQCCG-SZZPACECSA-N 0 0 277.320 2.833 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCCc1c[nH]c2ccccc12 ZINC000814047968 632001147 /nfs/dbraw/zinc/00/11/47/632001147.db2.gz ZIFSIQLEMIZUIT-LLVKDONJSA-N 0 0 290.319 2.699 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(C(F)(F)F)c(F)c1 ZINC000814045701 632001269 /nfs/dbraw/zinc/00/12/69/632001269.db2.gz XRZIIMCYZSUHNT-LURJTMIESA-N 0 0 295.188 2.667 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc2cc(F)ccc2s1 ZINC000814045897 632001330 /nfs/dbraw/zinc/00/13/30/632001330.db2.gz CIFZXPBKKPADOC-SSDOTTSWSA-N 0 0 283.280 2.862 20 5 CFBDRN CCSCc1ccccc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814048983 632002014 /nfs/dbraw/zinc/00/20/14/632002014.db2.gz PHXVUUKVOJIQSV-SNVBAGLBSA-N 0 0 283.349 2.762 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCOCC2CCCC2)c1 ZINC000840312513 632008233 /nfs/dbraw/zinc/00/82/33/632008233.db2.gz LAMRRABYVTZTMO-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@H](c2ccccc2)C1 ZINC000814226580 632040443 /nfs/dbraw/zinc/04/04/43/632040443.db2.gz YISLXMVLIDJNJM-AWEZNQCLSA-N 0 0 286.335 2.628 20 5 CFBDRN CCc1nc(C)c(C(=O)Oc2cccc([N+](=O)[O-])c2)o1 ZINC000814258993 632047413 /nfs/dbraw/zinc/04/74/13/632047413.db2.gz ULDRULBFCUGRKY-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCCOC(C)C ZINC000843753129 632049225 /nfs/dbraw/zinc/04/92/25/632049225.db2.gz KXGXCMOBGRRVKS-UHFFFAOYSA-N 0 0 298.314 2.587 20 5 CFBDRN CCCCNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843750835 632049501 /nfs/dbraw/zinc/04/95/01/632049501.db2.gz WYLFCOMEFIHQPU-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN CCOCCN(CC)C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843793806 632056600 /nfs/dbraw/zinc/05/66/00/632056600.db2.gz ZXVYYIIGZQILDJ-UHFFFAOYSA-N 0 0 298.314 2.541 20 5 CFBDRN O=C(CCc1cncs1)Oc1cccc([N+](=O)[O-])c1 ZINC000814384705 632058205 /nfs/dbraw/zinc/05/82/05/632058205.db2.gz WCKPRHWCCJJGJN-UHFFFAOYSA-N 0 0 278.289 2.590 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC=CCC1 ZINC000843802686 632058752 /nfs/dbraw/zinc/05/87/52/632058752.db2.gz ZYXCEILIWGWRFM-SNVBAGLBSA-N 0 0 278.283 2.881 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C)[C@@H]1C ZINC000843814895 632061287 /nfs/dbraw/zinc/06/12/87/632061287.db2.gz JORMSPVQOBMJNV-WPRPVWTQSA-N 0 0 280.299 2.913 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)[C@@H]1C ZINC000843814893 632061620 /nfs/dbraw/zinc/06/16/20/632061620.db2.gz JORMSPVQOBMJNV-SCZZXKLOSA-N 0 0 280.299 2.913 20 5 CFBDRN Cc1cccc(C(=O)OCCC2CCOCC2)c1[N+](=O)[O-] ZINC000814430223 632062867 /nfs/dbraw/zinc/06/28/67/632062867.db2.gz RYNSPSOACSFBDX-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N(C)CCC1CC1 ZINC000843831174 632063885 /nfs/dbraw/zinc/06/38/85/632063885.db2.gz JHAUILGNYNAMJG-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1sc(C(=O)OCCC2CCOCC2)cc1[N+](=O)[O-] ZINC000814438726 632065256 /nfs/dbraw/zinc/06/52/56/632065256.db2.gz GWHZOBSMGSWRMW-UHFFFAOYSA-N 0 0 299.348 2.938 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@H](C)C1 ZINC000843861385 632068589 /nfs/dbraw/zinc/06/85/89/632068589.db2.gz QQFKHAFMNPGFMR-WPRPVWTQSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC1(C)C ZINC000843887636 632071400 /nfs/dbraw/zinc/07/14/00/632071400.db2.gz YILLZBXKWIICIS-LLVKDONJSA-N 0 0 280.299 2.961 20 5 CFBDRN CC/C=C(\C)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000843965571 632085084 /nfs/dbraw/zinc/08/50/84/632085084.db2.gz FJRHDMKKLDFRSJ-WEVVVXLNSA-N 0 0 263.297 2.931 20 5 CFBDRN COC[C@@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000814630934 632100483 /nfs/dbraw/zinc/10/04/83/632100483.db2.gz WRDMKLRPIZKIJM-VIFPVBQESA-N 0 0 299.714 2.501 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCS[C@@H]1C ZINC000844105563 632119975 /nfs/dbraw/zinc/11/99/75/632119975.db2.gz GGMQXQGNFUEVOT-PSASIEDQSA-N 0 0 298.339 2.666 20 5 CFBDRN O=C(CCc1ccco1)OCc1ccccc1[N+](=O)[O-] ZINC000844255371 632147536 /nfs/dbraw/zinc/14/75/36/632147536.db2.gz QPNMNYFXCOKQRF-UHFFFAOYSA-N 0 0 275.260 2.864 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H](OC)C2CC2)c1 ZINC000814928042 632169182 /nfs/dbraw/zinc/16/91/82/632169182.db2.gz SXSVESPUTQJMMS-GFCCVEGCSA-N 0 0 284.287 2.579 20 5 CFBDRN Cc1ccc(OC(=O)C[C@@H](C)n2ccnc2)cc1[N+](=O)[O-] ZINC000844445710 632214857 /nfs/dbraw/zinc/21/48/57/632214857.db2.gz FWYLMILLOLGLHO-LLVKDONJSA-N 0 0 289.291 2.656 20 5 CFBDRN C[C@@H]1CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)[C@H]1C ZINC000815162057 632221090 /nfs/dbraw/zinc/22/10/90/632221090.db2.gz CBTMVOSHVNJDKR-BDAKNGLRSA-N 0 0 261.281 2.576 20 5 CFBDRN COC/C(C)=C\C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000844469501 632223710 /nfs/dbraw/zinc/22/37/10/632223710.db2.gz ZLBGTUONTYUVRS-UITAMQMPSA-N 0 0 299.710 2.884 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)O[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000815243233 632238220 /nfs/dbraw/zinc/23/82/20/632238220.db2.gz CZRGWFLBRVIZFV-BDAKNGLRSA-N 0 0 281.312 2.787 20 5 CFBDRN C[C@H](OC(=O)c1cc(C=O)c[nH]1)c1ccccc1[N+](=O)[O-] ZINC000815251101 632240596 /nfs/dbraw/zinc/24/05/96/632240596.db2.gz UENGJFYIFQCEGL-VIFPVBQESA-N 0 0 288.259 2.653 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000815407475 632269061 /nfs/dbraw/zinc/26/90/61/632269061.db2.gz VXUOJEUGHGOIOX-MRVPVSSYSA-N 0 0 285.683 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cc3n(n2)CCC3)cc1Cl ZINC000844764234 632302051 /nfs/dbraw/zinc/30/20/51/632302051.db2.gz SJXDSGVIBNRBLN-UHFFFAOYSA-N 0 0 293.710 2.970 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@]1(C)C[C@@]1(F)Cl)[N+](=O)[O-] ZINC000844799544 632310536 /nfs/dbraw/zinc/31/05/36/632310536.db2.gz CRWSGPXWQUNTBA-NEPJUHHUSA-N 0 0 294.754 2.643 20 5 CFBDRN C[C@]1(CCNC(=O)c2ccc([N+](=O)[O-])o2)C[C@@]1(F)Cl ZINC000844821095 632317215 /nfs/dbraw/zinc/31/72/15/632317215.db2.gz KRJJYXQGBMMGLU-QWRGUYRKSA-N 0 0 290.678 2.622 20 5 CFBDRN Cc1ccoc1COC(=O)c1ccccc1[N+](=O)[O-] ZINC000816101590 632372999 /nfs/dbraw/zinc/37/29/99/632372999.db2.gz ZQRPARHLPDSOLG-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN CCC1(COC(=O)c2ccc([N+](=O)[O-])cc2OC)CC1 ZINC000816187125 632391816 /nfs/dbraw/zinc/39/18/16/632391816.db2.gz DURRYZQQIUWFLM-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN COC/C(C)=C\C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000845241095 632392073 /nfs/dbraw/zinc/39/20/73/632392073.db2.gz BADWJZQVQLRRHD-HIJJYWJESA-N 0 0 279.292 2.792 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000816222955 632397008 /nfs/dbraw/zinc/39/70/08/632397008.db2.gz MNFHYFCUIDOYRR-NTZNESFSSA-N 0 0 295.339 2.549 20 5 CFBDRN Cn1cc(CSCc2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000845332432 632412373 /nfs/dbraw/zinc/41/23/73/632412373.db2.gz AILYSLKWGQCJCK-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN CO[C@H](COC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000816349918 632422397 /nfs/dbraw/zinc/42/23/97/632422397.db2.gz CZEOIJQJHMLIBE-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000816353110 632425605 /nfs/dbraw/zinc/42/56/05/632425605.db2.gz APIJPIQATIETCC-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN CCc1ccc(C(=O)OC[C@@H](OC)C2CC2)cc1[N+](=O)[O-] ZINC000816373403 632431490 /nfs/dbraw/zinc/43/14/90/632431490.db2.gz FXOUUOZLMKJMNE-CQSZACIVSA-N 0 0 293.319 2.739 20 5 CFBDRN CC(C)C[C@@H](O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000845477117 632442796 /nfs/dbraw/zinc/44/27/96/632442796.db2.gz YXYKRFIGNFKMEX-GFCCVEGCSA-N 0 0 289.335 2.962 20 5 CFBDRN O=C(OC[C@H]1CC1(F)F)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000816417480 632448400 /nfs/dbraw/zinc/44/84/00/632448400.db2.gz RZLGSDDTHXSRQE-MRVPVSSYSA-N 0 0 296.229 2.888 20 5 CFBDRN Cc1c(C(=O)OC[C@@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000816420063 632449768 /nfs/dbraw/zinc/44/97/68/632449768.db2.gz GXDSURSXBIGUCY-QMMMGPOBSA-N 0 0 271.219 2.715 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000845635980 632497092 /nfs/dbraw/zinc/49/70/92/632497092.db2.gz LDUDFCODIXHVLI-SKDRFNHKSA-N 0 0 297.311 2.712 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])[C@@](C)(O)c1ccccc1 ZINC000845653577 632505820 /nfs/dbraw/zinc/50/58/20/632505820.db2.gz ASWWYJATFHWIRP-XHDPSFHLSA-N 0 0 287.319 2.698 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@@](C)(O)c1ccccc1 ZINC000845657836 632508119 /nfs/dbraw/zinc/50/81/19/632508119.db2.gz VTBDCIAAYHFJKF-XHDPSFHLSA-N 0 0 287.319 2.698 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000845661882 632510223 /nfs/dbraw/zinc/51/02/23/632510223.db2.gz RTAKGDULUOWFJT-GXSJLCMTSA-N 0 0 295.295 2.507 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCC1(CO)CCC1 ZINC000845739774 632545529 /nfs/dbraw/zinc/54/55/29/632545529.db2.gz JXFYUWGTDAKUTJ-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCC2(CO)CCC2)c1 ZINC000845739475 632546587 /nfs/dbraw/zinc/54/65/87/632546587.db2.gz HPUKLAGTHHTPAU-UHFFFAOYSA-N 0 0 298.314 2.707 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@]2(CC2(F)F)C1 ZINC000845741501 632547487 /nfs/dbraw/zinc/54/74/87/632547487.db2.gz GBKMOZIDSBVBQR-LBPRGKRZSA-N 0 0 283.278 2.924 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@@]3(CC3(F)F)C2)c1 ZINC000845745037 632549713 /nfs/dbraw/zinc/54/97/13/632549713.db2.gz WWWVSCFHUSZYHP-GFCCVEGCSA-N 0 0 283.278 2.803 20 5 CFBDRN CC[C@H](COC(=O)c1ccc([N+](=O)[O-])cn1)CC(F)F ZINC000845759542 632554858 /nfs/dbraw/zinc/55/48/58/632554858.db2.gz WWCDJVFERJUSBW-QMMMGPOBSA-N 0 0 288.250 2.828 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@]2(CC2(F)F)C1 ZINC000845771161 632560153 /nfs/dbraw/zinc/56/01/53/632560153.db2.gz PEFJJWAHFPCASP-ZDUSSCGKSA-N 0 0 298.289 2.977 20 5 CFBDRN CC[C@H]1CN(c2cc(N)ccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000817126257 632561795 /nfs/dbraw/zinc/56/17/95/632561795.db2.gz WWLIFZCQIHKPDA-RYUDHWBXSA-N 0 0 279.340 2.571 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3nonc32)CCO1 ZINC000817124562 632561940 /nfs/dbraw/zinc/56/19/40/632561940.db2.gz HMFDJYGYSUZBFW-RKDXNWHRSA-N 0 0 292.295 2.501 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H](O)CC23CCC3)c(F)c1 ZINC000817131701 632563598 /nfs/dbraw/zinc/56/35/98/632563598.db2.gz LMFMTQMNHMNQED-JTQLQIEISA-N 0 0 298.289 2.757 20 5 CFBDRN CCOc1cc(COC(=O)[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000817232661 632577656 /nfs/dbraw/zinc/57/76/56/632577656.db2.gz LILCNTDMHUFUGW-LLVKDONJSA-N 0 0 291.303 2.837 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000817233824 632578470 /nfs/dbraw/zinc/57/84/70/632578470.db2.gz XHZCCGTZYOEVPI-ONGXEEELSA-N 0 0 261.277 2.999 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@H]1CCCO1 ZINC000817240046 632581374 /nfs/dbraw/zinc/58/13/74/632581374.db2.gz TWNHELCBTJYORU-LLVKDONJSA-N 0 0 279.292 2.547 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@H]1CCCCC1=O ZINC000817240197 632581390 /nfs/dbraw/zinc/58/13/90/632581390.db2.gz ADXPIMOTIFCRMZ-AWEZNQCLSA-N 0 0 291.303 2.880 20 5 CFBDRN CC[C@H](OC(=O)c1cc([N+](=O)[O-])cn1C)C(C)C ZINC000845851638 632581663 /nfs/dbraw/zinc/58/16/63/632581663.db2.gz YVACQQVPBYKDSP-NSHDSACASA-N 0 0 254.286 2.525 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCCCCCCO ZINC000817239855 632581630 /nfs/dbraw/zinc/58/16/30/632581630.db2.gz PMTCUJVFLVQEMF-UHFFFAOYSA-N 0 0 295.335 2.921 20 5 CFBDRN CO[C@H](C)CC(=O)O[C@@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845876029 632589006 /nfs/dbraw/zinc/58/90/06/632589006.db2.gz PHCSOSDBKNHSIZ-GPCCPHFNSA-N 0 0 299.298 2.500 20 5 CFBDRN CCCOCC(=O)O[C@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845873465 632589218 /nfs/dbraw/zinc/58/92/18/632589218.db2.gz DPQDARDTGJZTDK-HZMBPMFUSA-N 0 0 299.298 2.502 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1cccc(C)c1[N+](=O)[O-] ZINC000845904290 632595240 /nfs/dbraw/zinc/59/52/40/632595240.db2.gz QPBRHJZDOZIKMG-JTQLQIEISA-N 0 0 287.337 2.800 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NOC1CCCCC1 ZINC000845962629 632604874 /nfs/dbraw/zinc/60/48/74/632604874.db2.gz ZAHOKDQRPMEGCP-UHFFFAOYSA-N 0 0 296.298 2.657 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CC[C@@H]3C[C@@H]3C2)n1)[N+](=O)[O-] ZINC000817396812 632620068 /nfs/dbraw/zinc/62/00/68/632620068.db2.gz IGHBZRLJHLOWGH-GMTAPVOTSA-N 0 0 279.340 2.961 20 5 CFBDRN CSc1ccc(C(=O)NOCCC2CC2)cc1[N+](=O)[O-] ZINC000817416638 632626418 /nfs/dbraw/zinc/62/64/18/632626418.db2.gz OHTABIGRSYDQDO-UHFFFAOYSA-N 0 0 296.348 2.778 20 5 CFBDRN CCN(CCC1CC1)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867413095 632690655 /nfs/dbraw/zinc/69/06/55/632690655.db2.gz VKXLEYWFFRLCHD-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@@H]1CCO[C@@H]1C ZINC000817726882 632695115 /nfs/dbraw/zinc/69/51/15/632695115.db2.gz JDDXQZNMKBQISZ-ZWNOBZJWSA-N 0 0 279.292 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3C[C@@H]4C[C@H]3CS4)sc2c1 ZINC000840352295 632783135 /nfs/dbraw/zinc/78/31/35/632783135.db2.gz XRLUQVXZVLRFJT-IUCAKERBSA-N 0 0 293.373 2.899 20 5 CFBDRN CC(C)(C)n1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2)n1 ZINC000846764603 632791066 /nfs/dbraw/zinc/79/10/66/632791066.db2.gz UKLPOMPFXXYIBS-UHFFFAOYSA-N 0 0 289.291 2.766 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCC[C@H](C)F ZINC000818290428 632822549 /nfs/dbraw/zinc/82/25/49/632822549.db2.gz DNFJGOWLFCGHNF-JUDLJHIGSA-N 0 0 280.299 2.862 20 5 CFBDRN Cc1cc(N2CCO[C@H](CF)C2)c(Cl)cc1[N+](=O)[O-] ZINC000840356930 632824156 /nfs/dbraw/zinc/82/41/56/632824156.db2.gz FBWXGQYEKIHYJY-SECBINFHSA-N 0 0 288.706 2.731 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC[C@@H](C)F)c1[N+](=O)[O-] ZINC000818297064 632824293 /nfs/dbraw/zinc/82/42/93/632824293.db2.gz FSUPXPHLBYZPHB-MRVPVSSYSA-N 0 0 272.251 2.520 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](O)C[C@@H]2c2ccccc2)cc1 ZINC000840361902 632853377 /nfs/dbraw/zinc/85/33/77/632853377.db2.gz LFOHYGVPZBNWOU-JKSUJKDBSA-N 0 0 284.315 2.907 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCO[C@@H]2C)c1C ZINC000885423926 632867529 /nfs/dbraw/zinc/86/75/29/632867529.db2.gz FQGCEURKVNUHCA-VXGBXAGGSA-N 0 0 293.319 2.793 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H](C)O1 ZINC000840367305 632898352 /nfs/dbraw/zinc/89/83/52/632898352.db2.gz HYPBTYVXEZYUSZ-KXUCPTDWSA-N 0 0 295.295 2.728 20 5 CFBDRN Cc1noc([C@@H](C)N(C)Cc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000847060032 632906762 /nfs/dbraw/zinc/90/67/62/632906762.db2.gz XEMOMUXNCDFJKU-MRVPVSSYSA-N 0 0 294.286 2.618 20 5 CFBDRN CCCN(C(=O)c1cc(OC)ccc1[N+](=O)[O-])C(C)C ZINC000847173944 632944071 /nfs/dbraw/zinc/94/40/71/632944071.db2.gz UYXOUWNSTBKJMA-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN C[C@H](COC(=O)C[C@H]1OCc2cc(Cl)ccc21)[N+](=O)[O-] ZINC000847713969 633051787 /nfs/dbraw/zinc/05/17/87/633051787.db2.gz HAUZJDNASXQWBR-PRHODGIISA-N 0 0 299.710 2.510 20 5 CFBDRN C[C@H](COC(=O)c1ccc(C(F)F)cc1F)[N+](=O)[O-] ZINC000847714301 633051871 /nfs/dbraw/zinc/05/18/71/633051871.db2.gz PPERJYAGXDGXIY-ZCFIWIBFSA-N 0 0 277.198 2.585 20 5 CFBDRN CCCC[C@H](CC)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847781781 633075842 /nfs/dbraw/zinc/07/58/42/633075842.db2.gz LKDPIUADEPMHLG-JTQLQIEISA-N 0 0 283.328 2.588 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847785085 633076395 /nfs/dbraw/zinc/07/63/95/633076395.db2.gz FDFVNJKSPAZXDD-PWSUYJOCSA-N 0 0 295.339 2.588 20 5 CFBDRN C[C@@H](CCC(C)(C)C)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847784305 633076519 /nfs/dbraw/zinc/07/65/19/633076519.db2.gz QUBJYTXRSMWCKL-JTQLQIEISA-N 0 0 297.355 2.834 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@H](C)C1CCCC1 ZINC000847801059 633078482 /nfs/dbraw/zinc/07/84/82/633078482.db2.gz AIEJTZHSKXEUOP-SNVBAGLBSA-N 0 0 295.339 2.612 20 5 CFBDRN O=C(OC[C@@H]1CCc2cccnc21)c1ccccc1[N+](=O)[O-] ZINC000847835766 633103989 /nfs/dbraw/zinc/10/39/89/633103989.db2.gz YCBGPYJKYMGRNK-LBPRGKRZSA-N 0 0 298.298 2.877 20 5 CFBDRN COC[C@H](C)CCOC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000847874607 633122176 /nfs/dbraw/zinc/12/21/76/633122176.db2.gz KCOFPTNVXKUJCO-GFCCVEGCSA-N 0 0 295.335 2.743 20 5 CFBDRN COC[C@@H](C)CCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000847875484 633122925 /nfs/dbraw/zinc/12/29/25/633122925.db2.gz SALPTGLFYYZONC-NSHDSACASA-N 0 0 281.308 2.733 20 5 CFBDRN COC[C@H](C)CCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000847877351 633123947 /nfs/dbraw/zinc/12/39/47/633123947.db2.gz SBTNTESWPPHIKP-VXGBXAGGSA-N 0 0 295.335 2.914 20 5 CFBDRN COC[C@@H](C)CCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000847876780 633124289 /nfs/dbraw/zinc/12/42/89/633124289.db2.gz MNRCNZKOMVRBNH-NSHDSACASA-N 0 0 295.335 2.662 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2ccc(CC)c([N+](=O)[O-])c2)C1 ZINC000847922214 633146421 /nfs/dbraw/zinc/14/64/21/633146421.db2.gz ZDWBZJBBTORAOD-JOCQHMNTSA-N 0 0 293.319 2.882 20 5 CFBDRN C[C@H](NC(=O)/C=C\[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000819461930 633169368 /nfs/dbraw/zinc/16/93/68/633169368.db2.gz GTINRBUEHGOLQS-RZRXIGATSA-N 0 0 290.319 2.507 20 5 CFBDRN CCCOCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000107413521 633211864 /nfs/dbraw/zinc/21/18/64/633211864.db2.gz REQKRLCVPDGVFC-VMPITWQZSA-N 0 0 279.292 2.578 20 5 CFBDRN C[C@H]1C[C@H]1C(N)=NOCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000848520903 633274813 /nfs/dbraw/zinc/27/48/13/633274813.db2.gz RXWADYXIMJTXQS-OIBJUYFYSA-N 0 0 283.715 2.903 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CC3(F)F)C2)c(F)c1 ZINC000848741614 633331707 /nfs/dbraw/zinc/33/17/07/633331707.db2.gz AQZLBNRXDFFDSW-UHFFFAOYSA-N 0 0 258.199 2.579 20 5 CFBDRN Nc1ccc(N[C@@H](C2CC2)[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000848744469 633332136 /nfs/dbraw/zinc/33/21/36/633332136.db2.gz NLLWCNSOTFBQKC-GJZGRUSLSA-N 0 0 291.351 2.937 20 5 CFBDRN Nc1ccc(N[C@H](C2CC2)[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000848744471 633332332 /nfs/dbraw/zinc/33/23/32/633332332.db2.gz NLLWCNSOTFBQKC-LSDHHAIUSA-N 0 0 291.351 2.937 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CC23CC3)cc1Cl ZINC000819627603 633402212 /nfs/dbraw/zinc/40/22/12/633402212.db2.gz WIMJGGRFBRRHBT-LLVKDONJSA-N 0 0 280.711 2.839 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@]1(F)c1ccccc1)[N+](=O)[O-] ZINC000819629678 633403988 /nfs/dbraw/zinc/40/39/88/633403988.db2.gz VIHPCBLOIJNVPY-DOMZBBRYSA-N 0 0 294.326 2.575 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1cccnc1C ZINC000108152600 633469249 /nfs/dbraw/zinc/46/92/49/633469249.db2.gz CGTTUCRUVZJLQH-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=S)NCC1CC1 ZINC000849251703 633591784 /nfs/dbraw/zinc/59/17/84/633591784.db2.gz OACIZEBAZNSDCZ-UHFFFAOYSA-N 0 0 279.365 2.908 20 5 CFBDRN CC(C)(NC(=S)NCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000849264503 633598468 /nfs/dbraw/zinc/59/84/68/633598468.db2.gz NYNKGAUBPAHFJS-UHFFFAOYSA-N 0 0 293.392 2.704 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1CCO[C@H]1CC1(F)F ZINC000849313248 633612826 /nfs/dbraw/zinc/61/28/26/633612826.db2.gz QMIWCNPUWVRCPY-ZANVPECISA-N 0 0 298.289 2.770 20 5 CFBDRN Cc1cc(CN2c3ccc([N+](=O)[O-])cc3C[C@H]2C)nc(C)n1 ZINC000849313008 633612975 /nfs/dbraw/zinc/61/29/75/633612975.db2.gz NHWHCHHTYPULLM-LLVKDONJSA-N 0 0 298.346 2.953 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])s1)C1CCC1 ZINC000849624958 633644127 /nfs/dbraw/zinc/64/41/27/633644127.db2.gz PRXDUDHFWAATJL-SECBINFHSA-N 0 0 285.321 2.628 20 5 CFBDRN O=C(CSC(F)F)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849659519 633661404 /nfs/dbraw/zinc/66/14/04/633661404.db2.gz JILUBFXUAKUHQQ-UHFFFAOYSA-N 0 0 295.238 2.733 20 5 CFBDRN CO[C@@H](COC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CCC1 ZINC000849677787 633667833 /nfs/dbraw/zinc/66/78/33/633667833.db2.gz HOWMPLKNUWOZRL-NSHDSACASA-N 0 0 299.348 2.937 20 5 CFBDRN CC[C@H](C)OCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849746445 633699944 /nfs/dbraw/zinc/69/99/44/633699944.db2.gz ONHRUOMVIVRTPV-VIFPVBQESA-N 0 0 285.271 2.592 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NCC1CCC1 ZINC000930156500 633744897 /nfs/dbraw/zinc/74/48/97/633744897.db2.gz WMGGHPCXHIRLDA-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN Cc1nc(C)c(COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)o1 ZINC000849956232 633775164 /nfs/dbraw/zinc/77/51/64/633775164.db2.gz IFMRALFRPLJCMI-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN CSC(C)(C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849989690 633785313 /nfs/dbraw/zinc/78/53/13/633785313.db2.gz UXNGAUYBNCAVSB-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN CC(C)=CCCn1cc([N+](=O)[O-])nc1Br ZINC000849993300 633786212 /nfs/dbraw/zinc/78/62/12/633786212.db2.gz UTGXNKXARSMPSZ-UHFFFAOYSA-N 0 0 274.118 2.910 20 5 CFBDRN CC(C)(C)CCn1cc([N+](=O)[O-])nc1Br ZINC000849992187 633786309 /nfs/dbraw/zinc/78/63/09/633786309.db2.gz QEYICYJFRGJEGX-UHFFFAOYSA-N 0 0 276.134 2.990 20 5 CFBDRN CO[C@H](C(=O)OCc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000850037618 633798983 /nfs/dbraw/zinc/79/89/83/633798983.db2.gz KTANPMPTGFRAFU-AWEZNQCLSA-N 0 0 293.319 2.843 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000850068672 633820319 /nfs/dbraw/zinc/82/03/19/633820319.db2.gz HNKMSSKFSBMGMA-NSHDSACASA-N 0 0 293.319 2.953 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)C1CC2(CC2)C1 ZINC000850212570 633896401 /nfs/dbraw/zinc/89/64/01/633896401.db2.gz HYGPFRLPICXQRE-UHFFFAOYSA-N 0 0 261.277 2.828 20 5 CFBDRN C[C@@H]1CO[C@@H](C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000885575286 633923675 /nfs/dbraw/zinc/92/36/75/633923675.db2.gz XQFFUEGXEKRLKU-QPUJVOFHSA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H](C)CO1)c1ccccc1[N+](=O)[O-] ZINC000885575476 633931022 /nfs/dbraw/zinc/93/10/22/633931022.db2.gz BHDQRRFYBYGOGS-CWSCBRNRSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H](CC(=O)Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000850901048 634129886 /nfs/dbraw/zinc/12/98/86/634129886.db2.gz LKWMYZMABZIOMW-NOZJJQNGSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000850910894 634134466 /nfs/dbraw/zinc/13/44/66/634134466.db2.gz KNAUJXBKUZZYKF-URLYPYJESA-N 0 0 261.277 2.855 20 5 CFBDRN Cc1cnc(COC(=O)[C@H]2C[C@H]3C[C@H]3C2)c(C)c1[N+](=O)[O-] ZINC000850978934 634163283 /nfs/dbraw/zinc/16/32/83/634163283.db2.gz CLJGYCHONDUAJT-GDNZZTSVSA-N 0 0 290.319 2.696 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccc(C)c([N+](=O)[O-])c1)C1CCC1 ZINC000851054565 634198326 /nfs/dbraw/zinc/19/83/26/634198326.db2.gz PPQLYHIOEXFAMI-CQSZACIVSA-N 0 0 293.319 2.762 20 5 CFBDRN CC(C)(COC(=O)c1ccc(Cl)s1)[N+](=O)[O-] ZINC000851123333 634234352 /nfs/dbraw/zinc/23/43/52/634234352.db2.gz PMTQUGHQTGCKFT-UHFFFAOYSA-N 0 0 263.702 2.614 20 5 CFBDRN CC(C)(COC(=O)Cc1ccc2ccccc2c1)[N+](=O)[O-] ZINC000851123889 634234900 /nfs/dbraw/zinc/23/49/00/634234900.db2.gz JZGCZMNAIUJXRM-UHFFFAOYSA-N 0 0 287.315 2.981 20 5 CFBDRN Cc1ccc(CCCC(=O)OCC(C)(C)[N+](=O)[O-])s1 ZINC000851125281 634235596 /nfs/dbraw/zinc/23/55/96/634235596.db2.gz ADCVVDUDBLPYFQ-UHFFFAOYSA-N 0 0 285.365 2.978 20 5 CFBDRN C[C@@H](CC(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1F ZINC000851125414 634235621 /nfs/dbraw/zinc/23/56/21/634235621.db2.gz FSPABKNJWFPBJW-JTQLQIEISA-N 0 0 283.299 2.918 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CCCc2ccccc21)[N+](=O)[O-] ZINC000851124526 634235736 /nfs/dbraw/zinc/23/57/36/634235736.db2.gz ZEYRXKDMFPGOIE-ZDUSSCGKSA-N 0 0 277.320 2.705 20 5 CFBDRN CSc1ccsc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125983 634236625 /nfs/dbraw/zinc/23/66/25/634236625.db2.gz KBISBDNSHXFHTM-UHFFFAOYSA-N 0 0 275.351 2.682 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CCCc2sccc21)[N+](=O)[O-] ZINC000851126073 634236681 /nfs/dbraw/zinc/23/66/81/634236681.db2.gz LXVQJPQUDYUUFM-JTQLQIEISA-N 0 0 283.349 2.767 20 5 CFBDRN CC(C)(COC(=O)[C@]1(C)CCCc2ccccc21)[N+](=O)[O-] ZINC000851126146 634236697 /nfs/dbraw/zinc/23/66/97/634236697.db2.gz ODFNRHNXVXQDIT-MRXNPFEDSA-N 0 0 291.347 2.879 20 5 CFBDRN CCCOc1cccc(CC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851127986 634237519 /nfs/dbraw/zinc/23/75/19/634237519.db2.gz COFSPSIFLUTTFM-UHFFFAOYSA-N 0 0 295.335 2.616 20 5 CFBDRN Cc1nc(CC2CC2)sc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851128227 634237875 /nfs/dbraw/zinc/23/78/75/634237875.db2.gz NQCFDOYKWONJNQ-UHFFFAOYSA-N 0 0 298.364 2.616 20 5 CFBDRN Cc1ccc2snc(C(=O)OCC(C)(C)[N+](=O)[O-])c2c1 ZINC000851130245 634239789 /nfs/dbraw/zinc/23/97/89/634239789.db2.gz YHRATKBKNHFNHD-UHFFFAOYSA-N 0 0 294.332 2.817 20 5 CFBDRN O=C(OC[C@H]1CCC[C@H](C(F)(F)F)C1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000851158717 634252282 /nfs/dbraw/zinc/25/22/82/634252282.db2.gz ZRXLBEWRVVLGMT-AXTSPUMRSA-N 0 0 295.257 2.564 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000851228953 634281648 /nfs/dbraw/zinc/28/16/48/634281648.db2.gz GJYBXHOXWVCVEX-ZSBIGDGJSA-N 0 0 291.303 2.563 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000851229250 634281768 /nfs/dbraw/zinc/28/17/68/634281768.db2.gz CVPKTSHQWXEEPJ-ZSBIGDGJSA-N 0 0 291.303 2.563 20 5 CFBDRN C[C@]1(COC(=O)Cc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000851259136 634296177 /nfs/dbraw/zinc/29/61/77/634296177.db2.gz YZZZTXGFUALDDJ-GFCCVEGCSA-N 0 0 285.246 2.726 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OC[C@H]1C[C@H]2C[C@H]2C1 ZINC000851414045 634357768 /nfs/dbraw/zinc/35/77/68/634357768.db2.gz XWSZZXOQMKFQEU-CNDDSTCGSA-N 0 0 291.303 2.563 20 5 CFBDRN O=[N+]([O-])c1cn(CCC2SCCS2)nc1C1CC1 ZINC000853019968 634878060 /nfs/dbraw/zinc/87/80/60/634878060.db2.gz PTWBMPMGGLRMEN-UHFFFAOYSA-N 0 0 285.394 2.865 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCCCO2)c([N+](=O)[O-])cc1C ZINC000853225498 634944229 /nfs/dbraw/zinc/94/42/29/634944229.db2.gz PIDUFLSTWDTTHS-LBPRGKRZSA-N 0 0 294.351 2.917 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)c(C)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000853312908 634965652 /nfs/dbraw/zinc/96/56/52/634965652.db2.gz AEFBYFJJWOCIQI-NWDGAFQWSA-N 0 0 294.351 2.916 20 5 CFBDRN Cc1cc(NCCOc2cccnc2)c([N+](=O)[O-])s1 ZINC000853335256 634974000 /nfs/dbraw/zinc/97/40/00/634974000.db2.gz NQRJIDMJEHEEBQ-UHFFFAOYSA-N 0 0 279.321 2.851 20 5 CFBDRN COc1cc(N2CC[C@@H]3C[C@@H]32)c(Cl)cc1[N+](=O)[O-] ZINC000853569305 635038012 /nfs/dbraw/zinc/03/80/12/635038012.db2.gz OWZGVKSOLURAAP-APPZFPTMSA-N 0 0 268.700 2.855 20 5 CFBDRN Cc1nn(CN2CCC[C@H](C3CCC3)C2)cc1[N+](=O)[O-] ZINC000853590382 635043105 /nfs/dbraw/zinc/04/31/05/635043105.db2.gz UUSIYXTXZFSLBE-ZDUSSCGKSA-N 0 0 278.356 2.569 20 5 CFBDRN Cc1ncoc1CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000853641029 635057393 /nfs/dbraw/zinc/05/73/93/635057393.db2.gz VRLJCXQUNSECAJ-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN CCCC(N)=NOCc1ccc(F)cc1[N+](=O)[O-] ZINC000853665909 635067355 /nfs/dbraw/zinc/06/73/55/635067355.db2.gz FWSSMDJMCVIQSF-UHFFFAOYSA-N 0 0 255.249 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC(F)F)c2cccnc21 ZINC000853688393 635074856 /nfs/dbraw/zinc/07/48/56/635074856.db2.gz AEGZVCYAWBVVMZ-UHFFFAOYSA-N 0 0 284.218 2.761 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1CCO[C@@H](CF)C1 ZINC000853689674 635075191 /nfs/dbraw/zinc/07/51/91/635075191.db2.gz VIBDYPFOSIAWSY-VIFPVBQESA-N 0 0 290.241 2.707 20 5 CFBDRN COC1CC(CCNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000853748479 635092474 /nfs/dbraw/zinc/09/24/74/635092474.db2.gz NPRXSSRNSAQAJA-UHFFFAOYSA-N 0 0 295.295 2.730 20 5 CFBDRN COC1CC(CCNc2nc(C)ccc2[N+](=O)[O-])C1 ZINC000853748412 635092889 /nfs/dbraw/zinc/09/28/89/635092889.db2.gz DWAPTGGNTNHJCY-UHFFFAOYSA-N 0 0 265.313 2.525 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1COC(C)(C)C1 ZINC000853751005 635093297 /nfs/dbraw/zinc/09/32/97/635093297.db2.gz HQBBRTJDLHQYGB-SNVBAGLBSA-N 0 0 278.308 2.777 20 5 CFBDRN COCC1(Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCCC1 ZINC000853757214 635096670 /nfs/dbraw/zinc/09/66/70/635096670.db2.gz IYFCMENRRZLIIT-UHFFFAOYSA-N 0 0 295.295 2.874 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H](CO)C3CCC3)sc2c1 ZINC000853761845 635098289 /nfs/dbraw/zinc/09/82/89/635098289.db2.gz YIDGCLXGLVVWOF-LLVKDONJSA-N 0 0 293.348 2.777 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSCCOC(F)F ZINC000853764680 635098737 /nfs/dbraw/zinc/09/87/37/635098737.db2.gz KHETVSCFHBBGSR-UHFFFAOYSA-N 0 0 293.291 2.946 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSCCOC(F)F)c1 ZINC000853764852 635099153 /nfs/dbraw/zinc/09/91/53/635099153.db2.gz QGQVBJMJZDYOLY-UHFFFAOYSA-N 0 0 293.291 2.946 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCC=C(Cl)Cl)c1 ZINC000853782703 635104716 /nfs/dbraw/zinc/10/47/16/635104716.db2.gz KIMJRYHIGZRSNQ-UHFFFAOYSA-N 0 0 282.152 2.844 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2cc(N)ccc2[N+](=O)[O-])O1 ZINC000853850876 635132682 /nfs/dbraw/zinc/13/26/82/635132682.db2.gz XBZRIFCALJEJTA-ONGXEEELSA-N 0 0 265.313 2.547 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2[C@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000853861462 635136754 /nfs/dbraw/zinc/13/67/54/635136754.db2.gz BGDVEYIIMFBRQG-GXSJLCMTSA-N 0 0 284.743 2.906 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1C[C@H](O)C12CCC2 ZINC000853870707 635142574 /nfs/dbraw/zinc/14/25/74/635142574.db2.gz MXDDTSRJMXIZQH-KGLIPLIRSA-N 0 0 290.319 2.513 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1cc(F)ccc1[N+](=O)[O-] ZINC000853893798 635148664 /nfs/dbraw/zinc/14/86/64/635148664.db2.gz ISFDJJFROCVIJI-ILWJIGKKSA-N 0 0 279.267 2.937 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000853901235 635151519 /nfs/dbraw/zinc/15/15/19/635151519.db2.gz VUWZOBBIIWYKIU-WCBMZHEXSA-N 0 0 282.296 2.761 20 5 CFBDRN CC1(C)[C@@H](CNc2cc(N)ccc2[N+](=O)[O-])C1(F)F ZINC000853904561 635155176 /nfs/dbraw/zinc/15/51/76/635155176.db2.gz SCOHKOILGLDTPJ-SNVBAGLBSA-N 0 0 271.267 2.880 20 5 CFBDRN CCc1ccccc1C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853905164 635155232 /nfs/dbraw/zinc/15/52/32/635155232.db2.gz NOFJRQDUJQGXSP-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000853908853 635157656 /nfs/dbraw/zinc/15/76/56/635157656.db2.gz ACZJPOHNQKFUHX-VIFPVBQESA-N 0 0 282.296 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](CC(F)(F)F)C2)cc1 ZINC000853979861 635183433 /nfs/dbraw/zinc/18/34/33/635183433.db2.gz YDPOXWFEFLZQEO-LLVKDONJSA-N 0 0 290.241 2.752 20 5 CFBDRN CC[C@@H](NC)c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000854142766 635229758 /nfs/dbraw/zinc/22/97/58/635229758.db2.gz YZPBAAGIVSPABV-LLVKDONJSA-N 0 0 290.323 2.548 20 5 CFBDRN CSC(C)(C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000854415968 635300931 /nfs/dbraw/zinc/30/09/31/635300931.db2.gz LLEZWTUVKATAQJ-UHFFFAOYSA-N 0 0 296.392 2.737 20 5 CFBDRN C[C@H]1CCC[C@]1(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854494432 635316858 /nfs/dbraw/zinc/31/68/58/635316858.db2.gz BWIISWCOVVLLRM-XPTSAGLGSA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@@H](CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000854496605 635317362 /nfs/dbraw/zinc/31/73/62/635317362.db2.gz NZWACORPZWDWSC-QMMMGPOBSA-N 0 0 263.297 2.552 20 5 CFBDRN C[C@H]1CCCC[C@@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496688 635317443 /nfs/dbraw/zinc/31/74/43/635317443.db2.gz OOYXJNIZQDPIGK-ONGXEEELSA-N 0 0 277.324 2.942 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000854678545 635363559 /nfs/dbraw/zinc/36/35/59/635363559.db2.gz FBCRNTPMSCIMEQ-ZKYQVNSYSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1cc(C(=O)OCCCOC(C)C)ccc1[N+](=O)[O-] ZINC000113482898 635598181 /nfs/dbraw/zinc/59/81/81/635598181.db2.gz NMIGEDVKXDRJRE-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN C[C@]1(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000855801552 635654336 /nfs/dbraw/zinc/65/43/36/635654336.db2.gz QMBNIGDZIQVXOI-LLPBQKLSSA-N 0 0 296.273 2.770 20 5 CFBDRN O=C(NOCC1CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000856344548 635787932 /nfs/dbraw/zinc/78/79/32/635787932.db2.gz MGMUQKKGPFNVFG-UHFFFAOYSA-N 0 0 289.291 2.538 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC[C@H]2CC2(F)F)c1 ZINC000856779162 635858462 /nfs/dbraw/zinc/85/84/62/635858462.db2.gz UTKRNRSJPRHGRS-ZETCQYMHSA-N 0 0 257.240 2.634 20 5 CFBDRN O=c1[nH]ccc(NCC[C@@H]2CC2(Cl)Cl)c1[N+](=O)[O-] ZINC000856784218 635858875 /nfs/dbraw/zinc/85/88/75/635858875.db2.gz UDKQYNITAYFNNN-ZCFIWIBFSA-N 0 0 292.122 2.691 20 5 CFBDRN CCn1cc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000114621723 635866517 /nfs/dbraw/zinc/86/65/17/635866517.db2.gz SQNMLDYEGWWHHH-UHFFFAOYSA-N 0 0 295.682 2.684 20 5 CFBDRN CC(C)(COC(=O)c1cc(C(F)F)ccc1F)[N+](=O)[O-] ZINC000857037588 635914015 /nfs/dbraw/zinc/91/40/15/635914015.db2.gz ZFBVJIYIFCPBEW-UHFFFAOYSA-N 0 0 291.225 2.975 20 5 CFBDRN Cc1cc(C)c(OC(=O)CCCF)c([N+](=O)[O-])c1 ZINC000857573220 635985311 /nfs/dbraw/zinc/98/53/11/635985311.db2.gz XHUJEIURHFVVNB-UHFFFAOYSA-N 0 0 255.245 2.867 20 5 CFBDRN CSC(C)(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000857572297 635985605 /nfs/dbraw/zinc/98/56/05/635985605.db2.gz XOIHGSPXOPRGGZ-UHFFFAOYSA-N 0 0 268.338 2.983 20 5 CFBDRN CSC(C)(C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000857573692 635985699 /nfs/dbraw/zinc/98/56/99/635985699.db2.gz MKYQFFVGQBREHB-UHFFFAOYSA-N 0 0 280.349 2.626 20 5 CFBDRN CO[C@H](C(=O)Oc1c(C)cccc1[N+](=O)[O-])C1CCC1 ZINC000857600186 635991962 /nfs/dbraw/zinc/99/19/62/635991962.db2.gz MNJTYLPFLHJPJD-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCCF ZINC000857617053 635995470 /nfs/dbraw/zinc/99/54/70/635995470.db2.gz CBIYUODUKQTNGH-UHFFFAOYSA-N 0 0 258.224 2.731 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857636327 635999717 /nfs/dbraw/zinc/99/97/17/635999717.db2.gz IYYDFQRFCHBGSS-PRHODGIISA-N 0 0 294.263 2.845 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(C(F)F)nc1)[N+](=O)[O-] ZINC000857643706 636000616 /nfs/dbraw/zinc/00/06/16/636000616.db2.gz INBXRJYLNIPGKN-UHFFFAOYSA-N 0 0 287.266 2.793 20 5 CFBDRN C/C=C/C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000857666277 636006421 /nfs/dbraw/zinc/00/64/21/636006421.db2.gz TZZQVGOZDNTBOR-GORDUTHDSA-N 0 0 260.293 2.840 20 5 CFBDRN Cc1cc(O)ccc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000012021357 636027664 /nfs/dbraw/zinc/02/76/64/636027664.db2.gz FCRYJTZHHPCFLP-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCc3cccnc32)n1 ZINC000857902106 636054749 /nfs/dbraw/zinc/05/47/49/636054749.db2.gz QIMQBYWUQYQMJW-GFCCVEGCSA-N 0 0 284.319 2.835 20 5 CFBDRN C[C@]1(Br)C[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000858097346 636124098 /nfs/dbraw/zinc/12/40/98/636124098.db2.gz JKVDWCMFFQUHDK-ONGXEEELSA-N 0 0 299.124 2.707 20 5 CFBDRN CSc1ccc(C(=O)OC2CCOCC2)cc1[N+](=O)[O-] ZINC000158757532 650236620 /nfs/dbraw/zinc/23/66/20/650236620.db2.gz PLXQUHCUJKNKKX-UHFFFAOYSA-N 0 0 297.332 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2cn[nH]c2)c(F)c1 ZINC000858618869 636310208 /nfs/dbraw/zinc/31/02/08/636310208.db2.gz HXAAOZUSNZCFRZ-LBPRGKRZSA-N 0 0 276.271 2.799 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000858690053 636325926 /nfs/dbraw/zinc/32/59/26/636325926.db2.gz NQDXTEVXLMXENV-MRVPVSSYSA-N 0 0 298.245 2.547 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000858690903 636327200 /nfs/dbraw/zinc/32/72/00/636327200.db2.gz XDEXDIJDPKCLJA-JTQLQIEISA-N 0 0 296.710 2.922 20 5 CFBDRN CCC(=O)c1ccc(N2CC3(C2)CCCO3)c([N+](=O)[O-])c1 ZINC000858722679 636332698 /nfs/dbraw/zinc/33/26/98/636332698.db2.gz RQRDHRZKQOOHOK-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3nc(C4CC4)ncc3C2)cc1 ZINC000858768009 636343150 /nfs/dbraw/zinc/34/31/50/636343150.db2.gz HZTQROFSLMKCDR-UHFFFAOYSA-N 0 0 296.330 2.825 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)c1 ZINC000858777591 636344173 /nfs/dbraw/zinc/34/41/73/636344173.db2.gz LJDWRIDGTSFELU-YFBYITSOSA-N 0 0 298.314 2.966 20 5 CFBDRN C/C=C/CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000858800768 636347385 /nfs/dbraw/zinc/34/73/85/636347385.db2.gz VDQVMGXVVCXNGI-NSCUHMNNSA-N 0 0 277.280 2.539 20 5 CFBDRN CCCOCCOC(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000858878750 636367650 /nfs/dbraw/zinc/36/76/50/636367650.db2.gz WGRBZXOXTOISGF-UHFFFAOYSA-N 0 0 299.279 2.545 20 5 CFBDRN CCCCOC(=O)COc1cccc([N+](=O)[O-])c1C ZINC000048022713 650252619 /nfs/dbraw/zinc/25/26/19/650252619.db2.gz KICWKTHEDOQSIH-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN C[C@H](NCc1cc(Cl)n(C)n1)c1ccccc1[N+](=O)[O-] ZINC000859237154 636518836 /nfs/dbraw/zinc/51/88/36/636518836.db2.gz PISKBFURBZADOP-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN CC1(C)C(C(=O)COC(=O)c2ccc([N+](=O)[O-])o2)C1(C)C ZINC000859451193 636569144 /nfs/dbraw/zinc/56/91/44/636569144.db2.gz YBZVFGNHPQXIQZ-UHFFFAOYSA-N 0 0 295.291 2.596 20 5 CFBDRN CC(C)(C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859505967 636583461 /nfs/dbraw/zinc/58/34/61/636583461.db2.gz WJSATZSJMUILJQ-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN CCO[C@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000859551800 636597889 /nfs/dbraw/zinc/59/78/89/636597889.db2.gz GYXYJNZOLKUJCN-CYBMUJFWSA-N 0 0 297.282 2.706 20 5 CFBDRN CCC[C@@H](C)CCCOC(=O)c1c([N+](=O)[O-])cnn1C ZINC000859617838 636624397 /nfs/dbraw/zinc/62/43/97/636624397.db2.gz CYHAAGXNVSYRMK-SNVBAGLBSA-N 0 0 283.328 2.702 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@@H]2C[C@@H]2C)c1F ZINC000859652489 636639231 /nfs/dbraw/zinc/63/92/31/636639231.db2.gz WZUMVTDJTWIQRD-CBAPKCEASA-N 0 0 267.256 2.855 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000859704555 636658992 /nfs/dbraw/zinc/65/89/92/636658992.db2.gz RNVNVPHBXBCDOY-DTWKUNHWSA-N 0 0 296.298 2.613 20 5 CFBDRN COCCCCCOC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000116991460 636715166 /nfs/dbraw/zinc/71/51/66/636715166.db2.gz SNLMDUGGVDTYCE-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CCC[C@@H](OCC)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000859835483 636716728 /nfs/dbraw/zinc/71/67/28/636716728.db2.gz WBVXWIBDZNTFBA-CYBMUJFWSA-N 0 0 281.308 2.843 20 5 CFBDRN CCO[C@H](C(=O)OCc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000859863568 636729603 /nfs/dbraw/zinc/72/96/03/636729603.db2.gz CNLGSBMGOWLYOD-ZDUSSCGKSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@H](O)c1ccc(CNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000048894422 650282486 /nfs/dbraw/zinc/28/24/86/650282486.db2.gz HNHKTOQILXTDPE-JTQLQIEISA-N 0 0 273.292 2.655 20 5 CFBDRN C[C@@H]1C[C@H](CO)CCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000860083649 636782142 /nfs/dbraw/zinc/78/21/42/636782142.db2.gz FLTCOLNJUZNOHK-GHMZBOCLSA-N 0 0 298.770 2.841 20 5 CFBDRN CC/C=C(\C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000194540342 636786388 /nfs/dbraw/zinc/78/63/88/636786388.db2.gz VKHYQLSXNDEOPV-YRNVUSSQSA-N 0 0 262.309 2.910 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccoc2)c([N+](=O)[O-])c1 ZINC000049000170 650284788 /nfs/dbraw/zinc/28/47/88/650284788.db2.gz WZZQJBAPAQEJFG-UHFFFAOYSA-N 0 0 263.209 2.708 20 5 CFBDRN CC[C@H](C)CS(=O)(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000160028268 650289754 /nfs/dbraw/zinc/28/97/54/650289754.db2.gz NCXVPOALENESAU-VIFPVBQESA-N 0 0 287.337 2.658 20 5 CFBDRN C[C@@H](COC(=O)Cc1cc(Cl)cc(Cl)c1)[N+](=O)[O-] ZINC000860776325 636968854 /nfs/dbraw/zinc/96/88/54/636968854.db2.gz DPZBOBATJLOSKA-ZETCQYMHSA-N 0 0 292.118 2.744 20 5 CFBDRN Cc1ccccc1C[C@H](C)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000860866750 636995045 /nfs/dbraw/zinc/99/50/45/636995045.db2.gz YALGHIJJWASWRL-LBPRGKRZSA-N 0 0 279.336 2.772 20 5 CFBDRN Cc1[nH]c2c(cccc2C(=O)OCCC[N+](=O)[O-])c1C ZINC000860914463 637015207 /nfs/dbraw/zinc/01/52/07/637015207.db2.gz VOOXFXCISVDJNL-UHFFFAOYSA-N 0 0 276.292 2.608 20 5 CFBDRN C[C@@H]1CCc2sc(C(=O)OCCC[N+](=O)[O-])cc2C1 ZINC000860912426 637015341 /nfs/dbraw/zinc/01/53/41/637015341.db2.gz NQINFZYORHSBGP-SECBINFHSA-N 0 0 283.349 2.697 20 5 CFBDRN Cc1c2cc(F)ccc2oc1C(=O)OCCC[N+](=O)[O-] ZINC000860912437 637015419 /nfs/dbraw/zinc/01/54/19/637015419.db2.gz OCPSFCAWGFHBBJ-UHFFFAOYSA-N 0 0 281.239 2.704 20 5 CFBDRN Cc1cc(C)cc(C2(C(=O)OCCC[N+](=O)[O-])CC2)c1 ZINC000860915764 637016113 /nfs/dbraw/zinc/01/61/13/637016113.db2.gz JMEWBSUWKOVCAW-UHFFFAOYSA-N 0 0 277.320 2.545 20 5 CFBDRN CC1(C)C[C@H](C(=O)OCCC[N+](=O)[O-])c2ccccc2O1 ZINC000860919275 637017113 /nfs/dbraw/zinc/01/71/13/637017113.db2.gz MQYKUXAGDGPDKZ-LBPRGKRZSA-N 0 0 293.319 2.541 20 5 CFBDRN CC(C)(C)Sc1cc(C(=O)OCCC[N+](=O)[O-])ccn1 ZINC000860919359 637017120 /nfs/dbraw/zinc/01/71/20/637017120.db2.gz JVPCOVQCUUHMDF-UHFFFAOYSA-N 0 0 298.364 2.796 20 5 CFBDRN CCc1c(C(=O)OCCC[N+](=O)[O-])cnn1C(CC)CC ZINC000860921830 637017907 /nfs/dbraw/zinc/01/79/07/637017907.db2.gz XMFLUWSSDCIVFR-UHFFFAOYSA-N 0 0 297.355 2.630 20 5 CFBDRN CC(C)c1ccccc1C(=O)OCCC[N+](=O)[O-] ZINC000860921946 637018148 /nfs/dbraw/zinc/01/81/48/637018148.db2.gz BFPJIEMUAZBJHF-UHFFFAOYSA-N 0 0 251.282 2.634 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCCC[C@H]1C(F)(F)F ZINC000860922822 637018166 /nfs/dbraw/zinc/01/81/66/637018166.db2.gz YVFZCVJLBHTFBQ-RKDXNWHRSA-N 0 0 283.246 2.565 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@H]1CCC[C@@H]1Cc1ccccc1 ZINC000860922420 637018285 /nfs/dbraw/zinc/01/82/85/637018285.db2.gz QTHQNQFSVXKYNL-CABCVRRESA-N 0 0 291.347 2.855 20 5 CFBDRN Cc1c(C(=O)OC[C@@]2(C)CCCOC2)cccc1[N+](=O)[O-] ZINC000861036810 637051146 /nfs/dbraw/zinc/05/11/46/637051146.db2.gz HNLNHJAGFBVTCJ-HNNXBMFYSA-N 0 0 293.319 2.877 20 5 CFBDRN CO[C@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000861069785 637065316 /nfs/dbraw/zinc/06/53/16/637065316.db2.gz WOVKQRHQVAJKKQ-ZKCHVHJHSA-N 0 0 299.714 2.547 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@@H]2C[C@H]2C(C)(C)C)n1 ZINC000861115703 637094752 /nfs/dbraw/zinc/09/47/52/637094752.db2.gz PFEVMQGEIVANLU-VHSXEESVSA-N 0 0 295.339 2.650 20 5 CFBDRN CC(F)(F)COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000861225453 637123575 /nfs/dbraw/zinc/12/35/75/637123575.db2.gz AQXKQGWTEJNEJQ-UHFFFAOYSA-N 0 0 284.218 2.888 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000861302955 637156995 /nfs/dbraw/zinc/15/69/95/637156995.db2.gz VFYPIWMWNACNMC-XYPYZODXSA-N 0 0 285.683 2.582 20 5 CFBDRN CC1CN(C(=O)c2cc([N+](=O)[O-])cc3ccccc32)C1 ZINC000861333699 637167900 /nfs/dbraw/zinc/16/79/00/637167900.db2.gz MYVDZVFGUPFGMM-UHFFFAOYSA-N 0 0 270.288 2.840 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000861436187 637195898 /nfs/dbraw/zinc/19/58/98/637195898.db2.gz AMMMFCLTQKFNJE-HTQZYQBOSA-N 0 0 268.700 2.871 20 5 CFBDRN C[C@H](CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(F)(F)F ZINC000861749769 637232634 /nfs/dbraw/zinc/23/26/34/637232634.db2.gz JHBJDDXSBAKLBO-ZCFIWIBFSA-N 0 0 291.229 2.704 20 5 CFBDRN C[C@@H](CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(F)(F)F ZINC000861749768 637233296 /nfs/dbraw/zinc/23/32/96/637233296.db2.gz JHBJDDXSBAKLBO-LURJTMIESA-N 0 0 291.229 2.704 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000861809743 637239683 /nfs/dbraw/zinc/23/96/83/637239683.db2.gz VTFQGWOUKQAPLT-QMMMGPOBSA-N 0 0 286.715 2.956 20 5 CFBDRN CC[C@H](C)NC(=S)Nc1cccc([N+](=O)[O-])c1 ZINC000013820817 637251253 /nfs/dbraw/zinc/25/12/53/637251253.db2.gz PRDWHHPEUAKONK-QMMMGPOBSA-N 0 0 253.327 2.680 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2C[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000862559233 637426925 /nfs/dbraw/zinc/42/69/25/637426925.db2.gz RLZQMLSUDRNUSM-DTORHVGOSA-N 0 0 280.711 2.649 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000862863447 637512349 /nfs/dbraw/zinc/51/23/49/637512349.db2.gz HRXURPLMUQPMHY-YPMHNXCESA-N 0 0 298.726 2.546 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cc(Cl)n(C)n1 ZINC000863223841 637594784 /nfs/dbraw/zinc/59/47/84/637594784.db2.gz FYAAVCPOPRCVEA-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN CC(C)SCOc1ccc([N+](=O)[O-])cc1C=O ZINC000863249987 637604236 /nfs/dbraw/zinc/60/42/36/637604236.db2.gz VRUHZZQFIYSMNV-UHFFFAOYSA-N 0 0 255.295 2.885 20 5 CFBDRN COc1ccc(OCc2cc(Cl)n(C)n2)c([N+](=O)[O-])c1 ZINC000863249252 637604481 /nfs/dbraw/zinc/60/44/81/637604481.db2.gz NRWPVEMORCDQLI-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN Cc1ccc(OCc2cc(Cl)n(C)n2)c([N+](=O)[O-])c1 ZINC000863267343 637610430 /nfs/dbraw/zinc/61/04/30/637610430.db2.gz AUIWKSBIMDBRDJ-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN C/C=C\C[C@H]1CCCN(Cn2cc([N+](=O)[O-])c(C)n2)C1 ZINC000863341208 637631193 /nfs/dbraw/zinc/63/11/93/637631193.db2.gz PRPLIPNUKIPBTL-SCOBNMCVSA-N 0 0 278.356 2.735 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2C[C@H]3C=C[C@@H]2C3)c(Br)n1 ZINC000863348162 637634563 /nfs/dbraw/zinc/63/45/63/637634563.db2.gz OZFPFMRDPBQWIY-YIZRAAEISA-N 0 0 298.140 2.766 20 5 CFBDRN CC(=O)O[C@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000863353398 637638008 /nfs/dbraw/zinc/63/80/08/637638008.db2.gz BXSWWUWNVNWRHO-JTQLQIEISA-N 0 0 285.321 2.616 20 5 CFBDRN O=[N+]([O-])c1cnn(CCCSCc2ccccc2)n1 ZINC000863373737 637643916 /nfs/dbraw/zinc/64/39/16/637643916.db2.gz CANMCADZWQOIEB-UHFFFAOYSA-N 0 0 278.337 2.510 20 5 CFBDRN Cc1cnc(CSCc2onc(C)c2[N+](=O)[O-])o1 ZINC000863401672 637653430 /nfs/dbraw/zinc/65/34/30/637653430.db2.gz XTQQSFPWOYVYSG-UHFFFAOYSA-N 0 0 269.282 2.621 20 5 CFBDRN NC(CCC(F)(F)F)=NOCc1ccc([N+](=O)[O-])cc1 ZINC000863489126 637676351 /nfs/dbraw/zinc/67/63/51/637676351.db2.gz SDRJJUKYQXNVLH-UHFFFAOYSA-N 0 0 291.229 2.936 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc2ccccc2c1 ZINC000863641741 637722739 /nfs/dbraw/zinc/72/27/39/637722739.db2.gz AJAOHUYPGNVQRI-HUUCEWRRSA-N 0 0 298.342 2.856 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCC1CCCCC1 ZINC000864416239 637969457 /nfs/dbraw/zinc/96/94/57/637969457.db2.gz ZSIRRJWQGCCCMI-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN COc1ccc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cn1 ZINC000015302227 638095350 /nfs/dbraw/zinc/09/53/50/638095350.db2.gz FEZKRFSJTPPZDZ-XBXARRHUSA-N 0 0 299.286 2.650 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000865028072 638164741 /nfs/dbraw/zinc/16/47/41/638164741.db2.gz JBVNBAQBBVIIDP-CHWSQXEVSA-N 0 0 276.336 2.893 20 5 CFBDRN CS[C@H]1C[C@H](NC(=S)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000865256818 638245175 /nfs/dbraw/zinc/24/51/75/638245175.db2.gz DIYHITHOYMATBJ-HOMQSWHASA-N 0 0 297.405 2.775 20 5 CFBDRN Cc1ccc(CNC(=S)NC2(C)CCC2)cc1[N+](=O)[O-] ZINC000865264389 638248376 /nfs/dbraw/zinc/24/83/76/638248376.db2.gz WHPLHZRAORRLLB-UHFFFAOYSA-N 0 0 293.392 2.810 20 5 CFBDRN CC1(C)CC[C@@H](COc2cccnc2[N+](=O)[O-])OC1 ZINC000865346311 638275027 /nfs/dbraw/zinc/27/50/27/638275027.db2.gz OVAMOQCBBSYBIL-JTQLQIEISA-N 0 0 266.297 2.574 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCc1coc(C2CC2)n1 ZINC000865346207 638275199 /nfs/dbraw/zinc/27/51/99/638275199.db2.gz HMPYELYTAFLKJD-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN CC1(C)CC[C@H](COc2cc(C=O)ccc2[N+](=O)[O-])OC1 ZINC000865354711 638279498 /nfs/dbraw/zinc/27/94/98/638279498.db2.gz XMNCHBJUITVYHB-GFCCVEGCSA-N 0 0 293.319 2.991 20 5 CFBDRN C[C@H](C(=O)C(C)(C)C)n1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000865366468 638287184 /nfs/dbraw/zinc/28/71/84/638287184.db2.gz FNRJHGCXVSFIHD-MRVPVSSYSA-N 0 0 292.291 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1N1CCC[C@@H](O)C1 ZINC000865401711 638311144 /nfs/dbraw/zinc/31/11/44/638311144.db2.gz HTGCBDJKSLPHQN-SSDOTTSWSA-N 0 0 291.134 2.863 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@@H]1CC1(Cl)Cl ZINC000865410691 638317415 /nfs/dbraw/zinc/31/74/15/638317415.db2.gz FAQGNTKHNCTHRA-YFKPBYRVSA-N 0 0 280.086 2.735 20 5 CFBDRN Cc1ccc(CCNc2cc(F)c([N+](=O)[O-])cc2CO)o1 ZINC000865439757 638336794 /nfs/dbraw/zinc/33/67/94/638336794.db2.gz CPBKHKLJHAJYEQ-UHFFFAOYSA-N 0 0 294.282 2.782 20 5 CFBDRN CC(C)(C)CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865462188 638351552 /nfs/dbraw/zinc/35/15/52/638351552.db2.gz KGRQEQMQPGJPEV-UHFFFAOYSA-N 0 0 256.277 2.684 20 5 CFBDRN CC(C)[C@H](C)CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865550556 638416149 /nfs/dbraw/zinc/41/61/49/638416149.db2.gz AFNZSTAYVAGWBJ-SECBINFHSA-N 0 0 270.304 2.930 20 5 CFBDRN C[C@H]1COCCN(c2ccnc3ccc([N+](=O)[O-])cc32)C1 ZINC000865640110 638481860 /nfs/dbraw/zinc/48/18/60/638481860.db2.gz WSIKUUWBXFEMSL-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CN(CC1(CO)CC1)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000865711833 638528898 /nfs/dbraw/zinc/52/88/98/638528898.db2.gz ROZZIAKHAZDXSN-UHFFFAOYSA-N 0 0 286.278 2.741 20 5 CFBDRN CN1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2C(F)F)CC1=O ZINC000865713274 638530067 /nfs/dbraw/zinc/53/00/67/638530067.db2.gz LKQMUFPTDRFEPO-MRVPVSSYSA-N 0 0 299.277 2.565 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CC23CCCC3)cc1F ZINC000865727118 638538927 /nfs/dbraw/zinc/53/89/27/638538927.db2.gz OWGKVKNXBLFIFG-CYBMUJFWSA-N 0 0 280.299 2.971 20 5 CFBDRN CC(C)SCCNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865805209 638582324 /nfs/dbraw/zinc/58/23/24/638582324.db2.gz UULCRYGBYWKRLS-UHFFFAOYSA-N 0 0 288.344 2.780 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CCC(C3CC3)CC2)cc1F ZINC000865825630 638595492 /nfs/dbraw/zinc/59/54/92/638595492.db2.gz XPFMVCZZGQCLMP-UHFFFAOYSA-N 0 0 294.326 2.853 20 5 CFBDRN CC[C@@H]1C[C@H]1CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865851432 638614527 /nfs/dbraw/zinc/61/45/27/638614527.db2.gz CJEISUJFNAGEKI-BDAKNGLRSA-N 0 0 268.288 2.684 20 5 CFBDRN COCC1CN(c2c(Cl)c(Cl)ccc2[N+](=O)[O-])C1 ZINC000865914202 638654360 /nfs/dbraw/zinc/65/43/60/638654360.db2.gz FUZGNWWEVLBNNC-UHFFFAOYSA-N 0 0 291.134 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]2C(F)(F)F)c(F)c1 ZINC000865922815 638658409 /nfs/dbraw/zinc/65/84/09/638658409.db2.gz CVSIEMVJCPYFGV-VIFPVBQESA-N 0 0 264.178 2.875 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCC[C@H]2CC2(F)F)cc1F ZINC000865960993 638677975 /nfs/dbraw/zinc/67/79/75/638677975.db2.gz HMQFVFSAHUMHTB-QMMMGPOBSA-N 0 0 290.241 2.683 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CCCC23CC3)cc1F ZINC000865995329 638691415 /nfs/dbraw/zinc/69/14/15/638691415.db2.gz XGSUFGOSQOTIQF-CYBMUJFWSA-N 0 0 280.299 2.971 20 5 CFBDRN CC1(C)[C@H]2[C@H](CCCN2c2ccc([N+](=O)[O-])s2)[C@@H]1O ZINC000865999438 638692033 /nfs/dbraw/zinc/69/20/33/638692033.db2.gz ADBOUEBWUPDLJD-AXTRIDKLSA-N 0 0 282.365 2.642 20 5 CFBDRN CCC[C@H](CCO)Nc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000866003264 638693008 /nfs/dbraw/zinc/69/30/08/638693008.db2.gz PRQRVJLHMUYFGX-SECBINFHSA-N 0 0 286.303 2.706 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000866069478 638722279 /nfs/dbraw/zinc/72/22/79/638722279.db2.gz DXUAVSNVCIYJQP-SCZZXKLOSA-N 0 0 286.278 2.877 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000866069173 638722376 /nfs/dbraw/zinc/72/23/76/638722376.db2.gz JRUPISRPVWVYLP-KOLCDFICSA-N 0 0 268.288 2.738 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000866074153 638725489 /nfs/dbraw/zinc/72/54/89/638725489.db2.gz ZHYGWKUXCYCEGB-GXFFZTMASA-N 0 0 292.335 2.801 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2C[C@H](SC)C2)c1 ZINC000866102485 638739117 /nfs/dbraw/zinc/73/91/17/638739117.db2.gz MVAALWDTPVYEMP-CZMCAQCFSA-N 0 0 268.338 2.909 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000866114120 638744086 /nfs/dbraw/zinc/74/40/86/638744086.db2.gz VSJVLACTXCZOSK-YXCITZCRSA-N 0 0 288.347 2.546 20 5 CFBDRN COC(C)(C)CCNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000866133306 638753010 /nfs/dbraw/zinc/75/30/10/638753010.db2.gz QTPQSNHDPZREQE-UHFFFAOYSA-N 0 0 290.323 2.765 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H](C2CCC2)[C@@H]2CCOC2)c1 ZINC000866140201 638755242 /nfs/dbraw/zinc/75/52/42/638755242.db2.gz AZQDNWQGZJWMTP-IAQYHMDHSA-N 0 0 291.351 2.794 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CCC2)[C@@H]2CCOC2)c(F)c1 ZINC000866140760 638755262 /nfs/dbraw/zinc/75/52/62/638755262.db2.gz FJAQLBMZRFZCQQ-MFKMUULPSA-N 0 0 295.314 2.746 20 5 CFBDRN Cc1nc(N[C@@H](C2CCC2)[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000866141186 638755814 /nfs/dbraw/zinc/75/58/14/638755814.db2.gz IRXYHKGOKVCEMZ-DOMZBBRYSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1nc(N[C@H](C2CCC2)[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000866141188 638755977 /nfs/dbraw/zinc/75/59/77/638755977.db2.gz IRXYHKGOKVCEMZ-SWLSCSKDSA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H]1CC2(CO1)CCOCC2 ZINC000866176040 638764204 /nfs/dbraw/zinc/76/42/04/638764204.db2.gz QMGJXBUMXSFQAB-JTQLQIEISA-N 0 0 298.364 2.654 20 5 CFBDRN Cc1cnc(NC[C@@H]2c3ccccc3CN2C)c([N+](=O)[O-])c1 ZINC000866194369 638767402 /nfs/dbraw/zinc/76/74/02/638767402.db2.gz IKLVFAQUADVOAJ-OAHLLOKOSA-N 0 0 298.346 2.897 20 5 CFBDRN Cc1nc(NC[C@@H]2c3ccccc3CN2C)ccc1[N+](=O)[O-] ZINC000866195460 638767651 /nfs/dbraw/zinc/76/76/51/638767651.db2.gz VMVKFSJZZLVRGX-OAHLLOKOSA-N 0 0 298.346 2.897 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866202019 638769147 /nfs/dbraw/zinc/76/91/47/638769147.db2.gz RBGCYQXEHNXYHC-BDAKNGLRSA-N 0 0 299.783 2.833 20 5 CFBDRN Cc1cccc(N2C[C@@H](O)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000866230370 638775515 /nfs/dbraw/zinc/77/55/15/638775515.db2.gz SNNPMWGYJIHHEI-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN C[C@@H](Nc1cccc(F)c1[N+](=O)[O-])c1ccnn1C ZINC000866251118 638780247 /nfs/dbraw/zinc/78/02/47/638780247.db2.gz HZZJQNBQGBLGIQ-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@H]1C1CCOCC1 ZINC000866273479 638786704 /nfs/dbraw/zinc/78/67/04/638786704.db2.gz NJPSLRLQQVBHSV-QWHCGFSZSA-N 0 0 277.324 2.525 20 5 CFBDRN CC(C)(C)OC1CN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000866281192 638788325 /nfs/dbraw/zinc/78/83/25/638788325.db2.gz FRMBEEKEGSUEIP-UHFFFAOYSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1cccc(N2CCN(C)c3ncccc3C2)c1[N+](=O)[O-] ZINC000866293609 638790580 /nfs/dbraw/zinc/79/05/80/638790580.db2.gz VEAWEUGUGBJRSR-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000866298773 638791006 /nfs/dbraw/zinc/79/10/06/638791006.db2.gz CIJKMLIKBJVHSN-JOYOIKCWSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000866301067 638791851 /nfs/dbraw/zinc/79/18/51/638791851.db2.gz FZEPWOKPSSFBJG-KOLCDFICSA-N 0 0 280.324 2.759 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866397481 638814568 /nfs/dbraw/zinc/81/45/68/638814568.db2.gz HSPKJMRINBYXPN-GFCCVEGCSA-N 0 0 294.326 2.971 20 5 CFBDRN O=C(/C=C/c1cccnc1)OCc1cccc([N+](=O)[O-])c1 ZINC000121197233 638868367 /nfs/dbraw/zinc/86/83/67/638868367.db2.gz DXAMWSMXBGMXJZ-VOTSOKGWSA-N 0 0 284.271 2.746 20 5 CFBDRN CCc1ccc(OC(=O)O[C@H](C)COC)c([N+](=O)[O-])c1 ZINC000866797503 638875901 /nfs/dbraw/zinc/87/59/01/638875901.db2.gz SMNIOLBTOGPMNO-SECBINFHSA-N 0 0 283.280 2.708 20 5 CFBDRN Cc1ccc(CNC(=O)OC2CCC2)cc1[N+](=O)[O-] ZINC000867785306 638897483 /nfs/dbraw/zinc/89/74/83/638897483.db2.gz NSZWJQQZOIURDV-UHFFFAOYSA-N 0 0 264.281 2.682 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CCCC12CCC2 ZINC000867839523 638901187 /nfs/dbraw/zinc/90/11/87/638901187.db2.gz DPLPBIQELWFBFD-UHFFFAOYSA-N 0 0 292.310 2.822 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000868220710 638931122 /nfs/dbraw/zinc/93/11/22/638931122.db2.gz PJQJIBNUZMHAJN-MFKMUULPSA-N 0 0 291.351 2.891 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000868441135 638945912 /nfs/dbraw/zinc/94/59/12/638945912.db2.gz SEMKYBKDZQQRAS-LLVKDONJSA-N 0 0 291.351 2.891 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000122163300 638948402 /nfs/dbraw/zinc/94/84/02/638948402.db2.gz UGBAUIHGVUJGFM-JTQLQIEISA-N 0 0 285.683 2.723 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H]1C(F)F ZINC000868604484 638960750 /nfs/dbraw/zinc/96/07/50/638960750.db2.gz TUFMQHSLYDWVLN-LAUAKBEESA-N 0 0 296.273 2.864 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000868607711 638961270 /nfs/dbraw/zinc/96/12/70/638961270.db2.gz BJYBYAHQOBBDNY-NEPJUHHUSA-N 0 0 299.330 2.971 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000868670195 638967889 /nfs/dbraw/zinc/96/78/89/638967889.db2.gz GPWWFQYEDTUAPH-OLZOCXBDSA-N 0 0 291.351 2.749 20 5 CFBDRN Cc1ccc(CNC(=O)NCCC(C)(C)F)cc1[N+](=O)[O-] ZINC000868770782 638977723 /nfs/dbraw/zinc/97/77/23/638977723.db2.gz NJBVWDRUAZZWRB-UHFFFAOYSA-N 0 0 297.330 2.841 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868843136 638985066 /nfs/dbraw/zinc/98/50/66/638985066.db2.gz QQLLAUAEEVMVPW-DGCLKSJQSA-N 0 0 294.326 2.827 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000869115674 639031988 /nfs/dbraw/zinc/03/19/88/639031988.db2.gz BUSUNPDCBJMBQI-YUSALJHKSA-N 0 0 292.310 2.581 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NC1CC2(CCC2)C1 ZINC000869166202 639056243 /nfs/dbraw/zinc/05/62/43/639056243.db2.gz NBAVBHIYOAELOL-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000869307421 639159178 /nfs/dbraw/zinc/15/91/78/639159178.db2.gz SLAVGVPEXZBTSV-MRVPVSSYSA-N 0 0 285.321 2.628 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OCCCC1CC1 ZINC000869313916 639164545 /nfs/dbraw/zinc/16/45/45/639164545.db2.gz KLCXQVSTURPJSS-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)C(C)(C)C ZINC000869314972 639165956 /nfs/dbraw/zinc/16/59/56/639165956.db2.gz XZYNKKICBJGOIL-VIFPVBQESA-N 0 0 279.292 2.755 20 5 CFBDRN C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000869315391 639166151 /nfs/dbraw/zinc/16/61/51/639166151.db2.gz ZGZPPSUNBLTBNW-SECBINFHSA-N 0 0 279.292 2.755 20 5 CFBDRN CCCCC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000869320791 639177189 /nfs/dbraw/zinc/17/71/89/639177189.db2.gz HNDCXRQSQVTHBD-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000869338616 639185278 /nfs/dbraw/zinc/18/52/78/639185278.db2.gz NOGGGUNMXKEGFS-GFCCVEGCSA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(=O)C(C)(C)C ZINC000869393790 639209124 /nfs/dbraw/zinc/20/91/24/639209124.db2.gz YCJCHCLUQXSLKH-QMMMGPOBSA-N 0 0 297.282 2.894 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])[C@H](C)O1 ZINC000869653467 639341911 /nfs/dbraw/zinc/34/19/11/639341911.db2.gz KSHNJDRQJSMRKG-VDDIYKPWSA-N 0 0 297.282 2.591 20 5 CFBDRN CCOC(C)(C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000869767085 639391722 /nfs/dbraw/zinc/39/17/22/639391722.db2.gz BYNNCJPISMPVGL-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897485 639459003 /nfs/dbraw/zinc/45/90/03/639459003.db2.gz VVHYXLXXXYCZBT-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOC2CCC2)c(F)c1 ZINC000078516803 650511085 /nfs/dbraw/zinc/51/10/85/650511085.db2.gz LFVWDOCEVFOXHA-UHFFFAOYSA-N 0 0 254.261 2.715 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCCC[C@@H]1C(F)(F)F ZINC000870387433 639619791 /nfs/dbraw/zinc/61/97/91/639619791.db2.gz NQOMDCXOXRBIGR-YIZRAAEISA-N 0 0 283.246 2.564 20 5 CFBDRN CCC[C@H](C)NC(=O)[C@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000078598211 650517783 /nfs/dbraw/zinc/51/77/83/650517783.db2.gz HDMCTSGQAKVNGH-UWVGGRQHSA-N 0 0 298.314 2.806 20 5 CFBDRN Cc1sccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000870691272 639773785 /nfs/dbraw/zinc/77/37/85/639773785.db2.gz MSNQQXGSXNNSQL-UHFFFAOYSA-N 0 0 256.327 2.831 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@H]1CO[C@H](C)C1 ZINC000870701844 639778905 /nfs/dbraw/zinc/77/89/05/639778905.db2.gz XELZFQVZTSEPQZ-ZYHUDNBSSA-N 0 0 293.319 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C(F)F ZINC000870700603 639778928 /nfs/dbraw/zinc/77/89/28/639778928.db2.gz JQYINTYPYIGLMZ-UHFFFAOYSA-N 0 0 259.208 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@H]1CO[C@@H](C)C1 ZINC000870701841 639779040 /nfs/dbraw/zinc/77/90/40/639779040.db2.gz XELZFQVZTSEPQZ-CMPLNLGQSA-N 0 0 293.319 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C[C@@H]1CCOC1 ZINC000870700917 639779088 /nfs/dbraw/zinc/77/90/88/639779088.db2.gz LNTDEWOTFJHOJN-LBPRGKRZSA-N 0 0 293.319 2.681 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000870711573 639784183 /nfs/dbraw/zinc/78/41/83/639784183.db2.gz BRDCPKDDQZDRJP-SMDDNHRTSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000870709988 639784350 /nfs/dbraw/zinc/78/43/50/639784350.db2.gz XYRKKRRSYOGOGP-WPRPVWTQSA-N 0 0 298.364 2.604 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000870709985 639784542 /nfs/dbraw/zinc/78/45/42/639784542.db2.gz XYRKKRRSYOGOGP-PSASIEDQSA-N 0 0 298.364 2.604 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000870717028 639787272 /nfs/dbraw/zinc/78/72/72/639787272.db2.gz XGSGUKJHFMTCLX-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CCOCCCC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000079202499 650571236 /nfs/dbraw/zinc/57/12/36/650571236.db2.gz QTVUBKAXWPKBQZ-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCCCOCCOC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000079388132 650587183 /nfs/dbraw/zinc/58/71/83/650587183.db2.gz CGAJFUIYLUXGRG-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN COC(OC)[C@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000079614569 650608585 /nfs/dbraw/zinc/60/85/85/650608585.db2.gz FRGQRKFXIGUVJO-ZETCQYMHSA-N 0 0 274.704 2.668 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)NC1CCOCC1 ZINC000079635121 650609497 /nfs/dbraw/zinc/60/94/97/650609497.db2.gz OGLDUBTXTXWCKB-UHFFFAOYSA-N 0 0 299.714 2.549 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@@H]1CCCS1 ZINC000871029050 641161798 /nfs/dbraw/zinc/16/17/98/641161798.db2.gz NXMXEZBVVVLCJE-LBPRGKRZSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC[C@H]1CCCS1 ZINC000871035412 641167877 /nfs/dbraw/zinc/16/78/77/641167877.db2.gz QOFKRBCGNDUIMI-LLVKDONJSA-N 0 0 294.376 2.919 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000871091188 641197212 /nfs/dbraw/zinc/19/72/12/641197212.db2.gz OMSUAEQQNIZHEN-QMMMGPOBSA-N 0 0 295.314 2.625 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCCCO1 ZINC000871162558 641224945 /nfs/dbraw/zinc/22/49/45/641224945.db2.gz GEVQLCRQZPHMJZ-ZDUSSCGKSA-N 0 0 292.335 2.672 20 5 CFBDRN CCCN(C)C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000888569979 641311658 /nfs/dbraw/zinc/31/16/58/641311658.db2.gz KJPQMAGSURGYIP-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1S(=O)(=O)Oc1ccccc1 ZINC000123647587 641332376 /nfs/dbraw/zinc/33/23/76/641332376.db2.gz BMGRIDZCWXIOLJ-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871519537 641339626 /nfs/dbraw/zinc/33/96/26/641339626.db2.gz XKCREBWAAUCJGL-PHIMTYICSA-N 0 0 290.294 2.772 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CC3(CCC3)CO2)cc1 ZINC000871630758 641374660 /nfs/dbraw/zinc/37/46/60/641374660.db2.gz QQUHSCYTSQRLBJ-ZDUSSCGKSA-N 0 0 263.293 2.933 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CSC(C)(C)C)c1=O ZINC000871662248 641387341 /nfs/dbraw/zinc/38/73/41/641387341.db2.gz YLBVQLLLUFWBRH-UHFFFAOYSA-N 0 0 256.327 2.554 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C1CC(CF)(CF)C1 ZINC000871661688 641387378 /nfs/dbraw/zinc/38/73/78/641387378.db2.gz SUSPUDDSOGTVLZ-UHFFFAOYSA-N 0 0 292.669 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@@H]2CC23CCC3)cc1 ZINC000871690060 641406346 /nfs/dbraw/zinc/40/63/46/641406346.db2.gz UZKGPBNKZIKCMK-LLVKDONJSA-N 0 0 277.349 2.824 20 5 CFBDRN C[C@H]1C[C@H]1COc1ccc(Br)nc1[N+](=O)[O-] ZINC000871693868 641409031 /nfs/dbraw/zinc/40/90/31/641409031.db2.gz GDBUKMQGDSYASN-BQBZGAKWSA-N 0 0 287.113 2.787 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCCn1ccnc1 ZINC000871709543 641417981 /nfs/dbraw/zinc/41/79/81/641417981.db2.gz CMFCYYNUZFZABB-UHFFFAOYSA-N 0 0 293.348 2.733 20 5 CFBDRN CCc1ccc(C(=O)OCCSC)cc1[N+](=O)[O-] ZINC000080296739 650659649 /nfs/dbraw/zinc/65/96/49/650659649.db2.gz ARYRJAAWFQPBGF-UHFFFAOYSA-N 0 0 269.322 2.677 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CC12CCC2 ZINC000871763066 641445616 /nfs/dbraw/zinc/44/56/16/641445616.db2.gz WZBLLHWAOLTWOQ-LLVKDONJSA-N 0 0 261.281 2.659 20 5 CFBDRN CC1(NC(=O)Nc2cccc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000871765130 641448245 /nfs/dbraw/zinc/44/82/45/641448245.db2.gz WQPHQQWIGUVCSJ-UHFFFAOYSA-N 0 0 285.250 2.904 20 5 CFBDRN C[C@@H](ON=C(N)COC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000871775494 641451489 /nfs/dbraw/zinc/45/14/89/641451489.db2.gz LCWQRFTVZGQSGD-SNVBAGLBSA-N 0 0 293.323 2.723 20 5 CFBDRN Cn1nccc1CCNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000871803509 641466486 /nfs/dbraw/zinc/46/64/86/641466486.db2.gz GPFQOJHTQMCAKZ-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2nccn2CC(F)F)c1 ZINC000871803101 641466506 /nfs/dbraw/zinc/46/65/06/641466506.db2.gz KVFGHZAZFVSEOA-UHFFFAOYSA-N 0 0 282.250 2.669 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1nocc1C ZINC000871835252 641473748 /nfs/dbraw/zinc/47/37/48/641473748.db2.gz JPIKKLQAEIWERM-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC[C@H]1C[C@@H](OC)C1 ZINC000871836577 641475090 /nfs/dbraw/zinc/47/50/90/641475090.db2.gz LSSBMVIAHXGPOC-GSJFWJNXSA-N 0 0 264.325 2.994 20 5 CFBDRN CC[C@H](CC[NH2+]Cc1c(C)ccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000871874833 641493064 /nfs/dbraw/zinc/49/30/64/641493064.db2.gz WYZZAZRWIINYGG-GFCCVEGCSA-N 0 0 294.351 2.802 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000871934916 641517045 /nfs/dbraw/zinc/51/70/45/641517045.db2.gz ZGWADJDDIJHIPS-WOPDTQHZSA-N 0 0 293.367 2.549 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000871935587 641517116 /nfs/dbraw/zinc/51/71/16/641517116.db2.gz ZGWADJDDIJHIPS-IJLUTSLNSA-N 0 0 293.367 2.549 20 5 CFBDRN C[C@@H](N[C@@H](C)c1c(F)cccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000872080318 641613652 /nfs/dbraw/zinc/61/36/52/641613652.db2.gz VQYWRVBSAAYENW-ZJUUUORDSA-N 0 0 292.314 2.879 20 5 CFBDRN C[C@@H](N[C@@H](C)c1c(F)cccc1[N+](=O)[O-])c1ccnn1C ZINC000872091011 641624439 /nfs/dbraw/zinc/62/44/39/641624439.db2.gz DWDXTLJLDQLNNU-ZJUUUORDSA-N 0 0 292.314 2.879 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1 ZINC000872697564 641901916 /nfs/dbraw/zinc/90/19/16/641901916.db2.gz OEPMYZQHBZLCFP-NURSFMCSSA-N 0 0 277.324 2.547 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)ccc1[N+](=O)[O-] ZINC000872698619 641902995 /nfs/dbraw/zinc/90/29/95/641902995.db2.gz IYBOFPIWILFVKG-PTRXPTGYSA-N 0 0 295.314 2.686 20 5 CFBDRN Cc1cc(NCCC[C@@H]2CCOC2=O)c(F)cc1[N+](=O)[O-] ZINC000872702654 641904753 /nfs/dbraw/zinc/90/47/53/641904753.db2.gz KOOUAPNVXSCXKI-SNVBAGLBSA-N 0 0 296.298 2.798 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CC23CCC3)c([N+](=O)[O-])c1 ZINC000872774672 641929126 /nfs/dbraw/zinc/92/91/26/641929126.db2.gz GTOBKMUGJKJARC-ZDUSSCGKSA-N 0 0 290.319 2.665 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2c(OC)cccc2[N+](=O)[O-])C1 ZINC000872777319 641931396 /nfs/dbraw/zinc/93/13/96/641931396.db2.gz ZLMBKYUAFGBJKH-VROQLPPWSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000872846141 641950793 /nfs/dbraw/zinc/95/07/93/641950793.db2.gz SNMYZYINVHICDY-GWCFXTLKSA-N 0 0 289.335 2.898 20 5 CFBDRN CC[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(C)=O ZINC000873318052 642134346 /nfs/dbraw/zinc/13/43/46/642134346.db2.gz HNGLSJWJJDKMSA-NSHDSACASA-N 0 0 285.683 2.773 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000873343818 642143433 /nfs/dbraw/zinc/14/34/33/642143433.db2.gz VCUWUENMRUJAMX-DTORHVGOSA-N 0 0 285.321 2.547 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000873361477 642154244 /nfs/dbraw/zinc/15/42/44/642154244.db2.gz JECDWWJZOIPRSK-LYWQIFSTSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCCC1(O)CCC1 ZINC000873438500 642205013 /nfs/dbraw/zinc/20/50/13/642205013.db2.gz OKXLPURFDMDUPN-UHFFFAOYSA-N 0 0 293.319 2.674 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC(=O)C1(C)CC1 ZINC000873438603 642205025 /nfs/dbraw/zinc/20/50/25/642205025.db2.gz RYTKXENFMLDTHC-UHFFFAOYSA-N 0 0 291.303 2.738 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC(F)(F)F ZINC000873438458 642205219 /nfs/dbraw/zinc/20/52/19/642205219.db2.gz NHLSOLQXRQJHPU-UHFFFAOYSA-N 0 0 277.198 2.931 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC(=O)C(C)C ZINC000873438673 642205897 /nfs/dbraw/zinc/20/58/97/642205897.db2.gz UYEKOKZGIPDYFM-UHFFFAOYSA-N 0 0 279.292 2.594 20 5 CFBDRN CO[C@@H](C)CCOC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873507480 642226934 /nfs/dbraw/zinc/22/69/34/642226934.db2.gz OTWZZTSOLFQRLD-JTQLQIEISA-N 0 0 281.308 2.793 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000873528168 642236064 /nfs/dbraw/zinc/23/60/64/642236064.db2.gz CQNOZHXCXBUTFQ-NWDGAFQWSA-N 0 0 293.319 2.936 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1sccc1C(F)F ZINC000873533643 642238266 /nfs/dbraw/zinc/23/82/66/642238266.db2.gz FZZOHBXSWFXKQM-YFKPBYRVSA-N 0 0 265.237 2.508 20 5 CFBDRN Cc1c(CC(=O)OC2CCSCC2)cccc1[N+](=O)[O-] ZINC000873556520 642248282 /nfs/dbraw/zinc/24/82/82/642248282.db2.gz LIIBBDYATHWWBH-UHFFFAOYSA-N 0 0 295.360 2.885 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CC12CC2 ZINC000873628011 642279783 /nfs/dbraw/zinc/27/97/83/642279783.db2.gz APWJCGRMTVNKRK-SKDRFNHKSA-N 0 0 261.277 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@H]1C[C@H]1C ZINC000874037260 642323889 /nfs/dbraw/zinc/32/38/89/642323889.db2.gz SRESLGNWWGFQPA-MWLCHTKSSA-N 0 0 262.309 2.597 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC12CCC2 ZINC000874786810 642488226 /nfs/dbraw/zinc/48/82/26/642488226.db2.gz QOOPHZUXNLUMEG-LBPRGKRZSA-N 0 0 260.293 2.576 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000875054576 642581281 /nfs/dbraw/zinc/58/12/81/642581281.db2.gz WTPVUJPDFQAWKT-GFCCVEGCSA-N 0 0 284.287 2.878 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000875054537 642581554 /nfs/dbraw/zinc/58/15/54/642581554.db2.gz VQVJNRMSOMCBIC-DQDDRIPDSA-N 0 0 278.283 2.965 20 5 CFBDRN CCn1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1C ZINC000875423106 642620400 /nfs/dbraw/zinc/62/04/00/642620400.db2.gz FRAIDEJMTXYHTL-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCON(CC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000875459334 642625816 /nfs/dbraw/zinc/62/58/16/642625816.db2.gz XDRFOGWVHMKNIB-SNVBAGLBSA-N 0 0 281.312 2.639 20 5 CFBDRN COC(C)(C)CCNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000876080495 642769073 /nfs/dbraw/zinc/76/90/73/642769073.db2.gz BADSZPVWOSVJPG-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCCCCO2)cc1[N+](=O)[O-] ZINC000876169121 642784429 /nfs/dbraw/zinc/78/44/29/642784429.db2.gz MNPVFXORKOAAPZ-UHFFFAOYSA-N 0 0 293.323 2.530 20 5 CFBDRN Cc1ccc(CNC(=O)N(C)[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000876171668 642787318 /nfs/dbraw/zinc/78/73/18/642787318.db2.gz MYCUEOIZCRJXRT-NSHDSACASA-N 0 0 291.351 2.843 20 5 CFBDRN Nc1ccc(C(=O)N[C@@H]2CCCC3(CC3)C2)cc1[N+](=O)[O-] ZINC000888708760 642791551 /nfs/dbraw/zinc/79/15/51/642791551.db2.gz OYFTTXFROIGLDA-LLVKDONJSA-N 0 0 289.335 2.630 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@@H](C)[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000876406263 642854332 /nfs/dbraw/zinc/85/43/32/642854332.db2.gz KRMHCYJUNMGOQS-MXWKQRLJSA-N 0 0 277.324 2.706 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000876425954 642863690 /nfs/dbraw/zinc/86/36/90/642863690.db2.gz GFBZIWRMPIPGKX-GFCCVEGCSA-N 0 0 275.308 2.967 20 5 CFBDRN C[C@H](C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-])n1cccn1 ZINC000876466943 642883224 /nfs/dbraw/zinc/88/32/24/642883224.db2.gz KSCRZXDIWJHLTR-MNOVXSKESA-N 0 0 289.291 2.657 20 5 CFBDRN C[C@H](OC(=O)C(=O)C(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000876467211 642883496 /nfs/dbraw/zinc/88/34/96/642883496.db2.gz POYMGZAYUGHPJJ-VIFPVBQESA-N 0 0 279.292 2.814 20 5 CFBDRN CCC(=CC(=O)NCc1cccc([N+](=O)[O-])c1)CC ZINC000125971346 642889925 /nfs/dbraw/zinc/88/99/25/642889925.db2.gz WPXTUHJJEMFOOC-UHFFFAOYSA-N 0 0 262.309 2.957 20 5 CFBDRN CCc1ccccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000876817724 643024056 /nfs/dbraw/zinc/02/40/56/643024056.db2.gz MSWNCWSIMLYEBR-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN Cc1c(CN2CC(CC(F)F)C2)cccc1[N+](=O)[O-] ZINC000877598296 643389043 /nfs/dbraw/zinc/38/90/43/643389043.db2.gz CWZKRYNFYVVHJS-UHFFFAOYSA-N 0 0 270.279 2.990 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1CC2(C1)CCCO2 ZINC000877654289 643435190 /nfs/dbraw/zinc/43/51/90/643435190.db2.gz OCBVFDDRUSFZAR-UHFFFAOYSA-N 0 0 276.336 2.576 20 5 CFBDRN CC1(C)C[C@@H](O)CN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000877751978 643528889 /nfs/dbraw/zinc/52/88/89/643528889.db2.gz ASHAKOMKQSHHNU-GFCCVEGCSA-N 0 0 298.770 2.841 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cc(C)nnc1C ZINC000877873903 643614280 /nfs/dbraw/zinc/61/42/80/643614280.db2.gz AKPGOIJTHWDJON-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN COC[C@@H](COc1cc([N+](=O)[O-])ccc1OC)C(C)C ZINC000877874734 643615471 /nfs/dbraw/zinc/61/54/71/643615471.db2.gz NZQODGADRZATFD-NSHDSACASA-N 0 0 283.324 2.901 20 5 CFBDRN Cc1nn(CC2CC(C)C2)c2ncc([N+](=O)[O-])cc12 ZINC000877878519 643618036 /nfs/dbraw/zinc/61/80/36/643618036.db2.gz TZVRCZOMEQNLLL-UHFFFAOYSA-N 0 0 260.297 2.694 20 5 CFBDRN CC1CC(Cn2ccc3cc([N+](=O)[O-])ccc3c2=O)C1 ZINC000877882871 643621592 /nfs/dbraw/zinc/62/15/92/643621592.db2.gz DBALYSKHMKRZQN-UHFFFAOYSA-N 0 0 272.304 2.956 20 5 CFBDRN C=C/C=C/CCn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000877884895 643622530 /nfs/dbraw/zinc/62/25/30/643622530.db2.gz HOONXAQUPPHWKM-ONEGZZNKSA-N 0 0 274.320 2.768 20 5 CFBDRN CCc1ccc(OCCOC2CC2)c([N+](=O)[O-])c1 ZINC000877889569 643625128 /nfs/dbraw/zinc/62/51/28/643625128.db2.gz MKONVOFGXRHHOK-UHFFFAOYSA-N 0 0 251.282 2.715 20 5 CFBDRN O=C(Cc1ccoc1)OCCc1ccccc1[N+](=O)[O-] ZINC000126946357 643772705 /nfs/dbraw/zinc/77/27/05/643772705.db2.gz FATZBXMHZSLZQQ-UHFFFAOYSA-N 0 0 275.260 2.516 20 5 CFBDRN Cc1ccc(CN2CCC[C@@H]2c2cc[nH]n2)cc1[N+](=O)[O-] ZINC000878523742 643926261 /nfs/dbraw/zinc/92/62/61/643926261.db2.gz HUKJMQCWKICHAC-CQSZACIVSA-N 0 0 286.335 2.963 20 5 CFBDRN Cc1ncccc1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000091496177 650901451 /nfs/dbraw/zinc/90/14/51/650901451.db2.gz AJSRJNUGXVZSLD-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CCC(O)(CC)C(C)(C)[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000879699633 644388400 /nfs/dbraw/zinc/38/84/00/644388400.db2.gz UDGZEIXMCBIQQH-UHFFFAOYSA-N 0 0 296.367 2.720 20 5 CFBDRN Cc1nccnc1[C@@H](C)NCc1cc(F)ccc1[N+](=O)[O-] ZINC000880104839 644534043 /nfs/dbraw/zinc/53/40/43/644534043.db2.gz GFRBQVYUYWPSIX-SNVBAGLBSA-N 0 0 290.298 2.683 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H](CF)C2)c([N+](=O)[O-])c1 ZINC000880238565 644601483 /nfs/dbraw/zinc/60/14/83/644601483.db2.gz UUPVBWXBMDHKGG-JTQLQIEISA-N 0 0 297.286 2.685 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CCCSC ZINC000128318318 644614213 /nfs/dbraw/zinc/61/42/13/644614213.db2.gz FZOVGWRQLBJQEO-UHFFFAOYSA-N 0 0 285.321 2.652 20 5 CFBDRN C[C@@H]1CC[C@H](CO)N1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000880439301 644707845 /nfs/dbraw/zinc/70/78/45/644707845.db2.gz TYBRDKIAOCGQMO-BXKDBHETSA-N 0 0 284.743 2.593 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000888815512 644707920 /nfs/dbraw/zinc/70/79/20/644707920.db2.gz RNJZQVMMBIEVDC-NSHDSACASA-N 0 0 294.376 2.999 20 5 CFBDRN C[C@@H]1CC[C@H](CO)N1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000880439139 644708204 /nfs/dbraw/zinc/70/82/04/644708204.db2.gz NERFXDJKEIAIGK-BXKDBHETSA-N 0 0 284.743 2.593 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)Oc1ccc(F)cc1 ZINC000024006582 644731802 /nfs/dbraw/zinc/73/18/02/644731802.db2.gz XUFNCULCQDDRPH-UHFFFAOYSA-N 0 0 291.234 2.718 20 5 CFBDRN CCOc1cc(NC(=O)NCC[C@@H](C)F)ccc1[N+](=O)[O-] ZINC000888831817 644814850 /nfs/dbraw/zinc/81/48/50/644814850.db2.gz QAHTXVZCCLGWEC-SECBINFHSA-N 0 0 299.302 2.863 20 5 CFBDRN CCCN(C)C(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000888834870 644823381 /nfs/dbraw/zinc/82/33/81/644823381.db2.gz VBTLLTZHLORNGS-UHFFFAOYSA-N 0 0 281.312 2.867 20 5 CFBDRN CCCCNC(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000888836450 644835164 /nfs/dbraw/zinc/83/51/64/644835164.db2.gz XSKRVKKXGYEASN-UHFFFAOYSA-N 0 0 281.312 2.915 20 5 CFBDRN CCOc1cc(NC(=O)N[C@@H](C)CC)ccc1[N+](=O)[O-] ZINC000888836113 644835316 /nfs/dbraw/zinc/83/53/16/644835316.db2.gz MHKWVTMZTXGANV-VIFPVBQESA-N 0 0 281.312 2.914 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000094801063 650963906 /nfs/dbraw/zinc/96/39/06/650963906.db2.gz BMTGKVJIXFQFMO-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CCCc1nccn1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094775182 650963936 /nfs/dbraw/zinc/96/39/36/650963936.db2.gz XOOQTEHRIGWNKU-UHFFFAOYSA-N 0 0 263.272 2.931 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H]1C ZINC000881481048 644984556 /nfs/dbraw/zinc/98/45/56/644984556.db2.gz GLRVRBNQAUTRHP-USKTWTLRSA-N 0 0 260.293 2.619 20 5 CFBDRN COc1cccc(C(=O)NC[C@@]2(C)CC2(C)C)c1[N+](=O)[O-] ZINC000881544132 645036490 /nfs/dbraw/zinc/03/64/90/645036490.db2.gz UMDNOWZEJHCPSQ-OAHLLOKOSA-N 0 0 292.335 2.769 20 5 CFBDRN CC1(C)C[C@@]1(C)CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000881546955 645038414 /nfs/dbraw/zinc/03/84/14/645038414.db2.gz YNCATQXCZCLROJ-HNNXBMFYSA-N 0 0 294.326 2.829 20 5 CFBDRN C[C@H](C(=O)N1CCC(F)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000881611685 645081194 /nfs/dbraw/zinc/08/11/94/645081194.db2.gz RJRZQLCHQNOLPN-VIFPVBQESA-N 0 0 298.289 2.798 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](CF)C1 ZINC000881675924 645102687 /nfs/dbraw/zinc/10/26/87/645102687.db2.gz BPJMILIWLGCCED-BIRHUZIMSA-N 0 0 292.310 2.816 20 5 CFBDRN Cc1nc(CCNc2ccnc(F)c2[N+](=O)[O-])cs1 ZINC000881786439 645128022 /nfs/dbraw/zinc/12/80/22/645128022.db2.gz JJNSKQONYUDXKH-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2ccnc(F)c2[N+](=O)[O-])no1 ZINC000881791263 645128899 /nfs/dbraw/zinc/12/88/99/645128899.db2.gz FXXDYUCLIJMRKJ-SNVBAGLBSA-N 0 0 292.270 2.767 20 5 CFBDRN Cc1ncnc(NCCc2ccc([N+](=O)[O-])cc2)c1C ZINC000881837588 645137904 /nfs/dbraw/zinc/13/79/04/645137904.db2.gz DETFSNMLMHFBTE-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CC(=O)c1c(NC[C@H](O)CC(C)C)cccc1[N+](=O)[O-] ZINC000881866725 645144360 /nfs/dbraw/zinc/14/43/60/645144360.db2.gz VJFFHOQANMBQKD-LLVKDONJSA-N 0 0 280.324 2.616 20 5 CFBDRN CC[C@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)C[C@@H](C)O1 ZINC000881966016 645164565 /nfs/dbraw/zinc/16/45/65/645164565.db2.gz RYAGWEUFQHDXGB-PWSUYJOCSA-N 0 0 292.335 2.801 20 5 CFBDRN CC(C)CC[C@](C)(O)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882009312 645175552 /nfs/dbraw/zinc/17/55/52/645175552.db2.gz YUTYYXDBNCVIBH-ZDUSSCGKSA-N 0 0 285.319 2.728 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2ccnc(F)c2[N+](=O)[O-])C1(C)C ZINC000882040813 645186122 /nfs/dbraw/zinc/18/61/22/645186122.db2.gz XYQUCXBUQTTXIO-NOZJJQNGSA-N 0 0 283.303 2.744 20 5 CFBDRN CC(C)[C@H]1CCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882077570 645194213 /nfs/dbraw/zinc/19/42/13/645194213.db2.gz DXKSMIGGEVBWDW-VIFPVBQESA-N 0 0 253.277 2.611 20 5 CFBDRN O=[N+]([O-])c1ccnn1C[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000882107011 645204427 /nfs/dbraw/zinc/20/44/27/645204427.db2.gz MXWXNCOAUAIEFO-OLZOCXBDSA-N 0 0 273.292 2.712 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@H]1CCOC2(CCC2)C1 ZINC000882109994 645206011 /nfs/dbraw/zinc/20/60/11/645206011.db2.gz NFFYZAADGCFYNF-VIFPVBQESA-N 0 0 281.287 2.643 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCC[C@@H]1CCCC[C@@H]1O ZINC000882114775 645206771 /nfs/dbraw/zinc/20/67/71/645206771.db2.gz SLOHZVGGINMUBJ-JQWIXIFHSA-N 0 0 297.330 2.872 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@H]2CCC3(CCC3)O2)c1 ZINC000882111880 645206877 /nfs/dbraw/zinc/20/68/77/645206877.db2.gz JHBDAKNUMRDMBI-GFCCVEGCSA-N 0 0 291.303 2.888 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@H]1C[C@@H](C)O ZINC000882127658 645213794 /nfs/dbraw/zinc/21/37/94/645213794.db2.gz KKBQOCIVKAGIGV-PWSUYJOCSA-N 0 0 292.335 2.537 20 5 CFBDRN Cc1nn(CC[C@@H](O)C(C)C)c2ccc([N+](=O)[O-])cc12 ZINC000882146174 645218472 /nfs/dbraw/zinc/21/84/72/645218472.db2.gz ZJUFFJFUKOPXLZ-CQSZACIVSA-N 0 0 277.324 2.660 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC[C@@H](C)[C@@H](F)C1 ZINC000882165567 645227028 /nfs/dbraw/zinc/22/70/28/645227028.db2.gz BGRQRCXLDMZYSV-KOLCDFICSA-N 0 0 280.299 2.982 20 5 CFBDRN Cc1ccc(SCc2cn(C)nc2[N+](=O)[O-])s1 ZINC000882175535 645230505 /nfs/dbraw/zinc/23/05/05/645230505.db2.gz ULWCYQZXNCIFAL-UHFFFAOYSA-N 0 0 269.351 2.991 20 5 CFBDRN CN(CC1CC1)C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129597474 645283377 /nfs/dbraw/zinc/28/33/77/645283377.db2.gz LWIDBQNDQVOLNR-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@@H]2C[C@@H]21 ZINC000882350111 645289602 /nfs/dbraw/zinc/28/96/02/645289602.db2.gz HKHOODLAUXEFSW-MFKMUULPSA-N 0 0 260.293 2.786 20 5 CFBDRN C[C@@]12CN(c3ccnc(F)c3[N+](=O)[O-])C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000882413909 645303959 /nfs/dbraw/zinc/30/39/59/645303959.db2.gz UKSVURAVZMZXEU-LMCGPQHNSA-N 0 0 289.310 2.777 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000882447110 645320241 /nfs/dbraw/zinc/32/02/41/645320241.db2.gz ZMTCGEQZWZFSNG-JSGCOSHPSA-N 0 0 292.335 2.530 20 5 CFBDRN CC[C@H](C)[C@H](N[C@H](C)c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000252405797 651003531 /nfs/dbraw/zinc/00/35/31/651003531.db2.gz FIYFGUPHIRAHOS-WDMOLILDSA-N 0 0 294.351 2.833 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@H]1CCCC12CC2 ZINC000882677091 645407943 /nfs/dbraw/zinc/40/79/43/645407943.db2.gz CBZLIKCLQIETIP-VIFPVBQESA-N 0 0 251.261 2.874 20 5 CFBDRN NC(=O)c1ccc(NCC2(CC3CC3)CC2)c([N+](=O)[O-])c1 ZINC000882774544 645451922 /nfs/dbraw/zinc/45/19/22/645451922.db2.gz MLOGMORPSHGXMM-UHFFFAOYSA-N 0 0 289.335 2.686 20 5 CFBDRN CCC[C@H](C)CCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000882777973 645453037 /nfs/dbraw/zinc/45/30/37/645453037.db2.gz YGAYJJACXCYYSJ-VIFPVBQESA-N 0 0 253.302 2.934 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@@H](CF)C1 ZINC000882838924 645474071 /nfs/dbraw/zinc/47/40/71/645474071.db2.gz AWEYERNBTBJMCH-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@@H](CF)C1 ZINC000882839491 645475016 /nfs/dbraw/zinc/47/50/16/645475016.db2.gz SPMVPUQLPPNUHP-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN CO[C@@]1(C(F)(F)F)CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000882842672 645477503 /nfs/dbraw/zinc/47/75/03/645477503.db2.gz BYWQIXZSERBJER-VIFPVBQESA-N 0 0 296.270 2.814 20 5 CFBDRN NC(=O)c1ccc(N[C@@H]2C[C@@H]3CCCC[C@H]23)c([N+](=O)[O-])c1 ZINC000882906396 645504378 /nfs/dbraw/zinc/50/43/78/645504378.db2.gz CQMMZKQQMFPSKB-XHVZSJERSA-N 0 0 289.335 2.684 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000882905984 645504685 /nfs/dbraw/zinc/50/46/85/645504685.db2.gz CSOSDUQZNKEJRA-PHIMTYICSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@@]1(CNc2cccnc2[N+](=O)[O-])OCCc2ccccc21 ZINC000882927787 645513887 /nfs/dbraw/zinc/51/38/87/645513887.db2.gz UMYHGXQOAIHHOD-INIZCTEOSA-N 0 0 299.330 2.890 20 5 CFBDRN CC[C@H](Nc1cc(N)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000882956014 645527373 /nfs/dbraw/zinc/52/73/73/645527373.db2.gz XHMUJUQIQFMPDP-PWSUYJOCSA-N 0 0 279.340 2.794 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000130622855 645535837 /nfs/dbraw/zinc/53/58/37/645535837.db2.gz RPIHSUKDRXNOPN-VIFPVBQESA-N 0 0 280.299 2.961 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCC[C@]12CCCO2 ZINC000882984259 645543024 /nfs/dbraw/zinc/54/30/24/645543024.db2.gz BJUBVKATMNIJNF-YPMHNXCESA-N 0 0 263.297 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CC[C@H]1CCCC1(F)F ZINC000882988208 645545118 /nfs/dbraw/zinc/54/51/18/645545118.db2.gz GDFACLBMPGMHPY-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN Nc1c(F)c(NC2CCC3(COC3)CC2)ccc1[N+](=O)[O-] ZINC000882995474 645552647 /nfs/dbraw/zinc/55/26/47/645552647.db2.gz ZXKKJOWVHKTYMH-UHFFFAOYSA-N 0 0 295.314 2.687 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000131159614 645638724 /nfs/dbraw/zinc/63/87/24/645638724.db2.gz YQQVDSMHWNRFCK-QPUJVOFHSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1ccc(OC(=O)C2=COCCC2)cc1[N+](=O)[O-] ZINC000131709390 645792549 /nfs/dbraw/zinc/79/25/49/645792549.db2.gz FZSNOIHXXDPTAM-UHFFFAOYSA-N 0 0 263.249 2.503 20 5 CFBDRN C[C@@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)C(F)F ZINC000883399110 645795619 /nfs/dbraw/zinc/79/56/19/645795619.db2.gz DTWPBLOEBGJUQS-SNVBAGLBSA-N 0 0 299.321 2.807 20 5 CFBDRN Cc1ccc(OC(=O)CC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000131747854 645802171 /nfs/dbraw/zinc/80/21/71/645802171.db2.gz IZZDYFOZNOBKIM-NSHDSACASA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CSCCS2)cc1[N+](=O)[O-] ZINC000131912008 645849228 /nfs/dbraw/zinc/84/92/28/645849228.db2.gz QOJAPYMWWCPXOW-LLVKDONJSA-N 0 0 299.373 2.657 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CCCO1 ZINC000132069471 645872231 /nfs/dbraw/zinc/87/22/31/645872231.db2.gz XWAFVGJJIDTTMM-GFCCVEGCSA-N 0 0 284.699 2.756 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H](COC)C2CC2)cc1[N+](=O)[O-] ZINC000132431382 645916384 /nfs/dbraw/zinc/91/63/84/645916384.db2.gz HOQGABIDCXSNTK-AWEZNQCLSA-N 0 0 293.319 2.739 20 5 CFBDRN Cc1c(C(=O)NCCC2CCC2)cccc1[N+](=O)[O-] ZINC000133372132 646078738 /nfs/dbraw/zinc/07/87/38/646078738.db2.gz LJLSBJGMHUPWPG-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000800025561 646106007 /nfs/dbraw/zinc/10/60/07/646106007.db2.gz VTKOVQWATWSVEU-GHMZBOCLSA-N 0 0 297.282 2.767 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000800086580 646132304 /nfs/dbraw/zinc/13/23/04/646132304.db2.gz MWUYVNOGCHYLGN-MRVPVSSYSA-N 0 0 281.161 2.652 20 5 CFBDRN CCn1nccc1C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800144273 646155326 /nfs/dbraw/zinc/15/53/26/646155326.db2.gz PNNOLJNSDWQYBR-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN CS/C=C/C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800147711 646156107 /nfs/dbraw/zinc/15/61/07/646156107.db2.gz XSKVLJJWUNMRSZ-AATRIKPKSA-N 0 0 270.285 2.858 20 5 CFBDRN CO[C@H](C)CCC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800144580 646156308 /nfs/dbraw/zinc/15/63/08/646156308.db2.gz XIIORTIPGFQOQX-MRVPVSSYSA-N 0 0 284.287 2.796 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CC(F)(F)F ZINC000800145211 646156435 /nfs/dbraw/zinc/15/64/35/646156435.db2.gz RMFDYVQQMQEITB-UHFFFAOYSA-N 0 0 280.177 2.933 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CCSC1 ZINC000800150616 646157088 /nfs/dbraw/zinc/15/70/88/646157088.db2.gz WCRMENCYOHQWCW-MRVPVSSYSA-N 0 0 284.312 2.734 20 5 CFBDRN Cc1ncccc1C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800150517 646158110 /nfs/dbraw/zinc/15/81/10/646158110.db2.gz SAYUACFWEKLWRS-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=[N+]([O-])c1cccc(CS(=O)(=O)Oc2ccccc2)c1 ZINC000133907117 646253188 /nfs/dbraw/zinc/25/31/88/646253188.db2.gz FARFSEVAGSNUAD-UHFFFAOYSA-N 0 0 293.300 2.504 20 5 CFBDRN Cc1csc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)n1 ZINC000134030478 646266561 /nfs/dbraw/zinc/26/65/61/646266561.db2.gz FETMSTFIEOZRIX-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN C[C@H](CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C1CC1 ZINC000134299493 646323595 /nfs/dbraw/zinc/32/35/95/646323595.db2.gz FGZXDXSXPDDTDJ-SSDOTTSWSA-N 0 0 284.262 2.649 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(F)nc1 ZINC000134640955 646375546 /nfs/dbraw/zinc/37/55/46/646375546.db2.gz AXTINCSYLNATQX-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CO[C@@H](C)CN(C)c1ncc([N+](=O)[O-])c2ccccc21 ZINC000884507852 646406831 /nfs/dbraw/zinc/40/68/31/646406831.db2.gz OEXUWCIQBKGKFW-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCOC2)c2ccccc21 ZINC000884509799 646408945 /nfs/dbraw/zinc/40/89/45/646408945.db2.gz PQQMXIYHWPKPAB-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3OCC[C@@H]3C2)c2ccccc21 ZINC000884541269 646433048 /nfs/dbraw/zinc/43/30/48/646433048.db2.gz ACZBQWXIFWOVMC-ABAIWWIYSA-N 0 0 299.330 2.758 20 5 CFBDRN O=C(Nc1ccc(F)nc1)c1ccc([N+](=O)[O-])cc1F ZINC000135006804 646433859 /nfs/dbraw/zinc/43/38/59/646433859.db2.gz FCQFIRKNAVHIRT-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN C[C@H]1CCCC[C@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884554743 646445260 /nfs/dbraw/zinc/44/52/60/646445260.db2.gz BBUQPXXARNUYNF-CMPLNLGQSA-N 0 0 278.308 2.859 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(F)nc1 ZINC000135043937 646449495 /nfs/dbraw/zinc/44/94/95/646449495.db2.gz YQXOHWVLPNFXSH-UHFFFAOYSA-N 0 0 289.266 2.700 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N1C[C@@H]2C[C@@H]2C1 ZINC000884591445 646474773 /nfs/dbraw/zinc/47/47/73/646474773.db2.gz RVPWYENXVLINLV-AOOOYVTPSA-N 0 0 285.303 2.608 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1N1C[C@@H]2C[C@@H]2C1 ZINC000884591571 646474901 /nfs/dbraw/zinc/47/49/01/646474901.db2.gz UZCBXHWTXWONCU-OCAPTIKFSA-N 0 0 283.125 2.813 20 5 CFBDRN CCC[C@@H](CC)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884610707 646485359 /nfs/dbraw/zinc/48/53/59/646485359.db2.gz SXNZIUNZTVLLPH-SNVBAGLBSA-N 0 0 266.297 2.859 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1C[C@@H]2CSC[C@@H]2C1 ZINC000884644167 646504612 /nfs/dbraw/zinc/50/46/12/646504612.db2.gz GOPPRPDBQVJCTC-PHIMTYICSA-N 0 0 292.360 2.597 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CC3(CCC3)CO2)c(F)c1 ZINC000884660824 646513922 /nfs/dbraw/zinc/51/39/22/646513922.db2.gz DUHSBWQGNKCAMQ-SNVBAGLBSA-N 0 0 281.287 2.500 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CC2(CCC2)CO1 ZINC000884663802 646516898 /nfs/dbraw/zinc/51/68/98/646516898.db2.gz VWYDFWHAIOBAFM-GFCCVEGCSA-N 0 0 277.324 2.669 20 5 CFBDRN Cc1cc(N2CC[C@@]3(CO)CCC[C@@H]23)c(F)cc1[N+](=O)[O-] ZINC000884665126 646517842 /nfs/dbraw/zinc/51/78/42/646517842.db2.gz HEYBFZZOJWOTQL-HUUCEWRRSA-N 0 0 294.326 2.784 20 5 CFBDRN Cc1nsc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000135430763 646532505 /nfs/dbraw/zinc/53/25/05/646532505.db2.gz SQJBLKMNZCFBMD-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN C[C@@H]1C[C@@]2(CC[C@@H](CNc3ccccc3[N+](=O)[O-])O2)CO1 ZINC000884698641 646532954 /nfs/dbraw/zinc/53/29/54/646532954.db2.gz QJLVUHOSSFOJOZ-XUJVJEKNSA-N 0 0 292.335 2.733 20 5 CFBDRN CCOCC(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000135498434 646541036 /nfs/dbraw/zinc/54/10/36/646541036.db2.gz NWOUVXDDUONJSE-UHFFFAOYSA-N 0 0 272.688 2.532 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCOC1 ZINC000135498678 646541110 /nfs/dbraw/zinc/54/11/10/646541110.db2.gz OBDRZEAOTYBCME-SECBINFHSA-N 0 0 298.726 2.922 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]([C@@H]3CCCO3)C2)c(F)c1 ZINC000884730592 646543389 /nfs/dbraw/zinc/54/33/89/646543389.db2.gz LCLVQNDKZVTDPF-YGRLFVJLSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]([C@@H]3CCCO3)C2)s1 ZINC000884731208 646544608 /nfs/dbraw/zinc/54/46/08/646544608.db2.gz UZIZRPKVUKTPMI-UWVGGRQHSA-N 0 0 268.338 2.662 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]([C@@H]3CCCO3)C2)c(Cl)c1 ZINC000884731806 646545427 /nfs/dbraw/zinc/54/54/27/646545427.db2.gz FVWTVQUGDDHXIQ-CABZTGNLSA-N 0 0 297.742 2.649 20 5 CFBDRN COC(=O)c1cnc(NC2CCC=CCC2)c([N+](=O)[O-])c1 ZINC000884844093 646558327 /nfs/dbraw/zinc/55/83/27/646558327.db2.gz CFTRDBFYZYCICS-UHFFFAOYSA-N 0 0 291.307 2.687 20 5 CFBDRN CN(CCCF)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000884858253 646560418 /nfs/dbraw/zinc/56/04/18/646560418.db2.gz BONLJMZMOUEHTJ-UHFFFAOYSA-N 0 0 263.272 2.939 20 5 CFBDRN COCCOC(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000885017649 646581171 /nfs/dbraw/zinc/58/11/71/646581171.db2.gz ANNBVNXBUAYAEV-UHFFFAOYSA-N 0 0 289.671 2.718 20 5 CFBDRN CC[C@@H]1CCC[C@]1(C)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000885058417 646594519 /nfs/dbraw/zinc/59/45/19/646594519.db2.gz BVSGCRSHJDOZNI-RISCZKNCSA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1cnc(C2CC2)o1 ZINC000885331139 646662686 /nfs/dbraw/zinc/66/26/86/646662686.db2.gz UKZLMCIVTBDOHT-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN COCCCCOC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885424002 646684959 /nfs/dbraw/zinc/68/49/59/646684959.db2.gz JHMCRQXQCWJMPQ-UHFFFAOYSA-N 0 0 281.308 2.795 20 5 CFBDRN CCCOC(=O)c1cc([N+](=O)[O-])ccc1SCCOC ZINC000135908762 646685267 /nfs/dbraw/zinc/68/52/67/646685267.db2.gz MDZVILOALFVNLE-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)CC(C)C)c1C ZINC000885426093 646685425 /nfs/dbraw/zinc/68/54/25/646685425.db2.gz LKWPJIJDJZQBFZ-UHFFFAOYSA-N 0 0 293.319 2.984 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2CCCCC2=O)c1C ZINC000885430976 646686114 /nfs/dbraw/zinc/68/61/14/646686114.db2.gz VLRGARCZAYCNFC-CYBMUJFWSA-N 0 0 291.303 2.880 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1C[C@H](C)CO1)c1cccc([N+](=O)[O-])c1 ZINC000885566590 646708234 /nfs/dbraw/zinc/70/82/34/646708234.db2.gz LSZUIVPMVZGTHN-OPQQBVKSSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H]1CO[C@@H](C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000885577456 646710585 /nfs/dbraw/zinc/71/05/85/646710585.db2.gz XLBRWMRASKTGJF-QPUJVOFHSA-N 0 0 299.710 2.716 20 5 CFBDRN CC1(C)CO[C@@H](CC(=O)OCc2ccccc2[N+](=O)[O-])C1 ZINC000885624207 646721727 /nfs/dbraw/zinc/72/17/27/646721727.db2.gz SYIBXTCYZSANOG-LBPRGKRZSA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC1CCC=CCC1 ZINC000886024670 646826011 /nfs/dbraw/zinc/82/60/11/646826011.db2.gz HKOBNYXXMOTKCP-UHFFFAOYSA-N 0 0 288.347 3.000 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CC=C(C(F)(F)F)CC1 ZINC000886086313 646861439 /nfs/dbraw/zinc/86/14/39/646861439.db2.gz INTSGANPDBVASS-UHFFFAOYSA-N 0 0 287.241 2.684 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCc2cc(F)ccc2C1 ZINC000886102970 646873994 /nfs/dbraw/zinc/87/39/94/646873994.db2.gz VVEIREYHUCVVEA-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC=C(c2cccnc2)C1 ZINC000886206286 646921454 /nfs/dbraw/zinc/92/14/54/646921454.db2.gz PBBDRADZODTNKY-UHFFFAOYSA-N 0 0 296.330 2.674 20 5 CFBDRN Cc1ccoc1CN(C)Cc1cccnc1[N+](=O)[O-] ZINC000886216122 646924703 /nfs/dbraw/zinc/92/47/03/646924703.db2.gz XIXKEPQOBYXKFK-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN COc1ccccc1C1(NCc2cccnc2[N+](=O)[O-])CC1 ZINC000886263986 646938516 /nfs/dbraw/zinc/93/85/16/646938516.db2.gz MGFCDZPFBZMAQY-UHFFFAOYSA-N 0 0 299.330 2.777 20 5 CFBDRN C[C@H]1CN(Cc2cccnc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000886265185 646939610 /nfs/dbraw/zinc/93/96/10/646939610.db2.gz ALPNOHFQJPYTQZ-LBPRGKRZSA-N 0 0 291.351 2.523 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cc(C3CC3)no2)c(F)c1 ZINC000886270781 646943440 /nfs/dbraw/zinc/94/34/40/646943440.db2.gz MTGNHSHPELPEJL-UHFFFAOYSA-N 0 0 291.282 2.889 20 5 CFBDRN Cc1ncc([C@H](C)NCc2cccnc2[N+](=O)[O-])s1 ZINC000886305393 646960724 /nfs/dbraw/zinc/96/07/24/646960724.db2.gz FQCDPSWLROHUDV-QMMMGPOBSA-N 0 0 278.337 2.606 20 5 CFBDRN C[C@H](Nc1nc2ccccn2c1[N+](=O)[O-])c1ccccn1 ZINC000137654126 646969207 /nfs/dbraw/zinc/96/92/07/646969207.db2.gz DSDQRGFYBUBFCS-JTQLQIEISA-N 0 0 283.291 2.811 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000886478396 647013995 /nfs/dbraw/zinc/01/39/95/647013995.db2.gz VUHKZALAZHNGCF-MNOVXSKESA-N 0 0 298.364 2.546 20 5 CFBDRN Cc1cccc(COC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000138160531 647022010 /nfs/dbraw/zinc/02/20/10/647022010.db2.gz XWLNMMYFBLUFKG-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN COc1cc(C(=O)NCC(C)(C)C2CC2)ccc1[N+](=O)[O-] ZINC000887378247 647294217 /nfs/dbraw/zinc/29/42/17/647294217.db2.gz XOBZQKCNTLFWMJ-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)c2ccccc2[N+](=O)[O-])ccn1 ZINC000887400963 647303015 /nfs/dbraw/zinc/30/30/15/647303015.db2.gz MZSLOJTYPIAYPR-LLVKDONJSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@H](CNC(=O)[C@@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000887402575 647303612 /nfs/dbraw/zinc/30/36/12/647303612.db2.gz FOAVJIACHGCXAV-PWSUYJOCSA-N 0 0 276.336 2.861 20 5 CFBDRN C[C@H](CNC(=O)C1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000887403119 647303615 /nfs/dbraw/zinc/30/36/15/647303615.db2.gz OYNLIAYBJJGFIW-LLVKDONJSA-N 0 0 274.320 2.781 20 5 CFBDRN C[C@H](CNC(=O)C1=COCCC1)c1ccccc1[N+](=O)[O-] ZINC000887402335 647303691 /nfs/dbraw/zinc/30/36/91/647303691.db2.gz ANJXJQNKICPAMH-LLVKDONJSA-N 0 0 290.319 2.509 20 5 CFBDRN CCCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404882 647304324 /nfs/dbraw/zinc/30/43/24/647304324.db2.gz OONVATXXQVHVDT-JTQLQIEISA-N 0 0 250.298 2.615 20 5 CFBDRN COCCCCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404992 647305104 /nfs/dbraw/zinc/30/51/04/647305104.db2.gz QOXYOGVFHUVBID-GFCCVEGCSA-N 0 0 294.351 2.631 20 5 CFBDRN O=C(C[C@H]1CCC2(CCC2)O1)Oc1cccc([N+](=O)[O-])c1 ZINC000887599071 647367846 /nfs/dbraw/zinc/36/78/46/647367846.db2.gz SEADYPYKTMVFAM-CYBMUJFWSA-N 0 0 291.303 2.992 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2C[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000887730302 647401827 /nfs/dbraw/zinc/40/18/27/647401827.db2.gz MYIOIYLOIKPDDJ-JQWIXIFHSA-N 0 0 290.319 2.978 20 5 CFBDRN CC1(C)CO[C@H](CC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000887732206 647402813 /nfs/dbraw/zinc/40/28/13/647402813.db2.gz LAASXRWMDQMUPY-GFCCVEGCSA-N 0 0 278.308 2.739 20 5 CFBDRN CN(C(=O)C[C@H]1CC(C)(C)CO1)c1ccc([N+](=O)[O-])cc1 ZINC000887822227 647418382 /nfs/dbraw/zinc/41/83/82/647418382.db2.gz LZKAEXRDKGRHGQ-ZDUSSCGKSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cccc(OC(=O)COc2ccccc2[N+](=O)[O-])c1 ZINC000006153609 647441371 /nfs/dbraw/zinc/44/13/71/647441371.db2.gz GIARGIKVKYVWFX-UHFFFAOYSA-N 0 0 287.271 2.888 20 5 CFBDRN O=C(Oc1ccc2c(c1)OCO2)c1ccc([N+](=O)[O-])s1 ZINC000006213220 647458995 /nfs/dbraw/zinc/45/89/95/647458995.db2.gz HYVVCUSPYMRIOU-UHFFFAOYSA-N 0 0 293.256 2.604 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)[C@H](C)C2)c1C ZINC000889293309 647538664 /nfs/dbraw/zinc/53/86/64/647538664.db2.gz KEJCJQHRQJGLPL-GHMZBOCLSA-N 0 0 276.336 2.940 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2C[C@H](C)CO2)cc1[N+](=O)[O-] ZINC000889371266 647552095 /nfs/dbraw/zinc/55/20/95/647552095.db2.gz KLNLXEXLWBNWFT-NURSFMCSSA-N 0 0 292.335 2.505 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@@H]1C1CCCC1 ZINC000889392809 647558354 /nfs/dbraw/zinc/55/83/54/647558354.db2.gz UTOXJSUHEOXUHO-CQSZACIVSA-N 0 0 274.320 3.000 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1cccc([N+](=O)[O-])c1 ZINC000889578664 647602864 /nfs/dbraw/zinc/60/28/64/647602864.db2.gz ODRICGUYIHRWKL-ZWKOPEQDSA-N 0 0 260.293 2.513 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1ccccc1[N+](=O)[O-] ZINC000889584801 647605025 /nfs/dbraw/zinc/60/50/25/647605025.db2.gz OQGZAVJUFGQADM-HOSYDEDBSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)cc1[N+](=O)[O-] ZINC000889588134 647606749 /nfs/dbraw/zinc/60/67/49/647606749.db2.gz VBDQIDGJOXDYEH-LPEHRKFASA-N 0 0 280.349 2.883 20 5 CFBDRN C[C@H]1CSCCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000889912577 647699454 /nfs/dbraw/zinc/69/94/54/647699454.db2.gz GBAODHKZMDUCBN-QMMMGPOBSA-N 0 0 286.378 2.624 20 5 CFBDRN CCC(CC)OC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000001610554 647816496 /nfs/dbraw/zinc/81/64/96/647816496.db2.gz XCFUOXLGQPIUEG-UHFFFAOYSA-N 0 0 282.252 2.848 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ccccc1O ZINC000005783938 647852194 /nfs/dbraw/zinc/85/21/94/647852194.db2.gz JMNDQGWBSUSCQQ-UHFFFAOYSA-N 0 0 273.244 2.657 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2)C=CCC1 ZINC000924408307 647974640 /nfs/dbraw/zinc/97/46/40/647974640.db2.gz COLJCTRMWBJKSF-CQSZACIVSA-N 0 0 261.277 2.994 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@@H](C)[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000925234676 648138603 /nfs/dbraw/zinc/13/86/03/648138603.db2.gz NWIXDASYVOMZEF-IJLUTSLNSA-N 0 0 291.351 2.953 20 5 CFBDRN C[C@@H](NC(=O)N1CC(C2CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000925247998 648141677 /nfs/dbraw/zinc/14/16/77/648141677.db2.gz PSQJYMUTZKODJS-SNVBAGLBSA-N 0 0 289.335 2.707 20 5 CFBDRN C[C@@H](NC(=O)N1CC2CC1(C)C2)c1ccc([N+](=O)[O-])cc1 ZINC000925249345 648142748 /nfs/dbraw/zinc/14/27/48/648142748.db2.gz NAGSXTODSYDIPA-RWWNRMGGSA-N 0 0 289.335 2.850 20 5 CFBDRN CCc1ccc(C(=O)N=S2(=O)CCCC2)cc1[N+](=O)[O-] ZINC000925919830 648366051 /nfs/dbraw/zinc/36/60/51/648366051.db2.gz CKWHLOWNGABOOV-UHFFFAOYSA-N 0 0 296.348 2.559 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N=[S@@](C)(=O)C(C)C ZINC000926053030 648392781 /nfs/dbraw/zinc/39/27/81/648392781.db2.gz VCUORONVHMHLTR-FQEVSTJZSA-N 0 0 298.364 2.858 20 5 CFBDRN Cc1c(C(=O)N=[S@@](C)(=O)C(C)C)cccc1[N+](=O)[O-] ZINC000926078478 648400242 /nfs/dbraw/zinc/40/02/42/648400242.db2.gz ROIIDRWMMQPLAN-IBGZPJMESA-N 0 0 284.337 2.550 20 5 CFBDRN CSC1CCC(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000927388278 648763277 /nfs/dbraw/zinc/76/32/77/648763277.db2.gz LGYITVYQBALSIQ-UHFFFAOYSA-N 0 0 297.380 2.575 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000928039231 648846923 /nfs/dbraw/zinc/84/69/23/648846923.db2.gz IVSKSOOXVSRWBK-HGUIZCDCSA-N 0 0 288.347 2.859 20 5 CFBDRN CCc1nocc1C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246122 648887533 /nfs/dbraw/zinc/88/75/33/648887533.db2.gz ANKFDKUJLHKCPG-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245882 648887704 /nfs/dbraw/zinc/88/77/04/648887704.db2.gz XTZUTNUFLHVSNP-IJLUTSLNSA-N 0 0 294.351 2.691 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928246344 648887869 /nfs/dbraw/zinc/88/78/69/648887869.db2.gz BHLPKCPCJTWGNF-JTNHKYCSSA-N 0 0 292.335 2.587 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000928248250 648888759 /nfs/dbraw/zinc/88/87/59/648888759.db2.gz IIEYMYNBCURYRG-DDTOSNHZSA-N 0 0 292.335 2.730 20 5 CFBDRN C[C@@H](NC(=O)C12CC(C1)C2)c1ccc([N+](=O)[O-])cc1 ZINC000928247226 648888770 /nfs/dbraw/zinc/88/87/70/648888770.db2.gz RBKGKHOIAAMIHN-NAUIOFCNSA-N 0 0 260.293 2.572 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000928249159 648888805 /nfs/dbraw/zinc/88/88/05/648888805.db2.gz PCXGSBOXIUDVCY-BXKDBHETSA-N 0 0 280.349 2.668 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000928935522 648967576 /nfs/dbraw/zinc/96/75/76/648967576.db2.gz ZLQHDCWTMSEBAQ-SREVYHEPSA-N 0 0 282.727 2.785 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000928935523 648967716 /nfs/dbraw/zinc/96/77/16/648967716.db2.gz ZLQHDCWTMSEBAQ-VOTSOKGWSA-N 0 0 282.727 2.785 20 5 CFBDRN O=C([C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCCCC1 ZINC000929096898 648977964 /nfs/dbraw/zinc/97/79/64/648977964.db2.gz JJOOHFHBHCTVRD-KBPBESRZSA-N 0 0 274.320 2.711 20 5 CFBDRN C[C@@H]1CSCCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000929114504 648982081 /nfs/dbraw/zinc/98/20/81/648982081.db2.gz BUJGBENCILHBLB-SNVBAGLBSA-N 0 0 295.364 2.954 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000929175196 648994656 /nfs/dbraw/zinc/99/46/56/648994656.db2.gz PYQRPLCPCDRXPB-GFCCVEGCSA-N 0 0 254.717 2.754 20 5 CFBDRN CCC(CC)NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929201494 649000942 /nfs/dbraw/zinc/00/09/42/649000942.db2.gz QDHAVKILZVBSPI-UHFFFAOYSA-N 0 0 282.315 2.971 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)COC(F)(F)F ZINC000929233483 649009876 /nfs/dbraw/zinc/00/98/76/649009876.db2.gz ZBHHUYICEQJSIU-UHFFFAOYSA-N 0 0 292.213 2.687 20 5 CFBDRN O=[N+]([O-])CCN1CCCC[C@H]1c1ccc(F)cc1 ZINC000929788278 649123441 /nfs/dbraw/zinc/12/34/41/649123441.db2.gz KPZFHCQMAHDFKZ-ZDUSSCGKSA-N 0 0 252.289 2.629 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@H](c2nc3ccccc3s2)C1 ZINC000929860727 649141071 /nfs/dbraw/zinc/14/10/71/649141071.db2.gz FFSJWVLUPGMWJT-NSHDSACASA-N 0 0 291.376 2.752 20 5 CFBDRN O=[N+]([O-])CCN1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000930037249 649172123 /nfs/dbraw/zinc/17/21/23/649172123.db2.gz HVTYWBXAJWMTHH-GFCCVEGCSA-N 0 0 275.308 2.632 20 5 CFBDRN C[C@H](c1ncccn1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000930098869 649183908 /nfs/dbraw/zinc/18/39/08/649183908.db2.gz GWQLCRNOVLHVOK-LLVKDONJSA-N 0 0 284.319 2.504 20 5 CFBDRN Cc1ccccc1[C@@H](CC(C)C)[NH2+]CC[N+](=O)[O-] ZINC000930218117 649208537 /nfs/dbraw/zinc/20/85/37/649208537.db2.gz VVKXZXWJDYPVFH-CQSZACIVSA-N 0 0 250.342 2.949 20 5 CFBDRN C[C@@]1(C(=O)NCc2ccc([N+](=O)[O-])cc2)C=CCC1 ZINC000930276588 649220118 /nfs/dbraw/zinc/22/01/18/649220118.db2.gz QOCTZHBGWNLFIC-CQSZACIVSA-N 0 0 260.293 2.567 20 5 CFBDRN C[C@]1(C(=O)NCc2cccc([N+](=O)[O-])c2)C=CCC1 ZINC000930921268 649350064 /nfs/dbraw/zinc/35/00/64/649350064.db2.gz OFVVYDDHHTYJKV-AWEZNQCLSA-N 0 0 260.293 2.567 20 5 CFBDRN CC[C@@H](C)Nc1ccc(/C=C\C(=O)OC)cc1[N+](=O)[O-] ZINC000931254687 649397569 /nfs/dbraw/zinc/39/75/69/649397569.db2.gz NWILIJSICRYDBL-BJPMOSCESA-N 0 0 278.308 2.991 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931458569 649432233 /nfs/dbraw/zinc/43/22/33/649432233.db2.gz IHFVFAWATINNNX-WDEREUQCSA-N 0 0 286.335 2.998 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC(F)(C2CC2)CC1 ZINC000932627873 649630925 /nfs/dbraw/zinc/63/09/25/649630925.db2.gz AWILANHNMCVLGF-UHFFFAOYSA-N 0 0 279.315 2.704 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000933368227 649689247 /nfs/dbraw/zinc/68/92/47/649689247.db2.gz LSRKGHNZOBOLOF-WDEREUQCSA-N 0 0 294.326 2.923 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000933614801 649706603 /nfs/dbraw/zinc/70/66/03/649706603.db2.gz TYOTVLKDPMRXAZ-UONOGXRCSA-N 0 0 274.320 2.709 20 5 CFBDRN Cc1ccc(CN2CCOC[C@@]2(C)C2CC2)cc1[N+](=O)[O-] ZINC000934475038 649792414 /nfs/dbraw/zinc/79/24/14/649792414.db2.gz IJXSAPHZCXSSGB-INIZCTEOSA-N 0 0 290.363 2.904 20 5 CFBDRN CC(C)C[C@@H](O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000306102848 651088183 /nfs/dbraw/zinc/08/81/83/651088183.db2.gz QEJZJCRVQJTHQQ-SECBINFHSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@H](CNC(=O)c1ccc(N)c([N+](=O)[O-])c1)c1ccccc1 ZINC000017849359 651280479 /nfs/dbraw/zinc/28/04/79/651280479.db2.gz WKNQDBQPHWZFKA-LLVKDONJSA-N 0 0 299.330 2.711 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1CCOCC1 ZINC000118634239 651292491 /nfs/dbraw/zinc/29/24/91/651292491.db2.gz AUWXGFZSDVAOQJ-UHFFFAOYSA-N 0 0 298.726 2.922 20 5 CFBDRN COC[C@@H](Nc1nc(Cl)cc(C)c1[N+](=O)[O-])C1CC1 ZINC001161720214 780479112 /nfs/dbraw/zinc/47/91/12/780479112.db2.gz KZEBXLKLBWUYOQ-SECBINFHSA-N 0 0 285.731 2.789 20 5 CFBDRN Cc1cc(NC[C@H]2CCC3(CCC3)O2)ncc1[N+](=O)[O-] ZINC000711522561 781453355 /nfs/dbraw/zinc/45/33/55/781453355.db2.gz QWDLCWKCSMPKPA-LLVKDONJSA-N 0 0 277.324 2.812 20 5 CFBDRN COc1nc(N2CC(c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC001167061292 769880415 /nfs/dbraw/zinc/88/04/15/769880415.db2.gz LREYVJSLVVJMGG-UHFFFAOYSA-N 0 0 285.303 2.602 20 5 CFBDRN COc1cc(C)nc(N2CC(c3ccccc3)C2)c1[N+](=O)[O-] ZINC001167064334 769887228 /nfs/dbraw/zinc/88/72/28/769887228.db2.gz ZIUSXFOHRPUGHB-UHFFFAOYSA-N 0 0 299.330 2.911 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)nc(N2CC(c3cccnc3)C2)c1 ZINC001167066860 769896265 /nfs/dbraw/zinc/89/62/65/769896265.db2.gz GWDZBOPEDMKPOT-UHFFFAOYSA-N 0 0 290.710 2.642 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)c1cc([N+](=O)[O-])c2cc[nH]c2n1 ZINC001167093152 769978682 /nfs/dbraw/zinc/97/86/82/769978682.db2.gz VBHDHTYOSPWEQP-VIFPVBQESA-N 0 0 274.324 2.953 20 5 CFBDRN CC(C)[C@@H](O)COc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000690695587 770003141 /nfs/dbraw/zinc/00/31/41/770003141.db2.gz JYNNQWUSIZFIPL-JTQLQIEISA-N 0 0 277.679 2.783 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cncc(Cl)c1 ZINC001125315653 770058866 /nfs/dbraw/zinc/05/88/66/770058866.db2.gz DHGCTCWPRHLTJN-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN Cc1cc(Cl)nc(N2CC[C@@H]3OCC[C@@H]3C2)c1[N+](=O)[O-] ZINC001167216829 770150925 /nfs/dbraw/zinc/15/09/25/770150925.db2.gz VZIPPQRYJXPKTH-ZJUUUORDSA-N 0 0 297.742 2.567 20 5 CFBDRN CC(=O)N(CCN(C)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC001125336073 770156706 /nfs/dbraw/zinc/15/67/06/770156706.db2.gz JRKGMHUNIMEBOH-UHFFFAOYSA-N 0 0 293.367 2.596 20 5 CFBDRN O=c1cnc2cc(Nc3cccc([N+](=O)[O-])c3)ccc2[nH]1 ZINC001204477109 770176284 /nfs/dbraw/zinc/17/62/84/770176284.db2.gz QVVVYZCGLSMFDQ-UHFFFAOYSA-N 0 0 282.259 2.575 20 5 CFBDRN Cc1csc(Nc2cccc([N+](=O)[O-])c2F)c1C(N)=O ZINC001210377815 770273898 /nfs/dbraw/zinc/27/38/98/770273898.db2.gz AHVJCJCTZGZYFV-UHFFFAOYSA-N 0 0 295.295 2.946 20 5 CFBDRN CCOc1cc(Nc2cc([N+](=O)[O-])ccc2OC)no1 ZINC001210445117 770285963 /nfs/dbraw/zinc/28/59/63/770285963.db2.gz XTNJEZYSKOMKKR-UHFFFAOYSA-N 0 0 279.252 2.734 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(Cl)cc1NCc1cccnn1 ZINC001167330839 770304547 /nfs/dbraw/zinc/30/45/47/770304547.db2.gz YRODZHMAEHTUDV-FNORWQNLSA-N 0 0 290.710 2.990 20 5 CFBDRN Cc1cc(Cl)c(NC2CC(=O)C2)c([N+](=O)[O-])c1 ZINC001167347872 770349675 /nfs/dbraw/zinc/34/96/75/770349675.db2.gz GHLQTULBUMGAFP-UHFFFAOYSA-N 0 0 254.673 2.700 20 5 CFBDRN Nc1cnc(Cl)c(Nc2ccc(F)cc2[N+](=O)[O-])n1 ZINC001210952324 770404083 /nfs/dbraw/zinc/40/40/83/770404083.db2.gz DOTILIZGXDPCGH-UHFFFAOYSA-N 0 0 283.650 2.503 20 5 CFBDRN Cc1c2ccc(N)cc2nn1-c1ccc(F)cc1[N+](=O)[O-] ZINC001210953584 770404165 /nfs/dbraw/zinc/40/41/65/770404165.db2.gz LHIMPPQBKVDLAK-UHFFFAOYSA-N 0 0 286.266 2.963 20 5 CFBDRN Cc1cc(NC(=O)c2ncc(Cl)cn2)ccc1[N+](=O)[O-] ZINC001266630779 770459343 /nfs/dbraw/zinc/45/93/43/770459343.db2.gz CONHGXLTIYOQCF-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1cnc(Nc2cc([N+](=O)[O-])ccc2C)c(N)c1 ZINC001211286408 770477015 /nfs/dbraw/zinc/47/70/15/770477015.db2.gz SUJWHLIMAULLJL-UHFFFAOYSA-N 0 0 258.281 2.932 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-n1c(=N)ccc2c(N)cccc21 ZINC001211290539 770477596 /nfs/dbraw/zinc/47/75/96/770477596.db2.gz GAJXOOPROVGBJD-UHFFFAOYSA-N 0 0 294.314 2.909 20 5 CFBDRN C[C@H](Nc1nc2sccn2c1[N+](=O)[O-])C1(C)CC1 ZINC000706920850 770547992 /nfs/dbraw/zinc/54/79/92/770547992.db2.gz BMSCEOQKMQQGKL-ZETCQYMHSA-N 0 0 266.326 2.905 20 5 CFBDRN Cc1cn(C)nc1CNc1cccc([N+](=O)[O-])c1C ZINC000723499855 770573923 /nfs/dbraw/zinc/57/39/23/770573923.db2.gz XJRCCGMUGXQHJR-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CCn1ccnc1SCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000689834815 770630614 /nfs/dbraw/zinc/63/06/14/770630614.db2.gz OJRBIDLGYLAPDT-UHFFFAOYSA-N 0 0 291.332 2.786 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])c1ccc(F)cn1 ZINC001167479571 770631417 /nfs/dbraw/zinc/63/14/17/770631417.db2.gz PYMFVJHHKCDTFM-QMMMGPOBSA-N 0 0 262.244 2.697 20 5 CFBDRN C[C@H](Nc1c(Cl)cncc1[N+](=O)[O-])c1ccc(F)cn1 ZINC001167483536 770647000 /nfs/dbraw/zinc/64/70/00/770647000.db2.gz RPZJESHAFKPKDK-ZETCQYMHSA-N 0 0 296.689 2.772 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccncc1 ZINC000588435163 770674138 /nfs/dbraw/zinc/67/41/38/770674138.db2.gz GAVSSCXIHDUOGH-BENRWUELSA-N 0 0 297.314 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CC2)[C@@H]2CCCOC2)cn1 ZINC000723846997 770683873 /nfs/dbraw/zinc/68/38/73/770683873.db2.gz SWUBNUYIUQZCCE-BXUZGUMPSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@H](Nc1nc(C)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723870676 770696820 /nfs/dbraw/zinc/69/68/20/770696820.db2.gz JRFHXHIGPYEAOF-NEPJUHHUSA-N 0 0 279.340 2.915 20 5 CFBDRN CSC[C@@H](C)NC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588439081 770697184 /nfs/dbraw/zinc/69/71/84/770697184.db2.gz PQHCKCSWSLLXQO-HIJJYWJESA-N 0 0 294.376 2.866 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OC[C@H]1CCCO1 ZINC000588445165 770705579 /nfs/dbraw/zinc/70/55/79/770705579.db2.gz NKRJWKDQTFHAEH-RBJHHQRMSA-N 0 0 291.303 2.720 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NCc1ccnc(N)c1 ZINC001167617078 770993721 /nfs/dbraw/zinc/99/37/21/770993721.db2.gz GIQJUQHOAXVKPU-UHFFFAOYSA-N 0 0 288.307 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(COC[C@@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC001224095434 775101138 /nfs/dbraw/zinc/10/11/38/775101138.db2.gz ZLXTZYYGMROSHB-LBPRGKRZSA-N 0 0 294.263 2.712 20 5 CFBDRN C[C@@H](OCc1ccc([N+](=O)[O-])nc1)c1ncccc1F ZINC001224113098 775103524 /nfs/dbraw/zinc/10/35/24/775103524.db2.gz HAFDDCKJRZONQX-SECBINFHSA-N 0 0 277.255 2.802 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@H]2CCCC[C@@H]2F)cn1 ZINC001224114730 775103798 /nfs/dbraw/zinc/10/37/98/775103798.db2.gz PVDHFTRKJIVZFL-QWRGUYRKSA-N 0 0 254.261 2.787 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@H]2CCC(F)(F)C2)cn1 ZINC001224113427 775103857 /nfs/dbraw/zinc/10/38/57/775103857.db2.gz IIMWFAABQJNCDY-VIFPVBQESA-N 0 0 258.224 2.694 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCCC(F)(F)C1 ZINC000404780399 775135696 /nfs/dbraw/zinc/13/56/96/775135696.db2.gz IGRLRCXOQOAVHL-UHFFFAOYSA-N 0 0 288.225 2.605 20 5 CFBDRN C[C@@H](OCCN1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC001224282085 775144042 /nfs/dbraw/zinc/14/40/42/775144042.db2.gz IOPJOKOOUFTFER-GFCCVEGCSA-N 0 0 280.324 2.700 20 5 CFBDRN COCCCCO[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001224320117 775156525 /nfs/dbraw/zinc/15/65/25/775156525.db2.gz OKUHTNZUBBKFLM-AWEZNQCLSA-N 0 0 265.309 2.505 20 5 CFBDRN C[C@@H](OCCc1ccc([N+](=O)[O-])cc1)c1cncnc1 ZINC001224330898 775159527 /nfs/dbraw/zinc/15/95/27/775159527.db2.gz LGVYYDAGIHGYHS-LLVKDONJSA-N 0 0 273.292 2.705 20 5 CFBDRN CC[C@@H]1OC(=O)C(OCCc2ccc([N+](=O)[O-])cc2)=C1C ZINC001224332496 775161193 /nfs/dbraw/zinc/16/11/93/775161193.db2.gz YHGONCHXTRZZOH-ZDUSSCGKSA-N 0 0 291.303 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](OCCC1CCOCC1)C2 ZINC001224547718 775215990 /nfs/dbraw/zinc/21/59/90/775215990.db2.gz NHOQPWJEPSAKJG-INIZCTEOSA-N 0 0 291.347 2.895 20 5 CFBDRN CC(C)=CCCO[C@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC001224716037 775257704 /nfs/dbraw/zinc/25/77/04/775257704.db2.gz DOPDIOMRKPRCIK-AWEZNQCLSA-N 0 0 291.351 2.941 20 5 CFBDRN O=C1CCCC[C@H]1OCCOc1ccc([N+](=O)[O-])cc1 ZINC001224779506 775271381 /nfs/dbraw/zinc/27/13/81/775271381.db2.gz TVOKJFRHXIDMBD-CQSZACIVSA-N 0 0 279.292 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](OCCn1cccc1)C2 ZINC001224890799 775301204 /nfs/dbraw/zinc/30/12/04/775301204.db2.gz NRUXMOXTBDHBCE-OAHLLOKOSA-N 0 0 272.304 2.580 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccccc1)c1ccc2c(c1)OCO2 ZINC001225196787 775363181 /nfs/dbraw/zinc/36/31/81/775363181.db2.gz ZXGCHJKYFFDDSO-HNNXBMFYSA-N 0 0 287.271 2.812 20 5 CFBDRN CCOc1ccccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225212385 775367829 /nfs/dbraw/zinc/36/78/29/775367829.db2.gz IDQVRVSSBDSWCH-ZDUSSCGKSA-N 0 0 251.282 2.519 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382164 775436557 /nfs/dbraw/zinc/43/65/57/775436557.db2.gz MHKBFHSJNDFTGW-SECBINFHSA-N 0 0 257.261 2.928 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2ccc(F)c([N+](=O)[O-])c2)C1(C)C ZINC001225383753 775438083 /nfs/dbraw/zinc/43/80/83/775438083.db2.gz GLPNZILPOODJBT-CABZTGNLSA-N 0 0 297.282 2.700 20 5 CFBDRN Cc1ncc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)c(C)n1 ZINC001225403626 775442846 /nfs/dbraw/zinc/44/28/46/775442846.db2.gz RZGDDOGVNFHRRO-AWEZNQCLSA-N 0 0 285.303 2.548 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2c(Cl)nccc2[N+](=O)[O-])=C1C ZINC001225431235 775453957 /nfs/dbraw/zinc/45/39/57/775453957.db2.gz NTXGHDNUYXDTBR-QMMMGPOBSA-N 0 0 298.682 2.631 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1c(Cl)nccc1[N+](=O)[O-] ZINC001225430546 775454256 /nfs/dbraw/zinc/45/42/56/775454256.db2.gz CWTVPQLEYYYSKD-VIFPVBQESA-N 0 0 272.688 2.780 20 5 CFBDRN COc1cc(O[C@H](C)C(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC001225441414 775458394 /nfs/dbraw/zinc/45/83/94/775458394.db2.gz NFLWBCROYOPIKJ-SECBINFHSA-N 0 0 297.307 2.712 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@H]1C=CCCC1 ZINC001225450060 775461218 /nfs/dbraw/zinc/46/12/18/775461218.db2.gz FBNAQYMCOMDEFN-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN Cc1ccc2cccc(O[C@@H](C[N+](=O)[O-])C3CC3)c2n1 ZINC001225478012 775473273 /nfs/dbraw/zinc/47/32/73/775473273.db2.gz RUHJAIZFWKJGGJ-AWEZNQCLSA-N 0 0 272.304 2.977 20 5 CFBDRN COC1=CC[C@@H](Oc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC001225494748 775480037 /nfs/dbraw/zinc/48/00/37/775480037.db2.gz WEADLTFVLSZFSS-LLVKDONJSA-N 0 0 265.265 2.762 20 5 CFBDRN C[NH+](C)[C@H]1CC[C@H](Oc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC001225492663 775480192 /nfs/dbraw/zinc/48/01/92/775480192.db2.gz ZMIKDBGCUDXOOH-UMSPYCQHSA-N 0 0 280.324 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1O[C@H]1CCC(F)(F)C1 ZINC001225494407 775480402 /nfs/dbraw/zinc/48/04/02/775480402.db2.gz RIQUBWQBCKXVDD-QMMMGPOBSA-N 0 0 259.208 2.867 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1cc(F)ccc1[N+](=O)[O-] ZINC001225576521 775507732 /nfs/dbraw/zinc/50/77/32/775507732.db2.gz AICZCVMPDRWEIX-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@@H]1CCC=CO1 ZINC001225574857 775508095 /nfs/dbraw/zinc/50/80/95/775508095.db2.gz HUZPGYJNBXXOOI-JTQLQIEISA-N 0 0 253.229 2.805 20 5 CFBDRN CC(C)[C@H](Oc1ncccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC001225593352 775515714 /nfs/dbraw/zinc/51/57/14/775515714.db2.gz ORQQBGXAQSSGRI-NSHDSACASA-N 0 0 296.323 2.735 20 5 CFBDRN CC(C)Oc1cncc(COc2ncccc2[N+](=O)[O-])c1 ZINC001225595961 775518463 /nfs/dbraw/zinc/51/84/63/775518463.db2.gz NSJKUMQAKPERIN-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CC=CC2)c([N+](=O)[O-])c1 ZINC001225600877 775519624 /nfs/dbraw/zinc/51/96/24/775519624.db2.gz KGVAPOGAQDORIU-UHFFFAOYSA-N 0 0 264.237 2.909 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H](C)c1ncccn1 ZINC001225613812 775523097 /nfs/dbraw/zinc/52/30/97/775523097.db2.gz UXFXUKIUMSKYKT-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H](C)c1cn(C)nc1C ZINC001225614056 775523740 /nfs/dbraw/zinc/52/37/40/775523740.db2.gz YRDKILOZSTYDOJ-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN O=[N+]([O-])c1ncccc1O[C@@H]1CCOc2ccccc21 ZINC001225629276 775532175 /nfs/dbraw/zinc/53/21/75/775532175.db2.gz IRUWEVDFASZHPP-GFCCVEGCSA-N 0 0 272.260 2.892 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC001225636278 775534526 /nfs/dbraw/zinc/53/45/26/775534526.db2.gz UVLZBMQIKCHKEA-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2cccnc2c1)C1CC1 ZINC001225647938 775540887 /nfs/dbraw/zinc/54/08/87/775540887.db2.gz VYSLWDGIUSYTPR-CQSZACIVSA-N 0 0 258.277 2.669 20 5 CFBDRN C[C@H](Oc1ncccc1O)c1ccccc1[N+](=O)[O-] ZINC001225654485 775544272 /nfs/dbraw/zinc/54/42/72/775544272.db2.gz HEGJSYKCRHERBN-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN Cc1cc(O[C@H]2CCCC[C@H]2F)ncc1[N+](=O)[O-] ZINC001225660906 775545899 /nfs/dbraw/zinc/54/58/99/775545899.db2.gz IEAUQFRMXCSJMQ-KOLCDFICSA-N 0 0 254.261 2.958 20 5 CFBDRN COC1CCC(Oc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC001225663952 775547466 /nfs/dbraw/zinc/54/74/66/775547466.db2.gz VJQRSBXHPYLGPX-UHFFFAOYSA-N 0 0 266.297 2.635 20 5 CFBDRN COc1cccc([C@H](C)Oc2[nH]ccc(=O)c2[N+](=O)[O-])c1 ZINC001225668197 775550095 /nfs/dbraw/zinc/55/00/95/775550095.db2.gz ZVEAHKUMTHERNJ-VIFPVBQESA-N 0 0 290.275 2.844 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cccc(F)c1[N+](=O)[O-] ZINC001225673325 775551640 /nfs/dbraw/zinc/55/16/40/775551640.db2.gz YRNUNLMXZKRVGP-JTQLQIEISA-N 0 0 253.229 2.624 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cccc(F)c1[N+](=O)[O-] ZINC001225673326 775552093 /nfs/dbraw/zinc/55/20/93/775552093.db2.gz YRNUNLMXZKRVGP-SNVBAGLBSA-N 0 0 253.229 2.624 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)C[C@@]1(C)CO ZINC000694706634 775552237 /nfs/dbraw/zinc/55/22/37/775552237.db2.gz HMJRRTUAUUTQKZ-RNCFNFMXSA-N 0 0 284.743 2.703 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)C[C@]1(C)CO ZINC000694706633 775553039 /nfs/dbraw/zinc/55/30/39/775553039.db2.gz HMJRRTUAUUTQKZ-NOZJJQNGSA-N 0 0 284.743 2.703 20 5 CFBDRN CC1=C(Oc2cc(Cl)ccc2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001225711902 775569647 /nfs/dbraw/zinc/56/96/47/775569647.db2.gz BHAYROCGIZITBI-ZETCQYMHSA-N 0 0 283.667 2.846 20 5 CFBDRN CCO[C@H](C)COc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225780096 775590989 /nfs/dbraw/zinc/59/09/89/775590989.db2.gz UPWWOUQNESGSOX-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225785615 775592295 /nfs/dbraw/zinc/59/22/95/775592295.db2.gz IRSYKCYOLFDEAO-ZKCHVHJHSA-N 0 0 285.683 2.579 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1cccc([N+](=O)[O-])c1F ZINC001225795082 775596226 /nfs/dbraw/zinc/59/62/26/775596226.db2.gz BYTDBTVGOZQMEQ-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN CCOCC(COCC)Oc1cccc([N+](=O)[O-])c1F ZINC001225794946 775596304 /nfs/dbraw/zinc/59/63/04/775596304.db2.gz YPFKDXCQFZJSTK-UHFFFAOYSA-N 0 0 287.287 2.554 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(OC[C@@H]2CC[C@H](C)O2)c1 ZINC001225802134 775598602 /nfs/dbraw/zinc/59/86/02/775598602.db2.gz NXWCDYAWABSYGW-CABZTGNLSA-N 0 0 279.292 2.744 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(F)ccc(F)c1F)c1cccnc1 ZINC001225871991 775618153 /nfs/dbraw/zinc/61/81/53/775618153.db2.gz BHQDKWVQHMJZIL-NSHDSACASA-N 0 0 298.220 2.896 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225904387 775629440 /nfs/dbraw/zinc/62/94/40/775629440.db2.gz CJYJNHNCRYOXEP-NSHDSACASA-N 0 0 255.245 2.870 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225904386 775629869 /nfs/dbraw/zinc/62/98/69/775629869.db2.gz CJYJNHNCRYOXEP-LLVKDONJSA-N 0 0 255.245 2.870 20 5 CFBDRN Cc1c([C@@H](C)Oc2ccc([N+](=O)[O-])c(F)c2)cnn1C ZINC001225912437 775632290 /nfs/dbraw/zinc/63/22/90/775632290.db2.gz KEGWWCKQLIZZMI-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cccc(F)c1F ZINC001225924371 775637080 /nfs/dbraw/zinc/63/70/80/775637080.db2.gz MHDMDKCIARPJIM-MRVPVSSYSA-N 0 0 297.261 2.845 20 5 CFBDRN C[C@H]1C[C@H](Oc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC001225942346 775642406 /nfs/dbraw/zinc/64/24/06/775642406.db2.gz QNPVHKISUZWYSQ-WCBMZHEXSA-N 0 0 255.245 2.680 20 5 CFBDRN CC(C)(C)c1ccc(O[C@H]2C[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC001218412099 775644669 /nfs/dbraw/zinc/64/46/69/775644669.db2.gz BHXJZOFQJCOCEE-PHIMTYICSA-N 0 0 265.309 2.794 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(C(F)(F)F)ccn1)C1CC1 ZINC001226001422 775663809 /nfs/dbraw/zinc/66/38/09/775663809.db2.gz KFTQHPCFMBZOQR-SECBINFHSA-N 0 0 276.214 2.535 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2c(F)cccc2[N+](=O)[O-])CC1 ZINC001226011000 775667675 /nfs/dbraw/zinc/66/76/75/775667675.db2.gz YEKQLBCMFMECDE-MGCOHNPYSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H]1CCC[C@H]1Oc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC001226064642 775685743 /nfs/dbraw/zinc/68/57/43/775685743.db2.gz DBRVKUSZGPOHLX-GXSJLCMTSA-N 0 0 299.348 2.566 20 5 CFBDRN C[C@@H](Oc1ncc(Cl)cc1[N+](=O)[O-])c1cnccn1 ZINC001226131225 775711631 /nfs/dbraw/zinc/71/16/31/775711631.db2.gz FBVUSUBZVYPZMY-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN COC(=O)C1(Nc2cnc(Cl)cc2[N+](=O)[O-])CCCC1 ZINC001167715641 775722694 /nfs/dbraw/zinc/72/26/94/775722694.db2.gz WKKQUNBAHBYULE-UHFFFAOYSA-N 0 0 299.714 2.541 20 5 CFBDRN CC(C)CC[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226167492 775723329 /nfs/dbraw/zinc/72/33/29/775723329.db2.gz KABBWULKVVEVBE-JTQLQIEISA-N 0 0 280.324 2.897 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CC2CCC1CC2 ZINC001226167761 775723503 /nfs/dbraw/zinc/72/35/03/775723503.db2.gz KTXPCIVRWJIASK-RPFQZYLTSA-N 0 0 290.319 2.651 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226180181 775726395 /nfs/dbraw/zinc/72/63/95/775726395.db2.gz KMAGGYVLIZRYQS-RDDDGLTNSA-N 0 0 273.235 2.677 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226191920 775733140 /nfs/dbraw/zinc/73/31/40/775733140.db2.gz UYLOTCUCWAGGGV-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCCO2)c(Cl)cc1F ZINC001226196286 775734679 /nfs/dbraw/zinc/73/46/79/775734679.db2.gz OQHGHPKMBLLPJB-SSDOTTSWSA-N 0 0 275.663 2.945 20 5 CFBDRN C[C@@H](O)CC(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1Cl ZINC001226204948 775737334 /nfs/dbraw/zinc/73/73/34/775737334.db2.gz QXWQLIIFUQFEOV-RXMQYKEDSA-N 0 0 294.090 2.578 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cc1Cl)C(F)(F)F ZINC001226256829 775757367 /nfs/dbraw/zinc/75/73/67/775757367.db2.gz YWHFOWMJHSHOFY-BYPYZUCNSA-N 0 0 270.594 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(OC2CCN(CCF)CC2)c1 ZINC001226266314 775761836 /nfs/dbraw/zinc/76/18/36/775761836.db2.gz RZAZIJBCBZTIAS-UHFFFAOYSA-N 0 0 286.278 2.547 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cc([N+](=O)[O-])ccc1F ZINC001226266857 775762533 /nfs/dbraw/zinc/76/25/33/775762533.db2.gz ACLRWSWGTFXYOA-KWQFWETISA-N 0 0 255.245 2.538 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1cc([N+](=O)[O-])ccc1F ZINC001226266886 775763075 /nfs/dbraw/zinc/76/30/75/775763075.db2.gz BCUAXHMJUXJEPI-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1F)c1cnccn1 ZINC001226269581 775763227 /nfs/dbraw/zinc/76/32/27/775763227.db2.gz HROUSQKACDJWBB-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CC(=O)c1ccc(O[C@H](C)C2CCOCC2)c([N+](=O)[O-])c1 ZINC001226287896 775768844 /nfs/dbraw/zinc/76/88/44/775768844.db2.gz JXIYYLATBOPOTR-LLVKDONJSA-N 0 0 293.319 2.991 20 5 CFBDRN CC(=O)c1ccc(O[C@H]2CCCCC2=O)c([N+](=O)[O-])c1 ZINC001226294415 775769825 /nfs/dbraw/zinc/76/98/25/775769825.db2.gz INWORSCGFCQORZ-AWEZNQCLSA-N 0 0 277.276 2.688 20 5 CFBDRN COC(=O)[C@@H](C)Oc1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001226300826 775773008 /nfs/dbraw/zinc/77/30/08/775773008.db2.gz AMTAUEPAFNLZMO-ZCFIWIBFSA-N 0 0 293.197 2.554 20 5 CFBDRN CC1(C)CC[C@@H](COc2nc(Cl)ccc2[N+](=O)[O-])O1 ZINC001226365287 775791598 /nfs/dbraw/zinc/79/15/98/775791598.db2.gz BPLGIEVIGFKRLX-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1nc(Cl)ccc1[N+](=O)[O-] ZINC001226367491 775792607 /nfs/dbraw/zinc/79/26/07/775792607.db2.gz HXXJQKBYUWGPDM-VIFPVBQESA-N 0 0 270.672 2.534 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2CCC(C)(C)O2)c1 ZINC001226443290 775823801 /nfs/dbraw/zinc/82/38/01/775823801.db2.gz QOLSYGDPOBPRHE-NSHDSACASA-N 0 0 281.308 2.940 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2COc3cnccc32)c1 ZINC001226443987 775824542 /nfs/dbraw/zinc/82/45/42/775824542.db2.gz AYCROIUURXASHQ-AWEZNQCLSA-N 0 0 288.259 2.511 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc(OC)ccc2[N+](=O)[O-])=C1C ZINC001226446730 775825140 /nfs/dbraw/zinc/82/51/40/775825140.db2.gz OJCWTWZVIKKWCJ-LLVKDONJSA-N 0 0 293.275 2.592 20 5 CFBDRN Cc1ccc2[nH]c(O[C@@H](C[N+](=O)[O-])c3cccnc3)nc2c1 ZINC001226510338 775853521 /nfs/dbraw/zinc/85/35/21/775853521.db2.gz XXRUJSCBCKYUEU-AWEZNQCLSA-N 0 0 298.302 2.663 20 5 CFBDRN COc1cc(O[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)ncc1[N+](=O)[O-] ZINC001226580846 775881380 /nfs/dbraw/zinc/88/13/80/775881380.db2.gz GKRHFVCPSKISPH-OWUUHHOZSA-N 0 0 278.308 2.812 20 5 CFBDRN C[C@@H](Oc1ncncc1F)c1cccc([N+](=O)[O-])c1 ZINC001226710717 775933180 /nfs/dbraw/zinc/93/31/80/775933180.db2.gz NHLODYDOYHSEFB-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CC[C@@H](C)C[C@@H](CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733561 775940996 /nfs/dbraw/zinc/94/09/96/775940996.db2.gz QDHDISFMFDIWPB-MWLCHTKSSA-N 0 0 253.302 2.978 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2ncc([N+](=O)[O-])cn2)C[C@H]1C ZINC001226733060 775941133 /nfs/dbraw/zinc/94/11/33/775941133.db2.gz FZUOONOBFGJJFO-KKZNHRDASA-N 0 0 251.286 2.588 20 5 CFBDRN CC1(C)CCC[C@@H](Oc2ncc([N+](=O)[O-])cn2)C1 ZINC001226733274 775941785 /nfs/dbraw/zinc/94/17/85/775941785.db2.gz LJPCXWOYCDNYRI-SNVBAGLBSA-N 0 0 251.286 2.732 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cn1)c1cccc(F)c1F ZINC001226734267 775942253 /nfs/dbraw/zinc/94/22/53/775942253.db2.gz XBDSQHJTKNDHGQ-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN O=C1CCC(Oc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC001226744379 775944137 /nfs/dbraw/zinc/94/41/37/775944137.db2.gz OBFMGCYCWJUZIN-UHFFFAOYSA-N 0 0 271.219 2.764 20 5 CFBDRN CCOC[C@H](C)Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226743612 775944301 /nfs/dbraw/zinc/94/43/01/775944301.db2.gz DLYREACLCWKPCV-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@H]1CCCC[C@H]1F ZINC001226751660 775946605 /nfs/dbraw/zinc/94/66/05/775946605.db2.gz OIMQTPPIVIPCPT-SCZZXKLOSA-N 0 0 258.224 2.788 20 5 CFBDRN Cc1cn2c(n1)[C@@H](Oc1ncc(F)cc1[N+](=O)[O-])CCC2 ZINC001226751542 775947085 /nfs/dbraw/zinc/94/70/85/775947085.db2.gz LRXGCJINVZJCBS-NSHDSACASA-N 0 0 292.270 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCOC[C@@H]2F)c(Cl)c1 ZINC001226760249 775951226 /nfs/dbraw/zinc/95/12/26/775951226.db2.gz GSUNIVHQDCLYAF-ONGXEEELSA-N 0 0 275.663 2.754 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1OC1CN(Cc2ccccc2)C1 ZINC001226762275 775953097 /nfs/dbraw/zinc/95/30/97/775953097.db2.gz SQLMQFKBIHSZAA-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCCOC2)c(Cl)c1 ZINC001226761829 775953240 /nfs/dbraw/zinc/95/32/40/775953240.db2.gz HBRDSDNOUSFTGS-VIFPVBQESA-N 0 0 257.673 2.806 20 5 CFBDRN C[C@H](Oc1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC001226764539 775953853 /nfs/dbraw/zinc/95/38/53/775953853.db2.gz KDEXKPGOJWFGOW-JTQLQIEISA-N 0 0 251.282 2.789 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cc(Cl)c([N+](=O)[O-])cn1 ZINC001226914912 776015486 /nfs/dbraw/zinc/01/54/86/776015486.db2.gz APXPHXYEJJDFHD-JTQLQIEISA-N 0 0 270.672 2.534 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cc(Cl)c([N+](=O)[O-])cn2)CCO1 ZINC001226915369 776016815 /nfs/dbraw/zinc/01/68/15/776016815.db2.gz GPNJYWFQNDTHKV-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN Cc1ccc(O[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC001226952972 776032441 /nfs/dbraw/zinc/03/24/41/776032441.db2.gz OPOSVFSIFUXOTC-JQWIXIFHSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H]1CCO[C@H](C)C1 ZINC001226971589 776039573 /nfs/dbraw/zinc/03/95/73/776039573.db2.gz PLRRKXJDGZKBAL-GHMZBOCLSA-N 0 0 251.282 2.850 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1nccs1)C2 ZINC001227036342 776067257 /nfs/dbraw/zinc/06/72/57/776067257.db2.gz PYVFOXJWQBPBSY-NSHDSACASA-N 0 0 262.290 2.598 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC001227044109 776070988 /nfs/dbraw/zinc/07/09/88/776070988.db2.gz LDZFPSXBWILZAR-GFCCVEGCSA-N 0 0 295.291 2.518 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@H]1CC[C@@H](C)C1 ZINC001227122823 776106166 /nfs/dbraw/zinc/10/61/66/776106166.db2.gz VGRYTDFHTFBDRI-ZJUUUORDSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC001227154144 776117366 /nfs/dbraw/zinc/11/73/66/776117366.db2.gz ODTZEIFICVMVNJ-KGFZYKRKSA-N 0 0 275.663 2.801 20 5 CFBDRN CCOc1cc(C)ccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001227203338 776136964 /nfs/dbraw/zinc/13/69/64/776136964.db2.gz ZEBWYMSHFSCBOD-AWEZNQCLSA-N 0 0 265.309 2.828 20 5 CFBDRN O=C1OCCC[C@@H]1Oc1c2ccccc2ccc1[N+](=O)[O-] ZINC001227215204 776140931 /nfs/dbraw/zinc/14/09/31/776140931.db2.gz ZEXMQISQLYABKX-ZDUSSCGKSA-N 0 0 287.271 2.832 20 5 CFBDRN Cc1ccc(O[C@H]2CCc3cccnc32)c([N+](=O)[O-])n1 ZINC001227222353 776144140 /nfs/dbraw/zinc/14/41/40/776144140.db2.gz MCCJLZVIDIQEHH-NSHDSACASA-N 0 0 271.276 2.760 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1CCO[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000092443796 776144313 /nfs/dbraw/zinc/14/43/13/776144313.db2.gz YRGXOCFZKZRUPJ-HONMWMINSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H]1C[C@H](Oc2nc3c(cccc3[N+](=O)[O-])o2)CCO1 ZINC001227235736 776149758 /nfs/dbraw/zinc/14/97/58/776149758.db2.gz HYPLLJSYTUPXQK-DTWKUNHWSA-N 0 0 278.264 2.682 20 5 CFBDRN Cc1cnc(O[C@@H](C)C(=O)OCCC(C)C)c([N+](=O)[O-])c1 ZINC001227236016 776149801 /nfs/dbraw/zinc/14/98/01/776149801.db2.gz BFYWLTQKSPYALF-NSHDSACASA-N 0 0 296.323 2.655 20 5 CFBDRN COC(C[C@H](C)Oc1nc2c(cccc2[N+](=O)[O-])o1)OC ZINC001227237574 776151108 /nfs/dbraw/zinc/15/11/08/776151108.db2.gz JJYDJTDDDUYYNT-QMMMGPOBSA-N 0 0 296.279 2.512 20 5 CFBDRN Cc1cnc(O[C@@H]2CCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC001227236970 776151143 /nfs/dbraw/zinc/15/11/43/776151143.db2.gz NANGNEQRWPJYTM-SNVBAGLBSA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1cnc(O[C@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC001227237487 776151289 /nfs/dbraw/zinc/15/12/89/776151289.db2.gz WBNSAYZQIREBOC-QMMMGPOBSA-N 0 0 258.224 2.865 20 5 CFBDRN CO[C@H](C)CCOc1nc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001227243142 776153605 /nfs/dbraw/zinc/15/36/05/776153605.db2.gz HNESGLDZCQFLML-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN CC1(C)Cc2cccc(O[C@H](C[N+](=O)[O-])C3CC3)c2O1 ZINC001227254693 776160368 /nfs/dbraw/zinc/16/03/68/776160368.db2.gz XIPOJDAPYWRNFP-CYBMUJFWSA-N 0 0 277.320 2.834 20 5 CFBDRN Cc1cccc2[nH]c(O[C@H](C[N+](=O)[O-])c3cccnc3)nc21 ZINC001227267366 776165271 /nfs/dbraw/zinc/16/52/71/776165271.db2.gz CFMNXBFMDMIKFO-CYBMUJFWSA-N 0 0 298.302 2.663 20 5 CFBDRN CCOC(=O)c1ccc(O[C@@H](CC)COC)c([N+](=O)[O-])c1 ZINC001227297123 776173874 /nfs/dbraw/zinc/17/38/74/776173874.db2.gz LOARKJZWTGDVHY-NSHDSACASA-N 0 0 297.307 2.575 20 5 CFBDRN CCOC(=O)c1ccc(OC[C@@H](CC)OC)c([N+](=O)[O-])c1 ZINC001227296878 776174011 /nfs/dbraw/zinc/17/40/11/776174011.db2.gz HMYLIFKFIJSQOJ-LLVKDONJSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1nc(Cl)nc(O[C@H](C)c2cccnc2)c1[N+](=O)[O-] ZINC001227334556 776178855 /nfs/dbraw/zinc/17/88/55/776178855.db2.gz KTSXKNDTOUTYLA-MRVPVSSYSA-N 0 0 294.698 2.882 20 5 CFBDRN CC(=O)[C@H](C)Oc1ncnc2cc(Cl)c([N+](=O)[O-])cc21 ZINC001227363311 776186249 /nfs/dbraw/zinc/18/62/49/776186249.db2.gz ATVDJUYBEQIMKB-ZETCQYMHSA-N 0 0 295.682 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CCCC2)c([N+](=O)[O-])c1 ZINC001227465488 776208828 /nfs/dbraw/zinc/20/88/28/776208828.db2.gz DSZXQUQEXDNFAL-UHFFFAOYSA-N 0 0 296.279 2.841 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1ccccc1[N+](=O)[O-] ZINC001227479352 776211377 /nfs/dbraw/zinc/21/13/77/776211377.db2.gz HEGQJHHGNBVBQS-ZDUSSCGKSA-N 0 0 281.308 2.951 20 5 CFBDRN C[C@H](OCC1CC1)C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124773827 776216308 /nfs/dbraw/zinc/21/63/08/776216308.db2.gz IDBJYGYYJQNZPO-QMMMGPOBSA-N 0 0 299.710 2.969 20 5 CFBDRN CCOC(=O)N1CCC[C@H](Oc2ccc([N+](=O)[O-])cc2)C1 ZINC001227520197 776218816 /nfs/dbraw/zinc/21/88/16/776218816.db2.gz HGMBRLXJCCGKSG-ZDUSSCGKSA-N 0 0 294.307 2.595 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])cc1 ZINC001227523046 776219164 /nfs/dbraw/zinc/21/91/64/776219164.db2.gz CRVCGZYGYXZWQR-GFCCVEGCSA-N 0 0 267.281 2.705 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1O[C@@H](C)C1CC1 ZINC001227541676 776224344 /nfs/dbraw/zinc/22/43/44/776224344.db2.gz LJWSNSBYJYAPRG-LURJTMIESA-N 0 0 271.294 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cccc(O)c1)C2 ZINC001227552654 776227230 /nfs/dbraw/zinc/22/72/30/776227230.db2.gz ONOVRQSVKVSWJL-HNNXBMFYSA-N 0 0 271.272 2.847 20 5 CFBDRN CC(C)C(Oc1ncc([N+](=O)[O-])c2nc[nH]c21)C(C)C ZINC001227691562 776259554 /nfs/dbraw/zinc/25/95/54/776259554.db2.gz PLTXPAMXJWORBF-UHFFFAOYSA-N 0 0 278.312 2.926 20 5 CFBDRN C[C@@H](Oc1nc2cc([N+](=O)[O-])ccc2[nH]1)C(F)(F)F ZINC001227746382 776277487 /nfs/dbraw/zinc/27/74/87/776277487.db2.gz SAFYKLDCPXADLN-RXMQYKEDSA-N 0 0 275.186 2.801 20 5 CFBDRN C[C@H]1CC[C@@H](COCc2cccc([N+](=O)[O-])c2)O1 ZINC001222767982 776295716 /nfs/dbraw/zinc/29/57/16/776295716.db2.gz DWCBRFUTIBMBCC-GWCFXTLKSA-N 0 0 251.282 2.679 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COCc1ccc([N+](=O)[O-])cc1 ZINC001222791944 776308659 /nfs/dbraw/zinc/30/86/59/776308659.db2.gz DJRVQPSCMSNVHV-VXGBXAGGSA-N 0 0 283.324 2.551 20 5 CFBDRN COC(=O)c1cccc(O[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC001227895190 776320662 /nfs/dbraw/zinc/32/06/62/776320662.db2.gz VPJXFQFNNRIQPI-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(F)ccc2cccnc21)C1CC1 ZINC001227919541 776328265 /nfs/dbraw/zinc/32/82/65/776328265.db2.gz SUNRQSIWWZFCBR-LBPRGKRZSA-N 0 0 276.267 2.808 20 5 CFBDRN O=[N+]([O-])c1cncc(OC(C(F)(F)F)C(F)(F)F)c1 ZINC001227988657 776350587 /nfs/dbraw/zinc/35/05/87/776350587.db2.gz VRTJSNSKHYYYRK-UHFFFAOYSA-N 0 0 290.119 2.862 20 5 CFBDRN CC(C)[C@H](Oc1cncc([N+](=O)[O-])c1)C(F)(F)F ZINC001227986524 776350668 /nfs/dbraw/zinc/35/06/68/776350668.db2.gz ATFRDLYDBJNVHE-VIFPVBQESA-N 0 0 264.203 2.956 20 5 CFBDRN Cc1cnc(F)c([C@@H](C)Oc2cncc([N+](=O)[O-])c2)c1 ZINC001227988993 776351029 /nfs/dbraw/zinc/35/10/29/776351029.db2.gz AGMOOEPDLXIDQF-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cnc(F)c([C@H](C)Oc2cncc([N+](=O)[O-])c2)c1 ZINC001227988994 776351408 /nfs/dbraw/zinc/35/14/08/776351408.db2.gz AGMOOEPDLXIDQF-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN CC(=O)[C@@H](Oc1cncc([N+](=O)[O-])c1)c1ccccc1 ZINC001227991787 776353798 /nfs/dbraw/zinc/35/37/98/776353798.db2.gz ZRVOLNHVMXYGQM-CQSZACIVSA-N 0 0 272.260 2.699 20 5 CFBDRN CN1CCC[C@@H](Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228002103 776355764 /nfs/dbraw/zinc/35/57/64/776355764.db2.gz IRKIWAZDMRSBBJ-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN O=C1CCC(Oc2nccc(Cl)c2[N+](=O)[O-])CC1 ZINC001228015985 776364458 /nfs/dbraw/zinc/36/44/58/776364458.db2.gz YXLZBPBFYANEAU-UHFFFAOYSA-N 0 0 270.672 2.534 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228019050 776366245 /nfs/dbraw/zinc/36/62/45/776366245.db2.gz DQIBGZZIFUILSW-XVKPBYJWSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)c(O[C@@H]2CCn3ccnc32)c1 ZINC001228026158 776366904 /nfs/dbraw/zinc/36/69/04/776366904.db2.gz YTIUBEBCZKLBKL-SECBINFHSA-N 0 0 281.218 2.593 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)c(O[C@H]2COc3cnccc32)c1 ZINC001228022278 776367322 /nfs/dbraw/zinc/36/73/22/776367322.db2.gz UJGSQFQYMOZBBA-LBPRGKRZSA-N 0 0 294.213 2.781 20 5 CFBDRN CC(C)[N@@H+]1CC[C@H](Oc2ccnc(Cl)c2[N+](=O)[O-])C1 ZINC001228058168 776380466 /nfs/dbraw/zinc/38/04/66/776380466.db2.gz RUOHVLGNPVGATE-VIFPVBQESA-N 0 0 285.731 2.505 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ccnc(Cl)c1[N+](=O)[O-] ZINC001228060613 776381814 /nfs/dbraw/zinc/38/18/14/776381814.db2.gz JEYATUGYHRAWTO-IUCAKERBSA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228139716 776406780 /nfs/dbraw/zinc/40/67/80/776406780.db2.gz XJFJZGMGVGOGFX-GXFFZTMASA-N 0 0 288.303 2.947 20 5 CFBDRN CC(C)[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1ccccc1 ZINC001228143556 776408391 /nfs/dbraw/zinc/40/83/91/776408391.db2.gz MRJPLDJKIRPLOK-LBPRGKRZSA-N 0 0 289.291 2.867 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CC[C@@H](C3CC3)O2)n1 ZINC000722335155 776481879 /nfs/dbraw/zinc/48/18/79/776481879.db2.gz XORVFMYIWHZQFO-AAEUAGOBSA-N 0 0 277.324 2.668 20 5 CFBDRN C/C(=C\c1ccccn1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC001126247316 776487935 /nfs/dbraw/zinc/48/79/35/776487935.db2.gz NESNDYKWABJZME-FMIVXFBMSA-N 0 0 297.314 2.710 20 5 CFBDRN CC(=O)c1cc(C)c(C)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001228433880 776490260 /nfs/dbraw/zinc/49/02/60/776490260.db2.gz KQTGPJVPFBMPJL-OAHLLOKOSA-N 0 0 277.320 2.940 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@@H]1CCOC[C@H]1F ZINC001228450195 776495500 /nfs/dbraw/zinc/49/55/00/776495500.db2.gz IXADUFHPQLYJOA-DGCLKSJQSA-N 0 0 297.282 2.612 20 5 CFBDRN O=C1OCCC[C@@H]1Oc1cccc2nc3ccccc3nc21 ZINC001228522811 776512060 /nfs/dbraw/zinc/51/20/60/776512060.db2.gz PSQCEAGTZRFJRC-HNNXBMFYSA-N 0 0 294.310 2.867 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1c(C)cc([N+](=O)[O-])cc1C ZINC001228571380 776524099 /nfs/dbraw/zinc/52/40/99/776524099.db2.gz OCQJUXCXWHKTEZ-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN CC1=C(Oc2c(C)cc([N+](=O)[O-])cc2C)C(=O)O[C@H]1C ZINC001228570640 776524697 /nfs/dbraw/zinc/52/46/97/776524697.db2.gz GHIAJMRNBKBDNZ-JTQLQIEISA-N 0 0 277.276 2.810 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1c(C)cc([N+](=O)[O-])cc1C ZINC001228571671 776525149 /nfs/dbraw/zinc/52/51/49/776525149.db2.gz QOPAVZVKGLSSQP-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@@H]1CCCOC1 ZINC001228571675 776525205 /nfs/dbraw/zinc/52/52/05/776525205.db2.gz QQOUQGUPYIPEDV-GFCCVEGCSA-N 0 0 251.282 2.769 20 5 CFBDRN CC[C@H](COc1cc(F)cc(F)c1[N+](=O)[O-])OC ZINC001228613461 776540181 /nfs/dbraw/zinc/54/01/81/776540181.db2.gz QTNVQYWGVOSRDY-MRVPVSSYSA-N 0 0 261.224 2.677 20 5 CFBDRN Cc1cccc2ccc(O[C@@H](C[N+](=O)[O-])C3CC3)nc12 ZINC001228634308 776545815 /nfs/dbraw/zinc/54/58/15/776545815.db2.gz ZPHNLQKTVSLDSN-ZDUSSCGKSA-N 0 0 272.304 2.977 20 5 CFBDRN Cc1ccccc1OC[C@@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228653978 776553567 /nfs/dbraw/zinc/55/35/67/776553567.db2.gz DASFWBPKPGQMNY-LLVKDONJSA-N 0 0 289.291 2.540 20 5 CFBDRN Cc1cccc(OC[C@@H](C)Oc2ncncc2[N+](=O)[O-])c1 ZINC001228654960 776553731 /nfs/dbraw/zinc/55/37/31/776553731.db2.gz OCIMVXPTVZDXRK-LLVKDONJSA-N 0 0 289.291 2.540 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2ncncc2[N+](=O)[O-])C[C@@H]1C ZINC001228654078 776554025 /nfs/dbraw/zinc/55/40/25/776554025.db2.gz FUNMQCFIEAWSIO-KXUCPTDWSA-N 0 0 251.286 2.588 20 5 CFBDRN O=[N+]([O-])c1cncnc1O[C@H]1CCc2cc(F)ccc21 ZINC001228660510 776555508 /nfs/dbraw/zinc/55/55/08/776555508.db2.gz RPSZGPJCDZUIIE-LBPRGKRZSA-N 0 0 275.239 2.590 20 5 CFBDRN CC[C@@H](Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-])C(C)C ZINC001228687758 776567604 /nfs/dbraw/zinc/56/76/04/776567604.db2.gz AWHCAMIPAZLEDD-SECBINFHSA-N 0 0 254.286 2.817 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])C2CC2)n(-c2ccccc2)n1 ZINC001228719908 776584664 /nfs/dbraw/zinc/58/46/64/776584664.db2.gz YNTHYUDPGKVBFU-CQSZACIVSA-N 0 0 287.319 2.615 20 5 CFBDRN CCSCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000125966414 776599878 /nfs/dbraw/zinc/59/98/78/776599878.db2.gz BUIFMXVKMASBPO-UHFFFAOYSA-N 0 0 255.295 2.505 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ncnc2c3ccccc3[nH]c21)C1CC1 ZINC001228782523 776610680 /nfs/dbraw/zinc/61/06/80/776610680.db2.gz DRUFYMUNYSRJQO-LBPRGKRZSA-N 0 0 298.302 2.545 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228832658 776624686 /nfs/dbraw/zinc/62/46/86/776624686.db2.gz AKFNGYDOUNGLKS-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228839404 776628942 /nfs/dbraw/zinc/62/89/42/776628942.db2.gz VDVQHKPQIDOJML-GFCCVEGCSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@H](Oc1cnccc1[N+](=O)[O-])c1cnc2ccccn21 ZINC001228879658 776643514 /nfs/dbraw/zinc/64/35/14/776643514.db2.gz JDXOWOQLEAGKFT-JTQLQIEISA-N 0 0 284.275 2.778 20 5 CFBDRN C[C@H](Oc1cnccc1[N+](=O)[O-])c1ccncc1F ZINC001228881657 776645480 /nfs/dbraw/zinc/64/54/80/776645480.db2.gz GTSSFQRVVFHFPK-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@@H](C)c1ncccn1 ZINC001228915650 776657050 /nfs/dbraw/zinc/65/70/50/776657050.db2.gz ILHAJGCWRPZDRI-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC1=C(Oc2ccc([N+](=O)[O-])cc2C)C(=O)O[C@H]1C ZINC001228915471 776657675 /nfs/dbraw/zinc/65/76/75/776657675.db2.gz FINQMTHKRNUZCW-VIFPVBQESA-N 0 0 263.249 2.501 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ccc([N+](=O)[O-])c(Cl)n1 ZINC001228939006 776662738 /nfs/dbraw/zinc/66/27/38/776662738.db2.gz SSOYUDOJLBZXBR-UWVGGRQHSA-N 0 0 286.715 2.980 20 5 CFBDRN COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1OC(C)C ZINC001228963878 776670505 /nfs/dbraw/zinc/67/05/05/776670505.db2.gz SSAMPFPITWIKKJ-UHFFFAOYSA-N 0 0 273.672 2.822 20 5 CFBDRN CCCCOC[C@H](C)Oc1cc(O)cc([O-])c1[N+](=O)[O-] ZINC001228970163 776671864 /nfs/dbraw/zinc/67/18/64/776671864.db2.gz GLUGRWPTKRJBKQ-VIFPVBQESA-N 0 0 285.296 2.590 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228970571 776672330 /nfs/dbraw/zinc/67/23/30/776672330.db2.gz KCTYASWQHAWJHZ-SECBINFHSA-N 0 0 295.291 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(O[C@@H]3CCOC[C@@H]3F)c2c1 ZINC001229008375 776687502 /nfs/dbraw/zinc/68/75/02/776687502.db2.gz MEIUFJUURUQYGO-QWHCGFSZSA-N 0 0 292.266 2.649 20 5 CFBDRN CCO[C@H](C)COc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229115082 776722734 /nfs/dbraw/zinc/72/27/34/776722734.db2.gz PPYIVSCVELNSGD-ANYFNZRUSA-N 0 0 251.282 2.738 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(O[C@H]2CCc3nccn3C2)cc1 ZINC001229114266 776722864 /nfs/dbraw/zinc/72/28/64/776722864.db2.gz IAVFGBISIXRAAN-KGXGESDWSA-N 0 0 285.303 2.524 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114259 776722997 /nfs/dbraw/zinc/72/29/97/776722997.db2.gz HXUMLKFOECBZOE-RYYBZQDPSA-N 0 0 295.335 2.753 20 5 CFBDRN CC(=O)c1cccc(O[C@@H]2CCn3ccnc32)c1[N+](=O)[O-] ZINC001229131644 776726974 /nfs/dbraw/zinc/72/69/74/776726974.db2.gz MGIFOOYMZVZDCB-GFCCVEGCSA-N 0 0 287.275 2.518 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H](C)c1ncccn1 ZINC001229197209 776749108 /nfs/dbraw/zinc/74/91/08/776749108.db2.gz DFHIWISGMCBGCP-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC1CCOCC1 ZINC001229197685 776749114 /nfs/dbraw/zinc/74/91/14/776749114.db2.gz KGVIGWFHPQKWCQ-UHFFFAOYSA-N 0 0 255.245 2.600 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229197637 776749658 /nfs/dbraw/zinc/74/96/58/776749658.db2.gz JAQOAIKEFXDLJA-JTQLQIEISA-N 0 0 285.271 2.763 20 5 CFBDRN CCOC[C@H](C)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229199165 776750088 /nfs/dbraw/zinc/75/00/88/776750088.db2.gz TYPQBNAHRWNMCJ-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN CC[C@H](COc1c(C)cc(F)cc1[N+](=O)[O-])OC ZINC001229201811 776751485 /nfs/dbraw/zinc/75/14/85/776751485.db2.gz SJIFNRHBUGWRQE-SNVBAGLBSA-N 0 0 257.261 2.846 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2n[nH]c(=O)c3cccc([N+](=O)[O-])c32)C1 ZINC001229366662 776792797 /nfs/dbraw/zinc/79/27/97/776792797.db2.gz ANBJYVYJFQDZLA-DTWKUNHWSA-N 0 0 289.291 2.811 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC001229376250 776795202 /nfs/dbraw/zinc/79/52/02/776795202.db2.gz GWEYODOGHCUBOA-CPCISQLKSA-N 0 0 257.673 2.662 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1O[C@@H]1CCc2nccn2C1 ZINC001229379458 776795640 /nfs/dbraw/zinc/79/56/40/776795640.db2.gz FNYRVKPPOINMPB-SNVBAGLBSA-N 0 0 293.710 2.839 20 5 CFBDRN COC(=O)C1(C)CC(Oc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC001229381810 776797182 /nfs/dbraw/zinc/79/71/82/776797182.db2.gz XDPOSXBYOWEKLH-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN CCCCOC[C@H](C)Oc1cc([N+](=O)[O-])ccc1O ZINC001229396125 776799521 /nfs/dbraw/zinc/79/95/21/776799521.db2.gz QCSFEGKZYSFOKU-JTQLQIEISA-N 0 0 269.297 2.884 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cc(C)c([N+](=O)[O-])c(C)c2)C1 ZINC001229468660 776821962 /nfs/dbraw/zinc/82/19/62/776821962.db2.gz DNRQFPMBTRJWFD-BJHJDKERSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1cc(OC[C@H]2COC(C)(C)O2)cc(C)c1[N+](=O)[O-] ZINC001229466406 776822194 /nfs/dbraw/zinc/82/21/94/776822194.db2.gz NVQZBJLYGIFNIO-LBPRGKRZSA-N 0 0 281.308 2.742 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1cc([N+](=O)[O-])c(C)cc1O ZINC001229750130 776886196 /nfs/dbraw/zinc/88/61/96/776886196.db2.gz KIDOLLBVLUJLCP-JTQLQIEISA-N 0 0 291.307 2.791 20 5 CFBDRN Cc1cc(O)c(OC2CCSCC2)cc1[N+](=O)[O-] ZINC001229750614 776888140 /nfs/dbraw/zinc/88/81/40/776888140.db2.gz OWFXRUDVJWSCSU-UHFFFAOYSA-N 0 0 269.322 2.883 20 5 CFBDRN COC(C[C@H](C)Oc1cc(F)c(C)cc1[N+](=O)[O-])OC ZINC001229893995 776913020 /nfs/dbraw/zinc/91/30/20/776913020.db2.gz DZTWZLILRAOGGK-VIFPVBQESA-N 0 0 287.287 2.819 20 5 CFBDRN C[C@@H](Oc1cnc2ccnn2c1)c1cccc([N+](=O)[O-])c1 ZINC001229903614 776914842 /nfs/dbraw/zinc/91/48/42/776914842.db2.gz LTZDKODIGGZZOQ-SNVBAGLBSA-N 0 0 284.275 2.778 20 5 CFBDRN COC(=O)c1ccc(C)c([N+](=O)[O-])c1OC1CCC1 ZINC001229904630 776914967 /nfs/dbraw/zinc/91/49/67/776914967.db2.gz ZRDQTWIZXDUSEB-UHFFFAOYSA-N 0 0 265.265 2.621 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])nc1Cl)C(F)(F)F ZINC001229915448 776917446 /nfs/dbraw/zinc/91/74/46/776917446.db2.gz PFQATAGISQOJGI-BYPYZUCNSA-N 0 0 270.594 2.973 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ccc([N+](=O)[O-])nc2Cl)CCO1 ZINC001229916838 776918747 /nfs/dbraw/zinc/91/87/47/776918747.db2.gz BUXHIIOQIOEQLR-SFYZADRCSA-N 0 0 272.688 2.590 20 5 CFBDRN COC(=O)c1cc(O[C@@H]2C=CCC2)c(F)cc1[N+](=O)[O-] ZINC001229939002 776920199 /nfs/dbraw/zinc/92/01/99/776920199.db2.gz MAYYHIVJHDWHHI-MRVPVSSYSA-N 0 0 281.239 2.618 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC001229965170 776925910 /nfs/dbraw/zinc/92/59/10/776925910.db2.gz ZHENKGUJRCECMJ-NSHDSACASA-N 0 0 286.671 2.682 20 5 CFBDRN CC1=C(Oc2ccc(Cl)c([N+](=O)[O-])c2)C(=O)O[C@H]1C ZINC001229963185 776926128 /nfs/dbraw/zinc/92/61/28/776926128.db2.gz GJEVQKZQWIBBHD-ZETCQYMHSA-N 0 0 283.667 2.846 20 5 CFBDRN CC1(C)COC(=O)[C@@H]1Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001229962309 776926383 /nfs/dbraw/zinc/92/63/83/776926383.db2.gz UWUUOJGCRGDWPU-JTQLQIEISA-N 0 0 285.683 2.579 20 5 CFBDRN Cc1cc(O[C@H]2COC[C@@H]2C)c([N+](=O)[O-])cc1Cl ZINC001229977590 776930221 /nfs/dbraw/zinc/93/02/21/776930221.db2.gz GBUNJEIBEJBELY-UFBFGSQYSA-N 0 0 271.700 2.970 20 5 CFBDRN COc1cc(O[C@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC001230025810 776943654 /nfs/dbraw/zinc/94/36/54/776943654.db2.gz UGTQPFIDLHXHCN-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2COCO2)c(Cl)c1Cl ZINC001230040048 776948307 /nfs/dbraw/zinc/94/83/07/776948307.db2.gz JWVNHDHOCJPYSJ-LURJTMIESA-N 0 0 294.090 2.653 20 5 CFBDRN CC1=C(Oc2ccc(C)cc2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001230145615 776955846 /nfs/dbraw/zinc/95/58/46/776955846.db2.gz GMGROBRNGIGIMG-VIFPVBQESA-N 0 0 263.249 2.501 20 5 CFBDRN CC[C@H](Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1)C(C)C ZINC001230232010 776962688 /nfs/dbraw/zinc/96/26/88/776962688.db2.gz ZPMSKPAUIAIZMP-JTQLQIEISA-N 0 0 264.285 2.680 20 5 CFBDRN CC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1NC(C)=O ZINC001230246608 776965795 /nfs/dbraw/zinc/96/57/95/776965795.db2.gz OLYXMJIGVMKRPP-MRVPVSSYSA-N 0 0 252.270 2.731 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1O[C@H]1C=CCCC1 ZINC001230316359 776977205 /nfs/dbraw/zinc/97/72/05/776977205.db2.gz VRNNSKHSGWNGRQ-VIFPVBQESA-N 0 0 264.237 2.991 20 5 CFBDRN CC1(C)C[C@H](Oc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC001230315113 776977270 /nfs/dbraw/zinc/97/72/70/776977270.db2.gz JUJKNOABIVIBSG-SECBINFHSA-N 0 0 296.279 2.839 20 5 CFBDRN C[C@@H](Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C1CC1 ZINC001230312613 776977543 /nfs/dbraw/zinc/97/75/43/776977543.db2.gz IXFHOVXJHMLUCU-SSDOTTSWSA-N 0 0 252.226 2.680 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385399 776980759 /nfs/dbraw/zinc/98/07/59/776980759.db2.gz RWBKTFNISAJLST-PRHODGIISA-N 0 0 255.245 2.538 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1nc3cccnc3o1)C2 ZINC001230348665 776982884 /nfs/dbraw/zinc/98/28/84/776982884.db2.gz QAYIUBSGEDNWFW-LBPRGKRZSA-N 0 0 297.270 2.677 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1OC[C@@H]1CCC=CO1 ZINC001225432121 776985502 /nfs/dbraw/zinc/98/55/02/776985502.db2.gz YPDRSUWRLWPHFS-QMMMGPOBSA-N 0 0 270.672 2.715 20 5 CFBDRN C[C@@H]1C[C@H](Oc2c(Cl)nccc2[N+](=O)[O-])CCO1 ZINC001225432349 776986295 /nfs/dbraw/zinc/98/62/95/776986295.db2.gz CIMMOXYNVLLGNB-HTQZYQBOSA-N 0 0 272.688 2.590 20 5 CFBDRN CC[C@@H](C)Oc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230491335 776998080 /nfs/dbraw/zinc/99/80/80/776998080.db2.gz OMXWKOXLPSPNFZ-MRVPVSSYSA-N 0 0 254.242 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC001230491173 776998579 /nfs/dbraw/zinc/99/85/79/776998579.db2.gz KGBPNLAMOZSTNW-ILWJIGKKSA-N 0 0 278.264 2.989 20 5 CFBDRN CCOC[C@@H](C)Oc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230491153 776998783 /nfs/dbraw/zinc/99/87/83/776998783.db2.gz JTILWCYDTNFLSS-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC001230493525 776999719 /nfs/dbraw/zinc/99/97/19/776999719.db2.gz DQVGHBPFOPUFQA-VIFPVBQESA-N 0 0 264.237 2.909 20 5 CFBDRN CC[C@@H](COC)Oc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492444 776999910 /nfs/dbraw/zinc/99/99/10/776999910.db2.gz OOZCBTQEEMGASL-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC001230493566 777000000 /nfs/dbraw/zinc/00/00/00/777000000.db2.gz GDGWBBRSMIJAGV-SKDRFNHKSA-N 0 0 296.279 2.615 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ncnc2c(Cl)cccc12)C1CC1 ZINC001230776727 777062080 /nfs/dbraw/zinc/06/20/80/777062080.db2.gz UUOBJRFPFSYWJV-NSHDSACASA-N 0 0 293.710 2.717 20 5 CFBDRN CO[C@@H](C)CCOc1ccc([N+](=O)[O-])c(CC(C)=O)c1F ZINC001230794435 777066810 /nfs/dbraw/zinc/06/68/10/777066810.db2.gz NPAFGDKVKMDSIQ-JTQLQIEISA-N 0 0 299.298 2.669 20 5 CFBDRN COC1CCC(Oc2ccnc(Cl)c2[N+](=O)[O-])CC1 ZINC000710564513 777085894 /nfs/dbraw/zinc/08/58/94/777085894.db2.gz GLEUOSDCAFVOCQ-UHFFFAOYSA-N 0 0 286.715 2.980 20 5 CFBDRN CCOC(=O)c1ccc(O[C@H](CC)COC)cc1[N+](=O)[O-] ZINC001230912040 777091708 /nfs/dbraw/zinc/09/17/08/777091708.db2.gz CCOPCTYKROFDMQ-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN CCOC(=O)c1ccc(O[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC001230917466 777092209 /nfs/dbraw/zinc/09/22/09/777092209.db2.gz IQXLVULPBXHDRJ-SNVBAGLBSA-N 0 0 277.276 2.869 20 5 CFBDRN C[C@H](CON)Oc1ccc(-c2cccc([N+](=O)[O-])c2)cc1 ZINC001230941771 777097658 /nfs/dbraw/zinc/09/76/58/777097658.db2.gz WZEZSVQOFATJOX-LLVKDONJSA-N 0 0 288.303 2.919 20 5 CFBDRN C[C@H](CON)Oc1cccc(-c2cccc([N+](=O)[O-])c2)c1 ZINC001230938601 777098172 /nfs/dbraw/zinc/09/81/72/777098172.db2.gz AIBVVDJNWLTLDT-LLVKDONJSA-N 0 0 288.303 2.919 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(OC[C@H]3CCC=CO3)c21 ZINC001231053812 777126550 /nfs/dbraw/zinc/12/65/50/777126550.db2.gz UVCVPGVKZYIJMT-SNVBAGLBSA-N 0 0 287.275 2.610 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001231059484 777128171 /nfs/dbraw/zinc/12/81/71/777128171.db2.gz IUCWMQZAHOUFEN-NSHDSACASA-N 0 0 280.280 2.646 20 5 CFBDRN Cn1cncc1-c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001231084142 777136726 /nfs/dbraw/zinc/13/67/26/777136726.db2.gz YCLXOJWEJVJOQF-HNNXBMFYSA-N 0 0 287.319 2.521 20 5 CFBDRN CC[C@@H](COC)Oc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231156788 777159471 /nfs/dbraw/zinc/15/94/71/777159471.db2.gz QAJNBZNZBOQUMI-NSHDSACASA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2ncc(F)cc2c1)C1CC1 ZINC001231169317 777163179 /nfs/dbraw/zinc/16/31/79/777163179.db2.gz MPIPPPYSOUIXQF-AWEZNQCLSA-N 0 0 276.267 2.808 20 5 CFBDRN Cc1cc(=O)c2ccc(O[C@@H](C[N+](=O)[O-])C3CC3)cc2o1 ZINC001231172313 777164343 /nfs/dbraw/zinc/16/43/43/777164343.db2.gz UGWPKLASOXCPJT-HNNXBMFYSA-N 0 0 289.287 2.536 20 5 CFBDRN CCCC(=O)Nc1c(O[C@H](C)C(C)=O)cccc1[N+](=O)[O-] ZINC001231360127 777201464 /nfs/dbraw/zinc/20/14/64/777201464.db2.gz WKDIDJFBIVLCLA-SNVBAGLBSA-N 0 0 294.307 2.690 20 5 CFBDRN O=C1SCC[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC001167955756 777250381 /nfs/dbraw/zinc/25/03/81/777250381.db2.gz OQEQVKQOVMKXSX-NSHDSACASA-N 0 0 289.316 2.587 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)C[C@@H]1CCCCC1(C)C ZINC001269827440 777254833 /nfs/dbraw/zinc/25/48/33/777254833.db2.gz HPXZERJIYMQAOP-JTQLQIEISA-N 0 0 294.355 2.873 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(F)c2C)n1 ZINC001126443146 777294786 /nfs/dbraw/zinc/29/47/86/777294786.db2.gz VYBYRRNGQVBMDN-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(F)c(F)cc(F)c1F)C1CC1 ZINC001225882680 777327215 /nfs/dbraw/zinc/32/72/15/777327215.db2.gz ULZRIWFSEQPEJT-QMMMGPOBSA-N 0 0 279.189 2.677 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225908257 777331773 /nfs/dbraw/zinc/33/17/73/777331773.db2.gz ZQYISNOLRSAVFD-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1ccc([N+](=O)[O-])c(F)c1 ZINC001225915490 777335765 /nfs/dbraw/zinc/33/57/65/777335765.db2.gz JXRBJGOLINMVSJ-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN CC(C)CNc1ccc2nsnc2c1[N+](=O)[O-] ZINC001161648540 777417732 /nfs/dbraw/zinc/41/77/32/777417732.db2.gz NNJKKFZAUKEDOV-UHFFFAOYSA-N 0 0 252.299 2.667 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1F)c1ncccn1 ZINC001226266483 777491054 /nfs/dbraw/zinc/49/10/54/777491054.db2.gz UOZDUYRAYHFBNT-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1F ZINC001226269499 777491627 /nfs/dbraw/zinc/49/16/27/777491627.db2.gz GUAFAHACODBZMC-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@H](Oc1c(O)cccc1[N+](=O)[O-])c1ncccc1F ZINC001226334601 777511722 /nfs/dbraw/zinc/51/17/22/777511722.db2.gz WMQGCHUPHTVSPQ-QMMMGPOBSA-N 0 0 278.239 2.975 20 5 CFBDRN CC[C@@H](C)Nc1cc2c(c([N+](=O)[O-])c1)NN(C)C2 ZINC001168009895 777601252 /nfs/dbraw/zinc/60/12/52/777601252.db2.gz LJOXZJMKXXIQIE-MRVPVSSYSA-N 0 0 250.302 2.578 20 5 CFBDRN Cc1cccnc1-c1noc(-c2ccnc(C)c2[N+](=O)[O-])n1 ZINC001214101695 777603184 /nfs/dbraw/zinc/60/31/84/777603184.db2.gz JCKAANXKQVYMIN-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN C[C@H]1C[C@@H](Oc2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC001226748230 777632727 /nfs/dbraw/zinc/63/27/27/777632727.db2.gz IXUPUTJODZTQBE-CBAPKCEASA-N 0 0 273.235 2.819 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1O[C@@H]1COC[C@H]1F ZINC001226987679 777720493 /nfs/dbraw/zinc/72/04/93/777720493.db2.gz BSICCTYCUKRGAF-VXNVDRBHSA-N 0 0 275.663 2.672 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001227294593 777801517 /nfs/dbraw/zinc/80/15/17/777801517.db2.gz FPFUEGPLJCWYRH-MWLCHTKSSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H](CO)[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000696801916 777827290 /nfs/dbraw/zinc/82/72/90/777827290.db2.gz TVPBFNGWMKGJMQ-GXFFZTMASA-N 0 0 282.315 2.721 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233497355 777968633 /nfs/dbraw/zinc/96/86/33/777968633.db2.gz FARVVNQAGZRWCN-JTQLQIEISA-N 0 0 281.308 2.991 20 5 CFBDRN CO[C@H](C)CCOc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233496976 777969165 /nfs/dbraw/zinc/96/91/65/777969165.db2.gz AZWZCQIOJWEEHR-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN CC(=O)c1cc(O[C@@H](C)c2ncccn2)ccc1[N+](=O)[O-] ZINC001233495582 777969208 /nfs/dbraw/zinc/96/92/08/777969208.db2.gz IHPOYMRNSSILHJ-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN CO[C@H](C)COc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233497826 777970381 /nfs/dbraw/zinc/97/03/81/777970381.db2.gz IGVOCVXVAXGRIN-ZCFIWIBFSA-N 0 0 285.687 2.538 20 5 CFBDRN CCO[C@H](C)COc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233501443 777971168 /nfs/dbraw/zinc/97/11/68/777971168.db2.gz MSXLICOXZPHYSI-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN CC(=O)c1cc(O[C@@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC001233501059 777972672 /nfs/dbraw/zinc/97/26/72/777972672.db2.gz XAJWAIVMGZTKJI-LKFCYVNXSA-N 0 0 279.292 2.601 20 5 CFBDRN CCO[C@@H](C)COc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233505774 777973763 /nfs/dbraw/zinc/97/37/63/777973763.db2.gz GIMRVEHELWPJOY-ZETCQYMHSA-N 0 0 299.714 2.928 20 5 CFBDRN CCCOC[C@@H](C)Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001233574921 777996628 /nfs/dbraw/zinc/99/66/28/777996628.db2.gz NCDFSOBVMCBQJO-SECBINFHSA-N 0 0 284.268 2.697 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233599260 778006726 /nfs/dbraw/zinc/00/67/26/778006726.db2.gz HSTAZTKILOVGOH-RDDDGLTNSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC001233702299 778048903 /nfs/dbraw/zinc/04/89/03/778048903.db2.gz NLJINMGNBALOTI-QMMMGPOBSA-N 0 0 250.210 2.600 20 5 CFBDRN O=[N+]([O-])c1ncc(Cl)cc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001233714403 778051835 /nfs/dbraw/zinc/05/18/35/778051835.db2.gz AXNHVAJRBJNWKV-QXFUBDJGSA-N 0 0 266.684 2.987 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(-c2ncco2)cc1)C1CC1 ZINC001233736792 778059358 /nfs/dbraw/zinc/05/93/58/778059358.db2.gz OBAWSVNIVBYIBY-ZDUSSCGKSA-N 0 0 274.276 2.776 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233748016 778063387 /nfs/dbraw/zinc/06/33/87/778063387.db2.gz DIBHAYPYPKRVJZ-WRWORJQWSA-N 0 0 273.235 2.677 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc(F)c([N+](=O)[O-])c(F)c2)=C1C ZINC001233753321 778063900 /nfs/dbraw/zinc/06/39/00/778063900.db2.gz KJNXVKSYHVKWMM-JTQLQIEISA-N 0 0 299.229 2.861 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(OC[C@H]2CCC=CO2)cc1F ZINC001233752033 778064636 /nfs/dbraw/zinc/06/46/36/778064636.db2.gz ZJMKNRSNIQWRKT-MRVPVSSYSA-N 0 0 271.219 2.945 20 5 CFBDRN CC(C)(C)N1CC(Oc2ccc([N+](=O)[O-])c(F)c2F)C1 ZINC001233765732 778069832 /nfs/dbraw/zinc/06/98/32/778069832.db2.gz YFTBUIZPJWOKCY-UHFFFAOYSA-N 0 0 286.278 2.735 20 5 CFBDRN CO[C@@H](C)CCOc1ccc([N+](=O)[O-])c(F)c1F ZINC001233765443 778069971 /nfs/dbraw/zinc/06/99/71/778069971.db2.gz WDNUSSRFMLUFRP-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233770047 778071401 /nfs/dbraw/zinc/07/14/01/778071401.db2.gz KUSDVIFNQLTWRJ-CBAPKCEASA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2COc3cnccc32)c(F)c1F ZINC001233770534 778072633 /nfs/dbraw/zinc/07/26/33/778072633.db2.gz NQAXCQXJVIFPET-LLVKDONJSA-N 0 0 294.213 2.781 20 5 CFBDRN CC(C)N1CC(Oc2c3cccnc3ccc2[N+](=O)[O-])C1 ZINC001233777492 778074467 /nfs/dbraw/zinc/07/44/67/778074467.db2.gz CMWGAGFRGPQILT-UHFFFAOYSA-N 0 0 287.319 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1O[C@@H]1CCn2ccnc21 ZINC001233779487 778075422 /nfs/dbraw/zinc/07/54/22/778075422.db2.gz RQYHOLUUQHSVJU-CYBMUJFWSA-N 0 0 296.286 2.863 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233840285 778092815 /nfs/dbraw/zinc/09/28/15/778092815.db2.gz FIUNRNZNROFQEE-GXFFZTMASA-N 0 0 288.303 2.947 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(OC[C@@H]3CCCO3)nc21 ZINC001233836688 778093303 /nfs/dbraw/zinc/09/33/03/778093303.db2.gz RACBTJMJIPCCCZ-NSHDSACASA-N 0 0 274.276 2.701 20 5 CFBDRN C[C@@H](COc1ccnc([N+](=O)[O-])c1)OCc1ccccc1 ZINC001233857917 778096878 /nfs/dbraw/zinc/09/68/78/778096878.db2.gz PRDGJZSTIQFXQW-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN CC(C)Oc1ccc(COc2ccnc([N+](=O)[O-])c2)cn1 ZINC001233858098 778097484 /nfs/dbraw/zinc/09/74/84/778097484.db2.gz SKQROYAUIMCWCI-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ncc1NCC1(F)CCOCC1 ZINC001168123174 778099499 /nfs/dbraw/zinc/09/94/99/778099499.db2.gz BVJPAXRHMKIXMT-UHFFFAOYSA-N 0 0 289.694 2.574 20 5 CFBDRN Cc1c(C)c(O[C@@H](C[N+](=O)[O-])C2CC2)ccc1F ZINC001233867389 778100237 /nfs/dbraw/zinc/10/02/37/778100237.db2.gz DODAFOCDLCSYMK-ZDUSSCGKSA-N 0 0 253.273 2.877 20 5 CFBDRN CC[C@H](Oc1ncc(C(=O)OC)cc1[N+](=O)[O-])C(C)C ZINC001233865634 778100271 /nfs/dbraw/zinc/10/02/71/778100271.db2.gz IZMUZAUEIPRXOW-NSHDSACASA-N 0 0 282.296 2.590 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nc(Cl)nc2ccsc21)C1CC1 ZINC001233876046 778103125 /nfs/dbraw/zinc/10/31/25/778103125.db2.gz IGWAYODHIRGCRU-MRVPVSSYSA-N 0 0 299.739 2.779 20 5 CFBDRN CCOc1cc(NCC2(F)CCOCC2)ccc1[N+](=O)[O-] ZINC001168129963 778123032 /nfs/dbraw/zinc/12/30/32/778123032.db2.gz PTYSUZUOGMRHIH-UHFFFAOYSA-N 0 0 298.314 2.924 20 5 CFBDRN C[C@@H](Oc1nccc2[nH]nc(N)c21)c1ccccc1[N+](=O)[O-] ZINC001233958079 778123109 /nfs/dbraw/zinc/12/31/09/778123109.db2.gz CPOJCAGMOZJWIA-MRVPVSSYSA-N 0 0 299.290 2.588 20 5 CFBDRN c1cnc2c(c1)ccc1c(OC[C@H]3CCO3)ccnc12 ZINC001234088422 778161899 /nfs/dbraw/zinc/16/18/99/778161899.db2.gz XGVYZLDULDGSJJ-GFCCVEGCSA-N 0 0 266.300 2.951 20 5 CFBDRN O=[N+]([O-])c1c2[nH]cnc2ccc1NC1(c2ccccn2)CC1 ZINC001168151895 778167022 /nfs/dbraw/zinc/16/70/22/778167022.db2.gz YKEPDPJOPAVZJG-UHFFFAOYSA-N 0 0 295.302 2.967 20 5 CFBDRN C[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)[C@H]1CCO ZINC000697241026 778168445 /nfs/dbraw/zinc/16/84/45/778168445.db2.gz VZFUERDSJDPECP-MFKMUULPSA-N 0 0 282.315 2.721 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)C1Oc1ncncc1[N+](=O)[O-] ZINC001228655334 778178984 /nfs/dbraw/zinc/17/89/84/778178984.db2.gz QWBMTFMRQZTSPC-RKDXNWHRSA-N 0 0 251.286 2.588 20 5 CFBDRN C[C@@H](Oc1cncc(O)c1)c1ccccc1[N+](=O)[O-] ZINC001228893856 778205940 /nfs/dbraw/zinc/20/59/40/778205940.db2.gz XWHXARDHFXZORF-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])c(Cl)n2)=C1C ZINC001228934485 778210244 /nfs/dbraw/zinc/21/02/44/778210244.db2.gz QJVNLVGDTZQYHQ-QMMMGPOBSA-N 0 0 298.682 2.631 20 5 CFBDRN COc1cc([N+](=O)[O-])c(NC[C@H]2C[C@H](F)C2)cc1F ZINC001168238046 778264489 /nfs/dbraw/zinc/26/44/89/778264489.db2.gz QZCLSQDFXZOJAT-ZKCHVHJHSA-N 0 0 272.251 2.903 20 5 CFBDRN CC(=O)c1cccc(O[C@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC001229138976 778272316 /nfs/dbraw/zinc/27/23/16/778272316.db2.gz RRFBZBSOQRHDLA-ONGXEEELSA-N 0 0 279.292 2.744 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2nccc(C)c2[N+](=O)[O-])C1 ZINC000721247393 778279383 /nfs/dbraw/zinc/27/93/83/778279383.db2.gz WRNCGCAFABGCOA-CVZZAPKMSA-N 0 0 277.324 2.668 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC2Cc3ccccc3C2)n1 ZINC001234879891 778319780 /nfs/dbraw/zinc/31/97/80/778319780.db2.gz TXCYMUXRQUTOHU-UHFFFAOYSA-N 0 0 286.287 2.545 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CC[C@H](C)C2)n1 ZINC001234878989 778320189 /nfs/dbraw/zinc/32/01/89/778320189.db2.gz KSVIRTMVIDLWNP-IUCAKERBSA-N 0 0 252.270 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)CC(C)C)n1 ZINC001234880188 778320348 /nfs/dbraw/zinc/32/03/48/778320348.db2.gz YGQRDDGLWXZEFJ-SECBINFHSA-N 0 0 254.286 2.812 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)C2CCC2)n1 ZINC001234878601 778320453 /nfs/dbraw/zinc/32/04/53/778320453.db2.gz ICPXBJWEORJYFE-QMMMGPOBSA-N 0 0 252.270 2.566 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1c(C)nccc1[N+](=O)[O-] ZINC001234904554 778324994 /nfs/dbraw/zinc/32/49/94/778324994.db2.gz GDABAANSPRISAS-VIFPVBQESA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H]1CCc2cccnc21 ZINC001234900331 778325136 /nfs/dbraw/zinc/32/51/36/778325136.db2.gz IVBBDGACYNYVQD-GFCCVEGCSA-N 0 0 271.276 2.760 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1OC[C@H]1Cc2ccccc2O1 ZINC001234903410 778325203 /nfs/dbraw/zinc/32/52/03/778325203.db2.gz NAIBLSDIUISNRR-GFCCVEGCSA-N 0 0 286.287 2.681 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1cc(Cl)ccn1 ZINC000432166749 778343828 /nfs/dbraw/zinc/34/38/28/778343828.db2.gz MWMDIUXHDOWQCX-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN Cc1cccc([C@H]2CCCN2Cn2cc([N+](=O)[O-])cn2)c1 ZINC000127744438 778345745 /nfs/dbraw/zinc/34/57/45/778345745.db2.gz IKSDNFITUKJQPS-OAHLLOKOSA-N 0 0 286.335 2.894 20 5 CFBDRN C[C@@]1(O)C[C@H](CNc2cc3cc[nH]c3c([N+](=O)[O-])c2)C1 ZINC001168347007 778444775 /nfs/dbraw/zinc/44/47/75/778444775.db2.gz ZFQULNWPZZUMSA-DEZZCRIOSA-N 0 0 275.308 2.649 20 5 CFBDRN CCc1cnc(NC(=O)c2cc([N+](=O)[O-])c(F)cc2C)o1 ZINC001126828726 778480903 /nfs/dbraw/zinc/48/09/03/778480903.db2.gz AXITWYCQBABIGN-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000697950167 778565972 /nfs/dbraw/zinc/56/59/72/778565972.db2.gz AOFQHQXBJYCHRW-UHFFFAOYSA-N 0 0 294.204 2.648 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2nonc21)C1CCC1 ZINC000159991899 778590011 /nfs/dbraw/zinc/59/00/11/778590011.db2.gz UJGALXCMICDRQT-SSDOTTSWSA-N 0 0 262.269 2.732 20 5 CFBDRN Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2Cl)n(C)n1 ZINC000160362471 778600993 /nfs/dbraw/zinc/60/09/93/778600993.db2.gz LEFUPTNIYLMUCX-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC1(C)CC(CO[C@H](C[N+](=O)[O-])c2cccnc2)C1 ZINC001224769278 778675679 /nfs/dbraw/zinc/67/56/79/778675679.db2.gz LDAIJEXUZZTHJZ-CYBMUJFWSA-N 0 0 264.325 2.852 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1Cl ZINC000721240683 778739481 /nfs/dbraw/zinc/73/94/81/778739481.db2.gz XXXUVAIDKIHOIW-LLVKDONJSA-N 0 0 280.711 2.921 20 5 CFBDRN O=C1CC(CNc2cc(Cl)ccc2/C=C/[N+](=O)[O-])C1 ZINC001168399002 778791111 /nfs/dbraw/zinc/79/11/11/778791111.db2.gz ZXKKRHFMZCFELZ-ONEGZZNKSA-N 0 0 280.711 2.978 20 5 CFBDRN CCC1CN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000698569897 778921187 /nfs/dbraw/zinc/92/11/87/778921187.db2.gz MTTRSBSVYIAYFF-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cn1nccc1C[C@@H]1CCCN1c1ccc([N+](=O)[O-])s1 ZINC001121002626 779019023 /nfs/dbraw/zinc/01/90/23/779019023.db2.gz PINDETFXMNSXKO-NSHDSACASA-N 0 0 292.364 2.601 20 5 CFBDRN C[C@@H](Oc1c(F)cc(F)cc1[N+](=O)[O-])c1cncnc1 ZINC001233699870 779074222 /nfs/dbraw/zinc/07/42/22/779074222.db2.gz WBXLXQARBYIWTG-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H](COc1ccccc1)Oc1ccnc([N+](=O)[O-])c1 ZINC001233857133 779095506 /nfs/dbraw/zinc/09/55/06/779095506.db2.gz JYDPHEZUSHOPEU-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN CC1(C)C[C@H](CNc2nc3c(cc2[N+](=O)[O-])CCCC3)O1 ZINC001168451826 779178600 /nfs/dbraw/zinc/17/86/00/779178600.db2.gz RDIFSCVLYXCCGI-LLVKDONJSA-N 0 0 291.351 2.848 20 5 CFBDRN CC1(C)C[C@H](CNc2cc(F)cc(F)c2[N+](=O)[O-])O1 ZINC001168456542 779184205 /nfs/dbraw/zinc/18/42/05/779184205.db2.gz OUEVFGPVPYQIJR-MRVPVSSYSA-N 0 0 272.251 2.852 20 5 CFBDRN CN(C)c1ccc(NC[C@@H]2CC(C)(C)O2)c([N+](=O)[O-])c1 ZINC001168458964 779188182 /nfs/dbraw/zinc/18/81/82/779188182.db2.gz BWQNNVSVOATARX-NSHDSACASA-N 0 0 279.340 2.640 20 5 CFBDRN CCN(CCNc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)F ZINC001106653399 779331483 /nfs/dbraw/zinc/33/14/83/779331483.db2.gz GHMIZOQGAURNEQ-UHFFFAOYSA-N 0 0 297.330 2.603 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@@H]1C[C@H]1C1CC1 ZINC000176620185 779332343 /nfs/dbraw/zinc/33/23/43/779332343.db2.gz GNAQDYVEAMOATI-JGVFFNPUSA-N 0 0 282.246 2.858 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(F)c(C(F)F)c1 ZINC001123053293 779334644 /nfs/dbraw/zinc/33/46/44/779334644.db2.gz RTZAQNHNNBZWNT-LURJTMIESA-N 0 0 277.198 2.585 20 5 CFBDRN CC[C@H](C[N+](=O)[O-])OC(=O)c1cc(F)ccc1Cl ZINC001123258247 779368860 /nfs/dbraw/zinc/36/88/60/779368860.db2.gz YDNCWCWEYNNROK-MRVPVSSYSA-N 0 0 275.663 2.691 20 5 CFBDRN CN(C[C@@H](O)C1CC1)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000690195747 779502101 /nfs/dbraw/zinc/50/21/01/779502101.db2.gz WRELOLDUKLKMDU-GFCCVEGCSA-N 0 0 286.278 2.740 20 5 CFBDRN CC[C@H](F)C(=O)N[C@@H](CC)c1cccc([N+](=O)[O-])c1 ZINC001127593017 779577135 /nfs/dbraw/zinc/57/71/35/779577135.db2.gz NPUDJIRXRHVBKK-RYUDHWBXSA-N 0 0 268.288 2.910 20 5 CFBDRN Nc1nc(N[C@@H]2C[C@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000094261529 779592246 /nfs/dbraw/zinc/59/22/46/779592246.db2.gz ZHMHRDVFLCXROC-WDEREUQCSA-N 0 0 270.292 2.540 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC/C=C\c2ccccc2)n1 ZINC001127637066 779638982 /nfs/dbraw/zinc/63/89/82/779638982.db2.gz CRKYSQXAQXAHEK-YVMONPNESA-N 0 0 297.314 2.741 20 5 CFBDRN CCCCC(=O)NC/C=C\CNc1ccc([N+](=O)[O-])cc1 ZINC001107045098 779759189 /nfs/dbraw/zinc/75/91/89/779759189.db2.gz FYBXMNPOFPWCHN-PLNGDYQASA-N 0 0 291.351 2.869 20 5 CFBDRN C=Cc1ccc(CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC001168571746 779978228 /nfs/dbraw/zinc/97/82/28/779978228.db2.gz FBHWJTQBTLWWNN-UHFFFAOYSA-N 0 0 256.265 2.640 20 5 CFBDRN C=Cc1ccc(CNc2cc([N+](=O)[O-])c(C)c[n+]2[O-])cc1 ZINC001168575670 779986508 /nfs/dbraw/zinc/98/65/08/779986508.db2.gz YZAOPJCUKWFBMA-UHFFFAOYSA-N 0 0 285.303 2.792 20 5 CFBDRN Cc1c(NCCOc2cccnc2)cc(F)cc1[N+](=O)[O-] ZINC001168617169 780090273 /nfs/dbraw/zinc/09/02/73/780090273.db2.gz GBVXOGGDZINPLD-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1ncnc(OC)c1[N+](=O)[O-] ZINC000094739717 780126886 /nfs/dbraw/zinc/12/68/86/780126886.db2.gz XNPHCYZFUYETOJ-DTWKUNHWSA-N 0 0 268.317 2.630 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H]1CCNc2ccccc21 ZINC001168646012 780182582 /nfs/dbraw/zinc/18/25/82/780182582.db2.gz OZBYPUMSWVJAFH-LBPRGKRZSA-N 0 0 270.292 2.959 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1nc(OC)ncc1[N+](=O)[O-] ZINC001168690859 780341955 /nfs/dbraw/zinc/34/19/55/780341955.db2.gz AIUWFLYWCUXLJD-IUCAKERBSA-N 0 0 268.317 2.630 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1c(F)c([N+](=O)[O-])cc[n+]1[O-] ZINC001168691748 780345129 /nfs/dbraw/zinc/34/51/29/780345129.db2.gz CYPZUECXSLDPFW-IUCAKERBSA-N 0 0 271.292 2.604 20 5 CFBDRN CC[C@@H]1CCN(C(=O)COc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC001128173200 780346561 /nfs/dbraw/zinc/34/65/61/780346561.db2.gz CDPXSSDEIDYFEF-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H](CCCC(C)(C)O)Nc1ccc([N+](=O)[O-])cn1 ZINC001168695880 780356414 /nfs/dbraw/zinc/35/64/14/780356414.db2.gz HUMKQQIEXGWRFW-JTQLQIEISA-N 0 0 267.329 2.731 20 5 CFBDRN CC[C@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC001128279607 780378447 /nfs/dbraw/zinc/37/84/47/780378447.db2.gz FFZVGAYHKPIVNC-AAEUAGOBSA-N 0 0 276.336 2.784 20 5 CFBDRN CN(C(=O)c1coc(C(F)F)c1)c1ccc([N+](=O)[O-])nc1 ZINC001128454520 780450327 /nfs/dbraw/zinc/45/03/27/780450327.db2.gz PNADWVQBDMVUAQ-UHFFFAOYSA-N 0 0 297.217 2.797 20 5 CFBDRN C[C@@H](CCCC(C)(C)O)Nc1ncccc1[N+](=O)[O-] ZINC001168710144 780459062 /nfs/dbraw/zinc/45/90/62/780459062.db2.gz BAKNMIANUNKGFG-JTQLQIEISA-N 0 0 267.329 2.731 20 5 CFBDRN CC(F)(F)CNc1nccc(Br)c1[N+](=O)[O-] ZINC001162232790 780636107 /nfs/dbraw/zinc/63/61/07/780636107.db2.gz GSYGUFRWZDNAPT-UHFFFAOYSA-N 0 0 296.071 2.819 20 5 CFBDRN C[C@H]1CCN(Cc2cc([N+](=O)[O-])ccc2Cl)C[C@H]1CO ZINC000700376490 780683950 /nfs/dbraw/zinc/68/39/50/780683950.db2.gz MESHQVQEMLQWLW-JQWIXIFHSA-N 0 0 298.770 2.699 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000700620671 780709413 /nfs/dbraw/zinc/70/94/13/780709413.db2.gz KBJAIMLURRMQGQ-QMMMGPOBSA-N 0 0 266.684 2.697 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)n1 ZINC000701098583 780758303 /nfs/dbraw/zinc/75/83/03/780758303.db2.gz IDEOZUYAMJDVJH-GMOBBJLQSA-N 0 0 265.313 2.522 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NCCC1CC(O)C1 ZINC000702509398 780926913 /nfs/dbraw/zinc/92/69/13/780926913.db2.gz WONNEKJWVJDZIK-UHFFFAOYSA-N 0 0 288.706 2.960 20 5 CFBDRN CCc1nnc(COc2ccc([N+](=O)[O-])c(F)c2)s1 ZINC000702755251 780947354 /nfs/dbraw/zinc/94/73/54/780947354.db2.gz PRTIOOKOSLSDTA-UHFFFAOYSA-N 0 0 283.284 2.727 20 5 CFBDRN COC(=O)c1ccc(C)c([N+](=O)[O-])c1O[C@H]1C=CCC1 ZINC001229898916 781056803 /nfs/dbraw/zinc/05/68/03/781056803.db2.gz FLRAXTUYJIVQMZ-JTQLQIEISA-N 0 0 277.276 2.787 20 5 CFBDRN CN(C[C@H]1C[C@@H](O)C1)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000703769930 781059025 /nfs/dbraw/zinc/05/90/25/781059025.db2.gz OMGUAGQEXWHCLO-WAAGHKOSSA-N 0 0 286.278 2.740 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1ccc(Cl)cn1 ZINC000703860563 781070882 /nfs/dbraw/zinc/07/08/82/781070882.db2.gz NKJUGSDGZIZCNW-UHFFFAOYSA-N 0 0 277.711 2.933 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCSC2)cn1 ZINC000704815090 781121185 /nfs/dbraw/zinc/12/11/85/781121185.db2.gz RVEBFSFXFGMXTM-SECBINFHSA-N 0 0 253.327 2.545 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc([N+](=O)[O-])cc1F ZINC000706406624 781175532 /nfs/dbraw/zinc/17/55/32/781175532.db2.gz WGDHCDJMOXKQBT-UHFFFAOYSA-N 0 0 270.304 2.721 20 5 CFBDRN CC1(C)CCN1C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000706792969 781202114 /nfs/dbraw/zinc/20/21/14/781202114.db2.gz QXYQYULZBPKKOA-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2Cl)[C@H]1C ZINC000706927535 781215913 /nfs/dbraw/zinc/21/59/13/781215913.db2.gz MCOHUWRVQWHTKH-YUMQZZPRSA-N 0 0 268.700 2.729 20 5 CFBDRN COc1cc(NCCOC2CCC2)c([N+](=O)[O-])cc1C ZINC000709169979 781278750 /nfs/dbraw/zinc/27/87/50/781278750.db2.gz AGSCEXGHGIQIPW-UHFFFAOYSA-N 0 0 280.324 2.893 20 5 CFBDRN COc1cccc(NCCOC2CCC2)c1[N+](=O)[O-] ZINC000709203449 781307733 /nfs/dbraw/zinc/30/77/33/781307733.db2.gz MHLUHDMEDQKBOK-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1cn(C)cn1 ZINC000709935545 781323742 /nfs/dbraw/zinc/32/37/42/781323742.db2.gz SZGJLVIBVJLWSW-QWRGUYRKSA-N 0 0 274.324 2.740 20 5 CFBDRN C[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)[C@@H]1CCO ZINC000697241020 781385077 /nfs/dbraw/zinc/38/50/77/781385077.db2.gz VZFUERDSJDPECP-GXFFZTMASA-N 0 0 282.315 2.721 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@@H](CF)C2)c([N+](=O)[O-])c1 ZINC000711409296 781429339 /nfs/dbraw/zinc/42/93/39/781429339.db2.gz KKQBYSPGRKFADH-JTQLQIEISA-N 0 0 266.272 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC[C@@H]3C=CCC3)c2c1 ZINC000711762041 781471031 /nfs/dbraw/zinc/47/10/31/781471031.db2.gz YBBOZDDBIYDGFL-SNVBAGLBSA-N 0 0 270.292 2.916 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CCC2)[C@@H]2CCOC2)s1 ZINC000711896461 781486772 /nfs/dbraw/zinc/48/67/72/781486772.db2.gz WFVAZXGNIBCWIK-KOLCDFICSA-N 0 0 283.353 2.668 20 5 CFBDRN Cc1cc(Cl)nc(N2CCCO[C@H](C)C2)c1[N+](=O)[O-] ZINC001163963015 781623189 /nfs/dbraw/zinc/62/31/89/781623189.db2.gz ZWLAQUXJKJNPGD-SECBINFHSA-N 0 0 285.731 2.567 20 5 CFBDRN Cc1cc(Cl)nc(N2CCCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC001163963016 781623330 /nfs/dbraw/zinc/62/33/30/781623330.db2.gz ZWLAQUXJKJNPGD-VIFPVBQESA-N 0 0 285.731 2.567 20 5 CFBDRN O=C(OC/C=C/Br)c1ccc([N+](=O)[O-])cc1 ZINC000606511697 781635559 /nfs/dbraw/zinc/63/55/59/781635559.db2.gz YYNVEKJROKHCKA-LZCJLJQNSA-N 0 0 286.081 2.660 20 5 CFBDRN CC(=O)Nc1ccc(NC2(C)CCC2)cc1[N+](=O)[O-] ZINC001164031679 781637963 /nfs/dbraw/zinc/63/79/63/781637963.db2.gz JREBYLMYROZRPE-UHFFFAOYSA-N 0 0 263.297 2.908 20 5 CFBDRN Cc1cc(Cl)nc(N2CC[C@@](C)(O)[C@H](C)C2)c1[N+](=O)[O-] ZINC001164347008 781715710 /nfs/dbraw/zinc/71/57/10/781715710.db2.gz UZHVTVXRWYXEEK-NOZJJQNGSA-N 0 0 299.758 2.549 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(F)(F)C[C@@]1(C)CF ZINC001164552726 781728773 /nfs/dbraw/zinc/72/87/73/781728773.db2.gz NOGXJAQILLWMFT-NSHDSACASA-N 0 0 289.257 2.872 20 5 CFBDRN CN(c1ncnc2ccc([N+](=O)[O-])cc21)C(C)(C)C ZINC001166530498 781759614 /nfs/dbraw/zinc/75/96/14/781759614.db2.gz XYTFWGYHVVADHP-UHFFFAOYSA-N 0 0 260.297 2.773 20 5 CFBDRN COc1nc(N2CCC[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC001166608794 781765920 /nfs/dbraw/zinc/76/59/20/781765920.db2.gz AMZRHGAQEVKRBD-VHSXEESVSA-N 0 0 265.313 2.623 20 5 CFBDRN O=C(c1cocn1)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC001119481955 781816696 /nfs/dbraw/zinc/81/66/96/781816696.db2.gz AVZFICRGFGUXGF-UHFFFAOYSA-N 0 0 287.275 2.566 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1CN1CC[C@@H]2C[C@@H]21 ZINC001119691480 781852421 /nfs/dbraw/zinc/85/24/21/781852421.db2.gz JQFQEBCSLDWESQ-PELKAZGASA-N 0 0 297.152 2.952 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc(F)ccc1[N+](=O)[O-] ZINC000822164777 781893237 /nfs/dbraw/zinc/89/32/37/781893237.db2.gz HLGPCPSJCMVNHQ-UBHAPETDSA-N 0 0 278.283 2.652 20 5 CFBDRN C[C@H]1CCCCN1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000822409916 781900172 /nfs/dbraw/zinc/90/01/72/781900172.db2.gz RYGVAUPBTLUDIJ-VIFPVBQESA-N 0 0 297.742 2.767 20 5 CFBDRN C[C@@H](N[C@@H]1CCCn2ccnc21)c1ccccc1[N+](=O)[O-] ZINC000594512325 349436387 /nfs/dbraw/zinc/43/63/87/349436387.db2.gz KPHFINPAZARVAV-DGCLKSJQSA-N 0 0 286.335 2.977 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1(CCO)CCC1 ZINC000594564864 349448501 /nfs/dbraw/zinc/44/85/01/349448501.db2.gz JGXCXYKNPWZAJP-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])cc2C)CC1 ZINC000594591880 349453620 /nfs/dbraw/zinc/45/36/20/349453620.db2.gz HGMGEYVTVDZWGM-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN CC(C)Oc1nc(N2CCC[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000594580147 349450682 /nfs/dbraw/zinc/45/06/82/349450682.db2.gz AXXKWQXVJVOLPU-CMPLNLGQSA-N 0 0 277.324 2.766 20 5 CFBDRN CCO[C@@H]1CCN(c2c(OC)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000594583549 349451540 /nfs/dbraw/zinc/45/15/40/349451540.db2.gz VIKGGKCKMPHLHH-WCQYABFASA-N 0 0 294.351 2.855 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCC[C@H]1CCOC1 ZINC000594582955 349451734 /nfs/dbraw/zinc/45/17/34/349451734.db2.gz QXLUJALJJHONLL-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](C(F)F)C1 ZINC000594586934 349452296 /nfs/dbraw/zinc/45/22/96/349452296.db2.gz SIUJCMHIVUZTAS-MRVPVSSYSA-N 0 0 272.251 2.695 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CC2)[C@H]2CCCCO2)s1 ZINC000594590579 349453088 /nfs/dbraw/zinc/45/30/88/349453088.db2.gz LDMPHEFQJVYVBZ-KOLCDFICSA-N 0 0 283.353 2.811 20 5 CFBDRN CCOc1cc(NCC2(COC)CC2)ccc1[N+](=O)[O-] ZINC000594591871 349453823 /nfs/dbraw/zinc/45/38/23/349453823.db2.gz HCAMSJJNVFAWFL-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CC2)[C@H]2CCCCO2)nc1 ZINC000594590920 349454074 /nfs/dbraw/zinc/45/40/74/349454074.db2.gz OICINRQDTHXRBI-TZMCWYRMSA-N 0 0 277.324 2.749 20 5 CFBDRN Cc1cnc(N[C@H](C)Cc2ccccn2)c([N+](=O)[O-])c1 ZINC000594593438 349454752 /nfs/dbraw/zinc/45/47/52/349454752.db2.gz BKFABSMHIGHWKE-LLVKDONJSA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1ccnc(NC[C@@H](C)C(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC000594595285 349455596 /nfs/dbraw/zinc/45/55/96/349455596.db2.gz HRKDYVGZQBBATC-SNVBAGLBSA-N 0 0 295.339 2.688 20 5 CFBDRN COC[C@H](CC(C)(C)C)Nc1ccc([N+](=O)[O-])nc1 ZINC000594599117 349457317 /nfs/dbraw/zinc/45/73/17/349457317.db2.gz KYRMVGBQNQVHGY-NSHDSACASA-N 0 0 267.329 2.853 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1F)[C@@H]1CCCCO1 ZINC000594600587 349457982 /nfs/dbraw/zinc/45/79/82/349457982.db2.gz MJNAPQIQDRGJMZ-RYUDHWBXSA-N 0 0 283.303 2.889 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2CC2CCCC2)nc1 ZINC000594600392 349457993 /nfs/dbraw/zinc/45/79/93/349457993.db2.gz QHDCCQGIHLAWTF-GFCCVEGCSA-N 0 0 276.340 2.934 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@H]1CCCCO1 ZINC000594601879 349458371 /nfs/dbraw/zinc/45/83/71/349458371.db2.gz PFMUWRBBEJGSAZ-VXGBXAGGSA-N 0 0 265.313 2.749 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cc1F)C(=O)OC(C)(C)C ZINC000594604207 349459581 /nfs/dbraw/zinc/45/95/81/349459581.db2.gz SWONGXGMOHDBIL-QMMMGPOBSA-N 0 0 299.302 2.519 20 5 CFBDRN CC(C)/C=C\c1cn(Cc2ccc([N+](=O)[O-])cc2)nn1 ZINC000594650229 349467312 /nfs/dbraw/zinc/46/73/12/349467312.db2.gz GPRPVENRCYRRDU-UTCJRWHESA-N 0 0 272.308 2.904 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cn(C(C)(C)C)nn2)c1 ZINC000594880478 349492556 /nfs/dbraw/zinc/49/25/56/349492556.db2.gz KIFCILMDANOOBG-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN CC(C)(C)c1cccc(Cn2cnc([N+](=O)[O-])n2)c1 ZINC000594885179 349492900 /nfs/dbraw/zinc/49/29/00/349492900.db2.gz ABDNQCQMSATDJO-UHFFFAOYSA-N 0 0 260.297 2.532 20 5 CFBDRN CC(=O)[C@H](C)CCSc1ccc([N+](=O)[O-])cn1 ZINC000594892782 349496115 /nfs/dbraw/zinc/49/61/15/349496115.db2.gz IVYVQICCNXYNDQ-MRVPVSSYSA-N 0 0 254.311 2.697 20 5 CFBDRN CO[C@H](Cn1cc([N+](=O)[O-])c(C)n1)C1CCCCC1 ZINC000594896930 349498148 /nfs/dbraw/zinc/49/81/48/349498148.db2.gz BUUFYSXEKIGRDW-CYBMUJFWSA-N 0 0 267.329 2.695 20 5 CFBDRN CC[C@H](CSc1ncc([N+](=O)[O-])c(N)n1)CC(F)F ZINC000594899927 349499055 /nfs/dbraw/zinc/49/90/55/349499055.db2.gz FRGRXXUFLIEZTN-LURJTMIESA-N 0 0 292.311 2.571 20 5 CFBDRN COC(=O)c1cccc(OCC2CC=CC2)c1[N+](=O)[O-] ZINC000594904007 349500603 /nfs/dbraw/zinc/50/06/03/349500603.db2.gz ZDEGMKMQYZGAFQ-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN CCOCCC1(CNc2ncccc2[N+](=O)[O-])CC1 ZINC000127696489 187326740 /nfs/dbraw/zinc/32/67/40/187326740.db2.gz PBDYEQLBQPNHTL-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CCOc1cccc(N(C)C[C@@H]2CCC[C@@H]2O)c1[N+](=O)[O-] ZINC000273849304 192333514 /nfs/dbraw/zinc/33/35/14/192333514.db2.gz JMBLZJGZAMOPIX-AAEUAGOBSA-N 0 0 294.351 2.591 20 5 CFBDRN CC(C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273858322 192338644 /nfs/dbraw/zinc/33/86/44/192338644.db2.gz ILXAEMXNINMFNH-UHFFFAOYSA-N 0 0 268.288 2.536 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)N[C@@H](C)c1cn[nH]c1 ZINC000595033034 349557423 /nfs/dbraw/zinc/55/74/23/349557423.db2.gz ADOWZPDHABYEAT-UWVGGRQHSA-N 0 0 290.323 2.738 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)N[C@H](C)c1cn[nH]c1 ZINC000595033036 349557686 /nfs/dbraw/zinc/55/76/86/349557686.db2.gz ADOWZPDHABYEAT-ZJUUUORDSA-N 0 0 290.323 2.738 20 5 CFBDRN C[C@H](c1cccnc1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000068172157 346839206 /nfs/dbraw/zinc/83/92/06/346839206.db2.gz XBBXPVPRNFJBLS-LLVKDONJSA-N 0 0 285.303 2.823 20 5 CFBDRN CN(CCc1ccccn1)c1ccc(F)cc1[N+](=O)[O-] ZINC000067949670 346829315 /nfs/dbraw/zinc/82/93/15/346829315.db2.gz LUZLKKYJVPNSLS-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CN(Cc1ccncc1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000068062653 346833252 /nfs/dbraw/zinc/83/32/52/346833252.db2.gz MRVNFLATYCKFJU-QPJJXVBHSA-N 0 0 297.314 2.662 20 5 CFBDRN CCCCN(CCOC)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000068140293 346837685 /nfs/dbraw/zinc/83/76/85/346837685.db2.gz QZGWNTFXQQHFOE-UHFFFAOYSA-N 0 0 294.351 2.792 20 5 CFBDRN CCC[C@@H](C)CC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000068184217 346840039 /nfs/dbraw/zinc/84/00/39/346840039.db2.gz UJWIOTBZGXFWAQ-GFCCVEGCSA-N 0 0 294.351 2.916 20 5 CFBDRN Cc1nc(N[C@@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000125366992 187181647 /nfs/dbraw/zinc/18/16/47/187181647.db2.gz UMYOTIUIVVVKJU-SECBINFHSA-N 0 0 253.327 2.606 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1(C)C ZINC000595326420 349602411 /nfs/dbraw/zinc/60/24/11/349602411.db2.gz CERJDULBPPLUSN-RYUDHWBXSA-N 0 0 297.355 2.527 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000068289567 346845212 /nfs/dbraw/zinc/84/52/12/346845212.db2.gz UXNSDZUKTMIRAM-WDEREUQCSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H]1CSCCN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595329098 349603389 /nfs/dbraw/zinc/60/33/89/349603389.db2.gz MZWXPJJESVCXDA-SECBINFHSA-N 0 0 270.329 2.671 20 5 CFBDRN CCS[C@H]1CC[C@@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1 ZINC000595331937 349603412 /nfs/dbraw/zinc/60/34/12/349603412.db2.gz ZGBYKAFLEXISPC-MNOVXSKESA-N 0 0 299.396 2.997 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1ccnc(-n2ccnc2)c1 ZINC000068320678 346847201 /nfs/dbraw/zinc/84/72/01/346847201.db2.gz WNODKVVDQNZBMS-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cc1noc(CN(C)Cc2cccc(F)c2)c1[N+](=O)[O-] ZINC000595290461 349592100 /nfs/dbraw/zinc/59/21/00/349592100.db2.gz BYGOHTAITJJRCT-UHFFFAOYSA-N 0 0 279.271 2.662 20 5 CFBDRN Cc1noc(CN2CCC[C@@H]2c2ccccn2)c1[N+](=O)[O-] ZINC000595347393 349607760 /nfs/dbraw/zinc/60/77/60/349607760.db2.gz UFRRUUYQJABMBP-GFCCVEGCSA-N 0 0 288.307 2.623 20 5 CFBDRN O=C(NCCCNc1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000068508471 346857073 /nfs/dbraw/zinc/85/70/73/346857073.db2.gz CHCMZLYAMOFBAO-UHFFFAOYSA-N 0 0 299.330 2.827 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@H](CC)O1 ZINC000421945380 529380834 /nfs/dbraw/zinc/38/08/34/529380834.db2.gz FPGSEHGTVHBDHQ-OKILXGFUSA-N 0 0 292.335 2.624 20 5 CFBDRN CCOc1ccccc1N(C)Cc1onc(C)c1[N+](=O)[O-] ZINC000595388136 349614300 /nfs/dbraw/zinc/61/43/00/349614300.db2.gz GKHMJHOMCWKDAG-UHFFFAOYSA-N 0 0 291.307 2.926 20 5 CFBDRN Cc1noc(CNC(C)(C)c2ccc(C)nc2)c1[N+](=O)[O-] ZINC000595438412 349625589 /nfs/dbraw/zinc/62/55/89/349625589.db2.gz PKOPNZJSAWNVHP-UHFFFAOYSA-N 0 0 290.323 2.620 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000068779479 346869119 /nfs/dbraw/zinc/86/91/19/346869119.db2.gz FBMZGLMCKCMLRG-GFCCVEGCSA-N 0 0 276.336 2.786 20 5 CFBDRN C[C@H]1CCCN(C(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000068784237 346870074 /nfs/dbraw/zinc/87/00/74/346870074.db2.gz VDSBFLBXGFHDBQ-LBPRGKRZSA-N 0 0 292.335 2.622 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000595471756 349637473 /nfs/dbraw/zinc/63/74/73/349637473.db2.gz PPSJGJQLCRIBCR-GFCCVEGCSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1noc(CN2CCc3cc(F)ccc32)c1[N+](=O)[O-] ZINC000595473996 349637650 /nfs/dbraw/zinc/63/76/50/349637650.db2.gz CAWHJMFZJOECRE-UHFFFAOYSA-N 0 0 277.255 2.593 20 5 CFBDRN CC(C)[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CCCO1 ZINC000595505932 349645461 /nfs/dbraw/zinc/64/54/61/349645461.db2.gz XROUOBQMNIPFGZ-OAHLLOKOSA-N 0 0 296.342 2.981 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H](O)C1CCCCC1 ZINC000068909368 346876506 /nfs/dbraw/zinc/87/65/06/346876506.db2.gz KKDVOYRMNCQTLH-AWEZNQCLSA-N 0 0 264.325 2.948 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000595558650 349658965 /nfs/dbraw/zinc/65/89/65/349658965.db2.gz OHSGNFLUMOWZJS-MFKMUULPSA-N 0 0 282.315 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(-c3ccccc3)c2)nc1 ZINC000069000387 346880046 /nfs/dbraw/zinc/88/00/46/346880046.db2.gz LBTLXUJWMXALLH-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2cc(OC)ccc2[N+](=O)[O-])CCO1 ZINC000420620922 529447678 /nfs/dbraw/zinc/44/76/78/529447678.db2.gz REKNFOBWYWUYLQ-GHMZBOCLSA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@@]1(C2CC2)CN(Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000595616818 349677170 /nfs/dbraw/zinc/67/71/70/349677170.db2.gz DEWGOCDWTKYVIQ-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000595676935 349697279 /nfs/dbraw/zinc/69/72/79/349697279.db2.gz WGQPQQLDBQIEIH-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1noc(CN2CC[C@@H]2c2ccc(F)cc2)c1[N+](=O)[O-] ZINC000595699870 349708504 /nfs/dbraw/zinc/70/85/04/349708504.db2.gz BHPGHQNEEPRHEV-GFCCVEGCSA-N 0 0 291.282 2.977 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)C[C@@H](C)C1=O ZINC000595713499 349713730 /nfs/dbraw/zinc/71/37/30/349713730.db2.gz JDTYLYJKEGWADD-NXEZZACHSA-N 0 0 296.754 2.905 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)[C@H]1CC1(C)C ZINC000416051042 529569395 /nfs/dbraw/zinc/56/93/95/529569395.db2.gz AODKGCAOGUARJB-BDAKNGLRSA-N 0 0 266.297 2.742 20 5 CFBDRN CC(C)c1cc(C(=O)NCc2ccc([N+](=O)[O-])cc2)on1 ZINC000070802609 346914277 /nfs/dbraw/zinc/91/42/77/346914277.db2.gz LBZDWFGUJFSENI-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCOC[C@H]1CCCO1 ZINC000070792461 346914460 /nfs/dbraw/zinc/91/44/60/346914460.db2.gz KWGWRLJWWKLJPX-GFCCVEGCSA-N 0 0 280.324 2.592 20 5 CFBDRN Cc1nnc(CN(C)Cc2cccc([N+](=O)[O-])c2C)s1 ZINC000595885934 349760488 /nfs/dbraw/zinc/76/04/88/349760488.db2.gz QUEPWWPPFCOSGT-UHFFFAOYSA-N 0 0 292.364 2.695 20 5 CFBDRN Cc1c(C[N@H+](CC(=O)[O-])CC(C)(C)C)cccc1[N+](=O)[O-] ZINC000595884518 349760619 /nfs/dbraw/zinc/76/06/19/349760619.db2.gz AIXCFCWOOFDCKO-UHFFFAOYSA-N 0 0 294.351 2.836 20 5 CFBDRN Cc1nnc(CN(C)Cc2ccc(F)cc2[N+](=O)[O-])s1 ZINC000595884986 349761182 /nfs/dbraw/zinc/76/11/82/349761182.db2.gz KISILDVXYOPAAF-UHFFFAOYSA-N 0 0 296.327 2.526 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000428098078 529609268 /nfs/dbraw/zinc/60/92/68/529609268.db2.gz UBXCRWSEYHZXME-IMRBUKKESA-N 0 0 274.320 2.686 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCc2nccnc2C1 ZINC000595864688 349757485 /nfs/dbraw/zinc/75/74/85/349757485.db2.gz QIZXCDUVZUWARV-NSHDSACASA-N 0 0 284.319 2.504 20 5 CFBDRN CC(C)c1cccc(Cn2cc([N+](=O)[O-])ccc2=O)c1 ZINC000596011816 349775490 /nfs/dbraw/zinc/77/54/90/349775490.db2.gz PPXWESFYWUNDKS-UHFFFAOYSA-N 0 0 272.304 2.928 20 5 CFBDRN Cc1noc(CSc2nccn2C(C)C)c1[N+](=O)[O-] ZINC000596016664 349776516 /nfs/dbraw/zinc/77/65/16/349776516.db2.gz SVYOKYRNUNVSMP-UHFFFAOYSA-N 0 0 282.325 2.961 20 5 CFBDRN COc1ccc(F)cc1NCc1onc(C)c1[N+](=O)[O-] ZINC000596031962 349778683 /nfs/dbraw/zinc/77/86/83/349778683.db2.gz HFGQGMPSDBCGGB-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)[C@@H]1C ZINC000596054295 349782713 /nfs/dbraw/zinc/78/27/13/349782713.db2.gz CXBFFVGWSXVTGB-GIPNMCIBSA-N 0 0 291.351 2.930 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596056320 349783361 /nfs/dbraw/zinc/78/33/61/349783361.db2.gz RPSMQPDLYYXKCN-CABZTGNLSA-N 0 0 277.324 2.684 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596076296 349787906 /nfs/dbraw/zinc/78/79/06/349787906.db2.gz NMHFKFXWNNMEOP-GHMZBOCLSA-N 0 0 291.351 2.709 20 5 CFBDRN Cc1cc(NC[C@H](C)Cn2cccn2)c([N+](=O)[O-])s1 ZINC000596076080 349788241 /nfs/dbraw/zinc/78/82/41/349788241.db2.gz CLAAZCMOURSWMH-VIFPVBQESA-N 0 0 280.353 2.909 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596087119 349791068 /nfs/dbraw/zinc/79/10/68/349791068.db2.gz FVULOYFIHQVXAA-DTWKUNHWSA-N 0 0 265.313 2.540 20 5 CFBDRN COC(=O)c1c(Cl)c(N2CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000596087097 349791074 /nfs/dbraw/zinc/79/10/74/349791074.db2.gz FQTKQOBJBLDTHZ-SSDOTTSWSA-N 0 0 284.699 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@@H]2CCC[C@@H]2O)c1 ZINC000269987522 190581199 /nfs/dbraw/zinc/58/11/99/190581199.db2.gz OIUPRWMSSUYZMW-FZMZJTMJSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc(NCc2c[nH]nc2C)c([N+](=O)[O-])s1 ZINC000596091906 349792027 /nfs/dbraw/zinc/79/20/27/349792027.db2.gz PADFUHRXFPLTRV-UHFFFAOYSA-N 0 0 252.299 2.608 20 5 CFBDRN O=c1[nH]ccc(NCCC2CCCC2)c1[N+](=O)[O-] ZINC000596071568 349787517 /nfs/dbraw/zinc/78/75/17/349787517.db2.gz REBUITLVCGUTMJ-UHFFFAOYSA-N 0 0 251.286 2.688 20 5 CFBDRN CC(C)C[C@@H]1CCCN1c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596098225 349794739 /nfs/dbraw/zinc/79/47/39/349794739.db2.gz QNEOAQUNIYOLBM-NSHDSACASA-N 0 0 291.351 2.709 20 5 CFBDRN Cc1ccc(OCCNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000072521909 346927556 /nfs/dbraw/zinc/92/75/56/346927556.db2.gz YWYJXULHFVDAFS-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN Cn1cccc1CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000072547747 346929045 /nfs/dbraw/zinc/92/90/45/346929045.db2.gz KHIFXJYCSRWDSY-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN C[C@]1(CNc2ncccc2[N+](=O)[O-])CCCS1 ZINC000126390659 187236529 /nfs/dbraw/zinc/23/65/29/187236529.db2.gz VYJVNCTVXIAKNH-LLVKDONJSA-N 0 0 253.327 2.687 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000126389081 187236890 /nfs/dbraw/zinc/23/68/90/187236890.db2.gz ZVPLKGOXGLJLFY-JTQLQIEISA-N 0 0 290.291 2.953 20 5 CFBDRN Cc1ncsc1COc1ccc([N+](=O)[O-])cc1 ZINC000351699263 282234977 /nfs/dbraw/zinc/23/49/77/282234977.db2.gz ZZQNBWHSHMNTDF-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1nc(NC[C@@H]2C[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000294987158 199286218 /nfs/dbraw/zinc/28/62/18/199286218.db2.gz QDUANCZRWUGYAA-STQMWFEESA-N 0 0 284.319 2.909 20 5 CFBDRN CCS[C@H](C)c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000273912428 192359738 /nfs/dbraw/zinc/35/97/38/192359738.db2.gz KSXAAVZQKZLVFM-ZCFIWIBFSA-N 0 0 268.298 2.787 20 5 CFBDRN Cc1ccc(C[C@@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)s1 ZINC000126706903 187257218 /nfs/dbraw/zinc/25/72/18/187257218.db2.gz LLSNTGTVDQUUCI-MRVPVSSYSA-N 0 0 294.332 2.919 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H]1C ZINC000596144750 349807377 /nfs/dbraw/zinc/80/73/77/349807377.db2.gz MTEKXLBONOKUBU-YUMQZZPRSA-N 0 0 255.705 2.735 20 5 CFBDRN CCSCC[C@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596145049 349808038 /nfs/dbraw/zinc/80/80/38/349808038.db2.gz PVHWMGYWMGKCGG-VIFPVBQESA-N 0 0 297.380 2.637 20 5 CFBDRN Cc1ccc(N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)nn1 ZINC000596152307 349810484 /nfs/dbraw/zinc/81/04/84/349810484.db2.gz OVURAOPVHQFMHF-LLVKDONJSA-N 0 0 272.308 2.597 20 5 CFBDRN C[C@@H]1[C@@H](c2ccccc2)CCN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596154168 349811236 /nfs/dbraw/zinc/81/12/36/349811236.db2.gz DWUJTEZGEQSXMD-YPMHNXCESA-N 0 0 298.346 2.954 20 5 CFBDRN CC(C)C[C@@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596158371 349812711 /nfs/dbraw/zinc/81/27/11/349812711.db2.gz WGXKMMNPCRGUFV-NSHDSACASA-N 0 0 279.340 2.958 20 5 CFBDRN CC(=O)c1cc(N2CCS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000137195289 136393045 /nfs/dbraw/zinc/39/30/45/136393045.db2.gz YAHZPEIPWFEESG-SECBINFHSA-N 0 0 280.349 2.739 20 5 CFBDRN Cc1ccc(N(Cc2cccc([N+](=O)[O-])c2)C2CC2)nn1 ZINC000596165888 349814291 /nfs/dbraw/zinc/81/42/91/349814291.db2.gz NAKXGFJUQIYHIR-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN C[C@H](NC(=O)N[C@@]12C[C@@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000596167787 349815221 /nfs/dbraw/zinc/81/52/21/349815221.db2.gz MEBKVXHWCVSQEK-WBIUFABUSA-N 0 0 289.335 2.898 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000596166963 349815391 /nfs/dbraw/zinc/81/53/91/349815391.db2.gz ZPTTUYDUXFXHPX-SSDOTTSWSA-N 0 0 273.239 2.685 20 5 CFBDRN CCOc1cccc(NCC[C@@H](C)CCO)c1[N+](=O)[O-] ZINC000295014481 199301366 /nfs/dbraw/zinc/30/13/66/199301366.db2.gz UECAZICIVUJXRX-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN O=C1CC[C@@H](COc2ccc(Cl)cc2[N+](=O)[O-])CCN1 ZINC000295022321 199303940 /nfs/dbraw/zinc/30/39/40/199303940.db2.gz QQXFHANMGKIFJV-SECBINFHSA-N 0 0 298.726 2.543 20 5 CFBDRN CCOc1cccc(N(C)C[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000273920597 192363124 /nfs/dbraw/zinc/36/31/24/192363124.db2.gz ZNRYEGWVCBWKNW-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1SC1CCOCC1 ZINC000596176105 349817877 /nfs/dbraw/zinc/81/78/77/349817877.db2.gz XBPJRCHIAVNNHZ-UHFFFAOYSA-N 0 0 274.729 2.914 20 5 CFBDRN CC(F)(F)CCNc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000295038750 199314650 /nfs/dbraw/zinc/31/46/50/199314650.db2.gz WVKBMZMGLHYOQO-UHFFFAOYSA-N 0 0 298.249 2.701 20 5 CFBDRN CC(F)(F)CCCNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000295041799 199316229 /nfs/dbraw/zinc/31/62/29/199316229.db2.gz XESGJSZAJCNXSQ-UHFFFAOYSA-N 0 0 287.266 2.541 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C(C)C)C2)c([N+](=O)[O-])s1 ZINC000596187027 349821009 /nfs/dbraw/zinc/82/10/09/349821009.db2.gz LYPWHQWGBCYDGJ-LLVKDONJSA-N 0 0 270.354 2.826 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000596188165 349821630 /nfs/dbraw/zinc/82/16/30/349821630.db2.gz CTPYSOMSFRSJBG-GFCCVEGCSA-N 0 0 293.367 2.967 20 5 CFBDRN CS[C@@H](CNc1cc[nH]c(=O)c1[N+](=O)[O-])C(C)(C)C ZINC000596191561 349822014 /nfs/dbraw/zinc/82/20/14/349822014.db2.gz XWXDQEAHLQZWGZ-VIFPVBQESA-N 0 0 285.369 2.885 20 5 CFBDRN CN(c1ccc(C(F)(F)F)c([N+](=O)[O-])c1)C1CC(O)C1 ZINC000596197488 349824802 /nfs/dbraw/zinc/82/48/02/349824802.db2.gz DVSVWYWZNQSSMH-UHFFFAOYSA-N 0 0 290.241 2.573 20 5 CFBDRN CC[C@@]1(C)CN(c2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596202133 349825705 /nfs/dbraw/zinc/82/57/05/349825705.db2.gz BIUBRLIWYDFAOQ-LBPRGKRZSA-N 0 0 285.731 2.649 20 5 CFBDRN Cc1cc(N2CCO[C@H](C3CC3)C2)c([N+](=O)[O-])s1 ZINC000596201268 349825767 /nfs/dbraw/zinc/82/57/67/349825767.db2.gz WXMPBKLIBHRNPK-NSHDSACASA-N 0 0 268.338 2.580 20 5 CFBDRN Cc1cc(N[C@H]2CCn3ccnc3C2)c([N+](=O)[O-])s1 ZINC000596199692 349825786 /nfs/dbraw/zinc/82/57/86/349825786.db2.gz FPHMAIYNNPYOPO-VIFPVBQESA-N 0 0 278.337 2.588 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1N1CCCCO1 ZINC000596204611 349826256 /nfs/dbraw/zinc/82/62/56/349826256.db2.gz SEQNYKKYKGUQHK-UHFFFAOYSA-N 0 0 287.113 2.889 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000072946469 346952462 /nfs/dbraw/zinc/95/24/62/346952462.db2.gz WMZYGLLNDLJDND-GFCCVEGCSA-N 0 0 264.325 2.848 20 5 CFBDRN CCNC(=O)C1(CNc2cc(C)sc2[N+](=O)[O-])CCC1 ZINC000596206102 349827157 /nfs/dbraw/zinc/82/71/57/349827157.db2.gz LISXXWWYQFRHTR-UHFFFAOYSA-N 0 0 297.380 2.683 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000127974715 187344314 /nfs/dbraw/zinc/34/43/14/187344314.db2.gz VTKLJFIYKVKSOM-SNVBAGLBSA-N 0 0 293.323 2.531 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000596219295 349830366 /nfs/dbraw/zinc/83/03/66/349830366.db2.gz PGNMOPGRROODIV-ZETCQYMHSA-N 0 0 273.239 2.543 20 5 CFBDRN COc1cc(N[C@@H](C)C[NH+](C)C)c(Cl)cc1[N+](=O)[O-] ZINC000596243579 349837748 /nfs/dbraw/zinc/83/77/48/349837748.db2.gz MHXVTNNIKZRVIA-QMMMGPOBSA-N 0 0 287.747 2.619 20 5 CFBDRN Cc1cc(N2CC[C@@H](n3cccn3)C2)c([N+](=O)[O-])s1 ZINC000596242024 349838143 /nfs/dbraw/zinc/83/81/43/349838143.db2.gz NTGPNIPAYIZNNN-SNVBAGLBSA-N 0 0 278.337 2.613 20 5 CFBDRN Cc1cc(NCCc2nccnc2C)c([N+](=O)[O-])s1 ZINC000596244397 349838824 /nfs/dbraw/zinc/83/88/24/349838824.db2.gz RBJAWSGWDPYARF-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1ccc2ncccc2c1[N+](=O)[O-] ZINC000596249486 349840685 /nfs/dbraw/zinc/84/06/85/349840685.db2.gz QIHAAUKKBGKLBY-VHSXEESVSA-N 0 0 257.293 2.988 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC=C(c2cccnc2)C1 ZINC000596252297 349841344 /nfs/dbraw/zinc/84/13/44/349841344.db2.gz IOESQWNJWCMWQW-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN CO[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1(C)C ZINC000596253835 349841766 /nfs/dbraw/zinc/84/17/66/349841766.db2.gz FHDTZALNRYVXOH-NSHDSACASA-N 0 0 299.758 2.895 20 5 CFBDRN Cc1cc(NCc2nn(C)cc2Cl)c([N+](=O)[O-])s1 ZINC000596254567 349841855 /nfs/dbraw/zinc/84/18/55/349841855.db2.gz JXQPNQKNJINTNX-UHFFFAOYSA-N 0 0 286.744 2.964 20 5 CFBDRN COCC[C@@H](C)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000596269439 349848484 /nfs/dbraw/zinc/84/84/84/349848484.db2.gz BLYRYEKGBUKKMM-SNVBAGLBSA-N 0 0 282.340 2.996 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C3CCC3)C2)c([N+](=O)[O-])s1 ZINC000596269763 349848591 /nfs/dbraw/zinc/84/85/91/349848591.db2.gz FKCIHTVSQBSWLK-GFCCVEGCSA-N 0 0 282.365 2.970 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H]1CCCC[C@@H]1C ZINC000596269523 349848655 /nfs/dbraw/zinc/84/86/55/349848655.db2.gz WBWHGYFHASAKOZ-CMPLNLGQSA-N 0 0 264.325 2.891 20 5 CFBDRN CO[C@H](Cn1c(C)ccc([N+](=O)[O-])c1=O)C1CCCCC1 ZINC000596270958 349848913 /nfs/dbraw/zinc/84/89/13/349848913.db2.gz VOHVYDTYZHLVEQ-CQSZACIVSA-N 0 0 294.351 2.660 20 5 CFBDRN O=C(NCC(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000073155848 346963476 /nfs/dbraw/zinc/96/34/76/346963476.db2.gz SNVAPRWQYAAMOH-UHFFFAOYSA-N 0 0 298.167 2.609 20 5 CFBDRN COCCc1cccc(OCc2onc(C)c2[N+](=O)[O-])c1 ZINC000596277410 349850997 /nfs/dbraw/zinc/85/09/97/349850997.db2.gz KTBVOHFSBLJLOX-UHFFFAOYSA-N 0 0 292.291 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC23COC3)cc1C(F)(F)F ZINC000596259533 349844556 /nfs/dbraw/zinc/84/45/56/349844556.db2.gz VMBDAJKTGGNXPD-UHFFFAOYSA-N 0 0 288.225 2.593 20 5 CFBDRN O=c1[nH]ccc(N[C@@H](C2CC2)C2CCC2)c1[N+](=O)[O-] ZINC000596260105 349844650 /nfs/dbraw/zinc/84/46/50/349844650.db2.gz ADKDHJRBUQUTGH-LLVKDONJSA-N 0 0 263.297 2.686 20 5 CFBDRN C[C@@H](CC(C)(C)C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596260980 349845504 /nfs/dbraw/zinc/84/55/04/349845504.db2.gz NCORIGWWIMQCNG-VIFPVBQESA-N 0 0 279.340 2.930 20 5 CFBDRN CC(C)(C)C[C@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596261519 349845628 /nfs/dbraw/zinc/84/56/28/349845628.db2.gz WFOJYGBNSXHDRL-SNVBAGLBSA-N 0 0 279.340 2.958 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@@H]1C[C@H]1C1CC1 ZINC000596261234 349845660 /nfs/dbraw/zinc/84/56/60/349845660.db2.gz CQIOKVVDKFPKFN-IUCAKERBSA-N 0 0 267.716 2.523 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCCCCC1 ZINC000073155745 346963389 /nfs/dbraw/zinc/96/33/89/346963389.db2.gz NEQIYGYGVRFLLU-UHFFFAOYSA-N 0 0 265.313 2.718 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CC12CCC(CC1)C2 ZINC000596267968 349848243 /nfs/dbraw/zinc/84/82/43/349848243.db2.gz DSNSFEAMCGBBFP-UHFFFAOYSA-N 0 0 262.309 2.645 20 5 CFBDRN COc1cc(NCCC2CC(OC)C2)ccc1[N+](=O)[O-] ZINC000596309213 349859029 /nfs/dbraw/zinc/85/90/29/349859029.db2.gz PWLNRLVESSCTQN-UHFFFAOYSA-N 0 0 280.324 2.830 20 5 CFBDRN CC1(C)C[C@@H](Nc2nccc3cc([N+](=O)[O-])ccc32)CO1 ZINC000596312239 349860703 /nfs/dbraw/zinc/86/07/03/349860703.db2.gz VUHWBWSUKMBKGR-LLVKDONJSA-N 0 0 287.319 2.544 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]3CC[C@@H]2O3)c(Cl)cc1[N+](=O)[O-] ZINC000596291215 349854146 /nfs/dbraw/zinc/85/41/46/349854146.db2.gz ZIUQSWUEOQVTTR-XXFPWJFMSA-N 0 0 298.726 2.989 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ccn1CC1CC=CC1 ZINC000596293855 349854774 /nfs/dbraw/zinc/85/47/74/349854774.db2.gz ZBSUAUGZEFWAQG-UHFFFAOYSA-N 0 0 270.288 2.876 20 5 CFBDRN CC1(C)C[C@H](Nc2nccc3cc([N+](=O)[O-])ccc32)CO1 ZINC000596312242 349860854 /nfs/dbraw/zinc/86/08/54/349860854.db2.gz VUHWBWSUKMBKGR-NSHDSACASA-N 0 0 287.319 2.544 20 5 CFBDRN COc1cccc(N2C[C@@H]3CCCC[C@@H]32)c1[N+](=O)[O-] ZINC000596295564 349855576 /nfs/dbraw/zinc/85/55/76/349855576.db2.gz XSCVMJAVLJHKMW-QWRGUYRKSA-N 0 0 262.309 2.982 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@H](CO)CCF)ccc1C(F)(F)F ZINC000596295458 349855668 /nfs/dbraw/zinc/85/56/68/349855668.db2.gz NAKBQCSNXSYZLD-QMMMGPOBSA-N 0 0 296.220 2.746 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3C[C@@H]4CCCC[C@@H]43)nc2c1 ZINC000596294540 349855755 /nfs/dbraw/zinc/85/57/55/349855755.db2.gz MDBBOLCIHSLPFZ-ZANVPECISA-N 0 0 272.308 2.850 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@@H]3CCCC[C@@H]32)nc2sccn21 ZINC000596295559 349855801 /nfs/dbraw/zinc/85/58/01/349855801.db2.gz XLFNNTBAUQDDDL-IUCAKERBSA-N 0 0 278.337 2.683 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H](c1ccccc1)C1CC1 ZINC000073260145 346966452 /nfs/dbraw/zinc/96/64/52/346966452.db2.gz PURAJQVRCKEGER-GFCCVEGCSA-N 0 0 272.308 2.892 20 5 CFBDRN CC[C@H](Cc1ccc(F)cc1)Nc1ncc([N+](=O)[O-])cn1 ZINC000596318783 349862131 /nfs/dbraw/zinc/86/21/31/349862131.db2.gz YITFOGZBYWVDQS-GFCCVEGCSA-N 0 0 290.298 2.957 20 5 CFBDRN CC[C@H](COCC1CC1)Nc1ncccc1[N+](=O)[O-] ZINC000596326107 349863945 /nfs/dbraw/zinc/86/39/45/349863945.db2.gz HODPSRZWVHEMAJ-LLVKDONJSA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1cc(F)ccc1C[C@@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000596326838 349864506 /nfs/dbraw/zinc/86/45/06/349864506.db2.gz AKBYZNOICOOMHJ-SNVBAGLBSA-N 0 0 292.314 2.819 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCO[C@](C)(C2CC2)C1 ZINC000596336220 349868231 /nfs/dbraw/zinc/86/82/31/349868231.db2.gz VRGBECIXPWJZGS-HNNXBMFYSA-N 0 0 292.335 2.609 20 5 CFBDRN Cc1cccc(N2CCO[C@](C)(C3CC3)C2)c1[N+](=O)[O-] ZINC000596335561 349868420 /nfs/dbraw/zinc/86/84/20/349868420.db2.gz QBYVCBPJQRUKOD-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN CN(CCC(F)(F)F)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000427986058 529874630 /nfs/dbraw/zinc/87/46/30/529874630.db2.gz XYPHJBSELVPNHC-UHFFFAOYSA-N 0 0 288.229 2.860 20 5 CFBDRN CCN(Cc1cccc([N+](=O)[O-])c1)CC(F)(F)F ZINC000073871502 346981813 /nfs/dbraw/zinc/98/18/13/346981813.db2.gz LQGSNKKQXCSLOJ-UHFFFAOYSA-N 0 0 262.231 2.979 20 5 CFBDRN COCCC(C)(C)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219366438 539365565 /nfs/dbraw/zinc/36/55/65/539365565.db2.gz JIIAXLJCENVTDT-UHFFFAOYSA-N 0 0 292.339 2.946 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](C)n3ncnc32)c(F)cc1[N+](=O)[O-] ZINC000596345422 349871619 /nfs/dbraw/zinc/87/16/19/349871619.db2.gz KCKURVSEDWVJGR-LDYMZIIASA-N 0 0 291.286 2.752 20 5 CFBDRN Cc1noc(CSCc2ccccn2)c1[N+](=O)[O-] ZINC000596353040 349874739 /nfs/dbraw/zinc/87/47/39/349874739.db2.gz UEDJQBQHGYCXQK-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN COCCC1CN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000596355378 349875039 /nfs/dbraw/zinc/87/50/39/349875039.db2.gz GTVWRQIUNJDAME-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1noc(CSCCC[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000596372159 349881244 /nfs/dbraw/zinc/88/12/44/349881244.db2.gz CKLDLDXMDTYRHZ-JTQLQIEISA-N 0 0 286.353 2.941 20 5 CFBDRN C[S@](=O)[C@H]1CCC[C@@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000596373784 349882156 /nfs/dbraw/zinc/88/21/56/349882156.db2.gz LBJYBZPAAOOEND-IDHHQXPSSA-N 0 0 288.394 2.758 20 5 CFBDRN Cc1cccc(NCCNc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000074277678 347006547 /nfs/dbraw/zinc/00/65/47/347006547.db2.gz MXXVRPDJJKPCMD-UHFFFAOYSA-N 0 0 290.298 2.961 20 5 CFBDRN CO[C@@H](CSc1ccc([N+](=O)[O-])cc1)[C@H]1CCOC1 ZINC000596392947 349887835 /nfs/dbraw/zinc/88/78/35/349887835.db2.gz IULOIYFDPAPKAR-GWCFXTLKSA-N 0 0 283.349 2.738 20 5 CFBDRN CO[C@@H](CSc1ccc([N+](=O)[O-])cc1)[C@@H]1CCOC1 ZINC000596392951 349887895 /nfs/dbraw/zinc/88/78/95/349887895.db2.gz IULOIYFDPAPKAR-MFKMUULPSA-N 0 0 283.349 2.738 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000074344016 347009904 /nfs/dbraw/zinc/00/99/04/347009904.db2.gz WCDUBJFYOWJDIU-SSDOTTSWSA-N 0 0 256.327 2.883 20 5 CFBDRN Cc1noc(CSCCO[C@@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000596403193 349890448 /nfs/dbraw/zinc/89/04/48/349890448.db2.gz HGYBHSDZDYORHT-MRVPVSSYSA-N 0 0 294.279 2.549 20 5 CFBDRN CC1(c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)CCCC1 ZINC000273929349 192367617 /nfs/dbraw/zinc/36/76/17/192367617.db2.gz LRLJORIFNZHPMZ-UHFFFAOYSA-N 0 0 288.307 2.795 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C1(CCF)CC1 ZINC000290579388 197755902 /nfs/dbraw/zinc/75/59/02/197755902.db2.gz SKQNGAQTXDGAJA-UHFFFAOYSA-N 0 0 283.255 2.649 20 5 CFBDRN CC[C@H](C)CCNc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000596414599 349895368 /nfs/dbraw/zinc/89/53/68/349895368.db2.gz BLPFEBFZDAQGGH-VIFPVBQESA-N 0 0 290.323 2.514 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000074659393 347025288 /nfs/dbraw/zinc/02/52/88/347025288.db2.gz VACAMZUYMQLPBE-NSHDSACASA-N 0 0 262.309 3.000 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000074663015 347026147 /nfs/dbraw/zinc/02/61/47/347026147.db2.gz DEDAFLSNEBCUPU-GFCCVEGCSA-N 0 0 292.335 2.765 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413168471 529956524 /nfs/dbraw/zinc/95/65/24/529956524.db2.gz JFBDLDVVXFNEBC-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@@H](CO)[C@H]1CCCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000596434087 349901838 /nfs/dbraw/zinc/90/18/38/349901838.db2.gz IKJSIAKCSLBSIZ-GXSJLCMTSA-N 0 0 299.758 2.631 20 5 CFBDRN COc1cc(N2CC3(CCC3)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000596437338 349902366 /nfs/dbraw/zinc/90/23/66/349902366.db2.gz WMHBEDSGJUDEOL-NSHDSACASA-N 0 0 292.335 2.751 20 5 CFBDRN Cc1cc(N2CCC[C@@H](C)[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000596440036 349902933 /nfs/dbraw/zinc/90/29/33/349902933.db2.gz CGCKJOCIFDEMAD-BXUZGUMPSA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cc(N[C@H](C)c2nnc3ccccn32)ncc1[N+](=O)[O-] ZINC000074727403 347029602 /nfs/dbraw/zinc/02/96/02/347029602.db2.gz CCYGVVKKRLUOHU-SNVBAGLBSA-N 0 0 298.306 2.514 20 5 CFBDRN Cc1cc(N(C)CCc2ccccc2)ncc1[N+](=O)[O-] ZINC000074741559 347029674 /nfs/dbraw/zinc/02/96/74/347029674.db2.gz NWBSYEGAZNFZQF-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN Cc1noc(C)c1CN(C)c1cc(C)c([N+](=O)[O-])cn1 ZINC000074739988 347029787 /nfs/dbraw/zinc/02/97/87/347029787.db2.gz IQRAYFULZKTXNA-UHFFFAOYSA-N 0 0 276.296 2.539 20 5 CFBDRN Cc1cc(N(C)[C@H](C)c2ccccn2)ncc1[N+](=O)[O-] ZINC000074744162 347030351 /nfs/dbraw/zinc/03/03/51/347030351.db2.gz XYFSZNDVYKTOCB-LLVKDONJSA-N 0 0 272.308 2.891 20 5 CFBDRN CCCN(CC(F)F)c1cc(C)c([N+](=O)[O-])cn1 ZINC000074745152 347030400 /nfs/dbraw/zinc/03/04/00/347030400.db2.gz PNGVGGWOZSBSDN-UHFFFAOYSA-N 0 0 259.256 2.780 20 5 CFBDRN Cc1cc(N2CCN(C)c3ccccc3C2)ncc1[N+](=O)[O-] ZINC000074747328 347030415 /nfs/dbraw/zinc/03/04/15/347030415.db2.gz JENMFEIMRROYJP-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000596441338 349903819 /nfs/dbraw/zinc/90/38/19/349903819.db2.gz LTLWPSNILNYEAY-CZMCAQCFSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1cc(N2CCS[C@H](C)[C@@H]2C)ncc1[N+](=O)[O-] ZINC000074764404 347031337 /nfs/dbraw/zinc/03/13/37/347031337.db2.gz CMNKCVDQWITEFO-VHSXEESVSA-N 0 0 267.354 2.628 20 5 CFBDRN O=c1[nH]c(-c2ccon2)nc2cc3ccccc3cc12 ZINC000074797505 347035343 /nfs/dbraw/zinc/03/53/43/347035343.db2.gz XGGWBHBUNFXGNW-UHFFFAOYSA-N 0 0 263.256 2.731 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(c1ncc(Cl)cc1[N+](=O)[O-])C2 ZINC000596444646 349905210 /nfs/dbraw/zinc/90/52/10/349905210.db2.gz XAEUDJSWHZHGKA-BETUJISGSA-N 0 0 297.742 2.506 20 5 CFBDRN Cc1cc(NC[C@@H](CCO)CC(C)C)ncc1[N+](=O)[O-] ZINC000074769373 347032284 /nfs/dbraw/zinc/03/22/84/347032284.db2.gz HWUVOYPMPWWLTC-LBPRGKRZSA-N 0 0 281.356 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@@H]2n2cccn2)nc1 ZINC000074776151 347033503 /nfs/dbraw/zinc/03/35/03/347033503.db2.gz VNRJMYZMSQQISW-STQMWFEESA-N 0 0 287.323 2.782 20 5 CFBDRN CN(C[C@H]1CCCO1)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000413109147 529967755 /nfs/dbraw/zinc/96/77/55/529967755.db2.gz FSDFJWIKCLPRSB-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN CN(CC1=CCCOC1)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000596466133 349909191 /nfs/dbraw/zinc/90/91/91/349909191.db2.gz RLKUNJSNCPNWCR-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CNC(=O)c1ccc(NCCC(C)(C)F)c([N+](=O)[O-])c1 ZINC000596469485 349909944 /nfs/dbraw/zinc/90/99/44/349909944.db2.gz YUUSOSFBACMMHL-UHFFFAOYSA-N 0 0 283.303 2.505 20 5 CFBDRN CC[C@@H](O)CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000127228793 187290850 /nfs/dbraw/zinc/29/08/50/187290850.db2.gz LDCPMMPUGRGOLD-SECBINFHSA-N 0 0 258.705 2.821 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)(C)C ZINC000075063312 347052610 /nfs/dbraw/zinc/05/26/10/347052610.db2.gz TURNKCHVPYQLQG-GFCCVEGCSA-N 0 0 297.311 2.976 20 5 CFBDRN CO[C@@H](CNc1nccc(C)c1[N+](=O)[O-])C(C)(C)C ZINC000075063392 347052852 /nfs/dbraw/zinc/05/28/52/347052852.db2.gz SIDYNPSJLDAWEK-JTQLQIEISA-N 0 0 267.329 2.771 20 5 CFBDRN CC(=O)N(C)c1cccc(Nc2ncccc2[N+](=O)[O-])c1 ZINC000075066344 347053683 /nfs/dbraw/zinc/05/36/83/347053683.db2.gz OLVLGOZCKCLPQK-UHFFFAOYSA-N 0 0 286.291 2.716 20 5 CFBDRN NC(=O)c1ccc(NC[C@@H]2CCC[C@H]3C[C@H]32)c([N+](=O)[O-])c1 ZINC000596474319 349911951 /nfs/dbraw/zinc/91/19/51/349911951.db2.gz NFMBGOZADNUXSK-ZMLRMANQSA-N 0 0 289.335 2.542 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H](C)O2)c1 ZINC000596474552 349912024 /nfs/dbraw/zinc/91/20/24/349912024.db2.gz MCWLMDXUTUOIQS-PWSUYJOCSA-N 0 0 280.324 2.973 20 5 CFBDRN COc1cc(NCCOC(C)C)c([N+](=O)[O-])cc1F ZINC000273200887 192072835 /nfs/dbraw/zinc/07/28/35/192072835.db2.gz FDFIZNQAIZHYRI-UHFFFAOYSA-N 0 0 272.276 2.579 20 5 CFBDRN CCC1(C)CN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000334151574 282346802 /nfs/dbraw/zinc/34/68/02/282346802.db2.gz KZSPJXVUAMEIAH-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000075126112 347057547 /nfs/dbraw/zinc/05/75/47/347057547.db2.gz OPLWAXHURIEHRP-OAHLLOKOSA-N 0 0 294.351 2.512 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1c3ccccc3ncc1[N+](=O)[O-])C2 ZINC000596479124 349914568 /nfs/dbraw/zinc/91/45/68/349914568.db2.gz JNEJAWMKMGLDKV-ZBEGNZNMSA-N 0 0 299.330 2.616 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCc1ccoc1 ZINC000177120954 530004316 /nfs/dbraw/zinc/00/43/16/530004316.db2.gz IAYLDZDLPLPKMF-UHFFFAOYSA-N 0 0 288.303 2.779 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1nc(C2CC2)ns1 ZINC000426528138 530004561 /nfs/dbraw/zinc/00/45/61/530004561.db2.gz ZVZBSZSWAMBDKO-UHFFFAOYSA-N 0 0 290.348 2.960 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](CCF)C2)s1 ZINC000596481072 349915216 /nfs/dbraw/zinc/91/52/16/349915216.db2.gz SLRKLBFDVCPFSR-QMMMGPOBSA-N 0 0 259.306 2.627 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1ccc3cc([N+](=O)[O-])ccc3n1)C2 ZINC000596481527 349915349 /nfs/dbraw/zinc/91/53/49/349915349.db2.gz BSSDUSGQQLPWCC-BLLLJJGKSA-N 0 0 299.330 2.616 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(N)c1F)C1CC1 ZINC000596486195 349916638 /nfs/dbraw/zinc/91/66/38/349916638.db2.gz VJEKDGJUNUJCNA-QMMMGPOBSA-N 0 0 253.277 2.917 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c2ncccc12)[C@@H]1CCCOC1 ZINC000413281285 530035177 /nfs/dbraw/zinc/03/51/77/530035177.db2.gz MTMIQGRZHMKNMY-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H](O)[C@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000596489019 349918274 /nfs/dbraw/zinc/91/82/74/349918274.db2.gz SFYGVDNANASEBZ-WCBMZHEXSA-N 0 0 270.716 2.598 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000075543063 347083962 /nfs/dbraw/zinc/08/39/62/347083962.db2.gz VTLWPCQTBVPDIP-UWVGGRQHSA-N 0 0 263.297 2.715 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@H](C)O1 ZINC000596493257 349919549 /nfs/dbraw/zinc/91/95/49/349919549.db2.gz JOWSRRSPHZWOGP-BDAKNGLRSA-N 0 0 256.327 2.660 20 5 CFBDRN Cc1ccc(NCCc2cncc(C)n2)c([N+](=O)[O-])c1 ZINC000596498142 349920438 /nfs/dbraw/zinc/92/04/38/349920438.db2.gz JSSBURRBHSWROO-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@@H]3CCCCO3)CC2)cn1 ZINC000596497588 349920457 /nfs/dbraw/zinc/92/04/57/349920457.db2.gz YKSUJDZQANPTKI-AWEZNQCLSA-N 0 0 291.351 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)C[C@@H](C)c1nccs1 ZINC000273225311 192080074 /nfs/dbraw/zinc/08/00/74/192080074.db2.gz VMRZUXDKMDAGIC-SNVBAGLBSA-N 0 0 292.364 2.995 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000596496605 349920593 /nfs/dbraw/zinc/92/05/93/349920593.db2.gz SKJDNPCAFZYKCR-GHMZBOCLSA-N 0 0 291.351 2.709 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270479986 190696282 /nfs/dbraw/zinc/69/62/82/190696282.db2.gz IIHIRAFLCNFLMA-LBPRGKRZSA-N 0 0 293.367 2.967 20 5 CFBDRN CC[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000127310913 187295983 /nfs/dbraw/zinc/29/59/83/187295983.db2.gz ZJHITHQLPQPPSQ-JTQLQIEISA-N 0 0 263.297 2.859 20 5 CFBDRN Cc1cc(NCCc2cncc(C)n2)c([N+](=O)[O-])s1 ZINC000596499727 349921200 /nfs/dbraw/zinc/92/12/00/349921200.db2.gz VWUYBICIEGCWDD-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000075573520 347085803 /nfs/dbraw/zinc/08/58/03/347085803.db2.gz LQRSFYJLKNADCA-UHFFFAOYSA-N 0 0 282.243 2.681 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000596502675 349922387 /nfs/dbraw/zinc/92/23/87/349922387.db2.gz HZNJHJJIDOZFJM-WFASDCNBSA-N 0 0 291.351 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N[C@H]3C[C@H](O)C34CCC4)c2c1 ZINC000596502731 349922571 /nfs/dbraw/zinc/92/25/71/349922571.db2.gz FXNNYCYWUDOWOT-KBPBESRZSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1cccc(N[C@H]2C[C@H](O)C23CCC3)c1[N+](=O)[O-] ZINC000596504551 349923257 /nfs/dbraw/zinc/92/32/57/349923257.db2.gz HNBFCWRKVLALEO-RYUDHWBXSA-N 0 0 262.309 2.619 20 5 CFBDRN CCSC1(CNc2ccc([N+](=O)[O-])cn2)CC1 ZINC000596510136 349924700 /nfs/dbraw/zinc/92/47/00/349924700.db2.gz FKZKTUOUEKZBDT-UHFFFAOYSA-N 0 0 253.327 2.687 20 5 CFBDRN Cc1cc(N2CC[C@H]3C[C@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000596512870 349925999 /nfs/dbraw/zinc/92/59/99/349925999.db2.gz XRHOOIFQPWFCNN-UWVGGRQHSA-N 0 0 250.273 2.889 20 5 CFBDRN CC1(C)[C@@H](CNc2ncccc2[N+](=O)[O-])C1(F)F ZINC000596512451 349926087 /nfs/dbraw/zinc/92/60/87/349926087.db2.gz FTZNGEFBRMYSJG-MRVPVSSYSA-N 0 0 257.240 2.693 20 5 CFBDRN CC(C)(CCC(=O)NCCCc1ccccc1F)[N+](=O)[O-] ZINC000295106231 199348172 /nfs/dbraw/zinc/34/81/72/199348172.db2.gz HBCXVWKKVFIDOW-UHFFFAOYSA-N 0 0 296.342 2.710 20 5 CFBDRN CC(C)[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C1CC1 ZINC000596520554 349929168 /nfs/dbraw/zinc/92/91/68/349929168.db2.gz FOCCEBFYDRBPSC-LLVKDONJSA-N 0 0 253.277 2.975 20 5 CFBDRN CCOc1cc(N(C)CC2(O)CCCC2)ccc1[N+](=O)[O-] ZINC000127444227 187306944 /nfs/dbraw/zinc/30/69/44/187306944.db2.gz HVWYFIQCFALGKL-UHFFFAOYSA-N 0 0 294.351 2.735 20 5 CFBDRN Cc1nc(N2CCC(c3ccon3)CC2)ccc1[N+](=O)[O-] ZINC000596527034 349930500 /nfs/dbraw/zinc/93/05/00/349930500.db2.gz BMNAAPFYMDYSBF-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)[C@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000131620541 232207003 /nfs/dbraw/zinc/20/70/03/232207003.db2.gz ITSRNCKTKMMYSB-GHMZBOCLSA-N 0 0 291.351 2.604 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@]2(C)OC)c1[N+](=O)[O-] ZINC000596540073 349934794 /nfs/dbraw/zinc/93/47/94/349934794.db2.gz NGIRSVWDOOVPKL-YPMHNXCESA-N 0 0 266.297 2.583 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCc2ccco2)c(F)c1 ZINC000596539555 349934796 /nfs/dbraw/zinc/93/47/96/349934796.db2.gz DQGFAZUPHFHPAW-UHFFFAOYSA-N 0 0 265.244 2.767 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@H]3Cc4cc(F)ccc4[C@@H]23)nc1 ZINC000596540215 349935822 /nfs/dbraw/zinc/93/58/22/349935822.db2.gz NPUSLKYWUSCZPD-NWANDNLSSA-N 0 0 285.278 2.879 20 5 CFBDRN C[C@H](NC(=O)N1CC2CC1(C)C2)c1ccccc1[N+](=O)[O-] ZINC000596540399 349935934 /nfs/dbraw/zinc/93/59/34/349935934.db2.gz LOIYMJZSLGEDMU-NLTNOIMHSA-N 0 0 289.335 2.850 20 5 CFBDRN NC(=O)CC1(CNc2ccc([N+](=O)[O-])s2)CCCCC1 ZINC000596545589 349937919 /nfs/dbraw/zinc/93/79/19/349937919.db2.gz URWJWQWSKKDSKA-UHFFFAOYSA-N 0 0 297.380 2.894 20 5 CFBDRN Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2N)ccc1F ZINC000157331202 232236480 /nfs/dbraw/zinc/23/64/80/232236480.db2.gz CVERKVMQIOUKLR-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1ccc(O)cc1 ZINC000157824427 232239138 /nfs/dbraw/zinc/23/91/38/232239138.db2.gz WKJKEOOSCJROGU-UHFFFAOYSA-N 0 0 279.683 2.961 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000596546724 349938095 /nfs/dbraw/zinc/93/80/95/349938095.db2.gz UNBCBGWSKDEZNZ-MRVPVSSYSA-N 0 0 283.303 2.543 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCO[C@H](C)[C@H]1C ZINC000162567115 232254842 /nfs/dbraw/zinc/25/48/42/232254842.db2.gz DUJNSXYGWUXYHT-GHMZBOCLSA-N 0 0 293.323 2.544 20 5 CFBDRN CSCCN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000162572225 232255395 /nfs/dbraw/zinc/25/53/95/232255395.db2.gz ZWIWOMYSLILHJO-UHFFFAOYSA-N 0 0 283.353 2.730 20 5 CFBDRN C[C@@H](c1ccccc1)[C@H](O)CNc1cccnc1[N+](=O)[O-] ZINC000596548946 349938936 /nfs/dbraw/zinc/93/89/36/349938936.db2.gz WCQBYUVEMIPNKP-SMDDNHRTSA-N 0 0 287.319 2.566 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)C[C@@H]1C ZINC000075412327 290762261 /nfs/dbraw/zinc/76/22/61/290762261.db2.gz IKLZKVQFTVDLEL-IUCAKERBSA-N 0 0 282.727 2.976 20 5 CFBDRN CC[C@H]1CN([C@@H](C)c2ccccc2[N+](=O)[O-])CCO1 ZINC000075624478 347088565 /nfs/dbraw/zinc/08/85/65/347088565.db2.gz YBGXZVOCBYPABQ-RYUDHWBXSA-N 0 0 264.325 2.767 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1CCCc1ccc(Cl)cc1 ZINC000127521266 187313262 /nfs/dbraw/zinc/31/32/62/187313262.db2.gz MIFMLGOUJYZDMP-UHFFFAOYSA-N 0 0 280.715 2.660 20 5 CFBDRN Cc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)c(C)n1 ZINC000176196564 232328246 /nfs/dbraw/zinc/32/82/46/232328246.db2.gz NTXUHBLDJUOTSN-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CCN(CC(F)F)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000273279330 192097358 /nfs/dbraw/zinc/09/73/58/192097358.db2.gz IWSYQXABLOBMEW-UHFFFAOYSA-N 0 0 272.251 2.889 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1cccc(O)c1 ZINC000178833992 232390419 /nfs/dbraw/zinc/39/04/19/232390419.db2.gz ZTCZDNZUUAVNEZ-UHFFFAOYSA-N 0 0 279.683 2.961 20 5 CFBDRN CCOC(=O)[C@]1(C)CCC[C@@H]1Nc1ncc([N+](=O)[O-])s1 ZINC000596558175 349941884 /nfs/dbraw/zinc/94/18/84/349941884.db2.gz XTVUSCZDLKDMFW-QPUJVOFHSA-N 0 0 299.352 2.585 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295123656 199358684 /nfs/dbraw/zinc/35/86/84/199358684.db2.gz XDCNQKIZEKDREI-JDGPPOGSSA-N 0 0 287.319 2.882 20 5 CFBDRN C[C@H](CCc1ccco1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000010449009 346227440 /nfs/dbraw/zinc/22/74/40/346227440.db2.gz RCUFGHSYWHKKKD-LLVKDONJSA-N 0 0 288.303 2.939 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1cccc(C(N)=O)c1 ZINC000085288552 179005164 /nfs/dbraw/zinc/00/51/64/179005164.db2.gz HOFLGFAGIKEFRA-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN O=c1ccn(CC2CCCCCC2)cc1[N+](=O)[O-] ZINC000183888799 232410536 /nfs/dbraw/zinc/41/05/36/232410536.db2.gz ZNMHLPPFMKBPAJ-UHFFFAOYSA-N 0 0 250.298 2.727 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC000014317826 346234122 /nfs/dbraw/zinc/23/41/22/346234122.db2.gz AVHXKHMYQRXEOZ-VIFPVBQESA-N 0 0 287.275 2.892 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC[C@H](C)C1 ZINC000007787639 346219096 /nfs/dbraw/zinc/21/90/96/346219096.db2.gz RQNOSAYGQTXAQW-NSHDSACASA-N 0 0 291.351 2.574 20 5 CFBDRN Cc1ccccc1C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000008145669 346222607 /nfs/dbraw/zinc/22/26/07/346222607.db2.gz PVXJIUISTGUSSB-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN CN(Cc1ccccc1Cl)c1c([N+](=O)[O-])ncn1C ZINC000008337117 346223843 /nfs/dbraw/zinc/22/38/43/346223843.db2.gz IVGWEJSEZRXUBG-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN C[C@@H](c1ccccc1)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000008404883 346224099 /nfs/dbraw/zinc/22/40/99/346224099.db2.gz ABNQXZPRLKATLN-JTQLQIEISA-N 0 0 273.292 2.756 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)CC(=O)NC(C)(C)C ZINC000016419884 346238285 /nfs/dbraw/zinc/23/82/85/346238285.db2.gz ALFQDKWWTNAIRR-NSHDSACASA-N 0 0 293.367 2.502 20 5 CFBDRN Cc1cccc(N2CCN(c3ncccc3[N+](=O)[O-])CC2)c1 ZINC000016738949 346239292 /nfs/dbraw/zinc/23/92/92/346239292.db2.gz FESALGXMGOSEHL-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN Cc1ccccc1CCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000018832703 346242456 /nfs/dbraw/zinc/24/24/56/346242456.db2.gz DOYIFGVESWLOHZ-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000020538741 346244708 /nfs/dbraw/zinc/24/47/08/346244708.db2.gz NVUSRUSQJLPXKK-SNVBAGLBSA-N 0 0 286.291 2.873 20 5 CFBDRN CC(C)CCNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000020899676 346245054 /nfs/dbraw/zinc/24/50/54/346245054.db2.gz TUMLRXCFXVJVMW-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN COC(=O)c1ccoc1COc1ccc([N+](=O)[O-])c(C)c1 ZINC000024104461 346254541 /nfs/dbraw/zinc/25/45/41/346254541.db2.gz SEWFXPUCGFCWQH-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cc(C2CC2)on1 ZINC000024371115 346254933 /nfs/dbraw/zinc/25/49/33/346254933.db2.gz GKEUTRCHUYDAAP-UHFFFAOYSA-N 0 0 273.248 2.713 20 5 CFBDRN CC12CC(C1)CN2c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000596574878 349945412 /nfs/dbraw/zinc/94/54/12/349945412.db2.gz OABRMSXAQFBURW-UHFFFAOYSA-N 0 0 287.241 2.997 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000295140357 199368813 /nfs/dbraw/zinc/36/88/13/199368813.db2.gz OLSRXOGAYQZCMU-VIFPVBQESA-N 0 0 296.298 2.615 20 5 CFBDRN COc1ccc(C)cc1CCNc1ncccc1[N+](=O)[O-] ZINC000026761011 346266277 /nfs/dbraw/zinc/26/62/77/346266277.db2.gz LYJJOHLJZVUZEL-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000027702745 346271683 /nfs/dbraw/zinc/27/16/83/346271683.db2.gz LTWXPQQPGVSEDR-JQWIXIFHSA-N 0 0 279.340 2.632 20 5 CFBDRN CC(C)c1cccc(NC(=O)Cn2cc([N+](=O)[O-])cn2)c1 ZINC000028427478 346276216 /nfs/dbraw/zinc/27/62/16/346276216.db2.gz YMELRUGJWGNSAN-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN CCOc1ccc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000028805334 346276537 /nfs/dbraw/zinc/27/65/37/346276537.db2.gz JGMPRDVYRKJCBR-SNVBAGLBSA-N 0 0 290.323 2.900 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2nc(C(C)C)no2)c1 ZINC000029142319 346278667 /nfs/dbraw/zinc/27/86/67/346278667.db2.gz GZOKGTYJFCOZDQ-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN COC(=O)c1oc(COc2ccccc2[N+](=O)[O-])cc1C ZINC000031606634 346295613 /nfs/dbraw/zinc/29/56/13/346295613.db2.gz ALMKCNOITUDMOI-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NCC1CCCC1 ZINC000031985786 346299629 /nfs/dbraw/zinc/29/96/29/346299629.db2.gz VCRWLPOKEGTFRK-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000032031433 346299745 /nfs/dbraw/zinc/29/97/45/346299745.db2.gz XKVVQDULYDXEQR-BDAKNGLRSA-N 0 0 268.338 2.965 20 5 CFBDRN CC1(C)SC(=O)N(CCc2ccc([N+](=O)[O-])cc2)C1=O ZINC000034401173 346316548 /nfs/dbraw/zinc/31/65/48/346316548.db2.gz QRIBFEJFZDWCLO-UHFFFAOYSA-N 0 0 294.332 2.611 20 5 CFBDRN O=C1CC[C@@H](COc2ccc(Cl)c([N+](=O)[O-])c2)CCN1 ZINC000295145122 199370646 /nfs/dbraw/zinc/37/06/46/199370646.db2.gz FGXIIEKXUDSUAA-SECBINFHSA-N 0 0 298.726 2.543 20 5 CFBDRN COc1ccc([C@@H](O)CNc2ccccc2[N+](=O)[O-])cc1 ZINC000042100287 346360995 /nfs/dbraw/zinc/36/09/95/346360995.db2.gz AYFFNODFRFSQMY-HNNXBMFYSA-N 0 0 288.303 2.749 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000042140984 346361729 /nfs/dbraw/zinc/36/17/29/346361729.db2.gz TZIFYUNRFAMJGI-SECBINFHSA-N 0 0 254.286 2.742 20 5 CFBDRN CCOC1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCC1 ZINC000596602914 349953495 /nfs/dbraw/zinc/95/34/95/349953495.db2.gz QGJLCAUYPRHWIT-UHFFFAOYSA-N 0 0 279.252 2.654 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000042978698 346375400 /nfs/dbraw/zinc/37/54/00/346375400.db2.gz TVEKEAZLLNTGDF-KOLCDFICSA-N 0 0 289.335 2.555 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccc(Cl)c(F)c1 ZINC000189837034 232473500 /nfs/dbraw/zinc/47/35/00/232473500.db2.gz OTLKBVAESTVYOF-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000596621678 349959370 /nfs/dbraw/zinc/95/93/70/349959370.db2.gz ZPCZTEUBJYUQON-ZYHUDNBSSA-N 0 0 278.308 2.657 20 5 CFBDRN CC(C)C[C@H](C)N(C)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000045087885 346403798 /nfs/dbraw/zinc/40/37/98/346403798.db2.gz TXZALMQQDUAMPP-LBPRGKRZSA-N 0 0 294.351 2.867 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CCc1ccco1 ZINC000045354699 346407252 /nfs/dbraw/zinc/40/72/52/346407252.db2.gz ARVQJKXQCJMULC-UHFFFAOYSA-N 0 0 290.275 2.768 20 5 CFBDRN CO[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000596621269 349958477 /nfs/dbraw/zinc/95/84/77/349958477.db2.gz XSONIUSCBOGHLO-CYBMUJFWSA-N 0 0 278.308 2.739 20 5 CFBDRN CN(Cc1ccsc1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000044348699 346391799 /nfs/dbraw/zinc/39/17/99/346391799.db2.gz IXSKFASSKHCCOZ-UHFFFAOYSA-N 0 0 282.346 2.990 20 5 CFBDRN CC(C)Oc1ccc(CNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000045726901 346413064 /nfs/dbraw/zinc/41/30/64/346413064.db2.gz SQKIFJOHSNBQDH-UHFFFAOYSA-N 0 0 290.323 2.728 20 5 CFBDRN O=C(Cn1nccc1[N+](=O)[O-])c1ccc2c(c1)CCCC2 ZINC000047169675 346445737 /nfs/dbraw/zinc/44/57/37/346445737.db2.gz PRKASDPYEAIZFJ-UHFFFAOYSA-N 0 0 285.303 2.553 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000596625802 349960995 /nfs/dbraw/zinc/96/09/95/349960995.db2.gz FBIXPXLDHPIEMQ-HAQNSBGRSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@@H](C1CC1)N(C(=O)c1ccc([N+](=O)[O-])o1)C1CC1 ZINC000047448196 346451661 /nfs/dbraw/zinc/45/16/61/346451661.db2.gz ZICXNWLXMHMUBA-QMMMGPOBSA-N 0 0 264.281 2.591 20 5 CFBDRN CCCN(CCC)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000047464985 346452663 /nfs/dbraw/zinc/45/26/63/346452663.db2.gz MUKBLERKQBZPEO-UHFFFAOYSA-N 0 0 280.324 2.622 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000047868485 346459040 /nfs/dbraw/zinc/45/90/40/346459040.db2.gz RJJRHDCNBDUWSV-SNVBAGLBSA-N 0 0 279.340 2.897 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000047868487 346460164 /nfs/dbraw/zinc/46/01/64/346460164.db2.gz RJJRHDCNBDUWSV-JTQLQIEISA-N 0 0 279.340 2.897 20 5 CFBDRN CN(CC1CC1)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000047919653 346460619 /nfs/dbraw/zinc/46/06/19/346460619.db2.gz YXQPNWXYMCLFGZ-UHFFFAOYSA-N 0 0 289.335 2.651 20 5 CFBDRN CCn1cnc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c1 ZINC000596633478 349962655 /nfs/dbraw/zinc/96/26/55/349962655.db2.gz IIVMMJIAHGADID-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(CO)c(-c2cccc3c2COCC3)c1 ZINC000596634266 349963744 /nfs/dbraw/zinc/96/37/44/349963744.db2.gz HSOQHGCIHXNHHG-UHFFFAOYSA-N 0 0 285.299 2.827 20 5 CFBDRN CC(C)Oc1cncc(-c2cc([N+](=O)[O-])ccc2CO)c1 ZINC000596634179 349963793 /nfs/dbraw/zinc/96/37/93/349963793.db2.gz DWIJULGSTFDGRV-UHFFFAOYSA-N 0 0 288.303 2.936 20 5 CFBDRN O=[N+]([O-])c1cn(CCCO[C@@H]2CCCCO2)nc1C1CC1 ZINC000195042393 232515552 /nfs/dbraw/zinc/51/55/52/232515552.db2.gz OLGZCSYLHLKWSO-CYBMUJFWSA-N 0 0 295.339 2.602 20 5 CFBDRN CCc1cc(Oc2cc(C)ccc2[N+](=O)[O-])n2ncnc2n1 ZINC000051379616 346503420 /nfs/dbraw/zinc/50/34/20/346503420.db2.gz BWXJOJNZYKUSDB-UHFFFAOYSA-N 0 0 299.290 2.696 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CCO)C2)ccc1[N+](=O)[O-] ZINC000051895086 346507306 /nfs/dbraw/zinc/50/73/06/346507306.db2.gz JQSIWOWYZOGDFX-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN CCN(CC(F)F)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000273297551 192103534 /nfs/dbraw/zinc/10/35/34/192103534.db2.gz AMQUPDQYKGMFGG-UHFFFAOYSA-N 0 0 297.261 2.803 20 5 CFBDRN CCn1nc(C)cc1-c1cc(OC)cc([N+](=O)[O-])c1 ZINC000596644806 349966857 /nfs/dbraw/zinc/96/68/57/349966857.db2.gz PSOOQDJKJOKMMX-UHFFFAOYSA-N 0 0 261.281 2.795 20 5 CFBDRN Cc1cc(CO)ccc1-c1cc([N+](=O)[O-])ccc1CCO ZINC000596647431 349967942 /nfs/dbraw/zinc/96/79/42/349967942.db2.gz KKYGDQDXCORNME-UHFFFAOYSA-N 0 0 287.315 2.597 20 5 CFBDRN Cc1nn(C)c(N[C@@H](C)Cc2ccccc2C)c1[N+](=O)[O-] ZINC000052466116 346522801 /nfs/dbraw/zinc/52/28/01/346522801.db2.gz NJQPOUDVIJJIMB-NSHDSACASA-N 0 0 288.351 2.988 20 5 CFBDRN Cc1nc(N2C[C@@H](C)CCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC000450347691 293304295 /nfs/dbraw/zinc/30/42/95/293304295.db2.gz IUHFGWSMEMAMHV-UWVGGRQHSA-N 0 0 264.329 2.708 20 5 CFBDRN CC(C)CCC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000053216807 346540881 /nfs/dbraw/zinc/54/08/81/346540881.db2.gz DYLYRWYBKFOWKB-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccco1 ZINC000053250222 346542129 /nfs/dbraw/zinc/54/21/29/346542129.db2.gz GLRLAHZKIZLAJN-UHFFFAOYSA-N 0 0 291.263 2.518 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000054529800 346571390 /nfs/dbraw/zinc/57/13/90/346571390.db2.gz FMLULTDUIATEFG-VXGBXAGGSA-N 0 0 291.351 2.796 20 5 CFBDRN C[C@H](NC(=O)NCc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000055329154 346587193 /nfs/dbraw/zinc/58/71/93/346587193.db2.gz WFNARJALCJKVNA-JTQLQIEISA-N 0 0 289.291 2.748 20 5 CFBDRN CCOc1cccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000056341992 346607624 /nfs/dbraw/zinc/60/76/24/346607624.db2.gz FJKCAGQGRJTSRQ-UHFFFAOYSA-N 0 0 275.264 2.574 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000057006070 346617190 /nfs/dbraw/zinc/61/71/90/346617190.db2.gz IDIHALVAMDGLTE-LLVKDONJSA-N 0 0 277.324 2.536 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000057071324 346618507 /nfs/dbraw/zinc/61/85/07/346618507.db2.gz UHQZKDCKYFYZLD-QWRGUYRKSA-N 0 0 280.324 2.524 20 5 CFBDRN CN(C(=O)Cc1cccs1)c1ccc([N+](=O)[O-])cc1 ZINC000058520215 346645631 /nfs/dbraw/zinc/64/56/31/346645631.db2.gz BBHWIOROAYUEPH-UHFFFAOYSA-N 0 0 276.317 2.862 20 5 CFBDRN CC(C)C(=O)NCCCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000059642510 346657284 /nfs/dbraw/zinc/65/72/84/346657284.db2.gz BUUKYOYLENPVNK-UHFFFAOYSA-N 0 0 299.758 2.822 20 5 CFBDRN CC(=O)c1ccc(Oc2ccncc2[N+](=O)[O-])cc1 ZINC000059717210 346657306 /nfs/dbraw/zinc/65/73/06/346657306.db2.gz NRNKOSFNVJZHKM-UHFFFAOYSA-N 0 0 258.233 2.985 20 5 CFBDRN CC(C)Oc1ccccc1Cn1cc([N+](=O)[O-])ccc1=O ZINC000059738944 346657332 /nfs/dbraw/zinc/65/73/32/346657332.db2.gz ZRANGZCKHKXKSF-UHFFFAOYSA-N 0 0 288.303 2.592 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000060082089 346659197 /nfs/dbraw/zinc/65/91/97/346659197.db2.gz VUDCAPORRWFYFA-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN CCc1nnsc1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000061036412 346674602 /nfs/dbraw/zinc/67/46/02/346674602.db2.gz RMCDMWHPZBRXIH-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN COc1ccc(Nc2ccncc2[N+](=O)[O-])cc1OC ZINC000060342813 346662385 /nfs/dbraw/zinc/66/23/85/346662385.db2.gz CVQRUQXVKBCJQO-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCCNc1ccccc1 ZINC000060378005 346664377 /nfs/dbraw/zinc/66/43/77/346664377.db2.gz YOGXJISXIKLVQD-UHFFFAOYSA-N 0 0 272.308 2.904 20 5 CFBDRN COC(=O)c1cccc(OCc2ccccc2[N+](=O)[O-])c1 ZINC000060386465 346664477 /nfs/dbraw/zinc/66/44/77/346664477.db2.gz XBMLPUWHZRZKFI-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CCOC1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000060451113 346666362 /nfs/dbraw/zinc/66/63/62/346666362.db2.gz YTXCSUCTEMLYLN-UHFFFAOYSA-N 0 0 295.295 2.508 20 5 CFBDRN CCOc1ccc(C(=O)N2CCCCC2)cc1[N+](=O)[O-] ZINC000060500002 346667005 /nfs/dbraw/zinc/66/70/05/346667005.db2.gz MHOMMRMBVOQKTJ-UHFFFAOYSA-N 0 0 278.308 2.620 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000057303671 346625013 /nfs/dbraw/zinc/62/50/13/346625013.db2.gz XKPOHRRAGXEQMY-NWDGAFQWSA-N 0 0 293.367 2.948 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)N2CCC(C)CC2)c1 ZINC000057605522 346632264 /nfs/dbraw/zinc/63/22/64/346632264.db2.gz YZITUJCUGUSUNH-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1CCN(CC(F)(F)F)C1 ZINC000057855256 346638711 /nfs/dbraw/zinc/63/87/11/346638711.db2.gz SAZGMZUXYAKDDT-SSDOTTSWSA-N 0 0 295.286 2.705 20 5 CFBDRN CCCN(C)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000063602689 346704831 /nfs/dbraw/zinc/70/48/31/346704831.db2.gz OQOLRXMOOJJAOV-UHFFFAOYSA-N 0 0 277.324 2.651 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccc2c(c1)COC2 ZINC000064393232 346719962 /nfs/dbraw/zinc/71/99/62/346719962.db2.gz HMWYHJJLLFQDOI-UHFFFAOYSA-N 0 0 298.298 2.555 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000064504351 346721309 /nfs/dbraw/zinc/72/13/09/346721309.db2.gz XXDGFCNVWCOSLE-MRVPVSSYSA-N 0 0 287.699 2.967 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)C(C1CC1)C1CC1 ZINC000064974980 346729222 /nfs/dbraw/zinc/72/92/22/346729222.db2.gz FDGSEFWHNNMJPP-UHFFFAOYSA-N 0 0 288.347 2.784 20 5 CFBDRN CCOc1cc(OCc2conc2C)ccc1[N+](=O)[O-] ZINC000563441802 290152310 /nfs/dbraw/zinc/15/23/10/290152310.db2.gz YNSADWGBBLBEIJ-UHFFFAOYSA-N 0 0 278.264 2.869 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000062926800 346693134 /nfs/dbraw/zinc/69/31/34/346693134.db2.gz AUCBNUKBYVQUGM-NSHDSACASA-N 0 0 262.309 2.857 20 5 CFBDRN CN(CCc1ccccn1)c1ccsc1[N+](=O)[O-] ZINC000063256041 346698691 /nfs/dbraw/zinc/69/86/91/346698691.db2.gz NVYJIEUGOVQPBL-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1F ZINC000063248001 346698698 /nfs/dbraw/zinc/69/86/98/346698698.db2.gz RQJGVSMIEWRNOQ-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC(F)(F)F)s1 ZINC000066410743 346758984 /nfs/dbraw/zinc/75/89/84/346758984.db2.gz ZSILODISYAIESP-UHFFFAOYSA-N 0 0 270.232 2.647 20 5 CFBDRN CCC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000270280273 136612946 /nfs/dbraw/zinc/61/29/46/136612946.db2.gz SFBOTKYCRLXMBZ-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1cccc(Cn2cccn2)c1 ZINC000066808391 346780792 /nfs/dbraw/zinc/78/07/92/346780792.db2.gz DEDRXEYFEWMOFR-UHFFFAOYSA-N 0 0 295.302 2.978 20 5 CFBDRN CC(C)c1noc(CCCNc2ccncc2[N+](=O)[O-])n1 ZINC000066810834 346780804 /nfs/dbraw/zinc/78/08/04/346780804.db2.gz YPUCANJMKLSHPG-UHFFFAOYSA-N 0 0 291.311 2.541 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000066646350 346773028 /nfs/dbraw/zinc/77/30/28/346773028.db2.gz ILHYNNHGCPGWII-SECBINFHSA-N 0 0 275.308 2.947 20 5 CFBDRN COc1nc(C)cc(C)c1CNc1ncccc1[N+](=O)[O-] ZINC000066818978 346781330 /nfs/dbraw/zinc/78/13/30/346781330.db2.gz COFPODCXRWPLDN-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN COCC1=CCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000274666194 136629960 /nfs/dbraw/zinc/62/99/60/136629960.db2.gz WTAVYBRIRGLXAF-UHFFFAOYSA-N 0 0 284.262 2.656 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c(C)n1 ZINC000067072215 346790972 /nfs/dbraw/zinc/79/09/72/346790972.db2.gz RSIOPVXJBPJDLG-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1c1cccc(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000067092582 346791485 /nfs/dbraw/zinc/79/14/85/346791485.db2.gz SHQVXIPZMJJQHY-GHMZBOCLSA-N 0 0 290.250 2.613 20 5 CFBDRN COC1CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000076574902 347143720 /nfs/dbraw/zinc/14/37/20/347143720.db2.gz AWNJINDRCICHIH-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2ccco2)ccc1[N+](=O)[O-] ZINC000076685329 347148258 /nfs/dbraw/zinc/14/82/58/347148258.db2.gz OBRZTAWYZIODCD-GFCCVEGCSA-N 0 0 262.265 2.642 20 5 CFBDRN Cc1nc(N2CCC(C)(C(C)C)CC2)ncc1[N+](=O)[O-] ZINC000294838651 136684835 /nfs/dbraw/zinc/68/48/35/136684835.db2.gz BGLHUBYPBDYUPC-UHFFFAOYSA-N 0 0 278.356 2.956 20 5 CFBDRN CCC[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1 ZINC000076267283 347131382 /nfs/dbraw/zinc/13/13/82/347131382.db2.gz INJHGTHCCPPAIK-NSHDSACASA-N 0 0 287.323 2.920 20 5 CFBDRN CCC[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1 ZINC000076267286 347132315 /nfs/dbraw/zinc/13/23/15/347132315.db2.gz INJHGTHCCPPAIK-LLVKDONJSA-N 0 0 287.323 2.920 20 5 CFBDRN C[C@H]1CCCCN1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000077533064 347198005 /nfs/dbraw/zinc/19/80/05/347198005.db2.gz BJBGOZHRVQUFPP-LBPRGKRZSA-N 0 0 292.335 2.765 20 5 CFBDRN C[C@@H]1C[C@H]1c1ccc(CNC(=O)c2ccc([N+](=O)[O-])o2)o1 ZINC000077689598 347210224 /nfs/dbraw/zinc/21/02/24/347210224.db2.gz INXIQSBAZOBLMQ-PSASIEDQSA-N 0 0 290.275 2.834 20 5 CFBDRN C[C@H](CNc1ccc(F)cc1[N+](=O)[O-])CC1(C)OCCO1 ZINC000077210172 347173540 /nfs/dbraw/zinc/17/35/40/347173540.db2.gz PBLDPNRCAWKHGS-JTQLQIEISA-N 0 0 298.314 2.935 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cc1F)CC1(C)OCCO1 ZINC000077210165 347173723 /nfs/dbraw/zinc/17/37/23/347173723.db2.gz MPCASUJKSVUEQG-SNVBAGLBSA-N 0 0 298.314 2.935 20 5 CFBDRN C[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])C[C@H](C)O ZINC000077229106 347178395 /nfs/dbraw/zinc/17/83/95/347178395.db2.gz CNKXOWHJLSVKSY-BDAKNGLRSA-N 0 0 256.277 2.553 20 5 CFBDRN COc1cc(N2C[C@@H]3[C@H](C2)C3(C)C)ccc1[N+](=O)[O-] ZINC000078411828 347251255 /nfs/dbraw/zinc/25/12/55/347251255.db2.gz RYXNNAAIRQCUNA-PHIMTYICSA-N 0 0 262.309 2.696 20 5 CFBDRN CNC(=O)c1ccc(N[C@H](C)CC(C)C)c([N+](=O)[O-])c1 ZINC000128479202 187373531 /nfs/dbraw/zinc/37/35/31/187373531.db2.gz IPMLMFMXWNEHJX-SNVBAGLBSA-N 0 0 279.340 2.801 20 5 CFBDRN COc1cc(N[C@H]2CCCC[C@H]2OC)ccc1[N+](=O)[O-] ZINC000078427076 347252215 /nfs/dbraw/zinc/25/22/15/347252215.db2.gz IVZLXURNXAXBCZ-WCQYABFASA-N 0 0 280.324 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccno2)c(Br)c1 ZINC000077938956 347220796 /nfs/dbraw/zinc/22/07/96/347220796.db2.gz NCSJNWKNWZBUFA-UHFFFAOYSA-N 0 0 299.080 2.924 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ccncc1[N+](=O)[O-] ZINC000077991112 347223138 /nfs/dbraw/zinc/22/31/38/347223138.db2.gz ORKLLGNWWHJLFO-QMMMGPOBSA-N 0 0 276.296 2.638 20 5 CFBDRN COCCN(C(=O)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000078287143 347237801 /nfs/dbraw/zinc/23/78/01/347237801.db2.gz VNRBNXOOHFXVOE-UHFFFAOYSA-N 0 0 292.335 2.626 20 5 CFBDRN CC(C)CN(C)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000078285985 347237834 /nfs/dbraw/zinc/23/78/34/347237834.db2.gz WJVQNFPPQXOHJD-CMDGGOBGSA-N 0 0 262.309 2.722 20 5 CFBDRN CC(C)(CO)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000078303693 347239346 /nfs/dbraw/zinc/23/93/46/347239346.db2.gz JKMMSBJIENLBHS-UHFFFAOYSA-N 0 0 252.314 2.805 20 5 CFBDRN COc1cc(N[C@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000078307033 347240140 /nfs/dbraw/zinc/24/01/40/347240140.db2.gz FJGZTNNDAAKDNY-SECBINFHSA-N 0 0 276.296 2.510 20 5 CFBDRN COCCCn1ccc(Nc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000078334452 347241985 /nfs/dbraw/zinc/24/19/85/347241985.db2.gz ZFVAKPIZEALSSO-UHFFFAOYSA-N 0 0 294.286 2.711 20 5 CFBDRN CC[C@H](C)Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000078359657 347245919 /nfs/dbraw/zinc/24/59/19/347245919.db2.gz RWWKZCOHEWEMEF-VIFPVBQESA-N 0 0 277.324 2.697 20 5 CFBDRN CC(=O)Nc1ccccc1CNc1ccncc1[N+](=O)[O-] ZINC000078377165 347248262 /nfs/dbraw/zinc/24/82/62/347248262.db2.gz RUJYJAYMOQTHPJ-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN Cc1csc([C@@H](Nc2c([N+](=O)[O-])ncn2C)C2CC2)n1 ZINC000078381394 347249390 /nfs/dbraw/zinc/24/93/90/347249390.db2.gz MLXXAQYFFQQVHY-VIFPVBQESA-N 0 0 293.352 2.656 20 5 CFBDRN Cc1ccccc1O[C@@H](C)CNc1c([N+](=O)[O-])ncn1C ZINC000078468439 347255207 /nfs/dbraw/zinc/25/52/07/347255207.db2.gz OZTNURRXPNCQQS-NSHDSACASA-N 0 0 290.323 2.516 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000078480417 347256248 /nfs/dbraw/zinc/25/62/48/347256248.db2.gz PPQTXQCXVHWISW-NXEZZACHSA-N 0 0 252.339 2.925 20 5 CFBDRN C[C@@H]1CN(c2nc3sccn3c2[N+](=O)[O-])C[C@@H](C)S1 ZINC000078480284 347256420 /nfs/dbraw/zinc/25/64/20/347256420.db2.gz QAWKWPXLJPGFGO-HTQZYQBOSA-N 0 0 298.393 2.634 20 5 CFBDRN Cc1cnc(Nc2ccc3n[nH]cc3c2)c([N+](=O)[O-])c1 ZINC000078626272 347265346 /nfs/dbraw/zinc/26/53/46/347265346.db2.gz VIMRJFFBJIOGIB-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN Cc1cnc(N2CCN(c3ccccc3)CC2)c([N+](=O)[O-])c1 ZINC000078624254 347265465 /nfs/dbraw/zinc/26/54/65/347265465.db2.gz OMUIZTYOPOHBQO-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078658763 347268035 /nfs/dbraw/zinc/26/80/35/347268035.db2.gz QHDFDRUHTIZVPG-VIFPVBQESA-N 0 0 275.312 2.513 20 5 CFBDRN Cc1cnc(NCCc2ccc(C)nc2)c([N+](=O)[O-])c1 ZINC000078662293 347268435 /nfs/dbraw/zinc/26/84/35/347268435.db2.gz UFTCIEOMUTWYFH-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078665677 347268990 /nfs/dbraw/zinc/26/89/90/347268990.db2.gz YVZIHQAPSBYSDS-VIFPVBQESA-N 0 0 290.323 2.946 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)c([N+](=O)[O-])c1 ZINC000078667466 347269025 /nfs/dbraw/zinc/26/90/25/347269025.db2.gz RQYSLOQASASCEU-WCQGTBRESA-N 0 0 277.324 2.524 20 5 CFBDRN Cc1cnc(NC[C@@](C)(O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000078663708 347269039 /nfs/dbraw/zinc/26/90/39/347269039.db2.gz VKZMZJBXSHMNRK-OAHLLOKOSA-N 0 0 287.319 2.618 20 5 CFBDRN C[C@H](Sc1nnnn1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000078953891 347281884 /nfs/dbraw/zinc/28/18/84/347281884.db2.gz NKZMZEMFJHMQCN-QMMMGPOBSA-N 0 0 291.336 2.770 20 5 CFBDRN CCc1nnsc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000078976754 347282807 /nfs/dbraw/zinc/28/28/07/347282807.db2.gz VFKDJGIAWFSPNQ-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CCc1ccc(OCCOC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000078983308 347283048 /nfs/dbraw/zinc/28/30/48/347283048.db2.gz DLPWLTKZAFGCSN-ZDUSSCGKSA-N 0 0 295.335 2.732 20 5 CFBDRN CCC1CCN(C(=O)COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000080426887 347358094 /nfs/dbraw/zinc/35/80/94/347358094.db2.gz DCZIKOZFUVKBLT-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000295619110 199590231 /nfs/dbraw/zinc/59/02/31/199590231.db2.gz QXMDGMMELKNQGN-CQSZACIVSA-N 0 0 296.298 2.568 20 5 CFBDRN CCCCCOC1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000080377859 347356044 /nfs/dbraw/zinc/35/60/44/347356044.db2.gz OYMWQDMXKGZJOH-UHFFFAOYSA-N 0 0 292.335 2.626 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000079543208 347310683 /nfs/dbraw/zinc/31/06/83/347310683.db2.gz VMTJYXZKJUGAQR-GFCCVEGCSA-N 0 0 293.367 2.678 20 5 CFBDRN O=C(NCC(C1CC1)C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000081244901 347408727 /nfs/dbraw/zinc/40/87/27/347408727.db2.gz SEASKOYKJWJPCQ-UHFFFAOYSA-N 0 0 292.310 2.900 20 5 CFBDRN O=C(NCC(C1CC1)C1CC1)c1csc([N+](=O)[O-])c1 ZINC000081243959 347409015 /nfs/dbraw/zinc/40/90/15/347409015.db2.gz OSTABCAJFZEHOQ-UHFFFAOYSA-N 0 0 280.349 2.822 20 5 CFBDRN Cc1ccc(NCCCOC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000080538573 347364626 /nfs/dbraw/zinc/36/46/26/347364626.db2.gz JDZJKTWPHQASSJ-CYBMUJFWSA-N 0 0 294.351 2.901 20 5 CFBDRN CCCCCNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000080598457 347368800 /nfs/dbraw/zinc/36/88/00/347368800.db2.gz MNBNJSYTKUAVKC-UHFFFAOYSA-N 0 0 279.340 2.627 20 5 CFBDRN Cc1cc(N[C@@H](C)C[C@@H]2CCCO2)ncc1[N+](=O)[O-] ZINC000080600499 347368877 /nfs/dbraw/zinc/36/88/77/347368877.db2.gz GOPQWDNWXQMTBG-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN COC(=O)C1(CNc2ccc([N+](=O)[O-])cc2F)CCCC1 ZINC000080606431 347369615 /nfs/dbraw/zinc/36/96/15/347369615.db2.gz PVCHBQAFEUESPV-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CC1CCC1 ZINC000080637782 347370900 /nfs/dbraw/zinc/37/09/00/347370900.db2.gz YEOQBJZBWQJXIA-UHFFFAOYSA-N 0 0 264.325 2.978 20 5 CFBDRN Cc1ccc(NC[C@](C)(O)C(C)C)c([N+](=O)[O-])c1 ZINC000080637922 347371087 /nfs/dbraw/zinc/37/10/87/347371087.db2.gz YRHGJYFKNDJKED-ZDUSSCGKSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cc(N2CCC[C@@]3(CCCOC3)C2)ncc1[N+](=O)[O-] ZINC000080689300 347375730 /nfs/dbraw/zinc/37/57/30/347375730.db2.gz FBONWKJFRXDOFH-OAHLLOKOSA-N 0 0 291.351 2.695 20 5 CFBDRN C[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000080960100 347390045 /nfs/dbraw/zinc/39/00/45/347390045.db2.gz VXLXYQNYLPXFHW-OCAPTIKFSA-N 0 0 286.378 2.622 20 5 CFBDRN CCc1ccc(C(=O)N(C)Cc2ccc[nH]2)cc1[N+](=O)[O-] ZINC000080970423 347390751 /nfs/dbraw/zinc/39/07/51/347390751.db2.gz HAVAFWIZTVGRIU-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc(N2CCSC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000081032638 347398803 /nfs/dbraw/zinc/39/88/03/347398803.db2.gz VLWHZKFYJXGIEB-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2Cl)CCS1 ZINC000081032609 347398867 /nfs/dbraw/zinc/39/88/67/347398867.db2.gz MTQCRNOMMBPJMW-UHFFFAOYSA-N 0 0 287.772 2.975 20 5 CFBDRN Cc1nc(C)c(NC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000081566168 347427643 /nfs/dbraw/zinc/42/76/43/347427643.db2.gz OLYPHCHLOGTXRH-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1ccc(NC(=O)c2nccs2)cc1[N+](=O)[O-] ZINC000082269503 347443011 /nfs/dbraw/zinc/44/30/11/347443011.db2.gz ZIPVVQGDNSUMAF-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000086757595 347489209 /nfs/dbraw/zinc/48/92/09/347489209.db2.gz SQMLTGWGOFAYLE-VXGBXAGGSA-N 0 0 292.335 2.843 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)COCC1CC1 ZINC000086167969 179242068 /nfs/dbraw/zinc/24/20/68/179242068.db2.gz NHPUPFDPEBIAQA-UHFFFAOYSA-N 0 0 278.308 2.577 20 5 CFBDRN C[C@@H](CC(F)(F)F)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000410803865 232706612 /nfs/dbraw/zinc/70/66/12/232706612.db2.gz AZIQLOASZXFWHX-ZETCQYMHSA-N 0 0 291.229 2.541 20 5 CFBDRN CC[C@@H]1COCCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000088723583 347508551 /nfs/dbraw/zinc/50/85/51/347508551.db2.gz GMMKEGGSHJJGJO-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN COc1cc(N(C)Cc2cc(C)on2)c(F)cc1[N+](=O)[O-] ZINC000091429270 347585433 /nfs/dbraw/zinc/58/54/33/347585433.db2.gz JSOZZMVAYRPNDO-UHFFFAOYSA-N 0 0 295.270 2.675 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000091981358 347605377 /nfs/dbraw/zinc/60/53/77/347605377.db2.gz IQHRPNDICZXPRZ-ZDUSSCGKSA-N 0 0 273.292 2.789 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000091981360 347605386 /nfs/dbraw/zinc/60/53/86/347605386.db2.gz IQHRPNDICZXPRZ-CYBMUJFWSA-N 0 0 273.292 2.789 20 5 CFBDRN CCn1c(C)nnc1Sc1ccc([N+](=O)[O-])c(C)c1 ZINC000092641801 347635725 /nfs/dbraw/zinc/63/57/25/347635725.db2.gz YUQPRHAXPAKIKY-UHFFFAOYSA-N 0 0 278.337 2.974 20 5 CFBDRN Cc1cc(SCC(=O)OC(C)C)ccc1[N+](=O)[O-] ZINC000092657311 347637963 /nfs/dbraw/zinc/63/79/63/347637963.db2.gz BDIGNVXDQOWYNS-UHFFFAOYSA-N 0 0 269.322 2.947 20 5 CFBDRN C[C@@H](CO)Sc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092658959 347638202 /nfs/dbraw/zinc/63/82/02/347638202.db2.gz ZTKHDMSAQOHGPY-LURJTMIESA-N 0 0 279.264 2.669 20 5 CFBDRN CC[C@](C)(CO)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092667924 347639186 /nfs/dbraw/zinc/63/91/86/347639186.db2.gz SOTAIWWOQVPAQZ-GFCCVEGCSA-N 0 0 290.266 2.769 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1S[C@@H]1CCO[C@@H]1C ZINC000092688261 347641020 /nfs/dbraw/zinc/64/10/20/347641020.db2.gz BBLNKPMCBWOIJI-PSASIEDQSA-N 0 0 254.311 2.568 20 5 CFBDRN C[C@@H](Nc1ccccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000092943448 347653390 /nfs/dbraw/zinc/65/33/90/347653390.db2.gz ZZHNUXPUKBILPS-SECBINFHSA-N 0 0 266.297 2.737 20 5 CFBDRN C[C@@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C[C@@H]1C ZINC000093480765 347675426 /nfs/dbraw/zinc/67/54/26/347675426.db2.gz LPKMGJAQMIWKDS-MNOVXSKESA-N 0 0 264.325 2.778 20 5 CFBDRN CCc1nn(C)c(N(C)Cc2sccc2C)c1[N+](=O)[O-] ZINC000094132136 347692366 /nfs/dbraw/zinc/69/23/66/347692366.db2.gz FHTZIAOOJJLWSG-UHFFFAOYSA-N 0 0 294.380 2.897 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(C)CCCO2)c1 ZINC000094804667 347696958 /nfs/dbraw/zinc/69/69/58/347696958.db2.gz VDGYQQZQAMMCEZ-ZDUSSCGKSA-N 0 0 250.298 2.884 20 5 CFBDRN CCOCCCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000103375782 185833914 /nfs/dbraw/zinc/83/39/14/185833914.db2.gz ZIFYXPVUQCSLLA-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN CN(CC1CCC1)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000111068848 347751549 /nfs/dbraw/zinc/75/15/49/347751549.db2.gz XEVTYWWVADTNLM-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nc(C(F)(F)F)cs1 ZINC000119195568 347800961 /nfs/dbraw/zinc/80/09/61/347800961.db2.gz AAYKYACRFKCXGS-UHFFFAOYSA-N 0 0 292.242 2.623 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NCCCC1CC1 ZINC000119515301 347802338 /nfs/dbraw/zinc/80/23/38/347802338.db2.gz PTEZFZIXMRAZMT-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN C[S@@](=O)c1cccc(NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000121925919 347813228 /nfs/dbraw/zinc/81/32/28/347813228.db2.gz FGDBYZNBCOZFMI-FQEVSTJZSA-N 0 0 290.344 2.944 20 5 CFBDRN CCCC(C)(C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000116538898 347787549 /nfs/dbraw/zinc/78/75/49/347787549.db2.gz RQHRCBDIQTZHJY-UHFFFAOYSA-N 0 0 279.340 2.973 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000127052892 347839233 /nfs/dbraw/zinc/83/92/33/347839233.db2.gz VSXDNNJJCKJRFY-UHFFFAOYSA-N 0 0 292.266 2.989 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1noc(-c2ccccc2C)n1 ZINC000127450477 347841645 /nfs/dbraw/zinc/84/16/45/347841645.db2.gz LYHWYXWQHXRHIL-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)c(C)n1 ZINC000128250628 347849733 /nfs/dbraw/zinc/84/97/33/347849733.db2.gz LTNLIQKNJLTPLA-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=C(NCCCC1CC1)c1ccc([N+](=O)[O-])s1 ZINC000128355260 347850289 /nfs/dbraw/zinc/85/02/89/347850289.db2.gz ZJPFQIDQUDEGGM-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN CSCCCC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000128645439 347853384 /nfs/dbraw/zinc/85/33/84/347853384.db2.gz AUDDXAPQNZQITI-UHFFFAOYSA-N 0 0 280.349 2.627 20 5 CFBDRN Cc1noc(C)c1CCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000128659789 347853622 /nfs/dbraw/zinc/85/36/22/347853622.db2.gz NRSDLOAJLYSFEI-UHFFFAOYSA-N 0 0 280.255 2.960 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000129139491 347857584 /nfs/dbraw/zinc/85/75/84/347857584.db2.gz NREYZGMHEMARJW-JTQLQIEISA-N 0 0 277.324 2.535 20 5 CFBDRN CCn1nccc1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000126831877 347837090 /nfs/dbraw/zinc/83/70/90/347837090.db2.gz INPCPCXLTIOYFI-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN C[C@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000129686785 347863393 /nfs/dbraw/zinc/86/33/93/347863393.db2.gz GPTXHCUBJANKQK-JTQLQIEISA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000129677023 347863817 /nfs/dbraw/zinc/86/38/17/347863817.db2.gz LXDYHHRBXDTWMK-MRVPVSSYSA-N 0 0 266.272 2.652 20 5 CFBDRN CC[C@H](NC(=O)c1ccccc1[N+](=O)[O-])c1nccs1 ZINC000130888056 347875427 /nfs/dbraw/zinc/87/54/27/347875427.db2.gz VKEOIFUXLILTKC-JTQLQIEISA-N 0 0 291.332 2.932 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1ccccc1OC(F)F ZINC000141343529 347930350 /nfs/dbraw/zinc/93/03/50/347930350.db2.gz UHKMWGVMYMIRFR-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN Cc1cc(C)cc(NC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000138092827 347914740 /nfs/dbraw/zinc/91/47/40/347914740.db2.gz CCYZIXQREWEVBY-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CCOc1cc(N[C@H](C)c2ncc[nH]2)ccc1[N+](=O)[O-] ZINC000139854641 347922995 /nfs/dbraw/zinc/92/29/95/347922995.db2.gz RHDXXEVRNWZDKB-SECBINFHSA-N 0 0 276.296 2.890 20 5 CFBDRN Cc1cc(NC(=O)NC2CC=CC2)ccc1[N+](=O)[O-] ZINC000153826431 347975372 /nfs/dbraw/zinc/97/53/72/347975372.db2.gz CJLMBJHXQOYKSI-UHFFFAOYSA-N 0 0 261.281 2.743 20 5 CFBDRN Cc1cnn(Cc2c(Cl)cccc2[N+](=O)[O-])c1 ZINC000155349362 347986208 /nfs/dbraw/zinc/98/62/08/347986208.db2.gz FPUDMDQSAQBPMZ-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OC[C@@H]2CCCCO2)c1 ZINC000157905707 348003483 /nfs/dbraw/zinc/00/34/83/348003483.db2.gz ZDVBZQACMRZDNO-NSHDSACASA-N 0 0 255.245 2.682 20 5 CFBDRN COCc1ccccc1CNc1cccnc1[N+](=O)[O-] ZINC000159324355 348012289 /nfs/dbraw/zinc/01/22/89/348012289.db2.gz JJEGVSYRZTWLAX-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCOC1CCCCC1 ZINC000159611745 348013572 /nfs/dbraw/zinc/01/35/72/348013572.db2.gz PCOSYPZUHXJSAK-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN COC(=O)c1coc(COc2ccc(C)cc2[N+](=O)[O-])c1 ZINC000171411265 348076331 /nfs/dbraw/zinc/07/63/31/348076331.db2.gz GEVCVTXQBGFADR-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=[N+]([O-])c1ncn(CCCOc2ccc3ccccc3c2)n1 ZINC000171390225 348076379 /nfs/dbraw/zinc/07/63/79/348076379.db2.gz DGGKZCNCQMVKRD-UHFFFAOYSA-N 0 0 298.302 2.809 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000103978977 185874714 /nfs/dbraw/zinc/87/47/14/185874714.db2.gz FKZWOFUQYKOQGL-VXGBXAGGSA-N 0 0 291.351 2.796 20 5 CFBDRN CCN(CC1CC1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000171977538 348085623 /nfs/dbraw/zinc/08/56/23/348085623.db2.gz JTSXIFXJNPQVLY-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000172448571 348094528 /nfs/dbraw/zinc/09/45/28/348094528.db2.gz ZMJQTMJQMLJBIF-JTQLQIEISA-N 0 0 250.298 2.617 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000172444581 348094607 /nfs/dbraw/zinc/09/46/07/348094607.db2.gz ATCBIAMICLBLNI-SNVBAGLBSA-N 0 0 250.298 2.617 20 5 CFBDRN CC1=C(C(=O)Nc2ccc([N+](=O)[O-])cc2)SCCO1 ZINC000219807921 348169159 /nfs/dbraw/zinc/16/91/59/348169159.db2.gz IGWCYIPZVGAOLS-UHFFFAOYSA-N 0 0 280.305 2.528 20 5 CFBDRN C[C@@H]1SCC[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000220847331 348170440 /nfs/dbraw/zinc/17/04/40/348170440.db2.gz KUUJPVGUPFLQNY-CABZTGNLSA-N 0 0 252.339 2.578 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC1CCC(O)CC1 ZINC000227712443 348190145 /nfs/dbraw/zinc/19/01/45/348190145.db2.gz QVXVNGNGVYQJQZ-UHFFFAOYSA-N 0 0 285.731 2.606 20 5 CFBDRN COCCCCCNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000227865248 348190934 /nfs/dbraw/zinc/19/09/34/348190934.db2.gz FQJHIZIQAXIMQX-UHFFFAOYSA-N 0 0 290.323 2.767 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@@H]2CCCC[C@@H]2O)c(F)c1 ZINC000227890751 348191511 /nfs/dbraw/zinc/19/15/11/348191511.db2.gz CNRPQSKEKVAOAM-UFBFGSQYSA-N 0 0 286.278 2.836 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000228258788 348194869 /nfs/dbraw/zinc/19/48/69/348194869.db2.gz WLYCTAXTSFOFIG-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1cnc([C@H](C)CNc2ccc([N+](=O)[O-])cn2)s1 ZINC000273320319 192112153 /nfs/dbraw/zinc/11/21/53/192112153.db2.gz PDLSOCWQRKXPHE-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN CCC(CC)(CNc1ccc([N+](=O)[O-])c(C)n1)C(=O)OC ZINC000128779850 187389900 /nfs/dbraw/zinc/38/99/00/187389900.db2.gz ISADUSWRMRYPQG-UHFFFAOYSA-N 0 0 295.339 2.690 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CC[C@H](C)CC2)cc1[N+](=O)[O-] ZINC000252951456 348299573 /nfs/dbraw/zinc/29/95/73/348299573.db2.gz DWWIBAGRVOUYFL-UMSPYCQHSA-N 0 0 291.351 2.945 20 5 CFBDRN CC(C)(C(=O)NCCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000266387376 348324487 /nfs/dbraw/zinc/32/44/87/348324487.db2.gz HNVFHAXLISIGLU-UHFFFAOYSA-N 0 0 276.336 2.789 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2CCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000280835673 348341846 /nfs/dbraw/zinc/34/18/46/348341846.db2.gz ZNKJBJKXWMOQOG-FZMZJTMJSA-N 0 0 278.308 2.513 20 5 CFBDRN COC(=O)[C@H](CC(C)C)Sc1ncccc1[N+](=O)[O-] ZINC000285148811 348345840 /nfs/dbraw/zinc/34/58/40/348345840.db2.gz LVRXOCHEYUEGIS-JTQLQIEISA-N 0 0 284.337 2.670 20 5 CFBDRN CC(C)c1nnc(CSc2ncccc2[N+](=O)[O-])[nH]1 ZINC000291582622 348354701 /nfs/dbraw/zinc/35/47/01/348354701.db2.gz FIGHCOHGQOYNJT-UHFFFAOYSA-N 0 0 279.325 2.524 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCCC1CCOCC1 ZINC000292530533 348357280 /nfs/dbraw/zinc/35/72/80/348357280.db2.gz ADFOSYUTSWJLCF-UHFFFAOYSA-N 0 0 268.338 2.899 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H]3CC[C@@H]2O3)c(F)cc1[N+](=O)[O-] ZINC000292724277 348358761 /nfs/dbraw/zinc/35/87/61/348358761.db2.gz UEQILDSURAWJOQ-DYLAAIIWSA-N 0 0 266.272 2.774 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CC=CCC1 ZINC000087315312 179411735 /nfs/dbraw/zinc/41/17/35/179411735.db2.gz YHQUDRIPUMIFGD-CYBMUJFWSA-N 0 0 274.320 2.752 20 5 CFBDRN C[C@@]1(CNc2c(Cl)cncc2[N+](=O)[O-])CCCO1 ZINC000310706279 348410441 /nfs/dbraw/zinc/41/04/41/348410441.db2.gz CYTKMQKVQODIKD-NSHDSACASA-N 0 0 271.704 2.624 20 5 CFBDRN Nc1ccc(N(Cc2cccs2)C2CC2)nc1[N+](=O)[O-] ZINC000312427850 348417971 /nfs/dbraw/zinc/41/79/71/348417971.db2.gz AUNYUQJLLSFNEW-UHFFFAOYSA-N 0 0 290.348 2.803 20 5 CFBDRN Cc1cn(C)nc1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000413027108 233006849 /nfs/dbraw/zinc/00/68/49/233006849.db2.gz LEHJAAINIHLIBA-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cc(NC3CC3)ncn2)cc1 ZINC000413079171 233015643 /nfs/dbraw/zinc/01/56/43/233015643.db2.gz YCBZHXIIHNKZKQ-UHFFFAOYSA-N 0 0 285.307 2.571 20 5 CFBDRN Cc1ccnc(NCCOC(C)(C)C)c1[N+](=O)[O-] ZINC000308533889 348404367 /nfs/dbraw/zinc/40/43/67/348404367.db2.gz VQBNGTQPCBTXEG-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000313430611 348422797 /nfs/dbraw/zinc/42/27/97/348422797.db2.gz YWYIVASQTQXMND-KWQFWETISA-N 0 0 290.348 2.844 20 5 CFBDRN COc1cc(NCCCn2ccnc2)c([N+](=O)[O-])cc1C ZINC000314822099 348428106 /nfs/dbraw/zinc/42/81/06/348428106.db2.gz WHRPJRBKUORAAZ-UHFFFAOYSA-N 0 0 290.323 2.611 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1C[C@@H]1C ZINC000273400423 192138736 /nfs/dbraw/zinc/13/87/36/192138736.db2.gz QWFGLSLOAOMABE-CVAJJVNSSA-N 0 0 274.320 2.770 20 5 CFBDRN C[C@H](Cc1ccco1)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000596824101 349993881 /nfs/dbraw/zinc/99/38/81/349993881.db2.gz HQQBHERZSWDKDK-LLVKDONJSA-N 0 0 288.303 2.683 20 5 CFBDRN CCc1ccc(OC(=O)OC2CCOCC2)c([N+](=O)[O-])c1 ZINC000289623489 539642050 /nfs/dbraw/zinc/64/20/50/539642050.db2.gz ZDUSONMPEFRBQQ-UHFFFAOYSA-N 0 0 295.291 2.852 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1COC(C)(C)C1 ZINC000596843141 349996097 /nfs/dbraw/zinc/99/60/97/349996097.db2.gz QEGLXTKJMWODFA-JTQLQIEISA-N 0 0 293.323 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCC(F)(F)F)c(F)c1 ZINC000413172994 233028866 /nfs/dbraw/zinc/02/88/66/233028866.db2.gz QDELEEUYDJVVQN-UHFFFAOYSA-N 0 0 267.182 2.883 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(C(F)F)CC2)c(F)c1 ZINC000413191122 233032126 /nfs/dbraw/zinc/03/21/26/233032126.db2.gz GJMAUGYCGKKWOL-UHFFFAOYSA-N 0 0 275.230 2.610 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])NCCCF ZINC000934911012 649849903 /nfs/dbraw/zinc/84/99/03/649849903.db2.gz VEDXZVOOLMBPSQ-UHFFFAOYSA-N 0 0 288.706 2.657 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1CCC(F)(F)C1 ZINC000401126322 348577351 /nfs/dbraw/zinc/57/73/51/348577351.db2.gz MFPYCFSUESYGTO-SSDOTTSWSA-N 0 0 261.203 2.729 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCOC1CCCCC1 ZINC000401201358 348578102 /nfs/dbraw/zinc/57/81/02/348578102.db2.gz FHWYLQWRKRTVII-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc3ncccc3c2[N+](=O)[O-])CO1 ZINC000402316135 348581788 /nfs/dbraw/zinc/58/17/88/348581788.db2.gz RBWGGSBZQZRRSP-VHSXEESVSA-N 0 0 273.292 2.732 20 5 CFBDRN COc1ccc(CNCc2cc(C)ns2)cc1[N+](=O)[O-] ZINC000404273357 348590786 /nfs/dbraw/zinc/59/07/86/348590786.db2.gz KBKQSOUITHJJFS-UHFFFAOYSA-N 0 0 293.348 2.658 20 5 CFBDRN C[C@@](O)(CNc1ncc([N+](=O)[O-])cc1F)C1CCCCC1 ZINC000413265892 233043525 /nfs/dbraw/zinc/04/35/25/233043525.db2.gz ORTGROICGHVHPG-CQSZACIVSA-N 0 0 297.330 2.872 20 5 CFBDRN C[C@H]1CN(c2ccc(N)c([N+](=O)[O-])n2)CCc2ccccc21 ZINC000404864339 348595611 /nfs/dbraw/zinc/59/56/11/348595611.db2.gz ZTEQOTCGQWMYKD-NSHDSACASA-N 0 0 298.346 2.738 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cc1F)c1nccs1 ZINC000413235399 233039073 /nfs/dbraw/zinc/03/90/73/233039073.db2.gz PTTVUKGZQNSWCV-SSDOTTSWSA-N 0 0 282.300 2.801 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCC2CCOCC2)c(F)c1 ZINC000413325675 233053642 /nfs/dbraw/zinc/05/36/42/233053642.db2.gz KOJIPBQLCNXGQP-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN CC1=CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000273465215 192162324 /nfs/dbraw/zinc/16/23/24/192162324.db2.gz NORWKSZWVWNXGI-UHFFFAOYSA-N 0 0 279.271 2.918 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000596870047 350000313 /nfs/dbraw/zinc/00/03/13/350000313.db2.gz ZXVREEOJUVYDHF-GFCCVEGCSA-N 0 0 262.309 2.647 20 5 CFBDRN CO[C@@H](CNc1nccc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000413302785 233049658 /nfs/dbraw/zinc/04/96/58/233049658.db2.gz USHRNWBPDVOVSN-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1cccnc1CCCNc1ncc([N+](=O)[O-])cc1F ZINC000413311408 233050432 /nfs/dbraw/zinc/05/04/32/233050432.db2.gz ILANXJUKOQLANF-UHFFFAOYSA-N 0 0 290.298 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@@H](C(F)F)C3)c2c1 ZINC000588040692 348768048 /nfs/dbraw/zinc/76/80/48/348768048.db2.gz KNRGXYFGHVDHQP-MRVPVSSYSA-N 0 0 294.261 2.629 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(C2)CC(F)(F)C3)c(Cl)c1 ZINC000588040700 348768053 /nfs/dbraw/zinc/76/80/53/348768053.db2.gz KZQWXJNDBOOYSY-UHFFFAOYSA-N 0 0 289.669 2.879 20 5 CFBDRN CC[C@@]1(Nc2nc(C)cc(C)c2[N+](=O)[O-])CCOC1 ZINC000588041315 348768819 /nfs/dbraw/zinc/76/88/19/348768819.db2.gz OQQYXTSZAALUHV-CYBMUJFWSA-N 0 0 265.313 2.588 20 5 CFBDRN CCc1cc(N(C)CCc2ccccc2[N+](=O)[O-])ncn1 ZINC000588063408 348769352 /nfs/dbraw/zinc/76/93/52/348769352.db2.gz BZPXPVJUHQLGNG-UHFFFAOYSA-N 0 0 286.335 2.626 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1CCc2cncn2C1 ZINC000588067672 348769898 /nfs/dbraw/zinc/76/98/98/348769898.db2.gz IGGXSWPPOAKZTA-SNVBAGLBSA-N 0 0 292.726 2.872 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC[C@@H]3C[C@@H]32)s1 ZINC000588066434 348769911 /nfs/dbraw/zinc/76/99/11/348769911.db2.gz PCTUNEDHEXXNCN-APPZFPTMSA-N 0 0 276.321 2.588 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]3C[C@H]32)c(Cl)c1 ZINC000588066211 348769973 /nfs/dbraw/zinc/76/99/73/348769973.db2.gz JIPHZOGAGCWRHF-OIBJUYFYSA-N 0 0 253.689 2.632 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3cncn3C2)ccc1[N+](=O)[O-] ZINC000588067695 348769991 /nfs/dbraw/zinc/76/99/91/348769991.db2.gz JURBRAHCFKQAET-GFCCVEGCSA-N 0 0 272.308 2.527 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC2(CCC2)CC1 ZINC000588075906 348770528 /nfs/dbraw/zinc/77/05/28/348770528.db2.gz XDHCZMKXTGGDHZ-UHFFFAOYSA-N 0 0 278.356 2.890 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H]1CCC1(C)C ZINC000596870468 350000515 /nfs/dbraw/zinc/00/05/15/350000515.db2.gz ZOABLVRDIHVCCP-LBPRGKRZSA-N 0 0 292.335 2.656 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H]1CCCC1(F)F ZINC000588295713 348783162 /nfs/dbraw/zinc/78/31/62/348783162.db2.gz ORCAHDUXYAJZOY-NSHDSACASA-N 0 0 299.277 2.582 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(CCOC)C1CCCC1 ZINC000588816473 348796572 /nfs/dbraw/zinc/79/65/72/348796572.db2.gz ZAYOHUJITZWQET-UHFFFAOYSA-N 0 0 296.371 2.515 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H](C)CC1 ZINC000588815093 348796736 /nfs/dbraw/zinc/79/67/36/348796736.db2.gz JKDPLHWUOPOPEA-SNVBAGLBSA-N 0 0 266.345 2.746 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@H]2C[C@H]21 ZINC000588840240 348798192 /nfs/dbraw/zinc/79/81/92/348798192.db2.gz VGBWBDPSHQQJLM-GXFFZTMASA-N 0 0 260.293 2.786 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H](OC)C23CCC3)ccc1[N+](=O)[O-] ZINC000413432117 233072439 /nfs/dbraw/zinc/07/24/39/233072439.db2.gz BDBPSJKVPPTEFW-ZIAGYGMSSA-N 0 0 292.335 2.973 20 5 CFBDRN COC(=O)c1ccc(OCC=C(C)C)cc1[N+](=O)[O-] ZINC000585732526 348741325 /nfs/dbraw/zinc/74/13/25/348741325.db2.gz QEABOOHERQDNOG-UHFFFAOYSA-N 0 0 265.265 2.726 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])n[nH]1)c1cccc2ccccc21 ZINC000586752627 348747951 /nfs/dbraw/zinc/74/79/51/348747951.db2.gz LFPYKTSUKRIJSV-UHFFFAOYSA-N 0 0 296.286 2.748 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccccc2[N+](=O)[O-])cs1 ZINC000586789133 348752376 /nfs/dbraw/zinc/75/23/76/348752376.db2.gz FNSCPDQVNZEQHL-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000588862438 348799614 /nfs/dbraw/zinc/79/96/14/348799614.db2.gz BHDYAEZOLZTIOP-LBPRGKRZSA-N 0 0 270.354 2.645 20 5 CFBDRN Cc1cc(C(=O)NCCc2cccc([N+](=O)[O-])c2)cs1 ZINC000586802854 348754444 /nfs/dbraw/zinc/75/44/44/348754444.db2.gz SVQAEDSGFVOMTH-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H]2C[C@@H]21 ZINC000586891927 348759998 /nfs/dbraw/zinc/75/99/98/348759998.db2.gz SVIXOCQZWCRYCL-PELKAZGASA-N 0 0 280.711 2.873 20 5 CFBDRN Cc1cccc(C(=O)N2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000586897486 348760012 /nfs/dbraw/zinc/76/00/12/348760012.db2.gz BJYJVSKVMCKBCD-PWSUYJOCSA-N 0 0 260.293 2.528 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000586899589 348760583 /nfs/dbraw/zinc/76/05/83/348760583.db2.gz WFBPEUIQENCCBB-MFKMUULPSA-N 0 0 260.293 2.528 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000586899286 348760616 /nfs/dbraw/zinc/76/06/16/348760616.db2.gz UTXBBBHRONGSIA-PKFCDNJMSA-N 0 0 292.310 2.848 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587159465 348761191 /nfs/dbraw/zinc/76/11/91/348761191.db2.gz FBKRDWRDDVMUIA-PWSUYJOCSA-N 0 0 293.367 2.684 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587446724 348761705 /nfs/dbraw/zinc/76/17/05/348761705.db2.gz YSQNZELVOIUTHY-MNOVXSKESA-N 0 0 293.367 2.908 20 5 CFBDRN CC[N@@H+]1CCC[C@H](Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000587415896 348761927 /nfs/dbraw/zinc/76/19/27/348761927.db2.gz HCUNSYPKXODEOP-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@H](c2cc[nH]n2)C1 ZINC000587383945 348761969 /nfs/dbraw/zinc/76/19/69/348761969.db2.gz HCHSLXGDTOSINN-JTQLQIEISA-N 0 0 290.298 2.841 20 5 CFBDRN COc1ccc(CNc2cc3cc[nH]c3cn2)cc1[N+](=O)[O-] ZINC000587397023 348762017 /nfs/dbraw/zinc/76/20/17/348762017.db2.gz SNIZOJQDDIHLRF-UHFFFAOYSA-N 0 0 298.302 2.514 20 5 CFBDRN CC1(C)CC[C@@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588010732 348765909 /nfs/dbraw/zinc/76/59/09/348765909.db2.gz IIBXTDDLGRNEKA-SNVBAGLBSA-N 0 0 279.340 2.660 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CCC[C@H]1C1CC1 ZINC000413476813 233079547 /nfs/dbraw/zinc/07/95/47/233079547.db2.gz FPSAHNKOOWNCDK-QWRGUYRKSA-N 0 0 264.329 2.567 20 5 CFBDRN COCC[C@@H](C)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000413487486 233081164 /nfs/dbraw/zinc/08/11/64/233081164.db2.gz CKMJHTLLVGXLMT-LLVKDONJSA-N 0 0 289.335 2.649 20 5 CFBDRN Cc1cnc(NCC(C)(C)OCC2CC2)c([N+](=O)[O-])c1 ZINC000413506254 233086181 /nfs/dbraw/zinc/08/61/81/233086181.db2.gz CVOFVVKOPDEVMX-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000413442456 233073944 /nfs/dbraw/zinc/07/39/44/233073944.db2.gz ADULBLBLWPKGRI-VWYCJHECSA-N 0 0 264.329 2.720 20 5 CFBDRN COc1cc(N2CCC3(CCOC3)CC2)ccc1[N+](=O)[O-] ZINC000589598260 348840846 /nfs/dbraw/zinc/84/08/46/348840846.db2.gz GQCMNXFXVLZMMD-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN CC[C@@H](NCc1cn(C)cn1)c1cccc([N+](=O)[O-])c1 ZINC000588924867 348803770 /nfs/dbraw/zinc/80/37/70/348803770.db2.gz MJCPJYBJWQIKDI-CQSZACIVSA-N 0 0 274.324 2.569 20 5 CFBDRN Cc1cc(N2CCCOC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000589689285 348850550 /nfs/dbraw/zinc/85/05/50/348850550.db2.gz AAFZFKSXQOBIAR-SNVBAGLBSA-N 0 0 268.288 2.658 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCC[C@H](CO)C2)n1 ZINC000413606213 233103055 /nfs/dbraw/zinc/10/30/55/233103055.db2.gz KXQLCADVGUFPAJ-RYUDHWBXSA-N 0 0 279.340 2.570 20 5 CFBDRN CSc1cccc(C(=O)N2CC(C3CC3)C2)c1[N+](=O)[O-] ZINC000589724670 348853785 /nfs/dbraw/zinc/85/37/85/348853785.db2.gz OCCQMQWZLWIBAN-UHFFFAOYSA-N 0 0 292.360 2.799 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCc2cccnc21 ZINC000589829472 348858246 /nfs/dbraw/zinc/85/82/46/348858246.db2.gz KFOOZOKSXMFPSJ-CYBMUJFWSA-N 0 0 283.287 2.658 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@]2(CCOC2)C1 ZINC000589864158 348861957 /nfs/dbraw/zinc/86/19/57/348861957.db2.gz CKKZTTTWUDYIND-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN C[C@H]1CCC[C@]1(O)CNc1sccc1[N+](=O)[O-] ZINC000590146868 348878292 /nfs/dbraw/zinc/87/82/92/348878292.db2.gz KZZOVWTVMMRWNO-KWQFWETISA-N 0 0 256.327 2.619 20 5 CFBDRN CCc1nocc1CN(C)c1sccc1[N+](=O)[O-] ZINC000590146065 348878570 /nfs/dbraw/zinc/87/85/70/348878570.db2.gz ZJGQNKZSKZGLDU-UHFFFAOYSA-N 0 0 267.310 2.843 20 5 CFBDRN Cc1ccc(N[C@@H]2CCCC(F)(F)[C@H]2O)c([N+](=O)[O-])c1 ZINC000413579509 233098519 /nfs/dbraw/zinc/09/85/19/233098519.db2.gz OSXFJIGNHDVRMZ-PWSUYJOCSA-N 0 0 286.278 2.864 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000590774801 348947562 /nfs/dbraw/zinc/94/75/62/348947562.db2.gz IPAGEHXEEYDIJX-KYOSRNDESA-N 0 0 288.347 2.957 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]([C@@H](CO)C1CC1)C1CC1 ZINC000590719231 348935703 /nfs/dbraw/zinc/93/57/03/348935703.db2.gz FBBLSYQPDXHTIZ-JQWIXIFHSA-N 0 0 282.365 2.865 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])s1)[C@@H](CO)CC(C)(C)C ZINC000590724410 348937273 /nfs/dbraw/zinc/93/72/73/348937273.db2.gz JFECVXKFVPDDMB-SECBINFHSA-N 0 0 272.370 2.890 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@@]2(CCOC2)C1 ZINC000590730308 348938857 /nfs/dbraw/zinc/93/88/57/348938857.db2.gz OIEAKZBEMBCKFR-GFCCVEGCSA-N 0 0 268.338 2.663 20 5 CFBDRN Cc1c(C(=O)NC/C=C/c2cccnc2)cccc1[N+](=O)[O-] ZINC000590396865 348898282 /nfs/dbraw/zinc/89/82/82/348898282.db2.gz GBTAGUGKRUBXPQ-GQCTYLIASA-N 0 0 297.314 2.741 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC/C=C\c1cccnc1 ZINC000590397777 348898931 /nfs/dbraw/zinc/89/89/31/348898931.db2.gz YCNSQXKAPFNHGA-HYXAFXHYSA-N 0 0 297.314 2.741 20 5 CFBDRN Cc1nc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])co1 ZINC000590455184 348901461 /nfs/dbraw/zinc/90/14/61/348901461.db2.gz UGXKFSQAHXJLAF-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000590455140 348901527 /nfs/dbraw/zinc/90/15/27/348901527.db2.gz QARFKGXJCOCJER-NXEZZACHSA-N 0 0 262.309 2.602 20 5 CFBDRN CC(F)(F)CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000590504385 348906652 /nfs/dbraw/zinc/90/66/52/348906652.db2.gz KLWKKMGGVCHSJC-UHFFFAOYSA-N 0 0 295.245 2.528 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC/C=C/c1cccnc1 ZINC000590565880 348908989 /nfs/dbraw/zinc/90/89/89/348908989.db2.gz SAGRACGNQAIHNM-QPJJXVBHSA-N 0 0 297.314 2.741 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCCOC1CCCC1 ZINC000590574753 348910581 /nfs/dbraw/zinc/91/05/81/348910581.db2.gz BMCJARGNZRPWFT-UHFFFAOYSA-N 0 0 299.758 2.826 20 5 CFBDRN Nc1ccc(N2CCC[C@@H]2c2ccccc2)nc1[N+](=O)[O-] ZINC000590572382 348910649 /nfs/dbraw/zinc/91/06/49/348910649.db2.gz KDISNSMNQFLOTG-CYBMUJFWSA-N 0 0 284.319 2.914 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000590608844 348915029 /nfs/dbraw/zinc/91/50/29/348915029.db2.gz SZANTCBLMDQAAN-JTQLQIEISA-N 0 0 285.250 2.775 20 5 CFBDRN COc1cc(N(C)CCOCC2CC2)c([N+](=O)[O-])cc1F ZINC000129022229 187405640 /nfs/dbraw/zinc/40/56/40/187405640.db2.gz QHJVEVWLWVMECZ-UHFFFAOYSA-N 0 0 298.314 2.605 20 5 CFBDRN Cc1ccc(CN2CCc3ccc([N+](=O)[O-])cc32)nc1 ZINC000591027422 348980591 /nfs/dbraw/zinc/98/05/91/348980591.db2.gz AXMVUWIQYVQPSQ-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1c1ncc([N+](=O)[O-])cc1F ZINC000591148368 348992870 /nfs/dbraw/zinc/99/28/70/348992870.db2.gz BQQZAANGCKBXSZ-DTWKUNHWSA-N 0 0 253.277 2.754 20 5 CFBDRN CO[C@]1(C)C[C@@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000591150875 348993109 /nfs/dbraw/zinc/99/31/09/348993109.db2.gz MFWOGDCPDMEDJK-BXUZGUMPSA-N 0 0 297.330 2.769 20 5 CFBDRN CO[C@@]1(C)C[C@@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000591150877 348993319 /nfs/dbraw/zinc/99/33/19/348993319.db2.gz MFWOGDCPDMEDJK-RISCZKNCSA-N 0 0 297.330 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOCC3(CCCC3)C2)c(F)c1 ZINC000591155713 348993756 /nfs/dbraw/zinc/99/37/56/348993756.db2.gz DHHZKCYCEBZJQP-UHFFFAOYSA-N 0 0 295.314 2.526 20 5 CFBDRN C[C@H]1CCCCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000591155731 348993778 /nfs/dbraw/zinc/99/37/78/348993778.db2.gz GWWPZUDAMVGRIF-VIFPVBQESA-N 0 0 253.277 2.755 20 5 CFBDRN CCn1ccc(CN(C)c2ncc([N+](=O)[O-])cc2F)c1 ZINC000591157698 348994475 /nfs/dbraw/zinc/99/44/75/348994475.db2.gz ODYBUMMTHUTPJN-UHFFFAOYSA-N 0 0 278.287 2.587 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CC23CCCC3)c(F)c1 ZINC000591158374 348994556 /nfs/dbraw/zinc/99/45/56/348994556.db2.gz ABKQWJKATZFHNS-JTQLQIEISA-N 0 0 251.261 2.874 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2F)[C@@H]1[C@@H]1CCCO1 ZINC000591161089 348995632 /nfs/dbraw/zinc/99/56/32/348995632.db2.gz VXQPREUOZSPCRF-NWDGAFQWSA-N 0 0 295.314 2.523 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000591181314 348997901 /nfs/dbraw/zinc/99/79/01/348997901.db2.gz DEQUYFUARCEGBE-JSGCOSHPSA-N 0 0 279.340 2.516 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C)(C)C[C@@H]1C ZINC000591197171 348999321 /nfs/dbraw/zinc/99/93/21/348999321.db2.gz HJIMKXZJEITBEA-VIFPVBQESA-N 0 0 266.345 2.744 20 5 CFBDRN CC(C)(CNc1cccnc1[N+](=O)[O-])c1cccnc1 ZINC000591199865 348999843 /nfs/dbraw/zinc/99/98/43/348999843.db2.gz IWLKDZKCPVDMGI-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN Nc1c(F)c(N2CC[C@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000591204104 348999992 /nfs/dbraw/zinc/99/99/92/348999992.db2.gz DGFDTPDPQJAKAV-JTQLQIEISA-N 0 0 279.315 2.943 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](C3CCC3)C2)s1 ZINC000591204470 349000578 /nfs/dbraw/zinc/00/05/78/349000578.db2.gz UWLASDOFDISPIA-VIFPVBQESA-N 0 0 253.327 2.678 20 5 CFBDRN CC(C)c1ncsc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000590879354 348961312 /nfs/dbraw/zinc/96/13/12/348961312.db2.gz HGGZNBFVDHRLFV-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000129257188 187420484 /nfs/dbraw/zinc/42/04/84/187420484.db2.gz BYNGQCCOXCVBJJ-GHMZBOCLSA-N 0 0 270.716 2.820 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)[C@@H](C)[C@H]1C ZINC000591368979 349012482 /nfs/dbraw/zinc/01/24/82/349012482.db2.gz CKQOCZTYIIWJKV-VGMNWLOBSA-N 0 0 267.304 2.797 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000591369164 349013269 /nfs/dbraw/zinc/01/32/69/349013269.db2.gz XKXXKYUEQAJWRU-KXUCPTDWSA-N 0 0 274.324 2.952 20 5 CFBDRN CCOC(=O)Cc1ccc(NCC2CCC2)c([N+](=O)[O-])c1 ZINC000591895432 349055861 /nfs/dbraw/zinc/05/58/61/349055861.db2.gz ZASCCJGINNHFSO-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1nccc(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)n1 ZINC000591898331 349056227 /nfs/dbraw/zinc/05/62/27/349056227.db2.gz HAVUCEDKDGZVMB-UHFFFAOYSA-N 0 0 299.334 2.579 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(-c2nc([C@@H]3CCCOC3)no2)c1 ZINC000591652074 349036114 /nfs/dbraw/zinc/03/61/14/349036114.db2.gz IMRJCVHOLLGKCY-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000591981064 349069039 /nfs/dbraw/zinc/06/90/39/349069039.db2.gz KVDHSVINASTRKK-DTWKUNHWSA-N 0 0 298.368 2.513 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@@H]([C@@H]2CCCC2(C)C)C1 ZINC000591981469 349069109 /nfs/dbraw/zinc/06/91/09/349069109.db2.gz AFYHHSKSXXXUAY-NEPJUHHUSA-N 0 0 292.383 2.981 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000591983682 349069742 /nfs/dbraw/zinc/06/97/42/349069742.db2.gz WSGBYVLFJMVSPG-PWSUYJOCSA-N 0 0 252.314 2.804 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000591984162 349069792 /nfs/dbraw/zinc/06/97/92/349069792.db2.gz YMJVTVZTZBHYLT-WCBMZHEXSA-N 0 0 287.747 2.852 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000591983683 349069815 /nfs/dbraw/zinc/06/98/15/349069815.db2.gz WSGBYVLFJMVSPG-ZYHUDNBSSA-N 0 0 252.314 2.804 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)C(=O)OC(C)(C)C ZINC000591990926 349071313 /nfs/dbraw/zinc/07/13/13/349071313.db2.gz AXHGAWBCYLICIM-JTQLQIEISA-N 0 0 295.339 2.830 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(=O)OC(C)(C)C ZINC000591991319 349071332 /nfs/dbraw/zinc/07/13/32/349071332.db2.gz DLOZMTWTSOKNNE-JTQLQIEISA-N 0 0 295.339 2.830 20 5 CFBDRN CCCc1nc(COc2ccc([N+](=O)[O-])c(OC)c2)no1 ZINC000592349326 349106842 /nfs/dbraw/zinc/10/68/42/349106842.db2.gz UCNHESOSWPOIMN-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000592003373 349074181 /nfs/dbraw/zinc/07/41/81/349074181.db2.gz OIAKUHCVMUYZPC-NOZJJQNGSA-N 0 0 289.360 2.871 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CCS[C@H]2C)ccc1[N+](=O)[O-] ZINC000592242031 349103270 /nfs/dbraw/zinc/10/32/70/349103270.db2.gz CGYLSNXZAXDEHG-ONGXEEELSA-N 0 0 295.364 2.919 20 5 CFBDRN CC(=O)c1ccc(OCCC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000592348018 349106173 /nfs/dbraw/zinc/10/61/73/349106173.db2.gz BFRJALSHYLUMTC-LBPRGKRZSA-N 0 0 293.319 2.993 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@H]1CCCOCC1)CCCC2 ZINC000591928891 349061442 /nfs/dbraw/zinc/06/14/42/349061442.db2.gz XQDGYIGWEYKSAP-LBPRGKRZSA-N 0 0 291.351 2.850 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCCC[C@@H]1CCOC1 ZINC000592348438 349106225 /nfs/dbraw/zinc/10/62/25/349106225.db2.gz LCPUNOCFCAZGLG-SNVBAGLBSA-N 0 0 269.272 2.929 20 5 CFBDRN O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1CC1=CCCC1 ZINC000592345070 349106249 /nfs/dbraw/zinc/10/62/49/349106249.db2.gz MSPCWNMAGQYPHI-UHFFFAOYSA-N 0 0 297.314 2.929 20 5 CFBDRN CC(C)OCCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000591931107 349062405 /nfs/dbraw/zinc/06/24/05/349062405.db2.gz UTFBWKVSAQZOHD-UHFFFAOYSA-N 0 0 279.340 2.706 20 5 CFBDRN CO[C@H](CC(C)C)Cn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592348104 349106310 /nfs/dbraw/zinc/10/63/10/349106310.db2.gz FTAIIALMOGJCRJ-SNVBAGLBSA-N 0 0 294.307 2.564 20 5 CFBDRN O=c1oc(-c2ccc([N+](=O)[O-])cc2)nn1CC1=CCCC1 ZINC000592348107 349106367 /nfs/dbraw/zinc/10/63/67/349106367.db2.gz GGYJNRPNWJGOQS-UHFFFAOYSA-N 0 0 287.275 2.522 20 5 CFBDRN CC[C@@H](CNc1ccc([N+](=O)[O-])c(C)c1)C(=O)OC ZINC000591975041 349067612 /nfs/dbraw/zinc/06/76/12/349067612.db2.gz MNCPKOCJXJFGQZ-JTQLQIEISA-N 0 0 266.297 2.514 20 5 CFBDRN CC[C@@H](CNc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OC ZINC000591976004 349068262 /nfs/dbraw/zinc/06/82/62/349068262.db2.gz QMGDKWZFMUQAHK-QMMMGPOBSA-N 0 0 286.715 2.859 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CCC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000592664879 349147203 /nfs/dbraw/zinc/14/72/03/349147203.db2.gz KWJIWSRJSFIEGN-VHSXEESVSA-N 0 0 296.298 2.614 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000592664857 349147501 /nfs/dbraw/zinc/14/75/01/349147501.db2.gz IOMWBHGEKOWHDL-UWVGGRQHSA-N 0 0 296.298 2.614 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H](C)CC(F)F ZINC000592671947 349149425 /nfs/dbraw/zinc/14/94/25/349149425.db2.gz KXYKVAUUJJEYQV-MRVPVSSYSA-N 0 0 286.278 2.924 20 5 CFBDRN C[C@H](CNC(=O)Cc1cccc([N+](=O)[O-])c1)CC(F)F ZINC000592673560 349149528 /nfs/dbraw/zinc/14/95/28/349149528.db2.gz XLKQFYZCZIRLKX-VIFPVBQESA-N 0 0 286.278 2.545 20 5 CFBDRN COCC1(CC(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000592616423 349143541 /nfs/dbraw/zinc/14/35/41/349143541.db2.gz KNIUDWZCKXSQRO-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(-c2nc(CC3CCCCC3)no2)n1 ZINC000273998437 192395352 /nfs/dbraw/zinc/39/53/52/192395352.db2.gz ZHZJYLSKWLGQRF-UHFFFAOYSA-N 0 0 291.311 2.501 20 5 CFBDRN O=[N+]([O-])c1cc(CO)cc(-c2ccc(N3CCCC3)nc2)c1 ZINC000592986401 349181356 /nfs/dbraw/zinc/18/13/56/349181356.db2.gz HHVCOPXABRLDMC-UHFFFAOYSA-N 0 0 299.330 2.749 20 5 CFBDRN C[C@H]1CCCCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000592998045 349183549 /nfs/dbraw/zinc/18/35/49/349183549.db2.gz OBVDYFYCGZCMJU-JTQLQIEISA-N 0 0 280.299 2.677 20 5 CFBDRN O=C(NCC1CCC(F)CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000592723358 349158126 /nfs/dbraw/zinc/15/81/26/349158126.db2.gz XOABFYOEAGFQBR-UHFFFAOYSA-N 0 0 298.289 2.992 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000592732573 349158490 /nfs/dbraw/zinc/15/84/90/349158490.db2.gz KJYKOLAGOQKFIR-SDDRHHMPSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000592733570 349158525 /nfs/dbraw/zinc/15/85/25/349158525.db2.gz WLVCZSPRKRMKKI-WCFLWFBJSA-N 0 0 274.320 2.822 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1CCCCO1 ZINC000592828590 349169382 /nfs/dbraw/zinc/16/93/82/349169382.db2.gz WYORCIUWUFBEEE-LLVKDONJSA-N 0 0 293.323 2.534 20 5 CFBDRN C[C@@H](C(=O)NC1(CF)CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000592901327 349175915 /nfs/dbraw/zinc/17/59/15/349175915.db2.gz AAOHJWJTTZSEBX-SECBINFHSA-N 0 0 298.289 2.846 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593111740 349211062 /nfs/dbraw/zinc/21/10/62/349211062.db2.gz IBMANVBDRQYBOZ-LBPRGKRZSA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@H]1CCCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593137103 349218843 /nfs/dbraw/zinc/21/88/43/349218843.db2.gz DAUURNLBEXKNGZ-NSHDSACASA-N 0 0 294.326 2.925 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)c1ccc([N+](=O)[O-])nc1 ZINC000593142489 349219553 /nfs/dbraw/zinc/21/95/53/349219553.db2.gz AOCYWRDCFVCDBE-NTZNESFSSA-N 0 0 287.319 2.555 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000593176409 349223959 /nfs/dbraw/zinc/22/39/59/349223959.db2.gz GPRMUXXBMXHTMX-GHMZBOCLSA-N 0 0 294.326 2.923 20 5 CFBDRN CCC[C@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000089471429 179799131 /nfs/dbraw/zinc/79/91/31/179799131.db2.gz FHVOARBKBUCAKA-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CCC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)CCO1 ZINC000089472751 179799627 /nfs/dbraw/zinc/79/96/27/179799627.db2.gz ZDFHMVJHTSWZTH-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])[C@H](CC)CO1 ZINC000593258913 349236965 /nfs/dbraw/zinc/23/69/65/349236965.db2.gz VFVOVXSJEQXYOV-VXGBXAGGSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)[C@H](C)CO1 ZINC000593064494 349199183 /nfs/dbraw/zinc/19/91/83/349199183.db2.gz ZHQKNLCAEZDNOH-RISCZKNCSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)[C@H](C)CO1 ZINC000593065226 349200265 /nfs/dbraw/zinc/20/02/65/349200265.db2.gz ZYGDAMZGCXXZMF-RISCZKNCSA-N 0 0 294.351 2.603 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCCCC1CC1 ZINC000593065862 349200601 /nfs/dbraw/zinc/20/06/01/349200601.db2.gz ILENRYOAPZVAOH-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH2+]C1(C2CCC2)CC1 ZINC000593079623 349202836 /nfs/dbraw/zinc/20/28/36/349202836.db2.gz GEYHAKVILBHNMK-UHFFFAOYSA-N 0 0 262.309 2.723 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593078602 349202880 /nfs/dbraw/zinc/20/28/80/349202880.db2.gz BPEMOLMZLMKAAW-WDEREUQCSA-N 0 0 294.326 2.923 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1C[C@H]2CCC[C@@H]2C1 ZINC000593089048 349205556 /nfs/dbraw/zinc/20/55/56/349205556.db2.gz ONVHDRJAPDDMNK-VXGBXAGGSA-N 0 0 292.310 2.535 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCC1(CO)CCC1)CCN2 ZINC000593510729 349287880 /nfs/dbraw/zinc/28/78/80/349287880.db2.gz BQHNXEXUIGSWJK-UHFFFAOYSA-N 0 0 291.351 2.527 20 5 CFBDRN COc1cc(NCCC2(CO)CCC2)c(F)cc1[N+](=O)[O-] ZINC000593512679 349288047 /nfs/dbraw/zinc/28/80/47/349288047.db2.gz RXMAIQPIEGHHOV-UHFFFAOYSA-N 0 0 298.314 2.707 20 5 CFBDRN C[C@@H](CCO)N(C)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000593517733 349288707 /nfs/dbraw/zinc/28/87/07/349288707.db2.gz DXVOOSDVAGDTCL-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN Cc1ccnc(N2CC[C@@]3(CC3(F)F)C2)c1[N+](=O)[O-] ZINC000593521089 349289337 /nfs/dbraw/zinc/28/93/37/349289337.db2.gz JWSBCMJBBUZIDZ-LLVKDONJSA-N 0 0 269.251 2.534 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593284897 349241717 /nfs/dbraw/zinc/24/17/17/349241717.db2.gz PEGBVOSNGODDKG-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593615642 349299870 /nfs/dbraw/zinc/29/98/70/349299870.db2.gz CFKUXFGBXINPEA-ZWNOBZJWSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@]3(CC3(F)F)C2)cc1[N+](=O)[O-] ZINC000593354619 349251476 /nfs/dbraw/zinc/25/14/76/349251476.db2.gz ABKSMJHDUQEPQF-ZDUSSCGKSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1ccc(OCc2cc3n(n2)CCC3)c([N+](=O)[O-])c1 ZINC000593421196 349265641 /nfs/dbraw/zinc/26/56/41/349265641.db2.gz UMEMBDXIKUTLNX-UHFFFAOYSA-N 0 0 273.292 2.625 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461823 349275434 /nfs/dbraw/zinc/27/54/34/349275434.db2.gz PGRJKPKRDIZBDX-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H](CNc1ccnc2cc([N+](=O)[O-])ccc21)C[C@H](C)O ZINC000593461824 349275666 /nfs/dbraw/zinc/27/56/66/349275666.db2.gz PGRJKPKRDIZBDX-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@H]1OCCN(c2ccnc3cc([N+](=O)[O-])ccc32)[C@@H]1C ZINC000593463748 349275970 /nfs/dbraw/zinc/27/59/70/349275970.db2.gz DXYBYMLDZPTUOZ-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463180 349276113 /nfs/dbraw/zinc/27/61/13/349276113.db2.gz OWEZGCWIHJUDCJ-MEBBXXQBSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@@]2(CCOC2)C1 ZINC000593465050 349276191 /nfs/dbraw/zinc/27/61/91/349276191.db2.gz NQGLXOHSJWDDGJ-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccnc3cc([N+](=O)[O-])ccc32)CO1 ZINC000593479055 349279120 /nfs/dbraw/zinc/27/91/20/349279120.db2.gz VGPFWDIATUYFHI-NXEZZACHSA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC34CCCC4)nc2c1 ZINC000593483224 349280173 /nfs/dbraw/zinc/28/01/73/349280173.db2.gz FGTOAHWYPXMLJM-UHFFFAOYSA-N 0 0 272.308 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]2(CO)CCc3ccccc32)cc1 ZINC000593483871 349280492 /nfs/dbraw/zinc/28/04/92/349280492.db2.gz KGQOEXRCLMJAOA-KRWDZBQOSA-N 0 0 298.342 2.883 20 5 CFBDRN CC(C)O[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000593486667 349281630 /nfs/dbraw/zinc/28/16/30/349281630.db2.gz FCNCAVJOXWOJKG-SNVBAGLBSA-N 0 0 297.330 2.710 20 5 CFBDRN COc1cc(N2CCCC(F)(F)C2)c(F)cc1[N+](=O)[O-] ZINC000593487161 349282452 /nfs/dbraw/zinc/28/24/52/349282452.db2.gz MYEWXGMUYLQUTB-UHFFFAOYSA-N 0 0 290.241 2.978 20 5 CFBDRN CCN(c1cc(C)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000593494906 349284628 /nfs/dbraw/zinc/28/46/28/349284628.db2.gz BKOKCFLNHVABHM-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN CCN1C[C@H](CNc2ccc(Cl)cc2[N+](=O)[O-])CC1=O ZINC000593498412 349284933 /nfs/dbraw/zinc/28/49/33/349284933.db2.gz ARUCDJPPJQSKNG-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cn2)CCc2ccccc21 ZINC000593503913 349285747 /nfs/dbraw/zinc/28/57/47/349285747.db2.gz MKQYZSXTCISLFV-LLVKDONJSA-N 0 0 284.319 2.551 20 5 CFBDRN C[C@H](CO)C1CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000593503526 349285997 /nfs/dbraw/zinc/28/59/97/349285997.db2.gz AQIUESYJLWTRQW-SECBINFHSA-N 0 0 270.354 2.501 20 5 CFBDRN C[C@H](CO)C1CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000593505710 349286335 /nfs/dbraw/zinc/28/63/35/349286335.db2.gz NENNRTFOUKEKLB-SECBINFHSA-N 0 0 270.354 2.501 20 5 CFBDRN COc1cc(N[C@H](C)COCC2CC2)c(F)cc1[N+](=O)[O-] ZINC000593508331 349287253 /nfs/dbraw/zinc/28/72/53/349287253.db2.gz OABIQJCOULJKML-SECBINFHSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cccc(C(=O)NC2CC3(CCC3)C2)c1[N+](=O)[O-] ZINC000593886310 349332713 /nfs/dbraw/zinc/33/27/13/349332713.db2.gz VWEYOLYTJUZEJQ-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@H](F)C1 ZINC000593893497 349333287 /nfs/dbraw/zinc/33/32/87/349333287.db2.gz JKYQUJFCQNFLPO-VIFPVBQESA-N 0 0 291.282 2.650 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N1CCC[C@@H](F)C1 ZINC000593893538 349333489 /nfs/dbraw/zinc/33/34/89/349333489.db2.gz JZHFDHRPNCXMHB-SNVBAGLBSA-N 0 0 298.339 2.647 20 5 CFBDRN CC1=C(C)CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593678985 349308726 /nfs/dbraw/zinc/30/87/26/349308726.db2.gz UANLQASTRFCFRL-UHFFFAOYSA-N 0 0 292.310 2.845 20 5 CFBDRN C[C@@H](O)CCN[C@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000593708745 349313292 /nfs/dbraw/zinc/31/32/92/349313292.db2.gz OXFNEEWICHZHSE-LDYMZIIASA-N 0 0 292.257 2.559 20 5 CFBDRN C[N@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])C(C)(C)C ZINC000593733511 349316181 /nfs/dbraw/zinc/31/61/81/349316181.db2.gz VXLIOPLOIUEXMA-UHFFFAOYSA-N 0 0 266.297 2.523 20 5 CFBDRN CC(C)[C@H](C)[N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593732198 349316266 /nfs/dbraw/zinc/31/62/66/349316266.db2.gz KHDAGBZMAXSYHN-JTQLQIEISA-N 0 0 280.324 2.769 20 5 CFBDRN CC[C@H](NC(=O)c1ccccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000594183205 349391537 /nfs/dbraw/zinc/39/15/37/349391537.db2.gz VEDMDHJLWPOKDP-GXTWGEPZSA-N 0 0 292.335 2.672 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)[C@@H]1CCCCO1 ZINC000594189226 349392097 /nfs/dbraw/zinc/39/20/97/349392097.db2.gz UTWTXZIFBZKEGT-ZJUUUORDSA-N 0 0 298.364 2.734 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2NCc1cscn1 ZINC000594493038 349429105 /nfs/dbraw/zinc/42/91/05/349429105.db2.gz DQTUTAKAAAJPRN-LBPRGKRZSA-N 0 0 275.333 2.828 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000596972279 350009684 /nfs/dbraw/zinc/00/96/84/350009684.db2.gz UZXLVHSUOIVYCI-LBPRGKRZSA-N 0 0 262.309 2.647 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])Nc1ccc(O)cc1 ZINC000206716306 539742341 /nfs/dbraw/zinc/74/23/41/539742341.db2.gz KHRKIEGSPPJZHI-YHYXMXQVSA-N 0 0 284.271 2.952 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597092493 350029120 /nfs/dbraw/zinc/02/91/20/350029120.db2.gz NIAPUPGUWXKLNI-HTRCEHHLSA-N 0 0 298.730 2.750 20 5 CFBDRN CC1(CNC(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2N)CC1 ZINC000597103962 350029849 /nfs/dbraw/zinc/02/98/49/350029849.db2.gz NWMULGZEBYQQNJ-UHFFFAOYSA-N 0 0 298.730 2.752 20 5 CFBDRN Nc1c(NC(=O)NC2CCCC2)cc(Cl)cc1[N+](=O)[O-] ZINC000597094581 350029975 /nfs/dbraw/zinc/02/99/75/350029975.db2.gz NQKOJCHWQSVVDC-UHFFFAOYSA-N 0 0 298.730 2.895 20 5 CFBDRN CC1(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)CC=CC1 ZINC000594383092 349413495 /nfs/dbraw/zinc/41/34/95/349413495.db2.gz ZDYQSJIMLSJVOU-UHFFFAOYSA-N 0 0 285.303 2.915 20 5 CFBDRN O=C(CCCF)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000597283593 350079087 /nfs/dbraw/zinc/07/90/87/350079087.db2.gz YUPPWDMAQQPPAE-UHFFFAOYSA-N 0 0 282.315 2.783 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000597492185 350104029 /nfs/dbraw/zinc/10/40/29/350104029.db2.gz OZOVURSDXGWANZ-MVWJERBFSA-N 0 0 290.319 2.978 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000597492599 350104043 /nfs/dbraw/zinc/10/40/43/350104043.db2.gz SOYYDFQOTHJOFI-JTQLQIEISA-N 0 0 278.308 2.978 20 5 CFBDRN Cc1cnc(NCCOc2ccccc2)c([N+](=O)[O-])c1 ZINC000090692227 180024617 /nfs/dbraw/zinc/02/46/17/180024617.db2.gz XKQBHPYYXOUGLM-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN Cc1nn(C)c(C(=O)NCCCCC(C)(C)C)c1[N+](=O)[O-] ZINC000104441627 186003029 /nfs/dbraw/zinc/00/30/29/186003029.db2.gz FYDLQBINZSQFOE-UHFFFAOYSA-N 0 0 296.371 2.583 20 5 CFBDRN CCn1ccnc1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000597666802 350122297 /nfs/dbraw/zinc/12/22/97/350122297.db2.gz NLIOOKLLBPIZEC-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCC[C@@H](O)CC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000597669097 350123070 /nfs/dbraw/zinc/12/30/70/350123070.db2.gz QARRDHFGOPVBQP-LLVKDONJSA-N 0 0 280.324 2.701 20 5 CFBDRN O=C(Nc1cncc2c1CCCC2)c1ccc([N+](=O)[O-])cn1 ZINC000597869419 350146928 /nfs/dbraw/zinc/14/69/28/350146928.db2.gz HCWCTQWJUJBUFP-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCC2)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000597880938 350147893 /nfs/dbraw/zinc/14/78/93/350147893.db2.gz FMMKMALVRDHTJI-VFZGTOFNSA-N 0 0 285.303 2.749 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000597752637 350131118 /nfs/dbraw/zinc/13/11/18/350131118.db2.gz FWQROHHYRDJURX-VIFPVBQESA-N 0 0 277.324 2.580 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000597752636 350131444 /nfs/dbraw/zinc/13/14/44/350131444.db2.gz FWQROHHYRDJURX-SECBINFHSA-N 0 0 277.324 2.580 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000104612751 186010202 /nfs/dbraw/zinc/01/02/02/186010202.db2.gz JQWCJSDKEZXCAH-ZYHUDNBSSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000104620218 186011500 /nfs/dbraw/zinc/01/15/00/186011500.db2.gz UYKZDMJEFFKIGQ-GWCFXTLKSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000597853942 350142995 /nfs/dbraw/zinc/14/29/95/350142995.db2.gz FESHJDIZKGKIAB-LLVKDONJSA-N 0 0 280.324 2.621 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000597855141 350143232 /nfs/dbraw/zinc/14/32/32/350143232.db2.gz ISMXLOXTDWIVMJ-SECBINFHSA-N 0 0 282.344 2.702 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000597856948 350143682 /nfs/dbraw/zinc/14/36/82/350143682.db2.gz RSYVVXMMUYIYLY-LLVKDONJSA-N 0 0 279.340 2.654 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)c1cc([O-])cc([N+](=O)[O-])c1 ZINC000597950651 350161201 /nfs/dbraw/zinc/16/12/01/350161201.db2.gz CEHULRNSXXRLAO-VIFPVBQESA-N 0 0 280.324 2.855 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271274715 191042703 /nfs/dbraw/zinc/04/27/03/191042703.db2.gz BGDNJDXCPXXMAT-GHMZBOCLSA-N 0 0 250.298 2.822 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000597978321 350171052 /nfs/dbraw/zinc/17/10/52/350171052.db2.gz QDVXXQMOQNLMPG-JTQLQIEISA-N 0 0 280.324 2.769 20 5 CFBDRN C[C@@H]1CC(CNC(=O)c2ccc([N+](=O)[O-])o2)C[C@@H](C)C1 ZINC000597981063 350171761 /nfs/dbraw/zinc/17/17/61/350171761.db2.gz XMJBJKSPYAYKSG-UWVGGRQHSA-N 0 0 280.324 2.990 20 5 CFBDRN Cc1ncsc1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000104769501 186022029 /nfs/dbraw/zinc/02/20/29/186022029.db2.gz NSJCZBMQJBHJIX-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000597976029 350169423 /nfs/dbraw/zinc/16/94/23/350169423.db2.gz RDTAZEPAOBLTCR-MRVPVSSYSA-N 0 0 256.327 2.822 20 5 CFBDRN CC[C@@H](C)CCNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000597975957 350169450 /nfs/dbraw/zinc/16/94/50/350169450.db2.gz OVNIDOVIKOJWPG-LLVKDONJSA-N 0 0 280.324 2.526 20 5 CFBDRN Cc1nsc(N[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000271303437 191062405 /nfs/dbraw/zinc/06/24/05/191062405.db2.gz KSTMFYASQWSFQE-SSDOTTSWSA-N 0 0 264.310 2.928 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OCCC1OCCCO1 ZINC000091466706 180164255 /nfs/dbraw/zinc/16/42/55/180164255.db2.gz VUWPGSMAFFULPE-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN COc1cc(N[C@H](C)[C@@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000091427424 180152007 /nfs/dbraw/zinc/15/20/07/180152007.db2.gz RBJODONIBPMFKX-PELKAZGASA-N 0 0 284.287 2.722 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1CCCC1 ZINC000091557102 180190976 /nfs/dbraw/zinc/19/09/76/180190976.db2.gz JTKFHYLUDHQYNP-UHFFFAOYSA-N 0 0 252.318 2.722 20 5 CFBDRN CCc1nn(C)c(N[C@H](C)CCc2ccco2)c1[N+](=O)[O-] ZINC000091560255 180191492 /nfs/dbraw/zinc/19/14/92/180191492.db2.gz KXWURRCSLZVPDN-SNVBAGLBSA-N 0 0 292.339 2.917 20 5 CFBDRN C[C@@]1(CCNC(=O)c2ccccc2[N+](=O)[O-])CC1(F)F ZINC000598214114 350216162 /nfs/dbraw/zinc/21/61/62/350216162.db2.gz BWAMVTVTQUIQDC-GFCCVEGCSA-N 0 0 284.262 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@]2(C)CC2(F)F)c1 ZINC000598217875 350217880 /nfs/dbraw/zinc/21/78/80/350217880.db2.gz XMTQQFXCFMNECO-GFCCVEGCSA-N 0 0 284.262 2.678 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000414748380 233342383 /nfs/dbraw/zinc/34/23/83/233342383.db2.gz UKYVRWUHDWNPLO-ZDUSSCGKSA-N 0 0 280.324 2.619 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](CCF)C1 ZINC000598222387 350220144 /nfs/dbraw/zinc/22/01/44/350220144.db2.gz YZSMADKAIGJMPB-NSHDSACASA-N 0 0 295.314 2.510 20 5 CFBDRN CC[C@H](NC(=O)Cc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000598232504 350221531 /nfs/dbraw/zinc/22/15/31/350221531.db2.gz GLSDMQRDDGXEIY-ZDUSSCGKSA-N 0 0 276.336 2.751 20 5 CFBDRN CC[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CC1 ZINC000598235016 350222359 /nfs/dbraw/zinc/22/23/59/350222359.db2.gz VOZOKMBLYUUUAG-LLVKDONJSA-N 0 0 287.319 2.995 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000598234094 350222402 /nfs/dbraw/zinc/22/24/02/350222402.db2.gz QTPWBEUHQVMFSA-GFCCVEGCSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1CCC[C@@H](C)O1 ZINC000598211411 350215061 /nfs/dbraw/zinc/21/50/61/350215061.db2.gz FCHHTVKHUSDWRO-VXGBXAGGSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000598299191 350229028 /nfs/dbraw/zinc/22/90/28/350229028.db2.gz FFCYAKVWWIPRMG-GHMZBOCLSA-N 0 0 277.324 2.641 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])cn1 ZINC000598303899 350229976 /nfs/dbraw/zinc/22/99/76/350229976.db2.gz CLESJPNQHZMMKW-ZIAGYGMSSA-N 0 0 297.314 2.666 20 5 CFBDRN CCSC1(CNC(=O)c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000598334527 350238236 /nfs/dbraw/zinc/23/82/36/350238236.db2.gz GCWJOZYEYSOJSU-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H]2C(C)(C)C2(F)F)cc1[N+](=O)[O-] ZINC000598339673 350240052 /nfs/dbraw/zinc/24/00/52/350240052.db2.gz BZEKLKRSLAPYQZ-NSHDSACASA-N 0 0 298.289 2.924 20 5 CFBDRN CC[C@@](C)(NC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598250611 350224455 /nfs/dbraw/zinc/22/44/55/350224455.db2.gz MFSFRWJUSAMYBK-CQSZACIVSA-N 0 0 262.309 2.903 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H](C2CCC2)C1 ZINC000598401840 350257048 /nfs/dbraw/zinc/25/70/48/350257048.db2.gz XCEOKUQMWNLXBY-LLVKDONJSA-N 0 0 278.308 2.840 20 5 CFBDRN Cc1cc(C(=O)NCC2=CCCC2)cc([N+](=O)[O-])c1 ZINC000598375330 350251387 /nfs/dbraw/zinc/25/13/87/350251387.db2.gz DXYPGSZEMCTKKG-UHFFFAOYSA-N 0 0 260.293 2.743 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])n1C)C1CCC(F)CC1 ZINC000598367338 350251643 /nfs/dbraw/zinc/25/16/43/350251643.db2.gz PVWIFBNAQQEQDC-KPPDAEKUSA-N 0 0 297.330 2.580 20 5 CFBDRN COc1c(C(=O)N[C@H](C(C)C)C2CC2)cccc1[N+](=O)[O-] ZINC000598381420 350253720 /nfs/dbraw/zinc/25/37/20/350253720.db2.gz NGBRGBNFJKCTBD-CYBMUJFWSA-N 0 0 292.335 2.768 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000598386932 350255218 /nfs/dbraw/zinc/25/52/18/350255218.db2.gz UXWSEWSBQPQTSC-CQSZACIVSA-N 0 0 294.376 2.992 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000414867985 233383809 /nfs/dbraw/zinc/38/38/09/233383809.db2.gz GXFSESMHGZXXBC-AWEZNQCLSA-N 0 0 294.351 2.614 20 5 CFBDRN COc1ccc(CSCc2noc(C)n2)cc1[N+](=O)[O-] ZINC000105629528 186065569 /nfs/dbraw/zinc/06/55/69/186065569.db2.gz KCNGVSADSCSWHA-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN CCOc1ccc(C(=O)NC2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000598502207 350277724 /nfs/dbraw/zinc/27/77/24/350277724.db2.gz KDYJTEDVKVLIOH-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccnc(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)n1 ZINC000598641698 350299629 /nfs/dbraw/zinc/29/96/29/350299629.db2.gz USTKOAGQHZLZKM-UHFFFAOYSA-N 0 0 299.334 2.579 20 5 CFBDRN CCc1nn(C)c(N2Cc3ccccc3[C@@H]2C)c1[N+](=O)[O-] ZINC000598645738 350300324 /nfs/dbraw/zinc/30/03/24/350300324.db2.gz GWWAEFOEZGBPLJ-JTQLQIEISA-N 0 0 286.335 2.972 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCC1CC(C)C1 ZINC000598652854 350303966 /nfs/dbraw/zinc/30/39/66/350303966.db2.gz JFFICICYRXIOCO-UHFFFAOYSA-N 0 0 293.323 2.771 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)CCCO1 ZINC000171047874 290894824 /nfs/dbraw/zinc/89/48/24/290894824.db2.gz GYSKZQJJEDGXQM-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN COC(=O)c1ccnc(NC[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000598590439 350287081 /nfs/dbraw/zinc/28/70/81/350287081.db2.gz YPPFXTHKFRCNBB-JTQLQIEISA-N 0 0 291.307 2.545 20 5 CFBDRN CN(C(=O)C1CCC2(CC2)CC1)c1ccc([N+](=O)[O-])nc1 ZINC000598830179 350336260 /nfs/dbraw/zinc/33/62/60/350336260.db2.gz BGLYYLYOOIVQCO-UHFFFAOYSA-N 0 0 289.335 2.923 20 5 CFBDRN CN(C(=O)[C@H]1CCCC2(CC2)C1)c1ccc([N+](=O)[O-])nc1 ZINC000598831677 350336432 /nfs/dbraw/zinc/33/64/32/350336432.db2.gz NPRFSCATSMOLGP-NSHDSACASA-N 0 0 289.335 2.923 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@]23C[C@H]2CCC3)c1 ZINC000598855214 350340517 /nfs/dbraw/zinc/34/05/17/350340517.db2.gz INHHPJGUMRGGDU-QMTHXVAHSA-N 0 0 260.293 2.576 20 5 CFBDRN CCO[C@H](C)C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598885434 350345048 /nfs/dbraw/zinc/34/50/48/350345048.db2.gz SNEYAVCPQOSNRQ-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCc3cccnc32)cn1 ZINC000598944879 350347569 /nfs/dbraw/zinc/34/75/69/350347569.db2.gz BPLRZCMIWMEWRA-NSHDSACASA-N 0 0 270.292 2.527 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000598954020 350348233 /nfs/dbraw/zinc/34/82/33/350348233.db2.gz JYSWPKGZCUEWIP-MIJXAVMKSA-N 0 0 292.335 2.901 20 5 CFBDRN CCO[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000598958695 350349500 /nfs/dbraw/zinc/34/95/00/350349500.db2.gz USKUAVNVASTPLW-ZDUSSCGKSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC1CC(C)C1 ZINC000598778846 350328499 /nfs/dbraw/zinc/32/84/99/350328499.db2.gz ZDFAKURSOSKBRE-UHFFFAOYSA-N 0 0 262.309 2.679 20 5 CFBDRN CC1CC(CNC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000598778617 350328592 /nfs/dbraw/zinc/32/85/92/350328592.db2.gz WERWDKBFRASSRU-UHFFFAOYSA-N 0 0 287.319 2.852 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CC(CC2CC2)C1 ZINC000598781756 350329134 /nfs/dbraw/zinc/32/91/34/350329134.db2.gz PWBNZPDNVZRSMU-UHFFFAOYSA-N 0 0 278.283 2.606 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC(CC2CC2)C1 ZINC000598781783 350329364 /nfs/dbraw/zinc/32/93/64/350329364.db2.gz QGMYWXXBAFFRAW-UHFFFAOYSA-N 0 0 278.283 2.606 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000598802453 350330587 /nfs/dbraw/zinc/33/05/87/350330587.db2.gz WSXCGOHROVKHBX-JLLWLGSASA-N 0 0 290.319 2.978 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CCCF ZINC000598805728 350331093 /nfs/dbraw/zinc/33/10/93/350331093.db2.gz LVXHHUDVRGSRGU-SECBINFHSA-N 0 0 266.272 2.622 20 5 CFBDRN C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCO1 ZINC000598807107 350331730 /nfs/dbraw/zinc/33/17/30/350331730.db2.gz DPYBWSMWNVDRLT-ZANVPECISA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000598822960 350334358 /nfs/dbraw/zinc/33/43/58/350334358.db2.gz MMZBWLXJKXTLGV-MXWKQRLJSA-N 0 0 275.308 2.673 20 5 CFBDRN O=[N+]([O-])c1c(NCC2(CCO)CC2)ccc2cnccc21 ZINC000599071813 350370596 /nfs/dbraw/zinc/37/05/96/350370596.db2.gz UBPUOLADMKJWOO-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN C[C@@H](Nc1cnn(CCF)c1)c1ccc([N+](=O)[O-])cc1 ZINC000599071902 350370893 /nfs/dbraw/zinc/37/08/93/350370893.db2.gz VOZHBBDAEHUFCW-SNVBAGLBSA-N 0 0 278.287 2.934 20 5 CFBDRN CC(C)(CO)CCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599084263 350373514 /nfs/dbraw/zinc/37/35/14/350373514.db2.gz VSUFWUFVFWAVKV-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@H]3CC[C@@H]2O3)ccc2cnccc21 ZINC000599096830 350375208 /nfs/dbraw/zinc/37/52/08/350375208.db2.gz WWSQUVVBRSVLQZ-HONMWMINSA-N 0 0 285.303 2.875 20 5 CFBDRN Cc1ccnc([C@@H]2CCN(c3cc(C)c([N+](=O)[O-])cn3)C2)c1 ZINC000599100288 350376052 /nfs/dbraw/zinc/37/60/52/350376052.db2.gz LXLNDQOWWZLRSQ-CYBMUJFWSA-N 0 0 298.346 2.996 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CC[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000599114893 350377375 /nfs/dbraw/zinc/37/73/75/350377375.db2.gz HZSVWZGJWMHDNH-KXUCPTDWSA-N 0 0 263.297 2.544 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC[C@@H]2CCCOC2)n1 ZINC000599117140 350378119 /nfs/dbraw/zinc/37/81/19/350378119.db2.gz OAZRZGDTXITMNP-LBPRGKRZSA-N 0 0 279.340 2.835 20 5 CFBDRN CCC[C@H](CNc1ccc([N+](=O)[O-])nc1)OCC ZINC000599118569 350378558 /nfs/dbraw/zinc/37/85/58/350378558.db2.gz KEFQBXKNGQAFQG-LLVKDONJSA-N 0 0 253.302 2.607 20 5 CFBDRN CCC[C@@H](CNc1c([N+](=O)[O-])c(C)nn1CC)OCC ZINC000599118075 350378580 /nfs/dbraw/zinc/37/85/80/350378580.db2.gz HJYDJBMJJKNPJQ-NSHDSACASA-N 0 0 284.360 2.737 20 5 CFBDRN COCCC1CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000599121429 350379626 /nfs/dbraw/zinc/37/96/26/350379626.db2.gz ZDFQVYSDYYFVSZ-UHFFFAOYSA-N 0 0 299.758 2.896 20 5 CFBDRN Cc1ccnc(S[C@H]2COC(C)(C)C2)c1[N+](=O)[O-] ZINC000599125168 350380340 /nfs/dbraw/zinc/38/03/40/350380340.db2.gz KVCONFROTNCUIM-SECBINFHSA-N 0 0 268.338 2.958 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H]2CC[C@@H](C1)S2 ZINC000599133953 350381446 /nfs/dbraw/zinc/38/14/46/350381446.db2.gz CIYAQUZLBHYWSH-MNOVXSKESA-N 0 0 296.396 2.594 20 5 CFBDRN CC1(C)OC[C@@H]2C[C@@]21Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000599143880 350384183 /nfs/dbraw/zinc/38/41/83/350384183.db2.gz YAEKWCOAIWRFIH-JVXZTZIISA-N 0 0 283.715 2.623 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000599148135 350385431 /nfs/dbraw/zinc/38/54/31/350385431.db2.gz WTSBGLLUHRSXAE-NEPJUHHUSA-N 0 0 254.261 2.713 20 5 CFBDRN Nc1cc(NCC[C@H]2CC=CCC2)cc(CO)c1[N+](=O)[O-] ZINC000599155595 350386178 /nfs/dbraw/zinc/38/61/78/350386178.db2.gz IMQFQVTWXHRGMR-NSHDSACASA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1nn(C)c(NCC[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000599155497 350386261 /nfs/dbraw/zinc/38/62/61/350386261.db2.gz BQWWFJBCYXFOPL-NSHDSACASA-N 0 0 264.329 2.795 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C ZINC000599162854 350386869 /nfs/dbraw/zinc/38/68/69/350386869.db2.gz APKRTSNAJNXXEF-SVDPJWKOSA-N 0 0 265.313 2.522 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2C[C@H]2c2ccsc2)c1[N+](=O)[O-] ZINC000599162996 350386928 /nfs/dbraw/zinc/38/69/28/350386928.db2.gz COADKYIGWAAXGS-GXSJLCMTSA-N 0 0 292.364 2.920 20 5 CFBDRN CCO[C@@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C1CC1 ZINC000599165931 350388050 /nfs/dbraw/zinc/38/80/50/350388050.db2.gz WKMSQWRRVHLTKD-SNVBAGLBSA-N 0 0 279.252 2.725 20 5 CFBDRN C/C=C/CNc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000599171410 350388666 /nfs/dbraw/zinc/38/86/66/350388666.db2.gz GDZLOULEUHMXDK-SNAWJCMRSA-N 0 0 264.281 2.678 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000599179020 350390413 /nfs/dbraw/zinc/39/04/13/350390413.db2.gz DPQSKNIMOVFHBW-PSASIEDQSA-N 0 0 254.311 2.636 20 5 CFBDRN C[C@H]1CC[C@@H](c2noc(-c3cccc([N+](=O)[O-])c3)n2)O1 ZINC000599181003 350391132 /nfs/dbraw/zinc/39/11/32/350391132.db2.gz SHHGGEAFKTYFEH-KWQFWETISA-N 0 0 275.264 2.885 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CO[C@@H](C3CC3)C2)c(Cl)c1 ZINC000599182178 350391267 /nfs/dbraw/zinc/39/12/67/350391267.db2.gz WCPGCKRYWMTNBY-LDYMZIIASA-N 0 0 283.715 2.623 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](c3ccc(O)cc3)C2)s1 ZINC000599190460 350392910 /nfs/dbraw/zinc/39/29/10/350392910.db2.gz CPAGRHSZKQCKDU-JTQLQIEISA-N 0 0 291.332 2.751 20 5 CFBDRN C/C=C\C[C@H]1CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000599200543 350394955 /nfs/dbraw/zinc/39/49/55/350394955.db2.gz ANZLMYOXUTYTBC-QUCGXOGASA-N 0 0 262.313 2.567 20 5 CFBDRN C/C=C/C[C@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000599200712 350395187 /nfs/dbraw/zinc/39/51/87/350395187.db2.gz WSNVDLXOVASGAU-VUDBWIFFSA-N 0 0 277.324 2.878 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1Cc2ccccc2C1 ZINC000105981024 186096037 /nfs/dbraw/zinc/09/60/37/186096037.db2.gz GPSZSDRCZQHVMD-UHFFFAOYSA-N 0 0 274.301 2.812 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2Cc3ccc(O)cc3C2)cc1 ZINC000599536652 350452081 /nfs/dbraw/zinc/45/20/81/350452081.db2.gz NPXGKGMSMQSSKE-UHFFFAOYSA-N 0 0 284.315 2.859 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCN2Cc3ccccc3C2)c1 ZINC000106303741 186106992 /nfs/dbraw/zinc/10/69/92/186106992.db2.gz HFORSCMPQNLBSO-UHFFFAOYSA-N 0 0 284.315 2.989 20 5 CFBDRN O=[N+]([O-])c1cc(CN(C2CC2)C2CC2)c2c(c1)COCO2 ZINC000599593399 350462561 /nfs/dbraw/zinc/46/25/61/350462561.db2.gz DNEUONINCSFQOL-UHFFFAOYSA-N 0 0 290.319 2.588 20 5 CFBDRN CCC1(C)CN(C(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000291049052 197900697 /nfs/dbraw/zinc/90/06/97/197900697.db2.gz JIDANDRUBZJMFI-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN COC(=O)[C@](C)(NCCC(C)C)c1cccc([N+](=O)[O-])c1 ZINC000599643212 350470866 /nfs/dbraw/zinc/47/08/66/350470866.db2.gz LEQLNQJZKSACAZ-OAHLLOKOSA-N 0 0 294.351 2.619 20 5 CFBDRN CCCCCN[C@](C)(C(=O)OC)c1cccc([N+](=O)[O-])c1 ZINC000599642480 350471131 /nfs/dbraw/zinc/47/11/31/350471131.db2.gz GURVRNDWCRCTMW-HNNXBMFYSA-N 0 0 294.351 2.763 20 5 CFBDRN CCC[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)OCC ZINC000599779308 350492544 /nfs/dbraw/zinc/49/25/44/350492544.db2.gz LLQVJFARDLCHQV-ZDUSSCGKSA-N 0 0 295.339 2.922 20 5 CFBDRN C/C=C/CNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000599774398 350492704 /nfs/dbraw/zinc/49/27/04/350492704.db2.gz RDSVTHWPNDGRDO-SNAWJCMRSA-N 0 0 263.297 2.909 20 5 CFBDRN CCCOC(=O)CCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000106487516 186120464 /nfs/dbraw/zinc/12/04/64/186120464.db2.gz NPSVPQOPQCZUQX-UHFFFAOYSA-N 0 0 294.307 2.553 20 5 CFBDRN CCc1c(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)ccn1C ZINC000291058354 197902936 /nfs/dbraw/zinc/90/29/36/197902936.db2.gz CTTYDCBIMJMGOE-UHFFFAOYSA-N 0 0 299.330 2.699 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000599693717 350485371 /nfs/dbraw/zinc/48/53/71/350485371.db2.gz CERZASZISXOHPR-COMAGPEQSA-N 0 0 293.323 2.528 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@@H]1C1CC1 ZINC000291082417 197911017 /nfs/dbraw/zinc/91/10/17/197911017.db2.gz JYETVEDMNOVEGD-CYBMUJFWSA-N 0 0 274.320 2.855 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000271377584 191105968 /nfs/dbraw/zinc/10/59/68/191105968.db2.gz JOXBOJUTCWIYMZ-ZYHUDNBSSA-N 0 0 293.323 2.592 20 5 CFBDRN CC(C)(C)c1noc(OCc2cccc([N+](=O)[O-])c2)n1 ZINC000107420244 186174174 /nfs/dbraw/zinc/17/41/74/186174174.db2.gz XNTRQJIJQYEPEO-UHFFFAOYSA-N 0 0 277.280 2.854 20 5 CFBDRN Cc1cocc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000599909283 350499722 /nfs/dbraw/zinc/49/97/22/350499722.db2.gz WXCNQSHWVVJRBE-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN CCC[C@H](CNC(=O)c1ccc([N+](=O)[O-])s1)OCC ZINC000600174609 350530795 /nfs/dbraw/zinc/53/07/95/350530795.db2.gz LOAUURNMZLPPNJ-SECBINFHSA-N 0 0 286.353 2.591 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@@H](C)C1(F)F ZINC000600189292 350535826 /nfs/dbraw/zinc/53/58/26/350535826.db2.gz OVDACUMPZAMDDR-NXEZZACHSA-N 0 0 298.289 2.958 20 5 CFBDRN C[N@H+](C/C=C/c1ccccc1[N+](=O)[O-])CC1(C)COC1 ZINC000600189680 350535883 /nfs/dbraw/zinc/53/58/83/350535883.db2.gz JANPLWCTNUZKAE-FNORWQNLSA-N 0 0 276.336 2.576 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1COC2(CCCC2)O1 ZINC000271379782 191107823 /nfs/dbraw/zinc/10/78/23/191107823.db2.gz NNMLXLRFCUSBQC-NSHDSACASA-N 0 0 296.298 2.832 20 5 CFBDRN CCCCn1cc([N+](=O)[O-])c(-c2ccc(F)cn2)n1 ZINC000600553564 350569691 /nfs/dbraw/zinc/56/96/91/350569691.db2.gz UWWGRLBGRZVEEF-UHFFFAOYSA-N 0 0 264.260 2.793 20 5 CFBDRN C[C@H]1C[C@@H]1Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000600564771 350571079 /nfs/dbraw/zinc/57/10/79/350571079.db2.gz YJWOWIZCLXZKNQ-VHSXEESVSA-N 0 0 258.277 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCC(F)F)cc1 ZINC000600567757 350571768 /nfs/dbraw/zinc/57/17/68/350571768.db2.gz CWULHAQDQXTQTO-UHFFFAOYSA-N 0 0 263.265 2.972 20 5 CFBDRN C[C@H](C(=O)NCC1CC=CC1)c1ccc([N+](=O)[O-])cc1F ZINC000600225002 350543958 /nfs/dbraw/zinc/54/39/58/350543958.db2.gz UWPMERFLHCVHNY-JTQLQIEISA-N 0 0 292.310 2.920 20 5 CFBDRN Cc1cc(C(=O)NCC2CC=CC2)ccc1[N+](=O)[O-] ZINC000600230434 350544304 /nfs/dbraw/zinc/54/43/04/350544304.db2.gz SUCPIAIGJRRANT-UHFFFAOYSA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(NCC[C@H]1CC=CCC1)c1cccc([O-])c1[N+](=O)[O-] ZINC000600391530 350553399 /nfs/dbraw/zinc/55/33/99/350553399.db2.gz DKLHOQSNIQSGQB-NSHDSACASA-N 0 0 290.319 2.777 20 5 CFBDRN C/C=C/CNC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000600490369 350558445 /nfs/dbraw/zinc/55/84/45/350558445.db2.gz HMXJTYZMHLJRLU-ONEGZZNKSA-N 0 0 266.322 2.623 20 5 CFBDRN Cc1nn(Cc2ccc(C)c(F)c2)c(C)c1[N+](=O)[O-] ZINC000600508582 350560396 /nfs/dbraw/zinc/56/03/96/350560396.db2.gz MXFFQUAJTMGWKC-UHFFFAOYSA-N 0 0 263.272 2.904 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2csc(-c3ccoc3)n2)c1 ZINC000108147265 186206993 /nfs/dbraw/zinc/20/69/93/186206993.db2.gz NOGIYSWDBLNTFT-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2noc(C3CCC3)n2)c(F)c1 ZINC000600517142 350562417 /nfs/dbraw/zinc/56/24/17/350562417.db2.gz AVLYZGOPVGUQHL-UHFFFAOYSA-N 0 0 293.254 2.963 20 5 CFBDRN CC(C)SCn1nc(-c2ccccc2[N+](=O)[O-])oc1=O ZINC000600528206 350564530 /nfs/dbraw/zinc/56/45/30/350564530.db2.gz QCDYJHIZDKYOLO-UHFFFAOYSA-N 0 0 295.320 2.511 20 5 CFBDRN Cc1noc(CSCc2ccc([N+](=O)[O-])cc2)n1 ZINC000108410782 186215359 /nfs/dbraw/zinc/21/53/59/186215359.db2.gz LRCVDQLFDLDRNA-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN C[C@]1(CNc2ncc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000129798115 187454063 /nfs/dbraw/zinc/45/40/63/187454063.db2.gz XMXOTFXHTWZWSF-LLVKDONJSA-N 0 0 271.704 2.624 20 5 CFBDRN C[C@@H](CCNc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000108616697 186223818 /nfs/dbraw/zinc/22/38/18/186223818.db2.gz VHMREUQOROUPPU-NSHDSACASA-N 0 0 274.324 2.934 20 5 CFBDRN Cn1cc(CCNc2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000108643368 186225440 /nfs/dbraw/zinc/22/54/40/186225440.db2.gz GUFDCWYNWBEXEX-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2CCCc3ccccc32)cn1 ZINC000108954024 186238448 /nfs/dbraw/zinc/23/84/48/186238448.db2.gz VDZDYEQISJSUER-LBPRGKRZSA-N 0 0 257.293 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CCCOC3)ccc2c1 ZINC000109548380 186261639 /nfs/dbraw/zinc/26/16/39/186261639.db2.gz XWZCCQKBVAMBAU-LLVKDONJSA-N 0 0 273.292 2.734 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CCOCC1 ZINC000600882740 350622894 /nfs/dbraw/zinc/62/28/94/350622894.db2.gz BIGTXOGTEAWRHY-UONOGXRCSA-N 0 0 290.319 2.596 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000600888752 350623697 /nfs/dbraw/zinc/62/36/97/350623697.db2.gz FZGPROSJKBRKAI-NXEZZACHSA-N 0 0 280.299 2.852 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000600892432 350624428 /nfs/dbraw/zinc/62/44/28/350624428.db2.gz POMZUXRDJRHHEF-DVVUODLYSA-N 0 0 278.308 2.655 20 5 CFBDRN CCC(O)(CC)CN(C)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000600765491 350606982 /nfs/dbraw/zinc/60/69/82/350606982.db2.gz BKSNWQLIDVQTCX-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC2(CC2)CC1 ZINC000600768151 350607696 /nfs/dbraw/zinc/60/76/96/350607696.db2.gz CUGDQWJJEGSBFG-UHFFFAOYSA-N 0 0 289.335 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2ccc3c(n2)CCCN3)c1 ZINC000600791292 350613013 /nfs/dbraw/zinc/61/30/13/350613013.db2.gz FGSQDJLFMPVPAI-UHFFFAOYSA-N 0 0 299.330 2.550 20 5 CFBDRN O=C(NC[C@@H]1CCCC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000600800437 350614317 /nfs/dbraw/zinc/61/43/17/350614317.db2.gz APEMNXOQVOHYID-LBPRGKRZSA-N 0 0 274.320 2.905 20 5 CFBDRN CCCc1[nH]nc(C(=O)Nc2ccccc2F)c1[N+](=O)[O-] ZINC000110038748 186283571 /nfs/dbraw/zinc/28/35/71/186283571.db2.gz ANQMTAVHRVHVSA-UHFFFAOYSA-N 0 0 292.270 2.662 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600998568 350643396 /nfs/dbraw/zinc/64/33/96/350643396.db2.gz XTFTWVWBSLMLRL-VXGBXAGGSA-N 0 0 288.347 2.789 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601023529 350646782 /nfs/dbraw/zinc/64/67/82/350646782.db2.gz IXKLJEXLXVNFRE-UHFFFAOYSA-N 0 0 294.204 2.758 20 5 CFBDRN Cc1cc(C(=O)N(C)CC2CCC2)cc([N+](=O)[O-])c1 ZINC000110470397 186297798 /nfs/dbraw/zinc/29/77/98/186297798.db2.gz UHBKKPZLTMVEQI-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CSCCCNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601118368 350673210 /nfs/dbraw/zinc/67/32/10/350673210.db2.gz LMNAEYBJIKKHSN-UHFFFAOYSA-N 0 0 298.290 2.512 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCc2ccccc2CC1 ZINC000601119426 350673926 /nfs/dbraw/zinc/67/39/26/350673926.db2.gz YUUKTMBQJIQWFH-UHFFFAOYSA-N 0 0 287.294 2.734 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1nc(C2CCC2)no1 ZINC000601076326 350659702 /nfs/dbraw/zinc/65/97/02/350659702.db2.gz LXDCNVFYXJMLSA-UHFFFAOYSA-N 0 0 293.254 2.963 20 5 CFBDRN CCCCC(=O)COc1ccc([N+](=O)[O-])cc1OC ZINC000601076233 350659772 /nfs/dbraw/zinc/65/97/72/350659772.db2.gz KLHBOYXNIDYIRK-UHFFFAOYSA-N 0 0 267.281 2.742 20 5 CFBDRN CCC[C@H](C)Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601101074 350668507 /nfs/dbraw/zinc/66/85/07/350668507.db2.gz HHHOUAKJDDIJOG-LURJTMIESA-N 0 0 280.250 2.948 20 5 CFBDRN O=[N+]([O-])c1sccc1Nc1cnn(C[C@H]2CCCO2)c1 ZINC000601105328 350669427 /nfs/dbraw/zinc/66/94/27/350669427.db2.gz DNMRXCWNDUQNDF-SNVBAGLBSA-N 0 0 294.336 2.775 20 5 CFBDRN C[C@@H]1CCC[C@@H]1Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601114280 350671377 /nfs/dbraw/zinc/67/13/77/350671377.db2.gz OUELETQRUJNBAB-RQJHMYQMSA-N 0 0 292.261 2.948 20 5 CFBDRN Cc1ncc([C@H](C)Nc2ccc([N+](=O)[O-])cn2)s1 ZINC000601225599 350702629 /nfs/dbraw/zinc/70/26/29/350702629.db2.gz NXRMSANCXREZQP-ZETCQYMHSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H](C(F)F)CC2)c1 ZINC000601230429 350703938 /nfs/dbraw/zinc/70/39/38/350703938.db2.gz BVPTWYQSWOSSJB-LBPRGKRZSA-N 0 0 286.278 2.764 20 5 CFBDRN Cc1scc(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)c1C ZINC000601232378 350705108 /nfs/dbraw/zinc/70/51/08/350705108.db2.gz ICOQTRVSPGDGCE-UHFFFAOYSA-N 0 0 279.321 2.531 20 5 CFBDRN CC[C@@]1(O)CCCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000601234164 350705683 /nfs/dbraw/zinc/70/56/83/350705683.db2.gz QVQOGVBWCZPIGS-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CCC2)[C@@H]2CCCO2)c(F)c1 ZINC000601250101 350709087 /nfs/dbraw/zinc/70/90/87/350709087.db2.gz JZXZOQNEURBOHP-QWHCGFSZSA-N 0 0 295.314 2.889 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CCC2)[C@H]2CCCO2)s1 ZINC000601249630 350709138 /nfs/dbraw/zinc/70/91/38/350709138.db2.gz DJBVNPYDPZDJET-KOLCDFICSA-N 0 0 283.353 2.811 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](O)[C@@H]2CCCC[C@H]21 ZINC000601252196 350710187 /nfs/dbraw/zinc/71/01/87/350710187.db2.gz HGCKMTDNBRHTPM-JMSVASOKSA-N 0 0 276.336 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCn3nccc32)c(F)c1 ZINC000601268904 350713308 /nfs/dbraw/zinc/71/33/08/350713308.db2.gz BPKDRVNNAYGRGU-LBPRGKRZSA-N 0 0 276.271 2.877 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1OC2CCC1CC2 ZINC000601273668 350714420 /nfs/dbraw/zinc/71/44/20/350714420.db2.gz YEATTZYBWYKYMF-UHFFFAOYSA-N 0 0 269.688 2.706 20 5 CFBDRN CCOC(=O)[C@H](CC)Sc1ncc(F)cc1[N+](=O)[O-] ZINC000601124545 350675364 /nfs/dbraw/zinc/67/53/64/350675364.db2.gz RBRQLJNVHOPLSZ-VIFPVBQESA-N 0 0 288.300 2.563 20 5 CFBDRN COC(=O)CCCSc1ccsc1[N+](=O)[O-] ZINC000601127649 350676074 /nfs/dbraw/zinc/67/60/74/350676074.db2.gz MIOZYHTZMPWPFK-UHFFFAOYSA-N 0 0 261.324 2.702 20 5 CFBDRN C[C@H]1CCN(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)[C@H]1C ZINC000601126200 350676098 /nfs/dbraw/zinc/67/60/98/350676098.db2.gz UZLSGJMBZMDVGY-BQBZGAKWSA-N 0 0 292.261 2.582 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C)C1CCC1 ZINC000601134552 350678196 /nfs/dbraw/zinc/67/81/96/350678196.db2.gz DKPNWVVUQQBFOY-ZCFIWIBFSA-N 0 0 292.261 2.948 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1nc(C(F)(F)F)no1 ZINC000601139901 350679900 /nfs/dbraw/zinc/67/99/00/350679900.db2.gz OPCQXPXUPAGQQB-UHFFFAOYSA-N 0 0 294.214 2.670 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601142334 350681448 /nfs/dbraw/zinc/68/14/48/350681448.db2.gz YLEIUNULRJBZCG-ZJUUUORDSA-N 0 0 283.303 2.746 20 5 CFBDRN CC1(C)CN(c2ncc(F)cc2[N+](=O)[O-])CC(C)(C)O1 ZINC000601153586 350683879 /nfs/dbraw/zinc/68/38/79/350683879.db2.gz QTPOXTWPDYOTSJ-UHFFFAOYSA-N 0 0 283.303 2.523 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC(C2CCOCC2)C1 ZINC000601162838 350685739 /nfs/dbraw/zinc/68/57/39/350685739.db2.gz ZTXQGLXWKRRYQH-UHFFFAOYSA-N 0 0 268.338 2.519 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ccnc3ccc([N+](=O)[O-])cc32)C1 ZINC000601164905 350686149 /nfs/dbraw/zinc/68/61/49/350686149.db2.gz IAXWEEBMAMVNFK-TXEJJXNPSA-N 0 0 287.319 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NCCCCF)c2c1 ZINC000601166615 350686640 /nfs/dbraw/zinc/68/66/40/350686640.db2.gz PWHXGHLBCFOECB-UHFFFAOYSA-N 0 0 263.272 2.727 20 5 CFBDRN CCCc1nc(C)c(CNc2ncc(F)cc2[N+](=O)[O-])o1 ZINC000601167673 350686798 /nfs/dbraw/zinc/68/67/98/350686798.db2.gz AAKPDGFJJCNBLH-UHFFFAOYSA-N 0 0 294.286 2.990 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601173837 350688859 /nfs/dbraw/zinc/68/88/59/350688859.db2.gz KBTFKDORTBAOCE-CABCVRRESA-N 0 0 287.319 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H]2CCCn3nccc32)c(F)c1 ZINC000601174092 350689028 /nfs/dbraw/zinc/68/90/28/350689028.db2.gz OOEQGMQVIWFAOW-CYBMUJFWSA-N 0 0 290.298 2.555 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)CS1 ZINC000601175576 350689594 /nfs/dbraw/zinc/68/95/94/350689594.db2.gz JGNLKDLTGGEQCK-ZJUUUORDSA-N 0 0 289.360 2.871 20 5 CFBDRN CCc1csc([C@@H](C)Nc2ccncc2[N+](=O)[O-])n1 ZINC000601181292 350690843 /nfs/dbraw/zinc/69/08/43/350690843.db2.gz ITDVWJSARUHGRI-MRVPVSSYSA-N 0 0 278.337 2.604 20 5 CFBDRN CCOc1cc(N2CC[N@H+](C)C(C)(C)C2)ccc1[N+](=O)[O-] ZINC000601187038 350691641 /nfs/dbraw/zinc/69/16/41/350691641.db2.gz LMXATDKSHWHAKV-UHFFFAOYSA-N 0 0 293.367 2.524 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@@H]3C(F)(F)F)nc2c1 ZINC000601188602 350692314 /nfs/dbraw/zinc/69/23/14/350692314.db2.gz KMJSEFFVNWLHPB-SECBINFHSA-N 0 0 286.213 2.612 20 5 CFBDRN CO[C@@H](CNc1ncc(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000601196382 350694053 /nfs/dbraw/zinc/69/40/53/350694053.db2.gz IXUPTOQBRRUJCV-LBPRGKRZSA-N 0 0 283.303 2.746 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cc1)n1cccn1 ZINC000601197412 350694162 /nfs/dbraw/zinc/69/41/62/350694162.db2.gz ACRCXWOTFGSZDU-UHFFFAOYSA-N 0 0 260.297 2.639 20 5 CFBDRN CC(C)(CNc1ccccc1[N+](=O)[O-])n1cccn1 ZINC000601197399 350694293 /nfs/dbraw/zinc/69/42/93/350694293.db2.gz AAMZGFDWEVNTPP-UHFFFAOYSA-N 0 0 260.297 2.639 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CC(C)(C)O1 ZINC000122690929 290910537 /nfs/dbraw/zinc/91/05/37/290910537.db2.gz CLJHQNLODFBDRN-QMMMGPOBSA-N 0 0 285.731 2.647 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC(c2ccon2)CC1 ZINC000601196317 350694336 /nfs/dbraw/zinc/69/43/36/350694336.db2.gz HAVPDAQYXJOCAF-UHFFFAOYSA-N 0 0 292.270 2.501 20 5 CFBDRN CC(C)(CNc1ncc(Cl)cc1[N+](=O)[O-])n1cccn1 ZINC000601197750 350694385 /nfs/dbraw/zinc/69/43/85/350694385.db2.gz DWUDIZVLTUWKTH-UHFFFAOYSA-N 0 0 295.730 2.687 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](Nc2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601198791 350694758 /nfs/dbraw/zinc/69/47/58/350694758.db2.gz HWENHKWSVWBCPF-MGCOHNPYSA-N 0 0 283.303 2.887 20 5 CFBDRN CC1(C)Cc2n[nH]cc2CN(c2ccsc2[N+](=O)[O-])C1 ZINC000601199781 350695307 /nfs/dbraw/zinc/69/53/07/350695307.db2.gz XQQVPFSZCOYSFJ-UHFFFAOYSA-N 0 0 292.364 2.968 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCCc1ccco1 ZINC000601199682 350695474 /nfs/dbraw/zinc/69/54/74/350695474.db2.gz YOFZWCMAAWWSDY-UHFFFAOYSA-N 0 0 265.244 2.767 20 5 CFBDRN CC1(C)C[C@@H](Sc2ncc(F)cc2[N+](=O)[O-])CO1 ZINC000601200639 350695742 /nfs/dbraw/zinc/69/57/42/350695742.db2.gz DVEXHIQXGFVERA-MRVPVSSYSA-N 0 0 272.301 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N[C@H]3CO[C@H](C4CC4)C3)c2c1 ZINC000601203062 350696031 /nfs/dbraw/zinc/69/60/31/350696031.db2.gz MWCUBVDDJUFBLJ-BZNIZROVSA-N 0 0 299.330 2.544 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCCC23CC3)n1 ZINC000601210033 350698218 /nfs/dbraw/zinc/69/82/18/350698218.db2.gz MIVXUVPTRRCJBS-LLVKDONJSA-N 0 0 291.307 2.521 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCCC23CC3)n1 ZINC000601210035 350698368 /nfs/dbraw/zinc/69/83/68/350698368.db2.gz MIVXUVPTRRCJBS-NSHDSACASA-N 0 0 291.307 2.521 20 5 CFBDRN CC(C)(C)Oc1cc(N[C@H]2C[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000601211900 350699148 /nfs/dbraw/zinc/69/91/48/350699148.db2.gz OQHPRBDBBKEHRD-KLPPZKSPSA-N 0 0 294.351 2.955 20 5 CFBDRN CCC[C@H](CCO)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000601212999 350699464 /nfs/dbraw/zinc/69/94/64/350699464.db2.gz VOJMZYSIWCBBKY-GFCCVEGCSA-N 0 0 280.324 2.760 20 5 CFBDRN CCC[C@@H](CCO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000601213009 350699694 /nfs/dbraw/zinc/69/96/94/350699694.db2.gz VXTGHVRCDFKXIT-QMMMGPOBSA-N 0 0 273.720 2.606 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC(CCF)CC1 ZINC000601217221 350700252 /nfs/dbraw/zinc/70/02/52/350700252.db2.gz DVCYYPXEUBFXNV-UHFFFAOYSA-N 0 0 253.277 2.566 20 5 CFBDRN CCOC(=O)CCSc1c(Cl)cncc1[N+](=O)[O-] ZINC000601220943 350700764 /nfs/dbraw/zinc/70/07/64/350700764.db2.gz FFGGVICMRPWFBM-UHFFFAOYSA-N 0 0 290.728 2.689 20 5 CFBDRN CCOC(=O)CCSc1ccc(F)cc1[N+](=O)[O-] ZINC000601220738 350700782 /nfs/dbraw/zinc/70/07/82/350700782.db2.gz ACMMWTDQSILUEV-UHFFFAOYSA-N 0 0 273.285 2.779 20 5 CFBDRN CCOC(=O)CCSc1c(F)cccc1[N+](=O)[O-] ZINC000601220785 350700786 /nfs/dbraw/zinc/70/07/86/350700786.db2.gz CEWXSPAWGRVAJY-UHFFFAOYSA-N 0 0 273.285 2.779 20 5 CFBDRN Nc1c(F)c(N2CCC(CCF)CC2)ccc1[N+](=O)[O-] ZINC000601218234 350701119 /nfs/dbraw/zinc/70/11/19/350701119.db2.gz UMQDWRYBNTVVGJ-UHFFFAOYSA-N 0 0 285.294 2.892 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000601600971 350745430 /nfs/dbraw/zinc/74/54/30/350745430.db2.gz FMFJAGREHKASJA-KCJUWKMLSA-N 0 0 284.262 2.564 20 5 CFBDRN CC(C)(C(=O)N1CC[C@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000601602649 350745839 /nfs/dbraw/zinc/74/58/39/350745839.db2.gz JFXIBCJRQRYSCA-NSHDSACASA-N 0 0 298.289 2.738 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CC[C@H]1C(F)F ZINC000601606100 350746510 /nfs/dbraw/zinc/74/65/10/350746510.db2.gz RJFWJXHDLMKJKH-QMMMGPOBSA-N 0 0 290.653 2.728 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC[C@H]1C(F)F ZINC000601605685 350746572 /nfs/dbraw/zinc/74/65/72/350746572.db2.gz HAXRAPFVDDNFJT-VIFPVBQESA-N 0 0 290.653 2.728 20 5 CFBDRN C[C@@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])CC(F)F ZINC000601657711 350753984 /nfs/dbraw/zinc/75/39/84/350753984.db2.gz OVQATNMUOXKCIN-SSDOTTSWSA-N 0 0 290.241 2.755 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC23CC3)c1 ZINC000601703952 350764896 /nfs/dbraw/zinc/76/48/96/350764896.db2.gz HQPBXOBDAJDBQS-CYBMUJFWSA-N 0 0 290.319 2.666 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601675156 350757537 /nfs/dbraw/zinc/75/75/37/350757537.db2.gz KFXQWJSCSONCBZ-SECBINFHSA-N 0 0 280.299 2.852 20 5 CFBDRN CCC[C@@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])OCC ZINC000601679052 350757990 /nfs/dbraw/zinc/75/79/90/350757990.db2.gz HMWZMQXOWUSHNJ-JTQLQIEISA-N 0 0 298.314 2.669 20 5 CFBDRN CC(C)(NC(=O)CC1CSC1)c1ccc([N+](=O)[O-])cc1 ZINC000601289343 350717945 /nfs/dbraw/zinc/71/79/45/350717945.db2.gz LLORHSHDNCZAMG-UHFFFAOYSA-N 0 0 294.376 2.699 20 5 CFBDRN CSC1CCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000601696304 350763032 /nfs/dbraw/zinc/76/30/32/350763032.db2.gz XYVNFXKRBUNTDD-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN CC(C)NC(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000601383134 350729029 /nfs/dbraw/zinc/72/90/29/350729029.db2.gz MIQLDKMPLMQMEX-GFCCVEGCSA-N 0 0 295.364 2.760 20 5 CFBDRN CC[C@H](C)Cc1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000601399613 350729437 /nfs/dbraw/zinc/72/94/37/350729437.db2.gz RBHOKZYPJQYNCG-QMMMGPOBSA-N 0 0 264.285 2.572 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000601411135 350731075 /nfs/dbraw/zinc/73/10/75/350731075.db2.gz OFYSQFRNVDPILO-RYUDHWBXSA-N 0 0 299.330 2.971 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000601411134 350731145 /nfs/dbraw/zinc/73/11/45/350731145.db2.gz OFYSQFRNVDPILO-NWDGAFQWSA-N 0 0 299.330 2.971 20 5 CFBDRN CSC1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000601698166 350763820 /nfs/dbraw/zinc/76/38/20/350763820.db2.gz WWZIONBYVANQLX-UHFFFAOYSA-N 0 0 280.349 2.562 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000601560108 350739212 /nfs/dbraw/zinc/73/92/12/350739212.db2.gz GYWMDSXHDXNITH-NSHDSACASA-N 0 0 291.351 2.973 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@H]1CCCC12CC2 ZINC000601554190 350739460 /nfs/dbraw/zinc/73/94/60/350739460.db2.gz CORIGEAWVGDNGZ-ZDUSSCGKSA-N 0 0 289.335 2.727 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H]1CCCC12CC2 ZINC000601559965 350739555 /nfs/dbraw/zinc/73/95/55/350739555.db2.gz FZASZISWQMHKMC-ZDUSSCGKSA-N 0 0 289.335 2.727 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1CCCC12CC2 ZINC000601706443 350765861 /nfs/dbraw/zinc/76/58/61/350765861.db2.gz VFRNLXRQQXOKJY-ZDUSSCGKSA-N 0 0 274.320 2.586 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@@H](C(F)F)CC2)cs1 ZINC000601973545 350821544 /nfs/dbraw/zinc/82/15/44/350821544.db2.gz PVVXAFKRAFTILK-SECBINFHSA-N 0 0 292.307 2.512 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCCC2)c1csc([N+](=O)[O-])c1 ZINC000601778362 350775055 /nfs/dbraw/zinc/77/50/55/350775055.db2.gz VSFFQDRODZEUNI-BXKDBHETSA-N 0 0 266.322 2.719 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)C[C@@H]1CCCOC1 ZINC000111164211 186363954 /nfs/dbraw/zinc/36/39/54/186363954.db2.gz MNWQYDREGVHMDA-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000601868835 350794552 /nfs/dbraw/zinc/79/45/52/350794552.db2.gz HKKLYLZCXOKCDD-LLVKDONJSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@H](C)CSCCn1c(C)ncc1[N+](=O)[O-] ZINC000601914697 350800495 /nfs/dbraw/zinc/80/04/95/350800495.db2.gz XFRIMTRBPZYAOH-VIFPVBQESA-N 0 0 257.359 2.879 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1cc(C)cc(C)n1 ZINC000601914084 350800657 /nfs/dbraw/zinc/80/06/57/350800657.db2.gz UOSCSHGAJIVQTL-UHFFFAOYSA-N 0 0 292.364 2.904 20 5 CFBDRN CC[C@@H](C)CSCCn1c(C)ncc1[N+](=O)[O-] ZINC000601914696 350800719 /nfs/dbraw/zinc/80/07/19/350800719.db2.gz XFRIMTRBPZYAOH-SECBINFHSA-N 0 0 257.359 2.879 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1c(F)cccc1Cl ZINC000601915049 350801621 /nfs/dbraw/zinc/80/16/21/350801621.db2.gz ZFELWLFEGGAFON-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN COC(=O)c1nn(CC2CCCCCC2)cc1[N+](=O)[O-] ZINC000601918402 350802264 /nfs/dbraw/zinc/80/22/64/350802264.db2.gz UFROIEAFIMSZSI-UHFFFAOYSA-N 0 0 281.312 2.548 20 5 CFBDRN CCOC(=O)c1cc(CC)n(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000601934007 350806718 /nfs/dbraw/zinc/80/67/18/350806718.db2.gz IIJTURGUGOTEPN-UHFFFAOYSA-N 0 0 289.291 2.520 20 5 CFBDRN CCc1[n-]n(-c2ccc([N+](=O)[O-])cc2Cl)c(=O)c1C ZINC000601937983 350809366 /nfs/dbraw/zinc/80/93/66/350809366.db2.gz DWDXYGJCZMKPGV-ZETCQYMHSA-N 0 0 281.699 2.997 20 5 CFBDRN CC1=NN(c2cccc([N+](=O)[O-])c2C)C(=O)[C@@H]1C1CC1 ZINC000601938807 350810102 /nfs/dbraw/zinc/81/01/02/350810102.db2.gz JRPHKEJIAWLIBW-ZDUSSCGKSA-N 0 0 273.292 2.652 20 5 CFBDRN CC[C@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2Cl)N=C1C ZINC000601938834 350810557 /nfs/dbraw/zinc/81/05/57/350810557.db2.gz KLGNHZRHUHCRTJ-SECBINFHSA-N 0 0 281.699 2.997 20 5 CFBDRN CC[C@@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2C)N=C1C ZINC000601943230 350811876 /nfs/dbraw/zinc/81/18/76/350811876.db2.gz PZRRPBDOOBNZIP-NSHDSACASA-N 0 0 261.281 2.652 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1N=C(C)C[C@@H](C)C1=O ZINC000601941930 350811895 /nfs/dbraw/zinc/81/18/95/350811895.db2.gz DVXQODKGNVQDSS-SECBINFHSA-N 0 0 261.281 2.652 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1c(N)cccc1[N+](=O)[O-])C(C)(C)C ZINC000602216950 350853355 /nfs/dbraw/zinc/85/33/55/350853355.db2.gz GFFWIAWRZFWTJP-JTQLQIEISA-N 0 0 293.367 2.931 20 5 CFBDRN CCOC(C)(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000602232348 350854764 /nfs/dbraw/zinc/85/47/64/350854764.db2.gz RTGIXWOWSRSFNC-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN CCC[C@@H](OCC)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000602235023 350854873 /nfs/dbraw/zinc/85/48/73/350854873.db2.gz VDGXNXGAQNGJEH-CYBMUJFWSA-N 0 0 280.324 2.763 20 5 CFBDRN CCO[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C(C)C ZINC000602234265 350855116 /nfs/dbraw/zinc/85/51/16/350855116.db2.gz PFZXLQKGPJWWLA-CQSZACIVSA-N 0 0 292.335 2.545 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000602232331 350855206 /nfs/dbraw/zinc/85/52/06/350855206.db2.gz FRBZZCZIAVJSDT-DEKYYXRVSA-N 0 0 292.335 2.617 20 5 CFBDRN Cc1cc(NC(=O)[C@]23CCC[C@H]2OCC3)ccc1[N+](=O)[O-] ZINC000602301698 350861289 /nfs/dbraw/zinc/86/12/89/350861289.db2.gz HXLPSDSIFBPVDU-HIFRSBDPSA-N 0 0 290.319 2.801 20 5 CFBDRN CCO[C@H](C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000602303260 350861925 /nfs/dbraw/zinc/86/19/25/350861925.db2.gz SFCUYVFHPVBJQU-ZDUSSCGKSA-N 0 0 280.324 2.903 20 5 CFBDRN C/C=C/CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000602374753 350871020 /nfs/dbraw/zinc/87/10/20/350871020.db2.gz KFEIEOHTSZHDSX-NSCUHMNNSA-N 0 0 289.335 2.845 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602379731 350871523 /nfs/dbraw/zinc/87/15/23/350871523.db2.gz MAWVAHQFIFMBDE-PSASIEDQSA-N 0 0 271.276 2.738 20 5 CFBDRN C/C=C/CNC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000602376214 350871525 /nfs/dbraw/zinc/87/15/25/350871525.db2.gz UPFAFXCOIBSHJP-NSCUHMNNSA-N 0 0 289.335 2.845 20 5 CFBDRN CC(C)OCC(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602387110 350872245 /nfs/dbraw/zinc/87/22/45/350872245.db2.gz ZWYLWMXXXODFQF-UHFFFAOYSA-N 0 0 289.291 2.507 20 5 CFBDRN CC(C)(F)CNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000602385349 350872379 /nfs/dbraw/zinc/87/23/79/350872379.db2.gz BQCLBOTWRVPORS-UHFFFAOYSA-N 0 0 297.330 2.877 20 5 CFBDRN Cn1cc(C[N@@H+]2CCC[C@@H](C(C)(C)C)C2)c([N+](=O)[O-])n1 ZINC000602645973 350960186 /nfs/dbraw/zinc/96/01/86/350960186.db2.gz VWMVWMJQHXSVJM-GFCCVEGCSA-N 0 0 280.372 2.586 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000112180541 186472095 /nfs/dbraw/zinc/47/20/95/186472095.db2.gz NNCTWWXYWALEJM-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000112603006 186508346 /nfs/dbraw/zinc/50/83/46/186508346.db2.gz PQGAGKXBANTEDM-MRVPVSSYSA-N 0 0 266.272 2.652 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1c[nH]cc1C1CC1 ZINC000602793389 351027166 /nfs/dbraw/zinc/02/71/66/351027166.db2.gz AEGZESDNIKPFEA-UHFFFAOYSA-N 0 0 285.303 2.730 20 5 CFBDRN CCC[C@@H]1CCCC[C@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602812833 351034639 /nfs/dbraw/zinc/03/46/39/351034639.db2.gz RRZSIHFQFLIBQH-DGCLKSJQSA-N 0 0 280.372 2.777 20 5 CFBDRN C[C@H]1C[C@@H]1N(Cc1cn(C)nc1[N+](=O)[O-])c1ccccc1 ZINC000602841146 351043212 /nfs/dbraw/zinc/04/32/12/351043212.db2.gz RLXRBPPHCFSANC-FZMZJTMJSA-N 0 0 286.335 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](C)C(F)F ZINC000602885330 351058445 /nfs/dbraw/zinc/05/84/45/351058445.db2.gz VJYUGCSKWPVSSR-MRVPVSSYSA-N 0 0 287.266 2.987 20 5 CFBDRN CC[C@H](C)Cn1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000603197626 351116601 /nfs/dbraw/zinc/11/66/01/351116601.db2.gz QDKZKXVJQHHEOC-NSHDSACASA-N 0 0 290.323 2.811 20 5 CFBDRN CC(C)(C)c1cn(CCOc2cccc([N+](=O)[O-])c2)nn1 ZINC000603201527 351117263 /nfs/dbraw/zinc/11/72/63/351117263.db2.gz QZCBQKFWKFWDJX-UHFFFAOYSA-N 0 0 290.323 2.563 20 5 CFBDRN CC(C)(C)c1cn(CCOc2ccccc2[N+](=O)[O-])nn1 ZINC000603201477 351117481 /nfs/dbraw/zinc/11/74/81/351117481.db2.gz OQMJOFBREJDLGP-UHFFFAOYSA-N 0 0 290.323 2.563 20 5 CFBDRN Cc1cc(NC(=O)N[C@H](C)C(F)F)ccc1[N+](=O)[O-] ZINC000602924085 351068006 /nfs/dbraw/zinc/06/80/06/351068006.db2.gz AETMIKVPEZGRIF-SSDOTTSWSA-N 0 0 273.239 2.678 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN[C@@H]1CCCn2nccc21 ZINC000603026839 351091652 /nfs/dbraw/zinc/09/16/52/351091652.db2.gz DKJAATFZLWKXDF-GFCCVEGCSA-N 0 0 290.298 2.555 20 5 CFBDRN Cc1noc(CN[C@@H](CF)c2ccc(F)cc2)c1[N+](=O)[O-] ZINC000603020823 351092071 /nfs/dbraw/zinc/09/20/71/351092071.db2.gz RSFMHTLGYAXSJM-NSHDSACASA-N 0 0 297.261 2.831 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(CCC2CCCCC2)c1=O ZINC000603356615 351145638 /nfs/dbraw/zinc/14/56/38/351145638.db2.gz BULXVCPKTRAJTE-UHFFFAOYSA-N 0 0 280.324 2.736 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(CC2CCC(C)CC2)c1=O ZINC000603359085 351146293 /nfs/dbraw/zinc/14/62/93/351146293.db2.gz MVJKRUARADNLDS-UHFFFAOYSA-N 0 0 280.324 2.591 20 5 CFBDRN Cc1ccc2nc(CNc3cccnc3[N+](=O)[O-])cn2c1 ZINC000603942590 351192912 /nfs/dbraw/zinc/19/29/12/351192912.db2.gz MQFKEWUGPUERFE-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CCCOc1ccccc1OCc1cn(C)nc1[N+](=O)[O-] ZINC000603419205 351160176 /nfs/dbraw/zinc/16/01/76/351160176.db2.gz AWBWTHIAYAKIFH-UHFFFAOYSA-N 0 0 291.307 2.696 20 5 CFBDRN Cc1cccc(OCc2cn(C)nc2[N+](=O)[O-])c1C ZINC000603420487 351160977 /nfs/dbraw/zinc/16/09/77/351160977.db2.gz KKIJGJYMJFHKRZ-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cn1cc(COc2cccc3c2CCCC3)c([N+](=O)[O-])n1 ZINC000603422092 351161064 /nfs/dbraw/zinc/16/10/64/351161064.db2.gz YTZKSTTZHFBDPC-UHFFFAOYSA-N 0 0 287.319 2.786 20 5 CFBDRN Cc1c(Cl)cccc1OCc1cn(C)nc1[N+](=O)[O-] ZINC000603426068 351162456 /nfs/dbraw/zinc/16/24/56/351162456.db2.gz IRDKOBDZZYICHZ-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1C ZINC000603459063 351166245 /nfs/dbraw/zinc/16/62/45/351166245.db2.gz VAXYIOHLDFBNHD-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000603601453 351175593 /nfs/dbraw/zinc/17/55/93/351175593.db2.gz WBPMWRIIAMZZFO-UHFFFAOYSA-N 0 0 262.309 2.855 20 5 CFBDRN CC(C)c1cc(Oc2cccc([N+](=O)[O-])c2)n2ncnc2n1 ZINC000603836740 351183857 /nfs/dbraw/zinc/18/38/57/351183857.db2.gz RBXUYKLGQFWUFX-UHFFFAOYSA-N 0 0 299.290 2.948 20 5 CFBDRN COc1cc(Nc2cccnc2[N+](=O)[O-])cc(OC)c1 ZINC000603836905 351184330 /nfs/dbraw/zinc/18/43/30/351184330.db2.gz FDTYBIJMKGSYRE-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN Cc1cccc(N2CCN(c3cccnc3[N+](=O)[O-])CC2)c1 ZINC000603842088 351184758 /nfs/dbraw/zinc/18/47/58/351184758.db2.gz GFEFQIBYNOHUPR-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CC(C)(C)c1nc(Sc2cccnc2[N+](=O)[O-])n[nH]1 ZINC000603846916 351185569 /nfs/dbraw/zinc/18/55/69/351185569.db2.gz KIUJAWHLEUYWHM-UHFFFAOYSA-N 0 0 279.325 2.557 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1OCCNc1cccnc1[N+](=O)[O-] ZINC000603860367 351187244 /nfs/dbraw/zinc/18/72/44/351187244.db2.gz FDSQVZGUXBMWTH-YPMHNXCESA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@@H]1CCCC[C@H]1OCCNc1cccnc1[N+](=O)[O-] ZINC000603860365 351187363 /nfs/dbraw/zinc/18/73/63/351187363.db2.gz FDSQVZGUXBMWTH-DGCLKSJQSA-N 0 0 279.340 2.997 20 5 CFBDRN CCc1ccc(Cn2nc(C)c3cc([N+](=O)[O-])cnc32)nc1 ZINC000603324388 351139679 /nfs/dbraw/zinc/13/96/79/351139679.db2.gz FTXNEYSVRAVSMY-UHFFFAOYSA-N 0 0 297.318 2.654 20 5 CFBDRN Cn1cc(CSc2ccc3c(c2)CCO3)c([N+](=O)[O-])n1 ZINC000603336693 351142650 /nfs/dbraw/zinc/14/26/50/351142650.db2.gz UJVPSHSDPGBLSM-UHFFFAOYSA-N 0 0 291.332 2.556 20 5 CFBDRN CC(C)c1cccc(Cn2ccc(N)c([N+](=O)[O-])c2=O)c1 ZINC000603342982 351143365 /nfs/dbraw/zinc/14/33/65/351143365.db2.gz HMOWRBIIINFAED-UHFFFAOYSA-N 0 0 287.319 2.510 20 5 CFBDRN CCOc1cccc(NC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000603994095 351196594 /nfs/dbraw/zinc/19/65/94/351196594.db2.gz MKMLQUJZENLHHO-UHFFFAOYSA-N 0 0 289.291 2.584 20 5 CFBDRN Cc1occc1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000604307348 351205476 /nfs/dbraw/zinc/20/54/76/351205476.db2.gz PKCGGMCSKOQBPD-UHFFFAOYSA-N 0 0 275.264 2.818 20 5 CFBDRN Cc1occc1CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000604311130 351206064 /nfs/dbraw/zinc/20/60/64/351206064.db2.gz RIGHOJWGYXTBGU-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN CCc1cccc2c1N(C(=O)c1ccc([N+](=O)[O-])o1)CC2 ZINC000604503619 351215564 /nfs/dbraw/zinc/21/55/64/351215564.db2.gz YMEQPJRVWHYDEK-UHFFFAOYSA-N 0 0 286.287 2.953 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cnoc2)c1 ZINC000604542992 351217907 /nfs/dbraw/zinc/21/79/07/351217907.db2.gz SEEUIOYHRHVRAM-UHFFFAOYSA-N 0 0 279.277 2.557 20 5 CFBDRN CN(CC1CC1)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000119009479 186794695 /nfs/dbraw/zinc/79/46/95/186794695.db2.gz ODIDHEFNCBHUCM-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN CC1(CNC(=O)CCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000119142585 186805516 /nfs/dbraw/zinc/80/55/16/186805516.db2.gz FJDDMXOEULFPKK-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CC[C@@H](C)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 ZINC000119466709 186829617 /nfs/dbraw/zinc/82/96/17/186829617.db2.gz LOTUGNOXDMMGMN-SECBINFHSA-N 0 0 294.307 2.741 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCO[C@H](c2ccccc2)C1 ZINC000609233466 351492927 /nfs/dbraw/zinc/49/29/27/351492927.db2.gz YMAVYWNWUHHKCT-HNNXBMFYSA-N 0 0 299.330 2.958 20 5 CFBDRN CN(C[C@@H]1CCCOC1)c1ccsc1[N+](=O)[O-] ZINC000609350879 351498310 /nfs/dbraw/zinc/49/83/10/351498310.db2.gz YSSLHWRUMJMJAR-VIFPVBQESA-N 0 0 256.327 2.519 20 5 CFBDRN COc1ccc(C)cc1CNc1ncc([N+](=O)[O-])cc1C ZINC000609383158 351500350 /nfs/dbraw/zinc/50/03/50/351500350.db2.gz LOTLICRWQFSNES-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN C[C@@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000609442945 351502511 /nfs/dbraw/zinc/50/25/11/351502511.db2.gz UJJKQLGUJXXCIO-QMMMGPOBSA-N 0 0 266.272 2.510 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@H](c2ccco2)C1 ZINC000609512807 351504586 /nfs/dbraw/zinc/50/45/86/351504586.db2.gz PWYLHQHIBBCORN-JTQLQIEISA-N 0 0 259.265 2.577 20 5 CFBDRN COc1ccc(CC(=O)N(C(C)C)C(C)C)cc1[N+](=O)[O-] ZINC000609505065 351504606 /nfs/dbraw/zinc/50/46/06/351504606.db2.gz IXGCDXUORNPEDQ-UHFFFAOYSA-N 0 0 294.351 2.791 20 5 CFBDRN COCC1CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000609571102 351507904 /nfs/dbraw/zinc/50/79/04/351507904.db2.gz YWSYIQASYMEQMO-UHFFFAOYSA-N 0 0 256.327 2.519 20 5 CFBDRN COCC1CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000609571101 351508175 /nfs/dbraw/zinc/50/81/75/351508175.db2.gz YRCDYXLQEHQDGF-UHFFFAOYSA-N 0 0 256.327 2.519 20 5 CFBDRN CCC(C)(CC)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000609580549 351510162 /nfs/dbraw/zinc/51/01/62/351510162.db2.gz ZMALOFCLFZFMKI-UHFFFAOYSA-N 0 0 294.351 2.841 20 5 CFBDRN COc1cc(CNCc2ccoc2C)c([N+](=O)[O-])cc1O ZINC000608782132 351470486 /nfs/dbraw/zinc/47/04/86/351470486.db2.gz ZXBFGHJNBVQDMB-UHFFFAOYSA-N 0 0 292.291 2.500 20 5 CFBDRN C[C@H](C[C@@H]1CCCO1)Nc1ccc([N+](=O)[O-])cc1 ZINC000608831531 351479365 /nfs/dbraw/zinc/47/93/65/351479365.db2.gz JTZPCXFPLXTTCZ-MFKMUULPSA-N 0 0 250.298 2.964 20 5 CFBDRN C[C@H](NC(=O)C1(C)CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000608847541 351480116 /nfs/dbraw/zinc/48/01/16/351480116.db2.gz PDKPROWIWYOMFP-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN Cn1ccc2c1cccc2NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000608882077 351481107 /nfs/dbraw/zinc/48/11/07/351481107.db2.gz HZIIOANQBPSNCK-UHFFFAOYSA-N 0 0 298.302 2.677 20 5 CFBDRN CSc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cn1 ZINC000608899667 351481836 /nfs/dbraw/zinc/48/18/36/351481836.db2.gz GFLADZTWTSTJIF-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN Cc1cccc2c1CC[C@H]2Nc1ccncc1[N+](=O)[O-] ZINC000609192905 351490410 /nfs/dbraw/zinc/49/04/10/351490410.db2.gz PQELJRUPXHTXOZ-CYBMUJFWSA-N 0 0 269.304 2.820 20 5 CFBDRN Cc1cccc2c1CC[C@H]2NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609189584 351490532 /nfs/dbraw/zinc/49/05/32/351490532.db2.gz ASTCMXXTTXJCPI-CQSZACIVSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2Nc1ccncc1[N+](=O)[O-] ZINC000609192907 351490711 /nfs/dbraw/zinc/49/07/11/351490711.db2.gz PQELJRUPXHTXOZ-ZDUSSCGKSA-N 0 0 269.304 2.820 20 5 CFBDRN Cc1cccc2c1CC[C@H]2NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000609189652 351490832 /nfs/dbraw/zinc/49/08/32/351490832.db2.gz DJLOJUCPQPHAAO-CYBMUJFWSA-N 0 0 299.330 2.659 20 5 CFBDRN COc1ccc(NC(=O)C2CC=CC2)c([N+](=O)[O-])c1 ZINC000119443235 186828604 /nfs/dbraw/zinc/82/86/04/186828604.db2.gz QTYMSUHCVCKGJP-UHFFFAOYSA-N 0 0 262.265 2.508 20 5 CFBDRN CCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)C1CCC1 ZINC000609993185 351530592 /nfs/dbraw/zinc/53/05/92/351530592.db2.gz MWGHZWFONPRRDJ-UHFFFAOYSA-N 0 0 277.324 2.651 20 5 CFBDRN C[C@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000610169443 351536600 /nfs/dbraw/zinc/53/66/00/351536600.db2.gz ACPMKUUFAUZVOJ-JTQLQIEISA-N 0 0 274.276 2.954 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000610210075 351540369 /nfs/dbraw/zinc/54/03/69/351540369.db2.gz USKNQAVXURLQJC-GAJTVXKRSA-N 0 0 292.360 2.825 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000610281106 351548864 /nfs/dbraw/zinc/54/88/64/351548864.db2.gz PDNKAVUCQOOBJI-GRYCIOLGSA-N 0 0 261.325 2.792 20 5 CFBDRN CC(=O)Nc1ccc(Oc2cccnc2[N+](=O)[O-])c(F)c1 ZINC000610282225 351549058 /nfs/dbraw/zinc/54/90/58/351549058.db2.gz ZPLHNYPIASGONZ-UHFFFAOYSA-N 0 0 291.238 2.880 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCCOC1CCOCC1 ZINC000610281285 351549165 /nfs/dbraw/zinc/54/91/65/351549165.db2.gz BNDWMEUYLRJOHO-UHFFFAOYSA-N 0 0 286.353 2.654 20 5 CFBDRN CCc1nc(CN(C)c2nccc(C)c2[N+](=O)[O-])cs1 ZINC000609669952 351515039 /nfs/dbraw/zinc/51/50/39/351515039.db2.gz VZKNDQIQUPHGMV-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN CC1(C)CN(Cc2cccc([N+](=O)[O-])c2)C(C)(C)CO1 ZINC000609671701 351515454 /nfs/dbraw/zinc/51/54/54/351515454.db2.gz IXCLWINZSSMROB-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN C[C@H]1CN(c2ccccc2[N+](=O)[O-])C[C@@H](C(F)(F)F)O1 ZINC000610411995 351564559 /nfs/dbraw/zinc/56/45/59/351564559.db2.gz JVDNDMVRQLCSCN-KWQFWETISA-N 0 0 290.241 2.751 20 5 CFBDRN CN1CCOc2ccc(Nc3cccnc3[N+](=O)[O-])cc21 ZINC000610469447 351567604 /nfs/dbraw/zinc/56/76/04/351567604.db2.gz YSQXFMBRSMEPJT-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CC(C)(C)OC(=O)[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000610541844 351580355 /nfs/dbraw/zinc/58/03/55/351580355.db2.gz CAWAHOUGDUDVSR-SECBINFHSA-N 0 0 298.364 2.824 20 5 CFBDRN COC1CCC(CNc2cccnc2[N+](=O)[O-])CC1 ZINC000610542241 351580442 /nfs/dbraw/zinc/58/04/42/351580442.db2.gz HTPCSNCRMLLORX-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@H](C1CC1)[N@H+](C)Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000610580926 351583908 /nfs/dbraw/zinc/58/39/08/351583908.db2.gz CGKCHEPAOAKZTJ-SECBINFHSA-N 0 0 275.308 2.966 20 5 CFBDRN COC[C@@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000610627764 351586327 /nfs/dbraw/zinc/58/63/27/351586327.db2.gz VICQMUSBTYIZBM-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CN(Cc1cn[nH]c1)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000610662126 351589458 /nfs/dbraw/zinc/58/94/58/351589458.db2.gz LHOSLOFBPLASLQ-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN CCC[C@@H](C)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000610665333 351590422 /nfs/dbraw/zinc/59/04/22/351590422.db2.gz SOUQIMMIWWKVFB-LLVKDONJSA-N 0 0 264.325 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCc3n[nH]cc3C2)c1 ZINC000610677558 351591276 /nfs/dbraw/zinc/59/12/76/351591276.db2.gz IJRDZHJOOWTOBF-LLVKDONJSA-N 0 0 272.308 2.596 20 5 CFBDRN CN(CCC1CCOCC1)c1ccc([N+](=O)[O-])s1 ZINC000610709185 351595236 /nfs/dbraw/zinc/59/52/36/351595236.db2.gz WLXPFGAOLANKQS-UHFFFAOYSA-N 0 0 270.354 2.909 20 5 CFBDRN Cc1ccnc(N(C)CCC2CCOCC2)c1[N+](=O)[O-] ZINC000610709090 351595534 /nfs/dbraw/zinc/59/55/34/351595534.db2.gz PSDTXGRBRKXQKB-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1ccccc1CC1CN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000610716318 351596507 /nfs/dbraw/zinc/59/65/07/351596507.db2.gz NNMIYBMJSFGFHH-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])nc1)[C@H]1CCCCO1 ZINC000610716714 351596599 /nfs/dbraw/zinc/59/65/99/351596599.db2.gz OPCVBABSECHBIJ-GFCCVEGCSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@H](CC(=O)NCc1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000610723045 351597383 /nfs/dbraw/zinc/59/73/83/351597383.db2.gz ZUGRZJRSVFWFEK-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000610735818 351600136 /nfs/dbraw/zinc/60/01/36/351600136.db2.gz VGOKHYRAOVIMFB-MFKMUULPSA-N 0 0 274.320 2.962 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H]2CCC[C@H]21 ZINC000610766081 351605709 /nfs/dbraw/zinc/60/57/09/351605709.db2.gz XBQZJLAOSBHBIO-JOYOIKCWSA-N 0 0 291.307 2.620 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N(C1CC1)C1CCCCC1 ZINC000610771043 351606212 /nfs/dbraw/zinc/60/62/12/351606212.db2.gz XGIIEPSCTRCMCP-UHFFFAOYSA-N 0 0 289.335 2.927 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cn2)c2ccccc21 ZINC000610771808 351606322 /nfs/dbraw/zinc/60/63/22/351606322.db2.gz CVOKFHOCIXRKPF-UHFFFAOYSA-N 0 0 297.314 2.928 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000610786413 351608023 /nfs/dbraw/zinc/60/80/23/351608023.db2.gz DATGPCQWRYRDPB-IACUBPJLSA-N 0 0 267.288 2.740 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC(F)(F)C2)cc([N+](=O)[O-])c1 ZINC000610791022 351608917 /nfs/dbraw/zinc/60/89/17/351608917.db2.gz OCSVEHFCVHNXHO-JTQLQIEISA-N 0 0 284.262 2.821 20 5 CFBDRN O=C(N[C@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])s1 ZINC000610790893 351608924 /nfs/dbraw/zinc/60/89/24/351608924.db2.gz LOLYFYZPGCWFDF-LURJTMIESA-N 0 0 276.264 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ncccc2F)s1 ZINC000611030526 351635514 /nfs/dbraw/zinc/63/55/14/351635514.db2.gz ZHVADZDZXSTWQZ-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCN(c3ccccn3)C2)s1 ZINC000611080153 351638303 /nfs/dbraw/zinc/63/83/03/351638303.db2.gz NTHXITWJYQCBOG-JTQLQIEISA-N 0 0 290.348 2.742 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1CCN(c2ccccn2)C1 ZINC000611080144 351638520 /nfs/dbraw/zinc/63/85/20/351638520.db2.gz LZPSDXAQDZNBKR-JTQLQIEISA-N 0 0 290.348 2.742 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCOC1 ZINC000291469857 198030619 /nfs/dbraw/zinc/03/06/19/198030619.db2.gz QDVZMGKAHICUOC-NSHDSACASA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(O)(C(F)(F)F)CC2)s1 ZINC000611166461 351645727 /nfs/dbraw/zinc/64/57/27/351645727.db2.gz FTNDLRZNEPQALK-UHFFFAOYSA-N 0 0 296.270 2.550 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H](CO)CC2CC2)ccc2ncccc21 ZINC000611204011 351651705 /nfs/dbraw/zinc/65/17/05/351651705.db2.gz VNXNTKUXODPQCQ-LLVKDONJSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC3(C2)CC(F)(F)C3)c1 ZINC000611214517 351652831 /nfs/dbraw/zinc/65/28/31/351652831.db2.gz GQBGIMXOCMKNJW-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCCc2cc(F)ccc21 ZINC000611228392 351653614 /nfs/dbraw/zinc/65/36/14/351653614.db2.gz SNFVSPQLWOLFNP-GFCCVEGCSA-N 0 0 290.298 2.957 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c(C)nn1 ZINC000611235059 351654214 /nfs/dbraw/zinc/65/42/14/351654214.db2.gz AUGSZMSRQGVCAR-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN COC1(C)CN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000611236338 351654511 /nfs/dbraw/zinc/65/45/11/351654511.db2.gz YVUWEWDCUBXVSF-UHFFFAOYSA-N 0 0 290.241 2.839 20 5 CFBDRN COc1cc(N2C[C@H](C)C[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000611255857 351658977 /nfs/dbraw/zinc/65/89/77/351658977.db2.gz OCTRDFCVFIBSNX-RKDXNWHRSA-N 0 0 268.288 2.977 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCO[C@@H]2CCC[C@H]21 ZINC000611262779 351660235 /nfs/dbraw/zinc/66/02/35/351660235.db2.gz JJQQAJIBJSZXMM-HVLYNSROSA-N 0 0 288.347 2.861 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CCOC2(CCCCC2)C1 ZINC000610856313 351614767 /nfs/dbraw/zinc/61/47/67/351614767.db2.gz SYSJYAUAEYXCGQ-GFCCVEGCSA-N 0 0 291.351 2.706 20 5 CFBDRN Cc1c(C(=O)N(C)CCOC(C)(C)C)cccc1[N+](=O)[O-] ZINC000610862501 351616431 /nfs/dbraw/zinc/61/64/31/351616431.db2.gz LWOZGQSYWYPRDZ-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN Cc1cc(COc2cc(C)ccc2[N+](=O)[O-])nn1C ZINC000610861216 351616905 /nfs/dbraw/zinc/61/69/05/351616905.db2.gz LTVVGWQEPGLOTD-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC(C(F)F)C1 ZINC000610871366 351617617 /nfs/dbraw/zinc/61/76/17/351617617.db2.gz IZQZSLCWFUQHNY-UHFFFAOYSA-N 0 0 290.653 2.585 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC(C(C)C)C(C)C)c1[N+](=O)[O-] ZINC000610926310 351621560 /nfs/dbraw/zinc/62/15/60/351621560.db2.gz ZNUJRFNQGQNREU-UHFFFAOYSA-N 0 0 296.371 2.852 20 5 CFBDRN C[C@@H]1CN(c2sccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000611412532 351685885 /nfs/dbraw/zinc/68/58/85/351685885.db2.gz UVEOPVNFPYRZIW-MRVPVSSYSA-N 0 0 256.327 2.660 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCOc1ncccc1F ZINC000611413644 351687007 /nfs/dbraw/zinc/68/70/07/351687007.db2.gz JRYOUJHNTRNVOU-UHFFFAOYSA-N 0 0 283.284 2.681 20 5 CFBDRN CCOC(=O)CC[C@@H](C)Nc1sccc1[N+](=O)[O-] ZINC000611414072 351687039 /nfs/dbraw/zinc/68/70/39/351687039.db2.gz FVUPVKNCBZDDNV-MRVPVSSYSA-N 0 0 272.326 2.800 20 5 CFBDRN C[C@H](CCO)C1(CNc2cccnc2[N+](=O)[O-])CCC1 ZINC000611415107 351687708 /nfs/dbraw/zinc/68/77/08/351687708.db2.gz BJYMAHPZQUIPIE-LLVKDONJSA-N 0 0 279.340 2.591 20 5 CFBDRN Cc1nc(N2CCC[C@H]2C2CCOCC2)ccc1[N+](=O)[O-] ZINC000611467785 351693338 /nfs/dbraw/zinc/69/33/38/351693338.db2.gz UAFSHIWXTHHELS-AWEZNQCLSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)NCc1cocn1 ZINC000611474267 351694704 /nfs/dbraw/zinc/69/47/04/351694704.db2.gz OFKRVXGXBLSDQI-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@H](F)C2)c(F)cc1[N+](=O)[O-] ZINC000611494157 351696816 /nfs/dbraw/zinc/69/68/16/351696816.db2.gz FBFLGXHXGMBOQK-WCBMZHEXSA-N 0 0 286.278 2.927 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](C)[C@H](F)C2)c1 ZINC000611494223 351696837 /nfs/dbraw/zinc/69/68/37/351696837.db2.gz HOSREFBSOBQLNL-GXSJLCMTSA-N 0 0 268.288 2.788 20 5 CFBDRN C[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@H]1F ZINC000611502641 351700404 /nfs/dbraw/zinc/70/04/04/351700404.db2.gz WPZUJDASBOYADY-WCBMZHEXSA-N 0 0 258.318 2.836 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](C)[C@@H](F)C1 ZINC000611507138 351700934 /nfs/dbraw/zinc/70/09/34/351700934.db2.gz YHIUZGXXIUNUON-RNCFNFMXSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@H](C)[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000611506539 351701089 /nfs/dbraw/zinc/70/10/89/351701089.db2.gz OJHPOMWOEJDWFC-GWCFXTLKSA-N 0 0 294.326 2.652 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@@H]1F ZINC000611507206 351701113 /nfs/dbraw/zinc/70/11/13/351701113.db2.gz YXCLWFNOQJKQAX-KCJUWKMLSA-N 0 0 284.262 2.554 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@@H](C)[C@@H](F)C2)c1[N+](=O)[O-] ZINC000611506634 351701218 /nfs/dbraw/zinc/70/12/18/351701218.db2.gz PHULTZBLPJTUJO-SKDRFNHKSA-N 0 0 280.299 2.723 20 5 CFBDRN COc1cc(CS[C@H](C)CCO)ccc1[N+](=O)[O-] ZINC000611509358 351701799 /nfs/dbraw/zinc/70/17/99/351701799.db2.gz KYVOAKDBCGHSSO-SECBINFHSA-N 0 0 271.338 2.608 20 5 CFBDRN C[C@@H](NC(=O)N1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000611510951 351701807 /nfs/dbraw/zinc/70/18/07/351701807.db2.gz MWLXOGIPDDFFPS-LLVKDONJSA-N 0 0 275.308 2.627 20 5 CFBDRN O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CC=CCC1 ZINC000611513098 351702404 /nfs/dbraw/zinc/70/24/04/351702404.db2.gz CYQXSTSDZDUXTF-UHFFFAOYSA-N 0 0 287.319 2.571 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N2CC=CCC2)cc1[N+](=O)[O-] ZINC000611513366 351702426 /nfs/dbraw/zinc/70/24/26/351702426.db2.gz CEBPQCYIVJOORK-GFCCVEGCSA-N 0 0 289.335 2.936 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CC=CCC1 ZINC000611517896 351703887 /nfs/dbraw/zinc/70/38/87/351703887.db2.gz RNHKNJLYUMQZCG-UHFFFAOYSA-N 0 0 266.684 2.650 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ncc(Cl)s1 ZINC000611528318 351705017 /nfs/dbraw/zinc/70/50/17/351705017.db2.gz PZMNOXRNUSVLGF-UHFFFAOYSA-N 0 0 298.711 2.539 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC(c2ncc[nH]2)CC1 ZINC000611289985 351664448 /nfs/dbraw/zinc/66/44/48/351664448.db2.gz NQGPAUNQKRHLLV-UHFFFAOYSA-N 0 0 278.337 2.763 20 5 CFBDRN CCOc1cc(N2CCCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000611298363 351665129 /nfs/dbraw/zinc/66/51/29/351665129.db2.gz OISGXJDVMIYHJN-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)[nH]n1 ZINC000611297441 351665398 /nfs/dbraw/zinc/66/53/98/351665398.db2.gz YWNWZGZAOLLITP-UHFFFAOYSA-N 0 0 298.249 2.840 20 5 CFBDRN CC(C)[C@@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611306953 351667032 /nfs/dbraw/zinc/66/70/32/351667032.db2.gz GJADPRBCBVJTJK-PWSUYJOCSA-N 0 0 265.313 2.605 20 5 CFBDRN COCC1(C)CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000611338954 351670800 /nfs/dbraw/zinc/67/08/00/351670800.db2.gz FFDXUJKMVPVRCJ-UHFFFAOYSA-N 0 0 270.354 2.909 20 5 CFBDRN COCC1(C)CCN(c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000611338956 351670942 /nfs/dbraw/zinc/67/09/42/351670942.db2.gz FLSGJWNKGOUMNR-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])s1)[C@@H]1CCCOC1 ZINC000611540291 351706013 /nfs/dbraw/zinc/70/60/13/351706013.db2.gz ACJJDQARZOUCRH-RKDXNWHRSA-N 0 0 256.327 2.883 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1nc(C)ccc1[N+](=O)[O-] ZINC000611373295 351676368 /nfs/dbraw/zinc/67/63/68/351676368.db2.gz GKOITUJOJOBWDC-PWSUYJOCSA-N 0 0 265.313 2.525 20 5 CFBDRN O=C(NC1CC=CC1)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000611618351 351716377 /nfs/dbraw/zinc/71/63/77/351716377.db2.gz ZFLLGBHHEGMUNA-UHFFFAOYSA-N 0 0 298.245 2.645 20 5 CFBDRN COc1cc(N2CCC[C@H](OC)CC2)c(F)cc1[N+](=O)[O-] ZINC000611931481 351751976 /nfs/dbraw/zinc/75/19/76/351751976.db2.gz ZQTUDEGUFYJJPK-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H](O)C2CCCCC2)n1 ZINC000611615919 351714390 /nfs/dbraw/zinc/71/43/90/351714390.db2.gz HMDXSRDLTYYMTA-CYBMUJFWSA-N 0 0 293.367 2.960 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCO[C@H]2C2CC2)n1 ZINC000611617338 351715157 /nfs/dbraw/zinc/71/51/57/351715157.db2.gz GHBNLCBLSXKKJD-AAEUAGOBSA-N 0 0 277.324 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@]2(C)CCCO2)n1 ZINC000611617198 351715171 /nfs/dbraw/zinc/71/51/71/351715171.db2.gz OTBYCLUIDUYJFP-CYBMUJFWSA-N 0 0 265.313 2.588 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(S[C@H]2CCO[C@@H]2C)n1 ZINC000611617266 351715472 /nfs/dbraw/zinc/71/54/72/351715472.db2.gz AGIVCEHWLUZXGG-ZJUUUORDSA-N 0 0 268.338 2.876 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)[C@@H]2CCSC2)n1 ZINC000611617470 351715568 /nfs/dbraw/zinc/71/55/68/351715568.db2.gz YMJXYKAUINLLLT-SNVBAGLBSA-N 0 0 267.354 2.548 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCO[C@@H]2C2CC2)n1 ZINC000611617344 351715716 /nfs/dbraw/zinc/71/57/16/351715716.db2.gz GHBNLCBLSXKKJD-WCQYABFASA-N 0 0 277.324 2.586 20 5 CFBDRN C[C@H](CN(C)c1cccnc1[N+](=O)[O-])c1nccs1 ZINC000612115379 351777384 /nfs/dbraw/zinc/77/73/84/351777384.db2.gz GDFNLUUYHCMBRQ-SECBINFHSA-N 0 0 278.337 2.686 20 5 CFBDRN CCc1nnc(COc2cccc([N+](=O)[O-])c2C)s1 ZINC000612016951 351761539 /nfs/dbraw/zinc/76/15/39/351761539.db2.gz ZNEQTJPKNSXXIN-UHFFFAOYSA-N 0 0 279.321 2.896 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000121840162 187000020 /nfs/dbraw/zinc/00/00/20/187000020.db2.gz ZFCMELLCMADNGZ-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000121855680 187001130 /nfs/dbraw/zinc/00/11/30/187001130.db2.gz PCMCFUJASDDMTQ-JTQLQIEISA-N 0 0 282.315 2.827 20 5 CFBDRN C[C@H](C(=O)NC1CC=CC1)c1ccc([N+](=O)[O-])cc1F ZINC000612478942 351825298 /nfs/dbraw/zinc/82/52/98/351825298.db2.gz VBVAVDCXZCVWDC-VIFPVBQESA-N 0 0 278.283 2.672 20 5 CFBDRN Cc1cc(C(=O)Nc2cccnc2)cc([N+](=O)[O-])c1 ZINC000121931981 187005949 /nfs/dbraw/zinc/00/59/49/187005949.db2.gz FKVQXNBZKYGCND-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN CCC(=CC(=O)NCc1ccccc1[N+](=O)[O-])CC ZINC000122028446 187011009 /nfs/dbraw/zinc/01/10/09/187011009.db2.gz NYMJHAAAYVNQMR-UHFFFAOYSA-N 0 0 262.309 2.957 20 5 CFBDRN CC(C)(C)C(=O)CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000122272298 187024259 /nfs/dbraw/zinc/02/42/59/187024259.db2.gz XNQVOMAKPYLFKG-UHFFFAOYSA-N 0 0 298.726 2.593 20 5 CFBDRN Cc1cccc(NC(=O)NCc2ccccc2[N+](=O)[O-])n1 ZINC000122314024 187026243 /nfs/dbraw/zinc/02/62/43/187026243.db2.gz VTBYYKHOEZXEKU-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1c[nH]nc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000291738933 198076253 /nfs/dbraw/zinc/07/62/53/198076253.db2.gz OPSOGAAWACOYIA-UHFFFAOYSA-N 0 0 274.324 2.651 20 5 CFBDRN Cc1cc(C(=O)N(C)C[C@@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000122523122 187036685 /nfs/dbraw/zinc/03/66/85/187036685.db2.gz BJWQUEVPEVFDSU-AWEZNQCLSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1nc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2)cs1 ZINC000122605272 187040651 /nfs/dbraw/zinc/04/06/51/187040651.db2.gz RJOHEDWOPKJZGS-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000123186763 187076378 /nfs/dbraw/zinc/07/63/78/187076378.db2.gz ROQVSDKSSPWQDZ-CQSZACIVSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cnc(NC[C@@H]2CSCCS2)c([N+](=O)[O-])c1 ZINC000122916376 187059600 /nfs/dbraw/zinc/05/96/00/187059600.db2.gz KCBDXFGJOODMBR-SECBINFHSA-N 0 0 285.394 2.559 20 5 CFBDRN COC[C@H]1CCN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000291760217 198083200 /nfs/dbraw/zinc/08/32/00/198083200.db2.gz AYMURTILHQKWMN-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@H]1CC[C@@H](C(F)(F)F)CN1c1ncc([N+](=O)[O-])cn1 ZINC000612794894 351862540 /nfs/dbraw/zinc/86/25/40/351862540.db2.gz GNVCZZOFNGTDOD-JGVFFNPUSA-N 0 0 290.245 2.552 20 5 CFBDRN Cc1cccc(CN(C)c2ncc([N+](=O)[O-])cn2)c1C ZINC000291893067 198097790 /nfs/dbraw/zinc/09/77/90/198097790.db2.gz PFUUINBHHGQAHH-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN COCCCCCNc1nc(C)ccc1[N+](=O)[O-] ZINC000123496574 187095577 /nfs/dbraw/zinc/09/55/77/187095577.db2.gz JZAXLLYABQRDRF-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN COc1ccc(-c2nc(C3CCC3)no2)cc1[N+](=O)[O-] ZINC000612897029 351875790 /nfs/dbraw/zinc/87/57/90/351875790.db2.gz GGNUPTNHBSOCBR-UHFFFAOYSA-N 0 0 275.264 2.921 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(C2CCC2)no1 ZINC000612896903 351875903 /nfs/dbraw/zinc/87/59/03/351875903.db2.gz CPNAWYVHQAHOIH-UHFFFAOYSA-N 0 0 260.253 2.616 20 5 CFBDRN C[C@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000123914303 187114736 /nfs/dbraw/zinc/11/47/36/187114736.db2.gz JAZBGJDMMVHGBO-MWLCHTKSSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1nn(C)cc1CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000123961850 187116228 /nfs/dbraw/zinc/11/62/28/187116228.db2.gz BJRQNDNHOAGYRH-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN C[C@]1(O)CCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000123986669 187117766 /nfs/dbraw/zinc/11/77/66/187117766.db2.gz BFWBLXFFZNFJGR-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN O=C1OCC[C@H]1CCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000130561869 187504406 /nfs/dbraw/zinc/50/44/06/187504406.db2.gz OHJBJUBEUAYUME-MRVPVSSYSA-N 0 0 285.683 2.580 20 5 CFBDRN CCOc1cccc(N2CCO[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000613049012 351894735 /nfs/dbraw/zinc/89/47/35/351894735.db2.gz OCCSUQIGGMYWLJ-CQSZACIVSA-N 0 0 294.351 2.855 20 5 CFBDRN CC[C@@H](C)COc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000613109244 351898588 /nfs/dbraw/zinc/89/85/88/351898588.db2.gz XAUJNADDDLFALT-SECBINFHSA-N 0 0 267.281 2.806 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2c1cccc2F ZINC000613114507 351899737 /nfs/dbraw/zinc/89/97/37/351899737.db2.gz SEBICHWUYUICAG-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC1CC=CC1 ZINC000613188798 351911592 /nfs/dbraw/zinc/91/15/92/351911592.db2.gz FSIOFSYEFXJJSE-ZDUSSCGKSA-N 0 0 288.347 2.998 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)[C@H]1CC2CCC1CC2 ZINC000381801986 539827289 /nfs/dbraw/zinc/82/72/89/539827289.db2.gz CESPLAQEFYQZHS-HBIQZDMRSA-N 0 0 278.356 2.955 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])c3ncccc23)nn1C ZINC000613643456 351991663 /nfs/dbraw/zinc/99/16/63/351991663.db2.gz KSWVYDYESANMRL-UHFFFAOYSA-N 0 0 298.302 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccsc2)s1 ZINC000613702069 351999804 /nfs/dbraw/zinc/99/98/04/351999804.db2.gz MWNMGZDPCXTISV-QMMMGPOBSA-N 0 0 270.335 2.863 20 5 CFBDRN CC[C@@H](C)C[S@@](=O)Cc1cc([N+](=O)[O-])ccc1OC ZINC000131640989 187577792 /nfs/dbraw/zinc/57/77/92/187577792.db2.gz KZQJJVZQXUAESF-DGIBIBHMSA-N 0 0 285.365 2.898 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000934911503 649850439 /nfs/dbraw/zinc/85/04/39/649850439.db2.gz OHDBVRGNTIHTJK-JTQLQIEISA-N 0 0 298.314 2.855 20 5 CFBDRN CC(C)(CC(F)(F)F)Nc1ncc([N+](=O)[O-])cn1 ZINC000614830568 352125225 /nfs/dbraw/zinc/12/52/25/352125225.db2.gz KRGSWAXNPHVBLT-UHFFFAOYSA-N 0 0 264.207 2.528 20 5 CFBDRN CCC[C@H](O)Cc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000614499403 352099943 /nfs/dbraw/zinc/09/99/43/352099943.db2.gz RTPGNZXIFHEJHW-NSHDSACASA-N 0 0 291.307 2.657 20 5 CFBDRN CCC[C@H](O)Cc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000614499774 352100219 /nfs/dbraw/zinc/10/02/19/352100219.db2.gz LUJAEUYHJQDFPV-NSHDSACASA-N 0 0 291.307 2.657 20 5 CFBDRN CSc1nnc(CNc2ccsc2[N+](=O)[O-])s1 ZINC000614982365 352139161 /nfs/dbraw/zinc/13/91/61/352139161.db2.gz ZGYMESUFDZOSQE-UHFFFAOYSA-N 0 0 288.379 2.842 20 5 CFBDRN C=CC(C)=CCC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000616035079 352276633 /nfs/dbraw/zinc/27/66/33/352276633.db2.gz GKMDYNVXZDEFIB-WTKPLQERSA-N 0 0 286.291 2.932 20 5 CFBDRN Cc1ccc(CN(C)c2ncc(C)cc2[N+](=O)[O-])nc1 ZINC000616064610 352280935 /nfs/dbraw/zinc/28/09/35/352280935.db2.gz FJPRQHZVTSZLIA-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NCCCF ZINC000616175273 352296115 /nfs/dbraw/zinc/29/61/15/352296115.db2.gz ZRLSLYBWTYFELI-UHFFFAOYSA-N 0 0 274.679 2.646 20 5 CFBDRN Cc1ccnc(CSc2ccc([N+](=O)[O-])cn2)c1 ZINC000616189982 352301292 /nfs/dbraw/zinc/30/12/92/352301292.db2.gz IEYGWKWNWULDQL-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cc(C)ccn1 ZINC000616199381 352302751 /nfs/dbraw/zinc/30/27/51/352302751.db2.gz LUBCXHNFUDMSAE-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1ccnc(Cn2cnc(-c3ccc([N+](=O)[O-])cc3)n2)c1 ZINC000616211611 352305477 /nfs/dbraw/zinc/30/54/77/352305477.db2.gz MHDXPJYKOLAHAX-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN Cc1ccnc(COc2nc(C)cc(C)c2[N+](=O)[O-])c1 ZINC000616217891 352306174 /nfs/dbraw/zinc/30/61/74/352306174.db2.gz DBMDTHZCDNWIDZ-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@H]1C[C@@H](C)O ZINC000133155494 187668748 /nfs/dbraw/zinc/66/87/48/187668748.db2.gz IQBKZFJQXNRNDQ-VXGBXAGGSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@H](C(C)C)C2)n1 ZINC000271509426 191181849 /nfs/dbraw/zinc/18/18/49/191181849.db2.gz YLDPSNVLFSIABG-YPMHNXCESA-N 0 0 279.340 2.914 20 5 CFBDRN C[C@@](O)(CNc1cccnc1[N+](=O)[O-])C1CCCCC1 ZINC000616391875 352338961 /nfs/dbraw/zinc/33/89/61/352338961.db2.gz AKNUEWITTPMCCD-CQSZACIVSA-N 0 0 279.340 2.733 20 5 CFBDRN CCc1nnc([C@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000271540096 191200213 /nfs/dbraw/zinc/20/02/13/191200213.db2.gz QQYXURKTYPNSEM-ZJUUUORDSA-N 0 0 289.339 2.687 20 5 CFBDRN CC[C@]1(NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CCOC1 ZINC000616744331 352426752 /nfs/dbraw/zinc/42/67/52/352426752.db2.gz DERODENWXIDXHF-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN CC[C@@]1(NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CCOC1 ZINC000616744333 352426945 /nfs/dbraw/zinc/42/69/45/352426945.db2.gz DERODENWXIDXHF-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1cc(C)ncn1 ZINC000292076793 198154131 /nfs/dbraw/zinc/15/41/31/198154131.db2.gz LZILHNKEAALYFC-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CN(C)c1ccccc1CNc1cccnc1[N+](=O)[O-] ZINC000617205598 352513597 /nfs/dbraw/zinc/51/35/97/352513597.db2.gz VWESDIJUZVNYMX-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN CCOc1cc(CN2CCCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000617214917 352517790 /nfs/dbraw/zinc/51/77/90/352517790.db2.gz YHGFYLAPAALSCY-LBPRGKRZSA-N 0 0 294.351 2.604 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)C1CCC1 ZINC000617383130 352553464 /nfs/dbraw/zinc/55/34/64/352553464.db2.gz LTLYEMKIHYXIAP-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000134528384 187758224 /nfs/dbraw/zinc/75/82/24/187758224.db2.gz NFFGVGIWURNCOB-JGVFFNPUSA-N 0 0 268.700 2.634 20 5 CFBDRN COC1(C)CN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C1 ZINC000617462263 352566951 /nfs/dbraw/zinc/56/69/51/352566951.db2.gz XQZGZCNPSGTQJV-UHFFFAOYSA-N 0 0 294.351 2.997 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000617709608 352630386 /nfs/dbraw/zinc/63/03/86/352630386.db2.gz NOJROLFEVPQKJQ-GHMZBOCLSA-N 0 0 276.336 2.861 20 5 CFBDRN COC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000271616545 191241396 /nfs/dbraw/zinc/24/13/96/191241396.db2.gz ASMLXMVZJZDRMB-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cccc([N+](=O)[O-])c1C ZINC000292131483 198175283 /nfs/dbraw/zinc/17/52/83/198175283.db2.gz PUQMRRGLARONGW-VIFPVBQESA-N 0 0 266.297 2.611 20 5 CFBDRN CC[C@]1(C)CN(Cc2csc([N+](=O)[O-])c2)CCO1 ZINC000135688148 187848692 /nfs/dbraw/zinc/84/86/92/187848692.db2.gz DDCXJXUYRIYOAZ-GFCCVEGCSA-N 0 0 270.354 2.657 20 5 CFBDRN CCCCOCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271638526 191253677 /nfs/dbraw/zinc/25/36/77/191253677.db2.gz ALLFFJUZNHIVFH-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN COc1cc(NC[C@](C)(OC)C2CC2)ccc1[N+](=O)[O-] ZINC000292210658 198203277 /nfs/dbraw/zinc/20/32/77/198203277.db2.gz DDRGXHGKQKBVCC-AWEZNQCLSA-N 0 0 280.324 2.830 20 5 CFBDRN CCOc1cc(N2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000618984019 352926958 /nfs/dbraw/zinc/92/69/58/352926958.db2.gz QDBRZNAISIISSX-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCC[N@@H+]1Cc1c(F)cccc1[N+](=O)[O-] ZINC000619179651 352973214 /nfs/dbraw/zinc/97/32/14/352973214.db2.gz ZAGQMCZYZYBLKN-VXGBXAGGSA-N 0 0 296.342 2.859 20 5 CFBDRN CSc1cccc(C(=O)N2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000619146994 352965903 /nfs/dbraw/zinc/96/59/03/352965903.db2.gz JFMVIKJFBXSSGW-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)(F)C1 ZINC000619419402 353019550 /nfs/dbraw/zinc/01/95/50/353019550.db2.gz MFANVJBCFALJIC-VIFPVBQESA-N 0 0 298.339 2.646 20 5 CFBDRN C[C@@H](C(=O)N1CCC(F)(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000619457593 353026452 /nfs/dbraw/zinc/02/64/52/353026452.db2.gz ZJXUPJUCWJVDBU-SNVBAGLBSA-N 0 0 298.289 2.956 20 5 CFBDRN Cc1ccnc(N2CCC(F)(F)CC2)c1[N+](=O)[O-] ZINC000619504267 353030506 /nfs/dbraw/zinc/03/05/06/353030506.db2.gz ZHTIWDRLJCKFDF-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2COc3ccccc3O2)cc1 ZINC000619544498 353034760 /nfs/dbraw/zinc/03/47/60/353034760.db2.gz LKAONOVHZTYQQR-CYBMUJFWSA-N 0 0 287.271 2.814 20 5 CFBDRN Cc1nc(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)co1 ZINC000619866750 353069268 /nfs/dbraw/zinc/06/92/68/353069268.db2.gz QVKIHDJHHQAQEW-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000292265203 198223577 /nfs/dbraw/zinc/22/35/77/198223577.db2.gz RRMYQRMOXGRHCC-SECBINFHSA-N 0 0 266.297 2.611 20 5 CFBDRN COc1cnccc1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000619570166 353037517 /nfs/dbraw/zinc/03/75/17/353037517.db2.gz SDKWHHRQQNANGV-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1ncccc1F ZINC000619574421 353037620 /nfs/dbraw/zinc/03/76/20/353037620.db2.gz XTPKVSVFIDASLM-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN CCC(C)(C)N(C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000619591095 353038440 /nfs/dbraw/zinc/03/84/40/353038440.db2.gz MJYDRVMQSRVOSJ-UHFFFAOYSA-N 0 0 279.340 2.925 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H]2C(F)(F)F)ccc1[N+](=O)[O-] ZINC000619698207 353052897 /nfs/dbraw/zinc/05/28/97/353052897.db2.gz JPIXYRRKIZCKMY-RNFRBKRXSA-N 0 0 261.203 2.661 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(C3CCOCC3)C2)c(Cl)c1 ZINC000619699417 353053040 /nfs/dbraw/zinc/05/30/40/353053040.db2.gz SENKFZCJUJFLRF-UHFFFAOYSA-N 0 0 297.742 2.506 20 5 CFBDRN CCCC1(CNC(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000152078527 187994544 /nfs/dbraw/zinc/99/45/44/187994544.db2.gz ZZSXAXFBYVEGPB-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000152326157 188009715 /nfs/dbraw/zinc/00/97/15/188009715.db2.gz RQEHIJVMQHVEQP-NSHDSACASA-N 0 0 279.340 2.829 20 5 CFBDRN CC[C@H](SC)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000152963310 188056257 /nfs/dbraw/zinc/05/62/57/188056257.db2.gz BEGRETZICFGZCU-JTQLQIEISA-N 0 0 273.285 2.781 20 5 CFBDRN CC1(C)C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000153131445 188067202 /nfs/dbraw/zinc/06/72/02/188067202.db2.gz WXLPHCTXTRQIAB-LLVKDONJSA-N 0 0 293.323 2.674 20 5 CFBDRN CCCc1noc(CN[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271668442 191267340 /nfs/dbraw/zinc/26/73/40/191267340.db2.gz AKKNJSLOXVUMOJ-SNVBAGLBSA-N 0 0 290.323 2.781 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccccc1[N+](=O)[O-])OC ZINC000292386615 198267917 /nfs/dbraw/zinc/26/79/17/198267917.db2.gz RWIXFLPSLJIFSS-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN Cc1ccccc1SCCn1cc([N+](=O)[O-])cn1 ZINC000153811157 188105728 /nfs/dbraw/zinc/10/57/28/188105728.db2.gz XIPNONKRAISWFU-UHFFFAOYSA-N 0 0 263.322 2.892 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)Cc1occc1C ZINC000292415051 198279350 /nfs/dbraw/zinc/27/93/50/198279350.db2.gz WBXQWPXJTOYIJC-UHFFFAOYSA-N 0 0 278.312 2.657 20 5 CFBDRN C[C@@H](NC(=O)C1SCCS1)c1ccccc1[N+](=O)[O-] ZINC000271672478 191270504 /nfs/dbraw/zinc/27/05/04/191270504.db2.gz DLXBNZSHXMZINU-MRVPVSSYSA-N 0 0 298.389 2.578 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCOC2(CCC2)C1 ZINC000154148032 188125842 /nfs/dbraw/zinc/12/58/42/188125842.db2.gz JYDYUZOCFUPLQN-GFCCVEGCSA-N 0 0 276.336 2.911 20 5 CFBDRN COc1ccc(-c2nc(C(C)(C)OC)no2)cc1[N+](=O)[O-] ZINC000154236769 188131221 /nfs/dbraw/zinc/13/12/21/188131221.db2.gz VCBBGJJSQPAZFJ-UHFFFAOYSA-N 0 0 293.279 2.535 20 5 CFBDRN CS[C@H](C)CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000154672231 188156467 /nfs/dbraw/zinc/15/64/67/188156467.db2.gz KMYHMODFDBMJOL-MRVPVSSYSA-N 0 0 283.353 2.776 20 5 CFBDRN Cn1nccc1[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000362473829 282881294 /nfs/dbraw/zinc/88/12/94/282881294.db2.gz PENLIDXWLJBVIQ-LBPRGKRZSA-N 0 0 286.335 2.712 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@]1(C)CCCS1 ZINC000154746125 188159621 /nfs/dbraw/zinc/15/96/21/188159621.db2.gz UZKJIGKUJQFPPJ-CQSZACIVSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@]2(C)CCCS2)c1 ZINC000154775400 188160791 /nfs/dbraw/zinc/16/07/91/188160791.db2.gz JXAAIERRMBWHFS-CQSZACIVSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1ccc(NC(=O)C2(CF)CCC2)cc1[N+](=O)[O-] ZINC000566254261 290363046 /nfs/dbraw/zinc/36/30/46/290363046.db2.gz UZNWMLZUIXCIIZ-UHFFFAOYSA-N 0 0 266.272 2.982 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCOC[C@@H]1C ZINC000295647904 199603496 /nfs/dbraw/zinc/60/34/96/199603496.db2.gz HDIPDZDRVIPMRU-LBPRGKRZSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1cc(NCCO[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000292443507 198290630 /nfs/dbraw/zinc/29/06/30/198290630.db2.gz WEHZZLXQMSMMKY-CQSZACIVSA-N 0 0 280.324 2.858 20 5 CFBDRN C/C=C/CSc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1 ZINC000155597342 188215511 /nfs/dbraw/zinc/21/55/11/188215511.db2.gz FWIDCHYUBRRXAQ-ONEGZZNKSA-N 0 0 287.362 2.667 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000155354788 188201408 /nfs/dbraw/zinc/20/14/08/188201408.db2.gz WRFGBHUVJMULGN-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCO[C@H]1CCCCO1 ZINC000292481687 198304563 /nfs/dbraw/zinc/30/45/63/198304563.db2.gz ZNPNVJKTVODWIH-LBPRGKRZSA-N 0 0 284.287 2.689 20 5 CFBDRN COC(=O)C[C@H]1CCCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000292500948 198311182 /nfs/dbraw/zinc/31/11/82/198311182.db2.gz FSILFZHOOREZAM-SNVBAGLBSA-N 0 0 296.298 2.574 20 5 CFBDRN CS[C@H](CO)[C@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292508955 198314531 /nfs/dbraw/zinc/31/45/31/198314531.db2.gz GHBRCUXPFREKLH-QPUJVOFHSA-N 0 0 288.344 2.567 20 5 CFBDRN CO[C@](C)(C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000292515191 198316843 /nfs/dbraw/zinc/31/68/43/198316843.db2.gz GQHKNWODFRHCBJ-BMIGLBTASA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000155788124 188227536 /nfs/dbraw/zinc/22/75/36/188227536.db2.gz YOJQKADUFXTFOS-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000157343968 188310552 /nfs/dbraw/zinc/31/05/52/188310552.db2.gz KSRQFBGUYFBTKY-NSHDSACASA-N 0 0 291.351 2.829 20 5 CFBDRN CCc1nc(COc2cccc(Cl)c2[N+](=O)[O-])no1 ZINC000292597615 198345817 /nfs/dbraw/zinc/34/58/17/198345817.db2.gz HJVPDOFZDGUYKR-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN O=C(COc1cccc(Cl)c1[N+](=O)[O-])C1CCOCC1 ZINC000292648230 198361861 /nfs/dbraw/zinc/36/18/61/198361861.db2.gz MGEYJYVXQYXQLV-UHFFFAOYSA-N 0 0 299.710 2.623 20 5 CFBDRN Cc1c(NC(=O)C2=C(C)OCCC2)cccc1[N+](=O)[O-] ZINC000158180473 188362637 /nfs/dbraw/zinc/36/26/37/188362637.db2.gz GORGJLQIGIMZGF-UHFFFAOYSA-N 0 0 276.292 2.926 20 5 CFBDRN Cc1nnc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC000271692096 191279148 /nfs/dbraw/zinc/27/91/48/191279148.db2.gz RPVRQIYAMAHUTF-MRVPVSSYSA-N 0 0 278.337 2.606 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C)c1)C(C)(C)O ZINC000292688839 198376531 /nfs/dbraw/zinc/37/65/31/198376531.db2.gz FHKLDBUIUYIIQZ-LBPRGKRZSA-N 0 0 252.314 2.865 20 5 CFBDRN COCc1nsc(N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271694858 191280511 /nfs/dbraw/zinc/28/05/11/191280511.db2.gz MLLCPTLNFKIRQY-QMMMGPOBSA-N 0 0 294.336 2.766 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1C(C)=O)C1CC1 ZINC000292694200 198378751 /nfs/dbraw/zinc/37/87/51/198378751.db2.gz FTDUHAWJRMVANS-AWEZNQCLSA-N 0 0 278.308 2.634 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1C(C)=O)C1CC1 ZINC000292694204 198378765 /nfs/dbraw/zinc/37/87/65/198378765.db2.gz FTDUHAWJRMVANS-CQSZACIVSA-N 0 0 278.308 2.634 20 5 CFBDRN CCOC1(CNc2ncccc2[N+](=O)[O-])CCCC1 ZINC000292704998 198383240 /nfs/dbraw/zinc/38/32/40/198383240.db2.gz GGFZVHDPMBTDHJ-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(S[C@@H]2CCO[C@H]2C)n1 ZINC000158749855 188392251 /nfs/dbraw/zinc/39/22/51/188392251.db2.gz KBMUXQGZRDLDPT-WCBMZHEXSA-N 0 0 254.311 2.568 20 5 CFBDRN C[C@H](O)C(C)(C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000159432864 188425288 /nfs/dbraw/zinc/42/52/88/188425288.db2.gz RGBKRLYEKYXSKV-ZETCQYMHSA-N 0 0 258.705 2.820 20 5 CFBDRN CCOC(C)(C)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000159541575 188430782 /nfs/dbraw/zinc/43/07/82/188430782.db2.gz WSWPEYWPNWBCFH-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN Cc1ccc(N[C@@H](C)[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000159607855 188434262 /nfs/dbraw/zinc/43/42/62/188434262.db2.gz FBJIBSSBBRMBEO-QWRGUYRKSA-N 0 0 250.298 2.740 20 5 CFBDRN CO[C@H](CNc1c(C)cccc1[N+](=O)[O-])C1CC1 ZINC000292814543 198420722 /nfs/dbraw/zinc/42/07/22/198420722.db2.gz OKTVRKGVBSWKOI-GFCCVEGCSA-N 0 0 250.298 2.740 20 5 CFBDRN COC1(CCNc2cccc([N+](=O)[O-])c2)CCC1 ZINC000292867771 198439655 /nfs/dbraw/zinc/43/96/55/198439655.db2.gz SQVUCJSDCYGCPD-UHFFFAOYSA-N 0 0 250.298 2.966 20 5 CFBDRN C[C@H]1CN(CC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000292861511 198436749 /nfs/dbraw/zinc/43/67/49/198436749.db2.gz AIFZCHAVYSYMBV-IUCAKERBSA-N 0 0 297.742 2.527 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCO[C@H](C2CC2)C1 ZINC000160366363 188468251 /nfs/dbraw/zinc/46/82/51/188468251.db2.gz MJNDITPUCFXXST-AAEUAGOBSA-N 0 0 277.324 2.668 20 5 CFBDRN CCCn1c(C)nnc1COc1cc(F)ccc1[N+](=O)[O-] ZINC000161193935 188513494 /nfs/dbraw/zinc/51/34/94/188513494.db2.gz IHAAIZGNUFYZBB-UHFFFAOYSA-N 0 0 294.286 2.623 20 5 CFBDRN C[C@H](NC(=O)NC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000271724804 191291041 /nfs/dbraw/zinc/29/10/41/191291041.db2.gz SBVVVGYTIHYMRM-VIFPVBQESA-N 0 0 263.297 2.508 20 5 CFBDRN CC(C)[C@H]1C[C@H](NC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000271725430 191292716 /nfs/dbraw/zinc/29/27/16/191292716.db2.gz ULWQASRRFXHVCX-GHMZBOCLSA-N 0 0 298.364 2.590 20 5 CFBDRN CC[C@H](CSC)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000163804021 188616040 /nfs/dbraw/zinc/61/60/40/188616040.db2.gz UTHPPDAWFJQMJF-SECBINFHSA-N 0 0 255.343 2.852 20 5 CFBDRN CC(C)(CNC(=O)CCC(C)(C)[N+](=O)[O-])c1cccs1 ZINC000295329179 199461794 /nfs/dbraw/zinc/46/17/94/199461794.db2.gz TYXVBSFMJDKGDE-UHFFFAOYSA-N 0 0 298.408 2.977 20 5 CFBDRN COC1(CNC(=O)c2cc([N+](=O)[O-])ccc2C)CCCC1 ZINC000295353996 199472711 /nfs/dbraw/zinc/47/27/11/199472711.db2.gz NNUWSGMFLFBIBE-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000271750379 191305477 /nfs/dbraw/zinc/30/54/77/191305477.db2.gz KMXMJOQAMXTSOA-CABZTGNLSA-N 0 0 280.349 2.668 20 5 CFBDRN C[C@@H](NC(=O)NC1(C)CCC1)c1ccccc1[N+](=O)[O-] ZINC000271758927 191309659 /nfs/dbraw/zinc/30/96/59/191309659.db2.gz UYQLPBCCAZWQRM-SNVBAGLBSA-N 0 0 277.324 2.898 20 5 CFBDRN CC[C@H](c1ccncc1)N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295713232 199631393 /nfs/dbraw/zinc/63/13/93/199631393.db2.gz CVUNDRSWJLFPOU-CYBMUJFWSA-N 0 0 293.367 2.827 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000171877274 188764998 /nfs/dbraw/zinc/76/49/98/188764998.db2.gz CNTGCBBFEWTLNH-JTQLQIEISA-N 0 0 280.324 2.722 20 5 CFBDRN CCCCS(=O)(=O)Oc1ccc([N+](=O)[O-])cc1Cl ZINC000172958879 188835815 /nfs/dbraw/zinc/83/58/15/188835815.db2.gz GSHREHIUSJBUSQ-UHFFFAOYSA-N 0 0 293.728 2.757 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000173241671 188847511 /nfs/dbraw/zinc/84/75/11/188847511.db2.gz SBDRZLMGDUXOBO-VXGBXAGGSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H](NC(=O)C(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000271810982 191340950 /nfs/dbraw/zinc/34/09/50/191340950.db2.gz OJWQVQWGOYPVOL-SECBINFHSA-N 0 0 250.298 2.818 20 5 CFBDRN Cc1ccnc(NCC2([C@@H](C)CCO)CCC2)c1[N+](=O)[O-] ZINC000271811753 191343189 /nfs/dbraw/zinc/34/31/89/191343189.db2.gz MFOCJCSPZLZFBG-LBPRGKRZSA-N 0 0 293.367 2.899 20 5 CFBDRN CC(C)[C@@H](O)C1(CNc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000271815441 191344620 /nfs/dbraw/zinc/34/46/20/191344620.db2.gz MRMHRWYEJMTENP-CYBMUJFWSA-N 0 0 282.315 2.943 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NOC1CCCC1 ZINC000271831160 191353498 /nfs/dbraw/zinc/35/34/98/191353498.db2.gz XBMIRJBZVJTCPR-UHFFFAOYSA-N 0 0 278.308 2.518 20 5 CFBDRN C[C@H](NC(=O)C(C)(C)F)c1ccccc1[N+](=O)[O-] ZINC000271834186 191354765 /nfs/dbraw/zinc/35/47/65/191354765.db2.gz SRKRLRVRZFRXPY-QMMMGPOBSA-N 0 0 254.261 2.520 20 5 CFBDRN CC(C)OCCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271834171 191354977 /nfs/dbraw/zinc/35/49/77/191354977.db2.gz SRHAPWUTLPKYBM-LLVKDONJSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2([C@@H](C)CCO)CCC2)n1 ZINC000271894333 191395220 /nfs/dbraw/zinc/39/52/20/191395220.db2.gz XWOOPWSUIFZOGE-NSHDSACASA-N 0 0 293.367 2.899 20 5 CFBDRN CCCCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271848111 191365176 /nfs/dbraw/zinc/36/51/76/191365176.db2.gz VWCUFMWBAKGSOV-SNVBAGLBSA-N 0 0 250.298 2.962 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1CNC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000295737342 199641150 /nfs/dbraw/zinc/64/11/50/199641150.db2.gz MYXPHWGCNZIZQU-GHMZBOCLSA-N 0 0 294.355 2.573 20 5 CFBDRN CC(C)C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000272024833 191472413 /nfs/dbraw/zinc/47/24/13/191472413.db2.gz QEBTXZQYJPFMGG-YPMHNXCESA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@H]1CCCN1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272189988 191567830 /nfs/dbraw/zinc/56/78/30/191567830.db2.gz KOXBORAMPXGMJP-VIFPVBQESA-N 0 0 284.262 2.698 20 5 CFBDRN COCC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000272208257 191578676 /nfs/dbraw/zinc/57/86/76/191578676.db2.gz KCYUVHWXOFQUQI-UHFFFAOYSA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)c2nnc3n2CCC3)c1 ZINC000272224718 191592078 /nfs/dbraw/zinc/59/20/78/191592078.db2.gz JANHCHSXIXQFPH-JTQLQIEISA-N 0 0 287.323 2.614 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]2CCC[C@@H]21 ZINC000174326849 188892744 /nfs/dbraw/zinc/89/27/44/188892744.db2.gz SFUMLVSQBDTXGO-ZFWWWQNUSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCCCOC(C)C)c1 ZINC000272826494 191934390 /nfs/dbraw/zinc/93/43/90/191934390.db2.gz DJUGZVXHOFHOLR-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CCCCS2)cc1[N+](=O)[O-] ZINC000272916861 191973969 /nfs/dbraw/zinc/97/39/69/191973969.db2.gz FIMISWCWHQUJML-GFCCVEGCSA-N 0 0 296.348 2.828 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)s1 ZINC000273529818 192188869 /nfs/dbraw/zinc/18/88/69/192188869.db2.gz UJIFVZJJYCSZCZ-YFKPBYRVSA-N 0 0 299.743 2.976 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](c3cccc(F)c3)C2)nc1 ZINC000273606600 192226129 /nfs/dbraw/zinc/22/61/29/192226129.db2.gz KRTINEVLXHPNFW-LLVKDONJSA-N 0 0 288.282 2.518 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCC(C(F)(F)F)CC2)nc1 ZINC000273611667 192230069 /nfs/dbraw/zinc/23/00/69/192230069.db2.gz MCGYTDSXNBXZAN-UHFFFAOYSA-N 0 0 290.245 2.918 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC000273618263 192233363 /nfs/dbraw/zinc/23/33/63/192233363.db2.gz NYWIDZLZIAUZIS-UHFFFAOYSA-N 0 0 290.298 2.914 20 5 CFBDRN CCc1ccc(C(=O)NC2(CC)CC2)cc1[N+](=O)[O-] ZINC000295892722 199708501 /nfs/dbraw/zinc/70/85/01/199708501.db2.gz MUKYISKPZVVJDC-UHFFFAOYSA-N 0 0 262.309 2.830 20 5 CFBDRN CCOCCCc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273670212 192254533 /nfs/dbraw/zinc/25/45/33/192254533.db2.gz BSJRQZSWWMJAEF-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2ccncc2[N+](=O)[O-])CC1(C)C ZINC000273675225 192258370 /nfs/dbraw/zinc/25/83/70/192258370.db2.gz FDSIKAXUGCEYQZ-JTQLQIEISA-N 0 0 292.339 2.890 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000273774499 192301868 /nfs/dbraw/zinc/30/18/68/192301868.db2.gz YNVMYVQQCHIYMW-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](NCCF)C(F)(F)F)cc1 ZINC000568097843 290524078 /nfs/dbraw/zinc/52/40/78/290524078.db2.gz QBAATERGZSDLBH-SECBINFHSA-N 0 0 266.194 2.757 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@H](CO)CC1 ZINC000294052843 198887828 /nfs/dbraw/zinc/88/78/28/198887828.db2.gz MINOKVKNKLMQDG-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@H](F)C1 ZINC000568868095 290574143 /nfs/dbraw/zinc/57/41/43/290574143.db2.gz XGLDOGZLWGHWLY-VHSXEESVSA-N 0 0 296.298 2.614 20 5 CFBDRN CC(C)(CCC(=O)Nc1cccc(F)c1)[N+](=O)[O-] ZINC000294268175 198977353 /nfs/dbraw/zinc/97/73/53/198977353.db2.gz RHVURQMYCXWCJN-UHFFFAOYSA-N 0 0 254.261 2.600 20 5 CFBDRN C[C@H]1CCN(c2ncc(Br)cc2[N+](=O)[O-])C1 ZINC000042388550 290594795 /nfs/dbraw/zinc/59/47/95/290594795.db2.gz ZSOAXJGVQYYUSA-ZETCQYMHSA-N 0 0 286.129 2.599 20 5 CFBDRN C[C@@H](N[C@@H]1CCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000294302009 198990632 /nfs/dbraw/zinc/99/06/32/198990632.db2.gz ZWOWPYRIBNUMET-ZWNOBZJWSA-N 0 0 272.308 2.587 20 5 CFBDRN CC(F)(F)CCNc1nc2sccn2c1[N+](=O)[O-] ZINC000294314468 198995161 /nfs/dbraw/zinc/99/51/61/198995161.db2.gz WDUBDSQUIRQYQZ-UHFFFAOYSA-N 0 0 276.268 2.761 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1ncc([N+](=O)[O-])s1)OC ZINC000294360409 199011975 /nfs/dbraw/zinc/01/19/75/199011975.db2.gz ZZDRXDPOQHUINA-JGVFFNPUSA-N 0 0 259.331 2.524 20 5 CFBDRN C[C@@H](c1ccco1)N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294332251 199002521 /nfs/dbraw/zinc/00/25/21/199002521.db2.gz KWEDSYUDOKFTPU-JTQLQIEISA-N 0 0 268.313 2.635 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CSC(F)F ZINC000294346338 199007061 /nfs/dbraw/zinc/00/70/61/199007061.db2.gz LONCSNDWHTUXQE-UHFFFAOYSA-N 0 0 290.291 2.509 20 5 CFBDRN CCC[C@H](CNC(=O)Nc1cc([N+](=O)[O-])ccc1C)OC ZINC000296156115 199802322 /nfs/dbraw/zinc/80/23/22/199802322.db2.gz KCCXWLFCAZWRTB-GFCCVEGCSA-N 0 0 295.339 2.840 20 5 CFBDRN CC[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)(C)O ZINC000296150209 199799379 /nfs/dbraw/zinc/79/93/79/199799379.db2.gz JPFWMMIEBOBXJX-LBPRGKRZSA-N 0 0 295.339 2.574 20 5 CFBDRN Cc1nn(C)c(OCc2ccccc2[N+](=O)[O-])c1C ZINC000418985777 236420062 /nfs/dbraw/zinc/42/00/62/236420062.db2.gz FYNCYHUTXVEPEL-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NC2CCC2)cc1[N+](=O)[O-] ZINC000294801367 199188841 /nfs/dbraw/zinc/18/88/41/199188841.db2.gz FAMDUPLXDXRUOD-UHFFFAOYSA-N 0 0 268.700 2.839 20 5 CFBDRN O=C([C@H]1CC1(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000294801108 199189654 /nfs/dbraw/zinc/18/96/54/199189654.db2.gz ACXWJDACWBRGEC-GFCCVEGCSA-N 0 0 296.273 2.741 20 5 CFBDRN CSCCCCCNc1ncc([N+](=O)[O-])c(C)n1 ZINC000294858725 199217725 /nfs/dbraw/zinc/21/77/25/199217725.db2.gz CWQQFHHCQOKTOV-UHFFFAOYSA-N 0 0 270.358 2.638 20 5 CFBDRN CCn1ccnc1CNc1cccc(F)c1[N+](=O)[O-] ZINC000294863916 199220287 /nfs/dbraw/zinc/22/02/87/199220287.db2.gz AJWCJFWICPNFNZ-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN CO[C@@H](CNc1nccc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000294900724 199238999 /nfs/dbraw/zinc/23/89/99/199238999.db2.gz ICUSMYDXZNVLLB-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1cnc([C@H](C)CNC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000294911291 199243594 /nfs/dbraw/zinc/24/35/94/199243594.db2.gz IIDWJRVACCJMFU-SECBINFHSA-N 0 0 299.396 2.507 20 5 CFBDRN Cc1cnc([C@@H](C)CNC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000294911293 199243695 /nfs/dbraw/zinc/24/36/95/199243695.db2.gz IIDWJRVACCJMFU-VIFPVBQESA-N 0 0 299.396 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2CCCC[C@H]2O)c1 ZINC000294935142 199258005 /nfs/dbraw/zinc/25/80/05/199258005.db2.gz JHSUEUPBONWGJD-ZIAGYGMSSA-N 0 0 287.319 2.858 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC[C@H](C)CCO ZINC000294938024 199259822 /nfs/dbraw/zinc/25/98/22/199259822.db2.gz LGCVRECHVHLZBN-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN COC1(CNC(=O)Nc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000296385318 199875070 /nfs/dbraw/zinc/87/50/70/199875070.db2.gz SJENAUIAMQRMAD-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)CC1CC1 ZINC000421453814 236817402 /nfs/dbraw/zinc/81/74/02/236817402.db2.gz VGYUWGRNGCQLJA-UHFFFAOYSA-N 0 0 262.309 2.694 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1C[C@H]1C ZINC000421748458 236897574 /nfs/dbraw/zinc/89/75/74/236897574.db2.gz FKSGAECFHSYKPP-KCJUWKMLSA-N 0 0 262.309 2.597 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000274828000 192796544 /nfs/dbraw/zinc/79/65/44/192796544.db2.gz SZSDQFHMLMPYDH-SMDDNHRTSA-N 0 0 276.336 2.784 20 5 CFBDRN CCCCOC(=O)CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296840628 200011704 /nfs/dbraw/zinc/01/17/04/200011704.db2.gz XEZDVUJQXSYGAC-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN CCC1(NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000296919599 200034642 /nfs/dbraw/zinc/03/46/42/200034642.db2.gz TZVPYCAKJXEBHC-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN CCC[C@@H](O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000296937648 200040985 /nfs/dbraw/zinc/04/09/85/200040985.db2.gz BLWWWIQXMRUVEV-GFCCVEGCSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)CCCCO ZINC000296945593 200042159 /nfs/dbraw/zinc/04/21/59/200042159.db2.gz QVHPOSYTRXUHCH-NSHDSACASA-N 0 0 295.339 2.576 20 5 CFBDRN CC(C)=CCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000296957577 200045122 /nfs/dbraw/zinc/04/51/22/200045122.db2.gz PMKADUMZCWGUIR-JTQLQIEISA-N 0 0 265.313 2.788 20 5 CFBDRN CCc1nnc(CNc2cc([N+](=O)[O-])c(C)cc2F)o1 ZINC000297008935 200060576 /nfs/dbraw/zinc/06/05/76/200060576.db2.gz SZNKPEGJSWHOCT-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN CC(C)C[C@H](CO)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297171937 200104855 /nfs/dbraw/zinc/10/48/55/200104855.db2.gz PMNXJFAPBHYXHV-GFCCVEGCSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000297271490 200131685 /nfs/dbraw/zinc/13/16/85/200131685.db2.gz KRMHCYJUNMGOQS-GARJFASQSA-N 0 0 277.324 2.706 20 5 CFBDRN C[C@@H](CO)CCCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297330417 200151168 /nfs/dbraw/zinc/15/11/68/200151168.db2.gz AFYMQNSUBARSKM-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1nc(N[C@@H]2[C@@H]3CCc4ccccc4[C@@H]32)ncc1[N+](=O)[O-] ZINC000297490311 200208696 /nfs/dbraw/zinc/20/86/96/200208696.db2.gz MLAQROHRBOCQAI-VHDGCEQUSA-N 0 0 296.330 2.834 20 5 CFBDRN Cc1c(NC(=O)N[C@@H](C)CCCCO)cccc1[N+](=O)[O-] ZINC000297558485 200227211 /nfs/dbraw/zinc/22/72/11/200227211.db2.gz VUVDUIGSWWEYHO-JTQLQIEISA-N 0 0 295.339 2.576 20 5 CFBDRN CC[C@H]1CCC[C@@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000297582646 200233142 /nfs/dbraw/zinc/23/31/42/200233142.db2.gz SVWVQWQLLCASSP-UWVGGRQHSA-N 0 0 250.302 2.684 20 5 CFBDRN Cc1nc(NC(=O)[C@@H](C)C(C)(F)F)ccc1[N+](=O)[O-] ZINC000297874660 200296347 /nfs/dbraw/zinc/29/63/47/200296347.db2.gz WUDJEXRDVCBCQZ-ZCFIWIBFSA-N 0 0 273.239 2.528 20 5 CFBDRN O=C([C@@H]1CCC(F)(F)C1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000335292120 296006175 /nfs/dbraw/zinc/00/61/75/296006175.db2.gz UMRULCISKSBYNM-SNVBAGLBSA-N 0 0 296.273 2.919 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCC[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000298152298 200346188 /nfs/dbraw/zinc/34/61/88/200346188.db2.gz CUSRPSRKZXZIRP-WCQYABFASA-N 0 0 292.335 2.760 20 5 CFBDRN CC(C)c1noc(O/C=C/c2ccc([N+](=O)[O-])o2)n1 ZINC000298217925 200363684 /nfs/dbraw/zinc/36/36/84/200363684.db2.gz HCQYZQNFWJMBSB-AATRIKPKSA-N 0 0 265.225 2.744 20 5 CFBDRN CCC[C@@H](O)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000298224461 200365762 /nfs/dbraw/zinc/36/57/62/200365762.db2.gz KGHAPEGQEZFDNP-CQSZACIVSA-N 0 0 275.308 2.619 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1N[C@@H]1CCN(C(C)C)C1=O ZINC000177092546 189028053 /nfs/dbraw/zinc/02/80/53/189028053.db2.gz ZGTCRFGGKDKZHV-GFCCVEGCSA-N 0 0 291.351 2.633 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)c([N+](=O)[O-])n2)[C@H]2CCCC[C@@H]12 ZINC000596189873 540704676 /nfs/dbraw/zinc/70/46/76/540704676.db2.gz MMPZECSVNZZYRR-SCVCMEIPSA-N 0 0 276.340 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1=C(C)OCCS1 ZINC000298555880 200468094 /nfs/dbraw/zinc/46/80/94/200468094.db2.gz LVORXHINYUFYGC-UHFFFAOYSA-N 0 0 295.316 2.804 20 5 CFBDRN COc1ccc(CNc2nc(C)cc(C)n2)cc1[N+](=O)[O-] ZINC000298744785 200523886 /nfs/dbraw/zinc/52/38/86/200523886.db2.gz FXRIFMABSTTYHI-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C2CCC2)cc1[N+](=O)[O-] ZINC000177832577 189073380 /nfs/dbraw/zinc/07/33/80/189073380.db2.gz PRNGGBFWFGCRII-JTQLQIEISA-N 0 0 262.309 2.881 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000177841530 189073957 /nfs/dbraw/zinc/07/39/57/189073957.db2.gz BESXMKZMEHCDTJ-AAEUAGOBSA-N 0 0 292.335 2.507 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C1(C)CCCC1 ZINC000298855995 200549108 /nfs/dbraw/zinc/54/91/08/200549108.db2.gz LBPTXXJUXCCWIK-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC(F)(F)F ZINC000224748354 540741506 /nfs/dbraw/zinc/74/15/06/540741506.db2.gz MJYQZQRSDSDFQG-UHFFFAOYSA-N 0 0 277.202 2.587 20 5 CFBDRN Cc1ccc(NC(=O)C2[C@@H]3CCC[C@H]23)cc1[N+](=O)[O-] ZINC000178144946 189092883 /nfs/dbraw/zinc/09/28/83/189092883.db2.gz YCUBQZHMHCFRSF-QYJAPNMZSA-N 0 0 260.293 2.888 20 5 CFBDRN CCC1(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000178191299 189096873 /nfs/dbraw/zinc/09/68/73/189096873.db2.gz ZVVHITMQCSZJDM-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](O)C2CCCCC2)n1 ZINC000178209801 189098172 /nfs/dbraw/zinc/09/81/72/189098172.db2.gz TXJLEDMBJRPZGC-CYBMUJFWSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](O)CC(C)(C)C)n1 ZINC000178228230 189099181 /nfs/dbraw/zinc/09/91/81/189099181.db2.gz INWXQIPKZZRUOL-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN CCOC(=O)C1CCC(Nc2ncccc2[N+](=O)[O-])CC1 ZINC000299009674 200582154 /nfs/dbraw/zinc/58/21/54/200582154.db2.gz CVQWCISEHKDZHO-UHFFFAOYSA-N 0 0 293.323 2.524 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSCc2ccccc2)n1 ZINC000178456984 189117875 /nfs/dbraw/zinc/11/78/75/189117875.db2.gz MVGFXDWXWRYTAF-UHFFFAOYSA-N 0 0 263.322 2.725 20 5 CFBDRN CCC1(NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)CCC1 ZINC000178594750 189129632 /nfs/dbraw/zinc/12/96/32/189129632.db2.gz BTYJYNAIVKZJND-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC2CCOCC2)c(F)c1 ZINC000178870507 189151721 /nfs/dbraw/zinc/15/17/21/189151721.db2.gz ZHNZBSSCCFSLDJ-UHFFFAOYSA-N 0 0 272.251 2.712 20 5 CFBDRN Cc1cccc(C(=O)NCCCc2ccccn2)c1[N+](=O)[O-] ZINC000178829337 189148784 /nfs/dbraw/zinc/14/87/84/189148784.db2.gz QKJGRNJOEMGEPG-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN Cc1csc(=O)n1CCOc1ccc([N+](=O)[O-])cc1C ZINC000178989022 189160145 /nfs/dbraw/zinc/16/01/45/189160145.db2.gz BSZXLCWCMOOXEN-UHFFFAOYSA-N 0 0 294.332 2.514 20 5 CFBDRN CN(CCC1CC1)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000179068361 189166170 /nfs/dbraw/zinc/16/61/70/189166170.db2.gz RYNVWEOHLWWKFT-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1N)C1CCCC1 ZINC000179327211 189186125 /nfs/dbraw/zinc/18/61/25/189186125.db2.gz BLPYIIHQRVQYJN-QMMMGPOBSA-N 0 0 295.314 2.625 20 5 CFBDRN C/C=C/CS(=O)(=O)[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000180142063 189239645 /nfs/dbraw/zinc/23/96/45/189239645.db2.gz CEKFNUYSKNDIHW-ZWNMCFTASA-N 0 0 283.349 2.955 20 5 CFBDRN COCCC(C)(C)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000230837362 540995196 /nfs/dbraw/zinc/99/51/96/540995196.db2.gz KZLJIXNSGQHWFM-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN Cc1cccnc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000180415941 189254207 /nfs/dbraw/zinc/25/42/07/189254207.db2.gz CAWPXMJYPDZMEJ-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN CCNC(=O)c1cccc(Oc2ccc([N+](=O)[O-])cn2)c1 ZINC000301120709 200921171 /nfs/dbraw/zinc/92/11/71/200921171.db2.gz MDNNJSBAVHATQT-UHFFFAOYSA-N 0 0 287.275 2.532 20 5 CFBDRN CC(C)Cn1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)cn1 ZINC000180564795 189262456 /nfs/dbraw/zinc/26/24/56/189262456.db2.gz WISWBPDVXRRBEK-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000180575668 189263075 /nfs/dbraw/zinc/26/30/75/189263075.db2.gz ASEQCMQCRXVYQZ-QMMMGPOBSA-N 0 0 286.328 2.605 20 5 CFBDRN O=C1C[C@H](Nc2ccc([N+](=O)[O-])cc2)CN1c1ccccc1 ZINC000301075530 200908200 /nfs/dbraw/zinc/90/82/00/200908200.db2.gz BOAUNLSVWZIECH-ZDUSSCGKSA-N 0 0 297.314 2.812 20 5 CFBDRN CC(C)CN(c1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000301247658 200951364 /nfs/dbraw/zinc/95/13/64/200951364.db2.gz IEVJJXGLVRWWIU-UHFFFAOYSA-N 0 0 280.353 2.929 20 5 CFBDRN Cc1ccnc(N(C)CCOc2ccccc2)c1[N+](=O)[O-] ZINC000301255129 200953173 /nfs/dbraw/zinc/95/31/73/200953173.db2.gz DFFHHCXXCCGDRE-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](Nc3ccccc3)C2)c1[N+](=O)[O-] ZINC000301299282 200969427 /nfs/dbraw/zinc/96/94/27/200969427.db2.gz QFHPNLDCSYWEDB-CQSZACIVSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1cc(NCc2cn3ccccc3n2)ncc1[N+](=O)[O-] ZINC000301412493 201000831 /nfs/dbraw/zinc/00/08/31/201000831.db2.gz CCGXGIUXLXORCL-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H](C)C[C@H](C)O)c1 ZINC000301453910 201015446 /nfs/dbraw/zinc/01/54/46/201015446.db2.gz BBESSSNPMKPOOW-BDAKNGLRSA-N 0 0 286.303 2.561 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC[C@H](O)C1 ZINC000301464821 201017194 /nfs/dbraw/zinc/01/71/94/201017194.db2.gz GWBHHOWORSSSGD-RISCZKNCSA-N 0 0 294.351 2.704 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])cc2Cl)CCCC[C@@H]1O ZINC000301482271 201022469 /nfs/dbraw/zinc/02/24/69/201022469.db2.gz FMQLEIIGNMBMQM-AAEUAGOBSA-N 0 0 299.758 2.996 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(Oc2ncc(Cl)cn2)c1 ZINC000301489845 201026975 /nfs/dbraw/zinc/02/69/75/201026975.db2.gz LRAJSMNCCJOVPD-UHFFFAOYSA-N 0 0 279.639 2.643 20 5 CFBDRN C[C@@]1(CNc2ccccc2[N+](=O)[O-])CCCC[C@@H]1O ZINC000301498094 201031142 /nfs/dbraw/zinc/03/11/42/201031142.db2.gz VIHBCICUPKJIMU-KBPBESRZSA-N 0 0 264.325 2.948 20 5 CFBDRN C[C@H](C(=O)NC(C)(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000182182338 189316597 /nfs/dbraw/zinc/31/65/97/189316597.db2.gz MJFZJIIOZAMYRC-QMMMGPOBSA-N 0 0 268.288 2.752 20 5 CFBDRN C[C@H]1[C@@H](C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)C1(F)F ZINC000336404704 291265428 /nfs/dbraw/zinc/26/54/28/291265428.db2.gz RYEKMPIVIQXBMY-UFBFGSQYSA-N 0 0 296.273 2.775 20 5 CFBDRN CCOc1cc(N2CC[C@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000301596258 201086845 /nfs/dbraw/zinc/08/68/45/201086845.db2.gz GEWSTJNZNSAWKO-HNNXBMFYSA-N 0 0 292.335 2.610 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1nc(C(F)F)no1 ZINC000424093218 238670589 /nfs/dbraw/zinc/67/05/89/238670589.db2.gz QZUSLFANEDVORA-UHFFFAOYSA-N 0 0 285.206 2.803 20 5 CFBDRN Cc1ccc([C@H](C)Nc2ncnc3n[nH]cc32)cc1[N+](=O)[O-] ZINC000301600297 201090499 /nfs/dbraw/zinc/09/04/99/201090499.db2.gz UWTDKPJZRNJOQK-VIFPVBQESA-N 0 0 298.306 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(C[C@@H]1CCCCO1)CC2 ZINC000182757465 189333776 /nfs/dbraw/zinc/33/37/76/189333776.db2.gz RDIHQOHAFMHNTO-ZDUSSCGKSA-N 0 0 262.309 2.526 20 5 CFBDRN CC(C)c1nc(CN2CCc3ccc([N+](=O)[O-])cc32)no1 ZINC000182774651 189334379 /nfs/dbraw/zinc/33/43/79/189334379.db2.gz LBEPFZJLKDLBOY-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN CCCCNc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000301571301 201073788 /nfs/dbraw/zinc/07/37/88/201073788.db2.gz NZPQXSFEGUAMRF-UHFFFAOYSA-N 0 0 266.297 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc3c[nH]nc3c2)nc1 ZINC000301577095 201077714 /nfs/dbraw/zinc/07/77/14/201077714.db2.gz ZCHRBPBJZWUSBJ-UHFFFAOYSA-N 0 0 256.221 2.658 20 5 CFBDRN CCOC(=O)c1cc(NCC2CCC2)ccc1[N+](=O)[O-] ZINC000301580571 201078719 /nfs/dbraw/zinc/07/87/19/201078719.db2.gz PHEXOIAYTPAVHL-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCCOCC2)c(F)c1 ZINC000182996486 189342452 /nfs/dbraw/zinc/34/24/52/189342452.db2.gz DRQXYTBQYNPPCP-QMMMGPOBSA-N 0 0 272.251 2.854 20 5 CFBDRN COc1cc(N2C[C@@H]3CCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000301621492 201098527 /nfs/dbraw/zinc/09/85/27/201098527.db2.gz XXQUAKQBDHIQPX-PHIMTYICSA-N 0 0 262.309 2.840 20 5 CFBDRN Cc1cc(N[C@@H](C)C[C@H](O)c2ccco2)ncc1[N+](=O)[O-] ZINC000301627765 201103968 /nfs/dbraw/zinc/10/39/68/201103968.db2.gz HKMGLAUQPGVGNV-JQWIXIFHSA-N 0 0 291.307 2.815 20 5 CFBDRN Cc1cc(N[C@H](C)C[C@@H](O)c2ccco2)ncc1[N+](=O)[O-] ZINC000301627767 201104054 /nfs/dbraw/zinc/10/40/54/201104054.db2.gz HKMGLAUQPGVGNV-ZYHUDNBSSA-N 0 0 291.307 2.815 20 5 CFBDRN C[C@H](C[C@H](O)c1ccco1)Nc1ccc([N+](=O)[O-])cn1 ZINC000301647031 201113768 /nfs/dbraw/zinc/11/37/68/201113768.db2.gz PWQCVCZQHYAJAE-KOLCDFICSA-N 0 0 277.280 2.507 20 5 CFBDRN Cc1csc(CN2CCc3c2cccc3[N+](=O)[O-])n1 ZINC000183623271 189364109 /nfs/dbraw/zinc/36/41/09/189364109.db2.gz XUCAOVCIDWANFW-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCOC3(CCCC3)C2)c1[N+](=O)[O-] ZINC000301709575 201152928 /nfs/dbraw/zinc/15/29/28/201152928.db2.gz ULZJLWAXBISEDW-LLVKDONJSA-N 0 0 294.355 2.540 20 5 CFBDRN Cc1nn(C)c(N2CC[C@@H](C)C[C@H](C)C2)c1[N+](=O)[O-] ZINC000301788302 201198302 /nfs/dbraw/zinc/19/83/02/201198302.db2.gz XPDGGJZHFPNVOW-ZJUUUORDSA-N 0 0 266.345 2.509 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CCO)c2ccco2)c(Cl)c1 ZINC000184345948 189383840 /nfs/dbraw/zinc/38/38/40/189383840.db2.gz ZYKLGEKXKODVDM-SNVBAGLBSA-N 0 0 297.698 2.772 20 5 CFBDRN CCOc1cc(N2CCC[C@H]2C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000301786202 201196109 /nfs/dbraw/zinc/19/61/09/201196109.db2.gz WGNLTNVRLVDCLI-NEPJUHHUSA-N 0 0 294.351 2.733 20 5 CFBDRN Cc1ccnc(S[C@@H]2CCO[C@@H]2C)c1[N+](=O)[O-] ZINC000301817180 201214771 /nfs/dbraw/zinc/21/47/71/201214771.db2.gz KAVJYAGTWMQGQW-RKDXNWHRSA-N 0 0 254.311 2.568 20 5 CFBDRN Cc1ccc(NC[C@H](C)Cn2cccn2)c([N+](=O)[O-])c1 ZINC000301835794 201224266 /nfs/dbraw/zinc/22/42/66/201224266.db2.gz WUQFCIYXMZWCAG-LBPRGKRZSA-N 0 0 274.324 2.848 20 5 CFBDRN Cc1ccc(NC[C@@H](C)Cn2cccn2)c([N+](=O)[O-])c1 ZINC000301835793 201224764 /nfs/dbraw/zinc/22/47/64/201224764.db2.gz WUQFCIYXMZWCAG-GFCCVEGCSA-N 0 0 274.324 2.848 20 5 CFBDRN Cc1ocnc1CNc1ccc([N+](=O)[O-])cc1F ZINC000301877780 201246185 /nfs/dbraw/zinc/24/61/85/201246185.db2.gz TXSWRFCYHPHJDM-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@H]1CCCO1 ZINC000301842824 201228696 /nfs/dbraw/zinc/22/86/96/201228696.db2.gz KEONOPUIDGJSHT-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1noc(C2CC2)n1 ZINC000185019897 189401601 /nfs/dbraw/zinc/40/16/01/189401601.db2.gz SOGLDQIZADJNDP-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301865833 201239670 /nfs/dbraw/zinc/23/96/70/201239670.db2.gz BKDBJOJZVOSQMS-MNOVXSKESA-N 0 0 289.339 2.652 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301925103 201265352 /nfs/dbraw/zinc/26/53/52/201265352.db2.gz GUBLMSQECQKDEV-PEGIJTEDSA-N 0 0 280.324 2.971 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCc2ccc[nH]2)c1 ZINC000301979003 201285059 /nfs/dbraw/zinc/28/50/59/201285059.db2.gz FLIAUJDGGCCFQE-UHFFFAOYSA-N 0 0 279.271 2.725 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCOCC(F)(F)F ZINC000301979332 201285243 /nfs/dbraw/zinc/28/52/43/201285243.db2.gz FSDXWRAHXRPVLR-UHFFFAOYSA-N 0 0 270.232 2.647 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1nccn1C(F)F ZINC000301990948 201290159 /nfs/dbraw/zinc/29/01/59/201290159.db2.gz MXIIOOYMHWNDDP-UHFFFAOYSA-N 0 0 274.252 2.860 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000185459234 189413689 /nfs/dbraw/zinc/41/36/89/189413689.db2.gz UJWIFOFHNMOGMG-FZMZJTMJSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1nc(NC(=O)[C@H](C)CC(C)C)ccc1[N+](=O)[O-] ZINC000185469087 189414210 /nfs/dbraw/zinc/41/42/10/189414210.db2.gz YPLIDLHFGKUYGW-SECBINFHSA-N 0 0 265.313 2.919 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1CCN(CC(F)(F)F)C1 ZINC000301997272 201292444 /nfs/dbraw/zinc/29/24/44/201292444.db2.gz QDJBVMPUAJCYBQ-SSDOTTSWSA-N 0 0 295.286 2.705 20 5 CFBDRN O=C(c1ccccc1)c1ccc(N2CCCO2)c([N+](=O)[O-])c1 ZINC000302123471 201352633 /nfs/dbraw/zinc/35/26/33/201352633.db2.gz FCGMAEUUEFBQFF-UHFFFAOYSA-N 0 0 298.298 2.968 20 5 CFBDRN CCN(CC(F)F)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000186382674 189438040 /nfs/dbraw/zinc/43/80/40/189438040.db2.gz PWBATZMZMYZEMG-UHFFFAOYSA-N 0 0 273.239 2.714 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cn1)c1c(C)noc1C ZINC000302124829 201350744 /nfs/dbraw/zinc/35/07/44/201350744.db2.gz ABBZGGZHMFMDFS-JTQLQIEISA-N 0 0 277.284 2.553 20 5 CFBDRN Cc1ccnc(NCC2(c3ccccn3)CC2)c1[N+](=O)[O-] ZINC000302142258 201364042 /nfs/dbraw/zinc/36/40/42/201364042.db2.gz JSAIHCSMYZVJEP-UHFFFAOYSA-N 0 0 284.319 2.837 20 5 CFBDRN C[C@H](c1cc(F)ccc1F)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302144829 201364229 /nfs/dbraw/zinc/36/42/29/201364229.db2.gz GZROPPRJQZBDND-MRVPVSSYSA-N 0 0 294.261 2.860 20 5 CFBDRN CSc1ccc(CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302146492 201364889 /nfs/dbraw/zinc/36/48/89/201364889.db2.gz IEFWIHWWGNPZJJ-UHFFFAOYSA-N 0 0 276.321 2.719 20 5 CFBDRN Cn1nccc1CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000302157504 201371494 /nfs/dbraw/zinc/37/14/94/201371494.db2.gz OCQDWIVZNMZQDY-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN Cc1noc(C)c1C(=O)COc1ccc([N+](=O)[O-])cc1C ZINC000186651819 189446449 /nfs/dbraw/zinc/44/64/49/189446449.db2.gz QQTPYLBNSQOZBD-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN Cc1cc(NCCn2ccnc2)c2cccc([N+](=O)[O-])c2n1 ZINC000302163934 201375430 /nfs/dbraw/zinc/37/54/30/201375430.db2.gz ZFPNDVJARKFGAZ-UHFFFAOYSA-N 0 0 297.318 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCOC2)c(OC(F)F)c1 ZINC000302167867 201376689 /nfs/dbraw/zinc/37/66/89/201376689.db2.gz SGRUCERJGLSKHL-QMMMGPOBSA-N 0 0 288.250 2.787 20 5 CFBDRN CC1(CCNc2ccc(Cl)cc2[N+](=O)[O-])OCCO1 ZINC000302167930 201376834 /nfs/dbraw/zinc/37/68/34/201376834.db2.gz SKKQOMBCRFNOBD-UHFFFAOYSA-N 0 0 286.715 2.813 20 5 CFBDRN Cn1nccc1CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000302174783 201381642 /nfs/dbraw/zinc/38/16/42/201381642.db2.gz VXWQPURRMSHISX-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN Cc1cccnc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000427688886 239328143 /nfs/dbraw/zinc/32/81/43/239328143.db2.gz FKPRKZBQYMEKOP-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H]1CC2(CCC2)C(=O)O1 ZINC000187892415 189480781 /nfs/dbraw/zinc/48/07/81/189480781.db2.gz XZUUQGGQGYVUOM-GFCCVEGCSA-N 0 0 291.303 2.768 20 5 CFBDRN Cc1cnc(COC(=O)CC(F)(F)F)c(C)c1[N+](=O)[O-] ZINC000189256974 189527564 /nfs/dbraw/zinc/52/75/64/189527564.db2.gz CXXRQQNEKRMIEK-UHFFFAOYSA-N 0 0 292.213 2.602 20 5 CFBDRN CO[C@H](C)CNC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000189102301 189523351 /nfs/dbraw/zinc/52/33/51/189523351.db2.gz XKINTHFSSDVJFB-SNVBAGLBSA-N 0 0 294.351 2.657 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCCO1 ZINC000190062423 189553706 /nfs/dbraw/zinc/55/37/06/189553706.db2.gz NOOAPMDZJNGSDW-UHFFFAOYSA-N 0 0 296.348 2.874 20 5 CFBDRN Cc1ccc(NC(=O)[C@]2(C)C[C@H]3CC[C@@H]2O3)cc1[N+](=O)[O-] ZINC000190264198 189559336 /nfs/dbraw/zinc/55/93/36/189559336.db2.gz BWTWCGDYWBNFJA-OSAQELSMSA-N 0 0 290.319 2.799 20 5 CFBDRN Cc1nc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000191292903 189590241 /nfs/dbraw/zinc/59/02/41/189590241.db2.gz YUNAZEQRPQDGSV-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1nc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])c(C)s2)no1 ZINC000191313122 189591336 /nfs/dbraw/zinc/59/13/36/189591336.db2.gz JFWYUDCAWBPFQW-RXMQYKEDSA-N 0 0 297.292 2.574 20 5 CFBDRN Cc1nc([C@H](C)OC(=O)c2cc(C)ccc2[N+](=O)[O-])no1 ZINC000191230006 189587968 /nfs/dbraw/zinc/58/79/68/189587968.db2.gz IJKVWTOOIPVQDF-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1cc(C(=O)N2CCS[C@H](C)CC2)cc([N+](=O)[O-])c1 ZINC000191361041 189593151 /nfs/dbraw/zinc/59/31/51/189593151.db2.gz ULBFOIQEJNDQGL-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C)(C)[C@H]1C(C)C ZINC000431471582 239897012 /nfs/dbraw/zinc/89/70/12/239897012.db2.gz LKDFZZSFCROTNK-LLVKDONJSA-N 0 0 280.372 2.990 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1c(F)cccc1[N+](=O)[O-] ZINC000431494640 239900044 /nfs/dbraw/zinc/90/00/44/239900044.db2.gz LXHHXEIXUOFXPW-JTQLQIEISA-N 0 0 297.330 2.698 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCC(=O)[C@H](C)C1 ZINC000275232510 192969259 /nfs/dbraw/zinc/96/92/59/192969259.db2.gz NEPXHMGQDKURSY-MNOVXSKESA-N 0 0 262.309 2.567 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCc1cncs1 ZINC000432048117 239958597 /nfs/dbraw/zinc/95/85/97/239958597.db2.gz REWUBGANQKBHMU-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN CSC1(CNc2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000432839810 240055046 /nfs/dbraw/zinc/05/50/46/240055046.db2.gz ADAPRMUGRZVFJV-UHFFFAOYSA-N 0 0 267.354 2.914 20 5 CFBDRN C[C@H](O)CCCNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000432844347 240056199 /nfs/dbraw/zinc/05/61/99/240056199.db2.gz DELOGDXQWQAIGJ-QMMMGPOBSA-N 0 0 290.266 2.769 20 5 CFBDRN Cc1ccnc(NCC2(CO)CC3(CCC3)C2)c1[N+](=O)[O-] ZINC000432854779 240056600 /nfs/dbraw/zinc/05/66/00/240056600.db2.gz YLPVPFXKUDEYPI-UHFFFAOYSA-N 0 0 291.351 2.653 20 5 CFBDRN COc1cccc(C(=O)N2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000193802517 189650280 /nfs/dbraw/zinc/65/02/80/189650280.db2.gz DXDAFQBXXUPTTP-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2cc(F)cc(F)c2)c1[N+](=O)[O-] ZINC000433801831 240178158 /nfs/dbraw/zinc/17/81/58/240178158.db2.gz MFRRMZXTZGJWMN-UHFFFAOYSA-N 0 0 296.277 2.551 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H](CO)c1ccsc1 ZINC000302305682 201431485 /nfs/dbraw/zinc/43/14/85/201431485.db2.gz ZMMMZCPDKHFXDX-SECBINFHSA-N 0 0 299.739 2.850 20 5 CFBDRN Cc1c(CC(=O)N2CC(C)=C[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000435264458 240300150 /nfs/dbraw/zinc/30/01/50/240300150.db2.gz WDVKZKDXKQGNDX-LLVKDONJSA-N 0 0 288.347 2.870 20 5 CFBDRN COc1c(C(=O)N2CC(C)=C[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000435265997 240301782 /nfs/dbraw/zinc/30/17/82/240301782.db2.gz DVBPOWMSWAKBJC-SNVBAGLBSA-N 0 0 290.319 2.642 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2ccc(-c3nccs3)n2)cc1 ZINC000434738378 240265167 /nfs/dbraw/zinc/26/51/67/240265167.db2.gz SBEKOGAAUBYVKP-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1(Cc2ccccc2)CC1 ZINC000434950253 240280401 /nfs/dbraw/zinc/28/04/01/240280401.db2.gz ISQAEAAOGLKVDQ-UHFFFAOYSA-N 0 0 286.335 2.763 20 5 CFBDRN Cc1ccc(CN2C[C@@H](O)Cc3ccccc32)cc1[N+](=O)[O-] ZINC000435046517 240284350 /nfs/dbraw/zinc/28/43/50/240284350.db2.gz SUPJBSBWISONMQ-HNNXBMFYSA-N 0 0 298.342 2.827 20 5 CFBDRN Cc1cc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)ns1 ZINC000435654604 240336846 /nfs/dbraw/zinc/33/68/46/240336846.db2.gz LKYCUNXNIPIUQU-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN COc1cccc(C(=O)N2CC(C)=C[C@@H](C)C2)c1[N+](=O)[O-] ZINC000436009523 240377811 /nfs/dbraw/zinc/37/78/11/240377811.db2.gz UZWFNLRZGVTEMP-SNVBAGLBSA-N 0 0 290.319 2.642 20 5 CFBDRN Cc1nc(CCn2cc([N+](=O)[O-])c(C3CC3)n2)cs1 ZINC000436188533 240404259 /nfs/dbraw/zinc/40/42/59/240404259.db2.gz VEYHBDSJPSIBJK-UHFFFAOYSA-N 0 0 278.337 2.676 20 5 CFBDRN O=[N+]([O-])c1cn(CCCc2ccncc2)nc1C1CC1 ZINC000436209745 240409191 /nfs/dbraw/zinc/40/91/91/240409191.db2.gz MRPHPBZEZIWMBB-UHFFFAOYSA-N 0 0 272.308 2.697 20 5 CFBDRN Cc1cccc(NC[C@@H](C)C[C@@H](C)O)c1[N+](=O)[O-] ZINC000275429019 193033586 /nfs/dbraw/zinc/03/35/86/193033586.db2.gz INGMMVIDTLIIAH-GXSJLCMTSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cccc(N[C@@H]2c3ccccc3C[C@H]2O)c1[N+](=O)[O-] ZINC000275495818 193058907 /nfs/dbraw/zinc/05/89/07/193058907.db2.gz RTIQGGZSZYDABQ-HUUCEWRRSA-N 0 0 284.315 2.973 20 5 CFBDRN Cc1cccc(N(C)C[C@H]2CCC[C@H]2O)c1[N+](=O)[O-] ZINC000275447881 193043058 /nfs/dbraw/zinc/04/30/58/193043058.db2.gz LFPAHPUZXRRTJZ-DGCLKSJQSA-N 0 0 264.325 2.500 20 5 CFBDRN CC1(C)CN(Cc2ccc([N+](=O)[O-])cc2Cl)CC[C@@H]1O ZINC000275481645 193054553 /nfs/dbraw/zinc/05/45/53/193054553.db2.gz OJNAMGISCRXYNY-ZDUSSCGKSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@@H](N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1)C1(C)CC1 ZINC000438453976 240677585 /nfs/dbraw/zinc/67/75/85/240677585.db2.gz INIHHKUFKQBHFZ-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cnc(OC[C@@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000439041794 240730340 /nfs/dbraw/zinc/73/03/40/240730340.db2.gz IMCQKQJFEDXEKV-SSDOTTSWSA-N 0 0 264.203 2.875 20 5 CFBDRN Cc1cn(Cc2coc(-c3ccccc3)n2)nc1[N+](=O)[O-] ZINC000439187017 240747162 /nfs/dbraw/zinc/74/71/62/240747162.db2.gz DAADEHLQMKXNJQ-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)s1 ZINC000439285114 240758976 /nfs/dbraw/zinc/75/89/76/240758976.db2.gz JDUUZKXQJZFPKS-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CC[C@H](F)COc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000441825324 240951520 /nfs/dbraw/zinc/95/15/20/240951520.db2.gz IIDGUNLEDFGDLM-VIFPVBQESA-N 0 0 255.245 2.924 20 5 CFBDRN Cc1c(Nc2c([N+](=O)[O-])ncn2C)cnn1CCC(C)C ZINC000441923079 240953165 /nfs/dbraw/zinc/95/31/65/240953165.db2.gz AYBBOWUFLILQLI-UHFFFAOYSA-N 0 0 292.343 2.623 20 5 CFBDRN O=C(Nc1ccc2ccoc2c1)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000442803160 241003309 /nfs/dbraw/zinc/00/33/09/241003309.db2.gz UAHQVNIYCQBTSY-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN CCN(Cc1c[nH]c2ccccc12)c1c([N+](=O)[O-])ncn1C ZINC000443500591 241067269 /nfs/dbraw/zinc/06/72/69/241067269.db2.gz QSOBSEABUSTRNS-UHFFFAOYSA-N 0 0 299.334 2.836 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc3c[nH]nc3c2)s1 ZINC000443512749 241070530 /nfs/dbraw/zinc/07/05/30/241070530.db2.gz XABANZFGWCNLJT-UHFFFAOYSA-N 0 0 262.250 2.720 20 5 CFBDRN Cc1ccc(C[N@H+]2CC[C@H](C)C[C@@H]2[C@H](C)O)cc1[N+](=O)[O-] ZINC000443775000 241086289 /nfs/dbraw/zinc/08/62/89/241086289.db2.gz AYEGSESZYVYFNS-DETPVDSQSA-N 0 0 292.379 2.885 20 5 CFBDRN Cc1ccc(C[N@H+]2CC[C@H](C)C[C@H]2[C@@H](C)O)cc1[N+](=O)[O-] ZINC000443775002 241086448 /nfs/dbraw/zinc/08/64/48/241086448.db2.gz AYEGSESZYVYFNS-GHJWDPDVSA-N 0 0 292.379 2.885 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000444463570 241141278 /nfs/dbraw/zinc/14/12/78/241141278.db2.gz GWCYBAFTZYEDEK-MWLCHTKSSA-N 0 0 280.299 2.581 20 5 CFBDRN CO[C@H](C)CN(C)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000353208481 294266504 /nfs/dbraw/zinc/26/65/04/294266504.db2.gz XCWOXPNNEGXDGK-SECBINFHSA-N 0 0 272.732 2.715 20 5 CFBDRN CCc1cnccc1CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000446286138 241290950 /nfs/dbraw/zinc/29/09/50/241290950.db2.gz AJOJXQHHSPWYPW-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN Cc1cc(N(C)[C@H](C)C(=O)NC(C)(C)C)ccc1[N+](=O)[O-] ZINC000302519847 201470470 /nfs/dbraw/zinc/47/04/70/201470470.db2.gz YQJYNDNNJDUTLE-LLVKDONJSA-N 0 0 293.367 2.643 20 5 CFBDRN Cc1ccoc1CNCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000446831179 241330450 /nfs/dbraw/zinc/33/04/50/241330450.db2.gz BERKYNUWGRRGAE-UHFFFAOYSA-N 0 0 286.291 2.662 20 5 CFBDRN O=[N+]([O-])c1ncn(CCc2cc(Cl)ccc2Cl)n1 ZINC000447284968 241362148 /nfs/dbraw/zinc/36/21/48/241362148.db2.gz MJOWPZYRHCRJPR-UHFFFAOYSA-N 0 0 287.106 2.736 20 5 CFBDRN CC(C)C[C@@H](O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000224284816 189741575 /nfs/dbraw/zinc/74/15/75/189741575.db2.gz VLZHLOCBNIDBID-SECBINFHSA-N 0 0 256.277 2.553 20 5 CFBDRN O=C([C@H]1CCC(F)(F)C1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000335292119 296100297 /nfs/dbraw/zinc/10/02/97/296100297.db2.gz UMRULCISKSBYNM-JTQLQIEISA-N 0 0 296.273 2.919 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CC2(C)C)c([N+](=O)[O-])c1 ZINC000450269316 242334132 /nfs/dbraw/zinc/33/41/32/242334132.db2.gz LLHXLHGGSUJOQT-GFCCVEGCSA-N 0 0 278.308 2.521 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450348802 242369400 /nfs/dbraw/zinc/36/94/00/242369400.db2.gz NGPMPXILLDMCGE-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC2CCCC2)c1 ZINC000450212495 242308384 /nfs/dbraw/zinc/30/83/84/242308384.db2.gz SKXGBPWUSHAQLK-UHFFFAOYSA-N 0 0 257.293 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCC2CCC2)c1 ZINC000450250194 242324452 /nfs/dbraw/zinc/32/44/52/242324452.db2.gz HPEJENVPMWGABG-UHFFFAOYSA-N 0 0 257.293 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCCC(F)(F)F)c1 ZINC000450362755 242377000 /nfs/dbraw/zinc/37/70/00/242377000.db2.gz SNFJWCSKGWJBBC-UHFFFAOYSA-N 0 0 285.225 2.929 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CSc2ccccc21 ZINC000450557190 242478581 /nfs/dbraw/zinc/47/85/81/242478581.db2.gz HVTZEPMMADDPIN-VIFPVBQESA-N 0 0 290.348 2.630 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)COc1ccccc1[N+](=O)[O-] ZINC000450625886 242510707 /nfs/dbraw/zinc/51/07/07/242510707.db2.gz URCLMNIOXPYRGE-ZDUSSCGKSA-N 0 0 293.319 2.892 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1C[C@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000450691253 242544701 /nfs/dbraw/zinc/54/47/01/242544701.db2.gz JYUIMUPXYGVVRQ-DSPQJCBJSA-N 0 0 285.347 2.947 20 5 CFBDRN Cc1ccnc(N[C@@H](C(C)C)[C@@H](CO)C(C)C)c1[N+](=O)[O-] ZINC000450776627 242598895 /nfs/dbraw/zinc/59/88/95/242598895.db2.gz WPYXKPWDSNSVOY-STQMWFEESA-N 0 0 295.383 2.999 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN(C1CC1)C1COC1 ZINC000451129291 242743174 /nfs/dbraw/zinc/74/31/74/242743174.db2.gz ZNCQQXWNLLMNAH-UHFFFAOYSA-N 0 0 282.727 2.611 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC(=O)NC(C)(C)C ZINC000302832838 201571413 /nfs/dbraw/zinc/57/14/13/201571413.db2.gz RRYZBJNNVIBZIM-UHFFFAOYSA-N 0 0 299.758 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCCCO ZINC000302843937 201575039 /nfs/dbraw/zinc/57/50/39/201575039.db2.gz FJZWDAHWDYVBBC-UHFFFAOYSA-N 0 0 258.705 2.741 20 5 CFBDRN CCC(=O)C1(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000452181964 243077301 /nfs/dbraw/zinc/07/73/01/243077301.db2.gz DUUOOSGZGKRTAE-JTQLQIEISA-N 0 0 290.319 2.531 20 5 CFBDRN CSC1(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000452185937 243077334 /nfs/dbraw/zinc/07/73/34/243077334.db2.gz UIBHVMNQLRCVJE-VIFPVBQESA-N 0 0 280.349 2.668 20 5 CFBDRN COCCCCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452180449 243077817 /nfs/dbraw/zinc/07/78/17/243077817.db2.gz DORINXVFFNHDQZ-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN CNc1c(C(=O)N2CCC[C@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000452206071 243084367 /nfs/dbraw/zinc/08/43/67/243084367.db2.gz KWRRRXIKRCZNBE-AWEZNQCLSA-N 0 0 295.314 2.601 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(F)F ZINC000452259182 243101358 /nfs/dbraw/zinc/10/13/58/243101358.db2.gz YNXDJCAWHLLMTC-SECBINFHSA-N 0 0 272.251 2.677 20 5 CFBDRN Cc1cc(CNC(=O)CCc2cccc([N+](=O)[O-])c2)ccn1 ZINC000452427227 243167219 /nfs/dbraw/zinc/16/72/19/243167219.db2.gz QAYRHEAXNPAHHV-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN CC/C=C\CNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000452612397 243245873 /nfs/dbraw/zinc/24/58/73/243245873.db2.gz KHWBJHNMIHGYEP-ARJAWSKDSA-N 0 0 280.349 2.769 20 5 CFBDRN CCC[C@@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])C(C)(C)C ZINC000452663650 243256579 /nfs/dbraw/zinc/25/65/79/243256579.db2.gz RAWSLPIMXBXRPY-SECBINFHSA-N 0 0 282.344 2.571 20 5 CFBDRN C[C@@]12CN(C(=O)c3ccccc3[N+](=O)[O-])C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000452597808 243236751 /nfs/dbraw/zinc/23/67/51/243236751.db2.gz MOKQECDTBMBCGY-GNNTXSLPSA-N 0 0 298.342 2.879 20 5 CFBDRN CCOc1ccc(C(=O)NC2(CC)CC2)cc1[N+](=O)[O-] ZINC000452843663 243319570 /nfs/dbraw/zinc/31/95/70/243319570.db2.gz CUIOMDMIOLCJOB-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN CC(C)(C)CS(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000453031493 243370326 /nfs/dbraw/zinc/37/03/26/243370326.db2.gz RXNBMGZPKZPYGG-UHFFFAOYSA-N 0 0 271.338 2.556 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NC(C)C ZINC000276023854 193190922 /nfs/dbraw/zinc/19/09/22/193190922.db2.gz NPXQAMZRKRGSIT-UHFFFAOYSA-N 0 0 256.689 2.695 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000454963189 243857710 /nfs/dbraw/zinc/85/77/10/243857710.db2.gz RALRLNAJIFGTER-ZMLRMANQSA-N 0 0 295.314 2.846 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000330668787 203097164 /nfs/dbraw/zinc/09/71/64/203097164.db2.gz YDZBFKSFIQNCDO-NWDGAFQWSA-N 0 0 292.335 2.763 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1 ZINC000225745995 189763693 /nfs/dbraw/zinc/76/36/93/189763693.db2.gz DWNPBUFVRYKIJS-ZDUSSCGKSA-N 0 0 252.314 2.804 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000456118233 244079922 /nfs/dbraw/zinc/07/99/22/244079922.db2.gz PNZRBRJPZLHDGX-BDAKNGLRSA-N 0 0 298.289 2.578 20 5 CFBDRN CCC[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C(C)(C)C ZINC000456114167 244079994 /nfs/dbraw/zinc/07/99/94/244079994.db2.gz NYORQDTXZFKQPC-SNVBAGLBSA-N 0 0 267.329 2.868 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)[C@H]1C(C)C ZINC000456288860 244127494 /nfs/dbraw/zinc/12/74/94/244127494.db2.gz MFHFTLAONJYAHG-FZMZJTMJSA-N 0 0 293.367 2.686 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)O1 ZINC000330722840 203154658 /nfs/dbraw/zinc/15/46/58/203154658.db2.gz YLUCVCFRZLUEGD-DGCLKSJQSA-N 0 0 278.308 2.799 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000456329419 244142444 /nfs/dbraw/zinc/14/24/44/244142444.db2.gz MATGOAQCNXRKIJ-WPRPVWTQSA-N 0 0 268.338 2.678 20 5 CFBDRN Cc1cc(C)c(CNC(=O)c2ccc([N+](=O)[O-])cc2C)cn1 ZINC000456864836 244308518 /nfs/dbraw/zinc/30/85/18/244308518.db2.gz SQZOLMNLNSFFNL-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C[C@H]1C1CC1 ZINC000456867528 244311028 /nfs/dbraw/zinc/31/10/28/244311028.db2.gz JMJVQJFSENKNQS-RYUDHWBXSA-N 0 0 274.320 2.679 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@H](C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000330794865 203246206 /nfs/dbraw/zinc/24/62/06/203246206.db2.gz DFRIMISGYDAXDN-MRVPVSSYSA-N 0 0 282.344 2.571 20 5 CFBDRN COC[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000456938713 244332389 /nfs/dbraw/zinc/33/23/89/244332389.db2.gz NUGSXRVVDJDUFL-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN COC1(CNc2ncc([N+](=O)[O-])cc2Cl)CCC1 ZINC000227305650 189782670 /nfs/dbraw/zinc/78/26/70/189782670.db2.gz PVCZKAWXGNDGML-UHFFFAOYSA-N 0 0 271.704 2.624 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000457247372 244455271 /nfs/dbraw/zinc/45/52/71/244455271.db2.gz ZRABCQZLKKARCE-LLVKDONJSA-N 0 0 294.351 2.915 20 5 CFBDRN CCC1CN(C(=O)CCCNc2ccc([N+](=O)[O-])cc2)C1 ZINC000457300913 244473051 /nfs/dbraw/zinc/47/30/51/244473051.db2.gz LFNAKGYSCGRJGX-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CCc1ccc(Cn2c(C)ccc([N+](=O)[O-])c2=O)cc1 ZINC000276216467 193245348 /nfs/dbraw/zinc/24/53/48/193245348.db2.gz KZVCUTVMXWBDPO-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN CCC(O)(CC)CCNc1nc2sccn2c1[N+](=O)[O-] ZINC000276250430 193257282 /nfs/dbraw/zinc/25/72/82/193257282.db2.gz QRMKTEBBBOOOCS-UHFFFAOYSA-N 0 0 298.368 2.657 20 5 CFBDRN CCC(O)(CC)CCNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000276346420 193295257 /nfs/dbraw/zinc/29/52/57/193295257.db2.gz VVMLRGZEWMJFKV-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000330933639 203399781 /nfs/dbraw/zinc/39/97/81/203399781.db2.gz DURBTTWSQHBANC-PWSUYJOCSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc(N[C@@H](C)[C@@H](C)OC)c(F)cc1[N+](=O)[O-] ZINC000276321164 193286664 /nfs/dbraw/zinc/28/66/64/193286664.db2.gz OYDYYJVRDQEQDQ-JGVFFNPUSA-N 0 0 272.276 2.578 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC[C@H]1C[C@H]1C ZINC000276446897 193334699 /nfs/dbraw/zinc/33/46/99/193334699.db2.gz SPLZDRBOBPXRSF-LDYMZIIASA-N 0 0 277.324 2.989 20 5 CFBDRN CO[C@H](C)CCNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000276398241 193314832 /nfs/dbraw/zinc/31/48/32/193314832.db2.gz KAFYLJQQROSWDJ-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN CCC[C@H](O)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276572896 193385773 /nfs/dbraw/zinc/38/57/73/193385773.db2.gz HRKZRCXFQUNJGI-NSHDSACASA-N 0 0 275.308 2.716 20 5 CFBDRN COc1c(C(=O)N2[C@H](C)CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000331052380 203497807 /nfs/dbraw/zinc/49/78/07/203497807.db2.gz JCPUSZLJZYONLA-AOOOYVTPSA-N 0 0 278.308 2.616 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000331033472 203482335 /nfs/dbraw/zinc/48/23/35/203482335.db2.gz JMXRQZHTWNPUTJ-JTQLQIEISA-N 0 0 294.376 2.699 20 5 CFBDRN COC[C@H](C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276620477 193403598 /nfs/dbraw/zinc/40/35/98/193403598.db2.gz MTVNCIHAVHRYMF-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN C[C@H](CO)CCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276626836 193406368 /nfs/dbraw/zinc/40/63/68/193406368.db2.gz NKQNPKHYOIXGDF-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN COCCCCCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000116612105 376198915 /nfs/dbraw/zinc/19/89/15/376198915.db2.gz NGYOYDPNUOPKTI-UHFFFAOYSA-N 0 0 257.261 2.929 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000458251187 284182063 /nfs/dbraw/zinc/18/20/63/284182063.db2.gz FBYRWYYPVNGQEH-WLTAIBSBSA-N 0 0 278.283 2.652 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000458261004 244818066 /nfs/dbraw/zinc/81/80/66/244818066.db2.gz QFZLBOAVFKRYLM-RDBSUJKOSA-N 0 0 288.347 2.751 20 5 CFBDRN COc1ccc(OC(=O)CCC2CC2)cc1[N+](=O)[O-] ZINC000276823677 193470316 /nfs/dbraw/zinc/47/03/16/193470316.db2.gz ZZXFVTLLUJTWKN-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN Cc1c(C(=O)N2CCCc3ncccc32)cccc1[N+](=O)[O-] ZINC000290311923 291499319 /nfs/dbraw/zinc/49/93/19/291499319.db2.gz AOSAOLABEWNNJF-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN O=C(N[C@H]1CC[C@H](F)C1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000335206753 284223299 /nfs/dbraw/zinc/22/32/99/284223299.db2.gz DLJBORNJWWPIMC-UWVGGRQHSA-N 0 0 292.266 2.961 20 5 CFBDRN COC1CCC(NC(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000459236575 245103791 /nfs/dbraw/zinc/10/37/91/245103791.db2.gz HCEISMBWIJKKQG-UHFFFAOYSA-N 0 0 293.323 2.674 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H]2c2ncc[nH]2)cs1 ZINC000331464464 203870756 /nfs/dbraw/zinc/87/07/56/203870756.db2.gz ZOWXTVYJCUVZIQ-JTQLQIEISA-N 0 0 278.337 2.717 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000459882490 245342168 /nfs/dbraw/zinc/34/21/68/245342168.db2.gz CHRZZOPDROZRAZ-SCVCMEIPSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2[C@@H](C)C2(F)F)cc1[N+](=O)[O-] ZINC000461025409 245364718 /nfs/dbraw/zinc/36/47/18/245364718.db2.gz QJNYKIKXSOJISY-PTRXPTGYSA-N 0 0 298.289 2.982 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000003564379 371810570 /nfs/dbraw/zinc/81/05/70/371810570.db2.gz AGXMXUWSNMLEMC-BXUZGUMPSA-N 0 0 292.335 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCO2)c2ncccc12 ZINC000004182101 371837786 /nfs/dbraw/zinc/83/77/86/371837786.db2.gz ZUYBXZNZDKJJCL-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN O=C(N[C@H]1CCc2ccccc21)c1ccc([N+](=O)[O-])o1 ZINC000013584134 372034343 /nfs/dbraw/zinc/03/43/43/372034343.db2.gz HVBFPNZOPKBYEE-NSHDSACASA-N 0 0 272.260 2.605 20 5 CFBDRN O=[N+]([O-])c1cccc(COc2ccc3c(c2)OCO3)c1 ZINC000014738208 372074414 /nfs/dbraw/zinc/07/44/14/372074414.db2.gz HXLWFIGKFIFKDX-UHFFFAOYSA-N 0 0 273.244 2.903 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000015797294 372093512 /nfs/dbraw/zinc/09/35/12/372093512.db2.gz NZVLTKBJZDMUMY-CMPLNLGQSA-N 0 0 292.335 2.912 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccncc2)c1 ZINC000017586165 372134571 /nfs/dbraw/zinc/13/45/71/372134571.db2.gz CAFBYHXXQALOLX-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN CC(C)[C@@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000018176138 372143080 /nfs/dbraw/zinc/14/30/80/372143080.db2.gz FKHPKUFFJWVRAA-SECBINFHSA-N 0 0 251.286 2.761 20 5 CFBDRN Nc1ccc(C(=O)NC2CCCCCC2)cc1[N+](=O)[O-] ZINC000017297967 372130923 /nfs/dbraw/zinc/13/09/23/372130923.db2.gz LUJXOCMZYXETDI-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)Cc2ccccc2F)cc1 ZINC000019821902 372191763 /nfs/dbraw/zinc/19/17/63/372191763.db2.gz VWPCSLYKQZKYSF-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCNc1ccccn1 ZINC000029845230 372387822 /nfs/dbraw/zinc/38/78/22/372387822.db2.gz HVBFRULICWSCRP-UHFFFAOYSA-N 0 0 258.281 2.514 20 5 CFBDRN CC(C)(C)CCNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000031760883 372417532 /nfs/dbraw/zinc/41/75/32/372417532.db2.gz TUMYWZUIBGASJA-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN COCCCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000035084447 372537032 /nfs/dbraw/zinc/53/70/32/372537032.db2.gz YMTYGPVCNMIFAY-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCO)cc1C(F)(F)F ZINC000035084431 372537315 /nfs/dbraw/zinc/53/73/15/372537315.db2.gz YNHBWWLKMJWDFL-UHFFFAOYSA-N 0 0 267.228 2.698 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])Nc1ccncc1 ZINC000033316388 372490724 /nfs/dbraw/zinc/49/07/24/372490724.db2.gz RHSRLPZNJKIUBN-WAYWQWQTSA-N 0 0 269.260 2.642 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1ccc(C(N)=O)cc1 ZINC000035047842 372533211 /nfs/dbraw/zinc/53/32/11/372533211.db2.gz NRDHKGUCNOTUQL-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC1CCOCC1 ZINC000036319970 372571421 /nfs/dbraw/zinc/57/14/21/372571421.db2.gz UBDQWYKFKAVICJ-UHFFFAOYSA-N 0 0 256.689 2.839 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000036749338 372588702 /nfs/dbraw/zinc/58/87/02/372588702.db2.gz GTLMBSRFTYEPEM-WCBMZHEXSA-N 0 0 252.318 2.627 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1cccnc1 ZINC000035125514 372543984 /nfs/dbraw/zinc/54/39/84/372543984.db2.gz VIEFLBLUKGAMRH-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN CC(C)CN(C)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000037023400 372637556 /nfs/dbraw/zinc/63/75/56/372637556.db2.gz LCYAJSCHPSAFHB-UHFFFAOYSA-N 0 0 270.716 2.976 20 5 CFBDRN CCc1ccccc1CCn1cc([N+](=O)[O-])cc(C)c1=O ZINC000277602914 193704758 /nfs/dbraw/zinc/70/47/58/193704758.db2.gz MQZZBGBRRUACBE-UHFFFAOYSA-N 0 0 286.331 2.870 20 5 CFBDRN CC(C)C[C@H](CO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000040779244 372788913 /nfs/dbraw/zinc/78/89/13/372788913.db2.gz VVYRIZQUXZFLHR-GFCCVEGCSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@@H](C)NC(=O)CCNc1ccc(C)cc1[N+](=O)[O-] ZINC000038015083 372767805 /nfs/dbraw/zinc/76/78/05/372767805.db2.gz UTYRJJDLZMKUDY-LLVKDONJSA-N 0 0 279.340 2.620 20 5 CFBDRN Cc1cc(N)nc(SCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000042153360 372877675 /nfs/dbraw/zinc/87/76/75/372877675.db2.gz QVNMKYPCINIDDW-UHFFFAOYSA-N 0 0 290.348 2.610 20 5 CFBDRN O=C(Nc1cccc2c1OCCC2)c1ccc([N+](=O)[O-])o1 ZINC000371998434 284358399 /nfs/dbraw/zinc/35/83/99/284358399.db2.gz KEFFCYYUMLLYJI-UHFFFAOYSA-N 0 0 288.259 2.765 20 5 CFBDRN Cc1cccc(C(=O)NCc2ccsc2)c1[N+](=O)[O-] ZINC000042937615 372968790 /nfs/dbraw/zinc/96/87/90/372968790.db2.gz VUPHXGTYLVHZTP-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CC1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000045778634 373031961 /nfs/dbraw/zinc/03/19/61/373031961.db2.gz LOAPPBWCFODLAT-UHFFFAOYSA-N 0 0 263.297 2.859 20 5 CFBDRN CCNC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 ZINC000045165473 373015028 /nfs/dbraw/zinc/01/50/28/373015028.db2.gz OQLKXTCSLMTPHE-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000045483726 373018722 /nfs/dbraw/zinc/01/87/22/373018722.db2.gz OQVJIBNJJBSYNV-UHFFFAOYSA-N 0 0 250.298 2.713 20 5 CFBDRN CCCCN(C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000045623568 373023782 /nfs/dbraw/zinc/02/37/82/373023782.db2.gz OXAQHNAQMGJYIN-UHFFFAOYSA-N 0 0 265.313 2.536 20 5 CFBDRN Cc1ncc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000046770921 373062099 /nfs/dbraw/zinc/06/20/99/373062099.db2.gz AIVPQZZTZFFMRV-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1ccc(CC(=O)NCc2ccccc2[N+](=O)[O-])cc1 ZINC000046147061 373044696 /nfs/dbraw/zinc/04/46/96/373044696.db2.gz POXWXQAKSOFLAL-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000289799128 197487808 /nfs/dbraw/zinc/48/78/08/197487808.db2.gz QABJAWORQRLPHN-RXMQYKEDSA-N 0 0 295.192 2.806 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000047319537 373087173 /nfs/dbraw/zinc/08/71/73/373087173.db2.gz QPQZAYNADGJYDR-SECBINFHSA-N 0 0 281.312 2.866 20 5 CFBDRN Cc1c(NC(=O)[C@@H](C)OCC(C)C)cccc1[N+](=O)[O-] ZINC000047382794 373090223 /nfs/dbraw/zinc/09/02/23/373090223.db2.gz PPAANBLNPITKMO-LLVKDONJSA-N 0 0 280.324 2.903 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCC1CCC1 ZINC000048465715 373187565 /nfs/dbraw/zinc/18/75/65/373187565.db2.gz SSUFTBIAFBDOFI-UHFFFAOYSA-N 0 0 279.296 2.525 20 5 CFBDRN C[C@H](NC(=O)CC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000049033806 373253686 /nfs/dbraw/zinc/25/36/86/373253686.db2.gz WRICLFLAIWBFPV-ZETCQYMHSA-N 0 0 276.214 2.724 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000049094490 373267747 /nfs/dbraw/zinc/26/77/47/373267747.db2.gz LQEHJOVIWYEZNO-BDAKNGLRSA-N 0 0 293.323 2.772 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000049094486 373268755 /nfs/dbraw/zinc/26/87/55/373268755.db2.gz LQEHJOVIWYEZNO-RKDXNWHRSA-N 0 0 293.323 2.772 20 5 CFBDRN O=C(CCc1ccccn1)Nc1cccc([N+](=O)[O-])c1 ZINC000049463071 373299993 /nfs/dbraw/zinc/29/99/93/373299993.db2.gz BAIJFKUZHTTXLE-UHFFFAOYSA-N 0 0 271.276 2.561 20 5 CFBDRN CCCN(CC(=O)OCC)c1ccc(F)cc1[N+](=O)[O-] ZINC000050071788 373347393 /nfs/dbraw/zinc/34/73/93/373347393.db2.gz LAANRRPXOBDPFN-UHFFFAOYSA-N 0 0 284.287 2.513 20 5 CFBDRN COC(=O)C[C@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000050085088 373350861 /nfs/dbraw/zinc/35/08/61/373350861.db2.gz RAKUBGBKWFQKNT-LLVKDONJSA-N 0 0 296.298 2.656 20 5 CFBDRN COC(=O)CCSc1c2ccccc2ncc1[N+](=O)[O-] ZINC000487932034 245795121 /nfs/dbraw/zinc/79/51/21/245795121.db2.gz JEYFOSGGXGLPGA-UHFFFAOYSA-N 0 0 292.316 2.798 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000053430957 373475948 /nfs/dbraw/zinc/47/59/48/373475948.db2.gz NPRWDOSJHTYFEP-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000057004162 373576458 /nfs/dbraw/zinc/57/64/58/373576458.db2.gz UXRSXRSBXQCAHF-DGCLKSJQSA-N 0 0 291.351 2.973 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000058167737 373629343 /nfs/dbraw/zinc/62/93/43/373629343.db2.gz SETUWKUWNZNKEC-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN CC(C)CC(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000057683714 373607118 /nfs/dbraw/zinc/60/71/18/373607118.db2.gz HWWPXUGPOJADEY-UHFFFAOYSA-N 0 0 288.307 2.970 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OC[C@@H]1CCCCO1 ZINC000057964190 373618336 /nfs/dbraw/zinc/61/83/36/373618336.db2.gz FCYPATYBTWUSJN-MRZGDXHCSA-N 0 0 291.303 2.720 20 5 CFBDRN COc1ccc2c(c1)CCN2c1ncccc1[N+](=O)[O-] ZINC000058544161 373660782 /nfs/dbraw/zinc/66/07/82/373660782.db2.gz FNIKWOIQQIWZET-UHFFFAOYSA-N 0 0 271.276 2.693 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCCCO ZINC000059463218 373680483 /nfs/dbraw/zinc/68/04/83/373680483.db2.gz UUUJFVOEHYESOA-UHFFFAOYSA-N 0 0 278.230 2.798 20 5 CFBDRN Cc1ccc(NC(=O)CCc2ccccn2)cc1[N+](=O)[O-] ZINC000062865430 373769021 /nfs/dbraw/zinc/76/90/21/373769021.db2.gz UMEWZGKPFQSBBN-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN Cc1cccc(C(=O)N(C)CCc2ccncc2)c1[N+](=O)[O-] ZINC000063488024 373807190 /nfs/dbraw/zinc/80/71/90/373807190.db2.gz UEJPNLYHCYWRCY-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN COc1ccc(CN(C)Cc2cscn2)cc1[N+](=O)[O-] ZINC000063591861 373810803 /nfs/dbraw/zinc/81/08/03/373810803.db2.gz ZFGLTPHJLRZIKS-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC(F)(F)C1 ZINC000488960652 245915601 /nfs/dbraw/zinc/91/56/01/245915601.db2.gz LTBZSVLKSTYHGE-LLVKDONJSA-N 0 0 298.289 2.831 20 5 CFBDRN CC(C)(C)OC(=O)NCCNc1cccc(F)c1[N+](=O)[O-] ZINC000065200580 373848680 /nfs/dbraw/zinc/84/86/80/373848680.db2.gz HVXORPRINZBOSW-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000066756222 373949284 /nfs/dbraw/zinc/94/92/84/373949284.db2.gz KZTKRACIYWUEBY-ZETCQYMHSA-N 0 0 272.301 2.672 20 5 CFBDRN CC(C)CN(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000065945740 373904988 /nfs/dbraw/zinc/90/49/88/373904988.db2.gz SEXMLZQJCMKSKO-UHFFFAOYSA-N 0 0 253.258 2.595 20 5 CFBDRN Cc1ncsc1CN(C)Cc1cccc([N+](=O)[O-])c1 ZINC000066563004 373936162 /nfs/dbraw/zinc/93/61/62/373936162.db2.gz NZIBFXNFJZUASO-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000068000085 374019527 /nfs/dbraw/zinc/01/95/27/374019527.db2.gz LTLBYKPIDDYMCW-NSHDSACASA-N 0 0 285.303 2.823 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1C[C@H]1c1ccccc1 ZINC000067502999 373981393 /nfs/dbraw/zinc/98/13/93/373981393.db2.gz MSYUVUMRRAYRIM-HOTGVXAUSA-N 0 0 296.326 2.810 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC(=O)N1CCCC[C@H]1C ZINC000068824114 374038808 /nfs/dbraw/zinc/03/88/08/374038808.db2.gz ARJYNSSTNKEDJB-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])CCO1 ZINC000070352667 374168368 /nfs/dbraw/zinc/16/83/68/374168368.db2.gz KACVZDFXYCQYQV-QWRGUYRKSA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCO[C@H](C)C1 ZINC000070352888 374168667 /nfs/dbraw/zinc/16/86/67/374168667.db2.gz RVICBTIZXLVNOW-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\c2cccnc2)cc1[N+](=O)[O-] ZINC000490824917 246026409 /nfs/dbraw/zinc/02/64/09/246026409.db2.gz XEFYPSYAMATTFN-ALCCZGGFSA-N 0 0 283.287 2.950 20 5 CFBDRN CN(CC1CC1)C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491290828 246056966 /nfs/dbraw/zinc/05/69/66/246056966.db2.gz INPKKCIGJHFJIF-ALCCZGGFSA-N 0 0 278.283 2.616 20 5 CFBDRN COc1cccnc1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491350152 246062038 /nfs/dbraw/zinc/06/20/38/246062038.db2.gz JJTRBUXZTHLDFV-HJWRWDBZSA-N 0 0 299.286 2.650 20 5 CFBDRN O=C(COc1cc(F)ccc1[N+](=O)[O-])N1CCCCCC1 ZINC000072568985 374267233 /nfs/dbraw/zinc/26/72/33/374267233.db2.gz AYNQYVMIDCGSPL-UHFFFAOYSA-N 0 0 296.298 2.515 20 5 CFBDRN CN(Cc1ccoc1)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491223682 246051912 /nfs/dbraw/zinc/05/19/12/246051912.db2.gz JIGYYVLYZZIUTD-SREVYHEPSA-N 0 0 286.287 2.860 20 5 CFBDRN Cc1cccnc1CNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491518533 246076658 /nfs/dbraw/zinc/07/66/58/246076658.db2.gz DHVGWKCXMNYZRH-FPLPWBNLSA-N 0 0 297.314 2.628 20 5 CFBDRN CC(C)C[C@@H]1COCCN1Cc1ccccc1[N+](=O)[O-] ZINC000072980119 374310173 /nfs/dbraw/zinc/31/01/73/374310173.db2.gz TUMKTPZVOWCTAV-CQSZACIVSA-N 0 0 278.352 2.842 20 5 CFBDRN COC(=O)c1ccc(Oc2cc(C)c([N+](=O)[O-])cn2)cc1 ZINC000073000564 374315289 /nfs/dbraw/zinc/31/52/89/374315289.db2.gz MEKBIWBKDQUIKG-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN O=C(/C=C\C1CC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000491941541 246129260 /nfs/dbraw/zinc/12/92/60/246129260.db2.gz RCVRXBHSPKDJAM-UTCJRWHESA-N 0 0 250.229 2.639 20 5 CFBDRN CCCC[C@H](CC)Cn1cc([N+](=O)[O-])ccc1=O ZINC000073527696 374350667 /nfs/dbraw/zinc/35/06/67/374350667.db2.gz LOVYEEJXUKBNNL-NSHDSACASA-N 0 0 252.314 2.973 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])nc1)N1CCc2ccccc21 ZINC000073588393 374353631 /nfs/dbraw/zinc/35/36/31/374353631.db2.gz HMLWNOAVQCZNQC-LBPRGKRZSA-N 0 0 298.346 2.853 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000491989393 246149707 /nfs/dbraw/zinc/14/97/07/246149707.db2.gz IXKZPXXNDSXKFL-TVRMLOFPSA-N 0 0 285.303 2.814 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])s1)C(C)C ZINC000073753054 374377921 /nfs/dbraw/zinc/37/79/21/374377921.db2.gz GFQJFLOJIUDTFQ-UHFFFAOYSA-N 0 0 256.327 2.915 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000491966132 246140406 /nfs/dbraw/zinc/14/04/06/246140406.db2.gz UXQLUKFVYXVROA-ONEGZZNKSA-N 0 0 275.239 2.714 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000073787696 374384314 /nfs/dbraw/zinc/38/43/14/374384314.db2.gz FGLJRIGNMLXZCZ-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1cnc(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])o1 ZINC000492044512 246167410 /nfs/dbraw/zinc/16/74/10/246167410.db2.gz SGFWCDHOONZCLY-SREVYHEPSA-N 0 0 273.248 2.543 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000334147882 206086975 /nfs/dbraw/zinc/08/69/75/206086975.db2.gz FLKFCGBFVPZKRQ-GHMZBOCLSA-N 0 0 277.324 2.535 20 5 CFBDRN Cc1cncc(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)c1 ZINC000492103383 246187245 /nfs/dbraw/zinc/18/72/45/246187245.db2.gz NDZAGHSCBPTQOC-WAYWQWQTSA-N 0 0 283.287 2.950 20 5 CFBDRN CC1CC(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000492102358 246187339 /nfs/dbraw/zinc/18/73/39/246187339.db2.gz GUWWWEVUVGZXJB-DAXSKMNVSA-N 0 0 260.293 2.523 20 5 CFBDRN Cc1ccc(OCCCn2cc([N+](=O)[O-])cn2)cc1C ZINC000075532742 374489655 /nfs/dbraw/zinc/48/96/55/374489655.db2.gz YTTGARLHCSNTQH-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000075291648 374475285 /nfs/dbraw/zinc/47/52/85/374475285.db2.gz PZBMTEWNTYRRGP-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(/C=C\c1cccc(CO)c1)Nc1cccc([N+](=O)[O-])c1 ZINC000492138703 246200848 /nfs/dbraw/zinc/20/08/48/246200848.db2.gz VIFQRKCMMIYXJN-FPLPWBNLSA-N 0 0 298.298 2.739 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000492366945 246269599 /nfs/dbraw/zinc/26/95/99/246269599.db2.gz OOIDVJHXZLAJAQ-ZHRWSRJISA-N 0 0 297.314 2.880 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2Cc3ccccc3O2)cccc1[N+](=O)[O-] ZINC000076609151 374554067 /nfs/dbraw/zinc/55/40/67/374554067.db2.gz PDCCFAISJCLOGB-HNNXBMFYSA-N 0 0 299.282 2.812 20 5 CFBDRN O=C(/C=C\c1cccc(O)c1)NCc1ccccc1[N+](=O)[O-] ZINC000492403968 246279883 /nfs/dbraw/zinc/27/98/83/246279883.db2.gz DRRWDRBLPHWYGS-HJWRWDBZSA-N 0 0 298.298 2.630 20 5 CFBDRN CCCCN(C)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000078914733 374573330 /nfs/dbraw/zinc/57/33/30/374573330.db2.gz LYDMEBZIDQTBAV-UHFFFAOYSA-N 0 0 282.365 2.945 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000078944053 374576589 /nfs/dbraw/zinc/57/65/89/374576589.db2.gz NAIBGUHNGLGGGV-GZMMTYOYSA-N 0 0 286.291 2.579 20 5 CFBDRN Cc1c(CS[C@@H](C)[C@H](C)O)cccc1[N+](=O)[O-] ZINC000079075466 374591001 /nfs/dbraw/zinc/59/10/01/374591001.db2.gz NVSNYIDWUFSBEH-UWVGGRQHSA-N 0 0 255.339 2.906 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cncc(F)c1 ZINC000492622445 246345827 /nfs/dbraw/zinc/34/58/27/246345827.db2.gz XKZGVZSHDDTNBT-ZZXKWVIFSA-N 0 0 287.250 2.781 20 5 CFBDRN CCO/C=C\C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000492797035 246389369 /nfs/dbraw/zinc/38/93/69/246389369.db2.gz HWNYZRKGJAGVSE-SREVYHEPSA-N 0 0 298.726 2.757 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492878825 246412081 /nfs/dbraw/zinc/41/20/81/246412081.db2.gz ANZNMUZSBBKZOI-POGDZBNZSA-N 0 0 260.293 2.523 20 5 CFBDRN Cc1ccc(NCCCn2cccn2)c([N+](=O)[O-])c1 ZINC000080553383 374660423 /nfs/dbraw/zinc/66/04/23/374660423.db2.gz NOMXTBAVYLPNLT-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN CCC[C@H](CCO)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080653128 374667206 /nfs/dbraw/zinc/66/72/06/374667206.db2.gz POHUOJDJYYZFNZ-GFCCVEGCSA-N 0 0 296.367 2.952 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)/C=C/c1ccc[nH]1 ZINC000492885419 246414992 /nfs/dbraw/zinc/41/49/92/246414992.db2.gz ZJTSORHZRGLFSL-CMDGGOBGSA-N 0 0 299.330 2.637 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1[C@@H]2CCCC[C@H]12 ZINC000081248449 374688935 /nfs/dbraw/zinc/68/89/35/374688935.db2.gz CMJKTYFHLUIMBS-NNQSOWQGSA-N 0 0 288.347 2.989 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000081808657 374716574 /nfs/dbraw/zinc/71/65/74/374716574.db2.gz PSWQAGTUZZDQJZ-VIFPVBQESA-N 0 0 250.298 2.686 20 5 CFBDRN Cc1conc1NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000493080606 246480367 /nfs/dbraw/zinc/48/03/67/246480367.db2.gz FOMSQTBRUFVYEO-HYXAFXHYSA-N 0 0 291.238 2.682 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H]3CCC[C@H]3O)ccc2c1 ZINC000089167029 374882075 /nfs/dbraw/zinc/88/20/75/374882075.db2.gz OFEDSLNRFCKINH-SMDDNHRTSA-N 0 0 287.319 2.716 20 5 CFBDRN COc1ccc([C@H](C)Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000088218740 374837029 /nfs/dbraw/zinc/83/70/29/374837029.db2.gz LAXDALDMIZGLOZ-VIFPVBQESA-N 0 0 276.296 2.510 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)/C=C/c2ccccc2[N+](=O)[O-])CS1 ZINC000493455849 246593865 /nfs/dbraw/zinc/59/38/65/246593865.db2.gz DDQCREZJKPSSMI-PWBFPFQSSA-N 0 0 292.360 2.618 20 5 CFBDRN CCC1(O)CN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC000089652822 374935092 /nfs/dbraw/zinc/93/50/92/374935092.db2.gz NXDHSZXZTOGFNZ-UHFFFAOYSA-N 0 0 290.241 2.575 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000493406111 246579777 /nfs/dbraw/zinc/57/97/77/246579777.db2.gz YYJABTODYYPDOV-BFTFIWJVSA-N 0 0 272.304 2.523 20 5 CFBDRN CCC[C@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000089471942 374912113 /nfs/dbraw/zinc/91/21/13/374912113.db2.gz JINPPUDFOVPCQL-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1c(CN2CCOC[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000090008036 374983376 /nfs/dbraw/zinc/98/33/76/374983376.db2.gz FIQOXVUDZLDTHA-OAHLLOKOSA-N 0 0 276.336 2.514 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCOC[C@@H]2C2CC2)c1 ZINC000090009923 374986949 /nfs/dbraw/zinc/98/69/49/374986949.db2.gz DFSKPXWRIMLHNS-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)/C=C/[C@@H]1CCOC1 ZINC000493653316 246656806 /nfs/dbraw/zinc/65/68/06/246656806.db2.gz OFERZZQUXZIWAV-JYBNPQIESA-N 0 0 294.282 2.574 20 5 CFBDRN CC(C)[C@@H](CO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000092401857 375107992 /nfs/dbraw/zinc/10/79/92/375107992.db2.gz IMXBIQUZFCTAHT-GFCCVEGCSA-N 0 0 275.308 2.572 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCC(C)C ZINC000091556630 375054779 /nfs/dbraw/zinc/05/47/79/375054779.db2.gz OCRIDTRXMUIUHJ-UHFFFAOYSA-N 0 0 254.334 2.968 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCCSC1 ZINC000277896621 193790443 /nfs/dbraw/zinc/79/04/43/193790443.db2.gz DSVHGEHJABGMEC-SNVBAGLBSA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC(C)C ZINC000093901080 375329882 /nfs/dbraw/zinc/32/98/82/375329882.db2.gz IHOPGKBVZSKDOE-UHFFFAOYSA-N 0 0 264.325 2.906 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])c(F)c1)CC(F)(F)F ZINC000094774353 375377568 /nfs/dbraw/zinc/37/75/68/375377568.db2.gz HJEFNBSSOCPMLX-UHFFFAOYSA-N 0 0 266.194 2.728 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094774473 375378185 /nfs/dbraw/zinc/37/81/85/375378185.db2.gz CAWPBBHGYQFUBM-JQWIXIFHSA-N 0 0 282.315 2.733 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)OCCCF ZINC000496388379 246977721 /nfs/dbraw/zinc/97/77/21/246977721.db2.gz SFUAAXOZOVJROK-UHFFFAOYSA-N 0 0 270.260 2.523 20 5 CFBDRN CC(C)[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000505063622 247131649 /nfs/dbraw/zinc/13/16/49/247131649.db2.gz RMXXHMAQSMVNJS-RYUDHWBXSA-N 0 0 293.367 2.878 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@H]1C1CCC1 ZINC000102874583 375697553 /nfs/dbraw/zinc/69/75/53/375697553.db2.gz USRYLXWWAAHCFB-AWEZNQCLSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1cc(C(=O)N2CCCC2(C)C)ccc1[N+](=O)[O-] ZINC000102919198 375701278 /nfs/dbraw/zinc/70/12/78/375701278.db2.gz LMECHLVVIYEKNC-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN CCC(C)(C)[N@H+](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000512269148 247211386 /nfs/dbraw/zinc/21/13/86/247211386.db2.gz JYUGZZDDSOUBSS-UHFFFAOYSA-N 0 0 293.367 2.962 20 5 CFBDRN O=C(CCc1ccncc1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000104235572 375775236 /nfs/dbraw/zinc/77/52/36/375775236.db2.gz NRHZSOTZENVCLJ-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN CN(CC1CCC1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000111424989 375848228 /nfs/dbraw/zinc/84/82/28/375848228.db2.gz XAMCSEHIVFRFTE-UHFFFAOYSA-N 0 0 263.297 2.859 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000112182352 375889171 /nfs/dbraw/zinc/88/91/71/375889171.db2.gz MHFYRPRDKQMDCW-UHFFFAOYSA-N 0 0 268.338 2.837 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC[C@H]1CCCO1 ZINC000112208989 375890928 /nfs/dbraw/zinc/89/09/28/375890928.db2.gz QCMHHMUAEMLFGD-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CC2CC2)c1 ZINC000112608736 375937681 /nfs/dbraw/zinc/93/76/81/375937681.db2.gz WWKUYHWEYTUCKU-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(C)C(=O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000113418873 376014918 /nfs/dbraw/zinc/01/49/18/376014918.db2.gz VTDDZHLWVRSRSM-UHFFFAOYSA-N 0 0 257.673 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(C3CCOCC3)no2)s1 ZINC000113751593 376033664 /nfs/dbraw/zinc/03/36/64/376033664.db2.gz LLBJIIKTMVWNNG-UHFFFAOYSA-N 0 0 281.293 2.600 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1COc2ccccc21 ZINC000113016040 375987441 /nfs/dbraw/zinc/98/74/41/375987441.db2.gz QRBJEMKBNNIKOR-ZDUSSCGKSA-N 0 0 284.271 2.710 20 5 CFBDRN COC(=O)[C@@H](C)CSCCOc1cccc([N+](=O)[O-])c1 ZINC000115194743 376114806 /nfs/dbraw/zinc/11/48/06/376114806.db2.gz OUSMJLLGXUGNLR-JTQLQIEISA-N 0 0 299.348 2.516 20 5 CFBDRN COC(=O)[C@H](C)CSCCOc1ccccc1[N+](=O)[O-] ZINC000115196120 376115146 /nfs/dbraw/zinc/11/51/46/376115146.db2.gz QXWYONWKYJBBBD-SNVBAGLBSA-N 0 0 299.348 2.516 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCOC[C@H]1CCCO1 ZINC000115519060 376134843 /nfs/dbraw/zinc/13/48/43/376134843.db2.gz IMYOXSOFLJFKGV-GFCCVEGCSA-N 0 0 280.324 2.511 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(-c2ccccc2)n1)[C@H]1CCOC1 ZINC000119042804 376342736 /nfs/dbraw/zinc/34/27/36/376342736.db2.gz LDSWDEAOTDHGNV-ZDUSSCGKSA-N 0 0 299.330 2.882 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000118460014 376299316 /nfs/dbraw/zinc/29/93/16/376299316.db2.gz JWGIHSRRINOGED-ZJUUUORDSA-N 0 0 295.364 2.953 20 5 CFBDRN CCSCCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000118612736 376303815 /nfs/dbraw/zinc/30/38/15/376303815.db2.gz GTYSXVGSVIYDEZ-UHFFFAOYSA-N 0 0 278.337 2.606 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000118625221 376306001 /nfs/dbraw/zinc/30/60/01/376306001.db2.gz XWFBWUBCQUBOSS-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccc2c(n1)CCCC2 ZINC000278064554 193827325 /nfs/dbraw/zinc/82/73/25/193827325.db2.gz SKTRYUZMOSHBSO-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN CC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000119899312 376500257 /nfs/dbraw/zinc/50/02/57/376500257.db2.gz VSYJKJRYHHENTQ-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN Cc1n[nH]cc1NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000120005751 376523671 /nfs/dbraw/zinc/52/36/71/376523671.db2.gz QXOACISFTIONKC-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC1(C2CC2)CCC1 ZINC000120072909 376540919 /nfs/dbraw/zinc/54/09/19/376540919.db2.gz ADRLWRQKEJZUOA-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN COCCNc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000120330400 376595082 /nfs/dbraw/zinc/59/50/82/376595082.db2.gz KBAPVCNZDBHDGN-UHFFFAOYSA-N 0 0 265.096 2.960 20 5 CFBDRN C[C@H](N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F)C1(C)CC1 ZINC000120381059 376604867 /nfs/dbraw/zinc/60/48/67/376604867.db2.gz QHRGTRGUOIPTHX-JTQLQIEISA-N 0 0 294.326 2.923 20 5 CFBDRN O=C([C@H]1C[C@@H]1C(F)F)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000335047241 284584339 /nfs/dbraw/zinc/58/43/39/284584339.db2.gz FJJUGROECMFEND-UWVGGRQHSA-N 0 0 296.273 2.775 20 5 CFBDRN CCc1noc(C)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000120968816 376735014 /nfs/dbraw/zinc/73/50/14/376735014.db2.gz ZZRHVHGMEJWHTP-UHFFFAOYSA-N 0 0 289.291 2.635 20 5 CFBDRN COc1ccc(OCCn2ccc(Cl)n2)c([N+](=O)[O-])c1 ZINC000121272041 376795003 /nfs/dbraw/zinc/79/50/03/376795003.db2.gz QIGOETKGVVTVRB-UHFFFAOYSA-N 0 0 297.698 2.532 20 5 CFBDRN O=C([C@H]1C[C@H]1c1ccco1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000121432221 376830151 /nfs/dbraw/zinc/83/01/51/376830151.db2.gz LGCIQOVHMCXBEC-OLZOCXBDSA-N 0 0 298.298 2.881 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000121412850 376826337 /nfs/dbraw/zinc/82/63/37/376826337.db2.gz PTFVPEALPAHUKL-GFCCVEGCSA-N 0 0 294.351 2.915 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CC(C)C)c1 ZINC000121359719 376815515 /nfs/dbraw/zinc/81/55/15/376815515.db2.gz UJJPQLNXMCJEPV-JTQLQIEISA-N 0 0 280.324 2.768 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c(F)cc([N+](=O)[O-])cc2F)C[N@@H+]1C1CC1 ZINC000121759157 376898399 /nfs/dbraw/zinc/89/83/99/376898399.db2.gz ARLMGLWONTVUCR-BDAKNGLRSA-N 0 0 297.305 2.910 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1CCCSCc1ccccc1 ZINC000121770169 376900956 /nfs/dbraw/zinc/90/09/56/376900956.db2.gz IJZDRYPXZBBSCV-UHFFFAOYSA-N 0 0 292.364 2.697 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000121551492 376857170 /nfs/dbraw/zinc/85/71/70/376857170.db2.gz FSLUMNOFGRXOJK-NSHDSACASA-N 0 0 280.324 2.524 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCc2nccs2)c(F)c1 ZINC000121686839 376883754 /nfs/dbraw/zinc/88/37/54/376883754.db2.gz LYYCLJCYYJYJKI-UHFFFAOYSA-N 0 0 271.248 2.942 20 5 CFBDRN Cc1c(NC(=O)Cc2ccc(O)cc2)cccc1[N+](=O)[O-] ZINC000121727891 376893677 /nfs/dbraw/zinc/89/36/77/376893677.db2.gz AMJSARVZYWHQNM-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN CC(C)N(CC(F)F)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000123287552 376952357 /nfs/dbraw/zinc/95/23/57/376952357.db2.gz ORLNRXLLMCDFHH-UHFFFAOYSA-N 0 0 272.251 2.711 20 5 CFBDRN Cc1c(NC(=O)c2ccncc2F)cccc1[N+](=O)[O-] ZINC000124155654 377021617 /nfs/dbraw/zinc/02/16/17/377021617.db2.gz YEVKNLDWMJKMOZ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cn1c2cccc(F)c2nc1-c1ccc([N+](=O)[O-])o1 ZINC000123940108 376996698 /nfs/dbraw/zinc/99/66/98/376996698.db2.gz FFDNBTYIKHMVTE-UHFFFAOYSA-N 0 0 261.212 2.881 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCCS2)ccc1[N+](=O)[O-] ZINC000124034316 377009328 /nfs/dbraw/zinc/00/93/28/377009328.db2.gz GRUMAGXYEHKVAM-JTQLQIEISA-N 0 0 267.354 2.996 20 5 CFBDRN CCc1oc(C(=O)NCc2ccc([N+](=O)[O-])cc2)cc1C ZINC000125053701 377112745 /nfs/dbraw/zinc/11/27/45/377112745.db2.gz OFUGTUSDIRPMLI-UHFFFAOYSA-N 0 0 288.303 2.989 20 5 CFBDRN CC[C@@H](O)CNc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000125101447 377117769 /nfs/dbraw/zinc/11/77/69/377117769.db2.gz DWYIIOCWLSXGLM-MRVPVSSYSA-N 0 0 278.230 2.796 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccncc1 ZINC000124707260 377079885 /nfs/dbraw/zinc/07/98/85/377079885.db2.gz UCKAUFCBFZOSHG-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCSC[C@H]1C1CC1 ZINC000334980523 296254809 /nfs/dbraw/zinc/25/48/09/296254809.db2.gz YFXCEXLJEWEBSI-ZDUSSCGKSA-N 0 0 292.360 2.562 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1F)N1CCC[C@@H](C2CC2)C1 ZINC000368370274 296254874 /nfs/dbraw/zinc/25/48/74/296254874.db2.gz OKMZEKXOVPCMRF-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@]2(O)CCCC[C@@H]2C1 ZINC000128356742 377331502 /nfs/dbraw/zinc/33/15/02/377331502.db2.gz VRWVYTCQMQVMFK-ABAIWWIYSA-N 0 0 294.326 2.865 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Nc1ccn(C)n1 ZINC000128932950 377364621 /nfs/dbraw/zinc/36/46/21/377364621.db2.gz LOGISHQPPHXFDR-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN Cc1cc(=O)n(Cc2csc(C(C)C)n2)cc1[N+](=O)[O-] ZINC000128761192 377355643 /nfs/dbraw/zinc/35/56/43/377355643.db2.gz OTPIGCNJDAMCRH-UHFFFAOYSA-N 0 0 293.348 2.693 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1ncc([N+](=O)[O-])cc1Br ZINC000129493729 377433724 /nfs/dbraw/zinc/43/37/24/377433724.db2.gz UVDTUGUPXULYGL-NKWVEPMBSA-N 0 0 286.129 2.820 20 5 CFBDRN Cc1ccn(Cc2c(Cl)cccc2[N+](=O)[O-])c(=O)c1 ZINC000129489856 377434129 /nfs/dbraw/zinc/43/41/29/377434129.db2.gz XFJGEHROGOQLPU-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1ccc(C[N@H+](C[C@@H]2CCOC2)C2CC2)cc1[N+](=O)[O-] ZINC000129948073 377492155 /nfs/dbraw/zinc/49/21/55/377492155.db2.gz ZLDGCRSANAWDJJ-AWEZNQCLSA-N 0 0 290.363 2.904 20 5 CFBDRN CCOC(=O)CCCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000130776445 377607909 /nfs/dbraw/zinc/60/79/09/377607909.db2.gz IIYHBJGMGMRCQG-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN CCCC[C@@H](CC)Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000130916089 377630664 /nfs/dbraw/zinc/63/06/64/377630664.db2.gz YVZRAJIDZUSESA-LLVKDONJSA-N 0 0 252.314 2.973 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1ncc(Br)cc1[N+](=O)[O-] ZINC000131348990 377698113 /nfs/dbraw/zinc/69/81/13/377698113.db2.gz RUGIZMBSEBPSMC-RQJHMYQMSA-N 0 0 286.129 2.820 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccn1 ZINC000131254430 377683903 /nfs/dbraw/zinc/68/39/03/377683903.db2.gz PPHXZQHPRKIFRF-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000131495928 377717817 /nfs/dbraw/zinc/71/78/17/377717817.db2.gz KBNNHDNALVZRGD-LLVKDONJSA-N 0 0 262.309 2.775 20 5 CFBDRN CC(C)OCCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000131667714 377744165 /nfs/dbraw/zinc/74/41/65/377744165.db2.gz KUHDWFQDFFWCAY-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1cnccc1CCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000131957292 377783990 /nfs/dbraw/zinc/78/39/90/377783990.db2.gz VIUFCOZRCAIKHD-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(CCO)CCC2)c(Cl)c1 ZINC000132692462 377866952 /nfs/dbraw/zinc/86/69/52/377866952.db2.gz HAEAWFIWFPQBEU-UHFFFAOYSA-N 0 0 285.731 2.608 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCC1(CCO)CCC1 ZINC000132696060 377867033 /nfs/dbraw/zinc/86/70/33/377867033.db2.gz CVZSGRAMOMYNLH-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccco3)C2)c(F)c1 ZINC000133428224 377929268 /nfs/dbraw/zinc/92/92/68/377929268.db2.gz VBYRRZUXQUCUHX-CQSZACIVSA-N 0 0 292.266 2.905 20 5 CFBDRN Cc1ccc(N[C@H](C)CO[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000133726810 377952336 /nfs/dbraw/zinc/95/23/36/377952336.db2.gz SPKYQBXNPQBHMW-VXGBXAGGSA-N 0 0 280.324 2.509 20 5 CFBDRN Cc1nc(NC(=O)C[C@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000133730785 377953182 /nfs/dbraw/zinc/95/31/82/377953182.db2.gz LJLAOFLDRHERDO-VIFPVBQESA-N 0 0 265.313 2.919 20 5 CFBDRN CC[C@H](C)C(=O)COc1ccc(OC)cc1[N+](=O)[O-] ZINC000133104072 377903222 /nfs/dbraw/zinc/90/32/22/377903222.db2.gz KPDIBSHTOFJJHF-VIFPVBQESA-N 0 0 267.281 2.597 20 5 CFBDRN CC[C@H](C)C(=O)COc1ccc([N+](=O)[O-])cc1F ZINC000133106051 377904661 /nfs/dbraw/zinc/90/46/61/377904661.db2.gz KIIMIRBOPZSVPB-QMMMGPOBSA-N 0 0 255.245 2.728 20 5 CFBDRN CCC[C@H](O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000134446252 378016077 /nfs/dbraw/zinc/01/60/77/378016077.db2.gz VTALOKMQCKBXAP-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])c(C)s1)[C@H]1CCCO1 ZINC000134091798 377982052 /nfs/dbraw/zinc/98/20/52/377982052.db2.gz QJLREXXZVBKZTQ-MWLCHTKSSA-N 0 0 298.364 2.652 20 5 CFBDRN Cc1cccc(CNC(=O)/C=C\c2cccc([N+](=O)[O-])c2)n1 ZINC000134122579 377985314 /nfs/dbraw/zinc/98/53/14/377985314.db2.gz ZNOXWITWGAKHAJ-HJWRWDBZSA-N 0 0 297.314 2.628 20 5 CFBDRN C[C@@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)C1CC1 ZINC000134221955 377994543 /nfs/dbraw/zinc/99/45/43/377994543.db2.gz GDGVWGXWNWZGAS-MRVPVSSYSA-N 0 0 275.308 2.690 20 5 CFBDRN COc1cccc(NCCc2cccnc2)c1[N+](=O)[O-] ZINC000134906519 378062606 /nfs/dbraw/zinc/06/26/06/378062606.db2.gz OPMUDNRWCUZJLZ-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CCOc1cccc(NCc2cn(C)nc2C)c1[N+](=O)[O-] ZINC000134929958 378065710 /nfs/dbraw/zinc/06/57/10/378065710.db2.gz CXNGDBFJLZBGIZ-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000135029008 378076213 /nfs/dbraw/zinc/07/62/13/378076213.db2.gz KIXGKXOTRRKBMR-UHFFFAOYSA-N 0 0 267.256 2.872 20 5 CFBDRN CN(C(=O)c1cc(Cl)ccc1[N+](=O)[O-])C1CCC1 ZINC000135045630 378077906 /nfs/dbraw/zinc/07/79/06/378077906.db2.gz JEZCSWLPCYYKSG-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(O)cc2)c1 ZINC000135358428 378123199 /nfs/dbraw/zinc/12/31/99/378123199.db2.gz FEBAYIQUYSDJKD-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@H]1CC=CCC1 ZINC000266032679 190225033 /nfs/dbraw/zinc/22/50/33/190225033.db2.gz JBQAEUYIJGOMMS-ZDUSSCGKSA-N 0 0 274.320 2.610 20 5 CFBDRN Cc1ccc(NCCNC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000135565726 378145563 /nfs/dbraw/zinc/14/55/63/378145563.db2.gz VXFCPOKRZXLSFW-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1ccc(C(=O)NOC2CCCC2)cc1[N+](=O)[O-] ZINC000135129524 378087980 /nfs/dbraw/zinc/08/79/80/378087980.db2.gz GVUGFPMZVORALX-UHFFFAOYSA-N 0 0 264.281 2.507 20 5 CFBDRN COC1(C)CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000271686759 284687433 /nfs/dbraw/zinc/68/74/33/284687433.db2.gz KWUGZHOFWUOTIB-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN CCCC[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OC ZINC000135171520 378095828 /nfs/dbraw/zinc/09/58/28/378095828.db2.gz KTGYOPXNLKYGSS-FZMZJTMJSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCOC1 ZINC000135251680 378105572 /nfs/dbraw/zinc/10/55/72/378105572.db2.gz BPXTZQCLMOYFDD-BDAKNGLRSA-N 0 0 254.261 2.571 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N1CCC[C@@H]1C1CCCCC1 ZINC000136894446 378212499 /nfs/dbraw/zinc/21/24/99/378212499.db2.gz VFUMFYUGWQOQLB-LLVKDONJSA-N 0 0 292.339 2.503 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)C2CCOCC2)cc1[N+](=O)[O-] ZINC000135916764 378169362 /nfs/dbraw/zinc/16/93/62/378169362.db2.gz ZFGJUHIVJAKKSH-MRVPVSSYSA-N 0 0 298.364 2.510 20 5 CFBDRN CCC[C@@H](C)C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000136154841 378184164 /nfs/dbraw/zinc/18/41/64/378184164.db2.gz ANTXFJJECOXXRC-SECBINFHSA-N 0 0 294.307 2.756 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c(C)n1)C(C)(C)C ZINC000150968177 378283416 /nfs/dbraw/zinc/28/34/16/378283416.db2.gz SDKMVBBMLDFNLS-NSHDSACASA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1nc(NC[C@@]2(C)CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000151005924 378286160 /nfs/dbraw/zinc/28/61/60/378286160.db2.gz AYJXQIAYHFCWRG-TZMCWYRMSA-N 0 0 279.340 2.651 20 5 CFBDRN CC[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1ncc[nH]1 ZINC000139787651 378236275 /nfs/dbraw/zinc/23/62/75/378236275.db2.gz KZJSCUKAPXJGQC-MRVPVSSYSA-N 0 0 281.703 2.930 20 5 CFBDRN CCOCCN(C)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432169243 383727626 /nfs/dbraw/zinc/72/76/26/383727626.db2.gz KKOHDOIPTNILPH-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)c1ncc[nH]1 ZINC000140465108 378238105 /nfs/dbraw/zinc/23/81/05/378238105.db2.gz BUXDRXZQSBVVDJ-LBPRGKRZSA-N 0 0 288.307 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCCCO2)c1 ZINC000151889881 378369519 /nfs/dbraw/zinc/36/95/19/378369519.db2.gz YAXNFMTYLXOATJ-NSHDSACASA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2ccc([N+](=O)[O-])s2)c1 ZINC000152975509 378463810 /nfs/dbraw/zinc/46/38/10/378463810.db2.gz BLOZVHWFGPVZMN-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN C[C@H]1CCC[C@@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330414511 378549220 /nfs/dbraw/zinc/54/92/20/378549220.db2.gz TXMJBTFUQSXKSL-CABZTGNLSA-N 0 0 250.298 2.579 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(Cc1csnn1)C1CC1 ZINC000154318306 378557050 /nfs/dbraw/zinc/55/70/50/378557050.db2.gz XYVLJPMECPFAFP-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000330452142 378559345 /nfs/dbraw/zinc/55/93/45/378559345.db2.gz KIYAVLXGEOAEEM-WCQYABFASA-N 0 0 294.351 2.603 20 5 CFBDRN CC(C)[C@H]1CCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330448149 378559809 /nfs/dbraw/zinc/55/98/09/378559809.db2.gz YWHXRWYFQWCCOY-CYBMUJFWSA-N 0 0 264.325 2.921 20 5 CFBDRN Cc1sc(C(=O)N(C)C[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000154486436 378571051 /nfs/dbraw/zinc/57/10/51/378571051.db2.gz HPFOKOZZSCCFCH-JTQLQIEISA-N 0 0 298.364 2.606 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000331091352 378630972 /nfs/dbraw/zinc/63/09/72/378630972.db2.gz WPONCXRLGDOARJ-NEPJUHHUSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000331091354 378631171 /nfs/dbraw/zinc/63/11/71/378631171.db2.gz WPONCXRLGDOARJ-RYUDHWBXSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000331091324 378631772 /nfs/dbraw/zinc/63/17/72/378631772.db2.gz WOGCTSKELPJGPD-WCQYABFASA-N 0 0 276.336 2.690 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000331005003 378613746 /nfs/dbraw/zinc/61/37/46/378613746.db2.gz SDTJEJHOLUVZOI-OAHLLOKOSA-N 0 0 291.351 2.655 20 5 CFBDRN C[C@H](O)[C@H]1C[C@H](C)CCN1Cc1csc([N+](=O)[O-])c1 ZINC000331280714 378663370 /nfs/dbraw/zinc/66/33/70/378663370.db2.gz IENSNNQDXICSKR-JFGNBEQYSA-N 0 0 284.381 2.638 20 5 CFBDRN Cc1nc(C(C)C)oc1Cn1c(C)c([N+](=O)[O-])ccc1=O ZINC000331343847 378672835 /nfs/dbraw/zinc/67/28/35/378672835.db2.gz LAZJHBVSPZJKRC-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN Cc1nn(Cc2cccc3c2OC(C)(C)C3)cc1[N+](=O)[O-] ZINC000331387773 378680986 /nfs/dbraw/zinc/68/09/86/378680986.db2.gz PENZIDQHSCLOEY-UHFFFAOYSA-N 0 0 287.319 2.862 20 5 CFBDRN CCC(CC)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000159058159 378684008 /nfs/dbraw/zinc/68/40/08/378684008.db2.gz MXXZBTAUUCMKFG-UHFFFAOYSA-N 0 0 279.340 2.625 20 5 CFBDRN Cc1cc(OCC(=O)N2C[C@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000331182623 378645230 /nfs/dbraw/zinc/64/52/30/378645230.db2.gz HQXVGXKYPRTYAF-PWSUYJOCSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CCS1 ZINC000332201185 378735192 /nfs/dbraw/zinc/73/51/92/378735192.db2.gz CGAUEQYHOUJXND-JTQLQIEISA-N 0 0 282.365 2.628 20 5 CFBDRN O=C(CCC1CCCC1)COc1cccnc1[N+](=O)[O-] ZINC000159949888 378738551 /nfs/dbraw/zinc/73/85/51/378738551.db2.gz IGVWAKQAQOJFPZ-UHFFFAOYSA-N 0 0 278.308 2.908 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2CCC[C@H]2C1)c1ccc([N+](=O)[O-])o1 ZINC000332255906 378739488 /nfs/dbraw/zinc/73/94/88/378739488.db2.gz UBORXEWHGJBKQF-GARJFASQSA-N 0 0 278.308 2.886 20 5 CFBDRN O=C(NC[C@@H]1[C@@H]2Cc3ccccc3[C@H]12)c1ccc([N+](=O)[O-])o1 ZINC000160009592 378743548 /nfs/dbraw/zinc/74/35/48/378743548.db2.gz YEQWJJSBYAVZMM-ZOWXZIJZSA-N 0 0 298.298 2.504 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000159400857 378706381 /nfs/dbraw/zinc/70/63/81/378706381.db2.gz UHQNRWQQHBUBQI-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN CCOC(C)(C)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000159514587 378713617 /nfs/dbraw/zinc/71/36/17/378713617.db2.gz RPSKWPGMAOCQAY-UHFFFAOYSA-N 0 0 284.341 2.531 20 5 CFBDRN CC(C)[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])C1CC1 ZINC000332031512 378718793 /nfs/dbraw/zinc/71/87/93/378718793.db2.gz XGUFPFAWOKBJTI-UHFFFAOYSA-N 0 0 250.298 2.673 20 5 CFBDRN Cc1c(CC(=O)N[C@H](C)c2cccnc2)cccc1[N+](=O)[O-] ZINC000159749939 378727869 /nfs/dbraw/zinc/72/78/69/378727869.db2.gz HURMWJIPRWCILB-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN COc1c(C(=O)N(C)[C@@H](C)C2(C)CC2)cccc1[N+](=O)[O-] ZINC000332147199 378729963 /nfs/dbraw/zinc/72/99/63/378729963.db2.gz NUJJOQWUZOCMKX-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CSc1cccc(C(=O)NC2CCC2)c1[N+](=O)[O-] ZINC000332448370 378763394 /nfs/dbraw/zinc/76/33/94/378763394.db2.gz LTDLUQYKZRSXCE-UHFFFAOYSA-N 0 0 266.322 2.599 20 5 CFBDRN Cc1ccnc(N[C@H]2CCO[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000160321216 378763832 /nfs/dbraw/zinc/76/38/32/378763832.db2.gz ALOXQNXWLSGETP-NWDGAFQWSA-N 0 0 277.324 2.668 20 5 CFBDRN CCCCN(C)C(=O)CSc1cc(C)c([N+](=O)[O-])cn1 ZINC000160699639 378791355 /nfs/dbraw/zinc/79/13/55/378791355.db2.gz CLYFJDQPEQPKGN-UHFFFAOYSA-N 0 0 297.380 2.649 20 5 CFBDRN Cc1cc(N[C@H](CO)CC(C)(C)C)ncc1[N+](=O)[O-] ZINC000161406730 378844371 /nfs/dbraw/zinc/84/43/71/378844371.db2.gz WESUTQUMXNSFHU-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1cccc(CO)c1)CCN2 ZINC000278515716 194022221 /nfs/dbraw/zinc/02/22/21/194022221.db2.gz YNKFKZGZDXSKCD-UHFFFAOYSA-N 0 0 299.330 2.667 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1C ZINC000334193285 378906173 /nfs/dbraw/zinc/90/61/73/378906173.db2.gz KOOHYNXPZGPONZ-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] ZINC000278524760 194026237 /nfs/dbraw/zinc/02/62/37/194026237.db2.gz SSGKYOLDJYRXIW-UHFFFAOYSA-N 0 0 271.145 2.686 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)O1 ZINC000278541433 194034917 /nfs/dbraw/zinc/03/49/17/194034917.db2.gz MYOSYZMWXOECIG-NSHDSACASA-N 0 0 282.271 2.630 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCC2(CCCC2)C1 ZINC000335273303 379004122 /nfs/dbraw/zinc/00/41/22/379004122.db2.gz PJCOSUFZTPGNCU-UHFFFAOYSA-N 0 0 277.324 2.719 20 5 CFBDRN C[C@H](NCC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000164083450 379004322 /nfs/dbraw/zinc/00/43/22/379004322.db2.gz QLGIIISWZACFDD-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCCCOC(C)=O ZINC000278637592 194079516 /nfs/dbraw/zinc/07/95/16/194079516.db2.gz LETQTBKPGDOCJU-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN CC(=O)OCCCSCc1ccc([N+](=O)[O-])cc1 ZINC000278625937 194074567 /nfs/dbraw/zinc/07/45/67/194074567.db2.gz KCFMDFAUQMFBCZ-UHFFFAOYSA-N 0 0 269.322 2.781 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC1CCCCC1 ZINC000164846090 379027840 /nfs/dbraw/zinc/02/78/40/379027840.db2.gz HUYWSLNFSJXVBM-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])CC1CC1 ZINC000278656302 194086578 /nfs/dbraw/zinc/08/65/78/194086578.db2.gz DUTCGARKYALZHO-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN Cc1cnc(CCN(C)c2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000165971545 379047186 /nfs/dbraw/zinc/04/71/86/379047186.db2.gz BPMFZBCYNWCWRO-UHFFFAOYSA-N 0 0 292.364 2.742 20 5 CFBDRN CCOC(=O)c1ccnc(NC2(C)CCC2)c1[N+](=O)[O-] ZINC000166240621 379051763 /nfs/dbraw/zinc/05/17/63/379051763.db2.gz VMYQVPMLQUZBHA-UHFFFAOYSA-N 0 0 279.296 2.521 20 5 CFBDRN COc1ccc(NC(=O)N2CCC(C)CC2)cc1[N+](=O)[O-] ZINC000167351470 379071404 /nfs/dbraw/zinc/07/14/04/379071404.db2.gz DWRIIFMELJHLRU-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCCC[C@@H]2C)n1 ZINC000166651165 379061126 /nfs/dbraw/zinc/06/11/26/379061126.db2.gz IQPZCPZCPPVWMP-VHSXEESVSA-N 0 0 293.323 2.767 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cc1F)[N@@H+]1CCC[C@H](C)C1 ZINC000571861372 383733599 /nfs/dbraw/zinc/73/35/99/383733599.db2.gz TYSAIISPUMUPAC-QWRGUYRKSA-N 0 0 296.346 2.661 20 5 CFBDRN CC(C)(C(=O)N1CC[C@](C)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000334343342 379127490 /nfs/dbraw/zinc/12/74/90/379127490.db2.gz MANVKWPCACPYAZ-HNNXBMFYSA-N 0 0 294.326 2.833 20 5 CFBDRN C[C@@H]1CC[C@@]2(CCN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)C1 ZINC000334334129 379111289 /nfs/dbraw/zinc/11/12/89/379111289.db2.gz WPNKDYFGXQPWJI-QMTHXVAHSA-N 0 0 277.324 2.575 20 5 CFBDRN Cn1c(C(=O)N2CC[C@@H](c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000334351462 379141550 /nfs/dbraw/zinc/14/15/50/379141550.db2.gz GOCOPIXVONFNPR-CYBMUJFWSA-N 0 0 299.330 2.563 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000335376677 379188100 /nfs/dbraw/zinc/18/81/00/379188100.db2.gz IBXMRZWGFOTCPN-NSHDSACASA-N 0 0 261.281 2.577 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCCc2cccc(O)c21 ZINC000170873042 379179998 /nfs/dbraw/zinc/17/99/98/379179998.db2.gz XKVVVNHINGTUEV-UHFFFAOYSA-N 0 0 298.298 2.893 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1ncnn1C(C)C ZINC000278840492 194178553 /nfs/dbraw/zinc/17/85/53/194178553.db2.gz ZTQMNVGWPYXWNT-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccc(F)cc2C)c1[N+](=O)[O-] ZINC000171367796 379290710 /nfs/dbraw/zinc/29/07/10/379290710.db2.gz LBZHVIWVLOYTMP-UHFFFAOYSA-N 0 0 292.270 2.580 20 5 CFBDRN CCC(C)(C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000171155202 379245019 /nfs/dbraw/zinc/24/50/19/379245019.db2.gz QOCZFLDCBQENBJ-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000334408505 379246752 /nfs/dbraw/zinc/24/67/52/379246752.db2.gz JDCNSTHUYGUHTN-MNOVXSKESA-N 0 0 277.324 2.535 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCO[C@H](C)C1 ZINC000171242871 379261718 /nfs/dbraw/zinc/26/17/18/379261718.db2.gz GVKVOQWVLVTFEK-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@H](F)C1 ZINC000335420156 379262823 /nfs/dbraw/zinc/26/28/23/379262823.db2.gz UDVJOUIEAXWZAX-QWRGUYRKSA-N 0 0 280.299 2.914 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000171482962 379320720 /nfs/dbraw/zinc/32/07/20/379320720.db2.gz USVMZSPZSUABOD-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000335460290 379333735 /nfs/dbraw/zinc/33/37/35/379333735.db2.gz RVHMVQKQOCAWJK-JTQLQIEISA-N 0 0 262.309 2.998 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000335473017 379361849 /nfs/dbraw/zinc/36/18/49/379361849.db2.gz DUGWWEMWHJOTEC-VIFPVBQESA-N 0 0 284.312 2.501 20 5 CFBDRN CC(C)[C@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000171915969 379437646 /nfs/dbraw/zinc/43/76/46/379437646.db2.gz JIFDXPPTUMHDLM-JTQLQIEISA-N 0 0 262.309 2.776 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CC2(CC2)C1 ZINC000334510913 379424720 /nfs/dbraw/zinc/42/47/20/379424720.db2.gz VPRFRBCIEBZLSI-UHFFFAOYSA-N 0 0 281.699 2.876 20 5 CFBDRN C[C@H](NC(=O)Cc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000172091715 379490001 /nfs/dbraw/zinc/49/00/01/379490001.db2.gz WTNNTEGXGKGEMO-NSHDSACASA-N 0 0 276.336 2.832 20 5 CFBDRN COC[C@@H](C)N(C)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432327430 383737131 /nfs/dbraw/zinc/73/71/31/383737131.db2.gz GUBCRXAQPPYBMH-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN Cn1c(C(=O)N2CC(C)(C)[C@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000334570846 379550831 /nfs/dbraw/zinc/55/08/31/379550831.db2.gz YCRQULQUWRFTPQ-WDEREUQCSA-N 0 0 291.351 2.584 20 5 CFBDRN C[C@H](CF)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000288098078 197004691 /nfs/dbraw/zinc/00/46/91/197004691.db2.gz GTKSWHLGLJZICR-RKDXNWHRSA-N 0 0 286.328 2.550 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)C[C@@H]1F ZINC000335609057 379598681 /nfs/dbraw/zinc/59/86/81/379598681.db2.gz GMRQOMJDOMCXAP-CMPLNLGQSA-N 0 0 252.245 2.590 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000172455461 379571506 /nfs/dbraw/zinc/57/15/06/379571506.db2.gz WXEWKNFAIBTDTI-SNVBAGLBSA-N 0 0 286.353 2.591 20 5 CFBDRN Cn1c(C(=O)Nc2ccsc2Cl)ccc1[N+](=O)[O-] ZINC000334606581 379612311 /nfs/dbraw/zinc/61/23/11/379612311.db2.gz QTWFQUJSGKFHFJ-UHFFFAOYSA-N 0 0 285.712 2.901 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](C)[C@H]2C)c1 ZINC000172661587 379616991 /nfs/dbraw/zinc/61/69/91/379616991.db2.gz CABRXVWEINALLB-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CS[C@H](C)C1 ZINC000335653944 379668045 /nfs/dbraw/zinc/66/80/45/379668045.db2.gz HPGRYVSLZKTLGI-ZJUUUORDSA-N 0 0 280.349 2.527 20 5 CFBDRN O=C1CCN(c2ccc(Br)cc2[N+](=O)[O-])CC1 ZINC000019516541 284798566 /nfs/dbraw/zinc/79/85/66/284798566.db2.gz IBLJLQZUIRNYMH-UHFFFAOYSA-N 0 0 299.124 2.527 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1nc(C)cs1 ZINC000173331762 379728021 /nfs/dbraw/zinc/72/80/21/379728021.db2.gz XKOCZWVLEXODKM-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000335698745 379742640 /nfs/dbraw/zinc/74/26/40/379742640.db2.gz FCIZGCKVDICPRA-MRVPVSSYSA-N 0 0 266.322 2.695 20 5 CFBDRN CSCCC[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000173471220 379743338 /nfs/dbraw/zinc/74/33/38/379743338.db2.gz QFDVOFHXWFNHID-UHFFFAOYSA-N 0 0 254.355 2.780 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@@H]2C2CC2)c1[N+](=O)[O-] ZINC000334671783 379743963 /nfs/dbraw/zinc/74/39/63/379743963.db2.gz PKEIPCJPJBCPJJ-GFCCVEGCSA-N 0 0 260.293 2.528 20 5 CFBDRN O=C(CC1CCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000173619766 379758286 /nfs/dbraw/zinc/75/82/86/379758286.db2.gz ATTKZMKRSSMKIS-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN Cc1sc(C(=O)N2C[C@@H]3[C@H](C2)C3(C)C)cc1[N+](=O)[O-] ZINC000174108894 379828836 /nfs/dbraw/zinc/82/88/36/379828836.db2.gz VRQDDJNRCNNXEW-DTORHVGOSA-N 0 0 280.349 2.693 20 5 CFBDRN CSc1cccc(C(=O)N2[C@H](C)C[C@H]2C)c1[N+](=O)[O-] ZINC000335768367 379856861 /nfs/dbraw/zinc/85/68/61/379856861.db2.gz CRCCACMHXBMWTH-RKDXNWHRSA-N 0 0 280.349 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC000174014703 379814497 /nfs/dbraw/zinc/81/44/97/379814497.db2.gz WJSDENPPKLTJTR-TXEJJXNPSA-N 0 0 274.320 2.631 20 5 CFBDRN C[C@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)c1cccnc1 ZINC000174027838 379815315 /nfs/dbraw/zinc/81/53/15/379815315.db2.gz MTRGCVMZHKOGAA-NSHDSACASA-N 0 0 297.314 2.683 20 5 CFBDRN CN(C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)[C@@H]1CC1(C)C ZINC000335780746 379876742 /nfs/dbraw/zinc/87/67/42/379876742.db2.gz JDUCNKRLRVXHCR-GFCCVEGCSA-N 0 0 287.319 2.947 20 5 CFBDRN CCC1(NC(=O)c2cc(OC)ccc2[N+](=O)[O-])CCC1 ZINC000174921918 379963384 /nfs/dbraw/zinc/96/33/84/379963384.db2.gz PUXAZUMPZPNSSE-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000175001733 379977166 /nfs/dbraw/zinc/97/71/66/379977166.db2.gz WLHSUWYVMXJYAV-LBPRGKRZSA-N 0 0 262.309 2.903 20 5 CFBDRN C[C@@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])c1cccnc1 ZINC000175052277 379986676 /nfs/dbraw/zinc/98/66/76/379986676.db2.gz TXGWLLKEBNPHQO-LLVKDONJSA-N 0 0 297.314 2.683 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC1CCOCC1 ZINC000175070135 379989022 /nfs/dbraw/zinc/98/90/22/379989022.db2.gz SMDCGQGSPFULNJ-UHFFFAOYSA-N 0 0 280.324 2.580 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCCN1c1ncccc1[N+](=O)[O-] ZINC000336841543 299516453 /nfs/dbraw/zinc/51/64/53/299516453.db2.gz RTTPFZWZEDTZLE-NWDGAFQWSA-N 0 0 279.340 2.510 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000335198936 379995757 /nfs/dbraw/zinc/99/57/57/379995757.db2.gz RRALLENHFQLSQM-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H]3CCC[C@@H]32)cc([N+](=O)[O-])c1 ZINC000335858713 380006978 /nfs/dbraw/zinc/00/69/78/380006978.db2.gz YJUFMRALJYNCRB-FZMZJTMJSA-N 0 0 274.320 2.918 20 5 CFBDRN CCc1ccc(C(=O)Nc2ncccc2O)cc1[N+](=O)[O-] ZINC000175278913 380036648 /nfs/dbraw/zinc/03/66/48/380036648.db2.gz WHMZHCCFNRTWSK-UHFFFAOYSA-N 0 0 287.275 2.510 20 5 CFBDRN CCC1(NC(=O)c2[nH]nc3ccc([N+](=O)[O-])cc32)CCC1 ZINC000175465289 380069873 /nfs/dbraw/zinc/06/98/73/380069873.db2.gz YRAGNWWSXXXXSG-UHFFFAOYSA-N 0 0 288.307 2.534 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000175483219 380073774 /nfs/dbraw/zinc/07/37/74/380073774.db2.gz QJGJZYOQLVFNCI-JTQLQIEISA-N 0 0 265.313 2.672 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000335878481 380039724 /nfs/dbraw/zinc/03/97/24/380039724.db2.gz UJHAWOXJTTZQCO-KOLCDFICSA-N 0 0 280.299 2.995 20 5 CFBDRN CC(C)N(C)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000175333814 380044743 /nfs/dbraw/zinc/04/47/43/380044743.db2.gz SHGUUJOXISZHJR-UHFFFAOYSA-N 0 0 279.340 2.654 20 5 CFBDRN Cc1cn(C[C@H]2CCCC(F)(F)C2)nc1[N+](=O)[O-] ZINC000335923731 380112642 /nfs/dbraw/zinc/11/26/42/380112642.db2.gz STTKBXJVBCBZDZ-VIFPVBQESA-N 0 0 259.256 2.925 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3CCC[C@@H]3F)cc2N1 ZINC000338823294 284816762 /nfs/dbraw/zinc/81/67/62/284816762.db2.gz FRJVIFQDUIHQKX-VHSXEESVSA-N 0 0 293.298 2.782 20 5 CFBDRN C/C=C(\C)C(=O)NCCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000176137129 380184304 /nfs/dbraw/zinc/18/43/04/380184304.db2.gz SZZHYVUPGLQNFM-UUILKARUSA-N 0 0 291.351 2.788 20 5 CFBDRN C[C@@H](NC(=O)N1CCC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000335952233 380151316 /nfs/dbraw/zinc/15/13/16/380151316.db2.gz BGHIHFJCLMAXRZ-LLVKDONJSA-N 0 0 289.335 2.994 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H](F)C1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000335957812 380160568 /nfs/dbraw/zinc/16/05/68/380160568.db2.gz YJKYYRANNAIGGG-RKDXNWHRSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000176547939 380253326 /nfs/dbraw/zinc/25/33/26/380253326.db2.gz YLZWSIYYJNMSPH-NWDGAFQWSA-N 0 0 260.293 2.888 20 5 CFBDRN O=C([C@@H]1C[C@@H]1C1CC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000176595329 380256244 /nfs/dbraw/zinc/25/62/44/380256244.db2.gz COHFTZMUQBYCNP-CHWSQXEVSA-N 0 0 272.304 2.530 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000176689308 380264354 /nfs/dbraw/zinc/26/43/54/380264354.db2.gz YFRUYBRIFDSPEZ-NXEZZACHSA-N 0 0 263.297 3.000 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2F)sn1 ZINC000176853497 380279078 /nfs/dbraw/zinc/27/90/78/380279078.db2.gz UCWGHSGNMUQXLY-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)[C@H]2C)c1 ZINC000177131937 380308055 /nfs/dbraw/zinc/30/80/55/380308055.db2.gz ZRWIWIDELKKESI-WDEREUQCSA-N 0 0 262.309 2.774 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccsc1 ZINC000177694031 380369441 /nfs/dbraw/zinc/36/94/41/380369441.db2.gz KOJNAYOJVYUQAN-UHFFFAOYSA-N 0 0 277.305 2.978 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000336514494 380393650 /nfs/dbraw/zinc/39/36/50/380393650.db2.gz RARWTJAPVSYHQI-GHMZBOCLSA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000336531467 380423754 /nfs/dbraw/zinc/42/37/54/380423754.db2.gz JWXDUXPWGKCTKR-JTQLQIEISA-N 0 0 280.349 2.985 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@H]2CCCC[C@@H]2C1 ZINC000178282164 380430874 /nfs/dbraw/zinc/43/08/74/380430874.db2.gz GXBWEQHKQFBDAN-NXEZZACHSA-N 0 0 280.349 2.919 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CC[C@@H]2CCC[C@@H]21 ZINC000336548228 380450038 /nfs/dbraw/zinc/45/00/38/380450038.db2.gz UAHNQHWRTXLLQQ-AAEUAGOBSA-N 0 0 289.335 2.679 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000336520175 380403237 /nfs/dbraw/zinc/40/32/37/380403237.db2.gz FMXLOOHUWPFORD-ZJUUUORDSA-N 0 0 286.690 2.869 20 5 CFBDRN CCS[C@@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000178096184 380408618 /nfs/dbraw/zinc/40/86/18/380408618.db2.gz XWQYZMRWYVYWDS-WCBMZHEXSA-N 0 0 284.337 2.592 20 5 CFBDRN Nc1c(C(=O)NCCC2=CCCCC2)cccc1[N+](=O)[O-] ZINC000178149539 380414985 /nfs/dbraw/zinc/41/49/85/380414985.db2.gz QNCOJCLLHKDMPD-UHFFFAOYSA-N 0 0 289.335 2.797 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCN(C)c2ccccc2)n1 ZINC000178156638 380414995 /nfs/dbraw/zinc/41/49/95/380414995.db2.gz AXYRVNYSHUXDHT-UHFFFAOYSA-N 0 0 286.335 2.847 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000178159619 380415477 /nfs/dbraw/zinc/41/54/77/380415477.db2.gz PNPXWLXCWDHDQZ-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN Nc1c(C(=O)N(C2CC2)C2CCCC2)cccc1[N+](=O)[O-] ZINC000178192268 380419717 /nfs/dbraw/zinc/41/97/17/380419717.db2.gz ZTLVMFKHPXFESQ-UHFFFAOYSA-N 0 0 289.335 2.724 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H]2C(C)C)cc([N+](=O)[O-])c1 ZINC000336594671 380520617 /nfs/dbraw/zinc/52/06/17/380520617.db2.gz RQXYNAYVKGEWJW-CYBMUJFWSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000336560744 380467023 /nfs/dbraw/zinc/46/70/23/380467023.db2.gz PEQFUEPQAQHALJ-UWVGGRQHSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@@H](NC(=O)NC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000264489316 383747019 /nfs/dbraw/zinc/74/70/19/383747019.db2.gz ZRJLZUMFGNCESG-SECBINFHSA-N 0 0 263.297 2.508 20 5 CFBDRN CSc1ccc(C(=O)NC2CCC2)cc1[N+](=O)[O-] ZINC000264495350 383747459 /nfs/dbraw/zinc/74/74/59/383747459.db2.gz HHQJJVMBYSRYEG-UHFFFAOYSA-N 0 0 266.322 2.599 20 5 CFBDRN O=[N+]([O-])c1cnn(CCOc2ccc(Cl)c(F)c2)c1 ZINC000179492862 380548619 /nfs/dbraw/zinc/54/86/19/380548619.db2.gz OOCSCOIZANXJJB-UHFFFAOYSA-N 0 0 285.662 2.663 20 5 CFBDRN Cc1nscc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000179494628 380549138 /nfs/dbraw/zinc/54/91/38/380549138.db2.gz IDDZEJUQSWCYNW-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000336633644 380580535 /nfs/dbraw/zinc/58/05/35/380580535.db2.gz GIQOJLSGMOQIHH-NXEZZACHSA-N 0 0 280.299 2.533 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CC[C@@H](C)C1 ZINC000336793009 380639097 /nfs/dbraw/zinc/63/90/97/380639097.db2.gz VBULLLLXJZBXDT-PSASIEDQSA-N 0 0 252.318 2.720 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(F)cc1F ZINC000180586841 380679072 /nfs/dbraw/zinc/67/90/72/380679072.db2.gz OZFLRKXCPQHCNK-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN Cc1nc(NC(=O)c2c(N)cccc2[N+](=O)[O-])sc1C ZINC000180669298 380687931 /nfs/dbraw/zinc/68/79/31/380687931.db2.gz OFSRIZUINKTJKC-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC12CCCCC2 ZINC000180705358 380692090 /nfs/dbraw/zinc/69/20/90/380692090.db2.gz VPSRFWPCFRAKJW-CYBMUJFWSA-N 0 0 289.335 2.751 20 5 CFBDRN O=C(N[C@@H]1CC12CCCCC2)c1ccc([N+](=O)[O-])o1 ZINC000180720584 380693709 /nfs/dbraw/zinc/69/37/09/380693709.db2.gz DSWQLEYCXWMKPU-SNVBAGLBSA-N 0 0 264.281 2.640 20 5 CFBDRN CC[C@H](C)N(CCO)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000288448810 197081605 /nfs/dbraw/zinc/08/16/05/197081605.db2.gz JBWZJGUBSAQCRI-NSHDSACASA-N 0 0 289.335 2.740 20 5 CFBDRN Cc1cc(N(C)[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000181235951 380761522 /nfs/dbraw/zinc/76/15/22/380761522.db2.gz AGOGWBOUJRWOPP-LLVKDONJSA-N 0 0 252.339 2.845 20 5 CFBDRN CC[C@H](CSC)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000181157485 380749323 /nfs/dbraw/zinc/74/93/23/380749323.db2.gz NWAXUGWEPZMIDW-GFCCVEGCSA-N 0 0 296.392 2.785 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000279190872 194324639 /nfs/dbraw/zinc/32/46/39/194324639.db2.gz JMHNCDSYIMSZRN-VIFPVBQESA-N 0 0 295.314 2.721 20 5 CFBDRN CCc1nnc(NCc2cccc([N+](=O)[O-])c2)s1 ZINC000181474307 380801823 /nfs/dbraw/zinc/80/18/23/380801823.db2.gz KMZQILXSSZTRRK-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN CO[C@H](C)COc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000295378318 380818618 /nfs/dbraw/zinc/81/86/18/380818618.db2.gz PVXLNWDYXVPEPZ-ZCFIWIBFSA-N 0 0 263.652 2.801 20 5 CFBDRN Cc1cc(C(=O)NCC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000181550527 380823122 /nfs/dbraw/zinc/82/31/22/380823122.db2.gz UCDATXRJZXBTIP-ZDUSSCGKSA-N 0 0 292.335 2.592 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2CC[C@H]1CCOC1 ZINC000400970621 380867407 /nfs/dbraw/zinc/86/74/07/380867407.db2.gz MKLCUWVOWSRYIW-NSHDSACASA-N 0 0 260.293 2.976 20 5 CFBDRN CC(C)OCCCC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000181719832 380874674 /nfs/dbraw/zinc/87/46/74/380874674.db2.gz XMQRFQPKQAJFSU-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC[C@@H](O)C(F)(F)F ZINC000358488162 380876074 /nfs/dbraw/zinc/87/60/74/380876074.db2.gz AALJMQWMYKQKNI-SECBINFHSA-N 0 0 278.230 2.628 20 5 CFBDRN CC(=O)c1cc(NCCOCC2CC2)ccc1[N+](=O)[O-] ZINC000287447223 380834938 /nfs/dbraw/zinc/83/49/38/380834938.db2.gz RKJYSYTXWDKOOK-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN CCC(CC)(CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)OC ZINC000346979321 380840775 /nfs/dbraw/zinc/84/07/75/380840775.db2.gz XCWVVVGDGNUBTR-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@H]1CC12CCCC2 ZINC000353776103 380844803 /nfs/dbraw/zinc/84/48/03/380844803.db2.gz KCDXAEANQSFFQE-CYBMUJFWSA-N 0 0 274.320 2.791 20 5 CFBDRN Cc1nc(N(C)[C@@H](C)c2cccs2)ncc1[N+](=O)[O-] ZINC000295425237 380846290 /nfs/dbraw/zinc/84/62/90/380846290.db2.gz FGRPFBGLSYXBOT-VIFPVBQESA-N 0 0 278.337 2.952 20 5 CFBDRN CCOC(=O)[C@@H](NCc1cccc([N+](=O)[O-])c1)[C@@H](C)CC ZINC000181652746 380853328 /nfs/dbraw/zinc/85/33/28/380853328.db2.gz GXEDWZGPARPYSW-FZMZJTMJSA-N 0 0 294.351 2.662 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@H](C)O[C@H](C)[C@@H]2C)n1 ZINC000408444129 380926935 /nfs/dbraw/zinc/92/69/35/380926935.db2.gz REORSCYOMWSUFX-SDDRHHMPSA-N 0 0 279.340 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H](C)O[C@H](C)[C@H]2C)c1 ZINC000408440786 380927046 /nfs/dbraw/zinc/92/70/46/380927046.db2.gz APBAGLMLHMJRQS-QJPTWQEYSA-N 0 0 264.325 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(Cc3cncs3)n2)s1 ZINC000347084155 380928285 /nfs/dbraw/zinc/92/82/85/380928285.db2.gz MFBFDHMNMRNCFP-UHFFFAOYSA-N 0 0 294.317 2.754 20 5 CFBDRN CC(C)OCCCC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000181747801 380882787 /nfs/dbraw/zinc/88/27/87/380882787.db2.gz YDXOKLIEZCQRSK-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@H]2CCOC2)cc1 ZINC000402360079 380884526 /nfs/dbraw/zinc/88/45/26/380884526.db2.gz VHBVXAXUIFINNQ-NSHDSACASA-N 0 0 250.298 2.823 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)CCCO1 ZINC000287617678 380886463 /nfs/dbraw/zinc/88/64/63/380886463.db2.gz GLOFKVAADNZFDZ-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN CC[C@@H](CNc1c([N+](=O)[O-])ncn1C)CC(F)(F)F ZINC000402651445 380889172 /nfs/dbraw/zinc/88/91/72/380889172.db2.gz GZJBDOFJGADEMR-SSDOTTSWSA-N 0 0 280.250 2.719 20 5 CFBDRN CC(C)C[C@@H](C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000295486416 380889456 /nfs/dbraw/zinc/88/94/56/380889456.db2.gz ZAMIYAWJAJSNSR-SNVBAGLBSA-N 0 0 271.338 2.803 20 5 CFBDRN C[C@H](NC(=O)C(C)(Cl)Cl)c1ccc([N+](=O)[O-])cc1 ZINC000295489670 380891904 /nfs/dbraw/zinc/89/19/04/380891904.db2.gz ZWFUBWXKYICVTR-ZETCQYMHSA-N 0 0 291.134 2.966 20 5 CFBDRN COc1ccncc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000353840599 380892324 /nfs/dbraw/zinc/89/23/24/380892324.db2.gz IHOCCDSMXIWUPP-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000295495730 380896117 /nfs/dbraw/zinc/89/61/17/380896117.db2.gz JTDNVXJYHVAPNQ-CYBMUJFWSA-N 0 0 266.272 2.559 20 5 CFBDRN CC/C=C/CNC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000295505240 380901723 /nfs/dbraw/zinc/90/17/23/380901723.db2.gz CIZBDDYEHYDTGW-ONEGZZNKSA-N 0 0 287.319 2.701 20 5 CFBDRN Cc1ccsc1CNc1ncc([N+](=O)[O-])c(C)n1 ZINC000295510932 380905946 /nfs/dbraw/zinc/90/59/46/380905946.db2.gz LMAQJRDHZAJYAW-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCOc1cc(NCc2nnc(CC)o2)ccc1[N+](=O)[O-] ZINC000353856478 380907353 /nfs/dbraw/zinc/90/73/53/380907353.db2.gz BTXRUZCRAXFDJP-UHFFFAOYSA-N 0 0 292.295 2.551 20 5 CFBDRN CCOC(=O)[C@@H](CC)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181841853 380913107 /nfs/dbraw/zinc/91/31/07/380913107.db2.gz ZIJMJHOJCXCDBW-WCQYABFASA-N 0 0 294.351 2.896 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCC4(CCC4)C3)c21 ZINC000408195405 380917136 /nfs/dbraw/zinc/91/71/36/380917136.db2.gz OXKQOMGCFPLQCS-UHFFFAOYSA-N 0 0 284.319 2.918 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)CC1(C)C ZINC000408283667 380919353 /nfs/dbraw/zinc/91/93/53/380919353.db2.gz NEQUDTKUIGICKJ-SECBINFHSA-N 0 0 277.324 2.559 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000353878872 380924047 /nfs/dbraw/zinc/92/40/47/380924047.db2.gz ZTZOPYCXXCYEGX-MNOVXSKESA-N 0 0 279.340 2.632 20 5 CFBDRN CC(C)CC1(CNC(=O)c2cc([N+](=O)[O-])n[nH]2)CCCC1 ZINC000353922807 380959220 /nfs/dbraw/zinc/95/92/20/380959220.db2.gz DBYPORWYZGPDPX-UHFFFAOYSA-N 0 0 294.355 2.654 20 5 CFBDRN COc1ccncc1COc1ccc(F)cc1[N+](=O)[O-] ZINC000341359142 380964291 /nfs/dbraw/zinc/96/42/91/380964291.db2.gz NJMWYRHWUKFAKS-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CCOc1cc(N2CCCO[C@H](CC)C2)ccc1[N+](=O)[O-] ZINC000341361173 380966431 /nfs/dbraw/zinc/96/64/31/380966431.db2.gz BGAZBKMJXUAZCK-CYBMUJFWSA-N 0 0 294.351 2.999 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000409647116 380968239 /nfs/dbraw/zinc/96/82/39/380968239.db2.gz YTLWDILKDCFURY-SECBINFHSA-N 0 0 255.343 2.924 20 5 CFBDRN C[C@@H]1CSCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000287929946 380973738 /nfs/dbraw/zinc/97/37/38/380973738.db2.gz XEQAWZNARDYDLK-VIFPVBQESA-N 0 0 270.329 2.923 20 5 CFBDRN Cc1nn(Cc2cncc(F)c2)c2ccc([N+](=O)[O-])cc12 ZINC000341339123 380947418 /nfs/dbraw/zinc/94/74/18/380947418.db2.gz MHEROFVPBFQEOT-UHFFFAOYSA-N 0 0 286.266 2.835 20 5 CFBDRN CC[C@@H](c1ccncc1)N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295713234 381031916 /nfs/dbraw/zinc/03/19/16/381031916.db2.gz CVUNDRSWJLFPOU-ZDUSSCGKSA-N 0 0 293.367 2.827 20 5 CFBDRN NC(=O)c1cccc(N[C@@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC000341463174 381028724 /nfs/dbraw/zinc/02/87/24/381028724.db2.gz HBRQDMZOWOHXAR-CYBMUJFWSA-N 0 0 297.314 2.793 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)Cc2ccncc2)cc1[N+](=O)[O-] ZINC000182082111 380987463 /nfs/dbraw/zinc/98/74/63/380987463.db2.gz CMDOYXLAUPNADC-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN CCc1nc(CCNc2ccc(N)cc2[N+](=O)[O-])cs1 ZINC000287990686 380989336 /nfs/dbraw/zinc/98/93/36/380989336.db2.gz RSGYREUHKYGIRM-UHFFFAOYSA-N 0 0 292.364 2.851 20 5 CFBDRN CCC1(C)CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000295642748 380993092 /nfs/dbraw/zinc/99/30/92/380993092.db2.gz DXWLEXRVVXFVCQ-UHFFFAOYSA-N 0 0 260.297 2.707 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H]3CCCCCC[C@H]32)nc1 ZINC000368343299 380996170 /nfs/dbraw/zinc/99/61/70/380996170.db2.gz HSJAOTHTLMUHIS-ZYHUDNBSSA-N 0 0 262.313 2.544 20 5 CFBDRN CC(C)(CNC(=O)c1[nH]ncc1[N+](=O)[O-])C1=CCCCC1 ZINC000358665993 381001261 /nfs/dbraw/zinc/00/12/61/381001261.db2.gz WUXPHVVSRAYWEI-UHFFFAOYSA-N 0 0 292.339 2.574 20 5 CFBDRN CC(C)C(=O)Nc1cc(Br)cc([N+](=O)[O-])c1 ZINC000347288948 381050878 /nfs/dbraw/zinc/05/08/78/381050878.db2.gz ZSKAXAZFDDIWJB-UHFFFAOYSA-N 0 0 287.113 2.952 20 5 CFBDRN COCC1(C)CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000358753112 381058673 /nfs/dbraw/zinc/05/86/73/381058673.db2.gz QUMSEDVHUQOUTB-UHFFFAOYSA-N 0 0 296.342 2.982 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])NCC1=CCCCC1 ZINC000295763607 381061456 /nfs/dbraw/zinc/06/14/56/381061456.db2.gz IYRRKKNENBZOMQ-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN Cc1ccccc1Cc1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000295772270 381065968 /nfs/dbraw/zinc/06/59/68/381065968.db2.gz BTYJITWYGHSUII-UHFFFAOYSA-N 0 0 289.335 2.957 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000288648008 197136047 /nfs/dbraw/zinc/13/60/47/197136047.db2.gz RAZIZFHADMZCCU-ZETCQYMHSA-N 0 0 276.214 2.584 20 5 CFBDRN Cc1ncc(CNCc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000341540284 381074832 /nfs/dbraw/zinc/07/48/32/381074832.db2.gz GTKLHQPWHKVNJB-UHFFFAOYSA-N 0 0 292.726 2.636 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2nccn21 ZINC000358767753 381070943 /nfs/dbraw/zinc/07/09/43/381070943.db2.gz JZAZKKSIAVNJCE-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN C[C@H]1CCC[C@H](CO)N1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182353750 381071949 /nfs/dbraw/zinc/07/19/49/381071949.db2.gz REBZHYOPCWXOQR-GXFFZTMASA-N 0 0 298.770 2.984 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000182238522 381034456 /nfs/dbraw/zinc/03/44/56/381034456.db2.gz SJUJGAWMZBEMKV-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)Cc2ccccc2)cc1 ZINC000358731201 381041891 /nfs/dbraw/zinc/04/18/91/381041891.db2.gz HJGXJPGOWWXNTB-AWEZNQCLSA-N 0 0 286.331 2.858 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@H](C3CCC3)C2)cs1 ZINC000411873019 381043677 /nfs/dbraw/zinc/04/36/77/381043677.db2.gz KUGORMBXUHTZGC-LBPRGKRZSA-N 0 0 282.365 2.657 20 5 CFBDRN C[C@H](c1c(F)cccc1F)N(C)c1c([N+](=O)[O-])ncn1C ZINC000354110768 381085727 /nfs/dbraw/zinc/08/57/27/381085727.db2.gz CTIURKZETDXILF-MRVPVSSYSA-N 0 0 296.277 2.804 20 5 CFBDRN C[C@](O)(COc1cccc(Cl)c1[N+](=O)[O-])C(F)(F)F ZINC000295813035 381090461 /nfs/dbraw/zinc/09/04/61/381090461.db2.gz BQVLFLODYPLJJN-VIFPVBQESA-N 0 0 299.632 2.940 20 5 CFBDRN CO[C@H]1CC[N@H+](CCc2ccc([N+](=O)[O-])cc2)CC1(C)C ZINC000295818523 381094527 /nfs/dbraw/zinc/09/45/27/381094527.db2.gz CBNCABKUTFCFNI-HNNXBMFYSA-N 0 0 292.379 2.884 20 5 CFBDRN CC(C)(CNC(=O)c1ccccc1[N+](=O)[O-])c1ccccn1 ZINC000295860139 381122931 /nfs/dbraw/zinc/12/29/31/381122931.db2.gz WVEJXFQEZRVJGM-UHFFFAOYSA-N 0 0 299.330 2.697 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1c(F)cccc1[N+](=O)[O-] ZINC000341595708 381112665 /nfs/dbraw/zinc/11/26/65/381112665.db2.gz OXJCAVKKMYMHGX-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000358886212 381138959 /nfs/dbraw/zinc/13/89/59/381138959.db2.gz YIECDTUHDLVKJG-LLVKDONJSA-N 0 0 294.326 2.925 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])OC ZINC000295907688 381147827 /nfs/dbraw/zinc/14/78/27/381147827.db2.gz ZOQRSAPECZRJJR-SMDDNHRTSA-N 0 0 294.351 2.694 20 5 CFBDRN CCC[C@](C)(CO)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182761372 381152136 /nfs/dbraw/zinc/15/21/36/381152136.db2.gz PWZFARHYPGDTNV-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCc3cccc(F)c3)c21 ZINC000347437445 381152195 /nfs/dbraw/zinc/15/21/95/381152195.db2.gz VHNLDQRQVYGPNT-UHFFFAOYSA-N 0 0 298.277 2.711 20 5 CFBDRN Cc1cnc(OCC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000295913628 381152992 /nfs/dbraw/zinc/15/29/92/381152992.db2.gz FXNAOTCUSAENLP-UHFFFAOYSA-N 0 0 258.224 2.722 20 5 CFBDRN CCSCCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000182794697 381158412 /nfs/dbraw/zinc/15/84/12/381158412.db2.gz HNNUIKYZAANGTL-UHFFFAOYSA-N 0 0 252.339 2.710 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C1=CCC1 ZINC000341666530 381159234 /nfs/dbraw/zinc/15/92/34/381159234.db2.gz OUUAMBDKEPLOKV-UHFFFAOYSA-N 0 0 262.265 2.652 20 5 CFBDRN CC1(C)C[C@@H](O)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000295871573 381127659 /nfs/dbraw/zinc/12/76/59/381127659.db2.gz CRDIKCODEBXQEA-JTQLQIEISA-N 0 0 299.758 2.631 20 5 CFBDRN CC(C)C1(CNc2ncnc3cccc([N+](=O)[O-])c32)CC1 ZINC000347463467 381166725 /nfs/dbraw/zinc/16/67/25/381166725.db2.gz NBOUSGNUNUYCJR-UHFFFAOYSA-N 0 0 286.335 2.808 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])cn2)CCO[C@@H]1C1CC1 ZINC000368779060 381132119 /nfs/dbraw/zinc/13/21/19/381132119.db2.gz IHXSCMOJDWRPHR-KGLIPLIRSA-N 0 0 277.324 2.607 20 5 CFBDRN CC1(C)C[C@@H](O)CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000295944097 381172087 /nfs/dbraw/zinc/17/20/87/381172087.db2.gz IEECXZJYBTVIKC-JTQLQIEISA-N 0 0 299.758 2.631 20 5 CFBDRN CC(C)(C)NC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000358960168 381177726 /nfs/dbraw/zinc/17/77/26/381177726.db2.gz QSNUUMPEEJPPAL-UHFFFAOYSA-N 0 0 288.250 2.725 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CF)CC1 ZINC000295969403 381187724 /nfs/dbraw/zinc/18/77/24/381187724.db2.gz OFZCGNDHQMTYJG-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cccc2nccnc21 ZINC000347507034 381193429 /nfs/dbraw/zinc/19/34/29/381193429.db2.gz KVBVLICAZLCAIB-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN CC1(CNC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)CC1 ZINC000412171073 381194260 /nfs/dbraw/zinc/19/42/60/381194260.db2.gz RZOKHDGSAZZDCV-QWHCGFSZSA-N 0 0 274.320 2.615 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000347513164 381195418 /nfs/dbraw/zinc/19/54/18/381195418.db2.gz IXEKGTKBAFODDT-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])s2)[C@H]2CCCO[C@H]21 ZINC000369121270 381197809 /nfs/dbraw/zinc/19/78/09/381197809.db2.gz DLUYRYSXMNJAHD-SZEHBUNVSA-N 0 0 283.353 2.667 20 5 CFBDRN C[C@@H]1CC[C@@H](COc2ccc([N+](=O)[O-])c(F)c2F)O1 ZINC000296020215 381215064 /nfs/dbraw/zinc/21/50/64/381215064.db2.gz RDNIVOHBSHRSJF-SFYZADRCSA-N 0 0 273.235 2.819 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359083659 381255829 /nfs/dbraw/zinc/25/58/29/381255829.db2.gz PETVBMSBVDUSHR-SECBINFHSA-N 0 0 284.337 2.650 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000342312775 381270528 /nfs/dbraw/zinc/27/05/28/381270528.db2.gz FVYPJAHNUUZXBX-LLVKDONJSA-N 0 0 279.340 2.586 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359084931 381256132 /nfs/dbraw/zinc/25/61/32/381256132.db2.gz RLCWQRKVMHAVEG-LBPRGKRZSA-N 0 0 281.356 2.816 20 5 CFBDRN COC(=O)c1cc(NCC2(C)CC2)cc(C)c1[N+](=O)[O-] ZINC000354426556 381258511 /nfs/dbraw/zinc/25/85/11/381258511.db2.gz ONKQQAFPWFNAGD-UHFFFAOYSA-N 0 0 278.308 2.902 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@H]1C=CCCC1 ZINC000342311795 381270595 /nfs/dbraw/zinc/27/05/95/381270595.db2.gz JAAVPFLCMKOMQG-ZDUSSCGKSA-N 0 0 299.330 2.774 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2nccs2)n1 ZINC000359087815 381259158 /nfs/dbraw/zinc/25/91/58/381259158.db2.gz YPNBSIOSWMZHGK-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC1(C)CN(Cc2cccc([N+](=O)[O-])c2)[C@H]1[C@@H]1CCCO1 ZINC000289933033 381223437 /nfs/dbraw/zinc/22/34/37/381223437.db2.gz VHBICFSDSBXKQN-GJZGRUSLSA-N 0 0 290.363 2.984 20 5 CFBDRN CC[C@H](NCc1ccc([N+](=O)[O-])cc1)c1nccn1C ZINC000183179935 381227258 /nfs/dbraw/zinc/22/72/58/381227258.db2.gz WNSWFOKNRROVNV-ZDUSSCGKSA-N 0 0 274.324 2.569 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000183180425 381229889 /nfs/dbraw/zinc/22/98/89/381229889.db2.gz FYTCFALJCUJPAI-MNOVXSKESA-N 0 0 264.325 2.893 20 5 CFBDRN CS[C@H](C)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359106722 381269638 /nfs/dbraw/zinc/26/96/38/381269638.db2.gz YXJFYUJVPNRABR-SECBINFHSA-N 0 0 255.343 2.770 20 5 CFBDRN Cc1cc(C(=O)N2CCC(CF)CC2)cc([N+](=O)[O-])c1 ZINC000296070740 381241427 /nfs/dbraw/zinc/24/14/27/381241427.db2.gz ZRUDAOWTEBKRBM-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183579685 381288989 /nfs/dbraw/zinc/28/89/89/381288989.db2.gz BNHVMMRGBIFNNG-GHMZBOCLSA-N 0 0 292.339 2.938 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000183590162 381290828 /nfs/dbraw/zinc/29/08/28/381290828.db2.gz MRUBHMJAYZCYGI-GHMZBOCLSA-N 0 0 292.339 2.938 20 5 CFBDRN Cc1nc(CN2CCc3c2cccc3[N+](=O)[O-])cs1 ZINC000183624325 381296905 /nfs/dbraw/zinc/29/69/05/381296905.db2.gz MIATUINNRMVLEG-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000359229641 381298981 /nfs/dbraw/zinc/29/89/81/381298981.db2.gz RBHATSLZMLFWAH-PSASIEDQSA-N 0 0 298.364 2.604 20 5 CFBDRN O=C(NCCC[C@@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000183478242 381275772 /nfs/dbraw/zinc/27/57/72/381275772.db2.gz ZDPBRDBBHQWFAG-LBPRGKRZSA-N 0 0 292.335 2.674 20 5 CFBDRN CC/C=C\CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412378946 381278459 /nfs/dbraw/zinc/27/84/59/381278459.db2.gz FOVQYLDRLDEXDL-KBIGUFJUSA-N 0 0 274.320 2.781 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)CCCC1 ZINC000296163037 381281735 /nfs/dbraw/zinc/28/17/35/381281735.db2.gz NFOIYTUAGMXZIC-UHFFFAOYSA-N 0 0 295.314 2.769 20 5 CFBDRN CCC(CC)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000342335035 381282622 /nfs/dbraw/zinc/28/26/22/381282622.db2.gz JFQBVWMAWRNRLB-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412384410 381282705 /nfs/dbraw/zinc/28/27/05/381282705.db2.gz PVTXPQFLMNXVCE-ZNMIVQPWSA-N 0 0 288.347 2.955 20 5 CFBDRN CO[C@@H](C)c1cccc(NCc2c([N+](=O)[O-])ncn2C)c1 ZINC000347734107 381323720 /nfs/dbraw/zinc/32/37/20/381323720.db2.gz NSUXMBXSGMCWKY-JTQLQIEISA-N 0 0 290.323 2.648 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2nccs2)n1 ZINC000354542078 381330271 /nfs/dbraw/zinc/33/02/71/381330271.db2.gz WJFMHXQKQUJKNU-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN C[C@H](c1cccnc1)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000342437882 381332143 /nfs/dbraw/zinc/33/21/43/381332143.db2.gz FEOSDYYCGIGQOZ-SECBINFHSA-N 0 0 291.332 2.885 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(CF)C1 ZINC000412526769 381337769 /nfs/dbraw/zinc/33/77/69/381337769.db2.gz HPFRCKCMTHYBRQ-SECBINFHSA-N 0 0 298.339 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC2(C)C)c1 ZINC000359309897 381339721 /nfs/dbraw/zinc/33/97/21/381339721.db2.gz NCWLIXJXYDBWES-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1ccnc(N(C)Cc2ccccc2[N+](=O)[O-])c1 ZINC000347725789 381317794 /nfs/dbraw/zinc/31/77/94/381317794.db2.gz UNGAKEUUAAWSBI-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CS[C@@H](CNc1ncc([N+](=O)[O-])cn1)C(C)(C)C ZINC000354567044 381341775 /nfs/dbraw/zinc/34/17/75/381341775.db2.gz MDWPWKVEVXSPGP-VIFPVBQESA-N 0 0 270.358 2.574 20 5 CFBDRN CCOc1cccc(COc2ccccc2[N+](=O)[O-])n1 ZINC000342482899 381354460 /nfs/dbraw/zinc/35/44/60/381354460.db2.gz RZMHYESIDPCSEU-UHFFFAOYSA-N 0 0 274.276 2.968 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000412589643 381356941 /nfs/dbraw/zinc/35/69/41/381356941.db2.gz FOGFIPJUMCUATD-SKDRFNHKSA-N 0 0 294.376 2.781 20 5 CFBDRN Cc1cscc1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000354611533 381366012 /nfs/dbraw/zinc/36/60/12/381366012.db2.gz SDJRUIMJMVCEBK-UHFFFAOYSA-N 0 0 277.305 2.799 20 5 CFBDRN CO[C@](C)([C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000412616127 381369055 /nfs/dbraw/zinc/36/90/55/381369055.db2.gz RTWRJZOWXPPYCG-MEBBXXQBSA-N 0 0 292.335 2.528 20 5 CFBDRN Cc1noc(NC(=O)c2ccc([N+](=O)[O-])s2)c1C ZINC000359362469 381372904 /nfs/dbraw/zinc/37/29/04/381372904.db2.gz LBXMLRNZXASBIX-UHFFFAOYSA-N 0 0 267.266 2.513 20 5 CFBDRN CCc1[nH]ccc1C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000354629421 381373908 /nfs/dbraw/zinc/37/39/08/381373908.db2.gz XFWHUJVPXBPMDO-UHFFFAOYSA-N 0 0 289.291 2.746 20 5 CFBDRN Cc1nn(Cc2cncc(C(F)(F)F)c2)cc1[N+](=O)[O-] ZINC000359368599 381377164 /nfs/dbraw/zinc/37/71/64/381377164.db2.gz LVUBWWRRFMMGCA-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN Cc1c(OC(=O)CCC(C)(C)[N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000296459245 381405195 /nfs/dbraw/zinc/40/51/95/381405195.db2.gz GNSSZSBSZBFJQR-UHFFFAOYSA-N 0 0 296.279 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]3COC[C@H]32)c(Cl)c1 ZINC000370223520 381406933 /nfs/dbraw/zinc/40/69/33/381406933.db2.gz CRFAMZLCDQOLJQ-SMDDNHRTSA-N 0 0 296.754 2.859 20 5 CFBDRN CC(C)OC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000342575646 381409006 /nfs/dbraw/zinc/40/90/06/381409006.db2.gz GAEDKVCXNJQKPY-UHFFFAOYSA-N 0 0 287.699 2.969 20 5 CFBDRN Cc1cccnc1/C=C/C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000184408345 381410424 /nfs/dbraw/zinc/41/04/24/381410424.db2.gz RDNSWZRYLCMHMQ-BQYQJAHWSA-N 0 0 297.314 2.628 20 5 CFBDRN CCC[C@H](OC)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000296474950 381411909 /nfs/dbraw/zinc/41/19/09/381411909.db2.gz HVINYSIVPFBIEI-NSHDSACASA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1ccc(OCCO)cc1 ZINC000354708532 381414647 /nfs/dbraw/zinc/41/46/47/381414647.db2.gz QWWLUBUYRCLIIZ-UHFFFAOYSA-N 0 0 288.303 2.578 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1[C@@H](C)C1(F)F)c1ccccc1[N+](=O)[O-] ZINC000359373843 381380034 /nfs/dbraw/zinc/38/00/34/381380034.db2.gz WQNVUSGMJWHFTG-FYBVGQRMSA-N 0 0 284.262 2.673 20 5 CFBDRN COc1cc(CN2CCC[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000184233971 381390322 /nfs/dbraw/zinc/39/03/22/381390322.db2.gz PZBJTQXVZDYCIF-SNVBAGLBSA-N 0 0 282.315 2.974 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])[nH]2)c(C)c1 ZINC000347880704 381396579 /nfs/dbraw/zinc/39/65/79/381396579.db2.gz RYBFXNHYLABKRH-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN O=C(OCC1CC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000184331844 381403311 /nfs/dbraw/zinc/40/33/11/381403311.db2.gz PGBYNRJGQXXRBQ-UHFFFAOYSA-N 0 0 262.265 2.504 20 5 CFBDRN Cc1nnccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000347932764 381421584 /nfs/dbraw/zinc/42/15/84/381421584.db2.gz PIFHYLRJTPMVNA-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000347935511 381421982 /nfs/dbraw/zinc/42/19/82/381421982.db2.gz GWQMXJHQDLCZRN-ZJUUUORDSA-N 0 0 294.326 3.000 20 5 CFBDRN CCCCC[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])nn1C ZINC000359476907 381425735 /nfs/dbraw/zinc/42/57/35/381425735.db2.gz DRBUQBHTIJDEJZ-NSHDSACASA-N 0 0 294.355 2.513 20 5 CFBDRN CCN(CC(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000296530298 381436299 /nfs/dbraw/zinc/43/62/99/381436299.db2.gz IDYITDJSDUIYGX-UHFFFAOYSA-N 0 0 291.229 2.853 20 5 CFBDRN C[C@@H](C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000347973173 381439082 /nfs/dbraw/zinc/43/90/82/381439082.db2.gz UELQNMYRJUNRSH-YFKPBYRVSA-N 0 0 280.177 2.871 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347975183 381439820 /nfs/dbraw/zinc/43/98/20/381439820.db2.gz HKBUTXBGIMVDML-VXGBXAGGSA-N 0 0 266.272 2.965 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CCCCS1 ZINC000347981011 381443204 /nfs/dbraw/zinc/44/32/04/381443204.db2.gz NLYPROIVCZZBTC-LLVKDONJSA-N 0 0 284.312 2.958 20 5 CFBDRN CC[C@H]1OCCC[C@@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347993299 381448383 /nfs/dbraw/zinc/44/83/83/381448383.db2.gz WKJJDKULHQHZFY-QWHCGFSZSA-N 0 0 296.298 2.878 20 5 CFBDRN CCOC(=O)c1coc(/C=C\c2ccc([N+](=O)[O-])cn2)c1 ZINC000359520657 381449839 /nfs/dbraw/zinc/44/98/39/381449839.db2.gz AVHZAVRHGZKCPR-XQRVVYSFSA-N 0 0 288.259 2.930 20 5 CFBDRN CC(C)n1cc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000347994394 381449873 /nfs/dbraw/zinc/44/98/73/381449873.db2.gz WMJBLYMTESJPTC-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](C(C)(C)C)C1 ZINC000359574785 381479668 /nfs/dbraw/zinc/47/96/68/381479668.db2.gz JFZOHJZGCYWJMW-NSHDSACASA-N 0 0 280.372 2.992 20 5 CFBDRN C[C@H](c1ccccn1)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000342640137 381451921 /nfs/dbraw/zinc/45/19/21/381451921.db2.gz GASGYCIDFYRWKN-SECBINFHSA-N 0 0 291.332 2.885 20 5 CFBDRN CC[C@@H](CNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)OC ZINC000290496587 381452815 /nfs/dbraw/zinc/45/28/15/381452815.db2.gz SGCXRFBMWHWAQC-LBPRGKRZSA-N 0 0 294.351 2.873 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1cccnc1 ZINC000370485719 381455157 /nfs/dbraw/zinc/45/51/57/381455157.db2.gz UAFMJGVJYDORET-LSDHHAIUSA-N 0 0 298.298 2.837 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])C(F)(F)F ZINC000348096336 381462044 /nfs/dbraw/zinc/46/20/44/381462044.db2.gz SNCLHRPPLKMDSF-SECBINFHSA-N 0 0 290.241 2.974 20 5 CFBDRN CCc1cnccc1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000184703722 381464506 /nfs/dbraw/zinc/46/45/06/381464506.db2.gz OZUZQJICBWOUAV-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN COCCCOc1ccc([N+](=O)[O-])c2ncccc12 ZINC000184979305 381504637 /nfs/dbraw/zinc/50/46/37/381504637.db2.gz AMIAXYVBJRKFDJ-UHFFFAOYSA-N 0 0 262.265 2.558 20 5 CFBDRN COc1ccc(O[C@@H]2CCC(C)(C)C2=O)c([N+](=O)[O-])c1 ZINC000412985545 381520674 /nfs/dbraw/zinc/52/06/74/381520674.db2.gz TWWDYCRUABDKSY-GFCCVEGCSA-N 0 0 279.292 2.740 20 5 CFBDRN O=c1cc(COc2cccc([N+](=O)[O-])c2)nc(C2CC2)[nH]1 ZINC000412980771 381518932 /nfs/dbraw/zinc/51/89/32/381518932.db2.gz SXRQUSCBEPJOKU-UHFFFAOYSA-N 0 0 287.275 2.547 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CO)C2CCCC2)c(Cl)c1 ZINC000290676210 381519702 /nfs/dbraw/zinc/51/97/02/381519702.db2.gz ILIHGCHYCCPCJN-LLVKDONJSA-N 0 0 285.731 2.606 20 5 CFBDRN CC(C)c1noc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000296720228 381519792 /nfs/dbraw/zinc/51/97/92/381519792.db2.gz MXPFUINMGWEZIG-JTQLQIEISA-N 0 0 290.323 2.952 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1nccs1 ZINC000185037749 381520218 /nfs/dbraw/zinc/52/02/18/381520218.db2.gz BBXSLCPIDPEMQD-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000342792505 381543665 /nfs/dbraw/zinc/54/36/65/381543665.db2.gz HBDSIFOQVGSVLA-JIMOISOXSA-N 0 0 279.340 2.770 20 5 CFBDRN O=C(COc1ccc(Cl)cc1[N+](=O)[O-])NCC1CCC1 ZINC000342759669 381521302 /nfs/dbraw/zinc/52/13/02/381521302.db2.gz YCGIFHNBTCPLLM-UHFFFAOYSA-N 0 0 298.726 2.543 20 5 CFBDRN Cc1nn(CCO[C@@H]2CCCC[C@H]2C)cc1[N+](=O)[O-] ZINC000354924500 381524124 /nfs/dbraw/zinc/52/41/24/381524124.db2.gz VYGRNSVZJDHSQK-ZWNOBZJWSA-N 0 0 267.329 2.695 20 5 CFBDRN CCC(C)(C)C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359643730 381529923 /nfs/dbraw/zinc/52/99/23/381529923.db2.gz XRXIQJWCUZDCPH-UHFFFAOYSA-N 0 0 292.335 2.752 20 5 CFBDRN CC1(C)CC[C@H](Oc2cc(F)cc([N+](=O)[O-])c2)C1=O ZINC000412999211 381530419 /nfs/dbraw/zinc/53/04/19/381530419.db2.gz KSXKVHCPXMEAHC-NSHDSACASA-N 0 0 267.256 2.870 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CC[C@H](C2CC2)C1 ZINC000371240059 381574918 /nfs/dbraw/zinc/57/49/18/381574918.db2.gz SCMLGFJHWQIUPD-VSZOKUSCSA-N 0 0 286.331 2.867 20 5 CFBDRN CC(C)(CCC(=O)N1CCCc2cccc(F)c21)[N+](=O)[O-] ZINC000297987770 381575688 /nfs/dbraw/zinc/57/56/88/381575688.db2.gz HTBGXPJGWUEIOD-UHFFFAOYSA-N 0 0 294.326 2.940 20 5 CFBDRN CO[C@@H](CNc1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000342839227 381577486 /nfs/dbraw/zinc/57/74/86/381577486.db2.gz ALZNSMJQYPDPHF-NSHDSACASA-N 0 0 256.277 2.817 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC[C@@H](CC2CC2)C1 ZINC000359724551 381580391 /nfs/dbraw/zinc/58/03/91/381580391.db2.gz FBPHJUGPUAWPAL-AWEZNQCLSA-N 0 0 288.347 2.786 20 5 CFBDRN CC[C@]1(C)CCCN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000297198345 381553159 /nfs/dbraw/zinc/55/31/59/381553159.db2.gz RGJQPKUGULQGES-CYBMUJFWSA-N 0 0 264.329 2.710 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3ccc([N+](=O)[O-])cc3C)C2)c1 ZINC000290796077 381553544 /nfs/dbraw/zinc/55/35/44/381553544.db2.gz OOGUZXSSYYXAHF-AWEZNQCLSA-N 0 0 286.335 2.860 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1cncc(F)c1 ZINC000185183903 381554724 /nfs/dbraw/zinc/55/47/24/381554724.db2.gz BUQQTEYMFZRWDC-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCc3ccc(CO)cc32)c1 ZINC000371346174 381598048 /nfs/dbraw/zinc/59/80/48/381598048.db2.gz VJOYOHCLRBKNEM-UHFFFAOYSA-N 0 0 284.315 2.650 20 5 CFBDRN CC(=O)c1cc(NCC2(CO)CCCC2)ccc1[N+](=O)[O-] ZINC000342878501 381599051 /nfs/dbraw/zinc/59/90/51/381599051.db2.gz YZUUBYBUDFFWRH-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3ccc([N+](=O)[O-])c(C)c3)C2)c1 ZINC000290889930 381587229 /nfs/dbraw/zinc/58/72/29/381587229.db2.gz TWUYRMWZBBBJOD-AWEZNQCLSA-N 0 0 286.335 2.860 20 5 CFBDRN CC(C)(C)C(C)(C)CNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000348493300 381594471 /nfs/dbraw/zinc/59/44/71/381594471.db2.gz NZKSOZBROAZOLZ-UHFFFAOYSA-N 0 0 267.329 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2c[nH]nn2)c(Cl)c1 ZINC000359750107 381595897 /nfs/dbraw/zinc/59/58/97/381595897.db2.gz XVVOSNMBMXFPBY-UHFFFAOYSA-N 0 0 270.701 2.659 20 5 CFBDRN Cc1cc(C(=O)N2CCCC3(CC3)C2)cc([N+](=O)[O-])c1 ZINC000185321375 381596397 /nfs/dbraw/zinc/59/63/97/381596397.db2.gz HSMQIQPGRUDIFR-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1ccc(C(=O)N2CCCC3(CC3)C2)cc1[N+](=O)[O-] ZINC000185399735 381622401 /nfs/dbraw/zinc/62/24/01/381622401.db2.gz XFAOQYXFDVTYRA-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CN(C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1cccnc1 ZINC000342937933 381624565 /nfs/dbraw/zinc/62/45/65/381624565.db2.gz JNIGZVUWPKLTQB-UHFFFAOYSA-N 0 0 296.286 2.748 20 5 CFBDRN COCCC1(C)CN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000289158575 197249973 /nfs/dbraw/zinc/24/99/73/197249973.db2.gz UIFXUAXTLXHEQQ-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN Cc1cccc(CCNc2ccccc2[N+](=O)[O-])n1 ZINC000359842962 381635938 /nfs/dbraw/zinc/63/59/38/381635938.db2.gz IDDISOZYDCPQPP-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1nc(C)c(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)s1 ZINC000291025211 381636927 /nfs/dbraw/zinc/63/69/27/381636927.db2.gz DDKDENRLVFPVNQ-UHFFFAOYSA-N 0 0 296.352 2.798 20 5 CFBDRN Cc1ccc2nc(CCC(C)(C)[N+](=O)[O-])[nH]c(=O)c2c1 ZINC000291052219 381648702 /nfs/dbraw/zinc/64/87/02/381648702.db2.gz ZMLCCECAFUFDFR-UHFFFAOYSA-N 0 0 275.308 2.632 20 5 CFBDRN CSc1ccccc1CN(C)c1ncc([N+](=O)[O-])cn1 ZINC000359867374 381649198 /nfs/dbraw/zinc/64/91/98/381649198.db2.gz CKJWZSKVRPNXDZ-UHFFFAOYSA-N 0 0 290.348 2.743 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccccc2[N+](=O)[O-])CCC1(F)F ZINC000291071406 381655635 /nfs/dbraw/zinc/65/56/35/381655635.db2.gz YTMVXAAPAZVETM-JTQLQIEISA-N 0 0 298.289 2.641 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCc1ncccc1O ZINC000359883712 381657775 /nfs/dbraw/zinc/65/77/75/381657775.db2.gz XPXRNWUFDOOKMT-UHFFFAOYSA-N 0 0 279.683 2.961 20 5 CFBDRN CCCNc1ccccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000359888738 381660239 /nfs/dbraw/zinc/66/02/39/381660239.db2.gz VMOZOXSBHVNRRG-UHFFFAOYSA-N 0 0 288.307 2.997 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC(Cc2ccccc2)C1 ZINC000359895342 381663096 /nfs/dbraw/zinc/66/30/96/381663096.db2.gz GRAPGMOPZRUXAQ-UHFFFAOYSA-N 0 0 296.326 2.910 20 5 CFBDRN COc1ccc(OCCCn2ccnc2C)c([N+](=O)[O-])c1 ZINC000298527255 381663220 /nfs/dbraw/zinc/66/32/20/381663220.db2.gz XNKKPNVXUFYLCS-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000359896938 381664788 /nfs/dbraw/zinc/66/47/88/381664788.db2.gz JZBKZHHCAWGUBR-SNVBAGLBSA-N 0 0 268.288 2.658 20 5 CFBDRN Cc1ccnc(N2CC[C@H](Cc3ccncc3)C2)c1[N+](=O)[O-] ZINC000359903265 381667834 /nfs/dbraw/zinc/66/78/34/381667834.db2.gz SPRIKBIDOGTNTD-CQSZACIVSA-N 0 0 298.346 2.762 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](Cc3ccncc3)C2)n1 ZINC000359901995 381667904 /nfs/dbraw/zinc/66/79/04/381667904.db2.gz QVPWASOXFMVSEI-CQSZACIVSA-N 0 0 298.346 2.762 20 5 CFBDRN COc1ccc(OC(=O)C2(CCF)CC2)cc1[N+](=O)[O-] ZINC000291103865 381668487 /nfs/dbraw/zinc/66/84/87/381668487.db2.gz RYYCHAAAGTXBDO-UHFFFAOYSA-N 0 0 283.255 2.649 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000291107844 381669634 /nfs/dbraw/zinc/66/96/34/381669634.db2.gz BQXZXUQELPKCMS-UHFFFAOYSA-N 0 0 279.340 2.685 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1c1ncccc1[N+](=O)[O-] ZINC000359867882 381650620 /nfs/dbraw/zinc/65/06/20/381650620.db2.gz BQZVOSBXLOEOEP-JGVFFNPUSA-N 0 0 275.230 2.767 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000359930689 381685665 /nfs/dbraw/zinc/68/56/65/381685665.db2.gz FJIYRNWWUBFKKA-WDEREUQCSA-N 0 0 286.335 2.808 20 5 CFBDRN C[C@H]1CCC[C@H]1CNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000359930687 381685730 /nfs/dbraw/zinc/68/57/30/381685730.db2.gz FJIYRNWWUBFKKA-QWRGUYRKSA-N 0 0 286.335 2.808 20 5 CFBDRN Nc1c(F)c(NCc2ccco2)ccc1[N+](=O)[O-] ZINC000348727434 381686108 /nfs/dbraw/zinc/68/61/08/381686108.db2.gz GGCMQNLWXMSNIZ-UHFFFAOYSA-N 0 0 251.217 2.521 20 5 CFBDRN Cc1nnc(CCNc2cccc(C)c2[N+](=O)[O-])s1 ZINC000291158009 381689323 /nfs/dbraw/zinc/68/93/23/381689323.db2.gz PQJLZLPKUDGABH-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000298623669 381691136 /nfs/dbraw/zinc/69/11/36/381691136.db2.gz LWLVLACVGGJWKG-CYBMUJFWSA-N 0 0 296.323 2.747 20 5 CFBDRN COc1ccc(C(=O)NC23CCC(CC2)C3)cc1[N+](=O)[O-] ZINC000371748274 381691876 /nfs/dbraw/zinc/69/18/76/381691876.db2.gz VJUYQZZLXHYLBE-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CCC[C@@H]1CN(c2cccc(OC)c2[N+](=O)[O-])CCO1 ZINC000185641306 381691956 /nfs/dbraw/zinc/69/19/56/381691956.db2.gz FJOJBTZJAILGOR-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])s2)CCC1(F)F ZINC000291174706 381694866 /nfs/dbraw/zinc/69/48/66/381694866.db2.gz RFWQEGWNIWINER-ZCFIWIBFSA-N 0 0 263.269 2.533 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1CCc1ccccc1 ZINC000348756389 381698005 /nfs/dbraw/zinc/69/80/05/381698005.db2.gz OFQMNJBWAHWQHH-UHFFFAOYSA-N 0 0 284.271 2.745 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000359963618 381699848 /nfs/dbraw/zinc/69/98/48/381699848.db2.gz KVRFQTYUKXBLET-HZMBPMFUSA-N 0 0 278.308 2.978 20 5 CFBDRN COc1ccc(NC(=O)C2(CCF)CC2)cc1[N+](=O)[O-] ZINC000291200945 381705913 /nfs/dbraw/zinc/70/59/13/381705913.db2.gz GECNNJXVFMCQQS-UHFFFAOYSA-N 0 0 282.271 2.682 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000291277057 381732632 /nfs/dbraw/zinc/73/26/32/381732632.db2.gz HTUXRFHUXSIWBZ-LLVKDONJSA-N 0 0 295.339 2.792 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000415053943 381740665 /nfs/dbraw/zinc/74/06/65/381740665.db2.gz PGFBUNFEVCANKT-GFCCVEGCSA-N 0 0 284.287 2.734 20 5 CFBDRN CC(C)OC1CC([NH2+]Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000185850640 381755119 /nfs/dbraw/zinc/75/51/19/381755119.db2.gz SLCSEPLJCZTWLQ-UHFFFAOYSA-N 0 0 264.325 2.640 20 5 CFBDRN CCN(Cc1csc([N+](=O)[O-])c1)CC(F)F ZINC000185744809 381721294 /nfs/dbraw/zinc/72/12/94/381721294.db2.gz VRFLSDQCMBWQCG-UHFFFAOYSA-N 0 0 250.270 2.743 20 5 CFBDRN COc1ccc(NC(=O)C2=CCCC2)cc1[N+](=O)[O-] ZINC000360010943 381726094 /nfs/dbraw/zinc/72/60/94/381726094.db2.gz REJZIJWBKVOGEO-UHFFFAOYSA-N 0 0 262.265 2.652 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000298944815 381785733 /nfs/dbraw/zinc/78/57/33/381785733.db2.gz GWDQIKIFLLXIBW-LLVKDONJSA-N 0 0 280.349 2.602 20 5 CFBDRN CN(CC1CC1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000298851111 381766600 /nfs/dbraw/zinc/76/66/00/381766600.db2.gz IUWMDRFKAZBMKC-UHFFFAOYSA-N 0 0 274.276 2.823 20 5 CFBDRN CCN(CCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298859603 381768986 /nfs/dbraw/zinc/76/89/86/381768986.db2.gz CIPVJDCFOJJIIN-UHFFFAOYSA-N 0 0 287.319 2.628 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ccc([N+](=O)[O-])nc1)C2 ZINC000360275173 381775418 /nfs/dbraw/zinc/77/54/18/381775418.db2.gz QALCUMDGXKIMNU-UHFFFAOYSA-N 0 0 255.277 2.818 20 5 CFBDRN COc1cccc(N(C)CCCOC(C)C)c1[N+](=O)[O-] ZINC000355538226 381780560 /nfs/dbraw/zinc/78/05/60/381780560.db2.gz XUSSPVPQJBWOTG-UHFFFAOYSA-N 0 0 282.340 2.855 20 5 CFBDRN CCC(C)(C)CCn1ccc(C)c([N+](=O)[O-])c1=O ZINC000349191449 381844573 /nfs/dbraw/zinc/84/45/73/381844573.db2.gz MWVLFVJRZQLUMR-UHFFFAOYSA-N 0 0 252.314 2.891 20 5 CFBDRN Cc1cc(OCC2(O)CCC2)c(Cl)cc1[N+](=O)[O-] ZINC000349103527 381824461 /nfs/dbraw/zinc/82/44/61/381824461.db2.gz CNMXZIIAIICKLN-UHFFFAOYSA-N 0 0 271.700 2.850 20 5 CFBDRN CC(C)N(Cc1c(F)cccc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000361419637 381827364 /nfs/dbraw/zinc/82/73/64/381827364.db2.gz FYHOAPXXSZJUER-NSHDSACASA-N 0 0 282.315 2.733 20 5 CFBDRN CCOCCCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000349141021 381832765 /nfs/dbraw/zinc/83/27/65/381832765.db2.gz BWGSHILLZDQZMY-UHFFFAOYSA-N 0 0 275.308 2.885 20 5 CFBDRN COc1cc(NC[C@H](OC)C2CC2)c([N+](=O)[O-])cc1F ZINC000292798468 381840530 /nfs/dbraw/zinc/84/05/30/381840530.db2.gz NCLGATOOFHSAMD-ZDUSSCGKSA-N 0 0 284.287 2.579 20 5 CFBDRN Cc1ccnc(NC[C@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000355776364 381803186 /nfs/dbraw/zinc/80/31/86/381803186.db2.gz ABLYSFZFCBYNTB-ZETCQYMHSA-N 0 0 263.219 2.909 20 5 CFBDRN CCOc1cc(C)ccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000343572550 381807654 /nfs/dbraw/zinc/80/76/54/381807654.db2.gz XXVFEFLLQNSXJA-UHFFFAOYSA-N 0 0 289.291 2.882 20 5 CFBDRN CSc1cccc(C(=O)Nc2cccnc2)c1[N+](=O)[O-] ZINC000186051127 381811154 /nfs/dbraw/zinc/81/11/54/381811154.db2.gz NOEJAAYDMIDEAX-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C2CC2)[nH]n1 ZINC000299061616 381812476 /nfs/dbraw/zinc/81/24/76/381812476.db2.gz VILVDPYFSNGWAT-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CSc1cccc(C(=O)N(C)C(C)C)c1[N+](=O)[O-] ZINC000186055302 381812536 /nfs/dbraw/zinc/81/25/36/381812536.db2.gz KDWXDUAFSBKTAL-UHFFFAOYSA-N 0 0 268.338 2.797 20 5 CFBDRN Cc1ccoc1CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000343582319 381815501 /nfs/dbraw/zinc/81/55/01/381815501.db2.gz ORWKXRCGDZMGJK-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CCCCO1 ZINC000299271969 381879393 /nfs/dbraw/zinc/87/93/93/381879393.db2.gz XCQYJJRSNHDNDV-GFCCVEGCSA-N 0 0 293.323 2.594 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000299280024 381882464 /nfs/dbraw/zinc/88/24/64/381882464.db2.gz BSSJROUMABKKEI-MNOVXSKESA-N 0 0 279.340 2.558 20 5 CFBDRN Cc1cccc(N[C@@H]2CCO[C@]3(CCOC3)C2)c1[N+](=O)[O-] ZINC000372875323 381882568 /nfs/dbraw/zinc/88/25/68/381882568.db2.gz ARLCGZNZPPEMLK-IUODEOHRSA-N 0 0 292.335 2.653 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)CC(F)(F)F ZINC000362055721 381887534 /nfs/dbraw/zinc/88/75/34/381887534.db2.gz GRZJPTINLSXEIO-MRVPVSSYSA-N 0 0 290.241 2.974 20 5 CFBDRN CN1Cc2ccccc2[C@H]([NH2+]Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000372886392 381884516 /nfs/dbraw/zinc/88/45/16/381884516.db2.gz XRYODKNMVCREBG-QGZVFWFLSA-N 0 0 297.358 2.871 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000415512839 381851775 /nfs/dbraw/zinc/85/17/75/381851775.db2.gz CRAHURPIOCWXCI-AWEZNQCLSA-N 0 0 294.351 2.581 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000356641790 381859465 /nfs/dbraw/zinc/85/94/65/381859465.db2.gz IQEDUUITFKWKSZ-COPLHBTASA-N 0 0 294.351 2.833 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@H]2C2CC2)nc2sccn21 ZINC000361816214 381865096 /nfs/dbraw/zinc/86/50/96/381865096.db2.gz VJEYWILBSQWFPB-VIFPVBQESA-N 0 0 278.337 2.683 20 5 CFBDRN COC[C@H]1CCN1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000292856031 381867291 /nfs/dbraw/zinc/86/72/91/381867291.db2.gz RNYBDQYOEZSOIA-SECBINFHSA-N 0 0 299.714 2.501 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1csc(=O)[nH]1 ZINC000349316974 381887076 /nfs/dbraw/zinc/88/70/76/381887076.db2.gz YEDWHBSSFHKXAP-UHFFFAOYSA-N 0 0 293.304 2.626 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000186380480 381896415 /nfs/dbraw/zinc/89/64/15/381896415.db2.gz GCRFEPZGLZOTCY-VHSXEESVSA-N 0 0 266.272 2.965 20 5 CFBDRN COC1(CCNc2ncc([N+](=O)[O-])cc2C)CCC1 ZINC000292911233 381898916 /nfs/dbraw/zinc/89/89/16/381898916.db2.gz XKXIOASXSHZGAU-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000299334978 381900034 /nfs/dbraw/zinc/90/00/34/381900034.db2.gz BDMVOHSBTBLXCW-NXEZZACHSA-N 0 0 298.314 2.664 20 5 CFBDRN CC[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000299345439 381905671 /nfs/dbraw/zinc/90/56/71/381905671.db2.gz MEXLDAOTVCSPKT-LBPRGKRZSA-N 0 0 266.297 2.575 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416740810 381913055 /nfs/dbraw/zinc/91/30/55/381913055.db2.gz XFWOFVLFHNIFJB-SNVBAGLBSA-N 0 0 275.308 2.825 20 5 CFBDRN CC(C)[C@H](C)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CC1 ZINC000299374486 381916171 /nfs/dbraw/zinc/91/61/71/381916171.db2.gz HHDOXFBUEYCVAG-VIFPVBQESA-N 0 0 265.313 2.572 20 5 CFBDRN CSc1cccc(C(=O)NCc2ccoc2)c1[N+](=O)[O-] ZINC000186481797 381922908 /nfs/dbraw/zinc/92/29/08/381922908.db2.gz JFGIEQYNIQFOAC-UHFFFAOYSA-N 0 0 292.316 2.840 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000299296102 381888101 /nfs/dbraw/zinc/88/81/01/381888101.db2.gz RJGMRXWNNILVPF-CYBMUJFWSA-N 0 0 280.299 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3C[C@H]3C(F)F)ccc2c1 ZINC000343760366 381929213 /nfs/dbraw/zinc/92/92/13/381929213.db2.gz IHSPVEOTJGTFRU-KOLCDFICSA-N 0 0 279.246 2.630 20 5 CFBDRN C[C@@H](c1ccncc1)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000299309416 381890917 /nfs/dbraw/zinc/89/09/17/381890917.db2.gz VKPGNAKNSZILKR-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN CSCCCCCNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000186361587 381891277 /nfs/dbraw/zinc/89/12/77/381891277.db2.gz UABQSIAWAZUUIG-UHFFFAOYSA-N 0 0 295.368 2.583 20 5 CFBDRN Cc1nc([C@@H]2CCCN(c3ccc([N+](=O)[O-])cc3)C2)no1 ZINC000372935185 381891934 /nfs/dbraw/zinc/89/19/34/381891934.db2.gz UTWWWRVXYFOOOD-LLVKDONJSA-N 0 0 288.307 2.670 20 5 CFBDRN CC[C@@H](C)CCC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000349542488 381955347 /nfs/dbraw/zinc/95/53/47/381955347.db2.gz AGHOSYYBVZWPMI-GFCCVEGCSA-N 0 0 293.367 2.949 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1C[C@@H]1C(F)F ZINC000343807121 381955620 /nfs/dbraw/zinc/95/56/20/381955620.db2.gz KHPLKGMEADYXJE-WDSKDSINSA-N 0 0 263.631 2.709 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1nnc(C(F)F)o1 ZINC000292991179 381939839 /nfs/dbraw/zinc/93/98/39/381939839.db2.gz INQCEPJJKZCMGA-UHFFFAOYSA-N 0 0 298.249 2.594 20 5 CFBDRN CCc1noc(C)c1CNCc1cccc([N+](=O)[O-])c1 ZINC000292994545 381941690 /nfs/dbraw/zinc/94/16/90/381941690.db2.gz OITBGGVOZKONJQ-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/C[NH2+][C@H]1CCO[C@@H]1C1CC1 ZINC000373176074 381941873 /nfs/dbraw/zinc/94/18/73/381941873.db2.gz JTBQVDRWKDWYRG-ICGFCLIJSA-N 0 0 288.347 2.765 20 5 CFBDRN C[C@@H](c1ccncc1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000299438922 381942768 /nfs/dbraw/zinc/94/27/68/381942768.db2.gz ZGPHHGGIWAILQA-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000343789924 381944008 /nfs/dbraw/zinc/94/40/08/381944008.db2.gz IFWLKAKEYODMHE-SNVBAGLBSA-N 0 0 264.281 2.616 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@@H](CO)c2ccsc2)cs1 ZINC000349611311 381972533 /nfs/dbraw/zinc/97/25/33/381972533.db2.gz NMRAMSSVXNLUHS-JTQLQIEISA-N 0 0 284.362 2.541 20 5 CFBDRN Cn1ccnc1-c1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1 ZINC000356992074 381946979 /nfs/dbraw/zinc/94/69/79/381946979.db2.gz LRLSEARHENFLPH-DAXSKMNVSA-N 0 0 297.274 2.549 20 5 CFBDRN C[C@@H]1[C@@H](Nc2ncc([N+](=O)[O-])cn2)C[C@@H]1c1ccccc1 ZINC000373395935 381995574 /nfs/dbraw/zinc/99/55/74/381995574.db2.gz ZYXFYPBINHVLRY-BPNCWPANSA-N 0 0 284.319 2.989 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@]1(O)CCSC1 ZINC000293128229 382000408 /nfs/dbraw/zinc/00/04/08/382000408.db2.gz QKQMDYJWEJOICV-LLVKDONJSA-N 0 0 288.756 2.528 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000343882153 382000872 /nfs/dbraw/zinc/00/08/72/382000872.db2.gz NKZDWIPMWNFSOY-DTWKUNHWSA-N 0 0 298.289 2.720 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\c1nc([C@H]2CCCO2)no1 ZINC000357082971 382001172 /nfs/dbraw/zinc/00/11/72/382001172.db2.gz ICIDGXINFLNUTQ-QDZRJHCZSA-N 0 0 287.275 3.000 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc([C@H]3CCCO3)no2)c1 ZINC000357099009 382007666 /nfs/dbraw/zinc/00/76/66/382007666.db2.gz CDKXENFATXEKAP-SNVBAGLBSA-N 0 0 279.227 2.635 20 5 CFBDRN COCCCCN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000417182440 382011678 /nfs/dbraw/zinc/01/16/78/382011678.db2.gz OZLCGUZZYNQMCG-UHFFFAOYSA-N 0 0 299.302 2.624 20 5 CFBDRN CCn1cc(CNc2ccc([N+](=O)[O-])c3cccnc23)cn1 ZINC000343905793 382014004 /nfs/dbraw/zinc/01/40/04/382014004.db2.gz CLADAYFTUYISOJ-UHFFFAOYSA-N 0 0 297.318 2.972 20 5 CFBDRN CC(=O)c1cc(NCCc2cc(C)no2)ccc1[N+](=O)[O-] ZINC000343910051 382014892 /nfs/dbraw/zinc/01/48/92/382014892.db2.gz CFCDQRBNSAEQIQ-UHFFFAOYSA-N 0 0 289.291 2.748 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1CC12CC2 ZINC000417002534 381982905 /nfs/dbraw/zinc/98/29/05/381982905.db2.gz VAYDQXWEBJAPPR-SECBINFHSA-N 0 0 291.307 2.525 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCCC4(CC4)C3)c21 ZINC000351546989 382044137 /nfs/dbraw/zinc/04/41/37/382044137.db2.gz WYZVAYSQWCGDAY-UHFFFAOYSA-N 0 0 284.319 2.918 20 5 CFBDRN CN(C(=O)c1cc(F)ccc1[N+](=O)[O-])C(C1CC1)C1CC1 ZINC000299696611 382028500 /nfs/dbraw/zinc/02/85/00/382028500.db2.gz NQVYVLNTRPLYLO-UHFFFAOYSA-N 0 0 292.310 2.995 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@@]2(C)C(C)C)ccc1[N+](=O)[O-] ZINC000186840568 382028941 /nfs/dbraw/zinc/02/89/41/382028941.db2.gz NPGGUTMBLUOAAV-HZMBPMFUSA-N 0 0 277.324 2.919 20 5 CFBDRN CCOc1cc(N2CCO[C@@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000362376971 382035308 /nfs/dbraw/zinc/03/53/08/382035308.db2.gz WBGFQMUOMXIGDO-GXTWGEPZSA-N 0 0 292.335 2.751 20 5 CFBDRN CC(=O)c1ccc(OCCOC2CCC2)c([N+](=O)[O-])c1 ZINC000344072604 382108267 /nfs/dbraw/zinc/10/82/67/382108267.db2.gz LGZOKCODPFLYIY-UHFFFAOYSA-N 0 0 279.292 2.745 20 5 CFBDRN CCS[C@@H](C)c1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000357327984 382111038 /nfs/dbraw/zinc/11/10/38/382111038.db2.gz HHFVPBMEWSLAJY-ZETCQYMHSA-N 0 0 282.325 2.797 20 5 CFBDRN CN(CC1CCC1)c1nc2sccn2c1[N+](=O)[O-] ZINC000301230970 382112662 /nfs/dbraw/zinc/11/26/62/382112662.db2.gz FLXYVMQBFQDFDJ-UHFFFAOYSA-N 0 0 266.326 2.540 20 5 CFBDRN Cc1c(Cc2noc(-c3ccncc3)n2)cccc1[N+](=O)[O-] ZINC000357332543 382113921 /nfs/dbraw/zinc/11/39/21/382113921.db2.gz UZBOEZUANMIKMM-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=[N+]([O-])c1c(N(CC2CC2)CC2CC2)nc2sccn21 ZINC000301245825 382118392 /nfs/dbraw/zinc/11/83/92/382118392.db2.gz ANJFCVAKVZMITC-UHFFFAOYSA-N 0 0 292.364 2.930 20 5 CFBDRN C[C@](O)(CNc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000301248195 382119992 /nfs/dbraw/zinc/11/99/92/382119992.db2.gz CGZOMZLSAULQAO-HNNXBMFYSA-N 0 0 272.304 2.914 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301246640 382120246 /nfs/dbraw/zinc/12/02/46/382120246.db2.gz QEQCEFCAFHOVFO-RNCFNFMXSA-N 0 0 297.311 2.712 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])c3cccnc32)CCO1 ZINC000301077798 382076339 /nfs/dbraw/zinc/07/63/39/382076339.db2.gz JMCMMAHNLFUQAQ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@H](C)C1 ZINC000301091977 382078748 /nfs/dbraw/zinc/07/87/48/382078748.db2.gz IHRFTOAIEZQGAF-QWRGUYRKSA-N 0 0 291.351 2.945 20 5 CFBDRN CCOC(=O)CSc1ccc([N+](=O)[O-])c2cccnc12 ZINC000301100506 382081046 /nfs/dbraw/zinc/08/10/46/382081046.db2.gz XTVPBDPSGXXPDV-UHFFFAOYSA-N 0 0 292.316 2.798 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC1CCCCC1 ZINC000301095431 382081675 /nfs/dbraw/zinc/08/16/75/382081675.db2.gz OQTRAOIEFGWUIW-UHFFFAOYSA-N 0 0 277.324 2.699 20 5 CFBDRN CN(CCc1ccccc1)c1ncccc1[N+](=O)[O-] ZINC000301103030 382083458 /nfs/dbraw/zinc/08/34/58/382083458.db2.gz RDEMUOIGVGIBHS-UHFFFAOYSA-N 0 0 257.293 2.669 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1ccccc1[N+](=O)[O-] ZINC000301116768 382084510 /nfs/dbraw/zinc/08/45/10/382084510.db2.gz YFKSVIDADBFPND-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN Cc1cc(NCc2cccnc2N(C)C)ccc1[N+](=O)[O-] ZINC000301110219 382084629 /nfs/dbraw/zinc/08/46/29/382084629.db2.gz OHRXFYSYHGMPBH-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN O=C1Cc2cc(Nc3ccc([N+](=O)[O-])cc3F)ccc2N1 ZINC000301259413 382127149 /nfs/dbraw/zinc/12/71/49/382127149.db2.gz GLNKBMXHOSKCBB-UHFFFAOYSA-N 0 0 287.250 2.972 20 5 CFBDRN CN(CC(C)(C)C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301158786 382094995 /nfs/dbraw/zinc/09/49/95/382094995.db2.gz REJJGQOLWXEGBK-UHFFFAOYSA-N 0 0 267.285 2.985 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])nc2)C[C@@H](c2ccccc2)O1 ZINC000357303435 382096578 /nfs/dbraw/zinc/09/65/78/382096578.db2.gz JFNFBAABMBCQKA-DOMZBBRYSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@H]1CCCCO1 ZINC000301182231 382100146 /nfs/dbraw/zinc/10/01/46/382100146.db2.gz NLYZXHUYZSJENH-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN CSCCCCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000187405132 382174394 /nfs/dbraw/zinc/17/43/94/382174394.db2.gz MQWNBRNFLRUTMI-UHFFFAOYSA-N 0 0 282.365 2.858 20 5 CFBDRN CN(c1cccc(F)c1[N+](=O)[O-])[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000301562703 382176753 /nfs/dbraw/zinc/17/67/53/382176753.db2.gz IUZVMMAGGHLYSU-OWYVNGRQSA-N 0 0 294.326 2.984 20 5 CFBDRN CCCN(C(=O)COC(F)F)c1cccc([N+](=O)[O-])c1 ZINC000344172676 382178025 /nfs/dbraw/zinc/17/80/25/382178025.db2.gz CHLYCLBBOHVXHA-UHFFFAOYSA-N 0 0 288.250 2.577 20 5 CFBDRN COC(=O)c1cc(N2CCC(C)CC2)cc(C)c1[N+](=O)[O-] ZINC000301593860 382178711 /nfs/dbraw/zinc/17/87/11/382178711.db2.gz ZGGIAABRBAAJGE-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN O=C(NCc1ccncc1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000357464509 382182397 /nfs/dbraw/zinc/18/23/97/382182397.db2.gz MOHTUEPMEUDOGQ-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN COc1ccc(CNc2nccc(C)c2[N+](=O)[O-])cc1O ZINC000301274922 382132253 /nfs/dbraw/zinc/13/22/53/382132253.db2.gz IRDJAPPYLLYQER-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccon2)no1 ZINC000357361534 382133168 /nfs/dbraw/zinc/13/31/68/382133168.db2.gz YMCKJCQCNWMWGM-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[nH]cc2-c1nc(-c2ccon2)no1 ZINC000357362067 382134436 /nfs/dbraw/zinc/13/44/36/382134436.db2.gz ZVAKDVHXSZVWAB-UHFFFAOYSA-N 0 0 297.230 2.781 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC[C@@H]1[C@H]1CCCO1 ZINC000376392156 382134530 /nfs/dbraw/zinc/13/45/30/382134530.db2.gz QUTBECBPQGGAGX-TZMCWYRMSA-N 0 0 280.299 2.882 20 5 CFBDRN C[C@@H]1CC[C@H](c2ccccc2)N(C(=O)[C@H]2C[C@@H]2[N+](=O)[O-])C1 ZINC000376409109 382134725 /nfs/dbraw/zinc/13/47/25/382134725.db2.gz RZUAXOIDNSGUOH-BEAPCOKYSA-N 0 0 288.347 2.651 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2ccon2)no1 ZINC000357372329 382139029 /nfs/dbraw/zinc/13/90/29/382139029.db2.gz JZWWOLNYMXTBSF-AATRIKPKSA-N 0 0 284.231 2.803 20 5 CFBDRN Cc1ccnc(N(C)Cc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000301293291 382140510 /nfs/dbraw/zinc/14/05/10/382140510.db2.gz VXNKOOIVHAKRFV-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NCc1ccc[nH]1 ZINC000357374337 382141002 /nfs/dbraw/zinc/14/10/02/382141002.db2.gz KGPKSNWAAKYJBY-UHFFFAOYSA-N 0 0 293.710 2.815 20 5 CFBDRN Cc1ccnc(NCc2ccc(Cl)nc2)c1[N+](=O)[O-] ZINC000301299415 382142679 /nfs/dbraw/zinc/14/26/79/382142679.db2.gz QJUIBJPAVHBPSG-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1nn(C)c(NCCOC2CCCCCC2)c1[N+](=O)[O-] ZINC000301302653 382143495 /nfs/dbraw/zinc/14/34/95/382143495.db2.gz WDPKFZANOKRNBM-UHFFFAOYSA-N 0 0 296.371 2.788 20 5 CFBDRN C[S@@](=O)Cc1cccc(Nc2ccc([N+](=O)[O-])cn2)c1 ZINC000301308000 382145229 /nfs/dbraw/zinc/14/52/29/382145229.db2.gz WEMKSJHBLMLJDL-HXUWFJFHSA-N 0 0 291.332 2.612 20 5 CFBDRN CSCc1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000357393292 382148407 /nfs/dbraw/zinc/14/84/07/382148407.db2.gz UAZHEQPGVXITIT-UHFFFAOYSA-N 0 0 279.321 2.740 20 5 CFBDRN CCc1nocc1CN(C)Cc1ccc([N+](=O)[O-])cc1 ZINC000293520056 382154643 /nfs/dbraw/zinc/15/46/43/382154643.db2.gz VWNILIGHVITGPH-UHFFFAOYSA-N 0 0 275.308 2.777 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])cc1)c1cccs1 ZINC000301353135 382156479 /nfs/dbraw/zinc/15/64/79/382156479.db2.gz LHURVMKHOHLEKJ-CYBMUJFWSA-N 0 0 278.333 2.976 20 5 CFBDRN CCSCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000362580053 382157707 /nfs/dbraw/zinc/15/77/07/382157707.db2.gz HRLZVRAFMWKOIA-SNVBAGLBSA-N 0 0 299.396 2.537 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCC(C)(C)O2)cc([N+](=O)[O-])c1 ZINC000187369671 382164884 /nfs/dbraw/zinc/16/48/84/382164884.db2.gz RBBMMIDQWHTFHM-ZDUSSCGKSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(=O)c1ccc(NCC[C@@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000301408354 382170274 /nfs/dbraw/zinc/17/02/74/382170274.db2.gz IJQVVMGKZFBLNT-CQSZACIVSA-N 0 0 280.324 2.616 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000187395375 382172454 /nfs/dbraw/zinc/17/24/54/382172454.db2.gz HSVGCVLLUVDFAK-DGCLKSJQSA-N 0 0 291.351 2.925 20 5 CFBDRN CC[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000301811264 382194778 /nfs/dbraw/zinc/19/47/78/382194778.db2.gz XZRXKPMGGYJVKC-WPRPVWTQSA-N 0 0 286.278 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@@H]1CC13CC3)CCN2 ZINC000418981980 382205786 /nfs/dbraw/zinc/20/57/86/382205786.db2.gz RDASCASXSULVTN-VIFPVBQESA-N 0 0 259.309 2.775 20 5 CFBDRN COC(=O)c1cc(NC[C@@H]2CC23CC3)cc(C)c1[N+](=O)[O-] ZINC000418981791 382206177 /nfs/dbraw/zinc/20/61/77/382206177.db2.gz AKPTWSQPZSPWPU-JTQLQIEISA-N 0 0 290.319 2.902 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000418986060 382208000 /nfs/dbraw/zinc/20/80/00/382208000.db2.gz FZLPYWFBDGHPOR-ZETCQYMHSA-N 0 0 251.261 2.528 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC[C@@H]2CC23CC3)s1 ZINC000418985295 382208074 /nfs/dbraw/zinc/20/80/74/382208074.db2.gz WGFJHXBQINLJMD-ZETCQYMHSA-N 0 0 276.321 2.812 20 5 CFBDRN CN(c1c([N+](=O)[O-])ncn1C)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000418996178 382210561 /nfs/dbraw/zinc/21/05/61/382210561.db2.gz JSIZNXGTRPNEKM-WDEREUQCSA-N 0 0 280.372 2.979 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@]3(CC=CCC3)C2)nc1 ZINC000302178996 382212084 /nfs/dbraw/zinc/21/20/84/382212084.db2.gz YNNUOACUROMNJY-AWEZNQCLSA-N 0 0 274.324 2.712 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@](C)(O)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000419000462 382212643 /nfs/dbraw/zinc/21/26/43/382212643.db2.gz RSEHIHYXKXHWTI-AVCCJPFPSA-N 0 0 287.319 2.777 20 5 CFBDRN COc1ccc(NC(=O)C2(SC)CCC2)cc1[N+](=O)[O-] ZINC000362723657 382214066 /nfs/dbraw/zinc/21/40/66/382214066.db2.gz LKCUDXDCCNXLLS-UHFFFAOYSA-N 0 0 296.348 2.828 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1C[C@H]2CCCCC[C@@H]21 ZINC000362838013 382218668 /nfs/dbraw/zinc/21/86/68/382218668.db2.gz GSHODWGAZSRCCW-HIFRSBDPSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000344236882 382221330 /nfs/dbraw/zinc/22/13/30/382221330.db2.gz KPHUXTDMDLWBKE-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](CO)c1ccsc1 ZINC000302229221 382222119 /nfs/dbraw/zinc/22/21/19/382222119.db2.gz AYXWJXJMEUVCJL-LLVKDONJSA-N 0 0 264.306 2.802 20 5 CFBDRN CC(C)CN(CC(C)C)c1ncc([N+](=O)[O-])cn1 ZINC000302236884 382227338 /nfs/dbraw/zinc/22/73/38/382227338.db2.gz VXAUCMVXVPRPJX-UHFFFAOYSA-N 0 0 252.318 2.503 20 5 CFBDRN Cn1c(-c2nc([C@H]3CCCCS3)no2)ccc1[N+](=O)[O-] ZINC000357581718 382238057 /nfs/dbraw/zinc/23/80/57/382238057.db2.gz NQOZYZSVBMMYHI-SECBINFHSA-N 0 0 294.336 2.942 20 5 CFBDRN Cc1ccc(N2CCC[C@H]([C@H](C)O)C2)c([N+](=O)[O-])c1 ZINC000301751251 382189634 /nfs/dbraw/zinc/18/96/34/382189634.db2.gz AUMGJIIIFITNLY-RYUDHWBXSA-N 0 0 264.325 2.500 20 5 CFBDRN CCc1nc(C(C)C)ccc1Cn1ncc([N+](=O)[O-])c1N ZINC000418900626 382190546 /nfs/dbraw/zinc/19/05/46/382190546.db2.gz NGARAEKSEQRDMN-UHFFFAOYSA-N 0 0 289.339 2.503 20 5 CFBDRN CCO[C@@H]1CCCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000301790186 382192957 /nfs/dbraw/zinc/19/29/57/382192957.db2.gz NREYADQNZVZRGY-SNVBAGLBSA-N 0 0 286.278 2.878 20 5 CFBDRN Cc1cncc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)c1 ZINC000357684741 382276463 /nfs/dbraw/zinc/27/64/63/382276463.db2.gz CYUCHUXXCQGWQP-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN CO[C@@H](C)CNc1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000302619516 382278528 /nfs/dbraw/zinc/27/85/28/382278528.db2.gz DFRWJGKKRZIBLG-JTQLQIEISA-N 0 0 275.308 2.898 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(Oc2ncncc2Cl)c1 ZINC000302623964 382279455 /nfs/dbraw/zinc/27/94/55/382279455.db2.gz HMPPXSVNLGKTPL-UHFFFAOYSA-N 0 0 279.639 2.643 20 5 CFBDRN Cc1ccc(-c2ccncc2)cc1NC(=O)[C@H]1CC1[N+](=O)[O-] ZINC000377514474 382281863 /nfs/dbraw/zinc/28/18/63/382281863.db2.gz ZNDRHBOSOYEALK-DZGCQCFKSA-N 0 0 297.314 2.661 20 5 CFBDRN O=[N+]([O-])c1cn(CCOc2ccc(Cl)c(F)c2)cn1 ZINC000187853243 382281937 /nfs/dbraw/zinc/28/19/37/382281937.db2.gz STTMVKUCQQYPIU-UHFFFAOYSA-N 0 0 285.662 2.663 20 5 CFBDRN Nc1ccc(CNc2ccc([N+](=O)[O-])c3cnccc23)cn1 ZINC000302659409 382284991 /nfs/dbraw/zinc/28/49/91/382284991.db2.gz ASWYDPHBHNRAAZ-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCc1ccccn1 ZINC000352355141 382286883 /nfs/dbraw/zinc/28/68/83/382286883.db2.gz GOBSHMWERZWRMA-UHFFFAOYSA-N 0 0 294.314 2.615 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@@H]3CCC[C@@H]32)c(F)c1 ZINC000364243859 382289288 /nfs/dbraw/zinc/28/92/88/382289288.db2.gz MJLTZUPCEXKWEL-UONOGXRCSA-N 0 0 280.299 2.882 20 5 CFBDRN CCc1nn(C)c(N2CCC(C)(C3CC3)CC2)c1[N+](=O)[O-] ZINC000357583540 382239662 /nfs/dbraw/zinc/23/96/62/382239662.db2.gz QORGNMPSOSDSOY-UHFFFAOYSA-N 0 0 292.383 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@]2(CO)C[C@H]2c2ccccc2)cn1 ZINC000357603987 382248071 /nfs/dbraw/zinc/24/80/71/382248071.db2.gz RJIBVTMITZXELG-GOEBONIOSA-N 0 0 299.330 2.568 20 5 CFBDRN CCc1nn(C)c(NCC2(OC)CCCCC2)c1[N+](=O)[O-] ZINC000302431863 382249858 /nfs/dbraw/zinc/24/98/58/382249858.db2.gz PXNCOPAIGGYDFE-UHFFFAOYSA-N 0 0 296.371 2.652 20 5 CFBDRN Cn1nc(CCNc2ncccc2[N+](=O)[O-])c2ccccc21 ZINC000302440860 382251006 /nfs/dbraw/zinc/25/10/06/382251006.db2.gz RFXURCFVQOCTFT-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN Cc1cccc2c1OC[C@@H](Nc1ccc(N)cc1[N+](=O)[O-])C2 ZINC000377328799 382253288 /nfs/dbraw/zinc/25/32/88/382253288.db2.gz DBAUOIQWWWLHSG-ZDUSSCGKSA-N 0 0 299.330 2.901 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1cccc(C)c1[N+](=O)[O-] ZINC000302497166 382257541 /nfs/dbraw/zinc/25/75/41/382257541.db2.gz MYNHOOAQPICMIG-JTQLQIEISA-N 0 0 266.297 2.514 20 5 CFBDRN CC[C@]1(C)CN(c2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000302680651 382290950 /nfs/dbraw/zinc/29/09/50/382290950.db2.gz RSWITXOXYLKNSQ-CYBMUJFWSA-N 0 0 286.278 2.878 20 5 CFBDRN CC(C)(O)CCNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000302503708 382259435 /nfs/dbraw/zinc/25/94/35/382259435.db2.gz VTNNZCUGQPNMOO-UHFFFAOYSA-N 0 0 290.266 2.769 20 5 CFBDRN CC[C@H](COC)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000187754854 382263138 /nfs/dbraw/zinc/26/31/38/382263138.db2.gz OUEQSUGNWDNTDX-GFCCVEGCSA-N 0 0 294.351 2.873 20 5 CFBDRN COC[C@H]1CCCC[N@H+](Cc2ccccc2[N+](=O)[O-])C1 ZINC000364122994 382266743 /nfs/dbraw/zinc/26/67/43/382266743.db2.gz DFZSNRAPXGKHKV-ZDUSSCGKSA-N 0 0 278.352 2.843 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@H]3OCCC[C@H]23)ccc1[N+](=O)[O-] ZINC000302559497 382268411 /nfs/dbraw/zinc/26/84/11/382268411.db2.gz MLLDNIZSLPUACY-YRGRVCCFSA-N 0 0 292.335 2.973 20 5 CFBDRN CCOc1cc(N2CC[C@H](C)[C@@H](OC)C2)ccc1[N+](=O)[O-] ZINC000302602057 382274964 /nfs/dbraw/zinc/27/49/64/382274964.db2.gz YRGLKYUDGKUWCE-NHYWBVRUSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NOCC1CC1 ZINC000293778413 382275178 /nfs/dbraw/zinc/27/51/78/382275178.db2.gz NNBWNBVSYDELOM-UHFFFAOYSA-N 0 0 278.308 2.591 20 5 CFBDRN Cc1nn(C)c(N2CCc3cc(C)ccc3C2)c1[N+](=O)[O-] ZINC000302723016 382302146 /nfs/dbraw/zinc/30/21/46/382302146.db2.gz NANMXHQBEGAIGT-UHFFFAOYSA-N 0 0 286.335 2.508 20 5 CFBDRN Cc1ccccc1C[C@@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000302729303 382304163 /nfs/dbraw/zinc/30/41/63/382304163.db2.gz URAUYTQFSOUZLZ-AWEZNQCLSA-N 0 0 286.331 2.919 20 5 CFBDRN COCCN(c1ncccc1[N+](=O)[O-])[C@H]1CC[C@H](C)C1 ZINC000302734877 382305816 /nfs/dbraw/zinc/30/58/16/382305816.db2.gz VYOMACQDFZMMFT-RYUDHWBXSA-N 0 0 279.340 2.631 20 5 CFBDRN COCCCSCc1cc([N+](=O)[O-])ccc1OC ZINC000187950094 382308194 /nfs/dbraw/zinc/30/81/94/382308194.db2.gz NSZCGOMQNYXKEY-UHFFFAOYSA-N 0 0 271.338 2.873 20 5 CFBDRN CCN(C)C(=O)[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000302763929 382313550 /nfs/dbraw/zinc/31/35/50/382313550.db2.gz KYDTVKCKOCQGNC-SECBINFHSA-N 0 0 299.758 2.835 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000302774434 382314623 /nfs/dbraw/zinc/31/46/23/382314623.db2.gz OFYQBMSBYXHYSS-RKDXNWHRSA-N 0 0 281.312 2.623 20 5 CFBDRN Cc1cc(N(C)CCCCO)c(Cl)cc1[N+](=O)[O-] ZINC000302774461 382315155 /nfs/dbraw/zinc/31/51/55/382315155.db2.gz OCWCTLGKNSJPLQ-UHFFFAOYSA-N 0 0 272.732 2.765 20 5 CFBDRN CCCN(c1ccc([N+](=O)[O-])c(OC)c1)C1CC1 ZINC000302835275 382331223 /nfs/dbraw/zinc/33/12/23/382331223.db2.gz UZRUFSMPCZLNPN-UHFFFAOYSA-N 0 0 250.298 2.982 20 5 CFBDRN CSC1(CNc2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000302862499 382337544 /nfs/dbraw/zinc/33/75/44/382337544.db2.gz RFEGBZAPDZOMEB-UHFFFAOYSA-N 0 0 279.365 2.870 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])nc2)CCCCC1 ZINC000357825941 382338948 /nfs/dbraw/zinc/33/89/48/382338948.db2.gz JUEYHFGHTVCCOZ-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)[C@@H](C)c1ccccc1 ZINC000352379308 382298464 /nfs/dbraw/zinc/29/84/64/382298464.db2.gz FMQULBWXYATROL-MNOVXSKESA-N 0 0 287.319 2.845 20 5 CFBDRN CN(CC[C@H]1CCCO1)c1ncc([N+](=O)[O-])cc1Cl ZINC000302705887 382298749 /nfs/dbraw/zinc/29/87/49/382298749.db2.gz JQBBFYUHYYSJKC-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC[C@H]1c1ncc[nH]1 ZINC000364721404 382353162 /nfs/dbraw/zinc/35/31/62/382353162.db2.gz HLAOJBBOPUNKMU-ZDUSSCGKSA-N 0 0 272.308 2.655 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1c1noc(-c2cc([N+](=O)[O-])nn2C)n1 ZINC000346426052 382356835 /nfs/dbraw/zinc/35/68/35/382356835.db2.gz SALMDMKBAANIJY-BDAKNGLRSA-N 0 0 291.311 2.672 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@H]2CCCCC[C@H]21 ZINC000378093342 382397200 /nfs/dbraw/zinc/39/72/00/382397200.db2.gz XNCSALWUTCLINS-TZMCWYRMSA-N 0 0 274.320 3.000 20 5 CFBDRN Cc1c(C(=O)N2CCOC(C)(C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000357920675 382374348 /nfs/dbraw/zinc/37/43/48/382374348.db2.gz DEHCJCOFFCSJBZ-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1C[C@]2(CCCO2)[C@H]1C1CC1 ZINC000294030767 382386426 /nfs/dbraw/zinc/38/64/26/382386426.db2.gz OFVHFEOSKHHUCV-HZPDHXFCSA-N 0 0 288.347 2.738 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000304356388 382398371 /nfs/dbraw/zinc/39/83/71/382398371.db2.gz SHUCPMGJMUAZJL-SNVBAGLBSA-N 0 0 295.339 2.513 20 5 CFBDRN CC(C)(NC(=O)C[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000294179123 382447547 /nfs/dbraw/zinc/44/75/47/382447547.db2.gz RWDPEUYGWOJDEK-NSHDSACASA-N 0 0 292.335 2.515 20 5 CFBDRN Cc1ccc(NC[C@H]2CC[C@H](C(N)=O)CC2)c([N+](=O)[O-])c1 ZINC000420610889 382424681 /nfs/dbraw/zinc/42/46/81/382424681.db2.gz OPOKBFAVNXPIPM-HAQNSBGRSA-N 0 0 291.351 2.607 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2ncc(C)cc2[N+](=O)[O-])CCO1 ZINC000420616582 382427353 /nfs/dbraw/zinc/42/73/53/382427353.db2.gz HQNUUNGRFMHFPW-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@@H]1CN(c2ncc(C)cc2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000420617869 382428339 /nfs/dbraw/zinc/42/83/39/382428339.db2.gz BZTHIXKWNLZBRI-VXGBXAGGSA-N 0 0 279.340 2.692 20 5 CFBDRN CC(C)(NC(=O)C[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000294179121 382447848 /nfs/dbraw/zinc/44/78/48/382447848.db2.gz RWDPEUYGWOJDEK-LLVKDONJSA-N 0 0 292.335 2.515 20 5 CFBDRN CC[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)CCO1 ZINC000420620693 382429736 /nfs/dbraw/zinc/42/97/36/382429736.db2.gz QRVURKOUUUPPRZ-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@H]2CCCC[C@H]21 ZINC000365746265 382437101 /nfs/dbraw/zinc/43/71/01/382437101.db2.gz IZMGQJQQYNBODE-DGCLKSJQSA-N 0 0 260.293 2.609 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@@]12CCOC2 ZINC000420640934 382441111 /nfs/dbraw/zinc/44/11/11/382441111.db2.gz NCACGSLRMWTBIS-LBPRGKRZSA-N 0 0 268.700 2.617 20 5 CFBDRN COC1([C@H](C)Nc2ccc(F)cc2[N+](=O)[O-])CCOCC1 ZINC000420641394 382441298 /nfs/dbraw/zinc/44/12/98/382441298.db2.gz NLEPVKJUJDJEAB-JTQLQIEISA-N 0 0 298.314 2.730 20 5 CFBDRN COc1cccc(NCCCC2(O)CCC2)c1[N+](=O)[O-] ZINC000420646669 382444005 /nfs/dbraw/zinc/44/40/05/382444005.db2.gz XZCWFCKAQCSXMF-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN COC1([C@H](C)Nc2ccc([N+](=O)[O-])c(C)c2)CCOCC1 ZINC000420648255 382444139 /nfs/dbraw/zinc/44/41/39/382444139.db2.gz YIJDISDYDBCOKR-LBPRGKRZSA-N 0 0 294.351 2.899 20 5 CFBDRN CC[C@H](C)CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000420648408 382444160 /nfs/dbraw/zinc/44/41/60/382444160.db2.gz DDCRWVANEXPCEL-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@@H]1CCC[C@@]1(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000294059871 382399931 /nfs/dbraw/zinc/39/99/31/382399931.db2.gz MQRZJMSEEWTSPO-PRHODGIISA-N 0 0 285.731 2.606 20 5 CFBDRN CCC1(CC)CN(C(=O)c2ccc(OC)c([N+](=O)[O-])c2)C1 ZINC000294079725 382407000 /nfs/dbraw/zinc/40/70/00/382407000.db2.gz HULRDKSMXPIVNA-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420591667 382415908 /nfs/dbraw/zinc/41/59/08/382415908.db2.gz XNAUCZXEEKUEJL-ZJUUUORDSA-N 0 0 277.324 2.684 20 5 CFBDRN CC(C)C[C@@H]1CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420596744 382417415 /nfs/dbraw/zinc/41/74/15/382417415.db2.gz ICBYHMXFDJJOLK-NSHDSACASA-N 0 0 291.351 2.566 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000294110452 382419824 /nfs/dbraw/zinc/41/98/24/382419824.db2.gz SEZQRDZJGINRNM-JTQLQIEISA-N 0 0 280.349 2.941 20 5 CFBDRN Cc1cccc(CSc2ncccc2[N+](=O)[O-])n1 ZINC000346575376 382421459 /nfs/dbraw/zinc/42/14/59/382421459.db2.gz HHJQXLODXLHFBQ-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN CC[C@H](C)[C@H](CNc1ncc([N+](=O)[O-])cc1C)OC ZINC000294235006 382471060 /nfs/dbraw/zinc/47/10/60/382471060.db2.gz OQWLEBIRGFSNHD-CABZTGNLSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@H]2c2ccco2)ccc1[N+](=O)[O-] ZINC000365922524 382457538 /nfs/dbraw/zinc/45/75/38/382457538.db2.gz GTVBEYAVYAHHGH-NXEZZACHSA-N 0 0 287.275 2.633 20 5 CFBDRN C[C@H]1C[C@H](NCc2ccccc2[N+](=O)[O-])c2nccn21 ZINC000294202534 382458172 /nfs/dbraw/zinc/45/81/72/382458172.db2.gz SZUUVBXFLHJNTD-JQWIXIFHSA-N 0 0 272.308 2.587 20 5 CFBDRN COC[C@]1(C)CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000294205108 382459660 /nfs/dbraw/zinc/45/96/60/382459660.db2.gz MAVJDRNNMJBXDK-OAHLLOKOSA-N 0 0 292.335 2.660 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000358185078 382493571 /nfs/dbraw/zinc/49/35/71/382493571.db2.gz OJRTYQWIKVMQED-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000366063888 382474255 /nfs/dbraw/zinc/47/42/55/382474255.db2.gz SBDCBRRUSPTFOT-COPLHBTASA-N 0 0 291.303 2.624 20 5 CFBDRN CCOC1CC(CC[NH2+]Cc2ccccc2[N+](=O)[O-])C1 ZINC000188785178 382476388 /nfs/dbraw/zinc/47/63/88/382476388.db2.gz GEPYBFCIORLFRH-UHFFFAOYSA-N 0 0 278.352 2.890 20 5 CFBDRN CC[C@@H](C)CNC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000420879152 382487393 /nfs/dbraw/zinc/48/73/93/382487393.db2.gz OJTYRFJRTJIYQF-LLVKDONJSA-N 0 0 291.351 2.709 20 5 CFBDRN Cc1c(NC(=O)Nc2ccc([N+](=O)[O-])cc2C)cnn1C ZINC000188842593 382490039 /nfs/dbraw/zinc/49/00/39/382490039.db2.gz VOARYMYNACJBKG-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN Cc1nc(C)c(CNc2cccnc2[N+](=O)[O-])s1 ZINC000310917445 382499276 /nfs/dbraw/zinc/49/92/76/382499276.db2.gz FJYIYZLNUUHPEK-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC([C@H]2CCOC2)CC1 ZINC000378652683 382502212 /nfs/dbraw/zinc/50/22/12/382502212.db2.gz QUVPNNPIRLUADN-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN CO[C@@H](C)CN(C)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000353208483 382528225 /nfs/dbraw/zinc/52/82/25/382528225.db2.gz XCWOXPNNEGXDGK-VIFPVBQESA-N 0 0 272.732 2.715 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](O)C2(C)C)c(F)cc1[N+](=O)[O-] ZINC000312044552 382510369 /nfs/dbraw/zinc/51/03/69/382510369.db2.gz YGBZJZQMPPCYNX-NEPJUHHUSA-N 0 0 268.288 2.614 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000358228056 382514737 /nfs/dbraw/zinc/51/47/37/382514737.db2.gz PZMQJXWMRKXMNZ-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN Cc1c(CNC(=O)N[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000358272858 382540624 /nfs/dbraw/zinc/54/06/24/382540624.db2.gz JHTQHBRYAOBRLT-SNVBAGLBSA-N 0 0 277.324 2.501 20 5 CFBDRN CCC[C@@H](OC)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000294913425 382542836 /nfs/dbraw/zinc/54/28/36/382542836.db2.gz KCPCGBYNGJUXOB-CQSZACIVSA-N 0 0 292.335 2.689 20 5 CFBDRN CCC(CC)NC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000358278371 382543047 /nfs/dbraw/zinc/54/30/47/382543047.db2.gz UQFIHAUDTIHXRN-UHFFFAOYSA-N 0 0 279.340 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCC[C@H]2c2nc[nH]n2)c1 ZINC000294922766 382544359 /nfs/dbraw/zinc/54/43/59/382544359.db2.gz JKGLZVIPRVHIKL-LBPRGKRZSA-N 0 0 287.323 2.753 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000384114373 382548584 /nfs/dbraw/zinc/54/85/84/382548584.db2.gz BYUVJICASADDSI-GWCFXTLKSA-N 0 0 291.351 2.897 20 5 CFBDRN CCCCCNC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000358305113 382559394 /nfs/dbraw/zinc/55/93/94/382559394.db2.gz HNLNVGHHTLTNQF-UHFFFAOYSA-N 0 0 279.340 2.893 20 5 CFBDRN C[C@@H](NC(=O)[C@@]1(C)CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000421507913 382562937 /nfs/dbraw/zinc/56/29/37/382562937.db2.gz LHZKVQLYFWLESF-PRHODGIISA-N 0 0 284.262 2.817 20 5 CFBDRN COC[C@@]1(C)CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000295045976 382565134 /nfs/dbraw/zinc/56/51/34/382565134.db2.gz XDKHVCOMEKERNT-LBPRGKRZSA-N 0 0 285.731 2.506 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cc(F)c[nH]1 ZINC000346920784 382572457 /nfs/dbraw/zinc/57/24/57/382572457.db2.gz JJKRGLJFJMCODH-UHFFFAOYSA-N 0 0 297.673 2.645 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(N(C)C)no1 ZINC000346925602 382573182 /nfs/dbraw/zinc/57/31/82/382573182.db2.gz BQITVJOORDRILK-LLVKDONJSA-N 0 0 290.323 2.780 20 5 CFBDRN COCCC(C)(C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000353270997 382533104 /nfs/dbraw/zinc/53/31/04/382533104.db2.gz XIFREIITRDEPER-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(-c2ccccc2)n1)C1CC(O)C1 ZINC000353294480 382533887 /nfs/dbraw/zinc/53/38/87/382533887.db2.gz RNPHKRJGSSGZMJ-UHFFFAOYSA-N 0 0 299.330 2.616 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1N)C1CCCC1 ZINC000382870405 382537492 /nfs/dbraw/zinc/53/74/92/382537492.db2.gz QJEKAKVFYXSYOR-GFCCVEGCSA-N 0 0 291.351 2.876 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@]1(C)CC1(F)F ZINC000421438377 382537778 /nfs/dbraw/zinc/53/77/78/382537778.db2.gz ZVVZLSVAIOKVQY-GFCCVEGCSA-N 0 0 284.262 2.599 20 5 CFBDRN CCOc1cc(N[C@H](CC)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000386737213 382590995 /nfs/dbraw/zinc/59/09/95/382590995.db2.gz CMRYXZGPUUDXDO-GXSJLCMTSA-N 0 0 268.313 2.565 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c2ncccc12)[C@H](C)O ZINC000386791968 382592565 /nfs/dbraw/zinc/59/25/65/382592565.db2.gz AIEZSMATVMFPKP-ONGXEEELSA-N 0 0 275.308 2.714 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@H](C)O ZINC000386925184 382594073 /nfs/dbraw/zinc/59/40/73/382594073.db2.gz SCSUUPHYEJGOLZ-JOYOIKCWSA-N 0 0 275.308 2.714 20 5 CFBDRN CO[C@H]1CN(Cc2csc([N+](=O)[O-])c2)CC[C@H]1C ZINC000189335891 382594688 /nfs/dbraw/zinc/59/46/88/382594688.db2.gz MYRBSMMCCBUYBK-KOLCDFICSA-N 0 0 270.354 2.513 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000295240083 382596622 /nfs/dbraw/zinc/59/66/22/382596622.db2.gz CUJHCYZTMZZOLP-NHYWBVRUSA-N 0 0 292.335 2.589 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000295247020 382597855 /nfs/dbraw/zinc/59/78/55/382597855.db2.gz FKLDZXNVSDBCNT-VIFPVBQESA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@H]1CCCCCN1S(=O)(=O)c1ccccc1[N+](=O)[O-] ZINC000358400318 382601054 /nfs/dbraw/zinc/60/10/54/382601054.db2.gz NFHWXMCHIQODSE-NSHDSACASA-N 0 0 298.364 2.548 20 5 CFBDRN O=C(NCCC1CCOCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000358415322 382604879 /nfs/dbraw/zinc/60/48/79/382604879.db2.gz TWJPWIIJJDVYTH-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000388288417 382605278 /nfs/dbraw/zinc/60/52/78/382605278.db2.gz SYIUVWBGJZOTRN-SDBXPKJASA-N 0 0 268.288 2.959 20 5 CFBDRN CSC[C@@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295289055 382609094 /nfs/dbraw/zinc/60/90/94/382609094.db2.gz IKDUPQFIHMZUCT-ZJUUUORDSA-N 0 0 282.365 2.771 20 5 CFBDRN CO[C@H]1CC[C@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000189435673 382609271 /nfs/dbraw/zinc/60/92/71/382609271.db2.gz CSJMYHKSCRERJX-JQWIXIFHSA-N 0 0 293.323 2.592 20 5 CFBDRN CC(C)(NC(=O)CSC1CC1)c1ccccc1[N+](=O)[O-] ZINC000295298201 382610335 /nfs/dbraw/zinc/61/03/35/382610335.db2.gz BGMPSYJFYPTECX-UHFFFAOYSA-N 0 0 294.376 2.842 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000189462579 382612550 /nfs/dbraw/zinc/61/25/50/382612550.db2.gz QBXPOTUXLJUOSC-WDEREUQCSA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1cccc(C2CC2)n1 ZINC000353751064 382626118 /nfs/dbraw/zinc/62/61/18/382626118.db2.gz CBNBAMURVLMKMP-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN O=[N+]([O-])c1cc(NCC[C@@H]2CCCO2)ccc1F ZINC000189580605 382626632 /nfs/dbraw/zinc/62/66/32/382626632.db2.gz BFZFHRCOLMSAQJ-JTQLQIEISA-N 0 0 254.261 2.715 20 5 CFBDRN CCNc1ccc(C(=O)N(C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000394338228 382627390 /nfs/dbraw/zinc/62/73/90/382627390.db2.gz DSCHCPYJXGBVGG-UHFFFAOYSA-N 0 0 279.340 2.897 20 5 CFBDRN CC[C@H]1[C@@H](CO)CCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000385908119 382579961 /nfs/dbraw/zinc/57/99/61/382579961.db2.gz HESUYKIWVZDXMJ-KOLCDFICSA-N 0 0 284.743 2.845 20 5 CFBDRN COc1cc(N[C@@H](CO)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000386063546 382582339 /nfs/dbraw/zinc/58/23/39/382582339.db2.gz BNYCXEFQJUUXSC-ZDUSSCGKSA-N 0 0 294.351 2.957 20 5 CFBDRN CS(=O)(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CC=CCC1 ZINC000189873932 382643805 /nfs/dbraw/zinc/64/38/05/382643805.db2.gz OWJDTYWIEZWCLU-SNVBAGLBSA-N 0 0 296.348 2.519 20 5 CFBDRN COc1cc(OCc2csc(C)n2)ccc1[N+](=O)[O-] ZINC000279902815 194619403 /nfs/dbraw/zinc/61/94/03/194619403.db2.gz JCIUAOJUVSXGCY-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CC[C@](C)(O)CNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000189961068 382647486 /nfs/dbraw/zinc/64/74/86/382647486.db2.gz HQRNHPBHXKNCFL-HNNXBMFYSA-N 0 0 294.351 2.609 20 5 CFBDRN CC(C)=CCNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000190145352 382654785 /nfs/dbraw/zinc/65/47/85/382654785.db2.gz NJNLBXHOVLNYHF-UHFFFAOYSA-N 0 0 263.297 2.991 20 5 CFBDRN Cc1cc(NC[C@H](C)N2CCCCC2=O)ccc1[N+](=O)[O-] ZINC000190290584 382660768 /nfs/dbraw/zinc/66/07/68/382660768.db2.gz UQEOFRSSDKAVOV-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)c1cccc(O)c1 ZINC000190323705 382661851 /nfs/dbraw/zinc/66/18/51/382661851.db2.gz AJNSXBQJEUJWNY-ZETCQYMHSA-N 0 0 265.294 2.930 20 5 CFBDRN C[C@H](CCc1cccn1C)Nc1ncc([N+](=O)[O-])s1 ZINC000190331200 382662740 /nfs/dbraw/zinc/66/27/40/382662740.db2.gz HBRPRWUYBBRERF-SECBINFHSA-N 0 0 280.353 2.823 20 5 CFBDRN CC(C)=CCNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000190357257 382663918 /nfs/dbraw/zinc/66/39/18/382663918.db2.gz REFCSPYOUKWAIL-UHFFFAOYSA-N 0 0 263.297 2.991 20 5 CFBDRN COc1cc(C(=O)NC2CCCC2)cc([N+](=O)[O-])c1C ZINC000190389743 382665638 /nfs/dbraw/zinc/66/56/38/382665638.db2.gz PYJQVZAXRITGPV-UHFFFAOYSA-N 0 0 278.308 2.584 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCCO[C@H]1C ZINC000190433709 382668128 /nfs/dbraw/zinc/66/81/28/382668128.db2.gz ADYLEFMJHHJTEN-RYUDHWBXSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1ccc(OC[C@@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000191029488 382688771 /nfs/dbraw/zinc/68/87/71/382688771.db2.gz SCXWOFMYXZLDQY-QWRGUYRKSA-N 0 0 251.282 2.850 20 5 CFBDRN COC(=O)c1ccc(Oc2ncc([N+](=O)[O-])s2)cc1 ZINC000189692595 382635427 /nfs/dbraw/zinc/63/54/27/382635427.db2.gz ADEIZFWZUJZXSX-UHFFFAOYSA-N 0 0 280.261 2.630 20 5 CFBDRN Cc1nc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2C)no1 ZINC000191265302 382697305 /nfs/dbraw/zinc/69/73/05/382697305.db2.gz ZEGUWJQYGNBYGD-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1ccc(C(=O)NCC(F)(F)C(F)F)cc1[N+](=O)[O-] ZINC000191334286 382698579 /nfs/dbraw/zinc/69/85/79/382698579.db2.gz VCMSCDLYRMVVDT-UHFFFAOYSA-N 0 0 294.204 2.533 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000191346365 382698784 /nfs/dbraw/zinc/69/87/84/382698784.db2.gz AVAZVSOTCYKTKG-JTQLQIEISA-N 0 0 280.349 2.562 20 5 CFBDRN CC[C@H](C)C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000191354089 382699023 /nfs/dbraw/zinc/69/90/23/382699023.db2.gz GKMGSOGHZWSJKZ-QMMMGPOBSA-N 0 0 266.297 2.696 20 5 CFBDRN Cc1cnc(COC(=O)C(C)(C)C)c(C)c1[N+](=O)[O-] ZINC000191367822 382699220 /nfs/dbraw/zinc/69/92/20/382699220.db2.gz ABKDDIZGGYSLOD-UHFFFAOYSA-N 0 0 266.297 2.696 20 5 CFBDRN COC[C@@H](C)CNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191381412 382699425 /nfs/dbraw/zinc/69/94/25/382699425.db2.gz BKMUDRUJHBZMLO-VIFPVBQESA-N 0 0 295.339 2.616 20 5 CFBDRN Cc1cnc(COC(=O)[C@H](C)C2CC2)c(C)c1[N+](=O)[O-] ZINC000191407153 382699808 /nfs/dbraw/zinc/69/98/08/382699808.db2.gz HWCYIPNOBCHVRS-SECBINFHSA-N 0 0 278.308 2.696 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000191404077 382699809 /nfs/dbraw/zinc/69/98/09/382699809.db2.gz JIRZTODNYZBEBS-LLVKDONJSA-N 0 0 298.364 2.791 20 5 CFBDRN COCCCCNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191405209 382699888 /nfs/dbraw/zinc/69/98/88/382699888.db2.gz SNSKSQGFXPHJIB-UHFFFAOYSA-N 0 0 295.339 2.760 20 5 CFBDRN CN(C(=O)c1ncc(F)cc1F)c1ccc([N+](=O)[O-])cc1 ZINC000192100199 382704823 /nfs/dbraw/zinc/70/48/23/382704823.db2.gz FYGQJXRGSPVSCM-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN CCC(C)(C)CNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000192293308 382706713 /nfs/dbraw/zinc/70/67/13/382706713.db2.gz PGBRHBWLYDWIIV-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN CCc1nn(C)c(CC)c1CNc1ncc([N+](=O)[O-])s1 ZINC000192510580 382709682 /nfs/dbraw/zinc/70/96/82/382709682.db2.gz BLHGJMVRYMGKCH-UHFFFAOYSA-N 0 0 295.368 2.522 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(SC)CCC1 ZINC000192928149 382713715 /nfs/dbraw/zinc/71/37/15/382713715.db2.gz YCVHMGWWYAGPIL-UHFFFAOYSA-N 0 0 284.385 2.817 20 5 CFBDRN C[C@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000193591305 382721246 /nfs/dbraw/zinc/72/12/46/382721246.db2.gz JMZWDKVWBBOIKW-JTQLQIEISA-N 0 0 279.296 2.735 20 5 CFBDRN C[C@@H](CO)CCCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000575055384 382725303 /nfs/dbraw/zinc/72/53/03/382725303.db2.gz QZCOKAUVCLYMTF-LLVKDONJSA-N 0 0 293.367 2.689 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000336829804 382725850 /nfs/dbraw/zinc/72/58/50/382725850.db2.gz BAUFHQWBSYZJBO-NXEZZACHSA-N 0 0 280.299 2.900 20 5 CFBDRN CC(C)OCCCCNc1ncc([N+](=O)[O-])s1 ZINC000193858343 382727228 /nfs/dbraw/zinc/72/72/28/382727228.db2.gz OTWIJYLMUINOIP-UHFFFAOYSA-N 0 0 259.331 2.668 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCOC[C@@H]1C ZINC000193869245 382727586 /nfs/dbraw/zinc/72/75/86/382727586.db2.gz GCCTWRGKOJJDBM-RYUDHWBXSA-N 0 0 292.335 2.823 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1cccc(CO)c1 ZINC000336838248 382730171 /nfs/dbraw/zinc/73/01/71/382730171.db2.gz MTQCBWJRDGLORA-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCCN1c1ncccc1[N+](=O)[O-] ZINC000336841544 382732248 /nfs/dbraw/zinc/73/22/48/382732248.db2.gz RTTPFZWZEDTZLE-RYUDHWBXSA-N 0 0 279.340 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCCCCCO)CCN2 ZINC000195269547 382765674 /nfs/dbraw/zinc/76/56/74/382765674.db2.gz KJRJPLFDWGCUMW-UHFFFAOYSA-N 0 0 279.340 2.527 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)C1CCCCC1 ZINC000195328770 382766487 /nfs/dbraw/zinc/76/64/87/382766487.db2.gz OITNGHULWBASFH-UHFFFAOYSA-N 0 0 266.345 2.889 20 5 CFBDRN C[C@@H](O)c1ccc(CNc2ncc([N+](=O)[O-])s2)cc1 ZINC000195510622 382768665 /nfs/dbraw/zinc/76/86/65/382768665.db2.gz MUJNVTQBWQXLAK-MRVPVSSYSA-N 0 0 279.321 2.717 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)c1ccccc1 ZINC000195518486 382768745 /nfs/dbraw/zinc/76/87/45/382768745.db2.gz PCDLLTDALBFKBH-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CCCOc1ncccc1CNc1ncc([N+](=O)[O-])s1 ZINC000195511013 382768771 /nfs/dbraw/zinc/76/87/71/382768771.db2.gz LBPMYIGPZRWNBY-UHFFFAOYSA-N 0 0 294.336 2.847 20 5 CFBDRN C[C@@H]1C[C@H]([NH2+]Cc2cc([N+](=O)[O-])ccc2Cl)CCO1 ZINC000219903357 382794469 /nfs/dbraw/zinc/79/44/69/382794469.db2.gz SFYGNKJUARDCCE-MWLCHTKSSA-N 0 0 284.743 2.905 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@H]3CCCO3)ccnc21 ZINC000214727004 382776964 /nfs/dbraw/zinc/77/69/64/382776964.db2.gz YHPMWYZSLRIFQM-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCO1 ZINC000219936108 382795133 /nfs/dbraw/zinc/79/51/33/382795133.db2.gz ANEJGCPRWYUQHR-IUCAKERBSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccccc1C1CC(NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000337252540 382786340 /nfs/dbraw/zinc/78/63/40/382786340.db2.gz OWRKQEDASIBUCV-UHFFFAOYSA-N 0 0 299.330 2.907 20 5 CFBDRN CCNc1ccc(C(=O)NC2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000337257151 382787281 /nfs/dbraw/zinc/78/72/81/382787281.db2.gz NSVVRQLJZUHXQQ-UHFFFAOYSA-N 0 0 299.277 2.554 20 5 CFBDRN Cc1cccc(N2CCC([C@@H](C)O)CC2)c1[N+](=O)[O-] ZINC000218853184 382791040 /nfs/dbraw/zinc/79/10/40/382791040.db2.gz SLRLLLFCLCLIJX-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ncc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)s1 ZINC000337272809 382791047 /nfs/dbraw/zinc/79/10/47/382791047.db2.gz CAJHRTCCBXGDPT-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cc(NC[C@@]2(O)CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000336888074 382737726 /nfs/dbraw/zinc/73/77/26/382737726.db2.gz DVIGXBKKHNMZIO-RISCZKNCSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@@H]1CCC[C@]1(O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000336892486 382738502 /nfs/dbraw/zinc/73/85/02/382738502.db2.gz JRNBTDASUQQRFB-OQPBUACISA-N 0 0 286.278 2.836 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@@H]2OC(F)F)ccc1[N+](=O)[O-] ZINC000336908891 382741072 /nfs/dbraw/zinc/74/10/72/382741072.db2.gz VULYHIBCSZAJLW-SCZZXKLOSA-N 0 0 287.266 2.870 20 5 CFBDRN CSC1(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000194525721 382746868 /nfs/dbraw/zinc/74/68/68/382746868.db2.gz RVSPLURAPVANAC-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN CCc1ccc(C(=O)NCC2(SC)CC2)cc1[N+](=O)[O-] ZINC000194515948 382746904 /nfs/dbraw/zinc/74/69/04/382746904.db2.gz MTFORACBOKJDJO-UHFFFAOYSA-N 0 0 294.376 2.783 20 5 CFBDRN CSC1(CNC(=O)c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000194555561 382748252 /nfs/dbraw/zinc/74/82/52/382748252.db2.gz FTEZKQGCAPNYOF-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN O=[N+]([O-])c1cnc(N(CC(F)F)CC2CCC2)s1 ZINC000336990699 382749303 /nfs/dbraw/zinc/74/93/03/382749303.db2.gz XHXQBEDQGNUPHU-UHFFFAOYSA-N 0 0 277.296 2.923 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCOC(C)(C)C1 ZINC000194952210 382758247 /nfs/dbraw/zinc/75/82/47/382758247.db2.gz WRABBTCNORNUDQ-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN CCc1cccnc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000337598465 382846415 /nfs/dbraw/zinc/84/64/15/382846415.db2.gz MCUZJRMYKRFMSV-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN Cc1cc(N[C@H](C)CCO)c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000228485385 382846820 /nfs/dbraw/zinc/84/68/20/382846820.db2.gz LTHZWRAJJGBBLN-SECBINFHSA-N 0 0 275.308 2.634 20 5 CFBDRN Cc1cc(NCC2(CO)CC2)c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000228485758 382846859 /nfs/dbraw/zinc/84/68/59/382846859.db2.gz OKQRPZFJVYTIEF-UHFFFAOYSA-N 0 0 287.319 2.636 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCCCCCO ZINC000228726137 382848772 /nfs/dbraw/zinc/84/87/72/382848772.db2.gz VWXFGNNYEIROQS-UHFFFAOYSA-N 0 0 293.245 2.583 20 5 CFBDRN CC(C)COCCN1CCc2c1cccc2[N+](=O)[O-] ZINC000337327023 382802313 /nfs/dbraw/zinc/80/23/13/382802313.db2.gz WWCIDAHKEJKJQQ-UHFFFAOYSA-N 0 0 264.325 2.630 20 5 CFBDRN C[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)[C@@H](C)CO1 ZINC000223207253 382804397 /nfs/dbraw/zinc/80/43/97/382804397.db2.gz WIMFOWDNCXKSAM-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccc(NC[C@@H]2CCC[C@H](O)C2)c([N+](=O)[O-])c1 ZINC000223247458 382804766 /nfs/dbraw/zinc/80/47/66/382804766.db2.gz YPVJIIHPSBKETQ-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000225745278 382821109 /nfs/dbraw/zinc/82/11/09/382821109.db2.gz XURFVWHRGMUPFO-VIFPVBQESA-N 0 0 298.368 2.513 20 5 CFBDRN COc1cc(N[C@@H](C)[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000225815789 382821791 /nfs/dbraw/zinc/82/17/91/382821791.db2.gz XRFITMHMSZFGGA-IUCAKERBSA-N 0 0 284.287 2.579 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000226147221 382824739 /nfs/dbraw/zinc/82/47/39/382824739.db2.gz HCNURCMHWMBGCV-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN CC(C)n1cc(CNCc2ccccc2[N+](=O)[O-])cn1 ZINC000226241319 382825135 /nfs/dbraw/zinc/82/51/35/382825135.db2.gz YQZLBDAIPCIGAK-UHFFFAOYSA-N 0 0 274.324 2.662 20 5 CFBDRN Cc1c(NC(=O)NC2(C(F)F)CC2)cccc1[N+](=O)[O-] ZINC000337483489 382829283 /nfs/dbraw/zinc/82/92/83/382829283.db2.gz ATUMRMJSVFIWJN-UHFFFAOYSA-N 0 0 285.250 2.822 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@@H]3CCCC[C@H]32)cc1F ZINC000227400944 382836819 /nfs/dbraw/zinc/83/68/19/382836819.db2.gz XJUCJGYFKYIVJW-HUUCEWRRSA-N 0 0 294.326 2.877 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1ncc([N+](=O)[O-])cc1Cl ZINC000227652511 382838767 /nfs/dbraw/zinc/83/87/67/382838767.db2.gz DRBPJTOAPJWEAN-ZCFIWIBFSA-N 0 0 290.728 2.687 20 5 CFBDRN COc1ccc(CNc2ncc([N+](=O)[O-])cc2Cl)cn1 ZINC000227648641 382839002 /nfs/dbraw/zinc/83/90/02/382839002.db2.gz JMOSIUOHAMQWLL-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CC(C)C[C@H](O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227889316 382842120 /nfs/dbraw/zinc/84/21/20/382842120.db2.gz HAZMJIBQWIRQGZ-VIFPVBQESA-N 0 0 274.267 2.692 20 5 CFBDRN CC(C)(CCO)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227891211 382842182 /nfs/dbraw/zinc/84/21/82/382842182.db2.gz SIBNZHZIKXNBBC-UHFFFAOYSA-N 0 0 274.267 2.694 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CCOC(C)(C)C2)c1 ZINC000228087305 382844533 /nfs/dbraw/zinc/84/45/33/382844533.db2.gz YUOIEMIWOSAZTQ-JTQLQIEISA-N 0 0 280.324 2.973 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCC1(C)CC1 ZINC000338050314 382912446 /nfs/dbraw/zinc/91/24/46/382912446.db2.gz JVEZCQJGCSRMLH-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN O=C(NCc1ccc(F)c(Cl)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000337693231 382856225 /nfs/dbraw/zinc/85/62/25/382856225.db2.gz QFYNHBBFYZMQBB-UHFFFAOYSA-N 0 0 297.673 2.645 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCC[C@@H](C)CO ZINC000230147655 382856332 /nfs/dbraw/zinc/85/63/32/382856332.db2.gz SDXVSPWTPXYVOK-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN CC[C@H]1C[C@H]1Nc1ncc(Br)cc1[N+](=O)[O-] ZINC000230215182 382857426 /nfs/dbraw/zinc/85/74/26/382857426.db2.gz XBYOSXNWVDYUPK-POYBYMJQSA-N 0 0 286.129 2.963 20 5 CFBDRN CCc1nc(COc2cc([N+](=O)[O-])ccc2Cl)no1 ZINC000093307975 184662578 /nfs/dbraw/zinc/66/25/78/184662578.db2.gz UCSUZQQZJYHRPM-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN C[C@H]1CCCN(C(=O)CSc2cccc([N+](=O)[O-])c2)C1 ZINC000337744043 382860792 /nfs/dbraw/zinc/86/07/92/382860792.db2.gz FJFWSZIPSFTFCX-NSHDSACASA-N 0 0 294.376 2.945 20 5 CFBDRN O=C(NCCc1ccc2[nH]ccc2c1)c1ccc([N+](=O)[O-])o1 ZINC000337764878 382862940 /nfs/dbraw/zinc/86/29/40/382862940.db2.gz GJXOBSDEMZOJMO-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN CSCCCCCCNc1ncc([N+](=O)[O-])cn1 ZINC000230876531 382863373 /nfs/dbraw/zinc/86/33/73/382863373.db2.gz AYBNGSXLMXTNRJ-UHFFFAOYSA-N 0 0 270.358 2.720 20 5 CFBDRN CCCCCNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000232969485 382870542 /nfs/dbraw/zinc/87/05/42/382870542.db2.gz VIOMGWTVPRECGJ-UHFFFAOYSA-N 0 0 268.288 2.962 20 5 CFBDRN CCc1nn(C)c(N[C@H](C)c2ccncc2F)c1[N+](=O)[O-] ZINC000337842302 382871257 /nfs/dbraw/zinc/87/12/57/382871257.db2.gz ZYJUMVIWSLQGSD-MRVPVSSYSA-N 0 0 293.302 2.598 20 5 CFBDRN CCOCCCNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000233735177 382871854 /nfs/dbraw/zinc/87/18/54/382871854.db2.gz CRXVFPABDWSPAG-UHFFFAOYSA-N 0 0 293.245 2.847 20 5 CFBDRN CCc1cnc(CNc2cccc(OC)c2[N+](=O)[O-])o1 ZINC000234266863 382873809 /nfs/dbraw/zinc/87/38/09/382873809.db2.gz KRAXKQRHJZZXCK-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN Cc1cn([C@H](C)C(=O)Nc2cccc(C)c2)nc1[N+](=O)[O-] ZINC000337937088 382874324 /nfs/dbraw/zinc/87/43/24/382874324.db2.gz QHJRAMUSIXTZND-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN Cc1cn(CCOc2ccc(Cl)c(F)c2)nc1[N+](=O)[O-] ZINC000337936354 382874349 /nfs/dbraw/zinc/87/43/49/382874349.db2.gz IWHYUAMAMJZIEX-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN Cc1cn([C@H](C)c2nc(-c3ccccc3)no2)nc1[N+](=O)[O-] ZINC000337941074 382875210 /nfs/dbraw/zinc/87/52/10/382875210.db2.gz GGLQMGDNZBRYTO-SNVBAGLBSA-N 0 0 299.290 2.759 20 5 CFBDRN C[C@@H](C(=O)N(C)[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000577927229 382875406 /nfs/dbraw/zinc/87/54/06/382875406.db2.gz SNTBNZMFIKCPNP-MFKMUULPSA-N 0 0 294.376 2.662 20 5 CFBDRN CC[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000421587849 382877363 /nfs/dbraw/zinc/87/73/63/382877363.db2.gz HKJUQFKUPYSMEX-LEWSCRJBSA-N 0 0 276.336 2.861 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1cc(C2CC2)no1 ZINC000440873918 382886836 /nfs/dbraw/zinc/88/68/36/382886836.db2.gz VHGBZGQBRNGNQA-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN CC(C)CCCn1nc(-c2ccccc2[N+](=O)[O-])oc1=O ZINC000578069146 382888232 /nfs/dbraw/zinc/88/82/32/382888232.db2.gz PVABOWCUGMMWLQ-UHFFFAOYSA-N 0 0 291.307 2.848 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCC2CCCC2)c1F ZINC000235878916 382889770 /nfs/dbraw/zinc/88/97/70/382889770.db2.gz HGCHVNYHGQRMNQ-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000421667067 382890276 /nfs/dbraw/zinc/89/02/76/382890276.db2.gz WQNFDXFODCIBHB-QWHCGFSZSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc([C@H]3CCCO3)n2)c1 ZINC000441377243 382911402 /nfs/dbraw/zinc/91/14/02/382911402.db2.gz AOGIERYVCGDGEZ-SNVBAGLBSA-N 0 0 265.294 2.898 20 5 CFBDRN CN(CCOc1ccccc1[N+](=O)[O-])c1cccc(O)c1 ZINC000533379844 382895153 /nfs/dbraw/zinc/89/51/53/382895153.db2.gz CTPQDRXGEMOOQT-UHFFFAOYSA-N 0 0 288.303 2.816 20 5 CFBDRN CO[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000441374903 382911800 /nfs/dbraw/zinc/91/18/00/382911800.db2.gz ICYREKWYPVAFBG-WDEREUQCSA-N 0 0 282.315 2.733 20 5 CFBDRN O=C(Nc1cncc2ccccc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000534757628 382936721 /nfs/dbraw/zinc/93/67/21/382936721.db2.gz KUJLXQVMIZKUPX-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN C[C@H](C(=O)N(C)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000338155584 382937433 /nfs/dbraw/zinc/93/74/33/382937433.db2.gz WYJQPGYDHBJGML-NSHDSACASA-N 0 0 276.336 2.642 20 5 CFBDRN C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1ccncc1F ZINC000338169243 382941046 /nfs/dbraw/zinc/94/10/46/382941046.db2.gz DWMBNXBKMLDZPE-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)C1 ZINC000338194659 382949905 /nfs/dbraw/zinc/94/99/05/382949905.db2.gz WLXYCMQJZRWQJC-CYBMUJFWSA-N 0 0 276.336 2.642 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)c1ccc([N+](=O)[O-])n1C ZINC000495100198 382961734 /nfs/dbraw/zinc/96/17/34/382961734.db2.gz SBBONRLPFMBTFL-NSHDSACASA-N 0 0 281.356 2.880 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)c1ccc([N+](=O)[O-])n1C ZINC000495100192 382962251 /nfs/dbraw/zinc/96/22/51/382962251.db2.gz SBBONRLPFMBTFL-LLVKDONJSA-N 0 0 281.356 2.880 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cnccc1C ZINC000494668238 382916969 /nfs/dbraw/zinc/91/69/69/382916969.db2.gz LTXBCTFCWRKECL-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])cc2OC)CCC1 ZINC000494690096 382919514 /nfs/dbraw/zinc/91/95/14/382919514.db2.gz ZDLHJKNONJPEAK-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1c(Br)c(Nc2c[nH]cn2)ncc1[N+](=O)[O-] ZINC000579050374 382978100 /nfs/dbraw/zinc/97/81/00/382978100.db2.gz VTMBWUZSJXHBCT-UHFFFAOYSA-N 0 0 298.100 2.527 20 5 CFBDRN CCC1CN(C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)C1 ZINC000457306326 383017361 /nfs/dbraw/zinc/01/73/61/383017361.db2.gz PEHJYZRMRVOVKG-SNVBAGLBSA-N 0 0 294.376 2.944 20 5 CFBDRN CC(C)[C@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000447075761 382993707 /nfs/dbraw/zinc/99/37/07/382993707.db2.gz KXJQCAFVUHFHKS-VIFPVBQESA-N 0 0 280.353 2.786 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000457229745 382997819 /nfs/dbraw/zinc/99/78/19/382997819.db2.gz PMQOINXDSURBAK-LLVKDONJSA-N 0 0 294.351 2.915 20 5 CFBDRN C[C@]1(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C[C@H]2C[C@H]2C1 ZINC000549936004 383017847 /nfs/dbraw/zinc/01/78/47/383017847.db2.gz IKWOVMZPLBMDIF-VIKVFOODSA-N 0 0 288.347 2.690 20 5 CFBDRN CCCCC[C@H]1CCCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000549218973 383003202 /nfs/dbraw/zinc/00/32/02/383003202.db2.gz KXAUFFCIFKUPKN-JTQLQIEISA-N 0 0 280.328 2.503 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H](C1CC1)C1CCC1 ZINC000457265077 383006577 /nfs/dbraw/zinc/00/65/77/383006577.db2.gz YWCYQDPRDRJQCH-MRXNPFEDSA-N 0 0 288.347 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cccc(N3CCC3=O)c2)n1 ZINC000447804838 383010298 /nfs/dbraw/zinc/01/02/98/383010298.db2.gz GVEKELWDTRWITR-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN CC(C)C[C@H]1CCCCCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000579379048 383010685 /nfs/dbraw/zinc/01/06/85/383010685.db2.gz MOIDPKQGOOIGCH-LLVKDONJSA-N 0 0 294.355 2.749 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)O1 ZINC000457349211 383030733 /nfs/dbraw/zinc/03/07/33/383030733.db2.gz ATCFNJYXDWLBAT-PRHODGIISA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H](F)CCNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000338747658 383037115 /nfs/dbraw/zinc/03/71/15/383037115.db2.gz DTMBZIHHHDJRHZ-QMMMGPOBSA-N 0 0 281.287 2.640 20 5 CFBDRN C[C@H](F)CCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000338752172 383039402 /nfs/dbraw/zinc/03/94/02/383039402.db2.gz FJHDJQPUMGOVGA-QMMMGPOBSA-N 0 0 253.277 2.723 20 5 CFBDRN COc1ccc(CC(=O)NC(C)(C)C(C)C)cc1[N+](=O)[O-] ZINC000551305870 383039887 /nfs/dbraw/zinc/03/98/87/383039887.db2.gz UNCYNGHQMKOASI-UHFFFAOYSA-N 0 0 294.351 2.697 20 5 CFBDRN C[C@H](F)CCNc1ncc([N+](=O)[O-])cc1Br ZINC000338758567 383041579 /nfs/dbraw/zinc/04/15/79/383041579.db2.gz WUXINSFRHVADMI-LURJTMIESA-N 0 0 292.108 2.912 20 5 CFBDRN CCC[C@H](C)[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000338898110 383079171 /nfs/dbraw/zinc/07/91/71/383079171.db2.gz FHBNDHKOHYTCQO-JTQLQIEISA-N 0 0 252.314 2.921 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](CC3CC3)C2)n1 ZINC000338805026 383057105 /nfs/dbraw/zinc/05/71/05/383057105.db2.gz DFLJDFBNSPARIX-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@H]2CF)n1 ZINC000338808585 383058416 /nfs/dbraw/zinc/05/84/16/383058416.db2.gz QFMPCAKNZWVQDT-JTQLQIEISA-N 0 0 253.277 2.545 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@]2(O)CCC[C@@H]2C)n1 ZINC000338814889 383059356 /nfs/dbraw/zinc/05/93/56/383059356.db2.gz XKNQXAGJSRGNHC-HZMBPMFUSA-N 0 0 279.340 2.570 20 5 CFBDRN C[C@@H](N(C)c1ccc2ncc([N+](=O)[O-])n2n1)C(C)(C)C ZINC000551980997 383060652 /nfs/dbraw/zinc/06/06/52/383060652.db2.gz WLDINMXWPVLAEW-SECBINFHSA-N 0 0 277.328 2.508 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCC[C@H]2F)nc2sccn21 ZINC000338822459 383061350 /nfs/dbraw/zinc/06/13/50/383061350.db2.gz AAMRWDFTXZIWAR-RQJHMYQMSA-N 0 0 270.289 2.607 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000457858015 383119813 /nfs/dbraw/zinc/11/98/13/383119813.db2.gz UDUYLLYOZHWXHK-QMTHXVAHSA-N 0 0 289.335 2.850 20 5 CFBDRN CN(CC1=CCCOC1)c1nccc2c1cccc2[N+](=O)[O-] ZINC000596465188 383128183 /nfs/dbraw/zinc/12/81/83/383128183.db2.gz JCQKRYKTTVBUTJ-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CC(=O)[C@H](Oc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000339041346 383129306 /nfs/dbraw/zinc/12/93/06/383129306.db2.gz MCYBXAAIYBKHAY-ZDUSSCGKSA-N 0 0 272.260 2.699 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000458248591 383141339 /nfs/dbraw/zinc/14/13/39/383141339.db2.gz BOFALMBDFSSZMI-SNPRPXQTSA-N 0 0 288.347 2.832 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)OCCCF ZINC000496272373 383081968 /nfs/dbraw/zinc/08/19/68/383081968.db2.gz HHDQNOMNBJAAGV-UHFFFAOYSA-N 0 0 290.678 2.834 20 5 CFBDRN CC[C@@H](C)[C@@H](C)[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000339083973 383143681 /nfs/dbraw/zinc/14/36/81/383143681.db2.gz OOKHTZCYMHMVSA-NXEZZACHSA-N 0 0 252.314 2.825 20 5 CFBDRN Cc1cccnc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000338938683 383092006 /nfs/dbraw/zinc/09/20/06/383092006.db2.gz QKQRESXZBIXWBZ-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CN(C(=O)c1cn2ccccc2n1)c1cccc([N+](=O)[O-])c1 ZINC000338938602 383092496 /nfs/dbraw/zinc/09/24/96/383092496.db2.gz YQLLZIKGMVYFAC-UHFFFAOYSA-N 0 0 296.286 2.519 20 5 CFBDRN Cc1cc(NCc2cc[nH]n2)c2cccc([N+](=O)[O-])c2n1 ZINC000553582730 383113859 /nfs/dbraw/zinc/11/38/59/383113859.db2.gz JGFWUABIFDRXLL-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN COCC1(COc2cc(OC)ccc2[N+](=O)[O-])CCC1 ZINC000517235869 383171257 /nfs/dbraw/zinc/17/12/57/383171257.db2.gz YSHVRPIWUUUGJG-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN CCN(C[C@@H](C)OC)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000517247091 383173595 /nfs/dbraw/zinc/17/35/95/383173595.db2.gz GOVAIUBDJMOHIH-LLVKDONJSA-N 0 0 297.355 2.638 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000424089365 383178629 /nfs/dbraw/zinc/17/86/29/383178629.db2.gz AQVMRRSBPNZTJF-LBPRGKRZSA-N 0 0 255.245 2.538 20 5 CFBDRN CO[C@H](COc1ccc(C)cc1[N+](=O)[O-])C1CC1 ZINC000424094635 383179259 /nfs/dbraw/zinc/17/92/59/383179259.db2.gz UZKJLMAGVKNENP-CYBMUJFWSA-N 0 0 251.282 2.707 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1C ZINC000517422857 383181346 /nfs/dbraw/zinc/18/13/46/383181346.db2.gz ZHMTYNZQPVWXRM-UHFFFAOYSA-N 0 0 273.292 2.898 20 5 CFBDRN COc1ccc(NC(=O)C2(CF)CCC2)cc1[N+](=O)[O-] ZINC000517746304 383191853 /nfs/dbraw/zinc/19/18/53/383191853.db2.gz ZHIZSUNVPUJLLV-UHFFFAOYSA-N 0 0 282.271 2.682 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@@H](OC(C)C)C2)c1[N+](=O)[O-] ZINC000518538392 383201989 /nfs/dbraw/zinc/20/19/89/383201989.db2.gz FOONTWNSBURXSP-GFCCVEGCSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccsc1 ZINC000619757942 383148137 /nfs/dbraw/zinc/14/81/37/383148137.db2.gz KJVFJTCVKDFZDR-SSDOTTSWSA-N 0 0 266.278 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCO[C@@H]2c2cccnc2)cc1 ZINC000339098832 383149409 /nfs/dbraw/zinc/14/94/09/383149409.db2.gz SZMKWMCWUAULTH-HUUCEWRRSA-N 0 0 285.303 2.932 20 5 CFBDRN O=C(NC[C@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])s1 ZINC000280513324 194865649 /nfs/dbraw/zinc/86/56/49/194865649.db2.gz FQMFAORQCBZVOH-ZETCQYMHSA-N 0 0 290.291 2.822 20 5 CFBDRN Cc1ccc(Cl)cc1N(C)CCn1ccc([N+](=O)[O-])n1 ZINC000339646177 383272157 /nfs/dbraw/zinc/27/21/57/383272157.db2.gz AFNIMEJVLZGSEE-UHFFFAOYSA-N 0 0 294.742 2.890 20 5 CFBDRN COc1cc(C(=O)NC[C@@H]2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000339503221 383220272 /nfs/dbraw/zinc/22/02/72/383220272.db2.gz GFRZXILATZFYBT-JQWIXIFHSA-N 0 0 292.335 2.769 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000339509481 383224100 /nfs/dbraw/zinc/22/41/00/383224100.db2.gz UCDRFCQCXNUHDI-ZJUUUORDSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@H]1CCCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000519583599 383226491 /nfs/dbraw/zinc/22/64/91/383226491.db2.gz DKVLSPYQNWNNTL-IINYFYTJSA-N 0 0 277.324 2.688 20 5 CFBDRN O=[N+]([O-])c1cc(CN2Cc3cccnc3C2)cs1 ZINC000519595996 383228643 /nfs/dbraw/zinc/22/86/43/383228643.db2.gz MGBNSPYUDGMCLM-UHFFFAOYSA-N 0 0 261.306 2.567 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000339520180 383229868 /nfs/dbraw/zinc/22/98/68/383229868.db2.gz YLGWTKSNEFRURS-BDAKNGLRSA-N 0 0 268.338 2.822 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000458717382 383236142 /nfs/dbraw/zinc/23/61/42/383236142.db2.gz KBIXYTYWNJZMPP-OLRMPSLUSA-N 0 0 289.335 2.754 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000425149255 383332709 /nfs/dbraw/zinc/33/27/09/383332709.db2.gz ZHTVVWWUAVYWMR-ILWJIGKKSA-N 0 0 292.360 2.845 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000466156881 383334267 /nfs/dbraw/zinc/33/42/67/383334267.db2.gz HTLWZZUATCOXTL-NWDGAFQWSA-N 0 0 291.351 2.577 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])s2)C[C@@H](C)C1 ZINC000249219150 383334566 /nfs/dbraw/zinc/33/45/66/383334566.db2.gz FUQKYPZGRNIQGE-BDAKNGLRSA-N 0 0 255.343 2.924 20 5 CFBDRN CC[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000567965525 383338100 /nfs/dbraw/zinc/33/81/00/383338100.db2.gz NWQDNOANDPVQMN-MRVPVSSYSA-N 0 0 251.286 2.621 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC[C@@H]1C1CC1 ZINC000449422406 383283118 /nfs/dbraw/zinc/28/31/18/383283118.db2.gz DBVGKHZZILLKMS-CQSZACIVSA-N 0 0 276.336 2.978 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000521132975 383286567 /nfs/dbraw/zinc/28/65/67/383286567.db2.gz ARUJBERYGUDKFZ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCC1)c1csc([N+](=O)[O-])c1 ZINC000424880359 383287660 /nfs/dbraw/zinc/28/76/60/383287660.db2.gz ANODVJOLVLCVNI-ONGXEEELSA-N 0 0 280.349 2.822 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000424899096 383293813 /nfs/dbraw/zinc/29/38/13/383293813.db2.gz UYZSSOWQWYISOS-JSGCOSHPSA-N 0 0 274.320 2.761 20 5 CFBDRN CC(C)O[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000463486346 383304686 /nfs/dbraw/zinc/30/46/86/383304686.db2.gz ZDJMJCBXGADQLD-RYUDHWBXSA-N 0 0 279.340 2.994 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@H](F)C2)ncc1[N+](=O)[O-] ZINC000567767815 383314639 /nfs/dbraw/zinc/31/46/39/383314639.db2.gz XYLWLCCCIPFMTP-VHSXEESVSA-N 0 0 253.277 2.991 20 5 CFBDRN CC(C)(O)CCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450449748 383415564 /nfs/dbraw/zinc/41/55/64/383415564.db2.gz BDUJASNNTHSFPF-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2CCO[C@H]2C2CC2)c1 ZINC000450407806 383395830 /nfs/dbraw/zinc/39/58/30/383395830.db2.gz JDLRDHIBIKEPHS-CABCVRRESA-N 0 0 299.330 2.544 20 5 CFBDRN Cc1cccnc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000426321344 383396639 /nfs/dbraw/zinc/39/66/39/383396639.db2.gz CCUAOKSMRZWIOE-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000525673661 383402882 /nfs/dbraw/zinc/40/28/82/383402882.db2.gz UZNAIUKOOYYIFK-GRYCIOLGSA-N 0 0 291.351 2.632 20 5 CFBDRN C[C@H](CSc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1 ZINC000480372343 383416318 /nfs/dbraw/zinc/41/63/18/383416318.db2.gz YMPWWOVZHQTNPW-SECBINFHSA-N 0 0 290.348 2.693 20 5 CFBDRN CC(C)[C@@H](Nc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)(C)C ZINC000450433579 383408634 /nfs/dbraw/zinc/40/86/34/383408634.db2.gz FHKMSZQYQXATMD-GFCCVEGCSA-N 0 0 295.339 2.768 20 5 CFBDRN COc1ccc(O)c(NC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000340310682 383412104 /nfs/dbraw/zinc/41/21/04/383412104.db2.gz RIFJCDAYKGUYQA-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN Cc1nc(CNc2ncccc2[N+](=O)[O-])nc2ccccc12 ZINC000523296238 383349985 /nfs/dbraw/zinc/34/99/85/383349985.db2.gz FNTBXPZPZAZJJS-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CCO[C@@H](C3CC3)C2)c1 ZINC000450454229 383416577 /nfs/dbraw/zinc/41/65/77/383416577.db2.gz KRTIVXLSFIMWCZ-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN CN1CC[C@H](CNc2ccc([N+](=O)[O-])cc2Cl)CC1=O ZINC000425272202 383356255 /nfs/dbraw/zinc/35/62/55/383356255.db2.gz ZUBHLYHCDUVKHU-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCNC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000523731838 383360716 /nfs/dbraw/zinc/36/07/16/383360716.db2.gz DARUVMWDFQHZLE-NEPJUHHUSA-N 0 0 293.367 2.880 20 5 CFBDRN Cc1cc(C)cc(OCCNc2ccncc2[N+](=O)[O-])c1 ZINC000340155625 383364018 /nfs/dbraw/zinc/36/40/18/383364018.db2.gz WOIIEZSRWHNOGP-UHFFFAOYSA-N 0 0 287.319 2.519 20 5 CFBDRN Cc1nc(CCNc2nc(C)ccc2[N+](=O)[O-])c(C)o1 ZINC000340197513 383377587 /nfs/dbraw/zinc/37/75/87/383377587.db2.gz LQZFGFACRXGNAE-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC(n2ccnc2)C1 ZINC000526073762 383427032 /nfs/dbraw/zinc/42/70/32/383427032.db2.gz GBCSRQGYOVCVAO-UHFFFAOYSA-N 0 0 278.699 2.506 20 5 CFBDRN Cc1oncc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000526082792 383427855 /nfs/dbraw/zinc/42/78/55/383427855.db2.gz NTJUFQAHMWWBHN-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN COC(C)(C)CN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000526098231 383429299 /nfs/dbraw/zinc/42/92/99/383429299.db2.gz HGJCCNKQZZFBJA-UHFFFAOYSA-N 0 0 295.339 2.792 20 5 CFBDRN O=C(NC/C=C\c1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000255425087 383481800 /nfs/dbraw/zinc/48/18/00/383481800.db2.gz AOBHVBGLWFYFFI-DAXSKMNVSA-N 0 0 272.260 2.631 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(O[C@@H]2CCC(C)(C)C2=O)n1 ZINC000413004840 291827214 /nfs/dbraw/zinc/82/72/14/291827214.db2.gz XZNDPPDZCCFDJO-SNVBAGLBSA-N 0 0 278.308 2.743 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCCCCF ZINC000450542657 383454933 /nfs/dbraw/zinc/45/49/33/383454933.db2.gz WEDJQXYGWAHYAD-UHFFFAOYSA-N 0 0 263.272 2.727 20 5 CFBDRN CC(F)(F)CCCNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000450557929 383460582 /nfs/dbraw/zinc/46/05/82/383460582.db2.gz OCLKLCVARLAUQY-UHFFFAOYSA-N 0 0 287.266 2.541 20 5 CFBDRN CC[C@H]1CCCN1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000340551978 383467690 /nfs/dbraw/zinc/46/76/90/383467690.db2.gz AJFDQGJXMHQSGD-LBPRGKRZSA-N 0 0 292.335 2.765 20 5 CFBDRN CCOC1(C)CN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000450579089 383468138 /nfs/dbraw/zinc/46/81/38/383468138.db2.gz JRJIKLFKJAPXGV-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3[C@@H]4CCC[C@@H]43)cc2N1 ZINC000486499904 383474039 /nfs/dbraw/zinc/47/40/39/383474039.db2.gz QRMMRJAIQUERGQ-KEODLESXSA-N 0 0 287.319 2.690 20 5 CFBDRN CCC(=O)c1ccc(NC[C@](C)(O)CC)c([N+](=O)[O-])c1 ZINC000280729324 194948511 /nfs/dbraw/zinc/94/85/11/194948511.db2.gz NFNXMDKTHTWEEQ-CQSZACIVSA-N 0 0 280.324 2.760 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@H](F)C1 ZINC000450603655 383477757 /nfs/dbraw/zinc/47/77/57/383477757.db2.gz UREUYFSTPHSPBX-VIFPVBQESA-N 0 0 261.256 2.691 20 5 CFBDRN O=C(Nc1cnc2ccccc2c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000340586567 383479839 /nfs/dbraw/zinc/47/98/39/383479839.db2.gz RHPDWRQYIGAJBA-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000340587276 383480190 /nfs/dbraw/zinc/48/01/90/383480190.db2.gz PJPOOKQNMJWHDS-LLVKDONJSA-N 0 0 280.324 2.904 20 5 CFBDRN Cc1ccnc(NCCCc2ccccc2F)c1[N+](=O)[O-] ZINC000480775972 383420626 /nfs/dbraw/zinc/42/06/26/383420626.db2.gz BQQFATYIZFZAOP-UHFFFAOYSA-N 0 0 289.310 2.904 20 5 CFBDRN CC(C)(C)CCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000340600802 383484083 /nfs/dbraw/zinc/48/40/83/383484083.db2.gz IGIAEEZGTTYJTJ-UHFFFAOYSA-N 0 0 253.298 2.902 20 5 CFBDRN CC1(CC(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)CC1 ZINC000428514976 383488346 /nfs/dbraw/zinc/48/83/46/383488346.db2.gz XSABZTRMIROOLI-UHFFFAOYSA-N 0 0 285.303 2.694 20 5 CFBDRN O=C(NCc1ccc(F)c(F)c1)c1csc([N+](=O)[O-])c1 ZINC000340641109 383489038 /nfs/dbraw/zinc/48/90/38/383489038.db2.gz DUZKENFOBDKNOX-UHFFFAOYSA-N 0 0 298.270 2.865 20 5 CFBDRN Cc1cnc(N[C@@H]([C@@H](CO)C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC000450783975 383489828 /nfs/dbraw/zinc/48/98/28/383489828.db2.gz YTYROHNJRZQLQP-GXTWGEPZSA-N 0 0 291.351 2.507 20 5 CFBDRN Cc1cc(C)cc(NC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000340658197 383491315 /nfs/dbraw/zinc/49/13/15/383491315.db2.gz RFOPOMKVZDBXLA-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCc1ccc(F)cn1 ZINC000488083553 383494067 /nfs/dbraw/zinc/49/40/67/383494067.db2.gz FPEOTXIRMMKFES-UHFFFAOYSA-N 0 0 298.277 2.711 20 5 CFBDRN CC(C)C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000569914831 383550047 /nfs/dbraw/zinc/55/00/47/383550047.db2.gz PTCRPOJUHWUIAK-DGCLKSJQSA-N 0 0 279.340 2.995 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@@H]1CC[C@@H](C)C1 ZINC000340867594 383497326 /nfs/dbraw/zinc/49/73/26/383497326.db2.gz LFTWVMIQBFQDRP-MWLCHTKSSA-N 0 0 266.345 2.744 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H]2C[C@H](O)CC[C@H]2C1 ZINC000450809846 383498226 /nfs/dbraw/zinc/49/82/26/383498226.db2.gz XKCOKQOWMTYHSN-FRRDWIJNSA-N 0 0 276.336 2.582 20 5 CFBDRN COc1cccc(N[C@H]2CC[C@H](F)C2)c1[N+](=O)[O-] ZINC000340913540 383501955 /nfs/dbraw/zinc/50/19/55/383501955.db2.gz MQVBNMOJHJSJSD-IUCAKERBSA-N 0 0 254.261 2.906 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC[C@H](CO)C2)c(Cl)c1 ZINC000340954968 383509755 /nfs/dbraw/zinc/50/97/55/383509755.db2.gz AFEALRSDZMRUNL-UWVGGRQHSA-N 0 0 299.758 2.854 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(N)c1F)[C@@H](CO)CC(C)(C)C ZINC000450832934 383510897 /nfs/dbraw/zinc/51/08/97/383510897.db2.gz JHRULKAGYSMUMP-SECBINFHSA-N 0 0 299.346 2.549 20 5 CFBDRN COc1cc(N(C)[C@@H](CO)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000450834752 383512340 /nfs/dbraw/zinc/51/23/40/383512340.db2.gz MJUADIGNCTWNTK-GFCCVEGCSA-N 0 0 296.367 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)Cc2cnn(C)c2)c1 ZINC000450849341 383520262 /nfs/dbraw/zinc/52/02/62/383520262.db2.gz YSFMMYVXCNLQKI-LLVKDONJSA-N 0 0 274.324 2.680 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2C[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000450923272 383551715 /nfs/dbraw/zinc/55/17/15/383551715.db2.gz CEOPSCIYTXLBQQ-IUCAKERBSA-N 0 0 265.288 2.774 20 5 CFBDRN COc1cccc(N2CCC[C@@]3(CCOC3)C2)c1[N+](=O)[O-] ZINC000450882676 383533792 /nfs/dbraw/zinc/53/37/92/383533792.db2.gz OEBKNXWTJCLGIL-OAHLLOKOSA-N 0 0 292.335 2.610 20 5 CFBDRN CN(C)c1ccccc1CN(C)c1ccncc1[N+](=O)[O-] ZINC000341040607 383540431 /nfs/dbraw/zinc/54/04/31/383540431.db2.gz JHRNZAYNIBCKRR-UHFFFAOYSA-N 0 0 286.335 2.692 20 5 CFBDRN CCc1nc(C)c(Cn2cc([N+](=O)[O-])c(C3CC3)n2)o1 ZINC000450902499 383543520 /nfs/dbraw/zinc/54/35/20/383543520.db2.gz KXWVLXWCJIYBLN-UHFFFAOYSA-N 0 0 276.296 2.576 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Cn1cnc2c1CCCC2 ZINC000450980976 383576916 /nfs/dbraw/zinc/57/69/16/383576916.db2.gz VXKYJBHTWDTPAT-UHFFFAOYSA-N 0 0 287.319 2.727 20 5 CFBDRN CS[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000451320789 383589720 /nfs/dbraw/zinc/58/97/20/383589720.db2.gz MDIHVSPILMVAHY-SECBINFHSA-N 0 0 270.329 2.671 20 5 CFBDRN COc1cccc(N2CC[C@@H](c3cccnc3)C2)c1[N+](=O)[O-] ZINC000570326795 383594279 /nfs/dbraw/zinc/59/42/79/383594279.db2.gz HBQFEODXKZICBZ-CYBMUJFWSA-N 0 0 299.330 2.992 20 5 CFBDRN CC(C)n1cc(OCCOc2ccc([N+](=O)[O-])cc2)cn1 ZINC000570527687 383612681 /nfs/dbraw/zinc/61/26/81/383612681.db2.gz QWGFFGJARKFLSR-UHFFFAOYSA-N 0 0 291.307 2.830 20 5 CFBDRN CCc1nc(CNC(=O)c2ccc([N+](=O)[O-])cc2)cs1 ZINC000341069786 383553389 /nfs/dbraw/zinc/55/33/89/383553389.db2.gz PALZKJWVKTXENH-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@@H](CCCO)C1 ZINC000450954193 383565956 /nfs/dbraw/zinc/56/59/56/383565956.db2.gz MRGJSLUORTYVPT-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000570101850 383571872 /nfs/dbraw/zinc/57/18/72/383571872.db2.gz NJJDJTRGWJWHOF-NSHDSACASA-N 0 0 294.326 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2cnn(CC(F)F)c2)nc1 ZINC000431173469 383637797 /nfs/dbraw/zinc/63/77/97/383637797.db2.gz RSSFNUVERMSLPF-OWOJBTEDSA-N 0 0 280.234 2.622 20 5 CFBDRN CCc1nc(C)c(C(=O)Nc2cccc([N+](=O)[O-])c2)o1 ZINC000431267945 383642674 /nfs/dbraw/zinc/64/26/74/383642674.db2.gz ZYYQEICBPATUHN-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CO[C@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1(C)C ZINC000451550302 383643940 /nfs/dbraw/zinc/64/39/40/383643940.db2.gz IKUVXMSQJIJYNZ-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN C[C@H]1C[C@@H](CCNc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000570907845 383646246 /nfs/dbraw/zinc/64/62/46/383646246.db2.gz HSKUKZZPESFMCL-UWVGGRQHSA-N 0 0 283.303 2.746 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1Cc2ccccc2C1 ZINC000571000628 383654111 /nfs/dbraw/zinc/65/41/11/383654111.db2.gz GFONXHFGDNTNEW-UHFFFAOYSA-N 0 0 283.331 2.547 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncnc3c2ncn3C2CC2)cc1 ZINC000431018267 383623231 /nfs/dbraw/zinc/62/32/31/383623231.db2.gz FYCIWDCGKMLSAE-UHFFFAOYSA-N 0 0 297.274 2.862 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000431072816 383627073 /nfs/dbraw/zinc/62/70/73/383627073.db2.gz UHEPQPRHBQTXKR-QWHCGFSZSA-N 0 0 289.335 2.535 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCC[C@@H](F)C1 ZINC000570730657 383629349 /nfs/dbraw/zinc/62/93/49/383629349.db2.gz ZFGSMVHNTJJYAY-GFCCVEGCSA-N 0 0 252.289 2.837 20 5 CFBDRN CCCOc1ccc(CNc2ncccc2[N+](=O)[O-])cn1 ZINC000264557426 383754620 /nfs/dbraw/zinc/75/46/20/383754620.db2.gz DYTOGLWXZXFOHA-UHFFFAOYSA-N 0 0 288.307 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC[C@@H]3CCCO3)n2)cc1 ZINC000264575585 383757365 /nfs/dbraw/zinc/75/73/65/383757365.db2.gz BBOQODGODFYJGP-LBPRGKRZSA-N 0 0 289.291 2.756 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CCC[C@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000572397219 383779414 /nfs/dbraw/zinc/77/94/14/383779414.db2.gz OFAREUUXZDPTCG-BIMULSAOSA-N 0 0 280.299 2.705 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1nc(C2CC2)no1 ZINC000264587988 383759663 /nfs/dbraw/zinc/75/96/63/383759663.db2.gz IPWZQBJYGRWCOS-UHFFFAOYSA-N 0 0 274.280 2.510 20 5 CFBDRN CC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000264604198 383761717 /nfs/dbraw/zinc/76/17/17/383761717.db2.gz DQGQTFJJAAOVAF-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cc1nn(C)cc1CNc1c(C)ccc([N+](=O)[O-])c1C ZINC000453097761 383765292 /nfs/dbraw/zinc/76/52/92/383765292.db2.gz UNORZQSFGRXRHP-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccnc(C3CC3)n2)cc1 ZINC000264647590 383768131 /nfs/dbraw/zinc/76/81/31/383768131.db2.gz HRGCDIUDEBYOCI-UHFFFAOYSA-N 0 0 270.292 2.874 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1cn(C)nc1C ZINC000453111301 383770380 /nfs/dbraw/zinc/77/03/80/383770380.db2.gz DNXUBVYNRLDPMP-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000264712937 383774602 /nfs/dbraw/zinc/77/46/02/383774602.db2.gz GQIZNVYCRRXAHG-ONGXEEELSA-N 0 0 268.288 2.738 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C)(C)[C@@H]1C(C)C ZINC000431471583 383674876 /nfs/dbraw/zinc/67/48/76/383674876.db2.gz LKDFZZSFCROTNK-NSHDSACASA-N 0 0 280.372 2.990 20 5 CFBDRN CC(C)[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000265073685 383826967 /nfs/dbraw/zinc/82/69/67/383826967.db2.gz UCBOLBAYDGJAEE-MRVPVSSYSA-N 0 0 254.261 2.965 20 5 CFBDRN CCOC(=O)[C@H](C)[C@@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000436331757 383806331 /nfs/dbraw/zinc/80/63/31/383806331.db2.gz ODPHGTPKBYBTHY-GHMZBOCLSA-N 0 0 295.339 2.606 20 5 CFBDRN CNC(=O)c1ccc(N(CC(C)C)C2CC2)c([N+](=O)[O-])c1 ZINC000264953947 383806912 /nfs/dbraw/zinc/80/69/12/383806912.db2.gz XITLESIQHNSHTD-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2cc(C)ccc2F)c1[N+](=O)[O-] ZINC000436424689 383815914 /nfs/dbraw/zinc/81/59/14/383815914.db2.gz HOHXRISISIEKKS-UHFFFAOYSA-N 0 0 292.314 2.721 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1CCSCC1 ZINC000264820418 383786213 /nfs/dbraw/zinc/78/62/13/383786213.db2.gz FIMODDQBFYIOAE-UHFFFAOYSA-N 0 0 281.333 2.952 20 5 CFBDRN CC(C)n1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)n1 ZINC000264860382 383792877 /nfs/dbraw/zinc/79/28/77/383792877.db2.gz FGWVLVKDKIGGHE-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1c[nH]nc1-c1ccoc1 ZINC000436277000 383797529 /nfs/dbraw/zinc/79/75/29/383797529.db2.gz VPOOKOVGXLLDRQ-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN COC(C)(C)C(=O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000280952882 195043878 /nfs/dbraw/zinc/04/38/78/195043878.db2.gz JFTGCBWYUNWQHX-UHFFFAOYSA-N 0 0 287.699 2.621 20 5 CFBDRN Cc1c(NC(=O)c2cn(C(C)C)cn2)cccc1[N+](=O)[O-] ZINC000281022490 195072913 /nfs/dbraw/zinc/07/29/13/195072913.db2.gz AYUCEQFFCFVXAS-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN Cc1ccnc(N2CCC(OCC(C)C)CC2)c1[N+](=O)[O-] ZINC000265199404 383858236 /nfs/dbraw/zinc/85/82/36/383858236.db2.gz MNEDTGAMXCIPSH-UHFFFAOYSA-N 0 0 293.367 2.940 20 5 CFBDRN COc1ccccc1CN(C)c1nccc(C)c1[N+](=O)[O-] ZINC000265238524 383869518 /nfs/dbraw/zinc/86/95/18/383869518.db2.gz OHSXPGRJNCBWSY-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CC[C@@H]([NH2+]Cc1ccc(C(C)C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000573119530 383875802 /nfs/dbraw/zinc/87/58/02/383875802.db2.gz AMGFTZZOBMNXBL-GFCCVEGCSA-N 0 0 280.324 2.671 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C(=O)C(C)(C)C ZINC000265082619 383828660 /nfs/dbraw/zinc/82/86/60/383828660.db2.gz JCBHGZMNNZSLGD-UHFFFAOYSA-N 0 0 278.308 2.765 20 5 CFBDRN CC(C)[C@@H](CC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000437917599 383921602 /nfs/dbraw/zinc/92/16/02/383921602.db2.gz SUAFVKCBIJXCCW-SECBINFHSA-N 0 0 293.245 2.630 20 5 CFBDRN CCC(=O)CCCN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000573196491 383887486 /nfs/dbraw/zinc/88/74/86/383887486.db2.gz CLZQAESYFTUKRX-UHFFFAOYSA-N 0 0 276.336 2.712 20 5 CFBDRN CC(C)CCN(C(=O)c1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000531634990 383901603 /nfs/dbraw/zinc/90/16/03/383901603.db2.gz XFTSXADTOBOODK-UHFFFAOYSA-N 0 0 277.324 2.641 20 5 CFBDRN CC(C)C[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532137917 383982510 /nfs/dbraw/zinc/98/25/10/383982510.db2.gz YQFUDZYPEZOCOC-LLVKDONJSA-N 0 0 277.324 2.641 20 5 CFBDRN Cc1nc2cc(NC(=O)c3ccc([N+](=O)[O-])cn3)ccc2o1 ZINC000532143379 383983404 /nfs/dbraw/zinc/98/34/04/383983404.db2.gz AUKXANMUACTEAX-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN Cc1cccc(C(=O)N(C)CCCOC(C)C)c1[N+](=O)[O-] ZINC000265774442 383995518 /nfs/dbraw/zinc/99/55/18/383995518.db2.gz JVKNKYSCMFRQAA-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CC[C@H](C(=O)[O-])[C@H]1C ZINC000573809880 383995396 /nfs/dbraw/zinc/99/53/96/383995396.db2.gz RXYOBAAAXMIDLC-MDZLAQPJSA-N 0 0 292.335 2.759 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CC[C@@H](C(=O)[O-])[C@@H]1C ZINC000573809878 383995461 /nfs/dbraw/zinc/99/54/61/383995461.db2.gz RXYOBAAAXMIDLC-GMXVVIOVSA-N 0 0 292.335 2.759 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C(C)(C)C ZINC000531882702 383937481 /nfs/dbraw/zinc/93/74/81/383937481.db2.gz BMASEBMIDXRLAR-LLVKDONJSA-N 0 0 265.313 2.544 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCCCC1 ZINC000265520578 383937513 /nfs/dbraw/zinc/93/75/13/383937513.db2.gz CGOWQKHHHUEBGU-UHFFFAOYSA-N 0 0 282.727 2.803 20 5 CFBDRN C[C@H]1SCCN(c2ncc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000265537553 383941251 /nfs/dbraw/zinc/94/12/51/383941251.db2.gz VYEFGQCIKTVUBW-JGVFFNPUSA-N 0 0 287.772 2.973 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000454210626 383947314 /nfs/dbraw/zinc/94/73/14/383947314.db2.gz ZYIFBWWBHFCMNY-ZWNOBZJWSA-N 0 0 295.339 2.574 20 5 CFBDRN CC[C@@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532392784 384023385 /nfs/dbraw/zinc/02/33/85/384023385.db2.gz PWFQNTOQLDRSCD-LLVKDONJSA-N 0 0 277.324 2.785 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000532393180 384023462 /nfs/dbraw/zinc/02/34/62/384023462.db2.gz IVFAHHZCBKYQGE-LLVKDONJSA-N 0 0 277.324 2.642 20 5 CFBDRN CC(C)OCCCN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000265802249 384005004 /nfs/dbraw/zinc/00/50/04/384005004.db2.gz QSQPIPPGWKAVJK-UHFFFAOYSA-N 0 0 295.339 2.874 20 5 CFBDRN CC/C=C\CNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000454358008 384006689 /nfs/dbraw/zinc/00/66/89/384006689.db2.gz IPGYWCAXEFOQCT-WYGGZMRJSA-N 0 0 277.324 2.921 20 5 CFBDRN Cc1ccc(NCCCNc2cnccn2)c([N+](=O)[O-])c1 ZINC000265823222 384009422 /nfs/dbraw/zinc/00/94/22/384009422.db2.gz OGGUWSRYYZMCPQ-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[NH2+]C[C@](C)(O)C1CC1 ZINC000532507261 384038684 /nfs/dbraw/zinc/03/86/84/384038684.db2.gz KGNOTRGVZDUMIS-ABAIWWIYSA-N 0 0 278.352 2.715 20 5 CFBDRN CO[C@H]1CCCC[C@H]1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000266126488 384086278 /nfs/dbraw/zinc/08/62/78/384086278.db2.gz VJAUNCCPXHIPST-OCCSQVGLSA-N 0 0 292.335 2.591 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000266024208 384057514 /nfs/dbraw/zinc/05/75/14/384057514.db2.gz BBDXGZDJMUYIJH-UONOGXRCSA-N 0 0 292.335 2.591 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000266027367 384058621 /nfs/dbraw/zinc/05/86/21/384058621.db2.gz BNPLNXKQUGLVRS-UONOGXRCSA-N 0 0 292.335 2.591 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])C12CCC2 ZINC000266037220 384060256 /nfs/dbraw/zinc/06/02/56/384060256.db2.gz UBQDQMUCFQBWGZ-NWDGAFQWSA-N 0 0 277.324 2.749 20 5 CFBDRN Cc1c(CNC(=O)CCc2cccnc2)cccc1[N+](=O)[O-] ZINC000574220138 384064927 /nfs/dbraw/zinc/06/49/27/384064927.db2.gz TXRJZMRZOBZDSG-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000266078416 384072320 /nfs/dbraw/zinc/07/23/20/384072320.db2.gz LZTKQYHNHDNVML-GXTWGEPZSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266101763 384079515 /nfs/dbraw/zinc/07/95/15/384079515.db2.gz RSQLQYVYELJZBW-CQSZACIVSA-N 0 0 276.336 2.784 20 5 CFBDRN O=C(N[C@H]1C[C@H]1C1CCCCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000266151890 384096691 /nfs/dbraw/zinc/09/66/91/384096691.db2.gz KYBVBMCNDIJLJN-JQWIXIFHSA-N 0 0 277.324 2.622 20 5 CFBDRN COc1ccc(CNCc2ncc(C)s2)cc1[N+](=O)[O-] ZINC000266151648 384096756 /nfs/dbraw/zinc/09/67/56/384096756.db2.gz KOUZILOWZGJBOH-UHFFFAOYSA-N 0 0 293.348 2.658 20 5 CFBDRN Cn1cnc2cc(NC(=O)c3ccc([N+](=O)[O-])cc3)ccc21 ZINC000440549349 384101675 /nfs/dbraw/zinc/10/16/75/384101675.db2.gz VVLOTCTXOGKWBC-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1cnc(N[C@H](CCO)c2ccccc2)c([N+](=O)[O-])c1 ZINC000266176200 384104567 /nfs/dbraw/zinc/10/45/67/384104567.db2.gz ATXUUKYFHREKNI-CYBMUJFWSA-N 0 0 287.319 2.834 20 5 CFBDRN COCCCCN(C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000574502548 384106356 /nfs/dbraw/zinc/10/63/56/384106356.db2.gz CUPBEPUQAYDXMM-GFCCVEGCSA-N 0 0 294.351 2.583 20 5 CFBDRN C[C@@H]1CN(c2c([N+](=O)[O-])ncn2C)[C@H]1c1ccccc1 ZINC000266186114 384107941 /nfs/dbraw/zinc/10/79/41/384107941.db2.gz FDYYRAUWFYRVAL-ZYHUDNBSSA-N 0 0 272.308 2.526 20 5 CFBDRN C[C@H]1CN(c2c([N+](=O)[O-])ncn2C)[C@H]1c1ccccc1 ZINC000266186108 384108011 /nfs/dbraw/zinc/10/80/11/384108011.db2.gz FDYYRAUWFYRVAL-CMPLNLGQSA-N 0 0 272.308 2.526 20 5 CFBDRN Cc1ccnc(N2CCS[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000266197068 384111562 /nfs/dbraw/zinc/11/15/62/384111562.db2.gz IBVAHAJOGHQRKG-LLVKDONJSA-N 0 0 281.381 2.876 20 5 CFBDRN Cn1cccc1CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000440614156 384114761 /nfs/dbraw/zinc/11/47/61/384114761.db2.gz OCPOHVFSVOBBAN-UHFFFAOYSA-N 0 0 299.286 2.610 20 5 CFBDRN Cc1cnc(N(C)CCCOC(C)C)c([N+](=O)[O-])c1 ZINC000266209410 384115464 /nfs/dbraw/zinc/11/54/64/384115464.db2.gz MGNBIIVCRLJQKL-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN Cc1nccn1CCCNc1c(C)cccc1[N+](=O)[O-] ZINC000266275186 384133357 /nfs/dbraw/zinc/13/33/57/384133357.db2.gz RWVDUXKHOXZWJW-UHFFFAOYSA-N 0 0 274.324 2.910 20 5 CFBDRN CC/C=C/CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000455083292 384134909 /nfs/dbraw/zinc/13/49/09/384134909.db2.gz LPMUVNJPWCHLTJ-SNAWJCMRSA-N 0 0 263.297 2.991 20 5 CFBDRN CC(C)(CO)CCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000266237640 384125516 /nfs/dbraw/zinc/12/55/16/384125516.db2.gz KRDMQRGFCFFQRW-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2[C@@H]3CCC[C@@H]32)nc2sccn21 ZINC000486468424 285079267 /nfs/dbraw/zinc/07/92/67/285079267.db2.gz KSWUVEBKMMJIRX-RNLVFQAGSA-N 0 0 264.310 2.514 20 5 CFBDRN COc1ccc(NC(=O)N2CC[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000455097525 384140894 /nfs/dbraw/zinc/14/08/94/384140894.db2.gz IBSZPIBGXFVDCN-NSHDSACASA-N 0 0 293.323 2.866 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000266306665 384142109 /nfs/dbraw/zinc/14/21/09/384142109.db2.gz ZKBSRGBKNRPBFA-CABZTGNLSA-N 0 0 278.308 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)CC2CCCC2)cc1 ZINC000266387231 384163585 /nfs/dbraw/zinc/16/35/85/384163585.db2.gz ZBJFGPZJCQCMBR-UHFFFAOYSA-N 0 0 269.322 2.559 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000574949177 384166699 /nfs/dbraw/zinc/16/66/99/384166699.db2.gz MEIMVDZLTSFACE-ZJUUUORDSA-N 0 0 275.308 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(C2)CCOCC3)cc1 ZINC000266457984 384178846 /nfs/dbraw/zinc/17/88/46/384178846.db2.gz LJZSXZZFSVXFPX-UHFFFAOYSA-N 0 0 262.309 2.602 20 5 CFBDRN O=C(NCCCC(F)(F)F)c1ccc([N+](=O)[O-])s1 ZINC000266529111 384193134 /nfs/dbraw/zinc/19/31/34/384193134.db2.gz JZCVBBJCAUUZCX-UHFFFAOYSA-N 0 0 282.243 2.729 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2OC)C1 ZINC000277164445 384219340 /nfs/dbraw/zinc/21/93/40/384219340.db2.gz XSKLUGHWLAANCE-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cn1)[C@@H](CCO)c1ccccc1 ZINC000266854799 384246702 /nfs/dbraw/zinc/24/67/02/384246702.db2.gz MLTCHJUGTTYQLU-AWEZNQCLSA-N 0 0 287.319 2.550 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC[C@@H]2C2CC2)s1 ZINC000450650302 285099600 /nfs/dbraw/zinc/09/96/00/285099600.db2.gz ZELMWGADPVISAH-SECBINFHSA-N 0 0 276.321 2.588 20 5 CFBDRN CC[C@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000266933035 384264703 /nfs/dbraw/zinc/26/47/03/384264703.db2.gz UEIZFORYRCNZHP-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ccc(NC(=O)CC(C)(F)F)cc1[N+](=O)[O-] ZINC000277336009 384270781 /nfs/dbraw/zinc/27/07/81/384270781.db2.gz YXIXNJKWLDPLGQ-UHFFFAOYSA-N 0 0 258.224 2.887 20 5 CFBDRN CC(C)(C)[C@@H](C[NH2+]Cc1cccc([N+](=O)[O-])c1)CC(=O)[O-] ZINC000277332915 384271234 /nfs/dbraw/zinc/27/12/34/384271234.db2.gz OKAZRNZJDONVFG-GFCCVEGCSA-N 0 0 294.351 2.821 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H](O)CC(C)(C)C ZINC000266983173 384273013 /nfs/dbraw/zinc/27/30/13/384273013.db2.gz AFGIEZOYCNDAMM-ZDUSSCGKSA-N 0 0 296.367 2.950 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2CC[C@@H](F)C2)s1 ZINC000340850281 285100930 /nfs/dbraw/zinc/10/09/30/285100930.db2.gz MIZMOVGZKHPRBL-RNFRBKRXSA-N 0 0 282.300 2.902 20 5 CFBDRN O=[N+]([O-])c1ncn(Cc2nc(C3CCCCC3)cs2)n1 ZINC000277493918 384318549 /nfs/dbraw/zinc/31/85/49/384318549.db2.gz CYEPQZYFUATZOE-UHFFFAOYSA-N 0 0 293.352 2.739 20 5 CFBDRN CCc1cnc(CN(C)c2ncc(C)cc2[N+](=O)[O-])s1 ZINC000267011741 384278780 /nfs/dbraw/zinc/27/87/80/384278780.db2.gz GWSIDNPNXSUUIK-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN C[C@@H](O)[C@@H](C)SCc1ccc([N+](=O)[O-])cc1F ZINC000267080837 384295462 /nfs/dbraw/zinc/29/54/62/384295462.db2.gz VENLQGPOXDGRMS-HTQZYQBOSA-N 0 0 259.302 2.736 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000267268432 384341761 /nfs/dbraw/zinc/34/17/61/384341761.db2.gz PNNYBSJOUTYHOA-LLVKDONJSA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1cnc(NC[C@H]2CCO[C@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000267271174 384342551 /nfs/dbraw/zinc/34/25/51/384342551.db2.gz ZQAMUIMUZRKVFT-YPMHNXCESA-N 0 0 279.340 2.771 20 5 CFBDRN CC[C@@H]1OCCC[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000277591872 384347994 /nfs/dbraw/zinc/34/79/94/384347994.db2.gz HRYZMVVUGSBJKW-KBPBESRZSA-N 0 0 292.335 2.763 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@@H](C)C1(C)CC1 ZINC000277670257 384369791 /nfs/dbraw/zinc/36/97/91/384369791.db2.gz XJUHODNCARJGBQ-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)NC1CC(F)(F)C1 ZINC000277672550 384370426 /nfs/dbraw/zinc/37/04/26/384370426.db2.gz PAFXSNMQPCLDFE-UHFFFAOYSA-N 0 0 271.223 2.514 20 5 CFBDRN CCOc1cc(Cn2ccc(C)cc2=O)ccc1[N+](=O)[O-] ZINC000277750764 384395130 /nfs/dbraw/zinc/39/51/30/384395130.db2.gz JFNIOWAJZWPSRU-UHFFFAOYSA-N 0 0 288.303 2.512 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H]2CCCC[C@H]2CC)c1[N+](=O)[O-] ZINC000277787878 384405557 /nfs/dbraw/zinc/40/55/57/384405557.db2.gz VXEDCPZEIFOASQ-MWLCHTKSSA-N 0 0 294.355 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCNC(=O)C1CCC1 ZINC000267614628 384426624 /nfs/dbraw/zinc/42/66/24/384426624.db2.gz HETRZNZFGXHING-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN C[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000267605253 384422933 /nfs/dbraw/zinc/42/29/33/384422933.db2.gz FYMHJPPYFWBXMY-SNVBAGLBSA-N 0 0 291.307 2.540 20 5 CFBDRN Nc1ccc(C(=O)NC2CCC3(CC3)CC2)cc1[N+](=O)[O-] ZINC000277703465 384379792 /nfs/dbraw/zinc/37/97/92/384379792.db2.gz WPFRRWDHOISSJK-UHFFFAOYSA-N 0 0 289.335 2.630 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H]1C=C[C@H](CO)C1 ZINC000277709864 384381598 /nfs/dbraw/zinc/38/15/98/384381598.db2.gz DZCJRPLFGCGDCD-VHSXEESVSA-N 0 0 282.727 2.906 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(N3CCC[C@H](F)C3)n2)cc1 ZINC000357681593 285106873 /nfs/dbraw/zinc/10/68/73/285106873.db2.gz PZXDZAWSFKKMQA-JTQLQIEISA-N 0 0 292.270 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc(C(C)(C)C)n2)c1 ZINC000277968327 384451485 /nfs/dbraw/zinc/45/14/85/384451485.db2.gz SJIIZMGUJMWEPH-UHFFFAOYSA-N 0 0 291.307 2.875 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000267629582 384427603 /nfs/dbraw/zinc/42/76/03/384427603.db2.gz JKGLJTDIHGRKLH-PHIMTYICSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1cc(OCC(=O)C2CCC2)c(F)cc1[N+](=O)[O-] ZINC000277889625 384434534 /nfs/dbraw/zinc/43/45/34/384434534.db2.gz DFFZCMUJDYKXAJ-UHFFFAOYSA-N 0 0 267.256 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC1CC(F)(F)C1)CCN2 ZINC000278013282 384460925 /nfs/dbraw/zinc/46/09/25/384460925.db2.gz OJAYADKRGUHWIM-UHFFFAOYSA-N 0 0 269.251 2.772 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CCCOC(C)C ZINC000278076706 384470930 /nfs/dbraw/zinc/47/09/30/384470930.db2.gz WPLZUISVWSIQIB-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3ccc(O)cc3C2)cc1 ZINC000351964903 285114511 /nfs/dbraw/zinc/11/45/11/285114511.db2.gz PNFGXSGFLUKUPE-UHFFFAOYSA-N 0 0 284.315 2.859 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCCc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000267756493 384459442 /nfs/dbraw/zinc/45/94/42/384459442.db2.gz PUHHAKKJCVOYDF-VXGBXAGGSA-N 0 0 291.351 2.577 20 5 CFBDRN COC(C)(C)CC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000278245382 384507358 /nfs/dbraw/zinc/50/73/58/384507358.db2.gz LPGUMRZFMBMUAX-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN CCOCCCc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278181748 384494253 /nfs/dbraw/zinc/49/42/53/384494253.db2.gz OJVSTEUASOYPJR-UHFFFAOYSA-N 0 0 283.309 2.675 20 5 CFBDRN Cc1ncc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)s1 ZINC000354216588 220312433 /nfs/dbraw/zinc/31/24/33/220312433.db2.gz DLNOEQOEGXUWBA-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc(C[C@H]2CCCO2)no1 ZINC000268115985 384534790 /nfs/dbraw/zinc/53/47/90/384534790.db2.gz GKBZLNPWFIDBQI-SECBINFHSA-N 0 0 293.254 2.505 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC3(C2)CCOCC3)c1 ZINC000268031920 384513698 /nfs/dbraw/zinc/51/36/98/384513698.db2.gz LCURWKKLDFBDJD-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN C[C@@H]1CN(C(=O)CCc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000268037688 384515505 /nfs/dbraw/zinc/51/55/05/384515505.db2.gz JBRABPMPMNBDBM-VXGBXAGGSA-N 0 0 276.336 2.642 20 5 CFBDRN Cc1cc(C(=O)Nc2nc(C3CC3)c[nH]2)ccc1[N+](=O)[O-] ZINC000289379831 197320626 /nfs/dbraw/zinc/32/06/26/197320626.db2.gz OHAYPUBVEDBIGD-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN Cc1nc([C@H](C)N(C)CCc2ccccc2[N+](=O)[O-])no1 ZINC000289373022 197318069 /nfs/dbraw/zinc/31/80/69/197318069.db2.gz KJFCIAFFOCNJFV-JTQLQIEISA-N 0 0 290.323 2.522 20 5 CFBDRN COC(=O)CCSc1cc(C)ccc1[N+](=O)[O-] ZINC000268083044 384526789 /nfs/dbraw/zinc/52/67/89/384526789.db2.gz GVDSXFIZQBCQTK-UHFFFAOYSA-N 0 0 255.295 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCOCC3)CC2)cc1 ZINC000286142732 285122171 /nfs/dbraw/zinc/12/21/71/285122171.db2.gz AMRMCOCSASYZCC-UHFFFAOYSA-N 0 0 276.336 2.992 20 5 CFBDRN COc1cc(NC[C@H]2CCCC[C@H]2O)c([N+](=O)[O-])cc1F ZINC000268168024 384552979 /nfs/dbraw/zinc/55/29/79/384552979.db2.gz IKJKRIWOKZQRLF-NOZJJQNGSA-N 0 0 298.314 2.706 20 5 CFBDRN CC1(C)CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CCS1 ZINC000278404836 384560625 /nfs/dbraw/zinc/56/06/25/384560625.db2.gz GZBQHHGHCOVJFN-UHFFFAOYSA-N 0 0 292.364 2.803 20 5 CFBDRN CC[C@H]1CCC[C@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000268222085 384571789 /nfs/dbraw/zinc/57/17/89/384571789.db2.gz KCSRPMXGBQRSLQ-GXSJLCMTSA-N 0 0 277.324 2.684 20 5 CFBDRN COCC1CCN(c2cc(OC)c(F)cc2[N+](=O)[O-])CC1 ZINC000268254177 384581727 /nfs/dbraw/zinc/58/17/27/384581727.db2.gz XOMMUGPSNDLTIZ-UHFFFAOYSA-N 0 0 298.314 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC3(CCC3)C2)c2ccncc21 ZINC000301898628 285126272 /nfs/dbraw/zinc/12/62/72/285126272.db2.gz SIBWFYQRCCEECU-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN C/C=C(\C)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268271683 384586976 /nfs/dbraw/zinc/58/69/76/384586976.db2.gz ULLWKJVRUFKMFG-FPYGCLRLSA-N 0 0 268.700 2.831 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@@H]3OCC[C@H]32)ccc1[N+](=O)[O-] ZINC000268361115 384613880 /nfs/dbraw/zinc/61/38/80/384613880.db2.gz CLTURQUFLCXREO-SCRDCRAPSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)C[C@H](C2CC2)O1 ZINC000279382851 384619229 /nfs/dbraw/zinc/61/92/29/384619229.db2.gz SXBVOTRRNDVWMF-ZUZCIYMTSA-N 0 0 294.326 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](F)[C@@H](F)C2)c2ccncc21 ZINC000294891356 285133157 /nfs/dbraw/zinc/13/31/57/285133157.db2.gz CYCDMHWVMIPFSC-PHIMTYICSA-N 0 0 279.246 2.639 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3C[C@H]2CS3)c2ccncc21 ZINC000413451349 285133577 /nfs/dbraw/zinc/13/35/77/285133577.db2.gz IIAHLEQOFGCGFE-UWVGGRQHSA-N 0 0 287.344 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3CC[C@@H](C2)O3)c2cccnc21 ZINC000413181193 285134288 /nfs/dbraw/zinc/13/42/88/285134288.db2.gz ZUISOFPJJFHVNG-PHIMTYICSA-N 0 0 285.303 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccc(F)c(F)c3C2)cn1 ZINC000362992265 285132049 /nfs/dbraw/zinc/13/20/49/285132049.db2.gz IVARJTIWMVSDQO-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000279663338 384713941 /nfs/dbraw/zinc/71/39/41/384713941.db2.gz CTSLCXTYKSXBSY-CMPLNLGQSA-N 0 0 284.381 2.782 20 5 CFBDRN CCC1CCN(C(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])CC1 ZINC000269312164 384747897 /nfs/dbraw/zinc/74/78/97/384747897.db2.gz ALQWVXYYEGMCJI-UHFFFAOYSA-N 0 0 294.355 2.704 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000269538833 384782284 /nfs/dbraw/zinc/78/22/84/384782284.db2.gz JLNHCJLZMWEBFP-JTQLQIEISA-N 0 0 272.301 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=N[C@@H]3CCCC(F)(F)C3)[nH]c2c1 ZINC000447691712 285139435 /nfs/dbraw/zinc/13/94/35/285139435.db2.gz UZKWLYMJOLYBGV-MRVPVSSYSA-N 0 0 296.277 2.883 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCC1(C)CCC1 ZINC000279975805 384836719 /nfs/dbraw/zinc/83/67/19/384836719.db2.gz HVJALIPSYGOGGS-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1sc(C(=O)NCCC2(C)CC2)cc1[N+](=O)[O-] ZINC000280096085 384882158 /nfs/dbraw/zinc/88/21/58/384882158.db2.gz SGJVERWBWYVFKQ-UHFFFAOYSA-N 0 0 268.338 2.885 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC2CCC2)cc1 ZINC000280097590 384882312 /nfs/dbraw/zinc/88/23/12/384882312.db2.gz AOVMMYDHFZZQTK-GFCCVEGCSA-N 0 0 250.298 2.558 20 5 CFBDRN CC1=C(C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000280275971 384954981 /nfs/dbraw/zinc/95/49/81/384954981.db2.gz RNOIWLGNQORETD-UHFFFAOYSA-N 0 0 274.320 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(c1ccc(F)cn1)CC2 ZINC000342540433 285143321 /nfs/dbraw/zinc/14/33/21/285143321.db2.gz CPMSAAOSILFVCS-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN COc1cc(N2CCC[C@@](C)(OC)CC2)ccc1[N+](=O)[O-] ZINC000280127540 384893677 /nfs/dbraw/zinc/89/36/77/384893677.db2.gz DBBDGLGRIDOQFJ-OAHLLOKOSA-N 0 0 294.351 2.999 20 5 CFBDRN CCC(=O)c1ccc(S[C@@H](C)C(=O)OC)c([N+](=O)[O-])c1 ZINC000280124212 384893934 /nfs/dbraw/zinc/89/39/34/384893934.db2.gz XBKPPBOTULCLQF-QMMMGPOBSA-N 0 0 297.332 2.841 20 5 CFBDRN Cc1nc(S[C@H]2CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000269895181 384897476 /nfs/dbraw/zinc/89/74/76/384897476.db2.gz DIZBLAFYRFFRRA-WPRPVWTQSA-N 0 0 254.311 2.568 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC(C)(C)[C@H](O)C(C)C ZINC000269921267 384905076 /nfs/dbraw/zinc/90/50/76/384905076.db2.gz KUCOMBGXKHVSOJ-GFCCVEGCSA-N 0 0 281.356 2.753 20 5 CFBDRN Cc1cc(N[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)ncc1[N+](=O)[O-] ZINC000269951981 384916628 /nfs/dbraw/zinc/91/66/28/384916628.db2.gz RTWXGGZCMMESFT-ZLKJLUDKSA-N 0 0 289.335 2.668 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000280185719 384921112 /nfs/dbraw/zinc/92/11/12/384921112.db2.gz ZYZFGIYQGVLADC-OAHLLOKOSA-N 0 0 292.335 2.626 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000172638313 296382442 /nfs/dbraw/zinc/38/24/42/296382442.db2.gz YKTFFZJNYIWXAD-MNOVXSKESA-N 0 0 262.309 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@H]1CC[C@@H](F)C1)CCN2 ZINC000340940158 285146844 /nfs/dbraw/zinc/14/68/44/285146844.db2.gz BUGROIONISKSKE-BDAKNGLRSA-N 0 0 265.288 2.865 20 5 CFBDRN CC(C)CC[C@H](O)COc1ccccc1[N+](=O)[O-] ZINC000280371181 384993989 /nfs/dbraw/zinc/99/39/89/384993989.db2.gz UUSQITBEVIXTKU-NSHDSACASA-N 0 0 253.298 2.771 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2CCC[C@@H]2F)c1 ZINC000450545566 285146735 /nfs/dbraw/zinc/14/67/35/285146735.db2.gz YSNNRXORZCULHE-QWHCGFSZSA-N 0 0 275.283 2.867 20 5 CFBDRN Cc1ccc(NCCc2csc(N)n2)c([N+](=O)[O-])c1 ZINC000289225529 197267917 /nfs/dbraw/zinc/26/79/17/197267917.db2.gz XPHICACVHCGWEM-UHFFFAOYSA-N 0 0 278.337 2.597 20 5 CFBDRN O=c1[nH]c(N[C@@H]2CC=CCC2)nc2ccc([N+](=O)[O-])cc21 ZINC000286027658 285151376 /nfs/dbraw/zinc/15/13/76/285151376.db2.gz AVBKDKKUZWVKEU-SECBINFHSA-N 0 0 286.291 2.764 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000280591606 385072558 /nfs/dbraw/zinc/07/25/58/385072558.db2.gz QCMCERUOUIEYSN-JTQLQIEISA-N 0 0 294.355 2.525 20 5 CFBDRN COC[C@H](Nc1ncc([N+](=O)[O-])cc1F)c1ccco1 ZINC000413180690 533101889 /nfs/dbraw/zinc/10/18/89/533101889.db2.gz PIRPRPQDMXTAJK-JTQLQIEISA-N 0 0 281.243 2.522 20 5 CFBDRN CCC[C@H]1CN(c2ccc([N+](=O)[O-])cc2COC)CCO1 ZINC000270298116 385045991 /nfs/dbraw/zinc/04/59/91/385045991.db2.gz WDPBJTLOXNZCGG-AWEZNQCLSA-N 0 0 294.351 2.747 20 5 CFBDRN CCC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2COC)CCO1 ZINC000270298119 385046246 /nfs/dbraw/zinc/04/62/46/385046246.db2.gz WDPBJTLOXNZCGG-CQSZACIVSA-N 0 0 294.351 2.747 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1NCCF ZINC000280685970 385112549 /nfs/dbraw/zinc/11/25/49/385112549.db2.gz FDFWAQUNMRKKRI-UHFFFAOYSA-N 0 0 263.066 2.739 20 5 CFBDRN O=c1[nH]c(N[C@H]2CCC[C@H]2F)nc2ccc([N+](=O)[O-])cc12 ZINC000338842724 285151756 /nfs/dbraw/zinc/15/17/56/285151756.db2.gz KXFSOYHBFRBTJO-KOLCDFICSA-N 0 0 292.270 2.546 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1C[C@H](c2ccccc2F)C1 ZINC000280614867 385085444 /nfs/dbraw/zinc/08/54/44/385085444.db2.gz QXFDLPHAFLZAHB-MGCOHNPYSA-N 0 0 290.298 2.826 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@](C)(OC)C1 ZINC000280617016 385085957 /nfs/dbraw/zinc/08/59/57/385085957.db2.gz YRYHFZBSBMXZIF-HNNXBMFYSA-N 0 0 294.351 2.747 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H](c3cccc(F)c3)C2)nc1 ZINC000280776331 385148923 /nfs/dbraw/zinc/14/89/23/385148923.db2.gz OWHJKZXAMNKRCJ-UMSPYCQHSA-N 0 0 288.282 2.882 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)N1CCCCCC1 ZINC000270579931 385153158 /nfs/dbraw/zinc/15/31/58/385153158.db2.gz WQCDVLMVYJSMJL-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCC1CC1 ZINC000282097725 385212380 /nfs/dbraw/zinc/21/23/80/385212380.db2.gz YYPCIKOLKJYACR-UHFFFAOYSA-N 0 0 279.296 2.525 20 5 CFBDRN CC(C)(C)[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000270797470 385229359 /nfs/dbraw/zinc/22/93/59/385229359.db2.gz SWNOTPQQSQPDHY-ZYHUDNBSSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1nc(N[C@@H](C)c2nnc(C(C)C)[nH]2)ccc1[N+](=O)[O-] ZINC000270726194 385205690 /nfs/dbraw/zinc/20/56/90/385205690.db2.gz POYLEQXQYGYYSE-VIFPVBQESA-N 0 0 290.327 2.713 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)C[C@@H](C2CC2)O1 ZINC000282470244 385321142 /nfs/dbraw/zinc/32/11/42/385321142.db2.gz KQLPTNMIYVRLEG-BMIGLBTASA-N 0 0 294.326 2.733 20 5 CFBDRN C/C=C(\C)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000282256855 385263177 /nfs/dbraw/zinc/26/31/77/385263177.db2.gz JGTLWXYVCPVFRI-QPJJXVBHSA-N 0 0 252.245 2.947 20 5 CFBDRN COCC1(CNC(=O)Nc2cccc([N+](=O)[O-])c2)CCC1 ZINC000282786211 385388745 /nfs/dbraw/zinc/38/87/45/385388745.db2.gz IXZNGWXVPRZGKO-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000282527007 385334320 /nfs/dbraw/zinc/33/43/20/385334320.db2.gz LLMOMGSSTRXVDJ-OPQQBVKSSA-N 0 0 278.308 2.655 20 5 CFBDRN C[C@@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000282525077 385334654 /nfs/dbraw/zinc/33/46/54/385334654.db2.gz GSNLWTVLNPHCBQ-LLVKDONJSA-N 0 0 291.351 2.577 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H]1c1cc[nH]n1 ZINC000278749758 285162712 /nfs/dbraw/zinc/16/27/12/285162712.db2.gz RWFAHEILMIWEIX-NSHDSACASA-N 0 0 258.281 2.659 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000282607131 385351940 /nfs/dbraw/zinc/35/19/40/385351940.db2.gz JBROGBYEARYWEY-IUCAKERBSA-N 0 0 299.710 2.973 20 5 CFBDRN CSCC(C)(C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000282612712 385352742 /nfs/dbraw/zinc/35/27/42/385352742.db2.gz RENUOHWSSVWDFA-UHFFFAOYSA-N 0 0 296.392 2.942 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CCSC1CCCCC1 ZINC000282610470 385353371 /nfs/dbraw/zinc/35/33/71/385353371.db2.gz QZMVHNHTOAQTDU-UHFFFAOYSA-N 0 0 282.365 2.822 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000282620099 385354143 /nfs/dbraw/zinc/35/41/43/385354143.db2.gz JWWDULODXGNTJF-BNDLJXMOSA-N 0 0 291.303 2.719 20 5 CFBDRN CCCN(CC)C(=O)c1cc(OCC)ccc1[N+](=O)[O-] ZINC000282693081 385371592 /nfs/dbraw/zinc/37/15/92/385371592.db2.gz RTBSGIZOGWMLDM-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000282739297 385380042 /nfs/dbraw/zinc/38/00/42/385380042.db2.gz TZDOGGMDVUPOEX-JNGJTHOISA-N 0 0 291.303 2.719 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)[C@@H]2C)c1 ZINC000282760957 385384350 /nfs/dbraw/zinc/38/43/50/385384350.db2.gz CMHIRINLWLHYAX-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cc(F)c(NC(=O)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000282505878 385328358 /nfs/dbraw/zinc/32/83/58/385328358.db2.gz SISZXTZBKQWQPP-UHFFFAOYSA-N 0 0 280.177 2.933 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CCO1 ZINC000271932950 385404452 /nfs/dbraw/zinc/40/44/52/385404452.db2.gz XAHGMYWPVANYAK-KOLCDFICSA-N 0 0 289.291 2.927 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCO[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000272069344 385440425 /nfs/dbraw/zinc/44/04/25/385440425.db2.gz LCWNZRNRMRRLFK-GRYCIOLGSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H](CCO)c2ccco2)cc1F ZINC000283152729 385441252 /nfs/dbraw/zinc/44/12/52/385441252.db2.gz OMMGCHCMQBRUBI-LBPRGKRZSA-N 0 0 294.282 2.540 20 5 CFBDRN COc1cc(N[C@@H](C)[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272055080 385437312 /nfs/dbraw/zinc/43/73/12/385437312.db2.gz YGJABNXRRPXZMD-GXFFZTMASA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000283557218 385475825 /nfs/dbraw/zinc/47/58/25/385475825.db2.gz PLHDRMPIAYOTPD-OPQQBVKSSA-N 0 0 278.308 2.655 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@H]2c2ccco2)nc1 ZINC000450862113 285171856 /nfs/dbraw/zinc/17/18/56/285171856.db2.gz QKNOQCNWHLPCCT-GFCCVEGCSA-N 0 0 286.291 2.709 20 5 CFBDRN COCCC[C@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000272223806 385478747 /nfs/dbraw/zinc/47/87/47/385478747.db2.gz NXQADZMFVSVEKX-RYUDHWBXSA-N 0 0 294.351 2.835 20 5 CFBDRN CCCCN(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1C ZINC000283616872 385481580 /nfs/dbraw/zinc/48/15/80/385481580.db2.gz VVJAZUDWKKFKLT-UHFFFAOYSA-N 0 0 289.339 2.627 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)[C@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000272152031 385460527 /nfs/dbraw/zinc/46/05/27/385460527.db2.gz NTXDPYHVOALUOP-BXUZGUMPSA-N 0 0 292.335 2.591 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000272289904 385499619 /nfs/dbraw/zinc/49/96/19/385499619.db2.gz JNSWQHXTNACWEQ-UHFFFAOYSA-N 0 0 294.307 2.584 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)c1[N+](=O)[O-] ZINC000288891364 197187259 /nfs/dbraw/zinc/18/72/59/197187259.db2.gz NCOXPCVAKKTROT-IACUBPJLSA-N 0 0 298.346 2.641 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)c1[N+](=O)[O-] ZINC000288891366 197186790 /nfs/dbraw/zinc/18/67/90/197186790.db2.gz NCOXPCVAKKTROT-KWCYVHTRSA-N 0 0 298.346 2.641 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCSCC2)c(Cl)c1 ZINC000265477735 285172907 /nfs/dbraw/zinc/17/29/07/285172907.db2.gz BOVSWKJCGIFJID-UHFFFAOYSA-N 0 0 273.745 2.587 20 5 CFBDRN CCCNC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000284158107 385542421 /nfs/dbraw/zinc/54/24/21/385542421.db2.gz WGURFSZTQPRHIY-UHFFFAOYSA-N 0 0 257.677 2.780 20 5 CFBDRN COCC1(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000284029206 385525745 /nfs/dbraw/zinc/52/57/45/385525745.db2.gz OCWVOKLLSHDVAB-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCc3ccccc32)nc1 ZINC000051632613 285180776 /nfs/dbraw/zinc/18/07/76/285180776.db2.gz FIAMUHHPUFEEHF-CYBMUJFWSA-N 0 0 270.292 2.874 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272539747 385560423 /nfs/dbraw/zinc/56/04/23/385560423.db2.gz NNJNSAHSBSZMSI-SNVBAGLBSA-N 0 0 284.262 2.601 20 5 CFBDRN CN(c1ncnc2sc([N+](=O)[O-])cc21)[C@H]1CCSC1 ZINC000286115948 385561049 /nfs/dbraw/zinc/56/10/49/385561049.db2.gz DVKNVZWDGRPBNV-ZETCQYMHSA-N 0 0 296.377 2.541 20 5 CFBDRN C[C@H]1CCSCC[N@@H+]1CCOc1ccc([N+](=O)[O-])cc1 ZINC000286343184 385594076 /nfs/dbraw/zinc/59/40/76/385594076.db2.gz XMYIKAFXEHBJIT-LBPRGKRZSA-N 0 0 296.392 2.801 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1CCCOC1 ZINC000130384881 285187166 /nfs/dbraw/zinc/18/71/66/285187166.db2.gz MZZKITLWAMMZFV-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1cc(COC(=O)C(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000272738865 385601186 /nfs/dbraw/zinc/60/11/86/385601186.db2.gz MPGVSLFCNHLOCV-UHFFFAOYSA-N 0 0 279.292 2.562 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000272750134 385604532 /nfs/dbraw/zinc/60/45/32/385604532.db2.gz OAMYVBNRVKRCQZ-LBPRGKRZSA-N 0 0 281.333 2.842 20 5 CFBDRN C[N@H+](Cc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCC[C@H]1O ZINC000286266042 385580175 /nfs/dbraw/zinc/58/01/75/385580175.db2.gz BELKDHVQRGKYQF-CHWSQXEVSA-N 0 0 284.743 2.593 20 5 CFBDRN Cc1cc(N2CCOC[C@H]2CC(F)F)ccc1[N+](=O)[O-] ZINC000286309142 385586352 /nfs/dbraw/zinc/58/63/52/385586352.db2.gz HVWIZFGEVWZZLE-LLVKDONJSA-N 0 0 286.278 2.764 20 5 CFBDRN Cc1cc(NC[C@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000286312613 385587387 /nfs/dbraw/zinc/58/73/87/385587387.db2.gz JBQXPNDPBGIJIV-NWDGAFQWSA-N 0 0 264.325 2.988 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2SC2CCOCC2)s1 ZINC000286418219 385614364 /nfs/dbraw/zinc/61/43/64/385614364.db2.gz HCVNOYXPNXCWBS-UHFFFAOYSA-N 0 0 297.361 2.871 20 5 CFBDRN C[C@@H](CO)CCCNc1c(F)cccc1[N+](=O)[O-] ZINC000286435430 385619087 /nfs/dbraw/zinc/61/90/87/385619087.db2.gz IKDLRKVLGJIHIE-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN Cc1cc(COC(=O)CC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000272798634 385619965 /nfs/dbraw/zinc/61/99/65/385619965.db2.gz UQNMGXCVWROYIG-ZDUSSCGKSA-N 0 0 293.319 2.906 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000286451067 385624554 /nfs/dbraw/zinc/62/45/54/385624554.db2.gz SACGHMUUDNVBEI-QWRGUYRKSA-N 0 0 291.351 2.647 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000272829607 385626651 /nfs/dbraw/zinc/62/66/51/385626651.db2.gz QTDAEFYSSGEOKX-SECBINFHSA-N 0 0 266.297 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCOC[C@H]2C)c1 ZINC000286409011 385612769 /nfs/dbraw/zinc/61/27/69/385612769.db2.gz OVTUVDQEFNAKDT-VXGBXAGGSA-N 0 0 264.325 2.988 20 5 CFBDRN CCC1(CC)CCCN(C(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000286509872 385637387 /nfs/dbraw/zinc/63/73/87/385637387.db2.gz ODIYBQDEGUZNDB-UHFFFAOYSA-N 0 0 294.355 2.750 20 5 CFBDRN O=C([C@H]1CCCCS1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000272879941 385640476 /nfs/dbraw/zinc/64/04/76/385640476.db2.gz QLRUYKPAKZWMPJ-CYBMUJFWSA-N 0 0 292.360 2.770 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1c(C)c(C)nn1C ZINC000419007956 533137279 /nfs/dbraw/zinc/13/72/79/533137279.db2.gz YOMRFKBDDGBECM-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN CN(Cc1c(F)cc([N+](=O)[O-])cc1F)CC(F)(F)F ZINC000286547561 385645846 /nfs/dbraw/zinc/64/58/46/385645846.db2.gz UQNJCHUOJLNWDQ-UHFFFAOYSA-N 0 0 284.184 2.867 20 5 CFBDRN Cc1cc(N2CC=C(c3ccnn3C)CC2)ccc1[N+](=O)[O-] ZINC000286573583 385653803 /nfs/dbraw/zinc/65/38/03/385653803.db2.gz MEFGKBVKKQZMFR-UHFFFAOYSA-N 0 0 298.346 2.930 20 5 CFBDRN CCc1ccc([C@H](O)CNc2ncccc2[N+](=O)[O-])cc1 ZINC000286583793 385656738 /nfs/dbraw/zinc/65/67/38/385656738.db2.gz NLLVWZZQMNXSHR-CQSZACIVSA-N 0 0 287.319 2.698 20 5 CFBDRN C[C@@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000288686988 197144796 /nfs/dbraw/zinc/14/47/96/197144796.db2.gz UHEALFNGLRQWJO-MRVPVSSYSA-N 0 0 290.241 2.594 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000288683861 197144019 /nfs/dbraw/zinc/14/40/19/197144019.db2.gz AVYRRSMXALSWCE-CQSZACIVSA-N 0 0 282.315 2.723 20 5 CFBDRN O=[N+]([O-])c1cc(NCCOC2CCC2)ccc1F ZINC000288655721 197138155 /nfs/dbraw/zinc/13/81/55/197138155.db2.gz FSODNCBGTMVVTL-UHFFFAOYSA-N 0 0 254.261 2.715 20 5 CFBDRN CC(C)[C@@H]1C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000286670283 385683031 /nfs/dbraw/zinc/68/30/31/385683031.db2.gz YVOVZZHLVPWTJM-RISCZKNCSA-N 0 0 279.340 2.793 20 5 CFBDRN CN(CC1(O)CCCCCC1)c1ccc(N)cc1[N+](=O)[O-] ZINC000286758312 385703533 /nfs/dbraw/zinc/70/35/33/385703533.db2.gz SEDYOLIGVLSDIK-UHFFFAOYSA-N 0 0 293.367 2.699 20 5 CFBDRN Cc1cc(NCCC2(O)CCC2)ccc1[N+](=O)[O-] ZINC000286793026 385710227 /nfs/dbraw/zinc/71/02/27/385710227.db2.gz UDBRWHHYJKJRLO-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN O=C(NC[C@@H]1CCCSC1)c1csc([N+](=O)[O-])c1 ZINC000286828547 385718509 /nfs/dbraw/zinc/71/85/09/385718509.db2.gz OLCAJDOSYNPOSU-QMMMGPOBSA-N 0 0 286.378 2.529 20 5 CFBDRN O=C(NC(C1CCC1)C1CCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000286802149 385713079 /nfs/dbraw/zinc/71/30/79/385713079.db2.gz VBRCZJIYAGFMQD-UHFFFAOYSA-N 0 0 277.324 2.622 20 5 CFBDRN Cc1cc(CNc2ccc(C)cc2[N+](=O)[O-])n(C)n1 ZINC000286624253 385669502 /nfs/dbraw/zinc/66/95/02/385669502.db2.gz YZLYIBWSSMWJJZ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2N)CC1(C)C ZINC000274226549 385743953 /nfs/dbraw/zinc/74/39/53/385743953.db2.gz PLLPTCBRZVSJHA-SNVBAGLBSA-N 0 0 291.351 2.685 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC2(C)C)c1 ZINC000286937244 385744972 /nfs/dbraw/zinc/74/49/72/385744972.db2.gz DYLPRYWUOWWTAD-CYBMUJFWSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C1CCCN1CCCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000286953003 385749555 /nfs/dbraw/zinc/74/95/55/385749555.db2.gz MRHHMMDVUSTVKH-UHFFFAOYSA-N 0 0 297.742 2.673 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1nn(C)cc1Cl ZINC000421182996 533143810 /nfs/dbraw/zinc/14/38/10/533143810.db2.gz NFMPYSSZJRETIB-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN CC(C)C[C@@H](CO)N(C)c1cccc(F)c1[N+](=O)[O-] ZINC000274660280 385843363 /nfs/dbraw/zinc/84/33/63/385843363.db2.gz FIWARZUDXISIRF-JTQLQIEISA-N 0 0 270.304 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@H](C)C1 ZINC000274684395 385849230 /nfs/dbraw/zinc/84/92/30/385849230.db2.gz KJVJEETZYHPOJI-VIFPVBQESA-N 0 0 263.297 2.777 20 5 CFBDRN C[C@@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000288578087 197118625 /nfs/dbraw/zinc/11/86/25/197118625.db2.gz KMTJULLFGAFAGI-ZJUUUORDSA-N 0 0 294.355 2.605 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000274429151 385794966 /nfs/dbraw/zinc/79/49/66/385794966.db2.gz DRJZUZJMFCHXJB-AAOUONPWSA-N 0 0 278.308 2.536 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H](CCO)c2ccco2)c(F)c1 ZINC000274424557 385795533 /nfs/dbraw/zinc/79/55/33/385795533.db2.gz QZBLJFRFKDXJKC-ZDUSSCGKSA-N 0 0 294.282 2.540 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@H]2CCO)c1 ZINC000274983040 385906625 /nfs/dbraw/zinc/90/66/25/385906625.db2.gz CANDFAIHYKTXCH-JQWIXIFHSA-N 0 0 280.324 2.566 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000274998671 385909081 /nfs/dbraw/zinc/90/90/81/385909081.db2.gz FZWUIOYEUSLWPM-OAHLLOKOSA-N 0 0 294.351 2.592 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCC[C@@H]1CCO ZINC000275016878 385912952 /nfs/dbraw/zinc/91/29/52/385912952.db2.gz KXGPSZDHQYKTGL-GHMZBOCLSA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@H]2CCO)cc1 ZINC000275024779 385914692 /nfs/dbraw/zinc/91/46/92/385914692.db2.gz MXUQMODGYDLUSF-GWCFXTLKSA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@@H]1CCO ZINC000275048759 385920379 /nfs/dbraw/zinc/92/03/79/385920379.db2.gz SCNOIAHCSZRBEG-RISCZKNCSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@H]2CCO)c1 ZINC000275068989 385925231 /nfs/dbraw/zinc/92/52/31/385925231.db2.gz YSRDKGSPPPFERE-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN CCSc1cc(CNc2ncc([N+](=O)[O-])cn2)ccn1 ZINC000275066515 385925684 /nfs/dbraw/zinc/92/56/84/385925684.db2.gz XTJJNFPMCIEKQJ-UHFFFAOYSA-N 0 0 291.336 2.504 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(F)(F)F ZINC000288568570 197114872 /nfs/dbraw/zinc/11/48/72/197114872.db2.gz JSAIHHCVDDFESL-RXMQYKEDSA-N 0 0 296.632 2.929 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)CCC1=O ZINC000275405139 385977045 /nfs/dbraw/zinc/97/70/45/385977045.db2.gz RTUBQYNEIRQTBY-JTQLQIEISA-N 0 0 285.303 2.558 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)CC[C@H]1C ZINC000276104993 385983279 /nfs/dbraw/zinc/98/32/79/385983279.db2.gz WXRCDFPVQUPURF-NOZJJQNGSA-N 0 0 268.288 2.595 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NC[C@@H]1C[C@@H]1C ZINC000276617960 385993507 /nfs/dbraw/zinc/99/35/07/385993507.db2.gz QKDHCFXDKNLBHP-CBAPKCEASA-N 0 0 282.727 2.942 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(CC(F)F)C2CC2)cc1F ZINC000276656243 386004329 /nfs/dbraw/zinc/00/43/29/386004329.db2.gz ZSKQTLRKDHMANM-UHFFFAOYSA-N 0 0 274.242 2.963 20 5 CFBDRN Cc1cnc(N[C@@H](C(C)C)C(C)(C)O)c([N+](=O)[O-])c1 ZINC000276658670 386005994 /nfs/dbraw/zinc/00/59/94/386005994.db2.gz COGAVEYUBYJVBQ-NSHDSACASA-N 0 0 267.329 2.506 20 5 CFBDRN COc1ccc(OCCc2scnc2C)cc1[N+](=O)[O-] ZINC000276826252 386054552 /nfs/dbraw/zinc/05/45/52/386054552.db2.gz NDTFRMHHLLFJRU-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000277077595 386124040 /nfs/dbraw/zinc/12/40/40/386124040.db2.gz ARQMALWRDCKAAB-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN COc1ccc(NC(=O)CC(C)(F)F)cc1[N+](=O)[O-] ZINC000277056898 386118524 /nfs/dbraw/zinc/11/85/24/386118524.db2.gz QWCJZLYLLGUDFP-UHFFFAOYSA-N 0 0 274.223 2.587 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(CC2CC2)no1 ZINC000276864756 386064093 /nfs/dbraw/zinc/06/40/93/386064093.db2.gz GWSQGMPTRQPJBX-UHFFFAOYSA-N 0 0 275.264 2.606 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCC1=CCCOC1 ZINC000288448750 197081267 /nfs/dbraw/zinc/08/12/67/197081267.db2.gz JAWUFRJSSSCTLE-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN CCOc1cc(CN2CCO[C@H](CC)C2)ccc1[N+](=O)[O-] ZINC000276928223 386082164 /nfs/dbraw/zinc/08/21/64/386082164.db2.gz QJTVBDOLFHBFJY-CYBMUJFWSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1ccnc(N2CCS[C@H](C)CC2)c1[N+](=O)[O-] ZINC000276940849 386087193 /nfs/dbraw/zinc/08/71/93/386087193.db2.gz SFGCVXAOMDWSOR-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000277084626 386125570 /nfs/dbraw/zinc/12/55/70/386125570.db2.gz UORKEIIRYHODKJ-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN CSC(C)(C)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000288400620 197069200 /nfs/dbraw/zinc/06/92/00/197069200.db2.gz MVRAUXKNZZPXMW-UHFFFAOYSA-N 0 0 255.343 2.731 20 5 CFBDRN CC(C)(C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000288351131 197057188 /nfs/dbraw/zinc/05/71/88/197057188.db2.gz GIDNIJGUCHHPJA-UHFFFAOYSA-N 0 0 274.320 2.920 20 5 CFBDRN O=c1ccn(C[C@H]2CCCc3ccccc32)cc1[N+](=O)[O-] ZINC000130977002 285222660 /nfs/dbraw/zinc/22/26/60/285222660.db2.gz QEQKUAJNBAWUGR-CYBMUJFWSA-N 0 0 284.315 2.877 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)N1CCCCC1 ZINC000288337188 197053922 /nfs/dbraw/zinc/05/39/22/197053922.db2.gz FJJCMPOJKQBWBP-UHFFFAOYSA-N 0 0 298.726 2.640 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)CC(F)(F)F ZINC000288152222 197015176 /nfs/dbraw/zinc/01/51/76/197015176.db2.gz DIAUMMBJYVCFDC-UHFFFAOYSA-N 0 0 290.241 2.548 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCCSC2)nc2sccn21 ZINC000288185366 197021225 /nfs/dbraw/zinc/02/12/25/197021225.db2.gz FFNFXAWHPLZCSL-MRVPVSSYSA-N 0 0 298.393 2.859 20 5 CFBDRN Cc1cc[nH]c1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288145697 197013494 /nfs/dbraw/zinc/01/34/94/197013494.db2.gz CZUCHRVCCZSANK-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN Cc1nn(C(C)C)cc1CNCc1ccccc1[N+](=O)[O-] ZINC000281213527 195152485 /nfs/dbraw/zinc/15/24/85/195152485.db2.gz CPSDQORAAIFELE-UHFFFAOYSA-N 0 0 288.351 2.970 20 5 CFBDRN CC(C)=CCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000287786894 196941626 /nfs/dbraw/zinc/94/16/26/196941626.db2.gz ONIYWSRNOLGTJC-UHFFFAOYSA-N 0 0 264.310 2.978 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCC2(OC)CCC2)c1 ZINC000574916393 322711264 /nfs/dbraw/zinc/71/12/64/322711264.db2.gz NNJBTUQBGPBAOQ-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000287447249 196860780 /nfs/dbraw/zinc/86/07/80/196860780.db2.gz RKNPBVXGJYLPBQ-CQSZACIVSA-N 0 0 280.324 2.760 20 5 CFBDRN CCN(C(=O)CCOc1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000281337042 195202176 /nfs/dbraw/zinc/20/21/76/195202176.db2.gz UNBUOYKWMPJEPJ-UHFFFAOYSA-N 0 0 294.351 2.929 20 5 CFBDRN CSCCCN(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000281345687 195206017 /nfs/dbraw/zinc/20/60/17/195206017.db2.gz MTCHUDBVFCHWLR-UHFFFAOYSA-N 0 0 283.353 2.812 20 5 CFBDRN COC(=O)CCSc1cc(Cl)ccc1[N+](=O)[O-] ZINC000287185986 196797753 /nfs/dbraw/zinc/79/77/53/196797753.db2.gz ZVQHKZNRSBXETF-UHFFFAOYSA-N 0 0 275.713 2.903 20 5 CFBDRN Cc1cc(N2C[C@@H]3C[C@H]2CS3)ccc1[N+](=O)[O-] ZINC000334819285 291943645 /nfs/dbraw/zinc/94/36/45/291943645.db2.gz UCEFSSZUEKZIRQ-QWRGUYRKSA-N 0 0 250.323 2.597 20 5 CFBDRN CCn1cncc1CNCc1ccc([N+](=O)[O-])cc1Cl ZINC000417988052 227374778 /nfs/dbraw/zinc/37/47/78/227374778.db2.gz GYUMGYAMCIIFBU-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN CC[C@](C)(CNc1nccc(C)c1[N+](=O)[O-])OC ZINC000322604725 270296227 /nfs/dbraw/zinc/29/62/27/270296227.db2.gz JCSZSAQDDJERLU-GFCCVEGCSA-N 0 0 253.302 2.525 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)[C@H](C)C1 ZINC000281759453 195369015 /nfs/dbraw/zinc/36/90/15/195369015.db2.gz YPRYWKZOUOCQNS-KOLCDFICSA-N 0 0 268.288 2.738 20 5 CFBDRN CSCCCN(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000281814241 195392935 /nfs/dbraw/zinc/39/29/35/195392935.db2.gz JHIFAYSFVHOCGG-UHFFFAOYSA-N 0 0 275.761 2.833 20 5 CFBDRN CSCCCN(C)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000281841065 195405066 /nfs/dbraw/zinc/40/50/66/195405066.db2.gz LILQFTQZAMISEK-UHFFFAOYSA-N 0 0 282.365 2.987 20 5 CFBDRN CCOCC1(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000281883545 195420251 /nfs/dbraw/zinc/42/02/51/195420251.db2.gz PNVRHLJBCBMUMM-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC(C)C ZINC000281936931 195441233 /nfs/dbraw/zinc/44/12/33/195441233.db2.gz LCFUYLMUYNZSQL-UHFFFAOYSA-N 0 0 267.285 2.523 20 5 CFBDRN CC1CC(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000282633347 195666065 /nfs/dbraw/zinc/66/60/65/195666065.db2.gz DLOKDQUOXPXIPO-UHFFFAOYSA-N 0 0 291.351 2.577 20 5 CFBDRN CC[C@H](C)OCC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000282503080 195629300 /nfs/dbraw/zinc/62/93/00/195629300.db2.gz ZASVCLDRMJTSGK-QMMMGPOBSA-N 0 0 284.287 2.796 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000282718763 195690404 /nfs/dbraw/zinc/69/04/04/195690404.db2.gz RMSILOVGDJSHJD-STQMWFEESA-N 0 0 279.292 2.628 20 5 CFBDRN CC(C)(C)n1ncnc1NCc1ccc([N+](=O)[O-])cc1 ZINC000282753907 195698944 /nfs/dbraw/zinc/69/89/44/195698944.db2.gz YZLHLQALYPEBLV-UHFFFAOYSA-N 0 0 275.312 2.553 20 5 CFBDRN COCCCCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000283951411 195948927 /nfs/dbraw/zinc/94/89/27/195948927.db2.gz LGCUIYNNPDHBLN-UHFFFAOYSA-N 0 0 299.302 2.590 20 5 CFBDRN CON1CCC(N(C)c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000413357049 533309448 /nfs/dbraw/zinc/30/94/48/533309448.db2.gz CTANJQJAKOGVNC-UHFFFAOYSA-N 0 0 299.758 2.710 20 5 CFBDRN C/C=C(\C)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000445208459 533431621 /nfs/dbraw/zinc/43/16/21/533431621.db2.gz KVFMLVLRRJQNHG-XVNBXDOJSA-N 0 0 260.253 2.650 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)c1cccc(O)c1 ZINC000190323728 533565563 /nfs/dbraw/zinc/56/55/63/533565563.db2.gz AJNSXBQJEUJWNY-SSDOTTSWSA-N 0 0 265.294 2.930 20 5 CFBDRN C[C@@H](CCO)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413174391 533631228 /nfs/dbraw/zinc/63/12/28/533631228.db2.gz RZNVULKJBVNFJC-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1c(F)cccc1[N+](=O)[O-] ZINC000341745163 130017025 /nfs/dbraw/zinc/01/70/25/130017025.db2.gz WMJJKEYMANOVJT-UHFFFAOYSA-N 0 0 292.314 2.739 20 5 CFBDRN C[C@@H](NC(=O)C1=CCCC1)c1ccccc1[N+](=O)[O-] ZINC000341778925 130041165 /nfs/dbraw/zinc/04/11/65/130041165.db2.gz RUCKVRCLQWSRFQ-SNVBAGLBSA-N 0 0 260.293 2.882 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000341842440 130087963 /nfs/dbraw/zinc/08/79/63/130087963.db2.gz QGOCKQIZEVHZOO-QWRGUYRKSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCC1=O ZINC000341914318 130126989 /nfs/dbraw/zinc/12/69/89/130126989.db2.gz IIHKVQXTVZIHJO-IUCAKERBSA-N 0 0 294.282 2.678 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000341942804 130150660 /nfs/dbraw/zinc/15/06/60/130150660.db2.gz FOBLWVRFQVMUBS-DGCLKSJQSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1cc(OC[C@H]2CC3(CCC3)C(=O)O2)ccc1[N+](=O)[O-] ZINC000191711721 130283995 /nfs/dbraw/zinc/28/39/95/130283995.db2.gz UNSCKALQBNTUHI-GFCCVEGCSA-N 0 0 291.303 2.768 20 5 CFBDRN C[C@]1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)C[C@H]2CC[C@@H]1O2 ZINC000191851377 130317636 /nfs/dbraw/zinc/31/76/36/130317636.db2.gz JQJODMRHQCCWJJ-LQJRIPTKSA-N 0 0 294.282 2.630 20 5 CFBDRN Cc1[nH]nc(C(F)F)c1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000355629578 130324420 /nfs/dbraw/zinc/32/44/20/130324420.db2.gz QUOPPESQPLAFJC-UHFFFAOYSA-N 0 0 296.233 2.816 20 5 CFBDRN Cc1cnc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000355837513 130346797 /nfs/dbraw/zinc/34/67/97/130346797.db2.gz VAFOQAQUXNDCJM-VIFPVBQESA-N 0 0 290.279 2.774 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC1(C)C ZINC000355917159 130352071 /nfs/dbraw/zinc/35/20/71/130352071.db2.gz RQXIHOFHUQQFJH-LBPRGKRZSA-N 0 0 276.336 2.642 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2cncs2)cc1[N+](=O)[O-] ZINC000342168455 130357257 /nfs/dbraw/zinc/35/72/57/130357257.db2.gz CGHJOLARPOAAKK-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@@H](O)CCCSCc1ccc([N+](=O)[O-])cc1 ZINC000355954380 130359466 /nfs/dbraw/zinc/35/94/66/130359466.db2.gz MDPBQFGJDFMAGY-SNVBAGLBSA-N 0 0 255.339 2.989 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCOC1 ZINC000355957362 130359664 /nfs/dbraw/zinc/35/96/64/130359664.db2.gz QSFPTKQHCFWDJV-MNOVXSKESA-N 0 0 293.323 2.531 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000356554818 130389390 /nfs/dbraw/zinc/38/93/90/130389390.db2.gz VOWLAXRPLDCQNP-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000356649923 130390920 /nfs/dbraw/zinc/39/09/20/130390920.db2.gz QBXPDBTXPOVONK-SECBINFHSA-N 0 0 291.282 2.621 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cc(C3CC3)n(C)n2)c1 ZINC000357622753 130496533 /nfs/dbraw/zinc/49/65/33/130496533.db2.gz FESDGGINKXXIPO-UHFFFAOYSA-N 0 0 272.308 2.680 20 5 CFBDRN C[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000359209875 130501440 /nfs/dbraw/zinc/50/14/40/130501440.db2.gz DSKKCUXHGZBTPQ-ZETCQYMHSA-N 0 0 291.229 2.915 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1[C@H](C)C1(F)F)c1ccccc1[N+](=O)[O-] ZINC000359373844 130511664 /nfs/dbraw/zinc/51/16/64/130511664.db2.gz WQNVUSGMJWHFTG-LAEOZQHASA-N 0 0 284.262 2.673 20 5 CFBDRN Cc1cc(NC[C@@H](C)O)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000359843967 130552236 /nfs/dbraw/zinc/55/22/36/130552236.db2.gz RMFBGGNXYOJXIZ-SSDOTTSWSA-N 0 0 278.230 2.715 20 5 CFBDRN C=Cc1ccc(CCNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000359967045 130568769 /nfs/dbraw/zinc/56/87/69/130568769.db2.gz UTCDNZXMDFFDQD-UHFFFAOYSA-N 0 0 272.308 2.626 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCOCCC(C)C ZINC000194495053 130698575 /nfs/dbraw/zinc/69/85/75/130698575.db2.gz SVXSDQCALQZJJU-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CSC1(CNC(=O)c2cccc(C)c2[N+](=O)[O-])CCC1 ZINC000194499824 130699473 /nfs/dbraw/zinc/69/94/73/130699473.db2.gz IYJCZIDOKUNGIM-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCO[C@H](c3ccccc3)C2)s1 ZINC000195507620 130744840 /nfs/dbraw/zinc/74/48/40/130744840.db2.gz XVWLXDYABHMCAG-NSHDSACASA-N 0 0 291.332 2.629 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])s1)C(C1CC1)C1CC1 ZINC000195511886 130745509 /nfs/dbraw/zinc/74/55/09/130745509.db2.gz DKWBOKSFUVPFJD-UHFFFAOYSA-N 0 0 253.327 2.676 20 5 CFBDRN CC1(C)C[C@H](Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCO1 ZINC000216073763 130757568 /nfs/dbraw/zinc/75/75/68/130757568.db2.gz KRDNFWOUGAPPPL-SECBINFHSA-N 0 0 290.323 2.841 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1nccc(C)c1[N+](=O)[O-] ZINC000227116524 130837529 /nfs/dbraw/zinc/83/75/29/130837529.db2.gz ZOAUZVITPXCVMU-NSHDSACASA-N 0 0 267.329 2.507 20 5 CFBDRN COCCCCCNc1ncc([N+](=O)[O-])cc1Cl ZINC000227650738 130849123 /nfs/dbraw/zinc/84/91/23/130849123.db2.gz AXEYAHQPSAQXBH-UHFFFAOYSA-N 0 0 273.720 2.872 20 5 CFBDRN CSC1(CNc2nccc(C)c2[N+](=O)[O-])CCC1 ZINC000227987081 130858645 /nfs/dbraw/zinc/85/86/45/130858645.db2.gz DVBKCOOZFHBZTJ-UHFFFAOYSA-N 0 0 267.354 2.996 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCC[C@H](C)CO ZINC000230147660 130892662 /nfs/dbraw/zinc/89/26/62/130892662.db2.gz SDXVSPWTPXYVOK-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1cc(NC[C@H](C)c2nccs2)ncc1[N+](=O)[O-] ZINC000230605880 130904873 /nfs/dbraw/zinc/90/48/73/130904873.db2.gz JUYCRAXUGBHKSZ-VIFPVBQESA-N 0 0 278.337 2.970 20 5 CFBDRN CC(C)Cc1noc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000248187754 131111715 /nfs/dbraw/zinc/11/17/15/131111715.db2.gz IHPPKDXMDSGNBN-UHFFFAOYSA-N 0 0 277.280 2.755 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000249682188 131123151 /nfs/dbraw/zinc/12/31/51/131123151.db2.gz BZBHMCZYTZTZAV-UHFFFAOYSA-N 0 0 262.309 3.000 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N(C)C2CC2)c1 ZINC000263799804 131334173 /nfs/dbraw/zinc/33/41/73/131334173.db2.gz SIJFMKXRQAQPIX-UHFFFAOYSA-N 0 0 266.322 2.551 20 5 CFBDRN C[C@H]1CCN(C(=O)CCCNc2ccc([N+](=O)[O-])cc2)C1 ZINC000263822257 131339497 /nfs/dbraw/zinc/33/94/97/131339497.db2.gz RBLBCOLCQVKWFP-LBPRGKRZSA-N 0 0 291.351 2.655 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000264320333 131432314 /nfs/dbraw/zinc/43/23/14/131432314.db2.gz PGIMQUSHKNFQPJ-YPMHNXCESA-N 0 0 291.351 2.925 20 5 CFBDRN CC1(CNC(=O)COc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000264611039 131476789 /nfs/dbraw/zinc/47/67/89/131476789.db2.gz GKNQIYQALFZKHQ-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CN(C)C(=O)C1(CNc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000264903751 131520148 /nfs/dbraw/zinc/52/01/48/131520148.db2.gz CJDHMGWLTSMTBK-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CCOCCCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000264965335 131530059 /nfs/dbraw/zinc/53/00/59/131530059.db2.gz AELIPBSJLRUSFG-UHFFFAOYSA-N 0 0 277.280 2.614 20 5 CFBDRN CN(C)C(=O)[C@H]1CCC[C@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000265101085 131547386 /nfs/dbraw/zinc/54/73/86/131547386.db2.gz DVSPFHWORXQYDE-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN CC(C)OCCCN(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000265749907 131631340 /nfs/dbraw/zinc/63/13/40/131631340.db2.gz FBZULHBZTDWMBW-UHFFFAOYSA-N 0 0 295.339 2.874 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])C12CCC2 ZINC000266037223 131673863 /nfs/dbraw/zinc/67/38/63/131673863.db2.gz UBQDQMUCFQBWGZ-RYUDHWBXSA-N 0 0 277.324 2.749 20 5 CFBDRN CC[C@H](OC)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000267673868 131901720 /nfs/dbraw/zinc/90/17/20/131901720.db2.gz AJGSMBZSKWJFST-GWCFXTLKSA-N 0 0 280.324 2.505 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@H]2CCCOC2)c1 ZINC000268043618 131950295 /nfs/dbraw/zinc/95/02/95/131950295.db2.gz PKPMHSWENFPYNP-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CN(C(=O)c1ccc(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000268227749 131981479 /nfs/dbraw/zinc/98/14/79/131981479.db2.gz XTTAJURLAULQLN-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN COCCC1(CNc2ccc([N+](=O)[O-])cn2)CCCC1 ZINC000268501701 132018327 /nfs/dbraw/zinc/01/83/27/132018327.db2.gz QDYDNMJHKGAJKF-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1ccccc1[N+](=O)[O-] ZINC000268500150 132018771 /nfs/dbraw/zinc/01/87/71/132018771.db2.gz HNRXUBJPXAUUMJ-AAEUAGOBSA-N 0 0 264.325 2.594 20 5 CFBDRN Cc1nc(NCC[C@@H](O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000268512169 132019921 /nfs/dbraw/zinc/01/99/21/132019921.db2.gz SEMICKGHMFBUHV-CQSZACIVSA-N 0 0 287.319 2.834 20 5 CFBDRN COCCC1(CNc2ncc(C)cc2[N+](=O)[O-])CCC1 ZINC000268513634 132020248 /nfs/dbraw/zinc/02/02/48/132020248.db2.gz SMLIPLCHBHNCIQ-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CCc1c[nH]c(Sc2cc(C)c([N+](=O)[O-])cn2)n1 ZINC000268548943 132025897 /nfs/dbraw/zinc/02/58/97/132025897.db2.gz MFOVVAXWFFASHR-UHFFFAOYSA-N 0 0 264.310 2.735 20 5 CFBDRN Cc1nn(C)c(Oc2ccc(F)c(C)c2)c1[N+](=O)[O-] ZINC000268953780 132093367 /nfs/dbraw/zinc/09/33/67/132093367.db2.gz JAVTXEHBTHLSBM-UHFFFAOYSA-N 0 0 265.244 2.877 20 5 CFBDRN Cc1ccnc(N(Cc2cccc([N+](=O)[O-])c2)C2CC2)n1 ZINC000269101334 132112918 /nfs/dbraw/zinc/11/29/18/132112918.db2.gz SAQAFCQMQIVEOK-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN O=C(NC1CCC1)c1cc(Br)cc([N+](=O)[O-])c1 ZINC000269327496 132155464 /nfs/dbraw/zinc/15/54/64/132155464.db2.gz QBQQLBZDRFRTTQ-UHFFFAOYSA-N 0 0 299.124 2.640 20 5 CFBDRN CCOc1cc(N(C)[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000181235847 413055091 /nfs/dbraw/zinc/05/50/91/413055091.db2.gz FQYGJLJATBGZOD-NSHDSACASA-N 0 0 282.365 2.935 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2nnc3n2CCCCC3)c1 ZINC000269392855 132164206 /nfs/dbraw/zinc/16/42/06/132164206.db2.gz VTFNEJJRXUOSSC-UHFFFAOYSA-N 0 0 287.323 2.525 20 5 CFBDRN CN(CCC1CC1)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000269441404 132171376 /nfs/dbraw/zinc/17/13/76/132171376.db2.gz BJBVGYFEVWQSHW-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000192492053 413058167 /nfs/dbraw/zinc/05/81/67/413058167.db2.gz RKOLZMSNXVKEKJ-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN CC[C@H]1CCCN(c2c([N+](=O)[O-])c(C)nn2CC)C1 ZINC000192491351 413058186 /nfs/dbraw/zinc/05/81/86/413058186.db2.gz XTUXGWWEDUNLOY-NSHDSACASA-N 0 0 266.345 2.746 20 5 CFBDRN COC[C@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000301927700 393481720 /nfs/dbraw/zinc/48/17/20/393481720.db2.gz IKJWQRNVQRNAGS-VIFPVBQESA-N 0 0 256.327 2.519 20 5 CFBDRN Cc1nc(C(=O)NCc2ccccc2[N+](=O)[O-])c(C)s1 ZINC000179143420 393451824 /nfs/dbraw/zinc/45/18/24/393451824.db2.gz VJISPUJPFQQYFL-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ncccc1Cl ZINC000179943997 393472518 /nfs/dbraw/zinc/47/25/18/393472518.db2.gz JYOMBZNJQGHGEM-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ncc([N+](=O)[O-])cn2)[C@@H]1C ZINC000040454432 393540681 /nfs/dbraw/zinc/54/06/81/393540681.db2.gz YGYMEQSYAOQVSM-FXPVBKGRSA-N 0 0 250.302 2.621 20 5 CFBDRN CCOc1cc(N2CCOC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000302113005 393488965 /nfs/dbraw/zinc/48/89/65/393488965.db2.gz BGZPNKCUBAUUFT-CQSZACIVSA-N 0 0 292.335 2.609 20 5 CFBDRN CC(C)(C)CCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000027366794 393498141 /nfs/dbraw/zinc/49/81/41/393498141.db2.gz NTOHLCSTHDHMLO-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN O=C(NCc1ccc(Cl)cn1)c1csc([N+](=O)[O-])c1 ZINC000181628566 393498275 /nfs/dbraw/zinc/49/82/75/393498275.db2.gz ZVXBOOAXXQNQAX-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN CCc1cccc(OCCn2cc([N+](=O)[O-])c(C)n2)c1 ZINC000181743817 393499954 /nfs/dbraw/zinc/49/99/54/393499954.db2.gz JGJIQGROSOKFKN-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN CCc1ccnc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)c1 ZINC000181780240 393501264 /nfs/dbraw/zinc/50/12/64/393501264.db2.gz RUEIVMCAAPLWBH-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN Cc1c(NC(=O)/C=C/c2cncc(O)c2)cccc1[N+](=O)[O-] ZINC000181775290 393501446 /nfs/dbraw/zinc/50/14/46/393501446.db2.gz COBQDDVPNACTFF-AATRIKPKSA-N 0 0 299.286 2.656 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1nc2ccccc2s1 ZINC000029852934 393503870 /nfs/dbraw/zinc/50/38/70/393503870.db2.gz OMFYEWDWPFZZMQ-UHFFFAOYSA-N 0 0 289.320 2.550 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N(C)Cc1cnn(C)c1 ZINC000182596894 393511426 /nfs/dbraw/zinc/51/14/26/393511426.db2.gz ILZIPQRKIPEZQN-LBPRGKRZSA-N 0 0 288.351 2.830 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(C)c(C)n2)c1[N+](=O)[O-] ZINC000314220067 393525710 /nfs/dbraw/zinc/52/57/10/393525710.db2.gz UQQVLPISHKYWCE-UHFFFAOYSA-N 0 0 289.339 2.515 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1F)N1CCCCC1 ZINC000185154001 393531786 /nfs/dbraw/zinc/53/17/86/393531786.db2.gz PHHYNAJZHZZUSE-UHFFFAOYSA-N 0 0 298.339 2.839 20 5 CFBDRN C[C@@H]1CCC[C@@H](Cn2cccc([N+](=O)[O-])c2=O)C1 ZINC000185527417 393534025 /nfs/dbraw/zinc/53/40/25/393534025.db2.gz PTGJJPZABJSMDV-GHMZBOCLSA-N 0 0 250.298 2.583 20 5 CFBDRN CC(C)c1ccc(C(=O)NC2CCOCC2)cc1[N+](=O)[O-] ZINC000187354563 393553533 /nfs/dbraw/zinc/55/35/33/393553533.db2.gz XTFRGLYYGFKHPZ-UHFFFAOYSA-N 0 0 292.335 2.627 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC(C1CC1)C1CC1 ZINC000381003364 393559829 /nfs/dbraw/zinc/55/98/29/393559829.db2.gz NKCJPYNQZUDHIF-UHFFFAOYSA-N 0 0 267.716 2.666 20 5 CFBDRN Cc1cccc(NC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000044910264 393568517 /nfs/dbraw/zinc/56/85/17/393568517.db2.gz YFFDGUWQQQIICA-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN O=c1ccn(Cc2cc(F)ccc2Cl)cc1[N+](=O)[O-] ZINC000188217218 393562737 /nfs/dbraw/zinc/56/27/37/393562737.db2.gz RLRHFIBFWVYSAR-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN CC(C)(O)CN(Cc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000189901946 393575629 /nfs/dbraw/zinc/57/56/29/393575629.db2.gz UAZKXMOWFVXOIY-UHFFFAOYSA-N 0 0 298.770 2.984 20 5 CFBDRN CN(C)c1ccc(CNc2ccccc2[N+](=O)[O-])cn1 ZINC000046484155 393578267 /nfs/dbraw/zinc/57/82/67/393578267.db2.gz DQRFURGVRIFWLP-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1cc(C)nc(C)n1 ZINC000189318064 393571667 /nfs/dbraw/zinc/57/16/67/393571667.db2.gz SAUCUPRWNLPKME-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN CC[C@H](C)NC(=O)CSc1ccc([N+](=O)[O-])cc1F ZINC000189435975 393572401 /nfs/dbraw/zinc/57/24/01/393572401.db2.gz TWYIRTKJILZWBC-QMMMGPOBSA-N 0 0 286.328 2.741 20 5 CFBDRN CC(C)=CCNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000191827784 393608276 /nfs/dbraw/zinc/60/82/76/393608276.db2.gz SNDFAMZIBPVYTM-VOTSOKGWSA-N 0 0 260.293 2.690 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000048805835 393602720 /nfs/dbraw/zinc/60/27/20/393602720.db2.gz HWADPDMWXMGQRN-IUCAKERBSA-N 0 0 265.313 2.540 20 5 CFBDRN CC(C)CC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000048825381 393604661 /nfs/dbraw/zinc/60/46/61/393604661.db2.gz SVEWNNKVWUWBDM-SNVBAGLBSA-N 0 0 250.298 2.818 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1ccccc1O ZINC000048608281 393589719 /nfs/dbraw/zinc/58/97/19/393589719.db2.gz LTEBPJRCRZSJSG-UHFFFAOYSA-N 0 0 258.277 2.955 20 5 CFBDRN C[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CC1 ZINC000048911398 393608752 /nfs/dbraw/zinc/60/87/52/393608752.db2.gz HNGCGRYUGUQSDF-QMMMGPOBSA-N 0 0 273.292 2.604 20 5 CFBDRN CCCN(CCO)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000048922212 393610142 /nfs/dbraw/zinc/61/01/42/393610142.db2.gz NOXXUXOUYBOJCI-UHFFFAOYSA-N 0 0 292.257 2.822 20 5 CFBDRN CCNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000048922644 393610548 /nfs/dbraw/zinc/61/05/48/393610548.db2.gz JGMAVKSDPDLTOX-UHFFFAOYSA-N 0 0 265.313 2.535 20 5 CFBDRN CCC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000192190357 393617142 /nfs/dbraw/zinc/61/71/42/393617142.db2.gz MTJQKXGOYBKDSB-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000192705097 393630091 /nfs/dbraw/zinc/63/00/91/393630091.db2.gz UMDBOYLIKXTGFJ-GXFFZTMASA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1nc(NC(=O)[C@H](C)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000410553894 393630451 /nfs/dbraw/zinc/63/04/51/393630451.db2.gz OLNWJARCLGKSRL-ZCFIWIBFSA-N 0 0 291.229 2.825 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Nc1cc(C)nc2ccc([N+](=O)[O-])cc21 ZINC000410706427 393635741 /nfs/dbraw/zinc/63/57/41/393635741.db2.gz URSIXDMCBCZOMM-ZYHUDNBSSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1cc(NCCCOC(F)F)ccc1[N+](=O)[O-] ZINC000193615241 393658304 /nfs/dbraw/zinc/65/83/04/393658304.db2.gz AWMKWEMMKNPHQI-UHFFFAOYSA-N 0 0 260.240 2.944 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2nccs2)cc1[N+](=O)[O-] ZINC000074522711 393658999 /nfs/dbraw/zinc/65/89/99/393658999.db2.gz MDBKPAQDJPKAFX-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000411078684 393661283 /nfs/dbraw/zinc/66/12/83/393661283.db2.gz DATXGFOWMFTZPD-TZMCWYRMSA-N 0 0 292.335 2.672 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000411082193 393662179 /nfs/dbraw/zinc/66/21/79/393662179.db2.gz FPOYRKQCBHCXAL-NSHDSACASA-N 0 0 292.335 2.763 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccccc1O ZINC000075285123 393671675 /nfs/dbraw/zinc/67/16/75/393671675.db2.gz DSMQBCYKUIHKPL-JXMROGBWSA-N 0 0 284.271 2.952 20 5 CFBDRN CC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000411143579 393674172 /nfs/dbraw/zinc/67/41/72/393674172.db2.gz RCYOKSQSBAKCAD-SNVBAGLBSA-N 0 0 280.324 2.910 20 5 CFBDRN COc1ccccc1Oc1ncc([N+](=O)[O-])s1 ZINC000195505182 393742560 /nfs/dbraw/zinc/74/25/60/393742560.db2.gz CPURNWCONOFOSD-UHFFFAOYSA-N 0 0 252.251 2.852 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCc2ccccc21 ZINC000195520494 393743023 /nfs/dbraw/zinc/74/30/23/393743023.db2.gz VTBZRFCFKKTUKC-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Nc1cn[nH]c1 ZINC000085620176 393751721 /nfs/dbraw/zinc/75/17/21/393751721.db2.gz GLLAZAKNNDEQNA-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CCCC[C@@H]1O ZINC000086015544 393752668 /nfs/dbraw/zinc/75/26/68/393752668.db2.gz NNMWTKUGYHWZGC-RISCZKNCSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccncc1F ZINC000086624744 393755043 /nfs/dbraw/zinc/75/50/43/393755043.db2.gz SGMLEKDSAXCPRG-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN C[C@H]1CC[C@@]2(CCN(C(=O)c3ccc([N+](=O)[O-])n3C)C2)C1 ZINC000335708998 393692517 /nfs/dbraw/zinc/69/25/17/393692517.db2.gz XJTFZPWZRAQAHE-XHDPSFHLSA-N 0 0 291.351 2.586 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NCc1cccc(O)c1 ZINC000077290405 393696505 /nfs/dbraw/zinc/69/65/05/393696505.db2.gz VTPOREUMLDYSBN-BQYQJAHWSA-N 0 0 298.298 2.630 20 5 CFBDRN COc1cccc(C(=O)NCC2(C)CCC2)c1[N+](=O)[O-] ZINC000194612445 393708694 /nfs/dbraw/zinc/70/86/94/393708694.db2.gz SKCKJARXYXRCAK-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC1CCSCC1 ZINC000194808483 393718345 /nfs/dbraw/zinc/71/83/45/393718345.db2.gz AQTWZGJREJAFFO-AATRIKPKSA-N 0 0 292.360 2.620 20 5 CFBDRN CCN(C(=O)Cc1c(F)c(OC)ccc1[N+](=O)[O-])C(C)C ZINC000411522592 393721942 /nfs/dbraw/zinc/72/19/42/393721942.db2.gz FLPATVDDEJCRFO-UHFFFAOYSA-N 0 0 298.314 2.542 20 5 CFBDRN O=[N+]([O-])c1cn(CCCO[C@H]2CCCCO2)nc1C1CC1 ZINC000195042406 393729903 /nfs/dbraw/zinc/72/99/03/393729903.db2.gz OLGZCSYLHLKWSO-ZDUSSCGKSA-N 0 0 295.339 2.602 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])c(C2CC2)n1)C1CCCCC1 ZINC000195052581 393730003 /nfs/dbraw/zinc/73/00/03/393730003.db2.gz BHKFDNPOPKKXJP-UHFFFAOYSA-N 0 0 277.324 2.818 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2nc(C)ccc2[N+](=O)[O-])C1(C)C ZINC000223011753 393764803 /nfs/dbraw/zinc/76/48/03/393764803.db2.gz UPQPBJGGASXQHT-MNOVXSKESA-N 0 0 265.313 2.524 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H](C)c1ccncc1F ZINC000337796804 393770805 /nfs/dbraw/zinc/77/08/05/393770805.db2.gz GJUOEFYIYHQPLU-MRVPVSSYSA-N 0 0 293.302 2.827 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000089835902 393771148 /nfs/dbraw/zinc/77/11/48/393771148.db2.gz PZIZCNHOVDISIK-UHFFFAOYSA-N 0 0 270.288 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC[C@@H]3CCSC3)c2c1 ZINC000228582993 393775083 /nfs/dbraw/zinc/77/50/83/393775083.db2.gz RMUSQVBTBBQJKH-VIFPVBQESA-N 0 0 290.348 2.703 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@@](C)(O)CC1 ZINC000230373117 393779084 /nfs/dbraw/zinc/77/90/84/393779084.db2.gz KEFHDDPHORQQQW-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN CCOc1cccc(NC[C@H]2CCC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000231037533 393780481 /nfs/dbraw/zinc/78/04/81/393780481.db2.gz LHDOXRAKPXTOJW-NWDGAFQWSA-N 0 0 294.351 2.957 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccsc1 ZINC000091555637 393784350 /nfs/dbraw/zinc/78/43/50/393784350.db2.gz UEZLBILFDSJGCN-UHFFFAOYSA-N 0 0 266.326 2.793 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H](CC2CC2)C1 ZINC000338805914 393800867 /nfs/dbraw/zinc/80/08/67/393800867.db2.gz VGBBVIYIWHMVPH-GFCCVEGCSA-N 0 0 278.356 2.746 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000457986084 393817736 /nfs/dbraw/zinc/81/77/36/393817736.db2.gz FOXUIXSCNBHGHZ-NXEZZACHSA-N 0 0 294.355 2.606 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H]1C ZINC000416073193 393863593 /nfs/dbraw/zinc/86/35/93/393863593.db2.gz NHZBZFRLCBHQHL-OPRDCNLKSA-N 0 0 280.299 2.850 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000416073098 393863627 /nfs/dbraw/zinc/86/36/27/393863627.db2.gz NEFBRPRUNUREHL-DCAQKATOSA-N 0 0 292.335 2.720 20 5 CFBDRN COC(=O)c1cc(Nc2cc(C)ccc2[N+](=O)[O-])cn1C ZINC000471724392 393910303 /nfs/dbraw/zinc/91/03/03/393910303.db2.gz GYNDWDSLWWENJW-UHFFFAOYSA-N 0 0 289.291 2.772 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000343782427 393890512 /nfs/dbraw/zinc/89/05/12/393890512.db2.gz ODGUXHSNSJGBBS-ONGXEEELSA-N 0 0 257.293 2.775 20 5 CFBDRN C[C@H]1C[C@H](C)N(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000465057290 393892731 /nfs/dbraw/zinc/89/27/31/393892731.db2.gz NVLBDSDHLLLJOQ-UWVGGRQHSA-N 0 0 288.307 2.880 20 5 CFBDRN CO[C@@](C)([C@@H](C)NC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000415942194 393843096 /nfs/dbraw/zinc/84/30/96/393843096.db2.gz IPKZGVJJPKSOJN-BMIGLBTASA-N 0 0 292.335 2.528 20 5 CFBDRN Cc1ccc(C[N@H+]2CCC[C@@H](C)[C@@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000424416690 393845296 /nfs/dbraw/zinc/84/52/96/393845296.db2.gz KXALCXUAKSAUHK-BXUZGUMPSA-N 0 0 292.335 2.588 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000458096301 393845997 /nfs/dbraw/zinc/84/59/97/393845997.db2.gz INEUEXVTRGEAGQ-ZFWWWQNUSA-N 0 0 291.351 2.558 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000458116252 393850689 /nfs/dbraw/zinc/85/06/89/393850689.db2.gz SNMGRHYPOMXAMO-DZGCQCFKSA-N 0 0 292.335 2.524 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)CC(C)C ZINC000416042551 393852790 /nfs/dbraw/zinc/85/27/90/393852790.db2.gz MDGGYTZQEKRPFW-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)[C@@H]1CC1(C)C ZINC000416051051 393855393 /nfs/dbraw/zinc/85/53/93/393855393.db2.gz AODKGCAOGUARJB-DTWKUNHWSA-N 0 0 266.297 2.742 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1CC12CC2 ZINC000416056739 393856584 /nfs/dbraw/zinc/85/65/84/393856584.db2.gz ZHXDZSVTNPKVOU-SNVBAGLBSA-N 0 0 290.319 2.523 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)C[C@@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000424593494 393861567 /nfs/dbraw/zinc/86/15/67/393861567.db2.gz CPEXAKPAAIHZLJ-QWRKXWFKSA-N 0 0 266.272 2.520 20 5 CFBDRN CC[C@@H]1COCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119132317 393914786 /nfs/dbraw/zinc/91/47/86/393914786.db2.gz VKYAPEHXZGGXED-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN CCOCCCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000119168687 393916250 /nfs/dbraw/zinc/91/62/50/393916250.db2.gz PICWAAFPQPVWTP-UHFFFAOYSA-N 0 0 267.281 2.603 20 5 CFBDRN Cn1cc(Cc2nc3cc4ccccc4cc3c(=O)[nH]2)cn1 ZINC000684882874 542865760 /nfs/dbraw/zinc/86/57/60/542865760.db2.gz JVXDYABYCBXBAJ-UHFFFAOYSA-N 0 0 290.326 2.813 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000429238566 393947447 /nfs/dbraw/zinc/94/74/47/393947447.db2.gz RXDIELCGRXRLMU-FZMZJTMJSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1nn(Cc2nccn2-c2ccccc2)c(C)c1[N+](=O)[O-] ZINC000429245380 393948193 /nfs/dbraw/zinc/94/81/93/393948193.db2.gz JTKHTXAVYHQAPU-UHFFFAOYSA-N 0 0 297.318 2.642 20 5 CFBDRN Cc1cc(C(=O)NC2C[C@H](C)O[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000126129557 394020700 /nfs/dbraw/zinc/02/07/00/394020700.db2.gz USVHJBCEAFEOIU-QWRGUYRKSA-N 0 0 292.335 2.589 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000487756782 394022819 /nfs/dbraw/zinc/02/28/19/394022819.db2.gz ANUZGOPTLVOCTC-PSOPSSQASA-N 0 0 299.330 2.544 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC=C(C)CC1 ZINC000430205491 393967768 /nfs/dbraw/zinc/96/77/68/393967768.db2.gz MPAZEMZHLLRCPW-UHFFFAOYSA-N 0 0 262.309 2.755 20 5 CFBDRN CCC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)CCO1 ZINC000430203510 393968351 /nfs/dbraw/zinc/96/83/51/393968351.db2.gz OJIXPSXVVIFIHG-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CC12CCCC2 ZINC000430264792 393974717 /nfs/dbraw/zinc/97/47/17/393974717.db2.gz XYPQTHRAVFQODC-ZDUSSCGKSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@H]3OCC[C@H]32)ccc1[N+](=O)[O-] ZINC000268360203 393991964 /nfs/dbraw/zinc/99/19/64/393991964.db2.gz CGTQIDDGFNBHSH-DMDPSCGWSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@H]1COc2ccccc2CN1c1ncc([N+](=O)[O-])s1 ZINC000281953724 394100348 /nfs/dbraw/zinc/10/03/48/394100348.db2.gz QBWAQXJWYOSQPL-VIFPVBQESA-N 0 0 291.332 2.839 20 5 CFBDRN CC1=C(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)CCC1 ZINC000437015669 394043473 /nfs/dbraw/zinc/04/34/73/394043473.db2.gz DWOSGAAVRQNAOE-UHFFFAOYSA-N 0 0 261.281 2.737 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000274770803 394043710 /nfs/dbraw/zinc/04/37/10/394043710.db2.gz UJTHOCRRHHQDBO-CMPLNLGQSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1c([C@@H]2C[C@H]2Nc2ccc(F)cc2[N+](=O)[O-])cnn1C ZINC000439122518 394100813 /nfs/dbraw/zinc/10/08/13/394100813.db2.gz YUCCGCWIJKABAR-GXFFZTMASA-N 0 0 290.298 2.744 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCC1CC(F)(F)C1 ZINC000419513389 394049000 /nfs/dbraw/zinc/04/90/00/394049000.db2.gz MGGPZPAZRHJHBU-UHFFFAOYSA-N 0 0 277.296 2.607 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@@H]1C=CCCC1 ZINC000128272960 394066576 /nfs/dbraw/zinc/06/65/76/394066576.db2.gz ZTVMNWIOIBMXPH-CYBMUJFWSA-N 0 0 289.335 2.545 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@H](C)OC[C@H]2C)c([N+](=O)[O-])c1 ZINC000279996147 394070011 /nfs/dbraw/zinc/07/00/11/394070011.db2.gz HHYFVGGUHHGPTN-MNOVXSKESA-N 0 0 292.335 2.801 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000280089558 394070586 /nfs/dbraw/zinc/07/05/86/394070586.db2.gz SZSSDZGYVNDXFB-HNNXBMFYSA-N 0 0 292.335 2.626 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000437726407 394071464 /nfs/dbraw/zinc/07/14/64/394071464.db2.gz OONOUWRVRYPNKF-MNOVXSKESA-N 0 0 295.339 2.513 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000281834290 394080894 /nfs/dbraw/zinc/08/08/94/394080894.db2.gz HOXOEHJLNAIJEN-IGJMFERPSA-N 0 0 279.292 2.622 20 5 CFBDRN CC[C@@H]1CCN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492852034 394082020 /nfs/dbraw/zinc/08/20/20/394082020.db2.gz KLXWNFCDIZAMOY-VVEJJEBESA-N 0 0 260.293 2.619 20 5 CFBDRN CC[C@H]1CN(c2c(C)cccc2[N+](=O)[O-])CCCO1 ZINC000281850454 394083254 /nfs/dbraw/zinc/08/32/54/394083254.db2.gz LZAVJNVMLBXVJH-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2C)[C@H](C)C1 ZINC000281860323 394085240 /nfs/dbraw/zinc/08/52/40/394085240.db2.gz PFHCQSFRQVTKET-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)(C)OC1CCN(c2ncc([N+](=O)[O-])s2)CC1 ZINC000281865716 394085987 /nfs/dbraw/zinc/08/59/87/394085987.db2.gz KNOHYYCFOJQWFC-UHFFFAOYSA-N 0 0 285.369 2.835 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000281937064 394097049 /nfs/dbraw/zinc/09/70/49/394097049.db2.gz UHDCYQGJIDLDAE-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H](C)CONC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492917639 394097274 /nfs/dbraw/zinc/09/72/74/394097274.db2.gz DDMCEQBPFXGSEL-IQQGHNRFSA-N 0 0 278.308 2.702 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@@H]2CCC[C@H]21 ZINC000273504997 394035117 /nfs/dbraw/zinc/03/51/17/394035117.db2.gz ZLLUKFCTVHLOGG-HUUCEWRRSA-N 0 0 276.336 2.657 20 5 CFBDRN CCC[C@H](OC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000448741700 394178005 /nfs/dbraw/zinc/17/80/05/394178005.db2.gz XEBSBYGZWWIDBS-MFKMUULPSA-N 0 0 280.324 2.587 20 5 CFBDRN CCC[C@@H](OC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000448741698 394178026 /nfs/dbraw/zinc/17/80/26/394178026.db2.gz XEBSBYGZWWIDBS-GXFFZTMASA-N 0 0 280.324 2.587 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000492952280 394106293 /nfs/dbraw/zinc/10/62/93/394106293.db2.gz GLAVNITWUXOOTP-LLWVNUNASA-N 0 0 286.331 2.913 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CCSCc1ccccc1 ZINC000439267851 394108435 /nfs/dbraw/zinc/10/84/35/394108435.db2.gz AVCWJLNGUYSNKB-UHFFFAOYSA-N 0 0 290.344 2.690 20 5 CFBDRN C[C@](O)(COc1cc([N+](=O)[O-])ccc1Cl)C(F)(F)F ZINC000282021778 394111695 /nfs/dbraw/zinc/11/16/95/394111695.db2.gz XPVOISCMXMTGNQ-VIFPVBQESA-N 0 0 299.632 2.940 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000493208509 394179559 /nfs/dbraw/zinc/17/95/59/394179559.db2.gz QEHAIBZLHVXOBC-FPQANNFQSA-N 0 0 286.331 2.913 20 5 CFBDRN CO[C@@H](C)c1nc(CNc2ccc([N+](=O)[O-])nc2)cs1 ZINC000439593024 394124351 /nfs/dbraw/zinc/12/43/51/394124351.db2.gz FTHAYUGNRHOLLB-QMMMGPOBSA-N 0 0 294.336 2.766 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)C[C@@H](CC)O1 ZINC000420961454 394141458 /nfs/dbraw/zinc/14/14/58/394141458.db2.gz SIKHIXLHLZRFFB-HUUCEWRRSA-N 0 0 278.352 2.984 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1c1ccc([N+](=O)[O-])cn1 ZINC000359867816 394141766 /nfs/dbraw/zinc/14/17/66/394141766.db2.gz BKDZBSOXMRIUQM-APPZFPTMSA-N 0 0 275.230 2.767 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC1(CF)CCC1 ZINC000493098527 394147790 /nfs/dbraw/zinc/14/77/90/394147790.db2.gz ZKNNFVBGAHSGSF-SREVYHEPSA-N 0 0 278.283 2.616 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000493116345 394152708 /nfs/dbraw/zinc/15/27/08/394152708.db2.gz BDKINPQKJISZQO-RBMBQVQZSA-N 0 0 288.225 2.675 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CC(C2CCC2)C1 ZINC000493130781 394157855 /nfs/dbraw/zinc/15/78/55/394157855.db2.gz OMHIQJZAUYXZGJ-TWGQIWQCSA-N 0 0 286.331 2.867 20 5 CFBDRN CC[C@@H](C)CNC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000421041238 394168369 /nfs/dbraw/zinc/16/83/69/394168369.db2.gz YBDVYAWOOBJQBU-SECBINFHSA-N 0 0 281.312 2.771 20 5 CFBDRN CN(C)c1ccc(NCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000150913611 394255833 /nfs/dbraw/zinc/25/58/33/394255833.db2.gz PJLRYCQGNWEZEK-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN CCCc1cc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])n[nH]1 ZINC000135014669 394208755 /nfs/dbraw/zinc/20/87/55/394208755.db2.gz GFFZDWYYUIPKKO-UHFFFAOYSA-N 0 0 292.270 2.662 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])Nc1ccc(F)nc1 ZINC000135034872 394209641 /nfs/dbraw/zinc/20/96/41/394209641.db2.gz WPVVPQCQXFXTRK-VMPITWQZSA-N 0 0 287.250 2.781 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3c[nH]cn3)CC2)c(F)c1 ZINC000364410430 394210487 /nfs/dbraw/zinc/21/04/87/394210487.db2.gz ZGIIYKFNCPUFPB-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1C[C@@H](CO)c2ccccc21 ZINC000364435080 394212728 /nfs/dbraw/zinc/21/27/28/394212728.db2.gz JVCYLKCXTKABBV-GXFFZTMASA-N 0 0 285.303 2.623 20 5 CFBDRN COCCC[N@H+](Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000135240617 394213532 /nfs/dbraw/zinc/21/35/32/394213532.db2.gz OIARJYOHGFLUIB-UHFFFAOYSA-N 0 0 264.325 2.596 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CC(CC(F)F)C1 ZINC000493351996 394219352 /nfs/dbraw/zinc/21/93/52/394219352.db2.gz LEWUAFLSBWFNTP-SNAWJCMRSA-N 0 0 296.273 2.722 20 5 CFBDRN COCc1nc(C)cc(Oc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000135531040 394221930 /nfs/dbraw/zinc/22/19/30/394221930.db2.gz OFHGVENVSJUOHR-UHFFFAOYSA-N 0 0 293.254 2.771 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C\[C@H]1CCOC1 ZINC000493377949 394226360 /nfs/dbraw/zinc/22/63/60/394226360.db2.gz ACJBHJIMGKPEMM-MVZIDQBPSA-N 0 0 290.319 2.743 20 5 CFBDRN Cc1cc(Cl)ccc1NC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000136181835 394230701 /nfs/dbraw/zinc/23/07/01/394230701.db2.gz DAZCBPUCALCOQZ-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cc(N2C[C@H](C)O[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000290930590 394235809 /nfs/dbraw/zinc/23/58/09/394235809.db2.gz JAJBTIHHZYYRBK-UWVGGRQHSA-N 0 0 268.288 2.656 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])NC1(CF)CCC1 ZINC000493432338 394242336 /nfs/dbraw/zinc/24/23/36/394242336.db2.gz KQKOXEWWYHURJH-HWKANZROSA-N 0 0 296.273 2.756 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)/C=C\c2ccccc2[N+](=O)[O-])CS1 ZINC000493455845 394248261 /nfs/dbraw/zinc/24/82/61/394248261.db2.gz DDQCREZJKPSSMI-PCQKXGFJSA-N 0 0 292.360 2.618 20 5 CFBDRN CC[C@H](NC(=O)/C=C\c1ccccc1[N+](=O)[O-])C(F)F ZINC000493481741 394254353 /nfs/dbraw/zinc/25/43/53/394254353.db2.gz GLXJAJLUEGFIHB-DMEOUFDRSA-N 0 0 284.262 2.768 20 5 CFBDRN Cc1cccc(CNC(=O)/C=C/c2ccccc2[N+](=O)[O-])n1 ZINC000134120679 394188706 /nfs/dbraw/zinc/18/87/06/394188706.db2.gz ARLQCHXWVMBECD-MDZDMXLPSA-N 0 0 297.314 2.628 20 5 CFBDRN C[C@H]1COCCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000367435232 394255021 /nfs/dbraw/zinc/25/50/21/394255021.db2.gz MIOTUCIXXHHBAN-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCO[C@H]3CCC[C@@H]32)c(F)c1 ZINC000286090798 394190365 /nfs/dbraw/zinc/19/03/65/394190365.db2.gz UDEWDMXGVAFLQS-KBPBESRZSA-N 0 0 298.289 2.626 20 5 CFBDRN Cc1ccc(OC(F)F)c(Cn2cnc([N+](=O)[O-])c2)c1 ZINC000291682508 394255228 /nfs/dbraw/zinc/25/52/28/394255228.db2.gz NHEDBKWIHPUIMC-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000493510735 394262709 /nfs/dbraw/zinc/26/27/09/394262709.db2.gz HINKYDCQEWPJGJ-KIUWMYQTSA-N 0 0 292.310 2.959 20 5 CFBDRN CO[C@H](C)CN(C)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000292034276 394269980 /nfs/dbraw/zinc/26/99/80/394269980.db2.gz URESMZXUOMSMBL-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN CCOc1cccc(N2CCC[C@@H](OC)CC2)c1[N+](=O)[O-] ZINC000368410440 394272626 /nfs/dbraw/zinc/27/26/26/394272626.db2.gz KGYZEUISINCNCC-GFCCVEGCSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)[C@H]1C ZINC000294061121 394288267 /nfs/dbraw/zinc/28/82/67/394288267.db2.gz KYSHZFCABXQOLA-ZJUUUORDSA-N 0 0 285.303 2.623 20 5 CFBDRN CCO[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1C ZINC000450744789 394332965 /nfs/dbraw/zinc/33/29/65/394332965.db2.gz NUZBEMIJVBSRIS-SKDRFNHKSA-N 0 0 299.758 2.895 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@@H](c2ccco2)C1 ZINC000370857565 394293477 /nfs/dbraw/zinc/29/34/77/394293477.db2.gz ORBAXCVFHGYUKW-SECBINFHSA-N 0 0 292.316 2.879 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@H]3CCOC3)C2)ccc1[N+](=O)[O-] ZINC000371005402 394296869 /nfs/dbraw/zinc/29/68/69/394296869.db2.gz OPAOZBHXWYGLPO-OLZOCXBDSA-N 0 0 276.336 2.766 20 5 CFBDRN CC(=O)c1cc(NCC2=CCOCC2)ccc1[N+](=O)[O-] ZINC000450617154 394299491 /nfs/dbraw/zinc/29/94/91/394299491.db2.gz CIAWXILGOWQVCZ-UHFFFAOYSA-N 0 0 276.292 2.556 20 5 CFBDRN CC(C)c1nnc(CNc2ccc(F)cc2[N+](=O)[O-])[nH]1 ZINC000450619426 394300165 /nfs/dbraw/zinc/30/01/65/394300165.db2.gz BDUBQFOZMFKCPG-UHFFFAOYSA-N 0 0 279.275 2.588 20 5 CFBDRN Cc1nc(N2CCc3sccc3[C@H]2C)ncc1[N+](=O)[O-] ZINC000296199021 394306564 /nfs/dbraw/zinc/30/65/64/394306564.db2.gz BZLVHUJUYREPLR-SECBINFHSA-N 0 0 290.348 2.878 20 5 CFBDRN COC(=O)c1cc(N[C@@H](C)C2CC2)cc(C)c1[N+](=O)[O-] ZINC000450669453 394314475 /nfs/dbraw/zinc/31/44/75/394314475.db2.gz BIDDMPOQDMLJAP-VIFPVBQESA-N 0 0 278.308 2.900 20 5 CFBDRN Cc1cc(N2CC(C(=O)OC(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000450691807 394318429 /nfs/dbraw/zinc/31/84/29/394318429.db2.gz ASBJJACMAJECSQ-UHFFFAOYSA-N 0 0 292.335 2.681 20 5 CFBDRN CC[C@@](C)(CNc1cc(OC)c(F)cc1[N+](=O)[O-])OC ZINC000450718579 394324980 /nfs/dbraw/zinc/32/49/80/394324980.db2.gz TXMQZNTVJDXPCF-ZDUSSCGKSA-N 0 0 286.303 2.970 20 5 CFBDRN CCOC(=O)c1ccnc(NC[C@@H]2C[C@H]2CC)c1[N+](=O)[O-] ZINC000450724249 394326728 /nfs/dbraw/zinc/32/67/28/394326728.db2.gz MLPWSRNTUYUWGS-ZJUUUORDSA-N 0 0 293.323 2.625 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]([C@@H](CO)C1CC1)C1CC1 ZINC000450764426 394337075 /nfs/dbraw/zinc/33/70/75/394337075.db2.gz AGZQVZSNQIJNDX-WFASDCNBSA-N 0 0 294.326 2.943 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]([C@@H](CO)C1CC1)C1CC1 ZINC000450764424 394337264 /nfs/dbraw/zinc/33/72/64/394337264.db2.gz AGZQVZSNQIJNDX-SWLSCSKDSA-N 0 0 294.326 2.943 20 5 CFBDRN Cc1cnc(N[C@@H](C(C)C)[C@H](CO)C(C)C)c([N+](=O)[O-])c1 ZINC000450773707 394338730 /nfs/dbraw/zinc/33/87/30/394338730.db2.gz RLTVZOFIJSGMFV-OCCSQVGLSA-N 0 0 295.383 2.999 20 5 CFBDRN CCOc1cc(N2CC[C@H](OC)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000450772274 394338759 /nfs/dbraw/zinc/33/87/59/394338759.db2.gz ZTHVNRLQQZOODF-RISCZKNCSA-N 0 0 294.351 2.855 20 5 CFBDRN O=C(NCc1ccc(F)c(Cl)c1)c1ccc([N+](=O)[O-])o1 ZINC000158128009 394354516 /nfs/dbraw/zinc/35/45/16/394354516.db2.gz SJACVZUAEOLNNP-UHFFFAOYSA-N 0 0 298.657 2.910 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)SCC(=O)N(C)C ZINC000451086973 394356735 /nfs/dbraw/zinc/35/67/35/394356735.db2.gz WJPGKXQKHKAHHM-JTQLQIEISA-N 0 0 282.365 2.786 20 5 CFBDRN CN(C(=O)/C=C/C1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000158445909 394357386 /nfs/dbraw/zinc/35/73/86/394357386.db2.gz DLVPVUBYQUVMEW-AATRIKPKSA-N 0 0 290.319 2.540 20 5 CFBDRN COCC(C)(C)CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000494598405 394359569 /nfs/dbraw/zinc/35/95/69/394359569.db2.gz XJEJVTKJRSZDTN-UHFFFAOYSA-N 0 0 295.339 2.697 20 5 CFBDRN CCc1cccc(C(=O)NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000451129701 394361769 /nfs/dbraw/zinc/36/17/69/394361769.db2.gz MHTFPDKRTJPQCL-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn(C(C)(C)C)c2)n1 ZINC000178198575 394386639 /nfs/dbraw/zinc/38/66/39/394386639.db2.gz KQSUYNIQPHUCQO-UHFFFAOYSA-N 0 0 275.312 2.993 20 5 CFBDRN CCC[C@@H]1CN(c2cc(OC)c([N+](=O)[O-])cc2F)CCO1 ZINC000271090707 135091417 /nfs/dbraw/zinc/09/14/17/135091417.db2.gz KUVMIBNGXZAZRC-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN CSc1ccccc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531887924 394530636 /nfs/dbraw/zinc/53/06/36/394530636.db2.gz DZNUWNVODHGOAI-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN CC(C)(C)CC(C)(C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531888096 394531125 /nfs/dbraw/zinc/53/11/25/394531125.db2.gz VCADFJVJXWARJL-UHFFFAOYSA-N 0 0 279.340 2.934 20 5 CFBDRN CC1=C(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)CCC1 ZINC000535287070 394533283 /nfs/dbraw/zinc/53/32/83/394533283.db2.gz CNAQZBRBQVOGQT-UHFFFAOYSA-N 0 0 286.331 2.980 20 5 CFBDRN Cc1nnc(CN[C@H](C)c2cc([N+](=O)[O-])ccc2C)s1 ZINC000540953367 394659421 /nfs/dbraw/zinc/65/94/21/394659421.db2.gz HDGMROTXFRYDJF-SECBINFHSA-N 0 0 292.364 2.914 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)[C@H]2CCCC[C@H]12 ZINC000543916156 394746806 /nfs/dbraw/zinc/74/68/06/394746806.db2.gz FKXFJGZVKZJNPM-RTXFEEFZSA-N 0 0 291.351 2.584 20 5 CFBDRN CCc1cc(CNc2nc(C)cc(C)c2[N+](=O)[O-])on1 ZINC000542864078 394717256 /nfs/dbraw/zinc/71/72/56/394717256.db2.gz OVNARFGFTNXMJF-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H]2CCO[C@@H]2C)n1 ZINC000544733649 394769093 /nfs/dbraw/zinc/76/90/93/394769093.db2.gz CPIAVGKXIIGIHW-SKDRFNHKSA-N 0 0 289.291 2.846 20 5 CFBDRN CCOCCc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000544780639 394771283 /nfs/dbraw/zinc/77/12/83/394771283.db2.gz UUEIRNAGSNMHDU-UHFFFAOYSA-N 0 0 277.280 2.532 20 5 CFBDRN Cc1nc(Sc2ncc([N+](=O)[O-])cn2)sc1C ZINC000544847399 394772037 /nfs/dbraw/zinc/77/20/37/394772037.db2.gz WMBKNXHQQKRQHO-UHFFFAOYSA-N 0 0 268.323 2.609 20 5 CFBDRN Cc1ccncc1N(C)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000545216001 394782725 /nfs/dbraw/zinc/78/27/25/394782725.db2.gz BXEPUOPQNFXBMW-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1cc(NC(=O)NCCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000545329299 394791151 /nfs/dbraw/zinc/79/11/51/394791151.db2.gz QAJBXQWGZXNWOZ-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)n[nH]1 ZINC000545694583 394799334 /nfs/dbraw/zinc/79/93/34/394799334.db2.gz JLBZWMVKGCRQCJ-UHFFFAOYSA-N 0 0 285.263 2.652 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ncoc1C ZINC000547372489 394862876 /nfs/dbraw/zinc/86/28/76/394862876.db2.gz UPGNCMQQILXXLU-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cscn1 ZINC000547373189 394862905 /nfs/dbraw/zinc/86/29/05/394862905.db2.gz CCKLYPGNYDTVIE-UHFFFAOYSA-N 0 0 293.304 2.702 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(-c2n[nH]c3ccc([N+](=O)[O-])cc32)n1 ZINC000546471844 394827416 /nfs/dbraw/zinc/82/74/16/394827416.db2.gz ABHMPKYEOFWDMV-XPUUQOCRSA-N 0 0 285.263 2.645 20 5 CFBDRN CCc1cnc(COc2nc(C)cc(C)c2[N+](=O)[O-])o1 ZINC000546885149 394848624 /nfs/dbraw/zinc/84/86/24/394848624.db2.gz DSXNROXOVGEOSI-UHFFFAOYSA-N 0 0 277.280 2.736 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@]23CCOC3)c(F)c1 ZINC000376427577 296500766 /nfs/dbraw/zinc/50/07/66/296500766.db2.gz MYUVSNMKAOFQKB-CYBMUJFWSA-N 0 0 284.262 2.632 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H]1[C@H]1CCCO1 ZINC000286479944 296499964 /nfs/dbraw/zinc/49/99/64/296499964.db2.gz OZUMLJNPUPDVEH-ZYHUDNBSSA-N 0 0 297.742 2.791 20 5 CFBDRN Cc1cc([C@H](C)NCCOc2ccc([N+](=O)[O-])cc2)no1 ZINC000558629356 395067016 /nfs/dbraw/zinc/06/70/16/395067016.db2.gz WCUUBEPSYCQPQS-NSHDSACASA-N 0 0 291.307 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ccc3c(n2)CCC3)cc1 ZINC000579564247 395097877 /nfs/dbraw/zinc/09/78/77/395097877.db2.gz TVWRFCGJUHJNLK-UHFFFAOYSA-N 0 0 283.331 2.768 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCC[C@@H]1CCCCO1 ZINC000612799010 395115333 /nfs/dbraw/zinc/11/53/33/395115333.db2.gz SNGUNEPURKHFQN-NSHDSACASA-N 0 0 265.313 2.751 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCCO[C@H]2CCC[C@H]21 ZINC000376444925 296505279 /nfs/dbraw/zinc/50/52/79/296505279.db2.gz XWLSYAZAARWCIG-OCCSQVGLSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@@](F)(CO)C1 ZINC000286384858 296504478 /nfs/dbraw/zinc/50/44/78/296504478.db2.gz KSNUXWWHPDUYBQ-LBPRGKRZSA-N 0 0 288.706 2.549 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@H](C2CCOCC2)C1 ZINC000364194224 296505556 /nfs/dbraw/zinc/50/55/56/296505556.db2.gz YVRKTVLOZISTKE-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3OCCC[C@@H]3C2)cc1 ZINC000301735682 296507028 /nfs/dbraw/zinc/50/70/28/296507028.db2.gz UXVINJNRAVYINA-RISCZKNCSA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H]3CCC[C@H]32)c2cccnc21 ZINC000413177329 296507121 /nfs/dbraw/zinc/50/71/21/296507121.db2.gz UHBIWWWLXPOVBF-HIFRSBDPSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3OCCC[C@@H]3C2)cc1 ZINC000301735680 296507263 /nfs/dbraw/zinc/50/72/63/296507263.db2.gz UXVINJNRAVYINA-BXUZGUMPSA-N 0 0 262.309 2.600 20 5 CFBDRN Cc1ccc(C(=O)N(C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000335406853 292047532 /nfs/dbraw/zinc/04/75/32/292047532.db2.gz FERSYERATAQHIO-UHFFFAOYSA-N 0 0 250.298 2.774 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC2(C1)CCOCC2 ZINC000363278364 296514678 /nfs/dbraw/zinc/51/46/78/296514678.db2.gz MKMYATRAHYMLOZ-UHFFFAOYSA-N 0 0 262.309 2.602 20 5 CFBDRN COC(C)(C)CC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000278651579 292053105 /nfs/dbraw/zinc/05/31/05/292053105.db2.gz DTCHLRVCLZUPLM-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCOc2ccc(F)cc2C1 ZINC000362843713 296517649 /nfs/dbraw/zinc/51/76/49/296517649.db2.gz RNHVBTAJWZNCSP-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2ccsc2C1 ZINC000132404355 296517843 /nfs/dbraw/zinc/51/78/43/296517843.db2.gz QWDWHTQLPGDYMA-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN Cc1ccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)cn1 ZINC000074017997 292055081 /nfs/dbraw/zinc/05/50/81/292055081.db2.gz YGCGLQCIJOPGRB-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1C[C@@H](F)[C@@H](F)C1 ZINC000295071201 296519094 /nfs/dbraw/zinc/51/90/94/296519094.db2.gz WKKPUGFSKUHESK-OLQVQODUSA-N 0 0 297.183 2.505 20 5 CFBDRN CO[C@@H]1CN(c2cccc(C)c2[N+](=O)[O-])CC[C@@H]1C ZINC000275818648 285775427 /nfs/dbraw/zinc/77/54/27/285775427.db2.gz FFOMBVZNRNUOMH-GXFFZTMASA-N 0 0 264.325 2.764 20 5 CFBDRN COc1cc(OCc2ncccc2C)ccc1[N+](=O)[O-] ZINC000355933845 155133170 /nfs/dbraw/zinc/13/31/70/155133170.db2.gz RTPCRRFVVINOAL-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1ccc(-c2noc([C@H](C)n3cccn3)n2)cc1[N+](=O)[O-] ZINC000355985996 155136404 /nfs/dbraw/zinc/13/64/04/155136404.db2.gz NQYSWZGTWDUJAE-JTQLQIEISA-N 0 0 299.290 2.759 20 5 CFBDRN CC(C)(C)OCCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000601194923 397587338 /nfs/dbraw/zinc/58/73/38/397587338.db2.gz WZBIVEVUSVXVPO-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000125362598 397601785 /nfs/dbraw/zinc/60/17/85/397601785.db2.gz LUWDILLYNNIKHQ-OCCSQVGLSA-N 0 0 262.309 2.647 20 5 CFBDRN C[C@H]1OCCN(c2ccc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000301721971 397639288 /nfs/dbraw/zinc/63/92/88/397639288.db2.gz RDHFZPBQGOIAQK-DTWKUNHWSA-N 0 0 270.716 2.862 20 5 CFBDRN Cc1ccc(N2CCO[C@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000268841375 292135743 /nfs/dbraw/zinc/13/57/43/292135743.db2.gz VRUMSLBUCVHRIY-WDEREUQCSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1ccc(N2CC[C@@H]([C@@H]3CCOC3)C2)c([N+](=O)[O-])c1 ZINC000378238515 292137378 /nfs/dbraw/zinc/13/73/78/292137378.db2.gz TUJYZIYBGUBXFL-CHWSQXEVSA-N 0 0 276.336 2.766 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@H](Nc2ncccc2[N+](=O)[O-])C12CCC2 ZINC000374874522 285880565 /nfs/dbraw/zinc/88/05/65/285880565.db2.gz KKMAGDJGGNOLKF-ZMLRMANQSA-N 0 0 277.324 2.605 20 5 CFBDRN Cc1ccc(N2CC[C@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000301598700 292139618 /nfs/dbraw/zinc/13/96/18/292139618.db2.gz HKFAGLAPTZMAGC-AWEZNQCLSA-N 0 0 262.309 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2ccnc(C)n2)c1 ZINC000356035259 155145027 /nfs/dbraw/zinc/14/50/27/155145027.db2.gz JKIYVXLHKQPWHX-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000037299703 286034247 /nfs/dbraw/zinc/03/42/47/286034247.db2.gz BQPPVWQNUGVMPC-STQMWFEESA-N 0 0 250.298 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc(CO)cn2)c(Cl)c1 ZINC000356310909 155219787 /nfs/dbraw/zinc/21/97/87/155219787.db2.gz ATMNFMXDTOJYQR-UHFFFAOYSA-N 0 0 293.710 2.748 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])cc2C)CC[C@@H]1C ZINC000302551837 286074889 /nfs/dbraw/zinc/07/48/89/286074889.db2.gz KEIFGEKYTTZTCA-HZMBPMFUSA-N 0 0 264.325 2.764 20 5 CFBDRN COCCCCc1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000356350136 155236827 /nfs/dbraw/zinc/23/68/27/155236827.db2.gz XUCRSXMFNXSBEK-UHFFFAOYSA-N 0 0 277.280 2.614 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c2ncccc12)C1CC1 ZINC000356389374 155250957 /nfs/dbraw/zinc/25/09/57/155250957.db2.gz BYOHLAMMOXWTIC-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@](C)(C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)C1CC1 ZINC000291054092 286139351 /nfs/dbraw/zinc/13/93/51/286139351.db2.gz CHQRHYMUZFHJJD-HNNXBMFYSA-N 0 0 292.335 2.965 20 5 CFBDRN CCOC[C@H]1CCCCN1c1ccccc1[N+](=O)[O-] ZINC000413423434 286178555 /nfs/dbraw/zinc/17/85/55/286178555.db2.gz DVUOINUSLNGASC-GFCCVEGCSA-N 0 0 264.325 2.990 20 5 CFBDRN COc1cc(N2CC[C@@H](OC)[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000450766078 286230521 /nfs/dbraw/zinc/23/05/21/286230521.db2.gz SGDMYVKXNBZBPL-NOZJJQNGSA-N 0 0 298.314 2.604 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000356598823 155313755 /nfs/dbraw/zinc/31/37/55/155313755.db2.gz QZYPVUWNCVLTBX-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@H](F)CCNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000356699428 155333837 /nfs/dbraw/zinc/33/38/37/155333837.db2.gz ZZBUKWSOCQOCCD-ZETCQYMHSA-N 0 0 274.679 2.726 20 5 CFBDRN CCN(Cc1c([N+](=O)[O-])ncn1C)c1cccc(C)c1 ZINC000356729526 155341933 /nfs/dbraw/zinc/34/19/33/155341933.db2.gz HGSRQXLKUGNGCG-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000356751377 155352475 /nfs/dbraw/zinc/35/24/75/155352475.db2.gz SYAVNJNXPXZGBT-JOYOIKCWSA-N 0 0 289.335 2.697 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H]2CC[C@@H]2C)c1 ZINC000356756974 155356185 /nfs/dbraw/zinc/35/61/85/155356185.db2.gz OTNOLKXFBCAEKY-RYUDHWBXSA-N 0 0 292.335 2.587 20 5 CFBDRN CCc1cccnc1-c1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000356787957 155368094 /nfs/dbraw/zinc/36/80/94/155368094.db2.gz ZDKIAXAMPOMUDV-UHFFFAOYSA-N 0 0 285.263 2.597 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000069048019 299753356 /nfs/dbraw/zinc/75/33/56/299753356.db2.gz DZDAZNRMVHQTGG-NSHDSACASA-N 0 0 291.351 2.675 20 5 CFBDRN O=C(Nc1cccc2[nH]c(=O)oc21)c1ccccc1[N+](=O)[O-] ZINC000356823453 155381472 /nfs/dbraw/zinc/38/14/72/155381472.db2.gz JJLMNTOCQDPKAS-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCSCC[C@@H]2C)c1 ZINC000192355174 292282342 /nfs/dbraw/zinc/28/23/42/292282342.db2.gz UGUWZRSJKIHBAJ-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCN(CC(F)F)CC2)c1 ZINC000270888105 292285643 /nfs/dbraw/zinc/28/56/43/292285643.db2.gz FTWWBQXKZIQNOW-UHFFFAOYSA-N 0 0 299.321 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](n3cccn3)C2)c1 ZINC000447058437 292286439 /nfs/dbraw/zinc/28/64/39/292286439.db2.gz TXJLYGJSBPIGSB-ZDUSSCGKSA-N 0 0 286.335 2.941 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC(C)(C)C2)c1 ZINC000408446432 292287299 /nfs/dbraw/zinc/28/72/99/292287299.db2.gz LLECWWSLTMUJMW-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](CC3CC3)C2)n1 ZINC000338805027 292288103 /nfs/dbraw/zinc/28/81/03/292288103.db2.gz DFLJDFBNSPARIX-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1ccc2c(c1)ncn2C ZINC000356855864 155396316 /nfs/dbraw/zinc/39/63/16/155396316.db2.gz LMCSBWNQMCQFBC-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C)CC(=O)OC(C)(C)C ZINC000356858689 155397260 /nfs/dbraw/zinc/39/72/60/155397260.db2.gz LQETXSMNYBEUFR-SNVBAGLBSA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)C[C@@H]1F ZINC000335609060 292293695 /nfs/dbraw/zinc/29/36/95/292293695.db2.gz GMRQOMJDOMCXAP-JQWIXIFHSA-N 0 0 252.245 2.590 20 5 CFBDRN CNc1cccnc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000343079659 154106054 /nfs/dbraw/zinc/10/60/54/154106054.db2.gz ITYXPYMIDHQNTB-UHFFFAOYSA-N 0 0 276.271 2.783 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CC[C@@H](C2CC2)C1 ZINC000343119830 154120514 /nfs/dbraw/zinc/12/05/14/154120514.db2.gz GEWWSBYONJZEET-CYBMUJFWSA-N 0 0 289.335 2.536 20 5 CFBDRN O=C(Nc1ccc(Cl)c(F)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000343243505 154153416 /nfs/dbraw/zinc/15/34/16/154153416.db2.gz NXWNZFCHSKAQGL-UHFFFAOYSA-N 0 0 283.646 2.968 20 5 CFBDRN CN(c1nc2ccccc2cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000343269109 154163359 /nfs/dbraw/zinc/16/33/59/154163359.db2.gz HTUKCSDEYXLHLK-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN Cn1c(C(=O)NC(C2CCC2)C2CCC2)ccc1[N+](=O)[O-] ZINC000343374145 154189138 /nfs/dbraw/zinc/18/91/38/154189138.db2.gz GVADJYZMJOULBW-UHFFFAOYSA-N 0 0 291.351 2.632 20 5 CFBDRN CC(C)SCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000345527437 154194946 /nfs/dbraw/zinc/19/49/46/154194946.db2.gz AJRLRVIVZKGUEF-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC[C@@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000345561129 154205977 /nfs/dbraw/zinc/20/59/77/154205977.db2.gz KZQRCZZNASQPGE-AXFHLTTASA-N 0 0 295.314 2.846 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000345605348 154217369 /nfs/dbraw/zinc/21/73/69/154217369.db2.gz JCSOGGSJAXVAII-MNOVXSKESA-N 0 0 296.298 2.614 20 5 CFBDRN COc1c(-c2nc(-c3ccncc3)no2)cccc1[N+](=O)[O-] ZINC000345638661 154227436 /nfs/dbraw/zinc/22/74/36/154227436.db2.gz ODSQZZWRFNMJKJ-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CC[C@H](C)Cc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000345664517 154235145 /nfs/dbraw/zinc/23/51/45/154235145.db2.gz MOIIXMAOVJGCIP-QMMMGPOBSA-N 0 0 276.296 2.816 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccsc3)no2)c1 ZINC000345701360 154244614 /nfs/dbraw/zinc/24/46/14/154244614.db2.gz PINVNAILWJXEGQ-UHFFFAOYSA-N 0 0 262.250 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C\c3cnccn3)n2)cc1 ZINC000345709488 154246786 /nfs/dbraw/zinc/24/67/86/154246786.db2.gz POEWGYHQJNBPBR-UTCJRWHESA-N 0 0 295.258 2.605 20 5 CFBDRN Cn1nccc1/C=C/c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000345711957 154248601 /nfs/dbraw/zinc/24/86/01/154248601.db2.gz VROHNKWAJSMXQI-VOTSOKGWSA-N 0 0 297.274 2.549 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1C(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000345761644 154260859 /nfs/dbraw/zinc/26/08/59/154260859.db2.gz WBQWCFFCKHTIHG-IUCAKERBSA-N 0 0 296.229 2.724 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])c3cccnc23)CC1 ZINC000345776098 154264937 /nfs/dbraw/zinc/26/49/37/154264937.db2.gz DDBWJHUIMKZCMY-UHFFFAOYSA-N 0 0 285.303 2.673 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000345808633 154271080 /nfs/dbraw/zinc/27/10/80/154271080.db2.gz QROKTAQBNKSZPD-QPUJVOFHSA-N 0 0 297.742 2.846 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000345808632 154271174 /nfs/dbraw/zinc/27/11/74/154271174.db2.gz QROKTAQBNKSZPD-PRHODGIISA-N 0 0 297.742 2.846 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C(F)F)c1c(Cl)cccc1[N+](=O)[O-] ZINC000345807009 154271237 /nfs/dbraw/zinc/27/12/37/154271237.db2.gz PBODFNUFXLHSGB-IYSWYEEDSA-N 0 0 290.653 2.632 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1C(F)F)c1c(Cl)cccc1[N+](=O)[O-] ZINC000345807007 154271582 /nfs/dbraw/zinc/27/15/82/154271582.db2.gz PBODFNUFXLHSGB-CAHLUQPWSA-N 0 0 290.653 2.632 20 5 CFBDRN COC[C@H](C)CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000345816651 154274544 /nfs/dbraw/zinc/27/45/44/154274544.db2.gz YBWKTIGGMJWXJU-LLVKDONJSA-N 0 0 294.351 2.619 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000345837485 154279408 /nfs/dbraw/zinc/27/94/08/154279408.db2.gz KWEOQIDTSHGYRI-CYBMUJFWSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2C)nc1 ZINC000346227850 154283636 /nfs/dbraw/zinc/28/36/36/154283636.db2.gz YAYYSOJMKPUJRH-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000348025473 154290759 /nfs/dbraw/zinc/29/07/59/154290759.db2.gz XRXZSGTXCZBWCO-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H]1[C@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1(F)F ZINC000348022358 154291108 /nfs/dbraw/zinc/29/11/08/154291108.db2.gz VTEFKVWFQQAPIT-MLUIRONXSA-N 0 0 274.198 2.574 20 5 CFBDRN Cc1sc(C(=O)Nc2ccc(C)[nH]c2=O)cc1[N+](=O)[O-] ZINC000348126277 154331086 /nfs/dbraw/zinc/33/10/86/154331086.db2.gz ZICDDSPIWIZFPS-UHFFFAOYSA-N 0 0 293.304 2.626 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000348177999 154350736 /nfs/dbraw/zinc/35/07/36/154350736.db2.gz YKCAKCFSJKHCMN-KCJUWKMLSA-N 0 0 263.297 2.823 20 5 CFBDRN CC[C@@H](c1ccncc1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000348219688 154366214 /nfs/dbraw/zinc/36/62/14/154366214.db2.gz DLBVTAGDGOEXTH-ZDUSSCGKSA-N 0 0 272.308 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CC[C@@H]1CCCCO1)CC2 ZINC000348255652 154382850 /nfs/dbraw/zinc/38/28/50/154382850.db2.gz RWKNOOSBNHURSX-AWEZNQCLSA-N 0 0 276.336 2.917 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CC2(C)C)cc([N+](=O)[O-])c1 ZINC000352280845 154522184 /nfs/dbraw/zinc/52/21/84/154522184.db2.gz SERXCSIVXWPESA-LLVKDONJSA-N 0 0 262.309 2.679 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1ccoc1 ZINC000352360415 154542853 /nfs/dbraw/zinc/54/28/53/154542853.db2.gz NGWPXWVQTGMADB-UHFFFAOYSA-N 0 0 269.260 2.770 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCc1ccco1 ZINC000352359424 154543274 /nfs/dbraw/zinc/54/32/74/154543274.db2.gz HGYFUQFHVSIOOJ-UHFFFAOYSA-N 0 0 283.287 2.813 20 5 CFBDRN CC(C)(CF)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000354434588 154707015 /nfs/dbraw/zinc/70/70/15/154707015.db2.gz JCMDWPBIABPZGX-UHFFFAOYSA-N 0 0 279.271 2.554 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000354566680 154748020 /nfs/dbraw/zinc/74/80/20/154748020.db2.gz ICFYTUREJXIINB-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cccc(CO)c2)c(F)c1 ZINC000355033825 154891898 /nfs/dbraw/zinc/89/18/98/154891898.db2.gz GPGJRSISJPCBPI-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)cn2ccnc12 ZINC000355445291 155009547 /nfs/dbraw/zinc/00/95/47/155009547.db2.gz IVESYQDMGGCCHH-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CCc3ccccc32)c1=O ZINC000355457310 155013446 /nfs/dbraw/zinc/01/34/46/155013446.db2.gz HHKWJKSCAYOQLL-CYBMUJFWSA-N 0 0 284.315 2.795 20 5 CFBDRN CCOC[C@H](C)NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000355600430 155051829 /nfs/dbraw/zinc/05/18/29/155051829.db2.gz QOILZHXPDYNMDH-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN CC(C)(C)OCc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355690642 155063701 /nfs/dbraw/zinc/06/37/01/155063701.db2.gz QCCCSFPOTHGAMK-UHFFFAOYSA-N 0 0 291.307 2.884 20 5 CFBDRN Cc1cccc(Cc2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)c1 ZINC000355817225 155095733 /nfs/dbraw/zinc/09/57/33/155095733.db2.gz HQIRGDMMVWKSJY-UHFFFAOYSA-N 0 0 284.275 2.872 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\c1nc([C@@H]2CCCO2)no1 ZINC000357082969 155494006 /nfs/dbraw/zinc/49/40/06/155494006.db2.gz ICIDGXINFLNUTQ-KRZKBDHCSA-N 0 0 287.275 3.000 20 5 CFBDRN CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000358391852 155748242 /nfs/dbraw/zinc/74/82/42/155748242.db2.gz IQXQCTAYAYJHBW-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN Cc1ccnc(COc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000358640179 155830013 /nfs/dbraw/zinc/83/00/13/155830013.db2.gz YMOKIHVKSYSRJT-UHFFFAOYSA-N 0 0 277.255 2.720 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000358713367 155850823 /nfs/dbraw/zinc/85/08/23/155850823.db2.gz JULUMQMAGRWZCL-LBPRGKRZSA-N 0 0 277.324 2.501 20 5 CFBDRN CCCCOCCOc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000358839283 155894073 /nfs/dbraw/zinc/89/40/73/155894073.db2.gz BSCFWZKLKCICHX-UHFFFAOYSA-N 0 0 268.313 2.802 20 5 CFBDRN Cc1cnc(Nc2cccc(CCCO)c2)c([N+](=O)[O-])c1 ZINC000359076418 155977332 /nfs/dbraw/zinc/97/73/32/155977332.db2.gz LCLLDPUYQLYWSL-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN Cc1ccn(C[C@H]2C[C@H]2c2ccccc2)c(=O)c1[N+](=O)[O-] ZINC000359166189 156010249 /nfs/dbraw/zinc/01/02/49/156010249.db2.gz QPNSSPOWJRBTLY-KGLIPLIRSA-N 0 0 284.315 2.869 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2C[C@@H]2c2ccccc2)c1=O ZINC000359181301 156015312 /nfs/dbraw/zinc/01/53/12/156015312.db2.gz YDHRDYUHDKRJLN-DZGCQCFKSA-N 0 0 284.315 2.869 20 5 CFBDRN O=C(Cn1nccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC000359180087 156016196 /nfs/dbraw/zinc/01/61/96/156016196.db2.gz UJFYUIZZXSHCNF-UHFFFAOYSA-N 0 0 299.208 2.693 20 5 CFBDRN O=C(Nc1cccc2c1OCO2)c1ccc([N+](=O)[O-])s1 ZINC000360185775 156045778 /nfs/dbraw/zinc/04/57/78/156045778.db2.gz WLHOCADHERQLKL-UHFFFAOYSA-N 0 0 292.272 2.637 20 5 CFBDRN CNc1c(C(=O)NCCC=C(C)C)cccc1[N+](=O)[O-] ZINC000360220130 156057325 /nfs/dbraw/zinc/05/73/25/156057325.db2.gz OFGUIVPFRDRRLP-UHFFFAOYSA-N 0 0 277.324 2.723 20 5 CFBDRN COC(=O)c1cc(NCC2CCC2)c(C)c([N+](=O)[O-])c1 ZINC000362749135 156079201 /nfs/dbraw/zinc/07/92/01/156079201.db2.gz VFJPOCSWEWQXRS-UHFFFAOYSA-N 0 0 278.308 2.902 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1cc(C)c([N+](=O)[O-])cn1)OC ZINC000362975138 156132473 /nfs/dbraw/zinc/13/24/73/156132473.db2.gz DERCJCMIUJSVTG-JOYOIKCWSA-N 0 0 267.329 2.771 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@H](Nc2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000367154223 156289478 /nfs/dbraw/zinc/28/94/78/156289478.db2.gz RZRRFMHDFMTGKC-UJNFCWOMSA-N 0 0 283.353 2.667 20 5 CFBDRN Cn1cc2c(n1)CCC[C@@H]2Nc1cc(F)ccc1[N+](=O)[O-] ZINC000367169025 156291845 /nfs/dbraw/zinc/29/18/45/156291845.db2.gz ADDUIEZOCATILS-NSHDSACASA-N 0 0 290.298 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](C[C@@H]3CCOC3)C2)nc1 ZINC000367359427 156321097 /nfs/dbraw/zinc/32/10/97/156321097.db2.gz XKJSRNYIFNKRIB-STQMWFEESA-N 0 0 291.351 2.633 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCO[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000367825072 156394577 /nfs/dbraw/zinc/39/45/77/156394577.db2.gz IMXRFPRXQIJLRF-ZFWWWQNUSA-N 0 0 290.319 2.777 20 5 CFBDRN C[C@H]1CC[C@@]2(CCN(C(=O)c3ccc([N+](=O)[O-])cn3)C2)C1 ZINC000367866322 156399991 /nfs/dbraw/zinc/39/99/91/156399991.db2.gz YCUOBFGDCZJSJE-XHDPSFHLSA-N 0 0 289.335 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1OC[C@@H]2CCC[C@H]21 ZINC000367987665 156414800 /nfs/dbraw/zinc/41/48/00/156414800.db2.gz OOTVINFWDXIGSH-ZKYQVNSYSA-N 0 0 291.303 2.624 20 5 CFBDRN CCOc1cccc(N2CCOCC3(CC3)C2)c1[N+](=O)[O-] ZINC000358326601 286573321 /nfs/dbraw/zinc/57/33/21/286573321.db2.gz DNAMJRNUBJEMJN-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000372870254 156929317 /nfs/dbraw/zinc/92/93/17/156929317.db2.gz MLBWECSCEPWSKP-JTQLQIEISA-N 0 0 289.335 2.582 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@H](OCC2CC2)C1 ZINC000373596419 157011864 /nfs/dbraw/zinc/01/18/64/157011864.db2.gz NKKVDMPPXHMWFX-AWEZNQCLSA-N 0 0 291.351 2.694 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC1(CO)Cc2ccccc2C1 ZINC000373754802 157029311 /nfs/dbraw/zinc/02/93/11/157029311.db2.gz YAMQLTCNNXAGPP-UHFFFAOYSA-N 0 0 284.315 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(OCC3CC3)CC2)cc1 ZINC000374129371 157072385 /nfs/dbraw/zinc/07/23/85/157072385.db2.gz DKAVHLJLEFHLQK-UHFFFAOYSA-N 0 0 276.336 2.990 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC2(CCOCC2)[C@H]1C ZINC000374935876 157175927 /nfs/dbraw/zinc/17/59/27/157175927.db2.gz LIDSIONZIRXEMR-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc(N2CCC3(CCOCC3)[C@H]2C)ncc1[N+](=O)[O-] ZINC000374937760 157176223 /nfs/dbraw/zinc/17/62/23/157176223.db2.gz SXFRYRQGPYSEFT-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCO[C@H](C2CC2)C1 ZINC000375332346 157228953 /nfs/dbraw/zinc/22/89/53/157228953.db2.gz OQLFKVWMFCAHSC-WMHVBEDNSA-N 0 0 288.347 2.719 20 5 CFBDRN C[C@H]1CC[C@H](C)N1c1nc2sccn2c1[N+](=O)[O-] ZINC000301611441 300066201 /nfs/dbraw/zinc/06/62/01/300066201.db2.gz DSJNOLMYLVJZES-YUMQZZPRSA-N 0 0 266.326 2.681 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOC[C@H]2c2ccco2)c1 ZINC000375791771 157286874 /nfs/dbraw/zinc/28/68/74/157286874.db2.gz MDHORABUOIDLJJ-AWEZNQCLSA-N 0 0 288.303 2.761 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cn2)[C@@H]2CCCO[C@H]21 ZINC000376491665 157363361 /nfs/dbraw/zinc/36/33/61/157363361.db2.gz MMTPTILNGRAWOP-CYZMBNFOSA-N 0 0 277.324 2.605 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C(C)(C)C ZINC000408078053 157377245 /nfs/dbraw/zinc/37/72/45/157377245.db2.gz ACIWXNJXYFWEAW-CYBMUJFWSA-N 0 0 291.351 2.949 20 5 CFBDRN O=C1CC2(CCCCC2)N(Cc2csc([N+](=O)[O-])c2)N1 ZINC000408470796 157402137 /nfs/dbraw/zinc/40/21/37/157402137.db2.gz XEUAWNSGINKUCQ-UHFFFAOYSA-N 0 0 295.364 2.596 20 5 CFBDRN COc1cccc(CNc2ccc([N+](=O)[O-])cc2F)n1 ZINC000225081955 161535696 /nfs/dbraw/zinc/53/56/96/161535696.db2.gz VVQXJPQSZQGIQM-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN COc1cccc(CNc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000225082117 161537069 /nfs/dbraw/zinc/53/70/69/161537069.db2.gz VYTFEFOJRKDTJY-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCC1(C(C)C)CC1 ZINC000301560303 161548340 /nfs/dbraw/zinc/54/83/40/161548340.db2.gz KDNBAQZPADWWKI-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN CC(C)CNC(=O)[C@@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337934745 161570686 /nfs/dbraw/zinc/57/06/86/161570686.db2.gz FKQTZBVBWNGEPA-SNVBAGLBSA-N 0 0 282.365 2.848 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCOC[C@@H]1C ZINC000343551881 161800808 /nfs/dbraw/zinc/80/08/08/161800808.db2.gz VLZRPSUDTAGFJX-NWDGAFQWSA-N 0 0 294.351 2.826 20 5 CFBDRN CN(C[C@H]1CC=CCC1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000343789923 161860212 /nfs/dbraw/zinc/86/02/12/161860212.db2.gz IFWLKAKEYODMHE-JTQLQIEISA-N 0 0 264.281 2.616 20 5 CFBDRN CCc1nn(C)c(N2CC[C@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000343801745 161865317 /nfs/dbraw/zinc/86/53/17/161865317.db2.gz SBTSSYIVLDFVHT-JTQLQIEISA-N 0 0 280.372 2.763 20 5 CFBDRN O=c1ccn(Cc2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] ZINC000344171973 161962705 /nfs/dbraw/zinc/96/27/05/161962705.db2.gz BHUWMBBLOIDXEL-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)nc1 ZINC000344182367 161965476 /nfs/dbraw/zinc/96/54/76/161965476.db2.gz TYELIMIRZWVUOP-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCCN(CC(C)C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000344346103 162018164 /nfs/dbraw/zinc/01/81/64/162018164.db2.gz GGJCBCSSIKZJQW-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN O=[N+]([O-])c1cccc(CN[C@@H](CO)c2ccc(F)cc2)c1 ZINC000344375080 162026490 /nfs/dbraw/zinc/02/64/90/162026490.db2.gz JGVCGUJAMBDBKO-HNNXBMFYSA-N 0 0 290.294 2.557 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000344369500 162027406 /nfs/dbraw/zinc/02/74/06/162027406.db2.gz GMVCWUJUNWDDBI-KWBADKCTSA-N 0 0 277.324 2.754 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc3c(c2)CCO3)cn1 ZINC000344411365 162036744 /nfs/dbraw/zinc/03/67/44/162036744.db2.gz CJJPOAGCETXNMM-UHFFFAOYSA-N 0 0 285.303 2.579 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])nc2)cc1C ZINC000344423480 162040274 /nfs/dbraw/zinc/04/02/74/162040274.db2.gz RYMSBILIODOYDF-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN COCc1cc(CNc2ccc([N+](=O)[O-])nc2)ccc1F ZINC000344427001 162041530 /nfs/dbraw/zinc/04/15/30/162041530.db2.gz YDTJEINJWQIMDC-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC2CCCC2)cn1 ZINC000344427032 162041596 /nfs/dbraw/zinc/04/15/96/162041596.db2.gz YMGQVMRGCPVRQK-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN C[C@@H](Cc1ncc[nH]1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000344634797 162085643 /nfs/dbraw/zinc/08/56/43/162085643.db2.gz NCQCNNKRXONFLN-VIFPVBQESA-N 0 0 279.271 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2ccc(F)cn2)nc1 ZINC000344650747 162089412 /nfs/dbraw/zinc/08/94/12/162089412.db2.gz XYWYMNASHKSHNP-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN COc1cc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)ccn1 ZINC000344657583 162090455 /nfs/dbraw/zinc/09/04/55/162090455.db2.gz CGKCZBWEUGVOOP-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cn1c(C(=O)NCc2ccccc2Cl)ccc1[N+](=O)[O-] ZINC000344967622 162155522 /nfs/dbraw/zinc/15/55/22/162155522.db2.gz JGRFFOFOTJLLTD-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN Cc1cc(N(C)Cc2cc[nH]n2)c2cccc([N+](=O)[O-])c2n1 ZINC000345084616 162175712 /nfs/dbraw/zinc/17/57/12/162175712.db2.gz XJGNGQXCNDSGEU-UHFFFAOYSA-N 0 0 297.318 2.811 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccccn1 ZINC000345168473 162191446 /nfs/dbraw/zinc/19/14/46/162191446.db2.gz LVGJRGAXUMTYHP-UHFFFAOYSA-N 0 0 289.316 2.721 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000345249011 162208945 /nfs/dbraw/zinc/20/89/45/162208945.db2.gz CGFRROMAQJZSQV-PWSUYJOCSA-N 0 0 299.330 2.940 20 5 CFBDRN COc1cccc(NCCc2nc(C)oc2C)c1[N+](=O)[O-] ZINC000345347111 162232169 /nfs/dbraw/zinc/23/21/69/162232169.db2.gz LJMLJGPMTGNKBH-UHFFFAOYSA-N 0 0 291.307 2.863 20 5 CFBDRN Cc1c(CN[C@@H]2C[C@@H](C)n3ccnc32)cccc1[N+](=O)[O-] ZINC000345355457 162233377 /nfs/dbraw/zinc/23/33/77/162233377.db2.gz MCUBRBNBXJMWGR-ZWNOBZJWSA-N 0 0 286.335 2.895 20 5 CFBDRN Nc1c(F)c(NCCc2ccccc2)ccc1[N+](=O)[O-] ZINC000345445973 162249601 /nfs/dbraw/zinc/24/96/01/162249601.db2.gz VBJGCXDVUHYSIK-UHFFFAOYSA-N 0 0 275.283 2.971 20 5 CFBDRN C[C@H](CF)NC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000345860465 162265453 /nfs/dbraw/zinc/26/54/53/162265453.db2.gz YYLRVHNYMNHGCJ-ZCFIWIBFSA-N 0 0 294.204 2.701 20 5 CFBDRN COc1cccc(-c2nc(C3CC3)no2)c1[N+](=O)[O-] ZINC000345892478 162272819 /nfs/dbraw/zinc/27/28/19/162272819.db2.gz VITXIFPATUFOQS-UHFFFAOYSA-N 0 0 261.237 2.531 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000345920570 162276180 /nfs/dbraw/zinc/27/61/80/162276180.db2.gz COMCSTYDWOXZQS-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN COc1ccc(-c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)cc1 ZINC000345930163 162278247 /nfs/dbraw/zinc/27/82/47/162278247.db2.gz BYYUEXYNNSWUQY-UHFFFAOYSA-N 0 0 286.247 2.649 20 5 CFBDRN CCOc1ccc(-c2nc(C3CC3)no2)cc1[N+](=O)[O-] ZINC000345963435 162286649 /nfs/dbraw/zinc/28/66/49/162286649.db2.gz FGBDPOBBMULNGO-UHFFFAOYSA-N 0 0 275.264 2.921 20 5 CFBDRN Cc1ccnc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)n1 ZINC000346001037 162294802 /nfs/dbraw/zinc/29/48/02/162294802.db2.gz ZOEKRXOLUPSKBT-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](CCO)c2ccco2)n1 ZINC000346173019 162336818 /nfs/dbraw/zinc/33/68/18/162336818.db2.gz FTSXJYBJZCKXCW-NSHDSACASA-N 0 0 291.307 2.735 20 5 CFBDRN Cc1ccc(N(C)C(=O)CCc2cccc([N+](=O)[O-])c2)nc1 ZINC000346208835 162352380 /nfs/dbraw/zinc/35/23/80/162352380.db2.gz NMPGKSHRBRUNRQ-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2C[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000346232214 162361217 /nfs/dbraw/zinc/36/12/17/162361217.db2.gz IXMMIPCRCWYBPK-MNOVXSKESA-N 0 0 276.292 2.588 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000346249888 162363574 /nfs/dbraw/zinc/36/35/74/162363574.db2.gz PHJMCNQQLWUQBB-JOYOIKCWSA-N 0 0 277.324 2.501 20 5 CFBDRN CCCC[C@@H](COC)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000346446322 162417058 /nfs/dbraw/zinc/41/70/58/162417058.db2.gz LPNXLAFINAESJJ-VIFPVBQESA-N 0 0 298.368 2.921 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1noc2ccccc12 ZINC000347168341 162617494 /nfs/dbraw/zinc/61/74/94/162617494.db2.gz MLSPGQJDXQXQIH-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000347246846 162635764 /nfs/dbraw/zinc/63/57/64/162635764.db2.gz KBALROQGBNDBFA-UHFFFAOYSA-N 0 0 268.338 2.847 20 5 CFBDRN C[C@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1ccon1 ZINC000347257829 162639076 /nfs/dbraw/zinc/63/90/76/162639076.db2.gz AMAHRROVQKLMBP-ZETCQYMHSA-N 0 0 294.242 2.605 20 5 CFBDRN O=[N+]([O-])c1ncn(CSCc2ccc(Cl)cc2)n1 ZINC000347654017 162751228 /nfs/dbraw/zinc/75/12/28/162751228.db2.gz HVWBZDVDFLJABM-UHFFFAOYSA-N 0 0 284.728 2.731 20 5 CFBDRN COC1(C)CN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000347671466 162754753 /nfs/dbraw/zinc/75/47/53/162754753.db2.gz GYBVNUHIZHOKNI-UHFFFAOYSA-N 0 0 299.714 2.501 20 5 CFBDRN CCn1cc(CCn2nc(C)c3cc([N+](=O)[O-])ccc32)cn1 ZINC000347911360 162799806 /nfs/dbraw/zinc/79/98/06/162799806.db2.gz BMNGMMJRTDPDQU-UHFFFAOYSA-N 0 0 299.334 2.712 20 5 CFBDRN CCO[C@@H](CCNc1ccc([N+](=O)[O-])c(C)n1)C(C)C ZINC000348342594 162831274 /nfs/dbraw/zinc/83/12/74/162831274.db2.gz OHIFDWYHJVNABE-ZDUSSCGKSA-N 0 0 281.356 2.583 20 5 CFBDRN CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000348340918 162831573 /nfs/dbraw/zinc/83/15/73/162831573.db2.gz JMSKNWNFPNGZEY-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN Cc1ccc(NC(=O)Cc2cccnc2C)cc1[N+](=O)[O-] ZINC000348472404 162855862 /nfs/dbraw/zinc/85/58/62/162855862.db2.gz XPILBAFTYGWYMD-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN CCOc1cc(N(C)CC2CC2)ccc1[N+](=O)[O-] ZINC000348707525 162889778 /nfs/dbraw/zinc/88/97/78/162889778.db2.gz SNIJWDBATCXKDS-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN Cc1c(C(=O)NCC2(C(F)F)CC2)cccc1[N+](=O)[O-] ZINC000348766059 162899015 /nfs/dbraw/zinc/89/90/15/162899015.db2.gz UKYWMSWTRUWONY-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN C[C@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccon1 ZINC000349234732 162954732 /nfs/dbraw/zinc/95/47/32/162954732.db2.gz BDNPUWHOUNMZDK-QMMMGPOBSA-N 0 0 288.263 2.575 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ncccc2F)cc1[N+](=O)[O-] ZINC000349312742 162964525 /nfs/dbraw/zinc/96/45/25/162964525.db2.gz MVQBKWCZBKHOIR-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN C[C@@H]1OCC[C@@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000349784328 163016392 /nfs/dbraw/zinc/01/63/92/163016392.db2.gz URJBJFJYKAJUPW-KWQFWETISA-N 0 0 275.264 2.537 20 5 CFBDRN C[C@@H](Cc1ncc[nH]1)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000349818165 163019724 /nfs/dbraw/zinc/01/97/24/163019724.db2.gz HBAOEORFPCRNBS-QMMMGPOBSA-N 0 0 297.261 2.744 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(COc3cccnc3)n2)c1 ZINC000349863031 163027594 /nfs/dbraw/zinc/02/75/94/163027594.db2.gz CVTQGUHNQYCLGI-UHFFFAOYSA-N 0 0 298.258 2.619 20 5 CFBDRN Cc1noc(-c2ccc(OC(F)F)c([N+](=O)[O-])c2)n1 ZINC000349878497 163032504 /nfs/dbraw/zinc/03/25/04/163032504.db2.gz MDDZKIZMNPJLJY-UHFFFAOYSA-N 0 0 271.179 2.555 20 5 CFBDRN O=C1CCC(COc2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000349942505 163039709 /nfs/dbraw/zinc/03/97/09/163039709.db2.gz AKURNUWKCFFWER-UHFFFAOYSA-N 0 0 284.699 2.781 20 5 CFBDRN Cc1ccc(NC(=O)c2ccncc2C)cc1[N+](=O)[O-] ZINC000350018950 163052628 /nfs/dbraw/zinc/05/26/28/163052628.db2.gz PFIHBRCDJAFJDY-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CCN(C(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000350355314 163074027 /nfs/dbraw/zinc/07/40/27/163074027.db2.gz NKPCFZFBHWODAT-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN COC(C)(C)c1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000350497004 163088204 /nfs/dbraw/zinc/08/82/04/163088204.db2.gz HENUNQJNSDYSGB-UHFFFAOYSA-N 0 0 281.243 2.665 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1cccc(C)c1C ZINC000350733208 163102648 /nfs/dbraw/zinc/10/26/48/163102648.db2.gz YLKJMBDANDBHQX-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC[C@H](F)C1 ZINC000351143761 163119877 /nfs/dbraw/zinc/11/98/77/163119877.db2.gz LDBNIGJLGMNJHS-DTWKUNHWSA-N 0 0 297.286 2.616 20 5 CFBDRN COC1CC(N(C)C(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000351210024 163121940 /nfs/dbraw/zinc/12/19/40/163121940.db2.gz YOKRZAODCBTRQH-UHFFFAOYSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1ccc(NC(=O)c2ccnc(C3CC3)n2)cc1[N+](=O)[O-] ZINC000351508465 163136974 /nfs/dbraw/zinc/13/69/74/163136974.db2.gz UMUXEAYSNFAMBR-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN O=C(N[C@H](c1ccccc1)C(F)F)c1ccc([N+](=O)[O-])[nH]1 ZINC000351510236 163137458 /nfs/dbraw/zinc/13/74/58/163137458.db2.gz DSSSEZWAZUPUBO-LLVKDONJSA-N 0 0 295.245 2.659 20 5 CFBDRN COCc1noc(/C(C)=C\c2ccc([N+](=O)[O-])cc2)n1 ZINC000351539338 163143517 /nfs/dbraw/zinc/14/35/17/163143517.db2.gz MKYFANKTLQCSNL-CLFYSBASSA-N 0 0 275.264 2.685 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](CO)c2ccsc2)n1 ZINC000351543719 163143635 /nfs/dbraw/zinc/14/36/35/163143635.db2.gz KPXSMKBOPCXBHY-LLVKDONJSA-N 0 0 293.348 2.814 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1cc[nH]c1 ZINC000351629602 163161937 /nfs/dbraw/zinc/16/19/37/163161937.db2.gz NIXFQGBSHWBCBW-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN Cc1ncsc1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000351676463 163171564 /nfs/dbraw/zinc/17/15/64/163171564.db2.gz AZNXSLHFMYSFRD-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN Cc1ncsc1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000351671069 163171629 /nfs/dbraw/zinc/17/16/29/163171629.db2.gz YRBJYWLVUOJELY-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(N[C@H]1CC2CCC1CC2)c1cccc([N+](=O)[O-])c1 ZINC000351683406 163172735 /nfs/dbraw/zinc/17/27/35/163172735.db2.gz ORDARCQWWOHGHI-MGULZYLOSA-N 0 0 274.320 2.903 20 5 CFBDRN Cc1ncsc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000351680916 163172923 /nfs/dbraw/zinc/17/29/23/163172923.db2.gz UIHGBHGFZABYRR-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(NCc1cnc2ccccc2c1)c1ccc([N+](=O)[O-])o1 ZINC000351718409 163180943 /nfs/dbraw/zinc/18/09/43/163180943.db2.gz JNENDIDUFBYKPK-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN CC(=O)c1ccc(NC[C@]2(C)CCOC2)c([N+](=O)[O-])c1 ZINC000351764760 163191477 /nfs/dbraw/zinc/19/14/77/163191477.db2.gz SLMDDDXEHYMMDX-AWEZNQCLSA-N 0 0 278.308 2.636 20 5 CFBDRN CCc1cnccc1CNc1ncccc1[N+](=O)[O-] ZINC000351880259 163209388 /nfs/dbraw/zinc/20/93/88/163209388.db2.gz ROPZIPMWVBBAQA-UHFFFAOYSA-N 0 0 258.281 2.559 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000352880415 163258824 /nfs/dbraw/zinc/25/88/24/163258824.db2.gz AEPXKVCPBKXSAJ-CMPLNLGQSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000353233004 163323220 /nfs/dbraw/zinc/32/32/20/163323220.db2.gz FEKUYLVXRYFHSI-RYUDHWBXSA-N 0 0 291.351 2.830 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000353443374 163364284 /nfs/dbraw/zinc/36/42/84/163364284.db2.gz XCMHWQPYISIFJG-AAEUAGOBSA-N 0 0 291.351 2.830 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@]1(C)CCOC1 ZINC000353444548 163367731 /nfs/dbraw/zinc/36/77/31/163367731.db2.gz ZHJQZYFHLPFVEH-AWEZNQCLSA-N 0 0 280.324 2.580 20 5 CFBDRN COC[C@H](Cc1ccccc1)Nc1ncccc1[N+](=O)[O-] ZINC000353475695 163371835 /nfs/dbraw/zinc/37/18/35/163371835.db2.gz KCWJTKZTQFSRAY-ZDUSSCGKSA-N 0 0 287.319 2.659 20 5 CFBDRN CCO[C@@H](CCNc1ccc([N+](=O)[O-])cn1)C1CCCC1 ZINC000353492648 163377202 /nfs/dbraw/zinc/37/72/02/163377202.db2.gz XQUPHKZALGAZOS-AWEZNQCLSA-N 0 0 293.367 2.809 20 5 CFBDRN CCOc1cccc(CN2CCc3c2cccc3[N+](=O)[O-])n1 ZINC000353545095 163390777 /nfs/dbraw/zinc/39/07/77/163390777.db2.gz CYMLOYINVZONEL-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3ccncc32)cc1[N+](=O)[O-] ZINC000354156439 163531893 /nfs/dbraw/zinc/53/18/93/163531893.db2.gz ZLSQHOKNVSMFHF-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CC[C@@H]1CCN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000297738091 287087236 /nfs/dbraw/zinc/08/72/36/287087236.db2.gz HURDZJRVOVHLPE-GFCCVEGCSA-N 0 0 276.336 2.883 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000421952657 287176132 /nfs/dbraw/zinc/17/61/32/287176132.db2.gz MIQOAMKNMMJGEZ-VXGBXAGGSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000153749443 287214116 /nfs/dbraw/zinc/21/41/16/287214116.db2.gz WNMLSJASXLEPRJ-WCBMZHEXSA-N 0 0 285.731 2.647 20 5 CFBDRN O=C(Nc1ccc(F)c(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000005568225 170114490 /nfs/dbraw/zinc/11/44/90/170114490.db2.gz BGLSJFQPLVXKMD-UHFFFAOYSA-N 0 0 268.175 2.718 20 5 CFBDRN COc1cccc(COc2ccc(C=O)cc2[N+](=O)[O-])c1 ZINC000007434664 170284452 /nfs/dbraw/zinc/28/44/52/170284452.db2.gz DVWFXLQJPIPWCP-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Oc1ccccc1 ZINC000007919225 170308109 /nfs/dbraw/zinc/30/81/09/170308109.db2.gz UAPWUTQYCKTBKO-UHFFFAOYSA-N 0 0 273.244 2.823 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC=C(C)CC2)c1 ZINC000284360338 196038640 /nfs/dbraw/zinc/03/86/40/196038640.db2.gz KQYWQDMCNYMURB-UHFFFAOYSA-N 0 0 290.319 2.786 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1c1ncc([N+](=O)[O-])cc1F ZINC000413260526 287236030 /nfs/dbraw/zinc/23/60/30/287236030.db2.gz HXNSLMCUHHKLJY-SECBINFHSA-N 0 0 265.288 2.898 20 5 CFBDRN O=[N+]([O-])c1ccc(CS[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000284368902 196040903 /nfs/dbraw/zinc/04/09/03/196040903.db2.gz XGDXUJQQBQLVLB-LLVKDONJSA-N 0 0 298.320 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@H](O)c1ccccc1 ZINC000011520973 170419495 /nfs/dbraw/zinc/41/94/95/170419495.db2.gz MYFFSRODYJNIRN-ZDUSSCGKSA-N 0 0 277.251 2.846 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000335459877 287245822 /nfs/dbraw/zinc/24/58/22/287245822.db2.gz LTLYQEDKKWJIIT-LLVKDONJSA-N 0 0 276.336 2.927 20 5 CFBDRN CC(C)N(C)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000019278109 170770095 /nfs/dbraw/zinc/77/00/95/170770095.db2.gz RPSLUOBANMIODK-UHFFFAOYSA-N 0 0 256.689 2.729 20 5 CFBDRN Cc1ccc(NC(=O)c2ccccc2N)cc1[N+](=O)[O-] ZINC000019675288 170837031 /nfs/dbraw/zinc/83/70/31/170837031.db2.gz NVGPJNZRMWYILU-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000020123811 171005725 /nfs/dbraw/zinc/00/57/25/171005725.db2.gz DGMDJRKHNHXBHX-NSHDSACASA-N 0 0 257.293 2.841 20 5 CFBDRN C[C@@H](NCc1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000020127929 171013611 /nfs/dbraw/zinc/01/36/11/171013611.db2.gz JWFZQOMAKPPACQ-LLVKDONJSA-N 0 0 257.293 2.841 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])cc(C)c2F)C1 ZINC000284941354 196160893 /nfs/dbraw/zinc/16/08/93/196160893.db2.gz OEFRFIZNJODWSK-MNOVXSKESA-N 0 0 297.282 2.767 20 5 CFBDRN Cc1nn(C)c(-c2nc(CCC(C)(C)C)no2)c1[N+](=O)[O-] ZINC000284981999 196170371 /nfs/dbraw/zinc/17/03/71/196170371.db2.gz BTOPEOGXBRQUOK-UHFFFAOYSA-N 0 0 293.327 2.665 20 5 CFBDRN COCC1(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCC1 ZINC000285102888 196197497 /nfs/dbraw/zinc/19/74/97/196197497.db2.gz GKTZNYUISWGIHZ-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CCc1ccc(N(CC)C(=O)c2cc([N+](=O)[O-])n[nH]2)cc1 ZINC000285245700 196231550 /nfs/dbraw/zinc/23/15/50/196231550.db2.gz RKMFXNJJPQHHEX-UHFFFAOYSA-N 0 0 288.307 2.547 20 5 CFBDRN C[C@H](NCc1cc(F)ccc1[N+](=O)[O-])c1cccnc1 ZINC000035692067 172360556 /nfs/dbraw/zinc/36/05/56/172360556.db2.gz VXPAGKIXSMXJBO-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])Cc1cscn1 ZINC000285381193 196267014 /nfs/dbraw/zinc/26/70/14/196267014.db2.gz RQFRNAAFGJDHRJ-UHFFFAOYSA-N 0 0 277.349 2.726 20 5 CFBDRN O=[N+]([O-])c1cnn(CCN2CCc3cc(Cl)ccc32)c1 ZINC000285414763 196277838 /nfs/dbraw/zinc/27/78/38/196277838.db2.gz JHLMMDMPRRXEHL-UHFFFAOYSA-N 0 0 292.726 2.507 20 5 CFBDRN COCC1(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCC1 ZINC000285422242 196280201 /nfs/dbraw/zinc/28/02/01/196280201.db2.gz NVBIVHKGDLGAEU-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN COCC1(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CCC1 ZINC000285486262 196299457 /nfs/dbraw/zinc/29/94/57/196299457.db2.gz DIGHWPCQCUMKQY-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000036733802 172664754 /nfs/dbraw/zinc/66/47/54/172664754.db2.gz DBMKBDWKQLXGCU-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1cc(N[C@H](c2ncc[nH]2)C(C)C)ncc1[N+](=O)[O-] ZINC000285495774 196304162 /nfs/dbraw/zinc/30/41/62/196304162.db2.gz DZGKKGUHEDIBKW-LBPRGKRZSA-N 0 0 275.312 2.831 20 5 CFBDRN Cc1nc(N[C@H](c2ncc[nH]2)C(C)C)ccc1[N+](=O)[O-] ZINC000285559589 196326953 /nfs/dbraw/zinc/32/69/53/196326953.db2.gz JCSYHRRLWRAGFW-LBPRGKRZSA-N 0 0 275.312 2.831 20 5 CFBDRN Cn1nccc1C1=CCN(Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000285567594 196329054 /nfs/dbraw/zinc/32/90/54/196329054.db2.gz JYEJTASWUAMXCY-UHFFFAOYSA-N 0 0 298.346 2.618 20 5 CFBDRN CON(C[C@@H](O)c1cccc([N+](=O)[O-])c1)CC(C)(C)C ZINC000285577893 196333440 /nfs/dbraw/zinc/33/34/40/196333440.db2.gz TUTJSAVWVDDFKQ-CYBMUJFWSA-N 0 0 282.340 2.538 20 5 CFBDRN CON(C[C@H](O)c1cccc([N+](=O)[O-])c1)CC(C)(C)C ZINC000285577897 196333460 /nfs/dbraw/zinc/33/34/60/196333460.db2.gz TUTJSAVWVDDFKQ-ZDUSSCGKSA-N 0 0 282.340 2.538 20 5 CFBDRN CO[C@@H](C)CN(C)Cc1cc([N+](=O)[O-])ccc1OC(C)C ZINC000285634156 196351737 /nfs/dbraw/zinc/35/17/37/196351737.db2.gz KZOKXUQSWAUZDB-LBPRGKRZSA-N 0 0 296.367 2.849 20 5 CFBDRN Cc1noc([C@H]2CCCN2Cc2ccccc2[N+](=O)[O-])n1 ZINC000285723892 196379254 /nfs/dbraw/zinc/37/92/54/196379254.db2.gz QFXYZKARIUDAAU-CYBMUJFWSA-N 0 0 288.307 2.623 20 5 CFBDRN CC[C@H](C)[C@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000037313051 173115187 /nfs/dbraw/zinc/11/51/87/173115187.db2.gz SXXKHSQGEYLVER-HZMBPMFUSA-N 0 0 294.351 2.581 20 5 CFBDRN COC[C@@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000037378943 173167292 /nfs/dbraw/zinc/16/72/92/173167292.db2.gz ILBXSZQDLAVDNC-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN C[C@H](NCc1csc([N+](=O)[O-])c1)c1cccnc1 ZINC000037562587 173272911 /nfs/dbraw/zinc/27/29/11/173272911.db2.gz SMDQBLLYNXHBMT-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN Cc1ccc(NC[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000038046455 174055236 /nfs/dbraw/zinc/05/52/36/174055236.db2.gz OFXLDZCCTVVWTJ-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCOC2)c2cccnc21 ZINC000038094747 174108373 /nfs/dbraw/zinc/10/83/73/174108373.db2.gz BUMAXUHXUMQELT-JTQLQIEISA-N 0 0 273.292 2.591 20 5 CFBDRN CC(C)CCN(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000038105023 174120318 /nfs/dbraw/zinc/12/03/18/174120318.db2.gz OBENZMCDVSFIKF-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCc1ccsc1 ZINC000038586257 174148597 /nfs/dbraw/zinc/14/85/97/174148597.db2.gz CFFIUIKMMBFCNJ-UHFFFAOYSA-N 0 0 276.317 2.515 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCc1ccsc1 ZINC000038586295 174148610 /nfs/dbraw/zinc/14/86/10/174148610.db2.gz BPOCZSPMADNXST-ZZXKWVIFSA-N 0 0 288.328 2.986 20 5 CFBDRN Cc1ncsc1CCOc1ccc([N+](=O)[O-])cc1C=O ZINC000040176645 174202359 /nfs/dbraw/zinc/20/23/59/174202359.db2.gz PWMHEZFVJIFQDV-UHFFFAOYSA-N 0 0 292.316 2.794 20 5 CFBDRN CCCCOCCNc1cccc(F)c1[N+](=O)[O-] ZINC000040507554 174302542 /nfs/dbraw/zinc/30/25/42/174302542.db2.gz DYWBKWHGYSUTEX-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN CCCCOCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000040507531 174302869 /nfs/dbraw/zinc/30/28/69/174302869.db2.gz SRLDETCTAIAINH-UHFFFAOYSA-N 0 0 284.341 2.533 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000040724330 174386507 /nfs/dbraw/zinc/38/65/07/174386507.db2.gz RMYBFOWWLGHELZ-QMMMGPOBSA-N 0 0 268.700 2.730 20 5 CFBDRN CCN(Cc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccccc1 ZINC000041873526 174625088 /nfs/dbraw/zinc/62/50/88/174625088.db2.gz CGUZZXDPSAIUDC-UHFFFAOYSA-N 0 0 299.330 2.720 20 5 CFBDRN O=C(NC[C@@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1Cl ZINC000042821437 174887219 /nfs/dbraw/zinc/88/72/19/174887219.db2.gz CJVUGOUCEGZFQE-JTQLQIEISA-N 0 0 298.726 2.547 20 5 CFBDRN COC(=O)[C@H](CC(C)C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000043436374 175045520 /nfs/dbraw/zinc/04/55/20/175045520.db2.gz BNBWQWNZVACYKX-LBPRGKRZSA-N 0 0 280.324 2.903 20 5 CFBDRN O=C([O-])CC[C@@H]1CCC[N@H+](Cc2cccc([N+](=O)[O-])c2)C1 ZINC000044428283 175175690 /nfs/dbraw/zinc/17/56/90/175175690.db2.gz GRXYNSUXPYAZLU-LBPRGKRZSA-N 0 0 292.335 2.672 20 5 CFBDRN O=C([O-])CC[C@H]1CCC[N@H+](Cc2cccc([N+](=O)[O-])c2)C1 ZINC000044428281 175175703 /nfs/dbraw/zinc/17/57/03/175175703.db2.gz GRXYNSUXPYAZLU-GFCCVEGCSA-N 0 0 292.335 2.672 20 5 CFBDRN Cc1cc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000044692671 175234007 /nfs/dbraw/zinc/23/40/07/175234007.db2.gz ODKUYMFSCHFYSE-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN COc1ccc(C(=O)NCCC2CCCC2)cc1[N+](=O)[O-] ZINC000044705332 175235390 /nfs/dbraw/zinc/23/53/90/175235390.db2.gz XXTPZFPLIHRHTG-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCCn1ccnc1 ZINC000045108323 175309030 /nfs/dbraw/zinc/30/90/30/175309030.db2.gz GRXJKFAYDJAVAR-UHFFFAOYSA-N 0 0 274.324 2.992 20 5 CFBDRN CCC(C)(C)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000046539526 175397471 /nfs/dbraw/zinc/39/74/71/175397471.db2.gz NGCCGLSRVZSOKV-UHFFFAOYSA-N 0 0 265.313 2.555 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000049243542 175469867 /nfs/dbraw/zinc/46/98/67/175469867.db2.gz BISMAQJKTAQGCI-SCVCMEIPSA-N 0 0 277.324 2.611 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCOC2CCC2)c1 ZINC000286369827 196582804 /nfs/dbraw/zinc/58/28/04/196582804.db2.gz CQELDPCNGFVSLW-UHFFFAOYSA-N 0 0 255.245 2.682 20 5 CFBDRN Cc1cccc(CNc2nccc(C)c2[N+](=O)[O-])n1 ZINC000070496263 175957443 /nfs/dbraw/zinc/95/74/43/175957443.db2.gz ZKDAVGQGGONVLX-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CCOC(=O)[C@@H]1CCCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000070741034 176004578 /nfs/dbraw/zinc/00/45/78/176004578.db2.gz ASVCEXFTTWLURN-AWEZNQCLSA-N 0 0 292.335 2.512 20 5 CFBDRN Cc1nn(CCCOc2ccccc2)c(C)c1[N+](=O)[O-] ZINC000071800942 176266331 /nfs/dbraw/zinc/26/63/31/176266331.db2.gz JBVGPUAOBCPXLG-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)OC(C)(C)C)c1 ZINC000071815307 176268760 /nfs/dbraw/zinc/26/87/60/176268760.db2.gz RSLOSYMVPFQGEK-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)Nc2ccc([N+](=O)[O-])cc2)O1 ZINC000074235233 176513906 /nfs/dbraw/zinc/51/39/06/176513906.db2.gz ZLZFFBGPYZJMJB-GXFFZTMASA-N 0 0 278.308 2.881 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCC2(C)C)c([N+](=O)[O-])c1 ZINC000286532384 196632395 /nfs/dbraw/zinc/63/23/95/196632395.db2.gz VCUOQEUSYUZKQY-GFCCVEGCSA-N 0 0 277.324 2.555 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC=CCC1 ZINC000076779649 177089052 /nfs/dbraw/zinc/08/90/52/177089052.db2.gz RBHNTHUPEAQOSX-SNVBAGLBSA-N 0 0 261.281 2.825 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000078008792 177317802 /nfs/dbraw/zinc/31/78/02/177317802.db2.gz OXNUSZHLJJDFTA-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN O=C(CNc1ccc(F)c([N+](=O)[O-])c1)N1CCCCCC1 ZINC000078245590 177328115 /nfs/dbraw/zinc/32/81/15/177328115.db2.gz ILIKEKCRNWKPGY-UHFFFAOYSA-N 0 0 295.314 2.548 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000078309307 177332405 /nfs/dbraw/zinc/33/24/05/177332405.db2.gz ATGQWRKRKRLHIP-CYBMUJFWSA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@@H](O)CCN(C)c1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000078417740 177339960 /nfs/dbraw/zinc/33/99/60/177339960.db2.gz RAYQCKUDRFMWHJ-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCC1(O)CCC1 ZINC000078601581 177358717 /nfs/dbraw/zinc/35/87/17/177358717.db2.gz FVEMWNDEUQCGNG-UHFFFAOYSA-N 0 0 290.241 2.941 20 5 CFBDRN Cc1ncc(CN(C)c2ncc(C)cc2[N+](=O)[O-])s1 ZINC000078632338 177362012 /nfs/dbraw/zinc/36/20/12/177362012.db2.gz FNMMUEWUPOLYCZ-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN CCC[C@@H](CCO)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000078672932 177368944 /nfs/dbraw/zinc/36/89/44/177368944.db2.gz KNNUVBMKMCKYMZ-JTQLQIEISA-N 0 0 270.304 2.945 20 5 CFBDRN C[C@H](O)CCNc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000078771557 177384734 /nfs/dbraw/zinc/38/47/34/177384734.db2.gz CLOGEKFGPONZPX-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000172638379 287340027 /nfs/dbraw/zinc/34/00/27/287340027.db2.gz ZYFBPPQKUIESDO-MNOVXSKESA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000037911602 287366201 /nfs/dbraw/zinc/36/62/01/287366201.db2.gz YOPCIFJXYCYEDW-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@@H]1CCC[C@H](Cn2cc([N+](=O)[O-])ccc2=O)C1 ZINC000185479905 287462339 /nfs/dbraw/zinc/46/23/39/287462339.db2.gz HDDCDMSCXIEUDQ-MNOVXSKESA-N 0 0 250.298 2.583 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(O)cc2O)cc1[N+](=O)[O-] ZINC000192712802 227270061 /nfs/dbraw/zinc/27/00/61/227270061.db2.gz CCMXGSACHATRNT-UHFFFAOYSA-N 0 0 288.259 2.567 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCCCCC1 ZINC000037669442 304498275 /nfs/dbraw/zinc/49/82/75/304498275.db2.gz QNYQIKFYODMADV-UHFFFAOYSA-N 0 0 266.272 2.750 20 5 CFBDRN O=[N+]([O-])c1cc(NCCC2CCOCC2)nc2[nH]ccc21 ZINC001154850284 782035511 /nfs/dbraw/zinc/03/55/11/782035511.db2.gz ABUSOUGYOAAZNM-UHFFFAOYSA-N 0 0 290.323 2.700 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]3C[C@H]3C2)c(Br)c1 ZINC000397881713 270320847 /nfs/dbraw/zinc/32/08/47/270320847.db2.gz OBBWITOHPCPBGJ-RNLVFQAGSA-N 0 0 298.140 2.963 20 5 CFBDRN Cc1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000195524241 270254141 /nfs/dbraw/zinc/25/41/41/270254141.db2.gz JLAGOCBRFRGLTC-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CNc1ccc(C(=O)N(C)[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000027181032 260122437 /nfs/dbraw/zinc/12/24/37/260122437.db2.gz KZSFQRNOHBDXSK-JTQLQIEISA-N 0 0 279.340 2.753 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000313094238 260246482 /nfs/dbraw/zinc/24/64/82/260246482.db2.gz VLZWYXDDLXISIR-JQWIXIFHSA-N 0 0 268.313 2.565 20 5 CFBDRN CC(C)Oc1cc(NCc2ccc([N+](=O)[O-])cc2)ncn1 ZINC000090793059 260261690 /nfs/dbraw/zinc/26/16/90/260261690.db2.gz BBEOTFGOTBAFOH-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN Cc1[nH]nc(NC(=O)C=Cc2ccc([N+](=O)[O-])cc2)c1C ZINC000313540724 260302043 /nfs/dbraw/zinc/30/20/43/260302043.db2.gz KUIYFFZYFYSMRD-YVMONPNESA-N 0 0 286.291 2.587 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000393552885 260310861 /nfs/dbraw/zinc/31/08/61/260310861.db2.gz UWPGECZAXZXRSW-UHFFFAOYSA-N 0 0 270.304 2.943 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000084203155 260361205 /nfs/dbraw/zinc/36/12/05/260361205.db2.gz OBKYDSVXHRAQMX-UHFFFAOYSA-N 0 0 285.303 2.652 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000425410577 533685832 /nfs/dbraw/zinc/68/58/32/533685832.db2.gz BJCXKOWLJUEFQN-CABZTGNLSA-N 0 0 275.308 2.508 20 5 CFBDRN CCC1CCC(CNC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000519032006 265300207 /nfs/dbraw/zinc/30/02/07/265300207.db2.gz FEERJGALZZSURM-UHFFFAOYSA-N 0 0 293.367 2.880 20 5 CFBDRN CC[C@H](NC(=O)COc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000520636088 265884421 /nfs/dbraw/zinc/88/44/21/265884421.db2.gz LQDHMSAPXDYRLA-ZDUSSCGKSA-N 0 0 294.351 2.915 20 5 CFBDRN Cc1c(CN(C)c2cc(C)ccc2[N+](=O)[O-])cnn1C ZINC000514652226 266027587 /nfs/dbraw/zinc/02/75/87/266027587.db2.gz NHFWQODZBYREMA-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN CN(Cc1ccon1)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000269051106 270277047 /nfs/dbraw/zinc/27/70/47/270277047.db2.gz QZXKUWWADQLESN-UHFFFAOYSA-N 0 0 281.699 2.868 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1NCC(C)C ZINC000303790265 270286656 /nfs/dbraw/zinc/28/66/56/270286656.db2.gz QDLZQAMUSJUYAX-UHFFFAOYSA-N 0 0 284.287 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)C2CCC2)c2cccnc21 ZINC000315644498 270292318 /nfs/dbraw/zinc/29/23/18/270292318.db2.gz HBMIXIGFZGIFPF-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN CCc1nn(C)c(N[C@H](C2CC2)C2CCC2)c1[N+](=O)[O-] ZINC000324895818 270298376 /nfs/dbraw/zinc/29/83/76/270298376.db2.gz NEYZQODJJLVLSQ-LBPRGKRZSA-N 0 0 278.356 2.881 20 5 CFBDRN Cc1cc(CNCc2csc([N+](=O)[O-])c2)ccn1 ZINC000391188822 270306089 /nfs/dbraw/zinc/30/60/89/270306089.db2.gz UNCNZWWXNJSUMP-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN Cc1nnc([C@H](C)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)[nH]1 ZINC000392374632 270306890 /nfs/dbraw/zinc/30/68/90/270306890.db2.gz HYRMNTAVHLQGGL-UWVGGRQHSA-N 0 0 289.339 2.742 20 5 CFBDRN CCOc1cc(NCCC(C)(C)CO)ccc1[N+](=O)[O-] ZINC000395186787 270311629 /nfs/dbraw/zinc/31/16/29/270311629.db2.gz YUQBVJXWANTEEF-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCCC3(CO)CC3)ccnc21 ZINC000395901111 270313647 /nfs/dbraw/zinc/31/36/47/270313647.db2.gz ZXLZKZLCVSPJLR-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN Cc1c(CN[C@@H](C)c2ccncn2)cccc1[N+](=O)[O-] ZINC000395874832 270313681 /nfs/dbraw/zinc/31/36/81/270313681.db2.gz ALAJDCIBXMETQC-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1C[C@@]1(C)C(C)C ZINC000397271199 270316683 /nfs/dbraw/zinc/31/66/83/270316683.db2.gz JHCXIRJXCUEPDT-GWCFXTLKSA-N 0 0 266.345 2.966 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1C[C@]1(C)C(C)C ZINC000397284834 270317201 /nfs/dbraw/zinc/31/72/01/270317201.db2.gz OCDFMSBUPDPLDO-GXFFZTMASA-N 0 0 266.345 2.966 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1C[C@@]1(C)C(C)C ZINC000397284832 270317299 /nfs/dbraw/zinc/31/72/99/270317299.db2.gz OCDFMSBUPDPLDO-GWCFXTLKSA-N 0 0 266.345 2.966 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@]1(C)C(C)C ZINC000397284838 270317500 /nfs/dbraw/zinc/31/75/00/270317500.db2.gz OCDFMSBUPDPLDO-ZWNOBZJWSA-N 0 0 266.345 2.966 20 5 CFBDRN CCc1nn(C)c(N[C@H]2C[C@@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000397283119 270317599 /nfs/dbraw/zinc/31/75/99/270317599.db2.gz NKSYHIQGSHESSI-GWCFXTLKSA-N 0 0 266.345 2.737 20 5 CFBDRN Cc1cc(NCC2=CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000397758214 270319576 /nfs/dbraw/zinc/31/95/76/270319576.db2.gz IWQQOOKQTBKJAA-UHFFFAOYSA-N 0 0 266.272 2.801 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)CS1 ZINC000397845334 270319864 /nfs/dbraw/zinc/31/98/64/270319864.db2.gz DUKRELAPMDCZBG-RNFRBKRXSA-N 0 0 273.745 2.949 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2ncc(Cl)cc2[N+](=O)[O-])O1 ZINC000397856049 270320228 /nfs/dbraw/zinc/32/02/28/270320228.db2.gz BCSALXUPGUEFSA-VXNVDRBHSA-N 0 0 271.704 2.623 20 5 CFBDRN Cc1ncc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000398005650 270321007 /nfs/dbraw/zinc/32/10/07/270321007.db2.gz XSFUDVQDBHXDNU-SECBINFHSA-N 0 0 261.281 2.742 20 5 CFBDRN CNc1c(C(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000399668136 270329883 /nfs/dbraw/zinc/32/98/83/270329883.db2.gz MXOYPHDPDJQVPR-AXFHLTTASA-N 0 0 291.351 2.753 20 5 CFBDRN Cc1cc(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)ncn1 ZINC000488213899 270342704 /nfs/dbraw/zinc/34/27/04/270342704.db2.gz DDUOLQGNDYJCPW-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN Cc1ccncc1/C=C\C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000492497357 270344800 /nfs/dbraw/zinc/34/48/00/270344800.db2.gz DYASAVDUPKQOFZ-UTCJRWHESA-N 0 0 298.302 2.654 20 5 CFBDRN CCC1(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000514994319 270360364 /nfs/dbraw/zinc/36/03/64/270360364.db2.gz CRIOQQUWMNJWNN-SNVBAGLBSA-N 0 0 262.309 2.757 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](CC(C)C)OC ZINC000515654460 270373258 /nfs/dbraw/zinc/37/32/58/270373258.db2.gz SNXOWJFYDKACIQ-CYBMUJFWSA-N 0 0 295.339 2.636 20 5 CFBDRN O=C(NCC1CCCCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000515990947 270376351 /nfs/dbraw/zinc/37/63/51/270376351.db2.gz IYBLCZRRGLEZBP-UHFFFAOYSA-N 0 0 277.324 2.690 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H]2CO)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000517269559 270402366 /nfs/dbraw/zinc/40/23/66/270402366.db2.gz MPKINJVVLMTPNZ-IONNQARKSA-N 0 0 290.241 2.715 20 5 CFBDRN CCC[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)C1CCCCC1 ZINC000524494967 270463205 /nfs/dbraw/zinc/46/32/05/270463205.db2.gz UXRNYEKMWVXIAI-NSHDSACASA-N 0 0 293.371 2.958 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@H]1CC12CCCC2 ZINC000531095584 270822054 /nfs/dbraw/zinc/82/20/54/270822054.db2.gz STPYVROIOHJIAB-CYBMUJFWSA-N 0 0 274.320 2.791 20 5 CFBDRN CCc1nc(NC(=O)c2ccc([N+](=O)[O-])cn2)sc1C ZINC000531878270 270846227 /nfs/dbraw/zinc/84/62/27/270846227.db2.gz JRFCSKSTCBFCDR-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC1(C2CC2)CC1 ZINC000532381651 270859140 /nfs/dbraw/zinc/85/91/40/270859140.db2.gz YLIOOHIGVZUAKJ-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN O=C([O-])C1([NH2+]Cc2ccc(Cl)cc2[N+](=O)[O-])CCCC1 ZINC000532881183 270869416 /nfs/dbraw/zinc/86/94/16/270869416.db2.gz KIZLSYUDQZHWSG-UHFFFAOYSA-N 0 0 298.726 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2C[C@@H](O)Cc3ccccc32)cc1 ZINC000434681450 275035156 /nfs/dbraw/zinc/03/51/56/275035156.db2.gz OQNGDZZCVDXBKA-INIZCTEOSA-N 0 0 298.342 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3CCC2CC3)c([N+](=O)[O-])c1 ZINC000367461525 275035480 /nfs/dbraw/zinc/03/54/80/275035480.db2.gz AJVRIZFNJLVBGE-UHFFFAOYSA-N 0 0 291.307 2.877 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000280249700 275527727 /nfs/dbraw/zinc/52/77/27/275527727.db2.gz NATMLAWKPPTQLQ-SNVBAGLBSA-N 0 0 264.329 2.647 20 5 CFBDRN CC1(C)CC(C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)C1 ZINC000336467952 275808614 /nfs/dbraw/zinc/80/86/14/275808614.db2.gz IBYFFLICUGKNCH-UHFFFAOYSA-N 0 0 288.347 2.916 20 5 CFBDRN CC1(C)CN(c2ccnc3c2cccc3[N+](=O)[O-])CCO1 ZINC000432326153 275850958 /nfs/dbraw/zinc/85/09/58/275850958.db2.gz ADODGWXGUINIBF-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000270799034 275870675 /nfs/dbraw/zinc/87/06/75/275870675.db2.gz NFYMECYKPFOFHS-GFCCVEGCSA-N 0 0 285.303 2.671 20 5 CFBDRN CC1(C)OCC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450644110 275881608 /nfs/dbraw/zinc/88/16/08/275881608.db2.gz RQAKRGZUKIVKGG-CYBMUJFWSA-N 0 0 287.319 2.544 20 5 CFBDRN CC1(C)SC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000338426072 275884158 /nfs/dbraw/zinc/88/41/58/275884158.db2.gz VPSZHJKLUOOIJL-GFCCVEGCSA-N 0 0 289.360 2.871 20 5 CFBDRN CC1(F)CC(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000334868278 275898450 /nfs/dbraw/zinc/89/84/50/275898450.db2.gz OTXXCYNRLYLMGZ-UHFFFAOYSA-N 0 0 291.282 2.697 20 5 CFBDRN CCC1(O)CN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000089652809 275965728 /nfs/dbraw/zinc/96/57/28/275965728.db2.gz SEDWQYOOLUCGLB-UHFFFAOYSA-N 0 0 290.241 2.575 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1Nc1ncc([N+](=O)[O-])cc1F ZINC000413429249 276010579 /nfs/dbraw/zinc/01/05/79/276010579.db2.gz DAKMFJXYSISIEE-ZYHUDNBSSA-N 0 0 253.277 2.975 20 5 CFBDRN CC1(C)CCN1C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000491923148 276114065 /nfs/dbraw/zinc/11/40/65/276114065.db2.gz CHFVFJBQJGIJQY-GQCTYLIASA-N 0 0 278.283 2.758 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@H]1C1CC1 ZINC000291082422 276128849 /nfs/dbraw/zinc/12/88/49/276128849.db2.gz JYETVEDMNOVEGD-ZDUSSCGKSA-N 0 0 274.320 2.855 20 5 CFBDRN CC1(C2CC2)CCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000334490124 276166202 /nfs/dbraw/zinc/16/62/02/276166202.db2.gz BBYNTRXZGMTXSC-UHFFFAOYSA-N 0 0 278.308 2.840 20 5 CFBDRN CC1=C(C(=O)N2CCc3c2cccc3[N+](=O)[O-])CCC1 ZINC000331568687 276174817 /nfs/dbraw/zinc/17/48/17/276174817.db2.gz KDJSGBHPFQETJE-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN CC1=C(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000280199229 276176729 /nfs/dbraw/zinc/17/67/29/276176729.db2.gz IWYZJOLZCGHIBZ-UHFFFAOYSA-N 0 0 278.283 2.916 20 5 CFBDRN CC1=CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000280794188 276178708 /nfs/dbraw/zinc/17/87/08/276178708.db2.gz QXZQDDAGKVYVDU-UHFFFAOYSA-N 0 0 253.689 2.800 20 5 CFBDRN CCC1(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])CCC1 ZINC000084489353 276219792 /nfs/dbraw/zinc/21/97/92/276219792.db2.gz ARDDXOSGCDUOBL-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN CCOC(=O)C1CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000112208808 276275066 /nfs/dbraw/zinc/27/50/66/276275066.db2.gz BPZNOQGEIODLOG-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN CCOC1(C)CCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000291962200 276308287 /nfs/dbraw/zinc/30/82/87/276308287.db2.gz VHZLMGXXFATCTD-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN CCO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(N)c2F)C[C@@H]1C ZINC000450743414 276339363 /nfs/dbraw/zinc/33/93/63/276339363.db2.gz HFUGIWSNQYYQBW-JOYOIKCWSA-N 0 0 297.330 2.567 20 5 CFBDRN CC[C@@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])CCO1 ZINC000487952951 276497103 /nfs/dbraw/zinc/49/71/03/276497103.db2.gz WOJAJPYFLHOKMM-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@H]3OCCC[C@@H]23)c1[N+](=O)[O-] ZINC000302588542 276498957 /nfs/dbraw/zinc/49/89/57/276498957.db2.gz VUVWHEPAYCIWHD-ZKYQVNSYSA-N 0 0 292.335 2.973 20 5 CFBDRN CC[C@@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])CCO1 ZINC000276530973 276499388 /nfs/dbraw/zinc/49/93/88/276499388.db2.gz BWYXPPBTUJHHQB-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000413035902 276499917 /nfs/dbraw/zinc/49/99/17/276499917.db2.gz DAXHKTAEQBWACB-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c3ncccc23)CCO1 ZINC000413049696 276628357 /nfs/dbraw/zinc/62/83/57/276628357.db2.gz YPJRLKWZCBCENL-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CCS1 ZINC000278488248 276629578 /nfs/dbraw/zinc/62/95/78/276629578.db2.gz PINGPDKIEQUIOQ-JTQLQIEISA-N 0 0 292.364 2.803 20 5 CFBDRN CC[C@H]1COCCN1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000174472800 276636198 /nfs/dbraw/zinc/63/61/98/276636198.db2.gz GQBDVSWGTPUECZ-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000187406241 276672162 /nfs/dbraw/zinc/67/21/62/276672162.db2.gz MAXCJMRHNYQFTJ-FZMZJTMJSA-N 0 0 292.335 2.621 20 5 CFBDRN CN(c1nccc2ccc([N+](=O)[O-])cc21)[C@@H]1CCCOC1 ZINC000413286621 277004651 /nfs/dbraw/zinc/00/46/51/277004651.db2.gz ZIYAKIAZPCDEBZ-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN CNc1c(C(=O)N2CC[C@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000163207365 277042527 /nfs/dbraw/zinc/04/25/27/277042527.db2.gz HSNCXHUOMFLVNP-WDEREUQCSA-N 0 0 291.351 2.755 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000161421082 277042735 /nfs/dbraw/zinc/04/27/35/277042735.db2.gz NCDIXYXWVBPOND-ZYHUDNBSSA-N 0 0 291.351 2.945 20 5 CFBDRN CN1CCN(c2ncc([N+](=O)[O-])s2)Cc2ccccc21 ZINC000281942643 277115040 /nfs/dbraw/zinc/11/50/40/277115040.db2.gz PNFQBBJKPHIVNM-UHFFFAOYSA-N 0 0 290.348 2.508 20 5 CFBDRN COC[C@H]1CCCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000364141389 277265677 /nfs/dbraw/zinc/26/56/77/277265677.db2.gz HWZGBTQOUGTIQB-LBPRGKRZSA-N 0 0 264.325 2.848 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000296207599 277295803 /nfs/dbraw/zinc/29/58/03/277295803.db2.gz JNECHBWHUJIGCC-QWHCGFSZSA-N 0 0 294.326 2.633 20 5 CFBDRN CNc1cccnc1CNc1cc(C)ccc1[N+](=O)[O-] ZINC000359833074 277303537 /nfs/dbraw/zinc/30/35/37/277303537.db2.gz QGUJQVVMJJLPFZ-UHFFFAOYSA-N 0 0 272.308 2.952 20 5 CFBDRN CO[C@@](C)(CNc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000292230594 277317336 /nfs/dbraw/zinc/31/73/36/277317336.db2.gz FPCCCOIYYASQBO-ZDUSSCGKSA-N 0 0 268.288 2.961 20 5 CFBDRN CC(C)C1CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])CC1 ZINC000420604700 277341954 /nfs/dbraw/zinc/34/19/54/277341954.db2.gz SQJHVOOLSHBBMA-UHFFFAOYSA-N 0 0 291.351 2.566 20 5 CFBDRN CO[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000281682475 277342065 /nfs/dbraw/zinc/34/20/65/277342065.db2.gz QYUKGNLBRHVYTL-SCZZXKLOSA-N 0 0 285.731 2.647 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000268259677 277426935 /nfs/dbraw/zinc/42/69/35/277426935.db2.gz KGOUXQDCBTVNKC-GFCCVEGCSA-N 0 0 282.315 2.985 20 5 CFBDRN CC(C)C[C@@H]1CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000075061164 277454598 /nfs/dbraw/zinc/45/45/98/277454598.db2.gz YUAQTKPFGQWMHC-NSHDSACASA-N 0 0 291.351 2.566 20 5 CFBDRN CS[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000294961988 277519940 /nfs/dbraw/zinc/51/99/40/277519940.db2.gz KCEDCOCVFASXOE-MRVPVSSYSA-N 0 0 273.745 2.585 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000371725797 277646219 /nfs/dbraw/zinc/64/62/19/277646219.db2.gz FFZPBNHPHAFCKU-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C[C@H]1C ZINC000450774311 277658789 /nfs/dbraw/zinc/65/87/89/277658789.db2.gz OBCLTQPGHNRTNH-BXUZGUMPSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@H]1CCCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000292323004 277739354 /nfs/dbraw/zinc/73/93/54/277739354.db2.gz AKLRLDMKMRTTQS-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN C[C@@H]1CCN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000352349350 277759442 /nfs/dbraw/zinc/75/94/42/277759442.db2.gz DGLLWFUVTLTXIB-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)[C@@H](C)C1 ZINC000301959552 277798345 /nfs/dbraw/zinc/79/83/45/277798345.db2.gz HDFBLNBUBYRLED-VWYCJHECSA-N 0 0 289.339 2.874 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCC[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000269497882 278000131 /nfs/dbraw/zinc/00/01/31/278000131.db2.gz MQHSXSSBMLOUHT-VHSXEESVSA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC2CCCCC2)c1[N+](=O)[O-] ZINC000269312316 278001621 /nfs/dbraw/zinc/00/16/21/278001621.db2.gz GFEDHUXUXOWDHA-UHFFFAOYSA-N 0 0 280.328 2.504 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000269380899 278002182 /nfs/dbraw/zinc/00/21/82/278002182.db2.gz OZJATAGEZJGRHF-VHSXEESVSA-N 0 0 294.355 2.750 20 5 CFBDRN COc1cccc(CN2CCc3c2cccc3[N+](=O)[O-])n1 ZINC000183624794 278011116 /nfs/dbraw/zinc/01/11/16/278011116.db2.gz XDEMCOCHSCIPST-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN COc1cccc2c1CCN(c1ccc([N+](=O)[O-])cn1)CC2 ZINC000302866867 278031047 /nfs/dbraw/zinc/03/10/47/278031047.db2.gz VVQITNRAQRNGMB-UHFFFAOYSA-N 0 0 299.330 2.604 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@@]2(C)CCOC2)cc1[N+](=O)[O-] ZINC000438956621 278032214 /nfs/dbraw/zinc/03/22/14/278032214.db2.gz OWEISNZFBITACZ-HNNXBMFYSA-N 0 0 292.335 2.627 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@]2(C)CCOC2)cc1[N+](=O)[O-] ZINC000438956623 278033415 /nfs/dbraw/zinc/03/34/15/278033415.db2.gz OWEISNZFBITACZ-OAHLLOKOSA-N 0 0 292.335 2.627 20 5 CFBDRN CC(C)c1ccc(CN2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000179864608 278036156 /nfs/dbraw/zinc/03/61/56/278036156.db2.gz DRLYOGNRRWKWRD-LBPRGKRZSA-N 0 0 278.352 2.939 20 5 CFBDRN C[C@@H]1Cc2ccccc2CN1c1ccc([N+](=O)[O-])nc1 ZINC000450446189 278039766 /nfs/dbraw/zinc/03/97/66/278039766.db2.gz MGZMPRCHHUHHAQ-LLVKDONJSA-N 0 0 269.304 2.941 20 5 CFBDRN C[C@@H]1OCCN(c2ccnc3c2cccc3[N+](=O)[O-])[C@H]1C ZINC000432336200 278053645 /nfs/dbraw/zinc/05/36/45/278053645.db2.gz ORZHJDZOHUCVRO-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN CC1(C)CN(c2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000193467802 278342284 /nfs/dbraw/zinc/34/22/84/278342284.db2.gz RQOYWIIZZFAOPC-UHFFFAOYSA-N 0 0 267.354 2.509 20 5 CFBDRN CC1(C)CN(c2nc3sccn3c2[N+](=O)[O-])[C@H]1C1CC1 ZINC000290607535 278345701 /nfs/dbraw/zinc/34/57/01/278345701.db2.gz FHXOULMJYYAEHI-VIFPVBQESA-N 0 0 292.364 2.929 20 5 CFBDRN C[C@H]1CCC[C@@]1(O)CNc1ccc([N+](=O)[O-])cc1 ZINC000294172523 278350085 /nfs/dbraw/zinc/35/00/85/278350085.db2.gz SAPQJOBZXCSIIP-GXFFZTMASA-N 0 0 250.298 2.558 20 5 CFBDRN C[C@@H](N[C@@H](CCO)c1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000186531000 278366384 /nfs/dbraw/zinc/36/63/84/278366384.db2.gz YPUIBPSPDOOGGM-RISCZKNCSA-N 0 0 290.319 2.962 20 5 CFBDRN C[C@H]1CCN(c2cc(C(F)(F)F)ncc2[N+](=O)[O-])C1 ZINC000278301015 278369711 /nfs/dbraw/zinc/36/97/11/278369711.db2.gz GSJFFWOYEXEDJS-ZETCQYMHSA-N 0 0 275.230 2.855 20 5 CFBDRN C[C@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])C[C@H]1C ZINC000301943735 278370850 /nfs/dbraw/zinc/37/08/50/278370850.db2.gz WGYWREAOIHRLSH-DTWKUNHWSA-N 0 0 280.353 2.786 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000301779429 278407058 /nfs/dbraw/zinc/40/70/58/278407058.db2.gz MSIDLVRNPAWGTG-ZJUUUORDSA-N 0 0 284.743 2.845 20 5 CFBDRN CC1=CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000334885546 278500382 /nfs/dbraw/zinc/50/03/82/278500382.db2.gz OCDXCZTXSFVGAM-UHFFFAOYSA-N 0 0 264.256 2.526 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1c1ncccc1[N+](=O)[O-] ZINC000359867885 278534343 /nfs/dbraw/zinc/53/43/43/278534343.db2.gz BQZVOSBXLOEOEP-YUMQZZPRSA-N 0 0 275.230 2.767 20 5 CFBDRN C[C@@H]1CCN(c2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000193529022 278557031 /nfs/dbraw/zinc/55/70/31/278557031.db2.gz OKYLZWUIYPVJOL-SECBINFHSA-N 0 0 267.354 2.509 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000263757875 278557849 /nfs/dbraw/zinc/55/78/49/278557849.db2.gz UHRQZAGSMGUUJS-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCS1 ZINC000276920493 278558091 /nfs/dbraw/zinc/55/80/91/278558091.db2.gz OYGHZTNNIXOPCQ-SECBINFHSA-N 0 0 297.336 2.835 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@H](c2ccco2)C1 ZINC000302118561 278559635 /nfs/dbraw/zinc/55/96/35/278559635.db2.gz SOXCYRXLQZSLGW-PWSUYJOCSA-N 0 0 288.307 2.955 20 5 CFBDRN CCC1(NC(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])CCCC1 ZINC000296223531 278645842 /nfs/dbraw/zinc/64/58/42/278645842.db2.gz PGWVASPMIGUCJR-UHFFFAOYSA-N 0 0 294.355 2.894 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC=C(c2ccncc2)C1 ZINC000286584151 278747202 /nfs/dbraw/zinc/74/72/02/278747202.db2.gz RGKOJQNZVYNKDL-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])nc1 ZINC000159883350 278833321 /nfs/dbraw/zinc/83/33/21/278833321.db2.gz IFHPWMYSBNBKTG-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(F)(F)F ZINC000297665759 278863610 /nfs/dbraw/zinc/86/36/10/278863610.db2.gz GMQWCNSDBKAQGI-UHFFFAOYSA-N 0 0 262.187 2.712 20 5 CFBDRN Cc1cccc2c1OC[C@H](Nc1ncccc1[N+](=O)[O-])C2 ZINC000357775151 278940850 /nfs/dbraw/zinc/94/08/50/278940850.db2.gz NWJAMQCDZYNJAC-GFCCVEGCSA-N 0 0 285.303 2.714 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C1CC(F)(F)C1 ZINC000336169095 279012871 /nfs/dbraw/zinc/01/28/71/279012871.db2.gz VWXVQCJOJGSYHN-MRVPVSSYSA-N 0 0 296.273 2.918 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000020448879 279019325 /nfs/dbraw/zinc/01/93/25/279019325.db2.gz QUZJHQREBUHQGB-SECBINFHSA-N 0 0 272.260 2.779 20 5 CFBDRN C[C@@H]1[C@@H](C(=O)N2c3ccc([N+](=O)[O-])cc3C[C@H]2C)C1(F)F ZINC000359043750 279075826 /nfs/dbraw/zinc/07/58/26/279075826.db2.gz OIWIZLVONXJUDY-RWYTXXIDSA-N 0 0 296.273 2.774 20 5 CFBDRN Cc1nc(N2CC(C)(C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000276021545 279088759 /nfs/dbraw/zinc/08/87/59/279088759.db2.gz KKKXAZVFOTXQHU-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1nc(N2CC(C3CCCCC3)C2)ncc1[N+](=O)[O-] ZINC000294869726 279088907 /nfs/dbraw/zinc/08/89/07/279088907.db2.gz DYLMHIWIWYGAHB-UHFFFAOYSA-N 0 0 276.340 2.710 20 5 CFBDRN Cc1nc(N2CCCC[C@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000297217410 279089942 /nfs/dbraw/zinc/08/99/42/279089942.db2.gz SNWMTGRIHNDMEX-NSHDSACASA-N 0 0 264.329 2.708 20 5 CFBDRN Cc1nc(N2CC[C@H](C)C(C)(C)C2)ncc1[N+](=O)[O-] ZINC000295039365 279093447 /nfs/dbraw/zinc/09/34/47/279093447.db2.gz NSTZOAVQPFOMQS-VIFPVBQESA-N 0 0 264.329 2.566 20 5 CFBDRN Cc1nc(N2C[C@@H](C3CC3)[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000450792349 279094486 /nfs/dbraw/zinc/09/44/86/279094486.db2.gz JZUPIPNADSCEQI-SWLSCSKDSA-N 0 0 273.336 2.923 20 5 CFBDRN C[C@@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000336338517 279095091 /nfs/dbraw/zinc/09/50/91/279095091.db2.gz MFHRMDHQQQGWMQ-RISCZKNCSA-N 0 0 299.330 2.941 20 5 CFBDRN Cc1nc(N2C[C@H]3CCCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000301664431 279095234 /nfs/dbraw/zinc/09/52/34/279095234.db2.gz NUACPJGAPKCXLI-VXGBXAGGSA-N 0 0 261.325 2.925 20 5 CFBDRN C[C@@H]1[C@H](Nc2ncc([N+](=O)[O-])cn2)C[C@H]1c1ccccc1 ZINC000373395947 279126565 /nfs/dbraw/zinc/12/65/65/279126565.db2.gz ZYXFYPBINHVLRY-ZLKJLUDKSA-N 0 0 284.319 2.989 20 5 CFBDRN C[C@@H]1c2ccsc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000273570006 279144331 /nfs/dbraw/zinc/14/43/31/279144331.db2.gz DBVISZSHNOXMTP-MRVPVSSYSA-N 0 0 276.321 2.570 20 5 CFBDRN CCN1C(=O)c2ccccc2N[C@@H]1c1ccc([N+](=O)[O-])o1 ZINC000042186126 279254075 /nfs/dbraw/zinc/25/40/75/279254075.db2.gz QYVTYWIOUZXXGE-ZDUSSCGKSA-N 0 0 287.275 2.774 20 5 CFBDRN Cn1c(NC(C)(C)C)nnc1-c1ccc([N+](=O)[O-])cc1 ZINC000291073042 279311976 /nfs/dbraw/zinc/31/19/76/279311976.db2.gz FLZNESFWOLPNSU-UHFFFAOYSA-N 0 0 275.312 2.601 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCc2c1cccc2Cl ZINC000362429050 279384764 /nfs/dbraw/zinc/38/47/64/279384764.db2.gz FLVXHEMMBDFSGF-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1C[C@H]1c1c(F)cccc1F ZINC000341500798 279385759 /nfs/dbraw/zinc/38/57/59/279385759.db2.gz OBGPFOQBBFAUAO-XCBNKYQSSA-N 0 0 294.261 2.575 20 5 CFBDRN CC(C)N(Cc1cc([N+](=O)[O-])ccc1Cl)C1COC1 ZINC000296196553 279458456 /nfs/dbraw/zinc/45/84/56/279458456.db2.gz YWHNEFDODGALSH-UHFFFAOYSA-N 0 0 284.743 2.857 20 5 CFBDRN CCOC1(C)CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000291912097 279657374 /nfs/dbraw/zinc/65/73/74/279657374.db2.gz QWKTUIJFBUOKPS-UHFFFAOYSA-N 0 0 264.325 2.990 20 5 CFBDRN CCOC[C@@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000267035425 279738074 /nfs/dbraw/zinc/73/80/74/279738074.db2.gz BPHPCFVKXNXDAF-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN C[C@H]1CSCCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000286021128 279933625 /nfs/dbraw/zinc/93/36/25/279933625.db2.gz ALPLPQXYAKUAQG-ZETCQYMHSA-N 0 0 296.377 2.541 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000336389408 279947732 /nfs/dbraw/zinc/94/77/32/279947732.db2.gz XIDVBLMCAZNIHF-NSHDSACASA-N 0 0 264.256 2.572 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](SC)C2)c1[N+](=O)[O-] ZINC000295006413 279972787 /nfs/dbraw/zinc/97/27/87/279972787.db2.gz OUGJUXVXDONKCQ-SNVBAGLBSA-N 0 0 282.365 2.935 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1CC1(F)F ZINC000334512100 280023316 /nfs/dbraw/zinc/02/33/16/280023316.db2.gz RADZQQYOLQEVSC-OIBJUYFYSA-N 0 0 282.246 2.528 20 5 CFBDRN C[C@H]1OCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])[C@H]1C ZINC000269056329 280056265 /nfs/dbraw/zinc/05/62/65/280056265.db2.gz SDZWFJQFPKEHES-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN CC(C)[C@@]1(CO)CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000294192033 280131139 /nfs/dbraw/zinc/13/11/39/280131139.db2.gz SZPUSGVYLYGZKJ-HNNXBMFYSA-N 0 0 296.342 2.969 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@@H]1c1ccccc1 ZINC000298847767 280190241 /nfs/dbraw/zinc/19/02/41/280190241.db2.gz LNDHWMXLBUDISY-CYBMUJFWSA-N 0 0 285.303 2.900 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)cnn1 ZINC000345995141 280285601 /nfs/dbraw/zinc/28/56/01/280285601.db2.gz RTMBVZYDFOXHQU-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc(=O)[nH]1 ZINC000346539473 280285947 /nfs/dbraw/zinc/28/59/47/280285947.db2.gz FKICLSUHIDLJLC-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)[C@H](C)[C@@H](C)O1 ZINC000438359929 288223689 /nfs/dbraw/zinc/22/36/89/288223689.db2.gz RKVLHWFIIQLPRM-OPRDCNLKSA-N 0 0 270.354 2.654 20 5 CFBDRN Cc1cc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])ns1 ZINC000435877664 280338267 /nfs/dbraw/zinc/33/82/67/280338267.db2.gz TUEJRMJOMVBXNT-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1C[C@@H]1C1CCCCC1 ZINC000340926010 280355287 /nfs/dbraw/zinc/35/52/87/280355287.db2.gz OOEDMDOBRBNOEA-DGCLKSJQSA-N 0 0 261.325 2.792 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCC[C@H]2COC[C@H]21 ZINC000374442743 280361582 /nfs/dbraw/zinc/36/15/82/280361582.db2.gz LJSAYVMXBZVSOJ-SWLSCSKDSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3ncsc3C2)cc1 ZINC000438362778 280364089 /nfs/dbraw/zinc/36/40/89/280364089.db2.gz DWZUIDHLESYDBX-UHFFFAOYSA-N 0 0 275.333 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC([C@H]2CCOC2)C1 ZINC000368551185 280364676 /nfs/dbraw/zinc/36/46/76/280364676.db2.gz NNMRTHJARNZZOA-VIFPVBQESA-N 0 0 282.727 2.721 20 5 CFBDRN Cc1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)n(C)n1 ZINC000129489372 280365582 /nfs/dbraw/zinc/36/55/82/280365582.db2.gz NINBTSXVGBGDBV-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCCOC3)c2ncccc12 ZINC000377159654 280365743 /nfs/dbraw/zinc/36/57/43/280365743.db2.gz STLKANPDKIBPGX-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H]3CCC[C@@H]32)c2cccnc21 ZINC000413177324 280367014 /nfs/dbraw/zinc/36/70/14/280367014.db2.gz UHBIWWWLXPOVBF-DZGCQCFKSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCC3(CCC3)C1)CCN2 ZINC000278138951 280371045 /nfs/dbraw/zinc/37/10/45/280371045.db2.gz AKCDVOMJWZILTH-UHFFFAOYSA-N 0 0 273.336 2.943 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC[C@@H](CO)CC1 ZINC000294178094 280372351 /nfs/dbraw/zinc/37/23/51/280372351.db2.gz SHJXCOYJFNVAPK-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCCSCC1 ZINC000334365037 280372626 /nfs/dbraw/zinc/37/26/26/280372626.db2.gz HINDHIIHSSRLMZ-UHFFFAOYSA-N 0 0 256.302 2.677 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCCN(c2ccccn2)CC1 ZINC000302244092 280374989 /nfs/dbraw/zinc/37/49/89/280374989.db2.gz ZGEQOCBMXDKBCC-UHFFFAOYSA-N 0 0 298.346 2.707 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC(Cc2cccnc2)CC1 ZINC000356794641 280383351 /nfs/dbraw/zinc/38/33/51/280383351.db2.gz MYXYAGGHOUAUNX-UHFFFAOYSA-N 0 0 298.346 2.844 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000049241637 280437542 /nfs/dbraw/zinc/43/75/42/280437542.db2.gz IUEWELUFUSQHMN-VHSXEESVSA-N 0 0 277.324 2.754 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000053755704 280446754 /nfs/dbraw/zinc/44/67/54/280446754.db2.gz DBFKFZZTBQWERI-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1ccc(/C=C/C(=O)NCc2ccc([N+](=O)[O-])cc2)o1 ZINC000055796813 280450537 /nfs/dbraw/zinc/45/05/37/280450537.db2.gz QXNOYGQPSHXMLL-CMDGGOBGSA-N 0 0 286.287 2.826 20 5 CFBDRN COc1ccc2c(c1)CCN2C(=O)c1ccccc1[N+](=O)[O-] ZINC000058715608 280456739 /nfs/dbraw/zinc/45/67/39/280456739.db2.gz PQEMXTHTZFEQQR-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc(NC2CC2)nc1 ZINC000059937192 280458483 /nfs/dbraw/zinc/45/84/83/280458483.db2.gz DWUSLOROSMLAKL-UHFFFAOYSA-N 0 0 298.302 2.816 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)o1 ZINC000064777247 280465221 /nfs/dbraw/zinc/46/52/21/280465221.db2.gz ZCKNWARHBTUKTP-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN Cc1ncccc1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000073354248 280492942 /nfs/dbraw/zinc/49/29/42/280492942.db2.gz VPDUGYZZLPCJPR-CMDGGOBGSA-N 0 0 283.287 2.950 20 5 CFBDRN CN(C(=O)c1csc([N+](=O)[O-])c1)c1ccc(Cl)cn1 ZINC000076345479 280519243 /nfs/dbraw/zinc/51/92/43/280519243.db2.gz ITTLXVBUFIWHNS-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000084205036 280550259 /nfs/dbraw/zinc/55/02/59/280550259.db2.gz VOVHPVXICTUURJ-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN Cc1cc(N2CCOC(C)(C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000407984265 280562333 /nfs/dbraw/zinc/56/23/33/280562333.db2.gz XBCFXXNXZASNES-NSHDSACASA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1cc(N2CC[C@H](C3CCOCC3)C2)ncc1[N+](=O)[O-] ZINC000377164595 280572900 /nfs/dbraw/zinc/57/29/00/280572900.db2.gz JBONAMGUOPHCSS-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cc(=O)n(Cc2ccc(Cl)cc2F)cc1[N+](=O)[O-] ZINC000096548216 280579095 /nfs/dbraw/zinc/57/90/95/280579095.db2.gz PPFRNYGMIJEXRC-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN O=C(/C=C/c1cncc(F)c1)Nc1ccc([N+](=O)[O-])cc1 ZINC000105364990 280585268 /nfs/dbraw/zinc/58/52/68/280585268.db2.gz XYKMZLRNEBHHHD-LZCJLJQNSA-N 0 0 287.250 2.781 20 5 CFBDRN O=C(/C=C/C1CCOCC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000112164230 280595492 /nfs/dbraw/zinc/59/54/92/280595492.db2.gz WXBGSVPRQHWUQP-DAFODLJHSA-N 0 0 294.282 2.655 20 5 CFBDRN Cc1c(CC(=O)N(C)c2nccs2)cccc1[N+](=O)[O-] ZINC000122016277 280624977 /nfs/dbraw/zinc/62/49/77/280624977.db2.gz RUPMWENGGCWXLF-UHFFFAOYSA-N 0 0 291.332 2.565 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1C=CCCC1 ZINC000122885963 280629767 /nfs/dbraw/zinc/62/97/67/280629767.db2.gz FZXXRFPRVSFLEO-JTQLQIEISA-N 0 0 291.307 2.834 20 5 CFBDRN Cc1cc(N[C@H]2COc3ccccc32)ncc1[N+](=O)[O-] ZINC000092027281 280633544 /nfs/dbraw/zinc/63/35/44/280633544.db2.gz WCBTXHXLCGYABG-NSHDSACASA-N 0 0 271.276 2.844 20 5 CFBDRN CCCC[C@H](CCC)CNC(=O)c1c([N+](=O)[O-])cnn1C ZINC000126123820 280649720 /nfs/dbraw/zinc/64/97/20/280649720.db2.gz JLEDMHALLBRJHZ-NSHDSACASA-N 0 0 296.371 2.665 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCc2ccsc2C1 ZINC000132432598 280665192 /nfs/dbraw/zinc/66/51/92/280665192.db2.gz FMTQHDWZSKFXDV-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCCC1CC1 ZINC000127917266 280670274 /nfs/dbraw/zinc/67/02/74/280670274.db2.gz AKWGCILUOOLXAG-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CC(=O)CCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000130274049 280701319 /nfs/dbraw/zinc/70/13/19/280701319.db2.gz KUAMNUACGCENGI-UHFFFAOYSA-N 0 0 264.281 2.519 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H]1C=CCCC1 ZINC000130828695 280708995 /nfs/dbraw/zinc/70/89/95/280708995.db2.gz FZYXBEWITOWYNM-LBPRGKRZSA-N 0 0 275.308 2.503 20 5 CFBDRN CN(CCC1CC1)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000131135809 280713040 /nfs/dbraw/zinc/71/30/40/280713040.db2.gz XQPBFGDRLOIPIV-UHFFFAOYSA-N 0 0 294.376 2.945 20 5 CFBDRN C[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CCS1 ZINC000171608888 288261275 /nfs/dbraw/zinc/26/12/75/288261275.db2.gz IRXWNGPSICRQKQ-MRVPVSSYSA-N 0 0 256.302 2.676 20 5 CFBDRN C[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000280524335 288261370 /nfs/dbraw/zinc/26/13/70/288261370.db2.gz QZUNXEGYVYYCJC-OTYXRUKQSA-N 0 0 280.299 2.738 20 5 CFBDRN C[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])CCS1 ZINC000134340341 288264860 /nfs/dbraw/zinc/26/48/60/288264860.db2.gz KXCHQYZAQJNDDS-MRVPVSSYSA-N 0 0 256.302 2.676 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)Nc1ccc(F)nc1 ZINC000135084139 280767039 /nfs/dbraw/zinc/76/70/39/280767039.db2.gz LQLNCAJQRZWBRY-QPJJXVBHSA-N 0 0 287.250 2.781 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2c(C)cccc2C)n1 ZINC000138482290 280790061 /nfs/dbraw/zinc/79/00/61/280790061.db2.gz INXCKMCXURRABF-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CC(C)OCCOc1ccc([N+](=O)[O-])c2ncccc12 ZINC000184978056 280831799 /nfs/dbraw/zinc/83/17/99/280831799.db2.gz MBHSONMCKQYBQJ-UHFFFAOYSA-N 0 0 276.292 2.947 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000185356340 280836534 /nfs/dbraw/zinc/83/65/34/280836534.db2.gz RYQIWKVKBBBPBX-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN CC[C@@H](C)NC(=O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000192541902 280909555 /nfs/dbraw/zinc/90/95/55/280909555.db2.gz PUVZRMUXODBHHX-SNVBAGLBSA-N 0 0 282.365 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOC(F)F)c1 ZINC000193617027 280915427 /nfs/dbraw/zinc/91/54/27/280915427.db2.gz FMIFNXFTQPMRML-UHFFFAOYSA-N 0 0 260.240 2.944 20 5 CFBDRN COc1ncccc1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000194809204 280927476 /nfs/dbraw/zinc/92/74/76/280927476.db2.gz XGNROGSMUNAAJE-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])C[C@H](C)O1 ZINC000450427660 288280673 /nfs/dbraw/zinc/28/06/73/288280673.db2.gz SZESZYHEXYUXNI-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CC[C@H](O)CC2)ccc2ncccc21 ZINC000245554470 280954299 /nfs/dbraw/zinc/95/42/99/280954299.db2.gz RCUVAYKVEJZUJJ-XYPYZODXSA-N 0 0 287.319 2.858 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(COc3ccccc3)C2)n1 ZINC000288202515 281009577 /nfs/dbraw/zinc/00/95/77/281009577.db2.gz KUTPKPOLTOIMSA-UHFFFAOYSA-N 0 0 299.330 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1Cc2ccccc2CO1 ZINC000360404484 281017080 /nfs/dbraw/zinc/01/70/80/281017080.db2.gz DHFZDXPBMXFYGJ-UHFFFAOYSA-N 0 0 298.298 2.991 20 5 CFBDRN CC(C)N(C(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000248028540 281017681 /nfs/dbraw/zinc/01/76/81/281017681.db2.gz WUDPSHAMKBNEKZ-UHFFFAOYSA-N 0 0 262.309 2.537 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCOCC[C@@H]1C ZINC000367716458 281018228 /nfs/dbraw/zinc/01/82/28/281018228.db2.gz FLYLKHYHOUYZPK-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)Nc2ccncc2[N+](=O)[O-])C[C@H]1C ZINC000248588200 281027326 /nfs/dbraw/zinc/02/73/26/281027326.db2.gz GPYPOMTWJWKITP-MXWKQRLJSA-N 0 0 292.339 2.936 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000178672639 281048665 /nfs/dbraw/zinc/04/86/65/281048665.db2.gz GPPJUNSKJCHZND-NSHDSACASA-N 0 0 277.324 2.703 20 5 CFBDRN CCCCOCCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255020225 281084598 /nfs/dbraw/zinc/08/45/98/281084598.db2.gz BRBVEFDLBPBSKY-TWGQIWQCSA-N 0 0 292.335 2.541 20 5 CFBDRN CN(CCC1CC1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255074813 281086002 /nfs/dbraw/zinc/08/60/02/281086002.db2.gz IRYAEFKBTQHVHJ-TWGQIWQCSA-N 0 0 274.320 2.867 20 5 CFBDRN CN(CC1CCC1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255062272 281086067 /nfs/dbraw/zinc/08/60/67/281086067.db2.gz HOTVJZSFARFXIS-YFHOEESVSA-N 0 0 274.320 2.867 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\c1cccnc1 ZINC000255300944 281093070 /nfs/dbraw/zinc/09/30/70/281093070.db2.gz NSFUHRHMNVVIBF-DAXSKMNVSA-N 0 0 299.286 2.650 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)CCS1 ZINC000255620651 281104006 /nfs/dbraw/zinc/10/40/06/281104006.db2.gz OJKIZKZFTVYTDJ-GZTOBOFZSA-N 0 0 292.360 2.572 20 5 CFBDRN C[C@H]1CSCCN1C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255641555 281104610 /nfs/dbraw/zinc/10/46/10/281104610.db2.gz FKTLNLMCLZSQKA-ZADCQDASSA-N 0 0 292.360 2.572 20 5 CFBDRN Cc1cc(F)c(NC(=O)C[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000282384901 281131402 /nfs/dbraw/zinc/13/14/02/281131402.db2.gz JGCULMXKVNJBRU-SNVBAGLBSA-N 0 0 296.298 2.940 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])o2)[C@@H]2CCC[C@@H]21 ZINC000294909703 281140294 /nfs/dbraw/zinc/14/02/94/281140294.db2.gz VYKUVKLPOUYIAE-VHSXEESVSA-N 0 0 278.308 2.839 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])o2)[C@H]2CCC[C@@H]21 ZINC000294909698 281140310 /nfs/dbraw/zinc/14/03/10/281140310.db2.gz VYKUVKLPOUYIAE-UWVGGRQHSA-N 0 0 278.308 2.839 20 5 CFBDRN Cc1ccc(NC(=O)N(C)[C@@H](C)C(C)(C)O)cc1[N+](=O)[O-] ZINC000296131774 281141722 /nfs/dbraw/zinc/14/17/22/281141722.db2.gz IFINSJJLCPHOBP-JTQLQIEISA-N 0 0 295.339 2.526 20 5 CFBDRN Cc1cc(Cl)nc(CNc2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000296741894 281144368 /nfs/dbraw/zinc/14/43/68/281144368.db2.gz JUWGCLZAVTYUIK-UHFFFAOYSA-N 0 0 293.714 2.662 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000347212197 281173845 /nfs/dbraw/zinc/17/38/45/281173845.db2.gz ZWFDXVGBWUCAMX-NEPJUHHUSA-N 0 0 291.351 2.830 20 5 CFBDRN CC[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CCCO1 ZINC000281978071 281175968 /nfs/dbraw/zinc/17/59/68/281175968.db2.gz VPTRFSWPVONSMI-JTQLQIEISA-N 0 0 286.278 2.878 20 5 CFBDRN CC[C@H]1CN(c2ncc(C)cc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420617863 281181640 /nfs/dbraw/zinc/18/16/40/281181640.db2.gz BZTHIXKWNLZBRI-RYUDHWBXSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@H]1CN(c2sccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000302013512 281183583 /nfs/dbraw/zinc/18/35/83/281183583.db2.gz KWUGHZGYSBDWHP-IUCAKERBSA-N 0 0 256.327 2.660 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@H]3CCCC[C@H]32)nc2sccn21 ZINC000368185910 281189472 /nfs/dbraw/zinc/18/94/72/281189472.db2.gz XLFNNTBAUQDDDL-RKDXNWHRSA-N 0 0 278.337 2.683 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000393765207 281193973 /nfs/dbraw/zinc/19/39/73/281193973.db2.gz GLDCSEHSLAXJIK-LBPRGKRZSA-N 0 0 289.360 2.871 20 5 CFBDRN CCc1ccc(OCCC2(O)CCC2)c([N+](=O)[O-])c1 ZINC000396785811 281197167 /nfs/dbraw/zinc/19/71/67/281197167.db2.gz NYTBNNZQMPZOAR-UHFFFAOYSA-N 0 0 265.309 2.841 20 5 CFBDRN Cc1ccnc(N[C@H]2CS[C@H](C)C2)c1[N+](=O)[O-] ZINC000397982979 281203373 /nfs/dbraw/zinc/20/33/73/281203373.db2.gz SHUPNHWRNXXEHW-RKDXNWHRSA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1ncc(CNc2cc(C)c([N+](=O)[O-])cc2F)o1 ZINC000397979510 281203488 /nfs/dbraw/zinc/20/34/88/281203488.db2.gz FYDYASIYMYOWLV-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CS[C@H](C)C2)n1 ZINC000397956398 281203627 /nfs/dbraw/zinc/20/36/27/281203627.db2.gz FKAQSLWCMTUVMY-BDAKNGLRSA-N 0 0 253.327 2.604 20 5 CFBDRN CNC(=O)c1ccc(NC2(C3(C)CC3)CC2)c([N+](=O)[O-])c1 ZINC000398226482 281205280 /nfs/dbraw/zinc/20/52/80/281205280.db2.gz URRFNHUPFWQZDV-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN CC[C@@H](O)CCCNc1cc(C)ccc1[N+](=O)[O-] ZINC000398561990 281207473 /nfs/dbraw/zinc/20/74/73/281207473.db2.gz MJWJVGVXDOALHI-LLVKDONJSA-N 0 0 252.314 2.866 20 5 CFBDRN CC[C@H]1C[C@@H](C)C[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330456461 281227130 /nfs/dbraw/zinc/22/71/30/281227130.db2.gz PDMCQCPKCKCWAM-PWSUYJOCSA-N 0 0 264.325 2.921 20 5 CFBDRN CC1(C)CN(c2cc(Cl)ccc2[N+](=O)[O-])CC[C@@H]1O ZINC000286814376 281266273 /nfs/dbraw/zinc/26/62/73/281266273.db2.gz XNSIJYGITDWCEM-LBPRGKRZSA-N 0 0 284.743 2.845 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]21 ZINC000491901946 281275703 /nfs/dbraw/zinc/27/57/03/281275703.db2.gz ASONDHMBYGURHT-RSDJLKMVSA-N 0 0 272.304 2.619 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCO[C@@H](C2CCC2)C1 ZINC000515215770 281291510 /nfs/dbraw/zinc/29/15/10/281291510.db2.gz ALKHISHMRXKSRL-GFCCVEGCSA-N 0 0 297.742 2.649 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)CO1 ZINC000515836502 281298075 /nfs/dbraw/zinc/29/80/75/281298075.db2.gz BYNLDEMNLGUHGN-CBAPKCEASA-N 0 0 288.250 2.786 20 5 CFBDRN Cc1cccc2c1OC[C@H](Nc1ncc([N+](=O)[O-])s1)C2 ZINC000290783663 281300194 /nfs/dbraw/zinc/30/01/94/281300194.db2.gz NHEGTZRBMLNDDF-SNVBAGLBSA-N 0 0 291.332 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CCCC[C@@H]2C)c1=O ZINC000516194258 281300383 /nfs/dbraw/zinc/30/03/83/281300383.db2.gz BATYINFWUTZHIQ-JQWIXIFHSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCCC2CC2)c1 ZINC000525149985 281311975 /nfs/dbraw/zinc/31/19/75/281311975.db2.gz UUXXXJRZNPTEHC-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000525399755 281316370 /nfs/dbraw/zinc/31/63/70/281316370.db2.gz BFICWRSAQWMSQF-NWDGAFQWSA-N 0 0 291.351 2.577 20 5 CFBDRN COc1cc(C(=O)N2[C@H](C)C[C@H]2C)cc([N+](=O)[O-])c1C ZINC000527182142 281325867 /nfs/dbraw/zinc/32/58/67/281325867.db2.gz QPZKVZBRZOWSAO-RKDXNWHRSA-N 0 0 278.308 2.535 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1[C@H](C)C[C@@H]1C ZINC000527320461 281329126 /nfs/dbraw/zinc/32/91/26/281329126.db2.gz YANBVQVLULZAPZ-DTORHVGOSA-N 0 0 279.296 2.618 20 5 CFBDRN CC1(C)OCC[C@H]1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000411034624 281413429 /nfs/dbraw/zinc/41/34/29/281413429.db2.gz OWRAWJFGUNKMNL-SNVBAGLBSA-N 0 0 298.726 2.546 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531624666 281436026 /nfs/dbraw/zinc/43/60/26/281436026.db2.gz ZEALJYRNTYUCTC-ZYHUDNBSSA-N 0 0 277.324 2.688 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2CCC[C@@H]2C1)c1ccc([N+](=O)[O-])cn1 ZINC000531634714 281436228 /nfs/dbraw/zinc/43/62/28/281436228.db2.gz XORAJHBZBUNBPR-IJLUTSLNSA-N 0 0 289.335 2.688 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cn1)c1cccs1 ZINC000531634680 281436670 /nfs/dbraw/zinc/43/66/70/281436670.db2.gz OSBLLBFUWFWRPC-UHFFFAOYSA-N 0 0 291.332 2.716 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)C1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531635013 281436703 /nfs/dbraw/zinc/43/67/03/281436703.db2.gz OEGUESRFKDNAKY-NXEZZACHSA-N 0 0 277.324 2.544 20 5 CFBDRN COc1ccc(CC(=O)N2[C@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000532324568 281451101 /nfs/dbraw/zinc/45/11/01/281451101.db2.gz GMGNLBCJUJIZIG-PHIMTYICSA-N 0 0 292.335 2.545 20 5 CFBDRN CC1CCC(N(C)C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000532397460 281453066 /nfs/dbraw/zinc/45/30/66/281453066.db2.gz RREMZGBCXLGQGS-UHFFFAOYSA-N 0 0 277.324 2.641 20 5 CFBDRN CC(C)[C@@H](C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000535319608 281500718 /nfs/dbraw/zinc/50/07/18/281500718.db2.gz WZXUPBQTOVPYBS-LLVKDONJSA-N 0 0 276.336 2.772 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cnn(-c2ccccn2)c1 ZINC000537834256 281545503 /nfs/dbraw/zinc/54/55/03/281545503.db2.gz ZXGGQWSHVBRTCL-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN CCO[C@H](CC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000538251108 281550605 /nfs/dbraw/zinc/55/06/05/281550605.db2.gz DBMZQQAANIJFJB-ZWNOBZJWSA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000538441865 281553330 /nfs/dbraw/zinc/55/33/30/281553330.db2.gz MYDVFYLKDNHRHY-JQWIXIFHSA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1cnc(N[C@H]2CCCc3nn(C)cc32)c([N+](=O)[O-])c1 ZINC000337793648 281630612 /nfs/dbraw/zinc/63/06/12/281630612.db2.gz FANQRGPIRWXMRF-NSHDSACASA-N 0 0 287.323 2.521 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000544004869 281659240 /nfs/dbraw/zinc/65/92/40/281659240.db2.gz JOAIDUPAWYGUCS-DGCLKSJQSA-N 0 0 291.351 2.830 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCCc2occc2C1 ZINC000289135310 281667777 /nfs/dbraw/zinc/66/77/77/281667777.db2.gz RUKLRLGVBIQYCX-UHFFFAOYSA-N 0 0 290.323 2.665 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C=CCCC1 ZINC000192681815 281669285 /nfs/dbraw/zinc/66/92/85/281669285.db2.gz WLGLIJCYJBTPMB-SNVBAGLBSA-N 0 0 250.302 2.640 20 5 CFBDRN Cc1ocnc1-c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000544780011 281674165 /nfs/dbraw/zinc/67/41/65/281674165.db2.gz AUJUNWIPSUITSC-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN COc1cc(-c2nc([C@@H]3C[C@@H]3C)no2)ccc1[N+](=O)[O-] ZINC000545068099 281678315 /nfs/dbraw/zinc/67/83/15/281678315.db2.gz GFDNGLSBNUMKPW-IONNQARKSA-N 0 0 275.264 2.777 20 5 CFBDRN COCC1(NC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)CC1 ZINC000545211296 281681277 /nfs/dbraw/zinc/68/12/77/281681277.db2.gz DTHSPHWXZKVMOS-UHFFFAOYSA-N 0 0 293.323 2.512 20 5 CFBDRN CSCCCN(C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000545255363 281683463 /nfs/dbraw/zinc/68/34/63/281683463.db2.gz JDWHSKAMWZWWPJ-LLVKDONJSA-N 0 0 296.392 2.910 20 5 CFBDRN Cc1cc(NC(=O)N(C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC000546035958 281702781 /nfs/dbraw/zinc/70/27/81/281702781.db2.gz XENQVYXMRBHJAV-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1cccc(N2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000547786012 281754413 /nfs/dbraw/zinc/75/44/13/281754413.db2.gz FUHYRRQNNLYDML-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN COc1cccc(N2C[C@@H](C)S[C@@H](C)C2)c1[N+](=O)[O-] ZINC000548117722 281769270 /nfs/dbraw/zinc/76/92/70/281769270.db2.gz ZNWGNDPPGQNEBU-AOOOYVTPSA-N 0 0 282.365 2.934 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@@H]2F)ccc1[N+](=O)[O-] ZINC000549698390 281819289 /nfs/dbraw/zinc/81/92/89/281819289.db2.gz CGTSTOSRMMIRMT-VHSXEESVSA-N 0 0 254.261 2.906 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1Oc1cccnn1 ZINC000553251018 281873941 /nfs/dbraw/zinc/87/39/41/281873941.db2.gz YGDVOQJWKAIZLP-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1ncccc1F ZINC000553510706 281877555 /nfs/dbraw/zinc/87/75/55/281877555.db2.gz LPFRUBGVLHVYKY-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CC[C@H](C)N(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000555309719 281912890 /nfs/dbraw/zinc/91/28/90/281912890.db2.gz HVVJGGJMPADVTA-QWRGUYRKSA-N 0 0 264.325 2.955 20 5 CFBDRN Cc1nc(N2CC[C@H](C3CCOCC3)C2)ccc1[N+](=O)[O-] ZINC000377164618 281938206 /nfs/dbraw/zinc/93/82/06/281938206.db2.gz JJQUGRFZURMSMG-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1noc([C@@H]2CCN(c3ccc([N+](=O)[O-])c(C)c3)C2)n1 ZINC000556692079 281941297 /nfs/dbraw/zinc/94/12/97/281941297.db2.gz MBWLFMPAOASGPC-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@@H](C)OC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000279996153 281973291 /nfs/dbraw/zinc/97/32/91/281973291.db2.gz HHYFVGGUHHGPTN-WDEREUQCSA-N 0 0 292.335 2.801 20 5 CFBDRN Cc1nc(N[C@H]2CCOc3ccccc32)ncc1[N+](=O)[O-] ZINC000296467081 281980349 /nfs/dbraw/zinc/98/03/49/281980349.db2.gz JSTZFMFORUOMDG-NSHDSACASA-N 0 0 286.291 2.629 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCS[C@H](C)C1 ZINC000558530218 281984333 /nfs/dbraw/zinc/98/43/33/281984333.db2.gz ZHVLDJCZHDVGAX-SECBINFHSA-N 0 0 268.338 2.545 20 5 CFBDRN CC(C)C(C)(C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000560097471 282014752 /nfs/dbraw/zinc/01/47/52/282014752.db2.gz UKUBQIWHEUTZEZ-UHFFFAOYSA-N 0 0 250.298 2.759 20 5 CFBDRN CCN(CC)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000560461341 282028330 /nfs/dbraw/zinc/02/83/30/282028330.db2.gz VBHVJEDLLLGEPI-UHFFFAOYSA-N 0 0 279.340 2.531 20 5 CFBDRN CC(C)[C@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000560630657 282037252 /nfs/dbraw/zinc/03/72/52/282037252.db2.gz YGPOYJRKOGJQHY-QWRGUYRKSA-N 0 0 294.355 2.653 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(=O)OC(C)(C)C ZINC000560840374 282042800 /nfs/dbraw/zinc/04/28/00/282042800.db2.gz DLOZMTWTSOKNNE-SNVBAGLBSA-N 0 0 295.339 2.830 20 5 CFBDRN C[C@H](C(=O)N1CC(C2CCC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000561096115 282047290 /nfs/dbraw/zinc/04/72/90/282047290.db2.gz DULHDBBDLITEOO-NSHDSACASA-N 0 0 288.347 2.957 20 5 CFBDRN C[C@H](C(=O)N1CCSC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000562300126 282073111 /nfs/dbraw/zinc/07/31/11/282073111.db2.gz GRBCIUUKBJFEEN-MNOVXSKESA-N 0 0 294.376 2.662 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCC(C)(C)O ZINC000562360662 282077307 /nfs/dbraw/zinc/07/73/07/282077307.db2.gz UYJMTNQWGVAEHG-UHFFFAOYSA-N 0 0 280.324 2.701 20 5 CFBDRN CN(C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(C)(C)C ZINC000335520551 288917507 /nfs/dbraw/zinc/91/75/07/288917507.db2.gz HGGUCTAJOHNPQY-UHFFFAOYSA-N 0 0 275.308 2.947 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1c1ccc([N+](=O)[O-])nc1 ZINC000359859264 289006807 /nfs/dbraw/zinc/00/68/07/289006807.db2.gz UIGYCYVHGSGVEB-VXNVDRBHSA-N 0 0 275.230 2.767 20 5 CFBDRN CCc1[nH]nc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])c1C ZINC000155777632 289925832 /nfs/dbraw/zinc/92/58/32/289925832.db2.gz YKAGCXLWOBRDHX-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN C[C@H](N(C)C(=O)c1cccc([N+](=O)[O-])c1N)C(C)(C)C ZINC000157309576 289953008 /nfs/dbraw/zinc/95/30/08/289953008.db2.gz FLFHLZMHSYNDHY-VIFPVBQESA-N 0 0 279.340 2.684 20 5 CFBDRN CCCC1(CNC(=O)c2cccc([N+](=O)[O-])c2N)CCC1 ZINC000157372809 289954370 /nfs/dbraw/zinc/95/43/70/289954370.db2.gz ZBVNTHZRKMEKDE-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN CCc1ncsc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158126405 289970332 /nfs/dbraw/zinc/97/03/32/289970332.db2.gz JNRKEBNHTGMEJN-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN Cc1ccnc(N2C[C@@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)c1[N+](=O)[O-] ZINC000450688773 292781849 /nfs/dbraw/zinc/78/18/49/292781849.db2.gz FUNAXWQZLDYHOU-IATRGZMQSA-N 0 0 285.347 2.947 20 5 CFBDRN CN(Cc1ccon1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000488094336 290032423 /nfs/dbraw/zinc/03/24/23/290032423.db2.gz HUHXMIRAHBOXDE-UHFFFAOYSA-N 0 0 284.275 2.767 20 5 CFBDRN CN(Cc1ncc[nH]1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000488097528 290032496 /nfs/dbraw/zinc/03/24/96/290032496.db2.gz NVVOKNFRNAPDOR-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000562400504 290040401 /nfs/dbraw/zinc/04/04/01/290040401.db2.gz GTRPSUHOIXOFID-YPMHNXCESA-N 0 0 274.320 2.923 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC(C(F)(F)F)C2)n1 ZINC000562529553 290051428 /nfs/dbraw/zinc/05/14/28/290051428.db2.gz RUFWKBAAZXLPQQ-UHFFFAOYSA-N 0 0 275.230 2.605 20 5 CFBDRN CN(c1nccc2cc([N+](=O)[O-])ccc21)C1CCOCC1 ZINC000562531340 290051867 /nfs/dbraw/zinc/05/18/67/290051867.db2.gz YHDQSYFYAWZKPE-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ccn1CCC(F)(F)F ZINC000563136079 290107690 /nfs/dbraw/zinc/10/76/90/290107690.db2.gz QMECPAXYFOQYRX-UHFFFAOYSA-N 0 0 286.209 2.862 20 5 CFBDRN C[C@H](C(=O)N(C)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000563180945 290114197 /nfs/dbraw/zinc/11/41/97/290114197.db2.gz WFFHUJPHOGSVTH-JTQLQIEISA-N 0 0 264.325 2.955 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H](F)C1 ZINC000563330139 290132890 /nfs/dbraw/zinc/13/28/90/290132890.db2.gz XCJCYXBIWSSQSP-SECBINFHSA-N 0 0 286.690 2.822 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCC[C@H]1OC ZINC000563427688 290149779 /nfs/dbraw/zinc/14/97/79/290149779.db2.gz NQSLCCRDPAZNIU-CMPLNLGQSA-N 0 0 280.324 2.830 20 5 CFBDRN CCC[C@H](NC(=O)c1c[nH]nc1[N+](=O)[O-])C1CCCCC1 ZINC000563458004 290154517 /nfs/dbraw/zinc/15/45/17/290154517.db2.gz FDZXXFFMAVFHRI-LBPRGKRZSA-N 0 0 294.355 2.797 20 5 CFBDRN COc1cc(Cn2cc(C(C)C)cn2)ccc1[N+](=O)[O-] ZINC000563886454 290181565 /nfs/dbraw/zinc/18/15/65/290181565.db2.gz YHDDMSUBSXADAO-UHFFFAOYSA-N 0 0 275.308 2.972 20 5 CFBDRN O=C(NCc1noc2ccccc12)c1ccccc1[N+](=O)[O-] ZINC000564267447 290199717 /nfs/dbraw/zinc/19/97/17/290199717.db2.gz ZLBQEJKXCOTXPJ-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(C(F)(F)F)C2)c(F)c1 ZINC000564705348 290224932 /nfs/dbraw/zinc/22/49/32/290224932.db2.gz KWEBDZJPCAVPNP-UHFFFAOYSA-N 0 0 278.205 2.728 20 5 CFBDRN CCCCOCCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000564712869 290225948 /nfs/dbraw/zinc/22/59/48/290225948.db2.gz RZCSGWMMNBLIAR-LBPRGKRZSA-N 0 0 294.351 2.631 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCCSCC1)CCCC2 ZINC000564893282 290245498 /nfs/dbraw/zinc/24/54/98/290245498.db2.gz SNPHFYZXOPCNLQ-UHFFFAOYSA-N 0 0 293.392 2.812 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCCc1ccccn1)CCCC2 ZINC000564893904 290245812 /nfs/dbraw/zinc/24/58/12/290245812.db2.gz WTJIMGZESZNFLW-UHFFFAOYSA-N 0 0 298.346 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@]3(CCSC3)C2)c1 ZINC000564903268 290247214 /nfs/dbraw/zinc/24/72/14/290247214.db2.gz LJOUXGCRYVGOQZ-AWEZNQCLSA-N 0 0 294.376 2.616 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])s1)C(C)C ZINC000565164538 290274763 /nfs/dbraw/zinc/27/47/63/290274763.db2.gz REJWCBAVTKLZKQ-UHFFFAOYSA-N 0 0 256.327 2.917 20 5 CFBDRN C[C@@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])c1csnn1 ZINC000565219641 290283823 /nfs/dbraw/zinc/28/38/23/290283823.db2.gz XKCICCPJKBRPEW-KCJUWKMLSA-N 0 0 290.348 2.784 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2NCc1ncccc1F ZINC000565371480 290298058 /nfs/dbraw/zinc/29/80/58/290298058.db2.gz DNMPNAJGRQRIBC-CYBMUJFWSA-N 0 0 287.294 2.906 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1C[C@H](OC)C1(C)C ZINC000565666284 290317101 /nfs/dbraw/zinc/31/71/01/290317101.db2.gz CDDODRNPUFKJCM-RYUDHWBXSA-N 0 0 280.324 2.829 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NC[C@H]1CCCCO1)CCCC2 ZINC000566150267 290353177 /nfs/dbraw/zinc/35/31/77/290353177.db2.gz QLDNXHXAMNLSKW-GFCCVEGCSA-N 0 0 291.351 2.850 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000566219968 290359190 /nfs/dbraw/zinc/35/91/90/290359190.db2.gz IGVCPNATLHOTSJ-NQBHXWOUSA-N 0 0 292.335 2.698 20 5 CFBDRN O=C(NC1(CF)CCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000566282574 290367094 /nfs/dbraw/zinc/36/70/94/290367094.db2.gz AQCRZWZGVHDQMP-UHFFFAOYSA-N 0 0 286.690 2.870 20 5 CFBDRN Cc1cc(CN[C@H]2CCc3c2cccc3[N+](=O)[O-])nc(C)n1 ZINC000566475682 290391051 /nfs/dbraw/zinc/39/10/51/290391051.db2.gz OMBMYGUQXIEQMK-HNNXBMFYSA-N 0 0 298.346 2.779 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1ncc(C)o1 ZINC000566480083 290392645 /nfs/dbraw/zinc/39/26/45/290392645.db2.gz XJRRDQKXCZQXDV-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CC[C@H]1CCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000566486885 290394182 /nfs/dbraw/zinc/39/41/82/290394182.db2.gz PLTQFTUCJMBPDK-JTQLQIEISA-N 0 0 250.298 2.840 20 5 CFBDRN COC(=O)c1ccc(OCC=C(C)C)c([N+](=O)[O-])c1 ZINC000566728662 290412475 /nfs/dbraw/zinc/41/24/75/290412475.db2.gz IKFMDBPDMLSANC-UHFFFAOYSA-N 0 0 265.265 2.726 20 5 CFBDRN Cc1cccc(-c2nc([C@@H]3CCCO3)no2)c1[N+](=O)[O-] ZINC000566915223 290426386 /nfs/dbraw/zinc/42/63/86/290426386.db2.gz HLGHGPIZTAPFLS-JTQLQIEISA-N 0 0 275.264 2.805 20 5 CFBDRN C[C@H]1CCCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000008308487 290455407 /nfs/dbraw/zinc/45/54/07/290455407.db2.gz RLQHNEWKIQNIKA-QMMMGPOBSA-N 0 0 266.326 2.540 20 5 CFBDRN C[C@H]1CCC[C@@H](Nc2ncc([N+](=O)[O-])cn2)CC1 ZINC000227539876 290477672 /nfs/dbraw/zinc/47/76/72/290477672.db2.gz RIUGNBFIACNLRG-VHSXEESVSA-N 0 0 250.302 2.766 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCO[C@@H](C)C1 ZINC000568107499 290524690 /nfs/dbraw/zinc/52/46/90/290524690.db2.gz OTZIMLSKNGMTJZ-QWRGUYRKSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1cnc(C)c(N2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000302133629 292888749 /nfs/dbraw/zinc/88/87/49/292888749.db2.gz CLFPKWJFGUJMBT-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN Cc1cnc(N2CCS[C@H](C)CC2)c([N+](=O)[O-])c1 ZINC000276842931 292912229 /nfs/dbraw/zinc/91/22/29/292912229.db2.gz CLTQHORGVQEPHL-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1cnc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)s1 ZINC000162077413 292922534 /nfs/dbraw/zinc/92/25/34/292922534.db2.gz HVZXHJBVPAPNFX-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN Cc1nnc([C@@H]2CCCCN2c2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000374585309 293367417 /nfs/dbraw/zinc/36/74/17/293367417.db2.gz OEYTUYYONSIIBF-ZDUSSCGKSA-N 0 0 287.323 2.753 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000415393240 293486352 /nfs/dbraw/zinc/48/63/52/293486352.db2.gz OUJZSKZVMQBSBA-RISCZKNCSA-N 0 0 294.351 2.833 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2c1cc(F)ccc1[N+](=O)[O-] ZINC000376206528 294698617 /nfs/dbraw/zinc/69/86/17/294698617.db2.gz JMUHMNUARRXLNQ-UBSPAHDZSA-N 0 0 292.310 2.594 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)CC2(C)C)ccc1[N+](=O)[O-] ZINC000266894685 294936591 /nfs/dbraw/zinc/93/65/91/294936591.db2.gz GEIJSFUANCZQHN-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cccc(N2CC[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000185520636 295306183 /nfs/dbraw/zinc/30/61/83/295306183.db2.gz GAXKBOWYASBXEH-NXEZZACHSA-N 0 0 250.298 2.838 20 5 CFBDRN O=C(C1CC=CC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000077996024 295437731 /nfs/dbraw/zinc/43/77/31/295437731.db2.gz SYYSQWSMTXNCLJ-UHFFFAOYSA-N 0 0 272.304 2.840 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000336163421 297235445 /nfs/dbraw/zinc/23/54/45/297235445.db2.gz YNAZUHIAPWAPPH-GHMZBOCLSA-N 0 0 276.336 2.882 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000091327265 300184938 /nfs/dbraw/zinc/18/49/38/300184938.db2.gz DVOLMZFGAMSMGH-IUCAKERBSA-N 0 0 282.727 2.976 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CC[C@@H]1C ZINC000131308990 300192553 /nfs/dbraw/zinc/19/25/53/300192553.db2.gz OMZJFEWYCANEES-UWVGGRQHSA-N 0 0 280.299 2.852 20 5 CFBDRN Cc1c(C(=O)N(C(C)C)[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000291401675 300912184 /nfs/dbraw/zinc/91/21/84/300912184.db2.gz DQLBKSRYDMAMAJ-LBPRGKRZSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCCC[C@@H]2CCO)n1 ZINC000343089501 301203200 /nfs/dbraw/zinc/20/32/00/301203200.db2.gz NKOHUSSVBJMCFZ-CYBMUJFWSA-N 0 0 293.367 2.738 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@](C)(F)C2)n1 ZINC000450566847 301203575 /nfs/dbraw/zinc/20/35/75/301203575.db2.gz XOLFCVZKJCYYTF-CYBMUJFWSA-N 0 0 267.304 2.935 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@H]2[C@H]2CCCO2)n1 ZINC000343067539 301204153 /nfs/dbraw/zinc/20/41/53/301204153.db2.gz BCBPHUQPUGAVGS-QWHCGFSZSA-N 0 0 291.351 2.754 20 5 CFBDRN Cc1cc(N(C)[C@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000359970006 301330056 /nfs/dbraw/zinc/33/00/56/301330056.db2.gz XRSSAWKLUYVHKX-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)[C@@H]1C ZINC000194801038 302038325 /nfs/dbraw/zinc/03/83/25/302038325.db2.gz DTRJELZJYDGDBR-KOLCDFICSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H]2CCC[C@H]2C1 ZINC000194830745 302038713 /nfs/dbraw/zinc/03/87/13/302038713.db2.gz MFTPDMJHOFTMMU-RYUDHWBXSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1S(=O)(=O)NC1(C)CCCC1 ZINC000049078685 302047398 /nfs/dbraw/zinc/04/73/98/302047398.db2.gz GGXDBJMWYFNOBA-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN Cc1ccnc(N2CCC[C@@](C)(F)C2)c1[N+](=O)[O-] ZINC000295026403 302271420 /nfs/dbraw/zinc/27/14/20/302271420.db2.gz VLCWFHFDAXUDSP-GFCCVEGCSA-N 0 0 253.277 2.627 20 5 CFBDRN Cc1ccnc(N2CCS[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000276940844 302273460 /nfs/dbraw/zinc/27/34/60/302273460.db2.gz SFGCVXAOMDWSOR-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN Cn1ccnc1[C@H]1N(c2ccccc2[N+](=O)[O-])CC1(C)C ZINC000295894945 303010892 /nfs/dbraw/zinc/01/08/92/303010892.db2.gz BZXKEXUOAQRTGY-CYBMUJFWSA-N 0 0 286.335 2.916 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@H](C(C)(C)C)C1 ZINC000408340919 303020273 /nfs/dbraw/zinc/02/02/73/303020273.db2.gz YGEKAZZXGXXLBG-SNVBAGLBSA-N 0 0 266.345 2.591 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOC[C@@H](C2CCC2)C1 ZINC000292412872 304800721 /nfs/dbraw/zinc/80/07/21/304800721.db2.gz VUEYBTDXSLATLT-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCCc2ccccc2C1 ZINC000345166057 304812675 /nfs/dbraw/zinc/81/26/75/304812675.db2.gz WARPLONLYRWFNQ-UHFFFAOYSA-N 0 0 269.304 2.943 20 5 CFBDRN CC(C)c1cccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])c1 ZINC000159388862 322322291 /nfs/dbraw/zinc/32/22/91/322322291.db2.gz TUUHBUMJCKVRNK-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CC(C)c1nnc(CSc2ccc([N+](=O)[O-])cn2)o1 ZINC000159735129 322327410 /nfs/dbraw/zinc/32/74/10/322327410.db2.gz KWMPCEMSLDPWOI-UHFFFAOYSA-N 0 0 280.309 2.789 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000159860573 322329279 /nfs/dbraw/zinc/32/92/79/322329279.db2.gz XLIKJFUDIZNCOW-HZMBPMFUSA-N 0 0 278.308 2.978 20 5 CFBDRN Cc1cncc(/C=C/C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000160066779 322332584 /nfs/dbraw/zinc/33/25/84/322332584.db2.gz CLBIDQHHDSJTBU-AATRIKPKSA-N 0 0 283.287 2.950 20 5 CFBDRN CCOC(=O)c1ccnc(N2[C@@H](C)CC[C@@H]2C)c1[N+](=O)[O-] ZINC000161389543 322350660 /nfs/dbraw/zinc/35/06/60/322350660.db2.gz BHIKHUJSVNFBJV-UWVGGRQHSA-N 0 0 293.323 2.544 20 5 CFBDRN CCOC(=O)c1ccnc(N2[C@H](C)CC[C@H]2C)c1[N+](=O)[O-] ZINC000161389678 322351025 /nfs/dbraw/zinc/35/10/25/322351025.db2.gz BHIKHUJSVNFBJV-NXEZZACHSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1cc(C(=O)N2[C@H](C)CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000161578975 322353964 /nfs/dbraw/zinc/35/39/64/322353964.db2.gz RNIUAGQEJYSWOX-GHMZBOCLSA-N 0 0 262.309 2.916 20 5 CFBDRN Cc1cc(C(=O)N2[C@H](C)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000161578730 322354013 /nfs/dbraw/zinc/35/40/13/322354013.db2.gz RNIUAGQEJYSWOX-PHIMTYICSA-N 0 0 262.309 2.916 20 5 CFBDRN CCN(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000161632614 322354820 /nfs/dbraw/zinc/35/48/20/322354820.db2.gz CQEYUNJMJHVGIL-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1ccc(C(F)(F)F)cc1 ZINC000161709847 322355909 /nfs/dbraw/zinc/35/59/09/322355909.db2.gz ISJBJROSFSFTBA-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN O=C(c1ccncc1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162175225 322361516 /nfs/dbraw/zinc/36/15/16/322361516.db2.gz LIAZPZVIWUXVHC-UHFFFAOYSA-N 0 0 283.287 2.583 20 5 CFBDRN CN(Cc1cnn(C)c1)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000163270865 322374552 /nfs/dbraw/zinc/37/45/52/322374552.db2.gz FLHYBBJRLBDMSH-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCCC2CC2)c1 ZINC000167332220 322388800 /nfs/dbraw/zinc/38/88/00/322388800.db2.gz WLHCZUINJHTKMD-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1cccnc1C ZINC000170987621 322397921 /nfs/dbraw/zinc/39/79/21/322397921.db2.gz OZLWIAMDFKFASG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C1CCN(Cc2ccc([N+](=O)[O-])cc2F)C2(CCC2)C1 ZINC000569375690 322469120 /nfs/dbraw/zinc/46/91/20/322469120.db2.gz AXLQSKMQAONDDG-UHFFFAOYSA-N 0 0 292.310 2.822 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000569431246 322471769 /nfs/dbraw/zinc/47/17/69/322471769.db2.gz ITYXMDCDBCNEQM-KOLCDFICSA-N 0 0 256.689 2.838 20 5 CFBDRN Nc1ccc(-c2noc(C3(CF)CCC3)n2)cc1[N+](=O)[O-] ZINC000569693138 322490268 /nfs/dbraw/zinc/49/02/68/322490268.db2.gz VPGUWNHCWMCWOO-UHFFFAOYSA-N 0 0 292.270 2.618 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000569978367 322507691 /nfs/dbraw/zinc/50/76/91/322507691.db2.gz FNXIAPZEPGGUIX-GXFFZTMASA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@@H](C(=O)N(C)[C@@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000570227600 322521437 /nfs/dbraw/zinc/52/14/37/322521437.db2.gz WBWXWTWXTIJFGN-ZWNOBZJWSA-N 0 0 276.336 2.955 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CSC1(C)C ZINC000571298652 322578626 /nfs/dbraw/zinc/57/86/26/322578626.db2.gz WLOUTRCPHNEFKP-JTQLQIEISA-N 0 0 268.338 2.909 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H](F)C1 ZINC000571578464 322588308 /nfs/dbraw/zinc/58/83/08/322588308.db2.gz NRLVMHKYDWLJRZ-SECBINFHSA-N 0 0 297.286 2.569 20 5 CFBDRN COc1cc(NCC(C)(F)F)c([N+](=O)[O-])cc1F ZINC000571770749 322595487 /nfs/dbraw/zinc/59/54/87/322595487.db2.gz HBNZNYMYGWNSDK-UHFFFAOYSA-N 0 0 264.203 2.810 20 5 CFBDRN Cc1cnccc1CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000572183209 322610257 /nfs/dbraw/zinc/61/02/57/322610257.db2.gz IJOUVWJFNCMLPR-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC1CCC(C)CC1 ZINC000572939788 322637729 /nfs/dbraw/zinc/63/77/29/322637729.db2.gz FKRHVOZYRVAYMH-UHFFFAOYSA-N 0 0 264.325 2.891 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000573849489 322671125 /nfs/dbraw/zinc/67/11/25/322671125.db2.gz JOFIAVPFQMQWGY-QWHCGFSZSA-N 0 0 276.336 2.955 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000574348389 322690048 /nfs/dbraw/zinc/69/00/48/322690048.db2.gz JFHVVFPFESDVMP-YPMHNXCESA-N 0 0 291.351 2.925 20 5 CFBDRN CC[C@@H](C)C[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])C(=O)OC ZINC000575042698 322716102 /nfs/dbraw/zinc/71/61/02/322716102.db2.gz RFORCMNAEFEASV-MWLCHTKSSA-N 0 0 295.339 2.688 20 5 CFBDRN CC[C@H](C)C[C@H](Nc1ncc(C)cc1[N+](=O)[O-])C(=O)OC ZINC000575042699 322716106 /nfs/dbraw/zinc/71/61/06/322716106.db2.gz RFORCMNAEFEASV-ONGXEEELSA-N 0 0 295.339 2.688 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000175974957 323671508 /nfs/dbraw/zinc/67/15/08/323671508.db2.gz VFZYXXRZPPJANQ-NWDGAFQWSA-N 0 0 291.351 2.925 20 5 CFBDRN COc1cccc(COc2ccc([N+](=O)[O-])cc2C)n1 ZINC000178989100 323729246 /nfs/dbraw/zinc/72/92/46/323729246.db2.gz HHWDDIYMXAKBJC-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C[C@@H]1C ZINC000183470529 323917990 /nfs/dbraw/zinc/91/79/90/323917990.db2.gz YEMRCUYJPPDTOU-UTLUCORTSA-N 0 0 266.297 2.742 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCCO[C@@H]2CCCCO2)c1 ZINC000185065108 323958653 /nfs/dbraw/zinc/95/86/53/323958653.db2.gz CIXCVPRLJNZGLT-CQSZACIVSA-N 0 0 281.308 2.907 20 5 CFBDRN Cc1oncc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000189327245 324027703 /nfs/dbraw/zinc/02/77/03/324027703.db2.gz AJWGEGPJQVWQLJ-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H]1CC=CCC1 ZINC000193580651 324074314 /nfs/dbraw/zinc/07/43/14/324074314.db2.gz NFVSITDGDNCWRX-LLVKDONJSA-N 0 0 290.319 2.576 20 5 CFBDRN COc1cccc(-c2nc(-c3ccncc3)no2)c1[N+](=O)[O-] ZINC000194896978 324097291 /nfs/dbraw/zinc/09/72/91/324097291.db2.gz FESRNLXTXDMBAY-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc(-c2cscn2)no1 ZINC000350570701 324233926 /nfs/dbraw/zinc/23/39/26/324233926.db2.gz XMBVBJHLTBCQCQ-UHFFFAOYSA-N 0 0 292.251 2.907 20 5 CFBDRN Cn1c(-c2nc([C@@H]3CCC(F)(F)C3)no2)ccc1[N+](=O)[O-] ZINC000350580265 324236238 /nfs/dbraw/zinc/23/62/38/324236238.db2.gz LRBKFXFKQYEIBH-SSDOTTSWSA-N 0 0 298.249 2.886 20 5 CFBDRN COc1ccc(-c2nc(-c3ccoc3)no2)cc1[N+](=O)[O-] ZINC000350825676 324264353 /nfs/dbraw/zinc/26/43/53/324264353.db2.gz UNYWVTGXOAAYBF-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)on1 ZINC000350834613 324265347 /nfs/dbraw/zinc/26/53/47/324265347.db2.gz LQNNEZYWIKFDHU-UHFFFAOYSA-N 0 0 278.249 2.670 20 5 CFBDRN C[C@@H]1CCC[C@H](c2nc(-c3c([N+](=O)[O-])ncn3C)no2)C1 ZINC000350900308 324279117 /nfs/dbraw/zinc/27/91/17/324279117.db2.gz LCJDWRHICJUMBQ-BDAKNGLRSA-N 0 0 291.311 2.672 20 5 CFBDRN COc1cc(-c2nc([C@H]3C[C@@H]3C)no2)c([N+](=O)[O-])cc1F ZINC000351081390 324306196 /nfs/dbraw/zinc/30/61/96/324306196.db2.gz KPCACFHDWCJNHN-BQBZGAKWSA-N 0 0 293.254 2.916 20 5 CFBDRN C[C@H]1CC=C(c2nc(-c3c([N+](=O)[O-])ncn3C)no2)CC1 ZINC000351104643 324312361 /nfs/dbraw/zinc/31/23/61/324312361.db2.gz MSJKVLICSFRDBI-QMMMGPOBSA-N 0 0 289.295 2.582 20 5 CFBDRN COC1(c2nc(-c3cc(C)cc([N+](=O)[O-])c3)no2)CCC1 ZINC000351140628 324324605 /nfs/dbraw/zinc/32/46/05/324324605.db2.gz BQISWRIWMIUVBO-UHFFFAOYSA-N 0 0 289.291 2.979 20 5 CFBDRN C[C@H]1CC[C@H](CCc2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351143369 324325824 /nfs/dbraw/zinc/32/58/24/324325824.db2.gz GOWZXLOPPQXACC-DTWKUNHWSA-N 0 0 293.279 2.738 20 5 CFBDRN CCO[C@H]1C[C@@H]1c1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351142698 324325978 /nfs/dbraw/zinc/32/59/78/324325978.db2.gz FCNQCYDDIHTOKV-JQWIXIFHSA-N 0 0 289.291 2.846 20 5 CFBDRN Cc1cc(-c2noc([C@]3(F)CCOC3)n2)cc([N+](=O)[O-])c1 ZINC000351144785 324326905 /nfs/dbraw/zinc/32/69/05/324326905.db2.gz IPRVQKVBZRMCPS-ZDUSSCGKSA-N 0 0 293.254 2.538 20 5 CFBDRN Cc1c(-c2noc([C@@H]3CCO[C@H]3C)n2)cccc1[N+](=O)[O-] ZINC000351145716 324327006 /nfs/dbraw/zinc/32/70/06/324327006.db2.gz KGCFFFGZSPHQPT-GXSJLCMTSA-N 0 0 289.291 2.846 20 5 CFBDRN CC(C)n1ccc(-c2nc(-c3ccc([N+](=O)[O-])o3)no2)n1 ZINC000351146254 324327597 /nfs/dbraw/zinc/32/75/97/324327597.db2.gz LZLFQGQORPGOPP-UHFFFAOYSA-N 0 0 289.251 2.682 20 5 CFBDRN CS[C@@H](C)Cc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351147368 324328501 /nfs/dbraw/zinc/32/85/01/324328501.db2.gz OOUFGXHWVHPERS-LURJTMIESA-N 0 0 269.282 2.532 20 5 CFBDRN C[C@H]1[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1(F)F ZINC000351147801 324328536 /nfs/dbraw/zinc/32/85/36/324328536.db2.gz OXJAEDJPBBQZEC-FFWSUHOLSA-N 0 0 271.179 2.606 20 5 CFBDRN CC(C)[C@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351152863 324330966 /nfs/dbraw/zinc/33/09/66/324330966.db2.gz XGUVTSXWCNMIDR-HTQZYQBOSA-N 0 0 263.253 2.997 20 5 CFBDRN C[C@H](c1nc(Cc2ccc([N+](=O)[O-])cn2)no1)C1CCC1 ZINC000351294591 324373962 /nfs/dbraw/zinc/37/39/62/324373962.db2.gz SPXFEHHENQOPLK-VIFPVBQESA-N 0 0 288.307 2.867 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)C[C@H]1F ZINC000351334141 324386556 /nfs/dbraw/zinc/38/65/56/324386556.db2.gz WZROCKPQNXTGTN-LHLIQPBNSA-N 0 0 269.257 2.706 20 5 CFBDRN CC(F)(F)CNc1ncc(Br)cc1[N+](=O)[O-] ZINC000378788450 324400131 /nfs/dbraw/zinc/40/01/31/324400131.db2.gz LBXNITRMXZLVFL-UHFFFAOYSA-N 0 0 296.071 2.819 20 5 CFBDRN CNC(=O)c1ccc(NCC2=CCCCC2)c([N+](=O)[O-])c1 ZINC000379757131 324405480 /nfs/dbraw/zinc/40/54/80/324405480.db2.gz XXRCXLIGRACFRR-UHFFFAOYSA-N 0 0 289.335 2.867 20 5 CFBDRN Cc1ccc(OCC(=O)c2ccn(C)c2)cc1[N+](=O)[O-] ZINC000380047181 324406072 /nfs/dbraw/zinc/40/60/72/324406072.db2.gz PKNGGLCOVNMINP-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CC[C@H]2C)c1 ZINC000381829657 324423659 /nfs/dbraw/zinc/42/36/59/324423659.db2.gz IVJPCFVHHISULV-SCZZXKLOSA-N 0 0 264.281 2.592 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)[C@@H]1CC2CCC1CC2 ZINC000381801990 324423798 /nfs/dbraw/zinc/42/37/98/324423798.db2.gz CESPLAQEFYQZHS-YYJSSNLHSA-N 0 0 278.356 2.955 20 5 CFBDRN Cc1nnsc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000384159488 324443924 /nfs/dbraw/zinc/44/39/24/324443924.db2.gz JIGXWJSNBRQPRA-MRVPVSSYSA-N 0 0 278.337 2.606 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000388248294 324501334 /nfs/dbraw/zinc/50/13/34/324501334.db2.gz UUHMKADLRZNKHL-APPZFPTMSA-N 0 0 296.352 2.529 20 5 CFBDRN COc1cc(N[C@@H](C)[C@H](OC)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000388370844 324505770 /nfs/dbraw/zinc/50/57/70/324505770.db2.gz XFOMKQVYYLNZHA-RTHLEPHNSA-N 0 0 298.314 2.968 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1F)C(C)(F)F ZINC000389448456 324517544 /nfs/dbraw/zinc/51/75/44/324517544.db2.gz QBAGWOQFPBQTLU-ZETCQYMHSA-N 0 0 262.231 2.867 20 5 CFBDRN Cc1cc(N2C[C@@H]3CC[C@@H](O)C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000398843353 324552344 /nfs/dbraw/zinc/55/23/44/324552344.db2.gz POWBHSFMOPUWGO-SGMGOOAPSA-N 0 0 276.336 2.500 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1C2CCC1CC2 ZINC000576087568 324575671 /nfs/dbraw/zinc/57/56/71/324575671.db2.gz MKGGOEAQIXXKKW-CXTZMWEQSA-N 0 0 286.331 2.852 20 5 CFBDRN CN(c1ccnc2c1cccc2[N+](=O)[O-])C1CCOCC1 ZINC000576179347 324587517 /nfs/dbraw/zinc/58/75/17/324587517.db2.gz VGXHKECFLKOWMM-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC(C(F)(F)F)C2)cs1 ZINC000576432262 324616086 /nfs/dbraw/zinc/61/60/86/324616086.db2.gz NVAIDZWXAYCZJZ-UHFFFAOYSA-N 0 0 266.244 2.650 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC2(CCC2)c2ccccc21 ZINC000576444627 324616810 /nfs/dbraw/zinc/61/68/10/324616810.db2.gz MEWOMSZKGYOZLA-UHFFFAOYSA-N 0 0 284.319 2.902 20 5 CFBDRN C[C@H](NC(=O)C1(CF)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000576521187 324626154 /nfs/dbraw/zinc/62/61/54/324626154.db2.gz QYIXBRAQOGAUQR-JTQLQIEISA-N 0 0 280.299 2.912 20 5 CFBDRN CCc1nocc1COc1ccc(F)cc1[N+](=O)[O-] ZINC000576649254 324642578 /nfs/dbraw/zinc/64/25/78/324642578.db2.gz YSKLTGJSWTVLEU-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN COC(=O)c1cc(N[C@H]2CCC[C@H]2F)cc(C)c1[N+](=O)[O-] ZINC000577008781 324685652 /nfs/dbraw/zinc/68/56/52/324685652.db2.gz XIKPVPJSJXCFMY-NEPJUHHUSA-N 0 0 296.298 2.992 20 5 CFBDRN COCC1(CCNc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000577276800 324718434 /nfs/dbraw/zinc/71/84/34/324718434.db2.gz CWJBIZBYJRXVQC-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CC(C)Oc1nc(N[C@@H]2CS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000577413256 324735177 /nfs/dbraw/zinc/73/51/77/324735177.db2.gz VGNTVAWIXMQTPM-ZJUUUORDSA-N 0 0 297.380 2.505 20 5 CFBDRN Cc1nnsc1COc1cccc(C)c1[N+](=O)[O-] ZINC000577461627 324739084 /nfs/dbraw/zinc/73/90/84/324739084.db2.gz PVNYKERIZGKXKH-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(C3CCCC3)C2)c(F)c1 ZINC000577707700 324770117 /nfs/dbraw/zinc/77/01/17/324770117.db2.gz IAGPXQWTGZXTQN-UHFFFAOYSA-N 0 0 265.288 2.755 20 5 CFBDRN Cc1nsc(C)c1COc1cccnc1[N+](=O)[O-] ZINC000578452693 324854229 /nfs/dbraw/zinc/85/42/29/324854229.db2.gz RPQSVHPTLVCOQK-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)CC(F)F ZINC000578511466 324859052 /nfs/dbraw/zinc/85/90/52/324859052.db2.gz RFKBUWCKICPQAJ-SSDOTTSWSA-N 0 0 287.266 2.866 20 5 CFBDRN CCn1cc(Nc2nc3c(cc2[N+](=O)[O-])CCCC3)cn1 ZINC000578762192 324886998 /nfs/dbraw/zinc/88/69/98/324886998.db2.gz CIQZRHMRVJDBBX-UHFFFAOYSA-N 0 0 287.323 2.829 20 5 CFBDRN O=C([C@@H]1C[C@H]1C1CCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000578872502 324897866 /nfs/dbraw/zinc/89/78/66/324897866.db2.gz YOPIJVDBGUCUMV-UONOGXRCSA-N 0 0 286.331 2.920 20 5 CFBDRN CC(C)OCCCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000579187733 324927754 /nfs/dbraw/zinc/92/77/54/324927754.db2.gz FBAVSKUIOSAMBW-GFCCVEGCSA-N 0 0 294.351 2.630 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000579210439 324930711 /nfs/dbraw/zinc/93/07/11/324930711.db2.gz DPHBDGKQXFESDH-DTWKUNHWSA-N 0 0 291.229 2.598 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCC(C)(F)F ZINC000579678503 324969942 /nfs/dbraw/zinc/96/99/42/324969942.db2.gz UEIZDLIOROUUJQ-UHFFFAOYSA-N 0 0 273.239 2.680 20 5 CFBDRN C[C@H](C(=O)N1C[C@@H](C)[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000579748895 324977791 /nfs/dbraw/zinc/97/77/91/324977791.db2.gz YJWCLRUCPRWSBG-OUAUKWLOSA-N 0 0 262.309 2.565 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc(C(C)(C)C)no1 ZINC000580193301 325014859 /nfs/dbraw/zinc/01/48/59/325014859.db2.gz VMHMFQZCNPCPLO-UHFFFAOYSA-N 0 0 277.280 2.951 20 5 CFBDRN Cc1cc(N2CCCc3c(cnn3C)C2)ccc1[N+](=O)[O-] ZINC000580407944 325032339 /nfs/dbraw/zinc/03/23/39/325032339.db2.gz YTEBXEFZRUFPIJ-UHFFFAOYSA-N 0 0 286.335 2.590 20 5 CFBDRN C[C@@H](CCCCO)Nc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000580558108 325046677 /nfs/dbraw/zinc/04/66/77/325046677.db2.gz STCJQNKXSKWYAU-NSHDSACASA-N 0 0 293.367 2.832 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCOC[C@@H]1C ZINC000580580440 325048320 /nfs/dbraw/zinc/04/83/20/325048320.db2.gz BJNHQLKMMYAHPH-QWRGUYRKSA-N 0 0 280.324 2.688 20 5 CFBDRN Cc1cc(N2CCOC[C@@H]2C2CCC2)ccc1[N+](=O)[O-] ZINC000580711437 325057726 /nfs/dbraw/zinc/05/77/26/325057726.db2.gz DFTKMZUIOMTTJU-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN C[C@H](C(=O)N1CCC(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000580811010 325066070 /nfs/dbraw/zinc/06/60/70/325066070.db2.gz RFWGYSXNXZYQMA-LBPRGKRZSA-N 0 0 276.336 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](NCCCCO)C(F)(F)F)cc1 ZINC000580898279 325073506 /nfs/dbraw/zinc/07/35/06/325073506.db2.gz KUXRFUDRTXUKHB-NSHDSACASA-N 0 0 292.257 2.560 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1C[C@@H](OC)C12CCC2 ZINC000581022057 325083842 /nfs/dbraw/zinc/08/38/42/325083842.db2.gz JUMZSDDVAREJTM-QWHCGFSZSA-N 0 0 292.335 2.973 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H](OC)C12CCC2 ZINC000581022056 325084059 /nfs/dbraw/zinc/08/40/59/325084059.db2.gz JUMZSDDVAREJTM-OLZOCXBDSA-N 0 0 292.335 2.973 20 5 CFBDRN CCc1cc(CN[C@H]2CCc3c2cccc3[N+](=O)[O-])on1 ZINC000581736239 325147160 /nfs/dbraw/zinc/14/71/60/325147160.db2.gz PGNPSGUPHNNJLN-AWEZNQCLSA-N 0 0 287.319 2.922 20 5 CFBDRN CCOc1cc(OCc2coc(C)n2)ccc1[N+](=O)[O-] ZINC000581955128 325163669 /nfs/dbraw/zinc/16/36/69/325163669.db2.gz VZIWSDVZYUBAMJ-UHFFFAOYSA-N 0 0 278.264 2.869 20 5 CFBDRN C[C@H]1C[C@@H]1c1ccc(CNc2ncc([N+](=O)[O-])s2)o1 ZINC000582399265 325201110 /nfs/dbraw/zinc/20/11/10/325201110.db2.gz GNRCPPOWNIUELS-CBAPKCEASA-N 0 0 279.321 2.802 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C1CC=CC1 ZINC000582423445 325204288 /nfs/dbraw/zinc/20/42/88/325204288.db2.gz YJZWPRIDKMEHHI-UHFFFAOYSA-N 0 0 261.281 2.541 20 5 CFBDRN CN(Cc1ccncc1)c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000582901526 325244044 /nfs/dbraw/zinc/24/40/44/325244044.db2.gz MFEDCPJDESEGAQ-UHFFFAOYSA-N 0 0 298.346 2.900 20 5 CFBDRN COc1ncccc1COc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000582974905 325249689 /nfs/dbraw/zinc/24/96/89/325249689.db2.gz BJMXMLNCHNUCNU-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN COc1cc(CNc2c(OC)cccc2[N+](=O)[O-])ccn1 ZINC000583168900 325266056 /nfs/dbraw/zinc/26/60/56/325266056.db2.gz FZMYETBCYJWURH-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CCn1ccc(CN[C@@H]2CCc3c2cccc3[N+](=O)[O-])n1 ZINC000583273274 325274534 /nfs/dbraw/zinc/27/45/34/325274534.db2.gz LKYLHMMCNHRCRF-CQSZACIVSA-N 0 0 286.335 2.588 20 5 CFBDRN O=C(NCC1(C2CCC2)CCC1)c1ccc([N+](=O)[O-])cn1 ZINC000583484470 325290674 /nfs/dbraw/zinc/29/06/74/325290674.db2.gz UPRYZZACJGZGJV-UHFFFAOYSA-N 0 0 289.335 2.690 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@H]1CCC[C@H](F)C1 ZINC000583561223 325296406 /nfs/dbraw/zinc/29/64/06/325296406.db2.gz IWJBCTYZGRMCQA-RYUDHWBXSA-N 0 0 295.314 2.675 20 5 CFBDRN Cc1ncc(CNCc2ccc([N+](=O)[O-])cc2Cl)n1C ZINC000583824278 325313583 /nfs/dbraw/zinc/31/35/83/325313583.db2.gz ZFNKKSJPZFUIHX-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN Cc1cc(C)c(Cn2cc([N+](=O)[O-])cc(F)c2=O)c(C)c1 ZINC000583933969 325319642 /nfs/dbraw/zinc/31/96/42/325319642.db2.gz GDIAIUWXQQDGDO-UHFFFAOYSA-N 0 0 290.294 2.869 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H]2CCCC[C@@H]2C1 ZINC000584015336 325325173 /nfs/dbraw/zinc/32/51/73/325325173.db2.gz AUCDQTGFWZYKQE-VXGBXAGGSA-N 0 0 278.356 2.746 20 5 CFBDRN CCn1c(C)nnc1SCc1ccc(F)cc1[N+](=O)[O-] ZINC000584302362 325345704 /nfs/dbraw/zinc/34/57/04/325345704.db2.gz BJZITOJIYRUTQK-UHFFFAOYSA-N 0 0 296.327 2.946 20 5 CFBDRN CC(C)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000435812966 330663589 /nfs/dbraw/zinc/66/35/89/330663589.db2.gz BQBLEBMXWBTPGE-UHFFFAOYSA-N 0 0 280.327 2.820 20 5 CFBDRN CCN(CC)C(=O)CSCc1ccccc1[N+](=O)[O-] ZINC000192547213 335276576 /nfs/dbraw/zinc/27/65/76/335276576.db2.gz YBGQQKAWVGVDDR-UHFFFAOYSA-N 0 0 282.365 2.696 20 5 CFBDRN CC1(C)C[C@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000269798525 500582098 /nfs/dbraw/zinc/58/20/98/500582098.db2.gz IUMXCRQXBXACTH-JTQLQIEISA-N 0 0 282.727 2.911 20 5 CFBDRN CCOc1cccc(NCc2ccc([N+](=O)[O-])cc2F)n1 ZINC000611498156 500711535 /nfs/dbraw/zinc/71/15/35/500711535.db2.gz VAURQRUTWMGWFT-UHFFFAOYSA-N 0 0 291.282 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(CCn2c3ccccc3nc2CO)cc1 ZINC000340971312 501083804 /nfs/dbraw/zinc/08/38/04/501083804.db2.gz WMTYFZNHGRXQRJ-UHFFFAOYSA-N 0 0 297.314 2.680 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000088298438 522026675 /nfs/dbraw/zinc/02/66/75/522026675.db2.gz WWADILABFMRYJB-ZJUUUORDSA-N 0 0 279.340 2.930 20 5 CFBDRN C[C@H](CC(F)(F)F)Nc1ncc([N+](=O)[O-])cc1F ZINC000413229815 533744895 /nfs/dbraw/zinc/74/48/95/533744895.db2.gz KSAVMTZYCCNNEB-RXMQYKEDSA-N 0 0 267.182 2.882 20 5 CFBDRN C[C@@H](O)[C@H](C)Sc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413180096 533829209 /nfs/dbraw/zinc/82/92/09/533829209.db2.gz YOZYRGFCLKMFQZ-RITPCOANSA-N 0 0 296.270 2.870 20 5 CFBDRN Cc1cc(N2CCCO[C@@H](CF)C2)c(F)cc1[N+](=O)[O-] ZINC000413474766 533894365 /nfs/dbraw/zinc/89/43/65/533894365.db2.gz BODYERKYDKFVRN-JTQLQIEISA-N 0 0 286.278 2.607 20 5 CFBDRN COC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] ZINC000142656332 533899880 /nfs/dbraw/zinc/89/98/80/533899880.db2.gz NLCYTWWWIQTEDH-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN Cc1cc(O)ccc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000174132880 534013478 /nfs/dbraw/zinc/01/34/78/534013478.db2.gz KHBRLSYFXXSJPV-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2nc3ccccc3cc2[N+](=O)[O-])O1 ZINC000413372469 534158787 /nfs/dbraw/zinc/15/87/87/534158787.db2.gz GCJVPEKQTKRZCY-PWSUYJOCSA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000416082904 534249225 /nfs/dbraw/zinc/24/92/25/534249225.db2.gz XRPWMKRMUHUYCX-UTUOFQBUSA-N 0 0 276.336 2.640 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000049236108 518384033 /nfs/dbraw/zinc/38/40/33/518384033.db2.gz WFULXGYHFZWYRB-GFCCVEGCSA-N 0 0 278.308 2.778 20 5 CFBDRN CC(C)(C)OC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000049390644 518832944 /nfs/dbraw/zinc/83/29/44/518832944.db2.gz FPIPNVQPQIMYJZ-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN CC(C)(C)OC1CC(CCNc2ccncc2[N+](=O)[O-])C1 ZINC000340845119 518863454 /nfs/dbraw/zinc/86/34/54/518863454.db2.gz CWSOVDGWSPEMLZ-UHFFFAOYSA-N 0 0 293.367 2.807 20 5 CFBDRN CC(C)(C)c1nc(Cn2cccc([N+](=O)[O-])c2=O)cs1 ZINC000161716812 519102726 /nfs/dbraw/zinc/10/27/26/519102726.db2.gz VWAXDWQOEXUTRY-UHFFFAOYSA-N 0 0 293.348 2.559 20 5 CFBDRN CC(C)(C)c1nc(CSc2ncccc2[N+](=O)[O-])no1 ZINC000127912046 519104153 /nfs/dbraw/zinc/10/41/53/519104153.db2.gz CFKLUVCLZGLYKT-UHFFFAOYSA-N 0 0 294.336 2.963 20 5 CFBDRN CC(C)CNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049241204 519737887 /nfs/dbraw/zinc/73/78/87/519737887.db2.gz BYZYOGZNRAMJEJ-SNVBAGLBSA-N 0 0 265.313 2.611 20 5 CFBDRN CC(C)OCCCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000181629476 519758614 /nfs/dbraw/zinc/75/86/14/519758614.db2.gz HXGWSHANTHPUFY-UHFFFAOYSA-N 0 0 266.297 2.739 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050703334 519811751 /nfs/dbraw/zinc/81/17/51/519811751.db2.gz SGJAEQJMLOBBRV-QMMMGPOBSA-N 0 0 299.758 2.947 20 5 CFBDRN CC(C)[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)C(C)(C)O ZINC000276837697 519821696 /nfs/dbraw/zinc/82/16/96/519821696.db2.gz VWIFIOYJORAGQX-JTQLQIEISA-N 0 0 287.747 2.851 20 5 CFBDRN CCCC(=O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000050185688 519861821 /nfs/dbraw/zinc/86/18/21/519861821.db2.gz BSEWQMVDQAZCPK-UHFFFAOYSA-N 0 0 257.673 2.996 20 5 CFBDRN CCN(C(=O)Nc1cccc([N+](=O)[O-])c1C)[C@@H]1CCOC1 ZINC000361667491 520002750 /nfs/dbraw/zinc/00/27/50/520002750.db2.gz PXMCUSSZXGQUEB-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CCOCc1cccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000338697287 520204552 /nfs/dbraw/zinc/20/45/52/520204552.db2.gz KPONRMYISOENGY-UHFFFAOYSA-N 0 0 289.291 2.712 20 5 CFBDRN CCNc1ccc(C(=O)N2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000050711352 520283141 /nfs/dbraw/zinc/28/31/41/520283141.db2.gz PZVRIGFFUDYGOK-SNVBAGLBSA-N 0 0 277.324 2.651 20 5 CFBDRN CC1(C)CCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334886234 520334616 /nfs/dbraw/zinc/33/46/16/520334616.db2.gz QDQCBETWPHAVAT-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN CCCCN(C(=O)c1cc([N+](=O)[O-])cnc1N)[C@@H](C)CC ZINC000230869985 520358957 /nfs/dbraw/zinc/35/89/57/520358957.db2.gz FVKGGNRAWWLCDY-JTQLQIEISA-N 0 0 294.355 2.613 20 5 CFBDRN CCN(CC(F)F)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000182509854 520427057 /nfs/dbraw/zinc/42/70/57/520427057.db2.gz AXGGECOFFAKSHV-UHFFFAOYSA-N 0 0 292.669 2.975 20 5 CFBDRN CCO[C@H](C)c1noc(CNc2cccc([N+](=O)[O-])c2)n1 ZINC000076699932 520494258 /nfs/dbraw/zinc/49/42/58/520494258.db2.gz WFQRDKSYCGYWCP-SECBINFHSA-N 0 0 292.295 2.687 20 5 CFBDRN CCCCN(CCO)c1cc(C)ccc1[N+](=O)[O-] ZINC000084726765 520525285 /nfs/dbraw/zinc/52/52/85/520525285.db2.gz ZEAOJRJYMNTIFN-UHFFFAOYSA-N 0 0 252.314 2.502 20 5 CFBDRN NC(=O)c1cccc(NCCC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC000413332665 534498388 /nfs/dbraw/zinc/49/83/88/534498388.db2.gz IIGRIRATIRFUMG-UHFFFAOYSA-N 0 0 299.277 2.541 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000192493047 520568167 /nfs/dbraw/zinc/56/81/67/520568167.db2.gz STJZXWKKGZHILC-UWVGGRQHSA-N 0 0 297.380 2.835 20 5 CFBDRN CCCCOC(=O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000049918402 520713184 /nfs/dbraw/zinc/71/31/84/520713184.db2.gz HELQPFHDWOCWIZ-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN CCOc1cc(N[C@@H](C)c2cn[nH]c2)ccc1[N+](=O)[O-] ZINC000221034739 520763277 /nfs/dbraw/zinc/76/32/77/520763277.db2.gz VIPQHLUGKDKJCB-VIFPVBQESA-N 0 0 276.296 2.890 20 5 CFBDRN CCOc1cc(N[C@@H]2CC[C@H](OC)C2)ccc1[N+](=O)[O-] ZINC000231683372 520764098 /nfs/dbraw/zinc/76/40/98/520764098.db2.gz DGZSWIKHQZEQTG-PWSUYJOCSA-N 0 0 280.324 2.973 20 5 CFBDRN CCOC(=O)CN(Cc1ccc([N+](=O)[O-])cc1)CC(C)C ZINC000065541577 520765750 /nfs/dbraw/zinc/76/57/50/520765750.db2.gz VQMDRGNFDBQAMD-UHFFFAOYSA-N 0 0 294.351 2.616 20 5 CFBDRN CCC[C@@H](O)CNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190136502 520804336 /nfs/dbraw/zinc/80/43/36/520804336.db2.gz OIZSATCMQLZWKO-GFCCVEGCSA-N 0 0 294.351 2.609 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000065535961 520819776 /nfs/dbraw/zinc/81/97/76/520819776.db2.gz QIUPUVJYJLHGLM-JTQLQIEISA-N 0 0 296.323 2.747 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1c(C)cccc1[N+](=O)[O-] ZINC000357458087 520866739 /nfs/dbraw/zinc/86/67/39/520866739.db2.gz XMFUBVLYOCUDJX-JTQLQIEISA-N 0 0 280.324 2.904 20 5 CFBDRN CCN(CC)c1ccc(Nc2ncccc2[N+](=O)[O-])cn1 ZINC000052314678 520922112 /nfs/dbraw/zinc/92/21/12/520922112.db2.gz RIULPBFGHOLRFQ-UHFFFAOYSA-N 0 0 287.323 2.975 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000226570509 520938045 /nfs/dbraw/zinc/93/80/45/520938045.db2.gz UJGKFUKYRCSHET-CQSZACIVSA-N 0 0 282.340 2.957 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1C ZINC000223245647 520939594 /nfs/dbraw/zinc/93/95/94/520939594.db2.gz FQUADGFAOSXPBY-CYBMUJFWSA-N 0 0 252.314 2.866 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1cccc([N+](=O)[O-])c1NC ZINC000360939453 520998937 /nfs/dbraw/zinc/99/89/37/520998937.db2.gz XMARORCVBUQVST-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CCN(CCCO)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000135264117 521037544 /nfs/dbraw/zinc/03/75/44/521037544.db2.gz PATMWPKXJGGANG-UHFFFAOYSA-N 0 0 292.257 2.822 20 5 CFBDRN CCC(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000335163398 521126944 /nfs/dbraw/zinc/12/69/44/521126944.db2.gz PQGLNRGKOLBLPT-GFCCVEGCSA-N 0 0 266.322 2.579 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000361823314 521256814 /nfs/dbraw/zinc/25/68/14/521256814.db2.gz FALOIJLUFQCXMM-VIFPVBQESA-N 0 0 299.302 2.623 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(CC)C(C)C)c1 ZINC000338399196 521277950 /nfs/dbraw/zinc/27/79/50/521277950.db2.gz LMXBVQIQZSVWNG-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC2(C)C)c1 ZINC000338541733 521278753 /nfs/dbraw/zinc/27/87/53/521278753.db2.gz YXNHCFVOOGDRAD-SNVBAGLBSA-N 0 0 292.335 2.769 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CO[C@H](C)CC ZINC000281414654 521281037 /nfs/dbraw/zinc/28/10/37/521281037.db2.gz PRHIDZRAGLEVLN-SNVBAGLBSA-N 0 0 296.323 2.747 20 5 CFBDRN CCOc1cccc(NCC[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000231038530 521398387 /nfs/dbraw/zinc/39/83/87/521398387.db2.gz LYBPOSDTAARTDR-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN CCCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)C1CC1 ZINC000050748375 521444524 /nfs/dbraw/zinc/44/45/24/521444524.db2.gz OQPPNUNUDMKBGB-UHFFFAOYSA-N 0 0 277.324 2.651 20 5 CFBDRN CCC1(C)CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000334172647 521677238 /nfs/dbraw/zinc/67/72/38/521677238.db2.gz AUKQXJROJUCJAO-UHFFFAOYSA-N 0 0 265.313 2.575 20 5 CFBDRN CCC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000334269355 521677254 /nfs/dbraw/zinc/67/72/54/521677254.db2.gz QPSNQLKINKKJBO-UHFFFAOYSA-N 0 0 279.340 2.586 20 5 CFBDRN CCOCCC1(CNc2c([N+](=O)[O-])nc(C)n2CC)CC1 ZINC000361046796 521691701 /nfs/dbraw/zinc/69/17/01/521691701.db2.gz SDBHOTULZIDXGM-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN CCOCCC1(CNc2ccc([N+](=O)[O-])nc2)CCC1 ZINC000360876117 521691748 /nfs/dbraw/zinc/69/17/48/521691748.db2.gz ZFISVNVWFGDOJN-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN CCOCCCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000042391641 521696999 /nfs/dbraw/zinc/69/69/99/521696999.db2.gz PQSCULXEYLXIPY-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN CCS[C@@H]1CC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000174395711 521727167 /nfs/dbraw/zinc/72/71/67/521727167.db2.gz PBFZVOLBFXVLRC-NXEZZACHSA-N 0 0 298.364 2.934 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CC[C@H](C)C1 ZINC000360099507 521792155 /nfs/dbraw/zinc/79/21/55/521792155.db2.gz AIEOUUDFRSXUEH-WDEREUQCSA-N 0 0 291.351 2.803 20 5 CFBDRN CNC(=O)c1ccccc1NCc1cccc([N+](=O)[O-])c1C ZINC000172854948 521916929 /nfs/dbraw/zinc/91/69/29/521916929.db2.gz WXPQXUSTROTZEH-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CC[C@@H](C)CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000167253523 522000829 /nfs/dbraw/zinc/00/08/29/522000829.db2.gz OIDHVKYYSGJWHQ-SECBINFHSA-N 0 0 277.324 2.938 20 5 CFBDRN CCc1cnccc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360526342 522070051 /nfs/dbraw/zinc/07/00/51/522070051.db2.gz FJHXJNYKINAOPI-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN Cc1cnc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000177854079 534618547 /nfs/dbraw/zinc/61/85/47/534618547.db2.gz UWHSXLWKTURXKW-SSDOTTSWSA-N 0 0 297.361 2.912 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000057304128 522295862 /nfs/dbraw/zinc/29/58/62/522295862.db2.gz JRSXZJSPPZAMHK-RKDXNWHRSA-N 0 0 290.323 2.636 20 5 CFBDRN COC(=O)c1ccoc1COc1ccc(C)c([N+](=O)[O-])c1 ZINC000339182105 522304604 /nfs/dbraw/zinc/30/46/04/522304604.db2.gz VRUWCNMMHNSACP-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)CF ZINC000360591202 522305343 /nfs/dbraw/zinc/30/53/43/522305343.db2.gz MEFIMWNGEMIZQL-CMPLNLGQSA-N 0 0 282.315 2.638 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000361481099 522330538 /nfs/dbraw/zinc/33/05/38/522330538.db2.gz JOWJKVMLCQARQU-BXKDBHETSA-N 0 0 266.297 2.594 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1ccc([N+](=O)[O-])cc1 ZINC000227356571 534627268 /nfs/dbraw/zinc/62/72/68/534627268.db2.gz LZUWMEBQUJQDSD-GFCCVEGCSA-N 0 0 252.314 2.804 20 5 CFBDRN COC(=O)c1cnc(NC[C@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000360114327 522339006 /nfs/dbraw/zinc/33/90/06/522339006.db2.gz GKMHEVPPNJYXDB-UWVGGRQHSA-N 0 0 293.323 2.625 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000340096467 522356861 /nfs/dbraw/zinc/35/68/61/522356861.db2.gz VNHMKGDMYMNJTD-NEPJUHHUSA-N 0 0 293.367 2.871 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000057301064 522357641 /nfs/dbraw/zinc/35/76/41/522357641.db2.gz MKDKZQWUHJQJLX-ZJUUUORDSA-N 0 0 250.298 2.759 20 5 CFBDRN COC(=O)c1occc1COc1cccc([N+](=O)[O-])c1C ZINC000076423971 522415907 /nfs/dbraw/zinc/41/59/07/522415907.db2.gz NDURBGNIVRIDJG-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CNc1c(C(=O)N2CCC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000161416344 522534321 /nfs/dbraw/zinc/53/43/21/522534321.db2.gz LYEFWUNJJNCZOP-SNVBAGLBSA-N 0 0 277.324 2.509 20 5 CFBDRN CNc1c(C(=O)N(C)CC2CCC2)cccc1[N+](=O)[O-] ZINC000161477781 522534780 /nfs/dbraw/zinc/53/47/80/522534780.db2.gz QEBWCTPBIQJOQA-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN CNc1c(C(=O)N2CCc3ccccc32)cccc1[N+](=O)[O-] ZINC000317425425 522538331 /nfs/dbraw/zinc/53/83/31/522538331.db2.gz ZGYMXAFPMJEHCP-UHFFFAOYSA-N 0 0 297.314 2.839 20 5 CFBDRN CNc1c(C(=O)NCc2ccc(C)cc2)cccc1[N+](=O)[O-] ZINC000317160542 522539912 /nfs/dbraw/zinc/53/99/12/522539912.db2.gz SLNQJPWJAXAYSU-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CC[C@@H](CNc1ccnc2c1cccc2[N+](=O)[O-])OC ZINC000312867253 522553945 /nfs/dbraw/zinc/55/39/45/522553945.db2.gz SVRISPLPOKCGFQ-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CNc1ccc(C(=O)N2CCC(C)(C)CC2)cc1[N+](=O)[O-] ZINC000061803425 522583746 /nfs/dbraw/zinc/58/37/46/522583746.db2.gz XNKWPCFAIFXJGI-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CC[C@@H](COC)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214000679 522597689 /nfs/dbraw/zinc/59/76/89/522597689.db2.gz RGYDMKIPDXLTDY-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN COC1(CNc2cc(C)ccc2[N+](=O)[O-])CCC1 ZINC000227305996 522636241 /nfs/dbraw/zinc/63/62/41/522636241.db2.gz NMKCIWOKYVTACR-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000360710205 522652755 /nfs/dbraw/zinc/65/27/55/522652755.db2.gz BATBMYTWBWWEDX-DTWKUNHWSA-N 0 0 284.287 2.591 20 5 CFBDRN CCc1nn(C)cc1CNc1cccc(C)c1[N+](=O)[O-] ZINC000218873393 522694524 /nfs/dbraw/zinc/69/45/24/522694524.db2.gz FMQJTCDYHRPSBE-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN COc1cc(NC[C@H](O)CC(C)C)c(F)cc1[N+](=O)[O-] ZINC000218850853 522744988 /nfs/dbraw/zinc/74/49/88/522744988.db2.gz NUJZWBGSTIXESV-SECBINFHSA-N 0 0 286.303 2.561 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])nc1)c1ccncc1 ZINC000361042369 522771655 /nfs/dbraw/zinc/77/16/55/522771655.db2.gz ADWCIHAJJUHIDY-LBPRGKRZSA-N 0 0 258.281 2.948 20 5 CFBDRN CC[C@H](Nc1nc2sccn2c1[N+](=O)[O-])c1ncc[nH]1 ZINC000139797627 522779131 /nfs/dbraw/zinc/77/91/31/522779131.db2.gz XGFDDHGWZVLTFP-ZETCQYMHSA-N 0 0 292.324 2.590 20 5 CFBDRN COCC(C)(C)CCCNc1ccc([N+](=O)[O-])nc1 ZINC000340849337 522810155 /nfs/dbraw/zinc/81/01/55/522810155.db2.gz LBXOWHGRYRUPGI-UHFFFAOYSA-N 0 0 267.329 2.855 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182425741 522912324 /nfs/dbraw/zinc/91/23/24/522912324.db2.gz MMWWSOJONAUXAL-NSHDSACASA-N 0 0 268.338 2.983 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H]2CCCC[C@H]21 ZINC000365742296 522975944 /nfs/dbraw/zinc/97/59/44/522975944.db2.gz JFOPPOYPQZOIAI-ZWNOBZJWSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CS[C@H](C)[C@@H](C)O ZINC000362338117 522976989 /nfs/dbraw/zinc/97/69/89/522976989.db2.gz BCKPGYISWGABTJ-RKDXNWHRSA-N 0 0 271.338 2.606 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC(=O)C(C)(C)C ZINC000050505867 522980880 /nfs/dbraw/zinc/98/08/80/522980880.db2.gz DOBUNMBLSFOMHC-UHFFFAOYSA-N 0 0 267.281 2.597 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1ncnc2[nH]ccc21 ZINC000339136954 522985687 /nfs/dbraw/zinc/98/56/87/522985687.db2.gz RSDCRBUVCDUYOR-UHFFFAOYSA-N 0 0 286.247 2.667 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050692883 523102300 /nfs/dbraw/zinc/10/23/00/523102300.db2.gz UCFBHLYOJUZWMH-VIFPVBQESA-N 0 0 297.742 2.845 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(C(F)(F)F)CC1 ZINC000340861425 523143569 /nfs/dbraw/zinc/14/35/69/523143569.db2.gz AXAFJBFDFHOTIU-UHFFFAOYSA-N 0 0 292.261 2.874 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCCSC ZINC000311234350 523144162 /nfs/dbraw/zinc/14/41/62/523144162.db2.gz SJDYVHUBJKJNIC-UHFFFAOYSA-N 0 0 272.374 2.675 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccc(OC)cc1 ZINC000313813294 523146162 /nfs/dbraw/zinc/14/61/62/523146162.db2.gz IYQPDUDKMKJGSU-UHFFFAOYSA-N 0 0 290.323 2.740 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000157347793 523304323 /nfs/dbraw/zinc/30/43/23/523304323.db2.gz IFIFOPKNTJYLNB-MNOVXSKESA-N 0 0 291.351 2.876 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NC[C@@H]1CC12CC2 ZINC000416065622 534706050 /nfs/dbraw/zinc/70/60/50/534706050.db2.gz HFHLWZJVJXUXJP-JTQLQIEISA-N 0 0 294.738 2.707 20 5 CFBDRN COC(=O)[C@@H](CNc1ncc(C)cc1[N+](=O)[O-])CC(C)C ZINC000361264087 523586910 /nfs/dbraw/zinc/58/69/10/523586910.db2.gz XXFPLIUPPHBBQR-LLVKDONJSA-N 0 0 295.339 2.545 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000340879561 523626185 /nfs/dbraw/zinc/62/61/85/523626185.db2.gz KTWIWCMMQHREDV-ZWNOBZJWSA-N 0 0 293.323 2.531 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CC(C)(C)OC1(C)C ZINC000192747302 523667931 /nfs/dbraw/zinc/66/79/31/523667931.db2.gz YOLALCJGMGWCAF-SNVBAGLBSA-N 0 0 296.371 2.878 20 5 CFBDRN CN(C(=O)Cc1cccc([N+](=O)[O-])c1)c1ccccc1F ZINC000172926947 523851183 /nfs/dbraw/zinc/85/11/83/523851183.db2.gz CJNFWUZQYRSHMV-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN CN(C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CCSC1 ZINC000334792942 523883203 /nfs/dbraw/zinc/88/32/03/523883203.db2.gz RVJGVHDUSRTGDM-JTQLQIEISA-N 0 0 299.327 2.703 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000130497361 523891956 /nfs/dbraw/zinc/89/19/56/523891956.db2.gz LKIOFPLLPNLGAD-LBPRGKRZSA-N 0 0 294.376 2.783 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000158381043 523902453 /nfs/dbraw/zinc/90/24/53/523902453.db2.gz HZZWFPCZPBIGIL-LSDHHAIUSA-N 0 0 297.314 2.756 20 5 CFBDRN CN(C(=O)[C@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000335736545 523907404 /nfs/dbraw/zinc/90/74/04/523907404.db2.gz KPCWNUJWLXBRPD-VIFPVBQESA-N 0 0 284.262 2.993 20 5 CFBDRN COC(=O)[C@H](Sc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000313477713 523936986 /nfs/dbraw/zinc/93/69/86/523936986.db2.gz YZHHMYCWRPOXSJ-LLVKDONJSA-N 0 0 267.306 2.639 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)c1cccnc1 ZINC000070231944 523937429 /nfs/dbraw/zinc/93/74/29/523937429.db2.gz LABXZWPCESMJHC-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1)C1(C)CCCC1 ZINC000336503835 523938026 /nfs/dbraw/zinc/93/80/26/523938026.db2.gz JOBWIESNQSBYCY-UHFFFAOYSA-N 0 0 262.309 3.000 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000338462966 523962416 /nfs/dbraw/zinc/96/24/16/523962416.db2.gz VGZWSKYXLDTWQW-STQMWFEESA-N 0 0 278.308 2.739 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000231682331 524001440 /nfs/dbraw/zinc/00/14/40/524001440.db2.gz WUGKPXAQVRAKNV-APPZFPTMSA-N 0 0 272.251 2.852 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2cccc(F)c2[N+](=O)[O-])C1 ZINC000231683554 524003528 /nfs/dbraw/zinc/00/35/28/524003528.db2.gz PYKQYTIZLYRQRF-IUCAKERBSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1cc(C(=O)NCC[C@@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000181634911 524084268 /nfs/dbraw/zinc/08/42/68/524084268.db2.gz GTKMJRPEMPXLAD-AWEZNQCLSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000049275203 524097212 /nfs/dbraw/zinc/09/72/12/524097212.db2.gz FVOJOOIKLPQKPY-LBPRGKRZSA-N 0 0 274.320 2.989 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000341278141 524211446 /nfs/dbraw/zinc/21/14/46/524211446.db2.gz GCWACRRQHKVQTB-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)c([N+](=O)[O-])c1 ZINC000072647319 524306727 /nfs/dbraw/zinc/30/67/27/524306727.db2.gz VZCKMDNUUIBQBT-WZRBSPASSA-N 0 0 291.303 2.685 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2ccn(C(C)C)n2)n1 ZINC000354542102 524337284 /nfs/dbraw/zinc/33/72/84/524337284.db2.gz WNKLPUGCJKIFDV-UHFFFAOYSA-N 0 0 290.323 2.963 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000336225517 524360515 /nfs/dbraw/zinc/36/05/15/524360515.db2.gz NPNCVWVBNVIVCU-CMPLNLGQSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000334084733 524367212 /nfs/dbraw/zinc/36/72/12/524367212.db2.gz XIEFBPPSHYJJMA-WCQYABFASA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000310903480 524374563 /nfs/dbraw/zinc/37/45/63/524374563.db2.gz FRIJYETWUFZVLZ-CPCISQLKSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000171850102 524424106 /nfs/dbraw/zinc/42/41/06/524424106.db2.gz MIVHBGCSNWZUIW-JTQLQIEISA-N 0 0 277.324 2.583 20 5 CFBDRN C[C@@H]1CCC[C@]1(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000327991589 524435459 /nfs/dbraw/zinc/43/54/59/524435459.db2.gz LMZNFWHJJQBLMA-RNCFNFMXSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000335122847 524484381 /nfs/dbraw/zinc/48/43/81/524484381.db2.gz AHQMTZLMXVCWSR-IUCAKERBSA-N 0 0 298.289 2.530 20 5 CFBDRN Cc1cccc(N(C)C(=O)Cc2ccccc2[N+](=O)[O-])n1 ZINC000118625006 524513312 /nfs/dbraw/zinc/51/33/12/524513312.db2.gz NMKBKQGKTJUDFE-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN C[C@H](CO)Nc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000309749154 524565845 /nfs/dbraw/zinc/56/58/45/524565845.db2.gz JZZARCOFVTUKBK-RXMQYKEDSA-N 0 0 265.096 2.694 20 5 CFBDRN Cc1ccc(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])s1 ZINC000048337189 524613889 /nfs/dbraw/zinc/61/38/89/524613889.db2.gz WHAWPEFAHVNMCJ-UHFFFAOYSA-N 0 0 291.332 2.676 20 5 CFBDRN Cc1cccc(NCC[C@H](O)C(F)(F)F)c1[N+](=O)[O-] ZINC000338667015 524676335 /nfs/dbraw/zinc/67/63/35/524676335.db2.gz DNUORCHZILGLAP-VIFPVBQESA-N 0 0 278.230 2.628 20 5 CFBDRN Cc1cccc(NC[C@H]2CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000218859055 524681870 /nfs/dbraw/zinc/68/18/70/524681870.db2.gz IDMZKXBCVKTSSL-YPMHNXCESA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)Nc2ccncc2[N+](=O)[O-])[C@@H](C)C1 ZINC000248401296 524695147 /nfs/dbraw/zinc/69/51/47/524695147.db2.gz LSVHZIJWNQCNGX-VWYCJHECSA-N 0 0 292.339 2.936 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000157285596 524700562 /nfs/dbraw/zinc/70/05/62/524700562.db2.gz ZJPLTXOLLWUPPL-SFYZADRCSA-N 0 0 254.311 2.575 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1ncc(Br)cc1[N+](=O)[O-] ZINC000309400441 524714638 /nfs/dbraw/zinc/71/46/38/524714638.db2.gz HFANTUWVZIGFMH-HTRCEHHLSA-N 0 0 286.129 2.963 20 5 CFBDRN Cc1cccc(OCCOc2ccccc2[N+](=O)[O-])n1 ZINC000181743442 524751598 /nfs/dbraw/zinc/75/15/98/524751598.db2.gz KRQABQFJFACQMR-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN Cc1cccc(OCCOc2ccc([N+](=O)[O-])cc2)n1 ZINC000181743396 524752117 /nfs/dbraw/zinc/75/21/17/524752117.db2.gz BNYQZXAIKYROBX-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN Cc1cc(CNc2ncc(Cl)cc2[N+](=O)[O-])on1 ZINC000129777718 524791932 /nfs/dbraw/zinc/79/19/32/524791932.db2.gz ULOSDUMPTYPVEU-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN C[C@H](Cn1ccnc1)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000315438613 524793567 /nfs/dbraw/zinc/79/35/67/524793567.db2.gz YWOBZXPTQMJYOW-LLVKDONJSA-N 0 0 297.318 2.840 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000049228450 524846231 /nfs/dbraw/zinc/84/62/31/524846231.db2.gz VEMDRFZWXSHUSB-JGVFFNPUSA-N 0 0 251.242 2.571 20 5 CFBDRN COc1ccncc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000340404248 524858174 /nfs/dbraw/zinc/85/81/74/524858174.db2.gz YXRHOMASKWIUMS-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN COc1ccncc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000340402377 524859050 /nfs/dbraw/zinc/85/90/50/524859050.db2.gz FABKDZOCOCBKCO-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1CCCCS1 ZINC000362723128 524904498 /nfs/dbraw/zinc/90/44/98/524904498.db2.gz JFFXHEFIUCULTJ-LLVKDONJSA-N 0 0 294.376 2.919 20 5 CFBDRN COc1ccc(OCCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000338525695 524941017 /nfs/dbraw/zinc/94/10/17/524941017.db2.gz XPDDILNMMROQIV-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN Cc1cc(N(C)C[C@@H](C)O)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000360104963 525027610 /nfs/dbraw/zinc/02/76/10/525027610.db2.gz PAFMEHJZKKGDAX-MRVPVSSYSA-N 0 0 292.257 2.739 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCC[C@@H]2O)c(F)cc1[N+](=O)[O-] ZINC000360090077 525029779 /nfs/dbraw/zinc/02/97/79/525029779.db2.gz NUAHVJQTFJZXKY-YGRLFVJLSA-N 0 0 282.315 2.640 20 5 CFBDRN Cc1cc(N2CCC[C@H]2c2ncon2)ccc1[N+](=O)[O-] ZINC000378432333 525074378 /nfs/dbraw/zinc/07/43/78/525074378.db2.gz XZJUWCVMORHKOW-LBPRGKRZSA-N 0 0 274.280 2.628 20 5 CFBDRN Cc1ccccc1C1(CNc2ccncc2[N+](=O)[O-])CC1 ZINC000340150826 525079598 /nfs/dbraw/zinc/07/95/98/525079598.db2.gz DEYMRDOBJJSWNI-UHFFFAOYSA-N 0 0 283.331 2.864 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000336312189 525098316 /nfs/dbraw/zinc/09/83/16/525098316.db2.gz IVEJAKAWTKXETD-NKWVEPMBSA-N 0 0 292.213 2.601 20 5 CFBDRN Cc1cc(Nc2ccncc2[N+](=O)[O-])nn1[C@@H](C)C1CC1 ZINC000361062421 525100103 /nfs/dbraw/zinc/10/01/03/525100103.db2.gz LURSLWPHYZMTNZ-JTQLQIEISA-N 0 0 287.323 2.631 20 5 CFBDRN Cc1ccc(NC(=O)N2[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000334628577 525149860 /nfs/dbraw/zinc/14/98/60/525149860.db2.gz GBSHPQVMEIRKMF-NXEZZACHSA-N 0 0 263.297 2.918 20 5 CFBDRN C[C@@H]1CSC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000334456353 525178346 /nfs/dbraw/zinc/17/83/46/525178346.db2.gz HFMMWHVNDMXUKL-RDDDGLTNSA-N 0 0 299.327 2.607 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000377985420 525207812 /nfs/dbraw/zinc/20/78/12/525207812.db2.gz ACSCYNBABXMFDT-VHRBIJSZSA-N 0 0 290.319 2.657 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])n2C)cc1F ZINC000340649671 525240777 /nfs/dbraw/zinc/24/07/77/525240777.db2.gz CZFNDMLZHQFELK-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)c(F)c1 ZINC000068966060 525241110 /nfs/dbraw/zinc/24/11/10/525241110.db2.gz DGZVOVXDWRSVKX-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)sn1 ZINC000176415171 525241916 /nfs/dbraw/zinc/24/19/16/525241916.db2.gz FFMQSUFUYRFAMN-UHFFFAOYSA-N 0 0 283.334 2.982 20 5 CFBDRN Cc1cc(NCCCNC(=O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000360066592 525267254 /nfs/dbraw/zinc/26/72/54/525267254.db2.gz QVTVWNWJEIGRJM-UHFFFAOYSA-N 0 0 297.330 2.617 20 5 CFBDRN Cc1cc(NCC[C@H](C)[S@@](C)=O)c(F)cc1[N+](=O)[O-] ZINC000312046226 525270617 /nfs/dbraw/zinc/27/06/17/525270617.db2.gz ZESTZYQQJREECI-ZRNGKTOUSA-N 0 0 288.344 2.611 20 5 CFBDRN Cc1cc(NC[C@@H](C)CO)c([N+](=O)[O-])cc1C(F)(F)F ZINC000338655897 525272702 /nfs/dbraw/zinc/27/27/02/525272702.db2.gz LRIXGDQBGKVNDG-SSDOTTSWSA-N 0 0 292.257 2.962 20 5 CFBDRN CSC1(CNc2ccc([N+](=O)[O-])nc2)CCC1 ZINC000227988281 525340439 /nfs/dbraw/zinc/34/04/39/525340439.db2.gz OFGVPPJPGWSBPY-UHFFFAOYSA-N 0 0 253.327 2.687 20 5 CFBDRN Cc1cc(N[C@H](CO)CCC(C)(C)C)ncc1[N+](=O)[O-] ZINC000360248219 525303217 /nfs/dbraw/zinc/30/32/17/525303217.db2.gz GORMCPIVQKZFPT-NSHDSACASA-N 0 0 281.356 2.897 20 5 CFBDRN Cc1ccc(N[C@@H](C)Cn2cccn2)c([N+](=O)[O-])c1 ZINC000049077615 525309791 /nfs/dbraw/zinc/30/97/91/525309791.db2.gz DQDQOPFRXSAJQX-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN Cc1cc(OCC(=O)c2cccn2C)c(F)cc1[N+](=O)[O-] ZINC000123553834 525367446 /nfs/dbraw/zinc/36/74/46/525367446.db2.gz LGIVJXGNVRSKIZ-UHFFFAOYSA-N 0 0 292.266 2.643 20 5 CFBDRN Cc1cc(OCC[C@@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000310217931 525372068 /nfs/dbraw/zinc/37/20/68/525372068.db2.gz MCXWHPZQTQPLKR-MRVPVSSYSA-N 0 0 259.689 2.706 20 5 CFBDRN CSCCCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000071530212 525390632 /nfs/dbraw/zinc/39/06/32/525390632.db2.gz DIKFFBXFLZAPHC-UHFFFAOYSA-N 0 0 268.338 2.985 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000336163422 525392603 /nfs/dbraw/zinc/39/26/03/525392603.db2.gz YNAZUHIAPWAPPH-PHIMTYICSA-N 0 0 276.336 2.882 20 5 CFBDRN Cc1cc(S(=O)(=O)NC2(C)CCCC2)ccc1[N+](=O)[O-] ZINC000360238164 525403848 /nfs/dbraw/zinc/40/38/48/525403848.db2.gz ASAMGXFKIMRQOP-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN CSCC[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000154560752 525540228 /nfs/dbraw/zinc/54/02/28/525540228.db2.gz XQBBQWZUEJLGDP-QMMMGPOBSA-N 0 0 290.772 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)c1cccnc1 ZINC000172190888 525558680 /nfs/dbraw/zinc/55/86/80/525558680.db2.gz CGVVZAJYWAWURQ-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(Cc2cccnc2)no1 ZINC000340646226 525559742 /nfs/dbraw/zinc/55/97/42/525559742.db2.gz NOCIZUAZINENLZ-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H](C)CC(C)C)c1=O ZINC000337217165 525573818 /nfs/dbraw/zinc/57/38/18/525573818.db2.gz IDEPOGXAVNMLKC-SNVBAGLBSA-N 0 0 252.314 2.747 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2csc(C(C)C)n2)c1=O ZINC000155887007 525573867 /nfs/dbraw/zinc/57/38/67/525573867.db2.gz XHSWGYJPBXKVHT-UHFFFAOYSA-N 0 0 293.348 2.693 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2ccc(Cl)s2)c1=O ZINC000336394233 525574512 /nfs/dbraw/zinc/57/45/12/525574512.db2.gz GQDFIHJOOKBTDP-UHFFFAOYSA-N 0 0 284.724 2.828 20 5 CFBDRN Cc1ccn(C[C@@H]2CCCC2(F)F)c(=O)c1[N+](=O)[O-] ZINC000336260706 525601754 /nfs/dbraw/zinc/60/17/54/525601754.db2.gz UOECKBXXOHJUFZ-VIFPVBQESA-N 0 0 272.251 2.500 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCC[C@@H]2F)cccc1[N+](=O)[O-] ZINC000334202604 525638727 /nfs/dbraw/zinc/63/87/27/525638727.db2.gz PXWZPYCMRWQXHP-WDEREUQCSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1ccnc(CNc2cc([N+](=O)[O-])ccc2C)n1 ZINC000339413546 525651036 /nfs/dbraw/zinc/65/10/36/525651036.db2.gz QEMGTWBUKBKZAC-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)c1cncs1 ZINC000340930118 525661547 /nfs/dbraw/zinc/66/15/47/525661547.db2.gz RMGKFCCYIQSGLS-ZETCQYMHSA-N 0 0 250.283 2.619 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000336530390 525692103 /nfs/dbraw/zinc/69/21/03/525692103.db2.gz PPZLDOKYFLJYDB-GHMZBOCLSA-N 0 0 276.336 2.703 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCC[C@@H](CO)C2)c1[N+](=O)[O-] ZINC000312532436 525692354 /nfs/dbraw/zinc/69/23/54/525692354.db2.gz VPTHOWAJNDXWEA-VXGBXAGGSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1ccnc(NCc2cn3ccsc3n2)c1[N+](=O)[O-] ZINC000360274183 525695483 /nfs/dbraw/zinc/69/54/83/525695483.db2.gz WNSCQFNPKYANGL-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN Cc1ccnc(N[C@@H](C)CC(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC000360277820 525700003 /nfs/dbraw/zinc/70/00/03/525700003.db2.gz FBXJHDXMRTZVDI-JTQLQIEISA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1c(CNC(=O)CCOCC(C)C)cccc1[N+](=O)[O-] ZINC000360526626 525712054 /nfs/dbraw/zinc/71/20/54/525712054.db2.gz GOWZLCDJGUSPMP-UHFFFAOYSA-N 0 0 294.351 2.582 20 5 CFBDRN C[C@@H](Nc1ccccc1[N+](=O)[O-])C(=O)NCc1ccccc1 ZINC000054980151 525720927 /nfs/dbraw/zinc/72/09/27/525720927.db2.gz IYNGMMWBEAFSNE-GFCCVEGCSA-N 0 0 299.330 2.712 20 5 CFBDRN Cc1ccncc1CN(C)c1ncc([N+](=O)[O-])cc1C ZINC000360120936 525739395 /nfs/dbraw/zinc/73/93/95/525739395.db2.gz CAWXEKXMXONASZ-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1ccoc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000334771186 525789114 /nfs/dbraw/zinc/78/91/14/525789114.db2.gz BCOIKXQUYXMANA-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000167359008 525819838 /nfs/dbraw/zinc/81/98/38/525819838.db2.gz RTKYHQUWCPTVBR-NXEZZACHSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1c(Nc2ccncc2[N+](=O)[O-])cnn1C1CCCC1 ZINC000340892234 525822812 /nfs/dbraw/zinc/82/28/12/525822812.db2.gz ZXEXWHJNLREAHW-UHFFFAOYSA-N 0 0 287.323 2.775 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CC[C@]12CCOC2 ZINC000421031381 534885707 /nfs/dbraw/zinc/88/57/07/534885707.db2.gz ZXKANBXHRITYRY-CYBMUJFWSA-N 0 0 282.727 2.613 20 5 CFBDRN Cc1c(NC(=O)N2CCC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000335712914 525842780 /nfs/dbraw/zinc/84/27/80/525842780.db2.gz FEWRJKKEIIMCSR-UHFFFAOYSA-N 0 0 285.250 2.776 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2ncc([N+](=O)[O-])cc2C)C1 ZINC000078476772 525843669 /nfs/dbraw/zinc/84/36/69/525843669.db2.gz SOORFWCCUUNPOT-GXSJLCMTSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CS[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000334823193 525850537 /nfs/dbraw/zinc/85/05/37/525850537.db2.gz UUKOPJGDEFUMLH-PSASIEDQSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1c(NC(=O)[C@@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000334992424 525854659 /nfs/dbraw/zinc/85/46/59/525854659.db2.gz BRTJTJHNADKWKI-LLVKDONJSA-N 0 0 270.235 2.887 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2C[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000337874880 525905414 /nfs/dbraw/zinc/90/54/14/525905414.db2.gz UBHKBHPISDRWGJ-JMJZKYOTSA-N 0 0 298.289 2.982 20 5 CFBDRN CSc1ccc(C(=O)NCCCCF)cc1[N+](=O)[O-] ZINC000360503314 525964308 /nfs/dbraw/zinc/96/43/08/525964308.db2.gz JSBXMPGEQAKKPM-UHFFFAOYSA-N 0 0 286.328 2.796 20 5 CFBDRN Cc1cnc(C)c(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000088057801 525985672 /nfs/dbraw/zinc/98/56/72/525985672.db2.gz JCYXZMNYQFVFDW-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1c[nH]cc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000340987324 526009631 /nfs/dbraw/zinc/00/96/31/526009631.db2.gz PHCRVZIRGQIGPU-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN COc1cccc(CNc2ccc(C)cc2[N+](=O)[O-])n1 ZINC000223243869 526041734 /nfs/dbraw/zinc/04/17/34/526041734.db2.gz NHRCRKZFTAYAEY-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC23CCCC3)c1 ZINC000336117550 526053615 /nfs/dbraw/zinc/05/36/15/526053615.db2.gz MIPZALFLCQHXQQ-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(F)cc2)c1 ZINC000151854910 526054123 /nfs/dbraw/zinc/05/41/23/526054123.db2.gz GXBZOHDRWQFXEF-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@@H](c1ccc(F)cc1)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340571929 526054153 /nfs/dbraw/zinc/05/41/53/526054153.db2.gz SSRFUCZTHXZAIB-VIFPVBQESA-N 0 0 291.282 2.895 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)cc(C)n2)c1 ZINC000361434509 526055243 /nfs/dbraw/zinc/05/52/43/526055243.db2.gz FARGBYVPNPBHNK-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1cnc(CSc2ncccc2[N+](=O)[O-])o1 ZINC000150916121 526059522 /nfs/dbraw/zinc/05/95/22/526059522.db2.gz CUBLKVHZMPXULZ-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOCC3(CCCC3)C2)n1 ZINC000364909008 526060442 /nfs/dbraw/zinc/06/04/42/526060442.db2.gz RDBMIMPECHQENC-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@H](O)C2)c1 ZINC000088725561 526062431 /nfs/dbraw/zinc/06/24/31/526062431.db2.gz WHAICEHVNYZGLP-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CSCC1CC1 ZINC000359154280 526078191 /nfs/dbraw/zinc/07/81/91/526078191.db2.gz HRFVVLCCHDDUIO-UHFFFAOYSA-N 0 0 280.349 2.985 20 5 CFBDRN Cc1cc(NC(=O)CC2CC2)c2cc([N+](=O)[O-])ccc2n1 ZINC000360747620 526099978 /nfs/dbraw/zinc/09/99/78/526099978.db2.gz SQKRHOGDEANFDI-UHFFFAOYSA-N 0 0 285.303 2.612 20 5 CFBDRN Cc1cc(NCCCSCC(C)C)ncc1[N+](=O)[O-] ZINC000339355385 526111957 /nfs/dbraw/zinc/11/19/57/526111957.db2.gz DHASHRUKOOLEJD-UHFFFAOYSA-N 0 0 283.397 2.911 20 5 CFBDRN Cc1cc(C(=O)N(C)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000124399808 526219826 /nfs/dbraw/zinc/21/98/26/526219826.db2.gz JCCADUJSKFRBGG-UHFFFAOYSA-N 0 0 276.214 2.538 20 5 CFBDRN Cc1ccc(C(=O)Nc2cncc(F)c2)cc1[N+](=O)[O-] ZINC000341536761 526227182 /nfs/dbraw/zinc/22/71/82/526227182.db2.gz BJRCGUTUOJJACM-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cnccc1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000228703181 526235312 /nfs/dbraw/zinc/23/53/12/526235312.db2.gz SARPDADZWHMBKJ-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000362013682 526257756 /nfs/dbraw/zinc/25/77/56/526257756.db2.gz NWGMPKYSXQZMTP-MRVPVSSYSA-N 0 0 290.241 2.594 20 5 CFBDRN C[C@H](CC(F)(F)F)Nc1ncc([N+](=O)[O-])s1 ZINC000235479094 526259316 /nfs/dbraw/zinc/25/93/16/526259316.db2.gz KKMGBIJRIAPYKA-SCSAIBSYSA-N 0 0 255.221 2.804 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183579740 526260490 /nfs/dbraw/zinc/26/04/90/526260490.db2.gz BNHVMMRGBIFNNG-QWRGUYRKSA-N 0 0 292.339 2.938 20 5 CFBDRN Cc1nnc(SCCOc2ccccc2[N+](=O)[O-])s1 ZINC000071960133 526383597 /nfs/dbraw/zinc/38/35/97/526383597.db2.gz DSADLANGCIPNSU-UHFFFAOYSA-N 0 0 297.361 2.926 20 5 CFBDRN CNC(=O)c1cnc(/C=C/c2cccc([N+](=O)[O-])c2)s1 ZINC000355368196 536438524 /nfs/dbraw/zinc/43/85/24/536438524.db2.gz MQYBZNHYVKBUEX-AATRIKPKSA-N 0 0 289.316 2.581 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccsc1 ZINC000048943009 526411851 /nfs/dbraw/zinc/41/18/51/526411851.db2.gz HHIGVMAUFUDIDP-UHFFFAOYSA-N 0 0 276.317 2.629 20 5 CFBDRN O=C(NCc1ccc(C2CC2)cc1)c1ccc([N+](=O)[O-])o1 ZINC000191179678 526655262 /nfs/dbraw/zinc/65/52/62/526655262.db2.gz QJEBTZWSCKNRGW-UHFFFAOYSA-N 0 0 286.287 2.995 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@H]1C1CC1 ZINC000334768363 526680959 /nfs/dbraw/zinc/68/09/59/526680959.db2.gz IIHNVLCBQOEQHD-NSHDSACASA-N 0 0 280.711 2.873 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CC[C@@H]2CCC[C@H]21 ZINC000336417492 526694022 /nfs/dbraw/zinc/69/40/22/526694022.db2.gz NBZSCAPFFBSSOC-GXTWGEPZSA-N 0 0 289.335 2.679 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@H](C2CC2)C1 ZINC000335182081 526715174 /nfs/dbraw/zinc/71/51/74/526715174.db2.gz WEVLCZKBOZAZRE-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(NCc1cccc(F)c1)c1ccc([N+](=O)[O-])cc1F ZINC000054725105 526719417 /nfs/dbraw/zinc/71/94/17/526719417.db2.gz FABZMHOHUROYSX-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN Cc1nc(C)c(CNc2ncc([N+](=O)[O-])cc2C)o1 ZINC000311776026 526725827 /nfs/dbraw/zinc/72/58/27/526725827.db2.gz HZNUGINBCKSMPT-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc[nH]1 ZINC000073843152 526779010 /nfs/dbraw/zinc/77/90/10/526779010.db2.gz GJOSOQIEGHKIPJ-AWEZNQCLSA-N 0 0 285.303 2.900 20 5 CFBDRN O=C(NCc1cscn1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000050685505 526815431 /nfs/dbraw/zinc/81/54/31/526815431.db2.gz PNOKDEYTLBKHCC-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1nc(CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)no1 ZINC000064350175 526848979 /nfs/dbraw/zinc/84/89/79/526848979.db2.gz MFGPPUKGEQCWFI-UHFFFAOYSA-N 0 0 290.323 2.697 20 5 CFBDRN Cc1sc(C(=O)N(C)Cc2ccccn2)cc1[N+](=O)[O-] ZINC000048967411 526871312 /nfs/dbraw/zinc/87/13/12/526871312.db2.gz WMAWUUHRRMFQMQ-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1sc(C(=O)NC[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000172445554 526873315 /nfs/dbraw/zinc/87/33/15/526873315.db2.gz QZIYVQNTVMXETP-QMMMGPOBSA-N 0 0 270.354 2.987 20 5 CFBDRN O=C(N[C@@H](c1cccs1)C1CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000340561764 526874410 /nfs/dbraw/zinc/87/44/10/526874410.db2.gz OVRPTLZPQLWGJV-GFCCVEGCSA-N 0 0 291.332 2.866 20 5 CFBDRN Cc1nc(CNC(=O)c2ccc([N+](=O)[O-])s2)sc1C ZINC000075334277 526884832 /nfs/dbraw/zinc/88/48/32/526884832.db2.gz TXJRSBJFSZTYLL-UHFFFAOYSA-N 0 0 297.361 2.660 20 5 CFBDRN Cc1nc(Cn2cnc(-c3cccc([N+](=O)[O-])c3)n2)oc1C ZINC000076107018 526943198 /nfs/dbraw/zinc/94/31/98/526943198.db2.gz BIZRIHBYYMFMPW-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN NC(=O)[C@@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000150897718 526969354 /nfs/dbraw/zinc/96/93/54/526969354.db2.gz RGGJPFNMVODCSF-BDAKNGLRSA-N 0 0 297.742 2.704 20 5 CFBDRN O=C(N[C@H]1CCc2c1cccc2O)c1ccccc1[N+](=O)[O-] ZINC000076687804 527070412 /nfs/dbraw/zinc/07/04/12/527070412.db2.gz YFXFVYXXZBANCE-ZDUSSCGKSA-N 0 0 298.298 2.718 20 5 CFBDRN O=C(CSc1ncco1)c1cccc([N+](=O)[O-])c1 ZINC000236403026 527072818 /nfs/dbraw/zinc/07/28/18/527072818.db2.gz KHCRMMBKPJJQSR-UHFFFAOYSA-N 0 0 264.262 2.558 20 5 CFBDRN O=C1NCc2cccc(NCc3csc([N+](=O)[O-])c3)c21 ZINC000360346406 527081429 /nfs/dbraw/zinc/08/14/29/527081429.db2.gz ATPINMWMILGWPT-UHFFFAOYSA-N 0 0 289.316 2.512 20 5 CFBDRN Cn1c2ccc(NCc3ccccc3[N+](=O)[O-])cc2oc1=O ZINC000127825149 527103540 /nfs/dbraw/zinc/10/35/40/527103540.db2.gz UTKURHGAFIVQPM-UHFFFAOYSA-N 0 0 299.286 2.652 20 5 CFBDRN Cc1nc2ccc(NC(=O)c3ccc([N+](=O)[O-])o3)cc2o1 ZINC000079035394 527146763 /nfs/dbraw/zinc/14/67/63/527146763.db2.gz FDJULRDGDRORPR-UHFFFAOYSA-N 0 0 287.231 2.890 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@H]1CC=CCC1 ZINC000049275198 527244763 /nfs/dbraw/zinc/24/47/63/527244763.db2.gz QXAZNCDHDPDZCF-CYBMUJFWSA-N 0 0 274.320 2.610 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCc1cccc(F)c1 ZINC000172747989 527270367 /nfs/dbraw/zinc/27/03/67/527270367.db2.gz XTNGEFQRWKSRJX-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCC2(CCC2)C1 ZINC000366394088 527271258 /nfs/dbraw/zinc/27/12/58/527271258.db2.gz SDEYRLWFLAWTAC-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(F)cc1 ZINC000151362867 527272037 /nfs/dbraw/zinc/27/20/37/527272037.db2.gz JQCVWECHFPIPIV-UHFFFAOYSA-N 0 0 274.251 2.915 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC12CCCCC2 ZINC000365497131 527311797 /nfs/dbraw/zinc/31/17/97/527311797.db2.gz INZAKSORRAWEBF-UHFFFAOYSA-N 0 0 289.335 2.847 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)c2csnn2)c([N+](=O)[O-])c1 ZINC000413547496 527319718 /nfs/dbraw/zinc/31/97/18/527319718.db2.gz DMLIUZUIFGTNDL-SSDOTTSWSA-N 0 0 292.320 2.822 20 5 CFBDRN O=[N+]([O-])c1c(NCCCn2cccn2)ccc2ncccc21 ZINC000317602045 527321576 /nfs/dbraw/zinc/32/15/76/527321576.db2.gz SVBHCHYOEWYEGJ-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccc3[nH]ccc3c2)s1 ZINC000336921230 527323766 /nfs/dbraw/zinc/32/37/66/527323766.db2.gz GYJRRJSHKGIEJQ-UHFFFAOYSA-N 0 0 288.332 2.609 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ccc(Cl)cc1F ZINC000361006663 527324867 /nfs/dbraw/zinc/32/48/67/527324867.db2.gz JKFALCMQXAPGMD-UHFFFAOYSA-N 0 0 281.674 2.816 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C2CCCC2)C1 ZINC000335622727 527327701 /nfs/dbraw/zinc/32/77/01/527327701.db2.gz KAHDMNMYBROUGQ-LBPRGKRZSA-N 0 0 291.351 2.586 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@H](C3CC3)C2)ccc1Cl ZINC000363771050 527329261 /nfs/dbraw/zinc/32/92/61/527329261.db2.gz UPHOWZSJGHXXOJ-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@H](CCO)C1 ZINC000051895428 527334210 /nfs/dbraw/zinc/33/42/10/527334210.db2.gz UYIFAEUSCFKWAX-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCO[C@@H]2C2CC2)c(F)c1 ZINC000230308974 527336424 /nfs/dbraw/zinc/33/64/24/527336424.db2.gz KNSPUEBZJNHVIO-DGCLKSJQSA-N 0 0 284.262 2.852 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1CCC[C@@H](O)C1 ZINC000078304147 527337300 /nfs/dbraw/zinc/33/73/00/527337300.db2.gz PLLOFQMIZSQRDO-GXSJLCMTSA-N 0 0 268.288 2.697 20 5 CFBDRN Cn1ccc(CCNc2ccnc3c2cccc3[N+](=O)[O-])n1 ZINC000318548129 527351500 /nfs/dbraw/zinc/35/15/00/527351500.db2.gz MRRIZFSAKWORKT-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCC2(O)CCC2)cc1 ZINC000338204521 527353626 /nfs/dbraw/zinc/35/36/26/527353626.db2.gz KWGUAYDGSWVGLY-UHFFFAOYSA-N 0 0 253.323 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2nc3cncnc3[nH]2)cc1 ZINC000142342352 527354382 /nfs/dbraw/zinc/35/43/82/527354382.db2.gz PYCIYDHDRXLPLW-UHFFFAOYSA-N 0 0 287.304 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCCC3)c([N+](=O)[O-])c1 ZINC000365053346 527361500 /nfs/dbraw/zinc/36/15/00/527361500.db2.gz LBWYIBADCZOIQC-UHFFFAOYSA-N 0 0 277.280 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@H](CO)C2)cc1 ZINC000311899307 527365653 /nfs/dbraw/zinc/36/56/53/527365653.db2.gz ADWCDJPCSNPOEC-NEPJUHHUSA-N 0 0 264.325 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc(CO)cc2)c(F)c1 ZINC000168690762 527373094 /nfs/dbraw/zinc/37/30/94/527373094.db2.gz DHMMWVVCXUMAPW-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCc1cccnn1 ZINC000236048630 527389366 /nfs/dbraw/zinc/38/93/66/527389366.db2.gz DOSRGJKWDSUXCQ-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCSCCCO ZINC000230775255 527389618 /nfs/dbraw/zinc/38/96/18/527389618.db2.gz MTLGWYJETSAPQG-UHFFFAOYSA-N 0 0 290.772 2.776 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nc(-c3ccccn3)no2)c1 ZINC000076421753 527392929 /nfs/dbraw/zinc/39/29/29/527392929.db2.gz GZUYNZSMPZAHPB-UHFFFAOYSA-N 0 0 298.258 2.619 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCCCn3cccn3)ccnc21 ZINC000317522035 527396133 /nfs/dbraw/zinc/39/61/33/527396133.db2.gz HVYYEQWZWLREDU-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nc(-c2ccco2)no1 ZINC000340654485 527402619 /nfs/dbraw/zinc/40/26/19/527402619.db2.gz UMRLAQXRADPMSJ-UHFFFAOYSA-N 0 0 286.247 2.850 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](CO)c1cccc(F)c1 ZINC000341490744 527404402 /nfs/dbraw/zinc/40/44/02/527404402.db2.gz USLNJGZDLSVAAP-ZDUSSCGKSA-N 0 0 276.267 2.879 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCc1ccc(CO)cc1 ZINC000305802582 527407262 /nfs/dbraw/zinc/40/72/62/527407262.db2.gz FHAQFJJWJFOZBT-UHFFFAOYSA-N 0 0 276.317 2.774 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(c3ccccc3F)C2)s1 ZINC000360096226 527409924 /nfs/dbraw/zinc/40/99/24/527409924.db2.gz CZRMOSYWBWVLOM-UHFFFAOYSA-N 0 0 279.296 2.794 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(N[C@H]3CC34CCCCC4)nn21 ZINC000181265993 527412296 /nfs/dbraw/zinc/41/22/96/527412296.db2.gz IECHUESBEMLTAK-JTQLQIEISA-N 0 0 287.323 2.772 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1cc(F)cc(F)c1 ZINC000060719157 527412568 /nfs/dbraw/zinc/41/25/68/527412568.db2.gz RXFRQUIIBBUXSP-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCCCn1cccn1 ZINC000316994396 527412894 /nfs/dbraw/zinc/41/28/94/527412894.db2.gz GHNCLJGAQUGMCE-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1C[C@H]1CCCC(F)(F)C1 ZINC000335342507 527452384 /nfs/dbraw/zinc/45/23/84/527452384.db2.gz UNPBAXFGQRTDIF-QMMMGPOBSA-N 0 0 290.241 2.721 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1CCc1ccccc1 ZINC000078922267 527462891 /nfs/dbraw/zinc/46/28/91/527462891.db2.gz GGZAKHUVPAJLDR-UHFFFAOYSA-N 0 0 295.298 2.547 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CC1CCC(F)(F)CC1 ZINC000335230669 527486280 /nfs/dbraw/zinc/48/62/80/527486280.db2.gz JAUGTHOWLNCUBX-UHFFFAOYSA-N 0 0 272.251 2.582 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1CCC(C)(C)CC1 ZINC000311761797 527490518 /nfs/dbraw/zinc/49/05/18/527490518.db2.gz WJGNVSWZNXZBLH-UHFFFAOYSA-N 0 0 266.345 2.957 20 5 CFBDRN O=c1ccn(C[C@@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000337770182 527490896 /nfs/dbraw/zinc/49/08/96/527490896.db2.gz VBZTYYPJCRRZMQ-SECBINFHSA-N 0 0 272.251 2.582 20 5 CFBDRN O=c1ccn(CCCc2cccc(F)c2)cc1[N+](=O)[O-] ZINC000180066134 527490944 /nfs/dbraw/zinc/49/09/44/527490944.db2.gz HNQXLFFHLPQBIY-UHFFFAOYSA-N 0 0 276.267 2.528 20 5 CFBDRN O=C(NCC1(C(F)(F)F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000356304642 527559735 /nfs/dbraw/zinc/55/97/35/527559735.db2.gz JSRGJKBBFFEACS-UHFFFAOYSA-N 0 0 288.225 2.667 20 5 CFBDRN O=C([O-])CC[C@H]1CCCC[N@@H+]1Cc1ccccc1[N+](=O)[O-] ZINC000050925118 527599648 /nfs/dbraw/zinc/59/96/48/527599648.db2.gz HKXRPNDKYARSPJ-CYBMUJFWSA-N 0 0 292.335 2.814 20 5 CFBDRN O=C(NCCC1=CCCCCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000361719000 527626889 /nfs/dbraw/zinc/62/68/89/527626889.db2.gz YPZNBKYQHOQCHT-UHFFFAOYSA-N 0 0 277.324 2.933 20 5 CFBDRN Cc1nn(C)cc1CNc1cc([N+](=O)[O-])c(C)cc1C ZINC000159742763 527693363 /nfs/dbraw/zinc/69/33/63/527693363.db2.gz AAYVXCZILCUJCJ-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN CC(C)CCCNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420582590 527705067 /nfs/dbraw/zinc/70/50/67/527705067.db2.gz HNHNCBYWXKDFCH-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN CCCN(CCC)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000193951145 528053198 /nfs/dbraw/zinc/05/31/98/528053198.db2.gz CKWIBSLVXXYPSM-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000413390570 528088058 /nfs/dbraw/zinc/08/80/58/528088058.db2.gz YQAIVRUZBKVOJD-BJHJDKERSA-N 0 0 250.298 2.963 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CCCCO2)ccc1[N+](=O)[O-] ZINC000412277924 528098766 /nfs/dbraw/zinc/09/87/66/528098766.db2.gz NNNLBYSBIIWLKX-UHFFFAOYSA-N 0 0 294.307 2.550 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000413387861 528105709 /nfs/dbraw/zinc/10/57/09/528105709.db2.gz PGJGZSQNPABKID-JGZJWPJOSA-N 0 0 266.297 2.583 20 5 CFBDRN CCOc1cc(NC[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000111047349 528327657 /nfs/dbraw/zinc/32/76/57/528327657.db2.gz GEYGVXYZDFRICO-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN CC(C)c1nnc(Sc2ncc([N+](=O)[O-])cc2F)n1C ZINC000413108331 528460436 /nfs/dbraw/zinc/46/04/36/528460436.db2.gz LRPNUDWRKZBKAB-UHFFFAOYSA-N 0 0 297.315 2.532 20 5 CFBDRN CCCCNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429908635 528489570 /nfs/dbraw/zinc/48/95/70/528489570.db2.gz LTXLTIFOJNFMMB-UHFFFAOYSA-N 0 0 264.325 2.789 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000413448784 528887964 /nfs/dbraw/zinc/88/79/64/528887964.db2.gz ZDBJDTLKZDRTKN-BXUZGUMPSA-N 0 0 277.324 2.540 20 5 CFBDRN CCN(Cc1occc1C)c1ncc([N+](=O)[O-])s1 ZINC000413550467 528920041 /nfs/dbraw/zinc/92/00/41/528920041.db2.gz ICAKSYQKNXDWPU-UHFFFAOYSA-N 0 0 267.310 2.979 20 5 CFBDRN CC1(C)C[C@@H](CNc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000413328809 529136423 /nfs/dbraw/zinc/13/64/23/529136423.db2.gz FAVQDPGKHYJYRN-JTQLQIEISA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413557166 529213206 /nfs/dbraw/zinc/21/32/06/529213206.db2.gz UAUWQBATTIQYSV-KOLCDFICSA-N 0 0 287.747 2.851 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@H](CC)O1 ZINC000421945378 529282839 /nfs/dbraw/zinc/28/28/39/529282839.db2.gz FPGSEHGTVHBDHQ-KBPBESRZSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@@H]1CCN1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412364015 529331178 /nfs/dbraw/zinc/33/11/78/529331178.db2.gz PPDMHDYAMQDFBM-KWCYVHTRSA-N 0 0 274.320 2.709 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420593448 529335277 /nfs/dbraw/zinc/33/52/77/529335277.db2.gz BQXAMHCLHXLQPQ-NXEZZACHSA-N 0 0 279.340 2.930 20 5 CFBDRN CC(C)[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000265073687 534927290 /nfs/dbraw/zinc/92/72/90/534927290.db2.gz UCBOLBAYDGJAEE-QMMMGPOBSA-N 0 0 254.261 2.965 20 5 CFBDRN CC(C)N(CC(F)F)C(=O)c1csc([N+](=O)[O-])c1 ZINC000269137158 535043837 /nfs/dbraw/zinc/04/38/37/535043837.db2.gz YHEQIDPAXUPGDL-UHFFFAOYSA-N 0 0 278.280 2.772 20 5 CFBDRN CC1(CNC(=O)/C=C\c2ccccc2[N+](=O)[O-])CC1 ZINC000492058637 535123658 /nfs/dbraw/zinc/12/36/58/535123658.db2.gz QEXVUSHRBDVZHY-SREVYHEPSA-N 0 0 260.293 2.524 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])nc1)c1ccccn1 ZINC000450611161 535156129 /nfs/dbraw/zinc/15/61/29/535156129.db2.gz HRHTURFBIHENMY-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN CC(C)(NC(=O)/C=C\C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000492069525 535211403 /nfs/dbraw/zinc/21/14/03/535211403.db2.gz SDXNWIOGQDZHDM-YHYXMXQVSA-N 0 0 274.320 2.912 20 5 CFBDRN CC(C)[C@@H]1[C@@H](C(C)C)CN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000456290059 535304555 /nfs/dbraw/zinc/30/45/55/535304555.db2.gz NHTBAVYQIPRRPJ-ZWNOBZJWSA-N 0 0 280.324 2.940 20 5 CFBDRN CC[C@@H](C)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268265293 535462398 /nfs/dbraw/zinc/46/23/98/535462398.db2.gz SIQAQYCPPQJKNF-MRVPVSSYSA-N 0 0 270.716 2.911 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C(C)=O)c1)[C@@H](O)CC ZINC000450853537 535809852 /nfs/dbraw/zinc/80/98/52/535809852.db2.gz BIKCBXNRQQDEJD-OCCSQVGLSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)C(F)F ZINC000452238334 535880827 /nfs/dbraw/zinc/88/08/27/535880827.db2.gz AUCTYJNCMAMNQT-JTQLQIEISA-N 0 0 272.251 2.677 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1nc(C)cs1 ZINC000264206615 535880831 /nfs/dbraw/zinc/88/08/31/535880831.db2.gz FGPZKJLJQRCCDI-QMMMGPOBSA-N 0 0 294.336 2.569 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000460057804 535911448 /nfs/dbraw/zinc/91/14/48/535911448.db2.gz JGGAPRWEOQEMDX-VXNVDRBHSA-N 0 0 252.245 2.719 20 5 CFBDRN CN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@@H]1CC1(C)C ZINC000493373110 535935432 /nfs/dbraw/zinc/93/54/32/535935432.db2.gz TZAYQSBALYKDTG-YSKGHYERSA-N 0 0 274.320 2.865 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000275071519 535950415 /nfs/dbraw/zinc/95/04/15/535950415.db2.gz ZQWLJPHKWYTPQR-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN CN(C(=O)CC1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000459895317 535965748 /nfs/dbraw/zinc/96/57/48/535965748.db2.gz GBPRBLSPLHCOSP-UHFFFAOYSA-N 0 0 284.262 2.993 20 5 CFBDRN CCc1ccnc(CNc2nccc(C)c2[N+](=O)[O-])c1 ZINC000435586993 536013606 /nfs/dbraw/zinc/01/36/06/536013606.db2.gz ALXQRMJRJVUTSB-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN CCc1cnc(CNc2ccc([N+](=O)[O-])c(OC)c2)o1 ZINC000234264926 536055893 /nfs/dbraw/zinc/05/58/93/536055893.db2.gz NLLMFSYQBISYNF-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN COC(=O)c1cc(NC2CCC2)cc(C)c1[N+](=O)[O-] ZINC000268454928 536564679 /nfs/dbraw/zinc/56/46/79/536564679.db2.gz BZZLKFSUSYSGLY-UHFFFAOYSA-N 0 0 264.281 2.654 20 5 CFBDRN COc1ccc(C(=O)N(C)C2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000458205002 536690199 /nfs/dbraw/zinc/69/01/99/536690199.db2.gz KSRHMIOAXFMRFI-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc(NC(=O)/C=C\c2ccncc2)cc1[N+](=O)[O-] ZINC000492490651 536717550 /nfs/dbraw/zinc/71/75/50/536717550.db2.gz IOIDNBJQTJNCQC-DJWKRKHSSA-N 0 0 299.286 2.650 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000359965281 536806806 /nfs/dbraw/zinc/80/68/06/536806806.db2.gz YGAUHIIEXJLHAU-VHSXEESVSA-N 0 0 278.308 2.978 20 5 CFBDRN COCC[C@H](Nc1ccc([N+](=O)[O-])nc1)c1ccco1 ZINC000355570821 536820612 /nfs/dbraw/zinc/82/06/12/536820612.db2.gz IFLOBPBKKPHZIS-NSHDSACASA-N 0 0 277.280 2.773 20 5 CFBDRN COc1cc(C(=O)NCC=C(C)C)cc([N+](=O)[O-])c1C ZINC000191763565 536893424 /nfs/dbraw/zinc/89/34/24/536893424.db2.gz FZHBOJJJWWMMEP-UHFFFAOYSA-N 0 0 278.308 2.608 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC2(C)CCCC2)c1 ZINC000264097573 536950196 /nfs/dbraw/zinc/95/01/96/536950196.db2.gz UGVVRZYHORVARZ-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN COc1cc(NCCC(C)(C)OC)c(F)cc1[N+](=O)[O-] ZINC000711873876 782178704 /nfs/dbraw/zinc/17/87/04/782178704.db2.gz HQVFHQJNYUUDGN-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN O=C(C[C@@H]1CC=CCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000935115716 649877415 /nfs/dbraw/zinc/87/74/15/649877415.db2.gz UWIHQVUUIMGNLA-CYBMUJFWSA-N 0 0 288.347 3.000 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2CCSC2)c1 ZINC000744100885 574053723 /nfs/dbraw/zinc/05/37/23/574053723.db2.gz HLUCKQUNUSIVNU-VIFPVBQESA-N 0 0 267.306 2.566 20 5 CFBDRN CCCCO[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746965819 574058973 /nfs/dbraw/zinc/05/89/73/574058973.db2.gz CXQRXDPUUZEBHF-SNVBAGLBSA-N 0 0 299.298 2.982 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCC(=O)C1CCC1 ZINC000754054977 574066947 /nfs/dbraw/zinc/06/69/47/574066947.db2.gz RGEQFFVEEFXPBV-RMKNXTFCSA-N 0 0 289.287 2.520 20 5 CFBDRN C[C@H]1CC/C(=C\C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000753596330 574067018 /nfs/dbraw/zinc/06/70/18/574067018.db2.gz MKGNZPHKHKCCEG-UTEVDWOZSA-N 0 0 274.320 2.957 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@@H]1O ZINC000754063941 574068253 /nfs/dbraw/zinc/06/82/53/574068253.db2.gz DIECBPWQKDMVLE-MFKMUULPSA-N 0 0 296.342 2.967 20 5 CFBDRN CCN1CCN(c2ccc([N+](=O)[O-])cc2Cl)C[C@H]1C ZINC000754078791 574069633 /nfs/dbraw/zinc/06/96/33/574069633.db2.gz ACHLVQVWCGTIGJ-SNVBAGLBSA-N 0 0 283.759 2.779 20 5 CFBDRN O=C(OCc1cccc(Cl)n1)c1ccc([N+](=O)[O-])o1 ZINC000744473619 574076605 /nfs/dbraw/zinc/07/66/05/574076605.db2.gz HPHWZNNLAKGZPV-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN CC[C@@H](C)C(=O)OCCOc1ccc(OC)cc1[N+](=O)[O-] ZINC000744537188 574079943 /nfs/dbraw/zinc/07/99/43/574079943.db2.gz OPOUXFYPJORRFO-SNVBAGLBSA-N 0 0 297.307 2.572 20 5 CFBDRN Cc1ocnc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000728166733 574083045 /nfs/dbraw/zinc/08/30/45/574083045.db2.gz ISAWOZLKZUVVQY-MRVPVSSYSA-N 0 0 276.248 2.809 20 5 CFBDRN Cc1ccc(CC(=O)OCCc2ccc([N+](=O)[O-])cc2)o1 ZINC000754332216 574088818 /nfs/dbraw/zinc/08/88/18/574088818.db2.gz FFJDFECGLXGEDR-UHFFFAOYSA-N 0 0 289.287 2.825 20 5 CFBDRN O=C(OC[C@@H]1CCCO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730643832 574090824 /nfs/dbraw/zinc/09/08/24/574090824.db2.gz GSXRUUSAWLALBK-QMMMGPOBSA-N 0 0 285.683 2.584 20 5 CFBDRN CCc1cnc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])o1 ZINC000744878805 574091719 /nfs/dbraw/zinc/09/17/19/574091719.db2.gz WVPQTRGQUFNBOZ-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN O=Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2)cs1 ZINC000754566469 574103453 /nfs/dbraw/zinc/10/34/53/574103453.db2.gz WQNYXYBZNCDDCL-UHFFFAOYSA-N 0 0 291.284 2.826 20 5 CFBDRN Cc1ncccc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000730966192 574105052 /nfs/dbraw/zinc/10/50/52/574105052.db2.gz VQRNZRLHUMHLSA-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC[C@H](CCOC)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000754587983 574106064 /nfs/dbraw/zinc/10/60/64/574106064.db2.gz YZPUBWMDWZCVHQ-GFCCVEGCSA-N 0 0 267.281 2.567 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000756414018 574111242 /nfs/dbraw/zinc/11/12/42/574111242.db2.gz ISICYOPBKGYGID-JTQLQIEISA-N 0 0 279.292 2.675 20 5 CFBDRN C/C(=C/C(=O)NCCOC(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000754659832 574111489 /nfs/dbraw/zinc/11/14/89/574111489.db2.gz BVMZBXXLLBXNAU-BENRWUELSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@H](CCOC)OC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000754577344 574112394 /nfs/dbraw/zinc/11/23/94/574112394.db2.gz ZNOGYIOVCKVZSJ-CQSZACIVSA-N 0 0 295.335 2.886 20 5 CFBDRN CSCCNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000731205811 574112787 /nfs/dbraw/zinc/11/27/87/574112787.db2.gz LIZSNVMNVUCWCZ-UHFFFAOYSA-N 0 0 269.351 2.979 20 5 CFBDRN CC/C=C/CNc1nc2sccn2c1[N+](=O)[O-] ZINC000384701915 574120108 /nfs/dbraw/zinc/12/01/08/574120108.db2.gz AZAHPSPWISRHMN-ONEGZZNKSA-N 0 0 252.299 2.682 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H](CO)CC3CC3)sc2c1 ZINC000754785438 574120416 /nfs/dbraw/zinc/12/04/16/574120416.db2.gz LIGGVMMAQCEUTD-SECBINFHSA-N 0 0 293.348 2.777 20 5 CFBDRN C[C@@H](C(=O)OCc1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000745617946 574120498 /nfs/dbraw/zinc/12/04/98/574120498.db2.gz DCXGYKDSHPOBPM-YFKPBYRVSA-N 0 0 283.227 2.898 20 5 CFBDRN Cc1nn(C)c(C(=O)OCC2CCC(C)CC2)c1[N+](=O)[O-] ZINC000754794987 574120950 /nfs/dbraw/zinc/12/09/50/574120950.db2.gz VLQIOZAIYPIGPG-UHFFFAOYSA-N 0 0 295.339 2.620 20 5 CFBDRN O=C(Oc1ccccc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731457634 574121427 /nfs/dbraw/zinc/12/14/27/574121427.db2.gz NHBZDIXXADOUJK-UHFFFAOYSA-N 0 0 261.208 2.953 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1cc(F)ccc1O ZINC000745730179 574124015 /nfs/dbraw/zinc/12/40/15/574124015.db2.gz BLOLGIMMFAJXKN-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCCS1 ZINC000745963097 574129395 /nfs/dbraw/zinc/12/93/95/574129395.db2.gz IBXLXJWTYRPRGK-LLVKDONJSA-N 0 0 281.333 2.956 20 5 CFBDRN CCc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000746047021 574132383 /nfs/dbraw/zinc/13/23/83/574132383.db2.gz IJOQQPXOIRNWSZ-SNVBAGLBSA-N 0 0 274.324 2.731 20 5 CFBDRN CC(=O)CCCOC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755019184 574133012 /nfs/dbraw/zinc/13/30/12/574133012.db2.gz JFIFAFDYUBLGJJ-ZHACJKMWSA-N 0 0 291.303 2.911 20 5 CFBDRN CC(C)C(=O)COC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755058853 574134680 /nfs/dbraw/zinc/13/46/80/574134680.db2.gz FOWJBQLLLYEKGO-VIFPVBQESA-N 0 0 297.282 2.606 20 5 CFBDRN CCOCCCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728993173 574144541 /nfs/dbraw/zinc/14/45/41/574144541.db2.gz HATDXFRGSOBZGX-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN CC(C)SCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728992745 574144549 /nfs/dbraw/zinc/14/45/49/574144549.db2.gz VDDLRHMOXCFPHY-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728995255 574144559 /nfs/dbraw/zinc/14/45/59/574144559.db2.gz SSBFAZOYJSFMAE-SNVBAGLBSA-N 0 0 299.298 2.838 20 5 CFBDRN O=C(CCn1cccc1)Nc1cc([N+](=O)[O-])ccc1F ZINC000746434999 574148978 /nfs/dbraw/zinc/14/89/78/574148978.db2.gz BKAFMAWRXXEOLS-UHFFFAOYSA-N 0 0 277.255 2.564 20 5 CFBDRN CC(C)(C)/C=C/C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000732401612 574155533 /nfs/dbraw/zinc/15/55/33/574155533.db2.gz IOJDYOMMPUBUDA-CMDGGOBGSA-N 0 0 291.303 2.923 20 5 CFBDRN CCOc1cc(COC(=O)c2ccoc2)ccc1[N+](=O)[O-] ZINC000755520562 574167162 /nfs/dbraw/zinc/16/71/62/574167162.db2.gz PTLCZJQTXFXUEZ-UHFFFAOYSA-N 0 0 291.259 2.944 20 5 CFBDRN CCC[C@@H](O)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000732805804 574173441 /nfs/dbraw/zinc/17/34/41/574173441.db2.gz ZXQQTEMHOQPTMT-SECBINFHSA-N 0 0 281.337 2.777 20 5 CFBDRN CCc1ccc(C(=O)OCc2cscn2)cc1[N+](=O)[O-] ZINC000747010499 574175286 /nfs/dbraw/zinc/17/52/86/574175286.db2.gz KKMHGGNOZSXZHO-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN O=C(OCc1nccs1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000747050709 574177165 /nfs/dbraw/zinc/17/71/65/574177165.db2.gz YYJTWXOYYDFKNN-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN O=C(O[C@@H]1CCSC1)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000729212162 574185230 /nfs/dbraw/zinc/18/52/30/574185230.db2.gz WVCJTZTUBXMDDH-SECBINFHSA-N 0 0 292.316 2.738 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)Cc1ccoc1 ZINC000747210778 574187475 /nfs/dbraw/zinc/18/74/75/574187475.db2.gz YSPTWNOKJRXIDE-UHFFFAOYSA-N 0 0 276.292 2.971 20 5 CFBDRN C=COCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000755786292 574187675 /nfs/dbraw/zinc/18/76/75/574187675.db2.gz QOTOKXUKMWIEDO-UHFFFAOYSA-N 0 0 279.292 2.576 20 5 CFBDRN O=C(OCCC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000756062790 574207121 /nfs/dbraw/zinc/20/71/21/574207121.db2.gz VHGZMCFSVIYQGH-CYBMUJFWSA-N 0 0 279.292 2.711 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1ccc([N+](=O)[O-])cc1F ZINC000756129556 574211734 /nfs/dbraw/zinc/21/17/34/574211734.db2.gz ZMYYENNVQQXJCG-ZJUUUORDSA-N 0 0 268.288 2.738 20 5 CFBDRN CCCNC(=S)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000739437076 574213888 /nfs/dbraw/zinc/21/38/88/574213888.db2.gz HRFGCMRCVPLABB-UHFFFAOYSA-N 0 0 295.408 2.792 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000747842959 574214975 /nfs/dbraw/zinc/21/49/75/574214975.db2.gz DSJPHYHESUJMAJ-NEPJUHHUSA-N 0 0 294.326 2.987 20 5 CFBDRN CO[C@@H](CNc1ccsc1[N+](=O)[O-])C(F)(F)F ZINC000733303021 574216136 /nfs/dbraw/zinc/21/61/36/574216136.db2.gz BXARJCWQZVHGHL-LURJTMIESA-N 0 0 270.232 2.646 20 5 CFBDRN O=C([O-])C1([NH2+]Cc2c(F)cccc2[N+](=O)[O-])CCCCC1 ZINC000739514046 574216380 /nfs/dbraw/zinc/21/63/80/574216380.db2.gz OQLFSLBEZJNVTF-UHFFFAOYSA-N 0 0 296.298 2.611 20 5 CFBDRN C[C@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000747877674 574216993 /nfs/dbraw/zinc/21/69/93/574216993.db2.gz SFFWNQJBBLQLEM-QMMMGPOBSA-N 0 0 267.256 2.823 20 5 CFBDRN C[C@@H]1CCC[C@@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000729471487 574218312 /nfs/dbraw/zinc/21/83/12/574218312.db2.gz YABRYWBDBFQGRF-ZJUUUORDSA-N 0 0 260.297 2.843 20 5 CFBDRN CC1(C)CCC[C@H](C(=O)OCCn2ccc([N+](=O)[O-])n2)C1 ZINC000756267990 574220474 /nfs/dbraw/zinc/22/04/74/574220474.db2.gz HOMXISXAXXNNCJ-NSHDSACASA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000756342870 574227291 /nfs/dbraw/zinc/22/72/91/574227291.db2.gz GFEWZXNJMXERND-DHHDDZJSSA-N 0 0 291.303 2.766 20 5 CFBDRN C[C@@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000748139791 574231499 /nfs/dbraw/zinc/23/14/99/574231499.db2.gz CTYSBRHYHRMKON-MRVPVSSYSA-N 0 0 267.256 2.823 20 5 CFBDRN C[C@H](C(=O)OCc1csc([N+](=O)[O-])c1)C1CCOCC1 ZINC000756409552 574234556 /nfs/dbraw/zinc/23/45/56/574234556.db2.gz FIOMLIVRKUSUMQ-VIFPVBQESA-N 0 0 299.348 2.762 20 5 CFBDRN Cc1cc(C(=O)OCC[C@@H]2CCCC2=O)cc([N+](=O)[O-])c1 ZINC000748180028 574235687 /nfs/dbraw/zinc/23/56/87/574235687.db2.gz ALPZMYCTEVZGKV-NSHDSACASA-N 0 0 291.303 2.819 20 5 CFBDRN CC[C@H](C)OC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000729893994 574235743 /nfs/dbraw/zinc/23/57/43/574235743.db2.gz SZCGYNQARHKFOM-JTQLQIEISA-N 0 0 267.281 2.705 20 5 CFBDRN CCSCCOC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000729890962 574235940 /nfs/dbraw/zinc/23/59/40/574235940.db2.gz LWFOSWSWACFOHF-UHFFFAOYSA-N 0 0 294.332 2.986 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000748191144 574237417 /nfs/dbraw/zinc/23/74/17/574237417.db2.gz PIPBYHGBSXTHCA-SECBINFHSA-N 0 0 295.266 2.650 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1csc([N+](=O)[O-])c1 ZINC000733453177 574239136 /nfs/dbraw/zinc/23/91/36/574239136.db2.gz RNQSRMGMERAICL-VIFPVBQESA-N 0 0 253.279 2.922 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2C=CCCC2)c1 ZINC000733467359 574240537 /nfs/dbraw/zinc/24/05/37/574240537.db2.gz NPTMMJWADPNRFB-JTQLQIEISA-N 0 0 277.276 2.869 20 5 CFBDRN CC(C)(C(=O)OCC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000740390676 574249722 /nfs/dbraw/zinc/24/97/22/574249722.db2.gz VWKZNJJXXWETPS-UHFFFAOYSA-N 0 0 273.235 2.681 20 5 CFBDRN O=C(C[C@H]1CCOC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000740540049 574254724 /nfs/dbraw/zinc/25/47/24/574254724.db2.gz XTTPTSVOKLZVIS-MRVPVSSYSA-N 0 0 285.683 2.580 20 5 CFBDRN C[C@@H](OC(=O)C[C@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000740541401 574254879 /nfs/dbraw/zinc/25/48/79/574254879.db2.gz IGVHTXMBBWLRSC-GHMZBOCLSA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@@](O)(CNc1ccsc1[N+](=O)[O-])c1ccccc1 ZINC000733993596 574268218 /nfs/dbraw/zinc/26/82/18/574268218.db2.gz ZVCSDNDWQRGQFZ-CYBMUJFWSA-N 0 0 278.333 2.976 20 5 CFBDRN Cn1cc(CCNc2ccc(Cl)c([N+](=O)[O-])c2)cn1 ZINC000740873130 574271794 /nfs/dbraw/zinc/27/17/94/574271794.db2.gz RHZPMQJDNUIJNK-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN C[C@@H](ON=C(N)c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000756915969 574273398 /nfs/dbraw/zinc/27/33/98/574273398.db2.gz YBMNSBNRQKHKLB-SNVBAGLBSA-N 0 0 286.291 2.598 20 5 CFBDRN C[Si](C)(C)COC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000740955017 574275295 /nfs/dbraw/zinc/27/52/95/574275295.db2.gz ULODFYWXZPMRDM-UHFFFAOYSA-N 0 0 297.383 2.784 20 5 CFBDRN CC[C@H](OC)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000749053137 574277973 /nfs/dbraw/zinc/27/79/73/574277973.db2.gz DBMWAMUDKOPKLZ-SKDRFNHKSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CCn1cccc1 ZINC000749136280 574282037 /nfs/dbraw/zinc/28/20/37/574282037.db2.gz ULSHEPTVICKGHW-UHFFFAOYSA-N 0 0 274.276 2.700 20 5 CFBDRN Nc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)cc1F ZINC000749191887 574283765 /nfs/dbraw/zinc/28/37/65/574283765.db2.gz YDXKMTCOBBCMFP-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN Nc1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])cc1F ZINC000749195693 574284233 /nfs/dbraw/zinc/28/42/33/574284233.db2.gz YRZJLCABIDCIBH-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000741243588 574284453 /nfs/dbraw/zinc/28/44/53/574284453.db2.gz QPTNATDQIORLCG-GFCCVEGCSA-N 0 0 280.255 2.781 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])s1)C1CCCC1 ZINC000741831535 574290962 /nfs/dbraw/zinc/29/09/62/574290962.db2.gz SGFXRTFAQGYZOE-UHFFFAOYSA-N 0 0 283.305 2.572 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)c1ccco1 ZINC000741522154 574295079 /nfs/dbraw/zinc/29/50/79/574295079.db2.gz UQGCRXKPXLEMCW-SECBINFHSA-N 0 0 291.259 2.906 20 5 CFBDRN CCO[C@H]1C[C@@H]1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734780308 574301727 /nfs/dbraw/zinc/30/17/27/574301727.db2.gz QRGXUMYFGVSIMU-JQWIXIFHSA-N 0 0 299.710 2.716 20 5 CFBDRN CC(C)(C)C(=O)NOCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734834426 574304858 /nfs/dbraw/zinc/30/48/58/574304858.db2.gz ORCZPLKUEFKUAL-UHFFFAOYSA-N 0 0 286.715 2.842 20 5 CFBDRN Cc1ccnc(N[C@H]2CCCc3nc(C)ncc32)c1[N+](=O)[O-] ZINC000734833651 574304934 /nfs/dbraw/zinc/30/49/34/574304934.db2.gz RATSXOZKBXTONK-ZDUSSCGKSA-N 0 0 299.334 2.886 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCCc2nc(C)ncc21 ZINC000734832432 574305113 /nfs/dbraw/zinc/30/51/13/574305113.db2.gz GGBWZBKAHNASFU-CQSZACIVSA-N 0 0 299.334 2.886 20 5 CFBDRN CC(C)C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734883018 574306570 /nfs/dbraw/zinc/30/65/70/574306570.db2.gz IWGSTUWYFVQOCI-UHFFFAOYSA-N 0 0 257.673 2.947 20 5 CFBDRN Cc1ccccc1[C@@H]1CCN(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000725780555 574306907 /nfs/dbraw/zinc/30/69/07/574306907.db2.gz VNMWAFXEKGCAFL-CYBMUJFWSA-N 0 0 286.335 2.547 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1Cc2ccccc21 ZINC000741886192 574309008 /nfs/dbraw/zinc/30/90/08/574309008.db2.gz AKJDVDDGRHQOSZ-ZDUSSCGKSA-N 0 0 299.282 2.849 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)ncn1 ZINC000741956697 574311566 /nfs/dbraw/zinc/31/15/66/574311566.db2.gz PLCIFGQKHRUNJC-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCC/C=C\C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000735207157 574316534 /nfs/dbraw/zinc/31/65/34/574316534.db2.gz OUXDVJAGVVLONQ-WAYWQWQTSA-N 0 0 265.265 2.865 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000742173195 574317553 /nfs/dbraw/zinc/31/75/53/574317553.db2.gz HQSIOOXIQUFWMO-CYBMUJFWSA-N 0 0 292.726 2.849 20 5 CFBDRN C/C=C/COC(=O)c1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC000735353580 574321810 /nfs/dbraw/zinc/32/18/10/574321810.db2.gz LECJUBKSPAPRSK-NSCUHMNNSA-N 0 0 288.259 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3CCCC[C@@H]3C2)c2nonc21 ZINC000750031669 574321974 /nfs/dbraw/zinc/32/19/74/574321974.db2.gz XYKWQPQIIUOXPF-AOOOYVTPSA-N 0 0 288.307 2.757 20 5 CFBDRN Cc1ccsc1S(=O)(=O)Oc1ccccc1[N+](=O)[O-] ZINC000742268201 574322279 /nfs/dbraw/zinc/32/22/79/574322279.db2.gz SUFHWCSXBXIOIA-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1ccc(F)cc1O ZINC000750145894 574327762 /nfs/dbraw/zinc/32/77/62/574327762.db2.gz KQYMXYIGQKNZBF-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1O ZINC000750147906 574327796 /nfs/dbraw/zinc/32/77/96/574327796.db2.gz XDWWHKAFEDPYNN-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN C[C@H](CCO)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000742427124 574329778 /nfs/dbraw/zinc/32/97/78/574329778.db2.gz OZQMCNTVMTWNBQ-MRVPVSSYSA-N 0 0 281.337 2.635 20 5 CFBDRN Cc1nccc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000735637297 574331876 /nfs/dbraw/zinc/33/18/76/574331876.db2.gz BKIIKTRGPPQFPR-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccon1 ZINC000755769037 574333514 /nfs/dbraw/zinc/33/35/14/574333514.db2.gz IQZNTDNZEFKURW-CMDGGOBGSA-N 0 0 273.248 2.625 20 5 CFBDRN C[C@H](Cc1ccccc1)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000750415517 574338463 /nfs/dbraw/zinc/33/84/63/574338463.db2.gz ZHFGKBJNVHCIEZ-LLVKDONJSA-N 0 0 288.303 2.721 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000742742935 574342385 /nfs/dbraw/zinc/34/23/85/574342385.db2.gz XJOPKXDUPIJIAT-MNOVXSKESA-N 0 0 294.376 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@H]2CC=CCC2)cc1 ZINC000735987166 574345595 /nfs/dbraw/zinc/34/55/95/574345595.db2.gz MXMIQUDRZVZWAZ-JTQLQIEISA-N 0 0 277.349 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@@H]2CC=CCC2)cc1 ZINC000735987167 574345601 /nfs/dbraw/zinc/34/56/01/574345601.db2.gz MXMIQUDRZVZWAZ-SNVBAGLBSA-N 0 0 277.349 2.990 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])cn2C)[C@@H](C)C1 ZINC000736029945 574348048 /nfs/dbraw/zinc/34/80/48/574348048.db2.gz TZJHTWVCMCDTMO-GBIKHYSHSA-N 0 0 280.324 2.915 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)OC1CCC1 ZINC000750738345 574353066 /nfs/dbraw/zinc/35/30/66/574353066.db2.gz IAPCCHMCFAGGBF-UHFFFAOYSA-N 0 0 274.276 2.645 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1cc2occc2[nH]1 ZINC000750841339 574357691 /nfs/dbraw/zinc/35/76/91/574357691.db2.gz ASNNUHLHKXTYJL-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN CC(C)(CC(=O)[O-])[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000736310968 574361576 /nfs/dbraw/zinc/36/15/76/574361576.db2.gz BYAKGMHOQUSFMN-UHFFFAOYSA-N 0 0 286.715 2.591 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC(C2CCCC2)CC1 ZINC000743376475 574361984 /nfs/dbraw/zinc/36/19/84/574361984.db2.gz HEYQYBSRKVOYLX-UHFFFAOYSA-N 0 0 278.356 2.651 20 5 CFBDRN O=C(CCn1cccc1)OCc1ccc([N+](=O)[O-])cc1F ZINC000750942205 574364517 /nfs/dbraw/zinc/36/45/17/574364517.db2.gz GJPBVYBMMAMSAM-UHFFFAOYSA-N 0 0 292.266 2.669 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000751108403 574369356 /nfs/dbraw/zinc/36/93/56/574369356.db2.gz HUMPRIPJZOPUSV-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN C/C=C(\C)C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000751200190 574372010 /nfs/dbraw/zinc/37/20/10/574372010.db2.gz OBBDOYBSHCXRFU-YCRREMRBSA-N 0 0 286.291 2.890 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC/C=C/Cl ZINC000725987327 574376947 /nfs/dbraw/zinc/37/69/47/574376947.db2.gz KOLHZJQKDFJIIG-GORDUTHDSA-N 0 0 255.657 2.813 20 5 CFBDRN O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccco1 ZINC000751628399 574380285 /nfs/dbraw/zinc/38/02/85/574380285.db2.gz QKIFBNYXTRHGNQ-UHFFFAOYSA-N 0 0 288.215 2.686 20 5 CFBDRN CC(C)CC(=O)COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000751650746 574380583 /nfs/dbraw/zinc/38/05/83/574380583.db2.gz GBZOIFAUTDSORV-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN CC(C)CC(=O)COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000751656375 574380597 /nfs/dbraw/zinc/38/05/97/574380597.db2.gz OZTMDUMXUQWVMI-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN Cc1cc(C(=O)OCC(=O)CC(C)C)cc([N+](=O)[O-])c1 ZINC000751656313 574380628 /nfs/dbraw/zinc/38/06/28/574380628.db2.gz NDCSBGLYUWWSOX-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN O=C(OCCCCC(F)(F)F)c1ccc([N+](=O)[O-])cn1 ZINC000751750051 574382321 /nfs/dbraw/zinc/38/23/21/574382321.db2.gz KTWLODUNIOUXQH-UHFFFAOYSA-N 0 0 292.213 2.879 20 5 CFBDRN CCC1(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000751752587 574382408 /nfs/dbraw/zinc/38/24/08/574382408.db2.gz BEKZGLFKIMKMMX-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN C/C=C\COC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752559799 574392775 /nfs/dbraw/zinc/39/27/75/574392775.db2.gz RFQNDXJWXXUCDO-IHWYPQMZSA-N 0 0 257.192 2.606 20 5 CFBDRN C[C@H]1COC(C)(C)CN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000753022864 574397698 /nfs/dbraw/zinc/39/76/98/574397698.db2.gz NRQKOZGKDSJNIY-QMMMGPOBSA-N 0 0 286.278 2.877 20 5 CFBDRN CCN(Cc1ccccn1)c1ccc([N+](=O)[O-])c2nonc21 ZINC000753117763 574398810 /nfs/dbraw/zinc/39/88/10/574398810.db2.gz HNCAVUZFRZSJFO-UHFFFAOYSA-N 0 0 299.290 2.553 20 5 CFBDRN Cc1ccc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])nc1 ZINC000753118241 574398825 /nfs/dbraw/zinc/39/88/25/574398825.db2.gz XYDQHWASYASOME-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCC(CC)OC(=O)c1cc([N+](=O)[O-])c(N)cc1OC ZINC000753453883 574402527 /nfs/dbraw/zinc/40/25/27/574402527.db2.gz RGACMRMKCBWNIQ-UHFFFAOYSA-N 0 0 282.296 2.531 20 5 CFBDRN CCc1nc(C(=O)Oc2cccc([N+](=O)[O-])c2C)co1 ZINC000753489351 574403107 /nfs/dbraw/zinc/40/31/07/574403107.db2.gz XYHHWXDYUXNAHA-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN CS/C=C\C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000753629108 574407081 /nfs/dbraw/zinc/40/70/81/574407081.db2.gz GNTVMNLIRRNBRJ-SREVYHEPSA-N 0 0 289.316 2.958 20 5 CFBDRN CCC(CC)(CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000828799646 601260556 /nfs/dbraw/zinc/26/05/56/601260556.db2.gz GQCXDWMNBNQYHH-UHFFFAOYSA-N 0 0 280.324 2.718 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[NH2+]CCCCC(=O)[O-] ZINC000821103799 598171412 /nfs/dbraw/zinc/17/14/12/598171412.db2.gz RKEFJXDCNCZPGW-LLVKDONJSA-N 0 0 280.324 2.809 20 5 CFBDRN C[C@H]([NH2+]C1CCC(C(=O)[O-])CC1)c1ccccc1[N+](=O)[O-] ZINC000086735665 600863823 /nfs/dbraw/zinc/86/38/23/600863823.db2.gz ICWHFXRWJSEWKP-UNXYVOJBSA-N 0 0 292.335 2.889 20 5 CFBDRN C[C@H]([NH2+]C1CCC(C(=O)[O-])CC1)c1cccc([N+](=O)[O-])c1 ZINC000086735727 600863850 /nfs/dbraw/zinc/86/38/50/600863850.db2.gz FNKKKLQYLPZMOP-ZBOXLXRLSA-N 0 0 292.335 2.889 20 5 CFBDRN CC[C@](C)(CC(=O)[O-])[NH2+]Cc1cccc([N+](=O)[O-])c1C ZINC000828665427 601558154 /nfs/dbraw/zinc/55/81/54/601558154.db2.gz RMMZCKVVNDEXFJ-CQSZACIVSA-N 0 0 280.324 2.636 20 5 CFBDRN O=C(NC1CC(F)(F)C1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935649220 649926197 /nfs/dbraw/zinc/92/61/97/649926197.db2.gz PWFZFYHWVARBAV-VXGBXAGGSA-N 0 0 296.273 2.612 20 5 CFBDRN C/C(=C(/F)C(=O)NCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000900240040 620653098 /nfs/dbraw/zinc/65/30/98/620653098.db2.gz JAQALVLGQLMWNM-LCYFTJDESA-N 0 0 278.283 2.865 20 5 CFBDRN CCC(CC)(CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1F ZINC000828799466 602214046 /nfs/dbraw/zinc/21/40/46/602214046.db2.gz BJERPDAEOFSPDG-UHFFFAOYSA-N 0 0 298.314 2.857 20 5 CFBDRN C[C@]1([NH2+]Cc2cccc([N+](=O)[O-])c2)CCCC[C@H]1C(=O)[O-] ZINC000833568462 604812888 /nfs/dbraw/zinc/81/28/88/604812888.db2.gz GHXVLAODLMCAEN-ZFWWWQNUSA-N 0 0 292.335 2.718 20 5 CFBDRN CCN(CC[NH2+][C@H](C)c1cc([N+](=O)[O-])ccc1C)C(=O)[O-] ZINC000827150626 604955480 /nfs/dbraw/zinc/95/54/80/604955480.db2.gz KRTFEOQHPAYFCD-LLVKDONJSA-N 0 0 295.339 2.554 20 5 CFBDRN CCN(CC[NH2+][C@@H](C)c1cccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000827151037 604956352 /nfs/dbraw/zinc/95/63/52/604956352.db2.gz RZSQBKSOANPFKG-NSHDSACASA-N 0 0 295.339 2.554 20 5 CFBDRN C[N@H+](Cc1cc([N+](=O)[O-])ccc1Cl)[C@@H](C(=O)[O-])C1CC1 ZINC000833694682 605131176 /nfs/dbraw/zinc/13/11/76/605131176.db2.gz XVXGCCWNUYLLKO-GFCCVEGCSA-N 0 0 298.726 2.543 20 5 CFBDRN Cc1c(Cn2cc(C3CCC3)nn2)cccc1[N+](=O)[O-] ZINC000900525041 620683201 /nfs/dbraw/zinc/68/32/01/620683201.db2.gz SNLXXACIVICZQS-UHFFFAOYSA-N 0 0 272.308 2.811 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCC1CC2(CCC2)C1 ZINC000851478180 634384341 /nfs/dbraw/zinc/38/43/41/634384341.db2.gz VCMJQUCAOITDGF-UHFFFAOYSA-N 0 0 278.308 2.670 20 5 CFBDRN COCCNc1cc(Br)cc([N+](=O)[O-])c1C ZINC000905106762 621700860 /nfs/dbraw/zinc/70/08/60/621700860.db2.gz NPYOZBTWUOMJNE-UHFFFAOYSA-N 0 0 289.129 2.724 20 5 CFBDRN CCC1(CC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)COC1 ZINC000936034722 649977732 /nfs/dbraw/zinc/97/77/32/649977732.db2.gz YIQXZWLALYZWSL-UHFFFAOYSA-N 0 0 292.335 2.967 20 5 CFBDRN CC1(C)CCN1C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000900787957 620713745 /nfs/dbraw/zinc/71/37/45/620713745.db2.gz ROTOKGXUCAQHJG-UHFFFAOYSA-N 0 0 299.330 2.955 20 5 CFBDRN C[C@@H](Cc1ccccc1F)Nc1c([N+](=O)[O-])cnn1C ZINC000891964577 617882766 /nfs/dbraw/zinc/88/27/66/617882766.db2.gz FVAVTGBCMNOXSO-VIFPVBQESA-N 0 0 278.287 2.511 20 5 CFBDRN O=C(N[C@H](c1ccncc1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000890923520 617949057 /nfs/dbraw/zinc/94/90/57/617949057.db2.gz JKWOIPQVRUVQKO-HNNXBMFYSA-N 0 0 297.314 2.871 20 5 CFBDRN O=C(NC/C=C/Cl)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000891216632 618049492 /nfs/dbraw/zinc/04/94/92/618049492.db2.gz VUOFFMFNKRJHCI-JAVOVTSZSA-N 0 0 280.711 2.567 20 5 CFBDRN Nc1ccc(C(=O)Nc2cccc(F)c2F)cc1[N+](=O)[O-] ZINC000049947211 618051425 /nfs/dbraw/zinc/05/14/25/618051425.db2.gz FDTVLYSPYHJFPN-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CC[C@@H](C)CS(=O)(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000161335006 618069654 /nfs/dbraw/zinc/06/96/54/618069654.db2.gz FRUUHCYMNAPYRC-SECBINFHSA-N 0 0 287.337 2.658 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1Nc1ccc(N2CCCC2)cc1 ZINC000891471491 617624662 /nfs/dbraw/zinc/62/46/62/617624662.db2.gz VAIODNISJOYSEE-UHFFFAOYSA-N 0 0 287.323 2.672 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@@H]1C1CCCC1)[N+](=O)[O-] ZINC000889412149 617653248 /nfs/dbraw/zinc/65/32/48/617653248.db2.gz MOQBSUZMERMTAP-GFCCVEGCSA-N 0 0 268.357 2.613 20 5 CFBDRN CCC(CC)NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000175727164 617658822 /nfs/dbraw/zinc/65/88/22/617658822.db2.gz MRPXYGFXMUCINW-UHFFFAOYSA-N 0 0 268.288 2.961 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC(Cc2ccoc2)C1 ZINC000890567186 617659447 /nfs/dbraw/zinc/65/94/47/617659447.db2.gz RWBDTOXGERRCOF-UHFFFAOYSA-N 0 0 292.316 2.564 20 5 CFBDRN CCc1ccc(OC(=O)[C@]2(C)CCCO2)c([N+](=O)[O-])c1 ZINC000121491771 617681699 /nfs/dbraw/zinc/68/16/99/617681699.db2.gz QRUUKNDMVUJMAB-AWEZNQCLSA-N 0 0 279.292 2.632 20 5 CFBDRN CC(C)(C)OCCNc1cccc(F)c1[N+](=O)[O-] ZINC000308530798 617692968 /nfs/dbraw/zinc/69/29/68/617692968.db2.gz PMMRNBHIJZPNLT-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN CCCCCCNc1cc([N+](=O)[O-])ccc1NCCO ZINC000178228418 617698136 /nfs/dbraw/zinc/69/81/36/617698136.db2.gz YHVVNAWIGCWORL-UHFFFAOYSA-N 0 0 281.356 2.991 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCc1ccccc1OC(F)F ZINC000891666683 617700715 /nfs/dbraw/zinc/70/07/15/617700715.db2.gz UWVDQTUKWUXRDJ-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN Cn1c2ccccc2c(N2C3CCC2CC3)c([N+](=O)[O-])c1=O ZINC000891681891 617708287 /nfs/dbraw/zinc/70/82/87/617708287.db2.gz YJSFSBXZFXQPBZ-UHFFFAOYSA-N 0 0 299.330 2.578 20 5 CFBDRN COC(=O)c1ccoc1COc1cc(F)ccc1[N+](=O)[O-] ZINC000023449151 617711277 /nfs/dbraw/zinc/71/12/77/617711277.db2.gz SIAPKUITROHJEB-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(C(F)F)cc1F ZINC000847714857 617732064 /nfs/dbraw/zinc/73/20/64/617732064.db2.gz ACOYZHLAEBHOQQ-LURJTMIESA-N 0 0 277.198 2.585 20 5 CFBDRN COc1ccc(Cl)cc1CNc1c([N+](=O)[O-])cnn1C ZINC000891862407 617790558 /nfs/dbraw/zinc/79/05/58/617790558.db2.gz RVHLLZMUTIDLPQ-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC[C@@H]1CCSC1 ZINC000804678477 617799953 /nfs/dbraw/zinc/79/99/53/617799953.db2.gz ODWIKOCBRCKQRQ-QMMMGPOBSA-N 0 0 283.309 2.668 20 5 CFBDRN CC1=CCCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804683832 617807048 /nfs/dbraw/zinc/80/70/48/617807048.db2.gz XZSFDCXILSVTFD-UHFFFAOYSA-N 0 0 263.253 2.659 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H](C(F)F)C2)c(F)c1 ZINC000804688948 617812415 /nfs/dbraw/zinc/81/24/15/617812415.db2.gz RNSLDEYVSUNWFV-LURJTMIESA-N 0 0 278.205 2.964 20 5 CFBDRN COCCN(C)c1c2cc(Cl)ccc2ncc1[N+](=O)[O-] ZINC000891941521 617839850 /nfs/dbraw/zinc/83/98/50/617839850.db2.gz ZOTJPNGKOZUHQK-UHFFFAOYSA-N 0 0 295.726 2.879 20 5 CFBDRN CCOc1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)CC)cc1OC ZINC000121651375 617860081 /nfs/dbraw/zinc/86/00/81/617860081.db2.gz WBSGURZRTDRFFH-VIFPVBQESA-N 0 0 297.307 2.957 20 5 CFBDRN Cc1ccc(NC(=O)C(F)(F)C(F)F)cc1[N+](=O)[O-] ZINC000175676519 617876112 /nfs/dbraw/zinc/87/61/12/617876112.db2.gz SRJGFMYQJUFQNZ-UHFFFAOYSA-N 0 0 280.177 2.742 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1cccc(F)n1 ZINC000804775523 617876238 /nfs/dbraw/zinc/87/62/38/617876238.db2.gz ICORPCPJQXWZJP-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804816553 617897051 /nfs/dbraw/zinc/89/70/51/617897051.db2.gz CCBJZXFSAOXHMQ-VHSXEESVSA-N 0 0 295.295 2.588 20 5 CFBDRN CON(CC(C)(C)C)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000804815724 617897192 /nfs/dbraw/zinc/89/71/92/617897192.db2.gz YZHABPLHQMHLHC-UHFFFAOYSA-N 0 0 290.323 2.952 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000934104240 617901474 /nfs/dbraw/zinc/90/14/74/617901474.db2.gz ZQUAHHWYSNEHMR-FDRIWYBQSA-N 0 0 288.347 2.955 20 5 CFBDRN CCSCc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813102861 617924214 /nfs/dbraw/zinc/92/42/14/617924214.db2.gz KMBJQXBTQPETEV-SNVBAGLBSA-N 0 0 283.349 2.762 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(C(C)(C)C)s1)[N+](=O)[O-] ZINC000813103856 617925148 /nfs/dbraw/zinc/92/51/48/617925148.db2.gz HFKHMXQOBDAHBV-QMMMGPOBSA-N 0 0 271.338 2.868 20 5 CFBDRN C[C@@H](COC(=O)CCCc1ccccc1Cl)[N+](=O)[O-] ZINC000813109851 617934718 /nfs/dbraw/zinc/93/47/18/617934718.db2.gz PPWWQIINEXNWKU-JTQLQIEISA-N 0 0 285.727 2.871 20 5 CFBDRN C[C@H](COC(=O)C[C@H](C)c1ccccc1F)[N+](=O)[O-] ZINC000813109865 617934905 /nfs/dbraw/zinc/93/49/05/617934905.db2.gz PQYRLPBZLDUJKM-VHSXEESVSA-N 0 0 269.272 2.528 20 5 CFBDRN Cc1c(CO)cc([N+](=O)[O-])c(N[C@H]2CC[C@H]2C)c1[N+](=O)[O-] ZINC000804840757 617936829 /nfs/dbraw/zinc/93/68/29/617936829.db2.gz PESCNYFSAVSFNO-XCBNKYQSSA-N 0 0 295.295 2.514 20 5 CFBDRN CCc1c(C(=O)OC[C@H](C)[N+](=O)[O-])cnn1C(CC)CC ZINC000813111364 617937130 /nfs/dbraw/zinc/93/71/30/617937130.db2.gz RYMRXLCVRHEHDU-JTQLQIEISA-N 0 0 297.355 2.629 20 5 CFBDRN CCCCNC(=O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000109744363 617938618 /nfs/dbraw/zinc/93/86/18/617938618.db2.gz VLDWEAADWDPJCA-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN CO[C@](C)(COC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000813184754 617975315 /nfs/dbraw/zinc/97/53/15/617975315.db2.gz NTYSUULJHYFLFM-CQSZACIVSA-N 0 0 279.292 2.567 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000891088405 617998073 /nfs/dbraw/zinc/99/80/73/617998073.db2.gz XZCCAWSZXYJSFR-NSHDSACASA-N 0 0 294.351 2.709 20 5 CFBDRN CN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CCCCCC1 ZINC000049942881 618050043 /nfs/dbraw/zinc/05/00/43/618050043.db2.gz IVCZQMGXFNNZSN-UHFFFAOYSA-N 0 0 291.351 2.972 20 5 CFBDRN CC1(C)OC[C@@H](COc2cc([N+](=O)[O-])ccc2Cl)O1 ZINC000200609734 618053034 /nfs/dbraw/zinc/05/30/34/618053034.db2.gz GFDPTDVPLUJMPI-SECBINFHSA-N 0 0 287.699 2.779 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037846 618061799 /nfs/dbraw/zinc/06/17/99/618061799.db2.gz XWVKQPDJVQUOHJ-UHFFFAOYSA-N 0 0 296.229 2.738 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N)cc1 ZINC000255187788 618064114 /nfs/dbraw/zinc/06/41/14/618064114.db2.gz GUBPGZWNSCMLEE-UHFFFAOYSA-N 0 0 299.286 2.632 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CC[C@H](SC)C1 ZINC000234212624 618068480 /nfs/dbraw/zinc/06/84/80/618068480.db2.gz QQICANKQXGKMCF-UWVGGRQHSA-N 0 0 284.385 2.816 20 5 CFBDRN CCc1cc(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000891244214 618078018 /nfs/dbraw/zinc/07/80/18/618078018.db2.gz DKNNIAPGGKTQCO-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N)cc1F ZINC000050212642 618094275 /nfs/dbraw/zinc/09/42/75/618094275.db2.gz DWGXARXGEGQJQJ-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1cc(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])on1 ZINC000061047859 618096274 /nfs/dbraw/zinc/09/62/74/618096274.db2.gz LJPOOZRFCPIXHJ-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC=CO2)c(F)c1 ZINC000050269641 618105718 /nfs/dbraw/zinc/10/57/18/618105718.db2.gz FLDYFLALJNAHPG-JTQLQIEISA-N 0 0 252.245 2.839 20 5 CFBDRN CCOC(CN[C@H](C)c1ccccc1[N+](=O)[O-])OCC ZINC000091948681 618107114 /nfs/dbraw/zinc/10/71/14/618107114.db2.gz NSUMIKICDWNWIP-LLVKDONJSA-N 0 0 282.340 2.645 20 5 CFBDRN C[C@@H](Nc1cc(Cl)c(F)cc1[N+](=O)[O-])[C@H](C)CO ZINC000235456602 618116912 /nfs/dbraw/zinc/11/69/12/618116912.db2.gz JSELYMPUZBCKJX-RNFRBKRXSA-N 0 0 276.695 2.816 20 5 CFBDRN O=C(NCc1cscn1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000050407829 618120269 /nfs/dbraw/zinc/12/02/69/618120269.db2.gz GEOWLWCSGJRBEN-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN CCCc1ccc(C(=O)Nc2c([N+](=O)[O-])ncn2C)s1 ZINC000891344080 618140412 /nfs/dbraw/zinc/14/04/12/618140412.db2.gz CWSAEQXSTDMSBB-UHFFFAOYSA-N 0 0 294.336 2.595 20 5 CFBDRN C[C@@H](CC(=O)Nc1c([N+](=O)[O-])ncn1C)CC(C)(C)C ZINC000891347730 618141883 /nfs/dbraw/zinc/14/18/83/618141883.db2.gz KTHIJPMBTRMUTB-VIFPVBQESA-N 0 0 282.344 2.729 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C=CCC2)c(Br)c1 ZINC000829348175 618147607 /nfs/dbraw/zinc/14/76/07/618147607.db2.gz QPZCGWUYBWCQCM-SSDOTTSWSA-N 0 0 284.113 2.883 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C=CCC2)c(Br)c1 ZINC000829348178 618147670 /nfs/dbraw/zinc/14/76/70/618147670.db2.gz QPZCGWUYBWCQCM-ZETCQYMHSA-N 0 0 284.113 2.883 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1F ZINC000050699160 618150877 /nfs/dbraw/zinc/15/08/77/618150877.db2.gz HVBKCOYUJPPCMR-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN C/C=C(/C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000156812858 618152727 /nfs/dbraw/zinc/15/27/27/618152727.db2.gz YAICZAGNPNXOHE-FWAPLPHYSA-N 0 0 260.293 2.839 20 5 CFBDRN O=[N+]([O-])c1cc(NCCn2cccn2)ccc1Cl ZINC000176790001 618167636 /nfs/dbraw/zinc/16/76/36/618167636.db2.gz MIESAOQOYNZPRK-UHFFFAOYSA-N 0 0 266.688 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC2(Cl)Cl)nc1 ZINC000309492919 618170710 /nfs/dbraw/zinc/17/07/10/618170710.db2.gz JFZXJZVZCHIOKV-ZCFIWIBFSA-N 0 0 262.096 2.596 20 5 CFBDRN CO[C@@H](C)CNc1cccc(Br)c1[N+](=O)[O-] ZINC000309497496 618170748 /nfs/dbraw/zinc/17/07/48/618170748.db2.gz ZIRBCQOJSSJTQD-ZETCQYMHSA-N 0 0 289.129 2.804 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCc2ccccc2C1 ZINC000891391234 618182803 /nfs/dbraw/zinc/18/28/03/618182803.db2.gz UDIXIXBDCVERIX-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCCCCCC1 ZINC000891443276 618206430 /nfs/dbraw/zinc/20/64/30/618206430.db2.gz WSSQATVXOIYQIU-UHFFFAOYSA-N 0 0 265.313 2.769 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@H]1CCCCC(F)(F)C1 ZINC000893945428 618249745 /nfs/dbraw/zinc/24/97/45/618249745.db2.gz IRXVLYLIOLYEDF-VIFPVBQESA-N 0 0 288.298 2.956 20 5 CFBDRN CCOC(CN[C@@H](C)c1ccccc1[N+](=O)[O-])OCC ZINC000091948680 618254200 /nfs/dbraw/zinc/25/42/00/618254200.db2.gz NSUMIKICDWNWIP-NSHDSACASA-N 0 0 282.340 2.645 20 5 CFBDRN CC(F)(F)CCNc1ccc2nonc2c1[N+](=O)[O-] ZINC000894006694 618266586 /nfs/dbraw/zinc/26/65/86/618266586.db2.gz CNJBIQBVUHEIQI-UHFFFAOYSA-N 0 0 272.211 2.588 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000219942576 618273843 /nfs/dbraw/zinc/27/38/43/618273843.db2.gz RPCFAYWQHSCINM-WCBMZHEXSA-N 0 0 298.726 2.546 20 5 CFBDRN CCc1ncc(CNc2cc([N+](=O)[O-])ccc2OC)o1 ZINC000901348710 620792097 /nfs/dbraw/zinc/79/20/97/620792097.db2.gz RVFARQJBLSGYBC-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN CSc1ccc(C(=O)N[C@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000894055305 618295278 /nfs/dbraw/zinc/29/52/78/618295278.db2.gz WJISAMCOATXAFC-ZCFIWIBFSA-N 0 0 290.291 2.700 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2Cl)CCO1 ZINC000235447145 618320839 /nfs/dbraw/zinc/32/08/39/618320839.db2.gz NKXJEKSVLXWVDW-RKDXNWHRSA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(NCCOC(F)F)c1 ZINC000901365317 620795470 /nfs/dbraw/zinc/79/54/70/620795470.db2.gz AHBVXFIVOBJGBN-UHFFFAOYSA-N 0 0 298.192 2.847 20 5 CFBDRN Cc1c(OC(=O)c2ccccn2)cccc1[N+](=O)[O-] ZINC000077959089 618329767 /nfs/dbraw/zinc/32/97/67/618329767.db2.gz RAWLEYMFVVNHGH-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000228626090 618349483 /nfs/dbraw/zinc/34/94/83/618349483.db2.gz GMACEIFBXIGHLD-MRVPVSSYSA-N 0 0 286.278 2.895 20 5 CFBDRN O=C(NCCC(F)(F)F)c1cc(F)c([N+](=O)[O-])cc1F ZINC000228625567 618356600 /nfs/dbraw/zinc/35/66/00/618356600.db2.gz XWNXWVICORRMBY-UHFFFAOYSA-N 0 0 298.167 2.555 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2C[C@@H]3[C@H](C2)C3(C)C)c1[N+](=O)[O-] ZINC000177177318 618365556 /nfs/dbraw/zinc/36/55/56/618365556.db2.gz SOODSQJJSBXZFH-TXEJJXNPSA-N 0 0 292.310 2.770 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCc1ccc(C)c(C)n1 ZINC000894156832 618403354 /nfs/dbraw/zinc/40/33/54/618403354.db2.gz STXKLUNYBBFMTD-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN C[C@@H]1C[C@H](COc2cccnc2[N+](=O)[O-])C[C@H](C)O1 ZINC000901391770 620803173 /nfs/dbraw/zinc/80/31/73/620803173.db2.gz FSKARENSBIVQQA-URLYPYJESA-N 0 0 266.297 2.572 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@H]1CCCC2(CCC2)O1 ZINC000901393108 620803753 /nfs/dbraw/zinc/80/37/53/620803753.db2.gz NHYJNDZFIJYBON-LLVKDONJSA-N 0 0 278.308 2.860 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1c2ccccc2CC[C@@H]1F ZINC000895169946 618422295 /nfs/dbraw/zinc/42/22/95/618422295.db2.gz NHNNNGBAUZZVPU-AAEUAGOBSA-N 0 0 290.298 2.766 20 5 CFBDRN C[S@@](=O)CCCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235738887 618427307 /nfs/dbraw/zinc/42/73/07/618427307.db2.gz KFQIXXCLBTVZNY-GOSISDBHSA-N 0 0 294.735 2.568 20 5 CFBDRN Cc1csc(CCNc2nc(C)ccc2[N+](=O)[O-])n1 ZINC000162622274 618430023 /nfs/dbraw/zinc/43/00/23/618430023.db2.gz GWLVYQQQHICEIY-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CC[C@](C)(O)Cc1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901395979 620804909 /nfs/dbraw/zinc/80/49/09/620804909.db2.gz OGRPLAKNAWDVFH-AWEZNQCLSA-N 0 0 276.292 2.953 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@H]1CCC[C@H]1C1CC1 ZINC000894185608 618432508 /nfs/dbraw/zinc/43/25/08/618432508.db2.gz QKKIHMQSMOIOEU-MNOVXSKESA-N 0 0 264.329 2.567 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCCCCC1 ZINC000053565579 618435138 /nfs/dbraw/zinc/43/51/38/618435138.db2.gz AIWVKLYHCHWBCG-UHFFFAOYSA-N 0 0 277.324 2.705 20 5 CFBDRN Cc1nc([C@H]2CCCN2c2ccc([N+](=O)[O-])cn2)[nH]c1C ZINC000895193909 618459161 /nfs/dbraw/zinc/45/91/61/618459161.db2.gz WFXUYDDQPZWECC-GFCCVEGCSA-N 0 0 287.323 2.671 20 5 CFBDRN COC[C@H](OC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000747165322 618460887 /nfs/dbraw/zinc/46/08/87/618460887.db2.gz QYLHWNKAZHGICO-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCc1nccs1 ZINC000726323911 618462559 /nfs/dbraw/zinc/46/25/59/618462559.db2.gz ULUPBKHZGOPSOZ-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCc1ccncc1 ZINC000726385326 618470575 /nfs/dbraw/zinc/47/05/75/618470575.db2.gz CHGQDSMMQSVAGI-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=C(NC1CCC=CCC1)c1ccc([N+](=O)[O-])s1 ZINC000895208165 618472624 /nfs/dbraw/zinc/47/26/24/618472624.db2.gz VTLFNSJDGDFIFC-UHFFFAOYSA-N 0 0 266.322 2.885 20 5 CFBDRN O=C(NC1CCC=CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000895214265 618479180 /nfs/dbraw/zinc/47/91/80/618479180.db2.gz BASLFETVIPQFBW-UHFFFAOYSA-N 0 0 278.283 2.963 20 5 CFBDRN COC/C(C)=C\COc1cc(C)ccc1[N+](=O)[O-] ZINC000901412869 620811143 /nfs/dbraw/zinc/81/11/43/620811143.db2.gz OXJDDWXAYQAXPX-WDZFZDKYSA-N 0 0 251.282 2.875 20 5 CFBDRN Cc1cc(C(=O)NC2(C3CC3)CCC2)ccc1[N+](=O)[O-] ZINC000895366930 618552434 /nfs/dbraw/zinc/55/24/34/618552434.db2.gz XPOPWDCTPWWEDV-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(N[C@@H]1CCc2cccc3cccc1c32)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000895383034 618560167 /nfs/dbraw/zinc/56/01/67/618560167.db2.gz PFJXQXZXEBVNFL-RBSFLKMASA-N 0 0 296.326 2.609 20 5 CFBDRN Cc1nc([C@H]2CCN(c3ccc([N+](=O)[O-])c(C)c3)C2)no1 ZINC000895404881 618570417 /nfs/dbraw/zinc/57/04/17/618570417.db2.gz XKAYABYFZGTLCD-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN Cc1ccc(CN2CC[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000895437581 618585621 /nfs/dbraw/zinc/58/56/21/618585621.db2.gz UQNMPPGXOZGEIG-SNVBAGLBSA-N 0 0 256.252 2.743 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)N1CCCCC1 ZINC000236153021 618607058 /nfs/dbraw/zinc/60/70/58/618607058.db2.gz MOJXFFLFLORDAB-UHFFFAOYSA-N 0 0 267.260 2.752 20 5 CFBDRN Cc1c(C(=O)OCC[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000177913568 618612881 /nfs/dbraw/zinc/61/28/81/618612881.db2.gz DVUVNSOOHZFWCK-NSHDSACASA-N 0 0 279.292 2.629 20 5 CFBDRN CN(Cc1ccc(Cl)c([N+](=O)[O-])c1)Cc1cnccn1 ZINC000178020444 618619792 /nfs/dbraw/zinc/61/97/92/618619792.db2.gz ZPVCHUNMIKCCQR-UHFFFAOYSA-N 0 0 292.726 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCO)c(C(F)F)c1 ZINC000236506032 618626456 /nfs/dbraw/zinc/62/64/56/618626456.db2.gz MOKREJQBEISNGI-UHFFFAOYSA-N 0 0 260.240 2.717 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CCC[C@H](CO)C1 ZINC000236507879 618627403 /nfs/dbraw/zinc/62/74/03/618627403.db2.gz XEMFQFSWOOMVFH-QMMMGPOBSA-N 0 0 288.706 2.596 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ccsc1 ZINC000892554699 618631731 /nfs/dbraw/zinc/63/17/31/618631731.db2.gz BFXIHRFWSNRHKY-UHFFFAOYSA-N 0 0 256.327 2.546 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000935737545 618633008 /nfs/dbraw/zinc/63/30/08/618633008.db2.gz SMOAEQMGTGMWSL-NXEZZACHSA-N 0 0 280.299 2.676 20 5 CFBDRN Cc1ccc(C[C@H](C)Nc2c([N+](=O)[O-])cnn2C)s1 ZINC000892616406 618655653 /nfs/dbraw/zinc/65/56/53/618655653.db2.gz LGSMODJGNJUSGK-QMMMGPOBSA-N 0 0 280.353 2.741 20 5 CFBDRN COC(C)(C)C(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892638496 618666750 /nfs/dbraw/zinc/66/67/50/618666750.db2.gz VODPLIQHGORPAJ-UHFFFAOYSA-N 0 0 289.291 2.507 20 5 CFBDRN O=C(Nc1nccc2ccc([N+](=O)[O-])cc21)C12CC(C1)C2 ZINC000892640749 618667688 /nfs/dbraw/zinc/66/76/88/618667688.db2.gz XVTYHWYMSXOFAK-UHFFFAOYSA-N 0 0 283.287 2.882 20 5 CFBDRN CC1(C(=O)Nc2nccc3ccc([N+](=O)[O-])cc32)CC1 ZINC000892642484 618668905 /nfs/dbraw/zinc/66/89/05/618668905.db2.gz BYYWSOBMDJYNAO-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN C/C=C\COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000255921020 618670405 /nfs/dbraw/zinc/67/04/05/618670405.db2.gz PKJVGTKYZKPGIN-IHWYPQMZSA-N 0 0 264.281 2.516 20 5 CFBDRN CCCOCC(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892652585 618675420 /nfs/dbraw/zinc/67/54/20/618675420.db2.gz FXXRHWGEBDKBOV-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])nc1 ZINC000851128686 618688613 /nfs/dbraw/zinc/68/86/13/618688613.db2.gz DCUTYSHGSPXGSE-UHFFFAOYSA-N 0 0 280.324 2.591 20 5 CFBDRN CC(C)(COC(=O)C(C1CCC1)C1CCC1)[N+](=O)[O-] ZINC000851130177 618689764 /nfs/dbraw/zinc/68/97/64/618689764.db2.gz SFTYPWVLJJFMJU-UHFFFAOYSA-N 0 0 269.341 2.801 20 5 CFBDRN CC[C@@H](C)[C@@H](C)[NH2+]Cc1ccc(O)c(OC)c1[N+](=O)[O-] ZINC000892677225 618698966 /nfs/dbraw/zinc/69/89/66/618698966.db2.gz WSNQHRUNIPGLBK-NXEZZACHSA-N 0 0 282.340 2.833 20 5 CFBDRN CCC(C)(C)CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000189995423 618716178 /nfs/dbraw/zinc/71/61/78/618716178.db2.gz LISMPUYLTCWPFT-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CCc1nc(COc2ccc(Cl)c([N+](=O)[O-])c2)no1 ZINC000311573586 618716321 /nfs/dbraw/zinc/71/63/21/618716321.db2.gz MXICFUJOVPPDDU-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H](C)SC ZINC000103336270 618719520 /nfs/dbraw/zinc/71/95/20/618719520.db2.gz AFIISTRRFIERLS-SECBINFHSA-N 0 0 299.348 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCCC1CCOCC1 ZINC000311735561 618721195 /nfs/dbraw/zinc/72/11/95/618721195.db2.gz RWRHOEQWGORSJR-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N[C@H]1CCSC1 ZINC000892704061 618721588 /nfs/dbraw/zinc/72/15/88/618721588.db2.gz OAFOPKRODBLORX-VIFPVBQESA-N 0 0 293.323 2.621 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@@H]2CO)c(F)cc1[N+](=O)[O-] ZINC000311903560 618728457 /nfs/dbraw/zinc/72/84/57/618728457.db2.gz BKEBSAIVYNRQMI-MWLCHTKSSA-N 0 0 268.288 2.615 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2CO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000784025389 618733477 /nfs/dbraw/zinc/73/34/77/618733477.db2.gz BUQAEHJSDLMGOS-GHMZBOCLSA-N 0 0 279.292 2.542 20 5 CFBDRN C[C@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C[C@H]1C ZINC000892737348 618736457 /nfs/dbraw/zinc/73/64/57/618736457.db2.gz BQVLDBXGLYYITA-DTORHVGOSA-N 0 0 265.269 2.595 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H](C)CC)ccc1[N+](=O)[O-] ZINC000892746772 618740065 /nfs/dbraw/zinc/74/00/65/618740065.db2.gz HYYAZGOBNBRARX-VIFPVBQESA-N 0 0 266.297 2.978 20 5 CFBDRN CC1CC(Nc2c3ccccc3n(C)c(=O)c2[N+](=O)[O-])C1 ZINC000892777361 618744772 /nfs/dbraw/zinc/74/47/72/618744772.db2.gz CMNQNVLXLHRIRH-UHFFFAOYSA-N 0 0 287.319 2.657 20 5 CFBDRN CO[C@@H](C)CCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000174826600 618778539 /nfs/dbraw/zinc/77/85/39/618778539.db2.gz QDVLZYNLIXODPI-BWNDKRECSA-N 0 0 279.292 2.576 20 5 CFBDRN COCC1(COC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])CC1 ZINC000892961770 618783788 /nfs/dbraw/zinc/78/37/88/618783788.db2.gz IZEPUKYXYSZYHW-UHFFFAOYSA-N 0 0 293.319 2.795 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)CC(C)(C)O)c1C ZINC000893000192 618797820 /nfs/dbraw/zinc/79/78/20/618797820.db2.gz ODQYWNKDLLDDGK-SNVBAGLBSA-N 0 0 295.335 2.918 20 5 CFBDRN COc1c(O)ccc(C[NH2+]CCCC2CC2)c1[N+](=O)[O-] ZINC000893002875 618798226 /nfs/dbraw/zinc/79/82/26/618798226.db2.gz WFATUAVHBMRJSY-UHFFFAOYSA-N 0 0 280.324 2.589 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1-c1nc(-c2ccccc2)no1 ZINC000019996714 618798654 /nfs/dbraw/zinc/79/86/54/618798654.db2.gz IRDPCPVMINGPRU-UHFFFAOYSA-N 0 0 282.259 2.894 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cscc1C(F)F ZINC000893077743 618823261 /nfs/dbraw/zinc/82/32/61/618823261.db2.gz UBNHPHLXVIIIDM-RXMQYKEDSA-N 0 0 265.237 2.508 20 5 CFBDRN CS[C@H](CNc1c([N+](=O)[O-])cnn1C)C(C)(C)C ZINC000893132796 618841835 /nfs/dbraw/zinc/84/18/35/618841835.db2.gz SVILGDGFHBFOGJ-SECBINFHSA-N 0 0 272.374 2.518 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@H]1CCO[C@@H](C)C1 ZINC000893138471 618844717 /nfs/dbraw/zinc/84/47/17/618844717.db2.gz IJIXWXHXFGFXCH-QWRGUYRKSA-N 0 0 295.339 2.616 20 5 CFBDRN Cc1cccc([C@@H]2CCN(c3c([N+](=O)[O-])cnn3C)C2)c1 ZINC000893141139 618845096 /nfs/dbraw/zinc/84/50/96/618845096.db2.gz BFUVQPUFDIBXFO-CYBMUJFWSA-N 0 0 286.335 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@H]1C[C@@H](C)CO1 ZINC000893157044 618850965 /nfs/dbraw/zinc/85/09/65/618850965.db2.gz ITHZPRRQJJHNQK-YMTOWFKASA-N 0 0 293.319 2.680 20 5 CFBDRN Cc1cc(CNc2c([N+](=O)[O-])cnn2C)ccc1Cl ZINC000893169029 618860872 /nfs/dbraw/zinc/86/08/72/618860872.db2.gz PLMALHIXLRBTKW-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853912506 618882919 /nfs/dbraw/zinc/88/29/19/618882919.db2.gz YQYBQABAJNOOFW-SFYZADRCSA-N 0 0 270.285 2.617 20 5 CFBDRN O=C(Nc1ccc(O)cc1F)c1ccc([N+](=O)[O-])cc1F ZINC000070333245 625302009 /nfs/dbraw/zinc/30/20/09/625302009.db2.gz ZPKSQOLGTBDXNE-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN C[C@H]1CN(c2ccc(C(F)F)cc2[N+](=O)[O-])CC[C@@H]1O ZINC000312261983 618914990 /nfs/dbraw/zinc/91/49/90/618914990.db2.gz OPTUZTDUJCEVJY-UFBFGSQYSA-N 0 0 286.278 2.740 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ccnnc1 ZINC000925690041 618933718 /nfs/dbraw/zinc/93/37/18/618933718.db2.gz CZXUHHWTPGHQEI-MNOVXSKESA-N 0 0 272.308 2.797 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCc2cc(C)ccc2C1 ZINC000893444669 618994902 /nfs/dbraw/zinc/99/49/02/618994902.db2.gz RVYLFXGFJUVWOO-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN COC[C@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)C(C)C ZINC000893457793 619001038 /nfs/dbraw/zinc/00/10/38/619001038.db2.gz DJBIWKGGPBEDSS-CYBMUJFWSA-N 0 0 295.335 2.599 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000909397830 619055253 /nfs/dbraw/zinc/05/52/53/619055253.db2.gz YAESKSDQOPLEJO-BJMVGYQFSA-N 0 0 293.319 2.961 20 5 CFBDRN CN(C(=O)c1cccnc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000020305751 619069302 /nfs/dbraw/zinc/06/93/02/619069302.db2.gz OCFIDQCOJTZFOK-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CC(C)[C@H](O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000304198284 619123247 /nfs/dbraw/zinc/12/32/47/619123247.db2.gz GARSMHJVPVQTKS-SNVBAGLBSA-N 0 0 259.689 2.644 20 5 CFBDRN C[C@H](C(=O)OCCOC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000893678871 619154173 /nfs/dbraw/zinc/15/41/73/619154173.db2.gz QLMDKCQWPVQNQY-VIFPVBQESA-N 0 0 297.282 2.560 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1CC[C@H]2C[C@H]21 ZINC000893680418 619155071 /nfs/dbraw/zinc/15/50/71/619155071.db2.gz QJBQGUWWHBZVBQ-QPUJVOFHSA-N 0 0 273.267 2.881 20 5 CFBDRN Cc1cnn(C)c1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000840638814 619166148 /nfs/dbraw/zinc/16/61/48/619166148.db2.gz ZYDXCBHIRCKXCG-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000726496247 619249905 /nfs/dbraw/zinc/24/99/05/619249905.db2.gz QNFOEGOPHZEKNR-LLVKDONJSA-N 0 0 296.371 2.611 20 5 CFBDRN CC[C@H](C)CC(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000791496060 619329309 /nfs/dbraw/zinc/32/93/09/619329309.db2.gz AATKFDCUDYZSEA-NSHDSACASA-N 0 0 281.308 2.953 20 5 CFBDRN O=C(OC/C=C/c1ccc(Cl)cc1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840673168 619332183 /nfs/dbraw/zinc/33/21/83/619332183.db2.gz IWIUENULDGQPOR-NUYITTKVSA-N 0 0 281.695 2.562 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2)C(=O)[C@H]1C(C)C ZINC000108459737 619360762 /nfs/dbraw/zinc/36/07/62/619360762.db2.gz ADTCEUXKZXMACA-LBPRGKRZSA-N 0 0 261.281 2.590 20 5 CFBDRN CC1(C)CO[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000894505750 619404418 /nfs/dbraw/zinc/40/44/18/619404418.db2.gz ILLSJBBFOZIAPZ-ZDUSSCGKSA-N 0 0 292.335 2.530 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCC(C)(C)F ZINC000894554176 619418028 /nfs/dbraw/zinc/41/80/28/619418028.db2.gz YVOYMSOESGCFKU-UHFFFAOYSA-N 0 0 257.265 2.549 20 5 CFBDRN CN(CC1=CCSC1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000894638260 619434088 /nfs/dbraw/zinc/43/40/88/619434088.db2.gz FSPIVPKRCLHWKT-UHFFFAOYSA-N 0 0 295.320 2.612 20 5 CFBDRN COCC1(C)CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000894840083 619479661 /nfs/dbraw/zinc/47/96/61/619479661.db2.gz JOYGRKKQYQWHRR-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN CSC[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000229356280 619506601 /nfs/dbraw/zinc/50/66/01/619506601.db2.gz UMTQXOFJIJHOSS-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN C[C@@H]1CNc2c(Nc3ccccc3[N+](=O)[O-])cnn2C1 ZINC000894954261 619518006 /nfs/dbraw/zinc/51/80/06/619518006.db2.gz KZIJWYHTDJCMAB-SECBINFHSA-N 0 0 273.296 2.597 20 5 CFBDRN Cc1ccc(N2CC(OC(C)C)C2)c([N+](=O)[O-])c1 ZINC000894966280 619518490 /nfs/dbraw/zinc/51/84/90/619518490.db2.gz CGSWQNCDAUSSIQ-UHFFFAOYSA-N 0 0 250.298 2.517 20 5 CFBDRN COC(=O)CC(C)(C)CNc1ccsc1[N+](=O)[O-] ZINC000895507013 619542745 /nfs/dbraw/zinc/54/27/45/619542745.db2.gz XDYWMIMISHYJHD-UHFFFAOYSA-N 0 0 272.326 2.658 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1Nc1ccnc(F)c1[N+](=O)[O-] ZINC000895536525 619549726 /nfs/dbraw/zinc/54/97/26/619549726.db2.gz GCRZPVYEUFPTPO-BDNRQGISSA-N 0 0 251.261 2.585 20 5 CFBDRN Cc1ccnc(NCc2cc(C(F)F)on2)c1[N+](=O)[O-] ZINC000895607120 619567115 /nfs/dbraw/zinc/56/71/15/619567115.db2.gz GLFROOAUMZKHBN-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN Cn1ccc(C2CCN(c3sccc3[N+](=O)[O-])CC2)n1 ZINC000895643167 619575408 /nfs/dbraw/zinc/57/54/08/619575408.db2.gz GREQEJGQLCYAGR-UHFFFAOYSA-N 0 0 292.364 2.774 20 5 CFBDRN CC(C)(C)OC[C@H]1CCCN1c1cccnc1[N+](=O)[O-] ZINC000895655501 619577006 /nfs/dbraw/zinc/57/70/06/619577006.db2.gz SQDOMNNXOAZYBR-LLVKDONJSA-N 0 0 279.340 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCSCC2)c1C ZINC000895747688 619595549 /nfs/dbraw/zinc/59/55/49/619595549.db2.gz IPLFCLGSLHQXBF-UHFFFAOYSA-N 0 0 294.376 2.791 20 5 CFBDRN CO[C@@]1(CNc2sccc2[N+](=O)[O-])CCSC1 ZINC000895751592 619599305 /nfs/dbraw/zinc/59/93/05/619599305.db2.gz UGZYJENTIXSUSE-SNVBAGLBSA-N 0 0 274.367 2.590 20 5 CFBDRN Cc1cc(N[C@H](c2ncccn2)C2CC2)ncc1[N+](=O)[O-] ZINC000895777343 619604336 /nfs/dbraw/zinc/60/43/36/619604336.db2.gz JQGMWQLKEGTSQC-ZDUSSCGKSA-N 0 0 285.307 2.651 20 5 CFBDRN C[C@H]1COC[C@H]1Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000895904649 619630982 /nfs/dbraw/zinc/63/09/82/619630982.db2.gz GDBJCWXYZGHWDY-IONNQARKSA-N 0 0 288.250 2.643 20 5 CFBDRN CCc1nn(C)c(NCc2ncc(C)cc2C)c1[N+](=O)[O-] ZINC000896100899 619670765 /nfs/dbraw/zinc/67/07/65/619670765.db2.gz PNZNAGXPDQHFFH-UHFFFAOYSA-N 0 0 289.339 2.515 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCCC2CC=CC2)cc1F ZINC000896094471 619672620 /nfs/dbraw/zinc/67/26/20/619672620.db2.gz NNDRITYZRBORMN-UHFFFAOYSA-N 0 0 280.299 2.994 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCC3(CCC3)O2)s1 ZINC000896171477 619686093 /nfs/dbraw/zinc/68/60/93/619686093.db2.gz ZTQMJVXLICHKGR-VIFPVBQESA-N 0 0 283.353 2.955 20 5 CFBDRN CCC[C@@H](O)CCNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000896181225 619689513 /nfs/dbraw/zinc/68/95/13/619689513.db2.gz CKKLJYKHPDJSSB-LLVKDONJSA-N 0 0 280.324 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(C)CCCCO2)n1 ZINC000896185103 619689546 /nfs/dbraw/zinc/68/95/46/619689546.db2.gz SKEJSLXPHYVJOC-ZDUSSCGKSA-N 0 0 265.313 2.669 20 5 CFBDRN Cc1cnc(NC[C@@]2(C)CCCCO2)c([N+](=O)[O-])c1 ZINC000896184677 619689763 /nfs/dbraw/zinc/68/97/63/619689763.db2.gz OPMIZVXXQZMVBZ-CYBMUJFWSA-N 0 0 265.313 2.669 20 5 CFBDRN COc1cc(NC[C@]2(C)CCCCO2)ccc1[N+](=O)[O-] ZINC000896185310 619690199 /nfs/dbraw/zinc/69/01/99/619690199.db2.gz XWFLVAZJMRZMSC-AWEZNQCLSA-N 0 0 280.324 2.975 20 5 CFBDRN CCC[C@H](O)CCNc1ccc([N+](=O)[O-])cc1COC ZINC000896187383 619690568 /nfs/dbraw/zinc/69/05/68/619690568.db2.gz OMPHILVHXIAADF-ZDUSSCGKSA-N 0 0 282.340 2.704 20 5 CFBDRN CSCC1CCN(c2cccnc2[N+](=O)[O-])CC1 ZINC000896210540 619694619 /nfs/dbraw/zinc/69/46/19/619694619.db2.gz MBIGCHLVYSOROX-UHFFFAOYSA-N 0 0 267.354 2.569 20 5 CFBDRN CSCC1CCN(c2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000896211406 619694650 /nfs/dbraw/zinc/69/46/50/619694650.db2.gz UBHOQGLULPXVFH-UHFFFAOYSA-N 0 0 281.381 2.878 20 5 CFBDRN Cc1cnc(N[C@@H]2CCS[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000896244266 619699368 /nfs/dbraw/zinc/69/93/68/619699368.db2.gz HAFJNZDDVBNYGH-VHSXEESVSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1cc(N2CCCC(=O)[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000896340056 619719850 /nfs/dbraw/zinc/71/98/50/619719850.db2.gz WZOXJJKBHMEGNZ-SECBINFHSA-N 0 0 266.272 2.600 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC2(C)C)c1C ZINC000896328855 619720357 /nfs/dbraw/zinc/72/03/57/619720357.db2.gz UJOHFQHTVQZUOT-LLVKDONJSA-N 0 0 276.336 2.988 20 5 CFBDRN CCO[C@H]1CCN(c2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000896404121 619730371 /nfs/dbraw/zinc/73/03/71/619730371.db2.gz GBOULRVFHMXLMY-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCO[C@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000896406893 619730685 /nfs/dbraw/zinc/73/06/85/619730685.db2.gz LHNBIRPIPHPMRO-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cc(N[C@H](C2CC2)[C@@H]2CCCOC2)ncc1[N+](=O)[O-] ZINC000896437101 619738709 /nfs/dbraw/zinc/73/87/09/619738709.db2.gz LHIIOMJIIBAMGV-IUODEOHRSA-N 0 0 291.351 2.915 20 5 CFBDRN CCOCCOCCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000896485300 619743378 /nfs/dbraw/zinc/74/33/78/619743378.db2.gz ZAMVALIDPJSBJT-UHFFFAOYSA-N 0 0 286.303 2.507 20 5 CFBDRN Cc1cc(NCc2cccc3c2OCC3)ncc1[N+](=O)[O-] ZINC000896491058 619745085 /nfs/dbraw/zinc/74/50/85/619745085.db2.gz YRLFKWFNWKRFNZ-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN Cc1ccnc(NCc2cc(C3CC3)nn2C)c1[N+](=O)[O-] ZINC000896512341 619747570 /nfs/dbraw/zinc/74/75/70/619747570.db2.gz OBNBDERXGZNEFQ-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN COC[C@H](CNc1ccc([N+](=O)[O-])s1)C(C)C ZINC000896502028 619751165 /nfs/dbraw/zinc/75/11/65/619751165.db2.gz FBMRFBMLCOMTHN-VIFPVBQESA-N 0 0 258.343 2.987 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@]12C[C@H]1CCC2 ZINC000896546375 619759262 /nfs/dbraw/zinc/75/92/62/619759262.db2.gz YNOLOVBHCZRQNE-PELKAZGASA-N 0 0 251.261 2.731 20 5 CFBDRN O=c1[nH]ccc(NCC[C@H]2CCC3(CCC3)O2)c1[N+](=O)[O-] ZINC000896552552 619761203 /nfs/dbraw/zinc/76/12/03/619761203.db2.gz DICALRCXJXIJHR-SNVBAGLBSA-N 0 0 293.323 2.599 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H](C2CCOCC2)C1 ZINC000896588089 619769284 /nfs/dbraw/zinc/76/92/84/619769284.db2.gz LQOHJHCSXRGFJA-ZDUSSCGKSA-N 0 0 291.351 2.633 20 5 CFBDRN CCn1cnc2c1CCN(c1cccc(C)c1[N+](=O)[O-])C2 ZINC000896654532 619783829 /nfs/dbraw/zinc/78/38/29/619783829.db2.gz NNSAEVHGTKSBGQ-UHFFFAOYSA-N 0 0 286.335 2.682 20 5 CFBDRN CCc1onc(C)c1CNc1c([N+](=O)[O-])nc(C)n1CC ZINC000896666511 619787597 /nfs/dbraw/zinc/78/75/97/619787597.db2.gz YRBFECJSBWYMIL-UHFFFAOYSA-N 0 0 293.327 2.591 20 5 CFBDRN CC(C)(CNc1cccnc1[N+](=O)[O-])C1CCOCC1 ZINC000896786011 619815194 /nfs/dbraw/zinc/81/51/94/619815194.db2.gz PEIWJJPKLSDVDJ-UHFFFAOYSA-N 0 0 279.340 2.855 20 5 CFBDRN Cc1nnc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])nc1C ZINC000896794115 619820023 /nfs/dbraw/zinc/82/00/23/619820023.db2.gz QSSJWMHZAXXTNK-SECBINFHSA-N 0 0 287.323 2.612 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2CC[C@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000896802104 619822264 /nfs/dbraw/zinc/82/22/64/619822264.db2.gz AMHFIIWMVPRWLH-JOYOIKCWSA-N 0 0 295.314 2.686 20 5 CFBDRN CO[C@H](C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000795831580 619832283 /nfs/dbraw/zinc/83/22/83/619832283.db2.gz GBSLFTQOCHXOLB-LBPRGKRZSA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000108865805 619866900 /nfs/dbraw/zinc/86/69/00/619866900.db2.gz NQAGJYODTBWKFK-UWVGGRQHSA-N 0 0 295.314 2.642 20 5 CFBDRN CCC[C@@](C)(CC)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000796329532 619874801 /nfs/dbraw/zinc/87/48/01/619874801.db2.gz XYSZCXWOQFTKEE-CYBMUJFWSA-N 0 0 283.328 2.551 20 5 CFBDRN CC(C)(C)OC(=O)NCCSc1cccnc1[N+](=O)[O-] ZINC000896955825 619904573 /nfs/dbraw/zinc/90/45/73/619904573.db2.gz NBUPZPBXLPWNBD-UHFFFAOYSA-N 0 0 299.352 2.607 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCOC23CCCCC3)s1 ZINC000896991023 619909073 /nfs/dbraw/zinc/90/90/73/619909073.db2.gz WCDFRUNLZQCVQV-SECBINFHSA-N 0 0 283.353 2.955 20 5 CFBDRN Cc1cc(NCc2cnn(CCF)c2)c([N+](=O)[O-])s1 ZINC000896992637 619910036 /nfs/dbraw/zinc/91/00/36/619910036.db2.gz ACOQHYIGVIBZLE-UHFFFAOYSA-N 0 0 284.316 2.743 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC1CC2(C1)CO[C@@H](C)C2 ZINC000897052022 619920792 /nfs/dbraw/zinc/92/07/92/619920792.db2.gz KGWYEZRKIGRFRM-IFQILLTASA-N 0 0 277.324 2.668 20 5 CFBDRN CC1(C)C[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])CCS1 ZINC000897063672 619923799 /nfs/dbraw/zinc/92/37/99/619923799.db2.gz PBMLQPRKAKHTDU-QMMMGPOBSA-N 0 0 283.353 2.782 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c1[N+](=O)[O-] ZINC000897076112 619923963 /nfs/dbraw/zinc/92/39/63/619923963.db2.gz RVCKXLYURWJWTE-XJBCQGNTSA-N 0 0 290.319 2.581 20 5 CFBDRN C[C@@H](CNC(=O)N1CC[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000897067374 619924620 /nfs/dbraw/zinc/92/46/20/619924620.db2.gz IZIQMBQLRNFVCR-RYUDHWBXSA-N 0 0 291.351 2.750 20 5 CFBDRN C[C@@H](CNC(=O)N1CCC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000897068234 619925074 /nfs/dbraw/zinc/92/50/74/619925074.db2.gz RPDLODJDESETDJ-NSHDSACASA-N 0 0 291.351 2.892 20 5 CFBDRN CCOc1cc(N(C)[C@@H]2CC[C@H]2OC)ccc1[N+](=O)[O-] ZINC000897147156 619941216 /nfs/dbraw/zinc/94/12/16/619941216.db2.gz HFPULCKDBSGRLD-DGCLKSJQSA-N 0 0 280.324 2.607 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)Cc1cccnc1 ZINC000897196740 619947664 /nfs/dbraw/zinc/94/76/64/619947664.db2.gz YVCJVEZVMPKOJS-SNVBAGLBSA-N 0 0 289.339 2.558 20 5 CFBDRN Cc1cc(NC2CC(CCO)C2)c(F)cc1[N+](=O)[O-] ZINC000897233263 619955837 /nfs/dbraw/zinc/95/58/37/619955837.db2.gz JSELUOXDGOJDSL-UHFFFAOYSA-N 0 0 268.288 2.615 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC[C@@H]1CCC(F)(F)C1 ZINC000897236737 619956436 /nfs/dbraw/zinc/95/64/36/619956436.db2.gz SDAFPACCOPGDCP-QMMMGPOBSA-N 0 0 274.271 2.566 20 5 CFBDRN CC1(C)CCC[C@H](CNc2ncc([N+](=O)[O-])cc2F)O1 ZINC000897253750 619960164 /nfs/dbraw/zinc/96/01/64/619960164.db2.gz GWWYEJGCMWOBHV-SNVBAGLBSA-N 0 0 283.303 2.889 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCCC(C)(C)O1 ZINC000897254521 619960245 /nfs/dbraw/zinc/96/02/45/619960245.db2.gz QSGQVGDMKBPEJO-NSHDSACASA-N 0 0 296.371 2.879 20 5 CFBDRN COC[C@@H](C)OC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000866922983 619975094 /nfs/dbraw/zinc/97/50/94/619975094.db2.gz LARSXTZMMAVIIB-MNOVXSKESA-N 0 0 296.323 2.725 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCOC23CCCC3)s1 ZINC000897323109 619993214 /nfs/dbraw/zinc/99/32/14/619993214.db2.gz XOYHLHDEASNKOM-QMMMGPOBSA-N 0 0 269.326 2.565 20 5 CFBDRN CC[C@H]1c2ccccc2CN1c1c([N+](=O)[O-])cnn1C ZINC000897354915 620019959 /nfs/dbraw/zinc/01/99/59/620019959.db2.gz AVTSMKIEPCZHGB-LBPRGKRZSA-N 0 0 272.308 2.800 20 5 CFBDRN COC[C@H](C)OC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000866933990 620040870 /nfs/dbraw/zinc/04/08/70/620040870.db2.gz ZYUACIWTTIJKLU-JTQLQIEISA-N 0 0 296.323 2.591 20 5 CFBDRN C[C@@H](O)CCCOc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871694230 620053976 /nfs/dbraw/zinc/05/39/76/620053976.db2.gz IAZHYMHOFTVLIU-SSDOTTSWSA-N 0 0 277.679 2.927 20 5 CFBDRN COC/C=C/c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000866942033 620089298 /nfs/dbraw/zinc/08/92/98/620089298.db2.gz NXCRUXHUILVWLV-QPJJXVBHSA-N 0 0 289.291 2.537 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000928940174 620090519 /nfs/dbraw/zinc/09/05/19/620090519.db2.gz JSCTVZVHJWYDGA-BQYQJAHWSA-N 0 0 297.742 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](c2ccncc2)C2CC2)nc1 ZINC000897424123 620117236 /nfs/dbraw/zinc/11/72/36/620117236.db2.gz XNPKELAYWMYEDM-AWEZNQCLSA-N 0 0 270.292 2.948 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)cc1[N+](=O)[O-] ZINC000889580770 620140716 /nfs/dbraw/zinc/14/07/16/620140716.db2.gz MFLJHLCZTNWNSA-ZMLRMANQSA-N 0 0 290.319 2.522 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000889580769 620140781 /nfs/dbraw/zinc/14/07/81/620140781.db2.gz MFLJHLCZTNWNSA-YUSALJHKSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cc(N[C@@H]2COC[C@@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000897450981 620173947 /nfs/dbraw/zinc/17/39/47/620173947.db2.gz LWLAQKHQKVJLOW-ZWNOBZJWSA-N 0 0 280.299 2.879 20 5 CFBDRN CC(=O)c1cc(N[C@H]2COC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897452467 620174284 /nfs/dbraw/zinc/17/42/84/620174284.db2.gz VOUPCXLQCVMDOO-KBPBESRZSA-N 0 0 290.319 2.634 20 5 CFBDRN COc1cc(N[C@H]2COC[C@@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000897446346 620174973 /nfs/dbraw/zinc/17/49/73/620174973.db2.gz FLTQGLUWXNZZOB-SKDRFNHKSA-N 0 0 296.298 2.579 20 5 CFBDRN COc1cc(N[C@@H]2COC[C@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000897446345 620175022 /nfs/dbraw/zinc/17/50/22/620175022.db2.gz FLTQGLUWXNZZOB-JOYOIKCWSA-N 0 0 296.298 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H]1COC[C@@H]1C1CC1 ZINC000897449583 620175845 /nfs/dbraw/zinc/17/58/45/620175845.db2.gz AQICOSJSENFUPJ-CJNGLKHVSA-N 0 0 299.330 2.980 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)c1 ZINC000889591094 620183018 /nfs/dbraw/zinc/18/30/18/620183018.db2.gz OEUPKTXYNUUZBS-XHVZSJERSA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000889591734 620185094 /nfs/dbraw/zinc/18/50/94/620185094.db2.gz VWUMAMGJJNHDAM-ZDSQKVDBSA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)(C)c1ccc(OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000841002127 620186169 /nfs/dbraw/zinc/18/61/69/620186169.db2.gz SYQBIPVJQABFRD-VXGBXAGGSA-N 0 0 263.293 2.555 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1ccc(F)cc1[N+](=O)[O-])C2 ZINC000897496257 620190595 /nfs/dbraw/zinc/19/05/95/620190595.db2.gz FYLVJHCOLDQKAE-RNCFNFMXSA-N 0 0 282.340 2.923 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOC2CCSCC2)n1 ZINC000897513641 620200188 /nfs/dbraw/zinc/20/01/88/620200188.db2.gz FEFBJIAEDWUADI-UHFFFAOYSA-N 0 0 297.380 2.622 20 5 CFBDRN Cc1cc(NCCOC2CCSCC2)ncc1[N+](=O)[O-] ZINC000897514598 620200477 /nfs/dbraw/zinc/20/04/77/620200477.db2.gz UODVJOWRPXAMJO-UHFFFAOYSA-N 0 0 297.380 2.622 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCOC1CCSCC1 ZINC000897514880 620200738 /nfs/dbraw/zinc/20/07/38/620200738.db2.gz BJZJDDPULXDYDI-UHFFFAOYSA-N 0 0 282.365 2.919 20 5 CFBDRN Cc1noc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000067649712 620215091 /nfs/dbraw/zinc/21/50/91/620215091.db2.gz FBKPHCKXAYQSMP-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(O)c2)c([N+](=O)[O-])c1 ZINC000054125044 620225367 /nfs/dbraw/zinc/22/53/67/620225367.db2.gz AQDPDDYHLKZOSK-UHFFFAOYSA-N 0 0 289.247 2.821 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000929133103 620246400 /nfs/dbraw/zinc/24/64/00/620246400.db2.gz KDUAGXHQPZOLGQ-FZMZJTMJSA-N 0 0 292.335 2.985 20 5 CFBDRN CC(C)[C@@H]1C[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000929133104 620246430 /nfs/dbraw/zinc/24/64/30/620246430.db2.gz KDUAGXHQPZOLGQ-RISCZKNCSA-N 0 0 292.335 2.985 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@H]([C@H]3CCOC3)C2)s1 ZINC000897567329 620248736 /nfs/dbraw/zinc/24/87/36/620248736.db2.gz JDTYXYVABDJSKA-QWRGUYRKSA-N 0 0 297.380 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NOCCC2CC2)c1C ZINC000897841541 620292283 /nfs/dbraw/zinc/29/22/83/620292283.db2.gz QYMIFNHLEXUZPA-UHFFFAOYSA-N 0 0 278.308 2.673 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC2(C)C)c1C ZINC000897971907 620306413 /nfs/dbraw/zinc/30/64/13/620306413.db2.gz FGIAPZPAEPFZOZ-NSHDSACASA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1csc(-c2cccc(OCC[N+](=O)[O-])c2)n1 ZINC000899230488 620533299 /nfs/dbraw/zinc/53/32/99/620533299.db2.gz IIKWOOGKIKCBLB-UHFFFAOYSA-N 0 0 264.306 2.774 20 5 CFBDRN O=[N+]([O-])CCOc1ccc(SC(F)(F)F)cc1 ZINC000899231432 620533913 /nfs/dbraw/zinc/53/39/13/620533913.db2.gz DCNRILGFFYUWJV-UHFFFAOYSA-N 0 0 267.228 2.954 20 5 CFBDRN CNc1ccc(C(=O)NC2CCC=CCC2)cc1[N+](=O)[O-] ZINC000899806930 620601610 /nfs/dbraw/zinc/60/16/10/620601610.db2.gz SBNUYPHXUCEVDU-UHFFFAOYSA-N 0 0 289.335 2.865 20 5 CFBDRN CCC/C=C\[C@H](O)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000899911326 620612290 /nfs/dbraw/zinc/61/22/90/620612290.db2.gz PIFKGFGJEYNTOS-SZZPACECSA-N 0 0 278.308 2.559 20 5 CFBDRN CCC/C=C\[C@@H](O)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000899911323 620612370 /nfs/dbraw/zinc/61/23/70/620612370.db2.gz PIFKGFGJEYNTOS-CFHLNLSMSA-N 0 0 278.308 2.559 20 5 CFBDRN CC(C)C[C@](C)(C[NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000900051645 620629691 /nfs/dbraw/zinc/62/96/91/620629691.db2.gz FKTIVFAERDILIO-OAHLLOKOSA-N 0 0 294.351 2.821 20 5 CFBDRN CCn1cc(CSc2ccc([N+](=O)[O-])cc2F)nn1 ZINC000901535715 620849154 /nfs/dbraw/zinc/84/91/54/620849154.db2.gz QNYBCIQAEHQRJL-UHFFFAOYSA-N 0 0 282.300 2.638 20 5 CFBDRN COC/C(C)=C\COc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000901573556 620867175 /nfs/dbraw/zinc/86/71/75/620867175.db2.gz BQMIMGBUGCLHSG-UITAMQMPSA-N 0 0 266.297 2.578 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H](C)[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000901580237 620868550 /nfs/dbraw/zinc/86/85/50/620868550.db2.gz SSNSYUWXMVEFBM-AAEUAGOBSA-N 0 0 293.319 2.932 20 5 CFBDRN CC(=O)OCCOc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000901655241 620883799 /nfs/dbraw/zinc/88/37/99/620883799.db2.gz GSPTYMBKBXAWMI-UHFFFAOYSA-N 0 0 293.197 2.556 20 5 CFBDRN Cc1ccc(CSCCn2ccc([N+](=O)[O-])n2)o1 ZINC000901686914 620894702 /nfs/dbraw/zinc/89/47/02/620894702.db2.gz XVSZNHAZZDOZMZ-UHFFFAOYSA-N 0 0 267.310 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSCCO[C@@H]2CCOC2)cc1 ZINC000901837157 620925470 /nfs/dbraw/zinc/92/54/70/620925470.db2.gz QDNNUWAFHNKJOM-CQSZACIVSA-N 0 0 297.376 2.676 20 5 CFBDRN CC(C)c1cnc(CSCc2cn(C)nc2[N+](=O)[O-])o1 ZINC000901867608 620929298 /nfs/dbraw/zinc/92/92/98/620929298.db2.gz RMQAIMLPURWVOY-UHFFFAOYSA-N 0 0 296.352 2.873 20 5 CFBDRN COCC(COC)SCCc1ccc([N+](=O)[O-])cc1 ZINC000901855013 620931363 /nfs/dbraw/zinc/93/13/63/620931363.db2.gz LXRGHLIBTDCKRS-UHFFFAOYSA-N 0 0 285.365 2.532 20 5 CFBDRN Cc1c(NC[C@H]2C[C@]23CCOC3)cccc1[N+](=O)[O-] ZINC000901929668 620943891 /nfs/dbraw/zinc/94/38/91/620943891.db2.gz PVRFJFQILCNQBM-RISCZKNCSA-N 0 0 262.309 2.742 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC[C@H]1C[C@@]12CCOC2 ZINC000902049195 620963864 /nfs/dbraw/zinc/96/38/64/620963864.db2.gz OLNQTFZPDHJUMA-QMTHXVAHSA-N 0 0 280.299 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@H]1C[C@]12CCOC2 ZINC000902122128 620982901 /nfs/dbraw/zinc/98/29/01/620982901.db2.gz CHYIFOJMCLVOEC-RNCFNFMXSA-N 0 0 267.256 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2C[C@@]23CCOC3)cc1F ZINC000902227586 621002140 /nfs/dbraw/zinc/00/21/40/621002140.db2.gz SYPVNYIPXQBLRB-NOZJJQNGSA-N 0 0 267.256 2.539 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2C)c(=O)n(C)c1 ZINC000902259370 621008010 /nfs/dbraw/zinc/00/80/10/621008010.db2.gz IMBRHPYEACACPY-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN Cn1cc(CSCc2cccc(F)c2)c([N+](=O)[O-])n1 ZINC000902270900 621019334 /nfs/dbraw/zinc/01/93/34/621019334.db2.gz RRFRUTKIQRLXMM-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN CCn1cnc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000902302343 621026884 /nfs/dbraw/zinc/02/68/84/621026884.db2.gz SJHUQIQTUBJOOZ-GFCCVEGCSA-N 0 0 288.351 2.970 20 5 CFBDRN Cc1cccc(OC[C@@H]2C[C@@]23CCOC3)c1[N+](=O)[O-] ZINC000902309412 621028990 /nfs/dbraw/zinc/02/89/90/621028990.db2.gz MLXIIXKUHMPOHR-SMDDNHRTSA-N 0 0 263.293 2.709 20 5 CFBDRN CC(=O)NCCCCCOc1cccc(C)c1[N+](=O)[O-] ZINC000902309617 621029460 /nfs/dbraw/zinc/02/94/60/621029460.db2.gz ROSSNHNBCSPYES-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN CC(=O)NCCCCCOc1ccc([N+](=O)[O-])cc1C ZINC000902309570 621029491 /nfs/dbraw/zinc/02/94/91/621029491.db2.gz QDSLHXREZKTAJF-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN CC(C)(O)c1ccc(OCc2cccnc2[N+](=O)[O-])cc1 ZINC000902317353 621031433 /nfs/dbraw/zinc/03/14/33/621031433.db2.gz NHHWTOYRQJHSOV-UHFFFAOYSA-N 0 0 288.303 2.796 20 5 CFBDRN Cc1cn(C[C@@H](C)COCc2ccccc2)nc1[N+](=O)[O-] ZINC000902373925 621045889 /nfs/dbraw/zinc/04/58/89/621045889.db2.gz VQKJLSFBABHZIK-GFCCVEGCSA-N 0 0 289.335 2.953 20 5 CFBDRN Cc1noc(CSC[C@H]2C[C@]23CCOC3)c1[N+](=O)[O-] ZINC000902543299 621114721 /nfs/dbraw/zinc/11/47/21/621114721.db2.gz SEBOFWLZJMQKSA-SKDRFNHKSA-N 0 0 284.337 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)[C@H]1CCCO1 ZINC000902680017 621147283 /nfs/dbraw/zinc/14/72/83/621147283.db2.gz GGZLRXLFEHVAJG-CMPLNLGQSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@H]1C[C@@H](COc2c(F)cc(F)cc2[N+](=O)[O-])C1 ZINC000902697371 621149048 /nfs/dbraw/zinc/14/90/48/621149048.db2.gz WIGUGSFZWFOVKX-OTSSQURYSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCC[C@H]1CCCO1 ZINC000902697380 621149249 /nfs/dbraw/zinc/14/92/49/621149249.db2.gz WOVJZFHVIXGYJS-SECBINFHSA-N 0 0 273.235 2.821 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1OC1CCCC1)OCCO2 ZINC000902902724 621204779 /nfs/dbraw/zinc/20/47/79/621204779.db2.gz UXWXCGFPBFLUBU-UHFFFAOYSA-N 0 0 265.265 2.687 20 5 CFBDRN C[C@H](c1nc(-c2ccc([N+](=O)[O-])cc2)no1)[C@@H]1CCCO1 ZINC000903269633 621307677 /nfs/dbraw/zinc/30/76/77/621307677.db2.gz AGGNFYNIUQXEPE-CABZTGNLSA-N 0 0 289.291 2.927 20 5 CFBDRN C[C@H](c1nc(-c2ccc([N+](=O)[O-])cc2)no1)[C@H]1CCCO1 ZINC000903269634 621307760 /nfs/dbraw/zinc/30/77/60/621307760.db2.gz AGGNFYNIUQXEPE-JOYOIKCWSA-N 0 0 289.291 2.927 20 5 CFBDRN CCC[C@H](NCc1cn(C)cn1)c1cccc([N+](=O)[O-])c1 ZINC000903353140 621344246 /nfs/dbraw/zinc/34/42/46/621344246.db2.gz ZKPIJUIWLMMGEN-HNNXBMFYSA-N 0 0 288.351 2.959 20 5 CFBDRN C[C@H]([NH2+]C/C=C\Cl)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903445853 621384038 /nfs/dbraw/zinc/38/40/38/621384038.db2.gz WJANDSIPRDHCMY-YAPUSRSVSA-N 0 0 256.689 2.704 20 5 CFBDRN CC[C@@H](C[NH2+][C@H](C)CCc1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000903709367 621418569 /nfs/dbraw/zinc/41/85/69/621418569.db2.gz MYDIMIMTIXZPLA-NEPJUHHUSA-N 0 0 294.351 2.616 20 5 CFBDRN CC[C@H](C[NH2+][C@@H](C)CCc1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000903709369 621418597 /nfs/dbraw/zinc/41/85/97/621418597.db2.gz MYDIMIMTIXZPLA-NWDGAFQWSA-N 0 0 294.351 2.616 20 5 CFBDRN C[C@@H](c1noc(-c2ccccc2[N+](=O)[O-])n1)[C@H]1CCCO1 ZINC000903809893 621446341 /nfs/dbraw/zinc/44/63/41/621446341.db2.gz WAQMRYPFPMYZIP-BXKDBHETSA-N 0 0 289.291 2.927 20 5 CFBDRN CC(C)CCC[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000904038353 621509781 /nfs/dbraw/zinc/50/97/81/621509781.db2.gz JVAYBCVLWDUGNU-AWEZNQCLSA-N 0 0 294.351 2.964 20 5 CFBDRN O=C([O-])C[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000904041399 621510331 /nfs/dbraw/zinc/51/03/31/621510331.db2.gz QYRVUVSKRFJNQW-CQSZACIVSA-N 0 0 292.335 2.718 20 5 CFBDRN Cc1cc(NC(=O)[C@@H](C)[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000904188024 621542926 /nfs/dbraw/zinc/54/29/26/621542926.db2.gz PXRMKQKBQRFCBR-GWCFXTLKSA-N 0 0 278.308 2.657 20 5 CFBDRN O=c1ccc(-c2ccc(F)cc2[N+](=O)[O-])cn1CC1CC1 ZINC000904798970 621650078 /nfs/dbraw/zinc/65/00/78/621650078.db2.gz GOEOKVMDCKRSIT-UHFFFAOYSA-N 0 0 288.278 2.973 20 5 CFBDRN CC(C)CS(=O)(=O)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000905244820 621726494 /nfs/dbraw/zinc/72/64/94/621726494.db2.gz KPYLXVKAIBIMLX-UHFFFAOYSA-N 0 0 291.756 2.819 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@@]23CCOC3)c1 ZINC000905235687 621731588 /nfs/dbraw/zinc/73/15/88/621731588.db2.gz SCDOXFQIDLWXLS-SMDDNHRTSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H]2C[C@@]23CCOC3)c1 ZINC000905235684 621731707 /nfs/dbraw/zinc/73/17/07/621731707.db2.gz SCDOXFQIDLWXLS-BXUZGUMPSA-N 0 0 263.293 2.709 20 5 CFBDRN CCCCOc1ccc(NCC[N+](=O)[O-])c(C)c1 ZINC000905312019 621738996 /nfs/dbraw/zinc/73/89/96/621738996.db2.gz CEOFSIADFIEIQY-UHFFFAOYSA-N 0 0 252.314 2.863 20 5 CFBDRN CC(C)Cn1ccc2cc(NCC[N+](=O)[O-])ccc21 ZINC000905314836 621739492 /nfs/dbraw/zinc/73/94/92/621739492.db2.gz SNZRIGVNGCYHDH-UHFFFAOYSA-N 0 0 261.325 2.986 20 5 CFBDRN COc1cc(NCC[N+](=O)[O-])cc(OC)c1C(C)C ZINC000905327835 621744299 /nfs/dbraw/zinc/74/42/99/621744299.db2.gz DOCHHLNIGDNIFT-UHFFFAOYSA-N 0 0 268.313 2.516 20 5 CFBDRN CCOC(=O)c1cc2cc(NCC[N+](=O)[O-])ccc2s1 ZINC000905304195 621745820 /nfs/dbraw/zinc/74/58/20/621745820.db2.gz NPNHTCLQDUXINS-UHFFFAOYSA-N 0 0 294.332 2.767 20 5 CFBDRN CC(C)(C)c1nc2cc(NCC[N+](=O)[O-])ccc2o1 ZINC000905305408 621746684 /nfs/dbraw/zinc/74/66/84/621746684.db2.gz VRZVXYHTZLKCNJ-UHFFFAOYSA-N 0 0 263.297 2.814 20 5 CFBDRN CCC[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])c(Cl)c1)C(=O)[O-] ZINC000905786751 621871910 /nfs/dbraw/zinc/87/19/10/621871910.db2.gz MODIKUYMVJTPLC-SNVBAGLBSA-N 0 0 286.715 2.591 20 5 CFBDRN CC[C@](O)(COc1cccc(C)c1[N+](=O)[O-])C(F)(F)F ZINC000905945456 621909280 /nfs/dbraw/zinc/90/92/80/621909280.db2.gz ZYEBGMXMIUAARS-NSHDSACASA-N 0 0 293.241 2.985 20 5 CFBDRN C[C@](O)(CSCc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000905948073 621910210 /nfs/dbraw/zinc/91/02/10/621910210.db2.gz VZKQQIDYDOTOJA-NSHDSACASA-N 0 0 277.292 2.844 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)S(=O)(=O)C/C=C/Cl ZINC000906056493 621923397 /nfs/dbraw/zinc/92/33/97/621923397.db2.gz YXFRHYNYYGYWJN-SWTNXBIASA-N 0 0 289.740 2.823 20 5 CFBDRN Cc1ncc(-c2ccc([C@H]3CCC(=O)N3)cc2)cc1[N+](=O)[O-] ZINC000906208324 621952905 /nfs/dbraw/zinc/95/29/05/621952905.db2.gz ZGGUECDMNUFTBF-CQSZACIVSA-N 0 0 297.314 2.916 20 5 CFBDRN CC(=O)c1cc(F)ccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000906212697 621954515 /nfs/dbraw/zinc/95/45/15/621954515.db2.gz VJDZJEJODIIRMC-UHFFFAOYSA-N 0 0 296.298 2.802 20 5 CFBDRN Cc1ncsc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000043287010 622017685 /nfs/dbraw/zinc/01/76/85/622017685.db2.gz FMLGZICPMZBJLA-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC(C)(CCC(=O)N1CCc2c1c(F)ccc2F)[N+](=O)[O-] ZINC000832862357 625535470 /nfs/dbraw/zinc/53/54/70/625535470.db2.gz VEJILRGJTRINCW-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)NCC(F)F ZINC000906870088 622055085 /nfs/dbraw/zinc/05/50/85/622055085.db2.gz GCZPBGKCVOXCSG-UHFFFAOYSA-N 0 0 292.669 2.562 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)NCCCF ZINC000907050580 622080006 /nfs/dbraw/zinc/08/00/06/622080006.db2.gz KOJUFVIXGBFCRZ-UHFFFAOYSA-N 0 0 288.706 2.657 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000907221554 622099672 /nfs/dbraw/zinc/09/96/72/622099672.db2.gz ROBLMHPAQLSCTB-SUNKGSAMSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H](NC(=O)COC1(C)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000833029699 625543977 /nfs/dbraw/zinc/54/39/77/625543977.db2.gz MJEWPVJZSHVCSO-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)N1CCCCO1 ZINC000907637686 622145343 /nfs/dbraw/zinc/14/53/43/622145343.db2.gz UIYHQTQYLHVVOT-UHFFFAOYSA-N 0 0 298.726 2.735 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000907990100 622184046 /nfs/dbraw/zinc/18/40/46/622184046.db2.gz DLAZBUIBKHMGHJ-UWVGGRQHSA-N 0 0 295.314 2.642 20 5 CFBDRN COC/C(C)=C\COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000908702629 622266306 /nfs/dbraw/zinc/26/63/06/622266306.db2.gz LOIIKUGBZUUKKW-UITAMQMPSA-N 0 0 299.710 2.998 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000908824661 622278358 /nfs/dbraw/zinc/27/83/58/622278358.db2.gz HBQDGTOSJLANGL-XVKPBYJWSA-N 0 0 297.694 2.630 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])s1 ZINC000927498462 622283797 /nfs/dbraw/zinc/28/37/97/622283797.db2.gz GMKGWDBFFITPBC-GUBZILKMSA-N 0 0 280.349 2.822 20 5 CFBDRN COC/C(C)=C/COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000909061355 622305939 /nfs/dbraw/zinc/30/59/39/622305939.db2.gz NZGSUYJFBLQWSJ-XYOKQWHBSA-N 0 0 293.319 2.663 20 5 CFBDRN COCCCCOC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923962003 622501011 /nfs/dbraw/zinc/50/10/11/622501011.db2.gz MHOIUWBMZVIKHV-UHFFFAOYSA-N 0 0 299.298 2.636 20 5 CFBDRN O=C(NCC1CCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000034653142 622502643 /nfs/dbraw/zinc/50/26/43/622502643.db2.gz ILSJMXIRUJKXDQ-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN C[C@@H](CC(=O)NCc1cc([N+](=O)[O-])c(F)cc1F)C1CC1 ZINC000911476232 622522087 /nfs/dbraw/zinc/52/20/87/622522087.db2.gz BKSWOOIGGVAPAM-QMMMGPOBSA-N 0 0 298.289 2.925 20 5 CFBDRN CCC(F)(F)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911474164 622522143 /nfs/dbraw/zinc/52/21/43/622522143.db2.gz VWELMXBHYKDCSV-UHFFFAOYSA-N 0 0 294.204 2.535 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1CC12CCC2 ZINC000911475750 622522179 /nfs/dbraw/zinc/52/21/79/622522179.db2.gz IIEBOLPRVHMEST-SECBINFHSA-N 0 0 296.273 2.679 20 5 CFBDRN CCC[C@@H](C)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911473477 622522340 /nfs/dbraw/zinc/52/23/40/622522340.db2.gz CTXZCNYIRVTITA-MRVPVSSYSA-N 0 0 286.278 2.925 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)c1ccccc1 ZINC000819892281 622567031 /nfs/dbraw/zinc/56/70/31/622567031.db2.gz CDHRWTKVPWHKCB-JTQLQIEISA-N 0 0 285.303 2.919 20 5 CFBDRN Cc1nc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)c(C)s1 ZINC000819893078 622568310 /nfs/dbraw/zinc/56/83/10/622568310.db2.gz RHXVHVUAAHNRNN-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN Nc1ccc(NC(=O)CCC2CCC2)cc1[N+](=O)[O-] ZINC000819893542 622568332 /nfs/dbraw/zinc/56/83/32/622568332.db2.gz UMZUOZWQZDTBEO-UHFFFAOYSA-N 0 0 263.297 2.696 20 5 CFBDRN Nc1ccc(NC(=O)c2ccc3c(c2)CCC3)cc1[N+](=O)[O-] ZINC000819905744 622569067 /nfs/dbraw/zinc/56/90/67/622569067.db2.gz UVLKGXKVQHOAJV-UHFFFAOYSA-N 0 0 297.314 2.918 20 5 CFBDRN Nc1ccc(NC(=O)c2ccc3[nH]ccc3c2)cc1[N+](=O)[O-] ZINC000819919532 622571218 /nfs/dbraw/zinc/57/12/18/622571218.db2.gz FQWDINUTBXTDJW-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN Cc1cccc(CC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000819920582 622572143 /nfs/dbraw/zinc/57/21/43/622572143.db2.gz OOHUDTRSSPTDBV-UHFFFAOYSA-N 0 0 285.303 2.667 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C[C@H](C)n1cccn1 ZINC000819947533 622580292 /nfs/dbraw/zinc/58/02/92/622580292.db2.gz GKLGBGCDVUSEES-NSHDSACASA-N 0 0 289.291 2.656 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC(CC(F)F)C2)c1 ZINC000819950466 622581306 /nfs/dbraw/zinc/58/13/06/622581306.db2.gz RPZYWNOTADKLIX-UHFFFAOYSA-N 0 0 290.241 2.834 20 5 CFBDRN CO[C@H](COC(=O)c1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC000820357384 622655526 /nfs/dbraw/zinc/65/55/26/622655526.db2.gz NKMRWBTZQDLIQV-LLVKDONJSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1C[C@H](C)[C@@H](C)C1 ZINC000820408894 622664971 /nfs/dbraw/zinc/66/49/71/622664971.db2.gz GORFECMPZZJUTL-QWRGUYRKSA-N 0 0 276.336 2.940 20 5 CFBDRN C[C@@H](CF)NC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000820429464 622672139 /nfs/dbraw/zinc/67/21/39/622672139.db2.gz SNPMUKHEZLYPHX-QMMMGPOBSA-N 0 0 288.706 2.655 20 5 CFBDRN CCN(CC(C)=O)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000820453957 622679809 /nfs/dbraw/zinc/67/98/09/622679809.db2.gz QZDVQQPHBJGGCY-UHFFFAOYSA-N 0 0 292.335 2.571 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC1CC(C)C1 ZINC000820537155 622698555 /nfs/dbraw/zinc/69/85/55/622698555.db2.gz ZVAAEAHTTXZWLR-UHFFFAOYSA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1cc(COC(=O)/C=C\[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000820555857 622701277 /nfs/dbraw/zinc/70/12/77/622701277.db2.gz ALZHCQILZFUJNE-VGVVRCBHSA-N 0 0 291.303 2.682 20 5 CFBDRN Cc1ncc(CSCc2cccnc2[N+](=O)[O-])o1 ZINC000912432022 622710069 /nfs/dbraw/zinc/71/00/69/622710069.db2.gz QOSVLEJLLIYXOQ-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN Cc1ncoc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000820592059 622710810 /nfs/dbraw/zinc/71/08/10/622710810.db2.gz UXJKATHPPUIEPM-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN CC(C)(C)SCn1nnc(-c2ccccc2[N+](=O)[O-])n1 ZINC000912454016 622718889 /nfs/dbraw/zinc/71/88/89/622718889.db2.gz LDZAWVXREBNLQN-UHFFFAOYSA-N 0 0 293.352 2.738 20 5 CFBDRN C[C@H](F)CCNC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000820624294 622719624 /nfs/dbraw/zinc/71/96/24/622719624.db2.gz GZZQQMAHSBTENM-VIFPVBQESA-N 0 0 286.278 2.531 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H](O)C1CCCCC1 ZINC000820651298 622726818 /nfs/dbraw/zinc/72/68/18/622726818.db2.gz DJEZXVMIXBFSOA-ZDUSSCGKSA-N 0 0 294.351 2.957 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CC=CC12CCCCC2 ZINC000912478542 622727055 /nfs/dbraw/zinc/72/70/55/622727055.db2.gz QNNOMEHZKOAZDB-UHFFFAOYSA-N 0 0 287.319 2.705 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@]1(C)CCCO1 ZINC000820745980 622748923 /nfs/dbraw/zinc/74/89/23/622748923.db2.gz NRXGWGIHGLBIJT-HNNXBMFYSA-N 0 0 292.335 2.511 20 5 CFBDRN CC(=O)CCC(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000912652571 622754585 /nfs/dbraw/zinc/75/45/85/622754585.db2.gz IQFAKVDTXACBRR-UHFFFAOYSA-N 0 0 290.319 2.633 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C(F)F ZINC000820869361 622778159 /nfs/dbraw/zinc/77/81/59/622778159.db2.gz VRTSOMUGEVYGHW-QJPTWQEYSA-N 0 0 296.273 2.564 20 5 CFBDRN CC(F)(F)CCNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000820904901 622789324 /nfs/dbraw/zinc/78/93/24/622789324.db2.gz DAZCXNZGWXOSGB-NWDGAFQWSA-N 0 0 298.289 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1ccc2nonc2c1 ZINC000821057587 622835523 /nfs/dbraw/zinc/83/55/23/622835523.db2.gz BVENZQRIDIEMJX-UHFFFAOYSA-N 0 0 289.222 2.849 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2ccc3nonc3c2)c1 ZINC000821057898 622835597 /nfs/dbraw/zinc/83/55/97/622835597.db2.gz OSSACXQXQMWUFR-UHFFFAOYSA-N 0 0 271.232 2.710 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC=CC12CCCC2 ZINC000913202494 622846364 /nfs/dbraw/zinc/84/63/64/622846364.db2.gz PBUXMJZGFCYXPS-UHFFFAOYSA-N 0 0 286.331 2.849 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])c1ccoc1 ZINC000151196916 622852814 /nfs/dbraw/zinc/85/28/14/622852814.db2.gz MIKGPDBABIYOFF-UHFFFAOYSA-N 0 0 261.233 2.587 20 5 CFBDRN Cc1cc(NC(=O)c2c(C)ccc([N+](=O)[O-])c2C)c(C)cn1 ZINC000821135946 622853877 /nfs/dbraw/zinc/85/38/77/622853877.db2.gz XTNVMRVKMKNFRJ-UHFFFAOYSA-N 0 0 299.330 2.898 20 5 CFBDRN CSC[C@@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151221266 622865798 /nfs/dbraw/zinc/86/57/98/622865798.db2.gz JHDKFUMINVUPHG-SNVBAGLBSA-N 0 0 283.349 2.680 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CC2[N+](=O)[O-])ccc1-c1ccncc1 ZINC000840464220 622884918 /nfs/dbraw/zinc/88/49/18/622884918.db2.gz SBYCZVLDOVTXIU-HUUCEWRRSA-N 0 0 297.314 2.661 20 5 CFBDRN O=C(/C=C\C1CC1)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913385295 622892249 /nfs/dbraw/zinc/89/22/49/622892249.db2.gz WVJMBEJJGRHAFO-ARJAWSKDSA-N 0 0 298.701 2.970 20 5 CFBDRN CC(C)[C@H]1C[N@H+](C)CCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000821332238 622895990 /nfs/dbraw/zinc/89/59/90/622895990.db2.gz MFDBNSLAORASHP-OAHLLOKOSA-N 0 0 295.358 2.506 20 5 CFBDRN CC1(C(=O)NCCc2cccc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000821365672 622905752 /nfs/dbraw/zinc/90/57/52/622905752.db2.gz NOOXTVUQVPCGJI-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN O=C(/C=C\[C@@H]1CCCO1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000821404630 622915718 /nfs/dbraw/zinc/91/57/18/622915718.db2.gz PDLOTIMYCZQSIY-QZPNVGJNSA-N 0 0 295.266 2.512 20 5 CFBDRN Cc1c(COC(=O)/C=C/[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000821416359 622918807 /nfs/dbraw/zinc/91/88/07/622918807.db2.gz JDYJCUDPJKJICP-SBDDDAINSA-N 0 0 291.303 2.682 20 5 CFBDRN Cc1cccc(OC(=O)COc2cccc([N+](=O)[O-])c2)c1 ZINC000075535855 622922461 /nfs/dbraw/zinc/92/24/61/622922461.db2.gz IRMYQDGHKYDWNX-UHFFFAOYSA-N 0 0 287.271 2.888 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](C)[C@@H]1C ZINC000821507467 622936236 /nfs/dbraw/zinc/93/62/36/622936236.db2.gz BKSZNUVLLCHXTJ-UWVGGRQHSA-N 0 0 263.297 2.775 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)CC(C)(C)O)cc1[N+](=O)[O-] ZINC000821591775 622950909 /nfs/dbraw/zinc/95/09/09/622950909.db2.gz OBQINFPQTGVMRZ-JTQLQIEISA-N 0 0 281.308 2.610 20 5 CFBDRN O=C(OCc1cc(F)ncc1F)c1ccccc1[N+](=O)[O-] ZINC000821596098 622952938 /nfs/dbraw/zinc/95/29/38/622952938.db2.gz ZKGDZYYOGKHIIK-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CC1(C)CC[C@@H]1OC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000821845975 622992391 /nfs/dbraw/zinc/99/23/91/622992391.db2.gz HRGNHJGYUFZRTA-LBPRGKRZSA-N 0 0 293.275 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)C1CCOCC1 ZINC000165629959 622993968 /nfs/dbraw/zinc/99/39/68/622993968.db2.gz JITGUXGSASNNSG-LLVKDONJSA-N 0 0 293.319 2.871 20 5 CFBDRN Cc1cccc(F)c1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000165819161 622996951 /nfs/dbraw/zinc/99/69/51/622996951.db2.gz HMGJDKMNWKOJDO-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN O=C(OC[C@H]1CCCO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000057973515 623022328 /nfs/dbraw/zinc/02/23/28/623022328.db2.gz OIEZDNLRCDNGTP-SECBINFHSA-N 0 0 285.683 2.584 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@]2(C)C[C@H]2F)c1[N+](=O)[O-] ZINC000822092499 623034220 /nfs/dbraw/zinc/03/42/20/623034220.db2.gz WCHBYSZKKLYLLL-ZWNOBZJWSA-N 0 0 267.256 2.808 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@]2(C)C[C@@H]2F)c1 ZINC000822098999 623035556 /nfs/dbraw/zinc/03/55/56/623035556.db2.gz KFTGZLOUQPEZII-AAEUAGOBSA-N 0 0 283.255 2.508 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@]1(C)C[C@H]1F ZINC000822109350 623038795 /nfs/dbraw/zinc/03/87/95/623038795.db2.gz HTLASBBFZMEXOR-DGCLKSJQSA-N 0 0 267.256 2.808 20 5 CFBDRN CC(C)(CCC(=O)NC12CC3CC(CC(C3)C1)C2)[N+](=O)[O-] ZINC000822114870 623040641 /nfs/dbraw/zinc/04/06/41/623040641.db2.gz LRLIQKOVAZXSTL-UHFFFAOYSA-N 0 0 294.395 2.907 20 5 CFBDRN Cc1ccccc1OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000046114511 623042069 /nfs/dbraw/zinc/04/20/69/623042069.db2.gz KRDOZYGQAUZCFI-UHFFFAOYSA-N 0 0 287.271 2.888 20 5 CFBDRN C[C@@]1(COC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000822152267 623051459 /nfs/dbraw/zinc/05/14/59/623051459.db2.gz VVOVCJATEDTPLC-XFMPKHEZSA-N 0 0 293.294 2.990 20 5 CFBDRN CN(Cc1ccsc1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822226862 623060297 /nfs/dbraw/zinc/06/02/97/623060297.db2.gz KSQMTHGEPLQAIE-UHFFFAOYSA-N 0 0 270.354 2.542 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000226228890 623063291 /nfs/dbraw/zinc/06/32/91/623063291.db2.gz IIKJNQZNKIQVRF-QMMMGPOBSA-N 0 0 266.272 2.510 20 5 CFBDRN CS[C@H](C)CC(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000157989569 623086627 /nfs/dbraw/zinc/08/66/27/623086627.db2.gz JIQVKLSBWNNANQ-SNVBAGLBSA-N 0 0 283.349 2.822 20 5 CFBDRN Cn1ccc(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1 ZINC000226818915 623090726 /nfs/dbraw/zinc/09/07/26/623090726.db2.gz TXWGRYFKPCOJCJ-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN CC(C)(CCC(=O)NCCC1CCCC1)[N+](=O)[O-] ZINC000822394245 623094109 /nfs/dbraw/zinc/09/41/09/623094109.db2.gz LNBDLXXCQIRGRN-UHFFFAOYSA-N 0 0 256.346 2.518 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822406375 623097073 /nfs/dbraw/zinc/09/70/73/623097073.db2.gz KASPKSFTERZEEL-NEPJUHHUSA-N 0 0 270.373 2.859 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000822406893 623097529 /nfs/dbraw/zinc/09/75/29/623097529.db2.gz FGTHVKMWBNBXQC-NSHDSACASA-N 0 0 280.711 2.839 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC[C@@H]1CC[C@H](O)C1 ZINC000227666721 623115485 /nfs/dbraw/zinc/11/54/85/623115485.db2.gz YXMNWCAXHNUUNT-SFYZADRCSA-N 0 0 288.706 2.960 20 5 CFBDRN CCc1ccc(N(CC2CC2)C(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000840471603 623116220 /nfs/dbraw/zinc/11/62/20/623116220.db2.gz ILMWVUHJBYZKJD-HUUCEWRRSA-N 0 0 288.347 2.657 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OCc1ccccc1 ZINC000060285928 623123142 /nfs/dbraw/zinc/12/31/42/623123142.db2.gz NKTSBGXXBRGBFW-UHFFFAOYSA-N 0 0 272.260 2.534 20 5 CFBDRN CN(Cc1nccn1C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000060394287 623126676 /nfs/dbraw/zinc/12/66/76/623126676.db2.gz CUCFOYMKHYUUGM-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CCCCC[C@H](O)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000822609169 623135344 /nfs/dbraw/zinc/13/53/44/623135344.db2.gz RRZWAIVCCNERPT-RISCZKNCSA-N 0 0 294.351 2.713 20 5 CFBDRN C[C@H](Cc1ccsc1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822691381 623152544 /nfs/dbraw/zinc/15/25/44/623152544.db2.gz GUDCDABEXHSZKJ-SNVBAGLBSA-N 0 0 284.381 2.631 20 5 CFBDRN CCC1(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)COC1 ZINC000170244658 623160627 /nfs/dbraw/zinc/16/06/27/623160627.db2.gz XNZBVGMUKJMKAE-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCC1(O)CCCCC1 ZINC000087458488 623160738 /nfs/dbraw/zinc/16/07/38/623160738.db2.gz KFBNWMWJROGPHN-UHFFFAOYSA-N 0 0 269.272 2.808 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ccc(F)c(F)c1 ZINC000822726163 623160715 /nfs/dbraw/zinc/16/07/15/623160715.db2.gz OESKAZNCUGKPNY-UHFFFAOYSA-N 0 0 286.278 2.763 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822730344 623161848 /nfs/dbraw/zinc/16/18/48/623161848.db2.gz JURGYOCNOKFEEB-NEPJUHHUSA-N 0 0 270.373 2.764 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCc1ccoc1 ZINC000170722806 623176568 /nfs/dbraw/zinc/17/65/68/623176568.db2.gz HIGHEZBAPYBPSO-ZZXKWVIFSA-N 0 0 273.244 2.944 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000323907579 623186478 /nfs/dbraw/zinc/18/64/78/623186478.db2.gz RQVGNAHNALGVTR-LBPRGKRZSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1cc(C(=O)N(C)CCC(C)C)c(N)c([N+](=O)[O-])c1 ZINC000171449868 623223874 /nfs/dbraw/zinc/22/38/74/623223874.db2.gz CUBMEHWQZXDZER-UHFFFAOYSA-N 0 0 279.340 2.604 20 5 CFBDRN CC1(NC(=O)c2cccc([N+](=O)[O-])c2Cl)CCOCC1 ZINC000229895571 623231669 /nfs/dbraw/zinc/23/16/69/623231669.db2.gz ZCSZKYBTEUEWGS-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CCC[C@@](C)(NCc1cc(F)ccc1[N+](=O)[O-])C(=O)OC ZINC000171944182 623249658 /nfs/dbraw/zinc/24/96/58/623249658.db2.gz OMJYEJXBADNRSM-CQSZACIVSA-N 0 0 298.314 2.555 20 5 CFBDRN CC(C)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000171912418 623250123 /nfs/dbraw/zinc/25/01/23/623250123.db2.gz YTCCSPLCTYHASI-QMMMGPOBSA-N 0 0 254.261 2.965 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000230106685 623252221 /nfs/dbraw/zinc/25/22/21/623252221.db2.gz KAVFRECTQLGPSK-ISVAXAHUSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000230105692 623252447 /nfs/dbraw/zinc/25/24/47/623252447.db2.gz VMSNLRYYKUYPPW-AMIZOPFISA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000230106147 623252743 /nfs/dbraw/zinc/25/27/43/623252743.db2.gz VTEUEXOEFQWHCX-SDBXPKJASA-N 0 0 298.726 2.546 20 5 CFBDRN CN(CCc1ccccc1F)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823229776 623270058 /nfs/dbraw/zinc/27/00/58/623270058.db2.gz CXGVWCHTBQHYPO-UHFFFAOYSA-N 0 0 296.342 2.662 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000230415404 623274328 /nfs/dbraw/zinc/27/43/28/623274328.db2.gz XSISKRCZPWGRAN-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@@H]1CSCCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000172588946 623289863 /nfs/dbraw/zinc/28/98/63/623289863.db2.gz FQDGHUWWXAGBHM-SECBINFHSA-N 0 0 270.329 2.671 20 5 CFBDRN CC/C=C/CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000823316475 623291193 /nfs/dbraw/zinc/29/11/93/623291193.db2.gz YSSCSLXLBCILMY-AATRIKPKSA-N 0 0 262.309 2.908 20 5 CFBDRN O=C(OCCF)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000172670068 623297144 /nfs/dbraw/zinc/29/71/44/623297144.db2.gz FDHCRFBRRXQBLC-UHFFFAOYSA-N 0 0 269.253 2.936 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H](O)c2ccsc2)c1 ZINC000230907796 623311022 /nfs/dbraw/zinc/31/10/22/623311022.db2.gz AAHJWTYOFZMNEG-CYBMUJFWSA-N 0 0 294.332 2.810 20 5 CFBDRN CCCCS(=O)(=O)Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000173069746 623332794 /nfs/dbraw/zinc/33/27/94/623332794.db2.gz OPXRMWMVVHZURP-UHFFFAOYSA-N 0 0 293.728 2.757 20 5 CFBDRN CCCCOCCOC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000063236283 623333582 /nfs/dbraw/zinc/33/35/82/623333582.db2.gz FCIZSTVBGGKDRL-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN O=C(CCC1CCOCC1)Oc1cccc([N+](=O)[O-])c1 ZINC000173141052 623335415 /nfs/dbraw/zinc/33/54/15/623335415.db2.gz RMNYSIZOHFAOAD-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CCOCCCCOC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000174558966 623427668 /nfs/dbraw/zinc/42/76/68/623427668.db2.gz HTVONEZNJHUMJT-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CCOCCCCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000174556129 623428156 /nfs/dbraw/zinc/42/81/56/623428156.db2.gz QZAQOEBJGGTYPF-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN C[C@H]1CCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)[C@H]1C ZINC000823974918 623428162 /nfs/dbraw/zinc/42/81/62/623428162.db2.gz WTRRAZRQIHSXGU-UWVGGRQHSA-N 0 0 292.339 2.829 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823994581 623429873 /nfs/dbraw/zinc/42/98/73/623429873.db2.gz VITCHPJTGHQDDI-RKDXNWHRSA-N 0 0 280.328 2.733 20 5 CFBDRN C[C@@H](CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)(C)C ZINC000823993706 623429875 /nfs/dbraw/zinc/42/98/75/623429875.db2.gz JNAVLOSXVJVJJL-VIFPVBQESA-N 0 0 294.355 2.981 20 5 CFBDRN CC(C)CCOC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000064104537 623430732 /nfs/dbraw/zinc/43/07/32/623430732.db2.gz WZNFATZLSVRUCM-UHFFFAOYSA-N 0 0 266.297 2.596 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824009027 623431429 /nfs/dbraw/zinc/43/14/29/623431429.db2.gz ZPOVIESFHXXCQW-SKDRFNHKSA-N 0 0 292.339 2.877 20 5 CFBDRN Cc1ccc(CNC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])cn1 ZINC000888260391 623438742 /nfs/dbraw/zinc/43/87/42/623438742.db2.gz XHDMSPSIIZUXCF-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cnc(C2CC2)o1 ZINC000888261702 623453818 /nfs/dbraw/zinc/45/38/18/623453818.db2.gz YUPUBZBVFXIVBB-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN[C@H]1CCn2ccnc21 ZINC000824522578 623515446 /nfs/dbraw/zinc/51/54/46/623515446.db2.gz XEKLMFUEWAYVKO-DXTPNHAXSA-N 0 0 284.319 2.539 20 5 CFBDRN CCCCC[C@H](C)NC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000824625638 623534492 /nfs/dbraw/zinc/53/44/92/623534492.db2.gz MNTIWKZEGDHKAD-FZMZJTMJSA-N 0 0 294.351 2.713 20 5 CFBDRN C/C(Cl)=C\C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000913753914 623577386 /nfs/dbraw/zinc/57/73/86/623577386.db2.gz BXVLZSCWWMJKJQ-WEVVVXLNSA-N 0 0 268.700 2.662 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000913754779 623577677 /nfs/dbraw/zinc/57/76/77/623577677.db2.gz XUVXSGUBZFGNGF-UHFFFAOYSA-N 0 0 292.335 2.525 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000824957438 623594612 /nfs/dbraw/zinc/59/46/12/623594612.db2.gz NLRUHHWYIZLIRK-MRVWCRGKSA-N 0 0 272.304 2.533 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000913807073 623595187 /nfs/dbraw/zinc/59/51/87/623595187.db2.gz ROBLMHPAQLSCTB-MISXGVKJSA-N 0 0 292.335 2.587 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C=CCC1 ZINC000824965624 623596857 /nfs/dbraw/zinc/59/68/57/623596857.db2.gz PNHJZOAQHVQWEN-SECBINFHSA-N 0 0 278.333 2.765 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000825238046 623654984 /nfs/dbraw/zinc/65/49/84/623654984.db2.gz BHQJFRBJHVMZAW-MVWJERBFSA-N 0 0 292.335 2.507 20 5 CFBDRN CC(C)(F)CCNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000825257341 623659893 /nfs/dbraw/zinc/65/98/93/623659893.db2.gz BWAOLJJDCLLZGT-STQMWFEESA-N 0 0 294.326 2.953 20 5 CFBDRN Cc1cnc(CNc2ccc(F)c([N+](=O)[O-])c2)o1 ZINC000152264755 623673630 /nfs/dbraw/zinc/67/36/30/623673630.db2.gz MVDVQQVAYUPRFF-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NNC(=S)NC1(C)CCC1 ZINC000840747987 623720934 /nfs/dbraw/zinc/72/09/34/623720934.db2.gz DESOXOVBNIUUKN-UHFFFAOYSA-N 0 0 294.380 2.637 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000825640445 623728201 /nfs/dbraw/zinc/72/82/01/623728201.db2.gz FCYFFEUVICHLQV-MJBXVCDLSA-N 0 0 288.347 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000825655170 623730859 /nfs/dbraw/zinc/73/08/59/623730859.db2.gz KHJXEVMXEVYZQN-ZDUSSCGKSA-N 0 0 274.320 2.876 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccc(C)c([N+](=O)[O-])c2)c(C)n1 ZINC000825654869 623730969 /nfs/dbraw/zinc/73/09/69/623730969.db2.gz DNLBOKIXLLLMFZ-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CSC[C@@H](C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825655462 623731145 /nfs/dbraw/zinc/73/11/45/623731145.db2.gz OJYADISDNKVZDW-SNVBAGLBSA-N 0 0 282.365 2.519 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152555926 623732838 /nfs/dbraw/zinc/73/28/38/623732838.db2.gz XSGOTPBMLRXOFA-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN CCOC(=O)Cc1ccc(N2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000825687114 623740820 /nfs/dbraw/zinc/74/08/20/623740820.db2.gz YFHFAPBAARHMTE-NSHDSACASA-N 0 0 292.335 2.547 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H](O)c2cc(F)ccc2F)c1 ZINC000152731420 623760896 /nfs/dbraw/zinc/76/08/96/623760896.db2.gz CKSGPIFCAFPYMB-CQSZACIVSA-N 0 0 295.241 2.985 20 5 CFBDRN C[C@@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])C(C)(C)C ZINC000825858233 623762050 /nfs/dbraw/zinc/76/20/50/623762050.db2.gz GHXCZBOSKBOIHK-JTQLQIEISA-N 0 0 258.362 2.620 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1nc(C)ccc1[N+](=O)[O-] ZINC000826670737 623836060 /nfs/dbraw/zinc/83/60/60/623836060.db2.gz XETPYWKHIVJYKG-MWLCHTKSSA-N 0 0 267.329 2.507 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000153080462 623836429 /nfs/dbraw/zinc/83/64/29/623836429.db2.gz TYKLXEWAQANCJY-SNVBAGLBSA-N 0 0 280.299 2.914 20 5 CFBDRN C[C@H]1C[C@@H](Nc2nc3ccc([N+](=O)[O-])cc3s2)CO1 ZINC000826784489 623875110 /nfs/dbraw/zinc/87/51/10/623875110.db2.gz PRIFPIPRQLNBMW-JGVFFNPUSA-N 0 0 279.321 2.794 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nc3ccc([N+](=O)[O-])cc3s2)CO1 ZINC000826784492 623875900 /nfs/dbraw/zinc/87/59/00/623875900.db2.gz PRIFPIPRQLNBMW-SFYZADRCSA-N 0 0 279.321 2.794 20 5 CFBDRN CC(C)(CCC(=O)N1CCCc2c(F)cccc21)[N+](=O)[O-] ZINC000826829819 623885119 /nfs/dbraw/zinc/88/51/19/623885119.db2.gz KMIIOMOCDIJMQH-UHFFFAOYSA-N 0 0 294.326 2.940 20 5 CFBDRN CCN(CCSC)c1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000826851329 623893288 /nfs/dbraw/zinc/89/32/88/623893288.db2.gz NNSMCXVMDYYOSG-UHFFFAOYSA-N 0 0 288.344 2.932 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826860347 623896032 /nfs/dbraw/zinc/89/60/32/623896032.db2.gz CBJHKYLHNCXRFI-QWRGUYRKSA-N 0 0 256.346 2.517 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000762898792 623928534 /nfs/dbraw/zinc/92/85/34/623928534.db2.gz OQWSJVNYWSLXTF-QWRGUYRKSA-N 0 0 280.324 2.887 20 5 CFBDRN O=C(NCC1CC=CC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000827078443 623936590 /nfs/dbraw/zinc/93/65/90/623936590.db2.gz MRTRCRRAMVVCDX-LSDHHAIUSA-N 0 0 286.331 2.781 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000232834314 623946280 /nfs/dbraw/zinc/94/62/80/623946280.db2.gz UTMHUYRUXSJNRY-QMMMGPOBSA-N 0 0 266.272 2.510 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CC1(F)F ZINC000835110326 623946943 /nfs/dbraw/zinc/94/69/43/623946943.db2.gz ADFUJNYKLKLOMM-LURJTMIESA-N 0 0 277.610 2.809 20 5 CFBDRN Cc1cc(C(=O)OCc2cccc([N+](=O)[O-])c2)c(C)[nH]1 ZINC000914966592 623965345 /nfs/dbraw/zinc/96/53/45/623965345.db2.gz KLLFAIDOJXGZGH-UHFFFAOYSA-N 0 0 274.276 2.897 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c(C)[nH]1 ZINC000914965845 623965441 /nfs/dbraw/zinc/96/54/41/623965441.db2.gz BUHZQTNIIDGHOL-UHFFFAOYSA-N 0 0 274.276 2.897 20 5 CFBDRN CC(C)C(NC(=O)CCC(C)(C)[N+](=O)[O-])C(C)C ZINC000827368904 623994685 /nfs/dbraw/zinc/99/46/85/623994685.db2.gz XZPTYOAJFHUIKE-UHFFFAOYSA-N 0 0 258.362 2.619 20 5 CFBDRN Cc1nn(C[C@@H]2CCC3(CCC3)CO2)c(C)c1[N+](=O)[O-] ZINC000915321323 624022349 /nfs/dbraw/zinc/02/23/49/624022349.db2.gz BJRIZEHUKDAHLR-LBPRGKRZSA-N 0 0 279.340 2.757 20 5 CFBDRN CC(C)ONC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000836799885 624067645 /nfs/dbraw/zinc/06/76/45/624067645.db2.gz YJDWPOHECLDPBU-UHFFFAOYSA-N 0 0 293.106 2.971 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CCC(C)(F)F ZINC000915826319 624128850 /nfs/dbraw/zinc/12/88/50/624128850.db2.gz OUMBYIOPRATEMQ-UHFFFAOYSA-N 0 0 289.234 2.944 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@H]1C[C@@H]2[C@H](C1)C2(F)F ZINC000915871850 624136232 /nfs/dbraw/zinc/13/62/32/624136232.db2.gz XQECTXPXAPNAOE-AYMMMOKOSA-N 0 0 270.235 2.660 20 5 CFBDRN CO[C@H](C)CCOC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000174815396 624139592 /nfs/dbraw/zinc/13/95/92/624139592.db2.gz GSTBSQCGBVFCRM-SECBINFHSA-N 0 0 299.348 2.899 20 5 CFBDRN COC1(CNc2nc3ccccn3c2[N+](=O)[O-])CCCC1 ZINC000828263358 624216772 /nfs/dbraw/zinc/21/67/72/624216772.db2.gz DFOLJEADWJWURS-UHFFFAOYSA-N 0 0 290.323 2.614 20 5 CFBDRN COC1(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCCC1 ZINC000828263826 624217058 /nfs/dbraw/zinc/21/70/58/624217058.db2.gz MOJGLKYXWLNXRC-UHFFFAOYSA-N 0 0 295.295 2.874 20 5 CFBDRN CC(F)(F)c1c(F)cccc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000828299194 624224268 /nfs/dbraw/zinc/22/42/68/624224268.db2.gz SNKGXVTZGBONBT-HZGVNTEJSA-N 0 0 288.225 2.541 20 5 CFBDRN CCCCOC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000916115029 624224536 /nfs/dbraw/zinc/22/45/36/624224536.db2.gz IMHBPOMDHSTQKO-UHFFFAOYSA-N 0 0 266.297 2.984 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)C2(Cl)CC2)c1 ZINC000916154144 624226329 /nfs/dbraw/zinc/22/63/29/624226329.db2.gz YRCVRMPNPWVRBV-UHFFFAOYSA-N 0 0 269.684 2.623 20 5 CFBDRN CC(=O)NCCCCCOc1ccc([N+](=O)[O-])c(C)c1 ZINC000916153793 624226448 /nfs/dbraw/zinc/22/64/48/624226448.db2.gz LPRXLPUWHUFTAI-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN CCC(=O)c1ccc(N2CC3(CC3)C2)c([N+](=O)[O-])c1 ZINC000828364386 624233679 /nfs/dbraw/zinc/23/36/79/624233679.db2.gz AKGSYTYNRQVLHM-UHFFFAOYSA-N 0 0 260.293 2.788 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C[C@@H]1C ZINC000828398852 624237972 /nfs/dbraw/zinc/23/79/72/624237972.db2.gz GXQNPHPRILKFJF-WOPDTQHZSA-N 0 0 270.373 2.763 20 5 CFBDRN CCC[C@@H](OC)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000828436241 624245975 /nfs/dbraw/zinc/24/59/75/624245975.db2.gz XIUWJLVEAHHBIJ-CQSZACIVSA-N 0 0 292.335 2.607 20 5 CFBDRN CCC(=O)c1ccc(N2CC[C@H](F)C2)c([N+](=O)[O-])c1 ZINC000828448601 624248792 /nfs/dbraw/zinc/24/87/92/624248792.db2.gz ICTBZNVIHQHBIP-JTQLQIEISA-N 0 0 266.272 2.736 20 5 CFBDRN CCC(=O)c1ccc(N2CC[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC000828448603 624248850 /nfs/dbraw/zinc/24/88/50/624248850.db2.gz ICTBZNVIHQHBIP-SNVBAGLBSA-N 0 0 266.272 2.736 20 5 CFBDRN Cc1ccc(CNC(=O)[C@]2(C)C=CCC2)cc1[N+](=O)[O-] ZINC000828466364 624251560 /nfs/dbraw/zinc/25/15/60/624251560.db2.gz RUNBYEUYKOQLET-OAHLLOKOSA-N 0 0 274.320 2.876 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cccc(C)c1[N+](=O)[O-])C(C)C ZINC000828487921 624255714 /nfs/dbraw/zinc/25/57/14/624255714.db2.gz NFJQPSZKUOMYKT-CYBMUJFWSA-N 0 0 279.292 2.674 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CCOCC1CC1 ZINC000154071235 624260569 /nfs/dbraw/zinc/26/05/69/624260569.db2.gz NQAASEPCHLELKC-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN COc1ccc(C(=O)OC/C=C\Cl)cc1[N+](=O)[O-] ZINC000916616416 624265168 /nfs/dbraw/zinc/26/51/68/624265168.db2.gz FISVMBHQRWCTIC-DJWKRKHSSA-N 0 0 271.656 2.513 20 5 CFBDRN CN(CC(C)(C)CO)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000828582787 624272341 /nfs/dbraw/zinc/27/23/41/624272341.db2.gz KDVODPSVYGFXLS-UHFFFAOYSA-N 0 0 295.364 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CC2CC2)c1C ZINC000888289520 624283977 /nfs/dbraw/zinc/28/39/77/624283977.db2.gz CQDHSRSVEUTKDK-UHFFFAOYSA-N 0 0 262.309 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)Cc2ccccc2)cc1F ZINC000154185609 624285300 /nfs/dbraw/zinc/28/53/00/624285300.db2.gz UJNVDEVZMYGALJ-LBPRGKRZSA-N 0 0 291.278 2.716 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000916828712 624286243 /nfs/dbraw/zinc/28/62/43/624286243.db2.gz ONALOCCPRABNAP-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN COC(=O)/C=C/c1ccc(N[C@@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000828693195 624287247 /nfs/dbraw/zinc/28/72/47/624287247.db2.gz OVMISOOJOFCFMX-GOJXHPMJSA-N 0 0 290.319 2.991 20 5 CFBDRN CCON(CC)C(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000828733688 624293611 /nfs/dbraw/zinc/29/36/11/624293611.db2.gz HTYMNIKTPFAAHI-UHFFFAOYSA-N 0 0 284.337 2.730 20 5 CFBDRN CCn1nc(C)c(COc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000828744750 624295223 /nfs/dbraw/zinc/29/52/23/624295223.db2.gz RPMQIBPAGLUHFV-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1C2CCC1CC2 ZINC000917039822 624301696 /nfs/dbraw/zinc/30/16/96/624301696.db2.gz HLHIZOOZTDYQFC-UHFFFAOYSA-N 0 0 250.273 2.861 20 5 CFBDRN CCOC(=O)C[C@@H](C)SCc1cccnc1[N+](=O)[O-] ZINC000917061285 624304175 /nfs/dbraw/zinc/30/41/75/624304175.db2.gz FFRGGHMBJIEYCF-SECBINFHSA-N 0 0 284.337 2.565 20 5 CFBDRN CC(C)Oc1cccc(CNc2c([N+](=O)[O-])ncn2C)c1 ZINC000917085185 624308711 /nfs/dbraw/zinc/30/87/11/624308711.db2.gz HRFVELXFYUNTDF-UHFFFAOYSA-N 0 0 290.323 2.728 20 5 CFBDRN CCc1onc(C)c1COc1ncc(C)cc1[N+](=O)[O-] ZINC000917141366 624317879 /nfs/dbraw/zinc/31/78/79/624317879.db2.gz CDDVKJJOKJLHQG-UHFFFAOYSA-N 0 0 277.280 2.736 20 5 CFBDRN Cc1cnc(OC[C@@H]2CC[C@@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000917150374 624320255 /nfs/dbraw/zinc/32/02/55/624320255.db2.gz WHTOGOSLNOJUOB-AAEUAGOBSA-N 0 0 278.308 2.635 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC[C@@H]1CCC2(COC2)O1 ZINC000917218444 624324402 /nfs/dbraw/zinc/32/44/02/624324402.db2.gz UMWKUSAOYWQGQH-VIFPVBQESA-N 0 0 299.710 2.575 20 5 CFBDRN CC(=O)[C@@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000828918094 624330453 /nfs/dbraw/zinc/33/04/53/624330453.db2.gz LSMXZOGWRGOJGE-HNNXBMFYSA-N 0 0 293.319 2.684 20 5 CFBDRN CCN(CC)C(=O)OCSc1ccc([N+](=O)[O-])cn1 ZINC000917347537 624333569 /nfs/dbraw/zinc/33/35/69/624333569.db2.gz SVJOOEIQOFSXFQ-UHFFFAOYSA-N 0 0 285.325 2.518 20 5 CFBDRN CC(=O)COC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000917348477 624334592 /nfs/dbraw/zinc/33/45/92/624334592.db2.gz IALOSJUXPYXPGF-UHFFFAOYSA-N 0 0 279.292 2.638 20 5 CFBDRN Cc1cccc2c1N[C@H](c1csc([N+](=O)[O-])c1)NC2=O ZINC000917416394 624341726 /nfs/dbraw/zinc/34/17/26/624341726.db2.gz ROMVMXHHSZLAHC-LBPRGKRZSA-N 0 0 289.316 2.819 20 5 CFBDRN O=[N+]([O-])c1cn(CCCc2cccnc2)nc1C1CC1 ZINC000917486123 624346593 /nfs/dbraw/zinc/34/65/93/624346593.db2.gz ZDUNXLNBEJKNPX-UHFFFAOYSA-N 0 0 272.308 2.697 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2CC[C@H](C3CC3)O2)nc1C1CC1 ZINC000917486173 624346931 /nfs/dbraw/zinc/34/69/31/624346931.db2.gz ZRKKGSIHDMLZHT-WCQYABFASA-N 0 0 277.324 2.626 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1CC12CCOCC2 ZINC000829019228 624356658 /nfs/dbraw/zinc/35/66/58/624356658.db2.gz BFIJQMDUCSJDLX-CQSZACIVSA-N 0 0 290.319 2.778 20 5 CFBDRN CC(=O)[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000829050688 624360424 /nfs/dbraw/zinc/36/04/24/624360424.db2.gz ABWSCWQBSGZQRW-IINYFYTJSA-N 0 0 293.319 2.855 20 5 CFBDRN C[C@H](SCc1cccnc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000917624289 624371424 /nfs/dbraw/zinc/37/14/24/624371424.db2.gz AWDUTNVEOIDEEF-VIFPVBQESA-N 0 0 298.364 2.953 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@@H]1CC[C@H](C2CC2)O1 ZINC000917710896 624378091 /nfs/dbraw/zinc/37/80/91/624378091.db2.gz PVYQFCRTQXUKPF-JOYOIKCWSA-N 0 0 298.726 2.980 20 5 CFBDRN Cc1c(OC(=O)[C@H](C)OCC(C)C)cccc1[N+](=O)[O-] ZINC000917755227 624380295 /nfs/dbraw/zinc/38/02/95/624380295.db2.gz FZSQYFIQHPKRKV-NSHDSACASA-N 0 0 281.308 2.870 20 5 CFBDRN CC(C)[C@H](O)COc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000917929196 624397179 /nfs/dbraw/zinc/39/71/79/624397179.db2.gz OIVHGYCUVZFOQF-RXMQYKEDSA-N 0 0 297.204 2.547 20 5 CFBDRN O=c1c([N+](=O)[O-])cn(CCC2=CCCCC2)cc1[N+](=O)[O-] ZINC000829336329 624421516 /nfs/dbraw/zinc/42/15/16/624421516.db2.gz PLKKHIYGYGJFIC-UHFFFAOYSA-N 0 0 293.279 2.555 20 5 CFBDRN CCCCc1nc(Cn2ccnc2[N+](=O)[O-])cs1 ZINC000918352908 624433501 /nfs/dbraw/zinc/43/35/01/624433501.db2.gz YVGSVPCZHJASML-UHFFFAOYSA-N 0 0 266.326 2.639 20 5 CFBDRN O=C(OCc1ccccc1)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000918488630 624438587 /nfs/dbraw/zinc/43/85/87/624438587.db2.gz HHYWZMWNFMLXDQ-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CC(C)CCCOC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000918496420 624443762 /nfs/dbraw/zinc/44/37/62/624443762.db2.gz YMMOJRKFAGRSDG-UHFFFAOYSA-N 0 0 295.291 2.917 20 5 CFBDRN C[C@H](O)CCCOc1cccc(Cl)c1[N+](=O)[O-] ZINC000829617842 624476428 /nfs/dbraw/zinc/47/64/28/624476428.db2.gz JSCUTZNADHBZEO-QMMMGPOBSA-N 0 0 259.689 2.788 20 5 CFBDRN COCc1ccc(C(=O)OCc2csc([N+](=O)[O-])c2)o1 ZINC000918920611 624511521 /nfs/dbraw/zinc/51/15/21/624511521.db2.gz YKXWUKXAWDNPQI-UHFFFAOYSA-N 0 0 297.288 2.753 20 5 CFBDRN CC[C@H](C)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000918932950 624512127 /nfs/dbraw/zinc/51/21/27/624512127.db2.gz SRCHTBMUXBAHJD-JTQLQIEISA-N 0 0 267.281 2.563 20 5 CFBDRN Cc1cccc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000918947764 624512765 /nfs/dbraw/zinc/51/27/65/624512765.db2.gz XCFSTTAQXKZWMI-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cccc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000918946946 624513590 /nfs/dbraw/zinc/51/35/90/624513590.db2.gz OIWRYQNYLNVPMA-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN O=C(CC[C@H]1CCCO1)OCc1csc([N+](=O)[O-])c1 ZINC000919094116 624522472 /nfs/dbraw/zinc/52/24/72/624522472.db2.gz UQJGVVAQCKESFR-SNVBAGLBSA-N 0 0 285.321 2.659 20 5 CFBDRN Cc1ccc(OC(=O)OC2CCC2)c([N+](=O)[O-])c1 ZINC000829805274 624547156 /nfs/dbraw/zinc/54/71/56/624547156.db2.gz PAOOLVUAPONJKU-UHFFFAOYSA-N 0 0 251.238 2.971 20 5 CFBDRN CC(C)[C@H](CC(F)(F)F)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000829842733 624563672 /nfs/dbraw/zinc/56/36/72/624563672.db2.gz RVAZYUGFZYJUFP-VIFPVBQESA-N 0 0 298.305 2.915 20 5 CFBDRN CCC(C)(C)CCn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829842235 624563997 /nfs/dbraw/zinc/56/39/97/624563997.db2.gz IAQYFRMELFMXQS-UHFFFAOYSA-N 0 0 256.262 2.526 20 5 CFBDRN O=C(COC1CCCC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000919385899 624566103 /nfs/dbraw/zinc/56/61/03/624566103.db2.gz ZKJZNAHXQNQKSM-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN COCC1(CC(=O)OCc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000829883498 624576815 /nfs/dbraw/zinc/57/68/15/624576815.db2.gz MGCLEVMUMMLXJC-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1occc1CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000919855443 624626771 /nfs/dbraw/zinc/62/67/71/624626771.db2.gz QUOBACYPKQUABO-DAXSKMNVSA-N 0 0 286.287 2.826 20 5 CFBDRN CCC/C(C)=C/C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000920223571 624700838 /nfs/dbraw/zinc/70/08/38/624700838.db2.gz LCUAJCDPQSUQFL-VAWYXSNFSA-N 0 0 276.336 3.000 20 5 CFBDRN C[C@H](CO)COc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC000920250021 624702275 /nfs/dbraw/zinc/70/22/75/624702275.db2.gz CIYLWEIABGPPOB-ZCFIWIBFSA-N 0 0 280.107 2.909 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCCCO1 ZINC000830360941 624721940 /nfs/dbraw/zinc/72/19/40/624721940.db2.gz XLYNSLGWHLXRCU-JTQLQIEISA-N 0 0 297.282 2.768 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CC[C@H](C)O1 ZINC000830369275 624724373 /nfs/dbraw/zinc/72/43/73/624724373.db2.gz JPGCHBIZLIZBBB-WPRPVWTQSA-N 0 0 297.282 2.767 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@@H](CC(F)(F)F)C1)[N+](=O)[O-] ZINC000830520135 624770385 /nfs/dbraw/zinc/77/03/85/624770385.db2.gz QJZVZHZYBSJMOD-VIFPVBQESA-N 0 0 296.289 2.623 20 5 CFBDRN Cc1cc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])nn1C ZINC000921134286 624809266 /nfs/dbraw/zinc/80/92/66/624809266.db2.gz OJEUMVRZMFHJGM-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN O=C(CC1CC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000921134883 624809966 /nfs/dbraw/zinc/80/99/66/624809966.db2.gz UAWQFUICDGXONG-UHFFFAOYSA-N 0 0 255.657 2.954 20 5 CFBDRN CC(C)(CCC(=O)N1CCSC(C)(C)CC1)[N+](=O)[O-] ZINC000830791266 624874099 /nfs/dbraw/zinc/87/40/99/624874099.db2.gz RIFSPFWCXQYSFE-UHFFFAOYSA-N 0 0 288.413 2.566 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@H]1CCC(C)(C)C1 ZINC000831010352 624952153 /nfs/dbraw/zinc/95/21/53/624952153.db2.gz SIRJIZWBYBOLHV-NSHDSACASA-N 0 0 270.373 2.859 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000067900612 624963947 /nfs/dbraw/zinc/96/39/47/624963947.db2.gz BEWMWQNNTFFLFV-WDEREUQCSA-N 0 0 278.308 2.596 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccon1 ZINC000179528990 624966197 /nfs/dbraw/zinc/96/61/97/624966197.db2.gz DXJJUAUXDBUZHF-MRVPVSSYSA-N 0 0 293.304 2.702 20 5 CFBDRN Cc1cnc(COc2cc(C=O)ccc2[N+](=O)[O-])s1 ZINC000179747122 624975736 /nfs/dbraw/zinc/97/57/36/624975736.db2.gz YBDDNABYGYYZDO-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000831238326 624976179 /nfs/dbraw/zinc/97/61/79/624976179.db2.gz ZBKCTXRYWWDXLQ-ZDUSSCGKSA-N 0 0 288.347 2.916 20 5 CFBDRN CC(C)(CCC(=O)NCC(C)(C)C(F)(F)F)[N+](=O)[O-] ZINC000831352969 624996801 /nfs/dbraw/zinc/99/68/01/624996801.db2.gz HOZKZGKLVAQNAP-UHFFFAOYSA-N 0 0 284.278 2.527 20 5 CFBDRN CCCOCC(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000068490930 625002640 /nfs/dbraw/zinc/00/26/40/625002640.db2.gz YSBRTIYVNDZUHK-JTQLQIEISA-N 0 0 267.281 2.626 20 5 CFBDRN Cc1cc(C(=O)NCCC2CC=CC2)c(N)c([N+](=O)[O-])c1 ZINC000831441910 625021630 /nfs/dbraw/zinc/02/16/30/625021630.db2.gz IZNZPIWFTDIULG-UHFFFAOYSA-N 0 0 289.335 2.572 20 5 CFBDRN COc1ccc(OC(=O)c2cccs2)c([N+](=O)[O-])c1 ZINC000068720398 625022413 /nfs/dbraw/zinc/02/24/13/625022413.db2.gz WWBNHTRFZUVQOC-UHFFFAOYSA-N 0 0 279.273 2.884 20 5 CFBDRN CCOC1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000851553463 634428414 /nfs/dbraw/zinc/42/84/14/634428414.db2.gz QFFCYYVNXRIYLN-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ncc(C)cc2C)c1 ZINC000831558344 625053015 /nfs/dbraw/zinc/05/30/15/625053015.db2.gz IMRJETSESOKPFT-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1cnc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)c(C)c1 ZINC000831563434 625055221 /nfs/dbraw/zinc/05/52/21/625055221.db2.gz AJRQYTQSUVTMGN-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1CC3(CC3)C1)CC2 ZINC000831682046 625094836 /nfs/dbraw/zinc/09/48/36/625094836.db2.gz VGPLUCSUCAMFCQ-UHFFFAOYSA-N 0 0 286.331 2.983 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C[C@@H]1F ZINC000831800823 625136150 /nfs/dbraw/zinc/13/61/50/625136150.db2.gz XWDYXLBIASYKBZ-PELKAZGASA-N 0 0 284.262 2.554 20 5 CFBDRN C[C@H](NC(=O)c1ccc(F)nc1)c1cccc([N+](=O)[O-])c1 ZINC000070082042 625266441 /nfs/dbraw/zinc/26/64/41/625266441.db2.gz SVIXPWQCLGYALU-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN CCC(CC)c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000834585318 625719584 /nfs/dbraw/zinc/71/95/84/625719584.db2.gz MOLIODSMSICEOF-UHFFFAOYSA-N 0 0 255.318 2.961 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])CCS1 ZINC000834679305 625780586 /nfs/dbraw/zinc/78/05/86/625780586.db2.gz YJNSDBYDNUMCNN-WPRPVWTQSA-N 0 0 298.339 2.748 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000834707510 625795465 /nfs/dbraw/zinc/79/54/65/625795465.db2.gz VXAJFTGMRKUYHI-MWLCHTKSSA-N 0 0 280.349 2.609 20 5 CFBDRN Cc1c([C@H](C)N[C@H]2CCn3ccnc32)cccc1[N+](=O)[O-] ZINC000834837424 625896059 /nfs/dbraw/zinc/89/60/59/625896059.db2.gz WFQJCRQQMCAKMK-AAEUAGOBSA-N 0 0 286.335 2.895 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000768896567 625908739 /nfs/dbraw/zinc/90/87/39/625908739.db2.gz KOVDCARQAZMSNV-SNVBAGLBSA-N 0 0 298.364 2.875 20 5 CFBDRN CCO[C@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000929220720 625977960 /nfs/dbraw/zinc/97/79/60/625977960.db2.gz BFCAMMAGUOTIFX-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1c(NC(=O)N2CCO[C@@H](C)CC2)cccc1[N+](=O)[O-] ZINC000929221390 625978835 /nfs/dbraw/zinc/97/88/35/625978835.db2.gz OJIYMGNQMNHFCK-JTQLQIEISA-N 0 0 293.323 2.546 20 5 CFBDRN O=C(OCc1ncc(Cl)s1)c1ccc([N+](=O)[O-])o1 ZINC000771880653 625987786 /nfs/dbraw/zinc/98/77/86/625987786.db2.gz AAQZJIAAUWBWCJ-UHFFFAOYSA-N 0 0 288.668 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2ccncc2)c1C ZINC000888336224 625997336 /nfs/dbraw/zinc/99/73/36/625997336.db2.gz RDNQUZYTZNKOCZ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC(=O)CCCC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000772373688 626023029 /nfs/dbraw/zinc/02/30/29/626023029.db2.gz FUWZMKSYYZTABR-NSHDSACASA-N 0 0 279.292 2.958 20 5 CFBDRN C[C@H](NCc1cnn(CCF)c1)c1ccccc1[N+](=O)[O-] ZINC000921968590 626187375 /nfs/dbraw/zinc/18/73/75/626187375.db2.gz YUZYXVRKHQPZPR-NSHDSACASA-N 0 0 292.314 2.612 20 5 CFBDRN C[C@@H](NC(=O)NC1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000922193407 626237145 /nfs/dbraw/zinc/23/71/45/626237145.db2.gz FGWWZVSEZSWYMD-MRVPVSSYSA-N 0 0 299.277 2.753 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCCCO2)c1C ZINC000888433837 626246409 /nfs/dbraw/zinc/24/64/09/626246409.db2.gz CHHDFBJOVFROGS-GFCCVEGCSA-N 0 0 292.335 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H](c2ncccn2)C2CC2)cc1 ZINC000922583536 626317794 /nfs/dbraw/zinc/31/77/94/626317794.db2.gz UVBAJHWLRUKVRE-CQSZACIVSA-N 0 0 284.319 2.626 20 5 CFBDRN COC[C@@H](C)OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000073833096 626394099 /nfs/dbraw/zinc/39/40/99/626394099.db2.gz LGUOUIMROUPPLW-NXEZZACHSA-N 0 0 299.348 2.654 20 5 CFBDRN Cc1ncc(COc2ccc(C=O)cc2[N+](=O)[O-])s1 ZINC000058863941 626409442 /nfs/dbraw/zinc/40/94/42/626409442.db2.gz DRBNVGANPXPGHO-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000004580265 626442303 /nfs/dbraw/zinc/44/23/03/626442303.db2.gz WPNXUSMNGQHVPR-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000923241152 626459279 /nfs/dbraw/zinc/45/92/79/626459279.db2.gz OKSOOLGMLJXKJA-PWSUYJOCSA-N 0 0 275.308 2.674 20 5 CFBDRN CCN(CC[N+](=O)[O-])Cc1ccc(C(F)(F)F)cc1 ZINC000929385410 626470940 /nfs/dbraw/zinc/47/09/40/626470940.db2.gz RDWMBFOMOZPZJM-UHFFFAOYSA-N 0 0 276.258 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CNC(CF)CF ZINC000824554090 626480496 /nfs/dbraw/zinc/48/04/96/626480496.db2.gz ACBMVRURIVDJLC-UHFFFAOYSA-N 0 0 264.659 2.645 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@@]2(C)C(C)C)c(N)c([N+](=O)[O-])c1 ZINC000838041648 626484366 /nfs/dbraw/zinc/48/43/66/626484366.db2.gz NOBRTSJWKWXHCI-DOMZBBRYSA-N 0 0 291.351 2.650 20 5 CFBDRN Nc1nccnc1SCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000788097660 626489376 /nfs/dbraw/zinc/48/93/76/626489376.db2.gz IWTVXJTUJQTLLW-UHFFFAOYSA-N 0 0 296.739 2.913 20 5 CFBDRN CCCCCCOC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838284847 626491825 /nfs/dbraw/zinc/49/18/25/626491825.db2.gz MAQSIZRODHXUJY-ZDUSSCGKSA-N 0 0 281.308 2.752 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCCCO1 ZINC000838438376 626497808 /nfs/dbraw/zinc/49/78/08/626497808.db2.gz YKWQVYDTXMJKIP-CQSZACIVSA-N 0 0 297.282 2.736 20 5 CFBDRN C[C@@]1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCCCO1 ZINC000838819177 626507882 /nfs/dbraw/zinc/50/78/82/626507882.db2.gz HKZZKFKZHYQTRP-ZDUSSCGKSA-N 0 0 283.255 2.599 20 5 CFBDRN COCC1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCCC1 ZINC000838818900 626507937 /nfs/dbraw/zinc/50/79/37/626507937.db2.gz DLCFGQNTLQBOTC-UHFFFAOYSA-N 0 0 297.282 2.846 20 5 CFBDRN CCCOC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] ZINC000103221357 626509938 /nfs/dbraw/zinc/50/99/38/626509938.db2.gz FMUDNLRIJAAWBV-UHFFFAOYSA-N 0 0 285.321 2.892 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(C2)CCOCC3)cc1Cl ZINC000839140859 626528567 /nfs/dbraw/zinc/52/85/67/626528567.db2.gz JOIDGEJQBSYUOJ-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)c1ccn(C)n1 ZINC000839193158 626530990 /nfs/dbraw/zinc/53/09/90/626530990.db2.gz ZCIXBAGQVSATQL-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN CCCCOCCOC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000103331398 626531561 /nfs/dbraw/zinc/53/15/61/626531561.db2.gz UAFQQOOHEJSOJA-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000839223380 626531629 /nfs/dbraw/zinc/53/16/29/626531629.db2.gz JBMSKWGOMSRDKI-VXNVDRBHSA-N 0 0 252.245 2.719 20 5 CFBDRN CC(=O)c1c(C)cccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000839328825 626533803 /nfs/dbraw/zinc/53/38/03/626533803.db2.gz IEZFKUGSFJWPRP-UHFFFAOYSA-N 0 0 292.335 2.972 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000839449333 626537114 /nfs/dbraw/zinc/53/71/14/626537114.db2.gz VKCNVOOJMJJUDA-AOOOYVTPSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@@H](O)c1ccccc1 ZINC000808130248 626540924 /nfs/dbraw/zinc/54/09/24/626540924.db2.gz JXQCFCDDTDHOJW-LDYMZIIASA-N 0 0 279.321 2.585 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1cncs1 ZINC000756994272 626543112 /nfs/dbraw/zinc/54/31/12/626543112.db2.gz SSHZERYYQPWXTL-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)C1=CCCCO1 ZINC000756990848 626543212 /nfs/dbraw/zinc/54/32/12/626543212.db2.gz MESUMWSZIJJPCX-UHFFFAOYSA-N 0 0 296.710 2.559 20 5 CFBDRN CS/C=C/C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756991374 626543235 /nfs/dbraw/zinc/54/32/35/626543235.db2.gz PFFUSMQCQSGGHP-SNAWJCMRSA-N 0 0 286.740 2.741 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2cccc([N+](=O)[O-])c2)O1 ZINC000757137725 626545824 /nfs/dbraw/zinc/54/58/24/626545824.db2.gz UPCNIIDUSOIKCF-QWHCGFSZSA-N 0 0 279.292 2.596 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(C/C=C\Cl)C2 ZINC000757168139 626546052 /nfs/dbraw/zinc/54/60/52/626546052.db2.gz WDNRBDSJUBLNBT-KXFIGUGUSA-N 0 0 252.701 2.705 20 5 CFBDRN Cc1ccc([C@@H]2C[C@@H](C)CCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])o1 ZINC000839695019 626551368 /nfs/dbraw/zinc/55/13/68/626551368.db2.gz VFQFKHQVLBVPKY-SQNXGDPESA-N 0 0 292.335 2.553 20 5 CFBDRN C[C@@H]1[C@H](CO)CCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000839669354 626551693 /nfs/dbraw/zinc/55/16/93/626551693.db2.gz OVRVSJVCGLYOCC-MNOVXSKESA-N 0 0 298.770 2.841 20 5 CFBDRN CC[C@H](CN(CC)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000839730045 626553574 /nfs/dbraw/zinc/55/35/74/626553574.db2.gz YKYUSKFNOXKHRO-BPLDGKMQSA-N 0 0 290.363 2.694 20 5 CFBDRN CCC/C=C\C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757644431 626557051 /nfs/dbraw/zinc/55/70/51/626557051.db2.gz WNTYOZQXUPWPEG-YWEYNIOJSA-N 0 0 274.320 2.836 20 5 CFBDRN CC[C@@](C)(CO)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000757768429 626562274 /nfs/dbraw/zinc/56/22/74/626562274.db2.gz RUEDTLFNBYMVKX-LBPRGKRZSA-N 0 0 281.337 2.777 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000758079720 626575849 /nfs/dbraw/zinc/57/58/49/626575849.db2.gz QHJBEJNYTFXZNF-SSDOTTSWSA-N 0 0 270.260 2.662 20 5 CFBDRN Cc1cnc(N(C)Cc2cc([N+](=O)[O-])ccc2Cl)nc1 ZINC000758149708 626579030 /nfs/dbraw/zinc/57/90/30/626579030.db2.gz GNSNIYZNYBWKAP-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)Cc2ccoc2)c1[N+](=O)[O-] ZINC000758436698 626593839 /nfs/dbraw/zinc/59/38/39/626593839.db2.gz ASQGILPPNSYFAE-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN CC1(CC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000758756185 626607178 /nfs/dbraw/zinc/60/71/78/626607178.db2.gz CFZMQEFWIONXOX-UHFFFAOYSA-N 0 0 253.229 2.830 20 5 CFBDRN Cc1ccccc1COC(=O)c1cccc([N+](=O)[O-])c1N ZINC000758953311 626620226 /nfs/dbraw/zinc/62/02/26/626620226.db2.gz GUQVRRKZCVFUMJ-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN CSCCOC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000759400235 626653846 /nfs/dbraw/zinc/65/38/46/626653846.db2.gz SVEUTWBYPMBQHG-UHFFFAOYSA-N 0 0 294.332 2.525 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000759507204 626665685 /nfs/dbraw/zinc/66/56/85/626665685.db2.gz NXQJZLISOMOFSZ-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CC23CCC3)c([N+](=O)[O-])c1 ZINC000759835531 626694817 /nfs/dbraw/zinc/69/48/17/626694817.db2.gz RZTYZRCNXSJVAA-JTQLQIEISA-N 0 0 261.277 2.999 20 5 CFBDRN CCCC(=O)O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000759936204 626703390 /nfs/dbraw/zinc/70/33/90/626703390.db2.gz UEURVOYFNDFYQJ-GHMZBOCLSA-N 0 0 281.312 2.618 20 5 CFBDRN O=C(COC(=O)[C@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000759989887 626707633 /nfs/dbraw/zinc/70/76/33/626707633.db2.gz QXKFGWBRWWTXAI-GFCCVEGCSA-N 0 0 289.287 2.511 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CCSC1 ZINC000760015743 626709232 /nfs/dbraw/zinc/70/92/32/626709232.db2.gz HYGRMEQPPQURMG-VIFPVBQESA-N 0 0 267.306 2.562 20 5 CFBDRN Cc1ncc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])s1 ZINC000760017207 626709718 /nfs/dbraw/zinc/70/97/18/626709718.db2.gz MZWRVLCJLUMYJU-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN Cc1c(CC(=O)N[C@@H](C)c2ccc[nH]2)cccc1[N+](=O)[O-] ZINC000760416241 626743727 /nfs/dbraw/zinc/74/37/27/626743727.db2.gz LQNBKVZYEIAJDZ-NSHDSACASA-N 0 0 287.319 2.651 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=CCC2)c(OC(F)F)c1 ZINC000760579756 626757050 /nfs/dbraw/zinc/75/70/50/626757050.db2.gz HHQUURQFDZSRRF-UHFFFAOYSA-N 0 0 270.235 2.963 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCCO1 ZINC000760780081 626772433 /nfs/dbraw/zinc/77/24/33/626772433.db2.gz PLUHVGODAAKDJV-JTQLQIEISA-N 0 0 299.710 2.892 20 5 CFBDRN O=C(OCc1cncs1)c1ccc([N+](=O)[O-])cc1F ZINC000761140317 626810341 /nfs/dbraw/zinc/81/03/41/626810341.db2.gz FFCXHBHKQFTMDY-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN C[C@H](Cc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1)C1CC1 ZINC000761244091 626818365 /nfs/dbraw/zinc/81/83/65/626818365.db2.gz HSQLHRLLBYDWNM-MRVPVSSYSA-N 0 0 288.307 2.816 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2ccccc2[N+](=O)[O-])CCC1=O ZINC000761456463 626836951 /nfs/dbraw/zinc/83/69/51/626836951.db2.gz IBTIAXZMNUVXJE-QWRGUYRKSA-N 0 0 291.303 2.643 20 5 CFBDRN O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)C1=CCCC1 ZINC000761562286 626846773 /nfs/dbraw/zinc/84/67/73/626846773.db2.gz FXOKBYWHWDYYAD-UHFFFAOYSA-N 0 0 288.259 2.890 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000762017640 626881138 /nfs/dbraw/zinc/88/11/38/626881138.db2.gz FJTOYCJVUROMMF-ONGXEEELSA-N 0 0 281.333 2.952 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@H]1CCSC1 ZINC000762019646 626881897 /nfs/dbraw/zinc/88/18/97/626881897.db2.gz UPTABBKPFPBHML-QMMMGPOBSA-N 0 0 285.296 2.530 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@H]1CCSC1 ZINC000762029143 626882635 /nfs/dbraw/zinc/88/26/35/626882635.db2.gz BCVFIOUJNORHGF-VIFPVBQESA-N 0 0 285.296 2.530 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000762039508 626883165 /nfs/dbraw/zinc/88/31/65/626883165.db2.gz VPMFRHLCCLEETO-LLVKDONJSA-N 0 0 281.333 2.700 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762072216 626886735 /nfs/dbraw/zinc/88/67/35/626886735.db2.gz DOBCKTUHGILUJX-GHMZBOCLSA-N 0 0 276.292 2.749 20 5 CFBDRN O=C(OC[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])cn1 ZINC000762077329 626888010 /nfs/dbraw/zinc/88/80/10/626888010.db2.gz YVFZAKSTLMWVMQ-JTQLQIEISA-N 0 0 262.265 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCSC1 ZINC000762150646 626897883 /nfs/dbraw/zinc/89/78/83/626897883.db2.gz RWIZRFPMFJNIEC-JTQLQIEISA-N 0 0 281.333 2.813 20 5 CFBDRN Cc1c(C(=O)OC[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000762148678 626897925 /nfs/dbraw/zinc/89/79/25/626897925.db2.gz MRKNVWDTIQBKSN-JTQLQIEISA-N 0 0 281.333 2.813 20 5 CFBDRN CC(C)CC1CCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000762187909 626902081 /nfs/dbraw/zinc/90/20/81/626902081.db2.gz SCGHNLOATZJBDJ-UHFFFAOYSA-N 0 0 291.351 2.888 20 5 CFBDRN Cc1cc(OC[C@@H]2CC3(CC3)C(=O)O2)c(F)cc1[N+](=O)[O-] ZINC000762216822 626904548 /nfs/dbraw/zinc/90/45/48/626904548.db2.gz TZENMHZRTSRGIX-VIFPVBQESA-N 0 0 295.266 2.517 20 5 CFBDRN Cc1c(Cc2noc(C3=COCC3)n2)cccc1[N+](=O)[O-] ZINC000762320946 626910327 /nfs/dbraw/zinc/91/03/27/626910327.db2.gz PSGBTDZAHGCPGI-UHFFFAOYSA-N 0 0 287.275 2.638 20 5 CFBDRN O=C1O[C@@H](CSCc2ccccc2[N+](=O)[O-])CC12CC2 ZINC000762430258 626915747 /nfs/dbraw/zinc/91/57/47/626915747.db2.gz XAIKHUOIBGJGEI-LLVKDONJSA-N 0 0 293.344 2.924 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCCC[C@@H]1c1ccccc1 ZINC000762466058 626917298 /nfs/dbraw/zinc/91/72/98/626917298.db2.gz JVWOTWHKYZGVKY-CQSZACIVSA-N 0 0 286.335 2.976 20 5 CFBDRN CN(C)c1cc(CSc2ccc([N+](=O)[O-])cn2)ccn1 ZINC000762476639 626918018 /nfs/dbraw/zinc/91/80/18/626918018.db2.gz GFVIETACEIKRTC-UHFFFAOYSA-N 0 0 290.348 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1ccnc(N(C)C)c1 ZINC000762472894 626918046 /nfs/dbraw/zinc/91/80/46/626918046.db2.gz BVJTWLXXNJLHLC-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CCC1(C(=O)OCCc2ccccc2[N+](=O)[O-])CC1 ZINC000762700439 626930802 /nfs/dbraw/zinc/93/08/02/626930802.db2.gz YMTSQXMVGHHIBA-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN CCCCCOC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762972398 626953210 /nfs/dbraw/zinc/95/32/10/626953210.db2.gz SZDXJQOPKAXPKP-UHFFFAOYSA-N 0 0 295.320 2.947 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762970565 626953453 /nfs/dbraw/zinc/95/34/53/626953453.db2.gz LTVHVBZVIWQBFZ-SSDOTTSWSA-N 0 0 295.320 2.802 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1C/C(Cl)=C/Cl ZINC000763172206 626968279 /nfs/dbraw/zinc/96/82/79/626968279.db2.gz XZSQJYLWPJADJS-XQRVVYSFSA-N 0 0 289.074 2.822 20 5 CFBDRN Cc1cc(=O)[nH]c(CSCc2ccccc2[N+](=O)[O-])n1 ZINC000763208013 626970504 /nfs/dbraw/zinc/97/05/04/626970504.db2.gz GJYWTEKAFPLGHL-UHFFFAOYSA-N 0 0 291.332 2.832 20 5 CFBDRN Cc1cc(=O)[nH]c(CSCc2cccc([N+](=O)[O-])c2)n1 ZINC000763217205 626970944 /nfs/dbraw/zinc/97/09/44/626970944.db2.gz RNVCUNSCKGCITB-UHFFFAOYSA-N 0 0 291.332 2.832 20 5 CFBDRN CCOC(=O)[C@H](C)NCc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000763273465 626975139 /nfs/dbraw/zinc/97/51/39/626975139.db2.gz ZPNMGTAVYRUITQ-NSHDSACASA-N 0 0 294.351 2.759 20 5 CFBDRN Cc1cc(-c2nc([C@@]3(C)CCCO3)no2)ccc1[N+](=O)[O-] ZINC000763316152 626978781 /nfs/dbraw/zinc/97/87/81/626978781.db2.gz KTUKLLPNAPTOMC-CQSZACIVSA-N 0 0 289.291 2.979 20 5 CFBDRN C[C@@H](C(=O)OCc1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000763397243 626985151 /nfs/dbraw/zinc/98/51/51/626985151.db2.gz FMFOEPKUOFQPDI-SECBINFHSA-N 0 0 292.316 2.898 20 5 CFBDRN CSCCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763402542 626985760 /nfs/dbraw/zinc/98/57/60/626985760.db2.gz JXJBOAHBTLEXRN-SECBINFHSA-N 0 0 269.322 2.605 20 5 CFBDRN CC(C)CC(=O)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763411243 626986871 /nfs/dbraw/zinc/98/68/71/626986871.db2.gz ZRWHCAVRNDWUMP-LLVKDONJSA-N 0 0 293.319 2.857 20 5 CFBDRN CCCC(=O)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763420424 626988096 /nfs/dbraw/zinc/98/80/96/626988096.db2.gz DFJNYSJEAGPGIY-SNVBAGLBSA-N 0 0 279.292 2.611 20 5 CFBDRN CCCC[C@H](C)OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000763537121 626996041 /nfs/dbraw/zinc/99/60/41/626996041.db2.gz RJTRQTZAXAEKHB-VIFPVBQESA-N 0 0 266.297 2.913 20 5 CFBDRN COc1ccc(C(=O)OCCC2(C)CC2)cc1[N+](=O)[O-] ZINC000764240795 627047396 /nfs/dbraw/zinc/04/73/96/627047396.db2.gz XBVOFAQQGHBYAV-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN Cc1cnc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)o1 ZINC000764275867 627049626 /nfs/dbraw/zinc/04/96/26/627049626.db2.gz OFZXXSALIAQASD-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN C[C@@H](OC(=O)[C@@]1(C)CCCO1)c1ccccc1[N+](=O)[O-] ZINC000764597175 627074593 /nfs/dbraw/zinc/07/45/93/627074593.db2.gz LTCBXXPLXQRNJP-QMTHXVAHSA-N 0 0 279.292 2.768 20 5 CFBDRN COCC(C)(C)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000764597645 627074657 /nfs/dbraw/zinc/07/46/57/627074657.db2.gz NIXRYOWSSFOBSS-JTQLQIEISA-N 0 0 281.308 2.872 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000764817902 627097355 /nfs/dbraw/zinc/09/73/55/627097355.db2.gz MDEYFPGGVGETSX-VIFPVBQESA-N 0 0 291.307 2.878 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)COC ZINC000765604840 627150116 /nfs/dbraw/zinc/15/01/16/627150116.db2.gz OUCKQNCUABDABK-YPMHNXCESA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCCF ZINC000765609093 627150795 /nfs/dbraw/zinc/15/07/95/627150795.db2.gz RPOGLNVEKLFBBY-LLVKDONJSA-N 0 0 269.272 2.676 20 5 CFBDRN C[C@H](CC1CCC1)[NH2+]Cc1cc([N+](=O)[O-])ccc1[O-] ZINC000765621568 627151245 /nfs/dbraw/zinc/15/12/45/627151245.db2.gz VMSGRQXRJRSECU-SNVBAGLBSA-N 0 0 264.325 2.969 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OC[C@@H]1CCCOC1 ZINC000766296571 627192105 /nfs/dbraw/zinc/19/21/05/627192105.db2.gz AUPLGFGNDKFXSK-DMTLFAOVSA-N 0 0 291.303 2.578 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1cscn1 ZINC000766393491 627199239 /nfs/dbraw/zinc/19/92/39/627199239.db2.gz UHBFODRSUIILEW-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN C[C@@H]1CCN(c2nc3ccccn3c2[N+](=O)[O-])C[C@@H]1C ZINC000766442549 627203432 /nfs/dbraw/zinc/20/34/32/627203432.db2.gz AYTQCBIUSJZASJ-MNOVXSKESA-N 0 0 274.324 2.725 20 5 CFBDRN C[C@@H]1CCC[C@H](CCOC(=O)c2c([N+](=O)[O-])cnn2C)C1 ZINC000766660136 627213289 /nfs/dbraw/zinc/21/32/89/627213289.db2.gz DHWPTLGZYSHHGE-GHMZBOCLSA-N 0 0 295.339 2.702 20 5 CFBDRN O=C(NN1CCCc2ccccc21)c1ccccc1[N+](=O)[O-] ZINC000767058821 627232978 /nfs/dbraw/zinc/23/29/78/627232978.db2.gz GNFDICWJOWXVNI-UHFFFAOYSA-N 0 0 297.314 2.692 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])OCCCF ZINC000767237280 627240616 /nfs/dbraw/zinc/24/06/16/627240616.db2.gz ADCNYNSDGCKQNL-UHFFFAOYSA-N 0 0 273.285 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@@H]1CCC=CO1)CCN2 ZINC000767327366 627243410 /nfs/dbraw/zinc/24/34/10/627243410.db2.gz KSGWDLBFTBSEIV-JTQLQIEISA-N 0 0 275.308 2.667 20 5 CFBDRN CC/C=C/CCOC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000767566861 627262203 /nfs/dbraw/zinc/26/22/03/627262203.db2.gz RKFABHPFQVGLAJ-ONEGZZNKSA-N 0 0 282.271 2.829 20 5 CFBDRN Cc1cc(CNc2ccc(Cl)c([N+](=O)[O-])c2)nn1C ZINC000767803130 627286676 /nfs/dbraw/zinc/28/66/76/627286676.db2.gz HSQMQKSLTNRUEY-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CCCNC(=S)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000768480316 627347078 /nfs/dbraw/zinc/34/70/78/627347078.db2.gz PZBKZICLGAECLV-UHFFFAOYSA-N 0 0 293.392 2.844 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CC2(CC(F)C2)C1 ZINC000768714380 627366282 /nfs/dbraw/zinc/36/62/82/627366282.db2.gz DDESQVZWXIHXAC-UHFFFAOYSA-N 0 0 298.701 2.822 20 5 CFBDRN Cc1cc(Cl)ccc1Cn1cnc([N+](=O)[O-])c1 ZINC000768791662 627373877 /nfs/dbraw/zinc/37/38/77/627373877.db2.gz ASQVUDSEJJUFMP-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@H](F)c1ccccc1 ZINC000768983481 627392297 /nfs/dbraw/zinc/39/22/97/627392297.db2.gz JYMAHGXXRAFBKG-CQSZACIVSA-N 0 0 288.278 2.922 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC[C@H]1c1ncc[nH]1 ZINC000851886854 634571884 /nfs/dbraw/zinc/57/18/84/634571884.db2.gz JVWZXAUAYWMAOI-LBPRGKRZSA-N 0 0 290.298 2.794 20 5 CFBDRN COC[C@@H](C)COC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000769333879 627425898 /nfs/dbraw/zinc/42/58/98/627425898.db2.gz SDWBTDJSAJTJML-OYGDSYQHSA-N 0 0 293.319 2.824 20 5 CFBDRN COC[C@H](C)COC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000769333877 627425984 /nfs/dbraw/zinc/42/59/84/627425984.db2.gz SDWBTDJSAJTJML-LCFDYFRESA-N 0 0 293.319 2.824 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C[C@@H]1CCCOC1 ZINC000769849146 627481427 /nfs/dbraw/zinc/48/14/27/627481427.db2.gz BWJUIILFXAZFMH-NEPJUHHUSA-N 0 0 276.336 2.772 20 5 CFBDRN CO[C@@H](C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000769862659 627482119 /nfs/dbraw/zinc/48/21/19/627482119.db2.gz OCKAVYGPCAXJFM-GXFFZTMASA-N 0 0 281.308 2.870 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CCO[C@@H](C)C2)c1 ZINC000769920104 627487501 /nfs/dbraw/zinc/48/75/01/627487501.db2.gz MHGMNSYPSRTSIN-DTWKUNHWSA-N 0 0 284.287 2.722 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CCO[C@H](C)C2)c1 ZINC000769920101 627487857 /nfs/dbraw/zinc/48/78/57/627487857.db2.gz MHGMNSYPSRTSIN-BDAKNGLRSA-N 0 0 284.287 2.722 20 5 CFBDRN CNc1ncc(C(=O)OCc2csc([N+](=O)[O-])c2)s1 ZINC000770044443 627498311 /nfs/dbraw/zinc/49/83/11/627498311.db2.gz UWSSFMARTVSVLF-UHFFFAOYSA-N 0 0 299.333 2.512 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc2nccn21 ZINC000770059569 627499002 /nfs/dbraw/zinc/49/90/02/627499002.db2.gz ZFLVRWWLBGYSQA-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCc2ncccc21 ZINC000770230026 627509331 /nfs/dbraw/zinc/50/93/31/627509331.db2.gz SYFQFTHDAHTDJR-VMPITWQZSA-N 0 0 295.298 2.592 20 5 CFBDRN CC(C)[C@](C)(O)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000770533596 627527870 /nfs/dbraw/zinc/52/78/70/627527870.db2.gz CPHRPEGVMXDTAQ-HZMBPMFUSA-N 0 0 281.308 2.606 20 5 CFBDRN C/C=C(/C=C\C(=O)OCCn1c(C)ncc1[N+](=O)[O-])CC ZINC000770744952 627545660 /nfs/dbraw/zinc/54/56/60/627545660.db2.gz XWHGHWVZHLREQR-WLWVQNKQSA-N 0 0 293.323 2.555 20 5 CFBDRN C[C@@H](CC(=O)Nc1cc([N+](=O)[O-])ccc1[O-])C1CC1 ZINC000770858523 627551692 /nfs/dbraw/zinc/55/16/92/627551692.db2.gz UXFOMQRJIULPLY-QMMMGPOBSA-N 0 0 264.281 2.675 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2cccc([N+](=O)[O-])c2)o1 ZINC000770907738 627556729 /nfs/dbraw/zinc/55/67/29/627556729.db2.gz DOAMWIVZDKFUQM-SECBINFHSA-N 0 0 276.248 2.809 20 5 CFBDRN C[C@H]1CCC[C@@H](COC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000770925964 627559088 /nfs/dbraw/zinc/55/90/88/627559088.db2.gz GUYIAWUFYTVFKK-WDEREUQCSA-N 0 0 280.324 2.916 20 5 CFBDRN C[C@H]1CCC[C@@H](COC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000770925896 627559334 /nfs/dbraw/zinc/55/93/34/627559334.db2.gz FVAYUJMVKPWGIF-WDEREUQCSA-N 0 0 280.324 2.916 20 5 CFBDRN O=C(OCCSC(F)(F)F)c1ccc([N+](=O)[O-])o1 ZINC000770939097 627561600 /nfs/dbraw/zinc/56/16/00/627561600.db2.gz QFEKTYCEJHYFBS-UHFFFAOYSA-N 0 0 285.199 2.598 20 5 CFBDRN C[C@H]1CCC[C@@H](COC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000770960835 627564641 /nfs/dbraw/zinc/56/46/41/627564641.db2.gz GUMPIGKMYVDANZ-NWDGAFQWSA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@@H]1OCC[C@H]1OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000771057309 627574114 /nfs/dbraw/zinc/57/41/14/627574114.db2.gz MADMQMQUGQFHGO-GZMMTYOYSA-N 0 0 291.259 2.675 20 5 CFBDRN C[C@@H]1OCC[C@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000771076734 627575417 /nfs/dbraw/zinc/57/54/17/627575417.db2.gz FHVBNYDSKNGDGQ-GZMMTYOYSA-N 0 0 299.710 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC3(O)CCCC3)n2)s1 ZINC000771223153 627585919 /nfs/dbraw/zinc/58/59/19/627585919.db2.gz CXZFQIVOFYIGGO-UHFFFAOYSA-N 0 0 295.320 2.554 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H](C)C[C@@H]2CCOC2)c1 ZINC000771234564 627587060 /nfs/dbraw/zinc/58/70/60/627587060.db2.gz FYMRMYYBARUEEV-UWVGGRQHSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1ncccc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771482476 627607915 /nfs/dbraw/zinc/60/79/15/627607915.db2.gz YZLIWFJXPQMVQS-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C1(F)CCCC1 ZINC000771941241 627651927 /nfs/dbraw/zinc/65/19/27/627651927.db2.gz WRRCZOPUHSUEAP-UHFFFAOYSA-N 0 0 253.229 2.783 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2ncccc2C)c1 ZINC000771972661 627656532 /nfs/dbraw/zinc/65/65/32/627656532.db2.gz JETYJUWZDUWLMA-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CCc1cc(CNc2cccc(OC)c2[N+](=O)[O-])[nH]n1 ZINC000772062030 627668929 /nfs/dbraw/zinc/66/89/29/627668929.db2.gz ARUHNLQKAKXXCD-UHFFFAOYSA-N 0 0 276.296 2.501 20 5 CFBDRN Cc1ccc(COC(=O)c2cc([N+](=O)[O-])ccc2C)nc1 ZINC000772270544 627695194 /nfs/dbraw/zinc/69/51/94/627695194.db2.gz VUIHXMDFUGGSKV-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000772280212 627696153 /nfs/dbraw/zinc/69/61/53/627696153.db2.gz HTGHHQFSRSSMMP-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCc2ncccc21 ZINC000772405169 627708551 /nfs/dbraw/zinc/70/85/51/627708551.db2.gz IBRSWEFORRVGAO-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000772490034 627713657 /nfs/dbraw/zinc/71/36/57/627713657.db2.gz KOHUUQNCCIUODN-CHWSQXEVSA-N 0 0 291.303 2.613 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000772497465 627713913 /nfs/dbraw/zinc/71/39/13/627713913.db2.gz DBKDGOBVRFNXKV-VHSXEESVSA-N 0 0 269.322 2.992 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000772554534 627721269 /nfs/dbraw/zinc/72/12/69/627721269.db2.gz GSFZHVPHZDJATI-MFKMUULPSA-N 0 0 279.292 2.768 20 5 CFBDRN COCCN(CC(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000772899312 627756700 /nfs/dbraw/zinc/75/67/00/627756700.db2.gz UXTYPVNAPJMFPB-UHFFFAOYSA-N 0 0 270.304 2.843 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000772899730 627757051 /nfs/dbraw/zinc/75/70/51/627757051.db2.gz VVTGTDNOCDMZEE-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN C[C@@H](NC(=O)COC1(C)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000773039260 627773511 /nfs/dbraw/zinc/77/35/11/627773511.db2.gz WHUKPCWDBWOYFN-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1nnc(CN2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)s1 ZINC000773200523 627794266 /nfs/dbraw/zinc/79/42/66/627794266.db2.gz JIKQMIQFASSYGZ-QMMMGPOBSA-N 0 0 290.348 2.706 20 5 CFBDRN COCCC1(C(=O)OCc2csc([N+](=O)[O-])c2)CC1 ZINC000773251204 627798800 /nfs/dbraw/zinc/79/88/00/627798800.db2.gz NDESYCKQCDGSBI-UHFFFAOYSA-N 0 0 285.321 2.516 20 5 CFBDRN CSCCOC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000773514287 627831346 /nfs/dbraw/zinc/83/13/46/627831346.db2.gz BRIPFWZCNDSWSU-UHFFFAOYSA-N 0 0 275.713 2.768 20 5 CFBDRN O=C(Nc1cc(=O)c(Cl)c[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000773652183 627846414 /nfs/dbraw/zinc/84/64/14/627846414.db2.gz NTOGTQPMNPEFKL-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN CC(C)=CC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773989871 627876817 /nfs/dbraw/zinc/87/68/17/627876817.db2.gz KEIXPLUIAHAJIO-UHFFFAOYSA-N 0 0 260.293 2.759 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccccn1)CC2 ZINC000773996167 627877486 /nfs/dbraw/zinc/87/74/86/627877486.db2.gz IQYCPVJPXNVOJH-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC(=O)[C@@H](C)CC(C)(C)C ZINC000774000815 627877545 /nfs/dbraw/zinc/87/75/45/627877545.db2.gz ONCOGMCZWVBWHM-JTQLQIEISA-N 0 0 297.355 2.715 20 5 CFBDRN Cc1c(C(=O)Nc2c[nH]nc2Cl)cccc1[N+](=O)[O-] ZINC000774231972 627906918 /nfs/dbraw/zinc/90/69/18/627906918.db2.gz XJCCEGGJSHIYKM-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN COc1cccc(C(=O)OCC2(C)CCC2)c1[N+](=O)[O-] ZINC000774265908 627910005 /nfs/dbraw/zinc/91/00/05/627910005.db2.gz KCIDEEANSALCGO-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](O)c1ccc(F)cc1Cl ZINC000774649778 627945304 /nfs/dbraw/zinc/94/53/04/627945304.db2.gz XFOJSTYSGUBOLU-NSHDSACASA-N 0 0 299.689 2.626 20 5 CFBDRN Cc1cccc(OC(=O)c2nn(C)cc2[N+](=O)[O-])c1Cl ZINC000774651927 627945334 /nfs/dbraw/zinc/94/53/34/627945334.db2.gz BOXCKWHIRPPQQK-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000774720404 627952297 /nfs/dbraw/zinc/95/22/97/627952297.db2.gz SHHUARGLBIKAHV-MNOVXSKESA-N 0 0 279.292 2.567 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)C[C@H]2CCOC2)cc([N+](=O)[O-])c1 ZINC000774736283 627954543 /nfs/dbraw/zinc/95/45/43/627954543.db2.gz RXJYXIPOCAENJW-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)ns1 ZINC000774783806 627962152 /nfs/dbraw/zinc/96/21/52/627962152.db2.gz DVSNBIWLUXNNBW-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN C[C@@H](CC1CCCCC1)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000774808990 627965210 /nfs/dbraw/zinc/96/52/10/627965210.db2.gz OOSACQPDGVXODQ-JTQLQIEISA-N 0 0 295.339 2.844 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCC(=O)[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000775478281 628029263 /nfs/dbraw/zinc/02/92/63/628029263.db2.gz TWCRXAOADRQFRH-QWRGUYRKSA-N 0 0 291.303 2.814 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000775492501 628031369 /nfs/dbraw/zinc/03/13/69/628031369.db2.gz PHCAJPOOCGYFSS-WDEREUQCSA-N 0 0 291.303 2.814 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CCC(=O)[C@H](C)C1 ZINC000775492545 628031591 /nfs/dbraw/zinc/03/15/91/628031591.db2.gz QBPVLGOQRYAQSR-MNOVXSKESA-N 0 0 291.303 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N2CCC(F)(F)C2)cc1 ZINC000776269639 628125070 /nfs/dbraw/zinc/12/50/70/628125070.db2.gz ZNRONUFDPGXYIY-UHFFFAOYSA-N 0 0 287.291 2.633 20 5 CFBDRN Cc1nn(CN2CCC(F)(F)C2)c2cc([N+](=O)[O-])ccc12 ZINC000776293104 628127494 /nfs/dbraw/zinc/12/74/94/628127494.db2.gz QDXUWRVVVQGZGD-UHFFFAOYSA-N 0 0 296.277 2.551 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1(F)CCCC1 ZINC000776306787 628129671 /nfs/dbraw/zinc/12/96/71/628129671.db2.gz QXPWPCLTAOZZLE-UHFFFAOYSA-N 0 0 252.245 2.816 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCCCCCCO ZINC000776360488 628135992 /nfs/dbraw/zinc/13/59/92/628135992.db2.gz AMINDVFLBRVPMH-YFHOEESVSA-N 0 0 293.319 2.704 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000776417314 628147172 /nfs/dbraw/zinc/14/71/72/628147172.db2.gz RUOCFUHIPLVQNM-CMPLNLGQSA-N 0 0 291.303 2.757 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)OCCOc2cccc([N+](=O)[O-])c2)C1 ZINC000776427677 628149539 /nfs/dbraw/zinc/14/95/39/628149539.db2.gz XAIBEYDIBVZBQU-VXGBXAGGSA-N 0 0 293.319 2.953 20 5 CFBDRN Cc1ccc2c(c1)N(C[C@@H](O)c1ccc([N+](=O)[O-])cc1)CC2 ZINC000776589027 628162507 /nfs/dbraw/zinc/16/25/07/628162507.db2.gz YXYLXNPKRDWDAH-QGZVFWFLSA-N 0 0 298.342 2.999 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000777073169 628197865 /nfs/dbraw/zinc/19/78/65/628197865.db2.gz FDZGLAPYKHTKJU-MRVPVSSYSA-N 0 0 297.332 2.841 20 5 CFBDRN COC[C@@H](C)OC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000777316683 628214044 /nfs/dbraw/zinc/21/40/44/628214044.db2.gz ZTPNQLOWQKQNJU-MRVPVSSYSA-N 0 0 285.321 2.508 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)NOC1CCCCC1 ZINC000777438130 628225932 /nfs/dbraw/zinc/22/59/32/628225932.db2.gz XZOGVZACWVDRQB-UHFFFAOYSA-N 0 0 293.323 2.658 20 5 CFBDRN Cc1cccc(C(=O)O[C@H]2CCCCC2=O)c1[N+](=O)[O-] ZINC000777748524 628251017 /nfs/dbraw/zinc/25/10/17/628251017.db2.gz FTDRSMZYNZRFCQ-LBPRGKRZSA-N 0 0 277.276 2.572 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000777806963 628254007 /nfs/dbraw/zinc/25/40/07/628254007.db2.gz CJCSBAMVNYMVTK-GHMZBOCLSA-N 0 0 293.348 2.776 20 5 CFBDRN C[C@@](O)(CCNc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000777842584 628256495 /nfs/dbraw/zinc/25/64/95/628256495.db2.gz HTSKQTDFCYZEOR-OAHLLOKOSA-N 0 0 287.319 2.700 20 5 CFBDRN CCCCCNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777876536 628260524 /nfs/dbraw/zinc/26/05/24/628260524.db2.gz JKACRJWZIRURTP-UHFFFAOYSA-N 0 0 286.278 2.993 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@H]1F ZINC000777998622 628271604 /nfs/dbraw/zinc/27/16/04/628271604.db2.gz CNSUYOAIMPWWDH-GZMMTYOYSA-N 0 0 256.252 2.918 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@@H]1F ZINC000778000617 628272021 /nfs/dbraw/zinc/27/20/21/628272021.db2.gz QXXWRSVJFRAABU-WPRPVWTQSA-N 0 0 283.259 2.687 20 5 CFBDRN C[C@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000778051570 628275641 /nfs/dbraw/zinc/27/56/41/628275641.db2.gz FEWLFTRUQQWUCY-VIFPVBQESA-N 0 0 298.289 2.991 20 5 CFBDRN Cn1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)c1 ZINC000778091082 628276946 /nfs/dbraw/zinc/27/69/46/628276946.db2.gz BBXVSNLYRUAGGE-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)OCCc1ccccc1 ZINC000778143963 628280283 /nfs/dbraw/zinc/28/02/83/628280283.db2.gz PXUHGEKNILPHQH-UHFFFAOYSA-N 0 0 286.287 2.577 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)OCc1cccc(F)c1 ZINC000778145331 628280617 /nfs/dbraw/zinc/28/06/17/628280617.db2.gz TWGKLSIGAYJFGO-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)OC/C(Cl)=C/Cl ZINC000778145246 628280683 /nfs/dbraw/zinc/28/06/83/628280683.db2.gz KDUTWSKTNYWJEZ-XQRVVYSFSA-N 0 0 291.090 2.653 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000778359007 628298785 /nfs/dbraw/zinc/29/87/85/628298785.db2.gz CDWZPOZPNRTGSG-PSASIEDQSA-N 0 0 299.348 2.937 20 5 CFBDRN CC[C@@]1(O)CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000778401363 628305161 /nfs/dbraw/zinc/30/51/61/628305161.db2.gz WILAUUGBLRSXOR-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H](O)C(C)(C)C ZINC000778557089 628315561 /nfs/dbraw/zinc/31/55/61/628315561.db2.gz MWXMJBMXJCDTCO-GXFFZTMASA-N 0 0 295.335 2.914 20 5 CFBDRN COc1cc(COC(=O)c2ccoc2)ccc1[N+](=O)[O-] ZINC000778765036 628330477 /nfs/dbraw/zinc/33/04/77/628330477.db2.gz AEIJDLTZBXQWBC-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1cnn(C)c1 ZINC000778758599 628330609 /nfs/dbraw/zinc/33/06/09/628330609.db2.gz WMXYGWQODNRGED-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN COc1cc(COC(=O)c2ccoc2C)ccc1[N+](=O)[O-] ZINC000778763607 628330644 /nfs/dbraw/zinc/33/06/44/628330644.db2.gz WWEADDULXPXKFG-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COCCCC(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778778446 628331263 /nfs/dbraw/zinc/33/12/63/628331263.db2.gz JOXWCSVQVCPWFU-LLVKDONJSA-N 0 0 281.308 2.934 20 5 CFBDRN CC[C@@H](OC)C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778786674 628331374 /nfs/dbraw/zinc/33/13/74/628331374.db2.gz AOPRHICOTBSZKX-GXFFZTMASA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@@H]1CCOC1 ZINC000778787694 628331590 /nfs/dbraw/zinc/33/15/90/628331590.db2.gz MHWYSEXKWIGTOG-GHMZBOCLSA-N 0 0 279.292 2.544 20 5 CFBDRN CC(C)CC(=O)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778785562 628331801 /nfs/dbraw/zinc/33/18/01/628331801.db2.gz ADQYZIGWVPDLAC-AWEZNQCLSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1CCC[C@H]1O ZINC000778812722 628333386 /nfs/dbraw/zinc/33/33/86/628333386.db2.gz WGYHHLOHOHTXKF-VHRBIJSZSA-N 0 0 293.319 2.668 20 5 CFBDRN CCC1(C(=O)O[C@@H](C)c2cc([N+](=O)[O-])ccc2C)COC1 ZINC000778821917 628334409 /nfs/dbraw/zinc/33/44/09/628334409.db2.gz JYJCOLUYKLPDTQ-NSHDSACASA-N 0 0 293.319 2.934 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000778898531 628339354 /nfs/dbraw/zinc/33/93/54/628339354.db2.gz HXPNTKKDVKGLQK-QMMMGPOBSA-N 0 0 281.264 2.669 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1ccc(F)nc1)C2 ZINC000779157975 628357323 /nfs/dbraw/zinc/35/73/23/628357323.db2.gz LUPOVGVOWKRLBB-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN Cc1cccc(C)c1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000779533988 628387122 /nfs/dbraw/zinc/38/71/22/628387122.db2.gz LSCKNRTWRXTJHO-UHFFFAOYSA-N 0 0 299.330 2.724 20 5 CFBDRN Cc1nn(CN2CC[C@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000779860313 628414900 /nfs/dbraw/zinc/41/49/00/628414900.db2.gz WNOJEGBDZZUZBN-ZDUSSCGKSA-N 0 0 272.308 2.504 20 5 CFBDRN Cc1nn(CN2Cc3ccccc3[C@@H]2C)cc1[N+](=O)[O-] ZINC000779865835 628415530 /nfs/dbraw/zinc/41/55/30/628415530.db2.gz ROVVWJHJGWPKKN-NSHDSACASA-N 0 0 272.308 2.634 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780077469 628431090 /nfs/dbraw/zinc/43/10/90/628431090.db2.gz ZBUJYZPMIFOPRS-MRVPVSSYSA-N 0 0 253.254 2.503 20 5 CFBDRN CCOC(Cn1nc(C)c2cc([N+](=O)[O-])ccc21)OCC ZINC000780346582 628457403 /nfs/dbraw/zinc/45/74/03/628457403.db2.gz JPFMKOWIUIZTNF-UHFFFAOYSA-N 0 0 293.323 2.652 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)O[C@H]1C=CCC1 ZINC000780556813 628475878 /nfs/dbraw/zinc/47/58/78/628475878.db2.gz YNDJJBCFRINBJO-RSPDNQDQSA-N 0 0 259.261 2.870 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCC=CO2)c1 ZINC000780621964 628482620 /nfs/dbraw/zinc/48/26/20/628482620.db2.gz IJNNOZOKJWPNSS-SECBINFHSA-N 0 0 282.271 2.847 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCC=CO2)c1 ZINC000780621965 628482823 /nfs/dbraw/zinc/48/28/23/628482823.db2.gz IJNNOZOKJWPNSS-VIFPVBQESA-N 0 0 282.271 2.847 20 5 CFBDRN CC[C@H](C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1C ZINC000780635528 628483598 /nfs/dbraw/zinc/48/35/98/628483598.db2.gz YQDBXHUKXQBQQN-JTQLQIEISA-N 0 0 281.308 2.871 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)c1ccco1 ZINC000780634785 628483996 /nfs/dbraw/zinc/48/39/96/628483996.db2.gz COWMFIZHUCXCAA-UHFFFAOYSA-N 0 0 291.259 2.732 20 5 CFBDRN Cc1nn(C)c(C(=O)OCCCC(C)(C)C)c1[N+](=O)[O-] ZINC000781169344 628528868 /nfs/dbraw/zinc/52/88/68/628528868.db2.gz MXOHVEDJZRARGT-UHFFFAOYSA-N 0 0 283.328 2.620 20 5 CFBDRN Cc1nscc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000781272310 628537761 /nfs/dbraw/zinc/53/77/61/628537761.db2.gz UNCPNVXKSOBWJT-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1cc(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)co1 ZINC000781371066 628543497 /nfs/dbraw/zinc/54/34/97/628543497.db2.gz PRKKYPRWDDRSHG-UHFFFAOYSA-N 0 0 289.243 2.536 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Oc2cccnc2F)n1 ZINC000781665142 628571649 /nfs/dbraw/zinc/57/16/49/628571649.db2.gz YXBYNRJRPXXPHZ-UHFFFAOYSA-N 0 0 263.228 2.933 20 5 CFBDRN O=C(OCCCF)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000781712978 628575095 /nfs/dbraw/zinc/57/50/95/628575095.db2.gz OYXWYINGSBJBSL-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN CC[C@@H](C)OC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000781738009 628576781 /nfs/dbraw/zinc/57/67/81/628576781.db2.gz YTVGLNGDNCAYNX-SECBINFHSA-N 0 0 296.279 2.777 20 5 CFBDRN COC1(C(=O)OCc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000781738979 628576835 /nfs/dbraw/zinc/57/68/35/628576835.db2.gz UNNMWQHFWBVNLG-UHFFFAOYSA-N 0 0 279.292 2.516 20 5 CFBDRN CC(C)[C@H]1CCCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000781795381 628581735 /nfs/dbraw/zinc/58/17/35/628581735.db2.gz SYENQKDSLPOBPT-LBPRGKRZSA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1cc(OCCNC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC000782111733 628605835 /nfs/dbraw/zinc/60/58/35/628605835.db2.gz TUPVDAPSNULMOV-UHFFFAOYSA-N 0 0 296.323 2.807 20 5 CFBDRN COCc1cc(Oc2ccc(Cl)cc2[N+](=O)[O-])ncn1 ZINC000782133305 628606649 /nfs/dbraw/zinc/60/66/49/628606649.db2.gz MBSUHSSWVSZDBU-UHFFFAOYSA-N 0 0 295.682 2.977 20 5 CFBDRN Cc1ccc(F)cc1COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000782294491 628625313 /nfs/dbraw/zinc/62/53/13/628625313.db2.gz CNRLGILHZMZZJN-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CO[C@@H](C)CCC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000782364158 628632013 /nfs/dbraw/zinc/63/20/13/628632013.db2.gz GYDOUTKJMSRESF-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN CO[C@H](C)CCC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000782364159 628632233 /nfs/dbraw/zinc/63/22/33/628632233.db2.gz GYDOUTKJMSRESF-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@@H](OC(=O)c1cncs1)c1ccccc1[N+](=O)[O-] ZINC000782620452 628649597 /nfs/dbraw/zinc/64/95/97/628649597.db2.gz RNEYXOZATZSZEW-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN C[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])[C@H](C)[C@@H](C)O1 ZINC000782628453 628650177 /nfs/dbraw/zinc/65/01/77/628650177.db2.gz NLEHCHXUYFHBEF-GMTAPVOTSA-N 0 0 282.315 2.732 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2cc([N+](=O)[O-])ccc2F)CCO1 ZINC000782992369 628668034 /nfs/dbraw/zinc/66/80/34/628668034.db2.gz DPPLMFUVSCAILS-VHSXEESVSA-N 0 0 297.282 2.706 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783008179 628669230 /nfs/dbraw/zinc/66/92/30/628669230.db2.gz TXKSHVPTKDOZOI-SNVBAGLBSA-N 0 0 299.298 2.838 20 5 CFBDRN COc1cc([N+](=O)[O-])c(C(=O)OC2CC2)cc1SC ZINC000783182391 628682333 /nfs/dbraw/zinc/68/23/33/628682333.db2.gz MRKJAUHDVHLFSA-UHFFFAOYSA-N 0 0 283.305 2.645 20 5 CFBDRN CSc1cccc(C(=O)OC2CC2)c1[N+](=O)[O-] ZINC000783187048 628683023 /nfs/dbraw/zinc/68/30/23/628683023.db2.gz QBUPPDFEJBYZIJ-UHFFFAOYSA-N 0 0 253.279 2.636 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783204406 628685823 /nfs/dbraw/zinc/68/58/23/628685823.db2.gz RWAPFKXBRQPDAZ-SECBINFHSA-N 0 0 297.282 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@H+](C2CC2)C2(CO)CCC2)cc1F ZINC000852158873 634675150 /nfs/dbraw/zinc/67/51/50/634675150.db2.gz JGCRXFVOKYHNLK-UHFFFAOYSA-N 0 0 294.326 2.613 20 5 CFBDRN C[C@H]1COCCCN1Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000783569312 628721464 /nfs/dbraw/zinc/72/14/64/628721464.db2.gz DNWQKCJHRIJBJP-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1c(CSCCSCCO)cccc1[N+](=O)[O-] ZINC000783608107 628726614 /nfs/dbraw/zinc/72/66/14/628726614.db2.gz NGVTVFJLVCTPOY-UHFFFAOYSA-N 0 0 287.406 2.862 20 5 CFBDRN C/C(=C/C(=O)NCc1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000783682750 628734540 /nfs/dbraw/zinc/73/45/40/628734540.db2.gz ZDAMQLSZLMZVRH-LUAWRHEFSA-N 0 0 286.287 2.908 20 5 CFBDRN CCc1ocnc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783764448 628743383 /nfs/dbraw/zinc/74/33/83/628743383.db2.gz YARHKOWPSIOPJJ-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CO[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000784051359 628769189 /nfs/dbraw/zinc/76/91/89/628769189.db2.gz LTJBQKZRMFZZAU-FOGDFJRCSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CO[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000784066545 628771456 /nfs/dbraw/zinc/77/14/56/628771456.db2.gz QEFCUCBFSOJGAR-CKYFFXLPSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CO[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000784066551 628771483 /nfs/dbraw/zinc/77/14/83/628771483.db2.gz QEFCUCBFSOJGAR-JFGNBEQYSA-N 0 0 279.292 2.624 20 5 CFBDRN C/C(=C/C(=O)N1CC=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000784103661 628776363 /nfs/dbraw/zinc/77/63/63/628776363.db2.gz SQOSMLDSZVLEMF-QXMHVHEDSA-N 0 0 272.304 2.787 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000784258850 628796052 /nfs/dbraw/zinc/79/60/52/628796052.db2.gz KJGZUBSFEZZMNN-JTQLQIEISA-N 0 0 279.292 2.853 20 5 CFBDRN CC(C)c1ccc(C(=O)OC[C@H](C)CO)cc1[N+](=O)[O-] ZINC000784257017 628796095 /nfs/dbraw/zinc/79/60/95/628796095.db2.gz OFMNAASTPKRUGP-SNVBAGLBSA-N 0 0 281.308 2.503 20 5 CFBDRN Cc1c(COC(=O)[C@H](F)C(C)C)cccc1[N+](=O)[O-] ZINC000784305837 628803049 /nfs/dbraw/zinc/80/30/49/628803049.db2.gz QBTARSFDLOYSSE-GFCCVEGCSA-N 0 0 269.272 2.941 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCO[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000784491924 628821249 /nfs/dbraw/zinc/82/12/49/628821249.db2.gz CGDXSQZLEWVOIS-JBLDHEPKSA-N 0 0 279.292 2.624 20 5 CFBDRN CC[C@@H](Nc1nc2ccccn2c1[N+](=O)[O-])c1ncc[nH]1 ZINC000784525808 628824546 /nfs/dbraw/zinc/82/45/46/628824546.db2.gz NLBROBZAWKZXDT-SECBINFHSA-N 0 0 286.295 2.529 20 5 CFBDRN Cc1cccc(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000784601338 628830277 /nfs/dbraw/zinc/83/02/77/628830277.db2.gz TULKHHADBOCQHV-UHFFFAOYSA-N 0 0 299.282 2.943 20 5 CFBDRN CO[C@H](C)CCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784646585 628833546 /nfs/dbraw/zinc/83/35/46/628833546.db2.gz NRIHIYDORGLKIO-SECBINFHSA-N 0 0 285.271 2.592 20 5 CFBDRN COCCCOC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784845882 628845809 /nfs/dbraw/zinc/84/58/09/628845809.db2.gz GKVFXCPFOIMMCA-KHPPLWFESA-N 0 0 279.292 2.578 20 5 CFBDRN CSC1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000784904603 628850356 /nfs/dbraw/zinc/85/03/56/628850356.db2.gz MIFWEPXSCZFQJN-UHFFFAOYSA-N 0 0 285.296 2.673 20 5 CFBDRN CC(C)/C=C\C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000785070887 628864933 /nfs/dbraw/zinc/86/49/33/628864933.db2.gz UTUOVQGPWFWFND-SREVYHEPSA-N 0 0 267.256 2.989 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1ccc(F)nc1 ZINC000785640934 628900501 /nfs/dbraw/zinc/90/05/01/628900501.db2.gz UAQCPOAAHFOHEE-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCCC1(O)CC1 ZINC000785688015 628905743 /nfs/dbraw/zinc/90/57/43/628905743.db2.gz DVIQBBPONUOQDZ-UHFFFAOYSA-N 0 0 293.319 2.592 20 5 CFBDRN CC(C)(F)COC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000785925458 628923801 /nfs/dbraw/zinc/92/38/01/628923801.db2.gz LRHINCRTRWUNHO-UHFFFAOYSA-N 0 0 287.312 2.978 20 5 CFBDRN Cc1c(C(=O)OCC(C)(C)F)cccc1[N+](=O)[O-] ZINC000785925168 628923862 /nfs/dbraw/zinc/92/38/62/628923862.db2.gz SXWQWVWLEKITPR-UHFFFAOYSA-N 0 0 255.245 2.808 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCc1ccon1 ZINC000785936548 628925412 /nfs/dbraw/zinc/92/54/12/628925412.db2.gz PCFMORSXGJEIEV-UHFFFAOYSA-N 0 0 296.666 2.522 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCCOCC1 ZINC000786374437 628952214 /nfs/dbraw/zinc/95/22/14/628952214.db2.gz MXNXIZZCERZWGL-SECBINFHSA-N 0 0 299.710 2.970 20 5 CFBDRN COC/C=C/C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000786600669 628970835 /nfs/dbraw/zinc/97/08/35/628970835.db2.gz ACXXYVCQCASMEH-ZWNMCFTASA-N 0 0 279.292 2.710 20 5 CFBDRN Nc1c(F)c(NCCc2cccc(O)c2)ccc1[N+](=O)[O-] ZINC000786778402 628979124 /nfs/dbraw/zinc/97/91/24/628979124.db2.gz NLHVJIZDAQYKDS-UHFFFAOYSA-N 0 0 291.282 2.676 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(c1ncncc1Cl)C2 ZINC000786793661 628979606 /nfs/dbraw/zinc/97/96/06/628979606.db2.gz SEWHCWSJDJBSMI-UHFFFAOYSA-N 0 0 290.710 2.601 20 5 CFBDRN Cc1cccc2nc(CNc3ccc(N)cc3[N+](=O)[O-])cn21 ZINC000786803700 628980023 /nfs/dbraw/zinc/98/00/23/628980023.db2.gz CUWUSAXVWDTESV-UHFFFAOYSA-N 0 0 297.318 2.745 20 5 CFBDRN CCOC(CCNc1ccc([N+](=O)[O-])s1)OCC ZINC000786825603 628981305 /nfs/dbraw/zinc/98/13/05/628981305.db2.gz WOHZBYZHQZLCCN-UHFFFAOYSA-N 0 0 274.342 2.857 20 5 CFBDRN Nc1ccc(OCc2cccnc2Cl)cc1[N+](=O)[O-] ZINC000786864328 628981970 /nfs/dbraw/zinc/98/19/70/628981970.db2.gz LABQBZZGGGQPQT-UHFFFAOYSA-N 0 0 279.683 2.804 20 5 CFBDRN Nc1ccc(OCc2noc3c2CCCC3)cc1[N+](=O)[O-] ZINC000786862830 628982148 /nfs/dbraw/zinc/98/21/48/628982148.db2.gz GLBQRQIPXBUQQG-UHFFFAOYSA-N 0 0 289.291 2.623 20 5 CFBDRN Cc1cccc(C)c1CNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000787125109 628994861 /nfs/dbraw/zinc/99/48/61/628994861.db2.gz DACNCGSQTPDYFN-UHFFFAOYSA-N 0 0 299.330 2.724 20 5 CFBDRN O=C(OCc1ccc(F)cn1)c1ccc([N+](=O)[O-])cc1F ZINC000787654770 629023969 /nfs/dbraw/zinc/02/39/69/629023969.db2.gz BRVPANHKXFWYPI-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(OCC(F)(F)F)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000787914593 629042184 /nfs/dbraw/zinc/04/21/84/629042184.db2.gz LPPDATHMGXDRHF-UHFFFAOYSA-N 0 0 283.133 2.812 20 5 CFBDRN COCSc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000788005287 629047486 /nfs/dbraw/zinc/04/74/86/629047486.db2.gz WCZYIROARHALIL-UHFFFAOYSA-N 0 0 256.308 2.901 20 5 CFBDRN CCC[C@@](C)(NCc1ccc([N+](=O)[O-])c(F)c1)C(=O)OC ZINC000788480554 629073288 /nfs/dbraw/zinc/07/32/88/629073288.db2.gz LXMDNEIJETUSJQ-CQSZACIVSA-N 0 0 298.314 2.555 20 5 CFBDRN Cc1cc(C(=O)OCc2cccc([N+](=O)[O-])c2)ccn1 ZINC000789026579 629118382 /nfs/dbraw/zinc/11/83/82/629118382.db2.gz LVDISWUFFRZDHF-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C[C@H]1CC[C@@H](COC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)O1 ZINC000789079765 629124081 /nfs/dbraw/zinc/12/40/81/629124081.db2.gz RVLSMOTYXRKRFT-WPRPVWTQSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1cnc(COC(=O)[C@H]2CC23CCC3)c(C)c1[N+](=O)[O-] ZINC000789439556 629157191 /nfs/dbraw/zinc/15/71/91/629157191.db2.gz ALVHWJDFMMIYHY-LLVKDONJSA-N 0 0 290.319 2.840 20 5 CFBDRN Cc1cnc(COC(=O)CSC(C)C)c(C)c1[N+](=O)[O-] ZINC000789437948 629157202 /nfs/dbraw/zinc/15/72/02/629157202.db2.gz RYAKZVDCGKJAPF-UHFFFAOYSA-N 0 0 298.364 2.791 20 5 CFBDRN CN1CC[C@@H](Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C1=O ZINC000789681863 629181580 /nfs/dbraw/zinc/18/15/80/629181580.db2.gz TZKZITUPFSTNJO-GFCCVEGCSA-N 0 0 291.351 2.535 20 5 CFBDRN COC(=O)c1cnc(SCc2ccco2)c([N+](=O)[O-])c1 ZINC000790094793 629210414 /nfs/dbraw/zinc/21/04/14/629210414.db2.gz IGKDKMVTBKHXGR-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN O=C(OCC(F)(F)F)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000790243057 629222414 /nfs/dbraw/zinc/22/24/14/629222414.db2.gz VDODWSRDLYIRMH-UHFFFAOYSA-N 0 0 283.133 2.812 20 5 CFBDRN CCOc1cc(OC[C@H]2COC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000790290923 629226561 /nfs/dbraw/zinc/22/65/61/629226561.db2.gz PIZMYHNBRRZGSP-NSHDSACASA-N 0 0 297.307 2.524 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCC1=CCCC1 ZINC000790822559 629266589 /nfs/dbraw/zinc/26/65/89/629266589.db2.gz DZVLTKIBULVPCH-UHFFFAOYSA-N 0 0 261.277 2.791 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)OCC1=CCCC1 ZINC000790832247 629268657 /nfs/dbraw/zinc/26/86/57/629268657.db2.gz DCETYSFBUMXDOM-UHFFFAOYSA-N 0 0 277.276 2.627 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](C)c2ccc[nH]2)c1F ZINC000790887964 629270101 /nfs/dbraw/zinc/27/01/01/629270101.db2.gz FIXDMPDNKXZRKZ-VIFPVBQESA-N 0 0 291.282 2.861 20 5 CFBDRN Cc1ccnc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])c1 ZINC000791351330 629303662 /nfs/dbraw/zinc/30/36/62/629303662.db2.gz HQKAWZKZVZADLN-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC[C@@H](C)CC(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000791501161 629322701 /nfs/dbraw/zinc/32/27/01/629322701.db2.gz BPUVUKXBTCQNDZ-SNVBAGLBSA-N 0 0 279.292 2.757 20 5 CFBDRN Cc1ccnc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000791565946 629333358 /nfs/dbraw/zinc/33/33/58/629333358.db2.gz LJLMOHSRSUYWSY-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC1(CCNC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000791814125 629361573 /nfs/dbraw/zinc/36/15/73/629361573.db2.gz ZXWNVUNEIWPQTJ-UHFFFAOYSA-N 0 0 298.289 2.993 20 5 CFBDRN CCC[C@@H](C)COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000791893840 629372840 /nfs/dbraw/zinc/37/28/40/629372840.db2.gz BFSPVVXXHXENRI-LLVKDONJSA-N 0 0 281.308 2.953 20 5 CFBDRN O=C(/C=C\C1CC1)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791966694 629383785 /nfs/dbraw/zinc/38/37/85/629383785.db2.gz SCECSZUHOVRTCD-UTCJRWHESA-N 0 0 292.247 2.512 20 5 CFBDRN CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H](C)O ZINC000792090166 629396759 /nfs/dbraw/zinc/39/67/59/629396759.db2.gz WPYLURLHUIILKK-VIFPVBQESA-N 0 0 299.348 2.633 20 5 CFBDRN COc1ccc(OC(=O)c2ccc(C)cn2)cc1[N+](=O)[O-] ZINC000792123635 629400065 /nfs/dbraw/zinc/40/00/65/629400065.db2.gz HEZXFZGJSDVIGN-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN COCCC(C)(C)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000792588270 629436429 /nfs/dbraw/zinc/43/64/29/629436429.db2.gz GZAYPNRWTCMQEI-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000792672719 629443648 /nfs/dbraw/zinc/44/36/48/629443648.db2.gz GMOXSJGWAVIKLV-OCAPTIKFSA-N 0 0 268.700 2.871 20 5 CFBDRN Cc1nc(COC(=O)CCC(C)(C)[N+](=O)[O-])sc1C ZINC000835189470 629505311 /nfs/dbraw/zinc/50/53/11/629505311.db2.gz OPYIFBCTYKIKDZ-UHFFFAOYSA-N 0 0 286.353 2.639 20 5 CFBDRN CC(C)(CCC(=O)OCC1CCSCC1)[N+](=O)[O-] ZINC000835197175 629512311 /nfs/dbraw/zinc/51/23/11/629512311.db2.gz IDYKDDZKGWDFRL-UHFFFAOYSA-N 0 0 275.370 2.508 20 5 CFBDRN CNc1c(C(=O)OCCC(C)(F)F)cccc1[N+](=O)[O-] ZINC000793321768 629526831 /nfs/dbraw/zinc/52/68/31/629526831.db2.gz RDSDBMIZGUWRJN-UHFFFAOYSA-N 0 0 288.250 2.839 20 5 CFBDRN Cc1nn(CN(C)Cc2cccc(C)c2C)cc1[N+](=O)[O-] ZINC000793568165 629573593 /nfs/dbraw/zinc/57/35/93/629573593.db2.gz HYKPWDZRWZSOQZ-UHFFFAOYSA-N 0 0 288.351 2.806 20 5 CFBDRN O=C(OC[C@H]1CCCSC1)c1ccccc1[N+](=O)[O-] ZINC000806045289 629622444 /nfs/dbraw/zinc/62/24/44/629622444.db2.gz SILUNMFSWBANMM-SNVBAGLBSA-N 0 0 281.333 2.895 20 5 CFBDRN Cc1cc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)ncn1 ZINC000794458918 629648605 /nfs/dbraw/zinc/64/86/05/629648605.db2.gz QMYSMYSBTUBDIY-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000794756498 629684945 /nfs/dbraw/zinc/68/49/45/629684945.db2.gz GZQSHZZSNQPXKA-MFKMUULPSA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@@H](C)CONC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000794854701 629695245 /nfs/dbraw/zinc/69/52/45/629695245.db2.gz WFVUPESYANZBCL-MNOVXSKESA-N 0 0 280.324 2.792 20 5 CFBDRN CC1=C(C)CN(Cn2ncc3ccc([N+](=O)[O-])cc32)CC1 ZINC000794883200 629699760 /nfs/dbraw/zinc/69/97/60/629699760.db2.gz ZXBHGYQRQQJMOL-UHFFFAOYSA-N 0 0 286.335 2.944 20 5 CFBDRN C[C@H](CC(C)(C)O)OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000835420912 629706855 /nfs/dbraw/zinc/70/68/55/629706855.db2.gz SFZXIMXKMDDHPE-GHMZBOCLSA-N 0 0 295.335 2.791 20 5 CFBDRN CC(C)CCCCCCNC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000795036448 629727352 /nfs/dbraw/zinc/72/73/52/629727352.db2.gz NMFWRJGAWXFTHN-UHFFFAOYSA-N 0 0 282.344 2.654 20 5 CFBDRN CC[C@@H](C)NC(=S)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000795095065 629734179 /nfs/dbraw/zinc/73/41/79/629734179.db2.gz AEUPAYBMIKKCHR-LLVKDONJSA-N 0 0 295.408 2.742 20 5 CFBDRN CC(C)(CCC(=O)OCCc1cccc(F)c1)[N+](=O)[O-] ZINC000835450782 629734767 /nfs/dbraw/zinc/73/47/67/629734767.db2.gz RJSJHNAJUGWPSH-UHFFFAOYSA-N 0 0 283.299 2.747 20 5 CFBDRN CCC(=O)c1ccc(N[C@@](C)(CO)C2CC2)c([N+](=O)[O-])c1 ZINC000795113261 629735482 /nfs/dbraw/zinc/73/54/82/629735482.db2.gz ZBCQMSYSCQNRDP-HNNXBMFYSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1ncc(COc2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000795205189 629745523 /nfs/dbraw/zinc/74/55/23/629745523.db2.gz GXNJNYSEPPYFSE-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1ncc(COc2cc([N+](=O)[O-])ccc2Cl)cn1 ZINC000795213386 629746312 /nfs/dbraw/zinc/74/63/12/629746312.db2.gz CLBVNMWOAMRERR-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CCCc1nc(C)c(COC(=O)CCC(C)(C)[N+](=O)[O-])o1 ZINC000835465673 629753896 /nfs/dbraw/zinc/75/38/96/629753896.db2.gz AKIPEROBRCYUSM-UHFFFAOYSA-N 0 0 298.339 2.814 20 5 CFBDRN CCc1c2ccccc2oc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000835467802 629755539 /nfs/dbraw/zinc/75/55/39/629755539.db2.gz UQMBNBWCHWUIBG-VIFPVBQESA-N 0 0 277.276 2.817 20 5 CFBDRN Cc1cc(N2CCC(F)(F)CC2)ncc1[N+](=O)[O-] ZINC000795274758 629756549 /nfs/dbraw/zinc/75/65/49/629756549.db2.gz RZIGUYRNOUNLQK-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN CC1=C(C)CN(c2ccc([N+](=O)[O-])c3nonc32)CC1 ZINC000795302610 629759379 /nfs/dbraw/zinc/75/93/79/629759379.db2.gz JWLDWLONCJFPSD-UHFFFAOYSA-N 0 0 274.280 2.678 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCc1cncc(F)c1 ZINC000795370619 629768237 /nfs/dbraw/zinc/76/82/37/629768237.db2.gz VUUCMRCEKYWGJR-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H]1CCC[C@@H](O)C1 ZINC000795372290 629768473 /nfs/dbraw/zinc/76/84/73/629768473.db2.gz URJKWBGLZNWDNW-WCQYABFASA-N 0 0 293.319 2.611 20 5 CFBDRN CO[C@H](C)CCC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835480965 629769510 /nfs/dbraw/zinc/76/95/10/629769510.db2.gz RMUPOIXPUBEKIF-LLVKDONJSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2C[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000835480363 629770164 /nfs/dbraw/zinc/77/01/64/629770164.db2.gz MMOINPBEGXWIGC-UWVGGRQHSA-N 0 0 285.246 2.848 20 5 CFBDRN Cc1ccc(COC(=O)CC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000835485182 629775283 /nfs/dbraw/zinc/77/52/83/629775283.db2.gz MQODMBMHAQWSQZ-GFCCVEGCSA-N 0 0 293.319 2.763 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000835483493 629775454 /nfs/dbraw/zinc/77/54/54/629775454.db2.gz JOVRJZDQQCMWFZ-LLVKDONJSA-N 0 0 281.333 2.700 20 5 CFBDRN COC(C)(C)COC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000835498001 629791187 /nfs/dbraw/zinc/79/11/87/629791187.db2.gz CZICNTFVWXMKPL-VIFPVBQESA-N 0 0 299.298 2.806 20 5 CFBDRN C/C(=C/C(=O)OCCC[C@@H](C)O)c1ccc([N+](=O)[O-])cc1 ZINC000795589126 629808293 /nfs/dbraw/zinc/80/82/93/629808293.db2.gz XSRTXWSILORQPE-NLYDNYMLSA-N 0 0 293.319 2.702 20 5 CFBDRN CC/C=C/COC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000102124083 629814669 /nfs/dbraw/zinc/81/46/69/629814669.db2.gz QULSMWZWUQTQQI-SNAWJCMRSA-N 0 0 265.265 2.726 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccccc2)N(Cn2nccc2[N+](=O)[O-])C1 ZINC000795744188 629828935 /nfs/dbraw/zinc/82/89/35/629828935.db2.gz LLIJVYQRCCNWDZ-OCCSQVGLSA-N 0 0 286.335 2.832 20 5 CFBDRN CCC[C@@H](COC(=O)Cc1cccc([N+](=O)[O-])c1C)OC ZINC000835546542 629832985 /nfs/dbraw/zinc/83/29/85/629832985.db2.gz UJXWGMARFOCWDC-ZDUSSCGKSA-N 0 0 295.335 2.804 20 5 CFBDRN CC[C@@H](C)[C@H](CC)OC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000835586254 629857204 /nfs/dbraw/zinc/85/72/04/629857204.db2.gz DSEOUQMDRBNNRI-PWSUYJOCSA-N 0 0 297.355 2.858 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000796003769 629862508 /nfs/dbraw/zinc/86/25/08/629862508.db2.gz SVLAZBGTUZVPAX-ZWNOBZJWSA-N 0 0 281.308 2.699 20 5 CFBDRN O=C(CCC(F)F)OCCOc1ccccc1[N+](=O)[O-] ZINC000796021511 629865357 /nfs/dbraw/zinc/86/53/57/629865357.db2.gz LQVASQXKYKVFTG-UHFFFAOYSA-N 0 0 289.234 2.562 20 5 CFBDRN CCCc1[nH]nc(C(=O)O[C@@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000796262022 629897046 /nfs/dbraw/zinc/89/70/46/629897046.db2.gz VOPXEWJXWYRSNZ-SECBINFHSA-N 0 0 279.296 2.536 20 5 CFBDRN COc1c(C(=O)OCCCCC(C)=O)cccc1[N+](=O)[O-] ZINC000796307609 629901553 /nfs/dbraw/zinc/90/15/53/629901553.db2.gz VSRQJLLSWPEZIO-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CC[C@H](C)OC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796307920 629901578 /nfs/dbraw/zinc/90/15/78/629901578.db2.gz WFDSGQWYHBUXDC-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN CC[C@H](C)[C@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000835627144 629901964 /nfs/dbraw/zinc/90/19/64/629901964.db2.gz LJTGARKRUTYBST-ZANVPECISA-N 0 0 299.298 2.952 20 5 CFBDRN COc1c(C(=O)OCCC(C)(C)OC)cccc1[N+](=O)[O-] ZINC000796310174 629902644 /nfs/dbraw/zinc/90/26/44/629902644.db2.gz DILZHVDWWPCDFO-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CC[C@H](C)[C@@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)OC ZINC000835638805 629909451 /nfs/dbraw/zinc/90/94/51/629909451.db2.gz VZLNVOJBRXMBTR-SMDDNHRTSA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000796526340 629930406 /nfs/dbraw/zinc/93/04/06/629930406.db2.gz VIIMUIAJPPAVBB-NOZJJQNGSA-N 0 0 297.307 2.570 20 5 CFBDRN CSC[C@@H](C)COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000835673813 629935681 /nfs/dbraw/zinc/93/56/81/629935681.db2.gz SWMQLNRLHZQILZ-JTQLQIEISA-N 0 0 283.349 2.680 20 5 CFBDRN CS[C@H](C)COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000835681190 629948108 /nfs/dbraw/zinc/94/81/08/629948108.db2.gz JHSMOBFNOSBIKR-SECBINFHSA-N 0 0 269.322 2.812 20 5 CFBDRN CS[C@@H](C)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000835683080 629948655 /nfs/dbraw/zinc/94/86/55/629948655.db2.gz QKAKYTQITFEZJL-JTQLQIEISA-N 0 0 283.349 2.822 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H](C)CSC ZINC000835684577 629951448 /nfs/dbraw/zinc/95/14/48/629951448.db2.gz TYBWRKAZCFVXKN-VIFPVBQESA-N 0 0 299.348 2.759 20 5 CFBDRN CC(C)(C(=O)OCCc1ccccc1[N+](=O)[O-])C(F)F ZINC000796768513 629963052 /nfs/dbraw/zinc/96/30/52/629963052.db2.gz PRQBQPKZEZXDHF-UHFFFAOYSA-N 0 0 287.262 2.972 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000797144323 630025973 /nfs/dbraw/zinc/02/59/73/630025973.db2.gz QNAYHEKRNGVTGL-CHWSQXEVSA-N 0 0 279.292 2.709 20 5 CFBDRN COc1c(C(=O)OCCC(C)(F)F)cccc1[N+](=O)[O-] ZINC000797215261 630041635 /nfs/dbraw/zinc/04/16/35/630041635.db2.gz XAUCUWIEUUUXEK-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN Cc1cc(OCC(=O)NCCC2CCC2)ccc1[N+](=O)[O-] ZINC000797465005 630070463 /nfs/dbraw/zinc/07/04/63/630070463.db2.gz ULIGHKKSJPRPOR-UHFFFAOYSA-N 0 0 292.335 2.588 20 5 CFBDRN CC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1OC ZINC000797472184 630071112 /nfs/dbraw/zinc/07/11/12/630071112.db2.gz FCHAKFKTIZOAKS-VIFPVBQESA-N 0 0 267.281 2.693 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H]1C[C@H]1C(C)C ZINC000797476634 630071614 /nfs/dbraw/zinc/07/16/14/630071614.db2.gz WVLJYSFHCVLCMK-STQMWFEESA-N 0 0 293.319 2.939 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)/C=C\C(C)C ZINC000797477167 630071712 /nfs/dbraw/zinc/07/17/12/630071712.db2.gz FOFKIOLOXUACOO-DAXSKMNVSA-N 0 0 279.292 2.859 20 5 CFBDRN Cc1cc(OCC(=O)O[C@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000797495616 630074177 /nfs/dbraw/zinc/07/41/77/630074177.db2.gz KHSHOVLRNOXUFH-LBPRGKRZSA-N 0 0 291.303 2.934 20 5 CFBDRN CSCC[C@@H](C)OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000835814957 630081609 /nfs/dbraw/zinc/08/16/09/630081609.db2.gz BBZVHJJBUSQRJG-SNVBAGLBSA-N 0 0 283.349 2.822 20 5 CFBDRN Cc1cc[nH]c1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000797880209 630116996 /nfs/dbraw/zinc/11/69/96/630116996.db2.gz SVPWSPLJPVBDQI-UHFFFAOYSA-N 0 0 285.303 2.824 20 5 CFBDRN CO[C@@H]1C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C12CCC2 ZINC000835886521 630133643 /nfs/dbraw/zinc/13/36/43/630133643.db2.gz NOFRAFSRSBYRRR-CHWSQXEVSA-N 0 0 291.303 2.709 20 5 CFBDRN CC[C@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])CC(F)(F)F ZINC000839841869 630143642 /nfs/dbraw/zinc/14/36/42/630143642.db2.gz AORZFZDUWRNZSM-VIFPVBQESA-N 0 0 298.305 2.917 20 5 CFBDRN C[C@@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])CC(F)(F)F ZINC000839843213 630144312 /nfs/dbraw/zinc/14/43/12/630144312.db2.gz HRURZJOHWXOYOI-MRVPVSSYSA-N 0 0 284.278 2.527 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000798096011 630150493 /nfs/dbraw/zinc/15/04/93/630150493.db2.gz XBNZROZFJNTFHN-LDYMZIIASA-N 0 0 266.272 2.571 20 5 CFBDRN COc1cc(NC(=O)c2ccccc2[N+](=O)[O-])ccc1O ZINC000798098944 630150620 /nfs/dbraw/zinc/15/06/20/630150620.db2.gz FUTGFKRSXFIBET-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000835913923 630153837 /nfs/dbraw/zinc/15/38/37/630153837.db2.gz GKGDFZUNJBTPDU-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CC[C@](C)(COC(=O)c1ccccc1[N+](=O)[O-])OC ZINC000835956044 630175028 /nfs/dbraw/zinc/17/50/28/630175028.db2.gz BMGKKNHXCYIALM-CYBMUJFWSA-N 0 0 267.281 2.567 20 5 CFBDRN CC[C@](C)(COC(=O)c1cc([N+](=O)[O-])ccc1OC)OC ZINC000835959232 630179254 /nfs/dbraw/zinc/17/92/54/630179254.db2.gz KUIUZRYOWQKWHX-CQSZACIVSA-N 0 0 297.307 2.575 20 5 CFBDRN O=C(OCCOCC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000835980070 630196862 /nfs/dbraw/zinc/19/68/62/630196862.db2.gz SSSHBLUDCJIMQA-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN C[C@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)C1CC1 ZINC000798568328 630208107 /nfs/dbraw/zinc/20/81/07/630208107.db2.gz WJWMSRPGLMGFJX-ZETCQYMHSA-N 0 0 297.694 2.773 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OC[C@@H](C)CC(C)C ZINC000798606603 630213998 /nfs/dbraw/zinc/21/39/98/630213998.db2.gz LWUXPYXYJLRDGX-NSHDSACASA-N 0 0 297.355 2.715 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H](C)C(=O)C2CC2)cc1[N+](=O)[O-] ZINC000798628840 630217776 /nfs/dbraw/zinc/21/77/76/630217776.db2.gz PBOFXYZLSANEKW-VIFPVBQESA-N 0 0 291.303 2.682 20 5 CFBDRN Cn1c(C(=O)O[C@H](C2CC2)C2CCC2)ccc1[N+](=O)[O-] ZINC000836042911 630235038 /nfs/dbraw/zinc/23/50/38/630235038.db2.gz MENSULPWTKRCOQ-ZDUSSCGKSA-N 0 0 278.308 2.669 20 5 CFBDRN Cc1c(C(=O)OCc2cccc([N+](=O)[O-])c2C)ccn1C ZINC000798726747 630235805 /nfs/dbraw/zinc/23/58/05/630235805.db2.gz SYSNGFVINFGISX-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN CC1(C)CCC[C@H]1OC(=O)c1ccc([N+](=O)[O-])o1 ZINC000799453903 630309964 /nfs/dbraw/zinc/30/99/64/630309964.db2.gz BSSRFLVBVXEFSG-SECBINFHSA-N 0 0 253.254 2.923 20 5 CFBDRN CSC1(COC(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000799688398 630336380 /nfs/dbraw/zinc/33/63/80/630336380.db2.gz JIKKFMOYRNEZHH-UHFFFAOYSA-N 0 0 281.333 2.576 20 5 CFBDRN Cc1ccnc(CNc2c(C)c([N+](=O)[O-])ccc2F)n1 ZINC000799971674 630357425 /nfs/dbraw/zinc/35/74/25/630357425.db2.gz QSHKHQJADOTLLD-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN Cc1c(NCC(=O)N[C@@H](C)C(C)C)c(F)ccc1[N+](=O)[O-] ZINC000799972452 630357619 /nfs/dbraw/zinc/35/76/19/630357619.db2.gz BJNREYPWGGZOFW-JTQLQIEISA-N 0 0 297.330 2.615 20 5 CFBDRN Cc1ccc(OC(=O)COc2ccsc2)cc1[N+](=O)[O-] ZINC000799976396 630357784 /nfs/dbraw/zinc/35/77/84/630357784.db2.gz XEZPMDOZYARTLD-UHFFFAOYSA-N 0 0 293.300 2.949 20 5 CFBDRN Cc1cc(C)nc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c1 ZINC000800799415 630405892 /nfs/dbraw/zinc/40/58/92/630405892.db2.gz NPZKXGJETUJSNG-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCOc2ccc(F)cc2)c1 ZINC000800847257 630410636 /nfs/dbraw/zinc/41/06/36/630410636.db2.gz VLBPBOCCODRBEN-UHFFFAOYSA-N 0 0 291.282 2.807 20 5 CFBDRN C[C@H](CNc1cc(N)ccc1[N+](=O)[O-])c1ccncc1 ZINC000800857598 630411515 /nfs/dbraw/zinc/41/15/15/630411515.db2.gz YNRNBEULYIJHPC-SNVBAGLBSA-N 0 0 272.308 2.788 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC(C(F)F)CC2)c1 ZINC000800858476 630412310 /nfs/dbraw/zinc/41/23/10/630412310.db2.gz KHHHNBKCPNQUEA-UHFFFAOYSA-N 0 0 271.267 2.659 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H](CO)CC2CCCC2)c1 ZINC000800871353 630413324 /nfs/dbraw/zinc/41/33/24/630413324.db2.gz ITJGDTNWEWDNPH-GFCCVEGCSA-N 0 0 293.367 2.778 20 5 CFBDRN Cn1cc2c(n1)CCC[C@@H]2Nc1ccsc1[N+](=O)[O-] ZINC000800889707 630414773 /nfs/dbraw/zinc/41/47/73/630414773.db2.gz RASOYXFQZSTYMW-VIFPVBQESA-N 0 0 278.337 2.879 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000801090904 630427669 /nfs/dbraw/zinc/42/76/69/630427669.db2.gz IDSQYSLKJORXNR-CYBMUJFWSA-N 0 0 282.315 2.590 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1C[C@@H]1C(F)F ZINC000801478328 630449752 /nfs/dbraw/zinc/44/97/52/630449752.db2.gz JAHIPVKIYLIKNX-YUMQZZPRSA-N 0 0 289.209 2.678 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@@H](C(F)F)C1 ZINC000801566593 630459407 /nfs/dbraw/zinc/45/94/07/630459407.db2.gz CDIFZRBNXKKQHV-JOYOIKCWSA-N 0 0 286.278 2.622 20 5 CFBDRN C[C@H](c1ccc([N+](=O)[O-])cc1)N1CCO[C@@H](C(F)F)C1 ZINC000801568037 630459681 /nfs/dbraw/zinc/45/96/81/630459681.db2.gz PDQBBJZMRFWCGT-BXKDBHETSA-N 0 0 286.278 2.622 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H](OC(F)F)C1 ZINC000801680570 630465298 /nfs/dbraw/zinc/46/52/98/630465298.db2.gz RWSSJLCKRVPJOW-JTQLQIEISA-N 0 0 272.251 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@H](OC(F)F)C1 ZINC000801986637 630497548 /nfs/dbraw/zinc/49/75/48/630497548.db2.gz DCKHXWITZZKTIP-JTQLQIEISA-N 0 0 290.241 2.547 20 5 CFBDRN COc1ccc(OC(=O)C2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000802814414 630551026 /nfs/dbraw/zinc/55/10/26/630551026.db2.gz YOGNXCHZXBESPX-UHFFFAOYSA-N 0 0 279.292 2.945 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@H]1CCCCS1 ZINC000802927815 630566744 /nfs/dbraw/zinc/56/67/44/630566744.db2.gz AMUMPWAGTCFGDT-CYBMUJFWSA-N 0 0 295.360 2.966 20 5 CFBDRN CCNc1ccc(C(=O)OCCCCOC)cc1[N+](=O)[O-] ZINC000803226309 630591944 /nfs/dbraw/zinc/59/19/44/630591944.db2.gz ASRIDPZNNKQXOB-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN Cc1sc(C(=O)OCc2ccncc2)cc1[N+](=O)[O-] ZINC000803272556 630597706 /nfs/dbraw/zinc/59/77/06/630597706.db2.gz UKUBTAFAHCXECE-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=C(OCCCCF)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000803327141 630602254 /nfs/dbraw/zinc/60/22/54/630602254.db2.gz BOHOXQLISZGYIA-UHFFFAOYSA-N 0 0 277.198 2.780 20 5 CFBDRN Cc1[nH]ccc1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803381573 630609927 /nfs/dbraw/zinc/60/99/27/630609927.db2.gz ALPUAKHBVBYBBR-UHFFFAOYSA-N 0 0 296.229 2.867 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803404601 630613307 /nfs/dbraw/zinc/61/33/07/630613307.db2.gz RXCFMONSTHPAMR-SECBINFHSA-N 0 0 285.246 2.962 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CCC=CO1 ZINC000803505097 630623977 /nfs/dbraw/zinc/62/39/77/630623977.db2.gz GXMFJJUPBIWVCG-LBPRGKRZSA-N 0 0 299.229 2.609 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@H]2C[C@H]21 ZINC000804298923 630669570 /nfs/dbraw/zinc/66/95/70/630669570.db2.gz DIUOMZLJDJVXER-LKFCYVNXSA-N 0 0 285.303 2.701 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccn1 ZINC000804315998 630671046 /nfs/dbraw/zinc/67/10/46/630671046.db2.gz XIGPSYJTDJNLDL-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1Oc1cccc[n+]1[O-] ZINC000804325537 630672720 /nfs/dbraw/zinc/67/27/20/630672720.db2.gz XOUBPOQLJQQILR-UHFFFAOYSA-N 0 0 266.640 2.674 20 5 CFBDRN Cc1noc(C)c1CN(C)c1c(F)cccc1[N+](=O)[O-] ZINC000804344314 630674034 /nfs/dbraw/zinc/67/40/34/630674034.db2.gz GRSADQHDAVFROB-UHFFFAOYSA-N 0 0 279.271 2.975 20 5 CFBDRN CCO[C@H]1CCCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804377973 630677321 /nfs/dbraw/zinc/67/73/21/630677321.db2.gz WMWWPQWRTDKNGA-JTQLQIEISA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1ccc(Oc2c([N+](=O)[O-])cccc2[N+](=O)[O-])cn1 ZINC000804376927 630677348 /nfs/dbraw/zinc/67/73/48/630677348.db2.gz NFACXKKZCDSVLB-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1ncc(SC)cn1 ZINC000804404141 630679442 /nfs/dbraw/zinc/67/94/42/630679442.db2.gz HEZXLEJKQVHDLP-UHFFFAOYSA-N 0 0 293.304 2.908 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804451629 630682510 /nfs/dbraw/zinc/68/25/10/630682510.db2.gz QECPQDRYVLBRPZ-RNCFNFMXSA-N 0 0 297.311 2.712 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCOc1cccnc1 ZINC000804458924 630683023 /nfs/dbraw/zinc/68/30/23/630683023.db2.gz KXSUWTCBEZCTPI-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN CCc1cc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])on1 ZINC000804498601 630688282 /nfs/dbraw/zinc/68/82/82/630688282.db2.gz RBMWRHSKMXYSKQ-UHFFFAOYSA-N 0 0 292.251 2.666 20 5 CFBDRN Cc1ccc([C@H](O)CNc2c(F)cccc2[N+](=O)[O-])o1 ZINC000804498473 630688330 /nfs/dbraw/zinc/68/83/30/630688330.db2.gz GCIXUEFEPWIWJW-LLVKDONJSA-N 0 0 280.255 2.781 20 5 CFBDRN C[C@@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000804515299 630689340 /nfs/dbraw/zinc/68/93/40/630689340.db2.gz RYHYPTMPEHABPY-DTORHVGOSA-N 0 0 297.336 2.833 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])[C@H]1C ZINC000804533188 630690179 /nfs/dbraw/zinc/69/01/79/630690179.db2.gz YPZJMAIITXSKQB-BDAKNGLRSA-N 0 0 265.269 2.738 20 5 CFBDRN C[C@H](Cc1ccncc1)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000804535655 630690838 /nfs/dbraw/zinc/69/08/38/630690838.db2.gz NCJHYIHMRBBRNM-SNVBAGLBSA-N 0 0 272.308 2.615 20 5 CFBDRN CC[C@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804542487 630691375 /nfs/dbraw/zinc/69/13/75/630691375.db2.gz NFBRZMFKARLCDG-VIFPVBQESA-N 0 0 265.269 2.739 20 5 CFBDRN CN(Cc1ccc(N)nc1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804616813 630764931 /nfs/dbraw/zinc/76/49/31/630764931.db2.gz CITUHXMIPFCYHO-UHFFFAOYSA-N 0 0 292.726 2.862 20 5 CFBDRN CCOC(C)(C)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804630515 630767728 /nfs/dbraw/zinc/76/77/28/630767728.db2.gz DEFFRTIGBJJJAK-UHFFFAOYSA-N 0 0 283.284 2.730 20 5 CFBDRN C[C@@H]1CSCCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804648470 630769206 /nfs/dbraw/zinc/76/92/06/630769206.db2.gz DSWQKRDWCDDXBQ-VIFPVBQESA-N 0 0 297.336 2.692 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NOC2CCC2)cc1[N+](=O)[O-] ZINC000836341475 630797103 /nfs/dbraw/zinc/79/71/03/630797103.db2.gz QQYMTDSGTUEYHF-JTQLQIEISA-N 0 0 293.323 2.748 20 5 CFBDRN Cc1cc(NC(=O)NOCCC2CC2)ccc1[N+](=O)[O-] ZINC000836492424 630833877 /nfs/dbraw/zinc/83/38/77/630833877.db2.gz DXCXYQRZQIYAFL-UHFFFAOYSA-N 0 0 279.296 2.756 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)NC(CF)CF ZINC000836600178 630856784 /nfs/dbraw/zinc/85/67/84/630856784.db2.gz SDPXWNRFOUDTMP-UHFFFAOYSA-N 0 0 293.657 2.677 20 5 CFBDRN CS[C@H]1CCN(C(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000836796603 630885493 /nfs/dbraw/zinc/88/54/93/630885493.db2.gz SCTAOTRVAYGJLY-JTQLQIEISA-N 0 0 298.339 2.620 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCCC(C)C ZINC000807622410 630900007 /nfs/dbraw/zinc/90/00/07/630900007.db2.gz SQCQHUJNXJLDTI-UHFFFAOYSA-N 0 0 252.270 2.501 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC/C=C\Cl ZINC000807632353 630901281 /nfs/dbraw/zinc/90/12/81/630901281.db2.gz ZGOICWKRMFLJQB-UTCJRWHESA-N 0 0 255.657 2.813 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@H]2C[C@H]21 ZINC000807676392 630902766 /nfs/dbraw/zinc/90/27/66/630902766.db2.gz NLXNRCJVRVRYNF-QPUJVOFHSA-N 0 0 280.711 2.873 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000836915326 630915438 /nfs/dbraw/zinc/91/54/38/630915438.db2.gz MCFKUIORJYNASO-IUCAKERBSA-N 0 0 298.289 2.895 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1nccs1 ZINC000807927687 630923481 /nfs/dbraw/zinc/92/34/81/630923481.db2.gz UGWBZUKOPNQIOM-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1CCCC[C@@H]1O ZINC000807983125 630930622 /nfs/dbraw/zinc/93/06/22/630930622.db2.gz YBCGLGFYDLYPDW-ABAIWWIYSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC(C)(C)CCO ZINC000807988779 630932242 /nfs/dbraw/zinc/93/22/42/630932242.db2.gz YJQXPXLTGCIXJT-UHFFFAOYSA-N 0 0 280.324 2.618 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1ccc[nH]1 ZINC000808007913 630941002 /nfs/dbraw/zinc/94/10/02/630941002.db2.gz MRWVZPBWJWCPIQ-MRVPVSSYSA-N 0 0 263.301 2.621 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2cccnc2F)c([N+](=O)[O-])c1 ZINC000808023416 630943695 /nfs/dbraw/zinc/94/36/95/630943695.db2.gz JYCNCBCHRLZMMG-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN Nc1ccc(OCc2ccc(Cl)nc2)cc1[N+](=O)[O-] ZINC000808256506 630972064 /nfs/dbraw/zinc/97/20/64/630972064.db2.gz SGGWZHNLWMQJKI-UHFFFAOYSA-N 0 0 279.683 2.804 20 5 CFBDRN CCC1CN(C(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000837261696 630988296 /nfs/dbraw/zinc/98/82/96/630988296.db2.gz OSPNMVGZARAUGE-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN O=C(Nc1ccc2c(c1)C(=O)OC2)c1ccc([N+](=O)[O-])cc1 ZINC000808457221 630995522 /nfs/dbraw/zinc/99/55/22/630995522.db2.gz IRGQRDBOXJVMEG-UHFFFAOYSA-N 0 0 298.254 2.518 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1cc([N+](=O)[O-])ccc1F ZINC000837441546 631030569 /nfs/dbraw/zinc/03/05/69/631030569.db2.gz XPFKTVGFTVZMDT-UHFFFAOYSA-N 0 0 289.209 2.936 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000809116767 631085578 /nfs/dbraw/zinc/08/55/78/631085578.db2.gz YLNYKDOSKMKYTR-PHIMTYICSA-N 0 0 279.292 2.628 20 5 CFBDRN C[C@H](OC(=O)c1cncs1)c1cccc([N+](=O)[O-])c1 ZINC000809234437 631102788 /nfs/dbraw/zinc/10/27/88/631102788.db2.gz LCYBJUDKSXUJPT-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)CSC1CC1 ZINC000837872619 631146347 /nfs/dbraw/zinc/14/63/47/631146347.db2.gz MVAXEDCECCDJMU-UHFFFAOYSA-N 0 0 297.332 2.542 20 5 CFBDRN CC(C)(CCC(=O)OC/C(Cl)=C\Cl)[N+](=O)[O-] ZINC000837925886 631151455 /nfs/dbraw/zinc/15/14/55/631151455.db2.gz YWWTWCFVODXHNW-FNORWQNLSA-N 0 0 270.112 2.684 20 5 CFBDRN CO[C@H](COC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000837925847 631151495 /nfs/dbraw/zinc/15/14/95/631151495.db2.gz XMBPDPJJOFVKBA-CYBMUJFWSA-N 0 0 295.335 2.753 20 5 CFBDRN CC(=O)[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000837926074 631151666 /nfs/dbraw/zinc/15/16/66/631151666.db2.gz GULKEJSIGUXJKK-AWEZNQCLSA-N 0 0 293.319 2.695 20 5 CFBDRN CC(C)COC(=O)c1cc(-c2ccc([N+](=O)[O-])cc2)[nH]n1 ZINC000837962697 631154593 /nfs/dbraw/zinc/15/45/93/631154593.db2.gz FXNVUHZDVWDALL-UHFFFAOYSA-N 0 0 289.291 2.798 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CC1(F)F ZINC000837981091 631157504 /nfs/dbraw/zinc/15/75/04/631157504.db2.gz ONUOCPPNFIDZCT-SSDOTTSWSA-N 0 0 293.172 2.571 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000837981528 631157652 /nfs/dbraw/zinc/15/76/52/631157652.db2.gz YPLARZCSTLYOSM-GHMZBOCLSA-N 0 0 279.292 2.563 20 5 CFBDRN CSCCOC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000840647540 631173088 /nfs/dbraw/zinc/17/30/88/631173088.db2.gz DDNFUOPKDCMZJX-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000840672692 631174221 /nfs/dbraw/zinc/17/42/21/631174221.db2.gz LNIGWLHPTVKYJF-AVPPRXQKSA-N 0 0 269.684 2.609 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc(Cl)c1 ZINC000840674884 631174224 /nfs/dbraw/zinc/17/42/24/631174224.db2.gz QTWOZBNGPMCZNJ-GGZOMVNGSA-N 0 0 283.711 2.652 20 5 CFBDRN CN(CC1CCC1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000809353624 631217853 /nfs/dbraw/zinc/21/78/53/631217853.db2.gz KVNDJDFMAUSXLN-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN C[C@H](NC(=S)NC1(C)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000840762942 631219421 /nfs/dbraw/zinc/21/94/21/631219421.db2.gz CYNNKKQONAQNSE-VIFPVBQESA-N 0 0 279.365 2.672 20 5 CFBDRN Cc1c(CN2CC(C(F)(F)F)C2)cccc1[N+](=O)[O-] ZINC000809558900 631234468 /nfs/dbraw/zinc/23/44/68/631234468.db2.gz WVMQGMBLLJSKSZ-UHFFFAOYSA-N 0 0 274.242 2.897 20 5 CFBDRN O=C(Oc1ccc2c(c1)OCO2)c1csc([N+](=O)[O-])c1 ZINC000042565719 649999652 /nfs/dbraw/zinc/99/96/52/649999652.db2.gz IWYMJQUSMYCDGR-UHFFFAOYSA-N 0 0 293.256 2.604 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000042590746 649999698 /nfs/dbraw/zinc/99/96/98/649999698.db2.gz SZYCJYVNPHQOEM-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000841001687 631300388 /nfs/dbraw/zinc/30/03/88/631300388.db2.gz RWDKNQYFHFBEPP-GFCCVEGCSA-N 0 0 267.281 2.561 20 5 CFBDRN Cc1c[nH]nc1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000809916841 631300502 /nfs/dbraw/zinc/30/05/02/631300502.db2.gz VKBCYJVKZMMPCN-UHFFFAOYSA-N 0 0 295.686 2.924 20 5 CFBDRN Cc1cc(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)n[nH]1 ZINC000809915503 631300835 /nfs/dbraw/zinc/30/08/35/631300835.db2.gz PLGSBXSSOJCRRF-UHFFFAOYSA-N 0 0 295.686 2.924 20 5 CFBDRN CCO/C=C\C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000841015344 631306939 /nfs/dbraw/zinc/30/69/39/631306939.db2.gz DCXNEOSZWXLZBI-WAYWQWQTSA-N 0 0 265.265 2.667 20 5 CFBDRN O=C([C@@H]1CC1[N+](=O)[O-])N(c1ccccc1F)C1CCCC1 ZINC000841132466 631344802 /nfs/dbraw/zinc/34/48/02/631344802.db2.gz XXYPUASNBQVRIE-BXUZGUMPSA-N 0 0 292.310 2.767 20 5 CFBDRN C[C@@H]1Sc2ccccc2N(C(=O)[C@@H]2CC2[N+](=O)[O-])[C@H]1C ZINC000841141613 631346940 /nfs/dbraw/zinc/34/69/40/631346940.db2.gz NTEPNHYIHGKXHK-UXCLJVHYSA-N 0 0 292.360 2.568 20 5 CFBDRN COc1ccc(OC(=O)[C@H](CC(C)C)OC)cc1[N+](=O)[O-] ZINC000841198433 631357312 /nfs/dbraw/zinc/35/73/12/631357312.db2.gz SXNOWWOUXMWODA-ZDUSSCGKSA-N 0 0 297.307 2.570 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000810533993 631383614 /nfs/dbraw/zinc/38/36/14/631383614.db2.gz BTGVFIRRWHUOSC-JTQLQIEISA-N 0 0 281.333 2.952 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CC[C@H](C2CCC2)C1 ZINC000841347791 631392208 /nfs/dbraw/zinc/39/22/08/631392208.db2.gz ILQRTMQJOYNWDP-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(N)c([N+](=O)[O-])c1)[C@@H]1CC1(C)C ZINC000841451468 631407487 /nfs/dbraw/zinc/40/74/87/631407487.db2.gz QLCOQDKOHQLYAO-JQWIXIFHSA-N 0 0 291.351 2.732 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])[C@@H]1CCCSC1 ZINC000810631599 631412635 /nfs/dbraw/zinc/41/26/35/631412635.db2.gz WMNVQCALOJQOFH-GFCCVEGCSA-N 0 0 295.360 2.824 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CCCSC1 ZINC000810635770 631413282 /nfs/dbraw/zinc/41/32/82/631413282.db2.gz QVRBYYRALLQVAA-MRVPVSSYSA-N 0 0 285.296 2.783 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCSC1 ZINC000810694327 631428317 /nfs/dbraw/zinc/42/83/17/631428317.db2.gz MVAXPEALCIYFCN-GFCCVEGCSA-N 0 0 295.360 2.824 20 5 CFBDRN CCC[C@@](C)(O)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000841732944 631461574 /nfs/dbraw/zinc/46/15/74/631461574.db2.gz QJDCTZWFMWHASI-CYBMUJFWSA-N 0 0 277.280 2.652 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000121514924 631468125 /nfs/dbraw/zinc/46/81/25/631468125.db2.gz GNJJPKICFGGAMQ-DGCLKSJQSA-N 0 0 295.339 2.693 20 5 CFBDRN Cc1cc(C(=O)OCc2cccnc2C)ccc1[N+](=O)[O-] ZINC000810887897 631469027 /nfs/dbraw/zinc/46/90/27/631469027.db2.gz KEWLGNGZQQIXPQ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1Cn1cnc(C2CC2)n1 ZINC000842052364 631514560 /nfs/dbraw/zinc/51/45/60/631514560.db2.gz OTJJEVSAKKJACM-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN CC[C@@H](COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000842055659 631516347 /nfs/dbraw/zinc/51/63/47/631516347.db2.gz NHRVMGCAKBKRBT-XURPKSDJSA-N 0 0 279.292 2.576 20 5 CFBDRN CC[C@@H](COC(=O)c1ccc(Cl)cc1[N+](=O)[O-])OC ZINC000842062663 631519936 /nfs/dbraw/zinc/51/99/36/631519936.db2.gz JUYYROLGRDRLKJ-VIFPVBQESA-N 0 0 287.699 2.830 20 5 CFBDRN COc1ccc(CONC(=O)CC(C)(C)C)cc1[N+](=O)[O-] ZINC000842063974 631520932 /nfs/dbraw/zinc/52/09/32/631520932.db2.gz UUSKGRAGMBGUKP-UHFFFAOYSA-N 0 0 296.323 2.587 20 5 CFBDRN CC[C@@H](COC(=O)c1cc([N+](=O)[O-])c(C)s1)OC ZINC000842065586 631522044 /nfs/dbraw/zinc/52/20/44/631522044.db2.gz IAIYBSYWWWFPTB-QMMMGPOBSA-N 0 0 273.310 2.547 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000811150097 631525018 /nfs/dbraw/zinc/52/50/18/631525018.db2.gz YQNMEXSTWDGXMY-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OC[C@H](CC)OC ZINC000842095585 631537325 /nfs/dbraw/zinc/53/73/25/631537325.db2.gz VILLJNWJXAADOU-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN COCCOC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000811373007 631556309 /nfs/dbraw/zinc/55/63/09/631556309.db2.gz NHDHVICOAUDMSH-UHFFFAOYSA-N 0 0 294.090 2.705 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cnc2ccccc2c1 ZINC000842300414 631582772 /nfs/dbraw/zinc/58/27/72/631582772.db2.gz SLGDLRLOUOLJPK-MRCXROJRSA-N 0 0 286.287 2.504 20 5 CFBDRN Cc1ccc(C[C@@H](OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C2CC2)cc1 ZINC000842313547 631587664 /nfs/dbraw/zinc/58/76/64/631587664.db2.gz PFCGJUDMCTZCRJ-RBSFLKMASA-N 0 0 289.331 2.525 20 5 CFBDRN O=C(O[C@@H]1CCc2sc(Cl)cc21)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842323296 631591502 /nfs/dbraw/zinc/59/15/02/631591502.db2.gz IYZHSDDUMQYEHN-LPBLVHEISA-N 0 0 287.724 2.597 20 5 CFBDRN Cc1cccc2c1[C@H](C)C[C@@H]2OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842331837 631595465 /nfs/dbraw/zinc/59/54/65/631595465.db2.gz NUYLVMULOREZRC-JHEVNIALSA-N 0 0 275.304 2.752 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC000842368563 631608261 /nfs/dbraw/zinc/60/82/61/631608261.db2.gz XRIPDZHUTQQLFA-JFUSQASVSA-N 0 0 283.711 2.652 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=S)NC1CC1 ZINC000811716655 631611180 /nfs/dbraw/zinc/61/11/80/631611180.db2.gz XUVZKUHVURHZMT-UHFFFAOYSA-N 0 0 265.338 2.606 20 5 CFBDRN Cn1ncc(CSCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000811809300 631625822 /nfs/dbraw/zinc/62/58/22/631625822.db2.gz ASWTWWDXBBKZCC-UHFFFAOYSA-N 0 0 298.755 2.810 20 5 CFBDRN Cn1ncc(CSCc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000811810521 631625975 /nfs/dbraw/zinc/62/59/75/631625975.db2.gz PZUHNMKYKPGKRV-UHFFFAOYSA-N 0 0 298.755 2.810 20 5 CFBDRN CCCCN(CC(N)=O)c1cc(C)c([N+](=O)[O-])cc1Cl ZINC000811823031 631628150 /nfs/dbraw/zinc/62/81/50/631628150.db2.gz KLYCIHHSGPHFHB-UHFFFAOYSA-N 0 0 299.758 2.648 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(N)c([N+](=O)[O-])c1)CC(F)F ZINC000842443250 631630747 /nfs/dbraw/zinc/63/07/47/631630747.db2.gz YNKSIYOXYRZOFN-SSDOTTSWSA-N 0 0 288.250 2.625 20 5 CFBDRN CC[C@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000855858132 635673728 /nfs/dbraw/zinc/67/37/28/635673728.db2.gz NWLKHWVTWVZEIO-LBPRGKRZSA-N 0 0 298.289 2.991 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(Cc2c(F)cccc2Cl)no1 ZINC000842487510 631643085 /nfs/dbraw/zinc/64/30/85/631643085.db2.gz RWJWKWDWEOQMJW-GMSGAONNSA-N 0 0 297.673 2.586 20 5 CFBDRN Cc1ccc2c(Cc3noc([C@@H]4CC4[N+](=O)[O-])n3)c[nH]c2c1 ZINC000842493435 631645086 /nfs/dbraw/zinc/64/50/86/631645086.db2.gz ZHOPTMCOSRMRFU-DGCLKSJQSA-N 0 0 298.302 2.583 20 5 CFBDRN CO[C@H](CC(C)C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000842505751 631649254 /nfs/dbraw/zinc/64/92/54/631649254.db2.gz RDQMGRRJQJJOTG-SNVBAGLBSA-N 0 0 285.344 2.791 20 5 CFBDRN Cc1c(-c2noc([C@](C)(O)C(C)C)n2)cccc1[N+](=O)[O-] ZINC000842507147 631649573 /nfs/dbraw/zinc/64/95/73/631649573.db2.gz OTMABXDPNYJZOO-CQSZACIVSA-N 0 0 291.307 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1-c1nc([C@@H]2CCC[NH2+]2)no1 ZINC000842644544 631689952 /nfs/dbraw/zinc/68/99/52/631689952.db2.gz GRHFLQNLUHPOOC-VIFPVBQESA-N 0 0 294.698 2.723 20 5 CFBDRN CC(C)CC(N)=NOCc1ccc([N+](=O)[O-])c(F)c1 ZINC000842648052 631691370 /nfs/dbraw/zinc/69/13/70/631691370.db2.gz MXIARLACOOVABP-UHFFFAOYSA-N 0 0 269.276 2.778 20 5 CFBDRN O=C(NOC/C=C/Cl)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000812402467 631702688 /nfs/dbraw/zinc/70/26/88/631702688.db2.gz TZELVUSVNBMORT-OWOJBTEDSA-N 0 0 291.090 2.662 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)C1(F)CC1 ZINC000842723378 631717893 /nfs/dbraw/zinc/71/78/93/631717893.db2.gz XVJQLKWZGPQHBX-UHFFFAOYSA-N 0 0 273.647 2.964 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC(C3CC3)C2)c1 ZINC000812480799 631724524 /nfs/dbraw/zinc/72/45/24/631724524.db2.gz FRFDWMVYYCONGZ-UHFFFAOYSA-N 0 0 266.272 2.589 20 5 CFBDRN Cc1ccc(C2(C(=O)O[C@H](C)C[N+](=O)[O-])CCC2)cc1 ZINC000842805108 631746271 /nfs/dbraw/zinc/74/62/71/631746271.db2.gz AHFSHNKOPGVRSZ-GFCCVEGCSA-N 0 0 277.320 2.625 20 5 CFBDRN C/C=C(/C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000842846091 631762147 /nfs/dbraw/zinc/76/21/47/631762147.db2.gz IJAMQRWGNHXSAC-BAQGIRSFSA-N 0 0 253.229 2.857 20 5 CFBDRN C/C=C(\C)COC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000842848014 631763875 /nfs/dbraw/zinc/76/38/75/631763875.db2.gz FIWCDKMJWHYJJG-RUDMXATFSA-N 0 0 265.265 2.726 20 5 CFBDRN C[C@H]1CC[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)C1 ZINC000812814471 631789474 /nfs/dbraw/zinc/78/94/74/631789474.db2.gz YABFOGSVAFMRLE-VHSXEESVSA-N 0 0 267.329 2.961 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CCCSC2)n1)[N+](=O)[O-] ZINC000812813222 631789486 /nfs/dbraw/zinc/78/94/86/631789486.db2.gz DYAUHKQNCNUJMU-SECBINFHSA-N 0 0 285.369 2.668 20 5 CFBDRN CC(C)(CCc1noc([C@H]2CC2(Cl)Cl)n1)[N+](=O)[O-] ZINC000812815682 631789501 /nfs/dbraw/zinc/78/95/01/631789501.db2.gz VOVIXCPHGDZMFI-ZCFIWIBFSA-N 0 0 294.138 2.719 20 5 CFBDRN C[C@H](Cc1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CC1 ZINC000812813424 631789515 /nfs/dbraw/zinc/78/95/15/631789515.db2.gz KJFCAAKBBYHHNI-SECBINFHSA-N 0 0 267.329 2.646 20 5 CFBDRN CS[C@H](C)CCc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812815923 631789611 /nfs/dbraw/zinc/78/96/11/631789611.db2.gz FQAVJELJZNIRNJ-SECBINFHSA-N 0 0 287.385 2.742 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000812835792 631794256 /nfs/dbraw/zinc/79/42/56/631794256.db2.gz DJIHRSSDJBCQJZ-GHMZBOCLSA-N 0 0 281.308 2.727 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2ncc([N+](=O)[O-])cc2F)C1 ZINC000840270663 631799779 /nfs/dbraw/zinc/79/97/79/631799779.db2.gz YSIUKBPIIIZQNH-IONNQARKSA-N 0 0 271.317 2.825 20 5 CFBDRN CCc1ccc(OC(=O)[C@](C)(OC)C2CC2)c([N+](=O)[O-])c1 ZINC000813068956 631824788 /nfs/dbraw/zinc/82/47/88/631824788.db2.gz BUGJTJIVNMZMFT-OAHLLOKOSA-N 0 0 293.319 2.878 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000813076920 631827550 /nfs/dbraw/zinc/82/75/50/631827550.db2.gz ROJIHPJLVYJLIS-NWDGAFQWSA-N 0 0 295.335 2.599 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000813083876 631830085 /nfs/dbraw/zinc/83/00/85/631830085.db2.gz ZLXKHVFMMOSMMK-RYUDHWBXSA-N 0 0 295.335 2.865 20 5 CFBDRN C[C@@H](COC(=O)c1ccccc1C(C)(C)C)[N+](=O)[O-] ZINC000813099756 631837056 /nfs/dbraw/zinc/83/70/56/631837056.db2.gz BZYNDKBCMXKSPQ-JTQLQIEISA-N 0 0 265.309 2.806 20 5 CFBDRN C[C@@H](COC(=O)c1csc2c1CC[C@@H](C)C2)[N+](=O)[O-] ZINC000813102774 631838141 /nfs/dbraw/zinc/83/81/41/631838141.db2.gz VHEHDUZHYJVFLL-BDAKNGLRSA-N 0 0 283.349 2.695 20 5 CFBDRN C[C@H](COC(=O)C[C@H]1CCCC(C)(C)C1)[N+](=O)[O-] ZINC000813101746 631838300 /nfs/dbraw/zinc/83/83/00/631838300.db2.gz IFRVUPVMUVHUBB-GHMZBOCLSA-N 0 0 257.330 2.801 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000813100983 631838464 /nfs/dbraw/zinc/83/84/64/631838464.db2.gz ZWDDVCBKTLRGAY-GHMZBOCLSA-N 0 0 281.308 2.727 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(C(F)(F)F)s1)[N+](=O)[O-] ZINC000813105157 631839730 /nfs/dbraw/zinc/83/97/30/631839730.db2.gz WHVZNKGDUDXJHW-YFKPBYRVSA-N 0 0 283.227 2.589 20 5 CFBDRN C[C@@H](COC(=O)c1ccnc(SC(C)(C)C)c1)[N+](=O)[O-] ZINC000813103480 631839941 /nfs/dbraw/zinc/83/99/41/631839941.db2.gz BWNZFMPSQRYKMD-VIFPVBQESA-N 0 0 298.364 2.794 20 5 CFBDRN CCC[C@H](C(=O)OC[C@@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813107068 631842124 /nfs/dbraw/zinc/84/21/24/631842124.db2.gz LNKILTNSIVCEPC-YPMHNXCESA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@@H](COC(=O)c1cccc(C2CCC2)c1)[N+](=O)[O-] ZINC000813108518 631843518 /nfs/dbraw/zinc/84/35/18/631843518.db2.gz ZIEAYUNARUNHNX-JTQLQIEISA-N 0 0 263.293 2.776 20 5 CFBDRN C[C@H](CCc1ccccc1F)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813108873 631843555 /nfs/dbraw/zinc/84/35/55/631843555.db2.gz BLVQKAMZWHZQSU-GHMZBOCLSA-N 0 0 283.299 2.603 20 5 CFBDRN COc1ccc2oc(C)c(C(=O)OC[C@@H](C)[N+](=O)[O-])c2c1 ZINC000813110098 631843672 /nfs/dbraw/zinc/84/36/72/631843672.db2.gz SFNYVHBXZROBMN-MRVPVSSYSA-N 0 0 293.275 2.572 20 5 CFBDRN C[C@H](COC(=O)[C@H](F)CC1CCCCC1)[N+](=O)[O-] ZINC000813112074 631844259 /nfs/dbraw/zinc/84/42/59/631844259.db2.gz ZFZODLWDUYODJS-MWLCHTKSSA-N 0 0 261.293 2.503 20 5 CFBDRN Cc1csc(C(=O)OC[C@@H](C)[N+](=O)[O-])c1Cl ZINC000813113200 631844366 /nfs/dbraw/zinc/84/43/66/631844366.db2.gz OIOSDYZOBOQNBY-ZCFIWIBFSA-N 0 0 263.702 2.532 20 5 CFBDRN CCSc1ccc(CC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813113701 631844585 /nfs/dbraw/zinc/84/45/85/631844585.db2.gz HZODVLVYIYFJBG-SNVBAGLBSA-N 0 0 283.349 2.550 20 5 CFBDRN C[C@H](CC(=O)OC[C@H](C)[N+](=O)[O-])C1CCCCC1 ZINC000813110812 631845068 /nfs/dbraw/zinc/84/50/68/631845068.db2.gz JZEYZKVRRDPJTB-MNOVXSKESA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@@H](COC(=O)c1cccc2ccsc21)[N+](=O)[O-] ZINC000813113660 631845075 /nfs/dbraw/zinc/84/50/75/631845075.db2.gz HLNHYXGABBUMPH-QMMMGPOBSA-N 0 0 265.290 2.723 20 5 CFBDRN Cc1ccc2c(CCC(=O)OC[C@@H](C)[N+](=O)[O-])c[nH]c2c1 ZINC000813115409 631846298 /nfs/dbraw/zinc/84/62/98/631846298.db2.gz REFXURHEONRWPE-LLVKDONJSA-N 0 0 290.319 2.617 20 5 CFBDRN CC[C@H](CC1CCCC1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813114308 631846373 /nfs/dbraw/zinc/84/63/73/631846373.db2.gz PJPYLUIKQXWXHZ-CMPLNLGQSA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)C[C@H](N)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000843170642 631876487 /nfs/dbraw/zinc/87/64/87/631876487.db2.gz IKKZISHTFWQNLY-NSHDSACASA-N 0 0 290.323 2.615 20 5 CFBDRN Cc1ccc(-c2noc([C@H](N)CC(F)F)n2)cc1[N+](=O)[O-] ZINC000843178786 631881402 /nfs/dbraw/zinc/88/14/02/631881402.db2.gz KJWWTGFVTAROOJ-MRVPVSSYSA-N 0 0 298.249 2.608 20 5 CFBDRN Cc1ccc(-c2noc([C@@H](N)CC(C)C)n2)cc1[N+](=O)[O-] ZINC000843178389 631881561 /nfs/dbraw/zinc/88/15/61/631881561.db2.gz BWCLPNYTULIBJI-NSHDSACASA-N 0 0 290.323 2.999 20 5 CFBDRN CNc1ccc(C(=O)OC2CC(C)C2)cc1[N+](=O)[O-] ZINC000813229841 631887142 /nfs/dbraw/zinc/88/71/42/631887142.db2.gz UCVWAIUAROCWND-UHFFFAOYSA-N 0 0 264.281 2.592 20 5 CFBDRN Cc1ocnc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813247155 631890877 /nfs/dbraw/zinc/89/08/77/631890877.db2.gz XQANZHRCQKEVTB-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CCn1nccc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813246527 631890923 /nfs/dbraw/zinc/89/09/23/631890923.db2.gz MXISHNBYAFCHJG-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@H]1CC ZINC000813291754 631895437 /nfs/dbraw/zinc/89/54/37/631895437.db2.gz BZQCXYACSZDUFT-OCCSQVGLSA-N 0 0 292.335 2.911 20 5 CFBDRN Cc1c(-c2noc([C@@H](N)CC(C)C)n2)cccc1[N+](=O)[O-] ZINC000843238800 631910488 /nfs/dbraw/zinc/91/04/88/631910488.db2.gz ZQPXKKHAGXLLLN-NSHDSACASA-N 0 0 290.323 2.999 20 5 CFBDRN O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CC(F)C1 ZINC000813517878 631929260 /nfs/dbraw/zinc/92/92/60/631929260.db2.gz KWYTYCWFKNWDOV-UHFFFAOYSA-N 0 0 293.081 2.696 20 5 CFBDRN Cc1cc(COc2cc(Cl)ccc2[N+](=O)[O-])ncn1 ZINC000813569953 631933463 /nfs/dbraw/zinc/93/34/63/631933463.db2.gz FJQZBQULOJOOSK-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(C3CC3)CC2)c2nonc21 ZINC000813619399 631941916 /nfs/dbraw/zinc/94/19/16/631941916.db2.gz VYAUNCVMEJEEMA-UHFFFAOYSA-N 0 0 288.307 2.757 20 5 CFBDRN CC[C@H](C)CONc1nc2ccccn2c1[N+](=O)[O-] ZINC000813622212 631942951 /nfs/dbraw/zinc/94/29/51/631942951.db2.gz MUXMNFHQFNOIAP-VIFPVBQESA-N 0 0 264.285 2.632 20 5 CFBDRN CC[C@H](C)CON=c1nc[nH]c2ccc([N+](=O)[O-])cc21 ZINC000813622281 631942970 /nfs/dbraw/zinc/94/29/70/631942970.db2.gz NSGHAROKKXKBIF-VIFPVBQESA-N 0 0 276.296 2.928 20 5 CFBDRN Cc1ccoc1CN(C)c1ccc(N)cc1[N+](=O)[O-] ZINC000813632355 631943983 /nfs/dbraw/zinc/94/39/83/631943983.db2.gz CVKFNASCHFNPLR-UHFFFAOYSA-N 0 0 261.281 2.715 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc21)C1(C(F)F)CC1 ZINC000843520735 631974248 /nfs/dbraw/zinc/97/42/48/631974248.db2.gz CYPLTHYHAZCQDX-UHFFFAOYSA-N 0 0 282.246 2.529 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1(C(F)F)CC1 ZINC000843522826 631974825 /nfs/dbraw/zinc/97/48/25/631974825.db2.gz IHGPJCUYCVXRCU-UHFFFAOYSA-N 0 0 286.234 2.587 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1(C(F)F)CC1 ZINC000843522502 631974882 /nfs/dbraw/zinc/97/48/82/631974882.db2.gz DSPALRGLELNMAU-UHFFFAOYSA-N 0 0 256.208 2.579 20 5 CFBDRN CCC/C=C\COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000813907465 631974923 /nfs/dbraw/zinc/97/49/23/631974923.db2.gz NOGHZYZWXSOANN-PLNGDYQASA-N 0 0 279.292 2.873 20 5 CFBDRN CC(C)OCCON=c1[nH]ccc2ccc([N+](=O)[O-])cc21 ZINC000840305806 631982506 /nfs/dbraw/zinc/98/25/06/631982506.db2.gz WNTQTQAJTBMMCF-UHFFFAOYSA-N 0 0 291.307 2.912 20 5 CFBDRN O=C(N1CCc2c(cccc2[N+](=O)[O-])C1)C1(C(F)F)CC1 ZINC000843573501 631992252 /nfs/dbraw/zinc/99/22/52/631992252.db2.gz QLFJVULMWYXBIX-UHFFFAOYSA-N 0 0 296.273 2.525 20 5 CFBDRN Cc1cccc(OCCCCC(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000814034637 631996872 /nfs/dbraw/zinc/99/68/72/631996872.db2.gz IZZCXZWFHKVFEQ-CYBMUJFWSA-N 0 0 295.335 2.753 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(Cc2ccccc2)CCC1 ZINC000814033869 631997100 /nfs/dbraw/zinc/99/71/00/631997100.db2.gz FMDIGAVEABWNSN-GFCCVEGCSA-N 0 0 277.320 2.608 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCCSC(C)(C)C ZINC000814044100 631997196 /nfs/dbraw/zinc/99/71/96/631997196.db2.gz BAGGQNGJWMHNCZ-VIFPVBQESA-N 0 0 263.359 2.507 20 5 CFBDRN CCSCc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814036104 631997776 /nfs/dbraw/zinc/99/77/76/631997776.db2.gz SHAKFXVFMZOZJV-JTQLQIEISA-N 0 0 283.349 2.762 20 5 CFBDRN CC(C)[C@@H](C(=O)O[C@H](C)C[N+](=O)[O-])c1ccc(F)cc1 ZINC000814038706 631998763 /nfs/dbraw/zinc/99/87/63/631998763.db2.gz GRCYWXGRXCEDEV-ZWNOBZJWSA-N 0 0 283.299 2.774 20 5 CFBDRN Cc1cccc2c(CCC(=O)O[C@@H](C)C[N+](=O)[O-])c[nH]c21 ZINC000814038903 631998823 /nfs/dbraw/zinc/99/88/23/631998823.db2.gz VHQHZHAOKXUCJM-NSHDSACASA-N 0 0 290.319 2.617 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC000814040526 631998834 /nfs/dbraw/zinc/99/88/34/631998834.db2.gz IHMBZCOQINMSIK-IUCAKERBSA-N 0 0 271.700 2.652 20 5 CFBDRN CC(C)[C@@H](C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccc(F)cc1 ZINC000814038704 631998915 /nfs/dbraw/zinc/99/89/15/631998915.db2.gz GRCYWXGRXCEDEV-GXFFZTMASA-N 0 0 283.299 2.774 20 5 CFBDRN CC[C@@H](CC(=O)O[C@H](C)C[N+](=O)[O-])c1ccc(OC)cc1 ZINC000814039020 631998924 /nfs/dbraw/zinc/99/89/24/631998924.db2.gz KZTBOPAGXQVUPZ-NEPJUHHUSA-N 0 0 295.335 2.787 20 5 CFBDRN Cc1ccsc1CCCC(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814038626 631998932 /nfs/dbraw/zinc/99/89/32/631998932.db2.gz FOMKQXBFEYSGJB-JTQLQIEISA-N 0 0 271.338 2.588 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(c2ccccc2)CCCC1 ZINC000814040875 631999317 /nfs/dbraw/zinc/99/93/17/631999317.db2.gz MQKCIKXUTNCGLE-LBPRGKRZSA-N 0 0 277.320 2.707 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(F)c2ccccc12 ZINC000814041968 631999510 /nfs/dbraw/zinc/99/95/10/631999510.db2.gz UIHBFJFLAQTGQQ-VIFPVBQESA-N 0 0 277.251 2.801 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCC(C)(C)c1ccccc1 ZINC000814041011 631999555 /nfs/dbraw/zinc/99/95/55/631999555.db2.gz NSKAXRDTJZSMBX-GFCCVEGCSA-N 0 0 279.336 2.953 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCc1cc(Cl)cs1 ZINC000814041625 631999562 /nfs/dbraw/zinc/99/95/62/631999562.db2.gz RAUXNIBYMRTVOD-ZETCQYMHSA-N 0 0 277.729 2.543 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cccc(-c2ccoc2)c1 ZINC000814041355 631999609 /nfs/dbraw/zinc/99/96/09/631999609.db2.gz PUHZTHPVFYUEAF-SNVBAGLBSA-N 0 0 275.260 2.769 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccccc2)n1C ZINC000814044948 632000102 /nfs/dbraw/zinc/00/01/02/632000102.db2.gz MAZCWPNCXOBGNO-NSHDSACASA-N 0 0 288.303 2.514 20 5 CFBDRN C/C(=C/c1cccc(Cl)c1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814044671 632000321 /nfs/dbraw/zinc/00/03/21/632000321.db2.gz IFZLFXITQXJGKS-ABRRARGCSA-N 0 0 283.711 2.952 20 5 CFBDRN CC(C)[C@@H](C(=O)O[C@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814044012 632000600 /nfs/dbraw/zinc/00/06/00/632000600.db2.gz NCWMQIGDQJBNCD-DGCLKSJQSA-N 0 0 265.309 2.635 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@@H]1CCCC(C)(C)C1 ZINC000814045320 632001019 /nfs/dbraw/zinc/00/10/19/632001019.db2.gz PBGUALKZVHLFSM-MNOVXSKESA-N 0 0 257.330 2.801 20 5 CFBDRN CCSCc1ccccc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814048982 632001793 /nfs/dbraw/zinc/00/17/93/632001793.db2.gz PHXVUUKVOJIQSV-JTQLQIEISA-N 0 0 283.349 2.762 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC(C)(C)C ZINC000843774084 632054180 /nfs/dbraw/zinc/05/41/80/632054180.db2.gz HENNOPHCFKCOJR-UHFFFAOYSA-N 0 0 268.288 2.818 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@H](O)c3ccco3)ccnc21 ZINC000814359471 632055323 /nfs/dbraw/zinc/05/53/23/632055323.db2.gz FMYXZLRAAGUFJM-ZDUSSCGKSA-N 0 0 299.286 2.882 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC000814404673 632059144 /nfs/dbraw/zinc/05/91/44/632059144.db2.gz BTRXCKPISZBKRN-KOLCDFICSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)[C@H]1C ZINC000843814892 632061370 /nfs/dbraw/zinc/06/13/70/632061370.db2.gz JORMSPVQOBMJNV-PSASIEDQSA-N 0 0 280.299 2.913 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N(C)[C@@H]1CCSC1 ZINC000843839379 632065118 /nfs/dbraw/zinc/06/51/18/632065118.db2.gz OMWYUJBXOQIVMM-SECBINFHSA-N 0 0 298.339 2.620 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843876362 632071064 /nfs/dbraw/zinc/07/10/64/632071064.db2.gz KYRPFVNFQRJCQG-PSASIEDQSA-N 0 0 266.272 2.571 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CSC[C@@H]1C ZINC000843885418 632071836 /nfs/dbraw/zinc/07/18/36/632071836.db2.gz YAPNDUZHMDSSHR-OIBJUYFYSA-N 0 0 298.339 2.524 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843890206 632073013 /nfs/dbraw/zinc/07/30/13/632073013.db2.gz JFBBMRNTSTYMMY-VIFPVBQESA-N 0 0 298.314 2.539 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NC(=O)C1(F)CC1 ZINC000843903370 632075120 /nfs/dbraw/zinc/07/51/20/632075120.db2.gz FFMBVOPFRWORLF-UHFFFAOYSA-N 0 0 281.287 2.856 20 5 CFBDRN Cc1nc(C(=O)OCc2csc([N+](=O)[O-])c2)c(C)o1 ZINC000814508140 632082041 /nfs/dbraw/zinc/08/20/41/632082041.db2.gz SNBFKIRXYOHTKG-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CCS[C@@H]1C ZINC000844105565 632119762 /nfs/dbraw/zinc/11/97/62/632119762.db2.gz GGMQXQGNFUEVOT-SCZZXKLOSA-N 0 0 298.339 2.666 20 5 CFBDRN O=C(COc1cccc(Cl)c1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000814774880 632121749 /nfs/dbraw/zinc/12/17/49/632121749.db2.gz INRFXYDFDJGWQJ-VIFPVBQESA-N 0 0 299.710 2.623 20 5 CFBDRN CC(C)c1nn(C)cc1-c1cc(CO)cc([N+](=O)[O-])c1 ZINC000844228740 632138548 /nfs/dbraw/zinc/13/85/48/632138548.db2.gz BFLDSAPHDDLXKM-UHFFFAOYSA-N 0 0 275.308 2.611 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1CC[C@H](C)O1 ZINC000840326789 632148433 /nfs/dbraw/zinc/14/84/33/632148433.db2.gz WPQPAUOUNWEXOT-JOYOIKCWSA-N 0 0 278.308 2.777 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC(C)(F)F ZINC000814913552 632162415 /nfs/dbraw/zinc/16/24/15/632162415.db2.gz PTLATTJZIKHEKA-UHFFFAOYSA-N 0 0 258.224 2.865 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC(C)(F)F)c1 ZINC000814913810 632162714 /nfs/dbraw/zinc/16/27/14/632162714.db2.gz WHZNVRCCWDMGKK-UHFFFAOYSA-N 0 0 264.203 2.810 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC[C@H](C)F)c1 ZINC000814914870 632162816 /nfs/dbraw/zinc/16/28/16/632162816.db2.gz PVWPVOKHMDOHMK-ZETCQYMHSA-N 0 0 260.240 2.903 20 5 CFBDRN COC/C(C)=C/C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000844313511 632166109 /nfs/dbraw/zinc/16/61/09/632166109.db2.gz KSQPODOKDGTYDX-VQHVLOKHSA-N 0 0 278.308 2.743 20 5 CFBDRN C[C@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])C2(CCC2)CO1 ZINC000844423463 632205145 /nfs/dbraw/zinc/20/51/45/632205145.db2.gz SUVWPDGZVVWYOG-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000815162058 632221414 /nfs/dbraw/zinc/22/14/14/632221414.db2.gz CBTMVOSHVNJDKR-DTWKUNHWSA-N 0 0 261.281 2.576 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000815194700 632226886 /nfs/dbraw/zinc/22/68/86/632226886.db2.gz DOXBHFRTZWOUKQ-CBAPKCEASA-N 0 0 282.271 2.662 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000815194701 632227097 /nfs/dbraw/zinc/22/70/97/632227097.db2.gz DOXBHFRTZWOUKQ-IONNQARKSA-N 0 0 282.271 2.662 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2cc(O)cc([N+](=O)[O-])c2)C1 ZINC000815218792 632232231 /nfs/dbraw/zinc/23/22/31/632232231.db2.gz FTLQUNCUCRXZIH-PRHODGIISA-N 0 0 265.265 2.646 20 5 CFBDRN COC/C(C)=C/C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000844548349 632243138 /nfs/dbraw/zinc/24/31/38/632243138.db2.gz QHOHKDUPXYKETP-UXBLZVDNSA-N 0 0 279.292 2.539 20 5 CFBDRN C[C@H](OC(=O)c1cc(F)c[nH]1)c1ccccc1[N+](=O)[O-] ZINC000815390546 632265215 /nfs/dbraw/zinc/26/52/15/632265215.db2.gz MQSHQNXUOBGHII-QMMMGPOBSA-N 0 0 278.239 2.980 20 5 CFBDRN Cc1c(COC(=O)c2cc(F)c[nH]2)cccc1[N+](=O)[O-] ZINC000815390676 632265492 /nfs/dbraw/zinc/26/54/92/632265492.db2.gz SALRYZAAJZFJQP-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN CO[C@H](C)COC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000815405040 632268093 /nfs/dbraw/zinc/26/80/93/632268093.db2.gz HCPDVEANOKWNOP-MRVPVSSYSA-N 0 0 287.699 2.748 20 5 CFBDRN CC[C@@H](C(=O)[O-])[N@H+](C)Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000844936579 632341736 /nfs/dbraw/zinc/34/17/36/632341736.db2.gz JIOQJRZZDWZGFY-JTQLQIEISA-N 0 0 286.715 2.543 20 5 CFBDRN O=C(CCc1cncs1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000815988574 632348248 /nfs/dbraw/zinc/34/82/48/632348248.db2.gz OSPFKBDKJMWHFU-UHFFFAOYSA-N 0 0 296.279 2.729 20 5 CFBDRN CCC1(COC(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000816185043 632390021 /nfs/dbraw/zinc/39/00/21/632390021.db2.gz XHYIRQFQMVLBIZ-UHFFFAOYSA-N 0 0 264.281 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCCc2cnoc2)c1 ZINC000816231456 632402351 /nfs/dbraw/zinc/40/23/51/632402351.db2.gz FRHYUJZKDKIHRO-UHFFFAOYSA-N 0 0 290.275 2.681 20 5 CFBDRN Cc1cc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)co1 ZINC000816246049 632408862 /nfs/dbraw/zinc/40/88/62/632408862.db2.gz WAINIZWZHSOLKK-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000816349919 632422834 /nfs/dbraw/zinc/42/28/34/632422834.db2.gz CZEOIJQJHMLIBE-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000816351186 632424487 /nfs/dbraw/zinc/42/44/87/632424487.db2.gz IZWKGWAQTPSRNQ-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN CO[C@@H](COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000816359403 632429498 /nfs/dbraw/zinc/42/94/98/632429498.db2.gz WOADJKDQVBMRHC-RISCZKNCSA-N 0 0 295.335 2.913 20 5 CFBDRN Cc1ccc(COC(=O)Cc2cccc([N+](=O)[O-])c2)o1 ZINC000816426986 632453975 /nfs/dbraw/zinc/45/39/75/632453975.db2.gz DVPGGXYWIWKXMD-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN CC[C@]1(O)CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000845520475 632455625 /nfs/dbraw/zinc/45/56/25/632455625.db2.gz QWFWWGLNGHVWOG-LBPRGKRZSA-N 0 0 288.706 2.739 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCCC(F)(F)C1 ZINC000845635079 632496979 /nfs/dbraw/zinc/49/69/79/632496979.db2.gz YFSVCVPHRNNKME-UHFFFAOYSA-N 0 0 286.278 2.977 20 5 CFBDRN C[C@]1(CCNc2ncc([N+](=O)[O-])cn2)C[C@@]1(F)Cl ZINC000845646553 632502615 /nfs/dbraw/zinc/50/26/15/632502615.db2.gz CAOJCWDEIYCSDA-UWVGGRQHSA-N 0 0 274.683 2.501 20 5 CFBDRN C[C@@]1(CCNc2ccncc2[N+](=O)[O-])C[C@@]1(F)Cl ZINC000845650853 632504928 /nfs/dbraw/zinc/50/49/28/632504928.db2.gz KPYIOZPWRIBKGH-MNOVXSKESA-N 0 0 273.695 2.528 20 5 CFBDRN CC[C@H](C)CONC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000816978769 632543062 /nfs/dbraw/zinc/54/30/62/632543062.db2.gz BAAKXTQXDQIKGU-QMMMGPOBSA-N 0 0 286.715 2.956 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000816980870 632544361 /nfs/dbraw/zinc/54/43/61/632544361.db2.gz VMYHJOJBKBAXMA-VIFPVBQESA-N 0 0 291.307 2.784 20 5 CFBDRN Cc1ccoc1CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000816983067 632545334 /nfs/dbraw/zinc/54/53/34/632545334.db2.gz HLKVVRCQMAKIJD-UHFFFAOYSA-N 0 0 292.266 2.874 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3[C@@H](C2)C3(F)F)c2ncccc12 ZINC000845752565 632551754 /nfs/dbraw/zinc/55/17/54/632551754.db2.gz IGTYYXWAWJDYFO-AOOOYVTPSA-N 0 0 291.257 2.844 20 5 CFBDRN CCOc1cc(N2C[C@H]3[C@@H](C2)C3(F)F)ccc1[N+](=O)[O-] ZINC000845752037 632551975 /nfs/dbraw/zinc/55/19/75/632551975.db2.gz FEDIJSDSAFARPO-AOOOYVTPSA-N 0 0 284.262 2.695 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@H]3[C@@H](C2)C3(F)F)c([N+](=O)[O-])c1 ZINC000845754646 632552809 /nfs/dbraw/zinc/55/28/09/632552809.db2.gz RTEQUNXUBJXRLZ-AOOOYVTPSA-N 0 0 296.273 2.889 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CC[C@]2(CC2(F)F)C1 ZINC000845773033 632560546 /nfs/dbraw/zinc/56/05/46/632560546.db2.gz ZOVZTKKIFLGHGR-NSHDSACASA-N 0 0 299.233 2.739 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])CCO1 ZINC000817123952 632560862 /nfs/dbraw/zinc/56/08/62/632560862.db2.gz COLLXHVHSGNXPT-QWRGUYRKSA-N 0 0 290.323 2.612 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])CCO1 ZINC000817123954 632561159 /nfs/dbraw/zinc/56/11/59/632561159.db2.gz COLLXHVHSGNXPT-WDEREUQCSA-N 0 0 290.323 2.612 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1ccc(F)cc1[N+](=O)[O-] ZINC000845848857 632580090 /nfs/dbraw/zinc/58/00/90/632580090.db2.gz WRTLSTPIENMRRA-QMMMGPOBSA-N 0 0 291.300 2.631 20 5 CFBDRN C[C@@]1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CC1(F)F ZINC000817248412 632584537 /nfs/dbraw/zinc/58/45/37/632584537.db2.gz KLNZSBFYKHLCDU-NSHDSACASA-N 0 0 289.209 2.822 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1cccc(C)c1[N+](=O)[O-] ZINC000845904291 632594929 /nfs/dbraw/zinc/59/49/29/632594929.db2.gz QPBRHJZDOZIKMG-SNVBAGLBSA-N 0 0 287.337 2.800 20 5 CFBDRN Cn1cc(Cl)c(CN2CCc3cc([N+](=O)[O-])ccc32)n1 ZINC000817381243 632618030 /nfs/dbraw/zinc/61/80/30/632618030.db2.gz DJMCQLZSPHOBHC-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN C[C@@H]1CC[C@H](O)CN1Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000817414024 632625880 /nfs/dbraw/zinc/62/58/80/632625880.db2.gz DPJIUIAVUGYQCS-KOLCDFICSA-N 0 0 284.743 2.593 20 5 CFBDRN C/C(=C/C(=O)NOCCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000817429919 632631963 /nfs/dbraw/zinc/63/19/63/632631963.db2.gz MPUASZUDDQGPMO-KHPPLWFESA-N 0 0 290.319 2.846 20 5 CFBDRN CO[C@@H](COC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C1CC1 ZINC000817737976 632699153 /nfs/dbraw/zinc/69/91/53/632699153.db2.gz IQQPULHZCMRSQS-AWEZNQCLSA-N 0 0 293.319 2.793 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(c2c(F)cccc2F)CCC1 ZINC000817738767 632699445 /nfs/dbraw/zinc/69/94/45/632699445.db2.gz ZFPPYOXLUHITES-VIFPVBQESA-N 0 0 299.273 2.595 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000846373556 632700199 /nfs/dbraw/zinc/70/01/99/632700199.db2.gz PTSADNXCCBUXBI-CMPLNLGQSA-N 0 0 291.351 2.732 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C2CC2)n1 ZINC000817768510 632709563 /nfs/dbraw/zinc/70/95/63/632709563.db2.gz MSGYDMHIHCKSCA-UHFFFAOYSA-N 0 0 298.302 2.702 20 5 CFBDRN Cc1ccc(N2C[C@@H]3C[C@H]2CS3)c([N+](=O)[O-])c1 ZINC000840352281 632783258 /nfs/dbraw/zinc/78/32/58/632783258.db2.gz XIHIECIMVDXFKZ-UWVGGRQHSA-N 0 0 250.323 2.597 20 5 CFBDRN CC(C)(C)C1CC(NC(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000818137138 632804197 /nfs/dbraw/zinc/80/41/97/632804197.db2.gz PCBMQNRFKYMSDA-UHFFFAOYSA-N 0 0 291.351 2.732 20 5 CFBDRN COCC[C@H](C)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000840358144 632831210 /nfs/dbraw/zinc/83/12/10/632831210.db2.gz NCPQREFMZSQWAN-VIFPVBQESA-N 0 0 283.284 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H](O)C[C@H]2c2ccccc2)cc1 ZINC000840361896 632853369 /nfs/dbraw/zinc/85/33/69/632853369.db2.gz LFOHYGVPZBNWOU-CVEARBPZSA-N 0 0 284.315 2.907 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCC(C)(C)O)c1C ZINC000885424690 632875477 /nfs/dbraw/zinc/87/54/77/632875477.db2.gz UYRKLZSWRVOYJX-UHFFFAOYSA-N 0 0 281.308 2.529 20 5 CFBDRN Nc1ccc(N[C@@H]2CCCC[C@H]2F)c([N+](=O)[O-])c1 ZINC000840369055 632912801 /nfs/dbraw/zinc/91/28/01/632912801.db2.gz JSNOVTUJFUBKOO-NXEZZACHSA-N 0 0 253.277 2.870 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Oc2cnn(C(C)C)c2)c1 ZINC000847130617 632933147 /nfs/dbraw/zinc/93/31/47/632933147.db2.gz PFVSSWMBJCECLM-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN Cc1ccc(C(=O)Oc2cnn(C(C)C)c2)cc1[N+](=O)[O-] ZINC000847132950 632934888 /nfs/dbraw/zinc/93/48/88/632934888.db2.gz MGYQWCKLDDPDSH-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H](O)C(C)C ZINC000840374381 632983646 /nfs/dbraw/zinc/98/36/46/632983646.db2.gz AINUXQWZLJZAEQ-GXFFZTMASA-N 0 0 297.311 2.710 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCSC[C@@H]1C1CC1 ZINC000888500427 635885370 /nfs/dbraw/zinc/88/53/70/635885370.db2.gz ASRSVZYNZOGXQI-SNVBAGLBSA-N 0 0 296.348 2.546 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847785086 633076509 /nfs/dbraw/zinc/07/65/09/633076509.db2.gz FDFVNJKSPAZXDD-ZYHUDNBSSA-N 0 0 295.339 2.588 20 5 CFBDRN COC[C@@H](C)CCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000847868229 633119157 /nfs/dbraw/zinc/11/91/57/633119157.db2.gz AYXBGZUWNYJDHH-ZCRIDZFUSA-N 0 0 293.319 2.824 20 5 CFBDRN COC[C@@H](C)CCOC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000847873148 633121136 /nfs/dbraw/zinc/12/11/36/633121136.db2.gz RZKIXWUAKTXCGA-JTQLQIEISA-N 0 0 281.308 2.733 20 5 CFBDRN Cc1nc2c(o1)CCC[C@@H]2OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000847913836 633141277 /nfs/dbraw/zinc/14/12/77/633141277.db2.gz BPXIIYVLSLQFSR-NSHDSACASA-N 0 0 296.323 2.739 20 5 CFBDRN O=C(C(F)=C1CCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000819431369 633160668 /nfs/dbraw/zinc/16/06/68/633160668.db2.gz UCPYLTMECHRPIV-UHFFFAOYSA-N 0 0 290.294 2.887 20 5 CFBDRN CCCOCCOC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000107413518 633212096 /nfs/dbraw/zinc/21/20/96/633212096.db2.gz BHUOIVYRYGUUEY-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN Cc1c(CON=C(N)[C@@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000848523118 633275467 /nfs/dbraw/zinc/27/54/67/633275467.db2.gz YNBQHFAPQPCKFU-GZMMTYOYSA-N 0 0 263.297 2.558 20 5 CFBDRN O=C([O-])C[N@H+](Cc1cc([N+](=O)[O-])ccc1Cl)C1CCC1 ZINC000848599236 633297551 /nfs/dbraw/zinc/29/75/51/633297551.db2.gz FEKJDSRRZCIVQJ-UHFFFAOYSA-N 0 0 298.726 2.687 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H](O)c1ccc(C)o1 ZINC000848669244 633313848 /nfs/dbraw/zinc/31/38/48/633313848.db2.gz ZFFHPVNWOZWHAE-LLVKDONJSA-N 0 0 292.291 2.650 20 5 CFBDRN Nc1ccc(N2CCC[C@H](OC3CCC3)C2)c([N+](=O)[O-])c1 ZINC000848763207 633338480 /nfs/dbraw/zinc/33/84/80/633338480.db2.gz SEQWFRHVYXGTII-ZDUSSCGKSA-N 0 0 291.351 2.715 20 5 CFBDRN Cc1noc([C@@H](C)N(C)c2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000848776165 633341550 /nfs/dbraw/zinc/34/15/50/633341550.db2.gz HJGKRQKGDLZKCC-ZCFIWIBFSA-N 0 0 298.249 2.762 20 5 CFBDRN CNc1nccc(C(=O)O[C@H](C)CC(C)C)c1[N+](=O)[O-] ZINC000848789862 633343207 /nfs/dbraw/zinc/34/32/07/633343207.db2.gz HAEVZTLKDPKKAC-SECBINFHSA-N 0 0 281.312 2.623 20 5 CFBDRN C[C@@H]1CCC[C@H](COC(=O)c2ccnc(N)c2[N+](=O)[O-])C1 ZINC000848787715 633343267 /nfs/dbraw/zinc/34/32/67/633343267.db2.gz RRZXSOWVOZAWNJ-ZJUUUORDSA-N 0 0 293.323 2.555 20 5 CFBDRN CNc1nccc(C(=O)OCCC2CCCC2)c1[N+](=O)[O-] ZINC000848790981 633343533 /nfs/dbraw/zinc/34/35/33/633343533.db2.gz JWLZYVIXFBAWJP-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN C[C@@H]1[C@H](CO)CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000840388177 633388755 /nfs/dbraw/zinc/38/87/55/633388755.db2.gz QQOSNVTXHSXWGK-ZJUUUORDSA-N 0 0 284.743 2.845 20 5 CFBDRN COc1ccc(OC(=O)C(C)(C)SC)cc1[N+](=O)[O-] ZINC000857611000 635994334 /nfs/dbraw/zinc/99/43/34/635994334.db2.gz GKBDIFMHLPRIPL-UHFFFAOYSA-N 0 0 285.321 2.650 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1conc1C ZINC000849317041 633615236 /nfs/dbraw/zinc/61/52/36/633615236.db2.gz IASHPPXLRQDSHM-UHFFFAOYSA-N 0 0 262.269 2.545 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H]2COC(C)(C)C2)c1[N+](=O)[O-] ZINC000849617524 633641549 /nfs/dbraw/zinc/64/15/49/633641549.db2.gz KZWXOLDQANZSMM-JTQLQIEISA-N 0 0 279.292 2.628 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CO1 ZINC000849634913 633650413 /nfs/dbraw/zinc/65/04/13/633650413.db2.gz XLBGDTVIAYKCNE-SECBINFHSA-N 0 0 299.710 2.973 20 5 CFBDRN CO[C@H](COC(=O)c1cc(C)cc([N+](=O)[O-])c1)C1CCC1 ZINC000849715159 633685834 /nfs/dbraw/zinc/68/58/34/633685834.db2.gz XCHNZFREJMRRAQ-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000849715814 633686489 /nfs/dbraw/zinc/68/64/89/633686489.db2.gz FUCSYMYVEVVBHF-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OC[C@@H](O)C(C)C ZINC000849756870 633705016 /nfs/dbraw/zinc/70/50/16/633705016.db2.gz LALYMPSFOHWKTO-KOTGUFOOSA-N 0 0 293.319 2.558 20 5 CFBDRN CCCSCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849766892 633709681 /nfs/dbraw/zinc/70/96/81/633709681.db2.gz UUYQQZUFNOAPCM-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000849815249 633732447 /nfs/dbraw/zinc/73/24/47/633732447.db2.gz QBFBALBFMLDGFD-MRVPVSSYSA-N 0 0 297.282 2.814 20 5 CFBDRN CNc1nccc(C(=O)OCCC=C(C)C)c1[N+](=O)[O-] ZINC000849821303 633735462 /nfs/dbraw/zinc/73/54/62/633735462.db2.gz ODYMYZWNMOQNBL-UHFFFAOYSA-N 0 0 279.296 2.545 20 5 CFBDRN O=C1CC[C@@H](CSCc2ccc([N+](=O)[O-])cc2)O1 ZINC000849888577 633752992 /nfs/dbraw/zinc/75/29/92/633752992.db2.gz LHMOIXLDXDCDNR-NSHDSACASA-N 0 0 267.306 2.534 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCCCCCCO)c1C ZINC000849908256 633759992 /nfs/dbraw/zinc/75/99/92/633759992.db2.gz QBEYZKKBMCKZOW-UHFFFAOYSA-N 0 0 282.340 2.928 20 5 CFBDRN CC(C)=CCOc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849957051 633774999 /nfs/dbraw/zinc/77/49/99/633774999.db2.gz ZAZQVRHZDRJODM-UHFFFAOYSA-N 0 0 252.226 2.848 20 5 CFBDRN O=[N+]([O-])c1cc(OCC[C@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000849956799 633775300 /nfs/dbraw/zinc/77/53/00/633775300.db2.gz SFNCFXXHEABNDR-GFCCVEGCSA-N 0 0 296.279 2.841 20 5 CFBDRN CO[C@@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])C1CCC1 ZINC000850176019 633872543 /nfs/dbraw/zinc/87/25/43/633872543.db2.gz RVNLXTKAJRBRNK-CYBMUJFWSA-N 0 0 297.282 2.592 20 5 CFBDRN CCOC1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000850288657 633927443 /nfs/dbraw/zinc/92/74/43/633927443.db2.gz SOZXKUKZRPFRIO-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN C[C@H]1CO[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000885576807 634051657 /nfs/dbraw/zinc/05/16/57/634051657.db2.gz RUGKTQBTJZLCLC-PELKAZGASA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@H](CC(=O)Oc1cccc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000850742609 634070589 /nfs/dbraw/zinc/07/05/89/634070589.db2.gz IQWXPBDXEMGIQF-ZWNOBZJWSA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@H](CC(=O)Oc1cccc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000850742608 634071009 /nfs/dbraw/zinc/07/10/09/634071009.db2.gz IQWXPBDXEMGIQF-MFKMUULPSA-N 0 0 279.292 2.705 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)c([N+](=O)[O-])c1 ZINC000850764421 634082540 /nfs/dbraw/zinc/08/25/40/634082540.db2.gz NHCVSKXMQLNCCQ-JLLWLGSASA-N 0 0 291.303 2.945 20 5 CFBDRN C[C@@H](CC(=O)Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000850901067 634130003 /nfs/dbraw/zinc/13/00/03/634130003.db2.gz LKWMYZMABZIOMW-TVQRCGJNSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]([C@H](OC(=O)CCCF)c1ccccc1F)[N+](=O)[O-] ZINC000851109365 634226444 /nfs/dbraw/zinc/22/64/44/634226444.db2.gz XGRNFQCIECLGIW-ZANVPECISA-N 0 0 287.262 2.825 20 5 CFBDRN CC(C)(COC(=O)c1cccc(C(F)(F)F)c1)[N+](=O)[O-] ZINC000851123097 634234817 /nfs/dbraw/zinc/23/48/17/634234817.db2.gz OXJUIPVUZHVVPW-UHFFFAOYSA-N 0 0 291.225 2.918 20 5 CFBDRN CC(C)(COC(=O)/C=C\Sc1ccccc1)[N+](=O)[O-] ZINC000851122907 634234822 /nfs/dbraw/zinc/23/48/22/634234822.db2.gz KXGBLVBLQQSPPH-HJWRWDBZSA-N 0 0 281.333 2.891 20 5 CFBDRN C[C@H](CC(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1F ZINC000851125417 634235446 /nfs/dbraw/zinc/23/54/46/634235446.db2.gz FSPABKNJWFPBJW-SNVBAGLBSA-N 0 0 283.299 2.918 20 5 CFBDRN CC[C@@H](Oc1ccccc1C)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126401 634236659 /nfs/dbraw/zinc/23/66/59/634236659.db2.gz MPIOFFIFIIGBEL-GFCCVEGCSA-N 0 0 295.335 2.751 20 5 CFBDRN Cc1cc(C)c(CC(=O)OCC(C)(C)[N+](=O)[O-])c(C)c1 ZINC000851126239 634236908 /nfs/dbraw/zinc/23/69/08/634236908.db2.gz BUDDELPXZKEHFM-UHFFFAOYSA-N 0 0 279.336 2.753 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CCCC[C@H]1C1CC1)[N+](=O)[O-] ZINC000851129282 634238411 /nfs/dbraw/zinc/23/84/11/634238411.db2.gz LXSURDHUCVIORZ-RYUDHWBXSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@@]1(COC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000851268977 634300817 /nfs/dbraw/zinc/30/08/17/634300817.db2.gz ZEKKJLDDQLBTJE-LBPRGKRZSA-N 0 0 285.246 2.726 20 5 CFBDRN O=C(OCCCC1CCC1)c1ccc([N+](=O)[O-])[n-]1 ZINC000851302307 634313890 /nfs/dbraw/zinc/31/38/90/634313890.db2.gz RXDXOSMKXXNJJM-UHFFFAOYSA-N 0 0 252.270 2.660 20 5 CFBDRN O=C(OC[C@H]1CCC1(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000851317166 634321677 /nfs/dbraw/zinc/32/16/77/634321677.db2.gz VOWLZXAIIBGUSM-MRVPVSSYSA-N 0 0 289.209 2.936 20 5 CFBDRN CC(C)(COC(=O)c1ccc([N+](=O)[O-])[n-]1)C(C)(F)F ZINC000851337684 634329628 /nfs/dbraw/zinc/32/96/28/634329628.db2.gz DTFCIZNZWSKGRX-UHFFFAOYSA-N 0 0 276.239 2.761 20 5 CFBDRN CC1CC(COC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000851463175 634376496 /nfs/dbraw/zinc/37/64/96/634376496.db2.gz MDEMZBPDJKMVGH-UHFFFAOYSA-N 0 0 267.256 2.937 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCCOC(F)F ZINC000853017889 634877005 /nfs/dbraw/zinc/87/70/05/634877005.db2.gz CTJHMNMYTBYPQJ-UHFFFAOYSA-N 0 0 267.615 2.866 20 5 CFBDRN Cc1c(CS(=O)(=O)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000853022667 634879894 /nfs/dbraw/zinc/87/98/94/634879894.db2.gz UMXYMCGMSAOZGB-UHFFFAOYSA-N 0 0 271.338 2.617 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N2CC[C@@H]3C[C@@H]3C2)cc1 ZINC000853027458 634881310 /nfs/dbraw/zinc/88/13/10/634881310.db2.gz TZILGNDVVAQVNM-NXEZZACHSA-N 0 0 277.349 2.633 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@@H]1CC1(Cl)Cl ZINC000853091508 634900493 /nfs/dbraw/zinc/90/04/93/634900493.db2.gz SVMRCVCTCUYBSN-YFKPBYRVSA-N 0 0 296.541 2.671 20 5 CFBDRN CCc1cc(CNc2cc(C)sc2[N+](=O)[O-])[nH]n1 ZINC000853323013 634968514 /nfs/dbraw/zinc/96/85/14/634968514.db2.gz LSROJUFEDFKCIY-UHFFFAOYSA-N 0 0 266.326 2.862 20 5 CFBDRN Cc1cc(NCC[S@](=O)C(C)(C)C)c([N+](=O)[O-])s1 ZINC000853345349 634977720 /nfs/dbraw/zinc/97/77/20/634977720.db2.gz RIHXZTJYWGFRHQ-SFHVURJKSA-N 0 0 290.410 2.924 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@H]2CCCOC2)ccc1F ZINC000111319288 634996854 /nfs/dbraw/zinc/99/68/54/634996854.db2.gz ASLYZMODXYINKN-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCC[C@@H]2O)c([N+](=O)[O-])cc1C ZINC000853457370 635004667 /nfs/dbraw/zinc/00/46/67/635004667.db2.gz IOJCKTVKFDJISG-FZMZJTMJSA-N 0 0 294.351 2.509 20 5 CFBDRN COc1cc(N2CCOCC3(CC3)C2)c([N+](=O)[O-])cc1C ZINC000853473607 635009867 /nfs/dbraw/zinc/00/98/67/635009867.db2.gz JQXKASRFXXQHPJ-UHFFFAOYSA-N 0 0 292.335 2.529 20 5 CFBDRN Cc1nn(C[N@@H+]2CCC[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000853633756 635054632 /nfs/dbraw/zinc/05/46/32/635054632.db2.gz CXPVCFVVEHBOIU-STQMWFEESA-N 0 0 278.356 2.712 20 5 CFBDRN COc1cc(N2C[C@@H](F)[C@@H](F)C2)c(Cl)cc1[N+](=O)[O-] ZINC000853658264 635064245 /nfs/dbraw/zinc/06/42/45/635064245.db2.gz NXTDFFAUKXBVKB-OCAPTIKFSA-N 0 0 292.669 2.753 20 5 CFBDRN CO[C@@H](Cn1ccc2c1cccc2[N+](=O)[O-])[C@H]1CCOC1 ZINC000853668458 635068047 /nfs/dbraw/zinc/06/80/47/635068047.db2.gz NSUKMZBUZCHLLM-NHYWBVRUSA-N 0 0 290.319 2.601 20 5 CFBDRN COC(OC)[C@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000853680172 635072654 /nfs/dbraw/zinc/07/26/54/635072654.db2.gz AFSQIILXLURSMB-VIFPVBQESA-N 0 0 291.307 2.562 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(NC[C@H]2COCO2)c(Cl)c1 ZINC000853687354 635075017 /nfs/dbraw/zinc/07/50/17/635075017.db2.gz SZSPSXKNMIOTLV-ZETCQYMHSA-N 0 0 293.106 2.686 20 5 CFBDRN Cc1noc(COc2ccc([C@@H](C)O)cc2)c1[N+](=O)[O-] ZINC000853717274 635083254 /nfs/dbraw/zinc/08/32/54/635083254.db2.gz XTNMTPXLXAJQBM-SECBINFHSA-N 0 0 278.264 2.524 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2COC(C)(C)C2)c1 ZINC000853752196 635093385 /nfs/dbraw/zinc/09/33/85/635093385.db2.gz QVNLJLSZGHNZSI-QMMMGPOBSA-N 0 0 284.287 2.722 20 5 CFBDRN CCC(=O)c1ccc(N2CC(CCOC)C2)c([N+](=O)[O-])c1 ZINC000853771823 635101377 /nfs/dbraw/zinc/10/13/77/635101377.db2.gz BKXJDAWOCLCLLU-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN COCCC1CN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000853772552 635101384 /nfs/dbraw/zinc/10/13/84/635101384.db2.gz SLGRWGFOEMIOFV-UHFFFAOYSA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)C1(CO)CC1 ZINC000853803415 635111871 /nfs/dbraw/zinc/11/18/71/635111871.db2.gz KBAFGKKFGAQFQK-QMMMGPOBSA-N 0 0 293.348 2.777 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1c1ccc([N+](=O)[O-])cc1 ZINC000853807889 635113300 /nfs/dbraw/zinc/11/33/00/635113300.db2.gz CHQNUHNJNCWHGN-LLVKDONJSA-N 0 0 262.309 2.743 20 5 CFBDRN COCCN(CCF)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000853818750 635116854 /nfs/dbraw/zinc/11/68/54/635116854.db2.gz HLOJUTIYUCPADC-UHFFFAOYSA-N 0 0 299.327 2.627 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1COC2(CCC2)C1 ZINC000853828107 635119893 /nfs/dbraw/zinc/11/98/93/635119893.db2.gz HSFPAMBDHHACGX-NSHDSACASA-N 0 0 290.319 2.921 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](O)c3ccccc32)ncc1[N+](=O)[O-] ZINC000853837610 635124076 /nfs/dbraw/zinc/12/40/76/635124076.db2.gz HJZLFTCFVTXUNG-OCCSQVGLSA-N 0 0 285.303 2.889 20 5 CFBDRN COc1cc(N(C)CC2=CCCOC2)c([N+](=O)[O-])cc1C ZINC000853838247 635124322 /nfs/dbraw/zinc/12/43/22/635124322.db2.gz QGLXBARUDIHJSO-UHFFFAOYSA-N 0 0 292.335 2.695 20 5 CFBDRN Cc1nc(NC[C@]23OCCC[C@H]2C3(F)F)ccc1[N+](=O)[O-] ZINC000853847364 635130327 /nfs/dbraw/zinc/13/03/27/635130327.db2.gz KGJXXDVNDAPSEX-PWSUYJOCSA-N 0 0 299.277 2.524 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])O1 ZINC000853848657 635132033 /nfs/dbraw/zinc/13/20/33/635132033.db2.gz AOQLBVULLMGFKV-NXEZZACHSA-N 0 0 295.295 2.873 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](CCF)C2)n1 ZINC000853853856 635133186 /nfs/dbraw/zinc/13/31/86/635133186.db2.gz AAMPCWKERWNZPT-NSHDSACASA-N 0 0 267.304 2.874 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1C[C@@H](O)C12CCC2 ZINC000853870709 635142094 /nfs/dbraw/zinc/14/20/94/635142094.db2.gz MXDDTSRJMXIZQH-ZIAGYGMSSA-N 0 0 290.319 2.513 20 5 CFBDRN Cc1noc(COC(=O)CCc2ccccc2)c1[N+](=O)[O-] ZINC000853882881 635144983 /nfs/dbraw/zinc/14/49/83/635144983.db2.gz XSHOMQLYRSSCRG-UHFFFAOYSA-N 0 0 290.275 2.567 20 5 CFBDRN Cc1noc(COC(=O)/C=C/C(C)(C)C)c1[N+](=O)[O-] ZINC000853898291 635150470 /nfs/dbraw/zinc/15/04/70/635150470.db2.gz GTVUTUBMCNRZBE-AATRIKPKSA-N 0 0 268.269 2.537 20 5 CFBDRN CC(C(=O)OCc1onc(C)c1[N+](=O)[O-])=C1CCCC1 ZINC000853902626 635152694 /nfs/dbraw/zinc/15/26/94/635152694.db2.gz AEWVRAYEMYBYKH-UHFFFAOYSA-N 0 0 280.280 2.825 20 5 CFBDRN C/C=C(/C=C/C(=O)OCc1onc(C)c1[N+](=O)[O-])CC ZINC000853904789 635154819 /nfs/dbraw/zinc/15/48/19/635154819.db2.gz BTTNTXMKNGCSMN-PKOYOFPESA-N 0 0 280.280 2.847 20 5 CFBDRN Cc1noc(COC(=O)[C@H](C)c2ccccc2)c1[N+](=O)[O-] ZINC000853909356 635159144 /nfs/dbraw/zinc/15/91/44/635159144.db2.gz OXMBIZZPIGTGRR-SECBINFHSA-N 0 0 290.275 2.738 20 5 CFBDRN O=[N+]([O-])c1c(NCC2=CCCC2)nc2ccccn21 ZINC000853919075 635163116 /nfs/dbraw/zinc/16/31/16/635163116.db2.gz WZITUFNTABMCAS-UHFFFAOYSA-N 0 0 258.281 2.765 20 5 CFBDRN CCCc1[nH]ccc1C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853919586 635163442 /nfs/dbraw/zinc/16/34/42/635163442.db2.gz BEVQQEODSZEHDD-UHFFFAOYSA-N 0 0 293.279 2.529 20 5 CFBDRN Cc1noc(COC(=O)/C=C\C2CCCC2)c1[N+](=O)[O-] ZINC000853923637 635165853 /nfs/dbraw/zinc/16/58/53/635165853.db2.gz AADCKORYGYGAGH-SREVYHEPSA-N 0 0 280.280 2.681 20 5 CFBDRN C[C@H](O)C1(Nc2nc3ccc([N+](=O)[O-])cc3s2)CC1 ZINC000853930166 635168450 /nfs/dbraw/zinc/16/84/50/635168450.db2.gz OAMRJLKVCLLMQC-ZETCQYMHSA-N 0 0 279.321 2.530 20 5 CFBDRN CCC/C=C\c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000854060563 635201576 /nfs/dbraw/zinc/20/15/76/635201576.db2.gz BCPKQDFEJCNVSI-SREVYHEPSA-N 0 0 253.302 2.871 20 5 CFBDRN CO[C@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CCCC1 ZINC000854060916 635201864 /nfs/dbraw/zinc/20/18/64/635201864.db2.gz OZJVUVAPSBPCJQ-LBPRGKRZSA-N 0 0 297.355 2.935 20 5 CFBDRN CC(C)(O)c1ccc(-c2cc([N+](=O)[O-])ccc2CO)cc1 ZINC000854187599 635247696 /nfs/dbraw/zinc/24/76/96/635247696.db2.gz NQPCNSDDCORTAW-UHFFFAOYSA-N 0 0 287.315 2.982 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CC(C3CC3)C2)c1F ZINC000854572230 635338459 /nfs/dbraw/zinc/33/84/59/635338459.db2.gz SEVHDYUGLSZHRU-UHFFFAOYSA-N 0 0 296.273 2.663 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2COc3ccccc32)cccc1[N+](=O)[O-] ZINC000113032615 635461926 /nfs/dbraw/zinc/46/19/26/635461926.db2.gz YBQUHDWSAVDHAP-GFCCVEGCSA-N 0 0 299.282 2.985 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000855148497 635468645 /nfs/dbraw/zinc/46/86/45/635468645.db2.gz FSVISBFCFMDADF-SECBINFHSA-N 0 0 295.295 2.764 20 5 CFBDRN Cc1cccc(C)c1[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000855214071 635486847 /nfs/dbraw/zinc/48/68/47/635486847.db2.gz ITNKAACEFOODEP-HZSPNIEDSA-N 0 0 288.347 2.632 20 5 CFBDRN C[C@H](C(=O)N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000855430666 635543343 /nfs/dbraw/zinc/54/33/43/635543343.db2.gz HLYBSNXGBPKLIK-UWVGGRQHSA-N 0 0 278.283 2.672 20 5 CFBDRN Cc1cc(C(=O)OCCCOC(C)C)ccc1[N+](=O)[O-] ZINC000113465650 635586745 /nfs/dbraw/zinc/58/67/45/635586745.db2.gz VNNKISOIGJMYHG-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC2=CCCC2)c1[N+](=O)[O-] ZINC000856095468 635723117 /nfs/dbraw/zinc/72/31/17/635723117.db2.gz IYKMICXTGKROEA-UHFFFAOYSA-N 0 0 278.283 2.882 20 5 CFBDRN CSc1cccc(C(=O)NOCC2CCC2)c1[N+](=O)[O-] ZINC000856349689 635792553 /nfs/dbraw/zinc/79/25/53/635792553.db2.gz BJLDAEFUGLZUKY-UHFFFAOYSA-N 0 0 296.348 2.778 20 5 CFBDRN C/C(=C\C(=O)NOCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000856350524 635793546 /nfs/dbraw/zinc/79/35/46/635793546.db2.gz YHCTYVUOYZPABB-PKNBQFBNSA-N 0 0 290.319 2.846 20 5 CFBDRN CCn1cc(C(=O)O[C@H](C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000114621607 635866628 /nfs/dbraw/zinc/86/66/28/635866628.db2.gz AYWQKOWDIVCFLQ-SNVBAGLBSA-N 0 0 289.291 2.729 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000857311485 635963706 /nfs/dbraw/zinc/96/37/06/635963706.db2.gz VKCNVOOJMJJUDA-MGCOHNPYSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H](CC(=O)Nc1cc([N+](=O)[O-])ccc1F)[C@H]1CCCO1 ZINC000857571844 635985421 /nfs/dbraw/zinc/98/54/21/635985421.db2.gz OAIOPXNMVAQOJR-NOZJJQNGSA-N 0 0 296.298 2.878 20 5 CFBDRN CSC(C)(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000857571794 635985447 /nfs/dbraw/zinc/98/54/47/635985447.db2.gz MOOJYVCFPVAMOU-UHFFFAOYSA-N 0 0 268.338 2.983 20 5 CFBDRN CO[C@@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C1CCCC1 ZINC000857571270 635985532 /nfs/dbraw/zinc/98/55/32/635985532.db2.gz FCMARPODFFQFAR-CYBMUJFWSA-N 0 0 296.298 2.878 20 5 CFBDRN CCCC1(C(=O)Oc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000857636005 635999684 /nfs/dbraw/zinc/99/96/84/635999684.db2.gz DYDAXNJVJVNKHK-UHFFFAOYSA-N 0 0 294.263 2.989 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857637356 635999947 /nfs/dbraw/zinc/99/99/47/635999947.db2.gz ZXFHWFKTKULPFY-RYUDHWBXSA-N 0 0 294.263 2.701 20 5 CFBDRN CCc1cc(C(=O)Oc2ccc(CC)cc2[N+](=O)[O-])n[nH]1 ZINC000115926353 636152855 /nfs/dbraw/zinc/15/28/55/636152855.db2.gz NDGUISYSOAZDOL-UHFFFAOYSA-N 0 0 289.291 2.662 20 5 CFBDRN CCc1ccc(OC(=O)[C@H](C)SC)c([N+](=O)[O-])c1 ZINC000115926772 636152953 /nfs/dbraw/zinc/15/29/53/636152953.db2.gz IAELLXAHZKLCGF-QMMMGPOBSA-N 0 0 269.322 2.814 20 5 CFBDRN CCc1ccc(OC(=O)c2ccco2)c([N+](=O)[O-])c1 ZINC000115926653 636153157 /nfs/dbraw/zinc/15/31/57/636153157.db2.gz RXQGCNIDCVVQNS-UHFFFAOYSA-N 0 0 261.233 2.969 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(c2nccnc2F)C2CC2)c1 ZINC000858450516 636245899 /nfs/dbraw/zinc/24/58/99/636245899.db2.gz FDGFIJYHCKFDRW-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@H]2C[C@H]21 ZINC000858529980 636283906 /nfs/dbraw/zinc/28/39/06/636283906.db2.gz ZZRGFRVZHZEGFF-TVQRCGJNSA-N 0 0 255.277 2.742 20 5 CFBDRN CC1=NO[C@@H](CNc2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000858559608 636292444 /nfs/dbraw/zinc/29/24/44/636292444.db2.gz LOBJWQPQGZLMCO-LLVKDONJSA-N 0 0 286.291 2.720 20 5 CFBDRN COCOCCCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000858606065 636306984 /nfs/dbraw/zinc/30/69/84/636306984.db2.gz DDNLHKORAZXMAU-UHFFFAOYSA-N 0 0 291.307 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2cn[nH]c2)cc1 ZINC000858618995 636309690 /nfs/dbraw/zinc/30/96/90/636309690.db2.gz IMHDAIHMZFPCDP-ZDUSSCGKSA-N 0 0 258.281 2.659 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000858690048 636326010 /nfs/dbraw/zinc/32/60/10/636326010.db2.gz NOBAVFVSRRRUNU-NSHDSACASA-N 0 0 276.292 2.577 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CC2(C1)CCCO2 ZINC000858722801 636332586 /nfs/dbraw/zinc/33/25/86/636332586.db2.gz VTRUWISTPWGCBP-UHFFFAOYSA-N 0 0 286.690 2.757 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CO[C@H](C2CC2)C1 ZINC000858808494 636348931 /nfs/dbraw/zinc/34/89/31/636348931.db2.gz HPHMKWCVLZKOFU-ABAIWWIYSA-N 0 0 290.319 2.777 20 5 CFBDRN Cc1cc(N(C)OCC(F)(F)F)c([N+](=O)[O-])s1 ZINC000858870398 636364941 /nfs/dbraw/zinc/36/49/41/636364941.db2.gz XUULOHRTOIOGEL-UHFFFAOYSA-N 0 0 270.232 2.895 20 5 CFBDRN CC(C)(NC(=O)OCCF)c1ccc([N+](=O)[O-])cc1 ZINC000859054210 636429770 /nfs/dbraw/zinc/42/97/70/636429770.db2.gz LREIQKBRRJXKDI-UHFFFAOYSA-N 0 0 270.260 2.526 20 5 CFBDRN CC(C)CCOC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000859082670 636446484 /nfs/dbraw/zinc/44/64/84/636446484.db2.gz BCFVUJCHPHAMEM-UHFFFAOYSA-N 0 0 280.324 2.910 20 5 CFBDRN O=C(OCCF)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000859177606 636488031 /nfs/dbraw/zinc/48/80/31/636488031.db2.gz KHJQYUGLWSFBKF-GFCCVEGCSA-N 0 0 296.298 2.880 20 5 CFBDRN CO[C@]1(C)C[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1(C)C ZINC000159571848 650267900 /nfs/dbraw/zinc/26/79/00/650267900.db2.gz MUQJNDQDUVIBDB-SWLSCSKDSA-N 0 0 293.319 2.955 20 5 CFBDRN CCO[C@@H](COC(=O)c1ccc([N+](=O)[O-])c(F)c1)C1CC1 ZINC000859542055 636595519 /nfs/dbraw/zinc/59/55/19/636595519.db2.gz WGIKYBZOSNXENL-ZDUSSCGKSA-N 0 0 297.282 2.706 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000859762926 636683161 /nfs/dbraw/zinc/68/31/61/636683161.db2.gz FDMSAAKXWGKXPQ-GXFFZTMASA-N 0 0 295.335 2.996 20 5 CFBDRN COCCCCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000116989732 636712607 /nfs/dbraw/zinc/71/26/07/636712607.db2.gz NTUFRHLIFXJRJJ-UHFFFAOYSA-N 0 0 267.281 2.568 20 5 CFBDRN CCC[C@@H](OCC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000859839975 636720142 /nfs/dbraw/zinc/72/01/42/636720142.db2.gz UGXMFKOTRUUNSX-SNVBAGLBSA-N 0 0 287.337 2.905 20 5 CFBDRN CCO[C@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C1CC1 ZINC000859866544 636731351 /nfs/dbraw/zinc/73/13/51/636731351.db2.gz YCEAXEPNZWFACA-ZDUSSCGKSA-N 0 0 297.282 2.592 20 5 CFBDRN CC(C)CCOCCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000194201739 636762692 /nfs/dbraw/zinc/76/26/92/636762692.db2.gz TUHBCNAZPOIUPU-UHFFFAOYSA-N 0 0 287.337 2.876 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cccc(Cl)n1 ZINC000081549846 636792770 /nfs/dbraw/zinc/79/27/70/636792770.db2.gz MOPXESGEUBIYAA-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000860140043 636800926 /nfs/dbraw/zinc/80/09/26/636800926.db2.gz BYWBHKYZOYYASD-UOKLYIGXSA-N 0 0 299.348 2.759 20 5 CFBDRN CCO[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000860369067 636868616 /nfs/dbraw/zinc/86/86/16/636868616.db2.gz PXEIHEDBFZSDMF-ZDUSSCGKSA-N 0 0 299.298 2.838 20 5 CFBDRN CCO[C@@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000860371334 636869310 /nfs/dbraw/zinc/86/93/10/636869310.db2.gz VCEIOTVYMRQQDU-CYBMUJFWSA-N 0 0 299.298 2.838 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000860479974 636893380 /nfs/dbraw/zinc/89/33/80/636893380.db2.gz YFHRADABFDFDFS-ORMVGFHCSA-N 0 0 293.319 2.868 20 5 CFBDRN CCn1cc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)c(C)n1 ZINC000860479453 636893448 /nfs/dbraw/zinc/89/34/48/636893448.db2.gz OVTNNOGDSDNRBU-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000860627891 636932147 /nfs/dbraw/zinc/93/21/47/636932147.db2.gz KSOQNLHSJWRHIP-PUHVVEEASA-N 0 0 293.319 2.868 20 5 CFBDRN C[C@@H](COC(=O)CCc1ccc(Cl)c(F)c1)[N+](=O)[O-] ZINC000860776913 636968958 /nfs/dbraw/zinc/96/89/58/636968958.db2.gz ICEDHSOKHRNYBW-QMMMGPOBSA-N 0 0 289.690 2.620 20 5 CFBDRN C[C@@H](COC(=O)c1cccc(C(F)F)c1F)[N+](=O)[O-] ZINC000860777362 636969175 /nfs/dbraw/zinc/96/91/75/636969175.db2.gz OFWWYWJPFYIDSN-LURJTMIESA-N 0 0 277.198 2.585 20 5 CFBDRN C[C@@H]([C@@H](OC(=O)C12CC(C1)C2)c1ccccc1F)[N+](=O)[O-] ZINC000860854909 636991041 /nfs/dbraw/zinc/99/10/41/636991041.db2.gz LUWQLBZDLPETEQ-KTQYMABVSA-N 0 0 293.294 2.875 20 5 CFBDRN CC(C)(COC(=O)c1cccc(C(F)F)c1F)[N+](=O)[O-] ZINC000860867166 636995368 /nfs/dbraw/zinc/99/53/68/636995368.db2.gz CKPSCISUBOXLMZ-UHFFFAOYSA-N 0 0 291.225 2.975 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC000860911102 637014229 /nfs/dbraw/zinc/01/42/29/637014229.db2.gz IEBVWIKXKGIECR-UHFFFAOYSA-N 0 0 277.198 2.529 20 5 CFBDRN O=C(Cc1ccc2ccccc2c1)OCCC[N+](=O)[O-] ZINC000860913778 637015548 /nfs/dbraw/zinc/01/55/48/637015548.db2.gz JIJYHNNIQOLNGC-UHFFFAOYSA-N 0 0 273.288 2.592 20 5 CFBDRN CC(C)(C)OCc1ccccc1C(=O)OCCC[N+](=O)[O-] ZINC000860917670 637016062 /nfs/dbraw/zinc/01/60/62/637016062.db2.gz PNJZWNHWFWKMCE-UHFFFAOYSA-N 0 0 295.335 2.825 20 5 CFBDRN C[C@H](CCc1ccccc1F)C(=O)OCCC[N+](=O)[O-] ZINC000860917484 637016125 /nfs/dbraw/zinc/01/61/25/637016125.db2.gz MOWFTZNNGKSICE-LLVKDONJSA-N 0 0 283.299 2.604 20 5 CFBDRN Cc1ccc(CCCCC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860916433 637016302 /nfs/dbraw/zinc/01/63/02/637016302.db2.gz SXFDBRJHJMGAJE-UHFFFAOYSA-N 0 0 279.336 2.918 20 5 CFBDRN C[C@@H](CCC(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860917121 637016381 /nfs/dbraw/zinc/01/63/81/637016381.db2.gz FSFKKZHUAZPTOA-LBPRGKRZSA-N 0 0 265.309 2.780 20 5 CFBDRN Cn1c(C(=O)OCCC[N+](=O)[O-])ccc1-c1ccccc1 ZINC000860918119 637016992 /nfs/dbraw/zinc/01/69/92/637016992.db2.gz XUGBFDLXKWUMEG-UHFFFAOYSA-N 0 0 288.303 2.516 20 5 CFBDRN Cc1oc(C(C)C)cc1C(=O)OCCC[N+](=O)[O-] ZINC000860918220 637017268 /nfs/dbraw/zinc/01/72/68/637017268.db2.gz BGQJJJUNHIFAST-UHFFFAOYSA-N 0 0 255.270 2.535 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@H]1CCC[C@H]1Cc1ccccc1 ZINC000860922421 637018053 /nfs/dbraw/zinc/01/80/53/637018053.db2.gz QTHQNQFSVXKYNL-GJZGRUSLSA-N 0 0 291.347 2.855 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccsc1C(F)(F)F ZINC000860923459 637019205 /nfs/dbraw/zinc/01/92/05/637019205.db2.gz VVHLKKJVCMYGOE-UHFFFAOYSA-N 0 0 283.227 2.591 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@H]2C[C@H]2C(C)(C)C)n1 ZINC000861115701 637093797 /nfs/dbraw/zinc/09/37/97/637093797.db2.gz PFEVMQGEIVANLU-NXEZZACHSA-N 0 0 295.339 2.650 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@@H]1C ZINC000118292085 637201182 /nfs/dbraw/zinc/20/11/82/637201182.db2.gz PPQROXXJGRMSMY-RKDXNWHRSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000862441356 637380314 /nfs/dbraw/zinc/38/03/14/637380314.db2.gz UCBCCCQKIRPNNX-UWVGGRQHSA-N 0 0 292.326 2.572 20 5 CFBDRN CCC(F)(F)CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000862899224 637519822 /nfs/dbraw/zinc/51/98/22/637519822.db2.gz BUKLCPXOWIKGQU-UHFFFAOYSA-N 0 0 290.241 2.817 20 5 CFBDRN COC(=O)CCCCCCNc1ncccc1[N+](=O)[O-] ZINC000075670072 650344053 /nfs/dbraw/zinc/34/40/53/650344053.db2.gz PMGJZCDDUPMRTB-UHFFFAOYSA-N 0 0 281.312 2.525 20 5 CFBDRN CC[C@H]1CCC[C@@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000119338572 637591785 /nfs/dbraw/zinc/59/17/85/637591785.db2.gz GHDOQSYALVYASV-WCQYABFASA-N 0 0 295.339 2.693 20 5 CFBDRN CCO[C@H](COc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000863227284 637596099 /nfs/dbraw/zinc/59/60/99/637596099.db2.gz HHJOCAQCDDTCKF-CYBMUJFWSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1nc(COc2cc(F)ccc2[N+](=O)[O-])c(C)o1 ZINC000863227945 637596911 /nfs/dbraw/zinc/59/69/11/637596911.db2.gz UIZDHIZPJGSIEK-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2noc(C3CCC3)n2)cc1F ZINC000863296270 637619932 /nfs/dbraw/zinc/61/99/32/637619932.db2.gz HSFPYZVSTARTAF-UHFFFAOYSA-N 0 0 293.254 2.963 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCC(F)=C(F)F)c1=O ZINC000863313183 637624411 /nfs/dbraw/zinc/62/44/11/637624411.db2.gz RVZCBZHBVQQBDG-UHFFFAOYSA-N 0 0 262.187 2.533 20 5 CFBDRN O=[N+]([O-])c1cn(CCC(F)(F)Cl)nc1C1CC1 ZINC000863323984 637626021 /nfs/dbraw/zinc/62/60/21/637626021.db2.gz WWLNOTRSQVJDAN-UHFFFAOYSA-N 0 0 265.647 2.890 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1cc(Cl)n(C)n1 ZINC000863343822 637632514 /nfs/dbraw/zinc/63/25/14/637632514.db2.gz OIIFQXNKNPVDDP-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCc1ccc2nonc2c1 ZINC000864045590 637840254 /nfs/dbraw/zinc/84/02/54/637840254.db2.gz XNFHHHLFMSUHAS-UHFFFAOYSA-N 0 0 299.242 2.523 20 5 CFBDRN O=C1CCN1c1ccc(OCc2ccccc2[N+](=O)[O-])cc1 ZINC000864047576 637842027 /nfs/dbraw/zinc/84/20/27/637842027.db2.gz MUXTWCCQLWGAJH-UHFFFAOYSA-N 0 0 298.298 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cc(Br)no2)cc1 ZINC000189449772 650382681 /nfs/dbraw/zinc/38/26/81/650382681.db2.gz LNYCCXAGUPMJMF-UHFFFAOYSA-N 0 0 299.080 2.924 20 5 CFBDRN CCCN(CC)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864812195 638098796 /nfs/dbraw/zinc/09/87/96/638098796.db2.gz XVAOQPOHDFQQTH-UHFFFAOYSA-N 0 0 268.288 2.535 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCC1CCCC1 ZINC000864824837 638103067 /nfs/dbraw/zinc/10/30/67/638103067.db2.gz JFPAKDLAFLVIQH-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000864979792 638145630 /nfs/dbraw/zinc/14/56/30/638145630.db2.gz RRJFRUBZEHBLIB-ZIAGYGMSSA-N 0 0 290.363 2.936 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000864979976 638146225 /nfs/dbraw/zinc/14/62/25/638146225.db2.gz XEVRXSAMJSPPSB-SDJAHQOYSA-N 0 0 288.347 2.546 20 5 CFBDRN Cc1ccc(CSCC[C@H](C)O)cc1[N+](=O)[O-] ZINC000865283268 638256313 /nfs/dbraw/zinc/25/63/13/638256313.db2.gz RGCYOHVKSQCAHQ-JTQLQIEISA-N 0 0 255.339 2.907 20 5 CFBDRN C[C@@H]1OCC[C@H]1COc1cc(F)ccc1[N+](=O)[O-] ZINC000865343384 638273795 /nfs/dbraw/zinc/27/37/95/638273795.db2.gz IUFNGEXQRGIKQV-IUCAKERBSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COc1cc(F)ccc1[N+](=O)[O-] ZINC000865343383 638273922 /nfs/dbraw/zinc/27/39/22/638273922.db2.gz IUFNGEXQRGIKQV-DTWKUNHWSA-N 0 0 255.245 2.538 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1nc(C2CCC2)no1 ZINC000865344066 638274270 /nfs/dbraw/zinc/27/42/70/638274270.db2.gz XJNUCNVCXNBNMA-UHFFFAOYSA-N 0 0 293.254 2.963 20 5 CFBDRN CC1(C)CC[C@H](COc2ccc(C=O)cc2[N+](=O)[O-])OC1 ZINC000865346726 638275023 /nfs/dbraw/zinc/27/50/23/638275023.db2.gz UWUSIEZJPHTAKP-GFCCVEGCSA-N 0 0 293.319 2.991 20 5 CFBDRN Cc1cnc(O[C@@H](C)C(=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000865359021 638281966 /nfs/dbraw/zinc/28/19/66/638281966.db2.gz RNFGIFBCFPTPPU-VIFPVBQESA-N 0 0 266.297 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc(SCOC2CCOCC2)c(F)c1 ZINC000865362873 638284659 /nfs/dbraw/zinc/28/46/59/638284659.db2.gz DYDGRZJARBSKBX-UHFFFAOYSA-N 0 0 287.312 2.979 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2coc(C3CC3)n2)nc1C1CC1 ZINC000865363841 638284709 /nfs/dbraw/zinc/28/47/09/638284709.db2.gz VDSVMJWGICECBK-UHFFFAOYSA-N 0 0 274.280 2.582 20 5 CFBDRN O=[N+]([O-])c1cccc(SCOC2CCOCC2)c1 ZINC000865367029 638286848 /nfs/dbraw/zinc/28/68/48/638286848.db2.gz YZJDHSWBUGSEAV-UHFFFAOYSA-N 0 0 269.322 2.840 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1ccc([N+](=O)[O-])c(F)c1F ZINC000865368608 638287741 /nfs/dbraw/zinc/28/77/41/638287741.db2.gz BBZZOTVMYBAZFR-SFYZADRCSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)CCCC2CC2)cc1 ZINC000865367394 638288192 /nfs/dbraw/zinc/28/81/92/638288192.db2.gz VNISCTBORMRHMT-UHFFFAOYSA-N 0 0 269.322 2.559 20 5 CFBDRN CC(C)COCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000865368773 638288988 /nfs/dbraw/zinc/28/89/88/638288988.db2.gz WIWVGRWQAWBIKU-UHFFFAOYSA-N 0 0 276.292 2.540 20 5 CFBDRN CCc1nc(C)cn1Cc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000865393415 638304510 /nfs/dbraw/zinc/30/45/10/638304510.db2.gz UVFMHCCULIBWIM-UHFFFAOYSA-N 0 0 293.298 2.858 20 5 CFBDRN CON(C)c1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000865407153 638315797 /nfs/dbraw/zinc/31/57/97/638315797.db2.gz DOSBKNAKWNIQMR-UHFFFAOYSA-N 0 0 251.069 2.899 20 5 CFBDRN CN(Cc1cc[nH]n1)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000865536259 638404274 /nfs/dbraw/zinc/40/42/74/638404274.db2.gz ZJVSBHUXFKKVHB-UHFFFAOYSA-N 0 0 282.250 2.892 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3c[nH]nc3C2)c(C(F)F)c1 ZINC000865631876 638476114 /nfs/dbraw/zinc/47/61/14/638476114.db2.gz JULGZYOOMKTZTD-UHFFFAOYSA-N 0 0 294.261 2.818 20 5 CFBDRN C[C@H]1CCN(c2cc(F)c([N+](=O)[O-])cc2CO)C[C@H]1C ZINC000865650888 638488191 /nfs/dbraw/zinc/48/81/91/638488191.db2.gz LBZSEOFFWVKDSY-VHSXEESVSA-N 0 0 282.315 2.709 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)c(Cl)ccc2[N+](=O)[O-])C[C@@H]1O ZINC000865652806 638489889 /nfs/dbraw/zinc/48/98/89/638489889.db2.gz IHGRWVNRUUDANM-MUWHJKNJSA-N 0 0 291.134 2.719 20 5 CFBDRN CC[N@H+](Cn1nc(C)c([N+](=O)[O-])c1C)C1CCCC1 ZINC000077443133 650425440 /nfs/dbraw/zinc/42/54/40/650425440.db2.gz RNYYPZILAXKZDW-UHFFFAOYSA-N 0 0 266.345 2.630 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865727747 638539235 /nfs/dbraw/zinc/53/92/35/638539235.db2.gz HAVQVWVZFGDZBE-SNVBAGLBSA-N 0 0 294.326 2.995 20 5 CFBDRN CC(=O)c1cccc(N(C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000865792488 638576678 /nfs/dbraw/zinc/57/66/78/638576678.db2.gz HVKNQBOFTPAUGF-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN CCC1(CC)CN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865833038 638598976 /nfs/dbraw/zinc/59/89/76/638598976.db2.gz WURFFITYJLODSC-UHFFFAOYSA-N 0 0 282.315 2.853 20 5 CFBDRN NC(=O)[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000865864250 638623632 /nfs/dbraw/zinc/62/36/32/638623632.db2.gz FLCRCCAESXHIAE-SFYZADRCSA-N 0 0 299.277 2.598 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1CCCN(CCF)C1 ZINC000865927484 638660509 /nfs/dbraw/zinc/66/05/09/638660509.db2.gz CIBLJVCLZDNNJG-SECBINFHSA-N 0 0 273.333 2.502 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](CO)C2)c(Cl)cc1[N+](=O)[O-] ZINC000866005696 638694977 /nfs/dbraw/zinc/69/49/77/638694977.db2.gz ZYRSFGXCXRLXIL-DTORHVGOSA-N 0 0 270.716 2.739 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866020816 638700456 /nfs/dbraw/zinc/70/04/56/638700456.db2.gz PPBJSQZUCOOXIW-NXEZZACHSA-N 0 0 280.299 2.533 20 5 CFBDRN CCC[C@H](O)CCCSc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000866031821 638705685 /nfs/dbraw/zinc/70/56/85/638705685.db2.gz AZVXDHLYKMHUNC-VIFPVBQESA-N 0 0 286.353 2.729 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000866041614 638707324 /nfs/dbraw/zinc/70/73/24/638707324.db2.gz WYQWBYLQLQNFOX-LLHLLMPMSA-N 0 0 278.308 2.775 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1[C@@H]2OC[C@H]3C[C@H]1C[C@H]23 ZINC000866044641 638710181 /nfs/dbraw/zinc/71/01/81/638710181.db2.gz JFWOEGBEADNJPV-PXBLZKCCSA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1ccc(N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)c([N+](=O)[O-])c1 ZINC000866046518 638711060 /nfs/dbraw/zinc/71/10/60/638711060.db2.gz RZPFNNJUYQEDIT-LYEQHPFYSA-N 0 0 274.320 2.739 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCCC[C@@H]2F)c([N+](=O)[O-])c1 ZINC000866047430 638712047 /nfs/dbraw/zinc/71/20/47/638712047.db2.gz CWEKRYDIKJIKNG-WDEREUQCSA-N 0 0 295.314 2.647 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H]1[C@H](O)c1ccccc1 ZINC000866051317 638713723 /nfs/dbraw/zinc/71/37/23/638713723.db2.gz DHBFGJWXYXHZTR-DZGCQCFKSA-N 0 0 299.330 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@H](O)c2ccccc2)nc1 ZINC000866051274 638713757 /nfs/dbraw/zinc/71/37/57/638713757.db2.gz JXIRZDWLBWTAOU-GDBMZVCRSA-N 0 0 299.330 2.692 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H]1[C@@H](O)c1ccccc1 ZINC000866051335 638713843 /nfs/dbraw/zinc/71/38/43/638713843.db2.gz DHBFGJWXYXHZTR-ZFWWWQNUSA-N 0 0 299.330 2.692 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2ccccc2[N+](=O)[O-])C1 ZINC000866068989 638721433 /nfs/dbraw/zinc/72/14/33/638721433.db2.gz HFLXISJPQXCWRQ-MNOVXSKESA-N 0 0 250.298 2.599 20 5 CFBDRN CCOc1cc(N2C[C@@H](OC)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000866069276 638722647 /nfs/dbraw/zinc/72/26/47/638722647.db2.gz CJUJZUIMLQHUCA-AAEUAGOBSA-N 0 0 294.351 2.997 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000866069477 638722698 /nfs/dbraw/zinc/72/26/98/638722698.db2.gz DXUAVSNVCIYJQP-PSASIEDQSA-N 0 0 286.278 2.877 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000866083108 638728845 /nfs/dbraw/zinc/72/88/45/638728845.db2.gz KYXFYHHZORXQFA-ZWNOBZJWSA-N 0 0 293.323 2.626 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCc2cccc3c2CCOC3)c1 ZINC000866092590 638733696 /nfs/dbraw/zinc/73/36/96/638733696.db2.gz MHKWYFHHLMERRQ-UHFFFAOYSA-N 0 0 299.330 2.862 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000866113198 638743454 /nfs/dbraw/zinc/74/34/54/638743454.db2.gz KUGWSCNPYAARAB-CHWSQXEVSA-N 0 0 290.363 2.936 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000866114118 638744120 /nfs/dbraw/zinc/74/41/20/638744120.db2.gz VSJVLACTXCZOSK-SAXRGWBVSA-N 0 0 288.347 2.546 20 5 CFBDRN COC(C)(C)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000866126851 638750066 /nfs/dbraw/zinc/75/00/66/638750066.db2.gz JJQLTJZLQQPAOO-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN COC(C)(C)CCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000866127248 638750086 /nfs/dbraw/zinc/75/00/86/638750086.db2.gz ZGIOYPXKZQIQGM-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN CCOC(CN(CC)c1ccccc1[N+](=O)[O-])OCC ZINC000866128961 638750877 /nfs/dbraw/zinc/75/08/77/638750877.db2.gz ZYIBWINUENUIDY-UHFFFAOYSA-N 0 0 282.340 2.820 20 5 CFBDRN Cc1cc(N[C@H](C2CCC2)[C@@H]2CCOC2)ncc1[N+](=O)[O-] ZINC000866143986 638756606 /nfs/dbraw/zinc/75/66/06/638756606.db2.gz SRGPDBNDNVVFIQ-IUODEOHRSA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H]1CC2(CO1)CCOCC2 ZINC000866174131 638763685 /nfs/dbraw/zinc/76/36/85/638763685.db2.gz HPLGWUYHUCCVFJ-JTQLQIEISA-N 0 0 298.364 2.654 20 5 CFBDRN CN1Cc2ccccc2[C@@H]1CNc1cccnc1[N+](=O)[O-] ZINC000866194042 638767350 /nfs/dbraw/zinc/76/73/50/638767350.db2.gz GDVLDWMQCYVKMZ-AWEZNQCLSA-N 0 0 284.319 2.588 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@H]2CSC[C@H]2C1 ZINC000866202162 638769096 /nfs/dbraw/zinc/76/90/96/638769096.db2.gz ZPUNNUOBEHMLNL-DTWKUNHWSA-N 0 0 270.379 2.846 20 5 CFBDRN COc1cc(N2CC[C@@H]3CSC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000866204320 638769656 /nfs/dbraw/zinc/76/96/56/638769656.db2.gz WFSXLEDVDQCXBZ-GHMZBOCLSA-N 0 0 294.376 2.793 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866204386 638769668 /nfs/dbraw/zinc/76/96/68/638769668.db2.gz XATNNSUUFYEAGI-BDAKNGLRSA-N 0 0 299.783 2.833 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]2CSC[C@H]2C1 ZINC000866205909 638770029 /nfs/dbraw/zinc/77/00/29/638770029.db2.gz HYZAGASKSNFARW-NXEZZACHSA-N 0 0 282.340 2.923 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H]2CSC[C@H]2C1 ZINC000866206053 638770050 /nfs/dbraw/zinc/77/00/50/638770050.db2.gz ITYPBLQWPVXGLX-VHSXEESVSA-N 0 0 282.340 2.923 20 5 CFBDRN Nc1c(F)c(N2CC[C@H]3CSC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000866204787 638770106 /nfs/dbraw/zinc/77/01/06/638770106.db2.gz ZRXMYAGCBCPLBF-DTWKUNHWSA-N 0 0 297.355 2.505 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866207530 638770434 /nfs/dbraw/zinc/77/04/34/638770434.db2.gz PVAMHOSNTVGLKT-MNOVXSKESA-N 0 0 264.350 2.784 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H]2CSC[C@H]2C1 ZINC000866207528 638770560 /nfs/dbraw/zinc/77/05/60/638770560.db2.gz PVAMHOSNTVGLKT-GHMZBOCLSA-N 0 0 264.350 2.784 20 5 CFBDRN COc1cc(N2C[C@H](O)CC(C)(C)C2)c([N+](=O)[O-])cc1C ZINC000866229724 638775015 /nfs/dbraw/zinc/77/50/15/638775015.db2.gz VLDCNHJDAZXJTN-LLVKDONJSA-N 0 0 294.351 2.509 20 5 CFBDRN Cc1cccc(N2C[C@H](O)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000866230369 638775520 /nfs/dbraw/zinc/77/55/20/638775520.db2.gz SNNPMWGYJIHHEI-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN CC(C)(C)OC1CN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000866279152 638788256 /nfs/dbraw/zinc/78/82/56/638788256.db2.gz KKIRUJSSULILHR-UHFFFAOYSA-N 0 0 285.731 2.647 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000121756340 638890263 /nfs/dbraw/zinc/89/02/63/638890263.db2.gz MVNBYIWSUNRWNK-NSHDSACASA-N 0 0 293.367 2.825 20 5 CFBDRN CC1=C(C)CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000867967725 638909996 /nfs/dbraw/zinc/90/99/96/638909996.db2.gz MEROKLNOQPPWNP-UHFFFAOYSA-N 0 0 292.310 2.845 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868031011 638917081 /nfs/dbraw/zinc/91/70/81/638917081.db2.gz YYTBXLXFGWBFAB-ZYHUDNBSSA-N 0 0 294.326 2.829 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000868525461 638953053 /nfs/dbraw/zinc/95/30/53/638953053.db2.gz UULZWMDQIGXBDV-MFKMUULPSA-N 0 0 291.351 2.891 20 5 CFBDRN CCC1(CC)CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000868571580 638957185 /nfs/dbraw/zinc/95/71/85/638957185.db2.gz SLIMLLMYWRYFNC-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H]1C(F)F ZINC000868604482 638960612 /nfs/dbraw/zinc/96/06/12/638960612.db2.gz TUFMQHSLYDWVLN-IDVQTMNDSA-N 0 0 296.273 2.864 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@H]1C(F)F ZINC000868609797 638961609 /nfs/dbraw/zinc/96/16/09/638961609.db2.gz OZGMOIUESDPBJH-VIFPVBQESA-N 0 0 288.225 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)c1ccoc1C ZINC000017276490 638990755 /nfs/dbraw/zinc/99/07/55/638990755.db2.gz BVUHIKUQFMCASL-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CC/C=C(/F)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000869066943 639020979 /nfs/dbraw/zinc/02/09/79/639020979.db2.gz QWZOVOOOWGTILK-RUDMXATFSA-N 0 0 267.260 2.838 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)c2ccccc2[N+](=O)[O-])OC1 ZINC000869313805 639164202 /nfs/dbraw/zinc/16/42/02/639164202.db2.gz CMIZOHQLUIKNLP-NSHDSACASA-N 0 0 293.319 2.957 20 5 CFBDRN O=C(OCc1coc(C2CC2)n1)c1ccccc1[N+](=O)[O-] ZINC000869314787 639166081 /nfs/dbraw/zinc/16/60/81/639166081.db2.gz ORWAVDXHFRCHTM-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000869314171 639166354 /nfs/dbraw/zinc/16/63/54/639166354.db2.gz JHOBRLQRPMRWES-JTQLQIEISA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@H]1OCC[C@@H]1COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000869314729 639166534 /nfs/dbraw/zinc/16/65/34/639166534.db2.gz VWRDDHRYWRDLLO-RKDXNWHRSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2CC(C)(C)CO2)cc1[N+](=O)[O-] ZINC000869343901 639187385 /nfs/dbraw/zinc/18/73/85/639187385.db2.gz UPEMFJZMSZUFPZ-SECBINFHSA-N 0 0 299.348 2.937 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC[C@H]1CCSC1 ZINC000869607135 639320027 /nfs/dbraw/zinc/32/00/27/639320027.db2.gz GXXGSNCUYSCAHN-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC(C)(C)F ZINC000869622218 639327990 /nfs/dbraw/zinc/32/79/90/639327990.db2.gz SBDRFCPLGLYXQY-UHFFFAOYSA-N 0 0 272.251 2.520 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc(F)cc2[N+](=O)[O-])[C@@H](C)O1 ZINC000869649474 639339267 /nfs/dbraw/zinc/33/92/67/639339267.db2.gz BJRIRZKPGYKSLS-KBVBSXBZSA-N 0 0 297.282 2.591 20 5 CFBDRN Cc1noc(COC(=O)[C@]23C[C@H]2CCCC3)c1[N+](=O)[O-] ZINC000869701095 639356175 /nfs/dbraw/zinc/35/61/75/639356175.db2.gz BQYLWZQQFODZNF-NOZJJQNGSA-N 0 0 280.280 2.515 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@]12CCC[C@H]1OCC2 ZINC000869718263 639367831 /nfs/dbraw/zinc/36/78/31/639367831.db2.gz VVSYSRULKLSCBD-HIFRSBDPSA-N 0 0 291.303 2.597 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1CCCC12CC2 ZINC000869750803 639381592 /nfs/dbraw/zinc/38/15/92/639381592.db2.gz IFQYRFZQNWVQHG-GFCCVEGCSA-N 0 0 292.310 2.725 20 5 CFBDRN CO[C@H](COC(=O)c1c(F)cccc1[N+](=O)[O-])CC(C)C ZINC000869896905 639458412 /nfs/dbraw/zinc/45/84/12/639458412.db2.gz JJZDBBPWWFFHQW-JTQLQIEISA-N 0 0 299.298 2.952 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000869896804 639458901 /nfs/dbraw/zinc/45/89/01/639458901.db2.gz HVBVWPXRFWRVNI-UWVGGRQHSA-N 0 0 297.282 2.706 20 5 CFBDRN CC(C)CCOCCOC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897052 639459179 /nfs/dbraw/zinc/45/91/79/639459179.db2.gz LIUIJIGFBZWHKF-UHFFFAOYSA-N 0 0 299.298 2.953 20 5 CFBDRN Cn1c(Cl)cnc1COc1ccc([N+](=O)[O-])cc1 ZINC000018032697 639501987 /nfs/dbraw/zinc/50/19/87/639501987.db2.gz IQIWZJCFGMCJMP-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC000870134958 639527891 /nfs/dbraw/zinc/52/78/91/639527891.db2.gz QDCQIWISEQKOMD-JTLRNRKASA-N 0 0 291.303 2.801 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)c([N+](=O)[O-])c1 ZINC000870155974 639529371 /nfs/dbraw/zinc/52/93/71/639529371.db2.gz FKKSYOGTEMAOLX-HBNTYKKESA-N 0 0 279.292 2.622 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2cn3ccccc3n2)ccc1F ZINC000078504069 650510088 /nfs/dbraw/zinc/51/00/88/650510088.db2.gz UYCNTUVSOBOJFR-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC[C@@H]2F)c1 ZINC000870488148 639685795 /nfs/dbraw/zinc/68/57/95/639685795.db2.gz GZFWPRJNHFGPRF-NWDGAFQWSA-N 0 0 280.299 2.914 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCCC2)c1cc(F)c([N+](=O)[O-])cc1F ZINC000870534745 639708605 /nfs/dbraw/zinc/70/86/05/639708605.db2.gz HTMFDVAZELGNER-XLKFXECMSA-N 0 0 296.273 2.936 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000870578953 639731901 /nfs/dbraw/zinc/73/19/01/639731901.db2.gz CONRAGHDRPVEBN-TXEJJXNPSA-N 0 0 281.333 2.575 20 5 CFBDRN COC[C@@H](C)CC(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700228 639778342 /nfs/dbraw/zinc/77/83/42/639778342.db2.gz DTPPPMVKNZZIPS-JTQLQIEISA-N 0 0 295.335 2.927 20 5 CFBDRN Cc1conc1C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870701780 639778834 /nfs/dbraw/zinc/77/88/34/639778834.db2.gz VLXLDHXLMOXBCL-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@]1(C)CCCO1 ZINC000870700904 639779136 /nfs/dbraw/zinc/77/91/36/639779136.db2.gz LDWUGENLEBPGAM-HNNXBMFYSA-N 0 0 293.319 2.824 20 5 CFBDRN COC1(C(=O)OCc2c(C)ccc([N+](=O)[O-])c2C)CCC1 ZINC000870701214 639779165 /nfs/dbraw/zinc/77/91/65/639779165.db2.gz PFPUTCZUBXOUAU-UHFFFAOYSA-N 0 0 293.319 2.824 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@@H](O)Cc1ccccc1 ZINC000192479142 650564104 /nfs/dbraw/zinc/56/41/04/650564104.db2.gz UOAMZICRFATFQE-ZDUSSCGKSA-N 0 0 291.278 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc(S(=O)(=O)Oc2ccccc2F)c1 ZINC000192688972 650585057 /nfs/dbraw/zinc/58/50/57/650585057.db2.gz ICQJTXKNJJZJTI-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN CCc1onc(C)c1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000192787856 650595868 /nfs/dbraw/zinc/59/58/68/650595868.db2.gz KTUCKHFFUMAZLD-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870827839 641090756 /nfs/dbraw/zinc/09/07/56/641090756.db2.gz GQSMFQVQCUPOEZ-IXYVEGFRSA-N 0 0 295.339 2.720 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000871094464 641200829 /nfs/dbraw/zinc/20/08/29/641200829.db2.gz IENATWDBQUXFDO-MGCOHNPYSA-N 0 0 298.339 2.666 20 5 CFBDRN CC(C)(NC(=O)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000871164069 641226340 /nfs/dbraw/zinc/22/63/40/641226340.db2.gz RQMZRKZHEQHKSD-ZDUSSCGKSA-N 0 0 292.335 2.672 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CSCCS1 ZINC000193439410 650654735 /nfs/dbraw/zinc/65/47/35/650654735.db2.gz CGMUFLJIZZUFDM-LLVKDONJSA-N 0 0 298.389 2.690 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2C[C@H]3CCC[C@@H]3O2)n1 ZINC000871665338 641390319 /nfs/dbraw/zinc/39/03/19/641390319.db2.gz HMEKJKSECKWMJS-AGIUHOORSA-N 0 0 292.335 2.943 20 5 CFBDRN Cc1ccc(OC(=O)Cc2ccoc2)c([N+](=O)[O-])c1 ZINC000123723589 641391132 /nfs/dbraw/zinc/39/11/32/641391132.db2.gz KRZRTGHANXRRCG-UHFFFAOYSA-N 0 0 261.233 2.644 20 5 CFBDRN O=[N+]([O-])c1nc(Br)ccc1OCCCCF ZINC000871694443 641408375 /nfs/dbraw/zinc/40/83/75/641408375.db2.gz LYVROUWMDGQULD-UHFFFAOYSA-N 0 0 293.092 2.881 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CC1CC2(CCC2)C1 ZINC000871743028 641433292 /nfs/dbraw/zinc/43/32/92/641433292.db2.gz WOKOFZBDGOWHLQ-UHFFFAOYSA-N 0 0 262.309 2.645 20 5 CFBDRN CC1(C)CO[C@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000871745012 641434786 /nfs/dbraw/zinc/43/47/86/641434786.db2.gz BNUZEWNXKAUMLG-LBPRGKRZSA-N 0 0 293.323 2.531 20 5 CFBDRN Cc1c(F)ncnc1N(C)CCc1ccccc1[N+](=O)[O-] ZINC000872545112 641846912 /nfs/dbraw/zinc/84/69/12/641846912.db2.gz QDBZYKMGBMNDAD-UHFFFAOYSA-N 0 0 290.298 2.511 20 5 CFBDRN CC(=O)C1CCN(c2nccc3c2cccc3[N+](=O)[O-])CC1 ZINC000872667744 641890053 /nfs/dbraw/zinc/89/00/53/641890053.db2.gz OQDWSBKGPZKXMQ-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN Cc1cc(NCCC[C@H]2CCOC2=O)c(F)cc1[N+](=O)[O-] ZINC000872702653 641904816 /nfs/dbraw/zinc/90/48/16/641904816.db2.gz KOOUAPNVXSCXKI-JTQLQIEISA-N 0 0 296.298 2.798 20 5 CFBDRN Cc1nn(C)c(NCc2ccc(O)c(Cl)c2)c1[N+](=O)[O-] ZINC000872726835 641913547 /nfs/dbraw/zinc/91/35/47/641913547.db2.gz WKSZGIDNSQJAPM-UHFFFAOYSA-N 0 0 296.714 2.608 20 5 CFBDRN Cc1nccnc1[C@H](C)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000872767848 641925035 /nfs/dbraw/zinc/92/50/35/641925035.db2.gz AOPMTDCAVBPTHG-QMMMGPOBSA-N 0 0 293.714 2.915 20 5 CFBDRN CC[C@H](Nc1ncccc1[N+](=O)[O-])[C@H](O)c1ccccc1 ZINC000872774295 641928622 /nfs/dbraw/zinc/92/86/22/641928622.db2.gz HLSJUQSBMHDQJY-GXTWGEPZSA-N 0 0 287.319 2.914 20 5 CFBDRN Cc1cc(CNCc2c(C)ccc([N+](=O)[O-])c2C)no1 ZINC000873022802 641987026 /nfs/dbraw/zinc/98/70/26/641987026.db2.gz QSAJQZKJYSQDBR-UHFFFAOYSA-N 0 0 275.308 2.798 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNc1ccn(CCF)n1 ZINC000873152366 642033760 /nfs/dbraw/zinc/03/37/60/642033760.db2.gz MOQXVJMSKCFFCE-UHFFFAOYSA-N 0 0 292.314 2.990 20 5 CFBDRN CC[C@@H](OC(=O)c1ccc(SC)c([N+](=O)[O-])c1)C(C)=O ZINC000873309419 642131018 /nfs/dbraw/zinc/13/10/18/642131018.db2.gz CZIOWYLTKYHJPF-LLVKDONJSA-N 0 0 297.332 2.841 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2CCC[C@H]2O1)c1ccccc1[N+](=O)[O-] ZINC000873317306 642133517 /nfs/dbraw/zinc/13/35/17/642133517.db2.gz KGXJFZNQTHWZCK-UHIISALHSA-N 0 0 291.303 2.709 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1cccc([N+](=O)[O-])c1 ZINC000873317131 642133850 /nfs/dbraw/zinc/13/38/50/642133850.db2.gz FVPZKZLOLQOYCK-SWHYSGLUSA-N 0 0 291.303 2.709 20 5 CFBDRN CC[C@H](OC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873377919 642166648 /nfs/dbraw/zinc/16/66/48/642166648.db2.gz RMLFDUJQQNTQMD-HBWSCVEGSA-N 0 0 291.303 2.909 20 5 CFBDRN CC[C@H](OC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873377918 642167015 /nfs/dbraw/zinc/16/70/15/642167015.db2.gz RMLFDUJQQNTQMD-CMUBDUJZSA-N 0 0 291.303 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@H](C)C(=O)C1CC1 ZINC000873437984 642205155 /nfs/dbraw/zinc/20/51/55/642205155.db2.gz CEQMHUVEYWLDGY-SNVBAGLBSA-N 0 0 291.303 2.736 20 5 CFBDRN CO[C@H](C)CCOC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873507481 642226503 /nfs/dbraw/zinc/22/65/03/642226503.db2.gz OTWZZTSOLFQRLD-SNVBAGLBSA-N 0 0 281.308 2.793 20 5 CFBDRN CCO[C@H]1C[C@H]1COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873525688 642234976 /nfs/dbraw/zinc/23/49/76/642234976.db2.gz VFJDBCJJGUXIHL-AAEUAGOBSA-N 0 0 293.319 2.793 20 5 CFBDRN COCC1(COC(=O)c2c(C)ccc([N+](=O)[O-])c2C)CC1 ZINC000873527390 642236112 /nfs/dbraw/zinc/23/61/12/642236112.db2.gz UHZVRKIDTGQQKZ-UHFFFAOYSA-N 0 0 293.319 2.795 20 5 CFBDRN CCO[C@H]1C[C@@H]1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000873560410 642251552 /nfs/dbraw/zinc/25/15/52/642251552.db2.gz DYWXGCUDZISCNC-GAFUQQFSSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@@]12CCOC2)c1ccccc1[N+](=O)[O-] ZINC000873578762 642259409 /nfs/dbraw/zinc/25/94/09/642259409.db2.gz RFCXLNSRDKBEGQ-IFUGULHKSA-N 0 0 291.303 2.626 20 5 CFBDRN COc1cccc(C(=O)O[C@H](C)[C@H]2CC23CC3)c1[N+](=O)[O-] ZINC000873626562 642278063 /nfs/dbraw/zinc/27/80/63/642278063.db2.gz ZSJIXNKDEOLGLM-MWLCHTKSSA-N 0 0 291.303 2.949 20 5 CFBDRN CCn1nccc1C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000124800659 642335081 /nfs/dbraw/zinc/33/50/81/642335081.db2.gz GAHUUSWCSVXEOO-SNVBAGLBSA-N 0 0 289.291 2.729 20 5 CFBDRN Cc1cc(NC(=O)c2c(C)ccc([N+](=O)[O-])c2C)n(C)n1 ZINC000874088536 642335929 /nfs/dbraw/zinc/33/59/29/642335929.db2.gz HGDGPTPZOJEALL-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CCn1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1C ZINC000874105615 642339884 /nfs/dbraw/zinc/33/98/84/642339884.db2.gz VXJIIRZLGOXTKD-UHFFFAOYSA-N 0 0 287.319 2.655 20 5 CFBDRN Cc1ncc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)s1 ZINC000195226308 650743400 /nfs/dbraw/zinc/74/34/00/650743400.db2.gz LAHBMKKDTDNFJV-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN CCOCCCCNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000124974650 642376380 /nfs/dbraw/zinc/37/63/80/642376380.db2.gz LPTIFPQZVDVGAU-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])O1 ZINC000874625448 642428469 /nfs/dbraw/zinc/42/84/69/642428469.db2.gz OTOOYNKDWNNFBN-MJVIPROJSA-N 0 0 292.335 2.730 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1O[C@H](C)C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000874625683 642429096 /nfs/dbraw/zinc/42/90/96/642429096.db2.gz PEMDUUDCIDJEJO-BIAAXOCRSA-N 0 0 292.335 2.586 20 5 CFBDRN COc1ccccc1OC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000195519527 650756408 /nfs/dbraw/zinc/75/64/08/650756408.db2.gz HGAMNTJQDCDURR-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000874779962 642480344 /nfs/dbraw/zinc/48/03/44/642480344.db2.gz KYUIIISVQFBMJB-ZWNOBZJWSA-N 0 0 274.320 2.757 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000874784501 642485574 /nfs/dbraw/zinc/48/55/74/642485574.db2.gz JTSWOTLASZYJHA-CYBMUJFWSA-N 0 0 297.314 2.815 20 5 CFBDRN Cc1sc(C(=O)NC2(C)CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000874856683 642529064 /nfs/dbraw/zinc/52/90/64/642529064.db2.gz KABJTRDQGMTYSG-UHFFFAOYSA-N 0 0 290.291 2.882 20 5 CFBDRN CCOC(=O)CCN(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000125249393 642577424 /nfs/dbraw/zinc/57/74/24/642577424.db2.gz PAUYQSJWMPBCGU-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN CCC[C@H](OCC)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000875054577 642581158 /nfs/dbraw/zinc/58/11/58/642581158.db2.gz WTPVUJPDFQAWKT-LBPRGKRZSA-N 0 0 284.287 2.878 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000875054329 642581208 /nfs/dbraw/zinc/58/12/08/642581208.db2.gz OBSGGJBNGPMYBQ-ZJNJWXDTSA-N 0 0 260.293 2.825 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC000875052077 642581339 /nfs/dbraw/zinc/58/13/39/642581339.db2.gz IRGZHGVTXQXXIJ-JTLRNRKASA-N 0 0 290.319 2.834 20 5 CFBDRN C[C@@]1(Br)C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000875054204 642581545 /nfs/dbraw/zinc/58/15/45/642581545.db2.gz JZENTEGXULFZDX-MWLCHTKSSA-N 0 0 299.124 2.707 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000875077584 642582311 /nfs/dbraw/zinc/58/23/11/642582311.db2.gz DRUGGVBZZBLUIH-GJQVQUKXSA-N 0 0 274.320 2.850 20 5 CFBDRN O=C(NC1CC=CC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000125290284 642587498 /nfs/dbraw/zinc/58/74/98/642587498.db2.gz FANMPWPCVCSYTA-UHFFFAOYSA-N 0 0 266.684 2.697 20 5 CFBDRN CCOCCCCCC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000875655869 642659843 /nfs/dbraw/zinc/65/98/43/642659843.db2.gz JGGIUZDYTIJNRQ-UHFFFAOYSA-N 0 0 295.339 2.550 20 5 CFBDRN CC(C)c1coc(NC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000875985545 642735391 /nfs/dbraw/zinc/73/53/91/642735391.db2.gz IKBVVJNMCGHFKZ-UHFFFAOYSA-N 0 0 269.301 2.572 20 5 CFBDRN O=C(OCc1cnc(C2CC2)o1)c1ccc([N+](=O)[O-])s1 ZINC000875997922 642737972 /nfs/dbraw/zinc/73/79/72/642737972.db2.gz BIQXLWDQCFOCLZ-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN C[C@@H]1CC(NC(=O)c2cc([N+](=O)[O-])ccc2N)C[C@@H](C)C1 ZINC000084980801 650786636 /nfs/dbraw/zinc/78/66/36/650786636.db2.gz ILIDXYODLWVWPA-UWVGGRQHSA-N 0 0 291.351 2.732 20 5 CFBDRN CCc1nc(C(=O)Oc2ccc(CC)cc2[N+](=O)[O-])co1 ZINC000125893746 642845053 /nfs/dbraw/zinc/84/50/53/642845053.db2.gz LLXRMGXGLPAAPN-UHFFFAOYSA-N 0 0 290.275 2.927 20 5 CFBDRN Cc1ccc2c(n1)N(C(=O)c1cccc([N+](=O)[O-])c1)CCC2 ZINC000876491509 642892378 /nfs/dbraw/zinc/89/23/78/642892378.db2.gz KXIKDDFSGDRIBG-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN CC[C@H]1CN(Cc2c(C)ccc([N+](=O)[O-])c2C)CCO1 ZINC000876560821 642923280 /nfs/dbraw/zinc/92/32/80/642923280.db2.gz NUOIKFQWKWNTOE-ZDUSSCGKSA-N 0 0 278.352 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1CCOC2(CCC2)C1 ZINC000876762985 643000705 /nfs/dbraw/zinc/00/07/05/643000705.db2.gz AZUVIYTUQWLHMA-UHFFFAOYSA-N 0 0 290.363 2.967 20 5 CFBDRN Cc1cccc(NC2CC(n3cc([N+](=O)[O-])cn3)C2)c1 ZINC000876819633 643025217 /nfs/dbraw/zinc/02/52/17/643025217.db2.gz JZWFTAJSKZZLMF-UHFFFAOYSA-N 0 0 272.308 2.915 20 5 CFBDRN CC(C)Oc1ccccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000876826902 643029145 /nfs/dbraw/zinc/02/91/45/643029145.db2.gz JFWGHBCSKSOYNW-UHFFFAOYSA-N 0 0 290.323 2.728 20 5 CFBDRN COc1ccc(Cl)c(NCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000876871569 643044270 /nfs/dbraw/zinc/04/42/70/643044270.db2.gz LXFSLVMBGKCKIH-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN CCc1cc(NCc2cn(C)nc2[N+](=O)[O-])ccc1F ZINC000877515647 643321161 /nfs/dbraw/zinc/32/11/61/643321161.db2.gz LEXGQBMENIRWLF-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN Cc1ccc2c(c1)C(=O)N[C@H](c1ccc([N+](=O)[O-])s1)N2 ZINC000877862735 643611356 /nfs/dbraw/zinc/61/13/56/643611356.db2.gz NMHYTDCRKDXMAM-GFCCVEGCSA-N 0 0 289.316 2.819 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC=C(Cl)C2)cs1 ZINC000878793543 644029167 /nfs/dbraw/zinc/02/91/67/644029167.db2.gz GPMHLRZLNAUVPF-UHFFFAOYSA-N 0 0 258.730 2.985 20 5 CFBDRN CCN(Cc1ccc(C)c([N+](=O)[O-])c1)c1cnn(C)c1 ZINC000878847115 644048720 /nfs/dbraw/zinc/04/87/20/644048720.db2.gz QIDIFZLUEJUHCF-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](CF)C1 ZINC000879227511 644182194 /nfs/dbraw/zinc/18/21/94/644182194.db2.gz HKDJAJHMQXONMD-JTQLQIEISA-N 0 0 281.287 2.808 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(F)CC1 ZINC000879231545 644183593 /nfs/dbraw/zinc/18/35/93/644183593.db2.gz ZGXIYIMVZUBSJW-UHFFFAOYSA-N 0 0 297.286 2.569 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])OCC(F)(F)F ZINC000879512494 644290327 /nfs/dbraw/zinc/29/03/27/644290327.db2.gz NGCXCSTVSREUKA-UHFFFAOYSA-N 0 0 264.203 2.521 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])OCC(F)(F)F ZINC000879513872 644298341 /nfs/dbraw/zinc/29/83/41/644298341.db2.gz SHJYLNCOTFSMDU-UHFFFAOYSA-N 0 0 282.193 2.660 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)OCC(F)(F)F ZINC000879519018 644300127 /nfs/dbraw/zinc/30/01/27/644300127.db2.gz NDHWUGHDNBOJPD-UHFFFAOYSA-N 0 0 294.229 2.529 20 5 CFBDRN COc1ccc(OC(=O)CC(C)C)c([N+](=O)[O-])c1 ZINC000247903535 650918607 /nfs/dbraw/zinc/91/86/07/650918607.db2.gz GVEWBOFOZFARNO-UHFFFAOYSA-N 0 0 253.254 2.555 20 5 CFBDRN Cc1cccc(COc2cc(C=O)ccc2[N+](=O)[O-])n1 ZINC000092429541 650919756 /nfs/dbraw/zinc/91/97/56/650919756.db2.gz MHRKAKACNCFQKA-UHFFFAOYSA-N 0 0 272.260 2.690 20 5 CFBDRN CCON(CC)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000879800081 644429608 /nfs/dbraw/zinc/42/96/08/644429608.db2.gz VIFDGNAMBXUJCK-UHFFFAOYSA-N 0 0 260.240 2.646 20 5 CFBDRN C[C@@H]1CC[N@H+](Cc2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000248369651 650931574 /nfs/dbraw/zinc/93/15/74/650931574.db2.gz WMZKNBSRYNTKOP-ZJUUUORDSA-N 0 0 252.289 2.964 20 5 CFBDRN C[C@@]12CN(c3ncc([N+](=O)[O-])s3)C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000957077932 650935204 /nfs/dbraw/zinc/93/52/04/650935204.db2.gz RFXXLTGICYKMKN-QYTUQVAYSA-N 0 0 279.365 2.924 20 5 CFBDRN CCOc1cc(NC(=O)NCC(C)(C)F)ccc1[N+](=O)[O-] ZINC000888830394 644811848 /nfs/dbraw/zinc/81/18/48/644811848.db2.gz UONNMUYYWLSDHV-UHFFFAOYSA-N 0 0 299.302 2.863 20 5 CFBDRN CCOc1cc(NC(=O)NC2CCC2)ccc1[N+](=O)[O-] ZINC000888831775 644814617 /nfs/dbraw/zinc/81/46/17/644814617.db2.gz PDZIRPYBPSYYAL-UHFFFAOYSA-N 0 0 279.296 2.668 20 5 CFBDRN CCOc1cc(NC(=O)N2CC(C)(F)C2)ccc1[N+](=O)[O-] ZINC000888832216 644816257 /nfs/dbraw/zinc/81/62/57/644816257.db2.gz XBSBRCKRSNGXJS-UHFFFAOYSA-N 0 0 297.286 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2ccc(C(F)(F)F)n2)cc1F ZINC000094775087 650963852 /nfs/dbraw/zinc/96/38/52/650963852.db2.gz UQGQMZLVBDKPLU-UHFFFAOYSA-N 0 0 289.188 2.998 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H]2C)cc1Cl ZINC000881481171 644984852 /nfs/dbraw/zinc/98/48/52/644984852.db2.gz DAJJDZRPVMYRIK-QMMMGPOBSA-N 0 0 268.700 2.791 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2C=CCC2)cc([N+](=O)[O-])c1 ZINC000881492651 644992131 /nfs/dbraw/zinc/99/21/31/644992131.db2.gz LBEWHXRYXHEXNG-NSHDSACASA-N 0 0 260.293 2.599 20 5 CFBDRN C[C@H](C(=O)NC[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000881496438 644996613 /nfs/dbraw/zinc/99/66/13/644996613.db2.gz HTPFFZKYCLPMMM-QWRGUYRKSA-N 0 0 292.310 2.920 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](CF)C2)c1 ZINC000881596862 645068556 /nfs/dbraw/zinc/06/85/56/645068556.db2.gz JOZFFUYBPFAKSP-NSHDSACASA-N 0 0 280.299 2.725 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC(F)CC1 ZINC000881607529 645077247 /nfs/dbraw/zinc/07/72/47/645077247.db2.gz SVJNIULLKYSFJV-UHFFFAOYSA-N 0 0 291.282 2.650 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@@H](CF)C1 ZINC000881671528 645099177 /nfs/dbraw/zinc/09/91/77/645099177.db2.gz HONVTEVSEFHTNI-VIFPVBQESA-N 0 0 291.282 2.508 20 5 CFBDRN C[C@H](COCC(F)(F)F)Nc1ccnc(F)c1[N+](=O)[O-] ZINC000881856839 645140953 /nfs/dbraw/zinc/14/09/53/645140953.db2.gz OLDMUKVLZKQIPX-ZCFIWIBFSA-N 0 0 297.208 2.508 20 5 CFBDRN CC(=O)c1c(N(C)CC(C)C)cccc1[N+](=O)[O-] ZINC000881858525 645142369 /nfs/dbraw/zinc/14/23/69/645142369.db2.gz QIDZQMWNQFWQNJ-UHFFFAOYSA-N 0 0 250.298 2.890 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccnc(F)c2[N+](=O)[O-])C12CCC2 ZINC000882017075 645177243 /nfs/dbraw/zinc/17/72/43/645177243.db2.gz QKRJGVAHPKRLSQ-MNOVXSKESA-N 0 0 295.314 2.889 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCOC2(CCCCC2)C1 ZINC000882026720 645181298 /nfs/dbraw/zinc/18/12/98/645181298.db2.gz VWUOTWSIYOAMGA-UHFFFAOYSA-N 0 0 295.314 2.668 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCCOC(F)(F)F)c1 ZINC000882035629 645183638 /nfs/dbraw/zinc/18/36/38/645183638.db2.gz LMUJQDIRGPILKX-UHFFFAOYSA-N 0 0 265.187 2.900 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2ccnc(F)c2[N+](=O)[O-])C1(C)C ZINC000882040815 645185943 /nfs/dbraw/zinc/18/59/43/645185943.db2.gz XYQUCXBUQTTXIO-TVQRCGJNSA-N 0 0 283.303 2.744 20 5 CFBDRN CCC(CC)(CCO)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882041225 645186107 /nfs/dbraw/zinc/18/61/07/645186107.db2.gz LQUWHWBGBBSVSP-UHFFFAOYSA-N 0 0 285.319 2.730 20 5 CFBDRN COc1ccc(OCCCOC(F)(F)F)c([N+](=O)[O-])c1 ZINC000882052135 645188045 /nfs/dbraw/zinc/18/80/45/645188045.db2.gz XMWQWWSVEONLTC-UHFFFAOYSA-N 0 0 295.213 2.909 20 5 CFBDRN CC1(C)CCC[C@H](COc2cccnc2[N+](=O)[O-])O1 ZINC000882050461 645188072 /nfs/dbraw/zinc/18/80/72/645188072.db2.gz BKOGKFHWUJTACX-SNVBAGLBSA-N 0 0 266.297 2.716 20 5 CFBDRN COC1CCC(CNc2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000882061481 645189937 /nfs/dbraw/zinc/18/99/37/645189937.db2.gz IRFNHTJXVOBGPQ-UHFFFAOYSA-N 0 0 283.303 2.746 20 5 CFBDRN CC[C@@]1(C)CCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882085343 645195621 /nfs/dbraw/zinc/19/56/21/645195621.db2.gz MPCIPLSZFOYNQZ-LBPRGKRZSA-N 0 0 253.277 2.755 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@H]2CC[C@@H](c3ccccc3)O2)c1 ZINC000882093668 645199524 /nfs/dbraw/zinc/19/95/24/645199524.db2.gz CFYRKBAHUJNKRH-KGLIPLIRSA-N 0 0 273.292 2.712 20 5 CFBDRN CC(C)[C@H](O)CCOc1ccc([N+](=O)[O-])cc1F ZINC000882104887 645204136 /nfs/dbraw/zinc/20/41/36/645204136.db2.gz BARRSADFCJLHCI-LLVKDONJSA-N 0 0 257.261 2.520 20 5 CFBDRN Cc1c(OCC[C@H](O)C(C)C)cccc1[N+](=O)[O-] ZINC000882107796 645205053 /nfs/dbraw/zinc/20/50/53/645205053.db2.gz OSUUQBBXRFITFS-LBPRGKRZSA-N 0 0 253.298 2.689 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCc2ccsc2C1 ZINC000882140997 645217227 /nfs/dbraw/zinc/21/72/27/645217227.db2.gz QMAAEABFLKPCOH-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN CC1(C)CCC[C@H](COc2ccc([N+](=O)[O-])c(CO)c2)O1 ZINC000882158214 645223824 /nfs/dbraw/zinc/22/38/24/645223824.db2.gz WEKFWIBXOXCKTF-CYBMUJFWSA-N 0 0 295.335 2.814 20 5 CFBDRN COCC[C@H]1CCCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882196187 645241646 /nfs/dbraw/zinc/24/16/46/645241646.db2.gz GEURLNQVKXQSAG-LLVKDONJSA-N 0 0 297.330 2.772 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000882287929 645263766 /nfs/dbraw/zinc/26/37/66/645263766.db2.gz CVIUPVNXXQMMNG-ZDUSSCGKSA-N 0 0 283.303 2.524 20 5 CFBDRN O=C(NC1CCCC1)c1cccc([N+](=O)[O-])c1Cl ZINC000129574204 645278657 /nfs/dbraw/zinc/27/86/57/645278657.db2.gz BSXLTKKSSMLRBU-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN CC(=O)c1c(NC[C@@H]2CCOC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000882351834 645289537 /nfs/dbraw/zinc/28/95/37/645289537.db2.gz DCEWRPOECRMVPH-JQWIXIFHSA-N 0 0 292.335 2.882 20 5 CFBDRN CC(=O)c1c(NC[C@H]2CCOC[C@H]2C)cccc1[N+](=O)[O-] ZINC000882351836 645289692 /nfs/dbraw/zinc/28/96/92/645289692.db2.gz DCEWRPOECRMVPH-ZYHUDNBSSA-N 0 0 292.335 2.882 20 5 CFBDRN CS[C@@H]1CCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882411861 645303235 /nfs/dbraw/zinc/30/32/35/645303235.db2.gz QWEPGTNFZHOJFS-SNVBAGLBSA-N 0 0 280.349 2.739 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1CCOC2(CCC2)C1 ZINC000882417001 645305300 /nfs/dbraw/zinc/30/53/00/645305300.db2.gz NYMCOECAHXVKOZ-SNVBAGLBSA-N 0 0 295.314 2.890 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC[C@@H](C2CCC2)C1 ZINC000882465772 645328892 /nfs/dbraw/zinc/32/88/92/645328892.db2.gz DHBQSXPOHFPTNN-SNVBAGLBSA-N 0 0 265.288 2.755 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000882518303 645351629 /nfs/dbraw/zinc/35/16/29/645351629.db2.gz AOCPQIJUHAAXGL-IWSPIJDZSA-N 0 0 251.261 2.729 20 5 CFBDRN C[C@@H]1CC2(CN1c1ccnc(F)c1[N+](=O)[O-])CCOCC2 ZINC000882518370 645351650 /nfs/dbraw/zinc/35/16/50/645351650.db2.gz QNOKXXHLQSLTQD-SNVBAGLBSA-N 0 0 295.314 2.524 20 5 CFBDRN CC(=O)c1c(N[C@@H]2C[C@H]3CC[C@@H]2O3)cccc1[N+](=O)[O-] ZINC000882526014 645353908 /nfs/dbraw/zinc/35/39/08/645353908.db2.gz WMJHAGFNWFDMRC-XWIASGKRSA-N 0 0 276.292 2.529 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@@H](OC2CCC2)C1 ZINC000882545142 645363402 /nfs/dbraw/zinc/36/34/02/645363402.db2.gz CCHSWGFYINLDFW-LLVKDONJSA-N 0 0 295.314 2.667 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC2CC1(C)C2 ZINC000882641444 645398315 /nfs/dbraw/zinc/39/83/15/645398315.db2.gz JHMOBCDXTMJQLU-UHFFFAOYSA-N 0 0 260.293 2.786 20 5 CFBDRN CO[C@H]1C[C@H](CNc2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000882796972 645458384 /nfs/dbraw/zinc/45/83/84/645458384.db2.gz JFIOULBVPDYEMN-UMSPYCQHSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@H]1C[C@H](CNc2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000882796958 645458679 /nfs/dbraw/zinc/45/86/79/645458679.db2.gz IRDXGRJXYHXGLP-XYPYZODXSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H](CO)Cc1ccoc1 ZINC000882866394 645482109 /nfs/dbraw/zinc/48/21/09/645482109.db2.gz LLVDSTMDUJEKPQ-ZDUSSCGKSA-N 0 0 290.319 2.759 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H](CO)Cc1ccoc1 ZINC000882866280 645482168 /nfs/dbraw/zinc/48/21/68/645482168.db2.gz JBFLTTXUGSVNBR-SNVBAGLBSA-N 0 0 282.321 2.512 20 5 CFBDRN CC(C)c1noc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)n1 ZINC000130345166 645485870 /nfs/dbraw/zinc/48/58/70/645485870.db2.gz GNPXWEZSIYNEOE-UHFFFAOYSA-N 0 0 278.224 2.676 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])nc(C)n1CC)[C@@H]1CCCOC1 ZINC000882954843 645526210 /nfs/dbraw/zinc/52/62/10/645526210.db2.gz XEBFFYBVIJJNDM-NEPJUHHUSA-N 0 0 296.371 2.737 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(N)c1F)[C@H]1CCCOC1 ZINC000882955798 645526860 /nfs/dbraw/zinc/52/68/60/645526860.db2.gz SNKQAOPFEBWAGZ-UWVGGRQHSA-N 0 0 297.330 2.933 20 5 CFBDRN Nc1ccc(NC[C@H]2CCC3(CCC3)O2)c([N+](=O)[O-])c1 ZINC000882973031 645535828 /nfs/dbraw/zinc/53/58/28/645535828.db2.gz FVCMGSNYSRTUPN-LLVKDONJSA-N 0 0 277.324 2.691 20 5 CFBDRN CCC[C@@H](C)[C@@H]1CCC[N@@H+]1Cn1cc([N+](=O)[O-])cn1 ZINC000130786926 645570238 /nfs/dbraw/zinc/57/02/38/645570238.db2.gz ILIVNWQHJIYPDE-YPMHNXCESA-N 0 0 266.345 2.649 20 5 CFBDRN C[C@H](CCO)CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000097442652 651028335 /nfs/dbraw/zinc/02/83/35/651028335.db2.gz YLIZUAQVXQNPRH-MRVPVSSYSA-N 0 0 274.267 2.963 20 5 CFBDRN O=C(OCCC(F)(F)F)c1ccc(F)cc1[N+](=O)[O-] ZINC000131271621 645680110 /nfs/dbraw/zinc/68/01/10/645680110.db2.gz KEHLRKUSOJGXDU-UHFFFAOYSA-N 0 0 281.161 2.843 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCCCCCCO ZINC000131468093 645729471 /nfs/dbraw/zinc/72/94/71/645729471.db2.gz UHIZJKIXSGSBRD-UHFFFAOYSA-N 0 0 257.261 2.665 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C[C@H]1C ZINC000131598262 645755881 /nfs/dbraw/zinc/75/58/81/645755881.db2.gz LMBAMLDPIHPQPX-VHSXEESVSA-N 0 0 280.299 2.852 20 5 CFBDRN COc1ccc(CNc2nn(C)cc2Cl)cc1[N+](=O)[O-] ZINC000883372730 645777411 /nfs/dbraw/zinc/77/74/11/645777411.db2.gz LVCCYFVZLQRAIY-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1cc(OC(=O)c2cc([N+](=O)[O-])cnc2C)ccc1F ZINC000131835837 645831961 /nfs/dbraw/zinc/83/19/61/645831961.db2.gz SDTQGMQTTFYWTF-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN Cc1cccc(C(=O)OCC(F)(F)C(F)F)c1[N+](=O)[O-] ZINC000132119732 645878525 /nfs/dbraw/zinc/87/85/25/645878525.db2.gz LVXJZHJSGIELOU-UHFFFAOYSA-N 0 0 295.188 2.960 20 5 CFBDRN CCc1ccc(C(=O)O[C@H](COC)C2CC2)cc1[N+](=O)[O-] ZINC000132431601 645916207 /nfs/dbraw/zinc/91/62/07/645916207.db2.gz HOQGABIDCXSNTK-CQSZACIVSA-N 0 0 293.319 2.739 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@H]1C[C@@H](C)CO1 ZINC000889053084 645979360 /nfs/dbraw/zinc/97/93/60/645979360.db2.gz DZGTZSJDZORTFR-JMCQJSRRSA-N 0 0 299.710 2.887 20 5 CFBDRN COc1ccc(COc2cccnc2F)cc1[N+](=O)[O-] ZINC000133334283 646074049 /nfs/dbraw/zinc/07/40/49/646074049.db2.gz XWZBPJWLRZJVQT-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2cc(Cl)nc(Cl)c2)c1 ZINC000133433956 646087991 /nfs/dbraw/zinc/08/79/91/646087991.db2.gz DJGKIEOIEFVFFI-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000800031188 646111020 /nfs/dbraw/zinc/11/10/20/646111020.db2.gz VUQJCBHMCKVWNU-LERXQTSPSA-N 0 0 293.319 2.809 20 5 CFBDRN Cc1cc(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)ccn1 ZINC000800146221 646155991 /nfs/dbraw/zinc/15/59/91/646155991.db2.gz OLRXYKRXHZVDBS-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccco1 ZINC000800144786 646156201 /nfs/dbraw/zinc/15/62/01/646156201.db2.gz FTVIBKWHKIQUSO-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H](F)C(F)(F)F ZINC000800152887 646158941 /nfs/dbraw/zinc/15/89/41/646158941.db2.gz JIGNWCZHZAIUHH-SECBINFHSA-N 0 0 295.188 2.960 20 5 CFBDRN CCOc1ccc(C(=O)NCCC2CCC2)cc1[N+](=O)[O-] ZINC000133703941 646210282 /nfs/dbraw/zinc/21/02/82/646210282.db2.gz UNMWRWLUZUWSGD-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1ccc(C(=O)NCCC2CCC2)cc1[N+](=O)[O-] ZINC000133825881 646238461 /nfs/dbraw/zinc/23/84/61/646238461.db2.gz MKYFRHGQZPUVIG-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1cccnc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134027058 646266745 /nfs/dbraw/zinc/26/67/45/646266745.db2.gz CUMPPBFTZBSIAX-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CO[C@@H](CNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])C(C)C ZINC000889308490 646290188 /nfs/dbraw/zinc/29/01/88/646290188.db2.gz QAMBLLRLUHFHEU-ZDUSSCGKSA-N 0 0 294.351 2.612 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Oc1ccc2c[nH]nc2c1 ZINC000134187434 646298522 /nfs/dbraw/zinc/29/85/22/646298522.db2.gz PAGLILSVMJYBKY-UHFFFAOYSA-N 0 0 297.270 2.999 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000134224819 646307265 /nfs/dbraw/zinc/30/72/65/646307265.db2.gz GLEHKFZJHNUWJU-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000134312810 646325952 /nfs/dbraw/zinc/32/59/52/646325952.db2.gz VAGVUWLWDKPJKI-SSDOTTSWSA-N 0 0 274.367 2.528 20 5 CFBDRN Cc1c[nH]nc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000134672243 646377102 /nfs/dbraw/zinc/37/71/02/646377102.db2.gz QAQDGPKDNLQVMY-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1c[nH]nc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000134708275 646379191 /nfs/dbraw/zinc/37/91/91/646379191.db2.gz OKBMINYBRBRGBA-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN O=C(NCCCC1CC1)c1cc([N+](=O)[O-])ccc1F ZINC000134796620 646384335 /nfs/dbraw/zinc/38/43/35/646384335.db2.gz NTFWKNBMXQGQDE-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CC(C)(O)CONc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000884488374 646394285 /nfs/dbraw/zinc/39/42/85/646394285.db2.gz UOAJFJOIGNGMRB-UHFFFAOYSA-N 0 0 294.229 2.728 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000884490757 646396327 /nfs/dbraw/zinc/39/63/27/646396327.db2.gz GBVGKHHVGZKCDT-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CC[C@@H](C)CCC(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884504848 646405192 /nfs/dbraw/zinc/40/51/92/646405192.db2.gz SMEIBCNXAQEARY-SNVBAGLBSA-N 0 0 266.297 2.859 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@@H]1CC2CCC1CC2 ZINC000884521063 646417755 /nfs/dbraw/zinc/41/77/55/646417755.db2.gz MUBSRCSTOAALQN-GCZXYKMCSA-N 0 0 290.319 2.859 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C1 ZINC000134964897 646421844 /nfs/dbraw/zinc/42/18/44/646421844.db2.gz RLPYHTRVMLEGFF-VIFPVBQESA-N 0 0 298.289 2.991 20 5 CFBDRN C[C@@H]1CCC[C@]1(C)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884534939 646428401 /nfs/dbraw/zinc/42/84/01/646428401.db2.gz RSKZVXJPBPQSFO-YGRLFVJLSA-N 0 0 278.308 2.859 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1ncc([N+](=O)[O-])c3ccccc31)C2 ZINC000884557355 646447647 /nfs/dbraw/zinc/44/76/47/646447647.db2.gz NAHRHVAKMSZIOP-BDJLRTHQSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(F)nc2)cc([N+](=O)[O-])c1 ZINC000135062369 646452811 /nfs/dbraw/zinc/45/28/11/646452811.db2.gz YPTOXCMOLDYEOW-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc(F)nc2)cc1[N+](=O)[O-] ZINC000135096469 646466048 /nfs/dbraw/zinc/46/60/48/646466048.db2.gz ROVRRPQIUIEWPB-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1nn(C)c(NCc2cscc2Cl)c1[N+](=O)[O-] ZINC000884598546 646479319 /nfs/dbraw/zinc/47/93/19/646479319.db2.gz DQJHNZRWSFBDQB-UHFFFAOYSA-N 0 0 286.744 2.964 20 5 CFBDRN Cc1cc(N2C[C@@H]3CSC[C@@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000884645268 646505365 /nfs/dbraw/zinc/50/53/65/646505365.db2.gz XVGQIMMKLNOYMU-AOOOYVTPSA-N 0 0 282.340 2.842 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000884650131 646508150 /nfs/dbraw/zinc/50/81/50/646508150.db2.gz ZOGRRGKWXXUKPB-LLHIFLOGSA-N 0 0 261.325 2.881 20 5 CFBDRN COc1cc(NC[C@@H]2CC3(CCC3)CO2)ccc1[N+](=O)[O-] ZINC000884662983 646516294 /nfs/dbraw/zinc/51/62/94/646516294.db2.gz CBDYDXLDMCTXRI-LBPRGKRZSA-N 0 0 292.335 2.975 20 5 CFBDRN Cc1cnc(NC[C@@H]2CC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000884663890 646517116 /nfs/dbraw/zinc/51/71/16/646517116.db2.gz XJEOMENMGFNCRF-NSHDSACASA-N 0 0 277.324 2.669 20 5 CFBDRN C[C@@H]1C[C@@]2(CC[C@H](CNc3ccsc3[N+](=O)[O-])O2)CO1 ZINC000884695834 646531691 /nfs/dbraw/zinc/53/16/91/646531691.db2.gz FICGIYVKVRUBHH-BREBYQMCSA-N 0 0 298.364 2.795 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@H]([C@H]2CCCO2)C1 ZINC000884732638 646545718 /nfs/dbraw/zinc/54/57/18/646545718.db2.gz QRYALXUDTRKMKE-GXSJLCMTSA-N 0 0 268.338 2.662 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H]([C@@H]3CCCO3)C2)c1 ZINC000884732588 646546110 /nfs/dbraw/zinc/54/61/10/646546110.db2.gz QHDBEFKJKQARMS-WFASDCNBSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](CCO)C(F)(F)F)c1 ZINC000884835644 646556323 /nfs/dbraw/zinc/55/63/23/646556323.db2.gz WHXLHDGROOYHJA-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN CC[C@@H]1CCC[C@@]1(C)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000885058409 646594615 /nfs/dbraw/zinc/59/46/15/646594615.db2.gz BVSGCRSHJDOZNI-BXUZGUMPSA-N 0 0 295.339 2.551 20 5 CFBDRN CCCCOC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000135959708 646702681 /nfs/dbraw/zinc/70/26/81/646702681.db2.gz TVFBVXXKVBEKGF-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN Cc1ccccc1CN(C)Cc1cccnc1[N+](=O)[O-] ZINC000885931443 646772583 /nfs/dbraw/zinc/77/25/83/646772583.db2.gz VFKKAVUTOJHDHN-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN Cc1cc([C@H]2CCCN2Cc2cccnc2[N+](=O)[O-])on1 ZINC000885959205 646784365 /nfs/dbraw/zinc/78/43/65/646784365.db2.gz VIIYOAHWWCHEOW-GFCCVEGCSA-N 0 0 288.307 2.623 20 5 CFBDRN C[C@@H](c1ccco1)N(C)Cc1cccnc1[N+](=O)[O-] ZINC000885967283 646788237 /nfs/dbraw/zinc/78/82/37/646788237.db2.gz LKPULEOATABLKH-JTQLQIEISA-N 0 0 261.281 2.776 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@]12C[C@H]1CCC2 ZINC000886005008 646809889 /nfs/dbraw/zinc/80/98/89/646809889.db2.gz WWAUQEUSQLRVFR-ABAIWWIYSA-N 0 0 274.320 2.823 20 5 CFBDRN Cc1cccc(C(=O)NC[C@]23C[C@H]2CCC3)c1[N+](=O)[O-] ZINC000886007785 646811975 /nfs/dbraw/zinc/81/19/75/646811975.db2.gz WYHQJEIVYRPWIW-ABAIWWIYSA-N 0 0 274.320 2.823 20 5 CFBDRN Cc1ccc2c(c1)OCCN2Cc1cccnc1[N+](=O)[O-] ZINC000886020527 646823467 /nfs/dbraw/zinc/82/34/67/646823467.db2.gz CAXAIJLQMQCIBI-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN CC(C)[C@@H]1CN(Cc2cccnc2[N+](=O)[O-])CCS1 ZINC000886028775 646828724 /nfs/dbraw/zinc/82/87/24/646828724.db2.gz WPVXZUGWMGBQQL-LBPRGKRZSA-N 0 0 281.381 2.563 20 5 CFBDRN CN(Cc1cc(Cl)cn1C)Cc1cccnc1[N+](=O)[O-] ZINC000886039114 646835499 /nfs/dbraw/zinc/83/54/99/646835499.db2.gz HUYUZOPOFCNNSJ-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC(c2ccccn2)CC1 ZINC000886084132 646859577 /nfs/dbraw/zinc/85/95/77/646859577.db2.gz QKIUXVXAXKVHKA-UHFFFAOYSA-N 0 0 298.346 2.764 20 5 CFBDRN Cc1ccncc1[C@@H](C)NCc1cccnc1[N+](=O)[O-] ZINC000886122424 646881316 /nfs/dbraw/zinc/88/13/16/646881316.db2.gz AURPNCFSRQCBRJ-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC[C@H](OC2CCC2)C1 ZINC000886270682 646943286 /nfs/dbraw/zinc/94/32/86/646943286.db2.gz KCCMPEAUGCRVFE-AWEZNQCLSA-N 0 0 291.351 2.523 20 5 CFBDRN COc1cccc2c1CN(Cc1cccnc1[N+](=O)[O-])C2 ZINC000886300211 646958854 /nfs/dbraw/zinc/95/88/54/646958854.db2.gz BWQNQYAYRPDQQR-UHFFFAOYSA-N 0 0 285.303 2.514 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000886476882 647013609 /nfs/dbraw/zinc/01/36/09/647013609.db2.gz LUXIWFWJSDIGQI-WDEREUQCSA-N 0 0 298.364 2.546 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc(NCC3CC3)n2)c1 ZINC000887283197 647260104 /nfs/dbraw/zinc/26/01/04/647260104.db2.gz WUEWKVMGWYPKOA-UHFFFAOYSA-N 0 0 264.310 2.868 20 5 CFBDRN COc1cccc(C(=O)NCC(C)(C)C2CC2)c1[N+](=O)[O-] ZINC000887373312 647290467 /nfs/dbraw/zinc/29/04/67/647290467.db2.gz AELVTMCPLKROLB-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000887373424 647290646 /nfs/dbraw/zinc/29/06/46/647290646.db2.gz FOOZGTADDFSMJG-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@@H](CNC(=O)C12CC(C1)C2)c1ccccc1[N+](=O)[O-] ZINC000887399209 647302516 /nfs/dbraw/zinc/30/25/16/647302516.db2.gz QCGKYHRRKAEMSP-NLTNOIMHSA-N 0 0 274.320 2.615 20 5 CFBDRN COC(C)(C)CC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887401586 647303069 /nfs/dbraw/zinc/30/30/69/647303069.db2.gz ZCJAFCCXXHHKAT-NSHDSACASA-N 0 0 294.351 2.630 20 5 CFBDRN CC[C@@](C)(OC)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887401088 647303196 /nfs/dbraw/zinc/30/31/96/647303196.db2.gz PFFNJBDRTPTYGF-XHDPSFHLSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@@H](CNC(=O)[C@@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000887402574 647303843 /nfs/dbraw/zinc/30/38/43/647303843.db2.gz FOAVJIACHGCXAV-JQWIXIFHSA-N 0 0 276.336 2.861 20 5 CFBDRN CSC[C@@H](C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403059 647304017 /nfs/dbraw/zinc/30/40/17/647304017.db2.gz NTXYWHYXGSFWNN-GHMZBOCLSA-N 0 0 296.392 2.814 20 5 CFBDRN COCCCCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404993 647305220 /nfs/dbraw/zinc/30/52/20/647305220.db2.gz QOXYOGVFHUVBID-LBPRGKRZSA-N 0 0 294.351 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2CCCC2)c1C ZINC000887614050 647371114 /nfs/dbraw/zinc/37/11/14/647371114.db2.gz CRDIXQXUFQHAGT-UHFFFAOYSA-N 0 0 262.309 2.884 20 5 CFBDRN C[C@H](O)CCOc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000302542853 647409470 /nfs/dbraw/zinc/40/94/70/647409470.db2.gz UCZSANJBRNHUGO-LURJTMIESA-N 0 0 263.652 2.537 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC[C@H]1C1CCCC1 ZINC000889392564 647558355 /nfs/dbraw/zinc/55/83/55/647558355.db2.gz PCHNFSWFUZTULV-HNNXBMFYSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1nc(NC(=O)C[C@@H]2C[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000889424007 647568427 /nfs/dbraw/zinc/56/84/27/647568427.db2.gz JSPYZGSAQNWDLV-QWRGUYRKSA-N 0 0 275.308 2.673 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000889577813 647602745 /nfs/dbraw/zinc/60/27/45/647602745.db2.gz CHIFJBONJRGJMC-KGYLQXTDSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000889588135 647607106 /nfs/dbraw/zinc/60/71/06/647607106.db2.gz VBDQIDGJOXDYEH-OPRDCNLKSA-N 0 0 280.349 2.883 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889612357 647617204 /nfs/dbraw/zinc/61/72/04/647617204.db2.gz OSPCCOUKMIAYOP-UFGOTCBOSA-N 0 0 292.310 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)Cc1cccnc1 ZINC000889659979 647634144 /nfs/dbraw/zinc/63/41/44/647634144.db2.gz QGFJVGZRICFOBK-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN C[C@@H](NC(=O)C1(C2CC2)CC1)c1ccccc1[N+](=O)[O-] ZINC000889863683 647682450 /nfs/dbraw/zinc/68/24/50/647682450.db2.gz KTORQUOKNNCQGA-SNVBAGLBSA-N 0 0 274.320 2.962 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000890421069 647798877 /nfs/dbraw/zinc/79/88/77/647798877.db2.gz FIXOQHYTIFPFHV-RYUDHWBXSA-N 0 0 293.319 2.936 20 5 CFBDRN Cc1noc(C)c1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000005197976 647845203 /nfs/dbraw/zinc/84/52/03/647845203.db2.gz RKUYOTZSYUNKNT-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000008337077 647872819 /nfs/dbraw/zinc/87/28/19/647872819.db2.gz ZAZOTXZRZFLANX-UHFFFAOYSA-N 0 0 298.642 2.682 20 5 CFBDRN CCCOC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923962829 647909197 /nfs/dbraw/zinc/90/91/97/647909197.db2.gz AHLWMWWICPFRDJ-UHFFFAOYSA-N 0 0 255.245 2.620 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000924038309 647914841 /nfs/dbraw/zinc/91/48/41/647914841.db2.gz YYONPWPGQDZDMX-QCZKYFFMSA-N 0 0 292.310 2.756 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000924038304 647915008 /nfs/dbraw/zinc/91/50/08/647915008.db2.gz YYONPWPGQDZDMX-LLHIFLOGSA-N 0 0 292.310 2.756 20 5 CFBDRN C[C@@H](NC(=O)N(C)CC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000925226365 648136493 /nfs/dbraw/zinc/13/64/93/648136493.db2.gz OASISSJLOHTKAF-SNVBAGLBSA-N 0 0 277.324 2.707 20 5 CFBDRN CC(C)[S@](C)(=O)=NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000926059834 648395193 /nfs/dbraw/zinc/39/51/93/648395193.db2.gz BETYNVRWEDRRTD-FQEVSTJZSA-N 0 0 298.364 2.560 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@]12C[C@@H]1CCCC2 ZINC000927458894 648783348 /nfs/dbraw/zinc/78/33/48/648783348.db2.gz NPNBHPVZOGWNLC-XJKSGUPXSA-N 0 0 288.347 2.834 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@]12C[C@H]1CCCC2 ZINC000927458889 648783390 /nfs/dbraw/zinc/78/33/90/648783390.db2.gz NPNBHPVZOGWNLC-CJNGLKHVSA-N 0 0 288.347 2.834 20 5 CFBDRN CCOC1(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000928246180 648887332 /nfs/dbraw/zinc/88/73/32/648887332.db2.gz BZZGMXMIDPINDB-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H](NC(=O)[C@@]1(C)CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000928246288 648887742 /nfs/dbraw/zinc/88/77/42/648887742.db2.gz GVOKICDUGOVDKO-PRHODGIISA-N 0 0 284.262 2.817 20 5 CFBDRN Cc1ccn(C)c1C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245438 648887997 /nfs/dbraw/zinc/88/79/97/648887997.db2.gz PRZWZJJZEYLHLN-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000928245722 648888007 /nfs/dbraw/zinc/88/80/07/648888007.db2.gz WFVJGBFHWHSQDU-DGCLKSJQSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H](NC(=O)/C=C\[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928247334 648888448 /nfs/dbraw/zinc/88/84/48/648888448.db2.gz SHMPXYFWKWWSBE-IJTORHSASA-N 0 0 290.319 2.507 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000928248253 648888578 /nfs/dbraw/zinc/88/85/78/648888578.db2.gz IIEYMYNBCURYRG-SWHYSGLUSA-N 0 0 292.335 2.730 20 5 CFBDRN C[C@@H](NC(=O)C[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928250099 648890475 /nfs/dbraw/zinc/89/04/75/648890475.db2.gz YSARRGBNZOCQCU-BXUZGUMPSA-N 0 0 292.335 2.731 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000929119708 648983647 /nfs/dbraw/zinc/98/36/47/648983647.db2.gz QKFIJUUVLSIKLG-QWHCGFSZSA-N 0 0 293.323 2.544 20 5 CFBDRN CC1(CCC(=O)NCCNc2ccccc2[N+](=O)[O-])CC1 ZINC000929410958 649045976 /nfs/dbraw/zinc/04/59/76/649045976.db2.gz DUCNCTCGUISDSA-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CC(C)[C@H]1C[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCO1 ZINC000929497817 649061258 /nfs/dbraw/zinc/06/12/58/649061258.db2.gz NCDOGKGSIJHLIX-BXUZGUMPSA-N 0 0 293.319 2.951 20 5 CFBDRN CC[C@H](Cc1ccc(C)cc1)[N@H+](C)CC[N+](=O)[O-] ZINC000929723987 649107287 /nfs/dbraw/zinc/10/72/87/649107287.db2.gz NGZSSWXGFWFKCB-CQSZACIVSA-N 0 0 250.342 2.525 20 5 CFBDRN C[C@H](CNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000930644884 649295851 /nfs/dbraw/zinc/29/58/51/649295851.db2.gz ZHBIYHZBNHXPAJ-KMUNFCNLSA-N 0 0 288.347 2.861 20 5 CFBDRN COC(=O)/C=C\c1ccc(NCC(C)C)c([N+](=O)[O-])c1 ZINC000931267290 649399380 /nfs/dbraw/zinc/39/93/80/649399380.db2.gz HAYSLXWULDKULB-ALCCZGGFSA-N 0 0 278.308 2.849 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1Cc2c[nH]nc2[C@H](C)C1 ZINC000931452371 649430365 /nfs/dbraw/zinc/43/03/65/649430365.db2.gz JHOWYLQQKBYERK-MNOVXSKESA-N 0 0 286.335 2.998 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)Cc2c[nH]nc21 ZINC000931461510 649432976 /nfs/dbraw/zinc/43/29/76/649432976.db2.gz UWIOAUREUYEDEH-SECBINFHSA-N 0 0 290.298 2.576 20 5 CFBDRN Cc1[nH]nc2c1CCCN2Cc1csc([N+](=O)[O-])c1 ZINC000934308376 649772087 /nfs/dbraw/zinc/77/20/87/649772087.db2.gz JFIDHIJKRUTRNR-UHFFFAOYSA-N 0 0 278.337 2.641 20 5 CFBDRN CC[C@@H]1CCN(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000934466600 649789215 /nfs/dbraw/zinc/78/92/15/649789215.db2.gz JMNBUYBXHPHKFH-UGFHNGPFSA-N 0 0 288.347 2.957 20 5 CFBDRN O=C(NC1(C2CC2)CC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000934739687 649822583 /nfs/dbraw/zinc/82/25/83/649822583.db2.gz GULZPJMGZIOSMS-UONOGXRCSA-N 0 0 286.331 2.757 20 5 CFBDRN COC(=O)C(C)(C)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000306112926 651088787 /nfs/dbraw/zinc/08/87/87/651088787.db2.gz XCYMCROIDCLIDS-UHFFFAOYSA-N 0 0 286.715 2.859 20 5 CFBDRN Cc1ccc(NC(=S)NCC(C)C)cc1[N+](=O)[O-] ZINC000013811907 651179963 /nfs/dbraw/zinc/17/99/63/651179963.db2.gz SUSLXWOXKTYWHM-UHFFFAOYSA-N 0 0 267.354 2.846 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1CCCOC1 ZINC000116062960 651278042 /nfs/dbraw/zinc/27/80/42/651278042.db2.gz LZOUVFGRLDZSRN-SECBINFHSA-N 0 0 299.710 2.718 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCOC1 ZINC000118749955 651293412 /nfs/dbraw/zinc/29/34/12/651293412.db2.gz QGYSQYVXFQFWTQ-MRVPVSSYSA-N 0 0 284.699 2.532 20 5 CFBDRN Cc1ccc(OS(=O)(=O)c2csc([N+](=O)[O-])c2)cc1 ZINC000119305466 651297137 /nfs/dbraw/zinc/29/71/37/651297137.db2.gz UNRXBPDOGRKFIP-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN CC(C)(C)C(=N)Nc1cnc2ccc([N+](=O)[O-])cc2n1 ZINC001155176296 782244699 /nfs/dbraw/zinc/24/46/99/782244699.db2.gz YLYRQAMZAYLFLU-UHFFFAOYSA-N 0 0 273.296 2.973 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000700107940 780659179 /nfs/dbraw/zinc/65/91/79/780659179.db2.gz LBRWCGNYUHXSEB-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1C[C@@H]2CSC[C@@H]2C1 ZINC000711550324 781453745 /nfs/dbraw/zinc/45/37/45/781453745.db2.gz UXJPAYFBTCRJCN-PHIMTYICSA-N 0 0 292.360 2.597 20 5 CFBDRN CC1(C)CC[C@H](CO)N(c2ccccc2[N+](=O)[O-])C1 ZINC000701602314 780819693 /nfs/dbraw/zinc/81/96/93/780819693.db2.gz GDXHKOYKBZTXMT-LLVKDONJSA-N 0 0 264.325 2.582 20 5 CFBDRN COc1cnc(N2CC(c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC001167062752 769884493 /nfs/dbraw/zinc/88/44/93/769884493.db2.gz HAXSPPOEDJODRL-UHFFFAOYSA-N 0 0 285.303 2.602 20 5 CFBDRN Cc1cc2c(cn1)CN(c1ncc([N+](=O)[O-])c(C)c1C)C2 ZINC001167112024 770037744 /nfs/dbraw/zinc/03/77/44/770037744.db2.gz CWLCBIWONZIAPT-UHFFFAOYSA-N 0 0 284.319 2.830 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2ccnn2-c2ccccn2)c1 ZINC001204450062 770109167 /nfs/dbraw/zinc/10/91/67/770109167.db2.gz IYQDWUYDVLPRKT-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN Cc1cc(N)c2ccnc-2n1-c1cccc([N+](=O)[O-])c1 ZINC001204450936 770115684 /nfs/dbraw/zinc/11/56/84/770115684.db2.gz YAFOPHQYYKUNLU-UHFFFAOYSA-N 0 0 268.276 2.776 20 5 CFBDRN C[C@@H]1CO[C@@H]2CN(c3ccc4cccc([N+](=O)[O-])c4n3)C[C@H]12 ZINC001167169932 770120713 /nfs/dbraw/zinc/12/07/13/770120713.db2.gz KUOVWFLLNRGQFA-MPKXVKKWSA-N 0 0 299.330 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@H]4OCC[C@H]4C3)c2c1 ZINC001167217893 770151907 /nfs/dbraw/zinc/15/19/07/770151907.db2.gz WIHJSNRYLNGGMV-SWLSCSKDSA-N 0 0 299.330 2.758 20 5 CFBDRN COc1cc(CO)ccc1Nc1cccc([N+](=O)[O-])c1 ZINC001204476840 770172264 /nfs/dbraw/zinc/17/22/64/770172264.db2.gz OZWMZCXMMQTCFX-UHFFFAOYSA-N 0 0 274.276 2.839 20 5 CFBDRN Nc1c2cccnc2c2ncccc2c1Nc1ccnnc1 ZINC001209960881 770173914 /nfs/dbraw/zinc/17/39/14/770173914.db2.gz MBSTYSVSOPMQTH-UHFFFAOYSA-N 0 0 288.314 2.899 20 5 CFBDRN COc1ncc(Nc2ccc(Cl)cn2)cc1[N+](=O)[O-] ZINC001210133726 770214536 /nfs/dbraw/zinc/21/45/36/770214536.db2.gz AGYWUYDGHNKITD-UHFFFAOYSA-N 0 0 280.671 2.790 20 5 CFBDRN CC(C)(C)c1cnc(NCc2cccnn2)c([N+](=O)[O-])c1 ZINC001167319225 770280632 /nfs/dbraw/zinc/28/06/32/770280632.db2.gz QILNKMWNCGHNKU-UHFFFAOYSA-N 0 0 287.323 2.689 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1ncc(F)s1 ZINC001210443283 770284412 /nfs/dbraw/zinc/28/44/12/770284412.db2.gz BIXVBCGOCYTKEO-UHFFFAOYSA-N 0 0 269.257 2.943 20 5 CFBDRN Cc1cc(NC2CC(=O)C2)nc(-c2cccc([N+](=O)[O-])c2)n1 ZINC001167339271 770314429 /nfs/dbraw/zinc/31/44/29/770314429.db2.gz XUVHEFPZROAPNU-UHFFFAOYSA-N 0 0 298.302 2.504 20 5 CFBDRN COc1ncccc1Nc1ccc(F)cc1[N+](=O)[O-] ZINC001210950501 770404240 /nfs/dbraw/zinc/40/42/40/770404240.db2.gz XEGZOVINYRKGAJ-UHFFFAOYSA-N 0 0 263.228 2.881 20 5 CFBDRN Cc1c(Nc2ccc(F)c([N+](=O)[O-])c2)ncn1C ZINC001211190432 770448375 /nfs/dbraw/zinc/44/83/75/770448375.db2.gz ACAAWNQRULYPAW-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN CNc1cc([N+](=O)[O-])cnc1N[C@@H](C)c1ccc(F)cn1 ZINC001167476106 770604176 /nfs/dbraw/zinc/60/41/76/770604176.db2.gz OMQHPEZGOLFUNF-QMMMGPOBSA-N 0 0 291.286 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)C(C2CC2)C2CC2)n1 ZINC001125539705 770669353 /nfs/dbraw/zinc/66/93/53/770669353.db2.gz PJSOGIIKWUGZGH-UHFFFAOYSA-N 0 0 289.335 2.559 20 5 CFBDRN CC[C@H](Nc1cccnc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723859794 770691045 /nfs/dbraw/zinc/69/10/45/770691045.db2.gz ZQKBELJZOOXQDM-MNOVXSKESA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@@H]1CCCOC1 ZINC000723870533 770697047 /nfs/dbraw/zinc/69/70/47/770697047.db2.gz IFXIOSXOTLHKMA-NEPJUHHUSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1C)[C@H]1CCCOC1 ZINC000723871924 770698191 /nfs/dbraw/zinc/69/81/91/770698191.db2.gz YIHQUUNGPLYIES-AAEUAGOBSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@@H](Nc1ncc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC001161375192 770735173 /nfs/dbraw/zinc/73/51/73/770735173.db2.gz WQRXLCFWTIAJKM-SSDOTTSWSA-N 0 0 267.182 2.882 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000724122878 770797199 /nfs/dbraw/zinc/79/71/99/770797199.db2.gz UWXNEPAYUORBTL-STQMWFEESA-N 0 0 284.743 2.857 20 5 CFBDRN Nc1cc2nc3ccccc3nc2cc1NC(=O)[C@H]1C[C@@H]1F ZINC001143437148 770886589 /nfs/dbraw/zinc/88/65/89/770886589.db2.gz BBAWSYQAXQVEMU-IUCAKERBSA-N 0 0 296.305 2.662 20 5 CFBDRN COC(C)(C)CCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000588562233 773209645 /nfs/dbraw/zinc/20/96/45/773209645.db2.gz AAXHVZBLEVIGFD-TWGQIWQCSA-N 0 0 293.319 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC001224095716 775100650 /nfs/dbraw/zinc/10/06/50/775100650.db2.gz CWRODSWMIHYOGB-PEGIJTEDSA-N 0 0 278.264 2.818 20 5 CFBDRN CC[C@@H](C)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001224095308 775101132 /nfs/dbraw/zinc/10/11/32/775101132.db2.gz VTQZVRSMOLSHAM-MRVPVSSYSA-N 0 0 254.242 2.818 20 5 CFBDRN COC(C)(C)C[C@@H](C)OCc1ccc([N+](=O)[O-])nc1 ZINC001224112419 775103562 /nfs/dbraw/zinc/10/35/62/775103562.db2.gz CTMNXASWTTXMSC-SNVBAGLBSA-N 0 0 268.313 2.710 20 5 CFBDRN CN1CC(OCc2ccc([N+](=O)[O-])c3ccccc23)C1 ZINC001224201439 775126954 /nfs/dbraw/zinc/12/69/54/775126954.db2.gz BJMRPONZPAJKJQ-UHFFFAOYSA-N 0 0 272.304 2.579 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000721531099 775128540 /nfs/dbraw/zinc/12/85/40/775128540.db2.gz OSKNVNPTPRWTFI-WPRPVWTQSA-N 0 0 264.281 2.522 20 5 CFBDRN C[C@@H](O)CC(=O)OCc1ccc([N+](=O)[O-])c2ccccc12 ZINC001224204674 775128839 /nfs/dbraw/zinc/12/88/39/775128839.db2.gz VPBWYFMACAXYCZ-SNVBAGLBSA-N 0 0 289.287 2.562 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000721530961 775129014 /nfs/dbraw/zinc/12/90/14/775129014.db2.gz OSKNVNPTPRWTFI-WCBMZHEXSA-N 0 0 264.281 2.522 20 5 CFBDRN CCC[C@H](CC(=O)OC)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224332087 775159771 /nfs/dbraw/zinc/15/97/71/775159771.db2.gz UJGNRNOGVGDQLO-CQSZACIVSA-N 0 0 295.335 2.886 20 5 CFBDRN COc1ccc(OC(=O)/C=C\c2ccco2)cc1[N+](=O)[O-] ZINC000588587390 775189399 /nfs/dbraw/zinc/18/93/99/775189399.db2.gz FPBAFOGHBQHVCI-ALCCZGGFSA-N 0 0 289.243 2.815 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](OC[C@H]1CCCOC1)C2 ZINC001224643071 775235993 /nfs/dbraw/zinc/23/59/93/775235993.db2.gz ACVVMVNGNPTKOL-NHYWBVRUSA-N 0 0 277.320 2.505 20 5 CFBDRN CC[C@H](C)CO[C@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001224668448 775242438 /nfs/dbraw/zinc/24/24/38/775242438.db2.gz SNSWDJFNTQNYDT-IINYFYTJSA-N 0 0 281.308 2.796 20 5 CFBDRN CCCOC[C@H](C)OCCOc1ccc([N+](=O)[O-])cc1 ZINC001224776687 775270913 /nfs/dbraw/zinc/27/09/13/775270913.db2.gz AXOOYXAJDXDYCF-LBPRGKRZSA-N 0 0 283.324 2.805 20 5 CFBDRN C[C@@H](OCCOc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC001224777164 775271646 /nfs/dbraw/zinc/27/16/46/775271646.db2.gz MTXNCMMUTGCGQL-GFCCVEGCSA-N 0 0 295.335 2.805 20 5 CFBDRN O=C1CCC(OCCOc2ccc([N+](=O)[O-])cc2)CC1 ZINC001224777028 775271693 /nfs/dbraw/zinc/27/16/93/775271693.db2.gz IUMANFPJGLZHAF-UHFFFAOYSA-N 0 0 279.292 2.502 20 5 CFBDRN C[C@H](OCCCC1OCCO1)c1ccccc1[N+](=O)[O-] ZINC001224936462 775311236 /nfs/dbraw/zinc/31/12/36/775311236.db2.gz UHDVOOPINMJQHX-NSHDSACASA-N 0 0 281.308 2.826 20 5 CFBDRN C[C@H]1CCC[C@H]1OCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC001224985975 775326687 /nfs/dbraw/zinc/32/66/87/775326687.db2.gz BVRCTTYYRGUCQD-SMDDNHRTSA-N 0 0 293.319 2.843 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCC[C@@H]3COC[C@@H]32)c1 ZINC000690778396 775339536 /nfs/dbraw/zinc/33/95/36/775339536.db2.gz MMUWLSCGGIWUIL-YGRLFVJLSA-N 0 0 296.754 2.859 20 5 CFBDRN O=C(Nc1n[nH]c2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000039339462 775361323 /nfs/dbraw/zinc/36/13/23/775361323.db2.gz IANRATJJDNGXNL-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccccc1 ZINC001225197347 775363675 /nfs/dbraw/zinc/36/36/75/775363675.db2.gz LCJKVWOSTBHQPA-JTQLQIEISA-N 0 0 261.281 2.567 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(F)cc1)c1cccnc1 ZINC001225248127 775378552 /nfs/dbraw/zinc/37/85/52/775378552.db2.gz JOFYLUSFFHLJMN-CYBMUJFWSA-N 0 0 262.240 2.618 20 5 CFBDRN COc1ccc(O[C@H](C)Cn2c(C)ncc2[N+](=O)[O-])cc1 ZINC001225253738 775379008 /nfs/dbraw/zinc/37/90/08/775379008.db2.gz DBIYIFLWVXHEOM-SNVBAGLBSA-N 0 0 291.307 2.576 20 5 CFBDRN CCOc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001225256113 775381363 /nfs/dbraw/zinc/38/13/63/775381363.db2.gz QPXIXPLBMSYFHK-ZDUSSCGKSA-N 0 0 251.282 2.519 20 5 CFBDRN COc1cc(C)cc(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001225336118 775417307 /nfs/dbraw/zinc/41/73/07/775417307.db2.gz AQBFZNXBLYIGMG-OAHLLOKOSA-N 0 0 288.303 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCc1cccnn1 ZINC000236043447 775430670 /nfs/dbraw/zinc/43/06/70/775430670.db2.gz PBRKKHNUOZKOCN-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN CCOCC(COCC)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382515 775436583 /nfs/dbraw/zinc/43/65/83/775436583.db2.gz OZVNLBROMNIOLI-UHFFFAOYSA-N 0 0 287.287 2.554 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382837 775437113 /nfs/dbraw/zinc/43/71/13/775437113.db2.gz SZKUAOFPYLCZTN-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385522 775438065 /nfs/dbraw/zinc/43/80/65/775438065.db2.gz TYGLIBKTVMQBGJ-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385956 775438848 /nfs/dbraw/zinc/43/88/48/775438848.db2.gz VHASMFGSFVISOS-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN COc1cc(OC2CC[NH+](C3CC3)CC2)ccc1[N+](=O)[O-] ZINC001225435324 775455663 /nfs/dbraw/zinc/45/56/63/775455663.db2.gz FKKOUMBZIXUMGH-UHFFFAOYSA-N 0 0 292.335 2.609 20 5 CFBDRN COc1cc(O[C@H](C)c2cn(C)nc2C)ccc1[N+](=O)[O-] ZINC001225435613 775455673 /nfs/dbraw/zinc/45/56/73/775455673.db2.gz KAKPQLJMHGYWSH-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN COc1cc(O[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC001225441163 775458908 /nfs/dbraw/zinc/45/89/08/775458908.db2.gz MHNOAALLKLCUIA-KOLCDFICSA-N 0 0 267.281 2.550 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2ccncc2c1)C1CC1 ZINC001225450575 775461161 /nfs/dbraw/zinc/46/11/61/775461161.db2.gz IDZCGXISETZPIZ-AWEZNQCLSA-N 0 0 258.277 2.669 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225574483 775506399 /nfs/dbraw/zinc/50/63/99/775506399.db2.gz CRKBRDMRPUPVHV-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN COC(=O)C1CCC(Oc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC001225575542 775507356 /nfs/dbraw/zinc/50/73/56/775507356.db2.gz RMEJADKQEOKFRI-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225576559 775507817 /nfs/dbraw/zinc/50/78/17/775507817.db2.gz AKKFTJXWLNLYPH-LLVKDONJSA-N 0 0 255.245 2.870 20 5 CFBDRN C[C@H]1C[C@H](Oc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC001225577897 775508955 /nfs/dbraw/zinc/50/89/55/775508955.db2.gz KPNYWQJITUGWAS-WCBMZHEXSA-N 0 0 255.245 2.680 20 5 CFBDRN CC(C)C[C@H](Oc1ncccc1[N+](=O)[O-])C(=O)OC(C)C ZINC001225593474 775515776 /nfs/dbraw/zinc/51/57/76/775515776.db2.gz RLZSFEARMKOHMM-LBPRGKRZSA-N 0 0 296.323 2.735 20 5 CFBDRN C[C@@H](Oc1ncccc1[N+](=O)[O-])c1ccncc1F ZINC001225595660 775516711 /nfs/dbraw/zinc/51/67/11/775516711.db2.gz KJGOTTVIGAWEIS-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1cccnc1O[C@@H]1CCOc2ccccc21 ZINC001225593529 775517164 /nfs/dbraw/zinc/51/71/64/775517164.db2.gz SZEARXIVZUAZOU-CYBMUJFWSA-N 0 0 272.260 2.892 20 5 CFBDRN CC[C@@H](COC)Oc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225600872 775519578 /nfs/dbraw/zinc/51/95/78/775519578.db2.gz KFCJVXWCKPFYKT-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H](C)c1ncccn1 ZINC001225613813 775523663 /nfs/dbraw/zinc/52/36/63/775523663.db2.gz UXFXUKIUMSKYKT-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001225616187 775525406 /nfs/dbraw/zinc/52/54/06/775525406.db2.gz MWUOHTNTKGCPKZ-CWSCBRNRSA-N 0 0 261.277 2.947 20 5 CFBDRN O=C1CCc2c1cccc2O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225618787 775526573 /nfs/dbraw/zinc/52/65/73/775526573.db2.gz SRNHRHIQVVXYBJ-MRXNPFEDSA-N 0 0 298.298 2.607 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC1Cc2ccccc2C1 ZINC001225628290 775530676 /nfs/dbraw/zinc/53/06/76/775530676.db2.gz GOVBPNBLZRYGAF-UHFFFAOYSA-N 0 0 256.261 2.536 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCc3ccccc3C2)nc1 ZINC001225630716 775531905 /nfs/dbraw/zinc/53/19/05/775531905.db2.gz FESMLVOVSRFQSA-AWEZNQCLSA-N 0 0 270.288 2.926 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@@H]1CCc2ccccc2O1 ZINC001225628524 775531946 /nfs/dbraw/zinc/53/19/46/775531946.db2.gz OEYOLRJIICSCQS-LBPRGKRZSA-N 0 0 286.287 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2COCc3ccccc32)nc1 ZINC001225630826 775532318 /nfs/dbraw/zinc/53/23/18/775532318.db2.gz GPZWHJOHFUTIOR-ZDUSSCGKSA-N 0 0 272.260 2.640 20 5 CFBDRN Cc1cccc(OC2CCC3(CC2)OCCO3)c1[N+](=O)[O-] ZINC001225633949 775533286 /nfs/dbraw/zinc/53/32/86/775533286.db2.gz WXSVCWNBLLBBRK-UHFFFAOYSA-N 0 0 293.319 2.968 20 5 CFBDRN CC(C)C[C@H](Oc1ccc([N+](=O)[O-])cn1)C(=O)OC(C)C ZINC001225633692 775533313 /nfs/dbraw/zinc/53/33/13/775533313.db2.gz RPLGQTOHWVWKHZ-LBPRGKRZSA-N 0 0 296.323 2.735 20 5 CFBDRN Cc1cccc(O[C@@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC001225636496 775534443 /nfs/dbraw/zinc/53/44/43/775534443.db2.gz XQZLHNICAZZQRN-GHMZBOCLSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2cc[nH]c2c1)c1cccnc1 ZINC001225655388 775544064 /nfs/dbraw/zinc/54/40/64/775544064.db2.gz LKQBFGUTBJHLKP-OAHLLOKOSA-N 0 0 283.287 2.960 20 5 CFBDRN Cc1cc(O[C@@H](C)COC(C)(C)C)ncc1[N+](=O)[O-] ZINC001225657439 775544911 /nfs/dbraw/zinc/54/49/11/775544911.db2.gz ICAWVDKGSOOGSV-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN O=c1cc[nH]c(O[C@@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC001225661799 775545217 /nfs/dbraw/zinc/54/52/17/775545217.db2.gz PKNSFODFEHIYBV-GFCCVEGCSA-N 0 0 272.260 2.762 20 5 CFBDRN Cc1cc(O[C@H]2CCC(F)(F)C2)ncc1[N+](=O)[O-] ZINC001225659903 775545295 /nfs/dbraw/zinc/54/52/95/775545295.db2.gz ZRKHLRUEAQMAEJ-QMMMGPOBSA-N 0 0 258.224 2.865 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cccc(F)c1[N+](=O)[O-] ZINC001225664354 775547435 /nfs/dbraw/zinc/54/74/35/775547435.db2.gz NOBGQMNRCWDLLV-BDAKNGLRSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1c([C@@H](C)Oc2cccc(F)c2[N+](=O)[O-])cnn1C ZINC001225664696 775548461 /nfs/dbraw/zinc/54/84/61/775548461.db2.gz RHYHQRWXIVYWEN-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN CCOCC(COCC)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225666379 775548988 /nfs/dbraw/zinc/54/89/88/775548988.db2.gz IESANJPCBMFVOO-UHFFFAOYSA-N 0 0 287.287 2.554 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225666533 775548993 /nfs/dbraw/zinc/54/89/93/775548993.db2.gz JYKDRBNWOBKWGF-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN CCC[C@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])C(C)C ZINC001225667108 775549081 /nfs/dbraw/zinc/54/90/81/775549081.db2.gz PNNWRMCRNBDSCP-JTQLQIEISA-N 0 0 254.286 2.899 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCO[C@H](C)C2)c1 ZINC001225681937 775557377 /nfs/dbraw/zinc/55/73/77/775557377.db2.gz HISUNYZIRBZYJB-GHMZBOCLSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1c(O[C@@H](C)c2cncnc2)cccc1[N+](=O)[O-] ZINC001225690241 775559723 /nfs/dbraw/zinc/55/97/23/775559723.db2.gz UXILTFUWMPPMJP-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cccc([N+](=O)[O-])c2C)=C1C ZINC001225689301 775559786 /nfs/dbraw/zinc/55/97/86/775559786.db2.gz FDFPKLLHWGKLJH-NSHDSACASA-N 0 0 277.276 2.891 20 5 CFBDRN Cc1c(O[C@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC001225689893 775559878 /nfs/dbraw/zinc/55/98/78/775559878.db2.gz QMFNNDKSDAHRAU-ONGXEEELSA-N 0 0 251.282 2.850 20 5 CFBDRN CCOC(=O)C1CC(Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC001225713336 775569853 /nfs/dbraw/zinc/56/98/53/775569853.db2.gz SFLLZCUQQBEWEU-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OC1CC2(COC2)C1 ZINC001225713991 775571751 /nfs/dbraw/zinc/57/17/51/775571751.db2.gz YDBUYHXAYWJCNS-UHFFFAOYSA-N 0 0 269.684 2.806 20 5 CFBDRN CC(C)[C@H](CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000092401782 775575175 /nfs/dbraw/zinc/57/51/75/775575175.db2.gz ZYLLTJFGUDUAKK-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN COc1ccc(O[C@H](C)c2cnn(C)c2C)c([N+](=O)[O-])c1 ZINC001225767500 775587754 /nfs/dbraw/zinc/58/77/54/775587754.db2.gz NVSZWJSDGXSBMQ-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225785509 775591824 /nfs/dbraw/zinc/59/18/24/775591824.db2.gz DDECYRVHDVSEEN-SNVBAGLBSA-N 0 0 298.726 2.638 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2CCn3ccnc32)c1Cl ZINC001225786592 775593327 /nfs/dbraw/zinc/59/33/27/775593327.db2.gz VEXNYRBCCMSMJU-SNVBAGLBSA-N 0 0 279.683 2.969 20 5 CFBDRN C[C@H](O)CCCC(=O)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225788758 775593333 /nfs/dbraw/zinc/59/33/33/775593333.db2.gz OCPYUKZJSXWKKA-QMMMGPOBSA-N 0 0 287.699 2.705 20 5 CFBDRN C[C@@H](O)CCCC(=O)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225788757 775593381 /nfs/dbraw/zinc/59/33/81/775593381.db2.gz OCPYUKZJSXWKKA-MRVPVSSYSA-N 0 0 287.699 2.705 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2CCOC[C@H]2F)c1Cl ZINC001225787648 775593490 /nfs/dbraw/zinc/59/34/90/775593490.db2.gz HIKJMNZWMKFIJV-VXNVDRBHSA-N 0 0 275.663 2.754 20 5 CFBDRN Cc1c([C@H](C)Oc2cccc([N+](=O)[O-])c2F)cnn1C ZINC001225794897 775595965 /nfs/dbraw/zinc/59/59/65/775595965.db2.gz XOLCRJTXKYGBEA-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cccc([N+](=O)[O-])c1F ZINC001225794219 775596317 /nfs/dbraw/zinc/59/63/17/775596317.db2.gz LBOBUVFGSJJASG-BDAKNGLRSA-N 0 0 255.245 2.538 20 5 CFBDRN CCCOC[C@@H](C)Oc1cccc([N+](=O)[O-])c1F ZINC001225796948 775596494 /nfs/dbraw/zinc/59/64/94/775596494.db2.gz OJOPSLCUYNTULN-SECBINFHSA-N 0 0 257.261 2.928 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225803638 775598516 /nfs/dbraw/zinc/59/85/16/775598516.db2.gz GGSSOLNXCUBEEB-CYBMUJFWSA-N 0 0 279.292 2.934 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2CC[N@@H+](C(C)C)C2)c1 ZINC001225803587 775598858 /nfs/dbraw/zinc/59/88/58/775598858.db2.gz FPWYSTDRLOCKNM-CYBMUJFWSA-N 0 0 292.335 2.659 20 5 CFBDRN CC[C@@H](COc1ncc(C(F)(F)F)cc1[N+](=O)[O-])OC ZINC001225845681 775612572 /nfs/dbraw/zinc/61/25/72/775612572.db2.gz GOPUEHSSEJZOPN-QMMMGPOBSA-N 0 0 294.229 2.812 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(F)cccc1Cl)C1CC1 ZINC001225864598 775615022 /nfs/dbraw/zinc/61/50/22/775615022.db2.gz IQWHWCXXIBMKFD-JTQLQIEISA-N 0 0 259.664 2.913 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc([N+](=O)[O-])c1OC1CC(F)(F)C1 ZINC001225889418 775624977 /nfs/dbraw/zinc/62/49/77/775624977.db2.gz NWWHYXVJTLSNGE-UHFFFAOYSA-N 0 0 292.169 2.819 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CCOCC2)c(C(F)(F)F)c1 ZINC001225897828 775626428 /nfs/dbraw/zinc/62/64/28/775626428.db2.gz OBOIZRHKDSXROO-UHFFFAOYSA-N 0 0 292.213 2.566 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2ccc(F)cc2[N+](=O)[O-])C1 ZINC001225904413 775629536 /nfs/dbraw/zinc/62/95/36/775629536.db2.gz CVGXNQABGPMURI-GXSJLCMTSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H](Oc1ccc(F)cc1[N+](=O)[O-])c1cncnc1 ZINC001225904559 775629589 /nfs/dbraw/zinc/62/95/89/775629589.db2.gz GJHXADSWLZOXKC-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CC(C)(C)N1CC(Oc2ccc(F)cc2[N+](=O)[O-])C1 ZINC001225904558 775629644 /nfs/dbraw/zinc/62/96/44/775629644.db2.gz GHQHQQXFHSGQAD-UHFFFAOYSA-N 0 0 268.288 2.595 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@@H]1CCC=CO1 ZINC001225905876 775631152 /nfs/dbraw/zinc/63/11/52/775631152.db2.gz ZDFAPEUHOIZTGU-JTQLQIEISA-N 0 0 253.229 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCC=CO2)cc1F ZINC001225913861 775633821 /nfs/dbraw/zinc/63/38/21/775633821.db2.gz WZEHMQBRCUWTJM-SNVBAGLBSA-N 0 0 253.229 2.805 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc(F)c1F)c1cccnc1 ZINC001225925560 775636877 /nfs/dbraw/zinc/63/68/77/775636877.db2.gz XQDDDBVCSCRPQU-GFCCVEGCSA-N 0 0 280.230 2.757 20 5 CFBDRN CCOC(=O)CC1CC(Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC001225940819 775642273 /nfs/dbraw/zinc/64/22/73/775642273.db2.gz AJQOPKRITNFNTK-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1ccc([N+](=O)[O-])cc1F ZINC001225947082 775644770 /nfs/dbraw/zinc/64/47/70/775644770.db2.gz RTXGRQYIEBASOO-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225962638 775649006 /nfs/dbraw/zinc/64/90/06/775649006.db2.gz KEQFMONXWUJRBE-JTQLQIEISA-N 0 0 271.219 2.764 20 5 CFBDRN C[C@H]1C[C@@H](Oc2c(F)c(F)ccc2[N+](=O)[O-])CCO1 ZINC001225962248 775649290 /nfs/dbraw/zinc/64/92/90/775649290.db2.gz DLRBOBGZVXXZAP-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226008747 775666516 /nfs/dbraw/zinc/66/65/16/775666516.db2.gz HKCRDAFLBASTKD-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1O[C@H]1COc2cnccc21 ZINC001226009736 775667669 /nfs/dbraw/zinc/66/76/69/775667669.db2.gz OFQMVXVMFFKLOY-LBPRGKRZSA-N 0 0 276.223 2.641 20 5 CFBDRN CC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(C)c1 ZINC001226037851 775676906 /nfs/dbraw/zinc/67/69/06/775676906.db2.gz TYLGEJXMVGWYBK-CQSZACIVSA-N 0 0 263.293 2.632 20 5 CFBDRN Cc1ccnc(O[C@@H]2COCc3ccccc32)c1[N+](=O)[O-] ZINC001226118873 775705319 /nfs/dbraw/zinc/70/53/19/775705319.db2.gz MMBKUYZLBOLBLI-CYBMUJFWSA-N 0 0 286.287 2.949 20 5 CFBDRN Cc1ccnc(O[C@@H]2CCCC[C@H]2F)c1[N+](=O)[O-] ZINC001226120335 775707000 /nfs/dbraw/zinc/70/70/00/775707000.db2.gz UFYZASUEXLKBCZ-NXEZZACHSA-N 0 0 254.261 2.958 20 5 CFBDRN COC(=O)C1(Nc2c(Cl)nccc2[N+](=O)[O-])CCCC1 ZINC001167714868 775716136 /nfs/dbraw/zinc/71/61/36/775716136.db2.gz UOHHAQXCBNZUJR-UHFFFAOYSA-N 0 0 299.714 2.541 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCc2ccccc21 ZINC001226163371 775722227 /nfs/dbraw/zinc/72/22/27/775722227.db2.gz CUBCMFDIDLSCQL-CQSZACIVSA-N 0 0 298.298 2.760 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cnc1NC1CC2(CCC2)C1 ZINC001161443692 775725596 /nfs/dbraw/zinc/72/55/96/775725596.db2.gz FVTUFDODNJJSCC-UHFFFAOYSA-N 0 0 291.307 2.521 20 5 CFBDRN CC(C)N1CC(Oc2cc([N+](=O)[O-])c(F)cc2Cl)C1 ZINC001226196612 775734428 /nfs/dbraw/zinc/73/44/28/775734428.db2.gz RPEPMXDMNBMBQA-UHFFFAOYSA-N 0 0 288.706 2.859 20 5 CFBDRN COC(=O)C1(Nc2cc([N+](=O)[O-])cc(C)c2F)CCCC1 ZINC001167716727 775736706 /nfs/dbraw/zinc/73/67/06/775736706.db2.gz PFIDHCWEUIJMLO-UHFFFAOYSA-N 0 0 296.298 2.940 20 5 CFBDRN COC(=O)Cc1ccc(O[C@@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001226215514 775739454 /nfs/dbraw/zinc/73/94/54/775739454.db2.gz XTIVAVMPCGPIJL-CMPLNLGQSA-N 0 0 293.319 2.878 20 5 CFBDRN COC(=O)Cc1ccc(O[C@@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC001226213287 775739777 /nfs/dbraw/zinc/73/97/77/775739777.db2.gz AIFHYKGNXHDQOT-JTQLQIEISA-N 0 0 281.308 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(O[C@@H]2CCCC3(C2)OCCO3)c1 ZINC001226270859 775763453 /nfs/dbraw/zinc/76/34/53/775763453.db2.gz VEQOUFPWWPQBPQ-LLVKDONJSA-N 0 0 297.282 2.798 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnccc1Cl)c1cccnc1 ZINC001226294300 775769997 /nfs/dbraw/zinc/76/99/97/775769997.db2.gz REUXPVYJEUDMHY-GFCCVEGCSA-N 0 0 279.683 2.527 20 5 CFBDRN CC(=O)c1ccc(O[C@H](C)c2cncnc2)c([N+](=O)[O-])c1 ZINC001226293657 775770080 /nfs/dbraw/zinc/77/00/80/775770080.db2.gz ZFKNYGTVARUUJO-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@H]1CCCC[C@H]1F ZINC001226332536 775782763 /nfs/dbraw/zinc/78/27/63/775782763.db2.gz BRAXDTWVRGPZIE-KCJUWKMLSA-N 0 0 255.245 2.960 20 5 CFBDRN O=C1CCCC[C@H]1Oc1nc(Cl)ccc1[N+](=O)[O-] ZINC001226367490 775792137 /nfs/dbraw/zinc/79/21/37/775792137.db2.gz HXXJQKBYUWGPDM-SECBINFHSA-N 0 0 270.672 2.534 20 5 CFBDRN COC(=O)c1cc(OC)c(OC2CCCC2)cc1[N+](=O)[O-] ZINC001226430612 775820256 /nfs/dbraw/zinc/82/02/56/775820256.db2.gz ISQDZAJJZHTCIH-UHFFFAOYSA-N 0 0 295.291 2.711 20 5 CFBDRN CCC[C@H](C)Oc1cc([N+](=O)[O-])c(C(=O)OC)cc1OC ZINC001226430607 775820294 /nfs/dbraw/zinc/82/02/94/775820294.db2.gz IPYIJAHYUBYBJN-VIFPVBQESA-N 0 0 297.307 2.957 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)C(F)(F)F)c1 ZINC001226443033 775824748 /nfs/dbraw/zinc/82/47/48/775824748.db2.gz LDMUKDJGTQZHON-ZCFIWIBFSA-N 0 0 265.187 2.933 20 5 CFBDRN O=c1[nH]ccc(NC[C@]23C[C@H]2CCCC3)c1[N+](=O)[O-] ZINC000695458369 775842194 /nfs/dbraw/zinc/84/21/94/775842194.db2.gz NOZIEONJMQPROK-RNCFNFMXSA-N 0 0 263.297 2.688 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(C)=O)OC ZINC001226530833 775862753 /nfs/dbraw/zinc/86/27/53/775862753.db2.gz HFZZPVWIDKNNJX-SECBINFHSA-N 0 0 297.307 2.574 20 5 CFBDRN COc1cc(O[C@H](C)c2cccnc2F)ncc1[N+](=O)[O-] ZINC001226579515 775881637 /nfs/dbraw/zinc/88/16/37/775881637.db2.gz SFMZAJUXLBSGSH-MRVPVSSYSA-N 0 0 293.254 2.673 20 5 CFBDRN COc1ccc([C@H](C)Oc2ccc([N+](=O)[O-])nc2)cn1 ZINC001226709416 775932360 /nfs/dbraw/zinc/93/23/60/775932360.db2.gz IBAUISGUEPXPJG-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ncc([N+](=O)[O-])cn2)c(F)c1 ZINC001226733207 775941819 /nfs/dbraw/zinc/94/18/19/775941819.db2.gz JXTGNMOFRIXAQS-MRVPVSSYSA-N 0 0 293.254 2.673 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2ncc([N+](=O)[O-])cn2)C[C@H]1C ZINC001226733059 775941972 /nfs/dbraw/zinc/94/19/72/775941972.db2.gz FZUOONOBFGJJFO-FXPVBKGRSA-N 0 0 251.286 2.588 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cn1)c1ccccc1F ZINC001226731573 775941987 /nfs/dbraw/zinc/94/19/87/775941987.db2.gz PIRUJUDACRKHSS-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H]1CCC[C@H](C)C1Oc1ncc([N+](=O)[O-])cn1 ZINC001226734196 775942641 /nfs/dbraw/zinc/94/26/41/775942641.db2.gz VVYPDIOPJGDKQF-IUCAKERBSA-N 0 0 251.286 2.588 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1c(F)cc([N+](=O)[O-])cc1F ZINC001226743891 775943705 /nfs/dbraw/zinc/94/37/05/775943705.db2.gz JGVXSCSWNXOLBH-SFYZADRCSA-N 0 0 273.235 2.677 20 5 CFBDRN C[C@@H](Oc1c(F)cc([N+](=O)[O-])cc1F)c1ncccn1 ZINC001226743669 775944487 /nfs/dbraw/zinc/94/44/87/775944487.db2.gz GKKSLXSHHBXQPH-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226749127 775945291 /nfs/dbraw/zinc/94/52/91/775945291.db2.gz QLRHGPZZFIGXCL-RDDDGLTNSA-N 0 0 273.235 2.677 20 5 CFBDRN COC1=CC[C@@H](Oc2ncc(F)cc2[N+](=O)[O-])CC1 ZINC001226751395 775946553 /nfs/dbraw/zinc/94/65/53/775946553.db2.gz JSXSGBMXWWTLLA-SNVBAGLBSA-N 0 0 268.244 2.591 20 5 CFBDRN C[C@H](Oc1ncc(F)cc1[N+](=O)[O-])c1ncccc1F ZINC001226751625 775946910 /nfs/dbraw/zinc/94/69/10/775946910.db2.gz NMUSETUIJSKJKI-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H](Oc1ncc(F)cc1[N+](=O)[O-])c1ccco1 ZINC001226752247 775947967 /nfs/dbraw/zinc/94/79/67/775947967.db2.gz ZACCGCYSASOBAF-SSDOTTSWSA-N 0 0 252.201 2.862 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226809956 775972865 /nfs/dbraw/zinc/97/28/65/775972865.db2.gz IBWFODBMIIJCQU-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1O[C@H]1CCOC[C@H]1F ZINC001226907924 776012387 /nfs/dbraw/zinc/01/23/87/776012387.db2.gz YQRSNFJAJLXJLZ-SCZZXKLOSA-N 0 0 293.653 2.893 20 5 CFBDRN O=[N+]([O-])c1cnc(OC[C@H]2CCCCO2)cc1Cl ZINC001226915875 776016368 /nfs/dbraw/zinc/01/63/68/776016368.db2.gz SESBOQDXVMEPPY-MRVPVSSYSA-N 0 0 272.688 2.591 20 5 CFBDRN C[C@H](Oc1cc(Cl)c([N+](=O)[O-])cn1)c1ncccn1 ZINC001226918261 776016640 /nfs/dbraw/zinc/01/66/40/776016640.db2.gz QDLOIQHRMBOYTF-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN CC1(C)C[C@H](Oc2cc(Cl)c([N+](=O)[O-])cn2)CCO1 ZINC001226916027 776016692 /nfs/dbraw/zinc/01/66/92/776016692.db2.gz WNSAASLKTFNCOX-MRVPVSSYSA-N 0 0 286.715 2.980 20 5 CFBDRN COC(C[C@H](C)Oc1ccc(C)c([N+](=O)[O-])c1)OC ZINC001226951336 776031306 /nfs/dbraw/zinc/03/13/06/776031306.db2.gz YVEWCBMWDRRPOM-JTQLQIEISA-N 0 0 269.297 2.680 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1ccc(C)c([N+](=O)[O-])c1 ZINC001226952113 776032711 /nfs/dbraw/zinc/03/27/11/776032711.db2.gz DBRYGSUGGJKZIR-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1ncc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC001226956680 776033893 /nfs/dbraw/zinc/03/38/93/776033893.db2.gz JXRLTXHAGUPWMJ-VIFPVBQESA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2COC[C@H]2F)ccc1C(F)(F)F ZINC001226963913 776037180 /nfs/dbraw/zinc/03/71/80/776037180.db2.gz JNQINLFKMZFIGY-PSASIEDQSA-N 0 0 295.188 2.729 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC1CC[NH+](C2CC2)CC1 ZINC001226970286 776038230 /nfs/dbraw/zinc/03/82/30/776038230.db2.gz XRJGRFFNTSVVJJ-UHFFFAOYSA-N 0 0 276.336 2.909 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1c(C)cccc1[N+](=O)[O-] ZINC001226970519 776038484 /nfs/dbraw/zinc/03/84/84/776038484.db2.gz YFKGEZLNOFDKDM-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN COC(=O)C1CC(Oc2c(C)ccc([N+](=O)[O-])c2Cl)C1 ZINC001226988919 776049828 /nfs/dbraw/zinc/04/98/28/776049828.db2.gz KUEABOBASOZWMH-UHFFFAOYSA-N 0 0 299.710 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@@H](C)c1cncnc1 ZINC001226993881 776053070 /nfs/dbraw/zinc/05/30/70/776053070.db2.gz ALPAHLFGCDJPJI-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)c2ccncc2F)n1 ZINC001227063973 776080005 /nfs/dbraw/zinc/08/00/05/776080005.db2.gz JPEARDSHTDNFJU-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCOC2CCCC2)n1 ZINC001227062450 776080480 /nfs/dbraw/zinc/08/04/80/776080480.db2.gz NBBHGCKRTUYDOV-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1n[nH]c3c1CCCC3)C2 ZINC001227101772 776096546 /nfs/dbraw/zinc/09/65/46/776096546.db2.gz SBTCWJLIGLGNGJ-CYBMUJFWSA-N 0 0 299.330 2.743 20 5 CFBDRN CCN(Cc1ccccc1C)Cn1cc([N+](=O)[O-])cn1 ZINC000123716022 776098470 /nfs/dbraw/zinc/09/84/70/776098470.db2.gz GLDDIMCJGNHVNB-UHFFFAOYSA-N 0 0 274.324 2.579 20 5 CFBDRN Cc1nc2cc(CNc3nccc(N)c3[N+](=O)[O-])ccc2o1 ZINC001167757102 776105660 /nfs/dbraw/zinc/10/56/60/776105660.db2.gz PWRMBTWZVCFZJI-UHFFFAOYSA-N 0 0 299.290 2.634 20 5 CFBDRN O=C1OCC[C@@H]1Oc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC001227131354 776109862 /nfs/dbraw/zinc/10/98/62/776109862.db2.gz YJDXJSJAGLWWET-QMMMGPOBSA-N 0 0 292.074 2.596 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1c(C)cccc1O ZINC001227138358 776110941 /nfs/dbraw/zinc/11/09/41/776110941.db2.gz AJZAEAKCYUMQBA-JTQLQIEISA-N 0 0 291.307 2.581 20 5 CFBDRN CC(=O)[C@H](C)Oc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC001227152127 776117192 /nfs/dbraw/zinc/11/71/92/776117192.db2.gz AHHOBRXSSZJKNG-LURJTMIESA-N 0 0 261.636 2.744 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc([N+](=O)[O-])cc1OC ZINC001227185673 776129082 /nfs/dbraw/zinc/12/90/82/776129082.db2.gz XQEQHHAAPVCRGA-JTQLQIEISA-N 0 0 269.297 2.797 20 5 CFBDRN O=[N+]([O-])c1ccc2ccccc2c1OC[C@@H]1CCO1 ZINC001227215174 776140591 /nfs/dbraw/zinc/14/05/91/776140591.db2.gz YFOPKVWYDQLLKY-NSHDSACASA-N 0 0 259.261 2.916 20 5 CFBDRN Cc1ccc(O[C@H](C)c2cccnc2F)c([N+](=O)[O-])n1 ZINC001227223895 776143594 /nfs/dbraw/zinc/14/35/94/776143594.db2.gz QVBPFDGLDMRJSX-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN COc1ccc([C@H](C)Oc2ccc(C)nc2[N+](=O)[O-])cn1 ZINC001227223008 776144339 /nfs/dbraw/zinc/14/43/39/776144339.db2.gz ZWMOSVAZHHLJGK-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1ncc(C)cc1[N+](=O)[O-] ZINC001227235080 776149479 /nfs/dbraw/zinc/14/94/79/776149479.db2.gz OKECKIAAIMHLGM-GFCCVEGCSA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1cnc(O[C@H](C)c2ccncc2)c([N+](=O)[O-])c1 ZINC001227234317 776149776 /nfs/dbraw/zinc/14/97/76/776149776.db2.gz GERXAYRRLAIXDN-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCC[C@H](CC(=O)OCC)Oc1ncc(C)cc1[N+](=O)[O-] ZINC001227234818 776149860 /nfs/dbraw/zinc/14/98/60/776149860.db2.gz KDUBQLWNLSUCLP-LLVKDONJSA-N 0 0 296.323 2.799 20 5 CFBDRN COc1ccc([C@H](C)Oc2ncc(C)cc2[N+](=O)[O-])cn1 ZINC001227236886 776151097 /nfs/dbraw/zinc/15/10/97/776151097.db2.gz MDQAKHRZTBEWSD-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN C[C@@H](Oc1nc2c(cccc2[N+](=O)[O-])o1)C1CCOCC1 ZINC001227239657 776153081 /nfs/dbraw/zinc/15/30/81/776153081.db2.gz ZOJTYQLUKLVCIW-SECBINFHSA-N 0 0 292.291 2.930 20 5 CFBDRN CCCCC(=O)N(C)CCN(C)c1ccc([N+](=O)[O-])cc1 ZINC001105329204 776159994 /nfs/dbraw/zinc/15/99/94/776159994.db2.gz VDONBHPZINCCFQ-UHFFFAOYSA-N 0 0 293.367 2.680 20 5 CFBDRN O=[N+]([O-])c1nc(Br)ccc1O[C@@H]1C=CCC1 ZINC001227260513 776160915 /nfs/dbraw/zinc/16/09/15/776160915.db2.gz SKXJLLKJAWIQDT-SSDOTTSWSA-N 0 0 285.097 2.850 20 5 CFBDRN CC(C)CC(=O)N(C)CCN(C)c1ccccc1[N+](=O)[O-] ZINC001105332382 776163932 /nfs/dbraw/zinc/16/39/32/776163932.db2.gz SITVNJPYMVSCGC-UHFFFAOYSA-N 0 0 293.367 2.536 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H](C)c2cccnc2)c1[N+](=O)[O-] ZINC001227334557 776179019 /nfs/dbraw/zinc/17/90/19/776179019.db2.gz KTSXKNDTOUTYLA-QMMMGPOBSA-N 0 0 294.698 2.882 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1SCCS1 ZINC000692816881 776200421 /nfs/dbraw/zinc/20/04/21/776200421.db2.gz AYMGDGTVHJMXIZ-UHFFFAOYSA-N 0 0 271.367 2.516 20 5 CFBDRN CC(C)[C@H](C)Oc1ccc2c(c1)oc1cc(=O)ccc-1[n+]2[O-] ZINC001227448814 776205925 /nfs/dbraw/zinc/20/59/25/776205925.db2.gz IXCPESTZFFKGKL-NSHDSACASA-N 0 0 299.326 2.955 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC001227464459 776208320 /nfs/dbraw/zinc/20/83/20/776208320.db2.gz XYTQIJUBKRMMLU-SNVBAGLBSA-N 0 0 280.236 2.575 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2ccccc2[N+](=O)[O-])C1 ZINC001227484152 776213777 /nfs/dbraw/zinc/21/37/77/776213777.db2.gz JTFCKBCVCPJCJV-GHMZBOCLSA-N 0 0 279.292 2.705 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC001227520033 776217273 /nfs/dbraw/zinc/21/72/73/776217273.db2.gz FPRYVYUERNZXMN-CHWSQXEVSA-N 0 0 279.292 2.705 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])cc2)CC1 ZINC001227523604 776219231 /nfs/dbraw/zinc/21/92/31/776219231.db2.gz OIRHNXDEEOLXOU-KLPPZKSPSA-N 0 0 279.292 2.705 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1OC1CC=CC1 ZINC001227541840 776224332 /nfs/dbraw/zinc/22/43/32/776224332.db2.gz PKSJPNQFTAKAEH-UHFFFAOYSA-N 0 0 269.278 2.540 20 5 CFBDRN Cc1cc(C(=O)OCCOC(C)(C)C)cc([N+](=O)[O-])c1 ZINC000124960841 776226320 /nfs/dbraw/zinc/22/63/20/776226320.db2.gz BTENZURFWBXKFP-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN COCc1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc1 ZINC001227675652 776252853 /nfs/dbraw/zinc/25/28/53/776252853.db2.gz XJPCONROBLJQQO-OAHLLOKOSA-N 0 0 288.303 2.625 20 5 CFBDRN C[C@H]1CCCC[C@H]1Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227693120 776259996 /nfs/dbraw/zinc/25/99/96/776259996.db2.gz LGHBZTKOMAQKBA-WCBMZHEXSA-N 0 0 276.296 2.824 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H](C)C1CCOCC1 ZINC001227698205 776261329 /nfs/dbraw/zinc/26/13/29/776261329.db2.gz UYPRNEMKTXTLOH-SNVBAGLBSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1nc(CC(=O)Nc2cccc([N+](=O)[O-])c2)cs1 ZINC000027343303 776266672 /nfs/dbraw/zinc/26/66/72/776266672.db2.gz SOANVWVCMRAEBJ-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN CC1(C)C[C@H](Oc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCO1 ZINC001227744222 776276848 /nfs/dbraw/zinc/27/68/48/776276848.db2.gz FHVMTIRACNLNAT-SNVBAGLBSA-N 0 0 291.307 2.808 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc2c[nH]nc2cc1Cl)C1CC1 ZINC001227784749 776288766 /nfs/dbraw/zinc/28/87/66/776288766.db2.gz CXYSUEXRTWEJFT-GFCCVEGCSA-N 0 0 281.699 2.650 20 5 CFBDRN CCCCOC(=O)[C@H](C)OCc1ccccc1[N+](=O)[O-] ZINC001222759433 776294313 /nfs/dbraw/zinc/29/43/13/776294313.db2.gz FRASKTBNMZLKNB-NSHDSACASA-N 0 0 281.308 2.843 20 5 CFBDRN CCCCOC(=O)[C@H](C)OCc1cccc([N+](=O)[O-])c1 ZINC001222767980 776295674 /nfs/dbraw/zinc/29/56/74/776295674.db2.gz DULHBYSEWDJUGW-NSHDSACASA-N 0 0 281.308 2.843 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(F)ccc2cccnc21)C1CC1 ZINC001227919540 776328928 /nfs/dbraw/zinc/32/89/28/776328928.db2.gz SUNRQSIWWZFCBR-GFCCVEGCSA-N 0 0 276.267 2.808 20 5 CFBDRN CC1(C)CC(=O)CC[C@@H]1Oc1cncc([N+](=O)[O-])c1 ZINC001227987920 776350820 /nfs/dbraw/zinc/35/08/20/776350820.db2.gz MMQQKJWCHXQCMK-LBPRGKRZSA-N 0 0 264.281 2.516 20 5 CFBDRN O=[N+]([O-])c1cncc(OC2Cc3ccccc3C2)c1 ZINC001227985432 776350963 /nfs/dbraw/zinc/35/09/63/776350963.db2.gz VKZAVWGJMNQNKA-UHFFFAOYSA-N 0 0 256.261 2.536 20 5 CFBDRN C[C@H](Cc1ccccc1)Oc1cncc([N+](=O)[O-])c1 ZINC001227987905 776351338 /nfs/dbraw/zinc/35/13/38/776351338.db2.gz LXQNNIRRIXBDAC-LLVKDONJSA-N 0 0 258.277 3.000 20 5 CFBDRN CCCOC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001228005204 776359165 /nfs/dbraw/zinc/35/91/65/776359165.db2.gz IYLBXGOESVNYHZ-CQSZACIVSA-N 0 0 293.319 2.688 20 5 CFBDRN C[C@H](Oc1nccc(Cl)c1[N+](=O)[O-])C1CCOCC1 ZINC001228014764 776364237 /nfs/dbraw/zinc/36/42/37/776364237.db2.gz OLIQDRZERKVVET-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN CC[C@@H](COC)Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228016232 776364637 /nfs/dbraw/zinc/36/46/37/776364637.db2.gz KYVUFNFLXPTPAJ-QMMMGPOBSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)c(OC[C@@H]2CCCCO2)c1 ZINC001228017601 776365239 /nfs/dbraw/zinc/36/52/39/776365239.db2.gz XFWBSUCWVCUORD-VIFPVBQESA-N 0 0 273.235 2.821 20 5 CFBDRN COc1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc1F ZINC001228064770 776382426 /nfs/dbraw/zinc/38/24/26/776382426.db2.gz WGNDGJGGUYWQOE-AWEZNQCLSA-N 0 0 292.266 2.626 20 5 CFBDRN Cc1cccc(NCc2ccc(N)nc2C)c1[N+](=O)[O-] ZINC001167786021 776387779 /nfs/dbraw/zinc/38/77/79/776387779.db2.gz FYOLGQCYCIRHAZ-UHFFFAOYSA-N 0 0 272.308 2.801 20 5 CFBDRN COc1cccc(NCc2ccc(N)nc2C)c1[N+](=O)[O-] ZINC001167786665 776398784 /nfs/dbraw/zinc/39/87/84/776398784.db2.gz VEXPATUBTQXJLG-UHFFFAOYSA-N 0 0 288.307 2.501 20 5 CFBDRN C/C=C/[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C1CCCCC1 ZINC001228140638 776407381 /nfs/dbraw/zinc/40/73/81/776407381.db2.gz LHPHGIKHRBTARN-SZHMKSFOSA-N 0 0 293.323 2.994 20 5 CFBDRN CCC[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C(C)(C)C ZINC001228142225 776408344 /nfs/dbraw/zinc/40/83/44/776408344.db2.gz BKAXYANUCCGVTB-QMMMGPOBSA-N 0 0 269.301 2.684 20 5 CFBDRN O=c1[nH]cnc(O[C@H](c2ccccc2)C2CC2)c1[N+](=O)[O-] ZINC001228142232 776408706 /nfs/dbraw/zinc/40/87/06/776408706.db2.gz BPQFUTZIWZUCTP-GFCCVEGCSA-N 0 0 287.275 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(OC3CCOCC3)sc2c1 ZINC001228209414 776422615 /nfs/dbraw/zinc/42/26/15/776422615.db2.gz PXMXUMREKXZDSK-UHFFFAOYSA-N 0 0 280.305 2.762 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC001228238269 776430717 /nfs/dbraw/zinc/43/07/17/776430717.db2.gz TYWCQJQRGNEEIS-NEPJUHHUSA-N 0 0 293.319 2.932 20 5 CFBDRN O=c1nc2cc([N+](=O)[O-])ccc2c(O[C@H]2C=CCCC2)[n-]1 ZINC001228243185 776432051 /nfs/dbraw/zinc/43/20/51/776432051.db2.gz WSWZQAYOEULHJN-JTQLQIEISA-N 0 0 287.275 2.731 20 5 CFBDRN CCOC(=O)[C@H](Oc1c(C)cc(C)cc1[N+](=O)[O-])C1CC1 ZINC001228238914 776432267 /nfs/dbraw/zinc/43/22/67/776432267.db2.gz ZDJHJACUZGMJHX-CQSZACIVSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1cc(C)c(O[C@@H]2CCc3nccn3C2)c([N+](=O)[O-])c1 ZINC001228238873 776432273 /nfs/dbraw/zinc/43/22/73/776432273.db2.gz XWFYZORIWSBDEX-GFCCVEGCSA-N 0 0 287.319 2.802 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228256378 776435443 /nfs/dbraw/zinc/43/54/43/776435443.db2.gz VXWULKPTPDWAGH-LBPRGKRZSA-N 0 0 298.726 2.638 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228256554 776435448 /nfs/dbraw/zinc/43/54/48/776435448.db2.gz YSRLTFMKOCLVMY-ZETCQYMHSA-N 0 0 273.672 2.579 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2cc(O)ccc2c1)C1CC1 ZINC001228274885 776441306 /nfs/dbraw/zinc/44/13/06/776441306.db2.gz NCFVDJUYBMZFNS-HNNXBMFYSA-N 0 0 273.288 2.980 20 5 CFBDRN Cc1ccnc(NC[C@H]2CC[C@H](C3CC3)O2)c1[N+](=O)[O-] ZINC000722335226 776481903 /nfs/dbraw/zinc/48/19/03/776481903.db2.gz XTOZNUOLENQGQI-VXGBXAGGSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CC[C@H](C2CC2)O1 ZINC000722335368 776481933 /nfs/dbraw/zinc/48/19/33/776481933.db2.gz ZSONUALSBQCMBH-CHWSQXEVSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CC[C@H](C3CC3)O2)n1 ZINC000722335157 776482048 /nfs/dbraw/zinc/48/20/48/776482048.db2.gz XORVFMYIWHZQFO-WCQYABFASA-N 0 0 277.324 2.668 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1OC[C@@H]1CCO[C@@H]1C ZINC001228451643 776495608 /nfs/dbraw/zinc/49/56/08/776495608.db2.gz BIFHTOINDLBNJT-NEPJUHHUSA-N 0 0 293.319 2.910 20 5 CFBDRN O=[N+]([O-])c1cc(NC2(CO)CCCC2)cc2cc[nH]c21 ZINC001167805290 776507005 /nfs/dbraw/zinc/50/70/05/776507005.db2.gz VYUCZAIHDCANDB-UHFFFAOYSA-N 0 0 275.308 2.793 20 5 CFBDRN CCN1CCC[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1=O ZINC000722354792 776517474 /nfs/dbraw/zinc/51/74/74/776517474.db2.gz WSXRQBUEJDHUMD-LBPRGKRZSA-N 0 0 297.742 2.671 20 5 CFBDRN CCOC(=O)[C@@H](Oc1c(C)cc([N+](=O)[O-])cc1C)C1CC1 ZINC001228571251 776524118 /nfs/dbraw/zinc/52/41/18/776524118.db2.gz NCQQJFTYELRMGD-AWEZNQCLSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@@H]1C(=O)OCC1(C)C ZINC001228570815 776524436 /nfs/dbraw/zinc/52/44/36/776524436.db2.gz HSUYUVQPKGGTEV-GFCCVEGCSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1cc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)cnc1F ZINC001228588388 776531711 /nfs/dbraw/zinc/53/17/11/776531711.db2.gz XFKVPRQVQODBIS-ZDUSSCGKSA-N 0 0 288.278 2.984 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(F)cc1OC[C@@H]1CCC=CO1 ZINC001228609307 776538109 /nfs/dbraw/zinc/53/81/09/776538109.db2.gz CIZVIHQXPMEINR-VIFPVBQESA-N 0 0 271.219 2.945 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cc(F)cc(F)c2[N+](=O)[O-])O1 ZINC001228609784 776538985 /nfs/dbraw/zinc/53/89/85/776538985.db2.gz IPJOHXJLFWUGCH-CBAPKCEASA-N 0 0 273.235 2.819 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc(F)cc(F)c2[N+](=O)[O-])=C1C ZINC001228614686 776541251 /nfs/dbraw/zinc/54/12/51/776541251.db2.gz XQHTVVFJNJVVAX-SECBINFHSA-N 0 0 299.229 2.861 20 5 CFBDRN C[C@H](Oc1cccc(C(N)=O)c1)c1cccc([N+](=O)[O-])c1 ZINC001228614274 776541540 /nfs/dbraw/zinc/54/15/40/776541540.db2.gz VHEMTLLMNIEDJZ-JTQLQIEISA-N 0 0 286.287 2.834 20 5 CFBDRN C[C@H](Oc1ncncc1[N+](=O)[O-])c1cccc(N(C)C)c1 ZINC001228654591 776553377 /nfs/dbraw/zinc/55/33/77/776553377.db2.gz LJSYFSZWQCCGIQ-JTQLQIEISA-N 0 0 288.307 2.591 20 5 CFBDRN Cc1cccc(OC[C@H](C)Oc2ncncc2[N+](=O)[O-])c1 ZINC001228654961 776553720 /nfs/dbraw/zinc/55/37/20/776553720.db2.gz OCIMVXPTVZDXRK-NSHDSACASA-N 0 0 289.291 2.540 20 5 CFBDRN C[C@@H](Oc1ncncc1[N+](=O)[O-])c1ccc(F)c(F)c1 ZINC001228654616 776553912 /nfs/dbraw/zinc/55/39/12/776553912.db2.gz LPPGEPYARMJXOF-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN Cc1cccc2c1[C@@H](Oc1ncncc1[N+](=O)[O-])CC2 ZINC001228658608 776554349 /nfs/dbraw/zinc/55/43/49/776554349.db2.gz ZYOLCFNJYLEOOM-LBPRGKRZSA-N 0 0 271.276 2.760 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1Oc1ncncc1[N+](=O)[O-] ZINC001228656492 776554455 /nfs/dbraw/zinc/55/44/55/776554455.db2.gz FKXJJLRTMXWAEC-MWLCHTKSSA-N 0 0 251.286 2.732 20 5 CFBDRN C[C@@H]1CC(Oc2ncncc2[N+](=O)[O-])C[C@@H](C)C1 ZINC001228655490 776554798 /nfs/dbraw/zinc/55/47/98/776554798.db2.gz SOPXQGIKNWORDK-IUCAKERBSA-N 0 0 251.286 2.588 20 5 CFBDRN Cc1cc2[nH]c(O[C@@H]3C[C@H]4C[C@H]4C3)nc2cc1[N+](=O)[O-] ZINC001228672777 776562159 /nfs/dbraw/zinc/56/21/59/776562159.db2.gz AXVTYPNAIWRYCC-ILWJIGKKSA-N 0 0 273.292 2.957 20 5 CFBDRN Cc1cc2[nH]c(O[C@@H]3CCOC[C@H]3C)nc2cc1[N+](=O)[O-] ZINC001228675969 776563809 /nfs/dbraw/zinc/56/38/09/776563809.db2.gz BTWHZTRKIFDMQD-NOZJJQNGSA-N 0 0 291.307 2.583 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC001228684999 776567748 /nfs/dbraw/zinc/56/77/48/776567748.db2.gz COZQOZANWHEVPV-WPRPVWTQSA-N 0 0 266.297 2.961 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2cc(=O)[nH]c(C)c2[N+](=O)[O-])cn1 ZINC001228686155 776568084 /nfs/dbraw/zinc/56/80/84/776568084.db2.gz LVUYMZSUEUPPFJ-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)C2CCCC2)c1[N+](=O)[O-] ZINC001228689980 776569178 /nfs/dbraw/zinc/56/91/78/776569178.db2.gz YPDPSXZNUMOXPU-SECBINFHSA-N 0 0 266.297 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CCCC(=O)C2(C)C)c1[N+](=O)[O-] ZINC001228688974 776569615 /nfs/dbraw/zinc/56/96/15/776569615.db2.gz NPRXEGSKCZUCFO-NSHDSACASA-N 0 0 294.307 2.530 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CC[C@@H](C(F)(F)F)O1 ZINC000722392127 776577563 /nfs/dbraw/zinc/57/75/63/776577563.db2.gz QIQISSDHLTZGKS-APPZFPTMSA-N 0 0 291.229 2.512 20 5 CFBDRN CCC[C@H](C)Oc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC001228769721 776603223 /nfs/dbraw/zinc/60/32/23/776603223.db2.gz YPLUDEZDKBGDQZ-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN O=C1CCc2cccc(O[C@@H](C[N+](=O)[O-])c3cccnc3)c21 ZINC001228838788 776628651 /nfs/dbraw/zinc/62/86/51/776628651.db2.gz ZTQWCPNRYNAQHB-HNNXBMFYSA-N 0 0 298.298 2.607 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cc(C)cc([N+](=O)[O-])c2)C1 ZINC001228839038 776629126 /nfs/dbraw/zinc/62/91/26/776629126.db2.gz SHCHMUCMSLRPFP-GSJFWJNXSA-N 0 0 279.292 2.624 20 5 CFBDRN CC/C=C\CCOC(=O)[C@H](C)Oc1cnccc1[N+](=O)[O-] ZINC001228879225 776643684 /nfs/dbraw/zinc/64/36/84/776643684.db2.gz DAADYFNDZKGGMM-WYGGZMRJSA-N 0 0 294.307 2.657 20 5 CFBDRN O=[N+]([O-])c1ccncc1OC1CCC(F)(F)CC1 ZINC001228879084 776643915 /nfs/dbraw/zinc/64/39/15/776643915.db2.gz CAYVLBUYMQMDGI-UHFFFAOYSA-N 0 0 258.224 2.947 20 5 CFBDRN CC1(C)CC(=O)CC[C@@H]1Oc1cnccc1[N+](=O)[O-] ZINC001228883659 776645231 /nfs/dbraw/zinc/64/52/31/776645231.db2.gz XORAPNIBCFNCQA-LBPRGKRZSA-N 0 0 264.281 2.516 20 5 CFBDRN COc1cc(CO[C@H]2CCO[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC001223396947 776655517 /nfs/dbraw/zinc/65/55/17/776655517.db2.gz SCNZDDASCMHTBF-MFKMUULPSA-N 0 0 281.308 2.688 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC1CCN(CCF)CC1 ZINC001228916135 776656981 /nfs/dbraw/zinc/65/69/81/776656981.db2.gz PWYSJQFMUXPWTF-UHFFFAOYSA-N 0 0 282.315 2.716 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1ccc([N+](=O)[O-])cc1C ZINC001228919896 776657475 /nfs/dbraw/zinc/65/74/75/776657475.db2.gz BRCPCRKFXBAMBH-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)[C@@H](C)Oc1c([N+](=O)[O-])cccc1C(F)(F)F ZINC001228931005 776661387 /nfs/dbraw/zinc/66/13/87/776661387.db2.gz QPEOJYDTGSJPMN-ZCFIWIBFSA-N 0 0 293.197 2.554 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@H](C)C1CCOCC1 ZINC001228975243 776673869 /nfs/dbraw/zinc/67/38/69/776673869.db2.gz YWSDQEXZAPJHAX-LLVKDONJSA-N 0 0 293.319 2.991 20 5 CFBDRN CCCSc1nc(Cl)c([N+](=O)[O-])c(NC=N)n1 ZINC001167865399 776680946 /nfs/dbraw/zinc/68/09/46/776680946.db2.gz VOAHFRXPIDZPKX-UHFFFAOYSA-N 0 0 275.721 2.559 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229007131 776687776 /nfs/dbraw/zinc/68/77/76/776687776.db2.gz FZLDECKWPZTKIA-YGRLFVJLSA-N 0 0 288.303 2.947 20 5 CFBDRN CCCOC[C@H](C)Oc1cccc([N+](=O)[O-])c1C(=O)OC ZINC001229045488 776699985 /nfs/dbraw/zinc/69/99/85/776699985.db2.gz NRXKIEYRMCDDOA-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN COC(=O)c1c(O[C@@H]2C[C@@H]3CC[C@H]2C3)cccc1[N+](=O)[O-] ZINC001229049582 776701355 /nfs/dbraw/zinc/70/13/55/776701355.db2.gz FHESSFKUXKTKQG-GBIKHYSHSA-N 0 0 291.303 2.949 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](C)C1CCC1 ZINC001229055370 776702978 /nfs/dbraw/zinc/70/29/78/776702978.db2.gz BMBVUUJWJDIXGX-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])cc1C(=O)OC ZINC001229058862 776704155 /nfs/dbraw/zinc/70/41/55/776704155.db2.gz HEDQFZZUEJFAFZ-GFCCVEGCSA-N 0 0 295.291 2.518 20 5 CFBDRN O=C1CCC(Oc2ccc(/C=C/[N+](=O)[O-])cc2)CC1 ZINC001229118503 776724997 /nfs/dbraw/zinc/72/49/97/776724997.db2.gz FAUXGSFKZPWYOB-MDZDMXLPSA-N 0 0 261.277 2.825 20 5 CFBDRN CC(=O)c1cccc(O[C@@H](C)c2cnccn2)c1[N+](=O)[O-] ZINC001229137710 776730402 /nfs/dbraw/zinc/73/04/02/776730402.db2.gz JXYMOMQCDXDDMN-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H]1CCCO1 ZINC001229180159 776745438 /nfs/dbraw/zinc/74/54/38/776745438.db2.gz SMJVFGCAZFFSGR-LLVKDONJSA-N 0 0 279.292 2.745 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1CCn2ccnc21 ZINC001229197127 776749839 /nfs/dbraw/zinc/74/98/39/776749839.db2.gz BQCNKHGVBLQJRZ-LLVKDONJSA-N 0 0 277.255 2.763 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2c(C)cc(F)cc2[N+](=O)[O-])C1 ZINC001229200014 776751123 /nfs/dbraw/zinc/75/11/23/776751123.db2.gz BZYOHUSRPGGZID-JGZJWPJOSA-N 0 0 297.282 2.763 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(F)c(F)cc1F)C1CC1 ZINC001229214317 776753916 /nfs/dbraw/zinc/75/39/16/776753916.db2.gz HZCFQWYJVYPJRW-NSHDSACASA-N 0 0 261.199 2.538 20 5 CFBDRN CO[C@@H](C)CCOc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229343038 776785148 /nfs/dbraw/zinc/78/51/48/776785148.db2.gz GFYBFXLDELRLQE-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(O[C@H]2CCOC[C@H]2F)c1 ZINC001229345872 776786352 /nfs/dbraw/zinc/78/63/52/776786352.db2.gz JDKGRHYJABODSC-NEPJUHHUSA-N 0 0 292.266 2.649 20 5 CFBDRN C[C@H]1CCC[C@@H]1Oc1n[nH]c(=O)c2cccc([N+](=O)[O-])c21 ZINC001229372992 776793480 /nfs/dbraw/zinc/79/34/80/776793480.db2.gz FVVNCTAJBSHIIT-KWQFWETISA-N 0 0 289.291 2.811 20 5 CFBDRN CC(C)N1CC(Oc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC001229378550 776795090 /nfs/dbraw/zinc/79/50/90/776795090.db2.gz YBYMZCJQNVYIAH-UHFFFAOYSA-N 0 0 270.716 2.720 20 5 CFBDRN Cc1nc(O[C@@H](C)c2ccc(F)cc2)c([N+](=O)[O-])c(=O)[nH]1 ZINC001229376656 776795413 /nfs/dbraw/zinc/79/54/13/776795413.db2.gz WICRFLALNWRRFJ-ZETCQYMHSA-N 0 0 293.254 2.678 20 5 CFBDRN COc1cncnc1O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001229391091 776797094 /nfs/dbraw/zinc/79/70/94/776797094.db2.gz SCPHNUSSENRFLS-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CCC(=O)c1ccc(OC)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001229447273 776815675 /nfs/dbraw/zinc/81/56/75/776815675.db2.gz KZOHNPHMANVLEW-HNNXBMFYSA-N 0 0 293.319 2.722 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452287 776817483 /nfs/dbraw/zinc/81/74/83/776817483.db2.gz ORKFIHSAFGUNTC-JQWIXIFHSA-N 0 0 266.297 2.576 20 5 CFBDRN Cc1cc(O[C@H]2CCOC[C@@H]2F)cc(C)c1[N+](=O)[O-] ZINC001229469371 776821401 /nfs/dbraw/zinc/82/14/01/776821401.db2.gz HILPQXQQFYSRQL-RYUDHWBXSA-N 0 0 269.272 2.717 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])C2CC2)cc(C)c1[N+](=O)[O-] ZINC001229469023 776821412 /nfs/dbraw/zinc/82/14/12/776821412.db2.gz FVPCPXHCSFVPID-LBPRGKRZSA-N 0 0 280.280 2.646 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1 ZINC001229466305 776821736 /nfs/dbraw/zinc/82/17/36/776821736.db2.gz LQBFZIQEQCFRTH-SNVBAGLBSA-N 0 0 267.281 2.542 20 5 CFBDRN C[C@@H](Oc1nc2cc([N+](=O)[O-])ccc2o1)c1cncnc1 ZINC001229487662 776826765 /nfs/dbraw/zinc/82/67/65/776826765.db2.gz QHMGHWIONWMBHB-MRVPVSSYSA-N 0 0 286.247 2.666 20 5 CFBDRN Cc1c([C@@H](C)Oc2cc([N+](=O)[O-])c(C)cc2O)cnn1C ZINC001229751213 776887521 /nfs/dbraw/zinc/88/75/21/776887521.db2.gz SPMRVMPXUXZYLQ-SNVBAGLBSA-N 0 0 291.307 2.791 20 5 CFBDRN CC(C)Oc1ccccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225209280 776889919 /nfs/dbraw/zinc/88/99/19/776889919.db2.gz KGSQAQKAOAOFEC-AWEZNQCLSA-N 0 0 265.309 2.908 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2COC[C@H]2F)cc(C(F)(F)F)c1 ZINC001229833541 776899642 /nfs/dbraw/zinc/89/96/42/776899642.db2.gz LREMRWASPVBBCC-NXEZZACHSA-N 0 0 295.188 2.729 20 5 CFBDRN CN(C)c1ccc(Cl)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001229844183 776902603 /nfs/dbraw/zinc/90/26/03/776902603.db2.gz CXEDCOHUAUONRX-CYBMUJFWSA-N 0 0 284.743 2.840 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cccc(F)c1 ZINC001225229321 776904196 /nfs/dbraw/zinc/90/41/96/776904196.db2.gz VDYSBFGFMISCME-SECBINFHSA-N 0 0 279.271 2.706 20 5 CFBDRN COc1c(C)cc([N+](=O)[O-])c(OC2CC=CC2)c1[N+](=O)[O-] ZINC001229868805 776908721 /nfs/dbraw/zinc/90/87/21/776908721.db2.gz MYVWLPLPAJHSEG-UHFFFAOYSA-N 0 0 294.263 2.917 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1OC1CC2(COC2)C1 ZINC001229881624 776909241 /nfs/dbraw/zinc/90/92/41/776909241.db2.gz DSPYTKIOPNZFHD-UHFFFAOYSA-N 0 0 263.293 2.769 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])c(C)c1C ZINC001229880332 776909965 /nfs/dbraw/zinc/90/99/65/776909965.db2.gz AMRQLGHLRZCUFV-JTQLQIEISA-N 0 0 267.281 2.542 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(F)c(C)cc1[N+](=O)[O-])OC ZINC001229893988 776912620 /nfs/dbraw/zinc/91/26/20/776912620.db2.gz DZTWZLILRAOGGK-SECBINFHSA-N 0 0 287.287 2.819 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCO[C@H](C)C2)cc1F ZINC001229894365 776912650 /nfs/dbraw/zinc/91/26/50/776912650.db2.gz IBDUXLVVYBDOKA-NXEZZACHSA-N 0 0 269.272 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCc3nccn3C2)cc1F ZINC001229892507 776912982 /nfs/dbraw/zinc/91/29/82/776912982.db2.gz BNAJRTTXEQSUTQ-JTQLQIEISA-N 0 0 291.282 2.633 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229964061 776925612 /nfs/dbraw/zinc/92/56/12/776925612.db2.gz NYCSVDKANQLEGW-BDAKNGLRSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCOC[C@H]2F)ccc1Cl ZINC001229964470 776925985 /nfs/dbraw/zinc/92/59/85/776925985.db2.gz QHIPBPNLRXUMSL-KOLCDFICSA-N 0 0 275.663 2.754 20 5 CFBDRN CCN1CC(Oc2cc(C)c(Cl)cc2[N+](=O)[O-])C1 ZINC001229977467 776930753 /nfs/dbraw/zinc/93/07/53/776930753.db2.gz FGZWIILVNNRCLF-UHFFFAOYSA-N 0 0 270.716 2.640 20 5 CFBDRN COc1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c(F)c1 ZINC001229993361 776933232 /nfs/dbraw/zinc/93/32/32/776933232.db2.gz DUNYHRPONDKOKT-AWEZNQCLSA-N 0 0 292.266 2.626 20 5 CFBDRN Cc1ccc(O[C@@H]2CCn3ccnc32)c([N+](=O)[O-])c1 ZINC001230144357 776955179 /nfs/dbraw/zinc/95/51/79/776955179.db2.gz UTXQHRJZRGIRKC-GFCCVEGCSA-N 0 0 259.265 2.624 20 5 CFBDRN CCOC(=O)C1CC(Oc2ccc(C)cc2[N+](=O)[O-])C1 ZINC001230144572 776955730 /nfs/dbraw/zinc/95/57/30/776955730.db2.gz XPPFBMMURXXGPG-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN CCc1ccc(O)cc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001230290793 776974266 /nfs/dbraw/zinc/97/42/66/776974266.db2.gz LNISTTOBDKPYIR-OAHLLOKOSA-N 0 0 288.303 2.746 20 5 CFBDRN CC(=O)c1ccc(Cl)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001230297278 776975319 /nfs/dbraw/zinc/97/53/19/776975319.db2.gz OHBXTYACVNVMHE-ZDUSSCGKSA-N 0 0 283.711 2.977 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1OCCOC1CCCC1 ZINC001230316244 776977503 /nfs/dbraw/zinc/97/75/03/776977503.db2.gz SNERUNXDVVDMMO-UHFFFAOYSA-N 0 0 296.279 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1nc3cccnc3o1)C2 ZINC001230348664 776982603 /nfs/dbraw/zinc/98/26/03/776982603.db2.gz QAYIUBSGEDNWFW-GFCCVEGCSA-N 0 0 297.270 2.677 20 5 CFBDRN Cc1cc(O[C@@H]2C(=O)OCC2(C)C)cc([N+](=O)[O-])c1C ZINC001230471502 776996462 /nfs/dbraw/zinc/99/64/62/776996462.db2.gz IKTXGAWYOOCROA-GFCCVEGCSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1cc(OC(=O)CCC[C@H](C)O)cc([N+](=O)[O-])c1C ZINC001230478339 776996946 /nfs/dbraw/zinc/99/69/46/776996946.db2.gz XBZKPTJCPKNDQZ-JTQLQIEISA-N 0 0 281.308 2.668 20 5 CFBDRN CO[C@@H](C)CCOc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230490441 776998202 /nfs/dbraw/zinc/99/82/02/776998202.db2.gz AXXVVPUOPMCKIH-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1ccc(O[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230491496 776999387 /nfs/dbraw/zinc/99/93/87/776999387.db2.gz UBMGPHVPOIIBOZ-VHSXEESVSA-N 0 0 296.279 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC001230491668 776999508 /nfs/dbraw/zinc/99/95/08/776999508.db2.gz ZFPXYTJRUNZOEG-JTQLQIEISA-N 0 0 296.279 2.759 20 5 CFBDRN Cc1ccc(O[C@@H]2C=CCC2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230493310 776999781 /nfs/dbraw/zinc/99/97/81/776999781.db2.gz ZSGSUIZKXZSLBM-SECBINFHSA-N 0 0 264.237 2.909 20 5 CFBDRN CCO[C@H](C)COc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230493521 776999957 /nfs/dbraw/zinc/99/99/57/776999957.db2.gz DQFQVKJKOHBQOW-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nccc(-c2ccccc2)n1)C1CC1 ZINC001230549305 777010226 /nfs/dbraw/zinc/01/02/26/777010226.db2.gz LRSLVEVDLMELBM-CQSZACIVSA-N 0 0 285.303 2.578 20 5 CFBDRN CCOc1cccc(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001230555676 777013156 /nfs/dbraw/zinc/01/31/56/777013156.db2.gz RWMPNFBDZCBWIB-OAHLLOKOSA-N 0 0 288.303 2.877 20 5 CFBDRN C[C@@H](Oc1cc2n[nH]cc2cc1[N+](=O)[O-])C1CCOCC1 ZINC001230593966 777021428 /nfs/dbraw/zinc/02/14/28/777021428.db2.gz DBWRWLWJUMOZDF-SECBINFHSA-N 0 0 291.307 2.665 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001230602014 777023578 /nfs/dbraw/zinc/02/35/78/777023578.db2.gz UBVJQANYVYWGCG-DIYOJNKTSA-N 0 0 259.265 2.648 20 5 CFBDRN O=[N+]([O-])c1c(OC[C@H]2COCCO2)ccc2ccccc21 ZINC001230623636 777028626 /nfs/dbraw/zinc/02/86/26/777028626.db2.gz XJSYNGRKVSUYFY-GFCCVEGCSA-N 0 0 289.287 2.542 20 5 CFBDRN CC1=C(Oc2cc(C)c([N+](=O)[O-])cc2C)C(=O)O[C@H]1C ZINC001230724565 777051767 /nfs/dbraw/zinc/05/17/67/777051767.db2.gz XZYQBEVPRUGLBZ-JTQLQIEISA-N 0 0 277.276 2.810 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@@H]1CCC[N@@H+](C)C1 ZINC001230724562 777052310 /nfs/dbraw/zinc/05/23/10/777052310.db2.gz XZENNLFFNYALTD-GFCCVEGCSA-N 0 0 264.325 2.685 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@H]1CCC[N@@H+](C)C1 ZINC001230724564 777052464 /nfs/dbraw/zinc/05/24/64/777052464.db2.gz XZENNLFFNYALTD-LBPRGKRZSA-N 0 0 264.325 2.685 20 5 CFBDRN COC(=O)[C@@H](C)Oc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC001230769115 777058976 /nfs/dbraw/zinc/05/89/76/777058976.db2.gz ZTPFQSWXZYMAGB-RXMQYKEDSA-N 0 0 294.090 2.842 20 5 CFBDRN CC(=O)Cc1c(F)c(OC2CC=CC2)ccc1[N+](=O)[O-] ZINC001230793589 777066835 /nfs/dbraw/zinc/06/68/35/777066835.db2.gz BSJHLVISCYPCNZ-UHFFFAOYSA-N 0 0 279.267 2.963 20 5 CFBDRN CC(C)C1(O)CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000710591343 777087016 /nfs/dbraw/zinc/08/70/16/777087016.db2.gz OZAAXJMSPYCXDR-UHFFFAOYSA-N 0 0 299.758 2.631 20 5 CFBDRN CCOC[C@H](C)Oc1ccc(C(=O)OCC)c([N+](=O)[O-])c1 ZINC001230913312 777091664 /nfs/dbraw/zinc/09/16/64/777091664.db2.gz ULPHPRAAFPSGSU-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(O[C@H]3C[C@H]4C=C[C@@H]3C4)c21 ZINC001231053110 777126598 /nfs/dbraw/zinc/12/65/98/777126598.db2.gz INNWPTFJQJBCGT-CWSCBRNRSA-N 0 0 283.287 2.881 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@@H]1CCOC[C@H]1F ZINC001231058137 777128494 /nfs/dbraw/zinc/12/84/94/777128494.db2.gz VMTUSXNZAAPQHW-GHMZBOCLSA-N 0 0 269.272 2.717 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1c(C)ccc(C)c1[N+](=O)[O-] ZINC001231060364 777127968 /nfs/dbraw/zinc/12/79/68/777127968.db2.gz OFGCTUQJRCGJQN-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN CC(C)N1CC(Oc2cnc3c(cccc3[N+](=O)[O-])c2)C1 ZINC001231159524 777160238 /nfs/dbraw/zinc/16/02/38/777160238.db2.gz XRAZOKANLRJTNH-UHFFFAOYSA-N 0 0 287.319 2.614 20 5 CFBDRN CCN1CC[C@H]1CNc1ccc([N+](=O)[O-])cc1Cl ZINC000712526869 777338490 /nfs/dbraw/zinc/33/84/90/777338490.db2.gz FSRMTTPFSWCWLG-JTQLQIEISA-N 0 0 269.732 2.754 20 5 CFBDRN CC[C@@H](C)Nc1cc2c(c([N+](=O)[O-])c1)N(C(C)=O)CC2 ZINC001168002863 777565432 /nfs/dbraw/zinc/56/54/32/777565432.db2.gz DPSNURFQKIFYRW-SECBINFHSA-N 0 0 277.324 2.714 20 5 CFBDRN CC[C@@H](Oc1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC001226731182 777627002 /nfs/dbraw/zinc/62/70/02/777627002.db2.gz LMXYLULIEPOJAR-GFCCVEGCSA-N 0 0 259.265 2.915 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H]1CCOC[C@@H]1C ZINC001226970618 777713630 /nfs/dbraw/zinc/71/36/30/777713630.db2.gz ASMHZZLNOUVJLB-JQWIXIFHSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1nc(Cl)nc(O[C@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC001227337356 777815572 /nfs/dbraw/zinc/81/55/72/777815572.db2.gz JCVHTWJTFQRZTG-LURJTMIESA-N 0 0 293.657 2.913 20 5 CFBDRN CC(C)CCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC001227522864 777848702 /nfs/dbraw/zinc/84/87/02/777848702.db2.gz ABJLLLVHDZPIGL-LLVKDONJSA-N 0 0 281.308 2.951 20 5 CFBDRN CC(=O)c1cc(O[C@H](C)c2cnccn2)ccc1[N+](=O)[O-] ZINC001233503444 777971707 /nfs/dbraw/zinc/97/17/07/777971707.db2.gz UYDJPHWFOOPIOK-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CC(=O)c1cc(O[C@@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC001233501165 777972303 /nfs/dbraw/zinc/97/23/03/777972303.db2.gz XAJWAIVMGZTKJI-YMTOWFKASA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H]1CCC(F)(F)C1 ZINC001233554131 777989487 /nfs/dbraw/zinc/98/94/87/777989487.db2.gz QYSJUKQQBLAQIO-MRVPVSSYSA-N 0 0 258.224 2.865 20 5 CFBDRN CCCCOC[C@@H](C)Oc1c(C)ccnc1[N+](=O)[O-] ZINC001233556502 777990642 /nfs/dbraw/zinc/99/06/42/777990642.db2.gz NDGQKEDXJPUSRG-LLVKDONJSA-N 0 0 268.313 2.882 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H]1CCCC[C@@H]1F ZINC001233557298 777990789 /nfs/dbraw/zinc/99/07/89/777990789.db2.gz SEMVNDGLZQBCSW-VHSXEESVSA-N 0 0 254.261 2.958 20 5 CFBDRN Cc1c([C@@H](C)Oc2nccc(Cl)c2[N+](=O)[O-])cnn1C ZINC001228014553 777996015 /nfs/dbraw/zinc/99/60/15/777996015.db2.gz LAZUXXXZJZUXJG-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN CC(C)[C@H](C)Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001233576729 777996073 /nfs/dbraw/zinc/99/60/73/777996073.db2.gz ZKMCOKZVKNPLJJ-QMMMGPOBSA-N 0 0 254.242 2.926 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001233574516 777996771 /nfs/dbraw/zinc/99/67/71/777996771.db2.gz JAIBHGCIALSESY-JTQLQIEISA-N 0 0 282.252 2.640 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(O[C@@H]2CCn3ccnc32)cc1F ZINC001233597497 778005483 /nfs/dbraw/zinc/00/54/83/778005483.db2.gz MSGNQLLOINWNQX-SNVBAGLBSA-N 0 0 281.218 2.593 20 5 CFBDRN COC(C[C@H](C)Oc1cc(F)c([N+](=O)[O-])cc1F)OC ZINC001233601916 778009129 /nfs/dbraw/zinc/00/91/29/778009129.db2.gz YYDNTGJNPZZRAY-ZETCQYMHSA-N 0 0 291.250 2.649 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2cc(F)cnc2c1)C1CC1 ZINC001233641324 778022101 /nfs/dbraw/zinc/02/21/01/778022101.db2.gz JIRMSGIJXJBFHZ-CQSZACIVSA-N 0 0 276.267 2.808 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CCCC2)cc1[N+](=O)[O-] ZINC001233703421 778048073 /nfs/dbraw/zinc/04/80/73/778048073.db2.gz WUOBFFJPHMRPKN-UHFFFAOYSA-N 0 0 296.279 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)cc1[N+](=O)[O-] ZINC001233702681 778048290 /nfs/dbraw/zinc/04/82/90/778048290.db2.gz OTBYQLKOZGWMPQ-IGJMFERPSA-N 0 0 276.248 2.846 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1)C1CCOCC1 ZINC001233704652 778049475 /nfs/dbraw/zinc/04/94/75/778049475.db2.gz OSBCBZPAVVJJRW-VIFPVBQESA-N 0 0 296.279 2.697 20 5 CFBDRN O=[N+]([O-])c1ncc(Cl)cc1OC1CCSCC1 ZINC001233714152 778051697 /nfs/dbraw/zinc/05/16/97/778051697.db2.gz YUCMLCKZOHIOCH-UHFFFAOYSA-N 0 0 274.729 2.918 20 5 CFBDRN C[C@@H](Oc1cc(Cl)cnc1[N+](=O)[O-])C1CCOCC1 ZINC001233714068 778051914 /nfs/dbraw/zinc/05/19/14/778051914.db2.gz YKBYBOIYPFIJHQ-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233754456 778064310 /nfs/dbraw/zinc/06/43/10/778064310.db2.gz UTAJXYWBXPIWIA-SNVBAGLBSA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@H](Oc1cc(F)c([N+](=O)[O-])c(F)c1)c1cncnc1 ZINC001233753830 778064608 /nfs/dbraw/zinc/06/46/08/778064608.db2.gz PFAZXWKUXPKYEI-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(OC[C@H]2CCCCO2)cc1F ZINC001233753497 778064710 /nfs/dbraw/zinc/06/47/10/778064710.db2.gz MBSLCWKVJMSANP-MRVPVSSYSA-N 0 0 273.235 2.821 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc(F)c([N+](=O)[O-])c(F)c2)=C1C ZINC001233753326 778065041 /nfs/dbraw/zinc/06/50/41/778065041.db2.gz KJNXVKSYHVKWMM-SNVBAGLBSA-N 0 0 299.229 2.861 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ccc([N+](=O)[O-])c(F)c2F)CCO1 ZINC001233767729 778068989 /nfs/dbraw/zinc/06/89/89/778068989.db2.gz AAIDXPIAOVHSOH-HTQZYQBOSA-N 0 0 273.235 2.819 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233771454 778072122 /nfs/dbraw/zinc/07/21/22/778072122.db2.gz WBJALNKLUDUIBX-MRVPVSSYSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cc(O)cc(F)c1)C2 ZINC001233820515 778087631 /nfs/dbraw/zinc/08/76/31/778087631.db2.gz QAHZBNSMXSRZSK-AWEZNQCLSA-N 0 0 289.262 2.986 20 5 CFBDRN CCOC[C@H](C)Oc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233836082 778090416 /nfs/dbraw/zinc/09/04/16/778090416.db2.gz HIRAMMQAVAOODK-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCCc3cccnc32)ccn1 ZINC001233854453 778095712 /nfs/dbraw/zinc/09/57/12/778095712.db2.gz FQNAJJGROFIUMJ-GFCCVEGCSA-N 0 0 271.276 2.841 20 5 CFBDRN Cc1cc2c(cc1F)ncnc2O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001233851529 778095782 /nfs/dbraw/zinc/09/57/82/778095782.db2.gz SDLVJQLBGACFJG-ZDUSSCGKSA-N 0 0 291.282 2.511 20 5 CFBDRN COC(=O)c1cnc(O[C@H]2CCCC[C@H]2C)c([N+](=O)[O-])c1 ZINC001233863486 778100391 /nfs/dbraw/zinc/10/03/91/778100391.db2.gz WYQJLRRFIFSRRF-SKDRFNHKSA-N 0 0 294.307 2.734 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc(CC[N+](=O)[O-])cc1OC ZINC001233986167 778130648 /nfs/dbraw/zinc/13/06/48/778130648.db2.gz IQUUHPQIAQKDBD-CYBMUJFWSA-N 0 0 295.335 2.651 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc(CC[N+](=O)[O-])cc1OC ZINC001233988217 778131026 /nfs/dbraw/zinc/13/10/26/778131026.db2.gz VJTPKWWAJLYAPN-LBPRGKRZSA-N 0 0 297.351 2.708 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1OC1CCSCC1 ZINC001233983774 778131087 /nfs/dbraw/zinc/13/10/87/778131087.db2.gz ZUMBGAGOZAEKOE-UHFFFAOYSA-N 0 0 297.376 2.789 20 5 CFBDRN Cc1cc2c[nH]nc2cc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001234033056 778147397 /nfs/dbraw/zinc/14/73/97/778147397.db2.gz KZVVBWVNAHGTGG-HNNXBMFYSA-N 0 0 298.302 2.663 20 5 CFBDRN COC(=O)c1ccc(O[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC001234049469 778153139 /nfs/dbraw/zinc/15/31/39/778153139.db2.gz WNZNTDMLILHRBO-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cc([N+](=O)[O-])c(C)cc1F)C1CC1 ZINC001234060440 778154871 /nfs/dbraw/zinc/15/48/71/778154871.db2.gz PGUXDZJEHQIJTQ-ZDUSSCGKSA-N 0 0 297.282 2.763 20 5 CFBDRN Cc1cc(F)c(O[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC001234060800 778154961 /nfs/dbraw/zinc/15/49/61/778154961.db2.gz QYOSDILJKMLMOG-ZJUUUORDSA-N 0 0 269.272 2.989 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234060982 778155328 /nfs/dbraw/zinc/15/53/28/778155328.db2.gz SBPMOBDEMQEBFW-VIFPVBQESA-N 0 0 283.255 2.539 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC001234060778 778155488 /nfs/dbraw/zinc/15/54/88/778155488.db2.gz QYOSDILJKMLMOG-NXEZZACHSA-N 0 0 269.272 2.989 20 5 CFBDRN CC[C@@H](COC)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234059155 778155508 /nfs/dbraw/zinc/15/55/08/778155508.db2.gz IUSGNKQQMDZUQW-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccnc(Cl)c1Cl)C1CC1 ZINC001228577505 778161290 /nfs/dbraw/zinc/16/12/90/778161290.db2.gz BPCFYRXSDFBMHA-MRVPVSSYSA-N 0 0 277.107 2.823 20 5 CFBDRN COC(=O)[C@@H](C)Oc1ccnc2c1ccc1cccnc12 ZINC001234086686 778162438 /nfs/dbraw/zinc/16/24/38/778162438.db2.gz IJHJJHVAUXPLCQ-SNVBAGLBSA-N 0 0 282.299 2.723 20 5 CFBDRN CCN1CC(Oc2ccnc3c2ccc2cccnc23)C1 ZINC001234089506 778162622 /nfs/dbraw/zinc/16/26/22/778162622.db2.gz IUOLGKHUKUJIFJ-UHFFFAOYSA-N 0 0 279.343 2.866 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000697385682 778204958 /nfs/dbraw/zinc/20/49/58/778204958.db2.gz IUZFQXVEEQCJTG-UHFFFAOYSA-N 0 0 280.711 2.921 20 5 CFBDRN CCOC(=O)Cc1ccc([N+](=O)[O-])c(OC2CCC2)c1 ZINC001234408276 778219276 /nfs/dbraw/zinc/21/92/76/778219276.db2.gz LOGPQCAUJWCHOD-UHFFFAOYSA-N 0 0 279.292 2.632 20 5 CFBDRN CCOc1nccc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001234437979 778225373 /nfs/dbraw/zinc/22/53/73/778225373.db2.gz HHZKMKBGVLLODJ-JTQLQIEISA-N 0 0 289.291 2.924 20 5 CFBDRN COc1cccc2nc(O[C@@H](C[N+](=O)[O-])C3CC3)ccc21 ZINC001234574409 778254900 /nfs/dbraw/zinc/25/49/00/778254900.db2.gz UOLGGPMRPXGEAE-AWEZNQCLSA-N 0 0 288.303 2.678 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114265 778257891 /nfs/dbraw/zinc/25/78/91/778257891.db2.gz HZWQHXZDNNNVBU-VLPCURTJSA-N 0 0 263.293 2.738 20 5 CFBDRN Cc1ccc2c(ccnc2N[C@H]2C[C@@H](CO)C2)c1[N+](=O)[O-] ZINC001168239843 778265743 /nfs/dbraw/zinc/26/57/43/778265743.db2.gz PUGUDMZYINJFHZ-PHIMTYICSA-N 0 0 287.319 2.634 20 5 CFBDRN CCOC(=O)c1cccc([N+](=O)[O-])c1NC[C@H]1C[C@H](F)C1 ZINC001168237334 778265862 /nfs/dbraw/zinc/26/58/62/778265862.db2.gz HELDBNRIHZEIGB-MGCOHNPYSA-N 0 0 296.298 2.932 20 5 CFBDRN CC(C)(C)N1CC(Oc2ccc(/C=C/[N+](=O)[O-])cc2)C1 ZINC001229120033 778267098 /nfs/dbraw/zinc/26/70/98/778267098.db2.gz UZIYUCKWASWVNA-CMDGGOBGSA-N 0 0 276.336 2.796 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC(C)C)cc1N[C@H]1C[C@@H](CO)C1 ZINC001168246442 778271033 /nfs/dbraw/zinc/27/10/33/778271033.db2.gz KTLWDLDXPYBNQC-TXEJJXNPSA-N 0 0 294.351 2.873 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCc1cc[nH]n1 ZINC000714545517 778272248 /nfs/dbraw/zinc/27/22/48/778272248.db2.gz JIISXPDPHVHXCH-UHFFFAOYSA-N 0 0 266.688 2.626 20 5 CFBDRN CC(=O)c1cccc(O[C@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC001229138965 778272411 /nfs/dbraw/zinc/27/24/11/778272411.db2.gz RRFBZBSOQRHDLA-KOLCDFICSA-N 0 0 279.292 2.744 20 5 CFBDRN CCc1nnc(Cn2ccc3cc([N+](=O)[O-])ccc32)o1 ZINC000168379853 778276207 /nfs/dbraw/zinc/27/62/07/778276207.db2.gz KIBOPKBSPHCNSL-UHFFFAOYSA-N 0 0 272.264 2.543 20 5 CFBDRN CCOC[C@@H](C)Oc1nccc2c1cccc2[N+](=O)[O-] ZINC001234674166 778286582 /nfs/dbraw/zinc/28/65/82/778286582.db2.gz JISODQOADOYWSF-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CCOC(=O)[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC001229380843 778301457 /nfs/dbraw/zinc/30/14/57/778301457.db2.gz PRSSCXYCWMKMGT-LBPRGKRZSA-N 0 0 299.710 2.969 20 5 CFBDRN Cc1cc(O[C@@H]2CCOC2)c(C)c(C)c1[N+](=O)[O-] ZINC001234872372 778318541 /nfs/dbraw/zinc/31/85/41/778318541.db2.gz VCAOOYGTXLNOEP-LLVKDONJSA-N 0 0 251.282 2.688 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2ccncc2)n1 ZINC001234878124 778318944 /nfs/dbraw/zinc/31/89/44/778318944.db2.gz GSIUUUJLZVRAJI-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CC3CCC2CC3)n1 ZINC001234875092 778319453 /nfs/dbraw/zinc/31/94/53/778319453.db2.gz BLXDYPYLMODVDS-RTYFJBAXSA-N 0 0 278.308 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCCC[C@@H]2C)n1 ZINC001234880242 778319706 /nfs/dbraw/zinc/31/97/06/778319706.db2.gz ZEMAQLOLQQVHOB-ONGXEEELSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCC(=O)CC2(C)C)n1 ZINC001234879720 778319853 /nfs/dbraw/zinc/31/98/53/778319853.db2.gz RSMHPNYJZGGDAO-NSHDSACASA-N 0 0 294.307 2.525 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)COC(C)(C)C)n1 ZINC001234878598 778320275 /nfs/dbraw/zinc/32/02/75/778320275.db2.gz IAWYFJWKJUFSQR-VIFPVBQESA-N 0 0 284.312 2.581 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1c(C)nccc1[N+](=O)[O-] ZINC001234899456 778323935 /nfs/dbraw/zinc/32/39/35/778323935.db2.gz CMVWSMWDZVEFEG-GFCCVEGCSA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H]1CCC(=O)CC1(C)C ZINC001234900258 778325127 /nfs/dbraw/zinc/32/51/27/778325127.db2.gz HFIMFEMIUPNIMP-GFCCVEGCSA-N 0 0 278.308 2.825 20 5 CFBDRN Cc1c(F)ccc(O[C@H](C[N+](=O)[O-])C2CC2)c1F ZINC001234926324 778330464 /nfs/dbraw/zinc/33/04/64/778330464.db2.gz AFNXDCUDDWEKPW-LLVKDONJSA-N 0 0 257.236 2.707 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1cc(Cl)ccn1 ZINC000432169589 778343924 /nfs/dbraw/zinc/34/39/24/778343924.db2.gz MBBPJQHXUFTXHX-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CO[C@H]1CCOC[C@H]1C ZINC001223945422 778372583 /nfs/dbraw/zinc/37/25/83/778372583.db2.gz HPWWUGMNXAHOSZ-MFKMUULPSA-N 0 0 281.308 2.545 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)O[C@H](C)c1nccs1 ZINC001224005239 778386347 /nfs/dbraw/zinc/38/63/47/778386347.db2.gz DGTJMIXJTORIBM-DTWKUNHWSA-N 0 0 296.352 2.723 20 5 CFBDRN CC(C)N1CC(Nc2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC001168313746 778424401 /nfs/dbraw/zinc/42/44/01/778424401.db2.gz CUPWJQXZGSTUIH-UHFFFAOYSA-N 0 0 286.335 2.648 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(NC2CN(C(C)C)C2)c1F ZINC001168317665 778429363 /nfs/dbraw/zinc/42/93/63/778429363.db2.gz RCGJZSFKQPLXSP-UHFFFAOYSA-N 0 0 267.304 2.547 20 5 CFBDRN O=[N+]([O-])c1ccc(CCOC[C@H]2CCCCO2)cc1 ZINC001224332108 778473828 /nfs/dbraw/zinc/47/38/28/778473828.db2.gz UWQDFINZOUKNKO-CQSZACIVSA-N 0 0 265.309 2.723 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NC1CSC1 ZINC001168364904 778527969 /nfs/dbraw/zinc/52/79/69/778527969.db2.gz KQZFGFIKDBTLSK-UHFFFAOYSA-N 0 0 254.311 2.521 20 5 CFBDRN O=C(NC[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1Cl ZINC000160414730 778604284 /nfs/dbraw/zinc/60/42/84/778604284.db2.gz WIVXTSDCFXTVLR-VIFPVBQESA-N 0 0 298.726 2.547 20 5 CFBDRN CC(C)(C)CCO[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001224725855 778645683 /nfs/dbraw/zinc/64/56/83/778645683.db2.gz XCGNAMGPCAVMMR-LBPRGKRZSA-N 0 0 252.314 2.852 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000909115477 778694556 /nfs/dbraw/zinc/69/45/56/778694556.db2.gz NKFVKCDJZOJZFV-UHFFFAOYSA-N 0 0 296.298 2.613 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCO[C@H]1CC1(F)F ZINC000690897783 778806714 /nfs/dbraw/zinc/80/67/14/778806714.db2.gz MPGDZKJTYPUWFL-VIFPVBQESA-N 0 0 276.214 2.570 20 5 CFBDRN CCC[C@@H]1CCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC001120790778 778979899 /nfs/dbraw/zinc/97/98/99/778979899.db2.gz IFNKHJWRDNQDMN-CYBMUJFWSA-N 0 0 276.336 2.928 20 5 CFBDRN Cc1nc(CC(=O)Nc2ccc([N+](=O)[O-])cc2)cs1 ZINC000032517308 779017518 /nfs/dbraw/zinc/01/75/18/779017518.db2.gz DRYPRUBOEPKKAV-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN CC1(C)C[C@@H](CNc2nc3c(cc2[N+](=O)[O-])CCCC3)O1 ZINC001168451827 779178639 /nfs/dbraw/zinc/17/86/39/779178639.db2.gz RDIFSCVLYXCCGI-NSHDSACASA-N 0 0 291.351 2.848 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NC[C@H]1CC(C)(C)O1 ZINC001168455934 779183620 /nfs/dbraw/zinc/18/36/20/779183620.db2.gz JDQSMIPJYFSDAE-LLVKDONJSA-N 0 0 280.324 2.973 20 5 CFBDRN CN(C[C@H](O)C1CC1)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000690184217 779228211 /nfs/dbraw/zinc/22/82/11/779228211.db2.gz HVPRFDLAWWPZMT-LBPRGKRZSA-N 0 0 288.706 2.594 20 5 CFBDRN CCCC[C@H](C)Oc1nc(OC)ccc1[N+](=O)[O-] ZINC001234880213 779279112 /nfs/dbraw/zinc/27/91/12/779279112.db2.gz YTFKKCIYDACCAH-VIFPVBQESA-N 0 0 254.286 2.956 20 5 CFBDRN Cc1cnc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)cc1C ZINC001127359555 779322333 /nfs/dbraw/zinc/32/23/33/779322333.db2.gz SCHARQYWIYVDCY-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN CCN(CCNc1ccccc1[N+](=O)[O-])C(=O)CC1CC1 ZINC001106654844 779331555 /nfs/dbraw/zinc/33/15/55/779331555.db2.gz OZTXXHUURSFNFQ-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])cc1)C(=O)C(C)C ZINC001106681817 779337757 /nfs/dbraw/zinc/33/77/57/779337757.db2.gz JCDMDPPARSOFES-UHFFFAOYSA-N 0 0 279.340 2.511 20 5 CFBDRN COCCC[C@@H](C)OC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC001123219123 779359615 /nfs/dbraw/zinc/35/96/15/779359615.db2.gz GHGWMWZSFVVKQC-LLVKDONJSA-N 0 0 281.308 2.875 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)/C=C(/C)c1ccc(F)cc1 ZINC001123257575 779369495 /nfs/dbraw/zinc/36/94/95/779369495.db2.gz UQCHSDBBNZQVPK-KJJQSCHISA-N 0 0 281.283 2.828 20 5 CFBDRN CCCc1noc(CNc2cc([N+](=O)[O-])ccc2F)n1 ZINC000122771627 779376985 /nfs/dbraw/zinc/37/69/85/779376985.db2.gz AMLISAPZUKWIDC-UHFFFAOYSA-N 0 0 280.259 2.682 20 5 CFBDRN CCC[C@H](C)N(C)c1nnc([C@@H](C)[N+](=O)[O-])n1CC1CC1 ZINC001123416100 779409225 /nfs/dbraw/zinc/40/92/25/779409225.db2.gz ZXVSOGIWGVIFMM-WDEREUQCSA-N 0 0 295.387 2.651 20 5 CFBDRN CCC1CN(C(=O)Nc2cc(C)cc([N+](=O)[O-])c2)C1 ZINC001123453590 779413148 /nfs/dbraw/zinc/41/31/48/779413148.db2.gz MCASAWCDSFNASB-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNc1ccc([N+](=O)[O-])c(N)n1 ZINC000094261511 779592705 /nfs/dbraw/zinc/59/27/05/779592705.db2.gz IZHFIJZNAUISLD-NXEZZACHSA-N 0 0 264.329 2.810 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC/C=C/c2ccccc2)n1 ZINC001127637064 779638390 /nfs/dbraw/zinc/63/83/90/779638390.db2.gz CRKYSQXAQXAHEK-VMPITWQZSA-N 0 0 297.314 2.741 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ncccc1F ZINC001124332322 779646710 /nfs/dbraw/zinc/64/67/10/779646710.db2.gz XKKBTKXEDTXEOB-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=C(Nc1ccccn1)c1c(Cl)cccc1[N+](=O)[O-] ZINC001124521172 779685274 /nfs/dbraw/zinc/68/52/74/779685274.db2.gz BVRXEAQLBWDVPC-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC/C=C/CNC(=O)C(C)C)c1 ZINC001107045631 779759664 /nfs/dbraw/zinc/75/96/64/779759664.db2.gz MBKZUTNSVVALOL-SNAWJCMRSA-N 0 0 291.351 2.644 20 5 CFBDRN C/C=C(/C)C(=O)NC/C=C\CNc1ccccc1[N+](=O)[O-] ZINC001107101637 779776192 /nfs/dbraw/zinc/77/61/92/779776192.db2.gz VTYPIQHWRAINKI-UKOSYKPCSA-N 0 0 289.335 2.645 20 5 CFBDRN C/C(=C\C(=O)Oc1cccc([N+](=O)[O-])c1C)c1cnccn1 ZINC001125228688 779777586 /nfs/dbraw/zinc/77/75/86/779777586.db2.gz OOPOKOZWWQEVAQ-CSKARUKUSA-N 0 0 299.286 2.702 20 5 CFBDRN CC[C@@H](OC(=O)COC1CC1)c1cccc([N+](=O)[O-])c1 ZINC001127828662 779882470 /nfs/dbraw/zinc/88/24/70/779882470.db2.gz QLSMBQSSTBTCDH-CYBMUJFWSA-N 0 0 279.292 2.768 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1ncnc(OC)c1[N+](=O)[O-] ZINC000094739716 780127252 /nfs/dbraw/zinc/12/72/52/780127252.db2.gz XNPHCYZFUYETOJ-RKDXNWHRSA-N 0 0 268.317 2.630 20 5 CFBDRN C/C=C/CO[C@@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001205042912 780288108 /nfs/dbraw/zinc/28/81/08/780288108.db2.gz FASJSLZEFALRHD-NWALNABHSA-N 0 0 265.265 2.977 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(Cl)cc1NCC(F)F ZINC001161817572 780546914 /nfs/dbraw/zinc/54/69/14/780546914.db2.gz RYFKXMMHHRJDTR-UHFFFAOYSA-N 0 0 294.641 2.712 20 5 CFBDRN CC(=O)Nc1ccc(NCCC(F)(F)F)cc1[N+](=O)[O-] ZINC001161825532 780549387 /nfs/dbraw/zinc/54/93/87/780549387.db2.gz PUEWINUTNIYGAJ-UHFFFAOYSA-N 0 0 291.229 2.918 20 5 CFBDRN CC(C)(C)CCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000131611180 780594049 /nfs/dbraw/zinc/59/40/49/780594049.db2.gz IARXLLGTEBYDQE-UHFFFAOYSA-N 0 0 264.285 2.979 20 5 CFBDRN CCCCNc1c(C(=O)OCC)cccc1[N+](=O)[O-] ZINC001162204050 780614195 /nfs/dbraw/zinc/61/41/95/780614195.db2.gz HKCIOZQYJWWGOQ-UHFFFAOYSA-N 0 0 266.297 2.984 20 5 CFBDRN C[C@@H]1C[C@H](CCNC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])CC(C)(C)C1 ZINC001128604901 780663730 /nfs/dbraw/zinc/66/37/30/780663730.db2.gz BBCOLZRAAARBMC-YVECIDJPSA-N 0 0 282.384 2.620 20 5 CFBDRN C[C@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])C[C@H]1CO ZINC000700443656 780690679 /nfs/dbraw/zinc/69/06/79/780690679.db2.gz DOVSKOKDLPAEGO-UWVGGRQHSA-N 0 0 284.743 2.703 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCCOC2CCCC2)c1 ZINC000701337701 780793620 /nfs/dbraw/zinc/79/36/20/780793620.db2.gz SZHPDECNRUHWBQ-UHFFFAOYSA-N 0 0 279.292 2.745 20 5 CFBDRN Cc1cnc(NCC[C@@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000704837064 781113933 /nfs/dbraw/zinc/11/39/33/781113933.db2.gz GZOFNKPYTZGJFE-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN Cc1cc(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)ccn1 ZINC001129073753 781113759 /nfs/dbraw/zinc/11/37/59/781113759.db2.gz JDBQVZCEYOAYTR-QPJJXVBHSA-N 0 0 297.314 2.628 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@H]1CCSC1 ZINC000704871875 781125378 /nfs/dbraw/zinc/12/53/78/781125378.db2.gz DLJWDHTXWQEGGE-JTQLQIEISA-N 0 0 284.385 2.675 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC2(CC2)CC1 ZINC000706403770 781175784 /nfs/dbraw/zinc/17/57/84/781175784.db2.gz FFVLUNJSIUVDKO-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN CC1(C)CCN1C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000706904839 781213505 /nfs/dbraw/zinc/21/35/05/781213505.db2.gz NBPLJRMTNGWWPL-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC[C@@H]3C[C@@H]32)c1Br ZINC000706998477 781222055 /nfs/dbraw/zinc/22/20/55/781222055.db2.gz FPPLCEOEYFMLRP-KCJUWKMLSA-N 0 0 297.152 2.952 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1N)[C@@H](C)C(C)C ZINC000707084882 781222592 /nfs/dbraw/zinc/22/25/92/781222592.db2.gz FKQBLEZYVACLRG-JTQLQIEISA-N 0 0 279.340 2.684 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1N1CC[C@H]2C[C@H]21 ZINC000707082446 781222775 /nfs/dbraw/zinc/22/27/75/781222775.db2.gz RINMDFIJDQXRDX-OIBJUYFYSA-N 0 0 283.125 2.956 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000707118098 781223757 /nfs/dbraw/zinc/22/37/57/781223757.db2.gz TYTZESVDMPSCNS-OCAPTIKFSA-N 0 0 297.742 2.843 20 5 CFBDRN Cc1conc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000708224951 781267599 /nfs/dbraw/zinc/26/75/99/781267599.db2.gz COVWWCIJMCKEDK-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCOC2)cc1F ZINC000708582283 781294150 /nfs/dbraw/zinc/29/41/50/781294150.db2.gz SRNUJTHBDOVGNZ-VIFPVBQESA-N 0 0 255.245 2.539 20 5 CFBDRN COc1cccc(NCCc2ccns2)c1[N+](=O)[O-] ZINC000709914294 781321377 /nfs/dbraw/zinc/32/13/77/781321377.db2.gz AKFHHLZIZZEKFN-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN C[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1cn(C)cn1 ZINC000710177216 781330135 /nfs/dbraw/zinc/33/01/35/781330135.db2.gz NPBYHBFFXRJLMZ-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1ccnc(-c2noc(-c3ccc([N+](=O)[O-])o3)n2)c1 ZINC001212569886 781378720 /nfs/dbraw/zinc/37/87/20/781378720.db2.gz BSVQIAHVNSAMHP-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@@H](CF)C1 ZINC000711489136 781445035 /nfs/dbraw/zinc/44/50/35/781445035.db2.gz ISYCYBXTXUZCTQ-VIFPVBQESA-N 0 0 284.262 2.556 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC[C@@H](CF)C1 ZINC000711501730 781447711 /nfs/dbraw/zinc/44/77/11/781447711.db2.gz HSOQFPASETTWOD-VIFPVBQESA-N 0 0 284.262 2.556 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC[C@H](CF)C1 ZINC000711501728 781447884 /nfs/dbraw/zinc/44/78/84/781447884.db2.gz HSOQFPASETTWOD-SECBINFHSA-N 0 0 284.262 2.556 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCCO2)c(Cl)n1 ZINC001229915316 781477144 /nfs/dbraw/zinc/47/71/44/781477144.db2.gz NIEIUEHNHMUOKV-MRVPVSSYSA-N 0 0 272.688 2.591 20 5 CFBDRN COC(C)(C)CCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000711849968 781478299 /nfs/dbraw/zinc/47/82/99/781478299.db2.gz ZQCJNMAPXNDNQS-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN O=C(OCCOC1CC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000712029419 781491036 /nfs/dbraw/zinc/49/10/36/781491036.db2.gz PAWGQVVIBNJRID-UHFFFAOYSA-N 0 0 285.683 2.584 20 5 CFBDRN Cc1ncccc1-c1noc(-c2ccc([N+](=O)[O-])o2)n1 ZINC001212570311 781507514 /nfs/dbraw/zinc/50/75/14/781507514.db2.gz YCPGFJNGPNCQJE-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nnc([C@H]2CC2(F)F)o1 ZINC001118367526 781603038 /nfs/dbraw/zinc/60/30/38/781603038.db2.gz POGXDFUAMKAJKV-SSDOTTSWSA-N 0 0 297.217 2.679 20 5 CFBDRN Cc1cnc(N2CC(F)(F)C[C@@]2(C)CF)c([N+](=O)[O-])c1 ZINC001164557295 781727970 /nfs/dbraw/zinc/72/79/70/781727970.db2.gz BUAQUJKIXKSWJP-NSHDSACASA-N 0 0 289.257 2.872 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000698336517 781762113 /nfs/dbraw/zinc/76/21/13/781762113.db2.gz PBOGIFVXKGHRMA-ONGXEEELSA-N 0 0 278.283 2.510 20 5 CFBDRN COC1(OC)CC(Nc2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC001120058419 781901775 /nfs/dbraw/zinc/90/17/75/781901775.db2.gz RCDFOGQUABWSDQ-UHFFFAOYSA-N 0 0 284.287 2.606 20 5 CFBDRN CC(C)c1ccc(C[NH2+]C[C@@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000594541677 349444370 /nfs/dbraw/zinc/44/43/70/349444370.db2.gz WMTXFDFVRQPYIQ-SNVBAGLBSA-N 0 0 280.324 2.529 20 5 CFBDRN CC(C)(C)n1nnc(CSc2ccc([N+](=O)[O-])cc2)n1 ZINC000594567133 349449360 /nfs/dbraw/zinc/44/93/60/349449360.db2.gz NVLMTECLCUWZTO-UHFFFAOYSA-N 0 0 293.352 2.629 20 5 CFBDRN CC[C@@]1(C)CN(c2c(OC)cccc2[N+](=O)[O-])CCO1 ZINC000594572564 349450314 /nfs/dbraw/zinc/45/03/14/349450314.db2.gz NOMIIWBXPCQFSO-AWEZNQCLSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCC[C@@H](CO)C1 ZINC000594581583 349451898 /nfs/dbraw/zinc/45/18/98/349451898.db2.gz HOGQUXUDAUUYGF-NWDGAFQWSA-N 0 0 294.351 2.814 20 5 CFBDRN CCN(CCSC)c1c(OC)cccc1[N+](=O)[O-] ZINC000594586402 349452457 /nfs/dbraw/zinc/45/24/57/349452457.db2.gz PONMNMZDYPKRBK-UHFFFAOYSA-N 0 0 270.354 2.793 20 5 CFBDRN CC1(Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)CC=CC1 ZINC000594589939 349453073 /nfs/dbraw/zinc/45/30/73/349453073.db2.gz FYBJGYKGIVOWSH-UHFFFAOYSA-N 0 0 258.281 2.992 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H](C1CC1)[C@H]1CCCCO1 ZINC000594591291 349453753 /nfs/dbraw/zinc/45/37/53/349453753.db2.gz QHHKYUGINARDSV-OLZOCXBDSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](OC3CCC3)C2)c(F)c1 ZINC000594594246 349454418 /nfs/dbraw/zinc/45/44/18/349454418.db2.gz MPTZNZXMKQZZFV-GFCCVEGCSA-N 0 0 295.314 2.667 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC3(CCCC3)O2)ncc1[N+](=O)[O-] ZINC000594599875 349456836 /nfs/dbraw/zinc/45/68/36/349456836.db2.gz OMFHQENQYRDBSI-LBPRGKRZSA-N 0 0 291.351 2.624 20 5 CFBDRN COc1ccc2c(c1)CN(c1ccc([N+](=O)[O-])c(C)n1)C2 ZINC000594602333 349458263 /nfs/dbraw/zinc/45/82/63/349458263.db2.gz DWZYTICNUMGPKP-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])nc2)CC2(CCCCC2)O1 ZINC000594602576 349458670 /nfs/dbraw/zinc/45/86/70/349458670.db2.gz YHLZJZAXQKHNEP-LBPRGKRZSA-N 0 0 291.351 2.918 20 5 CFBDRN COc1ccc2c(c1)CN(c1ncc([N+](=O)[O-])cc1C)C2 ZINC000594603629 349458751 /nfs/dbraw/zinc/45/87/51/349458751.db2.gz MIKZYAPORMQVNK-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000594878586 349491322 /nfs/dbraw/zinc/49/13/22/349491322.db2.gz FXORYZZCEZMUOW-ZDUSSCGKSA-N 0 0 251.282 2.789 20 5 CFBDRN CO[C@@H](COc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000594878519 349491636 /nfs/dbraw/zinc/49/16/36/349491636.db2.gz CJNKFQNSEMFMQG-ZDUSSCGKSA-N 0 0 251.282 2.789 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H](OC)C1CCC1 ZINC000594879536 349492325 /nfs/dbraw/zinc/49/23/25/349492325.db2.gz WUYLOGSEDOFSTI-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN CC(C)O[C@@]1(COc2cccc([N+](=O)[O-])c2)CCOC1 ZINC000594879241 349492463 /nfs/dbraw/zinc/49/24/63/349492463.db2.gz RZPBORPYGVSSBQ-AWEZNQCLSA-N 0 0 281.308 2.558 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCCO[C@@H]1CC1(F)F ZINC000594698576 349475454 /nfs/dbraw/zinc/47/54/54/349475454.db2.gz CVWSMQPKONUHHV-LLVKDONJSA-N 0 0 272.251 2.739 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1CCC[C@@H]1C1CCC1 ZINC000124528159 187141912 /nfs/dbraw/zinc/14/19/12/187141912.db2.gz OQOZHHUVZGAEBG-GFCCVEGCSA-N 0 0 290.323 2.786 20 5 CFBDRN C[C@H](CCO)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000594888855 349494284 /nfs/dbraw/zinc/49/42/84/349494284.db2.gz CSHMPUXMZSYPLL-MRVPVSSYSA-N 0 0 259.302 2.738 20 5 CFBDRN COc1ccc([C@@H](C)Cn2c(C)ncc2[N+](=O)[O-])cc1 ZINC000594887503 349494286 /nfs/dbraw/zinc/49/42/86/349494286.db2.gz MFJUGAZAQPFUSP-JTQLQIEISA-N 0 0 275.308 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC2(O)CCSCC2)c1 ZINC000594713402 349479692 /nfs/dbraw/zinc/47/96/92/349479692.db2.gz FEFQKLJMQNESOK-UHFFFAOYSA-N 0 0 283.349 2.540 20 5 CFBDRN CC(C)=CCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000594890178 349495275 /nfs/dbraw/zinc/49/52/75/349495275.db2.gz NYSBZTLEAOYQBA-UHFFFAOYSA-N 0 0 262.265 2.859 20 5 CFBDRN CC1(C)C[C@@H](Sc2ccc([N+](=O)[O-])cn2)CO1 ZINC000594893327 349496119 /nfs/dbraw/zinc/49/61/19/349496119.db2.gz XSBMBCFWCAAHOL-SECBINFHSA-N 0 0 254.311 2.649 20 5 CFBDRN CC(C)O[C@@]1(COc2cc(F)cc([N+](=O)[O-])c2)CCOC1 ZINC000594892960 349496373 /nfs/dbraw/zinc/49/63/73/349496373.db2.gz MWUZLNQMRJKBTG-AWEZNQCLSA-N 0 0 299.298 2.697 20 5 CFBDRN Cc1cccc(OC[C@]2(OC(C)C)CCOC2)c1[N+](=O)[O-] ZINC000594893482 349496378 /nfs/dbraw/zinc/49/63/78/349496378.db2.gz CEPNVAZNXGOHOP-HNNXBMFYSA-N 0 0 295.335 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC2CCC2)cc1CO ZINC000594894078 349496995 /nfs/dbraw/zinc/49/69/95/349496995.db2.gz CFSNEOBRGFMVGY-UHFFFAOYSA-N 0 0 251.282 2.656 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(O[C@@H]2CCC(C)(C)C2=O)c1C ZINC000594905108 349500914 /nfs/dbraw/zinc/50/09/14/349500914.db2.gz RUODHXAGPIGSBU-LLVKDONJSA-N 0 0 278.308 2.743 20 5 CFBDRN C[C@H]1CCSCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000190125155 290612479 /nfs/dbraw/zinc/61/24/79/290612479.db2.gz CLWFPPPATSUARN-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN C[C@H](NCc1cnn2c1CCC2)c1ccccc1[N+](=O)[O-] ZINC000594935476 349517636 /nfs/dbraw/zinc/51/76/36/349517636.db2.gz PUOPTAWTYOVUAB-NSHDSACASA-N 0 0 286.335 2.588 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000124683202 187148748 /nfs/dbraw/zinc/14/87/48/187148748.db2.gz XJFBFXJFBRLDOL-VHSXEESVSA-N 0 0 278.312 2.690 20 5 CFBDRN CSCc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273847753 192333699 /nfs/dbraw/zinc/33/36/99/192333699.db2.gz QGEGTTZZJVGJCR-UHFFFAOYSA-N 0 0 265.294 2.816 20 5 CFBDRN CNC(=O)c1ccc(N2CCC[C@@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000266239334 290627335 /nfs/dbraw/zinc/62/73/35/290627335.db2.gz KXUFCUJDPFGNOA-MNOVXSKESA-N 0 0 291.351 2.579 20 5 CFBDRN C[C@H](N[C@H]1C[C@H](C)n2ncnc21)c1cccc([N+](=O)[O-])c1 ZINC000595064128 349572100 /nfs/dbraw/zinc/57/21/00/349572100.db2.gz CXKSTVRIZZFGQS-KWBADKCTSA-N 0 0 287.323 2.543 20 5 CFBDRN Cc1cc(C)n([C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000067916598 346827729 /nfs/dbraw/zinc/82/77/29/346827729.db2.gz WJARESQGVPQNOE-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN CN(CCc1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000067949661 346828968 /nfs/dbraw/zinc/82/89/68/346828968.db2.gz FHJZDHQLBAQXHI-UHFFFAOYSA-N 0 0 257.293 2.669 20 5 CFBDRN CN(CCc1ccccn1)c1ccccc1[N+](=O)[O-] ZINC000067949660 346829299 /nfs/dbraw/zinc/82/92/99/346829299.db2.gz FTXJBJRJJFONQL-UHFFFAOYSA-N 0 0 257.293 2.669 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000068186421 346839800 /nfs/dbraw/zinc/83/98/00/346839800.db2.gz AGENOYZVKJDTIZ-LLVKDONJSA-N 0 0 280.324 2.526 20 5 CFBDRN CCCCN(CCOC)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000068115032 346836493 /nfs/dbraw/zinc/83/64/93/346836493.db2.gz ASOUOSWAWUIJLX-UHFFFAOYSA-N 0 0 286.353 2.545 20 5 CFBDRN CSC(C)(C)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000125363217 187181091 /nfs/dbraw/zinc/18/10/91/187181091.db2.gz ILBVKFNROUVMIP-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN CC[C@@]1(C)CCCN(Cc2onc(C)c2[N+](=O)[O-])C1 ZINC000595330803 349603226 /nfs/dbraw/zinc/60/32/26/349603226.db2.gz PCVGQMPAAGJZQJ-ZDUSSCGKSA-N 0 0 267.329 2.903 20 5 CFBDRN CCCCN(Cc1onc(C)c1[N+](=O)[O-])C1CC1 ZINC000595290001 349592409 /nfs/dbraw/zinc/59/24/09/349592409.db2.gz IPPKXXRDISZQKD-UHFFFAOYSA-N 0 0 253.302 2.656 20 5 CFBDRN Cc1noc(CN(C)CCc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000595295785 349595050 /nfs/dbraw/zinc/59/50/50/349595050.db2.gz RRIWVPLYILSVPJ-UHFFFAOYSA-N 0 0 293.298 2.705 20 5 CFBDRN Cc1noc(CN2CCCc3c(F)cccc32)c1[N+](=O)[O-] ZINC000595343884 349606559 /nfs/dbraw/zinc/60/65/59/349606559.db2.gz GVXUTAUWKLHHFY-UHFFFAOYSA-N 0 0 291.282 2.983 20 5 CFBDRN Cc1noc(CNC2(c3ccc(F)cc3)CC2)c1[N+](=O)[O-] ZINC000595373094 349611430 /nfs/dbraw/zinc/61/14/30/349611430.db2.gz LXBSHZPOQQQMHR-UHFFFAOYSA-N 0 0 291.282 2.809 20 5 CFBDRN CCc1nocc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000595422292 349620509 /nfs/dbraw/zinc/62/05/09/349620509.db2.gz KWSDJIHCNBSVHU-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN Cc1noc(CNC(C)(C)c2cccs2)c1[N+](=O)[O-] ZINC000595430217 349624171 /nfs/dbraw/zinc/62/41/71/349624171.db2.gz RYVMSNUCHIVYIA-UHFFFAOYSA-N 0 0 281.337 2.978 20 5 CFBDRN Cc1cc(N(C)C[C@H](C)c2nccs2)ncc1[N+](=O)[O-] ZINC000273107401 192045607 /nfs/dbraw/zinc/04/56/07/192045607.db2.gz FXJALEFFDNKABL-JTQLQIEISA-N 0 0 292.364 2.995 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269644750 190527154 /nfs/dbraw/zinc/52/71/54/190527154.db2.gz OTNBPANVHPXVDQ-YUMQZZPRSA-N 0 0 274.267 2.692 20 5 CFBDRN CC(C)CC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000269068603 534925073 /nfs/dbraw/zinc/92/50/73/534925073.db2.gz DVPDPPHNXFAMNM-NSHDSACASA-N 0 0 279.340 2.964 20 5 CFBDRN CC[C@H](NCc1onc(C)c1[N+](=O)[O-])c1nc(C)cs1 ZINC000595433048 349625021 /nfs/dbraw/zinc/62/50/21/349625021.db2.gz UQPJEYBPXSGIIR-VIFPVBQESA-N 0 0 296.352 2.897 20 5 CFBDRN Cc1noc(CN2Cc3ccccc3C3(CC3)C2)c1[N+](=O)[O-] ZINC000595440960 349627097 /nfs/dbraw/zinc/62/70/97/349627097.db2.gz HSLWURRONRZRHB-UHFFFAOYSA-N 0 0 299.330 2.939 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2ccc(F)cc2[N+](=O)[O-])s1 ZINC000595439460 349626543 /nfs/dbraw/zinc/62/65/43/349626543.db2.gz ZHRZYWRELODPKL-SSDOTTSWSA-N 0 0 296.327 2.745 20 5 CFBDRN O=C(NCCCC1CCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000595453689 349632100 /nfs/dbraw/zinc/63/21/00/349632100.db2.gz QSHVOQWHCGFJOO-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN Cc1noc(C[N@@H+]2C[C@H](C)C[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000595456784 349632895 /nfs/dbraw/zinc/63/28/95/349632895.db2.gz GFGWTOHOHCCTOT-YWVKMMECSA-N 0 0 267.329 2.758 20 5 CFBDRN COCCN(Cc1onc(C)c1[N+](=O)[O-])[C@@H]1CC[C@H](C)C1 ZINC000595462433 349634881 /nfs/dbraw/zinc/63/48/81/349634881.db2.gz UBMKDBZHPHGKSX-CMPLNLGQSA-N 0 0 297.355 2.528 20 5 CFBDRN C[C@H]1CCCN(C(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000068780086 346869458 /nfs/dbraw/zinc/86/94/58/346869458.db2.gz MSZRZKDCADWRSN-LBPRGKRZSA-N 0 0 292.335 2.622 20 5 CFBDRN Cc1nc(CN(C)CCc2cccc([N+](=O)[O-])c2)co1 ZINC000595474098 349637725 /nfs/dbraw/zinc/63/77/25/349637725.db2.gz DALNJMNKGHNYIX-UHFFFAOYSA-N 0 0 275.308 2.566 20 5 CFBDRN CNC(=O)c1ccc(N2CCC[C@H](C)CC2)c([N+](=O)[O-])c1 ZINC000068824441 346872020 /nfs/dbraw/zinc/87/20/20/346872020.db2.gz UBUFQZXHHPAWCI-NSHDSACASA-N 0 0 291.351 2.581 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CC=CCC1 ZINC000068832954 346873507 /nfs/dbraw/zinc/87/35/07/346873507.db2.gz RUDOXPFOHCGZIH-NSHDSACASA-N 0 0 290.319 2.690 20 5 CFBDRN Cc1noc(CN2CCC[C@@]3(CCSC3)C2)c1[N+](=O)[O-] ZINC000595522508 349649737 /nfs/dbraw/zinc/64/97/37/349649737.db2.gz KUTNKOQKGZDCIK-CYBMUJFWSA-N 0 0 297.380 2.610 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)c1C ZINC000068993691 346878998 /nfs/dbraw/zinc/87/89/98/346878998.db2.gz PEMUIENPTCSBEQ-UHFFFAOYSA-N 0 0 280.309 2.557 20 5 CFBDRN CSCCc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000068906987 346875907 /nfs/dbraw/zinc/87/59/07/346875907.db2.gz APYTYPYTCWVTIT-UHFFFAOYSA-N 0 0 292.364 2.977 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000421102257 529429404 /nfs/dbraw/zinc/42/94/04/529429404.db2.gz VRCGQMVPXSLACJ-WCQYABFASA-N 0 0 293.323 2.674 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCOC[C@H]1C1CCC1 ZINC000595557584 349658922 /nfs/dbraw/zinc/65/89/22/349658922.db2.gz KSPAGTGFDLOPQD-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN Cc1noc(CN2Cc3cc(F)c(F)cc3C2)c1[N+](=O)[O-] ZINC000595560998 349659863 /nfs/dbraw/zinc/65/98/63/349659863.db2.gz KLDGGOCHDKHNGV-UHFFFAOYSA-N 0 0 295.245 2.685 20 5 CFBDRN O=C1CCN(Cc2ccc(F)cc2[N+](=O)[O-])C2(CCC2)C1 ZINC000595545527 349656619 /nfs/dbraw/zinc/65/66/19/349656619.db2.gz CLONGYNKINLZGR-UHFFFAOYSA-N 0 0 292.310 2.822 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000413444041 529473643 /nfs/dbraw/zinc/47/36/43/529473643.db2.gz FNAVIYCYLYRJSB-PWSUYJOCSA-N 0 0 254.261 2.713 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1[nH]nc(CCC)c1[N+](=O)[O-] ZINC000069551182 346895275 /nfs/dbraw/zinc/89/52/75/346895275.db2.gz HITPISZZKMGXTQ-JTQLQIEISA-N 0 0 296.371 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2c3ccccc3C[C@H]2CO)cc1 ZINC000595657851 349692190 /nfs/dbraw/zinc/69/21/90/349692190.db2.gz QGADIKXQTWLOFU-HNNXBMFYSA-N 0 0 284.315 2.518 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000413379725 529520397 /nfs/dbraw/zinc/52/03/97/529520397.db2.gz MXXPEVYKKAEHNW-CABZTGNLSA-N 0 0 252.318 2.565 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000177051957 529537582 /nfs/dbraw/zinc/53/75/82/529537582.db2.gz MCRRENQKCBWOSG-HZMBPMFUSA-N 0 0 278.308 2.978 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1Cc1ccc([N+](=O)[O-])cc1 ZINC000595675039 349697319 /nfs/dbraw/zinc/69/73/19/349697319.db2.gz NCZPFKQSOCMONI-GFCCVEGCSA-N 0 0 276.336 2.738 20 5 CFBDRN CCc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)nc(C)n1 ZINC000174222193 529552398 /nfs/dbraw/zinc/55/23/98/529552398.db2.gz PGSTZSHQIYKZOR-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN COc1ccc(OCCCOC(C)C)c([N+](=O)[O-])c1 ZINC000125997463 187209474 /nfs/dbraw/zinc/20/94/74/187209474.db2.gz XLSARQSBFRBCNY-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)C[C@H]1CCCCO1 ZINC000125996951 187209988 /nfs/dbraw/zinc/20/99/88/187209988.db2.gz MBKNAEILFPKIBT-LLVKDONJSA-N 0 0 270.354 2.657 20 5 CFBDRN Cc1noc(CN2CC[C@H]2c2ccc(F)cc2)c1[N+](=O)[O-] ZINC000595699878 349708467 /nfs/dbraw/zinc/70/84/67/349708467.db2.gz BHPGHQNEEPRHEV-LBPRGKRZSA-N 0 0 291.282 2.977 20 5 CFBDRN CC[C@@H](Cn1nc(C)c2cc([N+](=O)[O-])ccc21)OC ZINC000416915805 529549851 /nfs/dbraw/zinc/54/98/51/529549851.db2.gz YLFIKYNCIYIYCD-NSHDSACASA-N 0 0 263.297 2.678 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000425154873 529607213 /nfs/dbraw/zinc/60/72/13/529607213.db2.gz LHCLFEZPNLRYQX-CLLJXQQHSA-N 0 0 274.320 2.686 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@H](C)C[C@H](C)C1 ZINC000195329651 529602007 /nfs/dbraw/zinc/60/20/07/529602007.db2.gz UXMAJRCNYHMVPW-AOOOYVTPSA-N 0 0 266.345 2.602 20 5 CFBDRN C[C@H]1CCCCN1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000126110805 187218255 /nfs/dbraw/zinc/21/82/55/187218255.db2.gz RTHQVZLEAOSDGG-LBPRGKRZSA-N 0 0 291.351 2.721 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCc2nccnc2C1 ZINC000595862495 349757095 /nfs/dbraw/zinc/75/70/95/349757095.db2.gz DOUQRIGJZUGEGX-LLVKDONJSA-N 0 0 284.319 2.504 20 5 CFBDRN COC(=O)c1cc(OC[C@@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000596022985 349777210 /nfs/dbraw/zinc/77/72/10/349777210.db2.gz RBNZEEDGVJHSKX-JGPRNRPPSA-N 0 0 291.303 2.806 20 5 CFBDRN CC(=O)c1ccsc1NCc1onc(C)c1[N+](=O)[O-] ZINC000596040459 349779345 /nfs/dbraw/zinc/77/93/45/349779345.db2.gz YEMFVYHWKOGJSB-UHFFFAOYSA-N 0 0 281.293 2.767 20 5 CFBDRN C[C@H]1CCCC[C@@H]1OCCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596077943 349789101 /nfs/dbraw/zinc/78/91/01/349789101.db2.gz WXONUHOOPOYVPI-JQWIXIFHSA-N 0 0 295.339 2.703 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596087900 349791434 /nfs/dbraw/zinc/79/14/34/349791434.db2.gz QKKJYHWDGTVYQX-SECBINFHSA-N 0 0 285.731 2.649 20 5 CFBDRN COc1cc(NCc2cnn(C)c2)c(Cl)cc1[N+](=O)[O-] ZINC000596087423 349791557 /nfs/dbraw/zinc/79/15/57/349791557.db2.gz FFSITQMAKYAFLP-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN C[C@H](CO)CNc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596089510 349792136 /nfs/dbraw/zinc/79/21/36/349792136.db2.gz NZTMKSLPBNESKY-ZETCQYMHSA-N 0 0 278.230 2.654 20 5 CFBDRN O=c1[nH]ccc(NCCCOC2CCCCC2)c1[N+](=O)[O-] ZINC000596061041 349784793 /nfs/dbraw/zinc/78/47/93/349784793.db2.gz AGBZWBFAJLUVJX-UHFFFAOYSA-N 0 0 295.339 2.847 20 5 CFBDRN C[C@H]1CCN(C(=O)NCCc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000126235851 187226316 /nfs/dbraw/zinc/22/63/16/187226316.db2.gz XCHUJLOYZVWRNN-RYUDHWBXSA-N 0 0 291.351 2.577 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1N1CCC1 ZINC000596070231 349786523 /nfs/dbraw/zinc/78/65/23/349786523.db2.gz OXRSUTURNKNYPK-UHFFFAOYSA-N 0 0 257.087 2.567 20 5 CFBDRN CC[C@@H](NC(=O)c1cc[nH]c1)c1cccc([N+](=O)[O-])c1 ZINC000177798802 529666413 /nfs/dbraw/zinc/66/64/13/529666413.db2.gz ZHOXDLOPUMWYEK-CYBMUJFWSA-N 0 0 273.292 2.804 20 5 CFBDRN CC[C@H](C)CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000421583563 529667453 /nfs/dbraw/zinc/66/74/53/529667453.db2.gz YWINDTJQSGRNEU-QMMMGPOBSA-N 0 0 254.261 2.510 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1nc(C)cs1 ZINC000104600956 529671667 /nfs/dbraw/zinc/67/16/67/529671667.db2.gz OWMSXRFBOBZHPN-MRVPVSSYSA-N 0 0 295.320 2.834 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCc1nnc(C2CC2)[nH]1 ZINC000294976091 199280146 /nfs/dbraw/zinc/28/01/46/199280146.db2.gz OQMARTUDCDFPOL-UHFFFAOYSA-N 0 0 293.714 2.856 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cn1)c1cccs1 ZINC000126302473 187231217 /nfs/dbraw/zinc/23/12/17/187231217.db2.gz YOLAWXPXGHUJCY-UHFFFAOYSA-N 0 0 278.337 2.836 20 5 CFBDRN COCCOCCCCNc1cc(C)sc1[N+](=O)[O-] ZINC000596105108 349795558 /nfs/dbraw/zinc/79/55/58/349795558.db2.gz NTHWYNHKSGBAMF-UHFFFAOYSA-N 0 0 288.369 2.820 20 5 CFBDRN Cc1ccccc1[C@@H]1CCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596106236 349795272 /nfs/dbraw/zinc/79/52/72/349795272.db2.gz RFLWHZQBQBQFGJ-GFCCVEGCSA-N 0 0 298.346 2.874 20 5 CFBDRN CN(CCC1CC1)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000126339958 187233946 /nfs/dbraw/zinc/23/39/46/187233946.db2.gz STXQIZFYRDQGKO-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596123059 349799866 /nfs/dbraw/zinc/79/98/66/349799866.db2.gz MBCVHQBUTATFNB-XVKPBYJWSA-N 0 0 258.343 2.784 20 5 CFBDRN Cc1cc(NC[C@]2(C)CCOC2)c([N+](=O)[O-])s1 ZINC000596125479 349800447 /nfs/dbraw/zinc/80/04/47/349800447.db2.gz CJQQKDZCFNLJHF-NSHDSACASA-N 0 0 256.327 2.803 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCOC2)c([N+](=O)[O-])s1 ZINC000596125065 349800453 /nfs/dbraw/zinc/80/04/53/349800453.db2.gz YREZPEXYEFMHMO-JTQLQIEISA-N 0 0 270.354 2.828 20 5 CFBDRN CCOC[C@@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596127042 349800672 /nfs/dbraw/zinc/80/06/72/349800672.db2.gz SYZLYKLHPZUDET-SNVBAGLBSA-N 0 0 299.758 2.896 20 5 CFBDRN COc1cc(NCc2noc(C)n2)c(Cl)cc1[N+](=O)[O-] ZINC000596129970 349802113 /nfs/dbraw/zinc/80/21/13/349802113.db2.gz WVTPUHXUFIRWLZ-UHFFFAOYSA-N 0 0 298.686 2.560 20 5 CFBDRN CC1(C)CN(c2c(Cl)cncc2[N+](=O)[O-])CCCO1 ZINC000596130926 349801934 /nfs/dbraw/zinc/80/19/34/349801934.db2.gz HSSWVRGMUFDJSH-UHFFFAOYSA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596138972 349805453 /nfs/dbraw/zinc/80/54/53/349805453.db2.gz PTASEGDFUUSFHW-IUCAKERBSA-N 0 0 253.302 2.932 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000273916040 192360653 /nfs/dbraw/zinc/36/06/53/192360653.db2.gz BAVOXDMJAXJSAC-UWVGGRQHSA-N 0 0 266.297 2.594 20 5 CFBDRN CC1(C)CCCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1 ZINC000596142758 349806726 /nfs/dbraw/zinc/80/67/26/349806726.db2.gz VWAZRZBBWLYPPV-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1cc(C)ccc1[N+](=O)[O-] ZINC000094659804 282262687 /nfs/dbraw/zinc/26/26/87/282262687.db2.gz IWMFUUZTAXGHSH-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN Cc1cccc(NCCC(C)(C)CO)c1[N+](=O)[O-] ZINC000294996910 199291736 /nfs/dbraw/zinc/29/17/36/199291736.db2.gz RBKNMMVHPRFMNL-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1nn(C)c(N2CCc3cccc(C)c3C2)c1[N+](=O)[O-] ZINC000277288537 282272500 /nfs/dbraw/zinc/27/25/00/282272500.db2.gz ZKVYAVXZNYKVSO-UHFFFAOYSA-N 0 0 286.335 2.508 20 5 CFBDRN C[C@]1(O)CCCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000127580760 136386688 /nfs/dbraw/zinc/38/66/88/136386688.db2.gz YWIAONRJHOHMOR-LBPRGKRZSA-N 0 0 270.716 2.599 20 5 CFBDRN Cc1cc(NCC[C@H](C)[S@](C)=O)c([N+](=O)[O-])s1 ZINC000596165468 349814651 /nfs/dbraw/zinc/81/46/51/349814651.db2.gz LANRTBVAMXTVMB-QPFGOUBPSA-N 0 0 276.383 2.534 20 5 CFBDRN CCC1(CC)CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596166013 349814876 /nfs/dbraw/zinc/81/48/76/349814876.db2.gz WUVBRMPTTPFDRN-UHFFFAOYSA-N 0 0 265.313 2.712 20 5 CFBDRN CC[C@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596164516 349814905 /nfs/dbraw/zinc/81/49/05/349814905.db2.gz ZEFFAVQKUAVSEY-JOYOIKCWSA-N 0 0 277.324 2.684 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cn1)C1CCC1 ZINC000295019752 199303501 /nfs/dbraw/zinc/30/35/01/199303501.db2.gz QJRDZVRMRRBDSV-UHFFFAOYSA-N 0 0 250.302 2.623 20 5 CFBDRN CCN(CC)C(=O)CSc1cc(C)sc1[N+](=O)[O-] ZINC000596173036 349817010 /nfs/dbraw/zinc/81/70/10/349817010.db2.gz PCMRXPACIBKGLD-UHFFFAOYSA-N 0 0 288.394 2.925 20 5 CFBDRN C[C@@H](CCCO)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000295023559 199305607 /nfs/dbraw/zinc/30/56/07/199305607.db2.gz UVRGPRUDXIUMNP-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN Cc1cc(NCc2cc(C)ncn2)c([N+](=O)[O-])s1 ZINC000596175376 349817738 /nfs/dbraw/zinc/81/77/38/349817738.db2.gz OUFZVXUGRNMZGN-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCc1nn(C)c(NCCC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC000413332669 529767545 /nfs/dbraw/zinc/76/75/45/529767545.db2.gz IIUDSWVQAHXKRG-UHFFFAOYSA-N 0 0 288.298 2.738 20 5 CFBDRN CC1(C)CN(c2cc[nH]c(=O)c2[N+](=O)[O-])Cc2ccccc21 ZINC000596178508 349818724 /nfs/dbraw/zinc/81/87/24/349818724.db2.gz LDJPEENRAHJENP-UHFFFAOYSA-N 0 0 299.330 2.993 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596188283 349821551 /nfs/dbraw/zinc/82/15/51/349821551.db2.gz VLSRMGKPQPVVAH-CABZTGNLSA-N 0 0 299.758 2.631 20 5 CFBDRN Cc1ccc([C@@H]2CCN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)cc1 ZINC000596193747 349823225 /nfs/dbraw/zinc/82/32/25/349823225.db2.gz NJKOYWQPYPRGER-CYBMUJFWSA-N 0 0 299.330 2.998 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](O)C2(C)C)c([N+](=O)[O-])s1 ZINC000596200402 349825741 /nfs/dbraw/zinc/82/57/41/349825741.db2.gz LEIRSUCXEIVXKN-RKDXNWHRSA-N 0 0 256.327 2.536 20 5 CFBDRN CC[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2C(=O)NC)C1 ZINC000301124675 136423315 /nfs/dbraw/zinc/42/33/15/136423315.db2.gz USJFNKAOXBAKRY-LLVKDONJSA-N 0 0 291.351 2.581 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H](n2cccn2)C1 ZINC000301147194 136424103 /nfs/dbraw/zinc/42/41/03/136424103.db2.gz BIBYLSBCCUBNKW-GFCCVEGCSA-N 0 0 290.298 2.772 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@@H](C)O1 ZINC000301289236 136428775 /nfs/dbraw/zinc/42/87/75/136428775.db2.gz WAVDGAMKQZDPKS-DGCLKSJQSA-N 0 0 264.325 2.907 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cn1)Oc1ccccc1 ZINC000072945774 346951877 /nfs/dbraw/zinc/95/18/77/346951877.db2.gz OGDRQVMVQOIVFG-LLVKDONJSA-N 0 0 273.292 2.869 20 5 CFBDRN Cc1cc(NCCC2CC(O)C2)c([N+](=O)[O-])s1 ZINC000596209989 349827812 /nfs/dbraw/zinc/82/78/12/349827812.db2.gz WBJSJYDGEJCWFP-UHFFFAOYSA-N 0 0 256.327 2.538 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(C(N)=O)c1)[C@H]1CCC(C)(C)C1 ZINC000596217422 349829354 /nfs/dbraw/zinc/82/93/54/349829354.db2.gz MICMNPLWCPMRRF-NSHDSACASA-N 0 0 291.351 2.709 20 5 CFBDRN NC(=O)c1cc(NC[C@@H]2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000596220050 349831222 /nfs/dbraw/zinc/83/12/22/349831222.db2.gz ZMCKNVIFZUSCGG-MRVPVSSYSA-N 0 0 299.277 2.541 20 5 CFBDRN Cc1cc(N[C@H](C)c2ncc[nH]2)c([N+](=O)[O-])s1 ZINC000596237086 349835769 /nfs/dbraw/zinc/83/57/69/349835769.db2.gz BUDPPCSSTFPNNH-SSDOTTSWSA-N 0 0 252.299 2.861 20 5 CFBDRN CN(CCNc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000072991638 346954323 /nfs/dbraw/zinc/95/43/23/346954323.db2.gz KKYARBACRQAYIG-UHFFFAOYSA-N 0 0 272.308 2.538 20 5 CFBDRN Cc1cc(N(C)Cc2nncn2C(C)C)c([N+](=O)[O-])s1 ZINC000596242469 349838073 /nfs/dbraw/zinc/83/80/73/349838073.db2.gz RSKPFDRZAOFMOB-UHFFFAOYSA-N 0 0 295.368 2.774 20 5 CFBDRN O=[N+]([O-])c1cccc(COc2ccc3c(c2)OCCO3)c1 ZINC000073020425 346955497 /nfs/dbraw/zinc/95/54/97/346955497.db2.gz LHSKVQZXVXNUSD-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN CC1(C)CCC[C@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596246284 349839007 /nfs/dbraw/zinc/83/90/07/349839007.db2.gz ITDVSWVJINWWHS-JTQLQIEISA-N 0 0 291.351 2.932 20 5 CFBDRN Cc1cc(N[C@@H](C)CCCCO)c([N+](=O)[O-])s1 ZINC000596251613 349841410 /nfs/dbraw/zinc/84/14/10/349841410.db2.gz ZCFNVQKGCPIRRU-QMMMGPOBSA-N 0 0 258.343 2.928 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H](c2ccncc2)C1 ZINC000596254029 349842018 /nfs/dbraw/zinc/84/20/18/349842018.db2.gz KWBYGGVJPBVKEL-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])c(CO)c1)C1CCCC1 ZINC000596271443 349849419 /nfs/dbraw/zinc/84/94/19/349849419.db2.gz CDQLJEBFPNANQS-HNNXBMFYSA-N 0 0 295.335 2.671 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596272259 349849573 /nfs/dbraw/zinc/84/95/73/349849573.db2.gz VSZKMCVRHYSVLZ-OCCSQVGLSA-N 0 0 277.324 2.540 20 5 CFBDRN CC(C)=CCn1c(C)cn(-c2cccc([N+](=O)[O-])c2)c1=O ZINC000596279075 349851710 /nfs/dbraw/zinc/85/17/10/349851710.db2.gz VOZASRWSCUBOMI-UHFFFAOYSA-N 0 0 287.319 2.822 20 5 CFBDRN Cc1cn(-c2cccc([N+](=O)[O-])c2)c(=O)n1CCC1CC1 ZINC000596277277 349850822 /nfs/dbraw/zinc/85/08/22/349850822.db2.gz IOQHFAQIMDGZEF-UHFFFAOYSA-N 0 0 287.319 2.656 20 5 CFBDRN CCCOc1cccc(Cn2cc(C)c([N+](=O)[O-])n2)c1 ZINC000596279748 349851532 /nfs/dbraw/zinc/85/15/32/349851532.db2.gz VHLCAELWONXLKY-UHFFFAOYSA-N 0 0 275.308 2.937 20 5 CFBDRN COC(=O)c1occc1COc1ccc([N+](=O)[O-])cc1 ZINC000073090141 346960155 /nfs/dbraw/zinc/96/01/55/346960155.db2.gz ZXNOUVGXBOYWNP-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN CS[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000295076002 199331843 /nfs/dbraw/zinc/33/18/43/199331843.db2.gz XBCNFDANWLEILJ-SECBINFHSA-N 0 0 256.302 2.676 20 5 CFBDRN CC[N@@H+]1CCCN(c2cc(C)ccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000596268560 349848123 /nfs/dbraw/zinc/84/81/23/349848123.db2.gz JWYONAOTVZSHJN-ZDUSSCGKSA-N 0 0 277.368 2.824 20 5 CFBDRN COC1CC(CCNc2ncc(C)cc2[N+](=O)[O-])C1 ZINC000596307618 349859048 /nfs/dbraw/zinc/85/90/48/349859048.db2.gz CBKCCMOIEYZUIR-UHFFFAOYSA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1ccnc(NC[C@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC000596312481 349860661 /nfs/dbraw/zinc/86/06/61/349860661.db2.gz KLPYGNFZZHHNMO-NSHDSACASA-N 0 0 272.308 2.909 20 5 CFBDRN CC(C)(C)c1ncn(Cc2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000596282629 349852213 /nfs/dbraw/zinc/85/22/13/349852213.db2.gz YTWVQNJVYZEKAT-UHFFFAOYSA-N 0 0 296.277 2.810 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2Nc2cc[nH]c(=O)c2[N+](=O)[O-])cc1 ZINC000596286565 349853080 /nfs/dbraw/zinc/85/30/80/349853080.db2.gz NOLLQADWTCTQKK-WCQYABFASA-N 0 0 285.303 2.972 20 5 CFBDRN COc1cc(NCCC2(CO)CCC2)c([N+](=O)[O-])cc1C ZINC000596293870 349854760 /nfs/dbraw/zinc/85/47/60/349854760.db2.gz FKSUOECIHYNBNF-UHFFFAOYSA-N 0 0 294.351 2.876 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])s1)[C@@H](CO)Cc1ccccc1 ZINC000596312969 349860877 /nfs/dbraw/zinc/86/08/77/349860877.db2.gz MBUJODXFCRNGJY-GFCCVEGCSA-N 0 0 292.360 2.696 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H](c2ccccn2)C1 ZINC000596305177 349857276 /nfs/dbraw/zinc/85/72/76/349857276.db2.gz DTUSFAXPMURYLM-LBPRGKRZSA-N 0 0 284.319 2.769 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000073331894 346971114 /nfs/dbraw/zinc/97/11/14/346971114.db2.gz LRUTVIVXARKVCQ-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000073333567 346971301 /nfs/dbraw/zinc/97/13/01/346971301.db2.gz VDNQGIUNTBEFFX-CMPLNLGQSA-N 0 0 262.309 2.855 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000073337228 346972856 /nfs/dbraw/zinc/97/28/56/346972856.db2.gz YTWKSOBVKQXLDN-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H](CO)C1CCC1 ZINC000596323660 349863383 /nfs/dbraw/zinc/86/33/83/349863383.db2.gz OSJHFNFONDWLGQ-NSHDSACASA-N 0 0 270.716 2.821 20 5 CFBDRN CO[C@@H](CSCc1cccc([N+](=O)[O-])c1)[C@@H]1CCOC1 ZINC000596325520 349863887 /nfs/dbraw/zinc/86/38/87/349863887.db2.gz WUIBDORSXJZNHI-OCCSQVGLSA-N 0 0 297.376 2.880 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H](CO)C1CCC1 ZINC000596326683 349864645 /nfs/dbraw/zinc/86/46/45/349864645.db2.gz ZBJVYJXZWAWMTP-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1noc(CSCc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000596329287 349865378 /nfs/dbraw/zinc/86/53/78/349865378.db2.gz WCHUAJDJZXMWRM-UHFFFAOYSA-N 0 0 283.284 2.859 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000073327579 346970281 /nfs/dbraw/zinc/97/02/81/346970281.db2.gz ARWJQHMBFVUUFO-WCQYABFASA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@@](C)(C2CC2)C1 ZINC000596334819 349868254 /nfs/dbraw/zinc/86/82/54/349868254.db2.gz RTNZPTZIKBCJMK-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1ccc(N[C@@H]2C[C@@H](C)n3ncnc32)c([N+](=O)[O-])c1 ZINC000596341793 349870276 /nfs/dbraw/zinc/87/02/76/349870276.db2.gz AOQBRJNASXVXQU-MWLCHTKSSA-N 0 0 273.296 2.613 20 5 CFBDRN CCC(C)(C)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000074024253 346990618 /nfs/dbraw/zinc/99/06/18/346990618.db2.gz NNLDMTRKEPVLAU-UHFFFAOYSA-N 0 0 264.325 2.784 20 5 CFBDRN Cc1noc(CSCc2cc(C)nc(C)n2)c1[N+](=O)[O-] ZINC000596374218 349881942 /nfs/dbraw/zinc/88/19/42/349881942.db2.gz UDXOOKIOQUTXOB-UHFFFAOYSA-N 0 0 294.336 2.731 20 5 CFBDRN COC[C@@H](CSCc1ccc(F)cc1[N+](=O)[O-])OC ZINC000596395683 349888293 /nfs/dbraw/zinc/88/82/93/349888293.db2.gz RFFVPGMGIQDACL-NSHDSACASA-N 0 0 289.328 2.629 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000413453579 529933148 /nfs/dbraw/zinc/93/31/48/529933148.db2.gz HUYJTHSBULCTMB-CDMKHQONSA-N 0 0 289.335 2.555 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@@H](C2CC2)C1 ZINC000290577308 197754237 /nfs/dbraw/zinc/75/42/37/197754237.db2.gz HDCHPSFOHYJHRQ-SNVBAGLBSA-N 0 0 280.349 2.919 20 5 CFBDRN C[C@@H](c1ccco1)N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000074518858 347019246 /nfs/dbraw/zinc/01/92/46/347019246.db2.gz FKLQSBSYRHNHFU-QMMMGPOBSA-N 0 0 264.237 2.614 20 5 CFBDRN CSCC1(CCNc2c([N+](=O)[O-])c(C)nn2C)CC1 ZINC000596430625 349901155 /nfs/dbraw/zinc/90/11/55/349901155.db2.gz WLUUYDSBCRHJRU-UHFFFAOYSA-N 0 0 284.385 2.582 20 5 CFBDRN C[C@H](Nc1ccc(Cl)cc1[N+](=O)[O-])C1(CO)CC1 ZINC000596437767 349902467 /nfs/dbraw/zinc/90/24/67/349902467.db2.gz PWKAGHYDPCXDNB-QMMMGPOBSA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2ccc(F)cc2)ncc1[N+](=O)[O-] ZINC000074740093 347029735 /nfs/dbraw/zinc/02/97/35/347029735.db2.gz FCFSGRSCPQBQCR-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cc(N2CCO[C@@H](c3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000074739227 347029795 /nfs/dbraw/zinc/02/97/95/347029795.db2.gz GUMBMHGZIYQXJF-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1cc(N2CC[C@@H](Nc3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000074746554 347030475 /nfs/dbraw/zinc/03/04/75/347030475.db2.gz CQWCFALBAGEZLZ-CQSZACIVSA-N 0 0 298.346 2.989 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000596440224 349903805 /nfs/dbraw/zinc/90/38/05/349903805.db2.gz FZJIFFBLOPSHLB-XYPYZODXSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H]1CCO ZINC000596441440 349903841 /nfs/dbraw/zinc/90/38/41/349903841.db2.gz GXPDOTASWDDKTF-GXSJLCMTSA-N 0 0 299.758 2.631 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000596443901 349904916 /nfs/dbraw/zinc/90/49/16/349904916.db2.gz VBJGGFDSTXTUBK-MGCOHNPYSA-N 0 0 254.261 2.713 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@]12C[C@H]1CCC2 ZINC000596444889 349905124 /nfs/dbraw/zinc/90/51/24/349905124.db2.gz VLILEWKEJKWSQB-NOZJJQNGSA-N 0 0 261.281 2.659 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCO[C@H]1CC1(F)F ZINC000596446457 349905429 /nfs/dbraw/zinc/90/54/29/349905429.db2.gz GBPKPOUHTKYJDG-JTQLQIEISA-N 0 0 276.214 2.570 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCO[C@H]1CC1(F)F ZINC000596446470 349905624 /nfs/dbraw/zinc/90/56/24/349905624.db2.gz GRKJNEOCMXLYDE-VIFPVBQESA-N 0 0 276.214 2.570 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cn1)C1CCOCC1 ZINC000074774125 347032358 /nfs/dbraw/zinc/03/23/58/347032358.db2.gz XJOWRKKMYFBPIC-GFCCVEGCSA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1cnc(NCCCOC(C)(C)C)c([N+](=O)[O-])c1 ZINC000596462197 349908127 /nfs/dbraw/zinc/90/81/27/349908127.db2.gz MSJOFMCJQOEANS-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC(C2CCC2)CC1 ZINC000596453932 349907226 /nfs/dbraw/zinc/90/72/26/349907226.db2.gz NQNRSSCSJQQPSC-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN CCOC(=O)c1ccnc(NCCC(C)(C)F)c1[N+](=O)[O-] ZINC000596467464 349909375 /nfs/dbraw/zinc/90/93/75/349909375.db2.gz ATSTURDIKBSNTQ-UHFFFAOYSA-N 0 0 299.302 2.717 20 5 CFBDRN COCc1nc(C)cc(Oc2ccc([N+](=O)[O-])cc2)n1 ZINC000075040793 347049498 /nfs/dbraw/zinc/04/94/98/347049498.db2.gz DALATRDXZFQKOP-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN CC[C@H](O)CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000127228529 187290797 /nfs/dbraw/zinc/29/07/97/187290797.db2.gz LDCPMMPUGRGOLD-VIFPVBQESA-N 0 0 258.705 2.821 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1ccc(OC)cc1 ZINC000273193276 192070631 /nfs/dbraw/zinc/07/06/31/192070631.db2.gz QDQLGCMFEFATFY-CYBMUJFWSA-N 0 0 288.307 2.957 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1(CCO)CCCC1 ZINC000075064068 347052624 /nfs/dbraw/zinc/05/26/24/347052624.db2.gz JGPQAPSUTVRYHN-UHFFFAOYSA-N 0 0 264.325 2.950 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2ccc([N+](=O)[O-])cc2)O1 ZINC000596473068 349911411 /nfs/dbraw/zinc/91/14/11/349911411.db2.gz FRSJVUUXVBNFDI-GXFFZTMASA-N 0 0 250.298 2.964 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000075065912 347053585 /nfs/dbraw/zinc/05/35/85/347053585.db2.gz QPWDLYUOCHSMOX-ZYHUDNBSSA-N 0 0 291.351 2.579 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@H](C)O2)c1 ZINC000596474550 349911876 /nfs/dbraw/zinc/91/18/76/349911876.db2.gz MCWLMDXUTUOIQS-CMPLNLGQSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000075182685 347059097 /nfs/dbraw/zinc/05/90/97/347059097.db2.gz MXMITYYJSWVZEB-NSHDSACASA-N 0 0 266.322 2.737 20 5 CFBDRN CC(C)[C@H](C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270428160 190680839 /nfs/dbraw/zinc/68/08/39/190680839.db2.gz IMDVPHRAIOCFEA-NSHDSACASA-N 0 0 264.325 2.546 20 5 CFBDRN C[C@@]1(CCNc2c(Cl)cncc2[N+](=O)[O-])CC1(F)F ZINC000596477914 349913901 /nfs/dbraw/zinc/91/39/01/349913901.db2.gz MBBVGEGGPGEZNQ-SNVBAGLBSA-N 0 0 291.685 2.912 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1ccc(Cl)cc1[N+](=O)[O-])C2 ZINC000596477932 349913909 /nfs/dbraw/zinc/91/39/09/349913909.db2.gz FGEZJJFZORQLLQ-ZANVPECISA-N 0 0 282.727 2.721 20 5 CFBDRN CC[C@@H](O)CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000127243886 187292154 /nfs/dbraw/zinc/29/21/54/187292154.db2.gz QDFLIPXEEIQLPG-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CNCc1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 ZINC000414495988 530012525 /nfs/dbraw/zinc/01/25/25/530012525.db2.gz CLXMTBPFUSAIGH-MRVPVSSYSA-N 0 0 294.336 2.551 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c2ncccc12)[C@H]1CCCOC1 ZINC000413281286 530035159 /nfs/dbraw/zinc/03/51/59/530035159.db2.gz MTMIQGRZHMKNMY-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])nc1)C1CC(OC(C)(C)C)C1 ZINC000420623819 530035204 /nfs/dbraw/zinc/03/52/04/530035204.db2.gz IOTRTFBFYUXKIK-UHFFFAOYSA-N 0 0 279.340 2.772 20 5 CFBDRN CN(c1ncccc1[N+](=O)[O-])C1CC(OC(C)(C)C)C1 ZINC000420627001 530038734 /nfs/dbraw/zinc/03/87/34/530038734.db2.gz NBPOBCPOWNOBKV-UHFFFAOYSA-N 0 0 279.340 2.772 20 5 CFBDRN Cc1ccc(CC2CN(c3ccc([N+](=O)[O-])cn3)C2)cc1 ZINC000596486390 349917059 /nfs/dbraw/zinc/91/70/59/349917059.db2.gz WZPVNYSWBYTKBP-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN CN(C[C@H]1CCc2ccccc21)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000596490311 349917955 /nfs/dbraw/zinc/91/79/55/349917955.db2.gz ARTWGQANPTXMOP-GFCCVEGCSA-N 0 0 299.330 2.725 20 5 CFBDRN C[C@@H](CNC(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCCCC1 ZINC000596489741 349918168 /nfs/dbraw/zinc/91/81/68/349918168.db2.gz YWUCWBSYMUMDOP-JTQLQIEISA-N 0 0 279.340 2.869 20 5 CFBDRN CC(C)(NC(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000075490827 347079672 /nfs/dbraw/zinc/07/96/72/347079672.db2.gz TUTWAWJQBRRVSL-UHFFFAOYSA-N 0 0 263.297 2.905 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H](C)S1 ZINC000075506676 347080802 /nfs/dbraw/zinc/08/08/02/347080802.db2.gz JRKAXIBKRAXPSI-AOOOYVTPSA-N 0 0 295.364 2.953 20 5 CFBDRN Cc1cncc(CCNc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000596497856 349920330 /nfs/dbraw/zinc/92/03/30/349920330.db2.gz DXZTYLYTUYLLGT-UHFFFAOYSA-N 0 0 290.298 2.795 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596500527 349921836 /nfs/dbraw/zinc/92/18/36/349921836.db2.gz CDAKONPYVOZWKC-OLZOCXBDSA-N 0 0 262.309 2.619 20 5 CFBDRN Cc1c(NC(=O)N2CCC=C(F)C2)cccc1[N+](=O)[O-] ZINC000273237220 192083893 /nfs/dbraw/zinc/08/38/93/192083893.db2.gz SVKWEQCETQFXCY-UHFFFAOYSA-N 0 0 279.271 2.994 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000596502673 349922518 /nfs/dbraw/zinc/92/25/18/349922518.db2.gz HZNJHJJIDOZFJM-IUODEOHRSA-N 0 0 291.351 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N[C@@H]3C[C@@H](O)C34CCC4)c2c1 ZINC000596502734 349922596 /nfs/dbraw/zinc/92/25/96/349922596.db2.gz FXNNYCYWUDOWOT-ZIAGYGMSSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1cnc(N2CCC3(CCCC3=O)CC2)c([N+](=O)[O-])c1 ZINC000596506926 349923183 /nfs/dbraw/zinc/92/31/83/349923183.db2.gz WTQIDAYVWIPVLA-UHFFFAOYSA-N 0 0 289.335 2.638 20 5 CFBDRN CCOc1cccc(N2CC[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000596508790 349923902 /nfs/dbraw/zinc/92/39/02/349923902.db2.gz FUHPBGKHFLTZSV-QWRGUYRKSA-N 0 0 262.309 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ncncc2Cl)cc1 ZINC000097847631 232180902 /nfs/dbraw/zinc/18/09/02/232180902.db2.gz NKCXGBNNLMHPMJ-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN COc1cc(C(=O)NCC2(C)CCC2)c([N+](=O)[O-])cc1F ZINC000295105090 199347461 /nfs/dbraw/zinc/34/74/61/199347461.db2.gz KSEKPIDIAAXPFU-UHFFFAOYSA-N 0 0 296.298 2.663 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000004077616 232172017 /nfs/dbraw/zinc/17/20/17/232172017.db2.gz GKHBCLMKQVHTTL-RKDXNWHRSA-N 0 0 268.338 2.774 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)[C@@H](O)CC ZINC000313176064 260256678 /nfs/dbraw/zinc/25/66/78/260256678.db2.gz ZCKUNVFGTPADPE-ZJUUUORDSA-N 0 0 273.720 2.605 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC1=CCCC1 ZINC000596516925 349927505 /nfs/dbraw/zinc/92/75/05/349927505.db2.gz AKCXIKFAAHBCNJ-UHFFFAOYSA-N 0 0 253.689 2.587 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@H]2COC[C@@H]2C1 ZINC000596526256 349929865 /nfs/dbraw/zinc/92/98/65/349929865.db2.gz CSEGRFJDSSPUMT-UWVGGRQHSA-N 0 0 282.727 2.721 20 5 CFBDRN C[C@@H](O)C1(Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)CC1 ZINC000596524212 349929879 /nfs/dbraw/zinc/92/98/79/349929879.db2.gz SFNVELUFSDFKLU-SSDOTTSWSA-N 0 0 288.250 2.522 20 5 CFBDRN CC1(C)C[C@@]1(C)Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000596523443 349930019 /nfs/dbraw/zinc/93/00/19/349930019.db2.gz JAVYISISRHAESZ-CYBMUJFWSA-N 0 0 298.364 2.599 20 5 CFBDRN CC(C)(C)N1C[C@@H](CNc2ccc([N+](=O)[O-])s2)CC1=O ZINC000596522643 349928791 /nfs/dbraw/zinc/92/87/91/349928791.db2.gz XOROSWSVVJWKOF-SECBINFHSA-N 0 0 297.380 2.715 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H](C(C)C)C1CC1 ZINC000596522838 349928981 /nfs/dbraw/zinc/92/89/81/349928981.db2.gz RLGOSRVBLWXBQD-CQSZACIVSA-N 0 0 291.351 2.801 20 5 CFBDRN O=c1[nH]ccc(N2CCC[C@@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000596528391 349931194 /nfs/dbraw/zinc/93/11/94/349931194.db2.gz ZPZQWPJFUGTINP-LLVKDONJSA-N 0 0 277.324 2.712 20 5 CFBDRN Cc1cc(N2CCC(c3ccon3)CC2)ncc1[N+](=O)[O-] ZINC000596526971 349930441 /nfs/dbraw/zinc/93/04/41/349930441.db2.gz ATFXYQLBPZEWRW-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1C[C@@H]2CCC[C@@H]2C1 ZINC000154393717 232224886 /nfs/dbraw/zinc/22/48/86/232224886.db2.gz JDMRNSIFZNQUKM-PHIMTYICSA-N 0 0 299.330 2.948 20 5 CFBDRN C[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)c1nccn1C ZINC000596543171 349936467 /nfs/dbraw/zinc/93/64/67/349936467.db2.gz MJNAUUXBWYDSHX-SSDOTTSWSA-N 0 0 282.250 2.780 20 5 CFBDRN C[C@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@]1(C)CO ZINC000596546541 349938017 /nfs/dbraw/zinc/93/80/17/349938017.db2.gz YWWVJXXWWLVINS-TVQRCGJNSA-N 0 0 284.743 2.703 20 5 CFBDRN CCOC(=O)[C@@]1(C)CCC[C@@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000596549321 349938563 /nfs/dbraw/zinc/93/85/63/349938563.db2.gz IKLXRCWEAPZSPG-FZMZJTMJSA-N 0 0 293.323 2.524 20 5 CFBDRN Nc1c(C(=O)Nc2ccc(F)c(F)c2)cccc1[N+](=O)[O-] ZINC000157274969 232236289 /nfs/dbraw/zinc/23/62/89/232236289.db2.gz WZYWUBRJYULXGL-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CN(C(=O)[C@H]1C[C@@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000158275073 232240510 /nfs/dbraw/zinc/24/05/10/232240510.db2.gz MRRKQEFHNXHADR-OLZOCXBDSA-N 0 0 260.293 2.604 20 5 CFBDRN Cc1ccnc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)c1 ZINC000161834083 232252979 /nfs/dbraw/zinc/25/29/79/232252979.db2.gz AAGXSQULXSYGAX-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN CCOC(=O)[C@]1(C)CCC[C@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000596552905 349939789 /nfs/dbraw/zinc/93/97/89/349939789.db2.gz OEJANUGRYFWFCD-BXUZGUMPSA-N 0 0 293.323 2.524 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCc1ccsc1 ZINC000172771355 232271405 /nfs/dbraw/zinc/27/14/05/232271405.db2.gz OQIWGDCMVACIND-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN Cc1nn(C)c(N2Cc3ccccc3[C@H]2C)c1[N+](=O)[O-] ZINC000596555875 349940703 /nfs/dbraw/zinc/94/07/03/349940703.db2.gz KYQDBGCZQWJCGS-SNVBAGLBSA-N 0 0 272.308 2.718 20 5 CFBDRN Cc1ncc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000128279145 187360627 /nfs/dbraw/zinc/36/06/27/187360627.db2.gz IILVEUUXSDQUFH-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1nnc(CN(C)c2cc(C)ccc2[N+](=O)[O-])s1 ZINC000596556777 349941164 /nfs/dbraw/zinc/94/11/64/349941164.db2.gz LJZPNZUWOGDOIG-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000075631597 347088864 /nfs/dbraw/zinc/08/88/64/347088864.db2.gz TVLZRBMFJJZKAY-JTQLQIEISA-N 0 0 250.298 2.855 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCO[C@@H](CC(F)(F)F)C1 ZINC000596556886 349940778 /nfs/dbraw/zinc/94/07/78/349940778.db2.gz CHLFOBQTBKXZOU-ZETCQYMHSA-N 0 0 296.270 2.814 20 5 CFBDRN Cc1cc(C(=O)NCC2(C)CCC2)cc([N+](=O)[O-])c1 ZINC000175095838 232306698 /nfs/dbraw/zinc/30/66/98/232306698.db2.gz IDAKTCBAEXWMCB-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)c(C)n1 ZINC000176201766 232328198 /nfs/dbraw/zinc/32/81/98/232328198.db2.gz GLCVMVNHRKDMSC-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1ccc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000176493182 232333170 /nfs/dbraw/zinc/33/31/70/232333170.db2.gz CKILGVINYFBNTF-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000176778872 232341993 /nfs/dbraw/zinc/34/19/93/232341993.db2.gz JVDYACPIWVJFAF-FZQKWOKYSA-N 0 0 274.320 2.818 20 5 CFBDRN CN(C(=O)[C@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000176596907 232336172 /nfs/dbraw/zinc/33/61/72/232336172.db2.gz XIHAMGAJLNZOSM-STQMWFEESA-N 0 0 260.293 2.604 20 5 CFBDRN COc1cc(NCc2ccoc2)c([N+](=O)[O-])cc1F ZINC000176935973 232345644 /nfs/dbraw/zinc/34/56/44/232345644.db2.gz PXUQCGWJZVELNE-UHFFFAOYSA-N 0 0 266.228 2.948 20 5 CFBDRN COc1cc(NCc2ncccc2F)ccc1[N+](=O)[O-] ZINC000127540059 187313979 /nfs/dbraw/zinc/31/39/79/187313979.db2.gz FQPMNYOHRTXPNI-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1c(CC(=O)N(C)c2cccnc2)cccc1[N+](=O)[O-] ZINC000178706986 232386111 /nfs/dbraw/zinc/38/61/11/232386111.db2.gz GQAYXHISGNYRGJ-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN Cc1cc(N[C@H](c2ccccc2)[C@@H](C)O)ncc1[N+](=O)[O-] ZINC000596564050 349942443 /nfs/dbraw/zinc/94/24/43/349942443.db2.gz AYAPNCJBQNREHV-ABAIWWIYSA-N 0 0 287.319 2.832 20 5 CFBDRN Cc1ccc(CNC(=O)c2cccc([N+](=O)[O-])c2)cc1F ZINC000012487144 346230714 /nfs/dbraw/zinc/23/07/14/346230714.db2.gz QOMPTVDQJHGKRB-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@](C)(C(F)F)C1 ZINC000596571486 349944374 /nfs/dbraw/zinc/94/43/74/349944374.db2.gz GDSRRFMKVLIMAY-ZDUSSCGKSA-N 0 0 286.278 2.764 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@](C)(C(F)F)O1 ZINC000596571805 349944579 /nfs/dbraw/zinc/94/45/79/349944579.db2.gz AQSVMZXWKBXBKO-RDDDGLTNSA-N 0 0 292.307 2.905 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1ccc(F)cc1F ZINC000008337938 346223862 /nfs/dbraw/zinc/22/38/62/346223862.db2.gz PXQDNFREFFYQPK-SSDOTTSWSA-N 0 0 282.250 2.780 20 5 CFBDRN CN(Cc1ccco1)c1nc2sccn2c1[N+](=O)[O-] ZINC000008337520 346223930 /nfs/dbraw/zinc/22/39/30/346223930.db2.gz TYOWFZHOZPRMPL-UHFFFAOYSA-N 0 0 278.293 2.533 20 5 CFBDRN Cc1cc(N2CCO[C@](C)(C(F)F)C2)ccc1[N+](=O)[O-] ZINC000596572779 349945651 /nfs/dbraw/zinc/94/56/51/349945651.db2.gz STCSJCCSBUZCEP-ZDUSSCGKSA-N 0 0 286.278 2.764 20 5 CFBDRN CC1CCC(NC(=O)CNc2cccc([N+](=O)[O-])c2)CC1 ZINC000022690541 346249661 /nfs/dbraw/zinc/24/96/61/346249661.db2.gz JKEOQGOALIBPSL-UHFFFAOYSA-N 0 0 291.351 2.702 20 5 CFBDRN COC(=O)c1cccc(CNc2cccc([N+](=O)[O-])c2)c1 ZINC000022690533 346249697 /nfs/dbraw/zinc/24/96/97/346249697.db2.gz RFILVZUGULDXNA-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN CCc1nc(SCc2cc([N+](=O)[O-])ccc2OC)n[nH]1 ZINC000022542913 346249938 /nfs/dbraw/zinc/24/99/38/346249938.db2.gz SUMGFUHGHUPHPT-UHFFFAOYSA-N 0 0 294.336 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2ccc3c(c2)OCCO3)nc1 ZINC000022801417 346250230 /nfs/dbraw/zinc/25/02/30/346250230.db2.gz YJNZFRDIQZRMHQ-UHFFFAOYSA-N 0 0 290.300 2.912 20 5 CFBDRN Cc1c(CNC(=O)N[C@]2(C)CC2(C)C)cccc1[N+](=O)[O-] ZINC000596579527 349946396 /nfs/dbraw/zinc/94/63/96/349946396.db2.gz NZNRSSNMKJNPAZ-OAHLLOKOSA-N 0 0 291.351 2.891 20 5 CFBDRN COC(=O)c1ccoc1COc1ccc(C)cc1[N+](=O)[O-] ZINC000023463783 346252473 /nfs/dbraw/zinc/25/24/73/346252473.db2.gz BVGHGMLFZHQFOO-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ccc([N+](=O)[O-])cn2)c1 ZINC000023612704 346253123 /nfs/dbraw/zinc/25/31/23/346253123.db2.gz YHXKUNRNLBCUKS-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN CC(C)SCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000024763334 346256674 /nfs/dbraw/zinc/25/66/74/346256674.db2.gz KZDXZDJUFXAAMN-UHFFFAOYSA-N 0 0 254.311 2.675 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])Nc1cccnc1 ZINC000015435917 346236828 /nfs/dbraw/zinc/23/68/28/346236828.db2.gz ZMVAOGWLRRKAIN-UHFFFAOYSA-N 0 0 289.316 2.721 20 5 CFBDRN CC1CCC(N(C)C(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000025628312 346261126 /nfs/dbraw/zinc/26/11/26/346261126.db2.gz NDTAPALFCFAFGJ-UHFFFAOYSA-N 0 0 279.340 2.584 20 5 CFBDRN Cc1ccsc1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000025997575 346262690 /nfs/dbraw/zinc/26/26/90/346262690.db2.gz YSINTLASHRPBNN-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CN(CC(=O)Nc1ccccc1)Cc1ccccc1[N+](=O)[O-] ZINC000026346201 346265404 /nfs/dbraw/zinc/26/54/04/346265404.db2.gz CKIRVQRHFHXMLZ-UHFFFAOYSA-N 0 0 299.330 2.665 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000027186641 346268563 /nfs/dbraw/zinc/26/85/63/346268563.db2.gz UZFYUFSQWMCURK-SECBINFHSA-N 0 0 290.323 2.588 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC(C)(C)CC(F)(F)F ZINC000596585313 349947975 /nfs/dbraw/zinc/94/79/75/349947975.db2.gz QKSRPIWNTYQSRS-UHFFFAOYSA-N 0 0 280.250 2.719 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000027915804 346274235 /nfs/dbraw/zinc/27/42/35/346274235.db2.gz COUHYSMGXYALAP-PELKAZGASA-N 0 0 298.726 2.546 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCCCC1CCCC1 ZINC000029180833 346279883 /nfs/dbraw/zinc/27/98/83/346279883.db2.gz WUTKNDIEQQAXTN-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000032383601 346302982 /nfs/dbraw/zinc/30/29/82/346302982.db2.gz ZEDKTHGRROUOGM-RYUDHWBXSA-N 0 0 291.351 2.559 20 5 CFBDRN CCC/C=C/c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000596595829 349951426 /nfs/dbraw/zinc/95/14/26/349951426.db2.gz GTFKCCUEBDOHBX-SNAWJCMRSA-N 0 0 274.280 2.777 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000075649535 347090103 /nfs/dbraw/zinc/09/01/03/347090103.db2.gz UETBZMYNJRTAAL-MWLCHTKSSA-N 0 0 265.313 2.622 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000041477682 346352754 /nfs/dbraw/zinc/35/27/54/346352754.db2.gz ITFFZJQZIUSNDU-JTQLQIEISA-N 0 0 250.298 2.855 20 5 CFBDRN CN(C)c1ccc(CNc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000042098513 346360044 /nfs/dbraw/zinc/36/00/44/346360044.db2.gz IHZJPOAPQWJTHO-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN CNc1ccc(Nc2ccc(C(C)=O)cc2[N+](=O)[O-])cn1 ZINC000042739682 346370284 /nfs/dbraw/zinc/37/02/84/346370284.db2.gz PPMVRXPWKRGWAO-UHFFFAOYSA-N 0 0 286.291 2.978 20 5 CFBDRN CCC[C@H](C)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000043001075 346376949 /nfs/dbraw/zinc/37/69/49/346376949.db2.gz POEVGKSWSVRCDS-NSHDSACASA-N 0 0 279.340 2.925 20 5 CFBDRN Cc1ncsc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000043269286 346381795 /nfs/dbraw/zinc/38/17/95/346381795.db2.gz KBVLDIGMSCQBQK-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(Nc1ccn(-c2ccccc2)n1)c1ccc([N+](=O)[O-])o1 ZINC000042653282 346369174 /nfs/dbraw/zinc/36/91/74/346369174.db2.gz UFDFGSZMUQWCJQ-UHFFFAOYSA-N 0 0 298.258 2.626 20 5 CFBDRN Cc1cc(NCCNc2ccc([N+](=O)[O-])cc2)nc(C)n1 ZINC000044713798 346398033 /nfs/dbraw/zinc/39/80/33/346398033.db2.gz KMCXZDWURBMLHD-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN C[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1cccnc1 ZINC000044918425 346401165 /nfs/dbraw/zinc/40/11/65/346401165.db2.gz OCGVATMLPMFKJR-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000596618866 349957580 /nfs/dbraw/zinc/95/75/80/349957580.db2.gz CRUCJBNEBUQXPX-ZWKOPEQDSA-N 0 0 260.293 2.970 20 5 CFBDRN C[C@H]1CCCC[C@H]1N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000045032755 346402748 /nfs/dbraw/zinc/40/27/48/346402748.db2.gz CCFJQKDSVOIYBO-JOYOIKCWSA-N 0 0 265.313 2.574 20 5 CFBDRN CCNC(=O)c1ccc(N2CCC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000045165443 346404587 /nfs/dbraw/zinc/40/45/87/346404587.db2.gz GXXKGJJIWBZQOH-NSHDSACASA-N 0 0 291.351 2.581 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nc(-c3ccco3)no2)c1 ZINC000044090975 346390266 /nfs/dbraw/zinc/39/02/66/346390266.db2.gz XVVRHNNPAJUZAW-UHFFFAOYSA-N 0 0 287.231 2.817 20 5 CFBDRN O=C(Nc1cccc2c[nH]nc21)c1csc([N+](=O)[O-])c1 ZINC000044464337 346393957 /nfs/dbraw/zinc/39/39/57/346393957.db2.gz ZCVRIVJVCVHYMW-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN O=C(NCc1ccsc1)c1csc([N+](=O)[O-])c1 ZINC000044524632 346394968 /nfs/dbraw/zinc/39/49/68/346394968.db2.gz NRKGVFDGSKCCBR-UHFFFAOYSA-N 0 0 268.319 2.648 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1cc(F)cc(F)c1 ZINC000046136191 346421385 /nfs/dbraw/zinc/42/13/85/346421385.db2.gz AEANLUISBLBKFV-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN C[C@@H](CNc1ccccc1[N+](=O)[O-])N1C[C@@H](C)O[C@@H](C)C1 ZINC000046479147 346427180 /nfs/dbraw/zinc/42/71/80/346427180.db2.gz RSRKMJNYQMMBQN-RWMBFGLXSA-N 0 0 293.367 2.504 20 5 CFBDRN CN(C)c1ccc(CNc2ccc([N+](=O)[O-])cc2)cn1 ZINC000046484111 346427965 /nfs/dbraw/zinc/42/79/65/346427965.db2.gz UDASGJRIBNCTSD-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN O=C(Cn1nccc1[N+](=O)[O-])C12CC3CC(CC(C3)C1)C2 ZINC000047169042 346445968 /nfs/dbraw/zinc/44/59/68/346445968.db2.gz JGCANRNUYYEHTR-UHFFFAOYSA-N 0 0 289.335 2.577 20 5 CFBDRN Cc1ncc(CN(C)C(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000046774482 346434096 /nfs/dbraw/zinc/43/40/96/346434096.db2.gz ZNYMPBWMGAAYTM-UHFFFAOYSA-N 0 0 297.361 2.693 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)Nc1ccccc1 ZINC000048050355 346464855 /nfs/dbraw/zinc/46/48/55/346464855.db2.gz UVWQIHLZWUPQJI-UHFFFAOYSA-N 0 0 271.276 2.917 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000596631919 349963054 /nfs/dbraw/zinc/96/30/54/349963054.db2.gz PUKQHJVFIKNVRH-QWRGUYRKSA-N 0 0 278.308 2.657 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCCCC1 ZINC000048297526 346471210 /nfs/dbraw/zinc/47/12/10/346471210.db2.gz JJBMNMAKEYOJOV-UHFFFAOYSA-N 0 0 273.292 2.702 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000048298475 346471285 /nfs/dbraw/zinc/47/12/85/346471285.db2.gz GZMGAGIZNHPIIB-JTQLQIEISA-N 0 0 287.319 2.948 20 5 CFBDRN CCOc1cccc(CNC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000048377464 346472846 /nfs/dbraw/zinc/47/28/46/346472846.db2.gz QVXBDDKQWHYFBF-UHFFFAOYSA-N 0 0 290.275 2.517 20 5 CFBDRN CC(C)(O)Cc1ccc(-c2ccc([N+](=O)[O-])nc2)cc1 ZINC000596636988 349964400 /nfs/dbraw/zinc/96/44/00/349964400.db2.gz DXYZGVXPEMUKBL-UHFFFAOYSA-N 0 0 272.304 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cn3ccccc3n2)s1 ZINC000048999473 346477884 /nfs/dbraw/zinc/47/78/84/346477884.db2.gz XEAWRFUCLKQTGO-UHFFFAOYSA-N 0 0 274.305 2.916 20 5 CFBDRN CCCCCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049371367 346482196 /nfs/dbraw/zinc/48/21/96/346482196.db2.gz QPMJJHIYZMAOAL-UHFFFAOYSA-N 0 0 265.313 2.584 20 5 CFBDRN COc1ccc(Cl)cc1Cn1c(C)ncc1[N+](=O)[O-] ZINC000049418722 346483178 /nfs/dbraw/zinc/48/31/78/346483178.db2.gz VLRQCYYOXWOKSK-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN CC[C@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])CCO1 ZINC000051677582 346506529 /nfs/dbraw/zinc/50/65/29/346506529.db2.gz CJDLRJXZFFIMMS-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@@H]1c1cccs1 ZINC000051862902 346507149 /nfs/dbraw/zinc/50/71/49/346507149.db2.gz SHTJFADYZFLFSC-LLVKDONJSA-N 0 0 291.332 2.962 20 5 CFBDRN Cc1ccc(C[N@H+](CC(=O)[O-])CC(C)(C)C)cc1[N+](=O)[O-] ZINC000596656575 349970149 /nfs/dbraw/zinc/97/01/49/349970149.db2.gz MJSJXVCMTDLHOV-UHFFFAOYSA-N 0 0 294.351 2.836 20 5 CFBDRN COc1cc(CNc2ncc([N+](=O)[O-])s2)ccc1C ZINC000195510576 232520549 /nfs/dbraw/zinc/52/05/49/232520549.db2.gz BYPIYEQMUTXNDP-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2cccc3c2COCC3)c1 ZINC000596645902 349967060 /nfs/dbraw/zinc/96/70/60/349967060.db2.gz JJXYOYKABMENQI-UHFFFAOYSA-N 0 0 299.326 2.869 20 5 CFBDRN Cc1cnc(-c2cc([N+](=O)[O-])ccc2CCO)c(C)c1 ZINC000596647344 349967874 /nfs/dbraw/zinc/96/78/74/349967874.db2.gz GJPNLXIBRHEHGX-UHFFFAOYSA-N 0 0 272.304 2.808 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2ccccc2C)c1[N+](=O)[O-] ZINC000051225988 346501968 /nfs/dbraw/zinc/50/19/68/346501968.db2.gz VXRYRDVBYOKLAF-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN CCN(Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1)C1CC1 ZINC000052560700 346524717 /nfs/dbraw/zinc/52/47/17/346524717.db2.gz YERFALWWAYZZJR-UHFFFAOYSA-N 0 0 288.307 2.629 20 5 CFBDRN C[C@@H](c1cccs1)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000053315206 346542734 /nfs/dbraw/zinc/54/27/34/346542734.db2.gz YKWBUCILRBCPKA-QMMMGPOBSA-N 0 0 279.321 2.818 20 5 CFBDRN C[C@@H](c1ccc(F)cc1)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000053307594 346542798 /nfs/dbraw/zinc/54/27/98/346542798.db2.gz SDFKYQQRPZZPSL-VIFPVBQESA-N 0 0 291.282 2.895 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCC[C@H](C)CO ZINC000270278375 232539099 /nfs/dbraw/zinc/53/90/99/232539099.db2.gz LPIIPQCUARAXBV-NSHDSACASA-N 0 0 282.340 2.562 20 5 CFBDRN CC(C)N(Cc1ccccn1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000054302021 346564904 /nfs/dbraw/zinc/56/49/04/346564904.db2.gz XCUGPIWUIHDGEK-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000596668031 349971797 /nfs/dbraw/zinc/97/17/97/349971797.db2.gz UKTNUFKHRGBDBZ-NSHDSACASA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1ccc2nc(CNc3ncccc3[N+](=O)[O-])cn2c1 ZINC000054836039 346577648 /nfs/dbraw/zinc/57/76/48/346577648.db2.gz ZIWYILOTKKUETP-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c(C)n1 ZINC000055098346 346582313 /nfs/dbraw/zinc/58/23/13/346582313.db2.gz GIEMSZQCPBPCQE-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=C(Nc1cnc2ccccc2c1)c1ccc([N+](=O)[O-])o1 ZINC000055455373 346589974 /nfs/dbraw/zinc/58/99/74/346589974.db2.gz HHWUILCVWCJENQ-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN C[C@@H](C(=O)NCCc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000270726650 190789953 /nfs/dbraw/zinc/78/99/53/190789953.db2.gz CZXUIRVUUURSHH-NSHDSACASA-N 0 0 278.352 2.936 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cc(F)ccc1F ZINC000055797656 346596907 /nfs/dbraw/zinc/59/69/07/346596907.db2.gz RTMULXMPILKRSS-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN C[C@@H](c1ccco1)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC1 ZINC000056182958 346603871 /nfs/dbraw/zinc/60/38/71/346603871.db2.gz XLYZUURNTZZDIV-VIFPVBQESA-N 0 0 289.291 2.882 20 5 CFBDRN CCCCOCCN(C)Cc1ccccc1[N+](=O)[O-] ZINC000056283600 346605564 /nfs/dbraw/zinc/60/55/64/346605564.db2.gz HHAJBCQVILKIKY-UHFFFAOYSA-N 0 0 266.341 2.843 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)OC(C)C)c1 ZINC000058743524 346649420 /nfs/dbraw/zinc/64/94/20/346649420.db2.gz IVNANNQNLYQGIB-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N(CC(F)(F)F)C1CC1 ZINC000060034123 346659251 /nfs/dbraw/zinc/65/92/51/346659251.db2.gz DMUVKHLYUGOPED-UHFFFAOYSA-N 0 0 288.225 2.762 20 5 CFBDRN CC(=O)c1ccccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000060389836 346664237 /nfs/dbraw/zinc/66/42/37/346664237.db2.gz CLTXYSGHQQIJOM-UHFFFAOYSA-N 0 0 298.298 2.979 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000060500095 346666980 /nfs/dbraw/zinc/66/69/80/346666980.db2.gz KATITBSQHHZUJF-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1cc(-c2ccc(F)cc2)no1 ZINC000057509918 346630603 /nfs/dbraw/zinc/63/06/03/346630603.db2.gz RQTIJROKBALVEL-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000057561568 346631478 /nfs/dbraw/zinc/63/14/78/346631478.db2.gz BSLWASQDQUQFPO-JTQLQIEISA-N 0 0 276.292 2.898 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000277172604 232553993 /nfs/dbraw/zinc/55/39/93/232553993.db2.gz UOOLHNFOZGWPKX-KOLCDFICSA-N 0 0 289.335 2.555 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000063593894 346703821 /nfs/dbraw/zinc/70/38/21/346703821.db2.gz WSGOXMVAGWGABO-SNVBAGLBSA-N 0 0 298.314 2.760 20 5 CFBDRN CNC(=O)c1ccc(N2CCC[C@@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000063859253 346712773 /nfs/dbraw/zinc/71/27/73/346712773.db2.gz VTFYDQMRSGLIMD-GFCCVEGCSA-N 0 0 291.351 2.579 20 5 CFBDRN CCCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000065042347 346728583 /nfs/dbraw/zinc/72/85/83/346728583.db2.gz OTJXKTSOLYNCGR-UHFFFAOYSA-N 0 0 256.327 2.965 20 5 CFBDRN CCCN(CCC)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000061365460 346677627 /nfs/dbraw/zinc/67/76/27/346677627.db2.gz UFOGFQNLFPHCIK-UHFFFAOYSA-N 0 0 280.324 2.622 20 5 CFBDRN C[C@@H]1CCCN(C(=O)COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000062927062 346693064 /nfs/dbraw/zinc/69/30/64/346693064.db2.gz AEWJGKLXUFUBNN-GFCCVEGCSA-N 0 0 292.335 2.622 20 5 CFBDRN CN(C)c1ncccc1CNc1ccsc1[N+](=O)[O-] ZINC000063258471 346698652 /nfs/dbraw/zinc/69/86/52/346698652.db2.gz GCRARXQCZXDXDJ-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccc(-n2ccnc2)cc1 ZINC000066372275 346756356 /nfs/dbraw/zinc/75/63/56/346756356.db2.gz IFLHXJRDDYMEIF-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN COc1ccc(OCc2nc(C)c(C)o2)c([N+](=O)[O-])c1 ZINC000066409001 346758611 /nfs/dbraw/zinc/75/86/11/346758611.db2.gz VUGWZPODXSKCNH-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN CN(C)c1nnc(Sc2ccccc2[N+](=O)[O-])s1 ZINC000066468309 346762955 /nfs/dbraw/zinc/76/29/55/346762955.db2.gz NAKVIKMNOWBGFU-UHFFFAOYSA-N 0 0 282.350 2.664 20 5 CFBDRN Cc1cc(CNc2ccncc2[N+](=O)[O-])cc(C)c1O ZINC000066809369 346780749 /nfs/dbraw/zinc/78/07/49/346780749.db2.gz QGUCNLQALMAYJR-UHFFFAOYSA-N 0 0 273.292 2.924 20 5 CFBDRN Cc1ccn2cc(CNc3ccncc3[N+](=O)[O-])nc2c1 ZINC000066810208 346780873 /nfs/dbraw/zinc/78/08/73/346780873.db2.gz LRAJXABPAQORME-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1nc(NC(=O)NCc2ccccc2[N+](=O)[O-])oc1C ZINC000065801062 346741214 /nfs/dbraw/zinc/74/12/14/346741214.db2.gz AQTDGQKDXPMTNP-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN CCN(C(=O)CNc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000065868669 346743503 /nfs/dbraw/zinc/74/35/03/346743503.db2.gz PQCXNZLSEKJBRJ-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN COc1ccc(CN2C[C@@H](C)S[C@H](C)C2)cc1[N+](=O)[O-] ZINC000075895284 347107532 /nfs/dbraw/zinc/10/75/32/347107532.db2.gz PCHOXWGBHSJKDZ-GHMZBOCLSA-N 0 0 296.392 2.929 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(F)c(F)c1 ZINC000067070824 346790995 /nfs/dbraw/zinc/79/09/95/346790995.db2.gz QUVPIIDIUWMUBY-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000077136112 347169229 /nfs/dbraw/zinc/16/92/29/347169229.db2.gz PUSRQFTYPZDPFE-ZJUUUORDSA-N 0 0 265.313 2.622 20 5 CFBDRN CN(CCCNc1ccccc1[N+](=O)[O-])CC(F)(F)F ZINC000076774571 347152973 /nfs/dbraw/zinc/15/29/73/347152973.db2.gz BGYJXRCYWDMPQH-UHFFFAOYSA-N 0 0 291.273 2.891 20 5 CFBDRN C[C@@H]1CN(c2c([N+](=O)[O-])ncn2C)c2ccccc21 ZINC000076799344 347155229 /nfs/dbraw/zinc/15/52/29/347155229.db2.gz UHEFCVXDFZCDNC-SECBINFHSA-N 0 0 258.281 2.584 20 5 CFBDRN Cc1nccc(CNc2ccc([N+](=O)[O-])c3cccnc23)n1 ZINC000076872558 347157808 /nfs/dbraw/zinc/15/78/08/347157808.db2.gz ODIOLVJOZPSLCU-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCCC1(C)C ZINC000077382643 347190341 /nfs/dbraw/zinc/19/03/41/347190341.db2.gz FUUHHMYJTLXGRS-UHFFFAOYSA-N 0 0 291.351 2.716 20 5 CFBDRN COc1cc(N2CCC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000301340210 136696775 /nfs/dbraw/zinc/69/67/75/136696775.db2.gz FQBFKNAHWOIZAB-JTQLQIEISA-N 0 0 250.298 2.840 20 5 CFBDRN O=C(NCC1=CCCCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000295775333 232618184 /nfs/dbraw/zinc/61/81/84/232618184.db2.gz KKMWLGJVPRSLJK-UHFFFAOYSA-N 0 0 278.283 2.964 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000077229103 347178627 /nfs/dbraw/zinc/17/86/27/347178627.db2.gz CNKXOWHJLSVKSY-DTWKUNHWSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cc1F)C[C@H](C)O ZINC000077228774 347178675 /nfs/dbraw/zinc/17/86/75/347178675.db2.gz ZAWVVIGCMKEGQP-BDAKNGLRSA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)NCCC(C)(C)C ZINC000077244381 347180791 /nfs/dbraw/zinc/18/07/91/347180791.db2.gz ZWPFINZVELDQJL-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN O=C(NCCCC1CC1)NCc1cccc([N+](=O)[O-])c1 ZINC000077350213 347188390 /nfs/dbraw/zinc/18/83/90/347188390.db2.gz LHFNZBUQLQZJPX-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN CC(=O)c1ccc(Oc2c([N+](=O)[O-])ncn2C)c(C)c1 ZINC000077356552 347189434 /nfs/dbraw/zinc/18/94/34/347189434.db2.gz CLKBOLGVBOVYIT-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN COc1cc(N[C@@H]2CCCC[C@H]2OC)ccc1[N+](=O)[O-] ZINC000078427071 347252194 /nfs/dbraw/zinc/25/21/94/347252194.db2.gz IVZLXURNXAXBCZ-DGCLKSJQSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cccc(O[C@H](C)CNc2c([N+](=O)[O-])ncn2C)c1 ZINC000078424462 347252211 /nfs/dbraw/zinc/25/22/11/347252211.db2.gz JJSTWIAVBBLMHW-LLVKDONJSA-N 0 0 290.323 2.516 20 5 CFBDRN O=[N+]([O-])c1cccnc1Oc1ccc(-n2cccn2)cc1 ZINC000077985184 347222634 /nfs/dbraw/zinc/22/26/34/347222634.db2.gz XYUXLJVRBNHFAP-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@@H]1CCC[C@H]1O ZINC000077993666 347224405 /nfs/dbraw/zinc/22/44/05/347224405.db2.gz OKUPYZWVEQXDSS-MWLCHTKSSA-N 0 0 290.241 2.939 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000078027513 347225815 /nfs/dbraw/zinc/22/58/15/347225815.db2.gz KKVDTYFPXMJYSE-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H](C)CC(C)C)c([N+](=O)[O-])c1 ZINC000128479390 187372779 /nfs/dbraw/zinc/37/27/79/187372779.db2.gz IPMLMFMXWNEHJX-JTQLQIEISA-N 0 0 279.340 2.801 20 5 CFBDRN CC(C)(CO)CCCNc1ccc([N+](=O)[O-])cc1F ZINC000078303568 347239404 /nfs/dbraw/zinc/23/94/04/347239404.db2.gz NACQCOHKSPURLT-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN Cc1c(OCC(=O)N2CCCCCC2)cccc1[N+](=O)[O-] ZINC000078383836 347249079 /nfs/dbraw/zinc/24/90/79/347249079.db2.gz CWDAVERCYCJLQX-UHFFFAOYSA-N 0 0 292.335 2.685 20 5 CFBDRN CCC[C@H]1C[C@@H]1C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000079320572 347298589 /nfs/dbraw/zinc/29/85/89/347298589.db2.gz VGGCSUAHJOAXOG-FZMZJTMJSA-N 0 0 291.351 2.559 20 5 CFBDRN CCc1noc(CSCc2cccc([N+](=O)[O-])c2)n1 ZINC000079329343 347299049 /nfs/dbraw/zinc/29/90/49/347299049.db2.gz UXCLKHPFWDGDKO-UHFFFAOYSA-N 0 0 279.321 2.974 20 5 CFBDRN O=C(NC1CC1)c1ccc(NCCC2CC2)c([N+](=O)[O-])c1 ZINC000078499032 347257962 /nfs/dbraw/zinc/25/79/62/347257962.db2.gz CDODYLWRSJKJBE-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN CC[C@H](Nc1ncccc1[N+](=O)[O-])c1c(C)nn(C)c1C ZINC000078520316 347259753 /nfs/dbraw/zinc/25/97/53/347259753.db2.gz OFVJWRWLVKLACM-NSHDSACASA-N 0 0 289.339 2.903 20 5 CFBDRN C[C@H](CNc1c([N+](=O)[O-])ncn1C)c1ccc(F)cc1F ZINC000078579051 347262436 /nfs/dbraw/zinc/26/24/36/347262436.db2.gz WIRIZNYIUXTQOI-MRVPVSSYSA-N 0 0 296.277 2.822 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc([N+](=O)[O-])cn2)CCS1 ZINC000078577816 347262568 /nfs/dbraw/zinc/26/25/68/347262568.db2.gz OWGAGWZFAVESIF-NSHDSACASA-N 0 0 267.354 2.568 20 5 CFBDRN Cc1cnc(NCCN(C)c2ccccc2)c([N+](=O)[O-])c1 ZINC000078627567 347265182 /nfs/dbraw/zinc/26/51/82/347265182.db2.gz ZWXSFPGPJUNLKP-UHFFFAOYSA-N 0 0 286.335 2.847 20 5 CFBDRN Cc1cnc(N2CCc3ccccc32)c([N+](=O)[O-])c1 ZINC000078624462 347265481 /nfs/dbraw/zinc/26/54/81/347265481.db2.gz PVOJGUMTZCCQNK-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN CCCCCNC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000078644725 347267507 /nfs/dbraw/zinc/26/75/07/347267507.db2.gz PZGNBFOSMFKZDG-UHFFFAOYSA-N 0 0 294.351 2.979 20 5 CFBDRN Cc1cnc(N2CCN(C)c3ccccc3C2)c([N+](=O)[O-])c1 ZINC000078658870 347268153 /nfs/dbraw/zinc/26/81/53/347268153.db2.gz KJXDPQCFXNROOC-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN C[C@H](Sc1nncn1C)c1ccccc1[N+](=O)[O-] ZINC000078928053 347279760 /nfs/dbraw/zinc/27/97/60/347279760.db2.gz QADRYEXOPPRRGW-QMMMGPOBSA-N 0 0 264.310 2.577 20 5 CFBDRN C[C@H](Nc1ncnc2ccc([N+](=O)[O-])cc21)[C@@H]1CCCO1 ZINC000080109289 347344209 /nfs/dbraw/zinc/34/42/09/347344209.db2.gz GYMFJNGWFLEQSM-ZANVPECISA-N 0 0 288.307 2.517 20 5 CFBDRN C[S@](=O)c1ccc(CNc2ccc([N+](=O)[O-])cc2)cc1 ZINC000080245930 347351043 /nfs/dbraw/zinc/35/10/43/347351043.db2.gz JORCQRVVKFYTSF-HXUWFJFHSA-N 0 0 290.344 2.944 20 5 CFBDRN CCOCc1cccc(NC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000102274859 185773537 /nfs/dbraw/zinc/77/35/37/185773537.db2.gz RKOYTWQFGRSCEJ-UHFFFAOYSA-N 0 0 290.275 2.977 20 5 CFBDRN Cc1noc(C)c1[C@H](C)Nc1ccc([N+](=O)[O-])nc1 ZINC000079617900 347317143 /nfs/dbraw/zinc/31/71/43/347317143.db2.gz LOBJIWVJACCNIC-ZETCQYMHSA-N 0 0 262.269 2.768 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000079635677 347318050 /nfs/dbraw/zinc/31/80/50/347318050.db2.gz VWSOOIVRMQJUDP-HTQZYQBOSA-N 0 0 290.241 2.970 20 5 CFBDRN O=C(NCC(C1CC1)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000081242339 347407954 /nfs/dbraw/zinc/40/79/54/347407954.db2.gz LBIHRCZVEMCDRB-UHFFFAOYSA-N 0 0 274.320 2.761 20 5 CFBDRN Cc1cc(N2CCOC[C@H]2C)c(Cl)cc1[N+](=O)[O-] ZINC000080553722 347365221 /nfs/dbraw/zinc/36/52/21/347365221.db2.gz BOBFBAPTJQUIIE-SECBINFHSA-N 0 0 270.716 2.782 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080638531 347371022 /nfs/dbraw/zinc/37/10/22/347371022.db2.gz AEPTWCWIMFOQPB-XHDPSFHLSA-N 0 0 296.367 2.950 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080638535 347371086 /nfs/dbraw/zinc/37/10/86/347371086.db2.gz AEPTWCWIMFOQPB-ABAIWWIYSA-N 0 0 296.367 2.950 20 5 CFBDRN Cc1ccc(NC[C@](C)(O)c2ccco2)c([N+](=O)[O-])c1 ZINC000080649775 347371634 /nfs/dbraw/zinc/37/16/34/347371634.db2.gz NJBJMOPZCFMDHO-AWEZNQCLSA-N 0 0 276.292 2.816 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](O)C(C)(C)C ZINC000080638648 347371731 /nfs/dbraw/zinc/37/17/31/347371731.db2.gz KFOMJJWJVIBHNC-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN CCC1(O)CCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000080651511 347372792 /nfs/dbraw/zinc/37/27/92/347372792.db2.gz RWCWTPWVPIJMDV-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN COCc1nc(C)cc(Oc2cccc([N+](=O)[O-])c2C)n1 ZINC000080676516 347374101 /nfs/dbraw/zinc/37/41/01/347374101.db2.gz ASXFKKYUECVHFP-UHFFFAOYSA-N 0 0 289.291 2.940 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000080687775 347375527 /nfs/dbraw/zinc/37/55/27/347375527.db2.gz TTWBRYFJRUWZBA-DTWKUNHWSA-N 0 0 254.286 2.742 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)[C@H](C)c1ccccc1 ZINC000080688010 347375735 /nfs/dbraw/zinc/37/57/35/347375735.db2.gz GMAMFBICZWPDJY-WDEREUQCSA-N 0 0 274.324 2.932 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2nccc(C)c2[N+](=O)[O-])C1(C)C ZINC000080699123 347376836 /nfs/dbraw/zinc/37/68/36/347376836.db2.gz IGCHIGISXAMOEG-YGRLFVJLSA-N 0 0 279.340 2.914 20 5 CFBDRN CC[C@@H](CNc1ccc([N+](=O)[O-])cc1C(C)=O)OC ZINC000290777866 197814898 /nfs/dbraw/zinc/81/48/98/197814898.db2.gz WDVHWOSCVMQKJV-NSHDSACASA-N 0 0 266.297 2.634 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)COc1ccc(F)cc1[N+](=O)[O-] ZINC000102600106 185782512 /nfs/dbraw/zinc/78/25/12/185782512.db2.gz DKEVFIWQGDPUCW-SNVBAGLBSA-N 0 0 296.298 2.514 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)S[C@@H](C)C1 ZINC000080960430 347389673 /nfs/dbraw/zinc/38/96/73/347389673.db2.gz DXOHHHJRFHOGTI-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2ccc[nH]2)c1 ZINC000080974752 347391589 /nfs/dbraw/zinc/39/15/89/347391589.db2.gz WOASSSTYAZXMMP-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN CSCCCNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000081590066 347428342 /nfs/dbraw/zinc/42/83/42/347428342.db2.gz DVKCJPYRCJFUQQ-UHFFFAOYSA-N 0 0 278.337 2.703 20 5 CFBDRN CCCc1nc(Cn2cc([N+](=O)[O-])c(C)cc2=O)cs1 ZINC000128491548 187374462 /nfs/dbraw/zinc/37/44/62/187374462.db2.gz OHFSGJSFUVYFGJ-UHFFFAOYSA-N 0 0 293.348 2.522 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000086703254 347488461 /nfs/dbraw/zinc/48/84/61/347488461.db2.gz FOYDQDWAHCEYLY-LBPRGKRZSA-N 0 0 256.277 2.817 20 5 CFBDRN Cc1c(CS[C@@H](C)CCO)cccc1[N+](=O)[O-] ZINC000088057297 347499260 /nfs/dbraw/zinc/49/92/60/347499260.db2.gz FVFCDCRFYOMEFS-VIFPVBQESA-N 0 0 255.339 2.907 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](CCO)C2)c1 ZINC000084726774 347470369 /nfs/dbraw/zinc/47/03/69/347470369.db2.gz PVHWCFHLLCGOGR-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccnc2F)c([N+](=O)[O-])c1 ZINC000084927823 347476476 /nfs/dbraw/zinc/47/64/76/347476476.db2.gz PJIIETQKOFOZBL-UHFFFAOYSA-N 0 0 279.183 2.829 20 5 CFBDRN Cc1cccc(C(N)=O)c1NCc1csc([N+](=O)[O-])c1 ZINC000085279676 347478736 /nfs/dbraw/zinc/47/87/36/347478736.db2.gz OAGIAOCDLGKCFP-UHFFFAOYSA-N 0 0 291.332 2.676 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCn2cnnc2C(C)C)c1 ZINC000088726579 347508489 /nfs/dbraw/zinc/50/84/89/347508489.db2.gz AYFZUWDOQJUPME-UHFFFAOYSA-N 0 0 289.339 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)C[C@H](C)O)c1 ZINC000088725151 347508632 /nfs/dbraw/zinc/50/86/32/347508632.db2.gz NDAPKZBQZNZJBD-QWRGUYRKSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CC(=O)N(C(C)(C)C)C2)c1 ZINC000088726688 347508659 /nfs/dbraw/zinc/50/86/59/347508659.db2.gz OZIGJAUGPJYDST-LLVKDONJSA-N 0 0 291.351 2.715 20 5 CFBDRN C[C@H](CO)CNc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090072917 347539825 /nfs/dbraw/zinc/53/98/25/347539825.db2.gz ZMZZHPAJEVSGBM-NSHDSACASA-N 0 0 287.319 2.697 20 5 CFBDRN Cc1csc(CCNc2ncc(C)cc2[N+](=O)[O-])n1 ZINC000090692339 347554662 /nfs/dbraw/zinc/55/46/62/347554662.db2.gz HSHPNYOMUPMYBE-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000091013506 347566371 /nfs/dbraw/zinc/56/63/71/347566371.db2.gz IJELFGPORWQRIL-VIFPVBQESA-N 0 0 268.313 2.565 20 5 CFBDRN CC[C@@H](CSC)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000091023113 347567060 /nfs/dbraw/zinc/56/70/60/347567060.db2.gz VWAWDBYPGLBVSG-VIFPVBQESA-N 0 0 255.343 2.568 20 5 CFBDRN COc1cc(NC[C@H](C)Cn2cccn2)ccc1[N+](=O)[O-] ZINC000091452915 347585951 /nfs/dbraw/zinc/58/59/51/347585951.db2.gz WCYSVBNSFFOOKK-NSHDSACASA-N 0 0 290.323 2.548 20 5 CFBDRN COc1cc(N(C)Cc2ccccn2)ccc1[N+](=O)[O-] ZINC000091456347 347587578 /nfs/dbraw/zinc/58/75/78/347587578.db2.gz AKEMVJDHAPOCNH-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN CNc1nc(CNc2ccc([N+](=O)[O-])cc2)cs1 ZINC000091484706 347590488 /nfs/dbraw/zinc/59/04/88/347590488.db2.gz XIVPKYWLYLAYBU-UHFFFAOYSA-N 0 0 264.310 2.705 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1)C1CCC(CO)CC1 ZINC000091485442 347590789 /nfs/dbraw/zinc/59/07/89/347590789.db2.gz NBCJFYPGQMFNBB-UHFFFAOYSA-N 0 0 264.325 2.582 20 5 CFBDRN CCC(CC)(CNc1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000091504326 347591382 /nfs/dbraw/zinc/59/13/82/347591382.db2.gz DEWWUFVOWICWMK-UHFFFAOYSA-N 0 0 280.324 2.986 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Sc1ccccc1O ZINC000091549620 347592215 /nfs/dbraw/zinc/59/22/15/347592215.db2.gz JIKYTUZCQRXTNV-UHFFFAOYSA-N 0 0 279.321 2.976 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cn3cccc(C)c3n2)n1 ZINC000091628212 347594113 /nfs/dbraw/zinc/59/41/13/347594113.db2.gz GVIMJBQVWXTFCQ-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3cc(F)ccc3C2)cn1 ZINC000091673924 347594980 /nfs/dbraw/zinc/59/49/80/347594980.db2.gz RVKZGERBGNNUGP-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN CCOc1cc(Sc2nccn2C)ccc1[N+](=O)[O-] ZINC000092581871 347632771 /nfs/dbraw/zinc/63/27/71/347632771.db2.gz BAEXNYBZPKROEE-UHFFFAOYSA-N 0 0 279.321 2.878 20 5 CFBDRN CCNc1nnc(Sc2ncc([N+](=O)[O-])cc2C)s1 ZINC000092584368 347633452 /nfs/dbraw/zinc/63/34/52/347633452.db2.gz HLUSZPJUAJDMBY-UHFFFAOYSA-N 0 0 297.365 2.733 20 5 CFBDRN CCOc1cc(S[C@H](C)C(=O)OC)ccc1[N+](=O)[O-] ZINC000092585012 347633840 /nfs/dbraw/zinc/63/38/40/347633840.db2.gz CGBBLJIUENAALR-MRVPVSSYSA-N 0 0 285.321 2.647 20 5 CFBDRN CCOC(=O)C[C@@H](C)Sc1ncc([N+](=O)[O-])cc1C ZINC000092654987 347637381 /nfs/dbraw/zinc/63/73/81/347637381.db2.gz DNMITJNTTWJENQ-SECBINFHSA-N 0 0 284.337 2.732 20 5 CFBDRN Cc1cc(NC[C@H](C)C(=O)OC(C)C)ccc1[N+](=O)[O-] ZINC000092658265 347637840 /nfs/dbraw/zinc/63/78/40/347637840.db2.gz DFZVBNHTDVGUCJ-NSHDSACASA-N 0 0 280.324 2.903 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCn2cccc2)c1 ZINC000092667305 347639127 /nfs/dbraw/zinc/63/91/27/347639127.db2.gz KYUPVUZVRKKJQJ-UHFFFAOYSA-N 0 0 279.271 2.656 20 5 CFBDRN C[C@@H]1[C@@H](C)CCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000092737157 347643454 /nfs/dbraw/zinc/64/34/54/347643454.db2.gz ZNGOZXWXLXSXPK-WDEREUQCSA-N 0 0 264.325 2.921 20 5 CFBDRN CCc1nn(C)c(N(C)Cc2cccc(F)c2)c1[N+](=O)[O-] ZINC000092826822 347648402 /nfs/dbraw/zinc/64/84/02/347648402.db2.gz NWEBTTZDSDUTIY-UHFFFAOYSA-N 0 0 292.314 2.666 20 5 CFBDRN CCc1nn(C)cc1CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000092856062 347648838 /nfs/dbraw/zinc/64/88/38/347648838.db2.gz YZCACIGCTJNCOY-NSHDSACASA-N 0 0 288.351 2.742 20 5 CFBDRN CCc1nn(C)c(N2CCCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000094167596 347693884 /nfs/dbraw/zinc/69/38/84/347693884.db2.gz IOBXAVSAPBKLNU-UHFFFAOYSA-N 0 0 280.372 2.907 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC[C@H]2CCCO2)c1 ZINC000096470594 347702064 /nfs/dbraw/zinc/70/20/64/347702064.db2.gz QFAJEXFPTCSDKN-SNVBAGLBSA-N 0 0 266.297 2.584 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH+]1CCc2ccccc2CC1 ZINC000093385284 347671807 /nfs/dbraw/zinc/67/18/07/347671807.db2.gz UGZBCHKSBKXTAN-UHFFFAOYSA-N 0 0 298.342 2.901 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000114101472 347770519 /nfs/dbraw/zinc/77/05/19/347770519.db2.gz CTNLKOASUAFGDS-JOYOIKCWSA-N 0 0 260.293 2.530 20 5 CFBDRN COc1cc(NCC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000111047511 347751644 /nfs/dbraw/zinc/75/16/44/347751644.db2.gz ANLSNQZZMDBXPF-LLVKDONJSA-N 0 0 266.297 2.584 20 5 CFBDRN CCOc1cc(N2CCC[C@H](CCO)C2)ccc1[N+](=O)[O-] ZINC000111053289 347751994 /nfs/dbraw/zinc/75/19/94/347751994.db2.gz YXBADGYSNDMLPM-GFCCVEGCSA-N 0 0 294.351 2.592 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)C(C)(C)O ZINC000111254220 347752916 /nfs/dbraw/zinc/75/29/16/347752916.db2.gz HMSDWXGYSGLHFI-SSDOTTSWSA-N 0 0 258.705 2.820 20 5 CFBDRN Cc1cccc(C(=O)N(C)c2nccs2)c1[N+](=O)[O-] ZINC000120312654 347806368 /nfs/dbraw/zinc/80/63/68/347806368.db2.gz NIBUWSKNQXKVNT-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN C[C@@H](NC(=O)NCCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000121606212 347812662 /nfs/dbraw/zinc/81/26/62/347812662.db2.gz LIVIAFHWUCHWON-LLVKDONJSA-N 0 0 291.351 2.625 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)NC1CC=CC1 ZINC000125945552 347831135 /nfs/dbraw/zinc/83/11/35/347831135.db2.gz DUCLDBRDRJYEOT-UHFFFAOYSA-N 0 0 289.335 2.622 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000122614471 347816138 /nfs/dbraw/zinc/81/61/38/347816138.db2.gz IUNOLOBIRFWLFD-IINYFYTJSA-N 0 0 288.307 2.846 20 5 CFBDRN CNc1ccc(C(=O)N[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000125669069 347828952 /nfs/dbraw/zinc/82/89/52/347828952.db2.gz NZXKRRFSDVOMEX-SECBINFHSA-N 0 0 277.324 2.555 20 5 CFBDRN COc1ccnc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)c1 ZINC000115978482 347783332 /nfs/dbraw/zinc/78/33/32/347783332.db2.gz SNGKDMWRNAVRCI-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCc2ccccc2CC1 ZINC000116070005 347784317 /nfs/dbraw/zinc/78/43/17/347784317.db2.gz YSIRYDBMHRVIGB-UHFFFAOYSA-N 0 0 296.326 2.836 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])NCCCC1CC1 ZINC000128075652 347847413 /nfs/dbraw/zinc/84/74/13/347847413.db2.gz IBMWIWBITVYVDJ-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN Cc1cc(C(=O)NCCCC2CC2)cc([N+](=O)[O-])c1 ZINC000128148441 347848029 /nfs/dbraw/zinc/84/80/29/347848029.db2.gz LHYITSDQAUCOMV-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN C[C@H](NC(=O)CSc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000129942314 347865799 /nfs/dbraw/zinc/86/57/99/347865799.db2.gz QOBCKHJUVPRLEP-JTQLQIEISA-N 0 0 294.376 2.992 20 5 CFBDRN O=C([C@@H]1CCC[C@@H]2C[C@@H]21)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000596712761 349976950 /nfs/dbraw/zinc/97/69/50/349976950.db2.gz INNPBUUDVHODDQ-BNOWGMLFSA-N 0 0 286.331 2.920 20 5 CFBDRN CN(CC(F)(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000133901486 347894078 /nfs/dbraw/zinc/89/40/78/347894078.db2.gz ICKZABAXRGPMAY-UHFFFAOYSA-N 0 0 277.202 2.621 20 5 CFBDRN CCCOCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000086624878 179312459 /nfs/dbraw/zinc/31/24/59/179312459.db2.gz JKMSHEWPROLYDA-UHFFFAOYSA-N 0 0 266.297 2.577 20 5 CFBDRN COC(=O)C1CCC(Nc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000134599271 347900405 /nfs/dbraw/zinc/90/04/05/347900405.db2.gz RDNHCKAHLQZHBK-UHFFFAOYSA-N 0 0 296.298 2.878 20 5 CFBDRN O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000103931104 185863768 /nfs/dbraw/zinc/86/37/68/185863768.db2.gz HNBBSRHKFSYSDS-UHFFFAOYSA-N 0 0 286.291 2.519 20 5 CFBDRN CCC[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000138943686 347917869 /nfs/dbraw/zinc/91/78/69/347917869.db2.gz TUWUBLABJBFBIZ-LLVKDONJSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1ccc(Cl)cc1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000140244786 347924910 /nfs/dbraw/zinc/92/49/10/347924910.db2.gz ZTICUTLRFWBIGU-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN O=[N+]([O-])c1cc(-c2ccccc2)ccc1OCCO ZINC000145248302 347943020 /nfs/dbraw/zinc/94/30/20/347943020.db2.gz SMLQOHYQMRFYMD-UHFFFAOYSA-N 0 0 259.261 2.633 20 5 CFBDRN Cc1ccc(S(=O)(=O)NC2CCCCC2)c([N+](=O)[O-])c1 ZINC000147195648 347947431 /nfs/dbraw/zinc/94/74/31/347947431.db2.gz WYQYRDGHILXCNN-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC1CC=CC1 ZINC000156002147 347990514 /nfs/dbraw/zinc/99/05/14/347990514.db2.gz VIQJUHLCHVMKBL-UHFFFAOYSA-N 0 0 261.281 2.743 20 5 CFBDRN C[C@@H]1CC[C@@H](CCC(=O)N(C)c2cccc([N+](=O)[O-])c2)O1 ZINC000158273881 348006322 /nfs/dbraw/zinc/00/63/22/348006322.db2.gz OGLLOVCHCWJLLI-RISCZKNCSA-N 0 0 292.335 2.905 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1cccc(F)c1 ZINC000159314611 348012255 /nfs/dbraw/zinc/01/22/55/348012255.db2.gz OATQSOIHTXPGKF-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN COCc1cccc(CNc2cccnc2[N+](=O)[O-])c1 ZINC000159334659 348012547 /nfs/dbraw/zinc/01/25/47/348012547.db2.gz GVRBDVMGAOAENI-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1CCC[C@@](C)(C(=O)[O-])C1 ZINC000086783774 179331961 /nfs/dbraw/zinc/33/19/61/179331961.db2.gz PKLGDKDXBIIRBK-XHDPSFHLSA-N 0 0 292.335 2.843 20 5 CFBDRN Cc1ccc(NC(=O)NCc2cccc([N+](=O)[O-])c2)cn1 ZINC000161337851 348023978 /nfs/dbraw/zinc/02/39/78/348023978.db2.gz OGLBIKDVSJDFDX-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1ncsc1CN(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000171693974 348079508 /nfs/dbraw/zinc/07/95/08/348079508.db2.gz DGNOAQLEIZPCBQ-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CCOC1CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000171232770 348072092 /nfs/dbraw/zinc/07/20/92/348072092.db2.gz QZPAJKVEUIIEMF-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cc(F)ccc2C)c1[N+](=O)[O-] ZINC000171367397 348075554 /nfs/dbraw/zinc/07/55/54/348075554.db2.gz MULHEAWTAHRAII-UHFFFAOYSA-N 0 0 292.270 2.580 20 5 CFBDRN Cc1nccc(NC(=O)c2ccc([N+](=O)[O-])o2)c1Cl ZINC000163916969 348036155 /nfs/dbraw/zinc/03/61/55/348036155.db2.gz IBEDNFLBQXCKCN-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN C[C@@](CO)(NCc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000169244343 348050905 /nfs/dbraw/zinc/05/09/05/348050905.db2.gz RWPBBKSCRGFFQI-INIZCTEOSA-N 0 0 286.331 2.592 20 5 CFBDRN CC(C)N(C(=O)c1csc([N+](=O)[O-])c1)C(C)C ZINC000171866064 348082394 /nfs/dbraw/zinc/08/23/94/348082394.db2.gz AVZBDDJBYFEQAU-UHFFFAOYSA-N 0 0 256.327 2.915 20 5 CFBDRN CC[N@@H+]1CCC[C@@H](Nc2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000223224493 348174410 /nfs/dbraw/zinc/17/44/10/348174410.db2.gz MHCUYRJFFGMMJT-SNVBAGLBSA-N 0 0 297.330 2.639 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000226774576 348184628 /nfs/dbraw/zinc/18/46/28/348184628.db2.gz ZDUNAXUMPLEQIB-RXMQYKEDSA-N 0 0 268.298 2.829 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCC1(C)COC1 ZINC000227533086 348187440 /nfs/dbraw/zinc/18/74/40/348187440.db2.gz SLNALAQWCRRFNI-UHFFFAOYSA-N 0 0 250.298 2.660 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000227819793 348190787 /nfs/dbraw/zinc/19/07/87/348190787.db2.gz DBKLJWHYCRFZNM-MNOVXSKESA-N 0 0 265.313 2.524 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CCC[C@](C)(C(=O)[O-])C1 ZINC000227832010 348191157 /nfs/dbraw/zinc/19/11/57/348191157.db2.gz SNRGZBQQLIUHGV-NHYWBVRUSA-N 0 0 292.335 2.843 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(-c2nc([C@H]3CCC[NH2+]3)no2)c1 ZINC000228560362 348195405 /nfs/dbraw/zinc/19/54/05/348195405.db2.gz CZHOCBDLCHJTSP-SNVBAGLBSA-N 0 0 294.698 2.723 20 5 CFBDRN Cc1ccc(NCC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000230102420 348204470 /nfs/dbraw/zinc/20/44/70/348204470.db2.gz NIEOXXHMZUVLFB-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2C)nc(C)n1 ZINC000231869202 348208866 /nfs/dbraw/zinc/20/88/66/348208866.db2.gz KERXMOSBQSMGON-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OC[C@@H]1CCCCO1 ZINC000087026411 179381846 /nfs/dbraw/zinc/38/18/46/179381846.db2.gz XYHNIKYTINCGMR-AWEZNQCLSA-N 0 0 293.319 2.640 20 5 CFBDRN CC(C)[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273340293 192118637 /nfs/dbraw/zinc/11/86/37/192118637.db2.gz AENHDLGQOJQYHD-QMMMGPOBSA-N 0 0 269.276 2.900 20 5 CFBDRN COc1cc(NCC2(O)CCCCC2)ccc1[N+](=O)[O-] ZINC000087030063 179382801 /nfs/dbraw/zinc/38/28/01/179382801.db2.gz NUBQUMONTKRVND-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN CN(C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000265283623 348320669 /nfs/dbraw/zinc/32/06/69/348320669.db2.gz PJJHKUCEMHWEOK-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN CC(C)COC[C@@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000128863019 187394667 /nfs/dbraw/zinc/39/46/67/187394667.db2.gz RFGFDOQBTYNMRN-CQSZACIVSA-N 0 0 299.392 2.862 20 5 CFBDRN Cc1cnc(NCCc2cccc(C)n2)c([N+](=O)[O-])c1 ZINC000280496732 348341097 /nfs/dbraw/zinc/34/10/97/348341097.db2.gz OZCWJBLMMGJJOO-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccccc2)N(c2ncc([N+](=O)[O-])cn2)C1 ZINC000286205604 348346631 /nfs/dbraw/zinc/34/66/31/348346631.db2.gz AZQAFRIDIOZNGI-RISCZKNCSA-N 0 0 284.319 2.972 20 5 CFBDRN CCOC(=O)c1cc(N2CCC[C@@H]2CF)ccc1[N+](=O)[O-] ZINC000292702242 348358809 /nfs/dbraw/zinc/35/88/09/348358809.db2.gz GCBRCHNSRCCOEJ-LLVKDONJSA-N 0 0 296.298 2.710 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H]1CF ZINC000292809903 348359424 /nfs/dbraw/zinc/35/94/24/348359424.db2.gz OAOJKNKCQUUOOA-MRVPVSSYSA-N 0 0 259.668 2.582 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC(F)(F)C(F)F ZINC000310614676 348409760 /nfs/dbraw/zinc/40/97/60/348409760.db2.gz BDINCQNKQYWZSX-UHFFFAOYSA-N 0 0 287.600 2.956 20 5 CFBDRN CCn1ccc(N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000311385525 348412573 /nfs/dbraw/zinc/41/25/73/348412573.db2.gz OTTVJMBXXMGERQ-SNVBAGLBSA-N 0 0 260.297 2.984 20 5 CFBDRN Nc1ccc(NCc2ccc(Cl)cc2)nc1[N+](=O)[O-] ZINC000311504600 348413201 /nfs/dbraw/zinc/41/32/01/348413201.db2.gz FXLZOEQAGHJUGH-UHFFFAOYSA-N 0 0 278.699 2.838 20 5 CFBDRN C[C@@H](C[S@](C)=O)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000311676600 348413703 /nfs/dbraw/zinc/41/37/03/348413703.db2.gz MNDMPBOVMBJOCA-IIYDVTGLSA-N 0 0 292.307 2.711 20 5 CFBDRN CC1(C)COC[C@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000313011921 348421243 /nfs/dbraw/zinc/42/12/43/348421243.db2.gz AERAUNUUPOSNOC-CYBMUJFWSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1nc(C)c(CNCc2cccc([N+](=O)[O-])c2C)o1 ZINC000312355978 348418044 /nfs/dbraw/zinc/41/80/44/348418044.db2.gz ADDGTBXDRAOBTG-UHFFFAOYSA-N 0 0 275.308 2.798 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCOC2CCCC2)c(F)c1 ZINC000413092495 233016578 /nfs/dbraw/zinc/01/65/78/233016578.db2.gz LHWXTOWKANZZEL-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1Cc2ccccc21 ZINC000313819482 348423826 /nfs/dbraw/zinc/42/38/26/348423826.db2.gz KGCITUQBEWNUKV-GFCCVEGCSA-N 0 0 286.335 2.871 20 5 CFBDRN Nc1ccc(N2CCCc3ccccc3C2)nc1[N+](=O)[O-] ZINC000313915433 348424570 /nfs/dbraw/zinc/42/45/70/348424570.db2.gz VIBFLDMKHIFUJN-UHFFFAOYSA-N 0 0 284.319 2.525 20 5 CFBDRN CCOc1cccc(CNc2ccc(N)c([N+](=O)[O-])n2)c1 ZINC000314408893 348425532 /nfs/dbraw/zinc/42/55/32/348425532.db2.gz USMSXZGEFSAZSL-UHFFFAOYSA-N 0 0 288.307 2.583 20 5 CFBDRN CNc1ccc(NC(=O)Nc2cccc([N+](=O)[O-])c2)cn1 ZINC000328174826 348440558 /nfs/dbraw/zinc/44/05/58/348440558.db2.gz LNYVJISUCPPIDF-UHFFFAOYSA-N 0 0 287.279 2.676 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(/C=C/[C@H]3CCCO3)n2)c1 ZINC000351294487 348490881 /nfs/dbraw/zinc/49/08/81/348490881.db2.gz LVTXZDSTVRDBGP-NNNHXZLVSA-N 0 0 287.275 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@]12C[C@H]1CCC2 ZINC000596844432 349996607 /nfs/dbraw/zinc/99/66/07/349996607.db2.gz XRQDYFHFBUJFEW-QMTHXVAHSA-N 0 0 275.308 2.967 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc([C@H]2C[C@H]2C)no1 ZINC000350167418 348472556 /nfs/dbraw/zinc/47/25/56/348472556.db2.gz YZEYYDCPHYHNQN-XCBNKYQSSA-N 0 0 275.264 2.777 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413137536 233022793 /nfs/dbraw/zinc/02/27/93/233022793.db2.gz AQOKQUVEAPBVCN-ZETCQYMHSA-N 0 0 294.286 2.777 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCOCC1CCC1 ZINC000564650052 290220970 /nfs/dbraw/zinc/22/09/70/290220970.db2.gz GKDZCJFOXDTKJC-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])cc2F)CCCS1 ZINC000413197670 233034082 /nfs/dbraw/zinc/03/40/82/233034082.db2.gz SISDRPALXOZRFE-NSHDSACASA-N 0 0 271.317 2.827 20 5 CFBDRN COC[C@H]1CCN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000413159507 233025872 /nfs/dbraw/zinc/02/58/72/233025872.db2.gz WXWFAGGILSWTKJ-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC1(C2CC2)CC1 ZINC000401239665 348578118 /nfs/dbraw/zinc/57/81/18/348578118.db2.gz RLWHPSWPRBORQP-UHFFFAOYSA-N 0 0 251.261 2.731 20 5 CFBDRN CCOc1cccc(N[C@H]2CO[C@H](C)C2)c1[N+](=O)[O-] ZINC000402201759 348580808 /nfs/dbraw/zinc/58/08/08/348580808.db2.gz GZZFCOLILWKECY-NXEZZACHSA-N 0 0 266.297 2.583 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403237021 348583807 /nfs/dbraw/zinc/58/38/07/348583807.db2.gz PYLCXVIREDSERM-NQMVMOMDSA-N 0 0 251.261 2.729 20 5 CFBDRN O=C(NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000403244888 348583896 /nfs/dbraw/zinc/58/38/96/348583896.db2.gz SEFUOHFMLAMKCD-MXWKQRLJSA-N 0 0 292.310 2.900 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)[C@H](C)CO1 ZINC000404049128 348589074 /nfs/dbraw/zinc/58/90/74/348589074.db2.gz IKXIZOLTUGLCHD-ZWNOBZJWSA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000273445042 192153310 /nfs/dbraw/zinc/15/33/10/192153310.db2.gz JZYMXRSJNZXLKN-IUCAKERBSA-N 0 0 281.287 2.996 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000413242332 233039559 /nfs/dbraw/zinc/03/95/59/233039559.db2.gz YXTPKQCZDSJDIS-RXMQYKEDSA-N 0 0 267.182 2.739 20 5 CFBDRN CC(=O)c1cc(N[C@H](CO)C2CCCC2)ccc1[N+](=O)[O-] ZINC000290800285 197822733 /nfs/dbraw/zinc/82/27/33/197822733.db2.gz OZXQCKWPPCCFOS-CQSZACIVSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000413330881 233054306 /nfs/dbraw/zinc/05/43/06/233054306.db2.gz GXFBKCTUGQLIIL-LLVKDONJSA-N 0 0 279.340 2.915 20 5 CFBDRN C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ncc[nH]1 ZINC000138903889 539655906 /nfs/dbraw/zinc/65/59/06/539655906.db2.gz JHJAGCSWOBAQJU-NXEZZACHSA-N 0 0 260.297 2.730 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2(F)CCC2)c(F)c1 ZINC000413297705 233048221 /nfs/dbraw/zinc/04/82/21/233048221.db2.gz JSJKLUADLXDOQJ-UHFFFAOYSA-N 0 0 257.240 2.823 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC23CCC[NH+]2CCC3)c(F)c1 ZINC000584877654 348725000 /nfs/dbraw/zinc/72/50/00/348725000.db2.gz WEMVCXPIAPRLRX-UHFFFAOYSA-N 0 0 297.305 2.913 20 5 CFBDRN C[C@H](SC[C@H]1CN(C)CCO1)c1cccc([N+](=O)[O-])c1 ZINC000584589960 348681558 /nfs/dbraw/zinc/68/15/58/348681558.db2.gz CXYIIZUHWUQYIT-SMDDNHRTSA-N 0 0 296.392 2.720 20 5 CFBDRN CC[C@]1(Nc2ccc(C(C)=O)cc2[N+](=O)[O-])CCOC1 ZINC000588041376 348768942 /nfs/dbraw/zinc/76/89/42/348768942.db2.gz ZORQZHVHSIYLNC-AWEZNQCLSA-N 0 0 278.308 2.778 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1CCc2cncn2C1 ZINC000588067671 348770001 /nfs/dbraw/zinc/77/00/01/348770001.db2.gz IGGXSWPPOAKZTA-JTQLQIEISA-N 0 0 292.726 2.872 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1ccc([N+](=O)[O-])s1 ZINC000588075374 348770578 /nfs/dbraw/zinc/77/05/78/348770578.db2.gz UJNFHAHENDCUPV-IUCAKERBSA-N 0 0 256.327 2.741 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cc2F)[C@@H]2CCO[C@H]21 ZINC000227071968 535079631 /nfs/dbraw/zinc/07/96/31/535079631.db2.gz CDKFECSHYGXVDT-ZWKOPEQDSA-N 0 0 280.299 2.959 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CCCC2(F)F)cccc1[N+](=O)[O-] ZINC000588179898 348778106 /nfs/dbraw/zinc/77/81/06/348778106.db2.gz BPQNQTWBCRSTEV-LBPRGKRZSA-N 0 0 298.289 2.750 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]2C[C@H]21 ZINC000588225809 348779994 /nfs/dbraw/zinc/77/99/94/348779994.db2.gz YWUDQJVHMUQRFR-JOYOIKCWSA-N 0 0 291.307 2.620 20 5 CFBDRN CCN(CCC(F)(F)F)c1c([N+](=O)[O-])nc(C)n1CC ZINC000588815929 348796932 /nfs/dbraw/zinc/79/69/32/348796932.db2.gz HOWGOXJTHVGVBY-UHFFFAOYSA-N 0 0 294.277 2.898 20 5 CFBDRN CCn1ccc(CN(C)c2c([N+](=O)[O-])nc(C)n2CC)c1 ZINC000588832553 348797353 /nfs/dbraw/zinc/79/73/53/348797353.db2.gz QPFSXVJXIDUQQF-UHFFFAOYSA-N 0 0 291.355 2.577 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC2(CCC2)CC1 ZINC000588840475 348797996 /nfs/dbraw/zinc/79/79/96/348797996.db2.gz FPRHQQZETGELBZ-UHFFFAOYSA-N 0 0 278.356 2.890 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cn2cc(Cl)cn2)c(F)c1 ZINC000586168102 348746776 /nfs/dbraw/zinc/74/67/76/348746776.db2.gz VBZGTERSBSARIY-UHFFFAOYSA-N 0 0 273.626 2.771 20 5 CFBDRN CC[C@@]1(NCc2c(F)cc([N+](=O)[O-])cc2F)CCOC1 ZINC000586771417 348750381 /nfs/dbraw/zinc/75/03/81/348750381.db2.gz XCBDAOMAMMTLOO-CYBMUJFWSA-N 0 0 286.278 2.532 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@H]1C(C)C ZINC000413436723 233072997 /nfs/dbraw/zinc/07/29/97/233072997.db2.gz NFFOYGFFVCNNIW-VHSXEESVSA-N 0 0 252.318 2.576 20 5 CFBDRN Cc1cncc(NCCc2c[nH]c3ccc([N+](=O)[O-])cc23)n1 ZINC000588864506 348799667 /nfs/dbraw/zinc/79/96/67/348799667.db2.gz LJFQOTDQEPPESX-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC[C@@H]2C[C@@H]21 ZINC000586888817 348759553 /nfs/dbraw/zinc/75/95/53/348759553.db2.gz DEEAEROJZFJPJK-YGRLFVJLSA-N 0 0 297.314 2.768 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]2C[C@@H]21 ZINC000586899723 348760406 /nfs/dbraw/zinc/76/04/06/348760406.db2.gz YDYQNKBOGOSDRW-OCCSQVGLSA-N 0 0 274.320 2.538 20 5 CFBDRN CN(CC1CCCCC1)c1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587110541 348761169 /nfs/dbraw/zinc/76/11/69/348761169.db2.gz QACJWEKQLSUOQC-UHFFFAOYSA-N 0 0 293.367 2.686 20 5 CFBDRN CC(C)CCCNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587137512 348761265 /nfs/dbraw/zinc/76/12/65/348761265.db2.gz OIFHYOKAIWHKPF-UHFFFAOYSA-N 0 0 267.329 2.517 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587159463 348761295 /nfs/dbraw/zinc/76/12/95/348761295.db2.gz FBKRDWRDDVMUIA-CMPLNLGQSA-N 0 0 293.367 2.684 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cc3cc[nH]c3cn2)c1 ZINC000587520737 348762521 /nfs/dbraw/zinc/76/25/21/348762521.db2.gz GATSOHXCKZPHPD-UHFFFAOYSA-N 0 0 268.276 2.505 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@@H](n2ccnc2)C1 ZINC000587866714 348762530 /nfs/dbraw/zinc/76/25/30/348762530.db2.gz PMRPFFOBBDOBJJ-LLVKDONJSA-N 0 0 290.298 2.772 20 5 CFBDRN CC(C)CC[C@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587473035 348762644 /nfs/dbraw/zinc/76/26/44/348762644.db2.gz MCDXHGATFKQYCO-JTQLQIEISA-N 0 0 281.356 2.906 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC[C@H]1C ZINC000587988866 348763808 /nfs/dbraw/zinc/76/38/08/348763808.db2.gz BETTXSWTUOTZGM-SNVBAGLBSA-N 0 0 278.308 2.679 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C[C@H]1C ZINC000588017096 348766902 /nfs/dbraw/zinc/76/69/02/348766902.db2.gz WUJOISBYDCVCED-FOGDFJRCSA-N 0 0 293.367 2.906 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(CCC(F)F)n1 ZINC000589069413 348809302 /nfs/dbraw/zinc/80/93/02/348809302.db2.gz OYCNZMREGVSRHY-UHFFFAOYSA-N 0 0 283.234 2.766 20 5 CFBDRN COCC[C@@H](C)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000413488464 233082631 /nfs/dbraw/zinc/08/26/31/233082631.db2.gz FHZUKBORWORNCT-SNVBAGLBSA-N 0 0 268.313 2.688 20 5 CFBDRN COCC[C@@H](C)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000413488991 233082889 /nfs/dbraw/zinc/08/28/89/233082889.db2.gz GJLJIABISJRKBX-SECBINFHSA-N 0 0 286.303 2.827 20 5 CFBDRN COCC[C@H](C)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000413495997 233083182 /nfs/dbraw/zinc/08/31/82/233083182.db2.gz TTYFQLYNQVXEPV-QMMMGPOBSA-N 0 0 296.352 2.684 20 5 CFBDRN COCC1CCC(Nc2ncc([N+](=O)[O-])cc2C)CC1 ZINC000413529427 233090738 /nfs/dbraw/zinc/09/07/38/233090738.db2.gz GUDPALFFIJMVMU-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN COCC1CCC(Nc2ncc([N+](=O)[O-])s2)CC1 ZINC000413538563 233092141 /nfs/dbraw/zinc/09/21/41/233092141.db2.gz YEBXVKPQEJKERA-UHFFFAOYSA-N 0 0 271.342 2.668 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H](C)C[C@@H]2CCOC2)c1 ZINC000589584439 348839428 /nfs/dbraw/zinc/83/94/28/348839428.db2.gz LAZMPTHSHLIWNS-QWRGUYRKSA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000589585192 348840252 /nfs/dbraw/zinc/84/02/52/348840252.db2.gz VHYNMZMTKWFWJM-GHMZBOCLSA-N 0 0 291.351 2.790 20 5 CFBDRN COc1cc(N2CC[C@@H](C(F)F)C2)c(F)cc1[N+](=O)[O-] ZINC000589599244 348841015 /nfs/dbraw/zinc/84/10/15/348841015.db2.gz ADMAJPZAKBAODP-SSDOTTSWSA-N 0 0 290.241 2.834 20 5 CFBDRN COC(=O)c1cc(N2CCC[C@@H](F)C2)cc(C)c1[N+](=O)[O-] ZINC000589598486 348841137 /nfs/dbraw/zinc/84/11/37/348841137.db2.gz STUFKEZEAUGCKP-SNVBAGLBSA-N 0 0 296.298 2.628 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@H](C(F)F)C1 ZINC000589599273 348841290 /nfs/dbraw/zinc/84/12/90/348841290.db2.gz BXQURFCHHTYAQO-VIFPVBQESA-N 0 0 284.262 2.889 20 5 CFBDRN COc1cc(N2CC[C@H](C(F)F)C2)c([N+](=O)[O-])cc1F ZINC000589600369 348841987 /nfs/dbraw/zinc/84/19/87/348841987.db2.gz YJUIWWYKKUWKIG-ZETCQYMHSA-N 0 0 290.241 2.834 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3sccc3[N+](=O)[O-])C2)c1 ZINC000589608727 348842989 /nfs/dbraw/zinc/84/29/89/348842989.db2.gz VLTQGZQJUDGBHV-SNVBAGLBSA-N 0 0 278.337 2.613 20 5 CFBDRN CO[C@](C)(CNc1ccc([N+](=O)[O-])s1)C1CC1 ZINC000589692880 348850918 /nfs/dbraw/zinc/85/09/18/348850918.db2.gz CYDGPCWFBAPJSL-LLVKDONJSA-N 0 0 256.327 2.883 20 5 CFBDRN Cn1nc2c(c1CNc1sccc1[N+](=O)[O-])CCCC2 ZINC000589693138 348850929 /nfs/dbraw/zinc/85/09/29/348850929.db2.gz POENNWGWUXTUAY-UHFFFAOYSA-N 0 0 292.364 2.881 20 5 CFBDRN O=C(CCCC1CC1)NCc1ccccc1[N+](=O)[O-] ZINC000589692384 348851059 /nfs/dbraw/zinc/85/10/59/348851059.db2.gz WRXQVOCEJRKHDX-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN Cc1cc(N2CC(C3CCOCC3)C2)c(F)cc1[N+](=O)[O-] ZINC000589692378 348851252 /nfs/dbraw/zinc/85/12/52/348851252.db2.gz SSAUBTNFOUAKJU-UHFFFAOYSA-N 0 0 294.326 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(C3CC3)C2)c(F)c1 ZINC000589736856 348854146 /nfs/dbraw/zinc/85/41/46/348854146.db2.gz SXZWYLGBNSFPFT-UHFFFAOYSA-N 0 0 250.273 2.576 20 5 CFBDRN COCC[C@H](C)CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000413628100 233107169 /nfs/dbraw/zinc/10/71/69/233107169.db2.gz VJINNSOMCKYENQ-JTQLQIEISA-N 0 0 295.339 2.697 20 5 CFBDRN CC(C)(NC(=O)NC1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000589902101 348863281 /nfs/dbraw/zinc/86/32/81/348863281.db2.gz PLNAHBDZZZQLJM-UHFFFAOYSA-N 0 0 289.335 2.848 20 5 CFBDRN CC[C@H]1CCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000589880110 348863323 /nfs/dbraw/zinc/86/33/23/348863323.db2.gz XHLKZXIAEUMSDG-VIFPVBQESA-N 0 0 258.281 2.527 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1sccc1[N+](=O)[O-] ZINC000590062793 348872403 /nfs/dbraw/zinc/87/24/03/348872403.db2.gz DYAWMCJPVHIDRZ-VIFPVBQESA-N 0 0 285.369 2.621 20 5 CFBDRN CC(C)[C@@]1(CO)CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000590146837 348878670 /nfs/dbraw/zinc/87/86/70/348878670.db2.gz ZJXZLRSMELGOSZ-ZDUSSCGKSA-N 0 0 284.381 2.891 20 5 CFBDRN CC(F)(F)CNCc1ccc([N+](=O)[O-])cc1Cl ZINC000590194679 348885088 /nfs/dbraw/zinc/88/50/88/348885088.db2.gz DPIVMNYPTZVXKY-UHFFFAOYSA-N 0 0 264.659 2.993 20 5 CFBDRN CO[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@@H]1C ZINC000590717594 348934910 /nfs/dbraw/zinc/93/49/10/348934910.db2.gz JSYHCYSDNDZQAK-WCBMZHEXSA-N 0 0 256.327 2.518 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)CC1(C)C ZINC000590718780 348935750 /nfs/dbraw/zinc/93/57/50/348935750.db2.gz HWSQXTTUYSNXDA-SECBINFHSA-N 0 0 270.354 2.908 20 5 CFBDRN C[C@H]1C[C@@H](Nc2sccc2[N+](=O)[O-])c2nccn21 ZINC000590723723 348937069 /nfs/dbraw/zinc/93/70/69/348937069.db2.gz NPQBSXOCWHEZLB-JGVFFNPUSA-N 0 0 264.310 2.971 20 5 CFBDRN COc1cccc2c1CN(c1cccnc1[N+](=O)[O-])CC2 ZINC000590723005 348937226 /nfs/dbraw/zinc/93/72/26/348937226.db2.gz KAWCQDHDTMWPDM-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN COc1cccc2c1CN(c1ncc([N+](=O)[O-])cc1C)CC2 ZINC000590722953 348937320 /nfs/dbraw/zinc/93/73/20/348937320.db2.gz HQJGROIZLXNJHI-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN C[C@@H]1[C@H](O)CCN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000590726414 348938029 /nfs/dbraw/zinc/93/80/29/348938029.db2.gz QRPCRWPNVYUPDS-BXUZGUMPSA-N 0 0 299.330 2.616 20 5 CFBDRN CC1(C)C[C@@H](O)CCN(c2sccc2[N+](=O)[O-])C1 ZINC000590726865 348938054 /nfs/dbraw/zinc/93/80/54/348938054.db2.gz AFFXGWKXYXLAMN-VIFPVBQESA-N 0 0 270.354 2.644 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC1CCC(OC(F)F)CC1 ZINC000590732309 348938561 /nfs/dbraw/zinc/93/85/61/348938561.db2.gz KXDVWOCYCASPPD-UHFFFAOYSA-N 0 0 287.266 2.952 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC(C2CC2)CC1 ZINC000590429244 348900668 /nfs/dbraw/zinc/90/06/68/348900668.db2.gz QTMQDOCVFLSACM-UHFFFAOYSA-N 0 0 280.349 2.919 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c1C ZINC000590550779 348908398 /nfs/dbraw/zinc/90/83/98/348908398.db2.gz QBPIHEZAELIHGB-UHFFFAOYSA-N 0 0 288.307 2.804 20 5 CFBDRN COC(=O)c1c(Cl)c(N2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000590584749 348911699 /nfs/dbraw/zinc/91/16/99/348911699.db2.gz AYZKNEXXDPYJQR-MRVPVSSYSA-N 0 0 298.726 2.881 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1cccc([N+](=O)[O-])c1)CC2 ZINC000590610821 348915534 /nfs/dbraw/zinc/91/55/34/348915534.db2.gz HSEWHSDGKBLZFQ-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN C[C@H]1CCC[C@H](c2noc(Cn3ccc([N+](=O)[O-])n3)n2)C1 ZINC000273956525 192377975 /nfs/dbraw/zinc/37/79/75/192377975.db2.gz OPSFMWFRUJOTAC-UWVGGRQHSA-N 0 0 291.311 2.516 20 5 CFBDRN C[C@@H]1CCC[C@@H](c2noc(Cn3ccc([N+](=O)[O-])n3)n2)C1 ZINC000273956524 192377982 /nfs/dbraw/zinc/37/79/82/192377982.db2.gz OPSFMWFRUJOTAC-NXEZZACHSA-N 0 0 291.311 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCC[C@H]2CCCO2)c1 ZINC000590685054 348927616 /nfs/dbraw/zinc/92/76/16/348927616.db2.gz VLUNQIJFABAYAE-CYBMUJFWSA-N 0 0 287.319 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@H]3C[C@H]3C(F)(F)F)nc2c1 ZINC000590701095 348930972 /nfs/dbraw/zinc/93/09/72/348930972.db2.gz RGMBCMMNQWIXEM-HTRCEHHLSA-N 0 0 286.213 2.834 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CC2(CC(F)C2)C1 ZINC000590969295 348974616 /nfs/dbraw/zinc/97/46/16/348974616.db2.gz MAXCDIBPGZQABQ-UHFFFAOYSA-N 0 0 264.300 2.837 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CC[C@@H](C2CCC2)C1 ZINC000591125997 348990459 /nfs/dbraw/zinc/99/04/59/348990459.db2.gz GPHQBNXZZOZGAI-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)C1CCOCC1 ZINC000591150947 348993120 /nfs/dbraw/zinc/99/31/20/348993120.db2.gz YJRDEJJLOWCPAU-GFCCVEGCSA-N 0 0 283.303 2.746 20 5 CFBDRN CCC1(O)CCC(Nc2ncc([N+](=O)[O-])cc2F)CC1 ZINC000591153490 348993725 /nfs/dbraw/zinc/99/37/25/348993725.db2.gz QMLUBJBXJLMXHW-UHFFFAOYSA-N 0 0 283.303 2.625 20 5 CFBDRN Cc1nn(C)c(N2Cc3ccc(Cl)cc3C2)c1[N+](=O)[O-] ZINC000591183222 348997748 /nfs/dbraw/zinc/99/77/48/348997748.db2.gz PLEYECCLQWAYKX-UHFFFAOYSA-N 0 0 292.726 2.810 20 5 CFBDRN Cc1cc(N2CCC[C@@H]3COC[C@@H]32)c(F)cc1[N+](=O)[O-] ZINC000591183218 348997751 /nfs/dbraw/zinc/99/77/51/348997751.db2.gz OYRHTOXKVKCVSB-YGRLFVJLSA-N 0 0 280.299 2.658 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000591181316 348997784 /nfs/dbraw/zinc/99/77/84/348997784.db2.gz DEQUYFUARCEGBE-TZMCWYRMSA-N 0 0 279.340 2.516 20 5 CFBDRN Cc1ccnc(N2CC[C@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000591204193 348999774 /nfs/dbraw/zinc/99/97/74/348999774.db2.gz JOVZTAXXSPBHNG-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cc(N2CC[C@H](C3CCC3)C2)ncc1[N+](=O)[O-] ZINC000591204151 348999996 /nfs/dbraw/zinc/99/99/96/348999996.db2.gz GLGQQKUISLLOPO-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2F)[C@@H](C)[C@H]1C ZINC000591369022 349013263 /nfs/dbraw/zinc/01/32/63/349013263.db2.gz LDGCSCRYUBRJPB-VGMNWLOBSA-N 0 0 253.277 2.610 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC(C2CC2)C1 ZINC000591534428 349028966 /nfs/dbraw/zinc/02/89/66/349028966.db2.gz IKMOSDSWFCSUHH-UHFFFAOYSA-N 0 0 274.320 2.694 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000591589483 349032853 /nfs/dbraw/zinc/03/28/53/349032853.db2.gz IEDSRUVHQSHMGA-UFBFGSQYSA-N 0 0 297.742 2.647 20 5 CFBDRN CCOC(=O)Cc1ccc(NC[C@@H]2C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000591897262 349056508 /nfs/dbraw/zinc/05/65/08/349056508.db2.gz YNYSVTWMGJVQFL-JQWIXIFHSA-N 0 0 292.335 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C\[C@@H]3CCCO3)n2)cc1 ZINC000591651103 349036514 /nfs/dbraw/zinc/03/65/14/349036514.db2.gz NRNYIRYOLUTKRD-KRZKBDHCSA-N 0 0 287.275 2.837 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCc1ccc(Cl)o1 ZINC000591721267 349039194 /nfs/dbraw/zinc/03/91/94/349039194.db2.gz KFBWWZHTVWCMNX-UHFFFAOYSA-N 0 0 294.694 2.700 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCc1ccc(Cl)o1 ZINC000591724783 349040126 /nfs/dbraw/zinc/04/01/26/349040126.db2.gz DOVQVMGEYRUIMS-UHFFFAOYSA-N 0 0 294.694 2.700 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ccsc1[N+](=O)[O-] ZINC000591982212 349069028 /nfs/dbraw/zinc/06/90/28/349069028.db2.gz NTOMAVMRRSDFCC-RKDXNWHRSA-N 0 0 258.343 2.865 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@H]([C@@H]2CCCC2(C)C)C1 ZINC000591981474 349069203 /nfs/dbraw/zinc/06/92/03/349069203.db2.gz AFYHHSKSXXXUAY-RYUDHWBXSA-N 0 0 292.383 2.981 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000591982539 349069771 /nfs/dbraw/zinc/06/97/71/349069771.db2.gz QPUWEXGJANTCPI-GXSJLCMTSA-N 0 0 267.329 2.507 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCC1CCC(F)CC1 ZINC000591993804 349071794 /nfs/dbraw/zinc/07/17/94/349071794.db2.gz IVASNDYJQFBEPH-UHFFFAOYSA-N 0 0 295.314 2.634 20 5 CFBDRN CC[C@H](Nc1ncccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000591992765 349071902 /nfs/dbraw/zinc/07/19/02/349071902.db2.gz LYMCNCSHBVFLNY-VIFPVBQESA-N 0 0 281.312 2.522 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCCC[C@H]1CCOC1 ZINC000592350285 349106853 /nfs/dbraw/zinc/10/68/53/349106853.db2.gz AKMBKZHYEBURMO-VIFPVBQESA-N 0 0 286.715 2.839 20 5 CFBDRN Cc1nn(CCc2c(F)cccc2F)cc1[N+](=O)[O-] ZINC000592353191 349106898 /nfs/dbraw/zinc/10/68/98/349106898.db2.gz ADTXZQJBYIILLI-UHFFFAOYSA-N 0 0 267.235 2.621 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2F)CC2(CCCC2)O1 ZINC000592002138 349073632 /nfs/dbraw/zinc/07/36/32/349073632.db2.gz STDPAVLDPCLAKT-JTQLQIEISA-N 0 0 295.314 2.667 20 5 CFBDRN COC(=O)c1cccc(OCC2=CCCC2)c1[N+](=O)[O-] ZINC000592354340 349106948 /nfs/dbraw/zinc/10/69/48/349106948.db2.gz UMOJNCSDGPXAFV-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN Cc1ccc(C(=O)N2C3CCC2CC3)cc1[N+](=O)[O-] ZINC000592041554 349076044 /nfs/dbraw/zinc/07/60/44/349076044.db2.gz IGJIFENVJOFTFL-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN CCCCCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592350217 349107053 /nfs/dbraw/zinc/10/70/53/349107053.db2.gz ZDMWWVYXTCTJIN-UHFFFAOYSA-N 0 0 250.254 2.693 20 5 CFBDRN Cc1cc(Cc2noc(CC3CC3)n2)ccc1[N+](=O)[O-] ZINC000273968047 192382340 /nfs/dbraw/zinc/38/23/40/192382340.db2.gz OKGGFLPDBVZMBX-UHFFFAOYSA-N 0 0 273.292 2.830 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CCS[C@H]2C)cccc1[N+](=O)[O-] ZINC000592122703 349086384 /nfs/dbraw/zinc/08/63/84/349086384.db2.gz MBLZSBIKLCVOEC-GXSJLCMTSA-N 0 0 295.364 2.919 20 5 CFBDRN CC(C)O[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000592133949 349088650 /nfs/dbraw/zinc/08/86/50/349088650.db2.gz CXJLFDFDUMOSQN-LLVKDONJSA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089203875 179753339 /nfs/dbraw/zinc/75/33/39/179753339.db2.gz QOGVJUMCQONASP-SNVBAGLBSA-N 0 0 294.336 2.551 20 5 CFBDRN CCCCC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089204404 179753545 /nfs/dbraw/zinc/75/35/45/179753545.db2.gz WFEWVFZONJEPPX-UHFFFAOYSA-N 0 0 262.269 2.600 20 5 CFBDRN CC[C@H](C)C[C@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000592211514 349101821 /nfs/dbraw/zinc/10/18/21/349101821.db2.gz QIYYEWCPQJTKGW-CMPLNLGQSA-N 0 0 295.339 2.513 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCC[C@@H]1CCOC1 ZINC000592343303 349105707 /nfs/dbraw/zinc/10/57/07/349105707.db2.gz OBKHCCQZJQLYEY-LLVKDONJSA-N 0 0 251.282 2.790 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCC[C@@H]2CCOC2)c1 ZINC000592343143 349105742 /nfs/dbraw/zinc/10/57/42/349105742.db2.gz HHYIBLHGBDTFOC-LLVKDONJSA-N 0 0 251.282 2.790 20 5 CFBDRN CC[C@@H](C)Cn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592347962 349106127 /nfs/dbraw/zinc/10/61/27/349106127.db2.gz AMGTYHGAGIGOKC-MRVPVSSYSA-N 0 0 250.254 2.549 20 5 CFBDRN O=c1onc(-c2ccc([N+](=O)[O-])cc2)n1CC1=CCCC1 ZINC000592345255 349106150 /nfs/dbraw/zinc/10/61/50/349106150.db2.gz ZZULBUXJXDTWBZ-UHFFFAOYSA-N 0 0 287.275 2.522 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCC[C@@H]3C[C@@H]31)CCCC2 ZINC000591941243 349062891 /nfs/dbraw/zinc/06/28/91/349062891.db2.gz BGZNLQWCOSNYTH-YPMHNXCESA-N 0 0 273.336 2.857 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCC[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC000591957991 349064902 /nfs/dbraw/zinc/06/49/02/349064902.db2.gz KXIIJHSNLKSXNJ-GHMZBOCLSA-N 0 0 295.314 2.647 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H](C)CC(F)F ZINC000591961356 349065559 /nfs/dbraw/zinc/06/55/59/349065559.db2.gz GAXSYUBPSAGZAV-ZETCQYMHSA-N 0 0 276.287 2.823 20 5 CFBDRN C[C@@H](CNc1ncnc2cccc([N+](=O)[O-])c21)CC(F)F ZINC000591961340 349065733 /nfs/dbraw/zinc/06/57/33/349065733.db2.gz FFMSYMLSWCGFLO-MRVPVSSYSA-N 0 0 296.277 2.663 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H](C)CC(F)F ZINC000591962235 349066142 /nfs/dbraw/zinc/06/61/42/349066142.db2.gz YGKWDXOOXZFQCB-ZETCQYMHSA-N 0 0 276.287 2.823 20 5 CFBDRN Cc1cc(N2CCO[C@]3(CCSC3)C2)ccc1[N+](=O)[O-] ZINC000591964920 349066396 /nfs/dbraw/zinc/06/63/96/349066396.db2.gz YHQDFNZYRWPSFO-CQSZACIVSA-N 0 0 294.376 2.616 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000591973020 349067589 /nfs/dbraw/zinc/06/75/89/349067589.db2.gz HKZJWFQKGFXVHF-LBPRGKRZSA-N 0 0 287.747 2.996 20 5 CFBDRN CCOc1cc(NC[C@@H](CC)C(=O)OC)ccc1[N+](=O)[O-] ZINC000591975210 349067705 /nfs/dbraw/zinc/06/77/05/349067705.db2.gz NLLOUXKOSDXFSV-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H](F)C2)c1 ZINC000592664795 349147100 /nfs/dbraw/zinc/14/71/00/349147100.db2.gz ANVULLNSDZSDBU-VHSXEESVSA-N 0 0 296.298 2.614 20 5 CFBDRN CC(C)Cn1nccc1CNCc1ccccc1[N+](=O)[O-] ZINC000592362840 349109759 /nfs/dbraw/zinc/10/97/59/349109759.db2.gz PFJNJVOXELEILV-UHFFFAOYSA-N 0 0 288.351 2.737 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000592998816 349183899 /nfs/dbraw/zinc/18/38/99/349183899.db2.gz XIYSUEKIZLVXFS-MFKMUULPSA-N 0 0 294.326 2.971 20 5 CFBDRN CCC(CC)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593000511 349184285 /nfs/dbraw/zinc/18/42/85/349184285.db2.gz PRXLVDPLHYLKPI-UHFFFAOYSA-N 0 0 268.288 2.581 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCCC1CCCC1 ZINC000593019400 349188894 /nfs/dbraw/zinc/18/88/94/349188894.db2.gz ASNOYQROBBEGGY-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN CCN(CCSC)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000592735707 349159857 /nfs/dbraw/zinc/15/98/57/349159857.db2.gz BTBUHMZDCLQAHK-UHFFFAOYSA-N 0 0 296.392 2.657 20 5 CFBDRN CCN(CCSC)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000592738700 349161090 /nfs/dbraw/zinc/16/10/90/349161090.db2.gz BVDBTWANQGYHSM-UHFFFAOYSA-N 0 0 286.328 2.559 20 5 CFBDRN CCN(CCSC)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000592746805 349164210 /nfs/dbraw/zinc/16/42/10/349164210.db2.gz WOBIBPDXBLPDKH-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)C2(CCC2)CO1 ZINC000593094256 349208026 /nfs/dbraw/zinc/20/80/26/349208026.db2.gz YTOVQFUSAFIHTF-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN CC(C)C1(NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593166081 349221778 /nfs/dbraw/zinc/22/17/78/349221778.db2.gz KBDIACSHCSYTKE-UHFFFAOYSA-N 0 0 280.299 2.581 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000593174664 349223207 /nfs/dbraw/zinc/22/32/07/349223207.db2.gz KQBJCAGWQJSCGL-GMTAPVOTSA-N 0 0 294.326 2.779 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCCC(F)(F)C1 ZINC000593237230 349233357 /nfs/dbraw/zinc/23/33/57/349233357.db2.gz LMQNSXCAXNIJQD-UHFFFAOYSA-N 0 0 276.264 2.528 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCCC(F)(F)C1 ZINC000593236925 349233460 /nfs/dbraw/zinc/23/34/60/349233460.db2.gz DNBFFYDUOTXVKU-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN CC(C)O[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000593241543 349234744 /nfs/dbraw/zinc/23/47/44/349234744.db2.gz PKYYMSBZZGLFKK-CQSZACIVSA-N 0 0 292.335 2.624 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000593255497 349236366 /nfs/dbraw/zinc/23/63/66/349236366.db2.gz QAKBWERERPLSRR-DTWKUNHWSA-N 0 0 266.297 2.742 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@@H](CC)CO1 ZINC000593257802 349236901 /nfs/dbraw/zinc/23/69/01/349236901.db2.gz HVMNGRDHUGRQNO-JSGCOSHPSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)[C@H](CC)CO1 ZINC000593257284 349237076 /nfs/dbraw/zinc/23/70/76/349237076.db2.gz BNULEWIIYAPTGD-NXEZZACHSA-N 0 0 298.364 2.686 20 5 CFBDRN CCC(CC)CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593048001 349193323 /nfs/dbraw/zinc/19/33/23/349193323.db2.gz HDWXYBOGVSKVNN-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)[C@@H](C)CO1 ZINC000593061733 349198594 /nfs/dbraw/zinc/19/85/94/349198594.db2.gz DHCLDVXYGCZNGW-FZMZJTMJSA-N 0 0 264.325 2.594 20 5 CFBDRN CC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593062797 349199534 /nfs/dbraw/zinc/19/95/34/349199534.db2.gz JABUAQSEZBCQTK-RISCZKNCSA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])[C@H]1C ZINC000593075008 349202307 /nfs/dbraw/zinc/20/23/07/349202307.db2.gz JLYYFCGGQUIHQN-QWRGUYRKSA-N 0 0 294.326 2.923 20 5 CFBDRN CCC1(CNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000593086945 349204513 /nfs/dbraw/zinc/20/45/13/349204513.db2.gz BVQHGBJXBYULAB-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN C[C@@H](CO)C1CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000593082852 349204663 /nfs/dbraw/zinc/20/46/63/349204663.db2.gz IROXNKKHEFJPBT-NSHDSACASA-N 0 0 296.342 2.574 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1C)[C@H]1CCCOC1 ZINC000593295017 349242640 /nfs/dbraw/zinc/24/26/40/349242640.db2.gz LTXMSFHXPLRTEA-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1C)[C@@H]1CCCOC1 ZINC000593297281 349243325 /nfs/dbraw/zinc/24/33/25/349243325.db2.gz YLTPNCIAISKAKE-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(NC1(C2CCC2)CC1)c1ccccc1[N+](=O)[O-] ZINC000593305471 349244036 /nfs/dbraw/zinc/24/40/36/349244036.db2.gz IXMDDQXOTHHPKY-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN CC1(c2noc(Cc3ccc(F)cc3[N+](=O)[O-])n2)CC1 ZINC000593303026 349244265 /nfs/dbraw/zinc/24/42/65/349244265.db2.gz YZLKJFGCOZJPFU-UHFFFAOYSA-N 0 0 277.255 2.759 20 5 CFBDRN O=C(NC1(C2CCC2)CC1)c1ccc([N+](=O)[O-])s1 ZINC000593305513 349244365 /nfs/dbraw/zinc/24/43/65/349244365.db2.gz LBPTWMCNOWJMDS-UHFFFAOYSA-N 0 0 266.322 2.719 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@]3(CC3(F)F)C2)c1 ZINC000593358603 349252090 /nfs/dbraw/zinc/25/20/90/349252090.db2.gz JTAIUAYYBKYDET-CYBMUJFWSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@]3(CC3(F)F)C2)cc([N+](=O)[O-])c1 ZINC000593360894 349253113 /nfs/dbraw/zinc/25/31/13/349253113.db2.gz WKJJGUQPHSYNIU-CYBMUJFWSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1cc(OCc2cc3n(n2)CCC3)ccc1[N+](=O)[O-] ZINC000593418179 349265097 /nfs/dbraw/zinc/26/50/97/349265097.db2.gz AZUKGAUNZYTNQV-UHFFFAOYSA-N 0 0 273.292 2.625 20 5 CFBDRN CC[C@@H](OCCn1nccc1[N+](=O)[O-])c1ccccc1 ZINC000593423636 349266419 /nfs/dbraw/zinc/26/64/19/349266419.db2.gz GNQJUODDSHMWOK-CYBMUJFWSA-N 0 0 275.308 2.959 20 5 CFBDRN COC[C@@H]1CCN(c2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000593461984 349275530 /nfs/dbraw/zinc/27/55/30/349275530.db2.gz QYWHHUPOOFNQJS-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463178 349275975 /nfs/dbraw/zinc/27/59/75/349275975.db2.gz OWEZGCWIHJUDCJ-BMIGLBTASA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1C[C@H]2CC[C@@H](C1)O2 ZINC000593465692 349276009 /nfs/dbraw/zinc/27/60/09/349276009.db2.gz QZYFGSWIXSOKGL-TXEJJXNPSA-N 0 0 285.303 2.511 20 5 CFBDRN CC[C@@H](CNc1ccnc2cc([N+](=O)[O-])ccc21)OC ZINC000593472633 349277230 /nfs/dbraw/zinc/27/72/30/349277230.db2.gz HHHKKJDLSWBDHB-NSHDSACASA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)(CO)CCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593476059 349277884 /nfs/dbraw/zinc/27/78/84/349277884.db2.gz QDEGUZHZBSLDGS-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@]1(CO)CCc2ccccc21 ZINC000593483585 349280133 /nfs/dbraw/zinc/28/01/33/349280133.db2.gz FGMUJJAZZUVLTE-KRWDZBQOSA-N 0 0 298.342 2.883 20 5 CFBDRN Cc1cnc(N2CCC[C@@H](OC(C)C)C2)c([N+](=O)[O-])c1 ZINC000593486777 349281654 /nfs/dbraw/zinc/28/16/54/349281654.db2.gz HIDQFJOMUYUBIO-GFCCVEGCSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593490675 349283223 /nfs/dbraw/zinc/28/32/23/349283223.db2.gz DMROJIIAEZXNSU-GXSJLCMTSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)[C@H](C)CO1 ZINC000593491892 349283553 /nfs/dbraw/zinc/28/35/53/349283553.db2.gz LNGKJYLZIIUBLR-PSASIEDQSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1c1ncc(C)cc1[N+](=O)[O-] ZINC000593495477 349284282 /nfs/dbraw/zinc/28/42/82/349284282.db2.gz ZLUFWNYEHKWHFP-LLVKDONJSA-N 0 0 279.340 2.692 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])c(C)c1)[C@H]1CCCOC1 ZINC000593495334 349284427 /nfs/dbraw/zinc/28/44/27/349284427.db2.gz DBFDDJRFISXJCZ-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN CCN(c1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000593496563 349284668 /nfs/dbraw/zinc/28/46/68/349284668.db2.gz RTWFARJZKSAJCK-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H](F)C1 ZINC000593894125 349333344 /nfs/dbraw/zinc/33/33/44/349333344.db2.gz UHZNHKVECBUGJV-QMMMGPOBSA-N 0 0 286.690 2.822 20 5 CFBDRN CCC1(CC)CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593737170 349317232 /nfs/dbraw/zinc/31/72/32/349317232.db2.gz RKNYVQFBEVOEEG-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC12CCCC2 ZINC000593759514 349321962 /nfs/dbraw/zinc/32/19/62/349321962.db2.gz NLKYVVPOBWWYGG-UHFFFAOYSA-N 0 0 260.293 2.754 20 5 CFBDRN CCO[C@@H](C)c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000274035566 192412128 /nfs/dbraw/zinc/41/21/28/192412128.db2.gz UMQCDPQBYMCJCV-JTQLQIEISA-N 0 0 291.307 2.975 20 5 CFBDRN CC[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000594183207 349391261 /nfs/dbraw/zinc/39/12/61/349391261.db2.gz VEDMDHJLWPOKDP-OCCSQVGLSA-N 0 0 292.335 2.672 20 5 CFBDRN Cc1ccc(NC(=O)N2CC3(CC3(F)F)C2)cc1[N+](=O)[O-] ZINC000594054485 349365097 /nfs/dbraw/zinc/36/50/97/349365097.db2.gz GIJBKSHYDUBVIN-UHFFFAOYSA-N 0 0 297.261 2.776 20 5 CFBDRN CC(C)(NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)(F)F ZINC000594063203 349368091 /nfs/dbraw/zinc/36/80/91/349368091.db2.gz PLFVJMPFIIXJLS-UHFFFAOYSA-N 0 0 290.241 2.898 20 5 CFBDRN CC1(NC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)CC=CC1 ZINC000594072845 349369005 /nfs/dbraw/zinc/36/90/05/349369005.db2.gz MNUYNJQUCDMOFO-UHFFFAOYSA-N 0 0 296.273 2.640 20 5 CFBDRN COc1ccc(NC(=O)NC2(C)CC=CC2)cc1[N+](=O)[O-] ZINC000594081067 349369916 /nfs/dbraw/zinc/36/99/16/349369916.db2.gz MICLDLBMVDVOMX-UHFFFAOYSA-N 0 0 291.307 2.834 20 5 CFBDRN COC[C@@H](CC(C)(C)C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000594139213 349385214 /nfs/dbraw/zinc/38/52/14/349385214.db2.gz CTXPEJPTNXGBLH-GFCCVEGCSA-N 0 0 294.351 2.776 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)Cc2ccccn2)cc([N+](=O)[O-])c1 ZINC000594145463 349386286 /nfs/dbraw/zinc/38/62/86/349386286.db2.gz WBEPDSBMVDVBPL-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)Cc2ccccn2)cccc1[N+](=O)[O-] ZINC000594145564 349386445 /nfs/dbraw/zinc/38/64/45/349386445.db2.gz XCIBSOIYLOXPDI-LLVKDONJSA-N 0 0 299.330 2.659 20 5 CFBDRN CC(C)(CCn1ccc(=O)c([N+](=O)[O-])c1)C1CC1 ZINC000594448581 349425284 /nfs/dbraw/zinc/42/52/84/349425284.db2.gz NBCKGQXVYHWCGP-UHFFFAOYSA-N 0 0 250.298 2.583 20 5 CFBDRN COc1ncccc1CN[C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000594495450 349430485 /nfs/dbraw/zinc/43/04/85/349430485.db2.gz YEGVHQGWBCUVBN-AWEZNQCLSA-N 0 0 299.330 2.776 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@](C)(C2CC2)C1 ZINC000597180443 350046528 /nfs/dbraw/zinc/04/65/28/350046528.db2.gz RPGBFTHGLXAYEX-INIZCTEOSA-N 0 0 290.363 2.904 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000597473449 350101105 /nfs/dbraw/zinc/10/11/05/350101105.db2.gz HBWAHBQVRKIUJP-WYUUTHIRSA-N 0 0 274.320 2.818 20 5 CFBDRN CCc1nocc1C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000597473056 350101110 /nfs/dbraw/zinc/10/11/10/350101110.db2.gz BMVAJCDHTWUDHX-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCOC1 ZINC000597724928 350128906 /nfs/dbraw/zinc/12/89/06/350128906.db2.gz VMHHURHKJKMJCY-UHFFFAOYSA-N 0 0 296.348 2.527 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCCc2c[nH]nc2C)c1 ZINC000090790202 180042015 /nfs/dbraw/zinc/04/20/15/180042015.db2.gz MHDINVYOTGXFPD-UHFFFAOYSA-N 0 0 290.323 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCc1ccon1 ZINC000597665326 350122123 /nfs/dbraw/zinc/12/21/23/350122123.db2.gz GNMRZQSNIKSQRD-UHFFFAOYSA-N 0 0 289.291 2.771 20 5 CFBDRN COCC1(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCCC1 ZINC000597879893 350147880 /nfs/dbraw/zinc/14/78/80/350147880.db2.gz AOTKPZGJJJRQKM-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1cc(C(=O)N[C@]23C[C@H]2CCC3)ccc1[N+](=O)[O-] ZINC000597879921 350148115 /nfs/dbraw/zinc/14/81/15/350148115.db2.gz BEYFWWDZKFKRGX-BXUZGUMPSA-N 0 0 260.293 2.576 20 5 CFBDRN CCc1nn(C)cc1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000091026326 180089382 /nfs/dbraw/zinc/08/93/82/180089382.db2.gz IYIHRDJMELTCIF-UHFFFAOYSA-N 0 0 281.703 2.683 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@@H]2CCCC[C@@H]21 ZINC000597830757 350141487 /nfs/dbraw/zinc/14/14/87/350141487.db2.gz RFBZSXSZLCAUJL-IUCAKERBSA-N 0 0 266.322 2.671 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000597853537 350142350 /nfs/dbraw/zinc/14/23/50/350142350.db2.gz BKBOULZQSBMMSW-VIFPVBQESA-N 0 0 265.313 2.559 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000597855091 350143052 /nfs/dbraw/zinc/14/30/52/350143052.db2.gz HVKVTZDMUHRBAM-SECBINFHSA-N 0 0 268.288 2.995 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000597853572 350143070 /nfs/dbraw/zinc/14/30/70/350143070.db2.gz CLDUOEUWMNZSDU-MRVPVSSYSA-N 0 0 256.327 2.917 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000597862912 350145204 /nfs/dbraw/zinc/14/52/04/350145204.db2.gz SHMUNZLOXDODOW-SECBINFHSA-N 0 0 298.726 2.546 20 5 CFBDRN CC[C@@H](COCC1CC1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000597917875 350157488 /nfs/dbraw/zinc/15/74/88/350157488.db2.gz BYJJSSZMYAZAOP-LBPRGKRZSA-N 0 0 292.335 2.530 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000597952399 350161927 /nfs/dbraw/zinc/16/19/27/350161927.db2.gz RTWWPZIQEIXQBF-VIFPVBQESA-N 0 0 279.340 2.732 20 5 CFBDRN Cc1ccncc1CCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000597977347 350170251 /nfs/dbraw/zinc/17/02/51/350170251.db2.gz IADHDSTYSLAVPX-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000597975688 350168361 /nfs/dbraw/zinc/16/83/61/350168361.db2.gz LXOZZBDBAZRMMC-SECBINFHSA-N 0 0 268.288 2.900 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000271299547 191060704 /nfs/dbraw/zinc/06/07/04/191060704.db2.gz BUHKSAFHBJFJDT-YPMHNXCESA-N 0 0 276.336 2.927 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000597975575 350168561 /nfs/dbraw/zinc/16/85/61/350168561.db2.gz KZMIZVDFUYCNCK-MRVPVSSYSA-N 0 0 256.327 2.822 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC12CCC2 ZINC000271316144 191069232 /nfs/dbraw/zinc/06/92/32/191069232.db2.gz JQELDIKZXMRKKA-JTQLQIEISA-N 0 0 277.324 2.575 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2Cc3ccccc32)c1[N+](=O)[O-] ZINC000091561656 180191913 /nfs/dbraw/zinc/19/19/13/180191913.db2.gz LKQKKIQPKKUAIH-LLVKDONJSA-N 0 0 286.335 2.643 20 5 CFBDRN C[C@@]1(CCNC(=O)Cc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000598216274 350216685 /nfs/dbraw/zinc/21/66/85/350216685.db2.gz OURZQIJICKOIOK-CYBMUJFWSA-N 0 0 298.289 2.689 20 5 CFBDRN C[C@@]1(CCNC(=O)c2ccc([N+](=O)[O-])s2)CC1(F)F ZINC000598214854 350217136 /nfs/dbraw/zinc/21/71/36/350217136.db2.gz GONNYRGHBKFRET-SNVBAGLBSA-N 0 0 290.291 2.822 20 5 CFBDRN Cc1cc(C(=O)NC[C@]2(C)CC2(F)F)cc([N+](=O)[O-])c1 ZINC000598218254 350217535 /nfs/dbraw/zinc/21/75/35/350217535.db2.gz LPPZOYDJTUFQGD-LBPRGKRZSA-N 0 0 284.262 2.678 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@@H](CCF)C1 ZINC000598222221 350219188 /nfs/dbraw/zinc/21/91/88/350219188.db2.gz WUUQURUFCZEKNW-VIFPVBQESA-N 0 0 286.328 2.868 20 5 CFBDRN CCc1nn(C)c(NCC2(C)CCCC2)c1[N+](=O)[O-] ZINC000091562547 180192456 /nfs/dbraw/zinc/19/24/56/180192456.db2.gz UWWWYMSVKALASK-UHFFFAOYSA-N 0 0 266.345 2.883 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000598235589 350222469 /nfs/dbraw/zinc/22/24/69/350222469.db2.gz ZWIYTDLTKFXGNC-GFCCVEGCSA-N 0 0 278.308 2.522 20 5 CFBDRN CCn1ncc(C)c1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000598199905 350212692 /nfs/dbraw/zinc/21/26/92/350212692.db2.gz OWKRCFXYNCFVGD-UHFFFAOYSA-N 0 0 294.336 2.742 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])s1 ZINC000598207473 350213861 /nfs/dbraw/zinc/21/38/61/350213861.db2.gz GDTCTSASARVDBN-UTLUCORTSA-N 0 0 280.349 2.822 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H](C)c1ccccn1 ZINC000091549555 180188832 /nfs/dbraw/zinc/18/88/32/180188832.db2.gz UJBWXULKGKSTQH-SECBINFHSA-N 0 0 275.312 2.688 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2CCC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000598211494 350215222 /nfs/dbraw/zinc/21/52/22/350215222.db2.gz FRUKTLOTZAAFND-NEPJUHHUSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@H]2C[C@H]2C1 ZINC000598326522 350234587 /nfs/dbraw/zinc/23/45/87/350234587.db2.gz CQDJDTZUZLCIGC-ONGXEEELSA-N 0 0 286.287 2.823 20 5 CFBDRN CC1(C)[C@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)C1(F)F ZINC000598340019 350240228 /nfs/dbraw/zinc/24/02/28/350240228.db2.gz GKELYTJMAIEADB-JTQLQIEISA-N 0 0 284.262 2.616 20 5 CFBDRN CC(C)CC1(NC(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000598401818 350257380 /nfs/dbraw/zinc/25/73/80/350257380.db2.gz DKDZGNOBBWWKCH-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCO[C@@H](C)C2)n1 ZINC000271343274 191085009 /nfs/dbraw/zinc/08/50/09/191085009.db2.gz MTKVFQABTCYVTC-WDEREUQCSA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1ccc(C(=O)NCC2=CCCC2)cc1[N+](=O)[O-] ZINC000598379499 350252093 /nfs/dbraw/zinc/25/20/93/350252093.db2.gz VHOJCRKPEYGMDK-UHFFFAOYSA-N 0 0 260.293 2.743 20 5 CFBDRN CC(C)[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598382133 350253479 /nfs/dbraw/zinc/25/34/79/350253479.db2.gz SRMJQQBZUIFEDA-OAHLLOKOSA-N 0 0 276.336 2.688 20 5 CFBDRN Cc1cc(C(=O)N[C@@]2(C)CC2(C)C)ccc1[N+](=O)[O-] ZINC000598384601 350254592 /nfs/dbraw/zinc/25/45/92/350254592.db2.gz FEAXIADPGUFXDR-AWEZNQCLSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@]1(C)CC1(C)C ZINC000598388497 350255239 /nfs/dbraw/zinc/25/52/39/350255239.db2.gz YVVFQNLOQKPZTH-CQSZACIVSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1c(CC(=O)N[C@]2(C)CC2(C)C)cccc1[N+](=O)[O-] ZINC000598388531 350255264 /nfs/dbraw/zinc/25/52/64/350255264.db2.gz ZRJPFCGCSSRTRX-OAHLLOKOSA-N 0 0 276.336 2.751 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000598555604 350282936 /nfs/dbraw/zinc/28/29/36/350282936.db2.gz CLNCAWMYNUEXGV-LLVKDONJSA-N 0 0 262.309 2.713 20 5 CFBDRN CNc1ccc(C(=O)N(C)C[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000598566400 350283586 /nfs/dbraw/zinc/28/35/86/350283586.db2.gz VQBQRBCTNUZFDL-NSHDSACASA-N 0 0 291.351 2.755 20 5 CFBDRN CC12CC(C1)CN2C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000598568523 350283994 /nfs/dbraw/zinc/28/39/94/350283994.db2.gz WPAPMCHBBIMFKZ-UHFFFAOYSA-N 0 0 299.330 2.560 20 5 CFBDRN C[C@@H](C(=O)N1CC2CC1(C)C2)c1cccc([N+](=O)[O-])c1 ZINC000598569507 350284155 /nfs/dbraw/zinc/28/41/55/350284155.db2.gz BFRQTZMNQSYMNU-RWWNRMGGSA-N 0 0 274.320 2.709 20 5 CFBDRN CC12CC(C1)CN2C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000598571508 350284249 /nfs/dbraw/zinc/28/42/49/350284249.db2.gz OLJHRTSHYGXUOY-UHFFFAOYSA-N 0 0 294.738 2.802 20 5 CFBDRN Cc1cc(C(=O)N2CC3CC2(C)C3)ccc1[N+](=O)[O-] ZINC000598568566 350284287 /nfs/dbraw/zinc/28/42/87/350284287.db2.gz ZMQVSHHNIXFRRC-UHFFFAOYSA-N 0 0 260.293 2.528 20 5 CFBDRN CC12CC(C1)CN2C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000598572662 350284971 /nfs/dbraw/zinc/28/49/71/350284971.db2.gz ZEYYUSOUOIVKMH-STOOLFAPSA-N 0 0 286.331 2.709 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000598439885 350269556 /nfs/dbraw/zinc/26/95/56/350269556.db2.gz YYUPWBYRKNJUDT-NSHDSACASA-N 0 0 291.351 2.936 20 5 CFBDRN CCCCC[C@H]1CCCCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000598459429 350271273 /nfs/dbraw/zinc/27/12/73/350271273.db2.gz FIMAWNVSVLRBOW-NSHDSACASA-N 0 0 294.355 2.893 20 5 CFBDRN CCc1n[nH]c(C(=O)N2[C@H](CC)CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000598462860 350272322 /nfs/dbraw/zinc/27/23/22/350272322.db2.gz SBAKQFUXPLDWCT-VHSXEESVSA-N 0 0 294.355 2.674 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N(CCC1CC1)CC1CC1 ZINC000598466671 350272946 /nfs/dbraw/zinc/27/29/46/350272946.db2.gz SWJNZCYICPDBKI-UHFFFAOYSA-N 0 0 278.308 2.840 20 5 CFBDRN CN(C[C@H]1CCc2ccccc21)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000598469506 350274106 /nfs/dbraw/zinc/27/41/06/350274106.db2.gz NTVNDUQAXCVSFW-GFCCVEGCSA-N 0 0 299.330 2.725 20 5 CFBDRN COC(=O)c1ccnc(N[C@H]2C[C@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000598630045 350297259 /nfs/dbraw/zinc/29/72/59/350297259.db2.gz SESKVZNKQLESNW-IINYFYTJSA-N 0 0 293.323 2.623 20 5 CFBDRN Cc1ccnc([C@@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)n1 ZINC000598641362 350299666 /nfs/dbraw/zinc/29/96/66/350299666.db2.gz SMAHZULEHGGQNE-MRVPVSSYSA-N 0 0 293.714 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(Cc3ccsc3)C2)nc1 ZINC000598643721 350300396 /nfs/dbraw/zinc/30/03/96/350300396.db2.gz SERLTLXMOKEFAT-UHFFFAOYSA-N 0 0 275.333 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(Cc3ccsc3)C2)cn1 ZINC000598643855 350300413 /nfs/dbraw/zinc/30/04/13/350300413.db2.gz VBSOALHCQGHNQS-UHFFFAOYSA-N 0 0 275.333 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CC[C@H](C)[C@@H](CO)C1 ZINC000598647559 350301057 /nfs/dbraw/zinc/30/10/57/350301057.db2.gz OTZGBJSBBGDBHC-FPMFFAJLSA-N 0 0 292.379 2.915 20 5 CFBDRN CC(=O)N1CC[C@@H](Nc2ccc(C(F)F)cc2[N+](=O)[O-])C1 ZINC000598646675 350301278 /nfs/dbraw/zinc/30/12/78/350301278.db2.gz WVIXTOREBVLNIN-SNVBAGLBSA-N 0 0 299.277 2.565 20 5 CFBDRN CC(C)c1cccc(C(=O)Nc2c([N+](=O)[O-])cnn2C)c1 ZINC000598828575 350335671 /nfs/dbraw/zinc/33/56/71/350335671.db2.gz RVXDQCCMTHVJLN-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC[C@@H](OC)C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598883572 350344351 /nfs/dbraw/zinc/34/43/51/350344351.db2.gz MLLWEQZMUVFEML-CQSZACIVSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC[C@@H]1CC1(F)F ZINC000598892996 350345113 /nfs/dbraw/zinc/34/51/13/350345113.db2.gz NYDCJKFWEWHBKO-SECBINFHSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCc3ncc(C)cc32)c1 ZINC000598940710 350347126 /nfs/dbraw/zinc/34/71/26/350347126.db2.gz QSIDYWAPHGIYPW-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CCc2cccnc21 ZINC000598946457 350348179 /nfs/dbraw/zinc/34/81/79/350348179.db2.gz RZEQHRNHJJEDEA-LLVKDONJSA-N 0 0 270.292 2.527 20 5 CFBDRN CCC[C@H](OCC)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000598953583 350348210 /nfs/dbraw/zinc/34/82/10/350348210.db2.gz DOECQOIJGZUTPR-LBPRGKRZSA-N 0 0 266.297 2.739 20 5 CFBDRN Cc1ncccc1CNCc1csc([N+](=O)[O-])c1 ZINC000598995943 350356794 /nfs/dbraw/zinc/35/67/94/350356794.db2.gz LNEQZVBXNABGMG-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN Cc1c(C(=O)N2CC(CC3CC3)C2)cccc1[N+](=O)[O-] ZINC000598778947 350328351 /nfs/dbraw/zinc/32/83/51/350328351.db2.gz QNUZHIDKQVWGBM-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN C[C@@H](C(=O)NCC[C@@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000598779054 350328402 /nfs/dbraw/zinc/32/84/02/350328402.db2.gz ACIGNJNJBWFTCG-MWLCHTKSSA-N 0 0 298.289 2.860 20 5 CFBDRN CC1CC(CNC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000598778831 350328580 /nfs/dbraw/zinc/32/85/80/350328580.db2.gz YQGKLLWGSXBLCE-UHFFFAOYSA-N 0 0 287.319 2.852 20 5 CFBDRN Cc1ccc(C(=O)NCC[C@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000598781507 350329197 /nfs/dbraw/zinc/32/91/97/350329197.db2.gz JHBKKOCHFHDWOX-JTQLQIEISA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1cc(C(=O)N2CC(CC3CC3)C2)cc([N+](=O)[O-])c1 ZINC000598781451 350329311 /nfs/dbraw/zinc/32/93/11/350329311.db2.gz NUYAFBPDYGKTCY-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN O=C([C@@H]1CCC[C@@H]2C[C@@H]21)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000598802381 350330362 /nfs/dbraw/zinc/33/03/62/350330362.db2.gz CPCUKUIALJRFCI-HONMWMINSA-N 0 0 286.331 2.920 20 5 CFBDRN CN(C(=O)C1CC2(CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000598804176 350331126 /nfs/dbraw/zinc/33/11/26/350331126.db2.gz UYVMPNPKHPWZNX-UHFFFAOYSA-N 0 0 260.293 2.748 20 5 CFBDRN CO[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000598807707 350331827 /nfs/dbraw/zinc/33/18/27/350331827.db2.gz IQKALXZEXLYVQO-CYBMUJFWSA-N 0 0 296.298 2.878 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)C12CC(C1)C2 ZINC000599068994 350370010 /nfs/dbraw/zinc/37/00/10/350370010.db2.gz SDXJRSUVUJOVQK-UHFFFAOYSA-N 0 0 298.245 2.935 20 5 CFBDRN C[C@H](Nc1cnn(CCF)c1)c1cccc([N+](=O)[O-])c1 ZINC000599069324 350370115 /nfs/dbraw/zinc/37/01/15/350370115.db2.gz GOIOJQMFKBFMPR-JTQLQIEISA-N 0 0 278.287 2.934 20 5 CFBDRN Cc1ccnc([C@H]2CCN(c3ccc([N+](=O)[O-])c(C)n3)C2)c1 ZINC000599102716 350376380 /nfs/dbraw/zinc/37/63/80/350376380.db2.gz SSDPORPAFKYSPR-ZDUSSCGKSA-N 0 0 298.346 2.996 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC[C@H]1CCCOC1 ZINC000599117232 350378137 /nfs/dbraw/zinc/37/81/37/350378137.db2.gz PSZXIPLYLLTLPN-LLVKDONJSA-N 0 0 250.298 2.823 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCC12CC2 ZINC000599118068 350378357 /nfs/dbraw/zinc/37/83/57/350378357.db2.gz HGIHOUACNQEQSU-ZDUSSCGKSA-N 0 0 274.320 2.791 20 5 CFBDRN CCC[C@H](CNc1c([N+](=O)[O-])c(C)nn1CC)OCC ZINC000599118074 350378683 /nfs/dbraw/zinc/37/86/83/350378683.db2.gz HJYDJBMJJKNPJQ-LLVKDONJSA-N 0 0 284.360 2.737 20 5 CFBDRN Cc1nsc(SCCOc2ccc([N+](=O)[O-])cc2)n1 ZINC000599125369 350380621 /nfs/dbraw/zinc/38/06/21/350380621.db2.gz NZEMOWDJLRCGRH-UHFFFAOYSA-N 0 0 297.361 2.926 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1S[C@H]1COC(C)(C)C1 ZINC000599125887 350380825 /nfs/dbraw/zinc/38/08/25/350380825.db2.gz SUBOKLWTXIKMCU-SECBINFHSA-N 0 0 285.369 2.779 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCC2CC=CC2)s1 ZINC000599125868 350380863 /nfs/dbraw/zinc/38/08/63/350380863.db2.gz DWFZXBDRWUDHRE-UHFFFAOYSA-N 0 0 276.321 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCC1CC=CC1)CCN2 ZINC000599127012 350381005 /nfs/dbraw/zinc/38/10/05/350381005.db2.gz LZOVRFXNEHWDKM-UHFFFAOYSA-N 0 0 259.309 2.941 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1S[C@H]1COC(C)(C)C1 ZINC000599126209 350381140 /nfs/dbraw/zinc/38/11/40/350381140.db2.gz YATJNJJRRLCLJP-SECBINFHSA-N 0 0 285.369 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCN2CC(F)F)s1 ZINC000599134429 350381768 /nfs/dbraw/zinc/38/17/68/350381768.db2.gz WSWCJNSGBHBJKM-QMMMGPOBSA-N 0 0 291.323 2.798 20 5 CFBDRN CC1(C)CC(=O)CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000599138004 350383138 /nfs/dbraw/zinc/38/31/38/350383138.db2.gz XIABDJFVECURBS-UHFFFAOYSA-N 0 0 297.742 2.839 20 5 CFBDRN CC(=O)c1ccc(N[C@@]23C[C@@H]2COC3(C)C)c([N+](=O)[O-])c1 ZINC000599142740 350383750 /nfs/dbraw/zinc/38/37/50/350383750.db2.gz KITGKFILHJOLHU-ABAIWWIYSA-N 0 0 290.319 2.777 20 5 CFBDRN CC1(C)OC[C@@H]2C[C@@]21Nc1ncc([N+](=O)[O-])cc1Cl ZINC000599141849 350383837 /nfs/dbraw/zinc/38/38/37/350383837.db2.gz FBVVRQUULALNET-JVXZTZIISA-N 0 0 283.715 2.623 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000599147875 350384974 /nfs/dbraw/zinc/38/49/74/350384974.db2.gz RBCRUZNTVSPNMS-PWSUYJOCSA-N 0 0 272.251 2.852 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000599148184 350385710 /nfs/dbraw/zinc/38/57/10/350385710.db2.gz YERWCRLCPGOXBR-KOLCDFICSA-N 0 0 271.704 2.623 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCC[C@H]1CC=CCC1 ZINC000599155775 350386155 /nfs/dbraw/zinc/38/61/55/350386155.db2.gz AKPQOTCFLRMIPR-NSHDSACASA-N 0 0 289.335 2.852 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1c1ccc([N+](=O)[O-])c(N)c1F ZINC000599161980 350386881 /nfs/dbraw/zinc/38/68/81/350386881.db2.gz DPPVNNMWFXQEHL-ZETCQYMHSA-N 0 0 289.257 2.940 20 5 CFBDRN Cc1nc(N2CCC(F)(F)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000599162794 350386960 /nfs/dbraw/zinc/38/69/60/350386960.db2.gz QPQSTGDEXKLXOQ-MRVPVSSYSA-N 0 0 271.267 2.922 20 5 CFBDRN Cc1ncccc1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000599157048 350386991 /nfs/dbraw/zinc/38/69/91/350386991.db2.gz RNLVSDIAYGQVQJ-UHFFFAOYSA-N 0 0 284.319 2.878 20 5 CFBDRN Cc1ccnc(N[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000599165495 350387561 /nfs/dbraw/zinc/38/75/61/350387561.db2.gz RUZUZFYUFKCXKB-ZNSHCXBVSA-N 0 0 265.313 2.522 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC1 ZINC000599169466 350388068 /nfs/dbraw/zinc/38/80/68/350388068.db2.gz AUKFIXIZDJTXTL-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CC(C)(CNc1sccc1[N+](=O)[O-])C(=O)N1CCCC1 ZINC000599171051 350388701 /nfs/dbraw/zinc/38/87/01/350388701.db2.gz WVLSVEVLKZZBHT-UHFFFAOYSA-N 0 0 297.380 2.717 20 5 CFBDRN COc1cccc2c1CN(c1ncc([N+](=O)[O-])cc1C)C2 ZINC000599174427 350389341 /nfs/dbraw/zinc/38/93/41/350389341.db2.gz YPNDSXGCDDBJOC-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN Cc1cc(NCC2COC2)c2cccc([N+](=O)[O-])c2n1 ZINC000599179305 350390417 /nfs/dbraw/zinc/39/04/17/350390417.db2.gz VNRIAIJWGXORCL-UHFFFAOYSA-N 0 0 273.292 2.510 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000599180088 350390609 /nfs/dbraw/zinc/39/06/09/350390609.db2.gz JBRDQTVQIGUTDB-WPRPVWTQSA-N 0 0 254.311 2.636 20 5 CFBDRN Cc1cc(N[C@H]2CO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599180913 350390700 /nfs/dbraw/zinc/39/07/00/350390700.db2.gz OBYARTNYHMUHRL-OCCSQVGLSA-N 0 0 262.309 2.883 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CO[C@H](C2CC2)C1 ZINC000599180054 350390855 /nfs/dbraw/zinc/39/08/55/350390855.db2.gz IPIWOAPIYNKQGV-MFKMUULPSA-N 0 0 278.308 2.583 20 5 CFBDRN CCOc1cccc(N[C@@H]2CO[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599181222 350391126 /nfs/dbraw/zinc/39/11/26/350391126.db2.gz QNWRTXULEHUPII-SMDDNHRTSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000599181939 350391709 /nfs/dbraw/zinc/39/17/09/350391709.db2.gz VJRDLCCFUCQTDG-MWLCHTKSSA-N 0 0 283.715 2.623 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)C1 ZINC000599189430 350392831 /nfs/dbraw/zinc/39/28/31/350392831.db2.gz FAHCQMLPCGPQDQ-JOCQHMNTSA-N 0 0 299.330 2.668 20 5 CFBDRN COc1cc(N[C@H]2C[C@H](OC)C2)c(Cl)cc1[N+](=O)[O-] ZINC000599191622 350393730 /nfs/dbraw/zinc/39/37/30/350393730.db2.gz AYYYJRNUVMLYET-ZKCHVHJHSA-N 0 0 286.715 2.846 20 5 CFBDRN NC(=O)CCSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000599195473 350394341 /nfs/dbraw/zinc/39/43/41/350394341.db2.gz RBEOAIRYMISUGU-UHFFFAOYSA-N 0 0 294.254 2.581 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCC23CC3)ncc1[N+](=O)[O-] ZINC000599205088 350395858 /nfs/dbraw/zinc/39/58/58/350395858.db2.gz DBYQWJLFMSDSOF-NSHDSACASA-N 0 0 261.325 2.712 20 5 CFBDRN Cn1c(-c2nc(C3(C)CCCC3)no2)ccc1[N+](=O)[O-] ZINC000599206044 350396032 /nfs/dbraw/zinc/39/60/32/350396032.db2.gz LYZSFOMLDFEKNZ-UHFFFAOYSA-N 0 0 276.296 2.815 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000599206285 350396495 /nfs/dbraw/zinc/39/64/95/350396495.db2.gz QFAKEVMKICZCDE-PHIMTYICSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1nnc(COc2cc(C)ccc2[N+](=O)[O-])s1 ZINC000271370039 191102146 /nfs/dbraw/zinc/10/21/46/191102146.db2.gz KTNXNPUQBPEWCE-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2COC3(CCCC3)O2)c(F)c1 ZINC000271373419 191103011 /nfs/dbraw/zinc/10/30/11/191103011.db2.gz LSBZNGXADZMXEX-LLVKDONJSA-N 0 0 296.298 2.832 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2C1 ZINC000105981157 186095567 /nfs/dbraw/zinc/09/55/67/186095567.db2.gz FPZLWDIJDFUNAB-UHFFFAOYSA-N 0 0 282.299 2.680 20 5 CFBDRN O=C(N1CCCc2ccc([N+](=O)[O-])cc21)C12CC(C1)C2 ZINC000599536693 350452429 /nfs/dbraw/zinc/45/24/29/350452429.db2.gz OUWWOZQUGOWRRV-UHFFFAOYSA-N 0 0 272.304 2.674 20 5 CFBDRN CCO[C@@H](C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000599744956 350490018 /nfs/dbraw/zinc/49/00/18/350490018.db2.gz UCADXMMWFPLSLP-QMTHXVAHSA-N 0 0 292.335 2.587 20 5 CFBDRN COCC(C)(C)CC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000415341292 233487407 /nfs/dbraw/zinc/48/74/07/233487407.db2.gz ONJDAIPOJLWMQM-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN CC[C@H](C)CN[C@@](C)(C(=O)OC)c1cccc([N+](=O)[O-])c1 ZINC000599652219 350473784 /nfs/dbraw/zinc/47/37/84/350473784.db2.gz KUCXYMBAXZBNTR-XHDPSFHLSA-N 0 0 294.351 2.619 20 5 CFBDRN CCC(O)(CC)CN(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000599672553 350477159 /nfs/dbraw/zinc/47/71/59/350477159.db2.gz FXOJGKBMXYZFTJ-UHFFFAOYSA-N 0 0 284.331 2.717 20 5 CFBDRN CCCN(C[C@H]1CCCCO1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000106694991 186132510 /nfs/dbraw/zinc/13/25/10/186132510.db2.gz PFYGPRKMRHDOEY-LLVKDONJSA-N 0 0 296.323 2.609 20 5 CFBDRN CNC(=O)CCS[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000565068400 290264780 /nfs/dbraw/zinc/26/47/80/290264780.db2.gz FYIZWRVOGBOMHS-VIFPVBQESA-N 0 0 268.338 2.525 20 5 CFBDRN COc1ccc(C(=O)Nc2ccncc2C)cc1[N+](=O)[O-] ZINC000107292214 186167216 /nfs/dbraw/zinc/16/72/16/186167216.db2.gz MBCRTJFMGMKVON-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCO[C@@H](C(=O)NCc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000599820046 350495359 /nfs/dbraw/zinc/49/53/59/350495359.db2.gz DULOANZKXSTXEQ-CQSZACIVSA-N 0 0 294.351 2.581 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000599829379 350495850 /nfs/dbraw/zinc/49/58/50/350495850.db2.gz GOPAXPXHOHQHFW-PRHODGIISA-N 0 0 286.291 2.673 20 5 CFBDRN CC(C)(O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000107062528 186157254 /nfs/dbraw/zinc/15/72/54/186157254.db2.gz MTCHKFLBSMLSCC-UHFFFAOYSA-N 0 0 261.277 2.898 20 5 CFBDRN CCOC(=O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000107062526 186157340 /nfs/dbraw/zinc/15/73/40/186157340.db2.gz OPOVENWRDLIJPJ-UHFFFAOYSA-N 0 0 275.260 2.690 20 5 CFBDRN CC(C)(C)n1nccc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000107126738 186159533 /nfs/dbraw/zinc/15/95/33/186159533.db2.gz UQKIQEJLPZZVMC-UHFFFAOYSA-N 0 0 288.307 2.799 20 5 CFBDRN Cc1sc(C(=O)NCC[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000600172643 350530299 /nfs/dbraw/zinc/53/02/99/350530299.db2.gz LIRCHTCTTWQBTC-SNVBAGLBSA-N 0 0 298.364 2.511 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCO[C@H](CCF)C1 ZINC000600203939 350538460 /nfs/dbraw/zinc/53/84/60/350538460.db2.gz GNGYRGZXWFNILV-PKXJPQMGSA-N 0 0 294.326 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCc2cn[nH]c2)cc1 ZINC000600567793 350571665 /nfs/dbraw/zinc/57/16/65/350571665.db2.gz DMUAGUBQQWFMNZ-UHFFFAOYSA-N 0 0 279.321 2.630 20 5 CFBDRN CCOC(=O)CCSCCOc1ccc([N+](=O)[O-])cc1 ZINC000600572592 350573224 /nfs/dbraw/zinc/57/32/24/350573224.db2.gz ZRHJBAJHJNDLFP-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H](O)C1CCCCC1 ZINC000108349680 186212547 /nfs/dbraw/zinc/21/25/47/186212547.db2.gz AJTHKNQTOGAWHU-ZDUSSCGKSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1sc(C(=O)NCC2CC=CC2)cc1[N+](=O)[O-] ZINC000600229970 350544230 /nfs/dbraw/zinc/54/42/30/350544230.db2.gz MJDPPRJYNXWNNQ-UHFFFAOYSA-N 0 0 266.322 2.661 20 5 CFBDRN Cc1ccc(C(=O)NCC2CC=CC2)cc1[N+](=O)[O-] ZINC000600231037 350544479 /nfs/dbraw/zinc/54/44/79/350544479.db2.gz ZNQGEPLGIJSBJQ-UHFFFAOYSA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@H]2CC[C@@H](C1)S2 ZINC000600275335 350546732 /nfs/dbraw/zinc/54/67/32/350546732.db2.gz ABJATXONIIUJGF-BDAKNGLRSA-N 0 0 298.389 2.766 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@H]2CC[C@@H](C1)S2 ZINC000600278501 350546762 /nfs/dbraw/zinc/54/67/62/350546762.db2.gz JLTTUWJGQYPERR-OLZOCXBDSA-N 0 0 292.360 2.705 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC[C@H]1CC=CCC1 ZINC000600390570 350553372 /nfs/dbraw/zinc/55/33/72/350553372.db2.gz DQIAOYHSPXVTCA-ZDUSSCGKSA-N 0 0 288.347 3.000 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC(F)(F)C[C@@H]1C ZINC000600450401 350557440 /nfs/dbraw/zinc/55/74/40/350557440.db2.gz VSJJTDCLMOZANE-QMMMGPOBSA-N 0 0 299.277 2.558 20 5 CFBDRN C/C=C\CNC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] ZINC000600491369 350559159 /nfs/dbraw/zinc/55/91/59/350559159.db2.gz NZKGWWVPHZVQJS-PLNGDYQASA-N 0 0 296.348 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCc2cnoc2)c(F)c1 ZINC000600520082 350562549 /nfs/dbraw/zinc/56/25/49/350562549.db2.gz MTJWYXOSCCTWEA-UHFFFAOYSA-N 0 0 266.228 2.734 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C1CC(Oc2ccccc2)C1 ZINC000600523942 350563216 /nfs/dbraw/zinc/56/32/16/350563216.db2.gz YQLIGPLFJAOBCE-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2C[C@H]3C=C[C@@H]2C3)nc1C1CC1 ZINC000600530200 350565258 /nfs/dbraw/zinc/56/52/58/350565258.db2.gz BJLQGKOZYLWAAJ-MVWJERBFSA-N 0 0 259.309 2.881 20 5 CFBDRN CCc1ccc(OCCOC2CCOCC2)c([N+](=O)[O-])c1 ZINC000600530983 350565420 /nfs/dbraw/zinc/56/54/20/350565420.db2.gz PLZSHUWEQOKWAS-UHFFFAOYSA-N 0 0 295.335 2.732 20 5 CFBDRN CCOc1cc(OCc2cc(OC)no2)ccc1[N+](=O)[O-] ZINC000600532579 350566539 /nfs/dbraw/zinc/56/65/39/350566539.db2.gz NUGZIBGNFWFRFJ-UHFFFAOYSA-N 0 0 294.263 2.569 20 5 CFBDRN COC(=O)[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(C)(C)C ZINC000600535414 350567074 /nfs/dbraw/zinc/56/70/74/350567074.db2.gz GWMPUMAGGYSWRH-SNVBAGLBSA-N 0 0 284.337 2.670 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC000600536145 350567247 /nfs/dbraw/zinc/56/72/47/350567247.db2.gz JJRAHDNGAVXHFW-IVZWLZJFSA-N 0 0 280.711 2.622 20 5 CFBDRN C[C@H]1C[C@@H]1Cn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000600676386 350595611 /nfs/dbraw/zinc/59/56/11/350595611.db2.gz UIUKYTXNEQFBBG-GXSJLCMTSA-N 0 0 258.277 2.566 20 5 CFBDRN C[C@@H]1C[C@@H]1Cn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000600676388 350595778 /nfs/dbraw/zinc/59/57/78/350595778.db2.gz UIUKYTXNEQFBBG-MWLCHTKSSA-N 0 0 258.277 2.566 20 5 CFBDRN COc1nc(C)ccc1OCCc1ccc([N+](=O)[O-])cc1 ZINC000600678690 350596459 /nfs/dbraw/zinc/59/64/59/350596459.db2.gz SAENZIUHRXGXBP-UHFFFAOYSA-N 0 0 288.303 2.928 20 5 CFBDRN Cc1ccc(NC(=O)CCC2(O)CCC2)cc1[N+](=O)[O-] ZINC000600882821 350622671 /nfs/dbraw/zinc/62/26/71/350622671.db2.gz FOZDSMGIEMMKMY-UHFFFAOYSA-N 0 0 278.308 2.537 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H](C)O[C@H]1C ZINC000600884530 350622943 /nfs/dbraw/zinc/62/29/43/350622943.db2.gz UBRHOJOENNSFRI-JFGNBEQYSA-N 0 0 278.308 2.655 20 5 CFBDRN CCC(CC)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600890551 350623691 /nfs/dbraw/zinc/62/36/91/350623691.db2.gz BZFAGAOSZCEACF-UHFFFAOYSA-N 0 0 254.261 2.652 20 5 CFBDRN CC1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000600890487 350623760 /nfs/dbraw/zinc/62/37/60/350623760.db2.gz AARCGGPSEHZVHS-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CCC(O)(CC)CN(C)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000600766036 350607055 /nfs/dbraw/zinc/60/70/55/350607055.db2.gz FLJLCLJUGAFEMN-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN CCOCCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129845275 187457205 /nfs/dbraw/zinc/45/72/05/187457205.db2.gz PTUYESOURVTPMD-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN CCc1[nH]nc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1C ZINC000109894606 186279200 /nfs/dbraw/zinc/27/92/00/186279200.db2.gz HLWMRADBUXTNHA-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN CCCCN(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600985405 350642071 /nfs/dbraw/zinc/64/20/71/350642071.db2.gz OUUPFTCCMMHETR-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN O=C(OC[C@H]1CCCCO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110163860 186287489 /nfs/dbraw/zinc/28/74/89/186287489.db2.gz ATIGIJSGDKVZMG-SECBINFHSA-N 0 0 299.710 2.974 20 5 CFBDRN Cn1nc2c(c1/C=C\c1ccnc([N+](=O)[O-])c1)CCCC2 ZINC000601043723 350649956 /nfs/dbraw/zinc/64/99/56/350649956.db2.gz HWJJPLRRHJSLPX-SREVYHEPSA-N 0 0 284.319 2.773 20 5 CFBDRN CCOc1ccc(C(=O)N(C)CC2CCC2)cc1[N+](=O)[O-] ZINC000110472362 186297944 /nfs/dbraw/zinc/29/79/44/186297944.db2.gz NVBHMBQXHARGMM-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN O=C([O-])[C@@H]1CCCCC[C@@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000600942366 350633979 /nfs/dbraw/zinc/63/39/79/350633979.db2.gz BPNWQTQIVLKWPN-KGLIPLIRSA-N 0 0 292.335 2.718 20 5 CFBDRN CCC[C@](C)(O)CNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601116247 350672459 /nfs/dbraw/zinc/67/24/59/350672459.db2.gz JGDOOWFRUVEIAF-HNNXBMFYSA-N 0 0 289.335 2.528 20 5 CFBDRN CC(C)[C@@H](C)N(C)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601104415 350668993 /nfs/dbraw/zinc/66/89/93/350668993.db2.gz WHTKXSDRZDCPAP-SSDOTTSWSA-N 0 0 294.277 2.828 20 5 CFBDRN CCc1cnc(CN(C)c2ncc(F)cc2[N+](=O)[O-])s1 ZINC000601124496 350675055 /nfs/dbraw/zinc/67/50/55/350675055.db2.gz PXVJXKVPSLTYQN-UHFFFAOYSA-N 0 0 296.327 2.784 20 5 CFBDRN CCc1nc(CN(C)c2ncc(F)cc2[N+](=O)[O-])cs1 ZINC000601108236 350670087 /nfs/dbraw/zinc/67/00/87/350670087.db2.gz JJLPUKITCPJUPH-UHFFFAOYSA-N 0 0 296.327 2.784 20 5 CFBDRN C[C@@H](CNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C)C1CC1 ZINC000601108746 350670579 /nfs/dbraw/zinc/67/05/79/350670579.db2.gz OEIGYRBOMPMFBH-LURJTMIESA-N 0 0 292.261 2.805 20 5 CFBDRN C[C@@H](COCC(F)(F)F)Nc1ncc(F)cc1[N+](=O)[O-] ZINC000601108809 350670615 /nfs/dbraw/zinc/67/06/15/350670615.db2.gz MURJIYDBBAFZLR-LURJTMIESA-N 0 0 297.208 2.508 20 5 CFBDRN C[C@H](CNc1c([N+](=O)[O-])c(C(F)(F)F)nn1C)C1CC1 ZINC000601108747 350670724 /nfs/dbraw/zinc/67/07/24/350670724.db2.gz OEIGYRBOMPMFBH-ZCFIWIBFSA-N 0 0 292.261 2.805 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCCOC1CCCC1 ZINC000601109049 350670859 /nfs/dbraw/zinc/67/08/59/350670859.db2.gz QKGVEQZXTUSFLC-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN C[C@@H]1CCC[C@H]1Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601114279 350671755 /nfs/dbraw/zinc/67/17/55/350671755.db2.gz OUELETQRUJNBAB-RNFRBKRXSA-N 0 0 292.261 2.948 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nccc3cc([N+](=O)[O-])ccc32)[C@H](C)O1 ZINC000601224048 350702006 /nfs/dbraw/zinc/70/20/06/350702006.db2.gz XLRWACJYSLBGFX-BFVZDQMLSA-N 0 0 287.319 2.543 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)[C@@H](C)O1 ZINC000601223477 350702274 /nfs/dbraw/zinc/70/22/74/350702274.db2.gz CONDEFLDENQLGH-IQMDTDKHSA-N 0 0 287.319 2.543 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H](C)O[C@H]2C)c([N+](=O)[O-])cc1F ZINC000601223560 350702283 /nfs/dbraw/zinc/70/22/83/350702283.db2.gz GEAHXOQZTBTNQG-OYNCUSHFSA-N 0 0 284.287 2.720 20 5 CFBDRN CC[C@]1(O)CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000601232855 350704773 /nfs/dbraw/zinc/70/47/73/350704773.db2.gz GXSLHARECUNLLY-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN CC1(F)CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000601246952 350708314 /nfs/dbraw/zinc/70/83/14/350708314.db2.gz VQUSKUPKLPGPAM-UHFFFAOYSA-N 0 0 273.695 2.972 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@H](C2CCC2)CC1 ZINC000601247024 350709003 /nfs/dbraw/zinc/70/90/03/350709003.db2.gz YKVIWOYVSNMMCL-LBPRGKRZSA-N 0 0 291.351 2.965 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](C1CCC1)[C@@H]1CCCO1 ZINC000601249680 350709029 /nfs/dbraw/zinc/70/90/29/350709029.db2.gz HIJYXVANQXQNAY-STQMWFEESA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H](O)[C@@H]2CCCC[C@H]21 ZINC000601252967 350710176 /nfs/dbraw/zinc/71/01/76/350710176.db2.gz XTQVCKOLVOEOHQ-JMSVASOKSA-N 0 0 294.326 2.864 20 5 CFBDRN CC[C@H]1CN(C(=O)c2c(F)cccc2[N+](=O)[O-])CCS1 ZINC000601260102 350711035 /nfs/dbraw/zinc/71/10/35/350711035.db2.gz LUUHZXLOOJOOOZ-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCCn2nccc21 ZINC000601269525 350713372 /nfs/dbraw/zinc/71/33/72/350713372.db2.gz GZPHBZNYSAGGKR-JTQLQIEISA-N 0 0 276.271 2.877 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCn3nccc32)c(Cl)c1 ZINC000601270148 350714074 /nfs/dbraw/zinc/71/40/74/350714074.db2.gz SFFQIQURNKKDBT-SNVBAGLBSA-N 0 0 293.714 2.787 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@@]2(CCCOC2)C1 ZINC000601124780 350675279 /nfs/dbraw/zinc/67/52/79/350675279.db2.gz SOAXWWVIQIOXOY-CQSZACIVSA-N 0 0 295.314 2.526 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCC[C@H]1CCCC[C@@H]1O ZINC000601130664 350677500 /nfs/dbraw/zinc/67/75/00/350677500.db2.gz APJDYVUHDODVIM-MFKMUULPSA-N 0 0 297.330 2.872 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2ccsc2[N+](=O)[O-])n[nH]1 ZINC000601131971 350677547 /nfs/dbraw/zinc/67/75/47/350677547.db2.gz TWAJALKVZPNJCI-SSDOTTSWSA-N 0 0 266.326 2.731 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCSCC(F)(F)F ZINC000601137143 350679182 /nfs/dbraw/zinc/67/91/82/350679182.db2.gz UWYACTSWRPBMQB-UHFFFAOYSA-N 0 0 299.249 2.836 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)C[C@H](C)O1 ZINC000111010846 186343274 /nfs/dbraw/zinc/34/32/74/186343274.db2.gz BVQBTRIFFLAAGJ-CMPLNLGQSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@]1(CNc2ncc(F)cc2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000601143726 350681228 /nfs/dbraw/zinc/68/12/28/350681228.db2.gz JCZZUNRGFOIXNM-TZMCWYRMSA-N 0 0 295.314 2.746 20 5 CFBDRN CC(C)(C)OC(=O)CSc1ncc(F)cc1[N+](=O)[O-] ZINC000601143908 350681285 /nfs/dbraw/zinc/68/12/85/350681285.db2.gz MPFJKYCZXYRPDE-UHFFFAOYSA-N 0 0 288.300 2.563 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@@H](OCC2CC2)C1 ZINC000601147255 350681925 /nfs/dbraw/zinc/68/19/25/350681925.db2.gz KFIKCMQTYZJOSO-GFCCVEGCSA-N 0 0 295.314 2.524 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601149251 350682074 /nfs/dbraw/zinc/68/20/74/350682074.db2.gz INXNPOPDFIVHOX-SECBINFHSA-N 0 0 268.288 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cnn3c2CCCC3)cc1 ZINC000601151264 350682850 /nfs/dbraw/zinc/68/28/50/350682850.db2.gz UZOKKSKSEQPLEM-UHFFFAOYSA-N 0 0 272.308 2.740 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601277151 350715219 /nfs/dbraw/zinc/71/52/19/350715219.db2.gz TYPUTOJENWPUTC-DTWKUNHWSA-N 0 0 266.272 2.604 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC[C@@H](CC(F)(F)F)C1 ZINC000601154517 350683749 /nfs/dbraw/zinc/68/37/49/350683749.db2.gz MYNHIRTZRKTRJB-ZETCQYMHSA-N 0 0 293.220 2.908 20 5 CFBDRN CC(C)[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000271400059 191117224 /nfs/dbraw/zinc/11/72/24/191117224.db2.gz INDBDSWLNSUATO-ZYHUDNBSSA-N 0 0 265.313 2.605 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC2(CCOCC2)CC1 ZINC000601161628 350685417 /nfs/dbraw/zinc/68/54/17/350685417.db2.gz QEJWHXXNRVLYJO-UHFFFAOYSA-N 0 0 295.314 2.526 20 5 CFBDRN C[C@H]1CSC[C@@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601165757 350685714 /nfs/dbraw/zinc/68/57/14/350685714.db2.gz URCJGNZRGJLQNU-XPTSAGLGSA-N 0 0 289.360 2.728 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@@H](F)C3)c2c1 ZINC000601171845 350687961 /nfs/dbraw/zinc/68/79/61/350687961.db2.gz RIOQDGODOKDAMG-SECBINFHSA-N 0 0 261.256 2.691 20 5 CFBDRN C[C@@]12CN(c3ncc(F)cc3[N+](=O)[O-])C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000601171976 350688714 /nfs/dbraw/zinc/68/87/14/350688714.db2.gz SJAYQOWMVJNYLI-HMDURAKOSA-N 0 0 289.310 2.777 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cc1F)n1ccnc1 ZINC000601197792 350694275 /nfs/dbraw/zinc/69/42/75/350694275.db2.gz GEYHCNKFFFEKJN-UHFFFAOYSA-N 0 0 278.287 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@H]4COC[C@H]4C3)c2c1 ZINC000601195737 350694470 /nfs/dbraw/zinc/69/44/70/350694470.db2.gz BCUYCBIJRXFBOQ-NWDGAFQWSA-N 0 0 299.330 2.616 20 5 CFBDRN O=C1CCCC12CCN(c1ccsc1[N+](=O)[O-])CC2 ZINC000601198345 350695015 /nfs/dbraw/zinc/69/50/15/350695015.db2.gz WIFYDEZXUCZWIJ-UHFFFAOYSA-N 0 0 280.349 2.996 20 5 CFBDRN CN(CC1(C)COC1)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601201091 350695801 /nfs/dbraw/zinc/69/58/01/350695801.db2.gz KXVVVGRSIDABCX-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1ccsc1[N+](=O)[O-] ZINC000601202626 350696304 /nfs/dbraw/zinc/69/63/04/350696304.db2.gz DHGDBKOIHLZHIU-QHOPCYEYSA-N 0 0 256.327 2.880 20 5 CFBDRN CC(C)(F)CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000601206466 350696913 /nfs/dbraw/zinc/69/69/13/350696913.db2.gz ZYARQIIXXJPYQK-UHFFFAOYSA-N 0 0 281.287 2.640 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@H]1CCCC12CC2 ZINC000601209215 350697449 /nfs/dbraw/zinc/69/74/49/350697449.db2.gz CKLSWVJGOVGIJL-JTQLQIEISA-N 0 0 251.261 2.874 20 5 CFBDRN COC(=O)C(C)(C)CSc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000601212416 350698892 /nfs/dbraw/zinc/69/88/92/350698892.db2.gz DQSODPOJLDITMS-UHFFFAOYSA-N 0 0 298.364 2.898 20 5 CFBDRN Cc1ccnc(N2CCC(CCF)CC2)c1[N+](=O)[O-] ZINC000601217435 350700336 /nfs/dbraw/zinc/70/03/36/350700336.db2.gz FSRDCRCFDAJSMQ-UHFFFAOYSA-N 0 0 267.304 2.874 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(CCF)CC2)cn1 ZINC000601218522 350701138 /nfs/dbraw/zinc/70/11/38/350701138.db2.gz XPIKQMPTLHZODN-UHFFFAOYSA-N 0 0 253.277 2.566 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@H](O)C(F)F)ccc2cnccc21 ZINC000601222749 350701603 /nfs/dbraw/zinc/70/16/03/350701603.db2.gz OYMCSEFDUPRFFX-NSHDSACASA-N 0 0 297.261 2.571 20 5 CFBDRN COc1cc(NCc2scnc2C)ccc1[N+](=O)[O-] ZINC000111050312 186348170 /nfs/dbraw/zinc/34/81/70/186348170.db2.gz CSIKLZJMZGPFQO-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN C[C@@H](F)CCNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601605735 350746609 /nfs/dbraw/zinc/74/66/09/350746609.db2.gz GXRHVKXRHLQCAZ-LLVKDONJSA-N 0 0 294.326 2.881 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@@H]1C(F)F ZINC000601607060 350746627 /nfs/dbraw/zinc/74/66/27/350746627.db2.gz VCNYBAYMWRRKLY-SNVBAGLBSA-N 0 0 295.245 2.556 20 5 CFBDRN CC(=O)CN(C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000601605822 350746808 /nfs/dbraw/zinc/74/68/08/350746808.db2.gz IJBOTYVTFCFBKN-GFCCVEGCSA-N 0 0 292.335 2.524 20 5 CFBDRN CN(CCC1CC1)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601355549 350725950 /nfs/dbraw/zinc/72/59/50/350725950.db2.gz UHZFEAMLJSYJLH-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000416255375 233558636 /nfs/dbraw/zinc/55/86/36/233558636.db2.gz IHNLPDNXTJDWRE-VIFPVBQESA-N 0 0 285.303 2.606 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C1(C(F)F)CCC1 ZINC000601382744 350729249 /nfs/dbraw/zinc/72/92/49/350729249.db2.gz HIEYGOLHSOCDAE-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C[C@H]1C ZINC000601397875 350729846 /nfs/dbraw/zinc/72/98/46/350729846.db2.gz VVQFATBDNTVOLS-VHSXEESVSA-N 0 0 280.299 2.852 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601411147 350730977 /nfs/dbraw/zinc/73/09/77/350730977.db2.gz ORMPXBPSPMLCDK-MRVPVSSYSA-N 0 0 286.328 2.605 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601411148 350731169 /nfs/dbraw/zinc/73/11/69/350731169.db2.gz ORMPXBPSPMLCDK-QMMMGPOBSA-N 0 0 286.328 2.605 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601546152 350738764 /nfs/dbraw/zinc/73/87/64/350738764.db2.gz ANCAWLADGWMTEH-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601554934 350739506 /nfs/dbraw/zinc/73/95/06/350739506.db2.gz BLDZGEWQJUGBGQ-NXEZZACHSA-N 0 0 280.299 2.900 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000601584012 350742416 /nfs/dbraw/zinc/74/24/16/350742416.db2.gz AXNPXEIOHRLDLD-YUSALJHKSA-N 0 0 292.335 2.964 20 5 CFBDRN CC1(C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@@H]1C1CC1 ZINC000601587545 350742997 /nfs/dbraw/zinc/74/29/97/350742997.db2.gz GJNGASPFUBQEKZ-CYBMUJFWSA-N 0 0 292.310 2.995 20 5 CFBDRN Cc1cc(-c2ccc([N+](=O)[O-])cc2)n(CC(C)(C)CO)n1 ZINC000601947816 350814678 /nfs/dbraw/zinc/81/46/78/350814678.db2.gz VWJXVINURPIDGC-UHFFFAOYSA-N 0 0 289.335 2.785 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC(CCF)CC1 ZINC000601744616 350770759 /nfs/dbraw/zinc/77/07/59/350770759.db2.gz NWCQZRNGHBLMPW-UHFFFAOYSA-N 0 0 298.289 2.946 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000601762863 350772786 /nfs/dbraw/zinc/77/27/86/350772786.db2.gz AHNKMOUGRNRVRB-MRTMQBJTSA-N 0 0 298.726 2.544 20 5 CFBDRN COc1cc(NC[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000271408577 191121321 /nfs/dbraw/zinc/12/13/21/191121321.db2.gz SNOAFLMNJLPHGU-GHMZBOCLSA-N 0 0 280.324 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCOCC2)c2cccnc21 ZINC000111165539 186363842 /nfs/dbraw/zinc/36/38/42/186363842.db2.gz QQADASBCXHOEDF-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@H](CN(C)c1ccc([N+](=O)[O-])c(C(N)=O)c1)C(C)(C)C ZINC000601980294 350822780 /nfs/dbraw/zinc/82/27/80/350822780.db2.gz GWUXRSPHORKTCA-SNVBAGLBSA-N 0 0 293.367 2.812 20 5 CFBDRN COc1cccc(C(=O)N2CCC(C)(F)CC2)c1[N+](=O)[O-] ZINC000601867709 350794653 /nfs/dbraw/zinc/79/46/53/350794653.db2.gz IKHDCWQVDVZXPG-UHFFFAOYSA-N 0 0 296.298 2.568 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000601871385 350795229 /nfs/dbraw/zinc/79/52/29/350795229.db2.gz STACGVDMDGFWGA-JTQLQIEISA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCC[C@@H]1CCCCO1 ZINC000601917208 350801104 /nfs/dbraw/zinc/80/11/04/350801104.db2.gz FWNQXLBIWCIHSO-LBPRGKRZSA-N 0 0 299.396 2.792 20 5 CFBDRN O=C1C[C@H]2CCCCC2=NN1c1ccc([N+](=O)[O-])cc1 ZINC000601933659 350806760 /nfs/dbraw/zinc/80/67/60/350806760.db2.gz FFPXCDWYBCOGOW-SNVBAGLBSA-N 0 0 273.292 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1N1N=C2CC[C@@H](C)[C@H]2C1=O ZINC000601934738 350807555 /nfs/dbraw/zinc/80/75/55/350807555.db2.gz DTMFQCFUOJRKPA-NOZJJQNGSA-N 0 0 273.292 2.652 20 5 CFBDRN Cc1nn(-c2cccc([N+](=O)[O-])c2C)c2c1CCC2 ZINC000601938825 350810403 /nfs/dbraw/zinc/81/04/03/350810403.db2.gz KEYXALNFULLRCI-UHFFFAOYSA-N 0 0 257.293 2.886 20 5 CFBDRN CCO[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000602232394 350854809 /nfs/dbraw/zinc/85/48/09/350854809.db2.gz SGOMAMCNEBUENV-ZDUSSCGKSA-N 0 0 280.324 2.903 20 5 CFBDRN C[C@@H](NC(=O)N(C1CC1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000602238295 350855201 /nfs/dbraw/zinc/85/52/01/350855201.db2.gz KKISHVDGYPXNLY-SNVBAGLBSA-N 0 0 289.335 2.992 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000602240609 350855904 /nfs/dbraw/zinc/85/59/04/350855904.db2.gz BULZYUZAVPFZBR-SECBINFHSA-N 0 0 287.319 2.947 20 5 CFBDRN CCC[C@H](OCC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000602249978 350856416 /nfs/dbraw/zinc/85/64/16/350856416.db2.gz OFPJJQAPAWELCJ-LBPRGKRZSA-N 0 0 284.287 2.878 20 5 CFBDRN C[C@@H]1SCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@H]1C ZINC000602266640 350857037 /nfs/dbraw/zinc/85/70/37/350857037.db2.gz ZGFVZJXQEBOJSO-IUCAKERBSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@H]1C ZINC000602266637 350857347 /nfs/dbraw/zinc/85/73/47/350857347.db2.gz ZGFVZJXQEBOJSO-DTWKUNHWSA-N 0 0 298.339 2.700 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000602303943 350861711 /nfs/dbraw/zinc/86/17/11/350861711.db2.gz YNBWSSLVLHLVKE-MIJXAVMKSA-N 0 0 292.335 2.901 20 5 CFBDRN C/C=C/CNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000602376637 350871559 /nfs/dbraw/zinc/87/15/59/350871559.db2.gz WDSGFNIPPKPLHG-SNAWJCMRSA-N 0 0 277.324 2.705 20 5 CFBDRN COCCCC(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602380417 350872318 /nfs/dbraw/zinc/87/23/18/350872318.db2.gz TVGHICXMUJQFKI-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ccncc12)[C@@H]1CC12CC2 ZINC000602386334 350872388 /nfs/dbraw/zinc/87/23/88/350872388.db2.gz YRJCFXSLUZPPLV-NSHDSACASA-N 0 0 283.287 2.882 20 5 CFBDRN CSc1cccc(C(=O)N2COCC2(C)C)c1[N+](=O)[O-] ZINC000602403727 350874966 /nfs/dbraw/zinc/87/49/66/350874966.db2.gz YTQNTQRRKNGTMO-UHFFFAOYSA-N 0 0 296.348 2.525 20 5 CFBDRN COc1cccc(C(=O)N[C@@]23C[C@@H]2CCCC3)c1[N+](=O)[O-] ZINC000602416836 350876767 /nfs/dbraw/zinc/87/67/67/350876767.db2.gz YBCDECMOVOEPFN-BONVTDFDSA-N 0 0 290.319 2.666 20 5 CFBDRN CN(Cc1cn(C)nc1[N+](=O)[O-])Cc1ccccc1Cl ZINC000602436325 350881596 /nfs/dbraw/zinc/88/15/96/350881596.db2.gz YQKDOKWZTIULRZ-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1CNCCF ZINC000602645518 350960157 /nfs/dbraw/zinc/96/01/57/350960157.db2.gz JNTPSZNMVRPKCA-UHFFFAOYSA-N 0 0 266.194 2.673 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC[C@H]3C3CC3)nc2c1 ZINC000602681948 350976878 /nfs/dbraw/zinc/97/68/78/350976878.db2.gz XQNTWCLRMYDZHX-LBPRGKRZSA-N 0 0 273.292 2.720 20 5 CFBDRN Cn1cc(C[N@@H+]2CC[C@H](C3CCCCC3)C2)c([N+](=O)[O-])n1 ZINC000602707858 350991024 /nfs/dbraw/zinc/99/10/24/350991024.db2.gz JYARJWDTFFNAHJ-ZDUSSCGKSA-N 0 0 292.383 2.731 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN1Cc2cnn(C)c2C1 ZINC000602719368 350998627 /nfs/dbraw/zinc/99/86/27/350998627.db2.gz PGMNPEUIPIKPGV-WUXMJOGZSA-N 0 0 298.346 2.747 20 5 CFBDRN Cc1c(NC(=O)N2OC3CCC2CC3)cccc1[N+](=O)[O-] ZINC000602763939 351017513 /nfs/dbraw/zinc/01/75/13/351017513.db2.gz VIRRBUWIWWZBPD-UHFFFAOYSA-N 0 0 291.307 2.994 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN1Cc2ccccc2[C@H]1C ZINC000602781246 351023582 /nfs/dbraw/zinc/02/35/82/351023582.db2.gz IRNJLGRAFPICSN-LLVKDONJSA-N 0 0 286.335 2.677 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1c[nH]cc1C1CC1 ZINC000602798277 351029278 /nfs/dbraw/zinc/02/92/78/351029278.db2.gz NJDRRQPVZIKEFM-UHFFFAOYSA-N 0 0 285.303 2.730 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC[NH+]1CCC(C)(C(C)C)CC1 ZINC000602811532 351035342 /nfs/dbraw/zinc/03/53/42/351035342.db2.gz LNUPNXGMEDYHPI-UHFFFAOYSA-N 0 0 294.399 2.858 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC[C@@H]1c1cn[nH]c1 ZINC000602883069 351057300 /nfs/dbraw/zinc/05/73/00/351057300.db2.gz VYUQDHXYWCWUJV-CYBMUJFWSA-N 0 0 272.308 2.655 20 5 CFBDRN Cc1ccc(NC(=O)N2OC3CCC2CC3)cc1[N+](=O)[O-] ZINC000602756800 351015011 /nfs/dbraw/zinc/01/50/11/351015011.db2.gz QEBBQZGCXNLWEF-UHFFFAOYSA-N 0 0 291.307 2.994 20 5 CFBDRN COC(C)(C)c1cn([C@@H](C)c2cccc([N+](=O)[O-])c2)nn1 ZINC000603185224 351115915 /nfs/dbraw/zinc/11/59/15/351115915.db2.gz DWHWTTCHZCOENX-JTQLQIEISA-N 0 0 290.323 2.677 20 5 CFBDRN CC[C@H](C)Cn1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000603194800 351116568 /nfs/dbraw/zinc/11/65/68/351116568.db2.gz FVSAKBKKJWGQNS-NSHDSACASA-N 0 0 290.323 2.811 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)NCCCC(C)(C)C ZINC000602954917 351071915 /nfs/dbraw/zinc/07/19/15/351071915.db2.gz WRWVRECCAWHMHS-UHFFFAOYSA-N 0 0 294.355 2.668 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)NC1(C(F)(F)F)CC1 ZINC000602959892 351072421 /nfs/dbraw/zinc/07/24/21/351072421.db2.gz SKCZLPOXTDWYTJ-UHFFFAOYSA-N 0 0 289.213 2.811 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(C[C@H]2CCC[C@@H](C)C2)c1=O ZINC000603358439 351146627 /nfs/dbraw/zinc/14/66/27/351146627.db2.gz JIBYSPKZVQJPRS-MNOVXSKESA-N 0 0 280.324 2.591 20 5 CFBDRN CCc1nnc(SCCc2ccc([N+](=O)[O-])cc2)o1 ZINC000603371071 351148939 /nfs/dbraw/zinc/14/89/39/351148939.db2.gz PYDYDYXKOSCFFK-UHFFFAOYSA-N 0 0 279.321 2.875 20 5 CFBDRN CCc1nnc(SCCOc2ccccc2[N+](=O)[O-])o1 ZINC000603371616 351149917 /nfs/dbraw/zinc/14/99/17/351149917.db2.gz UIQDLSJMSISLTL-UHFFFAOYSA-N 0 0 295.320 2.711 20 5 CFBDRN O=[N+]([O-])c1c(NCC2CSC2)ccc2cnccc21 ZINC000603414860 351160245 /nfs/dbraw/zinc/16/02/45/351160245.db2.gz WTDKUIJPJXGYGN-UHFFFAOYSA-N 0 0 275.333 2.918 20 5 CFBDRN CC(C)Oc1cccc(OCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000603421656 351161041 /nfs/dbraw/zinc/16/10/41/351161041.db2.gz AGDWLZXHZJXXJG-UHFFFAOYSA-N 0 0 291.307 2.695 20 5 CFBDRN Cc1c(NCc2ccc(C(C)C)c([N+](=O)[O-])c2)nnn1C ZINC000603426597 351162469 /nfs/dbraw/zinc/16/24/69/351162469.db2.gz BHAMQMXAJIXKSM-UHFFFAOYSA-N 0 0 289.339 2.767 20 5 CFBDRN CC(C)SCC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000603515466 351170720 /nfs/dbraw/zinc/17/07/20/351170720.db2.gz ZGLOBDQQUFKMDD-UHFFFAOYSA-N 0 0 280.349 2.626 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000603623898 351176544 /nfs/dbraw/zinc/17/65/44/351176544.db2.gz FMESZXOCAXHWSP-VIFPVBQESA-N 0 0 294.307 2.584 20 5 CFBDRN C[NH+](C)[C@H](CNc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000603837373 351184040 /nfs/dbraw/zinc/18/40/40/351184040.db2.gz CXTNFJGHLSSBSO-CQSZACIVSA-N 0 0 286.335 2.705 20 5 CFBDRN Cc1cc(CNc2cccnc2[N+](=O)[O-])cc(C)c1O ZINC000603864635 351187141 /nfs/dbraw/zinc/18/71/41/351187141.db2.gz PKXUARRAEDTCPQ-UHFFFAOYSA-N 0 0 273.292 2.924 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccc(Cl)o1 ZINC000603872770 351187968 /nfs/dbraw/zinc/18/79/68/351187968.db2.gz KBNBETRMTJEUBZ-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1cnn(Cc2ccccc2)c1 ZINC000603871546 351188203 /nfs/dbraw/zinc/18/82/03/351188203.db2.gz RFLKTIGCMAVEFU-UHFFFAOYSA-N 0 0 295.302 2.978 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@H]2C)c1[N+](=O)[O-] ZINC000603264448 351127430 /nfs/dbraw/zinc/12/74/30/351127430.db2.gz CDOMLFQLVDGBKX-MRVPVSSYSA-N 0 0 266.322 2.551 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000603267106 351128012 /nfs/dbraw/zinc/12/80/12/351128012.db2.gz QQOACNPYXPMGRV-SSDOTTSWSA-N 0 0 288.225 2.848 20 5 CFBDRN Cc1nn(CCCOC(C)(C)C)c2ncc([N+](=O)[O-])cc12 ZINC000603325302 351139412 /nfs/dbraw/zinc/13/94/12/351139412.db2.gz IZOAKMRLXLHQLR-UHFFFAOYSA-N 0 0 292.339 2.853 20 5 CFBDRN CCC(F)(F)Cn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000603327092 351139632 /nfs/dbraw/zinc/13/96/32/351139632.db2.gz CFFNAOVXALXXME-UHFFFAOYSA-N 0 0 272.207 2.548 20 5 CFBDRN Cc1ccc(OCc2cn(C)nc2[N+](=O)[O-])cc1Cl ZINC000603335578 351141858 /nfs/dbraw/zinc/14/18/58/351141858.db2.gz VADONDSJPZFGSM-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CCC[C@H](C)CCCn1ccc(N)c([N+](=O)[O-])c1=O ZINC000603345283 351144272 /nfs/dbraw/zinc/14/42/72/351144272.db2.gz TXPVHFZDAFUXOA-JTQLQIEISA-N 0 0 267.329 2.555 20 5 CFBDRN Cc1ccc(CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C2CC2)o1 ZINC000604244005 351203933 /nfs/dbraw/zinc/20/39/33/351203933.db2.gz YTYDFVDFANUVAR-UHFFFAOYSA-N 0 0 289.291 2.629 20 5 CFBDRN CN(CCc1ccc(F)cc1)c1cccnc1[N+](=O)[O-] ZINC000604322985 351207036 /nfs/dbraw/zinc/20/70/36/351207036.db2.gz XZGHZCOQQQYXLM-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H](C)C1CC1 ZINC000604402120 351211515 /nfs/dbraw/zinc/21/15/15/351211515.db2.gz UOJDXZNUDUIUPE-VIFPVBQESA-N 0 0 293.323 2.771 20 5 CFBDRN Cc1c(Oc2cc3c(nn2)CCC3)cccc1[N+](=O)[O-] ZINC000604500416 351215559 /nfs/dbraw/zinc/21/55/59/351215559.db2.gz XCMVPKOXILUBSN-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN O=C(CCNc1ccsc1[N+](=O)[O-])N1CCCCCC1 ZINC000604524831 351216686 /nfs/dbraw/zinc/21/66/86/351216686.db2.gz QWEVLVNRNLBFBQ-UHFFFAOYSA-N 0 0 297.380 2.861 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnoc1 ZINC000604542526 351217691 /nfs/dbraw/zinc/21/76/91/351217691.db2.gz GRAKIJTVALKGKZ-MRVPVSSYSA-N 0 0 293.304 2.702 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC[C@@H]1CCCO1 ZINC000117553712 186752268 /nfs/dbraw/zinc/75/22/68/186752268.db2.gz UAWUISNMLVNNAH-NSHDSACASA-N 0 0 267.281 2.551 20 5 CFBDRN CC(C)CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000291379573 198005047 /nfs/dbraw/zinc/00/50/47/198005047.db2.gz RKKBHWBXGMCWOP-UHFFFAOYSA-N 0 0 277.324 2.555 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCc2sccc2C1 ZINC000609332289 351495774 /nfs/dbraw/zinc/49/57/74/351495774.db2.gz OHHMMDZWPKQBMY-UHFFFAOYSA-N 0 0 291.332 2.573 20 5 CFBDRN CC(C)OC1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000609331242 351495963 /nfs/dbraw/zinc/49/59/63/351495963.db2.gz RQINEHXIXGCOJO-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CCOc2ccccc21 ZINC000609416989 351501125 /nfs/dbraw/zinc/50/11/25/351501125.db2.gz WIOVNDWQTGNEKV-NSHDSACASA-N 0 0 285.303 2.968 20 5 CFBDRN Cc1cc(C2CC2)nc(NC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000291411473 198014222 /nfs/dbraw/zinc/01/42/22/198014222.db2.gz FKQYSSYYRIFHSG-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1ccccc1CO ZINC000609560373 351507176 /nfs/dbraw/zinc/50/71/76/351507176.db2.gz HAVOTNGUARUCPM-UHFFFAOYSA-N 0 0 264.306 2.761 20 5 CFBDRN CCc1cc(N(C)Cc2ccccc2[N+](=O)[O-])ncn1 ZINC000609586119 351510028 /nfs/dbraw/zinc/51/00/28/351510028.db2.gz HVTNNEJJYAWKPR-UHFFFAOYSA-N 0 0 272.308 2.584 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc2[nH]ccc2c1 ZINC000609581497 351510242 /nfs/dbraw/zinc/51/02/42/351510242.db2.gz PCRUFDRLVXNYIP-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCO[C@H](C)C1 ZINC000291419231 198016471 /nfs/dbraw/zinc/01/64/71/198016471.db2.gz KDTMDXVLMGYNTP-PWSUYJOCSA-N 0 0 292.335 2.911 20 5 CFBDRN CO[C@@](C)(C(=O)Oc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000291418192 198016650 /nfs/dbraw/zinc/01/66/50/198016650.db2.gz FYOHMHSVSLLLJD-CQSZACIVSA-N 0 0 279.292 2.624 20 5 CFBDRN COC[C@@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000609586435 351510797 /nfs/dbraw/zinc/51/07/97/351510797.db2.gz GKVXEFCGOHZAIE-SECBINFHSA-N 0 0 256.327 2.519 20 5 CFBDRN COC[C@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000609586436 351511071 /nfs/dbraw/zinc/51/10/71/351511071.db2.gz GKVXEFCGOHZAIE-VIFPVBQESA-N 0 0 256.327 2.519 20 5 CFBDRN CC[C@H](CNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C)OC ZINC000291422502 198017344 /nfs/dbraw/zinc/01/73/44/198017344.db2.gz KOOQENVWOUOLPO-GFCCVEGCSA-N 0 0 294.351 2.675 20 5 CFBDRN CCC1(C)CCN(c2c([N+](=O)[O-])c(C)nn2C)CC1 ZINC000608779567 351470851 /nfs/dbraw/zinc/47/08/51/351470851.db2.gz LSRVYGKUUUWATA-UHFFFAOYSA-N 0 0 266.345 2.653 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000608808406 351473909 /nfs/dbraw/zinc/47/39/09/351473909.db2.gz VSMSKAXQHGRQKK-UHFFFAOYSA-N 0 0 280.305 2.912 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1cc2c(s1)CCCC2 ZINC000608972874 351482742 /nfs/dbraw/zinc/48/27/42/351482742.db2.gz RHYINOVINCLQIH-UHFFFAOYSA-N 0 0 289.360 2.964 20 5 CFBDRN C[C@H]1c2ccccc2OCCN1c1ccncc1[N+](=O)[O-] ZINC000608973263 351482768 /nfs/dbraw/zinc/48/27/68/351482768.db2.gz DBTNVNLQJVKGFZ-NSHDSACASA-N 0 0 285.303 2.950 20 5 CFBDRN O=C(Nc1ccc(F)c(F)c1F)c1cc([N+](=O)[O-])c[nH]1 ZINC000609047651 351483791 /nfs/dbraw/zinc/48/37/91/351483791.db2.gz WYNGVEZSCAZVHL-UHFFFAOYSA-N 0 0 285.181 2.593 20 5 CFBDRN CCC[C@@H](CC)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000609012470 351483953 /nfs/dbraw/zinc/48/39/53/351483953.db2.gz YDPYSLURFJJLPQ-GFCCVEGCSA-N 0 0 294.351 2.841 20 5 CFBDRN CCC(CC)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC1 ZINC000609129475 351485949 /nfs/dbraw/zinc/48/59/49/351485949.db2.gz WQEJMEPFAMLZAI-UHFFFAOYSA-N 0 0 265.313 2.716 20 5 CFBDRN O=C(NC1CC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000291404063 198012390 /nfs/dbraw/zinc/01/23/90/198012390.db2.gz FLLNHSWRAAGQPN-UHFFFAOYSA-N 0 0 275.091 2.794 20 5 CFBDRN C[C@H](c1ccccc1)[C@@H](CO)Nc1ccc([N+](=O)[O-])nc1 ZINC000609802487 351520975 /nfs/dbraw/zinc/52/09/75/351520975.db2.gz YXTCHDLVLJLBMG-BXUZGUMPSA-N 0 0 287.319 2.566 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] ZINC000610077017 351533822 /nfs/dbraw/zinc/53/38/22/351533822.db2.gz JTKWKQFKBHULGM-LLVKDONJSA-N 0 0 290.241 2.671 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC[C@H]2CCOC2)s1 ZINC000610131462 351534408 /nfs/dbraw/zinc/53/44/08/351534408.db2.gz STOANKSATUQJTM-SNVBAGLBSA-N 0 0 286.353 2.511 20 5 CFBDRN C[C@@H](C(=O)NCc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000610172312 351536545 /nfs/dbraw/zinc/53/65/45/351536545.db2.gz OXTHIOVGWRSSIH-SNVBAGLBSA-N 0 0 274.276 2.608 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)c2ccco2)c([N+](=O)[O-])c1 ZINC000610168873 351536862 /nfs/dbraw/zinc/53/68/62/351536862.db2.gz QCKFPTCWWFEWJX-VIFPVBQESA-N 0 0 290.275 2.939 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC3(CC3)CC2)c1 ZINC000610228165 351541584 /nfs/dbraw/zinc/54/15/84/351541584.db2.gz PSVMUXMLPKKUFN-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccccc2[N+](=O)[O-])c(C)[nH]1 ZINC000610237242 351542326 /nfs/dbraw/zinc/54/23/26/351542326.db2.gz ZAXHMWUCJOHJBD-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC[C@@H]2CCCO2)s1 ZINC000610272648 351545336 /nfs/dbraw/zinc/54/53/36/351545336.db2.gz NHAGYJIFLDEATE-JTQLQIEISA-N 0 0 286.353 2.654 20 5 CFBDRN COc1ccccc1[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000610278392 351547911 /nfs/dbraw/zinc/54/79/11/351547911.db2.gz DETRSCXHHZQSAL-CQSZACIVSA-N 0 0 288.303 2.749 20 5 CFBDRN Cc1ccc(CCNc2ncc(C)cc2[N+](=O)[O-])o1 ZINC000610284133 351548928 /nfs/dbraw/zinc/54/89/28/351548928.db2.gz FGIUEXPBTBLBIL-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])C12CCC2 ZINC000610281449 351549185 /nfs/dbraw/zinc/54/91/85/351549185.db2.gz REXUDQOXARGUDV-RYUDHWBXSA-N 0 0 277.324 2.749 20 5 CFBDRN C[C@H]1CN(c2ccsc2[N+](=O)[O-])C[C@H](C)S1 ZINC000610282806 351549192 /nfs/dbraw/zinc/54/91/92/351549192.db2.gz NQLBYUXOYAOCQR-YUMQZZPRSA-N 0 0 258.368 2.987 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC(C2CCCC2)CC1 ZINC000610281771 351549560 /nfs/dbraw/zinc/54/95/60/351549560.db2.gz JXIAPHJIBIITFF-UHFFFAOYSA-N 0 0 278.356 2.735 20 5 CFBDRN C[S@@](=O)Cc1cccc(Nc2cccnc2[N+](=O)[O-])c1 ZINC000609670851 351515156 /nfs/dbraw/zinc/51/51/56/351515156.db2.gz UZTMVCRQJCSEIE-HXUWFJFHSA-N 0 0 291.332 2.612 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CCc2ccccc2C1 ZINC000609765686 351518752 /nfs/dbraw/zinc/51/87/52/351518752.db2.gz KIPSLARGUBIJBX-NSHDSACASA-N 0 0 286.335 2.545 20 5 CFBDRN CN(C(=O)c1cc(Br)cc([N+](=O)[O-])c1)C1CC1 ZINC000120131035 186877692 /nfs/dbraw/zinc/87/76/92/186877692.db2.gz QCXNFKZTABKDHN-UHFFFAOYSA-N 0 0 299.124 2.592 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@@H](C(F)(F)F)O1 ZINC000610411994 351565081 /nfs/dbraw/zinc/56/50/81/351565081.db2.gz JVDNDMVRQLCSCN-KCJUWKMLSA-N 0 0 290.241 2.751 20 5 CFBDRN C[C@H]1C[C@H](C)CN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000610431872 351565517 /nfs/dbraw/zinc/56/55/17/351565517.db2.gz KMFBTLVIBICLAH-IUCAKERBSA-N 0 0 267.304 2.798 20 5 CFBDRN CN(C(=O)CNc1ccc([N+](=O)[O-])s1)C1CCCCC1 ZINC000610489476 351570542 /nfs/dbraw/zinc/57/05/42/351570542.db2.gz VMWZGRVEIBAWNN-UHFFFAOYSA-N 0 0 297.380 2.859 20 5 CFBDRN CN(C(=O)CNc1ccsc1[N+](=O)[O-])C1CCCCC1 ZINC000610489425 351570560 /nfs/dbraw/zinc/57/05/60/351570560.db2.gz CACBBQVLEMBMGW-UHFFFAOYSA-N 0 0 297.380 2.859 20 5 CFBDRN C[C@@H](CCCO)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130402057 187491603 /nfs/dbraw/zinc/49/16/03/187491603.db2.gz BZYUTGRDYDBAHF-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)c2ccccc2)s1 ZINC000610516820 351575637 /nfs/dbraw/zinc/57/56/37/351575637.db2.gz AUXURRYKRCUIGM-LLVKDONJSA-N 0 0 278.333 2.844 20 5 CFBDRN Nc1c(C(=O)N2C3CCCC2CCC3)cccc1[N+](=O)[O-] ZINC000610522442 351578343 /nfs/dbraw/zinc/57/83/43/351578343.db2.gz RAYWYGINVBQOJC-UHFFFAOYSA-N 0 0 289.335 2.724 20 5 CFBDRN Cc1noc(C)c1N(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000610539255 351578940 /nfs/dbraw/zinc/57/89/40/351578940.db2.gz UXXJZEKSHHYARP-UHFFFAOYSA-N 0 0 279.271 2.975 20 5 CFBDRN C[C@H](CNC(=O)c1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000610526770 351578958 /nfs/dbraw/zinc/57/89/58/351578958.db2.gz VRZNLQMVRSWWFU-LLVKDONJSA-N 0 0 285.303 2.523 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)c2ccncc2)cc([N+](=O)[O-])c1 ZINC000610526788 351579277 /nfs/dbraw/zinc/57/92/77/351579277.db2.gz WTNQSQDTRKUCBI-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@H](CCO)SCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000610580600 351583895 /nfs/dbraw/zinc/58/38/95/351583895.db2.gz XTCSLHYCBWIGGS-MRVPVSSYSA-N 0 0 282.321 2.740 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/c1nc([C@@H]2CCOC2)no1 ZINC000119860609 186857097 /nfs/dbraw/zinc/85/70/97/186857097.db2.gz OVWDOLMKWFLYGQ-MVIFTORASA-N 0 0 287.275 2.652 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/c1nc([C@H]2CCOC2)no1 ZINC000119860783 186857160 /nfs/dbraw/zinc/85/71/60/186857160.db2.gz OVWDOLMKWFLYGQ-QRGHLMKCSA-N 0 0 287.275 2.652 20 5 CFBDRN CC1(CNC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)CCC1 ZINC000610626554 351586596 /nfs/dbraw/zinc/58/65/96/351586596.db2.gz PJIKDZCDDVOCFR-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCO[C@H](c2ccco2)C1 ZINC000610656503 351588170 /nfs/dbraw/zinc/58/81/70/351588170.db2.gz ZYZOMQPCWIJDSB-NSHDSACASA-N 0 0 280.305 2.827 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])s2)C1(C)C ZINC000610651935 351588331 /nfs/dbraw/zinc/58/83/31/351588331.db2.gz RFEARQVARBDSIS-JGVFFNPUSA-N 0 0 256.327 2.882 20 5 CFBDRN CN(CCOCc1ccccc1)c1cccnc1[N+](=O)[O-] ZINC000610686446 351593588 /nfs/dbraw/zinc/59/35/88/351593588.db2.gz HZKJZRUSCCJWIQ-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)c2ccccc21 ZINC000610712533 351595618 /nfs/dbraw/zinc/59/56/18/351595618.db2.gz YDZOACRZAUFTGS-UHFFFAOYSA-N 0 0 285.303 2.861 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cn1)[C@@H]1CCCCO1 ZINC000610716589 351596583 /nfs/dbraw/zinc/59/65/83/351596583.db2.gz DBDWVHUHBGKCTE-LBPRGKRZSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000610734841 351600020 /nfs/dbraw/zinc/60/00/20/351600020.db2.gz PWNXTKLBWMNDMN-VHSXEESVSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000610734947 351600175 /nfs/dbraw/zinc/60/01/75/351600175.db2.gz WEGRKWRGNVMQSQ-DTWKUNHWSA-N 0 0 296.352 2.531 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CC12CCC2 ZINC000610736588 351600234 /nfs/dbraw/zinc/60/02/34/351600234.db2.gz USQRUYUTIRAYQY-GFCCVEGCSA-N 0 0 274.320 2.743 20 5 CFBDRN Cn1ccc(COc2c(Cl)cccc2[N+](=O)[O-])cc1=O ZINC000610754447 351603980 /nfs/dbraw/zinc/60/39/80/351603980.db2.gz HLSIDQXCCLUHBW-UHFFFAOYSA-N 0 0 294.694 2.526 20 5 CFBDRN Cc1cncc(C)c1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000610758006 351604133 /nfs/dbraw/zinc/60/41/33/351604133.db2.gz DOWPCSHPRYSHED-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cncc(C)c1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000610774733 351607538 /nfs/dbraw/zinc/60/75/38/351607538.db2.gz XGPXCBDDSTVRFK-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1cc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)c(C)n1C ZINC000291456955 198026724 /nfs/dbraw/zinc/02/67/24/198026724.db2.gz KIIZDWQQFSGYDQ-UHFFFAOYSA-N 0 0 287.319 2.827 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000610790294 351608727 /nfs/dbraw/zinc/60/87/27/351608727.db2.gz BJDPOIZNPYDEOJ-VIFPVBQESA-N 0 0 284.262 2.821 20 5 CFBDRN O=C(N[C@@H]1CCC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000610790585 351608768 /nfs/dbraw/zinc/60/87/68/351608768.db2.gz VRYREDZJIJLKKZ-MRVPVSSYSA-N 0 0 270.235 2.512 20 5 CFBDRN CCOCCC1(CNc2cccnc2[N+](=O)[O-])CCC1 ZINC000610808059 351610491 /nfs/dbraw/zinc/61/04/91/351610491.db2.gz YWYDBOKZZLFYAR-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN Cc1cnc(N2CCC(OCC3CC3)CC2)c([N+](=O)[O-])c1 ZINC000610340492 351556145 /nfs/dbraw/zinc/55/61/45/351556145.db2.gz ISWBITYAPYDMLL-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1ccnc(N(C)CCOC(C)(C)C)c1[N+](=O)[O-] ZINC000610856152 351614338 /nfs/dbraw/zinc/61/43/38/351614338.db2.gz GTAKZSUFVNWSHG-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN CC(C)(C)[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C(F)(F)F ZINC000610397511 351562700 /nfs/dbraw/zinc/56/27/00/351562700.db2.gz ITPGVSHTXOJAOS-VIFPVBQESA-N 0 0 293.245 2.630 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000611002030 351632767 /nfs/dbraw/zinc/63/27/67/351632767.db2.gz YUEVZCVAYBOCLE-ZJUUUORDSA-N 0 0 268.338 2.519 20 5 CFBDRN CC(C)C1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000611074874 351637719 /nfs/dbraw/zinc/63/77/19/351637719.db2.gz KARKWNLZCRROTF-UHFFFAOYSA-N 0 0 263.297 2.715 20 5 CFBDRN CC(C)C1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000611078189 351638327 /nfs/dbraw/zinc/63/83/27/351638327.db2.gz HFXKNUDGVSBKMX-UHFFFAOYSA-N 0 0 296.754 2.905 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC(c2ccccn2)CC1 ZINC000611085096 351639345 /nfs/dbraw/zinc/63/93/45/351639345.db2.gz BENVRNQJCZZZGV-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN CCc1c(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)cnn1C ZINC000611113619 351641099 /nfs/dbraw/zinc/64/10/99/351641099.db2.gz YTNPOTTUGBYMBP-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN Cc1cccc(Oc2cc3c(nn2)CCC3)c1[N+](=O)[O-] ZINC000611112929 351641236 /nfs/dbraw/zinc/64/12/36/351641236.db2.gz QBHHWIIEEIOYSU-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C2CCC2)c1 ZINC000611122221 351642858 /nfs/dbraw/zinc/64/28/58/351642858.db2.gz HBNVVBQXIFRUPC-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN CCC[C@H]1CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000611144071 351645190 /nfs/dbraw/zinc/64/51/90/351645190.db2.gz LDPHCMLAYJPBTO-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCN2CC3(C2)CC(F)(F)C3)c1 ZINC000611180790 351649504 /nfs/dbraw/zinc/64/95/04/351649504.db2.gz RWYPCRWGLUQIHE-UHFFFAOYSA-N 0 0 298.289 2.705 20 5 CFBDRN CC(C)(C)[C@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611204464 351651698 /nfs/dbraw/zinc/65/16/98/351651698.db2.gz NOKKWYKQYZLJJS-CMPLNLGQSA-N 0 0 279.340 2.995 20 5 CFBDRN O=C(NC1CC=CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000611196650 351651709 /nfs/dbraw/zinc/65/17/09/351651709.db2.gz ZKZIPOIUDKEDLU-UHFFFAOYSA-N 0 0 271.276 2.525 20 5 CFBDRN Cc1cnc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)o1 ZINC000611228973 351653465 /nfs/dbraw/zinc/65/34/65/351653465.db2.gz SNWSBIVELWZEHG-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1CCOC2(CCC2)C1 ZINC000610856584 351614682 /nfs/dbraw/zinc/61/46/82/351614682.db2.gz GJTJWFBBQAICLS-SNVBAGLBSA-N 0 0 263.297 2.503 20 5 CFBDRN Cc1cc(N(C)CCOC(C)(C)C)ncc1[N+](=O)[O-] ZINC000610856349 351614721 /nfs/dbraw/zinc/61/47/21/351614721.db2.gz ZQTPWFTVAWWTDD-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN CC(C)C(NC(=O)c1ccc([N+](=O)[O-])o1)C(C)C ZINC000610911881 351620905 /nfs/dbraw/zinc/62/09/05/351620905.db2.gz APZPKHHHTKDSDY-UHFFFAOYSA-N 0 0 254.286 2.598 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCCOC1 ZINC000120319853 186892029 /nfs/dbraw/zinc/89/20/29/186892029.db2.gz IZJWLKATVUQQNF-LLVKDONJSA-N 0 0 293.323 2.512 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Oc2cc3c(nn2)CCC3)c1 ZINC000610915376 351621674 /nfs/dbraw/zinc/62/16/74/351621674.db2.gz HLCJXMPPWAGGFY-UHFFFAOYSA-N 0 0 275.239 2.805 20 5 CFBDRN C[C@@H]1COCCCN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000610946583 351623568 /nfs/dbraw/zinc/62/35/68/351623568.db2.gz KSKINEBRZQGSKO-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1occc1CNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000610969126 351626761 /nfs/dbraw/zinc/62/67/61/351626761.db2.gz XAPFEGLXPMXAEU-UHFFFAOYSA-N 0 0 288.303 2.664 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])cc1F ZINC000611373276 351676610 /nfs/dbraw/zinc/67/66/10/351676610.db2.gz CRCLYJDBGRVJDJ-ZANVPECISA-N 0 0 268.288 2.961 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000611373690 351677500 /nfs/dbraw/zinc/67/75/00/351677500.db2.gz QPSFDKXMHYTLCM-GZMMTYOYSA-N 0 0 285.731 2.870 20 5 CFBDRN CCc1noc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000611404327 351684254 /nfs/dbraw/zinc/68/42/54/351684254.db2.gz VDBBNOWDJGMEEU-JTQLQIEISA-N 0 0 290.323 2.699 20 5 CFBDRN CN(C)C(=O)[C@@H]1CCC[C@@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000611411961 351685043 /nfs/dbraw/zinc/68/50/43/351685043.db2.gz KGXXUCHATDJLLZ-NXEZZACHSA-N 0 0 297.380 2.715 20 5 CFBDRN CN(C)C(=O)[C@H]1CCC[C@@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000611411963 351685046 /nfs/dbraw/zinc/68/50/46/351685046.db2.gz KGXXUCHATDJLLZ-VHSXEESVSA-N 0 0 297.380 2.715 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1sccc1[N+](=O)[O-] ZINC000611412092 351685180 /nfs/dbraw/zinc/68/51/80/351685180.db2.gz RKTLHPLAMNOXNB-MRVPVSSYSA-N 0 0 258.343 2.865 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1ccc(CO)c(F)c1 ZINC000611411863 351685216 /nfs/dbraw/zinc/68/52/16/351685216.db2.gz WWNRYVOZPYUSRU-UHFFFAOYSA-N 0 0 282.296 2.900 20 5 CFBDRN CCCCCOCC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000120798670 186926130 /nfs/dbraw/zinc/92/61/30/186926130.db2.gz BSUKJDKCEDAJTP-UHFFFAOYSA-N 0 0 296.323 2.749 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC2(C1)CCOCC2 ZINC000611413071 351686039 /nfs/dbraw/zinc/68/60/39/351686039.db2.gz XCYYNKNBUQJWMU-UHFFFAOYSA-N 0 0 268.338 2.663 20 5 CFBDRN CN(Cc1cscn1)c1sccc1[N+](=O)[O-] ZINC000611412439 351686131 /nfs/dbraw/zinc/68/61/31/351686131.db2.gz PKGFTURJHZDRMI-UHFFFAOYSA-N 0 0 255.324 2.749 20 5 CFBDRN COC(=O)C1CCC(Nc2sccc2[N+](=O)[O-])CC1 ZINC000611413126 351686218 /nfs/dbraw/zinc/68/62/18/351686218.db2.gz VBZTXVJBZQBDBO-UHFFFAOYSA-N 0 0 284.337 2.800 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000611413575 351686689 /nfs/dbraw/zinc/68/66/89/351686689.db2.gz FGZJFRNSWRSIFD-MNOVXSKESA-N 0 0 282.365 2.909 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1sccc1[N+](=O)[O-] ZINC000611413725 351686714 /nfs/dbraw/zinc/68/67/14/351686714.db2.gz PESWWGMTYGENGY-DTWKUNHWSA-N 0 0 256.327 2.883 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1sccc1[N+](=O)[O-] ZINC000611413724 351686748 /nfs/dbraw/zinc/68/67/48/351686748.db2.gz PESWWGMTYGENGY-BDAKNGLRSA-N 0 0 256.327 2.883 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1ncccc1F ZINC000611414762 351687202 /nfs/dbraw/zinc/68/72/02/351687202.db2.gz WTFLITMSCIFJIS-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000291613463 198050756 /nfs/dbraw/zinc/05/07/56/198050756.db2.gz JTRVRADLGLAFIZ-WDEREUQCSA-N 0 0 291.351 2.785 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000611494738 351697492 /nfs/dbraw/zinc/69/74/92/351697492.db2.gz HLODZRVFXBWYRR-VIFPVBQESA-N 0 0 256.327 2.518 20 5 CFBDRN C[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H]1F ZINC000611494483 351697504 /nfs/dbraw/zinc/69/75/04/351697504.db2.gz RRTJAQSFJVRNGG-SCZZXKLOSA-N 0 0 256.252 2.918 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)C[C@@H]2CCCC[C@H]2O)c1 ZINC000611495173 351697522 /nfs/dbraw/zinc/69/75/22/351697522.db2.gz HJKFEPROSRYSQF-XHDPSFHLSA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000611497532 351697616 /nfs/dbraw/zinc/69/76/16/351697616.db2.gz AKLOHQCBSVTFMI-CABZTGNLSA-N 0 0 281.287 2.807 20 5 CFBDRN C[C@H]1CCN(Cc2cccc([N+](=O)[O-])c2)C[C@H]1F ZINC000611502854 351700407 /nfs/dbraw/zinc/70/04/07/351700407.db2.gz ZKINTSXGAVCZAI-GXFFZTMASA-N 0 0 252.289 2.775 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C[C@H]1F ZINC000611505900 351701004 /nfs/dbraw/zinc/70/10/04/351701004.db2.gz FRUGYPKCVDGYJP-BXUZGUMPSA-N 0 0 294.326 2.734 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C[C@@H]1F ZINC000611506196 351701198 /nfs/dbraw/zinc/70/11/98/351701198.db2.gz IDJWKNLPJQFRJC-UFBFGSQYSA-N 0 0 284.262 2.554 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=CCC2)cc1OC(F)F ZINC000611510088 351701856 /nfs/dbraw/zinc/70/18/56/351701856.db2.gz MHRZZEHGWMLCJN-UHFFFAOYSA-N 0 0 270.235 2.963 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@H](C)[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000611507226 351701926 /nfs/dbraw/zinc/70/19/26/351701926.db2.gz ZBSVZTVFKRZYHV-CBAPKCEASA-N 0 0 286.328 2.785 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC=CCC1 ZINC000611509916 351702043 /nfs/dbraw/zinc/70/20/43/351702043.db2.gz JIXVJZWGBJLEDC-UHFFFAOYSA-N 0 0 255.277 2.909 20 5 CFBDRN Cc1ccncc1[C@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000611300399 351666283 /nfs/dbraw/zinc/66/62/83/351666283.db2.gz YPXYOQSHFSGKKR-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccncc1[C@@H](C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000611299669 351666426 /nfs/dbraw/zinc/66/64/26/351666426.db2.gz DMBBZLNVQFWVBJ-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCO[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000611307056 351668556 /nfs/dbraw/zinc/66/85/56/351668556.db2.gz NSICLKBPEMTBMR-ZYHUDNBSSA-N 0 0 296.371 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3cc[nH]n3)C2)s1 ZINC000611370455 351675483 /nfs/dbraw/zinc/67/54/83/351675483.db2.gz RNUOTKIOXKAERW-VIFPVBQESA-N 0 0 278.337 2.763 20 5 CFBDRN CO[C@@H]1CCCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000611931327 351751830 /nfs/dbraw/zinc/75/18/30/351751830.db2.gz NRTBISKVXVZVFS-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN C[C@@H]1CCCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611707830 351727031 /nfs/dbraw/zinc/72/70/31/351727031.db2.gz MIYUZNQURXKGQV-SECBINFHSA-N 0 0 258.281 2.527 20 5 CFBDRN CCCN(CC)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611709623 351727852 /nfs/dbraw/zinc/72/78/52/351727852.db2.gz UVOUZVDQFTUHTE-UHFFFAOYSA-N 0 0 260.297 2.774 20 5 CFBDRN C[C@H]1CCN(c2ncnc3ccc([N+](=O)[O-])cc32)C[C@H]1F ZINC000611714456 351727894 /nfs/dbraw/zinc/72/78/94/351727894.db2.gz RGVFAMGQSYJVIH-JOYOIKCWSA-N 0 0 290.298 2.722 20 5 CFBDRN Cc1cnc(N2CC[C@@H](Cc3ccncc3)C2)c([N+](=O)[O-])c1 ZINC000611763692 351737694 /nfs/dbraw/zinc/73/76/94/351737694.db2.gz AAVHLDMPBYTXGM-AWEZNQCLSA-N 0 0 298.346 2.762 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Nc2cnn(CC3CC3)c2)n1 ZINC000611616639 351714380 /nfs/dbraw/zinc/71/43/80/351714380.db2.gz CIBUZMPMCUVMDG-UHFFFAOYSA-N 0 0 287.323 2.957 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCOC(C)(C)C2)n1 ZINC000611616543 351714408 /nfs/dbraw/zinc/71/44/08/351714408.db2.gz YIKFLUGQDCSHIW-UHFFFAOYSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)C[C@H]2CCCO2)n1 ZINC000611616063 351714551 /nfs/dbraw/zinc/71/45/51/351714551.db2.gz NDNAQVVMPHZQLU-VXGBXAGGSA-N 0 0 279.340 2.976 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616608 351714568 /nfs/dbraw/zinc/71/45/68/351714568.db2.gz GYZCQAPYOBQGII-GFCCVEGCSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCCc3c[nH]nc32)n1 ZINC000611617200 351715191 /nfs/dbraw/zinc/71/51/91/351715191.db2.gz OVXOBICNKTXHJZ-LLVKDONJSA-N 0 0 287.323 2.819 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@@]2(C)CO)n1 ZINC000611616950 351715679 /nfs/dbraw/zinc/71/56/79/351715679.db2.gz YCLTXKYXWHEXMR-RISCZKNCSA-N 0 0 279.340 2.570 20 5 CFBDRN CCc1cc(=NCc2ccc([N+](=O)[O-])cc2Cl)[nH]o1 ZINC000612005061 351760555 /nfs/dbraw/zinc/76/05/55/351760555.db2.gz XUJCXQGGSXEGDE-UHFFFAOYSA-N 0 0 281.699 2.833 20 5 CFBDRN CCc1nnc(COc2ccc([N+](=O)[O-])cc2OC)s1 ZINC000612018770 351761973 /nfs/dbraw/zinc/76/19/73/351761973.db2.gz YYQCVHHRMXVQSJ-UHFFFAOYSA-N 0 0 295.320 2.596 20 5 CFBDRN CCc1nnc(COc2cccc(C)c2[N+](=O)[O-])s1 ZINC000612023939 351763089 /nfs/dbraw/zinc/76/30/89/351763089.db2.gz KCPLZDUFQNLUOY-UHFFFAOYSA-N 0 0 279.321 2.896 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccccc2)cc([N+](=O)[O-])c1 ZINC000121814443 186997697 /nfs/dbraw/zinc/99/76/97/186997697.db2.gz KPCUOJVERYGLLT-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NC1CCCC1 ZINC000122042730 187011845 /nfs/dbraw/zinc/01/18/45/187011845.db2.gz AKHMGFOQPFDCFU-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCCS1 ZINC000122076604 187014005 /nfs/dbraw/zinc/01/40/05/187014005.db2.gz GRZKBACDYBCPGW-SNVBAGLBSA-N 0 0 253.327 2.606 20 5 CFBDRN CC[C@H](C)CONC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000291724684 198070846 /nfs/dbraw/zinc/07/08/46/198070846.db2.gz HREYYOUEPFGBAX-VIFPVBQESA-N 0 0 297.311 2.703 20 5 CFBDRN CC1(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)CC1 ZINC000122285177 187024551 /nfs/dbraw/zinc/02/45/51/187024551.db2.gz ZKFSAHMAROCWDI-UHFFFAOYSA-N 0 0 286.234 2.935 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000291736062 198074713 /nfs/dbraw/zinc/07/47/13/198074713.db2.gz ISPDUDCDQAMSHH-MRVPVSSYSA-N 0 0 267.260 2.750 20 5 CFBDRN Cc1nnsc1CNCc1cc([N+](=O)[O-])ccc1Cl ZINC000291737281 198075427 /nfs/dbraw/zinc/07/54/27/198075427.db2.gz OMWFYGPFALALRU-UHFFFAOYSA-N 0 0 298.755 2.698 20 5 CFBDRN COc1c(CNc2ccc([N+](=O)[O-])c(C)c2)c(C)nn1C ZINC000123050878 187067830 /nfs/dbraw/zinc/06/78/30/187067830.db2.gz RFNVQBFAWVOBSH-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN CC(=O)CCCCSc1ncccc1[N+](=O)[O-] ZINC000122478524 187034477 /nfs/dbraw/zinc/03/44/77/187034477.db2.gz VRKKTFCBPLZJLH-UHFFFAOYSA-N 0 0 254.311 2.841 20 5 CFBDRN CCC[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1ccccn1 ZINC000612781159 351861794 /nfs/dbraw/zinc/86/17/94/351861794.db2.gz UDCGEQNHFAREKB-GFCCVEGCSA-N 0 0 273.296 2.733 20 5 CFBDRN CCN(CC1CC1)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000122520921 187036304 /nfs/dbraw/zinc/03/63/04/187036304.db2.gz RLGLBCVRRJINNI-UHFFFAOYSA-N 0 0 276.336 2.704 20 5 CFBDRN CCCCOCCNc1ncc([N+](=O)[O-])cc1Cl ZINC000122700818 187046552 /nfs/dbraw/zinc/04/65/52/187046552.db2.gz DSDBBKANHSWNEM-UHFFFAOYSA-N 0 0 273.720 2.872 20 5 CFBDRN C[C@@]1(c2ccccc2)C[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000612785524 351861812 /nfs/dbraw/zinc/86/18/12/351861812.db2.gz BVMVSYBLQALMFB-OCCSQVGLSA-N 0 0 270.292 2.527 20 5 CFBDRN C[C@H](O)CCOc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000122843837 187055177 /nfs/dbraw/zinc/05/51/77/187055177.db2.gz ZPWBRGRFLIADHI-ZETCQYMHSA-N 0 0 279.214 2.763 20 5 CFBDRN Cc1cc(NC[C@H]2CSCCS2)ncc1[N+](=O)[O-] ZINC000122916951 187059447 /nfs/dbraw/zinc/05/94/47/187059447.db2.gz SKKCUWLQLGVRGL-VIFPVBQESA-N 0 0 285.394 2.559 20 5 CFBDRN Cc1nn(C)c(N2CC[C@@H](C)C(C)(C)C2)c1[N+](=O)[O-] ZINC000612666815 351849848 /nfs/dbraw/zinc/84/98/48/351849848.db2.gz OZXGKURYABNWMS-SECBINFHSA-N 0 0 266.345 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc([C@@H]3CC34CCC4)n2)nc1 ZINC000612968642 351882494 /nfs/dbraw/zinc/88/24/94/351882494.db2.gz SHAJCSBUDIUKOJ-NSHDSACASA-N 0 0 286.291 2.621 20 5 CFBDRN CCCCOCCNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000123272023 187081815 /nfs/dbraw/zinc/08/18/15/187081815.db2.gz QHOQZEKVJRBDDH-UHFFFAOYSA-N 0 0 286.303 2.971 20 5 CFBDRN CCC(CC)(CNC(=O)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000291918614 198105233 /nfs/dbraw/zinc/10/52/33/198105233.db2.gz RKNXXSONCSGRQA-UHFFFAOYSA-N 0 0 295.339 2.922 20 5 CFBDRN CC[C@H](C)COc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000613109245 351898522 /nfs/dbraw/zinc/89/85/22/351898522.db2.gz XAUJNADDDLFALT-VIFPVBQESA-N 0 0 267.281 2.806 20 5 CFBDRN Cc1cnc(N[C@@H]2CCO[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000613185321 351911851 /nfs/dbraw/zinc/91/18/51/351911851.db2.gz SJAZSCKVKMJPMI-YPMHNXCESA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@@]1(CNc2cccnc2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000613433961 351958754 /nfs/dbraw/zinc/95/87/54/351958754.db2.gz FWBOXEDISOOLLG-OCCSQVGLSA-N 0 0 277.324 2.607 20 5 CFBDRN COCC[C@@H]1CCCCN(c2cccnc2[N+](=O)[O-])C1 ZINC000613433644 351958991 /nfs/dbraw/zinc/95/89/91/351958991.db2.gz AAEMQKYGSOFQHV-LBPRGKRZSA-N 0 0 279.340 2.633 20 5 CFBDRN COC[C@@H]1CCCCN(c2sccc2[N+](=O)[O-])C1 ZINC000613435983 351959617 /nfs/dbraw/zinc/95/96/17/351959617.db2.gz VHEJTVWYFDLXOY-SNVBAGLBSA-N 0 0 270.354 2.909 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@H]21 ZINC000614466972 352097229 /nfs/dbraw/zinc/09/72/29/352097229.db2.gz VNIAPZNYBKBGEB-GXTWGEPZSA-N 0 0 289.335 2.679 20 5 CFBDRN CCN(CC)C(=O)CSc1ccc(F)cc1[N+](=O)[O-] ZINC000132272338 187618178 /nfs/dbraw/zinc/61/81/78/187618178.db2.gz RFXNITYUUFSEQU-UHFFFAOYSA-N 0 0 286.328 2.694 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1ccc([N+](=O)[O-])s1 ZINC000615494754 352199353 /nfs/dbraw/zinc/19/93/53/352199353.db2.gz GQMNBWBRQLZQIW-VIFPVBQESA-N 0 0 256.327 2.519 20 5 CFBDRN COC1CCC(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000614971106 352137751 /nfs/dbraw/zinc/13/77/51/352137751.db2.gz VHUVWGXXSBJEJS-UHFFFAOYSA-N 0 0 292.335 2.763 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCc2ncsc2C1 ZINC000614982684 352139920 /nfs/dbraw/zinc/13/99/20/352139920.db2.gz ZIAKHIKFXOEADG-UHFFFAOYSA-N 0 0 267.335 2.676 20 5 CFBDRN Cc1cc(C)nc(SCCn2cc([N+](=O)[O-])cn2)c1 ZINC000615684114 352229254 /nfs/dbraw/zinc/22/92/54/352229254.db2.gz VPSBWQWCIJLOEB-UHFFFAOYSA-N 0 0 278.337 2.595 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000271480230 191164397 /nfs/dbraw/zinc/16/43/97/191164397.db2.gz SIPBSKBLVWCBPM-DGCLKSJQSA-N 0 0 276.336 2.927 20 5 CFBDRN COC[C@@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000615942841 352264960 /nfs/dbraw/zinc/26/49/60/352264960.db2.gz CEKOTYWZCYAFMJ-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000292024716 198137540 /nfs/dbraw/zinc/13/75/40/198137540.db2.gz WYABNYOIZNZBHL-VIFPVBQESA-N 0 0 299.302 2.623 20 5 CFBDRN Cc1ccnc(NC[C@@]2(O)CCc3ccccc32)c1[N+](=O)[O-] ZINC000133728103 187703744 /nfs/dbraw/zinc/70/37/44/187703744.db2.gz RNSSQCBBJULDPE-INIZCTEOSA-N 0 0 299.330 2.544 20 5 CFBDRN Cc1ccoc1CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000292103599 198164437 /nfs/dbraw/zinc/16/44/37/198164437.db2.gz MQPDOXOOOFZYSG-UHFFFAOYSA-N 0 0 288.303 2.745 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000617431454 352563616 /nfs/dbraw/zinc/56/36/16/352563616.db2.gz WJGADHCAKQKAHU-DTWKUNHWSA-N 0 0 267.329 2.516 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000617431456 352563690 /nfs/dbraw/zinc/56/36/90/352563690.db2.gz WJGADHCAKQKAHU-RKDXNWHRSA-N 0 0 267.329 2.516 20 5 CFBDRN O=C(COc1cc(F)ccc1[N+](=O)[O-])C1CCCC1 ZINC000135027627 187790534 /nfs/dbraw/zinc/79/05/34/187790534.db2.gz FMBLLNGEOJRVPJ-UHFFFAOYSA-N 0 0 267.256 2.872 20 5 CFBDRN C[C@@H](C(=O)N1CCC(C)(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000617739640 352631302 /nfs/dbraw/zinc/63/13/02/352631302.db2.gz WDRDBSPQDRZQAO-LLVKDONJSA-N 0 0 276.336 2.957 20 5 CFBDRN C[C@@H](C(=O)NC1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000617748836 352632539 /nfs/dbraw/zinc/63/25/39/352632539.db2.gz KEGYJDHILRRMCB-SNVBAGLBSA-N 0 0 260.293 2.533 20 5 CFBDRN CN(C)c1cccc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)c1 ZINC000618147003 352723317 /nfs/dbraw/zinc/72/33/17/352723317.db2.gz VPPRTWQJDBIRFC-UHFFFAOYSA-N 0 0 286.335 2.783 20 5 CFBDRN CC[C@@]1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2C)CCO1 ZINC000135334230 187821370 /nfs/dbraw/zinc/82/13/70/187821370.db2.gz ZSJHKHIMVLDMQW-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CCCSC2)c1 ZINC000135659590 187846733 /nfs/dbraw/zinc/84/67/33/187846733.db2.gz MXKJWLLEJDLFPE-VIFPVBQESA-N 0 0 268.338 2.911 20 5 CFBDRN C[C@@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000618410761 352771069 /nfs/dbraw/zinc/77/10/69/352771069.db2.gz VMPRNYXNLYCYBG-RITPCOANSA-N 0 0 251.267 2.830 20 5 CFBDRN C[C@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H]1F ZINC000618585914 352822394 /nfs/dbraw/zinc/82/23/94/352822394.db2.gz YDINBNGUUWLCEV-WCBMZHEXSA-N 0 0 278.287 2.655 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC(F)(F)C1 ZINC000618466091 352780432 /nfs/dbraw/zinc/78/04/32/352780432.db2.gz HNWQCAZXPWIPNC-SECBINFHSA-N 0 0 284.262 2.821 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000137049842 187892248 /nfs/dbraw/zinc/89/22/48/187892248.db2.gz QFAXFEJYEOSIAC-LLVKDONJSA-N 0 0 291.351 2.829 20 5 CFBDRN CN1C(=O)Cc2cc(Nc3sccc3[N+](=O)[O-])ccc21 ZINC000618978231 352925361 /nfs/dbraw/zinc/92/53/61/352925361.db2.gz LGKKUFJGQQENDE-UHFFFAOYSA-N 0 0 289.316 2.919 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCC[N@@H+]1Cc1c(F)cccc1[N+](=O)[O-] ZINC000619179645 352973150 /nfs/dbraw/zinc/97/31/50/352973150.db2.gz ZAGQMCZYZYBLKN-RYUDHWBXSA-N 0 0 296.342 2.859 20 5 CFBDRN C[C@H](O)C[C@H]1CCCC[N@@H+]1Cc1c(F)cccc1[N+](=O)[O-] ZINC000619179633 352973167 /nfs/dbraw/zinc/97/31/67/352973167.db2.gz ZAGQMCZYZYBLKN-NWDGAFQWSA-N 0 0 296.342 2.859 20 5 CFBDRN CC1(C)CN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000619147128 352965871 /nfs/dbraw/zinc/96/58/71/352965871.db2.gz OERGISALTLQEPC-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN CC(C)(C(=O)N1CC(C)(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000619419849 353019701 /nfs/dbraw/zinc/01/97/01/353019701.db2.gz NIOJXSXYNQMMGO-UHFFFAOYSA-N 0 0 298.289 2.582 20 5 CFBDRN CC1(F)CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 ZINC000619419410 353019767 /nfs/dbraw/zinc/01/97/67/353019767.db2.gz MLYDTLNUHAWMAN-UHFFFAOYSA-N 0 0 294.307 2.994 20 5 CFBDRN CC(C)(NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)F ZINC000619421881 353020207 /nfs/dbraw/zinc/02/02/07/353020207.db2.gz LAIHCXMKFOOMKQ-UHFFFAOYSA-N 0 0 276.214 2.507 20 5 CFBDRN C[C@@H](C(=O)NC(C)(C)C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000619421972 353020287 /nfs/dbraw/zinc/02/02/87/353020287.db2.gz QDFKFYYSFAPJMN-MRVPVSSYSA-N 0 0 286.278 2.858 20 5 CFBDRN COc1c(C(=O)N2CC[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000619444268 353024857 /nfs/dbraw/zinc/02/48/57/353024857.db2.gz YUBCLVLMBWGIMC-JQWIXIFHSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1cnccc1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000619570124 353036775 /nfs/dbraw/zinc/03/67/75/353036775.db2.gz GAGYRGNDEPULTM-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@H]1CCC(F)(F)C1 ZINC000619472677 353028181 /nfs/dbraw/zinc/02/81/81/353028181.db2.gz HBGAJIFZOFFMID-JTQLQIEISA-N 0 0 284.262 2.646 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](c2ccco2)C1 ZINC000619481104 353028184 /nfs/dbraw/zinc/02/81/84/353028184.db2.gz GMSAAXYTBBEQOF-NSHDSACASA-N 0 0 290.323 2.707 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCO[C@@H](C(C)C)C1 ZINC000619487914 353028907 /nfs/dbraw/zinc/02/89/07/353028907.db2.gz FATHNRSFKKPIOL-NWDGAFQWSA-N 0 0 296.371 2.735 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](CO)CC2CC2)c([N+](=O)[O-])c1 ZINC000619487076 353029013 /nfs/dbraw/zinc/02/90/13/353029013.db2.gz YNMHGAWBZAAEOA-GFCCVEGCSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H](C)O[C@@H](C3CC3)C2)c1 ZINC000619500177 353029680 /nfs/dbraw/zinc/02/96/80/353029680.db2.gz PXJSMIVZECFMSS-XHDPSFHLSA-N 0 0 276.336 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC2CCC2)s1 ZINC000619503311 353030461 /nfs/dbraw/zinc/03/04/61/353030461.db2.gz WKPZHFKDHXNXQG-VIFPVBQESA-N 0 0 256.327 2.619 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC[C@@H](C(F)F)C1 ZINC000619531708 353033490 /nfs/dbraw/zinc/03/34/90/353033490.db2.gz JLOAABUTCCWHPA-SNVBAGLBSA-N 0 0 286.278 2.690 20 5 CFBDRN CCc1noc(CSc2cccc([N+](=O)[O-])c2)n1 ZINC000619744356 353062819 /nfs/dbraw/zinc/06/28/19/353062819.db2.gz FLHULBLPTWHOHW-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN CCC(C)(C)N(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000619593240 353038573 /nfs/dbraw/zinc/03/85/73/353038573.db2.gz WARDBXUABVBQTE-UHFFFAOYSA-N 0 0 293.367 2.967 20 5 CFBDRN CC(C)N(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CC1 ZINC000152097748 187995358 /nfs/dbraw/zinc/99/53/58/187995358.db2.gz BHQMMLJQHPEXFE-UHFFFAOYSA-N 0 0 284.262 2.886 20 5 CFBDRN CC[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000152816693 188045628 /nfs/dbraw/zinc/04/56/28/188045628.db2.gz SHCRNVHIZTURCG-MRVPVSSYSA-N 0 0 255.705 2.880 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H]3CCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000152843216 188047478 /nfs/dbraw/zinc/04/74/78/188047478.db2.gz HFKXYUXOEOEVPE-BETUJISGSA-N 0 0 274.320 2.775 20 5 CFBDRN CCCC(O)(CCC)CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000153061358 188062760 /nfs/dbraw/zinc/06/27/60/188062760.db2.gz XHDFZIIMVGLRMS-UHFFFAOYSA-N 0 0 294.351 2.656 20 5 CFBDRN COCC[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292334221 198249287 /nfs/dbraw/zinc/24/92/87/198249287.db2.gz AZJBKRXEJTVKMZ-SECBINFHSA-N 0 0 256.277 2.879 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1CCCCO1 ZINC000292352514 198255028 /nfs/dbraw/zinc/25/50/28/198255028.db2.gz PKKINCORQAEVRT-SNVBAGLBSA-N 0 0 284.699 2.756 20 5 CFBDRN CC(F)(F)CCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000452213199 535204169 /nfs/dbraw/zinc/20/41/69/535204169.db2.gz PNIBMJUMPQQMLT-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN Cc1cc(NCCOC(C)C)c(F)cc1[N+](=O)[O-] ZINC000292322955 198244561 /nfs/dbraw/zinc/24/45/61/198244561.db2.gz AKATVKACZYEJFT-UHFFFAOYSA-N 0 0 256.277 2.879 20 5 CFBDRN CCCCCN(CCO)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000153755000 188101469 /nfs/dbraw/zinc/10/14/69/188101469.db2.gz YBWSLQYYJHHDSA-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000154902038 188169101 /nfs/dbraw/zinc/16/91/01/188169101.db2.gz WFLYMNPQBCBTMA-CHWSQXEVSA-N 0 0 291.351 2.774 20 5 CFBDRN CO[C@@](C)(CNc1cc(C)c([N+](=O)[O-])cn1)C1CC1 ZINC000292452646 198293640 /nfs/dbraw/zinc/29/36/40/198293640.db2.gz WYPNNLZHEWNNFE-ZDUSSCGKSA-N 0 0 265.313 2.525 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000156023319 188239046 /nfs/dbraw/zinc/23/90/46/188239046.db2.gz BBEBJBMNAPRTSA-VIFPVBQESA-N 0 0 251.286 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3C[C@H]4CC[C@@H]3O4)ccc2c1 ZINC000156673522 188275444 /nfs/dbraw/zinc/27/54/44/188275444.db2.gz JDVDNCNHVHIESL-BNOWGMLFSA-N 0 0 285.303 2.875 20 5 CFBDRN CCNC(=O)C(C)(C)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292521221 198318171 /nfs/dbraw/zinc/31/81/71/198318171.db2.gz HBHCWNZMUKTBGG-UHFFFAOYSA-N 0 0 297.330 2.617 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000156394408 188260300 /nfs/dbraw/zinc/26/03/00/188260300.db2.gz ATGKNVHLYQTWHZ-JSGCOSHPSA-N 0 0 276.336 2.832 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000157534221 188322886 /nfs/dbraw/zinc/32/28/86/188322886.db2.gz PFSQZEFNXLQCKA-GFCCVEGCSA-N 0 0 280.349 2.562 20 5 CFBDRN C[C@H]1CCC[C@@H](N(C)C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000157311295 188309335 /nfs/dbraw/zinc/30/93/35/188309335.db2.gz RDTVLCPZDKNRSR-WDEREUQCSA-N 0 0 291.351 2.828 20 5 CFBDRN CCC(CC)(CO)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000157596268 188326583 /nfs/dbraw/zinc/32/65/83/188326583.db2.gz WOSODMAJHCZGPL-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN CCSCC[C@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000157719161 188334562 /nfs/dbraw/zinc/33/45/62/188334562.db2.gz HYYPXUXTABFDLJ-VIFPVBQESA-N 0 0 297.380 2.637 20 5 CFBDRN C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000292584809 198340841 /nfs/dbraw/zinc/34/08/41/198340841.db2.gz DRJUNZSCABTSLW-SSDOTTSWSA-N 0 0 258.224 2.825 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000157821919 188341474 /nfs/dbraw/zinc/34/14/74/188341474.db2.gz SQESNJIXOKWGNH-UHFFFAOYSA-N 0 0 296.273 2.793 20 5 CFBDRN Cc1cnc(OCCOCCC(C)C)c([N+](=O)[O-])c1 ZINC000157991970 188351635 /nfs/dbraw/zinc/35/16/35/188351635.db2.gz BRCNTLVRNWXYLZ-UHFFFAOYSA-N 0 0 268.313 2.740 20 5 CFBDRN CC1(C)C[C@H](O)CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000295177190 199389335 /nfs/dbraw/zinc/38/93/35/199389335.db2.gz HADSSLKDJZYHME-LLVKDONJSA-N 0 0 284.381 2.639 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC3(CCCC3)C2)cs1 ZINC000158041264 188354830 /nfs/dbraw/zinc/35/48/30/188354830.db2.gz FAILVQMLYMKLQE-UHFFFAOYSA-N 0 0 282.365 2.801 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCCCC1 ZINC000158052128 188355162 /nfs/dbraw/zinc/35/51/62/188355162.db2.gz YBYFVJGDZBLPFD-UHFFFAOYSA-N 0 0 278.308 2.620 20 5 CFBDRN CC[C@@H](NC(=O)Cc1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000158078821 188355725 /nfs/dbraw/zinc/35/57/25/188355725.db2.gz DITUZGIBWRLJEE-CQSZACIVSA-N 0 0 299.330 2.800 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)C(C)(C)O ZINC000292664808 198367971 /nfs/dbraw/zinc/36/79/71/198367971.db2.gz CTBRBOWUKIHSLL-LLVKDONJSA-N 0 0 256.277 2.695 20 5 CFBDRN Cc1cc(NCC2=CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000292742992 198396459 /nfs/dbraw/zinc/39/64/59/198396459.db2.gz VEYWVVCPUZXOGQ-UHFFFAOYSA-N 0 0 266.272 2.801 20 5 CFBDRN Cc1cc(NCC(C)(C)CCO)c(F)cc1[N+](=O)[O-] ZINC000292715595 198387339 /nfs/dbraw/zinc/38/73/39/198387339.db2.gz SZCCPSLMRUTNGQ-UHFFFAOYSA-N 0 0 270.304 2.863 20 5 CFBDRN C[C@]1(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CCOC1 ZINC000159450178 188426450 /nfs/dbraw/zinc/42/64/50/188426450.db2.gz NHIDNQFNPBAURW-LBPRGKRZSA-N 0 0 299.714 2.549 20 5 CFBDRN Cc1ccc(N[C@H](C)[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000159607980 188434006 /nfs/dbraw/zinc/43/40/06/188434006.db2.gz FBJIBSSBBRMBEO-MNOVXSKESA-N 0 0 250.298 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN[C@H]2CCn3ccnc32)c1 ZINC000295199707 199401076 /nfs/dbraw/zinc/40/10/76/199401076.db2.gz YLNDBAVJMVWTQZ-LBPRGKRZSA-N 0 0 292.726 2.679 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCO[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000160321070 188466594 /nfs/dbraw/zinc/46/65/94/188466594.db2.gz ALOXQNXWLSGETP-VXGBXAGGSA-N 0 0 277.324 2.668 20 5 CFBDRN CCc1nocc1CN(C)c1c(F)cccc1[N+](=O)[O-] ZINC000292911499 198458586 /nfs/dbraw/zinc/45/85/86/198458586.db2.gz XOEPISJTVXUMMR-UHFFFAOYSA-N 0 0 279.271 2.921 20 5 CFBDRN C[C@H](NCc1ccc(CO)o1)c1ccccc1[N+](=O)[O-] ZINC000271714392 191286734 /nfs/dbraw/zinc/28/67/34/191286734.db2.gz VRKWXMXENQOWQR-JTQLQIEISA-N 0 0 276.292 2.531 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)CC ZINC000161134590 188510380 /nfs/dbraw/zinc/51/03/80/188510380.db2.gz ACBZRVLFQARGER-VIFPVBQESA-N 0 0 266.297 2.522 20 5 CFBDRN CC[C@@H](CSC)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000180960285 522604375 /nfs/dbraw/zinc/60/43/75/522604375.db2.gz GAEMYYKJDRQUBX-VIFPVBQESA-N 0 0 288.394 2.870 20 5 CFBDRN C[C@H]1CSCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000302726177 291013765 /nfs/dbraw/zinc/01/37/65/291013765.db2.gz NUXUUMIBKONGHI-SECBINFHSA-N 0 0 297.336 2.692 20 5 CFBDRN CCCn1c(C)nnc1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000161426933 188527243 /nfs/dbraw/zinc/52/72/43/188527243.db2.gz BKBJUFRIWFNFBW-UHFFFAOYSA-N 0 0 294.286 2.623 20 5 CFBDRN Cc1nc(NC[C@H]2Cc3ccccc3O2)ccc1[N+](=O)[O-] ZINC000161517939 188532724 /nfs/dbraw/zinc/53/27/24/188532724.db2.gz HDAIUURJRSZNSH-GFCCVEGCSA-N 0 0 285.303 2.714 20 5 CFBDRN O=C(NCC[C@@H]1CCCCO1)Nc1ccc([N+](=O)[O-])cc1 ZINC000161825150 188546454 /nfs/dbraw/zinc/54/64/54/188546454.db2.gz GRLJIBDBQJRPLD-ZDUSSCGKSA-N 0 0 293.323 2.676 20 5 CFBDRN C[C@H]1CSCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000277394863 291014889 /nfs/dbraw/zinc/01/48/89/291014889.db2.gz JXNDOBRQDKITDB-MRVPVSSYSA-N 0 0 287.772 2.833 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1O[C@H](C)C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000295282972 199438795 /nfs/dbraw/zinc/43/87/95/199438795.db2.gz HVYYFWXRZLYCID-NJBDSQKTSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@H](NC(=O)CC1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000295371601 199478549 /nfs/dbraw/zinc/47/85/49/199478549.db2.gz OUDHGLIKQJUXDT-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN COC1(CNC(=O)c2cc([N+](=O)[O-])c(C)s2)CCCC1 ZINC000295382166 199483132 /nfs/dbraw/zinc/48/31/32/199483132.db2.gz PQMLCQXEIYFRMI-UHFFFAOYSA-N 0 0 298.364 2.654 20 5 CFBDRN CS[C@@H](C)CC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271764000 191311368 /nfs/dbraw/zinc/31/13/68/191311368.db2.gz MWBTUSDKFZLATE-VHSXEESVSA-N 0 0 282.365 2.914 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CCCSC1 ZINC000273505999 192180149 /nfs/dbraw/zinc/18/01/49/192180149.db2.gz WFVIUGPWXDODCZ-MRVPVSSYSA-N 0 0 299.327 2.751 20 5 CFBDRN CC(C)(C)C[C@H](O)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000172273957 188791843 /nfs/dbraw/zinc/79/18/43/188791843.db2.gz TXHPKWRHQSILQJ-LBPRGKRZSA-N 0 0 295.339 2.513 20 5 CFBDRN C[C@@H]1CCCN(C(=O)COc2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000172644198 188818817 /nfs/dbraw/zinc/81/88/17/188818817.db2.gz UYZLOHIMYCFGAB-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN CS[C@@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271798676 191333550 /nfs/dbraw/zinc/33/35/50/191333550.db2.gz RSULRXAQRFFPJE-BDAKNGLRSA-N 0 0 268.338 2.524 20 5 CFBDRN CSC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000173340704 188851168 /nfs/dbraw/zinc/85/11/68/188851168.db2.gz NDAIUJSXBUBCQQ-UHFFFAOYSA-N 0 0 283.353 2.858 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CCc2ccccn2)c1 ZINC000173278760 188847933 /nfs/dbraw/zinc/84/79/33/188847933.db2.gz RXHXNTDYBQLAJW-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN Cc1nc(NCC2([C@@H](O)C(C)C)CC2)ccc1[N+](=O)[O-] ZINC000271893207 191396044 /nfs/dbraw/zinc/39/60/44/191396044.db2.gz XPVBIONCSBSHHY-ZDUSSCGKSA-N 0 0 279.340 2.507 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1cnccn1 ZINC000271862099 191375149 /nfs/dbraw/zinc/37/51/49/191375149.db2.gz ZRTDJXOPJAAXLW-QWRGUYRKSA-N 0 0 272.308 2.797 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)[C@@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000272152033 191543791 /nfs/dbraw/zinc/54/37/91/191543791.db2.gz NTXDPYHVOALUOP-FZMZJTMJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1ncnn1CC(C)C ZINC000272219393 191588085 /nfs/dbraw/zinc/58/80/85/191588085.db2.gz RROYKJIMIVSQNV-UHFFFAOYSA-N 0 0 289.339 2.763 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000272250938 191608903 /nfs/dbraw/zinc/60/89/03/191608903.db2.gz ZOOXBOBKPSAPOR-RISCZKNCSA-N 0 0 292.335 2.591 20 5 CFBDRN CCC1CCN(C(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000272733214 191889349 /nfs/dbraw/zinc/88/93/49/191889349.db2.gz PMSAAVVHHOULME-UHFFFAOYSA-N 0 0 278.312 2.644 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CCCCS1 ZINC000272975481 192004759 /nfs/dbraw/zinc/00/47/59/192004759.db2.gz PDIMFVGLIIYKFZ-ZDUSSCGKSA-N 0 0 294.376 2.839 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000458151495 535300025 /nfs/dbraw/zinc/30/00/25/535300025.db2.gz QILVWJDQPUJOQT-HIFRSBDPSA-N 0 0 292.335 2.524 20 5 CFBDRN C[C@@H]1C[C@@H](CCNC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000273563963 192204719 /nfs/dbraw/zinc/20/47/19/192204719.db2.gz NMTVLIAYNBWSHY-NEPJUHHUSA-N 0 0 292.335 2.530 20 5 CFBDRN C[C@@H]1C[C@H](CCNC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000273583117 192215333 /nfs/dbraw/zinc/21/53/33/192215333.db2.gz PMVBLSZXUHTKPW-NXEZZACHSA-N 0 0 298.364 2.591 20 5 CFBDRN Cc1ccc(C[C@@H]2CCCN2c2ncc([N+](=O)[O-])cn2)cc1 ZINC000273583324 192215736 /nfs/dbraw/zinc/21/57/36/192215736.db2.gz GECCMHQXLSETAC-AWEZNQCLSA-N 0 0 298.346 2.905 20 5 CFBDRN Cc1ccccc1[C@@H](C)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000273605673 192227377 /nfs/dbraw/zinc/22/73/77/192227377.db2.gz KNCDRTLKWNOZFK-LLVKDONJSA-N 0 0 272.308 2.891 20 5 CFBDRN Cc1nnc([C@H](C)NCc2ccccc2[N+](=O)[O-])s1 ZINC000273617862 192232752 /nfs/dbraw/zinc/23/27/52/192232752.db2.gz PWVZTDCAQBNSAT-QMMMGPOBSA-N 0 0 278.337 2.606 20 5 CFBDRN Cc1cnc(OCc2nc(C(C)(C)C)no2)c([N+](=O)[O-])c1 ZINC000175138367 188935336 /nfs/dbraw/zinc/93/53/36/188935336.db2.gz AMGPMKPWSCQSPV-UHFFFAOYSA-N 0 0 292.295 2.558 20 5 CFBDRN CCCN(Cc1ccc(F)cc1)c1ncc([N+](=O)[O-])cn1 ZINC000273644722 192244124 /nfs/dbraw/zinc/24/41/24/192244124.db2.gz STIALHIJFSLNOV-UHFFFAOYSA-N 0 0 290.298 2.941 20 5 CFBDRN CS[C@H](C)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273733713 192283824 /nfs/dbraw/zinc/28/38/24/192283824.db2.gz SFCZAHNGPCNWGV-ZCFIWIBFSA-N 0 0 280.309 2.651 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@H]21 ZINC000336055279 295928637 /nfs/dbraw/zinc/92/86/37/295928637.db2.gz GAOUCTYABRUYCI-QPUJVOFHSA-N 0 0 279.271 2.750 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000188807572 290508671 /nfs/dbraw/zinc/50/86/71/290508671.db2.gz PYOYHHOGNGBNQL-UWVGGRQHSA-N 0 0 266.297 2.886 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000293819437 198785153 /nfs/dbraw/zinc/78/51/53/198785153.db2.gz UXWQSTYHFPXUDS-VIFPVBQESA-N 0 0 266.297 2.611 20 5 CFBDRN CC[C@H](C)CONC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000293815428 198783939 /nfs/dbraw/zinc/78/39/39/198783939.db2.gz UOWQVEAWXZCNEO-QMMMGPOBSA-N 0 0 286.715 2.956 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000175667239 188961479 /nfs/dbraw/zinc/96/14/79/188961479.db2.gz UBVMRYAKCKDAMD-JTQLQIEISA-N 0 0 261.281 2.593 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)NCC2CC2)cc1[N+](=O)[O-] ZINC000175688738 188962318 /nfs/dbraw/zinc/96/23/18/188962318.db2.gz NULMHVNIYSUNAW-SNVBAGLBSA-N 0 0 277.324 2.673 20 5 CFBDRN CS[C@H]1CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000294424665 199034862 /nfs/dbraw/zinc/03/48/62/199034862.db2.gz OQCIJCNHRISFKG-NSHDSACASA-N 0 0 280.349 2.739 20 5 CFBDRN C[C@H](C(=O)NCCc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000294444955 199042791 /nfs/dbraw/zinc/04/27/91/199042791.db2.gz FNGVUMPGVOFPQA-SECBINFHSA-N 0 0 286.278 2.545 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)C(C)(F)F ZINC000294488080 199059422 /nfs/dbraw/zinc/05/94/22/199059422.db2.gz IUIHILHLTPOCLX-ZETCQYMHSA-N 0 0 289.234 2.800 20 5 CFBDRN COc1cccc([C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294500602 199064092 /nfs/dbraw/zinc/06/40/92/199064092.db2.gz VIHSLTDROSGCPY-NSHDSACASA-N 0 0 294.351 2.708 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc2c(c1)CCCO2)[N+](=O)[O-] ZINC000294610215 199107238 /nfs/dbraw/zinc/10/72/38/199107238.db2.gz QRJIQPWTZHUQFE-UHFFFAOYSA-N 0 0 292.335 2.786 20 5 CFBDRN C[C@H](C1CC1)n1nccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294763007 199170577 /nfs/dbraw/zinc/17/05/77/199170577.db2.gz ZVDZNIDGMUKIRC-SNVBAGLBSA-N 0 0 294.355 2.628 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1Cl)CC(C)(C)CO ZINC000294764468 199172309 /nfs/dbraw/zinc/17/23/09/199172309.db2.gz JMXNDEXVICYTED-UHFFFAOYSA-N 0 0 286.759 2.699 20 5 CFBDRN CS[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000294860670 199218302 /nfs/dbraw/zinc/21/83/02/199218302.db2.gz ABFBIKISYORSNN-VIFPVBQESA-N 0 0 256.302 2.676 20 5 CFBDRN CCc1nnc(CNc2c(C)cc([N+](=O)[O-])cc2Cl)[nH]1 ZINC000294853968 199214746 /nfs/dbraw/zinc/21/47/46/199214746.db2.gz CLPDMEGQTSYHTL-UHFFFAOYSA-N 0 0 295.730 2.849 20 5 CFBDRN CC/C(C)=C/C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000294853045 199214754 /nfs/dbraw/zinc/21/47/54/199214754.db2.gz GKSWURMMDQKNIL-WEVVVXLNSA-N 0 0 292.291 2.676 20 5 CFBDRN CC(=O)c1ccc(NCC[C@@H](C)CCO)c([N+](=O)[O-])c1 ZINC000294885615 199232226 /nfs/dbraw/zinc/23/22/26/199232226.db2.gz GJUBZEGJRSKGCH-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN COC1(CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCCC1 ZINC000294886931 199232891 /nfs/dbraw/zinc/23/28/91/199232891.db2.gz GPGAYEDHRQIXPF-UHFFFAOYSA-N 0 0 290.323 2.842 20 5 CFBDRN CC(C)(CO)CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000294907504 199242924 /nfs/dbraw/zinc/24/29/24/199242924.db2.gz HWHNTRVTJRURNM-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN CS[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000294924031 199252099 /nfs/dbraw/zinc/25/20/99/199252099.db2.gz GTVULLDOSGDSCK-NSHDSACASA-N 0 0 252.339 2.845 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC[C@H](C)CCO ZINC000294940026 199261809 /nfs/dbraw/zinc/26/18/09/199261809.db2.gz LMFALCBOGOYYCJ-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cn1)Cc1ccccc1 ZINC000294943385 199263131 /nfs/dbraw/zinc/26/31/31/199263131.db2.gz LMNXDKMAGBSJFW-AWEZNQCLSA-N 0 0 287.319 2.659 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC[C@@H](C)CCO ZINC000294940031 199260953 /nfs/dbraw/zinc/26/09/53/199260953.db2.gz LMFALCBOGOYYCJ-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN COCCC(C)(C)NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421516577 236830991 /nfs/dbraw/zinc/83/09/91/236830991.db2.gz XKPCGXAKJJERJF-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN C[C@H]1Cc2ccccc2N(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000336134755 291167647 /nfs/dbraw/zinc/16/76/47/291167647.db2.gz QOBJOLHONXLCHE-NSHDSACASA-N 0 0 299.330 2.772 20 5 CFBDRN CC(C)(O)CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000274774002 192769843 /nfs/dbraw/zinc/76/98/43/192769843.db2.gz NKRUCHFXDCQVJA-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN CCC(=O)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000274833339 192797758 /nfs/dbraw/zinc/79/77/58/192797758.db2.gz SGLOFHQBKAPVGV-VIFPVBQESA-N 0 0 296.348 2.630 20 5 CFBDRN CC[C@@H](CNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F)OC ZINC000296846671 200013759 /nfs/dbraw/zinc/01/37/59/200013759.db2.gz UKLZZXHFNFUAJF-VIFPVBQESA-N 0 0 299.302 2.589 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000274990442 192868424 /nfs/dbraw/zinc/86/84/24/192868424.db2.gz YTYLSIQVAUYSHA-GOEBONIOSA-N 0 0 290.363 2.936 20 5 CFBDRN Cc1nc(N2CC[C@@H](Cc3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000296929049 200037499 /nfs/dbraw/zinc/03/74/99/200037499.db2.gz BDFJWGKNEGEBOE-AWEZNQCLSA-N 0 0 298.346 2.762 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])c(C)cc2F)no1 ZINC000297041020 200069418 /nfs/dbraw/zinc/06/94/18/200069418.db2.gz VGXJXILHPAABQI-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NN1CCCCC1 ZINC000297020911 200063501 /nfs/dbraw/zinc/06/35/01/200063501.db2.gz AIOKLBIAROVADR-UHFFFAOYSA-N 0 0 292.339 2.734 20 5 CFBDRN CC(C)n1ccnc1Sc1ncccc1[N+](=O)[O-] ZINC000297020278 200063789 /nfs/dbraw/zinc/06/37/89/200063789.db2.gz WDHPFIZZIDYOCM-UHFFFAOYSA-N 0 0 264.310 2.918 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297248708 200126027 /nfs/dbraw/zinc/12/60/27/200126027.db2.gz VEVZFFGGTUKPLS-QMTHXVAHSA-N 0 0 289.335 2.962 20 5 CFBDRN CCO[C@@H](CCNc1ncc([N+](=O)[O-])c(C)n1)C(C)C ZINC000297328250 200149632 /nfs/dbraw/zinc/14/96/32/200149632.db2.gz ABNHIZGMQORNJM-LBPRGKRZSA-N 0 0 282.344 2.556 20 5 CFBDRN CCO[C@H](CCNc1ncc([N+](=O)[O-])c(C)n1)C(C)C ZINC000297328249 200149758 /nfs/dbraw/zinc/14/97/58/200149758.db2.gz ABNHIZGMQORNJM-GFCCVEGCSA-N 0 0 282.344 2.556 20 5 CFBDRN Cc1nc(NCC2(C(C)C)CC2)ncc1[N+](=O)[O-] ZINC000297340564 200154989 /nfs/dbraw/zinc/15/49/89/200154989.db2.gz AYFFSFSNQBOQHQ-UHFFFAOYSA-N 0 0 250.302 2.541 20 5 CFBDRN C[C@H]1COCCN1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000297405579 200178623 /nfs/dbraw/zinc/17/86/23/200178623.db2.gz IHARQEMDJMYCKH-QMMMGPOBSA-N 0 0 299.714 2.501 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCO[C@@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000297431239 200186897 /nfs/dbraw/zinc/18/68/97/200186897.db2.gz HYMPEWQGUKWLOJ-WCQYABFASA-N 0 0 294.355 2.556 20 5 CFBDRN Cc1nnc(CNc2c(C)ccc([N+](=O)[O-])c2C)o1 ZINC000297701053 200265000 /nfs/dbraw/zinc/26/50/00/200265000.db2.gz MHZNUXKFOHYLRN-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000297711816 200267473 /nfs/dbraw/zinc/26/74/73/200267473.db2.gz GDYQPVDAGBGSNX-GWCFXTLKSA-N 0 0 296.298 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CNCC(F)F)c1 ZINC000298070817 200327330 /nfs/dbraw/zinc/32/73/30/200327330.db2.gz KZCZWCFTPDPCHY-UHFFFAOYSA-N 0 0 282.193 2.551 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000298110603 200335410 /nfs/dbraw/zinc/33/54/10/200335410.db2.gz ACLRTIVDVMEDEL-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN CC(C)Cn1nnnc1S/C=C/c1ccc([N+](=O)[O-])o1 ZINC000298197612 200357810 /nfs/dbraw/zinc/35/78/10/200357810.db2.gz RXHVXUVXQVYRDY-AATRIKPKSA-N 0 0 295.324 2.593 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CC[C@H]1CCOC1 ZINC000176548765 188999759 /nfs/dbraw/zinc/99/97/59/188999759.db2.gz DHJFIKPUUBCIQK-LBPRGKRZSA-N 0 0 292.335 2.967 20 5 CFBDRN CCCN(CC)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000298330837 200397048 /nfs/dbraw/zinc/39/70/48/200397048.db2.gz MKOQBEMLIGLFRI-UHFFFAOYSA-N 0 0 279.340 2.655 20 5 CFBDRN CC(C)CO[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000177149168 189032409 /nfs/dbraw/zinc/03/24/09/189032409.db2.gz LCMLOZSAUCDCOZ-LLVKDONJSA-N 0 0 298.364 2.543 20 5 CFBDRN CC(C)C1(CNC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000177377775 189046842 /nfs/dbraw/zinc/04/68/42/189046842.db2.gz WEJCWQMITQYMEN-UHFFFAOYSA-N 0 0 262.309 2.761 20 5 CFBDRN O=C1OCC[C@@H]1CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000177561935 189057213 /nfs/dbraw/zinc/05/72/13/189057213.db2.gz YUXICXOCUQAYEC-QMMMGPOBSA-N 0 0 285.683 2.580 20 5 CFBDRN Cc1cc(=O)n(Cc2ccc3c(c2)CCC3)cc1[N+](=O)[O-] ZINC000177793493 189070144 /nfs/dbraw/zinc/07/01/44/189070144.db2.gz PNGDYXHAGBAOEN-UHFFFAOYSA-N 0 0 284.315 2.602 20 5 CFBDRN Cc1cnc([C@@H](C)NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000177865470 189074649 /nfs/dbraw/zinc/07/46/49/189074649.db2.gz QWHJMKFEYPLJDO-SSDOTTSWSA-N 0 0 297.361 2.912 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000178166572 189094544 /nfs/dbraw/zinc/09/45/44/189094544.db2.gz CHOBLMNVEXZZID-ZOUVEMKTSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](O)c2ccccc2C)n1 ZINC000178223018 189099105 /nfs/dbraw/zinc/09/91/05/189099105.db2.gz AKAGFUKUPQDNIY-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000178440231 189116221 /nfs/dbraw/zinc/11/62/21/189116221.db2.gz RDMHJUBYXTZYIW-ZJUUUORDSA-N 0 0 262.309 2.818 20 5 CFBDRN CCC1(NC(=O)Cc2ccccc2[N+](=O)[O-])CCC1 ZINC000178580827 189128674 /nfs/dbraw/zinc/12/86/74/189128674.db2.gz DTNXOXMMQSMHEU-UHFFFAOYSA-N 0 0 262.309 2.586 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000299115091 200613222 /nfs/dbraw/zinc/61/32/22/200613222.db2.gz ZTQHTCDUFZTDRV-CYBMUJFWSA-N 0 0 250.298 2.600 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000178617873 189131777 /nfs/dbraw/zinc/13/17/77/189131777.db2.gz NNFMNANTZBQCJQ-JQWIXIFHSA-N 0 0 278.352 2.997 20 5 CFBDRN CCC[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000197583271 540809395 /nfs/dbraw/zinc/80/93/95/540809395.db2.gz GRXIMXAQHFHQJM-VIFPVBQESA-N 0 0 251.286 2.905 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000179300178 189184142 /nfs/dbraw/zinc/18/41/42/189184142.db2.gz BMWLWBLCEIQFHR-NEPJUHHUSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1CC=CCC1 ZINC000299587133 200791131 /nfs/dbraw/zinc/79/11/31/200791131.db2.gz FCHNUHFTKHOSJA-GFCCVEGCSA-N 0 0 274.320 2.989 20 5 CFBDRN C/C=C/CS(=O)(=O)[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000180142083 189239108 /nfs/dbraw/zinc/23/91/08/189239108.db2.gz CEKFNUYSKNDIHW-SGUJLRQBSA-N 0 0 283.349 2.955 20 5 CFBDRN O=C(N[C@@H]1CCCOCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000180163184 189240743 /nfs/dbraw/zinc/24/07/43/189240743.db2.gz WMOLGJLOHPAZFP-SNVBAGLBSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1ccc(OCc2ncnn2CC(C)C)c([N+](=O)[O-])c1 ZINC000180009520 189231835 /nfs/dbraw/zinc/23/18/35/189231835.db2.gz ZBWBNFQYKSTMCJ-UHFFFAOYSA-N 0 0 290.323 2.730 20 5 CFBDRN O=[N+]([O-])c1c(NCCCn2ccnc2)ccc2ncccc21 ZINC000301095845 200913995 /nfs/dbraw/zinc/91/39/95/200913995.db2.gz NNKZEPPCSJBECO-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1ccc([N+](=O)[O-])cn1 ZINC000301165088 200928959 /nfs/dbraw/zinc/92/89/59/200928959.db2.gz VAVBTOJURJUYBT-UHFFFAOYSA-N 0 0 291.219 2.699 20 5 CFBDRN CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)C ZINC000180739829 189272526 /nfs/dbraw/zinc/27/25/26/189272526.db2.gz NYIIWHFCZWSJSO-UHFFFAOYSA-N 0 0 268.338 2.797 20 5 CFBDRN COc1ccccc1CCN(C)c1ncccc1[N+](=O)[O-] ZINC000301189632 200934244 /nfs/dbraw/zinc/93/42/44/200934244.db2.gz LCSHMRGOGGRNSM-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@@H]1C ZINC000118295317 291257422 /nfs/dbraw/zinc/25/74/22/291257422.db2.gz UXSJNNWWLRLACT-HTQZYQBOSA-N 0 0 286.378 2.622 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301249272 200950528 /nfs/dbraw/zinc/95/05/28/200950528.db2.gz RFEIJMPNYXFLCN-UFBFGSQYSA-N 0 0 256.277 2.553 20 5 CFBDRN CN(CC1CCCCC1)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180907459 189283214 /nfs/dbraw/zinc/28/32/14/189283214.db2.gz NOXYCMWHICDJPK-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1ccc(/C=C/C(=O)OC)cc1[N+](=O)[O-] ZINC000301301287 200968800 /nfs/dbraw/zinc/96/88/00/200968800.db2.gz RHRCLOODIJQBLD-BVKQBWKVSA-N 0 0 290.319 2.991 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000301432902 201006528 /nfs/dbraw/zinc/00/65/28/201006528.db2.gz JHVDAZVGTQEUPS-ZWNOBZJWSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1nn(Cc2csc(C(C)C)n2)cc1[N+](=O)[O-] ZINC000181814222 189307747 /nfs/dbraw/zinc/30/77/47/189307747.db2.gz DIVNIBKMORJMIA-UHFFFAOYSA-N 0 0 266.326 2.728 20 5 CFBDRN Cc1ccc(NC[C@H](O)Cc2ccccc2)c([N+](=O)[O-])c1 ZINC000301444249 201009267 /nfs/dbraw/zinc/00/92/67/201009267.db2.gz ORKGLRXLMSCRPB-CQSZACIVSA-N 0 0 286.331 2.919 20 5 CFBDRN CSCCCNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182764354 189334181 /nfs/dbraw/zinc/33/41/81/189334181.db2.gz UWZPAKDPKCMGFR-UHFFFAOYSA-N 0 0 283.353 2.778 20 5 CFBDRN COCCC[C@H](C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000182951952 189340616 /nfs/dbraw/zinc/34/06/16/189340616.db2.gz YRDLBNYMZGHGGF-NSHDSACASA-N 0 0 292.335 2.547 20 5 CFBDRN COc1cc(NC[C@](C)(O)C(C)C)c([N+](=O)[O-])cc1F ZINC000301631369 201106369 /nfs/dbraw/zinc/10/63/69/201106369.db2.gz UAPNLMKXNMNWAS-ZDUSSCGKSA-N 0 0 286.303 2.561 20 5 CFBDRN COC(=O)/C=C/c1ccc(NC2CC=CC2)c([N+](=O)[O-])c1 ZINC000301614574 201096485 /nfs/dbraw/zinc/09/64/85/201096485.db2.gz AUOGDRNKRRQPFD-VQHVLOKHSA-N 0 0 288.303 2.912 20 5 CFBDRN Cc1ccnc(N[C@@H](C)C[C@@H](O)c2ccco2)c1[N+](=O)[O-] ZINC000301647669 201114397 /nfs/dbraw/zinc/11/43/97/201114397.db2.gz QHCMQADYIZQOJZ-WDEREUQCSA-N 0 0 291.307 2.815 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@H](O)C2)c([N+](=O)[O-])cc1F ZINC000301650323 201116016 /nfs/dbraw/zinc/11/60/16/201116016.db2.gz RSPBOZXFFPEMIX-ZJUUUORDSA-N 0 0 298.314 2.706 20 5 CFBDRN Cc1nnc(Sc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000301658451 201121504 /nfs/dbraw/zinc/12/15/04/201121504.db2.gz SUCOUNHMVMRXGO-UHFFFAOYSA-N 0 0 268.323 2.609 20 5 CFBDRN C[C@@H](C[C@@H](O)c1ccco1)Nc1ccc([N+](=O)[O-])cn1 ZINC000301647030 201113659 /nfs/dbraw/zinc/11/36/59/201113659.db2.gz PWQCVCZQHYAJAE-GXSJLCMTSA-N 0 0 277.280 2.507 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1CCC[C@@H](F)C1 ZINC000424592094 238797719 /nfs/dbraw/zinc/79/77/19/238797719.db2.gz AWQSWPTUHFQMTO-ZYHUDNBSSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+](C)C[C@H]1CCCOC1 ZINC000183330889 189354915 /nfs/dbraw/zinc/35/49/15/189354915.db2.gz RGNQSMUVKRDQQR-CYBMUJFWSA-N 0 0 278.352 2.762 20 5 CFBDRN COCCC(C)(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000183823406 189368820 /nfs/dbraw/zinc/36/88/20/189368820.db2.gz IQPPTHVOJUIKFL-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN CCC1(CC)[C@@H](Nc2ncccc2[N+](=O)[O-])C[C@@H]1OC ZINC000301725362 201161115 /nfs/dbraw/zinc/16/11/15/201161115.db2.gz UPNYKOQAIDSFCQ-RYUDHWBXSA-N 0 0 279.340 2.995 20 5 CFBDRN CCC1(CC)[C@H](OC)C[C@@H]1Nc1ncccc1[N+](=O)[O-] ZINC000301725361 201161450 /nfs/dbraw/zinc/16/14/50/201161450.db2.gz UPNYKOQAIDSFCQ-NWDGAFQWSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Nc2ccncc2[N+](=O)[O-])[C@@H]1C ZINC000183559051 189361935 /nfs/dbraw/zinc/36/19/35/189361935.db2.gz VHBUFFHGRSXPPH-NXEZZACHSA-N 0 0 278.312 2.642 20 5 CFBDRN CC(C)C[C@H]1CCN(C(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000183569819 189362554 /nfs/dbraw/zinc/36/25/54/189362554.db2.gz BMAPNIKFBFTAEV-LLVKDONJSA-N 0 0 292.339 2.890 20 5 CFBDRN COCC[C@H](C)NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000183585397 189363105 /nfs/dbraw/zinc/36/31/05/189363105.db2.gz LMRJGVRZLRRLSV-IIANPFDCSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])NC1CCCCCC1 ZINC000183615270 189363901 /nfs/dbraw/zinc/36/39/01/189363901.db2.gz GBDPJKNKVDRNMK-UHFFFAOYSA-N 0 0 278.312 2.834 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183609381 189364048 /nfs/dbraw/zinc/36/40/48/189364048.db2.gz PGKYQCWKWJCHOZ-JTQLQIEISA-N 0 0 280.328 2.890 20 5 CFBDRN O=[N+]([O-])c1cnn(CCNc2nc3ccccc3s2)c1 ZINC000301718976 201157736 /nfs/dbraw/zinc/15/77/36/201157736.db2.gz LQMRWFRADHNYDN-UHFFFAOYSA-N 0 0 289.320 2.513 20 5 CFBDRN Cc1csc(CNC(=O)Cc2cccc([N+](=O)[O-])c2)c1 ZINC001273297655 781945668 /nfs/dbraw/zinc/94/56/68/781945668.db2.gz BVYFKUAVSIEBKP-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN COc1cc(CSC[C@@H](C)CO)c([N+](=O)[O-])cc1F ZINC000183859555 189369569 /nfs/dbraw/zinc/36/95/69/189369569.db2.gz KJLWKEQQAMLEDO-QMMMGPOBSA-N 0 0 289.328 2.604 20 5 CFBDRN CCOc1cc(N2CCC[C@H]2C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000301786204 201196196 /nfs/dbraw/zinc/19/61/96/201196196.db2.gz WGNLTNVRLVDCLI-RYUDHWBXSA-N 0 0 294.351 2.733 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1)[C@@H](O)Cc1ccccc1 ZINC000301801447 201206563 /nfs/dbraw/zinc/20/65/63/201206563.db2.gz WNHDYTJJDFMWLI-WBMJQRKESA-N 0 0 286.331 2.999 20 5 CFBDRN CCCCCS(=O)(=O)Oc1ccc([N+](=O)[O-])cc1F ZINC000184210099 189379572 /nfs/dbraw/zinc/37/95/72/189379572.db2.gz XCNWKTAYQRNSIS-UHFFFAOYSA-N 0 0 291.300 2.633 20 5 CFBDRN CSCCCCCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000184297353 189382228 /nfs/dbraw/zinc/38/22/28/189382228.db2.gz YHFXFUHKPATWDC-UHFFFAOYSA-N 0 0 282.365 2.858 20 5 CFBDRN CCn1cc(OCc2cccc([N+](=O)[O-])c2C)cn1 ZINC000184751685 189394712 /nfs/dbraw/zinc/39/47/12/189394712.db2.gz KZMHGOWCFDWMSY-UHFFFAOYSA-N 0 0 261.281 2.699 20 5 CFBDRN COC[C@@H](C)CNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000184480761 189387092 /nfs/dbraw/zinc/38/70/92/189387092.db2.gz ZGINECDIOVWHKJ-VIFPVBQESA-N 0 0 295.339 2.616 20 5 CFBDRN CCn1nccc1CN(C)c1c(C)cccc1[N+](=O)[O-] ZINC000425262692 238973983 /nfs/dbraw/zinc/97/39/83/238973983.db2.gz SAVKEHQAMOREKQ-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN COCCNc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301871649 201242392 /nfs/dbraw/zinc/24/23/92/201242392.db2.gz IXBWWDWMAIDUIC-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN Cc1ocnc1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000301882948 201248423 /nfs/dbraw/zinc/24/84/23/201248423.db2.gz XLGTXJLQYMTFDR-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)CC1CCOCC1 ZINC000301915511 201260564 /nfs/dbraw/zinc/26/05/64/201260564.db2.gz DHUGKLPVSHQJCR-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1nsc(Oc2cc([N+](=O)[O-])ccc2C)n1 ZINC000301918586 201262606 /nfs/dbraw/zinc/26/26/06/201262606.db2.gz CKMTVAPNXNQDHK-UHFFFAOYSA-N 0 0 251.267 2.855 20 5 CFBDRN COc1cc(NC[C@@](C)(O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000301924039 201264888 /nfs/dbraw/zinc/26/48/88/201264888.db2.gz KSAIINIINVZZIH-CYBMUJFWSA-N 0 0 286.303 2.561 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NCCC3=CCCCC3)nn21 ZINC000301924176 201265413 /nfs/dbraw/zinc/26/54/13/201265413.db2.gz LXTJPDOFLDKWBM-UHFFFAOYSA-N 0 0 287.323 2.940 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301957417 201277382 /nfs/dbraw/zinc/27/73/82/201277382.db2.gz FZMQTQZEQSBHOH-WDEREUQCSA-N 0 0 289.339 2.876 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@H](C)CO)c2cccc([N+](=O)[O-])c2n1 ZINC000301979181 201285628 /nfs/dbraw/zinc/28/56/28/201285628.db2.gz AEWOHIBAEBIVHT-KOLCDFICSA-N 0 0 289.335 2.880 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000425524085 239089426 /nfs/dbraw/zinc/08/94/26/239089426.db2.gz CANVJVVUUOOWGS-VHSXEESVSA-N 0 0 281.287 2.765 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000185602389 189417428 /nfs/dbraw/zinc/41/74/28/189417428.db2.gz WDJMAMITDRMIBG-QWHCGFSZSA-N 0 0 276.336 2.845 20 5 CFBDRN CCOC[C@@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000302027433 201307051 /nfs/dbraw/zinc/30/70/51/201307051.db2.gz QVAJVILNPSRGBL-SNVBAGLBSA-N 0 0 270.354 2.909 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2sccc2[N+](=O)[O-])C1 ZINC000302030228 201308278 /nfs/dbraw/zinc/30/82/78/201308278.db2.gz RTXPPNGQQRRMKL-SNVBAGLBSA-N 0 0 270.354 2.908 20 5 CFBDRN CC[C@H](CO)Nc1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000301998310 201293344 /nfs/dbraw/zinc/29/33/44/201293344.db2.gz IGPYSICXLBTZBV-SNVBAGLBSA-N 0 0 275.308 2.634 20 5 CFBDRN Cc1cc(N(C)CC(C)(C)O)c2cccc([N+](=O)[O-])c2n1 ZINC000302046241 201319522 /nfs/dbraw/zinc/31/95/22/201319522.db2.gz YHUWYWRQOUJIPH-UHFFFAOYSA-N 0 0 289.335 2.659 20 5 CFBDRN CC(=O)c1ccc(N(C)[C@@H](CO)CC(C)C)c([N+](=O)[O-])c1 ZINC000185877484 189425064 /nfs/dbraw/zinc/42/50/64/189425064.db2.gz WWDLQXXEIXHDSI-CYBMUJFWSA-N 0 0 294.351 2.641 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1ccnc2ccnn21 ZINC000302067425 201328276 /nfs/dbraw/zinc/32/82/76/201328276.db2.gz SUXMVMXZXVFSFW-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1noc(C)c1CCNc1sccc1[N+](=O)[O-] ZINC000302037857 201311699 /nfs/dbraw/zinc/31/16/99/201311699.db2.gz VIEXYKDCZCVJBN-UHFFFAOYSA-N 0 0 267.310 2.916 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCc2c[nH]c3ccccc23)nc1 ZINC000302108059 201343594 /nfs/dbraw/zinc/34/35/94/201343594.db2.gz ASYSDXMLCNPVKE-UHFFFAOYSA-N 0 0 297.318 2.911 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2c[nH]c3ccccc23)nc1 ZINC000302126672 201352112 /nfs/dbraw/zinc/35/21/12/201352112.db2.gz FUWQZJFJQRKABH-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN CC1=CCN(CCNc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000302145047 201365958 /nfs/dbraw/zinc/36/59/58/201365958.db2.gz JAFLWZVECZPKNC-UHFFFAOYSA-N 0 0 297.305 2.937 20 5 CFBDRN CCOc1cc(NCCC2(C)OCCO2)ccc1[N+](=O)[O-] ZINC000302158728 201373374 /nfs/dbraw/zinc/37/33/74/201373374.db2.gz OATVUSDEBMWESD-UHFFFAOYSA-N 0 0 296.323 2.559 20 5 CFBDRN Cc1cc(Nc2ncc([N+](=O)[O-])cn2)ccc1N(C)C ZINC000302153203 201368607 /nfs/dbraw/zinc/36/86/07/201368607.db2.gz LIGYWKHPEVPQID-UHFFFAOYSA-N 0 0 273.296 2.503 20 5 CFBDRN CC(C)Oc1ccc(Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302152365 201369166 /nfs/dbraw/zinc/36/91/66/201369166.db2.gz LAUIJYCDXSTDRU-UHFFFAOYSA-N 0 0 274.280 2.916 20 5 CFBDRN CCOCc1cccc(Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000302137555 201360272 /nfs/dbraw/zinc/36/02/72/201360272.db2.gz BYFMNOGMLNJSPG-UHFFFAOYSA-N 0 0 274.280 2.665 20 5 CFBDRN O=C([O-])[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)c1ccsc1 ZINC000426781636 239263028 /nfs/dbraw/zinc/26/30/28/239263028.db2.gz BMDMAFQPTXSBRJ-GFCCVEGCSA-N 0 0 292.316 2.572 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc3c(c2)CCC3)nc1 ZINC000302200656 201391663 /nfs/dbraw/zinc/39/16/63/201391663.db2.gz ZCHBTHLDZRXIID-UHFFFAOYSA-N 0 0 257.249 2.666 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ncc(C)s1 ZINC000427120487 239285789 /nfs/dbraw/zinc/28/57/89/239285789.db2.gz RUHUHKXZODOMRG-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CC(C)(C)[C@@H]1OCCC[C@@H]1CNc1ncc([N+](=O)[O-])cn1 ZINC000302164685 201377062 /nfs/dbraw/zinc/37/70/62/201377062.db2.gz QTQWACXJTRMDJO-ZYHUDNBSSA-N 0 0 294.355 2.638 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccccc2OC(F)F)nc1 ZINC000302178940 201383436 /nfs/dbraw/zinc/38/34/36/201383436.db2.gz YKARFAKZRGYURQ-UHFFFAOYSA-N 0 0 283.190 2.779 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1csc(C)n1 ZINC000427704441 239330842 /nfs/dbraw/zinc/33/08/42/239330842.db2.gz JTVVHWKBSLLUKC-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1cccc(COc2ccc([N+](=O)[O-])c(CO)c2)c1 ZINC000428072882 239363666 /nfs/dbraw/zinc/36/36/66/239363666.db2.gz DEOUCJGHOJOAEZ-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000188326693 189498195 /nfs/dbraw/zinc/49/81/95/189498195.db2.gz ISWLGSRJNBCPQD-NSHDSACASA-N 0 0 291.351 2.829 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCOCC(F)(F)C(F)F ZINC000188730917 189511870 /nfs/dbraw/zinc/51/18/70/189511870.db2.gz PHPOSUOPGGWOPO-UHFFFAOYSA-N 0 0 297.204 2.891 20 5 CFBDRN O=C(C1=CCCCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000188931285 283743433 /nfs/dbraw/zinc/74/34/33/283743433.db2.gz JQRWETLAJAKHGV-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN Cc1ccc(Oc2ccc(C)[n+]([O-])c2)c([N+](=O)[O-])c1 ZINC000302225632 201408724 /nfs/dbraw/zinc/40/87/24/201408724.db2.gz RSTKTKBGKXTZHV-UHFFFAOYSA-N 0 0 260.249 2.637 20 5 CFBDRN CC(=O)c1ccc(OCC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000189107287 189523022 /nfs/dbraw/zinc/52/30/22/189523022.db2.gz YINCWGPSFVGFOZ-LBPRGKRZSA-N 0 0 279.292 2.745 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC12CCCC2 ZINC000430248911 239732512 /nfs/dbraw/zinc/73/25/12/239732512.db2.gz MNRCQXCZALTTOZ-CYBMUJFWSA-N 0 0 290.319 2.666 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000430450256 239761581 /nfs/dbraw/zinc/76/15/81/239761581.db2.gz LSQKRHULPRJWEQ-PSASIEDQSA-N 0 0 295.314 2.577 20 5 CFBDRN CC(C)=CCNC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000191014213 189580334 /nfs/dbraw/zinc/58/03/34/189580334.db2.gz XIVFFWYILDOJDO-UHFFFAOYSA-N 0 0 292.335 2.755 20 5 CFBDRN Cc1cc(OC[C@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000191024816 189580884 /nfs/dbraw/zinc/58/08/84/189580884.db2.gz VEFSPKWSMBYWSX-CMPLNLGQSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cc(C(=O)NCC(F)(F)C(F)F)ccc1[N+](=O)[O-] ZINC000191378391 189593454 /nfs/dbraw/zinc/59/34/54/189593454.db2.gz XRDPPLFBZPTOEC-UHFFFAOYSA-N 0 0 294.204 2.533 20 5 CFBDRN CN(C[C@@H]1CCC[C@@H]1O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432324524 239984987 /nfs/dbraw/zinc/98/49/87/239984987.db2.gz WGNCFIKRVBOLAR-CABZTGNLSA-N 0 0 284.743 2.845 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@H](CO)C1CC1 ZINC000432150548 239968943 /nfs/dbraw/zinc/96/89/43/239968943.db2.gz NMZOYVGMLFQWLS-SECBINFHSA-N 0 0 270.716 2.679 20 5 CFBDRN CCC[C@@H](CNc1ncc(C)cc1[N+](=O)[O-])C(=O)OCC ZINC000432916004 240066109 /nfs/dbraw/zinc/06/61/09/240066109.db2.gz GOXRJINLVUULMF-NSHDSACASA-N 0 0 295.339 2.690 20 5 CFBDRN C/C=C/C[C@@H](NCc1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC000432911127 240066781 /nfs/dbraw/zinc/06/67/81/240066781.db2.gz HMDXZRLWMRVTRZ-LYKUJDHUSA-N 0 0 292.335 2.582 20 5 CFBDRN C/C=C/C[C@@H](NCc1cccc([N+](=O)[O-])c1)C(=O)OCC ZINC000432917077 240067377 /nfs/dbraw/zinc/06/73/77/240067377.db2.gz ZFKHSUMKCHRRLJ-LYKUJDHUSA-N 0 0 292.335 2.582 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Cn1cc(-n2cccc2)cn1 ZINC000433747110 240170091 /nfs/dbraw/zinc/17/00/91/240170091.db2.gz CZVMQRZVCTYBJO-UHFFFAOYSA-N 0 0 286.266 2.769 20 5 CFBDRN CCCN(C)c1ccc([N+](=O)[O-])c(N(C)CCC)n1 ZINC000434101298 240210346 /nfs/dbraw/zinc/21/03/46/240210346.db2.gz FIKNVPNHZZPTQO-UHFFFAOYSA-N 0 0 266.345 2.682 20 5 CFBDRN Cc1c(CC(=O)N2CC(C)=C[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000435264459 240300168 /nfs/dbraw/zinc/30/01/68/240300168.db2.gz WDVKZKDXKQGNDX-NSHDSACASA-N 0 0 288.347 2.870 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cn1ccc(-c2nccs2)n1 ZINC000434739015 240265487 /nfs/dbraw/zinc/26/54/87/240265487.db2.gz UOTPPOQKUWORKM-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN CC(C)N(CC1CCC1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000436110920 240391202 /nfs/dbraw/zinc/39/12/02/240391202.db2.gz OFFUGAQLEJNIHE-UHFFFAOYSA-N 0 0 265.313 2.574 20 5 CFBDRN Cc1ccc(NCc2nnc(C3CC3)o2)c([N+](=O)[O-])c1 ZINC000275358374 193008801 /nfs/dbraw/zinc/00/88/01/193008801.db2.gz KYGJZHACYYZQAB-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN Cc1cccc(N[C@@H]2CCC[C@@H]2CCO)c1[N+](=O)[O-] ZINC000275423174 193030006 /nfs/dbraw/zinc/03/00/06/193030006.db2.gz HQMSLWLLPIQLJL-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3(O)CCCCC3)n2)cc1 ZINC000275518349 193065224 /nfs/dbraw/zinc/06/52/24/193065224.db2.gz DSMCVQYAPRTMIY-UHFFFAOYSA-N 0 0 289.291 2.797 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1Cl)[C@H]1CC[C@H](O)CC1 ZINC000275830363 193141359 /nfs/dbraw/zinc/14/13/59/193141359.db2.gz FRWOLTAUXRGPNT-HOMQSWHASA-N 0 0 284.743 2.988 20 5 CFBDRN CC(C)c1ccc(C(=O)N(C)[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000275786189 193127380 /nfs/dbraw/zinc/12/73/80/193127380.db2.gz JFWXLVIHUMIKLF-LBPRGKRZSA-N 0 0 292.335 2.579 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)c1ncn(C)n1 ZINC000275804066 193132629 /nfs/dbraw/zinc/13/26/29/193132629.db2.gz KLMNBIJPUAPSNI-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN COC[C@@H](Nc1ncc([N+](=O)[O-])s1)c1ccc(F)cc1 ZINC000443510371 241067712 /nfs/dbraw/zinc/06/77/12/241067712.db2.gz NYXMLBNUAIEGTE-SNVBAGLBSA-N 0 0 297.311 2.990 20 5 CFBDRN CCN(Cc1ccccn1)c1ccc([N+](=O)[O-])c(N)c1F ZINC000443522985 241069207 /nfs/dbraw/zinc/06/92/07/241069207.db2.gz NWEIDPWXBMGIMV-UHFFFAOYSA-N 0 0 290.298 2.738 20 5 CFBDRN Cc1cnc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)o1 ZINC000443940354 241096558 /nfs/dbraw/zinc/09/65/58/241096558.db2.gz YVVMGQOKSICCKZ-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN O=C(NCCC(F)(F)F)c1c(Cl)cccc1[N+](=O)[O-] ZINC000443966453 241097229 /nfs/dbraw/zinc/09/72/29/241097229.db2.gz YBGBOMYLFXUFJZ-UHFFFAOYSA-N 0 0 296.632 2.930 20 5 CFBDRN CN1C[C@H](CNc2ccc([N+](=O)[O-])nc2)Cc2ccccc21 ZINC000443990953 241102606 /nfs/dbraw/zinc/10/26/06/241102606.db2.gz SGCNNTUWPAAAFR-LBPRGKRZSA-N 0 0 298.346 2.710 20 5 CFBDRN Cn1cc([C@@H](Nc2ccc([N+](=O)[O-])cn2)C(C)(C)C)cn1 ZINC000444084070 241112284 /nfs/dbraw/zinc/11/22/84/241112284.db2.gz KCACNXUHMCPFQO-CYBMUJFWSA-N 0 0 289.339 2.923 20 5 CFBDRN CC(C)[C@@H]1CCCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000444530622 241147535 /nfs/dbraw/zinc/14/75/35/241147535.db2.gz ZXUCWYDDNNSMMT-LLVKDONJSA-N 0 0 291.351 2.685 20 5 CFBDRN CN(Cc1ccoc1)Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000444597811 241153092 /nfs/dbraw/zinc/15/30/92/241153092.db2.gz PXTOTQAQIVDHPQ-UHFFFAOYSA-N 0 0 286.291 2.696 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCc1ccn(C)n1 ZINC000444825482 241197128 /nfs/dbraw/zinc/19/71/28/241197128.db2.gz DZECIARVEKHLEW-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC[C@H](C)C1 ZINC000444921338 241201246 /nfs/dbraw/zinc/20/12/46/241201246.db2.gz LOTWHCILVCYVOC-VHSXEESVSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSc1ncco1 ZINC000446065662 241268078 /nfs/dbraw/zinc/26/80/78/241268078.db2.gz BAYHISQBJPIXGY-UHFFFAOYSA-N 0 0 266.278 2.884 20 5 CFBDRN COC[C@H](C)CC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000446067553 241269395 /nfs/dbraw/zinc/26/93/95/241269395.db2.gz CNRXRCSBVIUYDE-SECBINFHSA-N 0 0 280.324 2.823 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2csc(Cn3cccn3)n2)c1 ZINC000445554144 241223320 /nfs/dbraw/zinc/22/33/20/241223320.db2.gz YTSLQGMXNWPGBQ-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(/C=C\C(C)(C)C)n2)n1C ZINC000445612903 241225619 /nfs/dbraw/zinc/22/56/19/241225619.db2.gz OXSCTHGFWPSKFE-SREVYHEPSA-N 0 0 291.311 2.746 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSc2ncco2)cc1 ZINC000446120828 241279117 /nfs/dbraw/zinc/27/91/17/241279117.db2.gz CNRSSZGLTKXRCE-UHFFFAOYSA-N 0 0 250.279 2.918 20 5 CFBDRN Cc1nc(NC(=O)C(C)(C)C(C)C)ccc1[N+](=O)[O-] ZINC000275878189 193154066 /nfs/dbraw/zinc/15/40/66/193154066.db2.gz PIIFCZNNAXSTDI-UHFFFAOYSA-N 0 0 265.313 2.919 20 5 CFBDRN CNC(=O)c1cccc(CNc2cccc(C)c2[N+](=O)[O-])c1 ZINC000302525623 201471659 /nfs/dbraw/zinc/47/16/59/201471659.db2.gz ZSLLUMQAPQISDQ-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCC1(C)CC1 ZINC000446497262 241302340 /nfs/dbraw/zinc/30/23/40/241302340.db2.gz XALGIPIGAAVHRN-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)O1 ZINC000447345924 241371839 /nfs/dbraw/zinc/37/18/39/241371839.db2.gz AJIACZIHZQPOPA-NSHDSACASA-N 0 0 282.271 2.630 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC[C@@H]1CCO[C@H](C)C1 ZINC000447402938 241375304 /nfs/dbraw/zinc/37/53/04/241375304.db2.gz BVUHQTCEJDMAMR-GHMZBOCLSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)ccn1 ZINC000447434738 241375954 /nfs/dbraw/zinc/37/59/54/241375954.db2.gz IYWAYIQQOUZVLN-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CCC(CC)[C@H](CCNc1ccc([N+](=O)[O-])cn1)OC ZINC000446879382 241333709 /nfs/dbraw/zinc/33/37/09/241333709.db2.gz NESDUVUWQUPZKA-ZDUSSCGKSA-N 0 0 281.356 2.665 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2ccncc2)c1 ZINC000446900539 241337056 /nfs/dbraw/zinc/33/70/56/241337056.db2.gz ZHRAWWIOZHDLLF-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CC(C)[C@H]1CN(c2ncc([N+](=O)[O-])c(N)n2)c2ccccc21 ZINC000447678315 241399532 /nfs/dbraw/zinc/39/95/32/241399532.db2.gz KDBCSFSGEYMQPN-LLVKDONJSA-N 0 0 299.334 2.689 20 5 CFBDRN CCC(=O)C1(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000448557857 241473787 /nfs/dbraw/zinc/47/37/87/241473787.db2.gz LDPZXVFFNAWVLU-UHFFFAOYSA-N 0 0 276.292 2.601 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CN2CC[C@H](F)C2)c1 ZINC000449390022 241894241 /nfs/dbraw/zinc/89/42/41/241894241.db2.gz UWEJSTPOKIWWMW-VIFPVBQESA-N 0 0 290.241 2.740 20 5 CFBDRN Cc1ccc(CN[C@@H]2C[C@H](C)n3ccnc32)cc1[N+](=O)[O-] ZINC000449575751 242042655 /nfs/dbraw/zinc/04/26/55/242042655.db2.gz YRXWYSPMNADQNY-WCQYABFASA-N 0 0 286.335 2.895 20 5 CFBDRN CCCc1ncc(CNCc2ccc([N+](=O)[O-])cc2F)o1 ZINC000449614774 242067436 /nfs/dbraw/zinc/06/74/36/242067436.db2.gz WPGRSFCFEGTCPZ-UHFFFAOYSA-N 0 0 293.298 2.964 20 5 CFBDRN CC(C)[C@@H](O)CCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450346398 242368607 /nfs/dbraw/zinc/36/86/07/242368607.db2.gz DWRUHLGVGBDFLR-AWEZNQCLSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@@H]1CCC[C@@H]1O ZINC000450357111 242375688 /nfs/dbraw/zinc/37/56/88/242375688.db2.gz JNNOEFKGJZUHIA-HZMBPMFUSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])nc1)c1c(C)nn(C)c1C ZINC000450360923 242376872 /nfs/dbraw/zinc/37/68/72/242376872.db2.gz LRMSEGOHZJTAOG-LBPRGKRZSA-N 0 0 289.339 2.903 20 5 CFBDRN CCOCc1ccc(Nc2ncc([N+](=O)[O-])c(C)n2)cc1 ZINC000450361809 242377065 /nfs/dbraw/zinc/37/70/65/242377065.db2.gz GJUVVDMXOIDOBS-UHFFFAOYSA-N 0 0 288.307 2.973 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])nc2)sc1C ZINC000450366508 242379335 /nfs/dbraw/zinc/37/93/35/242379335.db2.gz VDQCXSQTWCHWPS-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN COCCN(Cc1ccc(C)o1)c1ccc([N+](=O)[O-])nc1 ZINC000450379621 242385903 /nfs/dbraw/zinc/38/59/03/242385903.db2.gz PDIXSAIQUAYQNB-UHFFFAOYSA-N 0 0 291.307 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@H]2CCCS2)c1 ZINC000450379707 242386799 /nfs/dbraw/zinc/38/67/99/242386799.db2.gz OTAMHKLSFRAYOG-GFCCVEGCSA-N 0 0 289.360 2.872 20 5 CFBDRN CCC(CC)CN(CC)c1ncc([N+](=O)[O-])c(C)n1 ZINC000450395063 242394423 /nfs/dbraw/zinc/39/44/23/242394423.db2.gz TWWPADRVPXTOIO-UHFFFAOYSA-N 0 0 266.345 2.956 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1cccc(C2CC2)c1 ZINC000450549377 242473458 /nfs/dbraw/zinc/47/34/58/242473458.db2.gz BPMPZPFVTCJPKU-SECBINFHSA-N 0 0 299.334 2.848 20 5 CFBDRN CC(C)[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000450739593 242573135 /nfs/dbraw/zinc/57/31/35/242573135.db2.gz RFMFSBNGTMLGOR-WCQYABFASA-N 0 0 279.340 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2[C@@H]2CCC[C@H]2O)nc1 ZINC000450685606 242542791 /nfs/dbraw/zinc/54/27/91/242542791.db2.gz STLFSORCVUCORD-BFHYXJOUSA-N 0 0 291.351 2.510 20 5 CFBDRN Cc1nn(C)cc1COc1ccc([N+](=O)[O-])c(C)c1 ZINC000450708847 242554992 /nfs/dbraw/zinc/55/49/92/242554992.db2.gz DDRCTJDEJFEIEP-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN CO[C@@H]1CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C[C@H]1C ZINC000450771225 242595259 /nfs/dbraw/zinc/59/52/59/242595259.db2.gz YJHYEMMXCHUFGT-MEBBXXQBSA-N 0 0 292.335 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[N@@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000263559655 383681893 /nfs/dbraw/zinc/68/18/93/383681893.db2.gz BCCIDUAKMZKQPY-IAQYHMDHSA-N 0 0 292.335 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@]3(CCSC3)C2)cn1 ZINC000450904866 242666483 /nfs/dbraw/zinc/66/64/83/242666483.db2.gz OIANJYNCSYMYCN-ZDUSSCGKSA-N 0 0 279.365 2.713 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@]3(CCSC3)C2)s1 ZINC000450910864 242668127 /nfs/dbraw/zinc/66/81/27/242668127.db2.gz YLFZDMLLQYBTGA-NSHDSACASA-N 0 0 285.394 2.775 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC2(CCC2)[C@H]1c1ccco1 ZINC000450865372 242646581 /nfs/dbraw/zinc/64/65/81/242646581.db2.gz VQAZWCPPBUZLHX-LLVKDONJSA-N 0 0 288.307 2.653 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(O[C@@H]2C[C@@H](C)CCC2=O)n1 ZINC000450898150 242662088 /nfs/dbraw/zinc/66/20/88/242662088.db2.gz SQQHHCYRXQLUCF-QPUJVOFHSA-N 0 0 278.308 2.743 20 5 CFBDRN COC(=O)[C@@H]1CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000133953724 284010690 /nfs/dbraw/zinc/01/06/90/284010690.db2.gz IINGIUHTHLZIAD-SECBINFHSA-N 0 0 298.726 2.638 20 5 CFBDRN O=Cc1ccc(Oc2cncc(Cl)n2)c([N+](=O)[O-])c1 ZINC000302863024 201579274 /nfs/dbraw/zinc/57/92/74/201579274.db2.gz ROKFTMLIYRLRRV-UHFFFAOYSA-N 0 0 279.639 2.643 20 5 CFBDRN COC[C@@H]1CCCC[N@@H+]1Cc1ccc([N+](=O)[O-])c(OC)c1 ZINC000452045966 243024467 /nfs/dbraw/zinc/02/44/67/243024467.db2.gz XUIYNFVRNATCOD-ZDUSSCGKSA-N 0 0 294.351 2.604 20 5 CFBDRN C[C@H](NC(=O)[C@H](C)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000452182396 243077582 /nfs/dbraw/zinc/07/75/82/243077582.db2.gz LPOWLNKTZHZWKQ-YUMQZZPRSA-N 0 0 290.241 2.970 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000452183288 243078095 /nfs/dbraw/zinc/07/80/95/243078095.db2.gz NZIZHKQTEKSPBD-ONGXEEELSA-N 0 0 280.349 2.525 20 5 CFBDRN C[C@]1(O)CCCN(c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000230372795 291425855 /nfs/dbraw/zinc/42/58/55/291425855.db2.gz WFHOLDHTKMOFON-ZDUSSCGKSA-N 0 0 284.743 2.990 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](F)C1 ZINC000452368130 243145501 /nfs/dbraw/zinc/14/55/01/243145501.db2.gz LQRMDXHERQEFDN-UWVGGRQHSA-N 0 0 298.339 2.646 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000452455617 243177519 /nfs/dbraw/zinc/17/75/19/243177519.db2.gz HGCMKFPFZKIYPY-MRVPVSSYSA-N 0 0 272.251 2.677 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000452495607 243196348 /nfs/dbraw/zinc/19/63/48/243196348.db2.gz DFRJKNLIVCOGFI-NSHDSACASA-N 0 0 292.360 2.941 20 5 CFBDRN CC/C=C\CNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000452612698 243246421 /nfs/dbraw/zinc/24/64/21/243246421.db2.gz LMELRSXJNRYNPG-UTCJRWHESA-N 0 0 280.349 2.769 20 5 CFBDRN CCC[C@H](NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1)C(C)(C)C ZINC000452681353 243262193 /nfs/dbraw/zinc/26/21/93/243262193.db2.gz YWPIECCYZJXISC-NSHDSACASA-N 0 0 295.339 2.640 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NC(CF)CF ZINC000452747960 243285483 /nfs/dbraw/zinc/28/54/83/243285483.db2.gz SFUACTSYBCHKDL-UHFFFAOYSA-N 0 0 292.669 2.594 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000452814891 243308302 /nfs/dbraw/zinc/30/83/02/243308302.db2.gz HYAJIAOZNOPXKK-GHMZBOCLSA-N 0 0 279.340 2.869 20 5 CFBDRN CC[C@@](C)(CNC(=O)c1cc([N+](=O)[O-])c(C)s1)OC ZINC000452712747 243272401 /nfs/dbraw/zinc/27/24/01/243272401.db2.gz HNOSWWCZZGNILR-LBPRGKRZSA-N 0 0 286.353 2.510 20 5 CFBDRN CC(C)C[C@@]1(C)CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000452990005 243356329 /nfs/dbraw/zinc/35/63/29/243356329.db2.gz SGOOJNIOJFJJDH-CQSZACIVSA-N 0 0 279.340 2.964 20 5 CFBDRN CCO[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@H]1C ZINC000452900001 243332563 /nfs/dbraw/zinc/33/25/63/243332563.db2.gz GQDQNAIHWIJJHQ-NXEZZACHSA-N 0 0 298.364 2.543 20 5 CFBDRN CCn1nnc(C)c1CNc1cc([N+](=O)[O-])c(C)cc1C ZINC000453072523 243389116 /nfs/dbraw/zinc/38/91/16/243389116.db2.gz YRFJYPUVVGPQKB-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCC(=O)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000330528482 202926190 /nfs/dbraw/zinc/92/61/90/202926190.db2.gz QKXALMZVOFXCSS-KOLCDFICSA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1nn(C[C@H](O)[C@H](C)c2ccccc2)c(C)c1[N+](=O)[O-] ZINC000453021898 243365302 /nfs/dbraw/zinc/36/53/02/243365302.db2.gz RHUUTEINCIMPIB-YGRLFVJLSA-N 0 0 289.335 2.573 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(/C=C\[C@@H]3CCOC3)n2)c1 ZINC000453025897 243367544 /nfs/dbraw/zinc/36/75/44/243367544.db2.gz MJPVRBITYZXZQR-UMCURTJPSA-N 0 0 287.275 2.695 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H]2CCCC[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000330608748 203032776 /nfs/dbraw/zinc/03/27/76/203032776.db2.gz QUXMQLCELPBTCZ-WDEREUQCSA-N 0 0 294.355 2.571 20 5 CFBDRN CO[C@H]([C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000454330415 243688189 /nfs/dbraw/zinc/68/81/89/243688189.db2.gz IVYNIXALXJASTA-NOZJJQNGSA-N 0 0 293.323 2.530 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000454150097 243642438 /nfs/dbraw/zinc/64/24/38/243642438.db2.gz PRRQLMNMDMEBPQ-SSDOTTSWSA-N 0 0 273.239 2.760 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCC[C@H]2CCCO2)c1 ZINC000276034263 193194178 /nfs/dbraw/zinc/19/41/78/193194178.db2.gz DYMBHJWRDDOQGU-LLVKDONJSA-N 0 0 255.245 2.682 20 5 CFBDRN C[C@H]1CC=C(C(=O)NCCc2cccc([N+](=O)[O-])c2)CC1 ZINC000456006466 244045915 /nfs/dbraw/zinc/04/59/15/244045915.db2.gz RCRXDTPKWDMIOZ-LBPRGKRZSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@@H]1CC=C(C(=O)NCCc2cccc([N+](=O)[O-])c2)CC1 ZINC000456006464 244046017 /nfs/dbraw/zinc/04/60/17/244046017.db2.gz RCRXDTPKWDMIOZ-GFCCVEGCSA-N 0 0 288.347 3.000 20 5 CFBDRN CC/C=C\CNC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000456096160 244073187 /nfs/dbraw/zinc/07/31/87/244073187.db2.gz LPKLZVSJMIDNRS-PLNGDYQASA-N 0 0 292.335 2.755 20 5 CFBDRN CC/C=C/CNC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000456106900 244078095 /nfs/dbraw/zinc/07/80/95/244078095.db2.gz VFJJPYIWPWFFME-AATRIKPKSA-N 0 0 278.308 2.690 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@H]1CCCC1(F)F ZINC000456156969 244091109 /nfs/dbraw/zinc/09/11/09/244091109.db2.gz TUTFPUUNAUEZHI-LLVKDONJSA-N 0 0 298.289 2.689 20 5 CFBDRN CC(C)[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000330732042 203165375 /nfs/dbraw/zinc/16/53/75/203165375.db2.gz JFZFOEJXNFTGCI-CQSZACIVSA-N 0 0 282.315 2.591 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)C1CCCC1 ZINC000456676421 244258853 /nfs/dbraw/zinc/25/88/53/244258853.db2.gz BMPHWNCPJBGVEI-SNVBAGLBSA-N 0 0 266.297 2.886 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000456927745 244329077 /nfs/dbraw/zinc/32/90/77/244329077.db2.gz NLNGOEAGTCDWLW-ZIAGYGMSSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000330801730 203251615 /nfs/dbraw/zinc/25/16/15/203251615.db2.gz JJCBSZSUTXODEP-KOLCDFICSA-N 0 0 294.355 2.573 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000456945299 244333919 /nfs/dbraw/zinc/33/39/19/244333919.db2.gz PFFXZXURUHYDHU-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000330801731 203252770 /nfs/dbraw/zinc/25/27/70/203252770.db2.gz JJCBSZSUTXODEP-MWLCHTKSSA-N 0 0 294.355 2.573 20 5 CFBDRN CC1(CNc2nc3sccn3c2[N+](=O)[O-])CCC1 ZINC000226809297 189778361 /nfs/dbraw/zinc/77/83/61/189778361.db2.gz DDAXHBKTDZPIOW-UHFFFAOYSA-N 0 0 266.326 2.906 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000428102595 284150465 /nfs/dbraw/zinc/15/04/65/284150465.db2.gz NOSFWYYIKNWOTI-KEODLESXSA-N 0 0 297.314 2.671 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000330869748 203334398 /nfs/dbraw/zinc/33/43/98/203334398.db2.gz PYGZTUYFTWLFES-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000330870210 203335630 /nfs/dbraw/zinc/33/56/30/203335630.db2.gz QMKHTNHNLSDYSW-GHMZBOCLSA-N 0 0 294.376 2.944 20 5 CFBDRN NC(=O)[C@@H]1CCCC[C@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000276234298 193252092 /nfs/dbraw/zinc/25/20/92/193252092.db2.gz OBMLGTRVUMFMJW-NXEZZACHSA-N 0 0 297.742 2.704 20 5 CFBDRN CC(=O)CCCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000276290984 193273775 /nfs/dbraw/zinc/27/37/75/193273775.db2.gz QYPOSSOUHIQKNJ-UHFFFAOYSA-N 0 0 275.264 2.557 20 5 CFBDRN CCS[C@@H]1CC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330927971 203395648 /nfs/dbraw/zinc/39/56/48/203395648.db2.gz KZKUQPJHGNTNNZ-GXSJLCMTSA-N 0 0 297.380 2.669 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC[C@@H]1C[C@@H]1C ZINC000276446891 193334991 /nfs/dbraw/zinc/33/49/91/193334991.db2.gz SPLZDRBOBPXRSF-KWQFWETISA-N 0 0 277.324 2.989 20 5 CFBDRN COC[C@@H]1CCN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000276405587 193318468 /nfs/dbraw/zinc/31/84/68/193318468.db2.gz AHDQJBVPKWJPOL-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN COCCCCCOc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000116611882 376198129 /nfs/dbraw/zinc/19/81/29/376198129.db2.gz JPXHZCAVCOGLRR-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CSC1(C)C ZINC000276540983 193373978 /nfs/dbraw/zinc/37/39/78/193373978.db2.gz WZDFGNCCUMHCDP-SECBINFHSA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1C[C@@H](OC(C)C)C1 ZINC000457882469 244704650 /nfs/dbraw/zinc/70/46/50/244704650.db2.gz LKTCXPISZSEFGS-BJHJDKERSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000331032033 203481543 /nfs/dbraw/zinc/48/15/43/203481543.db2.gz UNJJPGVBARURAD-MWLCHTKSSA-N 0 0 280.349 2.525 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000335430861 284180800 /nfs/dbraw/zinc/18/08/00/284180800.db2.gz OMOWQWYCDJWQMQ-MNOVXSKESA-N 0 0 266.272 2.605 20 5 CFBDRN CC(C)[C@@H](O)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276567079 193383893 /nfs/dbraw/zinc/38/38/93/193383893.db2.gz HCEWPIJFOCQZMS-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN COC1(COc2ncc(C)cc2[N+](=O)[O-])CCCC1 ZINC000276614434 193401283 /nfs/dbraw/zinc/40/12/83/193401283.db2.gz UCQIRVFBIIZXMI-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1nccn1C ZINC000341872772 296151627 /nfs/dbraw/zinc/15/16/27/296151627.db2.gz FMLDXPZTRFSUMF-WDEREUQCSA-N 0 0 274.324 2.740 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(C(C)(C)C)no1 ZINC000276872530 193485786 /nfs/dbraw/zinc/48/57/86/193485786.db2.gz HQNVWGLPPCMTOY-UHFFFAOYSA-N 0 0 277.280 2.951 20 5 CFBDRN Cc1c(C(=O)N2CC(C)=C[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000336551965 291491197 /nfs/dbraw/zinc/49/11/97/291491197.db2.gz SKZCQIHYKUXTHT-SNVBAGLBSA-N 0 0 274.320 2.941 20 5 CFBDRN COCCCCNc1cc(C(=O)OC)cc([N+](=O)[O-])c1C ZINC000277027411 193534319 /nfs/dbraw/zinc/53/43/19/193534319.db2.gz XJVDOHZGXPRAPV-UHFFFAOYSA-N 0 0 296.323 2.528 20 5 CFBDRN Cc1ccnc(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000231868325 189815674 /nfs/dbraw/zinc/81/56/74/189815674.db2.gz ODJBWVHFRXSYFZ-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)CC[C@@H]1C ZINC000277396055 193640492 /nfs/dbraw/zinc/64/04/92/193640492.db2.gz GLJMZSNYXSTPPB-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN COCCC1(C)CN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000459634409 245253901 /nfs/dbraw/zinc/25/39/01/245253901.db2.gz MWOPBFHMFILTNP-UHFFFAOYSA-N 0 0 282.315 2.592 20 5 CFBDRN CSC1(CN2CC(Oc3ccc([N+](=O)[O-])cc3)C2)CC1 ZINC000459618829 245243877 /nfs/dbraw/zinc/24/38/77/245243877.db2.gz GGELAHSVBYIYCV-UHFFFAOYSA-N 0 0 294.376 2.553 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000460060388 245348781 /nfs/dbraw/zinc/34/87/81/245348781.db2.gz LDRGYXDWSMCXDA-KOLCDFICSA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccn(C)n1)c1ccc([N+](=O)[O-])cc1 ZINC000459799159 245333147 /nfs/dbraw/zinc/33/31/47/245333147.db2.gz VAIVBRRFPCCXIU-MNOVXSKESA-N 0 0 274.324 2.740 20 5 CFBDRN Cc1nn(C)c(C(=O)Nc2ccc(Cl)cc2)c1[N+](=O)[O-] ZINC000000120302 371535849 /nfs/dbraw/zinc/53/58/49/371535849.db2.gz UYHPMZCWNJIDSL-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)Nc1ccccc1 ZINC000001284276 371665131 /nfs/dbraw/zinc/66/51/31/371665131.db2.gz ZDKNMRBNEUMNTI-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN Cc1cccc(NC(=O)COc2ccccc2[N+](=O)[O-])c1 ZINC000001473191 371676585 /nfs/dbraw/zinc/67/65/85/371676585.db2.gz DPKWHTOEQAXJNS-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCC=C(F)C3)nc2c1 ZINC000332193487 204521299 /nfs/dbraw/zinc/52/12/99/204521299.db2.gz BWOVJZJWZUPGGA-UHFFFAOYSA-N 0 0 276.271 2.530 20 5 CFBDRN Cc1ccc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000003069860 371769820 /nfs/dbraw/zinc/76/98/20/371769820.db2.gz ACPCFCDYOJCMJJ-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN O=C(NCc1ccc(F)cc1)c1ccc([N+](=O)[O-])s1 ZINC000003551672 371808235 /nfs/dbraw/zinc/80/82/35/371808235.db2.gz AKPVRYQDGLYDMV-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000332336595 204606654 /nfs/dbraw/zinc/60/66/54/204606654.db2.gz RTCHZKMSYULLIX-GFCCVEGCSA-N 0 0 276.336 2.928 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(C)cs1 ZINC000010554973 371911429 /nfs/dbraw/zinc/91/14/29/371911429.db2.gz MNNRCRXFPPVZHL-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nnc(CN3CCCCC3)o2)cc1 ZINC000016442059 372107284 /nfs/dbraw/zinc/10/72/84/372107284.db2.gz CLGYQPPAXQRGNC-UHFFFAOYSA-N 0 0 288.307 2.631 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCCC[C@H]2C)cc1[N+](=O)[O-] ZINC000015797297 372092652 /nfs/dbraw/zinc/09/26/52/372092652.db2.gz NZVLTKBJZDMUMY-PWSUYJOCSA-N 0 0 292.335 2.912 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccnc2)c1 ZINC000016200633 372101152 /nfs/dbraw/zinc/10/11/52/372101152.db2.gz MVJKMRCNYKBZMI-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1ccccc1F ZINC000021021016 372242090 /nfs/dbraw/zinc/24/20/90/372242090.db2.gz SPIJWBDSJJXHMZ-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN Cc1ccccc1CN(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000027574053 372343303 /nfs/dbraw/zinc/34/33/03/372343303.db2.gz MKUUGHKZLIDCKO-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN C[C@H](CCc1ccccc1)Nc1c([N+](=O)[O-])ncn1C ZINC000029162515 372374688 /nfs/dbraw/zinc/37/46/88/372374688.db2.gz QQUHIHRVPUXNIM-LLVKDONJSA-N 0 0 274.324 2.762 20 5 CFBDRN CN(Cc1ccco1)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000029508968 372381624 /nfs/dbraw/zinc/38/16/24/372381624.db2.gz TVWURPTYLLFRIX-CMDGGOBGSA-N 0 0 286.287 2.860 20 5 CFBDRN Cc1n[nH]cc1CCCNc1ccc([N+](=O)[O-])cc1F ZINC000029584805 372382966 /nfs/dbraw/zinc/38/29/66/372382966.db2.gz REAVKXQQFNQMDI-UHFFFAOYSA-N 0 0 278.287 2.810 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc(NC)c([N+](=O)[O-])c2)C1 ZINC000031683514 372415719 /nfs/dbraw/zinc/41/57/19/372415719.db2.gz PNIZRKKABXKYNB-NSHDSACASA-N 0 0 291.351 2.899 20 5 CFBDRN CCCn1nccc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000031770473 372416825 /nfs/dbraw/zinc/41/68/25/372416825.db2.gz VSAIRLVFGQEELR-UHFFFAOYSA-N 0 0 280.309 2.515 20 5 CFBDRN O=C(Nc1ccc(-n2cccn2)cc1)c1ccc([N+](=O)[O-])o1 ZINC000032241931 372435373 /nfs/dbraw/zinc/43/53/73/372435373.db2.gz JUYJMLUQYMSTJP-UHFFFAOYSA-N 0 0 298.258 2.626 20 5 CFBDRN Cc1cc(NC2CCC(O)CC2)ccc1[N+](=O)[O-] ZINC000035056246 372535190 /nfs/dbraw/zinc/53/51/90/372535190.db2.gz IOFRFRXNWKCEFK-UHFFFAOYSA-N 0 0 250.298 2.619 20 5 CFBDRN CC[C@H](CO)Nc1ccc(Br)cc1[N+](=O)[O-] ZINC000035101796 372538656 /nfs/dbraw/zinc/53/86/56/372538656.db2.gz OOKZDJCDDQPDJT-MRVPVSSYSA-N 0 0 289.129 2.540 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CCCCC1 ZINC000033280113 372488875 /nfs/dbraw/zinc/48/88/75/372488875.db2.gz BQOYDLVYFGKMIR-FPLPWBNLSA-N 0 0 260.293 2.621 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000034607155 372510746 /nfs/dbraw/zinc/51/07/46/372510746.db2.gz BQPPVWQNUGVMPC-CHWSQXEVSA-N 0 0 250.298 2.964 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@]2(CC=CCC2)C1 ZINC000335805188 296186011 /nfs/dbraw/zinc/18/60/11/296186011.db2.gz OEPCQXQLNDIYDS-OAHLLOKOSA-N 0 0 289.335 2.885 20 5 CFBDRN CC[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)CCO1 ZINC000036769757 372592354 /nfs/dbraw/zinc/59/23/54/372592354.db2.gz XTFOKOHJJNYZTN-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN COCCCNc1ccc(Br)cc1[N+](=O)[O-] ZINC000036922966 372611961 /nfs/dbraw/zinc/61/19/61/372611961.db2.gz FQCUHDDGAVNHCQ-UHFFFAOYSA-N 0 0 289.129 2.806 20 5 CFBDRN NC(=O)Nc1cccc(NCc2ccccc2[N+](=O)[O-])c1 ZINC000037135736 372652145 /nfs/dbraw/zinc/65/21/45/372652145.db2.gz NTFNJDLJLHLKFG-UHFFFAOYSA-N 0 0 286.291 2.698 20 5 CFBDRN CCCCN(CC)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669526 372688569 /nfs/dbraw/zinc/68/85/69/372688569.db2.gz FHEIMBYEKSENSI-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN CCCCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669528 372688677 /nfs/dbraw/zinc/68/86/77/372688677.db2.gz LFXYIJPXSFOXEH-UHFFFAOYSA-N 0 0 254.261 2.654 20 5 CFBDRN COc1cccc(CNc2ccncc2[N+](=O)[O-])c1 ZINC000037680770 372691017 /nfs/dbraw/zinc/69/10/17/372691017.db2.gz OWMNVXOFRXXMNU-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CC[C@H](C)NC(=O)CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000037999037 372758536 /nfs/dbraw/zinc/75/85/36/372758536.db2.gz CNEYXEQRUZFFKV-VIFPVBQESA-N 0 0 299.758 2.965 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000037911595 372736457 /nfs/dbraw/zinc/73/64/57/372736457.db2.gz QCZQIOSRSFTMMW-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1ccc(N[C@H](CO)CC(C)C)c([N+](=O)[O-])c1 ZINC000040779478 372788708 /nfs/dbraw/zinc/78/87/08/372788708.db2.gz BIEBGAGQVYFUFQ-NSHDSACASA-N 0 0 252.314 2.722 20 5 CFBDRN CC(C)C[C@@H](CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000040779190 372788797 /nfs/dbraw/zinc/78/87/97/372788797.db2.gz PRDFHNCXBSTCQU-NSHDSACASA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCS(=O)CC2)c(Cl)c1 ZINC000042336693 372908874 /nfs/dbraw/zinc/90/88/74/372908874.db2.gz HYVVZGHUIMIUOX-UHFFFAOYSA-N 0 0 288.756 2.571 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1C[C@H]1C ZINC000043148947 372979381 /nfs/dbraw/zinc/97/93/81/372979381.db2.gz KSGMKKSPWYISDS-ZJUUUORDSA-N 0 0 263.297 2.681 20 5 CFBDRN CC(C)c1ccccc1NC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000043268705 372987292 /nfs/dbraw/zinc/98/72/92/372987292.db2.gz UIKLXXFMERMPOG-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN CCCN(CCC)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000045623552 373022931 /nfs/dbraw/zinc/02/29/31/373022931.db2.gz BCDZUTXVBRZQFH-UHFFFAOYSA-N 0 0 279.340 2.926 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCN1c1cc(Cl)ccc1[N+](=O)[O-] ZINC000281162242 296196319 /nfs/dbraw/zinc/19/63/19/296196319.db2.gz LOUAYDARZIQPBV-MWLCHTKSSA-N 0 0 284.743 2.988 20 5 CFBDRN CCC(CC)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000046148003 373045281 /nfs/dbraw/zinc/04/52/81/373045281.db2.gz LNVGUANGRNCWQW-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2N)cc1 ZINC000048244268 373154884 /nfs/dbraw/zinc/15/48/84/373154884.db2.gz KWAJZMJAJOZPAZ-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1cc(NCCc2ccncc2)ccc1[N+](=O)[O-] ZINC000048262731 373156037 /nfs/dbraw/zinc/15/60/37/373156037.db2.gz RSKXSFHBLPVBFK-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048297681 373159574 /nfs/dbraw/zinc/15/95/74/373159574.db2.gz RMXSUYWRBZGNDE-MRVPVSSYSA-N 0 0 261.281 2.604 20 5 CFBDRN Cc1cc(N[C@H](C)Cn2cccn2)ccc1[N+](=O)[O-] ZINC000048336317 373165252 /nfs/dbraw/zinc/16/52/52/373165252.db2.gz SNKBKUDHICIRHJ-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cccs1 ZINC000047835648 373119373 /nfs/dbraw/zinc/11/93/73/373119373.db2.gz YCJQZNVDCPZYHG-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1ccccc1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000047835337 373120033 /nfs/dbraw/zinc/12/00/33/373120033.db2.gz LAJMVPBYKXPAEZ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000048554465 373198964 /nfs/dbraw/zinc/19/89/64/373198964.db2.gz NALIWBFKJBXBGW-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000048592225 373205338 /nfs/dbraw/zinc/20/53/38/373205338.db2.gz ITDSIJJSGWYEAJ-UHFFFAOYSA-N 0 0 278.283 2.652 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2csc(Br)c2)cn1 ZINC000048599284 373205648 /nfs/dbraw/zinc/20/56/48/373205648.db2.gz CBZLJMSISLQDPF-UHFFFAOYSA-N 0 0 288.126 2.664 20 5 CFBDRN C[C@H]1CN(c2ccccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000049177209 373274736 /nfs/dbraw/zinc/27/47/36/373274736.db2.gz FSDYXYIHOPFUTQ-JTQLQIEISA-N 0 0 250.298 2.599 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC1CCC1 ZINC000289728758 197461763 /nfs/dbraw/zinc/46/17/63/197461763.db2.gz ITTUHVDSFBYJOP-UHFFFAOYSA-N 0 0 263.297 2.831 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000049095018 373269237 /nfs/dbraw/zinc/26/92/37/373269237.db2.gz LMBNKLPRUSQKKG-RKDXNWHRSA-N 0 0 268.288 2.898 20 5 CFBDRN CCC[C@H](C)NC(=O)[C@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418527 373294855 /nfs/dbraw/zinc/29/48/55/373294855.db2.gz LFESLFDSWVFTCZ-UWVGGRQHSA-N 0 0 297.380 2.775 20 5 CFBDRN CN(Cc1ccccc1F)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000049929528 373330840 /nfs/dbraw/zinc/33/08/40/373330840.db2.gz PWADYXREIGQKPL-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN CC(C)CCO[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000049275671 373283828 /nfs/dbraw/zinc/28/38/28/373283828.db2.gz IAZUOGDIEIPPHO-LLVKDONJSA-N 0 0 280.324 2.985 20 5 CFBDRN CCOC(=O)CCN(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000050087179 373352292 /nfs/dbraw/zinc/35/22/92/373352292.db2.gz DPPGMGJNPFRUTL-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN O=C(Nc1cnc2ccccc2n1)c1ccc([N+](=O)[O-])cc1 ZINC000177011063 284393417 /nfs/dbraw/zinc/39/34/17/284393417.db2.gz WQCFJLHVLLNMJY-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN C[C@H](Cn1cccn1)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000050352137 373373541 /nfs/dbraw/zinc/37/35/41/373373541.db2.gz CJRYDLZATALPTJ-SECBINFHSA-N 0 0 280.715 2.945 20 5 CFBDRN CCCC(=O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000051625501 373402592 /nfs/dbraw/zinc/40/25/92/373402592.db2.gz HPNQDDMANNNUGE-UHFFFAOYSA-N 0 0 257.673 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cc(F)cc(F)c2)nc1 ZINC000051600631 373403441 /nfs/dbraw/zinc/40/34/41/373403441.db2.gz CHSNQWMYRXLNFL-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000051732300 373406730 /nfs/dbraw/zinc/40/67/30/373406730.db2.gz VPWHTVZGFNQVQM-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000053559276 373481698 /nfs/dbraw/zinc/48/16/98/373481698.db2.gz VGWFMORWHQELKQ-CMPLNLGQSA-N 0 0 291.351 2.945 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1ccc(C)o1 ZINC000053038063 373460849 /nfs/dbraw/zinc/46/08/49/373460849.db2.gz AXROAAIEOTYVPR-UHFFFAOYSA-N 0 0 276.292 2.795 20 5 CFBDRN CC1CCN(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000054646161 373527627 /nfs/dbraw/zinc/52/76/27/373527627.db2.gz PKFPRRGKHHCJFZ-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CC(C)c1nc(CN(C)Cc2ccccc2[N+](=O)[O-])no1 ZINC000053608009 373483392 /nfs/dbraw/zinc/48/33/92/373483392.db2.gz JLRSIKHPUBPXQL-UHFFFAOYSA-N 0 0 290.323 2.733 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000057071741 373578495 /nfs/dbraw/zinc/57/84/95/373578495.db2.gz IWDVIXPLJYZCEJ-WDEREUQCSA-N 0 0 264.325 2.688 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)N(C(C)C)C(C)C)c1 ZINC000057605621 373601828 /nfs/dbraw/zinc/60/18/28/373601828.db2.gz VYQYSGXMPRPPOC-UHFFFAOYSA-N 0 0 294.351 2.927 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])OC[C@H]1CCCCO1 ZINC000057967241 373618649 /nfs/dbraw/zinc/61/86/49/373618649.db2.gz CKSJYTCMJIWFAH-MMQHEFTJSA-N 0 0 291.303 2.720 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000289602413 197416671 /nfs/dbraw/zinc/41/66/71/197416671.db2.gz AMNHKCVHJGELRR-LURJTMIESA-N 0 0 277.202 2.667 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1CCCC(F)(F)C1 ZINC000488960653 245914405 /nfs/dbraw/zinc/91/44/05/245914405.db2.gz LTBZSVLKSTYHGE-NSHDSACASA-N 0 0 298.289 2.831 20 5 CFBDRN CCCC(C)(C)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000065042109 373841867 /nfs/dbraw/zinc/84/18/67/373841867.db2.gz ZRJYGRAEDSZEBI-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000066756240 373949201 /nfs/dbraw/zinc/94/92/01/373949201.db2.gz KVVFTSBJEUKQET-MRVPVSSYSA-N 0 0 268.338 2.841 20 5 CFBDRN CCCN(CCC)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067722608 373997565 /nfs/dbraw/zinc/99/75/65/373997565.db2.gz FJFVHSSNNBSHAY-UHFFFAOYSA-N 0 0 279.340 2.926 20 5 CFBDRN CC(C)CCO[C@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067073028 373968535 /nfs/dbraw/zinc/96/85/35/373968535.db2.gz ZGEDSCJHEVTITH-GFCCVEGCSA-N 0 0 294.351 2.662 20 5 CFBDRN O=C([O-])[C@H]1CCCC[N@@H+]1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000050444692 304180146 /nfs/dbraw/zinc/18/01/46/304180146.db2.gz WSHSVMRANRQRNZ-LLVKDONJSA-N 0 0 298.726 2.687 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1C[C@@H]1c1ccccc1 ZINC000067503001 373981039 /nfs/dbraw/zinc/98/10/39/373981039.db2.gz MSYUVUMRRAYRIM-CVEARBPZSA-N 0 0 296.326 2.810 20 5 CFBDRN Cc1cc(N[C@@H](C)c2nccn2C)ccc1[N+](=O)[O-] ZINC000070445348 374177584 /nfs/dbraw/zinc/17/75/84/374177584.db2.gz YBVOTOSFWKMJCG-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)/C=C\c1ccncc1 ZINC000491091167 246040843 /nfs/dbraw/zinc/04/08/43/246040843.db2.gz GFKGGEICRWCKSE-SREVYHEPSA-N 0 0 297.314 2.662 20 5 CFBDRN CC(C)(C)OCCNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000491321353 246058843 /nfs/dbraw/zinc/05/88/43/246058843.db2.gz PNVCNDDPWGCFOS-BQYQJAHWSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1noc(C)c1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491307436 246059748 /nfs/dbraw/zinc/05/97/48/246059748.db2.gz VKVOIEWTVRKRJQ-SREVYHEPSA-N 0 0 287.275 2.852 20 5 CFBDRN CC(C)(C)NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491336552 246062288 /nfs/dbraw/zinc/06/22/88/246062288.db2.gz VVHVAACAVMBYJB-ALCCZGGFSA-N 0 0 266.272 2.662 20 5 CFBDRN CC(C)(C)CNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491198437 246048283 /nfs/dbraw/zinc/04/82/83/246048283.db2.gz CVDQWWIGKJPLBI-HJWRWDBZSA-N 0 0 262.309 2.770 20 5 CFBDRN CCn1cc(CN(C)Cc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000072988905 374313110 /nfs/dbraw/zinc/31/31/10/374313110.db2.gz QFFDZNXTAMSWGX-UHFFFAOYSA-N 0 0 292.314 2.582 20 5 CFBDRN Cn1cccc1[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000072905308 374300046 /nfs/dbraw/zinc/30/00/46/374300046.db2.gz UYLWVMNQCSRMBN-NSHDSACASA-N 0 0 289.291 2.504 20 5 CFBDRN CC1(C)C(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000073032639 374317110 /nfs/dbraw/zinc/31/71/10/374317110.db2.gz CLIWWIBUZYSURO-UHFFFAOYSA-N 0 0 290.363 2.936 20 5 CFBDRN CN(Cc1nccn1C(F)F)Cc1ccccc1[N+](=O)[O-] ZINC000073616236 374358896 /nfs/dbraw/zinc/35/88/96/374358896.db2.gz BCCOKCHXOBFYTR-UHFFFAOYSA-N 0 0 296.277 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)Cc2ccccc2)cc1 ZINC000073626488 374361109 /nfs/dbraw/zinc/36/11/09/374361109.db2.gz PSAZZGAKKTZUGJ-OAHLLOKOSA-N 0 0 272.304 2.610 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000491924755 246124787 /nfs/dbraw/zinc/12/47/87/246124787.db2.gz KKKVHZXHFJCXQP-IDDPWSFUSA-N 0 0 274.320 2.865 20 5 CFBDRN O=C(/C=C\C1CC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000491924571 246124807 /nfs/dbraw/zinc/12/48/07/246124807.db2.gz JUAVZHLFZYHHNC-UTCJRWHESA-N 0 0 250.229 2.639 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000491989392 246150074 /nfs/dbraw/zinc/15/00/74/246150074.db2.gz IXKZPXXNDSXKFL-SKVAFPRGSA-N 0 0 285.303 2.814 20 5 CFBDRN O=C(/C=C\C1CC1)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000491948599 246133122 /nfs/dbraw/zinc/13/31/22/246133122.db2.gz KCFLHGBQRCMWAC-FLIBITNWSA-N 0 0 288.347 3.000 20 5 CFBDRN CCOc1cc(CS[C@H](C)CO)ccc1[N+](=O)[O-] ZINC000491961765 246137307 /nfs/dbraw/zinc/13/73/07/246137307.db2.gz VQPVGGIDUYLTRF-SECBINFHSA-N 0 0 271.338 2.608 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000491969537 246140782 /nfs/dbraw/zinc/14/07/82/246140782.db2.gz APJLAKFVHFUECH-SREVYHEPSA-N 0 0 271.276 2.883 20 5 CFBDRN CN(C(=O)/C=C/c1cccc([N+](=O)[O-])c1)c1nccs1 ZINC000073831705 374391506 /nfs/dbraw/zinc/39/15/06/374391506.db2.gz PMNFOMOYWCUZGL-AATRIKPKSA-N 0 0 289.316 2.728 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491995884 246151022 /nfs/dbraw/zinc/15/10/22/246151022.db2.gz CPUHHUCXBPQIKM-IXQCQIPYSA-N 0 0 260.293 2.617 20 5 CFBDRN Cc1c(NC(=O)/C=C\c2ccc[nH]2)cccc1[N+](=O)[O-] ZINC000491995651 246151399 /nfs/dbraw/zinc/15/13/99/246151399.db2.gz ZRVPUFQQNGDTEF-FPLPWBNLSA-N 0 0 271.276 2.883 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000492089186 246182634 /nfs/dbraw/zinc/18/26/34/246182634.db2.gz WYKOKTIGUQWCTL-UXFRKABBSA-N 0 0 274.320 2.722 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000492090433 246182869 /nfs/dbraw/zinc/18/28/69/246182869.db2.gz ZSSYJYBDMGLHPY-DPIUTZRQSA-N 0 0 292.310 2.862 20 5 CFBDRN Cc1nc(NC(=O)/C=C\C2CCC2)ccc1[N+](=O)[O-] ZINC000492107822 246189569 /nfs/dbraw/zinc/18/95/69/246189569.db2.gz GGOXREXFSTVEJM-YVMONPNESA-N 0 0 261.281 2.593 20 5 CFBDRN Cc1cnc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)o1 ZINC000492087228 246180536 /nfs/dbraw/zinc/18/05/36/246180536.db2.gz IRPPTPPQIGBQCK-QPJJXVBHSA-N 0 0 273.248 2.543 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC2(CCC2(F)F)C1 ZINC000334156419 206118464 /nfs/dbraw/zinc/11/84/64/206118464.db2.gz YKBHATNIPRDTMH-UHFFFAOYSA-N 0 0 288.275 2.528 20 5 CFBDRN CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CCCC1 ZINC000334156781 206121835 /nfs/dbraw/zinc/12/18/35/206121835.db2.gz ZMPUDLNWIZPHEJ-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000075277987 374471436 /nfs/dbraw/zinc/47/14/36/374471436.db2.gz PCJISIYANCFIRY-NEPJUHHUSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000075288043 374473295 /nfs/dbraw/zinc/47/32/95/374473295.db2.gz BHGZARANYMAOOW-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000075285040 374473503 /nfs/dbraw/zinc/47/35/03/374473503.db2.gz VUCKGARDVGMRFM-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1c(NC(=O)N2CCOC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000075986854 374518728 /nfs/dbraw/zinc/51/87/28/374518728.db2.gz GQXZUZBCPAOTJK-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN CC1=CCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])CC1 ZINC000492284818 246245255 /nfs/dbraw/zinc/24/52/55/246245255.db2.gz SFNWEYHSNQIURO-SREVYHEPSA-N 0 0 272.304 2.787 20 5 CFBDRN C[C@@H](NC(=O)/C=C\C1CC1)c1ccccc1[N+](=O)[O-] ZINC000492321824 246256825 /nfs/dbraw/zinc/25/68/25/246256825.db2.gz KQXBQYXFLPCNSJ-HSTULFTRSA-N 0 0 260.293 2.738 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492346450 246264460 /nfs/dbraw/zinc/26/44/60/246264460.db2.gz UCZOGDONMDWVSO-LMVHVUTASA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ncc(CNC(C)(C)c2ccccc2[N+](=O)[O-])cn1 ZINC000492420101 246285564 /nfs/dbraw/zinc/28/55/64/246285564.db2.gz XLHVWCCMFFZRCQ-UHFFFAOYSA-N 0 0 286.335 2.718 20 5 CFBDRN Cc1ccc(/C=C\C(=O)NCc2cccc([N+](=O)[O-])c2)cn1 ZINC000492469362 246301524 /nfs/dbraw/zinc/30/15/24/246301524.db2.gz GAEDLMOXZPNXGF-FPLPWBNLSA-N 0 0 297.314 2.628 20 5 CFBDRN CCOc1cc(CN2CC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000492748619 246374813 /nfs/dbraw/zinc/37/48/13/246374813.db2.gz NCPIOMYIQMSHHQ-AWEZNQCLSA-N 0 0 282.315 2.927 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])Nc1cncc(F)c1 ZINC000492638430 246350815 /nfs/dbraw/zinc/35/08/15/246350815.db2.gz CJJUMIITZJGMCR-WAYWQWQTSA-N 0 0 287.250 2.781 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])Nc1cncc(F)c1 ZINC000492638429 246351216 /nfs/dbraw/zinc/35/12/16/246351216.db2.gz CJJUMIITZJGMCR-AATRIKPKSA-N 0 0 287.250 2.781 20 5 CFBDRN C[C@@]1(F)CCCN(Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000079035614 374586427 /nfs/dbraw/zinc/58/64/27/374586427.db2.gz ZBOBZABDXXURBI-CYBMUJFWSA-N 0 0 252.289 2.919 20 5 CFBDRN C[C@@H](O)[C@H](C)SCCc1ccc([N+](=O)[O-])cc1 ZINC000079040599 374586712 /nfs/dbraw/zinc/58/67/12/374586712.db2.gz UBPWJXGPMCHTEJ-ZJUUUORDSA-N 0 0 255.339 2.640 20 5 CFBDRN Cc1cc(C)n(CCCNc2cc(C)c([N+](=O)[O-])cn2)n1 ZINC000080584934 374662017 /nfs/dbraw/zinc/66/20/17/374662017.db2.gz JFSXAAAOIMQZBZ-UHFFFAOYSA-N 0 0 289.339 2.614 20 5 CFBDRN CC(C)N(C)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000431866279 383702429 /nfs/dbraw/zinc/70/24/29/383702429.db2.gz TZZCQNICBILAAE-UHFFFAOYSA-N 0 0 264.325 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN(CCO)c2ccccc2)cc1 ZINC000081157772 374688083 /nfs/dbraw/zinc/68/80/83/374688083.db2.gz WNZHYOCHZBJPJU-UHFFFAOYSA-N 0 0 286.331 2.636 20 5 CFBDRN COc1cc(NCCCSC)ccc1[N+](=O)[O-] ZINC000083408402 374742317 /nfs/dbraw/zinc/74/23/17/374742317.db2.gz JPLZSWTWJSCURL-UHFFFAOYSA-N 0 0 256.327 2.768 20 5 CFBDRN COc1cccc(CNc2ccccc2[N+](=O)[O-])n1 ZINC000083431523 374743758 /nfs/dbraw/zinc/74/37/58/374743758.db2.gz IXPPYSKOZGUYDD-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC1CCSCC1 ZINC000087219695 374810549 /nfs/dbraw/zinc/81/05/49/374810549.db2.gz WRXXENCCAGVUGG-UHFFFAOYSA-N 0 0 294.376 2.539 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1cc(C)ccc1[N+](=O)[O-] ZINC000084726158 374787866 /nfs/dbraw/zinc/78/78/66/374787866.db2.gz FRMGXSPFWUDJRW-SECBINFHSA-N 0 0 269.322 2.947 20 5 CFBDRN CCOc1cc(NC[C@H]2CCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000089167080 374882821 /nfs/dbraw/zinc/88/28/21/374882821.db2.gz OAANCGANGOGLPW-MFKMUULPSA-N 0 0 280.324 2.566 20 5 CFBDRN CCOc1cc(NC[C@@H]2CCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000089167081 374883073 /nfs/dbraw/zinc/88/30/73/374883073.db2.gz OAANCGANGOGLPW-GXFFZTMASA-N 0 0 280.324 2.566 20 5 CFBDRN CCOCCN(C)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000089223947 374889485 /nfs/dbraw/zinc/88/94/85/374889485.db2.gz FBPCEYDFKRGBOX-UHFFFAOYSA-N 0 0 294.351 2.629 20 5 CFBDRN Cc1cc(NC(=O)CCc2cccc([N+](=O)[O-])c2)sn1 ZINC000088401620 374844117 /nfs/dbraw/zinc/84/41/17/374844117.db2.gz VWAFBLHPQUJKIK-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN CCC[C@@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000089471941 374912014 /nfs/dbraw/zinc/91/20/14/374912014.db2.gz JINPPUDFOVPCQL-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cccnc2OC)c1 ZINC000090792789 375027805 /nfs/dbraw/zinc/02/78/05/375027805.db2.gz JQSXVJPJUGGFIA-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@H]2CCCC[C@H]21 ZINC000365805170 296226748 /nfs/dbraw/zinc/22/67/48/296226748.db2.gz SGISEUQYRAWYIW-DGCLKSJQSA-N 0 0 260.293 2.609 20 5 CFBDRN CCOc1cc(S[C@@H](C)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000092658801 375134465 /nfs/dbraw/zinc/13/44/65/375134465.db2.gz PZRNINVCVXGRHV-IUCAKERBSA-N 0 0 271.338 2.855 20 5 CFBDRN CCOc1cc(N[C@H]2CCCC[C@H]2CO)ccc1[N+](=O)[O-] ZINC000092656440 375135636 /nfs/dbraw/zinc/13/56/36/375135636.db2.gz OXKXCUVIAKAQAN-AAEUAGOBSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1cc(N[C@H]2CCCC[C@@H]2CO)ccc1[N+](=O)[O-] ZINC000092656466 375135863 /nfs/dbraw/zinc/13/58/63/375135863.db2.gz CKVCJGABMBBGLE-YPMHNXCESA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cccnc1CSc1ccc([N+](=O)[O-])cn1 ZINC000092850478 375164895 /nfs/dbraw/zinc/16/48/95/375164895.db2.gz LFUCXDDBBZNYJI-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN CCN(CC(C)(C)O)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000092970885 375173162 /nfs/dbraw/zinc/17/31/62/375173162.db2.gz YPNWTJFCKKFRFV-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000093265285 375200912 /nfs/dbraw/zinc/20/09/12/375200912.db2.gz GSZHCDNLSAERGB-SNVBAGLBSA-N 0 0 279.340 2.685 20 5 CFBDRN Cc1ccc(O)c(CN2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000093338254 375218383 /nfs/dbraw/zinc/21/83/83/375218383.db2.gz FDLMNMDSHIUOEM-UHFFFAOYSA-N 0 0 299.330 2.562 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000494675001 246803217 /nfs/dbraw/zinc/80/32/17/246803217.db2.gz SCRSHAFXYUEVIS-QQUHWDOBSA-N 0 0 289.335 2.754 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000094957311 375398224 /nfs/dbraw/zinc/39/82/24/375398224.db2.gz FIWBSQYAKMXMNN-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1ccc([N+](=O)[O-])c(F)c1 ZINC000094772543 375378102 /nfs/dbraw/zinc/37/81/02/375378102.db2.gz KCJJBHALPSNAQG-QMMMGPOBSA-N 0 0 287.312 2.776 20 5 CFBDRN C[C@@H](CO)CSCc1ccc([N+](=O)[O-])c(F)c1 ZINC000094775045 375379041 /nfs/dbraw/zinc/37/90/41/375379041.db2.gz ASFOOWKCBIWEFZ-QMMMGPOBSA-N 0 0 259.302 2.596 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000094804804 375381305 /nfs/dbraw/zinc/38/13/05/375381305.db2.gz PESDRRYAOTYRFR-CYBMUJFWSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1c(NCc2ncc(Cl)n2C)cccc1[N+](=O)[O-] ZINC000097058687 375506029 /nfs/dbraw/zinc/50/60/29/375506029.db2.gz AZKAKIROILEHNU-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN C[C@@H](CCO)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000097442977 375561460 /nfs/dbraw/zinc/56/14/60/375561460.db2.gz UAWSDMYNWLYTDC-QMMMGPOBSA-N 0 0 258.705 2.679 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1CC1(Cl)Cl ZINC000098073213 375600544 /nfs/dbraw/zinc/60/05/44/375600544.db2.gz QHGKZWXUEIZQEW-SECBINFHSA-N 0 0 290.102 2.832 20 5 CFBDRN C[C@H](NC(=O)OC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000496995735 247037057 /nfs/dbraw/zinc/03/70/57/247037057.db2.gz FPWBBAARBJQIEM-VIFPVBQESA-N 0 0 264.281 2.935 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)Cc2ccc(F)cc2)cc1 ZINC000499685717 247088178 /nfs/dbraw/zinc/08/81/78/247088178.db2.gz IOSPNICDWGKMMZ-CQSZACIVSA-N 0 0 290.294 2.749 20 5 CFBDRN O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1CCCCF ZINC000505515846 247141969 /nfs/dbraw/zinc/14/19/69/247141969.db2.gz WTCFAYBBGJJLDT-UHFFFAOYSA-N 0 0 291.282 2.568 20 5 CFBDRN Cc1ccccc1OCCCn1cccc([N+](=O)[O-])c1=O ZINC000102203381 375666857 /nfs/dbraw/zinc/66/68/57/375666857.db2.gz ZSTJEATXDVXKPB-UHFFFAOYSA-N 0 0 288.303 2.534 20 5 CFBDRN CCCCCCNC(=O)COc1ccc(F)cc1[N+](=O)[O-] ZINC000102599786 375682020 /nfs/dbraw/zinc/68/20/20/375682020.db2.gz MALMVVHYEVBEPL-UHFFFAOYSA-N 0 0 298.314 2.809 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1C[C@@H]1C(F)F ZINC000510474318 247199837 /nfs/dbraw/zinc/19/98/37/247199837.db2.gz LTFRWYQZWFCVLJ-SWPVVBRQSA-N 0 0 296.273 2.774 20 5 CFBDRN CN(Cc1cccc([N+](=O)[O-])c1)Cc1ccccn1 ZINC000507172031 247165806 /nfs/dbraw/zinc/16/58/06/247165806.db2.gz ZAMLTECGYQVERK-UHFFFAOYSA-N 0 0 257.293 2.622 20 5 CFBDRN CN(Cc1cccn1C)Cc1cccc([N+](=O)[O-])c1 ZINC000507175092 247166188 /nfs/dbraw/zinc/16/61/88/247166188.db2.gz USTFHXLYZARCQX-UHFFFAOYSA-N 0 0 259.309 2.565 20 5 CFBDRN CCN(Cc1c(F)cccc1[N+](=O)[O-])C[C@H]1CCCO1 ZINC000103555376 375755796 /nfs/dbraw/zinc/75/57/96/375755796.db2.gz KBAGHSNFDXQSRX-LLVKDONJSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC([C@@H](C)O)CC1 ZINC000110600020 375831967 /nfs/dbraw/zinc/83/19/67/375831967.db2.gz CBVGUKNIDPLKMF-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000112717738 375953994 /nfs/dbraw/zinc/95/39/94/375953994.db2.gz LSDGVOMIFHXQEH-LLVKDONJSA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000264213907 383710502 /nfs/dbraw/zinc/71/05/02/383710502.db2.gz GFZBYYBHJRTPEX-IONNQARKSA-N 0 0 254.311 2.575 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(Oc2ccnc(C3CC3)n2)c1 ZINC000112554502 375930474 /nfs/dbraw/zinc/93/04/74/375930474.db2.gz JUQDBZDWNCUHTN-UHFFFAOYSA-N 0 0 285.259 2.867 20 5 CFBDRN CN(Cc1nc2ccccc2n1C)c1ncccc1[N+](=O)[O-] ZINC000112677785 375942261 /nfs/dbraw/zinc/94/22/61/375942261.db2.gz YXOMQSLODHCGPC-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN CS[C@@H](C)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000114101590 376049099 /nfs/dbraw/zinc/04/90/99/376049099.db2.gz PRSGXDUCCXOBCA-VIFPVBQESA-N 0 0 280.349 2.626 20 5 CFBDRN CC[C@@H]1CCC[C@@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000113581213 376025461 /nfs/dbraw/zinc/02/54/61/376025461.db2.gz IZOLBIJPAXPKTP-NXEZZACHSA-N 0 0 252.318 2.709 20 5 CFBDRN CCc1noc([C@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000114748844 376086705 /nfs/dbraw/zinc/08/67/05/376086705.db2.gz IUPSSZJNUHWCGV-UWVGGRQHSA-N 0 0 290.323 2.952 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000119013419 376336281 /nfs/dbraw/zinc/33/62/81/376336281.db2.gz HPVIMEGAZDNUOM-UHFFFAOYSA-N 0 0 278.283 2.654 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000118295314 376285930 /nfs/dbraw/zinc/28/59/30/376285930.db2.gz UXSJNNWWLRLACT-SFYZADRCSA-N 0 0 286.378 2.622 20 5 CFBDRN Cc1cnc(SCc2cccc([N+](=O)[O-])c2)nc1 ZINC000119329893 376395537 /nfs/dbraw/zinc/39/55/37/376395537.db2.gz XEXQACZGXCCQMZ-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2ccccc2)n1 ZINC000119715448 376458247 /nfs/dbraw/zinc/45/82/47/376458247.db2.gz QOHBRMDLOPGRCK-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CC[C@H](COC)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000119894571 376499707 /nfs/dbraw/zinc/49/97/07/376499707.db2.gz QIVLKEIOIDZDQJ-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN CN(CC(F)F)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000120169555 376560040 /nfs/dbraw/zinc/56/00/40/376560040.db2.gz DTYRESTZSLQDNV-UHFFFAOYSA-N 0 0 278.642 2.585 20 5 CFBDRN CCOc1cc(Oc2ccccc2[N+](=O)[O-])ncn1 ZINC000120349986 376599093 /nfs/dbraw/zinc/59/90/93/376599093.db2.gz XUZFZOODGWTMPA-UHFFFAOYSA-N 0 0 261.237 2.576 20 5 CFBDRN CCCCCOCC(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000120545169 376637773 /nfs/dbraw/zinc/63/77/73/376637773.db2.gz BLHXDBPNINNAGK-UHFFFAOYSA-N 0 0 267.281 2.707 20 5 CFBDRN CCOc1cc(Oc2ccc([N+](=O)[O-])c(C)c2)ncn1 ZINC000120701583 376671146 /nfs/dbraw/zinc/67/11/46/376671146.db2.gz AUXWZBSCCTTXTR-UHFFFAOYSA-N 0 0 275.264 2.884 20 5 CFBDRN COCCCCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000120801221 376697524 /nfs/dbraw/zinc/69/75/24/376697524.db2.gz LCJXSGCKXAHZRI-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN CC[C@@H](C)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000121378188 376818415 /nfs/dbraw/zinc/81/84/15/376818415.db2.gz OUCWFPJMDNLKPO-SECBINFHSA-N 0 0 275.308 2.533 20 5 CFBDRN CCOCCCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264677527 190128175 /nfs/dbraw/zinc/12/81/75/190128175.db2.gz YEVJENWQWVCGTJ-UHFFFAOYSA-N 0 0 277.280 2.614 20 5 CFBDRN CC1(C)CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000121424972 376829125 /nfs/dbraw/zinc/82/91/25/376829125.db2.gz PILZZLKPEUSRSR-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN C[C@@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCS1 ZINC000278126204 193839971 /nfs/dbraw/zinc/83/99/71/193839971.db2.gz YVVROTDPNANOKE-SNVBAGLBSA-N 0 0 293.392 2.895 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COc1ccc(C)cn1 ZINC000123606332 376961662 /nfs/dbraw/zinc/96/16/62/376961662.db2.gz VQUKIZXFDUNGNR-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CCCCCNC(=O)COc1cccc(C)c1[N+](=O)[O-] ZINC000123974285 377003038 /nfs/dbraw/zinc/00/30/38/377003038.db2.gz JSFBLQARUQWOFS-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN CCC1CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2N)CC1 ZINC000264261020 383718065 /nfs/dbraw/zinc/71/80/65/383718065.db2.gz UAKCGBYZINBFBV-UHFFFAOYSA-N 0 0 291.351 2.748 20 5 CFBDRN CC1CCC(NC(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000124650104 377074028 /nfs/dbraw/zinc/07/40/28/377074028.db2.gz BRSYDXNUQWSZOH-UHFFFAOYSA-N 0 0 278.312 2.690 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N[C@@H]1CCc2ccccc21 ZINC000124693377 377076981 /nfs/dbraw/zinc/07/69/81/377076981.db2.gz DUBXELLLZOFVLI-GFCCVEGCSA-N 0 0 298.302 2.799 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000124711967 377079701 /nfs/dbraw/zinc/07/97/01/377079701.db2.gz ZAUGVFNMQHTPSJ-IINYFYTJSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CC[C@@H](C)O2)c1 ZINC000125805598 377194065 /nfs/dbraw/zinc/19/40/65/377194065.db2.gz BQTNVFGZEZPSAL-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCOC2(CCC2)C1 ZINC000125449968 377156087 /nfs/dbraw/zinc/15/60/87/377156087.db2.gz CIIAPZAINOKDRY-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2ccc([N+](=O)[O-])cc2F)O1 ZINC000128443581 377337368 /nfs/dbraw/zinc/33/73/68/377337368.db2.gz BDOIKZSQNNDLED-PSASIEDQSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CC[C@H]1CCOC1 ZINC000129407727 377422156 /nfs/dbraw/zinc/42/21/56/377422156.db2.gz JHHHXQTVSQNZNH-LBPRGKRZSA-N 0 0 292.335 2.967 20 5 CFBDRN Cc1cccnc1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129785651 377471478 /nfs/dbraw/zinc/47/14/78/377471478.db2.gz XHFCPEWTVFBLMW-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H]1CCCC[C@H]1O ZINC000129792557 377473349 /nfs/dbraw/zinc/47/33/49/377473349.db2.gz BZOBDVYJAHIAMX-LDYMZIIASA-N 0 0 285.731 2.606 20 5 CFBDRN CS[C@@H](C)CC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000130226098 377525347 /nfs/dbraw/zinc/52/53/47/377525347.db2.gz PIZSPKYCFKDTES-LURJTMIESA-N 0 0 290.291 2.953 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](C)SC ZINC000130104479 377511588 /nfs/dbraw/zinc/51/15/88/377511588.db2.gz UOEVSEAQTDXSJC-QMMMGPOBSA-N 0 0 284.337 2.684 20 5 CFBDRN O=C1OCC[C@@H]1CCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000130562061 377577737 /nfs/dbraw/zinc/57/77/37/377577737.db2.gz OHJBJUBEUAYUME-QMMMGPOBSA-N 0 0 285.683 2.580 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000131350879 377697953 /nfs/dbraw/zinc/69/79/53/377697953.db2.gz LDVUIABWTVPYTE-SNVBAGLBSA-N 0 0 258.281 2.600 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000131730691 377754081 /nfs/dbraw/zinc/75/40/81/377754081.db2.gz IHHRBHNSDNJFLC-LLVKDONJSA-N 0 0 292.335 2.541 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000132039043 377794229 /nfs/dbraw/zinc/79/42/29/377794229.db2.gz IZPHSKKENWYNEV-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CC[C@H](C)C2)c1 ZINC000132987677 377892438 /nfs/dbraw/zinc/89/24/38/377892438.db2.gz BROLRZPYTRJYON-GXSJLCMTSA-N 0 0 278.308 2.982 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000264302288 383723205 /nfs/dbraw/zinc/72/32/05/383723205.db2.gz RTFBBEJVHFVURN-RNCFNFMXSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1cccc([C@@H](O)CNc2nc(C)ccc2[N+](=O)[O-])c1 ZINC000132503958 377847293 /nfs/dbraw/zinc/84/72/93/377847293.db2.gz WGMFVSJERHOXSI-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1nc(NCCOCCC(C)C)ccc1[N+](=O)[O-] ZINC000133777077 377955456 /nfs/dbraw/zinc/95/54/56/377955456.db2.gz DALUWUWWDVGRTA-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(C3CCOCC3)CC2)nc1 ZINC000133531445 377938121 /nfs/dbraw/zinc/93/81/21/377938121.db2.gz RIZJVYQQDUJGIX-UHFFFAOYSA-N 0 0 291.351 2.633 20 5 CFBDRN CCCCOCCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000133777313 377956662 /nfs/dbraw/zinc/95/66/62/377956662.db2.gz HUWHPSRDHMDMFW-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000133135075 377908255 /nfs/dbraw/zinc/90/82/55/377908255.db2.gz QCWZARHINMZMKE-NXEZZACHSA-N 0 0 284.743 2.988 20 5 CFBDRN CCc1nc(Cn2ccc3c2cccc3[N+](=O)[O-])no1 ZINC000135121020 378084210 /nfs/dbraw/zinc/08/42/10/378084210.db2.gz KDKAKWZOOKOULI-UHFFFAOYSA-N 0 0 272.264 2.543 20 5 CFBDRN CCOc1cccc(N[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000134919017 378063817 /nfs/dbraw/zinc/06/38/17/378063817.db2.gz DUVHQOFEQLXATG-JTQLQIEISA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCn1cccn1 ZINC000134510363 378024607 /nfs/dbraw/zinc/02/46/07/378024607.db2.gz COQZAPDTJRRAAO-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN CCNc1ccc(C(=O)NCc2ccoc2)cc1[N+](=O)[O-] ZINC000134509539 378025045 /nfs/dbraw/zinc/02/50/45/378025045.db2.gz KVPZGZAMLQTRMR-UHFFFAOYSA-N 0 0 289.291 2.550 20 5 CFBDRN CC[C@]1(C)CN(Cc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000135647632 378153396 /nfs/dbraw/zinc/15/33/96/378153396.db2.gz OURKEAIXLCTPDO-CQSZACIVSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1nc(COc2cc(F)cc([N+](=O)[O-])c2)oc1C ZINC000135366573 378125047 /nfs/dbraw/zinc/12/50/47/378125047.db2.gz MPIMQDVECALAEI-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CN(c1ccnc2c1cccc2[N+](=O)[O-])[C@H]1CCCOC1 ZINC000432139790 383724716 /nfs/dbraw/zinc/72/47/16/383724716.db2.gz MXCOUBNCSNAQEI-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CSC[C@H]1CCCN1Cc1csc([N+](=O)[O-])c1 ZINC000135438464 378131896 /nfs/dbraw/zinc/13/18/96/378131896.db2.gz ZTHJTBFANZNYHU-SNVBAGLBSA-N 0 0 272.395 2.984 20 5 CFBDRN CCNC(=O)[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])C(C)C ZINC000432144719 383725637 /nfs/dbraw/zinc/72/56/37/383725637.db2.gz KIXGLHQZKVHALM-LBPRGKRZSA-N 0 0 299.758 2.821 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@H](CO)C3CC3)ccnc21 ZINC000432150948 383726171 /nfs/dbraw/zinc/72/61/71/383726171.db2.gz PITYWDZRXZFTOH-LLVKDONJSA-N 0 0 287.319 2.573 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H]2CCCS2)c1 ZINC000136307735 378194650 /nfs/dbraw/zinc/19/46/50/378194650.db2.gz SVPSEIIJXIUOBS-SNVBAGLBSA-N 0 0 296.348 2.689 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Oc2cccnc2)c1 ZINC000136294961 378194663 /nfs/dbraw/zinc/19/46/63/378194663.db2.gz CCILMTLVMFDQRQ-UHFFFAOYSA-N 0 0 274.232 2.569 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1OCCc2ccccc21 ZINC000136472377 378200486 /nfs/dbraw/zinc/20/04/86/378200486.db2.gz KBEQXOXXRCIPSN-HNNXBMFYSA-N 0 0 299.282 2.814 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000136515884 378201245 /nfs/dbraw/zinc/20/12/45/378201245.db2.gz HNHYZLVGHXZRJR-VHSXEESVSA-N 0 0 277.324 2.580 20 5 CFBDRN CC[C@H]1CCC[N@H+](C[C@H](O)c2cccc([N+](=O)[O-])c2)C1 ZINC000136926022 378213928 /nfs/dbraw/zinc/21/39/28/378213928.db2.gz GMGLAFMWBGMSFL-WFASDCNBSA-N 0 0 278.352 2.750 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCCC2(C)C)c([N+](=O)[O-])c1 ZINC000149446329 378264193 /nfs/dbraw/zinc/26/41/93/378264193.db2.gz CWQYGMHADBLYNG-ZDUSSCGKSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1nc(NC[C@]2(C)CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000151005877 378286346 /nfs/dbraw/zinc/28/63/46/378286346.db2.gz AYJXQIAYHFCWRG-OCCSQVGLSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CCO[C@@H]1C ZINC000137797224 378229760 /nfs/dbraw/zinc/22/97/60/378229760.db2.gz MBCOIAWVTGKNSO-MNOVXSKESA-N 0 0 293.323 2.511 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ncc[nH]1 ZINC000138949419 378233332 /nfs/dbraw/zinc/23/33/32/378233332.db2.gz GLPDZZHRXZKRBU-VHSXEESVSA-N 0 0 260.297 2.730 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ncc[nH]1 ZINC000138949185 378234248 /nfs/dbraw/zinc/23/42/48/378234248.db2.gz GLPDZZHRXZKRBU-UWVGGRQHSA-N 0 0 260.297 2.730 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)c1ncc[nH]1 ZINC000140682858 378240148 /nfs/dbraw/zinc/24/01/48/378240148.db2.gz ZTJYPZHGYXCLET-NSHDSACASA-N 0 0 288.307 2.507 20 5 CFBDRN Cc1cc(C(=O)n2cccc/c2=N\C(C)C)cc([N+](=O)[O-])c1 ZINC000147587130 378251887 /nfs/dbraw/zinc/25/18/87/378251887.db2.gz OXAOOMYMKJUZAU-BMRADRMJSA-N 0 0 299.330 2.702 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC(F)(F)F ZINC000148456052 378257642 /nfs/dbraw/zinc/25/76/42/378257642.db2.gz KMWOXONXLBXIFT-UHFFFAOYSA-N 0 0 255.583 2.617 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC1CCCCCC1 ZINC000151758826 378356135 /nfs/dbraw/zinc/35/61/35/378356135.db2.gz ZPZMIVQWIYRDKC-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN CCCC1(CNC(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000151943790 378375376 /nfs/dbraw/zinc/37/53/76/378375376.db2.gz VWCDOKOUQAHSTL-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000152989383 378465871 /nfs/dbraw/zinc/46/58/71/378465871.db2.gz URRKKLKAXVIMBV-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN CCc1ccc(C(=O)N(C)CCSC)cc1[N+](=O)[O-] ZINC000152536781 378425401 /nfs/dbraw/zinc/42/54/01/378425401.db2.gz VBXREDSNWNRUBR-UHFFFAOYSA-N 0 0 282.365 2.592 20 5 CFBDRN C[C@]1(CNc2ncc(Cl)cc2[N+](=O)[O-])CCCC[C@@H]1O ZINC000153898401 378521883 /nfs/dbraw/zinc/52/18/83/378521883.db2.gz RMLJZYDNDFUWFS-WCQYABFASA-N 0 0 299.758 2.996 20 5 CFBDRN CSCCCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000153832110 378514297 /nfs/dbraw/zinc/51/42/97/378514297.db2.gz OOSNSBUAOBRGSG-UHFFFAOYSA-N 0 0 278.337 2.606 20 5 CFBDRN COc1ccc(F)c(NC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000154592058 378583547 /nfs/dbraw/zinc/58/35/47/378583547.db2.gz RMBKEEFOIIVYJL-UHFFFAOYSA-N 0 0 280.211 2.588 20 5 CFBDRN NC(=O)C[C@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000330435985 378555120 /nfs/dbraw/zinc/55/51/20/378555120.db2.gz BADMTVSECOHIHQ-SNVBAGLBSA-N 0 0 283.353 2.936 20 5 CFBDRN C[N@H+](CCC1CCOCC1)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330448856 378558674 /nfs/dbraw/zinc/55/86/74/378558674.db2.gz HGSIDDPDISBQQE-UHFFFAOYSA-N 0 0 294.351 2.549 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1ccccc1[N+](=O)[O-] ZINC000330516782 378575610 /nfs/dbraw/zinc/57/56/10/378575610.db2.gz MLOCDMJEJVETBX-AWEZNQCLSA-N 0 0 264.325 2.596 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000330528483 378579385 /nfs/dbraw/zinc/57/93/85/378579385.db2.gz QKXALMZVOFXCSS-MWLCHTKSSA-N 0 0 290.319 2.847 20 5 CFBDRN CCNc1ccc(C(=O)N2CC[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000331099171 378632687 /nfs/dbraw/zinc/63/26/87/378632687.db2.gz AKPCMDGGQFBHNN-QWRGUYRKSA-N 0 0 291.351 2.897 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000331101277 378634191 /nfs/dbraw/zinc/63/41/91/378634191.db2.gz CZZFMSHADNJLSI-UHFFFAOYSA-N 0 0 279.340 2.965 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000331119256 378636193 /nfs/dbraw/zinc/63/61/93/378636193.db2.gz OTJVLGMMTJSCEI-VXGBXAGGSA-N 0 0 292.335 2.507 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000331123718 378637680 /nfs/dbraw/zinc/63/76/80/378637680.db2.gz NBPZZUWZSAPCMM-UHFFFAOYSA-N 0 0 293.367 2.976 20 5 CFBDRN CC(C)C[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330959936 378604652 /nfs/dbraw/zinc/60/46/52/378604652.db2.gz XKGSXWHVPLRAHQ-LLVKDONJSA-N 0 0 279.340 2.964 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000330968236 378606830 /nfs/dbraw/zinc/60/68/30/378606830.db2.gz DTNRAJIXIYIRDP-VXGBXAGGSA-N 0 0 293.367 2.974 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000331008484 378615059 /nfs/dbraw/zinc/61/50/59/378615059.db2.gz UJUCCVOULPZTGL-HNNXBMFYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000331045752 378620363 /nfs/dbraw/zinc/62/03/63/378620363.db2.gz UJRWUEOASRLFDM-PWSUYJOCSA-N 0 0 294.376 2.834 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCOC(C)(C)[C@H]1C ZINC000331046272 378620610 /nfs/dbraw/zinc/62/06/10/378620610.db2.gz GNUUQIWEQBSOOO-LLVKDONJSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000331045750 378621246 /nfs/dbraw/zinc/62/12/46/378621246.db2.gz UJRWUEOASRLFDM-CMPLNLGQSA-N 0 0 294.376 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CO[C@H](C)C1 ZINC000331268523 378660710 /nfs/dbraw/zinc/66/07/10/378660710.db2.gz SDBPYBBTXXDDIH-MWLCHTKSSA-N 0 0 278.308 2.575 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000331190103 378647324 /nfs/dbraw/zinc/64/73/24/378647324.db2.gz JXWHRRKDOIDADO-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1nc(C(C)C)oc1Cn1cc([N+](=O)[O-])c(C)cc1=O ZINC000331224813 378652445 /nfs/dbraw/zinc/65/24/45/378652445.db2.gz BMFGVTJGSJQUAE-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCC1(C2CC2)CC1 ZINC000159867706 378736240 /nfs/dbraw/zinc/73/62/40/378736240.db2.gz PLLSLDJNZYXPCS-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCCCCO1 ZINC000332210348 378737312 /nfs/dbraw/zinc/73/73/12/378737312.db2.gz GLFDPONOONEUGE-UHFFFAOYSA-N 0 0 284.699 2.806 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000160078602 378746731 /nfs/dbraw/zinc/74/67/31/378746731.db2.gz BJYYVSUIQRZFBQ-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN O=C(CCNc1ccc([N+](=O)[O-])cc1F)N1CCCCC1 ZINC000159341194 378703380 /nfs/dbraw/zinc/70/33/80/378703380.db2.gz SFKSPTJWZHYAIR-UHFFFAOYSA-N 0 0 295.314 2.548 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(CC2(O)CCCC2)c1 ZINC000332122845 378728803 /nfs/dbraw/zinc/72/88/03/378728803.db2.gz IKSPETCXJMWCES-UHFFFAOYSA-N 0 0 287.319 2.763 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCCC1(O)CC1 ZINC000332155398 378730983 /nfs/dbraw/zinc/73/09/83/378730983.db2.gz PODYBHYBXGXUKQ-UHFFFAOYSA-N 0 0 250.298 2.539 20 5 CFBDRN COc1cc(C(=O)NCCC2=CCCC2)ccc1[N+](=O)[O-] ZINC000160223339 378758315 /nfs/dbraw/zinc/75/83/15/378758315.db2.gz GOYQUGVARLGYNN-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@H](C3CC3)C2)n1 ZINC000160335452 378763506 /nfs/dbraw/zinc/76/35/06/378763506.db2.gz UHHLYJJAPKKCRB-YPMHNXCESA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC(=O)CCC2CCCC2)c1=O ZINC000160471856 378774140 /nfs/dbraw/zinc/77/41/40/378774140.db2.gz GISCKZJZFLPKCU-UHFFFAOYSA-N 0 0 292.335 2.604 20 5 CFBDRN CO[C@@H](C)CCNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000278459987 193995937 /nfs/dbraw/zinc/99/59/37/193995937.db2.gz SRBQVZDCUXJDKR-ZETCQYMHSA-N 0 0 293.245 2.846 20 5 CFBDRN C[C@@H](O)C(C)(C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000161095129 378821167 /nfs/dbraw/zinc/82/11/67/378821167.db2.gz DSKALIXFOYCGMA-SECBINFHSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCc2ccccc2F)c1 ZINC000162063114 378891513 /nfs/dbraw/zinc/89/15/13/378891513.db2.gz MLUODFFTQVFNML-UHFFFAOYSA-N 0 0 281.312 2.864 20 5 CFBDRN CC(C)OCCC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162258497 378902292 /nfs/dbraw/zinc/90/22/92/378902292.db2.gz XBSWIPLWECLWBZ-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1sccc1Cl ZINC000334206670 378924895 /nfs/dbraw/zinc/92/48/95/378924895.db2.gz ZYDSJHGAVSCWJY-UHFFFAOYSA-N 0 0 270.697 2.520 20 5 CFBDRN CC1(C)CC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)O1 ZINC000278541428 194034633 /nfs/dbraw/zinc/03/46/33/194034633.db2.gz MYOSYZMWXOECIG-LLVKDONJSA-N 0 0 282.271 2.630 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1F)c1ccc([N+](=O)[O-])cc1Cl ZINC000334201541 378916927 /nfs/dbraw/zinc/91/69/27/378916927.db2.gz OGJGRFPWMYJXSI-MNOVXSKESA-N 0 0 286.690 2.869 20 5 CFBDRN CCCCOCCNc1nc(C)ccc1[N+](=O)[O-] ZINC000162605225 378921482 /nfs/dbraw/zinc/92/14/82/378921482.db2.gz HJSNEYQAPVPHRA-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN Cc1sc(C(=O)N(C)[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000162932039 378943656 /nfs/dbraw/zinc/94/36/56/378943656.db2.gz MUMYCVYDZUAPJL-QMMMGPOBSA-N 0 0 286.378 2.542 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCN1c1cc(Cl)ccc1[N+](=O)[O-] ZINC000281162245 299503746 /nfs/dbraw/zinc/50/37/46/299503746.db2.gz LOUAYDARZIQPBV-ONGXEEELSA-N 0 0 284.743 2.988 20 5 CFBDRN C[C@H](NCc1ccccc1[N+](=O)[O-])c1cncs1 ZINC000163249445 378961801 /nfs/dbraw/zinc/96/18/01/378961801.db2.gz VMHHETVWENAXNZ-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN CC[C@H](CSC)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000163800865 378990901 /nfs/dbraw/zinc/99/09/01/378990901.db2.gz MEIHCCXHNXNXQO-SECBINFHSA-N 0 0 255.343 2.852 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cc1F)[N@@H+]1CCC[C@@H](C)C1 ZINC000571861368 383733128 /nfs/dbraw/zinc/73/31/28/383733128.db2.gz TYSAIISPUMUPAC-GHMZBOCLSA-N 0 0 296.346 2.661 20 5 CFBDRN C[C@H](Nc1cccc(F)c1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000166379161 379055213 /nfs/dbraw/zinc/05/52/13/379055213.db2.gz OQLJWRBXKZAUNQ-DTWKUNHWSA-N 0 0 254.261 2.571 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)COC(C)(C)C ZINC000165823115 379044281 /nfs/dbraw/zinc/04/42/81/379044281.db2.gz DJYRBVVQFKCUIG-UHFFFAOYSA-N 0 0 280.324 2.965 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334312027 379072767 /nfs/dbraw/zinc/07/27/67/379072767.db2.gz KOPFAXFCOGUPIU-VIFPVBQESA-N 0 0 273.292 2.701 20 5 CFBDRN CCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000168992484 379102777 /nfs/dbraw/zinc/10/27/77/379102777.db2.gz KRVGZBZOYIZHHB-UHFFFAOYSA-N 0 0 255.249 2.656 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCC(C)(C)O1)c1ccccc1[N+](=O)[O-] ZINC000278732004 194128810 /nfs/dbraw/zinc/12/88/10/194128810.db2.gz KYZXRQKJFJCMOT-GXFFZTMASA-N 0 0 292.335 2.730 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@@H]2CCC[C@@H]21 ZINC000335333212 379108539 /nfs/dbraw/zinc/10/85/39/379108539.db2.gz SOPJVCZEYHDDGX-IUCAKERBSA-N 0 0 266.322 2.671 20 5 CFBDRN C[C@H](CO)Sc1ccc2ncccc2c1[N+](=O)[O-] ZINC000168747367 379094114 /nfs/dbraw/zinc/09/41/14/379094114.db2.gz LVGABWOCCZJGED-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC3(CCC3(F)F)C2)c1 ZINC000335341950 379124128 /nfs/dbraw/zinc/12/41/28/379124128.db2.gz FPJFEIBOXXQVNI-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@@H]1CF ZINC000334344822 379129080 /nfs/dbraw/zinc/12/90/80/379129080.db2.gz TVTOENWXACHIJT-SNVBAGLBSA-N 0 0 286.690 2.822 20 5 CFBDRN CCC(=O)CCCN(C)Cc1ccccc1[N+](=O)[O-] ZINC000289451637 197353812 /nfs/dbraw/zinc/35/38/12/197353812.db2.gz XJNBMDSNBFEPLV-UHFFFAOYSA-N 0 0 264.325 2.786 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC12CCC2 ZINC000335370679 379177390 /nfs/dbraw/zinc/17/73/90/379177390.db2.gz NYQYUSUHMKPONS-JTQLQIEISA-N 0 0 277.324 2.575 20 5 CFBDRN Cc1cccc(NCc2nnc(C3CC3)o2)c1[N+](=O)[O-] ZINC000278829405 194173483 /nfs/dbraw/zinc/17/34/83/194173483.db2.gz UUPCLMDXHAJDFW-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CC[C@@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000334388011 379207942 /nfs/dbraw/zinc/20/79/42/379207942.db2.gz PWWREGLTMDEPFJ-AWEZNQCLSA-N 0 0 279.340 2.586 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H](F)C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335408305 379237516 /nfs/dbraw/zinc/23/75/16/379237516.db2.gz KJTIFXIHRKUVNN-MWLCHTKSSA-N 0 0 284.262 2.744 20 5 CFBDRN Cc1cccc(CC(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000171159683 379247383 /nfs/dbraw/zinc/24/73/83/379247383.db2.gz ZJILHJJQBUZFRG-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000335414161 379248738 /nfs/dbraw/zinc/24/87/38/379248738.db2.gz AFFOJKDYFJMYAY-MNOVXSKESA-N 0 0 280.299 2.914 20 5 CFBDRN CCN(C(=O)NCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000171261045 379266075 /nfs/dbraw/zinc/26/60/75/379266075.db2.gz JQKLUGRLLPBXKI-UHFFFAOYSA-N 0 0 265.313 2.535 20 5 CFBDRN CCCN(C(=O)CCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000171483579 379320313 /nfs/dbraw/zinc/32/03/13/379320313.db2.gz VFKAWHKHTRHBIG-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN CCCN(C(=O)c1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000171487118 379320338 /nfs/dbraw/zinc/32/03/38/379320338.db2.gz LQDDLLVNXDKWSP-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N[C@H]1CCCOC1 ZINC000171579952 379345433 /nfs/dbraw/zinc/34/54/33/379345433.db2.gz RWOKEFVTJAWABC-VIFPVBQESA-N 0 0 299.714 2.549 20 5 CFBDRN C[C@H]1CSC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000334456349 379332149 /nfs/dbraw/zinc/33/21/49/379332149.db2.gz HFMMWHVNDMXUKL-CPCISQLKSA-N 0 0 299.327 2.607 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000171398830 379299429 /nfs/dbraw/zinc/29/94/29/379299429.db2.gz PZOPZKUJKTWAJQ-JTQLQIEISA-N 0 0 296.371 2.827 20 5 CFBDRN C[C@H]1CCN(C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])C1 ZINC000334479431 379372056 /nfs/dbraw/zinc/37/20/56/379372056.db2.gz SUOFRQUYLRCTEU-NSHDSACASA-N 0 0 276.336 2.741 20 5 CFBDRN COc1ccc(CN2CCS[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000171690724 379372813 /nfs/dbraw/zinc/37/28/13/379372813.db2.gz FVJAWZQCRYCVDY-JTQLQIEISA-N 0 0 282.365 2.541 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000334483559 379378508 /nfs/dbraw/zinc/37/85/08/379378508.db2.gz ZCNFFHZOUQJHIU-GHMZBOCLSA-N 0 0 262.309 2.994 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000334483560 379378597 /nfs/dbraw/zinc/37/85/97/379378597.db2.gz ZCNFFHZOUQJHIU-MNOVXSKESA-N 0 0 262.309 2.994 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@@H](F)C1 ZINC000334483377 379378768 /nfs/dbraw/zinc/37/87/68/379378768.db2.gz YXKYQGIRTQVCQQ-ZJUUUORDSA-N 0 0 281.287 2.915 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCCC[C@@H]1C(F)F ZINC000334468789 379353830 /nfs/dbraw/zinc/35/38/30/379353830.db2.gz YJYYJIROKZLJIH-SSDOTTSWSA-N 0 0 290.291 2.916 20 5 CFBDRN CN(Cc1cnccn1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432312496 383736014 /nfs/dbraw/zinc/73/60/14/383736014.db2.gz HGCNAVYMGZVUEV-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN C[C@H]1Cc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000334474074 379363055 /nfs/dbraw/zinc/36/30/55/379363055.db2.gz KINSYJULLPZPFQ-JTQLQIEISA-N 0 0 285.303 2.525 20 5 CFBDRN C[C@@H](NC(=O)NCc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000171928106 379441444 /nfs/dbraw/zinc/44/14/44/379441444.db2.gz SEENOMGOWIWTOF-SNVBAGLBSA-N 0 0 279.340 2.829 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC[C@H]1C1CC1 ZINC000334498965 379397377 /nfs/dbraw/zinc/39/73/77/379397377.db2.gz QDUOJEZRPIQZDR-LBPRGKRZSA-N 0 0 261.281 2.611 20 5 CFBDRN CCc1n[nH]c(C(=O)NCCCC2CCCC2)c1[N+](=O)[O-] ZINC000171774522 379399503 /nfs/dbraw/zinc/39/95/03/379399503.db2.gz NJNOZFORRLXVTA-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC1CCSCC1 ZINC000335497024 379401787 /nfs/dbraw/zinc/40/17/87/379401787.db2.gz XCSMGIPOIWPDLK-UHFFFAOYSA-N 0 0 294.376 2.837 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1[C@@H]1C=CCCC1 ZINC000171791399 379401980 /nfs/dbraw/zinc/40/19/80/379401980.db2.gz NTKUOUKPHGSNOW-SNVBAGLBSA-N 0 0 271.276 2.586 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC(CF)CC1 ZINC000334533726 379472415 /nfs/dbraw/zinc/47/24/15/379472415.db2.gz BKOGVLQYCUYHQK-UHFFFAOYSA-N 0 0 284.262 2.556 20 5 CFBDRN Cc1cc(CC(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])no1 ZINC000172090676 379491296 /nfs/dbraw/zinc/49/12/96/379491296.db2.gz GJTJKXCAWJIYMW-UHFFFAOYSA-N 0 0 290.275 2.656 20 5 CFBDRN C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000172105517 379494057 /nfs/dbraw/zinc/49/40/57/379494057.db2.gz UTYUHPISPMAQIF-SNVBAGLBSA-N 0 0 262.309 2.903 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000172103585 379494149 /nfs/dbraw/zinc/49/41/49/379494149.db2.gz VZBNSAHEAGULAO-JTQLQIEISA-N 0 0 262.309 2.903 20 5 CFBDRN COc1ccc(C(=O)N[C@@H](C)C2CCCC2)cc1[N+](=O)[O-] ZINC000172105628 379496481 /nfs/dbraw/zinc/49/64/81/379496481.db2.gz WLWUAITUSAMYIU-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccc(CCNC(=O)c2csc([N+](=O)[O-])c2)cc1 ZINC000172293556 379534272 /nfs/dbraw/zinc/53/42/72/379534272.db2.gz URADRCOCLBJART-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)C1CC(F)(F)C1 ZINC000334548819 379505212 /nfs/dbraw/zinc/50/52/12/379505212.db2.gz LHYKRMXYAMCYPW-UHFFFAOYSA-N 0 0 274.198 2.718 20 5 CFBDRN C[C@@H]1CSCCN1c1ccc([N+](=O)[O-])cc1F ZINC000172374999 379551051 /nfs/dbraw/zinc/55/10/51/379551051.db2.gz DNHCRVZKNWXSFW-MRVPVSSYSA-N 0 0 256.302 2.676 20 5 CFBDRN C[C@@H]1CSCCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000172520350 379588310 /nfs/dbraw/zinc/58/83/10/379588310.db2.gz YGDVJJOXDUBNCY-TZOMUSMUSA-N 0 0 292.360 2.572 20 5 CFBDRN C[C@H](C(=O)OC(C)(C)C)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000172526414 379590543 /nfs/dbraw/zinc/59/05/43/379590543.db2.gz BSHDGSCFSIYJHG-LLVKDONJSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000334594273 379592841 /nfs/dbraw/zinc/59/28/41/379592841.db2.gz GSZABUOYMBKFAC-IUODEOHRSA-N 0 0 288.347 2.847 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@@H](C2CCCC2)C1 ZINC000335589628 379570405 /nfs/dbraw/zinc/57/04/05/379570405.db2.gz COZIZKZBVICDJN-LLVKDONJSA-N 0 0 278.308 2.840 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCc2c1cccc2Cl ZINC000334618038 379636195 /nfs/dbraw/zinc/63/61/95/379636195.db2.gz MPUCFIKYPUZVFC-UHFFFAOYSA-N 0 0 291.694 2.779 20 5 CFBDRN Cc1cnc(NCCCNc2ccccn2)c([N+](=O)[O-])c1 ZINC000172807591 379651691 /nfs/dbraw/zinc/65/16/91/379651691.db2.gz ZUEZONDIXJYMJI-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)[C@H]1C ZINC000172658451 379618179 /nfs/dbraw/zinc/61/81/79/379618179.db2.gz QNOFLJOOOUBHCD-IUCAKERBSA-N 0 0 268.338 2.917 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H](F)C1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000335671755 379695056 /nfs/dbraw/zinc/69/50/56/379695056.db2.gz SLMQSSUOUHWKIU-HTQZYQBOSA-N 0 0 286.690 2.869 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000335688445 379724043 /nfs/dbraw/zinc/72/40/43/379724043.db2.gz PFTJTBBERMKEHV-CQSZACIVSA-N 0 0 262.309 2.857 20 5 CFBDRN C[C@]1(c2ccccc2)CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000335726833 379790917 /nfs/dbraw/zinc/79/09/17/379790917.db2.gz ALNJJWAUYJENKJ-INIZCTEOSA-N 0 0 299.330 2.727 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000173878667 379791210 /nfs/dbraw/zinc/79/12/10/379791210.db2.gz WOXBNNKWWCHHTB-JTQLQIEISA-N 0 0 280.324 2.528 20 5 CFBDRN C[C@@H]1CCC[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000335737916 379808751 /nfs/dbraw/zinc/80/87/51/379808751.db2.gz JLMAGEQVAPQUGY-ZWNOBZJWSA-N 0 0 262.309 2.994 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCS1 ZINC000335724292 379786667 /nfs/dbraw/zinc/78/66/67/379786667.db2.gz VZXRTXKDKOPRSB-MRVPVSSYSA-N 0 0 299.327 2.703 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000335782558 379880521 /nfs/dbraw/zinc/88/05/21/379880521.db2.gz VTSDZGKQWKIQDD-GFCCVEGCSA-N 0 0 262.309 2.713 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335785751 379885160 /nfs/dbraw/zinc/88/51/60/379885160.db2.gz BSOALFSGYFKZTR-OCAPTIKFSA-N 0 0 267.260 2.749 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000334760273 379898657 /nfs/dbraw/zinc/89/86/57/379898657.db2.gz ZRVGKOJYNWZHRH-VHSXEESVSA-N 0 0 266.297 2.839 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])s2)CCC1 ZINC000174930607 379965030 /nfs/dbraw/zinc/96/50/30/379965030.db2.gz BYGPMLQOPZFAQF-UHFFFAOYSA-N 0 0 254.311 2.719 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000174971148 379970952 /nfs/dbraw/zinc/97/09/52/379970952.db2.gz GDRPVMRQTZNQOE-ZDUSSCGKSA-N 0 0 291.351 2.702 20 5 CFBDRN CO[C@@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])C(C)(C)C ZINC000174766581 379935621 /nfs/dbraw/zinc/93/56/21/379935621.db2.gz YDIGDZPEEPSNSF-LBPRGKRZSA-N 0 0 294.351 2.694 20 5 CFBDRN CC(C)(O)CNC(=O)c1cc2ccccc2c2cccnc12 ZINC000174846030 379951114 /nfs/dbraw/zinc/95/11/14/379951114.db2.gz YADDGQMZQPNJSS-UHFFFAOYSA-N 0 0 294.354 2.889 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)C[C@H]1CCCOC1 ZINC000175110057 379998017 /nfs/dbraw/zinc/99/80/17/379998017.db2.gz RGGLWXCOSVJYNE-GFCCVEGCSA-N 0 0 294.351 2.604 20 5 CFBDRN O=C(Nc1ccsc1Cl)c1cc([N+](=O)[O-])c[nH]1 ZINC000335853878 379998716 /nfs/dbraw/zinc/99/87/16/379998716.db2.gz PGHIVFYNLWQAEQ-UHFFFAOYSA-N 0 0 271.685 2.890 20 5 CFBDRN CCS[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000175270814 380034829 /nfs/dbraw/zinc/03/48/29/380034829.db2.gz YNGZCMZQYXWNOR-ONGXEEELSA-N 0 0 298.364 2.982 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N2CCCC2)cc1[N+](=O)[O-] ZINC000175190522 380016012 /nfs/dbraw/zinc/01/60/12/380016012.db2.gz SLXXKDKOXFRSLZ-NSHDSACASA-N 0 0 277.324 2.770 20 5 CFBDRN CC[C@@H](NC(=O)N1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000175187159 380016043 /nfs/dbraw/zinc/01/60/43/380016043.db2.gz OKEPUPPDFGLGDH-CYBMUJFWSA-N 0 0 277.324 2.851 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000335893600 380064280 /nfs/dbraw/zinc/06/42/80/380064280.db2.gz MITHLGZPGPFDJH-BXUZGUMPSA-N 0 0 274.320 2.918 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)NCc2ccc([N+](=O)[O-])cc2)O1 ZINC000175565452 380090211 /nfs/dbraw/zinc/09/02/11/380090211.db2.gz CALATEKIIBTGRB-BXUZGUMPSA-N 0 0 292.335 2.559 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)NCc2ccc([N+](=O)[O-])cc2)O1 ZINC000175565478 380090501 /nfs/dbraw/zinc/09/05/01/380090501.db2.gz CALATEKIIBTGRB-SMDDNHRTSA-N 0 0 292.335 2.559 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1C[N@@H+]1CCC[C@@H]1C ZINC000175285293 380038483 /nfs/dbraw/zinc/03/84/83/380038483.db2.gz HBNYQQBQMIQCDM-NSHDSACASA-N 0 0 264.325 2.978 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335226478 380038795 /nfs/dbraw/zinc/03/87/95/380038795.db2.gz ZZOIOTJSJHPKHU-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000335878632 380039097 /nfs/dbraw/zinc/03/90/97/380039097.db2.gz JAZLAZOHQOGTNV-OCAPTIKFSA-N 0 0 268.700 2.871 20 5 CFBDRN CC(C)C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000335910165 380092506 /nfs/dbraw/zinc/09/25/06/380092506.db2.gz VQKFNNXQCYJWDO-CYBMUJFWSA-N 0 0 280.349 2.825 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NCC2CC2)cc1[N+](=O)[O-] ZINC000175688758 380113877 /nfs/dbraw/zinc/11/38/77/380113877.db2.gz NULMHVNIYSUNAW-JTQLQIEISA-N 0 0 277.324 2.673 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)c2ccccc21 ZINC000335935038 380125404 /nfs/dbraw/zinc/12/54/04/380125404.db2.gz USCDGDWDCWERSQ-SNVBAGLBSA-N 0 0 285.303 2.697 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CSC[C@H]1C ZINC000335961358 380165260 /nfs/dbraw/zinc/16/52/60/380165260.db2.gz LEETZOONSZHEBN-SKDRFNHKSA-N 0 0 295.364 2.776 20 5 CFBDRN CN(CCn1ccc([N+](=O)[O-])n1)Cc1ccccc1Cl ZINC000176193048 380192471 /nfs/dbraw/zinc/19/24/71/380192471.db2.gz UIWBANJTVIEZRR-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1cc(C)ccc1C ZINC000176214222 380197291 /nfs/dbraw/zinc/19/72/91/380197291.db2.gz DFMMBETWPNWPQM-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CCCOC1 ZINC000176223084 380197617 /nfs/dbraw/zinc/19/76/17/380197617.db2.gz DJKFNVHWAYSSGX-NSHDSACASA-N 0 0 293.323 2.512 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N1CCCCCC1 ZINC000335986463 380199541 /nfs/dbraw/zinc/19/95/41/380199541.db2.gz XCKXLUIXPFEQJD-UHFFFAOYSA-N 0 0 298.289 2.818 20 5 CFBDRN Cc1c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cnn1C ZINC000176321839 380213999 /nfs/dbraw/zinc/21/39/99/380213999.db2.gz AKBVPEYYJUHTJS-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C[C@@H](Sc1cn[nH]n1)c1cccc([N+](=O)[O-])c1 ZINC000177375094 380335529 /nfs/dbraw/zinc/33/55/29/380335529.db2.gz JEYZXGAQXKXTMR-SSDOTTSWSA-N 0 0 250.283 2.566 20 5 CFBDRN CO[C@@H](C)c1noc(COc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000177208174 380315417 /nfs/dbraw/zinc/31/54/17/380315417.db2.gz DYXULJBJPUOKAS-VIFPVBQESA-N 0 0 293.279 2.573 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000177209474 380315595 /nfs/dbraw/zinc/31/55/95/380315595.db2.gz SIRMAWNVPOSJQX-WFASDCNBSA-N 0 0 292.335 2.656 20 5 CFBDRN CC(C)c1ccc(C(=O)NCCC[C@@H](C)O)cc1[N+](=O)[O-] ZINC000279070559 194272466 /nfs/dbraw/zinc/27/24/66/194272466.db2.gz GCWWZTIVOZMHTO-LLVKDONJSA-N 0 0 294.351 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2ccc3c(c2)CC(=O)N3)n1 ZINC000178225088 380424164 /nfs/dbraw/zinc/42/41/64/380424164.db2.gz VTMQJMJBHRQRLE-UHFFFAOYSA-N 0 0 284.275 2.536 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178266457 380429369 /nfs/dbraw/zinc/42/93/69/380429369.db2.gz QRNZKWNJVDPMIC-AOOOYVTPSA-N 0 0 280.349 2.919 20 5 CFBDRN Cc1cc(S[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000336524348 380410897 /nfs/dbraw/zinc/41/08/97/380410897.db2.gz OQXSJQMXPJOFTM-QMMMGPOBSA-N 0 0 257.286 2.923 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cccc(O)c2)n1 ZINC000178181129 380418648 /nfs/dbraw/zinc/41/86/48/380418648.db2.gz KFDWKFLTUPMVGX-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN C/C=C(\C)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 ZINC000178789381 380485771 /nfs/dbraw/zinc/48/57/71/380485771.db2.gz SFPQSQDXGLJWSF-YCRREMRBSA-N 0 0 292.291 2.661 20 5 CFBDRN C[C@H]1CSCCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000178871131 380491551 /nfs/dbraw/zinc/49/15/51/380491551.db2.gz LTTMMRLONXYKOF-ZETCQYMHSA-N 0 0 274.292 2.815 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000336560746 380466925 /nfs/dbraw/zinc/46/69/25/380466925.db2.gz PEQFUEPQAQHALJ-ZJUUUORDSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1c(C(=O)N2CC3(CCC3(F)F)C2)cccc1[N+](=O)[O-] ZINC000336562505 380470487 /nfs/dbraw/zinc/47/04/87/380470487.db2.gz AXYIWLNECRHMIT-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN O=[N+]([O-])c1cc(Cc2ccccc2)ccc1OCCO ZINC000178689721 380477402 /nfs/dbraw/zinc/47/74/02/380477402.db2.gz RKCKVWMJWPYFHF-UHFFFAOYSA-N 0 0 273.288 2.557 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000336787230 380631890 /nfs/dbraw/zinc/63/18/90/380631890.db2.gz DNMHJILFSHGRTO-XPUUQOCRSA-N 0 0 264.310 2.810 20 5 CFBDRN Cc1cc(N[C@H](C)C[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000336793490 380641108 /nfs/dbraw/zinc/64/11/08/380641108.db2.gz WXNYQUBYGKRVIM-BDAKNGLRSA-N 0 0 256.277 2.614 20 5 CFBDRN CCC(=O)COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000336678068 380588385 /nfs/dbraw/zinc/58/83/85/380588385.db2.gz AGBGKLGWTCHQJL-UHFFFAOYSA-N 0 0 257.673 2.915 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)Sc1nc[nH]n1 ZINC000179978918 380604872 /nfs/dbraw/zinc/60/48/72/380604872.db2.gz VEFWRZZURATBBD-QMMMGPOBSA-N 0 0 264.310 2.875 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCF ZINC000336724899 380614488 /nfs/dbraw/zinc/61/44/88/380614488.db2.gz ZMMDFQUNAQFGFS-UHFFFAOYSA-N 0 0 252.167 2.995 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2c(c1)CCC2 ZINC000180592137 380679460 /nfs/dbraw/zinc/67/94/60/380679460.db2.gz FRUJKRAYVLDMEK-UHFFFAOYSA-N 0 0 297.314 2.918 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2c(N)cccc2[N+](=O)[O-])cc1 ZINC000180911931 380711865 /nfs/dbraw/zinc/71/18/65/380711865.db2.gz RIAYSDYBMYRWHY-NSHDSACASA-N 0 0 299.330 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1ccccc1O ZINC000180985486 380721224 /nfs/dbraw/zinc/72/12/24/380721224.db2.gz QSXQSJCALMKWPT-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN CS[C@@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295358454 380807749 /nfs/dbraw/zinc/80/77/49/380807749.db2.gz NTZUAKNAAHUOIS-IUCAKERBSA-N 0 0 268.338 2.524 20 5 CFBDRN Cc1cc(COC(=O)C2CC=CC2)ccc1[N+](=O)[O-] ZINC000181293264 380770577 /nfs/dbraw/zinc/77/05/77/380770577.db2.gz LBWGWMIRKYQOQZ-UHFFFAOYSA-N 0 0 261.277 2.913 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOc2cccc(CO)c2)cc1 ZINC000181680677 380863177 /nfs/dbraw/zinc/86/31/77/380863177.db2.gz NWUWJWGVVISWRX-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN CCCOc1cccc(Cn2cc([N+](=O)[O-])c(C)n2)c1 ZINC000295463816 380870098 /nfs/dbraw/zinc/87/00/98/380870098.db2.gz XNRKKXPXIWIMSC-UHFFFAOYSA-N 0 0 275.308 2.937 20 5 CFBDRN CC(C)SCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000287579368 380873867 /nfs/dbraw/zinc/87/38/67/380873867.db2.gz NMWKFGZKDFIMPE-UHFFFAOYSA-N 0 0 283.353 2.858 20 5 CFBDRN CCc1nc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)co1 ZINC000358433009 380844591 /nfs/dbraw/zinc/84/45/91/380844591.db2.gz WXKSCDHHJHDCCI-UHFFFAOYSA-N 0 0 286.247 2.862 20 5 CFBDRN CCOc1ccc(CNc2ncc([N+](=O)[O-])c(C)n2)cc1 ZINC000295473481 380878822 /nfs/dbraw/zinc/87/88/22/380878822.db2.gz IVPWWDHHNVLXBZ-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCC(C)(F)F ZINC000295426766 380846084 /nfs/dbraw/zinc/84/60/84/380846084.db2.gz DIWBNAUBPBYNSP-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN CCOC(=O)[C@@H](NCc1cccc([N+](=O)[O-])c1)[C@H](C)CC ZINC000181652728 380854711 /nfs/dbraw/zinc/85/47/11/380854711.db2.gz GXEDWZGPARPYSW-RISCZKNCSA-N 0 0 294.351 2.662 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000287522346 380854941 /nfs/dbraw/zinc/85/49/41/380854941.db2.gz VHISVLACHANMRF-OTYXRUKQSA-N 0 0 280.324 2.616 20 5 CFBDRN C[C@H](CF)NC(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000295480340 380884993 /nfs/dbraw/zinc/88/49/93/380884993.db2.gz MPFIJFLLNKQTMW-ZCFIWIBFSA-N 0 0 294.204 2.701 20 5 CFBDRN COc1cc(CN2CC[C@](C)(F)C2)c([N+](=O)[O-])cc1F ZINC000341276109 380892449 /nfs/dbraw/zinc/89/24/49/380892449.db2.gz HRJVJYGUYBYRLT-ZDUSSCGKSA-N 0 0 286.278 2.676 20 5 CFBDRN CSC1(CNc2ncnc3sc([N+](=O)[O-])cc32)CC1 ZINC000287638173 380896115 /nfs/dbraw/zinc/89/61/15/380896115.db2.gz HQBFAPLKQAMQFV-UHFFFAOYSA-N 0 0 296.377 2.907 20 5 CFBDRN Cc1nn(CCOc2ccccc2Cl)cc1[N+](=O)[O-] ZINC000181816709 380906534 /nfs/dbraw/zinc/90/65/34/380906534.db2.gz PGZCKLORHVRYFE-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN Cc1nn(Cc2ccc(Cl)cc2)cc1[N+](=O)[O-] ZINC000181830527 380908130 /nfs/dbraw/zinc/90/81/30/380908130.db2.gz RKPARPIBAGUWNR-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN O=C(c1cccc(O)c1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000181843140 380912395 /nfs/dbraw/zinc/91/23/95/380912395.db2.gz QOYANXNKZCWCAM-UHFFFAOYSA-N 0 0 284.271 2.503 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000353872991 380918934 /nfs/dbraw/zinc/91/89/34/380918934.db2.gz GYFZAUVSMHGKDD-LBPRGKRZSA-N 0 0 294.351 2.789 20 5 CFBDRN C[C@@H]1N(c2ccccc2[N+](=O)[O-])CCOC1(C)C ZINC000408320608 380922130 /nfs/dbraw/zinc/92/21/30/380922130.db2.gz LPWJDHJWADMRRA-JTQLQIEISA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1c(CC(=O)N2CC(C3CCC3)C2)cccc1[N+](=O)[O-] ZINC000341345811 380952881 /nfs/dbraw/zinc/95/28/81/380952881.db2.gz GMJQSULLAXJIGZ-UHFFFAOYSA-N 0 0 288.347 2.704 20 5 CFBDRN Cc1c[nH]cc1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000341364810 380968054 /nfs/dbraw/zinc/96/80/54/380968054.db2.gz CXYGKYJLTTWIAS-UHFFFAOYSA-N 0 0 293.710 2.815 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(C(C)(C)C(C)C)n2)n1C ZINC000347155696 380973253 /nfs/dbraw/zinc/97/32/53/380973253.db2.gz TUTPMSQNUYVGAI-UHFFFAOYSA-N 0 0 293.327 2.620 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2cocn2)cc1[N+](=O)[O-] ZINC000182061958 380977632 /nfs/dbraw/zinc/97/76/32/380977632.db2.gz DKZVHLCTKVFVSF-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN COC(=O)[C@@]1(C)CCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000287941541 380978834 /nfs/dbraw/zinc/97/88/34/380978834.db2.gz ROUSSGIGDFFBDB-ZDUSSCGKSA-N 0 0 298.726 2.638 20 5 CFBDRN CSCCn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000358568895 380936397 /nfs/dbraw/zinc/93/63/97/380936397.db2.gz KSFBDPZKXOLWOH-UHFFFAOYSA-N 0 0 263.322 2.821 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000279288056 194368565 /nfs/dbraw/zinc/36/85/65/194368565.db2.gz XRPKYVVQBBKRCS-QPUJVOFHSA-N 0 0 295.314 2.625 20 5 CFBDRN COCCC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000182195950 381016682 /nfs/dbraw/zinc/01/66/82/381016682.db2.gz ULLHTMGACBYOHF-LLVKDONJSA-N 0 0 281.308 2.701 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000295702717 381025375 /nfs/dbraw/zinc/02/53/75/381025375.db2.gz XUSZVTBVRNLUNW-JGVFFNPUSA-N 0 0 268.700 2.729 20 5 CFBDRN COCCNC(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000347185191 380990173 /nfs/dbraw/zinc/99/01/73/380990173.db2.gz NAXJEYLATDTJGW-UHFFFAOYSA-N 0 0 295.339 2.660 20 5 CFBDRN CC/C(C)=C/C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000295672733 381006949 /nfs/dbraw/zinc/00/69/49/381006949.db2.gz HFOAYNFTJUXAIG-ZRDIBKRKSA-N 0 0 276.336 2.952 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCSCc1ccccc1 ZINC000295755727 381055023 /nfs/dbraw/zinc/05/50/23/381055023.db2.gz OJMJBGZOSFCATI-UHFFFAOYSA-N 0 0 263.322 2.725 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Sc1nc(C)co1 ZINC000289594546 381059676 /nfs/dbraw/zinc/05/96/76/381059676.db2.gz JSUAIKNTXIVFJX-UHFFFAOYSA-N 0 0 268.298 2.567 20 5 CFBDRN Cc1nnc(CNc2cc([N+](=O)[O-])c(C)cc2C)o1 ZINC000295769405 381062876 /nfs/dbraw/zinc/06/28/76/381062876.db2.gz ADJXORAZNFRSQU-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2cnc3ccccc3c2)nc1 ZINC000341528606 381067568 /nfs/dbraw/zinc/06/75/68/381067568.db2.gz DCLRXCQIRXXQPE-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN CC(C)OCCCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000182338638 381068036 /nfs/dbraw/zinc/06/80/36/381068036.db2.gz OVUKGIONBOWTEW-UHFFFAOYSA-N 0 0 286.353 2.591 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000182239780 381036744 /nfs/dbraw/zinc/03/67/44/381036744.db2.gz HXQYUOAXYBJFLH-SNVBAGLBSA-N 0 0 266.297 2.596 20 5 CFBDRN CCSCCSc1c([N+](=O)[O-])c(CC)nn1C ZINC000289553259 381038934 /nfs/dbraw/zinc/03/89/34/381038934.db2.gz GJHBBWKZSIRDTL-UHFFFAOYSA-N 0 0 275.399 2.736 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)C[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000347370110 381102883 /nfs/dbraw/zinc/10/28/83/381102883.db2.gz URNVYVGAWFHPPF-ZFSVZOPNSA-N 0 0 288.347 2.861 20 5 CFBDRN COc1cccc(COc2nc(C)cc(C)c2[N+](=O)[O-])n1 ZINC000358842702 381116951 /nfs/dbraw/zinc/11/69/51/381116951.db2.gz RZLAOVJNAYXGTD-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN CCCCCOC1CN(Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000358777967 381076577 /nfs/dbraw/zinc/07/65/77/381076577.db2.gz YVJUJSXLNHWQAZ-UHFFFAOYSA-N 0 0 278.352 2.986 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](OC)C(C)C ZINC000341629754 381138248 /nfs/dbraw/zinc/13/82/48/381138248.db2.gz DQVDOMXLWHTSEH-ZDUSSCGKSA-N 0 0 296.323 2.603 20 5 CFBDRN O=C(c1ocnc1C1CC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000289757014 381138807 /nfs/dbraw/zinc/13/88/07/381138807.db2.gz VDGUDXZSUNEKRL-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2cccc3nccn32)nc1 ZINC000354256621 381167225 /nfs/dbraw/zinc/16/72/25/381167225.db2.gz VSSDBVGSYKOASL-GQCTYLIASA-N 0 0 266.260 2.808 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)OC ZINC000295909659 381149596 /nfs/dbraw/zinc/14/95/96/381149596.db2.gz ZPWHYCSTXZVLMF-HZMBPMFUSA-N 0 0 294.351 2.694 20 5 CFBDRN CCn1cc([C@@H](C)Nc2c([N+](=O)[O-])nc(C)n2CC)cn1 ZINC000295930645 381166107 /nfs/dbraw/zinc/16/61/07/381166107.db2.gz HFHQMSTUXORDSN-SECBINFHSA-N 0 0 292.343 2.509 20 5 CFBDRN Cc1cc(NC2(C3CC3)CCOCC2)ncc1[N+](=O)[O-] ZINC000368779345 381131237 /nfs/dbraw/zinc/13/12/37/381131237.db2.gz KPSGASFLAHGYTP-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN Cc1cc(NC[C@]2(C)CCO[C@@H]2C2CC2)ncc1[N+](=O)[O-] ZINC000368778813 381131907 /nfs/dbraw/zinc/13/19/07/381131907.db2.gz GAWDNXBVGBVJPN-CABCVRRESA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@H](C2CC2)C1 ZINC000368790575 381132801 /nfs/dbraw/zinc/13/28/01/381132801.db2.gz HVUOBENPMZGBKR-ZDUSSCGKSA-N 0 0 262.309 2.518 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CCCCC2(F)F)c1=O ZINC000295946269 381171599 /nfs/dbraw/zinc/17/15/99/381171599.db2.gz IHEBDRKGWSWRFE-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN CCn1cc([C@H](C)Nc2ccc([N+](=O)[O-])c(OC)c2)cn1 ZINC000295949827 381175926 /nfs/dbraw/zinc/17/59/26/381175926.db2.gz INAMWIANLAJCKN-JTQLQIEISA-N 0 0 290.323 2.993 20 5 CFBDRN COc1ccc(OCc2ccc(F)cn2)cc1[N+](=O)[O-] ZINC000358961079 381177805 /nfs/dbraw/zinc/17/78/05/381177805.db2.gz FQMMBLHXEMYVED-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000347502157 381188796 /nfs/dbraw/zinc/18/87/96/381188796.db2.gz UHUWWOJVSFXVHF-JTQLQIEISA-N 0 0 262.309 2.903 20 5 CFBDRN CCC1(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)CC1 ZINC000295977093 381195346 /nfs/dbraw/zinc/19/53/46/381195346.db2.gz SWAGPUJSPAHVJQ-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C(=O)c1ccc(F)cc1 ZINC000347521888 381199065 /nfs/dbraw/zinc/19/90/65/381199065.db2.gz UIXBKRAFOXKOSB-UHFFFAOYSA-N 0 0 288.234 2.555 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412183662 381200065 /nfs/dbraw/zinc/20/00/65/381200065.db2.gz UKNSGWDAEGXPJD-DDTOSNHZSA-N 0 0 276.336 2.955 20 5 CFBDRN C[C@@H]1CC[C@H](COc2ccc([N+](=O)[O-])c(F)c2F)O1 ZINC000296020210 381214635 /nfs/dbraw/zinc/21/46/35/381214635.db2.gz RDNIVOHBSHRSJF-HTQZYQBOSA-N 0 0 273.235 2.819 20 5 CFBDRN CC[C@@H](C)CS(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000296027680 381219460 /nfs/dbraw/zinc/21/94/60/381219460.db2.gz UYIFSLKDLKMJQR-SNVBAGLBSA-N 0 0 271.338 2.556 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@H]3CC3(F)F)n2)c1 ZINC000296025604 381216496 /nfs/dbraw/zinc/21/64/96/381216496.db2.gz UWCMMAHELRSMGD-MRVPVSSYSA-N 0 0 267.191 2.767 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)Cc2ccoc2)n1 ZINC000359083277 381253440 /nfs/dbraw/zinc/25/34/40/381253440.db2.gz NUTHMUZVUAYPJM-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN COC(=O)CCCCCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359083243 381253538 /nfs/dbraw/zinc/25/35/38/381253538.db2.gz NRNASAHNKGQRHR-UHFFFAOYSA-N 0 0 295.339 2.752 20 5 CFBDRN CCn1c(C)nnc1Sc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359082825 381253749 /nfs/dbraw/zinc/25/37/49/381253749.db2.gz NDMGCDNLTLMBAK-UHFFFAOYSA-N 0 0 293.352 2.678 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)CCC(F)(F)F)n1 ZINC000359085504 381255112 /nfs/dbraw/zinc/25/51/12/381255112.db2.gz TWKVEGZQDDWNLK-UHFFFAOYSA-N 0 0 277.246 2.995 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(S[C@H]2CCCOC2)n1 ZINC000359084350 381255483 /nfs/dbraw/zinc/25/54/83/381255483.db2.gz QIXUWVHCHBNJNW-JTQLQIEISA-N 0 0 268.338 2.878 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])n[nH]1 ZINC000359084512 381256103 /nfs/dbraw/zinc/25/61/03/381256103.db2.gz RDQDLMMOLXLFSS-SNVBAGLBSA-N 0 0 289.339 2.681 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000412361843 381270643 /nfs/dbraw/zinc/27/06/43/381270643.db2.gz AOXRXRUHECFLOJ-UHFFFAOYSA-N 0 0 290.319 2.618 20 5 CFBDRN Cc1cscc1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000347620588 381259481 /nfs/dbraw/zinc/25/94/81/381259481.db2.gz JAPKMXFJSVSARD-UHFFFAOYSA-N 0 0 282.346 2.956 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000342304265 381262562 /nfs/dbraw/zinc/26/25/62/381262562.db2.gz JGORUFXBRWEVTM-UHFFFAOYSA-N 0 0 266.278 2.522 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)[C@H]2CCCCO2)n1 ZINC000359099988 381265053 /nfs/dbraw/zinc/26/50/53/381265053.db2.gz WDTQBFBFYWZAQI-NWDGAFQWSA-N 0 0 279.340 2.976 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCCO[C@@H]2CCC[C@@H]21 ZINC000369465949 381266340 /nfs/dbraw/zinc/26/63/40/381266340.db2.gz ILJVNJYCURXAOQ-GXTWGEPZSA-N 0 0 280.299 2.882 20 5 CFBDRN CC(C)Oc1cc(C(=O)NCCCCF)ccc1[N+](=O)[O-] ZINC000412351949 381267597 /nfs/dbraw/zinc/26/75/97/381267597.db2.gz CHENALLWRNSVTA-UHFFFAOYSA-N 0 0 298.314 2.862 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@H]2CC)cc1[N+](=O)[O-] ZINC000347556408 381220282 /nfs/dbraw/zinc/22/02/82/381220282.db2.gz HXCGECTZGCKTRY-LLVKDONJSA-N 0 0 278.308 2.618 20 5 CFBDRN CC(C)CNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296034873 381222975 /nfs/dbraw/zinc/22/29/75/381222975.db2.gz ANTHSTWSKAQBMI-JTQLQIEISA-N 0 0 265.313 2.611 20 5 CFBDRN Cc1cnc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)o1 ZINC000347572453 381228706 /nfs/dbraw/zinc/22/87/06/381228706.db2.gz OMNHWVFZVZRVAT-MRVPVSSYSA-N 0 0 293.254 2.773 20 5 CFBDRN CC(C)C1CCC(CNC(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000354375057 381232146 /nfs/dbraw/zinc/23/21/46/381232146.db2.gz JPUVASNAILQYFH-UHFFFAOYSA-N 0 0 294.355 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@@H]1CCSC1)CCN2 ZINC000279393137 194414082 /nfs/dbraw/zinc/41/40/82/194414082.db2.gz IBZVFLFEWXTOEO-VIFPVBQESA-N 0 0 279.365 2.728 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CC(C)(C)CC(C)(C)C1 ZINC000342357985 381294192 /nfs/dbraw/zinc/29/41/92/381294192.db2.gz NZDUKEKPYFHYOF-UHFFFAOYSA-N 0 0 280.372 2.586 20 5 CFBDRN CCC1CN(C(=O)c2ccc([N+](=O)[O-])c(OC(C)C)c2)C1 ZINC000412420000 381294383 /nfs/dbraw/zinc/29/43/83/381294383.db2.gz HJJUEUULLIIAOT-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000342364976 381297194 /nfs/dbraw/zinc/29/71/94/381297194.db2.gz XDJREPNOPNULNP-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@H](C)C(=O)OC(C)(C)C ZINC000183649776 381300725 /nfs/dbraw/zinc/30/07/25/381300725.db2.gz IZKHRWRBCPEUPP-LLVKDONJSA-N 0 0 294.351 2.723 20 5 CFBDRN Cn1ccnc1[C@@H]1N(c2ccc([N+](=O)[O-])cc2)CC1(C)C ZINC000296208744 381302937 /nfs/dbraw/zinc/30/29/37/381302937.db2.gz FXNNHGKWAUKNLP-ZDUSSCGKSA-N 0 0 286.335 2.916 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000412367007 381271475 /nfs/dbraw/zinc/27/14/75/381271475.db2.gz FXHKCHASHQEUPB-NSHDSACASA-N 0 0 296.298 2.566 20 5 CFBDRN C[C@H](F)CCNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412368611 381273434 /nfs/dbraw/zinc/27/34/34/381273434.db2.gz VQABFNIBJDHHHN-TVYUQYBPSA-N 0 0 280.299 2.563 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO[C@H]2CCCc3c[nH]nc32)cc1 ZINC000288772058 197162764 /nfs/dbraw/zinc/16/27/64/197162764.db2.gz ZXTNTICELSCHOY-AWEZNQCLSA-N 0 0 287.319 2.955 20 5 CFBDRN CN(C(=O)C=C1CCCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000288773503 197162785 /nfs/dbraw/zinc/16/27/85/197162785.db2.gz IMFPURWDNPZJFK-UHFFFAOYSA-N 0 0 275.308 2.843 20 5 CFBDRN CS[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000296147216 381274426 /nfs/dbraw/zinc/27/44/26/381274426.db2.gz DEYABBJGXPESFY-NSHDSACASA-N 0 0 281.337 2.564 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)C(F)(F)F ZINC000290055654 381280626 /nfs/dbraw/zinc/28/06/26/381280626.db2.gz JFIATPGQTAAWHV-ZETCQYMHSA-N 0 0 276.214 2.584 20 5 CFBDRN Cc1c[nH]cc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000359130834 381282695 /nfs/dbraw/zinc/28/26/95/381282695.db2.gz OQQXPQXJUTZLMH-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ncccc2F)c(F)c1 ZINC000359276164 381323822 /nfs/dbraw/zinc/32/38/22/381323822.db2.gz COVJNJONOCKLBU-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CCC1(NC(=O)COc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000296263546 381324795 /nfs/dbraw/zinc/32/47/95/381324795.db2.gz QPTGUKAJCXCUIA-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN Cc1cccnc1/C=C/C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000183823264 381326691 /nfs/dbraw/zinc/32/66/91/381326691.db2.gz FTGOPBGQOLFHBY-BQYQJAHWSA-N 0 0 283.287 2.950 20 5 CFBDRN C[C@H](CCCO)Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354565045 381342195 /nfs/dbraw/zinc/34/21/95/381342195.db2.gz CHDOQKGUPMYXHJ-MRVPVSSYSA-N 0 0 290.266 2.769 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccc2scnc2c1 ZINC000347748163 381330523 /nfs/dbraw/zinc/33/05/23/381330523.db2.gz BFPUQPPEMMJDHC-UHFFFAOYSA-N 0 0 289.320 2.550 20 5 CFBDRN C[C@@H]1CCCCN1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000112963511 296321082 /nfs/dbraw/zinc/32/10/82/296321082.db2.gz PRQPBWHVHFYNIV-SNVBAGLBSA-N 0 0 289.335 2.858 20 5 CFBDRN CC(C)[C@@H]1CCC[C@@H](NC(=O)c2[nH]ncc2[N+](=O)[O-])CC1 ZINC000183889037 381335788 /nfs/dbraw/zinc/33/57/88/381335788.db2.gz UZMKZFKHXACAEM-GHMZBOCLSA-N 0 0 294.355 2.653 20 5 CFBDRN Cc1ccn(C[C@H]2CCc3ccccc3C2)c(=O)c1[N+](=O)[O-] ZINC000354514514 381313979 /nfs/dbraw/zinc/31/39/79/381313979.db2.gz YJZDVYLCHADTGF-ZDUSSCGKSA-N 0 0 298.342 2.870 20 5 CFBDRN CCC1(CNC(=O)CSc2ccccc2[N+](=O)[O-])CC1 ZINC000342409208 381316118 /nfs/dbraw/zinc/31/61/18/381316118.db2.gz JMIPDZDWKFKUCU-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCc2c1cccc2F ZINC000183775413 381319683 /nfs/dbraw/zinc/31/96/83/381319683.db2.gz IIVBTGFPRVMLNX-UHFFFAOYSA-N 0 0 292.291 2.998 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354576823 381347754 /nfs/dbraw/zinc/34/77/54/381347754.db2.gz WNJYKJRXIFNYEU-YUMQZZPRSA-N 0 0 290.266 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CCO)CCCC2)c([N+](=O)[O-])c1 ZINC000288855640 197179656 /nfs/dbraw/zinc/17/96/56/197179656.db2.gz DPWKVEKDIPPCOE-UHFFFAOYSA-N 0 0 295.295 2.610 20 5 CFBDRN CCOc1cccc(NC[C@@H](O)[C@H](C)CC)c1[N+](=O)[O-] ZINC000184115880 381372709 /nfs/dbraw/zinc/37/27/09/381372709.db2.gz CUEJTHFPINTOTJ-ZYHUDNBSSA-N 0 0 282.340 2.812 20 5 CFBDRN CSc1cccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])c1C ZINC000342524504 381375043 /nfs/dbraw/zinc/37/50/43/381375043.db2.gz IOUAQRSSWNBBAS-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN CCC[C@@H](OC)c1noc(-c2ccc([N+](=O)[O-])c([O-])c2)n1 ZINC000296458908 381404763 /nfs/dbraw/zinc/40/47/63/381404763.db2.gz NQENGYVFSFLYOD-LLVKDONJSA-N 0 0 293.279 2.838 20 5 CFBDRN CCn1cc(CCOc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000347907251 381407640 /nfs/dbraw/zinc/40/76/40/381407640.db2.gz VFLONNPLHRMUOK-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCCC[C@H]2F)ccc1[N+](=O)[O-] ZINC000412698878 381408455 /nfs/dbraw/zinc/40/84/55/381408455.db2.gz YHOWAGWGHIHTEA-VXGBXAGGSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1c(C)c(C)c(Cn2ncc([N+](=O)[O-])c2N)c(C)c1C ZINC000359440636 381415241 /nfs/dbraw/zinc/41/52/41/381415241.db2.gz QZMPIWQXKBVEJF-UHFFFAOYSA-N 0 0 288.351 2.964 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N[C@@H]1C=CCCC1 ZINC000342533215 381380367 /nfs/dbraw/zinc/38/03/67/381380367.db2.gz WJLIMZPETBSAPY-SECBINFHSA-N 0 0 296.273 2.640 20 5 CFBDRN COc1cc(CN(C)CCSC)c([N+](=O)[O-])cc1F ZINC000184237485 381389511 /nfs/dbraw/zinc/38/95/11/381389511.db2.gz SOVHHLDSXPJUBY-UHFFFAOYSA-N 0 0 288.344 2.537 20 5 CFBDRN CC(=O)c1cc(NC[C@@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000342546054 381389863 /nfs/dbraw/zinc/38/98/63/381389863.db2.gz RLPSGUXIPICKPQ-CQSZACIVSA-N 0 0 278.308 2.778 20 5 CFBDRN Cc1c(Nc2c(F)cccc2[N+](=O)[O-])cnn1C ZINC000342550018 381391749 /nfs/dbraw/zinc/39/17/49/381391749.db2.gz OKBGIHHBWRJXIS-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN COCC1CCC(NC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000412682454 381400095 /nfs/dbraw/zinc/40/00/95/381400095.db2.gz AOJTWZPWOBYRQO-UHFFFAOYSA-N 0 0 298.364 2.591 20 5 CFBDRN Cc1cccnc1N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000347895416 381402289 /nfs/dbraw/zinc/40/22/89/381402289.db2.gz BEBVCFLADKMOFO-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN Cc1ncsc1CN1CCc2cc([N+](=O)[O-])ccc21 ZINC000290494259 381450299 /nfs/dbraw/zinc/45/02/99/381450299.db2.gz JPUOOVDDXXRVMH-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000347948667 381429040 /nfs/dbraw/zinc/42/90/40/381429040.db2.gz AEHPVEBICDYVPL-RNCFNFMXSA-N 0 0 296.298 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccc(F)cc2)cc1 ZINC000359487414 381430797 /nfs/dbraw/zinc/43/07/97/381430797.db2.gz LOOMYLMNXWVCHM-AWEZNQCLSA-N 0 0 276.267 2.879 20 5 CFBDRN CC1(C)C[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347952034 381431134 /nfs/dbraw/zinc/43/11/34/381431134.db2.gz FTTFVUBGLRTZHR-JTQLQIEISA-N 0 0 252.245 2.719 20 5 CFBDRN O=C(CCc1nccs1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347951300 381431288 /nfs/dbraw/zinc/43/12/88/381431288.db2.gz FPKZRZPNZCEBGA-UHFFFAOYSA-N 0 0 295.295 2.762 20 5 CFBDRN Cc1cccc(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000184558140 381433059 /nfs/dbraw/zinc/43/30/59/381433059.db2.gz KKYHLXYTAHEVPZ-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN O=C(NCc1nc2ccccc2o1)c1ccc([N+](=O)[O-])cc1 ZINC000354749445 381435366 /nfs/dbraw/zinc/43/53/66/381435366.db2.gz RULJPGKYCGFSEI-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC12CCC2 ZINC000370610320 381476451 /nfs/dbraw/zinc/47/64/51/381476451.db2.gz LMAFPHZTWUBKIR-LLVKDONJSA-N 0 0 289.335 2.642 20 5 CFBDRN Cc1coc2ccc(NC(=O)c3cc([N+](=O)[O-])n[nH]3)cc12 ZINC000354834208 381478068 /nfs/dbraw/zinc/47/80/68/381478068.db2.gz DWOFYUASXUVTGY-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC[C@H]1c1ccccc1 ZINC000370537462 381463608 /nfs/dbraw/zinc/46/36/08/381463608.db2.gz APVPRYAYSDFVBY-HNNXBMFYSA-N 0 0 297.314 2.967 20 5 CFBDRN Cc1ocnc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000342727367 381503889 /nfs/dbraw/zinc/50/38/89/381503889.db2.gz GCFLLBFVHXAWAQ-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN Cc1ccc(C(=O)N2CCCOC(C)(C)C2)cc1[N+](=O)[O-] ZINC000370905786 381512031 /nfs/dbraw/zinc/51/20/31/381512031.db2.gz RNBBWZKBESBYKO-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCCOCC1CC1 ZINC000185022265 381514768 /nfs/dbraw/zinc/51/47/68/381514768.db2.gz INAVPOABBZLYSU-UHFFFAOYSA-N 0 0 255.245 2.539 20 5 CFBDRN CCOC(=O)[C@@H](CC)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296713720 381516459 /nfs/dbraw/zinc/51/64/59/381516459.db2.gz MHDCTYWZLUQCRT-GXFFZTMASA-N 0 0 280.324 2.587 20 5 CFBDRN COCCCCCOc1c(F)cccc1[N+](=O)[O-] ZINC000185026367 381517431 /nfs/dbraw/zinc/51/74/31/381517431.db2.gz UHYUQHKWPZFYFG-UHFFFAOYSA-N 0 0 257.261 2.929 20 5 CFBDRN Cc1nnc(N[C@H](C)c2cccc([N+](=O)[O-])c2)nc1C ZINC000359585646 381488977 /nfs/dbraw/zinc/48/89/77/381488977.db2.gz FPFXUATTYYJZFZ-SNVBAGLBSA-N 0 0 273.296 2.570 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CCC(F)(F)C1 ZINC000359586714 381490952 /nfs/dbraw/zinc/49/09/52/381490952.db2.gz WPIHBLLWUKVIJZ-LLVKDONJSA-N 0 0 298.289 2.689 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000290594854 381493994 /nfs/dbraw/zinc/49/39/94/381493994.db2.gz DGUSSFWRCWHONB-ZJUUUORDSA-N 0 0 275.308 2.978 20 5 CFBDRN CC/C=C\CCSCc1c([N+](=O)[O-])ncn1C ZINC000342754902 381519918 /nfs/dbraw/zinc/51/99/18/381519918.db2.gz VFHYHBJVKRAQKS-PLNGDYQASA-N 0 0 255.343 2.918 20 5 CFBDRN Cc1cc(COC(=O)CSC(F)F)ccc1[N+](=O)[O-] ZINC000296776666 381539034 /nfs/dbraw/zinc/53/90/34/381539034.db2.gz FYMSZCKWFFXGMY-UHFFFAOYSA-N 0 0 291.275 2.902 20 5 CFBDRN CC/C=C/CCn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000185126468 381541259 /nfs/dbraw/zinc/54/12/59/381541259.db2.gz CAYAGQTZGMOPNB-ONEGZZNKSA-N 0 0 273.292 2.661 20 5 CFBDRN CCc1ccc(OCc2cnc(C)cn2)c([N+](=O)[O-])c1 ZINC000359671221 381545623 /nfs/dbraw/zinc/54/56/23/381545623.db2.gz DBIHGTPUGWMKIG-UHFFFAOYSA-N 0 0 273.292 2.835 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000342796078 381547419 /nfs/dbraw/zinc/54/74/19/381547419.db2.gz ORZPLBAYPMWKMW-ADEWGFFLSA-N 0 0 279.340 2.770 20 5 CFBDRN Cc1nc(N2[C@H](C)C[C@H]3CCCC[C@H]32)ncc1[N+](=O)[O-] ZINC000296974242 381548223 /nfs/dbraw/zinc/54/82/23/381548223.db2.gz DIQQBTIROUKULF-YUSALJHKSA-N 0 0 276.340 2.851 20 5 CFBDRN COc1ccc(CCn2cc([N+](=O)[O-])c(C3CC3)n2)cc1 ZINC000185168591 381550736 /nfs/dbraw/zinc/55/07/36/381550736.db2.gz RRUUMSXIOSPDPW-UHFFFAOYSA-N 0 0 287.319 2.920 20 5 CFBDRN COc1cc(N[C@H](C)[C@H](C)OC)c(F)cc1[N+](=O)[O-] ZINC000290716040 381528728 /nfs/dbraw/zinc/52/87/28/381528728.db2.gz OYDYYJVRDQEQDQ-SFYZADRCSA-N 0 0 272.276 2.578 20 5 CFBDRN CC(C)[C@H](C)C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359648187 381533363 /nfs/dbraw/zinc/53/33/63/381533363.db2.gz OUASMJFFXZCWAL-NSHDSACASA-N 0 0 292.335 2.608 20 5 CFBDRN Cc1cc(N2C[C@@H](C)O[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000359714286 381574345 /nfs/dbraw/zinc/57/43/45/381574345.db2.gz JCYUGIGYLCFJSG-ABAIWWIYSA-N 0 0 276.336 2.907 20 5 CFBDRN Cc1cc(Oc2ncc(F)cn2)c(F)cc1[N+](=O)[O-] ZINC000359718584 381576205 /nfs/dbraw/zinc/57/62/05/381576205.db2.gz UBISIZZYQYWRSG-UHFFFAOYSA-N 0 0 267.191 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)C2CCCC2)cc1 ZINC000290864533 381578336 /nfs/dbraw/zinc/57/83/36/381578336.db2.gz RAHZIDTXZTVAHN-CYBMUJFWSA-N 0 0 250.298 2.558 20 5 CFBDRN COCCC(C)(C)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000342818248 381566123 /nfs/dbraw/zinc/56/61/23/381566123.db2.gz VFPLSSYZIVICAW-UHFFFAOYSA-N 0 0 296.352 2.827 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC[C@H](CC2CC2)C1 ZINC000359702753 381567466 /nfs/dbraw/zinc/56/74/66/381567466.db2.gz HEMWROZIQZQZMT-CQSZACIVSA-N 0 0 288.347 2.786 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000185335963 381601974 /nfs/dbraw/zinc/60/19/74/381601974.db2.gz UUIDDHFWVWLGHW-DGCLKSJQSA-N 0 0 276.336 2.784 20 5 CFBDRN CC/C=C/CNC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000298381298 381602170 /nfs/dbraw/zinc/60/21/70/381602170.db2.gz IXOMLDWYGYBUES-QPJJXVBHSA-N 0 0 291.351 2.745 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H](CO)C1CCCC1 ZINC000290891354 381587546 /nfs/dbraw/zinc/58/75/46/381587546.db2.gz UCJXQWJWDNXNSV-LBPRGKRZSA-N 0 0 268.288 2.697 20 5 CFBDRN O=C(C1=CCCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000348484090 381589544 /nfs/dbraw/zinc/58/95/44/381589544.db2.gz KTSZHHIDWMBCAJ-UHFFFAOYSA-N 0 0 258.277 2.594 20 5 CFBDRN Cc1cc(N[C@H](C)Cn2cccn2)c(F)cc1[N+](=O)[O-] ZINC000290902803 381590342 /nfs/dbraw/zinc/59/03/42/381590342.db2.gz FHSKLJHQCPWXAK-SNVBAGLBSA-N 0 0 278.287 2.739 20 5 CFBDRN Cc1cccc2c1OC[C@H](Nc1cc(N)ccc1[N+](=O)[O-])C2 ZINC000290912488 381594256 /nfs/dbraw/zinc/59/42/56/381594256.db2.gz WLNOXCHQDWVNEE-CYBMUJFWSA-N 0 0 299.330 2.901 20 5 CFBDRN O=C(Nc1cc2c(cn1)CCC2)c1csc([N+](=O)[O-])c1 ZINC000359751781 381594997 /nfs/dbraw/zinc/59/49/97/381594997.db2.gz OTRQWCRBIUUORG-UHFFFAOYSA-N 0 0 289.316 2.792 20 5 CFBDRN CCN(CC)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342868750 381595870 /nfs/dbraw/zinc/59/58/70/381595870.db2.gz QTQAUIUPHCIEPV-UHFFFAOYSA-N 0 0 261.281 2.558 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCCC2(CC2)C1 ZINC000185373939 381616819 /nfs/dbraw/zinc/61/68/19/381616819.db2.gz IXZJWXXKNKPPSS-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN COC(=O)CCCCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000290990503 381622953 /nfs/dbraw/zinc/62/29/53/381622953.db2.gz RQSBNJVSCFFYON-UHFFFAOYSA-N 0 0 284.287 2.798 20 5 CFBDRN CC(C)(CCc1nc2cc(F)c(F)cc2c(=O)[nH]1)[N+](=O)[O-] ZINC000290995792 381625700 /nfs/dbraw/zinc/62/57/00/381625700.db2.gz SIOZQSGMXNEFRI-UHFFFAOYSA-N 0 0 297.261 2.602 20 5 CFBDRN CC1(CCNc2cccc(S(C)(=O)=O)c2[N+](=O)[O-])CC1 ZINC000359837311 381634335 /nfs/dbraw/zinc/63/43/35/381634335.db2.gz BZCUZTNBHZBYNH-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN CC(=O)c1cc(N(C)C2CCC(O)CC2)ccc1[N+](=O)[O-] ZINC000342957972 381636429 /nfs/dbraw/zinc/63/64/29/381636429.db2.gz FWIWMHARPFSODW-UHFFFAOYSA-N 0 0 292.335 2.537 20 5 CFBDRN CC1(CCNc2ncnc3cccc([N+](=O)[O-])c32)CC1 ZINC000359849230 381640206 /nfs/dbraw/zinc/64/02/06/381640206.db2.gz TXYYHSJNABNSCZ-UHFFFAOYSA-N 0 0 272.308 2.562 20 5 CFBDRN O=C(CC1CC1)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000298473852 381641458 /nfs/dbraw/zinc/64/14/58/381641458.db2.gz UPTGMPPINIKWOC-UHFFFAOYSA-N 0 0 286.234 2.935 20 5 CFBDRN CSCCCCCNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000343035750 381655384 /nfs/dbraw/zinc/65/53/84/381655384.db2.gz UFLFKULJSDIIDJ-UHFFFAOYSA-N 0 0 297.380 2.639 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000414962937 381658070 /nfs/dbraw/zinc/65/80/70/381658070.db2.gz TVUZZNDYATUORU-UONOGXRCSA-N 0 0 297.314 2.756 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCCn1ccnc1C ZINC000298524009 381660671 /nfs/dbraw/zinc/66/06/71/381660671.db2.gz VULSWNFDECGZMY-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN Cc1ccc(N[C@H]2CCC[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000298521050 381661188 /nfs/dbraw/zinc/66/11/88/381661188.db2.gz IIXBNQMONYKTOR-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN COc1cccc(N(C)CCC2CCOCC2)c1[N+](=O)[O-] ZINC000185554245 381665750 /nfs/dbraw/zinc/66/57/50/381665750.db2.gz FWIXNXOBSDDGOF-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN Cc1cc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c(C)o1 ZINC000291105740 381669489 /nfs/dbraw/zinc/66/94/89/381669489.db2.gz SACUBLADKHZAEO-UHFFFAOYSA-N 0 0 279.296 2.934 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccon1 ZINC000185577170 381670779 /nfs/dbraw/zinc/67/07/79/381670779.db2.gz XPSAJVYHDNICSS-UHFFFAOYSA-N 0 0 296.670 2.558 20 5 CFBDRN CCCNc1ccccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000359873098 381651354 /nfs/dbraw/zinc/65/13/54/381651354.db2.gz IYSJHZUTNUXKAV-UHFFFAOYSA-N 0 0 288.307 2.997 20 5 CFBDRN Cc1nccn1CCCOc1ccc([N+](=O)[O-])cc1F ZINC000298499334 381652231 /nfs/dbraw/zinc/65/22/31/381652231.db2.gz HPTKLFZPAKPFQS-UHFFFAOYSA-N 0 0 279.271 2.708 20 5 CFBDRN Cc1ccc([C@H]2CCCN2Cc2c([N+](=O)[O-])ncn2C)o1 ZINC000371825854 381708396 /nfs/dbraw/zinc/70/83/96/381708396.db2.gz ZXVUQGWOWYDURX-LLVKDONJSA-N 0 0 290.323 2.567 20 5 CFBDRN CC1(C)CN(C(=O)Cc2ccccc2[N+](=O)[O-])[C@@H]1C1CC1 ZINC000291123847 381674796 /nfs/dbraw/zinc/67/47/96/381674796.db2.gz NOSCRLCGAATCSY-OAHLLOKOSA-N 0 0 288.347 2.784 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC[C@H]1C ZINC000359929797 381683915 /nfs/dbraw/zinc/68/39/15/381683915.db2.gz MPRFSHBVELTWQX-MNOVXSKESA-N 0 0 291.351 2.803 20 5 CFBDRN COc1ccc(COc2cccnc2C)cc1[N+](=O)[O-] ZINC000359930968 381686166 /nfs/dbraw/zinc/68/61/66/381686166.db2.gz GYLVCUPTSNOAMJ-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1cc(OCc2nc(C3CC3)no2)c(F)cc1[N+](=O)[O-] ZINC000185653039 381693333 /nfs/dbraw/zinc/69/33/33/381693333.db2.gz JFOYIXYSKGUIGZ-UHFFFAOYSA-N 0 0 293.254 2.882 20 5 CFBDRN CCCc1noc(COc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000185653438 381693586 /nfs/dbraw/zinc/69/35/86/381693586.db2.gz ZKOVYWHUYAJOIB-UHFFFAOYSA-N 0 0 295.270 2.957 20 5 CFBDRN CCCc1nc(COc2cc(C)c([N+](=O)[O-])cc2F)no1 ZINC000185653283 381693716 /nfs/dbraw/zinc/69/37/16/381693716.db2.gz CKDCPLLZHWYYMX-UHFFFAOYSA-N 0 0 295.270 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@@H](CO)C2)c(F)c1 ZINC000298636268 381695163 /nfs/dbraw/zinc/69/51/63/381695163.db2.gz QZBDGCAZCHLMLP-NXEZZACHSA-N 0 0 268.288 2.697 20 5 CFBDRN C=Cc1ccc(CCNc2ccncc2[N+](=O)[O-])cc1 ZINC000359954433 381698879 /nfs/dbraw/zinc/69/88/79/381698879.db2.gz NETGJTGYPRDWJM-UHFFFAOYSA-N 0 0 269.304 2.709 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000355246903 381701598 /nfs/dbraw/zinc/70/15/98/381701598.db2.gz WVDBQTLFSKPWKS-VIFPVBQESA-N 0 0 296.348 2.685 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000359965282 381702370 /nfs/dbraw/zinc/70/23/70/381702370.db2.gz YGAUHIIEXJLHAU-ZJUUUORDSA-N 0 0 278.308 2.978 20 5 CFBDRN CCc1csc(NCc2cc([N+](=O)[O-])ccc2OC)n1 ZINC000343428292 381705407 /nfs/dbraw/zinc/70/54/07/381705407.db2.gz BGCFIFFMZQNFQQ-UHFFFAOYSA-N 0 0 293.348 2.656 20 5 CFBDRN Cc1nnc(CCNc2ccc([N+](=O)[O-])cc2C)s1 ZINC000291273896 381732883 /nfs/dbraw/zinc/73/28/83/381732883.db2.gz ZZQJDDCMRQUJSM-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CN(CCCNc1ccc([N+](=O)[O-])cc1)CC(F)(F)F ZINC000298741436 381732842 /nfs/dbraw/zinc/73/28/42/381732842.db2.gz SDSQJPFYIROCJG-UHFFFAOYSA-N 0 0 291.273 2.891 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000355299385 381736365 /nfs/dbraw/zinc/73/63/65/381736365.db2.gz XDVWSTIKJIBSOP-JGVFFNPUSA-N 0 0 252.245 2.719 20 5 CFBDRN C[C@@H]1CCC[C@H](c2ccccc2)N1C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000372016705 381736519 /nfs/dbraw/zinc/73/65/19/381736519.db2.gz HWRVGAYQTNHERK-NMFUWQPSSA-N 0 0 288.347 2.794 20 5 CFBDRN O=C(Nc1cccc(C2CCC2)c1)c1n[nH]cc1[N+](=O)[O-] ZINC000291307160 381742800 /nfs/dbraw/zinc/74/28/00/381742800.db2.gz RJCYZPAIGWHQAJ-UHFFFAOYSA-N 0 0 286.291 2.838 20 5 CFBDRN CCOC(=O)[C@H]1CCCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000360048514 381749067 /nfs/dbraw/zinc/74/90/67/381749067.db2.gz WCHHONDEISXVRQ-LLVKDONJSA-N 0 0 296.298 2.574 20 5 CFBDRN Cc1cc(N(C)CCOCC2CC2)c(F)cc1[N+](=O)[O-] ZINC000360048997 381750895 /nfs/dbraw/zinc/75/08/95/381750895.db2.gz AMCSMCXGTKRIAP-UHFFFAOYSA-N 0 0 282.315 2.905 20 5 CFBDRN CSCC[C@H](CO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360049424 381751018 /nfs/dbraw/zinc/75/10/18/381751018.db2.gz DGWVCUKBQVDGHJ-SECBINFHSA-N 0 0 288.344 2.568 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000185857630 381759675 /nfs/dbraw/zinc/75/96/75/381759675.db2.gz SGSXJIWOLHKILI-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CCc1nnc(NCc2ccc([N+](=O)[O-])cc2C)s1 ZINC000185851605 381757119 /nfs/dbraw/zinc/75/71/19/381757119.db2.gz GDMCWNLYYWJAFA-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN COC1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000298725533 381726265 /nfs/dbraw/zinc/72/62/65/381726265.db2.gz MQMAUYRAQNBWSZ-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2(O)CCCC2)c2ncccc12 ZINC000349010987 381791777 /nfs/dbraw/zinc/79/17/77/381791777.db2.gz UVRVOIFDXLIOAO-UHFFFAOYSA-N 0 0 288.303 2.827 20 5 CFBDRN CSC[C@H]1CCCN(c2ncccc2[N+](=O)[O-])C1 ZINC000292249297 381796277 /nfs/dbraw/zinc/79/62/77/381796277.db2.gz KARBTIPCKFHLAR-JTQLQIEISA-N 0 0 267.354 2.569 20 5 CFBDRN CSC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000292267982 381798325 /nfs/dbraw/zinc/79/83/25/381798325.db2.gz LLDVJAWVZKYKQF-LLVKDONJSA-N 0 0 281.381 2.878 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@H]1CCc2ccccc21 ZINC000298847325 381765595 /nfs/dbraw/zinc/76/55/95/381765595.db2.gz DILYQBMBEOGKKD-CYBMUJFWSA-N 0 0 285.303 2.682 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cnn(C(F)F)c2)c1 ZINC000343519528 381769473 /nfs/dbraw/zinc/76/94/73/381769473.db2.gz TXOVHTDGPYJQFH-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccsc3)C2)cn1 ZINC000343520457 381769689 /nfs/dbraw/zinc/76/96/89/381769689.db2.gz CMAMWLSTXODFBT-LBPRGKRZSA-N 0 0 291.332 2.629 20 5 CFBDRN CCc1nnc(NC(=O)c2c(C)cccc2[N+](=O)[O-])s1 ZINC000185903390 381773047 /nfs/dbraw/zinc/77/30/47/381773047.db2.gz NPECFPHGVNFDLY-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1nc(C2CC2)no1 ZINC000185906096 381774842 /nfs/dbraw/zinc/77/48/42/381774842.db2.gz ULIMDMWSQGPFRF-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN O=C(NCC1CCCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000298894584 381776740 /nfs/dbraw/zinc/77/67/40/381776740.db2.gz UXQFJDQMIJELBF-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2nccnc2C)c1 ZINC000292754437 381821165 /nfs/dbraw/zinc/82/11/65/381821165.db2.gz JXFSZMTZWBGIAG-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1cccnc1 ZINC000372559520 381835181 /nfs/dbraw/zinc/83/51/81/381835181.db2.gz BTFUOCLIHLANNW-KBPBESRZSA-N 0 0 283.287 2.732 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000292789029 381837125 /nfs/dbraw/zinc/83/71/25/381837125.db2.gz MKFXCTUKKVYSCH-ZDUSSCGKSA-N 0 0 250.298 2.740 20 5 CFBDRN CC(C)CCN(CCC(C)C)C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000349169289 381837539 /nfs/dbraw/zinc/83/75/39/381837539.db2.gz CDBYUOGGQOWAGH-UHFFFAOYSA-N 0 0 296.371 2.852 20 5 CFBDRN CCC1(CNC(=O)c2cccc([N+](=O)[O-])c2OC)CC1 ZINC000356270866 381838499 /nfs/dbraw/zinc/83/84/99/381838499.db2.gz AGPSJOXUFAVBKG-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1cc(NCCc2nccnc2C)c(F)cc1[N+](=O)[O-] ZINC000292805535 381841505 /nfs/dbraw/zinc/84/15/05/381841505.db2.gz NRZSOJAQHOZPEP-UHFFFAOYSA-N 0 0 290.298 2.795 20 5 CFBDRN CCC1(C)CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000292350290 381801075 /nfs/dbraw/zinc/80/10/75/381801075.db2.gz OFEPQSNADNJBHO-UHFFFAOYSA-N 0 0 265.269 2.739 20 5 CFBDRN CO[C@@](C)(CNc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000292448320 381805791 /nfs/dbraw/zinc/80/57/91/381805791.db2.gz WNDZIQJBAYCIMP-ZDUSSCGKSA-N 0 0 250.298 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCc1ccc(F)c(F)c1 ZINC000349193883 381843839 /nfs/dbraw/zinc/84/38/39/381843839.db2.gz WTGQQKSOKMOFKS-UHFFFAOYSA-N 0 0 294.257 2.586 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000186055436 381812697 /nfs/dbraw/zinc/81/26/97/381812697.db2.gz GUCRMIURNCYCFX-ZFWWWQNUSA-N 0 0 276.336 2.893 20 5 CFBDRN CCN(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(C)C ZINC000299072976 381816370 /nfs/dbraw/zinc/81/63/70/381816370.db2.gz QZUQTUKFQIPDTQ-UHFFFAOYSA-N 0 0 275.308 2.947 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc[nH]1 ZINC000186314462 381879326 /nfs/dbraw/zinc/87/93/26/381879326.db2.gz NGGMESQUVVTINH-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1ccccn1 ZINC000186332812 381883052 /nfs/dbraw/zinc/88/30/52/381883052.db2.gz XLZIEHDPWBIFOH-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000299164863 381847562 /nfs/dbraw/zinc/84/75/62/381847562.db2.gz UGWUYLMUHRACII-JTQLQIEISA-N 0 0 268.700 2.777 20 5 CFBDRN CC(C)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186339495 381885782 /nfs/dbraw/zinc/88/57/82/381885782.db2.gz QOEVJSPPHXOBKK-UHFFFAOYSA-N 0 0 256.689 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1cc(F)ccc1Cl ZINC000349222566 381852965 /nfs/dbraw/zinc/85/29/65/381852965.db2.gz ZFMQVJIVJODKHP-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN Cc1c(CN[C@H](C)c2ccon2)cccc1[N+](=O)[O-] ZINC000349234521 381855403 /nfs/dbraw/zinc/85/54/03/381855403.db2.gz DXDHCGOPHPEWFX-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000361961185 381874629 /nfs/dbraw/zinc/87/46/29/381874629.db2.gz GDZGBZRHTUMIDZ-GHMZBOCLSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1cc(NC[C@]2(O)CCCC2(C)C)ncc1[N+](=O)[O-] ZINC000292907773 381897403 /nfs/dbraw/zinc/89/74/03/381897403.db2.gz XDQJLGYMSCTPOU-CQSZACIVSA-N 0 0 279.340 2.651 20 5 CFBDRN CCc1nn(C)c(N2CC3(CCC3)C[C@H]2C)c1[N+](=O)[O-] ZINC000373025161 381911064 /nfs/dbraw/zinc/91/10/64/381911064.db2.gz YXCCCZPNHPTMAA-SNVBAGLBSA-N 0 0 278.356 2.660 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCCOC(C)C ZINC000186495005 381926670 /nfs/dbraw/zinc/92/66/70/381926670.db2.gz KAPKEVAMQSSZJU-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN CC(C)[C@@H](CO)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000343760395 381929338 /nfs/dbraw/zinc/92/93/38/381929338.db2.gz IKEMKARXXNPQNU-LLVKDONJSA-N 0 0 289.335 2.819 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1C[C@H]1C(F)F)CCN2 ZINC000343814029 381958911 /nfs/dbraw/zinc/95/89/11/381958911.db2.gz UYABGWCNVFTOHB-VXNVDRBHSA-N 0 0 269.251 2.628 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2C[C@H]2C(F)F)n1 ZINC000343816561 381960386 /nfs/dbraw/zinc/96/03/86/381960386.db2.gz XIRGILIDFBKJPJ-SFYZADRCSA-N 0 0 257.240 2.672 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H]2COc3cccc(F)c32)cc1 ZINC000373284257 381969511 /nfs/dbraw/zinc/96/95/11/381969511.db2.gz KQTJHZFOGNUZSA-CYBMUJFWSA-N 0 0 288.278 2.957 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@H](CO)c2ccsc2)cs1 ZINC000349611312 381971814 /nfs/dbraw/zinc/97/18/14/381971814.db2.gz NMRAMSSVXNLUHS-SNVBAGLBSA-N 0 0 284.362 2.541 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@@H](n2cccn2)C1 ZINC000292980475 381934011 /nfs/dbraw/zinc/93/40/11/381934011.db2.gz HVBYYUXOSJZYJS-SNVBAGLBSA-N 0 0 292.726 2.896 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000343801747 381949832 /nfs/dbraw/zinc/94/98/32/381949832.db2.gz SBTSSYIVLDFVHT-SNVBAGLBSA-N 0 0 280.372 2.763 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3C[C@H]3C(F)F)cc2N1 ZINC000343806247 381953613 /nfs/dbraw/zinc/95/36/13/381953613.db2.gz KLRGYZUZEMSZRW-VXNVDRBHSA-N 0 0 297.261 2.545 20 5 CFBDRN Cc1sc(C(=O)N2CC3CCC2CC3)cc1[N+](=O)[O-] ZINC000362305603 382000722 /nfs/dbraw/zinc/00/07/22/382000722.db2.gz LLNIKKKUPZYAOU-UHFFFAOYSA-N 0 0 280.349 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nc([C@@H]3CCCO3)no2)cc1 ZINC000357106669 382010634 /nfs/dbraw/zinc/01/06/34/382010634.db2.gz PWHXBPFPDDMOGB-JECSTDCCSA-N 0 0 287.275 3.000 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC([C@@H]3CCOC3)C2)c1 ZINC000373468836 382011075 /nfs/dbraw/zinc/01/10/75/382011075.db2.gz WQSGQWZSJQKLAT-SNVBAGLBSA-N 0 0 296.754 2.717 20 5 CFBDRN Cc1cc(NC[C@@H](C)O)c([N+](=O)[O-])cc1C(F)(F)F ZINC000293155000 382011667 /nfs/dbraw/zinc/01/16/67/382011667.db2.gz UFHGEMPYJHHRGT-SSDOTTSWSA-N 0 0 278.230 2.715 20 5 CFBDRN COc1ccc(NC(=O)NC[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416985767 381981108 /nfs/dbraw/zinc/98/11/08/381981108.db2.gz DJRFQQQRQNZMAW-VIFPVBQESA-N 0 0 291.307 2.525 20 5 CFBDRN CCCNC(=O)CCCNc1cccc([N+](=O)[O-])c1C ZINC000417000698 381983575 /nfs/dbraw/zinc/98/35/75/381983575.db2.gz ZATDUEXGJKLRDB-UHFFFAOYSA-N 0 0 279.340 2.622 20 5 CFBDRN CCCN(CC)c1ncc([N+](=O)[O-])cc1Br ZINC000362265652 381983666 /nfs/dbraw/zinc/98/36/66/381983666.db2.gz JLRVSIXYIBYRBH-UHFFFAOYSA-N 0 0 288.145 2.989 20 5 CFBDRN Cc1ccc2c(CCNc3c([N+](=O)[O-])ncn3C)c[nH]c2c1 ZINC000299563957 381985322 /nfs/dbraw/zinc/98/53/22/381985322.db2.gz NBEUKKFBHLRBQI-UHFFFAOYSA-N 0 0 299.334 2.773 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]2C(F)F)c([N+](=O)[O-])cc1F ZINC000343863639 381988522 /nfs/dbraw/zinc/98/85/22/381988522.db2.gz PYLVFJUOKFLVIW-IYSWYEEDSA-N 0 0 276.214 2.808 20 5 CFBDRN C[C@H](O)C[C@H]1CCCN1c1c(Cl)cccc1[N+](=O)[O-] ZINC000293105806 381992762 /nfs/dbraw/zinc/99/27/62/381992762.db2.gz PFXBFNFUTLNZGU-VHSXEESVSA-N 0 0 284.743 2.988 20 5 CFBDRN C[C@@H]1CCN(CC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000299593436 381995400 /nfs/dbraw/zinc/99/54/00/381995400.db2.gz FFCFHYHUYCIXGJ-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H]3CCCCC[C@H]32)s1 ZINC000374413411 382045926 /nfs/dbraw/zinc/04/59/26/382045926.db2.gz CFZLSKWRPXTCBC-RKDXNWHRSA-N 0 0 253.327 2.820 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000374451804 382046930 /nfs/dbraw/zinc/04/69/30/382046930.db2.gz WHXQQZGNBNWZSH-LNLATYFQSA-N 0 0 294.282 2.548 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@H]2C(F)F)n1 ZINC000343984568 382048568 /nfs/dbraw/zinc/04/85/68/382048568.db2.gz VXJANAGGUOMJFS-SECBINFHSA-N 0 0 271.267 2.841 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000186973267 382062293 /nfs/dbraw/zinc/06/22/93/382062293.db2.gz GYWKLNCZEOOLNJ-CHWSQXEVSA-N 0 0 262.309 2.850 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCOCC3(CCC3)C2)c(F)c1 ZINC000375198431 382070351 /nfs/dbraw/zinc/07/03/51/382070351.db2.gz WNSDJZOORLPSHU-UHFFFAOYSA-N 0 0 298.289 2.880 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCOC[C@@H]1C ZINC000362344594 382018068 /nfs/dbraw/zinc/01/80/68/382018068.db2.gz GWBQFGOKNVNWIC-GWCFXTLKSA-N 0 0 292.335 2.768 20 5 CFBDRN C[C@H](CCNC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC000299665175 382020803 /nfs/dbraw/zinc/02/08/03/382020803.db2.gz YQGUEOFQZDJBCQ-LLVKDONJSA-N 0 0 287.319 2.847 20 5 CFBDRN CC(=O)c1ccc(NCC2(O)CCCCC2)c([N+](=O)[O-])c1 ZINC000299664918 382021055 /nfs/dbraw/zinc/02/10/55/382021055.db2.gz YKAVYNIUUFEFPC-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2C[C@H]2C2CC2)c1 ZINC000362373562 382034449 /nfs/dbraw/zinc/03/44/49/382034449.db2.gz MQQBCOOLOZTUTK-QWRGUYRKSA-N 0 0 276.292 2.592 20 5 CFBDRN C[C@@H]1C(=O)CC[C@@H]1CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000357166295 382034921 /nfs/dbraw/zinc/03/49/21/382034921.db2.gz JBBIVEPAMIJHRW-DTWKUNHWSA-N 0 0 294.282 2.678 20 5 CFBDRN COc1cccc(NCCc2cc(C)no2)c1[N+](=O)[O-] ZINC000343957460 382035022 /nfs/dbraw/zinc/03/50/22/382035022.db2.gz DKFIEMNHERJTKR-UHFFFAOYSA-N 0 0 277.280 2.554 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@H]1C ZINC000343965925 382039272 /nfs/dbraw/zinc/03/92/72/382039272.db2.gz RXFMDHAWXGOTIC-NXEZZACHSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCOC[C@@H]1c1ccco1 ZINC000375785664 382101959 /nfs/dbraw/zinc/10/19/59/382101959.db2.gz BJVCRNVPNGLWJC-CQSZACIVSA-N 0 0 288.303 2.761 20 5 CFBDRN COc1cc(CN(C)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000351976447 382102230 /nfs/dbraw/zinc/10/22/30/382102230.db2.gz BFISXSALWYLCQQ-UHFFFAOYSA-N 0 0 276.292 2.828 20 5 CFBDRN C[C@H]1CN(Cc2c(F)cc([N+](=O)[O-])cc2F)[C@H]1C ZINC000293384592 382102746 /nfs/dbraw/zinc/10/27/46/382102746.db2.gz KCOSIGXRYDOQQI-YUMQZZPRSA-N 0 0 256.252 2.713 20 5 CFBDRN CNC(=O)c1ccc(CNc2c(C)cccc2[N+](=O)[O-])cc1 ZINC000301192023 382103297 /nfs/dbraw/zinc/10/32/97/382103297.db2.gz SDTMSQXHRJWVCL-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCc1cccc(C(N)=O)c1 ZINC000301194952 382103334 /nfs/dbraw/zinc/10/33/34/382103334.db2.gz TXPAGBPREPXRJQ-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCCc3ccccc3)c21 ZINC000357321774 382107124 /nfs/dbraw/zinc/10/71/24/382107124.db2.gz GTYCMBYNKVUBRF-UHFFFAOYSA-N 0 0 294.314 2.615 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(/C=C\C3CCC3)n2)nc1 ZINC000357323909 382109444 /nfs/dbraw/zinc/10/94/44/382109444.db2.gz HQXLKSNIQDQQDU-DAXSKMNVSA-N 0 0 286.291 2.777 20 5 CFBDRN C[C@H]1CN(Cc2c(Cl)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000163268700 322374448 /nfs/dbraw/zinc/37/44/48/322374448.db2.gz VANJVNGKEHEHGL-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccnc(N2CCC[C@@]3(CCCCO3)C2)c1[N+](=O)[O-] ZINC000375930733 382113747 /nfs/dbraw/zinc/11/37/47/382113747.db2.gz IXYFXNZBMXXXEO-HNNXBMFYSA-N 0 0 291.351 2.838 20 5 CFBDRN Cc1cccc(N2CC[C@H]3OCC[C@H]3C2)c1[N+](=O)[O-] ZINC000376041299 382117385 /nfs/dbraw/zinc/11/73/85/382117385.db2.gz GDFXMDISMRKHOS-WCQYABFASA-N 0 0 262.309 2.518 20 5 CFBDRN Cc1ccnc(N(C)Cc2ccc(Cl)nc2)c1[N+](=O)[O-] ZINC000301248687 382120166 /nfs/dbraw/zinc/12/01/66/382120166.db2.gz BMSYBNIWHGVMDH-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1ccc2c(c1)CCO2 ZINC000301249519 382121234 /nfs/dbraw/zinc/12/12/34/382121234.db2.gz COZASYXDJWMLGZ-UHFFFAOYSA-N 0 0 299.330 2.888 20 5 CFBDRN Cc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])c(N)n2)s1 ZINC000301074109 382074139 /nfs/dbraw/zinc/07/41/39/382074139.db2.gz HQDAUUWPNSGMKC-ZETCQYMHSA-N 0 0 279.325 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccn(-c3ccccc3)n2)nc1 ZINC000301107285 382082361 /nfs/dbraw/zinc/08/23/61/382082361.db2.gz SVHLXCSURHVLCR-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2C)O1 ZINC000362463012 382082591 /nfs/dbraw/zinc/08/25/91/382082591.db2.gz CZCVHTXXPBPSBT-MFKMUULPSA-N 0 0 278.308 2.799 20 5 CFBDRN C[C@H](CNc1ccccc1[N+](=O)[O-])Cn1cccn1 ZINC000301121229 382086530 /nfs/dbraw/zinc/08/65/30/382086530.db2.gz XBHXYDRGPCKVPZ-LLVKDONJSA-N 0 0 260.297 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(n3cccn3)CC2)cc1 ZINC000301131238 382088212 /nfs/dbraw/zinc/08/82/12/382088212.db2.gz YSVBUYQDRIMGLA-UHFFFAOYSA-N 0 0 272.308 2.633 20 5 CFBDRN CN(CC(C)(C)C)c1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000301144987 382091613 /nfs/dbraw/zinc/09/16/13/382091613.db2.gz IWRITVQQWMBUBQ-UHFFFAOYSA-N 0 0 290.323 2.726 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1CCC(=O)CC1 ZINC000344055137 382097196 /nfs/dbraw/zinc/09/71/96/382097196.db2.gz UZRCZDWQATVDJE-UHFFFAOYSA-N 0 0 290.319 2.909 20 5 CFBDRN Cc1c(NC(=O)N[C@H](C)c2ccon2)cccc1[N+](=O)[O-] ZINC000357443517 382173668 /nfs/dbraw/zinc/17/36/68/382173668.db2.gz NTEQTPGJBSUWHB-SECBINFHSA-N 0 0 290.279 2.774 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000187405856 382174011 /nfs/dbraw/zinc/17/40/11/382174011.db2.gz IKGRNDJQXAJWNN-FZMZJTMJSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1C[C@H](CO)CCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000344172762 382178475 /nfs/dbraw/zinc/17/84/75/382178475.db2.gz PNZCSBNCCCVCIU-GHMZBOCLSA-N 0 0 298.770 2.841 20 5 CFBDRN CCCn1nccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344177153 382181778 /nfs/dbraw/zinc/18/17/78/382181778.db2.gz IUKRCKYJUHRRGU-UHFFFAOYSA-N 0 0 292.270 2.593 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)N2C[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000293466147 382133156 /nfs/dbraw/zinc/13/31/56/382133156.db2.gz QODSMLWZRNTKEB-JLLWLGSASA-N 0 0 291.351 2.570 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC[C@H]1[C@@H]1CCCO1 ZINC000376392154 382134184 /nfs/dbraw/zinc/13/41/84/382134184.db2.gz QUTBECBPQGGAGX-JSGCOSHPSA-N 0 0 280.299 2.882 20 5 CFBDRN C[C@@H](CNc1cccc(F)c1[N+](=O)[O-])Cn1cccn1 ZINC000301283944 382135748 /nfs/dbraw/zinc/13/57/48/382135748.db2.gz UUGYIWLBVFKLQB-JTQLQIEISA-N 0 0 278.287 2.679 20 5 CFBDRN CCc1ccc(Oc2cc(C)nc3ncnn32)c([N+](=O)[O-])c1 ZINC000301285469 382137214 /nfs/dbraw/zinc/13/72/14/382137214.db2.gz LRRYQVQBEDSREF-UHFFFAOYSA-N 0 0 299.290 2.696 20 5 CFBDRN CC(C)Oc1ccc(Oc2ncc([N+](=O)[O-])c(N)n2)cc1 ZINC000301323796 382147267 /nfs/dbraw/zinc/14/72/67/382147267.db2.gz WPSMFEDBLSKYGA-UHFFFAOYSA-N 0 0 290.279 2.547 20 5 CFBDRN Cc1c(NC(=O)N[C@]2(C)CCCOC2)cccc1[N+](=O)[O-] ZINC000357399133 382152842 /nfs/dbraw/zinc/15/28/42/382152842.db2.gz CDDYPCQCAUMCTJ-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN CO[C@@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)(C)C ZINC000362645196 382184760 /nfs/dbraw/zinc/18/47/60/382184760.db2.gz HOEOQNVBADKOST-LBPRGKRZSA-N 0 0 298.314 2.525 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1F)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000301382044 382164794 /nfs/dbraw/zinc/16/47/94/382164794.db2.gz ZAWJPYHJLSKAHN-ZLKJLUDKSA-N 0 0 294.326 2.984 20 5 CFBDRN CC1(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)CCCC1 ZINC000357423589 382165273 /nfs/dbraw/zinc/16/52/73/382165273.db2.gz GHXOHGONKAKOPT-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H]2CCC(C)(C)O2)cc1[N+](=O)[O-] ZINC000187376720 382167488 /nfs/dbraw/zinc/16/74/88/382167488.db2.gz KKWDENDCWNAAQE-GFCCVEGCSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ncoc1C1CC1 ZINC000352102628 382171538 /nfs/dbraw/zinc/17/15/38/382171538.db2.gz PIDBBMCNZDMJES-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN CCc1nc(C)c(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000352102188 382171735 /nfs/dbraw/zinc/17/17/35/382171735.db2.gz JJLJHZCYQRNJPZ-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1nnc(C2CC2)[nH]1 ZINC000187398796 382173054 /nfs/dbraw/zinc/17/30/54/382173054.db2.gz TZWIDLWVDUJHQW-UHFFFAOYSA-N 0 0 273.296 2.511 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000344205772 382197540 /nfs/dbraw/zinc/19/75/40/382197540.db2.gz GFTQJKFWPZTLGN-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN CN(C(=O)c1csc(Cl)c1)c1ccc([N+](=O)[O-])nc1 ZINC000344216762 382203911 /nfs/dbraw/zinc/20/39/11/382203911.db2.gz VBBJBQLQNWQYIA-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN O=C(CCCn1cccc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000352183751 382210470 /nfs/dbraw/zinc/21/04/70/382210470.db2.gz HVIUFADWTCAAGL-UHFFFAOYSA-N 0 0 291.282 2.954 20 5 CFBDRN CN(C(=O)c1cccc2c1CCC2)c1ccc([N+](=O)[O-])nc1 ZINC000344227048 382213413 /nfs/dbraw/zinc/21/34/13/382213413.db2.gz SLCWDSGVAMQVTC-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](CCO)c1ccco1 ZINC000302223561 382219535 /nfs/dbraw/zinc/21/95/35/382219535.db2.gz PQYGQVYIHZGFFH-LLVKDONJSA-N 0 0 262.265 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC2CCCCC2)nc1 ZINC000419021656 382219600 /nfs/dbraw/zinc/21/96/00/382219600.db2.gz KMUFTBWAWQRENN-LBPRGKRZSA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1ccncc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344241125 382224777 /nfs/dbraw/zinc/22/47/77/382224777.db2.gz VLWVSZVBKABGIN-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1cccc(F)c1F ZINC000302234830 382224922 /nfs/dbraw/zinc/22/49/22/382224922.db2.gz UMYTXFVQFVTQQM-SSDOTTSWSA-N 0 0 280.234 2.836 20 5 CFBDRN Cc1nocc1CNCc1cc([N+](=O)[O-])ccc1Cl ZINC000293671963 382226400 /nfs/dbraw/zinc/22/64/00/382226400.db2.gz UWOKCWJWCJTONC-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN CCC1(CC)[C@H](Nc2ncc([N+](=O)[O-])cn2)[C@@H](C)[C@@H]1OC ZINC000302245162 382227850 /nfs/dbraw/zinc/22/78/50/382227850.db2.gz ZWJYIWQRGDTOOL-JLLWLGSASA-N 0 0 294.355 2.636 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](c1nc[nH]n1)c1ccccc1 ZINC000376924003 382192043 /nfs/dbraw/zinc/19/20/43/382192043.db2.gz DTZVFGCZBGZRMJ-AWEZNQCLSA-N 0 0 295.302 2.914 20 5 CFBDRN CO[C@@H]1CCCN(c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000364192657 382279718 /nfs/dbraw/zinc/27/97/18/382279718.db2.gz VXALBLXAVJGMRD-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN CSCCCN(C)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000357694543 382281736 /nfs/dbraw/zinc/28/17/36/382281736.db2.gz VKJUWVAAKYBRSE-UHFFFAOYSA-N 0 0 281.381 2.752 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@@H](COC(C)C)C1 ZINC000364211991 382283202 /nfs/dbraw/zinc/28/32/02/382283202.db2.gz ADJZQEAJMPCULJ-CQSZACIVSA-N 0 0 294.351 2.533 20 5 CFBDRN CC[C@@H](Nc1nc2ccccc2cc1[N+](=O)[O-])c1ncc[nH]1 ZINC000357695935 382283248 /nfs/dbraw/zinc/28/32/48/382283248.db2.gz JPKGYCHDVKYZLS-LLVKDONJSA-N 0 0 297.318 2.851 20 5 CFBDRN CC(C)=CCCNc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000302662491 382284606 /nfs/dbraw/zinc/28/46/06/382284606.db2.gz FHNXJGVXKHJUKW-UHFFFAOYSA-N 0 0 298.364 2.767 20 5 CFBDRN Cn1ccc2ccc(Nc3ncc([N+](=O)[O-])cn3)cc21 ZINC000302664335 382286701 /nfs/dbraw/zinc/28/67/01/382286701.db2.gz MHKRKAHZHFDBJY-UHFFFAOYSA-N 0 0 269.264 2.620 20 5 CFBDRN CN(C[C@H]1CCOC1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352355256 382286949 /nfs/dbraw/zinc/28/69/49/382286949.db2.gz HNSHHOOSNPTECJ-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000187668618 382239997 /nfs/dbraw/zinc/23/99/97/382239997.db2.gz AYANLMVIACBJPG-KOLCDFICSA-N 0 0 278.312 2.642 20 5 CFBDRN Cc1ccc(N(C)[C@@H](CO)CC(C)C)c([N+](=O)[O-])c1 ZINC000302351713 382242266 /nfs/dbraw/zinc/24/22/66/382242266.db2.gz DEXJFYSZQUPNOB-GFCCVEGCSA-N 0 0 266.341 2.746 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CCC[C@H]2CCO)c1 ZINC000302410807 382248229 /nfs/dbraw/zinc/24/82/29/382248229.db2.gz GVDDRESRHBYDMF-JOYOIKCWSA-N 0 0 298.314 2.706 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCC(C)(C)O1 ZINC000302443709 382250732 /nfs/dbraw/zinc/25/07/32/382250732.db2.gz QXLBLDDXMMLWAW-LLVKDONJSA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H]2CCO)c(F)c1 ZINC000302461528 382251865 /nfs/dbraw/zinc/25/18/65/382251865.db2.gz RODVJTDFNMSPGE-JOYOIKCWSA-N 0 0 268.288 2.697 20 5 CFBDRN O=c1cc(-c2nc(-c3cc([N+](=O)[O-])c[nH]3)cs2)cc[nH]1 ZINC000352267283 382254458 /nfs/dbraw/zinc/25/44/58/382254458.db2.gz SNSSHZZDQUPRIN-UHFFFAOYSA-N 0 0 288.288 2.814 20 5 CFBDRN Cc1cc(NCCc2cn[nH]c2)c2cccc([N+](=O)[O-])c2n1 ZINC000357624103 382255532 /nfs/dbraw/zinc/25/55/32/382255532.db2.gz UACKWTIQVWTIKB-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN CC1(CNC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000357626516 382256924 /nfs/dbraw/zinc/25/69/24/382256924.db2.gz YJGNGKMWAAFFOI-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1CC1(C)C ZINC000352278378 382259365 /nfs/dbraw/zinc/25/93/65/382259365.db2.gz LRAMGRUENNAGIS-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN CCOc1cc(NCCC(C)(C)O)ccc1[N+](=O)[O-] ZINC000302512475 382259809 /nfs/dbraw/zinc/25/98/09/382259809.db2.gz XIRBUCZBGWRFBE-UHFFFAOYSA-N 0 0 268.313 2.566 20 5 CFBDRN CCC[C@H](CNc1cccc(F)c1[N+](=O)[O-])OC ZINC000293765741 382269227 /nfs/dbraw/zinc/26/92/27/382269227.db2.gz VKSQRJNKWHNDOK-SECBINFHSA-N 0 0 256.277 2.961 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H]3OCCC[C@H]23)c([N+](=O)[O-])cc1F ZINC000302575276 382272306 /nfs/dbraw/zinc/27/23/06/382272306.db2.gz RMEJBFZFFPVSFK-JQEORGNBSA-N 0 0 296.298 2.722 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000302598906 382275441 /nfs/dbraw/zinc/27/54/41/382275441.db2.gz YCILGOQYOPPNFL-NWANDNLSSA-N 0 0 292.335 2.721 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1ccc(C2CC2)cc1 ZINC000357747998 382303994 /nfs/dbraw/zinc/30/39/94/382303994.db2.gz OOOWKIQLJZPKCZ-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN Cc1ccc(C[C@H](CO)Nc2ccc([N+](=O)[O-])cc2)cc1 ZINC000302732478 382305833 /nfs/dbraw/zinc/30/58/33/382305833.db2.gz XGEMBKMJFCJSFT-OAHLLOKOSA-N 0 0 286.331 2.919 20 5 CFBDRN CCOCC(C)(C)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000293850678 382306295 /nfs/dbraw/zinc/30/62/95/382306295.db2.gz ITQWCDLZAGYGOW-UHFFFAOYSA-N 0 0 286.353 2.510 20 5 CFBDRN Cc1coc(Sc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])n1 ZINC000302737325 382306991 /nfs/dbraw/zinc/30/69/91/382306991.db2.gz XGWIJVSNDGVQDD-UHFFFAOYSA-N 0 0 281.249 2.951 20 5 CFBDRN CCC1(CNc2ncc(C(=O)OC)cc2[N+](=O)[O-])CCC1 ZINC000302751224 382310533 /nfs/dbraw/zinc/31/05/33/382310533.db2.gz HGJGLEYHGTYAKF-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)C[C@H](C)O1 ZINC000352405625 382311738 /nfs/dbraw/zinc/31/17/38/382311738.db2.gz NNVXVIDDJPVLQG-WCQYABFASA-N 0 0 294.351 2.603 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000377658457 382312619 /nfs/dbraw/zinc/31/26/19/382312619.db2.gz KABKEJURYILFCP-TXEJJXNPSA-N 0 0 294.738 2.944 20 5 CFBDRN CCc1cc(=O)[nH]c(SCc2ccccc2[N+](=O)[O-])n1 ZINC000188102857 382344694 /nfs/dbraw/zinc/34/46/94/382344694.db2.gz XROTYGCGXMAFJX-UHFFFAOYSA-N 0 0 291.332 2.533 20 5 CFBDRN CCO[C@H]1C[C@](O)(CNc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000302784813 382317891 /nfs/dbraw/zinc/31/78/91/382317891.db2.gz VBZIGIXMBMSHOG-ZFWWWQNUSA-N 0 0 294.351 2.573 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CC[C@H](OC)C1 ZINC000302793517 382320820 /nfs/dbraw/zinc/32/08/20/382320820.db2.gz ZVOBBLWMGQWJRL-AAEUAGOBSA-N 0 0 280.324 2.721 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000302793550 382320899 /nfs/dbraw/zinc/32/08/99/382320899.db2.gz ZWRLERBSJNBTHP-RYUDHWBXSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1ccc(CN2CCO[C@H](C3CC3)C2)cc1[N+](=O)[O-] ZINC000364532729 382324662 /nfs/dbraw/zinc/32/46/62/382324662.db2.gz WODDLHUWVSRNKG-HNNXBMFYSA-N 0 0 276.336 2.514 20 5 CFBDRN Cc1cc(Sc2cccc(C(N)=O)c2)ncc1[N+](=O)[O-] ZINC000357798031 382326671 /nfs/dbraw/zinc/32/66/71/382326671.db2.gz GAUWYFXZDOSELO-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCc1ccc[nH]1 ZINC000352369059 382293307 /nfs/dbraw/zinc/29/33/07/382293307.db2.gz XBQVFJFIJLXCGJ-UHFFFAOYSA-N 0 0 282.303 2.548 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)[C@H]1C ZINC000293935368 382343486 /nfs/dbraw/zinc/34/34/86/382343486.db2.gz DUUYPCKCABKKOU-IUCAKERBSA-N 0 0 273.292 2.557 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000293829864 382295871 /nfs/dbraw/zinc/29/58/71/382295871.db2.gz APYFLBCBWQGGNN-SNVBAGLBSA-N 0 0 282.727 2.802 20 5 CFBDRN Cc1cc(C(=O)N2CCC3(CC3)CC2)c(N)c([N+](=O)[O-])c1 ZINC000377601840 382300481 /nfs/dbraw/zinc/30/04/81/382300481.db2.gz QXPBVXVPYJBOIC-UHFFFAOYSA-N 0 0 289.335 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccccc2OCCO)cc1 ZINC000303093623 382351026 /nfs/dbraw/zinc/35/10/26/382351026.db2.gz JESYORAHKSKCQX-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN C[C@@H]1CCC[C@]1(O)CNc1ccccc1[N+](=O)[O-] ZINC000293961580 382355799 /nfs/dbraw/zinc/35/57/99/382355799.db2.gz HFXPTAGYNRXLGR-MFKMUULPSA-N 0 0 250.298 2.558 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H]1CF ZINC000293993106 382369900 /nfs/dbraw/zinc/36/99/00/382369900.db2.gz HHHMRSGUZVATTM-VIFPVBQESA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1cc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)c(C)o1 ZINC000346474854 382378365 /nfs/dbraw/zinc/37/83/65/382378365.db2.gz XWOYCDCWGGMREU-QMMMGPOBSA-N 0 0 277.280 2.624 20 5 CFBDRN COc1cc(C[N@@H+]2C[C@@H]3CCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000352540156 382386654 /nfs/dbraw/zinc/38/66/54/382386654.db2.gz HSNFZZVZMUCATK-BETUJISGSA-N 0 0 276.336 2.835 20 5 CFBDRN CC[C@H](C)[C@H](CNc1nccc(C)c1[N+](=O)[O-])OC ZINC000294119365 382423973 /nfs/dbraw/zinc/42/39/73/382423973.db2.gz DNRWUTJUMVIOKI-ONGXEEELSA-N 0 0 267.329 2.771 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@H]1CF)c1ccc([N+](=O)[O-])cc1 ZINC000294123694 382425195 /nfs/dbraw/zinc/42/51/95/382425195.db2.gz KITZYPDRSCSBMS-ZDUSSCGKSA-N 0 0 294.326 2.833 20 5 CFBDRN CCc1ccc(C(=O)NCc2cc(C)ccn2)cc1[N+](=O)[O-] ZINC000294124349 382425263 /nfs/dbraw/zinc/42/52/63/382425263.db2.gz NQUPWCRISXJVBT-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000346586615 382425696 /nfs/dbraw/zinc/42/56/96/382425696.db2.gz YPZQHNJZSDOYTQ-QMMMGPOBSA-N 0 0 268.338 2.965 20 5 CFBDRN CC[C@@H](O)CNc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000420623784 382431730 /nfs/dbraw/zinc/43/17/30/382431730.db2.gz ILDMPXNIBXYQCF-LLVKDONJSA-N 0 0 282.340 2.955 20 5 CFBDRN CC[C@@H]1CN(c2nc(C)ccc2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000420635433 382436147 /nfs/dbraw/zinc/43/61/47/382436147.db2.gz URCKDEHLHYIBKW-VXGBXAGGSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H]3CCCC[C@H]32)cc([N+](=O)[O-])c1 ZINC000365778087 382441082 /nfs/dbraw/zinc/44/10/82/382441082.db2.gz IGTWWTKWWDBXRW-BXUZGUMPSA-N 0 0 274.320 2.918 20 5 CFBDRN CCCN1C[C@H](CNc2ccc([N+](=O)[O-])c(C)c2)CC1=O ZINC000420648126 382444402 /nfs/dbraw/zinc/44/44/02/382444402.db2.gz CUYUUOSFHGJNQB-LBPRGKRZSA-N 0 0 291.351 2.574 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc(F)c1F)N1CCC2(CCC2)C1 ZINC000378110509 382400050 /nfs/dbraw/zinc/40/00/50/382400050.db2.gz LPVXPTWBNIBZIW-UHFFFAOYSA-N 0 0 296.273 2.889 20 5 CFBDRN CC(C)=CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294064668 382400979 /nfs/dbraw/zinc/40/09/79/382400979.db2.gz KHKRXRHNBDDWDO-UHFFFAOYSA-N 0 0 262.309 2.912 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000357997785 382402205 /nfs/dbraw/zinc/40/22/05/382402205.db2.gz YXFVAUALEKARNY-NWDGAFQWSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCOC(F)(F)F)n1 ZINC000352582696 382404838 /nfs/dbraw/zinc/40/48/38/382404838.db2.gz CBWHVRXHYBOGKS-UHFFFAOYSA-N 0 0 279.218 2.555 20 5 CFBDRN CC(=O)c1ccc(NCCOC(F)(F)F)c([N+](=O)[O-])c1 ZINC000352590390 382407368 /nfs/dbraw/zinc/40/73/68/382407368.db2.gz YTLFBYHVYTTYCM-UHFFFAOYSA-N 0 0 292.213 2.746 20 5 CFBDRN COc1c(C(=O)NC[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000358019638 382411749 /nfs/dbraw/zinc/41/17/49/382411749.db2.gz RJGACCMQRYEXTF-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN COCCN(C[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])nc1 ZINC000358018434 382412310 /nfs/dbraw/zinc/41/23/10/382412310.db2.gz AFOLJEKCEJERGL-CYBMUJFWSA-N 0 0 291.351 2.799 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420603249 382420610 /nfs/dbraw/zinc/42/06/10/382420610.db2.gz PISOGNGXYFMGER-IUCAKERBSA-N 0 0 265.313 2.540 20 5 CFBDRN Cc1cccnc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294192900 382453360 /nfs/dbraw/zinc/45/33/60/382453360.db2.gz SNJKSUUZAXZVLS-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCn2cnc3ccccc32)cn1 ZINC000346653228 382455447 /nfs/dbraw/zinc/45/54/47/382455447.db2.gz JJWBKLFASMYDHH-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN C[C@@H]1C[C@H](NCc2ccccc2[N+](=O)[O-])c2nccn21 ZINC000294202539 382458324 /nfs/dbraw/zinc/45/83/24/382458324.db2.gz SZUUVBXFLHJNTD-PWSUYJOCSA-N 0 0 272.308 2.587 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)CCCO1 ZINC000294211280 382460884 /nfs/dbraw/zinc/46/08/84/382460884.db2.gz CQTKVBUPJGAOEG-JTQLQIEISA-N 0 0 298.364 2.543 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])OC ZINC000294249276 382477598 /nfs/dbraw/zinc/47/75/98/382477598.db2.gz PVAOCQPHNRPNMB-TVQRCGJNSA-N 0 0 298.314 2.525 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000308554169 382479437 /nfs/dbraw/zinc/47/94/37/382479437.db2.gz HXOSLFJQHSDVND-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN CCN(CC1CCC1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000358171975 382484851 /nfs/dbraw/zinc/48/48/51/382484851.db2.gz DOGXOVAGYDXCAP-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCCO[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000188992084 382520212 /nfs/dbraw/zinc/52/02/12/382520212.db2.gz XBFNKGMTVMYRMQ-MJVIPROJSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1cc(CN2CCC[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000353186914 382520394 /nfs/dbraw/zinc/52/03/94/382520394.db2.gz DUWUKJMLZIZMSM-LLVKDONJSA-N 0 0 286.278 2.833 20 5 CFBDRN Cc1cc(N[C@H](CO)CC(C)C)c(F)cc1[N+](=O)[O-] ZINC000382287311 382529348 /nfs/dbraw/zinc/52/93/48/382529348.db2.gz SWTGMIIPCZEAQQ-JTQLQIEISA-N 0 0 270.304 2.861 20 5 CFBDRN CCc1ccc(C(=O)NCc2ccncc2C)cc1[N+](=O)[O-] ZINC000188873727 382497382 /nfs/dbraw/zinc/49/73/82/382497382.db2.gz SFQKWBQZWYOUHH-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000353150544 382498144 /nfs/dbraw/zinc/49/81/44/382498144.db2.gz JJOAMLXSTWGKCO-SECBINFHSA-N 0 0 287.319 2.995 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CC=CCC1 ZINC000310897052 382499348 /nfs/dbraw/zinc/49/93/48/382499348.db2.gz ADSAFTNYLQIVFA-SNVBAGLBSA-N 0 0 250.302 2.640 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CCNc1c([N+](=O)[O-])ncn1C ZINC000358195081 382499425 /nfs/dbraw/zinc/49/94/25/382499425.db2.gz POZXTQDVRDJLNU-WDEREUQCSA-N 0 0 266.345 2.957 20 5 CFBDRN C[C@@H]1C(=O)CC[C@@H]1CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000279667594 194519859 /nfs/dbraw/zinc/51/98/59/194519859.db2.gz OKJQBPXWMHRYQV-VHSXEESVSA-N 0 0 276.292 2.539 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H]2C(F)F)cs1 ZINC000353175075 382513476 /nfs/dbraw/zinc/51/34/76/382513476.db2.gz RSVFAWKEYFVMBZ-MRVPVSSYSA-N 0 0 262.281 2.886 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000421444526 382539679 /nfs/dbraw/zinc/53/96/79/382539679.db2.gz MOUHWLFUKIKXDO-MJBXVCDLSA-N 0 0 288.347 2.690 20 5 CFBDRN C[C@H](NC(=O)[C@]12C[C@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000421445163 382539888 /nfs/dbraw/zinc/53/98/88/382539888.db2.gz KHBTXCJBSVCAOL-JVLSTEMRSA-N 0 0 274.320 2.962 20 5 CFBDRN COc1cc(NC[C@@H](C)CCCO)c([N+](=O)[O-])cc1F ZINC000294955542 382549524 /nfs/dbraw/zinc/54/95/24/382549524.db2.gz MLMKCZJFFIAABT-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN CN1Cc2ccccc2[C@@H](Nc2ncccc2[N+](=O)[O-])C1 ZINC000366762918 382549647 /nfs/dbraw/zinc/54/96/47/382549647.db2.gz YQDOSKVAWTUKHW-ZDUSSCGKSA-N 0 0 284.319 2.588 20 5 CFBDRN CCOc1cc(NC[C@H](C)CCCO)ccc1[N+](=O)[O-] ZINC000384648143 382556006 /nfs/dbraw/zinc/55/60/06/382556006.db2.gz YHEKYDSVUOVCCB-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000421500591 382560418 /nfs/dbraw/zinc/56/04/18/382560418.db2.gz DMNRPVOXFANUPX-YRGRVCCFSA-N 0 0 288.347 2.956 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@]1(C)CCO[C@H]1C ZINC000421505099 382562272 /nfs/dbraw/zinc/56/22/72/382562272.db2.gz HSKANBVOFMYBDM-NHYWBVRUSA-N 0 0 292.335 2.509 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000421510625 382565128 /nfs/dbraw/zinc/56/51/28/382565128.db2.gz NLFACZLBQWDHCX-MWLCHTKSSA-N 0 0 260.293 2.572 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)C1 ZINC000189212683 382567295 /nfs/dbraw/zinc/56/72/95/382567295.db2.gz ZNKRWZKDKZAXED-NSHDSACASA-N 0 0 292.335 2.784 20 5 CFBDRN CCc1nnc(CNc2ccc(Cl)cc2[N+](=O)[O-])[nH]1 ZINC000295060287 382571463 /nfs/dbraw/zinc/57/14/63/382571463.db2.gz YYARPNOLDBUHIZ-UHFFFAOYSA-N 0 0 281.703 2.541 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000358253069 382529940 /nfs/dbraw/zinc/52/99/40/382529940.db2.gz MYCCFGRTPISKFE-NSHDSACASA-N 0 0 296.371 2.527 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000346926564 382575283 /nfs/dbraw/zinc/57/52/83/382575283.db2.gz TYALVIMEXYFSSZ-MRVPVSSYSA-N 0 0 296.270 2.973 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)CC(F)(F)F ZINC000421431636 382534644 /nfs/dbraw/zinc/53/46/44/382534644.db2.gz PWMMAECKDYUOAY-UHFFFAOYSA-N 0 0 290.241 2.846 20 5 CFBDRN CCc1cccc(Cn2cc([N+](=O)[O-])c(C)cc2=O)c1 ZINC000189069262 382535152 /nfs/dbraw/zinc/53/51/52/382535152.db2.gz CNAVBACIWWHAAV-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)CC1 ZINC000421571574 382585123 /nfs/dbraw/zinc/58/51/23/382585123.db2.gz XRECLTHANURADH-UHFFFAOYSA-N 0 0 262.309 2.884 20 5 CFBDRN CCOCC(C)(C)NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421572288 382585182 /nfs/dbraw/zinc/58/51/82/382585182.db2.gz CBSHVHRBXFJOSD-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1c(C[N@H+]2C[C@H](C(=O)[O-])CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000397021687 382632384 /nfs/dbraw/zinc/63/23/84/382632384.db2.gz RSPAUSCFKLVSKK-ZWNOBZJWSA-N 0 0 292.335 2.588 20 5 CFBDRN Cn1ccc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000346957848 382586497 /nfs/dbraw/zinc/58/64/97/382586497.db2.gz AUTSDEQAIUHPSW-UHFFFAOYSA-N 0 0 287.319 2.598 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c2ncccc12)[C@@H](C)O ZINC000386791964 382592001 /nfs/dbraw/zinc/59/20/01/382592001.db2.gz AIEZSMATVMFPKP-KOLCDFICSA-N 0 0 275.308 2.714 20 5 CFBDRN CC[C@H](C)CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000421583212 382592756 /nfs/dbraw/zinc/59/27/56/382592756.db2.gz WYTNAQCGXTVXLS-VIFPVBQESA-N 0 0 250.298 2.679 20 5 CFBDRN CC(C)(C)CC[C@@H](CO)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000358407526 382602804 /nfs/dbraw/zinc/60/28/04/382602804.db2.gz GFWJMDVMOVXTBK-LBPRGKRZSA-N 0 0 294.351 2.512 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2C[C@@]2(C)C(C)C)cc1[N+](=O)[O-] ZINC000397340797 382633384 /nfs/dbraw/zinc/63/33/84/382633384.db2.gz COQUOSOVFBTABS-HIFRSBDPSA-N 0 0 291.351 2.801 20 5 CFBDRN CO[C@H]([C@H](C)Nc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000388248297 382604359 /nfs/dbraw/zinc/60/43/59/382604359.db2.gz UUHMKADLRZNKHL-IONNQARKSA-N 0 0 296.352 2.529 20 5 CFBDRN CO[C@H]1CC[C@H](NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000189435341 382608503 /nfs/dbraw/zinc/60/85/03/382608503.db2.gz HGTUGYBPEDOYDZ-JQWIXIFHSA-N 0 0 293.323 2.592 20 5 CFBDRN CCOc1ccccc1Cn1cc([N+](=O)[O-])c(C)n1 ZINC000295298977 382610360 /nfs/dbraw/zinc/61/03/60/382610360.db2.gz JWFAVPPKDYZTGJ-UHFFFAOYSA-N 0 0 261.281 2.547 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000189462532 382612588 /nfs/dbraw/zinc/61/25/88/382612588.db2.gz QBXPOTUXLJUOSC-QWRGUYRKSA-N 0 0 291.351 2.876 20 5 CFBDRN O=C(NCC(F)F)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000295305122 382612584 /nfs/dbraw/zinc/61/25/84/382612584.db2.gz NTIUSIIMERCRDI-UHFFFAOYSA-N 0 0 298.167 2.609 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)OC ZINC000295318818 382615218 /nfs/dbraw/zinc/61/52/18/382615218.db2.gz AVNGNVXRWOBLIO-HZMBPMFUSA-N 0 0 294.351 2.694 20 5 CFBDRN CCc1ccc(CC)c(NC(=O)c2cc([N+](=O)[O-])n[nH]2)c1 ZINC000353715873 382615461 /nfs/dbraw/zinc/61/54/61/382615461.db2.gz LNTVBZRUIJCWOD-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN CCOC(C)(C)CNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000189671788 382634276 /nfs/dbraw/zinc/63/42/76/382634276.db2.gz LSTOQZUPIAEEMY-MDZDMXLPSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H](C)O[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000353737094 382621357 /nfs/dbraw/zinc/62/13/57/382621357.db2.gz YKRNBOMNAPQMJM-QJPTWQEYSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1cccc(F)c1[N+](=O)[O-] ZINC000189598817 382628723 /nfs/dbraw/zinc/62/87/23/382628723.db2.gz FNQLRDNRWFTANW-QMMMGPOBSA-N 0 0 278.287 2.949 20 5 CFBDRN Cc1cc(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)cc(C)n1 ZINC000421564438 382581748 /nfs/dbraw/zinc/58/17/48/382581748.db2.gz ZIPVIYHOWYTOSB-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H](C)C(F)(F)F ZINC000421564725 382582461 /nfs/dbraw/zinc/58/24/61/382582461.db2.gz VXNADOATFDFDHC-MRVPVSSYSA-N 0 0 290.241 2.892 20 5 CFBDRN CC(C)COC1CCN(c2ncc([N+](=O)[O-])s2)CC1 ZINC000189976988 382648113 /nfs/dbraw/zinc/64/81/13/382648113.db2.gz XCSHFDWBGJXQQA-UHFFFAOYSA-N 0 0 285.369 2.693 20 5 CFBDRN CC(C)c1ccc(C(=O)N(C)CC(C)(C)O)cc1[N+](=O)[O-] ZINC000189978302 382648380 /nfs/dbraw/zinc/64/83/80/382648380.db2.gz ZOYPCXHPZJVNPQ-UHFFFAOYSA-N 0 0 294.351 2.561 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2COC3(CCCCC3)O2)s1 ZINC000189989899 382648947 /nfs/dbraw/zinc/64/89/47/382648947.db2.gz SHKOQKNYHUFOPZ-VIFPVBQESA-N 0 0 299.352 2.539 20 5 CFBDRN CCOC1CC(CCNC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000190027251 382650865 /nfs/dbraw/zinc/65/08/65/382650865.db2.gz GKOKOLPEVJMVGE-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN CCOC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000190979592 382687103 /nfs/dbraw/zinc/68/71/03/382687103.db2.gz XGHUWFVLCHMKNL-AWEZNQCLSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1nn(CCOc2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] ZINC000190125837 382654039 /nfs/dbraw/zinc/65/40/39/382654039.db2.gz LDCNXTUIWJWSOH-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@H]2CCCc3c[nH]nc32)cc1 ZINC000190141115 382654871 /nfs/dbraw/zinc/65/48/71/382654871.db2.gz PJKJUNSUVQERPX-ZDUSSCGKSA-N 0 0 273.292 2.912 20 5 CFBDRN Cc1ccc(NC(=O)[C@@]2(C)C[C@H]3CC[C@@H]2O3)cc1[N+](=O)[O-] ZINC000190264221 382659701 /nfs/dbraw/zinc/65/97/01/382659701.db2.gz BWTWCGDYWBNFJA-ZLDLUXBVSA-N 0 0 290.319 2.799 20 5 CFBDRN CCC(=O)CC[N@H+](Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000190314336 382661584 /nfs/dbraw/zinc/66/15/84/382661584.db2.gz ADWMJDDUZHRVMM-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN C[C@@H]1CSCC[N@H+](CCOc2cccc([N+](=O)[O-])c2)C1 ZINC000190421870 382667230 /nfs/dbraw/zinc/66/72/30/382667230.db2.gz DGQYORVSVQMMGV-LBPRGKRZSA-N 0 0 296.392 2.659 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ccc([N+](=O)[O-])cc2F)O1 ZINC000190639593 382675922 /nfs/dbraw/zinc/67/59/22/382675922.db2.gz XHGHIOLILDVETG-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN COc1ccc(OC[C@H](O)C2CCCCC2)cc1[N+](=O)[O-] ZINC000190668833 382677129 /nfs/dbraw/zinc/67/71/29/382677129.db2.gz ZBHAONMIAHMGDP-AWEZNQCLSA-N 0 0 295.335 2.923 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC[C@@H](C)O1 ZINC000190677803 382677487 /nfs/dbraw/zinc/67/74/87/382677487.db2.gz ALMOBZSNTKPHLR-PWSUYJOCSA-N 0 0 251.282 2.850 20 5 CFBDRN CCC(C)(C)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191025036 382688751 /nfs/dbraw/zinc/68/87/51/382688751.db2.gz NKJSQSHAYOYRFT-UHFFFAOYSA-N 0 0 267.281 2.945 20 5 CFBDRN CCOCCCNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191337540 382698551 /nfs/dbraw/zinc/69/85/51/382698551.db2.gz FDAJJXWGOODGDD-UHFFFAOYSA-N 0 0 295.339 2.760 20 5 CFBDRN COCC[C@@H](C)NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191342608 382698668 /nfs/dbraw/zinc/69/86/68/382698668.db2.gz KYNHLNIUZYGLLR-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN COCCCN(C)C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191355051 382698945 /nfs/dbraw/zinc/69/89/45/382698945.db2.gz MGHFMVKIPQVFRP-UHFFFAOYSA-N 0 0 295.339 2.712 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccoc1Cl ZINC000192396401 382708387 /nfs/dbraw/zinc/70/83/87/382708387.db2.gz PLLIGOWCHULKTB-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN CCCC[C@H](COC)Nc1ncc([N+](=O)[O-])s1 ZINC000192459013 382709158 /nfs/dbraw/zinc/70/91/58/382709158.db2.gz JFVZPIHVFRGIAN-MRVPVSSYSA-N 0 0 259.331 2.668 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2ncc([N+](=O)[O-])s2)C1(C)C ZINC000192473366 382709282 /nfs/dbraw/zinc/70/92/82/382709282.db2.gz ZFOMCYFSVDMFRU-WRWORJQWSA-N 0 0 271.342 2.667 20 5 CFBDRN C[C@](O)(CCNc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000192540724 382709923 /nfs/dbraw/zinc/70/99/23/382709923.db2.gz LNVOFEFNNQXOCO-ZDUSSCGKSA-N 0 0 293.348 2.761 20 5 CFBDRN COc1cccc(NCc2ncc(C)o2)c1[N+](=O)[O-] ZINC000192732945 382711624 /nfs/dbraw/zinc/71/16/24/382711624.db2.gz PBJUSGOITJCLQI-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])C12CCC2 ZINC000192795516 382712369 /nfs/dbraw/zinc/71/23/69/382712369.db2.gz GIZOTKOPHGLSAT-KGLIPLIRSA-N 0 0 291.351 2.937 20 5 CFBDRN CSc1ccc(C(=O)N(C)C(C)C)cc1[N+](=O)[O-] ZINC000192867823 382713184 /nfs/dbraw/zinc/71/31/84/382713184.db2.gz TTYSBGQDAGLVET-UHFFFAOYSA-N 0 0 268.338 2.797 20 5 CFBDRN CSc1ccc(C(=O)N(C)C2CC2)cc1[N+](=O)[O-] ZINC000193091928 382715669 /nfs/dbraw/zinc/71/56/69/382715669.db2.gz PNTRCZVLKWOPHN-UHFFFAOYSA-N 0 0 266.322 2.551 20 5 CFBDRN CCCN(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000193639120 382721805 /nfs/dbraw/zinc/72/18/05/382721805.db2.gz WBQQGKHYEZBAFX-UHFFFAOYSA-N 0 0 251.286 2.777 20 5 CFBDRN CCOC[C@H](Nc1ncc([N+](=O)[O-])s1)C(C)C ZINC000193823842 382726220 /nfs/dbraw/zinc/72/62/20/382726220.db2.gz XYWAIXRBRWKXNZ-QMMMGPOBSA-N 0 0 259.331 2.524 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336834362 382727973 /nfs/dbraw/zinc/72/79/73/382727973.db2.gz HZRZFWJFIABLLE-LURJTMIESA-N 0 0 294.204 2.805 20 5 CFBDRN O=C(Nc1ccc(=O)[nH]c1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000336843317 382732758 /nfs/dbraw/zinc/73/27/58/382732758.db2.gz WKWASJXNLRTOHI-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H]1CC[C@@H](C)O1 ZINC000191090564 382690986 /nfs/dbraw/zinc/69/09/86/382690986.db2.gz CUNQATLSGSDZIL-ZYHUDNBSSA-N 0 0 251.282 2.850 20 5 CFBDRN CCC[C@H](CCO)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000195266492 382765381 /nfs/dbraw/zinc/76/53/81/382765381.db2.gz NATXOKGKWOVYTH-LLVKDONJSA-N 0 0 293.367 2.773 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)c1nc[nH]n1 ZINC000219645740 382794590 /nfs/dbraw/zinc/79/45/90/382794590.db2.gz WAGGABCUBWACHJ-ZCFIWIBFSA-N 0 0 267.676 2.539 20 5 CFBDRN COc1ccccc1CCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000220109358 382794921 /nfs/dbraw/zinc/79/49/21/382794921.db2.gz NDDHOLHJEVUENX-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000220106831 382795012 /nfs/dbraw/zinc/79/50/12/382795012.db2.gz LQRRIKIHWAMGKK-GXSJLCMTSA-N 0 0 266.297 2.583 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@@H]2OC(F)F)s1 ZINC000336902405 382739634 /nfs/dbraw/zinc/73/96/34/382739634.db2.gz RHXJQELNUHXTDU-WDSKDSINSA-N 0 0 279.268 2.624 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCCC[C@@H]1OC(F)F ZINC000336928951 382743156 /nfs/dbraw/zinc/74/31/56/382743156.db2.gz ZKIBXKOOJXYMKE-SCZZXKLOSA-N 0 0 287.266 2.952 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCCC[C@H]1OC(F)F ZINC000336928950 382743216 /nfs/dbraw/zinc/74/32/16/382743216.db2.gz ZKIBXKOOJXYMKE-PSASIEDQSA-N 0 0 287.266 2.952 20 5 CFBDRN C[C@@H]1C[C@@H]1c1cc(NC(=O)c2ccc([N+](=O)[O-])s2)n[nH]1 ZINC000194584279 382748963 /nfs/dbraw/zinc/74/89/63/382748963.db2.gz ZZCGICLYAGRSBI-RQJHMYQMSA-N 0 0 292.320 2.755 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCO[C@H]1CCCCO1 ZINC000194711890 382752715 /nfs/dbraw/zinc/75/27/15/382752715.db2.gz ORCLSRRGYJUYKT-AWEZNQCLSA-N 0 0 297.307 2.525 20 5 CFBDRN COC(=O)Cc1cccc(Nc2ncc([N+](=O)[O-])s2)c1 ZINC000337027087 382753895 /nfs/dbraw/zinc/75/38/95/382753895.db2.gz WCIXRWJODPWACI-UHFFFAOYSA-N 0 0 293.304 2.510 20 5 CFBDRN CC1(NC(=O)Cc2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000194844427 382755325 /nfs/dbraw/zinc/75/53/25/382755325.db2.gz ILANDENJHBQUGL-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN COC(=O)c1ccc(Oc2ccc([N+](=O)[O-])nc2)cc1 ZINC000337280236 382793031 /nfs/dbraw/zinc/79/30/31/382793031.db2.gz VDSBIOFTXLRBDJ-UHFFFAOYSA-N 0 0 274.232 2.569 20 5 CFBDRN CCc1cnc(CNc2ccc([N+](=O)[O-])cc2F)o1 ZINC000228871053 382849445 /nfs/dbraw/zinc/84/94/45/382849445.db2.gz PSMIRNYDALXTOA-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CCc1cccnc1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000337629115 382850102 /nfs/dbraw/zinc/85/01/02/382850102.db2.gz LNMXNVOTHFATKL-UHFFFAOYSA-N 0 0 285.303 2.733 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2C(F)(F)F)CO1 ZINC000575984181 382806055 /nfs/dbraw/zinc/80/60/55/382806055.db2.gz PLAMADVXGABEFH-RQJHMYQMSA-N 0 0 291.229 2.598 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337351717 382808258 /nfs/dbraw/zinc/80/82/58/382808258.db2.gz WSYSMTWWNSKDGU-UHFFFAOYSA-N 0 0 278.283 2.654 20 5 CFBDRN CC(C)C[C@H](O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000224292361 382810172 /nfs/dbraw/zinc/81/01/72/382810172.db2.gz WXNSMUIUOACJPS-JTQLQIEISA-N 0 0 256.277 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCSC2)c(F)c1 ZINC000224405384 382811208 /nfs/dbraw/zinc/81/12/08/382811208.db2.gz UZIGWJBDOBPOBV-QMMMGPOBSA-N 0 0 256.302 2.899 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCOCC(C)C ZINC000224502667 382811294 /nfs/dbraw/zinc/81/12/94/382811294.db2.gz YSCNICBMMTURPH-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1CC2(CCC2)C1 ZINC000576161978 382812772 /nfs/dbraw/zinc/81/27/72/382812772.db2.gz UTOUTPZUEKPZKV-UHFFFAOYSA-N 0 0 274.320 2.791 20 5 CFBDRN COCCC1(CNc2nccc(C)c2[N+](=O)[O-])CC1 ZINC000225367458 382814597 /nfs/dbraw/zinc/81/45/97/382814597.db2.gz BDOITVMKFLGAPZ-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CCc1ccc(NC(=O)c2ccc([N+](=O)[O-])n2C)cc1F ZINC000337399553 382815200 /nfs/dbraw/zinc/81/52/00/382815200.db2.gz DDEHDRJWZOSBOW-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ccc([N+](=O)[O-])cc1F ZINC000225748118 382820922 /nfs/dbraw/zinc/82/09/22/382820922.db2.gz SGGDWTIDBOITQK-CYBMUJFWSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000226794041 382831703 /nfs/dbraw/zinc/83/17/03/382831703.db2.gz CZBVKWJZDWLFOS-GMSGAONNSA-N 0 0 268.700 2.777 20 5 CFBDRN CC1(C)[C@H](Nc2ccc(F)cc2[N+](=O)[O-])[C@H]2CCO[C@H]21 ZINC000227065923 382832686 /nfs/dbraw/zinc/83/26/86/382832686.db2.gz WJPXBDVJKGHXNK-OASPWFOLSA-N 0 0 280.299 2.959 20 5 CFBDRN CN(CCCCCO)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000227110583 382834011 /nfs/dbraw/zinc/83/40/11/382834011.db2.gz DTVXAVJEZHXICS-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN CCc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1F ZINC000337510566 382834461 /nfs/dbraw/zinc/83/44/61/382834461.db2.gz RDOZLKCYVDJHRU-UHFFFAOYSA-N 0 0 277.255 2.877 20 5 CFBDRN Cc1cc(NCC[C@@H]2CCCCO2)ncc1[N+](=O)[O-] ZINC000227317508 382835802 /nfs/dbraw/zinc/83/58/02/382835802.db2.gz GHZIXSWVAYMTBS-NSHDSACASA-N 0 0 265.313 2.669 20 5 CFBDRN Cc1cnc(NCC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000227316881 382835836 /nfs/dbraw/zinc/83/58/36/382835836.db2.gz IKHOHIPJXGVIPC-LLVKDONJSA-N 0 0 265.313 2.669 20 5 CFBDRN CCOc1cc(N2CC[C@H](OC)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000576813784 382836945 /nfs/dbraw/zinc/83/69/45/382836945.db2.gz RJIMGTDPEYWLNY-AAEUAGOBSA-N 0 0 294.351 2.997 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@H]2CCC[C@@H](O)C2)c(F)c1 ZINC000227890785 382842091 /nfs/dbraw/zinc/84/20/91/382842091.db2.gz AQPVTHUNIDFKFM-WCBMZHEXSA-N 0 0 286.278 2.836 20 5 CFBDRN C[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CCCO1 ZINC000227888026 382842118 /nfs/dbraw/zinc/84/21/18/382842118.db2.gz DWEMAFIYTJTLTL-HQJQHLMTSA-N 0 0 272.251 2.852 20 5 CFBDRN C[C@@H](CO)CCCNc1ccc([N+](=O)[O-])cc1F ZINC000230147018 382856243 /nfs/dbraw/zinc/85/62/43/382856243.db2.gz HUFZWHLMSBJPER-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN Cc1ccc(NCCC[C@@H](C)CO)c([N+](=O)[O-])c1 ZINC000230146620 382856328 /nfs/dbraw/zinc/85/63/28/382856328.db2.gz SHFVSDAQYMPHGB-LLVKDONJSA-N 0 0 252.314 2.724 20 5 CFBDRN C[C@H](CO)CCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000230148037 382856407 /nfs/dbraw/zinc/85/64/07/382856407.db2.gz SFJBLFKANGJZEN-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H](CO)CCC[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000230161524 382856674 /nfs/dbraw/zinc/85/66/74/382856674.db2.gz YLFKSHUOIRNIAT-JTQLQIEISA-N 0 0 286.759 2.746 20 5 CFBDRN COc1cc(N[C@H](C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC000230218093 382857522 /nfs/dbraw/zinc/85/75/22/382857522.db2.gz LIOGZRLGFLOQAG-SNVBAGLBSA-N 0 0 280.324 2.830 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000230269334 382858446 /nfs/dbraw/zinc/85/84/46/382858446.db2.gz GGWGFKBSWYCCBE-HNNXBMFYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC1CCN(C(=O)CSc2cccc([N+](=O)[O-])c2)CC1 ZINC000337763437 382862951 /nfs/dbraw/zinc/86/29/51/382862951.db2.gz QRIIGSKIWRZESG-UHFFFAOYSA-N 0 0 294.376 2.945 20 5 CFBDRN Cc1cnc(OCCSC(C)C)c([N+](=O)[O-])c1 ZINC000337770550 382863623 /nfs/dbraw/zinc/86/36/23/382863623.db2.gz VHJZOEKPLDFJFG-UHFFFAOYSA-N 0 0 256.327 2.819 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(O)c2nc(C)ccc12 ZINC000337774494 382863996 /nfs/dbraw/zinc/86/39/96/382863996.db2.gz WTTKAAPCUAPKGS-UHFFFAOYSA-N 0 0 298.302 2.710 20 5 CFBDRN CC(C)(CCO)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000231158154 382864321 /nfs/dbraw/zinc/86/43/21/382864321.db2.gz KUQUPDFVJXERMZ-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1c(CNC(=O)NC(C)(C)C2CC2)cccc1[N+](=O)[O-] ZINC000577692129 382867719 /nfs/dbraw/zinc/86/77/19/382867719.db2.gz WNLSZQGZPCUXER-UHFFFAOYSA-N 0 0 291.351 2.891 20 5 CFBDRN CCC(C)(C)CNc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000233049362 382870859 /nfs/dbraw/zinc/87/08/59/382870859.db2.gz WDDTVCZKUXNOKV-UHFFFAOYSA-N 0 0 293.323 2.774 20 5 CFBDRN CCC(C)(C)CNc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000233021314 382870909 /nfs/dbraw/zinc/87/09/09/382870909.db2.gz KQYURYQNYNBXEO-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN CCOC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(C)C ZINC000233760495 382871716 /nfs/dbraw/zinc/87/17/16/382871716.db2.gz HDSCJHSPSCZQFJ-LLVKDONJSA-N 0 0 267.329 2.771 20 5 CFBDRN CCOC(C)(C)CNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233724035 382871842 /nfs/dbraw/zinc/87/18/42/382871842.db2.gz HTHMRKCMCHJUES-UHFFFAOYSA-N 0 0 298.314 2.587 20 5 CFBDRN CCc1cnc(CNc2cc(OC)c([N+](=O)[O-])cc2F)o1 ZINC000234261462 382873605 /nfs/dbraw/zinc/87/36/05/382873605.db2.gz FLNSSYLUIZNSRI-UHFFFAOYSA-N 0 0 295.270 2.905 20 5 CFBDRN CC(C)c1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)no1 ZINC000440720335 382878359 /nfs/dbraw/zinc/87/83/59/382878359.db2.gz UNQRDULEVVGTHL-UHFFFAOYSA-N 0 0 290.279 2.772 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])co1 ZINC000440738436 382879508 /nfs/dbraw/zinc/87/95/08/382879508.db2.gz NDPTXOJOAHDLJT-JTQLQIEISA-N 0 0 274.276 2.987 20 5 CFBDRN C[C@H](O)[C@H](C)SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000235588376 382884123 /nfs/dbraw/zinc/88/41/23/382884123.db2.gz KUNJECDKWSOTIC-YUMQZZPRSA-N 0 0 286.309 2.506 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2CCC[C@@H]2C)c1F ZINC000235550882 382884133 /nfs/dbraw/zinc/88/41/33/382884133.db2.gz WOHKULGXVSOVBE-UFBFGSQYSA-N 0 0 280.299 2.961 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2C)CCO1 ZINC000421662461 382888433 /nfs/dbraw/zinc/88/84/33/382888433.db2.gz LGYXTZXPBGIACH-NEPJUHHUSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCO1 ZINC000421672909 382892403 /nfs/dbraw/zinc/89/24/03/382892403.db2.gz VXLHQIPTORAAOM-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@@H](O)C2)c(Cl)c1 ZINC000236536899 382894564 /nfs/dbraw/zinc/89/45/64/382894564.db2.gz BELMWMDWVAMOGD-PSASIEDQSA-N 0 0 270.716 2.821 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@H]1CCC[C@H]1F ZINC000455327757 382896671 /nfs/dbraw/zinc/89/66/71/382896671.db2.gz HMFVWQAZIWMYRP-MNOVXSKESA-N 0 0 285.250 2.746 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@]1(C)CCCO1 ZINC000578206221 382901797 /nfs/dbraw/zinc/90/17/97/382901797.db2.gz LUKMPFNBSORBJV-CYBMUJFWSA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1c(OCc2nn(C)cc2Cl)cccc1[N+](=O)[O-] ZINC000421842620 382943202 /nfs/dbraw/zinc/94/32/02/382943202.db2.gz LNYHKBMFRMCUIQ-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CCCN(C(=O)Cc1ccon1)c1cccc([N+](=O)[O-])c1 ZINC000441441427 382914784 /nfs/dbraw/zinc/91/47/84/382914784.db2.gz ZQABZHWYRPSUCQ-UHFFFAOYSA-N 0 0 289.291 2.569 20 5 CFBDRN CCCN(C(=O)C[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000441486071 382917145 /nfs/dbraw/zinc/91/71/45/382917145.db2.gz MPLUHXYMJONKAP-LBPRGKRZSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CCCOC1 ZINC000534386615 382930827 /nfs/dbraw/zinc/93/08/27/382930827.db2.gz HMUIJKCKCJSJHX-NSHDSACASA-N 0 0 251.282 2.709 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000457203047 382988417 /nfs/dbraw/zinc/98/84/17/382988417.db2.gz LOUZQIYXQMZWSY-LLVKDONJSA-N 0 0 294.351 2.915 20 5 CFBDRN CC(C)(C)[C@H]1CCCC[C@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548928320 382994732 /nfs/dbraw/zinc/99/47/32/382994732.db2.gz UEKYMFABZQQIAB-WDEREUQCSA-N 0 0 294.355 2.653 20 5 CFBDRN Cc1nnc(CN[C@@H]2CCc3c2cccc3[N+](=O)[O-])s1 ZINC000579258742 382999211 /nfs/dbraw/zinc/99/92/11/382999211.db2.gz YBVRIRLFFGCDOF-LLVKDONJSA-N 0 0 290.348 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(OC(F)F)cn2)cn1 ZINC000447690580 382999604 /nfs/dbraw/zinc/99/96/04/382999604.db2.gz YLNWXYYRMNMYHM-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN C[C@@H]1CN(CCSc2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000579259364 383000242 /nfs/dbraw/zinc/00/02/42/383000242.db2.gz SEYIPHGOZXQKES-TXEJJXNPSA-N 0 0 296.392 2.796 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])C[C@@H]1CCCC[C@H]1O ZINC000245550978 383006261 /nfs/dbraw/zinc/00/62/61/383006261.db2.gz PEDSAHCEQIOEDB-XHDPSFHLSA-N 0 0 296.342 2.717 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1ccncc1F ZINC000338368986 383008678 /nfs/dbraw/zinc/00/86/78/383008678.db2.gz DAGVDEFHRDBGKM-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CC[C@@H](F)C1 ZINC000579373680 383010058 /nfs/dbraw/zinc/01/00/58/383010058.db2.gz BSTHGROQPGWMSV-RKDXNWHRSA-N 0 0 254.261 2.906 20 5 CFBDRN CCC1CN(C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000457277935 383010887 /nfs/dbraw/zinc/01/08/87/383010887.db2.gz NUKLGBCQGVIOBD-SECBINFHSA-N 0 0 280.299 2.706 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@H]1CCSC1 ZINC000447811850 383011168 /nfs/dbraw/zinc/01/11/68/383011168.db2.gz IIDGFTFYVVQHID-SNVBAGLBSA-N 0 0 289.360 2.730 20 5 CFBDRN Cc1nc(NC2C[C@@H](C)C[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000578962465 382969379 /nfs/dbraw/zinc/96/93/79/382969379.db2.gz BBHCWWUOVBXPCN-IUCAKERBSA-N 0 0 264.329 2.930 20 5 CFBDRN Cc1nc(NC2C[C@H](C)C[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000578962467 382969403 /nfs/dbraw/zinc/96/94/03/382969403.db2.gz BBHCWWUOVBXPCN-RKDXNWHRSA-N 0 0 264.329 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[N@H+]2CC=CCC2)c2ncccc12 ZINC000338709686 383030091 /nfs/dbraw/zinc/03/00/91/383030091.db2.gz FSACYUOBLLIBAE-UHFFFAOYSA-N 0 0 298.346 2.817 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H]2C(F)F)c1 ZINC000338725904 383030814 /nfs/dbraw/zinc/03/08/14/383030814.db2.gz LXOKQVZBUPNQSX-JGVFFNPUSA-N 0 0 258.224 2.669 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(CC)(CC)C1 ZINC000338734911 383033852 /nfs/dbraw/zinc/03/38/52/383033852.db2.gz WYEKRFVSZGQBLL-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@]1(C)C[C@@H]1c1ccccc1 ZINC000338739784 383034834 /nfs/dbraw/zinc/03/48/34/383034834.db2.gz LCOCIRAPMRWTBW-IUODEOHRSA-N 0 0 286.335 2.934 20 5 CFBDRN CC(C)NC(=O)c1ccc(NCC[C@H](C)F)c([N+](=O)[O-])c1 ZINC000338750974 383039280 /nfs/dbraw/zinc/03/92/80/383039280.db2.gz NNCORNJUUAMOLN-JTQLQIEISA-N 0 0 297.330 2.893 20 5 CFBDRN C[C@H](F)CCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000338753790 383039335 /nfs/dbraw/zinc/03/93/35/383039335.db2.gz JSHBRPYGGQMUKU-VIFPVBQESA-N 0 0 263.272 2.725 20 5 CFBDRN CC(=O)c1ccc(NCC[C@H](C)F)c([N+](=O)[O-])c1 ZINC000338756064 383040321 /nfs/dbraw/zinc/04/03/21/383040321.db2.gz PVLAHYIORPMOAT-QMMMGPOBSA-N 0 0 254.261 2.957 20 5 CFBDRN Cc1ccnc(CNc2ccc([N+](=O)[O-])c(N)c2F)c1 ZINC000338761561 383042198 /nfs/dbraw/zinc/04/21/98/383042198.db2.gz BEUBATARZUWLOA-UHFFFAOYSA-N 0 0 276.271 2.632 20 5 CFBDRN Cc1cc(NCc2c(F)cc(F)cc2F)ncc1[N+](=O)[O-] ZINC000338773601 383045928 /nfs/dbraw/zinc/04/59/28/383045928.db2.gz MRZAGBDNNUNADK-UHFFFAOYSA-N 0 0 297.236 2.750 20 5 CFBDRN CCc1cncc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000448134226 383046337 /nfs/dbraw/zinc/04/63/37/383046337.db2.gz IDNMPCDOKNKJPP-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)OCCCF ZINC000496038401 383057753 /nfs/dbraw/zinc/05/77/53/383057753.db2.gz DHQWNCYXSJEULE-UHFFFAOYSA-N 0 0 284.287 2.565 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1C[C@@H](C)[C@](C)(C(=O)[O-])C1 ZINC000579843975 383058859 /nfs/dbraw/zinc/05/88/59/383058859.db2.gz DBKKNXTXDCMHTO-JRPNMDOOSA-N 0 0 292.335 2.698 20 5 CFBDRN C[C@@H](NC(=O)[C@]1(C)CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000457710801 383079722 /nfs/dbraw/zinc/07/97/22/383079722.db2.gz PBZFNOAHOYMFFA-ABAIWWIYSA-N 0 0 292.335 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@H]1CCC[C@H]1F)CCN2 ZINC000338841767 383065797 /nfs/dbraw/zinc/06/57/97/383065797.db2.gz GYUCKMBCBRTMAT-KOLCDFICSA-N 0 0 265.288 2.865 20 5 CFBDRN CC(C)CN(C)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000338855700 383068956 /nfs/dbraw/zinc/06/89/56/383068956.db2.gz DVDZXFVGCGRCDR-UHFFFAOYSA-N 0 0 275.308 2.804 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cnc(C2CC2)nc1 ZINC000448557243 383069141 /nfs/dbraw/zinc/06/91/41/383069141.db2.gz IKCVKGNTZWWTFG-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CC(C)Oc1nc(NC2CCSCC2)ccc1[N+](=O)[O-] ZINC000579495047 383023108 /nfs/dbraw/zinc/02/31/08/383023108.db2.gz KZXAGBXPCGMJTJ-UHFFFAOYSA-N 0 0 297.380 2.506 20 5 CFBDRN Cc1cccc(CN(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000339031955 383125951 /nfs/dbraw/zinc/12/59/51/383125951.db2.gz UOHNNJNQUMZWEX-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1cc(N2CCC[C@](O)(C3CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000596461036 383127130 /nfs/dbraw/zinc/12/71/30/383127130.db2.gz BSESMNKDOQRRFG-OAHLLOKOSA-N 0 0 294.326 2.784 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@@H]1COc2ccccc21 ZINC000339057999 383134655 /nfs/dbraw/zinc/13/46/55/383134655.db2.gz KNVBNJKYLBFUQO-GWCFXTLKSA-N 0 0 285.303 2.966 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000458248835 383141587 /nfs/dbraw/zinc/14/15/87/383141587.db2.gz BVIJYQGBXQZBCZ-LJWDBELGSA-N 0 0 292.310 2.581 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000552408764 383085181 /nfs/dbraw/zinc/08/51/81/383085181.db2.gz YRRWTBPRYUYBOM-KWBADKCTSA-N 0 0 262.309 2.613 20 5 CFBDRN O=C(OCC1CC1)n1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000496382775 383095247 /nfs/dbraw/zinc/09/52/47/383095247.db2.gz IORKCZPHJXTKGB-UHFFFAOYSA-N 0 0 287.275 2.853 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1[C@H](C)C[C@H]1C ZINC000553232118 383102963 /nfs/dbraw/zinc/10/29/63/383102963.db2.gz TWKCXXCVNUGLGU-NXEZZACHSA-N 0 0 278.308 2.677 20 5 CFBDRN Cn1c(C(=O)Nc2ccc(Cl)cc2O)ccc1[N+](=O)[O-] ZINC000339006820 383118698 /nfs/dbraw/zinc/11/86/98/383118698.db2.gz DHBFUANIBHTNQS-UHFFFAOYSA-N 0 0 295.682 2.545 20 5 CFBDRN COc1cnc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)s1 ZINC000339167645 383174172 /nfs/dbraw/zinc/17/41/72/383174172.db2.gz HRANVDLBBVGMNB-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)c1ccsc1 ZINC000619758348 383147988 /nfs/dbraw/zinc/14/79/88/383147988.db2.gz RENNYJIEAGBYAF-MRVPVSSYSA-N 0 0 277.305 2.542 20 5 CFBDRN Cc1cc(=O)[nH]c(C=CC=Cc2ccccc2[N+](=O)[O-])n1 ZINC000339116342 383154442 /nfs/dbraw/zinc/15/44/42/383154442.db2.gz LJOOQAPPWUOOJZ-NINQLNBYSA-N 0 0 283.287 2.713 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)cccc1[N+](=O)[O-] ZINC000458307917 383159176 /nfs/dbraw/zinc/15/91/76/383159176.db2.gz UVCCAHQFPDQDGR-ADEWGFFLSA-N 0 0 289.335 2.555 20 5 CFBDRN CCC[C@@H](NC(=O)c1ccc([N+](=O)[O-])n1C)C1CCC1 ZINC000458318625 383161766 /nfs/dbraw/zinc/16/17/66/383161766.db2.gz ZMSQMQCWHUKRDP-LLVKDONJSA-N 0 0 279.340 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CNC(CF)CF)c1 ZINC000449379679 383250066 /nfs/dbraw/zinc/25/00/66/383250066.db2.gz JKHRDKJVPPVCEA-UHFFFAOYSA-N 0 0 296.220 2.593 20 5 CFBDRN CC/C=C\CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000520971987 383281147 /nfs/dbraw/zinc/28/11/47/383281147.db2.gz CNUPGVXUGBZYOC-WYGGZMRJSA-N 0 0 262.309 2.781 20 5 CFBDRN CCC(CC)(CO)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000520426329 383256853 /nfs/dbraw/zinc/25/68/53/383256853.db2.gz FHGPNXOVDJGKSD-NSHDSACASA-N 0 0 294.351 2.571 20 5 CFBDRN CCC[C@@H](CCO)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000567291280 383260064 /nfs/dbraw/zinc/26/00/64/383260064.db2.gz WJHDGLNZJNOJCT-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000567291266 383260617 /nfs/dbraw/zinc/26/06/17/383260617.db2.gz WDCIUMNHVQUSTM-OAHLLOKOSA-N 0 0 294.351 2.592 20 5 CFBDRN COc1cc(COc2ccc(C)cc2[N+](=O)[O-])ccn1 ZINC000339613893 383262234 /nfs/dbraw/zinc/26/22/34/383262234.db2.gz ZOHUYXDNUAOSSD-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000458884700 383270029 /nfs/dbraw/zinc/27/00/29/383270029.db2.gz FTAKYVBNXMUEJA-SUHUHFCYSA-N 0 0 289.335 2.850 20 5 CFBDRN C[C@H](NC(=O)NC1(C(F)F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000458887596 383270820 /nfs/dbraw/zinc/27/08/20/383270820.db2.gz KLFNSEREADSANS-QMMMGPOBSA-N 0 0 299.277 2.753 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]1C(C)C ZINC000567445220 383276249 /nfs/dbraw/zinc/27/62/49/383276249.db2.gz KXLRRJNTQZZOEE-GXTWGEPZSA-N 0 0 291.351 2.742 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000339501557 383219228 /nfs/dbraw/zinc/21/92/28/383219228.db2.gz HHWAUVXDYZEDDS-CMPLNLGQSA-N 0 0 262.309 2.761 20 5 CFBDRN COCc1cc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)ncn1 ZINC000566896265 383221426 /nfs/dbraw/zinc/22/14/26/383221426.db2.gz UYUNKWOQISUJIO-JTQLQIEISA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000424705144 383236387 /nfs/dbraw/zinc/23/63/87/383236387.db2.gz JAOOGXJRCIEXKH-DUFXMDAXSA-N 0 0 280.299 2.829 20 5 CFBDRN COc1cccnc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000522172924 383331042 /nfs/dbraw/zinc/33/10/42/383331042.db2.gz YKFGEXTVQKEWFQ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCn1cc(CN(C)Cc2cccc([N+](=O)[O-])c2C)cn1 ZINC000522245227 383333545 /nfs/dbraw/zinc/33/35/45/383333545.db2.gz GLGDASSJYFELNG-UHFFFAOYSA-N 0 0 288.351 2.752 20 5 CFBDRN CCCN(c1nccc(C(=O)OCC)c1[N+](=O)[O-])C(C)C ZINC000521068050 383284833 /nfs/dbraw/zinc/28/48/33/383284833.db2.gz KGFPEAZSIYMILN-UHFFFAOYSA-N 0 0 295.339 2.791 20 5 CFBDRN Cc1c(CN(C)Cc2ccc([N+](=O)[O-])cc2C)cnn1C ZINC000567557351 383286692 /nfs/dbraw/zinc/28/66/92/383286692.db2.gz YFDKUFZLLVXHKS-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2C2CCC2)c1 ZINC000424986398 383305478 /nfs/dbraw/zinc/30/54/78/383305478.db2.gz XQGKYZRHIJHBBJ-WCQYABFASA-N 0 0 274.320 2.822 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000524877543 383388615 /nfs/dbraw/zinc/38/86/15/383388615.db2.gz YCWWFGSFESRFLD-WDEREUQCSA-N 0 0 277.324 2.535 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000340251715 383392596 /nfs/dbraw/zinc/39/25/96/383392596.db2.gz HVCDTFQPCVEALW-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](c2cccnc2)C2CC2)cn1 ZINC000450408863 383395720 /nfs/dbraw/zinc/39/57/20/383395720.db2.gz KQSXDKKSXJHZHB-AWEZNQCLSA-N 0 0 270.292 2.948 20 5 CFBDRN CC1=CCN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000568466013 383404052 /nfs/dbraw/zinc/40/40/52/383404052.db2.gz BNBZZETVTDEXAN-LBPRGKRZSA-N 0 0 274.320 2.877 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H](C)S[C@H](C)C2)c1 ZINC000525705147 383405017 /nfs/dbraw/zinc/40/50/17/383405017.db2.gz CRXADOCYCJZNHV-NXEZZACHSA-N 0 0 282.365 2.934 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@@H]1CCCC[C@@H]1C ZINC000340108340 383357356 /nfs/dbraw/zinc/35/73/56/383357356.db2.gz HYHPKAMJKLDKMA-NWDGAFQWSA-N 0 0 293.367 2.974 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2C[C@H]2C2CCC2)c(F)c1 ZINC000425275062 383357399 /nfs/dbraw/zinc/35/73/99/383357399.db2.gz BLFQFICCWXDHIQ-ONGXEEELSA-N 0 0 265.288 2.977 20 5 CFBDRN CC(C)(C)CCNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000340111523 383358635 /nfs/dbraw/zinc/35/86/35/383358635.db2.gz LCHVNSYZMGYBDK-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN C[C@@H](C(=O)NCc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000523713296 383361262 /nfs/dbraw/zinc/36/12/62/383361262.db2.gz ZOSUFVODUICRKI-JTQLQIEISA-N 0 0 264.325 2.893 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1cc(-c2ccccc2)on1 ZINC000340157283 383364299 /nfs/dbraw/zinc/36/42/99/383364299.db2.gz BAADFNJACWPZGA-UHFFFAOYSA-N 0 0 296.286 2.679 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCCOCC(F)F ZINC000450473943 383426169 /nfs/dbraw/zinc/42/61/69/383426169.db2.gz INUGOQKDONWSSC-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])nc1)[C@@H]1CC[C@H](C)C1 ZINC000450478945 383429221 /nfs/dbraw/zinc/42/92/21/383429221.db2.gz KHMZOQYAAGALBJ-NWDGAFQWSA-N 0 0 279.340 2.631 20 5 CFBDRN CC(C)(C)C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000568721407 383430494 /nfs/dbraw/zinc/43/04/94/383430494.db2.gz LICFNZRUHSGXSB-LLVKDONJSA-N 0 0 291.351 2.888 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000526952543 383441543 /nfs/dbraw/zinc/44/15/43/383441543.db2.gz RBXPSYDPOPDFRP-MNOVXSKESA-N 0 0 279.340 2.632 20 5 CFBDRN O=C(NCCOc1ccc([N+](=O)[O-])cc1)C1=CCCCC1 ZINC000527105859 383453248 /nfs/dbraw/zinc/45/32/48/383453248.db2.gz LYZRXPZOTQQISK-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN CCC[C@@H](CNc1nccc2cc([N+](=O)[O-])ccc21)OC ZINC000450579165 383468152 /nfs/dbraw/zinc/46/81/52/383468152.db2.gz CEOOIWPSUWRVOJ-ZDUSSCGKSA-N 0 0 289.335 2.792 20 5 CFBDRN Cc1ccccc1CCN(C)c1ccc([N+](=O)[O-])cn1 ZINC000450583740 383471094 /nfs/dbraw/zinc/47/10/94/383471094.db2.gz CPUQIRMAKKJBCP-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000486764770 383474975 /nfs/dbraw/zinc/47/49/75/383474975.db2.gz UJDZRHRWEOVENW-DDFAGTSDSA-N 0 0 291.307 2.523 20 5 CFBDRN Cc1nnc(Sc2ccc([N+](=O)[O-])nc2)n1C(C)C ZINC000450455423 383417357 /nfs/dbraw/zinc/41/73/57/383417357.db2.gz UXWTTWYQSLJXGP-UHFFFAOYSA-N 0 0 279.325 2.622 20 5 CFBDRN Cc1ccc(NC(=O)c2cncs2)cc1[N+](=O)[O-] ZINC000340426421 383418033 /nfs/dbraw/zinc/41/80/33/383418033.db2.gz HXQVSALEWSLVQR-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000340605291 383486075 /nfs/dbraw/zinc/48/60/75/383486075.db2.gz QLVNICLHXFHSNF-SNVBAGLBSA-N 0 0 274.276 2.608 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000340608524 383487438 /nfs/dbraw/zinc/48/74/38/383487438.db2.gz AFZUQAAOXUUIHY-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN Cc1nc2ccc(NC(=O)c3ccc([N+](=O)[O-])[nH]3)cc2o1 ZINC000340632387 383489449 /nfs/dbraw/zinc/48/94/49/383489449.db2.gz HTGWYVXYAURRFS-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN COc1cc(N[C@H]2CC23CCOCC3)c([N+](=O)[O-])cc1F ZINC000450791257 383492185 /nfs/dbraw/zinc/49/21/85/383492185.db2.gz IDEZKINISKPGBF-ZDUSSCGKSA-N 0 0 296.298 2.724 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](CC)[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000450793625 383493384 /nfs/dbraw/zinc/49/33/84/383493384.db2.gz IYLSAERLMUMXNK-MWLCHTKSSA-N 0 0 280.324 2.759 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3CC[C@@H](F)C3)cc2N1 ZINC000340871849 383497459 /nfs/dbraw/zinc/49/74/59/383497459.db2.gz IJDUVGPMMLLOIA-NXEZZACHSA-N 0 0 293.298 2.782 20 5 CFBDRN C[C@@H]1CCC(=O)[C@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC000450807825 383498324 /nfs/dbraw/zinc/49/83/24/383498324.db2.gz HIRSHXBCKGQMPU-AMIZOPFISA-N 0 0 267.256 2.870 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(-c2nc(CC3CCCC3)no2)n1 ZINC000340912396 383502071 /nfs/dbraw/zinc/50/20/71/383502071.db2.gz QEILELIZVXRGRZ-UHFFFAOYSA-N 0 0 291.311 2.594 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)c2nccn21 ZINC000450827382 383507673 /nfs/dbraw/zinc/50/76/73/383507673.db2.gz HQBRVNUXAFISPD-GMSGAONNSA-N 0 0 293.714 2.958 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCCC3(F)CCC3)c21 ZINC000340950825 383508251 /nfs/dbraw/zinc/50/82/51/383508251.db2.gz IJJSAPQSJMHTBN-UHFFFAOYSA-N 0 0 290.298 2.654 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000569535967 383508579 /nfs/dbraw/zinc/50/85/79/383508579.db2.gz YVUMHYLXKOMYFL-CMPLNLGQSA-N 0 0 274.320 2.526 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCCCC[C@H]2O)n1 ZINC000340956439 383509537 /nfs/dbraw/zinc/50/95/37/383509537.db2.gz BCYHNQFDNJBJRK-NWDGAFQWSA-N 0 0 279.340 2.712 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2CCC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000340958283 383510701 /nfs/dbraw/zinc/51/07/01/383510701.db2.gz CHUQLNYZIYTJJK-NXEZZACHSA-N 0 0 297.330 2.527 20 5 CFBDRN Cc1cnc(N(C)[C@@H](CO)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000450831690 383511019 /nfs/dbraw/zinc/51/10/19/383511019.db2.gz GTAFZLURMQTKOV-LLVKDONJSA-N 0 0 281.356 2.532 20 5 CFBDRN COC(=O)c1cc(OCCC(C)(F)F)ccc1[N+](=O)[O-] ZINC000450840103 383516446 /nfs/dbraw/zinc/51/64/46/383516446.db2.gz WKKRJXULOJCCOI-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN CCC1(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])COC1 ZINC000450843757 383518102 /nfs/dbraw/zinc/51/81/02/383518102.db2.gz KWLAJIXQAAMTCT-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2COc3ccccc32)cn1 ZINC000341064305 383551353 /nfs/dbraw/zinc/55/13/53/383551353.db2.gz DUFJYYXZAZVUAP-JTQLQIEISA-N 0 0 271.276 2.578 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC2(CCC2)[C@@H]1c1ccco1 ZINC000450865373 383527877 /nfs/dbraw/zinc/52/78/77/383527877.db2.gz VQAZWCPPBUZLHX-NSHDSACASA-N 0 0 288.307 2.653 20 5 CFBDRN Cc1nn(C)cc1COc1c(Cl)cccc1[N+](=O)[O-] ZINC000450870975 383530105 /nfs/dbraw/zinc/53/01/05/383530105.db2.gz MVFABQDDIWAMPH-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN C[C@H](C(=O)NC(C)(C)CF)c1cccc([N+](=O)[O-])c1 ZINC000569771667 383535275 /nfs/dbraw/zinc/53/52/75/383535275.db2.gz VCBNKQHFYXNCSC-VIFPVBQESA-N 0 0 268.288 2.563 20 5 CFBDRN Cc1ccc(CN2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000570100671 383572375 /nfs/dbraw/zinc/57/23/75/383572375.db2.gz STQMPEZXNUKWLV-GFCCVEGCSA-N 0 0 252.289 2.837 20 5 CFBDRN Cc1nc(Sc2nc3c([nH]2)CCC3)ccc1[N+](=O)[O-] ZINC000488753862 383575359 /nfs/dbraw/zinc/57/53/59/383575359.db2.gz RWIXJZXYQZBDCJ-UHFFFAOYSA-N 0 0 276.321 2.661 20 5 CFBDRN CSC[C@@H]1CCCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000488964246 383587536 /nfs/dbraw/zinc/58/75/36/383587536.db2.gz YSDXWTUAYQPQKV-SNVBAGLBSA-N 0 0 267.354 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCF)cc1OC(F)F ZINC000280824483 194990121 /nfs/dbraw/zinc/99/01/21/194990121.db2.gz VKDXDHHNSRYSHR-UHFFFAOYSA-N 0 0 250.176 2.578 20 5 CFBDRN CCC(C)(C)CCn1cc([N+](=O)[O-])cc(C)c1=O ZINC000489087551 383596142 /nfs/dbraw/zinc/59/61/42/383596142.db2.gz JCJMEXHTOKPPLD-UHFFFAOYSA-N 0 0 252.314 2.891 20 5 CFBDRN CCNc1ccc(C(=O)N(C)[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000489347190 383609120 /nfs/dbraw/zinc/60/91/20/383609120.db2.gz JRZUDYLFPBNLTN-ZDUSSCGKSA-N 0 0 291.351 2.897 20 5 CFBDRN COc1cc(O[C@@H]2C[C@H](C)CCC2=O)ccc1[N+](=O)[O-] ZINC000450929663 383554193 /nfs/dbraw/zinc/55/41/93/383554193.db2.gz ITPUHLMGFPSWQJ-YMTOWFKASA-N 0 0 279.292 2.740 20 5 CFBDRN COC[C@H]1CCCCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000450931163 383555779 /nfs/dbraw/zinc/55/57/79/383555779.db2.gz ABFCIMAYCAEZJW-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@H](O)[C@@H]1CCCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000570026825 383562407 /nfs/dbraw/zinc/56/24/07/383562407.db2.gz BOWMBYGCRIZYHL-VHSXEESVSA-N 0 0 284.743 2.845 20 5 CFBDRN Cc1nn(CCCC(=O)c2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000450961117 383569913 /nfs/dbraw/zinc/56/99/13/383569913.db2.gz ORZNDFHYKDINKF-UHFFFAOYSA-N 0 0 291.282 2.902 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000431175542 383637660 /nfs/dbraw/zinc/63/76/60/383637660.db2.gz PKNORNPNAYBGHB-QWHCGFSZSA-N 0 0 297.314 2.671 20 5 CFBDRN COc1ccc(CN2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000570974173 383652156 /nfs/dbraw/zinc/65/21/56/383652156.db2.gz NPLOKVASXWCRRH-LLVKDONJSA-N 0 0 268.288 2.537 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1F)[C@@H]1CC1(C)C ZINC000489503293 383625299 /nfs/dbraw/zinc/62/52/99/383625299.db2.gz XSOXQKFBZYFDMQ-GFCCVEGCSA-N 0 0 252.289 2.964 20 5 CFBDRN CCO[C@H]1CC[N@H+](CCc2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000451526278 383635037 /nfs/dbraw/zinc/63/50/37/383635037.db2.gz GMUONAHAAKBJKQ-BBRMVZONSA-N 0 0 292.379 2.884 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000264553027 383754406 /nfs/dbraw/zinc/75/44/06/383754406.db2.gz OWMLWSVIOQBUOR-AULYBMBSSA-N 0 0 276.336 2.832 20 5 CFBDRN CC(C)OCCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264591504 383759483 /nfs/dbraw/zinc/75/94/83/383759483.db2.gz FVJRKOKERYTMAH-UHFFFAOYSA-N 0 0 277.280 2.612 20 5 CFBDRN CCO[C@@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264588099 383759520 /nfs/dbraw/zinc/75/95/20/383759520.db2.gz DXMFBXXMJURRAX-WDEREUQCSA-N 0 0 275.264 2.537 20 5 CFBDRN O=C(NCC1CCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000264628299 383766201 /nfs/dbraw/zinc/76/62/01/383766201.db2.gz JJFZURDISOHENL-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CN(c1nc2ccccc2cc1[N+](=O)[O-])C1CCOCC1 ZINC000530489794 383771597 /nfs/dbraw/zinc/77/15/97/383771597.db2.gz JDRWTVJHKIXSFU-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC=C(C)C1 ZINC000280936634 195036568 /nfs/dbraw/zinc/03/65/68/195036568.db2.gz FWVFSOLOLZNUKJ-UHFFFAOYSA-N 0 0 291.307 2.787 20 5 CFBDRN CCNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 ZINC000435833280 383780345 /nfs/dbraw/zinc/78/03/45/383780345.db2.gz DSNACITVSGTMLY-UHFFFAOYSA-N 0 0 284.290 2.570 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(COCC3CC3)n2)c1 ZINC000264977329 383809795 /nfs/dbraw/zinc/80/97/95/383809795.db2.gz FHMQNRFVBSTTJQ-UHFFFAOYSA-N 0 0 275.264 2.571 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000428095669 533010131 /nfs/dbraw/zinc/01/01/31/533010131.db2.gz YNVSLXPECJWTQQ-DDFAGTSDSA-N 0 0 292.360 2.845 20 5 CFBDRN COCCCCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000265010034 383815318 /nfs/dbraw/zinc/81/53/18/383815318.db2.gz LXFNHUUECZDJGS-UHFFFAOYSA-N 0 0 277.280 2.614 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3CCCOC3)n2)c1 ZINC000265015126 383816080 /nfs/dbraw/zinc/81/60/80/383816080.db2.gz QWXRWVGKTAGACX-SNVBAGLBSA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1ncsc1CCOc1ccccc1[N+](=O)[O-] ZINC000265048263 383821482 /nfs/dbraw/zinc/82/14/82/383821482.db2.gz YASWUPZDGOFMRS-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN C[C@H](CO)N(CC1CCC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000280991480 195059881 /nfs/dbraw/zinc/05/98/81/195059881.db2.gz OQQPCYZXIVCHRQ-SECBINFHSA-N 0 0 299.758 2.631 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000264772264 383781485 /nfs/dbraw/zinc/78/14/85/383781485.db2.gz GOOMYPFZLGKKHW-HZMBPMFUSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCO[C@H]1CCCCO1 ZINC000280986326 195058124 /nfs/dbraw/zinc/05/81/24/195058124.db2.gz OAFPGFYNOPWUKL-AWEZNQCLSA-N 0 0 280.324 2.940 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C\[C@@H]3CCOC3)n2)s1 ZINC000453174746 383791806 /nfs/dbraw/zinc/79/18/06/383791806.db2.gz JZPVBSJINKMHRR-XKKRXVNHSA-N 0 0 293.304 2.756 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000436258804 383793458 /nfs/dbraw/zinc/79/34/58/383793458.db2.gz INPQYXXBQGYERB-MWLCHTKSSA-N 0 0 263.297 2.823 20 5 CFBDRN CN(CCNc1ccc([N+](=O)[O-])cc1)CC(F)(F)F ZINC000264887113 383796486 /nfs/dbraw/zinc/79/64/86/383796486.db2.gz ZHWNYBJRIFAQHP-UHFFFAOYSA-N 0 0 277.246 2.501 20 5 CFBDRN CCCN(C)Cc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000453292149 383839700 /nfs/dbraw/zinc/83/97/00/383839700.db2.gz ONQZRIDGDBVNLW-UHFFFAOYSA-N 0 0 290.323 2.795 20 5 CFBDRN Cc1ccnc(N2CCN(c3ccccc3)CC2)c1[N+](=O)[O-] ZINC000265196251 383856891 /nfs/dbraw/zinc/85/68/91/383856891.db2.gz LXWIADRKEPCKBH-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CC(C)Oc1nc(Sc2nccn2C)ccc1[N+](=O)[O-] ZINC000573107204 383873528 /nfs/dbraw/zinc/87/35/28/383873528.db2.gz SHISJYMOJYQJCG-UHFFFAOYSA-N 0 0 294.336 2.662 20 5 CFBDRN CCC(C)(CC)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000265090910 383829598 /nfs/dbraw/zinc/82/95/98/383829598.db2.gz XGACVGASULWCNE-UHFFFAOYSA-N 0 0 264.325 2.832 20 5 CFBDRN CCC[C@@H]1SCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000265417262 383910258 /nfs/dbraw/zinc/91/02/58/383910258.db2.gz DXQVHRRAYTYNCJ-JTQLQIEISA-N 0 0 270.310 2.503 20 5 CFBDRN CC(=O)c1ccc(N[C@H](CO)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000265437627 383915464 /nfs/dbraw/zinc/91/54/64/383915464.db2.gz XWVBRSWBANOHFX-CYBMUJFWSA-N 0 0 280.324 2.616 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000573440787 383930125 /nfs/dbraw/zinc/93/01/25/383930125.db2.gz ZVDWMBHYWVOHLW-DTWKUNHWSA-N 0 0 270.308 2.583 20 5 CFBDRN CCOc1cccc(NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000531878264 383935497 /nfs/dbraw/zinc/93/54/97/383935497.db2.gz IDKXHZPAFAXNFH-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCO[C@H]1CCCCO1 ZINC000281059573 195088067 /nfs/dbraw/zinc/08/80/67/195088067.db2.gz YNVJLJMLHJRNFZ-ZDUSSCGKSA-N 0 0 295.339 2.643 20 5 CFBDRN CNc1ccc(C(=O)N2[C@@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000531559844 383885543 /nfs/dbraw/zinc/88/55/43/383885543.db2.gz YQZCWSCUSLMQNI-UWVGGRQHSA-N 0 0 277.324 2.650 20 5 CFBDRN C[C@@H](O)[C@@H]1CCCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000265496668 383933323 /nfs/dbraw/zinc/93/33/23/383933323.db2.gz WVWXLOZFYZUSNG-YGRLFVJLSA-N 0 0 298.770 2.984 20 5 CFBDRN Cc1ccccc1[C@@H](O)CNc1nccc(C)c1[N+](=O)[O-] ZINC000265292062 383888134 /nfs/dbraw/zinc/88/81/34/383888134.db2.gz YHKMLIBVYPWVGC-ZDUSSCGKSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000531627673 383900096 /nfs/dbraw/zinc/90/00/96/383900096.db2.gz IOBJMCGOFIHPPN-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN C[C@H]1CCC[C@H](CCNC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000531628841 383900160 /nfs/dbraw/zinc/90/01/60/383900160.db2.gz RKVRYQRGSCINRD-NWDGAFQWSA-N 0 0 291.351 2.936 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2CCC[C@@H]2C1)c1ccc([N+](=O)[O-])cn1 ZINC000531634715 383902641 /nfs/dbraw/zinc/90/26/41/383902641.db2.gz XORAJHBZBUNBPR-QJPTWQEYSA-N 0 0 289.335 2.688 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532139679 383981876 /nfs/dbraw/zinc/98/18/76/383981876.db2.gz QOENEFIQYJOZNS-LBPRGKRZSA-N 0 0 277.324 2.641 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000454347178 384002533 /nfs/dbraw/zinc/00/25/33/384002533.db2.gz SGIGGPXPSNWEAK-CHWSQXEVSA-N 0 0 293.323 2.674 20 5 CFBDRN Cn1c(C(=O)N(CC2CC2)c2ccccc2)ccc1[N+](=O)[O-] ZINC000438026647 383937012 /nfs/dbraw/zinc/93/70/12/383937012.db2.gz HDKWJECQMZEQEN-UHFFFAOYSA-N 0 0 299.330 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cccs3)no2)nc1 ZINC000531883562 383936883 /nfs/dbraw/zinc/93/68/83/383936883.db2.gz KPRHZSNUVDISMF-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN CC[C@H](O)[C@H](CC)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000454210623 383947009 /nfs/dbraw/zinc/94/70/09/383947009.db2.gz ZYIFBWWBHFCMNY-GWCFXTLKSA-N 0 0 295.339 2.574 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000438104628 383950415 /nfs/dbraw/zinc/95/04/15/383950415.db2.gz NYOPXBMGDIJUKH-BXUZGUMPSA-N 0 0 291.351 2.702 20 5 CFBDRN CC(F)(F)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000454258472 383968448 /nfs/dbraw/zinc/96/84/48/383968448.db2.gz CHIQZHSWVCCYDB-UHFFFAOYSA-N 0 0 291.229 2.901 20 5 CFBDRN CC(C)(C(=O)NCc1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000265675015 383975958 /nfs/dbraw/zinc/97/59/58/383975958.db2.gz AIULHDWVWYUNKH-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532388446 384021647 /nfs/dbraw/zinc/02/16/47/384021647.db2.gz XKOVDQMLUHCHGN-QWRGUYRKSA-N 0 0 277.324 2.641 20 5 CFBDRN Cc1ccc(C)c(N(C)C(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000532391502 384023181 /nfs/dbraw/zinc/02/31/81/384023181.db2.gz UDRKKNJFLSUVIJ-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1ccnc(N(C)CCCOC(C)C)c1[N+](=O)[O-] ZINC000265880472 384023860 /nfs/dbraw/zinc/02/38/60/384023860.db2.gz YHSARAMYZCXPIE-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN CCc1ocnc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000265800620 384003982 /nfs/dbraw/zinc/00/39/82/384003982.db2.gz VCWKAECOZQYFIS-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000532324110 384010203 /nfs/dbraw/zinc/01/02/03/384010203.db2.gz DBGQZXAEZZURGG-DGCLKSJQSA-N 0 0 293.367 2.974 20 5 CFBDRN CCOc1cc(N(C)CC(C)(C)OC)ccc1[N+](=O)[O-] ZINC000532328022 384011005 /nfs/dbraw/zinc/01/10/05/384011005.db2.gz QORJTDOLZRLOOL-UHFFFAOYSA-N 0 0 282.340 2.855 20 5 CFBDRN C[C@@H](NC(=O)NCC[C@H](C)F)c1cccc([N+](=O)[O-])c1 ZINC000454374743 384011915 /nfs/dbraw/zinc/01/19/15/384011915.db2.gz VHLDALHPTDAHIG-VHSXEESVSA-N 0 0 283.303 2.703 20 5 CFBDRN Cc1nc(C)c(COc2cccnc2[N+](=O)[O-])s1 ZINC000266005841 384050547 /nfs/dbraw/zinc/05/05/47/384050547.db2.gz KTACGYBYEIOAMN-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN CC(C)[C@H](C)CC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266026242 384056939 /nfs/dbraw/zinc/05/69/39/384056939.db2.gz HSOFAAAZJQTTDW-GFCCVEGCSA-N 0 0 278.352 2.936 20 5 CFBDRN Cc1nc(N2C[C@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)ncc1[N+](=O)[O-] ZINC000574248347 384066938 /nfs/dbraw/zinc/06/69/38/384066938.db2.gz MYAUBUVFHOLQNP-OXJKWZBOSA-N 0 0 288.351 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)C[C@@H]2CCCCO2)c1 ZINC000266069285 384069097 /nfs/dbraw/zinc/06/90/97/384069097.db2.gz LQTMKJPMCOUAMD-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC=CCC2)c1 ZINC000266099821 384077187 /nfs/dbraw/zinc/07/71/87/384077187.db2.gz YOPOGLQFSRLRGV-LBPRGKRZSA-N 0 0 274.320 2.989 20 5 CFBDRN CN(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])C1CCC1 ZINC000266177278 384105772 /nfs/dbraw/zinc/10/57/72/384105772.db2.gz RUFVFAXVHGDYBV-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN COc1cc(N2CCCSCC2)ccc1[N+](=O)[O-] ZINC000266181157 384106510 /nfs/dbraw/zinc/10/65/10/384106510.db2.gz AMVSGOGMNRHZME-UHFFFAOYSA-N 0 0 268.338 2.547 20 5 CFBDRN C[C@H](NC(=O)C1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000440570390 384106527 /nfs/dbraw/zinc/10/65/27/384106527.db2.gz IIPOXAHPQFLFMV-QMMMGPOBSA-N 0 0 284.262 2.817 20 5 CFBDRN COc1cccc(CN(C)c2ncc(C)cc2[N+](=O)[O-])c1 ZINC000266194099 384110578 /nfs/dbraw/zinc/11/05/78/384110578.db2.gz HILLLLBJJXUQCZ-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@@H](C)O[C@H]2C)c([N+](=O)[O-])cc1F ZINC000413532370 533035343 /nfs/dbraw/zinc/03/53/43/533035343.db2.gz NADGJJMTCBZJPZ-UTLUCORTSA-N 0 0 298.314 2.968 20 5 CFBDRN COc1cc(NCCc2ccncc2)ccc1[N+](=O)[O-] ZINC000111046851 533034489 /nfs/dbraw/zinc/03/44/89/533034489.db2.gz ZKJJXCMVKUJTLP-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN Cc1cc(NC(=O)NC2(CF)CCC2)ccc1[N+](=O)[O-] ZINC000574809430 384148463 /nfs/dbraw/zinc/14/84/63/384148463.db2.gz PGHBLENSKMDROI-UHFFFAOYSA-N 0 0 281.287 2.917 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC(CF)CF ZINC000455135245 384150110 /nfs/dbraw/zinc/15/01/10/384150110.db2.gz FBRZQYAWWMBVIT-UHFFFAOYSA-N 0 0 287.266 2.641 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1N1CC(F)C1 ZINC000343442771 285082593 /nfs/dbraw/zinc/08/25/93/285082593.db2.gz MRFXXLPNBFDEGB-UHFFFAOYSA-N 0 0 275.077 2.515 20 5 CFBDRN COc1cc(N[C@@H](C)c2ccn(C)n2)c(F)cc1[N+](=O)[O-] ZINC000413466143 533037115 /nfs/dbraw/zinc/03/71/15/533037115.db2.gz WXVQLBOHEJCJRR-QMMMGPOBSA-N 0 0 294.286 2.649 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@](=O)[C@H](C)C(C)C ZINC000266370249 384159338 /nfs/dbraw/zinc/15/93/38/384159338.db2.gz RFUFISHVZBOQIJ-DGIBIBHMSA-N 0 0 285.365 2.897 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@@](=O)[C@@H](C)C(C)C ZINC000266370247 384159986 /nfs/dbraw/zinc/15/99/86/384159986.db2.gz RFUFISHVZBOQIJ-APBUJDDRSA-N 0 0 285.365 2.897 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cnn(C(C)C)c1 ZINC000574896597 384161449 /nfs/dbraw/zinc/16/14/49/384161449.db2.gz MQZMMRYNTDNDAB-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCCOC(C)C)c1 ZINC000574915951 384163964 /nfs/dbraw/zinc/16/39/64/384163964.db2.gz NSEDVMDISDOMOK-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(N2CCC[C@@H](O)C2)c(Cl)c1 ZINC000226740183 285087552 /nfs/dbraw/zinc/08/75/52/285087552.db2.gz FTYPDENQBRQGFH-MRVPVSSYSA-N 0 0 291.134 2.863 20 5 CFBDRN COc1cc(N[C@H]2CCOC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000277309184 384262426 /nfs/dbraw/zinc/26/24/26/384262426.db2.gz BHDRCYLWLUYKBS-SCZZXKLOSA-N 0 0 284.287 2.579 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000266952314 384267972 /nfs/dbraw/zinc/26/79/72/384267972.db2.gz SYDCLOLURNDRLD-SKDRFNHKSA-N 0 0 262.309 2.543 20 5 CFBDRN Cc1cc(N[C@@H]2[C@H]3CCO[C@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000266809463 384241763 /nfs/dbraw/zinc/24/17/63/384241763.db2.gz FQSOYPDBLJZFHP-OASPWFOLSA-N 0 0 277.324 2.524 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266814656 384241944 /nfs/dbraw/zinc/24/19/44/384241944.db2.gz PXWBIIKOULYTKI-LBPRGKRZSA-N 0 0 293.367 2.823 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCCSC2)cc([N+](=O)[O-])c1 ZINC000267206063 384322919 /nfs/dbraw/zinc/32/29/19/384322919.db2.gz DYXDWKVPZADOGS-LLVKDONJSA-N 0 0 280.349 2.529 20 5 CFBDRN C[C@@H]1CSCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000277403241 384291617 /nfs/dbraw/zinc/29/16/17/384291617.db2.gz KWZBUUIFVDBYOE-JTQLQIEISA-N 0 0 252.339 2.784 20 5 CFBDRN CN(CC1CC1)c1ncc([N+](=O)[O-])cc1Br ZINC000267291419 384350735 /nfs/dbraw/zinc/35/07/35/384350735.db2.gz XWXKWLYHAOKYMY-UHFFFAOYSA-N 0 0 286.129 2.599 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC000277638513 384361428 /nfs/dbraw/zinc/36/14/28/384361428.db2.gz NLJRZSGQJXJJMM-BXKDBHETSA-N 0 0 278.308 2.634 20 5 CFBDRN Cc1cc(NC[C@@H]2CCO[C@@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000267213698 384326169 /nfs/dbraw/zinc/32/61/69/384326169.db2.gz MJLOVHHJEVNEDH-SMDDNHRTSA-N 0 0 279.340 2.771 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H](C)CCO ZINC000277789192 384405512 /nfs/dbraw/zinc/40/55/12/384405512.db2.gz NAPCJQRJXMBEQS-MRVPVSSYSA-N 0 0 258.705 2.739 20 5 CFBDRN CCc1ccc(C(=O)N2C[C@H](C)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000267573036 384416296 /nfs/dbraw/zinc/41/62/96/384416296.db2.gz AKNUKGNAHFLUTI-QWRGUYRKSA-N 0 0 276.336 2.885 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H]1C ZINC000267633583 384429684 /nfs/dbraw/zinc/42/96/84/384429684.db2.gz JXLBZKOAQJRPIT-HTQZYQBOSA-N 0 0 255.705 2.735 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H](C)c1ncn(C)n1 ZINC000277925343 384441240 /nfs/dbraw/zinc/44/12/40/384441240.db2.gz ZXENDZMFTDYODJ-MRVPVSSYSA-N 0 0 295.730 2.858 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000345646713 220286754 /nfs/dbraw/zinc/28/67/54/220286754.db2.gz UJUJBHOEQZWNMS-LPEHRKFASA-N 0 0 298.289 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@@H]3C[C@H]2CS3)c(Cl)c1 ZINC000459746074 285114249 /nfs/dbraw/zinc/11/42/49/285114249.db2.gz FVDRIYNFLNUCNJ-QWRGUYRKSA-N 0 0 284.768 2.938 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CC1 ZINC000347970313 220288152 /nfs/dbraw/zinc/28/81/52/220288152.db2.gz RPFVLFJTDFDUFH-NWDGAFQWSA-N 0 0 264.256 2.719 20 5 CFBDRN Cc1cc(N[C@H](C)CO[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000267870172 384480368 /nfs/dbraw/zinc/48/03/68/384480368.db2.gz LLSZTHGMGYEUAF-YPMHNXCESA-N 0 0 280.324 2.509 20 5 CFBDRN CC1=CCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000278138155 384481840 /nfs/dbraw/zinc/48/18/40/384481840.db2.gz ALHJQFISIKVJCE-UHFFFAOYSA-N 0 0 277.280 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)COC(C)C ZINC000267915761 384488237 /nfs/dbraw/zinc/48/82/37/384488237.db2.gz HDDQNQBJUSEQED-UHFFFAOYSA-N 0 0 266.297 2.575 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1ccc([N+](=O)[O-])cc1 ZINC000268113780 384535122 /nfs/dbraw/zinc/53/51/22/384535122.db2.gz FSTRRLPIXQCLPL-YPMHNXCESA-N 0 0 264.325 2.594 20 5 CFBDRN Cc1n[nH]c(C(=O)N(C)C2CCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000268063509 384522385 /nfs/dbraw/zinc/52/23/85/384522385.db2.gz WGVMRDNQIBJCHZ-UHFFFAOYSA-N 0 0 294.355 2.667 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268134988 384541071 /nfs/dbraw/zinc/54/10/71/384541071.db2.gz MVSFQFKAYNUHGL-RKDXNWHRSA-N 0 0 297.742 2.703 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000268137140 384541575 /nfs/dbraw/zinc/54/15/75/384541575.db2.gz PAVCHWORSOUVOI-SCZZXKLOSA-N 0 0 268.338 2.965 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@]3(CCSC3)C2)nc1 ZINC000450912054 285124276 /nfs/dbraw/zinc/12/42/76/285124276.db2.gz ZRZFNPSUFNOKKC-CYBMUJFWSA-N 0 0 279.365 2.713 20 5 CFBDRN O=C(CC1CC1)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268234062 384575199 /nfs/dbraw/zinc/57/51/99/384575199.db2.gz MDFNJSBXQWUXMT-UHFFFAOYSA-N 0 0 268.700 2.665 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCc2c1cccc2Cl ZINC000268252435 384580242 /nfs/dbraw/zinc/58/02/42/384580242.db2.gz PRDPYKWWHPOCOB-UHFFFAOYSA-N 0 0 278.699 2.676 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C[C@@H]1n1ccnc1 ZINC000268261965 384584117 /nfs/dbraw/zinc/58/41/17/384584117.db2.gz MRIJOPGNLFOMJL-DOMZBBRYSA-N 0 0 286.335 2.879 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1cccc([N+](=O)[O-])c1 ZINC000268208568 384565648 /nfs/dbraw/zinc/56/56/48/384565648.db2.gz SWCAZDIWCZBXLJ-DGCLKSJQSA-N 0 0 264.325 2.594 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)c1 ZINC000268417480 384619160 /nfs/dbraw/zinc/61/91/60/384619160.db2.gz VVYVUXMOWNYJIT-JMSVASOKSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C[C@@H](C2CC2)O1 ZINC000279385993 384622315 /nfs/dbraw/zinc/62/23/15/384622315.db2.gz TYBPNRXPJBCYBO-NHYWBVRUSA-N 0 0 276.336 2.594 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CC[C@H](CO)CC2)c([N+](=O)[O-])c1 ZINC000268293909 384593184 /nfs/dbraw/zinc/59/31/84/384593184.db2.gz VYGWNZHLDXDIMV-AULYBMBSSA-N 0 0 292.335 2.760 20 5 CFBDRN COCCN(Cc1ccc(C)o1)c1ccc([N+](=O)[O-])cn1 ZINC000268304632 384596346 /nfs/dbraw/zinc/59/63/46/384596346.db2.gz IGCGDUFBWUNTJU-UHFFFAOYSA-N 0 0 291.307 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3n[nH]cc3C2)c(Cl)c1 ZINC000299319616 285131407 /nfs/dbraw/zinc/13/14/07/285131407.db2.gz FUXMBHWCZLJKFZ-UHFFFAOYSA-N 0 0 278.699 2.534 20 5 CFBDRN C[C@H](CN1CCCC1=O)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000279558884 384676832 /nfs/dbraw/zinc/67/68/32/384676832.db2.gz XHGSHRIQZQCTJM-SECBINFHSA-N 0 0 297.742 2.671 20 5 CFBDRN CCC(=O)N1CC[C@@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000279578795 384684693 /nfs/dbraw/zinc/68/46/93/384684693.db2.gz ZNEDIDGICZCKNO-SNVBAGLBSA-N 0 0 297.742 2.671 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@]3(CCCO3)[C@@H]2C2CC2)c(F)c1 ZINC000294207357 285133768 /nfs/dbraw/zinc/13/37/68/285133768.db2.gz UNHQZHHKOWDAOP-GJZGRUSLSA-N 0 0 292.310 2.882 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC2(CCCC2)C1 ZINC000268900574 384688215 /nfs/dbraw/zinc/68/82/15/384688215.db2.gz ZUPWAYGHCFLIEC-UHFFFAOYSA-N 0 0 262.309 2.744 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000279601598 384690745 /nfs/dbraw/zinc/69/07/45/384690745.db2.gz XISOGPXJLGDAGB-ZDUSSCGKSA-N 0 0 298.364 2.606 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000279663341 384713470 /nfs/dbraw/zinc/71/34/70/384713470.db2.gz CTSLCXTYKSXBSY-PWSUYJOCSA-N 0 0 284.381 2.782 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H](c3ccccc3)C2)n1 ZINC000269041221 384717613 /nfs/dbraw/zinc/71/76/13/384717613.db2.gz UECCREQPFVHYDR-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)cc1 ZINC000269882892 285136238 /nfs/dbraw/zinc/13/62/38/285136238.db2.gz AMSOWRWUGDFIFS-MCIONIFRSA-N 0 0 274.320 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCC(=O)[C@@H]1C ZINC000279721387 384736549 /nfs/dbraw/zinc/73/65/49/384736549.db2.gz UVWKNDJGGDTWBR-MNOVXSKESA-N 0 0 290.319 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@]23CCOc2ccccc23)nc1 ZINC000369694571 285136596 /nfs/dbraw/zinc/13/65/96/285136596.db2.gz HMSRQCWHGSPZPK-GOEBONIOSA-N 0 0 297.314 2.895 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269505228 384771643 /nfs/dbraw/zinc/77/16/43/384771643.db2.gz NSQVURBPBQJFKG-JTQLQIEISA-N 0 0 296.371 2.950 20 5 CFBDRN Cc1cnc(NC[C@]2(O)CCc3ccccc32)c([N+](=O)[O-])c1 ZINC000269835756 384878615 /nfs/dbraw/zinc/87/86/15/384878615.db2.gz GVDMKLNEMLGIFL-MRXNPFEDSA-N 0 0 299.330 2.544 20 5 CFBDRN CC[C@H](C)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000269731406 384853428 /nfs/dbraw/zinc/85/34/28/384853428.db2.gz YMIWLDSJGFWXLM-VIFPVBQESA-N 0 0 277.280 2.960 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000280032899 384856828 /nfs/dbraw/zinc/85/68/28/384856828.db2.gz WBHJAHNKYMLEPG-SECBINFHSA-N 0 0 257.311 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=N[C@H]3CCCC(F)(F)C3)[nH]c2c1 ZINC000447691713 285140106 /nfs/dbraw/zinc/14/01/06/285140106.db2.gz UZKWLYMJOLYBGV-QMMMGPOBSA-N 0 0 296.277 2.883 20 5 CFBDRN Cc1cnc(OCc2cnoc2C2CC2)c([N+](=O)[O-])c1 ZINC000269909969 384902022 /nfs/dbraw/zinc/90/20/22/384902022.db2.gz JJOQOLCJUGSBJP-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CC[C@@](C)(OC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000280146481 384904249 /nfs/dbraw/zinc/90/42/49/384904249.db2.gz ZQDGUKOSQPGKJB-IINYFYTJSA-N 0 0 280.324 2.587 20 5 CFBDRN CC1(CCNC(=O)CCOc2ccccc2[N+](=O)[O-])CC1 ZINC000280148665 384905433 /nfs/dbraw/zinc/90/54/33/384905433.db2.gz WHVLRFISLAUZJK-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN Cc1cc(NCC(C)(C)[C@H](O)C(C)C)ncc1[N+](=O)[O-] ZINC000269930039 384906739 /nfs/dbraw/zinc/90/67/39/384906739.db2.gz MZKVLUYAFCTPRA-CYBMUJFWSA-N 0 0 281.356 2.753 20 5 CFBDRN C[C@H]1OCC[C@@H]1Sc1nc2sccn2c1[N+](=O)[O-] ZINC000269957982 384921325 /nfs/dbraw/zinc/92/13/25/384921325.db2.gz UCISZHBJSNQTMZ-RQJHMYQMSA-N 0 0 285.350 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CCO[C@@H]3CCC[C@@H]32)c1 ZINC000297596414 285147150 /nfs/dbraw/zinc/14/71/50/285147150.db2.gz UPIHWZFRULCUFQ-LSDHHAIUSA-N 0 0 299.330 2.901 20 5 CFBDRN CC(C)n1ccc(NC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000280381403 384998389 /nfs/dbraw/zinc/99/83/89/384998389.db2.gz FOFGHPURPSNAFL-UHFFFAOYSA-N 0 0 280.309 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCCCCO)cc1 ZINC000270064539 384963546 /nfs/dbraw/zinc/96/35/46/384963546.db2.gz XWGWBNXCECVUMK-UHFFFAOYSA-N 0 0 255.339 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@H](F)C3)ccc2c1 ZINC000450603310 285150492 /nfs/dbraw/zinc/15/04/92/285150492.db2.gz SSCCVQJLZQCMRB-JTQLQIEISA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3C[C@H]4CC[C@@H](C3)O4)ccc2c1 ZINC000374093701 285151031 /nfs/dbraw/zinc/15/10/31/285151031.db2.gz SHKWPTLREOKVBA-BETUJISGSA-N 0 0 285.303 2.511 20 5 CFBDRN Cc1cccc(NCC2([S@@](C)=O)CCC2)c1[N+](=O)[O-] ZINC000280499306 385036943 /nfs/dbraw/zinc/03/69/43/385036943.db2.gz BXQMQQUPIAWOGL-LJQANCHMSA-N 0 0 282.365 2.616 20 5 CFBDRN CNc1nnc(Sc2cc(C)ccc2[N+](=O)[O-])s1 ZINC000176494127 533102387 /nfs/dbraw/zinc/10/23/87/533102387.db2.gz TWUTXCZJVWCXLX-UHFFFAOYSA-N 0 0 282.350 2.948 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@H]1CCC(F)(F)C1 ZINC000280700978 385118199 /nfs/dbraw/zinc/11/81/99/385118199.db2.gz LLKMZIVHCDURCV-NSHDSACASA-N 0 0 298.289 2.689 20 5 CFBDRN CC1=CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000280742141 385135993 /nfs/dbraw/zinc/13/59/93/385135993.db2.gz XAAMADICQVLFBD-UHFFFAOYSA-N 0 0 279.271 2.918 20 5 CFBDRN CCc1nnc([C@H](C)Nc2ccc([N+](=O)[O-])cc2F)[nH]1 ZINC000270703844 385198441 /nfs/dbraw/zinc/19/84/41/385198441.db2.gz JGDDTQYLVGLJPX-ZETCQYMHSA-N 0 0 279.275 2.588 20 5 CFBDRN Cc1cnc(N[C@@H](C)c2nnc(C(C)C)[nH]2)c([N+](=O)[O-])c1 ZINC000270621118 385167227 /nfs/dbraw/zinc/16/72/27/385167227.db2.gz CIEPVJAGKVXZHC-VIFPVBQESA-N 0 0 290.327 2.713 20 5 CFBDRN CC(=O)CCC(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000282098704 385212790 /nfs/dbraw/zinc/21/27/90/385212790.db2.gz PDHYYYMEJSVIBJ-UHFFFAOYSA-N 0 0 298.726 2.576 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CCSCC1 ZINC000450253068 285158024 /nfs/dbraw/zinc/15/80/24/285158024.db2.gz MBTOLXOREPRGMF-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CCC(F)(F)C1 ZINC000450429431 285157258 /nfs/dbraw/zinc/15/72/58/285157258.db2.gz ZPSMJGHHDUBZTO-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCOC2(CCC2)C1 ZINC000270868760 385252500 /nfs/dbraw/zinc/25/25/00/385252500.db2.gz LKTAWYDPEQLBPK-GFCCVEGCSA-N 0 0 276.336 2.911 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCO[C@@H]2CCC[C@H]21 ZINC000271120311 285159125 /nfs/dbraw/zinc/15/91/25/285159125.db2.gz JJQQAJIBJSZXMM-RKIWFNSJSA-N 0 0 288.347 2.861 20 5 CFBDRN CC(C)CONc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000271037413 385314227 /nfs/dbraw/zinc/31/42/27/385314227.db2.gz MGECJFBSGKYWMC-UHFFFAOYSA-N 0 0 255.230 2.503 20 5 CFBDRN COC1CC[NH+](Cc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000271051433 385321162 /nfs/dbraw/zinc/32/11/62/385321162.db2.gz WLGAXSAZTUCNRP-UHFFFAOYSA-N 0 0 264.325 2.514 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000289072371 197226984 /nfs/dbraw/zinc/22/69/84/197226984.db2.gz NORURKGZLYPVJD-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN CSCC(C)(C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000282395969 385301042 /nfs/dbraw/zinc/30/10/42/385301042.db2.gz CPICPFLDKCEEPY-UHFFFAOYSA-N 0 0 294.376 2.873 20 5 CFBDRN CC(=O)c1ccc(NOCC(C)C)c([N+](=O)[O-])c1 ZINC000271085752 385333087 /nfs/dbraw/zinc/33/30/87/385333087.db2.gz ZIUCDVQZUCDZAW-UHFFFAOYSA-N 0 0 252.270 2.797 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cn1cnc2ccccc21 ZINC000014737606 285162696 /nfs/dbraw/zinc/16/26/96/285162696.db2.gz RUSJRUDHVBCXEK-UHFFFAOYSA-N 0 0 253.261 2.993 20 5 CFBDRN C/C=C(\C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282534814 385335542 /nfs/dbraw/zinc/33/55/42/385335542.db2.gz VTQNOVZYIYMHDP-QPJJXVBHSA-N 0 0 252.245 2.947 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1cscn1 ZINC000271131219 385345859 /nfs/dbraw/zinc/34/58/59/385345859.db2.gz LYOWEGVKZZRAIG-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000282586778 385346282 /nfs/dbraw/zinc/34/62/82/385346282.db2.gz HLXOMLUFITZRAV-MNOVXSKESA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000282584757 385346308 /nfs/dbraw/zinc/34/63/08/385346308.db2.gz HHIDNJREWSGGFD-ZIAGYGMSSA-N 0 0 293.319 2.638 20 5 CFBDRN CC1(C)SC[C@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000282623796 385355484 /nfs/dbraw/zinc/35/54/84/385355484.db2.gz FSBNXMQCASQMHN-LLVKDONJSA-N 0 0 252.339 2.578 20 5 CFBDRN CO[C@H](Cn1nc(C)c([N+](=O)[O-])c1C)c1ccccc1 ZINC000282672111 385366778 /nfs/dbraw/zinc/36/67/78/385366778.db2.gz PDGHNJYHKHUXOF-CYBMUJFWSA-N 0 0 275.308 2.796 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1O[C@@H](C)C[C@@H]1C ZINC000282723665 385376816 /nfs/dbraw/zinc/37/68/16/385376816.db2.gz ZFNXEDVZQRUGOE-PKFCDNJMSA-N 0 0 292.335 2.964 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000282739302 385380485 /nfs/dbraw/zinc/38/04/85/385380485.db2.gz TZDOGGMDVUPOEX-RHTNZILOSA-N 0 0 291.303 2.719 20 5 CFBDRN CCSCCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000282778572 385386462 /nfs/dbraw/zinc/38/64/62/385386462.db2.gz IJTRFJHQWODJRI-UHFFFAOYSA-N 0 0 283.353 2.860 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H]2C)c1 ZINC000282889758 385405684 /nfs/dbraw/zinc/40/56/84/385405684.db2.gz SFOVRNPEGOGKMP-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCN(c2cccs2)CC1 ZINC000268148930 285164078 /nfs/dbraw/zinc/16/40/78/285164078.db2.gz MEDQFANYIAETCN-UHFFFAOYSA-N 0 0 289.360 2.983 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCCO1 ZINC000271967195 385413442 /nfs/dbraw/zinc/41/34/42/385413442.db2.gz HINDSAJSWILGPO-GXFFZTMASA-N 0 0 293.323 2.674 20 5 CFBDRN CC(C)C[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)CCO1 ZINC000271978894 385417694 /nfs/dbraw/zinc/41/76/94/385417694.db2.gz FJMPCKGZRGDVMN-QWRGUYRKSA-N 0 0 296.323 2.511 20 5 CFBDRN CCCN(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272063508 385439207 /nfs/dbraw/zinc/43/92/07/385439207.db2.gz AKEOHESMKIVSNY-UHFFFAOYSA-N 0 0 272.251 2.555 20 5 CFBDRN CC(C)(O)CCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000272068546 385440733 /nfs/dbraw/zinc/44/07/33/385440733.db2.gz BQYHHCLLVXQTFF-UHFFFAOYSA-N 0 0 275.308 2.619 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(C[C@@H]3CCCO3)c2)c1 ZINC000272099314 385447438 /nfs/dbraw/zinc/44/74/38/385447438.db2.gz LFCWSBVKKGKLLA-AWEZNQCLSA-N 0 0 273.292 2.637 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000272026729 385430489 /nfs/dbraw/zinc/43/04/89/385430489.db2.gz RBDKREAPCVIOIW-RNCFNFMXSA-N 0 0 295.295 2.873 20 5 CFBDRN COc1ccc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC000272044234 385434226 /nfs/dbraw/zinc/43/42/26/385434226.db2.gz PDTBOFUFIQAEEU-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000283557214 385476066 /nfs/dbraw/zinc/47/60/66/385476066.db2.gz PLHDRMPIAYOTPD-GBIKHYSHSA-N 0 0 278.308 2.655 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283605291 385480412 /nfs/dbraw/zinc/48/04/12/385480412.db2.gz MVLGRZNRZLRJSU-HUUCEWRRSA-N 0 0 290.363 2.888 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000272242336 385485731 /nfs/dbraw/zinc/48/57/31/385485731.db2.gz YPSMMTALBSIMNB-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCC[C@H]1C ZINC000288925974 197193507 /nfs/dbraw/zinc/19/35/07/197193507.db2.gz QBKVRXYCZJLHHO-PSASIEDQSA-N 0 0 252.318 2.720 20 5 CFBDRN COC[C@@H](C)SCCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000283412442 385463269 /nfs/dbraw/zinc/46/32/69/385463269.db2.gz GBWFDPWUKFYBPI-SNVBAGLBSA-N 0 0 298.364 2.692 20 5 CFBDRN Cc1cccc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000283729598 385493699 /nfs/dbraw/zinc/49/36/99/385493699.db2.gz ZDHHZFOMFAJWGQ-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272283757 385498807 /nfs/dbraw/zinc/49/88/07/385498807.db2.gz YSLUCMUOJYFTCT-CABZTGNLSA-N 0 0 298.289 2.991 20 5 CFBDRN C[C@H]1OCC[C@H]1SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000283880088 385510320 /nfs/dbraw/zinc/51/03/20/385510320.db2.gz IHHWRHRSOLCGRE-PRHODGIISA-N 0 0 298.320 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)OCC(F)(F)F ZINC000283893172 385511816 /nfs/dbraw/zinc/51/18/16/385511816.db2.gz OJPNBERKIKHHFD-UHFFFAOYSA-N 0 0 295.169 2.681 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCOCC3)CC2)c(F)c1 ZINC000413296385 285172846 /nfs/dbraw/zinc/17/28/46/285172846.db2.gz QGPRPMVBDYMTFQ-UHFFFAOYSA-N 0 0 295.314 2.526 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](F)C2)c(Cl)c1 ZINC000408103791 285173321 /nfs/dbraw/zinc/17/33/21/285173321.db2.gz CCWHCKICCUQCMO-ZETCQYMHSA-N 0 0 259.668 2.582 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)[C@@H](C)C1 ZINC000284071435 385531013 /nfs/dbraw/zinc/53/10/13/385531013.db2.gz RNPFWXZSVJBSFA-IUCAKERBSA-N 0 0 295.314 2.577 20 5 CFBDRN Cc1nc(S[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000272432143 385535715 /nfs/dbraw/zinc/53/57/15/385535715.db2.gz GTKZMKCDKSNCMV-SECBINFHSA-N 0 0 254.311 2.569 20 5 CFBDRN CC(C)N(C(=O)c1nn(C)cc1[N+](=O)[O-])C1CCCCC1 ZINC000272433614 385536008 /nfs/dbraw/zinc/53/60/08/385536008.db2.gz HBGRMBGNMISDES-UHFFFAOYSA-N 0 0 294.355 2.512 20 5 CFBDRN CN(C(=O)C1CCCCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000288842916 197176962 /nfs/dbraw/zinc/17/69/62/197176962.db2.gz OTCBOJHOUDOJGO-UHFFFAOYSA-N 0 0 277.324 2.923 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272382959 385524669 /nfs/dbraw/zinc/52/46/69/385524669.db2.gz BTTYDCINBHLJBT-GHMZBOCLSA-N 0 0 294.351 2.902 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCSC2)c(Cl)c1 ZINC000226260620 285180889 /nfs/dbraw/zinc/18/08/89/285180889.db2.gz VKOSVUYCLHNXCS-SSDOTTSWSA-N 0 0 273.745 2.951 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCc3sccc32)nc1 ZINC000061364174 285180986 /nfs/dbraw/zinc/18/09/86/285180986.db2.gz FJHWHDXYDZNMTI-SNVBAGLBSA-N 0 0 276.321 2.936 20 5 CFBDRN CCC(C)(C)Nc1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000286232453 385575607 /nfs/dbraw/zinc/57/56/07/385575607.db2.gz UEDDHJCFZCPQJQ-UHFFFAOYSA-N 0 0 281.312 2.685 20 5 CFBDRN COc1ccc(OCCN2CCC=C(F)C2)c([N+](=O)[O-])c1 ZINC000272500489 385554079 /nfs/dbraw/zinc/55/40/79/385554079.db2.gz NTTKKISGRZAPRE-UHFFFAOYSA-N 0 0 296.298 2.541 20 5 CFBDRN COc1cc(NCC2(OC)CCC2)c([N+](=O)[O-])cc1F ZINC000272546320 385562102 /nfs/dbraw/zinc/56/21/02/385562102.db2.gz SNOZJBKWVTXQMH-UHFFFAOYSA-N 0 0 284.287 2.724 20 5 CFBDRN Cc1ccnc(N2CC[C@H](C(C)(C)C)[C@H](O)C2)c1[N+](=O)[O-] ZINC000272589706 385569658 /nfs/dbraw/zinc/56/96/58/385569658.db2.gz WHAXCEXEHQPRKM-NWDGAFQWSA-N 0 0 293.367 2.532 20 5 CFBDRN CON(CC(C)(C)C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000286220464 385573823 /nfs/dbraw/zinc/57/38/23/385573823.db2.gz CDDOKAICOJTVGJ-UHFFFAOYSA-N 0 0 283.284 2.917 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1ccc(Cl)cn1 ZINC000286359588 385597810 /nfs/dbraw/zinc/59/78/10/385597810.db2.gz DHWBZGQBJXCHSB-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CCCCO1 ZINC000292039330 285186737 /nfs/dbraw/zinc/18/67/37/285186737.db2.gz VCZXDRNBPFLYPL-UHFFFAOYSA-N 0 0 259.265 2.675 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc(C)cc2[N+](=O)[O-])[nH]1 ZINC000286419668 385615452 /nfs/dbraw/zinc/61/54/52/385615452.db2.gz OMDKEYHRGIVRGL-MRVPVSSYSA-N 0 0 261.285 2.503 20 5 CFBDRN Cc1cc(COC(=O)CC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000272798633 385619099 /nfs/dbraw/zinc/61/90/99/385619099.db2.gz UQNMGXCVWROYIG-CYBMUJFWSA-N 0 0 293.319 2.906 20 5 CFBDRN O=[N+]([O-])c1cnn(-c2nc(C3CCCCC3)ns2)c1 ZINC000420581074 285188757 /nfs/dbraw/zinc/18/87/57/285188757.db2.gz DQKREQVGLWRYHJ-UHFFFAOYSA-N 0 0 279.325 2.680 20 5 CFBDRN CC(C)CSCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000286457163 385625873 /nfs/dbraw/zinc/62/58/73/385625873.db2.gz JYFUAPFWMXQZQH-UHFFFAOYSA-N 0 0 269.370 2.978 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCO[C@@H](C)C1 ZINC000286464355 385628088 /nfs/dbraw/zinc/62/80/88/385628088.db2.gz KJXKRYPMFSKGHS-GXSJLCMTSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1c(F)cccc1[N+](=O)[O-] ZINC000286479609 385631637 /nfs/dbraw/zinc/63/16/37/385631637.db2.gz UXGBUBVZEPIHCV-VHSXEESVSA-N 0 0 268.288 2.818 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1ccccc1[N+](=O)[O-] ZINC000286396560 385608467 /nfs/dbraw/zinc/60/84/67/385608467.db2.gz OBNSKONRNARADN-WDEREUQCSA-N 0 0 250.298 2.679 20 5 CFBDRN CCC(CC)NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000286410201 385612325 /nfs/dbraw/zinc/61/23/25/385612325.db2.gz FEXYPFGJAGFWSW-UHFFFAOYSA-N 0 0 293.367 2.967 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272869980 385637501 /nfs/dbraw/zinc/63/75/01/385637501.db2.gz ZJQZDJHPTGRMKR-JTQLQIEISA-N 0 0 296.323 2.747 20 5 CFBDRN CC(C)[C@@H](Nc1ccc([N+](=O)[O-])cc1F)c1nncn1C ZINC000286552797 385647861 /nfs/dbraw/zinc/64/78/61/385647861.db2.gz JXODHMNUIGWEAC-GFCCVEGCSA-N 0 0 293.302 2.672 20 5 CFBDRN COC1(CNc2ncnc3sc([N+](=O)[O-])cc32)CCC1 ZINC000286572084 385653480 /nfs/dbraw/zinc/65/34/80/385653480.db2.gz RPIKERDHGRUPNE-UHFFFAOYSA-N 0 0 294.336 2.581 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@H]1CCCCS1 ZINC000272936963 385660479 /nfs/dbraw/zinc/66/04/79/385660479.db2.gz HYYXQVPWBDSKPA-CYBMUJFWSA-N 0 0 294.376 2.539 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CC1(C)C ZINC000286660519 385679897 /nfs/dbraw/zinc/67/98/97/385679897.db2.gz OWOAUJSABYHFLR-ZDUSSCGKSA-N 0 0 291.351 2.577 20 5 CFBDRN CC(C)[C@H]1C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000286670281 385682987 /nfs/dbraw/zinc/68/29/87/385682987.db2.gz YVOVZZHLVPWTJM-BXUZGUMPSA-N 0 0 279.340 2.793 20 5 CFBDRN CC(C)(C)C1CCN(c2ncc([N+](=O)[O-])cn2)CC1 ZINC000273564599 385690175 /nfs/dbraw/zinc/69/01/75/385690175.db2.gz CEGYUAVUBXHOIB-UHFFFAOYSA-N 0 0 264.329 2.647 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@H]2N1c1ncc([N+](=O)[O-])cn1 ZINC000273649303 385692648 /nfs/dbraw/zinc/69/26/48/385692648.db2.gz UGDOCKZFSDTYQO-CKYFFXLPSA-N 0 0 262.313 2.542 20 5 CFBDRN CC(C)C[C@H]1CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000273936965 385696863 /nfs/dbraw/zinc/69/68/63/385696863.db2.gz LFRYBNIGEKTVIG-LLVKDONJSA-N 0 0 264.329 2.647 20 5 CFBDRN Cc1cc(Cc2noc(C3CC3)n2)ccc1[N+](=O)[O-] ZINC000274011223 385697824 /nfs/dbraw/zinc/69/78/24/385697824.db2.gz SBZDACRJAJZTRL-UHFFFAOYSA-N 0 0 259.265 2.754 20 5 CFBDRN CCC(CC)[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cn2)CCO1 ZINC000274038625 385706462 /nfs/dbraw/zinc/70/64/62/385706462.db2.gz XMGGQEGARDXEKE-WCQYABFASA-N 0 0 294.355 2.781 20 5 CFBDRN Cc1c(Cc2nc([C@@H]3CCCO3)no2)cccc1[N+](=O)[O-] ZINC000274056008 385710296 /nfs/dbraw/zinc/71/02/96/385710296.db2.gz DMYXYLQOHCCTRS-LBPRGKRZSA-N 0 0 289.291 2.729 20 5 CFBDRN COc1cc(-c2nc([C@@H]3CCCO3)no2)ccc1[N+](=O)[O-] ZINC000274069814 385712990 /nfs/dbraw/zinc/71/29/90/385712990.db2.gz FOBGYQNVBCRLQR-JTQLQIEISA-N 0 0 291.263 2.505 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC2(C)C)ccc1[N+](=O)[O-] ZINC000286815107 385715812 /nfs/dbraw/zinc/71/58/12/385715812.db2.gz UNMJDLVLFQXIIE-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC1(C)C ZINC000286819923 385716569 /nfs/dbraw/zinc/71/65/69/385716569.db2.gz UYTSFTBINNBPQS-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000286623686 385667599 /nfs/dbraw/zinc/66/75/99/385667599.db2.gz UUNOLFNFZAVIDP-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC[C@@H]1C[C@@H]1C ZINC000274295030 385757910 /nfs/dbraw/zinc/75/79/10/385757910.db2.gz RYWBKMPYQRFAQZ-KWQFWETISA-N 0 0 277.324 2.989 20 5 CFBDRN Cc1n[nH]c(C(=O)NCCC2=CCCCCC2)c1[N+](=O)[O-] ZINC000274325335 385766472 /nfs/dbraw/zinc/76/64/72/385766472.db2.gz BWJMJUXVIUWIBU-UHFFFAOYSA-N 0 0 292.339 2.637 20 5 CFBDRN Cc1cc(NC(=O)N2CCC=C(F)C2)ccc1[N+](=O)[O-] ZINC000274651656 385842281 /nfs/dbraw/zinc/84/22/81/385842281.db2.gz NJHBJODIKMLUFG-UHFFFAOYSA-N 0 0 279.271 2.994 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000274675608 385848106 /nfs/dbraw/zinc/84/81/06/385848106.db2.gz IPVHYPGAGDTSEW-CZUORRHYSA-N 0 0 290.363 2.936 20 5 CFBDRN CCOc1cccc(N2CC=C(COC)CC2)c1[N+](=O)[O-] ZINC000274693262 385850019 /nfs/dbraw/zinc/85/00/19/385850019.db2.gz KPJWOLRGSAJCCJ-UHFFFAOYSA-N 0 0 292.335 2.776 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@@H]2CCO)c1 ZINC000274983043 385906952 /nfs/dbraw/zinc/90/69/52/385906952.db2.gz CANDFAIHYKTXCH-PWSUYJOCSA-N 0 0 280.324 2.566 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCC[C@@H]2CCO)c(F)c1 ZINC000275040172 385919177 /nfs/dbraw/zinc/91/91/77/385919177.db2.gz QFXCANZOAUECNN-PRHODGIISA-N 0 0 286.278 2.836 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCC[C@H]2CCO)c(F)c1 ZINC000275040174 385919402 /nfs/dbraw/zinc/91/94/02/385919402.db2.gz QFXCANZOAUECNN-QPUJVOFHSA-N 0 0 286.278 2.836 20 5 CFBDRN CCOc1cccc(N[C@@H]2CCC[C@H]2CCO)c1[N+](=O)[O-] ZINC000275068117 385925405 /nfs/dbraw/zinc/92/54/05/385925405.db2.gz YKVIJSTWCXCBOO-NWDGAFQWSA-N 0 0 294.351 2.957 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1CCC[C@@H]1CCO ZINC000275068088 385925856 /nfs/dbraw/zinc/92/58/56/385925856.db2.gz YKNCBUVMCSMGSU-KOLCDFICSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000274827999 385879122 /nfs/dbraw/zinc/87/91/22/385879122.db2.gz SZSDQFHMLMPYDH-RISCZKNCSA-N 0 0 276.336 2.784 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1ccccc1[N+](=O)[O-] ZINC000288522647 197102690 /nfs/dbraw/zinc/10/26/90/197102690.db2.gz HYCFLMDIRVZBPJ-UHFFFAOYSA-N 0 0 292.251 2.820 20 5 CFBDRN Cc1ccnc(N2CCC([C@H]3CCCO3)CC2)c1[N+](=O)[O-] ZINC000275815910 385980210 /nfs/dbraw/zinc/98/02/10/385980210.db2.gz FBJSSHVWGDPKFN-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN CC[C@@]1(C)CN(c2cccc(OC)c2[N+](=O)[O-])CCO1 ZINC000276029574 385981302 /nfs/dbraw/zinc/98/13/02/385981302.db2.gz LLHUETLCKCDXIX-AWEZNQCLSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC([C@H]2CCCO2)CC1 ZINC000276104899 385983333 /nfs/dbraw/zinc/98/33/33/385983333.db2.gz WVHZTNJDBOWLRJ-CQSZACIVSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC([C@@H]2CCCO2)CC1 ZINC000276104898 385984435 /nfs/dbraw/zinc/98/44/35/385984435.db2.gz WVHZTNJDBOWLRJ-AWEZNQCLSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC(C)(C(C)C)CC2)c1[N+](=O)[O-] ZINC000275195674 385954587 /nfs/dbraw/zinc/95/45/87/385954587.db2.gz BVCYYMUBGNONBK-UHFFFAOYSA-N 0 0 294.355 2.525 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C[C@@H]1C=CCC1 ZINC000288474455 197088359 /nfs/dbraw/zinc/08/83/59/197088359.db2.gz VBMMYKWBKZJSEL-CYBMUJFWSA-N 0 0 288.347 2.952 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276681943 386015191 /nfs/dbraw/zinc/01/51/91/386015191.db2.gz VCZLQXPHVAGFIE-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@@](C)(O)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276719049 386023936 /nfs/dbraw/zinc/02/39/36/386023936.db2.gz ZGSGVGLUDGYFEJ-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN COC1(CC(=O)N(C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000276787343 386043827 /nfs/dbraw/zinc/04/38/27/386043827.db2.gz SHSJAKBASRKBNH-UHFFFAOYSA-N 0 0 278.308 2.517 20 5 CFBDRN COc1ccc(OC(=O)C2(C)CCC2)cc1[N+](=O)[O-] ZINC000276807769 386048995 /nfs/dbraw/zinc/04/89/95/386048995.db2.gz XDJNSBXNDFVZFS-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN Cc1cc(N[C@@H](C(C)C)C(C)(C)O)ncc1[N+](=O)[O-] ZINC000276808208 386049454 /nfs/dbraw/zinc/04/94/54/386049454.db2.gz RWLRQMMOLGCFOR-LBPRGKRZSA-N 0 0 267.329 2.506 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000276624930 385995560 /nfs/dbraw/zinc/99/55/60/385995560.db2.gz UFHYXOZOPKJYDE-KWQFWETISA-N 0 0 255.245 2.680 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC1CC(F)(F)C1 ZINC000277051020 386117267 /nfs/dbraw/zinc/11/72/67/386117267.db2.gz QDLRHPLRLFDQBY-ZZXKWVIFSA-N 0 0 282.246 2.522 20 5 CFBDRN CCC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277065537 386119866 /nfs/dbraw/zinc/11/98/66/386119866.db2.gz RWINFZMMKPKCIG-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CCOC1CC(N(C)c2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000288451070 197081443 /nfs/dbraw/zinc/08/14/43/197081443.db2.gz JIBUSWLTEWUMNW-UHFFFAOYSA-N 0 0 298.314 2.746 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1SC[C@@H]1CCCO1 ZINC000276900611 386074189 /nfs/dbraw/zinc/07/41/89/386074189.db2.gz LPBXZQMJIRGULX-QMMMGPOBSA-N 0 0 274.729 2.914 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)[C@@H](C)C2)cc([N+](=O)[O-])c1C ZINC000276919705 386080689 /nfs/dbraw/zinc/08/06/89/386080689.db2.gz QPRGVOKGUWSTEZ-UWVGGRQHSA-N 0 0 292.335 2.640 20 5 CFBDRN CC[C@H](C)NC(=O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288386524 197065258 /nfs/dbraw/zinc/06/52/58/197065258.db2.gz JJJAZODALNEEIL-QMMMGPOBSA-N 0 0 286.715 2.542 20 5 CFBDRN CC[C@H](C)N(CCO)c1ccc([N+](=O)[O-])c(C)c1 ZINC000288388296 197064836 /nfs/dbraw/zinc/06/48/36/197064836.db2.gz FIPOWWZNVJIUGU-NSHDSACASA-N 0 0 252.314 2.500 20 5 CFBDRN C[C@@H](CF)NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000288384556 197064350 /nfs/dbraw/zinc/06/43/50/197064350.db2.gz VTNMIADQMMMFKX-LURJTMIESA-N 0 0 294.204 2.701 20 5 CFBDRN CC(=O)c1cc(N[C@H](CCO)C(C)C)ccc1[N+](=O)[O-] ZINC000288378005 197062585 /nfs/dbraw/zinc/06/25/85/197062585.db2.gz LQROSLQADPWTER-CYBMUJFWSA-N 0 0 280.324 2.616 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000573440789 322656228 /nfs/dbraw/zinc/65/62/28/322656228.db2.gz ZVDWMBHYWVOHLW-RKDXNWHRSA-N 0 0 270.308 2.583 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@H](C)c1cccnc1 ZINC000288266017 197040142 /nfs/dbraw/zinc/04/01/42/197040142.db2.gz YVAJCSTXDMYIKU-SNVBAGLBSA-N 0 0 289.339 2.712 20 5 CFBDRN Cc1ncccc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288257437 197037486 /nfs/dbraw/zinc/03/74/86/197037486.db2.gz JQMZBYJALJEENI-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CCCCN(CC)c1c([N+](=O)[O-])nc(C)n1CC ZINC000288228424 197031019 /nfs/dbraw/zinc/03/10/19/197031019.db2.gz WAYFIHYCXSMRNL-UHFFFAOYSA-N 0 0 254.334 2.746 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1[C@@H]1C=CCCC1 ZINC000171792813 285229246 /nfs/dbraw/zinc/22/92/46/285229246.db2.gz XDNDYPVOQAUJRR-SECBINFHSA-N 0 0 260.249 2.784 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1cccc(OC)c1 ZINC000288123860 197009213 /nfs/dbraw/zinc/00/92/13/197009213.db2.gz LVXDMTXRLNOTQU-UHFFFAOYSA-N 0 0 290.323 2.740 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1ccc(C)o1 ZINC000288100900 197004186 /nfs/dbraw/zinc/00/41/86/197004186.db2.gz JTIZMRFGLGVDKT-UHFFFAOYSA-N 0 0 278.312 2.657 20 5 CFBDRN COC[C@@H]1CCCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000342888378 285260994 /nfs/dbraw/zinc/26/09/94/285260994.db2.gz CRPUNFILTSWHRX-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)C1CC1 ZINC000281242535 195163736 /nfs/dbraw/zinc/16/37/36/195163736.db2.gz FSLGIXIMQFUBGG-SECBINFHSA-N 0 0 278.308 2.978 20 5 CFBDRN C[C@@H](C(=O)NC1(C)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000575143037 322720335 /nfs/dbraw/zinc/72/03/35/322720335.db2.gz OLHQBXZSUSOHCE-SNVBAGLBSA-N 0 0 262.309 2.757 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000425481792 533205725 /nfs/dbraw/zinc/20/57/25/533205725.db2.gz GHPILTFMDCFYLI-WISYIIOYSA-N 0 0 289.335 2.754 20 5 CFBDRN COc1ncc(C)cc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000173529686 533208575 /nfs/dbraw/zinc/20/85/75/533208575.db2.gz RVEQSHAPUVRYPC-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CCC(=O)c1ccc(NCCC(C)(C)O)c([N+](=O)[O-])c1 ZINC000281431293 195237439 /nfs/dbraw/zinc/23/74/39/195237439.db2.gz JIXKEEKJMSMURC-UHFFFAOYSA-N 0 0 280.324 2.760 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC=C(C)C ZINC000281464931 195250726 /nfs/dbraw/zinc/25/07/26/195250726.db2.gz LZWGEXDZCBDJIT-UHFFFAOYSA-N 0 0 252.318 2.888 20 5 CFBDRN Cc1nn(CC[C@H](O)c2ccccc2)c(C)c1[N+](=O)[O-] ZINC000287010293 196757524 /nfs/dbraw/zinc/75/75/24/196757524.db2.gz FPZCTAOWFQQDON-ZDUSSCGKSA-N 0 0 275.308 2.532 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC2(C)C)ccc1[N+](=O)[O-] ZINC000286815111 196711014 /nfs/dbraw/zinc/71/10/14/196711014.db2.gz UNMJDLVLFQXIIE-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCC(F)(F)C3)no2)[nH]1 ZINC000281494855 195263047 /nfs/dbraw/zinc/26/30/47/195263047.db2.gz TYZRGZMLGANOJT-ZCFIWIBFSA-N 0 0 284.222 2.876 20 5 CFBDRN C[C@H](Nc1cc(Cl)ccc1[N+](=O)[O-])c1ncn(C)n1 ZINC000286799727 196706769 /nfs/dbraw/zinc/70/67/69/196706769.db2.gz WJFOLGOBXFZLKG-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C1[C@@H]2CCCC[C@H]12 ZINC000081286661 177786575 /nfs/dbraw/zinc/78/65/75/177786575.db2.gz JVRYHBLPANIQEO-YIONKMFJSA-N 0 0 288.347 2.690 20 5 CFBDRN COC(=O)C[C@H](C)SCc1ccc([N+](=O)[O-])c(F)c1 ZINC000094772540 184858877 /nfs/dbraw/zinc/85/88/77/184858877.db2.gz YLOPTHRQRDTGOF-QMMMGPOBSA-N 0 0 287.312 2.919 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000094957377 184903955 /nfs/dbraw/zinc/90/39/55/184903955.db2.gz YXCPDMXYKYFGGB-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CCCO1 ZINC000281519560 195273022 /nfs/dbraw/zinc/27/30/22/195273022.db2.gz LJPYIXBTQLPQTC-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN CO[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000281659759 195326242 /nfs/dbraw/zinc/32/62/42/195326242.db2.gz KSBSPGYOVCWPRY-KOLCDFICSA-N 0 0 270.354 2.656 20 5 CFBDRN C[C@@](O)(COc1cc(Cl)ccc1[N+](=O)[O-])C(F)(F)F ZINC000281784064 195379189 /nfs/dbraw/zinc/37/91/89/195379189.db2.gz HKBNIBLIEYTGBV-SECBINFHSA-N 0 0 299.632 2.940 20 5 CFBDRN CCc1ccc(OC[C@@](C)(O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000281722335 195352247 /nfs/dbraw/zinc/35/22/47/195352247.db2.gz DCUODDQQYGSAJF-LLVKDONJSA-N 0 0 293.241 2.849 20 5 CFBDRN COCC1(CNc2ncc(Cl)cc2[N+](=O)[O-])CCC1 ZINC000281722882 195352307 /nfs/dbraw/zinc/35/23/07/195352307.db2.gz AFCHHJDDDWKACS-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN C[N@H+](CCCO)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000281768543 195373016 /nfs/dbraw/zinc/37/30/16/195373016.db2.gz LZNFFKBNAWALDF-UHFFFAOYSA-N 0 0 290.319 2.669 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000281788508 195381437 /nfs/dbraw/zinc/38/14/37/195381437.db2.gz LEQKCXGJOGHPDZ-IOJZVYEBSA-N 0 0 260.293 2.523 20 5 CFBDRN COCC1(CNc2ncc([N+](=O)[O-])cc2Cl)CCC1 ZINC000281800503 195387004 /nfs/dbraw/zinc/38/70/04/195387004.db2.gz GFNZUOZSYJKIRN-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN Cc1cn([C@H](C)c2cc([N+](=O)[O-])ccc2C)nc1[N+](=O)[O-] ZINC000282293674 195565776 /nfs/dbraw/zinc/56/57/76/195565776.db2.gz QRLNBPWJCQELJG-SNVBAGLBSA-N 0 0 290.279 2.926 20 5 CFBDRN Cc1cc(F)c(NC(=O)COC(C)(C)C)cc1[N+](=O)[O-] ZINC000282332141 195578108 /nfs/dbraw/zinc/57/81/08/195578108.db2.gz FYMTYUYBEKQGGT-UHFFFAOYSA-N 0 0 284.287 2.796 20 5 CFBDRN Cc1cc(F)c(NC(=O)CC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000282337924 195579633 /nfs/dbraw/zinc/57/96/33/195579633.db2.gz GFUGEWCXGMMBOR-SNVBAGLBSA-N 0 0 296.298 2.798 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000282789687 195708939 /nfs/dbraw/zinc/70/89/39/195708939.db2.gz JDXWAUPRXRXHGU-RYUDHWBXSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1ccc(OC[C@H](O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000282928072 195746602 /nfs/dbraw/zinc/74/66/02/195746602.db2.gz GRVOFMATFOMINJ-LBPRGKRZSA-N 0 0 253.298 2.689 20 5 CFBDRN CCC[C@@H]1C[C@@H]1NC(=O)c1cc(OCC)ccc1[N+](=O)[O-] ZINC000283915753 195942822 /nfs/dbraw/zinc/94/28/22/195942822.db2.gz JUIKDPRGHOVWPO-MFKMUULPSA-N 0 0 292.335 2.912 20 5 CFBDRN COCCCCN(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000419021896 533254847 /nfs/dbraw/zinc/25/48/47/533254847.db2.gz YZUMIHUVATUUCT-UHFFFAOYSA-N 0 0 273.720 2.506 20 5 CFBDRN COc1ncccc1COc1cc([N+](=O)[O-])ccc1C ZINC000176923866 533262233 /nfs/dbraw/zinc/26/22/33/533262233.db2.gz LCJANPWVYRSQGA-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000413758085 533490594 /nfs/dbraw/zinc/49/05/94/533490594.db2.gz NLXBKUZUBFASHT-LURJTMIESA-N 0 0 276.214 2.964 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1ccc(C2CC2)cc1 ZINC000419004716 533565516 /nfs/dbraw/zinc/56/55/16/533565516.db2.gz OKYFVWYNPPMESE-SECBINFHSA-N 0 0 299.334 2.848 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncnc3cccc([N+](=O)[O-])c32)CS1 ZINC000413517120 533663384 /nfs/dbraw/zinc/66/33/84/533663384.db2.gz MDSCLUXXAVPKRY-RKDXNWHRSA-N 0 0 290.348 2.844 20 5 CFBDRN O=C(Nc1cnc(CC2CC2)nc1)c1ccccc1[N+](=O)[O-] ZINC000341733041 130003713 /nfs/dbraw/zinc/00/37/13/130003713.db2.gz UQDXBALATZOMSV-UHFFFAOYSA-N 0 0 298.302 2.590 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000341756463 130024357 /nfs/dbraw/zinc/02/43/57/130024357.db2.gz NCXWCDPTJZDXEA-NSHDSACASA-N 0 0 279.340 2.510 20 5 CFBDRN CC(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1 ZINC000341879562 130105681 /nfs/dbraw/zinc/10/56/81/130105681.db2.gz YDVWUEAMOQWVDX-UHFFFAOYSA-N 0 0 277.305 2.985 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000342014351 130198900 /nfs/dbraw/zinc/19/89/00/130198900.db2.gz QKZLBFSMMRPLQO-WPRPVWTQSA-N 0 0 297.742 2.703 20 5 CFBDRN CC(C)c1ccc(C[N@H+](C)C2CC(O)C2)cc1[N+](=O)[O-] ZINC000355361376 130290939 /nfs/dbraw/zinc/29/09/39/130290939.db2.gz HFLHMUTVHWVORW-UHFFFAOYSA-N 0 0 278.352 2.673 20 5 CFBDRN Cc1nn(CC2CCOCC2)c2ccc([N+](=O)[O-])cc12 ZINC000355433098 130305006 /nfs/dbraw/zinc/30/50/06/130305006.db2.gz LNGNQHWSEQIQKE-UHFFFAOYSA-N 0 0 275.308 2.680 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(-c3cncc(F)c3)n2)c1 ZINC000355543790 130312363 /nfs/dbraw/zinc/31/23/63/130312363.db2.gz GFQSKGHZEFKBRH-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(SC[C@H]2CCCO2)n1 ZINC000191841827 130314964 /nfs/dbraw/zinc/31/49/64/130314964.db2.gz RGEJRRQUFUEDJT-SECBINFHSA-N 0 0 254.311 2.569 20 5 CFBDRN CC(C)(C(=O)NCc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000355621304 130321108 /nfs/dbraw/zinc/32/11/08/130321108.db2.gz QKYKMXMZMPJSLB-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC(F)(F)C(F)F)c1 ZINC000192026454 130354161 /nfs/dbraw/zinc/35/41/61/130354161.db2.gz UUAFTKDZXADPST-UHFFFAOYSA-N 0 0 294.204 2.533 20 5 CFBDRN CCCCOCCOc1ccc([N+](=O)[O-])c(OC)c1 ZINC000355957651 130360097 /nfs/dbraw/zinc/36/00/97/130360097.db2.gz ZYPNVWUYSIZZRX-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN C[C@H]1CC[N@H+](CCOc2ccccc2[N+](=O)[O-])CCS1 ZINC000192186704 130389983 /nfs/dbraw/zinc/38/99/83/130389983.db2.gz PZNBFQDOYMWPKY-LBPRGKRZSA-N 0 0 296.392 2.801 20 5 CFBDRN Cc1cnc(-c2noc(-c3cc([N+](=O)[O-])ccc3C)n2)nc1 ZINC000357168544 130409113 /nfs/dbraw/zinc/40/91/13/130409113.db2.gz IVRQSTHEWFUYHO-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCO[C@@H](c3cccs3)C2)s1 ZINC000192490781 130457506 /nfs/dbraw/zinc/45/75/06/130457506.db2.gz FHLCYHFRILVWPA-MRVPVSSYSA-N 0 0 297.361 2.691 20 5 CFBDRN O=C(C1CCC1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000357452560 130472358 /nfs/dbraw/zinc/47/23/58/130472358.db2.gz LPLWYUKQIVKOER-CQSZACIVSA-N 0 0 292.360 2.969 20 5 CFBDRN Nc1c(C(=O)Nc2cc(C3CC3)ccn2)cccc1[N+](=O)[O-] ZINC000359664927 130528835 /nfs/dbraw/zinc/52/88/35/130528835.db2.gz DIFLDMBIMFWNQB-UHFFFAOYSA-N 0 0 298.302 2.702 20 5 CFBDRN C[C@@H](CCc1cccn1C)Nc1cc(N)ccc1[N+](=O)[O-] ZINC000192795974 130547914 /nfs/dbraw/zinc/54/79/14/130547914.db2.gz LLKOOOKFZZIKTD-NSHDSACASA-N 0 0 288.351 2.949 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000359965280 130568421 /nfs/dbraw/zinc/56/84/21/130568421.db2.gz YGAUHIIEXJLHAU-UWVGGRQHSA-N 0 0 278.308 2.978 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2cc(C)c([N+](=O)[O-])cc2F)[C@H]1OC ZINC000360044918 130580635 /nfs/dbraw/zinc/58/06/35/130580635.db2.gz AUWBMGBLXHFHHW-IACUBPJLSA-N 0 0 298.314 2.647 20 5 CFBDRN C[C@H](COCC(F)(F)F)Nc1cc(N)ccc1[N+](=O)[O-] ZINC000193397331 130619723 /nfs/dbraw/zinc/61/97/23/130619723.db2.gz HDECXTVCFSSVBM-SSDOTTSWSA-N 0 0 293.245 2.556 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])s1)c1nccs1 ZINC000193854134 130656029 /nfs/dbraw/zinc/65/60/29/130656029.db2.gz ASGTUCPHKOELGI-ZCFIWIBFSA-N 0 0 270.339 2.723 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])cc1OC ZINC000220086863 130778330 /nfs/dbraw/zinc/77/83/30/130778330.db2.gz ITVRTBFPJYUJOF-NXEZZACHSA-N 0 0 296.323 2.591 20 5 CFBDRN CCCOCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000222222100 130789239 /nfs/dbraw/zinc/78/92/39/130789239.db2.gz DSONJLVTLPOWPB-UHFFFAOYSA-N 0 0 272.688 2.613 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000226233079 130820844 /nfs/dbraw/zinc/82/08/44/130820844.db2.gz HIABBDKPEBWCGP-GFCCVEGCSA-N 0 0 256.277 2.697 20 5 CFBDRN Cc1ccnc(NCC2(C)CCOCC2)c1[N+](=O)[O-] ZINC000227825404 130853269 /nfs/dbraw/zinc/85/32/69/130853269.db2.gz TZHJQTPITFYNMK-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CC[C@@H](COC)Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000227858436 130855124 /nfs/dbraw/zinc/85/51/24/130855124.db2.gz IMBYBNYHVBCTFD-QMMMGPOBSA-N 0 0 272.276 2.579 20 5 CFBDRN CCC[C@](C)(O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890223 130855513 /nfs/dbraw/zinc/85/55/13/130855513.db2.gz FZDVELMJYPAQCM-LBPRGKRZSA-N 0 0 274.267 2.836 20 5 CFBDRN O=C(CNc1cc(Cl)ccc1[N+](=O)[O-])N1CCCCC1 ZINC000228842495 130876609 /nfs/dbraw/zinc/87/66/09/130876609.db2.gz TVVBHKPJVBSZQE-UHFFFAOYSA-N 0 0 297.742 2.673 20 5 CFBDRN CCn1cc(CNc2ccc([N+](=O)[O-])cc2C)cn1 ZINC000234215710 130933883 /nfs/dbraw/zinc/93/38/83/130933883.db2.gz JWNBSMYLNQMKJN-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@@H](O)C2)c2ncccc12 ZINC000236553498 130959471 /nfs/dbraw/zinc/95/94/71/130959471.db2.gz UBYFIUKSYRTRPZ-WDEREUQCSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000263655231 131302729 /nfs/dbraw/zinc/30/27/29/131302729.db2.gz DBQQZIPWTVMVQY-QWRGUYRKSA-N 0 0 277.324 2.707 20 5 CFBDRN CC[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(N)=O ZINC000263750438 131322066 /nfs/dbraw/zinc/32/20/66/131322066.db2.gz IQVSBSXFCMLJNQ-MRVPVSSYSA-N 0 0 297.361 2.561 20 5 CFBDRN Cc1c(C(=O)Nc2cc(C3CC3)[nH]n2)cccc1[N+](=O)[O-] ZINC000264032037 131383433 /nfs/dbraw/zinc/38/34/33/131383433.db2.gz NCMQCUAMUMONRN-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CCCN(C(=O)COc1ccc([N+](=O)[O-])c(F)c1)C1CC1 ZINC000264031662 131383444 /nfs/dbraw/zinc/38/34/44/131383444.db2.gz MNHTZOZKSUNUDU-UHFFFAOYSA-N 0 0 296.298 2.514 20 5 CFBDRN Cc1cc(-c2nc(C[C@@H]3CCCO3)no2)cc([N+](=O)[O-])c1 ZINC000264958656 131529155 /nfs/dbraw/zinc/52/91/55/131529155.db2.gz CCLWICNRFGFNPV-LBPRGKRZSA-N 0 0 289.291 2.675 20 5 CFBDRN Cc1ccnc(NC[C@](C)(O)c2ccccc2)c1[N+](=O)[O-] ZINC000265301126 131573845 /nfs/dbraw/zinc/57/38/45/131573845.db2.gz ZZHHVGPGPJJVTO-HNNXBMFYSA-N 0 0 287.319 2.618 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000265425142 131586192 /nfs/dbraw/zinc/58/61/92/131586192.db2.gz CIBIMUNSURWGGY-GFCCVEGCSA-N 0 0 294.351 2.838 20 5 CFBDRN CCCCOCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000265494226 131596279 /nfs/dbraw/zinc/59/62/79/131596279.db2.gz YBFRKVJQZWEQST-UHFFFAOYSA-N 0 0 277.280 2.962 20 5 CFBDRN CC(C)(C(=O)NCc1ccoc1)c1ccc([N+](=O)[O-])cc1 ZINC000265752012 131631509 /nfs/dbraw/zinc/63/15/09/131631509.db2.gz HRCPVVVKFMZGQV-UHFFFAOYSA-N 0 0 288.303 2.782 20 5 CFBDRN CCC(CC)c1cc(CNc2c([N+](=O)[O-])ncn2C)on1 ZINC000266253418 131708184 /nfs/dbraw/zinc/70/81/84/131708184.db2.gz NODAQOBROGRFLN-UHFFFAOYSA-N 0 0 293.327 2.832 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000266631812 131760071 /nfs/dbraw/zinc/76/00/71/131760071.db2.gz XASKKMFNTIXCAY-JOYOIKCWSA-N 0 0 280.349 2.668 20 5 CFBDRN CC1(C)COCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000266906990 131795836 /nfs/dbraw/zinc/79/58/36/131795836.db2.gz KDCCUNXIGGBMTD-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CCC1(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000267175148 131830055 /nfs/dbraw/zinc/83/00/55/131830055.db2.gz FMDXPMLHVWRCHU-UHFFFAOYSA-N 0 0 262.309 2.743 20 5 CFBDRN Cc1cc(NCC(=O)OC2CCCCC2)ncc1[N+](=O)[O-] ZINC000267620230 131893030 /nfs/dbraw/zinc/89/30/30/131893030.db2.gz HXHOJRAUQNUDSK-UHFFFAOYSA-N 0 0 293.323 2.586 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@H]3OCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000268429076 132007973 /nfs/dbraw/zinc/00/79/73/132007973.db2.gz ZTZCVAAKZROJCK-NQBHXWOUSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1nc(NC(=O)c2cc(C(C)C)no2)ccc1[N+](=O)[O-] ZINC000268541769 132024629 /nfs/dbraw/zinc/02/46/29/132024629.db2.gz LBSSWYICKVXRGY-UHFFFAOYSA-N 0 0 290.279 2.662 20 5 CFBDRN Cc1ccc(NCCn2cnnc2C(C)C)c([N+](=O)[O-])c1 ZINC000269324063 132155928 /nfs/dbraw/zinc/15/59/28/132155928.db2.gz GASLJVZFXRGMGC-UHFFFAOYSA-N 0 0 289.339 2.730 20 5 CFBDRN CCOC(=O)CCCn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000269385228 132163625 /nfs/dbraw/zinc/16/36/25/132163625.db2.gz UABGFSVHMKHIJN-UHFFFAOYSA-N 0 0 291.307 2.596 20 5 CFBDRN CCCN(CC1CC1)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000269441951 132171551 /nfs/dbraw/zinc/17/15/51/132171551.db2.gz SSRJGKSRPJQZIB-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN CNc1ccc(C(=O)N(C)c2ccccc2)cc1[N+](=O)[O-] ZINC000015348970 393481253 /nfs/dbraw/zinc/48/12/53/393481253.db2.gz DDSHJRFZBKEYRX-UHFFFAOYSA-N 0 0 285.303 2.913 20 5 CFBDRN C[C@H]1COCCN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000372756510 393455092 /nfs/dbraw/zinc/45/50/92/393455092.db2.gz LICNRMFYSQHNIZ-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@H](C)C2)c1 ZINC000301362073 393470046 /nfs/dbraw/zinc/47/00/46/393470046.db2.gz QOHCYERUQXACNY-VIFPVBQESA-N 0 0 268.288 2.979 20 5 CFBDRN C[C@H]1CC(Nc2c3c(ccc2[N+](=O)[O-])NCC3)C[C@H](C)O1 ZINC000302871481 393495240 /nfs/dbraw/zinc/49/52/40/393495240.db2.gz BZCAKFZEPJPTRB-UWVGGRQHSA-N 0 0 291.351 2.931 20 5 CFBDRN Cc1nc(N2CCC([C@@H]3CCOC3)CC2)ccc1[N+](=O)[O-] ZINC000374650178 393500561 /nfs/dbraw/zinc/50/05/61/393500561.db2.gz WDYXIGNSOJCUAT-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN CCOc1cccc(N2C[C@@H](C)OC(C)(C)C2)c1[N+](=O)[O-] ZINC000184080309 393525088 /nfs/dbraw/zinc/52/50/88/393525088.db2.gz DQMYYNDMUOVDFG-LLVKDONJSA-N 0 0 294.351 2.997 20 5 CFBDRN CCOC1CCN(c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000185228094 393533050 /nfs/dbraw/zinc/53/30/50/393533050.db2.gz YSTQXQTYMYTPCD-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1ncccc1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000045930737 393575358 /nfs/dbraw/zinc/57/53/58/393575358.db2.gz MUJFXEHYLKDMCL-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CCCCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000048704391 393596326 /nfs/dbraw/zinc/59/63/26/393596326.db2.gz FNZNFWHJSMTRGH-JTQLQIEISA-N 0 0 250.298 2.962 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cc(Cl)c[nH]1 ZINC000048737284 393597698 /nfs/dbraw/zinc/59/76/98/393597698.db2.gz RSKHHVUBSJQFMG-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN Cc1ccc(NC(=O)Cc2cccc(O)c2)cc1[N+](=O)[O-] ZINC000048815761 393603879 /nfs/dbraw/zinc/60/38/79/393603879.db2.gz BPKDGRITUNRBBC-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCC(F)(F)C(F)F)c1 ZINC000191771700 393605933 /nfs/dbraw/zinc/60/59/33/393605933.db2.gz KVFJZQFYJKCHFJ-UHFFFAOYSA-N 0 0 266.194 2.585 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCc1ccncc1 ZINC000194167897 393680715 /nfs/dbraw/zinc/68/07/15/393680715.db2.gz DQGPGOQJRMBISJ-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN CCCSCC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000194168146 393680776 /nfs/dbraw/zinc/68/07/76/393680776.db2.gz YZUICEZMJUIWJV-UHFFFAOYSA-N 0 0 282.365 2.696 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050701038 393616374 /nfs/dbraw/zinc/61/63/74/393616374.db2.gz UUHCSYMGRQDHLU-SNVBAGLBSA-N 0 0 277.324 2.582 20 5 CFBDRN C[C@]1(F)CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000408048604 393624423 /nfs/dbraw/zinc/62/44/23/393624423.db2.gz OMRSUOFQVSDEGF-JTQLQIEISA-N 0 0 259.668 2.582 20 5 CFBDRN C[C@@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H]1C ZINC000408452606 393627231 /nfs/dbraw/zinc/62/72/31/393627231.db2.gz PLKFPLBSWRHEIY-NXEZZACHSA-N 0 0 274.324 2.953 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000410617218 393632854 /nfs/dbraw/zinc/63/28/54/393632854.db2.gz WKGMUIYSLRDSAS-NXEZZACHSA-N 0 0 288.225 2.756 20 5 CFBDRN CN(C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000410613452 393632862 /nfs/dbraw/zinc/63/28/62/393632862.db2.gz FJLFRCGQEQOQAG-SUNKGSAMSA-N 0 0 274.320 2.994 20 5 CFBDRN CCN(C(=O)CCCNc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000193008708 393638658 /nfs/dbraw/zinc/63/86/58/393638658.db2.gz DGMABZVHCPLJFW-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000410806036 393640399 /nfs/dbraw/zinc/64/03/99/393640399.db2.gz LXJXUXZUOBORRW-ZYHUDNBSSA-N 0 0 277.324 2.635 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000071799861 393640849 /nfs/dbraw/zinc/64/08/49/393640849.db2.gz AAOSYLRJRWCFJN-AUTRQRHGSA-N 0 0 260.293 2.528 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000193173838 393644743 /nfs/dbraw/zinc/64/47/43/393644743.db2.gz GLAPGPXKDPJDHQ-VIFPVBQESA-N 0 0 268.338 2.919 20 5 CFBDRN CC(C)(NC(=O)C1=CCC1)c1ccccc1[N+](=O)[O-] ZINC000410925192 393646400 /nfs/dbraw/zinc/64/64/00/393646400.db2.gz LLUBYWQXBUIYFR-UHFFFAOYSA-N 0 0 260.293 2.666 20 5 CFBDRN COc1ccc(NC(=O)Cc2ccsc2)c([N+](=O)[O-])c1 ZINC000193437104 393650574 /nfs/dbraw/zinc/65/05/74/393650574.db2.gz BCQMCPANBMTKGZ-UHFFFAOYSA-N 0 0 292.316 2.846 20 5 CFBDRN CC/C=C\CNC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000411035007 393650869 /nfs/dbraw/zinc/65/08/69/393650869.db2.gz MMGULYKOWXZAIS-WAYWQWQTSA-N 0 0 277.324 2.723 20 5 CFBDRN CC(C)CCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000193548923 393654160 /nfs/dbraw/zinc/65/41/60/393654160.db2.gz VJWLHBXTUSFJAJ-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CC(=O)c1ccc(NCCCOC(F)F)c([N+](=O)[O-])c1 ZINC000193611901 393658461 /nfs/dbraw/zinc/65/84/61/393658461.db2.gz QZDAUWVSACFSEK-UHFFFAOYSA-N 0 0 288.250 2.839 20 5 CFBDRN COc1cccc(C(=O)N2CCc3ccccc32)c1[N+](=O)[O-] ZINC000193781758 393664571 /nfs/dbraw/zinc/66/45/71/393664571.db2.gz XZDNPULVODVAKV-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H](C)OCC1CC1 ZINC000195149268 393732827 /nfs/dbraw/zinc/73/28/27/393732827.db2.gz MPJGXYZDFBRDHY-NSHDSACASA-N 0 0 292.335 2.965 20 5 CFBDRN COCC[C@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000081716983 393736565 /nfs/dbraw/zinc/73/65/65/393736565.db2.gz STGDWQZLVYTMJO-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN C[C@@H]1CCC[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000336592741 393742542 /nfs/dbraw/zinc/74/25/42/393742542.db2.gz JUXSJEIFVQXITF-ABAIWWIYSA-N 0 0 288.347 2.916 20 5 CFBDRN Cc1noc2ncnc(Oc3ccc([N+](=O)[O-])c(C)c3)c12 ZINC000195506322 393742767 /nfs/dbraw/zinc/74/27/67/393742767.db2.gz JPOFYDAXBLVJFA-UHFFFAOYSA-N 0 0 286.247 2.935 20 5 CFBDRN CCNC(=O)c1cccc(Oc2ncc([N+](=O)[O-])s2)c1 ZINC000195512075 393742930 /nfs/dbraw/zinc/74/29/30/393742930.db2.gz CDZAMBJSSGPTDY-UHFFFAOYSA-N 0 0 293.304 2.593 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000336716443 393747539 /nfs/dbraw/zinc/74/75/39/393747539.db2.gz TTWGISVXRIGWFW-RQJHMYQMSA-N 0 0 252.299 2.514 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(C(=O)c2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000217316445 393756763 /nfs/dbraw/zinc/75/67/63/393756763.db2.gz CLQXLWPADQPDAX-SNVBAGLBSA-N 0 0 292.335 2.809 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@H](C3CCC3)C2)cc1 ZINC000411867691 393757683 /nfs/dbraw/zinc/75/76/83/393757683.db2.gz XKNUQHBJAGZMBV-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN C[C@H](CN1CCCC1=O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000076872006 393692606 /nfs/dbraw/zinc/69/26/06/393692606.db2.gz KMBZYAWHLGKRNK-SECBINFHSA-N 0 0 297.742 2.671 20 5 CFBDRN O=C(Nc1ncccc1O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000076989221 393694035 /nfs/dbraw/zinc/69/40/35/393694035.db2.gz DGYYCCFDTSVKBM-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C)CC1 ZINC000194460899 393698104 /nfs/dbraw/zinc/69/81/04/393698104.db2.gz BZUNANLBTVUUIX-SNVBAGLBSA-N 0 0 277.324 2.560 20 5 CFBDRN CC(C)CN(C(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000077591216 393699829 /nfs/dbraw/zinc/69/98/29/393699829.db2.gz VWQNVALQFIRJEJ-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN CS[C@H](CO)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000218902748 393759505 /nfs/dbraw/zinc/75/95/05/393759505.db2.gz RICQVUPOHYUJOH-RDDDGLTNSA-N 0 0 290.772 2.773 20 5 CFBDRN Cc1ncccc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079753965 393721197 /nfs/dbraw/zinc/72/11/97/393721197.db2.gz VBVJNNHYNHQVNF-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN C[C@H]1C[C@@H](Nc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000220097350 393760738 /nfs/dbraw/zinc/76/07/38/393760738.db2.gz CPEASUXEXKRPHX-IUCAKERBSA-N 0 0 254.261 2.713 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cc(F)ccc2F)nc1C1CC1 ZINC000195045286 393729968 /nfs/dbraw/zinc/72/99/68/393729968.db2.gz VXBXLBLSCVUILC-UHFFFAOYSA-N 0 0 279.246 2.995 20 5 CFBDRN Cc1ccccc1N1CCN(c2ccc([N+](=O)[O-])nc2)CC1 ZINC000339935752 393833701 /nfs/dbraw/zinc/83/37/01/393833701.db2.gz JZOAFCCAYZRDKT-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN Cc1ccc(C(=O)NCCc2cccc([N+](=O)[O-])c2)c(C)n1 ZINC000089304866 393765361 /nfs/dbraw/zinc/76/53/61/393765361.db2.gz PKINEZNWBUTDJT-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CS[C@@H]1CCC[C@@H](Nc2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000227360269 393772648 /nfs/dbraw/zinc/77/26/48/393772648.db2.gz LNNYBGRWYRWEDX-NXEZZACHSA-N 0 0 284.385 2.723 20 5 CFBDRN CC(C)n1cc(OCc2cccc([N+](=O)[O-])c2)cn1 ZINC000228148015 393774459 /nfs/dbraw/zinc/77/44/59/393774459.db2.gz VJEDLFMOGWCMKV-UHFFFAOYSA-N 0 0 261.281 2.951 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1c1ncc([N+](=O)[O-])cc1F ZINC000413241042 393780309 /nfs/dbraw/zinc/78/03/09/393780309.db2.gz XQFZEGYDDCZVKX-GZMMTYOYSA-N 0 0 253.277 2.754 20 5 CFBDRN CCOc1cccc(NC[C@H]2CCC[C@H](O)C2)c1[N+](=O)[O-] ZINC000231037540 393780526 /nfs/dbraw/zinc/78/05/26/393780526.db2.gz LHDOXRAKPXTOJW-RYUDHWBXSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCCCF ZINC000092762012 393792034 /nfs/dbraw/zinc/79/20/34/393792034.db2.gz VTMZXQKUNUOOGB-UHFFFAOYSA-N 0 0 268.288 2.609 20 5 CFBDRN Cn1cc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cn1 ZINC000237797046 393795323 /nfs/dbraw/zinc/79/53/23/393795323.db2.gz JFPAHXLKTMFXAX-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ccc(Cl)cn2)cn1 ZINC000471724210 393910264 /nfs/dbraw/zinc/91/02/64/393910264.db2.gz HMUIJMDRCFNUAN-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN Cc1cnccc1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000471735545 393911189 /nfs/dbraw/zinc/91/11/89/393911189.db2.gz JCOZXFQJBVHQIM-NSHDSACASA-N 0 0 297.314 2.890 20 5 CFBDRN Cc1cc(C(=O)N2CCS[C@H](C)[C@H]2C)cc([N+](=O)[O-])c1 ZINC000118290339 393911307 /nfs/dbraw/zinc/91/13/07/393911307.db2.gz JRGOJAJFIZTUJS-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342989601 393876671 /nfs/dbraw/zinc/87/66/71/393876671.db2.gz BLZUKBACIPIAPL-LLVKDONJSA-N 0 0 291.351 2.566 20 5 CFBDRN CCOC(=O)C[C@@H](C)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000471747014 393911992 /nfs/dbraw/zinc/91/19/92/393911992.db2.gz UQIZDVMRAZPBPM-SECBINFHSA-N 0 0 294.307 2.551 20 5 CFBDRN Cc1cc(N2CCC[C@H]2C(F)F)ncc1[N+](=O)[O-] ZINC000343911450 393891772 /nfs/dbraw/zinc/89/17/72/393891772.db2.gz MQKAOWLMQVAHRA-QMMMGPOBSA-N 0 0 257.240 2.532 20 5 CFBDRN CCOC(=O)c1cc(N2CCCCC2)ccc1[N+](=O)[O-] ZINC000113442630 393897725 /nfs/dbraw/zinc/89/77/25/393897725.db2.gz JTZCPFGFPLUVLG-UHFFFAOYSA-N 0 0 278.308 2.762 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000458116254 393850803 /nfs/dbraw/zinc/85/08/03/393850803.db2.gz SNMGRHYPOMXAMO-UKRRQHHQSA-N 0 0 292.335 2.524 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000458126681 393853888 /nfs/dbraw/zinc/85/38/88/393853888.db2.gz FVSBQPOPWWBWRA-NWDGAFQWSA-N 0 0 292.335 2.524 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000458129241 393854464 /nfs/dbraw/zinc/85/44/64/393854464.db2.gz FNHDZUKLVJIIOI-HIFRSBDPSA-N 0 0 292.335 2.524 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NC[C@H]1CC12CC2 ZINC000416048052 393854751 /nfs/dbraw/zinc/85/47/51/393854751.db2.gz NLISSENXTLJRJI-SNVBAGLBSA-N 0 0 292.360 2.603 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000458148550 393859194 /nfs/dbraw/zinc/85/91/94/393859194.db2.gz OSUCPAJEHPDSTB-OCCSQVGLSA-N 0 0 262.309 2.759 20 5 CFBDRN CCN(C(=O)Cc1cccc([N+](=O)[O-])c1C)c1cccnc1 ZINC000119641218 393922961 /nfs/dbraw/zinc/92/29/61/393922961.db2.gz KVKFPRFBEJRTMB-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000473510269 393935835 /nfs/dbraw/zinc/93/58/35/393935835.db2.gz SNCNWHDGDZLQIU-MWLCHTKSSA-N 0 0 257.293 2.633 20 5 CFBDRN CC[C@@H]1CCN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000347468421 393941062 /nfs/dbraw/zinc/94/10/62/393941062.db2.gz WHMZFEMVCREQOS-SNVBAGLBSA-N 0 0 272.308 2.774 20 5 CFBDRN Cc1ccc(-c2nc(-c3ccn(C)c3)no2)cc1[N+](=O)[O-] ZINC000347556617 393942288 /nfs/dbraw/zinc/94/22/88/393942288.db2.gz XTFMUSZSRXLHRR-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CC[C@H](C)C1 ZINC000429229348 393945998 /nfs/dbraw/zinc/94/59/98/393945998.db2.gz VOOKARJJEAGMPQ-ONGXEEELSA-N 0 0 277.324 2.559 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@H]1CC[C@@H](C)C1 ZINC000429258648 393949270 /nfs/dbraw/zinc/94/92/70/393949270.db2.gz VPGQKWSXVBKVRM-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cc(C(=O)NC2C[C@@H](C)O[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000126129368 394021109 /nfs/dbraw/zinc/02/11/09/394021109.db2.gz USVHJBCEAFEOIU-GHMZBOCLSA-N 0 0 292.335 2.589 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000475187239 393952373 /nfs/dbraw/zinc/95/23/73/393952373.db2.gz WZLIIRIZNQWSMZ-ZJUUUORDSA-N 0 0 280.324 2.768 20 5 CFBDRN Cc1cncc(/C=C/C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000121277272 393953953 /nfs/dbraw/zinc/95/39/53/393953953.db2.gz SIHZDICDKZYVLT-QPJJXVBHSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1ccc(CN(C)c2ccc([N+](=O)[O-])cn2)s1 ZINC000263936752 393965919 /nfs/dbraw/zinc/96/59/19/393965919.db2.gz CMHXJKHORVXLFX-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1C[C@H](C)O[C@H](C)[C@H]1C ZINC000430195643 393966329 /nfs/dbraw/zinc/96/63/29/393966329.db2.gz OUTGKIXJIPVJOG-QJPTWQEYSA-N 0 0 294.351 2.601 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC12CCCC2 ZINC000430211722 393968648 /nfs/dbraw/zinc/96/86/48/393968648.db2.gz JYRGXHMDCLCJHZ-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1ccc([N+](=O)[O-])cc1OC ZINC000430209527 393968713 /nfs/dbraw/zinc/96/87/13/393968713.db2.gz RJRDZKWGXNFHJQ-YPMHNXCESA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1CC1(C)C ZINC000122665483 393970628 /nfs/dbraw/zinc/97/06/28/393970628.db2.gz WJBVWRZVIIJJEE-BXKDBHETSA-N 0 0 274.320 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC(F)(F)C2)cn1 ZINC000486916684 393971955 /nfs/dbraw/zinc/97/19/55/393971955.db2.gz XEYUXPOBVQYOBW-QMMMGPOBSA-N 0 0 257.240 2.980 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000123685771 393985623 /nfs/dbraw/zinc/98/56/23/393985623.db2.gz XSYIBCCUVPHZHE-LBPRGKRZSA-N 0 0 293.367 2.948 20 5 CFBDRN Cc1cncc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000430652746 394001722 /nfs/dbraw/zinc/00/17/22/394001722.db2.gz JHCPVKXYHXPNGA-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2[C@@H](C)CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000269488657 394004522 /nfs/dbraw/zinc/00/45/22/394004522.db2.gz XGISMYZTQWPCJI-UWVGGRQHSA-N 0 0 294.355 2.844 20 5 CFBDRN CC(C)OC(=O)[C@@H]1CCCN1Cc1csc([N+](=O)[O-])c1 ZINC000125449274 394007864 /nfs/dbraw/zinc/00/78/64/394007864.db2.gz YSYPOKGUWCKERM-NSHDSACASA-N 0 0 298.364 2.572 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cc(NCC2CC2)ncn1 ZINC000270278505 394011862 /nfs/dbraw/zinc/01/18/62/394011862.db2.gz IQMHMPPWTXNQRO-UHFFFAOYSA-N 0 0 299.334 2.819 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC(C)(C)OC[C@@H]1C ZINC000489637112 394041881 /nfs/dbraw/zinc/04/18/81/394041881.db2.gz SYHICAVNSQTKBF-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000274796634 394044378 /nfs/dbraw/zinc/04/43/78/394044378.db2.gz JBYZFBNDLUBXNO-VIFPVBQESA-N 0 0 263.297 2.777 20 5 CFBDRN Cc1nc(N[C@H]2CCCc3cc(N)ccc32)ncc1[N+](=O)[O-] ZINC000420231566 394100789 /nfs/dbraw/zinc/10/07/89/394100789.db2.gz CAEUDBATLFJSAK-ZDUSSCGKSA-N 0 0 299.334 2.765 20 5 CFBDRN Cc1cccc(N2C[C@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000275490165 394046603 /nfs/dbraw/zinc/04/66/03/394046603.db2.gz QZUNHIBQNKHRCJ-QWRGUYRKSA-N 0 0 250.298 2.517 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2COC)CCCO1 ZINC000281957893 394101195 /nfs/dbraw/zinc/10/11/95/394101195.db2.gz UDBCIAAIZGRBRQ-CQSZACIVSA-N 0 0 294.351 2.747 20 5 CFBDRN Cc1ccc(CNc2cccc(C(N)=O)c2[N+](=O)[O-])cc1 ZINC000439134263 394101258 /nfs/dbraw/zinc/10/12/58/394101258.db2.gz VNVYXHSQTGLHNV-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cc1nn(C)c(C(=O)Nc2cccc(Cl)c2)c1[N+](=O)[O-] ZINC000127594728 394053301 /nfs/dbraw/zinc/05/33/01/394053301.db2.gz BTSNCJZEBXSIBN-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC[C@@]1(C)CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278056496 394059054 /nfs/dbraw/zinc/05/90/54/394059054.db2.gz RWYDTQSMVWLYLV-HNNXBMFYSA-N 0 0 291.351 2.568 20 5 CFBDRN CC[C@H](C)OCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000130076139 394101953 /nfs/dbraw/zinc/10/19/53/394101953.db2.gz RFWDFLGSAKQTNP-JTQLQIEISA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2Cc3ccccc32)ccc1[N+](=O)[O-] ZINC000355224717 394061001 /nfs/dbraw/zinc/06/10/01/394061001.db2.gz NAGNQODNXCLNPZ-LBPRGKRZSA-N 0 0 283.287 2.577 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000128340873 394068244 /nfs/dbraw/zinc/06/82/44/394068244.db2.gz BYXZNNPEQYXCAP-VXGBXAGGSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccc(-c2noc(-c3ccc([N+](=O)[O-])n3C)n2)cc1 ZINC000356077391 394074240 /nfs/dbraw/zinc/07/42/40/394074240.db2.gz PHWBWMUSATZAMN-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN CC(C)c1ccccc1NC(=O)c1cc([N+](=O)[O-])nn1C ZINC000356506815 394078094 /nfs/dbraw/zinc/07/80/94/394078094.db2.gz KSGRPJNZZLHGED-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1cn(Cc2nc(C(C)(C)C)cs2)nc1[N+](=O)[O-] ZINC000439186424 394103941 /nfs/dbraw/zinc/10/39/41/394103941.db2.gz BSRBSHUWKSJCQW-UHFFFAOYSA-N 0 0 280.353 2.902 20 5 CFBDRN CC[C@@]1(C)COCCN1C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000356937542 394082122 /nfs/dbraw/zinc/08/21/22/394082122.db2.gz QWLSUECKELAKGT-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000281853055 394084308 /nfs/dbraw/zinc/08/43/08/394084308.db2.gz BJSNOAFDRQNZRS-SSDOTTSWSA-N 0 0 286.328 2.980 20 5 CFBDRN C[C@](O)(COc1c(Cl)cccc1[N+](=O)[O-])C(F)(F)F ZINC000281868826 394086772 /nfs/dbraw/zinc/08/67/72/394086772.db2.gz NJRALQDWHSEGCV-VIFPVBQESA-N 0 0 299.632 2.940 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000492879221 394087230 /nfs/dbraw/zinc/08/72/30/394087230.db2.gz CVIZOENQSNRAKY-KMPYKGPWSA-N 0 0 260.293 2.523 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CCC(F)(F)C1 ZINC000281889647 394088970 /nfs/dbraw/zinc/08/89/70/394088970.db2.gz DMOKSIWAFNAQJK-QMMMGPOBSA-N 0 0 257.240 2.837 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000281896681 394090306 /nfs/dbraw/zinc/09/03/06/394090306.db2.gz WSUMMUPPSJBNFS-LDYMZIIASA-N 0 0 274.276 2.869 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC(C)(C)C ZINC000281921782 394094551 /nfs/dbraw/zinc/09/45/51/394094551.db2.gz JWHSMSPHOCYCIV-UHFFFAOYSA-N 0 0 281.312 2.914 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358349938 394105195 /nfs/dbraw/zinc/10/51/95/394105195.db2.gz KMUVZYMTKQCSNE-JTQLQIEISA-N 0 0 282.315 2.779 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000439075500 394097655 /nfs/dbraw/zinc/09/76/55/394097655.db2.gz CYSVXOUPEHXYAZ-OLZOCXBDSA-N 0 0 291.351 2.551 20 5 CFBDRN O=c1[nH]c(Cc2ccccc2[N+](=O)[O-])nc2ccc(O)cc12 ZINC000436927689 394035226 /nfs/dbraw/zinc/03/52/26/394035226.db2.gz QISFMWVSDASCRI-UHFFFAOYSA-N 0 0 297.270 2.540 20 5 CFBDRN CC(C)(C)c1cnc(CNc2ncc([N+](=O)[O-])s2)o1 ZINC000281990342 394106063 /nfs/dbraw/zinc/10/60/63/394106063.db2.gz STXLLCXWXSKRRC-UHFFFAOYSA-N 0 0 282.325 2.949 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000358893804 394115539 /nfs/dbraw/zinc/11/55/39/394115539.db2.gz DIBHSTVACGLYFA-UHFFFAOYSA-N 0 0 270.288 2.567 20 5 CFBDRN Cc1c(C(=O)N(C)c2ncccc2F)cccc1[N+](=O)[O-] ZINC000130861282 394120225 /nfs/dbraw/zinc/12/02/25/394120225.db2.gz BGFJUWNJWYTEGD-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000439710845 394127470 /nfs/dbraw/zinc/12/74/70/394127470.db2.gz PBGNYHGCBBWJQT-DTWKUNHWSA-N 0 0 298.368 2.513 20 5 CFBDRN O=C(NCCCC1CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000131195349 394128193 /nfs/dbraw/zinc/12/81/93/394128193.db2.gz CPVFFZHMLFPQBX-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN COc1ccc(CN2CCc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC000131314216 394130636 /nfs/dbraw/zinc/13/06/36/394130636.db2.gz UZFWNTPMTODMJX-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN O=C(/C=C/[C@@H]1CCOC1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000493086298 394143522 /nfs/dbraw/zinc/14/35/22/394143522.db2.gz QRXVWLYPTDWMOZ-XNPJLODASA-N 0 0 296.710 2.779 20 5 CFBDRN COc1ccc(OCc2nn(C)cc2Cl)cc1[N+](=O)[O-] ZINC000421211223 394181602 /nfs/dbraw/zinc/18/16/02/394181602.db2.gz IFPZPTKNTQVZIK-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1CF ZINC000493114815 394151951 /nfs/dbraw/zinc/15/19/51/394151951.db2.gz HQVNLNCKOCTPHX-LJLILKBBSA-N 0 0 278.283 2.569 20 5 CFBDRN CN(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCSC1 ZINC000133769792 394182066 /nfs/dbraw/zinc/18/20/66/394182066.db2.gz AKVCLGVYJUABOK-LLVKDONJSA-N 0 0 281.337 2.564 20 5 CFBDRN Cc1cc(NC(=O)C2=CCC2)c2cc([N+](=O)[O-])ccc2n1 ZINC000360728611 394159181 /nfs/dbraw/zinc/15/91/81/394159181.db2.gz FJDZRSRVYJPNQK-UHFFFAOYSA-N 0 0 283.287 2.532 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CC[C@@]12CCOC2 ZINC000421031382 394165071 /nfs/dbraw/zinc/16/50/71/394165071.db2.gz ZXKANBXHRITYRY-ZDUSSCGKSA-N 0 0 282.727 2.613 20 5 CFBDRN COc1cc(C(=O)Nc2ncc(C)s2)ccc1[N+](=O)[O-] ZINC000133241533 394173163 /nfs/dbraw/zinc/17/31/63/394173163.db2.gz DTDDODMXVQQXDR-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)nn1C ZINC000133431645 394175966 /nfs/dbraw/zinc/17/59/66/394175966.db2.gz CVUROXZHEWJLDL-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC[C@@H](C)CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000421126682 394176052 /nfs/dbraw/zinc/17/60/52/394176052.db2.gz UFCCEEYFVWNJIK-MRVPVSSYSA-N 0 0 269.276 2.902 20 5 CFBDRN CO[C@H]1CCCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000363677884 394198729 /nfs/dbraw/zinc/19/87/29/394198729.db2.gz ZFXMOEVQJFFODX-JTQLQIEISA-N 0 0 286.278 2.878 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2ccc(NC)c([N+](=O)[O-])c2)C1 ZINC000134973350 394207465 /nfs/dbraw/zinc/20/74/65/394207465.db2.gz DPPDXWKFYAOJJZ-SNVBAGLBSA-N 0 0 277.324 2.509 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1C[C@H](CO)c2ccccc21 ZINC000364435082 394212713 /nfs/dbraw/zinc/21/27/13/394212713.db2.gz JVCYLKCXTKABBV-ZWNOBZJWSA-N 0 0 285.303 2.623 20 5 CFBDRN CCO/C=C/C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000493488184 394257207 /nfs/dbraw/zinc/25/72/07/394257207.db2.gz SUTUJJBPHPKHRD-BQYQJAHWSA-N 0 0 264.281 2.646 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cn[nH]c1 ZINC000135620162 394223504 /nfs/dbraw/zinc/22/35/04/394223504.db2.gz YQUWLLZLQRDCMT-UHFFFAOYSA-N 0 0 292.320 2.682 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])NC1C[C@H]2C[C@H]2C1 ZINC000493383331 394227782 /nfs/dbraw/zinc/22/77/82/394227782.db2.gz JPVKALURKQSBJZ-OGQJCTDQSA-N 0 0 290.294 2.662 20 5 CFBDRN Cc1ccc(NC(=O)C2(CCF)CC2)cc1[N+](=O)[O-] ZINC000290606969 394231746 /nfs/dbraw/zinc/23/17/46/394231746.db2.gz VVVQVYSJCIBFJF-UHFFFAOYSA-N 0 0 266.272 2.982 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](CO)C1CCCC1 ZINC000290777366 394234111 /nfs/dbraw/zinc/23/41/11/394234111.db2.gz MUGUBOFNYADHSY-LBPRGKRZSA-N 0 0 250.298 2.558 20 5 CFBDRN CCOC(=O)c1cc(N[C@H](C)[C@@H](C)OC)ccc1[N+](=O)[O-] ZINC000290786259 394234481 /nfs/dbraw/zinc/23/44/81/394234481.db2.gz WXZSTSSLXIBWCB-NXEZZACHSA-N 0 0 296.323 2.607 20 5 CFBDRN Cc1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1O ZINC000140050983 394245031 /nfs/dbraw/zinc/24/50/31/394245031.db2.gz QBSGXOZLJQNUQE-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN C[C@H]1CCC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000150808236 394253802 /nfs/dbraw/zinc/25/38/02/394253802.db2.gz CASZGSNLCRBFQW-UWVGGRQHSA-N 0 0 266.297 2.839 20 5 CFBDRN Nc1ccc(-c2noc([C@]34C[C@H]3CCC4)n2)cc1[N+](=O)[O-] ZINC000421255781 394184930 /nfs/dbraw/zinc/18/49/30/394184930.db2.gz GQAVETQUURMNDG-YMTOWFKASA-N 0 0 286.291 2.669 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000448795832 394187652 /nfs/dbraw/zinc/18/76/52/394187652.db2.gz WWSJAKWSIVZXDB-BDAKNGLRSA-N 0 0 298.314 2.907 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCCOCC1 ZINC000286078164 394188243 /nfs/dbraw/zinc/18/82/43/394188243.db2.gz BHXZNGSDZUSNJL-NSHDSACASA-N 0 0 278.308 2.778 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000134155078 394189350 /nfs/dbraw/zinc/18/93/50/394189350.db2.gz MMSQNIQUBUXEOG-MWLCHTKSSA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc(O)c2)cc([N+](=O)[O-])c1 ZINC000134422889 394196797 /nfs/dbraw/zinc/19/67/97/394196797.db2.gz FOKDTUYEYGWXBL-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CC(C)N(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCOC1 ZINC000291834754 394267965 /nfs/dbraw/zinc/26/79/65/394267965.db2.gz ISYIRFQDHMNXPK-ZDUSSCGKSA-N 0 0 293.323 2.626 20 5 CFBDRN CC(C)N(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCOC1 ZINC000291834751 394268093 /nfs/dbraw/zinc/26/80/93/394268093.db2.gz ISYIRFQDHMNXPK-CYBMUJFWSA-N 0 0 293.323 2.626 20 5 CFBDRN CCOC1(C)CCN(c2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000291990817 394269529 /nfs/dbraw/zinc/26/95/29/394269529.db2.gz XYFNGLUQKLVUNN-UHFFFAOYSA-N 0 0 279.340 2.572 20 5 CFBDRN CC1(C)CCN1C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000493561465 394278698 /nfs/dbraw/zinc/27/86/98/394278698.db2.gz SNZUGWAVVFWORK-VOTSOKGWSA-N 0 0 260.293 2.619 20 5 CFBDRN CCO[C@@H]1CCN(c2ncc(C)cc2[N+](=O)[O-])C[C@@H]1C ZINC000450743939 394332681 /nfs/dbraw/zinc/33/26/81/394332681.db2.gz KAPDEVSYOZXOGB-WCQYABFASA-N 0 0 279.340 2.550 20 5 CFBDRN CCO[C@@H]1CCN(c2ncc(C)cc2[N+](=O)[O-])C[C@H]1C ZINC000450743938 394333009 /nfs/dbraw/zinc/33/30/09/394333009.db2.gz KAPDEVSYOZXOGB-DGCLKSJQSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1cc(NC(=O)N(C[C@@H](C)O)C(C)C)ccc1[N+](=O)[O-] ZINC000153765548 394291378 /nfs/dbraw/zinc/29/13/78/394291378.db2.gz HYHLLCJKAAWHKI-LLVKDONJSA-N 0 0 295.339 2.526 20 5 CFBDRN Cc1nn(C)c(NCCc2c(C)cccc2C)c1[N+](=O)[O-] ZINC000153798135 394292243 /nfs/dbraw/zinc/29/22/43/394292243.db2.gz QMYWARYGNLWLPO-UHFFFAOYSA-N 0 0 288.351 2.908 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCOc1cccnc1 ZINC000153777177 394292261 /nfs/dbraw/zinc/29/22/61/394292261.db2.gz TXBZXTUZUFXCAF-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)c(C)n1 ZINC000154712577 394300537 /nfs/dbraw/zinc/30/05/37/394300537.db2.gz FDSORJASWAHOSN-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CCO[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H]1C ZINC000450747483 394334040 /nfs/dbraw/zinc/33/40/40/394334040.db2.gz UGVIXIHZYAPKNJ-HZMBPMFUSA-N 0 0 282.315 2.985 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)n2)CC1(C)C ZINC000295752069 394303293 /nfs/dbraw/zinc/30/32/93/394303293.db2.gz NWFCTMDOXKTEGP-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN CCOc1cc(N[C@H]2CC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000450643370 394308123 /nfs/dbraw/zinc/30/81/23/394308123.db2.gz KFYSVIKMQNCKOH-MNOVXSKESA-N 0 0 280.324 2.566 20 5 CFBDRN CC(C)C[C@H](C)[N@@H+]1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000450687850 394317994 /nfs/dbraw/zinc/31/79/94/394317994.db2.gz BLJLCAKDIVVQMB-NSHDSACASA-N 0 0 263.341 2.783 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])[C@@H]1C ZINC000493836982 394327432 /nfs/dbraw/zinc/32/74/32/394327432.db2.gz OBGKGXVFMIBRDD-NEPGKVPFSA-N 0 0 278.283 2.614 20 5 CFBDRN CC(C)[C@H](CO)[C@@H](Nc1ccc([N+](=O)[O-])nc1)C(C)C ZINC000450764988 394337411 /nfs/dbraw/zinc/33/74/11/394337411.db2.gz IMECAYDANWNRTH-JSGCOSHPSA-N 0 0 281.356 2.691 20 5 CFBDRN CCC1(CNc2cccc(C)c2[N+](=O)[O-])COC1 ZINC000450845428 394341235 /nfs/dbraw/zinc/34/12/35/394341235.db2.gz NCTOJYSHLKEFGC-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1ccc(NCCCNC(=O)C2(C)CC2)c([N+](=O)[O-])c1 ZINC000157234802 394343149 /nfs/dbraw/zinc/34/31/49/394343149.db2.gz UQLFTAMWZMCVOV-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN C[C@H]([NH2+][C@@H]1C[C@@H](C)N(C2CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000166308640 394369700 /nfs/dbraw/zinc/36/97/00/394369700.db2.gz UJIRTFZIOFBGBM-MBNYWOFBSA-N 0 0 289.379 2.871 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCOCC2(CCC2)C1 ZINC000519618617 394372476 /nfs/dbraw/zinc/37/24/76/394372476.db2.gz VWWRTMDVLJWQLL-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN CC[C@H](C)Cn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000519659730 394374201 /nfs/dbraw/zinc/37/42/01/394374201.db2.gz XUQVQEUYWNWSDJ-JTQLQIEISA-N 0 0 260.293 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2CCSCC2)c1 ZINC000172928066 394374524 /nfs/dbraw/zinc/37/45/24/394374524.db2.gz UFTIEKOEOSQIAZ-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN CC(C)(O)CCSc1ncc(Cl)cc1[N+](=O)[O-] ZINC000520252618 394379199 /nfs/dbraw/zinc/37/91/99/394379199.db2.gz AQFJLWKZYADDPE-UHFFFAOYSA-N 0 0 276.745 2.896 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)[C@@H]1C ZINC000177011697 394382674 /nfs/dbraw/zinc/38/26/74/394382674.db2.gz PSXIPNUUSHXHTQ-HTQZYQBOSA-N 0 0 254.311 2.527 20 5 CFBDRN Cc1nn(C[C@H]2CCC3(CCCC3)O2)c(C)c1[N+](=O)[O-] ZINC000527309041 394385471 /nfs/dbraw/zinc/38/54/71/394385471.db2.gz WNQYJDGPVJEJPY-GFCCVEGCSA-N 0 0 279.340 2.900 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000275069823 135103827 /nfs/dbraw/zinc/10/38/27/135103827.db2.gz ZDMZQXOEJATINL-CQSZACIVSA-N 0 0 282.315 2.723 20 5 CFBDRN Cn1ccc2cccc(NC(=O)c3ccc([N+](=O)[O-])cn3)c21 ZINC000530520084 394524153 /nfs/dbraw/zinc/52/41/53/394524153.db2.gz UZTKHZMSFRVEEV-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1nnc(CN[C@H](C)c2cccc([N+](=O)[O-])c2C)s1 ZINC000540953422 394659511 /nfs/dbraw/zinc/65/95/11/394659511.db2.gz PWLMNQIAIBAUQL-SECBINFHSA-N 0 0 292.364 2.914 20 5 CFBDRN COc1cc(CSC[C@@H](C)OC)ccc1[N+](=O)[O-] ZINC000543861329 394746119 /nfs/dbraw/zinc/74/61/19/394746119.db2.gz IVGLGOJUDIYELO-SECBINFHSA-N 0 0 271.338 2.872 20 5 CFBDRN Cc1nnc([C@H](C)NCc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000542620537 394708231 /nfs/dbraw/zinc/70/82/31/394708231.db2.gz GUYJRXQSANVTSF-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cccc(F)c3)no2)nc1 ZINC000544908494 394774405 /nfs/dbraw/zinc/77/44/05/394774405.db2.gz FCOMIBJWEKVYMX-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN CC(C)c1[nH]nc(-c2nc([C@H]3C[C@@H]3C)no2)c1[N+](=O)[O-] ZINC000545058630 394777056 /nfs/dbraw/zinc/77/70/56/394777056.db2.gz NRSZRFJCKCZWPK-BQBZGAKWSA-N 0 0 277.284 2.615 20 5 CFBDRN CCC(CC)c1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000545673758 394799709 /nfs/dbraw/zinc/79/97/09/394799709.db2.gz BBUUZDXENRFOAR-UHFFFAOYSA-N 0 0 264.285 2.887 20 5 CFBDRN CCc1cnccc1-c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000545736434 394801500 /nfs/dbraw/zinc/80/15/00/394801500.db2.gz IEODDBZMRFNWRF-UHFFFAOYSA-N 0 0 297.274 2.664 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H](CO)CC(F)F ZINC000428675110 296499345 /nfs/dbraw/zinc/49/93/45/296499345.db2.gz YTXWYMLXADMBMN-ZETCQYMHSA-N 0 0 280.658 2.676 20 5 CFBDRN CCCC[C@@H](CCC)CNc1ncc([N+](=O)[O-])cn1 ZINC000546181753 394821184 /nfs/dbraw/zinc/82/11/84/394821184.db2.gz HCTFCNZVZSVXJN-LLVKDONJSA-N 0 0 266.345 2.825 20 5 CFBDRN Cc1cc(C)nc(-c2noc(-c3cc([N+](=O)[O-])cn3C)n2)c1 ZINC000546460791 394827083 /nfs/dbraw/zinc/82/70/83/394827083.db2.gz LOGSVKHPQIIZMM-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(CCNc2ccccc2[N+](=O)[O-])n1 ZINC000546482769 394827907 /nfs/dbraw/zinc/82/79/07/394827907.db2.gz FAUWRDISBPQDAN-NXEZZACHSA-N 0 0 288.307 2.756 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)c2cnccc2C)c1 ZINC000546630314 394834816 /nfs/dbraw/zinc/83/48/16/394834816.db2.gz HUWUDRINHVWFDB-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN COc1cc(Cc2noc(C3(C)CC3)n2)ccc1[N+](=O)[O-] ZINC000547178527 394853846 /nfs/dbraw/zinc/85/38/46/394853846.db2.gz KOTUHFULGJYWMQ-UHFFFAOYSA-N 0 0 289.291 2.629 20 5 CFBDRN COc1ccc(NC(=O)N2[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000547760455 394886879 /nfs/dbraw/zinc/88/68/79/394886879.db2.gz XZSAZPRUGPLNAR-RKDXNWHRSA-N 0 0 279.296 2.618 20 5 CFBDRN Cc1cc(OCCOC[C@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000547821036 394893339 /nfs/dbraw/zinc/89/33/39/394893339.db2.gz XXHIGRKJYUUKRJ-LLVKDONJSA-N 0 0 299.298 2.617 20 5 CFBDRN Cc1cc2cc[nH]c2cc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000548053383 394906072 /nfs/dbraw/zinc/90/60/72/394906072.db2.gz WOSZLQLNTXVFTM-UHFFFAOYSA-N 0 0 298.302 2.975 20 5 CFBDRN Cc1ccc(CC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000548093962 394909029 /nfs/dbraw/zinc/90/90/29/394909029.db2.gz ZFILYFQHHMQHBM-UHFFFAOYSA-N 0 0 278.239 2.817 20 5 CFBDRN Cc1cccc(NCCOc2ccc([N+](=O)[O-])cc2)n1 ZINC000548114276 394912111 /nfs/dbraw/zinc/91/21/11/394912111.db2.gz HVRBAEKVUYUMNG-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN COc1cccc(N2C[C@H](C)S[C@@H](C)C2)c1[N+](=O)[O-] ZINC000548117724 394912414 /nfs/dbraw/zinc/91/24/14/394912414.db2.gz ZNWGNDPPGQNEBU-UWVGGRQHSA-N 0 0 282.365 2.934 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCC[C@@H]2CCO)n1 ZINC000548293069 394920763 /nfs/dbraw/zinc/92/07/63/394920763.db2.gz SJXNXZVHGICZMA-NEPJUHHUSA-N 0 0 279.340 2.570 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1c(C)noc1C ZINC000554801585 394959229 /nfs/dbraw/zinc/95/92/29/394959229.db2.gz WKRRYKYKGVDKKN-UHFFFAOYSA-N 0 0 291.307 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000364974870 296505554 /nfs/dbraw/zinc/50/55/54/296505554.db2.gz KCBDMMYFYFJNBC-MNOVXSKESA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000439078963 304800506 /nfs/dbraw/zinc/80/05/06/304800506.db2.gz RTVZETPNDXBTQE-NEPJUHHUSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCC[C@@H](F)C1)CCN2 ZINC000408226059 296509636 /nfs/dbraw/zinc/50/96/36/296509636.db2.gz LSPINVFEZVEZBQ-SECBINFHSA-N 0 0 265.288 2.501 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@](O)(C2CC2)C1 ZINC000366617899 296510890 /nfs/dbraw/zinc/51/08/90/296510890.db2.gz IOHATKVUEDLDHX-CYBMUJFWSA-N 0 0 282.727 2.599 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCO[C@@H]3CCC[C@@H]32)c(Cl)c1 ZINC000377759946 296519562 /nfs/dbraw/zinc/51/95/62/296519562.db2.gz JNKXBNKXNCOTML-NWDGAFQWSA-N 0 0 297.742 2.791 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCS[C@H]3CCCC[C@H]32)c(F)c1 ZINC000413190122 296520283 /nfs/dbraw/zinc/52/02/83/296520283.db2.gz WYQUSHQXHIKYJM-NEPJUHHUSA-N 0 0 297.355 2.993 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@]3(CCCCO3)C2)s1 ZINC000373854805 296520000 /nfs/dbraw/zinc/52/00/00/296520000.db2.gz KTNSFTFINUGHQX-GFCCVEGCSA-N 0 0 283.353 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](c2ccccc2)C2CC2)nc1 ZINC000273551679 296520806 /nfs/dbraw/zinc/52/08/06/296520806.db2.gz AKPXSKVOEINBPW-CYBMUJFWSA-N 0 0 270.292 2.948 20 5 CFBDRN Cc1ccc(C(=O)N2CCS[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000118286271 292061179 /nfs/dbraw/zinc/06/11/79/292061179.db2.gz HTGVHRDCVAMHCI-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN COC(C)(C)C[C@H](C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000266182738 292076733 /nfs/dbraw/zinc/07/67/33/292076733.db2.gz CNOTWAXNTCXNMI-JTQLQIEISA-N 0 0 267.329 2.914 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000450773547 285721606 /nfs/dbraw/zinc/72/16/06/285721606.db2.gz MXGJPHPFAXESRV-NOZJJQNGSA-N 0 0 268.288 2.595 20 5 CFBDRN COC1(CC(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CCC1 ZINC000276890408 292106396 /nfs/dbraw/zinc/10/63/96/292106396.db2.gz UIZGBAZVNOPZNZ-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN COc1cc(COc2ccc([N+](=O)[O-])c(OC)c2)ccn1 ZINC000355951327 155133790 /nfs/dbraw/zinc/13/37/90/155133790.db2.gz NSEMDZBLINVKFO-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN CSCCc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000355973164 155134764 /nfs/dbraw/zinc/13/47/64/155134764.db2.gz PCTHLHDZGSAVLI-UHFFFAOYSA-N 0 0 279.321 2.859 20 5 CFBDRN COC[C@@H]1CCCCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000307761976 397645515 /nfs/dbraw/zinc/64/55/15/397645515.db2.gz BSKLYUPHHDTADQ-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)CCC(C)C ZINC000192515016 397611710 /nfs/dbraw/zinc/61/17/10/397611710.db2.gz WKDIGUYMKGPRMD-UHFFFAOYSA-N 0 0 254.334 2.602 20 5 CFBDRN Cc1ccc(N2CCO[C@@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000268841367 292135777 /nfs/dbraw/zinc/13/57/77/292135777.db2.gz VRUMSLBUCVHRIY-MNOVXSKESA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1ccc(N2CC[C@@H]3OCCC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000301714368 292137327 /nfs/dbraw/zinc/13/73/27/292137327.db2.gz JFLOHYQUMIBICW-DOMZBBRYSA-N 0 0 276.336 2.909 20 5 CFBDRN CO[C@@](C)([C@@H](C)Nc1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000413522153 285904108 /nfs/dbraw/zinc/90/41/08/285904108.db2.gz RUNPCSVDOBUEQC-RNCFNFMXSA-N 0 0 265.313 2.605 20 5 CFBDRN CO[C@@]1(C)CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000359744685 285918919 /nfs/dbraw/zinc/91/89/19/285918919.db2.gz ZFYOZGIADNNSHN-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)C(C)(C)c1ccccc1 ZINC000356048733 155149653 /nfs/dbraw/zinc/14/96/53/155149653.db2.gz WOBLHFJXZWAWFO-UHFFFAOYSA-N 0 0 287.319 2.647 20 5 CFBDRN Cn1nccc1/C=C\c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000356079441 155157693 /nfs/dbraw/zinc/15/76/93/155157693.db2.gz VKYHPOGNMMCZIA-WAYWQWQTSA-N 0 0 297.274 2.549 20 5 CFBDRN O=C(CCCC(F)(F)F)NCc1ccc([N+](=O)[O-])cc1 ZINC000356125532 155166985 /nfs/dbraw/zinc/16/69/85/155166985.db2.gz PIYPSMGAKHVBRD-UHFFFAOYSA-N 0 0 290.241 2.944 20 5 CFBDRN C[C@@]1(O)CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000161638607 289209958 /nfs/dbraw/zinc/20/99/58/289209958.db2.gz DAGJFESWUOZUSV-GFCCVEGCSA-N 0 0 270.716 2.599 20 5 CFBDRN CCOC1CC2(C1)CCN(c1nccc(C)c1[N+](=O)[O-])C2 ZINC000376196813 286018804 /nfs/dbraw/zinc/01/88/04/286018804.db2.gz HPBVRUZPZUUXBU-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN CO[C@H]1CCCN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000292091017 286042667 /nfs/dbraw/zinc/04/26/67/286042667.db2.gz YPZVMNDHPKSPPT-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])CC1(C)C ZINC000295736757 286061878 /nfs/dbraw/zinc/06/18/78/286061878.db2.gz MMTYNXCCHUVRNM-LBPRGKRZSA-N 0 0 282.315 2.985 20 5 CFBDRN C[C@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000356400875 155253837 /nfs/dbraw/zinc/25/38/37/155253837.db2.gz VRKKFPHTIGZNQN-ZCFIWIBFSA-N 0 0 294.204 2.662 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000356400874 155254116 /nfs/dbraw/zinc/25/41/16/155254116.db2.gz VRKKFPHTIGZNQN-LURJTMIESA-N 0 0 294.204 2.662 20 5 CFBDRN CO[C@](C)([C@H](C)Nc1cc(C)c([N+](=O)[O-])cn1)C1CC1 ZINC000413522136 286151364 /nfs/dbraw/zinc/15/13/64/286151364.db2.gz RTZYCTDYXCHILR-IINYFYTJSA-N 0 0 279.340 2.914 20 5 CFBDRN CCOC[C@H]1CCCN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000178225120 286179200 /nfs/dbraw/zinc/17/92/00/286179200.db2.gz AGYRFZQBXDNVMC-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000301423710 286206370 /nfs/dbraw/zinc/20/63/70/286206370.db2.gz AUTWCPBCDJNRND-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN CCO[C@@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000301203796 286206468 /nfs/dbraw/zinc/20/64/68/286206468.db2.gz XYCYWFLXDURINR-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN COc1cc(N2CCC3(C2)CCOCC3)ccc1[N+](=O)[O-] ZINC000266478152 286228277 /nfs/dbraw/zinc/22/82/77/286228277.db2.gz PLMGTBCSPMIRBL-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC(C)CC2)c1 ZINC000301371803 286225668 /nfs/dbraw/zinc/22/56/68/286225668.db2.gz VNCHEGCNJIOGOA-UHFFFAOYSA-N 0 0 268.288 2.979 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000356598820 155313167 /nfs/dbraw/zinc/31/31/67/155313167.db2.gz QZYPVUWNCVLTBX-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN COc1ccc(C(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000416073406 286283835 /nfs/dbraw/zinc/28/38/35/286283835.db2.gz AIFOSARQKDIAIS-DCAQKATOSA-N 0 0 292.335 2.720 20 5 CFBDRN CCN(Cc1c([N+](=O)[O-])ncn1C)c1cccc(C)c1C ZINC000356729004 155342540 /nfs/dbraw/zinc/34/25/40/155342540.db2.gz FZVKXOYPSMUSEY-UHFFFAOYSA-N 0 0 288.351 2.972 20 5 CFBDRN COCC1CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000069708382 292267634 /nfs/dbraw/zinc/26/76/34/292267634.db2.gz ZMGRRQLZWQWRHQ-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H]2CC[C@@H]2C)c1 ZINC000356756972 155356221 /nfs/dbraw/zinc/35/62/21/155356221.db2.gz OTNOLKXFBCAEKY-NWDGAFQWSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3n[nH]c4ccccc43)no2)c1 ZINC000356755987 155356362 /nfs/dbraw/zinc/35/63/62/155356362.db2.gz UJDYBROJYZOQQU-UHFFFAOYSA-N 0 0 296.246 2.516 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000356769217 155361395 /nfs/dbraw/zinc/36/13/95/155361395.db2.gz XXPRYORRZAPBEX-PSASIEDQSA-N 0 0 280.349 2.845 20 5 CFBDRN CCCN(CC(C)(C)O)c1ccc([N+](=O)[O-])c(OCC)c1 ZINC000356775061 155362758 /nfs/dbraw/zinc/36/27/58/155362758.db2.gz HSVTZNFMLKKQPV-UHFFFAOYSA-N 0 0 296.367 2.981 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCC[C@H]2C)c1 ZINC000151909145 292281942 /nfs/dbraw/zinc/28/19/42/292281942.db2.gz KBSDSXDTHZWGDC-LLVKDONJSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H]3C[C@H](O)CC[C@H]3C2)c1 ZINC000450816911 292288113 /nfs/dbraw/zinc/28/81/13/292288113.db2.gz WZIWSLYZWWXBRQ-HZSPNIEDSA-N 0 0 290.363 2.891 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@](C)(OC)C2)c1 ZINC000280541939 286382404 /nfs/dbraw/zinc/38/24/04/286382404.db2.gz SJTNTVGGDFSEPI-AWEZNQCLSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2COCc3ccccc32)n1 ZINC000418986475 292290356 /nfs/dbraw/zinc/29/03/56/292290356.db2.gz GSDVOIPSXPSIGJ-ZDUSSCGKSA-N 0 0 285.303 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc3c[nH]nc3c2)cn1 ZINC000343050623 154096515 /nfs/dbraw/zinc/09/65/15/154096515.db2.gz KRYLYJNXKGMESW-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN CCC(C)(C)CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000343222159 154145846 /nfs/dbraw/zinc/14/58/46/154145846.db2.gz FGEIVDVIYXTYQU-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1ccccn1 ZINC000343238113 154151740 /nfs/dbraw/zinc/15/17/40/154151740.db2.gz MHQBYVCGEHRBCU-UHFFFAOYSA-N 0 0 257.293 2.669 20 5 CFBDRN COC1CC(N(C)c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000343271825 154163156 /nfs/dbraw/zinc/16/31/56/154163156.db2.gz OXIPLWCKUUUXKM-UHFFFAOYSA-N 0 0 287.319 2.757 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cnn3ccccc23)n1 ZINC000343273862 154164248 /nfs/dbraw/zinc/16/42/48/154164248.db2.gz ZXNNDNPKUNLYAS-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN CC(C)n1ccnc1Sc1ccc([N+](=O)[O-])nc1 ZINC000343362792 154184835 /nfs/dbraw/zinc/18/48/35/154184835.db2.gz UMVNDXABPGQWPS-UHFFFAOYSA-N 0 0 264.310 2.918 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1C(F)F ZINC000343387120 154193527 /nfs/dbraw/zinc/19/35/27/154193527.db2.gz BELYBQMEZPOAOJ-GFCCVEGCSA-N 0 0 298.289 2.784 20 5 CFBDRN O=C(NCc1ccc[nH]1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000345582636 154210897 /nfs/dbraw/zinc/21/08/97/154210897.db2.gz CIFBLIQZJOGHBU-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN C[C@H]1[C@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)C1(F)F ZINC000345580044 154211389 /nfs/dbraw/zinc/21/13/89/154211389.db2.gz XNLIBUWNHGCYPM-WRWORJQWSA-N 0 0 295.245 2.937 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2C[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000345593076 154213871 /nfs/dbraw/zinc/21/38/71/154213871.db2.gz PWSUTJWVSLXFIR-ZJUUUORDSA-N 0 0 284.262 2.541 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000345597519 154215304 /nfs/dbraw/zinc/21/53/04/154215304.db2.gz ZNXKSVTZZRWXNK-ZJUUUORDSA-N 0 0 298.339 2.937 20 5 CFBDRN C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)c1ncccc1F ZINC000345623851 154222522 /nfs/dbraw/zinc/22/25/22/154222522.db2.gz DGHRXYAHPVGKOX-SECBINFHSA-N 0 0 289.266 2.871 20 5 CFBDRN C[C@@H]1[C@@H](c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)C1(F)F ZINC000345650190 154231364 /nfs/dbraw/zinc/23/13/64/154231364.db2.gz XDGGIKVXMWZUNE-ANLVUFKYSA-N 0 0 296.233 2.596 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nc(-c2ccccn2)no1 ZINC000345670346 154236224 /nfs/dbraw/zinc/23/62/24/154236224.db2.gz HSURJZMYNQHAIU-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCC1(F)CCC1 ZINC000345738656 154254459 /nfs/dbraw/zinc/25/44/59/154254459.db2.gz HZEZTIBZQNBWHQ-UHFFFAOYSA-N 0 0 280.299 2.915 20 5 CFBDRN Cc1cccc(C(=O)NCCC2(F)CCC2)c1[N+](=O)[O-] ZINC000345734622 154254553 /nfs/dbraw/zinc/25/45/53/154254553.db2.gz DJBPURASGYBVCS-UHFFFAOYSA-N 0 0 280.299 2.915 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC2(C)C)cc([N+](=O)[O-])c1C ZINC000345738654 154254675 /nfs/dbraw/zinc/25/46/75/154254675.db2.gz HXXJKSGDPJPVMI-ZDUSSCGKSA-N 0 0 292.335 2.830 20 5 CFBDRN COC[C@@H](C)CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000345816652 154274477 /nfs/dbraw/zinc/27/44/77/154274477.db2.gz YBWKTIGGMJWXJU-NSHDSACASA-N 0 0 294.351 2.619 20 5 CFBDRN Cc1noc([C@H](C)NCc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000348093504 154317528 /nfs/dbraw/zinc/31/75/28/154317528.db2.gz AMMHTLZFMHMXBF-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cn1ccc(-c2ccccn2)n1 ZINC000348103213 154321186 /nfs/dbraw/zinc/32/11/86/154321186.db2.gz ADDMEKVFIANXSY-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN CC(C)(C(=O)NCc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000348132592 154333511 /nfs/dbraw/zinc/33/35/11/154333511.db2.gz MGFYRXYHHSMSKC-UHFFFAOYSA-N 0 0 272.251 2.502 20 5 CFBDRN Cc1ccc(NC(=O)N(C)[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000348136687 154334389 /nfs/dbraw/zinc/33/43/89/154334389.db2.gz BAGFHTHAWYSRRS-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)c(=O)[nH]1 ZINC000348134251 154334868 /nfs/dbraw/zinc/33/48/68/154334868.db2.gz NSIJEOYDUAPDFI-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@H]1C ZINC000348173064 154349336 /nfs/dbraw/zinc/34/93/36/154349336.db2.gz UGBCCWUNLXNGHG-SCZZXKLOSA-N 0 0 279.296 2.523 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCC1(C)CC1 ZINC000348273322 154390016 /nfs/dbraw/zinc/39/00/16/154390016.db2.gz FWZFTAXZUXXNOR-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000352304174 154528109 /nfs/dbraw/zinc/52/81/09/154528109.db2.gz ONNCZYHWSIXIOS-NSHDSACASA-N 0 0 262.309 2.679 20 5 CFBDRN CCn1cc(N=c2[nH]c3ccccc3cc2[N+](=O)[O-])cn1 ZINC000352363045 154542620 /nfs/dbraw/zinc/54/26/20/154542620.db2.gz YQNXWMONICNTIT-UHFFFAOYSA-N 0 0 283.291 2.525 20 5 CFBDRN Cc1cnc(COc2cccc([N+](=O)[O-])c2C)cn1 ZINC000352539502 154604256 /nfs/dbraw/zinc/60/42/56/154604256.db2.gz YJOLOEZERXXSKH-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CCCSC1 ZINC000352608706 154625826 /nfs/dbraw/zinc/62/58/26/154625826.db2.gz APQPCTSPDWESNZ-GFCCVEGCSA-N 0 0 294.376 2.696 20 5 CFBDRN CCC(=O)c1ccc(Oc2ncc([N+](=O)[O-])cn2)c(F)c1 ZINC000354567438 154749848 /nfs/dbraw/zinc/74/98/48/154749848.db2.gz FLYPODAIPCRYKP-UHFFFAOYSA-N 0 0 291.238 2.909 20 5 CFBDRN COc1cccc(N[C@H]2CSC2(C)C)c1[N+](=O)[O-] ZINC000282852294 286459477 /nfs/dbraw/zinc/45/94/77/286459477.db2.gz NXUNTELLDXEIQF-JTQLQIEISA-N 0 0 268.338 2.909 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC[C@@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000354771875 154810962 /nfs/dbraw/zinc/81/09/62/154810962.db2.gz PUOUUDNQQWVSIH-MNOVXSKESA-N 0 0 294.326 2.879 20 5 CFBDRN CCN(CCC1CC1)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000355115783 154921739 /nfs/dbraw/zinc/92/17/39/154921739.db2.gz FVQYPZPEUFCTBB-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN COCCCCCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000116612183 292318346 /nfs/dbraw/zinc/31/83/46/292318346.db2.gz DCILMBSKUDJLFR-UHFFFAOYSA-N 0 0 267.281 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3ccncc3F)n2)cc1 ZINC000355439834 155008142 /nfs/dbraw/zinc/00/81/42/155008142.db2.gz HCKCHWIEUIFPCJ-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN Cc1cccc(-c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)c1 ZINC000355554007 155039555 /nfs/dbraw/zinc/03/95/55/155039555.db2.gz AUGZPBHOCGYUTC-UHFFFAOYSA-N 0 0 270.248 2.948 20 5 CFBDRN Cc1cc(C)cc(N(C)Cc2c([N+](=O)[O-])ncn2C)c1 ZINC000355562060 155042759 /nfs/dbraw/zinc/04/27/59/155042759.db2.gz ZADLJNNLPJQQQB-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN COCC(C)(C)NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000355654184 155056586 /nfs/dbraw/zinc/05/65/86/155056586.db2.gz GISBUTYNWWFONI-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN CC[C@@H](OC)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355685720 155062874 /nfs/dbraw/zinc/06/28/74/155062874.db2.gz CTRASOGFIMSWQS-LLVKDONJSA-N 0 0 277.280 2.666 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2CCCCO2)n1 ZINC000355689108 155063730 /nfs/dbraw/zinc/06/37/30/155063730.db2.gz KJYWPYVPXOMXHR-LBPRGKRZSA-N 0 0 289.291 2.810 20 5 CFBDRN CCO[C@@H](C)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355689492 155063744 /nfs/dbraw/zinc/06/37/44/155063744.db2.gz MCQZTUFVHNUURX-VIFPVBQESA-N 0 0 277.280 2.666 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(-c2cscn2)n1 ZINC000355691184 155064119 /nfs/dbraw/zinc/06/41/19/155064119.db2.gz SHPAGDNQQGNSQT-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN Cc1ccc(Cc2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)cc1 ZINC000355818464 155096839 /nfs/dbraw/zinc/09/68/39/155096839.db2.gz MXBOQVYNPWNSBC-UHFFFAOYSA-N 0 0 284.275 2.872 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(Cc2cscn2)no1 ZINC000355779483 155084043 /nfs/dbraw/zinc/08/40/43/155084043.db2.gz ZEUIRWHYBRJMLQ-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3ccncc3)no2)cc1 ZINC000355781935 155085185 /nfs/dbraw/zinc/08/51/85/155085185.db2.gz BCFBQDVKUBYTCR-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN Cc1ccnc(Sc2cccc(C(N)=O)c2)c1[N+](=O)[O-] ZINC000357775387 155530529 /nfs/dbraw/zinc/53/05/29/155530529.db2.gz PIEHVVXXMKETAX-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN NC(=O)c1cccc(Sc2ccc(F)cc2[N+](=O)[O-])c1 ZINC000357778531 155533308 /nfs/dbraw/zinc/53/33/08/155533308.db2.gz DBZQGFGXYJGKHG-UHFFFAOYSA-N 0 0 292.291 2.984 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@@H](CO)C1CC1 ZINC000357788155 155536900 /nfs/dbraw/zinc/53/69/00/155536900.db2.gz WBKJLBGKNZRJIT-JTQLQIEISA-N 0 0 284.743 2.987 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])nn2C)c(Cl)c1 ZINC000357967827 155590516 /nfs/dbraw/zinc/59/05/16/155590516.db2.gz ALGRGDMGKCUBKG-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cnccc1C ZINC000358035305 155610817 /nfs/dbraw/zinc/61/08/17/155610817.db2.gz ITFVBESOAOWOFE-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CCNc1c([N+](=O)[O-])ncn1C ZINC000358195079 155668101 /nfs/dbraw/zinc/66/81/01/155668101.db2.gz POZXTQDVRDJLNU-MNOVXSKESA-N 0 0 266.345 2.957 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1cc(C2CC2)ccn1 ZINC000358222103 155677546 /nfs/dbraw/zinc/67/75/46/155677546.db2.gz JDWDHPPEJHDJPN-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ncccc1[N+](=O)[O-])C2 ZINC000360264497 292328264 /nfs/dbraw/zinc/32/82/64/292328264.db2.gz DTTWAKANYRXSNQ-UHFFFAOYSA-N 0 0 255.277 2.818 20 5 CFBDRN Cc1ccsc1CCNc1c([N+](=O)[O-])c(C)nn1C ZINC000358465076 155773269 /nfs/dbraw/zinc/77/32/69/155773269.db2.gz QFULWSOLXUUQGE-UHFFFAOYSA-N 0 0 280.353 2.661 20 5 CFBDRN CS[C@H](C)CCC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000358487521 155779624 /nfs/dbraw/zinc/77/96/24/155779624.db2.gz YAESLSQEKCWWIR-LLVKDONJSA-N 0 0 296.392 2.785 20 5 CFBDRN COC(=O)[C@@H](N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000358835412 155893019 /nfs/dbraw/zinc/89/30/19/155893019.db2.gz PIBCZCJISRWLHW-YGRLFVJLSA-N 0 0 292.335 2.505 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc(OCC(F)F)cc1 ZINC000359026913 155958097 /nfs/dbraw/zinc/95/80/97/155958097.db2.gz GCRRAUYMEAPENF-UHFFFAOYSA-N 0 0 298.249 2.716 20 5 CFBDRN CC1(CNC(=O)Nc2ccncc2[N+](=O)[O-])CCCCC1 ZINC000359030282 155960157 /nfs/dbraw/zinc/96/01/57/155960157.db2.gz MRKQIGPGBGABLK-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN Cn1cc(/C=C/c2ccc([N+](=O)[O-])cn2)c(C(F)F)n1 ZINC000359156854 156007683 /nfs/dbraw/zinc/00/76/83/156007683.db2.gz FCWZOVOUGQWDQY-NSCUHMNNSA-N 0 0 280.234 2.831 20 5 CFBDRN O=C(Cn1cnc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cc1 ZINC000359173207 156012416 /nfs/dbraw/zinc/01/24/16/156012416.db2.gz YPJFLFAJAQAVNP-UHFFFAOYSA-N 0 0 299.208 2.693 20 5 CFBDRN CC(C)=CCCNC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000360194564 156047003 /nfs/dbraw/zinc/04/70/03/156047003.db2.gz PUOAWBBWNIAZRD-UHFFFAOYSA-N 0 0 280.299 2.749 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2CC[C@H](F)C2)c1[N+](=O)[O-] ZINC000360367270 156061652 /nfs/dbraw/zinc/06/16/52/156061652.db2.gz WUACASWLINTAHE-IUCAKERBSA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2nccn(C(F)F)c2=O)c1 ZINC000360880621 156062969 /nfs/dbraw/zinc/06/29/69/156062969.db2.gz YWIMPQBWOCNUQY-UHFFFAOYSA-N 0 0 297.217 2.647 20 5 CFBDRN Cc1cnc(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)nc1 ZINC000361085822 156066766 /nfs/dbraw/zinc/06/67/66/156066766.db2.gz KPGAQQAEUSHEMX-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2ncc(C)cn2)c1 ZINC000361084401 156067021 /nfs/dbraw/zinc/06/70/21/156067021.db2.gz APNRXSUXAHPQGV-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN(CC)[C@H]1CCOC1 ZINC000361238962 156069689 /nfs/dbraw/zinc/06/96/89/156069689.db2.gz WLFDDXJYCOMJLO-AWEZNQCLSA-N 0 0 294.351 2.604 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000362794694 156085575 /nfs/dbraw/zinc/08/55/75/156085575.db2.gz FBZBYPYREWSDSP-QMMMGPOBSA-N 0 0 298.289 2.578 20 5 CFBDRN CSc1cccc(C(=O)Nc2nc(C)c[nH]2)c1[N+](=O)[O-] ZINC000362928374 156115986 /nfs/dbraw/zinc/11/59/86/156115986.db2.gz RMDARXLWEAOJMI-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN CO[C@@H](CNc1c(F)cc([N+](=O)[O-])cc1F)C(C)C ZINC000362977625 156134690 /nfs/dbraw/zinc/13/46/90/156134690.db2.gz SGPXXWMKCVWQAY-NSHDSACASA-N 0 0 274.267 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC(F)(F)C2)nc1 ZINC000362992496 156138652 /nfs/dbraw/zinc/13/86/52/156138652.db2.gz LKXMCXQSGODAKP-QMMMGPOBSA-N 0 0 257.240 2.980 20 5 CFBDRN O=C([C@H]1C[C@H]1c1ccco1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000363237066 156164066 /nfs/dbraw/zinc/16/40/66/156164066.db2.gz DKAQHQFGLWHRFA-NEPJUHHUSA-N 0 0 298.298 2.881 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2ncc[nH]2)c(Cl)c1 ZINC000364030678 156217752 /nfs/dbraw/zinc/21/77/52/156217752.db2.gz DXNMMMPIDLFUTA-JTQLQIEISA-N 0 0 293.714 2.708 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1noc([C@H]2C[C@]23CCOC3)n1 ZINC000367356033 156318344 /nfs/dbraw/zinc/31/83/44/156318344.db2.gz JCJJBOSQZBNRJQ-YGRLFVJLSA-N 0 0 287.275 2.539 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000367434428 156331465 /nfs/dbraw/zinc/33/14/65/156331465.db2.gz HPGGQMVQODDOSI-DFBGVHRSSA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H](CO)c3ccccc32)s1 ZINC000368520445 156500866 /nfs/dbraw/zinc/50/08/66/156500866.db2.gz VFURUQCSYKOSLU-GZMMTYOYSA-N 0 0 291.332 2.684 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCCC2(CCC2)C1 ZINC000369616952 156600297 /nfs/dbraw/zinc/60/02/97/156600297.db2.gz WSZJEFUBMNQKKC-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN Cc1cc(COC(=O)[C@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000373308962 156978415 /nfs/dbraw/zinc/97/84/15/156978415.db2.gz NUNVRROVIQMGAH-ZDUSSCGKSA-N 0 0 293.319 2.763 20 5 CFBDRN CCOc1cc(CN2CCOCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000373816495 157034230 /nfs/dbraw/zinc/03/42/30/157034230.db2.gz UIEQMMGXEORQLA-GFCCVEGCSA-N 0 0 294.351 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(Cc3cccnc3)CC2)nc1 ZINC000374486051 157120981 /nfs/dbraw/zinc/12/09/81/157120981.db2.gz GDNMDUFLYKHLJU-UHFFFAOYSA-N 0 0 298.346 2.844 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CCO[C@]2(CCOC2)C1 ZINC000374500441 157122320 /nfs/dbraw/zinc/12/23/20/157122320.db2.gz BOIAACIOUVPDPO-SWLSCSKDSA-N 0 0 292.335 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000375004660 157181289 /nfs/dbraw/zinc/18/12/89/157181289.db2.gz OCBXECJNOMDVPD-ZDUSSCGKSA-N 0 0 272.308 2.655 20 5 CFBDRN C[C@H]1[N@H+](Cc2ccccc2[N+](=O)[O-])CCC12CCOCC2 ZINC000375243597 157211196 /nfs/dbraw/zinc/21/11/96/157211196.db2.gz ZJKMTPRRWYSDTN-CYBMUJFWSA-N 0 0 290.363 2.986 20 5 CFBDRN Cc1ccc(CN2CCC[C@@H]2c2ncc[nH]2)cc1[N+](=O)[O-] ZINC000375354125 157233281 /nfs/dbraw/zinc/23/32/81/157233281.db2.gz RUXOLNVHRNMKEA-CYBMUJFWSA-N 0 0 286.335 2.963 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCOCC1 ZINC000376121050 157324309 /nfs/dbraw/zinc/32/43/09/157324309.db2.gz YWLCNGIUHBXLDY-GFCCVEGCSA-N 0 0 292.335 2.967 20 5 CFBDRN CC[C@]1(CO)CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000275032343 288479597 /nfs/dbraw/zinc/47/95/97/288479597.db2.gz ONRAYQJROVMUDT-AWEZNQCLSA-N 0 0 282.315 2.723 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CCCCS1 ZINC000342649960 161678032 /nfs/dbraw/zinc/67/80/32/161678032.db2.gz GGINXPQKAWVRMB-GFCCVEGCSA-N 0 0 294.376 2.919 20 5 CFBDRN CCOc1cc(N2CCC[C@]23CCOC3)ccc1[N+](=O)[O-] ZINC000343695174 161840474 /nfs/dbraw/zinc/84/04/74/161840474.db2.gz BWWMMMWOSDBBII-OAHLLOKOSA-N 0 0 292.335 2.753 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000343772962 161858188 /nfs/dbraw/zinc/85/81/88/161858188.db2.gz JABAXRABLAICBF-ZDUSSCGKSA-N 0 0 289.335 2.697 20 5 CFBDRN COc1cc(NCC[C@@H]2CCOC2)c([N+](=O)[O-])cc1F ZINC000343877313 161883865 /nfs/dbraw/zinc/88/38/65/161883865.db2.gz ORVNBQLSJWAGQU-SECBINFHSA-N 0 0 284.287 2.581 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000343985371 161908192 /nfs/dbraw/zinc/90/81/92/161908192.db2.gz NSGHRTMKABYTEX-BXUZGUMPSA-N 0 0 291.351 2.702 20 5 CFBDRN CSc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)o1 ZINC000344201777 161972310 /nfs/dbraw/zinc/97/23/10/161972310.db2.gz SLVDVUSSZYFUIN-UHFFFAOYSA-N 0 0 293.304 2.581 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])nc2)cc(C)c1O ZINC000344430437 162042448 /nfs/dbraw/zinc/04/24/48/162042448.db2.gz KFAWHPHXFVPWQD-UHFFFAOYSA-N 0 0 273.292 2.924 20 5 CFBDRN O=C(Nc1nc2ccsc2s1)c1ccc([N+](=O)[O-])[nH]1 ZINC000344430282 162042466 /nfs/dbraw/zinc/04/24/66/162042466.db2.gz JKSDYZAHPGZESY-UHFFFAOYSA-N 0 0 294.317 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCN2CCc3ccccc32)cn1 ZINC000344436742 162043291 /nfs/dbraw/zinc/04/32/91/162043291.db2.gz QFFFKRNTKWAXJR-UHFFFAOYSA-N 0 0 298.346 2.855 20 5 CFBDRN C[C@@H](CC(=O)OC(C)(C)C)Nc1ccc([N+](=O)[O-])cn1 ZINC000344449123 162045616 /nfs/dbraw/zinc/04/56/16/162045616.db2.gz WMRISMKVSVLDSP-VIFPVBQESA-N 0 0 281.312 2.522 20 5 CFBDRN CCCC1(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)CCC1 ZINC000344545047 162064284 /nfs/dbraw/zinc/06/42/84/162064284.db2.gz WRTJUFWJMHPATN-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN CC(C)c1nnc(CNCc2ccc([N+](=O)[O-])cc2)s1 ZINC000344680460 162097107 /nfs/dbraw/zinc/09/71/07/162097107.db2.gz NNPPTEQAPQPCDA-UHFFFAOYSA-N 0 0 292.364 2.860 20 5 CFBDRN COc1ccc(COc2ncc(C)cc2[N+](=O)[O-])cc1 ZINC000344768648 162120561 /nfs/dbraw/zinc/12/05/61/162120561.db2.gz MBPNNDDTMSGSDF-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1cnn(C)c1 ZINC000344851888 162136220 /nfs/dbraw/zinc/13/62/20/162136220.db2.gz JEOUTMSOEFNODQ-WDZFZDKYSA-N 0 0 272.308 2.844 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@]2(C)CCO[C@H]2C)cc1[N+](=O)[O-] ZINC000344911731 162147617 /nfs/dbraw/zinc/14/76/17/162147617.db2.gz GJTCTWVTKOHWKB-HZMBPMFUSA-N 0 0 293.323 2.592 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC[C@H](C)C1 ZINC000345076010 162174359 /nfs/dbraw/zinc/17/43/59/162174359.db2.gz LXJSCWUJSBCUNV-VHSXEESVSA-N 0 0 278.308 2.978 20 5 CFBDRN CNC(=O)[C@H]1CCC[C@@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000345168195 162192931 /nfs/dbraw/zinc/19/29/31/162192931.db2.gz ZRTHHZGDNFSQLI-UWVGGRQHSA-N 0 0 297.742 2.575 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2)c2nccn21 ZINC000345350626 162234015 /nfs/dbraw/zinc/23/40/15/162234015.db2.gz IGIJJWQMJVTUQM-CABZTGNLSA-N 0 0 258.281 2.909 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000345404952 162241198 /nfs/dbraw/zinc/24/11/98/162241198.db2.gz AITZFSZCKQHQAV-LBPRGKRZSA-N 0 0 298.289 2.720 20 5 CFBDRN CCc1noc(-c2c[nH]c3ccc([N+](=O)[O-])cc23)n1 ZINC000345437296 162247843 /nfs/dbraw/zinc/24/78/43/162247843.db2.gz LMUSMUZBXSIYRI-UHFFFAOYSA-N 0 0 258.237 2.689 20 5 CFBDRN CO[C@@H](c1nc(Cc2ccccc2[N+](=O)[O-])no1)C(C)C ZINC000345522174 162263539 /nfs/dbraw/zinc/26/35/39/162263539.db2.gz AMLNCJXYWYKJFG-CYBMUJFWSA-N 0 0 291.307 2.912 20 5 CFBDRN CSCCCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000346006107 162294537 /nfs/dbraw/zinc/29/45/37/162294537.db2.gz ZRQWWMPDIXEYGH-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN CCc1nc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)co1 ZINC000346010428 162296414 /nfs/dbraw/zinc/29/64/14/162296414.db2.gz WGNQKZWQJCMTHW-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000346109920 162320491 /nfs/dbraw/zinc/32/04/91/162320491.db2.gz VNHMTDLWEIGVEB-NWDGAFQWSA-N 0 0 295.314 2.593 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cccc([N+](=O)[O-])c2C)nc1 ZINC000346204007 162352764 /nfs/dbraw/zinc/35/27/64/162352764.db2.gz KDXIPZYLCVPUBX-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCCN(CC(C)C)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000346394134 162404564 /nfs/dbraw/zinc/40/45/64/162404564.db2.gz GPIUNHOITVVAIJ-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN CCc1cccnc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000346648416 162475199 /nfs/dbraw/zinc/47/51/99/162475199.db2.gz DSRKXCGKPRSSLO-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN COC(C)(C)c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000346857231 162539326 /nfs/dbraw/zinc/53/93/26/162539326.db2.gz ANULFGYSMBUDIZ-UHFFFAOYSA-N 0 0 291.307 2.759 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000346975458 162570695 /nfs/dbraw/zinc/57/06/95/162570695.db2.gz LYYHZYGPTJAIJT-CYBMUJFWSA-N 0 0 298.339 2.647 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000347794812 162777539 /nfs/dbraw/zinc/77/75/39/162777539.db2.gz DUQVWNAVPHAMMM-NSHDSACASA-N 0 0 291.351 2.702 20 5 CFBDRN CCCCCOC1CN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000348347856 162833495 /nfs/dbraw/zinc/83/34/95/162833495.db2.gz FFTOAACGCWUQTK-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1cc(Cl)ccc1F ZINC000349222173 162952351 /nfs/dbraw/zinc/95/23/51/162952351.db2.gz XWMYYOCXUUUSNK-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN Cc1ncc(CSc2cccc([N+](=O)[O-])c2)cn1 ZINC000349816307 163019739 /nfs/dbraw/zinc/01/97/39/163019739.db2.gz LQQSATXYFLEORS-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN Cc1cc(OCC2(C)COC2)c(Cl)cc1[N+](=O)[O-] ZINC000349855220 163027419 /nfs/dbraw/zinc/02/74/19/163027419.db2.gz RZHWOUMBBQVIFM-UHFFFAOYSA-N 0 0 271.700 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccsc3)no2)[nH]1 ZINC000349875244 163029181 /nfs/dbraw/zinc/02/91/81/163029181.db2.gz CILDATFMICSOOC-UHFFFAOYSA-N 0 0 262.250 2.701 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(/C=C/c3cnccn3)n2)c1 ZINC000349864570 163029435 /nfs/dbraw/zinc/02/94/35/163029435.db2.gz XOSDWTXZQAOWEB-SNAWJCMRSA-N 0 0 295.258 2.605 20 5 CFBDRN Cc1nc(COc2c(Cl)cncc2[N+](=O)[O-])cs1 ZINC000349922588 163037277 /nfs/dbraw/zinc/03/72/77/163037277.db2.gz YISOJHRLWDDEMG-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CC[C@@H](C)C(=O)COc1c(Cl)cncc1[N+](=O)[O-] ZINC000349949610 163040778 /nfs/dbraw/zinc/04/07/78/163040778.db2.gz RVVOESBNMPAMMU-SSDOTTSWSA-N 0 0 272.688 2.637 20 5 CFBDRN Cc1cnccc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000350016054 163049361 /nfs/dbraw/zinc/04/93/61/163049361.db2.gz FZGXAQQWEUQFJN-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(OCCO)cc2)cc1 ZINC000350738542 163102307 /nfs/dbraw/zinc/10/23/07/163102307.db2.gz XBQKIAJAFCNHNE-UHFFFAOYSA-N 0 0 288.303 2.578 20 5 CFBDRN C/C(=C\c1nc(-c2ncc[nH]2)no1)c1ccc([N+](=O)[O-])cc1 ZINC000351026226 163116235 /nfs/dbraw/zinc/11/62/35/163116235.db2.gz CWOJCLJSNNYRAA-CMDGGOBGSA-N 0 0 297.274 2.928 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC[C@H](F)C1 ZINC000351143763 163119999 /nfs/dbraw/zinc/11/99/99/163119999.db2.gz LDBNIGJLGMNJHS-IUCAKERBSA-N 0 0 297.286 2.616 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1C[C@@H]1C(F)F ZINC000351200268 163121985 /nfs/dbraw/zinc/12/19/85/163121985.db2.gz JBIVHMLMZRJFHE-WPRPVWTQSA-N 0 0 285.250 2.678 20 5 CFBDRN CCc1ocnc1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000351293916 163124554 /nfs/dbraw/zinc/12/45/54/163124554.db2.gz YTWPETWPHORBGB-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN O=C(N[C@H](c1ccccc1)C(F)F)c1ccc([N+](=O)[O-])o1 ZINC000351513386 163138195 /nfs/dbraw/zinc/13/81/95/163138195.db2.gz SJHUTWFBXUUNGN-LLVKDONJSA-N 0 0 296.229 2.924 20 5 CFBDRN CSC[C@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000351629645 163161070 /nfs/dbraw/zinc/16/10/70/163161070.db2.gz PLFOWRJEMUCBOY-JTQLQIEISA-N 0 0 296.392 2.740 20 5 CFBDRN COc1ccc(OCC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000351627149 163161717 /nfs/dbraw/zinc/16/17/17/163161717.db2.gz IDVCUKSOXCZXJE-NSHDSACASA-N 0 0 281.308 2.941 20 5 CFBDRN CC(C)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351653513 163168852 /nfs/dbraw/zinc/16/88/52/163168852.db2.gz BDBUPSQCYQTDAT-UHFFFAOYSA-N 0 0 269.276 2.902 20 5 CFBDRN Cc1cc(COc2cc(F)cc([N+](=O)[O-])c2)on1 ZINC000351669813 163169675 /nfs/dbraw/zinc/16/96/75/163169675.db2.gz BLGAQISATLYBJB-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN CCc1cnccc1CNc1nc(C)ccc1[N+](=O)[O-] ZINC000351902573 163214001 /nfs/dbraw/zinc/21/40/01/163214001.db2.gz ZZSWPOCASUGSIX-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN CCCN(CC(=O)N(C)C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000352696440 163224998 /nfs/dbraw/zinc/22/49/98/163224998.db2.gz MHIOHITXQASFDS-UHFFFAOYSA-N 0 0 299.758 2.553 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000352813536 163240852 /nfs/dbraw/zinc/24/08/52/163240852.db2.gz DGKAATBRPYAZEE-LLVKDONJSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1c[nH]nc1CNC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000352830245 163246125 /nfs/dbraw/zinc/24/61/25/163246125.db2.gz CMZRHCVFVWQQBT-UHFFFAOYSA-N 0 0 274.324 2.651 20 5 CFBDRN COCCN(C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000352917325 163269439 /nfs/dbraw/zinc/26/94/39/163269439.db2.gz URCKFZAETBSOPG-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN O=c1cc(CNc2ccc([N+](=O)[O-])c3cnccc23)cc[nH]1 ZINC000353057902 163298145 /nfs/dbraw/zinc/29/81/45/163298145.db2.gz YIKCSAJTODUWJJ-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN Cn1ccnc1[C@H](NC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000353383796 163355780 /nfs/dbraw/zinc/35/57/80/163355780.db2.gz GKWYNGPMCYYSIZ-CQSZACIVSA-N 0 0 286.335 2.560 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCC1CCOCC1 ZINC000353443351 163365399 /nfs/dbraw/zinc/36/53/99/163365399.db2.gz WZAKPKMTAZPLAM-UHFFFAOYSA-N 0 0 294.351 2.970 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000353443375 163365578 /nfs/dbraw/zinc/36/55/78/163365578.db2.gz XCMHWQPYISIFJG-DGCLKSJQSA-N 0 0 291.351 2.830 20 5 CFBDRN COCCC(C)(C)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000354014845 163504090 /nfs/dbraw/zinc/50/40/90/163504090.db2.gz OJDGNRQFMYPVAN-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000271423070 287101815 /nfs/dbraw/zinc/10/18/15/287101815.db2.gz LVVHVKDYQQKBCC-ZYHUDNBSSA-N 0 0 291.351 2.722 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000271471294 287118614 /nfs/dbraw/zinc/11/86/14/287118614.db2.gz RGKBJZQCWATSBZ-CMPLNLGQSA-N 0 0 262.309 2.998 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccnc(Cl)c1 ZINC000002524973 169802254 /nfs/dbraw/zinc/80/22/54/169802254.db2.gz RVVKEFXKLPBYAD-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CC[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000286639063 287211932 /nfs/dbraw/zinc/21/19/32/287211932.db2.gz DEWKVBOCWMLVMD-NXEZZACHSA-N 0 0 268.288 2.738 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000003564382 169897636 /nfs/dbraw/zinc/89/76/36/169897636.db2.gz AGXMXUWSNMLEMC-RISCZKNCSA-N 0 0 292.335 2.669 20 5 CFBDRN O=C(COc1cc(F)ccc1[N+](=O)[O-])Nc1ccccc1 ZINC000003572541 169898714 /nfs/dbraw/zinc/89/87/14/169898714.db2.gz MCVWCBQIVOVHRZ-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000005655615 170124641 /nfs/dbraw/zinc/12/46/41/170124641.db2.gz AECGLBRUAKGWAD-GFCCVEGCSA-N 0 0 292.335 2.765 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)Nc1cccc(F)c1 ZINC000006060832 170173051 /nfs/dbraw/zinc/17/30/51/170173051.db2.gz UBJFQIHPTMNQSP-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)NCC1CCCCC1 ZINC000006061598 170173339 /nfs/dbraw/zinc/17/33/39/170173339.db2.gz QMVHQNCQYBRXMO-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CCCNC(=S)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000006329421 170191860 /nfs/dbraw/zinc/19/18/60/170191860.db2.gz GLHURIHUDJUEGC-UHFFFAOYSA-N 0 0 273.745 2.945 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000336319323 287234212 /nfs/dbraw/zinc/23/42/12/287234212.db2.gz DRLUERSYLSVJIU-LLVKDONJSA-N 0 0 274.320 3.000 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Oc1cccnc1[N+](=O)[O-] ZINC000007186448 170270428 /nfs/dbraw/zinc/27/04/28/170270428.db2.gz SUFHDUSFCHJHFG-UHFFFAOYSA-N 0 0 279.183 2.829 20 5 CFBDRN COc1ccccc1COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000007434883 170284721 /nfs/dbraw/zinc/28/47/21/170284721.db2.gz LSMAUWAOAMKAON-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN Cc1cccc(NC(=O)CNc2cccc([N+](=O)[O-])c2)c1 ZINC000007954129 170309555 /nfs/dbraw/zinc/30/95/55/170309555.db2.gz UYBACRTYQSPGAJ-UHFFFAOYSA-N 0 0 285.303 2.954 20 5 CFBDRN C[C@@H](CNc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000008319108 170330431 /nfs/dbraw/zinc/33/04/31/170330431.db2.gz QXOIWDRHPAZUNF-JTQLQIEISA-N 0 0 260.297 2.544 20 5 CFBDRN CC(C)[C@@H](C)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000008318639 170330739 /nfs/dbraw/zinc/33/07/39/170330739.db2.gz NNZDNTZJISSNHC-SSDOTTSWSA-N 0 0 254.315 2.760 20 5 CFBDRN COc1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2)cc1 ZINC000008487427 170337607 /nfs/dbraw/zinc/33/76/07/170337607.db2.gz WMGQBRIWSBQBMW-UHFFFAOYSA-N 0 0 273.244 2.823 20 5 CFBDRN COC(=O)c1ccc(NCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000008701774 170347168 /nfs/dbraw/zinc/34/71/68/170347168.db2.gz GPRQYNHJNUOGBW-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)ccn1 ZINC000010163149 170399871 /nfs/dbraw/zinc/39/98/71/170399871.db2.gz UFFBBLKIKSTYEX-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(=O)c1csc(NC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000016470671 170699109 /nfs/dbraw/zinc/69/91/09/170699109.db2.gz LIZMXFXMOUWSIB-UHFFFAOYSA-N 0 0 291.288 2.506 20 5 CFBDRN O=Cc1ccc(OCC(F)(F)C(F)F)c([N+](=O)[O-])c1 ZINC000020518303 171233038 /nfs/dbraw/zinc/23/30/38/171233038.db2.gz KRSQZWYSWWKIKU-UHFFFAOYSA-N 0 0 281.161 2.687 20 5 CFBDRN Cc1nn(CC(=O)c2ccc(F)cc2F)c(C)c1[N+](=O)[O-] ZINC000022435051 171387847 /nfs/dbraw/zinc/38/78/47/171387847.db2.gz MFWHCWUFEWUNDM-UHFFFAOYSA-N 0 0 295.245 2.569 20 5 CFBDRN O=C1CCCN1c1cccc(Nc2ncccc2[N+](=O)[O-])c1 ZINC000026710526 171496246 /nfs/dbraw/zinc/49/62/46/171496246.db2.gz XNWLZZFCVIPTHL-UHFFFAOYSA-N 0 0 298.302 2.860 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H]2[C@@H]2CCCO2)cs1 ZINC000284846530 196143345 /nfs/dbraw/zinc/14/33/45/196143345.db2.gz RIYUBQDNOYANAS-NEPJUHHUSA-N 0 0 282.365 2.800 20 5 CFBDRN COCC1(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCC1 ZINC000285052011 196186441 /nfs/dbraw/zinc/18/64/41/196186441.db2.gz CIFGQRGPMNUFGD-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CCOc1cc(OCCCCOC)ccc1[N+](=O)[O-] ZINC000285053958 196186508 /nfs/dbraw/zinc/18/65/08/196186508.db2.gz GAZQFYLLTUYTCD-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC3CCC(O)CC3)ccc2c1 ZINC000035343382 172134977 /nfs/dbraw/zinc/13/49/77/172134977.db2.gz HEAVSGKMBMLSNX-UHFFFAOYSA-N 0 0 287.319 2.858 20 5 CFBDRN COC[C@@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000035343415 172135057 /nfs/dbraw/zinc/13/50/57/172135057.db2.gz CESINBATRIWHHR-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN CCOC1CC(COc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000285161225 196210118 /nfs/dbraw/zinc/21/01/18/196210118.db2.gz MPQCREHUHFFAEN-UHFFFAOYSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@H](O)[C@H](C)SCc1c(F)cc([N+](=O)[O-])cc1F ZINC000285267455 196236890 /nfs/dbraw/zinc/23/68/90/196236890.db2.gz SSHSTUZDEJGXLI-BQBZGAKWSA-N 0 0 277.292 2.876 20 5 CFBDRN CC(C)(O)CCOc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000285275021 196238584 /nfs/dbraw/zinc/23/85/84/196238584.db2.gz UEWOLMBJBGWMTI-UHFFFAOYSA-N 0 0 277.679 2.927 20 5 CFBDRN C[C@@H](NCc1cc(F)ccc1[N+](=O)[O-])c1cccnc1 ZINC000035692068 172360492 /nfs/dbraw/zinc/36/04/92/172360492.db2.gz VXPAGKIXSMXJBO-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])Cc1nccs1 ZINC000285402938 196274011 /nfs/dbraw/zinc/27/40/11/196274011.db2.gz UCVCADXWIKVEPV-UHFFFAOYSA-N 0 0 277.349 2.726 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cc3n(n2)CCCC3)c1 ZINC000285538790 196320047 /nfs/dbraw/zinc/32/00/47/196320047.db2.gz QJHNPGOMOGFNIA-UHFFFAOYSA-N 0 0 272.308 2.740 20 5 CFBDRN COC[C@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000037378940 173167181 /nfs/dbraw/zinc/16/71/81/173167181.db2.gz ILBXSZQDLAVDNC-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN Cc1c(NC(=O)CNc2ccccc2)cccc1[N+](=O)[O-] ZINC000037386608 173169840 /nfs/dbraw/zinc/16/98/40/173169840.db2.gz SFOJVEINISGELB-UHFFFAOYSA-N 0 0 285.303 2.954 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cc(Cl)ccn1 ZINC000037668380 173610180 /nfs/dbraw/zinc/61/01/80/173610180.db2.gz PSGQKQNMGCSGTR-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000037911590 173844892 /nfs/dbraw/zinc/84/48/92/173844892.db2.gz ZJOSSZGWNLZURR-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037914859 173849625 /nfs/dbraw/zinc/84/96/25/173849625.db2.gz HPBNIOFTHRJKNB-SECBINFHSA-N 0 0 266.272 2.749 20 5 CFBDRN CC[C@@H](C)NC(=O)CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000037999036 173961597 /nfs/dbraw/zinc/96/15/97/173961597.db2.gz CNEYXEQRUZFFKV-SECBINFHSA-N 0 0 299.758 2.965 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H]1CCCOC1 ZINC000038046339 174054357 /nfs/dbraw/zinc/05/43/57/174054357.db2.gz UAYXQZMPDLCROF-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN Cc1cc(NC[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000038046461 174055343 /nfs/dbraw/zinc/05/53/43/174055343.db2.gz XQLJMPCIQREVGQ-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCc1ccco1 ZINC000038059611 174069431 /nfs/dbraw/zinc/06/94/31/174069431.db2.gz KNLJPWLGZCZISU-UHFFFAOYSA-N 0 0 250.229 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCOC2)c2cccnc21 ZINC000038094748 174108044 /nfs/dbraw/zinc/10/80/44/174108044.db2.gz BUMAXUHXUMQELT-SNVBAGLBSA-N 0 0 273.292 2.591 20 5 CFBDRN Cc1c([C@H](C)Nc2c(F)cccc2[N+](=O)[O-])cnn1C ZINC000286080371 196490548 /nfs/dbraw/zinc/49/05/48/196490548.db2.gz JROBSPAQPLYKSN-QMMMGPOBSA-N 0 0 278.287 2.949 20 5 CFBDRN Cc1ccc(F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000039628734 174170955 /nfs/dbraw/zinc/17/09/55/174170955.db2.gz DICKVYGHNHWUOE-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1nn(CC(=O)c2ccc(C)cc2)c(C)c1[N+](=O)[O-] ZINC000039657654 174171812 /nfs/dbraw/zinc/17/18/12/174171812.db2.gz OWAXDVAQHFIENP-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN CCOCc1ccccc1CNc1ncc([N+](=O)[O-])cn1 ZINC000040454762 174267097 /nfs/dbraw/zinc/26/70/97/174267097.db2.gz HADVPYLPWGJFFT-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN CCCCN(C)c1ncnc(N(C)CCCC)c1[N+](=O)[O-] ZINC000040611974 174353792 /nfs/dbraw/zinc/35/37/92/174353792.db2.gz OKGYBXVOGMOWCN-UHFFFAOYSA-N 0 0 295.387 2.857 20 5 CFBDRN CON(CC(C)(C)C)c1nc2sccn2c1[N+](=O)[O-] ZINC000286200527 196524731 /nfs/dbraw/zinc/52/47/31/196524731.db2.gz AYELQEXAIZCVNJ-UHFFFAOYSA-N 0 0 284.341 2.718 20 5 CFBDRN CNC(=O)c1cccc(OCc2ccccc2[N+](=O)[O-])c1 ZINC000042112559 174637878 /nfs/dbraw/zinc/63/78/78/174637878.db2.gz SFVKSQRVSSEMBE-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN Cc1ccc([C@@H](C)[NH2+][C@@H](C(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000043430249 175042709 /nfs/dbraw/zinc/04/27/09/175042709.db2.gz BULJLUKINGYNJY-ZWNOBZJWSA-N 0 0 280.324 2.663 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccnc(F)c1 ZINC000043474355 175054321 /nfs/dbraw/zinc/05/43/21/175054321.db2.gz PHPQHKGTIBMLID-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000043560062 175066308 /nfs/dbraw/zinc/06/63/08/175066308.db2.gz CFWLIRZPSSDKLS-ZETCQYMHSA-N 0 0 273.672 2.579 20 5 CFBDRN COC[C@H](C)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000044477200 175178845 /nfs/dbraw/zinc/17/88/45/175178845.db2.gz BRWMJHUVZHSVBR-MRVPVSSYSA-N 0 0 258.705 2.943 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1cc([N+](=O)[O-])ccc1F ZINC000044569228 175208881 /nfs/dbraw/zinc/20/88/81/175208881.db2.gz DQFKHINPMFFGJZ-JTQLQIEISA-N 0 0 278.283 2.820 20 5 CFBDRN CCOC[C@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000044726042 175237872 /nfs/dbraw/zinc/23/78/72/175237872.db2.gz SLYBVQUFDLJBAM-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CNC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 ZINC000045163671 175318247 /nfs/dbraw/zinc/31/82/47/175318247.db2.gz SSWOIWIUILQVPQ-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000045204940 175327660 /nfs/dbraw/zinc/32/76/60/175327660.db2.gz XGSZZBOABTZMGN-ZYHUDNBSSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000045204938 175328022 /nfs/dbraw/zinc/32/80/22/175328022.db2.gz XGSZZBOABTZMGN-PWSUYJOCSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000049241639 175469990 /nfs/dbraw/zinc/46/99/90/175469990.db2.gz IUEWELUFUSQHMN-ZJUUUORDSA-N 0 0 277.324 2.754 20 5 CFBDRN CN(Cc1ccccn1)c1cccc(F)c1[N+](=O)[O-] ZINC000054269465 175556560 /nfs/dbraw/zinc/55/65/60/175556560.db2.gz TUDWLMYFNQAKPP-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN CC(C)Cn1nnnc1SCc1ccc([N+](=O)[O-])cc1 ZINC000057887724 175602960 /nfs/dbraw/zinc/60/29/60/175602960.db2.gz ZJPWOMJTMAFALT-UHFFFAOYSA-N 0 0 293.352 2.530 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])cc2C)cn1 ZINC000062055157 175661332 /nfs/dbraw/zinc/66/13/32/175661332.db2.gz CATAGJZGDGVNRR-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NC[C@H](O)C1CC1 ZINC000071140320 176038673 /nfs/dbraw/zinc/03/86/73/176038673.db2.gz ZNHSTBPVCGAWBZ-NSHDSACASA-N 0 0 290.241 2.796 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1nnc(C(C)C)o1 ZINC000072086424 176314069 /nfs/dbraw/zinc/31/40/69/176314069.db2.gz SCHBCEZPHXGJKL-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN Cc1nn(C[C@@H](O)c2cccc(Cl)c2)c(C)c1[N+](=O)[O-] ZINC000073757200 176491354 /nfs/dbraw/zinc/49/13/54/176491354.db2.gz CSLPFHOYHBFZFN-GFCCVEGCSA-N 0 0 295.726 2.795 20 5 CFBDRN CSC[C@H](C)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000077178327 177230864 /nfs/dbraw/zinc/23/08/64/177230864.db2.gz SASZZRNBUVIVNC-VIFPVBQESA-N 0 0 283.353 2.776 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000077228139 177236336 /nfs/dbraw/zinc/23/63/36/177236336.db2.gz VFXNSYYZCCULBY-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077616302 177287313 /nfs/dbraw/zinc/28/73/13/177287313.db2.gz AUAZTYNATPUBEZ-QMMMGPOBSA-N 0 0 254.261 2.604 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)C1CC=CC1 ZINC000077734045 177297348 /nfs/dbraw/zinc/29/73/48/177297348.db2.gz HPPBLPDERSEYKI-UHFFFAOYSA-N 0 0 250.229 2.639 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)N2CCC[C@H](C)C2)c1 ZINC000078342651 177334320 /nfs/dbraw/zinc/33/43/20/177334320.db2.gz KCWGDSZANKJEOS-LBPRGKRZSA-N 0 0 292.335 2.541 20 5 CFBDRN CC(=O)c1ccc(N[C@H](CO)CC(C)C)c([N+](=O)[O-])c1 ZINC000078571809 177355342 /nfs/dbraw/zinc/35/53/42/177355342.db2.gz GPFBRIREBGYCLO-LBPRGKRZSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000078598068 177358262 /nfs/dbraw/zinc/35/82/62/177358262.db2.gz NXCJIGVBKBVWGX-MRVPVSSYSA-N 0 0 278.287 2.949 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@]2(C1)CCCOC2 ZINC000343138295 292585858 /nfs/dbraw/zinc/58/58/58/292585858.db2.gz CJGHVFMAWQQIOO-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCOC[C@H]1C ZINC000277777439 292586679 /nfs/dbraw/zinc/58/66/79/292586679.db2.gz WMNCTGFFZCAUFN-MNOVXSKESA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccccc1N1CCN(c2ccncc2[N+](=O)[O-])CC1 ZINC000340158460 292631509 /nfs/dbraw/zinc/63/15/09/292631509.db2.gz SMOLMTPTSDURKW-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCCN1c1ccc([N+](=O)[O-])nc1 ZINC000312758903 260197880 /nfs/dbraw/zinc/19/78/80/260197880.db2.gz CFJZFROTIVRZRL-RYUDHWBXSA-N 0 0 279.340 2.510 20 5 CFBDRN COC[C@@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214042641 260226857 /nfs/dbraw/zinc/22/68/57/260226857.db2.gz VYOBWRQZXMJJLM-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC2CCCC2)cn1 ZINC000313474979 260297688 /nfs/dbraw/zinc/29/76/88/260297688.db2.gz YSGCLGGYPHDPKG-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCc2ccccc2N1 ZINC000525706809 260976213 /nfs/dbraw/zinc/97/62/13/260976213.db2.gz LHBOWYOSWIFYLG-ZDUSSCGKSA-N 0 0 298.346 2.559 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)C1CCCCC1 ZINC000514378167 261683309 /nfs/dbraw/zinc/68/33/09/261683309.db2.gz AZYNQSHQZQYOSX-UHFFFAOYSA-N 0 0 279.340 2.728 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000514498645 261762434 /nfs/dbraw/zinc/76/24/34/261762434.db2.gz NBYXPSLDSQZMIL-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN CC(F)(F)CCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000390186884 262149735 /nfs/dbraw/zinc/14/97/35/262149735.db2.gz BCTJQXKFIBNCCP-UHFFFAOYSA-N 0 0 290.241 2.899 20 5 CFBDRN COCCSCCCOc1ccccc1[N+](=O)[O-] ZINC000278261952 263046228 /nfs/dbraw/zinc/04/62/28/263046228.db2.gz NVGVNJJUIJLBMP-UHFFFAOYSA-N 0 0 271.338 2.743 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c(F)c1 ZINC000094198426 265224237 /nfs/dbraw/zinc/22/42/37/265224237.db2.gz YPUMYTPJPMBTPZ-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@@H](N(C)C(=O)COc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000518976890 265270835 /nfs/dbraw/zinc/27/08/35/265270835.db2.gz PZBVACPGQANYIB-LLVKDONJSA-N 0 0 294.351 2.867 20 5 CFBDRN Cc1cccc2c1CC[C@H]2NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000519112060 265325381 /nfs/dbraw/zinc/32/53/81/265325381.db2.gz VNKVSGZCBFFSTP-GFCCVEGCSA-N 0 0 285.303 2.649 20 5 CFBDRN COCC[C@H](C)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000519181958 265360339 /nfs/dbraw/zinc/36/03/39/265360339.db2.gz XHMQXALQXDJLTI-JTQLQIEISA-N 0 0 268.313 2.688 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2ccc(Cl)cc2F)c1=O ZINC000096778526 265386497 /nfs/dbraw/zinc/38/64/97/265386497.db2.gz LIPTUFCNBWBXMC-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN Cc1ccc(Cn2cc([N+](=O)[O-])cc(C)c2=O)c(C)c1 ZINC000096778530 265387026 /nfs/dbraw/zinc/38/70/26/265387026.db2.gz SPMNIXLWPBANQJ-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000520411419 265807063 /nfs/dbraw/zinc/80/70/63/265807063.db2.gz VXFJNVZJHVOUBD-PSASIEDQSA-N 0 0 263.297 2.621 20 5 CFBDRN CC[C@H](C)CN(CC)c1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000357507015 266312253 /nfs/dbraw/zinc/31/22/53/266312253.db2.gz WOXKRIRZRAWRBX-JTQLQIEISA-N 0 0 279.340 2.566 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Nc1cc[nH]n1 ZINC000192277898 270230120 /nfs/dbraw/zinc/23/01/20/270230120.db2.gz CTKQIOZGDWHKAK-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN O=C(NCc1ccsc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000193320394 270237245 /nfs/dbraw/zinc/23/72/45/270237245.db2.gz KOBMPRAJLXHGMC-UHFFFAOYSA-N 0 0 277.305 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC(F)(F)F)cc1 ZINC000193785275 270240108 /nfs/dbraw/zinc/24/01/08/270240108.db2.gz TVFUGSVIPSZUAG-UHFFFAOYSA-N 0 0 265.187 2.553 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2c(C)cccc2[N+](=O)[O-])CCS1 ZINC000194775156 270246890 /nfs/dbraw/zinc/24/68/90/270246890.db2.gz DSIBTVNSDMIGEE-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1c1ccc(F)cc1)c1ccc([N+](=O)[O-])o1 ZINC000194785103 270247204 /nfs/dbraw/zinc/24/72/04/270247204.db2.gz OEYNUJCUCNMSEG-WDEREUQCSA-N 0 0 290.250 2.613 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H](O)C1CCC1 ZINC000316127209 270294060 /nfs/dbraw/zinc/29/40/60/270294060.db2.gz UXDWRMUNMBRKQQ-GFCCVEGCSA-N 0 0 270.716 2.821 20 5 CFBDRN CC[C@@](C)(CNc1ccc(F)cc1[N+](=O)[O-])OC ZINC000322808935 270297186 /nfs/dbraw/zinc/29/71/86/270297186.db2.gz SVSKXTDYMBEPFK-LBPRGKRZSA-N 0 0 256.277 2.961 20 5 CFBDRN Cc1cc(NCc2nn(C)cc2Cl)ccc1[N+](=O)[O-] ZINC000393352416 270307830 /nfs/dbraw/zinc/30/78/30/270307830.db2.gz ZINMTWSYKQDCCA-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000395023167 270310935 /nfs/dbraw/zinc/31/09/35/270310935.db2.gz NVKBBMFTQGFKIX-MRVPVSSYSA-N 0 0 297.330 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(CO)CC2)c2ccncc21 ZINC000395878913 270313855 /nfs/dbraw/zinc/31/38/55/270313855.db2.gz NUBIUFXENPRQFT-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN Cc1ccc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)nn1 ZINC000396575123 270315413 /nfs/dbraw/zinc/31/54/13/270315413.db2.gz CERITAGTTZYFOW-GFCCVEGCSA-N 0 0 286.335 2.852 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2C[C@@]2(C)C(C)C)c([N+](=O)[O-])c1 ZINC000397234065 270316646 /nfs/dbraw/zinc/31/66/46/270316646.db2.gz SVFHEQCSSPYKIV-ZFWWWQNUSA-N 0 0 291.351 2.801 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCC1(O)CCC1 ZINC000397788197 270319149 /nfs/dbraw/zinc/31/91/49/270319149.db2.gz RLHUIZCEZCEGJA-UHFFFAOYSA-N 0 0 270.716 2.965 20 5 CFBDRN C[C@H]1CC[C@H](CNc2ccc3cc([N+](=O)[O-])ccc3n2)O1 ZINC000397927157 270320285 /nfs/dbraw/zinc/32/02/85/270320285.db2.gz RSLSNBKFMQXXFI-GXFFZTMASA-N 0 0 287.319 2.544 20 5 CFBDRN COc1cc(NCC2=CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000397879679 270320722 /nfs/dbraw/zinc/32/07/22/270320722.db2.gz MVXCJSQSYAOQNI-UHFFFAOYSA-N 0 0 282.271 2.501 20 5 CFBDRN C[C@@H]1C[C@@H](CO)CN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000398831905 270323986 /nfs/dbraw/zinc/32/39/86/270323986.db2.gz XXOXENCLGJSCPZ-NXEZZACHSA-N 0 0 284.743 2.703 20 5 CFBDRN C[C@@H]1CC[C@@H](O)CN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000399240144 270327801 /nfs/dbraw/zinc/32/78/01/270327801.db2.gz MGDIPSHUEWQEKP-BXKDBHETSA-N 0 0 284.743 2.593 20 5 CFBDRN CC(C)N(Cc1ccco1)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000514396204 270346656 /nfs/dbraw/zinc/34/66/56/270346656.db2.gz LLIZQCSMSYJESR-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN CCC[C@H](NC(=O)c1cc([N+](=O)[O-])cn1C)C1CCC1 ZINC000514715375 270350395 /nfs/dbraw/zinc/35/03/95/270350395.db2.gz GVEDDFQSTPLZMR-LBPRGKRZSA-N 0 0 279.340 2.632 20 5 CFBDRN CCN(CC1CC1)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000514834694 270355693 /nfs/dbraw/zinc/35/56/93/270355693.db2.gz YRYYJEYHHXIUPD-LLVKDONJSA-N 0 0 276.336 2.957 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000515041124 270361562 /nfs/dbraw/zinc/36/15/62/270361562.db2.gz MOYFPSMHZQUFJF-YGRLFVJLSA-N 0 0 291.351 2.790 20 5 CFBDRN C[C@]12CCN(c3ncc([N+](=O)[O-])cc3F)C[C@H]1C2(F)F ZINC000515044900 270361681 /nfs/dbraw/zinc/36/16/81/270361681.db2.gz VYQQLQDLEGIEFL-KOLCDFICSA-N 0 0 287.241 2.610 20 5 CFBDRN COC(=O)Cc1ccc(NCCCC2CC2)c([N+](=O)[O-])c1 ZINC000515506943 270372042 /nfs/dbraw/zinc/37/20/42/270372042.db2.gz GCCZCTTTZNAYLY-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])cc2C)n(C)n1 ZINC000515870628 270375911 /nfs/dbraw/zinc/37/59/11/270375911.db2.gz TVNNXTBTZTZVKQ-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC(C)(F)F ZINC000516561431 270383674 /nfs/dbraw/zinc/38/36/74/270383674.db2.gz QZTXTRXWYYXBTI-UHFFFAOYSA-N 0 0 272.251 2.597 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CC12CCOCC2 ZINC000516584349 270384266 /nfs/dbraw/zinc/38/42/66/270384266.db2.gz WRPBILKAKAVHPF-LBPRGKRZSA-N 0 0 278.308 2.584 20 5 CFBDRN CC(C)CCn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000516770535 270388217 /nfs/dbraw/zinc/38/82/17/270388217.db2.gz NOHPNHAMYCRQNU-UHFFFAOYSA-N 0 0 260.293 2.956 20 5 CFBDRN O=C(NCc1cc2ccccc2o1)c1ccc([N+](=O)[O-])[nH]1 ZINC000517372381 270403878 /nfs/dbraw/zinc/40/38/78/270403878.db2.gz UPMTVBZGILPAKR-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN COCC1(C)CN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000517482678 270405454 /nfs/dbraw/zinc/40/54/54/270405454.db2.gz IXOUVAFZHHELKE-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@@H](C(=O)NCCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000517642328 270408207 /nfs/dbraw/zinc/40/82/07/270408207.db2.gz WHVCUQPOABCCBS-MRVPVSSYSA-N 0 0 290.241 2.767 20 5 CFBDRN CCOC(=O)c1ccnc(NC[C@H](C)C(C)C)c1[N+](=O)[O-] ZINC000523513907 270455923 /nfs/dbraw/zinc/45/59/23/270455923.db2.gz DXQQNUPHYAWSMF-JTQLQIEISA-N 0 0 295.339 2.871 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000524164073 270461265 /nfs/dbraw/zinc/46/12/65/270461265.db2.gz LPDSAHXTIAEWBQ-VIFPVBQESA-N 0 0 284.287 2.734 20 5 CFBDRN CC[C@@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532143723 270854283 /nfs/dbraw/zinc/85/42/83/270854283.db2.gz WHESAANVZLPPRJ-AWEZNQCLSA-N 0 0 277.324 2.642 20 5 CFBDRN C[C@@H]1CCSCCN1c1ccccc1[N+](=O)[O-] ZINC000276927328 287663065 /nfs/dbraw/zinc/66/30/65/287663065.db2.gz ZRQADDKNIXHMJK-SNVBAGLBSA-N 0 0 252.339 2.927 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000075289516 287735229 /nfs/dbraw/zinc/73/52/29/287735229.db2.gz YKKUTKHKKUNFIT-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)[C@@H](C)C1 ZINC000247161825 287786077 /nfs/dbraw/zinc/78/60/77/287786077.db2.gz ZMPRAHNWZOMYIC-UTLUCORTSA-N 0 0 266.297 2.742 20 5 CFBDRN O=[N+]([O-])c1c(N2Cc3ccccc3C2)nc2sccn21 ZINC000106065938 275032805 /nfs/dbraw/zinc/03/28/05/275032805.db2.gz HTDVLISIDQFQQK-UHFFFAOYSA-N 0 0 286.316 2.824 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NC1CC(F)(F)C1 ZINC000276960319 275730160 /nfs/dbraw/zinc/73/01/60/275730160.db2.gz DOUFGYNIRXESDE-RMKNXTFCSA-N 0 0 296.273 2.912 20 5 CFBDRN CC(C)c1scnc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000346509866 275780580 /nfs/dbraw/zinc/78/05/80/275780580.db2.gz RQLRDNBSSWRTPZ-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2)[C@H]1[C@@H]1CCCO1 ZINC000291077585 275850525 /nfs/dbraw/zinc/85/05/25/275850525.db2.gz HRYVSJISSIFVKP-KBPBESRZSA-N 0 0 276.336 2.989 20 5 CFBDRN CC1(C)C[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286533029 275870906 /nfs/dbraw/zinc/87/09/06/275870906.db2.gz YHHUDICIEKKYRU-SSDOTTSWSA-N 0 0 264.310 2.810 20 5 CFBDRN CC(C)CC[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000285691902 275878232 /nfs/dbraw/zinc/87/82/32/275878232.db2.gz OGNLHNHFSYZURQ-NSHDSACASA-N 0 0 294.355 2.749 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450510217 275883669 /nfs/dbraw/zinc/88/36/69/275883669.db2.gz MSFNPCGXXHDWPT-LBPRGKRZSA-N 0 0 289.360 2.871 20 5 CFBDRN CC1(C)SC[C@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000338418317 275884654 /nfs/dbraw/zinc/88/46/54/275884654.db2.gz ANDOZXBGRYBJRQ-SNVBAGLBSA-N 0 0 290.348 2.844 20 5 CFBDRN CC1CC(Nc2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000288516618 275926949 /nfs/dbraw/zinc/92/69/49/275926949.db2.gz SZYAVWNMIZYQJZ-UHFFFAOYSA-N 0 0 264.310 2.810 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000269380901 276041857 /nfs/dbraw/zinc/04/18/57/276041857.db2.gz OZJATAGEZJGRHF-ZJUUUORDSA-N 0 0 294.355 2.750 20 5 CFBDRN CC1(C)CN(c2nc3ccccc3cc2[N+](=O)[O-])CCO1 ZINC000352359907 276136585 /nfs/dbraw/zinc/13/65/85/276136585.db2.gz KRJQKILTVPFGCV-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CCOC[C@H]1CCCCN1c1ncc([N+](=O)[O-])cc1F ZINC000413419930 276333973 /nfs/dbraw/zinc/33/39/73/276333973.db2.gz HXRMGGOQZAEGGH-SNVBAGLBSA-N 0 0 283.303 2.524 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)n2)C[C@H]1C ZINC000450747447 276359543 /nfs/dbraw/zinc/35/95/43/276359543.db2.gz UCIZBTRBGMRHFF-MFKMUULPSA-N 0 0 279.340 2.550 20 5 CFBDRN CCOC[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000267150374 276464515 /nfs/dbraw/zinc/46/45/15/276464515.db2.gz ZTBFCEPMUPOOAU-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN CCO[C@H]1CCN(c2cc(OC)ccc2[N+](=O)[O-])C[C@H]1C ZINC000450747829 276486219 /nfs/dbraw/zinc/48/62/19/276486219.db2.gz VARZSDBKMVXTKC-ABAIWWIYSA-N 0 0 294.351 2.855 20 5 CFBDRN CCO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2C)C[C@H]1C ZINC000450747510 276486603 /nfs/dbraw/zinc/48/66/03/276486603.db2.gz UJYYXGZJEFBNPU-YPMHNXCESA-N 0 0 279.340 2.550 20 5 CFBDRN CC[C@@]1(C)CN(c2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000276105604 276604763 /nfs/dbraw/zinc/60/47/63/276604763.db2.gz YVOTVKVAODBPJA-LBPRGKRZSA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@H]1CCCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000265352732 276650927 /nfs/dbraw/zinc/65/09/27/276650927.db2.gz RGHHAOBGNGFXCS-NSHDSACASA-N 0 0 291.351 2.710 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCOC2(CCC2)C1 ZINC000359592646 276718481 /nfs/dbraw/zinc/71/84/81/276718481.db2.gz OLZOJFNOPFIGPR-LLVKDONJSA-N 0 0 294.355 2.633 20 5 CFBDRN CC(C)(C(=O)N1CC[C@@](C)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000334343343 277122987 /nfs/dbraw/zinc/12/29/87/277122987.db2.gz MANVKWPCACPYAZ-OAHLLOKOSA-N 0 0 294.326 2.833 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC=C(C)C2)c1 ZINC000230813443 277161835 /nfs/dbraw/zinc/16/18/35/277161835.db2.gz FSLQNVRYVOYAIC-UHFFFAOYSA-N 0 0 276.292 2.538 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000132348166 277177335 /nfs/dbraw/zinc/17/73/35/277177335.db2.gz QQBNPDSMQBRTHE-VIFPVBQESA-N 0 0 298.314 2.667 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@@H]1O ZINC000408149545 277238096 /nfs/dbraw/zinc/23/80/96/277238096.db2.gz LOMQKTCFTVACIF-RISCZKNCSA-N 0 0 278.352 2.828 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000447805984 277260807 /nfs/dbraw/zinc/26/08/07/277260807.db2.gz LBOVCTZQWXZIBC-CYBMUJFWSA-N 0 0 282.315 2.985 20 5 CFBDRN COC[C@H]1CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000450945354 277266558 /nfs/dbraw/zinc/26/65/58/277266558.db2.gz KNHKRZDCKJWTPR-ZDUSSCGKSA-N 0 0 293.367 2.860 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](C)C1 ZINC000266971620 277270041 /nfs/dbraw/zinc/27/00/41/277270041.db2.gz MCLUQLWUVNHKHB-JTQLQIEISA-N 0 0 250.298 2.587 20 5 CFBDRN CO[C@@](C)(CNc1ncc([N+](=O)[O-])cc1C)C1CC1 ZINC000292296315 277317310 /nfs/dbraw/zinc/31/73/10/277317310.db2.gz KVPMHOXBVHKSDV-ZDUSSCGKSA-N 0 0 265.313 2.525 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000231683427 277343204 /nfs/dbraw/zinc/34/32/04/277343204.db2.gz PWFOAIIMOIGGIG-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C[C@H]1C ZINC000276946171 277355218 /nfs/dbraw/zinc/35/52/18/277355218.db2.gz QXRMGCRNQZHEQE-DGCLKSJQSA-N 0 0 292.335 2.539 20 5 CFBDRN CO[C@](C)(CNc1ncc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000292401255 277357498 /nfs/dbraw/zinc/35/74/98/277357498.db2.gz RTVKUJWHQXKTFK-GFCCVEGCSA-N 0 0 285.731 2.870 20 5 CFBDRN COc1cc(C(=O)N2[C@H](C)CC[C@H]2C)cc([N+](=O)[O-])c1C ZINC000189289551 277370013 /nfs/dbraw/zinc/37/00/13/277370013.db2.gz QHCYWKURNPQQJN-NXEZZACHSA-N 0 0 292.335 2.925 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](C)C2)c1 ZINC000301445271 277379976 /nfs/dbraw/zinc/37/99/76/277379976.db2.gz PJPXLNCYJPFVST-MRVPVSSYSA-N 0 0 254.261 2.589 20 5 CFBDRN COC(=O)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C[C@@H]1C ZINC000276946167 277397037 /nfs/dbraw/zinc/39/70/37/277397037.db2.gz QXRMGCRNQZHEQE-AAEUAGOBSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)COC1CCN(c2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000283887676 277423409 /nfs/dbraw/zinc/42/34/09/277423409.db2.gz VLHTZNHIAXNJQJ-UHFFFAOYSA-N 0 0 293.367 2.818 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC(CCCO)CC2)c1 ZINC000272930549 277431139 /nfs/dbraw/zinc/43/11/39/277431139.db2.gz SKHPBKJZXYRQDF-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN CO[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CC[C@@H]1C ZINC000275789948 277666652 /nfs/dbraw/zinc/66/66/52/277666652.db2.gz CETHZIVPLRZHSQ-GZMMTYOYSA-N 0 0 285.731 2.504 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)[C@H]1C ZINC000267884194 277746039 /nfs/dbraw/zinc/74/60/39/277746039.db2.gz ODGKWQQKYZONFJ-ZJUUUORDSA-N 0 0 287.319 2.947 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000487076726 277779192 /nfs/dbraw/zinc/77/91/92/277779192.db2.gz SRZFKWKDEMFEEQ-WDEREUQCSA-N 0 0 279.340 2.868 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H]1C[C@H](C)CCC1=O ZINC000450609921 277939835 /nfs/dbraw/zinc/93/98/35/277939835.db2.gz FPXQTQGBYXXZFS-NOZJJQNGSA-N 0 0 279.292 2.740 20 5 CFBDRN C[C@@H]1CSCCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000178871123 277953476 /nfs/dbraw/zinc/95/34/76/277953476.db2.gz LTTMMRLONXYKOF-SSDOTTSWSA-N 0 0 274.292 2.815 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ccncc1[N+](=O)[O-])CC2 ZINC000340928018 277955389 /nfs/dbraw/zinc/95/53/89/277955389.db2.gz PXXWLTQVSRAXDU-UHFFFAOYSA-N 0 0 299.330 2.604 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@@H](C)CC[C@H]2C)c1[N+](=O)[O-] ZINC000269332188 278001033 /nfs/dbraw/zinc/00/10/33/278001033.db2.gz APESMSBSICHFNL-VHSXEESVSA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000332656722 278001420 /nfs/dbraw/zinc/00/14/20/278001420.db2.gz FEEJWNALNVAXAD-GUBZILKMSA-N 0 0 294.355 2.558 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000334754055 278005865 /nfs/dbraw/zinc/00/58/65/278005865.db2.gz JNWSVPRFMJNLFV-BDAKNGLRSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@@H]1C[C@H](C)N(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000408402292 278006476 /nfs/dbraw/zinc/00/64/76/278006476.db2.gz LLMVFBXYFPTUOE-SFYZADRCSA-N 0 0 255.705 2.878 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000156434119 278030261 /nfs/dbraw/zinc/03/02/61/278030261.db2.gz NDRWNYQWPHWHRG-RKDXNWHRSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@@H]1OCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)[C@H]1C ZINC000154716488 278053345 /nfs/dbraw/zinc/05/33/45/278053345.db2.gz YVGAZDDFAFGUSI-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@@]1(O)CCCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000230373530 278128552 /nfs/dbraw/zinc/12/85/52/278128552.db2.gz LDSGUANJHZJJLX-CYBMUJFWSA-N 0 0 284.743 2.990 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCCO1 ZINC000268035696 278130556 /nfs/dbraw/zinc/13/05/56/278130556.db2.gz IKJWFHNOHDPLCH-ZDUSSCGKSA-N 0 0 275.264 2.670 20 5 CFBDRN CSC[C@@H]1CCCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000297551922 278136264 /nfs/dbraw/zinc/13/62/64/278136264.db2.gz QWWNAKCZWSLDPG-JTQLQIEISA-N 0 0 292.364 2.803 20 5 CFBDRN CS[C@@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000103375809 278141186 /nfs/dbraw/zinc/14/11/86/278141186.db2.gz NGODNUJVBZPFKZ-BDAKNGLRSA-N 0 0 280.349 2.624 20 5 CFBDRN CC1(C)CCC[C@@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330454903 278236223 /nfs/dbraw/zinc/23/62/23/278236223.db2.gz NMWPCLUPXMSCMU-ZDUSSCGKSA-N 0 0 264.325 2.969 20 5 CFBDRN CC1(C)CC[C@@H](Oc2c(Cl)cncc2[N+](=O)[O-])C1=O ZINC000413002979 278269368 /nfs/dbraw/zinc/26/93/68/278269368.db2.gz PCMBLOARUHWAQN-SECBINFHSA-N 0 0 284.699 2.780 20 5 CFBDRN C[C@H]([C@@H]1Cc2ccccc2O1)N(C)c1ncccc1[N+](=O)[O-] ZINC000135560764 278303478 /nfs/dbraw/zinc/30/34/78/278303478.db2.gz SAOUZUNMVFWYEB-ABAIWWIYSA-N 0 0 299.330 2.818 20 5 CFBDRN C[C@H]1CCCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000008317754 278334527 /nfs/dbraw/zinc/33/45/27/278334527.db2.gz GEMWSSSPZDCIDK-QMMMGPOBSA-N 0 0 266.326 2.683 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000122398323 278337433 /nfs/dbraw/zinc/33/74/33/278337433.db2.gz HYHQHYPUMVSPRR-IINYFYTJSA-N 0 0 294.326 2.971 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000369425509 278343492 /nfs/dbraw/zinc/34/34/92/278343492.db2.gz XFKFHADSJMIEKF-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cn2)[C@@H]1c1ccncc1 ZINC000292301348 278343552 /nfs/dbraw/zinc/34/35/52/278343552.db2.gz AHKJBXADKJTBII-CQSZACIVSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000264314609 278348844 /nfs/dbraw/zinc/34/88/44/278348844.db2.gz TZJLRLFWTBHBQP-YUMQZZPRSA-N 0 0 254.311 2.575 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000335248863 278374205 /nfs/dbraw/zinc/37/42/05/278374205.db2.gz RUNGMTKBNJSJNZ-VIFPVBQESA-N 0 0 268.700 2.777 20 5 CFBDRN CC1(C)OCC[C@H]1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000297833260 278416423 /nfs/dbraw/zinc/41/64/23/278416423.db2.gz VVXMHYIUTMIJMX-LLVKDONJSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H]1CCCN1c1ncc(Br)cc1[N+](=O)[O-] ZINC000042376593 278488853 /nfs/dbraw/zinc/48/88/53/278488853.db2.gz OGBKPQXXVQDRMF-SSDOTTSWSA-N 0 0 286.129 2.741 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301910563 278501759 /nfs/dbraw/zinc/50/17/59/278501759.db2.gz GEEPEQHBMRFKHZ-NXEZZACHSA-N 0 0 275.312 2.628 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000178166716 278527266 /nfs/dbraw/zinc/52/72/66/278527266.db2.gz CHOBLMNVEXZZID-XPMWWOIKSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@H]1c2ccccc2CN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000334388380 278546935 /nfs/dbraw/zinc/54/69/35/278546935.db2.gz QOQGYVKYZKPFTM-JTQLQIEISA-N 0 0 285.303 2.650 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)[C@@H](C)C1 ZINC000301959551 278589760 /nfs/dbraw/zinc/58/97/60/278589760.db2.gz HDFBLNBUBYRLED-OUAUKWLOSA-N 0 0 289.339 2.874 20 5 CFBDRN Cc1cc(N2CCO[C@@H]3CCC[C@H]32)c(F)cc1[N+](=O)[O-] ZINC000292534573 278713087 /nfs/dbraw/zinc/71/30/87/278713087.db2.gz HWYGCNWSVVLZER-BXUZGUMPSA-N 0 0 280.299 2.800 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000116268926 278776015 /nfs/dbraw/zinc/77/60/15/278776015.db2.gz GZWAPKBTYXVYDN-AOOOYVTPSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H]1C ZINC000267695527 278804105 /nfs/dbraw/zinc/80/41/05/278804105.db2.gz RCCOCTAEKSBIFR-RKDXNWHRSA-N 0 0 265.269 2.595 20 5 CFBDRN Cc1ccc(N[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC000277620506 278835119 /nfs/dbraw/zinc/83/51/19/278835119.db2.gz MIKWXJSCJLSQCS-GHMZBOCLSA-N 0 0 250.298 2.740 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000277769072 278855189 /nfs/dbraw/zinc/85/51/89/278855189.db2.gz VYTLUUVNNISWLY-ZYHUDNBSSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@H]2CCC[C@H]2O)c1 ZINC000269987520 278863453 /nfs/dbraw/zinc/86/34/53/278863453.db2.gz OIUPRWMSSUYZMW-BXUZGUMPSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCOC3(CCC3)C2)n1 ZINC000268998534 278865179 /nfs/dbraw/zinc/86/51/79/278865179.db2.gz XLFPDFFOEGNVNU-LLVKDONJSA-N 0 0 277.324 2.812 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CO1 ZINC000345549672 278934656 /nfs/dbraw/zinc/93/46/56/278934656.db2.gz VORIQOHFWVACJK-PSASIEDQSA-N 0 0 275.264 2.537 20 5 CFBDRN CCC[C@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CCO1 ZINC000270306991 278957464 /nfs/dbraw/zinc/95/74/64/278957464.db2.gz YCLVPSGRXIQPTP-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@@H]1C[C@H](c2cccs2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000373393651 279006131 /nfs/dbraw/zinc/00/61/31/279006131.db2.gz PQUBAGKOTAFORZ-ZJUUUORDSA-N 0 0 290.348 2.829 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@@H]2N1c1ncc([N+](=O)[O-])cn1 ZINC000273649305 279009942 /nfs/dbraw/zinc/00/99/42/279009942.db2.gz UGDOCKZFSDTYQO-FOGDFJRCSA-N 0 0 262.313 2.542 20 5 CFBDRN Cc1cnc(N2CCC(C(F)F)CC2)c([N+](=O)[O-])c1 ZINC000360780228 279014006 /nfs/dbraw/zinc/01/40/06/279014006.db2.gz FXCJCIJCTGHVIJ-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN Cc1cnc(N2C[C@H](O)C[C@H]2c2ccccc2)c([N+](=O)[O-])c1 ZINC000413503549 279016863 /nfs/dbraw/zinc/01/68/63/279016863.db2.gz PDKPZJYJTXGREU-KGLIPLIRSA-N 0 0 299.330 2.611 20 5 CFBDRN Cc1cnc(N[C@H]2COCc3ccccc32)c([N+](=O)[O-])c1 ZINC000419001197 279020486 /nfs/dbraw/zinc/02/04/86/279020486.db2.gz SAXYFPPGIUTBKK-ZDUSSCGKSA-N 0 0 285.303 2.982 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000312498740 279047041 /nfs/dbraw/zinc/04/70/41/279047041.db2.gz XLMKYFWSMQPAIB-JVXZTZIISA-N 0 0 294.336 2.579 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000343653462 279054141 /nfs/dbraw/zinc/05/41/41/279054141.db2.gz CZRXMOWCWHSFAE-SDBXPKJASA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1nc(N2CCC[C@@H]3CCCC[C@H]32)ncc1[N+](=O)[O-] ZINC000296483483 279090860 /nfs/dbraw/zinc/09/08/60/279090860.db2.gz KRGAPVVRWZBONG-NWDGAFQWSA-N 0 0 276.340 2.852 20 5 CFBDRN Cc1nc(N2CC[C@]3(CC[C@H](C)C3)C2)ncc1[N+](=O)[O-] ZINC000450434453 279093713 /nfs/dbraw/zinc/09/37/13/279093713.db2.gz MZKLANAXVWUULF-HZMBPMFUSA-N 0 0 276.340 2.710 20 5 CFBDRN Cc1nc(NC2(C)Cc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000297664516 279101876 /nfs/dbraw/zinc/10/18/76/279101876.db2.gz ZJIUJORFFSBQNK-UHFFFAOYSA-N 0 0 284.319 2.663 20 5 CFBDRN Cc1nc(N[C@H]2CCCC[C@H]2C)ncc1[N+](=O)[O-] ZINC000296625737 279102695 /nfs/dbraw/zinc/10/26/95/279102695.db2.gz VHYPAFQQFQNXGW-SCZZXKLOSA-N 0 0 250.302 2.684 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000265381062 279109223 /nfs/dbraw/zinc/10/92/23/279109223.db2.gz CPBZECMNUWNIRC-BDAKNGLRSA-N 0 0 297.336 2.833 20 5 CFBDRN C[C@@]1(O)CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000230476342 279174456 /nfs/dbraw/zinc/17/44/56/279174456.db2.gz KCMQIYMUQLBHAW-LLVKDONJSA-N 0 0 290.241 2.575 20 5 CFBDRN C[C@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000266859237 279672471 /nfs/dbraw/zinc/67/24/71/279672471.db2.gz OCHJEAGXVMHGBJ-QMMMGPOBSA-N 0 0 253.277 2.552 20 5 CFBDRN C[C@H]1CCCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000362832457 279672578 /nfs/dbraw/zinc/67/25/78/279672578.db2.gz ZGAUEJCMNVDDSS-JTQLQIEISA-N 0 0 288.307 2.881 20 5 CFBDRN C[C@H]1CC[C@@H](Nc2cc3c(cc2[N+](=O)[O-])OCC(=O)N3)C1 ZINC000133363852 279749985 /nfs/dbraw/zinc/74/99/85/279749985.db2.gz CZMVLKRLCFNGFE-DTWKUNHWSA-N 0 0 291.307 2.526 20 5 CFBDRN CCO[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000450747485 279783267 /nfs/dbraw/zinc/78/32/67/279783267.db2.gz UGVIXIHZYAPKNJ-QMTHXVAHSA-N 0 0 282.315 2.985 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)c2ccccc21 ZINC000335721017 279818689 /nfs/dbraw/zinc/81/86/89/279818689.db2.gz IZGVHBICFVGFMS-VIFPVBQESA-N 0 0 271.276 2.687 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000314253630 279918539 /nfs/dbraw/zinc/91/85/39/279918539.db2.gz USEAIAKRNOLCKS-JQWIXIFHSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000070360385 279971370 /nfs/dbraw/zinc/97/13/70/279971370.db2.gz MKRYHWZOUORHEY-IUCAKERBSA-N 0 0 254.261 2.713 20 5 CFBDRN CCOc1cccc(N2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000184127364 279971771 /nfs/dbraw/zinc/97/17/71/279971771.db2.gz RGDZPMBOTGQIOA-SNVBAGLBSA-N 0 0 250.298 2.982 20 5 CFBDRN CCOc1cccc(N2CC[C@H](OC)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000450761742 279973048 /nfs/dbraw/zinc/97/30/48/279973048.db2.gz OYJHVEOIWARWOT-AAEUAGOBSA-N 0 0 294.351 2.855 20 5 CFBDRN C[C@H]1Cc2ccccc2CN1c1ccc([N+](=O)[O-])cn1 ZINC000184172255 280028680 /nfs/dbraw/zinc/02/86/80/280028680.db2.gz GZUVUCWQHRCLBY-NSHDSACASA-N 0 0 269.304 2.941 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000347112428 280033513 /nfs/dbraw/zinc/03/35/13/280033513.db2.gz ZNDDGJWFDXKHCN-ZUZCIYMTSA-N 0 0 299.330 2.597 20 5 CFBDRN C[C@H]1OCCN(c2ccc([N+](=O)[O-])cc2Cl)[C@@H]1C ZINC000301698095 280056616 /nfs/dbraw/zinc/05/66/16/280056616.db2.gz CYJKPCAGADYWGY-RKDXNWHRSA-N 0 0 270.716 2.862 20 5 CFBDRN C[C@]1(C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)CC1(F)F ZINC000335071067 280146553 /nfs/dbraw/zinc/14/65/53/280146553.db2.gz ZZHCWMFTJIOYJB-CYBMUJFWSA-N 0 0 296.273 2.525 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC(C2CCC2)C1 ZINC000335954663 280158049 /nfs/dbraw/zinc/15/80/49/280158049.db2.gz QITSPSGNDDEVIQ-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCCCC[C@@H]21 ZINC000371178000 280191112 /nfs/dbraw/zinc/19/11/12/280191112.db2.gz VTVCXEANBMGXKM-JSGCOSHPSA-N 0 0 274.320 3.000 20 5 CFBDRN C[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)[C@@H](C)CO1 ZINC000223207201 288211541 /nfs/dbraw/zinc/21/15/41/288211541.db2.gz WIMFOWDNCXKSAM-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CC[C@@H](F)C2)nc2sccn21 ZINC000340905759 280354404 /nfs/dbraw/zinc/35/44/04/280354404.db2.gz CTBNMUFLPLNSRH-RNFRBKRXSA-N 0 0 270.289 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@](O)(C2CC2)C1 ZINC000365973482 280358336 /nfs/dbraw/zinc/35/83/36/280358336.db2.gz CGAFZKGJUCYYPK-CYBMUJFWSA-N 0 0 282.727 2.599 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCSCC1 ZINC000276579719 280361606 /nfs/dbraw/zinc/36/16/06/280361606.db2.gz IGFSXCHKHZLJTR-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1C[C@@H](F)[C@@H](F)C1 ZINC000294918740 280361696 /nfs/dbraw/zinc/36/16/96/280361696.db2.gz GKQWRRLZUZDWEQ-AOOOYVTPSA-N 0 0 279.246 2.639 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOc3cccc(F)c3C2)cn1 ZINC000337831546 280367286 /nfs/dbraw/zinc/36/72/86/280367286.db2.gz VZLPANNOOJXHLW-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]23CCOC3)c2ccncc21 ZINC000420640387 280367672 /nfs/dbraw/zinc/36/76/72/280367672.db2.gz MNAVMFQEDJZGJJ-HNNXBMFYSA-N 0 0 285.303 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3OCCC[C@H]3C2)cc1 ZINC000301735681 280367765 /nfs/dbraw/zinc/36/77/65/280367765.db2.gz UXVINJNRAVYINA-FZMZJTMJSA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCOCC2)c2cccnc21 ZINC000036320031 280368665 /nfs/dbraw/zinc/36/86/65/280368665.db2.gz CBRQZNNBMPLVIN-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@H]2OCC[C@H]2C1 ZINC000366610683 280372435 /nfs/dbraw/zinc/37/24/35/280372435.db2.gz JIMSJBQBBVCTCO-JOYOIKCWSA-N 0 0 282.727 2.863 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CC2(CC2)C1 ZINC000450583774 280377825 /nfs/dbraw/zinc/37/78/25/280377825.db2.gz SVAKWNGAVZLDPK-UHFFFAOYSA-N 0 0 273.214 2.609 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ncnc2c(F)cccc12 ZINC000302743354 280383820 /nfs/dbraw/zinc/38/38/20/280383820.db2.gz DLSVNLPNCPNPKC-UHFFFAOYSA-N 0 0 286.222 2.864 20 5 CFBDRN O=c1[nH]c([C@H]2CCCO2)nc2cc3ccccc3cc21 ZINC000178985108 280386270 /nfs/dbraw/zinc/38/62/70/280386270.db2.gz FURXAJWQELSFJQ-CQSZACIVSA-N 0 0 266.300 2.928 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1[C@H]1C=CCCC1 ZINC000171792810 280397105 /nfs/dbraw/zinc/39/71/05/280397105.db2.gz XDNDYPVOQAUJRR-VIFPVBQESA-N 0 0 260.249 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2ccccn2)nc1 ZINC000048976724 280435425 /nfs/dbraw/zinc/43/54/25/280435425.db2.gz LAEMLVGZTJRFEV-ZDUSSCGKSA-N 0 0 270.292 2.726 20 5 CFBDRN O=[N+]([O-])c1c(NC2(CO)CCCC2)ccc2ncccc21 ZINC000053070968 280445739 /nfs/dbraw/zinc/44/57/39/280445739.db2.gz LLWYFFZOCDWBJA-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN O=C(NCc1ccsc1)c1ccc([N+](=O)[O-])cc1F ZINC000054778854 280449224 /nfs/dbraw/zinc/44/92/24/280449224.db2.gz HCMKZOIXGCBZCW-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN O=C(NCCCOC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000061260849 280460224 /nfs/dbraw/zinc/46/02/24/280460224.db2.gz NVWMGRXDXOCOMS-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN CCCN(CCC)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000061392006 280460236 /nfs/dbraw/zinc/46/02/36/280460236.db2.gz MVEUVNMWVYLMAV-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN CN(C(=O)/C=C/c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000074024992 280498657 /nfs/dbraw/zinc/49/86/57/280498657.db2.gz BLPBIFWKJWLCOK-BQYQJAHWSA-N 0 0 283.287 2.666 20 5 CFBDRN Cn1ccnc1SCCc1ccc([N+](=O)[O-])cc1 ZINC000077896195 280526727 /nfs/dbraw/zinc/52/67/27/280526727.db2.gz SZMFUXZNNBAONX-UHFFFAOYSA-N 0 0 263.322 2.663 20 5 CFBDRN CCOC(=O)c1ccnc(N(C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000077962686 280527574 /nfs/dbraw/zinc/52/75/74/280527574.db2.gz NYMCWBKQZVEDFL-UHFFFAOYSA-N 0 0 295.339 2.649 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000078317235 280527962 /nfs/dbraw/zinc/52/79/62/280527962.db2.gz CZANESJXWOFWAS-CYBMUJFWSA-N 0 0 291.351 2.973 20 5 CFBDRN CCOCCSCc1cc([N+](=O)[O-])ccc1OC ZINC000078336678 280528821 /nfs/dbraw/zinc/52/88/21/280528821.db2.gz BWUMOSOYGGYCNI-UHFFFAOYSA-N 0 0 271.338 2.873 20 5 CFBDRN Cc1cc(NC(=O)c2csc([N+](=O)[O-])c2)ccn1 ZINC000078892613 280531522 /nfs/dbraw/zinc/53/15/22/280531522.db2.gz ZEJCXKZGNJGZEL-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CCC1(CNC(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000078915842 280531988 /nfs/dbraw/zinc/53/19/88/280531988.db2.gz NPQFQHMUIIWEOU-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN CCCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079625136 280535533 /nfs/dbraw/zinc/53/55/33/280535533.db2.gz XXQHOBZBPLLBFQ-UHFFFAOYSA-N 0 0 250.298 2.746 20 5 CFBDRN CC[C@](C)(CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000080882300 280541022 /nfs/dbraw/zinc/54/10/22/280541022.db2.gz AODFWQOFIYTRPD-CQSZACIVSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1cc(N2CCC3(CCOCC3)CC2)ncc1[N+](=O)[O-] ZINC000286808118 280547769 /nfs/dbraw/zinc/54/77/69/280547769.db2.gz VTIUXQUZANGMGB-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN CS[C@H](C)C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084775915 280552299 /nfs/dbraw/zinc/55/22/99/280552299.db2.gz IRGUIWHAXNPVSG-MRVPVSSYSA-N 0 0 291.332 2.833 20 5 CFBDRN CSCCCCNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087314574 280557246 /nfs/dbraw/zinc/55/72/46/280557246.db2.gz ABOUXGLSUPPQRB-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN CCCNC(=O)CN(CCC)c1cc(C)ccc1[N+](=O)[O-] ZINC000088726804 280561471 /nfs/dbraw/zinc/56/14/71/280561471.db2.gz RUICBCRAVLFMSB-UHFFFAOYSA-N 0 0 293.367 2.646 20 5 CFBDRN Cc1cc(N2CC[C@@H]3OCCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000301718488 280571275 /nfs/dbraw/zinc/57/12/75/280571275.db2.gz LKYSATPCVRWYPB-DOMZBBRYSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cc(N2C[C@H]3CC[C@@H](C2)O3)c2cccc([N+](=O)[O-])c2n1 ZINC000361124347 280589074 /nfs/dbraw/zinc/58/90/74/280589074.db2.gz IQRYOBPYSFOIFX-TXEJJXNPSA-N 0 0 299.330 2.819 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cc2ccccn2c1 ZINC000114251161 280599127 /nfs/dbraw/zinc/59/91/27/280599127.db2.gz ODGGABLYYNTAMW-UHFFFAOYSA-N 0 0 295.298 2.778 20 5 CFBDRN CC(=O)c1ccc(OCc2ncc(C)o2)c([N+](=O)[O-])c1 ZINC000119141177 280606036 /nfs/dbraw/zinc/60/60/36/280606036.db2.gz NUESQQWIFGLPLZ-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN Cc1cc(N[C@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000052007412 280632153 /nfs/dbraw/zinc/63/21/53/280632153.db2.gz KZKODCRNOSGLLT-AAEUAGOBSA-N 0 0 250.298 2.619 20 5 CFBDRN C[C@@]1(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])CCCO1 ZINC000123585903 280633660 /nfs/dbraw/zinc/63/36/60/280633660.db2.gz OWEOIEYJJVDSHZ-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN CSCCCCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000125831561 280646708 /nfs/dbraw/zinc/64/67/08/280646708.db2.gz YAJYWDRMYUGGPM-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN Cc1cc(C(=O)Cn2cnc([N+](=O)[O-])c2)c(Cl)cc1F ZINC000126763521 280656464 /nfs/dbraw/zinc/65/64/64/280656464.db2.gz BNYYPEOVWFQGES-UHFFFAOYSA-N 0 0 297.673 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCSC[C@H](C)C1 ZINC000191341084 280657952 /nfs/dbraw/zinc/65/79/52/280657952.db2.gz ZPQIJSTWEUATQE-SNVBAGLBSA-N 0 0 294.376 2.728 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCO[C@@H](c2ccccc2)C1 ZINC000263951955 280664689 /nfs/dbraw/zinc/66/46/89/280664689.db2.gz DYVCQLWLQXVKJK-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCO[C@H](c2ccccc2)C1 ZINC000263951952 280664799 /nfs/dbraw/zinc/66/47/99/280664799.db2.gz DYVCQLWLQXVKJK-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1c(C(=O)NC2C[C@@H](C)O[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000127639424 280666379 /nfs/dbraw/zinc/66/63/79/280666379.db2.gz KOFOVZDCBCOVTE-NXEZZACHSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](C)S[C@@H](C)C2)n1 ZINC000127856484 280669491 /nfs/dbraw/zinc/66/94/91/280669491.db2.gz XWXUTZXBZQNQRS-AOOOYVTPSA-N 0 0 267.354 2.628 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCO1 ZINC000128697021 280681591 /nfs/dbraw/zinc/68/15/91/280681591.db2.gz JXUVKMFPLJSEPT-CHWSQXEVSA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1ccn(CCO[C@H]2CCCC[C@@H]2C)c(=O)c1[N+](=O)[O-] ZINC000129941324 280697174 /nfs/dbraw/zinc/69/71/74/280697174.db2.gz QFVBBDFNCMWCDJ-AAEUAGOBSA-N 0 0 294.351 2.660 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cccnc32)CCCO1 ZINC000171047223 288262614 /nfs/dbraw/zinc/26/26/14/288262614.db2.gz PYGQQMFXDRWBAN-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000133922195 280750512 /nfs/dbraw/zinc/75/05/12/280750512.db2.gz FMTWJWDVKPYBPF-DGCLKSJQSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1ccc(N[C@@H](C)C(=O)N2CCCCC2)c([N+](=O)[O-])c1 ZINC000135444389 280773262 /nfs/dbraw/zinc/77/32/62/280773262.db2.gz HQAHYHVWAUNQPB-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN CCc1cc(C)c(C(=O)NCc2cccc([N+](=O)[O-])c2)o1 ZINC000135657850 280776040 /nfs/dbraw/zinc/77/60/40/280776040.db2.gz AFGMQBGZAFKNIP-UHFFFAOYSA-N 0 0 288.303 2.989 20 5 CFBDRN CCCCN(C)c1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000136291737 280782285 /nfs/dbraw/zinc/78/22/85/280782285.db2.gz INMLALHBUOFBKB-UHFFFAOYSA-N 0 0 266.297 2.618 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000151071760 280799095 /nfs/dbraw/zinc/79/90/95/280799095.db2.gz MAWGJKUYBSPBKX-ZBFHGGJFSA-N 0 0 288.347 2.916 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000187249148 280853701 /nfs/dbraw/zinc/85/37/01/280853701.db2.gz IXKZPXXNDSXKFL-AEZGRPFRSA-N 0 0 285.303 2.814 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCC(C)(C)O1 ZINC000187369898 280855850 /nfs/dbraw/zinc/85/58/50/280855850.db2.gz YADMUYYUOFAZGQ-LBPRGKRZSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(CSCc1ccc([N+](=O)[O-])cc1)N1CCCCC1 ZINC000192541751 280909560 /nfs/dbraw/zinc/90/95/60/280909560.db2.gz PSXKXMQBQUGDMM-UHFFFAOYSA-N 0 0 294.376 2.841 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)Cc1cccs1 ZINC000193224676 280912792 /nfs/dbraw/zinc/91/27/92/280912792.db2.gz JLNVUSYYJJYEIF-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN C[C@@H](c1ccncc1)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000194634200 280923703 /nfs/dbraw/zinc/92/37/03/280923703.db2.gz AJAQMTCXQVMJBT-VIFPVBQESA-N 0 0 291.332 2.885 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]2c2cc[nH]n2)c1 ZINC000287825101 281011748 /nfs/dbraw/zinc/01/17/48/281011748.db2.gz QNBQXQMJMBAKJF-GFCCVEGCSA-N 0 0 272.308 2.968 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCSC1 ZINC000334308494 281018255 /nfs/dbraw/zinc/01/82/55/281018255.db2.gz IQKGDTYJZDITKZ-SNVBAGLBSA-N 0 0 280.349 2.985 20 5 CFBDRN Cc1ccc2c(c1)CCN2c1ncc([N+](=O)[O-])c(C)n1 ZINC000294968122 281056711 /nfs/dbraw/zinc/05/67/11/281056711.db2.gz LNEWLXJQDWZJCQ-UHFFFAOYSA-N 0 0 270.292 2.696 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302205531 281062165 /nfs/dbraw/zinc/06/21/65/281062165.db2.gz DCCJWWIUMNOOCV-JQWIXIFHSA-N 0 0 264.329 2.790 20 5 CFBDRN Cc1cnccc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255168656 281090713 /nfs/dbraw/zinc/09/07/13/281090713.db2.gz KSEAKUKSCNFNKI-DAXSKMNVSA-N 0 0 283.287 2.950 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccncc1F ZINC000255303133 281093013 /nfs/dbraw/zinc/09/30/13/281093013.db2.gz NSZZSPBETMKRIM-UTCJRWHESA-N 0 0 287.250 2.781 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)/C=C\c3ccccc3[N+](=O)[O-])C[C@@H]21 ZINC000255332143 281094990 /nfs/dbraw/zinc/09/49/90/281094990.db2.gz ZJUFFQFQWBWLFY-LNYSTGEQSA-N 0 0 286.331 2.722 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\C[NH2+]Cc1ccoc1 ZINC000255587820 281102735 /nfs/dbraw/zinc/10/27/35/281102735.db2.gz JULXPLBMCSRUFA-HYXAFXHYSA-N 0 0 258.277 2.991 20 5 CFBDRN O=C(/C=C\c1ccc(Cl)c([N+](=O)[O-])c1)NCC(F)F ZINC000255884714 281109141 /nfs/dbraw/zinc/10/91/41/281109141.db2.gz IXZRVRFBQXCHDI-RQOWECAXSA-N 0 0 290.653 2.643 20 5 CFBDRN Cc1c([C@H](C)[NH2+]C[C@H](O)C(C)C)cccc1[N+](=O)[O-] ZINC000268925887 281118229 /nfs/dbraw/zinc/11/82/29/281118229.db2.gz PYDDKCVLZHTXLT-FZMZJTMJSA-N 0 0 266.341 2.571 20 5 CFBDRN Cc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c(F)c1 ZINC000294370778 281139234 /nfs/dbraw/zinc/13/92/34/281139234.db2.gz KGJAGXCVYJJMAA-UHFFFAOYSA-N 0 0 268.288 2.908 20 5 CFBDRN CC(C)c1nnc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000296231133 281142215 /nfs/dbraw/zinc/14/22/15/281142215.db2.gz CBDZUDGIIDSFMS-SNVBAGLBSA-N 0 0 289.339 2.687 20 5 CFBDRN CC(C)c1ccc(Nc2ncc([N+](=O)[O-])c(N)n2)cc1 ZINC000301176128 281147577 /nfs/dbraw/zinc/14/75/77/281147577.db2.gz WTADDAVZCWHJSL-UHFFFAOYSA-N 0 0 273.296 2.834 20 5 CFBDRN CC[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])CCCO1 ZINC000281719107 288300656 /nfs/dbraw/zinc/30/06/56/288300656.db2.gz CAUNKSXIYGHSPC-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN CC[C@H]1CN(c2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000193459048 281176566 /nfs/dbraw/zinc/17/65/66/281176566.db2.gz FNVPXZXOUNTNKW-JTQLQIEISA-N 0 0 267.354 2.509 20 5 CFBDRN CC[C@H]1CN(c2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000288433259 281177480 /nfs/dbraw/zinc/17/74/80/281177480.db2.gz OKRSDASQPPJICI-JTQLQIEISA-N 0 0 267.354 2.509 20 5 CFBDRN COc1cc(NC[C@@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000397937923 281203588 /nfs/dbraw/zinc/20/35/88/281203588.db2.gz XJAGKEPWRSHTFX-ONGXEEELSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1cc(N[C@H](C)c2csnn2)ccc1[N+](=O)[O-] ZINC000398231015 281205385 /nfs/dbraw/zinc/20/53/85/281205385.db2.gz INYMSOLSBNSPGW-SSDOTTSWSA-N 0 0 280.309 2.628 20 5 CFBDRN C[C@@H]1CC[C@H](O)CN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000399278928 281210165 /nfs/dbraw/zinc/21/01/65/281210165.db2.gz ZCTSJYQGSFEYRE-ZJUUUORDSA-N 0 0 284.743 2.593 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000399709202 281211297 /nfs/dbraw/zinc/21/12/97/281211297.db2.gz YESXHEWLNGZQJX-KXUCPTDWSA-N 0 0 280.299 2.850 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)CCS1 ZINC000430239389 281232573 /nfs/dbraw/zinc/23/25/73/281232573.db2.gz MAFHEFIHTFJTIV-ZDUSSCGKSA-N 0 0 296.392 2.931 20 5 CFBDRN Cc1csc(CCC(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000435670354 281235816 /nfs/dbraw/zinc/23/58/16/281235816.db2.gz SNUJLXZYKMJINN-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN COc1ccc(OC[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000447301234 281240414 /nfs/dbraw/zinc/24/04/14/281240414.db2.gz IIXYBLHCOQYGME-MNOVXSKESA-N 0 0 281.308 2.797 20 5 CFBDRN CCCc1ncc(CNc2ncc([N+](=O)[O-])cc2C)o1 ZINC000450846636 281244733 /nfs/dbraw/zinc/24/47/33/281244733.db2.gz MUQIYKHJJZVISN-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN CC1(C)CN(c2ccccc2[N+](=O)[O-])[C@@H]1[C@H]1CCCO1 ZINC000291152194 281269517 /nfs/dbraw/zinc/26/95/17/281269517.db2.gz PAYQPFIBESFQLP-ZIAGYGMSSA-N 0 0 276.336 2.989 20 5 CFBDRN Cc1noc([C@H](C)NCc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000494791073 281284489 /nfs/dbraw/zinc/28/44/89/281284489.db2.gz NSIDWOIIWNABSK-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CCCC[C@H]2C)c1=O ZINC000516194259 281300426 /nfs/dbraw/zinc/30/04/26/281300426.db2.gz BATYINFWUTZHIQ-PWSUYJOCSA-N 0 0 264.325 2.891 20 5 CFBDRN CNc1ccc(-c2nc(CC(C)C)no2)cc1[N+](=O)[O-] ZINC000516331702 281303131 /nfs/dbraw/zinc/30/31/31/281303131.db2.gz TYYPTDBVDZZDKY-UHFFFAOYSA-N 0 0 276.296 2.885 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@H](F)C2)cc([N+](=O)[O-])c1 ZINC000519610950 281309120 /nfs/dbraw/zinc/30/91/20/281309120.db2.gz UUJAIZOTQUYBEQ-NWDGAFQWSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)ncn1 ZINC000525132588 281311530 /nfs/dbraw/zinc/31/15/30/281311530.db2.gz RFWKGLWGKFUDLS-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C)C[C@@H]1C ZINC000525411033 281317447 /nfs/dbraw/zinc/31/74/47/281317447.db2.gz NLZBBGJTOVFFAE-UWVGGRQHSA-N 0 0 293.323 2.866 20 5 CFBDRN O=C1c2ccc([N+](=O)[O-])cc2C(=O)N1CCC1CCCC1 ZINC000525557956 281317745 /nfs/dbraw/zinc/31/77/45/281317745.db2.gz LYZVPRYMXOHXDL-UHFFFAOYSA-N 0 0 288.303 2.771 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000527199476 281325866 /nfs/dbraw/zinc/32/58/66/281325866.db2.gz XNRKWNGDOUQEGP-GHMZBOCLSA-N 0 0 277.324 2.688 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000531633035 281436620 /nfs/dbraw/zinc/43/66/20/281436620.db2.gz GFQWIEVHFHUHAS-NSHDSACASA-N 0 0 277.324 2.642 20 5 CFBDRN O=C(Nc1cc(F)c(F)c(F)c1)c1ccc([N+](=O)[O-])cn1 ZINC000532393382 281453063 /nfs/dbraw/zinc/45/30/63/281453063.db2.gz RSWTVJLPFLDBMA-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1ccc(F)cc1[N+](=O)[O-] ZINC000268062062 288329735 /nfs/dbraw/zinc/32/97/35/288329735.db2.gz YYVVEWSLFCEDIK-ONGXEEELSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000048915292 288329791 /nfs/dbraw/zinc/32/97/91/288329791.db2.gz JPUXGEGYBBBRNB-GWCFXTLKSA-N 0 0 292.335 2.801 20 5 CFBDRN CC1(C)CCC(CNC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000534010836 281476934 /nfs/dbraw/zinc/47/69/34/281476934.db2.gz WAKHFURYLGASKH-UHFFFAOYSA-N 0 0 291.351 2.936 20 5 CFBDRN CN(Cc1cccc(O)c1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000535078456 281498506 /nfs/dbraw/zinc/49/85/06/281498506.db2.gz XEXQPTKIIOJGQV-UHFFFAOYSA-N 0 0 293.710 2.985 20 5 CFBDRN CC(C)(NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000535743261 281507551 /nfs/dbraw/zinc/50/75/51/281507551.db2.gz SKXZCBYYEOSNSZ-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)Cc1nc2ccccc2[nH]1 ZINC000538891960 281563114 /nfs/dbraw/zinc/56/31/14/281563114.db2.gz YJEFDBGXXDYUIL-UHFFFAOYSA-N 0 0 297.318 2.811 20 5 CFBDRN COc1cc(CSc2nccn2C)ccc1[N+](=O)[O-] ZINC000539804893 281575129 /nfs/dbraw/zinc/57/51/29/281575129.db2.gz QEOQHXBRLNEXRM-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000542620532 281630147 /nfs/dbraw/zinc/63/01/47/281630147.db2.gz GUYJRXQSANVTSF-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN COc1ccc(Cc2nc(C(C)C)no2)cc1[N+](=O)[O-] ZINC000544937001 281675275 /nfs/dbraw/zinc/67/52/75/281675275.db2.gz QUKBOEOSIBNYDF-UHFFFAOYSA-N 0 0 277.280 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ccc(CO)o2)c(Cl)c1 ZINC000545928109 281698189 /nfs/dbraw/zinc/69/81/89/281698189.db2.gz HMPVVVGRCYQLHX-UHFFFAOYSA-N 0 0 296.710 2.623 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](CC)OCC ZINC000547371627 281735045 /nfs/dbraw/zinc/73/50/45/281735045.db2.gz COALHRVDRYZTNX-LBPRGKRZSA-N 0 0 296.323 2.747 20 5 CFBDRN CCC[C@H](NC(=O)c1c[nH]nc1[N+](=O)[O-])c1ccccc1 ZINC000548711918 281797657 /nfs/dbraw/zinc/79/76/57/281797657.db2.gz UCIFRAJHYPZZDM-LBPRGKRZSA-N 0 0 288.307 2.589 20 5 CFBDRN Cc1ccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])cc1Cl ZINC000548735368 281798448 /nfs/dbraw/zinc/79/84/48/281798448.db2.gz YGAZWHQFNOBPNO-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC(C)(CNc1ncccc1[N+](=O)[O-])C(F)(F)F ZINC000549004924 281808838 /nfs/dbraw/zinc/80/88/38/281808838.db2.gz JQFPAHWQXVXJJT-UHFFFAOYSA-N 0 0 263.219 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2Cc3cccnc3C2)cc1 ZINC000550658160 281827470 /nfs/dbraw/zinc/82/74/70/281827470.db2.gz BOKWWGHRYMHFSK-UHFFFAOYSA-N 0 0 255.277 2.506 20 5 CFBDRN CCc1nc(C)cc(N2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000553143426 281871542 /nfs/dbraw/zinc/87/15/42/281871542.db2.gz KBKBGTJNXQFZOK-UHFFFAOYSA-N 0 0 298.346 2.818 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1C[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000554031591 281883810 /nfs/dbraw/zinc/88/38/10/281883810.db2.gz IWIZAORCBXIICH-JEZHCXPESA-N 0 0 299.277 2.609 20 5 CFBDRN CC(F)(F)c1cccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])c1 ZINC000555295927 281913051 /nfs/dbraw/zinc/91/30/51/281913051.db2.gz HKOVVCQKLHJZFV-UHFFFAOYSA-N 0 0 296.233 2.682 20 5 CFBDRN COC1(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])CCCC1 ZINC000555541095 281917172 /nfs/dbraw/zinc/91/71/72/281917172.db2.gz VYHUEQMSBJMNKJ-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN Cc1nc(N2CCC[C@H]([C@H]3CCOC3)C2)ccc1[N+](=O)[O-] ZINC000436431078 281926899 /nfs/dbraw/zinc/92/68/99/281926899.db2.gz KMLONYCHQWVSBA-STQMWFEESA-N 0 0 291.351 2.551 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000292080788 288371710 /nfs/dbraw/zinc/37/17/10/288371710.db2.gz XWOYLUMLVJQVSZ-ZYHUDNBSSA-N 0 0 287.319 2.980 20 5 CFBDRN CC(C)C(C)(C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000556449566 281935048 /nfs/dbraw/zinc/93/50/48/281935048.db2.gz NIIKUHLTOQVUJC-UHFFFAOYSA-N 0 0 294.351 2.915 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NC(C1CCC1)C1CCC1 ZINC000556856452 281950743 /nfs/dbraw/zinc/95/07/43/281950743.db2.gz YAGJCPMURKJAHT-UHFFFAOYSA-N 0 0 291.351 2.632 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000274742952 288376654 /nfs/dbraw/zinc/37/66/54/288376654.db2.gz CYYUFJMMGFDUSH-SKDRFNHKSA-N 0 0 280.299 2.995 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCOC[C@H]1C1CC1 ZINC000558496542 281983860 /nfs/dbraw/zinc/98/38/60/281983860.db2.gz QOENHVQPAXCLMR-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN CC(C)C(C)(C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000560096653 282015029 /nfs/dbraw/zinc/01/50/29/282015029.db2.gz IIIMZORBTPGCBC-UHFFFAOYSA-N 0 0 280.324 2.524 20 5 CFBDRN CNc1ccc(C(=O)N2CC(C(C)(C)C)C2)cc1[N+](=O)[O-] ZINC000560481875 282030143 /nfs/dbraw/zinc/03/01/43/282030143.db2.gz HMUFLNXFVHWGSV-UHFFFAOYSA-N 0 0 291.351 2.755 20 5 CFBDRN C[C@H](NC(=O)N1[C@@H](C)C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000560533252 282032532 /nfs/dbraw/zinc/03/25/32/282032532.db2.gz ZOJPCFWDWFLENF-DCAQKATOSA-N 0 0 277.324 2.848 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)[C@H]1CC1(C)C ZINC000561070762 282046337 /nfs/dbraw/zinc/04/63/37/282046337.db2.gz JLANUXILTFGHDQ-GHMZBOCLSA-N 0 0 277.324 2.544 20 5 CFBDRN CC(C)CC[C@@H]1CCC[C@@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000561162254 282048650 /nfs/dbraw/zinc/04/86/50/282048650.db2.gz TXUDJGCAMCHQJK-QWRGUYRKSA-N 0 0 293.371 2.814 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1C[C@@H]2CCC[C@H]2C1 ZINC000561235035 282050283 /nfs/dbraw/zinc/05/02/83/282050283.db2.gz ICRWTTMICZKDSV-UWVGGRQHSA-N 0 0 250.273 2.970 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000561690014 282059557 /nfs/dbraw/zinc/05/95/57/282059557.db2.gz FSVJOOAEEZAXFC-NWDGAFQWSA-N 0 0 288.347 2.992 20 5 CFBDRN C[C@@H](c1nc(-c2cnn(C)c2)no1)c1cccc([N+](=O)[O-])c1 ZINC000561915721 282062186 /nfs/dbraw/zinc/06/21/86/282062186.db2.gz DQVFULJJUKXJHE-SECBINFHSA-N 0 0 299.290 2.530 20 5 CFBDRN CCCN(CC)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000562299570 282073071 /nfs/dbraw/zinc/07/30/71/282073071.db2.gz LKPVLESRWVHCHF-LLVKDONJSA-N 0 0 264.325 2.957 20 5 CFBDRN C[C@@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCCC[C@H]1O ZINC000193464351 289175758 /nfs/dbraw/zinc/17/57/58/289175758.db2.gz LJUPTYQKXQFJRF-KGLIPLIRSA-N 0 0 279.340 2.530 20 5 CFBDRN CN(CCc1ccc(Cl)cc1)c1c([N+](=O)[O-])ncn1C ZINC000265002985 289690823 /nfs/dbraw/zinc/69/08/23/289690823.db2.gz VRADQSODFJIGJW-UHFFFAOYSA-N 0 0 294.742 2.661 20 5 CFBDRN CCCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2OC)CC1 ZINC000151954075 289834834 /nfs/dbraw/zinc/83/48/34/289834834.db2.gz BBRKYRPRMXASKZ-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CS[C@@H](C)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152190748 289841087 /nfs/dbraw/zinc/84/10/87/289841087.db2.gz NMHPNBSHYADCII-JTQLQIEISA-N 0 0 294.376 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H](O)Cc1ccccc1 ZINC000154010972 289882818 /nfs/dbraw/zinc/88/28/18/289882818.db2.gz CRRZGVDGQHKLSD-HNNXBMFYSA-N 0 0 287.315 2.886 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)Nc1ccccc1 ZINC000156481507 289938646 /nfs/dbraw/zinc/93/86/46/289938646.db2.gz CFAFYRXJQHGRBK-UHFFFAOYSA-N 0 0 285.303 2.959 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])cnc2C)ccc1O ZINC000157334510 289953000 /nfs/dbraw/zinc/95/30/00/289953000.db2.gz OIBHKMRSXIHNFH-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000158572368 289977380 /nfs/dbraw/zinc/97/73/80/289977380.db2.gz OKWADOFOQQVQPC-LBPRGKRZSA-N 0 0 290.319 2.832 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCc2ccccc21 ZINC000158667842 289979639 /nfs/dbraw/zinc/97/96/39/289979639.db2.gz SMKPROKSBSWRSO-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN CC(C)c1ccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])cc1 ZINC000159019009 289986014 /nfs/dbraw/zinc/98/60/14/289986014.db2.gz CFEZWAOFYHBIQI-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@@H]2CCCC[C@@H]12 ZINC000530917101 290033455 /nfs/dbraw/zinc/03/34/55/290033455.db2.gz NODUFUKLGAOPQW-GRYCIOLGSA-N 0 0 278.356 2.733 20 5 CFBDRN CCO[C@H]1C[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000562437920 290043201 /nfs/dbraw/zinc/04/32/01/290043201.db2.gz NBYCAOIBBNQCKB-QWRGUYRKSA-N 0 0 299.714 2.547 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)CC2(CCC2)O1 ZINC000563082030 290101739 /nfs/dbraw/zinc/10/17/39/290101739.db2.gz UEKLKRZBIASWNL-LBPRGKRZSA-N 0 0 276.336 2.738 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CC(F)(F)F ZINC000563199315 290117410 /nfs/dbraw/zinc/11/74/10/290117410.db2.gz WNFMGYAFASVRRS-UHFFFAOYSA-N 0 0 277.202 2.527 20 5 CFBDRN COc1ccncc1CN[C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000563416258 290146330 /nfs/dbraw/zinc/14/63/30/290146330.db2.gz HOKUVCJQDPOOGC-AWEZNQCLSA-N 0 0 299.330 2.776 20 5 CFBDRN C[C@H]1C[C@H](C)N1c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000564446432 290209563 /nfs/dbraw/zinc/20/95/63/290209563.db2.gz PCLPQTLWWBYHKI-UWVGGRQHSA-N 0 0 261.325 2.856 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCCc1cccnc1)CCCC2 ZINC000564445625 290209586 /nfs/dbraw/zinc/20/95/86/290209586.db2.gz HSKNZXZAZIGGJP-UHFFFAOYSA-N 0 0 298.346 2.918 20 5 CFBDRN CC[C@H](CSC)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000564721077 290226542 /nfs/dbraw/zinc/22/65/42/290226542.db2.gz OPGGXKDTUPGWBE-CMPLNLGQSA-N 0 0 296.392 2.956 20 5 CFBDRN C[C@@]1(F)CCN(c2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000564892403 290245284 /nfs/dbraw/zinc/24/52/84/290245284.db2.gz XMGRNLQXSZYJSB-CQSZACIVSA-N 0 0 279.315 2.807 20 5 CFBDRN COCC1(CCNc2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000564903131 290247324 /nfs/dbraw/zinc/24/73/24/290247324.db2.gz HMSJXBVGMJNUKX-UHFFFAOYSA-N 0 0 291.351 2.791 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1CC1CCCC1)CCCC2 ZINC000564959154 290252684 /nfs/dbraw/zinc/25/26/84/290252684.db2.gz OCYLAQCDVDPQAI-UHFFFAOYSA-N 0 0 276.336 2.826 20 5 CFBDRN CCOc1cc(OCc2cc(C)nn2C)ccc1[N+](=O)[O-] ZINC000565373960 290298082 /nfs/dbraw/zinc/29/80/82/290298082.db2.gz UPDMENCUJOARRR-UHFFFAOYSA-N 0 0 291.307 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccc(Cl)o3)no2)nc1 ZINC000565401733 290299237 /nfs/dbraw/zinc/29/92/37/290299237.db2.gz VRWYXIAKVHRICB-UHFFFAOYSA-N 0 0 292.638 2.953 20 5 CFBDRN C[C@H]1C[C@H](Nc2nccc3c2cccc3[N+](=O)[O-])CO1 ZINC000565418678 290300410 /nfs/dbraw/zinc/30/04/10/290300410.db2.gz CBNXVIZNMKNRBI-UWVGGRQHSA-N 0 0 273.292 2.732 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCO[C@H](C2CC2)C1 ZINC000565903627 290333941 /nfs/dbraw/zinc/33/39/41/290333941.db2.gz KOCRTJHWGLZYGE-FZMZJTMJSA-N 0 0 292.335 2.973 20 5 CFBDRN CC(C)n1cc(Nc2ncc([N+](=O)[O-])cc2F)cn1 ZINC000565997066 290339784 /nfs/dbraw/zinc/33/97/84/290339784.db2.gz QQVGIGDADMTKNE-UHFFFAOYSA-N 0 0 265.248 2.650 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000566219966 290359097 /nfs/dbraw/zinc/35/90/97/290359097.db2.gz IGVCPNATLHOTSJ-MDZLAQPJSA-N 0 0 292.335 2.698 20 5 CFBDRN Cc1c(C(=O)NC2(CF)CCC2)cccc1[N+](=O)[O-] ZINC000566283280 290367082 /nfs/dbraw/zinc/36/70/82/290367082.db2.gz LGZOWRMBRHBIFG-UHFFFAOYSA-N 0 0 266.272 2.525 20 5 CFBDRN CC(C)Oc1nc(N(C)[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000566485616 290394138 /nfs/dbraw/zinc/39/41/38/290394138.db2.gz OLUHDKVLUGJMRE-SNVBAGLBSA-N 0 0 297.380 2.719 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)CC1 ZINC000063273455 290433649 /nfs/dbraw/zinc/43/36/49/290433649.db2.gz QHKWETCTBGVBQB-VIFPVBQESA-N 0 0 295.314 2.578 20 5 CFBDRN O=C(Nc1ccc(C2CCC2)cn1)c1ccc([N+](=O)[O-])cn1 ZINC000567642257 290480470 /nfs/dbraw/zinc/48/04/70/290480470.db2.gz ZETJJHIIPPLJPZ-UHFFFAOYSA-N 0 0 298.302 2.905 20 5 CFBDRN COc1cncc(/C=C\CCn2cc([N+](=O)[O-])c(C)n2)c1 ZINC000567842884 290498929 /nfs/dbraw/zinc/49/89/29/290498929.db2.gz AWKAHQHCUXKNBZ-HYXAFXHYSA-N 0 0 288.307 2.607 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1[nH]ccc1C1CC1 ZINC000568599071 290561241 /nfs/dbraw/zinc/56/12/41/290561241.db2.gz UVUUQAREXCJJHY-UHFFFAOYSA-N 0 0 285.303 2.730 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@@H](C)C1 ZINC000120247976 290565055 /nfs/dbraw/zinc/56/50/55/290565055.db2.gz HOEAUBGLZYBVTM-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000120016082 290565062 /nfs/dbraw/zinc/56/50/62/290565062.db2.gz BGKWCEUDIFWNSH-QWRGUYRKSA-N 0 0 262.309 2.855 20 5 CFBDRN COC[C@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000284434919 293237588 /nfs/dbraw/zinc/23/75/88/293237588.db2.gz DKACJUJMOVQCJG-GFCCVEGCSA-N 0 0 294.351 2.533 20 5 CFBDRN Cc1nc(N2C[C@H](C)CCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC000450347693 293305917 /nfs/dbraw/zinc/30/59/17/293305917.db2.gz IUHFGWSMEMAMHV-ZJUUUORDSA-N 0 0 264.329 2.708 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000072540544 299857037 /nfs/dbraw/zinc/85/70/37/299857037.db2.gz MEENARWNTHRZLV-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@@H]2C2CCCC2)ccc1[N+](=O)[O-] ZINC000334400313 294148248 /nfs/dbraw/zinc/14/82/48/294148248.db2.gz MYGLJQDQXMIEKP-GFCCVEGCSA-N 0 0 291.351 2.728 20 5 CFBDRN Cn1c(C(=O)N2CC[C@@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000334177136 294149934 /nfs/dbraw/zinc/14/99/34/294149934.db2.gz CYSZYNPJIKUSTI-GFCCVEGCSA-N 0 0 285.303 2.521 20 5 CFBDRN Nc1cc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)ccn1 ZINC000411402649 295026719 /nfs/dbraw/zinc/02/67/19/295026719.db2.gz UDTLXAAVKGKNHS-UHFFFAOYSA-N 0 0 298.346 2.567 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C)(C)CC2)c1 ZINC000339152036 295253248 /nfs/dbraw/zinc/25/32/48/295253248.db2.gz OUPRGBLPPRXURW-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@@](C)(F)C2)c1 ZINC000294843970 295253827 /nfs/dbraw/zinc/25/38/27/295253827.db2.gz BJPCHVPCRBVYKI-CYBMUJFWSA-N 0 0 268.288 2.932 20 5 CFBDRN COc1cccc(N2CCS[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000276848669 295305666 /nfs/dbraw/zinc/30/56/66/295305666.db2.gz DJAHWTVDERIJLT-JTQLQIEISA-N 0 0 282.365 2.935 20 5 CFBDRN COc1cccc(N2C[C@@H](C)O[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000280469589 295306582 /nfs/dbraw/zinc/30/65/82/295306582.db2.gz MVUDJJWWZCZYKM-QMTHXVAHSA-N 0 0 292.335 2.607 20 5 CFBDRN COc1cccc(N2C[C@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000274718400 295306977 /nfs/dbraw/zinc/30/69/77/295306977.db2.gz WZVVTKXHNHBDAS-AOOOYVTPSA-N 0 0 250.298 2.696 20 5 CFBDRN Nc1c(F)c(N2CCCCCC2)ccc1[N+](=O)[O-] ZINC000266853587 295346507 /nfs/dbraw/zinc/34/65/07/295346507.db2.gz MFTWNVGSSXZBBY-UHFFFAOYSA-N 0 0 253.277 2.697 20 5 CFBDRN Nc1ccc(N2CCO[C@@H](c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000283459523 295353711 /nfs/dbraw/zinc/35/37/11/295353711.db2.gz FGZSODSZZKJKKU-MRXNPFEDSA-N 0 0 299.330 2.755 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC(C3CC3)CC2)c1 ZINC000368041433 295355647 /nfs/dbraw/zinc/35/56/47/295355647.db2.gz KJBSSCNCRHAPGM-UHFFFAOYSA-N 0 0 261.325 2.803 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000167731206 297245394 /nfs/dbraw/zinc/24/53/94/297245394.db2.gz FVKQBIUTKJBKNF-MXWKQRLJSA-N 0 0 291.351 2.684 20 5 CFBDRN C[C@@]1(C(=O)[O-])CCCC[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000426726780 297876210 /nfs/dbraw/zinc/87/62/10/297876210.db2.gz LFGGDVCSBWIIHS-DZGCQCFKSA-N 0 0 292.335 2.718 20 5 CFBDRN C[C@H]1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000294214827 300105881 /nfs/dbraw/zinc/10/58/81/300105881.db2.gz RAWBJJDUBCPBNT-QWRGUYRKSA-N 0 0 276.336 2.739 20 5 CFBDRN C[C@H]1CN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C[C@@H]1C ZINC000334919096 300183761 /nfs/dbraw/zinc/18/37/61/300183761.db2.gz DPSICMDJLKWGDV-UWVGGRQHSA-N 0 0 287.319 2.804 20 5 CFBDRN C[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000280506475 300302203 /nfs/dbraw/zinc/30/22/03/300302203.db2.gz PSASWKYMZRMIML-UFBFGSQYSA-N 0 0 297.742 2.647 20 5 CFBDRN Cc1cc(C(=O)N2CCSCC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000190009803 301110376 /nfs/dbraw/zinc/11/03/76/301110376.db2.gz QQEXCTHHYDOVGC-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1ccc(N2CCC[C@H]2C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000269849552 301627720 /nfs/dbraw/zinc/62/77/20/301627720.db2.gz IWORNRUTPFIDIS-NEPJUHHUSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1ccc(N2CCO[C@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000268841362 301629031 /nfs/dbraw/zinc/62/90/31/301629031.db2.gz VRUMSLBUCVHRIY-GHMZBOCLSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@@H](C)C2)c1 ZINC000267633594 301849592 /nfs/dbraw/zinc/84/95/92/301849592.db2.gz JXQSTJRIIYBRHR-QWRGUYRKSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@]23CCOC3)c1 ZINC000343670756 301853269 /nfs/dbraw/zinc/85/32/69/301853269.db2.gz SGDRSFAVZRGGIX-CQSZACIVSA-N 0 0 262.309 2.663 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](C(C)(C)C)[C@@H](O)C2)n1 ZINC000273325370 301855112 /nfs/dbraw/zinc/85/51/12/301855112.db2.gz QEQXQSLLBOPVCB-AAEUAGOBSA-N 0 0 293.367 2.532 20 5 CFBDRN Cc1cccc(N2CCCC[C@@H](CO)C2)c1[N+](=O)[O-] ZINC000364802293 301997779 /nfs/dbraw/zinc/99/77/79/301997779.db2.gz PFBYRJSZAXEDPZ-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1cccc([C@H]2CCCN2c2c([N+](=O)[O-])ncn2C)c1 ZINC000054837378 302037035 /nfs/dbraw/zinc/03/70/35/302037035.db2.gz RIWOVMGGVOEKGD-CYBMUJFWSA-N 0 0 286.335 2.978 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCS[C@@H](C)CC1 ZINC000192683191 302038310 /nfs/dbraw/zinc/03/83/10/302038310.db2.gz KADQOBWSTHVOGL-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1cnc(N2CC(C)(C)[C@H]2[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000291218961 302347973 /nfs/dbraw/zinc/34/79/73/302347973.db2.gz VTKZNLLIWROBRK-CHWSQXEVSA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1csc2c1CN(c1ncccc1[N+](=O)[O-])CC2 ZINC000374834491 302453905 /nfs/dbraw/zinc/45/39/05/302453905.db2.gz APOKNTCJAJNKFF-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000355957510 302705474 /nfs/dbraw/zinc/70/54/74/302705474.db2.gz ZHPGHEUEULYMMU-CKYFFXLPSA-N 0 0 291.351 2.805 20 5 CFBDRN Cc1nnc([C@@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000271605801 302822088 /nfs/dbraw/zinc/82/20/88/302822088.db2.gz JFFIUWUVAJLDGY-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN Cn1cc([C@@H]2CCCCN2c2ncc([N+](=O)[O-])s2)cn1 ZINC000450519411 302922852 /nfs/dbraw/zinc/92/28/52/302922852.db2.gz MFNPIVZSUSGJSM-JTQLQIEISA-N 0 0 293.352 2.516 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCCC[C@@H]1c1nccs1 ZINC000299636615 303020251 /nfs/dbraw/zinc/02/02/51/303020251.db2.gz JPZATBPJSDXYGZ-SECBINFHSA-N 0 0 293.352 2.516 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]1[C@H]1CCC[C@H]1O ZINC000450698412 304800668 /nfs/dbraw/zinc/80/06/68/304800668.db2.gz BBCOMTJKIFPPPU-LALPHHSUSA-N 0 0 294.326 2.864 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1[C@H]2CCO[C@H]2C12CCCC2 ZINC000269952952 304811388 /nfs/dbraw/zinc/81/13/88/304811388.db2.gz JUMZJHRCEYLFLC-RAIGVLPGSA-N 0 0 289.335 2.749 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000160275313 322335746 /nfs/dbraw/zinc/33/57/46/322335746.db2.gz KAHIVLGZYHAODS-TZMCWYRMSA-N 0 0 262.309 2.994 20 5 CFBDRN Cc1nnc(CSc2ccc([N+](=O)[O-])cn2)n1C1CC1 ZINC000160308173 322336297 /nfs/dbraw/zinc/33/62/97/322336297.db2.gz JHJBXWFNZRIOJM-UHFFFAOYSA-N 0 0 291.336 2.517 20 5 CFBDRN Cc1cc(C(=O)N2[C@@H](C)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000161578849 322353849 /nfs/dbraw/zinc/35/38/49/322353849.db2.gz RNIUAGQEJYSWOX-QWRGUYRKSA-N 0 0 262.309 2.916 20 5 CFBDRN COc1cccnc1NCc1cccc([N+](=O)[O-])c1 ZINC000169028752 322389870 /nfs/dbraw/zinc/38/98/70/322389870.db2.gz LBLFGLILULUHKJ-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN Cc1ccc(NC(=O)c2cccnc2C)cc1[N+](=O)[O-] ZINC000170987625 322397781 /nfs/dbraw/zinc/39/77/81/322397781.db2.gz VJPKFZCVZRDPKK-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000171167987 322401710 /nfs/dbraw/zinc/40/17/10/322401710.db2.gz FTJGIODDTCWNMX-NWDGAFQWSA-N 0 0 293.367 2.871 20 5 CFBDRN COC(=O)c1coc(COc2cccc([N+](=O)[O-])c2C)c1 ZINC000171412543 322405664 /nfs/dbraw/zinc/40/56/64/322405664.db2.gz YXNOTTIALHOLLN-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CC(F)(F)F ZINC000171446361 322406361 /nfs/dbraw/zinc/40/63/61/322406361.db2.gz DQDQGCKNLUHMOK-SSDOTTSWSA-N 0 0 288.225 2.825 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000171878392 322415367 /nfs/dbraw/zinc/41/53/67/322415367.db2.gz OVIQPGWNKDXRGJ-JTQLQIEISA-N 0 0 280.324 2.722 20 5 CFBDRN CC(C)[C@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000171932314 322416428 /nfs/dbraw/zinc/41/64/28/322416428.db2.gz PYXXOPKRXNDFCB-JTQLQIEISA-N 0 0 250.298 2.503 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000569323504 322464353 /nfs/dbraw/zinc/46/43/53/322464353.db2.gz DGAZCJQNWJUAFP-LEWSCRJBSA-N 0 0 276.336 2.859 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H](C)CC(C)(C)O ZINC000569355679 322467523 /nfs/dbraw/zinc/46/75/23/322467523.db2.gz UYAWNBBYFVRJDI-SECBINFHSA-N 0 0 268.313 2.565 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C1CC2(CCC2)C1 ZINC000569365036 322467898 /nfs/dbraw/zinc/46/78/98/322467898.db2.gz HXUKWNZMYSSFLW-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN CCO[C@@H](CC)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000569436080 322472129 /nfs/dbraw/zinc/47/21/29/322472129.db2.gz FGDACGIJTJMYNX-AWEZNQCLSA-N 0 0 292.335 2.689 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@@H](F)C1 ZINC000569599084 322484686 /nfs/dbraw/zinc/48/46/86/322484686.db2.gz BTHUZECXKCCBIV-NEPJUHHUSA-N 0 0 295.314 2.675 20 5 CFBDRN C[C@H](NC(=O)NCC(C)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000570167224 322517673 /nfs/dbraw/zinc/51/76/73/322517673.db2.gz SPMHFIGISBHAFD-QMMMGPOBSA-N 0 0 287.266 2.610 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCCC1(O)CCC1 ZINC000570529854 322537920 /nfs/dbraw/zinc/53/79/20/322537920.db2.gz YHPZJRANWOHOLF-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN CC[C@@H]1CCN1c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000571344959 322580178 /nfs/dbraw/zinc/58/01/78/322580178.db2.gz YTHBDFSNPTWUSB-SNVBAGLBSA-N 0 0 265.313 2.766 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000571767522 322595626 /nfs/dbraw/zinc/59/56/26/322595626.db2.gz LMLXTQWOGQQTJH-JOYOIKCWSA-N 0 0 270.304 2.943 20 5 CFBDRN CCO[C@H]1C[C@@H]1NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000571863767 322598555 /nfs/dbraw/zinc/59/85/55/322598555.db2.gz ZMUVQKRKJALEJV-JQWIXIFHSA-N 0 0 293.323 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCCCO1 ZINC000572344394 322615627 /nfs/dbraw/zinc/61/56/27/322615627.db2.gz FKOMTTPINKPEFI-UHFFFAOYSA-N 0 0 279.296 2.853 20 5 CFBDRN CC[C@]1(CO)CCCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000572378449 322617080 /nfs/dbraw/zinc/61/70/80/322617080.db2.gz FGFLSMQRHVKJPP-HNNXBMFYSA-N 0 0 294.351 2.592 20 5 CFBDRN O=C(NC1(CF)CCC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000572844854 322634182 /nfs/dbraw/zinc/63/41/82/322634182.db2.gz WWQHJOIOOCKLPN-UHFFFAOYSA-N 0 0 292.266 2.963 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000573689710 322665423 /nfs/dbraw/zinc/66/54/23/322665423.db2.gz RZOXRUAUCXEABI-ZYHUDNBSSA-N 0 0 295.314 2.799 20 5 CFBDRN CC(C)n1nnnc1SCc1ccc(F)cc1[N+](=O)[O-] ZINC000574098722 322680759 /nfs/dbraw/zinc/68/07/59/322680759.db2.gz CBZVPWUKFQVAGM-UHFFFAOYSA-N 0 0 297.315 2.594 20 5 CFBDRN C[C@@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])c1ccn(C)n1 ZINC000575072398 322717384 /nfs/dbraw/zinc/71/73/84/322717384.db2.gz ZSQIEWOCYHCABK-QMTHXVAHSA-N 0 0 286.335 2.666 20 5 CFBDRN Cc1noc([C@@H](C)N(C)c2ncc([N+](=O)[O-])cc2Cl)n1 ZINC000575762258 322728757 /nfs/dbraw/zinc/72/87/57/322728757.db2.gz NDYZXNPZPFMYCV-ZCFIWIBFSA-N 0 0 297.702 2.532 20 5 CFBDRN C[C@@H]1C[C@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000575873671 322732830 /nfs/dbraw/zinc/73/28/30/322732830.db2.gz NHPADITWYOVRNZ-HTQZYQBOSA-N 0 0 290.245 2.552 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000179305437 323740912 /nfs/dbraw/zinc/74/09/12/323740912.db2.gz HMYGXOAVENFKJA-VXGBXAGGSA-N 0 0 291.351 2.782 20 5 CFBDRN O=C(CN1CCc2ccc([N+](=O)[O-])cc21)Nc1ccccc1 ZINC000182755814 323881534 /nfs/dbraw/zinc/88/15/34/323881534.db2.gz BDBGJJFUFFNGQX-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCO[C@H]2CCCCO2)cc1 ZINC000185064986 323958785 /nfs/dbraw/zinc/95/87/85/323958785.db2.gz GXPHCGMLLLDSED-AWEZNQCLSA-N 0 0 281.308 2.907 20 5 CFBDRN CCN(CC)C(=O)CCCNc1cccc(C)c1[N+](=O)[O-] ZINC000188378336 324014337 /nfs/dbraw/zinc/01/43/37/324014337.db2.gz NCAJVVFYWAGAON-UHFFFAOYSA-N 0 0 293.367 2.964 20 5 CFBDRN Cc1cc(=O)n(Cc2cccc(Cl)c2F)cc1[N+](=O)[O-] ZINC000191834294 324054891 /nfs/dbraw/zinc/05/48/91/324054891.db2.gz IGXQHZGAFWHLLZ-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000193945112 324079253 /nfs/dbraw/zinc/07/92/53/324079253.db2.gz SRZZJNLFKDLOFP-SNVBAGLBSA-N 0 0 295.339 2.543 20 5 CFBDRN Cc1ccc(CCNC(=O)c2c(C)cccc2[N+](=O)[O-])cn1 ZINC000194684192 324093373 /nfs/dbraw/zinc/09/33/73/324093373.db2.gz GTTMOAYUOCKUCT-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCc1ccc(C(=O)Nc2nccc(C)n2)cc1[N+](=O)[O-] ZINC000194674529 324093820 /nfs/dbraw/zinc/09/38/20/324093820.db2.gz FMSZVDIPNZYPCW-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(-c2ccsc2)no1 ZINC000349875223 324190746 /nfs/dbraw/zinc/19/07/46/324190746.db2.gz CECNZRAIWRHAAG-UHFFFAOYSA-N 0 0 276.277 2.712 20 5 CFBDRN COc1c(-c2nc([C@H]3C[C@H]3C)no2)cccc1[N+](=O)[O-] ZINC000350143261 324202596 /nfs/dbraw/zinc/20/25/96/324202596.db2.gz NVGCOLYEFDTEKH-APPZFPTMSA-N 0 0 275.264 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cscn3)no2)cc1 ZINC000350542190 324226769 /nfs/dbraw/zinc/22/67/69/324226769.db2.gz KQFBUBLUXAERDS-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)no1 ZINC000350823297 324264464 /nfs/dbraw/zinc/26/44/64/324264464.db2.gz LJSNKZOOIVBLLE-UHFFFAOYSA-N 0 0 278.249 2.670 20 5 CFBDRN C/C(=C\c1nc(-c2c([N+](=O)[O-])ncn2C)no1)C(C)(C)C ZINC000350886566 324277955 /nfs/dbraw/zinc/27/79/55/324277955.db2.gz FZMWIIQGQNCODM-SOFGYWHQSA-N 0 0 291.311 2.828 20 5 CFBDRN C[C@@H](c1nc(-c2c([N+](=O)[O-])ncn2C)no1)C(C)(C)C ZINC000350907238 324281091 /nfs/dbraw/zinc/28/10/91/324281091.db2.gz GYZKBGJVLIFGEI-ZETCQYMHSA-N 0 0 279.300 2.528 20 5 CFBDRN Cn1c(-c2nc(-c3ccc(Cl)o3)no2)ccc1[N+](=O)[O-] ZINC000350910925 324281825 /nfs/dbraw/zinc/28/18/25/324281825.db2.gz VXDHRLYCFQORGH-UHFFFAOYSA-N 0 0 294.654 2.897 20 5 CFBDRN C[C@@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C(F)(F)F ZINC000351141519 324325142 /nfs/dbraw/zinc/32/51/42/324325142.db2.gz CPLUIXWAFGCQHG-BYPYZUCNSA-N 0 0 277.158 2.904 20 5 CFBDRN CCO[C@@H]1C[C@@H]1c1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351142697 324325842 /nfs/dbraw/zinc/32/58/42/324325842.db2.gz FCNQCYDDIHTOKV-CMPLNLGQSA-N 0 0 289.291 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3C[C@@H]3C3CC3)n2)o1 ZINC000351147310 324327807 /nfs/dbraw/zinc/32/78/07/324327807.db2.gz OBILWPJJAHLVRH-SFYZADRCSA-N 0 0 261.237 2.751 20 5 CFBDRN Cc1c(-c2noc(C[C@H]3CCOC3)n2)cccc1[N+](=O)[O-] ZINC000351148828 324328444 /nfs/dbraw/zinc/32/84/44/324328444.db2.gz QRWNFMGEEWFAAW-SNVBAGLBSA-N 0 0 289.291 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC3CC(F)(F)C3)n2)o1 ZINC000351151854 324330228 /nfs/dbraw/zinc/33/02/28/324330228.db2.gz VWOBROKCOFIJLW-UHFFFAOYSA-N 0 0 285.206 2.826 20 5 CFBDRN C[C@H]1CCCC[C@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000351292836 324373208 /nfs/dbraw/zinc/37/32/08/324373208.db2.gz MZYIRCWFXRQUJG-DTWKUNHWSA-N 0 0 291.311 2.672 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(C(F)=C3CCC3)n2)nc1 ZINC000351344590 324388985 /nfs/dbraw/zinc/38/89/85/324388985.db2.gz KYSSGVTVGFAFSO-UHFFFAOYSA-N 0 0 290.254 2.828 20 5 CFBDRN CCNc1ccc(C(=O)NC2(CC)CC2)cc1[N+](=O)[O-] ZINC000379690851 324405045 /nfs/dbraw/zinc/40/50/45/324405045.db2.gz CJNYJOOPJPSEAF-UHFFFAOYSA-N 0 0 277.324 2.699 20 5 CFBDRN CNc1ccc(C(=O)N(C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000382296697 324427120 /nfs/dbraw/zinc/42/71/20/324427120.db2.gz QWAFEKZSIRSFCA-UHFFFAOYSA-N 0 0 265.313 2.507 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000384114376 324443999 /nfs/dbraw/zinc/44/39/99/324443999.db2.gz BYUVJICASADDSI-MFKMUULPSA-N 0 0 291.351 2.897 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2C[C@H]2CC(C)C)cc1[N+](=O)[O-] ZINC000385335827 324459724 /nfs/dbraw/zinc/45/97/24/324459724.db2.gz LLZRJHQRRFCYDD-DGCLKSJQSA-N 0 0 291.351 2.801 20 5 CFBDRN COc1cc(N[C@@H]2CSC2(C)C)ccc1[N+](=O)[O-] ZINC000393750590 324530858 /nfs/dbraw/zinc/53/08/58/324530858.db2.gz RDLAPWQIIHLLCO-LLVKDONJSA-N 0 0 268.338 2.909 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CSC2(C)C)c1 ZINC000393818690 324532074 /nfs/dbraw/zinc/53/20/74/324532074.db2.gz HUNWCPAWDVGMKR-NSHDSACASA-N 0 0 268.338 2.909 20 5 CFBDRN CCOc1cc(NC[C@H](CO)C(C)C)ccc1[N+](=O)[O-] ZINC000394046103 324532941 /nfs/dbraw/zinc/53/29/41/324532941.db2.gz MJFJLANOXRSRMM-LLVKDONJSA-N 0 0 282.340 2.670 20 5 CFBDRN C[C@@H](CCCO)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000577487859 324742621 /nfs/dbraw/zinc/74/26/21/324742621.db2.gz KFVMRADUNKNPRX-NSHDSACASA-N 0 0 293.367 2.689 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000578109847 324816563 /nfs/dbraw/zinc/81/65/63/324816563.db2.gz XMGJVZDDGPIUFT-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@H]1CF)c1cccc([N+](=O)[O-])c1 ZINC000578392305 324847416 /nfs/dbraw/zinc/84/74/16/324847416.db2.gz SGOVPOWEFNASFV-MFKMUULPSA-N 0 0 280.299 2.659 20 5 CFBDRN COCC[C@H](C)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000578645261 324874134 /nfs/dbraw/zinc/87/41/34/324874134.db2.gz FKMSBHVZCQTRPE-NSHDSACASA-N 0 0 293.367 2.953 20 5 CFBDRN Cc1cc(N2CCO[C@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000579327320 324940357 /nfs/dbraw/zinc/94/03/57/324940357.db2.gz BOSYZKSXQKDBGP-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN CC(C)C[C@@H]1CCCCCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000579379049 324946337 /nfs/dbraw/zinc/94/63/37/324946337.db2.gz MOIDPKQGOOIGCH-NSHDSACASA-N 0 0 294.355 2.749 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000579798026 324980262 /nfs/dbraw/zinc/98/02/62/324980262.db2.gz HERYQDJYMSQAMR-VHSXEESVSA-N 0 0 262.309 2.613 20 5 CFBDRN CC(C)c1cnn(CCOc2cccc([N+](=O)[O-])c2)c1 ZINC000580073851 325004028 /nfs/dbraw/zinc/00/40/28/325004028.db2.gz SXJQZVQOUDIDGE-UHFFFAOYSA-N 0 0 275.308 2.994 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H]2CC[C@H](C)O2)c1 ZINC000580193799 325015016 /nfs/dbraw/zinc/01/50/16/325015016.db2.gz VMAULJXYOQTJEH-GXSJLCMTSA-N 0 0 267.281 2.550 20 5 CFBDRN Cc1c(CNC(=O)N2CCCCCO2)cccc1[N+](=O)[O-] ZINC000580437218 325035810 /nfs/dbraw/zinc/03/58/10/325035810.db2.gz MQEHKHMIYYRFEL-UHFFFAOYSA-N 0 0 293.323 2.530 20 5 CFBDRN COC[C@H](C)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000580438941 325035967 /nfs/dbraw/zinc/03/59/67/325035967.db2.gz JZLQZDKKFVFCSY-SNVBAGLBSA-N 0 0 279.340 2.563 20 5 CFBDRN CC(F)(F)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000580455212 325038541 /nfs/dbraw/zinc/03/85/41/325038541.db2.gz WRVYIBUYTVQOCJ-UHFFFAOYSA-N 0 0 267.235 2.632 20 5 CFBDRN C[C@H](C(=O)N1C[C@@H]2CCC[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000580509688 325041041 /nfs/dbraw/zinc/04/10/41/325041041.db2.gz SXKMQXJPWQIKRU-UBHSHLNASA-N 0 0 288.347 2.957 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@H](F)C1 ZINC000580576730 325048712 /nfs/dbraw/zinc/04/87/12/325048712.db2.gz LKAPXHIEFOWAAC-JTQLQIEISA-N 0 0 286.690 2.822 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CC[C@@H](C)O1 ZINC000581022550 325083833 /nfs/dbraw/zinc/08/38/33/325083833.db2.gz ZCSTZNNOINOUEK-ZJUUUORDSA-N 0 0 266.297 2.583 20 5 CFBDRN COC(=O)c1cc(N[C@H]2CC[C@H](F)C2)cc(C)c1[N+](=O)[O-] ZINC000581153635 325095444 /nfs/dbraw/zinc/09/54/44/325095444.db2.gz XGKBITRPETXWJO-UWVGGRQHSA-N 0 0 296.298 2.992 20 5 CFBDRN COC(=O)c1cc(N[C@@H]2CC[C@@H](F)C2)cc(C)c1[N+](=O)[O-] ZINC000581153634 325095759 /nfs/dbraw/zinc/09/57/59/325095759.db2.gz XGKBITRPETXWJO-NXEZZACHSA-N 0 0 296.298 2.992 20 5 CFBDRN CCCCN(C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000581228335 325101527 /nfs/dbraw/zinc/10/15/27/325101527.db2.gz LQJYILQAGWKGTP-LLVKDONJSA-N 0 0 264.325 2.957 20 5 CFBDRN O=C(NC1(CF)CCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000581609500 325136536 /nfs/dbraw/zinc/13/65/36/325136536.db2.gz DQYWPJYDVUMDKD-UHFFFAOYSA-N 0 0 291.282 2.698 20 5 CFBDRN CC(F)(F)CCN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000581707399 325144076 /nfs/dbraw/zinc/14/40/76/325144076.db2.gz VEZXATAUDDPPQE-UHFFFAOYSA-N 0 0 270.279 2.998 20 5 CFBDRN CC(C)(O)CCSCCOc1cccc([N+](=O)[O-])c1 ZINC000581834276 325152370 /nfs/dbraw/zinc/15/23/70/325152370.db2.gz KLONFLHYKPECAK-UHFFFAOYSA-N 0 0 285.365 2.868 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCC(F)(F)C1)CCCC2 ZINC000581881420 325156463 /nfs/dbraw/zinc/15/64/63/325156463.db2.gz PDQWTQPYNWBCKJ-UHFFFAOYSA-N 0 0 283.278 2.714 20 5 CFBDRN CC[C@H]1CN(c2c(OC)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000582013354 325169518 /nfs/dbraw/zinc/16/95/18/325169518.db2.gz IZHXSNDDXOWMLL-QWRGUYRKSA-N 0 0 280.324 2.607 20 5 CFBDRN Cc1cccc2c1OC[C@@H](Nc1ccc([N+](=O)[O-])nc1)C2 ZINC000582333240 325195714 /nfs/dbraw/zinc/19/57/14/325195714.db2.gz FDKLWBIIBQSUTI-ZDUSSCGKSA-N 0 0 285.303 2.714 20 5 CFBDRN Cc1c(CSc2cnccn2)cccc1[N+](=O)[O-] ZINC000582334333 325195866 /nfs/dbraw/zinc/19/58/66/325195866.db2.gz FGQUQVHSQMVFGE-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN COCC1(CCNc2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000582483903 325208782 /nfs/dbraw/zinc/20/87/82/325208782.db2.gz LNOJPNSRPVQPRQ-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN Cc1ccccc1CCCn1cc([N+](=O)[O-])cc(F)c1=O ZINC000583084960 325259030 /nfs/dbraw/zinc/25/90/30/325259030.db2.gz SPUYYKYFSMICBE-UHFFFAOYSA-N 0 0 290.294 2.837 20 5 CFBDRN CCn1ccc(CN[C@H]2CCc3c2cccc3[N+](=O)[O-])n1 ZINC000583273273 325274607 /nfs/dbraw/zinc/27/46/07/325274607.db2.gz LKYLHMMCNHRCRF-AWEZNQCLSA-N 0 0 286.335 2.588 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1c1ncc([N+](=O)[O-])cc1F ZINC000583368800 325281229 /nfs/dbraw/zinc/28/12/29/325281229.db2.gz YJMXQHMAXMHVEO-MRVPVSSYSA-N 0 0 253.277 2.754 20 5 CFBDRN C[C@H]1CC(C)(C)CN1c1ncc([N+](=O)[O-])cc1F ZINC000583368801 325281298 /nfs/dbraw/zinc/28/12/98/325281298.db2.gz YJMXQHMAXMHVEO-QMMMGPOBSA-N 0 0 253.277 2.754 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CCC[C@H](F)C1 ZINC000583561222 325296440 /nfs/dbraw/zinc/29/64/40/325296440.db2.gz IWJBCTYZGRMCQA-NWDGAFQWSA-N 0 0 295.314 2.675 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCCCCO1 ZINC000583915726 325318543 /nfs/dbraw/zinc/31/85/43/325318543.db2.gz QBVSFFNVDMVNPO-UHFFFAOYSA-N 0 0 265.269 2.544 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CC(C)(F)F ZINC000583936492 325320188 /nfs/dbraw/zinc/32/01/88/325320188.db2.gz CVKHRJJVBUOBCR-UHFFFAOYSA-N 0 0 273.239 2.620 20 5 CFBDRN CC(C)CCCC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000584033938 325325896 /nfs/dbraw/zinc/32/58/96/325325896.db2.gz OTKVOTPCTYKYAW-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN CCO[C@H]1C[C@@H]1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000584211173 325337838 /nfs/dbraw/zinc/33/78/38/325337838.db2.gz SOIKAESDCZVDDP-JSGCOSHPSA-N 0 0 292.335 2.625 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H](C)c1cnn(C)c1C ZINC000584241978 325340697 /nfs/dbraw/zinc/34/06/97/325340697.db2.gz BSKDBOGXEVYHLA-SECBINFHSA-N 0 0 290.323 2.818 20 5 CFBDRN CCCN(C)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000584241942 325340935 /nfs/dbraw/zinc/34/09/35/325340935.db2.gz AFZZBTIRFOKYGL-UHFFFAOYSA-N 0 0 253.302 2.623 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC=C(F)C1 ZINC000584480325 329499865 /nfs/dbraw/zinc/49/98/65/329499865.db2.gz XYPLZSIUAYQVBI-UHFFFAOYSA-N 0 0 252.245 2.667 20 5 CFBDRN C[C@@H](C(=O)N(C)c1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000515583037 330479997 /nfs/dbraw/zinc/47/99/97/330479997.db2.gz DKSMKUDPUCMGEP-SECBINFHSA-N 0 0 291.332 2.818 20 5 CFBDRN Cc1cnc(-c2nc3cc4ccccc4cc3c(=O)[nH]2)nc1 ZINC000133670443 334049525 /nfs/dbraw/zinc/04/95/25/334049525.db2.gz RYKVJGLZAXTHSE-UHFFFAOYSA-N 0 0 288.310 2.842 20 5 CFBDRN O=c1[nH]c([C@H]2CCOC2)nc2cc3ccccc3cc21 ZINC000157466635 334772043 /nfs/dbraw/zinc/77/20/43/334772043.db2.gz ZHYNXMDWRGYVRU-LBPRGKRZSA-N 0 0 266.300 2.580 20 5 CFBDRN CC[C@@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360525532 521914140 /nfs/dbraw/zinc/91/41/40/521914140.db2.gz PISYOZPKZKRDEI-SECBINFHSA-N 0 0 250.298 2.566 20 5 CFBDRN Cc1cc(Cn2ccc(=O)c([N+](=O)[O-])c2)c2ccccc2n1 ZINC000174097422 533802024 /nfs/dbraw/zinc/80/20/24/533802024.db2.gz CHQAHRQVESYOME-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000104609883 533815248 /nfs/dbraw/zinc/81/52/48/533815248.db2.gz UDLJLKLFBKPICY-SKDRFNHKSA-N 0 0 262.309 2.822 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1Oc1cccnc1 ZINC000429210114 533933161 /nfs/dbraw/zinc/93/31/61/533933161.db2.gz RQVSPQLXDBKGLY-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN C[C@H](NCc1nc(C(F)F)no1)c1ccc([N+](=O)[O-])cc1 ZINC000425471365 533942364 /nfs/dbraw/zinc/94/23/64/533942364.db2.gz HHJIONTXIQGMMO-ZETCQYMHSA-N 0 0 298.249 2.766 20 5 CFBDRN C[C@H](CN(C)c1ncc([N+](=O)[O-])cc1F)c1nccs1 ZINC000413226921 534032287 /nfs/dbraw/zinc/03/22/87/534032287.db2.gz IGTNHDWVBZQLGW-MRVPVSSYSA-N 0 0 296.327 2.825 20 5 CFBDRN Cc1cc(NC[C@@H]2C[C@H]2C2CCC2)ncc1[N+](=O)[O-] ZINC000425285118 534033643 /nfs/dbraw/zinc/03/36/43/534033643.db2.gz SBTHFGSQDSUGAB-RYUDHWBXSA-N 0 0 261.325 2.568 20 5 CFBDRN C[C@H](NC(=O)C[C@@H]1C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000355179142 534202449 /nfs/dbraw/zinc/20/24/49/534202449.db2.gz MXRLUZVSAVVCFY-DCAQKATOSA-N 0 0 262.309 2.818 20 5 CFBDRN CC(C)(C(=O)NCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000265701590 534320421 /nfs/dbraw/zinc/32/04/21/534320421.db2.gz KHTNQYYGMJBVCK-UHFFFAOYSA-N 0 0 290.241 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]2c2ccco2)n1 ZINC000413605878 534329542 /nfs/dbraw/zinc/32/95/42/534329542.db2.gz PBFRPXKOCZJZRA-NXEZZACHSA-N 0 0 259.265 2.859 20 5 CFBDRN O=C(Nc1cccc2ocnc21)c1ccc([N+](=O)[O-])o1 ZINC000341582172 518201047 /nfs/dbraw/zinc/20/10/47/518201047.db2.gz IGQARCFNNGIIKE-UHFFFAOYSA-N 0 0 273.204 2.581 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H](C)CCCO ZINC000294948722 518293179 /nfs/dbraw/zinc/29/31/79/518293179.db2.gz LXNWLGBRZIOPHY-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN CC(=O)c1ccc(NC[C@@](C)(O)C(C)C)c([N+](=O)[O-])c1 ZINC000078620410 518383134 /nfs/dbraw/zinc/38/31/34/518383134.db2.gz YOQWIJNTOZSZLX-CQSZACIVSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(=O)c1ccc(NCCc2nccs2)c([N+](=O)[O-])c1 ZINC000050953854 518383526 /nfs/dbraw/zinc/38/35/26/518383526.db2.gz QQEFNXZQUOHVGR-UHFFFAOYSA-N 0 0 291.332 2.909 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CCC[C@@H]1F)c1ccccc1[N+](=O)[O-] ZINC000340849616 518532029 /nfs/dbraw/zinc/53/20/29/518532029.db2.gz NHVWCNGOCSSINH-RYUDHWBXSA-N 0 0 294.326 2.879 20 5 CFBDRN CC(C)(C)C1CN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000335092472 518611321 /nfs/dbraw/zinc/61/13/21/518611321.db2.gz IPXPGPNMIMKRDG-UHFFFAOYSA-N 0 0 262.309 2.713 20 5 CFBDRN CC(C)(C)CC[C@H](CO)Nc1ncccc1[N+](=O)[O-] ZINC000360247719 518659403 /nfs/dbraw/zinc/65/94/03/518659403.db2.gz CWCBVKUHZHSJIR-SNVBAGLBSA-N 0 0 267.329 2.589 20 5 CFBDRN Cc1ccnc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])c1 ZINC000159875470 534401312 /nfs/dbraw/zinc/40/13/12/534401312.db2.gz NZNQKWDETFJADI-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)(C)n1cc(Nc2ncccc2[N+](=O)[O-])cn1 ZINC000049441705 519165647 /nfs/dbraw/zinc/16/56/47/519165647.db2.gz QFTXEJVBBXIXLM-UHFFFAOYSA-N 0 0 261.285 2.685 20 5 CFBDRN CC(C)COCCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000337314261 519754773 /nfs/dbraw/zinc/75/47/73/519754773.db2.gz HYCHQHIYLQYZPF-UHFFFAOYSA-N 0 0 264.325 2.630 20 5 CFBDRN CC(C)[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000078620387 519829803 /nfs/dbraw/zinc/82/98/03/519829803.db2.gz ZUWFMMKTNXAHRI-GFCCVEGCSA-N 0 0 256.277 2.553 20 5 CFBDRN CC(C)c1cc(NC(=O)c2csc([N+](=O)[O-])c2)n[nH]1 ZINC000049043967 519833543 /nfs/dbraw/zinc/83/35/43/519833543.db2.gz RQPAQEPMTLKDOB-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@H](C(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000262726933 519836329 /nfs/dbraw/zinc/83/63/29/519836329.db2.gz ZPFWJZFXTJZYSN-AWEZNQCLSA-N 0 0 294.351 2.917 20 5 CFBDRN CCCNC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000046438949 519886827 /nfs/dbraw/zinc/88/68/27/519886827.db2.gz HDUWTAOJVPNBLK-UHFFFAOYSA-N 0 0 257.677 2.780 20 5 CFBDRN CCCC1CCC(N(C)C(=O)c2n[nH]cc2[N+](=O)[O-])CC1 ZINC000192273982 519896268 /nfs/dbraw/zinc/89/62/68/519896268.db2.gz XIHVFRSPYJAWRG-UHFFFAOYSA-N 0 0 294.355 2.749 20 5 CFBDRN CCN(C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)[C@H]1CCOC1 ZINC000361668906 519996562 /nfs/dbraw/zinc/99/65/62/519996562.db2.gz CJDXVXTUFDEOKI-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CCN(C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C(C)C ZINC000050701213 520066425 /nfs/dbraw/zinc/06/64/25/520066425.db2.gz NQLNCTSIEPHGTL-UHFFFAOYSA-N 0 0 285.731 2.701 20 5 CFBDRN CCOCCn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000340710879 520071534 /nfs/dbraw/zinc/07/15/34/520071534.db2.gz WRTZIOLPANEKEZ-UHFFFAOYSA-N 0 0 269.688 2.634 20 5 CFBDRN CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)c1ccncc1 ZINC000074019339 520080259 /nfs/dbraw/zinc/08/02/59/520080259.db2.gz XYBRMAKWWNWMTL-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@H]1CCCC[C@@H]1C ZINC000340129465 520090026 /nfs/dbraw/zinc/09/00/26/520090026.db2.gz DWJNWGUUKWBTKQ-JQWIXIFHSA-N 0 0 279.340 2.964 20 5 CFBDRN CCCCCNC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000078595933 520211723 /nfs/dbraw/zinc/21/17/23/520211723.db2.gz PDVXQVMTZBLLAL-LLVKDONJSA-N 0 0 280.324 2.669 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000078455839 520291275 /nfs/dbraw/zinc/29/12/75/520291275.db2.gz JOBPKOAZMAIZRT-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN CCNc1ccc(C(=O)Nc2ccncc2)cc1[N+](=O)[O-] ZINC000049932770 520296174 /nfs/dbraw/zinc/29/61/74/520296174.db2.gz ARIURPLOWGORTK-UHFFFAOYSA-N 0 0 286.291 2.674 20 5 CFBDRN Cc1nc(NC(=O)c2cc(F)cc(F)c2)ccc1[N+](=O)[O-] ZINC000175681526 534481795 /nfs/dbraw/zinc/48/17/95/534481795.db2.gz DFWBEKVLTAMBNV-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CC1(C)CCC[C@]1(O)CNc1ccccc1[N+](=O)[O-] ZINC000338733945 520363385 /nfs/dbraw/zinc/36/33/85/520363385.db2.gz MKKYMGLOOFWLIR-AWEZNQCLSA-N 0 0 264.325 2.948 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@]2(C)C(C)C)ncc1[N+](=O)[O-] ZINC000413437270 534496632 /nfs/dbraw/zinc/49/66/32/534496632.db2.gz OJEHHJRVJFJTKC-ZYHUDNBSSA-N 0 0 250.302 2.540 20 5 CFBDRN CCO[C@H]1COCC[C@H]1Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360082663 520534701 /nfs/dbraw/zinc/53/47/01/520534701.db2.gz MSQDLCIRPFBAPB-RISCZKNCSA-N 0 0 298.314 2.648 20 5 CFBDRN CCCSCC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360528497 520555826 /nfs/dbraw/zinc/55/58/26/520555826.db2.gz MDAAPYAJJVXMRT-UHFFFAOYSA-N 0 0 282.365 2.663 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC[C@@H]1CCC(F)(F)C1 ZINC000420627704 534502026 /nfs/dbraw/zinc/50/20/26/534502026.db2.gz OLUMYJHLIAIXOP-MRVPVSSYSA-N 0 0 299.277 2.541 20 5 CFBDRN CCCCNC(=O)COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000049302866 520624876 /nfs/dbraw/zinc/62/48/76/520624876.db2.gz NONPCNZYEDPQES-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN CC1(Cn2ncc3cc([N+](=O)[O-])cc(Cl)c32)COC1 ZINC000340858716 520750558 /nfs/dbraw/zinc/75/05/58/520750558.db2.gz ZYNYJDAWZQYSQH-UHFFFAOYSA-N 0 0 281.699 2.634 20 5 CFBDRN CC1(F)CC(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c32)C1 ZINC000334836188 520752435 /nfs/dbraw/zinc/75/24/35/520752435.db2.gz YLBXJJIFIOHTHW-UHFFFAOYSA-N 0 0 291.282 2.697 20 5 CFBDRN CC1(F)CC(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000334540866 520753128 /nfs/dbraw/zinc/75/31/28/520753128.db2.gz BCLYURWCQPRHHZ-UHFFFAOYSA-N 0 0 286.690 2.869 20 5 CFBDRN CCOc1cc(NCCC[C@H](C)CO)ccc1[N+](=O)[O-] ZINC000230148279 520762587 /nfs/dbraw/zinc/76/25/87/520762587.db2.gz QKRNNVGNCCNJNK-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN CCOc1cc(Oc2cc(F)cc([N+](=O)[O-])c2)ncn1 ZINC000340015875 520771768 /nfs/dbraw/zinc/77/17/68/520771768.db2.gz PRYNKHDUHLLIMC-UHFFFAOYSA-N 0 0 279.227 2.715 20 5 CFBDRN CCCCOCCOc1cc(C=O)ccc1[N+](=O)[O-] ZINC000050517389 520792686 /nfs/dbraw/zinc/79/26/86/520792686.db2.gz PNQZCOFTNNKHRH-UHFFFAOYSA-N 0 0 267.281 2.603 20 5 CFBDRN CCOC(=O)C[C@H](C)Sc1ncc(C)cc1[N+](=O)[O-] ZINC000361264234 520883903 /nfs/dbraw/zinc/88/39/03/520883903.db2.gz YFQJRJXNYFQXLJ-VIFPVBQESA-N 0 0 284.337 2.732 20 5 CFBDRN CCOc1ccc(C(=O)OC(C)C)cc1[N+](=O)[O-] ZINC000073188428 520921759 /nfs/dbraw/zinc/92/17/59/520921759.db2.gz BOIFVLKYXMMPRM-UHFFFAOYSA-N 0 0 253.254 2.559 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000069264986 520954582 /nfs/dbraw/zinc/95/45/82/520954582.db2.gz QFHMGOBTALNUJN-UHFFFAOYSA-N 0 0 297.742 2.703 20 5 CFBDRN O=C([O-])[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)c1ccsc1 ZINC000426793051 534532151 /nfs/dbraw/zinc/53/21/51/534532151.db2.gz OWHLFHSUQKIHQP-GFCCVEGCSA-N 0 0 292.316 2.572 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000339206226 521031733 /nfs/dbraw/zinc/03/17/33/521031733.db2.gz QGFYZXQCBHCNJY-VIFPVBQESA-N 0 0 265.313 2.559 20 5 CFBDRN CCOc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1F ZINC000048608356 521139054 /nfs/dbraw/zinc/13/90/54/521139054.db2.gz LNUJGWMACJYURX-UHFFFAOYSA-N 0 0 280.259 2.610 20 5 CFBDRN CCN(C[C@H](C)OC)c1ccc([N+](=O)[O-])c(C)c1 ZINC000360239599 521259373 /nfs/dbraw/zinc/25/93/73/521259373.db2.gz RIVCZQNZZMXJHY-NSHDSACASA-N 0 0 252.314 2.764 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCC2(C)CC2)c1 ZINC000338440046 521279113 /nfs/dbraw/zinc/27/91/13/521279113.db2.gz AAWGAETXXOLOCT-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@@H](C)C2)c1 ZINC000338454399 521281975 /nfs/dbraw/zinc/28/19/75/521281975.db2.gz KVQRDMBMXUHDJE-MNOVXSKESA-N 0 0 292.335 2.912 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CC2CC2)c1 ZINC000338524256 521282308 /nfs/dbraw/zinc/28/23/08/521282308.db2.gz PXIFNNITFGCIMW-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CCC(C)(C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000048429752 521310952 /nfs/dbraw/zinc/31/09/52/521310952.db2.gz BGLWCOGZVSTPFE-UHFFFAOYSA-N 0 0 279.340 2.702 20 5 CFBDRN CCOC1(CNc2ccc([N+](=O)[O-])nc2)CCCC1 ZINC000311947993 521638041 /nfs/dbraw/zinc/63/80/41/521638041.db2.gz XHCMWFAASXHNMT-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN CCSCCOc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000165880613 521710013 /nfs/dbraw/zinc/71/00/13/521710013.db2.gz NCBOFYGKFYUVRL-UHFFFAOYSA-N 0 0 269.322 2.929 20 5 CFBDRN CCSCC[C@@H](C)Nc1ccc([N+](=O)[O-])nc1 ZINC000157713903 521713900 /nfs/dbraw/zinc/71/39/00/521713900.db2.gz HRGHGJOUQGMFQQ-SECBINFHSA-N 0 0 255.343 2.933 20 5 CFBDRN CCSCn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000338555736 521720045 /nfs/dbraw/zinc/72/00/45/521720045.db2.gz CLXQUWDXZBHTBP-UHFFFAOYSA-N 0 0 251.311 2.964 20 5 CFBDRN Cc1cccc(C(=O)N(C)Cc2nccs2)c1[N+](=O)[O-] ZINC000173843098 534584743 /nfs/dbraw/zinc/58/47/43/534584743.db2.gz YLFUPAMBDVHCTK-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CNC(=O)c1cc(C)ccc1NCc1cccc([N+](=O)[O-])c1 ZINC000172916961 521779260 /nfs/dbraw/zinc/77/92/60/521779260.db2.gz NLLXNZHAAKTRRO-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CCC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000157436130 521781538 /nfs/dbraw/zinc/78/15/38/521781538.db2.gz RPAGJXDPVPGXPB-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000057301255 521809462 /nfs/dbraw/zinc/80/94/62/521809462.db2.gz QAILMHLDOBGFMN-WDEREUQCSA-N 0 0 264.325 2.688 20 5 CFBDRN CNC(=O)c1ccc(COc2ccc([N+](=O)[O-])cc2)cc1 ZINC000066746897 521822058 /nfs/dbraw/zinc/82/20/58/521822058.db2.gz CACWWRCFWOPLNI-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN COCC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000338145696 521854786 /nfs/dbraw/zinc/85/47/86/521854786.db2.gz YKTNUVGCQJZKQY-CYBMUJFWSA-N 0 0 294.351 2.776 20 5 CFBDRN COCC[C@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214026009 521923739 /nfs/dbraw/zinc/92/37/39/521923739.db2.gz YTWWFFJBLKMHIC-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1cnc(OCc2nc3ccccc3n2C)c([N+](=O)[O-])c1 ZINC000176702588 534598784 /nfs/dbraw/zinc/59/87/84/534598784.db2.gz GXMOISFYQHYYRE-UHFFFAOYSA-N 0 0 298.302 2.764 20 5 CFBDRN CC(C)(C)CC[C@@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000481038296 534598720 /nfs/dbraw/zinc/59/87/20/534598720.db2.gz MGWAAKYVQWIDPR-VIFPVBQESA-N 0 0 299.346 2.915 20 5 CFBDRN COCC[C@H]1CCCCN(c2ncccc2[N+](=O)[O-])C1 ZINC000364152629 521985215 /nfs/dbraw/zinc/98/52/15/521985215.db2.gz KHDRLLPKLNHFCK-GFCCVEGCSA-N 0 0 279.340 2.633 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000080692018 522025982 /nfs/dbraw/zinc/02/59/82/522025982.db2.gz GNTSSDJQBBOKFF-BDAKNGLRSA-N 0 0 297.330 2.871 20 5 CFBDRN CCc1cnc(NC(=O)c2cc([N+](=O)[O-])cnc2C)s1 ZINC000173059001 522055784 /nfs/dbraw/zinc/05/57/84/522055784.db2.gz MLMUVZXGGDPCJV-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CC[C@H](CC(F)(F)F)Nc1ccncc1[N+](=O)[O-] ZINC000361012733 522112459 /nfs/dbraw/zinc/11/24/59/522112459.db2.gz HGPYRNLYCBCFCK-SSDOTTSWSA-N 0 0 263.219 2.555 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C)CC2CC2)cc([N+](=O)[O-])c1C ZINC000191652899 522130630 /nfs/dbraw/zinc/13/06/30/522130630.db2.gz AGTRNXOBVVCODY-VIFPVBQESA-N 0 0 292.335 2.830 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)CC2CC2)cc([N+](=O)[O-])c1C ZINC000191652913 522139524 /nfs/dbraw/zinc/13/95/24/522139524.db2.gz AGTRNXOBVVCODY-SECBINFHSA-N 0 0 292.335 2.830 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000162630305 522145756 /nfs/dbraw/zinc/14/57/56/522145756.db2.gz YSVIUYSGAGQYNA-NSHDSACASA-N 0 0 292.335 2.912 20 5 CFBDRN CCc1nc(C)cc(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000339970201 522238394 /nfs/dbraw/zinc/23/83/94/522238394.db2.gz BDVCZDXLBKVOPN-UHFFFAOYSA-N 0 0 286.335 2.892 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000057301257 522354423 /nfs/dbraw/zinc/35/44/23/522354423.db2.gz QAILMHLDOBGFMN-MNOVXSKESA-N 0 0 264.325 2.688 20 5 CFBDRN COC(C)(C)CCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000230402525 522475732 /nfs/dbraw/zinc/47/57/32/522475732.db2.gz KTXHQVVTEDFGIJ-UHFFFAOYSA-N 0 0 281.308 2.991 20 5 CFBDRN CN(CC(C)(C)C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000151724992 522536976 /nfs/dbraw/zinc/53/69/76/522536976.db2.gz MNDWNEQLXXADJN-UHFFFAOYSA-N 0 0 282.315 2.781 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000161482770 522541284 /nfs/dbraw/zinc/54/12/84/522541284.db2.gz BAHPVCSHMPHCKM-ONGXEEELSA-N 0 0 277.324 2.555 20 5 CFBDRN CC[C@@H](CNc1ccc([N+](=O)[O-])c2ncccc12)OC ZINC000336832678 522551935 /nfs/dbraw/zinc/55/19/35/522551935.db2.gz MPWULYSMQGGUII-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CC[C@@H](CNc1cc(C)c([N+](=O)[O-])cc1F)OC ZINC000310905240 522553219 /nfs/dbraw/zinc/55/32/19/522553219.db2.gz BMXIDPYKASGODA-VIFPVBQESA-N 0 0 256.277 2.879 20 5 CFBDRN COc1cc(N2CCC(C)(OC)CC2)ccc1[N+](=O)[O-] ZINC000340904622 522628807 /nfs/dbraw/zinc/62/88/07/522628807.db2.gz UMICEEMZGUPCMN-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cc(N2CC[C@H]3OCCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000152356722 522635931 /nfs/dbraw/zinc/63/59/31/522635931.db2.gz HCZBNHACATYKNY-BXUZGUMPSA-N 0 0 292.335 2.609 20 5 CFBDRN CCc1nn(C)c(N2CCc3cc(F)ccc32)c1[N+](=O)[O-] ZINC000340871128 522666844 /nfs/dbraw/zinc/66/68/44/522666844.db2.gz NZHDKEREMDAZMX-UHFFFAOYSA-N 0 0 290.298 2.724 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CCCCS2)c1[N+](=O)[O-] ZINC000158332774 522669787 /nfs/dbraw/zinc/66/97/87/522669787.db2.gz BSQIWYCPWLIKRG-SECBINFHSA-N 0 0 284.385 2.588 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000230691564 522669841 /nfs/dbraw/zinc/66/98/41/522669841.db2.gz LCKBCRKGWDPAES-UWVGGRQHSA-N 0 0 266.345 2.739 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000065759613 522693711 /nfs/dbraw/zinc/69/37/11/522693711.db2.gz STHFFUMYWLWABV-UHFFFAOYSA-N 0 0 276.214 2.619 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000227536943 522745038 /nfs/dbraw/zinc/74/50/38/522745038.db2.gz ZZJSPNLSPHBIID-SFYZADRCSA-N 0 0 254.261 2.810 20 5 CFBDRN COc1cc(NCC[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000230104785 522745325 /nfs/dbraw/zinc/74/53/25/522745325.db2.gz RZJRJXLLIGNJPC-SECBINFHSA-N 0 0 284.287 2.581 20 5 CFBDRN CCc1nnc(Cn2ccc3c2cccc3[N+](=O)[O-])o1 ZINC000158086414 522757976 /nfs/dbraw/zinc/75/79/76/522757976.db2.gz LRTCMPVQHWMSQA-UHFFFAOYSA-N 0 0 272.264 2.543 20 5 CFBDRN CCc1nnc([C@@H](C)Oc2cc([N+](=O)[O-])ccc2OC)o1 ZINC000360728935 522789894 /nfs/dbraw/zinc/78/98/94/522789894.db2.gz HGMZPIFNEACRQB-MRVPVSSYSA-N 0 0 293.279 2.689 20 5 CFBDRN CC[C@H](O)CCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000135075501 522807456 /nfs/dbraw/zinc/80/74/56/522807456.db2.gz QCWDXTBNIFWQDN-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN COC(=O)C1(N(C)Cc2csc([N+](=O)[O-])c2)CCCC1 ZINC000159412998 522838209 /nfs/dbraw/zinc/83/82/09/522838209.db2.gz APFPLMFBWAKDAL-UHFFFAOYSA-N 0 0 298.364 2.574 20 5 CFBDRN COc1cc([C@@H](C)Nc2ncc(C)cc2[N+](=O)[O-])ccn1 ZINC000340929744 522912392 /nfs/dbraw/zinc/91/23/92/522912392.db2.gz AYEDDSCDPUNDNH-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN CC[C@H](Sc1cccc([N+](=O)[O-])c1)C(=O)OC ZINC000337767267 522918836 /nfs/dbraw/zinc/91/88/36/522918836.db2.gz TXYKKVOARYIBCI-JTQLQIEISA-N 0 0 255.295 2.639 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NC[C@@H]1CC12CC2 ZINC000416080625 534671998 /nfs/dbraw/zinc/67/19/98/534671998.db2.gz WTMJQKGFPWCAPD-JTQLQIEISA-N 0 0 292.360 2.603 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC1(CCO)CCC1 ZINC000132674214 522939087 /nfs/dbraw/zinc/93/90/87/522939087.db2.gz YXBZGMZBATUPPP-UHFFFAOYSA-N 0 0 294.351 2.706 20 5 CFBDRN CCc1nocc1CNCc1cccc([N+](=O)[O-])c1C ZINC000339371185 522948408 /nfs/dbraw/zinc/94/84/08/522948408.db2.gz JQDOHVOGBSUXAN-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN COc1cc([C@H](C)Nc2nccc(C)c2[N+](=O)[O-])ccn1 ZINC000340904865 522950512 /nfs/dbraw/zinc/95/05/12/522950512.db2.gz USNRVELTONHMLO-JTQLQIEISA-N 0 0 288.307 2.875 20 5 CFBDRN CN(CCc1cccs1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000173332517 522971788 /nfs/dbraw/zinc/97/17/88/522971788.db2.gz RLFVCEBLNVTFTJ-UHFFFAOYSA-N 0 0 290.344 2.971 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccc(O)c1 ZINC000164834541 522975314 /nfs/dbraw/zinc/97/53/14/522975314.db2.gz AZGPZQUUYKHXPM-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN COCc1ccc(COc2cccnc2[N+](=O)[O-])cc1 ZINC000338519866 523000409 /nfs/dbraw/zinc/00/04/09/523000409.db2.gz IMCNNIWNHYWBFI-UHFFFAOYSA-N 0 0 274.276 2.715 20 5 CFBDRN COCCCCCNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000058752320 523112103 /nfs/dbraw/zinc/11/21/03/523112103.db2.gz RAPLUACBYPPFDM-MDZDMXLPSA-N 0 0 292.335 2.541 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CC1(C)C ZINC000310843030 523143462 /nfs/dbraw/zinc/14/34/62/523143462.db2.gz MTJNQSNYJJVUGS-VIFPVBQESA-N 0 0 252.318 2.578 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC(C)(C)[C@@H](O)C(C)C ZINC000361073328 523144087 /nfs/dbraw/zinc/14/40/87/523144087.db2.gz JXWYENYAYUZIGW-NSHDSACASA-N 0 0 298.387 2.575 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCc2ccc(F)cc21 ZINC000360954753 523144185 /nfs/dbraw/zinc/14/41/85/523144185.db2.gz TYAPWGTUBGHHEU-UHFFFAOYSA-N 0 0 290.298 2.953 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)CC(F)(F)F ZINC000336815245 523147058 /nfs/dbraw/zinc/14/70/58/523147058.db2.gz ZJOIMQYSQNUZIK-LURJTMIESA-N 0 0 280.250 2.872 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000334083738 523152363 /nfs/dbraw/zinc/15/23/63/523152363.db2.gz OATFCTQZCPSWAD-CMPLNLGQSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H]1CCN1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335237351 523170069 /nfs/dbraw/zinc/17/00/69/523170069.db2.gz BMVAJYCMRAEADY-JTQLQIEISA-N 0 0 267.260 2.750 20 5 CFBDRN COCc1nc(COc2cccc([N+](=O)[O-])c2)cs1 ZINC000360734824 523209287 /nfs/dbraw/zinc/20/92/87/523209287.db2.gz ZUMLTVDPPJXEPY-UHFFFAOYSA-N 0 0 280.305 2.777 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000182569481 523368595 /nfs/dbraw/zinc/36/85/95/523368595.db2.gz AFHHYMKDGBCVHW-GWCFXTLKSA-N 0 0 278.308 2.739 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000336042278 523373291 /nfs/dbraw/zinc/37/32/91/523373291.db2.gz RYAAVTOMWVFMAE-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cnccc1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000187164673 534704747 /nfs/dbraw/zinc/70/47/47/534704747.db2.gz POHGGJMGLGHOLW-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC(C)(C)CC ZINC000189997254 523668555 /nfs/dbraw/zinc/66/85/55/523668555.db2.gz SEGKMGHDZVMOKF-UHFFFAOYSA-N 0 0 254.334 2.968 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC1CC(C(F)(F)F)C1 ZINC000340925605 523669048 /nfs/dbraw/zinc/66/90/48/523669048.db2.gz DLOXMPJCHRISGW-UHFFFAOYSA-N 0 0 292.261 2.872 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000231684254 523704249 /nfs/dbraw/zinc/70/42/49/523704249.db2.gz PONYTXHVTQWLIP-RKDXNWHRSA-N 0 0 271.704 2.623 20 5 CFBDRN CC[C@@]1(C)CCCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000181137383 523707632 /nfs/dbraw/zinc/70/76/32/523707632.db2.gz AKHVJFAEDHKQMV-HNNXBMFYSA-N 0 0 291.351 2.829 20 5 CFBDRN CC[C@H](C)C(=O)COc1ccc(C)cc1[N+](=O)[O-] ZINC000133107346 523822549 /nfs/dbraw/zinc/82/25/49/523822549.db2.gz CZIUTMYQQHJWLY-JTQLQIEISA-N 0 0 251.282 2.897 20 5 CFBDRN CN(C(=O)[C@@]12C[C@@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000335075657 523905440 /nfs/dbraw/zinc/90/54/40/523905440.db2.gz TWJQUFHZMLDUMP-HZMBPMFUSA-N 0 0 260.293 2.748 20 5 CFBDRN COCCNc1cc(C)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000338629187 523962400 /nfs/dbraw/zinc/96/24/00/523962400.db2.gz XSNHVESIVSDETL-UHFFFAOYSA-N 0 0 278.230 2.980 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2cccc(F)c2[N+](=O)[O-])C1 ZINC000231683548 524001313 /nfs/dbraw/zinc/00/13/13/524001313.db2.gz PYKQYTIZLYRQRF-BDAKNGLRSA-N 0 0 254.261 2.713 20 5 CFBDRN CN(C)C(=O)c1ccccc1NCc1ccc([N+](=O)[O-])cc1 ZINC000078997349 524031224 /nfs/dbraw/zinc/03/12/24/524031224.db2.gz LXHBGRYJHOCAGC-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@]12CCOC2 ZINC000362345523 524057302 /nfs/dbraw/zinc/05/73/02/524057302.db2.gz NRCCVZQBYVDJRS-DOMZBBRYSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000157262786 524155514 /nfs/dbraw/zinc/15/55/14/524155514.db2.gz UPNUKIDSHNTLIT-SKDRFNHKSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000339509478 524160265 /nfs/dbraw/zinc/16/02/65/524160265.db2.gz UCDRFCQCXNUHDI-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN Cc1cc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c(C)n1C ZINC000336064670 524160592 /nfs/dbraw/zinc/16/05/92/524160592.db2.gz VLFHKLNACZYIRA-UHFFFAOYSA-N 0 0 291.282 2.942 20 5 CFBDRN Cc1cc(C(=O)Nc2ccccn2)cc([N+](=O)[O-])c1 ZINC000049168210 524181932 /nfs/dbraw/zinc/18/19/32/524181932.db2.gz KASQRPILPUCXQR-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000074510286 524200520 /nfs/dbraw/zinc/20/05/20/524200520.db2.gz ZLNKJXBIOMBTNY-UWVGGRQHSA-N 0 0 266.297 2.839 20 5 CFBDRN COc1cccc2c1CC[C@@H]2Nc1ccncc1[N+](=O)[O-] ZINC000361043060 524217883 /nfs/dbraw/zinc/21/78/83/524217883.db2.gz NAQWTNNPYGBEET-LBPRGKRZSA-N 0 0 285.303 2.520 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000340341641 524316226 /nfs/dbraw/zinc/31/62/26/524316226.db2.gz DUZJOBOTYCOYAE-VIFPVBQESA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ccc(O)cc2)n1 ZINC000359082758 524335001 /nfs/dbraw/zinc/33/50/01/524335001.db2.gz MJAADAYIKCIEPN-UHFFFAOYSA-N 0 0 273.292 2.924 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCC2CCOCC2)n1 ZINC000338561637 524338837 /nfs/dbraw/zinc/33/88/37/524338837.db2.gz CODMYJMMHNDQBO-UHFFFAOYSA-N 0 0 280.324 2.802 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H]1CC12CC2 ZINC000335105725 524340347 /nfs/dbraw/zinc/34/03/47/524340347.db2.gz SWQXEENAGGTIGH-LBPRGKRZSA-N 0 0 275.308 2.886 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CC12CC2 ZINC000334995085 524340733 /nfs/dbraw/zinc/34/07/33/524340733.db2.gz OGVDVRLQTZVJHM-SNVBAGLBSA-N 0 0 260.293 2.950 20 5 CFBDRN Cc1cccc(CCNC(=O)Cc2cccc([N+](=O)[O-])c2)c1 ZINC000172923149 524354683 /nfs/dbraw/zinc/35/46/83/524354683.db2.gz NTLMFGIKKQVKJP-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000340659858 524373686 /nfs/dbraw/zinc/37/36/86/524373686.db2.gz GQGMVMFXGJMUQX-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN C[C@H]1CC[C@H](CNc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000360101901 524406106 /nfs/dbraw/zinc/40/61/06/524406106.db2.gz NPMRDWUZTHULRH-QWRGUYRKSA-N 0 0 286.335 2.808 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000362021273 524411142 /nfs/dbraw/zinc/41/11/42/524411142.db2.gz REPFWOCXLGJUIP-LURJTMIESA-N 0 0 294.204 2.805 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000336121456 524412467 /nfs/dbraw/zinc/41/24/67/524412467.db2.gz DXJQQGLGBKTHSL-GWCFXTLKSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000334084731 524418131 /nfs/dbraw/zinc/41/81/31/524418131.db2.gz XIEFBPPSHYJJMA-AAEUAGOBSA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334912359 524468509 /nfs/dbraw/zinc/46/85/09/524468509.db2.gz FDHVRGXGJQPOAY-MRVPVSSYSA-N 0 0 267.260 2.608 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])n2C)c1C ZINC000335973686 524517260 /nfs/dbraw/zinc/51/72/60/524517260.db2.gz VTMMKJDEFGHDNL-UHFFFAOYSA-N 0 0 287.319 2.827 20 5 CFBDRN C[C@H](CO)CCCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000228304515 524524597 /nfs/dbraw/zinc/52/45/97/524524597.db2.gz ZUSOSHVMDLNMQN-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1ccc(CNC(=O)Nc2ccncc2[N+](=O)[O-])cc1 ZINC000124633301 524537292 /nfs/dbraw/zinc/53/72/92/524537292.db2.gz VCEUTORVARARBI-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000360405167 524571256 /nfs/dbraw/zinc/57/12/56/524571256.db2.gz GGRKDYHHIYXHIN-VIFPVBQESA-N 0 0 293.323 2.914 20 5 CFBDRN COc1ccccc1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151351445 524604585 /nfs/dbraw/zinc/60/45/85/524604585.db2.gz PAOCFKCGNFXUIV-UHFFFAOYSA-N 0 0 286.287 2.785 20 5 CFBDRN COc1ccc(NC(=O)c2[nH]c(C)cc2C)cc1[N+](=O)[O-] ZINC000360741622 524621101 /nfs/dbraw/zinc/62/11/01/524621101.db2.gz XKWXGBOCWHPFIR-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN C[C@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])CCCO1 ZINC000171047158 524671884 /nfs/dbraw/zinc/67/18/84/524671884.db2.gz UMJMIXUTPBWSIH-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cccc(NCCc2ccncc2)c1[N+](=O)[O-] ZINC000218868983 524677161 /nfs/dbraw/zinc/67/71/61/524677161.db2.gz TYXJJBIAVNOHJC-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1cccc(NCCNc2nccc(C)c2[N+](=O)[O-])n1 ZINC000360280411 524677655 /nfs/dbraw/zinc/67/76/55/524677655.db2.gz VRHWQEFAVGQVGV-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1cccc(NCc2nnc(C3CC3)n2C)c1[N+](=O)[O-] ZINC000338688382 524686614 /nfs/dbraw/zinc/68/66/14/524686614.db2.gz JFXHAPOUMHMLQO-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1cccc(NCc2ccnc3ccnn32)c1[N+](=O)[O-] ZINC000338694574 524687830 /nfs/dbraw/zinc/68/78/30/524687830.db2.gz NCBGWSAHGKYYAN-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)C[C@H]1C ZINC000246091811 524699749 /nfs/dbraw/zinc/69/97/49/524699749.db2.gz JSJQAJMMWOHQOB-MXWKQRLJSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000336809017 524712059 /nfs/dbraw/zinc/71/20/59/524712059.db2.gz DNNZIIMIJZFTHU-BXKDBHETSA-N 0 0 257.293 2.775 20 5 CFBDRN Cc1cccc(Oc2cc(Cl)nc(N)n2)c1[N+](=O)[O-] ZINC000156650489 524786530 /nfs/dbraw/zinc/78/65/30/524786530.db2.gz GQPWUOXVAZPHTE-UHFFFAOYSA-N 0 0 280.671 2.721 20 5 CFBDRN Cc1cc(CNc2cccc(C)c2[N+](=O)[O-])nc(C)n1 ZINC000338721333 524790172 /nfs/dbraw/zinc/79/01/72/524790172.db2.gz QVTXKWPMZOJTPK-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1ccc(F)c(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000053004210 524794037 /nfs/dbraw/zinc/79/40/37/524794037.db2.gz SYPSNROLLVQVCT-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000361769934 524840581 /nfs/dbraw/zinc/84/05/81/524840581.db2.gz KUVSBOKIZOXARQ-CMPLNLGQSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1cccc([C@@H]2CCCN2C(=O)[C@@H]2C[C@@H]2[N+](=O)[O-])c1C ZINC000361364269 524848962 /nfs/dbraw/zinc/84/89/62/524848962.db2.gz BKAHEDBZQGBSHX-ILXRZTDVSA-N 0 0 288.347 2.632 20 5 CFBDRN COc1ccnc(SCc2ccc([N+](=O)[O-])cc2)n1 ZINC000159435237 524854444 /nfs/dbraw/zinc/85/44/44/524854444.db2.gz SOVGLGAZDRZNOD-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000428108192 534816489 /nfs/dbraw/zinc/81/64/89/534816489.db2.gz SVWSQILJEGDYDZ-YABSGUDNSA-N 0 0 285.303 2.604 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000428090112 534816617 /nfs/dbraw/zinc/81/66/17/534816617.db2.gz KOIFXFPLTWRWNQ-MSRIBSCDSA-N 0 0 285.303 2.604 20 5 CFBDRN COc1cncc(CSc2ccc([N+](=O)[O-])cn2)c1 ZINC000361007420 524922169 /nfs/dbraw/zinc/92/21/69/524922169.db2.gz QKADNKWEGRGMFB-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN Cc1cc(Cn2ncc3cc([N+](=O)[O-])cc(Cl)c32)on1 ZINC000340852897 524922884 /nfs/dbraw/zinc/92/28/84/524922884.db2.gz HHGASYNIAIZMON-UHFFFAOYSA-N 0 0 292.682 2.943 20 5 CFBDRN COc1ccc(OCCC2(OC)CCC2)c([N+](=O)[O-])c1 ZINC000338526096 524940283 /nfs/dbraw/zinc/94/02/83/524940283.db2.gz ZUUROMPHMWMSDI-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@](C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000334814265 525041975 /nfs/dbraw/zinc/04/19/75/525041975.db2.gz ZPWQFXRQYOMKRT-HZMBPMFUSA-N 0 0 295.314 2.799 20 5 CFBDRN COc1ncccc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000193296161 525046485 /nfs/dbraw/zinc/04/64/85/525046485.db2.gz XDQKCAGCUUDQPM-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN C[C@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000150069557 525050959 /nfs/dbraw/zinc/05/09/59/525050959.db2.gz MSMLVZKWIGMTKB-MWLCHTKSSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1ccccc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000046146867 525057553 /nfs/dbraw/zinc/05/75/53/525057553.db2.gz RCUFEPLOHYLIOR-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@](C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000334814268 525180159 /nfs/dbraw/zinc/18/01/59/525180159.db2.gz ZPWQFXRQYOMKRT-YGRLFVJLSA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2OC[C@H]3CCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000366052066 525222402 /nfs/dbraw/zinc/22/24/02/525222402.db2.gz YUWKXTKSSSZUNU-MPKXVKKWSA-N 0 0 290.319 2.657 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2F)CS1 ZINC000335270999 525258435 /nfs/dbraw/zinc/25/84/35/525258435.db2.gz XGYZHPZTXUGURA-HTQZYQBOSA-N 0 0 299.327 2.749 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000339263636 525261633 /nfs/dbraw/zinc/26/16/33/525261633.db2.gz HUDYXPRNAXXISJ-NWDGAFQWSA-N 0 0 299.330 2.971 20 5 CFBDRN C[C@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000369214852 525270970 /nfs/dbraw/zinc/27/09/70/525270970.db2.gz MGPARZKQHCXYPM-HUUCEWRRSA-N 0 0 291.351 2.794 20 5 CFBDRN Cc1ccc(NC[C@]2(O)CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000328307381 525281674 /nfs/dbraw/zinc/28/16/74/525281674.db2.gz WAHZQGSPCMONBV-BXUZGUMPSA-N 0 0 264.325 2.866 20 5 CFBDRN O=C1[C@H](Nc2ccccc2)CCN1c1cccc([N+](=O)[O-])c1 ZINC000177117230 534845179 /nfs/dbraw/zinc/84/51/79/534845179.db2.gz LAEBMWROTARDLX-OAHLLOKOSA-N 0 0 297.314 2.812 20 5 CFBDRN Cc1cc(N[C@H](C)c2ccccn2)ncc1[N+](=O)[O-] ZINC000073000263 525300633 /nfs/dbraw/zinc/30/06/33/525300633.db2.gz MHKQMUCUZGEDOE-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1ccccc1OCCNc1ccc([N+](=O)[O-])cn1 ZINC000049322374 525302193 /nfs/dbraw/zinc/30/21/93/525302193.db2.gz IBFLGRCBEGWTHT-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN Cc1ccc(N[C@H]2CCO[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000230308910 525320498 /nfs/dbraw/zinc/32/04/98/525320498.db2.gz BSJYRUCLCFVCIK-GXTWGEPZSA-N 0 0 262.309 2.883 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000336445623 525392296 /nfs/dbraw/zinc/39/22/96/525392296.db2.gz HZTYSRKSDOBKKE-ZJUUUORDSA-N 0 0 287.319 2.947 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C)[C@H]1C ZINC000172662800 525454652 /nfs/dbraw/zinc/45/46/52/525454652.db2.gz ZCJJTFSTWBGXJJ-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCC1CCOCC1 ZINC000338518382 525471953 /nfs/dbraw/zinc/47/19/53/525471953.db2.gz WOBBINWBWAWEOG-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000049228454 525488637 /nfs/dbraw/zinc/48/86/37/525488637.db2.gz VEMDRFZWXSHUSB-SFYZADRCSA-N 0 0 251.242 2.571 20 5 CFBDRN CSCC[C@@H](CO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000154574075 525539210 /nfs/dbraw/zinc/53/92/10/525539210.db2.gz WDKUXLDLMQYULN-VIFPVBQESA-N 0 0 290.772 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OCC1(O)CCC1 ZINC000339326322 525555097 /nfs/dbraw/zinc/55/50/97/525555097.db2.gz FRFBNNXJIKBWSQ-UHFFFAOYSA-N 0 0 251.282 2.505 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@H]1CC1(C)C ZINC000336092900 525558974 /nfs/dbraw/zinc/55/89/74/525558974.db2.gz ODLTURHLPDLNOX-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+]C1(C(=O)[O-])CCCCC1 ZINC000340686167 525567252 /nfs/dbraw/zinc/56/72/52/525567252.db2.gz YEYFNPVJIWIPCI-UHFFFAOYSA-N 0 0 292.335 2.780 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCO[C@@H](C)C1 ZINC000070352890 525571053 /nfs/dbraw/zinc/57/10/53/525571053.db2.gz RVICBTIZXLVNOW-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000150070163 525575491 /nfs/dbraw/zinc/57/54/91/525575491.db2.gz MSMLVZKWIGMTKB-ONGXEEELSA-N 0 0 273.292 2.732 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)Nc1ccc([N+](=O)[O-])cc1F ZINC000230098236 525581660 /nfs/dbraw/zinc/58/16/60/525581660.db2.gz AHLJGYCGJWUZHK-QPUJVOFHSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1ccn(CC(=O)CCC2CCCC2)c(=O)c1[N+](=O)[O-] ZINC000160454530 525591853 /nfs/dbraw/zinc/59/18/53/525591853.db2.gz CQRUNPDHHUAWHF-UHFFFAOYSA-N 0 0 292.335 2.604 20 5 CFBDRN Cc1ccn(Cc2cc(Cl)ccc2F)c(=O)c1[N+](=O)[O-] ZINC000336046983 525605364 /nfs/dbraw/zinc/60/53/64/525605364.db2.gz JIJWAUCUNZISRE-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000447284840 534870533 /nfs/dbraw/zinc/87/05/33/534870533.db2.gz LMKYKOWACWXTAR-ZYHUDNBSSA-N 0 0 293.319 2.991 20 5 CFBDRN Cc1c(C[N@H+]2CCC[C@H](C)[C@@H]2C(=O)[O-])cccc1[N+](=O)[O-] ZINC000235670351 525705598 /nfs/dbraw/zinc/70/55/98/525705598.db2.gz GOHLAPKOACCMDB-IINYFYTJSA-N 0 0 292.335 2.588 20 5 CFBDRN C[C@H](O)CCCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000227679369 525716486 /nfs/dbraw/zinc/71/64/86/525716486.db2.gz LYYIGEHFQICEIR-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000052340902 525730697 /nfs/dbraw/zinc/73/06/97/525730697.db2.gz OBWDRLDCEDJQAT-SECBINFHSA-N 0 0 250.302 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC(F)F)c2cccnc21 ZINC000413261149 534882613 /nfs/dbraw/zinc/88/26/13/534882613.db2.gz IPYPUKGSSFCHEV-QMMMGPOBSA-N 0 0 297.261 2.571 20 5 CFBDRN CC(=O)c1ccc(S[C@H](C)[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000230921771 534879445 /nfs/dbraw/zinc/87/94/45/534879445.db2.gz IZRZRWNPQBFXSP-VXNVDRBHSA-N 0 0 269.322 2.659 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000049558423 525754122 /nfs/dbraw/zinc/75/41/22/525754122.db2.gz YTWICLVLDGBPBG-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN C[C@@H](O)CCCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000227679365 525759104 /nfs/dbraw/zinc/75/91/04/525759104.db2.gz LYYIGEHFQICEIR-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1ccc(-c2cccnc2)cc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000366550622 525759876 /nfs/dbraw/zinc/75/98/76/525759876.db2.gz GMGZYQKGWLVHHE-UKRRQHHQSA-N 0 0 297.314 2.661 20 5 CFBDRN Cc1ccoc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049217370 525786838 /nfs/dbraw/zinc/78/68/38/525786838.db2.gz WOTRPRMSJCNPST-JTQLQIEISA-N 0 0 274.276 2.987 20 5 CFBDRN C[C@@H](O)CNc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000309418924 525806495 /nfs/dbraw/zinc/80/64/95/525806495.db2.gz JRQSOCPYZVTHKZ-RXMQYKEDSA-N 0 0 265.096 2.694 20 5 CFBDRN Cc1c(F)cccc1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000050706726 525812415 /nfs/dbraw/zinc/81/24/15/525812415.db2.gz YEEGKKYVOOFIAL-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000167358890 525835950 /nfs/dbraw/zinc/83/59/50/525835950.db2.gz RTKYHQUWCPTVBR-VHSXEESVSA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000340785723 525836352 /nfs/dbraw/zinc/83/63/52/525836352.db2.gz VKJJALHPHQFOPF-JGVFFNPUSA-N 0 0 290.266 2.768 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])C1 ZINC000078670269 525841534 /nfs/dbraw/zinc/84/15/34/525841534.db2.gz RNKWVMDJQGODLW-NXEZZACHSA-N 0 0 267.354 2.994 20 5 CFBDRN CS[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182440423 525857810 /nfs/dbraw/zinc/85/78/10/525857810.db2.gz URZWRAHCYPTYOL-MRVPVSSYSA-N 0 0 254.311 2.593 20 5 CFBDRN C[C@@]1(NC(=O)c2csc([N+](=O)[O-])c2)CC=CCC1 ZINC000335146624 525874110 /nfs/dbraw/zinc/87/41/10/525874110.db2.gz PUQLVRZJPBEPCJ-GFCCVEGCSA-N 0 0 266.322 2.885 20 5 CFBDRN Cc1c(OCc2cn3cccnc3n2)cccc1[N+](=O)[O-] ZINC000340667999 525880650 /nfs/dbraw/zinc/88/06/50/525880650.db2.gz CYMJQGSLFYUMKA-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN CS[C@H](CNc1ccncc1[N+](=O)[O-])C(C)(C)C ZINC000361045631 525887056 /nfs/dbraw/zinc/88/70/56/525887056.db2.gz YEXFCABKLKFLQJ-LLVKDONJSA-N 0 0 269.370 2.601 20 5 CFBDRN Cc1cn2c(n1)CN([C@H](C)c1cccc([N+](=O)[O-])c1)CC2 ZINC000363701158 525933149 /nfs/dbraw/zinc/93/31/49/525933149.db2.gz OIVPCKMGKVQEBX-GFCCVEGCSA-N 0 0 286.335 2.677 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2CCC[C@H]2F)cc1[N+](=O)[O-] ZINC000340779700 525967826 /nfs/dbraw/zinc/96/78/26/525967826.db2.gz OUPNJUPZFMQBOB-NXEZZACHSA-N 0 0 298.339 2.937 20 5 CFBDRN COc1cccc(CNCc2csc([N+](=O)[O-])c2)c1 ZINC000037680670 526025869 /nfs/dbraw/zinc/02/58/69/526025869.db2.gz CVYPDPWZGAMEEK-UHFFFAOYSA-N 0 0 278.333 2.955 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H]2F)c1 ZINC000336333364 526055506 /nfs/dbraw/zinc/05/55/06/526055506.db2.gz NCHQPUSYUZTXLX-MNOVXSKESA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CC[C@@H]2CCCO2)c1 ZINC000341477478 526057725 /nfs/dbraw/zinc/05/77/25/526057725.db2.gz FTHWOLOMOILNDP-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2cnccc2C)n1 ZINC000360100138 526058497 /nfs/dbraw/zinc/05/84/97/526058497.db2.gz CENRZLVWSCBAMK-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@]2(C)CCO[C@H]2C)c1 ZINC000230099976 526063980 /nfs/dbraw/zinc/06/39/80/526063980.db2.gz BGEUCSVNFGWVDV-GXFFZTMASA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cnc(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)nc1 ZINC000361004415 526065579 /nfs/dbraw/zinc/06/55/79/526065579.db2.gz XYYJIFFXXSBNCK-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN Cc1cnc(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)o1 ZINC000151010712 526065668 /nfs/dbraw/zinc/06/56/68/526065668.db2.gz XTFBCTSZLUUCGF-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCc1ccccn1 ZINC000067264131 526068863 /nfs/dbraw/zinc/06/88/63/526068863.db2.gz MKBJLLCOCPJDTR-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCn2cccc2[C@H]1C ZINC000067160098 526072482 /nfs/dbraw/zinc/07/24/82/526072482.db2.gz ZQDURHNMGWAPGS-GFCCVEGCSA-N 0 0 299.330 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@@H](F)C1 ZINC000336372438 526074718 /nfs/dbraw/zinc/07/47/18/526074718.db2.gz GIKVSZSGSUCXHG-ZJUUUORDSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccncc1 ZINC000049376264 526076323 /nfs/dbraw/zinc/07/63/23/526076323.db2.gz ZSHGDFHJKJRJBS-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1CC(F)(F)C1 ZINC000336168042 526078803 /nfs/dbraw/zinc/07/88/03/526078803.db2.gz HVRKCULPSDWSDU-UHFFFAOYSA-N 0 0 270.235 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)C[C@H]1F ZINC000335609062 526082852 /nfs/dbraw/zinc/08/28/52/526082852.db2.gz GMRQOMJDOMCXAP-PWSUYJOCSA-N 0 0 252.245 2.590 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1cccc(C(N)=O)c1 ZINC000071204971 526083772 /nfs/dbraw/zinc/08/37/72/526083772.db2.gz VKOBSQVEONPKDA-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2CCC[C@H]2F)c1[N+](=O)[O-] ZINC000340856201 526090802 /nfs/dbraw/zinc/09/08/02/526090802.db2.gz UVXNDCZTVHQNIM-ZJUUUORDSA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1cnc(OCCOc2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000178284629 526100103 /nfs/dbraw/zinc/10/01/03/526100103.db2.gz GOZKKQONFGDJBE-UHFFFAOYSA-N 0 0 292.266 2.895 20 5 CFBDRN Cc1ccc(C(=O)N2CCC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000370768794 526106899 /nfs/dbraw/zinc/10/68/99/526106899.db2.gz WGVASJHWIBCLCN-ZDUSSCGKSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CC2(C)C)c2cc([N+](=O)[O-])ccc2n1 ZINC000360733804 526108327 /nfs/dbraw/zinc/10/83/27/526108327.db2.gz WHSQPWYXQRSVFX-LBPRGKRZSA-N 0 0 299.330 2.858 20 5 CFBDRN C[C@H](c1cccnc1)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000068172201 526131557 /nfs/dbraw/zinc/13/15/57/526131557.db2.gz MEGXIGSIESLCKS-LLVKDONJSA-N 0 0 285.303 2.823 20 5 CFBDRN Cc1cnc([C@@H](Nc2c([N+](=O)[O-])ncn2C)C2CC2)s1 ZINC000360237995 526133553 /nfs/dbraw/zinc/13/35/53/526133553.db2.gz LJCMZTKJJFHUQL-VIFPVBQESA-N 0 0 293.352 2.656 20 5 CFBDRN C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000361432839 526160338 /nfs/dbraw/zinc/16/03/38/526160338.db2.gz VTJDIGKMMCZENZ-ZCFIWIBFSA-N 0 0 262.187 2.732 20 5 CFBDRN Cc1cncc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000173018349 526184919 /nfs/dbraw/zinc/18/49/19/526184919.db2.gz IAGZIIWCWMSMQL-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN C[C@@H]1CC(CNC(=O)c2cccc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000281422808 526227966 /nfs/dbraw/zinc/22/79/66/526227966.db2.gz XVJRSUIUHQKWER-GHMZBOCLSA-N 0 0 292.335 2.528 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335750171 526244547 /nfs/dbraw/zinc/24/45/47/526244547.db2.gz PLCNLCQZEBGULH-VIFPVBQESA-N 0 0 266.272 2.749 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050706138 526253961 /nfs/dbraw/zinc/25/39/61/526253961.db2.gz IKCLEGJDPZHKCU-WDEREUQCSA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1nn(CCCc2cccc(F)c2)cc1[N+](=O)[O-] ZINC000181836079 526280634 /nfs/dbraw/zinc/28/06/34/526280634.db2.gz KMGGUKRKCABBRJ-UHFFFAOYSA-N 0 0 263.272 2.872 20 5 CFBDRN Cc1noc(C)c1CN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088605234 526505315 /nfs/dbraw/zinc/50/53/15/526505315.db2.gz SVQSQPDTPFQQCU-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1noc(C)c1CNc1cccc(F)c1[N+](=O)[O-] ZINC000063255290 526517900 /nfs/dbraw/zinc/51/79/00/526517900.db2.gz QEJSYIAYAGMSQC-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN O=C(CCc1ccc(O)cc1)Nc1cccc([N+](=O)[O-])c1 ZINC000183525133 526593648 /nfs/dbraw/zinc/59/36/48/526593648.db2.gz RQIMXZSQDLXNME-UHFFFAOYSA-N 0 0 286.287 2.872 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC[C@H]1CCCS1 ZINC000131998100 526605203 /nfs/dbraw/zinc/60/52/03/526605203.db2.gz AFRPJKBOFBJTHA-CYBMUJFWSA-N 0 0 294.376 2.539 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC2(CCCC2)CC1 ZINC000336394453 526628849 /nfs/dbraw/zinc/62/88/49/526628849.db2.gz HYNYCIDFTOVJBX-UHFFFAOYSA-N 0 0 277.324 2.719 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CC2(C1)CCCC2 ZINC000336115339 526694820 /nfs/dbraw/zinc/69/48/20/526694820.db2.gz JLEOYDGTBILSGP-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN Cc1nc(C)c(C(=O)NCc2ccc([N+](=O)[O-])cc2)s1 ZINC000055797124 526699275 /nfs/dbraw/zinc/69/92/75/526699275.db2.gz OEJNZWVYWJXPGZ-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCCC[C@H]1C(F)F ZINC000336154286 526715405 /nfs/dbraw/zinc/71/54/05/526715405.db2.gz RHGHQTJAQSEIRM-NSHDSACASA-N 0 0 284.262 2.855 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC(C2CCCC2)C1 ZINC000336616604 526718213 /nfs/dbraw/zinc/71/82/13/526718213.db2.gz NQZFRVYXECLDJA-UHFFFAOYSA-N 0 0 280.349 2.919 20 5 CFBDRN Cc1occc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360517483 526809830 /nfs/dbraw/zinc/80/98/30/526809830.db2.gz YDEHVWGFEYEOJH-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN Cc1occc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000048704397 526811758 /nfs/dbraw/zinc/81/17/58/526811758.db2.gz BUVKGZGBBVQIFP-SECBINFHSA-N 0 0 274.276 2.987 20 5 CFBDRN O=C(N[C@@H](c1cccnc1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000340395660 526872446 /nfs/dbraw/zinc/87/24/46/526872446.db2.gz PFQFMPSWFAUCDX-OAHLLOKOSA-N 0 0 297.314 2.871 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N1CCC[C@H]1C1CCCCC1 ZINC000136894488 526914139 /nfs/dbraw/zinc/91/41/39/526914139.db2.gz VFUMFYUGWQOQLB-NSHDSACASA-N 0 0 292.339 2.503 20 5 CFBDRN O=C(N[C@@H]1CCc2c1cccc2O)c1ccc([N+](=O)[O-])cc1 ZINC000076688380 526955812 /nfs/dbraw/zinc/95/58/12/526955812.db2.gz LDDIEWXTOWHGKP-CQSZACIVSA-N 0 0 298.298 2.718 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000335903075 527041128 /nfs/dbraw/zinc/04/11/28/527041128.db2.gz CRKGLSVFFIXAAD-ZDUSSCGKSA-N 0 0 299.330 2.911 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cn1)C1=CCCC1 ZINC000309682388 527184647 /nfs/dbraw/zinc/18/46/47/527184647.db2.gz BAAVCGANZHRCMI-UHFFFAOYSA-N 0 0 262.313 2.933 20 5 CFBDRN Cc1ncc(C(=O)NCc2cccc([N+](=O)[O-])c2C)s1 ZINC000360516513 527236939 /nfs/dbraw/zinc/23/69/39/527236939.db2.gz VBBURBFWJJAKFC-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@H]1CC=CCC1 ZINC000049275196 527245736 /nfs/dbraw/zinc/24/57/36/527245736.db2.gz QXAZNCDHDPDZCF-ZDUSSCGKSA-N 0 0 274.320 2.610 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC[C@@H](C2CC2)C1 ZINC000334194906 527260822 /nfs/dbraw/zinc/26/08/22/527260822.db2.gz JYJKLLAYXGROCY-LLVKDONJSA-N 0 0 275.308 2.859 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCC(C)(C)C1CC1 ZINC000360782096 527312904 /nfs/dbraw/zinc/31/29/04/527312904.db2.gz RSBTTWBOONWUBK-UHFFFAOYSA-N 0 0 291.351 2.854 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1cccc2ocnc21 ZINC000341590749 527314375 /nfs/dbraw/zinc/31/43/75/527314375.db2.gz WHRWRLJCOGBUEX-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1cccc(Cl)c1 ZINC000060801651 527315994 /nfs/dbraw/zinc/31/59/94/527315994.db2.gz UXXFJXGOWFRQHG-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1C[C@H]1C1CCCCC1 ZINC000340925996 527325374 /nfs/dbraw/zinc/32/53/74/527325374.db2.gz OOEDMDOBRBNOEA-AAEUAGOBSA-N 0 0 261.325 2.792 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC=Cc2ccccc21 ZINC000336377658 527327315 /nfs/dbraw/zinc/32/73/15/527327315.db2.gz KMEZMKPYGUDNTL-UHFFFAOYSA-N 0 0 297.314 2.997 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1OCc1ccon1 ZINC000151156737 527327375 /nfs/dbraw/zinc/32/73/75/527327375.db2.gz JZFABDBZSYMZRU-UHFFFAOYSA-N 0 0 299.080 2.924 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCCO[C@@H]2CCC[C@H]21 ZINC000364868989 527333683 /nfs/dbraw/zinc/33/36/83/527333683.db2.gz IYZPRJQJIDMCJL-ZYHUDNBSSA-N 0 0 297.742 2.791 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@@H]1CCO ZINC000310626463 527334013 /nfs/dbraw/zinc/33/40/13/527334013.db2.gz KHBDBWPNUFTSKZ-SNVBAGLBSA-N 0 0 270.716 2.599 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCC1(O)CCC1 ZINC000339335281 527334799 /nfs/dbraw/zinc/33/47/99/527334799.db2.gz IPHRJBJEGDBLCS-UHFFFAOYSA-N 0 0 257.673 2.542 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1Nc1cnn(C(F)F)c1 ZINC000361668823 527336011 /nfs/dbraw/zinc/33/60/11/527336011.db2.gz BADUTODHBAVRMO-UHFFFAOYSA-N 0 0 289.629 2.978 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCO[C@H]1C1CC1 ZINC000230308164 527337694 /nfs/dbraw/zinc/33/76/94/527337694.db2.gz CQOCLVHMYWBAJW-AAEUAGOBSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(CCCF)c2c(Cl)c1 ZINC000340852855 527341502 /nfs/dbraw/zinc/34/15/02/527341502.db2.gz HAVIYIAFPZITOH-UHFFFAOYSA-N 0 0 257.652 2.958 20 5 CFBDRN Cc1ncccc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360541430 527356038 /nfs/dbraw/zinc/35/60/38/527356038.db2.gz UURWVAHMJNLBMU-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CCO)CC2)c(Cl)c1 ZINC000157245950 527364129 /nfs/dbraw/zinc/36/41/29/527364129.db2.gz DFYUJJGCYXAQFS-UHFFFAOYSA-N 0 0 270.716 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CCO)CC2)c2ncccc12 ZINC000157234133 527364533 /nfs/dbraw/zinc/36/45/33/527364533.db2.gz GPIYJZAJIMJLPT-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccsc2)c(F)c1 ZINC000218905814 527365246 /nfs/dbraw/zinc/36/52/46/527365246.db2.gz IOSGNSLQFNYBRF-LBPRGKRZSA-N 0 0 282.296 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCCS2)nc1 ZINC000158355172 527365569 /nfs/dbraw/zinc/36/55/69/527365569.db2.gz BFDXHSHRGDLPAW-SNVBAGLBSA-N 0 0 253.327 2.687 20 5 CFBDRN Cc1ncccc1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000073348939 527365676 /nfs/dbraw/zinc/36/56/76/527365676.db2.gz IBMPFTMWZFNXCB-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCOC2)c2ccncc21 ZINC000230103732 527365859 /nfs/dbraw/zinc/36/58/59/527365859.db2.gz HJCDFCIMQOPRCD-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ccccc2F)cn1 ZINC000339951186 527365937 /nfs/dbraw/zinc/36/59/37/527365937.db2.gz LRWMVGKZDRQKJG-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC2CCOCC2)cc1 ZINC000338514413 527371243 /nfs/dbraw/zinc/37/12/43/527371243.db2.gz IXOYYQOPZDIQME-UHFFFAOYSA-N 0 0 251.282 2.790 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])cn1)c1cccc(C(F)(F)F)c1 ZINC000074061485 527372972 /nfs/dbraw/zinc/37/29/72/527372972.db2.gz HGMAUWDGMHPMNS-UHFFFAOYSA-N 0 0 299.208 2.693 20 5 CFBDRN Cn1ccc2ccc(Nc3ccncc3[N+](=O)[O-])cc21 ZINC000340938577 527374347 /nfs/dbraw/zinc/37/43/47/527374347.db2.gz YWKVQXFLPMDBCG-UHFFFAOYSA-N 0 0 268.276 2.647 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000276838544 527377380 /nfs/dbraw/zinc/37/73/80/527377380.db2.gz BXVZJDSTZGUGIO-VIFPVBQESA-N 0 0 284.293 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@H]1CCCS1)CCN2 ZINC000302909910 527379961 /nfs/dbraw/zinc/37/99/61/527379961.db2.gz YUNWUWWLCHBDOV-SECBINFHSA-N 0 0 279.365 2.870 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1CCC[C@H](CO)C1 ZINC000312450484 527389409 /nfs/dbraw/zinc/38/94/09/527389409.db2.gz JZNKYKUYTYVRNW-MNOVXSKESA-N 0 0 282.315 2.945 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H]1CCCC[C@@H]1O ZINC000311396584 527390074 /nfs/dbraw/zinc/39/00/74/527390074.db2.gz ZSHVHHRIYHZWNS-SKDRFNHKSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCC2CCOCC2)c1 ZINC000338514804 527391665 /nfs/dbraw/zinc/39/16/65/527391665.db2.gz JHLQPBRVQRKCEO-UHFFFAOYSA-N 0 0 251.282 2.790 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@]1(CO)CCc2ccccc21 ZINC000305234631 527401335 /nfs/dbraw/zinc/40/13/35/527401335.db2.gz NCUTXJZSZZQVGS-KRWDZBQOSA-N 0 0 298.342 2.518 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1ncnc2[nH]ccc21 ZINC000339230431 527404548 /nfs/dbraw/zinc/40/45/48/527404548.db2.gz LYNVKPHTGPUSFB-UHFFFAOYSA-N 0 0 256.221 2.658 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCOC1CCCC1 ZINC000360321769 527404825 /nfs/dbraw/zinc/40/48/25/527404825.db2.gz ZNAXRTOKCYNQIG-UHFFFAOYSA-N 0 0 251.282 2.933 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC(c2ccccc2F)C1 ZINC000360103304 527405971 /nfs/dbraw/zinc/40/59/71/527405971.db2.gz HAZPBJXCDGUETF-UHFFFAOYSA-N 0 0 273.267 2.733 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CCCCS1 ZINC000158334567 527407373 /nfs/dbraw/zinc/40/73/73/527407373.db2.gz VSLCACPZLBGKIS-SECBINFHSA-N 0 0 253.327 2.687 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCO[C@H]2C2CC2)c(Cl)c1 ZINC000230307865 527411450 /nfs/dbraw/zinc/41/14/50/527411450.db2.gz BEDMFXDJIWATDB-MNOVXSKESA-N 0 0 283.715 2.623 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(F)c(F)c2)s1 ZINC000226771532 527411516 /nfs/dbraw/zinc/41/15/16/527411516.db2.gz QUCYEGXDCUNRJT-UHFFFAOYSA-N 0 0 271.248 2.942 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ccn1CC1CCC1 ZINC000335342543 527463283 /nfs/dbraw/zinc/46/32/83/527463283.db2.gz VQTGWOVUFAMGNM-UHFFFAOYSA-N 0 0 258.277 2.710 20 5 CFBDRN O=C(NC1CC1)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000336307950 527487943 /nfs/dbraw/zinc/48/79/43/527487943.db2.gz GWUAQNMHYBFYAH-LBPRGKRZSA-N 0 0 289.335 2.646 20 5 CFBDRN O=C(Nc1cnn(C(F)F)c1)Nc1cccc([N+](=O)[O-])c1 ZINC000361744165 527488220 /nfs/dbraw/zinc/48/82/20/527488220.db2.gz ORVCRTQGRNTACO-UHFFFAOYSA-N 0 0 297.221 2.830 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@]1(C)C[C@@H]1c1ccccc1 ZINC000338739782 527491098 /nfs/dbraw/zinc/49/10/98/527491098.db2.gz LCOCIRAPMRWTBW-DOMZBBRYSA-N 0 0 286.335 2.934 20 5 CFBDRN O=C(NC1CCC1)c1cc([N+](=O)[O-])ccc1Br ZINC000052210652 527501862 /nfs/dbraw/zinc/50/18/62/527501862.db2.gz JGNACMZFXUFSPQ-UHFFFAOYSA-N 0 0 299.124 2.640 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCO[C@H](CF)C1 ZINC000414466417 527528243 /nfs/dbraw/zinc/52/82/43/527528243.db2.gz BOVRNPPVINCNSV-CUXKMMBLSA-N 0 0 294.326 2.668 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000189281052 527569841 /nfs/dbraw/zinc/56/98/41/527569841.db2.gz SDDWFSNUYDLUIE-UHFFFAOYSA-N 0 0 279.340 2.589 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2ccc(C)cc2)c1[N+](=O)[O-] ZINC000047330898 527670340 /nfs/dbraw/zinc/67/03/40/527670340.db2.gz OEXOTWIIYDTABY-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN CC1(C)C[C@@H]1Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000413500522 527719045 /nfs/dbraw/zinc/71/90/45/527719045.db2.gz JWDQFXILAOTGGJ-ZDUSSCGKSA-N 0 0 289.335 2.697 20 5 CFBDRN CC(C)CN(C(=O)c1cccc([N+](=O)[O-])c1N)C(C)C ZINC000157297581 527906602 /nfs/dbraw/zinc/90/66/02/527906602.db2.gz PPSXTZCQJSXFIR-UHFFFAOYSA-N 0 0 279.340 2.684 20 5 CFBDRN CCC[C@H](C(=O)OCC)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000172650024 527990769 /nfs/dbraw/zinc/99/07/69/527990769.db2.gz WCODYOXGNYPPSV-CQSZACIVSA-N 0 0 294.351 2.758 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2COC)C1 ZINC000413386084 528105484 /nfs/dbraw/zinc/10/54/84/528105484.db2.gz JENPJDYWIZAGTH-BJHJDKERSA-N 0 0 280.324 2.721 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cccc(OC)c2[N+](=O)[O-])C1 ZINC000413389440 528106282 /nfs/dbraw/zinc/10/62/82/528106282.db2.gz UOBGTOTZZIKOEV-AOOOYVTPSA-N 0 0 266.297 2.583 20 5 CFBDRN CCOC(=O)c1ccnc(NCC2(CC)CC2)c1[N+](=O)[O-] ZINC000176973055 528271857 /nfs/dbraw/zinc/27/18/57/528271857.db2.gz VMPSOMSYHDNWQU-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CCOc1cc(NCc2c[nH]nc2C)ccc1[N+](=O)[O-] ZINC000111047206 528328302 /nfs/dbraw/zinc/32/83/02/528328302.db2.gz QTYCPHPIOJYDKX-UHFFFAOYSA-N 0 0 276.296 2.637 20 5 CFBDRN CC(C)[C@@H](CO)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276579836 528483714 /nfs/dbraw/zinc/48/37/14/528483714.db2.gz IISWKPZOBXMMFT-GFCCVEGCSA-N 0 0 275.308 2.572 20 5 CFBDRN CCCCOc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC000413019951 528692471 /nfs/dbraw/zinc/69/24/71/528692471.db2.gz WIUPCAHHXZQNQY-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN CCC(CC)CN(CC)C(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000191534477 528743539 /nfs/dbraw/zinc/74/35/39/528743539.db2.gz AABQPXMDOXKWPG-UHFFFAOYSA-N 0 0 296.371 2.710 20 5 CFBDRN CCC(CC)CNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000104547856 528756624 /nfs/dbraw/zinc/75/66/24/528756624.db2.gz XWHWZXOUYGCPDF-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN CCC(CC)CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000104551518 528760635 /nfs/dbraw/zinc/76/06/35/528760635.db2.gz HILIWFVTCOKRQF-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CCC(CC)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000158782585 528802419 /nfs/dbraw/zinc/80/24/19/528802419.db2.gz MGPRAMNLCDGGBN-UHFFFAOYSA-N 0 0 254.261 2.652 20 5 CFBDRN CCC[C@@H](C)C[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000426792296 528882902 /nfs/dbraw/zinc/88/29/02/528882902.db2.gz ITGBHQQTQSQSCC-RISCZKNCSA-N 0 0 294.351 2.964 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000290884051 528891588 /nfs/dbraw/zinc/89/15/88/528891588.db2.gz GJDUVQRYSHQKRD-VIFPVBQESA-N 0 0 253.302 2.574 20 5 CFBDRN CCC1(C)CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000291808595 529028867 /nfs/dbraw/zinc/02/88/67/529028867.db2.gz HJEZPKSYRKNKBD-UHFFFAOYSA-N 0 0 263.297 2.859 20 5 CFBDRN CC(C)NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000177440374 529136599 /nfs/dbraw/zinc/13/65/99/529136599.db2.gz LPMPRSCCOBBRNM-UHFFFAOYSA-N 0 0 265.313 2.538 20 5 CFBDRN CCn1ccc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000414210600 529172430 /nfs/dbraw/zinc/17/24/30/529172430.db2.gz OVEAQHHCQHKJAZ-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN CCn1ccc(CNc2ccc(C)cc2[N+](=O)[O-])n1 ZINC000413490154 529175674 /nfs/dbraw/zinc/17/56/74/529175674.db2.gz IHRSPAXFSODPGQ-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CC[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413545362 529213201 /nfs/dbraw/zinc/21/32/01/529213201.db2.gz RAAKTWKHGKCSKZ-BDAKNGLRSA-N 0 0 298.368 2.511 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000427406167 529228839 /nfs/dbraw/zinc/22/88/39/529228839.db2.gz SWFYXAQKUPCQHQ-GWCFXTLKSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@@H]1CCCCCN1C(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000191628934 529267784 /nfs/dbraw/zinc/26/77/84/529267784.db2.gz CZYUPKGVDUXSLA-LLVKDONJSA-N 0 0 294.355 2.606 20 5 CFBDRN CC[C@@H](C)CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000421922755 529320982 /nfs/dbraw/zinc/32/09/82/529320982.db2.gz UQIPZFPRXNBEGD-GFCCVEGCSA-N 0 0 293.367 2.949 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1c1ncc([N+](=O)[O-])cc1F ZINC000413241047 529342363 /nfs/dbraw/zinc/34/23/63/529342363.db2.gz XQFZEGYDDCZVKX-KCJUWKMLSA-N 0 0 253.277 2.754 20 5 CFBDRN CC1(C)Cc2cccc(Cn3cnc([N+](=O)[O-])c3)c2O1 ZINC000450779435 534956289 /nfs/dbraw/zinc/95/62/89/534956289.db2.gz NNTFSRFJNSECSE-UHFFFAOYSA-N 0 0 273.292 2.553 20 5 CFBDRN CC(C)(C)Oc1cc(N[C@H]2C[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000450922683 535001931 /nfs/dbraw/zinc/00/19/31/535001931.db2.gz AOMZIFAOUDDORZ-PHIMTYICSA-N 0 0 280.324 2.707 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000341763873 535155393 /nfs/dbraw/zinc/15/53/93/535155393.db2.gz PHOZGDBUEYSJKC-LLVKDONJSA-N 0 0 264.325 2.640 20 5 CFBDRN CCCN(C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000342044533 535209947 /nfs/dbraw/zinc/20/99/47/535209947.db2.gz ZUJQNGHAYIFCTJ-UHFFFAOYSA-N 0 0 298.289 2.817 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000456151733 535241048 /nfs/dbraw/zinc/24/10/48/535241048.db2.gz WGYAVYDYRCKMCD-UHFFFAOYSA-N 0 0 262.309 2.903 20 5 CFBDRN CCCN(CC(C)(C)O)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000267094951 535285457 /nfs/dbraw/zinc/28/54/57/535285457.db2.gz KXXHVRNSAXBEDX-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN CC(C)Cc1noc(CN(C)c2ccccc2[N+](=O)[O-])n1 ZINC000266612480 535343269 /nfs/dbraw/zinc/34/32/69/535343269.db2.gz RKSSKXCDENIBML-UHFFFAOYSA-N 0 0 290.323 2.813 20 5 CFBDRN CCC(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000434457693 535347579 /nfs/dbraw/zinc/34/75/79/535347579.db2.gz BNNPUDNFWQQPOL-UHFFFAOYSA-N 0 0 287.275 2.768 20 5 CFBDRN CCCC[C@@H](CCC)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000502371813 535351132 /nfs/dbraw/zinc/35/11/32/535351132.db2.gz KMJLVCFFGIYZQX-LLVKDONJSA-N 0 0 291.355 2.830 20 5 CFBDRN CC(C)c1ccc(CN2CC(CCO)C2)cc1[N+](=O)[O-] ZINC000459593845 535371085 /nfs/dbraw/zinc/37/10/85/535371085.db2.gz PNMYHTWUAAIDTR-UHFFFAOYSA-N 0 0 278.352 2.532 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2cc(C)ccc2[N+](=O)[O-])[C@H]1OC ZINC000252751301 535419054 /nfs/dbraw/zinc/41/90/54/535419054.db2.gz ACSCTUKFRAPJOO-MRVWCRGKSA-N 0 0 280.324 2.508 20 5 CFBDRN CC[C@@H](C)CN(C)c1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000342204014 535494490 /nfs/dbraw/zinc/49/44/90/535494490.db2.gz KOUGZRGANHISFC-SECBINFHSA-N 0 0 295.339 2.567 20 5 CFBDRN CC[C@@H](Cc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000263900280 535775917 /nfs/dbraw/zinc/77/59/17/535775917.db2.gz ACXCQHWZQKDREI-LBPRGKRZSA-N 0 0 287.319 2.674 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450855281 535815448 /nfs/dbraw/zinc/81/54/48/535815448.db2.gz FMBPDQCEAIRECN-UONOGXRCSA-N 0 0 289.335 2.526 20 5 CFBDRN CCc1nsc(NCc2ccc(OC)c([N+](=O)[O-])c2)n1 ZINC000267397492 535913251 /nfs/dbraw/zinc/91/32/51/535913251.db2.gz OTSYHABCKLPIEB-UHFFFAOYSA-N 0 0 294.336 2.629 20 5 CFBDRN CN(C(=O)CCc1ccsc1)c1ccc([N+](=O)[O-])nc1 ZINC000439303004 535979467 /nfs/dbraw/zinc/97/94/67/535979467.db2.gz NYEQMPVZCLBFGR-UHFFFAOYSA-N 0 0 291.332 2.647 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc([N+](=O)[O-])cc2COC)CCO1 ZINC000275972241 536059677 /nfs/dbraw/zinc/05/96/77/536059677.db2.gz BMAFYALDBGTWRZ-HNNXBMFYSA-N 0 0 294.351 2.747 20 5 CFBDRN CCn1cccc(CNc2ccc(F)cc2[N+](=O)[O-])c1=O ZINC000432844874 536099069 /nfs/dbraw/zinc/09/90/69/536099069.db2.gz YZYRYEFSSJEDDW-UHFFFAOYSA-N 0 0 291.282 2.528 20 5 CFBDRN CCc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1F ZINC000443694652 536190326 /nfs/dbraw/zinc/19/03/26/536190326.db2.gz UEPUHAPMIMZCMJ-UHFFFAOYSA-N 0 0 264.260 2.773 20 5 CFBDRN COC(=O)Cc1ccc(NCC2CCCC2)c([N+](=O)[O-])c1 ZINC000450240242 536366927 /nfs/dbraw/zinc/36/69/27/536366927.db2.gz GYVBOAURPUTFLI-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CN1C[C@@H](CNc2ccc([N+](=O)[O-])nc2)Cc2ccccc21 ZINC000443990951 536485523 /nfs/dbraw/zinc/48/55/23/536485523.db2.gz SGCNNTUWPAAAFR-GFCCVEGCSA-N 0 0 298.346 2.710 20 5 CFBDRN COC[C@H]1CCN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000450343293 536548037 /nfs/dbraw/zinc/54/80/37/536548037.db2.gz DANVYWIUJHFJKP-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN COC1(CNc2ncc(Cl)cc2[N+](=O)[O-])CCC1 ZINC000227305278 536688131 /nfs/dbraw/zinc/68/81/31/536688131.db2.gz XAFQVWPSEFOJGQ-UHFFFAOYSA-N 0 0 271.704 2.624 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCC1(C)CC1 ZINC000444926914 536887095 /nfs/dbraw/zinc/88/70/95/536887095.db2.gz VYPOLKUAUBZPJM-UHFFFAOYSA-N 0 0 279.296 2.525 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C(C)C)C2)c1 ZINC000446323672 536950191 /nfs/dbraw/zinc/95/01/91/536950191.db2.gz UMLALNBZFRPBDU-LLVKDONJSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1ccccc1Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000436209031 537057562 /nfs/dbraw/zinc/05/75/62/537057562.db2.gz WRALZIZVXSSXEW-UHFFFAOYSA-N 0 0 273.292 2.726 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000436039468 537086282 /nfs/dbraw/zinc/08/62/82/537086282.db2.gz BDOIYRUQVNBXQH-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(NOC1CCCC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935238474 649890057 /nfs/dbraw/zinc/89/00/57/649890057.db2.gz AGDXCEUZKYPWCL-ZIAGYGMSSA-N 0 0 290.319 2.689 20 5 CFBDRN Cc1c(CN2CC3(CC(F)C3)C2)cccc1[N+](=O)[O-] ZINC000753863176 574052387 /nfs/dbraw/zinc/05/23/87/574052387.db2.gz VKQNQYKOIHEZJV-UHFFFAOYSA-N 0 0 264.300 2.837 20 5 CFBDRN CCOC(=O)/C=C\CSCc1ccc([N+](=O)[O-])cc1 ZINC000753943428 574057783 /nfs/dbraw/zinc/05/77/83/574057783.db2.gz HSCYWNCLPOCKAY-ARJAWSKDSA-N 0 0 281.333 2.947 20 5 CFBDRN O=C(OCc1ccsc1)c1ccc([N+](=O)[O-])o1 ZINC000744235613 574061171 /nfs/dbraw/zinc/06/11/71/574061171.db2.gz VWERBPBSBBFGJN-UHFFFAOYSA-N 0 0 253.235 2.606 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000727734166 574063495 /nfs/dbraw/zinc/06/34/95/574063495.db2.gz JGZKQEBFHPEMFI-JTQLQIEISA-N 0 0 293.279 2.518 20 5 CFBDRN Cc1ccc(N2CC3(CC(F)C3)C2)c([N+](=O)[O-])c1 ZINC000754063280 574067300 /nfs/dbraw/zinc/06/73/00/574067300.db2.gz DDOIORZCFFQPMI-UHFFFAOYSA-N 0 0 250.273 2.842 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCNc1ccc(Cl)nn1 ZINC000727857218 574068374 /nfs/dbraw/zinc/06/83/74/574068374.db2.gz RXBRIKPLMCIVKR-UHFFFAOYSA-N 0 0 293.714 2.562 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1SCc1ccc([N+](=O)[O-])cc1 ZINC000754078642 574069848 /nfs/dbraw/zinc/06/98/48/574069848.db2.gz DWVZFGFMCPZDNR-UHFFFAOYSA-N 0 0 294.292 2.529 20 5 CFBDRN Cn1c(C(=O)OCc2ccc(F)cc2F)ccc1[N+](=O)[O-] ZINC000754078296 574070016 /nfs/dbraw/zinc/07/00/16/574070016.db2.gz MKSFDGHFHYBSII-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN Cc1cncc(C(=O)OCc2cccc([N+](=O)[O-])c2)c1 ZINC000744359968 574071305 /nfs/dbraw/zinc/07/13/05/574071305.db2.gz MGJKAWGPUPSTMP-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C[C@@H](OC(=O)/C=C\c1ccncn1)c1cccc([N+](=O)[O-])c1 ZINC000754109582 574073841 /nfs/dbraw/zinc/07/38/41/574073841.db2.gz JAEDTSXHZLRIDH-ISALQUGTSA-N 0 0 299.286 2.702 20 5 CFBDRN O=C(COC(=O)c1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000744474066 574076512 /nfs/dbraw/zinc/07/65/12/574076512.db2.gz OOPYQNDAKOYJQA-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN COc1ccc(OCCOC(=O)CC(C)C)c([N+](=O)[O-])c1 ZINC000744474507 574076714 /nfs/dbraw/zinc/07/67/14/574076714.db2.gz SWDLRWWLXOYBDB-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN CCCCC(=O)OCCOc1ccc(OC)cc1[N+](=O)[O-] ZINC000744477872 574076895 /nfs/dbraw/zinc/07/68/95/574076895.db2.gz QBXUDUYKFWBDOE-UHFFFAOYSA-N 0 0 297.307 2.716 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CCOC1 ZINC000754224741 574083057 /nfs/dbraw/zinc/08/30/57/574083057.db2.gz DKYNNUNQRZSOJT-LBPRGKRZSA-N 0 0 284.699 2.613 20 5 CFBDRN CCSCCOC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000744729609 574084926 /nfs/dbraw/zinc/08/49/26/574084926.db2.gz AZLDTBZAIFDMAB-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ncc(C)o1 ZINC000754325559 574088252 /nfs/dbraw/zinc/08/82/52/574088252.db2.gz NUSBKSZMAGFEMC-VQHVLOKHSA-N 0 0 287.275 2.933 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ncc(C)o1 ZINC000754325556 574088564 /nfs/dbraw/zinc/08/85/64/574088564.db2.gz NUSBKSZMAGFEMC-CLFYSBASSA-N 0 0 287.275 2.933 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730647107 574091104 /nfs/dbraw/zinc/09/11/04/574091104.db2.gz VTXOTQRFQXDOQH-ZETCQYMHSA-N 0 0 285.683 2.773 20 5 CFBDRN Cc1cc(N)ccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000728362986 574094948 /nfs/dbraw/zinc/09/49/48/574094948.db2.gz ADSYFEMFNHZZRR-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000756357029 574097546 /nfs/dbraw/zinc/09/75/46/574097546.db2.gz ABJVFHOOAPRDBQ-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN CC(C)C(=O)COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000730844730 574099966 /nfs/dbraw/zinc/09/99/66/574099966.db2.gz XTGIYAULGPTOQM-UHFFFAOYSA-N 0 0 285.683 2.630 20 5 CFBDRN CCCCOC(=O)[C@H](C)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000754602257 574107972 /nfs/dbraw/zinc/10/79/72/574107972.db2.gz YLCRBNIZAJQJAR-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000754607984 574108626 /nfs/dbraw/zinc/10/86/26/574108626.db2.gz MQALEUMWOPNLHX-SECBINFHSA-N 0 0 293.275 2.923 20 5 CFBDRN Cc1cnc(COC(=O)c2cc(C)ccc2[N+](=O)[O-])o1 ZINC000745385322 574112413 /nfs/dbraw/zinc/11/24/13/574112413.db2.gz AIZMJSPIUPCCNO-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1cncc(C(=O)OCc2cccc([N+](=O)[O-])c2C)c1 ZINC000745507093 574114044 /nfs/dbraw/zinc/11/40/44/574114044.db2.gz KYXREJHPZRUWIO-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000754583046 574114518 /nfs/dbraw/zinc/11/45/18/574114518.db2.gz RCYLEBJFXNMTIR-LBPRGKRZSA-N 0 0 267.281 2.567 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000754584075 574114824 /nfs/dbraw/zinc/11/48/24/574114824.db2.gz AJKRWOIENUCLDY-ZETCQYMHSA-N 0 0 273.310 2.547 20 5 CFBDRN O=C(CCC(F)(F)F)OCc1ccccc1[N+](=O)[O-] ZINC000745520805 574114949 /nfs/dbraw/zinc/11/49/49/574114949.db2.gz ZOZZAOLASRVSBX-UHFFFAOYSA-N 0 0 277.198 2.981 20 5 CFBDRN CC(C)(C(=O)OCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000741446152 574115761 /nfs/dbraw/zinc/11/57/61/574115761.db2.gz UPOYWDBEZKFGJZ-UHFFFAOYSA-N 0 0 269.272 2.775 20 5 CFBDRN CN(CC(=O)O[C@H]1C=CCCC1)c1ccccc1[N+](=O)[O-] ZINC000745619518 574120346 /nfs/dbraw/zinc/12/03/46/574120346.db2.gz YWHDZQAFAFXDSC-LBPRGKRZSA-N 0 0 290.319 2.683 20 5 CFBDRN CC(C)(C)C(=O)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731530194 574122949 /nfs/dbraw/zinc/12/29/49/574122949.db2.gz RLKBDPOKIMGZIP-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN Cc1ncc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)s1 ZINC000731518351 574123051 /nfs/dbraw/zinc/12/30/51/574123051.db2.gz FWNVRBVUNPUDNU-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN COc1ccc(COC(=O)[C@@H]2C[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000751735033 574123518 /nfs/dbraw/zinc/12/35/18/574123518.db2.gz LHTUWUHXZRDWKJ-VXGBXAGGSA-N 0 0 291.303 2.693 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)CSC[C@@H]2CCCO2)cc1 ZINC000754862907 574125271 /nfs/dbraw/zinc/12/52/71/574125271.db2.gz OMWPTXDEAWPALU-QWHCGFSZSA-N 0 0 283.349 2.540 20 5 CFBDRN C[C@H](OC(=O)c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000728860139 574126850 /nfs/dbraw/zinc/12/68/50/574126850.db2.gz LBVSJOUXLUSMLS-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN COC[C@@H](C)CC(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000756655128 574127704 /nfs/dbraw/zinc/12/77/04/574127704.db2.gz REMSEELLOYCFAJ-JTQLQIEISA-N 0 0 281.308 2.619 20 5 CFBDRN O=C(OC[C@@H]1CCCS1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000745963712 574129722 /nfs/dbraw/zinc/12/97/22/574129722.db2.gz LXNAWGVBGSUYPI-NSHDSACASA-N 0 0 285.296 2.786 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCCS2)c1 ZINC000745964713 574129857 /nfs/dbraw/zinc/12/98/57/574129857.db2.gz SRMPRAPVJNUOJI-SNVBAGLBSA-N 0 0 281.333 2.956 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746057359 574132035 /nfs/dbraw/zinc/13/20/35/574132035.db2.gz HFBQRCDDQCGBMO-GMSGAONNSA-N 0 0 269.684 2.947 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755056079 574134491 /nfs/dbraw/zinc/13/44/91/574134491.db2.gz RMDQIQLZDFTTHW-DTWKUNHWSA-N 0 0 297.282 2.748 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755056082 574134820 /nfs/dbraw/zinc/13/48/20/574134820.db2.gz RMDQIQLZDFTTHW-IUCAKERBSA-N 0 0 297.282 2.748 20 5 CFBDRN CCC1(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)COC1 ZINC000755122552 574140567 /nfs/dbraw/zinc/14/05/67/574140567.db2.gz PEVYNVDRZZBGIB-UHFFFAOYSA-N 0 0 278.308 2.577 20 5 CFBDRN CCC1(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)COC1 ZINC000755198985 574145732 /nfs/dbraw/zinc/14/57/32/574145732.db2.gz FIAUSMAOOIKFRO-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@@H](C[C@H](O)c1cccs1)Nc1ccc([N+](=O)[O-])nc1 ZINC000751943080 574146549 /nfs/dbraw/zinc/14/65/49/574146549.db2.gz SCZARYBVXJLREM-ONGXEEELSA-N 0 0 293.348 2.975 20 5 CFBDRN CCC1(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)COC1 ZINC000755283309 574151579 /nfs/dbraw/zinc/15/15/79/574151579.db2.gz VVZRDVPBYKCFLQ-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN CC(C)(C)/C=C\C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000732401613 574155440 /nfs/dbraw/zinc/15/54/40/574155440.db2.gz IOJDYOMMPUBUDA-HJWRWDBZSA-N 0 0 291.303 2.923 20 5 CFBDRN CCOc1cc(COC(=O)[C@@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000755510463 574166456 /nfs/dbraw/zinc/16/64/56/574166456.db2.gz LGORIQHTFIKGMW-GXSJLCMTSA-N 0 0 279.292 2.693 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)n1C ZINC000746940510 574172017 /nfs/dbraw/zinc/17/20/17/574172017.db2.gz MWELICPIWCUENP-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1ccnc(F)c1 ZINC000746966490 574173583 /nfs/dbraw/zinc/17/35/83/574173583.db2.gz JBUGFUCXUNEYPO-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1ncoc1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000755637969 574173791 /nfs/dbraw/zinc/17/37/91/574173791.db2.gz ARWUDYHYSHAGEC-UHFFFAOYSA-N 0 0 282.639 2.764 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000729091296 574173848 /nfs/dbraw/zinc/17/38/48/574173848.db2.gz DNXZSBRKSLEZSW-BDAKNGLRSA-N 0 0 274.276 2.889 20 5 CFBDRN CC(C)(C)COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000729097323 574175275 /nfs/dbraw/zinc/17/52/75/574175275.db2.gz MMMMILPEHVKYAA-UHFFFAOYSA-N 0 0 251.282 2.727 20 5 CFBDRN CC[C@@H](C)OC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000738608945 574175896 /nfs/dbraw/zinc/17/58/96/574175896.db2.gz CCFMSHRTCSZMAO-SNVBAGLBSA-N 0 0 267.281 2.705 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCc1ccccc1 ZINC000755681203 574177402 /nfs/dbraw/zinc/17/74/02/574177402.db2.gz UTOBJGXIZWFLIV-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C1CCSCC1 ZINC000732936805 574179620 /nfs/dbraw/zinc/17/96/20/574179620.db2.gz JXZHYWTXVVRYLX-UHFFFAOYSA-N 0 0 299.323 2.920 20 5 CFBDRN Cc1ncsc1CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000729184275 574182455 /nfs/dbraw/zinc/18/24/55/574182455.db2.gz XLAQOHNXDJIXES-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN C[C@H](C(=O)O[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000755731915 574182451 /nfs/dbraw/zinc/18/24/51/574182451.db2.gz AUHVXUBEQBJTQA-GXSJLCMTSA-N 0 0 297.282 2.560 20 5 CFBDRN COCC[C@@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755744434 574183681 /nfs/dbraw/zinc/18/36/81/574183681.db2.gz BSDROPYQMCLRDV-ZJUUUORDSA-N 0 0 299.298 2.806 20 5 CFBDRN Cc1cc(N[C@H]2CCCCNC2=O)c(Cl)cc1[N+](=O)[O-] ZINC000747213764 574187374 /nfs/dbraw/zinc/18/73/74/574187374.db2.gz XULJBHZTSLSHST-JTQLQIEISA-N 0 0 297.742 2.637 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)C[C@H]2CCCOC2)c1 ZINC000747217358 574187508 /nfs/dbraw/zinc/18/75/08/574187508.db2.gz FCGWVXKGRGLAGA-SNVBAGLBSA-N 0 0 298.314 2.605 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CCSC2)c1 ZINC000747224535 574188050 /nfs/dbraw/zinc/18/80/50/574188050.db2.gz WGPHDPVBXXSSMA-SSDOTTSWSA-N 0 0 272.301 2.660 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCc1ccoc1 ZINC000755848823 574191852 /nfs/dbraw/zinc/19/18/52/574191852.db2.gz CNKVBRQJWASCOY-UHFFFAOYSA-N 0 0 291.259 2.596 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC=C(C)CC2)c1[N+](=O)[O-] ZINC000756049375 574206393 /nfs/dbraw/zinc/20/63/93/574206393.db2.gz YFDXXWMRRQMEQL-UHFFFAOYSA-N 0 0 278.283 2.835 20 5 CFBDRN O=C(OCCC[C@H]1CCCO1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000756088630 574208578 /nfs/dbraw/zinc/20/85/78/574208578.db2.gz WKTPKGWPGSSSBX-CYBMUJFWSA-N 0 0 297.282 2.850 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000756109847 574210274 /nfs/dbraw/zinc/21/02/74/574210274.db2.gz WOTBZKJHZFMAAQ-ZJRUKIMVSA-N 0 0 274.320 2.913 20 5 CFBDRN CO[C@@H](CNc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000733302626 574216227 /nfs/dbraw/zinc/21/62/27/574216227.db2.gz GUVQBXHRPLJEQH-VIFPVBQESA-N 0 0 264.203 2.584 20 5 CFBDRN Cc1cc(C)cc(OC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000756212357 574217032 /nfs/dbraw/zinc/21/70/32/574217032.db2.gz OOCXIAQJMOUZJM-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN C[C@@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000747877672 574217058 /nfs/dbraw/zinc/21/70/58/574217058.db2.gz SFFWNQJBBLQLEM-MRVPVSSYSA-N 0 0 267.256 2.823 20 5 CFBDRN C[C@H]1CCC[C@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000729471486 574218382 /nfs/dbraw/zinc/21/83/82/574218382.db2.gz YABRYWBDBFQGRF-VHSXEESVSA-N 0 0 260.297 2.843 20 5 CFBDRN Cc1cc(C(=O)N2CCC(C)(C)CC2)c(N)c([N+](=O)[O-])c1 ZINC000729532018 574222936 /nfs/dbraw/zinc/22/29/36/574222936.db2.gz CUSQEYNNZPXRCP-UHFFFAOYSA-N 0 0 291.351 2.748 20 5 CFBDRN CNc1ccc(C(=O)O[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000733380889 574225259 /nfs/dbraw/zinc/22/52/59/574225259.db2.gz WMJGMSAGNITDFO-NSHDSACASA-N 0 0 276.292 2.902 20 5 CFBDRN O=C(OCC(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000733380930 574225262 /nfs/dbraw/zinc/22/52/62/574225262.db2.gz RTKSCQOYVAJBOK-UHFFFAOYSA-N 0 0 286.234 2.591 20 5 CFBDRN O=C(OCC(F)F)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000733387643 574225326 /nfs/dbraw/zinc/22/53/26/574225326.db2.gz FLSJIBPLOULNMD-UHFFFAOYSA-N 0 0 265.599 2.670 20 5 CFBDRN COc1ccc(C(=O)O[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000733393302 574226369 /nfs/dbraw/zinc/22/63/69/574226369.db2.gz HXFCLZVLCDJAMQ-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000756341275 574226662 /nfs/dbraw/zinc/22/66/62/574226662.db2.gz OHXTXERBACMDBV-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN CN(Cc1ccon1)c1ccc([N+](=O)[O-])cc1Cl ZINC000739898711 574228318 /nfs/dbraw/zinc/22/83/18/574228318.db2.gz BIVGRBVBQUYFCQ-UHFFFAOYSA-N 0 0 267.672 2.873 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000748109689 574229854 /nfs/dbraw/zinc/22/98/54/574229854.db2.gz AIJQLMOTMQWTHF-SECBINFHSA-N 0 0 295.291 2.711 20 5 CFBDRN CCC[C@@H](C)OC(=O)COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000748120940 574230603 /nfs/dbraw/zinc/23/06/03/574230603.db2.gz BSPSQRLWYQJRPH-SNVBAGLBSA-N 0 0 295.291 2.518 20 5 CFBDRN CCN(C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C1CC1 ZINC000729918328 574237017 /nfs/dbraw/zinc/23/70/17/574237017.db2.gz GSQPONMNSYLQHK-UHFFFAOYSA-N 0 0 267.260 2.750 20 5 CFBDRN CC1=C(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000756440895 574237182 /nfs/dbraw/zinc/23/71/82/574237182.db2.gz CTBGRDHTGNILNS-UHFFFAOYSA-N 0 0 295.266 2.862 20 5 CFBDRN Cc1c(COC(=O)C[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000756497771 574241683 /nfs/dbraw/zinc/24/16/83/574241683.db2.gz ADHYUWYNZWQZMF-ZDUSSCGKSA-N 0 0 293.319 2.906 20 5 CFBDRN C[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000748237893 574242067 /nfs/dbraw/zinc/24/20/67/574242067.db2.gz QJACOESXRGTRDY-ZETCQYMHSA-N 0 0 277.198 2.950 20 5 CFBDRN COc1cc(C(=O)O[C@@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000733476219 574242603 /nfs/dbraw/zinc/24/26/03/574242603.db2.gz NABYAMBPEIIQCK-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OC/C=C/Cl ZINC000756526515 574243489 /nfs/dbraw/zinc/24/34/89/574243489.db2.gz KTHAQCXUBDCMFG-FARCUNLSSA-N 0 0 269.684 2.823 20 5 CFBDRN CC(C)n1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])n1 ZINC000733588313 574248354 /nfs/dbraw/zinc/24/83/54/574248354.db2.gz GZOYAOLKORZDEJ-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1CCCS1 ZINC000748480229 574254437 /nfs/dbraw/zinc/25/44/37/574254437.db2.gz QKRPMGZUBPFPQJ-NSHDSACASA-N 0 0 267.306 2.534 20 5 CFBDRN C[C@H](OC(=O)C[C@@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000740541666 574254887 /nfs/dbraw/zinc/25/48/87/574254887.db2.gz IGVHTXMBBWLRSC-QWRGUYRKSA-N 0 0 279.292 2.626 20 5 CFBDRN CC(C)NC(=S)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756791558 574263587 /nfs/dbraw/zinc/26/35/87/574263587.db2.gz VKUMDZNWAHSYFF-UHFFFAOYSA-N 0 0 287.772 2.621 20 5 CFBDRN Cc1csc(COC(=O)c2cc([N+](=O)[O-])ccc2F)n1 ZINC000748801409 574267315 /nfs/dbraw/zinc/26/73/15/574267315.db2.gz MRDIHWVRKYRERX-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN C/C=C/COC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C ZINC000748948035 574274648 /nfs/dbraw/zinc/27/46/48/574274648.db2.gz OQURUVGXSFELQK-SNAWJCMRSA-N 0 0 294.263 2.853 20 5 CFBDRN O=C(CC1(O)CCCCC1)OCc1cccc([N+](=O)[O-])c1 ZINC000734196382 574279280 /nfs/dbraw/zinc/27/92/80/574279280.db2.gz UTMWTXUKRCNOCT-UHFFFAOYSA-N 0 0 293.319 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC[C@H]2CCCO2)c1 ZINC000749206202 574285066 /nfs/dbraw/zinc/28/50/66/574285066.db2.gz QQQPPVYCSGXREC-LLVKDONJSA-N 0 0 279.292 2.629 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000749280242 574287559 /nfs/dbraw/zinc/28/75/59/574287559.db2.gz BALRJPPJCFXZCP-VIFPVBQESA-N 0 0 293.348 2.677 20 5 CFBDRN C[C@@H](Cc1ccncc1)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000749285876 574287878 /nfs/dbraw/zinc/28/78/78/574287878.db2.gz IWQJZCFKRQNAJQ-VIFPVBQESA-N 0 0 299.290 2.569 20 5 CFBDRN C[C@@H](Cc1ccncc1)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000749284550 574288085 /nfs/dbraw/zinc/28/80/85/574288085.db2.gz AOALYDURKWXYDG-NSHDSACASA-N 0 0 297.318 2.681 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)OCCCF ZINC000741442525 574290084 /nfs/dbraw/zinc/29/00/84/574290084.db2.gz BHIFXFRXSNTDJG-UHFFFAOYSA-N 0 0 273.285 2.590 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)[nH]n1 ZINC000749403271 574293909 /nfs/dbraw/zinc/29/39/09/574293909.db2.gz NPGPESIXCQMFKC-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@@H]2Nc1ncccc1[N+](=O)[O-] ZINC000734832962 574305248 /nfs/dbraw/zinc/30/52/48/574305248.db2.gz MXPGFWZSJNUCOY-LBPRGKRZSA-N 0 0 285.307 2.578 20 5 CFBDRN CC[C@H](C)NC(=S)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000749763470 574308086 /nfs/dbraw/zinc/30/80/86/574308086.db2.gz GUTKTKALJGWLBU-UWVGGRQHSA-N 0 0 281.381 2.918 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N3C4CCC3CC4)cc2N1 ZINC000725788703 574308328 /nfs/dbraw/zinc/30/83/28/574308328.db2.gz YXLJHGVLJUZUBN-UHFFFAOYSA-N 0 0 287.319 2.611 20 5 CFBDRN C[C@@H](CNC(=O)Cc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000741932758 574310260 /nfs/dbraw/zinc/31/02/60/574310260.db2.gz VMOKIIGVXYUQTC-NSHDSACASA-N 0 0 278.352 2.936 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CC[C@H]2c2ccc(Cl)cc2)c1 ZINC000742173196 574317397 /nfs/dbraw/zinc/31/73/97/574317397.db2.gz HQSIOOXIQUFWMO-ZDUSSCGKSA-N 0 0 292.726 2.849 20 5 CFBDRN CCc1ccc(COC(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000735339860 574321245 /nfs/dbraw/zinc/32/12/45/574321245.db2.gz QECKSAUZNMOUOK-UHFFFAOYSA-N 0 0 288.303 2.853 20 5 CFBDRN Cc1cnn(Cc2ccc(Cl)cc2[N+](=O)[O-])c1 ZINC000742359081 574324196 /nfs/dbraw/zinc/32/41/96/574324196.db2.gz UQYQMEUXZCGGRH-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@H](O)c1ccc(F)cc1 ZINC000735487638 574326760 /nfs/dbraw/zinc/32/67/60/574326760.db2.gz RHBBFRBHOKNAMK-OTYXRUKQSA-N 0 0 291.282 2.663 20 5 CFBDRN CO[C@H](C)CC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000750146426 574326937 /nfs/dbraw/zinc/32/69/37/574326937.db2.gz IHJAFGICKRJKPS-ZJUUUORDSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCCOC[C@H]1CCCO1 ZINC000742390677 574327058 /nfs/dbraw/zinc/32/70/58/574327058.db2.gz XPWKANLIQFRUCR-LLVKDONJSA-N 0 0 298.314 2.732 20 5 CFBDRN CO[C@@H](C)CC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000750146424 574327876 /nfs/dbraw/zinc/32/78/76/574327876.db2.gz IHJAFGICKRJKPS-VHSXEESVSA-N 0 0 267.281 2.624 20 5 CFBDRN CSCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000744334307 574331234 /nfs/dbraw/zinc/33/12/34/574331234.db2.gz DBVNEWAXMSECFF-UHFFFAOYSA-N 0 0 283.349 2.779 20 5 CFBDRN C[C@H]1SCCN(c2nc3ccccn3c2[N+](=O)[O-])[C@H]1C ZINC000735669557 574332685 /nfs/dbraw/zinc/33/26/85/574332685.db2.gz SGGXINGPDUVTTM-VHSXEESVSA-N 0 0 292.364 2.573 20 5 CFBDRN CC(C)C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735800922 574336419 /nfs/dbraw/zinc/33/64/19/574336419.db2.gz RPPMHGNFBSMGPC-UHFFFAOYSA-N 0 0 257.673 2.947 20 5 CFBDRN O=C(C[C@H]1CCCO1)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735801375 574336482 /nfs/dbraw/zinc/33/64/82/574336482.db2.gz ZBWFKCFFEZJRKQ-SNVBAGLBSA-N 0 0 299.710 2.861 20 5 CFBDRN O=C(OCC1CC=CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000750374401 574336541 /nfs/dbraw/zinc/33/65/41/574336541.db2.gz WRBXNVVNVOGDCS-UHFFFAOYSA-N 0 0 265.240 2.857 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000742739132 574342049 /nfs/dbraw/zinc/34/20/49/574342049.db2.gz FUJQZJVUDNPBML-ZYHUDNBSSA-N 0 0 294.376 2.917 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000742742996 574342253 /nfs/dbraw/zinc/34/22/53/574342253.db2.gz JZSJMSPYVXJBEJ-WCBMZHEXSA-N 0 0 298.339 2.748 20 5 CFBDRN C/C=C/COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750532958 574343556 /nfs/dbraw/zinc/34/35/56/574343556.db2.gz LRHXMKCCSYEZGJ-NSCUHMNNSA-N 0 0 255.657 2.981 20 5 CFBDRN C[C@@H](OC(=O)c1cc[nH]c1)c1cccc([N+](=O)[O-])c1 ZINC000750495785 574343604 /nfs/dbraw/zinc/34/36/04/574343604.db2.gz FDVXHMIPTKDMTN-SECBINFHSA-N 0 0 260.249 2.841 20 5 CFBDRN Cc1cccnc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000750522811 574344756 /nfs/dbraw/zinc/34/47/56/574344756.db2.gz DHAYKCFHTIWEMZ-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCc2ccon2)c1 ZINC000735966786 574344862 /nfs/dbraw/zinc/34/48/62/574344862.db2.gz ULNIMRGPAVIDJU-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN C[Si](C)(C)COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000735967120 574344882 /nfs/dbraw/zinc/34/48/82/574344882.db2.gz ZOTGTNNKWPHFDG-UHFFFAOYSA-N 0 0 296.399 2.817 20 5 CFBDRN O=C(O[C@H]1CCCCC1=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750540873 574346936 /nfs/dbraw/zinc/34/69/36/574346936.db2.gz IWHKWMWJHRQOMP-NSHDSACASA-N 0 0 297.694 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCc3c[nH]nc3C2)c1 ZINC000750720150 574352300 /nfs/dbraw/zinc/35/23/00/574352300.db2.gz DEIXQSBZMQLQCY-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN CC[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000736159486 574352853 /nfs/dbraw/zinc/35/28/53/574352853.db2.gz VFNWWWNGZPRJFP-NSHDSACASA-N 0 0 286.715 2.920 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@H]1CCCS1 ZINC000750888296 574358930 /nfs/dbraw/zinc/35/89/30/574358930.db2.gz AXHJUZXSYHXUTL-LLVKDONJSA-N 0 0 285.296 2.673 20 5 CFBDRN CCCC[C@H](COC)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000736299325 574360726 /nfs/dbraw/zinc/36/07/26/574360726.db2.gz QRYLAXZMRPGOTD-LLVKDONJSA-N 0 0 292.339 2.860 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C1CCC(=O)CC1 ZINC000751225696 574372470 /nfs/dbraw/zinc/37/24/70/574372470.db2.gz QGRTUTTZLJHDBZ-UHFFFAOYSA-N 0 0 298.245 2.571 20 5 CFBDRN O=C(Nc1ncccc1O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000743805603 574372603 /nfs/dbraw/zinc/37/26/03/574372603.db2.gz LVXQOUADNFXQKB-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN O=C(Cc1ccccc1O)OCc1ccccc1[N+](=O)[O-] ZINC000725938103 574372717 /nfs/dbraw/zinc/37/27/17/574372717.db2.gz FTIWOGVDLFNVDX-UHFFFAOYSA-N 0 0 287.271 2.586 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000751802429 574383254 /nfs/dbraw/zinc/38/32/54/574383254.db2.gz PMPOJJBZBZHVAG-LBPRGKRZSA-N 0 0 279.292 2.563 20 5 CFBDRN O=[N+]([O-])c1c(NCC2(C3CC3)CC2)nc2ccccn21 ZINC000751956451 574385758 /nfs/dbraw/zinc/38/57/58/574385758.db2.gz OYVFBPGEHHGBIP-UHFFFAOYSA-N 0 0 272.308 2.845 20 5 CFBDRN CCC(=O)COC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000752345834 574390531 /nfs/dbraw/zinc/39/05/31/574390531.db2.gz BIJWCUSSLGSNQX-CSKARUKUSA-N 0 0 277.276 2.520 20 5 CFBDRN O=C(OCc1cccnc1)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752568131 574392849 /nfs/dbraw/zinc/39/28/49/574392849.db2.gz LTLVYZODUMGKJY-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@H]1CC1(Cl)Cl ZINC000752803231 574395427 /nfs/dbraw/zinc/39/54/27/574395427.db2.gz VCHSHDDTKDBTJT-ZCFIWIBFSA-N 0 0 263.080 2.562 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2(C)CCOCC2)c1 ZINC000753361394 574401714 /nfs/dbraw/zinc/40/17/14/574401714.db2.gz MGMCZQQJTCRZJZ-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN Cc1cc(C(=O)Oc2cccc([N+](=O)[O-])c2C)c(C)nn1 ZINC000753488889 574403073 /nfs/dbraw/zinc/40/30/73/574403073.db2.gz ULKSUBPONQSCHM-UHFFFAOYSA-N 0 0 287.275 2.529 20 5 CFBDRN CCON(C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000753533629 574404121 /nfs/dbraw/zinc/40/41/21/574404121.db2.gz NZDWUMXNGCITFQ-UHFFFAOYSA-N 0 0 295.339 2.510 20 5 CFBDRN CCc1nc(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])co1 ZINC000753623627 574406844 /nfs/dbraw/zinc/40/68/44/574406844.db2.gz MRARRURKBQZKSQ-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)C1CC(F)(F)C1 ZINC000753625930 574406969 /nfs/dbraw/zinc/40/69/69/574406969.db2.gz NYBCJFCNJJUORC-UHFFFAOYSA-N 0 0 271.219 2.683 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)on1 ZINC000753672996 574407789 /nfs/dbraw/zinc/40/77/89/574407789.db2.gz ZCZDMWQFZYNPRW-MRVPVSSYSA-N 0 0 292.247 2.509 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1cccc(F)n1 ZINC000753732221 574408950 /nfs/dbraw/zinc/40/89/50/574408950.db2.gz QFOUOGUIDNUGRD-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN CC(C)C1CN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000753821524 574410781 /nfs/dbraw/zinc/41/07/81/574410781.db2.gz JIEOXDSCBLOVSF-UHFFFAOYSA-N 0 0 289.335 2.572 20 5 CFBDRN CC(C)[C@H](C)Sc1ccc([N+](=O)[O-])cc1-c1nn[nH]n1 ZINC000820021132 599099329 /nfs/dbraw/zinc/09/93/29/599099329.db2.gz PPCRJYFTVSUKEA-QMMMGPOBSA-N 0 0 293.352 2.912 20 5 CFBDRN C[C@@H]([NH2+]CCCCC(=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000820141384 598171289 /nfs/dbraw/zinc/17/12/89/598171289.db2.gz BBACZPNQTMSRPN-SNVBAGLBSA-N 0 0 266.297 2.500 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCCCCO1 ZINC000935592170 649920160 /nfs/dbraw/zinc/92/01/60/649920160.db2.gz GMDOWKAGWCZKSE-UONOGXRCSA-N 0 0 290.319 2.642 20 5 CFBDRN C[C@@]1(C(=O)[O-])CC[N@H+](Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000093633544 601921261 /nfs/dbraw/zinc/92/12/61/601921261.db2.gz UIGMWIYGPBAQKK-CYBMUJFWSA-N 0 0 298.726 2.545 20 5 CFBDRN CC(C)(CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000901681799 620892939 /nfs/dbraw/zinc/89/29/39/620892939.db2.gz NNBGAZDUEYJFSK-UHFFFAOYSA-N 0 0 286.715 2.591 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935791110 649944917 /nfs/dbraw/zinc/94/49/17/649944917.db2.gz KEDGPGZANAWLOY-IGJVIKARSA-N 0 0 274.320 2.613 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935791109 649944931 /nfs/dbraw/zinc/94/49/31/649944931.db2.gz KEDGPGZANAWLOY-ICGCDAGXSA-N 0 0 274.320 2.613 20 5 CFBDRN CCCN(C)C(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000900427286 620675464 /nfs/dbraw/zinc/67/54/64/620675464.db2.gz XDSZPSKIXLNFOP-UHFFFAOYSA-N 0 0 274.679 2.869 20 5 CFBDRN C/C(=C/C[NH2+][C@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000833381710 605174196 /nfs/dbraw/zinc/17/41/96/605174196.db2.gz DIYSTBIOYFJNCX-SDKXAQGSSA-N 0 0 278.308 2.585 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@@]1(C)C=CCC1 ZINC000935914882 649960197 /nfs/dbraw/zinc/96/01/97/649960197.db2.gz GDNCIDHJCGBCPB-INIZCTEOSA-N 0 0 288.347 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1Cn1cc(C2CCC2)nn1 ZINC000900532327 620687424 /nfs/dbraw/zinc/68/74/24/620687424.db2.gz JCYFTWWOFRNUDU-UHFFFAOYSA-N 0 0 276.271 2.641 20 5 CFBDRN Nc1ccc(NC(=O)C[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000936023451 649975871 /nfs/dbraw/zinc/97/58/71/649975871.db2.gz GMBGRKLFQLRKBA-SNVBAGLBSA-N 0 0 275.308 2.862 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)COC(F)(F)F ZINC000936034498 649977894 /nfs/dbraw/zinc/97/78/94/649977894.db2.gz XOYOQOPOGMEQJE-UHFFFAOYSA-N 0 0 292.213 2.687 20 5 CFBDRN CC(C)CCO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000957113135 614057289 /nfs/dbraw/zinc/05/72/89/614057289.db2.gz PMXSWYXVRHYZDF-LBPRGKRZSA-N 0 0 297.330 2.770 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1CCSCC1 ZINC000891879301 617798591 /nfs/dbraw/zinc/79/85/91/617798591.db2.gz QLQMVTNZGSQKRL-UHFFFAOYSA-N 0 0 293.323 2.835 20 5 CFBDRN CCOC(=O)c1cc(C(=O)O[C@@H](C)CC)cc([N+](=O)[O-])c1 ZINC000121612462 617802356 /nfs/dbraw/zinc/80/23/56/617802356.db2.gz DRQGRCARAZKDCH-VIFPVBQESA-N 0 0 295.291 2.727 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1cccnc1Cl ZINC000073473999 617987668 /nfs/dbraw/zinc/98/76/68/617987668.db2.gz IXCZYJFZDKZVQN-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000804854772 618051829 /nfs/dbraw/zinc/05/18/29/618051829.db2.gz KTNCYKLOPYIAOQ-KCJUWKMLSA-N 0 0 284.262 2.601 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCS[C@@H]2C)c1C ZINC000892298082 618097965 /nfs/dbraw/zinc/09/79/65/618097965.db2.gz DJHPOLABIAKIMP-GHMZBOCLSA-N 0 0 294.376 2.835 20 5 CFBDRN O=C(/C=C/c1ccco1)NCc1cccc([N+](=O)[O-])c1 ZINC000049412905 617603528 /nfs/dbraw/zinc/60/35/28/617603528.db2.gz OEIKZMVLWFNVLD-VOTSOKGWSA-N 0 0 272.260 2.517 20 5 CFBDRN Cc1c(NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])cnn1C ZINC000901024895 620743635 /nfs/dbraw/zinc/74/36/35/620743635.db2.gz WHAVFXUIORLMFG-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CC(C)(C)OCCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000308526801 617692592 /nfs/dbraw/zinc/69/25/92/617692592.db2.gz HUAWTCIEGOEXQI-UHFFFAOYSA-N 0 0 283.284 2.730 20 5 CFBDRN C[C@@H](Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CC1 ZINC000891692651 617712883 /nfs/dbraw/zinc/71/28/83/617712883.db2.gz QGBAQTNZCSHNFO-SSDOTTSWSA-N 0 0 251.242 2.713 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000924039879 617721786 /nfs/dbraw/zinc/72/17/86/617721786.db2.gz CMECWSMRWXXDDH-WCUVEOEZSA-N 0 0 288.347 2.546 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)c1[N+](=O)[O-] ZINC000924375714 617751565 /nfs/dbraw/zinc/75/15/65/617751565.db2.gz HGUCLRIFRMIZQM-JGPRNRPPSA-N 0 0 294.307 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2cc(F)ncc2F)nc1 ZINC000806567296 617765465 /nfs/dbraw/zinc/76/54/65/617765465.db2.gz UXJMMCIEYKOTFG-OWOJBTEDSA-N 0 0 263.203 2.833 20 5 CFBDRN C[C@@H]1CN(c2c([N+](=O)[O-])cnn2C)c2ccccc21 ZINC000891852639 617786357 /nfs/dbraw/zinc/78/63/57/617786357.db2.gz PKCYMWRMSMKAAU-SECBINFHSA-N 0 0 258.281 2.584 20 5 CFBDRN C[C@H]1OCC[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000778094344 617787963 /nfs/dbraw/zinc/78/79/63/617787963.db2.gz ADJZSPBRNUMUGL-LDYMZIIASA-N 0 0 299.710 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CC[C@@H](C(F)F)C1 ZINC000804689126 617814050 /nfs/dbraw/zinc/81/40/50/617814050.db2.gz VVAFDGJNQNJCFV-SSDOTTSWSA-N 0 0 287.222 2.594 20 5 CFBDRN CC1CC(NC(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000934025228 617865048 /nfs/dbraw/zinc/86/50/48/617865048.db2.gz CKACEAAUJVAZMG-DMERGQKLSA-N 0 0 274.320 2.613 20 5 CFBDRN Cc1ccc([C@@H](O)[C@@H](C)Nc2ccc([N+](=O)[O-])cn2)cc1 ZINC000804809568 617892079 /nfs/dbraw/zinc/89/20/79/617892079.db2.gz GJOYYIPIQBULAU-ABAIWWIYSA-N 0 0 287.319 2.832 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCOC[C@H]2C)c1 ZINC000804819154 617900283 /nfs/dbraw/zinc/90/02/83/617900283.db2.gz VSSUJFJXRYGBNO-ZJUUUORDSA-N 0 0 298.314 2.827 20 5 CFBDRN C[C@@H]1CC(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C[C@@H](C)C1 ZINC000084438650 617920935 /nfs/dbraw/zinc/92/09/35/617920935.db2.gz SVFIOQQLNZLGJH-UWVGGRQHSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H](COC(=O)c1ccc2ccccc2c1)[N+](=O)[O-] ZINC000813101482 617923976 /nfs/dbraw/zinc/92/39/76/617923976.db2.gz BIVNSKDBKSNOGS-JTQLQIEISA-N 0 0 259.261 2.662 20 5 CFBDRN CSc1ccc(C)c(C(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000813102018 617924257 /nfs/dbraw/zinc/92/42/57/617924257.db2.gz NCBAORYNJQVSTQ-SECBINFHSA-N 0 0 269.322 2.539 20 5 CFBDRN C[C@H](COC(=O)CSc1ccccc1Cl)[N+](=O)[O-] ZINC000813103873 617925184 /nfs/dbraw/zinc/92/51/84/617925184.db2.gz HQBBSFMGNUCGGA-MRVPVSSYSA-N 0 0 289.740 2.641 20 5 CFBDRN CSCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000890876365 617933311 /nfs/dbraw/zinc/93/33/11/617933311.db2.gz NGJXPFUUYRZLQN-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN Cc1c(CO)cc([N+](=O)[O-])c(N[C@@H]2CC[C@@H]2C)c1[N+](=O)[O-] ZINC000804840756 617937158 /nfs/dbraw/zinc/93/71/58/617937158.db2.gz PESCNYFSAVSFNO-OIBJUYFYSA-N 0 0 295.295 2.514 20 5 CFBDRN CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813110724 617937160 /nfs/dbraw/zinc/93/71/60/617937160.db2.gz ICVWSXDREMDDSH-LPWJVIDDSA-N 0 0 287.356 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OC[C@H]1CCOC1 ZINC000109744075 617938799 /nfs/dbraw/zinc/93/87/99/617938799.db2.gz UAQMYGFTIAKOMM-QMMMGPOBSA-N 0 0 257.673 2.664 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CC[C@@H]2CCCC[C@@H]2C1)[N+](=O)[O-] ZINC000813112363 617941020 /nfs/dbraw/zinc/94/10/20/617941020.db2.gz FYOSSRGKAGAHRZ-YVECIDJPSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@H](NC(=O)NC/C=C/Cl)c1cccc([N+](=O)[O-])c1 ZINC000892110828 617956444 /nfs/dbraw/zinc/95/64/44/617956444.db2.gz GOTLVXBEXYBJMY-SWTNXBIASA-N 0 0 283.715 2.708 20 5 CFBDRN C/C(Cl)=C\C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000901219252 620767185 /nfs/dbraw/zinc/76/71/85/620767185.db2.gz YGRGWQMXQJCICI-VQHVLOKHSA-N 0 0 280.711 2.622 20 5 CFBDRN C[C@@H]1CSCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000934303327 617993674 /nfs/dbraw/zinc/99/36/74/617993674.db2.gz LPXINFJWLXIRSL-SECBINFHSA-N 0 0 272.395 2.984 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCc2cccnc2)c1[N+](=O)[O-] ZINC000175850829 618005289 /nfs/dbraw/zinc/00/52/89/618005289.db2.gz WVAVVSKECVMBIV-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc(C(=O)N=[S@](C)(=O)C(C)C)cc1[N+](=O)[O-] ZINC000926069973 618008103 /nfs/dbraw/zinc/00/81/03/618008103.db2.gz CYDVAQGRZSTKAE-LJQANCHMSA-N 0 0 284.337 2.550 20 5 CFBDRN C[C@H](COC(=O)[C@H](C)c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000845577022 618014698 /nfs/dbraw/zinc/01/46/98/618014698.db2.gz QKHWACPISDPERZ-RKDXNWHRSA-N 0 0 271.700 2.652 20 5 CFBDRN O=C(NC/C=C\Cl)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000891214925 618048291 /nfs/dbraw/zinc/04/82/91/618048291.db2.gz FQFBPQBJAPPRSR-BHQIHCQQSA-N 0 0 295.726 2.651 20 5 CFBDRN CN(CC1CCCCC1)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050209018 618094075 /nfs/dbraw/zinc/09/40/75/618094075.db2.gz ZFJVAWPJSHFIJN-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN C/C=C(\C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000178806679 618138235 /nfs/dbraw/zinc/13/82/35/618138235.db2.gz YAICZAGNPNXOHE-WXLQGSQKSA-N 0 0 260.293 2.839 20 5 CFBDRN CC(C)CC(CC(C)C)C(=O)Nc1c([N+](=O)[O-])ncn1C ZINC000891344984 618141469 /nfs/dbraw/zinc/14/14/69/618141469.db2.gz GLVROUDHEKZXPV-UHFFFAOYSA-N 0 0 296.371 2.975 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)/C(F)=C/C1CCCCC1 ZINC000891346011 618141720 /nfs/dbraw/zinc/14/17/20/618141720.db2.gz SGPVMLIYNYCAOZ-YFHOEESVSA-N 0 0 296.302 2.701 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCc2c(C)cccc2C1 ZINC000892333049 618152493 /nfs/dbraw/zinc/15/24/93/618152493.db2.gz DLLPVHRXCROPRG-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCOC[C@@H]1CC1CC1 ZINC000933216756 618170944 /nfs/dbraw/zinc/17/09/44/618170944.db2.gz ZFZYKOADSLEPNU-AWEZNQCLSA-N 0 0 276.336 2.596 20 5 CFBDRN CCc1ccc2c(c1)CCN2C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000891383842 618176960 /nfs/dbraw/zinc/17/69/60/618176960.db2.gz DUAWGFDRJDQZDO-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CCc1ccc2c(c1)CCN2C(=O)c1ccc([N+](=O)[O-])o1 ZINC000891387480 618180544 /nfs/dbraw/zinc/18/05/44/618180544.db2.gz DFLUMCQINCFXRC-UHFFFAOYSA-N 0 0 286.287 2.953 20 5 CFBDRN C[C@@H]1CCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000891401266 618186424 /nfs/dbraw/zinc/18/64/24/618186424.db2.gz VZZHGYWJCDTWNR-SECBINFHSA-N 0 0 265.269 2.739 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC[C@@H](C)O2)c1C ZINC000891421731 618192723 /nfs/dbraw/zinc/19/27/23/618192723.db2.gz PXFMYUQFSIBYGQ-ZYHUDNBSSA-N 0 0 292.335 2.509 20 5 CFBDRN CC1CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000891433039 618199392 /nfs/dbraw/zinc/19/93/92/618199392.db2.gz ATKPDQREHHNSOM-UHFFFAOYSA-N 0 0 265.269 2.739 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)c2ccnn2C)c1 ZINC000235276623 618225817 /nfs/dbraw/zinc/22/58/17/618225817.db2.gz BAVYSKYMOMIRDO-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000892419087 618234619 /nfs/dbraw/zinc/23/46/19/618234619.db2.gz ZHSISBSWHDXTQI-MRVWCRGKSA-N 0 0 289.335 2.583 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)CC(F)(F)F)c1[N+](=O)[O-] ZINC000177005847 618251475 /nfs/dbraw/zinc/25/14/75/618251475.db2.gz ZEBVLYXHFNQBCJ-UHFFFAOYSA-N 0 0 294.204 2.677 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@@H](c2ccccc2)C1 ZINC000893970129 618256105 /nfs/dbraw/zinc/25/61/05/618256105.db2.gz AVBSABQZJURKEF-CYBMUJFWSA-N 0 0 286.335 2.712 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000930732163 618256907 /nfs/dbraw/zinc/25/69/07/618256907.db2.gz AQFXHEWUXJMWRB-STQMWFEESA-N 0 0 293.323 2.544 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@H]1C=CCCCCC1 ZINC000793409708 618272733 /nfs/dbraw/zinc/27/27/33/618272733.db2.gz WEXANNZTRYERGW-LBPRGKRZSA-N 0 0 278.308 2.979 20 5 CFBDRN O=C(CCc1ccoc1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000177071377 618278556 /nfs/dbraw/zinc/27/85/56/618278556.db2.gz RWBSBMUFGFKKPT-UHFFFAOYSA-N 0 0 278.239 2.898 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000894044397 618286698 /nfs/dbraw/zinc/28/66/98/618286698.db2.gz YZWKSUSDICWNJF-ZETCQYMHSA-N 0 0 272.251 2.541 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@@H]2CC[C@@H]2C)c1F ZINC000312516610 618299878 /nfs/dbraw/zinc/29/98/78/618299878.db2.gz HRJTYUGDHQBRCU-POYBYMJQSA-N 0 0 284.262 2.710 20 5 CFBDRN Cc1cn(C)nc1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000840689093 618306739 /nfs/dbraw/zinc/30/67/39/618306739.db2.gz RYPNBRDZTBXFCR-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CCC1(CNC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])CC1 ZINC000151473502 618368376 /nfs/dbraw/zinc/36/83/76/618368376.db2.gz XMQPZKAYMKNCCN-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1C=CCC1 ZINC000893328939 618373895 /nfs/dbraw/zinc/37/38/95/618373895.db2.gz GTSYFEWXPBENMQ-NSHDSACASA-N 0 0 260.293 2.599 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1OC)[C@H]1CCCOC1 ZINC000895161059 618408189 /nfs/dbraw/zinc/40/81/89/618408189.db2.gz QCFZZOGEMFYRTL-CMPLNLGQSA-N 0 0 295.339 2.616 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000894168013 618415330 /nfs/dbraw/zinc/41/53/30/618415330.db2.gz PNWNZTHMQUQMGK-MIMYLULJSA-N 0 0 263.297 2.599 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cc([C@@H]2CCOC2)on1 ZINC000901394450 620804130 /nfs/dbraw/zinc/80/41/30/620804130.db2.gz HFICEHSDHPAHGK-SECBINFHSA-N 0 0 260.249 2.754 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000776342295 618433136 /nfs/dbraw/zinc/43/31/36/618433136.db2.gz FTXUMHVSSJGILL-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(C(C)(C)C)cc1 ZINC000814042395 618442082 /nfs/dbraw/zinc/44/20/82/618442082.db2.gz JQWNHOSAIRMALF-JTQLQIEISA-N 0 0 265.309 2.806 20 5 CFBDRN Cc1ccccc1C1(C(=O)O[C@@H](C)C[N+](=O)[O-])CCC1 ZINC000814042563 618442984 /nfs/dbraw/zinc/44/29/84/618442984.db2.gz XHICTHRLMRFZSM-LBPRGKRZSA-N 0 0 277.320 2.625 20 5 CFBDRN CCSc1ccc(CC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814045126 618444337 /nfs/dbraw/zinc/44/43/37/618444337.db2.gz NBESGHFBYOOBBZ-SNVBAGLBSA-N 0 0 283.349 2.550 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc2ccc(Cl)cc2n1 ZINC000814043759 618445444 /nfs/dbraw/zinc/44/54/44/618445444.db2.gz ITJXBLROGHUGGH-QMMMGPOBSA-N 0 0 294.694 2.710 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)COc1ccc(C(C)(C)C)cc1 ZINC000814046133 618450815 /nfs/dbraw/zinc/45/08/15/618450815.db2.gz GFNQFXZMPQJULU-LLVKDONJSA-N 0 0 295.335 2.571 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCC1CCCC1 ZINC000726350975 618465997 /nfs/dbraw/zinc/46/59/97/618465997.db2.gz BXVFJOZRXZRKMW-UHFFFAOYSA-N 0 0 266.297 2.670 20 5 CFBDRN CC[C@H](COC(=O)c1cc([N+](=O)[O-])cc(C)c1F)OC ZINC000842092856 618468554 /nfs/dbraw/zinc/46/85/54/618468554.db2.gz MYBNNGHGGHIGFX-SNVBAGLBSA-N 0 0 285.271 2.624 20 5 CFBDRN Cc1cn(C)nc1COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000901405492 620807922 /nfs/dbraw/zinc/80/79/22/620807922.db2.gz UNWLHGFXGJGPRN-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccncc1 ZINC000726385301 618470280 /nfs/dbraw/zinc/47/02/80/618470280.db2.gz BIISKNUAHUPUFD-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NOCCC1CC1 ZINC000814477744 618476707 /nfs/dbraw/zinc/47/67/07/618476707.db2.gz ABVNPQSSCFWZQN-UHFFFAOYSA-N 0 0 279.296 2.756 20 5 CFBDRN CC(C)(CCc1noc([C@@]2(C)C=CCC2)n1)[N+](=O)[O-] ZINC000924078171 618478050 /nfs/dbraw/zinc/47/80/50/618478050.db2.gz GVGPBKCPRGRUTI-ZDUSSCGKSA-N 0 0 265.313 2.665 20 5 CFBDRN CC(C)(CCc1noc(C2(C)CC=CC2)n1)[N+](=O)[O-] ZINC000924078129 618478339 /nfs/dbraw/zinc/47/83/39/618478339.db2.gz FIAUCSLNWWVWIS-UHFFFAOYSA-N 0 0 265.313 2.665 20 5 CFBDRN CN(CCCF)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000895287462 618510913 /nfs/dbraw/zinc/51/09/13/618510913.db2.gz XWWBQWHCTPNOTB-UHFFFAOYSA-N 0 0 280.255 2.773 20 5 CFBDRN CN(CCCF)C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000895294406 618513510 /nfs/dbraw/zinc/51/35/10/618513510.db2.gz MTMDXOLLDUJOPK-UHFFFAOYSA-N 0 0 274.679 2.680 20 5 CFBDRN CN(CCCF)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000895293219 618515672 /nfs/dbraw/zinc/51/56/72/618515672.db2.gz GYKFTZDLOYCRKQ-UHFFFAOYSA-N 0 0 291.282 2.575 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2C[C@@H]3C[C@]3(c3ccccc3)C2)c1 ZINC000895395587 618567071 /nfs/dbraw/zinc/56/70/71/618567071.db2.gz LVSHVSVYDYPLAA-SUMWQHHRSA-N 0 0 295.342 2.955 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC[C@@H]1C(F)F ZINC000895437058 618585619 /nfs/dbraw/zinc/58/56/19/618585619.db2.gz RCHASKIXFKFHCK-LLVKDONJSA-N 0 0 286.278 2.833 20 5 CFBDRN Nc1c(C(=O)N2CCCC2)cc2ccccc2c1[N+](=O)[O-] ZINC000895439399 618587518 /nfs/dbraw/zinc/58/75/18/618587518.db2.gz FFBPGWMPFAPLPP-UHFFFAOYSA-N 0 0 285.303 2.566 20 5 CFBDRN C[C@@H](CC1CCCC1)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000177789644 618606191 /nfs/dbraw/zinc/60/61/91/618606191.db2.gz ZVZOEUIREOVTAZ-NSHDSACASA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCC[C@@H]1CCCO1 ZINC000177945911 618614908 /nfs/dbraw/zinc/61/49/08/618614908.db2.gz LMLXIGMDAZDWCP-GPAKFWEMSA-N 0 0 291.303 2.720 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCCCSC ZINC000892604322 618650387 /nfs/dbraw/zinc/65/03/87/618650387.db2.gz RIRZFIGYBCXNRC-UHFFFAOYSA-N 0 0 271.342 2.554 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1ncccc1C ZINC000180311397 618653470 /nfs/dbraw/zinc/65/34/70/618653470.db2.gz XHXPHJFWDVORBF-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC[C@H](C[NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-])OC ZINC000310905318 618656284 /nfs/dbraw/zinc/65/62/84/618656284.db2.gz BTFNMDUWNGTAMH-LLVKDONJSA-N 0 0 272.732 2.763 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000310947979 618662029 /nfs/dbraw/zinc/66/20/29/618662029.db2.gz QEPHKIOLFATLMG-XCBNKYQSSA-N 0 0 268.700 2.777 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892654776 618676587 /nfs/dbraw/zinc/67/65/87/618676587.db2.gz ZTJOEFPSOABIKF-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN C[C@@H](COC(=O)C1(C(F)(F)F)CCCCC1)[N+](=O)[O-] ZINC000851037217 618678438 /nfs/dbraw/zinc/67/84/38/618678438.db2.gz SHBNCASIQZYVGF-QMMMGPOBSA-N 0 0 283.246 2.708 20 5 CFBDRN Cc1cn(Cc2ccc(Cl)c([N+](=O)[O-])c2)nc1[N+](=O)[O-] ZINC000070218347 625285318 /nfs/dbraw/zinc/28/53/18/625285318.db2.gz OSZPPFHTFUUKFG-UHFFFAOYSA-N 0 0 296.670 2.710 20 5 CFBDRN CN(C)c1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000103415722 618726146 /nfs/dbraw/zinc/72/61/46/618726146.db2.gz CDIUYVPENGZUGY-UHFFFAOYSA-N 0 0 299.330 2.937 20 5 CFBDRN CCC[C@]1(C)CCCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000892739393 618736796 /nfs/dbraw/zinc/73/67/96/618736796.db2.gz DJLHAMCHYVQGKF-CYBMUJFWSA-N 0 0 266.345 2.735 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000892743106 618737532 /nfs/dbraw/zinc/73/75/32/618737532.db2.gz XPJRCGKNYLEVNW-GFCCVEGCSA-N 0 0 296.323 2.747 20 5 CFBDRN C/C=C(/C)C(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000892747754 618739220 /nfs/dbraw/zinc/73/92/20/618739220.db2.gz ZADISKUMLKEAKR-WTKPLQERSA-N 0 0 264.281 2.898 20 5 CFBDRN Cc1cccc(C(=O)OCC(F)(F)F)c1[N+](=O)[O-] ZINC000066776208 618747397 /nfs/dbraw/zinc/74/73/97/618747397.db2.gz IGXBWEPUIVCEOI-UHFFFAOYSA-N 0 0 263.171 2.622 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000784149852 618751199 /nfs/dbraw/zinc/75/11/99/618751199.db2.gz VIRAQWKZGWCZCP-PSASIEDQSA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@@H]1[C@H](c2ccccc2)CCN1c1c([N+](=O)[O-])cnn1C ZINC000892861295 618759044 /nfs/dbraw/zinc/75/90/44/618759044.db2.gz UEZTYPXSSDHXHU-DGCLKSJQSA-N 0 0 286.335 2.711 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(SCCSCCO)c(F)c1 ZINC000784686380 618790723 /nfs/dbraw/zinc/79/07/23/618790723.db2.gz HGOGPTFCKPXKIK-UHFFFAOYSA-N 0 0 295.332 2.691 20 5 CFBDRN C[C@@H](COC(=O)CC[C@@H]1CCc2ccccc21)[N+](=O)[O-] ZINC000893043687 618813158 /nfs/dbraw/zinc/81/31/58/618813158.db2.gz AGCJMRRTHWLYAS-AAEUAGOBSA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@H](COC(=O)CC[C@H]1CCc2ccccc21)[N+](=O)[O-] ZINC000893043688 618813544 /nfs/dbraw/zinc/81/35/44/618813544.db2.gz AGCJMRRTHWLYAS-DGCLKSJQSA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC[C@H]1CCc2ccccc21 ZINC000893075534 618823562 /nfs/dbraw/zinc/82/35/62/618823562.db2.gz BUKQEMGQHYVTGL-DGCLKSJQSA-N 0 0 277.320 2.705 20 5 CFBDRN Cc1cc(-c2noc(CC3(C)COC3)n2)cc([N+](=O)[O-])c1 ZINC000924093228 618849691 /nfs/dbraw/zinc/84/96/91/618849691.db2.gz OOOUEFCTIPTYMK-UHFFFAOYSA-N 0 0 289.291 2.532 20 5 CFBDRN CC1=NO[C@@H](CNc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000853661422 618853982 /nfs/dbraw/zinc/85/39/82/618853982.db2.gz NGBJYWVEJPNTEE-SECBINFHSA-N 0 0 269.688 2.825 20 5 CFBDRN CC1=NO[C@H](CNc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000853661430 618854167 /nfs/dbraw/zinc/85/41/67/618854167.db2.gz NGBJYWVEJPNTEE-VIFPVBQESA-N 0 0 269.688 2.825 20 5 CFBDRN CCO/C=C\C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000840584724 618858920 /nfs/dbraw/zinc/85/89/20/618858920.db2.gz KBSMSPXHFFMWNL-WAYWQWQTSA-N 0 0 285.683 2.842 20 5 CFBDRN O=[N+]([O-])CCN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC000930562579 618865211 /nfs/dbraw/zinc/86/52/11/618865211.db2.gz ZCKKMROTOOWTBD-GFCCVEGCSA-N 0 0 286.784 2.783 20 5 CFBDRN CC(C)C[C@@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000035663902 618866110 /nfs/dbraw/zinc/86/61/10/618866110.db2.gz KPSNULLMDJBZQZ-MRVPVSSYSA-N 0 0 264.285 2.978 20 5 CFBDRN Cc1noc(COC(=O)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000853899928 618882163 /nfs/dbraw/zinc/88/21/63/618882163.db2.gz RLSRUQARIHJTIU-UHFFFAOYSA-N 0 0 270.285 2.761 20 5 CFBDRN CC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)CC(F)(F)C1 ZINC000893362255 618932559 /nfs/dbraw/zinc/93/25/59/618932559.db2.gz BEESLVQDSSOHOY-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1ccoc1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000312895982 618962823 /nfs/dbraw/zinc/96/28/23/618962823.db2.gz XPXPGLPVBFRFID-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN C=C/C=C/CCNC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000893396824 618963144 /nfs/dbraw/zinc/96/31/44/618963144.db2.gz YVZADFXEEIWSGC-AATRIKPKSA-N 0 0 289.335 2.889 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000931424461 618968008 /nfs/dbraw/zinc/96/80/08/618968008.db2.gz HFBMVMPXYTZDSI-DDTOSNHZSA-N 0 0 274.320 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCC[C@H](CCO)C1 ZINC000093097809 618979311 /nfs/dbraw/zinc/97/93/11/618979311.db2.gz NAGIBFJFZIRTAL-LLVKDONJSA-N 0 0 298.770 2.843 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](CF)C1 ZINC000893415187 618982571 /nfs/dbraw/zinc/98/25/71/618982571.db2.gz OHCDQCYMVXEROE-LJLILKBBSA-N 0 0 292.310 2.816 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC[C@H](CF)C1 ZINC000893415183 618982649 /nfs/dbraw/zinc/98/26/49/618982649.db2.gz OHCDQCYMVXEROE-DMTLFAOVSA-N 0 0 292.310 2.816 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@H](CF)C1 ZINC000893415537 618983047 /nfs/dbraw/zinc/98/30/47/618983047.db2.gz PXPRQYKWZRLNHH-LLVKDONJSA-N 0 0 280.299 2.725 20 5 CFBDRN C[C@@H](OC(=O)C[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000066878241 619003551 /nfs/dbraw/zinc/00/35/51/619003551.db2.gz NOAUGESQBDVENN-ZWNOBZJWSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](CF)C2)c1C ZINC000893482406 619014825 /nfs/dbraw/zinc/01/48/25/619014825.db2.gz DSQXUGQMWLDXQA-NSHDSACASA-N 0 0 280.299 2.643 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC[C@@H](CF)C1 ZINC000893492716 619018436 /nfs/dbraw/zinc/01/84/36/619018436.db2.gz JLWYVMRQYVKGJQ-LBPRGKRZSA-N 0 0 294.326 2.952 20 5 CFBDRN C[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000893496246 619020459 /nfs/dbraw/zinc/02/04/59/619020459.db2.gz CUSSEZFYWBIANF-FZMZJTMJSA-N 0 0 293.319 2.957 20 5 CFBDRN CCCCN(CCO)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000049011279 619047729 /nfs/dbraw/zinc/04/77/29/619047729.db2.gz HCZXWERGONJPMH-UHFFFAOYSA-N 0 0 286.759 2.843 20 5 CFBDRN CCOC(=O)/C=C/COc1cc([N+](=O)[O-])ccc1Cl ZINC000094938098 619140821 /nfs/dbraw/zinc/14/08/21/619140821.db2.gz BRCVVWBXPGFSNQ-ONEGZZNKSA-N 0 0 285.683 2.746 20 5 CFBDRN COCC1CC(OC(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000893645007 619140876 /nfs/dbraw/zinc/14/08/76/619140876.db2.gz KKWVNDHLFNIOCO-UHFFFAOYSA-N 0 0 293.319 2.793 20 5 CFBDRN COCC1CC(OC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000893648093 619143361 /nfs/dbraw/zinc/14/33/61/619143361.db2.gz XGTULEOTIFIQMH-UHFFFAOYSA-N 0 0 293.319 2.793 20 5 CFBDRN CCCCC(=O)OCC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC000005755812 619158430 /nfs/dbraw/zinc/15/84/30/619158430.db2.gz ZTWZTYFGEMGHEK-UHFFFAOYSA-N 0 0 294.307 2.575 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)c1csnn1 ZINC000840969672 619180543 /nfs/dbraw/zinc/18/05/43/619180543.db2.gz IFWFSPXXELIZSX-ZETCQYMHSA-N 0 0 298.755 2.951 20 5 CFBDRN CCN(Cc1ccoc1)c1nc2ccccn2c1[N+](=O)[O-] ZINC000726595227 619252649 /nfs/dbraw/zinc/25/26/49/619252649.db2.gz MELNFXLVRUKWPS-UHFFFAOYSA-N 0 0 286.291 2.862 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cncc(F)c1 ZINC000158824632 619269486 /nfs/dbraw/zinc/26/94/86/619269486.db2.gz LVPNOGMZTBSFOP-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN C[C@@H](NC(=O)C1CCSCC1)c1ccc([N+](=O)[O-])cc1 ZINC000928248671 619328651 /nfs/dbraw/zinc/32/86/51/619328651.db2.gz LVSKSAHRCMKVPC-SNVBAGLBSA-N 0 0 294.376 2.915 20 5 CFBDRN CC[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)cc1F ZINC000840672920 619329999 /nfs/dbraw/zinc/32/99/99/619329999.db2.gz FVYUYIPRKGLVHS-YUSALJHKSA-N 0 0 285.246 2.624 20 5 CFBDRN Cc1ncoc1CNCc1cc([N+](=O)[O-])ccc1Cl ZINC000894331603 619336356 /nfs/dbraw/zinc/33/63/56/619336356.db2.gz HPFKJIOOYXRGAI-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2Nc2c([N+](=O)[O-])cnn2C)cc1 ZINC000894349799 619344782 /nfs/dbraw/zinc/34/47/82/619344782.db2.gz OVWAAYKUSWONTH-NWDGAFQWSA-N 0 0 272.308 2.605 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1C[C@H]1CC(C)(C)C ZINC000894384878 619361807 /nfs/dbraw/zinc/36/18/07/619361807.db2.gz KIHMSYKGLPIQLS-DTWKUNHWSA-N 0 0 252.318 2.565 20 5 CFBDRN CCC[C@@H](C)COC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000791896672 619368804 /nfs/dbraw/zinc/36/88/04/619368804.db2.gz FDOHEVFVZNCIQK-SNVBAGLBSA-N 0 0 283.328 2.506 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCCC2(CCCC2)CC1 ZINC000894499862 619404949 /nfs/dbraw/zinc/40/49/49/619404949.db2.gz IRFMDIJCWWURDF-UHFFFAOYSA-N 0 0 278.356 2.879 20 5 CFBDRN O=C(NCC[C@H]1CCCO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000894520411 619407074 /nfs/dbraw/zinc/40/70/74/619407074.db2.gz KHCOUSPYOCCOKR-SECBINFHSA-N 0 0 298.726 2.547 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCc2ccc(C)cc2C1 ZINC000894518468 619408066 /nfs/dbraw/zinc/40/80/66/619408066.db2.gz CJJYGLMTWFHLNX-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN Cn1c(=O)c([N+](=O)[O-])c(NCC2=CCCC2)c2ccccc21 ZINC000894599731 619428993 /nfs/dbraw/zinc/42/89/93/619428993.db2.gz QFRYCVQYWRKQQG-UHFFFAOYSA-N 0 0 299.330 2.969 20 5 CFBDRN CCC1(CC)CN(Cc2ccc(O)c(OC)c2[N+](=O)[O-])C1 ZINC000894819242 619472891 /nfs/dbraw/zinc/47/28/91/619472891.db2.gz JICCEOYWMDBCSD-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000048910828 619485259 /nfs/dbraw/zinc/48/52/59/619485259.db2.gz KEHPOMLXWSRMIG-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CCCC12CC2 ZINC000894863214 619488417 /nfs/dbraw/zinc/48/84/17/619488417.db2.gz ONONKCADZCUJRI-LLVKDONJSA-N 0 0 263.297 2.743 20 5 CFBDRN Cc1nc2c(s1)CN(c1ncc([N+](=O)[O-])cc1Cl)C2 ZINC000894903976 619508429 /nfs/dbraw/zinc/50/84/29/619508429.db2.gz YHWANFQFMCCMBZ-UHFFFAOYSA-N 0 0 296.739 2.928 20 5 CFBDRN CC(C)OC1CN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000894972444 619519344 /nfs/dbraw/zinc/51/93/44/619519344.db2.gz VYYWFRFZAPMPEA-UHFFFAOYSA-N 0 0 287.319 2.757 20 5 CFBDRN COC(=O)CC1CC(Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000895500059 619543842 /nfs/dbraw/zinc/54/38/42/619543842.db2.gz GVGHQULJGQKBNS-UHFFFAOYSA-N 0 0 278.308 2.657 20 5 CFBDRN Cn1ccc(C2CCN(c3ccccc3[N+](=O)[O-])CC2)n1 ZINC000895649998 619573704 /nfs/dbraw/zinc/57/37/04/619573704.db2.gz SHJGWCDZWBYWHO-UHFFFAOYSA-N 0 0 286.335 2.712 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@H]1c1ccnn1C ZINC000895717612 619589773 /nfs/dbraw/zinc/58/97/73/619589773.db2.gz AVDPYPRTQPQMOU-CYBMUJFWSA-N 0 0 286.335 2.978 20 5 CFBDRN C[C@@H]1CCN(c2ccc3ncccc3c2[N+](=O)[O-])CCO1 ZINC000895758352 619601056 /nfs/dbraw/zinc/60/10/56/619601056.db2.gz HGKZKJHTLXSPHO-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H]1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)CCO1 ZINC000895758732 619601215 /nfs/dbraw/zinc/60/12/15/619601215.db2.gz MPRJEYVECABYBT-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H](COCC1CCCCC1)Nc1c([N+](=O)[O-])ncn1C ZINC000895833813 619619807 /nfs/dbraw/zinc/61/98/07/619619807.db2.gz PLJLBNWGBALVEB-LLVKDONJSA-N 0 0 296.371 2.726 20 5 CFBDRN Cc1ccc(NC[C@]2(OC(C)C)CCOC2)c([N+](=O)[O-])c1 ZINC000895868622 619626405 /nfs/dbraw/zinc/62/64/05/619626405.db2.gz FDJNPXAFAIWYKC-OAHLLOKOSA-N 0 0 294.351 2.899 20 5 CFBDRN Cc1ccc(NC[C@@]2(OC(C)C)CCOC2)c([N+](=O)[O-])c1 ZINC000895868621 619626523 /nfs/dbraw/zinc/62/65/23/619626523.db2.gz FDJNPXAFAIWYKC-HNNXBMFYSA-N 0 0 294.351 2.899 20 5 CFBDRN C[C@@H]1CO[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000895954336 619643689 /nfs/dbraw/zinc/64/36/89/619643689.db2.gz ZLGWCDWVGHLYCM-KWQFWETISA-N 0 0 275.264 2.742 20 5 CFBDRN C[C@H]1CO[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000895964055 619645102 /nfs/dbraw/zinc/64/51/02/619645102.db2.gz UAIRDTLCWRSZGU-KCJUWKMLSA-N 0 0 275.264 2.742 20 5 CFBDRN CC(C)c1nccn1CCNc1ncc([N+](=O)[O-])cc1F ZINC000895987374 619649564 /nfs/dbraw/zinc/64/95/64/619649564.db2.gz DDUKIZWMWYUIDW-UHFFFAOYSA-N 0 0 293.302 2.561 20 5 CFBDRN C/C=C/CNC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000896018692 619655640 /nfs/dbraw/zinc/65/56/40/619655640.db2.gz QIACUZTTYXXFDS-PGLGOXFNSA-N 0 0 277.324 2.574 20 5 CFBDRN Cc1cc(CCNc2ccc(Cl)cc2[N+](=O)[O-])nn1C ZINC000896026110 619656153 /nfs/dbraw/zinc/65/61/53/619656153.db2.gz HMKWHGAVIUUELV-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C=C[C@H]3C[C@@H]2CO3)cc1 ZINC000896082618 619669775 /nfs/dbraw/zinc/66/97/75/619669775.db2.gz OVHDLNMTTJYZTP-WDMOLILDSA-N 0 0 260.293 2.598 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1C[C@H]1C(F)(F)F ZINC000896092535 619671987 /nfs/dbraw/zinc/67/19/87/619671987.db2.gz ATJOBLDGRLNBEE-IONNQARKSA-N 0 0 275.230 2.909 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1C[C@H]1C(F)(F)F ZINC000896092745 619672112 /nfs/dbraw/zinc/67/21/12/619672112.db2.gz RJKAAQRYPABVSH-NKWVEPMBSA-N 0 0 261.203 2.600 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H]2C(F)(F)F)n1 ZINC000896092495 619672160 /nfs/dbraw/zinc/67/21/60/619672160.db2.gz MEBPONWLBDTODE-JGVFFNPUSA-N 0 0 275.230 2.909 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000928603753 619676286 /nfs/dbraw/zinc/67/62/86/619676286.db2.gz VYPZKZKPLHAKQB-QWRGUYRKSA-N 0 0 294.351 2.628 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1CCC2(CCOCC2)O1 ZINC000896170524 619685516 /nfs/dbraw/zinc/68/55/16/619685516.db2.gz NCWBVUAJUCVTQG-LBPRGKRZSA-N 0 0 292.335 2.735 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])cc2F)CCCCO1 ZINC000896178864 619689318 /nfs/dbraw/zinc/68/93/18/619689318.db2.gz HXWYQVPVTACHJY-LBPRGKRZSA-N 0 0 269.276 2.500 20 5 CFBDRN Cc1nc(NC[C@]2(C)CCCCO2)ccc1[N+](=O)[O-] ZINC000896185195 619689741 /nfs/dbraw/zinc/68/97/41/619689741.db2.gz VUPZETFMKPHAFJ-ZDUSSCGKSA-N 0 0 265.313 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(C)CCCCO2)n1 ZINC000896185102 619689815 /nfs/dbraw/zinc/68/98/15/619689815.db2.gz SKEJSLXPHYVJOC-CYBMUJFWSA-N 0 0 265.313 2.669 20 5 CFBDRN CCC[C@@H](O)CCNc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000896186798 619690567 /nfs/dbraw/zinc/69/05/67/619690567.db2.gz LOVCFQZXPCMQDU-GFCCVEGCSA-N 0 0 282.340 2.957 20 5 CFBDRN CCC[C@@H](O)CCNc1cccc(OCC)c1[N+](=O)[O-] ZINC000896188138 619691165 /nfs/dbraw/zinc/69/11/65/619691165.db2.gz ZHDZNPLISQDCPK-LLVKDONJSA-N 0 0 282.340 2.957 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC(CSC)CC1 ZINC000896210293 619694672 /nfs/dbraw/zinc/69/46/72/619694672.db2.gz FPGMCEQXZLOFCZ-UHFFFAOYSA-N 0 0 298.412 2.699 20 5 CFBDRN CSCC1CCN(c2ncc([N+](=O)[O-])s2)CC1 ZINC000896210201 619694866 /nfs/dbraw/zinc/69/48/66/619694866.db2.gz CTSDBQLVBBZYQJ-UHFFFAOYSA-N 0 0 273.383 2.631 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)CCS1 ZINC000896244094 619699472 /nfs/dbraw/zinc/69/94/72/619699472.db2.gz FIHBUWUHFLEKGT-BDAKNGLRSA-N 0 0 253.327 2.686 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(F)(C2CC2)CC1 ZINC000896237815 619702016 /nfs/dbraw/zinc/70/20/16/619702016.db2.gz UEUPYGSDBAUQEQ-UHFFFAOYSA-N 0 0 296.346 2.838 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC(F)(C2CC2)CC1 ZINC000896239949 619702426 /nfs/dbraw/zinc/70/24/26/619702426.db2.gz RDWLQSIHWGAQSN-UHFFFAOYSA-N 0 0 296.346 2.838 20 5 CFBDRN CC(C)[C@H]1N(C(=O)CCC(C)(C)[N+](=O)[O-])CC12CCC2 ZINC000832478074 625366183 /nfs/dbraw/zinc/36/61/83/625366183.db2.gz HRAWERQVQLDZHU-CYBMUJFWSA-N 0 0 282.384 2.859 20 5 CFBDRN CC(C)[C@@H](Nc1cccnc1[N+](=O)[O-])c1cnn(C)c1 ZINC000896422235 619734166 /nfs/dbraw/zinc/73/41/66/619734166.db2.gz IJDHEBVWGOPUPM-GFCCVEGCSA-N 0 0 275.312 2.533 20 5 CFBDRN Cc1nc(N[C@@H](c2cnn(C)c2)C(C)C)ccc1[N+](=O)[O-] ZINC000896428994 619736834 /nfs/dbraw/zinc/73/68/34/619736834.db2.gz YJURQZMZRZEWLX-CQSZACIVSA-N 0 0 289.339 2.841 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCOC1CCOCC1 ZINC000896471538 619741276 /nfs/dbraw/zinc/74/12/76/619741276.db2.gz NVHGZRKUHJDCTH-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN Cc1ccc(NCCNc2ccc([N+](=O)[O-])c(C)n2)nc1 ZINC000896456319 619742022 /nfs/dbraw/zinc/74/20/22/619742022.db2.gz SMGBWZUXUZXLPB-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cc(C3CC3)nn2C)n1 ZINC000896512790 619748145 /nfs/dbraw/zinc/74/81/45/619748145.db2.gz VXHYGELABQRFOZ-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN COC[C@H](CNc1cc(C)c([N+](=O)[O-])cn1)C(C)C ZINC000896505009 619752127 /nfs/dbraw/zinc/75/21/27/619752127.db2.gz JMNCMLDMRBTPIL-NSHDSACASA-N 0 0 267.329 2.629 20 5 CFBDRN COCC(C)(C)c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000896569155 619762473 /nfs/dbraw/zinc/76/24/73/619762473.db2.gz DEZCLIOSPUZJBP-UHFFFAOYSA-N 0 0 277.280 2.569 20 5 CFBDRN CC(C)c1ccc(NC(=O)c2ccc([N+](=O)[O-])n2C)cn1 ZINC000928621511 619763648 /nfs/dbraw/zinc/76/36/48/619763648.db2.gz GSJHUOISMCVMCJ-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1c(Cc2nc(C3CSC3)no2)cccc1[N+](=O)[O-] ZINC000896726234 619797938 /nfs/dbraw/zinc/79/79/38/619797938.db2.gz IHZDYHOVZJQQSG-UHFFFAOYSA-N 0 0 291.332 2.707 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2CC[C@H](C3CC3)O2)c1 ZINC000896800409 619822008 /nfs/dbraw/zinc/82/20/08/619822008.db2.gz GOPVRQIZGOYMPL-BXUZGUMPSA-N 0 0 277.324 2.547 20 5 CFBDRN Nc1c(F)c(NC[C@H]2CC[C@@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000896802105 619822458 /nfs/dbraw/zinc/82/24/58/619822458.db2.gz AMHFIIWMVPRWLH-SKDRFNHKSA-N 0 0 295.314 2.686 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCCC[C@@H]2O)c1 ZINC000896812798 619826140 /nfs/dbraw/zinc/82/61/40/619826140.db2.gz OQKWGVBFDBNWCN-NHYWBVRUSA-N 0 0 294.351 2.957 20 5 CFBDRN C[C@]12CN(c3cc[nH]c(=O)c3[N+](=O)[O-])C[C@H]1[C@H]1CC[C@@H]2C1 ZINC000896900393 619871549 /nfs/dbraw/zinc/87/15/49/619871549.db2.gz LLJQUMYXAISGDA-BQVMBELUSA-N 0 0 289.335 2.568 20 5 CFBDRN O=C(OC1CCC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000079169932 619878177 /nfs/dbraw/zinc/87/81/77/619878177.db2.gz XDSMLXTZBZNMNR-UHFFFAOYSA-N 0 0 290.319 2.904 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@@H]1C1OCCO1 ZINC000897005275 619911707 /nfs/dbraw/zinc/91/17/07/619911707.db2.gz RJAUHVLKBBEXOW-LLVKDONJSA-N 0 0 298.726 2.590 20 5 CFBDRN COC[C@@H](Sc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000897003103 619912306 /nfs/dbraw/zinc/91/23/06/619912306.db2.gz AAXNIIJMIPJWRN-LLVKDONJSA-N 0 0 293.348 2.808 20 5 CFBDRN C[C@@H]1CC2(CC(Nc3cc(N)ccc3[N+](=O)[O-])C2)CO1 ZINC000897052577 619920899 /nfs/dbraw/zinc/92/08/99/619920899.db2.gz ODBZAOLETFWDPL-FDMSEYEVSA-N 0 0 277.324 2.547 20 5 CFBDRN C[C@@H](CNC(=O)NC/C=C\Cl)c1ccccc1[N+](=O)[O-] ZINC000897051130 619922890 /nfs/dbraw/zinc/92/28/90/619922890.db2.gz TXGWBNAGZLJASF-VAWSOJLPSA-N 0 0 297.742 2.750 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCSC(C)(C)C2)c1[N+](=O)[O-] ZINC000897062704 619923679 /nfs/dbraw/zinc/92/36/79/619923679.db2.gz YGFQLNIUDMZBSS-VIFPVBQESA-N 0 0 284.385 2.723 20 5 CFBDRN C[C@@H](CNC(=O)N1CC[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000897067372 619924446 /nfs/dbraw/zinc/92/44/46/619924446.db2.gz IZIQMBQLRNFVCR-NEPJUHHUSA-N 0 0 291.351 2.750 20 5 CFBDRN CCCN(C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000897067956 619924512 /nfs/dbraw/zinc/92/45/12/619924512.db2.gz GBKIGMHPXXUIPB-NSHDSACASA-N 0 0 279.340 2.750 20 5 CFBDRN Cc1cc(N[C@H]2CC23CC(O)C3)c2cccc([N+](=O)[O-])c2n1 ZINC000897091280 619928076 /nfs/dbraw/zinc/92/80/76/619928076.db2.gz JFPRXHNANBIFES-BHKPVTDMSA-N 0 0 299.330 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c(Cl)c1 ZINC000897078769 619928980 /nfs/dbraw/zinc/92/89/80/619928980.db2.gz WUWGPCGZZQPHPV-CSVDQLGKSA-N 0 0 280.711 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(C3CCC3)c2)nc1 ZINC000897102888 619933450 /nfs/dbraw/zinc/93/34/50/619933450.db2.gz CAEVQFCPHQQEOW-UHFFFAOYSA-N 0 0 259.269 2.655 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1cc(C)sc1[N+](=O)[O-] ZINC000897142506 619938802 /nfs/dbraw/zinc/93/88/02/619938802.db2.gz NHCFZZMVGVQNMP-WPRPVWTQSA-N 0 0 256.327 2.578 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000897148031 619939757 /nfs/dbraw/zinc/93/97/57/619939757.db2.gz UAWTZTQZOMRILP-JSGCOSHPSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000897144321 619940687 /nfs/dbraw/zinc/94/06/87/619940687.db2.gz JPEABIUGTJZSFG-MFKMUULPSA-N 0 0 268.288 2.656 20 5 CFBDRN CCC(=O)c1ccc(N(C)[C@H]2CC[C@H]2OC)c([N+](=O)[O-])c1 ZINC000897144840 619940826 /nfs/dbraw/zinc/94/08/26/619940826.db2.gz NNZMNPSWZYBTFD-SWLSCSKDSA-N 0 0 292.335 2.801 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccc(C)cc1[N+](=O)[O-] ZINC000897147446 619941526 /nfs/dbraw/zinc/94/15/26/619941526.db2.gz MGJCZIZOKPRPRM-YPMHNXCESA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC3(CC(F)C3)C2)c1C ZINC000897173789 619943184 /nfs/dbraw/zinc/94/31/84/619943184.db2.gz DLYXJEKBEWPLFQ-UHFFFAOYSA-N 0 0 292.310 2.786 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897181674 619945627 /nfs/dbraw/zinc/94/56/27/619945627.db2.gz IODDBNDBTIIDJC-GLXFQSAKSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1cc(N[C@H](C)Cc2cccnc2)ncc1[N+](=O)[O-] ZINC000897193413 619946236 /nfs/dbraw/zinc/94/62/36/619946236.db2.gz AXNLGTITGFFBDF-LLVKDONJSA-N 0 0 272.308 2.736 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(CCCCF)no2)c1 ZINC000897208442 619950627 /nfs/dbraw/zinc/95/06/27/619950627.db2.gz ULVIWTKWNZVJPQ-UHFFFAOYSA-N 0 0 279.271 2.861 20 5 CFBDRN CC1(C)CC2(CC(Nc3cc(N)ccc3[N+](=O)[O-])C2)CO1 ZINC000897214076 619951513 /nfs/dbraw/zinc/95/15/13/619951513.db2.gz ICSFAXDPHHRBGY-UHFFFAOYSA-N 0 0 291.351 2.937 20 5 CFBDRN CCC[C@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000070747634 625383885 /nfs/dbraw/zinc/38/38/85/625383885.db2.gz ZIKORNAFPSDVIN-VIFPVBQESA-N 0 0 267.281 2.945 20 5 CFBDRN CCC(=O)c1ccc(NC2CC(CCO)C2)c([N+](=O)[O-])c1 ZINC000897233578 619955201 /nfs/dbraw/zinc/95/52/01/619955201.db2.gz VXKIBQYTYXKMFW-UHFFFAOYSA-N 0 0 292.335 2.760 20 5 CFBDRN COCCCOC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909591907 619967190 /nfs/dbraw/zinc/96/71/90/619967190.db2.gz CEVLCPCMSCCSHZ-UHFFFAOYSA-N 0 0 285.321 2.510 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@H](Cc2nccs2)C1 ZINC000897286988 619969840 /nfs/dbraw/zinc/96/98/40/619969840.db2.gz ZMWKKJVYYMNSKA-JTQLQIEISA-N 0 0 290.348 2.515 20 5 CFBDRN COC[C@@H](C)OC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000866922982 619974960 /nfs/dbraw/zinc/97/49/60/619974960.db2.gz LARSXTZMMAVIIB-GHMZBOCLSA-N 0 0 296.323 2.725 20 5 CFBDRN COC[C@H](C)OC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000866922984 619975128 /nfs/dbraw/zinc/97/51/28/619975128.db2.gz LARSXTZMMAVIIB-QWRGUYRKSA-N 0 0 296.323 2.725 20 5 CFBDRN Cc1cc(N[C@H]2CCOC23CCCC3)ncc1[N+](=O)[O-] ZINC000897322280 619990414 /nfs/dbraw/zinc/99/04/14/619990414.db2.gz BICYLSPXOGPHAA-LBPRGKRZSA-N 0 0 277.324 2.812 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(Cc3ccoc3)C2)c(Cl)c1 ZINC000897349013 620011205 /nfs/dbraw/zinc/01/12/05/620011205.db2.gz QFKQQFMIYKTYPQ-UHFFFAOYSA-N 0 0 293.710 2.915 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@]1(C)CCCCO1 ZINC000832494305 625383154 /nfs/dbraw/zinc/38/31/54/625383154.db2.gz FGZXNGXHNGRRAC-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@@H](OC(=O)c1ccc[nH]1)c1ccccc1[N+](=O)[O-] ZINC000797857437 620030025 /nfs/dbraw/zinc/03/00/25/620030025.db2.gz YDZSWLDTLHLEAU-SECBINFHSA-N 0 0 260.249 2.841 20 5 CFBDRN C[C@@H](NC(=O)NC1(C)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000925234707 620059337 /nfs/dbraw/zinc/05/93/37/620059337.db2.gz BKTFMQZYIVSPDV-SNVBAGLBSA-N 0 0 277.324 2.898 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccccc1F ZINC000007790984 620077939 /nfs/dbraw/zinc/07/79/39/620077939.db2.gz KCINOTMSTGMNLT-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](c2ccncc2)C2CC2)cn1 ZINC000897423695 620117375 /nfs/dbraw/zinc/11/73/75/620117375.db2.gz OKZVPDZNPLATRD-CQSZACIVSA-N 0 0 270.292 2.948 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+]C[C@@H](CC(C)C)C(=O)[O-] ZINC000263493558 620150335 /nfs/dbraw/zinc/15/03/35/620150335.db2.gz PVIRPYBQHDYESG-CYBMUJFWSA-N 0 0 294.351 2.740 20 5 CFBDRN Cc1ccc(N[C@H]2COC[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000897445462 620173441 /nfs/dbraw/zinc/17/34/41/620173441.db2.gz IGLHOGYZGUCBMF-YPMHNXCESA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1ccc(N[C@H]2COC[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000897445459 620173541 /nfs/dbraw/zinc/17/35/41/620173541.db2.gz IGLHOGYZGUCBMF-AAEUAGOBSA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1ccc(N[C@@H]2COC[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000897445460 620173709 /nfs/dbraw/zinc/17/37/09/620173709.db2.gz IGLHOGYZGUCBMF-DGCLKSJQSA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1cc(N[C@H]2COC[C@@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000897450979 620174028 /nfs/dbraw/zinc/17/40/28/620174028.db2.gz LWLAQKHQKVJLOW-MFKMUULPSA-N 0 0 280.299 2.879 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2COC[C@@H]2C2CC2)c1 ZINC000897452874 620174656 /nfs/dbraw/zinc/17/46/56/620174656.db2.gz YHEJOCCVFRBBEL-HUUCEWRRSA-N 0 0 299.330 2.980 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1COC[C@H]1C1CC1 ZINC000897446643 620174981 /nfs/dbraw/zinc/17/49/81/620174981.db2.gz XKCUPUBICOYMDS-CMPLNLGQSA-N 0 0 266.272 2.571 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2COC[C@H]2C2CC2)c1 ZINC000897447367 620175421 /nfs/dbraw/zinc/17/54/21/620175421.db2.gz OMYVWSDPQLUEHL-WCQYABFASA-N 0 0 262.309 2.740 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2COC[C@@H]2C2CC2)ccc2ncccc21 ZINC000897448201 620175441 /nfs/dbraw/zinc/17/54/41/620175441.db2.gz UONCETCAEMJIJY-DOMZBBRYSA-N 0 0 299.330 2.980 20 5 CFBDRN CS[C@H](C)CNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000889674150 620183937 /nfs/dbraw/zinc/18/39/37/620183937.db2.gz ZYZWFWSZBKYCFQ-SECBINFHSA-N 0 0 282.365 2.693 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000889591731 620184943 /nfs/dbraw/zinc/18/49/43/620184943.db2.gz VWUMAMGJJNHDAM-DFAYQTQMSA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)=CCNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000191757515 620187236 /nfs/dbraw/zinc/18/72/36/620187236.db2.gz XFVCQSFUOKGURZ-UHFFFAOYSA-N 0 0 268.700 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOC2CCSCC2)cc1 ZINC000897515506 620200716 /nfs/dbraw/zinc/20/07/16/620200716.db2.gz SVSAPGGPULXNAV-UHFFFAOYSA-N 0 0 282.365 2.919 20 5 CFBDRN Cc1ccc(-c2noc(CC3CSC3)n2)cc1[N+](=O)[O-] ZINC000923821695 620217451 /nfs/dbraw/zinc/21/74/51/620217451.db2.gz QZPYWVWQUQTHBC-UHFFFAOYSA-N 0 0 291.332 2.859 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000785909890 620236902 /nfs/dbraw/zinc/23/69/02/620236902.db2.gz ZJYIBFHTOZUDEG-JTQLQIEISA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1cc(N)nc(SCc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000148601292 620237592 /nfs/dbraw/zinc/23/75/92/620237592.db2.gz QWZXBXCUSKHMON-UHFFFAOYSA-N 0 0 294.311 2.707 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC000897557622 620245888 /nfs/dbraw/zinc/24/58/88/620245888.db2.gz PHUBLRVOOQACNW-LLVKDONJSA-N 0 0 292.726 2.561 20 5 CFBDRN COC(=O)C[C@H](C)Nc1ncc([N+](=O)[O-])c2ccccc21 ZINC000897673465 620271950 /nfs/dbraw/zinc/27/19/50/620271950.db2.gz QEIOZCSHTYGNKS-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000897852255 620294017 /nfs/dbraw/zinc/29/40/17/620294017.db2.gz BZEFVEWNFOOEOM-VHSXEESVSA-N 0 0 299.330 2.811 20 5 CFBDRN CC(C)c1ccc(CN2CC[C@H](O)[C@H](F)C2)cc1[N+](=O)[O-] ZINC000898194841 620351956 /nfs/dbraw/zinc/35/19/56/620351956.db2.gz PVAIEXZUZLUENU-HIFRSBDPSA-N 0 0 296.342 2.623 20 5 CFBDRN O=C(NC1CCCC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929196441 620415570 /nfs/dbraw/zinc/41/55/70/620415570.db2.gz ATICPFUANWOAQG-UONOGXRCSA-N 0 0 274.320 2.757 20 5 CFBDRN CC[C@H](COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000842055660 620422870 /nfs/dbraw/zinc/42/28/70/620422870.db2.gz NHRVMGCAKBKRBT-YSKGHYERSA-N 0 0 279.292 2.576 20 5 CFBDRN CC(C)N1CCC[C@@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000923887070 620425508 /nfs/dbraw/zinc/42/55/08/620425508.db2.gz CUNLTZWSOPFBKQ-CQSZACIVSA-N 0 0 292.335 2.511 20 5 CFBDRN CC1=NN(c2cccc([N+](=O)[O-])c2C)C(=O)[C@@H]1C(C)C ZINC000899349365 620549708 /nfs/dbraw/zinc/54/97/08/620549708.db2.gz BEKPVFUDQJSVOU-CYBMUJFWSA-N 0 0 275.308 2.898 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000899416292 620566559 /nfs/dbraw/zinc/56/65/59/620566559.db2.gz FEVRIVGLKOSRMU-RCCPXBDUSA-N 0 0 274.320 2.617 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000899416290 620566638 /nfs/dbraw/zinc/56/66/38/620566638.db2.gz FEVRIVGLKOSRMU-QIRAZROLSA-N 0 0 274.320 2.617 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000899417902 620566917 /nfs/dbraw/zinc/56/69/17/620566917.db2.gz MUISFNOHRKXAOU-SAXRGWBVSA-N 0 0 288.347 2.546 20 5 CFBDRN C[C@@H](CNC(=S)NC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000899621984 620586898 /nfs/dbraw/zinc/58/68/98/620586898.db2.gz YXMHTULZGDWUFT-JTQLQIEISA-N 0 0 293.392 2.715 20 5 CFBDRN O=[N+]([O-])CCSc1nnc(CCCc2ccccc2)o1 ZINC000899878747 620608440 /nfs/dbraw/zinc/60/84/40/620608440.db2.gz VIIRCFFRKCCSDE-UHFFFAOYSA-N 0 0 293.348 2.614 20 5 CFBDRN C[C@@H]1C[C@H](COc2cc(C=O)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000901479571 620826780 /nfs/dbraw/zinc/82/67/80/620826780.db2.gz LXFYRCHHHJNPLX-PJXYFTJBSA-N 0 0 293.319 2.990 20 5 CFBDRN CC(C)(C)OC(=O)C1(CCn2nccc2[N+](=O)[O-])CCC1 ZINC000901470277 620831898 /nfs/dbraw/zinc/83/18/98/620831898.db2.gz CNPGOKVDSDDVBA-UHFFFAOYSA-N 0 0 295.339 2.693 20 5 CFBDRN COC/C(C)=C/COc1cccc([N+](=O)[O-])c1C ZINC000901472510 620833242 /nfs/dbraw/zinc/83/32/42/620833242.db2.gz GGVLHRMWWMSHDC-JXMROGBWSA-N 0 0 251.282 2.875 20 5 CFBDRN Cc1nn(Cc2cc(C)cc(C)n2)c2ncc([N+](=O)[O-])cc12 ZINC000901498427 620837149 /nfs/dbraw/zinc/83/71/49/620837149.db2.gz DOSRYXVHIZQXGZ-UHFFFAOYSA-N 0 0 297.318 2.708 20 5 CFBDRN Cc1nnc(CCSc2ccc([N+](=O)[O-])cc2F)o1 ZINC000901534400 620848648 /nfs/dbraw/zinc/84/86/48/620848648.db2.gz JIGGVRJMQRJEGA-UHFFFAOYSA-N 0 0 283.284 2.760 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000901518461 620854339 /nfs/dbraw/zinc/85/43/39/620854339.db2.gz QDOMDIVACIYEII-FZMZJTMJSA-N 0 0 292.335 2.985 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000901519177 620854744 /nfs/dbraw/zinc/85/47/44/620854744.db2.gz AYNDEXLSTMYCPL-ILPMLJPZSA-N 0 0 290.294 2.965 20 5 CFBDRN Cc1nnc(CCOc2ccc(Cl)c([N+](=O)[O-])c2)o1 ZINC000901549724 620861719 /nfs/dbraw/zinc/86/17/19/620861719.db2.gz SKMIAAPMRPWTEV-UHFFFAOYSA-N 0 0 283.671 2.561 20 5 CFBDRN CC(C)c1ccccc1Cn1ccc(N)c([N+](=O)[O-])c1=O ZINC000901579739 620868513 /nfs/dbraw/zinc/86/85/13/620868513.db2.gz QCPRRQGUIHZGOL-UHFFFAOYSA-N 0 0 287.319 2.510 20 5 CFBDRN CC(C)(C)C[C@H]([NH2+]Cc1csc([N+](=O)[O-])c1)C(=O)[O-] ZINC000901806332 620920502 /nfs/dbraw/zinc/92/05/02/620920502.db2.gz PJXQUTSFYYOXSQ-VIFPVBQESA-N 0 0 286.353 2.635 20 5 CFBDRN Cc1c(C[NH2+][C@](C)(CC(=O)[O-])C(C)C)cccc1[N+](=O)[O-] ZINC000901816651 620922366 /nfs/dbraw/zinc/92/23/66/620922366.db2.gz HUQRUHVLAPYCHG-OAHLLOKOSA-N 0 0 294.351 2.882 20 5 CFBDRN CC(C)[C@@](C)(CC(=O)[O-])[NH2+]Cc1csc([N+](=O)[O-])c1 ZINC000901818159 620927056 /nfs/dbraw/zinc/92/70/56/620927056.db2.gz PNNMSAKSJBAPQY-GFCCVEGCSA-N 0 0 286.353 2.635 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC[C@H]1C[C@@]12CCOC2 ZINC000901923199 620936320 /nfs/dbraw/zinc/93/63/20/620936320.db2.gz SKBNSTSXQUMPMW-BXUZGUMPSA-N 0 0 262.309 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC[C@@H]1C[C@@]12CCOC2 ZINC000901923205 620936447 /nfs/dbraw/zinc/93/64/47/620936447.db2.gz SKBNSTSXQUMPMW-SMDDNHRTSA-N 0 0 262.309 2.742 20 5 CFBDRN Cc1cc(C)c(NCc2cn(C)nc2[N+](=O)[O-])c(C)c1 ZINC000901941510 620940737 /nfs/dbraw/zinc/94/07/37/620940737.db2.gz JZGGFYDQSFUQOI-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN Cn1cc(CNc2ccccc2C(F)F)c([N+](=O)[O-])n1 ZINC000902088762 620976161 /nfs/dbraw/zinc/97/61/61/620976161.db2.gz POXSIPRBERTGNE-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN C[C@@H]1C[C@@H]([NH2+]Cc2ccccc2[N+](=O)[O-])CC[C@H]1C(=O)[O-] ZINC000902097286 620978684 /nfs/dbraw/zinc/97/86/84/620978684.db2.gz XBAPJPNPUFONLQ-KGYLQXTDSA-N 0 0 292.335 2.574 20 5 CFBDRN CC(F)(F)CCCN1C(=O)c2cccc([N+](=O)[O-])c2C1=O ZINC000902131216 620985030 /nfs/dbraw/zinc/98/50/30/620985030.db2.gz VMOCASJRTAEFJP-UHFFFAOYSA-N 0 0 298.245 2.626 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@@H]1C[C@@]12CCOC2 ZINC000902234543 621003807 /nfs/dbraw/zinc/00/38/07/621003807.db2.gz QNJWDRUTNCTNHP-TVQRCGJNSA-N 0 0 267.256 2.539 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OC[C@H]2C[C@]23CCOC3)c1 ZINC000902298889 621025832 /nfs/dbraw/zinc/02/58/32/621025832.db2.gz WDSAKFUCERQEHE-RNCFNFMXSA-N 0 0 267.256 2.539 20 5 CFBDRN Cc1cccc(OC[C@H]2C[C@@]23CCOC3)c1[N+](=O)[O-] ZINC000902309409 621028777 /nfs/dbraw/zinc/02/87/77/621028777.db2.gz MLXIIXKUHMPOHR-BXUZGUMPSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1nc(CSCc2cn(C)nc2[N+](=O)[O-])cs1 ZINC000902317224 621031421 /nfs/dbraw/zinc/03/14/21/621031421.db2.gz DMZLBRGLPMSVHU-UHFFFAOYSA-N 0 0 284.366 2.527 20 5 CFBDRN CCCC[C@@H](CC)C(=O)NOCc1cccnc1[N+](=O)[O-] ZINC000902355372 621050178 /nfs/dbraw/zinc/05/01/78/621050178.db2.gz RLQIOEPDHYTCOQ-LLVKDONJSA-N 0 0 295.339 2.754 20 5 CFBDRN Cn1cc(CSC[C@H]2CCC(F)(F)C2)c([N+](=O)[O-])n1 ZINC000902438894 621080359 /nfs/dbraw/zinc/08/03/59/621080359.db2.gz ZLSASNYOETWWBH-QMMMGPOBSA-N 0 0 291.323 2.997 20 5 CFBDRN Cn1cc(CSC[C@@H]2CCC(F)(F)C2)c([N+](=O)[O-])n1 ZINC000902438892 621080589 /nfs/dbraw/zinc/08/05/89/621080589.db2.gz ZLSASNYOETWWBH-MRVPVSSYSA-N 0 0 291.323 2.997 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000902443439 621081713 /nfs/dbraw/zinc/08/17/13/621081713.db2.gz XJNLTDDKFHDIFG-PWSUYJOCSA-N 0 0 272.304 2.956 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSC[C@H]1C[C@@]12CCOC2 ZINC000902540524 621114026 /nfs/dbraw/zinc/11/40/26/621114026.db2.gz FZFXYEKJNREOAX-DGCLKSJQSA-N 0 0 280.349 2.650 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCc1ccns1 ZINC000902572020 621127927 /nfs/dbraw/zinc/12/79/27/621127927.db2.gz IIGHXZKTLITFJN-UHFFFAOYSA-N 0 0 298.393 2.532 20 5 CFBDRN Cc1cc(CCC(=O)Oc2cccc([N+](=O)[O-])c2C)no1 ZINC000902719642 621155165 /nfs/dbraw/zinc/15/51/65/621155165.db2.gz PTBUBHFFSXWRKO-UHFFFAOYSA-N 0 0 290.275 2.738 20 5 CFBDRN COC/C(C)=C/COc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902779962 621172162 /nfs/dbraw/zinc/17/21/62/621172162.db2.gz LMYNOLUYPOGCMH-FPYGCLRLSA-N 0 0 273.235 2.845 20 5 CFBDRN Cc1cccc(C(=O)OC2CCSCC2)c1[N+](=O)[O-] ZINC000873560093 621262967 /nfs/dbraw/zinc/26/29/67/621262967.db2.gz LWWAZYHCTAONCB-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN C[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000071208306 625477875 /nfs/dbraw/zinc/47/78/75/625477875.db2.gz HUGXIBLCSIKUBV-HTQZYQBOSA-N 0 0 262.269 2.732 20 5 CFBDRN C[C@H](N[C@@H]1CCn2ccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000903288730 621319470 /nfs/dbraw/zinc/31/94/70/621319470.db2.gz NXZGUIUNGVLBSU-GXFFZTMASA-N 0 0 272.308 2.587 20 5 CFBDRN C[C@H](c1noc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H]1CCCO1 ZINC000903791849 621439264 /nfs/dbraw/zinc/43/92/64/621439264.db2.gz MEOKPOBRHONJMZ-CABZTGNLSA-N 0 0 289.291 2.927 20 5 CFBDRN CC(C)CCC[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000904039451 621509721 /nfs/dbraw/zinc/50/97/21/621509721.db2.gz ZWAQBJOWFPOJFW-CQSZACIVSA-N 0 0 294.351 2.964 20 5 CFBDRN CCCCN(O)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000904163009 621533791 /nfs/dbraw/zinc/53/37/91/621533791.db2.gz KXKAAQKWZQEQIK-JTQLQIEISA-N 0 0 281.312 2.857 20 5 CFBDRN CCCCN(O)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000904201862 621549584 /nfs/dbraw/zinc/54/95/84/621549584.db2.gz JXLDQKQTSMVHJJ-UHFFFAOYSA-N 0 0 281.312 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc(-c3cccnc3)no2)c1 ZINC000904323436 621575107 /nfs/dbraw/zinc/57/51/07/621575107.db2.gz RCWMFVPLFJOQCQ-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN O=[N+]([O-])c1cc(-c2nc(-c3ccccc3Cl)no2)[nH]n1 ZINC000904569875 621611464 /nfs/dbraw/zinc/61/14/64/621611464.db2.gz LLFIGKWNCXOJEZ-UHFFFAOYSA-N 0 0 291.654 2.688 20 5 CFBDRN CCCc1[nH]nc(-c2nc(C3CCC3)no2)c1[N+](=O)[O-] ZINC000904870087 621666062 /nfs/dbraw/zinc/66/60/62/621666062.db2.gz ZIXRDIYFJVDGAT-UHFFFAOYSA-N 0 0 277.284 2.588 20 5 CFBDRN Cc1ccc(NC(=O)[C@H](C)[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000905032646 621683400 /nfs/dbraw/zinc/68/34/00/621683400.db2.gz VNNFDDDWBSQCPY-MFKMUULPSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC000905088981 621693533 /nfs/dbraw/zinc/69/35/33/621693533.db2.gz BPHOJPSCVUINGO-FZMZJTMJSA-N 0 0 292.335 2.965 20 5 CFBDRN O=C(Cc1ccsc1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000193997094 625512839 /nfs/dbraw/zinc/51/28/39/625512839.db2.gz RTDNMQJDVDWIPZ-UHFFFAOYSA-N 0 0 291.328 2.985 20 5 CFBDRN CCOc1cc(OC[C@@H]2C[C@]23CCOC3)ccc1[N+](=O)[O-] ZINC000905231234 621721241 /nfs/dbraw/zinc/72/12/41/621721241.db2.gz AWENJHUDCCBFGZ-NHYWBVRUSA-N 0 0 293.319 2.799 20 5 CFBDRN O=[N+]([O-])CCNc1ccc(CCC(F)(F)F)cc1 ZINC000905326238 621743300 /nfs/dbraw/zinc/74/33/00/621743300.db2.gz AQZOXPUVOBWAEY-UHFFFAOYSA-N 0 0 262.231 2.870 20 5 CFBDRN O=[N+]([O-])CCNc1ccc(N2CCCCC2)c(F)c1 ZINC000905445564 621766540 /nfs/dbraw/zinc/76/65/40/621766540.db2.gz OSYPQLYXOIDSAL-UHFFFAOYSA-N 0 0 267.304 2.505 20 5 CFBDRN CC[C@@H](C)NC(=S)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905641839 621816599 /nfs/dbraw/zinc/81/65/99/621816599.db2.gz SURBABGXGVAZAW-NXEZZACHSA-N 0 0 281.381 2.918 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000905826955 621878030 /nfs/dbraw/zinc/87/80/30/621878030.db2.gz KFYFGYBVKHWBNB-CQSZACIVSA-N 0 0 292.291 2.501 20 5 CFBDRN Cc1c(CS(=O)(=O)C/C=C/Cl)cccc1[N+](=O)[O-] ZINC000906019888 621918213 /nfs/dbraw/zinc/91/82/13/621918213.db2.gz VZIXKMIADAFGMR-ZZXKWVIFSA-N 0 0 289.740 2.571 20 5 CFBDRN O=C(NCc1ccncc1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149085048 621938086 /nfs/dbraw/zinc/93/80/86/621938086.db2.gz AURFYMNIZWWYQA-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnc([C@@H]3CCCC[NH2+]3)nc2)cc1 ZINC000906171027 621942982 /nfs/dbraw/zinc/94/29/82/621942982.db2.gz CNGZBZSIPDZFEI-AWEZNQCLSA-N 0 0 284.319 2.866 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1)C1(C(F)(F)F)CC1 ZINC000906228495 621961837 /nfs/dbraw/zinc/96/18/37/621961837.db2.gz NWHFGWISSGRYHJ-UHFFFAOYSA-N 0 0 288.225 2.762 20 5 CFBDRN CC(C)(C)[S@](C)(=O)=NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000906311429 621972509 /nfs/dbraw/zinc/97/25/09/621972509.db2.gz WIPMYWNFFRMGKX-FQEVSTJZSA-N 0 0 298.364 2.560 20 5 CFBDRN O=C(Nc1nccnc1C1CC1)c1csc([N+](=O)[O-])c1 ZINC000906418101 621987762 /nfs/dbraw/zinc/98/77/62/621987762.db2.gz JZNPDVVSYSTUTN-UHFFFAOYSA-N 0 0 290.304 2.576 20 5 CFBDRN O=C(NOCCC1CC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000832845969 625533648 /nfs/dbraw/zinc/53/36/48/625533648.db2.gz WXCPWZXNUBZTMR-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@H]2C[C@H]21)c1ccc(F)cc1[N+](=O)[O-] ZINC000927484531 622202427 /nfs/dbraw/zinc/20/24/27/622202427.db2.gz PWILOHLBSKUWDM-KWBADKCTSA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H](C)[C@H]1CCCO1 ZINC000908267814 622209714 /nfs/dbraw/zinc/20/97/14/622209714.db2.gz LNNKMVPHALHROX-DGCLKSJQSA-N 0 0 292.335 2.965 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)NOC1CCC1 ZINC000908569848 622246379 /nfs/dbraw/zinc/24/63/79/622246379.db2.gz XMEILMGNHCRKAL-UHFFFAOYSA-N 0 0 298.726 2.781 20 5 CFBDRN Cc1nnsc1[C@H](C)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000908700266 622265261 /nfs/dbraw/zinc/26/52/61/622265261.db2.gz ISAUMUAHWRYHLR-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000908701764 622265763 /nfs/dbraw/zinc/26/57/63/622265763.db2.gz DAQTZUMGWCCBMK-XCBNKYQSSA-N 0 0 297.694 2.630 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000908716478 622268072 /nfs/dbraw/zinc/26/80/72/622268072.db2.gz YIJWYFQQAZDRQS-VXNVDRBHSA-N 0 0 297.694 2.630 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000909060073 622305584 /nfs/dbraw/zinc/30/55/84/622305584.db2.gz YQVQNQURJPATIQ-RUDMXATFSA-N 0 0 297.282 2.792 20 5 CFBDRN COC/C(C)=C\COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000909061354 622306107 /nfs/dbraw/zinc/30/61/07/622306107.db2.gz NZGSUYJFBLQWSJ-WQLSENKSSA-N 0 0 293.319 2.663 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000909089877 622309452 /nfs/dbraw/zinc/30/94/52/622309452.db2.gz SKRKFCSWYZCJPB-UHFFFAOYSA-N 0 0 296.298 2.613 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000909113970 622314366 /nfs/dbraw/zinc/31/43/66/622314366.db2.gz RSCSGRXALKVGCZ-CBAPKCEASA-N 0 0 297.694 2.630 20 5 CFBDRN CCCC(=O)COC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028012 622388781 /nfs/dbraw/zinc/38/87/81/622388781.db2.gz OWIIJEWGKSMZQA-ZIAGYGMSSA-N 0 0 291.303 2.611 20 5 CFBDRN CC1(CCC(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000910035502 622393681 /nfs/dbraw/zinc/39/36/81/622393681.db2.gz FUNPHVFCURAXDX-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN CO[C@H](C)COC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000155712613 622399380 /nfs/dbraw/zinc/39/93/80/622399380.db2.gz OYAWLOTUVOGLJX-ZJUUUORDSA-N 0 0 299.348 2.654 20 5 CFBDRN Cc1nc(C)c(C(=O)OCc2ccc([N+](=O)[O-])cc2)o1 ZINC000174901843 622418378 /nfs/dbraw/zinc/41/83/78/622418378.db2.gz LMAWCMGNFQKHRG-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN C[C@H](CC(=O)NCc1cc([N+](=O)[O-])c(F)cc1F)C1CC1 ZINC000911476231 622522207 /nfs/dbraw/zinc/52/22/07/622522207.db2.gz BKSWOOIGGVAPAM-MRVPVSSYSA-N 0 0 298.289 2.925 20 5 CFBDRN CC1CC(C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC000911476628 622522249 /nfs/dbraw/zinc/52/22/49/622522249.db2.gz RRIKOKQCUOHCDA-UHFFFAOYSA-N 0 0 284.262 2.535 20 5 CFBDRN CC(C)CC(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911480303 622522860 /nfs/dbraw/zinc/52/28/60/622522860.db2.gz UFJYEQYETFZKJV-UHFFFAOYSA-N 0 0 272.251 2.535 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000911726960 622542335 /nfs/dbraw/zinc/54/23/35/622542335.db2.gz IPZDTJLSQGUHNX-UHFFFAOYSA-N 0 0 284.363 2.683 20 5 CFBDRN O=C(OCCC1(O)CCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000840392633 622542657 /nfs/dbraw/zinc/54/26/57/622542657.db2.gz LKCWBXNODMUHMV-UHFFFAOYSA-N 0 0 299.710 2.710 20 5 CFBDRN O=C(OCc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000001927695 625575016 /nfs/dbraw/zinc/57/50/16/625575016.db2.gz MVTPZNWBHYFOEA-UHFFFAOYSA-N 0 0 257.245 2.952 20 5 CFBDRN C/C(=C\C(=O)NC1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000819798666 622558877 /nfs/dbraw/zinc/55/88/77/622558877.db2.gz OSJIPOKMJRYXFL-QEFZXIKKSA-N 0 0 286.331 2.913 20 5 CFBDRN CCC(=CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)CC ZINC000819887418 622567466 /nfs/dbraw/zinc/56/74/66/622567466.db2.gz NYSUIYDZYOFFCP-UHFFFAOYSA-N 0 0 263.297 2.862 20 5 CFBDRN Nc1ccc(NC(=O)c2cccc3[nH]ccc32)cc1[N+](=O)[O-] ZINC000819905352 622569150 /nfs/dbraw/zinc/56/91/50/622569150.db2.gz MTYWAVNBMJZFDF-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN CC[C@H](C)CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819916846 622570238 /nfs/dbraw/zinc/57/02/38/622570238.db2.gz VDXLQJBTMMLTJP-QMMMGPOBSA-N 0 0 251.286 2.552 20 5 CFBDRN CC1(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CCCC1 ZINC000819910732 622570303 /nfs/dbraw/zinc/57/03/03/622570303.db2.gz VDJYCWWXWFHONA-UHFFFAOYSA-N 0 0 263.297 2.696 20 5 CFBDRN CN(C[C@](C)(O)c1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000819951289 622582617 /nfs/dbraw/zinc/58/26/17/622582617.db2.gz UXBIPJMPPLWJAU-INIZCTEOSA-N 0 0 286.331 2.939 20 5 CFBDRN CC(C)(CNC(=O)CCC(C)(C)[N+](=O)[O-])C1CCC1 ZINC000833860097 625579673 /nfs/dbraw/zinc/57/96/73/625579673.db2.gz ZSYWUESRZXMBSL-UHFFFAOYSA-N 0 0 270.373 2.764 20 5 CFBDRN CC[C@@H](C)CONC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000820278394 622640492 /nfs/dbraw/zinc/64/04/92/622640492.db2.gz BGIXFNPXUFDGDH-SNVBAGLBSA-N 0 0 298.314 2.760 20 5 CFBDRN C[C@@H]1CCCCN1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000912154665 622652911 /nfs/dbraw/zinc/65/29/11/622652911.db2.gz BBVXAZCJXNIJBK-OFFHKIPUSA-N 0 0 289.335 2.514 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@H]2CCOC2)c(Cl)c1 ZINC000912358703 622693231 /nfs/dbraw/zinc/69/32/31/622693231.db2.gz FIOICIKQZFYKFD-ZETCQYMHSA-N 0 0 275.663 2.803 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@@H]2CCCO2)c(Cl)c1 ZINC000912358788 622693413 /nfs/dbraw/zinc/69/34/13/622693413.db2.gz INIUTXDKBDKKGC-QMMMGPOBSA-N 0 0 275.663 2.945 20 5 CFBDRN CC(=O)c1ccc(NCc2ocnc2C)c([N+](=O)[O-])c1 ZINC000820591373 622709928 /nfs/dbraw/zinc/70/99/28/622709928.db2.gz IFLUGGNVJHECCC-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1cccc(Br)n1 ZINC000820605867 622714341 /nfs/dbraw/zinc/71/43/41/622714341.db2.gz VWKBYUOPOSFQDV-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN COc1cc(N(C)Cc2cc(C)on2)c([N+](=O)[O-])cc1C ZINC000820625037 622719379 /nfs/dbraw/zinc/71/93/79/622719379.db2.gz YBDIIUGANJCRQT-UHFFFAOYSA-N 0 0 291.307 2.845 20 5 CFBDRN CC(F)(F)CCCn1nnc(-c2ccccc2[N+](=O)[O-])n1 ZINC000912454966 622719927 /nfs/dbraw/zinc/71/99/27/622719927.db2.gz QZMFFPYQYLLDPN-UHFFFAOYSA-N 0 0 297.265 2.684 20 5 CFBDRN C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000820651686 622726196 /nfs/dbraw/zinc/72/61/96/622726196.db2.gz TUJBCLZYNCZACC-XYHOJCAOSA-N 0 0 274.320 2.565 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1CCC(C)(C)C1)[N+](=O)[O-] ZINC000820719346 622743705 /nfs/dbraw/zinc/74/37/05/622743705.db2.gz WCWZLDKNMNAOGB-SNVBAGLBSA-N 0 0 257.330 2.944 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1CCc2cccnc21 ZINC000820759921 622751473 /nfs/dbraw/zinc/75/14/73/622751473.db2.gz GELKRWRDKNZQDW-AWEZNQCLSA-N 0 0 298.298 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@H]1CCCS1 ZINC000820763361 622752247 /nfs/dbraw/zinc/75/22/47/622752247.db2.gz NJFXBYGXAKCVJC-LLVKDONJSA-N 0 0 294.376 2.837 20 5 CFBDRN O=C(C1CSC1)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000912652173 622754540 /nfs/dbraw/zinc/75/45/40/622754540.db2.gz AUWWJBSVKDZNII-UHFFFAOYSA-N 0 0 292.360 2.627 20 5 CFBDRN Cc1c(NC(=O)c2c(C)ccc([N+](=O)[O-])c2C)cnn1C ZINC000820818352 622765999 /nfs/dbraw/zinc/76/59/99/622765999.db2.gz UDYDBFOWIHIUQN-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CCOC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000923966459 622786879 /nfs/dbraw/zinc/78/68/79/622786879.db2.gz HELKSNJVNGQFKV-UHFFFAOYSA-N 0 0 257.673 2.744 20 5 CFBDRN CC(C)(C)Oc1cc(F)ccc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840407587 622801459 /nfs/dbraw/zinc/80/14/59/622801459.db2.gz PLXZLWUPHBWYES-MWLCHTKSSA-N 0 0 296.298 2.607 20 5 CFBDRN COc1ccc(/C=C(/C)c2ncccn2)cc1[N+](=O)[O-] ZINC000821017495 622820780 /nfs/dbraw/zinc/82/07/80/622820780.db2.gz IJEFAAHVIKUVOO-NTMALXAHSA-N 0 0 271.276 2.954 20 5 CFBDRN CCC1(NC(=O)CCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000821104868 622847206 /nfs/dbraw/zinc/84/72/06/622847206.db2.gz ARPNJJYFSYIWLH-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN CC(C)(C)CCOC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000913234721 622862922 /nfs/dbraw/zinc/86/29/22/622862922.db2.gz NFJPNDHMAJCTHS-UHFFFAOYSA-N 0 0 295.291 2.917 20 5 CFBDRN O=C(Cc1cccs1)OCCc1ccccc1[N+](=O)[O-] ZINC000151213620 622864083 /nfs/dbraw/zinc/86/40/83/622864083.db2.gz JUQKCHFLZDZVRU-UHFFFAOYSA-N 0 0 291.328 2.985 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000151220527 622865650 /nfs/dbraw/zinc/86/56/50/622865650.db2.gz PNBDMKHSUYLFBM-UHFFFAOYSA-N 0 0 261.233 2.587 20 5 CFBDRN CCCCO[C@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151222076 622865822 /nfs/dbraw/zinc/86/58/22/622865822.db2.gz JXHRFOBKQINOAA-GFCCVEGCSA-N 0 0 295.335 2.886 20 5 CFBDRN Cn1c(C(=O)OCCC(C)(C)C)ccc1[N+](=O)[O-] ZINC000913278686 622867379 /nfs/dbraw/zinc/86/73/79/622867379.db2.gz BUFDWRBLYVQFQA-UHFFFAOYSA-N 0 0 254.286 2.526 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])C1CC=CC1 ZINC000151229681 622868218 /nfs/dbraw/zinc/86/82/18/622868218.db2.gz XOVIWVGVTRGNNO-UHFFFAOYSA-N 0 0 261.277 2.647 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000151245230 622873310 /nfs/dbraw/zinc/87/33/10/622873310.db2.gz YPGAPCJSDUPSJZ-WCBMZHEXSA-N 0 0 280.299 2.913 20 5 CFBDRN C[C@@H](C(=O)OCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000151257853 622876420 /nfs/dbraw/zinc/87/64/20/622876420.db2.gz YBGGPONADLWPHP-SNVBAGLBSA-N 0 0 263.293 2.727 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC(c2ccccn2)C1 ZINC000913380878 622890382 /nfs/dbraw/zinc/89/03/82/622890382.db2.gz LCZLSRGZVFSNKZ-UHFFFAOYSA-N 0 0 297.314 2.538 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@@H]2C2CC2)c1[N+](=O)[O-] ZINC000834318747 625603371 /nfs/dbraw/zinc/60/33/71/625603371.db2.gz JWVMVSGDIORVEU-GFCCVEGCSA-N 0 0 278.283 2.667 20 5 CFBDRN CO[C@H]1CC[C@H]([N@H+](C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000821342148 622899289 /nfs/dbraw/zinc/89/92/89/622899289.db2.gz KZRIWRRVDCTDEQ-STQMWFEESA-N 0 0 264.325 2.594 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)CCC2CC2)c1[N+](=O)[O-] ZINC000156348286 622934886 /nfs/dbraw/zinc/93/48/86/622934886.db2.gz OCXYQNRMONADGW-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCCC[C@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000156555842 622946796 /nfs/dbraw/zinc/94/67/96/622946796.db2.gz JLAKRXNFWXXAIK-PWSUYJOCSA-N 0 0 291.351 2.794 20 5 CFBDRN CONC(=O)c1cc2ccccc2c2cccnc12 ZINC000045013925 622957012 /nfs/dbraw/zinc/95/70/12/622957012.db2.gz BYSSWHXIORQVMA-UHFFFAOYSA-N 0 0 252.273 2.679 20 5 CFBDRN COc1cc(C(=O)O[C@H]2CCC2(C)C)ccc1[N+](=O)[O-] ZINC000821842159 622990868 /nfs/dbraw/zinc/99/08/68/622990868.db2.gz OCJPALXAVVQZOZ-LBPRGKRZSA-N 0 0 279.292 2.949 20 5 CFBDRN CC1(C)CC[C@H]1OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000821846776 622992141 /nfs/dbraw/zinc/99/21/41/622992141.db2.gz QEGLQEYYWMRAKQ-GFCCVEGCSA-N 0 0 279.292 2.705 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000057303966 622997603 /nfs/dbraw/zinc/99/76/03/622997603.db2.gz ISIABEVSMPLUPR-BDAKNGLRSA-N 0 0 295.295 2.667 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000057303969 622997922 /nfs/dbraw/zinc/99/79/22/622997922.db2.gz ISIABEVSMPLUPR-IUCAKERBSA-N 0 0 295.295 2.667 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000157176408 623002616 /nfs/dbraw/zinc/00/26/16/623002616.db2.gz TYLFXEROHOQEBM-KJSMGNOQSA-N 0 0 274.320 2.913 20 5 CFBDRN CC(C)(C)OCCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000157192869 623002655 /nfs/dbraw/zinc/00/26/55/623002655.db2.gz IODPKTFBXZDODV-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@@]2(C)C[C@H]2F)c1 ZINC000822099000 623035737 /nfs/dbraw/zinc/03/57/37/623035737.db2.gz KFTGZLOUQPEZII-DGCLKSJQSA-N 0 0 283.255 2.508 20 5 CFBDRN CNc1c(C(=O)OC[C@@]2(C)C[C@@H]2F)cccc1[N+](=O)[O-] ZINC000822131348 623045250 /nfs/dbraw/zinc/04/52/50/623045250.db2.gz VKPZJFBFEBIRSY-GXFFZTMASA-N 0 0 282.271 2.541 20 5 CFBDRN Cc1c(CC(=O)OC[C@]2(C)C[C@@H]2F)cccc1[N+](=O)[O-] ZINC000822141790 623049382 /nfs/dbraw/zinc/04/93/82/623049382.db2.gz YVEKJLOVKHFUGY-JSGCOSHPSA-N 0 0 281.283 2.737 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@H]1C ZINC000822188199 623057492 /nfs/dbraw/zinc/05/74/92/623057492.db2.gz BRVVHKDFQCUDBE-WOPDTQHZSA-N 0 0 270.373 2.763 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@H]1C ZINC000822188196 623057664 /nfs/dbraw/zinc/05/76/64/623057664.db2.gz BRVVHKDFQCUDBE-GRYCIOLGSA-N 0 0 270.373 2.763 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000226217240 623062221 /nfs/dbraw/zinc/06/22/21/623062221.db2.gz XQOPUBNWBHGWTL-NRUUGDAUSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C)N(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000167703733 623062383 /nfs/dbraw/zinc/06/23/83/623062383.db2.gz KJUJYOVOZRGDMY-MXWKQRLJSA-N 0 0 291.351 2.684 20 5 CFBDRN CCC1CN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000822305710 623075507 /nfs/dbraw/zinc/07/55/07/623075507.db2.gz AEBWDZZMFDNQOL-UONOGXRCSA-N 0 0 274.320 2.567 20 5 CFBDRN Cc1cncc(NC(=O)c2cc([N+](=O)[O-])ccc2F)c1 ZINC000227103755 623096898 /nfs/dbraw/zinc/09/68/98/623096898.db2.gz HIAKSIYSIDBPQQ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822432510 623102127 /nfs/dbraw/zinc/10/21/27/623102127.db2.gz IEYPFCOQTWMDLG-NEPJUHHUSA-N 0 0 270.373 2.859 20 5 CFBDRN COc1ccc(OC(=O)c2cc([N+](=O)[O-])cnc2C)cc1 ZINC000168990282 623110425 /nfs/dbraw/zinc/11/04/25/623110425.db2.gz YGRSXLKKKKSAJZ-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000822510748 623118201 /nfs/dbraw/zinc/11/82/01/623118201.db2.gz AJPRGHWTHRMUFP-YABSGUDNSA-N 0 0 274.320 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1CCSC1 ZINC000822550841 623124513 /nfs/dbraw/zinc/12/45/13/623124513.db2.gz LHKCMCPKERMWGN-NSHDSACASA-N 0 0 294.376 2.695 20 5 CFBDRN CCOc1ccc(C(=O)OCC(F)(F)F)cc1[N+](=O)[O-] ZINC000060500239 623134308 /nfs/dbraw/zinc/13/43/08/623134308.db2.gz ARSSGXNMGVVUHL-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN CSCCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000060518466 623136208 /nfs/dbraw/zinc/13/62/08/623136208.db2.gz ISOHUISGNMJMJW-UHFFFAOYSA-N 0 0 274.729 2.940 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)no1 ZINC000060518453 623136222 /nfs/dbraw/zinc/13/62/22/623136222.db2.gz VCRBXACOBZCCQE-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(Cl)cn1)[N+](=O)[O-] ZINC000822673282 623148860 /nfs/dbraw/zinc/14/88/60/623148860.db2.gz NCRIABRHYIXCET-UHFFFAOYSA-N 0 0 271.704 2.509 20 5 CFBDRN Cc1noc(C)c1CC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000060751360 623152097 /nfs/dbraw/zinc/15/20/97/623152097.db2.gz CITIJXYPETUPQZ-UHFFFAOYSA-N 0 0 290.275 2.656 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC1(O)CCCCC1 ZINC000087458528 623161217 /nfs/dbraw/zinc/16/12/17/623161217.db2.gz VSZSHNQDAGCCKU-UHFFFAOYSA-N 0 0 281.308 2.677 20 5 CFBDRN Cc1cc(C(=O)OCc2ccoc2)cc([N+](=O)[O-])c1 ZINC000170719258 623176620 /nfs/dbraw/zinc/17/66/20/623176620.db2.gz VAWIPASELNVCLL-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C\[C@H]1CCCO1 ZINC000822797460 623176684 /nfs/dbraw/zinc/17/66/84/623176684.db2.gz GMEPUZTWEQMYRA-XYLWRLHESA-N 0 0 290.319 2.885 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1C[C@@H]1c1cccc(F)c1)[N+](=O)[O-] ZINC000822818892 623182106 /nfs/dbraw/zinc/18/21/06/623182106.db2.gz JXVFYPAFGTWCCW-OLZOCXBDSA-N 0 0 294.326 2.633 20 5 CFBDRN CSc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cn1 ZINC000823020490 623220306 /nfs/dbraw/zinc/22/03/06/623220306.db2.gz PLORDDJJHVHDQQ-UHFFFAOYSA-N 0 0 283.353 2.578 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCC[NH2+]3)n2)s1 ZINC000823069870 623228108 /nfs/dbraw/zinc/22/81/08/623228108.db2.gz VXDYZIHOJBELOL-SSDOTTSWSA-N 0 0 280.309 2.521 20 5 CFBDRN Cc1cc(NC(=O)c2cnc(Cl)cn2)ccc1[N+](=O)[O-] ZINC000230073081 623243264 /nfs/dbraw/zinc/24/32/64/623243264.db2.gz WFMUBPHMHBYTRB-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1C=CCC1 ZINC000823194917 623258560 /nfs/dbraw/zinc/25/85/60/623258560.db2.gz ZJRWCLMRRLWFGL-JTQLQIEISA-N 0 0 291.307 2.834 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000230313717 623269716 /nfs/dbraw/zinc/26/97/16/623269716.db2.gz XWMRNMGXZCLCER-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CCOCOc1cc([N+](=O)[O-])ccc1Br ZINC000230402431 623275429 /nfs/dbraw/zinc/27/54/29/623275429.db2.gz SGJKGBKVQGDTTG-UHFFFAOYSA-N 0 0 276.086 2.730 20 5 CFBDRN Cc1cnc(Cl)c(NC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000230424269 623275488 /nfs/dbraw/zinc/27/54/88/623275488.db2.gz QRGCKPLEPYKZOP-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000823313005 623291334 /nfs/dbraw/zinc/29/13/34/623291334.db2.gz RFSADROCUXIAFC-ILWJIGKKSA-N 0 0 292.360 2.845 20 5 CFBDRN CC[C@](C)(CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C)OC ZINC000823347572 623295067 /nfs/dbraw/zinc/29/50/67/623295067.db2.gz NJYHOKDUEHOMEQ-OAHLLOKOSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1nn(C)cc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000172857454 623313345 /nfs/dbraw/zinc/31/33/45/623313345.db2.gz GORIJEKHPAQNSB-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCCCCCCCO)c1 ZINC000172921038 623317547 /nfs/dbraw/zinc/31/75/47/623317547.db2.gz RVCABMUMGUHFIZ-UHFFFAOYSA-N 0 0 281.308 2.729 20 5 CFBDRN CCCCOC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000063236262 623334239 /nfs/dbraw/zinc/33/42/39/623334239.db2.gz AQONTNGIVMRXAI-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCCC(F)(F)F ZINC000173504921 623353607 /nfs/dbraw/zinc/35/36/07/623353607.db2.gz SSTYKFKYNQEZRX-UHFFFAOYSA-N 0 0 277.198 2.633 20 5 CFBDRN C[C@@H](CO)COc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC000231650581 623364167 /nfs/dbraw/zinc/36/41/67/623364167.db2.gz AHBFGYSBPNXNEZ-LURJTMIESA-N 0 0 280.107 2.909 20 5 CFBDRN O=C(CC1CCC1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000173987373 623379500 /nfs/dbraw/zinc/37/95/00/623379500.db2.gz GLNOPLNRGWZBIA-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN Cc1nocc1NC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000823761339 623392535 /nfs/dbraw/zinc/39/25/35/623392535.db2.gz OFZAPZDVCBJFJT-NEPJUHHUSA-N 0 0 287.275 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCC1CSC1 ZINC000823763416 623393111 /nfs/dbraw/zinc/39/31/11/623393111.db2.gz DFYYIDRBLABZRN-UHFFFAOYSA-N 0 0 294.376 2.695 20 5 CFBDRN CCCn1cc(NC(=O)c2csc([N+](=O)[O-])c2)cn1 ZINC000174279489 623406179 /nfs/dbraw/zinc/40/61/79/623406179.db2.gz FIYMIKOXDUUIFG-UHFFFAOYSA-N 0 0 280.309 2.515 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(NC=O)cc1 ZINC000174358272 623415533 /nfs/dbraw/zinc/41/55/33/623415533.db2.gz GQKPEQIAXRETHK-UHFFFAOYSA-N 0 0 299.286 2.724 20 5 CFBDRN CC(C)[C@@H](NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000823913898 623418176 /nfs/dbraw/zinc/41/81/76/623418176.db2.gz HNSZZRDRCXNCKI-CYBMUJFWSA-N 0 0 292.339 2.733 20 5 CFBDRN CCCN(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)C ZINC000823920806 623418905 /nfs/dbraw/zinc/41/89/05/623418905.db2.gz DDQWBJDNZUANHH-UHFFFAOYSA-N 0 0 280.328 2.829 20 5 CFBDRN CC1(C2(NC(=O)Nc3ccc(N)c([N+](=O)[O-])c3)CC2)CC1 ZINC000823932900 623420518 /nfs/dbraw/zinc/42/05/18/623420518.db2.gz GRLUGUNUNHPYNI-UHFFFAOYSA-N 0 0 290.323 2.631 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823927102 623420778 /nfs/dbraw/zinc/42/07/78/623420778.db2.gz MXJKPNKHASKOLD-SECBINFHSA-N 0 0 292.339 2.829 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)[C@H]1C ZINC000823927371 623420788 /nfs/dbraw/zinc/42/07/88/623420788.db2.gz VJYMCNNWOHJHGM-BBBLOLIVSA-N 0 0 292.339 2.685 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1cccc(Cl)n1 ZINC000823940684 623421385 /nfs/dbraw/zinc/42/13/85/623421385.db2.gz JKCWZPNUWINZDJ-UHFFFAOYSA-N 0 0 285.731 2.533 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823945199 623423615 /nfs/dbraw/zinc/42/36/15/623423615.db2.gz LIYFPXLXRJEZQK-UWVGGRQHSA-N 0 0 292.339 2.735 20 5 CFBDRN Nc1ccc(NC(=O)N2CCC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000823952248 623424525 /nfs/dbraw/zinc/42/45/25/623424525.db2.gz ZBPICTDTXYDPSQ-UHFFFAOYSA-N 0 0 290.323 2.585 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823956017 623425038 /nfs/dbraw/zinc/42/50/38/623425038.db2.gz NBLUQVBCDKXAOZ-SNVBAGLBSA-N 0 0 292.339 2.973 20 5 CFBDRN CC1(C)CC(CNC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823957382 623425467 /nfs/dbraw/zinc/42/54/67/623425467.db2.gz YPYHDBSHSYIPFR-UHFFFAOYSA-N 0 0 292.339 2.735 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823961515 623426515 /nfs/dbraw/zinc/42/65/15/623426515.db2.gz GHVGOYAFKZKKGP-JOYOIKCWSA-N 0 0 292.339 2.829 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC1CCC1 ZINC000174537722 623426832 /nfs/dbraw/zinc/42/68/32/623426832.db2.gz QBRFGMKOWYICMW-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CCOCCCCOC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000174555167 623427804 /nfs/dbraw/zinc/42/78/04/623427804.db2.gz WCRADYSCIBVOGO-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823979939 623428304 /nfs/dbraw/zinc/42/83/04/623428304.db2.gz FEASLJQCFZLFJL-RKDXNWHRSA-N 0 0 278.312 2.582 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C[C@@H]1C ZINC000823973754 623428350 /nfs/dbraw/zinc/42/83/50/623428350.db2.gz LGJMCKCUFDVCNL-ZJUUUORDSA-N 0 0 292.339 2.687 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824003383 623431583 /nfs/dbraw/zinc/43/15/83/623431583.db2.gz ZFQDTDPNFFMPRJ-VIFPVBQESA-N 0 0 280.328 2.829 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824008551 623431601 /nfs/dbraw/zinc/43/16/01/623431601.db2.gz QJLQQCFTAQATSD-LLVKDONJSA-N 0 0 292.339 2.973 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000824008869 623431837 /nfs/dbraw/zinc/43/18/37/623431837.db2.gz XLGDOWDSBXLQAO-NXEZZACHSA-N 0 0 292.339 2.687 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OCC1(O)CCC1 ZINC000834377886 625647557 /nfs/dbraw/zinc/64/75/57/625647557.db2.gz JMEORMXTNMYMAT-UHFFFAOYSA-N 0 0 257.673 2.542 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000088312285 623450664 /nfs/dbraw/zinc/45/06/64/623450664.db2.gz UHHLSFMOWMUKND-VHSXEESVSA-N 0 0 279.340 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2(O)CCCC2)c(F)c1F ZINC000834383491 625652456 /nfs/dbraw/zinc/65/24/56/625652456.db2.gz UFHCTBBABARXSF-UHFFFAOYSA-N 0 0 273.235 2.557 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](F)C1 ZINC000824146095 623460426 /nfs/dbraw/zinc/46/04/26/623460426.db2.gz QSPRPEZKRGPMSM-VIFPVBQESA-N 0 0 298.339 2.891 20 5 CFBDRN CO[C@H]1CCC[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000151549874 623498104 /nfs/dbraw/zinc/49/81/04/623498104.db2.gz IZWWXMSSLGKHCL-UONOGXRCSA-N 0 0 293.319 2.638 20 5 CFBDRN CC[C@@H](C)OC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000088806406 623507343 /nfs/dbraw/zinc/50/73/43/623507343.db2.gz FCEPAZNCPYXEQV-SNVBAGLBSA-N 0 0 251.282 2.869 20 5 CFBDRN Cc1sc(C(=O)NOCc2ccccc2)cc1[N+](=O)[O-] ZINC000913660952 623546705 /nfs/dbraw/zinc/54/67/05/623546705.db2.gz SIAMVDGUVYUBAJ-UHFFFAOYSA-N 0 0 292.316 2.826 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCCO1 ZINC000094801152 623575234 /nfs/dbraw/zinc/57/52/34/623575234.db2.gz VPUYNOURFNUQBR-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN CC(C)(O)CCOc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000081676455 625661710 /nfs/dbraw/zinc/66/17/10/625661710.db2.gz GETZHYJQMUQIPF-UHFFFAOYSA-N 0 0 259.689 2.788 20 5 CFBDRN CC(C)(CCc1nc(Cc2cccs2)no1)[N+](=O)[O-] ZINC000834405758 625662864 /nfs/dbraw/zinc/66/28/64/625662864.db2.gz WVSWIFLDTJTIJB-UHFFFAOYSA-N 0 0 281.337 2.710 20 5 CFBDRN O=C(NC[C@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])cc1 ZINC000913861524 623625876 /nfs/dbraw/zinc/62/58/76/623625876.db2.gz BXOZAPFDKYSDPW-MRVPVSSYSA-N 0 0 289.118 2.518 20 5 CFBDRN C[C@@H](C(=O)OCCC1(O)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000840494153 623656402 /nfs/dbraw/zinc/65/64/02/623656402.db2.gz SDOUXKMDZIKPEH-LLVKDONJSA-N 0 0 293.319 2.547 20 5 CFBDRN CCc1ccc(OC(=O)c2cncc(F)c2)c([N+](=O)[O-])c1 ZINC000152266206 623673673 /nfs/dbraw/zinc/67/36/73/623673673.db2.gz XKHVBEDWXCBZNZ-UHFFFAOYSA-N 0 0 290.250 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC[C@H]2C[C@H]21 ZINC000761086292 623708559 /nfs/dbraw/zinc/70/85/59/623708559.db2.gz NMTUZZKSWVETPK-QPUJVOFHSA-N 0 0 252.701 2.842 20 5 CFBDRN O=C(Nc1nccs1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152515479 623725558 /nfs/dbraw/zinc/72/55/58/623725558.db2.gz QYGMUGXIOKRTQQ-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN Cc1ccc(CNC(=O)C2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000825640427 623728534 /nfs/dbraw/zinc/72/85/34/623728534.db2.gz DWOINEVHEJXIHB-UHFFFAOYSA-N 0 0 284.262 2.565 20 5 CFBDRN Cc1ccc(CNC(=O)C2(C)CCC2)cc1[N+](=O)[O-] ZINC000825647508 623730215 /nfs/dbraw/zinc/73/02/15/623730215.db2.gz HABCVKJFNAGKTP-UHFFFAOYSA-N 0 0 262.309 2.710 20 5 CFBDRN O=C(Oc1c(Cl)cccc1[N+](=O)[O-])O[C@H]1CCOC1 ZINC000914251599 623746410 /nfs/dbraw/zinc/74/64/10/623746410.db2.gz COQZJLXGJFNOQO-ZETCQYMHSA-N 0 0 287.655 2.553 20 5 CFBDRN CC(C(=O)NCCOc1ccc([N+](=O)[O-])cc1)=C1CCC1 ZINC000825814662 623757082 /nfs/dbraw/zinc/75/70/82/623757082.db2.gz YSDJIEYLALUXMD-UHFFFAOYSA-N 0 0 290.319 2.590 20 5 CFBDRN COc1ccc(OC[C@H](O)c2cccc([N+](=O)[O-])c2)cc1 ZINC000152741874 623760856 /nfs/dbraw/zinc/76/08/56/623760856.db2.gz JENVIYNOGDZJDZ-HNNXBMFYSA-N 0 0 289.287 2.716 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000826670832 623836219 /nfs/dbraw/zinc/83/62/19/623836219.db2.gz ZWMVPWZMFGYFJE-NXEZZACHSA-N 0 0 297.311 2.712 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C1 ZINC000153080543 623836536 /nfs/dbraw/zinc/83/65/36/623836536.db2.gz TYKLXEWAQANCJY-JTQLQIEISA-N 0 0 280.299 2.914 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCOC[C@H]2C2CCC2)c(F)c1 ZINC000826693241 623844874 /nfs/dbraw/zinc/84/48/74/623844874.db2.gz TURAHBPSJAHHTE-ZDUSSCGKSA-N 0 0 298.289 2.878 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC2CC1(C)C2 ZINC000826784277 623876135 /nfs/dbraw/zinc/87/61/35/623876135.db2.gz USVXJJCGXOBMHE-UHFFFAOYSA-N 0 0 292.360 2.941 20 5 CFBDRN O=C(OC[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000762138602 623876720 /nfs/dbraw/zinc/87/67/20/623876720.db2.gz PILWPFBLHZCYSW-SECBINFHSA-N 0 0 267.306 2.505 20 5 CFBDRN O=C(OC[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000762138603 623877266 /nfs/dbraw/zinc/87/72/66/623877266.db2.gz PILWPFBLHZCYSW-VIFPVBQESA-N 0 0 267.306 2.505 20 5 CFBDRN O=C1O[C@H](COc2cc([N+](=O)[O-])ccc2Cl)CC12CC2 ZINC000762207397 623882887 /nfs/dbraw/zinc/88/28/87/623882887.db2.gz BPOTXAUQIQCNNO-VIFPVBQESA-N 0 0 297.694 2.723 20 5 CFBDRN C[C@H]1CN(c2ccc(N)cc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000826816286 623884307 /nfs/dbraw/zinc/88/43/07/623884307.db2.gz DZYJHQWDWBSHSQ-NSHDSACASA-N 0 0 291.351 2.715 20 5 CFBDRN CC1CC(CNC(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000826858895 623894732 /nfs/dbraw/zinc/89/47/32/623894732.db2.gz NPTDVJSZEYKNEX-UHFFFAOYSA-N 0 0 294.326 2.829 20 5 CFBDRN Cc1sc(C(=O)O[C@H]2CCCCC2=O)cc1[N+](=O)[O-] ZINC000914640184 623912432 /nfs/dbraw/zinc/91/24/32/623912432.db2.gz TXJDWXRRWWKCDX-JTQLQIEISA-N 0 0 283.305 2.633 20 5 CFBDRN COCCN(C/C=C\c1ccccc1[N+](=O)[O-])CCF ZINC000827054135 623933315 /nfs/dbraw/zinc/93/33/15/623933315.db2.gz MMPWZKYBCUSDAB-XQRVVYSFSA-N 0 0 282.315 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CSCCS1 ZINC000193304262 623966509 /nfs/dbraw/zinc/96/65/09/623966509.db2.gz GJLNOBFZZSJHDH-LLVKDONJSA-N 0 0 299.373 2.657 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1CCCC1(C)C)[N+](=O)[O-] ZINC000835393301 623972040 /nfs/dbraw/zinc/97/20/40/623972040.db2.gz XHSDGCZGRDEDJE-SNVBAGLBSA-N 0 0 257.330 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2cccc(Cl)c2)cn1 ZINC000915212558 623997343 /nfs/dbraw/zinc/99/73/43/623997343.db2.gz HNRQECNURDXISX-GFCCVEGCSA-N 0 0 293.710 2.789 20 5 CFBDRN CC(C)C[C@@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000827496956 624017154 /nfs/dbraw/zinc/01/71/54/624017154.db2.gz PEYASPNAPHOEKQ-LLVKDONJSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@H](C)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000915379386 624033541 /nfs/dbraw/zinc/03/35/41/624033541.db2.gz RRNFDWUEVVUIPK-JTQLQIEISA-N 0 0 267.281 2.563 20 5 CFBDRN CCCCCCOC(=O)c1cc([N+](=O)[O-])cn1C ZINC000915382269 624033561 /nfs/dbraw/zinc/03/35/61/624033561.db2.gz VKXSAWLTJXEUDW-UHFFFAOYSA-N 0 0 254.286 2.670 20 5 CFBDRN CCO[C@H](C)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000915501184 624072143 /nfs/dbraw/zinc/07/21/43/624072143.db2.gz JUWODCYAPUGDLJ-VHSXEESVSA-N 0 0 267.281 2.624 20 5 CFBDRN C[C@@H](c1ccc(Cl)cc1)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000827834132 624107673 /nfs/dbraw/zinc/10/76/73/624107673.db2.gz HLEKSKSDKINHJG-XXILOJSOSA-N 0 0 282.727 2.525 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2CC[C@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000915865184 624134765 /nfs/dbraw/zinc/13/47/65/624134765.db2.gz DCGUHBBJMDSLFM-GXTWGEPZSA-N 0 0 291.303 2.744 20 5 CFBDRN CSc1ccc(C(=O)OCC(F)F)cc1[N+](=O)[O-] ZINC000194253002 624142748 /nfs/dbraw/zinc/14/27/48/624142748.db2.gz NJOGHEUOFDVUGA-UHFFFAOYSA-N 0 0 277.248 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc2c1cc[nH]c2=NOC[C@@H]1CCOC1 ZINC000828012436 624153376 /nfs/dbraw/zinc/15/33/76/624153376.db2.gz PARQXSPNMGRXQV-SNVBAGLBSA-N 0 0 289.291 2.523 20 5 CFBDRN CC(=O)OCCCSc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000828047129 624158965 /nfs/dbraw/zinc/15/89/65/624158965.db2.gz GGZHCMUWPSEJIO-UHFFFAOYSA-N 0 0 295.320 2.516 20 5 CFBDRN CC(C)(CCC(=O)N1CC2(C1)CCCCC2)[N+](=O)[O-] ZINC000828073104 624165202 /nfs/dbraw/zinc/16/52/02/624165202.db2.gz KZNDAPGSEYXILS-UHFFFAOYSA-N 0 0 268.357 2.615 20 5 CFBDRN C[C@@H]1CC[C@@]2(CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C2)C1 ZINC000828183909 624196384 /nfs/dbraw/zinc/19/63/84/624196384.db2.gz NACZBVBZLFZBNS-IUODEOHRSA-N 0 0 282.384 2.861 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000916587870 624257367 /nfs/dbraw/zinc/25/73/67/624257367.db2.gz PCYLLDUDTBQPTQ-PHIMTYICSA-N 0 0 277.324 2.503 20 5 CFBDRN CSCCOC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000916607597 624262653 /nfs/dbraw/zinc/26/26/53/624262653.db2.gz UBLPVZITJMJQEC-UHFFFAOYSA-N 0 0 275.713 2.768 20 5 CFBDRN CC(=O)[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000828531992 624263284 /nfs/dbraw/zinc/26/32/84/624263284.db2.gz QBMBYBUJFVHZGQ-WUKHSURWSA-N 0 0 291.303 2.765 20 5 CFBDRN Cc1nc(C)c(CC(=O)Nc2ccc([N+](=O)[O-])cc2)s1 ZINC000916663107 624271703 /nfs/dbraw/zinc/27/17/03/624271703.db2.gz YXXFDDBZHXAQNB-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN O=C(OCOc1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000916778196 624284728 /nfs/dbraw/zinc/28/47/28/624284728.db2.gz GKDZODFVXOPDFS-UHFFFAOYSA-N 0 0 271.656 2.538 20 5 CFBDRN Cc1ccccc1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000916826794 624286212 /nfs/dbraw/zinc/28/62/12/624286212.db2.gz SPLCDUWGKVXSSN-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H]1CC[C@H](C2CC2)O1 ZINC000916843263 624287733 /nfs/dbraw/zinc/28/77/33/624287733.db2.gz UONJBIDRACLLTO-CHWSQXEVSA-N 0 0 293.319 2.940 20 5 CFBDRN CC(C)N(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CCC1 ZINC000154224607 624292126 /nfs/dbraw/zinc/29/21/26/624292126.db2.gz XWWPMAXKLGLWFJ-UHFFFAOYSA-N 0 0 277.324 2.580 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@H]2CCC[C@H]2O)cc1 ZINC000828742584 624294260 /nfs/dbraw/zinc/29/42/60/624294260.db2.gz GJQSSBMWNYULJO-RBSFLKMASA-N 0 0 276.336 2.725 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1cc([N+](=O)[O-])ccc1F ZINC000828743541 624294889 /nfs/dbraw/zinc/29/48/89/624294889.db2.gz KPMOXQCYKMFEIM-JTQLQIEISA-N 0 0 297.282 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)COc2ccccc2)cc1 ZINC000214199174 624299871 /nfs/dbraw/zinc/29/98/71/624299871.db2.gz FWWQJPKYNNASGY-AWEZNQCLSA-N 0 0 259.261 2.707 20 5 CFBDRN CC[C@@](C)(CNc1cc(OC)cc(F)c1[N+](=O)[O-])OC ZINC000828779319 624300202 /nfs/dbraw/zinc/30/02/02/624300202.db2.gz ZRZDSAGWBZWVAR-ZDUSSCGKSA-N 0 0 286.303 2.970 20 5 CFBDRN C[C@@]1(CNc2ncccc2[N+](=O)[O-])CC1(Cl)Cl ZINC000828791520 624301725 /nfs/dbraw/zinc/30/17/25/624301725.db2.gz VXAQTUMPIUSFFW-VIFPVBQESA-N 0 0 276.123 2.986 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000828791070 624301774 /nfs/dbraw/zinc/30/17/74/624301774.db2.gz OIOQQGHSIWREDJ-JTQLQIEISA-N 0 0 297.282 2.707 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cn1 ZINC000154256358 624302464 /nfs/dbraw/zinc/30/24/64/624302464.db2.gz VESZLCABAKVUMD-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CCc1ccc(OCC(=O)C2(Cl)CC2)c([N+](=O)[O-])c1 ZINC000917066205 624305921 /nfs/dbraw/zinc/30/59/21/624305921.db2.gz GQLXPFDALYVPII-UHFFFAOYSA-N 0 0 283.711 2.877 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(C)C ZINC000828823104 624307379 /nfs/dbraw/zinc/30/73/79/624307379.db2.gz XFXASDSERCIQMS-GFCCVEGCSA-N 0 0 283.255 2.504 20 5 CFBDRN CCc1ccc(C(=O)O[C@H](C(C)=O)C(C)C)cc1[N+](=O)[O-] ZINC000828894405 624323939 /nfs/dbraw/zinc/32/39/39/624323939.db2.gz SXVRYRZHRFWYLG-AWEZNQCLSA-N 0 0 293.319 2.928 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C[C@@H]1C ZINC000828903148 624326049 /nfs/dbraw/zinc/32/60/49/624326049.db2.gz FMMYXQGJISYUBO-FZMZJTMJSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C[C@@H]1C ZINC000828905010 624327069 /nfs/dbraw/zinc/32/70/69/624327069.db2.gz SDXCARCGWKHXFG-SMDDNHRTSA-N 0 0 264.325 2.764 20 5 CFBDRN COC(=O)/C=C(\C)CSCc1ccc([N+](=O)[O-])cc1 ZINC000917351243 624334770 /nfs/dbraw/zinc/33/47/70/624334770.db2.gz WEJTZKVFWMEKOO-JXMROGBWSA-N 0 0 281.333 2.947 20 5 CFBDRN O=C(OCSCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000917351072 624334785 /nfs/dbraw/zinc/33/47/85/624334785.db2.gz RPBAMGWLALZSQA-UHFFFAOYSA-N 0 0 267.306 2.739 20 5 CFBDRN Cc1ccc(OCC(=O)C2(Cl)CC2)cc1[N+](=O)[O-] ZINC000917356973 624335515 /nfs/dbraw/zinc/33/55/15/624335515.db2.gz JUSBFVFBXKSCKJ-UHFFFAOYSA-N 0 0 269.684 2.623 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000917390434 624338843 /nfs/dbraw/zinc/33/88/43/624338843.db2.gz RNIZIAYOHYHJTH-NXEZZACHSA-N 0 0 295.314 2.642 20 5 CFBDRN Cc1occc1C1=N/C(=C\c2ccccc2[N+](=O)[O-])C(=O)O1 ZINC000917417308 624341446 /nfs/dbraw/zinc/34/14/46/624341446.db2.gz NMMGSJYJWQOBCN-WQLSENKSSA-N 0 0 298.254 2.841 20 5 CFBDRN COC(=O)/C=C(\C)CSc1ccc([N+](=O)[O-])cc1F ZINC000917478037 624345559 /nfs/dbraw/zinc/34/55/59/624345559.db2.gz SRZKQMFIMSMMAI-VMPITWQZSA-N 0 0 285.296 2.945 20 5 CFBDRN O=C1N[C@H](c2ccc([N+](=O)[O-])cc2)Nc2cc(F)ccc21 ZINC000917499337 624347116 /nfs/dbraw/zinc/34/71/16/624347116.db2.gz DFWDLQWTUYEYQN-CYBMUJFWSA-N 0 0 287.250 2.588 20 5 CFBDRN CC[C@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@@H](C)O ZINC000829005758 624353347 /nfs/dbraw/zinc/35/33/47/624353347.db2.gz GTBBBMWGTPZJID-APPZFPTMSA-N 0 0 281.337 2.776 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CC12CCOCC2 ZINC000829019226 624356555 /nfs/dbraw/zinc/35/65/55/624356555.db2.gz BFIJQMDUCSJDLX-AWEZNQCLSA-N 0 0 290.319 2.778 20 5 CFBDRN C[C@]1(CCNc2ncc([N+](=O)[O-])cn2)CC1(Cl)Cl ZINC000829059181 624362632 /nfs/dbraw/zinc/36/26/32/624362632.db2.gz PRPHXMFKQAKGDX-VIFPVBQESA-N 0 0 291.138 2.771 20 5 CFBDRN COc1cc(OC[C@@H]2CC[C@@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000917598445 624367460 /nfs/dbraw/zinc/36/74/60/624367460.db2.gz LTINLUCPGBGOIA-JSGCOSHPSA-N 0 0 293.319 2.940 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@H]1CC[C@H](C2CC2)O1 ZINC000917710891 624378212 /nfs/dbraw/zinc/37/82/12/624378212.db2.gz PVYQFCRTQXUKPF-BXKDBHETSA-N 0 0 298.726 2.980 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000917759986 624381735 /nfs/dbraw/zinc/38/17/35/624381735.db2.gz LMZJFFBPJYIWSV-MNOVXSKESA-N 0 0 272.304 2.956 20 5 CFBDRN CCCCOCCOC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000917967429 624400375 /nfs/dbraw/zinc/40/03/75/624400375.db2.gz HNSTYRZWXNHATJ-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN CCn1nc(C)c(CSCc2ccc([N+](=O)[O-])cc2)n1 ZINC000829352340 624423448 /nfs/dbraw/zinc/42/34/48/624423448.db2.gz GQQRUYWDDIOVTC-UHFFFAOYSA-N 0 0 292.364 2.948 20 5 CFBDRN C[C@H](CCn1ccnc1[N+](=O)[O-])OCc1ccccc1 ZINC000918377095 624433839 /nfs/dbraw/zinc/43/38/39/624433839.db2.gz BZIOOOXWNOPDCX-GFCCVEGCSA-N 0 0 275.308 2.787 20 5 CFBDRN O=[N+]([O-])c1nccn1C[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000918396372 624434460 /nfs/dbraw/zinc/43/44/60/624434460.db2.gz IRPAUHZPWZCFID-OLZOCXBDSA-N 0 0 273.292 2.712 20 5 CFBDRN CCSc1ccccc1Cn1ccnc1[N+](=O)[O-] ZINC000918396237 624434517 /nfs/dbraw/zinc/43/45/17/624434517.db2.gz HGXIVPODBYXLEG-UHFFFAOYSA-N 0 0 263.322 2.952 20 5 CFBDRN CC/C=C/COC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000918503227 624441180 /nfs/dbraw/zinc/44/11/80/624441180.db2.gz PRHSFUYZXGKSIZ-SNAWJCMRSA-N 0 0 265.265 2.726 20 5 CFBDRN O=C(OCC1CC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000918497588 624443385 /nfs/dbraw/zinc/44/33/85/624443385.db2.gz FGOVCEGUHDBRKD-UHFFFAOYSA-N 0 0 255.657 2.815 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)OCC1CCCC1 ZINC000918506994 624443446 /nfs/dbraw/zinc/44/34/46/624443446.db2.gz BEFGOMLIICNINE-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC1CCCC1 ZINC000918508126 624444558 /nfs/dbraw/zinc/44/45/58/624444558.db2.gz VHMOQMQDFBHHHM-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1C[C@H](C)C[C@H](O)C1 ZINC000829547363 624454087 /nfs/dbraw/zinc/45/40/87/624454087.db2.gz SERUMJMWLCCHLB-KCJUWKMLSA-N 0 0 284.743 2.764 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000829560593 624455245 /nfs/dbraw/zinc/45/52/45/624455245.db2.gz NZUIFLWMHOUPBM-GFCCVEGCSA-N 0 0 264.325 2.848 20 5 CFBDRN CCC/C=C\C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000918665256 624459541 /nfs/dbraw/zinc/45/95/41/624459541.db2.gz MLWIKCRWZIYQCS-WAYWQWQTSA-N 0 0 278.308 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc(OS(=O)(=O)CCC2CCCC2)cc1 ZINC000829614864 624473291 /nfs/dbraw/zinc/47/32/91/624473291.db2.gz ZZVHFFAXERFOHQ-UHFFFAOYSA-N 0 0 299.348 2.884 20 5 CFBDRN O=C(Oc1cc(F)ccc1[N+](=O)[O-])OC1CCC1 ZINC000829657289 624498395 /nfs/dbraw/zinc/49/83/95/624498395.db2.gz VVJZOZVODUBQAK-UHFFFAOYSA-N 0 0 255.201 2.802 20 5 CFBDRN CC[C@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000918932251 624511621 /nfs/dbraw/zinc/51/16/21/624511621.db2.gz JZRDQNGVBONHSZ-QMMMGPOBSA-N 0 0 255.245 2.823 20 5 CFBDRN CCC(F)(F)COC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000851490368 634393681 /nfs/dbraw/zinc/39/36/81/634393681.db2.gz FCVVGWXFLSRBLS-UHFFFAOYSA-N 0 0 288.250 2.595 20 5 CFBDRN C[C@H](Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-])C1CCCCC1 ZINC000829833530 624560860 /nfs/dbraw/zinc/56/08/60/624560860.db2.gz GNTLHFLEEBWDND-SECBINFHSA-N 0 0 282.300 2.916 20 5 CFBDRN Cc1ccccc1[C@@H](C)n1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829838392 624563113 /nfs/dbraw/zinc/56/31/13/624563113.db2.gz WVXBBEJRDLQKOG-SECBINFHSA-N 0 0 276.252 2.617 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@]2(C)CCO[C@H]2C)c1C ZINC000889919060 624563104 /nfs/dbraw/zinc/56/31/04/624563104.db2.gz DJCDCNSACGFSEA-XHDPSFHLSA-N 0 0 292.335 2.509 20 5 CFBDRN C[C@H](Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccccc1 ZINC000829842666 624564098 /nfs/dbraw/zinc/56/40/98/624564098.db2.gz PMKCCBKJYPWQAB-SECBINFHSA-N 0 0 276.252 2.503 20 5 CFBDRN CCC(F)(F)COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000851488921 634392735 /nfs/dbraw/zinc/39/27/35/634392735.db2.gz KLNZPGAZKFTWEP-UHFFFAOYSA-N 0 0 273.235 2.726 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)C1(C(F)F)CC1 ZINC000829992041 624595491 /nfs/dbraw/zinc/59/54/91/624595491.db2.gz QYJHJLDBMPWWBQ-UHFFFAOYSA-N 0 0 271.219 2.683 20 5 CFBDRN CCC(F)(F)COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000851491137 634395166 /nfs/dbraw/zinc/39/51/66/634395166.db2.gz PCSVVTVMKWPNQB-UHFFFAOYSA-N 0 0 277.198 2.936 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000830200350 624666707 /nfs/dbraw/zinc/66/67/07/624666707.db2.gz FHEZYDBKCGNNRD-UTUOFQBUSA-N 0 0 270.373 2.715 20 5 CFBDRN C[C@@H](CNC(=S)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000920080710 624671499 /nfs/dbraw/zinc/67/14/99/624671499.db2.gz HWAIOAMPEFOQNX-VIFPVBQESA-N 0 0 279.365 2.927 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000924026019 624678108 /nfs/dbraw/zinc/67/81/08/624678108.db2.gz UPLCAGMKQJTZTH-RCWTZXSCSA-N 0 0 292.310 2.756 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCCOCC1CC1 ZINC000830365528 624723523 /nfs/dbraw/zinc/72/35/23/624723523.db2.gz CWMFUMFAMCQYRW-UHFFFAOYSA-N 0 0 297.282 2.626 20 5 CFBDRN CO[C@@H](COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C1CC1 ZINC000830369234 624723945 /nfs/dbraw/zinc/72/39/45/624723945.db2.gz IDTTUVALNKVPGW-LBPRGKRZSA-N 0 0 297.282 2.624 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@H]1CCCOC1 ZINC000830369889 624724594 /nfs/dbraw/zinc/72/45/94/624724594.db2.gz QTHNAOUHSABZJJ-JTQLQIEISA-N 0 0 297.282 2.626 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000920580784 624744002 /nfs/dbraw/zinc/74/40/02/624744002.db2.gz CVJIVXGOWZKZIO-UHFFFAOYSA-N 0 0 260.249 2.588 20 5 CFBDRN Cc1c(COC(=O)[C@H](C)OCC2CC2)cccc1[N+](=O)[O-] ZINC000920782044 624765693 /nfs/dbraw/zinc/76/56/93/624765693.db2.gz OCYOQUFXZYYRSV-NSHDSACASA-N 0 0 293.319 2.762 20 5 CFBDRN Cc1cc(C(=O)NN2[C@H](C)CCC[C@H]2C)cc([N+](=O)[O-])c1 ZINC000920841642 624775760 /nfs/dbraw/zinc/77/57/60/624775760.db2.gz RSCHLHHVBCHAMF-VXGBXAGGSA-N 0 0 291.351 2.811 20 5 CFBDRN COCc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000830563562 624779873 /nfs/dbraw/zinc/77/98/73/624779873.db2.gz KSAYXROGNLVBRK-UHFFFAOYSA-N 0 0 280.324 2.607 20 5 CFBDRN Cc1cscc1CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000830663938 624809403 /nfs/dbraw/zinc/80/94/03/624809403.db2.gz NHSXZDCQQONFJH-UHFFFAOYSA-N 0 0 270.354 2.508 20 5 CFBDRN CCC/C(C)=C/C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000921288499 624835902 /nfs/dbraw/zinc/83/59/02/624835902.db2.gz KCCVCRZSQKUZSU-DHZHZOJOSA-N 0 0 262.309 2.957 20 5 CFBDRN C[C@@H](OC(=O)C1(F)CC1)c1ccccc1[N+](=O)[O-] ZINC000830755562 624844991 /nfs/dbraw/zinc/84/49/91/624844991.db2.gz RHJKCQWBUBSIDB-MRVPVSSYSA-N 0 0 253.229 2.701 20 5 CFBDRN Cc1ccc(OC(=O)c2cncc(C)c2)c([N+](=O)[O-])c1 ZINC000175076975 624925560 /nfs/dbraw/zinc/92/55/60/624925560.db2.gz GVPOZDHYAZVTCA-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CCN(CC)C(=O)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000064387143 624927744 /nfs/dbraw/zinc/92/77/44/624927744.db2.gz YVGSSEDIQXBKGB-UHFFFAOYSA-N 0 0 285.731 2.529 20 5 CFBDRN Cc1ncccc1[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000851540966 634420498 /nfs/dbraw/zinc/42/04/98/634420498.db2.gz FENXPJPQKSHGHS-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CCC[C@H](C)COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000233330073 624937131 /nfs/dbraw/zinc/93/71/31/624937131.db2.gz RDFRUILRJFTQDH-VIFPVBQESA-N 0 0 266.297 2.770 20 5 CFBDRN C[C@@H]1[C@H](c2ccccc2)CC[N@@H+]1Cn1cc([N+](=O)[O-])cn1 ZINC000175263945 624942444 /nfs/dbraw/zinc/94/24/44/624942444.db2.gz AQLIZVHVBJIWLS-IUODEOHRSA-N 0 0 286.335 2.627 20 5 CFBDRN Cc1cnc(COC(=O)c2ccc([N+](=O)[O-])cc2C)o1 ZINC000175399097 624950605 /nfs/dbraw/zinc/95/06/05/624950605.db2.gz GIUASQWBUDPLHE-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000831238325 624976152 /nfs/dbraw/zinc/97/61/52/624976152.db2.gz ZBKCTXRYWWDXLQ-CYBMUJFWSA-N 0 0 288.347 2.916 20 5 CFBDRN Cc1c(OC(=O)[C@@H](C)OCC2CC2)cccc1[N+](=O)[O-] ZINC000068336756 624988725 /nfs/dbraw/zinc/98/87/25/624988725.db2.gz ZWJXRHIRQZRCNY-SNVBAGLBSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ncccc1[C@@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000851546047 634424632 /nfs/dbraw/zinc/42/46/32/634424632.db2.gz KVKGUACMLHDGPO-LLVKDONJSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1ncccc1[C@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000851546048 634424814 /nfs/dbraw/zinc/42/48/14/634424814.db2.gz KVKGUACMLHDGPO-NSHDSACASA-N 0 0 280.324 2.830 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C(F)(F)F)c1csc([N+](=O)[O-])c1 ZINC000831396650 625009393 /nfs/dbraw/zinc/00/93/93/625009393.db2.gz IZOJLUVFEOLPQP-CAHLUQPWSA-N 0 0 294.254 2.585 20 5 CFBDRN COc1c(C(=O)NCCC2CC=CC2)cccc1[N+](=O)[O-] ZINC000831433185 625020047 /nfs/dbraw/zinc/02/00/47/625020047.db2.gz KBPKNRDTLVTLQK-UHFFFAOYSA-N 0 0 290.319 2.690 20 5 CFBDRN CCON(CC)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000831458310 625028866 /nfs/dbraw/zinc/02/88/66/625028866.db2.gz IUWGVGFDBCIUTA-UHFFFAOYSA-N 0 0 280.324 2.672 20 5 CFBDRN CC(C)(CCC(=O)N1CCC(C)(C2CC2)CC1)[N+](=O)[O-] ZINC000832214422 625245011 /nfs/dbraw/zinc/24/50/11/625245011.db2.gz FUBXAUJANGEVQP-UHFFFAOYSA-N 0 0 282.384 2.861 20 5 CFBDRN CC(C)(CCc1noc(CSC(F)F)n1)[N+](=O)[O-] ZINC000834744282 625824531 /nfs/dbraw/zinc/82/45/31/625824531.db2.gz MCBFSONCJYDOGR-UHFFFAOYSA-N 0 0 281.284 2.513 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000834702677 625791705 /nfs/dbraw/zinc/79/17/05/625791705.db2.gz ZYYFYMRKSNFYBV-PWSUYJOCSA-N 0 0 294.376 2.917 20 5 CFBDRN COc1cc(NC2CCC(C)(O)CC2)c(F)cc1[N+](=O)[O-] ZINC000768513263 625894907 /nfs/dbraw/zinc/89/49/07/625894907.db2.gz UEHLLAZKFPRZGP-UHFFFAOYSA-N 0 0 298.314 2.848 20 5 CFBDRN CCc1ncc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000834899846 625915890 /nfs/dbraw/zinc/91/58/90/625915890.db2.gz MHRQLIYHRSBWLH-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN CC(C)CON=c1nc[nH]c2ccc([N+](=O)[O-])cc21 ZINC000788077491 625983086 /nfs/dbraw/zinc/98/30/86/625983086.db2.gz VWPZBOAJLVCKSL-UHFFFAOYSA-N 0 0 262.269 2.538 20 5 CFBDRN CCc1[nH]nc(Cl)c1CNCc1ccc([N+](=O)[O-])cc1 ZINC000921561996 625989520 /nfs/dbraw/zinc/98/95/20/625989520.db2.gz FRHDEHBTIHBQDD-UHFFFAOYSA-N 0 0 294.742 2.824 20 5 CFBDRN C[C@@H](NCc1cn(C)nc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000922540845 626306288 /nfs/dbraw/zinc/30/62/88/626306288.db2.gz LYVWCVNWAOHIHL-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1ncoc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000922542655 626306733 /nfs/dbraw/zinc/30/67/33/626306733.db2.gz WUOLBKGBFKRSSR-SECBINFHSA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1nc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)[nH]c1C ZINC000922542332 626306917 /nfs/dbraw/zinc/30/69/17/626306917.db2.gz VCAMEFORLVAVMG-LLVKDONJSA-N 0 0 274.324 2.786 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCCOC1CC1 ZINC000922664702 626338120 /nfs/dbraw/zinc/33/81/20/626338120.db2.gz QPAOUOXKZJZMBQ-UHFFFAOYSA-N 0 0 299.710 2.513 20 5 CFBDRN CC1(C)CCC[C@@H](CNC(=O)c2csc([N+](=O)[O-])c2)O1 ZINC000890135968 626364895 /nfs/dbraw/zinc/36/48/95/626364895.db2.gz SUYPWBGDBXSCPA-JTQLQIEISA-N 0 0 298.364 2.734 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)OCCOC2CC2)cc1Cl ZINC000922793377 626365206 /nfs/dbraw/zinc/36/52/06/626365206.db2.gz DADFONKNNNFFGX-UHFFFAOYSA-N 0 0 299.710 2.892 20 5 CFBDRN Cc1c(COC(=O)[C@@H](C)[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000923047881 626446402 /nfs/dbraw/zinc/44/64/02/626446402.db2.gz HFFFHXZHWJJYKV-FZMZJTMJSA-N 0 0 293.319 2.762 20 5 CFBDRN O=C(CC1(O)CCC1)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000838212428 626488891 /nfs/dbraw/zinc/48/88/91/626488891.db2.gz TZGXZLPYNPTFCR-UHFFFAOYSA-N 0 0 299.710 2.597 20 5 CFBDRN CC1(C(=O)OCc2csc([N+](=O)[O-])c2)CCC(=O)CC1 ZINC000838293429 626492012 /nfs/dbraw/zinc/49/20/12/626492012.db2.gz VVXNPBNVOXILSV-UHFFFAOYSA-N 0 0 297.332 2.849 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H](O)C1CCC1 ZINC000838312186 626492488 /nfs/dbraw/zinc/49/24/88/626492488.db2.gz MTOAKQZDTQFDMB-HZMBPMFUSA-N 0 0 293.319 2.668 20 5 CFBDRN O=C(OCC1CCCCC1)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838279923 626492696 /nfs/dbraw/zinc/49/26/96/626492696.db2.gz BYEGYBDIPNAZRA-AWEZNQCLSA-N 0 0 293.319 2.752 20 5 CFBDRN C[C@]1(C(=O)OCc2csc([N+](=O)[O-])c2)CCCCO1 ZINC000838438723 626497847 /nfs/dbraw/zinc/49/78/47/626497847.db2.gz RNOIIFUZABWSJH-GFCCVEGCSA-N 0 0 285.321 2.659 20 5 CFBDRN C[C@]1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCCCO1 ZINC000838439867 626497947 /nfs/dbraw/zinc/49/79/47/626497947.db2.gz UFTMGKREMNNKDR-CQSZACIVSA-N 0 0 297.282 2.736 20 5 CFBDRN CCC(C)(C)OCC(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000838868828 626512204 /nfs/dbraw/zinc/51/22/04/626512204.db2.gz QMQXPXLKHAEOCF-UHFFFAOYSA-N 0 0 297.307 2.714 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)c1ccn(C)n1 ZINC000839193159 626530921 /nfs/dbraw/zinc/53/09/21/626530921.db2.gz ZCIXBAGQVSATQL-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCCCO1 ZINC000839224372 626531687 /nfs/dbraw/zinc/53/16/87/626531687.db2.gz NQICJGZOBVPDEY-ZDUSSCGKSA-N 0 0 282.271 2.632 20 5 CFBDRN CCC(C)(C)OCC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000839222190 626532453 /nfs/dbraw/zinc/53/24/53/626532453.db2.gz HGDGJCVKCLTYLB-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@]1(C)CCCCO1 ZINC000839254527 626532576 /nfs/dbraw/zinc/53/25/76/626532576.db2.gz ZCKLCFBOYOBYIR-CQSZACIVSA-N 0 0 279.292 2.768 20 5 CFBDRN CC(C)(CCC(=O)Nc1ncccc1Cl)[N+](=O)[O-] ZINC000839317913 626533628 /nfs/dbraw/zinc/53/36/28/626533628.db2.gz JCXNWQXHLMLJEH-UHFFFAOYSA-N 0 0 271.704 2.509 20 5 CFBDRN C[C@H](c1ccccc1Cl)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839508161 626538360 /nfs/dbraw/zinc/53/83/60/626538360.db2.gz DSZKRFKXGAFSCY-HLUHBDAQSA-N 0 0 282.727 2.525 20 5 CFBDRN Cc1ccc([C@H](O)CNc2c(F)cc([N+](=O)[O-])cc2F)o1 ZINC000749319967 626540641 /nfs/dbraw/zinc/54/06/41/626540641.db2.gz GWMDJPDLWNPGSE-LLVKDONJSA-N 0 0 298.245 2.920 20 5 CFBDRN Cc1ccnc(NCc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000756975360 626542967 /nfs/dbraw/zinc/54/29/67/626542967.db2.gz WUPHGPSPGIOJMB-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCc2cccc([N+](=O)[O-])c2)O1 ZINC000757137723 626545774 /nfs/dbraw/zinc/54/57/74/626545774.db2.gz UPCNIIDUSOIKCF-CHWSQXEVSA-N 0 0 279.292 2.596 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)O1 ZINC000757138171 626545805 /nfs/dbraw/zinc/54/58/05/626545805.db2.gz WZRAITRKVISODD-WCQYABFASA-N 0 0 297.282 2.735 20 5 CFBDRN CC[C@@H](c1ccccc1)N(CC)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839602741 626547746 /nfs/dbraw/zinc/54/77/46/626547746.db2.gz UCJWDWDUENOKJH-HZSPNIEDSA-N 0 0 276.336 2.651 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Oc2ccc(C)cc2[N+](=O)[O-])O1 ZINC000757304678 626547920 /nfs/dbraw/zinc/54/79/20/626547920.db2.gz LXOOJDXBPGCDTE-MFKMUULPSA-N 0 0 279.292 2.766 20 5 CFBDRN C[C@@H]1[C@@H](CO)CCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000839669290 626551623 /nfs/dbraw/zinc/55/16/23/626551623.db2.gz NPVKDCWFPNFDMC-GHMZBOCLSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@H]1[C@@H](CO)CCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000839669356 626551681 /nfs/dbraw/zinc/55/16/81/626551681.db2.gz OVRVSJVCGLYOCC-WDEREUQCSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@H]1[C@H](CO)CCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000839675460 626551816 /nfs/dbraw/zinc/55/18/16/626551816.db2.gz PJAVSFMAWWIPKH-JQWIXIFHSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1c1ccc([N+](=O)[O-])c2nonc21 ZINC000757789260 626562956 /nfs/dbraw/zinc/56/29/56/626562956.db2.gz OWNXTAQFUJFLFC-HTQZYQBOSA-N 0 0 262.269 2.508 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccon1 ZINC000757850469 626565293 /nfs/dbraw/zinc/56/52/93/626565293.db2.gz FOJMOHBCWUVEKO-UHFFFAOYSA-N 0 0 296.670 2.558 20 5 CFBDRN Nc1c(F)cccc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000758067223 626575396 /nfs/dbraw/zinc/57/53/96/626575396.db2.gz HNSQQBFCQPVFPW-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN CCCN(CC)C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000758409644 626592657 /nfs/dbraw/zinc/59/26/57/626592657.db2.gz BBCNJSSJOLKRHE-UHFFFAOYSA-N 0 0 268.288 2.914 20 5 CFBDRN Cc1ccc(OC(=O)CCc2ccncc2)cc1[N+](=O)[O-] ZINC000759504349 626665611 /nfs/dbraw/zinc/66/56/11/626665611.db2.gz KKTPHEAREDKYFR-UHFFFAOYSA-N 0 0 286.287 2.836 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@H]1CC12CCC2 ZINC000759986293 626707434 /nfs/dbraw/zinc/70/74/34/626707434.db2.gz SHFOSKXQHQRIAI-LLVKDONJSA-N 0 0 279.267 2.967 20 5 CFBDRN O=C(COC(=O)[C@@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000759989889 626707467 /nfs/dbraw/zinc/70/74/67/626707467.db2.gz QXKFGWBRWWTXAI-LBPRGKRZSA-N 0 0 289.287 2.511 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H](C)OCC1CC1 ZINC000760018487 626709691 /nfs/dbraw/zinc/70/96/91/626709691.db2.gz QYFXHWSTBJBRNT-SNVBAGLBSA-N 0 0 279.292 2.624 20 5 CFBDRN COc1ccnc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])c1 ZINC000760022949 626710322 /nfs/dbraw/zinc/71/03/22/626710322.db2.gz DUROJWNOAADYEZ-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC2(C3CC3)CC2)cc1 ZINC000760285243 626729375 /nfs/dbraw/zinc/72/93/75/626729375.db2.gz OOXAZZVJHYIABU-UHFFFAOYSA-N 0 0 277.349 2.824 20 5 CFBDRN CCn1nccc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000760301561 626732054 /nfs/dbraw/zinc/73/20/54/626732054.db2.gz OVLNYGASAIDWCH-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000760420971 626744263 /nfs/dbraw/zinc/74/42/63/626744263.db2.gz JVEGKKVDTFQVTJ-SSDOTTSWSA-N 0 0 279.321 2.784 20 5 CFBDRN Cc1nc(NC[C@@H]2COc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000760445155 626746686 /nfs/dbraw/zinc/74/66/86/626746686.db2.gz PPRIFTZGDORASO-GFCCVEGCSA-N 0 0 299.330 2.961 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccc[nH]1 ZINC000760731017 626768177 /nfs/dbraw/zinc/76/81/77/626768177.db2.gz NPZZPQAJPVKJNN-MRVPVSSYSA-N 0 0 277.255 2.553 20 5 CFBDRN O=C(OC[C@@H]1CCCOC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000760762493 626770666 /nfs/dbraw/zinc/77/06/66/626770666.db2.gz JEMWMKRIDQQKIQ-SECBINFHSA-N 0 0 299.710 2.832 20 5 CFBDRN C/C=C(/C)C(=O)O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000761007645 626790906 /nfs/dbraw/zinc/79/09/06/626790906.db2.gz UTVDEUMEABCCOD-FRZIUMITSA-N 0 0 293.323 2.784 20 5 CFBDRN Cc1ccc(C)c(COC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000761060668 626795909 /nfs/dbraw/zinc/79/59/09/626795909.db2.gz GEUOPMYTHIRZDJ-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC2(C1)CC(F)(F)C2 ZINC000761114189 626807086 /nfs/dbraw/zinc/80/70/86/626807086.db2.gz LWXORBBQHCGMFY-UHFFFAOYSA-N 0 0 286.253 2.965 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2CCCOC2)c1 ZINC000761324812 626824361 /nfs/dbraw/zinc/82/43/61/626824361.db2.gz YHZITSZZQNTIKZ-VIFPVBQESA-N 0 0 297.332 2.653 20 5 CFBDRN COCCc1csc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000761792612 626859300 /nfs/dbraw/zinc/85/93/00/626859300.db2.gz MDYHDMRZLAYRPT-UHFFFAOYSA-N 0 0 264.306 2.907 20 5 CFBDRN COCCc1csc(-c2ccccc2[N+](=O)[O-])n1 ZINC000761792430 626859343 /nfs/dbraw/zinc/85/93/43/626859343.db2.gz KHZNBJVARVHLFZ-UHFFFAOYSA-N 0 0 264.306 2.907 20 5 CFBDRN CCC[C@@H](C)c1noc(-c2c([N+](=O)[O-])c(C)nn2C)n1 ZINC000761847871 626863739 /nfs/dbraw/zinc/86/37/39/626863739.db2.gz HHFZPEMTXBEFLI-SSDOTTSWSA-N 0 0 279.300 2.590 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCSC1 ZINC000762150649 626898038 /nfs/dbraw/zinc/89/80/38/626898038.db2.gz RWIZRFPMFJNIEC-SNVBAGLBSA-N 0 0 281.333 2.813 20 5 CFBDRN O=C1O[C@@H](COc2ccc([N+](=O)[O-])cc2Cl)CC12CC2 ZINC000762186787 626902200 /nfs/dbraw/zinc/90/22/00/626902200.db2.gz ZJZKQSIQPMTYKQ-SECBINFHSA-N 0 0 297.694 2.723 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000762270797 626908839 /nfs/dbraw/zinc/90/88/39/626908839.db2.gz UVHTVDJMJFDBKK-JTQLQIEISA-N 0 0 281.333 2.870 20 5 CFBDRN O=C1O[C@H](CSCc2cccc([N+](=O)[O-])c2)CC12CC2 ZINC000762366428 626912327 /nfs/dbraw/zinc/91/23/27/626912327.db2.gz CGSYSAVLIDWLBU-LBPRGKRZSA-N 0 0 293.344 2.924 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCC1=O ZINC000762434022 626916046 /nfs/dbraw/zinc/91/60/46/626916046.db2.gz QWKFELXXQIWOOW-BDAKNGLRSA-N 0 0 294.282 2.678 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCC1=O ZINC000762434028 626916050 /nfs/dbraw/zinc/91/60/50/626916050.db2.gz QWKFELXXQIWOOW-IUCAKERBSA-N 0 0 294.282 2.678 20 5 CFBDRN CC(=O)CCCCOC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000762873993 626946537 /nfs/dbraw/zinc/94/65/37/626946537.db2.gz NHUMBKCKHYHFKH-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN C/C=C/COC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000762907098 626949264 /nfs/dbraw/zinc/94/92/64/626949264.db2.gz YLOGCLGTFLQIKB-NSCUHMNNSA-N 0 0 260.249 2.809 20 5 CFBDRN CC(=O)CCCCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000762907744 626949530 /nfs/dbraw/zinc/94/95/30/626949530.db2.gz GTUBLTJIZZJKEP-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000763001392 626954508 /nfs/dbraw/zinc/95/45/08/626954508.db2.gz UKQOQOIAARNLJG-SECBINFHSA-N 0 0 297.282 2.532 20 5 CFBDRN Cc1cc(-c2nc([C@@]3(C)CCCO3)no2)cc([N+](=O)[O-])c1 ZINC000763320171 626979084 /nfs/dbraw/zinc/97/90/84/626979084.db2.gz HMDPYGBTWMJJOS-CQSZACIVSA-N 0 0 289.291 2.979 20 5 CFBDRN CC[C@@H](O)CCNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000763388978 626984663 /nfs/dbraw/zinc/98/46/63/626984663.db2.gz UZRJIMXAZFEKEH-SECBINFHSA-N 0 0 281.337 2.777 20 5 CFBDRN CC[C@H](O)CCNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000763388985 626984675 /nfs/dbraw/zinc/98/46/75/626984675.db2.gz UZRJIMXAZFEKEH-VIFPVBQESA-N 0 0 281.337 2.777 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2ccc(F)cn2)c1 ZINC000763391467 626985091 /nfs/dbraw/zinc/98/50/91/626985091.db2.gz KOVIZYCFUWVACV-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN C[C@@H](C(=O)OCCCF)c1cccc([N+](=O)[O-])c1 ZINC000763407250 626986405 /nfs/dbraw/zinc/98/64/05/626986405.db2.gz YYQZNGFAIOBUSM-SECBINFHSA-N 0 0 255.245 2.601 20 5 CFBDRN CC(C)CC(=O)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763411244 626986792 /nfs/dbraw/zinc/98/67/92/626986792.db2.gz ZRWHCAVRNDWUMP-NSHDSACASA-N 0 0 293.319 2.857 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000763551992 626997131 /nfs/dbraw/zinc/99/71/31/626997131.db2.gz GHGFBKRGGNRZBC-SNVBAGLBSA-N 0 0 280.324 2.862 20 5 CFBDRN CCOCCCOC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763716716 627007807 /nfs/dbraw/zinc/00/78/07/627007807.db2.gz JMPNFKVVPPFKCX-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN COCC[C@H](C)OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764042145 627032614 /nfs/dbraw/zinc/03/26/14/627032614.db2.gz OJZGBWSKYJEUKU-WDEREUQCSA-N 0 0 281.308 2.667 20 5 CFBDRN COC[C@H](C)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764077005 627035837 /nfs/dbraw/zinc/03/58/37/627035837.db2.gz RVSLBCDYENXQIB-WDEREUQCSA-N 0 0 281.308 2.524 20 5 CFBDRN CS/C=C/C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000764243877 627047828 /nfs/dbraw/zinc/04/78/28/627047828.db2.gz XDSAMJNLAKIYHD-AATRIKPKSA-N 0 0 253.279 2.685 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)OCCF ZINC000764654982 627080446 /nfs/dbraw/zinc/08/04/46/627080446.db2.gz AUQSQDAGFBHYQK-UHFFFAOYSA-N 0 0 273.285 2.833 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2nc(C)ccc2[N+](=O)[O-])no1 ZINC000765466944 627140751 /nfs/dbraw/zinc/14/07/51/627140751.db2.gz VHOWTUBQSLVSGI-LBPRGKRZSA-N 0 0 288.307 2.936 20 5 CFBDRN CCSCCOC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000765512133 627143921 /nfs/dbraw/zinc/14/39/21/627143921.db2.gz IXZYDZQVSKRCGA-UHFFFAOYSA-N 0 0 284.337 2.546 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)C(C)=O ZINC000765529029 627145350 /nfs/dbraw/zinc/14/53/50/627145350.db2.gz NJWOHXZQZQEQMT-AAEUAGOBSA-N 0 0 293.319 2.684 20 5 CFBDRN COc1cc(COC(=O)C=C(C)C)c([N+](=O)[O-])cc1F ZINC000766165326 627181625 /nfs/dbraw/zinc/18/16/25/627181625.db2.gz FJMWGBHNKKCUCB-UHFFFAOYSA-N 0 0 283.255 2.752 20 5 CFBDRN C/C=C(/C)C(=O)OCc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000766216061 627185581 /nfs/dbraw/zinc/18/55/81/627185581.db2.gz XQGPIOGKPHNGJR-YWEYNIOJSA-N 0 0 283.255 2.752 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OC[C@@H]1CCCOC1 ZINC000766296576 627192123 /nfs/dbraw/zinc/19/21/23/627192123.db2.gz AUPLGFGNDKFXSK-OQHXTRMZSA-N 0 0 291.303 2.578 20 5 CFBDRN CCc1cccnc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000766311790 627194757 /nfs/dbraw/zinc/19/47/57/627194757.db2.gz KXTGCLFVXILJNB-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN Cc1cc(C(=O)Oc2cccc([N+](=O)[O-])c2)ns1 ZINC000766323048 627195574 /nfs/dbraw/zinc/19/55/74/627195574.db2.gz HFQPRKHPZGMQLT-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN C[C@]1(O)CCCN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000766442842 627203470 /nfs/dbraw/zinc/20/34/70/627203470.db2.gz MZHWIFZDXKWIES-ZDUSSCGKSA-N 0 0 293.348 2.556 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c3nonc32)C[C@H]1C ZINC000766443162 627203625 /nfs/dbraw/zinc/20/36/25/627203625.db2.gz KKAYYUIHWMYSFE-RKDXNWHRSA-N 0 0 276.296 2.613 20 5 CFBDRN C[C@H]1CCC[C@@H](CCOC(=O)c2c([N+](=O)[O-])cnn2C)C1 ZINC000766660138 627213453 /nfs/dbraw/zinc/21/34/53/627213453.db2.gz DHWPTLGZYSHHGE-QWRGUYRKSA-N 0 0 295.339 2.702 20 5 CFBDRN Cc1cc(Cl)ncc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000766803811 627221104 /nfs/dbraw/zinc/22/11/04/627221104.db2.gz ILWBJPSOILMUNJ-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1cc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)ns1 ZINC000766841363 627222649 /nfs/dbraw/zinc/22/26/49/627222649.db2.gz JMDJYKWLDSYOGA-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN C[C@@H]1CC(Nc2nc3ccccn3c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000767314392 627243527 /nfs/dbraw/zinc/24/35/27/627243527.db2.gz MVTXFJMMFLZCFZ-NXEZZACHSA-N 0 0 290.323 2.610 20 5 CFBDRN CC(C)n1nccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000767461032 627253137 /nfs/dbraw/zinc/25/31/37/627253137.db2.gz ZVJLBWVDXJXXPQ-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN O=C(Cc1ccco1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000767516871 627257406 /nfs/dbraw/zinc/25/74/06/627257406.db2.gz RQYILQOFZIHMJK-UHFFFAOYSA-N 0 0 275.260 2.516 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1cc([N+](=O)[O-])ccc1OC ZINC000767673232 627273261 /nfs/dbraw/zinc/27/32/61/627273261.db2.gz LISOMQJFZDVVAA-GFCCVEGCSA-N 0 0 299.348 2.788 20 5 CFBDRN CC[C@@H](SC)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000767676634 627273951 /nfs/dbraw/zinc/27/39/51/627273951.db2.gz NBCQLEUTHGMHKJ-GFCCVEGCSA-N 0 0 299.348 2.658 20 5 CFBDRN CCOC(CN1CCc2cc(C)c([N+](=O)[O-])cc21)OCC ZINC000767815402 627288057 /nfs/dbraw/zinc/28/80/57/627288057.db2.gz GANBJECQJNWZEW-UHFFFAOYSA-N 0 0 294.351 2.665 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(CCOC(F)F)C1CC1 ZINC000851860702 634562529 /nfs/dbraw/zinc/56/25/29/634562529.db2.gz KTMWMBUAXKGUNP-UHFFFAOYSA-N 0 0 286.278 2.798 20 5 CFBDRN CC1(C2CCN(c3ccsc3[N+](=O)[O-])CC2)OCCO1 ZINC000768532721 627351033 /nfs/dbraw/zinc/35/10/33/627351033.db2.gz FJTYPAIBFVOUEP-UHFFFAOYSA-N 0 0 298.364 2.636 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000768898898 627385471 /nfs/dbraw/zinc/38/54/71/627385471.db2.gz QLMUMXPGFLHHNW-JTQLQIEISA-N 0 0 266.297 2.705 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CCOC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000769272169 627418021 /nfs/dbraw/zinc/41/80/21/627418021.db2.gz XGOZNPYUZXCNJE-GWCFXTLKSA-N 0 0 293.319 2.619 20 5 CFBDRN COC[C@H](C)COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000769328961 627425204 /nfs/dbraw/zinc/42/52/04/627425204.db2.gz CLJNELVPPBCBKF-VIFPVBQESA-N 0 0 292.291 2.515 20 5 CFBDRN Cc1ccc(OC(=O)Cc2ccco2)c([N+](=O)[O-])c1 ZINC000769618974 627461868 /nfs/dbraw/zinc/46/18/68/627461868.db2.gz OINWOEILFNLDDV-UHFFFAOYSA-N 0 0 261.233 2.644 20 5 CFBDRN O=C(Cc1ccco1)Nc1cc([N+](=O)[O-])ccc1F ZINC000769618963 627461902 /nfs/dbraw/zinc/46/19/02/627461902.db2.gz NWSFHBOURVYUKN-UHFFFAOYSA-N 0 0 264.212 2.508 20 5 CFBDRN CSCCNC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000770010685 627496320 /nfs/dbraw/zinc/49/63/20/627496320.db2.gz VESRTLOXHHUKBG-UHFFFAOYSA-N 0 0 289.744 2.733 20 5 CFBDRN O=[N+]([O-])c1c(N2CCCC3(CC3)C2)nc2ccccn21 ZINC000770224455 627508645 /nfs/dbraw/zinc/50/86/45/627508645.db2.gz YMKGRSNYGXTJAT-UHFFFAOYSA-N 0 0 272.308 2.623 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ncccc32)ccc1[N+](=O)[O-] ZINC000770229372 627509335 /nfs/dbraw/zinc/50/93/35/627509335.db2.gz PRZRYVAKDBGWNK-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCO[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000770700303 627543272 /nfs/dbraw/zinc/54/32/72/627543272.db2.gz XTVBHJUVRQAHMH-OPQQBVKSSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H]1OCC[C@@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000770735530 627545003 /nfs/dbraw/zinc/54/50/03/627545003.db2.gz WYUNYOGQDSBXOM-SCZZXKLOSA-N 0 0 299.710 2.716 20 5 CFBDRN CO[C@H](C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-])C(C)C ZINC000770843237 627551223 /nfs/dbraw/zinc/55/12/23/627551223.db2.gz QABYANQBSOMKLL-MFKMUULPSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)Cc2ccccc2[N+](=O)[O-])o1 ZINC000770909213 627556690 /nfs/dbraw/zinc/55/66/90/627556690.db2.gz SNGLKBORUHSHDA-SNVBAGLBSA-N 0 0 290.275 2.738 20 5 CFBDRN C[C@@H]1CCC[C@@H](COC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000770925960 627559167 /nfs/dbraw/zinc/55/91/67/627559167.db2.gz GUYIAWUFYTVFKK-GHMZBOCLSA-N 0 0 280.324 2.916 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C[C@H]1C ZINC000770997551 627567381 /nfs/dbraw/zinc/56/73/81/627567381.db2.gz XAPFXBZXYFRENF-GMTAPVOTSA-N 0 0 280.324 2.915 20 5 CFBDRN C[C@@H]1CCC[C@H](COC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000771005203 627568715 /nfs/dbraw/zinc/56/87/15/627568715.db2.gz JAAWLVFOIMNJQB-MNOVXSKESA-N 0 0 278.308 2.973 20 5 CFBDRN C[C@H]1OCC[C@@H]1OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000771044766 627572710 /nfs/dbraw/zinc/57/27/10/627572710.db2.gz HVOJHHDRLRHQEC-MFKMUULPSA-N 0 0 293.319 2.593 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC2CC(C)C2)c1 ZINC000771227308 627585897 /nfs/dbraw/zinc/58/58/97/627585897.db2.gz AHUKNGGBQNJINT-UHFFFAOYSA-N 0 0 254.261 2.953 20 5 CFBDRN CC(C)C(NC(=O)c1ccc(N)c([N+](=O)[O-])c1)C(C)C ZINC000771747587 627627600 /nfs/dbraw/zinc/62/76/00/627627600.db2.gz JMQBLKOZILBRFD-UHFFFAOYSA-N 0 0 279.340 2.587 20 5 CFBDRN Cc1ccc(COC(=O)c2cccc([N+](=O)[O-])c2)c(C)n1 ZINC000771880429 627641244 /nfs/dbraw/zinc/64/12/44/627641244.db2.gz GCFSEYRQQBVWSB-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000772309049 627699737 /nfs/dbraw/zinc/69/97/37/627699737.db2.gz AQYPISHUOJIKDP-LBPRGKRZSA-N 0 0 299.710 2.861 20 5 CFBDRN CCc1nc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)co1 ZINC000772385917 627706618 /nfs/dbraw/zinc/70/66/18/627706618.db2.gz GOTUAZPQZINAIL-UHFFFAOYSA-N 0 0 290.275 2.545 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000772487523 627712564 /nfs/dbraw/zinc/71/25/64/627712564.db2.gz UYBQNJGYIVKAKU-QWHCGFSZSA-N 0 0 291.303 2.613 20 5 CFBDRN COc1cc(COC(=O)[C@H]2C[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000772488617 627713326 /nfs/dbraw/zinc/71/33/26/627713326.db2.gz IFCYLJZNNKGGNX-RYUDHWBXSA-N 0 0 293.319 2.939 20 5 CFBDRN Cc1c(COC(=O)Cc2ccoc2)cccc1[N+](=O)[O-] ZINC000772577120 627723550 /nfs/dbraw/zinc/72/35/50/627723550.db2.gz WJQHEFGIYMCCDL-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN CCCN(CC1CC1)c1nc2ccccn2c1[N+](=O)[O-] ZINC000772897467 627757141 /nfs/dbraw/zinc/75/71/41/627757141.db2.gz HQABDEWZMSGHLS-UHFFFAOYSA-N 0 0 274.324 2.869 20 5 CFBDRN CC1(OCC(=O)OCc2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000773204731 627795090 /nfs/dbraw/zinc/79/50/90/627795090.db2.gz SJKXSQKROQKYFW-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN CC(=O)CCCC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773603863 627841639 /nfs/dbraw/zinc/84/16/39/627841639.db2.gz KBTKKSNHMOGXJY-UHFFFAOYSA-N 0 0 290.319 2.552 20 5 CFBDRN CC(=O)c1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)o1 ZINC000773684761 627847680 /nfs/dbraw/zinc/84/76/80/627847680.db2.gz KTYBRSKQJDUWSR-UHFFFAOYSA-N 0 0 289.243 2.747 20 5 CFBDRN C/C=C/C=C/C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773990839 627876433 /nfs/dbraw/zinc/87/64/33/627876433.db2.gz UAXJIGUDSHBIKB-VNKDHWASSA-N 0 0 272.304 2.925 20 5 CFBDRN CCC(C)(C)NC(=S)NCCc1ccccc1[N+](=O)[O-] ZINC000774182399 627899199 /nfs/dbraw/zinc/89/91/99/627899199.db2.gz IUSJHODUSGAPRO-UHFFFAOYSA-N 0 0 295.408 2.790 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1cccc(F)c1[N+](=O)[O-] ZINC000774714726 627950973 /nfs/dbraw/zinc/95/09/73/627950973.db2.gz DHLQTKBEMUJBEY-CABZTGNLSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C[C@@H]2CCOC2)c1 ZINC000774734858 627953993 /nfs/dbraw/zinc/95/39/93/627953993.db2.gz ORLBOTYJMMEMOJ-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccncc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000774760845 627959437 /nfs/dbraw/zinc/95/94/37/627959437.db2.gz SJDDDSPDRWJDKC-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000774853075 627971714 /nfs/dbraw/zinc/97/17/14/627971714.db2.gz UQVQGEWNDOOXKH-CHWSQXEVSA-N 0 0 277.320 2.973 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000775051451 627987463 /nfs/dbraw/zinc/98/74/63/627987463.db2.gz GOOVOFNBAHFSHR-HZMBPMFUSA-N 0 0 280.324 2.772 20 5 CFBDRN CS/C=C\C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000775259046 628004073 /nfs/dbraw/zinc/00/40/73/628004073.db2.gz MXWNEYBKTGBZNS-UFGYOYAJSA-N 0 0 266.322 2.649 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000775464740 628028321 /nfs/dbraw/zinc/02/83/21/628028321.db2.gz KMBPDSLRJIBVLM-UWVGGRQHSA-N 0 0 277.276 2.506 20 5 CFBDRN C[C@@H]1CCOCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000775568603 628038714 /nfs/dbraw/zinc/03/87/14/628038714.db2.gz HAZNUDJZPWRRQW-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1cc(F)cc(C(=O)NOC2CCCC2)c1[N+](=O)[O-] ZINC000775598526 628044454 /nfs/dbraw/zinc/04/44/54/628044454.db2.gz MWWVWCJNFQVDJN-UHFFFAOYSA-N 0 0 282.271 2.646 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@@H]2C(C)C)c1[N+](=O)[O-] ZINC000775977159 628089480 /nfs/dbraw/zinc/08/94/80/628089480.db2.gz JSELEDSVQLANPF-GFCCVEGCSA-N 0 0 280.299 2.913 20 5 CFBDRN Cc1c(OC(=O)c2ccn(C(F)F)n2)cccc1[N+](=O)[O-] ZINC000776082052 628100070 /nfs/dbraw/zinc/10/00/70/628100070.db2.gz SNWUTRRQFJFMAH-UHFFFAOYSA-N 0 0 297.217 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)COc2cc(F)ccc2F)cc1 ZINC000776278792 628126116 /nfs/dbraw/zinc/12/61/16/628126116.db2.gz UYABVUQDADFEEK-ZDUSSCGKSA-N 0 0 295.241 2.985 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CC[C@H](C)C1 ZINC000776290747 628127542 /nfs/dbraw/zinc/12/75/42/628127542.db2.gz HMNLNEXNZMTTOX-VHSXEESVSA-N 0 0 279.292 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCO[C@H](C)C1 ZINC000776304747 628128660 /nfs/dbraw/zinc/12/86/60/628128660.db2.gz YUFPTLCULZAZOX-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1nc(NC(=O)C2(F)CCCC2)ccc1[N+](=O)[O-] ZINC000776325840 628131655 /nfs/dbraw/zinc/13/16/55/628131655.db2.gz SMWUXELWEQZBJI-UHFFFAOYSA-N 0 0 267.260 2.519 20 5 CFBDRN Cc1ncsc1COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000776381818 628139739 /nfs/dbraw/zinc/13/97/39/628139739.db2.gz DVXKPIKEYKCRLY-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000776406053 628144386 /nfs/dbraw/zinc/14/43/86/628144386.db2.gz FZVFNDYZAHDNKR-NXEZZACHSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)OCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000776420804 628147902 /nfs/dbraw/zinc/14/79/02/628147902.db2.gz CSGSDGHKUKJEGL-VXGBXAGGSA-N 0 0 293.319 2.953 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776696127 628168359 /nfs/dbraw/zinc/16/83/59/628168359.db2.gz GGWISFBYVOQCRZ-YABSGUDNSA-N 0 0 291.303 2.563 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000776719299 628170681 /nfs/dbraw/zinc/17/06/81/628170681.db2.gz XCRSREHCYOQWKX-UHFFFAOYSA-N 0 0 288.225 2.524 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cc(Cl)nc(Cl)c1 ZINC000776840917 628181424 /nfs/dbraw/zinc/18/14/24/628181424.db2.gz OYHHLWLVFJWOQM-UHFFFAOYSA-N 0 0 287.106 2.850 20 5 CFBDRN CC(Cl)(Cl)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000776858146 628183172 /nfs/dbraw/zinc/18/31/72/628183172.db2.gz OUNGCSCCAIXHON-UHFFFAOYSA-N 0 0 296.081 2.971 20 5 CFBDRN CSc1cccc(C(=O)OCc2ccno2)c1[N+](=O)[O-] ZINC000777073972 628197686 /nfs/dbraw/zinc/19/76/86/628197686.db2.gz FGQLJYOTRIJSRC-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN O=C(OCCc1ccoc1)c1ccc([N+](=O)[O-])cc1 ZINC000777378958 628219003 /nfs/dbraw/zinc/21/90/03/628219003.db2.gz FWCOCVLMMLYTQO-UHFFFAOYSA-N 0 0 261.233 2.587 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2CCO)c(Cl)cc1[N+](=O)[O-] ZINC000777823703 628254674 /nfs/dbraw/zinc/25/46/74/628254674.db2.gz ZCTZAVILPQIVQK-SNVBAGLBSA-N 0 0 284.743 2.908 20 5 CFBDRN C[C@@](O)(CCNc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000777842364 628256118 /nfs/dbraw/zinc/25/61/18/628256118.db2.gz FKISJGAINWLXIK-OAHLLOKOSA-N 0 0 287.319 2.700 20 5 CFBDRN C[C@](O)(CCNc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000777842360 628256207 /nfs/dbraw/zinc/25/62/07/628256207.db2.gz FKISJGAINWLXIK-HNNXBMFYSA-N 0 0 287.319 2.700 20 5 CFBDRN Cc1sc(C(=O)NOC2CCCCC2)cc1[N+](=O)[O-] ZINC000777963660 628268152 /nfs/dbraw/zinc/26/81/52/628268152.db2.gz KMKIQHZQMUJMHW-UHFFFAOYSA-N 0 0 284.337 2.959 20 5 CFBDRN C[C@H]1CCN(Cn2ncc3cc([N+](=O)[O-])ccc32)C[C@@H]1F ZINC000777962110 628268304 /nfs/dbraw/zinc/26/83/04/628268304.db2.gz BYPNAMJEWZVVSA-GWCFXTLKSA-N 0 0 292.314 2.582 20 5 CFBDRN COCCC[C@@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000778193947 628285884 /nfs/dbraw/zinc/28/58/84/628285884.db2.gz FMYFKBSQTLKTGP-SECBINFHSA-N 0 0 287.337 2.762 20 5 CFBDRN CN1CCc2cc(C(=O)Nc3cccc([N+](=O)[O-])c3)ccc21 ZINC000778282609 628294501 /nfs/dbraw/zinc/29/45/01/628294501.db2.gz PNJIUHXOHAFSKW-UHFFFAOYSA-N 0 0 297.314 2.839 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000778363790 628299659 /nfs/dbraw/zinc/29/96/59/628299659.db2.gz DZIYEXNQPFLQBE-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1c(C(=O)OC[C@@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000778362423 628299878 /nfs/dbraw/zinc/29/98/78/628299878.db2.gz MAMKGPKPJDEHKA-ZYHUDNBSSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCO[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000778377707 628302469 /nfs/dbraw/zinc/30/24/69/628302469.db2.gz USSBPWBIUNKUGV-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000778473655 628309961 /nfs/dbraw/zinc/30/99/61/628309961.db2.gz ZQKDMRMRNLZTNU-QRWLWRBWSA-N 0 0 292.310 2.815 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(CN3CC=CCC3)c2c(Cl)c1 ZINC000778577571 628317231 /nfs/dbraw/zinc/31/72/31/628317231.db2.gz RXKMLOZQHAFGIV-UHFFFAOYSA-N 0 0 292.726 2.817 20 5 CFBDRN CC(C)(C(=O)OCc1cccc([N+](=O)[O-])c1)N1CCCC1 ZINC000805612634 628323852 /nfs/dbraw/zinc/32/38/52/628323852.db2.gz VTVZOYRWTJAECD-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN CCC[C@H](C)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000778749081 628329645 /nfs/dbraw/zinc/32/96/45/628329645.db2.gz ATFVGSBDZLQTEY-VHSXEESVSA-N 0 0 268.313 2.915 20 5 CFBDRN C/C=C(\C)C(=O)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778788346 628331628 /nfs/dbraw/zinc/33/16/28/628331628.db2.gz ISZZNMDLMBVTNX-JIJVXSHRSA-N 0 0 290.319 2.683 20 5 CFBDRN CC[C@H](OC)C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778786675 628331798 /nfs/dbraw/zinc/33/17/98/628331798.db2.gz AOPRHICOTBSZKX-MFKMUULPSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)CC1(CO)CC1 ZINC000778820276 628334723 /nfs/dbraw/zinc/33/47/23/628334723.db2.gz PTBACNJYXVANSZ-NSHDSACASA-N 0 0 293.319 2.670 20 5 CFBDRN CCC1(C(=O)OCc2ccccc2[N+](=O)[O-])CCOCC1 ZINC000778888700 628338666 /nfs/dbraw/zinc/33/86/66/628338666.db2.gz WDZVJQDJDBYVAD-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN CCc1cnccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000779120794 628353940 /nfs/dbraw/zinc/35/39/40/628353940.db2.gz LVTMVISSNBCANV-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN COc1ccc(C(=O)O[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000779262821 628365615 /nfs/dbraw/zinc/36/56/15/628365615.db2.gz JPGISWPXPCDPDX-GXSJLCMTSA-N 0 0 279.292 2.949 20 5 CFBDRN CC[C@H]1C[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000779286164 628369478 /nfs/dbraw/zinc/36/94/78/628369478.db2.gz AJZCZXWMRLXOBR-QWHCGFSZSA-N 0 0 279.292 2.709 20 5 CFBDRN CC[C@H]1C[C@H](OC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000779293951 628370354 /nfs/dbraw/zinc/37/03/54/628370354.db2.gz CXLYKOSIXRLNPJ-WDEREUQCSA-N 0 0 279.292 2.709 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@@H]2/C=C/c2ccccc2)c1 ZINC000779888504 628416427 /nfs/dbraw/zinc/41/64/27/628416427.db2.gz MAGQGRMLYWODQG-XVJNWHFHSA-N 0 0 298.346 2.927 20 5 CFBDRN Cc1nc2c(s1)[C@@H](Nc1c([N+](=O)[O-])ncn1C)CCC2 ZINC000779920135 628418264 /nfs/dbraw/zinc/41/82/64/628418264.db2.gz CBFBTZIVBSPBOB-VIFPVBQESA-N 0 0 293.352 2.583 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000780354857 628457800 /nfs/dbraw/zinc/45/78/00/628457800.db2.gz XQNPHKPBONHMDP-PWSUYJOCSA-N 0 0 277.324 2.583 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000780354855 628457917 /nfs/dbraw/zinc/45/79/17/628457917.db2.gz XQNPHKPBONHMDP-CMPLNLGQSA-N 0 0 277.324 2.583 20 5 CFBDRN CCCn1cc(Nc2ncnc3cccc([N+](=O)[O-])c32)cn1 ZINC000780912447 628504336 /nfs/dbraw/zinc/50/43/36/628504336.db2.gz XQDAYNSWPFKQRE-UHFFFAOYSA-N 0 0 298.306 2.888 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](OC(F)F)C2)n1 ZINC000781397580 628545747 /nfs/dbraw/zinc/54/57/47/628545747.db2.gz YDAOQYXOQSVDPN-SECBINFHSA-N 0 0 287.266 2.506 20 5 CFBDRN Cc1nn(CN2CC[C@]3(CC[C@@H](C)C3)C2)cc1[N+](=O)[O-] ZINC000781584257 628562040 /nfs/dbraw/zinc/56/20/40/628562040.db2.gz PFATYVNSKWUBNY-RISCZKNCSA-N 0 0 278.356 2.569 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000781612057 628565593 /nfs/dbraw/zinc/56/55/93/628565593.db2.gz GRCQZWKKPATRAK-KOLCDFICSA-N 0 0 298.289 2.991 20 5 CFBDRN C[C@@H]1CCC[C@@H](COC(=O)c2cc([N+](=O)[O-])cnc2N)C1 ZINC000781668359 628571667 /nfs/dbraw/zinc/57/16/67/628571667.db2.gz RHKFYHIBUITVBE-NXEZZACHSA-N 0 0 293.323 2.555 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCC(=O)CC1CC1 ZINC000782025728 628597903 /nfs/dbraw/zinc/59/79/03/628597903.db2.gz WWTHUTLKRYQBSK-VMPITWQZSA-N 0 0 289.287 2.520 20 5 CFBDRN CN(CC1CCC1)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000782143476 628607326 /nfs/dbraw/zinc/60/73/26/628607326.db2.gz UKLRRENGHMVAKD-UHFFFAOYSA-N 0 0 272.308 2.774 20 5 CFBDRN NC(=NOCc1ccc([N+](=O)[O-])cc1Cl)C(F)(F)F ZINC000782340139 628630722 /nfs/dbraw/zinc/63/07/22/628630722.db2.gz DWIOXYMKGNATPN-UHFFFAOYSA-N 0 0 297.620 2.809 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H](O)C1CCCCC1 ZINC000782368712 628632494 /nfs/dbraw/zinc/63/24/94/628632494.db2.gz FXLBMLSHHSREMJ-AWEZNQCLSA-N 0 0 293.319 2.579 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H](O)C1CCCCC1 ZINC000782365791 628632845 /nfs/dbraw/zinc/63/28/45/628632845.db2.gz DDLFKDKPQBVYFB-AWEZNQCLSA-N 0 0 293.319 2.579 20 5 CFBDRN Cc1ccc(N2CCOCC3(CC3)C2)c([N+](=O)[O-])c1 ZINC000782385757 628634056 /nfs/dbraw/zinc/63/40/56/628634056.db2.gz OFDPIIMALHCWCS-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCc3ncsc3C2)c(F)c1 ZINC000782417934 628636449 /nfs/dbraw/zinc/63/64/49/628636449.db2.gz LWEFNDSOYFZQED-UHFFFAOYSA-N 0 0 297.286 2.892 20 5 CFBDRN C[C@H]1C[C@@H](CN2CCc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000783193681 628684019 /nfs/dbraw/zinc/68/40/19/628684019.db2.gz MKRGWHKGNZIOPC-RYUDHWBXSA-N 0 0 276.336 2.772 20 5 CFBDRN C[C@@H]1C[C@H](CN2CCc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000783193834 628684131 /nfs/dbraw/zinc/68/41/31/628684131.db2.gz MKRGWHKGNZIOPC-VXGBXAGGSA-N 0 0 276.336 2.772 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCCC1CCC1 ZINC000783443790 628708747 /nfs/dbraw/zinc/70/87/47/628708747.db2.gz MOXNHTANGYLRSM-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCCC1CCC1 ZINC000783443507 628708764 /nfs/dbraw/zinc/70/87/64/628708764.db2.gz WQCZNDVIQCGTJO-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN C[C@@H]1COCCCN1Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000783569313 628721261 /nfs/dbraw/zinc/72/12/61/628721261.db2.gz DNWQKCJHRIJBJP-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1nn(CN2C[C@H](C)CC(C)(C)C2)c(C)c1[N+](=O)[O-] ZINC000784007098 628762097 /nfs/dbraw/zinc/76/20/97/628762097.db2.gz IUIJRHHQTUNATO-SNVBAGLBSA-N 0 0 280.372 2.734 20 5 CFBDRN CC(C)[C@@H](F)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000784036486 628767252 /nfs/dbraw/zinc/76/72/52/628767252.db2.gz CDQFJQIZDCRZOU-SNVBAGLBSA-N 0 0 276.214 2.806 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000784151359 628784186 /nfs/dbraw/zinc/78/41/86/628784186.db2.gz AOONHLUZWLHLEH-NSHDSACASA-N 0 0 270.260 2.536 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1CO[C@H](C)C1 ZINC000784278250 628798595 /nfs/dbraw/zinc/79/85/95/628798595.db2.gz LUSUVZWPAQMHCG-WOPDTQHZSA-N 0 0 293.319 2.932 20 5 CFBDRN CCC[C@](C)(O)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000784281170 628799159 /nfs/dbraw/zinc/79/91/59/628799159.db2.gz GTVCLACSFVKZEM-YGRLFVJLSA-N 0 0 281.308 2.750 20 5 CFBDRN COc1ccc(COC(=O)[C@@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000784299114 628801934 /nfs/dbraw/zinc/80/19/34/628801934.db2.gz PBJMCJLEAYTHLS-LBPRGKRZSA-N 0 0 285.271 2.641 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000784303296 628802363 /nfs/dbraw/zinc/80/23/63/628802363.db2.gz GXNJAUYPCVQCQP-GFCCVEGCSA-N 0 0 285.271 2.511 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC23CCC(CC2)C3)c1 ZINC000784366780 628810674 /nfs/dbraw/zinc/81/06/74/628810674.db2.gz WQKBWHBNXJOASI-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CCCOCC2)cc1[N+](=O)[O-] ZINC000784405871 628814690 /nfs/dbraw/zinc/81/46/90/628814690.db2.gz JMKSGMRTZOGEQS-NSHDSACASA-N 0 0 279.292 2.625 20 5 CFBDRN COC[C@@H](C)OC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000784514207 628823043 /nfs/dbraw/zinc/82/30/43/628823043.db2.gz ZUXZWODFLUSVLG-SNVBAGLBSA-N 0 0 281.308 2.910 20 5 CFBDRN COC(C)(C)CC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000784601113 628830344 /nfs/dbraw/zinc/83/03/44/628830344.db2.gz JFDLSMQJMGYTCM-UHFFFAOYSA-N 0 0 292.335 2.607 20 5 CFBDRN C/C(=C/C(=O)OC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000784835546 628844603 /nfs/dbraw/zinc/84/46/03/628844603.db2.gz BWJFAPYPNMAXSZ-RBJHHQRMSA-N 0 0 291.303 2.720 20 5 CFBDRN COCCCCOC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784841061 628844958 /nfs/dbraw/zinc/84/49/58/628844958.db2.gz ATCAXXDNEAITCY-VAWYXSNFSA-N 0 0 293.319 2.968 20 5 CFBDRN CO[C@H](C)CCOC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784882937 628848758 /nfs/dbraw/zinc/84/87/58/628848758.db2.gz LHQODRWXCFSKGV-NLYDNYMLSA-N 0 0 293.319 2.966 20 5 CFBDRN COCC[C@@H](C)OC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784900999 628850401 /nfs/dbraw/zinc/85/04/01/628850401.db2.gz FSKONROQPXTASY-HCRIHEDKSA-N 0 0 293.319 2.966 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1csc([N+](=O)[O-])c1 ZINC000784940607 628854167 /nfs/dbraw/zinc/85/41/67/628854167.db2.gz IMDVPGFIQLCKBJ-SNVBAGLBSA-N 0 0 285.321 2.772 20 5 CFBDRN C[C@H](C(=O)OCC(=O)CC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000784990436 628858388 /nfs/dbraw/zinc/85/83/88/628858388.db2.gz IAFNVVWTYNJSQY-JTQLQIEISA-N 0 0 291.303 2.611 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)O1 ZINC000785242785 628872954 /nfs/dbraw/zinc/87/29/54/628872954.db2.gz JAEJWONSMQUBSD-VIFPVBQESA-N 0 0 285.321 2.657 20 5 CFBDRN Cc1[nH]ncc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000785576187 628895201 /nfs/dbraw/zinc/89/52/01/628895201.db2.gz IVDNOLSJVCNMMD-VIFPVBQESA-N 0 0 275.264 2.544 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000785778407 628913457 /nfs/dbraw/zinc/91/34/57/628913457.db2.gz VZDAOBJIUUYNSH-ZJUUUORDSA-N 0 0 289.335 2.555 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000785913028 628922026 /nfs/dbraw/zinc/92/20/26/628922026.db2.gz ZCQFCTMJKHEKTE-LBPRGKRZSA-N 0 0 297.282 2.848 20 5 CFBDRN Cc1cc(C(=O)OCC(C)(C)F)ccc1[N+](=O)[O-] ZINC000785925908 628924112 /nfs/dbraw/zinc/92/41/12/628924112.db2.gz YMFZMOFSSKYCEE-UHFFFAOYSA-N 0 0 255.245 2.808 20 5 CFBDRN CCCC(C)(C)CC(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000786519377 628964741 /nfs/dbraw/zinc/96/47/41/628964741.db2.gz LQNFXAZYBHLTQF-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000786526142 628966407 /nfs/dbraw/zinc/96/64/07/628966407.db2.gz UOLBWGLQELZOAU-ZETCQYMHSA-N 0 0 273.235 2.968 20 5 CFBDRN Nc1ccc(OCCOc2cccc(F)c2)cc1[N+](=O)[O-] ZINC000786862559 628982033 /nfs/dbraw/zinc/98/20/33/628982033.db2.gz DXAHRAMXQAFDJE-UHFFFAOYSA-N 0 0 292.266 2.774 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCC=CO1 ZINC000787033552 628989600 /nfs/dbraw/zinc/98/96/00/628989600.db2.gz UBEWCXGUTQMFLX-LBPRGKRZSA-N 0 0 297.694 2.984 20 5 CFBDRN Cc1sc(C(=O)OCc2ccc(F)cn2)cc1[N+](=O)[O-] ZINC000787636168 629023124 /nfs/dbraw/zinc/02/31/24/629023124.db2.gz VBHCSZYRRCQUGC-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2CCOCO2)c(F)c1 ZINC000788503568 629075995 /nfs/dbraw/zinc/07/59/95/629075995.db2.gz HVBHIJITPDLSOJ-VIFPVBQESA-N 0 0 273.285 2.589 20 5 CFBDRN CCn1cccc(CNc2cccc(F)c2[N+](=O)[O-])c1=O ZINC000788555139 629081325 /nfs/dbraw/zinc/08/13/25/629081325.db2.gz OQIOXNUHPXCSER-UHFFFAOYSA-N 0 0 291.282 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H]3CC[C@H](O)C3)sc2c1 ZINC000788860059 629104944 /nfs/dbraw/zinc/10/49/44/629104944.db2.gz BPYUUFJNHGJBJZ-SCZZXKLOSA-N 0 0 293.348 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=S)NC1(C)CC1 ZINC000789158818 629130792 /nfs/dbraw/zinc/13/07/92/629130792.db2.gz YONZVRHMKASVRW-UHFFFAOYSA-N 0 0 265.338 2.742 20 5 CFBDRN C[C@@H](NC(=S)NC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000789295655 629144843 /nfs/dbraw/zinc/14/48/43/629144843.db2.gz XMFIEZRCFLVGME-SECBINFHSA-N 0 0 279.365 2.672 20 5 CFBDRN N#CN(Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccccc1 ZINC000789596304 629170776 /nfs/dbraw/zinc/17/07/76/629170776.db2.gz OZVSUACQFCAZKA-UHFFFAOYSA-N 0 0 298.258 2.991 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H](C)C2CC2)c1 ZINC000789608223 629173190 /nfs/dbraw/zinc/17/31/90/629173190.db2.gz SHMWFPMPJYVSAT-SECBINFHSA-N 0 0 279.292 2.806 20 5 CFBDRN O=C([O-])C1([NH2+]Cc2ccc([N+](=O)[O-])cc2F)CCCCC1 ZINC000789898502 629195319 /nfs/dbraw/zinc/19/53/19/629195319.db2.gz WCSQFNCKOOPDME-UHFFFAOYSA-N 0 0 296.298 2.611 20 5 CFBDRN Cc1nc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000790242950 629222226 /nfs/dbraw/zinc/22/22/26/629222226.db2.gz BOSIASBREFVRGD-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCCCNC(=S)NCc1cccc([N+](=O)[O-])c1C ZINC000790332706 629233517 /nfs/dbraw/zinc/23/35/17/629233517.db2.gz FVAKBWGJNGHXOE-UHFFFAOYSA-N 0 0 281.381 2.667 20 5 CFBDRN COc1ccc(OC(=O)c2ccnc(C)c2)c([N+](=O)[O-])c1 ZINC000790628172 629253621 /nfs/dbraw/zinc/25/36/21/629253621.db2.gz YSVOJDHHBZXUGW-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CC1(COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000790805229 629264680 /nfs/dbraw/zinc/26/46/80/629264680.db2.gz INMFOIFZSTYFMP-DAXSKMNVSA-N 0 0 261.277 2.951 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2cccc([N+](=O)[O-])c2C)c1Cl ZINC000790973221 629276228 /nfs/dbraw/zinc/27/62/28/629276228.db2.gz KVHYFBBGSNXMJR-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1c(CNC(=O)C[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000791017721 629281501 /nfs/dbraw/zinc/28/15/01/629281501.db2.gz LJIJJSUUCJJSSN-SNVBAGLBSA-N 0 0 276.336 2.956 20 5 CFBDRN C[C@@H](c1nc2ccccc2s1)N(C)CC[N+](=O)[O-] ZINC000791052448 629284101 /nfs/dbraw/zinc/28/41/01/629284101.db2.gz OBJOLQVVHBGMOY-VIFPVBQESA-N 0 0 265.338 2.566 20 5 CFBDRN Cc1ncsc1CCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000791247737 629294244 /nfs/dbraw/zinc/29/42/44/629294244.db2.gz JRLFYTMVTIBISY-UHFFFAOYSA-N 0 0 298.345 2.821 20 5 CFBDRN Cc1ccnc(COC(=O)c2ccc([N+](=O)[O-])s2)c1 ZINC000791259077 629294783 /nfs/dbraw/zinc/29/47/83/629294783.db2.gz MUVUHHYAABATOV-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN COC1(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)CCCC1 ZINC000791366342 629304820 /nfs/dbraw/zinc/30/48/20/629304820.db2.gz QXFBEQGFBPWHOQ-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN C[C@@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000791410137 629310939 /nfs/dbraw/zinc/31/09/39/629310939.db2.gz ZNBCYSSZRWPCQM-DTORHVGOSA-N 0 0 270.716 2.862 20 5 CFBDRN COc1ccc(OC(=O)C(C)(C)C(F)F)c([N+](=O)[O-])c1 ZINC000791460804 629319013 /nfs/dbraw/zinc/31/90/13/629319013.db2.gz WLEUIIFNPQMJRT-UHFFFAOYSA-N 0 0 289.234 2.800 20 5 CFBDRN O=C(OCCCCCF)c1ccc([N+](=O)[O-])s1 ZINC000791483311 629320593 /nfs/dbraw/zinc/32/05/93/629320593.db2.gz RSRQGDPPNHCPEP-UHFFFAOYSA-N 0 0 261.274 2.953 20 5 CFBDRN COc1ccc(C(=O)OCCCCCF)cc1[N+](=O)[O-] ZINC000791519177 629325799 /nfs/dbraw/zinc/32/57/99/629325799.db2.gz GDSXQSXXBINELS-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000791571674 629335170 /nfs/dbraw/zinc/33/51/70/629335170.db2.gz AZYIKTLTUXPIPC-RKDXNWHRSA-N 0 0 297.742 2.669 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1ccnc(F)c1F ZINC000791656536 629343224 /nfs/dbraw/zinc/34/32/24/629343224.db2.gz XPXYNYDLMAUULX-UHFFFAOYSA-N 0 0 298.176 2.626 20 5 CFBDRN COCCc1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000835051107 629352083 /nfs/dbraw/zinc/35/20/83/629352083.db2.gz DFJMHFBREZXHHL-UHFFFAOYSA-N 0 0 295.335 2.616 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCCC2(C)CC2)c1[N+](=O)[O-] ZINC000791812438 629361311 /nfs/dbraw/zinc/36/13/11/629361311.db2.gz TXGVJIJKZLSDJG-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)OCCCCCF ZINC000792015177 629387270 /nfs/dbraw/zinc/38/72/70/629387270.db2.gz DYWROSVBGMOHBS-UHFFFAOYSA-N 0 0 288.250 2.613 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1C(F)(F)F ZINC000835089279 629389263 /nfs/dbraw/zinc/38/92/63/629389263.db2.gz ZTLUUAPAXBQZRL-NXEZZACHSA-N 0 0 289.209 2.836 20 5 CFBDRN CCOC[C@@H](C)OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000792033557 629391072 /nfs/dbraw/zinc/39/10/72/629391072.db2.gz OXLIOKZGFZALCO-SECBINFHSA-N 0 0 292.291 2.658 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)C2CC2)c([N+](=O)[O-])cc1F ZINC000835102643 629408925 /nfs/dbraw/zinc/40/89/25/629408925.db2.gz GDZGJDLPOKSWBV-SSDOTTSWSA-N 0 0 283.255 2.698 20 5 CFBDRN COc1c(C(=O)OCCCCCF)cccc1[N+](=O)[O-] ZINC000792279948 629416668 /nfs/dbraw/zinc/41/66/68/629416668.db2.gz MZOWJFJINXAAMZ-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1cncnc1C1CC1 ZINC000835113567 629418825 /nfs/dbraw/zinc/41/88/25/629418825.db2.gz CIGIZZREHRTAJK-UHFFFAOYSA-N 0 0 299.286 2.619 20 5 CFBDRN C[C@H]1CC=CC[C@H]1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835117649 629424644 /nfs/dbraw/zinc/42/46/44/629424644.db2.gz KGGZUKJOWNAKIT-RYUDHWBXSA-N 0 0 269.341 2.967 20 5 CFBDRN CC(C)(CCC(=O)OCCC1CCCC1)[N+](=O)[O-] ZINC000835126453 629434742 /nfs/dbraw/zinc/43/47/42/629434742.db2.gz HQFQOICNYFODIF-UHFFFAOYSA-N 0 0 257.330 2.945 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000792672718 629443848 /nfs/dbraw/zinc/44/38/48/629443848.db2.gz GMOXSJGWAVIKLV-HTQZYQBOSA-N 0 0 268.700 2.871 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000792867566 629460056 /nfs/dbraw/zinc/46/00/56/629460056.db2.gz KGXVPELJHVXGOL-JOYOIKCWSA-N 0 0 267.281 2.561 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1Cc2ccc(F)cc21 ZINC000792867980 629460119 /nfs/dbraw/zinc/46/01/19/629460119.db2.gz QJDOAAPFDUNKBS-AWEZNQCLSA-N 0 0 287.246 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CNCc1ncccc1O ZINC000793255335 629515524 /nfs/dbraw/zinc/51/55/24/629515524.db2.gz HCXHTKICANCFOV-UHFFFAOYSA-N 0 0 293.710 2.639 20 5 CFBDRN CNc1c(C(=O)OCC2(C)CC2)cccc1[N+](=O)[O-] ZINC000793302875 629524754 /nfs/dbraw/zinc/52/47/54/629524754.db2.gz IIIUBXGVHDFXMN-UHFFFAOYSA-N 0 0 264.281 2.593 20 5 CFBDRN O=C(CCn1cc([N+](=O)[O-])cn1)O[C@@H]1C=CCCCCC1 ZINC000793397349 629537332 /nfs/dbraw/zinc/53/73/32/629537332.db2.gz BHLDTCNMWNSUQO-CYBMUJFWSA-N 0 0 293.323 2.614 20 5 CFBDRN O=C(CSC(F)F)OCCc1ccccc1[N+](=O)[O-] ZINC000835226650 629540142 /nfs/dbraw/zinc/54/01/42/629540142.db2.gz HEVCIPUYKRBYHT-UHFFFAOYSA-N 0 0 291.275 2.636 20 5 CFBDRN C[C@H]1OCC[C@H]1COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000793424609 629543339 /nfs/dbraw/zinc/54/33/39/629543339.db2.gz WRLFDRNEQYIXEQ-BDAKNGLRSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@H]1OCC[C@H]1COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000793436060 629546557 /nfs/dbraw/zinc/54/65/57/629546557.db2.gz XTYVWKIWGJZXHW-BDAKNGLRSA-N 0 0 299.710 2.830 20 5 CFBDRN COc1c(C(=O)NCCC2CCC2)cccc1[N+](=O)[O-] ZINC000793639788 629581698 /nfs/dbraw/zinc/58/16/98/629581698.db2.gz AWCOEMIDIMPZAG-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CSC(C)(C)COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835274256 629585691 /nfs/dbraw/zinc/58/56/91/629585691.db2.gz NPABFWNEXXQRMB-UHFFFAOYSA-N 0 0 263.359 2.507 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CC[C@@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000794261231 629628165 /nfs/dbraw/zinc/62/81/65/629628165.db2.gz TZKVQORUGKYEPF-KOLCDFICSA-N 0 0 291.351 2.651 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1CC(C)(C)OC1(C)C)[N+](=O)[O-] ZINC000835331852 629636378 /nfs/dbraw/zinc/63/63/78/629636378.db2.gz IPAWTUWUXBRHHW-SNVBAGLBSA-N 0 0 287.356 2.711 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C[C@H]1C ZINC000867120973 629670947 /nfs/dbraw/zinc/67/09/47/629670947.db2.gz JAOKLSONVJXUJU-GHMZBOCLSA-N 0 0 294.326 2.781 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000794841026 629693048 /nfs/dbraw/zinc/69/30/48/629693048.db2.gz BVPGBKMGVLTOBM-QMMMGPOBSA-N 0 0 286.715 2.956 20 5 CFBDRN Cc1oc(C2CC2)nc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000794840498 629693077 /nfs/dbraw/zinc/69/30/77/629693077.db2.gz HMWITDBWRXHASC-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000835424923 629710359 /nfs/dbraw/zinc/71/03/59/629710359.db2.gz DDYFXICLGTZEJC-SNVBAGLBSA-N 0 0 281.308 2.610 20 5 CFBDRN CCC(=O)c1ccc(N2CCO[C@@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000795112698 629735273 /nfs/dbraw/zinc/73/52/73/629735273.db2.gz LBDXJKVYFYGUSO-QWRGUYRKSA-N 0 0 292.335 2.801 20 5 CFBDRN CC(C)CC(CC(C)C)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000835453911 629736398 /nfs/dbraw/zinc/73/63/98/629736398.db2.gz IDJFCQQAXBNBBE-LLVKDONJSA-N 0 0 259.346 2.903 20 5 CFBDRN CC(C)(Nc1nc2ccccn2c1[N+](=O)[O-])C(F)F ZINC000795202485 629744573 /nfs/dbraw/zinc/74/45/73/629744573.db2.gz LLCDHQUMZLMCAG-UHFFFAOYSA-N 0 0 270.239 2.698 20 5 CFBDRN COc1cc(NCc2cccnc2F)ccc1[N+](=O)[O-] ZINC000795225731 629747842 /nfs/dbraw/zinc/74/78/42/629747842.db2.gz QFXCYMUUNODDBQ-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC(=O)c1ccc(NCc2cccnc2F)c([N+](=O)[O-])c1 ZINC000795228988 629748287 /nfs/dbraw/zinc/74/82/87/629748287.db2.gz KFVIGZAOHFPKMA-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CSc1ccc(C(=O)OCCC[C@H](C)O)cc1[N+](=O)[O-] ZINC000795324416 629761734 /nfs/dbraw/zinc/76/17/34/629761734.db2.gz JJULSLADMFYEOG-VIFPVBQESA-N 0 0 299.348 2.635 20 5 CFBDRN C[C@@H](O)CCCOC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000795343724 629764275 /nfs/dbraw/zinc/76/42/75/629764275.db2.gz XODZEKIIGYDOJT-MRVPVSSYSA-N 0 0 287.699 2.566 20 5 CFBDRN CC1(C(=O)COC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000795346387 629764616 /nfs/dbraw/zinc/76/46/16/629764616.db2.gz JHHOFPOOZNXGPZ-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN COC(C)(C)CC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835478453 629765279 /nfs/dbraw/zinc/76/52/79/629765279.db2.gz CNLRYQCJYMSLJE-UHFFFAOYSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC000835481792 629771490 /nfs/dbraw/zinc/77/14/90/629771490.db2.gz ZZEBDZMDUMBDMJ-WCQYABFASA-N 0 0 293.319 2.619 20 5 CFBDRN C[C@H](O)CCCOC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000795407068 629772616 /nfs/dbraw/zinc/77/26/16/629772616.db2.gz AKGRCOWYNNWVBH-QMMMGPOBSA-N 0 0 287.699 2.566 20 5 CFBDRN Cc1ccc(COC(=O)COC2CCCC2)cc1[N+](=O)[O-] ZINC000835484885 629775373 /nfs/dbraw/zinc/77/53/73/629775373.db2.gz YTRAFZWKGQEEJU-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN CCOc1ccc(C(=O)OCC(C)(C)OC)cc1[N+](=O)[O-] ZINC000835503959 629802590 /nfs/dbraw/zinc/80/25/90/629802590.db2.gz YYSRXIWLHNDCEF-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CSc1cccc(C(=O)OCCC[C@H](C)O)c1[N+](=O)[O-] ZINC000795594622 629809062 /nfs/dbraw/zinc/80/90/62/629809062.db2.gz MANRYDXDICRDPS-VIFPVBQESA-N 0 0 299.348 2.635 20 5 CFBDRN CCCCCCOC(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000795612076 629811789 /nfs/dbraw/zinc/81/17/89/629811789.db2.gz MFDYTTPASNQQNI-UHFFFAOYSA-N 0 0 269.301 2.617 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCCC1CC1 ZINC000795712686 629825471 /nfs/dbraw/zinc/82/54/71/629825471.db2.gz PDYFDIHDXIESEO-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN O=C(C[C@@H]1CCCOC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000795905531 629847740 /nfs/dbraw/zinc/84/77/40/629847740.db2.gz APZRWORCACCRLS-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(CCC(F)F)OCc1cccc([N+](=O)[O-])c1 ZINC000796011422 629863394 /nfs/dbraw/zinc/86/33/94/629863394.db2.gz NPMHYAMKTZOVCE-UHFFFAOYSA-N 0 0 259.208 2.683 20 5 CFBDRN C[C@@H]1C(=O)CC[C@H]1CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000796232461 629893871 /nfs/dbraw/zinc/89/38/71/629893871.db2.gz VLVHXMQJCIQUDH-QWRGUYRKSA-N 0 0 291.303 2.643 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)OCCC(F)(F)F)c1[N+](=O)[O-] ZINC000796254830 629895929 /nfs/dbraw/zinc/89/59/29/629895929.db2.gz FQXMIEWTNNKAKE-UHFFFAOYSA-N 0 0 295.217 2.551 20 5 CFBDRN CC[C@@H](C)[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1)OC ZINC000835641940 629911403 /nfs/dbraw/zinc/91/14/03/629911403.db2.gz JTOMGBHKYKAXLA-MFKMUULPSA-N 0 0 281.308 2.813 20 5 CFBDRN CC[C@H](C)[C@@H](COC(=O)c1ccccc1[N+](=O)[O-])OC ZINC000835644099 629911730 /nfs/dbraw/zinc/91/17/30/629911730.db2.gz PIVAORZPWINCFM-GXFFZTMASA-N 0 0 281.308 2.813 20 5 CFBDRN CC[C@H](C)[C@H](COC(=O)c1cccc([N+](=O)[O-])c1)OC ZINC000835645234 629913432 /nfs/dbraw/zinc/91/34/32/629913432.db2.gz SOMSLMQWOZTFDY-GWCFXTLKSA-N 0 0 281.308 2.813 20 5 CFBDRN CC[C@H](C)[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1)OC ZINC000835645235 629914420 /nfs/dbraw/zinc/91/44/20/629914420.db2.gz SOMSLMQWOZTFDY-GXFFZTMASA-N 0 0 281.308 2.813 20 5 CFBDRN CC[C@](C)(OC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000796408225 629914585 /nfs/dbraw/zinc/91/45/85/629914585.db2.gz BZWHSKVEVDOSTI-ZDUSSCGKSA-N 0 0 285.271 2.592 20 5 CFBDRN CC(C)(C(=O)OCc1ccno1)c1ccccc1[N+](=O)[O-] ZINC000796440197 629917667 /nfs/dbraw/zinc/91/76/67/629917667.db2.gz UMAQVVZZBQWFOA-UHFFFAOYSA-N 0 0 290.275 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC[C@H](C)SC ZINC000835662710 629925842 /nfs/dbraw/zinc/92/58/42/629925842.db2.gz FJJXUVFELCGXKB-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN CS[C@H](C)CCOC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000835664772 629927124 /nfs/dbraw/zinc/92/71/24/629927124.db2.gz CBIYWQRPLXYTSF-SNVBAGLBSA-N 0 0 283.349 2.822 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000796534437 629931146 /nfs/dbraw/zinc/93/11/46/629931146.db2.gz UBJMPVHKRKCFBG-PRHODGIISA-N 0 0 284.287 2.734 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000796535123 629931406 /nfs/dbraw/zinc/93/14/06/629931406.db2.gz HZXGHEJPZRKCHE-RNCFNFMXSA-N 0 0 297.307 2.570 20 5 CFBDRN CS[C@H](C)COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000835677853 629941587 /nfs/dbraw/zinc/94/15/87/629941587.db2.gz PUFXUNBMNOWOOO-MRVPVSSYSA-N 0 0 294.332 2.984 20 5 CFBDRN CS[C@H](C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000835679175 629944097 /nfs/dbraw/zinc/94/40/97/629944097.db2.gz PMRZXRVBWNDKII-SSDOTTSWSA-N 0 0 273.285 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C[C@@H]1CCC(=O)[C@@H]1C ZINC000796650136 629945906 /nfs/dbraw/zinc/94/59/06/629945906.db2.gz ZMQKHQMTUZLJGM-MNOVXSKESA-N 0 0 291.303 2.814 20 5 CFBDRN CS[C@@H](C)COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000835681452 629947562 /nfs/dbraw/zinc/94/75/62/629947562.db2.gz QTCKZCZPSKIXPD-VIFPVBQESA-N 0 0 269.322 2.812 20 5 CFBDRN CS[C@@H](C)COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000835681192 629947625 /nfs/dbraw/zinc/94/76/25/629947625.db2.gz JHSMOBFNOSBIKR-VIFPVBQESA-N 0 0 269.322 2.812 20 5 CFBDRN COc1c(C(=O)OCC(C)(C)C)cccc1[N+](=O)[O-] ZINC000796677784 629949543 /nfs/dbraw/zinc/94/95/43/629949543.db2.gz NVAUPLAYUIDTHQ-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN CS[C@H](C)COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000835687699 629957796 /nfs/dbraw/zinc/95/77/96/629957796.db2.gz GQKYICKDUVGZIP-SECBINFHSA-N 0 0 283.349 2.740 20 5 CFBDRN CNc1ccc(C(=O)OC2CC3(CC3)C2)cc1[N+](=O)[O-] ZINC000835730619 629997927 /nfs/dbraw/zinc/99/79/27/629997927.db2.gz CPTUXGRSCZSICA-UHFFFAOYSA-N 0 0 276.292 2.736 20 5 CFBDRN Cc1c(CC(=O)OCCOC2CCC2)cccc1[N+](=O)[O-] ZINC000797113325 630020334 /nfs/dbraw/zinc/02/03/34/630020334.db2.gz LSUAWKBIPFXRGJ-UHFFFAOYSA-N 0 0 293.319 2.558 20 5 CFBDRN CCOC[C@@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000797155761 630029976 /nfs/dbraw/zinc/02/99/76/630029976.db2.gz SUURVUFQDSDUNU-LLVKDONJSA-N 0 0 295.335 2.841 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000797179804 630033204 /nfs/dbraw/zinc/03/32/04/630033204.db2.gz QKUFOQSAANBUAT-ZDUSSCGKSA-N 0 0 295.335 2.804 20 5 CFBDRN CC(F)(F)CCOC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000797226146 630043409 /nfs/dbraw/zinc/04/34/09/630043409.db2.gz FEEOXFDQLPUAKX-UHFFFAOYSA-N 0 0 273.235 2.726 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1ccc(F)nc1 ZINC000797407980 630062379 /nfs/dbraw/zinc/06/23/79/630062379.db2.gz XQXWNQZTJMPPLG-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CON(C)c1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1 ZINC000797433876 630065694 /nfs/dbraw/zinc/06/56/94/630065694.db2.gz KLDMODWJSASLHX-UHFFFAOYSA-N 0 0 277.255 2.794 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H]1C[C@H]1C1CC1 ZINC000797475004 630071692 /nfs/dbraw/zinc/07/16/92/630071692.db2.gz UDCGCBMTTPOYMY-STQMWFEESA-N 0 0 291.303 2.693 20 5 CFBDRN O=C(OCCCOc1ccc([N+](=O)[O-])cc1)c1ccc[nH]1 ZINC000797857678 630113149 /nfs/dbraw/zinc/11/31/49/630113149.db2.gz AGULVTHEUHMFRB-UHFFFAOYSA-N 0 0 290.275 2.549 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000797908894 630123279 /nfs/dbraw/zinc/12/32/79/630123279.db2.gz KQTWRDGAGQFWLY-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN CO[C@@H]1C[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])C12CCC2 ZINC000835881395 630130289 /nfs/dbraw/zinc/13/02/89/630130289.db2.gz DHRNZXFGTPPZAD-CHWSQXEVSA-N 0 0 291.303 2.709 20 5 CFBDRN CO[C@@H]1C[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)C12CCC2 ZINC000835884951 630133989 /nfs/dbraw/zinc/13/39/89/630133989.db2.gz NWDAPVCYFMELCO-CHWSQXEVSA-N 0 0 291.303 2.709 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](c3ccccn3)C2)c1[N+](=O)[O-] ZINC000798415706 630189268 /nfs/dbraw/zinc/18/92/68/630189268.db2.gz JHGYLYHWKDCDIT-GFCCVEGCSA-N 0 0 284.319 2.687 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)cc(F)c2[N+](=O)[O-])CCCO1 ZINC000798417785 630189473 /nfs/dbraw/zinc/18/94/73/630189473.db2.gz MZSUJGLUWORRJF-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN Cc1c(C(=O)Oc2cccc([N+](=O)[O-])c2C)ccn1C ZINC000798817388 630241673 /nfs/dbraw/zinc/24/16/73/630241673.db2.gz YLPUIVLONPJOPF-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCC(F)(F)C1 ZINC000798916466 630260750 /nfs/dbraw/zinc/26/07/50/630260750.db2.gz DDNSKXHCEURGSA-MRVPVSSYSA-N 0 0 288.250 2.526 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000867024375 630268931 /nfs/dbraw/zinc/26/89/31/630268931.db2.gz DJXZKAKXXSTGOY-RYPBNFRJSA-N 0 0 275.264 2.997 20 5 CFBDRN Cc1cc(C(=O)N2CC(C)(C)C[C@@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000839878142 630291951 /nfs/dbraw/zinc/29/19/51/630291951.db2.gz ZGBSLEOCTYEHSY-JTQLQIEISA-N 0 0 291.351 2.746 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@H]1CCCC1(C)C ZINC000799462522 630310969 /nfs/dbraw/zinc/31/09/69/630310969.db2.gz NMWQQWLHAYJVEL-NSHDSACASA-N 0 0 266.297 2.669 20 5 CFBDRN CCN(Cc1occc1C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000839885336 630330245 /nfs/dbraw/zinc/33/02/45/630330245.db2.gz YBNOBKORNBKKIV-UHFFFAOYSA-N 0 0 282.340 2.772 20 5 CFBDRN CSC1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000799685798 630335175 /nfs/dbraw/zinc/33/51/75/630335175.db2.gz GCWRUKHXAYJIDL-UHFFFAOYSA-N 0 0 285.296 2.786 20 5 CFBDRN CSC1(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)CC1 ZINC000799688110 630335673 /nfs/dbraw/zinc/33/56/73/630335673.db2.gz DTPLGQWSGMQHSY-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)c2csnn2)cccc1[N+](=O)[O-] ZINC000836099780 630359807 /nfs/dbraw/zinc/35/98/07/630359807.db2.gz ALAFQPRRLDTWBQ-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NC1(C(F)F)CC1 ZINC000800336957 630372352 /nfs/dbraw/zinc/37/23/52/630372352.db2.gz MCEIXGMSEYZKLI-HJWRWDBZSA-N 0 0 296.273 2.912 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2Cc3ccccc3O2)c1 ZINC000800846957 630410570 /nfs/dbraw/zinc/41/05/70/630410570.db2.gz CPTKQPZQIKGSQI-GFCCVEGCSA-N 0 0 285.303 2.593 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@@H]2Nc1cc(N)ccc1[N+](=O)[O-] ZINC000800852276 630411125 /nfs/dbraw/zinc/41/11/25/630411125.db2.gz BMQKEGJYEWKYAG-ZDUSSCGKSA-N 0 0 299.334 2.765 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCc2ccc(O)cc2)c1 ZINC000800848179 630411128 /nfs/dbraw/zinc/41/11/28/630411128.db2.gz ULKOULVKLFTBBN-UHFFFAOYSA-N 0 0 273.292 2.537 20 5 CFBDRN C[C@@H](Cc1ccncc1)Nc1cc(N)ccc1[N+](=O)[O-] ZINC000800856929 630411719 /nfs/dbraw/zinc/41/17/19/630411719.db2.gz APQOLFZQEXLEQT-JTQLQIEISA-N 0 0 272.308 2.615 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCc2ccc3c(c2)COC3)c1 ZINC000800859585 630412222 /nfs/dbraw/zinc/41/22/22/630412222.db2.gz KSYWMXDRRQETHV-UHFFFAOYSA-N 0 0 285.303 2.819 20 5 CFBDRN CCCCCC[C@H](C)NC(=O)Cn1cc(C)c([N+](=O)[O-])n1 ZINC000800870298 630413421 /nfs/dbraw/zinc/41/34/21/630413421.db2.gz OVLQJTWBSDXHKP-LBPRGKRZSA-N 0 0 296.371 2.575 20 5 CFBDRN Cc1cn(Cc2ccc(OC(C)C)cc2)nc1[N+](=O)[O-] ZINC000800869691 630413454 /nfs/dbraw/zinc/41/34/54/630413454.db2.gz CDDLEHWTIOQIHL-UHFFFAOYSA-N 0 0 275.308 2.935 20 5 CFBDRN Cc1nc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])co1 ZINC000801395579 630444460 /nfs/dbraw/zinc/44/44/60/630444460.db2.gz VFWWVJLHYHNAHS-MRVPVSSYSA-N 0 0 276.248 2.809 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1C[C@@H]1C(F)F ZINC000801481636 630450017 /nfs/dbraw/zinc/45/00/17/630450017.db2.gz KXXKVWIQUACMRY-BQBZGAKWSA-N 0 0 277.248 2.601 20 5 CFBDRN COC[C@H](NCc1ccc([N+](=O)[O-])c(F)c1)c1ccco1 ZINC000801540357 630455920 /nfs/dbraw/zinc/45/59/20/630455920.db2.gz ZEOIYRGBNCCLQY-LBPRGKRZSA-N 0 0 294.282 2.804 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)[C@@H](C)[C@@H](C)O1 ZINC000801547116 630456993 /nfs/dbraw/zinc/45/69/93/630456993.db2.gz HAVGDOVIFXNNQJ-OUAUKWLOSA-N 0 0 282.315 2.732 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCO[C@H](C(F)F)C1 ZINC000801562478 630458287 /nfs/dbraw/zinc/45/82/87/630458287.db2.gz ZSAGHNQEJJREBP-SKDRFNHKSA-N 0 0 286.278 2.622 20 5 CFBDRN C[C@@H](c1ccc([N+](=O)[O-])cc1)N1CCO[C@H](C(F)F)C1 ZINC000801568039 630459544 /nfs/dbraw/zinc/45/95/44/630459544.db2.gz PDQBBJZMRFWCGT-CABZTGNLSA-N 0 0 286.278 2.622 20 5 CFBDRN CC(C)N(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CCC1 ZINC000801910748 630484944 /nfs/dbraw/zinc/48/49/44/630484944.db2.gz WQIYPLZBQJELPO-UHFFFAOYSA-N 0 0 295.314 2.719 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801914460 630486002 /nfs/dbraw/zinc/48/60/02/630486002.db2.gz HSLQQWDNJQEYSP-IUCAKERBSA-N 0 0 297.330 2.871 20 5 CFBDRN C[C@H](OC(=O)C1=CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000802054610 630499867 /nfs/dbraw/zinc/49/98/67/630499867.db2.gz NSANGCUINLNPMA-JTQLQIEISA-N 0 0 277.276 2.546 20 5 CFBDRN CC(C)(C)C[N@H+](CC(=O)[O-])Cc1cc(F)ccc1[N+](=O)[O-] ZINC000852739810 634817703 /nfs/dbraw/zinc/81/77/03/634817703.db2.gz HCLMVWFSXHBWFN-UHFFFAOYSA-N 0 0 298.314 2.667 20 5 CFBDRN CC1(C)CC(COC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000802291970 630524169 /nfs/dbraw/zinc/52/41/69/630524169.db2.gz RCMOUDPDLCRRQT-UHFFFAOYSA-N 0 0 253.254 2.781 20 5 CFBDRN CSc1ccc(C(=O)O[C@@]2(C)CCOC2)cc1[N+](=O)[O-] ZINC000802904508 630563615 /nfs/dbraw/zinc/56/36/15/630563615.db2.gz HLVKOXJAXDNLEW-ZDUSSCGKSA-N 0 0 297.332 2.653 20 5 CFBDRN Nc1ccc(C(=O)OC[C@@H]2Cc3ccccc32)cc1[N+](=O)[O-] ZINC000803227116 630591685 /nfs/dbraw/zinc/59/16/85/630591685.db2.gz XVIYEIGFLHNKER-LBPRGKRZSA-N 0 0 298.298 2.674 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCCSC(C)C ZINC000803262947 630596234 /nfs/dbraw/zinc/59/62/34/630596234.db2.gz DMGVKKARZSGPHP-UHFFFAOYSA-N 0 0 284.337 2.597 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC[C@H]1Cc2ccccc21 ZINC000803263147 630596372 /nfs/dbraw/zinc/59/63/72/630596372.db2.gz GACPDPYPSPVYLA-GFCCVEGCSA-N 0 0 298.298 2.795 20 5 CFBDRN O=C(CC1CC1)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803275785 630597905 /nfs/dbraw/zinc/59/79/05/630597905.db2.gz YIGAFXIKCKJHHH-UHFFFAOYSA-N 0 0 271.219 2.716 20 5 CFBDRN O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCCF ZINC000803428212 630616883 /nfs/dbraw/zinc/61/68/83/630616883.db2.gz VKWQFJAKVNTPKE-UHFFFAOYSA-N 0 0 293.703 2.853 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803462124 630620260 /nfs/dbraw/zinc/62/02/60/630620260.db2.gz DSKWKGNRHLRVMD-QMMMGPOBSA-N 0 0 297.282 2.814 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1=O ZINC000840009034 630630709 /nfs/dbraw/zinc/63/07/09/630630709.db2.gz MHYTXBFQOPMQBA-LLVKDONJSA-N 0 0 277.276 2.509 20 5 CFBDRN Cc1cc(C(=O)O[C@@H]2CCC(C)(C)C2=O)ccc1[N+](=O)[O-] ZINC000840012935 630633527 /nfs/dbraw/zinc/63/35/27/630633527.db2.gz RWSVSOYNIAHQPB-GFCCVEGCSA-N 0 0 291.303 2.818 20 5 CFBDRN CCc1[nH]ccc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000803775351 630641323 /nfs/dbraw/zinc/64/13/23/630641323.db2.gz SJCHZWGFWBQCCJ-UHFFFAOYSA-N 0 0 280.305 2.904 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000803890747 630652435 /nfs/dbraw/zinc/65/24/35/630652435.db2.gz SQXJVSZNISVCBG-OCCSQVGLSA-N 0 0 293.319 2.762 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]2C[C@@H]21 ZINC000804298422 630669537 /nfs/dbraw/zinc/66/95/37/630669537.db2.gz NGZNHDGISXSMSZ-MZMNXDGSSA-N 0 0 272.304 2.619 20 5 CFBDRN CSc1cnc(Oc2ccccc2[N+](=O)[O-])nc1 ZINC000804315300 630670790 /nfs/dbraw/zinc/67/07/90/630670790.db2.gz JRJQHIGCCIVVAX-UHFFFAOYSA-N 0 0 263.278 2.899 20 5 CFBDRN CC(C)OCCCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804322044 630670883 /nfs/dbraw/zinc/67/08/83/630670883.db2.gz UHKFJPYLRSESHL-UHFFFAOYSA-N 0 0 283.284 2.730 20 5 CFBDRN CSc1cnc(NCc2ccccc2[N+](=O)[O-])nc1 ZINC000804355785 630675138 /nfs/dbraw/zinc/67/51/38/630675138.db2.gz LHEBQYRCWZNKGV-UHFFFAOYSA-N 0 0 276.321 2.719 20 5 CFBDRN Nc1ccc(NCCNc2ccccc2)c([N+](=O)[O-])c1 ZINC000804464301 630684741 /nfs/dbraw/zinc/68/47/41/630684741.db2.gz SWMRDCCWXNTICS-UHFFFAOYSA-N 0 0 272.308 2.701 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CSC[C@@H]2C)c1[N+](=O)[O-] ZINC000804499665 630688287 /nfs/dbraw/zinc/68/82/87/630688287.db2.gz GKEYLHDDFDOJRN-KWQFWETISA-N 0 0 298.339 2.524 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCC1CC1 ZINC000804515147 630689308 /nfs/dbraw/zinc/68/93/08/630689308.db2.gz GUZWHPQQLNLUPB-UHFFFAOYSA-N 0 0 251.242 2.715 20 5 CFBDRN CCC[C@@H](CCO)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804525431 630689784 /nfs/dbraw/zinc/68/97/84/630689784.db2.gz BROBAORFBANVDG-JTQLQIEISA-N 0 0 297.311 2.714 20 5 CFBDRN CC1(C)C[C@@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000804573834 630757314 /nfs/dbraw/zinc/75/73/14/630757314.db2.gz PYPKZWGHBHMMDP-VIFPVBQESA-N 0 0 295.295 2.873 20 5 CFBDRN CC(C)CONc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804586279 630761122 /nfs/dbraw/zinc/76/11/22/630761122.db2.gz XHLPEZRPNGVRRJ-UHFFFAOYSA-N 0 0 255.230 2.503 20 5 CFBDRN Nc1ccc(NC[C@H]2CCCCS2)c([N+](=O)[O-])c1 ZINC000804610467 630763957 /nfs/dbraw/zinc/76/39/57/630763957.db2.gz YGKWRWMEXLARBF-SNVBAGLBSA-N 0 0 267.354 2.875 20 5 CFBDRN Cn1nc(CNc2ccc(N)cc2[N+](=O)[O-])c2ccccc21 ZINC000804613163 630764940 /nfs/dbraw/zinc/76/49/40/630764940.db2.gz BPDUSKLKQOSSEX-UHFFFAOYSA-N 0 0 297.318 2.676 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)O[C@@H]1CCC(C)(C)C1=O ZINC000840061495 630800388 /nfs/dbraw/zinc/80/03/88/630800388.db2.gz CQXBHIREXKFHGK-LLVKDONJSA-N 0 0 291.303 2.818 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@@H]2C)cc1Cl ZINC000836376147 630805733 /nfs/dbraw/zinc/80/57/33/630805733.db2.gz IVDVIQOXXQRAJV-CBAPKCEASA-N 0 0 282.727 2.942 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NC[C@H]2C[C@H]2C)cc1Cl ZINC000836376150 630805862 /nfs/dbraw/zinc/80/58/62/630805862.db2.gz IVDVIQOXXQRAJV-VXNVDRBHSA-N 0 0 282.727 2.942 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@](C)(O)C(C)C ZINC000807973345 630928234 /nfs/dbraw/zinc/92/82/34/630928234.db2.gz PRWOXQRWMSAMIV-AWEZNQCLSA-N 0 0 280.324 2.616 20 5 CFBDRN COC(C)(C)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807986729 630931399 /nfs/dbraw/zinc/93/13/99/630931399.db2.gz SKLFULNQAZLPOJ-UHFFFAOYSA-N 0 0 266.297 2.634 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1ncc(C)o1 ZINC000807987656 630931463 /nfs/dbraw/zinc/93/14/63/630931463.db2.gz HHHPSFPAQZKKBW-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CCCOCC1 ZINC000808012466 630941849 /nfs/dbraw/zinc/94/18/49/630941849.db2.gz OYJKQOIFYUMJLJ-NSHDSACASA-N 0 0 278.308 2.778 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CCOC[C@H]1C ZINC000808049334 630944915 /nfs/dbraw/zinc/94/49/15/630944915.db2.gz XRGIBOQHPDTOJD-RNCFNFMXSA-N 0 0 278.308 2.634 20 5 CFBDRN Cn1nccc1COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000808055534 630945472 /nfs/dbraw/zinc/94/54/72/630945472.db2.gz OZYZOJQGIXZPMT-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN O=C(CSc1ccncc1[N+](=O)[O-])OC1CCCCC1 ZINC000808052731 630945824 /nfs/dbraw/zinc/94/58/24/630945824.db2.gz YBMJESRQTFAJLS-UHFFFAOYSA-N 0 0 296.348 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC3=CCCOC3)sc2c1 ZINC000808096894 630950138 /nfs/dbraw/zinc/95/01/38/630950138.db2.gz KTRYPAFNWNTJLG-UHFFFAOYSA-N 0 0 291.332 2.963 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000808098502 630950256 /nfs/dbraw/zinc/95/02/56/630950256.db2.gz OFGFHNWMCDVWCE-YPMHNXCESA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cccnc1F ZINC000808098550 630950391 /nfs/dbraw/zinc/95/03/91/630950391.db2.gz PJVLNGMAGPERPM-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](C3CC3)C2)c2nonc21 ZINC000808125157 630952563 /nfs/dbraw/zinc/95/25/63/630952563.db2.gz LNYWTBANMANZJH-SNVBAGLBSA-N 0 0 288.307 2.757 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H](O)c1ccccc1 ZINC000808130436 630953611 /nfs/dbraw/zinc/95/36/11/630953611.db2.gz NBHBPJAXJWURBJ-IINYFYTJSA-N 0 0 273.292 2.524 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])[C@H](O)c1ccccc1 ZINC000808129991 630953958 /nfs/dbraw/zinc/95/39/58/630953958.db2.gz ICYBXAKRWONMPV-MFKMUULPSA-N 0 0 273.292 2.524 20 5 CFBDRN Nc1ccc(NC[C@@H]2COc3ccccc32)c([N+](=O)[O-])c1 ZINC000808155784 630959040 /nfs/dbraw/zinc/95/90/40/630959040.db2.gz RWAVAPWNOAMCJU-SNVBAGLBSA-N 0 0 285.303 2.765 20 5 CFBDRN C[C@@H]1CCCC[C@]1(C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837255234 630984578 /nfs/dbraw/zinc/98/45/78/630984578.db2.gz UQIQPEDLWMRFBS-RISCZKNCSA-N 0 0 270.373 2.907 20 5 CFBDRN CCC1CN(C(=O)/C(C)=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000837257577 630987469 /nfs/dbraw/zinc/98/74/69/630987469.db2.gz BRQWFSGLXOQTLX-FLIBITNWSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)OC/C=C\Cl ZINC000808562094 631014417 /nfs/dbraw/zinc/01/44/17/631014417.db2.gz CTSBMIKPZXROQE-UPHRSURJSA-N 0 0 291.637 2.711 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ocnc1C1CC1 ZINC000808644021 631021779 /nfs/dbraw/zinc/02/17/79/631021779.db2.gz ALXKEUMZBZOLNY-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN CN(C(=O)c1cc(Cl)cs1)c1ccc([N+](=O)[O-])nc1 ZINC000808794927 631049090 /nfs/dbraw/zinc/04/90/90/631049090.db2.gz NWNSHHKTNOSBTL-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN COC1(COC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCC1 ZINC000837606116 631084101 /nfs/dbraw/zinc/08/41/01/631084101.db2.gz AIEVBIBRTLWPFU-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN CC[C@@H](O)CC(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000837807412 631132243 /nfs/dbraw/zinc/13/22/43/631132243.db2.gz DLXJNSOSIUIECN-ZYHUDNBSSA-N 0 0 281.308 2.668 20 5 CFBDRN Cc1ccc(OCCOC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000837923626 631151494 /nfs/dbraw/zinc/15/14/94/631151494.db2.gz PAYSVZCKZIITSU-UHFFFAOYSA-N 0 0 295.335 2.753 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000840515526 631161304 /nfs/dbraw/zinc/16/13/04/631161304.db2.gz YOEJJFMNKAYKQC-WPRPVWTQSA-N 0 0 298.339 2.666 20 5 CFBDRN COC(=O)N[C@H](c1cccc([N+](=O)[O-])c1F)C(F)(F)F ZINC000840527556 631162074 /nfs/dbraw/zinc/16/20/74/631162074.db2.gz RZGCGFCSPOSPJS-MRVPVSSYSA-N 0 0 296.176 2.693 20 5 CFBDRN COc1ccccc1[C@H](O)CNc1ccccc1[N+](=O)[O-] ZINC000042109617 649994990 /nfs/dbraw/zinc/99/49/90/649994990.db2.gz OQTLTKFPEIPSIR-CQSZACIVSA-N 0 0 288.303 2.749 20 5 CFBDRN CC(C)CNC(=S)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000840763443 631220512 /nfs/dbraw/zinc/22/05/12/631220512.db2.gz IIRMMAPGOXNFAA-JTQLQIEISA-N 0 0 281.381 2.776 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1Cc2ccncc2C1 ZINC000809564786 631235899 /nfs/dbraw/zinc/23/58/99/631235899.db2.gz IDVKAGIIKIKJTM-UHFFFAOYSA-N 0 0 255.277 2.506 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000042486527 649999142 /nfs/dbraw/zinc/99/91/42/649999142.db2.gz MKSGWYFFYNNXIS-UHFFFAOYSA-N 0 0 272.260 2.705 20 5 CFBDRN O=C(Nc1nc2ccc(Cl)cc2s1)[C@@H]1CC1[N+](=O)[O-] ZINC000841004524 631302475 /nfs/dbraw/zinc/30/24/75/631302475.db2.gz JRTABULOCRNTDR-HTRCEHHLSA-N 0 0 297.723 2.553 20 5 CFBDRN Cc1ncsc1COc1ccc([N+](=O)[O-])cc1C=O ZINC000809950309 631304602 /nfs/dbraw/zinc/30/46/02/631304602.db2.gz OIHXFRUYVSKDCC-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN COc1ccc(OC(=O)[C@H](CC(C)C)OC)c([N+](=O)[O-])c1 ZINC000841012020 631305697 /nfs/dbraw/zinc/30/56/97/631305697.db2.gz XSOXQMFLSBFCFT-ZDUSSCGKSA-N 0 0 297.307 2.570 20 5 CFBDRN O=C([C@@H]1CC1[N+](=O)[O-])N(c1ccc(F)cc1)C1CCCC1 ZINC000841144693 631347692 /nfs/dbraw/zinc/34/76/92/631347692.db2.gz BGDMAQHLRZPZSN-ZIAGYGMSSA-N 0 0 292.310 2.767 20 5 CFBDRN CC(C)N(C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])[C@H](C)c1ccccc1 ZINC000841241959 631367331 /nfs/dbraw/zinc/36/73/31/631367331.db2.gz FJSZMNVCNPXNOK-MRVWCRGKSA-N 0 0 276.336 2.650 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1conc1C1CC1 ZINC000810541133 631386668 /nfs/dbraw/zinc/38/66/68/631386668.db2.gz PHSMSDBZIHBKOV-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN Cc1nc(COC(=O)c2csc([N+](=O)[O-])c2)c(C)o1 ZINC000841338719 631388748 /nfs/dbraw/zinc/38/87/48/631388748.db2.gz DDNUGHXALNFQHW-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN CCc1ccc(N(CC)C(=O)c2c[nH]nc2[N+](=O)[O-])cc1 ZINC000841377666 631397861 /nfs/dbraw/zinc/39/78/61/631397861.db2.gz AZQMQUXVLJTQBM-UHFFFAOYSA-N 0 0 288.307 2.547 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(N)c([N+](=O)[O-])c1)[C@@H]1CC1(C)C ZINC000841451466 631407157 /nfs/dbraw/zinc/40/71/57/631407157.db2.gz QLCOQDKOHQLYAO-CMPLNLGQSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000841458508 631408773 /nfs/dbraw/zinc/40/87/73/631408773.db2.gz AFVPMAJLHMJRCA-AXFHLTTASA-N 0 0 291.351 2.602 20 5 CFBDRN COCCC(C)(C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000810631620 631412280 /nfs/dbraw/zinc/41/22/80/631412280.db2.gz WRTRTCAUCUHVMC-UHFFFAOYSA-N 0 0 295.335 2.743 20 5 CFBDRN CCc1c(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)ccn1C ZINC000810636020 631413254 /nfs/dbraw/zinc/41/32/54/631413254.db2.gz VCYBAAXYRSIYIP-UHFFFAOYSA-N 0 0 292.266 2.854 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@H]1CCCSC1 ZINC000810694328 631428149 /nfs/dbraw/zinc/42/81/49/631428149.db2.gz MVAXPEALCIYFCN-LBPRGKRZSA-N 0 0 295.360 2.824 20 5 CFBDRN Cc1cc([C@H](C)OC(=O)Cc2ccc([N+](=O)[O-])cc2)no1 ZINC000810790028 631445462 /nfs/dbraw/zinc/44/54/62/631445462.db2.gz BVXBEVOXVULWNB-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC2(O)CCC2)c(F)c1F ZINC000840209896 631446158 /nfs/dbraw/zinc/44/61/58/631446158.db2.gz GSNBVWRAMWYSOQ-UHFFFAOYSA-N 0 0 273.235 2.557 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])cc1C=O)CC(C)C ZINC000841665923 631448232 /nfs/dbraw/zinc/44/82/32/631448232.db2.gz BDPMVOKBTQHNFA-CYBMUJFWSA-N 0 0 281.308 2.847 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000867031909 631456256 /nfs/dbraw/zinc/45/62/56/631456256.db2.gz ACRXVEYLTDDZML-AQNFWKISSA-N 0 0 288.347 2.546 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2csc([N+](=O)[O-])c2)C1=O ZINC000840213733 631463828 /nfs/dbraw/zinc/46/38/28/631463828.db2.gz UTGAQFFPYUJNCM-QMMMGPOBSA-N 0 0 283.305 2.571 20 5 CFBDRN Cc1ncccc1COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000811030784 631501802 /nfs/dbraw/zinc/50/18/02/631501802.db2.gz PWLOZBPCVXKXLN-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CO[C@@H](COc1ccc(N)c([N+](=O)[O-])c1)CC(C)C ZINC000842027114 631505681 /nfs/dbraw/zinc/50/56/81/631505681.db2.gz XTRLASJXCCNBIO-LLVKDONJSA-N 0 0 268.313 2.617 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1csc([N+](=O)[O-])c1 ZINC000811089545 631513382 /nfs/dbraw/zinc/51/33/82/631513382.db2.gz RPXCVFMLWWENMO-UHFFFAOYSA-N 0 0 285.321 2.818 20 5 CFBDRN COCCCCN(C)c1ccc([N+](=O)[O-])cc1F ZINC000842051807 631514497 /nfs/dbraw/zinc/51/44/97/631514497.db2.gz XWVAIOYJMQVZQS-UHFFFAOYSA-N 0 0 256.277 2.597 20 5 CFBDRN CO[C@@H](COC(=O)c1cc([N+](=O)[O-])cnc1C)CC(C)C ZINC000842060985 631519114 /nfs/dbraw/zinc/51/91/14/631519114.db2.gz FSFUDYGJISPVDC-GFCCVEGCSA-N 0 0 296.323 2.516 20 5 CFBDRN O=C(OCCc1cncs1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000811119582 631520479 /nfs/dbraw/zinc/52/04/79/631520479.db2.gz WOPYIZVORVFJPR-UHFFFAOYSA-N 0 0 296.279 2.590 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1F)CC(C)C ZINC000842066633 631522770 /nfs/dbraw/zinc/52/27/70/631522770.db2.gz FPCHLGBLKRVOEY-NSHDSACASA-N 0 0 299.298 2.952 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(O)cc([N+](=O)[O-])c1)CC(C)C ZINC000842085227 631531294 /nfs/dbraw/zinc/53/12/94/631531294.db2.gz FYWGZZYJDFNYRS-CYBMUJFWSA-N 0 0 297.307 2.518 20 5 CFBDRN CC[C@H](COC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])OC ZINC000842100507 631540736 /nfs/dbraw/zinc/54/07/36/631540736.db2.gz VXQXBNKWRIATLR-LLVKDONJSA-N 0 0 295.335 2.841 20 5 CFBDRN CCC(CC)(CO)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000842108486 631544633 /nfs/dbraw/zinc/54/46/33/631544633.db2.gz NZHAZBPYXTYGLF-UHFFFAOYSA-N 0 0 299.298 2.576 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C1(CCF)CC1 ZINC000811337371 631553042 /nfs/dbraw/zinc/55/30/42/631553042.db2.gz POMQKYRCZUOQLF-UHFFFAOYSA-N 0 0 285.246 2.917 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCSC1 ZINC000811409161 631560473 /nfs/dbraw/zinc/56/04/73/631560473.db2.gz RCVPNABGVXKPDQ-JTQLQIEISA-N 0 0 299.323 2.920 20 5 CFBDRN CCC[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000842299254 631582174 /nfs/dbraw/zinc/58/21/74/631582174.db2.gz KETDDCOYIXUTKA-UPJWGTAASA-N 0 0 263.293 2.736 20 5 CFBDRN C[C@@H](Cc1ccc(Cl)s1)OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842328521 631594111 /nfs/dbraw/zinc/59/41/11/631594111.db2.gz ZESJAWJOGDMPTJ-NBEYISGCSA-N 0 0 289.740 2.541 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H]1CC(C)(C)Oc2ccccc21 ZINC000842366991 631608555 /nfs/dbraw/zinc/60/85/55/631608555.db2.gz FWSVQZRVGVSVIE-PWSUYJOCSA-N 0 0 293.319 2.540 20 5 CFBDRN CS[C@@H](C)COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842369816 631609325 /nfs/dbraw/zinc/60/93/25/631609325.db2.gz MTNBPHMZXGIWNJ-TVYUQYBPSA-N 0 0 295.360 2.993 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=S)NCCSC ZINC000811716503 631611350 /nfs/dbraw/zinc/61/13/50/631611350.db2.gz SOQIBNWOGIFFDH-UHFFFAOYSA-N 0 0 299.421 2.807 20 5 CFBDRN CON(C[C@@H]1CCC[C@@H](C)C1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000811812987 631626673 /nfs/dbraw/zinc/62/66/73/631626673.db2.gz GNSGWJUABXOQMZ-GHMZBOCLSA-N 0 0 295.339 2.753 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1cccc(F)c1[N+](=O)[O-] ZINC000811815642 631627407 /nfs/dbraw/zinc/62/74/07/631627407.db2.gz WVXVEXZWZLLZJT-NXEZZACHSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@H]1CN(c2nc3ccccn3c2[N+](=O)[O-])CCC1(F)F ZINC000811848898 631632588 /nfs/dbraw/zinc/63/25/88/631632588.db2.gz YUDNWOOYHIDINC-VIFPVBQESA-N 0 0 296.277 2.724 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@](C)(O)C2CC2)n1 ZINC000842494745 631645054 /nfs/dbraw/zinc/64/50/54/631645054.db2.gz YHDBGTVYPGZGPN-CQSZACIVSA-N 0 0 289.291 2.571 20 5 CFBDRN CC(C)(CCc1noc(-c2cc(C3CC3)no2)n1)[N+](=O)[O-] ZINC000842504619 631647758 /nfs/dbraw/zinc/64/77/58/631647758.db2.gz HTEMPJISNJNBDO-UHFFFAOYSA-N 0 0 292.295 2.590 20 5 CFBDRN C[C@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CCC1 ZINC000842505808 631649229 /nfs/dbraw/zinc/64/92/29/631649229.db2.gz SEWSHRYZHSRTFH-VIFPVBQESA-N 0 0 267.329 2.961 20 5 CFBDRN Cc1c(-c2noc([C@@](C)(O)C(C)C)n2)cccc1[N+](=O)[O-] ZINC000842507146 631650019 /nfs/dbraw/zinc/65/00/19/631650019.db2.gz OTMABXDPNYJZOO-AWEZNQCLSA-N 0 0 291.307 2.817 20 5 CFBDRN Cc1nn(C)c(OCc2ccc([N+](=O)[O-])c(F)c2)c1C ZINC000842650681 631693002 /nfs/dbraw/zinc/69/30/02/631693002.db2.gz RAMQIELOIPDKCK-UHFFFAOYSA-N 0 0 279.271 2.663 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000812529845 631729697 /nfs/dbraw/zinc/72/96/97/631729697.db2.gz DYNACNSVFFKCQP-IUCAKERBSA-N 0 0 284.287 2.591 20 5 CFBDRN COCC1(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)CCC1 ZINC000812581912 631736880 /nfs/dbraw/zinc/73/68/80/631736880.db2.gz CHYIXYVOFWWPKI-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN COc1ccc(OC(=O)C2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000842774046 631737096 /nfs/dbraw/zinc/73/70/96/631737096.db2.gz WRVYZGZSBQUATD-UHFFFAOYSA-N 0 0 287.218 2.554 20 5 CFBDRN CC(C)=CCC[C@H](C)CC(=O)OC[C@H](C)[N+](=O)[O-] ZINC000842801778 631744617 /nfs/dbraw/zinc/74/46/17/631744617.db2.gz LQWTVIFFWFSILW-RYUDHWBXSA-N 0 0 257.330 2.967 20 5 CFBDRN CSc1ccc(CCCC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000842801956 631744630 /nfs/dbraw/zinc/74/46/30/631744630.db2.gz NSOXFOCSKNKHHU-LLVKDONJSA-N 0 0 297.376 2.940 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000812623290 631746037 /nfs/dbraw/zinc/74/60/37/631746037.db2.gz QKMKODQBECLTCT-NXEZZACHSA-N 0 0 299.298 2.696 20 5 CFBDRN C/C=C(/C)COC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000842847375 631762876 /nfs/dbraw/zinc/76/28/76/631762876.db2.gz XECUABBRDGOMPO-WTKPLQERSA-N 0 0 265.265 2.726 20 5 CFBDRN C/C=C(\C)COC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000842853456 631766119 /nfs/dbraw/zinc/76/61/19/631766119.db2.gz XNSAACABNGJGBD-RUDMXATFSA-N 0 0 265.265 2.726 20 5 CFBDRN CCN(Cc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000812781035 631773230 /nfs/dbraw/zinc/77/32/30/631773230.db2.gz YETWCBMEGHTCDG-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN CCC[C@@H]1C[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812811392 631788502 /nfs/dbraw/zinc/78/85/02/631788502.db2.gz UFNIXNUJSVZTBS-NXEZZACHSA-N 0 0 267.329 2.961 20 5 CFBDRN CC(C)C(C)(C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812811439 631788747 /nfs/dbraw/zinc/78/87/47/631788747.db2.gz VHZBASRADPJASS-UHFFFAOYSA-N 0 0 269.345 2.991 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CC2(Cl)Cl)n1)[N+](=O)[O-] ZINC000812815681 631789329 /nfs/dbraw/zinc/78/93/29/631789329.db2.gz VOVIXCPHGDZMFI-LURJTMIESA-N 0 0 294.138 2.719 20 5 CFBDRN C[C@@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CC1 ZINC000812814118 631789746 /nfs/dbraw/zinc/78/97/46/631789746.db2.gz TXZXXZZBGIIUBG-MRVPVSSYSA-N 0 0 253.302 2.571 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000812833008 631794054 /nfs/dbraw/zinc/79/40/54/631794054.db2.gz SKHZRAPRSBAWEI-MWLCHTKSSA-N 0 0 279.292 2.945 20 5 CFBDRN C[C@H](COC(=O)CCC(C)(C)[N+](=O)[O-])CC(F)(F)F ZINC000842959959 631795835 /nfs/dbraw/zinc/79/58/35/631795835.db2.gz KEQXAYZHQKSDJP-QMMMGPOBSA-N 0 0 285.262 2.954 20 5 CFBDRN CO[C@@H](C)COC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000155715428 650029916 /nfs/dbraw/zinc/02/99/16/650029916.db2.gz DKUVYUWUJXPGMK-QMMMGPOBSA-N 0 0 285.321 2.508 20 5 CFBDRN Cc1cc(C)cc(C2(C(=O)OC[C@H](C)[N+](=O)[O-])CC2)c1 ZINC000813100121 631837103 /nfs/dbraw/zinc/83/71/03/631837103.db2.gz NEEHDIMVNCQQKA-LBPRGKRZSA-N 0 0 277.320 2.543 20 5 CFBDRN C[C@H](COC(=O)C1(Cc2ccccc2)CCC1)[N+](=O)[O-] ZINC000813103304 631838403 /nfs/dbraw/zinc/83/84/03/631838403.db2.gz ZVDSCRDQKBBCRM-GFCCVEGCSA-N 0 0 277.320 2.608 20 5 CFBDRN C[C@H](COC(=O)c1c(Cl)c2ccccc2n1C)[N+](=O)[O-] ZINC000813101611 631838762 /nfs/dbraw/zinc/83/87/62/631838762.db2.gz FJBKMJPOAUTMIV-MRVPVSSYSA-N 0 0 296.710 2.654 20 5 CFBDRN CC(C)c1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000813104805 631839789 /nfs/dbraw/zinc/83/97/89/631839789.db2.gz RVGZACPPIBALPC-SECBINFHSA-N 0 0 296.279 2.540 20 5 CFBDRN C[C@@H](COC(=O)c1csc2ccccc12)[N+](=O)[O-] ZINC000813103443 631840439 /nfs/dbraw/zinc/84/04/39/631840439.db2.gz ATAZMQJFHOHHOC-QMMMGPOBSA-N 0 0 265.290 2.723 20 5 CFBDRN CCCCN(C)c1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813107808 631842177 /nfs/dbraw/zinc/84/21/77/631842177.db2.gz WULNBCRRCDBTOJ-LBPRGKRZSA-N 0 0 294.351 2.745 20 5 CFBDRN Cc1c2cccc(C)c2oc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813109564 631842651 /nfs/dbraw/zinc/84/26/51/631842651.db2.gz LWMAACRTGZCANU-SECBINFHSA-N 0 0 277.276 2.872 20 5 CFBDRN CCN(c1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1)C(C)C ZINC000813108398 631842788 /nfs/dbraw/zinc/84/27/88/631842788.db2.gz XUNKKDQQSAUWIK-GFCCVEGCSA-N 0 0 294.351 2.743 20 5 CFBDRN CC[C@@H](Sc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813109636 631842799 /nfs/dbraw/zinc/84/27/99/631842799.db2.gz UXTSBXYBDAEYBV-CMPLNLGQSA-N 0 0 283.349 2.766 20 5 CFBDRN C[C@@H](COC(=O)CCSc1ccccc1F)[N+](=O)[O-] ZINC000813110480 631843196 /nfs/dbraw/zinc/84/31/96/631843196.db2.gz BQCYWKNEPRVAJK-VIFPVBQESA-N 0 0 287.312 2.516 20 5 CFBDRN Cc1[nH]c2ccc(Cl)cc2c1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813110451 631843481 /nfs/dbraw/zinc/84/34/81/631843481.db2.gz AXPVMJVRJRNVEE-ZETCQYMHSA-N 0 0 296.710 2.952 20 5 CFBDRN CC[C@H](CC(=O)OC[C@@H](C)[N+](=O)[O-])c1ccc(OC)cc1 ZINC000813113377 631844323 /nfs/dbraw/zinc/84/43/23/631844323.db2.gz ZVHQHVXFDRCTIL-VXGBXAGGSA-N 0 0 295.335 2.787 20 5 CFBDRN CC(C)=CCOc1cccc(C(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000813111304 631844649 /nfs/dbraw/zinc/84/46/49/631844649.db2.gz RBBSOFXMDZEJLH-GFCCVEGCSA-N 0 0 293.319 2.854 20 5 CFBDRN C/C(=C/c1ccccc1Cl)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813112644 631844783 /nfs/dbraw/zinc/84/47/83/631844783.db2.gz SAGIFKAOAQTJPL-RNKPRXRFSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@H](COC(=O)/C=C\c1cnc(C(C)(C)C)s1)[N+](=O)[O-] ZINC000813114231 631845739 /nfs/dbraw/zinc/84/57/39/631845739.db2.gz OCSZCIIACOYNBN-SSJHQANKSA-N 0 0 298.364 2.662 20 5 CFBDRN CC1(C)C[C@@H]1COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000813125072 631852102 /nfs/dbraw/zinc/85/21/02/631852102.db2.gz GSYGUBQYIMNGMW-SNVBAGLBSA-N 0 0 279.292 2.563 20 5 CFBDRN COc1c(C(=O)OC[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000813126507 631853162 /nfs/dbraw/zinc/85/31/62/631853162.db2.gz LDBBALAPLALVTB-SECBINFHSA-N 0 0 279.292 2.806 20 5 CFBDRN COc1c(C(=O)OC2CC(C)C2)cccc1[N+](=O)[O-] ZINC000813229597 631886629 /nfs/dbraw/zinc/88/66/29/631886629.db2.gz AUALVCCTNVRQAP-UHFFFAOYSA-N 0 0 265.265 2.559 20 5 CFBDRN CCn1cc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cn1 ZINC000813246330 631891030 /nfs/dbraw/zinc/89/10/30/631891030.db2.gz IENHMPSMFLXJSJ-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN CCC1(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)COC1 ZINC000813245642 631891059 /nfs/dbraw/zinc/89/10/59/631891059.db2.gz IJXCUFVOUPPEGT-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CO1 ZINC000813246934 631891204 /nfs/dbraw/zinc/89/12/04/631891204.db2.gz SICAQZHJHFDPOD-YUMQZZPRSA-N 0 0 284.699 2.612 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1CCCOC1 ZINC000813291315 631894997 /nfs/dbraw/zinc/89/49/97/631894997.db2.gz IQJNYCCOSDPTNE-LLVKDONJSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@]12C[C@@H]1CCCC2 ZINC000867032202 631915552 /nfs/dbraw/zinc/91/55/52/631915552.db2.gz INPKEQKAPBRCGS-BBRMVZONSA-N 0 0 288.347 2.834 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1nc(C(C)C)no1 ZINC000843297971 631920826 /nfs/dbraw/zinc/92/08/26/631920826.db2.gz JWWBXPOURIXXAB-UHFFFAOYSA-N 0 0 291.311 2.755 20 5 CFBDRN CCON(C(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000843314613 631925660 /nfs/dbraw/zinc/92/56/60/631925660.db2.gz NJWKZVDJCXQWRS-UHFFFAOYSA-N 0 0 267.285 2.789 20 5 CFBDRN Cc1ccoc1CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000813622773 631942984 /nfs/dbraw/zinc/94/29/84/631942984.db2.gz DJWWZVCUFXCASB-UHFFFAOYSA-N 0 0 272.264 2.756 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)C1(C(F)F)CC1 ZINC000843523707 631975161 /nfs/dbraw/zinc/97/51/61/631975161.db2.gz OULABZVXAMXFNR-UHFFFAOYSA-N 0 0 274.198 2.718 20 5 CFBDRN CCc1ccc(C(=O)OCC(C)(C)COC)cc1[N+](=O)[O-] ZINC000813991488 631986402 /nfs/dbraw/zinc/98/64/02/631986402.db2.gz COGOHLZRJDNWDQ-UHFFFAOYSA-N 0 0 295.335 2.987 20 5 CFBDRN O=C(N(Cc1ccccc1[N+](=O)[O-])C1CC1)C1(F)CC1 ZINC000843567969 631990710 /nfs/dbraw/zinc/99/07/10/631990710.db2.gz LOIDAWVASQEKBS-UHFFFAOYSA-N 0 0 278.283 2.588 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@H](C)SCc1ccccc1 ZINC000814033821 631996554 /nfs/dbraw/zinc/99/65/54/631996554.db2.gz DRKZAPMVZHKBPC-QWRGUYRKSA-N 0 0 283.349 2.517 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@H]1CCc2c1cccc2Cl ZINC000814035740 631996971 /nfs/dbraw/zinc/99/69/71/631996971.db2.gz CIYMOBHJCCBTJY-KWQFWETISA-N 0 0 283.711 2.578 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC[C@@H](C)c1ccccc1 ZINC000814035477 631997292 /nfs/dbraw/zinc/99/72/92/631997292.db2.gz NRRMTIAWVMXBRO-VXGBXAGGSA-N 0 0 265.309 2.779 20 5 CFBDRN CCCN(C(=O)C1(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000843589545 631997884 /nfs/dbraw/zinc/99/78/84/631997884.db2.gz CQEQVEYMKFDZBG-UHFFFAOYSA-N 0 0 266.272 2.840 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])c(F)c1Cl ZINC000814036679 631998011 /nfs/dbraw/zinc/99/80/11/631998011.db2.gz IZFXXKCHJYRGNN-SSDOTTSWSA-N 0 0 275.663 2.610 20 5 CFBDRN CC(C)=CCOc1cccc(C(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000814037857 631998054 /nfs/dbraw/zinc/99/80/54/631998054.db2.gz HXXUTHPZCIWXBJ-GFCCVEGCSA-N 0 0 293.319 2.854 20 5 CFBDRN CC(C)CCOc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814038821 631998619 /nfs/dbraw/zinc/99/86/19/631998619.db2.gz TVGBKSVIMBYBEG-GFCCVEGCSA-N 0 0 295.335 2.934 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc(-c2ccccc2)ns1 ZINC000814040150 631998725 /nfs/dbraw/zinc/99/87/25/631998725.db2.gz DPKZNSCMYIGLJU-SECBINFHSA-N 0 0 292.316 2.632 20 5 CFBDRN CC[C@@H](C)n1ncc(C(=O)O[C@@H](C)C[N+](=O)[O-])c1C1CC1 ZINC000814039193 631998787 /nfs/dbraw/zinc/99/87/87/631998787.db2.gz MOXROHWTNAOGPZ-ZJUUUORDSA-N 0 0 295.339 2.554 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc(-c2ccccc2)ns1 ZINC000814040151 631998868 /nfs/dbraw/zinc/99/88/68/631998868.db2.gz DPKZNSCMYIGLJU-VIFPVBQESA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1c[nH]c2cc(Cl)ccc12 ZINC000814040516 631998871 /nfs/dbraw/zinc/99/88/71/631998871.db2.gz IAROGGSXRDUHMQ-MRVPVSSYSA-N 0 0 296.710 2.572 20 5 CFBDRN COc1ccc2oc(C)c(C(=O)O[C@@H](C)C[N+](=O)[O-])c2c1 ZINC000814039147 631998898 /nfs/dbraw/zinc/99/88/98/631998898.db2.gz LKWNSHFDAYGBMH-QMMMGPOBSA-N 0 0 293.275 2.572 20 5 CFBDRN C/C(=C\C(=O)O[C@@H](C)C[N+](=O)[O-])c1cccc(Cl)c1 ZINC000814039573 631998934 /nfs/dbraw/zinc/99/89/34/631998934.db2.gz SNTVABLQVCBOOW-ZKXNXJMVSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1c[nH]c2ccc(Cl)cc12 ZINC000814040501 631998941 /nfs/dbraw/zinc/99/89/41/631998941.db2.gz HSCBQCXENGXSQM-QMMMGPOBSA-N 0 0 296.710 2.572 20 5 CFBDRN CC[C@@H](C)Oc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814041080 631999602 /nfs/dbraw/zinc/99/96/02/631999602.db2.gz NBQHLFKWOBVZGH-GHMZBOCLSA-N 0 0 281.308 2.686 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(c2ccccc2)CCCC1 ZINC000814040873 631999641 /nfs/dbraw/zinc/99/96/41/631999641.db2.gz MQKCIKXUTNCGLE-GFCCVEGCSA-N 0 0 277.320 2.707 20 5 CFBDRN CC[C@@H](CC(=O)O[C@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814042716 631999711 /nfs/dbraw/zinc/99/97/11/631999711.db2.gz MOQBUVASGFEWFP-NEPJUHHUSA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCC[C@H]2CCCC[C@H]21 ZINC000814041682 631999739 /nfs/dbraw/zinc/99/97/39/631999739.db2.gz RYLYBHVHMREFFG-FDYHWXHSSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000814044783 632000412 /nfs/dbraw/zinc/00/04/12/632000412.db2.gz UIPZGBZFURFAJE-YVECIDJPSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(c2cccc(F)c2)CCCC1 ZINC000814043095 632000453 /nfs/dbraw/zinc/00/04/53/632000453.db2.gz SXGXQSOOUJOGOB-NSHDSACASA-N 0 0 295.310 2.846 20 5 CFBDRN C/C(=C/c1ccc(Cl)cc1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814045680 632000994 /nfs/dbraw/zinc/00/09/94/632000994.db2.gz XHEXFASFRLJOPJ-UJICNMFASA-N 0 0 283.711 2.952 20 5 CFBDRN CCc1ccc([C@H](C)C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814048577 632001428 /nfs/dbraw/zinc/00/14/28/632001428.db2.gz VCPUKTZLYUYGFQ-MNOVXSKESA-N 0 0 265.309 2.561 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1[nH]c2ccccc2c1Cl ZINC000814049101 632001742 /nfs/dbraw/zinc/00/17/42/632001742.db2.gz YZBANVQKGNSBRY-ZETCQYMHSA-N 0 0 282.683 2.643 20 5 CFBDRN CCCc1nc(C)c(COC(=O)c2ccc([N+](=O)[O-])o2)o1 ZINC000814078245 632007067 /nfs/dbraw/zinc/00/70/67/632007067.db2.gz MKHRTYJYAYXNKC-UHFFFAOYSA-N 0 0 294.263 2.794 20 5 CFBDRN Cc1ncoc1COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000814096207 632012080 /nfs/dbraw/zinc/01/20/80/632012080.db2.gz SYUZAECZEJTLPE-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN O=C(N[C@@H](C1CCC1)C1CCCCC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000843715526 632037142 /nfs/dbraw/zinc/03/71/42/632037142.db2.gz GLECPGVILUTLKO-MGPQQGTHSA-N 0 0 280.368 2.517 20 5 CFBDRN Cc1nsc(C)c1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000814259010 632047285 /nfs/dbraw/zinc/04/72/85/632047285.db2.gz VADIIWGILUQCFN-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN CN(C[C@@H](O)Cc1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000814262118 632048797 /nfs/dbraw/zinc/04/87/97/632048797.db2.gz LJKIHOFEIABNKS-INIZCTEOSA-N 0 0 286.331 2.635 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H](C)C1CC1 ZINC000843757103 632050311 /nfs/dbraw/zinc/05/03/11/632050311.db2.gz JJUIYPDDXCJYST-QMMMGPOBSA-N 0 0 266.272 2.571 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N(C)[C@H](C)C1CC1 ZINC000843762275 632050876 /nfs/dbraw/zinc/05/08/76/632050876.db2.gz VVXQMBBHENUUSL-SECBINFHSA-N 0 0 280.299 2.913 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)[C@H](C)C1 ZINC000843812468 632060446 /nfs/dbraw/zinc/06/04/46/632060446.db2.gz XSKQCUHQKOZYIP-DTORHVGOSA-N 0 0 280.299 2.770 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H](C)C1CCC1 ZINC000843838667 632064836 /nfs/dbraw/zinc/06/48/36/632064836.db2.gz DTIRUNNBZOJPNF-SECBINFHSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC(F)(F)C1 ZINC000843847523 632066539 /nfs/dbraw/zinc/06/65/39/632066539.db2.gz BQLMDGPPAPLFRV-UHFFFAOYSA-N 0 0 288.225 2.524 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1C[C@@H](C)[C@@H]1C ZINC000843893661 632072556 /nfs/dbraw/zinc/07/25/56/632072556.db2.gz AJTZHABSDQKKAO-APPZFPTMSA-N 0 0 266.272 2.523 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)OCc2ccccc2[N+](=O)[O-])C1 ZINC000814563211 632092649 /nfs/dbraw/zinc/09/26/49/632092649.db2.gz HCDGELLAUXSNMU-DGCLKSJQSA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(CCc1cncs1)OCc1cccc([N+](=O)[O-])c1 ZINC000814585960 632096429 /nfs/dbraw/zinc/09/64/29/632096429.db2.gz NYDVOTMWFXCPQU-UHFFFAOYSA-N 0 0 292.316 2.727 20 5 CFBDRN CCC(C)(C)OCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000840325405 632105803 /nfs/dbraw/zinc/10/58/03/632105803.db2.gz WBRKBRMMEWCQFS-UHFFFAOYSA-N 0 0 267.329 2.794 20 5 CFBDRN CCCONC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000844090477 632115793 /nfs/dbraw/zinc/11/57/93/632115793.db2.gz SWEJDNLDERXNPS-UHFFFAOYSA-N 0 0 272.688 2.628 20 5 CFBDRN COC(=O)/C(C)=C/COc1cccc(Cl)c1[N+](=O)[O-] ZINC000814775721 632121854 /nfs/dbraw/zinc/12/18/54/632121854.db2.gz UGTWNLCXIGIHDS-SOFGYWHQSA-N 0 0 285.683 2.746 20 5 CFBDRN CO[C@H]1CCC[C@H](OC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000844259758 632148143 /nfs/dbraw/zinc/14/81/43/632148143.db2.gz RGJLMBBRNGCHJN-STQMWFEESA-N 0 0 293.319 2.638 20 5 CFBDRN C[C@@H](F)CCNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000814914913 632162785 /nfs/dbraw/zinc/16/27/85/632162785.db2.gz QQKXLSXJLIMGDD-SECBINFHSA-N 0 0 295.314 2.647 20 5 CFBDRN Cn1c(C(=O)O[C@@H]2CCc3ccccc32)ccc1[N+](=O)[O-] ZINC000844324487 632169177 /nfs/dbraw/zinc/16/91/77/632169177.db2.gz IOWVUJGWTNZNSP-CYBMUJFWSA-N 0 0 286.287 2.778 20 5 CFBDRN COC/C(C)=C\C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000844326148 632170164 /nfs/dbraw/zinc/17/01/64/632170164.db2.gz DDZHMNVLVXDSAD-ZJRUKIMVSA-N 0 0 279.292 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCC[C@H](O)CC3)sc2c1 ZINC000814958293 632179800 /nfs/dbraw/zinc/17/98/00/632179800.db2.gz JZVCZPAZJLAIBU-JTQLQIEISA-N 0 0 293.348 2.556 20 5 CFBDRN COC/C(C)=C/C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000844418821 632202198 /nfs/dbraw/zinc/20/21/98/632202198.db2.gz WHJQJVOQAWDDMI-OFFHKIPUSA-N 0 0 292.335 2.673 20 5 CFBDRN CC(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000815171455 632223288 /nfs/dbraw/zinc/22/32/88/632223288.db2.gz ZBYUUZSKLAVHQL-UHFFFAOYSA-N 0 0 263.171 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@]2(CC2(F)F)C1 ZINC000844471956 632224791 /nfs/dbraw/zinc/22/47/91/632224791.db2.gz UNYOBVGCJXRXRY-LBPRGKRZSA-N 0 0 286.253 2.965 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000815219055 632232073 /nfs/dbraw/zinc/23/20/73/632232073.db2.gz KCQDGEJTJCNEHH-SFYZADRCSA-N 0 0 282.271 2.662 20 5 CFBDRN COc1cc(N)c([N+](=O)[O-])cc1C(=O)O[C@H]1CC[C@H](C)C1 ZINC000815236690 632236243 /nfs/dbraw/zinc/23/62/43/632236243.db2.gz DQSMXGOQTNBNPU-IUCAKERBSA-N 0 0 294.307 2.531 20 5 CFBDRN COC/C(C)=C/C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000844517882 632237306 /nfs/dbraw/zinc/23/73/06/632237306.db2.gz LFECNOCAGYLUGG-SOFGYWHQSA-N 0 0 282.271 2.574 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H]1CCC=CO1 ZINC000156413874 650052857 /nfs/dbraw/zinc/05/28/57/650052857.db2.gz SLWMJAYWYYUJDJ-SECBINFHSA-N 0 0 252.245 2.839 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OCC2(C)COC2)cc1[N+](=O)[O-] ZINC000815406518 632268945 /nfs/dbraw/zinc/26/89/45/632268945.db2.gz KWXPQOLLEXKNRN-UHFFFAOYSA-N 0 0 299.710 2.750 20 5 CFBDRN COC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000815406428 632269302 /nfs/dbraw/zinc/26/93/02/632269302.db2.gz ICEWAVIEQYQSHS-MRVPVSSYSA-N 0 0 287.699 2.748 20 5 CFBDRN C[C@@]1(CCNC(=O)c2ccc([N+](=O)[O-])o2)C[C@@]1(F)Cl ZINC000844821094 632317049 /nfs/dbraw/zinc/31/70/49/632317049.db2.gz KRJJYXQGBMMGLU-MNOVXSKESA-N 0 0 290.678 2.622 20 5 CFBDRN CC[C@H](C(=O)[O-])[N@H+](C)Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000844936580 632341811 /nfs/dbraw/zinc/34/18/11/632341811.db2.gz JIOQJRZZDWZGFY-SNVBAGLBSA-N 0 0 286.715 2.543 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000815988905 632348282 /nfs/dbraw/zinc/34/82/82/632348282.db2.gz ZVORBPPRFPZSKI-BXKDBHETSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H](COC(=O)/C(F)=C\C1CCCCC1)[N+](=O)[O-] ZINC000816091311 632369897 /nfs/dbraw/zinc/36/98/97/632369897.db2.gz RONWHJJRMKJFCM-MXFUOJGSSA-N 0 0 259.277 2.629 20 5 CFBDRN Cc1ccoc1COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000816101083 632372225 /nfs/dbraw/zinc/37/22/25/632372225.db2.gz FWRPKLKGHVHTKU-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN CC(=O)Cc1ccc(OC(=O)c2cccc([N+](=O)[O-])c2)cc1 ZINC000816209815 632392663 /nfs/dbraw/zinc/39/26/63/632392663.db2.gz OPBHDUMORNDBHI-UHFFFAOYSA-N 0 0 299.282 2.946 20 5 CFBDRN O=C1O[C@H](CSc2cccc([N+](=O)[O-])c2)CN1C1CC1 ZINC000845312717 632409067 /nfs/dbraw/zinc/40/90/67/632409067.db2.gz QLQRVOUCUYZSAT-NSHDSACASA-N 0 0 294.332 2.670 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000816351822 632424360 /nfs/dbraw/zinc/42/43/60/632424360.db2.gz XHWTULCHYJXEEZ-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN CO[C@@H](COC(=O)CCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000816353331 632425471 /nfs/dbraw/zinc/42/54/71/632425471.db2.gz GUGUNHNGXOEISI-AWEZNQCLSA-N 0 0 295.335 2.742 20 5 CFBDRN CN(Cc1nccn1C)c1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000845458338 632439233 /nfs/dbraw/zinc/43/92/33/632439233.db2.gz HQIAHIBMFDRPPF-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN C[C@@H]1COCCN(c2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000845508437 632452745 /nfs/dbraw/zinc/45/27/45/632452745.db2.gz GWLIYRMOAJJBQP-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN CCc1ccc(C(=O)OCC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000816434902 632458222 /nfs/dbraw/zinc/45/82/22/632458222.db2.gz CQOOAQGKSPZTBY-LLVKDONJSA-N 0 0 293.319 2.741 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCC[C@@H]1CCOC1 ZINC000816437150 632459780 /nfs/dbraw/zinc/45/97/80/632459780.db2.gz ADTBZGTXFHQXCZ-YIALFULDSA-N 0 0 291.303 2.578 20 5 CFBDRN O=C1C[C@H]2CC[C@@H](C1)N2c1c(F)cc([N+](=O)[O-])cc1F ZINC000845557023 632463688 /nfs/dbraw/zinc/46/36/88/632463688.db2.gz PNCKBYPZFIURAO-OCAPTIKFSA-N 0 0 282.246 2.573 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C/C1CCCCCC1 ZINC000845575940 632467254 /nfs/dbraw/zinc/46/72/54/632467254.db2.gz ABUCMMLGWDTUFA-ANYFNZRUSA-N 0 0 255.314 2.721 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2)[C@@H](CC)CO1 ZINC000845650624 632505376 /nfs/dbraw/zinc/50/53/76/632505376.db2.gz OJBQYZIMRVVBFG-FZMZJTMJSA-N 0 0 264.325 2.989 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])[C@](C)(O)c1ccccc1 ZINC000845653573 632505923 /nfs/dbraw/zinc/50/59/23/632505923.db2.gz ASWWYJATFHWIRP-ABAIWWIYSA-N 0 0 287.319 2.698 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)[C@H](C)CO1 ZINC000845661365 632510017 /nfs/dbraw/zinc/51/00/17/632510017.db2.gz MBSSBQMRAYBNKY-MWLCHTKSSA-N 0 0 268.288 2.738 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCOC1 ZINC000845670028 632513658 /nfs/dbraw/zinc/51/36/58/632513658.db2.gz NYYLHGNJHCFZJK-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCC[C@H]1CC1(Cl)Cl ZINC000845744802 632549829 /nfs/dbraw/zinc/54/98/29/632549829.db2.gz NCWPPWUGDFWVCV-ZETCQYMHSA-N 0 0 293.154 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2C[C@H]3[C@@H](C2)C3(F)F)c1 ZINC000845756789 632553450 /nfs/dbraw/zinc/55/34/50/632553450.db2.gz MIYFAFHTAFINRY-TXEJJXNPSA-N 0 0 291.257 2.844 20 5 CFBDRN O=C(OC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cn1 ZINC000845764101 632556564 /nfs/dbraw/zinc/55/65/64/632556564.db2.gz FUQPRWJBUQCWDR-MXWKQRLJSA-N 0 0 276.292 2.583 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000845772935 632560495 /nfs/dbraw/zinc/56/04/95/632560495.db2.gz NSJQNDPDGZFDJI-OUAUKWLOSA-N 0 0 278.308 2.526 20 5 CFBDRN CC[C@@H]1CN(c2ccc(N)cc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000817124427 632560838 /nfs/dbraw/zinc/56/08/38/632560838.db2.gz NCRFAEWIMUJUGC-TXEJJXNPSA-N 0 0 279.340 2.571 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCCC2(O)CCC2)c1 ZINC000817125145 632561883 /nfs/dbraw/zinc/56/18/83/632561883.db2.gz OSSYNZUAZVIYSJ-UHFFFAOYSA-N 0 0 298.314 2.850 20 5 CFBDRN C[C@@H](CF)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000817126637 632561990 /nfs/dbraw/zinc/56/19/90/632561990.db2.gz BWZOSXHXOICHHG-YFKPBYRVSA-N 0 0 295.097 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](CCO)CC2)cc1 ZINC000817130658 632562795 /nfs/dbraw/zinc/56/27/95/632562795.db2.gz PRZBCPSSAFKAFE-LBPRGKRZSA-N 0 0 264.325 2.584 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC000845804471 632568115 /nfs/dbraw/zinc/56/81/15/632568115.db2.gz KYAXCIKMNPBVIT-SNVBAGLBSA-N 0 0 287.337 2.800 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@H]1CC12CC2 ZINC000817230619 632576375 /nfs/dbraw/zinc/57/63/75/632576375.db2.gz KBNNILOYMTXXPL-SECBINFHSA-N 0 0 265.240 2.577 20 5 CFBDRN CCOc1cc(COC(=O)[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000817232662 632577750 /nfs/dbraw/zinc/57/77/50/632577750.db2.gz LILCNTDMHUFUGW-NSHDSACASA-N 0 0 291.303 2.837 20 5 CFBDRN CC[C@@H](COC(=O)c1cc([N+](=O)[O-])c(C)cc1C)OC ZINC000817239725 632581573 /nfs/dbraw/zinc/58/15/73/632581573.db2.gz VOWBRFAPCLRYCS-NSHDSACASA-N 0 0 281.308 2.793 20 5 CFBDRN C[C@]1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CC1(F)F ZINC000817251081 632585550 /nfs/dbraw/zinc/58/55/50/632585550.db2.gz WNHKSVMKHNKAKE-LLVKDONJSA-N 0 0 289.209 2.822 20 5 CFBDRN C[C@@H]([C@H](OC(=O)C1CCC1)c1ccccc1F)[N+](=O)[O-] ZINC000845871861 632589058 /nfs/dbraw/zinc/58/90/58/632589058.db2.gz AYUBRGHZBLCAKZ-ZANVPECISA-N 0 0 281.283 2.875 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NOCC1CC1 ZINC000817368264 632614285 /nfs/dbraw/zinc/61/42/85/632614285.db2.gz RGSRELQVTHUVPX-ZDUSSCGKSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@]1(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)CC1(F)F ZINC000817442285 632635927 /nfs/dbraw/zinc/63/59/27/632635927.db2.gz VBTLSQCJYGZUME-ZDUSSCGKSA-N 0 0 296.273 2.525 20 5 CFBDRN Cc1cc(COC(=O)[C@@]2(C)CC2(F)F)ccc1[N+](=O)[O-] ZINC000817442828 632636099 /nfs/dbraw/zinc/63/60/99/632636099.db2.gz GLKXGUKWKBRNGX-GFCCVEGCSA-N 0 0 285.246 2.992 20 5 CFBDRN C[C@@H]1C[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C(C)(C)C1 ZINC000846246955 632673713 /nfs/dbraw/zinc/67/37/13/632673713.db2.gz MVCCZJXJOZUHLC-JTQLQIEISA-N 0 0 292.335 2.913 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCC[C@@H]3C[C@@H]32)nc2ccccn21 ZINC000840346416 632684885 /nfs/dbraw/zinc/68/48/85/632684885.db2.gz DKFYRCSLTSJYHA-VWYCJHECSA-N 0 0 272.308 2.843 20 5 CFBDRN C[C@@H](COC(=O)COc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000817752888 632705037 /nfs/dbraw/zinc/70/50/37/632705037.db2.gz UACYFESOKXJTMM-NSHDSACASA-N 0 0 293.319 2.953 20 5 CFBDRN C[C@@H](COC(=O)CCC(C)(C)[N+](=O)[O-])C1CCC1 ZINC000817755782 632707205 /nfs/dbraw/zinc/70/72/05/632707205.db2.gz PNNIPEWYIUGZNT-JTQLQIEISA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1c(CO)cc([N+](=O)[O-])c(N[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000840361101 632847815 /nfs/dbraw/zinc/84/78/15/632847815.db2.gz DVSBFLFZQFXEQO-JTQLQIEISA-N 0 0 295.295 2.514 20 5 CFBDRN CCOCCCOC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885425910 632904067 /nfs/dbraw/zinc/90/40/67/632904067.db2.gz DBTQVFSKNCYOEW-UHFFFAOYSA-N 0 0 281.308 2.795 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000840368556 632909039 /nfs/dbraw/zinc/90/90/39/632909039.db2.gz NJNMIGFPZIEXFK-BBBLOLIVSA-N 0 0 295.295 2.728 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@H]2F)c2nonc21 ZINC000840370448 632924335 /nfs/dbraw/zinc/92/43/35/632924335.db2.gz XBKUEFPBULIZCI-HTQZYQBOSA-N 0 0 280.259 2.824 20 5 CFBDRN Cc1c(C(=O)Oc2cnn(C(C)C)c2)cccc1[N+](=O)[O-] ZINC000847132943 632934861 /nfs/dbraw/zinc/93/48/61/632934861.db2.gz MFGHZQHCTQDIAE-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN CC1(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000847159310 632940440 /nfs/dbraw/zinc/94/04/40/632940440.db2.gz BJNWIZLUZRTHNP-UHFFFAOYSA-N 0 0 296.273 2.912 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N1CC(C)(C)C[C@@H]1C ZINC000840375690 632995991 /nfs/dbraw/zinc/99/59/91/632995991.db2.gz OVBYSSLMOJCXME-JTQLQIEISA-N 0 0 291.351 2.579 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000840376330 633015273 /nfs/dbraw/zinc/01/52/73/633015273.db2.gz KCRCEQNUPULESY-OTSSQURYSA-N 0 0 284.699 2.612 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])C(F)=C1CCC1 ZINC000819316915 633073911 /nfs/dbraw/zinc/07/39/11/633073911.db2.gz LWFVULUZYLAPFD-UHFFFAOYSA-N 0 0 278.283 2.661 20 5 CFBDRN CCC[C@](C)(CC)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847785100 633076104 /nfs/dbraw/zinc/07/61/04/633076104.db2.gz FJPJNPXMPOSJDE-ZDUSSCGKSA-N 0 0 283.328 2.588 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847782835 633076174 /nfs/dbraw/zinc/07/61/74/633076174.db2.gz XHXKWYDSCWTTHT-GHMZBOCLSA-N 0 0 295.339 2.588 20 5 CFBDRN CC1CCC(=CC(=O)OCc2cn(C)nc2[N+](=O)[O-])CC1 ZINC000847783248 633076188 /nfs/dbraw/zinc/07/61/88/633076188.db2.gz YDPJCUIEOBYNAM-UHFFFAOYSA-N 0 0 293.323 2.508 20 5 CFBDRN CCC[C@H]1CCC[C@H]1C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847786495 633077734 /nfs/dbraw/zinc/07/77/34/633077734.db2.gz TVKZTPHQAQZFFK-CMPLNLGQSA-N 0 0 295.339 2.588 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000847920649 633145822 /nfs/dbraw/zinc/14/58/22/633145822.db2.gz SHDOAXMDXJISCJ-HAQNSBGRSA-N 0 0 279.292 2.628 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000847921795 633146572 /nfs/dbraw/zinc/14/65/72/633146572.db2.gz YLNYKDOSKMKYTR-XYPYZODXSA-N 0 0 279.292 2.628 20 5 CFBDRN COC(=O)[C@@]1(C)CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000840386099 633179165 /nfs/dbraw/zinc/17/91/65/633179165.db2.gz CYESIOXOQBMZMI-AWEZNQCLSA-N 0 0 296.298 2.513 20 5 CFBDRN CC(C)(CCC(=O)Nc1c(O)cccc1Cl)[N+](=O)[O-] ZINC000848140489 633209062 /nfs/dbraw/zinc/20/90/62/633209062.db2.gz SBUGHVBCBHSIQX-UHFFFAOYSA-N 0 0 286.715 2.820 20 5 CFBDRN Cc1ccc(OC(=O)C2=COCCC2)c([N+](=O)[O-])c1 ZINC000107436235 633215564 /nfs/dbraw/zinc/21/55/64/633215564.db2.gz OXEPSNOGXWGYOY-UHFFFAOYSA-N 0 0 263.249 2.503 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1Cc2ccncc2C1 ZINC000848383527 633246623 /nfs/dbraw/zinc/24/66/23/633246623.db2.gz VWIPGBGDLYLOHR-UHFFFAOYSA-N 0 0 297.314 2.763 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2NCc1cccc(F)n1 ZINC000848535999 633281512 /nfs/dbraw/zinc/28/15/12/633281512.db2.gz MOHIXUBETZZRIH-CYBMUJFWSA-N 0 0 287.294 2.906 20 5 CFBDRN CC(C)C[C@@H](O)CNc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000848659589 633311313 /nfs/dbraw/zinc/31/13/13/633311313.db2.gz MWGVBIAFWDGXBG-LLVKDONJSA-N 0 0 297.355 2.596 20 5 CFBDRN CCc1nocc1COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000848686314 633317024 /nfs/dbraw/zinc/31/70/24/633317024.db2.gz PZSGBXBPEPVUDK-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CCc1nc(CNc2c(OC)cccc2[N+](=O)[O-])co1 ZINC000848718711 633323767 /nfs/dbraw/zinc/32/37/67/633323767.db2.gz QABVYNGGPPABPJ-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](OC3CCC3)C2)n1 ZINC000848762812 633338779 /nfs/dbraw/zinc/33/87/79/633338779.db2.gz KXOFDAQIDOGKEY-CYBMUJFWSA-N 0 0 291.351 2.836 20 5 CFBDRN C[C@@H](CNc1ccc(N)cc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000848767730 633340219 /nfs/dbraw/zinc/34/02/19/633340219.db2.gz PSGZKTGHKSYCBD-VIFPVBQESA-N 0 0 295.339 2.567 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000849186422 633581800 /nfs/dbraw/zinc/58/18/00/633581800.db2.gz QAAZLDLWPCYUQU-CMPLNLGQSA-N 0 0 290.319 2.936 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@H]2C=CCC2)cc1 ZINC000849280634 633603370 /nfs/dbraw/zinc/60/33/70/633603370.db2.gz YMXFJBJWYIEGLR-VIFPVBQESA-N 0 0 263.322 2.600 20 5 CFBDRN CCc1noc(-c2cc(SC)ccc2[N+](=O)[O-])n1 ZINC000849338601 633620922 /nfs/dbraw/zinc/62/09/22/633620922.db2.gz PAKSDEZNQVQIAK-UHFFFAOYSA-N 0 0 265.294 2.929 20 5 CFBDRN CC1(C)C[C@H](OC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CO1 ZINC000849632470 633648890 /nfs/dbraw/zinc/64/88/90/633648890.db2.gz IVXODLGALOTOLR-UJZCVKTISA-N 0 0 291.303 2.719 20 5 CFBDRN CO[C@H](COC(=O)c1c(C)cccc1[N+](=O)[O-])C1CCC1 ZINC000849648139 633657016 /nfs/dbraw/zinc/65/70/16/633657016.db2.gz MZSROFSFSCIAFQ-CYBMUJFWSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H]1COC(C)(C)C1 ZINC000849716931 633686247 /nfs/dbraw/zinc/68/62/47/633686247.db2.gz RZEXITNUSMRTKR-LLVKDONJSA-N 0 0 279.292 2.628 20 5 CFBDRN CCOCC1(C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000849771796 633712115 /nfs/dbraw/zinc/71/21/15/633712115.db2.gz SQIIFWBRJXIAGI-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN CCC[C@@H](OC)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849790255 633721174 /nfs/dbraw/zinc/72/11/74/633721174.db2.gz XIQQRURRFWEFIJ-GFCCVEGCSA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@H]1CC12CC2 ZINC000849809192 633730319 /nfs/dbraw/zinc/73/03/19/633730319.db2.gz BCWOMRXRNOMLEP-SNVBAGLBSA-N 0 0 265.240 2.577 20 5 CFBDRN O=[N+]([O-])c1cc(OCCOC2CCCC2)cc([N+](=O)[O-])c1 ZINC000849957032 633774933 /nfs/dbraw/zinc/77/49/33/633774933.db2.gz YCLYMVFNHORACX-UHFFFAOYSA-N 0 0 296.279 2.841 20 5 CFBDRN COC(C)(C)CCOc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849956504 633775298 /nfs/dbraw/zinc/77/52/98/633775298.db2.gz OQMNPOHPGGMLFN-UHFFFAOYSA-N 0 0 284.268 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(OCCC[C@@H]2CCOC2)cc([N+](=O)[O-])c1 ZINC000849958547 633775993 /nfs/dbraw/zinc/77/59/93/633775993.db2.gz WFUWXMNXTGTLGG-SNVBAGLBSA-N 0 0 296.279 2.699 20 5 CFBDRN C[Si](C)(C)Cn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000849976840 633780048 /nfs/dbraw/zinc/78/00/48/633780048.db2.gz DVMLWGNUSQLLPL-UHFFFAOYSA-N 0 0 276.372 2.731 20 5 CFBDRN CSC(C)(C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000849983462 633782007 /nfs/dbraw/zinc/78/20/07/633782007.db2.gz GZOXNQXQKGPXTO-UHFFFAOYSA-N 0 0 269.322 2.780 20 5 CFBDRN COc1ccc(COC(=O)C(C)(C)SC)cc1[N+](=O)[O-] ZINC000849987791 633784370 /nfs/dbraw/zinc/78/43/70/633784370.db2.gz YDEWDSLSYHCHPH-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN CC(C)CCn1cc([N+](=O)[O-])nc1Br ZINC000849990601 633785523 /nfs/dbraw/zinc/78/55/23/633785523.db2.gz CCEYUJYBMWUNGC-UHFFFAOYSA-N 0 0 262.107 2.600 20 5 CFBDRN CC[C@@H](C)Cn1cc([N+](=O)[O-])nc1Br ZINC000849992432 633785820 /nfs/dbraw/zinc/78/58/20/633785820.db2.gz XNPKOFAJXBZQRQ-ZCFIWIBFSA-N 0 0 262.107 2.600 20 5 CFBDRN CCn1cnc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000850181398 633874975 /nfs/dbraw/zinc/87/49/75/633874975.db2.gz VAKRXOGDUXOSIN-SNVBAGLBSA-N 0 0 289.291 2.729 20 5 CFBDRN O=C(CCCF)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000850194235 633875396 /nfs/dbraw/zinc/87/53/96/633875396.db2.gz AYROUEYDEGZHOX-UHFFFAOYSA-N 0 0 259.208 2.527 20 5 CFBDRN O=C(COC(=O)C1CC2(CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000850213065 633896534 /nfs/dbraw/zinc/89/65/34/633896534.db2.gz SRPLXDWXCOYOJN-UHFFFAOYSA-N 0 0 289.287 2.511 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@@H]1C[C@@H](O)c2ccccc21 ZINC000850368836 633958179 /nfs/dbraw/zinc/95/81/79/633958179.db2.gz FISYXUBYCKAOHA-GDBMZVCRSA-N 0 0 284.315 2.863 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1C[C@@H](C)CO1 ZINC000885576405 634021570 /nfs/dbraw/zinc/02/15/70/634021570.db2.gz NQIVRDRMCSPYAL-PUYPPJJSSA-N 0 0 293.319 2.932 20 5 CFBDRN Cn1ccnc1[C@H](N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000850507022 634022533 /nfs/dbraw/zinc/02/25/33/634022533.db2.gz DCEACOOOMLYCTK-DZGCQCFKSA-N 0 0 298.346 2.726 20 5 CFBDRN CO[C@H](C(=O)Oc1ccc(C)cc1[N+](=O)[O-])C1CCC1 ZINC000850766735 634082640 /nfs/dbraw/zinc/08/26/40/634082640.db2.gz RQKBSUTZXRWWTH-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2C)C1 ZINC000850769954 634084602 /nfs/dbraw/zinc/08/46/02/634084602.db2.gz KOMNGSAFTVQPBP-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@@H](C(=O)Oc1cc([N+](=O)[O-])ccc1C)C1CCC1 ZINC000850769665 634084985 /nfs/dbraw/zinc/08/49/85/634084985.db2.gz FSLQXBRTEXLGLB-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN CCc1ccc(OC(=O)[C@H](OC)C2CCC2)c([N+](=O)[O-])c1 ZINC000850847542 634108882 /nfs/dbraw/zinc/10/88/82/634108882.db2.gz DVSPHPIQBZFJHG-CQSZACIVSA-N 0 0 293.319 2.878 20 5 CFBDRN C[C@H](COC(=O)C1(C(F)(F)F)CCCCC1)[N+](=O)[O-] ZINC000851037216 634190227 /nfs/dbraw/zinc/19/02/27/634190227.db2.gz SHBNCASIQZYVGF-MRVPVSSYSA-N 0 0 283.246 2.708 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2C(=O)O[C@@H](C)C[N+](=O)[O-])cc1C ZINC000851045528 634194108 /nfs/dbraw/zinc/19/41/08/634194108.db2.gz LHNUIVXMEOWBOG-FPMFFAJLSA-N 0 0 277.320 2.615 20 5 CFBDRN CC(C)(COC(=O)c1ccc(OC(F)F)cc1)[N+](=O)[O-] ZINC000851123689 634234198 /nfs/dbraw/zinc/23/41/98/634234198.db2.gz UHFQBHDVQNZDKU-UHFFFAOYSA-N 0 0 289.234 2.500 20 5 CFBDRN CC(C)(COC(=O)c1cccc(OC(F)F)c1)[N+](=O)[O-] ZINC000851123886 634234369 /nfs/dbraw/zinc/23/43/69/634234369.db2.gz JVMCVJIXWMBWOY-UHFFFAOYSA-N 0 0 289.234 2.500 20 5 CFBDRN Cc1cccc(OCCCC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851124201 634234674 /nfs/dbraw/zinc/23/46/74/634234674.db2.gz PDRBROHBFNTDTE-UHFFFAOYSA-N 0 0 295.335 2.753 20 5 CFBDRN Cc1cc(C)c(OCC(=O)OCC(C)(C)[N+](=O)[O-])c(C)c1 ZINC000851122929 634234761 /nfs/dbraw/zinc/23/47/61/634234761.db2.gz LDZYQZKIWWPBTK-UHFFFAOYSA-N 0 0 295.335 2.589 20 5 CFBDRN CC(C)OCc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851124317 634234815 /nfs/dbraw/zinc/23/48/15/634234815.db2.gz QZFUUVHJWVPARI-UHFFFAOYSA-N 0 0 295.335 2.824 20 5 CFBDRN Cc1cccc(C(=O)OCC(C)(C)[N+](=O)[O-])c1C ZINC000851124406 634234982 /nfs/dbraw/zinc/23/49/82/634234982.db2.gz VMKCODFAXUYJJI-UHFFFAOYSA-N 0 0 251.282 2.516 20 5 CFBDRN Cc1cccc2cc(C(=O)OCC(C)(C)[N+](=O)[O-])oc21 ZINC000851125570 634235726 /nfs/dbraw/zinc/23/57/26/634235726.db2.gz IJUQDRACHHMROR-UHFFFAOYSA-N 0 0 277.276 2.953 20 5 CFBDRN COc1ccccc1/C(C)=C\C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125809 634235790 /nfs/dbraw/zinc/23/57/90/634235790.db2.gz JSPCIEDZOUDGOZ-LUAWRHEFSA-N 0 0 293.319 2.697 20 5 CFBDRN C[C@@H](CCc1ccccc1F)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125427 634235934 /nfs/dbraw/zinc/23/59/34/634235934.db2.gz DFIXQMXMTMJOBX-NSHDSACASA-N 0 0 297.326 2.993 20 5 CFBDRN CCc1ccc([C@H](C)C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851126488 634236441 /nfs/dbraw/zinc/23/64/41/634236441.db2.gz PSUPEDYSPYFEQO-NSHDSACASA-N 0 0 279.336 2.951 20 5 CFBDRN CCCCSCCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126539 634236687 /nfs/dbraw/zinc/23/66/87/634236687.db2.gz OSEVZOWGUKEMJN-UHFFFAOYSA-N 0 0 263.359 2.508 20 5 CFBDRN Cc1csc(C(=O)OCC(C)(C)[N+](=O)[O-])c1Cl ZINC000851128200 634236830 /nfs/dbraw/zinc/23/68/30/634236830.db2.gz MDQSIBUIWFUKTI-UHFFFAOYSA-N 0 0 277.729 2.922 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCSc2ccccc21)[N+](=O)[O-] ZINC000851126005 634236903 /nfs/dbraw/zinc/23/69/03/634236903.db2.gz KPIIZKKJTKFITP-LLVKDONJSA-N 0 0 295.360 2.865 20 5 CFBDRN CC(C)(C)OC1CC(CC(=O)OCC(C)(C)[N+](=O)[O-])C1 ZINC000851128437 634237206 /nfs/dbraw/zinc/23/72/06/634237206.db2.gz WTHVHTIXOFQUOK-UHFFFAOYSA-N 0 0 287.356 2.569 20 5 CFBDRN CC[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000851127944 634237644 /nfs/dbraw/zinc/23/76/44/634237644.db2.gz BLLLRQVCPUSHJN-LBPRGKRZSA-N 0 0 283.299 2.918 20 5 CFBDRN CC(C)(COC(=O)c1cccc(Cl)c1F)[N+](=O)[O-] ZINC000851127439 634237834 /nfs/dbraw/zinc/23/78/34/634237834.db2.gz XVXZWWDMHXUCMY-UHFFFAOYSA-N 0 0 275.663 2.691 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCCC[C@H]1C1CC1)[N+](=O)[O-] ZINC000851129280 634238780 /nfs/dbraw/zinc/23/87/80/634238780.db2.gz LXSURDHUCVIORZ-NWDGAFQWSA-N 0 0 269.341 2.801 20 5 CFBDRN Cc1oc(C(C)C)cc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851130207 634239843 /nfs/dbraw/zinc/23/98/43/634239843.db2.gz STHMQZNJOVAWKB-UHFFFAOYSA-N 0 0 269.297 2.924 20 5 CFBDRN CC(C)(CCC(=O)Oc1ccc(OC2CC2)cc1)[N+](=O)[O-] ZINC000851181151 634260473 /nfs/dbraw/zinc/26/04/73/634260473.db2.gz NHWATVFEJLRSHC-UHFFFAOYSA-N 0 0 293.319 2.969 20 5 CFBDRN COc1cc(C(=O)OC[C@@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000851228654 634281078 /nfs/dbraw/zinc/28/10/78/634281078.db2.gz YFAKMVHHSHDXGL-YHPBZONMSA-N 0 0 291.303 2.806 20 5 CFBDRN Cc1ncc(COC(=O)CCc2cccc([N+](=O)[O-])c2)o1 ZINC000851448038 634364987 /nfs/dbraw/zinc/36/49/87/634364987.db2.gz LSXWJKWJFMDWSI-UHFFFAOYSA-N 0 0 290.275 2.567 20 5 CFBDRN Cc1noc(COc2cc([N+](=O)[O-])ccc2C)c1[N+](=O)[O-] ZINC000853016393 634876941 /nfs/dbraw/zinc/87/69/41/634876941.db2.gz BHVJAMFAUNOWGD-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN COc1cc(N2CCOC(C)(C)C2)c([N+](=O)[O-])cc1C ZINC000853159413 634921443 /nfs/dbraw/zinc/92/14/43/634921443.db2.gz HHVNNLADTGNMSU-UHFFFAOYSA-N 0 0 280.324 2.527 20 5 CFBDRN CC1(C)CCCC[C@H]1COC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000853159400 634921486 /nfs/dbraw/zinc/92/14/86/634921486.db2.gz GTFTXVIJBALWPT-NSHDSACASA-N 0 0 295.339 2.551 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H]1COC[C@H]1C ZINC000853197096 634932370 /nfs/dbraw/zinc/93/23/70/634932370.db2.gz MRQVHDANQYWFMI-OVQZSQTJSA-N 0 0 291.303 2.576 20 5 CFBDRN COc1cc(N2CCC[C@@H](OC)C2)c([N+](=O)[O-])cc1C ZINC000853263517 634954795 /nfs/dbraw/zinc/95/47/95/634954795.db2.gz OKXCYORJXHZHAR-LLVKDONJSA-N 0 0 280.324 2.527 20 5 CFBDRN CO[C@@H](CNc1cc(C)sc1[N+](=O)[O-])C(F)(F)F ZINC000853331418 634971434 /nfs/dbraw/zinc/97/14/34/634971434.db2.gz RPGAKEMVUASKJO-ZETCQYMHSA-N 0 0 284.259 2.954 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(c2cnc(F)cn2)C2CC2)c1 ZINC000853450581 635003190 /nfs/dbraw/zinc/00/31/90/635003190.db2.gz DJICHDULIYFMCV-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN Cc1cc(NC[C@@H]2COC(C)(C)O2)c([N+](=O)[O-])s1 ZINC000853450766 635003285 /nfs/dbraw/zinc/00/32/85/635003285.db2.gz MDYJPWFVOUMLSZ-MRVPVSSYSA-N 0 0 272.326 2.528 20 5 CFBDRN COc1cc(N2CCC[C@@H]([C@H](C)O)C2)c([N+](=O)[O-])cc1C ZINC000853454945 635003652 /nfs/dbraw/zinc/00/36/52/635003652.db2.gz MMTYINODJHVWJN-NWDGAFQWSA-N 0 0 294.351 2.509 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCC[C@@H]2O)c([N+](=O)[O-])cc1C ZINC000853457371 635004468 /nfs/dbraw/zinc/00/44/68/635004468.db2.gz IOJCKTVKFDJISG-RISCZKNCSA-N 0 0 294.351 2.509 20 5 CFBDRN Cc1cc(N2CCC(CCCO)CC2)c([N+](=O)[O-])s1 ZINC000853473973 635009594 /nfs/dbraw/zinc/00/95/94/635009594.db2.gz OXYRMQHATSQAHO-UHFFFAOYSA-N 0 0 284.381 2.954 20 5 CFBDRN COc1cc(N2CCO[C@H](C3CC3)C2)c([N+](=O)[O-])cc1C ZINC000853486847 635014339 /nfs/dbraw/zinc/01/43/39/635014339.db2.gz YYBSTJBZWGVSIT-HNNXBMFYSA-N 0 0 292.335 2.527 20 5 CFBDRN CCON(C)c1c(Br)cccc1[N+](=O)[O-] ZINC000853509763 635022741 /nfs/dbraw/zinc/02/27/41/635022741.db2.gz CJNTZVHREJVFOT-UHFFFAOYSA-N 0 0 275.102 2.745 20 5 CFBDRN COc1cc(N2CCC[C@H](F)C2)c([N+](=O)[O-])cc1C ZINC000853568884 635038269 /nfs/dbraw/zinc/03/82/69/635038269.db2.gz BLMLIJAMTACTJT-JTQLQIEISA-N 0 0 268.288 2.850 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CO)CC2)c([N+](=O)[O-])s1 ZINC000853648593 635060291 /nfs/dbraw/zinc/06/02/91/635060291.db2.gz LGKKXNOLGXAKTJ-SNVBAGLBSA-N 0 0 270.354 2.564 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2C[C@@H](C)[C@H]2C)c1 ZINC000853649732 635060354 /nfs/dbraw/zinc/06/03/54/635060354.db2.gz BYUPDOGYQNXDRX-HTQZYQBOSA-N 0 0 254.261 2.587 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1C[C@H](C)[C@@H]1C ZINC000853650677 635061130 /nfs/dbraw/zinc/06/11/30/635061130.db2.gz VICZWJRGGDCQBB-YUMQZZPRSA-N 0 0 298.726 2.879 20 5 CFBDRN COC[C@]1(C)CCN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000853654391 635062006 /nfs/dbraw/zinc/06/20/06/635062006.db2.gz XCCIETISDSWWMN-OAHLLOKOSA-N 0 0 294.351 2.775 20 5 CFBDRN CC(C)CC(N)=NOCc1ccc(F)cc1[N+](=O)[O-] ZINC000853660066 635064894 /nfs/dbraw/zinc/06/48/94/635064894.db2.gz RKBVBXAMDUJFLC-UHFFFAOYSA-N 0 0 269.276 2.778 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cnc(Cl)cn2)n1 ZINC000853685309 635074073 /nfs/dbraw/zinc/07/40/73/635074073.db2.gz SUPDFKOGIZTRRN-UHFFFAOYSA-N 0 0 293.714 2.662 20 5 CFBDRN O=[N+]([O-])c1ncccc1SC1CCC2(CC1)OCCO2 ZINC000853740440 635090128 /nfs/dbraw/zinc/09/01/28/635090128.db2.gz XBEBBRXVAOATNW-UHFFFAOYSA-N 0 0 296.348 2.768 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1COC(C)(C)C1 ZINC000853751003 635093773 /nfs/dbraw/zinc/09/37/73/635093773.db2.gz HQBBRTJDLHQYGB-JTQLQIEISA-N 0 0 278.308 2.777 20 5 CFBDRN CC(C)Oc1nc(N[C@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000853797920 635109906 /nfs/dbraw/zinc/10/99/06/635109906.db2.gz IIQLROZERLHIEA-JTQLQIEISA-N 0 0 263.297 2.908 20 5 CFBDRN O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1N[C@@H]1C=CCC1 ZINC000853801245 635111699 /nfs/dbraw/zinc/11/16/99/635111699.db2.gz RVIUGZLHWSNUCH-SNVBAGLBSA-N 0 0 287.319 2.618 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000853810782 635113204 /nfs/dbraw/zinc/11/32/04/635113204.db2.gz IOXLQRMVUJFEOS-CZMCAQCFSA-N 0 0 284.287 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@](O)(C3CC3)C2)c(Cl)c1 ZINC000853834067 635122132 /nfs/dbraw/zinc/12/21/32/635122132.db2.gz RWBOEENHKUCRQL-AWEZNQCLSA-N 0 0 296.754 2.990 20 5 CFBDRN Cc1ccnc(N[C@H]2C[C@@H](O)c3ccccc32)c1[N+](=O)[O-] ZINC000853837982 635123922 /nfs/dbraw/zinc/12/39/22/635123922.db2.gz IIXVIZRYNSMPHW-QWHCGFSZSA-N 0 0 285.303 2.889 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])O1 ZINC000853848660 635131186 /nfs/dbraw/zinc/13/11/86/635131186.db2.gz AOQLBVULLMGFKV-ZJUUUORDSA-N 0 0 295.295 2.873 20 5 CFBDRN C[C@]1(CCNc2ccc([N+](=O)[O-])c3nonc32)CC1(F)F ZINC000853849367 635131929 /nfs/dbraw/zinc/13/19/29/635131929.db2.gz SZANXYHZQLRNQU-NSHDSACASA-N 0 0 298.249 2.978 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1C[C@H](O)C12CCC2 ZINC000853870417 635142478 /nfs/dbraw/zinc/14/24/78/635142478.db2.gz KXPAOWSCXQHMGM-KBPBESRZSA-N 0 0 290.319 2.513 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@@H](C)O[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000853872472 635143545 /nfs/dbraw/zinc/14/35/45/635143545.db2.gz VEOFRNYHACDYID-MNOVXSKESA-N 0 0 292.335 2.801 20 5 CFBDRN Cc1noc(COC(=O)C=C(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000853892562 635148799 /nfs/dbraw/zinc/14/87/99/635148799.db2.gz XJDJLWPNDUIQCG-UHFFFAOYSA-N 0 0 292.291 2.681 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CC23CCCC3)c1[N+](=O)[O-] ZINC000853902254 635152727 /nfs/dbraw/zinc/15/27/27/635152727.db2.gz GOZKFMNWSGERKN-SECBINFHSA-N 0 0 280.280 2.515 20 5 CFBDRN Cc1noc(COC(=O)/C=C2\CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000853903348 635153815 /nfs/dbraw/zinc/15/38/15/635153815.db2.gz GXXKSGUWOKEQNX-QMEHYBMDSA-N 0 0 280.280 2.681 20 5 CFBDRN Cc1noc(COC(=O)C2(C)CCCCC2)c1[N+](=O)[O-] ZINC000853909137 635158719 /nfs/dbraw/zinc/15/87/19/635158719.db2.gz JODAPXWOBVYLGW-UHFFFAOYSA-N 0 0 282.296 2.905 20 5 CFBDRN Cc1noc(COC(=O)[C@@H](C)CC(F)(F)F)c1[N+](=O)[O-] ZINC000853921853 635164544 /nfs/dbraw/zinc/16/45/44/635164544.db2.gz PRHRYWDWVPEUQZ-YFKPBYRVSA-N 0 0 296.201 2.523 20 5 CFBDRN CC[C@@H](CC(F)F)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853922179 635165845 /nfs/dbraw/zinc/16/58/45/635165845.db2.gz QWZZIRKZUVLXIE-ZETCQYMHSA-N 0 0 292.238 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(c3ccon3)CC2)n1 ZINC000853942700 635174524 /nfs/dbraw/zinc/17/45/24/635174524.db2.gz FRABLRMJVORXRG-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000853981577 635184885 /nfs/dbraw/zinc/18/48/85/635184885.db2.gz KCRCEQNUPULESY-XWEPSHTISA-N 0 0 284.699 2.612 20 5 CFBDRN CCn1nccc1CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000854000118 635189374 /nfs/dbraw/zinc/18/93/74/635189374.db2.gz SSFKCDJRTDKRNK-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN O=C([O-])C1(C[NH2+]Cc2cc([N+](=O)[O-])ccc2Cl)CCC1 ZINC000854244734 635270713 /nfs/dbraw/zinc/27/07/13/635270713.db2.gz QTHSVVFDGZNFNM-UHFFFAOYSA-N 0 0 298.726 2.593 20 5 CFBDRN CSC(C)(C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000854375156 635296919 /nfs/dbraw/zinc/29/69/19/635296919.db2.gz IWBNXNUTNGLNRG-UHFFFAOYSA-N 0 0 296.392 2.737 20 5 CFBDRN CC(C)CCCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854495715 635317552 /nfs/dbraw/zinc/31/75/52/635317552.db2.gz RRNLXWJMPGXAFH-UHFFFAOYSA-N 0 0 265.313 2.942 20 5 CFBDRN CC(C)(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000854495698 635317595 /nfs/dbraw/zinc/31/75/95/635317595.db2.gz QXGOWFVPJCHCPE-UHFFFAOYSA-N 0 0 263.297 2.552 20 5 CFBDRN CC[C@@H](C)CCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854495928 635317620 /nfs/dbraw/zinc/31/76/20/635317620.db2.gz YGIZAYRYDDODNW-SECBINFHSA-N 0 0 265.313 2.942 20 5 CFBDRN CCC(C)(C)CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497740 635318208 /nfs/dbraw/zinc/31/82/08/635318208.db2.gz WXDOUXDSNIHAQQ-UHFFFAOYSA-N 0 0 265.313 2.942 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC(C2CC2)CC1 ZINC000854598179 635346403 /nfs/dbraw/zinc/34/64/03/635346403.db2.gz FPBNMTWBUUTIOZ-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN Cc1ccoc1CC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000854678238 635363254 /nfs/dbraw/zinc/36/32/54/635363254.db2.gz BMDWRWNGTPYKRF-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN CSc1cc(Cl)ccc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000855235620 635491095 /nfs/dbraw/zinc/49/10/95/635491095.db2.gz JSGHJTNBXFUORG-VXNVDRBHSA-N 0 0 286.740 2.666 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000855368360 635520838 /nfs/dbraw/zinc/52/08/38/635520838.db2.gz MIJHEURCEXIRGG-MRVPVSSYSA-N 0 0 297.330 2.871 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@@H]1C=CCC1 ZINC000855419888 635536051 /nfs/dbraw/zinc/53/60/51/635536051.db2.gz SRQOUQVDGHILNM-CYBMUJFWSA-N 0 0 289.335 2.622 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000855432530 635545346 /nfs/dbraw/zinc/54/53/46/635545346.db2.gz KIXWXMLTMJAOHQ-ZYHUDNBSSA-N 0 0 260.293 2.533 20 5 CFBDRN O=C(Nc1ccc2ccn(C(F)F)c2c1)[C@@H]1CC1[N+](=O)[O-] ZINC000855529148 635573138 /nfs/dbraw/zinc/57/31/38/635573138.db2.gz FORRCEHUKCIXBO-MWLCHTKSSA-N 0 0 295.245 2.640 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C)C1CC1 ZINC000855856698 635671623 /nfs/dbraw/zinc/67/16/23/635671623.db2.gz AATJMMRHZXTAIO-ZDUSSCGKSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000856062105 635712874 /nfs/dbraw/zinc/71/28/74/635712874.db2.gz IEORTLAYSQXDGM-UWVGGRQHSA-N 0 0 278.283 2.524 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H](O)c1ccccc1 ZINC000045292492 650210923 /nfs/dbraw/zinc/21/09/23/650210923.db2.gz FRKMJUMVITVLMN-AWEZNQCLSA-N 0 0 276.267 2.879 20 5 CFBDRN CC[C@@H]1CCC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000856274071 635771868 /nfs/dbraw/zinc/77/18/68/635771868.db2.gz YSKAUQYZONDFDF-WDEREUQCSA-N 0 0 291.351 2.970 20 5 CFBDRN C[C@H](C(=O)NOCC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000856350717 635793386 /nfs/dbraw/zinc/79/33/86/635793386.db2.gz PBFFLTSQMDMFOD-JTQLQIEISA-N 0 0 278.308 2.546 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000856463247 635817687 /nfs/dbraw/zinc/81/76/87/635817687.db2.gz VXZMOXZLNHBJOA-MRVPVSSYSA-N 0 0 298.289 2.991 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC3CC2(C)C3)c1[N+](=O)[O-] ZINC000856510828 635829573 /nfs/dbraw/zinc/82/95/73/635829573.db2.gz JSQRAVGJETVGSW-UHFFFAOYSA-N 0 0 278.283 2.667 20 5 CFBDRN CCCC[C@H](C(=O)OCc1cn(C)nc1[N+](=O)[O-])C(C)C ZINC000857036011 635913428 /nfs/dbraw/zinc/91/34/28/635913428.db2.gz OCGSMKXOGPCIEM-LBPRGKRZSA-N 0 0 297.355 2.834 20 5 CFBDRN CSC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000857572022 635985500 /nfs/dbraw/zinc/98/55/00/635985500.db2.gz QODCOHHJUUNGJZ-UHFFFAOYSA-N 0 0 254.311 2.675 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1CC2(CC2(F)F)C1 ZINC000857571867 635985619 /nfs/dbraw/zinc/98/56/19/635985619.db2.gz OWZPJBANBOQHIC-UHFFFAOYSA-N 0 0 282.246 2.969 20 5 CFBDRN CCOc1cc(CN2Cc3ccncc3C2)ccc1[N+](=O)[O-] ZINC000857879435 636046035 /nfs/dbraw/zinc/04/60/35/636046035.db2.gz DPBXSENDBQGJRN-UHFFFAOYSA-N 0 0 299.330 2.904 20 5 CFBDRN COc1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000047339349 650231755 /nfs/dbraw/zinc/23/17/55/650231755.db2.gz MFTRKEKSUFHKPP-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])C1(C2CC2)CC1 ZINC000885735046 636116938 /nfs/dbraw/zinc/11/69/38/636116938.db2.gz WZRFWGJCILYYPS-UHFFFAOYSA-N 0 0 291.303 2.707 20 5 CFBDRN COC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000858120393 636132775 /nfs/dbraw/zinc/13/27/75/636132775.db2.gz CMHQGMBJVPMKNZ-SNVBAGLBSA-N 0 0 288.303 2.513 20 5 CFBDRN CCc1ccc(OC(=O)CCCCOC)c([N+](=O)[O-])c1 ZINC000115926918 636152520 /nfs/dbraw/zinc/15/25/20/636152520.db2.gz UECUWJVTMWRKKL-UHFFFAOYSA-N 0 0 281.308 2.879 20 5 CFBDRN CCCC(CCC)[S@@](=O)CCn1cc([N+](=O)[O-])cn1 ZINC000158827672 650238319 /nfs/dbraw/zinc/23/83/19/650238319.db2.gz QDIYVOVMNIOSTI-LJQANCHMSA-N 0 0 287.385 2.509 20 5 CFBDRN C[C@H](Nc1cnn(CCF)c1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000858491341 636270399 /nfs/dbraw/zinc/27/03/99/636270399.db2.gz FQDGTXKLRNQROL-VIFPVBQESA-N 0 0 293.302 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2cn[nH]c2)cc1 ZINC000858618994 636310300 /nfs/dbraw/zinc/31/03/00/636310300.db2.gz IMHDAIHMZFPCDP-CYBMUJFWSA-N 0 0 258.281 2.659 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC(F)(F)Br ZINC000858645786 636311431 /nfs/dbraw/zinc/31/14/31/636311431.db2.gz XMMSOBVGQSZEJG-UHFFFAOYSA-N 0 0 281.056 2.994 20 5 CFBDRN Nc1ccc(NC[C@@H]2Cc3ccccc3CO2)c([N+](=O)[O-])c1 ZINC000858660034 636319077 /nfs/dbraw/zinc/31/90/77/636319077.db2.gz NYPOWFFUIORKMN-AWEZNQCLSA-N 0 0 299.330 2.730 20 5 CFBDRN C[C@@H]1CN(c2cc(N)ccc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000858664784 636320488 /nfs/dbraw/zinc/32/04/88/636320488.db2.gz NHIQEUBJAGRMDH-DTORHVGOSA-N 0 0 285.294 2.905 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000858690523 636325934 /nfs/dbraw/zinc/32/59/34/636325934.db2.gz VAQPZHINVXNFBQ-VIFPVBQESA-N 0 0 296.710 2.922 20 5 CFBDRN CN(CC1(C)COC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000858705921 636328841 /nfs/dbraw/zinc/32/88/41/636328841.db2.gz OARZGUIZPDFLFZ-UHFFFAOYSA-N 0 0 270.716 2.721 20 5 CFBDRN CC(C)CC[C@@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000858708788 636329217 /nfs/dbraw/zinc/32/92/17/636329217.db2.gz YSASZRIEXQULOY-JTQLQIEISA-N 0 0 270.304 2.943 20 5 CFBDRN C[C@@H](Cc1nc(CCC(C)(C)[N+](=O)[O-])no1)c1ccco1 ZINC000858773768 636342172 /nfs/dbraw/zinc/34/21/72/636342172.db2.gz LNXQXESHOMAONZ-JTQLQIEISA-N 0 0 293.323 2.997 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)c1 ZINC000858777589 636343608 /nfs/dbraw/zinc/34/36/08/636343608.db2.gz LJDWRIDGTSFELU-SKNMHBRKSA-N 0 0 298.314 2.966 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3c(O)cccc3C2)n1 ZINC000858810705 636350208 /nfs/dbraw/zinc/35/02/08/636350208.db2.gz CVLIOUODXRIBJY-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000858831083 636352532 /nfs/dbraw/zinc/35/25/32/636352532.db2.gz FLZZAQGVQSZFDW-UHFFFAOYSA-N 0 0 294.351 2.785 20 5 CFBDRN CC[C@H](NC(=O)OCCF)c1cccc([N+](=O)[O-])c1 ZINC000859054856 636430005 /nfs/dbraw/zinc/43/00/05/636430005.db2.gz DUOGVGGEEWLFLA-NSHDSACASA-N 0 0 270.260 2.742 20 5 CFBDRN CCCOCCOC(=O)Oc1cccc(C)c1[N+](=O)[O-] ZINC000859080571 636444712 /nfs/dbraw/zinc/44/47/12/636444712.db2.gz NVJBPDZTEBBAPN-UHFFFAOYSA-N 0 0 283.280 2.845 20 5 CFBDRN CCCOC(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000859093771 636451076 /nfs/dbraw/zinc/45/10/76/636451076.db2.gz NCNYJXOEBNHZHM-UHFFFAOYSA-N 0 0 264.281 2.812 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000859499223 636582533 /nfs/dbraw/zinc/58/25/33/636582533.db2.gz PHSUMZUWMFMBGO-GHMZBOCLSA-N 0 0 279.292 2.563 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)OC[C@@H]2C[C@@H]2C)c1 ZINC000859498616 636582715 /nfs/dbraw/zinc/58/27/15/636582715.db2.gz LQUYSRRDQMQIRF-RYUDHWBXSA-N 0 0 293.319 2.871 20 5 CFBDRN CC(C)/C=C/C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859659917 636642497 /nfs/dbraw/zinc/64/24/97/636642497.db2.gz FUGLPCGLEJRQJH-ZZXKWVIFSA-N 0 0 295.266 2.672 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000859664596 636644678 /nfs/dbraw/zinc/64/46/78/636644678.db2.gz ICOYIWZVTGJPPY-SCZZXKLOSA-N 0 0 283.711 2.990 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000859766932 636684736 /nfs/dbraw/zinc/68/47/36/636684736.db2.gz NWTIPZIICOXJMB-LBPRGKRZSA-N 0 0 299.298 2.574 20 5 CFBDRN O=C(O[C@@H]1CCOC1)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859812014 636704031 /nfs/dbraw/zinc/70/40/31/636704031.db2.gz ZMNCDGQVEQKODS-GFCCVEGCSA-N 0 0 287.271 2.694 20 5 CFBDRN COCCCCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000116990598 636713745 /nfs/dbraw/zinc/71/37/45/636713745.db2.gz ITIDFIULHLKLQI-UHFFFAOYSA-N 0 0 267.281 2.568 20 5 CFBDRN CCC[C@@H](OCC)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000859833944 636716656 /nfs/dbraw/zinc/71/66/56/636716656.db2.gz IIBIRKOOCCTMME-CYBMUJFWSA-N 0 0 281.308 2.843 20 5 CFBDRN CSc1ccc(C(=O)OC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000194243286 636767024 /nfs/dbraw/zinc/76/70/24/636767024.db2.gz VEVGHRJJAWUJOD-SNVBAGLBSA-N 0 0 297.332 2.653 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000860140042 636800445 /nfs/dbraw/zinc/80/04/45/636800445.db2.gz BYWBHKYZOYYASD-SREGZZRCSA-N 0 0 299.348 2.759 20 5 CFBDRN CC[C@@H](C)CS(=O)(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000160028148 650289698 /nfs/dbraw/zinc/28/96/98/650289698.db2.gz NCXVPOALENESAU-SECBINFHSA-N 0 0 287.337 2.658 20 5 CFBDRN CCO[C@@H](C(=O)OCc1csc([N+](=O)[O-])c1)C(C)C ZINC000860372040 636870100 /nfs/dbraw/zinc/87/01/00/636870100.db2.gz ZDQJPICQGNRBOK-LLVKDONJSA-N 0 0 287.337 2.761 20 5 CFBDRN CCO[C@@H](C(=O)Oc1ccc(OC)cc1[N+](=O)[O-])C(C)C ZINC000860474803 636893720 /nfs/dbraw/zinc/89/37/20/636893720.db2.gz IIXKWHBRCURVBI-CYBMUJFWSA-N 0 0 297.307 2.570 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H]1CC[C@@H]1c1ccc(F)cc1 ZINC000860786616 636971854 /nfs/dbraw/zinc/97/18/54/636971854.db2.gz FSXJYLXESUOHTG-WQAKAFBOSA-N 0 0 281.283 2.528 20 5 CFBDRN CSc1ccc(/C=C/C(=O)O[C@@H](C)C[N+](=O)[O-])s1 ZINC000860788892 636972992 /nfs/dbraw/zinc/97/29/92/636972992.db2.gz VXQZAOUFGFXKPS-WGAJWPLOSA-N 0 0 287.362 2.692 20 5 CFBDRN O=C(/C=C/c1ccc(Cl)cc1)OCCC[N+](=O)[O-] ZINC000860911516 637014480 /nfs/dbraw/zinc/01/44/80/637014480.db2.gz NQARYXVBQZHTPD-QPJJXVBHSA-N 0 0 269.684 2.563 20 5 CFBDRN CC(C)(C)c1ccc(OCC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860911314 637014562 /nfs/dbraw/zinc/01/45/62/637014562.db2.gz IPFIJZNGYFHDHB-UHFFFAOYSA-N 0 0 295.335 2.573 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000860914119 637015525 /nfs/dbraw/zinc/01/55/25/637015525.db2.gz PGBUYNUFYHZWQR-BDAKNGLRSA-N 0 0 283.246 2.565 20 5 CFBDRN Cc1ccc(OCCCC(=O)OCCC[N+](=O)[O-])c(C)c1 ZINC000860917147 637016015 /nfs/dbraw/zinc/01/60/15/637016015.db2.gz GFGQRCPGMGKWEZ-UHFFFAOYSA-N 0 0 295.335 2.672 20 5 CFBDRN CC(C)(C(=O)OCCC[N+](=O)[O-])c1cccc(Cl)c1 ZINC000860915936 637016476 /nfs/dbraw/zinc/01/64/76/637016476.db2.gz ZUEBJANPBMRNHB-UHFFFAOYSA-N 0 0 285.727 2.828 20 5 CFBDRN CC(C)(C)SCCCC(=O)OCCC[N+](=O)[O-] ZINC000860917686 637016482 /nfs/dbraw/zinc/01/64/82/637016482.db2.gz QGCKHQZJFIWVNA-UHFFFAOYSA-N 0 0 263.359 2.508 20 5 CFBDRN Cc1cccc2c(C(=O)OCCC[N+](=O)[O-])coc21 ZINC000860918899 637017078 /nfs/dbraw/zinc/01/70/78/637017078.db2.gz GLQUHBYECQKFPI-UHFFFAOYSA-N 0 0 263.249 2.565 20 5 CFBDRN CC1(C)CCCC[C@@H]1CC(=O)OCCC[N+](=O)[O-] ZINC000860918078 637017284 /nfs/dbraw/zinc/01/72/84/637017284.db2.gz ZPWNLGFBDKCWNX-LLVKDONJSA-N 0 0 257.330 2.803 20 5 CFBDRN CC[C@H](C(=O)OCCC[N+](=O)[O-])c1ccc(F)cc1 ZINC000860921713 637017859 /nfs/dbraw/zinc/01/78/59/637017859.db2.gz VMFNLDMNCLSFKS-LBPRGKRZSA-N 0 0 269.272 2.529 20 5 CFBDRN Cc1cc2occ(C(=O)OCCC[N+](=O)[O-])c2cc1C ZINC000860920724 637018291 /nfs/dbraw/zinc/01/82/91/637018291.db2.gz GTLJMZWFLMJCCN-UHFFFAOYSA-N 0 0 277.276 2.873 20 5 CFBDRN O=C(Cc1cc(Cl)cc(Cl)c1)OCCC[N+](=O)[O-] ZINC000860923601 637019176 /nfs/dbraw/zinc/01/91/76/637019176.db2.gz YXOZJITTWDUXJM-UHFFFAOYSA-N 0 0 292.118 2.746 20 5 CFBDRN CC(C)C(=O)Oc1cc(Br)ccc1[N+](=O)[O-] ZINC000861180196 637103900 /nfs/dbraw/zinc/10/39/00/637103900.db2.gz AHJABJTZLLOYLB-UHFFFAOYSA-N 0 0 288.097 2.919 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2c(F)c(F)c(F)c(F)c2F)cn1 ZINC000861335883 637168264 /nfs/dbraw/zinc/16/82/64/637168264.db2.gz QRKLAAUIHRFQFO-UHFFFAOYSA-N 0 0 293.151 2.535 20 5 CFBDRN CCO[C@@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000861847793 637244979 /nfs/dbraw/zinc/24/49/79/637244979.db2.gz CQAQFXKKMKNDCC-IINYFYTJSA-N 0 0 292.335 2.587 20 5 CFBDRN CCCCOCC(=O)Oc1ccc(CC)cc1[N+](=O)[O-] ZINC000118601436 637251418 /nfs/dbraw/zinc/25/14/18/637251418.db2.gz AQODYLWYMXJXFY-UHFFFAOYSA-N 0 0 281.308 2.879 20 5 CFBDRN O=C(CSC(F)(F)F)Oc1cccc([N+](=O)[O-])c1 ZINC000075483131 650332413 /nfs/dbraw/zinc/33/24/13/650332413.db2.gz DOPSKMBQZBFTJW-UHFFFAOYSA-N 0 0 281.211 2.753 20 5 CFBDRN Cc1n[nH]c(C(=O)NC2(C)CCC(C)(C)CC2)c1[N+](=O)[O-] ZINC000862967492 637529644 /nfs/dbraw/zinc/52/96/44/637529644.db2.gz LDMGCMMGLYXDRT-UHFFFAOYSA-N 0 0 294.355 2.715 20 5 CFBDRN Cc1ccc(OC(=O)CCOC(C)C)c([N+](=O)[O-])c1 ZINC000075723955 650346035 /nfs/dbraw/zinc/34/60/35/650346035.db2.gz ORNOXAVAEXCEJT-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN CCO[C@H](COc1ccc([N+](=O)[O-])c(F)c1)C1CC1 ZINC000863297827 637620237 /nfs/dbraw/zinc/62/02/37/637620237.db2.gz KCJLEMQXNDTJFK-CYBMUJFWSA-N 0 0 269.272 2.928 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(C)nc1 ZINC000119412314 637636271 /nfs/dbraw/zinc/63/62/71/637636271.db2.gz FDNHZXUHZIFDFU-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2csc(C3CCCCC3)n2)n1 ZINC000863375028 637644017 /nfs/dbraw/zinc/64/40/17/637644017.db2.gz XLDANSPFEGIKFM-UHFFFAOYSA-N 0 0 293.352 2.739 20 5 CFBDRN COc1ccc(OCc2cc(Cl)n(C)n2)cc1[N+](=O)[O-] ZINC000863469694 637668688 /nfs/dbraw/zinc/66/86/88/637668688.db2.gz IFOGDETZFUHRPF-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN C[C@]12CCCC[C@H]1CN2C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000863588104 637703520 /nfs/dbraw/zinc/70/35/20/637703520.db2.gz AWLLXPRCXCAYAL-BONVTDFDSA-N 0 0 289.335 2.582 20 5 CFBDRN Nc1ccc(C(=O)N2CCCC3(CC3)CC2)cc1[N+](=O)[O-] ZINC000863641939 637722689 /nfs/dbraw/zinc/72/26/89/637722689.db2.gz GNQDIKCXQGGDQG-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN O=C(C(F)F)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000863947328 637819879 /nfs/dbraw/zinc/81/98/79/637819879.db2.gz WWZAOWGVJFEKDX-UHFFFAOYSA-N 0 0 270.235 2.529 20 5 CFBDRN CC[C@H](C)Nc1nnc(-c2cccc([N+](=O)[O-])c2)o1 ZINC000863980442 637831019 /nfs/dbraw/zinc/83/10/19/637831019.db2.gz KWVFOLJDOUFANC-QMMMGPOBSA-N 0 0 262.269 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc3nonc3c2)cc1 ZINC000864000995 637834425 /nfs/dbraw/zinc/83/44/25/637834425.db2.gz LWNJSZMVLUYZPX-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN C[C@H]1C[C@@H]1Nc1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000864367638 637953181 /nfs/dbraw/zinc/95/31/81/637953181.db2.gz DJAAYDOKAIXMQB-XPUUQOCRSA-N 0 0 264.310 2.867 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000864404688 637963510 /nfs/dbraw/zinc/96/35/10/637963510.db2.gz LRLQHRNFAOMVQJ-NZBQSGDWSA-N 0 0 260.293 2.825 20 5 CFBDRN CN(C(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CCCC1 ZINC000864682308 638066221 /nfs/dbraw/zinc/06/62/21/638066221.db2.gz XIWMZWBMANIPDR-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000864905387 638121237 /nfs/dbraw/zinc/12/12/37/638121237.db2.gz BRUNFFDVXGQFJB-IXOXFDKPSA-N 0 0 274.320 2.503 20 5 CFBDRN CCOCCCCCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000864946984 638133435 /nfs/dbraw/zinc/13/34/35/638133435.db2.gz VECUCVPJHVDWEU-UHFFFAOYSA-N 0 0 294.351 2.808 20 5 CFBDRN Cc1c(OC(=O)c2ccncc2)cccc1[N+](=O)[O-] ZINC000076608847 650395844 /nfs/dbraw/zinc/39/58/44/650395844.db2.gz LUJLJIOYSGQIEK-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN CC(=O)NCCCSCc1ccc(C)c([N+](=O)[O-])c1 ZINC000865279752 638254297 /nfs/dbraw/zinc/25/42/97/638254297.db2.gz PEIVKKOSRBIJKP-UHFFFAOYSA-N 0 0 282.365 2.663 20 5 CFBDRN C[C@H](C(=O)C(C)(C)C)n1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000865351796 638278177 /nfs/dbraw/zinc/27/81/77/638278177.db2.gz MAFXGUGMSPECBG-MRVPVSSYSA-N 0 0 292.291 2.679 20 5 CFBDRN Cc1cc(=O)n(CC2CC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000865359515 638283038 /nfs/dbraw/zinc/28/30/38/638283038.db2.gz NKXNEQZQPQWAQG-UHFFFAOYSA-N 0 0 262.309 2.645 20 5 CFBDRN Cc1ccc(Cn2cnc(C3CCC3)cc2=O)cc1[N+](=O)[O-] ZINC000865362413 638284007 /nfs/dbraw/zinc/28/40/07/638284007.db2.gz UQQLJUVWITYSPV-UHFFFAOYSA-N 0 0 299.330 2.776 20 5 CFBDRN C[C@@H](Cn1cc([N+](=O)[O-])cc(F)c1=O)CC(C)(C)C ZINC000865369545 638289034 /nfs/dbraw/zinc/28/90/34/638289034.db2.gz ATFGKWYCCIBWGR-SECBINFHSA-N 0 0 270.304 2.968 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCC2(C3CC3)CC2)cc1F ZINC000865637258 638478778 /nfs/dbraw/zinc/47/87/78/638478778.db2.gz YVNSOYACAWAKLF-UHFFFAOYSA-N 0 0 280.299 2.828 20 5 CFBDRN Cc1ccc(OCc2ncc(Cl)n2C)c([N+](=O)[O-])c1 ZINC000015748357 638479064 /nfs/dbraw/zinc/47/90/64/638479064.db2.gz NHBGGODBBFNOMH-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN COc1cncc(C[NH2+]Cc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000865786755 638574089 /nfs/dbraw/zinc/57/40/89/638574089.db2.gz AXYNAVTXYGWVMP-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN CN(CCF)c1cccc(Br)c1[N+](=O)[O-] ZINC000865838592 638604073 /nfs/dbraw/zinc/60/40/73/638604073.db2.gz KJWHDXSYILDXOK-UHFFFAOYSA-N 0 0 277.093 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](CF)C2)c(C(F)F)c1 ZINC000865874119 638632850 /nfs/dbraw/zinc/63/28/50/638632850.db2.gz RQFBYRWQZDUWCG-VIFPVBQESA-N 0 0 290.241 2.707 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865902908 638651058 /nfs/dbraw/zinc/65/10/58/638651058.db2.gz KQKHGEXJJXJLIL-XCBNKYQSSA-N 0 0 286.328 2.532 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(N3CCCC3)C2)c(Cl)c1 ZINC000865936715 638666470 /nfs/dbraw/zinc/66/64/70/638666470.db2.gz FYNILKYYJUISGE-UHFFFAOYSA-N 0 0 281.743 2.533 20 5 CFBDRN CSC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000865990372 638689728 /nfs/dbraw/zinc/68/97/28/638689728.db2.gz NNMVHYIAHXFNOW-UHFFFAOYSA-N 0 0 252.339 2.927 20 5 CFBDRN CCC[C@H](CCO)Nc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000866003769 638694644 /nfs/dbraw/zinc/69/46/44/638694644.db2.gz VYRIBRFVUXUUSJ-LLVKDONJSA-N 0 0 280.324 2.760 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000866042949 638708470 /nfs/dbraw/zinc/70/84/70/638708470.db2.gz HHZPRXSJHHPLMW-VHSKPIJISA-N 0 0 284.287 2.720 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1[C@@H]2OC[C@H]3C[C@H]1C[C@H]23 ZINC000866047538 638711760 /nfs/dbraw/zinc/71/17/60/638711760.db2.gz ZKUZXMPFVIYRLX-GLRJYAJPSA-N 0 0 274.320 2.739 20 5 CFBDRN Cc1cc(N[C@@H]2CC(C)(C)CC[C@H]2O)ncc1[N+](=O)[O-] ZINC000866049125 638712704 /nfs/dbraw/zinc/71/27/04/638712704.db2.gz FYIGZMSQHLVLIW-ZYHUDNBSSA-N 0 0 279.340 2.650 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H]1[C@H](O)c1ccccc1 ZINC000866051334 638714004 /nfs/dbraw/zinc/71/40/04/638714004.db2.gz DHBFGJWXYXHZTR-UKRRQHHQSA-N 0 0 299.330 2.692 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2ccccc2[N+](=O)[O-])C1 ZINC000866068988 638721587 /nfs/dbraw/zinc/72/15/87/638721587.db2.gz HFLXISJPQXCWRQ-GHMZBOCLSA-N 0 0 250.298 2.599 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000866072063 638723343 /nfs/dbraw/zinc/72/33/43/638723343.db2.gz SGLMJVHGSBAAFB-SCZZXKLOSA-N 0 0 285.731 2.647 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000866072066 638723637 /nfs/dbraw/zinc/72/36/37/638723637.db2.gz SGLMJVHGSBAAFB-WCBMZHEXSA-N 0 0 285.731 2.647 20 5 CFBDRN CS[C@H]1C[C@H](Nc2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000866101281 638737946 /nfs/dbraw/zinc/73/79/46/638737946.db2.gz JTFZYMJQVZLXQB-CZMCAQCFSA-N 0 0 290.348 2.844 20 5 CFBDRN CS[C@H]1C[C@H](Nc2nc3sccn3c2[N+](=O)[O-])C1 ZINC000866101737 638738132 /nfs/dbraw/zinc/73/81/32/638738132.db2.gz SGYBZZXNCAWPPQ-LJGSYFOKSA-N 0 0 284.366 2.610 20 5 CFBDRN Cc1nc(N[C@H](C2CCC2)[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000866141187 638755659 /nfs/dbraw/zinc/75/56/59/638755659.db2.gz IRXYHKGOKVCEMZ-IUODEOHRSA-N 0 0 291.351 2.915 20 5 CFBDRN Nc1ccc(N[C@H](C2CCC2)[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000866142397 638756453 /nfs/dbraw/zinc/75/64/53/638756453.db2.gz SWGOYZHPKQOVGG-IAQYHMDHSA-N 0 0 291.351 2.794 20 5 CFBDRN Nc1ccc(N[C@@H](C2CCC2)[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000866142398 638756681 /nfs/dbraw/zinc/75/66/81/638756681.db2.gz SWGOYZHPKQOVGG-NHYWBVRUSA-N 0 0 291.351 2.794 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H](OC2CCC2)CC1 ZINC000866147229 638757973 /nfs/dbraw/zinc/75/79/73/638757973.db2.gz UDMDSXQJWDLYFN-ZDUSSCGKSA-N 0 0 291.351 2.918 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2C[C@@H]3CC=CC[C@@H]3C2)cc1F ZINC000866162398 638761489 /nfs/dbraw/zinc/76/14/89/638761489.db2.gz ZERBNFUVOFYTSY-PHIMTYICSA-N 0 0 292.310 2.629 20 5 CFBDRN COC(=O)[C@]12C[C@H]1C[C@H](Nc1cc(C)sc1[N+](=O)[O-])C2 ZINC000866170364 638763197 /nfs/dbraw/zinc/76/31/97/638763197.db2.gz XMIAMJGUFDRAIC-ZDMBXUJBSA-N 0 0 296.348 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC3(CO2)CCOCC3)cc1 ZINC000866178212 638764349 /nfs/dbraw/zinc/76/43/49/638764349.db2.gz OZLVDXCQHFTQKQ-CQSZACIVSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1cc(NCc2ccc(C)c([N+](=O)[O-])c2)nc(C)n1 ZINC000866181805 638764759 /nfs/dbraw/zinc/76/47/59/638764759.db2.gz MWAGPZMIWVVLJL-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1cnc(C)c(NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000866185034 638765446 /nfs/dbraw/zinc/76/54/46/638765446.db2.gz WLAXZUWZTDYQHV-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CN1Cc2ccccc2[C@@H]1CNc1ccc([N+](=O)[O-])nc1 ZINC000866194806 638767203 /nfs/dbraw/zinc/76/72/03/638767203.db2.gz KHTINCPZSFDVES-AWEZNQCLSA-N 0 0 284.319 2.588 20 5 CFBDRN Cc1ccnc(NC[C@@H]2c3ccccc3CN2C)c1[N+](=O)[O-] ZINC000866195104 638768028 /nfs/dbraw/zinc/76/80/28/638768028.db2.gz NDDVGZLXWZWYEE-CQSZACIVSA-N 0 0 298.346 2.897 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866202161 638769039 /nfs/dbraw/zinc/76/90/39/638769039.db2.gz ZPUNNUOBEHMLNL-BDAKNGLRSA-N 0 0 270.379 2.846 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H]2CSC[C@H]2C1 ZINC000866204389 638769737 /nfs/dbraw/zinc/76/97/37/638769737.db2.gz XATNNSUUFYEAGI-RKDXNWHRSA-N 0 0 299.783 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3CSC[C@H]3C2)c(F)c1 ZINC000866207507 638770502 /nfs/dbraw/zinc/77/05/02/638770502.db2.gz PNSCBWVMQHIKOC-VHSXEESVSA-N 0 0 282.340 2.923 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](O)CC(C)(C)C2)c1 ZINC000866226464 638773905 /nfs/dbraw/zinc/77/39/05/638773905.db2.gz KYMAHGLOSLVJQU-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc(N2C[C@H](O)CC(C)(C)C2)c([N+](=O)[O-])s1 ZINC000866228142 638774676 /nfs/dbraw/zinc/77/46/76/638774676.db2.gz HZXYGKRSKZXTEQ-SECBINFHSA-N 0 0 270.354 2.562 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC(C(C)(C)O)CC2)c1 ZINC000866231284 638775596 /nfs/dbraw/zinc/77/55/96/638775596.db2.gz VRBZAAPVWFAKFM-UHFFFAOYSA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])s1)c1ccnn1C ZINC000866250731 638780181 /nfs/dbraw/zinc/78/01/81/638780181.db2.gz CSIIRRUVVOZBGA-SSDOTTSWSA-N 0 0 252.299 2.563 20 5 CFBDRN Cc1cc(N[C@@H](C)c2ccnn2C)c(F)cc1[N+](=O)[O-] ZINC000866252493 638780696 /nfs/dbraw/zinc/78/06/96/638780696.db2.gz KNHIXJWRTDWSMI-VIFPVBQESA-N 0 0 278.287 2.949 20 5 CFBDRN CC(=O)c1ccc(N2CC(OC(C)(C)C)C2)c([N+](=O)[O-])c1 ZINC000866279486 638788266 /nfs/dbraw/zinc/78/82/66/638788266.db2.gz VHFRMJCYAVZINA-UHFFFAOYSA-N 0 0 292.335 2.801 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000866301394 638792099 /nfs/dbraw/zinc/79/20/99/638792099.db2.gz KPQSVSTVWCQDJG-KOLCDFICSA-N 0 0 280.324 2.759 20 5 CFBDRN CCON(CC)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000866306870 638793850 /nfs/dbraw/zinc/79/38/50/638793850.db2.gz OATJAAZGGNWEFR-UHFFFAOYSA-N 0 0 269.301 2.555 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866338316 638798981 /nfs/dbraw/zinc/79/89/81/638798981.db2.gz PSYFUSVUJACSNF-VHSXEESVSA-N 0 0 280.299 2.533 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866540711 638838642 /nfs/dbraw/zinc/83/86/42/638838642.db2.gz BNRSAJVQUKZDTC-NXEZZACHSA-N 0 0 280.299 2.676 20 5 CFBDRN CC(C)=CCCNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867731597 638895022 /nfs/dbraw/zinc/89/50/22/638895022.db2.gz IDQTXVOODHRIHB-UHFFFAOYSA-N 0 0 280.299 2.749 20 5 CFBDRN O=C(NCCCCC1CC1)NCc1ccccc1[N+](=O)[O-] ZINC000868062746 638919786 /nfs/dbraw/zinc/91/97/86/638919786.db2.gz PGTUSCOPUAZLCW-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN Cc1ccc(CNC(=O)NCC2(C)CC2)cc1[N+](=O)[O-] ZINC000868334661 638938669 /nfs/dbraw/zinc/93/86/69/638938669.db2.gz QUFWWDHNZZMFHG-UHFFFAOYSA-N 0 0 277.324 2.503 20 5 CFBDRN Cc1ccc(CNC(=O)NC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000868540581 638954525 /nfs/dbraw/zinc/95/45/25/638954525.db2.gz KEWVLYPCSYRPDO-UHFFFAOYSA-N 0 0 299.277 2.500 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CC[C@@H]1C(F)F ZINC000868601727 638960527 /nfs/dbraw/zinc/96/05/27/638960527.db2.gz ALRVVSBORPSZHW-SECBINFHSA-N 0 0 290.653 2.728 20 5 CFBDRN C/C(=C/C(=O)N1CC[C@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000868604655 638960990 /nfs/dbraw/zinc/96/09/90/638960990.db2.gz VPGPGSXNTSPBRP-LAUAKBEESA-N 0 0 296.273 2.864 20 5 CFBDRN C[C@H](NC(=O)CC1CSC1)c1ccc([N+](=O)[O-])cc1 ZINC000868609762 638961596 /nfs/dbraw/zinc/96/15/96/638961596.db2.gz YPWQLHMRGUVXRR-VIFPVBQESA-N 0 0 280.349 2.525 20 5 CFBDRN CN(C(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC(C)(C)C1 ZINC000868848514 638985748 /nfs/dbraw/zinc/98/57/48/638985748.db2.gz BHPSNFUOSMVOFZ-UHFFFAOYSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868878574 638988508 /nfs/dbraw/zinc/98/85/08/638988508.db2.gz KRCPHHUBVSMQHZ-JTQLQIEISA-N 0 0 294.326 2.923 20 5 CFBDRN CCCCN(C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000869052978 639015112 /nfs/dbraw/zinc/01/51/12/639015112.db2.gz GXXIMBJWNWFKGS-UHFFFAOYSA-N 0 0 279.340 2.845 20 5 CFBDRN Cc1ccc(CNC(=O)N2CC[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000869052841 639015494 /nfs/dbraw/zinc/01/54/94/639015494.db2.gz FOOXSKKWAARCIR-CMPLNLGQSA-N 0 0 291.351 2.843 20 5 CFBDRN CN(CCOC(=O)C1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000077942105 650464818 /nfs/dbraw/zinc/46/48/18/650464818.db2.gz QYASHRYUFRJRKA-UHFFFAOYSA-N 0 0 290.319 2.540 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]21 ZINC000869212771 639082198 /nfs/dbraw/zinc/08/21/98/639082198.db2.gz KKMJKIJKRQXWJN-AAEUAGOBSA-N 0 0 292.310 2.677 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000869317184 639171487 /nfs/dbraw/zinc/17/14/87/639171487.db2.gz NRTZXXGBELFCLL-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000869317509 639171826 /nfs/dbraw/zinc/17/18/26/639171826.db2.gz DAKJWCRUTRXXJM-DTWKUNHWSA-N 0 0 299.710 2.830 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000869352570 639191367 /nfs/dbraw/zinc/19/13/67/639191367.db2.gz IXSYGMAMEASYDH-JTQLQIEISA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1cc(C(=O)NCC[C@@H]2CCSC2)cc([N+](=O)[O-])c1 ZINC000869608275 639319982 /nfs/dbraw/zinc/31/99/82/639319982.db2.gz SIYSNEOTSATQSP-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@]12CCC[C@H]1OCC2 ZINC000869715457 639367243 /nfs/dbraw/zinc/36/72/43/639367243.db2.gz FZZOMDYADKNZRS-HIFRSBDPSA-N 0 0 291.303 2.597 20 5 CFBDRN C[C@@H](OC(=O)C1(F)CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000869728537 639372397 /nfs/dbraw/zinc/37/23/97/639372397.db2.gz CPXNLHFMNVRSIU-SNVBAGLBSA-N 0 0 297.282 2.718 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCCC23CC3)c(N)c([N+](=O)[O-])c1 ZINC000869747441 639379163 /nfs/dbraw/zinc/37/91/63/639379163.db2.gz DCLYQBSNOFISEU-GFCCVEGCSA-N 0 0 289.335 2.548 20 5 CFBDRN CC[C@@H](C)COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869896583 639457546 /nfs/dbraw/zinc/45/75/46/639457546.db2.gz DYAFGDCCNZOFCF-MRVPVSSYSA-N 0 0 255.245 2.937 20 5 CFBDRN CO[C@H](COC(=O)c1c(F)cccc1[N+](=O)[O-])C1CCC1 ZINC000869899016 639460183 /nfs/dbraw/zinc/46/01/83/639460183.db2.gz RCZQYMOFJOKGTA-GFCCVEGCSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)c([N+](=O)[O-])c1 ZINC000870155973 639529212 /nfs/dbraw/zinc/52/92/12/639529212.db2.gz FKKSYOGTEMAOLX-GMTAPVOTSA-N 0 0 279.292 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2CCC2)c2nonc21 ZINC000122976833 639534222 /nfs/dbraw/zinc/53/42/22/639534222.db2.gz HGTGPDHWTIPLRN-UHFFFAOYSA-N 0 0 262.269 2.733 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2C[C@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000870254650 639566007 /nfs/dbraw/zinc/56/60/07/639566007.db2.gz JDRNPNNCOJKPQR-JBLDHEPKSA-N 0 0 279.292 2.622 20 5 CFBDRN O=C(OC1CC1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000870306454 639590331 /nfs/dbraw/zinc/59/03/31/639590331.db2.gz XKDUSOQIHDOFGK-UHFFFAOYSA-N 0 0 261.277 2.722 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCc1ccc(C(F)F)cc1 ZINC000870386115 639619092 /nfs/dbraw/zinc/61/90/92/639619092.db2.gz ACOFMNPHILJZFU-SECBINFHSA-N 0 0 287.262 2.765 20 5 CFBDRN COc1ccc2cc(C(=O)O[C@@H](C)C[N+](=O)[O-])sc2c1 ZINC000870387445 639619420 /nfs/dbraw/zinc/61/94/20/639619420.db2.gz OERHJJWBCMQPHM-QMMMGPOBSA-N 0 0 295.316 2.732 20 5 CFBDRN CCC(C)(C)NC(=O)[C@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000078598214 650518036 /nfs/dbraw/zinc/51/80/36/650518036.db2.gz PCIKJAHAOAUPHF-VIFPVBQESA-N 0 0 298.314 2.806 20 5 CFBDRN COCCCC(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870699535 639778147 /nfs/dbraw/zinc/77/81/47/639778147.db2.gz GTDIVYNGIIYYTH-UHFFFAOYSA-N 0 0 281.308 2.681 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000870702304 639779071 /nfs/dbraw/zinc/77/90/71/639779071.db2.gz AETVKPUKKWEDLW-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C1(F)CC1 ZINC000870700889 639779080 /nfs/dbraw/zinc/77/90/80/639779080.db2.gz KVUCMRKVPGYFGW-UHFFFAOYSA-N 0 0 267.256 2.757 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000870705432 639781903 /nfs/dbraw/zinc/78/19/03/639781903.db2.gz LXDYSBWDAUTSLY-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000870718022 639788252 /nfs/dbraw/zinc/78/82/52/639788252.db2.gz WKEOWIIYNZCTFI-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)CCOCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000079388042 650587177 /nfs/dbraw/zinc/58/71/77/650587177.db2.gz JUUSZTGYMJJIDA-UHFFFAOYSA-N 0 0 281.308 2.814 20 5 CFBDRN O=C(CCc1ccco1)OCc1cccc([N+](=O)[O-])c1 ZINC000192794449 650596904 /nfs/dbraw/zinc/59/69/04/650596904.db2.gz VOUZZDCTVGKWMI-UHFFFAOYSA-N 0 0 275.260 2.864 20 5 CFBDRN C[C@H]1CC=CC[C@@H]1COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000192897120 650606721 /nfs/dbraw/zinc/60/67/21/650606721.db2.gz AARDHVBGTGXMJO-VHSXEESVSA-N 0 0 265.265 2.947 20 5 CFBDRN CCC[C@H](C)CC(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870827597 641089788 /nfs/dbraw/zinc/08/97/88/641089788.db2.gz BKSLXCTVHFEDIT-ZBOXLXRLSA-N 0 0 295.339 2.864 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000871091488 641197868 /nfs/dbraw/zinc/19/78/68/641197868.db2.gz XIYWSOBBBFRLFA-JTQLQIEISA-N 0 0 256.346 2.517 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000871095393 641202570 /nfs/dbraw/zinc/20/25/70/641202570.db2.gz RWAXQUHVDKLBNQ-IRUJWGPZSA-N 0 0 294.376 2.709 20 5 CFBDRN COC(C)(C)CCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000871252783 641264016 /nfs/dbraw/zinc/26/40/16/641264016.db2.gz XCSZQSGTZONBGB-RMKNXTFCSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1cc([N+](=O)[O-])ccc1F ZINC000080110233 650644142 /nfs/dbraw/zinc/64/41/42/650644142.db2.gz SPNLWVFVYKASGX-SECBINFHSA-N 0 0 264.256 2.572 20 5 CFBDRN Cc1cc(C(=O)NC2CC3(CSC3)C2)cc([N+](=O)[O-])c1 ZINC000871510494 641333384 /nfs/dbraw/zinc/33/33/84/641333384.db2.gz PDKBPTXLGSKMKO-UHFFFAOYSA-N 0 0 292.360 2.529 20 5 CFBDRN Cc1c(C(=O)NC2CC3(CSC3)C2)cccc1[N+](=O)[O-] ZINC000871514184 641334768 /nfs/dbraw/zinc/33/47/68/641334768.db2.gz JTINZNJPZYQBDY-UHFFFAOYSA-N 0 0 292.360 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H]3CC=CC[C@@H]3C2)c1 ZINC000871518518 641338555 /nfs/dbraw/zinc/33/85/55/641338555.db2.gz JVQAUNHPHAEVOC-BETUJISGSA-N 0 0 286.331 2.941 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H]1CC2(CCC2)CO1 ZINC000871631758 641374564 /nfs/dbraw/zinc/37/45/64/641374564.db2.gz XLSILYRWOXTAQO-GFCCVEGCSA-N 0 0 293.319 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nccn2CC(F)F)cc1 ZINC000871630743 641375422 /nfs/dbraw/zinc/37/54/22/641375422.db2.gz PXLIGMPVOPYIDL-UHFFFAOYSA-N 0 0 283.234 2.635 20 5 CFBDRN COc1ccc(OC[C@@H]2CC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000871637533 641376978 /nfs/dbraw/zinc/37/69/78/641376978.db2.gz LGHHXWUOAJICQV-LBPRGKRZSA-N 0 0 293.319 2.941 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H]1CC2(CCC2)CO1 ZINC000871650678 641382622 /nfs/dbraw/zinc/38/26/22/641382622.db2.gz CVTUCRWBKCITPY-GFCCVEGCSA-N 0 0 293.319 2.941 20 5 CFBDRN Cc1cnc(OCc2nc3c(o2)CCCC3)c([N+](=O)[O-])c1 ZINC000871656453 641385832 /nfs/dbraw/zinc/38/58/32/641385832.db2.gz KGCMPKPIIQLNJV-UHFFFAOYSA-N 0 0 289.291 2.744 20 5 CFBDRN CO[C@H]1C[C@@H](COc2cccc(C)c2[N+](=O)[O-])C1 ZINC000871663779 641388359 /nfs/dbraw/zinc/38/83/59/641388359.db2.gz QYCBEBVQAAKIIV-PHIMTYICSA-N 0 0 251.282 2.707 20 5 CFBDRN CO[C@H]1C[C@@H](Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)C1 ZINC000871665863 641390326 /nfs/dbraw/zinc/39/03/26/641390326.db2.gz XMICTSKFBLYYKJ-WGRBQBNCSA-N 0 0 287.319 2.883 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2C[C@@H]3CCC[C@@H]3O2)nc1C1CC1 ZINC000871667289 641392802 /nfs/dbraw/zinc/39/28/02/641392802.db2.gz GOBLLPKDAPVAME-GVXVVHGQSA-N 0 0 277.324 2.626 20 5 CFBDRN C/C(=C/Cl)CSCCn1c(C)ncc1[N+](=O)[O-] ZINC000871669953 641394104 /nfs/dbraw/zinc/39/41/04/641394104.db2.gz ILKLBGQOCGRLOX-YVMONPNESA-N 0 0 275.761 2.976 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1C ZINC000871675569 641397685 /nfs/dbraw/zinc/39/76/85/641397685.db2.gz HNECYJGIXFMZNL-SGMGOOAPSA-N 0 0 292.335 2.943 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@H]3CCC[C@H]3O2)c1 ZINC000871677421 641398571 /nfs/dbraw/zinc/39/85/71/641398571.db2.gz JWOHXMSKICPNNY-SCDSUCTJSA-N 0 0 293.319 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H](C)C(C)(C)C ZINC000871742087 641433272 /nfs/dbraw/zinc/43/32/72/641433272.db2.gz CGCMLQMONPOKSK-VIFPVBQESA-N 0 0 252.314 2.747 20 5 CFBDRN NC(=NOCc1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000871764453 641447096 /nfs/dbraw/zinc/44/70/96/641447096.db2.gz JRQAOMMCNNWPSV-UHFFFAOYSA-N 0 0 267.260 2.532 20 5 CFBDRN Cc1ccc(CON=C(N)C2CCC2)cc1[N+](=O)[O-] ZINC000871766860 641449914 /nfs/dbraw/zinc/44/99/14/641449914.db2.gz DSNKJZIKKKIGKE-UHFFFAOYSA-N 0 0 263.297 2.702 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)[O-])C[C@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000871875560 641493838 /nfs/dbraw/zinc/49/38/38/641493838.db2.gz LTLRRIQESQKAHD-MPKXVKKWSA-N 0 0 292.335 2.574 20 5 CFBDRN CCOCCOC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000123904901 641541605 /nfs/dbraw/zinc/54/16/05/641541605.db2.gz SDTAPKNEMQLOID-JTQLQIEISA-N 0 0 299.348 2.655 20 5 CFBDRN C[C@@H]([NH2+]C1CCOCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000872025371 641557023 /nfs/dbraw/zinc/55/70/23/641557023.db2.gz LTEKYGWXZCGGJN-SECBINFHSA-N 0 0 268.288 2.564 20 5 CFBDRN C[C@H](NCCOC(F)(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000872064358 641596769 /nfs/dbraw/zinc/59/67/69/641596769.db2.gz GEKLMFJOZBSPBM-ZETCQYMHSA-N 0 0 296.220 2.921 20 5 CFBDRN C[C@@H](NCCOCC(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000872065373 641597855 /nfs/dbraw/zinc/59/78/55/641597855.db2.gz ZOVPEBRVMXUSSA-MRVPVSSYSA-N 0 0 292.257 2.666 20 5 CFBDRN CC(C)(CCC(=O)N1CCCCc2cccnc21)[N+](=O)[O-] ZINC000872293316 641745769 /nfs/dbraw/zinc/74/57/69/641745769.db2.gz OWCSMXXAZSOICQ-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN CC(=O)C1CCN(c2ccc3cnccc3c2[N+](=O)[O-])CC1 ZINC000872668185 641889928 /nfs/dbraw/zinc/88/99/28/641889928.db2.gz YTLWKIADCSFTIC-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CC(C)(C)CO1 ZINC000872687083 641896625 /nfs/dbraw/zinc/89/66/25/641896625.db2.gz TXIMEEKWGUIHAE-ZDUSSCGKSA-N 0 0 294.351 2.968 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000872697910 641902034 /nfs/dbraw/zinc/90/20/34/641902034.db2.gz CTYIDQCMEDNCBU-KGYLQXTDSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc(NCCC[C@@H]2CCOC2=O)c([N+](=O)[O-])s1 ZINC000872702278 641904897 /nfs/dbraw/zinc/90/48/97/641904897.db2.gz YPCQOSOVGJPHSS-SECBINFHSA-N 0 0 284.337 2.720 20 5 CFBDRN Cc1csc([C@H]2CCN(c3cccnc3[N+](=O)[O-])C2)n1 ZINC000872734426 641916544 /nfs/dbraw/zinc/91/65/44/641916544.db2.gz JADVWWPRDMZRDD-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCO[C@@H](C(F)(F)F)CC1 ZINC000872750730 641920575 /nfs/dbraw/zinc/92/05/75/641920575.db2.gz XCGMFJQACHXUAJ-MRVPVSSYSA-N 0 0 296.270 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C(F)(F)F)CC2)s1 ZINC000872751276 641920983 /nfs/dbraw/zinc/92/09/83/641920983.db2.gz MIDOZEVXZFIRPM-ZETCQYMHSA-N 0 0 296.270 2.814 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@@H](O)c1ccccc1 ZINC000872774610 641928691 /nfs/dbraw/zinc/92/86/91/641928691.db2.gz LJIFLUSFPNBOKA-PWSUYJOCSA-N 0 0 293.348 2.975 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3CC34CCC4)c21 ZINC000872775050 641929718 /nfs/dbraw/zinc/92/97/18/641929718.db2.gz MRVDOQSFNVSSBT-NSHDSACASA-N 0 0 270.292 2.893 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000872777312 641931565 /nfs/dbraw/zinc/93/15/65/641931565.db2.gz YZAVDOQPPLGELS-IWXRYERYSA-N 0 0 277.324 2.547 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000873027049 641989149 /nfs/dbraw/zinc/98/91/49/641989149.db2.gz RELCEXXKTHPHNN-GXFFZTMASA-N 0 0 289.335 2.898 20 5 CFBDRN O=C(Nc1cccc(Cl)n1)c1ccccc1[N+](=O)[O-] ZINC000080796777 650709912 /nfs/dbraw/zinc/70/99/12/650709912.db2.gz OKJCQIUQLXHDNP-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CCc1nn(C)c(NC[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000124392804 642010624 /nfs/dbraw/zinc/01/06/24/642010624.db2.gz GPRRYWWKYWEYGW-SECBINFHSA-N 0 0 268.361 2.985 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC(=O)CC1CC1 ZINC000873438523 642204783 /nfs/dbraw/zinc/20/47/83/642204783.db2.gz PJKFOMJMDNJPGB-UHFFFAOYSA-N 0 0 291.303 2.738 20 5 CFBDRN CSCCOC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873438231 642204797 /nfs/dbraw/zinc/20/47/97/642204797.db2.gz GGIFJAIRRNYXNF-UHFFFAOYSA-N 0 0 269.322 2.731 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC1(O)CCCC1 ZINC000873511964 642228843 /nfs/dbraw/zinc/22/88/43/642228843.db2.gz PTWZKOKPYJMGGF-UHFFFAOYSA-N 0 0 293.319 2.674 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1csc(C2CCCC2)n1 ZINC000873533602 642238277 /nfs/dbraw/zinc/23/82/77/642238277.db2.gz DWXANKLKWBVGIM-VIFPVBQESA-N 0 0 298.364 2.552 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC2CCSCC2)c1 ZINC000873558489 642249372 /nfs/dbraw/zinc/24/93/72/642249372.db2.gz SWFWENXIQQQWIB-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN C[C@@H](OC(=O)/C=C/[C@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000873579859 642259146 /nfs/dbraw/zinc/25/91/46/642259146.db2.gz NGDVXTSYLDJYEV-JIVBQCDMSA-N 0 0 291.303 2.792 20 5 CFBDRN CCO[C@@H]1CCC[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000873596305 642267050 /nfs/dbraw/zinc/26/70/50/642267050.db2.gz NZHQBEQQOAFSSI-NEPJUHHUSA-N 0 0 287.356 2.713 20 5 CFBDRN C[C@@H](OC(=O)COc1cccc([N+](=O)[O-])c1)[C@H]1CC12CC2 ZINC000873627643 642278620 /nfs/dbraw/zinc/27/86/20/642278620.db2.gz AYJWPHHACDVAHH-ZWNOBZJWSA-N 0 0 291.303 2.705 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124823516 642337442 /nfs/dbraw/zinc/33/74/42/642337442.db2.gz GXRHUYZQLNOYKQ-SFYZADRCSA-N 0 0 253.229 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@H]1CCCCO1 ZINC000874190188 642351038 /nfs/dbraw/zinc/35/10/38/642351038.db2.gz FATHONFCRAZYNM-GFCCVEGCSA-N 0 0 292.335 2.511 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@@](C)(C(F)(F)F)C1)[N+](=O)[O-] ZINC000874475109 642396612 /nfs/dbraw/zinc/39/66/12/642396612.db2.gz NSYZHGCWGNSNFY-LLVKDONJSA-N 0 0 296.289 2.623 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000874625380 642428172 /nfs/dbraw/zinc/42/81/72/642428172.db2.gz IQEDUUITFKWKSZ-SUNKGSAMSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CC12CCC2 ZINC000874777965 642477392 /nfs/dbraw/zinc/47/73/92/642477392.db2.gz PBGXWEHRAUYXPW-CYBMUJFWSA-N 0 0 274.320 2.884 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000874782775 642483303 /nfs/dbraw/zinc/48/33/03/642483303.db2.gz QXDWUYAXNPGKOQ-ZDUSSCGKSA-N 0 0 274.320 2.830 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1CC12CCC2 ZINC000874792633 642492491 /nfs/dbraw/zinc/49/24/91/642492491.db2.gz YZEIDAGTENFKDF-WXJAXGNASA-N 0 0 272.304 2.667 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccncc1F ZINC000125233593 642563937 /nfs/dbraw/zinc/56/39/37/642563937.db2.gz CDHUMYQRCBGWFQ-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN CC1(O)CCN(c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000125243757 642577031 /nfs/dbraw/zinc/57/70/31/642577031.db2.gz IGZGIKXJMXJPQF-UHFFFAOYSA-N 0 0 270.716 2.599 20 5 CFBDRN COc1cc(C(=O)OC2(C3CC3)CC2)ccc1[N+](=O)[O-] ZINC000083771261 650767277 /nfs/dbraw/zinc/76/72/77/650767277.db2.gz GTBVWTHRXSADQB-UHFFFAOYSA-N 0 0 277.276 2.703 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000875054327 642581112 /nfs/dbraw/zinc/58/11/12/642581112.db2.gz OBSGGJBNGPMYBQ-NZBQSGDWSA-N 0 0 260.293 2.825 20 5 CFBDRN CCON(CC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000875116642 642586686 /nfs/dbraw/zinc/58/66/86/642586686.db2.gz KTVBHHGZCNWSMA-JTQLQIEISA-N 0 0 281.312 2.639 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1[C@@H](C)[C@H](C)O[C@H]1C ZINC000875500978 642630561 /nfs/dbraw/zinc/63/05/61/642630561.db2.gz JIZQEFHODIDTLR-ZPFDUUQYSA-N 0 0 293.319 2.868 20 5 CFBDRN CN1C[C@@H](Nc2ccc([N+](=O)[O-])cc2C(F)F)CCC1=O ZINC000084089121 650772557 /nfs/dbraw/zinc/77/25/57/650772557.db2.gz NDQCARUQXLYSBJ-QMMMGPOBSA-N 0 0 299.277 2.565 20 5 CFBDRN CCC1(C)CN(C(=O)NCc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000876169227 642784777 /nfs/dbraw/zinc/78/47/77/642784777.db2.gz QBGNDLSGEQNOHS-UHFFFAOYSA-N 0 0 291.351 2.845 20 5 CFBDRN CC(C)(C)c1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCOC1 ZINC000876339553 642834539 /nfs/dbraw/zinc/83/45/39/642834539.db2.gz CLRPFNJWFBILAU-JTQLQIEISA-N 0 0 292.335 2.867 20 5 CFBDRN O=[N+]([O-])c1c(Nc2ccc3n[nH]cc3c2)nc2ccccn21 ZINC000198602989 650793015 /nfs/dbraw/zinc/79/30/15/650793015.db2.gz SDOOLNCHVSZPIF-UHFFFAOYSA-N 0 0 294.274 2.862 20 5 CFBDRN O=C(C=C1CCC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000087279154 650801186 /nfs/dbraw/zinc/80/11/86/650801186.db2.gz JZCXPCQNMAWVCN-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN O=[N+]([O-])c1cnn(C2CC(Nc3cccc(F)c3)C2)c1 ZINC000876819641 643024558 /nfs/dbraw/zinc/02/45/58/643024558.db2.gz KIEPZUMYZDPFRI-UHFFFAOYSA-N 0 0 276.271 2.746 20 5 CFBDRN COc1ccc(NCc2cn(C)nc2[N+](=O)[O-])cc1Cl ZINC000876820731 643026411 /nfs/dbraw/zinc/02/64/11/643026411.db2.gz RZQJCIINSCMKPA-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN CCc1ccc(NCc2cn(C)nc2[N+](=O)[O-])cc1F ZINC000876833527 643032413 /nfs/dbraw/zinc/03/24/13/643032413.db2.gz NQSJHTOHLFOTSI-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@@H+]1CC[C@H](O)C(C)(C)C1 ZINC000877461254 643281758 /nfs/dbraw/zinc/28/17/58/643281758.db2.gz CMFOXZFZDXXFLE-HNNXBMFYSA-N 0 0 292.379 2.804 20 5 CFBDRN CCCCN(CCCO)c1c(F)cc([N+](=O)[O-])cc1F ZINC000089265981 650832192 /nfs/dbraw/zinc/83/21/92/650832192.db2.gz LUVUGSNCHUDJFB-UHFFFAOYSA-N 0 0 288.294 2.862 20 5 CFBDRN O=C([O-])[C@@H]1CCCC[C@@H]1C[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000089437959 650842669 /nfs/dbraw/zinc/84/26/69/650842669.db2.gz WQCBVCZSLAYEDI-TZMCWYRMSA-N 0 0 292.335 2.575 20 5 CFBDRN COc1ccc(OCc2cc(C)nnc2C)c([N+](=O)[O-])c1 ZINC000877873482 643614311 /nfs/dbraw/zinc/61/43/11/643614311.db2.gz QOQNROXZSVIVKV-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN COc1ccc(OCc2cc(C)nnc2C)cc1[N+](=O)[O-] ZINC000877881829 643620146 /nfs/dbraw/zinc/62/01/46/643620146.db2.gz XTLJGDPLIZQJIR-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])c(C)c2)c(C)nn1 ZINC000877888202 643625120 /nfs/dbraw/zinc/62/51/20/643625120.db2.gz MVZAWIQFRYKGCY-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1ccc(C)cc1C ZINC000877985401 643679639 /nfs/dbraw/zinc/67/96/39/643679639.db2.gz YHLYHDISSXDPNW-UHFFFAOYSA-N 0 0 288.351 2.853 20 5 CFBDRN Cc1ccc(CN2Cc3cccnc3C2)cc1[N+](=O)[O-] ZINC000878548657 643937963 /nfs/dbraw/zinc/93/79/63/643937963.db2.gz NWGVNKAIPMIEEQ-UHFFFAOYSA-N 0 0 269.304 2.814 20 5 CFBDRN CON(Cc1cn(C)nc1[N+](=O)[O-])C[C@H]1CCC[C@@H](C)C1 ZINC000878631852 643966147 /nfs/dbraw/zinc/96/61/47/643966147.db2.gz AKRMVYUGFRSKJN-NEPJUHHUSA-N 0 0 296.371 2.518 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cccc(F)n1 ZINC000127470726 644112685 /nfs/dbraw/zinc/11/26/85/644112685.db2.gz BEPDOKQRBHTFOU-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC(C)[C@H](C)OC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000127589570 644177188 /nfs/dbraw/zinc/17/71/88/644177188.db2.gz DBWMWVUYSXRMNG-QMMMGPOBSA-N 0 0 255.245 2.935 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@H](OC)CC[C@H]1C ZINC000879317870 644223016 /nfs/dbraw/zinc/22/30/16/644223016.db2.gz MUQCIZYWHKUVEU-BXUZGUMPSA-N 0 0 294.351 2.603 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCCCC1CC1 ZINC000127962465 644404658 /nfs/dbraw/zinc/40/46/58/644404658.db2.gz LLEFUNAKSLKHBH-JXMROGBWSA-N 0 0 274.320 2.914 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000128183765 644523588 /nfs/dbraw/zinc/52/35/88/644523588.db2.gz QYAJRNVFSMYBLO-KOLCDFICSA-N 0 0 277.324 2.580 20 5 CFBDRN Cc1nccnc1[C@@H](C)NCc1ccc([N+](=O)[O-])c(F)c1 ZINC000880109127 644535459 /nfs/dbraw/zinc/53/54/59/644535459.db2.gz QSQIOTIELJOWAN-SNVBAGLBSA-N 0 0 290.298 2.683 20 5 CFBDRN COc1cc(CN2CC[C@@H](CF)C2)c([N+](=O)[O-])cc1F ZINC000880299203 644639049 /nfs/dbraw/zinc/63/90/49/644639049.db2.gz PYFVDJITLAMLBY-VIFPVBQESA-N 0 0 286.278 2.534 20 5 CFBDRN CC[C@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000880470895 644726219 /nfs/dbraw/zinc/72/62/19/644726219.db2.gz QYGKBIKFIJMDSS-FZMZJTMJSA-N 0 0 294.351 2.595 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000881481842 644984364 /nfs/dbraw/zinc/98/43/64/644984364.db2.gz RMTXKGXFQSRTJF-SNVBAGLBSA-N 0 0 270.288 2.982 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000881491839 644991360 /nfs/dbraw/zinc/99/13/60/644991360.db2.gz ANEWGIJTNNTMRB-SNVBAGLBSA-N 0 0 285.303 2.772 20 5 CFBDRN CC1(C)CC[C@H](CNC(=O)c2csc([N+](=O)[O-])c2)OC1 ZINC000881510595 645007030 /nfs/dbraw/zinc/00/70/30/645007030.db2.gz RUNHKKZIEVCAJG-SNVBAGLBSA-N 0 0 298.364 2.591 20 5 CFBDRN CC1(CNC(=O)Cc2cccc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000881524740 645018596 /nfs/dbraw/zinc/01/85/96/645018596.db2.gz YOCXORGUWDQHNA-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN CCC[C@H](C)CCNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000881527558 645020763 /nfs/dbraw/zinc/02/07/63/645020763.db2.gz IIAUYPVGNLQXQL-JTQLQIEISA-N 0 0 279.340 2.854 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@H](CF)C2)ccc1[N+](=O)[O-] ZINC000881591084 645064298 /nfs/dbraw/zinc/06/42/98/645064298.db2.gz GKUXQZNAPUIUJX-NSHDSACASA-N 0 0 280.299 2.725 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000881602028 645072773 /nfs/dbraw/zinc/07/27/73/645072773.db2.gz QHRTYCRHPTVGOH-RYUDHWBXSA-N 0 0 294.326 2.906 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC(F)CC1 ZINC000881604437 645074683 /nfs/dbraw/zinc/07/46/83/645074683.db2.gz CWDSKJYNQFQTFZ-UHFFFAOYSA-N 0 0 286.690 2.822 20 5 CFBDRN CC(C)(C(=O)N1CC[C@H](CF)C1)c1ccc([N+](=O)[O-])cc1 ZINC000881670447 645097287 /nfs/dbraw/zinc/09/72/87/645097287.db2.gz JWYPQPYJWYEQIR-LLVKDONJSA-N 0 0 294.326 2.690 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@H](CF)C2)c1[N+](=O)[O-] ZINC000881674150 645100802 /nfs/dbraw/zinc/10/08/02/645100802.db2.gz LMDVOAKSHKPMSV-SECBINFHSA-N 0 0 298.339 2.748 20 5 CFBDRN CN(c1ccnc(F)c1[N+](=O)[O-])C1CCCCC1 ZINC000881734875 645118305 /nfs/dbraw/zinc/11/83/05/645118305.db2.gz VMJDPSQKXVYRLQ-UHFFFAOYSA-N 0 0 253.277 2.898 20 5 CFBDRN Cc1ncnc(NCCNc2ccc([N+](=O)[O-])cc2)c1C ZINC000881773519 645125317 /nfs/dbraw/zinc/12/53/17/645125317.db2.gz LNGZDFHNSYHHSB-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2ccnc(F)c2[N+](=O)[O-])no1 ZINC000881791262 645128571 /nfs/dbraw/zinc/12/85/71/645128571.db2.gz FXXDYUCLIJMRKJ-JTQLQIEISA-N 0 0 292.270 2.767 20 5 CFBDRN Cc1ccc(CCNc2ccnc(F)c2[N+](=O)[O-])o1 ZINC000881804169 645131599 /nfs/dbraw/zinc/13/15/99/645131599.db2.gz VJFOOEPILINMEV-UHFFFAOYSA-N 0 0 265.244 2.685 20 5 CFBDRN CC(=O)c1c(NC[C@@H](C)C[C@@H](C)O)cccc1[N+](=O)[O-] ZINC000882007259 645174673 /nfs/dbraw/zinc/17/46/73/645174673.db2.gz HOEPINADZKTNCX-VHSXEESVSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(C)[C@H]1OCCC[C@H]1CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882060210 645189706 /nfs/dbraw/zinc/18/97/06/645189706.db2.gz QFYDQAYJERIAIR-GXFFZTMASA-N 0 0 297.330 2.992 20 5 CFBDRN Cc1noc(C)c1CCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882072180 645192204 /nfs/dbraw/zinc/19/22/04/645192204.db2.gz AOFXVPDETRQWPG-UHFFFAOYSA-N 0 0 294.286 2.778 20 5 CFBDRN CC(C)(C)OC1CCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000882086638 645196799 /nfs/dbraw/zinc/19/67/99/645196799.db2.gz HDENSIFPSJBRFH-UHFFFAOYSA-N 0 0 297.330 2.913 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC[C@@H](O)C(C)C ZINC000882105765 645204262 /nfs/dbraw/zinc/20/42/62/645204262.db2.gz LCVXXVJFBAFFCY-GFCCVEGCSA-N 0 0 253.298 2.689 20 5 CFBDRN CC(C)[C@H](O)CCOc1ccc(F)cc1[N+](=O)[O-] ZINC000882113855 645206834 /nfs/dbraw/zinc/20/68/34/645206834.db2.gz MXBFMMJTSYOYNS-LLVKDONJSA-N 0 0 257.261 2.520 20 5 CFBDRN CC(C)(C)c1nnc(NCCc2cccc([N+](=O)[O-])c2)o1 ZINC000882137681 645216632 /nfs/dbraw/zinc/21/66/32/645216632.db2.gz RWCYNIOZEBJLIB-UHFFFAOYSA-N 0 0 290.323 2.930 20 5 CFBDRN CC(C)[C@@H](O)CCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000882146105 645218088 /nfs/dbraw/zinc/21/80/88/645218088.db2.gz YBRDMEKBEHSWNO-LBPRGKRZSA-N 0 0 257.261 2.520 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2CCC3(CCC3)O2)nc1C1CC1 ZINC000882154823 645221758 /nfs/dbraw/zinc/22/17/58/645221758.db2.gz AEIMKYYRVPZWNJ-LLVKDONJSA-N 0 0 277.324 2.770 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCSCC(F)(F)F ZINC000882153917 645221938 /nfs/dbraw/zinc/22/19/38/645221938.db2.gz TYOMHLMOVNATCL-UHFFFAOYSA-N 0 0 299.249 2.836 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CC(C)(C)OC1(C)C ZINC000882170176 645228019 /nfs/dbraw/zinc/22/80/19/645228019.db2.gz KVPRTXCQCJWGLT-NSHDSACASA-N 0 0 294.351 2.659 20 5 CFBDRN C[C@H]1C[C@@H](CCNc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882177272 645232632 /nfs/dbraw/zinc/23/26/32/645232632.db2.gz NQCSHNUHUQVEKX-UWVGGRQHSA-N 0 0 283.303 2.746 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCCOC(F)(F)F)c1 ZINC000882195882 645240589 /nfs/dbraw/zinc/24/05/89/645240589.db2.gz WBLZFCZGSSSOEE-UHFFFAOYSA-N 0 0 295.213 2.909 20 5 CFBDRN CC[C@@]1(C)CN(c2cccc([N+](=O)[O-])c2C(C)=O)CCO1 ZINC000882236468 645250110 /nfs/dbraw/zinc/25/01/10/645250110.db2.gz DYBYMIVCANQLBR-HNNXBMFYSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1cccc(COC(=O)Cc2ccccc2[N+](=O)[O-])n1 ZINC000129548846 645255707 /nfs/dbraw/zinc/25/57/07/645255707.db2.gz CGGZASMRGXUKIE-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1c1ccnc(F)c1[N+](=O)[O-] ZINC000882264446 645257840 /nfs/dbraw/zinc/25/78/40/645257840.db2.gz KULPSQQHFMRTNG-SECBINFHSA-N 0 0 265.288 2.898 20 5 CFBDRN CO[C@]1(C)CCCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882284220 645262948 /nfs/dbraw/zinc/26/29/48/645262948.db2.gz LIJZZRVIZGZXCN-OAHLLOKOSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1Cl)C1CC1 ZINC000129590708 645282623 /nfs/dbraw/zinc/28/26/23/645282623.db2.gz UFLKTOMVEWJAGZ-SSDOTTSWSA-N 0 0 268.700 2.777 20 5 CFBDRN CCOC1(C)CCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000882390585 645297959 /nfs/dbraw/zinc/29/79/59/645297959.db2.gz QHAJXRZQBJCVSP-UHFFFAOYSA-N 0 0 283.303 2.524 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC(C2CC2)CC1 ZINC000882392373 645297875 /nfs/dbraw/zinc/29/78/75/645297875.db2.gz LDIWIGAWRMSAQI-UHFFFAOYSA-N 0 0 265.288 2.755 20 5 CFBDRN COC1(CCNc2ccnc(F)c2[N+](=O)[O-])CCC1 ZINC000882400035 645298482 /nfs/dbraw/zinc/29/84/82/645298482.db2.gz BURJQNZAMREYMI-UHFFFAOYSA-N 0 0 269.276 2.500 20 5 CFBDRN COCC(C)(C)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882394439 645299084 /nfs/dbraw/zinc/29/90/84/645299084.db2.gz VOJHGVNTPWAZFW-UHFFFAOYSA-N 0 0 280.324 2.882 20 5 CFBDRN CC(=O)c1c(NC[C@H](C)CCCO)cccc1[N+](=O)[O-] ZINC000882407847 645302582 /nfs/dbraw/zinc/30/25/82/645302582.db2.gz DYNUYPGPLACISW-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN COC[C@@]1(C)CCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882409029 645303611 /nfs/dbraw/zinc/30/36/11/645303611.db2.gz UWZVPHFSHVPVCK-HNNXBMFYSA-N 0 0 292.335 2.660 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)[C@H]1CCCOC1 ZINC000882445654 645318911 /nfs/dbraw/zinc/31/89/11/645318911.db2.gz MTXRRYQLSDOTOF-GXTWGEPZSA-N 0 0 292.335 2.530 20 5 CFBDRN CO[C@@H](CNc1ccnc(F)c1[N+](=O)[O-])CC(C)C ZINC000882465763 645329154 /nfs/dbraw/zinc/32/91/54/645329154.db2.gz CRJDIMSXDCUJIB-SECBINFHSA-N 0 0 271.292 2.602 20 5 CFBDRN CC(C)(CNc1ccnc(F)c1[N+](=O)[O-])c1cccnc1 ZINC000882466182 645329301 /nfs/dbraw/zinc/32/93/01/645329301.db2.gz AFAQCMNYIUGWRS-UHFFFAOYSA-N 0 0 290.298 2.914 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H](C1CC1)[C@H]1CCCCO1 ZINC000882544288 645362629 /nfs/dbraw/zinc/36/26/29/645362629.db2.gz OHWZZFMSJMMSJD-NEPJUHHUSA-N 0 0 295.314 2.889 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2F)CC[C@@H]1C ZINC000129750009 645373685 /nfs/dbraw/zinc/37/36/85/645373685.db2.gz XEQWYDNMENNRHP-UWVGGRQHSA-N 0 0 280.299 2.852 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC1CSC1 ZINC000882626188 645394362 /nfs/dbraw/zinc/39/43/62/645394362.db2.gz VJAAFGAFEQZFHA-UHFFFAOYSA-N 0 0 261.306 2.670 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2c1ccnc(F)c1[N+](=O)[O-] ZINC000882636719 645397433 /nfs/dbraw/zinc/39/74/33/645397433.db2.gz XRLANBUSZQKCNG-PBINXNQUSA-N 0 0 265.288 2.896 20 5 CFBDRN CC(=O)c1c(NCC(C)(C)F)cccc1[N+](=O)[O-] ZINC000882675510 645408141 /nfs/dbraw/zinc/40/81/41/645408141.db2.gz ROPOSTVHRLPQPW-UHFFFAOYSA-N 0 0 254.261 2.957 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882708215 645423956 /nfs/dbraw/zinc/42/39/56/645423956.db2.gz WCQBAYWSMCAMKS-GXSJLCMTSA-N 0 0 280.324 2.759 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC[C@@H]2C=CCC2)cc1F ZINC000882756287 645443384 /nfs/dbraw/zinc/44/33/84/645443384.db2.gz VVFNICHQRUBXMT-SECBINFHSA-N 0 0 266.272 2.604 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCc1ccns1 ZINC000882761591 645445857 /nfs/dbraw/zinc/44/58/57/645445857.db2.gz GKOIKWSOQAQDCU-UHFFFAOYSA-N 0 0 293.348 2.852 20 5 CFBDRN Cc1nn(C)c(NCC[C@@H]2CCCC2(F)F)c1[N+](=O)[O-] ZINC000882800453 645461680 /nfs/dbraw/zinc/46/16/80/645461680.db2.gz YFYTUEOMGHZOLT-VIFPVBQESA-N 0 0 288.298 2.874 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@@H](CF)C1 ZINC000882802421 645464167 /nfs/dbraw/zinc/46/41/67/645464167.db2.gz COMWTQJKXXSQCQ-NSHDSACASA-N 0 0 280.299 2.983 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@H](CF)C1 ZINC000882804225 645465235 /nfs/dbraw/zinc/46/52/35/645465235.db2.gz XPPJYMDBOXELOB-LLVKDONJSA-N 0 0 280.299 2.983 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(F)CC2)c(C(F)(F)F)c1 ZINC000882804951 645465384 /nfs/dbraw/zinc/46/53/84/645465384.db2.gz GEBVTVGMGUYNLP-UHFFFAOYSA-N 0 0 293.220 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@H](CF)C3)c2c1 ZINC000882838208 645474103 /nfs/dbraw/zinc/47/41/03/645474103.db2.gz APPQGZVHPXADIM-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@@H](CF)C1 ZINC000882839208 645475427 /nfs/dbraw/zinc/47/54/27/645475427.db2.gz KABBJTCDAXGHBL-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](CF)C2)c2ccncc21 ZINC000882842094 645477617 /nfs/dbraw/zinc/47/76/17/645477617.db2.gz MXBTVFNVMGTADM-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN Cc1ccnc(N2CC[C@H](c3nccs3)C2)c1[N+](=O)[O-] ZINC000882860054 645481071 /nfs/dbraw/zinc/48/10/71/645481071.db2.gz SAIFWADPOIKYAS-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN Cc1cc(NC[C@H](CO)Cc2ccoc2)ccc1[N+](=O)[O-] ZINC000882866835 645483088 /nfs/dbraw/zinc/48/30/88/645483088.db2.gz YNFMUMLDZYEEFO-CYBMUJFWSA-N 0 0 290.319 2.759 20 5 CFBDRN Cc1cnc(N2CC(CC3CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000882885966 645492054 /nfs/dbraw/zinc/49/20/54/645492054.db2.gz WNAHSROKWZABDV-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN C[C@@H]1c2sccc2CCN1c1c([N+](=O)[O-])ncn1C ZINC000882911376 645508066 /nfs/dbraw/zinc/50/80/66/645508066.db2.gz QUNHJIOXMALJBB-MRVPVSSYSA-N 0 0 278.337 2.514 20 5 CFBDRN CC[N@@H+]1CC[C@H]1CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000882959162 645529194 /nfs/dbraw/zinc/52/91/94/645529194.db2.gz XBDWXQGNBPKDHZ-NSHDSACASA-N 0 0 286.335 2.649 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1CCC2(CCC2)O1 ZINC000882972058 645535075 /nfs/dbraw/zinc/53/50/75/645535075.db2.gz BHCRGMCIWCYAJD-VIFPVBQESA-N 0 0 281.287 2.643 20 5 CFBDRN CCOc1ccn(Cc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000883018999 645565791 /nfs/dbraw/zinc/56/57/91/645565791.db2.gz WSFVECWFPMJWNG-UHFFFAOYSA-N 0 0 261.281 2.547 20 5 CFBDRN CC(C)N(CC(F)F)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000130882114 645588941 /nfs/dbraw/zinc/58/89/41/645588941.db2.gz QUFFYUHHPIEDBE-UHFFFAOYSA-N 0 0 298.293 2.878 20 5 CFBDRN C[C@H](NC/C=C\c1ccccc1[N+](=O)[O-])C(F)F ZINC000883407164 645802558 /nfs/dbraw/zinc/80/25/58/645802558.db2.gz MVQPCLVUBYJJQX-RNEXMXFGSA-N 0 0 256.252 2.851 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccnc(F)c1F ZINC000097866131 651046438 /nfs/dbraw/zinc/04/64/38/651046438.db2.gz FUWICTVXMFQWRS-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000132000090 645859927 /nfs/dbraw/zinc/85/99/27/645859927.db2.gz QWOYSUBQYQAULZ-ZDUSSCGKSA-N 0 0 279.292 2.632 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)C1 ZINC000132240637 645897495 /nfs/dbraw/zinc/89/74/95/645897495.db2.gz HFPOPPIBWMZBMV-YUMQZZPRSA-N 0 0 284.262 2.791 20 5 CFBDRN C=C/C=C\CCNC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000883598624 645903813 /nfs/dbraw/zinc/90/38/13/645903813.db2.gz LMXNKUNLHGJUIB-PLNGDYQASA-N 0 0 289.335 2.835 20 5 CFBDRN C[C@H](NC(=O)N1CCC(F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000883669096 645924934 /nfs/dbraw/zinc/92/49/34/645924934.db2.gz WOPHPPXRDFLYRH-JTQLQIEISA-N 0 0 295.314 2.799 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@H](F)C(F)(F)F ZINC000800083952 646129937 /nfs/dbraw/zinc/12/99/37/646129937.db2.gz VDBDWYIZWHGBTC-VIFPVBQESA-N 0 0 295.188 2.581 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@@H](F)C(F)(F)F ZINC000800083951 646130106 /nfs/dbraw/zinc/13/01/06/646130106.db2.gz VDBDWYIZWHGBTC-SECBINFHSA-N 0 0 295.188 2.581 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CCOC[C@H]1C ZINC000800146867 646156119 /nfs/dbraw/zinc/15/61/19/646156119.db2.gz JCHUBZFFAYQKDE-PSASIEDQSA-N 0 0 296.298 2.653 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C[C@H]1CCCOC1 ZINC000800150299 646157250 /nfs/dbraw/zinc/15/72/50/646157250.db2.gz KOAZHCMEEOLTDN-SNVBAGLBSA-N 0 0 296.298 2.798 20 5 CFBDRN O=C(/C=C/c1cccnc1)OCCc1ccccc1[N+](=O)[O-] ZINC000133686812 646209038 /nfs/dbraw/zinc/20/90/38/646209038.db2.gz YNKWMZUBBVFZNX-BQYQJAHWSA-N 0 0 298.298 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1nccs1 ZINC000134191361 646300718 /nfs/dbraw/zinc/30/07/18/646300718.db2.gz NEFWOZNPZDPPBS-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2CC(O)C2)c2ccccc21 ZINC000884487232 646392926 /nfs/dbraw/zinc/39/29/26/646392926.db2.gz PJVKTKDGBWBFPT-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC([C@H]3CCOC3)C2)c2ccccc21 ZINC000884491739 646397677 /nfs/dbraw/zinc/39/76/77/646397677.db2.gz CWWYHMBNMQWRLT-NSHDSACASA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000134957129 646413512 /nfs/dbraw/zinc/41/35/12/646413512.db2.gz KEFPRNAINASCRQ-BDAKNGLRSA-N 0 0 266.272 2.604 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCO2)c2ccccc21 ZINC000884519633 646415799 /nfs/dbraw/zinc/41/57/99/646415799.db2.gz YMZIYRFQKNWJJD-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])c3ccccc32)C[C@@H](C)C1=O ZINC000884556698 646447775 /nfs/dbraw/zinc/44/77/75/646447775.db2.gz WVDDZTWSBURYMN-GHMZBOCLSA-N 0 0 299.330 2.804 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3COC[C@H]3C2)c2ccccc21 ZINC000884558915 646448897 /nfs/dbraw/zinc/44/88/97/646448897.db2.gz HWMVHMRBTMXNKF-NWDGAFQWSA-N 0 0 299.330 2.616 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884559852 646450922 /nfs/dbraw/zinc/45/09/22/646450922.db2.gz GSZCEFDBSHYSBC-OCCSQVGLSA-N 0 0 273.292 2.732 20 5 CFBDRN CC(=O)CCN(C)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000135181600 646488511 /nfs/dbraw/zinc/48/85/11/646488511.db2.gz OAOWQOILGLYNSZ-UHFFFAOYSA-N 0 0 292.335 2.571 20 5 CFBDRN CC(=O)CCN(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000135234292 646495097 /nfs/dbraw/zinc/49/50/97/646495097.db2.gz OABFEIYMXBTJEA-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2CC3(CCC3)CO2)c1 ZINC000884662466 646516258 /nfs/dbraw/zinc/51/62/58/646516258.db2.gz QJHKKBCJIREZMU-NSHDSACASA-N 0 0 277.324 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC3(CCC3)CO2)cc1 ZINC000884663317 646517134 /nfs/dbraw/zinc/51/71/34/646517134.db2.gz KFWUYULYNWSWKY-CYBMUJFWSA-N 0 0 262.309 2.966 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC3(CCC3)CO2)c1 ZINC000884683194 646529531 /nfs/dbraw/zinc/52/95/31/646529531.db2.gz YGAFIFLJWXQCEK-LBPRGKRZSA-N 0 0 291.351 2.938 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3ncccn3)C2)s1 ZINC000884709318 646536403 /nfs/dbraw/zinc/53/64/03/646536403.db2.gz BYIIZYUZQNMUKR-JTQLQIEISA-N 0 0 290.348 2.830 20 5 CFBDRN CC(C)OC(=O)C1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000884720160 646541332 /nfs/dbraw/zinc/54/13/32/646541332.db2.gz HGHFZYWRKZJPQT-UHFFFAOYSA-N 0 0 292.335 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]([C@@H]3CCCO3)C2)s1 ZINC000884731210 646544274 /nfs/dbraw/zinc/54/42/74/646544274.db2.gz UZIZRPKVUKTPMI-ZJUUUORDSA-N 0 0 268.338 2.662 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000884730733 646544323 /nfs/dbraw/zinc/54/43/23/646544323.db2.gz MWVFRQLBBVMYSL-MFKMUULPSA-N 0 0 280.299 2.739 20 5 CFBDRN COC[C@@H](Nc1ccc(C)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000884796977 646552701 /nfs/dbraw/zinc/55/27/01/646552701.db2.gz VLXMHAVHFMFFKK-GXTWGEPZSA-N 0 0 294.351 2.757 20 5 CFBDRN COC[C@H](Nc1ccc(C)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000884796979 646552799 /nfs/dbraw/zinc/55/27/99/646552799.db2.gz VLXMHAVHFMFFKK-OCCSQVGLSA-N 0 0 294.351 2.757 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(C3(O)CCC3)CCC2)c(F)c1 ZINC000884807048 646553080 /nfs/dbraw/zinc/55/30/80/646553080.db2.gz MMYOVYMIPPXTHT-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(C3(O)CCC3)CCC2)n1 ZINC000884807734 646553599 /nfs/dbraw/zinc/55/35/99/646553599.db2.gz HGEDPXHDWIFMAG-UHFFFAOYSA-N 0 0 291.351 2.795 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H](CCO)C(F)(F)F ZINC000884835330 646556634 /nfs/dbraw/zinc/55/66/34/646556634.db2.gz PKFVMXSRCWQFHP-VIFPVBQESA-N 0 0 298.648 2.973 20 5 CFBDRN COC(=O)c1ccnc(NC2CCC=CCC2)c1[N+](=O)[O-] ZINC000884844267 646558479 /nfs/dbraw/zinc/55/84/79/646558479.db2.gz GMBRDVNEBUUXBG-UHFFFAOYSA-N 0 0 291.307 2.687 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1cnc(C2CC2)o1 ZINC000885324669 646658521 /nfs/dbraw/zinc/65/85/21/646658521.db2.gz UJJQCNLYYWBUNK-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN Cc1ncc(CC(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000885347953 646668260 /nfs/dbraw/zinc/66/82/60/646668260.db2.gz WTKAQVWIEAREPM-VIFPVBQESA-N 0 0 290.275 2.738 20 5 CFBDRN O=C(N[C@@H]1CCCc2cccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000885377761 646675565 /nfs/dbraw/zinc/67/55/65/646675565.db2.gz RVBLGISQCIMRRC-CQSZACIVSA-N 0 0 297.314 2.797 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCOC(F)F)c1C ZINC000885424695 646684670 /nfs/dbraw/zinc/68/46/70/646684670.db2.gz VFYQIGMOYSIHQY-UHFFFAOYSA-N 0 0 289.234 2.608 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CC[C@@H](C)O2)c1C ZINC000885424178 646684964 /nfs/dbraw/zinc/68/49/64/646684964.db2.gz MLVUWTSWPFOOSL-ZYHUDNBSSA-N 0 0 293.319 2.936 20 5 CFBDRN CC1(C)CO[C@H](CC(=O)OCc2ccc([N+](=O)[O-])cc2)C1 ZINC000885627955 646721877 /nfs/dbraw/zinc/72/18/77/646721877.db2.gz VCIOSXJRORWQGS-CYBMUJFWSA-N 0 0 293.319 2.843 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCc2sccc2C1 ZINC000885942430 646776375 /nfs/dbraw/zinc/77/63/75/646776375.db2.gz ODQFCJJUWSXBEK-UHFFFAOYSA-N 0 0 275.333 2.610 20 5 CFBDRN Cc1cccc2c1CCN(Cc1cccnc1[N+](=O)[O-])C2 ZINC000885987855 646797647 /nfs/dbraw/zinc/79/76/47/646797647.db2.gz CFCIBTADOPWJHG-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN CO[C@@]1(C)C[C@H](N(C)Cc2cccnc2[N+](=O)[O-])C1(C)C ZINC000886027780 646827059 /nfs/dbraw/zinc/82/70/59/646827059.db2.gz ILOQECQYJJLJGR-WFASDCNBSA-N 0 0 293.367 2.625 20 5 CFBDRN Cc1cccc2c1N(Cc1cccnc1[N+](=O)[O-])CC2 ZINC000886049294 646842563 /nfs/dbraw/zinc/84/25/63/646842563.db2.gz JVWXREKINJYOKN-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC[C@@H]1c1cccnc1 ZINC000886090316 646862929 /nfs/dbraw/zinc/86/29/29/646862929.db2.gz VATQPRFJXYAEIO-CQSZACIVSA-N 0 0 284.319 2.722 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000886478395 647013883 /nfs/dbraw/zinc/01/38/83/647013883.db2.gz VUHKZALAZHNGCF-GHMZBOCLSA-N 0 0 298.364 2.546 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ncco1)c1ccccc1[N+](=O)[O-] ZINC000886533364 647034059 /nfs/dbraw/zinc/03/40/59/647034059.db2.gz ZKVDEYFLFMGMFA-ZJUUUORDSA-N 0 0 261.281 2.995 20 5 CFBDRN Cc1conc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000139591663 647189705 /nfs/dbraw/zinc/18/97/05/647189705.db2.gz FUFYFSIJEKDIMA-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000887043728 647196599 /nfs/dbraw/zinc/19/65/99/647196599.db2.gz HODDKKNECDIFRG-OEYIWLLWSA-N 0 0 286.331 2.757 20 5 CFBDRN O=C(Nc1ccc(Cl)nc1)c1ccc([N+](=O)[O-])s1 ZINC000221693387 647209295 /nfs/dbraw/zinc/20/92/95/647209295.db2.gz LUAJPTNYDUFAEG-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CC(C)(CNC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000887376631 647292963 /nfs/dbraw/zinc/29/29/63/647292963.db2.gz HTJHITWLUWQFSG-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000887379297 647294618 /nfs/dbraw/zinc/29/46/18/647294618.db2.gz MXPRSXCGSRSZEJ-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN Cc1cnccc1C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887401333 647303209 /nfs/dbraw/zinc/30/32/09/647303209.db2.gz SWUAIVDSQSDKFN-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@H](CNC(=O)[C@@H]1CCC=CO1)c1ccccc1[N+](=O)[O-] ZINC000887403187 647303630 /nfs/dbraw/zinc/30/36/30/647303630.db2.gz QRVXGFNFUWLODG-RISCZKNCSA-N 0 0 290.319 2.507 20 5 CFBDRN C[C@@H](CNC(=O)C1=CCCC1)c1ccccc1[N+](=O)[O-] ZINC000887403038 647303648 /nfs/dbraw/zinc/30/36/48/647303648.db2.gz NIERZKIYWOFRDK-NSHDSACASA-N 0 0 274.320 2.925 20 5 CFBDRN CO[C@@H](C)CCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403091 647303948 /nfs/dbraw/zinc/30/39/48/647303948.db2.gz OOCYLEJEMQJIEY-NEPJUHHUSA-N 0 0 294.351 2.630 20 5 CFBDRN CC[C@H](SC)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403053 647304013 /nfs/dbraw/zinc/30/40/13/647304013.db2.gz NTKFPZPJRDFHFL-GWCFXTLKSA-N 0 0 296.392 2.956 20 5 CFBDRN C/C=C(/C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403352 647304856 /nfs/dbraw/zinc/30/48/56/647304856.db2.gz URKVQSCENLDIHI-NEOSZVFXSA-N 0 0 262.309 2.781 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1CCOC2(CCC2)C1 ZINC000887599121 647367758 /nfs/dbraw/zinc/36/77/58/647367758.db2.gz UQLKGBOZKJOYCN-NSHDSACASA-N 0 0 291.303 2.850 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC000887730044 647401781 /nfs/dbraw/zinc/40/17/81/647401781.db2.gz CLGHWCOTNCQTII-JQWIXIFHSA-N 0 0 290.319 2.978 20 5 CFBDRN C[C@@H](NC(=O)c1cc2ccccc2c([N+](=O)[O-])c1N)C1CC1 ZINC000887762463 647408007 /nfs/dbraw/zinc/40/80/07/647408007.db2.gz ZNXRVVURRILOHP-SECBINFHSA-N 0 0 299.330 2.859 20 5 CFBDRN CC(C)(CCC(=O)N1C[C@@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1)[N+](=O)[O-] ZINC000887881934 647430058 /nfs/dbraw/zinc/43/00/58/647430058.db2.gz GLFKFRVAVVZSAR-IATRGZMQSA-N 0 0 294.395 2.717 20 5 CFBDRN CC(C)N(C)C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000887890910 647433056 /nfs/dbraw/zinc/43/30/56/647433056.db2.gz MPWIMNCJSUMKTH-UHFFFAOYSA-N 0 0 287.319 2.811 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])Oc1cccc(F)c1 ZINC000006213474 647458634 /nfs/dbraw/zinc/45/86/34/647458634.db2.gz SWYFGVQPUVUWGB-UHFFFAOYSA-N 0 0 291.234 2.718 20 5 CFBDRN O=C(Nc1cnn(C2CCC2)c1)c1ccc([N+](=O)[O-])s1 ZINC000889066187 647489333 /nfs/dbraw/zinc/48/93/33/647489333.db2.gz UEYZRZQGAUKOSH-UHFFFAOYSA-N 0 0 292.320 2.830 20 5 CFBDRN Nc1c(C(=O)NCCC2CC2)cc2ccccc2c1[N+](=O)[O-] ZINC000889256646 647527499 /nfs/dbraw/zinc/52/74/99/647527499.db2.gz DAUYAOSPQCLFKC-UHFFFAOYSA-N 0 0 299.330 2.860 20 5 CFBDRN Nc1c(C(=O)N2CC[C@@H]2C2CCCC2)cccc1[N+](=O)[O-] ZINC000889403452 647562321 /nfs/dbraw/zinc/56/23/21/647562321.db2.gz ZXLAMOCUXUVEEC-GFCCVEGCSA-N 0 0 289.335 2.582 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1ccc([N+](=O)[O-])cc1 ZINC000889577812 647602460 /nfs/dbraw/zinc/60/24/60/647602460.db2.gz CHIFJBONJRGJMC-CYZMBNFOSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1c(C(=O)N2CCCSC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000889908827 647697339 /nfs/dbraw/zinc/69/73/39/647697339.db2.gz MFJBXJGZBNTKES-JTQLQIEISA-N 0 0 294.376 2.871 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1cccc(O)c1 ZINC000003511128 647832678 /nfs/dbraw/zinc/83/26/78/647832678.db2.gz PGGUZMNVKWQLCY-UHFFFAOYSA-N 0 0 273.244 2.657 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1n[nH]c2ccccc21 ZINC000006370670 647857246 /nfs/dbraw/zinc/85/72/46/647857246.db2.gz YZSZGGWGEDPEJK-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN COc1c(C=O)cccc1OCc1ccc([N+](=O)[O-])cc1 ZINC000011053656 647880757 /nfs/dbraw/zinc/88/07/57/647880757.db2.gz GLJLYCLEUGLZQE-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN CCCC(=O)COC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000924241659 647946321 /nfs/dbraw/zinc/94/63/21/647946321.db2.gz LZNUNQHZPMIWMK-UHFFFAOYSA-N 0 0 299.710 2.703 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(CC2CCC(F)(F)CC2)no1 ZINC000924258457 647950346 /nfs/dbraw/zinc/95/03/46/647950346.db2.gz GHSSUSKHOJZZKP-RKDXNWHRSA-N 0 0 287.266 2.570 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@]12C[C@H]1CCC2 ZINC000924430728 647980245 /nfs/dbraw/zinc/98/02/45/647980245.db2.gz SUVADQGQTJZNMP-QMTHXVAHSA-N 0 0 279.267 2.967 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000925244911 648140864 /nfs/dbraw/zinc/14/08/64/648140864.db2.gz VWCCUZSJFARUSE-YGRLFVJLSA-N 0 0 289.335 2.850 20 5 CFBDRN CCS(=O)(CC)=NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000925878929 648352952 /nfs/dbraw/zinc/35/29/52/648352952.db2.gz OUTQJZWAPGBMGJ-JTQLQIEISA-N 0 0 298.364 2.733 20 5 CFBDRN CC(C)(CCC(=O)N=[S@@](C)(=O)c1ccccc1)[N+](=O)[O-] ZINC000925950548 648372706 /nfs/dbraw/zinc/37/27/06/648372706.db2.gz ALVLENZOBZLYCQ-FQEVSTJZSA-N 0 0 298.364 2.505 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@H]2C[C@H]21)c1ccccc1[N+](=O)[O-] ZINC000927494617 648791933 /nfs/dbraw/zinc/79/19/33/648791933.db2.gz UZTLCQOFYWMGGU-GVXVVHGQSA-N 0 0 274.320 2.761 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000927494615 648792010 /nfs/dbraw/zinc/79/20/10/648792010.db2.gz UZTLCQOFYWMGGU-DMDPSCGWSA-N 0 0 274.320 2.761 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000928246149 648887655 /nfs/dbraw/zinc/88/76/55/648887655.db2.gz BJYBYAHQOBBDNY-NWDGAFQWSA-N 0 0 299.330 2.971 20 5 CFBDRN C[C@@H](NC(=O)COC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000928246320 648887936 /nfs/dbraw/zinc/88/79/36/648887936.db2.gz ASKTWVHBBLWODE-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000928248750 648888200 /nfs/dbraw/zinc/88/82/00/648888200.db2.gz NZIZHKQTEKSPBD-MWLCHTKSSA-N 0 0 280.349 2.525 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000928247996 648888223 /nfs/dbraw/zinc/88/82/23/648888223.db2.gz GGTXOZLVMMNZER-SKDRFNHKSA-N 0 0 262.309 2.818 20 5 CFBDRN Cc1ccncc1CC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247172 648888481 /nfs/dbraw/zinc/88/84/81/648888481.db2.gz RNOFSUDZDKTFMB-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1noc(C)c1C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246825 648888586 /nfs/dbraw/zinc/88/85/86/648888586.db2.gz KXBHZEJUKOKQEV-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN C[C@@H](NC(=O)CCCF)c1ccc([N+](=O)[O-])cc1 ZINC000928246616 648888790 /nfs/dbraw/zinc/88/87/90/648888790.db2.gz JHFSLYUGARGXGQ-SECBINFHSA-N 0 0 254.261 2.522 20 5 CFBDRN CC(C)OCCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246782 648888795 /nfs/dbraw/zinc/88/87/95/648888795.db2.gz KFCIQNDWWBVHBS-LLVKDONJSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCn2cccc2C1 ZINC000928959298 648969384 /nfs/dbraw/zinc/96/93/84/648969384.db2.gz DUNFPYDJWGHKSW-UHFFFAOYSA-N 0 0 299.330 2.669 20 5 CFBDRN CCc1cccc(OC(=O)c2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000928978634 648971708 /nfs/dbraw/zinc/97/17/08/648971708.db2.gz CSAHYDMZNSNIJI-UHFFFAOYSA-N 0 0 286.287 2.959 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)no1 ZINC000929460760 649054017 /nfs/dbraw/zinc/05/40/17/649054017.db2.gz CCOCLZBVPTZATR-NEPJUHHUSA-N 0 0 287.275 2.633 20 5 CFBDRN CCC[C@@H](C)NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929718081 649105356 /nfs/dbraw/zinc/10/53/56/649105356.db2.gz NOEGBUFGUMQVEW-SNVBAGLBSA-N 0 0 282.315 2.971 20 5 CFBDRN Cc1ccc([C@H]2C[C@H](C)CCN2CC[N+](=O)[O-])o1 ZINC000929760274 649113837 /nfs/dbraw/zinc/11/38/37/649113837.db2.gz QRQIFFZFEHSSAB-ZYHUDNBSSA-N 0 0 252.314 2.638 20 5 CFBDRN O=C1COc2cc([N+](=O)[O-])c(NCC3CCCC3)cc2N1 ZINC000035453077 649185787 /nfs/dbraw/zinc/18/57/87/649185787.db2.gz SHNUNTCCRRULNQ-UHFFFAOYSA-N 0 0 291.307 2.528 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@]1(C)C=CCC1 ZINC000930316708 649229274 /nfs/dbraw/zinc/22/92/74/649229274.db2.gz VPJWHEHDBQCGHT-OAHLLOKOSA-N 0 0 290.319 2.576 20 5 CFBDRN CC1(CCC(=O)NCCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000930493117 649260429 /nfs/dbraw/zinc/26/04/29/649260429.db2.gz OIFGMTDRVIMDSA-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cc1nc(C)c(CNC(C)(C)c2ccccc2[N+](=O)[O-])[nH]1 ZINC000930553736 649272182 /nfs/dbraw/zinc/27/21/82/649272182.db2.gz DMZULQDRCITUGL-UHFFFAOYSA-N 0 0 288.351 2.960 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)c1ccccn1 ZINC000035694855 649298779 /nfs/dbraw/zinc/29/87/79/649298779.db2.gz ZYWWVOGQJQPEEX-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN Cc1cc(F)ccc1[C@@H]1CCCN1CC[N+](=O)[O-] ZINC000930665161 649301457 /nfs/dbraw/zinc/30/14/57/649301457.db2.gz ZFQQAXHBJCDTSZ-ZDUSSCGKSA-N 0 0 252.289 2.548 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000930732160 649316767 /nfs/dbraw/zinc/31/67/67/649316767.db2.gz AQFXHEWUXJMWRB-CHWSQXEVSA-N 0 0 293.323 2.544 20 5 CFBDRN CSCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000930882693 649342696 /nfs/dbraw/zinc/34/26/96/649342696.db2.gz OYJUJLQITONEAW-UHFFFAOYSA-N 0 0 283.353 2.858 20 5 CFBDRN CC1(C(=O)NCc2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000930920602 649350322 /nfs/dbraw/zinc/35/03/22/649350322.db2.gz FRBOVYBFVNPOTN-UHFFFAOYSA-N 0 0 260.293 2.567 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931458568 649431928 /nfs/dbraw/zinc/43/19/28/649431928.db2.gz IHFVFAWATINNNX-QWRGUYRKSA-N 0 0 286.335 2.998 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000932271230 649572148 /nfs/dbraw/zinc/57/21/48/649572148.db2.gz YHOUPXDOJGHBLQ-HNNXBMFYSA-N 0 0 286.335 2.665 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN1Cc1csc([N+](=O)[O-])c1 ZINC000932269509 649572323 /nfs/dbraw/zinc/57/23/23/649572323.db2.gz KTBDKRRYOVLQBD-LBPRGKRZSA-N 0 0 292.364 2.727 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCOC[C@@H]1CC1CC1 ZINC000933213763 649679778 /nfs/dbraw/zinc/67/97/78/649679778.db2.gz QLEDEHQZPXQIGY-AWEZNQCLSA-N 0 0 294.326 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCOC[C@H]1CC1CC1 ZINC000933214807 649680283 /nfs/dbraw/zinc/68/02/83/649680283.db2.gz NEYFOKIRUKOQSW-CQSZACIVSA-N 0 0 294.326 2.735 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000934115163 649751479 /nfs/dbraw/zinc/75/14/79/649751479.db2.gz FNFNMMDBLOIVDS-STQMWFEESA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)CC2(C)COC2)cc1[N+](=O)[O-] ZINC000934212853 649762398 /nfs/dbraw/zinc/76/23/98/649762398.db2.gz YLEHYWOCRCBNFY-NSHDSACASA-N 0 0 292.335 2.507 20 5 CFBDRN Cc1ccc(CN2CCOC[C@]2(C)C2CC2)cc1[N+](=O)[O-] ZINC000934475039 649792140 /nfs/dbraw/zinc/79/21/40/649792140.db2.gz IJXSAPHZCXSSGB-MRXNPFEDSA-N 0 0 290.363 2.904 20 5 CFBDRN C[C@@]1(C2CC2)COCCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000934479949 649792836 /nfs/dbraw/zinc/79/28/36/649792836.db2.gz DMLDMSYJLQTPQD-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN COc1ccc(OC(=O)CCC2CC2)c([N+](=O)[O-])c1 ZINC000115003267 651250896 /nfs/dbraw/zinc/25/08/96/651250896.db2.gz BMYXRADYMNTIBF-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN CCO[C@@H](CC)C(=O)Oc1ccc(CC)cc1[N+](=O)[O-] ZINC000115926860 651277553 /nfs/dbraw/zinc/27/75/53/651277553.db2.gz HYYURXYIBIYFOD-LBPRGKRZSA-N 0 0 281.308 2.878 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)C1=COCCC1 ZINC000116062037 651278176 /nfs/dbraw/zinc/27/81/76/651278176.db2.gz YMRIIGKYEJVRIG-UHFFFAOYSA-N 0 0 297.694 2.986 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062421 651278616 /nfs/dbraw/zinc/27/86/16/651278616.db2.gz GFEBYTRSGLBKNG-OIBJUYFYSA-N 0 0 269.684 2.947 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC(C)(C)O1 ZINC000065280764 782196048 /nfs/dbraw/zinc/19/60/48/782196048.db2.gz ZQKZFRXBANWOBO-SECBINFHSA-N 0 0 295.295 2.507 20 5 CFBDRN Cc1cn(C)nc1COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000723940136 770716770 /nfs/dbraw/zinc/71/67/70/770716770.db2.gz ZGDAZKSNMBVNIC-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=C1CC(CNc2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC001168395009 778783599 /nfs/dbraw/zinc/78/35/99/778783599.db2.gz XGYBKGMOVNQTCD-UHFFFAOYSA-N 0 0 271.276 2.534 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1C[C@@H]2CSC[C@@H]2C1 ZINC000711525573 781453016 /nfs/dbraw/zinc/45/30/16/781453016.db2.gz MEDOZDTVWPPELH-DTORHVGOSA-N 0 0 268.313 2.533 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001230880095 781877170 /nfs/dbraw/zinc/87/71/70/781877170.db2.gz WXHKMEWFAPMOGP-XKSSXDPKSA-N 0 0 266.684 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(-c3ncon3)cc2)nc1 ZINC001167051883 769850155 /nfs/dbraw/zinc/85/01/55/769850155.db2.gz DOAQVDKDTIECKI-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN Nc1cc([N+](=O)[O-])cnc1N1CC[C@@H](C2CCCCC2)C1 ZINC001167068748 769905631 /nfs/dbraw/zinc/90/56/31/769905631.db2.gz XKLKCVXXKKJGEO-GFCCVEGCSA-N 0 0 290.367 2.979 20 5 CFBDRN CN(CC1CCCCC1)c1cc([N+](=O)[O-])cc(Cl)[n+]1[O-] ZINC001167097370 769997011 /nfs/dbraw/zinc/99/70/11/769997011.db2.gz YMAMDKYNLRSKPX-UHFFFAOYSA-N 0 0 299.758 2.898 20 5 CFBDRN Cc1cc2c(cn1)CN(c1ncc([N+](=O)[O-])cc1C)C2 ZINC001167107064 770029550 /nfs/dbraw/zinc/02/95/50/770029550.db2.gz JMQLPUVHZOWVRK-UHFFFAOYSA-N 0 0 270.292 2.522 20 5 CFBDRN C[C@@H]1CO[C@@H]2CN(c3nccc4ccc([N+](=O)[O-])cc43)C[C@H]12 ZINC001167174586 770124556 /nfs/dbraw/zinc/12/45/56/770124556.db2.gz ZFMRYKWMXCEDHN-VCTAVGKDSA-N 0 0 299.330 2.614 20 5 CFBDRN COc1cc(N2CC3(C2)CCCCC3)ncc1[N+](=O)[O-] ZINC001167180767 770127774 /nfs/dbraw/zinc/12/77/74/770127774.db2.gz AQOVFBDAWWICEM-UHFFFAOYSA-N 0 0 277.324 2.769 20 5 CFBDRN Nc1cc2c(cc1Nc1cccc([N+](=O)[O-])c1)OCO2 ZINC001204468732 770155001 /nfs/dbraw/zinc/15/50/01/770155001.db2.gz KJPDHELOXHFAJX-UHFFFAOYSA-N 0 0 273.248 2.649 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)cnc1F ZINC001125335616 770156896 /nfs/dbraw/zinc/15/68/96/770156896.db2.gz CSDXYUXZBAVCBY-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1c2ccc(N)cc2nn1-c1cccc([N+](=O)[O-])c1 ZINC001204469553 770157460 /nfs/dbraw/zinc/15/74/60/770157460.db2.gz UFWUIRAAPSWQSE-UHFFFAOYSA-N 0 0 268.276 2.824 20 5 CFBDRN Nc1ccc(Nc2ccc3c(c2)OCO3)cc1[N+](=O)[O-] ZINC001209933809 770165614 /nfs/dbraw/zinc/16/56/14/770165614.db2.gz ACUQKEDJWBPIHU-UHFFFAOYSA-N 0 0 273.248 2.649 20 5 CFBDRN CN1C[C@@H](Nc2cc3ccccc3c([N+](=O)[O-])c2)CCC1=O ZINC001167253437 770178120 /nfs/dbraw/zinc/17/81/20/770178120.db2.gz QDKFHJAELQUDAG-LBPRGKRZSA-N 0 0 299.330 2.781 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](OCCCCF)C1 ZINC001209986890 770183895 /nfs/dbraw/zinc/18/38/95/770183895.db2.gz ALFLOMAJOPUPQO-LBPRGKRZSA-N 0 0 282.315 2.940 20 5 CFBDRN Cc1cc(C(N)=O)c(Nc2cccc([N+](=O)[O-])c2F)s1 ZINC001210377918 770274470 /nfs/dbraw/zinc/27/44/70/770274470.db2.gz DBKQJLAZYHDWRN-UHFFFAOYSA-N 0 0 295.295 2.946 20 5 CFBDRN CCn1cc(Nc2cc([N+](=O)[O-])ccc2OC)cn1 ZINC001210443357 770285855 /nfs/dbraw/zinc/28/58/55/770285855.db2.gz CUIVRKVFHPVCJA-UHFFFAOYSA-N 0 0 262.269 2.563 20 5 CFBDRN Nc1ccc2n(C3=CCOC3)c3ccccc3cc-2c1=O ZINC001203396078 770383262 /nfs/dbraw/zinc/38/32/62/770383262.db2.gz UHNWQCRNYDKAQC-UHFFFAOYSA-N 0 0 278.311 2.560 20 5 CFBDRN COc1nc(C)ncc1Nc1ccc(F)cc1[N+](=O)[O-] ZINC001210952415 770403525 /nfs/dbraw/zinc/40/35/25/770403525.db2.gz GOQOTGSGHRTTDY-UHFFFAOYSA-N 0 0 278.243 2.585 20 5 CFBDRN CSc1nccc(Nc2ccc(F)cc2[N+](=O)[O-])n1 ZINC001210950227 770404149 /nfs/dbraw/zinc/40/41/49/770404149.db2.gz VEAQPFSMADXYGJ-UHFFFAOYSA-N 0 0 280.284 2.989 20 5 CFBDRN CC(C)[C@](C)(Nc1cc([N+](=O)[O-])ccc1Cl)C(N)=O ZINC001167410692 770437691 /nfs/dbraw/zinc/43/76/91/770437691.db2.gz HCSKUOAMTZBDKR-LBPRGKRZSA-N 0 0 285.731 2.560 20 5 CFBDRN Nc1cn(-c2ccc(F)c([N+](=O)[O-])c2)c2ncccc12 ZINC001211190113 770448184 /nfs/dbraw/zinc/44/81/84/770448184.db2.gz LUEZDGPCFAMJBN-UHFFFAOYSA-N 0 0 272.239 2.655 20 5 CFBDRN COC(=O)c1ncccc1Nc1ccc(F)c([N+](=O)[O-])c1 ZINC001211190352 770448485 /nfs/dbraw/zinc/44/84/85/770448485.db2.gz SMAGPAXNTSDSAJ-UHFFFAOYSA-N 0 0 291.238 2.659 20 5 CFBDRN O=[N+]([O-])c1cc(Nc2cnc3cnccn23)ccc1F ZINC001211191264 770448570 /nfs/dbraw/zinc/44/85/70/770448570.db2.gz RDPYAHQHUCMUCN-UHFFFAOYSA-N 0 0 273.227 2.520 20 5 CFBDRN CC(=O)NCC1(Nc2ccc([N+](=O)[O-])cc2F)CCCC1 ZINC001104357927 770582613 /nfs/dbraw/zinc/58/26/13/770582613.db2.gz MANKPERVDAJWHS-UHFFFAOYSA-N 0 0 295.314 2.595 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCC(F)F)c1 ZINC000126752685 770622018 /nfs/dbraw/zinc/62/20/18/770622018.db2.gz AYLJLTWSDAJJOK-UHFFFAOYSA-N 0 0 277.248 2.739 20 5 CFBDRN C[C@@H](OC(=O)/C=C\c1ccncn1)c1ccc([N+](=O)[O-])cc1 ZINC000588437349 770681191 /nfs/dbraw/zinc/68/11/91/770681191.db2.gz WHDYYEKBZQXRKP-MEQVVJDKSA-N 0 0 299.286 2.702 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@@H]1CCCOC1 ZINC000723859362 770692137 /nfs/dbraw/zinc/69/21/37/770692137.db2.gz VDIBVYCVNAEXFP-PWSUYJOCSA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723870270 770696154 /nfs/dbraw/zinc/69/61/54/770696154.db2.gz DFTAAPUYWMNJOZ-NWDGAFQWSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1C)[C@@H]1CCCOC1 ZINC000723871925 770698113 /nfs/dbraw/zinc/69/81/13/770698113.db2.gz YIHQUUNGPLYIES-DGCLKSJQSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC3CSC3)ccnc21 ZINC000702385649 770751537 /nfs/dbraw/zinc/75/15/37/770751537.db2.gz LPDKYGGHLXWPRF-UHFFFAOYSA-N 0 0 261.306 2.670 20 5 CFBDRN CSc1nc(Nc2cc(F)cc([N+](=O)[O-])c2)cc(=O)[nH]1 ZINC001216481654 770944207 /nfs/dbraw/zinc/94/42/07/770944207.db2.gz WLMGXFFAIPIENL-UHFFFAOYSA-N 0 0 296.283 2.695 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(NCc2ccnc(N)c2)c1F ZINC001167616682 770992313 /nfs/dbraw/zinc/99/23/13/770992313.db2.gz MKOJWDRROSWWJW-UHFFFAOYSA-N 0 0 276.271 2.632 20 5 CFBDRN CC(=O)CNc1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001167630797 773200453 /nfs/dbraw/zinc/20/04/53/773200453.db2.gz MKPIQDXYNHXDSD-UHFFFAOYSA-N 0 0 262.187 2.615 20 5 CFBDRN CCOC(=O)[C@H](C)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001204600175 773213035 /nfs/dbraw/zinc/21/30/35/773213035.db2.gz WLEVMKIKFYGTRD-UWVGGRQHSA-N 0 0 267.281 2.624 20 5 CFBDRN CCN(C)c1ncc(Nc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC001213665813 773215151 /nfs/dbraw/zinc/21/51/51/773215151.db2.gz MQCOUJXDQLARBV-UHFFFAOYSA-N 0 0 287.323 2.893 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN(CCCO)C1CC1 ZINC000404360832 773225954 /nfs/dbraw/zinc/22/59/54/773225954.db2.gz JVEBUQCHMVMQDW-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN CC(C)O[C@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001204605075 773230752 /nfs/dbraw/zinc/23/07/52/773230752.db2.gz NPSIXBWBCBUKPV-MRVPVSSYSA-N 0 0 253.254 2.810 20 5 CFBDRN C[C@H]1CC[C@@H](COCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])O1 ZINC001224095177 775101102 /nfs/dbraw/zinc/10/11/02/775101102.db2.gz QALPCBKCWSGNCL-CABZTGNLSA-N 0 0 296.279 2.587 20 5 CFBDRN C[C@H](COc1ccccc1)OCc1ccc([N+](=O)[O-])nc1 ZINC001224109133 775102137 /nfs/dbraw/zinc/10/21/37/775102137.db2.gz OKOVAAKFBJLFHZ-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN O=[N+]([O-])c1ccc(COC2Cc3ccccc3C2)cn1 ZINC001224109407 775102286 /nfs/dbraw/zinc/10/22/86/775102286.db2.gz SPSULHZGLSNMRE-UHFFFAOYSA-N 0 0 270.288 2.674 20 5 CFBDRN Cc1cnc(COC[C@@H]2CCC=CO2)c(C)c1[N+](=O)[O-] ZINC001224182556 775120869 /nfs/dbraw/zinc/12/08/69/775120869.db2.gz JRJRFGQPYPKSJG-LBPRGKRZSA-N 0 0 278.308 2.816 20 5 CFBDRN Cc1cnc(CO[C@@H](C)C2CC2)c(C)c1[N+](=O)[O-] ZINC001224183610 775121250 /nfs/dbraw/zinc/12/12/50/775121250.db2.gz PHPNCRBWTLYYPK-JTQLQIEISA-N 0 0 250.298 2.922 20 5 CFBDRN CCC(=O)[C@@H](CC)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224185911 775122647 /nfs/dbraw/zinc/12/26/47/775122647.db2.gz SLNQKDQDFJRGPG-CYBMUJFWSA-N 0 0 280.324 2.881 20 5 CFBDRN C[C@@H](CON)OCc1ccc([N+](=O)[O-])c2ccccc12 ZINC001224205229 775128981 /nfs/dbraw/zinc/12/89/81/775128981.db2.gz AXDFHZRFYCFIDI-JTQLQIEISA-N 0 0 276.292 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC2SCCS2)n1 ZINC000693840034 775198315 /nfs/dbraw/zinc/19/83/15/775198315.db2.gz NCEFNWSAYQHNLC-UHFFFAOYSA-N 0 0 285.394 2.906 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@H]1CC12CC(O)C2 ZINC000725002389 775228755 /nfs/dbraw/zinc/22/87/55/775228755.db2.gz VLNNQVNXTXRVGD-HLIOBJQSSA-N 0 0 268.700 2.574 20 5 CFBDRN CC1(C)C[C@H](CO[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)O1 ZINC001224635268 775233937 /nfs/dbraw/zinc/23/39/37/775233937.db2.gz KVDSBEAWNUZXEN-ZIAGYGMSSA-N 0 0 277.320 2.646 20 5 CFBDRN CCCC[C@@H](CC)CO[C@@H]1COc2nc([N+](=O)[O-])cn2C1 ZINC001224708117 775255162 /nfs/dbraw/zinc/25/51/62/775255162.db2.gz WXPPVORDNMNNFV-NEPJUHHUSA-N 0 0 297.355 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC[C@H]2CCC=CO2)cc1 ZINC001224777274 775271218 /nfs/dbraw/zinc/27/12/18/775271218.db2.gz QWOCTJVPXICHJY-CQSZACIVSA-N 0 0 279.292 2.683 20 5 CFBDRN C[C@H](OCCOc1ccc([N+](=O)[O-])cc1)c1cncnc1 ZINC001224779487 775271334 /nfs/dbraw/zinc/27/13/34/775271334.db2.gz SMTBLMDGUDQCSK-NSHDSACASA-N 0 0 289.291 2.541 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCCSc1ccccc1)C1CC1 ZINC001224911879 775306459 /nfs/dbraw/zinc/30/64/59/775306459.db2.gz QCBQXIRMRHPFAW-CYBMUJFWSA-N 0 0 267.350 2.851 20 5 CFBDRN C[C@@H]1CC[C@H](OCC(=O)OCc2ccc([N+](=O)[O-])cc2)C1 ZINC001224986562 775327114 /nfs/dbraw/zinc/32/71/14/775327114.db2.gz IDVFSNUQMSADPN-RISCZKNCSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@H](OC1CCC(O)CC1)c1cccc([N+](=O)[O-])c1 ZINC001217902205 775363754 /nfs/dbraw/zinc/36/37/54/775363754.db2.gz QXMIVJGHOCCIQE-FNBIGBAISA-N 0 0 265.309 2.976 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccccc1F)c1cccnc1 ZINC001225200909 775364875 /nfs/dbraw/zinc/36/48/75/775364875.db2.gz WPMWECQWMOXJJD-CYBMUJFWSA-N 0 0 262.240 2.618 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc(Br)c1)C1CC1 ZINC001225226980 775371973 /nfs/dbraw/zinc/37/19/73/775371973.db2.gz LPICWVPCAGYYIE-LLVKDONJSA-N 0 0 286.125 2.883 20 5 CFBDRN Cc1cccc(O[C@H]2CCN(c3ccc([N+](=O)[O-])cn3)C2)c1 ZINC001225241644 775376149 /nfs/dbraw/zinc/37/61/49/775376149.db2.gz MFCFCBRKQDFICV-HNNXBMFYSA-N 0 0 299.330 2.956 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2ncccc2c1)C1CC1 ZINC001225329711 775414092 /nfs/dbraw/zinc/41/40/92/775414092.db2.gz PQYARRVCSIWYJR-CQSZACIVSA-N 0 0 258.277 2.669 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225383211 775437134 /nfs/dbraw/zinc/43/71/34/775437134.db2.gz WVIRAHAPEOFTQT-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@@H](Oc1c(Cl)nccc1[N+](=O)[O-])C1CCOCC1 ZINC001225433642 775453994 /nfs/dbraw/zinc/45/39/94/775453994.db2.gz QJYAGGGUJGVSCJ-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN COC1CCC(Oc2c(Cl)nccc2[N+](=O)[O-])CC1 ZINC001225430434 775454547 /nfs/dbraw/zinc/45/45/47/775454547.db2.gz BNIRPRDCPMVXMM-UHFFFAOYSA-N 0 0 286.715 2.980 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])c(OC)c1 ZINC001225435601 775456156 /nfs/dbraw/zinc/45/61/56/775456156.db2.gz JVFJYEXAVZTBAH-JTQLQIEISA-N 0 0 297.307 2.714 20 5 CFBDRN C[C@@H](Oc1c(Cl)nccc1[N+](=O)[O-])c1cnccn1 ZINC001225434781 775456210 /nfs/dbraw/zinc/45/62/10/775456210.db2.gz LGEIKPSNVPHODS-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CC[C@H](C)Oc1cc([N+](=O)[O-])ccc1C(=O)OC ZINC001225447580 775460515 /nfs/dbraw/zinc/46/05/15/775460515.db2.gz KSZQGPIYYGUTCL-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H]1CC[C@@H](C)C1 ZINC001225452072 775462704 /nfs/dbraw/zinc/46/27/04/775462704.db2.gz XPICTFXFBUPHNI-MWLCHTKSSA-N 0 0 279.292 2.949 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225575122 775507587 /nfs/dbraw/zinc/50/75/87/775507587.db2.gz KMRKRKKTPCMNLU-PWSUYJOCSA-N 0 0 297.282 2.845 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1cc(F)ccc1[N+](=O)[O-] ZINC001225576529 775508078 /nfs/dbraw/zinc/50/80/78/775508078.db2.gz AICZCVMPDRWEIX-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225576498 775508319 /nfs/dbraw/zinc/50/83/19/775508319.db2.gz AEVNVAZZZLDALF-NSHDSACASA-N 0 0 253.229 2.624 20 5 CFBDRN CC(C)[C@H](Oc1ncccc1[N+](=O)[O-])C(F)(F)F ZINC001225592602 775515394 /nfs/dbraw/zinc/51/53/94/775515394.db2.gz IGHQJBHMVXVPCX-QMMMGPOBSA-N 0 0 264.203 2.956 20 5 CFBDRN CCOC[C@@H](C)Oc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225601283 775519344 /nfs/dbraw/zinc/51/93/44/775519344.db2.gz NVEGAQNYLRYLJT-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1CCC(C)(C)O1 ZINC001225612355 775523438 /nfs/dbraw/zinc/52/34/38/775523438.db2.gz DRNMFXIDTKOWSC-NSHDSACASA-N 0 0 281.308 2.940 20 5 CFBDRN C[C@@H](Oc1cccnc1[N+](=O)[O-])c1cnc2ccccn21 ZINC001225628087 775530003 /nfs/dbraw/zinc/53/00/03/775530003.db2.gz CKWVXLZUBPXGSX-SNVBAGLBSA-N 0 0 284.275 2.778 20 5 CFBDRN CC1(C)C(=O)CCC[C@@H]1Oc1ccc([N+](=O)[O-])cn1 ZINC001225632920 775533578 /nfs/dbraw/zinc/53/35/78/775533578.db2.gz NZJDJJLUOKYIQI-NSHDSACASA-N 0 0 264.281 2.516 20 5 CFBDRN CC(C)Oc1ncccc1COc1ccc([N+](=O)[O-])cn1 ZINC001225634010 775533705 /nfs/dbraw/zinc/53/37/05/775533705.db2.gz CQTBGASXTHGLIK-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2ccc([N+](=O)[O-])cn2)cn1 ZINC001225634262 775533900 /nfs/dbraw/zinc/53/39/00/775533900.db2.gz UBCJGICLSIDGDM-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc(OCCOC2CCCC2)ncc1[N+](=O)[O-] ZINC001225660071 775545815 /nfs/dbraw/zinc/54/58/15/775545815.db2.gz BCRQTCVFERTIPH-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN Cc1cc(O[C@H](C)c2ccncc2)ncc1[N+](=O)[O-] ZINC001225657626 775546004 /nfs/dbraw/zinc/54/60/04/775546004.db2.gz LFTFLPZBQHRHKV-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@H]1CCC[C@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C1 ZINC001225667230 775548430 /nfs/dbraw/zinc/54/84/30/775548430.db2.gz QBGUUNIMZVSDEX-IUCAKERBSA-N 0 0 252.270 2.653 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])[C@@H]1C ZINC001225666756 775549487 /nfs/dbraw/zinc/54/94/87/775549487.db2.gz LZVPDKBLARHZSU-FXPVBKGRSA-N 0 0 266.297 2.899 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225668362 775550909 /nfs/dbraw/zinc/55/09/09/775550909.db2.gz ZAJICKXETLSYIE-SNVBAGLBSA-N 0 0 255.245 2.870 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1cc(C)ccc1[N+](=O)[O-] ZINC001225681023 775555826 /nfs/dbraw/zinc/55/58/26/775555826.db2.gz JAYWNDFDWMAPTM-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1c(OCC[C@H]2COC(C)(C)O2)cccc1[N+](=O)[O-] ZINC001225690218 775559676 /nfs/dbraw/zinc/55/96/76/775559676.db2.gz UHQRZBSQKHRQDT-NSHDSACASA-N 0 0 281.308 2.824 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cccc([N+](=O)[O-])c1C ZINC001225690278 775560021 /nfs/dbraw/zinc/56/00/21/775560021.db2.gz VLYJHGDNSPCZBE-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN CC1=C[C@@H](Oc2cc(Cl)ccc2[N+](=O)[O-])CC(=O)O1 ZINC001225709959 775569840 /nfs/dbraw/zinc/56/98/40/775569840.db2.gz FLHYWJJFXWBIGW-SECBINFHSA-N 0 0 283.667 2.846 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC001225712911 775570045 /nfs/dbraw/zinc/57/00/45/775570045.db2.gz MCZRWIGWYAJABJ-SCZZXKLOSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@@H](Oc1cc(=O)[nH]c(=S)[nH]1)c1ccccc1[N+](=O)[O-] ZINC001225765983 775586505 /nfs/dbraw/zinc/58/65/05/775586505.db2.gz PHXPDFMOUNHFCC-SSDOTTSWSA-N 0 0 293.304 2.519 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225775647 775588911 /nfs/dbraw/zinc/58/89/11/775588911.db2.gz HGDIIIMIULMJMB-WRWORJQWSA-N 0 0 273.235 2.677 20 5 CFBDRN Cc1c(C)c(O[C@H](C[N+](=O)[O-])c2cccnc2)ccc1O ZINC001225776215 775589594 /nfs/dbraw/zinc/58/95/94/775589594.db2.gz AWTMIEXLSPTHER-OAHLLOKOSA-N 0 0 288.303 2.801 20 5 CFBDRN C[C@H]1C[C@H](Oc2cc(F)c(F)cc2[N+](=O)[O-])CCO1 ZINC001225778934 775590252 /nfs/dbraw/zinc/59/02/52/775590252.db2.gz LFZPQUONCMTFJD-JGVFFNPUSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@H]1C[C@H](Oc2cccc([N+](=O)[O-])c2F)CCO1 ZINC001225797194 775595989 /nfs/dbraw/zinc/59/59/89/775595989.db2.gz RFWYVJZKAPGQJV-DTWKUNHWSA-N 0 0 255.245 2.680 20 5 CFBDRN C[C@@H](Oc1cccc([N+](=O)[O-])c1F)C1CCOCC1 ZINC001225794089 775596264 /nfs/dbraw/zinc/59/62/64/775596264.db2.gz HXCJOLLNMYYDIM-SECBINFHSA-N 0 0 269.272 2.928 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1cccc([N+](=O)[O-])c1F ZINC001225796437 775596416 /nfs/dbraw/zinc/59/64/16/775596416.db2.gz LDJIUCYIVAMRSP-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN CCOC[C@@H](C)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225803590 775598888 /nfs/dbraw/zinc/59/88/88/775598888.db2.gz FRZJDCRMGMIHEI-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2cccc(O)c21)C1CC1 ZINC001225826582 775607214 /nfs/dbraw/zinc/60/72/14/775607214.db2.gz ILPVVUXBUWGUGO-AWEZNQCLSA-N 0 0 273.288 2.980 20 5 CFBDRN C[C@@H](Oc1c([N+](=O)[O-])cc(F)cc1[N+](=O)[O-])C1CC1 ZINC001225886934 775623594 /nfs/dbraw/zinc/62/35/94/775623594.db2.gz OBNKQGMOESNYKF-ZCFIWIBFSA-N 0 0 270.216 2.819 20 5 CFBDRN C[C@H](Oc1c([N+](=O)[O-])cc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC001225889893 775625285 /nfs/dbraw/zinc/62/52/85/775625285.db2.gz UVNPRHGTCBOGJW-BYPYZUCNSA-N 0 0 298.148 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOC2)cc1C(F)(F)F ZINC001225913610 775632481 /nfs/dbraw/zinc/63/24/81/775632481.db2.gz HCLWBIWLGYYCRE-MRVPVSSYSA-N 0 0 277.198 2.781 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC001225915708 775633445 /nfs/dbraw/zinc/63/34/45/775633445.db2.gz LQHVHUIIWMLXER-MGCOHNPYSA-N 0 0 297.282 2.845 20 5 CFBDRN CC(=O)c1cc(F)cc(F)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225950833 775646450 /nfs/dbraw/zinc/64/64/50/775646450.db2.gz LWSKGTZLXPTRJA-LBPRGKRZSA-N 0 0 285.246 2.602 20 5 CFBDRN CC[C@H](C)O[C@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001204628866 775652252 /nfs/dbraw/zinc/65/22/52/775652252.db2.gz AWMAJRUHFDEAIF-TVQRCGJNSA-N 0 0 267.281 2.548 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2c(F)cccc2[N+](=O)[O-])=C1C ZINC001226008002 775666124 /nfs/dbraw/zinc/66/61/24/775666124.db2.gz WMQOZBDWCPALNO-SNVBAGLBSA-N 0 0 281.239 2.722 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC001226009332 775666203 /nfs/dbraw/zinc/66/62/03/775666203.db2.gz KXYUYHBGIOSUAT-VHSXEESVSA-N 0 0 297.282 2.845 20 5 CFBDRN CC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(C)c1 ZINC001226037850 775677463 /nfs/dbraw/zinc/67/74/63/775677463.db2.gz TYLGEJXMVGWYBK-AWEZNQCLSA-N 0 0 263.293 2.632 20 5 CFBDRN Cc1ccnc(O[C@@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC001226118916 775704980 /nfs/dbraw/zinc/70/49/80/775704980.db2.gz MXOOURXWZFAUID-SNVBAGLBSA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1ccnc(O[C@H](C)c2nccs2)c1[N+](=O)[O-] ZINC001226118387 775705577 /nfs/dbraw/zinc/70/55/77/775705577.db2.gz KSXFMGHMQJDQLG-MRVPVSSYSA-N 0 0 265.294 2.895 20 5 CFBDRN Cc1ccnc(OC2CN(Cc3ccccc3)C2)c1[N+](=O)[O-] ZINC001226117023 775705982 /nfs/dbraw/zinc/70/59/82/775705982.db2.gz XESRGICPECGBHD-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1nccc(C)c1[N+](=O)[O-] ZINC001226121095 775706812 /nfs/dbraw/zinc/70/68/12/775706812.db2.gz GUDLTFRABQVPPL-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN COC1=CC[C@H](Oc2nccc(C)c2[N+](=O)[O-])CC1 ZINC001226121507 775707102 /nfs/dbraw/zinc/70/71/02/775707102.db2.gz PUZLLSQHHOXCTR-NSHDSACASA-N 0 0 264.281 2.760 20 5 CFBDRN Cc1ccnc(O[C@@H]2CCC(=O)CC2(C)C)c1[N+](=O)[O-] ZINC001226120955 775707628 /nfs/dbraw/zinc/70/76/28/775707628.db2.gz ZGXCTAXHITUQJS-LLVKDONJSA-N 0 0 278.308 2.825 20 5 CFBDRN CCCCOC[C@H](C)Oc1nccc(C)c1[N+](=O)[O-] ZINC001226120156 775707718 /nfs/dbraw/zinc/70/77/18/775707718.db2.gz RVOWNXXATFGCSG-NSHDSACASA-N 0 0 268.313 2.882 20 5 CFBDRN Cc1ccnc(O[C@@H](C)Cc2ccco2)c1[N+](=O)[O-] ZINC001226119554 775707952 /nfs/dbraw/zinc/70/79/52/775707952.db2.gz QGMPKRFRGRBTIP-JTQLQIEISA-N 0 0 262.265 2.901 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ncc(Cl)cc2[N+](=O)[O-])O1 ZINC001226130451 775709971 /nfs/dbraw/zinc/70/99/71/775709971.db2.gz NRLPPFDNYHTJMT-CBAPKCEASA-N 0 0 272.688 2.590 20 5 CFBDRN COC1CCC(Oc2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC001226129862 775710230 /nfs/dbraw/zinc/71/02/30/775710230.db2.gz IFNFMPOEPNQJLD-UHFFFAOYSA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C[C@@H]1C ZINC001226167414 775723161 /nfs/dbraw/zinc/72/31/61/775723161.db2.gz IVTVWXBVISTSDN-SCVCMEIPSA-N 0 0 292.335 2.897 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C1 ZINC001226167204 775723291 /nfs/dbraw/zinc/72/32/91/775723291.db2.gz GVDSUIZSZOIGMN-RULNRJAQSA-N 0 0 292.335 2.897 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1C(N)=O)c1cccs1 ZINC001226166814 775723555 /nfs/dbraw/zinc/72/35/55/775723555.db2.gz YORQAEBBVJHBNM-MRVPVSSYSA-N 0 0 292.316 2.895 20 5 CFBDRN CC(C)CC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226167493 775723656 /nfs/dbraw/zinc/72/36/56/775723656.db2.gz KABBWULKVVEVBE-SNVBAGLBSA-N 0 0 280.324 2.897 20 5 CFBDRN C[C@H]1CCC[C@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C1 ZINC001226166947 775723964 /nfs/dbraw/zinc/72/39/64/775723964.db2.gz APCIXJYRSXMVBW-ONGXEEELSA-N 0 0 278.308 2.651 20 5 CFBDRN C[C@@H]1CCC[C@H](C)[C@H]1Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226171679 775725065 /nfs/dbraw/zinc/72/50/65/775725065.db2.gz FMOSBPFIMRUEEP-MSRIBSCDSA-N 0 0 292.335 2.897 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCn3ccnc32)c(F)cc1F ZINC001226191916 775732582 /nfs/dbraw/zinc/73/25/82/775732582.db2.gz UWHYXPJKNWYLSR-JTQLQIEISA-N 0 0 281.218 2.593 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCC=CO2)c(F)cc1F ZINC001226192991 775732782 /nfs/dbraw/zinc/73/27/82/775732782.db2.gz ZKUKKZOCDIEBEO-QMMMGPOBSA-N 0 0 271.219 2.945 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@@H](CO)CC1 ZINC000294027272 775733819 /nfs/dbraw/zinc/73/38/19/775733819.db2.gz LAAIBLWHPYCSPY-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCOC[C@@H]2F)c(Cl)cc1F ZINC001226199167 775735949 /nfs/dbraw/zinc/73/59/49/775735949.db2.gz TWHOQZRCRXLNBM-WPRPVWTQSA-N 0 0 293.653 2.893 20 5 CFBDRN COC(=O)Cc1ccc(O[C@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC001226213289 775739616 /nfs/dbraw/zinc/73/96/16/775739616.db2.gz AIFHYKGNXHDQOT-SNVBAGLBSA-N 0 0 281.308 2.734 20 5 CFBDRN CSc1cccc(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001226237431 775747633 /nfs/dbraw/zinc/74/76/33/775747633.db2.gz HBAFYLAKVGSIBE-LBPRGKRZSA-N 0 0 253.323 2.843 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2C[C@H]3C=C[C@@H]2C3)c(Cl)c1 ZINC001226254645 775757172 /nfs/dbraw/zinc/75/71/72/775757172.db2.gz CJFKFQZBWXGPAS-RNSXUZJQSA-N 0 0 266.684 2.987 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cc1Cl)c1cnccn1 ZINC001226257516 775758750 /nfs/dbraw/zinc/75/87/50/775758750.db2.gz HBAYIEDTYDSWLQ-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2cc([N+](=O)[O-])ccc2F)C1(C)C ZINC001226270864 775763911 /nfs/dbraw/zinc/76/39/11/775763911.db2.gz VJNHEWGPBMBHQG-CABZTGNLSA-N 0 0 297.282 2.700 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(O[C@H]2COc3cnccc32)c1 ZINC001226271180 775765458 /nfs/dbraw/zinc/76/54/58/775765458.db2.gz YKZFUGNWMFOJFQ-ZDUSSCGKSA-N 0 0 276.223 2.641 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226291087 775768893 /nfs/dbraw/zinc/76/88/93/775768893.db2.gz BSHPTIHFPYVHMQ-JTQLQIEISA-N 0 0 281.308 2.991 20 5 CFBDRN CC(=O)c1ccc(OC2CN(C(C)(C)C)C2)c([N+](=O)[O-])c1 ZINC001226289618 775768912 /nfs/dbraw/zinc/76/89/12/775768912.db2.gz XNUQCUSONOQGKP-UHFFFAOYSA-N 0 0 292.335 2.659 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@H]1COCc2ccccc21 ZINC001226334410 775783007 /nfs/dbraw/zinc/78/30/07/775783007.db2.gz ULBKMCTVHFWLCG-AWEZNQCLSA-N 0 0 287.271 2.951 20 5 CFBDRN Cc1cnc(Cl)c(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001226356519 775787315 /nfs/dbraw/zinc/78/73/15/775787315.db2.gz NFZJPMHJMDJCTN-GFCCVEGCSA-N 0 0 293.710 2.835 20 5 CFBDRN CCc1nc(C)ccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001226412077 775810394 /nfs/dbraw/zinc/81/03/94/775810394.db2.gz KYDMIZHBSGNREU-OAHLLOKOSA-N 0 0 287.319 2.744 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])cc2F)[nH]c1C ZINC000709056460 775818957 /nfs/dbraw/zinc/81/89/57/775818957.db2.gz IIBOLGDHBSXCGM-UHFFFAOYSA-N 0 0 264.260 2.686 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ncnc2ccc([N+](=O)[O-])cc21 ZINC001226436526 775823151 /nfs/dbraw/zinc/82/31/51/775823151.db2.gz MLZFDFSFVDFIRF-CYBMUJFWSA-N 0 0 289.291 2.675 20 5 CFBDRN CC(=O)N1CC(Oc2ccc(C(C)(C)C)cc2[N+](=O)[O-])C1 ZINC001226445626 775825717 /nfs/dbraw/zinc/82/57/17/775825717.db2.gz FDDDPUROBAAAJF-UHFFFAOYSA-N 0 0 292.335 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCO2)c2ccccc21 ZINC001226510139 775853070 /nfs/dbraw/zinc/85/30/70/775853070.db2.gz ZEXXLAOQUYGZKA-JTQLQIEISA-N 0 0 259.261 2.916 20 5 CFBDRN Cc1nc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)cc(=O)[nH]1 ZINC001226512903 775855608 /nfs/dbraw/zinc/85/56/08/775855608.db2.gz WKOVNSHTYGUCGV-QMMMGPOBSA-N 0 0 275.264 2.539 20 5 CFBDRN C[C@@H](COc1ccccc1)Oc1ccc([N+](=O)[O-])nc1 ZINC001226710153 775932038 /nfs/dbraw/zinc/93/20/38/775932038.db2.gz NGEDFODUJUCSHM-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN C[C@H](Oc1ncncc1F)c1cccc([N+](=O)[O-])c1 ZINC001226710718 775933464 /nfs/dbraw/zinc/93/34/64/775933464.db2.gz NHLODYDOYHSEFB-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H](c2cccnc2)C2CC2)cn1 ZINC001226711330 775934046 /nfs/dbraw/zinc/93/40/46/775934046.db2.gz CFQYDFZNJZZZSH-CQSZACIVSA-N 0 0 271.276 2.915 20 5 CFBDRN CC(C)(CCO)Nc1cc([N+](=O)[O-])ccc1Cl ZINC001167739443 775935401 /nfs/dbraw/zinc/93/54/01/775935401.db2.gz JGWODRRCNCCOKH-UHFFFAOYSA-N 0 0 258.705 2.821 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2ncc([N+](=O)[O-])cn2)[C@H]1C ZINC001226734010 775942758 /nfs/dbraw/zinc/94/27/58/775942758.db2.gz VSRFAYSGOIYPRY-WCABBAIRSA-N 0 0 251.286 2.588 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1cccc([N+](=O)[O-])c1 ZINC001226762197 775952509 /nfs/dbraw/zinc/95/25/09/775952509.db2.gz AJLPOKKJLMGDAZ-OLZOCXBDSA-N 0 0 279.292 2.705 20 5 CFBDRN CC(C)CCOC(=O)[C@H](C)Oc1cccc([N+](=O)[O-])c1 ZINC001226763364 775952964 /nfs/dbraw/zinc/95/29/64/775952964.db2.gz QUTXJMWFJGKKQD-NSHDSACASA-N 0 0 281.308 2.951 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1cccc([N+](=O)[O-])c1 ZINC001226762199 775953284 /nfs/dbraw/zinc/95/32/84/775953284.db2.gz AJLPOKKJLMGDAZ-STQMWFEESA-N 0 0 279.292 2.705 20 5 CFBDRN COc1ccnc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001226821405 775978568 /nfs/dbraw/zinc/97/85/68/775978568.db2.gz WENYTVPAUDGJES-AWEZNQCLSA-N 0 0 286.287 2.545 20 5 CFBDRN Cc1cc(O[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC001226844368 775989143 /nfs/dbraw/zinc/98/91/43/775989143.db2.gz XSSOGHKOOBZFON-CMPLNLGQSA-N 0 0 251.282 2.850 20 5 CFBDRN CC(C)OC(=O)COc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC001226909252 776013857 /nfs/dbraw/zinc/01/38/57/776013857.db2.gz RENGWMNQXAUWRI-UHFFFAOYSA-N 0 0 291.662 2.718 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cc(Cl)c([N+](=O)[O-])cn2)CCO1 ZINC001226915368 776016389 /nfs/dbraw/zinc/01/63/89/776016389.db2.gz GPNJYWFQNDTHKV-SFYZADRCSA-N 0 0 272.688 2.590 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1cc(Cl)c([N+](=O)[O-])cn1 ZINC001226916057 776016625 /nfs/dbraw/zinc/01/66/25/776016625.db2.gz XEAAXXYAXMXSLA-QWRGUYRKSA-N 0 0 286.715 2.980 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC001226953106 776032819 /nfs/dbraw/zinc/03/28/19/776032819.db2.gz SBIFTPLTHDNZIB-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN CCOCC(COCC)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC001226951388 776032893 /nfs/dbraw/zinc/03/28/93/776032893.db2.gz ZWQZBJDFHRSERD-UHFFFAOYSA-N 0 0 283.324 2.724 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1c(C)cccc1[N+](=O)[O-] ZINC001226967984 776037997 /nfs/dbraw/zinc/03/79/97/776037997.db2.gz CCVRJDDINJESML-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H](C)c1ncccn1 ZINC001226968906 776038591 /nfs/dbraw/zinc/03/85/91/776038591.db2.gz JRNSPKULLLNXBI-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H](C)c1cnccn1 ZINC001226971278 776040156 /nfs/dbraw/zinc/04/01/56/776040156.db2.gz KXKHRKWWGWTIAX-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2c(C)cccc2[N+](=O)[O-])C1(C)C ZINC001226972374 776040179 /nfs/dbraw/zinc/04/01/79/776040179.db2.gz XCKXWBGEDQWTRL-JQWIXIFHSA-N 0 0 293.319 2.870 20 5 CFBDRN Cc1nc(=O)[nH]c(O[C@H](C)c2cccc([N+](=O)[O-])c2)c1C ZINC001226982446 776048028 /nfs/dbraw/zinc/04/80/28/776048028.db2.gz RVAWLTHFPFVZSC-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN O=S1(=O)CC(Oc2nc3ccccc3c3ccccc32)C1 ZINC001226993453 776052919 /nfs/dbraw/zinc/05/29/19/776052919.db2.gz WXDOLJNVQVLESV-UHFFFAOYSA-N 0 0 299.351 2.564 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1cc([N+](=O)[O-])ccc1C ZINC001226992112 776053206 /nfs/dbraw/zinc/05/32/06/776053206.db2.gz IEZKALMXXLBNOH-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OC2CCSCC2)c1 ZINC001227047342 776072261 /nfs/dbraw/zinc/07/22/61/776072261.db2.gz SHJGMPWEKYDOIZ-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN COC(C)(C)CO[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001222358118 776097279 /nfs/dbraw/zinc/09/72/79/776097279.db2.gz BCCXUKBNGFDKPI-ZDUSSCGKSA-N 0 0 265.309 2.504 20 5 CFBDRN C[C@@H](Cc1ccco1)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227111240 776102166 /nfs/dbraw/zinc/10/21/66/776102166.db2.gz JWGCYPXUMZDUIA-QMMMGPOBSA-N 0 0 293.235 2.501 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1CC[C@@H](C)C1 ZINC001227122817 776107061 /nfs/dbraw/zinc/10/70/61/776107061.db2.gz VGRYTDFHTFBDRI-NXEZZACHSA-N 0 0 279.292 2.949 20 5 CFBDRN O=C1C[C@H](Oc2cc(Cl)c(Cl)cc2[N+](=O)[O-])CO1 ZINC001227131439 776110239 /nfs/dbraw/zinc/11/02/39/776110239.db2.gz ZTDRMSSJQGYQPV-YFKPBYRVSA-N 0 0 292.074 2.596 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H]1COc2cnccc21 ZINC001227188112 776128862 /nfs/dbraw/zinc/12/88/62/776128862.db2.gz RJQYLQZZOVITSA-CQSZACIVSA-N 0 0 288.259 2.511 20 5 CFBDRN Cc1ccc(O[C@H](C)c2ccncc2)c([N+](=O)[O-])n1 ZINC001227220040 776142485 /nfs/dbraw/zinc/14/24/85/776142485.db2.gz OZGOXPGTRVWFQV-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCC[C@H](CC(=O)OCC)Oc1ccc(C)nc1[N+](=O)[O-] ZINC001227222996 776143868 /nfs/dbraw/zinc/14/38/68/776143868.db2.gz ZRFYWOLVKSAWRQ-LLVKDONJSA-N 0 0 296.323 2.799 20 5 CFBDRN Cc1ccc(O[C@H](C)c2cccnc2)c([N+](=O)[O-])n1 ZINC001227221255 776143975 /nfs/dbraw/zinc/14/39/75/776143975.db2.gz XCSBNEKQRHSMNX-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cn2c(n1)[C@@H](Oc1ccc(C)nc1[N+](=O)[O-])CCC2 ZINC001227222307 776144120 /nfs/dbraw/zinc/14/41/20/776144120.db2.gz LCALZDOSCVCPTP-NSHDSACASA-N 0 0 288.307 2.717 20 5 CFBDRN CCC[C@H](CC)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC001125944962 776149051 /nfs/dbraw/zinc/14/90/51/776149051.db2.gz HKBBWZAFOONYDI-LBPRGKRZSA-N 0 0 294.351 2.916 20 5 CFBDRN Cc1cnc(O[C@H]2Cc3ccncc3[C@H]2C)c([N+](=O)[O-])c1 ZINC001227236113 776149390 /nfs/dbraw/zinc/14/93/90/776149390.db2.gz CMDCQJMGFBICRU-YGRLFVJLSA-N 0 0 285.303 2.800 20 5 CFBDRN Cc1cnc(O[C@@H](C)COC(C)(C)C)c([N+](=O)[O-])c1 ZINC001227235614 776149467 /nfs/dbraw/zinc/14/94/67/776149467.db2.gz VBWXDOCCIGKSND-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1cnc(O[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 ZINC001227237170 776150848 /nfs/dbraw/zinc/15/08/48/776150848.db2.gz RWKOXKPPUIOWCJ-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC001227334045 776178834 /nfs/dbraw/zinc/17/88/34/776178834.db2.gz CJCUUEPFGHPYEF-QMMMGPOBSA-N 0 0 294.698 2.882 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nc2c(cccc2F)s1)C1CC1 ZINC001227353398 776185248 /nfs/dbraw/zinc/18/52/48/776185248.db2.gz VWEKJXSEIKZMFR-VIFPVBQESA-N 0 0 282.296 2.870 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1Cl)ncnc2OC1CC1 ZINC001227364144 776186616 /nfs/dbraw/zinc/18/66/16/776186616.db2.gz LYGWEBHYBFVWMH-UHFFFAOYSA-N 0 0 265.656 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cc(O)ccc1O)C2 ZINC001227401441 776192279 /nfs/dbraw/zinc/19/22/79/776192279.db2.gz JNEPGIAISXAOKD-CYBMUJFWSA-N 0 0 287.271 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCSCC2)c([N+](=O)[O-])c1 ZINC001227463717 776208234 /nfs/dbraw/zinc/20/82/34/776208234.db2.gz RHJIWURPDLNDDY-UHFFFAOYSA-N 0 0 284.293 2.777 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(F)(F)F ZINC001227463110 776208774 /nfs/dbraw/zinc/20/87/74/776208774.db2.gz NFWISEHGLCCQOV-YFKPBYRVSA-N 0 0 280.158 2.833 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227466127 776209455 /nfs/dbraw/zinc/20/94/55/776209455.db2.gz LCWJVBOWTLYGOY-VIFPVBQESA-N 0 0 284.268 2.697 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227467609 776209802 /nfs/dbraw/zinc/20/98/02/776209802.db2.gz UIYCWFIRVYUGAF-STQMWFEESA-N 0 0 296.279 2.839 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccccc2[N+](=O)[O-])=C1C ZINC001227478653 776211223 /nfs/dbraw/zinc/21/12/23/776211223.db2.gz ABWZUGSXRHMZMZ-JTQLQIEISA-N 0 0 263.249 2.583 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2ccccc2[N+](=O)[O-])CC1 ZINC001227483931 776212473 /nfs/dbraw/zinc/21/24/73/776212473.db2.gz FDLWSQLXBAAZGZ-PHIMTYICSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1c(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])cnn1C ZINC000124831841 776214508 /nfs/dbraw/zinc/21/45/08/776214508.db2.gz AKZAUKWGRJTRRU-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN CCC[C@@H](C)Oc1c([N+](=O)[O-])cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227498747 776215091 /nfs/dbraw/zinc/21/50/91/776215091.db2.gz SHNLFRYKMJATIT-SSDOTTSWSA-N 0 0 299.239 2.979 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC001227519611 776218073 /nfs/dbraw/zinc/21/80/73/776218073.db2.gz AYQKHTKFTBSJPX-JTQLQIEISA-N 0 0 261.281 2.777 20 5 CFBDRN Cc1cc(=O)oc2cc(O[C@@H](C[N+](=O)[O-])C3CC3)ccc12 ZINC001227543965 776225498 /nfs/dbraw/zinc/22/54/98/776225498.db2.gz ZDLQYEVAIISEEF-AWEZNQCLSA-N 0 0 289.287 2.536 20 5 CFBDRN CC(=O)[C@H](C)Oc1ccc(OC(F)(F)F)cc1[N+](=O)[O-] ZINC001227604830 776240898 /nfs/dbraw/zinc/24/08/98/776240898.db2.gz ZABIFEVKKRPUHS-ZETCQYMHSA-N 0 0 293.197 2.850 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2c1CCCC2)C1CC1 ZINC001227688286 776257423 /nfs/dbraw/zinc/25/74/23/776257423.db2.gz PYXLRZCNLJIHKP-OAHLLOKOSA-N 0 0 261.321 3.000 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H](C)c1cn(C)nc1C ZINC001227698762 776261035 /nfs/dbraw/zinc/26/10/35/776261035.db2.gz XABPTXMNULHNJW-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CC12CCC2 ZINC000721263440 776262560 /nfs/dbraw/zinc/26/25/60/776262560.db2.gz QOAYSSAEZQYOJQ-SECBINFHSA-N 0 0 253.689 2.998 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](Oc2ccsn2)C1 ZINC001227734848 776272978 /nfs/dbraw/zinc/27/29/78/776272978.db2.gz ROCFWIOTESCRAR-JTQLQIEISA-N 0 0 291.332 2.709 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(OC[C@H]3CCC=CO3)nc2c1 ZINC001227745930 776276644 /nfs/dbraw/zinc/27/66/44/776276644.db2.gz PAJIBVOYADWSTG-SNVBAGLBSA-N 0 0 275.264 2.543 20 5 CFBDRN Cc1cc(F)cc(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001227846830 776308183 /nfs/dbraw/zinc/30/81/83/776308183.db2.gz AOYIVSRYTROQHH-CQSZACIVSA-N 0 0 276.267 2.926 20 5 CFBDRN CC(C)C[C@H](Oc1cncc([N+](=O)[O-])c1)C(=O)OC(C)C ZINC001227988176 776350799 /nfs/dbraw/zinc/35/07/99/776350799.db2.gz QAORGPIRMSFXNW-ZDUSSCGKSA-N 0 0 296.323 2.735 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@H]2CCCc3cccnc32)c1 ZINC001227987587 776350842 /nfs/dbraw/zinc/35/08/42/776350842.db2.gz ILEWBQWELFPDFW-ZDUSSCGKSA-N 0 0 271.276 2.841 20 5 CFBDRN CC(=O)[C@H](Oc1cncc([N+](=O)[O-])c1)c1ccccc1 ZINC001227991786 776354157 /nfs/dbraw/zinc/35/41/57/776354157.db2.gz ZRVOLNHVMXYGQM-AWEZNQCLSA-N 0 0 272.260 2.699 20 5 CFBDRN C[C@@H](O)CCCC(=O)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228000513 776356823 /nfs/dbraw/zinc/35/68/23/776356823.db2.gz MBCXJAVENBGEQU-MRVPVSSYSA-N 0 0 287.699 2.705 20 5 CFBDRN CC(C)(C)N1CC(Oc2nccc(Cl)c2[N+](=O)[O-])C1 ZINC001228013983 776363573 /nfs/dbraw/zinc/36/35/73/776363573.db2.gz BVLBEGMLDWBPSU-UHFFFAOYSA-N 0 0 285.731 2.505 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc([N+](=O)[O-])cc(F)c2F)=C1C ZINC001228017651 776365440 /nfs/dbraw/zinc/36/54/40/776365440.db2.gz YMMWEJXSIDFPAB-SECBINFHSA-N 0 0 299.229 2.861 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)c(OC[C@H]2CCC=CO2)c1 ZINC001228017593 776366429 /nfs/dbraw/zinc/36/64/29/776366429.db2.gz XAWHWGVAUJCRMG-SECBINFHSA-N 0 0 271.219 2.945 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cc([N+](=O)[O-])cc(F)c2F)O1 ZINC001228026127 776366546 /nfs/dbraw/zinc/36/65/46/776366546.db2.gz YDSIGBZXTFIQDE-CBAPKCEASA-N 0 0 273.235 2.819 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@H]3CCC[C@@H]32)n1 ZINC001126173448 776370016 /nfs/dbraw/zinc/37/00/16/776370016.db2.gz FTUHFFHUBSDUQW-RISCZKNCSA-N 0 0 289.335 2.703 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nc(Cl)nc2ccccc21)C1CC1 ZINC001228036827 776370480 /nfs/dbraw/zinc/37/04/80/776370480.db2.gz UZROJGWACFZHQB-LLVKDONJSA-N 0 0 293.710 2.717 20 5 CFBDRN C[C@H](Oc1ccc(O)cn1)c1ccccc1[N+](=O)[O-] ZINC001228034889 776370754 /nfs/dbraw/zinc/37/07/54/776370754.db2.gz MDRPYPYZDZMVLU-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN Cc1c([C@H](C)Oc2ccnc(Cl)c2[N+](=O)[O-])cnn1C ZINC001228054757 776379086 /nfs/dbraw/zinc/37/90/86/776379086.db2.gz NNLOAWIOWPOXGS-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCn3ccnc32)c2ncccc12 ZINC001228135756 776405344 /nfs/dbraw/zinc/40/53/44/776405344.db2.gz MNLKBYOIOVHSAG-ZDUSSCGKSA-N 0 0 296.286 2.863 20 5 CFBDRN CCC[C@@H](C)[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C(C)C ZINC001228140945 776407406 /nfs/dbraw/zinc/40/74/06/776407406.db2.gz OHXKYAHBWCMVKB-MWLCHTKSSA-N 0 0 283.328 2.930 20 5 CFBDRN Cc1ccccc1[C@@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228143128 776408132 /nfs/dbraw/zinc/40/81/32/776408132.db2.gz JQYOVOFMXLBSPF-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN CC(C)[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1ccccc1 ZINC001228143555 776408193 /nfs/dbraw/zinc/40/81/93/776408193.db2.gz MRJPLDJKIRPLOK-GFCCVEGCSA-N 0 0 289.291 2.867 20 5 CFBDRN CC(C)CC(CC(C)C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228143854 776408364 /nfs/dbraw/zinc/40/83/64/776408364.db2.gz QQZFSKGVAMWHCT-UHFFFAOYSA-N 0 0 283.328 2.930 20 5 CFBDRN CSc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001228156117 776412445 /nfs/dbraw/zinc/41/24/45/776412445.db2.gz WXXVJAOMKYPLRD-GFCCVEGCSA-N 0 0 253.323 2.843 20 5 CFBDRN CCCOc1ccccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001228179655 776416506 /nfs/dbraw/zinc/41/65/06/776416506.db2.gz OPXFDGBBTKTERP-CQSZACIVSA-N 0 0 265.309 2.910 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2[nH]ccc21)c1cccnc1 ZINC001228183609 776417501 /nfs/dbraw/zinc/41/75/01/776417501.db2.gz ZOROBLKJBXLAGS-OAHLLOKOSA-N 0 0 283.287 2.960 20 5 CFBDRN CC1(C)OC[C@H](COc2cc(Cl)cc([N+](=O)[O-])c2)O1 ZINC001228255556 776435418 /nfs/dbraw/zinc/43/54/18/776435418.db2.gz IKINQJLDHIUXKS-NSHDSACASA-N 0 0 287.699 2.779 20 5 CFBDRN CC(C)c1cccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)n1 ZINC001228317693 776457362 /nfs/dbraw/zinc/45/73/62/776457362.db2.gz APWRQBWBMAUINY-AWEZNQCLSA-N 0 0 287.319 2.997 20 5 CFBDRN Cc1ncnc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1C ZINC001228320260 776458588 /nfs/dbraw/zinc/45/85/88/776458588.db2.gz LJLPYWRSBBZRAR-AWEZNQCLSA-N 0 0 285.303 2.548 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@H]1CCCCC1=O ZINC001228449781 776494019 /nfs/dbraw/zinc/49/40/19/776494019.db2.gz DPCYSQZZZGBSRI-AWEZNQCLSA-N 0 0 291.303 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccc(F)nc1F)C2 ZINC001228536099 776514827 /nfs/dbraw/zinc/51/48/27/776514827.db2.gz JJGBTHMZDJZJKL-NSHDSACASA-N 0 0 292.241 2.814 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccnc(Cl)c1F)c1cccnc1 ZINC001228577617 776527491 /nfs/dbraw/zinc/52/74/91/776527491.db2.gz PCIMTIJHUNQNQP-JTQLQIEISA-N 0 0 297.673 2.666 20 5 CFBDRN CCC[C@H](CC)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604863 776537516 /nfs/dbraw/zinc/53/75/16/776537516.db2.gz XGZKUYQDALKCTP-VIFPVBQESA-N 0 0 281.312 2.925 20 5 CFBDRN CC[C@@H](C)CC[C@@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228655372 776553212 /nfs/dbraw/zinc/55/32/12/776553212.db2.gz RKJNLYJAMMBVAL-NXEZZACHSA-N 0 0 253.302 2.978 20 5 CFBDRN CCOc1ccc([C@H](C)Oc2ncncc2[N+](=O)[O-])cc1 ZINC001228654073 776553629 /nfs/dbraw/zinc/55/36/29/776553629.db2.gz FTVJXZHKNKMDHF-JTQLQIEISA-N 0 0 289.291 2.924 20 5 CFBDRN C[C@H](CCc1ccccc1)Oc1ncncc1[N+](=O)[O-] ZINC001228654396 776553644 /nfs/dbraw/zinc/55/36/44/776553644.db2.gz KEBRMBXFXWLUJN-LLVKDONJSA-N 0 0 273.292 2.785 20 5 CFBDRN CC(C)C1CCC(Oc2ncncc2[N+](=O)[O-])CC1 ZINC001228654039 776553953 /nfs/dbraw/zinc/55/39/53/776553953.db2.gz FFSSMTJXXZILQP-UHFFFAOYSA-N 0 0 265.313 2.978 20 5 CFBDRN CC1(C)CCC(Oc2ncncc2[N+](=O)[O-])CC1 ZINC001228655519 776554050 /nfs/dbraw/zinc/55/40/50/776554050.db2.gz AEXDXBYLMVSDGA-UHFFFAOYSA-N 0 0 251.286 2.732 20 5 CFBDRN C[C@H]1CCC[C@@H]1Oc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC001228657911 776554508 /nfs/dbraw/zinc/55/45/08/776554508.db2.gz NFXYNSDGPQXUSS-CABZTGNLSA-N 0 0 299.348 2.566 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ncncc2[N+](=O)[O-])c(F)c1 ZINC001228659744 776555995 /nfs/dbraw/zinc/55/59/95/776555995.db2.gz LNAPXGOKNGNWCJ-MRVPVSSYSA-N 0 0 293.254 2.673 20 5 CFBDRN Cc1ccc([C@H](C)Oc2cc(=O)[nH]c(C)c2[N+](=O)[O-])cn1 ZINC001228686154 776567555 /nfs/dbraw/zinc/56/75/55/776567555.db2.gz LVUYMZSUEUPPFJ-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC001228687608 776569861 /nfs/dbraw/zinc/56/98/61/776569861.db2.gz ZALGVBOFYHZKMJ-APPZFPTMSA-N 0 0 252.270 2.571 20 5 CFBDRN O=C1OCCC[C@@H]1Oc1ccc2nc3ccccc3nc2c1 ZINC001228742465 776593469 /nfs/dbraw/zinc/59/34/69/776593469.db2.gz ZBTAXJWXIXMRLP-INIZCTEOSA-N 0 0 294.310 2.867 20 5 CFBDRN CCC[C@@H](C)Oc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC001228769720 776602971 /nfs/dbraw/zinc/60/29/71/776602971.db2.gz YPLUDEZDKBGDQZ-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C)C2CCC2)cc([N+](=O)[O-])c1 ZINC001228769477 776603287 /nfs/dbraw/zinc/60/32/87/776603287.db2.gz SLWJUQKAHJZLOQ-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN CC[C@H](C)Oc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC001228768344 776603561 /nfs/dbraw/zinc/60/35/61/776603561.db2.gz IKQQDQLIEJMIHB-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN Cc1cc(OC2CN(C(C)(C)C)C2)cc([N+](=O)[O-])c1 ZINC001228833458 776624456 /nfs/dbraw/zinc/62/44/56/776624456.db2.gz LHHNGHZAWUSZON-UHFFFAOYSA-N 0 0 264.325 2.765 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(C)cc([N+](=O)[O-])c1)OC ZINC001228832890 776625562 /nfs/dbraw/zinc/62/55/62/776625562.db2.gz FVTJACFOPMXXRE-SNVBAGLBSA-N 0 0 269.297 2.680 20 5 CFBDRN COC(C[C@H](C)Oc1cc(C)cc([N+](=O)[O-])c1)OC ZINC001228832889 776625920 /nfs/dbraw/zinc/62/59/20/776625920.db2.gz FVTJACFOPMXXRE-JTQLQIEISA-N 0 0 269.297 2.680 20 5 CFBDRN Cc1cc(O[C@@H]2CCOC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC001228838570 776628987 /nfs/dbraw/zinc/62/89/87/776628987.db2.gz ONBICJYWVGWPQG-GXFFZTMASA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1cc(O[C@H]2CCOC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC001228838568 776629238 /nfs/dbraw/zinc/62/92/38/776629238.db2.gz ONBICJYWVGWPQG-GWCFXTLKSA-N 0 0 251.282 2.707 20 5 CFBDRN CCOC(=O)C(F)(F)CNc1c(C)cccc1[N+](=O)[O-] ZINC001167851156 776643092 /nfs/dbraw/zinc/64/30/92/776643092.db2.gz HXLCYRNOFXWDJX-UHFFFAOYSA-N 0 0 288.250 2.514 20 5 CFBDRN C[C@@H](Oc1cccc2c1CNC2=O)c1cccc([N+](=O)[O-])c1 ZINC001228902501 776651134 /nfs/dbraw/zinc/65/11/34/776651134.db2.gz TWKVWXVROYISMI-SNVBAGLBSA-N 0 0 298.298 2.978 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@H]1CCCC2(C1)OCCO2 ZINC001228920769 776657224 /nfs/dbraw/zinc/65/72/24/776657224.db2.gz JGMDTSKMUWVYEV-ZDUSSCGKSA-N 0 0 293.319 2.968 20 5 CFBDRN C[C@H]1C[C@H](Oc2ccc([N+](=O)[O-])c(Cl)n2)CCO1 ZINC001228937509 776663199 /nfs/dbraw/zinc/66/31/99/776663199.db2.gz GKFQZDNABSAXDT-JGVFFNPUSA-N 0 0 272.688 2.590 20 5 CFBDRN C[C@H](O[C@@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC001223427395 776664793 /nfs/dbraw/zinc/66/47/93/776664793.db2.gz MJHINUBIMWBQQW-GXFFZTMASA-N 0 0 251.282 2.851 20 5 CFBDRN CC(=O)c1c(C)cccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001228992287 776681214 /nfs/dbraw/zinc/68/12/14/776681214.db2.gz IPIWVICYIZHOJL-ZDUSSCGKSA-N 0 0 263.293 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(O[C@H]3CCOC[C@@H]3F)c2c1 ZINC001229008378 776687206 /nfs/dbraw/zinc/68/72/06/776687206.db2.gz MEIUFJUURUQYGO-STQMWFEESA-N 0 0 292.266 2.649 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nsc2cc(F)ccc21)C1CC1 ZINC001229043530 776699101 /nfs/dbraw/zinc/69/91/01/776699101.db2.gz GEPBXXCNEYUVFV-SNVBAGLBSA-N 0 0 282.296 2.870 20 5 CFBDRN CCC[C@@H](C)Oc1cccc([N+](=O)[O-])c1C(=O)OC ZINC001229047387 776700136 /nfs/dbraw/zinc/70/01/36/776700136.db2.gz YRLVRNCIKBKDEE-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1OC(C1CC1)C1CC1 ZINC001229059242 776704208 /nfs/dbraw/zinc/70/42/08/776704208.db2.gz KNFUQMOSGXABSG-UHFFFAOYSA-N 0 0 291.303 2.949 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])cc1C(=O)OC ZINC001229058863 776704685 /nfs/dbraw/zinc/70/46/85/776704685.db2.gz HEDQFZZUEJFAFZ-LBPRGKRZSA-N 0 0 295.291 2.518 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CC[C@@H](C)C1 ZINC001229056886 776704728 /nfs/dbraw/zinc/70/47/28/776704728.db2.gz WTGRVALLJXOSCS-MWLCHTKSSA-N 0 0 279.292 2.949 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114260 776722204 /nfs/dbraw/zinc/72/22/04/776722204.db2.gz HXUMLKFOECBZOE-TYDXBBDOSA-N 0 0 295.335 2.753 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229118536 776725966 /nfs/dbraw/zinc/72/59/66/776725966.db2.gz GEQUGFSIOLFRPM-ANYFNZRUSA-N 0 0 279.292 2.655 20 5 CFBDRN CC(=O)c1cccc(OC[C@@H]2CCO[C@@H]2C)c1[N+](=O)[O-] ZINC001229132596 776729122 /nfs/dbraw/zinc/72/91/22/776729122.db2.gz UTQHXDQZWPKHBQ-MNOVXSKESA-N 0 0 279.292 2.601 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COCc1cccc(C)c1[N+](=O)[O-] ZINC001223665880 776746004 /nfs/dbraw/zinc/74/60/04/776746004.db2.gz ZSXSZJQXLJAIOP-STQMWFEESA-N 0 0 297.351 2.860 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1CCOC[C@H]1C ZINC001229201725 776750707 /nfs/dbraw/zinc/75/07/07/776750707.db2.gz QYUSIUFBGGHPPU-SKDRFNHKSA-N 0 0 269.272 2.846 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC[C@@H]1CCCCO1 ZINC001229199793 776751515 /nfs/dbraw/zinc/75/15/15/776751515.db2.gz ZNEVQBQIUBOHIP-NSHDSACASA-N 0 0 269.272 2.990 20 5 CFBDRN O=c1[nH]nc(O[C@H]2C=CCCC2)c2cc([N+](=O)[O-])ccc12 ZINC001229364654 776791404 /nfs/dbraw/zinc/79/14/04/776791404.db2.gz KHUKYOLNILZPNX-JTQLQIEISA-N 0 0 287.275 2.731 20 5 CFBDRN C[C@@H](Oc1n[nH]c(=O)c2cccc([N+](=O)[O-])c21)C1CCC1 ZINC001229367876 776792305 /nfs/dbraw/zinc/79/23/05/776792305.db2.gz UDWDKFSCKFXKHJ-MRVPVSSYSA-N 0 0 289.291 2.811 20 5 CFBDRN C[C@@H](Oc1cccc(=O)[nH]1)c1ccccc1[N+](=O)[O-] ZINC001229366480 776792639 /nfs/dbraw/zinc/79/26/39/776792639.db2.gz ZVPWLCSMEOFRCY-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(OC2Cc3ccccc3C2)c1 ZINC001229398635 776799497 /nfs/dbraw/zinc/79/94/97/776799497.db2.gz WYOKVHMEIUYNLW-UHFFFAOYSA-N 0 0 271.272 2.847 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1O)c1ccncc1F ZINC001229395570 776799980 /nfs/dbraw/zinc/79/99/80/776799980.db2.gz JNMARUDWWDJNNR-QMMMGPOBSA-N 0 0 278.239 2.975 20 5 CFBDRN CCCC[C@@H](CCC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452806 776817398 /nfs/dbraw/zinc/81/73/98/776817398.db2.gz WSQFYDHOVFBEJE-LLVKDONJSA-N 0 0 268.313 2.966 20 5 CFBDRN CC(C)[C@H]1CC[C@H](Oc2cc[n+]([O-])cc2[N+](=O)[O-])CC1 ZINC001229455396 776818784 /nfs/dbraw/zinc/81/87/84/776818784.db2.gz GZGHPZROGAGZDD-HAQNSBGRSA-N 0 0 280.324 2.822 20 5 CFBDRN C[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1cccc(F)c1 ZINC001229455143 776819065 /nfs/dbraw/zinc/81/90/65/776819065.db2.gz VRXXRBWSEAWAKG-VIFPVBQESA-N 0 0 278.239 2.507 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229488569 776828054 /nfs/dbraw/zinc/82/80/54/776828054.db2.gz WWHAQSBBDNAYNZ-NSHDSACASA-N 0 0 278.264 2.873 20 5 CFBDRN Cc1cc(O)c(OCC[C@H]2COC(C)(C)O2)cc1[N+](=O)[O-] ZINC001229750669 776887884 /nfs/dbraw/zinc/88/78/84/776887884.db2.gz QAMPQAUOLDXGGO-JTQLQIEISA-N 0 0 297.307 2.529 20 5 CFBDRN COC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(Cl)c1 ZINC001229751300 776888087 /nfs/dbraw/zinc/88/80/87/776888087.db2.gz NRIDMQPKFVBCIC-GFCCVEGCSA-N 0 0 299.710 2.561 20 5 CFBDRN Cc1cc(O)c(O[C@@H]2CCOC(C)(C)C2)cc1[N+](=O)[O-] ZINC001229755762 776889267 /nfs/dbraw/zinc/88/92/67/776889267.db2.gz ZWOZTAXDWWXJDU-SNVBAGLBSA-N 0 0 281.308 2.945 20 5 CFBDRN CC[C@H](C)Oc1c([N+](=O)[O-])cc(C)c(OC)c1[N+](=O)[O-] ZINC001229870674 776908357 /nfs/dbraw/zinc/90/83/57/776908357.db2.gz PGNANKRZFDJQNG-QMMMGPOBSA-N 0 0 284.268 2.997 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc([N+](=O)[O-])c(C)c1C)OC ZINC001229880981 776909334 /nfs/dbraw/zinc/90/93/34/776909334.db2.gz LSHKPMRXKFKCQG-SECBINFHSA-N 0 0 283.324 2.988 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2C[NH+]3CCC2CC3)cc1F ZINC001229892617 776912929 /nfs/dbraw/zinc/91/29/29/776912929.db2.gz CIFOSDBGMBWFHX-AWEZNQCLSA-N 0 0 280.299 2.515 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cc(F)c(C)cc1[N+](=O)[O-])C1CC1 ZINC001229895310 776913146 /nfs/dbraw/zinc/91/31/46/776913146.db2.gz AGKPSCKZPMOCIK-ZDUSSCGKSA-N 0 0 297.282 2.763 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1O[C@H](C)C1CC1 ZINC001229957847 776925158 /nfs/dbraw/zinc/92/51/58/776925158.db2.gz MJAQYMBVZTXHDD-SECBINFHSA-N 0 0 279.292 2.867 20 5 CFBDRN CCOC(=O)C1CC(Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229961950 776925671 /nfs/dbraw/zinc/92/56/71/776925671.db2.gz LINQTUBHOLXPCK-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN CO[C@H](C)COc1cc(C)c(Cl)cc1[N+](=O)[O-] ZINC001229975570 776929628 /nfs/dbraw/zinc/92/96/28/776929628.db2.gz PQVILFUDBPCNSM-MRVPVSSYSA-N 0 0 259.689 2.970 20 5 CFBDRN Cc1cc(O[C@@H]2COC[C@H]2F)c([N+](=O)[O-])cc1Cl ZINC001229978255 776930567 /nfs/dbraw/zinc/93/05/67/776930567.db2.gz ISBYHUIQDMLEJO-LDYMZIIASA-N 0 0 275.663 2.672 20 5 CFBDRN COC(=O)C1CC(Oc2cc(C)c(Cl)cc2[N+](=O)[O-])C1 ZINC001229979544 776931533 /nfs/dbraw/zinc/93/15/33/776931533.db2.gz SPMQWHPWBWQPBK-UHFFFAOYSA-N 0 0 299.710 2.887 20 5 CFBDRN Cc1ccc(OC2CCN(CCF)CC2)c([N+](=O)[O-])c1 ZINC001230142922 776955665 /nfs/dbraw/zinc/95/56/65/776955665.db2.gz DDGLXJNUCXKTER-UHFFFAOYSA-N 0 0 282.315 2.716 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2nc3c[nH]cc([N+](=O)[O-])c-3n2)cn1 ZINC001230228957 776961233 /nfs/dbraw/zinc/96/12/33/776961233.db2.gz BQDJHKAUICSMKS-SECBINFHSA-N 0 0 299.290 2.710 20 5 CFBDRN C[C@H]1CCCC[C@H]1Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230229945 776961756 /nfs/dbraw/zinc/96/17/56/776961756.db2.gz IUHOMPGGPHIPLN-GZMMTYOYSA-N 0 0 276.296 2.824 20 5 CFBDRN CC(C)C(Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1)C(C)C ZINC001230228821 776961813 /nfs/dbraw/zinc/96/18/13/776961813.db2.gz BBDAMGOQAAOVEB-UHFFFAOYSA-N 0 0 278.312 2.926 20 5 CFBDRN O=[N+]([O-])c1c[nH]cc2nc(O[C@H]3CCCC[C@H]3F)nc1-2 ZINC001230231832 776962339 /nfs/dbraw/zinc/96/23/39/776962339.db2.gz UYEOWHYHWPHBQL-XCBNKYQSSA-N 0 0 280.259 2.526 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1c(Cl)nccc1[N+](=O)[O-] ZINC001225432957 776985689 /nfs/dbraw/zinc/98/56/89/776985689.db2.gz LBVRFIZDWSDXPK-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2cc(C)c(C)c([N+](=O)[O-])c2)C1 ZINC001230471887 776996076 /nfs/dbraw/zinc/99/60/76/776996076.db2.gz UMPCXSMMJALPCV-ZDUSSCGKSA-N 0 0 292.335 2.601 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cc(C)c(C)c([N+](=O)[O-])c2)C1 ZINC001230477034 776997364 /nfs/dbraw/zinc/99/73/64/776997364.db2.gz IAPCKXNAYSJQDX-JNSHFYNHSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC001230494754 776999501 /nfs/dbraw/zinc/99/95/01/776999501.db2.gz WEUYVZWSJMQYSZ-NXEZZACHSA-N 0 0 296.279 2.758 20 5 CFBDRN COC(=O)c1[nH]ccc1O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001230503858 777001160 /nfs/dbraw/zinc/00/11/60/777001160.db2.gz GVMLCTSQDURRSB-VIFPVBQESA-N 0 0 290.275 2.850 20 5 CFBDRN Cc1ccc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)c(F)n1 ZINC001230700398 777045522 /nfs/dbraw/zinc/04/55/22/777045522.db2.gz IETXGXBOGWUBOG-ZDUSSCGKSA-N 0 0 288.278 2.984 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cc(C)c([N+](=O)[O-])cc2C)C1 ZINC001230729282 777053491 /nfs/dbraw/zinc/05/34/91/777053491.db2.gz UMALSIVQRXJMBF-WGRBQBNCSA-N 0 0 293.319 2.932 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1OC1COC1 ZINC001230768966 777059285 /nfs/dbraw/zinc/05/92/85/777059285.db2.gz WIPXJIBPXYSBNZ-UHFFFAOYSA-N 0 0 264.064 2.679 20 5 CFBDRN C[C@@H](CON)Oc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC001230766029 777059534 /nfs/dbraw/zinc/05/95/34/777059534.db2.gz IVMLXRZOHBDQEY-YFKPBYRVSA-N 0 0 281.095 2.559 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ccc(Cl)nc1[N+](=O)[O-] ZINC001230871185 777078122 /nfs/dbraw/zinc/07/81/22/777078122.db2.gz AFFSREOCMLUUSY-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN C[C@H](Oc1ccc(Cl)nc1[N+](=O)[O-])C1CCOCC1 ZINC001230872444 777078799 /nfs/dbraw/zinc/07/87/99/777078799.db2.gz NMJIUIGXVDIGCZ-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc2c[nH]nc21 ZINC001230903156 777089721 /nfs/dbraw/zinc/08/97/21/777089721.db2.gz BBUFDNNKLHDUKB-AWEZNQCLSA-N 0 0 298.302 2.663 20 5 CFBDRN CCOC(=O)c1ccc(OC[C@@H](CC)OC)cc1[N+](=O)[O-] ZINC001230918265 777092639 /nfs/dbraw/zinc/09/26/39/777092639.db2.gz NIBKZUACGZUAIM-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN CCOC(=O)C1CC(Oc2cccc(Cl)c2[N+](=O)[O-])C1 ZINC001230918516 777092849 /nfs/dbraw/zinc/09/28/49/777092849.db2.gz PQXBECLKNCFJLX-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN Cc1nc(Cl)nc(O[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000721574115 777110350 /nfs/dbraw/zinc/11/03/50/777110350.db2.gz GUCCOESVITXWOX-XPUUQOCRSA-N 0 0 271.704 2.914 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(OC[C@@H]3CCC=CO3)c21 ZINC001231053811 777126247 /nfs/dbraw/zinc/12/62/47/777126247.db2.gz UVCVPGVKZYIJMT-JTQLQIEISA-N 0 0 287.275 2.610 20 5 CFBDRN C[C@@H](Oc1ncnc2cccc([N+](=O)[O-])c21)C(F)(F)F ZINC001231054200 777126378 /nfs/dbraw/zinc/12/63/78/777126378.db2.gz YILSYEZPEIKOMH-ZCFIWIBFSA-N 0 0 287.197 2.868 20 5 CFBDRN COC(C[C@@H](C)Oc1c(C)ccc(C)c1[N+](=O)[O-])OC ZINC001231059323 777128316 /nfs/dbraw/zinc/12/83/16/777128316.db2.gz GJJWVQAGTHSESB-LLVKDONJSA-N 0 0 283.324 2.988 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1OC[C@@H]1CCCO1 ZINC001231059934 777128781 /nfs/dbraw/zinc/12/87/81/777128781.db2.gz MCJWZGKDOWXSDX-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])[C@H]1C ZINC001225666760 777156269 /nfs/dbraw/zinc/15/62/69/777156269.db2.gz LZVPDKBLARHZSU-YWVKMMECSA-N 0 0 266.297 2.899 20 5 CFBDRN CC(C)CCCCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC001126408653 777162530 /nfs/dbraw/zinc/16/25/30/777162530.db2.gz VOHSRIOEJLOQCP-UHFFFAOYSA-N 0 0 265.313 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2C=C(C)OC(=O)C2)c1 ZINC001225682181 777178046 /nfs/dbraw/zinc/17/80/46/777178046.db2.gz ICPPVMKPFRSNKO-JTQLQIEISA-N 0 0 263.249 2.501 20 5 CFBDRN CC(=O)[C@@H](C)Oc1ccc2nc3ccc(=O)cc-3oc2c1 ZINC001231331653 777193755 /nfs/dbraw/zinc/19/37/55/777193755.db2.gz IZRFCYOLNTVHFZ-SNVBAGLBSA-N 0 0 283.283 2.649 20 5 CFBDRN O=C1SCC[C@@H]1Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC001167952207 777200193 /nfs/dbraw/zinc/20/01/93/777200193.db2.gz MCIUZCKSXSUNAW-NSHDSACASA-N 0 0 289.316 2.587 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1N[C@H]1CCSC1=O ZINC001167954453 777237975 /nfs/dbraw/zinc/23/79/75/777237975.db2.gz BMXPYYLQRLKBTC-JTQLQIEISA-N 0 0 280.349 2.964 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225788873 777279352 /nfs/dbraw/zinc/27/93/52/777279352.db2.gz PMAGXEYNMHWLLA-QMMMGPOBSA-N 0 0 285.683 2.745 20 5 CFBDRN CC[C@H](COC)Oc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC001225892451 777328097 /nfs/dbraw/zinc/32/80/97/777328097.db2.gz CADFMTHMXAXGDZ-MRVPVSSYSA-N 0 0 294.229 2.812 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225905414 777330151 /nfs/dbraw/zinc/33/01/51/777330151.db2.gz SOHMOODDGFFLQR-SNVBAGLBSA-N 0 0 285.271 2.845 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])c(F)c2)=C1C ZINC001225913015 777334177 /nfs/dbraw/zinc/33/41/77/777334177.db2.gz RTXSYYVZPSSJCS-NSHDSACASA-N 0 0 281.239 2.722 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225914683 777334718 /nfs/dbraw/zinc/33/47/18/777334718.db2.gz AOJCFQRGKVKUEU-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1F)c1cnccn1 ZINC001225947393 777346322 /nfs/dbraw/zinc/34/63/22/777346322.db2.gz WDOXXCBRJHAXGE-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CN1Cc2cc(NCC(C)(C)C)cc([N+](=O)[O-])c2N1 ZINC001161641679 777359220 /nfs/dbraw/zinc/35/92/20/777359220.db2.gz AEHLEWGDCIWUER-UHFFFAOYSA-N 0 0 264.329 2.825 20 5 CFBDRN Cc1ccc(N[C@H]2C[C@H](NC(=O)C(C)C)C2)c([N+](=O)[O-])c1 ZINC001059152916 777388541 /nfs/dbraw/zinc/38/85/41/777388541.db2.gz BSBAZRNPJAXKLG-HAQNSBGRSA-N 0 0 291.351 2.618 20 5 CFBDRN O=[N+]([O-])c1cccc(CO[C@H]2CCCC3(C2)OCCO3)c1 ZINC000712829170 777390184 /nfs/dbraw/zinc/39/01/84/777390184.db2.gz RAIZMWSRHXLZJZ-AWEZNQCLSA-N 0 0 293.319 2.797 20 5 CFBDRN O=C1CCN(Cc2ccc3ccc4cccnc4c3n2)CC1 ZINC001232217968 777479024 /nfs/dbraw/zinc/47/90/24/777479024.db2.gz CISPUTZHCXKIFG-UHFFFAOYSA-N 0 0 291.354 2.948 20 5 CFBDRN Cc1c([C@@H](C)Oc2cc([N+](=O)[O-])ccc2F)cnn1C ZINC001226266837 777491630 /nfs/dbraw/zinc/49/16/30/777491630.db2.gz ZODCAWGQEYMMFB-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN O=C(Cn1ccc([N+](=O)[O-])n1)OCCCC1CCCCC1 ZINC000126737798 777508475 /nfs/dbraw/zinc/50/84/75/777508475.db2.gz JOZGIJZOIXIHFZ-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN C[C@@H](Oc1c(O)cccc1[N+](=O)[O-])c1ncccc1F ZINC001226334591 777511734 /nfs/dbraw/zinc/51/17/34/777511734.db2.gz WMQGCHUPHTVSPQ-MRVPVSSYSA-N 0 0 278.239 2.975 20 5 CFBDRN CC(C)C[C@H](Oc1ncc([N+](=O)[O-])cn1)C(C)C ZINC001226733130 777627753 /nfs/dbraw/zinc/62/77/53/777627753.db2.gz HSKIBUQACORJFU-NSHDSACASA-N 0 0 253.302 2.834 20 5 CFBDRN C[C@H]1C[C@H](Oc2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC001226748233 777632601 /nfs/dbraw/zinc/63/26/01/777632601.db2.gz IXUPUTJODZTQBE-IONNQARKSA-N 0 0 273.235 2.819 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2cccc([N+](=O)[O-])c2)CC1 ZINC001226763374 777636055 /nfs/dbraw/zinc/63/60/55/777636055.db2.gz RBLGZQGQUMFZIK-UMSPYCQHSA-N 0 0 279.292 2.705 20 5 CFBDRN COC1CCC(Oc2ncc([N+](=O)[O-])cc2C)CC1 ZINC001226762470 777636457 /nfs/dbraw/zinc/63/64/57/777636457.db2.gz VUSCDJANVVSGMH-UHFFFAOYSA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1c([C@@H](C)Oc2cccc([N+](=O)[O-])c2)cnn1C ZINC001226765188 777638387 /nfs/dbraw/zinc/63/83/87/777638387.db2.gz QFOKOTKMKJKDFB-SNVBAGLBSA-N 0 0 261.281 2.777 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1N ZINC001141765767 777705407 /nfs/dbraw/zinc/70/54/07/777705407.db2.gz RVEDLDHRMFWZKI-JTQLQIEISA-N 0 0 279.340 2.828 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCOC2CCC2)c1 ZINC000690958984 777744436 /nfs/dbraw/zinc/74/44/36/777744436.db2.gz AYASJBYCPLSDSM-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H]1CCC=CO1 ZINC001227184948 777785248 /nfs/dbraw/zinc/78/52/48/777785248.db2.gz LRFBISBFRQWUSF-LLVKDONJSA-N 0 0 265.265 2.675 20 5 CFBDRN COC(=O)c1ccc(O[C@@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC001227294229 777801830 /nfs/dbraw/zinc/80/18/30/777801830.db2.gz AAWFRVCNAQMRHO-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN O=[N+]([O-])c1ccccc1O[C@@H]1COc2cnccc21 ZINC001227484190 777846860 /nfs/dbraw/zinc/84/68/60/777846860.db2.gz LDMHODGQTNBVFY-CYBMUJFWSA-N 0 0 258.233 2.502 20 5 CFBDRN O=C(Nc1cccc(F)n1)c1c(F)cccc1[N+](=O)[O-] ZINC000714060459 777896272 /nfs/dbraw/zinc/89/62/72/777896272.db2.gz OKYYHKCHQJZZRN-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=C(Nc1ccc(F)nc1)c1c(F)cccc1[N+](=O)[O-] ZINC000714059172 777896841 /nfs/dbraw/zinc/89/68/41/777896841.db2.gz BVAPWTYEPXHMQO-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN Cc1ccc(N[C@@H]2CCOC23CCOCC3)c([N+](=O)[O-])c1 ZINC000897324228 777959169 /nfs/dbraw/zinc/95/91/69/777959169.db2.gz WNPYVVOGSLCVTC-CQSZACIVSA-N 0 0 292.335 2.653 20 5 CFBDRN CC[C@H](COc1ccc([N+](=O)[O-])c(C(C)=O)c1)OC ZINC001233496964 777969327 /nfs/dbraw/zinc/96/93/27/777969327.db2.gz AWHJPJWNECZZHO-SNVBAGLBSA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H](C)c1ccncc1F ZINC001233553911 777989085 /nfs/dbraw/zinc/98/90/85/777989085.db2.gz OQMAHDLZSTYMDF-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H](C)c1ncccc1F ZINC001233557506 777990847 /nfs/dbraw/zinc/99/08/47/777990847.db2.gz VGJDIWRUVHLKGK-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN C[C@@H](Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-])C1CCOCC1 ZINC001233573941 777996399 /nfs/dbraw/zinc/99/63/99/777996399.db2.gz WDOCZKWEWIQLGP-SECBINFHSA-N 0 0 296.279 2.697 20 5 CFBDRN CC1(C)C[C@@H](Oc2cccc([N+](=O)[O-])c2[N+](=O)[O-])CCO1 ZINC001233578581 777998195 /nfs/dbraw/zinc/99/81/95/777998195.db2.gz VFGUVAQEFNWJRC-VIFPVBQESA-N 0 0 296.279 2.839 20 5 CFBDRN COc1cccc(Cl)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001233672183 778033589 /nfs/dbraw/zinc/03/35/89/778033589.db2.gz VEMOPRWOWQBKCQ-NSHDSACASA-N 0 0 271.700 2.783 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2c(F)cc(F)cc2[N+](=O)[O-])CCO1 ZINC001233698018 778045337 /nfs/dbraw/zinc/04/53/37/778045337.db2.gz DYLIBSUCOVPHJL-APPZFPTMSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1)C(F)(F)F ZINC001233701491 778047590 /nfs/dbraw/zinc/04/75/90/778047590.db2.gz BACPSMZIAGNPFI-RXMQYKEDSA-N 0 0 280.158 2.833 20 5 CFBDRN CC1(C)C[C@H](Oc2cc(Cl)cnc2[N+](=O)[O-])CCO1 ZINC001233716353 778051537 /nfs/dbraw/zinc/05/15/37/778051537.db2.gz NJAPBMVVQMJNRO-SECBINFHSA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@H]1C[C@H](Oc2cc(Cl)cnc2[N+](=O)[O-])CCO1 ZINC001233714647 778052474 /nfs/dbraw/zinc/05/24/74/778052474.db2.gz CDDVFYFEGHIFCM-IONNQARKSA-N 0 0 272.688 2.590 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233753531 778064978 /nfs/dbraw/zinc/06/49/78/778064978.db2.gz MCQVTIGSBNQHDN-NSHDSACASA-N 0 0 271.219 2.764 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(F)c1F)c1cncnc1 ZINC001233765263 778069101 /nfs/dbraw/zinc/06/91/01/778069101.db2.gz SPLXTFYKGCTAGO-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCc3ccccc3C2)ccn1 ZINC001233856670 778097571 /nfs/dbraw/zinc/09/75/71/778097571.db2.gz DMDJJLBCGXEYKO-ZDUSSCGKSA-N 0 0 270.288 2.926 20 5 CFBDRN C[C@H](Oc1nccc2[nH]nc(N)c21)c1cccc([N+](=O)[O-])c1 ZINC001233964576 778125061 /nfs/dbraw/zinc/12/50/61/778125061.db2.gz OFGCJIKGNDELRH-QMMMGPOBSA-N 0 0 299.290 2.588 20 5 CFBDRN CC[C@H](COc1cc([N+](=O)[O-])c(C)cc1F)OC ZINC001234059342 778154858 /nfs/dbraw/zinc/15/48/58/778154858.db2.gz JTVIJSLKINVLJP-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234058620 778154885 /nfs/dbraw/zinc/15/48/85/778154885.db2.gz GUKJSVWWDYLDQC-NSHDSACASA-N 0 0 285.271 2.763 20 5 CFBDRN CCc1cc(O)ccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001234102643 778166975 /nfs/dbraw/zinc/16/69/75/778166975.db2.gz RZXFORRFPWYPSS-OAHLLOKOSA-N 0 0 288.303 2.746 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H](O)C2CC2)c2ccccc21 ZINC000897384799 778171505 /nfs/dbraw/zinc/17/15/05/778171505.db2.gz OAESEPDQMGHPHW-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@@H](Oc2ncncc2[N+](=O)[O-])C1 ZINC001228654740 778178996 /nfs/dbraw/zinc/17/89/96/778178996.db2.gz NKXHJEZXIDQLTO-KKZNHRDASA-N 0 0 251.286 2.588 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000697387428 778204976 /nfs/dbraw/zinc/20/49/76/778204976.db2.gz PSZMKIQZTYVCKV-UHFFFAOYSA-N 0 0 280.711 2.921 20 5 CFBDRN CC(=O)c1cc(O[C@@H](C[N+](=O)[O-])C2CC2)ccc1C ZINC001234321250 778207494 /nfs/dbraw/zinc/20/74/94/778207494.db2.gz GRCPPNKDWGWNBI-AWEZNQCLSA-N 0 0 263.293 2.632 20 5 CFBDRN COc1cc(F)c(O[C@@H](C[N+](=O)[O-])C2CC2)cc1Cl ZINC001234321918 778207557 /nfs/dbraw/zinc/20/75/57/778207557.db2.gz QLKIAXQOSMHRCK-LBPRGKRZSA-N 0 0 289.690 2.922 20 5 CFBDRN COc1c(Cl)ccc(F)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001234324606 778209370 /nfs/dbraw/zinc/20/93/70/778209370.db2.gz GPXPGRSZSZRRNY-JTQLQIEISA-N 0 0 289.690 2.922 20 5 CFBDRN CN(C)c1ccc(NCCOC(F)(F)F)c([N+](=O)[O-])c1 ZINC001168173640 778209981 /nfs/dbraw/zinc/20/99/81/778209981.db2.gz BXODFYIEBGAEJV-UHFFFAOYSA-N 0 0 293.245 2.609 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2ncsc21)C1CC1 ZINC001234474012 778233708 /nfs/dbraw/zinc/23/37/08/778233708.db2.gz LBZCQLKHAKUVSF-LLVKDONJSA-N 0 0 264.306 2.730 20 5 CFBDRN CC(C)(C)C(=O)CO[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001204846370 778245179 /nfs/dbraw/zinc/24/51/79/778245179.db2.gz SELXRSOKATXBLR-ZDUSSCGKSA-N 0 0 277.320 2.694 20 5 CFBDRN CSCC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(C)(C)O ZINC001168213508 778253674 /nfs/dbraw/zinc/25/36/74/778253674.db2.gz FIGNSCVPQBWDJW-LLVKDONJSA-N 0 0 299.396 2.603 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2nc(C)ccc2[N+](=O)[O-])C1 ZINC000721244359 778268251 /nfs/dbraw/zinc/26/82/51/778268251.db2.gz NHCDFPBXOHRRMA-KHJSKFAYSA-N 0 0 277.324 2.668 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2nc(C)ccc2[N+](=O)[O-])C1 ZINC000721244360 778268310 /nfs/dbraw/zinc/26/83/10/778268310.db2.gz NHCDFPBXOHRRMA-KSGYAGIASA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1nccc2c1cccc2[N+](=O)[O-] ZINC001234676714 778286062 /nfs/dbraw/zinc/28/60/62/778286062.db2.gz NCJCQNJFJMCSLP-YGRLFVJLSA-N 0 0 288.303 2.947 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1nccc2c1cccc2[N+](=O)[O-] ZINC001234676709 778286436 /nfs/dbraw/zinc/28/64/36/778286436.db2.gz NCJCQNJFJMCSLP-HZMBPMFUSA-N 0 0 288.303 2.947 20 5 CFBDRN COC(=O)c1cncc(Nc2ccc(C)cc2[N+](=O)[O-])c1 ZINC001214167652 778295363 /nfs/dbraw/zinc/29/53/63/778295363.db2.gz RPTVVWGCFKSURN-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN Cc1nnc2cc(Nc3ccc(C)cc3[N+](=O)[O-])ccn12 ZINC001214169694 778298757 /nfs/dbraw/zinc/29/87/57/778298757.db2.gz ZANQQSFXXLCUJP-UHFFFAOYSA-N 0 0 283.291 2.998 20 5 CFBDRN C[C@@H](Oc1cccc(=O)[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001229366054 778300247 /nfs/dbraw/zinc/30/02/47/778300247.db2.gz NAQQBDDGTAYJRL-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC001229381602 778301681 /nfs/dbraw/zinc/30/16/81/778301681.db2.gz SYSHXSHOKLOMKI-WAAGHKOSSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1c(Cl)cncc1[N+](=O)[O-])C2 ZINC000897490625 778303224 /nfs/dbraw/zinc/30/32/24/778303224.db2.gz KBHFQUDOTCYAJN-PELKAZGASA-N 0 0 299.783 2.833 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cc[n+]([O-])cc2[N+](=O)[O-])CC(C)(C)C1 ZINC001229452689 778305922 /nfs/dbraw/zinc/30/59/22/778305922.db2.gz VXNIEGBFUIOCIY-WDEREUQCSA-N 0 0 280.324 2.822 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2cc(C)c([N+](=O)[O-])c(C)c2C)C1 ZINC001234872120 778317954 /nfs/dbraw/zinc/31/79/54/778317954.db2.gz RPVBCWQCEBVZBD-TXEJJXNPSA-N 0 0 293.319 2.850 20 5 CFBDRN Cc1cc(OC[C@@H]2CCO2)c(C)c(C)c1[N+](=O)[O-] ZINC001234871490 778318447 /nfs/dbraw/zinc/31/84/47/778318447.db2.gz JFKJCHJCJKDSRM-NSHDSACASA-N 0 0 251.282 2.688 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2nccs2)n1 ZINC001234881074 778319880 /nfs/dbraw/zinc/31/98/80/778319880.db2.gz LQVBWFOLNUNYEM-SSDOTTSWSA-N 0 0 281.293 2.595 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CC[C@@H](C)C2)n1 ZINC001234878991 778320101 /nfs/dbraw/zinc/32/01/01/778320101.db2.gz KSVIRTMVIDLWNP-RKDXNWHRSA-N 0 0 252.270 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CCC(=O)CC2(C)C)n1 ZINC001234879709 778320245 /nfs/dbraw/zinc/32/02/45/778320245.db2.gz RSMHPNYJZGGDAO-LLVKDONJSA-N 0 0 294.307 2.525 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1OC1CN(Cc2ccccc2)C1 ZINC001234903794 778325449 /nfs/dbraw/zinc/32/54/49/778325449.db2.gz QQLJMVGVOXPRKU-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN CCCCOC[C@@H](C)Oc1c(C)nccc1[N+](=O)[O-] ZINC001234901250 778325552 /nfs/dbraw/zinc/32/55/52/778325552.db2.gz NWTARROVSMVCPZ-SNVBAGLBSA-N 0 0 268.313 2.882 20 5 CFBDRN CCOc1nccc(O[C@H](C[N+](=O)[O-])C2CC2)c1Cl ZINC001234952076 778339731 /nfs/dbraw/zinc/33/97/31/778339731.db2.gz LLMPBGYQCSNKSH-SNVBAGLBSA-N 0 0 286.715 2.568 20 5 CFBDRN CCC1(Nc2ncc(F)c3cc([N+](=O)[O-])ccc32)COC1 ZINC001168322453 778430283 /nfs/dbraw/zinc/43/02/83/778430283.db2.gz VXLDDPUOLWYEIO-UHFFFAOYSA-N 0 0 291.282 2.873 20 5 CFBDRN CCC1(Nc2ccnc3c2cccc3[N+](=O)[O-])COC1 ZINC001168325137 778433316 /nfs/dbraw/zinc/43/33/16/778433316.db2.gz GZCXDWVSOBQXDH-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])c([N+](=O)[O-])c2C)COC1 ZINC001168332165 778435736 /nfs/dbraw/zinc/43/57/36/778435736.db2.gz HXBQAJMVEKWQJG-UHFFFAOYSA-N 0 0 295.295 2.650 20 5 CFBDRN C[C@@]1(O)C[C@H](CNc2cc3cccnc3c([N+](=O)[O-])c2)C1 ZINC001168344110 778443872 /nfs/dbraw/zinc/44/38/72/778443872.db2.gz LCVIMGQLUGQCCX-AVCCJPFPSA-N 0 0 287.319 2.716 20 5 CFBDRN CCCN(CCNC(=O)CC)c1cc(C)ccc1[N+](=O)[O-] ZINC001101074427 778514250 /nfs/dbraw/zinc/51/42/50/778514250.db2.gz GBRVLVONMZNEGG-UHFFFAOYSA-N 0 0 293.367 2.646 20 5 CFBDRN Cc1ncsc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000128485326 778529808 /nfs/dbraw/zinc/52/98/08/778529808.db2.gz KZFSPIRKBHPFRL-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2CCCCC2(C)C)n1 ZINC001127062452 778723722 /nfs/dbraw/zinc/72/37/22/778723722.db2.gz DCALVRSEVYGOAK-CYBMUJFWSA-N 0 0 291.351 2.997 20 5 CFBDRN C[Si](C)(C)CCO[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001224906568 778739490 /nfs/dbraw/zinc/73/94/90/778739490.db2.gz KDMQAHPJQZSKMG-LBPRGKRZSA-N 0 0 268.389 2.754 20 5 CFBDRN CC1(CCNC(=O)c2cc([N+](=O)[O-])ccc2F)CC1 ZINC000698161787 778744370 /nfs/dbraw/zinc/74/43/70/778744370.db2.gz LKNZIOAHSUDFSJ-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN Cc1ncc(CNc2cc([N+](=O)[O-])ccc2Cl)cn1 ZINC001168386963 778764072 /nfs/dbraw/zinc/76/40/72/778764072.db2.gz LDXICGGNJMWZNM-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1ncc(CNc2ccc3ncccc3c2[N+](=O)[O-])cn1 ZINC001168390788 778772983 /nfs/dbraw/zinc/77/29/83/778772983.db2.gz FTQUMNQYAQFTCP-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@H]2C=CCC2)c1F ZINC000698262083 778785983 /nfs/dbraw/zinc/78/59/83/778785983.db2.gz NCNDSADDOLWFRZ-QMMMGPOBSA-N 0 0 282.246 2.630 20 5 CFBDRN O=C(COC1CCCCC1)NCc1ccccc1[N+](=O)[O-] ZINC000046149984 778820203 /nfs/dbraw/zinc/82/02/03/778820203.db2.gz BYCFHBKBLYMKFX-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN Cn1ccc(CNc2cc([N+](=O)[O-])ccc2Cl)cc1=O ZINC001168402462 778833670 /nfs/dbraw/zinc/83/36/70/778833670.db2.gz IANKUVCBEGAWSQ-UHFFFAOYSA-N 0 0 293.710 2.559 20 5 CFBDRN CC1CC(CNC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C1 ZINC000691058283 778938664 /nfs/dbraw/zinc/93/86/64/778938664.db2.gz AELLOCGKDSHUEH-UHFFFAOYSA-N 0 0 284.262 2.649 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])c(F)c2F)=C1C ZINC001233765211 779084386 /nfs/dbraw/zinc/08/43/86/779084386.db2.gz SCBRRNVSKFBCAP-MRVPVSSYSA-N 0 0 299.229 2.861 20 5 CFBDRN COC(C[C@H](C)Oc1cc([N+](=O)[O-])c(C)cc1F)OC ZINC001234059131 779128612 /nfs/dbraw/zinc/12/86/12/779128612.db2.gz IHWNKUAJSJNJPI-VIFPVBQESA-N 0 0 287.287 2.819 20 5 CFBDRN COC[C@@H](COc1ccc([N+](=O)[O-])cc1C=O)C(C)C ZINC000721975038 779153280 /nfs/dbraw/zinc/15/32/80/779153280.db2.gz YLNGYTRIUSZIPC-LBPRGKRZSA-N 0 0 281.308 2.705 20 5 CFBDRN CC1(C)C[C@@H](CNc2ncnc3sc([N+](=O)[O-])cc32)O1 ZINC001168452355 779178711 /nfs/dbraw/zinc/17/87/11/779178711.db2.gz GJCVQVHVQDHQLX-ZETCQYMHSA-N 0 0 294.336 2.579 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1C1CC1 ZINC000176478758 779195754 /nfs/dbraw/zinc/19/57/54/779195754.db2.gz JMVGWKHWOUOFNW-CHWSQXEVSA-N 0 0 261.277 2.684 20 5 CFBDRN Nc1c(F)c(N2C[C@@H]3CC=CC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000699331830 779245158 /nfs/dbraw/zinc/24/51/58/779245158.db2.gz ASYTZFOFYCWOQT-AOOOYVTPSA-N 0 0 277.299 2.719 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])c(C)c1)C(=O)C(C)C ZINC001106681828 779337859 /nfs/dbraw/zinc/33/78/59/779337859.db2.gz JJLIAZIDZXGRBL-UHFFFAOYSA-N 0 0 293.367 2.820 20 5 CFBDRN CCOCC1(C(=O)Nc2cc(C)cc([N+](=O)[O-])c2)CC1 ZINC001124009023 779527127 /nfs/dbraw/zinc/52/71/27/779527127.db2.gz IDRVLIBMIWQNJC-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1cc(NC(=O)CCOCC(F)F)cc([N+](=O)[O-])c1 ZINC001124011384 779528165 /nfs/dbraw/zinc/52/81/65/779528165.db2.gz PDCILXONUSTOMI-UHFFFAOYSA-N 0 0 288.250 2.514 20 5 CFBDRN Cc1c(N[C@@H](CO)C(C)(C)C)ccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001168556974 779965935 /nfs/dbraw/zinc/96/59/35/779965935.db2.gz CQYSDJABPNJMNM-NSHDSACASA-N 0 0 297.311 2.630 20 5 CFBDRN Cc1cc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cn2)c(C)o1 ZINC001116279014 780102662 /nfs/dbraw/zinc/10/26/62/780102662.db2.gz HOBITXXPSLGHQF-VIFPVBQESA-N 0 0 289.291 2.691 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CC2CCC1CC2 ZINC001116455966 780161633 /nfs/dbraw/zinc/16/16/33/780161633.db2.gz QVFAIRAACNYCMM-UHFFFAOYSA-N 0 0 289.335 2.679 20 5 CFBDRN CC(C)[C@@H](F)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC001116709773 780220073 /nfs/dbraw/zinc/22/00/73/780220073.db2.gz VGPFMZBCQLDDNW-GFCCVEGCSA-N 0 0 282.315 2.940 20 5 CFBDRN CC(=O)Nc1ccc(NCCCOC(C)C)cc1[N+](=O)[O-] ZINC001168680743 780316509 /nfs/dbraw/zinc/31/65/09/780316509.db2.gz DRSNTEUGHUASMR-UHFFFAOYSA-N 0 0 295.339 2.780 20 5 CFBDRN CC[C@@H]1CCN(C(=O)COc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC001128173196 780346567 /nfs/dbraw/zinc/34/65/67/780346567.db2.gz CDPXSSDEIDYFEF-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H](C)CCCC(C)(C)O)n1 ZINC001168695681 780355421 /nfs/dbraw/zinc/35/54/21/780355421.db2.gz GGDGBTQMSGLJPD-JTQLQIEISA-N 0 0 297.355 2.740 20 5 CFBDRN CCC[C@H](C)Nc1nc(C)cc(OC)c1[N+](=O)[O-] ZINC001161716971 780473915 /nfs/dbraw/zinc/47/39/15/780473915.db2.gz VZAIZMVFHGZSQY-QMMMGPOBSA-N 0 0 253.302 2.907 20 5 CFBDRN CCCc1nsc(N2Cc3ccc([N+](=O)[O-])cc3C2)n1 ZINC001117710647 780601645 /nfs/dbraw/zinc/60/16/45/780601645.db2.gz ZFGHKLUGYFEVEV-UHFFFAOYSA-N 0 0 290.348 2.919 20 5 CFBDRN CC1(C)CC(CNC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000701452396 780805997 /nfs/dbraw/zinc/80/59/97/780805997.db2.gz GGQINTOKRDOICG-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC3CSC3)c2c1 ZINC000702423647 780924337 /nfs/dbraw/zinc/92/43/37/780924337.db2.gz VVYVGADJCYCTJE-UHFFFAOYSA-N 0 0 261.306 2.670 20 5 CFBDRN CC1(C)C[C@@]1(C)CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000702424729 780924895 /nfs/dbraw/zinc/92/48/95/780924895.db2.gz ZNSSDLFJYJKFNQ-LBPRGKRZSA-N 0 0 251.286 2.544 20 5 CFBDRN O=C(NCCC1(F)CCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000702498235 780929305 /nfs/dbraw/zinc/92/93/05/780929305.db2.gz IBMHMZNIBQYOBB-UHFFFAOYSA-N 0 0 284.262 2.746 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCC[C@H](C)F)c1F ZINC000702569710 780933396 /nfs/dbraw/zinc/93/33/96/780933396.db2.gz JPXBSOCSYQYFMZ-QMMMGPOBSA-N 0 0 272.251 2.520 20 5 CFBDRN CCC(=O)COc1cc(Br)ccc1[N+](=O)[O-] ZINC000703826399 781067904 /nfs/dbraw/zinc/06/79/04/781067904.db2.gz FNZKTDNXBUCIPP-UHFFFAOYSA-N 0 0 288.097 2.715 20 5 CFBDRN COC1CC(Cn2ccc3c2cccc3[N+](=O)[O-])C1 ZINC000704199572 781091025 /nfs/dbraw/zinc/09/10/25/781091025.db2.gz HOPDEFFDDXUSSD-UHFFFAOYSA-N 0 0 260.293 2.975 20 5 CFBDRN Cc1cc(NCC[C@@H]2CCSC2)ncc1[N+](=O)[O-] ZINC000704836679 781113821 /nfs/dbraw/zinc/11/38/21/781113821.db2.gz FTSYUZPNOBNQFB-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN CCC(F)(F)COc1ccc([N+](=O)[O-])cc1C=O ZINC000704806833 781119461 /nfs/dbraw/zinc/11/94/61/781119461.db2.gz FAFIVKGSWDEFNX-UHFFFAOYSA-N 0 0 259.208 2.831 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cnnc(Cl)c2)c1 ZINC001129135940 781134552 /nfs/dbraw/zinc/13/45/52/781134552.db2.gz USRHHMBNLIMDQT-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1cc(F)ccc1[N+](=O)[O-] ZINC000706283461 781170631 /nfs/dbraw/zinc/17/06/31/781170631.db2.gz HWGLPMFXBPSLSQ-LBPRGKRZSA-N 0 0 278.283 2.796 20 5 CFBDRN CO[C@H]1C[C@H](Nc2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000706609969 781191922 /nfs/dbraw/zinc/19/19/22/781191922.db2.gz URQBLTXGDHDIRT-LJGSYFOKSA-N 0 0 274.679 2.977 20 5 CFBDRN CCN(C(=O)c1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000706955873 781218683 /nfs/dbraw/zinc/21/86/83/781218683.db2.gz JIHDZFBYWJXCLV-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN Cc1nc(NC[C@H]2CCC(C)(C)CO2)ccc1[N+](=O)[O-] ZINC000710742930 781337526 /nfs/dbraw/zinc/33/75/26/781337526.db2.gz ADSOBDMPPBJYTH-LLVKDONJSA-N 0 0 279.340 2.915 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ncc([N+](=O)[O-])s2)OC1 ZINC000710647039 781346110 /nfs/dbraw/zinc/34/61/10/781346110.db2.gz AYGCAXCFQURALW-QMMMGPOBSA-N 0 0 271.342 2.668 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H](CF)C1 ZINC000711411077 781428065 /nfs/dbraw/zinc/42/80/65/781428065.db2.gz FDFWJUOWRASADB-VIFPVBQESA-N 0 0 256.252 2.920 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])nc2Cl)=C1C ZINC001229915030 781467100 /nfs/dbraw/zinc/46/71/00/781467100.db2.gz ILKPJXLEUHGXPC-ZETCQYMHSA-N 0 0 298.682 2.631 20 5 CFBDRN CCCN(CC)c1cnc2ccc([N+](=O)[O-])cc2n1 ZINC001163601917 781522567 /nfs/dbraw/zinc/52/25/67/781522567.db2.gz HESJQBYFFSAYOX-UHFFFAOYSA-N 0 0 260.297 2.774 20 5 CFBDRN C[C@H]1c2sccc2CCN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000712264432 781557996 /nfs/dbraw/zinc/55/79/96/781557996.db2.gz KTKPXVCIIRHHDD-QMMMGPOBSA-N 0 0 290.348 2.757 20 5 CFBDRN CN1Cc2cc(NC3(C)CCC3)cc([N+](=O)[O-])c2N1 ZINC001164038830 781641632 /nfs/dbraw/zinc/64/16/32/781641632.db2.gz YIJCNJRWCCFEQA-UHFFFAOYSA-N 0 0 262.313 2.722 20 5 CFBDRN CON(C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)C(C)C ZINC001118677465 781704497 /nfs/dbraw/zinc/70/44/97/781704497.db2.gz KLVDQQUQEMOBFK-SNVBAGLBSA-N 0 0 298.364 2.874 20 5 CFBDRN C[C@@H]1CC[C@H](CC(=O)OCc2cccnc2[N+](=O)[O-])C1 ZINC001119341985 781761760 /nfs/dbraw/zinc/76/17/60/781761760.db2.gz JCVWPBPRTVIYJM-MNOVXSKESA-N 0 0 278.308 2.859 20 5 CFBDRN CCOc1cc(NC(=O)NC(C)(C)CF)ccc1[N+](=O)[O-] ZINC001119454092 781811465 /nfs/dbraw/zinc/81/14/65/781811465.db2.gz QTGFULAPGIGMPU-UHFFFAOYSA-N 0 0 299.302 2.863 20 5 CFBDRN CC(C)[C@@H]1COCCN1c1cc(Cl)ncc1[N+](=O)[O-] ZINC001166996493 781827082 /nfs/dbraw/zinc/82/70/82/781827082.db2.gz CHYZANPEPYSPQQ-NSHDSACASA-N 0 0 285.731 2.504 20 5 CFBDRN C[C@@H](N[C@H]1CCCn2ccnc21)c1ccccc1[N+](=O)[O-] ZINC000594512327 349436636 /nfs/dbraw/zinc/43/66/36/349436636.db2.gz KPHFINPAZARVAV-YPMHNXCESA-N 0 0 286.335 2.977 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000067509523 346806990 /nfs/dbraw/zinc/80/69/90/346806990.db2.gz RDIKWKFPQGHWIK-NSHDSACASA-N 0 0 279.340 2.559 20 5 CFBDRN C[C@H](N[C@H]1CCCn2ccnc21)c1ccccc1[N+](=O)[O-] ZINC000594512324 349436475 /nfs/dbraw/zinc/43/64/75/349436475.db2.gz KPHFINPAZARVAV-AAEUAGOBSA-N 0 0 286.335 2.977 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CC2)[C@H]2CCCCO2)c(F)c1 ZINC000594589612 349453163 /nfs/dbraw/zinc/45/31/63/349453163.db2.gz DOMALORYYOCSNF-CHWSQXEVSA-N 0 0 295.314 2.889 20 5 CFBDRN Nc1c(F)c(NCCCC2CC2)ccc1[N+](=O)[O-] ZINC000594589518 349453287 /nfs/dbraw/zinc/45/32/87/349453287.db2.gz LVEOROXHXQJJOK-UHFFFAOYSA-N 0 0 253.277 2.918 20 5 CFBDRN CCO[C@@H]1CCCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000594545152 349444287 /nfs/dbraw/zinc/44/42/87/349444287.db2.gz CTXGFNLBDAZMFM-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCC[C@@H](O)C1 ZINC000594551574 349445918 /nfs/dbraw/zinc/44/59/18/349445918.db2.gz JYXIUUXSRONDNY-WDEREUQCSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H](OC)C(C)C ZINC000594555184 349446580 /nfs/dbraw/zinc/44/65/80/349446580.db2.gz HSLSBZCKKYSGHY-LBPRGKRZSA-N 0 0 268.313 2.686 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H](C)C[C@H]1CCOC1 ZINC000594563081 349448513 /nfs/dbraw/zinc/44/85/13/349448513.db2.gz NGQVSOXBZGXFSK-GHMZBOCLSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@](C)(F)C1 ZINC000594568897 349449518 /nfs/dbraw/zinc/44/95/18/349449518.db2.gz GGDXCHFIRDSLIH-LBPRGKRZSA-N 0 0 254.261 2.542 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CC[C@H](OC)C1 ZINC000594572212 349450411 /nfs/dbraw/zinc/45/04/11/349450411.db2.gz RUDDNTPQBKBIOF-ZJUUUORDSA-N 0 0 266.297 2.583 20 5 CFBDRN CC[C@]1(Nc2ccc([N+](=O)[O-])c(OC(C)C)n2)CCOC1 ZINC000594582962 349451760 /nfs/dbraw/zinc/45/17/60/349451760.db2.gz ISWUDXPUSDPSMN-AWEZNQCLSA-N 0 0 295.339 2.758 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCS[C@@H]1C ZINC000594586673 349452563 /nfs/dbraw/zinc/45/25/63/349452563.db2.gz ZAQUWOUXTNSINX-BDAKNGLRSA-N 0 0 268.338 2.909 20 5 CFBDRN CC1(Nc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)CC=CC1 ZINC000594591313 349454048 /nfs/dbraw/zinc/45/40/48/349454048.db2.gz QOOARUDNINNHHB-UHFFFAOYSA-N 0 0 296.348 2.519 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])s1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000594592879 349454478 /nfs/dbraw/zinc/45/44/78/349454478.db2.gz ZUXUSZQEJOMIFG-MXWKQRLJSA-N 0 0 299.396 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](OC3CCC3)C2)nc1 ZINC000594593791 349454811 /nfs/dbraw/zinc/45/48/11/349454811.db2.gz CYINJOVFIHLHBQ-CYBMUJFWSA-N 0 0 277.324 2.528 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000067519574 346807625 /nfs/dbraw/zinc/80/76/25/346807625.db2.gz CWHLWKMXWRWZPU-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(NCCc1ccccc1)NCc1cccc([N+](=O)[O-])c1 ZINC000067527739 346808569 /nfs/dbraw/zinc/80/85/69/346808569.db2.gz WDUBTSZDHXCKLZ-UHFFFAOYSA-N 0 0 299.330 2.637 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)c2ccccc2)c1 ZINC000067538996 346809306 /nfs/dbraw/zinc/80/93/06/346809306.db2.gz SLPVHQVUGGEEJI-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN COc1ccc([C@@H](C)Cn2ccc(=O)c([N+](=O)[O-])c2)cc1 ZINC000594889531 349494305 /nfs/dbraw/zinc/49/43/05/349494305.db2.gz IESLDIMIANFSCF-NSHDSACASA-N 0 0 288.303 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@]2(OC(C)C)CCOC2)c1 ZINC000594881028 349492548 /nfs/dbraw/zinc/49/25/48/349492548.db2.gz UIJJYANJQFLQGD-OAHLLOKOSA-N 0 0 295.335 2.866 20 5 CFBDRN CO[C@@H]1CC[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000594730953 349482098 /nfs/dbraw/zinc/48/20/98/349482098.db2.gz ZPMKZQTZFUCVHY-CMPLNLGQSA-N 0 0 289.291 2.927 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cc(C)nc(C)n1 ZINC000594889949 349495374 /nfs/dbraw/zinc/49/53/74/349495374.db2.gz FCFOBVZDGXAOFW-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN CO[C@@H](COc1cc(F)cc([N+](=O)[O-])c1)C1CCC1 ZINC000594892846 349495954 /nfs/dbraw/zinc/49/59/54/349495954.db2.gz JVHVUEYZSINMNR-ZDUSSCGKSA-N 0 0 269.272 2.928 20 5 CFBDRN CO[C@@H](CSc1ccc([N+](=O)[O-])cn1)C1CCC1 ZINC000594892920 349496057 /nfs/dbraw/zinc/49/60/57/349496057.db2.gz LIWIZLAGMUEMKX-NSHDSACASA-N 0 0 268.338 2.897 20 5 CFBDRN CC(C)O[C@@]1(COc2c(F)cccc2[N+](=O)[O-])CCOC1 ZINC000594894902 349496847 /nfs/dbraw/zinc/49/68/47/349496847.db2.gz HQCLCFUQDRFYEY-AWEZNQCLSA-N 0 0 299.298 2.697 20 5 CFBDRN COc1cc(OCc2cc(C)nc(C)n2)ccc1[N+](=O)[O-] ZINC000594900428 349498912 /nfs/dbraw/zinc/49/89/12/349498912.db2.gz OYRPXJNQAMZSQI-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN O=[N+]([O-])c1cn(CCC(F)(F)F)nc1-c1ccccn1 ZINC000594907129 349501190 /nfs/dbraw/zinc/50/11/90/349501190.db2.gz QUSJWAQKACRIOB-UHFFFAOYSA-N 0 0 286.213 2.806 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067722717 346816779 /nfs/dbraw/zinc/81/67/79/346816779.db2.gz NEQMTWWVUKNOTR-SNVBAGLBSA-N 0 0 265.313 2.535 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067724004 346817183 /nfs/dbraw/zinc/81/71/83/346817183.db2.gz YHRSYYZRGGBXQM-LLVKDONJSA-N 0 0 279.340 2.925 20 5 CFBDRN CCOc1cccc(NCC[C@@H](C)OC)c1[N+](=O)[O-] ZINC000273826018 192323519 /nfs/dbraw/zinc/32/35/19/192323519.db2.gz DVQKWADXFQTHEX-SNVBAGLBSA-N 0 0 268.313 2.830 20 5 CFBDRN CC[C@](C)(CNc1nc(C)ccc1[N+](=O)[O-])OC ZINC000323066250 260143258 /nfs/dbraw/zinc/14/32/58/260143258.db2.gz VGKSNBHJEKOBJM-GFCCVEGCSA-N 0 0 253.302 2.525 20 5 CFBDRN CC(C)(O)CNc1ccc(Br)cc1[N+](=O)[O-] ZINC000082605290 178124923 /nfs/dbraw/zinc/12/49/23/178124923.db2.gz QKJGUMLWLHFSJC-UHFFFAOYSA-N 0 0 289.129 2.540 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(CCC3CC3)no2)c1 ZINC000273848629 192333809 /nfs/dbraw/zinc/33/38/09/192333809.db2.gz BLYBBCFPIHBFLT-UHFFFAOYSA-N 0 0 273.292 2.911 20 5 CFBDRN CC[C@@](C)(OC)c1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000289994594 197555866 /nfs/dbraw/zinc/55/58/66/197555866.db2.gz CCZAEXFQWLOEOV-CQSZACIVSA-N 0 0 291.307 2.840 20 5 CFBDRN CC[C@H](O)CNc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000125102929 187170059 /nfs/dbraw/zinc/17/00/59/187170059.db2.gz PYZVBTZMKJGOHG-QMMMGPOBSA-N 0 0 278.230 2.796 20 5 CFBDRN CC(C)[C@H](O)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000125195892 187173955 /nfs/dbraw/zinc/17/39/55/187173955.db2.gz QEKPGUFANAHUEK-SNVBAGLBSA-N 0 0 258.705 2.677 20 5 CFBDRN CC(C)[C@H](O)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000125189977 187174393 /nfs/dbraw/zinc/17/43/93/187174393.db2.gz BDDMXPGSUKFIDF-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN Cc1c(NC(=O)N2CCO[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000125225074 187175453 /nfs/dbraw/zinc/17/54/53/187175453.db2.gz HPCTZEZGZNJRMR-WDEREUQCSA-N 0 0 293.323 2.544 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)NCC(C)(F)F ZINC000595040643 349560884 /nfs/dbraw/zinc/56/08/84/349560884.db2.gz YFSMYSNBKUADTI-QMMMGPOBSA-N 0 0 274.267 2.909 20 5 CFBDRN Cc1cc(C)n([C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000067916599 346827942 /nfs/dbraw/zinc/82/79/42/346827942.db2.gz WJARESQGVPQNOE-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@H](NCc1ncccn1)CCCC2 ZINC000595099041 349581520 /nfs/dbraw/zinc/58/15/20/349581520.db2.gz PVPWEMBIKDKAAQ-OAHLLOKOSA-N 0 0 298.346 2.942 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000068171866 346838350 /nfs/dbraw/zinc/83/83/50/346838350.db2.gz NEFSWZCCYIDRSE-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN CC(C)CCC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000068186410 346839958 /nfs/dbraw/zinc/83/99/58/346839958.db2.gz YNZLBOJSJNZUQV-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000595316144 349600215 /nfs/dbraw/zinc/60/02/15/349600215.db2.gz CNGGDWJDVHZXOW-ZWNOBZJWSA-N 0 0 282.315 2.733 20 5 CFBDRN CCn1cc(CN(C)Cc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000595325744 349602403 /nfs/dbraw/zinc/60/24/03/349602403.db2.gz VURQRBLAQXCAFN-UHFFFAOYSA-N 0 0 292.314 2.582 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1(C)C ZINC000595326417 349602616 /nfs/dbraw/zinc/60/26/16/349602616.db2.gz CERJDULBPPLUSN-NEPJUHHUSA-N 0 0 297.355 2.527 20 5 CFBDRN Cc1noc(CN2Cc3ccccc3[C@@H]2C)c1[N+](=O)[O-] ZINC000595335973 349604037 /nfs/dbraw/zinc/60/40/37/349604037.db2.gz FIMGMZVIZNYREU-JTQLQIEISA-N 0 0 273.292 2.968 20 5 CFBDRN CN(Cc1nccs1)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595335733 349604242 /nfs/dbraw/zinc/60/42/42/349604242.db2.gz CDHAZHXURFFKCP-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN Cc1noc(CN2Cc3ccccc3[C@H]2C)c1[N+](=O)[O-] ZINC000595335974 349604315 /nfs/dbraw/zinc/60/43/15/349604315.db2.gz FIMGMZVIZNYREU-SNVBAGLBSA-N 0 0 273.292 2.968 20 5 CFBDRN Cc1noc(CN(C)Cc2ccccc2F)c1[N+](=O)[O-] ZINC000595292353 349592009 /nfs/dbraw/zinc/59/20/09/349592009.db2.gz ZYOLMDZJZCWQLF-UHFFFAOYSA-N 0 0 279.271 2.662 20 5 CFBDRN Cc1noc(CN(Cc2ccccn2)C(C)C)c1[N+](=O)[O-] ZINC000595293930 349593256 /nfs/dbraw/zinc/59/32/56/349593256.db2.gz UOTWXRGGAPBKCW-UHFFFAOYSA-N 0 0 290.323 2.697 20 5 CFBDRN COc1ccccc1CN(C)Cc1onc(C)c1[N+](=O)[O-] ZINC000595292710 349593444 /nfs/dbraw/zinc/59/34/44/349593444.db2.gz UDEPJQJBZRPEGK-UHFFFAOYSA-N 0 0 291.307 2.532 20 5 CFBDRN Cc1noc(CN(C)c2ccc(F)cc2)c1[N+](=O)[O-] ZINC000595294261 349593978 /nfs/dbraw/zinc/59/39/78/349593978.db2.gz YHJCVGVLJJZVJS-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN Cc1cc([C@@H]2CCCN2Cc2onc(C)c2[N+](=O)[O-])on1 ZINC000595299321 349596081 /nfs/dbraw/zinc/59/60/81/349596081.db2.gz XNHCGKOWGSYEKD-JTQLQIEISA-N 0 0 292.295 2.525 20 5 CFBDRN Cc1noc(CNC(C)(C)c2ccccc2)c1[N+](=O)[O-] ZINC000595307377 349597986 /nfs/dbraw/zinc/59/79/86/349597986.db2.gz UJGQHPCHOCSWTE-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN C[C@H]1N(Cc2ccc(F)cc2[N+](=O)[O-])CCOC1(C)C ZINC000595347459 349607271 /nfs/dbraw/zinc/60/72/71/349607271.db2.gz PSFBPENDKDKFNI-SNVBAGLBSA-N 0 0 282.315 2.733 20 5 CFBDRN CCCN(Cc1onc(C)c1[N+](=O)[O-])C[C@@H]1CCCCO1 ZINC000595365404 349610459 /nfs/dbraw/zinc/61/04/59/349610459.db2.gz ASJOHTDVUPBUHV-LBPRGKRZSA-N 0 0 297.355 2.672 20 5 CFBDRN CCN(Cc1onc(C)c1[N+](=O)[O-])c1cccc(OC)c1 ZINC000595361656 349610628 /nfs/dbraw/zinc/61/06/28/349610628.db2.gz YVPKRULMFGEJOH-UHFFFAOYSA-N 0 0 291.307 2.926 20 5 CFBDRN Cc1nc(CN2CCc3c2cccc3[N+](=O)[O-])c(C)o1 ZINC000595389630 349614147 /nfs/dbraw/zinc/61/41/47/349614147.db2.gz QHZBXDIDNUTNHL-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN COc1cc(CN2CCc3c2cccc3[N+](=O)[O-])sn1 ZINC000595389670 349614512 /nfs/dbraw/zinc/61/45/12/349614512.db2.gz QVJSZLXZXUOEHY-UHFFFAOYSA-N 0 0 291.332 2.623 20 5 CFBDRN Cc1noc(CN[C@@H](C)c2ccccc2)c1[N+](=O)[O-] ZINC000595414273 349618805 /nfs/dbraw/zinc/61/88/05/349618805.db2.gz FZFKOHKCCHSNAV-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN CC[C@@H](c1ccncc1)N(C)Cc1onc(C)c1[N+](=O)[O-] ZINC000595399642 349617113 /nfs/dbraw/zinc/61/71/13/349617113.db2.gz DYNRLDSORZTJOC-LBPRGKRZSA-N 0 0 290.323 2.869 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@H](C(F)F)C1 ZINC000595404203 349617371 /nfs/dbraw/zinc/61/73/71/349617371.db2.gz OLKHEACXXIPTQC-VIFPVBQESA-N 0 0 274.242 2.821 20 5 CFBDRN Cc1ccc(-c2noc(CC3CC3)n2)cc1[N+](=O)[O-] ZINC000273872353 192344258 /nfs/dbraw/zinc/34/42/58/192344258.db2.gz TZJSWERYLLKTQE-UHFFFAOYSA-N 0 0 259.265 2.906 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1cn[nH]c1 ZINC000273106572 192044078 /nfs/dbraw/zinc/04/40/78/192044078.db2.gz WRSNIXLRNSDOTG-QMMMGPOBSA-N 0 0 280.715 2.822 20 5 CFBDRN COC[C@@H](NCc1ccc(F)cc1[N+](=O)[O-])c1ccco1 ZINC000595429015 349623415 /nfs/dbraw/zinc/62/34/15/349623415.db2.gz AXYYIWSOJFJLNO-GFCCVEGCSA-N 0 0 294.282 2.804 20 5 CFBDRN Cc1cc(NCC[C@H](C)CCO)c(F)cc1[N+](=O)[O-] ZINC000294973657 199277502 /nfs/dbraw/zinc/27/75/02/199277502.db2.gz OPTZZXQQSMNMEE-VIFPVBQESA-N 0 0 270.304 2.863 20 5 CFBDRN Cc1noc(CN[C@@H]2CCCO[C@@H]2CC(C)C)c1[N+](=O)[O-] ZINC000595440737 349626757 /nfs/dbraw/zinc/62/67/57/349626757.db2.gz GQGAVAFWZHNEKK-VXGBXAGGSA-N 0 0 297.355 2.575 20 5 CFBDRN Cc1cc(NCC[C@@H]2CCO[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000273112507 192046368 /nfs/dbraw/zinc/04/63/68/192046368.db2.gz GKZZWQPPTSJBQP-NWDGAFQWSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN[C@@H](CCO)c1ccco1 ZINC000595444936 349628640 /nfs/dbraw/zinc/62/86/40/349628640.db2.gz IDFGLYSXIXDLOS-LBPRGKRZSA-N 0 0 294.282 2.540 20 5 CFBDRN CC(C)[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000595452023 349630561 /nfs/dbraw/zinc/63/05/61/349630561.db2.gz GINLNJTXIFMTIV-CQSZACIVSA-N 0 0 291.351 2.829 20 5 CFBDRN CC[C@@H]1CN(C/C=C/c2ccccc2[N+](=O)[O-])CCO1 ZINC000125516559 187188948 /nfs/dbraw/zinc/18/89/48/187188948.db2.gz AXEFDWSDDWZFFN-HZRUHFOJSA-N 0 0 276.336 2.719 20 5 CFBDRN CC(C)N(C)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273878245 192345969 /nfs/dbraw/zinc/34/59/69/192345969.db2.gz LHZGERGZUUPVFM-UHFFFAOYSA-N 0 0 282.315 2.878 20 5 CFBDRN CC1(C)CC(NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000595465740 349635501 /nfs/dbraw/zinc/63/55/01/349635501.db2.gz HDTPUPZBQCDRLT-UHFFFAOYSA-N 0 0 277.324 2.583 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@@H]1C(F)(F)F ZINC000595487056 349640011 /nfs/dbraw/zinc/64/00/11/349640011.db2.gz CTFGRTWJFHVWMX-SNVBAGLBSA-N 0 0 278.205 2.871 20 5 CFBDRN CC[C@H](CSC)Nc1ncc([N+](=O)[O-])cc1F ZINC000413223962 529415875 /nfs/dbraw/zinc/41/58/75/529415875.db2.gz LSKPXNQIIRQVSF-SSDOTTSWSA-N 0 0 259.306 2.682 20 5 CFBDRN CCn1cc([C@H](C)NCc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000595520666 349650027 /nfs/dbraw/zinc/65/00/27/349650027.db2.gz RSQSLLKEHHAKOM-JTQLQIEISA-N 0 0 292.314 2.801 20 5 CFBDRN COc1ccc(CN2CC[C@H]2C(F)(F)F)cc1[N+](=O)[O-] ZINC000595493227 349641830 /nfs/dbraw/zinc/64/18/30/349641830.db2.gz DXHUHTBYJLQFTE-NSHDSACASA-N 0 0 290.241 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)C2CCCCC2)cc1 ZINC000068909362 346876331 /nfs/dbraw/zinc/87/63/31/346876331.db2.gz FQACFXUZFBQBTM-AWEZNQCLSA-N 0 0 264.325 2.948 20 5 CFBDRN Cc1noc([C@@H](C)N(C)Cc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000595562047 349660811 /nfs/dbraw/zinc/66/08/11/349660811.db2.gz LVLFDHXPJHNYFX-MRVPVSSYSA-N 0 0 294.286 2.618 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1C[C@H]1C ZINC000127831663 187335949 /nfs/dbraw/zinc/33/59/49/187335949.db2.gz OHFXISILKOFPQV-PSASIEDQSA-N 0 0 263.297 2.742 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)CSCC(C)C ZINC000069050481 346881443 /nfs/dbraw/zinc/88/14/43/346881443.db2.gz AQQMPRQIRDKHCJ-UHFFFAOYSA-N 0 0 298.364 2.931 20 5 CFBDRN Cc1nc(CN(C)Cc2cc(Cl)ccc2[N+](=O)[O-])no1 ZINC000273143592 192056371 /nfs/dbraw/zinc/05/63/71/192056371.db2.gz UZXNBZCVLMCGBT-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC3CCOCC3)ccc2c1 ZINC000070160897 346906658 /nfs/dbraw/zinc/90/66/58/346906658.db2.gz JHZCHVNQRMVINW-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1Cc1ccccc1[N+](=O)[O-] ZINC000595679197 349697822 /nfs/dbraw/zinc/69/78/22/349697822.db2.gz BSZAIARZLXHOGB-LBPRGKRZSA-N 0 0 276.336 2.738 20 5 CFBDRN Cc1nnc(CN(C)Cc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000595699244 349708661 /nfs/dbraw/zinc/70/86/61/349708661.db2.gz HZHMOEVFXLEHNY-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN CCc1ccc(C(=O)N2CC(CC(F)F)C2)cc1[N+](=O)[O-] ZINC000425085576 529594038 /nfs/dbraw/zinc/59/40/38/529594038.db2.gz RPZDLWCOIVHNKW-UHFFFAOYSA-N 0 0 298.289 2.884 20 5 CFBDRN CC(C)N(CCn1cc([N+](=O)[O-])cn1)c1cccc(F)c1 ZINC000595825012 349743644 /nfs/dbraw/zinc/74/36/44/349743644.db2.gz RAYTXFIVNVYEOM-UHFFFAOYSA-N 0 0 292.314 2.845 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000269910700 190567326 /nfs/dbraw/zinc/56/73/26/190567326.db2.gz VVRMDHDLQKAOFP-NWDGAFQWSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1noc(CSc2nnc(C(C)(C)C)[nH]2)c1[N+](=O)[O-] ZINC000596016384 349776338 /nfs/dbraw/zinc/77/63/38/349776338.db2.gz RBLHMAZBNGMCAU-UHFFFAOYSA-N 0 0 297.340 2.599 20 5 CFBDRN CSCCCSCc1onc(C)c1[N+](=O)[O-] ZINC000596019425 349776413 /nfs/dbraw/zinc/77/64/13/349776413.db2.gz GBBVUKLAMZMEQW-UHFFFAOYSA-N 0 0 262.356 2.878 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000070951406 346918369 /nfs/dbraw/zinc/91/83/69/346918369.db2.gz YJEDFNIGPCAPCI-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN C[C@]1(C(F)F)CN(Cc2csc([N+](=O)[O-])c2)CCO1 ZINC000595917830 349769120 /nfs/dbraw/zinc/76/91/20/349769120.db2.gz UPGQXPRKCYVWHX-LLVKDONJSA-N 0 0 292.307 2.512 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596075057 349788131 /nfs/dbraw/zinc/78/81/31/349788131.db2.gz YEGLUHNJFVVKEE-NXEZZACHSA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1cc(N[C@@H](C)Cn2cccn2)c([N+](=O)[O-])s1 ZINC000596074983 349788373 /nfs/dbraw/zinc/78/83/73/349788373.db2.gz DRYLSKBMAGKPQK-QMMMGPOBSA-N 0 0 266.326 2.662 20 5 CFBDRN Cc1nnc(CNc2ccc(C(F)F)cc2[N+](=O)[O-])o1 ZINC000596082900 349789945 /nfs/dbraw/zinc/78/99/45/349789945.db2.gz PNWCPFPRSZSALW-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN COCCN(C)c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596084708 349790725 /nfs/dbraw/zinc/79/07/25/349790725.db2.gz KOYHCHDMAVSVFI-UHFFFAOYSA-N 0 0 278.230 2.696 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000177991149 529656530 /nfs/dbraw/zinc/65/65/30/529656530.db2.gz FHSURPDMIYNHPY-JTQLQIEISA-N 0 0 279.340 2.806 20 5 CFBDRN C[C@H]1CCC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596073311 349787244 /nfs/dbraw/zinc/78/72/44/349787244.db2.gz JSFVIMNMDRVMAA-IUCAKERBSA-N 0 0 251.286 2.686 20 5 CFBDRN CC[C@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413545364 529680915 /nfs/dbraw/zinc/68/09/15/529680915.db2.gz RAAKTWKHGKCSKZ-DTWKUNHWSA-N 0 0 298.368 2.511 20 5 CFBDRN Cc1cc(NCCNC(=O)C(C)(C)C)c([N+](=O)[O-])s1 ZINC000596099298 349794794 /nfs/dbraw/zinc/79/47/94/349794794.db2.gz RHEAOMFWGGFBQV-UHFFFAOYSA-N 0 0 285.369 2.539 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCc2nc(C)ncc2C1 ZINC000596108994 349796266 /nfs/dbraw/zinc/79/62/66/349796266.db2.gz REDPNULRSNIEDC-CYBMUJFWSA-N 0 0 298.346 2.971 20 5 CFBDRN CN(Cc1ccccc1F)c1ccc([N+](=O)[O-])nc1 ZINC000072521921 346927386 /nfs/dbraw/zinc/92/73/86/346927386.db2.gz YJROKNGZKPNYTH-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN CCC(CC)(CO)CNc1ccccc1[N+](=O)[O-] ZINC000126401897 187237331 /nfs/dbraw/zinc/23/73/31/187237331.db2.gz KSEHHHGRTCACGJ-UHFFFAOYSA-N 0 0 252.314 2.805 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)sc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000596121834 349799909 /nfs/dbraw/zinc/79/99/09/349799909.db2.gz YAYPUIBKKKLKMH-PSASIEDQSA-N 0 0 270.354 2.968 20 5 CFBDRN CC[C@H](C)[N@H+](C/C=C\c1ccccc1[N+](=O)[O-])CC(=O)[O-] ZINC000414682411 529691721 /nfs/dbraw/zinc/69/17/21/529691721.db2.gz NXFVJIHYYYWPEA-VFFGBVLKSA-N 0 0 292.335 2.793 20 5 CFBDRN COC[C@@H]1CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596126609 349800510 /nfs/dbraw/zinc/80/05/10/349800510.db2.gz MTLJPWPEKUTJGW-SNVBAGLBSA-N 0 0 270.354 2.828 20 5 CFBDRN C[C@@H](O)CCNc1c(Br)cccc1[N+](=O)[O-] ZINC000596129475 349802281 /nfs/dbraw/zinc/80/22/81/349802281.db2.gz QJVXDCRSJCGBBH-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(Cc3ccc(F)cc3)no2)c1 ZINC000072588061 346931292 /nfs/dbraw/zinc/93/12/92/346931292.db2.gz OSLWDNMGUMVKIN-UHFFFAOYSA-N 0 0 288.238 2.703 20 5 CFBDRN Cc1ccc(NCCCCc2ccc([N+](=O)[O-])cc2)nn1 ZINC000596135601 349803498 /nfs/dbraw/zinc/80/34/98/349803498.db2.gz XHJGXQGJXFESDU-UHFFFAOYSA-N 0 0 286.335 2.550 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(C[C@H]3CCCO3)c2)cc1 ZINC000072626225 346933396 /nfs/dbraw/zinc/93/33/96/346933396.db2.gz KJAJXCIUULUCAU-CQSZACIVSA-N 0 0 273.292 2.637 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H](C)S1 ZINC000596138119 349804407 /nfs/dbraw/zinc/80/44/07/349804407.db2.gz FSTLHDJMNKDUGT-OCAPTIKFSA-N 0 0 287.772 2.973 20 5 CFBDRN Cc1cc(N2CCO[C@H](C(F)(F)F)C2)c([N+](=O)[O-])s1 ZINC000596134506 349803835 /nfs/dbraw/zinc/80/38/35/349803835.db2.gz KPCWZRHYEPDICF-QMMMGPOBSA-N 0 0 296.270 2.732 20 5 CFBDRN C[C@H]1CC(Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C[C@H](C)C1 ZINC000596134423 349803840 /nfs/dbraw/zinc/80/38/40/349803840.db2.gz ILZCPZHMLZDKIP-NXEZZACHSA-N 0 0 291.351 2.930 20 5 CFBDRN NC(=O)c1cc(N2C3CCCC2CCC3)ccc1[N+](=O)[O-] ZINC000596138397 349805652 /nfs/dbraw/zinc/80/56/52/349805652.db2.gz VZXPYPXRYCLQTH-UHFFFAOYSA-N 0 0 289.335 2.605 20 5 CFBDRN CCOC(=O)[C@H](C)[C@@H](C)Nc1ccccc1[N+](=O)[O-] ZINC000273911555 192359108 /nfs/dbraw/zinc/35/91/08/192359108.db2.gz ARHOCGHKSITPAM-NXEZZACHSA-N 0 0 266.297 2.594 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596138749 349805873 /nfs/dbraw/zinc/80/58/73/349805873.db2.gz MTWPEQSCAZLIQS-ZJUUUORDSA-N 0 0 279.340 2.930 20 5 CFBDRN CC(C)C[C@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596144437 349807104 /nfs/dbraw/zinc/80/71/04/349807104.db2.gz FZNRTXGVXAVYSM-SNVBAGLBSA-N 0 0 265.313 2.568 20 5 CFBDRN CCOC(=O)[C@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000273916050 192361086 /nfs/dbraw/zinc/36/10/86/192361086.db2.gz BAVOXDMJAXJSAC-ZJUUUORDSA-N 0 0 266.297 2.594 20 5 CFBDRN CC[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596141967 349806591 /nfs/dbraw/zinc/80/65/91/349806591.db2.gz NLVZSUNRXKZIKA-HNNXBMFYSA-N 0 0 291.351 2.710 20 5 CFBDRN C[C@@H]1c2ccccc2CCN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596149050 349808883 /nfs/dbraw/zinc/80/88/83/349808883.db2.gz IKBAOGGZRZKUEQ-SNVBAGLBSA-N 0 0 284.319 2.696 20 5 CFBDRN Cc1cc(N[C@H]2CC(=O)N(C(C)(C)C)C2)c([N+](=O)[O-])s1 ZINC000596150474 349809500 /nfs/dbraw/zinc/80/95/00/349809500.db2.gz BPXKMDHGMRTBOO-VIFPVBQESA-N 0 0 297.380 2.776 20 5 CFBDRN C[C@@]1(c2ccccc2)CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596166372 349815265 /nfs/dbraw/zinc/81/52/65/349815265.db2.gz XMWFCCIPJUIAKG-MRXNPFEDSA-N 0 0 299.330 2.863 20 5 CFBDRN COC(=O)c1cc(Nc2cc(C)sc2[N+](=O)[O-])cn1C ZINC000596160314 349812817 /nfs/dbraw/zinc/81/28/17/349812817.db2.gz NFMAIWACINXRQP-UHFFFAOYSA-N 0 0 295.320 2.833 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)C[C@@H]1F ZINC000425621904 529730473 /nfs/dbraw/zinc/73/04/73/529730473.db2.gz JPPVMCODICULLY-WCQYABFASA-N 0 0 266.272 2.844 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)C[C@H]1F ZINC000425621905 529730606 /nfs/dbraw/zinc/73/06/06/529730606.db2.gz JPPVMCODICULLY-YPMHNXCESA-N 0 0 266.272 2.844 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@H]3CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596171980 349817001 /nfs/dbraw/zinc/81/70/01/349817001.db2.gz RRKIHZKAJBUUDV-QWRGUYRKSA-N 0 0 282.365 2.828 20 5 CFBDRN CC(C)(CO)CCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295029880 199309092 /nfs/dbraw/zinc/30/90/92/199309092.db2.gz VKUSCHFUGATMHP-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN CCc1ncnc(NCCc2ccc([N+](=O)[O-])cc2)c1F ZINC000158189851 529762116 /nfs/dbraw/zinc/76/21/16/529762116.db2.gz MACUVWZIXVKIFQ-UHFFFAOYSA-N 0 0 290.298 2.741 20 5 CFBDRN CCC1(CO)CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596179256 349818629 /nfs/dbraw/zinc/81/86/29/349818629.db2.gz UVFCHFUNKQHIDL-UHFFFAOYSA-N 0 0 299.758 2.632 20 5 CFBDRN C[C@@H](CC(C)(C)O)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000295043520 199316457 /nfs/dbraw/zinc/31/64/57/199316457.db2.gz SZQGZMHVEVSRAW-ZETCQYMHSA-N 0 0 273.720 2.605 20 5 CFBDRN C[C@H]1CC[C@@]2(CCN(c3ccc(N)c([N+](=O)[O-])n3)C2)C1 ZINC000596190637 349822546 /nfs/dbraw/zinc/82/25/46/349822546.db2.gz VELKPKFTCBJSSZ-IINYFYTJSA-N 0 0 276.340 2.589 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2cc(C)sc2[N+](=O)[O-])[C@H]1OC ZINC000596193072 349823479 /nfs/dbraw/zinc/82/34/79/349823479.db2.gz ZVMJXPOYLRUWPJ-FBIMIBRVSA-N 0 0 286.353 2.569 20 5 CFBDRN C[C@@H](CCC1CC1)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596198334 349825023 /nfs/dbraw/zinc/82/50/23/349825023.db2.gz ZGPWUJZHQQWSPO-VIFPVBQESA-N 0 0 277.324 2.684 20 5 CFBDRN CO[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CC[C@H]1C ZINC000596202051 349825782 /nfs/dbraw/zinc/82/57/82/349825782.db2.gz ABGXARNDQQAXPH-LDYMZIIASA-N 0 0 285.731 2.504 20 5 CFBDRN CC(C)C(C)(C)CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596203109 349825813 /nfs/dbraw/zinc/82/58/13/349825813.db2.gz LRRCVAWINXEZNS-UHFFFAOYSA-N 0 0 279.340 2.788 20 5 CFBDRN CC1(C)C[C@H](Nc2c(Cl)cncc2[N+](=O)[O-])C(C)(C)O1 ZINC000596182642 349819242 /nfs/dbraw/zinc/81/92/42/349819242.db2.gz DOKPNWIROQHERQ-JTQLQIEISA-N 0 0 299.758 2.823 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@]3(CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596182873 349820082 /nfs/dbraw/zinc/82/00/82/349820082.db2.gz SBCBRQRVGRGYSS-ZWNOBZJWSA-N 0 0 298.364 2.715 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596183214 349820242 /nfs/dbraw/zinc/82/02/42/349820242.db2.gz AGDMEPMIGWQBDQ-CABZTGNLSA-N 0 0 299.758 2.681 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000596225165 349833142 /nfs/dbraw/zinc/83/31/42/349833142.db2.gz BGKBTNKWBXMZAB-QMMMGPOBSA-N 0 0 287.266 2.933 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@]2(C1)CCCOC2 ZINC000596227885 349833734 /nfs/dbraw/zinc/83/37/34/349833734.db2.gz ZTEMXTNUPZCXCD-ZDUSSCGKSA-N 0 0 297.742 2.650 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000295437740 199509493 /nfs/dbraw/zinc/50/94/93/199509493.db2.gz FETUIHPESAFHAE-OAHLLOKOSA-N 0 0 294.326 2.878 20 5 CFBDRN CC[C@@](C)(NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000596211395 349827869 /nfs/dbraw/zinc/82/78/69/349827869.db2.gz RKFWHNBTLXXTMZ-OAHLLOKOSA-N 0 0 291.351 2.973 20 5 CFBDRN CC1CN(c2c(Br)cccc2[N+](=O)[O-])C1 ZINC000596218977 349830089 /nfs/dbraw/zinc/83/00/89/349830089.db2.gz NNFMDJMVKDKOEX-UHFFFAOYSA-N 0 0 271.114 2.813 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000596219294 349830327 /nfs/dbraw/zinc/83/03/27/349830327.db2.gz PGNMOPGRROODIV-SSDOTTSWSA-N 0 0 273.239 2.543 20 5 CFBDRN COC[C@@]1(C)CCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000295069161 199329131 /nfs/dbraw/zinc/32/91/31/199329131.db2.gz ZRVAOXFQMNMOKK-AWEZNQCLSA-N 0 0 264.325 2.766 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC[C@H]1C(F)F)CCCC2 ZINC000596255487 349842662 /nfs/dbraw/zinc/84/26/62/349842662.db2.gz PRDVYJULTOUBCP-JTQLQIEISA-N 0 0 283.278 2.712 20 5 CFBDRN Cc1cc(N2CC[N@@H+](C3CC3)C[C@H](C)C2)c([N+](=O)[O-])s1 ZINC000596255731 349842836 /nfs/dbraw/zinc/84/28/36/349842836.db2.gz RVVAWZUOTHZUQR-JTQLQIEISA-N 0 0 295.408 2.885 20 5 CFBDRN CCO[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H]1C ZINC000596255190 349842992 /nfs/dbraw/zinc/84/29/92/349842992.db2.gz PKHOVTGBAPEHHT-SKDRFNHKSA-N 0 0 299.758 2.895 20 5 CFBDRN COc1cc(N2Cc3c[nH]nc3C2)c(Cl)cc1[N+](=O)[O-] ZINC000596240538 349836963 /nfs/dbraw/zinc/83/69/63/349836963.db2.gz ZIICWNLYWYGYEW-UHFFFAOYSA-N 0 0 294.698 2.500 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]2C(F)F)c2ccncc21 ZINC000596245873 349838784 /nfs/dbraw/zinc/83/87/84/349838784.db2.gz BMUBFKZXWZDESR-GFCCVEGCSA-N 0 0 279.246 2.987 20 5 CFBDRN COCC1(CCNc2c(Cl)cncc2[N+](=O)[O-])CCC1 ZINC000596244280 349838907 /nfs/dbraw/zinc/83/89/07/349838907.db2.gz NPTOOYMHQXYFFY-UHFFFAOYSA-N 0 0 299.758 2.684 20 5 CFBDRN COC(=O)c1c(Cl)c(N2C[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000596246604 349839676 /nfs/dbraw/zinc/83/96/76/349839676.db2.gz FEQLHYCCDACMKM-HTQZYQBOSA-N 0 0 298.726 2.879 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3ccncc3)C2)nc1 ZINC000596253710 349842203 /nfs/dbraw/zinc/84/22/03/349842203.db2.gz GRNGDVHNMUYIEQ-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1ccc(NC(=O)C2CCC(=O)CC2)cc1[N+](=O)[O-] ZINC000073152986 346963373 /nfs/dbraw/zinc/96/33/73/346963373.db2.gz KVVOYYRRFVOLOX-UHFFFAOYSA-N 0 0 276.292 2.601 20 5 CFBDRN Cc1cc(N[C@@H](C)Cc2cnn(C)c2)c([N+](=O)[O-])s1 ZINC000596257687 349843999 /nfs/dbraw/zinc/84/39/99/349843999.db2.gz NBHFOWWWQNJZSG-QMMMGPOBSA-N 0 0 280.353 2.741 20 5 CFBDRN C[C@@H]1[C@H](O)CCN1c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596258666 349844960 /nfs/dbraw/zinc/84/49/60/349844960.db2.gz MBYJYLZWYBZWPM-RDDDGLTNSA-N 0 0 290.241 2.573 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000596260772 349845526 /nfs/dbraw/zinc/84/55/26/349845526.db2.gz LOTFULXPOHZCPB-UHFFFAOYSA-N 0 0 278.287 2.728 20 5 CFBDRN CC(C)(C)C[C@@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596261518 349845713 /nfs/dbraw/zinc/84/57/13/349845713.db2.gz WFOJYGBNSXHDRL-JTQLQIEISA-N 0 0 279.340 2.958 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC[C@@]2(CCOC2)C1 ZINC000596261606 349846312 /nfs/dbraw/zinc/84/63/12/349846312.db2.gz RWXQDMHDGBOYNA-CYBMUJFWSA-N 0 0 297.742 2.650 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCC1CC(OC)C1 ZINC000596309139 349859415 /nfs/dbraw/zinc/85/94/15/349859415.db2.gz PAYLWBGRJXKZQC-UHFFFAOYSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H]3CC[C@@H]2O3)c([N+](=O)[O-])s1 ZINC000596289949 349854026 /nfs/dbraw/zinc/85/40/26/349854026.db2.gz IONZABPPPVKNRO-MRTMQBJTSA-N 0 0 254.311 2.697 20 5 CFBDRN Cc1ccccc1[C@@H]1C[C@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596289939 349854195 /nfs/dbraw/zinc/85/41/95/349854195.db2.gz ICTBOVDVKIGMKO-WCQYABFASA-N 0 0 285.303 2.972 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000596293743 349854799 /nfs/dbraw/zinc/85/47/99/349854799.db2.gz WZTPOEBMNIABRL-VIFPVBQESA-N 0 0 297.742 2.791 20 5 CFBDRN COc1cc(N[C@@H]2COC(C)(C)C2)c([N+](=O)[O-])cc1F ZINC000596312257 349860886 /nfs/dbraw/zinc/86/08/86/349860886.db2.gz WMIRCXAPOLDRBE-QMMMGPOBSA-N 0 0 284.287 2.722 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ccn1CC1CC=CC1 ZINC000596299483 349856444 /nfs/dbraw/zinc/85/64/44/349856444.db2.gz KUDOZJDPLHHSKZ-UHFFFAOYSA-N 0 0 270.288 2.876 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000295484425 199529861 /nfs/dbraw/zinc/52/98/61/199529861.db2.gz IYCDBVPRHLCVHS-NSHDSACASA-N 0 0 272.301 2.621 20 5 CFBDRN CC[C@@H](COCC1CC1)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000596327889 349865236 /nfs/dbraw/zinc/86/52/36/349865236.db2.gz WONYCEADJJBUHY-LBPRGKRZSA-N 0 0 279.340 2.915 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2ccccc2[N+](=O)[O-])CCO1 ZINC000596334069 349867599 /nfs/dbraw/zinc/86/75/99/349867599.db2.gz NWFDKBCEXJTMEI-AWEZNQCLSA-N 0 0 262.309 2.600 20 5 CFBDRN Cc1ccc(N[C@H]2C[C@H](C)n3ncnc32)c([N+](=O)[O-])c1 ZINC000596341794 349870340 /nfs/dbraw/zinc/87/03/40/349870340.db2.gz AOQBRJNASXVXQU-ONGXEEELSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1noc(CSC[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000596348338 349873055 /nfs/dbraw/zinc/87/30/55/349873055.db2.gz COLLATWMBYUHTQ-VIFPVBQESA-N 0 0 272.326 2.551 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000596333047 349867292 /nfs/dbraw/zinc/86/72/92/349867292.db2.gz FESLXNSTHZBJGH-AWEZNQCLSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1noc(CSCC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000596356740 349875798 /nfs/dbraw/zinc/87/57/98/349875798.db2.gz JPTMVBADQDMZDA-SECBINFHSA-N 0 0 272.326 2.694 20 5 CFBDRN C[S@](=O)[C@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000596375313 349882796 /nfs/dbraw/zinc/88/27/96/349882796.db2.gz PYLIXOXLNJJTIZ-AGMRTUQYSA-N 0 0 282.365 2.696 20 5 CFBDRN CC(C)CN(C(=O)COc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000074234823 347003710 /nfs/dbraw/zinc/00/37/10/347003710.db2.gz BQHYCVIOKABQDQ-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN CO[C@@H](CSCc1ccccc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000596387077 349886362 /nfs/dbraw/zinc/88/63/62/349886362.db2.gz CFJFTOBPCHEEFQ-RISCZKNCSA-N 0 0 297.376 2.880 20 5 CFBDRN C[C@H](O)CCSCCc1ccc([N+](=O)[O-])cc1 ZINC000596406951 349891542 /nfs/dbraw/zinc/89/15/42/349891542.db2.gz LNHMYLGZXIKYKM-JTQLQIEISA-N 0 0 255.339 2.641 20 5 CFBDRN CC(C)CCCNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000074517072 347018123 /nfs/dbraw/zinc/01/81/23/347018123.db2.gz VOEHSFDYLPAOOA-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN Nc1ccc(N2CCC(C3CCC3)CC2)nc1[N+](=O)[O-] ZINC000596424644 349899192 /nfs/dbraw/zinc/89/91/92/349899192.db2.gz IOFUYZMUHMYBTQ-UHFFFAOYSA-N 0 0 276.340 2.589 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCC[C@H]2[C@H](C)CO)c1 ZINC000596431820 349901403 /nfs/dbraw/zinc/90/14/03/349901403.db2.gz COWQFQRLVAGFOK-OLZOCXBDSA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCC[C@H]2[C@H](C)CO)n1 ZINC000596434113 349901842 /nfs/dbraw/zinc/90/18/42/349901842.db2.gz IXXQPQOTMQITCU-YPMHNXCESA-N 0 0 293.367 2.594 20 5 CFBDRN CCOc1cc(N[C@@H](C)C2(CO)CC2)ccc1[N+](=O)[O-] ZINC000596437597 349902338 /nfs/dbraw/zinc/90/23/38/349902338.db2.gz OXUDTPGJQCQHAR-JTQLQIEISA-N 0 0 280.324 2.566 20 5 CFBDRN CCOc1cc(N[C@H](C)C2(CO)CC2)ccc1[N+](=O)[O-] ZINC000596437598 349902478 /nfs/dbraw/zinc/90/24/78/349902478.db2.gz OXUDTPGJQCQHAR-SNVBAGLBSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1ccc(NC(=O)N2CCC=C(F)C2)cc1[N+](=O)[O-] ZINC000273185869 192069143 /nfs/dbraw/zinc/06/91/43/192069143.db2.gz HXNGCFQKHVBRIP-UHFFFAOYSA-N 0 0 279.271 2.994 20 5 CFBDRN Cc1cc(N2C[C@]3(C)COC[C@]3(C)C2)ccc1[N+](=O)[O-] ZINC000596440639 349903826 /nfs/dbraw/zinc/90/38/26/349903826.db2.gz DTWQTIXKGWFWRX-GASCZTMLSA-N 0 0 276.336 2.766 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000074763676 347031152 /nfs/dbraw/zinc/03/11/52/347031152.db2.gz SRZONKQGHOQMCT-GFCCVEGCSA-N 0 0 291.351 2.579 20 5 CFBDRN C[C@H]1c2ccccc2C[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000596447054 349905436 /nfs/dbraw/zinc/90/54/36/349905436.db2.gz FAPFKNXCBYVLTE-TVQRCGJNSA-N 0 0 270.292 2.525 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC(c2ccco2)CC1 ZINC000596445264 349905724 /nfs/dbraw/zinc/90/57/24/349905724.db2.gz DGBOEFJZPXUTOY-UHFFFAOYSA-N 0 0 289.291 2.536 20 5 CFBDRN CC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])C1CCOCC1 ZINC000074774198 347032140 /nfs/dbraw/zinc/03/21/40/347032140.db2.gz HFHHLWUIGJOLDI-GFCCVEGCSA-N 0 0 279.340 2.915 20 5 CFBDRN CCO[C@H](CCNc1ccncc1[N+](=O)[O-])C(C)C ZINC000074772126 347032336 /nfs/dbraw/zinc/03/23/36/347032336.db2.gz RIJMBDHPYKLPTK-CYBMUJFWSA-N 0 0 267.329 2.853 20 5 CFBDRN CSCC[C@@H](C)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000074769989 347032499 /nfs/dbraw/zinc/03/24/99/347032499.db2.gz JQAPFGAVDIBLOJ-SECBINFHSA-N 0 0 255.343 2.568 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@]12C[C@@H]1CCC2 ZINC000596442705 349904438 /nfs/dbraw/zinc/90/44/38/349904438.db2.gz JWHAGJGOEYYONL-ZANVPECISA-N 0 0 261.281 2.659 20 5 CFBDRN COCC1(C2CCC2)CN(c2sccc2[N+](=O)[O-])C1 ZINC000596442738 349904629 /nfs/dbraw/zinc/90/46/29/349904629.db2.gz UGOMGXHPVXLLJW-UHFFFAOYSA-N 0 0 282.365 2.909 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCO[C@H]1CC1(F)F ZINC000596448544 349906457 /nfs/dbraw/zinc/90/64/57/349906457.db2.gz UDLMSTRVMLZPFQ-JTQLQIEISA-N 0 0 272.251 2.739 20 5 CFBDRN CN(CC1=CCCOC1)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000596466388 349909049 /nfs/dbraw/zinc/90/90/49/349909049.db2.gz YBZQTJXMBACZLW-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN COCc1nc(C)cc(Oc2cccc([N+](=O)[O-])c2)n1 ZINC000075040800 347049268 /nfs/dbraw/zinc/04/92/68/347049268.db2.gz XMDPQTLEZPVASS-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCC[C@@H](C)O1 ZINC000596472527 349910613 /nfs/dbraw/zinc/91/06/13/349910613.db2.gz COCBWEOPVATXHE-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cnc(NCc2c(C3CC3)cnn2C)c([N+](=O)[O-])c1 ZINC000596474200 349911734 /nfs/dbraw/zinc/91/17/34/349911734.db2.gz WWKUMLHKEUSYOK-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCCC1CCC1 ZINC000596475058 349912355 /nfs/dbraw/zinc/91/23/55/349912355.db2.gz GFLWIBGGWNMNIE-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1nccc3c1cccc3[N+](=O)[O-])C2 ZINC000596478576 349913718 /nfs/dbraw/zinc/91/37/18/349913718.db2.gz HFNHFUYSOMOVSC-ZBEGNZNMSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCC[C@H](C)O2)c1[N+](=O)[O-] ZINC000596476184 349912912 /nfs/dbraw/zinc/91/29/12/349912912.db2.gz XPKWYPIXLSSTQV-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN Cn1ncc(C2CC2)c1CNc1ccc([N+](=O)[O-])s1 ZINC000596476194 349912988 /nfs/dbraw/zinc/91/29/88/349912988.db2.gz XTXXWGYQAYAGFK-UHFFFAOYSA-N 0 0 278.337 2.879 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1=CCCC1 ZINC000177851728 530003927 /nfs/dbraw/zinc/00/39/27/530003927.db2.gz UKPDUSBGNIHXFT-UHFFFAOYSA-N 0 0 260.293 2.664 20 5 CFBDRN CCCC[C@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000499938767 534964806 /nfs/dbraw/zinc/96/48/06/534964806.db2.gz HYHQNZPCYDJUQT-LBPRGKRZSA-N 0 0 293.367 2.949 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ncc(C)cc1[N+](=O)[O-])C2 ZINC000596482783 349915786 /nfs/dbraw/zinc/91/57/86/349915786.db2.gz BHJZQQIBTCHEDO-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN Cn1cnc2cc(NC(=O)c3cccc([N+](=O)[O-])c3)ccc21 ZINC000075326448 347066979 /nfs/dbraw/zinc/06/69/79/347066979.db2.gz WENKTXJVTBKANM-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1ccnc3c1cccc3[N+](=O)[O-])C2 ZINC000596481284 349915347 /nfs/dbraw/zinc/91/53/47/349915347.db2.gz WBLDJGHRPPWEOV-ZBEGNZNMSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1ccc(CC2CN(c3ccncc3[N+](=O)[O-])C2)cc1 ZINC000596485638 349916489 /nfs/dbraw/zinc/91/64/89/349916489.db2.gz MLRLYFPIDDKWKI-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN CCC(CC)N(CCOC)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000075451367 347077642 /nfs/dbraw/zinc/07/76/42/347077642.db2.gz WLIBDWOJQMKABR-UHFFFAOYSA-N 0 0 294.351 2.872 20 5 CFBDRN COC(=O)C[C@@H](CNc1sccc1[N+](=O)[O-])C1CC1 ZINC000596490621 349918292 /nfs/dbraw/zinc/91/82/92/349918292.db2.gz FJDLLBARNZXTRZ-VIFPVBQESA-N 0 0 284.337 2.658 20 5 CFBDRN COc1cc(NC[C@H](CO)CC2CC2)c([N+](=O)[O-])cc1F ZINC000596492105 349918796 /nfs/dbraw/zinc/91/87/96/349918796.db2.gz LTJBWDQXUJDPSM-SNVBAGLBSA-N 0 0 298.314 2.563 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H](CO)CC1CC1 ZINC000596494113 349919467 /nfs/dbraw/zinc/91/94/67/349919467.db2.gz URLRMJVSOXUXNK-JTQLQIEISA-N 0 0 268.288 2.554 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2cncc(C)n2)c1 ZINC000596497925 349920535 /nfs/dbraw/zinc/92/05/35/349920535.db2.gz HMHNWIYAZSCEMP-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1cncc(CCNc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000596497893 349920354 /nfs/dbraw/zinc/92/03/54/349920354.db2.gz FYJDQZAODYFCJG-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN COc1cc(N2CC[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000270487621 190699173 /nfs/dbraw/zinc/69/91/73/190699173.db2.gz CBSZJHNVZAYGKD-QMMMGPOBSA-N 0 0 254.261 2.589 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596500526 349922054 /nfs/dbraw/zinc/92/20/54/349922054.db2.gz CDAKONPYVOZWKC-CHWSQXEVSA-N 0 0 262.309 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H](O)C23CCC3)c(Cl)c1 ZINC000596500239 349921734 /nfs/dbraw/zinc/92/17/34/349921734.db2.gz AUUWPXCKDAGNAL-VXGBXAGGSA-N 0 0 282.727 2.964 20 5 CFBDRN CCOc1cccc(NCCCn2cccn2)c1[N+](=O)[O-] ZINC000127355108 187299346 /nfs/dbraw/zinc/29/93/46/187299346.db2.gz CUXZHHHCIZHFAQ-UHFFFAOYSA-N 0 0 290.323 2.692 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC2CC1(c1ccccc1)C2 ZINC000596505784 349923508 /nfs/dbraw/zinc/92/35/08/349923508.db2.gz QNYHORVLFCJZBA-UHFFFAOYSA-N 0 0 297.314 2.684 20 5 CFBDRN CCSC1(CNc2ncccc2[N+](=O)[O-])CC1 ZINC000596510043 349924651 /nfs/dbraw/zinc/92/46/51/349924651.db2.gz ASMGDNMALPUDSN-UHFFFAOYSA-N 0 0 253.327 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3C[C@H]3C2)c2ccncc21 ZINC000596509299 349924705 /nfs/dbraw/zinc/92/47/05/349924705.db2.gz ILXXBWGMLQTVMR-QWRGUYRKSA-N 0 0 269.304 2.989 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])c(OC)c2)CCC1 ZINC000084254127 178832733 /nfs/dbraw/zinc/83/27/33/178832733.db2.gz GAEHLZXIXCMDMQ-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000596507384 349923858 /nfs/dbraw/zinc/92/38/58/349923858.db2.gz XNLPTXXSDNHEHA-TZMCWYRMSA-N 0 0 291.351 2.775 20 5 CFBDRN Cc1ccc(N[C@@H](C)c2cnn(C)c2)c([N+](=O)[O-])c1 ZINC000044497375 232174647 /nfs/dbraw/zinc/17/46/47/232174647.db2.gz JKCNGZRBYQTBIZ-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN CCn1cc([C@H](C)Nc2ccc([N+](=O)[O-])cc2)cn1 ZINC000044497402 232174688 /nfs/dbraw/zinc/17/46/88/232174688.db2.gz PRFBUUCNINQFOK-JTQLQIEISA-N 0 0 260.297 2.984 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@@H](O)C23CCC3)c1[N+](=O)[O-] ZINC000596515530 349927096 /nfs/dbraw/zinc/92/70/96/349927096.db2.gz ZIMWQLQWEZMELZ-CHWSQXEVSA-N 0 0 292.335 2.709 20 5 CFBDRN COc1cc(NCC2CCC(O)CC2)c([N+](=O)[O-])cc1F ZINC000596515978 349927458 /nfs/dbraw/zinc/92/74/58/349927458.db2.gz SCJMJMKBAXAMIO-UHFFFAOYSA-N 0 0 298.314 2.706 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1=CCCC1 ZINC000596518406 349927783 /nfs/dbraw/zinc/92/77/83/349927783.db2.gz VWPLHXOENKZINE-UHFFFAOYSA-N 0 0 250.302 2.642 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1=COCCC1 ZINC000107435426 232189605 /nfs/dbraw/zinc/18/96/05/232189605.db2.gz YXNZWNVOUBSQFT-UHFFFAOYSA-N 0 0 276.292 2.844 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@]2(C)CC2(C)C)c1[N+](=O)[O-] ZINC000596524410 349929994 /nfs/dbraw/zinc/92/99/94/349929994.db2.gz XIVQWMKPPFOLON-AWEZNQCLSA-N 0 0 293.323 2.767 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@]2(C)CC2(C)C)c1[N+](=O)[O-] ZINC000596523751 349930053 /nfs/dbraw/zinc/93/00/53/349930053.db2.gz NGZHTWKOBGTQAG-CQSZACIVSA-N 0 0 293.323 2.685 20 5 CFBDRN CO[C@H](CNc1nccc(C)c1[N+](=O)[O-])C1CCCC1 ZINC000596528365 349931216 /nfs/dbraw/zinc/93/12/16/349931216.db2.gz YWOGFPDNKCANNN-GFCCVEGCSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1ccc(CNc2ccc([N+](=O)[O-])c(C)n2)cn1 ZINC000133237402 232209932 /nfs/dbraw/zinc/20/99/32/232209932.db2.gz ONAKFJUPECNUIE-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cc1)[C@@]1(O)CCCOC1 ZINC000596535509 349934147 /nfs/dbraw/zinc/93/41/47/349934147.db2.gz SFPWSZOVUKJZQT-OAHLLOKOSA-N 0 0 294.351 2.574 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000596541731 349935751 /nfs/dbraw/zinc/93/57/51/349935751.db2.gz DHMWHRYBERJAFP-QMMMGPOBSA-N 0 0 296.352 2.531 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000596547184 349937880 /nfs/dbraw/zinc/93/78/80/349937880.db2.gz YAJDNLLAUWAUNH-JTQLQIEISA-N 0 0 291.351 2.790 20 5 CFBDRN C[C@H](c1ccccc1)[C@@H](O)CNc1ccc([N+](=O)[O-])cn1 ZINC000596547359 349938128 /nfs/dbraw/zinc/93/81/28/349938128.db2.gz CVKNEVFSZCZWLP-RISCZKNCSA-N 0 0 287.319 2.566 20 5 CFBDRN CCc1nn(C)c(N[C@H]2C[C@H](OC(C)(C)C)C2)c1[N+](=O)[O-] ZINC000596549052 349938770 /nfs/dbraw/zinc/93/87/70/349938770.db2.gz DKUKSCLLMJBSGJ-MGCOHNPYSA-N 0 0 296.371 2.649 20 5 CFBDRN CCOC(=O)[C@@]1(C)CCC[C@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000596552908 349939817 /nfs/dbraw/zinc/93/98/17/349939817.db2.gz OEJANUGRYFWFCD-RISCZKNCSA-N 0 0 293.323 2.524 20 5 CFBDRN Cc1nnc(CN(C)c2ccc([N+](=O)[O-])c(C)c2)s1 ZINC000596556747 349940580 /nfs/dbraw/zinc/94/05/80/349940580.db2.gz KXKVCGKBUVBDPA-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000174063275 232287760 /nfs/dbraw/zinc/28/77/60/232287760.db2.gz VOVVSHLTSODCHG-VXGBXAGGSA-N 0 0 299.330 2.971 20 5 CFBDRN C[C@H](CCO)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000097443308 185605777 /nfs/dbraw/zinc/60/57/77/185605777.db2.gz SZZOHGINBZFCAW-MRVPVSSYSA-N 0 0 258.705 2.679 20 5 CFBDRN Nc1c(C(=O)NCCCc2ccccc2)cccc1[N+](=O)[O-] ZINC000175707517 232317681 /nfs/dbraw/zinc/31/76/81/232317681.db2.gz GBPXNVICUFVFAZ-UHFFFAOYSA-N 0 0 299.330 2.540 20 5 CFBDRN CC(C)(C)[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@H]1O ZINC000273268632 192094047 /nfs/dbraw/zinc/09/40/47/192094047.db2.gz JJBBCAFSVDMUAQ-NWDGAFQWSA-N 0 0 298.408 2.885 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1SCCCO ZINC000085162769 178960813 /nfs/dbraw/zinc/96/08/13/178960813.db2.gz YCPXMTSKIASAPZ-UHFFFAOYSA-N 0 0 292.154 2.832 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCO[C@H](CC(F)(F)F)C1 ZINC000596559601 349941568 /nfs/dbraw/zinc/94/15/68/349941568.db2.gz ZSJZKIFCFMCQKQ-SECBINFHSA-N 0 0 290.241 2.752 20 5 CFBDRN CCOC(=O)[C@@]1(C)CCC[C@H]1Nc1ncccc1[N+](=O)[O-] ZINC000596558257 349941598 /nfs/dbraw/zinc/94/15/98/349941598.db2.gz YIMKVYUWKRQNIN-RISCZKNCSA-N 0 0 293.323 2.524 20 5 CFBDRN CCOC(=O)[C@@]1(C)CCC[C@@H]1Nc1ncc([N+](=O)[O-])s1 ZINC000596558177 349941680 /nfs/dbraw/zinc/94/16/80/349941680.db2.gz XTVUSCZDLKDMFW-UFBFGSQYSA-N 0 0 299.352 2.585 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C=CCCC1 ZINC000176834632 232342892 /nfs/dbraw/zinc/34/28/92/232342892.db2.gz LBTKKQHIJXJCED-SNVBAGLBSA-N 0 0 291.307 2.834 20 5 CFBDRN COC(C)(C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177857439 232371395 /nfs/dbraw/zinc/37/13/95/232371395.db2.gz FYYLETPORWIWAC-SNVBAGLBSA-N 0 0 280.324 2.505 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000005322194 346211080 /nfs/dbraw/zinc/21/10/80/346211080.db2.gz VYQKKSFVLLUUDG-UHFFFAOYSA-N 0 0 285.303 2.652 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 ZINC000011318782 346229053 /nfs/dbraw/zinc/22/90/53/346229053.db2.gz XWQFMFBQBRRYTB-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN Cc1n[nH]cc1CCCNc1c(C)cccc1[N+](=O)[O-] ZINC000097635305 185641550 /nfs/dbraw/zinc/64/15/50/185641550.db2.gz AZAZEWOZDVFVGJ-UHFFFAOYSA-N 0 0 274.324 2.979 20 5 CFBDRN COc1ccc(OCc2ccccn2)c([N+](=O)[O-])c1 ZINC000012935025 346231745 /nfs/dbraw/zinc/23/17/45/346231745.db2.gz DNHUTLIQUCLFLQ-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)C[C@H]1CC1(C)C ZINC000596568852 349944037 /nfs/dbraw/zinc/94/40/37/349944037.db2.gz XNGIOAPEWHOTQU-SNVBAGLBSA-N 0 0 266.345 2.602 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2(O)CCC(C)CC2)n1 ZINC000596570751 349944515 /nfs/dbraw/zinc/94/45/15/349944515.db2.gz NMJPPJJLCVYXFE-UHFFFAOYSA-N 0 0 293.367 2.960 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@](C)(C(F)F)C2)c1 ZINC000596571036 349944816 /nfs/dbraw/zinc/94/48/16/349944816.db2.gz CZSXIDQXKUISKU-ZDUSSCGKSA-N 0 0 286.278 2.764 20 5 CFBDRN Cc1nn(C)c(C)c1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000008118004 346222526 /nfs/dbraw/zinc/22/25/26/346222526.db2.gz KOKIKXQAMFPDJH-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CC(C)OCCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000018178656 346240902 /nfs/dbraw/zinc/24/09/02/346240902.db2.gz IYQYFYRXQONFDH-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN COc1cccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000020448443 346244253 /nfs/dbraw/zinc/24/42/53/346244253.db2.gz SKQSDBGQXPNOHW-SECBINFHSA-N 0 0 290.275 2.687 20 5 CFBDRN CN(Cc1ccsc1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000021935624 346247284 /nfs/dbraw/zinc/24/72/84/346247284.db2.gz VFZVHNGRTKZPCW-UHFFFAOYSA-N 0 0 276.317 2.929 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1cnc2ccccc2n1 ZINC000021887572 346247388 /nfs/dbraw/zinc/24/73/88/346247388.db2.gz FPIZOKZZJWUVHN-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccccc3)C2)nc1 ZINC000023888599 346253701 /nfs/dbraw/zinc/25/37/01/346253701.db2.gz OWJXHSUCVMFJKX-AWEZNQCLSA-N 0 0 285.303 2.568 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000075631830 347089578 /nfs/dbraw/zinc/08/95/78/347089578.db2.gz GVXRDTPQEOGNPC-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccnc2-n2cccn2)cc1 ZINC000024660705 346256900 /nfs/dbraw/zinc/25/69/00/346256900.db2.gz JCCFNXDNGOZUNZ-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN C[C@@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000025771849 346261939 /nfs/dbraw/zinc/26/19/39/346261939.db2.gz VXRPIXPYHYPZEC-LLVKDONJSA-N 0 0 291.351 2.702 20 5 CFBDRN COCc1cccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000025868557 346261941 /nfs/dbraw/zinc/26/19/41/346261941.db2.gz AZPBJAWPMQKXGN-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN CCCCNC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000026938737 346267012 /nfs/dbraw/zinc/26/70/12/346267012.db2.gz YYKIVPRVIKLDLX-JTQLQIEISA-N 0 0 282.365 2.992 20 5 CFBDRN COC[C@@H](C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000027471107 346269840 /nfs/dbraw/zinc/26/98/40/346269840.db2.gz CPWIBPLKOWOILV-MRVPVSSYSA-N 0 0 294.332 2.574 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000027702741 346271478 /nfs/dbraw/zinc/27/14/78/346271478.db2.gz LTWXPQQPGVSEDR-PWSUYJOCSA-N 0 0 279.340 2.632 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1nccc(C(F)(F)F)n1 ZINC000029365763 346280667 /nfs/dbraw/zinc/28/06/67/346280667.db2.gz YGAUJEWNMBKPEJ-UHFFFAOYSA-N 0 0 286.169 2.591 20 5 CFBDRN CCCc1noc(COc2ccc(OC)cc2[N+](=O)[O-])n1 ZINC000029560271 346282069 /nfs/dbraw/zinc/28/20/69/346282069.db2.gz HXJVULKJECJFGD-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)Cn2cnc([N+](=O)[O-])c2)cc1 ZINC000029749548 346283768 /nfs/dbraw/zinc/28/37/68/346283768.db2.gz UGXQAODZZVTLGB-UHFFFAOYSA-N 0 0 287.319 2.972 20 5 CFBDRN COCCN(CC(C)C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000031661546 346295460 /nfs/dbraw/zinc/29/54/60/346295460.db2.gz VNWFLTSEOSEOAJ-UHFFFAOYSA-N 0 0 295.339 2.731 20 5 CFBDRN CCOc1ccc(C(=O)Nc2ccncc2)cc1[N+](=O)[O-] ZINC000032247670 346301524 /nfs/dbraw/zinc/30/15/24/346301524.db2.gz BCJTZPSDNSBNED-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN Cc1sc(C(=O)Nc2ccncc2)cc1[N+](=O)[O-] ZINC000032726864 346307140 /nfs/dbraw/zinc/30/71/40/346307140.db2.gz AQLVWESSWWIYMS-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CNc1ccc(C(=O)NCc2cccc(C)c2)cc1[N+](=O)[O-] ZINC000031108222 346291729 /nfs/dbraw/zinc/29/17/29/346291729.db2.gz SNGZZYOFFVGCPI-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CO[C@@H]1CC[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1 ZINC000596600193 349952794 /nfs/dbraw/zinc/95/27/94/349952794.db2.gz CCQBMFGAAVEHDC-JGVFFNPUSA-N 0 0 279.252 2.520 20 5 CFBDRN CN(C)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000033121863 346310086 /nfs/dbraw/zinc/31/00/86/346310086.db2.gz PMFPRZFFKDSISR-UHFFFAOYSA-N 0 0 275.264 2.506 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000033185905 346310806 /nfs/dbraw/zinc/31/08/06/346310806.db2.gz FCGIABWKNQBZJY-NEPJUHHUSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1cccc([C@H]2CCN(c3ncc([N+](=O)[O-])c(C)n3)C2)c1 ZINC000295142174 199369937 /nfs/dbraw/zinc/36/99/37/199369937.db2.gz ZTHIONSUYQUXQC-AWEZNQCLSA-N 0 0 298.346 2.996 20 5 CFBDRN CC(C)CSCCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000041302585 346349583 /nfs/dbraw/zinc/34/95/83/346349583.db2.gz AVSIPSVQAQYPFE-UHFFFAOYSA-N 0 0 282.365 2.714 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000041477648 346352573 /nfs/dbraw/zinc/35/25/73/346352573.db2.gz XRRZAJRBCDZCSL-LLVKDONJSA-N 0 0 280.324 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ncccc2F)c(F)c1 ZINC000127620217 187320602 /nfs/dbraw/zinc/32/06/02/187320602.db2.gz XFJSLBGQDXHERA-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1ncc(-c2ccccc2)o1 ZINC000042098784 346360031 /nfs/dbraw/zinc/36/00/31/346360031.db2.gz VWIHPPFRDZKSSK-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2nnc3ccccn32)c(F)c1 ZINC000042096453 346360183 /nfs/dbraw/zinc/36/01/83/346360183.db2.gz IVWBUMIFFGODTL-UHFFFAOYSA-N 0 0 290.279 2.928 20 5 CFBDRN COCCCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000042391406 346364813 /nfs/dbraw/zinc/36/48/13/346364813.db2.gz XHUQPULGYFQRBH-UHFFFAOYSA-N 0 0 272.688 2.613 20 5 CFBDRN C[C@@H](Cc1nc(-c2ccc([N+](=O)[O-])o2)no1)[C@@H]1CCCO1 ZINC000596600786 349953470 /nfs/dbraw/zinc/95/34/70/349953470.db2.gz JQPMHESWNSWKLR-IUCAKERBSA-N 0 0 293.279 2.595 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000042918676 346373802 /nfs/dbraw/zinc/37/38/02/346373802.db2.gz BBNVNTFAGFXVLP-SVRRBLITSA-N 0 0 286.234 2.791 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCc1ccsc1 ZINC000042937998 346373807 /nfs/dbraw/zinc/37/38/07/346373807.db2.gz LASLSAPQQQVYDE-UHFFFAOYSA-N 0 0 276.317 2.515 20 5 CFBDRN CN(Cc1ccc(F)cc1F)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000043500752 346383693 /nfs/dbraw/zinc/38/36/93/346383693.db2.gz ZUOHHJSWUJWYNU-UHFFFAOYSA-N 0 0 296.229 2.738 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccco2)c2ncccc12 ZINC000075668605 347090896 /nfs/dbraw/zinc/09/08/96/347090896.db2.gz YBVVYGIUNCMYJO-ZDUSSCGKSA-N 0 0 299.286 2.882 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000045204959 346405004 /nfs/dbraw/zinc/40/50/04/346405004.db2.gz MWPPDQKTXKMHGP-AAEUAGOBSA-N 0 0 276.336 2.832 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000596621677 349959479 /nfs/dbraw/zinc/95/94/79/349959479.db2.gz ZPCZTEUBJYUQON-PWSUYJOCSA-N 0 0 278.308 2.657 20 5 CFBDRN O=C(NCCCC1CCCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000044633210 346396512 /nfs/dbraw/zinc/39/65/12/346396512.db2.gz LCKUWVGASFMCOI-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)Cc1ccncc1 ZINC000194381222 232505373 /nfs/dbraw/zinc/50/53/73/232505373.db2.gz TYWKOTOMMQSATG-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)Cn2nccc2[N+](=O)[O-])cc1 ZINC000047168973 346445802 /nfs/dbraw/zinc/44/58/02/346445802.db2.gz BSEOCHSXBAOAJM-UHFFFAOYSA-N 0 0 287.319 2.972 20 5 CFBDRN CC(=O)c1ccc(NC[C@H](O)c2ccco2)c([N+](=O)[O-])c1 ZINC000075668649 347091370 /nfs/dbraw/zinc/09/13/70/347091370.db2.gz OEKSQLRQORAIGE-ZDUSSCGKSA-N 0 0 290.275 2.536 20 5 CFBDRN C[C@@H](CCc1ccco1)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000047741065 346458363 /nfs/dbraw/zinc/45/83/63/346458363.db2.gz DIMRXRZLMPJPIA-VIFPVBQESA-N 0 0 278.264 2.532 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000048298472 346471386 /nfs/dbraw/zinc/47/13/86/346471386.db2.gz GZMGAGIZNHPIIB-SNVBAGLBSA-N 0 0 287.319 2.948 20 5 CFBDRN CC(C)Oc1ncccc1CNc1ncccc1[N+](=O)[O-] ZINC000048336977 346471962 /nfs/dbraw/zinc/47/19/62/346471962.db2.gz ZOMPGFSQGHSNLF-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000049036861 346479026 /nfs/dbraw/zinc/47/90/26/346479026.db2.gz ABFMCGONVIUCJU-VIFPVBQESA-N 0 0 266.297 2.522 20 5 CFBDRN COc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1F ZINC000049220988 346481296 /nfs/dbraw/zinc/48/12/96/346481296.db2.gz LJODTEAGJFXPFM-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN O=C(Nc1ccccc1-n1cccn1)c1ccc([N+](=O)[O-])o1 ZINC000049703734 346484646 /nfs/dbraw/zinc/48/46/46/346484646.db2.gz GLTXCGBIEHLLEP-UHFFFAOYSA-N 0 0 298.258 2.626 20 5 CFBDRN Cc1nn(C)c(NCCc2cccc(Cl)c2)c1[N+](=O)[O-] ZINC000051323779 346502708 /nfs/dbraw/zinc/50/27/08/346502708.db2.gz HFRBUESMPKOFMT-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN CCc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000051528144 346504912 /nfs/dbraw/zinc/50/49/12/346504912.db2.gz ZAVOXMHEPMXLJO-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(Nc1cccc2ncccc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000051528744 346505443 /nfs/dbraw/zinc/50/54/43/346505443.db2.gz RHWRWWPQWLUJPK-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CCNC(=O)c1ccc(CNc2ccccc2[N+](=O)[O-])cc1 ZINC000052190498 346517876 /nfs/dbraw/zinc/51/78/76/346517876.db2.gz CVYXKNWXYRKAJD-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN CC[C@@H](Cc1ccccc1)Nc1c([N+](=O)[O-])ncn1C ZINC000075722362 347095336 /nfs/dbraw/zinc/09/53/36/347095336.db2.gz WDCPFYXOGFVHGZ-LBPRGKRZSA-N 0 0 274.324 2.762 20 5 CFBDRN C[C@H](CCc1ccccc1)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051202215 346500578 /nfs/dbraw/zinc/50/05/78/346500578.db2.gz IOPRPDGTYJLQLX-LLVKDONJSA-N 0 0 287.319 2.674 20 5 CFBDRN CC(C)CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051202576 346500889 /nfs/dbraw/zinc/50/08/89/346500889.db2.gz NCXXDCIXPGQQAD-SNVBAGLBSA-N 0 0 267.329 2.868 20 5 CFBDRN Cc1c(CN2CCO[C@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000054342869 346565666 /nfs/dbraw/zinc/56/56/66/346565666.db2.gz NBHXDHSUXZOXCY-GJZGRUSLSA-N 0 0 276.336 2.657 20 5 CFBDRN CCCCNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052604381 346525297 /nfs/dbraw/zinc/52/52/97/346525297.db2.gz ZJBIOQYCAHBGSW-UHFFFAOYSA-N 0 0 261.281 2.606 20 5 CFBDRN CC(C)Cn1nnnc1SCc1cccc([N+](=O)[O-])c1 ZINC000053361461 346543553 /nfs/dbraw/zinc/54/35/53/346543553.db2.gz MIVVBVHUKMKMTQ-UHFFFAOYSA-N 0 0 293.352 2.530 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000054646865 346574109 /nfs/dbraw/zinc/57/41/09/346574109.db2.gz ZMMAACSGBCFOFY-LBPRGKRZSA-N 0 0 293.367 2.967 20 5 CFBDRN CCOCCCCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000055497356 346591080 /nfs/dbraw/zinc/59/10/80/346591080.db2.gz PMMINYODBADAIS-YFHOEESVSA-N 0 0 292.335 2.541 20 5 CFBDRN COc1ccccc1N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000055743437 346596229 /nfs/dbraw/zinc/59/62/29/346596229.db2.gz KHMWLDPTGABIBY-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN COc1ccccc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797231 346596726 /nfs/dbraw/zinc/59/67/26/346596726.db2.gz OGBUKJRMOVVRDU-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@H]1CC=CCC1 ZINC000055797143 346596861 /nfs/dbraw/zinc/59/68/61/346596861.db2.gz IFGLXYYYJGOCNH-LBPRGKRZSA-N 0 0 260.293 2.567 20 5 CFBDRN O=C(C=C(C1CC1)C1CC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000055798919 346596954 /nfs/dbraw/zinc/59/69/54/346596954.db2.gz HLDRACKLDBXNHK-UHFFFAOYSA-N 0 0 286.331 2.957 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000056316190 346606871 /nfs/dbraw/zinc/60/68/71/346606871.db2.gz ABDGWYITDGWHQT-ZDUSSCGKSA-N 0 0 285.303 2.649 20 5 CFBDRN C[C@H]1CCCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000057006072 346617224 /nfs/dbraw/zinc/61/72/24/346617224.db2.gz IDIHALVAMDGLTE-NSHDSACASA-N 0 0 277.324 2.536 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000057071323 346618720 /nfs/dbraw/zinc/61/87/20/346618720.db2.gz UHQZKDCKYFYZLD-MNOVXSKESA-N 0 0 280.324 2.524 20 5 CFBDRN CN(CC1CCCC1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000058295020 346644044 /nfs/dbraw/zinc/64/40/44/346644044.db2.gz GDDMZNHAJNIMQD-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1ccc(NC(=O)NCC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000058824268 346651324 /nfs/dbraw/zinc/65/13/24/346651324.db2.gz RTXMQDWQOOLNLG-GFCCVEGCSA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1ccc(-c2nc(Cn3cc([N+](=O)[O-])cn3)cs2)o1 ZINC000059017272 346655269 /nfs/dbraw/zinc/65/52/69/346655269.db2.gz BJIWTORYCNHVSU-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCNc2ccccn2)nc1 ZINC000060369799 346663731 /nfs/dbraw/zinc/66/37/31/346663731.db2.gz URMWFFZYPFLHFN-UHFFFAOYSA-N 0 0 287.323 2.689 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000057303673 346624925 /nfs/dbraw/zinc/62/49/25/346624925.db2.gz XKPOHRRAGXEQMY-NEPJUHHUSA-N 0 0 293.367 2.948 20 5 CFBDRN CC(C)OC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000057464870 346629623 /nfs/dbraw/zinc/62/96/23/346629623.db2.gz ZFAKJJSQPVWELW-UHFFFAOYSA-N 0 0 278.308 2.760 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCCOC1CCCC1 ZINC000057830654 346638443 /nfs/dbraw/zinc/63/84/43/346638443.db2.gz BAEZLKLYESVGHZ-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN COCCSc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000057879812 346640016 /nfs/dbraw/zinc/64/00/16/346640016.db2.gz DAPOUZYJMZZVMP-UHFFFAOYSA-N 0 0 270.335 2.943 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCCCC1 ZINC000057984026 346641296 /nfs/dbraw/zinc/64/12/96/346641296.db2.gz VLFMZVLOVPEZBE-UHFFFAOYSA-N 0 0 291.351 2.799 20 5 CFBDRN O=C(NCc1ccoc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000058247747 346642387 /nfs/dbraw/zinc/64/23/87/346642387.db2.gz BZEVGCINCXZNDE-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000063815428 346711324 /nfs/dbraw/zinc/71/13/24/346711324.db2.gz IPDLNDOVGZRKOV-ZDUSSCGKSA-N 0 0 262.309 2.855 20 5 CFBDRN CC(C)OCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000063827291 346711734 /nfs/dbraw/zinc/71/17/34/346711734.db2.gz MRQPXBGCPUOTQA-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC1CCCC1 ZINC000064088820 346714965 /nfs/dbraw/zinc/71/49/65/346714965.db2.gz CMKUHDOOXNATBJ-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cc(-c2ccccc2)on1 ZINC000064332286 346717639 /nfs/dbraw/zinc/71/76/39/346717639.db2.gz AIJYWTBEIYMDIY-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN C[C@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccsc1 ZINC000061364816 346677654 /nfs/dbraw/zinc/67/76/54/346677654.db2.gz VOZCUUWEEJRUBL-QMMMGPOBSA-N 0 0 291.332 2.928 20 5 CFBDRN CNC(=O)C1CCC(Nc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000063282341 346699644 /nfs/dbraw/zinc/69/96/44/346699644.db2.gz KNCSMMVJJMFZAO-UHFFFAOYSA-N 0 0 291.351 2.620 20 5 CFBDRN O=C(/C=C/c1cscn1)Nc1cc([N+](=O)[O-])ccc1F ZINC000064896905 346727510 /nfs/dbraw/zinc/72/75/10/346727510.db2.gz UALDLIOJWHEOEJ-DAFODLJHSA-N 0 0 293.279 2.842 20 5 CFBDRN Cc1cccc(OC[C@@H](C)Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000066411021 346758582 /nfs/dbraw/zinc/75/85/82/346758582.db2.gz ZLXGQFRQIOXGHZ-LLVKDONJSA-N 0 0 290.323 2.516 20 5 CFBDRN Cc1ncsc1CN(C)c1ccccc1[N+](=O)[O-] ZINC000066467534 346762989 /nfs/dbraw/zinc/76/29/89/346762989.db2.gz MRALZZQNOOHSEL-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN C[C@H]1CCCCN1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000066781431 346779271 /nfs/dbraw/zinc/77/92/71/346779271.db2.gz PWMARMBERNLONY-LBPRGKRZSA-N 0 0 291.351 2.798 20 5 CFBDRN COc1ccc(CN(C)c2ccncc2[N+](=O)[O-])cc1 ZINC000066796426 346779582 /nfs/dbraw/zinc/77/95/82/346779582.db2.gz UAOJRDBPXODJLA-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN O=C1CCc2cc(Oc3ccncc3[N+](=O)[O-])ccc2N1 ZINC000066797626 346779742 /nfs/dbraw/zinc/77/97/42/346779742.db2.gz WMDORZLJMMGEIY-UHFFFAOYSA-N 0 0 285.259 2.667 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc2c(c1)OCCCO2 ZINC000066796519 346779833 /nfs/dbraw/zinc/77/98/33/346779833.db2.gz GNVBQWQOQFHKHA-UHFFFAOYSA-N 0 0 287.275 2.895 20 5 CFBDRN Cc1ccc(OCCN(C)c2ccncc2[N+](=O)[O-])cc1 ZINC000066797106 346779960 /nfs/dbraw/zinc/77/99/60/346779960.db2.gz FDCKQMOBAJRYAK-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN C[C@H]1CN(Cc2csc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000075893955 347107116 /nfs/dbraw/zinc/10/71/16/347107116.db2.gz GWNFEADZVIIBFQ-IUCAKERBSA-N 0 0 272.395 2.982 20 5 CFBDRN Cc1ccc(CC(=O)NCc2cccc([N+](=O)[O-])c2)cc1 ZINC000067070622 346790633 /nfs/dbraw/zinc/79/06/33/346790633.db2.gz ULQYCGVWAGGXRC-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN COc1ccccc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067071061 346790992 /nfs/dbraw/zinc/79/09/92/346790992.db2.gz ZISGOAKRBMCPFB-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccnc(N2CCCC2)c1 ZINC000067182699 346794722 /nfs/dbraw/zinc/79/47/22/346794722.db2.gz PMTVLAZZQUTDMP-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1c1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000067502246 346805146 /nfs/dbraw/zinc/80/51/46/346805146.db2.gz GRMZFODWHWEONI-CABCVRRESA-N 0 0 282.299 2.881 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1c1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000067502247 346805267 /nfs/dbraw/zinc/80/52/67/346805267.db2.gz GRMZFODWHWEONI-LSDHHAIUSA-N 0 0 282.299 2.881 20 5 CFBDRN O=C(N[C@H](Cc1ccccc1)C1CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000076630027 347146041 /nfs/dbraw/zinc/14/60/41/347146041.db2.gz COYQMIHQBMWFRG-CQSZACIVSA-N 0 0 299.330 2.674 20 5 CFBDRN Cc1cc(N[C@H](C)c2cccc([N+](=O)[O-])c2)n2ncnc2n1 ZINC000076846388 347157082 /nfs/dbraw/zinc/15/70/82/347157082.db2.gz SDIAMIWGCLYSSK-SNVBAGLBSA-N 0 0 298.306 2.514 20 5 CFBDRN CN(CC1CC1)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000076924283 347160491 /nfs/dbraw/zinc/16/04/91/347160491.db2.gz DGRXPQMLKRXZIQ-UHFFFAOYSA-N 0 0 280.349 2.555 20 5 CFBDRN CCC[C@H](OC)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000294913419 136685456 /nfs/dbraw/zinc/68/54/56/136685456.db2.gz KCPCGBYNGJUXOB-AWEZNQCLSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1cccc([C@H]2CCCN2c2ncc([N+](=O)[O-])c(N)n2)c1 ZINC000076268673 347132071 /nfs/dbraw/zinc/13/20/71/347132071.db2.gz DMLNMJOEAORWOK-GFCCVEGCSA-N 0 0 299.334 2.617 20 5 CFBDRN Cc1ccccc1[C@@H]1CCCN1c1ncc([N+](=O)[O-])c(N)n1 ZINC000076268605 347132263 /nfs/dbraw/zinc/13/22/63/347132263.db2.gz QIOMICJDJQLEOR-LBPRGKRZSA-N 0 0 299.334 2.617 20 5 CFBDRN C[C@@H](Sc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1F ZINC000076269467 347132293 /nfs/dbraw/zinc/13/22/93/347132293.db2.gz VCXYUEPJFMUVSW-SSDOTTSWSA-N 0 0 294.311 2.959 20 5 CFBDRN CC(C)N(C(=O)CCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000077590781 347201621 /nfs/dbraw/zinc/20/16/21/347201621.db2.gz SUVNWSXQYHBWNB-UHFFFAOYSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@H]1C[C@@H]1c1ccc(CNC(=O)c2ccc([N+](=O)[O-])o2)o1 ZINC000077689597 347210243 /nfs/dbraw/zinc/21/02/43/347210243.db2.gz INXIQSBAZOBLMQ-WPRPVWTQSA-N 0 0 290.275 2.834 20 5 CFBDRN Cc1cc(Oc2cc(-n3ccnc3)ncn2)ccc1[N+](=O)[O-] ZINC000077187644 347171743 /nfs/dbraw/zinc/17/17/43/347171743.db2.gz CSOMBGBDYICOLA-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCOCC1CCOCC1 ZINC000077228383 347177725 /nfs/dbraw/zinc/17/77/25/347177725.db2.gz NCJNBDOBGRWTEM-UHFFFAOYSA-N 0 0 294.351 2.840 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000077228880 347178538 /nfs/dbraw/zinc/17/85/38/347178538.db2.gz ACWDPJJAQNKFQJ-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000078426084 347251687 /nfs/dbraw/zinc/25/16/87/347251687.db2.gz ALYUIPFICIPLBI-RKDXNWHRSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1cc(C(=O)NCC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000298414695 232624944 /nfs/dbraw/zinc/62/49/44/232624944.db2.gz XGIDLXDYCHFFME-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN CCC[C@@H](C)Nc1nccc(C(=O)OCC)c1[N+](=O)[O-] ZINC000077909182 347219977 /nfs/dbraw/zinc/21/99/77/347219977.db2.gz XZFZJLVBZVHOGD-SECBINFHSA-N 0 0 281.312 2.767 20 5 CFBDRN CCCC(O)(CCC)CNc1ncccc1[N+](=O)[O-] ZINC000077988504 347223540 /nfs/dbraw/zinc/22/35/40/347223540.db2.gz TYTYMFPOQWYRCR-UHFFFAOYSA-N 0 0 267.329 2.733 20 5 CFBDRN COc1ccccc1[C@@H]1CCN(c2ncccc2[N+](=O)[O-])C1 ZINC000078021393 347225025 /nfs/dbraw/zinc/22/50/25/347225025.db2.gz MAGUJYOVSHPYRB-GFCCVEGCSA-N 0 0 299.330 2.992 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nccn1C(F)F ZINC000078264567 347235947 /nfs/dbraw/zinc/23/59/47/347235947.db2.gz ZZLXPHNAQCCVDU-UHFFFAOYSA-N 0 0 269.207 2.765 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1ccc([N+](=O)[O-])cc1F ZINC000078339543 347243362 /nfs/dbraw/zinc/24/33/62/347243362.db2.gz NWLKRBDJXPOUMD-VIFPVBQESA-N 0 0 270.304 2.943 20 5 CFBDRN COc1cc(NCC(C)(C)C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000078339752 347243431 /nfs/dbraw/zinc/24/34/31/347243431.db2.gz WXOZAPMLBAOADP-JTQLQIEISA-N 0 0 282.340 2.812 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCCOC1CCOCC1 ZINC000078338679 347243447 /nfs/dbraw/zinc/24/34/47/347243447.db2.gz QLRNZHJDMMGBNB-UHFFFAOYSA-N 0 0 298.314 2.732 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CCO1 ZINC000078367150 347246732 /nfs/dbraw/zinc/24/67/32/347246732.db2.gz SRAYBSGQKZXQSD-MRVPVSSYSA-N 0 0 290.241 2.839 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])cc1F)c1ccco1 ZINC000078376204 347247482 /nfs/dbraw/zinc/24/74/82/347247482.db2.gz GDMYMVDDQXLDHC-ZDUSSCGKSA-N 0 0 280.255 2.647 20 5 CFBDRN CCc1nncn1CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000078560177 347261821 /nfs/dbraw/zinc/26/18/21/347261821.db2.gz ABWLEUQNYIBHQN-UHFFFAOYSA-N 0 0 295.730 2.514 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc([N+](=O)[O-])cn2)CCS1 ZINC000078577821 347262394 /nfs/dbraw/zinc/26/23/94/347262394.db2.gz OWGAGWZFAVESIF-LLVKDONJSA-N 0 0 267.354 2.568 20 5 CFBDRN Cc1nnc(Sc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000078624916 347265319 /nfs/dbraw/zinc/26/53/19/347265319.db2.gz CCDZUGFEALKBMJ-UHFFFAOYSA-N 0 0 268.323 2.609 20 5 CFBDRN Cc1cnc(N(C)CCOc2ccccc2)c([N+](=O)[O-])c1 ZINC000078625021 347265576 /nfs/dbraw/zinc/26/55/76/347265576.db2.gz SJJNRGFHFMLZMN-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN Cc1cnc(NCCc2ccc3c(c2)CCO3)c([N+](=O)[O-])c1 ZINC000078663635 347268967 /nfs/dbraw/zinc/26/89/67/347268967.db2.gz ZLGRAMUPSYKYAK-UHFFFAOYSA-N 0 0 299.330 2.888 20 5 CFBDRN Cc1cnc(Nc2cnn(-c3ccccn3)c2)c([N+](=O)[O-])c1 ZINC000078666288 347269058 /nfs/dbraw/zinc/26/90/58/347269058.db2.gz SMWMNYOKEILVJG-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN CCCN(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000078897030 347279137 /nfs/dbraw/zinc/27/91/37/347279137.db2.gz XAWVNPSKZZBNAZ-UHFFFAOYSA-N 0 0 280.202 2.602 20 5 CFBDRN Cc1ccc(-c2cnc(Cn3cnc([N+](=O)[O-])c3)o2)cc1 ZINC000078958680 347281749 /nfs/dbraw/zinc/28/17/49/347281749.db2.gz DCBTUKUUBVVJIW-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2cccnc2[N+](=O)[O-])c1 ZINC000079820737 347327682 /nfs/dbraw/zinc/32/76/82/347327682.db2.gz BNYRJZVJJBOWQV-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(CCCO)c2ccccc2)cc1 ZINC000079918570 347332137 /nfs/dbraw/zinc/33/21/37/347332137.db2.gz UFXIDLAZCQYMNB-UHFFFAOYSA-N 0 0 286.331 2.984 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@H]1c1ccc[nH]1 ZINC000079446898 347305087 /nfs/dbraw/zinc/30/50/87/347305087.db2.gz UMBUZMFRUOJRII-JTQLQIEISA-N 0 0 291.332 2.962 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CCc1ccccc1Cl ZINC000102203401 185772854 /nfs/dbraw/zinc/77/28/54/185772854.db2.gz BWVMBLQZGIVDBI-UHFFFAOYSA-N 0 0 278.695 2.653 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079631120 347318079 /nfs/dbraw/zinc/31/80/79/347318079.db2.gz UNEQHTMORGBANJ-JOYOIKCWSA-N 0 0 262.309 2.602 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC(C1CC1)C1CC1 ZINC000081242352 347408027 /nfs/dbraw/zinc/40/80/27/347408027.db2.gz DJTZTGOLSCJPIH-UHFFFAOYSA-N 0 0 288.347 2.690 20 5 CFBDRN CC[C@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000081321138 347413463 /nfs/dbraw/zinc/41/34/63/347413463.db2.gz WZGSNOYGWAKJQX-CYBMUJFWSA-N 0 0 266.297 2.840 20 5 CFBDRN CCOC1CCN(c2cc(OC)cc(F)c2[N+](=O)[O-])CC1 ZINC000080582373 347366974 /nfs/dbraw/zinc/36/69/74/347366974.db2.gz MPFPGMRMXTYBBP-UHFFFAOYSA-N 0 0 298.314 2.748 20 5 CFBDRN Cc1cc(N2CCS[C@@H](C(C)C)C2)ncc1[N+](=O)[O-] ZINC000080605997 347368715 /nfs/dbraw/zinc/36/87/15/347368715.db2.gz ZSBQPWYNOOIWPG-GFCCVEGCSA-N 0 0 281.381 2.876 20 5 CFBDRN COc1ccc2c(c1)CN(c1cc(C)c([N+](=O)[O-])cn1)CC2 ZINC000080599507 347368967 /nfs/dbraw/zinc/36/89/67/347368967.db2.gz UEPTZLCGFXSQST-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080638539 347370960 /nfs/dbraw/zinc/37/09/60/347370960.db2.gz AEPTWCWIMFOQPB-NHYWBVRUSA-N 0 0 296.367 2.950 20 5 CFBDRN CNC(=O)c1ccc(N2C[C@@H](C)CC2(C)C)c([N+](=O)[O-])c1 ZINC000080684494 347374890 /nfs/dbraw/zinc/37/48/90/347374890.db2.gz IGHNXUVXYQUCJD-JTQLQIEISA-N 0 0 291.351 2.579 20 5 CFBDRN CC(C)N(C(=O)COc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000102599758 185781884 /nfs/dbraw/zinc/78/18/84/185781884.db2.gz ZRIMUKIGBOZSNA-UHFFFAOYSA-N 0 0 298.314 2.758 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC12CCC2 ZINC000080795008 347381595 /nfs/dbraw/zinc/38/15/95/347381595.db2.gz SXGAFMPUDRDPHP-UHFFFAOYSA-N 0 0 292.360 2.842 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000080973752 347391455 /nfs/dbraw/zinc/39/14/55/347391455.db2.gz YPXLOSSVEDRHLA-RMKNXTFCSA-N 0 0 285.303 2.595 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCS1 ZINC000081032645 347398809 /nfs/dbraw/zinc/39/88/09/347398809.db2.gz XIDYDDFPDDWUJJ-UHFFFAOYSA-N 0 0 297.336 2.835 20 5 CFBDRN CC(C)N(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000081643653 347431160 /nfs/dbraw/zinc/43/11/60/347431160.db2.gz UYANNJIYEMRBGN-UHFFFAOYSA-N 0 0 261.281 2.557 20 5 CFBDRN CCCC[C@H](CCC)CNC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000084826625 347474811 /nfs/dbraw/zinc/47/48/11/347474811.db2.gz JGWRZEKEFJOTEP-NSHDSACASA-N 0 0 296.371 2.963 20 5 CFBDRN COC(C)(C)c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000085236171 347477872 /nfs/dbraw/zinc/47/78/72/347477872.db2.gz JDLCKPNWGZVELU-UHFFFAOYSA-N 0 0 263.253 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](O)Cc2ccccc2)c1 ZINC000088719887 347507767 /nfs/dbraw/zinc/50/77/67/347507767.db2.gz BUHAQZJGILUOSW-AWEZNQCLSA-N 0 0 286.331 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@H]2CCCO2)c1 ZINC000088718387 347508070 /nfs/dbraw/zinc/50/80/70/347508070.db2.gz VLCYMCRAEQFDKN-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](C)C[C@@H](C)O)c1 ZINC000088725150 347508374 /nfs/dbraw/zinc/50/83/74/347508374.db2.gz NDAPKZBQZNZJBD-GHMZBOCLSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1nccn1CCCNc1cc(C)ccc1[N+](=O)[O-] ZINC000088725673 347508752 /nfs/dbraw/zinc/50/87/52/347508752.db2.gz MUOGJYFWUNOAPT-UHFFFAOYSA-N 0 0 274.324 2.910 20 5 CFBDRN Cc1nn(C)c(C)c1CCCNc1ccc([N+](=O)[O-])cc1 ZINC000089265193 347514570 /nfs/dbraw/zinc/51/45/70/347514570.db2.gz DGLYHCBNZUDFEZ-UHFFFAOYSA-N 0 0 288.351 2.990 20 5 CFBDRN C[C@@H]([N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-])C1(C)CC1 ZINC000091900223 347602081 /nfs/dbraw/zinc/60/20/81/347602081.db2.gz HCTQQNUZJUWRSC-SNVBAGLBSA-N 0 0 264.325 2.921 20 5 CFBDRN C[C@H](NCc1ncccc1F)c1cccc([N+](=O)[O-])c1 ZINC000090720656 347555194 /nfs/dbraw/zinc/55/51/94/347555194.db2.gz WIMQFBDVSWNKJY-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN CC[C@@H](CSC)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000091022755 347567000 /nfs/dbraw/zinc/56/70/00/347567000.db2.gz YTKFZDFFRHIPIH-VIFPVBQESA-N 0 0 255.343 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2c3ccccc3C[C@H]2O)cc1 ZINC000091431656 347586307 /nfs/dbraw/zinc/58/63/07/347586307.db2.gz KBJXUFXPLTZAAW-HUUCEWRRSA-N 0 0 270.288 2.665 20 5 CFBDRN Cc1cn2c(n1)CC[C@H](Nc1ccc([N+](=O)[O-])cc1)C2 ZINC000091477771 347589107 /nfs/dbraw/zinc/58/91/07/347589107.db2.gz HPFYRMKOPCFTNG-LBPRGKRZSA-N 0 0 272.308 2.527 20 5 CFBDRN Cc1cccn2cc(CNc3cc(C)c([N+](=O)[O-])cn3)nc12 ZINC000091628186 347594170 /nfs/dbraw/zinc/59/41/70/347594170.db2.gz PXXFDXAZAPIHFD-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN Cc1cccn2cc(CNc3ccc([N+](=O)[O-])c(C)n3)nc12 ZINC000091628202 347594226 /nfs/dbraw/zinc/59/42/26/347594226.db2.gz INDHPEWFSMRSJP-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN CCc1nn(C)c(NCC2(C3CC3)CCC2)c1[N+](=O)[O-] ZINC000091671405 347595009 /nfs/dbraw/zinc/59/50/09/347595009.db2.gz YCQCABOTCOUUIX-UHFFFAOYSA-N 0 0 278.356 2.883 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1CC[C@@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000090466934 347550792 /nfs/dbraw/zinc/55/07/92/347550792.db2.gz CNNMJTDYLZDSGZ-SWHYSGLUSA-N 0 0 292.335 2.730 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Oc1ccc([N+](=O)[O-])cc1 ZINC000092581427 347632367 /nfs/dbraw/zinc/63/23/67/347632367.db2.gz HCOJULSQXZFHCT-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN Cc1cc(N[C@H]2c3ccccc3C[C@H]2O)ccc1[N+](=O)[O-] ZINC000092608612 347634436 /nfs/dbraw/zinc/63/44/36/347634436.db2.gz VXASXVLFFFTDBE-CVEARBPZSA-N 0 0 284.315 2.973 20 5 CFBDRN CN(Cc1cnccn1)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000092655669 347637268 /nfs/dbraw/zinc/63/72/68/347637268.db2.gz BNWKEWKPRWNWOJ-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN CC(C)[C@H](O)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092656686 347637742 /nfs/dbraw/zinc/63/77/42/347637742.db2.gz XSMGHIFLCNITRR-SNVBAGLBSA-N 0 0 290.266 2.625 20 5 CFBDRN CC(C)(C)C[N@H+](CCCO)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000093145894 347662887 /nfs/dbraw/zinc/66/28/87/347662887.db2.gz YGIDQPQJWIUPBK-UHFFFAOYSA-N 0 0 296.367 2.531 20 5 CFBDRN Cc1cc(N[C@@H]2CCCCC[C@@H]2C(N)=O)ccc1[N+](=O)[O-] ZINC000092686782 347641065 /nfs/dbraw/zinc/64/10/65/347641065.db2.gz NIWSVTLKXZTOJF-QWHCGFSZSA-N 0 0 291.351 2.749 20 5 CFBDRN CCc1nn(C)c(NCCSC(C)(C)C)c1[N+](=O)[O-] ZINC000094154575 347692919 /nfs/dbraw/zinc/69/29/19/347692919.db2.gz UGCISNCDMWAMBH-UHFFFAOYSA-N 0 0 286.401 2.834 20 5 CFBDRN COC[C@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)C(C)C ZINC000094772022 347696238 /nfs/dbraw/zinc/69/62/38/347696238.db2.gz BJDGDBNHKCUFPQ-LBPRGKRZSA-N 0 0 278.312 2.554 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCc2ccccc2)c1 ZINC000096634368 347703080 /nfs/dbraw/zinc/70/30/80/347703080.db2.gz ZVPRWTAJCDJBJW-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN CCC(C)(C)[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000093279267 347667216 /nfs/dbraw/zinc/66/72/16/347667216.db2.gz VIGKHIAXVCMUQE-UHFFFAOYSA-N 0 0 252.314 2.921 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000102288666 347717390 /nfs/dbraw/zinc/71/73/90/347717390.db2.gz IFIIMISBVAANRL-UHFFFAOYSA-N 0 0 274.276 2.850 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1ccco1)C2 ZINC000093443634 347674221 /nfs/dbraw/zinc/67/42/21/347674221.db2.gz UFMUDESSKQCYBX-UHFFFAOYSA-N 0 0 258.277 2.746 20 5 CFBDRN CCc1noc(COc2ccc(CC)cc2[N+](=O)[O-])n1 ZINC000115200728 347777372 /nfs/dbraw/zinc/77/73/72/347777372.db2.gz IXHKLCACIGOKHU-UHFFFAOYSA-N 0 0 277.280 2.682 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCOC1CCCC1 ZINC000108349593 347740958 /nfs/dbraw/zinc/74/09/58/347740958.db2.gz MCBYLQWYEHOAFZ-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN C[C@@H](NC(=O)COc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000112249613 347759257 /nfs/dbraw/zinc/75/92/57/347759257.db2.gz XNYULHCATFYUNE-SNVBAGLBSA-N 0 0 280.324 2.524 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2c([N+](=O)[O-])ncn2C)[C@H](C)C1 ZINC000112193220 347759416 /nfs/dbraw/zinc/75/94/16/347759416.db2.gz RBBAKYYUJRFCLO-OPRDCNLKSA-N 0 0 252.318 2.565 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H](C)C1CC1 ZINC000125915806 347831203 /nfs/dbraw/zinc/83/12/03/347831203.db2.gz JUBURZHMAVPDHL-MRVPVSSYSA-N 0 0 252.318 2.578 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCCCC1(C)C ZINC000124341863 347823259 /nfs/dbraw/zinc/82/32/59/347823259.db2.gz BSDTYGVYYNIOKQ-VIFPVBQESA-N 0 0 252.318 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H]2CCCCO2)c1 ZINC000115910274 347782705 /nfs/dbraw/zinc/78/27/05/347782705.db2.gz PAXKSADYIHRJCM-LLVKDONJSA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CCCCO2)c1 ZINC000115910272 347782781 /nfs/dbraw/zinc/78/27/81/347782781.db2.gz PAXKSADYIHRJCM-NSHDSACASA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1ccc(C(=O)NCCCC2CC2)cc1[N+](=O)[O-] ZINC000127889823 347845715 /nfs/dbraw/zinc/84/57/15/347845715.db2.gz YBHHXRNNYILJHQ-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1noc(C)c1CCOc1ccc(F)cc1[N+](=O)[O-] ZINC000128054444 347846906 /nfs/dbraw/zinc/84/69/06/347846906.db2.gz XDWFPFGZXCSTEW-UHFFFAOYSA-N 0 0 280.255 2.960 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C1CCC1 ZINC000129558118 347863118 /nfs/dbraw/zinc/86/31/18/347863118.db2.gz BPVRXOUVNVAMHM-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000129618753 347863800 /nfs/dbraw/zinc/86/38/00/347863800.db2.gz UCUXLEZGCFTPEX-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000130098183 347867739 /nfs/dbraw/zinc/86/77/39/347867739.db2.gz JGIIYQGHZAMABK-VIFPVBQESA-N 0 0 287.319 2.947 20 5 CFBDRN CC(C)(CO)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130405712 347870557 /nfs/dbraw/zinc/87/05/57/347870557.db2.gz NNWANQODHBJMPB-UHFFFAOYSA-N 0 0 275.308 2.573 20 5 CFBDRN CCc1noc(COc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000130533294 347871791 /nfs/dbraw/zinc/87/17/91/347871791.db2.gz YDOMYXPPNLXHBK-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C2CCC2)cc([N+](=O)[O-])c1 ZINC000129520630 347862101 /nfs/dbraw/zinc/86/21/01/347862101.db2.gz ZIBCVSBZYRYQFY-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN O=[N+]([O-])c1cccc(Cn2ccnc2-c2ccccn2)c1 ZINC000103920536 185860873 /nfs/dbraw/zinc/86/08/73/185860873.db2.gz XLENLPJMAPQTDS-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN COC(=O)c1cc(COc2ccc([N+](=O)[O-])cc2)c(C)o1 ZINC000144748024 347941327 /nfs/dbraw/zinc/94/13/27/347941327.db2.gz WIZCPUNSCFPJKB-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCN(CC)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000138156103 347914644 /nfs/dbraw/zinc/91/46/44/347914644.db2.gz LLQDSIJKDLLFEG-UHFFFAOYSA-N 0 0 264.325 2.741 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@@H](C3CCC3)C2)cs1 ZINC000411873018 232802654 /nfs/dbraw/zinc/80/26/54/232802654.db2.gz KUGORMBXUHTZGC-GFCCVEGCSA-N 0 0 282.365 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)Cc2ccccc2)c(F)c1 ZINC000153907063 347975782 /nfs/dbraw/zinc/97/57/82/347975782.db2.gz PGVLJXKEJSATET-CYBMUJFWSA-N 0 0 291.278 2.716 20 5 CFBDRN CCO[C@@H]1CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000156102073 347991638 /nfs/dbraw/zinc/99/16/38/347991638.db2.gz KVRDVRSUIKJXLJ-LLVKDONJSA-N 0 0 270.354 2.657 20 5 CFBDRN Cc1cc(NCC2(CO)CCCCC2)ncc1[N+](=O)[O-] ZINC000157368762 347999633 /nfs/dbraw/zinc/99/96/33/347999633.db2.gz PEXQOLFVNBNMGZ-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN CCc1ncc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)s1 ZINC000158498039 348007056 /nfs/dbraw/zinc/00/70/56/348007056.db2.gz YJULCFJNXDYUQG-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN C[C@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000160259369 348017143 /nfs/dbraw/zinc/01/71/43/348017143.db2.gz AFPKMKCUFMTESB-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000162652844 348031523 /nfs/dbraw/zinc/03/15/23/348031523.db2.gz IPGJTEZNGBYVFU-VIFPVBQESA-N 0 0 252.318 2.627 20 5 CFBDRN C[C@](CO)(NCc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000169244268 348050263 /nfs/dbraw/zinc/05/02/63/348050263.db2.gz RWPBBKSCRGFFQI-MRXNPFEDSA-N 0 0 286.331 2.592 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1Cc2ccccc21 ZINC000173142389 348106677 /nfs/dbraw/zinc/10/66/77/348106677.db2.gz QZVOQDGJFMWGCF-AWEZNQCLSA-N 0 0 296.326 2.593 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccc(F)c(C)c2)c1[N+](=O)[O-] ZINC000171809431 348081296 /nfs/dbraw/zinc/08/12/96/348081296.db2.gz FDQMWIXHBYMHCI-UHFFFAOYSA-N 0 0 292.270 2.580 20 5 CFBDRN CC(=O)c1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)cc1 ZINC000171857692 348082561 /nfs/dbraw/zinc/08/25/61/348082561.db2.gz MKIXRZGOUIVERG-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000172378288 348092714 /nfs/dbraw/zinc/09/27/14/348092714.db2.gz MFUWELPRKSQMRG-GFCCVEGCSA-N 0 0 293.367 2.729 20 5 CFBDRN CSCCCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000172414789 348093514 /nfs/dbraw/zinc/09/35/14/348093514.db2.gz XPCMHDYKAHFRFS-SNVBAGLBSA-N 0 0 282.365 2.915 20 5 CFBDRN CN(CC(F)(F)F)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000172412362 348093873 /nfs/dbraw/zinc/09/38/73/348093873.db2.gz CMIWZETVCNZHJM-UHFFFAOYSA-N 0 0 296.632 2.883 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000172441681 348094412 /nfs/dbraw/zinc/09/44/12/348094412.db2.gz FIBRPLNCWHRTSG-LBPRGKRZSA-N 0 0 293.367 2.805 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000172450436 348095101 /nfs/dbraw/zinc/09/51/01/348095101.db2.gz FPRDJQYUPXRAOA-NSHDSACASA-N 0 0 264.325 2.546 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000172478954 348096072 /nfs/dbraw/zinc/09/60/72/348096072.db2.gz UTKWHJFNKLBEEY-GFCCVEGCSA-N 0 0 290.319 2.832 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCc2c1cc(F)cc2F ZINC000192602265 348141083 /nfs/dbraw/zinc/14/10/83/348141083.db2.gz KGZRTRKVWQMOOL-UHFFFAOYSA-N 0 0 294.213 2.669 20 5 CFBDRN Cc1ccc(N[C@H]2CCCOCC2)c([N+](=O)[O-])c1 ZINC000086871768 179363893 /nfs/dbraw/zinc/36/38/93/179363893.db2.gz XGBCIHNRGXWVEM-NSHDSACASA-N 0 0 250.298 2.884 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H](OC)C2(C)C)c(F)cc1[N+](=O)[O-] ZINC000218868668 348167917 /nfs/dbraw/zinc/16/79/17/348167917.db2.gz YDLRWOKPXISVAC-CHWSQXEVSA-N 0 0 298.314 2.968 20 5 CFBDRN CC[N@@H+]1CCC[C@H](Nc2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000223224552 348174387 /nfs/dbraw/zinc/17/43/87/348174387.db2.gz MHCUYRJFFGMMJT-JTQLQIEISA-N 0 0 297.330 2.639 20 5 CFBDRN C[C@@H](CC[S@@](C)=O)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000128742131 187387285 /nfs/dbraw/zinc/38/72/85/187387285.db2.gz PFNZMZRSRVSCLN-ASCAZGACSA-N 0 0 290.772 2.817 20 5 CFBDRN O=[N+]([O-])c1cccc(NCC[C@H]2CCCCO2)c1 ZINC000227317077 348186137 /nfs/dbraw/zinc/18/61/37/348186137.db2.gz SQPRAILQHOVDSL-CYBMUJFWSA-N 0 0 250.298 2.966 20 5 CFBDRN Cc1c(OC(=O)C[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000087189228 179399803 /nfs/dbraw/zinc/39/98/03/179399803.db2.gz RBMGLFWQMOHIOE-NSHDSACASA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1cccc(CCNc2c3c(ccc2[N+](=O)[O-])NCC3)n1 ZINC000280534978 348341210 /nfs/dbraw/zinc/34/12/10/348341210.db2.gz RWDTUJKHKAYPAE-UHFFFAOYSA-N 0 0 298.346 2.921 20 5 CFBDRN C[C@@H]1C[C@H](c2ccccc2)N(c2ncc([N+](=O)[O-])cn2)C1 ZINC000286205589 348346707 /nfs/dbraw/zinc/34/67/07/348346707.db2.gz AZQAFRIDIOZNGI-BXUZGUMPSA-N 0 0 284.319 2.972 20 5 CFBDRN CCC(=O)c1ccc(NCc2cncn2C)c([N+](=O)[O-])c1 ZINC000291153835 348354148 /nfs/dbraw/zinc/35/41/48/348354148.db2.gz PFXTYIQLMSIRKK-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCOC(F)(F)F ZINC000309480585 348406227 /nfs/dbraw/zinc/40/62/27/348406227.db2.gz DMFFSPJJKKVZRS-UHFFFAOYSA-N 0 0 256.205 2.605 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCS[C@H]1C ZINC000310526084 348409476 /nfs/dbraw/zinc/40/94/76/348409476.db2.gz XXMDOBPKGLNKIM-WPRPVWTQSA-N 0 0 253.327 2.604 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@H]1CCCCO1 ZINC000310764007 348410601 /nfs/dbraw/zinc/41/06/01/348410601.db2.gz QIBBBAXCQWOREQ-MRVPVSSYSA-N 0 0 271.704 2.624 20 5 CFBDRN CO[C@H](C)CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000310853168 348410908 /nfs/dbraw/zinc/41/09/08/348410908.db2.gz MHFIHGDMYAUSAW-SSDOTTSWSA-N 0 0 260.240 2.979 20 5 CFBDRN CCCC1(CNc2ccc(N)c([N+](=O)[O-])n2)CC1 ZINC000311143851 348411769 /nfs/dbraw/zinc/41/17/69/348411769.db2.gz ZCUVBVMKZXVZLV-UHFFFAOYSA-N 0 0 250.302 2.564 20 5 CFBDRN COc1cc(N[C@H]2CCCOC2)c([N+](=O)[O-])cc1C ZINC000311528377 348413255 /nfs/dbraw/zinc/41/32/55/348413255.db2.gz KRTPVUMDCYWMIP-JTQLQIEISA-N 0 0 266.297 2.503 20 5 CFBDRN CCC(CC)(CO)CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000311651860 348413876 /nfs/dbraw/zinc/41/38/76/348413876.db2.gz ZMDNGNUERXZEBK-UHFFFAOYSA-N 0 0 287.747 2.854 20 5 CFBDRN CC(C)(CO)CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000311856183 348415673 /nfs/dbraw/zinc/41/56/73/348415673.db2.gz PTEIIDFUALMTEV-UHFFFAOYSA-N 0 0 274.267 2.963 20 5 CFBDRN Cc1csc([C@@H](C)Nc2ccc(N)c([N+](=O)[O-])n2)n1 ZINC000312005421 348416415 /nfs/dbraw/zinc/41/64/15/348416415.db2.gz VIFXEOXTPOVZPS-SSDOTTSWSA-N 0 0 279.325 2.510 20 5 CFBDRN Cc1cc(CNc2ncnc3sc([N+](=O)[O-])cc32)no1 ZINC000312417641 348417958 /nfs/dbraw/zinc/41/79/58/348417958.db2.gz JWPXWNVIQAGNQQ-UHFFFAOYSA-N 0 0 291.292 2.508 20 5 CFBDRN C[C@@H](C[C@@H](O)c1cccs1)Nc1ncccc1[N+](=O)[O-] ZINC000128876548 187396195 /nfs/dbraw/zinc/39/61/95/187396195.db2.gz QEPUGLUOBJXLIV-GXSJLCMTSA-N 0 0 293.348 2.975 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@H]3CCOC3)c2c1 ZINC000413077773 233015336 /nfs/dbraw/zinc/01/53/36/233015336.db2.gz SAIWAHMAXAKVAR-SNVBAGLBSA-N 0 0 273.292 2.591 20 5 CFBDRN CC(C)(C)OCCNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000308534995 348404408 /nfs/dbraw/zinc/40/44/08/348404408.db2.gz WWLJHYNZPNMGPY-UHFFFAOYSA-N 0 0 290.323 2.765 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCOC(C)(C)C ZINC000308532768 348404417 /nfs/dbraw/zinc/40/44/17/348404417.db2.gz SVFANVFCKSUECV-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN COCCCCCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413088970 233016732 /nfs/dbraw/zinc/01/67/32/233016732.db2.gz APABXOVTNWCQBL-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN Cn1cnc(CCNc2ccc(C(F)F)cc2[N+](=O)[O-])c1 ZINC000313477193 348423352 /nfs/dbraw/zinc/42/33/52/348423352.db2.gz AOXOQOIDZBRPHP-UHFFFAOYSA-N 0 0 296.277 2.921 20 5 CFBDRN Cc1cc(NC(=O)N[C@@]23C[C@@H]2CCC3)ccc1[N+](=O)[O-] ZINC000596820591 349993013 /nfs/dbraw/zinc/99/30/13/349993013.db2.gz LIXQBZCDTYGATM-HZMBPMFUSA-N 0 0 275.308 2.967 20 5 CFBDRN CCc1ccc(OCc2csnn2)c([N+](=O)[O-])c1 ZINC000562290804 539644210 /nfs/dbraw/zinc/64/42/10/539644210.db2.gz DGTLNFQGLHHHHV-UHFFFAOYSA-N 0 0 265.294 2.588 20 5 CFBDRN Cn1cc(/C=C/c2nc3cc([N+](=O)[O-])ccc3s2)nn1 ZINC000356538760 282616131 /nfs/dbraw/zinc/61/61/31/282616131.db2.gz JMOLTRGQZRTFND-GORDUTHDSA-N 0 0 287.304 2.503 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCc2nccs2)c(F)c1 ZINC000413146756 233024960 /nfs/dbraw/zinc/02/49/60/233024960.db2.gz XZTGDZCHXGTWPD-UHFFFAOYSA-N 0 0 282.300 2.630 20 5 CFBDRN COC(C)(C)C[C@@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413178712 233030083 /nfs/dbraw/zinc/03/00/83/233030083.db2.gz UBGWVNZIKKPNIE-MRVPVSSYSA-N 0 0 271.292 2.744 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc(C3(F)CC3)n2)c1 ZINC000351362510 348494781 /nfs/dbraw/zinc/49/47/81/348494781.db2.gz GLYVTLHVMHDPSS-UHFFFAOYSA-N 0 0 293.254 2.536 20 5 CFBDRN COC[C@H](C)Cc1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000351437151 348496166 /nfs/dbraw/zinc/49/61/66/348496166.db2.gz FJROUKLARKBOLJ-SSDOTTSWSA-N 0 0 283.309 2.531 20 5 CFBDRN COC[C@H](C)Cc1noc(-c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000351468861 348496679 /nfs/dbraw/zinc/49/66/79/348496679.db2.gz UPKYNEHJDCKLFR-SNVBAGLBSA-N 0 0 291.307 2.778 20 5 CFBDRN COC[C@@H](C)Cc1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000351469364 348496856 /nfs/dbraw/zinc/49/68/56/348496856.db2.gz VDMJHLPYLSFDSM-QMMMGPOBSA-N 0 0 295.270 2.609 20 5 CFBDRN CCc1nnc(CNc2c(Cl)cccc2[N+](=O)[O-])o1 ZINC000413210017 233035252 /nfs/dbraw/zinc/03/52/52/233035252.db2.gz VQWNCQNSYPMBET-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3cnccc23)CO1 ZINC000402223694 348580659 /nfs/dbraw/zinc/58/06/59/348580659.db2.gz RDZYGYYOVRDEAU-UWVGGRQHSA-N 0 0 273.292 2.732 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@@H](C)C2)c([N+](=O)[O-])cc1C ZINC000402219448 348580699 /nfs/dbraw/zinc/58/06/99/348580699.db2.gz OGVMVZAFRUSYSL-UWVGGRQHSA-N 0 0 266.297 2.501 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@H](C)C2)c([N+](=O)[O-])cc1C ZINC000402219450 348580885 /nfs/dbraw/zinc/58/08/85/348580885.db2.gz OGVMVZAFRUSYSL-ZJUUUORDSA-N 0 0 266.297 2.501 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc3ncccc3c2[N+](=O)[O-])CO1 ZINC000402316130 348581465 /nfs/dbraw/zinc/58/14/65/348581465.db2.gz RBWGGSBZQZRRSP-UWVGGRQHSA-N 0 0 273.292 2.732 20 5 CFBDRN C[C@H]1C[C@H](Nc2c(Cl)cccc2[N+](=O)[O-])CO1 ZINC000402392118 348581764 /nfs/dbraw/zinc/58/17/64/348581764.db2.gz VCHGAMKYPCJEGB-YUMQZZPRSA-N 0 0 256.689 2.838 20 5 CFBDRN O=[N+]([O-])c1cnc(S[C@@H]2CCOC2)c(C(F)(F)F)c1 ZINC000413256873 233042701 /nfs/dbraw/zinc/04/27/01/233042701.db2.gz DKTASNZZXCAWFS-SSDOTTSWSA-N 0 0 294.254 2.890 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403324190 348584369 /nfs/dbraw/zinc/58/43/69/348584369.db2.gz BAHRNCRLBKKWEC-GARJFASQSA-N 0 0 264.329 2.720 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000403331097 348584434 /nfs/dbraw/zinc/58/44/34/348584434.db2.gz CMKUWLPVTGPUMZ-ATZCPNFKSA-N 0 0 278.283 2.652 20 5 CFBDRN COCC1(C)CN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000413263078 233043413 /nfs/dbraw/zinc/04/34/13/233043413.db2.gz HYWZHFIIHVERBN-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000413262819 233043607 /nfs/dbraw/zinc/04/36/07/233043607.db2.gz GIZLOUKBJNSQNN-RKDXNWHRSA-N 0 0 253.277 2.977 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cc2F)CCO[C@H]1C1CC1 ZINC000413236469 233038550 /nfs/dbraw/zinc/03/85/50/233038550.db2.gz RFRDJURUEVJLDB-GXTWGEPZSA-N 0 0 295.314 2.746 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H]2CCCCO2)c(F)c1 ZINC000413247413 233041063 /nfs/dbraw/zinc/04/10/63/233041063.db2.gz PUDUPAAVOOMHRI-JTQLQIEISA-N 0 0 269.276 2.500 20 5 CFBDRN CCc1noc(C)c1CNc1ncc([N+](=O)[O-])cc1F ZINC000413290250 233046598 /nfs/dbraw/zinc/04/65/98/233046598.db2.gz BMYCAYGLKCGQBR-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCC2(F)F)c(F)c1 ZINC000413309921 233050547 /nfs/dbraw/zinc/05/05/47/233050547.db2.gz GIVUKTCWQWVJHE-ZETCQYMHSA-N 0 0 275.230 2.976 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])cc2F)[C@H]2CCCO[C@H]21 ZINC000584766373 348713309 /nfs/dbraw/zinc/71/33/09/348713309.db2.gz ATWLQXOFCGZAAZ-YUSALJHKSA-N 0 0 295.314 2.744 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C(C)(C)C)C1 ZINC000413364874 233061040 /nfs/dbraw/zinc/06/10/40/233061040.db2.gz OKLCDRRNEZNSFM-UHFFFAOYSA-N 0 0 266.345 2.602 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@H](F)C1 ZINC000584846805 348722195 /nfs/dbraw/zinc/72/21/95/348722195.db2.gz VQSOAPZWHWFYBX-JTQLQIEISA-N 0 0 298.339 2.647 20 5 CFBDRN C[C@H]1CC[C@H](CNc2nc3ccccc3cc2[N+](=O)[O-])O1 ZINC000413372467 233062185 /nfs/dbraw/zinc/06/21/85/233062185.db2.gz GCJVPEKQTKRZCY-CMPLNLGQSA-N 0 0 287.319 2.544 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CC[C@H](C)O2)n1 ZINC000413378630 233062688 /nfs/dbraw/zinc/06/26/88/233062688.db2.gz PZBQTOUAOQQEHA-WDEREUQCSA-N 0 0 265.313 2.586 20 5 CFBDRN CC(=O)c1cc(N2CC(C)(C)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000588032649 348767327 /nfs/dbraw/zinc/76/73/27/348767327.db2.gz PDJKKXLZNHGMMZ-JTQLQIEISA-N 0 0 292.335 2.801 20 5 CFBDRN CC(=O)c1cc(N2C[C@H](C)O[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000588027462 348767561 /nfs/dbraw/zinc/76/75/61/348767561.db2.gz CSMIVDLIFVXMLI-NHCYSSNCSA-N 0 0 292.335 2.800 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@]2(C1)CCCOC2 ZINC000588051974 348768914 /nfs/dbraw/zinc/76/89/14/348768914.db2.gz OAJCTWYEOGGRJI-LBPRGKRZSA-N 0 0 268.338 2.663 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1N1CCC[C@@H]2C[C@@H]21 ZINC000588065990 348769492 /nfs/dbraw/zinc/76/94/92/348769492.db2.gz GSHANRQNMLQKQH-APPZFPTMSA-N 0 0 298.140 2.741 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1N1CCC[C@H]2C[C@H]21 ZINC000588065992 348769494 /nfs/dbraw/zinc/76/94/94/348769494.db2.gz GSHANRQNMLQKQH-IONNQARKSA-N 0 0 298.140 2.741 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC[C@@H]2C[C@@H]21 ZINC000588066602 348769859 /nfs/dbraw/zinc/76/98/59/348769859.db2.gz SWJOJGZNSOKZJF-MFKMUULPSA-N 0 0 290.319 2.679 20 5 CFBDRN Cc1ccc2c(c1)CN(c1cccnc1[N+](=O)[O-])CCO2 ZINC000588072494 348770344 /nfs/dbraw/zinc/77/03/44/348770344.db2.gz QTHNFXCDHJFCNV-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN C[C@H]1C[C@H]([NH+]2CCCC2)CN1c1ccsc1[N+](=O)[O-] ZINC000588071874 348770404 /nfs/dbraw/zinc/77/04/04/348770404.db2.gz LGGGRRNJWBDHNQ-QWRGUYRKSA-N 0 0 281.381 2.719 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1sccc1[N+](=O)[O-] ZINC000588075378 348770680 /nfs/dbraw/zinc/77/06/80/348770680.db2.gz WMSHRUIJGIETNB-DTWKUNHWSA-N 0 0 256.327 2.741 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCOc2cc(O)ccc2C1 ZINC000588073600 348770701 /nfs/dbraw/zinc/77/07/01/348770701.db2.gz GVCLAVAZWUSHPS-UHFFFAOYSA-N 0 0 292.316 2.761 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1cnc(C)o1 ZINC000413420898 233070661 /nfs/dbraw/zinc/07/06/61/233070661.db2.gz KAXREGQIINLRFK-UHFFFAOYSA-N 0 0 277.280 2.650 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1C ZINC000588681783 348791748 /nfs/dbraw/zinc/79/17/48/348791748.db2.gz MKNXGUWIQCIWED-BXUZGUMPSA-N 0 0 291.351 2.923 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000588681850 348791849 /nfs/dbraw/zinc/79/18/49/348791849.db2.gz QQPGBGPTSBCUFB-QMTHXVAHSA-N 0 0 289.335 2.677 20 5 CFBDRN COc1ccc(CNC(=O)[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000596876546 350000582 /nfs/dbraw/zinc/00/05/82/350000582.db2.gz NNCYSXUNORCXND-LLVKDONJSA-N 0 0 292.335 2.656 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1ccsc1 ZINC000588807015 348796938 /nfs/dbraw/zinc/79/69/38/348796938.db2.gz WUYXNGLMMFKIRJ-UHFFFAOYSA-N 0 0 280.353 2.818 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCC[C@H](C)C1 ZINC000588824898 348797384 /nfs/dbraw/zinc/79/73/84/348797384.db2.gz CCKOLQRWSJATBU-JTQLQIEISA-N 0 0 266.345 2.746 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@H](C)[C@H](F)C1 ZINC000588824660 348797521 /nfs/dbraw/zinc/79/75/21/348797521.db2.gz HJMNRORBURDLTC-TVQRCGJNSA-N 0 0 280.299 2.982 20 5 CFBDRN Cc1noc([C@@H]2CCN(c3cc(C)ccc3[N+](=O)[O-])C2)n1 ZINC000585785932 348744680 /nfs/dbraw/zinc/74/46/80/348744680.db2.gz IEMOFKUVBSUEAU-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN CC(=O)c1cc(NCC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000587101099 348761247 /nfs/dbraw/zinc/76/12/47/348761247.db2.gz RREYISZNAQEXAF-GFCCVEGCSA-N 0 0 278.308 2.778 20 5 CFBDRN CC1(C)CCCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)CC1 ZINC000587985407 348763918 /nfs/dbraw/zinc/76/39/18/348763918.db2.gz AMPVRAUXANPUPH-UHFFFAOYSA-N 0 0 293.367 2.686 20 5 CFBDRN CCC1(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)CCC1 ZINC000587994253 348764552 /nfs/dbraw/zinc/76/45/52/348764552.db2.gz CQPWGDJOAOLBOR-UHFFFAOYSA-N 0 0 279.340 2.662 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCc2ncccc2C1 ZINC000588005051 348765298 /nfs/dbraw/zinc/76/52/98/348765298.db2.gz AQVBERQEMGOUFL-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588005588 348765377 /nfs/dbraw/zinc/76/53/77/348765377.db2.gz YQGCIEOJIBYTBD-GHMZBOCLSA-N 0 0 293.367 2.542 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H](C2CCOCC2)C1 ZINC000588006924 348766192 /nfs/dbraw/zinc/76/61/92/348766192.db2.gz IDEHPLQGWHAFHD-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN COCc1cccc(Nc2c(Cl)cncc2[N+](=O)[O-])c1 ZINC000589105284 348810694 /nfs/dbraw/zinc/81/06/94/348810694.db2.gz ISVCWGHXQAKSCW-UHFFFAOYSA-N 0 0 293.710 2.955 20 5 CFBDRN O=[N+]([O-])c1cc(N2CCC(O)CC2)ccc1C(F)(F)F ZINC000589102850 348810873 /nfs/dbraw/zinc/81/08/73/348810873.db2.gz CIEORFMMFKMLML-UHFFFAOYSA-N 0 0 290.241 2.575 20 5 CFBDRN C[C@@H]1CN(c2ccc(C(F)(F)F)c([N+](=O)[O-])c2)CCO1 ZINC000589104742 348810970 /nfs/dbraw/zinc/81/09/70/348810970.db2.gz ZXAZGWQUZHCAQS-MRVPVSSYSA-N 0 0 290.241 2.839 20 5 CFBDRN COCC[C@@H](C)CNc1ccc([N+](=O)[O-])cc1F ZINC000413492590 233082799 /nfs/dbraw/zinc/08/27/99/233082799.db2.gz MFCDWNBGESPZBT-SECBINFHSA-N 0 0 256.277 2.818 20 5 CFBDRN COCC[C@H](C)CNc1ccc([N+](=O)[O-])cc1F ZINC000413492591 233083010 /nfs/dbraw/zinc/08/30/10/233083010.db2.gz MFCDWNBGESPZBT-VIFPVBQESA-N 0 0 256.277 2.818 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1C[C@@H]2CCC[C@H]2C1 ZINC000589113512 348811686 /nfs/dbraw/zinc/81/16/86/348811686.db2.gz PANBESPNJBJQKR-IUCAKERBSA-N 0 0 267.716 2.880 20 5 CFBDRN COCC[C@H](C)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000413496817 233084132 /nfs/dbraw/zinc/08/41/32/233084132.db2.gz VDOWECJQKCMMBY-NSHDSACASA-N 0 0 252.314 2.988 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ncc([N+](=O)[O-])cc2Cl)[C@@H](C)O1 ZINC000413541843 233093145 /nfs/dbraw/zinc/09/31/45/233093145.db2.gz WHMOILYHRKTKFF-IWSPIJDZSA-N 0 0 285.731 2.869 20 5 CFBDRN COc1cc(N[C@H](C)C[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000589585156 348840267 /nfs/dbraw/zinc/84/02/67/348840267.db2.gz SLBZOIVVSJLPRM-GHMZBOCLSA-N 0 0 280.324 2.830 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C12CCC2 ZINC000413454289 233076161 /nfs/dbraw/zinc/07/61/61/233076161.db2.gz VRKHAIFUPRPYPP-RYUDHWBXSA-N 0 0 277.324 2.668 20 5 CFBDRN Cn1cc(CSCCOc2ccc([N+](=O)[O-])cc2)cn1 ZINC000588924002 348802805 /nfs/dbraw/zinc/80/28/05/348802805.db2.gz PJSSEZXWQAKZRU-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN O=C(CCCC1CC1)NCCc1ccccc1[N+](=O)[O-] ZINC000589705055 348851921 /nfs/dbraw/zinc/85/19/21/348851921.db2.gz RRDJKOHVKYWZRK-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CCC1(C)CN(c2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000589695387 348852181 /nfs/dbraw/zinc/85/21/81/348852181.db2.gz GSDSSBPAZNYRHW-UHFFFAOYSA-N 0 0 272.308 2.774 20 5 CFBDRN Cc1nc(NC(=O)CCCC2CC2)ccc1[N+](=O)[O-] ZINC000589782642 348856296 /nfs/dbraw/zinc/85/62/96/348856296.db2.gz DTHYBHBHVONXQC-UHFFFAOYSA-N 0 0 263.297 2.817 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000128998009 187404564 /nfs/dbraw/zinc/40/45/64/187404564.db2.gz PCYVTXQDFCVUIU-UONOGXRCSA-N 0 0 287.319 2.714 20 5 CFBDRN CCc1noc(CNC(C)(C)c2ccccc2[N+](=O)[O-])n1 ZINC000590019583 348870098 /nfs/dbraw/zinc/87/00/98/348870098.db2.gz BQYGALCNXGCUJW-UHFFFAOYSA-N 0 0 290.323 2.565 20 5 CFBDRN Nc1cc(N[C@@H]2CC3CCC2CC3)cc(CO)c1[N+](=O)[O-] ZINC000590062226 348872245 /nfs/dbraw/zinc/87/22/45/348872245.db2.gz AZWSRKXUVIFKJV-RPFQZYLTSA-N 0 0 291.351 2.660 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000413572391 233097561 /nfs/dbraw/zinc/09/75/61/233097561.db2.gz IFFJVLCTQPSBNE-VHSXEESVSA-N 0 0 266.345 2.955 20 5 CFBDRN Cc1cc(N[C@@H]2CCCC(F)(F)[C@H]2O)ccc1[N+](=O)[O-] ZINC000413571234 233097794 /nfs/dbraw/zinc/09/77/94/233097794.db2.gz HIGWZCZSUHZAED-PWSUYJOCSA-N 0 0 286.278 2.864 20 5 CFBDRN CO[C@@H](CNc1cccnc1[N+](=O)[O-])Cc1ccccc1 ZINC000590709179 348932672 /nfs/dbraw/zinc/93/26/72/348932672.db2.gz NBEHPLSZZOXXOE-CYBMUJFWSA-N 0 0 287.319 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@H]3C3CC3)c2c1 ZINC000590711059 348933598 /nfs/dbraw/zinc/93/35/98/348933598.db2.gz YZAQTPBUYCGDIN-ZDUSSCGKSA-N 0 0 270.292 2.527 20 5 CFBDRN C[C@@]12CN(c3cccnc3[N+](=O)[O-])C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000590713305 348933986 /nfs/dbraw/zinc/93/39/86/348933986.db2.gz KKSBFXOMJIBLDJ-YXMPFFBPSA-N 0 0 271.320 2.638 20 5 CFBDRN CC(C)(CNc1cc(N)c([N+](=O)[O-])c(CO)c1)C1CCC1 ZINC000590715684 348934867 /nfs/dbraw/zinc/93/48/67/348934867.db2.gz INLWXFOYBDHPSM-UHFFFAOYSA-N 0 0 293.367 2.908 20 5 CFBDRN CCc1ncc(CNc2ccsc2[N+](=O)[O-])o1 ZINC000590725358 348936957 /nfs/dbraw/zinc/93/69/57/348936957.db2.gz RMEJTUOFSWXHRU-UHFFFAOYSA-N 0 0 253.283 2.819 20 5 CFBDRN C[C@@H]1[C@H](O)CCN1c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000590726236 348938059 /nfs/dbraw/zinc/93/80/59/348938059.db2.gz MNYOZFMSBUDSPG-RDDDGLTNSA-N 0 0 290.241 2.573 20 5 CFBDRN C[C@]12CN(c3ncc([N+](=O)[O-])s3)C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000590738015 348939195 /nfs/dbraw/zinc/93/91/95/348939195.db2.gz RFXXLTGICYKMKN-BSTOTGJRSA-N 0 0 279.365 2.924 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC(OC(F)F)CC2)cn1 ZINC000590735715 348939530 /nfs/dbraw/zinc/93/95/30/348939530.db2.gz JXONSTGZQUBFMR-UHFFFAOYSA-N 0 0 287.266 2.952 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(Cn3cnc4c3CCCC4)nc2c1 ZINC000590746914 348941807 /nfs/dbraw/zinc/94/18/07/348941807.db2.gz YTGVMARDYPFNES-UHFFFAOYSA-N 0 0 297.318 2.595 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H]2C(F)(F)F)cccc1[N+](=O)[O-] ZINC000590377298 348897472 /nfs/dbraw/zinc/89/74/72/348897472.db2.gz GXEPEUNMZFKPPH-SNVBAGLBSA-N 0 0 288.225 2.680 20 5 CFBDRN CC(C)(NC(=O)c1nccs1)c1ccccc1[N+](=O)[O-] ZINC000590456595 348901704 /nfs/dbraw/zinc/90/17/04/348901704.db2.gz VQRHOSHIWVVLIB-UHFFFAOYSA-N 0 0 291.332 2.716 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCCCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000590509875 348907470 /nfs/dbraw/zinc/90/74/70/348907470.db2.gz BGUJZCUBJAMIHK-AAEUAGOBSA-N 0 0 291.351 2.869 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccn2ccnc2c1 ZINC000590537358 348908001 /nfs/dbraw/zinc/90/80/01/348908001.db2.gz GXWLQXAXVISPDK-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CC(=O)CCCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000590549876 348908660 /nfs/dbraw/zinc/90/86/60/348908660.db2.gz GTNSVYWGHLUDHQ-UHFFFAOYSA-N 0 0 278.308 2.909 20 5 CFBDRN CN(c1ccc(N)c([N+](=O)[O-])n1)[C@@H]1CCc2ccccc21 ZINC000590570575 348909777 /nfs/dbraw/zinc/90/97/77/348909777.db2.gz XGEVOFTYIUJXJW-CYBMUJFWSA-N 0 0 284.319 2.696 20 5 CFBDRN COC(=O)c1ccnc(NCC2CCCCC2)c1[N+](=O)[O-] ZINC000590568894 348910003 /nfs/dbraw/zinc/91/00/03/348910003.db2.gz IBKCKHGJZIHEKM-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN COC(=O)c1c(Cl)c(N(C)CC2CC2)ccc1[N+](=O)[O-] ZINC000590574371 348910739 /nfs/dbraw/zinc/91/07/39/348910739.db2.gz IIMZAVYTTQFWRJ-UHFFFAOYSA-N 0 0 298.726 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2CC[C@@H]2C(F)(F)F)cc1 ZINC000590602259 348913766 /nfs/dbraw/zinc/91/37/66/348913766.db2.gz UVFCLOPMXVGHQS-LLVKDONJSA-N 0 0 274.242 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2CC[C@H]2C(F)(F)F)cc1 ZINC000590602262 348914314 /nfs/dbraw/zinc/91/43/14/348914314.db2.gz UVFCLOPMXVGHQS-NSHDSACASA-N 0 0 274.242 2.774 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN1CC[C@@H]1C(F)(F)F ZINC000590600349 348914445 /nfs/dbraw/zinc/91/44/45/348914445.db2.gz OFARPXNTRLMIGL-LLVKDONJSA-N 0 0 290.241 2.610 20 5 CFBDRN COc1cc(C(=O)NC[C@@H](C)C2CC2)c([N+](=O)[O-])cc1F ZINC000590624744 348916295 /nfs/dbraw/zinc/91/62/95/348916295.db2.gz XZLXAIHLNSLKKS-MRVPVSSYSA-N 0 0 296.298 2.518 20 5 CFBDRN C[C@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])C[C@H](C)O1 ZINC000590684049 348927666 /nfs/dbraw/zinc/92/76/66/348927666.db2.gz SZESZYHEXYUXNI-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N[C@H]1CCC[C@@H](O)C1 ZINC000590685821 348927952 /nfs/dbraw/zinc/92/79/52/348927952.db2.gz ZDVSDPIYHPATHK-WDEREUQCSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CCOCC3(CC3)C2)c1 ZINC000590696957 348930078 /nfs/dbraw/zinc/93/00/78/348930078.db2.gz WBDALYAYKMDGJM-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@H](C2CCC2)C1 ZINC000591125506 348989953 /nfs/dbraw/zinc/98/99/53/348989953.db2.gz AEXNDLAHXONHDI-ZDUSSCGKSA-N 0 0 274.320 2.857 20 5 CFBDRN CC(C)c1cc(CNc2ncc([N+](=O)[O-])cc2F)on1 ZINC000591147181 348992636 /nfs/dbraw/zinc/99/26/36/348992636.db2.gz DKMJJRYYSFSVDL-UHFFFAOYSA-N 0 0 280.259 2.852 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000591159139 348994685 /nfs/dbraw/zinc/99/46/85/348994685.db2.gz NTSWGNIZMYVLEV-CHWSQXEVSA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCSC2(CCC2)CC1 ZINC000591186792 348998336 /nfs/dbraw/zinc/99/83/36/348998336.db2.gz BGSIBMIFSRNTMQ-UHFFFAOYSA-N 0 0 279.365 2.856 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])s2)[C@H](C)O1 ZINC000591193700 348999142 /nfs/dbraw/zinc/99/91/42/348999142.db2.gz RUXXCIAPRWIYSD-HRDYMLBCSA-N 0 0 256.327 2.882 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])s1)c1csnn1 ZINC000591197376 348999200 /nfs/dbraw/zinc/99/92/00/348999200.db2.gz JSBMHQYXGUHZMK-YFKPBYRVSA-N 0 0 256.312 2.681 20 5 CFBDRN CC(C)[C@@H]1N(c2ccc([N+](=O)[O-])cn2)CC12CCOCC2 ZINC000591195908 348999304 /nfs/dbraw/zinc/99/93/04/348999304.db2.gz NIXYOPROUCVUFO-AWEZNQCLSA-N 0 0 291.351 2.631 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000591204192 349000077 /nfs/dbraw/zinc/00/00/77/349000077.db2.gz JOVZTAXXSPBHNG-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCc1cscn1)CCCC2 ZINC000591904112 349056931 /nfs/dbraw/zinc/05/69/31/349056931.db2.gz SIHRTCLSQUDTQB-UHFFFAOYSA-N 0 0 290.348 2.937 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CC12CCSCC2 ZINC000591462242 349024849 /nfs/dbraw/zinc/02/48/49/349024849.db2.gz NQDDUGTXHVYARR-JTQLQIEISA-N 0 0 279.365 2.935 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](O)CC23CCC3)c(Cl)c1 ZINC000591464236 349025896 /nfs/dbraw/zinc/02/58/96/349025896.db2.gz XNGPVCHQFKJKTJ-JTQLQIEISA-N 0 0 297.742 2.527 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1COC ZINC000591981677 349069036 /nfs/dbraw/zinc/06/90/36/349069036.db2.gz MYQZBVOEIGVZDT-AAEUAGOBSA-N 0 0 296.367 2.950 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000591982541 349069904 /nfs/dbraw/zinc/06/99/04/349069904.db2.gz QPUWEXGJANTCPI-MWLCHTKSSA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CCO)c2ccccc2F)s1 ZINC000591989399 349070449 /nfs/dbraw/zinc/07/04/49/349070449.db2.gz UGFPNIDYHAYSGX-SNVBAGLBSA-N 0 0 297.311 2.726 20 5 CFBDRN O=c1c2cccc([N+](=O)[O-])c2ccn1CCCCF ZINC000592357545 349108553 /nfs/dbraw/zinc/10/85/53/349108553.db2.gz SVBXVYUIJRYCPS-UHFFFAOYSA-N 0 0 264.256 2.659 20 5 CFBDRN Cc1cc(NCC2CCC(F)CC2)ncc1[N+](=O)[O-] ZINC000591994369 349071827 /nfs/dbraw/zinc/07/18/27/349071827.db2.gz MFMVPNNSVDZIJK-UHFFFAOYSA-N 0 0 267.304 2.660 20 5 CFBDRN NC(=O)c1ccc(NCC2CCC(F)CC2)c([N+](=O)[O-])c1 ZINC000591993729 349071842 /nfs/dbraw/zinc/07/18/42/349071842.db2.gz GDBRFFMBQFMQMR-UHFFFAOYSA-N 0 0 295.314 2.634 20 5 CFBDRN O=c1onc(-c2cccc([N+](=O)[O-])c2)n1CCCCCF ZINC000592349618 349106824 /nfs/dbraw/zinc/10/68/24/349106824.db2.gz RJQIPLLVZDDQCN-UHFFFAOYSA-N 0 0 295.270 2.551 20 5 CFBDRN CCN(CCSC)c1cccc(OC)c1[N+](=O)[O-] ZINC000591998088 349072659 /nfs/dbraw/zinc/07/26/59/349072659.db2.gz AOTNBVPFEIUMBJ-UHFFFAOYSA-N 0 0 270.354 2.793 20 5 CFBDRN Cc1cnc(N2C[C@H](C)OC3(CCCC3)C2)c([N+](=O)[O-])c1 ZINC000591999510 349073198 /nfs/dbraw/zinc/07/31/98/349073198.db2.gz KFTRCUXEQARYCC-LBPRGKRZSA-N 0 0 291.351 2.836 20 5 CFBDRN COc1cc(OCCC[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000592349312 349106877 /nfs/dbraw/zinc/10/68/77/349106877.db2.gz SGQVUKLQOIVLQJ-LLVKDONJSA-N 0 0 281.308 2.799 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2F)CC2(CCCC2)O1 ZINC000592002139 349073725 /nfs/dbraw/zinc/07/37/25/349073725.db2.gz STDPAVLDPCLAKT-SNVBAGLBSA-N 0 0 295.314 2.667 20 5 CFBDRN CCc1csc(=O)n1Cc1c(F)cccc1[N+](=O)[O-] ZINC000592352723 349106957 /nfs/dbraw/zinc/10/69/57/349106957.db2.gz HQLCPRKAUHNVPE-UHFFFAOYSA-N 0 0 282.296 2.568 20 5 CFBDRN Cc1cc(N2C[C@@H](C)OC3(CCCC3)C2)ncc1[N+](=O)[O-] ZINC000592003225 349074171 /nfs/dbraw/zinc/07/41/71/349074171.db2.gz YHIYAFHXKJOHSI-GFCCVEGCSA-N 0 0 291.351 2.836 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000592211515 349101701 /nfs/dbraw/zinc/10/17/01/349101701.db2.gz QIYYEWCPQJTKGW-JQWIXIFHSA-N 0 0 295.339 2.513 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CCS[C@H]2C)ccc1[N+](=O)[O-] ZINC000592242028 349103139 /nfs/dbraw/zinc/10/31/39/349103139.db2.gz CGYLSNXZAXDEHG-GXSJLCMTSA-N 0 0 295.364 2.919 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCC[C@@H]2CCOC2)c1 ZINC000592347676 349106219 /nfs/dbraw/zinc/10/62/19/349106219.db2.gz SHZVTKURMLUTKB-SNVBAGLBSA-N 0 0 269.272 2.929 20 5 CFBDRN COC(=O)c1cc(OCC2=CCCC2)ccc1[N+](=O)[O-] ZINC000592345005 349106414 /nfs/dbraw/zinc/10/64/14/349106414.db2.gz UXDFNNJGCPCCNV-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN CCc1nnc(COc2cc(OC)ccc2[N+](=O)[O-])s1 ZINC000592361048 349108543 /nfs/dbraw/zinc/10/85/43/349108543.db2.gz FZLKPTAQEQFXQR-UHFFFAOYSA-N 0 0 295.320 2.596 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3CCCC[C@@H]32)c(F)c1 ZINC000591959312 349065756 /nfs/dbraw/zinc/06/57/56/349065756.db2.gz AAMLDTCESTYHFD-CABZTGNLSA-N 0 0 265.288 2.898 20 5 CFBDRN CC[C@@H](CNc1ccc([N+](=O)[O-])cc1Cl)C(=O)OC ZINC000591973854 349067915 /nfs/dbraw/zinc/06/79/15/349067915.db2.gz GBFVJTJGEMHYGO-QMMMGPOBSA-N 0 0 286.715 2.859 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)CC(F)F ZINC000592671192 349148650 /nfs/dbraw/zinc/14/86/50/349148650.db2.gz FAPQWSDVTUALHP-QMMMGPOBSA-N 0 0 272.251 2.616 20 5 CFBDRN Cc1sc(C(=O)NC[C@H](C)CC(F)F)cc1[N+](=O)[O-] ZINC000592670807 349148705 /nfs/dbraw/zinc/14/87/05/349148705.db2.gz BKERRNVZIMOUET-ZCFIWIBFSA-N 0 0 292.307 2.986 20 5 CFBDRN COCC1(CC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)CC1 ZINC000592579332 349139851 /nfs/dbraw/zinc/13/98/51/349139851.db2.gz YEUDPIITNMLNBF-UHFFFAOYSA-N 0 0 292.335 2.967 20 5 CFBDRN Cc1nnsc1CNC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000593021300 349189096 /nfs/dbraw/zinc/18/90/96/349189096.db2.gz UJOCWGKGJGDVFO-UHFFFAOYSA-N 0 0 292.364 2.780 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCS[C@H]2C)cc1[N+](=O)[O-] ZINC000592733238 349158550 /nfs/dbraw/zinc/15/85/50/349158550.db2.gz FJJIVGTVHGWBRG-ONGXEEELSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CCS[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000592733417 349158680 /nfs/dbraw/zinc/15/86/80/349158680.db2.gz ALWPKOUGVCBYAM-KWBADKCTSA-N 0 0 294.376 2.709 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CCS[C@@H]1C ZINC000592735050 349159198 /nfs/dbraw/zinc/15/91/98/349159198.db2.gz JOVUBKIDBGPRGS-PWSUYJOCSA-N 0 0 294.376 2.835 20 5 CFBDRN CCN(CCSC)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000592742830 349162824 /nfs/dbraw/zinc/16/28/24/349162824.db2.gz YGHCJHFGMJINLH-UHFFFAOYSA-N 0 0 296.392 2.739 20 5 CFBDRN CC1(CC(F)F)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000592836291 349170054 /nfs/dbraw/zinc/17/00/54/349170054.db2.gz VGTKDTHNCYHCDR-UHFFFAOYSA-N 0 0 298.289 2.641 20 5 CFBDRN C[C@H](C(=O)NC1(CF)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000592901343 349176088 /nfs/dbraw/zinc/17/60/88/349176088.db2.gz BWJSNBBHMPIPEY-JTQLQIEISA-N 0 0 280.299 2.707 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@]2(CC2(F)F)C1 ZINC000593114451 349211845 /nfs/dbraw/zinc/21/18/45/349211845.db2.gz BPNPCCKFAVIBTR-LBPRGKRZSA-N 0 0 286.253 2.965 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593137102 349218848 /nfs/dbraw/zinc/21/88/48/349218848.db2.gz DAUURNLBEXKNGZ-LLVKDONJSA-N 0 0 294.326 2.925 20 5 CFBDRN CCSCc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000593187152 349225497 /nfs/dbraw/zinc/22/54/97/349225497.db2.gz GUMOBIJEURGRLQ-UHFFFAOYSA-N 0 0 255.295 2.635 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCCC(F)(F)C1 ZINC000593236688 349233448 /nfs/dbraw/zinc/23/34/48/349233448.db2.gz WGZWAGWGKFCQQE-UHFFFAOYSA-N 0 0 298.289 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc(CCn2cc(C3CC3)cn2)cc1 ZINC000593237932 349233979 /nfs/dbraw/zinc/23/39/79/349233979.db2.gz WNUOYGOGBFJFME-UHFFFAOYSA-N 0 0 257.293 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCCC(F)(F)C3)nc2c1 ZINC000593048169 349193036 /nfs/dbraw/zinc/19/30/36/349193036.db2.gz IKXBUHVMCNMQED-UHFFFAOYSA-N 0 0 297.261 2.967 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)[C@H](CC)CO1 ZINC000593056951 349195811 /nfs/dbraw/zinc/19/58/11/349195811.db2.gz BUZMWZWPUQPZMG-UKRRQHHQSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593058732 349197108 /nfs/dbraw/zinc/19/71/08/349197108.db2.gz NMHPITSJWSVMBD-SNVBAGLBSA-N 0 0 282.315 2.781 20 5 CFBDRN CCC(C)(CC)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593058977 349197249 /nfs/dbraw/zinc/19/72/49/349197249.db2.gz FSDVMOZXLLOUST-UHFFFAOYSA-N 0 0 282.315 2.971 20 5 CFBDRN CC[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593061422 349198188 /nfs/dbraw/zinc/19/81/88/349198188.db2.gz APVNZYCTUOUVOD-GXSJLCMTSA-N 0 0 270.354 2.656 20 5 CFBDRN CC[C@H]1CN(Cc2ccccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593061486 349198517 /nfs/dbraw/zinc/19/85/17/349198517.db2.gz CCHIDBPDSJWILT-YPMHNXCESA-N 0 0 264.325 2.594 20 5 CFBDRN CCN(Cc1csc([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000593069343 349201297 /nfs/dbraw/zinc/20/12/97/349201297.db2.gz QSHDJDNOTBGAHW-NSHDSACASA-N 0 0 270.354 2.657 20 5 CFBDRN C[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])C2(CCC2)CO1 ZINC000593094217 349207741 /nfs/dbraw/zinc/20/77/41/349207741.db2.gz XRARSRBYGAMLGF-GFCCVEGCSA-N 0 0 276.336 2.738 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCC1(CO)CCC1 ZINC000593511569 349287813 /nfs/dbraw/zinc/28/78/13/349287813.db2.gz DVABVEOAPCVUKF-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCC2(CO)CCC2)n1 ZINC000593512689 349287972 /nfs/dbraw/zinc/28/79/72/349287972.db2.gz SEMKAIIKMFWFRD-UHFFFAOYSA-N 0 0 279.340 2.571 20 5 CFBDRN Nc1c(F)c(N2CC[C@@]3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000593521215 349289235 /nfs/dbraw/zinc/28/92/35/349289235.db2.gz NGRJLSBFAXQDSB-LLVKDONJSA-N 0 0 287.241 2.552 20 5 CFBDRN Cc1cc(N2CC[C@]3(CC3(F)F)C2)ncc1[N+](=O)[O-] ZINC000593523328 349290189 /nfs/dbraw/zinc/29/01/89/349290189.db2.gz XHYOYCPGURZERU-NSHDSACASA-N 0 0 269.251 2.534 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@]2(CC2(F)F)C1 ZINC000593521679 349290365 /nfs/dbraw/zinc/29/03/65/349290365.db2.gz NORZBETXPIBCPC-VIFPVBQESA-N 0 0 260.265 2.892 20 5 CFBDRN COc1ccc(C(=O)NC2(C3CCC3)CC2)cc1[N+](=O)[O-] ZINC000593305511 349244252 /nfs/dbraw/zinc/24/42/52/349244252.db2.gz KVWHYFKYOINJII-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@]3(CC3(F)F)C2)cccc1[N+](=O)[O-] ZINC000593354659 349251583 /nfs/dbraw/zinc/25/15/83/349251583.db2.gz ARVXOJGHBHMZAF-CYBMUJFWSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@]3(CC3(F)F)C2)c1 ZINC000593358604 349252054 /nfs/dbraw/zinc/25/20/54/349252054.db2.gz JTAIUAYYBKYDET-ZDUSSCGKSA-N 0 0 296.273 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2cc3n(n2)CCCC3)nc1 ZINC000593423381 349266523 /nfs/dbraw/zinc/26/65/23/349266523.db2.gz UCIJMCAXAYLMCJ-UHFFFAOYSA-N 0 0 290.348 2.815 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1cc2n(n1)CCC2 ZINC000593423851 349267214 /nfs/dbraw/zinc/26/72/14/349267214.db2.gz MIFJSEZZXAZQPN-UHFFFAOYSA-N 0 0 273.292 2.625 20 5 CFBDRN CN(CC1CCCC1)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593441574 349271246 /nfs/dbraw/zinc/27/12/46/349271246.db2.gz PYGUQQVOXVAYDJ-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN C[C@H]1CN(c2ccnc3cc([N+](=O)[O-])ccc32)CCCO1 ZINC000593462500 349276046 /nfs/dbraw/zinc/27/60/46/349276046.db2.gz VLDGSWNFSODLET-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593462421 349276184 /nfs/dbraw/zinc/27/61/84/349276184.db2.gz TXJMNMRPIGVIJX-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593473471 349277557 /nfs/dbraw/zinc/27/75/57/349277557.db2.gz RBXMCJNDXUSZDR-NXEZZACHSA-N 0 0 275.308 2.978 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593476935 349278021 /nfs/dbraw/zinc/27/80/21/349278021.db2.gz RBXMCJNDXUSZDR-UWVGGRQHSA-N 0 0 275.308 2.978 20 5 CFBDRN C[C@@H](CCO)CCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593475518 349278048 /nfs/dbraw/zinc/27/80/48/349278048.db2.gz JHPPUZIDYWMDRF-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CCc1nc(Sc2c([N+](=O)[O-])ncn2C)sc1C ZINC000593482176 349279495 /nfs/dbraw/zinc/27/94/95/349279495.db2.gz QNXAHAKNLFVXKJ-UHFFFAOYSA-N 0 0 284.366 2.807 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCC(F)(F)C2)n1 ZINC000593487444 349282492 /nfs/dbraw/zinc/28/24/92/349282492.db2.gz ZAJKIYCJBAVJTM-UHFFFAOYSA-N 0 0 271.267 2.842 20 5 CFBDRN CCOc1cc(N2C[C@H](CC)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000593491174 349282911 /nfs/dbraw/zinc/28/29/11/349282911.db2.gz HKETXDWUAQISBJ-AAEUAGOBSA-N 0 0 294.351 2.997 20 5 CFBDRN CC[C@@H]1CN(c2ncc(C)cc2[N+](=O)[O-])[C@H](CC)CO1 ZINC000593495462 349284329 /nfs/dbraw/zinc/28/43/29/349284329.db2.gz ZBFDPCUIMVAWEH-VXGBXAGGSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1c1ncc(C)cc1[N+](=O)[O-] ZINC000593495459 349284351 /nfs/dbraw/zinc/28/43/51/349284351.db2.gz ZBFDPCUIMVAWEH-NEPJUHHUSA-N 0 0 279.340 2.692 20 5 CFBDRN COC(=O)[C@H](CNc1ccsc1[N+](=O)[O-])CC1CC1 ZINC000593497099 349285151 /nfs/dbraw/zinc/28/51/51/349285151.db2.gz NSFFSPZOGUSXJD-VIFPVBQESA-N 0 0 284.337 2.658 20 5 CFBDRN Cc1nn(C)c(Nc2cc(F)cc(N(C)C)c2)c1[N+](=O)[O-] ZINC000593502871 349285686 /nfs/dbraw/zinc/28/56/86/349285686.db2.gz WWZSVOSWWAFWCY-UHFFFAOYSA-N 0 0 293.302 2.585 20 5 CFBDRN C[C@@H](COCC1CC1)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000593507407 349286445 /nfs/dbraw/zinc/28/64/45/349286445.db2.gz DZBAKYOYQIFABA-QMMMGPOBSA-N 0 0 285.731 2.870 20 5 CFBDRN C[C@H](CO)C1CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593505720 349286478 /nfs/dbraw/zinc/28/64/78/349286478.db2.gz NHUCKEJRLBPUCI-SNVBAGLBSA-N 0 0 282.315 2.579 20 5 CFBDRN CCc1cccc(CNc2c([N+](=O)[O-])c(C)nn2C)c1 ZINC000593507608 349287026 /nfs/dbraw/zinc/28/70/26/349287026.db2.gz AHWAPGFJULNEPN-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN COc1cc(N[C@H](C)COCC2CC2)ccc1[N+](=O)[O-] ZINC000593509102 349287124 /nfs/dbraw/zinc/28/71/24/349287124.db2.gz SIAVXLXACPIBMX-SNVBAGLBSA-N 0 0 280.324 2.830 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@@H](CO)CCF ZINC000593509435 349287247 /nfs/dbraw/zinc/28/72/47/349287247.db2.gz LHPBSWWASOHCMR-MRVPVSSYSA-N 0 0 296.220 2.746 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC2CC3(CCC3)C2)c1 ZINC000593884478 349332770 /nfs/dbraw/zinc/33/27/70/349332770.db2.gz JSZBJHIEFCGGHE-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](F)C2)c1 ZINC000593893314 349333279 /nfs/dbraw/zinc/33/32/79/349333279.db2.gz GDYKJFUQQUHDEP-VIFPVBQESA-N 0 0 298.339 2.891 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593665414 349306844 /nfs/dbraw/zinc/30/68/44/349306844.db2.gz CFGSNMIXKOTTSI-OCCSQVGLSA-N 0 0 278.352 2.903 20 5 CFBDRN CC[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593744785 349318642 /nfs/dbraw/zinc/31/86/42/349318642.db2.gz WXXCZRXIIPXEOV-SNVBAGLBSA-N 0 0 278.308 2.525 20 5 CFBDRN O=C([O-])C[N@H+](Cc1csc([N+](=O)[O-])c1)C1CCCCC1 ZINC000593746948 349319806 /nfs/dbraw/zinc/31/98/06/349319806.db2.gz YBEASMDIZZSCSD-UHFFFAOYSA-N 0 0 298.364 2.876 20 5 CFBDRN Cc1noc(CN(C)[C@@H](C)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000594055077 349365229 /nfs/dbraw/zinc/36/52/29/349365229.db2.gz ZBIDYUYFESGYEL-JTQLQIEISA-N 0 0 290.323 2.788 20 5 CFBDRN CCOc1ccc(C(=O)NC2(C)CC=CC2)cc1[N+](=O)[O-] ZINC000594073689 349369032 /nfs/dbraw/zinc/36/90/32/349369032.db2.gz CEHCWAYUEORZBF-UHFFFAOYSA-N 0 0 290.319 2.832 20 5 CFBDRN Cc1c(CC(=O)NC2(C)CC=CC2)cccc1[N+](=O)[O-] ZINC000594075812 349369945 /nfs/dbraw/zinc/36/99/45/349369945.db2.gz WLTIKNZMDLRLCC-UHFFFAOYSA-N 0 0 274.320 2.671 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)Cc2ccccn2)c1 ZINC000594138714 349384421 /nfs/dbraw/zinc/38/44/21/349384421.db2.gz ADWMBGREEFVWSH-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000594138028 349384491 /nfs/dbraw/zinc/38/44/91/349384491.db2.gz CTSUOZYCXZRWIY-MGCOHNPYSA-N 0 0 298.364 2.732 20 5 CFBDRN CC(C)(CCn1cc([N+](=O)[O-])ccc1=O)C1CC1 ZINC000594441553 349422444 /nfs/dbraw/zinc/42/24/44/349422444.db2.gz KFUGEZLFLLVCAH-UHFFFAOYSA-N 0 0 250.298 2.583 20 5 CFBDRN CCOC(=O)CSc1c2ccccc2ncc1[N+](=O)[O-] ZINC000196477817 539741860 /nfs/dbraw/zinc/74/18/60/539741860.db2.gz NIYDONYDUBDUSK-UHFFFAOYSA-N 0 0 292.316 2.798 20 5 CFBDRN CC[C@@H](NCc1cccc([N+](=O)[O-])c1)C(=O)OC(C)(C)C ZINC000206785792 539742494 /nfs/dbraw/zinc/74/24/94/539742494.db2.gz IGIQRFZWRSYZIV-CYBMUJFWSA-N 0 0 294.351 2.805 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597097586 350029873 /nfs/dbraw/zinc/02/98/73/350029873.db2.gz OLBFEDDIQNPAAL-BQBZGAKWSA-N 0 0 298.730 2.845 20 5 CFBDRN C[C@H](NC(=O)C1CC2(CC2)C1)c1ccccc1[N+](=O)[O-] ZINC000597473113 350101218 /nfs/dbraw/zinc/10/12/18/350101218.db2.gz CQYBZEZVTZJUFJ-JTQLQIEISA-N 0 0 274.320 2.962 20 5 CFBDRN Cc1ncsc1CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000104470655 186007593 /nfs/dbraw/zinc/00/75/93/186007593.db2.gz JHQWKTNMAGJVOX-UHFFFAOYSA-N 0 0 297.361 2.660 20 5 CFBDRN Cc1ncsc1CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000104476049 186007996 /nfs/dbraw/zinc/00/79/96/186007996.db2.gz XMBABMFGKZMEGB-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN C[C@H]1C[C@@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271238008 191021060 /nfs/dbraw/zinc/02/10/60/191021060.db2.gz CJVYILORBIJKRN-QWRGUYRKSA-N 0 0 293.323 2.531 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000597880937 350148037 /nfs/dbraw/zinc/14/80/37/350148037.db2.gz FMMKMALVRDHTJI-RFAUZJTJSA-N 0 0 285.303 2.749 20 5 CFBDRN CCc1nn(C)cc1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000091026411 180089465 /nfs/dbraw/zinc/08/94/65/180089465.db2.gz GNTFONCBTIVLRF-UHFFFAOYSA-N 0 0 281.703 2.683 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H]3CCCC[C@@H]32)cc([N+](=O)[O-])c1 ZINC000597828727 350140876 /nfs/dbraw/zinc/14/08/76/350140876.db2.gz IGTWWTKWWDBXRW-FZMZJTMJSA-N 0 0 274.320 2.918 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@@H]21 ZINC000597830288 350141527 /nfs/dbraw/zinc/14/15/27/350141527.db2.gz NTPOFOZUPDTECD-WPRPVWTQSA-N 0 0 266.322 2.671 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000597855168 350143113 /nfs/dbraw/zinc/14/31/13/350143113.db2.gz JIVVJSZCVIDDBA-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000597854635 350143190 /nfs/dbraw/zinc/14/31/90/350143190.db2.gz KHLNBKFBPCIOLD-SNVBAGLBSA-N 0 0 250.298 2.855 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C)c1ccccn1 ZINC000597863112 350145299 /nfs/dbraw/zinc/14/52/99/350145299.db2.gz GHKBXGGMOKMRPQ-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN CC[C@H](CSC)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000091147714 180106348 /nfs/dbraw/zinc/10/63/48/180106348.db2.gz RZZWAQGFWXTALE-GFCCVEGCSA-N 0 0 296.392 2.737 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@]12C[C@@H]1CCC2 ZINC000597891818 350150702 /nfs/dbraw/zinc/15/07/02/350150702.db2.gz YRVHJQKHPCFLGB-NHYWBVRUSA-N 0 0 274.320 2.884 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000271284549 191050323 /nfs/dbraw/zinc/05/03/23/191050323.db2.gz AUIULPZOEMIGIZ-PSASIEDQSA-N 0 0 298.364 2.510 20 5 CFBDRN C[C@H]1C[C@H](CNc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000271286736 191052672 /nfs/dbraw/zinc/05/26/72/191052672.db2.gz DHQJMZMLEAZNQL-VHSXEESVSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NC1CCC1 ZINC000271289435 191053316 /nfs/dbraw/zinc/05/33/16/191053316.db2.gz PDNBXSSLSBUMSA-UHFFFAOYSA-N 0 0 262.309 2.802 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000271299544 191060003 /nfs/dbraw/zinc/06/00/03/191060003.db2.gz BUHKSAFHBJFJDT-DGCLKSJQSA-N 0 0 276.336 2.927 20 5 CFBDRN CC[C@@H](C)CCNC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000597974586 350168397 /nfs/dbraw/zinc/16/83/97/350168397.db2.gz ABYVHTGXWJWVDL-LLVKDONJSA-N 0 0 294.351 2.698 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000597975577 350168788 /nfs/dbraw/zinc/16/87/88/350168788.db2.gz KZMIZVDFUYCNCK-QMMMGPOBSA-N 0 0 256.327 2.822 20 5 CFBDRN C[C@H](C(=O)NC[C@@]1(C)CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000598214210 350216070 /nfs/dbraw/zinc/21/60/70/350216070.db2.gz HEKFVARRTVHTFO-TVQRCGJNSA-N 0 0 298.289 2.860 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@]2(C)CC2(F)F)c1 ZINC000598217876 350217433 /nfs/dbraw/zinc/21/74/33/350217433.db2.gz XMTQQFXCFMNECO-LBPRGKRZSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@]1(C)CC1(F)F ZINC000598219643 350218681 /nfs/dbraw/zinc/21/86/81/350218681.db2.gz STOOBXMUTWNRPR-LBPRGKRZSA-N 0 0 284.262 2.678 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000598232519 350221546 /nfs/dbraw/zinc/22/15/46/350221546.db2.gz GTPNDQLPTRUOPP-CYBMUJFWSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc(CC2CN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)cc1 ZINC000598235247 350222245 /nfs/dbraw/zinc/22/22/45/350222245.db2.gz QULJZCHNWHOBOM-UHFFFAOYSA-N 0 0 299.330 2.546 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000414724852 233338815 /nfs/dbraw/zinc/33/88/15/233338815.db2.gz UFUITTZVBBYJDS-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1C ZINC000598144226 350209806 /nfs/dbraw/zinc/20/98/06/350209806.db2.gz RAWXLWBWLGHPPT-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCCOC(C)(C)C ZINC000598191853 350211923 /nfs/dbraw/zinc/21/19/23/350211923.db2.gz VSKLXUVSCNHDAV-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]2C[C@H]21)c1ccccc1[N+](=O)[O-] ZINC000598207028 350213201 /nfs/dbraw/zinc/21/32/01/350213201.db2.gz DVXGTXSOWRHLNR-GMXVVIOVSA-N 0 0 274.320 2.761 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCc1ccoc1 ZINC000598205518 350213283 /nfs/dbraw/zinc/21/32/83/350213283.db2.gz VTVFDLJLKMPIEI-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000598208639 350214474 /nfs/dbraw/zinc/21/44/74/350214474.db2.gz MIQSWFUMOINVIA-SGMGOOAPSA-N 0 0 274.320 2.761 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000598209784 350214477 /nfs/dbraw/zinc/21/44/77/350214477.db2.gz WOKYUYLMAKACFM-OUJBWJOFSA-N 0 0 292.310 2.900 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1cccc(OC)c1 ZINC000091549793 180189128 /nfs/dbraw/zinc/18/91/28/180189128.db2.gz UWCRGIYDLAOONP-UHFFFAOYSA-N 0 0 290.323 2.740 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000598298707 350229241 /nfs/dbraw/zinc/22/92/41/350229241.db2.gz NDPRHINXPIXRPS-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000598326725 350234764 /nfs/dbraw/zinc/23/47/64/350234764.db2.gz AYQNTDZWYBREJQ-DGCLKSJQSA-N 0 0 274.320 2.639 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H]3C[C@H]3C2)c1 ZINC000598326512 350234781 /nfs/dbraw/zinc/23/47/81/350234781.db2.gz CLVFWBCOQBBJJQ-UWVGGRQHSA-N 0 0 292.360 2.799 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC[C@H]2C[C@H]2C1 ZINC000598333523 350238160 /nfs/dbraw/zinc/23/81/60/350238160.db2.gz WWFHOWZFJFCEIV-WPRPVWTQSA-N 0 0 280.711 2.730 20 5 CFBDRN CC[C@@](C)(NC(=O)c1ccc(NC)c([N+](=O)[O-])c1)C1CC1 ZINC000598248371 350223798 /nfs/dbraw/zinc/22/37/98/350223798.db2.gz AYNHOYMLZAOAFX-OAHLLOKOSA-N 0 0 291.351 2.945 20 5 CFBDRN CC[C@@](C)(NC(=O)c1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000598248762 350224371 /nfs/dbraw/zinc/22/43/71/350224371.db2.gz FABHJBKJLVNUDU-OAHLLOKOSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@](C)(NC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598250048 350224546 /nfs/dbraw/zinc/22/45/46/350224546.db2.gz KHDJHGOBZSMDAA-AWEZNQCLSA-N 0 0 262.309 2.903 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@H]1C ZINC000105383899 186055499 /nfs/dbraw/zinc/05/54/99/186055499.db2.gz CZOPHGYTOAXFEV-SKDRFNHKSA-N 0 0 278.308 2.522 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])NCC1=CCCC1 ZINC000598376559 350252305 /nfs/dbraw/zinc/25/23/05/350252305.db2.gz OQJGCKATDCSQMJ-UHFFFAOYSA-N 0 0 289.335 2.623 20 5 CFBDRN CC(C)[C@H](NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000598382259 350253813 /nfs/dbraw/zinc/25/38/13/350253813.db2.gz XBPJGIFTZOPARS-HNNXBMFYSA-N 0 0 294.326 2.827 20 5 CFBDRN Cc1cc(C(=O)N[C@@]2(C)CC2(C)C)cc([N+](=O)[O-])c1 ZINC000598386562 350255049 /nfs/dbraw/zinc/25/50/49/350255049.db2.gz QMZRXVHJCIUQJB-AWEZNQCLSA-N 0 0 262.309 2.822 20 5 CFBDRN O=[N+]([O-])c1cccc(CCc2nc([C@H]3CCCO3)no2)c1 ZINC000274130297 192454974 /nfs/dbraw/zinc/45/49/74/192454974.db2.gz KXUVEGINOGPOSN-GFCCVEGCSA-N 0 0 289.291 2.615 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@H](C2CCC2)C1 ZINC000598399356 350256334 /nfs/dbraw/zinc/25/63/34/350256334.db2.gz JOFAZRBMKCDEGW-NSHDSACASA-N 0 0 277.324 2.575 20 5 CFBDRN COCC1(NC(=O)c2csc([N+](=O)[O-])c2)CCCCC1 ZINC000598554820 350282132 /nfs/dbraw/zinc/28/21/32/350282132.db2.gz MTWINFRHKDTBLN-UHFFFAOYSA-N 0 0 298.364 2.735 20 5 CFBDRN COCC1(NC(=O)c2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000598555693 350282729 /nfs/dbraw/zinc/28/27/29/350282729.db2.gz PQMFSRPWQLGKKL-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000598555601 350282753 /nfs/dbraw/zinc/28/27/53/350282753.db2.gz CLIBTADMWSLARA-JTQLQIEISA-N 0 0 262.309 2.713 20 5 CFBDRN Cc1n[nH]c(C(=O)NC[C@H](C)C2CCCCC2)c1[N+](=O)[O-] ZINC000598439780 350269605 /nfs/dbraw/zinc/26/96/05/350269605.db2.gz VXCWKYKZQKPCPW-VIFPVBQESA-N 0 0 294.355 2.573 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N1CCCC[C@@H]1CC1CCC1 ZINC000598462415 350271939 /nfs/dbraw/zinc/27/19/39/350271939.db2.gz PXGQFBIIBJVKBG-LLVKDONJSA-N 0 0 292.339 2.503 20 5 CFBDRN Cn1c(C(=O)N(CCC2CC2)CC2CC2)ccc1[N+](=O)[O-] ZINC000598466555 350272978 /nfs/dbraw/zinc/27/29/78/350272978.db2.gz PJZZUUKMLHVUOG-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN CC1(C)CC(NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000598504713 350277760 /nfs/dbraw/zinc/27/77/60/350277760.db2.gz NQIOERQDNUHTOG-UHFFFAOYSA-N 0 0 280.299 2.581 20 5 CFBDRN C[C@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@@H]1CO ZINC000598639772 350299846 /nfs/dbraw/zinc/29/98/46/350299846.db2.gz UVVFMKUVXSJCLG-VHSXEESVSA-N 0 0 284.743 2.703 20 5 CFBDRN CC1CC(CNC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000598777781 350327964 /nfs/dbraw/zinc/32/79/64/350327964.db2.gz AHIJMMFKEAMHQH-UHFFFAOYSA-N 0 0 266.272 2.510 20 5 CFBDRN COC(=O)c1ccnc(NC[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000598590440 350287265 /nfs/dbraw/zinc/28/72/65/350287265.db2.gz YPPFXTHKFRCNBB-SNVBAGLBSA-N 0 0 291.307 2.545 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000598828212 350335627 /nfs/dbraw/zinc/33/56/27/350335627.db2.gz MPBJNRWFYUERTQ-ZYHUDNBSSA-N 0 0 278.308 2.657 20 5 CFBDRN CCn1ncc(C)c1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000598866392 350342719 /nfs/dbraw/zinc/34/27/19/350342719.db2.gz RQMUCOFUBMGCIY-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN COc1cccc(C(=O)N[C@]2(C)CC2(C)C)c1[N+](=O)[O-] ZINC000598878273 350343696 /nfs/dbraw/zinc/34/36/96/350343696.db2.gz ANSWNYLVCBAUMP-CQSZACIVSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC(CC2CC2)C1 ZINC000598906795 350346214 /nfs/dbraw/zinc/34/62/14/350346214.db2.gz SSGXWSVPRZMOCS-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C ZINC000598953839 350348226 /nfs/dbraw/zinc/34/82/26/350348226.db2.gz FMDQQPJUNNERFK-ZHPDPMBESA-N 0 0 292.335 2.901 20 5 CFBDRN CC(C)(CO)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599061262 350367993 /nfs/dbraw/zinc/36/79/93/350367993.db2.gz PQGHFJAGAZTKLB-UHFFFAOYSA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1ccc(CNc2cnn(CCF)c2)cc1[N+](=O)[O-] ZINC000598995148 350356640 /nfs/dbraw/zinc/35/66/40/350356640.db2.gz ULFGXMRFYFXLAF-UHFFFAOYSA-N 0 0 278.287 2.681 20 5 CFBDRN C/C=C/CNC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000599002493 350358269 /nfs/dbraw/zinc/35/82/69/350358269.db2.gz CJYXKGLOSJTAPJ-NSCUHMNNSA-N 0 0 291.351 2.793 20 5 CFBDRN CCOCCCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599017661 350359582 /nfs/dbraw/zinc/35/95/82/350359582.db2.gz YVRKEAJRDDJBKJ-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN C/C=C/CNC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000599018576 350359793 /nfs/dbraw/zinc/35/97/93/350359793.db2.gz ANZCAYBFGFWPOY-NSCUHMNNSA-N 0 0 297.742 2.666 20 5 CFBDRN CC[C@H](CCO)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599049483 350365762 /nfs/dbraw/zinc/36/57/62/350365762.db2.gz YHIIOWRBFLLVBS-LLVKDONJSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1ccn([C@H](C)CC(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000598802903 350330420 /nfs/dbraw/zinc/33/04/20/350330420.db2.gz JJNLGJZKHSMAJV-LLVKDONJSA-N 0 0 288.307 2.690 20 5 CFBDRN O=C([C@H]1C[C@H]2C[C@H]2C1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000598802696 350330619 /nfs/dbraw/zinc/33/06/19/350330619.db2.gz DSSOUDRJKFRBGE-GDNZZTSVSA-N 0 0 272.304 2.530 20 5 CFBDRN C[C@H](Nc1cnn(CCF)c1)c1ccc([N+](=O)[O-])cc1 ZINC000599071901 350370487 /nfs/dbraw/zinc/37/04/87/350370487.db2.gz VOZHBBDAEHUFCW-JTQLQIEISA-N 0 0 278.287 2.934 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@@H](F)C1 ZINC000599083426 350372537 /nfs/dbraw/zinc/37/25/37/350372537.db2.gz LROVDDMZLAZIBX-SNVBAGLBSA-N 0 0 261.256 2.691 20 5 CFBDRN C[C@@H](C[NH+](C)C)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599085236 350373634 /nfs/dbraw/zinc/37/36/34/350373634.db2.gz NIJKQNNLVATJIN-JTQLQIEISA-N 0 0 274.324 2.505 20 5 CFBDRN CCC[C@@H](OCC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000599101936 350375989 /nfs/dbraw/zinc/37/59/89/350375989.db2.gz LBBTYBCUXKDVOY-BXUZGUMPSA-N 0 0 294.351 2.977 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H](c2ccncc2)C1 ZINC000599098370 350375999 /nfs/dbraw/zinc/37/59/99/350375999.db2.gz SOAOFNJBHMMYGH-CYBMUJFWSA-N 0 0 269.304 2.984 20 5 CFBDRN Cc1ccnc([C@H]2CCN(c3ncc(C)cc3[N+](=O)[O-])C2)c1 ZINC000599099244 350376002 /nfs/dbraw/zinc/37/60/02/350376002.db2.gz GADMDISKHZMMAU-ZDUSSCGKSA-N 0 0 298.346 2.996 20 5 CFBDRN Cc1ccnc([C@@H]2CCN(c3ncc(C)cc3[N+](=O)[O-])C2)c1 ZINC000599099243 350376099 /nfs/dbraw/zinc/37/60/99/350376099.db2.gz GADMDISKHZMMAU-CYBMUJFWSA-N 0 0 298.346 2.996 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC[C@@H]1CCCOC1 ZINC000599116745 350377898 /nfs/dbraw/zinc/37/78/98/350377898.db2.gz MQCXDDHRGANRRI-VIFPVBQESA-N 0 0 285.731 2.872 20 5 CFBDRN C[C@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000599117527 350378660 /nfs/dbraw/zinc/37/86/60/350378660.db2.gz BEBFNOMJZVDCHY-MRVPVSSYSA-N 0 0 290.241 2.800 20 5 CFBDRN C[C@@H]1CN(c2cccnc2[N+](=O)[O-])C[C@@H](C)C1(F)F ZINC000599117663 350378751 /nfs/dbraw/zinc/37/87/51/350378751.db2.gz LVRMDYUBVRSSMA-RKDXNWHRSA-N 0 0 271.267 2.717 20 5 CFBDRN CCC[C@H](CNc1nccc(C)c1[N+](=O)[O-])OCC ZINC000599119969 350379128 /nfs/dbraw/zinc/37/91/28/350379128.db2.gz WYACTEQVFIUFEN-LLVKDONJSA-N 0 0 267.329 2.915 20 5 CFBDRN C[C@H]1CN(c2ccncc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000599119106 350379324 /nfs/dbraw/zinc/37/93/24/350379324.db2.gz WXILMEFUDKSWKH-IUCAKERBSA-N 0 0 271.267 2.717 20 5 CFBDRN CC1(C)C[C@H](Sc2ncc([N+](=O)[O-])cc2F)CO1 ZINC000599124907 350380485 /nfs/dbraw/zinc/38/04/85/350380485.db2.gz DUERCOSBQGBWKB-QMMMGPOBSA-N 0 0 272.301 2.789 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1S[C@@H]1COC(C)(C)C1 ZINC000599126210 350380959 /nfs/dbraw/zinc/38/09/59/350380959.db2.gz YATJNJJRRLCLJP-VIFPVBQESA-N 0 0 285.369 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCN2CC(F)F)s1 ZINC000599134428 350381810 /nfs/dbraw/zinc/38/18/10/350381810.db2.gz WSWCJNSGBHBJKM-MRVPVSSYSA-N 0 0 291.323 2.798 20 5 CFBDRN CCCc1cncc(Oc2ncc([N+](=O)[O-])cn2)c1 ZINC000599134852 350381829 /nfs/dbraw/zinc/38/18/29/350381829.db2.gz BQNWUEDNCWNXPS-UHFFFAOYSA-N 0 0 260.253 2.525 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC(F)(F)CC2)s1 ZINC000599133215 350381883 /nfs/dbraw/zinc/38/18/83/350381883.db2.gz FJSSJPURVSCJFP-UHFFFAOYSA-N 0 0 263.269 2.677 20 5 CFBDRN CCCc1cncc(Oc2c([N+](=O)[O-])c(C)nn2C)c1 ZINC000599135606 350382308 /nfs/dbraw/zinc/38/23/08/350382308.db2.gz PCHYEFMPSKDXHS-UHFFFAOYSA-N 0 0 276.296 2.777 20 5 CFBDRN CC(C)CC[C@@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000599137321 350382421 /nfs/dbraw/zinc/38/24/21/350382421.db2.gz JNCTWDJGFRZFPM-JTQLQIEISA-N 0 0 287.747 2.852 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](CO)CCC(C)C)n1 ZINC000599138339 350382900 /nfs/dbraw/zinc/38/29/00/350382900.db2.gz ZVYPRCAZFKLWBJ-GFCCVEGCSA-N 0 0 281.356 2.816 20 5 CFBDRN CC1(C)CC(=O)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000599138276 350383125 /nfs/dbraw/zinc/38/31/25/350383125.db2.gz YXUMWFVCDUWNGR-UHFFFAOYSA-N 0 0 297.742 2.839 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H](c1ccccn1)C1CCC1 ZINC000599138895 350383152 /nfs/dbraw/zinc/38/31/52/350383152.db2.gz IXLQNWOMCNPQAT-GFCCVEGCSA-N 0 0 287.323 2.677 20 5 CFBDRN CC1(C)OC[C@@H]2C[C@@]21Nc1ccc(F)cc1[N+](=O)[O-] ZINC000599142966 350383829 /nfs/dbraw/zinc/38/38/29/350383829.db2.gz MJPYAEIQQXVYAG-ISVAXAHUSA-N 0 0 266.272 2.713 20 5 CFBDRN CCOc1cc(N[C@]23C[C@H]2COC3(C)C)ccc1[N+](=O)[O-] ZINC000599143751 350384199 /nfs/dbraw/zinc/38/41/99/350384199.db2.gz XLMBBGHRONOAHM-ZUZCIYMTSA-N 0 0 292.335 2.973 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1cc(C)sc1[N+](=O)[O-] ZINC000599146952 350384715 /nfs/dbraw/zinc/38/47/15/350384715.db2.gz FMVIGLUVJFSCSC-KOLCDFICSA-N 0 0 256.327 2.944 20 5 CFBDRN Cc1cc(N2CC(Cc3ccco3)C2)ncc1[N+](=O)[O-] ZINC000599151901 350385651 /nfs/dbraw/zinc/38/56/51/350385651.db2.gz RNMJWCLRFFWTNA-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1c1ccc([N+](=O)[O-])c(N)c1F ZINC000599161979 350386913 /nfs/dbraw/zinc/38/69/13/350386913.db2.gz DPPVNNMWFXQEHL-SSDOTTSWSA-N 0 0 289.257 2.940 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000599163027 350386994 /nfs/dbraw/zinc/38/69/94/350386994.db2.gz DAXLHYUAIHHYMX-RBXMUDONSA-N 0 0 296.352 2.528 20 5 CFBDRN Cc1cc(N[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)ncc1[N+](=O)[O-] ZINC000599163934 350387543 /nfs/dbraw/zinc/38/75/43/350387543.db2.gz IADPVIDJPINLSH-WJZNIJOASA-N 0 0 265.313 2.522 20 5 CFBDRN C[C@]1(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])C[C@H]2C[C@H]2C1 ZINC000599170571 350388745 /nfs/dbraw/zinc/38/87/45/350388745.db2.gz BMGSTRKOLVUTHQ-HBYGRHMLSA-N 0 0 289.335 2.542 20 5 CFBDRN C/C=C/CNc1ccc(CC(=O)OCC)cc1[N+](=O)[O-] ZINC000599173302 350389229 /nfs/dbraw/zinc/38/92/29/350389229.db2.gz YTKFLABIXHNMIH-HWKANZROSA-N 0 0 278.308 2.688 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1NC[C@H]1C[C@@H](O)C1 ZINC000599173557 350389257 /nfs/dbraw/zinc/38/92/57/350389257.db2.gz CDDMTHPYYUGQIO-OTSSQURYSA-N 0 0 272.251 2.715 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179008 350390509 /nfs/dbraw/zinc/39/05/09/350390509.db2.gz DJWBBIJMTXQYJA-IUODEOHRSA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000599181352 350390616 /nfs/dbraw/zinc/39/06/16/350390616.db2.gz RMLWJYOXVWVGKJ-GWCFXTLKSA-N 0 0 266.272 2.713 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000599181092 350390868 /nfs/dbraw/zinc/39/08/68/350390868.db2.gz PRRFMVJMGOFNSM-RISCZKNCSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CO[C@H](C2CC2)C1 ZINC000599182000 350391279 /nfs/dbraw/zinc/39/12/79/350391279.db2.gz WACFYTCXVFBFHQ-RISCZKNCSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000599181359 350391313 /nfs/dbraw/zinc/39/13/13/350391313.db2.gz RMLWJYOXVWVGKJ-ZWNOBZJWSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CO[C@@H](C3CC3)C2)c(Cl)c1 ZINC000599182007 350391664 /nfs/dbraw/zinc/39/16/64/350391664.db2.gz WCPGCKRYWMTNBY-GZMMTYOYSA-N 0 0 283.715 2.623 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@H]1CCCC12CC2 ZINC000599188053 350392329 /nfs/dbraw/zinc/39/23/29/350392329.db2.gz ATINOTUHIVTYNP-CYBMUJFWSA-N 0 0 274.320 2.791 20 5 CFBDRN CCOc1cccc(N(C)CC(O)(CC)CC)c1[N+](=O)[O-] ZINC000599190025 350392673 /nfs/dbraw/zinc/39/26/73/350392673.db2.gz BKXLYMVDPVLDCO-UHFFFAOYSA-N 0 0 296.367 2.981 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(Cc3cccs3)C2)nc1 ZINC000599193862 350393671 /nfs/dbraw/zinc/39/36/71/350393671.db2.gz BUCBTBRCNRAFMO-UHFFFAOYSA-N 0 0 275.333 2.730 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])c3cnccc23)C1 ZINC000599197673 350394264 /nfs/dbraw/zinc/39/42/64/350394264.db2.gz PSOWKVHVBUJZAO-PHIMTYICSA-N 0 0 287.319 2.980 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(-c2nc(C3(C)CCCC3)no2)n1 ZINC000599198578 350395073 /nfs/dbraw/zinc/39/50/73/350395073.db2.gz MVRXGQHMABZMAY-UHFFFAOYSA-N 0 0 291.311 2.693 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1CC1(F)F ZINC000295157146 199376436 /nfs/dbraw/zinc/37/64/36/199376436.db2.gz QDGAFPKMQGKUHE-QMMMGPOBSA-N 0 0 290.653 2.520 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@H+](C)CC1(C)COC1 ZINC000599571460 350458021 /nfs/dbraw/zinc/45/80/21/350458021.db2.gz HXGOUHFWWFNCPV-LLVKDONJSA-N 0 0 264.325 2.624 20 5 CFBDRN CO[C@H]1C[C@H](NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000599752410 350491545 /nfs/dbraw/zinc/49/15/45/350491545.db2.gz IBNPICCWQRBGAQ-XYPYZODXSA-N 0 0 293.323 2.511 20 5 CFBDRN Cc1cc(NC(=O)NCC2CC=CC2)ccc1[N+](=O)[O-] ZINC000599769185 350492525 /nfs/dbraw/zinc/49/25/25/350492525.db2.gz RRALPNZICWJJMY-UHFFFAOYSA-N 0 0 275.308 2.991 20 5 CFBDRN CC(C)(NC(=O)C12CC(C1)C2)c1ccc([N+](=O)[O-])cc1 ZINC000599647113 350471743 /nfs/dbraw/zinc/47/17/43/350471743.db2.gz URAWRFIJIUKHOJ-UHFFFAOYSA-N 0 0 274.320 2.746 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000599779517 350492606 /nfs/dbraw/zinc/49/26/06/350492606.db2.gz JNGQRPHLEDPENW-COMAGPEQSA-N 0 0 293.323 2.528 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N(C1CC1)C1CC1 ZINC000599780427 350493173 /nfs/dbraw/zinc/49/31/73/350493173.db2.gz WXPKFXWSMIWMLK-UHFFFAOYSA-N 0 0 261.281 2.754 20 5 CFBDRN O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)C12CC(C1)C2 ZINC000599946185 350500512 /nfs/dbraw/zinc/50/05/12/350500512.db2.gz XUFKDWDBKMKWAD-UHFFFAOYSA-N 0 0 299.330 2.535 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccncc1C ZINC000107292071 186166670 /nfs/dbraw/zinc/16/66/70/186166670.db2.gz VURXLSSHFUIIQZ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(NC[C@@H]1CC[C@H]2C[C@H]2C1)c1csc([N+](=O)[O-])c1 ZINC000600167445 350527858 /nfs/dbraw/zinc/52/78/58/350527858.db2.gz FUUQGMQSDXXWLJ-KXUCPTDWSA-N 0 0 280.349 2.822 20 5 CFBDRN CCOCCN(CC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000107804233 186190959 /nfs/dbraw/zinc/19/09/59/186190959.db2.gz JMSXEQRZKXYKGC-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN CCC[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)OCC ZINC000600173895 350530181 /nfs/dbraw/zinc/53/01/81/350530181.db2.gz ICHCLNALCYFRJM-CYBMUJFWSA-N 0 0 280.324 2.530 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@@](=O)c1ccccc1 ZINC000107936512 186198232 /nfs/dbraw/zinc/19/82/32/186198232.db2.gz CUYXVDIMCWUTBP-FQEVSTJZSA-N 0 0 291.328 2.911 20 5 CFBDRN CCOCCN(CC)Cc1c(F)cccc1[N+](=O)[O-] ZINC000107677696 186185413 /nfs/dbraw/zinc/18/54/13/186185413.db2.gz SRFWOFYMQWSNMW-UHFFFAOYSA-N 0 0 270.304 2.592 20 5 CFBDRN O=C(NC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000600163449 350525627 /nfs/dbraw/zinc/52/56/27/350525627.db2.gz AOXNTQNRLSAQOI-GRYCIOLGSA-N 0 0 274.320 2.761 20 5 CFBDRN O=[N+]([O-])c1cn(CC2CCC2)nc1-c1ccc(F)cn1 ZINC000600543953 350568856 /nfs/dbraw/zinc/56/88/56/350568856.db2.gz DNHIHHBAXRCUAH-UHFFFAOYSA-N 0 0 276.271 2.793 20 5 CFBDRN COC(=O)CCCSCCOc1ccc([N+](=O)[O-])cc1 ZINC000600568605 350571804 /nfs/dbraw/zinc/57/18/04/350571804.db2.gz ITHJRDCDWLARMW-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000600217692 350542023 /nfs/dbraw/zinc/54/20/23/350542023.db2.gz CJOCIMSGNKEOJB-TXEJJXNPSA-N 0 0 274.320 2.612 20 5 CFBDRN CCC(C)(C)OC1CN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000600267023 350545935 /nfs/dbraw/zinc/54/59/35/350545935.db2.gz FRVCEOYXBRICRB-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN C[C@@H](C(=O)N(C1CC1)C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000600360449 350549488 /nfs/dbraw/zinc/54/94/88/350549488.db2.gz PUXOOKHVTBXNDT-SECBINFHSA-N 0 0 292.310 2.991 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC[C@H]1CC=CCC1 ZINC000600394169 350552855 /nfs/dbraw/zinc/55/28/55/350552855.db2.gz LBRMHDDDVFKZJI-ZDUSSCGKSA-N 0 0 288.347 3.000 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NCC[C@H]1CC=CCC1 ZINC000600396614 350553917 /nfs/dbraw/zinc/55/39/17/350553917.db2.gz ZRSKHUKACUUKMC-NSHDSACASA-N 0 0 289.335 2.653 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000600449043 350556121 /nfs/dbraw/zinc/55/61/21/350556121.db2.gz QZFISCNCAZNEDX-SNVBAGLBSA-N 0 0 298.289 2.784 20 5 CFBDRN C/C=C/CNC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000600490174 350558574 /nfs/dbraw/zinc/55/85/74/350558574.db2.gz IIKKTRFIWFSVIM-ONEGZZNKSA-N 0 0 268.700 2.863 20 5 CFBDRN C/C=C/CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000600494778 350558903 /nfs/dbraw/zinc/55/89/03/350558903.db2.gz XTVOTEDALQZTHJ-NWALNABHSA-N 0 0 266.272 2.530 20 5 CFBDRN C/C=C\CNC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000600492046 350559026 /nfs/dbraw/zinc/55/90/26/350559026.db2.gz PAFPZTCJXKRZLU-IHWYPQMZSA-N 0 0 288.225 2.920 20 5 CFBDRN COC(=O)CCCCOc1ccc([N+](=O)[O-])cc1Cl ZINC000600507883 350560403 /nfs/dbraw/zinc/56/04/03/350560403.db2.gz ATKDUCDCVPULKE-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN Cc1cc(OCCCc2cnoc2)ccc1[N+](=O)[O-] ZINC000600513253 350560718 /nfs/dbraw/zinc/56/07/18/350560718.db2.gz MWGWIEPJRUONLQ-UHFFFAOYSA-N 0 0 262.265 2.903 20 5 CFBDRN Cc1ncccc1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000108157419 186207036 /nfs/dbraw/zinc/20/70/36/186207036.db2.gz ZTMSDKMSFYYYDR-JTQLQIEISA-N 0 0 297.314 2.890 20 5 CFBDRN CCOc1cc(OCCCCC(=O)OC)ccc1[N+](=O)[O-] ZINC000600530755 350565679 /nfs/dbraw/zinc/56/56/79/350565679.db2.gz JKUPPMXTBLOUCW-UHFFFAOYSA-N 0 0 297.307 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1noc(C2CCC2)n1 ZINC000600534282 350566371 /nfs/dbraw/zinc/56/63/71/350566371.db2.gz MSDHEIBUEPCZEQ-UHFFFAOYSA-N 0 0 293.254 2.963 20 5 CFBDRN C[C@H]1C[C@H]1Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000600538415 350567526 /nfs/dbraw/zinc/56/75/26/350567526.db2.gz SPIJSVPXBLEWDQ-ONGXEEELSA-N 0 0 258.281 2.509 20 5 CFBDRN CCn1nc(C)cc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000600603721 350579232 /nfs/dbraw/zinc/57/92/32/350579232.db2.gz ONHPEQYSSHUCIV-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2ccnc2C2CC2)c(F)c1 ZINC000600611554 350580484 /nfs/dbraw/zinc/58/04/84/350580484.db2.gz QHKYBWXMYJGCKO-UHFFFAOYSA-N 0 0 261.256 2.856 20 5 CFBDRN C[C@@]1(CNc2ncc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000129798320 187454038 /nfs/dbraw/zinc/45/40/38/187454038.db2.gz XMXOTFXHTWZWSF-NSHDSACASA-N 0 0 271.704 2.624 20 5 CFBDRN Cc1cn(C2CC(Oc3ccccc3)C2)nc1[N+](=O)[O-] ZINC000600674382 350594657 /nfs/dbraw/zinc/59/46/57/350594657.db2.gz MBIHWADIZUPLPV-UHFFFAOYSA-N 0 0 273.292 2.882 20 5 CFBDRN CC(C)(C)CNC(=O)Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000600675696 350594868 /nfs/dbraw/zinc/59/48/68/350594868.db2.gz ZJMXYFSOTPCEDJ-UHFFFAOYSA-N 0 0 289.335 2.712 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCOC2)c2ncccc12 ZINC000109548374 186261848 /nfs/dbraw/zinc/26/18/48/186261848.db2.gz JAJRAPRBQWCQTN-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)cc1[N+](=O)[O-] ZINC000600884669 350622804 /nfs/dbraw/zinc/62/28/04/350622804.db2.gz YOKLOHMJFMGFJX-HOSYDEDBSA-N 0 0 278.308 2.655 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2C[C@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000600884670 350622889 /nfs/dbraw/zinc/62/28/89/350622889.db2.gz YOKLOHMJFMGFJX-JBLDHEPKSA-N 0 0 278.308 2.655 20 5 CFBDRN O=[N+]([O-])c1cccc(CS(=O)(=O)C[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000600892350 350624303 /nfs/dbraw/zinc/62/43/03/350624303.db2.gz MVGDXBXFMKVOIA-CLLJXQQHSA-N 0 0 295.360 2.556 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600894089 350624411 /nfs/dbraw/zinc/62/44/11/350624411.db2.gz JSKHYHROUQYTOW-VIFPVBQESA-N 0 0 266.272 2.749 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)cn1 ZINC000109731539 186270624 /nfs/dbraw/zinc/27/06/24/186270624.db2.gz YNRVDAPVVVFIBW-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CCO[C@H](C)C1 ZINC000271393875 191113612 /nfs/dbraw/zinc/11/36/12/191113612.db2.gz MLLMWUWTSNKTRU-ZYHUDNBSSA-N 0 0 280.324 2.721 20 5 CFBDRN Cc1ccc(-c2ccnc(N3CCOCC3)c2)cc1[N+](=O)[O-] ZINC000600790488 350612975 /nfs/dbraw/zinc/61/29/75/350612975.db2.gz LDEMTCWTKCTZFP-UHFFFAOYSA-N 0 0 299.330 2.802 20 5 CFBDRN Cc1nc(-c2cc([N+](=O)[O-])ccc2CCO)sc1C ZINC000600795037 350613661 /nfs/dbraw/zinc/61/36/61/350613661.db2.gz WDLOFLSFYVQZOG-UHFFFAOYSA-N 0 0 278.333 2.870 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCC12CC2 ZINC000600804713 350615310 /nfs/dbraw/zinc/61/53/10/350615310.db2.gz OZMDZBYTJXADQW-NSHDSACASA-N 0 0 289.335 2.608 20 5 CFBDRN CCCc1cc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)n[nH]1 ZINC000109912045 186278615 /nfs/dbraw/zinc/27/86/15/186278615.db2.gz BTCGICGENGMHIA-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600984488 350642001 /nfs/dbraw/zinc/64/20/01/350642001.db2.gz XLWMWQIGUFESAZ-MRVPVSSYSA-N 0 0 254.261 2.652 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)Nc1cccc([N+](=O)[O-])c1 ZINC000110177701 186287772 /nfs/dbraw/zinc/28/77/72/186287772.db2.gz PJVOYIAVVBGUFM-ZDUSSCGKSA-N 0 0 278.308 2.883 20 5 CFBDRN CN(C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1)C1CC1 ZINC000601017407 350646003 /nfs/dbraw/zinc/64/60/03/350646003.db2.gz GGSOKBDMNUPQDZ-UHFFFAOYSA-N 0 0 274.320 2.637 20 5 CFBDRN COc1cc(NC[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000271399001 191115543 /nfs/dbraw/zinc/11/55/43/191115543.db2.gz RHMSFJBONSKLCK-NXEZZACHSA-N 0 0 298.314 2.970 20 5 CFBDRN CCOC(=O)C[C@@H](C)Sc1ncc(F)cc1[N+](=O)[O-] ZINC000601118949 350673096 /nfs/dbraw/zinc/67/30/96/350673096.db2.gz RQVJGYAAHGPVMU-SSDOTTSWSA-N 0 0 288.300 2.563 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSC[C@H]2CCC(F)(F)C2)c1 ZINC000601060845 350655908 /nfs/dbraw/zinc/65/59/08/350655908.db2.gz QJGXMNWCUVTCRG-VIFPVBQESA-N 0 0 291.323 2.960 20 5 CFBDRN COc1cc(COc2cc(C)ccc2[N+](=O)[O-])sn1 ZINC000601071887 350658407 /nfs/dbraw/zinc/65/84/07/350658407.db2.gz BVGQDQIQXIDPNE-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CC1(C)CO[C@H](COc2ccc([N+](=O)[O-])cc2F)C1 ZINC000601075244 350659078 /nfs/dbraw/zinc/65/90/78/350659078.db2.gz NFQWKVFMGZBERG-JTQLQIEISA-N 0 0 269.272 2.928 20 5 CFBDRN CCCCC(=O)COc1ccc([N+](=O)[O-])c(C(=O)OC)c1 ZINC000601076169 350659820 /nfs/dbraw/zinc/65/98/20/350659820.db2.gz FRVHBZYPQRZGFS-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1ccc([N+](=O)[O-])cc1F ZINC000601075816 350659933 /nfs/dbraw/zinc/65/99/33/350659933.db2.gz VQKPYZWNCUHRGZ-BDAKNGLRSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1cn(Cc2c(F)cc([N+](=O)[O-])cc2F)c(C)n1 ZINC000601080055 350661518 /nfs/dbraw/zinc/66/15/18/350661518.db2.gz HFKVCLVIEJRMCI-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN COc1cc(COc2ccc([N+](=O)[O-])c(OC)c2)sn1 ZINC000601080248 350661646 /nfs/dbraw/zinc/66/16/46/350661646.db2.gz AKRRYCHYLHIWHV-UHFFFAOYSA-N 0 0 296.304 2.648 20 5 CFBDRN CCOc1cc(OC[C@H]2CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000601080578 350661671 /nfs/dbraw/zinc/66/16/71/350661671.db2.gz FEDRMDWSLQHKMF-WDEREUQCSA-N 0 0 281.308 2.797 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@@H]1c1cccnc1 ZINC000601100791 350668317 /nfs/dbraw/zinc/66/83/17/350668317.db2.gz HTLXSGDGEBOTPE-GFCCVEGCSA-N 0 0 288.282 2.865 20 5 CFBDRN CCC1CCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601106561 350669774 /nfs/dbraw/zinc/66/97/74/350669774.db2.gz ZXVGKBAWWQFKPL-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN C[C@@H]1CCCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601107913 350669873 /nfs/dbraw/zinc/66/98/73/350669873.db2.gz CVYVVMMKLXICSY-SECBINFHSA-N 0 0 253.277 2.755 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@H](C)O[C@H]2C)n1 ZINC000601223709 350702012 /nfs/dbraw/zinc/70/20/12/350702012.db2.gz LZJFXLFOJAPNIW-GARJFASQSA-N 0 0 265.313 2.584 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC[C@H](O)C(F)F ZINC000601223227 350702291 /nfs/dbraw/zinc/70/22/91/350702291.db2.gz ZJUJWKAFWGZHLV-VIFPVBQESA-N 0 0 294.685 2.985 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@@H](C)O[C@@H]2C)c([N+](=O)[O-])c1 ZINC000601223881 350702348 /nfs/dbraw/zinc/70/23/48/350702348.db2.gz RGSUOIOPCRJCIL-ZDSQKVDBSA-N 0 0 278.308 2.775 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)[C@@H](C)O1 ZINC000601224115 350702701 /nfs/dbraw/zinc/70/27/01/350702701.db2.gz ZYQMRBQBDHTWBH-LNLATYFQSA-N 0 0 277.324 2.541 20 5 CFBDRN Cc1ncc([C@@H](C)Nc2ncc([N+](=O)[O-])s2)s1 ZINC000601226861 350702927 /nfs/dbraw/zinc/70/29/27/350702927.db2.gz CGADVSXBBHXQPE-RXMQYKEDSA-N 0 0 270.339 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C(F)F)CC2)s1 ZINC000601230994 350703998 /nfs/dbraw/zinc/70/39/98/350703998.db2.gz HJAPRIKXCOXYMR-SSDOTTSWSA-N 0 0 278.280 2.517 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCO[C@@H](C(F)F)CC1 ZINC000601230436 350704124 /nfs/dbraw/zinc/70/41/24/350704124.db2.gz CCBPRTOFQUDMOQ-MRVPVSSYSA-N 0 0 278.280 2.517 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cnn2c1CCCC2 ZINC000601232477 350704572 /nfs/dbraw/zinc/70/45/72/350704572.db2.gz RLGMGRWMKOLOMP-UHFFFAOYSA-N 0 0 273.296 2.575 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1cnn2c1CCCC2 ZINC000601231928 350704935 /nfs/dbraw/zinc/70/49/35/350704935.db2.gz LSHKEPZMTWCGDE-UHFFFAOYSA-N 0 0 258.281 2.871 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@@H](C(F)F)CC1 ZINC000601231937 350705106 /nfs/dbraw/zinc/70/51/06/350705106.db2.gz OUJQMNAGQUTMGV-LLVKDONJSA-N 0 0 286.278 2.764 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])c(OC)c2)cn1 ZINC000111050105 186348639 /nfs/dbraw/zinc/34/86/39/186348639.db2.gz YSNUERWCGWCPAO-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CCc1csc(Sc2c([N+](=O)[O-])c(C)nn2C)n1 ZINC000601249324 350709116 /nfs/dbraw/zinc/70/91/16/350709116.db2.gz ASFOUCONENEDFA-UHFFFAOYSA-N 0 0 284.366 2.807 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](C3CCC3)CC2)nc1 ZINC000601252262 350710197 /nfs/dbraw/zinc/71/01/97/350710197.db2.gz TZQJDDMEYREMAH-GFCCVEGCSA-N 0 0 276.340 2.791 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H](O)[C@@H]2CCCC[C@@H]21 ZINC000601252968 350710323 /nfs/dbraw/zinc/71/03/23/350710323.db2.gz XTQVCKOLVOEOHQ-XUJVJEKNSA-N 0 0 294.326 2.864 20 5 CFBDRN COc1cc(N[C@@H]2CCCn3nccc32)ccc1[N+](=O)[O-] ZINC000601269646 350713356 /nfs/dbraw/zinc/71/33/56/350713356.db2.gz JYCNCCNWTKVPRA-LLVKDONJSA-N 0 0 288.307 2.747 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCn3nccc32)cc1 ZINC000601270504 350713791 /nfs/dbraw/zinc/71/37/91/350713791.db2.gz XPSVSYLGLJHEDE-LBPRGKRZSA-N 0 0 258.281 2.738 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@]1(C)C1CCCCC1 ZINC000601270146 350714014 /nfs/dbraw/zinc/71/40/14/350714014.db2.gz SCROGPMNOZMXQQ-CQSZACIVSA-N 0 0 278.356 2.877 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCCn2nccc21 ZINC000601270540 350714040 /nfs/dbraw/zinc/71/40/40/350714040.db2.gz YOKUNEBISILXRP-JTQLQIEISA-N 0 0 258.281 2.738 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccsc2[N+](=O)[O-])o1 ZINC000601130405 350677609 /nfs/dbraw/zinc/67/76/09/350677609.db2.gz UOOCCJRTPBFYMA-RXMQYKEDSA-N 0 0 254.271 2.521 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC([C@@H]2CCCO2)CC1 ZINC000601154154 350683809 /nfs/dbraw/zinc/68/38/09/350683809.db2.gz YKCSPEPGBJUPRW-ZDUSSCGKSA-N 0 0 295.314 2.524 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC2(C1)CC(F)(F)C2 ZINC000601160534 350685246 /nfs/dbraw/zinc/68/52/46/350685246.db2.gz XJFDXGIWQPVMOV-UHFFFAOYSA-N 0 0 260.265 2.892 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601160363 350685401 /nfs/dbraw/zinc/68/54/01/350685401.db2.gz VIBFMUFRIWTZMZ-PHDIDXHHSA-N 0 0 278.234 2.558 20 5 CFBDRN COCC1(CNc2ncc(F)cc2[N+](=O)[O-])CCCC1 ZINC000601171228 350688080 /nfs/dbraw/zinc/68/80/80/350688080.db2.gz IWHBRXXGMBAOOY-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN CC(C)(CNc1ncc(F)cc1[N+](=O)[O-])C1(O)CCCC1 ZINC000601171891 350688947 /nfs/dbraw/zinc/68/89/47/350688947.db2.gz QLDZYNSLXDXQPL-UHFFFAOYSA-N 0 0 297.330 2.872 20 5 CFBDRN CC[C@@H]1CN(c2ncc(F)cc2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000601174380 350689365 /nfs/dbraw/zinc/68/93/65/350689365.db2.gz ABHMBMVJFNMGHZ-GHMZBOCLSA-N 0 0 283.303 2.523 20 5 CFBDRN Cc1ccnc([C@H](C)Nc2ccsc2[N+](=O)[O-])n1 ZINC000601176561 350689517 /nfs/dbraw/zinc/68/95/17/350689517.db2.gz WXEFFJRCQCAAAK-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3C[C@@H]4C[C@H]3CS4)c2c1 ZINC000601174613 350689714 /nfs/dbraw/zinc/68/97/14/350689714.db2.gz XUKIVLAUOUPHQD-QWRGUYRKSA-N 0 0 287.344 2.837 20 5 CFBDRN Cc1nc(N2CCC(c3ncco3)CC2)ccc1[N+](=O)[O-] ZINC000601184473 350691533 /nfs/dbraw/zinc/69/15/33/350691533.db2.gz MAJBNHRWDZCDHC-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN C[C@H](Nc1nc2c(cc1[N+](=O)[O-])CCCC2)c1cnccn1 ZINC000601191760 350693071 /nfs/dbraw/zinc/69/30/71/350693071.db2.gz NGGQWAZDBJFWQC-JTQLQIEISA-N 0 0 299.334 2.832 20 5 CFBDRN CC(C)(CNc1sccc1[N+](=O)[O-])n1ccnc1 ZINC000601198605 350694919 /nfs/dbraw/zinc/69/49/19/350694919.db2.gz YPBVSWRKIPKUSC-UHFFFAOYSA-N 0 0 266.326 2.700 20 5 CFBDRN CC(C)(CNc1c(F)cc([N+](=O)[O-])cc1F)n1cccn1 ZINC000601198210 350695135 /nfs/dbraw/zinc/69/51/35/350695135.db2.gz QYGVBMARPWDQGO-UHFFFAOYSA-N 0 0 296.277 2.917 20 5 CFBDRN CC1(C)CC(=O)CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000601200345 350695293 /nfs/dbraw/zinc/69/52/93/350695293.db2.gz AFNOAHMCNFBOAM-UHFFFAOYSA-N 0 0 268.338 2.852 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601201625 350695653 /nfs/dbraw/zinc/69/56/53/350695653.db2.gz ROXFWVARVNPAFE-JTQLQIEISA-N 0 0 298.314 2.669 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(c2ccccc2)C1 ZINC000601210945 350698293 /nfs/dbraw/zinc/69/82/93/350698293.db2.gz WZOVRQVLDZBYIW-UHFFFAOYSA-N 0 0 286.335 2.723 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCC23CC3)c(F)c1 ZINC000601209441 350698315 /nfs/dbraw/zinc/69/83/15/350698315.db2.gz GVKWXBUVLASWMV-JTQLQIEISA-N 0 0 251.261 2.874 20 5 CFBDRN CCOC(=O)CCSc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000601221598 350701491 /nfs/dbraw/zinc/70/14/91/350701491.db2.gz PYNPQBSFLMOMCH-UHFFFAOYSA-N 0 0 295.320 2.516 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000601600969 350745104 /nfs/dbraw/zinc/74/51/04/350745104.db2.gz FMFJAGREHKASJA-GZMMTYOYSA-N 0 0 284.262 2.564 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@@H]1C(F)F ZINC000601604909 350745726 /nfs/dbraw/zinc/74/57/26/350745726.db2.gz FTNZYTLTFQKRQW-MRVPVSSYSA-N 0 0 290.653 2.728 20 5 CFBDRN CC(=O)CN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(C)C ZINC000601606586 350746523 /nfs/dbraw/zinc/74/65/23/350746523.db2.gz LLQBKFDVVUJMRA-UHFFFAOYSA-N 0 0 298.726 2.688 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])OC ZINC000601610808 350747513 /nfs/dbraw/zinc/74/75/13/350747513.db2.gz FOHXLJNPKNCLPN-BXKDBHETSA-N 0 0 298.314 2.525 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@H]1F)c1c(F)cccc1[N+](=O)[O-] ZINC000601623125 350749688 /nfs/dbraw/zinc/74/96/88/350749688.db2.gz ANXFZCGGNQKSPF-PSASIEDQSA-N 0 0 284.262 2.744 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1c(F)cccc1[N+](=O)[O-] ZINC000601632788 350750762 /nfs/dbraw/zinc/75/07/62/350750762.db2.gz JJWZMVSVVGZANJ-YWVKMMECSA-N 0 0 278.283 2.652 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1c(F)cccc1[N+](=O)[O-] ZINC000601704939 350764755 /nfs/dbraw/zinc/76/47/55/350764755.db2.gz NIGSERRZCXYESQ-LLVKDONJSA-N 0 0 278.283 2.796 20 5 CFBDRN CC(C)Oc1cc(C(=O)NCC(C)(C)F)ccc1[N+](=O)[O-] ZINC000601687367 350759280 /nfs/dbraw/zinc/75/92/80/350759280.db2.gz CKRIZBYZEAKVIA-UHFFFAOYSA-N 0 0 298.314 2.860 20 5 CFBDRN CCc1ccc(C(=O)NCC(C)(C)F)cc1[N+](=O)[O-] ZINC000601687733 350759500 /nfs/dbraw/zinc/75/95/00/350759500.db2.gz JEPDETLHZQJCHV-UHFFFAOYSA-N 0 0 268.288 2.635 20 5 CFBDRN C[C@@H](C(=O)NCC(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000601689063 350760615 /nfs/dbraw/zinc/76/06/15/350760615.db2.gz XXROTVIHAUXUMO-SECBINFHSA-N 0 0 268.288 2.563 20 5 CFBDRN Cc1noc(C)c1CNCc1csc([N+](=O)[O-])c1 ZINC000111158572 186362259 /nfs/dbraw/zinc/36/22/59/186362259.db2.gz SBXRMRSMTJCZDN-UHFFFAOYSA-N 0 0 267.310 2.551 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@H]2C1 ZINC000601306141 350720982 /nfs/dbraw/zinc/72/09/82/350720982.db2.gz BENXEQIIYSXNKI-UWVGGRQHSA-N 0 0 278.283 2.606 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC2(CCC2)C1 ZINC000601310609 350720998 /nfs/dbraw/zinc/72/09/98/350720998.db2.gz REWJGRIMDDJINT-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN O=C(N[C@H]1CCC(F)(F)C1)c1c(F)cccc1[N+](=O)[O-] ZINC000601330087 350724429 /nfs/dbraw/zinc/72/44/29/350724429.db2.gz QGUAZUFBENLLNS-ZETCQYMHSA-N 0 0 288.225 2.652 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000601411136 350730879 /nfs/dbraw/zinc/73/08/79/350730879.db2.gz OFYSQFRNVDPILO-VXGBXAGGSA-N 0 0 299.330 2.971 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCCC2(CC2)C1 ZINC000601458510 350733754 /nfs/dbraw/zinc/73/37/54/350733754.db2.gz GUWKEVZIDZXCJD-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccc3nccnc3n2)c1 ZINC000111144073 186358746 /nfs/dbraw/zinc/35/87/46/186358746.db2.gz UXBUYNBUGDIZPK-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CC1CSC1 ZINC000601586919 350742875 /nfs/dbraw/zinc/74/28/75/350742875.db2.gz NAVLOXWBCLEAMF-UHFFFAOYSA-N 0 0 280.349 2.903 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1ccc(F)cc1[N+](=O)[O-] ZINC000601706005 350765784 /nfs/dbraw/zinc/76/57/84/350765784.db2.gz QSTCMJJYMLBFAH-GFCCVEGCSA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1scc(CNC(=O)c2ccc([N+](=O)[O-])n2C)c1C ZINC000601744907 350770766 /nfs/dbraw/zinc/77/07/66/350770766.db2.gz PMUAGCMKKGDTQW-UHFFFAOYSA-N 0 0 293.348 2.542 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000601768830 350773393 /nfs/dbraw/zinc/77/33/93/350773393.db2.gz OPCBMFJNEFBYLK-NQBHXWOUSA-N 0 0 292.335 2.507 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCCC2)c1csc([N+](=O)[O-])c1 ZINC000601778363 350775045 /nfs/dbraw/zinc/77/50/45/350775045.db2.gz VSFFQDRODZEUNI-CABZTGNLSA-N 0 0 266.322 2.719 20 5 CFBDRN C[C@@H](CN(C)c1ncc([N+](=O)[O-])cn1)C(C)(C)C ZINC000601979993 350822660 /nfs/dbraw/zinc/82/26/60/350822660.db2.gz AEVZFHHCVKGMPX-VIFPVBQESA-N 0 0 252.318 2.503 20 5 CFBDRN Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])c(C)s2)o1 ZINC000601853649 350792189 /nfs/dbraw/zinc/79/21/89/350792189.db2.gz AMVNMSVKUHQMHA-UHFFFAOYSA-N 0 0 280.305 2.796 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C)CC2CCC2)ccc1[N+](=O)[O-] ZINC000601868069 350794452 /nfs/dbraw/zinc/79/44/52/350794452.db2.gz FETPDPJXXMLHFY-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC(C)(F)CC1 ZINC000601866342 350794679 /nfs/dbraw/zinc/79/46/79/350794679.db2.gz BQTCLHGRJWYJBD-UHFFFAOYSA-N 0 0 280.299 2.867 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(C)(F)CC1 ZINC000601866227 350794688 /nfs/dbraw/zinc/79/46/88/350794688.db2.gz AEKBWQQGUPAQKX-UHFFFAOYSA-N 0 0 296.298 2.568 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000601872341 350796254 /nfs/dbraw/zinc/79/62/54/350796254.db2.gz YAKYKJXESHIBNR-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN COC(C)(C)CCSCCn1c(C)ncc1[N+](=O)[O-] ZINC000601916863 350801531 /nfs/dbraw/zinc/80/15/31/350801531.db2.gz BLIKNMWEBFWSQP-UHFFFAOYSA-N 0 0 287.385 2.648 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2COC3(CCCC3)O2)cc1 ZINC000271412107 191122761 /nfs/dbraw/zinc/12/27/61/191122761.db2.gz YVSOZVYLUFEVKA-CYBMUJFWSA-N 0 0 278.308 2.692 20 5 CFBDRN CCC[C@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2)N=C1C ZINC000601935100 350807689 /nfs/dbraw/zinc/80/76/89/350807689.db2.gz PMEDOBMTWWOYMK-GFCCVEGCSA-N 0 0 261.281 2.734 20 5 CFBDRN CC(C)=C(C)CC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000602071821 350837670 /nfs/dbraw/zinc/83/76/70/350837670.db2.gz GUJJFSRULWGTGA-UHFFFAOYSA-N 0 0 262.309 2.957 20 5 CFBDRN C[C@@H](CCc1ccccc1[N+](=O)[O-])[NH2+]CCCCC(=O)[O-] ZINC000602064840 350837804 /nfs/dbraw/zinc/83/78/04/350837804.db2.gz JHXWXHPJOQRGNW-LBPRGKRZSA-N 0 0 294.351 2.760 20 5 CFBDRN CCc1ccc(C(=O)N2OC3CCC2CC3)cc1[N+](=O)[O-] ZINC000602212269 350852241 /nfs/dbraw/zinc/85/22/41/350852241.db2.gz QNLXATLHFHFTBI-UHFFFAOYSA-N 0 0 290.319 2.856 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000602227851 350854437 /nfs/dbraw/zinc/85/44/37/350854437.db2.gz GANKYLQQAJSVPU-QISWUMQESA-N 0 0 278.308 2.593 20 5 CFBDRN CCO[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC)C(C)C ZINC000602233449 350854826 /nfs/dbraw/zinc/85/48/26/350854826.db2.gz ZYUDRFKJTPFVNH-ZDUSSCGKSA-N 0 0 296.323 2.603 20 5 CFBDRN CC[C@@H](NC(=O)N1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000602272847 350857774 /nfs/dbraw/zinc/85/77/74/350857774.db2.gz KARGHBANQJRETP-CYBMUJFWSA-N 0 0 275.308 2.627 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000602282242 350858531 /nfs/dbraw/zinc/85/85/31/350858531.db2.gz YYSCOXFJDIFZTR-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN CCO[C@@H](C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000602303259 350861850 /nfs/dbraw/zinc/86/18/50/350861850.db2.gz SFCUYVFHPVBJQU-CYBMUJFWSA-N 0 0 280.324 2.903 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111275670 186386230 /nfs/dbraw/zinc/38/62/30/186386230.db2.gz JRHNDQJHWIPHQV-AAEUAGOBSA-N 0 0 294.351 2.603 20 5 CFBDRN COc1cc(C(=O)N(C2CC2)C2CC2)c([N+](=O)[O-])cc1F ZINC000602391283 350873461 /nfs/dbraw/zinc/87/34/61/350873461.db2.gz RMVMAGDNUPNANY-UHFFFAOYSA-N 0 0 294.282 2.510 20 5 CFBDRN CC[C@@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)C(F)F ZINC000602673396 350971505 /nfs/dbraw/zinc/97/15/05/350971505.db2.gz UCUFQMLKJDJDCM-MRVPVSSYSA-N 0 0 285.250 2.869 20 5 CFBDRN C[C@H](c1ccc(F)cc1)N(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602760056 351016101 /nfs/dbraw/zinc/01/61/01/351016101.db2.gz VPFWTTQJHLANON-SNVBAGLBSA-N 0 0 292.314 2.660 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1cccc(C)c1C ZINC000602769697 351019583 /nfs/dbraw/zinc/01/95/83/351019583.db2.gz VNGAWHXKKOPDAT-UHFFFAOYSA-N 0 0 288.351 2.853 20 5 CFBDRN C[C@H](NCc1cn(C)nc1[N+](=O)[O-])c1ccccc1Cl ZINC000602782629 351023513 /nfs/dbraw/zinc/02/35/13/351023513.db2.gz IPODBBBBRSCOPS-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)CC2CC2)cc([N+](=O)[O-])c1 ZINC000112606194 186509064 /nfs/dbraw/zinc/50/90/64/186509064.db2.gz AZNWNEIPONNQQL-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN CC(C)N(Cc1cn(C)nc1[N+](=O)[O-])c1ccccc1 ZINC000602790111 351027223 /nfs/dbraw/zinc/02/72/23/351027223.db2.gz AWHYYMIURYOXJJ-UHFFFAOYSA-N 0 0 274.324 2.743 20 5 CFBDRN Cc1cc([C@@H](C)NCc2cn(C)nc2[N+](=O)[O-])c(C)s1 ZINC000602797912 351029045 /nfs/dbraw/zinc/02/90/45/351029045.db2.gz CYPYIHGNUGXWTB-SECBINFHSA-N 0 0 294.380 2.857 20 5 CFBDRN CCN(C(=O)Nc1c(C)cncc1[N+](=O)[O-])C1CCCC1 ZINC000602960142 351072306 /nfs/dbraw/zinc/07/23/06/351072306.db2.gz JIOCQZMWMLPIFX-UHFFFAOYSA-N 0 0 292.339 2.517 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC(C(F)(F)F)C1 ZINC000602959479 351072538 /nfs/dbraw/zinc/07/25/38/351072538.db2.gz OCVWNNCTJPAOTK-UHFFFAOYSA-N 0 0 289.213 2.621 20 5 CFBDRN COc1cc(CN2CCCCCO2)c([N+](=O)[O-])cc1F ZINC000603009743 351090249 /nfs/dbraw/zinc/09/02/49/351090249.db2.gz YXNBKLMKZYJMKE-UHFFFAOYSA-N 0 0 284.287 2.660 20 5 CFBDRN COc1cc(CN2OC3CCC2CC3)c([N+](=O)[O-])cc1F ZINC000603033179 351093360 /nfs/dbraw/zinc/09/33/60/351093360.db2.gz WWHNGXFCQHYAIJ-UHFFFAOYSA-N 0 0 296.298 2.801 20 5 CFBDRN Cc1ccc(NCc2cn(C3CCC3)nn2)c([N+](=O)[O-])c1 ZINC000603403561 351157711 /nfs/dbraw/zinc/15/77/11/351157711.db2.gz IYFJSRNFIHFOAJ-UHFFFAOYSA-N 0 0 287.323 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOCC2CC2)s1 ZINC000603937438 351192841 /nfs/dbraw/zinc/19/28/41/351192841.db2.gz VWOIHKAMXIYQJI-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN O=C(Nc1ccccc1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000603940331 351192960 /nfs/dbraw/zinc/19/29/60/351192960.db2.gz ZKWPCEOYSYNYAG-UHFFFAOYSA-N 0 0 286.243 2.576 20 5 CFBDRN Cc1ccc(OCc2cn(C)nc2[N+](=O)[O-])c(Cl)c1 ZINC000603419225 351160334 /nfs/dbraw/zinc/16/03/34/351160334.db2.gz BFKZLFFLOVPDCD-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=[N+]([O-])c1cnc(NC23CC(c4ccccc4)(C2)C3)nc1 ZINC000603421637 351161105 /nfs/dbraw/zinc/16/11/05/351161105.db2.gz XITAYUFRDUGTHW-UHFFFAOYSA-N 0 0 282.303 2.671 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)N[C@H](C)C(F)F ZINC000603431406 351162880 /nfs/dbraw/zinc/16/28/80/351162880.db2.gz WTXXHXKDDDMEHX-HTQZYQBOSA-N 0 0 274.267 2.908 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000603601106 351175653 /nfs/dbraw/zinc/17/56/53/351175653.db2.gz GYQSTZFUPRBJMZ-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000603624053 351176463 /nfs/dbraw/zinc/17/64/63/351176463.db2.gz QNFYHXWPYQQBBM-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)OCC(C)C)c([N+](=O)[O-])c1 ZINC000603950926 351193995 /nfs/dbraw/zinc/19/39/95/351193995.db2.gz RLMUHZRXVMLTEX-JTQLQIEISA-N 0 0 296.323 2.603 20 5 CFBDRN Cc1ccccc1OCCN(C)c1cccnc1[N+](=O)[O-] ZINC000603844659 351185517 /nfs/dbraw/zinc/18/55/17/351185517.db2.gz ZCIQOYXUWIPXNM-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN COCCOc1ccc(Nc2cccnc2[N+](=O)[O-])cc1 ZINC000603855028 351186380 /nfs/dbraw/zinc/18/63/80/351186380.db2.gz ZOBOAKSNIWXKPS-UHFFFAOYSA-N 0 0 289.291 2.759 20 5 CFBDRN COc1ccc(SCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000603306301 351134947 /nfs/dbraw/zinc/13/49/47/351134947.db2.gz BTFDOUHCINQUQW-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN CCC[C@@H](C)CCCn1ccc(N)c([N+](=O)[O-])c1=O ZINC000603345284 351144118 /nfs/dbraw/zinc/14/41/18/351144118.db2.gz TXPVHFZDAFUXOA-SNVBAGLBSA-N 0 0 267.329 2.555 20 5 CFBDRN C[C@H](CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CC1 ZINC000604398230 351210962 /nfs/dbraw/zinc/21/09/62/351210962.db2.gz CGGCCMOMDBSOJD-SECBINFHSA-N 0 0 287.319 2.852 20 5 CFBDRN C[C@H](CNC(=O)CCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000604395826 351210993 /nfs/dbraw/zinc/21/09/93/351210993.db2.gz CTRDRAFGZGYDJY-LLVKDONJSA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H]1C ZINC000604397949 351211001 /nfs/dbraw/zinc/21/10/01/351211001.db2.gz QBVAZEVTPNCBCD-NXEZZACHSA-N 0 0 280.349 2.696 20 5 CFBDRN CC(C)CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000117565136 186752778 /nfs/dbraw/zinc/75/27/78/186752778.db2.gz DLBPZGJVJWPFSH-UHFFFAOYSA-N 0 0 270.716 2.563 20 5 CFBDRN CCC[C@H]1SCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000118649518 186779199 /nfs/dbraw/zinc/77/91/99/186779199.db2.gz AUCVXRCQBLOBDK-GFCCVEGCSA-N 0 0 280.349 2.910 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CCCOC ZINC000291371290 198003316 /nfs/dbraw/zinc/00/33/16/198003316.db2.gz DCKGYJKLUBXROK-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN Cn1ccc(CSCc2cccc([N+](=O)[O-])c2)cc1=O ZINC000609387050 351500365 /nfs/dbraw/zinc/50/03/65/351500365.db2.gz HWOJATOANRNFHQ-UHFFFAOYSA-N 0 0 290.344 2.727 20 5 CFBDRN CC[C@H]1CN(c2ccsc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000609513168 351504568 /nfs/dbraw/zinc/50/45/68/351504568.db2.gz HHJIGYIFPKJWRV-BDAKNGLRSA-N 0 0 256.327 2.660 20 5 CFBDRN CN(CC1CCC1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000609531310 351505770 /nfs/dbraw/zinc/50/57/70/351505770.db2.gz QGITXSJUHADGIZ-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC(C)(C)[C@@H]1c1ccccc1 ZINC000609586356 351511145 /nfs/dbraw/zinc/51/11/45/351511145.db2.gz RIDWLLQLMILYOW-LBPRGKRZSA-N 0 0 286.335 2.916 20 5 CFBDRN Cc1cccc2c1CCCN2C(=O)c1cc([N+](=O)[O-])cn1C ZINC000609621628 351512205 /nfs/dbraw/zinc/51/22/05/351512205.db2.gz POOHUXRTSHTZLY-UHFFFAOYSA-N 0 0 299.330 2.835 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@](C)(CC)OC ZINC000291392099 198008944 /nfs/dbraw/zinc/00/89/44/198008944.db2.gz GOOUKBYTVRGVBD-CQSZACIVSA-N 0 0 280.324 2.911 20 5 CFBDRN C[C@H](C[C@H]1CCCO1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000608831586 351478669 /nfs/dbraw/zinc/47/86/69/351478669.db2.gz OVZVDGFVCPZBOU-RKDXNWHRSA-N 0 0 296.352 2.674 20 5 CFBDRN C[C@@H](C[C@@H]1CCCO1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000608831585 351479028 /nfs/dbraw/zinc/47/90/28/351479028.db2.gz OVZVDGFVCPZBOU-IUCAKERBSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1c(NC(=O)C2(C)CCOCC2)cccc1[N+](=O)[O-] ZINC000608846503 351479749 /nfs/dbraw/zinc/47/97/49/351479749.db2.gz MKPBUNQLEQZSIY-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CCC[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccn1 ZINC000609179759 351490819 /nfs/dbraw/zinc/49/08/19/351490819.db2.gz RTJXQEXRGOHJQY-GFCCVEGCSA-N 0 0 288.307 2.589 20 5 CFBDRN C[C@H]1c2ccccc2OCCN1c1ccc([N+](=O)[O-])nc1 ZINC000609796993 351519658 /nfs/dbraw/zinc/51/96/58/351519658.db2.gz IQRLDIAIBOKEMO-NSHDSACASA-N 0 0 285.303 2.950 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ccc(-n2cccn2)cc1 ZINC000609965176 351528609 /nfs/dbraw/zinc/52/86/09/351528609.db2.gz GJYDSJMFAPHJLT-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000610126488 351534783 /nfs/dbraw/zinc/53/47/83/351534783.db2.gz NTAFKTIIMIJGBS-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN CC(C)OCCCN(C)c1ccsc1[N+](=O)[O-] ZINC000610133752 351536015 /nfs/dbraw/zinc/53/60/15/351536015.db2.gz RIEZMLGUXIBGCX-UHFFFAOYSA-N 0 0 258.343 2.908 20 5 CFBDRN Cc1cc(C(=O)N(C(C)C)[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000291453018 198025661 /nfs/dbraw/zinc/02/56/61/198025661.db2.gz CMGVPNLXJKWTFX-ZDUSSCGKSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](C)C2CC2)c1 ZINC000610225592 351541587 /nfs/dbraw/zinc/54/15/87/351541587.db2.gz OWVRDDVHDORBSO-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CSc1cnccn1 ZINC000610247618 351544246 /nfs/dbraw/zinc/54/42/46/351544246.db2.gz MEFDZIFAZXHKIM-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H](O)C2CCCCC2)c1 ZINC000610275957 351546487 /nfs/dbraw/zinc/54/64/87/351546487.db2.gz MBUFPDQGXOUPEW-OAHLLOKOSA-N 0 0 294.351 2.957 20 5 CFBDRN Nc1nc(N[C@@H](CC2CC2)c2ccccc2)ncc1[N+](=O)[O-] ZINC000610280992 351549243 /nfs/dbraw/zinc/54/92/43/351549243.db2.gz UCDPEXAULXKXKY-LBPRGKRZSA-N 0 0 299.334 2.751 20 5 CFBDRN CC(C)C(C)(C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000609716830 351517887 /nfs/dbraw/zinc/51/78/87/351517887.db2.gz YMIVFRJPSXVILT-UHFFFAOYSA-N 0 0 293.367 2.871 20 5 CFBDRN C[C@H]1CN(c2ccsc2[N+](=O)[O-])C[C@H](C(F)(F)F)O1 ZINC000610412162 351564884 /nfs/dbraw/zinc/56/48/84/351564884.db2.gz QHIXWMXIBIXWTM-POYBYMJQSA-N 0 0 296.270 2.812 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000610434101 351566743 /nfs/dbraw/zinc/56/67/43/351566743.db2.gz YIDVAKOGPGEJKZ-SNVBAGLBSA-N 0 0 276.336 2.933 20 5 CFBDRN CN(C)c1nc(CNc2ccsc2[N+](=O)[O-])cs1 ZINC000610469512 351567741 /nfs/dbraw/zinc/56/77/41/351567741.db2.gz XMWPPVZCZOJRRD-UHFFFAOYSA-N 0 0 284.366 2.791 20 5 CFBDRN Cc1cc(C)c(CNc2ccc([N+](=O)[O-])s2)c(=O)[nH]1 ZINC000610514421 351575339 /nfs/dbraw/zinc/57/53/39/351575339.db2.gz QZVWDIXHAMKLCS-UHFFFAOYSA-N 0 0 279.321 2.986 20 5 CFBDRN Cc1noc(C)c1N(C)CCOc1ccc([N+](=O)[O-])cc1 ZINC000610539120 351578873 /nfs/dbraw/zinc/57/88/73/351578873.db2.gz LNHQNARBMAKFIF-UHFFFAOYSA-N 0 0 291.307 2.715 20 5 CFBDRN COC[C@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000610627763 351586440 /nfs/dbraw/zinc/58/64/40/351586440.db2.gz VICQMUSBTYIZBM-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])s2)CCOCC1 ZINC000610651826 351588438 /nfs/dbraw/zinc/58/84/38/351588438.db2.gz NHMRXVHAPAELSK-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN COc1ccc(CN(C)Cc2cccc([N+](=O)[O-])c2)cn1 ZINC000610662306 351589114 /nfs/dbraw/zinc/58/91/14/351589114.db2.gz HNDBJLGYUQXFCL-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN Cc1nn(C)cc1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000610664667 351590246 /nfs/dbraw/zinc/59/02/46/351590246.db2.gz LLDRVOCGPBQNFJ-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CCC[C@H](C)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000610665334 351590366 /nfs/dbraw/zinc/59/03/66/351590366.db2.gz SOUQIMMIWWKVFB-NSHDSACASA-N 0 0 264.325 2.832 20 5 CFBDRN Cc1cccc(C(=O)NC2(C(F)(F)F)CC2)c1[N+](=O)[O-] ZINC000610705608 351594492 /nfs/dbraw/zinc/59/44/92/351594492.db2.gz BYKJJJNGFCQUNN-UHFFFAOYSA-N 0 0 288.225 2.728 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CCC2CCOCC2)c1 ZINC000610709135 351595329 /nfs/dbraw/zinc/59/53/29/351595329.db2.gz SCPCCOJFZMHOQU-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN CC1(C)CN(CCn2cc([N+](=O)[O-])cn2)c2ccccc21 ZINC000610710773 351595396 /nfs/dbraw/zinc/59/53/96/351595396.db2.gz QHPFHMYJPSEBNY-UHFFFAOYSA-N 0 0 286.335 2.589 20 5 CFBDRN Cc1nn(C)c(NCC(C)(C)[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000610716737 351596068 /nfs/dbraw/zinc/59/60/68/351596068.db2.gz POOZEOKTBNUHCT-NSHDSACASA-N 0 0 296.371 2.644 20 5 CFBDRN COc1cc(N[C@H]2C[C@H](OC)C2(C)C)c([N+](=O)[O-])cc1F ZINC000610716191 351596437 /nfs/dbraw/zinc/59/64/37/351596437.db2.gz VKHCZLFKGJRHKT-STQMWFEESA-N 0 0 298.314 2.968 20 5 CFBDRN Cc1cc(N2CC(CC(F)(F)F)C2)ncc1[N+](=O)[O-] ZINC000610735178 351600422 /nfs/dbraw/zinc/60/04/22/351600422.db2.gz GZCMSZMKLUGKCM-UHFFFAOYSA-N 0 0 275.230 2.687 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)C[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000610741009 351602278 /nfs/dbraw/zinc/60/22/78/351602278.db2.gz IRZUFFWMQTXCEI-WCBMZHEXSA-N 0 0 298.364 2.510 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CC12CCC2 ZINC000610748765 351603341 /nfs/dbraw/zinc/60/33/41/351603341.db2.gz LMRJTPYCBPYNIG-VIFPVBQESA-N 0 0 264.256 2.863 20 5 CFBDRN Cc1cncc(C)c1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000610752999 351603598 /nfs/dbraw/zinc/60/35/98/351603598.db2.gz CFJHXFLZGCXHEM-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1nc(N2CCNc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000610754683 351604322 /nfs/dbraw/zinc/60/43/22/351604322.db2.gz PGAZPMIDSOVMLK-UHFFFAOYSA-N 0 0 284.319 2.730 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)c2ccccc21 ZINC000610770624 351606511 /nfs/dbraw/zinc/60/65/11/351606511.db2.gz IKCCYUYRZTXKBH-SNVBAGLBSA-N 0 0 283.287 2.754 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000610790468 351608875 /nfs/dbraw/zinc/60/88/75/351608875.db2.gz LMVUPEMVCUHHNT-JTQLQIEISA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC(F)(F)C2)c1 ZINC000610792417 351609698 /nfs/dbraw/zinc/60/96/98/351609698.db2.gz UXVVXSHARCEBBR-VIFPVBQESA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1ccc(C(=O)N2CC(C(C)C)C2)cc1[N+](=O)[O-] ZINC000611078154 351638319 /nfs/dbraw/zinc/63/83/19/351638319.db2.gz BCEKQWDFEKCZME-UHFFFAOYSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1ccc(CC(=O)N2CCc3cc([N+](=O)[O-])ccc32)o1 ZINC000611088626 351639358 /nfs/dbraw/zinc/63/93/58/351639358.db2.gz ZEEGCCUEGJQVDG-UHFFFAOYSA-N 0 0 286.287 2.628 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])cc2C)nn1C ZINC000611112440 351641175 /nfs/dbraw/zinc/64/11/75/351641175.db2.gz MCKQGNFRZGRRND-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN C[C@H](NC(=O)c1csc([N+](=O)[O-])c1)C1CCC1 ZINC000611121840 351642771 /nfs/dbraw/zinc/64/27/71/351642771.db2.gz AQYRARXPJDFMMH-ZETCQYMHSA-N 0 0 254.311 2.575 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)C1CCC1 ZINC000611124526 351643028 /nfs/dbraw/zinc/64/30/28/351643028.db2.gz FJKLCJOCIBKZIT-VIFPVBQESA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cc(C)ncn2)c1 ZINC000611132866 351643671 /nfs/dbraw/zinc/64/36/71/351643671.db2.gz BLNHMWWJZWOOTR-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])c(C)c2)ncn1 ZINC000611133021 351643788 /nfs/dbraw/zinc/64/37/88/351643788.db2.gz VGOAATGWJPCQNI-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CCC[C@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000611144056 351645156 /nfs/dbraw/zinc/64/51/56/351645156.db2.gz AAOHYTMFJOQSNI-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@H](CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CC1 ZINC000611195480 351651477 /nfs/dbraw/zinc/65/14/77/351651477.db2.gz JRXQQJYRKYIXTH-SECBINFHSA-N 0 0 287.319 2.852 20 5 CFBDRN C[C@H](CO)COc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000611225894 351653487 /nfs/dbraw/zinc/65/34/87/351653487.db2.gz HQRVLBPNAISCBU-SSDOTTSWSA-N 0 0 279.214 2.621 20 5 CFBDRN Cc1cc(COc2c(Cl)cccc2[N+](=O)[O-])nn1C ZINC000610857385 351614701 /nfs/dbraw/zinc/61/47/01/351614701.db2.gz OYEVBDMWBRZDNF-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1CCOC2(CCCCC2)C1 ZINC000610856315 351614955 /nfs/dbraw/zinc/61/49/55/351614955.db2.gz SYSJYAUAEYXCGQ-LBPRGKRZSA-N 0 0 291.351 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCOC[C@@H]2C)c1 ZINC000610946575 351623250 /nfs/dbraw/zinc/62/32/50/351623250.db2.gz GHPPHIUMOIQSDB-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000611377889 351677896 /nfs/dbraw/zinc/67/78/96/351677896.db2.gz ALRFWFOMGRZXTQ-CMPLNLGQSA-N 0 0 274.320 2.962 20 5 CFBDRN CCc1nc(C)c(CNc2ncc([N+](=O)[O-])cc2C)o1 ZINC000291612243 198050062 /nfs/dbraw/zinc/05/00/62/198050062.db2.gz ADPYMNTXCQYIJE-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN CC1(C)CN(c2sccc2[N+](=O)[O-])CCCO1 ZINC000611412040 351684940 /nfs/dbraw/zinc/68/49/40/351684940.db2.gz PFXXUIZMMUZKHJ-UHFFFAOYSA-N 0 0 256.327 2.662 20 5 CFBDRN COc1cc(CNc2sccc2[N+](=O)[O-])ccc1O ZINC000611411703 351685127 /nfs/dbraw/zinc/68/51/27/351685127.db2.gz TVNNOHQDSLPBKU-UHFFFAOYSA-N 0 0 280.305 2.983 20 5 CFBDRN C[C@@H]1CN(c2sccc2[N+](=O)[O-])C[C@@H](C(F)(F)F)O1 ZINC000611412934 351685967 /nfs/dbraw/zinc/68/59/67/351685967.db2.gz QFHWTINNOFPDCZ-SVRRBLITSA-N 0 0 296.270 2.812 20 5 CFBDRN C[C@@H]1CN(c2sccc2[N+](=O)[O-])C[C@H](C(F)(F)F)O1 ZINC000611412926 351685974 /nfs/dbraw/zinc/68/59/74/351685974.db2.gz QFHWTINNOFPDCZ-HTRCEHHLSA-N 0 0 296.270 2.812 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCO[C@@H](c2ccco2)C1 ZINC000611413441 351686780 /nfs/dbraw/zinc/68/67/80/351686780.db2.gz SEUHLBOTYXPLTL-LLVKDONJSA-N 0 0 280.305 2.827 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1CCOC2(CCOCC2)C1 ZINC000611413711 351686988 /nfs/dbraw/zinc/68/69/88/351686988.db2.gz OAZMGQDCIOGBFS-SNVBAGLBSA-N 0 0 298.364 2.796 20 5 CFBDRN CCC1(CO)CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000611414257 351687050 /nfs/dbraw/zinc/68/70/50/351687050.db2.gz NLWAKCSMYXLSSW-UHFFFAOYSA-N 0 0 270.354 2.645 20 5 CFBDRN COC1(C)CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000611414358 351687185 /nfs/dbraw/zinc/68/71/85/351687185.db2.gz WQQMDXFBHMJUGI-UHFFFAOYSA-N 0 0 256.327 2.662 20 5 CFBDRN CC(C)[C@@H](O)C1(CNc2ccc([N+](=O)[O-])s2)CC1 ZINC000611415127 351687332 /nfs/dbraw/zinc/68/73/32/351687332.db2.gz DMVMWAGZLGPASX-LLVKDONJSA-N 0 0 270.354 2.865 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@@H](F)C2)c(F)cc1[N+](=O)[O-] ZINC000611494158 351696611 /nfs/dbraw/zinc/69/66/11/351696611.db2.gz FBFLGXHXGMBOQK-WPRPVWTQSA-N 0 0 286.278 2.927 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H]1F ZINC000611494209 351696823 /nfs/dbraw/zinc/69/68/23/351696823.db2.gz HDLRRZZRLJCKNK-XCBNKYQSSA-N 0 0 273.695 2.828 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000611494635 351697513 /nfs/dbraw/zinc/69/75/13/351697513.db2.gz WLTWLTBBGMUCJP-MWLCHTKSSA-N 0 0 268.288 2.788 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611505902 351701125 /nfs/dbraw/zinc/70/11/25/351701125.db2.gz FRUGYPKCVDGYJP-RISCZKNCSA-N 0 0 294.326 2.734 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1N1CC=CCC1 ZINC000611510102 351701703 /nfs/dbraw/zinc/70/17/03/351701703.db2.gz PXLPRUUQSSEPIO-UHFFFAOYSA-N 0 0 284.113 2.519 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CN2CC=CCC2)c1 ZINC000611521212 351703573 /nfs/dbraw/zinc/70/35/73/351703573.db2.gz WBKPDYJNUACBFL-UHFFFAOYSA-N 0 0 284.262 2.958 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000611289961 351664567 /nfs/dbraw/zinc/66/45/67/351664567.db2.gz IFBGNHQQNDZNTH-SECBINFHSA-N 0 0 278.337 2.763 20 5 CFBDRN Cc1ccncc1[C@@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000611300737 351666229 /nfs/dbraw/zinc/66/62/29/351666229.db2.gz WZCWQAFRJZDNOK-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC(Cc2cccnc2)CC1 ZINC000611298726 351666261 /nfs/dbraw/zinc/66/62/61/351666261.db2.gz RKGPRCMBBMBNEC-UHFFFAOYSA-N 0 0 298.346 2.844 20 5 CFBDRN Cc1nn(C)c(N[C@H](C)c2cnccc2C)c1[N+](=O)[O-] ZINC000611299036 351666433 /nfs/dbraw/zinc/66/64/33/351666433.db2.gz JKIDEMBHEUUKJR-SECBINFHSA-N 0 0 275.312 2.513 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@H](COc2ccccc2)C1 ZINC000611540944 351705792 /nfs/dbraw/zinc/70/57/92/351705792.db2.gz SXCFYRFMMNIWIM-ZDUSSCGKSA-N 0 0 299.330 2.895 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000611339114 351670707 /nfs/dbraw/zinc/67/07/07/351670707.db2.gz ITTMGSGKXAXYMH-QWHCGFSZSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccccc1-n1ccnc1 ZINC000611370884 351676557 /nfs/dbraw/zinc/67/65/57/351676557.db2.gz OWBMNWJJQURAGO-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN C[C@H]1C[C@H](C)N(c2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000611705056 351726157 /nfs/dbraw/zinc/72/61/57/351726157.db2.gz DQUBKHUXXRPMDB-UWVGGRQHSA-N 0 0 272.308 2.773 20 5 CFBDRN C[C@H]1CCCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611707833 351726990 /nfs/dbraw/zinc/72/69/90/351726990.db2.gz MIYUZNQURXKGQV-VIFPVBQESA-N 0 0 258.281 2.527 20 5 CFBDRN C[C@H]1CN(c2ncnc3ccc([N+](=O)[O-])cc32)C[C@H]1C ZINC000611705887 351727029 /nfs/dbraw/zinc/72/70/29/351727029.db2.gz IDVQXBRXMVQGIL-AOOOYVTPSA-N 0 0 272.308 2.630 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000611931428 351751944 /nfs/dbraw/zinc/75/19/44/351751944.db2.gz SJRXPODEFGTMNZ-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN COc1ccc(NC(=O)N(C)[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000611828199 351744101 /nfs/dbraw/zinc/74/41/01/351744101.db2.gz GPZADSGVOWNGCM-SECBINFHSA-N 0 0 293.323 2.866 20 5 CFBDRN CCC[C@@H](C)NC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000611828640 351744355 /nfs/dbraw/zinc/74/43/55/351744355.db2.gz DAISXVJDWJPBMH-SECBINFHSA-N 0 0 281.312 2.914 20 5 CFBDRN Cc1nccc(NC(=O)c2csc([N+](=O)[O-])c2)c1Cl ZINC000611909394 351750315 /nfs/dbraw/zinc/75/03/15/351750315.db2.gz BAANXUAATQKVFK-UHFFFAOYSA-N 0 0 297.723 2.687 20 5 CFBDRN CCOC1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000611616590 351714608 /nfs/dbraw/zinc/71/46/08/351714608.db2.gz FKARYERPGZQTQP-UHFFFAOYSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)[C@H]2CCCOC2)n1 ZINC000611617486 351715162 /nfs/dbraw/zinc/71/51/62/351715162.db2.gz BAEASJJZYWKDTG-NEPJUHHUSA-N 0 0 279.340 2.834 20 5 CFBDRN CSC[C@H]1CCCN1c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611617186 351715420 /nfs/dbraw/zinc/71/54/20/351715420.db2.gz NIIIBUPDFHEOQI-LLVKDONJSA-N 0 0 281.381 2.938 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](CO)CC2CCCC2)n1 ZINC000611617362 351715684 /nfs/dbraw/zinc/71/56/84/351715684.db2.gz JAUGCKNWNNLNAU-CYBMUJFWSA-N 0 0 293.367 2.960 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000612134140 351778738 /nfs/dbraw/zinc/77/87/38/351778738.db2.gz KZJPOAUJUNTUCE-RKLWJJNISA-N 0 0 290.363 2.667 20 5 CFBDRN CC(C)CCNC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000121806071 186997042 /nfs/dbraw/zinc/99/70/42/186997042.db2.gz PXRQMHQKYPSTBZ-UHFFFAOYSA-N 0 0 289.335 2.781 20 5 CFBDRN CC(C)OCCCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000291745170 198078022 /nfs/dbraw/zinc/07/80/22/198078022.db2.gz ZCTXOGBPWSTKFP-UHFFFAOYSA-N 0 0 290.319 2.725 20 5 CFBDRN C[C@H]1C[C@@H](c2cccnc2)N(c2cccnc2[N+](=O)[O-])C1 ZINC000612453169 351822794 /nfs/dbraw/zinc/82/27/94/351822794.db2.gz GLKLVVMXUPASFR-FZMZJTMJSA-N 0 0 284.319 2.972 20 5 CFBDRN Cc1c(Br)c(N2CC=CCC2)ncc1[N+](=O)[O-] ZINC000612449897 351822797 /nfs/dbraw/zinc/82/27/97/351822797.db2.gz GDSIFIBDLCGGSK-UHFFFAOYSA-N 0 0 298.140 2.827 20 5 CFBDRN Cc1cnc(N[C@H]2CCO[C@@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000271446488 191142395 /nfs/dbraw/zinc/14/23/95/191142395.db2.gz OYFYGQZLLJEFIJ-WCQYABFASA-N 0 0 279.340 2.914 20 5 CFBDRN CCCn1nccc1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000122016116 187010469 /nfs/dbraw/zinc/01/04/69/187010469.db2.gz IGHIAKHRJQUKGM-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN COC(=O)c1ccc(OCCCCC(C)=O)c([N+](=O)[O-])c1 ZINC000122101605 187015013 /nfs/dbraw/zinc/01/50/13/187015013.db2.gz YGIDFKYKUMMCCP-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CC(C)NC(=O)CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000122105218 187015574 /nfs/dbraw/zinc/01/55/74/187015574.db2.gz TWHZLYJAEUZBPV-UHFFFAOYSA-N 0 0 285.731 2.575 20 5 CFBDRN CC(C)(NC(=O)NCC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000291724838 198071418 /nfs/dbraw/zinc/07/14/18/198071418.db2.gz XDFUPZRMAIVKRS-UHFFFAOYSA-N 0 0 291.351 2.929 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1ncc([N+](=O)[O-])cn1)CC2 ZINC000612783638 351861525 /nfs/dbraw/zinc/86/15/25/351861525.db2.gz DDJUMAOWSHYZCY-ZDUSSCGKSA-N 0 0 270.292 2.793 20 5 CFBDRN CNC(=O)c1ccc(NCCC2CCC2)c([N+](=O)[O-])c1 ZINC000122979644 187064016 /nfs/dbraw/zinc/06/40/16/187064016.db2.gz ZDGPVXXUOUSSFQ-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124197180 187126295 /nfs/dbraw/zinc/12/62/95/187126295.db2.gz JRXGLHINHDCRNJ-BDAKNGLRSA-N 0 0 268.288 2.898 20 5 CFBDRN O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C1CC1 ZINC000123401335 187089699 /nfs/dbraw/zinc/08/96/99/187089699.db2.gz FTTPPIOGMHMLDE-UHFFFAOYSA-N 0 0 289.209 2.972 20 5 CFBDRN Cc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000123814833 187110512 /nfs/dbraw/zinc/11/05/12/187110512.db2.gz YRCUFXHZLHPDJQ-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[C@@H]1CCCCO1 ZINC000123902034 187114172 /nfs/dbraw/zinc/11/41/72/187114172.db2.gz FVCPYWVFSRGAOE-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CCCN(c1ncnc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000613283175 351932333 /nfs/dbraw/zinc/93/23/33/351932333.db2.gz UUEQIHFRPDCWGY-UHFFFAOYSA-N 0 0 272.308 2.917 20 5 CFBDRN COCC(C)(C)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000291931488 198109349 /nfs/dbraw/zinc/10/93/49/198109349.db2.gz SGDOXHDWJJUDMJ-UHFFFAOYSA-N 0 0 273.720 2.728 20 5 CFBDRN CCOc1cccc(N2CC[C@@](C)(F)C2)c1[N+](=O)[O-] ZINC000613047863 351894366 /nfs/dbraw/zinc/89/43/66/351894366.db2.gz UGOBFRQILWJIPB-CYBMUJFWSA-N 0 0 268.288 2.932 20 5 CFBDRN COC(=O)c1ccc(OCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000613109004 351898653 /nfs/dbraw/zinc/89/86/53/351898653.db2.gz CURDYVYJTUXYNU-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN COCC1(COc2ccc([N+](=O)[O-])cc2OC)CCC1 ZINC000291938942 198111431 /nfs/dbraw/zinc/11/14/31/198111431.db2.gz PQPWKBUGXGBWSY-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN Cc1cccc(OCc2nnc(C(C)C)o2)c1[N+](=O)[O-] ZINC000131283257 187555001 /nfs/dbraw/zinc/55/50/01/187555001.db2.gz QBUTWIIJXGHRNX-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN Cc1cnc(N[C@@H]2CCO[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000613185319 351911841 /nfs/dbraw/zinc/91/18/41/351911841.db2.gz SJAZSCKVKMJPMI-DGCLKSJQSA-N 0 0 277.324 2.668 20 5 CFBDRN CO[C@@H](C)c1noc(COc2ccc([N+](=O)[O-])cc2C)n1 ZINC000131421922 187564664 /nfs/dbraw/zinc/56/46/64/187564664.db2.gz WHPAEPMPGMHRGC-VIFPVBQESA-N 0 0 293.279 2.573 20 5 CFBDRN COC[C@H]1CCCCN(c2sccc2[N+](=O)[O-])C1 ZINC000613435982 351959597 /nfs/dbraw/zinc/95/95/97/351959597.db2.gz VHEJTVWYFDLXOY-JTQLQIEISA-N 0 0 270.354 2.909 20 5 CFBDRN COC[C@H]1CCCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000613435986 351959812 /nfs/dbraw/zinc/95/98/12/351959812.db2.gz XFLBCGQSQDGFGQ-JTQLQIEISA-N 0 0 270.354 2.909 20 5 CFBDRN COC(=O)[C@H](NCc1ccc([N+](=O)[O-])cc1C)C(C)(C)C ZINC000613540866 351976728 /nfs/dbraw/zinc/97/67/28/351976728.db2.gz CKWCUTMVMHFJFW-ZDUSSCGKSA-N 0 0 294.351 2.581 20 5 CFBDRN CC(C)[C@@H](CC(F)(F)F)Nc1ncc([N+](=O)[O-])cn1 ZINC000445665719 535170794 /nfs/dbraw/zinc/17/07/94/535170794.db2.gz RKEGRZDWJZEPQE-MRVPVSSYSA-N 0 0 278.234 2.774 20 5 CFBDRN CN(C(=O)c1coc(C2CC2)n1)c1ccc([N+](=O)[O-])cc1 ZINC000614395030 352088763 /nfs/dbraw/zinc/08/87/63/352088763.db2.gz IJXZMOBHZAXFPK-UHFFFAOYSA-N 0 0 287.275 2.737 20 5 CFBDRN CC(C)Oc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)ccn1 ZINC000614591627 352105429 /nfs/dbraw/zinc/10/54/29/352105429.db2.gz LSJDZZSWJDEFPP-UHFFFAOYSA-N 0 0 291.263 2.622 20 5 CFBDRN CO[C@@H]1CN(c2ccsc2[N+](=O)[O-])CC[C@@H]1C ZINC000615179178 352163503 /nfs/dbraw/zinc/16/35/03/352163503.db2.gz OZJALLANHGBGHO-WCBMZHEXSA-N 0 0 256.327 2.518 20 5 CFBDRN CCOc1cc(N2C[C@H](C)O[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000614980398 352139096 /nfs/dbraw/zinc/13/90/96/352139096.db2.gz PNIZTEUPSASBOF-SRVKXCTJSA-N 0 0 294.351 2.996 20 5 CFBDRN COC[C@@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000615037352 352146767 /nfs/dbraw/zinc/14/67/67/352146767.db2.gz FTNAHDDNIAULJN-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN O=c1[nH]cnc2ccc(-c3ccc([N+](=O)[O-])cc3)cc21 ZINC000615520910 352201866 /nfs/dbraw/zinc/20/18/66/352201866.db2.gz OUYPEKASNHKXRK-UHFFFAOYSA-N 0 0 267.244 2.911 20 5 CFBDRN Cc1cccc(C[C@@H](CO)Nc2ccc([N+](=O)[O-])s2)c1 ZINC000615528139 352204048 /nfs/dbraw/zinc/20/40/48/352204048.db2.gz YRVGJWBEXZEVHB-LBPRGKRZSA-N 0 0 292.360 2.980 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCc2c[nH]nc2C1 ZINC000615943529 352265350 /nfs/dbraw/zinc/26/53/50/352265350.db2.gz XSFPPFQHPUSYMT-UHFFFAOYSA-N 0 0 278.699 2.534 20 5 CFBDRN C[C@@H]1COCCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000615943463 352265468 /nfs/dbraw/zinc/26/54/68/352265468.db2.gz MGCYLVZTOWESGJ-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CC[C@H](C)C2)c1 ZINC000132987252 187659269 /nfs/dbraw/zinc/65/92/69/187659269.db2.gz BROLRZPYTRJYON-ONGXEEELSA-N 0 0 278.308 2.982 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000271494933 191172691 /nfs/dbraw/zinc/17/26/91/191172691.db2.gz WJNWCFHSCBLXHY-PWSUYJOCSA-N 0 0 262.309 2.998 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000292048978 198145787 /nfs/dbraw/zinc/14/57/87/198145787.db2.gz SIDXDMDXBGKDOU-VIFPVBQESA-N 0 0 282.344 2.531 20 5 CFBDRN CC[C@@]1(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCOC1 ZINC000616689985 352414592 /nfs/dbraw/zinc/41/45/92/352414592.db2.gz LITSVRKYFFBTCG-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN CCc1nnc([C@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)[nH]1 ZINC000271528069 191194819 /nfs/dbraw/zinc/19/48/19/191194819.db2.gz PGGRCLGDSKSXPH-ZJUUUORDSA-N 0 0 289.339 2.687 20 5 CFBDRN CS[C@@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000134189886 187732439 /nfs/dbraw/zinc/73/24/39/187732439.db2.gz RMFOEOPXLCGFQN-SECBINFHSA-N 0 0 284.337 2.546 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])c(C)cc2C)ncn1 ZINC000292120591 198171145 /nfs/dbraw/zinc/17/11/45/198171145.db2.gz RHJWVMUPFPZHJS-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C[C@@H](C(=O)N1CCC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000617734113 352631016 /nfs/dbraw/zinc/63/10/16/352631016.db2.gz CPNMWEXNGIHTNP-SNVBAGLBSA-N 0 0 262.309 2.709 20 5 CFBDRN C[C@H](C(=O)N1CCC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000617734112 352631297 /nfs/dbraw/zinc/63/12/97/352631297.db2.gz CPNMWEXNGIHTNP-JTQLQIEISA-N 0 0 262.309 2.709 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NOC1CCCC1 ZINC000135144096 187800536 /nfs/dbraw/zinc/80/05/36/187800536.db2.gz HYQKOXYWITYQQS-JXMROGBWSA-N 0 0 276.292 2.598 20 5 CFBDRN CCN(CC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271602929 191235484 /nfs/dbraw/zinc/23/54/84/191235484.db2.gz AAZOEEKWSFNCHN-SNVBAGLBSA-N 0 0 265.313 2.707 20 5 CFBDRN COc1cccc(C)c1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000618143311 352723173 /nfs/dbraw/zinc/72/31/73/352723173.db2.gz DRLCMIGSWXYISE-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC[C@@]1(C)CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CCO1 ZINC000135337371 187821079 /nfs/dbraw/zinc/82/10/79/187821079.db2.gz NGPRLMNTIQYCEW-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1ccc(CNc2sccc2[N+](=O)[O-])nc1 ZINC000618171331 352730098 /nfs/dbraw/zinc/73/00/98/352730098.db2.gz FKOJWRSPYLRGLT-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN Cc1cc(CN[C@@H]2CCCc3ccc([N+](=O)[O-])cc32)ncn1 ZINC000618572323 352814950 /nfs/dbraw/zinc/81/49/50/352814950.db2.gz TYINSGSZPBPMAG-MRXNPFEDSA-N 0 0 298.346 2.860 20 5 CFBDRN CCC(CC)(CNc1ccc([N+](=O)[O-])c(C)n1)OC ZINC000292173695 198191415 /nfs/dbraw/zinc/19/14/15/198191415.db2.gz DYRXWFMQMVLCJE-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN Cc1nc(C(=O)Nc2ccc([N+](=O)[O-])cc2)sc1C ZINC000618631245 352845478 /nfs/dbraw/zinc/84/54/78/352845478.db2.gz KQKQAISXPOAKPX-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CCc1nc(C)c(CNc2ccc([N+](=O)[O-])c(N)c2F)o1 ZINC000292179126 198192405 /nfs/dbraw/zinc/19/24/05/198192405.db2.gz FELPRPFPTHLAHP-UHFFFAOYSA-N 0 0 294.286 2.787 20 5 CFBDRN CC(=O)c1ccc(Nc2c[nH]nc2C)c([N+](=O)[O-])c1 ZINC000049469847 539947992 /nfs/dbraw/zinc/94/79/92/539947992.db2.gz XTRISJBCPUKFHE-UHFFFAOYSA-N 0 0 260.253 2.573 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000150820300 187929201 /nfs/dbraw/zinc/92/92/01/187929201.db2.gz JHOMPXSNDIIWOA-PHIMTYICSA-N 0 0 275.308 2.859 20 5 CFBDRN C[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000148464884 187917677 /nfs/dbraw/zinc/91/76/77/187917677.db2.gz IXAYIHWCEMYZLU-XCBNKYQSSA-N 0 0 271.704 2.623 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)C1CCC1 ZINC000619305721 352990786 /nfs/dbraw/zinc/99/07/86/352990786.db2.gz SQVPUAYSNKCJJR-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CCC1(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000150772527 187926054 /nfs/dbraw/zinc/92/60/54/187926054.db2.gz DMNRYOSYNLLCPR-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCCc2sccc21 ZINC000619557749 353036413 /nfs/dbraw/zinc/03/64/13/353036413.db2.gz WWZXKMXVCJAYMJ-UHFFFAOYSA-N 0 0 291.332 2.968 20 5 CFBDRN CC(C)(NC(=O)CCc1cccc([N+](=O)[O-])c1)C(F)F ZINC000619421761 353019695 /nfs/dbraw/zinc/01/96/95/353019695.db2.gz DNXLLAMASBLIPV-UHFFFAOYSA-N 0 0 286.278 2.687 20 5 CFBDRN CC(C)C1CN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000619431130 353022511 /nfs/dbraw/zinc/02/25/11/353022511.db2.gz IXKYCGBDOMNMTK-UHFFFAOYSA-N 0 0 252.289 2.822 20 5 CFBDRN Cc1cc(N(CC2CCC2)[C@H](C)CO)ccc1[N+](=O)[O-] ZINC000619569963 353036743 /nfs/dbraw/zinc/03/67/43/353036743.db2.gz BOTYFWYPODVSET-GFCCVEGCSA-N 0 0 278.352 2.891 20 5 CFBDRN O=C(CCC(F)F)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000619439229 353023858 /nfs/dbraw/zinc/02/38/58/353023858.db2.gz RYPYJIUNAQEFCK-UHFFFAOYSA-N 0 0 295.245 2.549 20 5 CFBDRN Cc1cc(C(=O)N2CCC(F)(F)CC2)cc([N+](=O)[O-])c1 ZINC000619457275 353026215 /nfs/dbraw/zinc/02/62/15/353026215.db2.gz XCOMYXJXHVISCT-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN CCC(=O)c1ccc(NCc2cocn2)c([N+](=O)[O-])c1 ZINC000619480739 353028158 /nfs/dbraw/zinc/02/81/58/353028158.db2.gz RCKPPXVREFBWLY-UHFFFAOYSA-N 0 0 275.264 2.788 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](C3CC3)O[C@@H](C)C2)c1 ZINC000619500176 353029790 /nfs/dbraw/zinc/02/97/90/353029790.db2.gz PXJSMIVZECFMSS-NHYWBVRUSA-N 0 0 276.336 2.907 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@@H](C2CC2)O1 ZINC000619500016 353029803 /nfs/dbraw/zinc/02/98/03/353029803.db2.gz XFWXZQLKPYORBF-WPRPVWTQSA-N 0 0 268.338 2.660 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCC(F)(F)CC3)nc2c1 ZINC000619516062 353031653 /nfs/dbraw/zinc/03/16/53/353031653.db2.gz HNXGMCDWWRNLPC-UHFFFAOYSA-N 0 0 297.261 2.967 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CCC(F)(F)C1 ZINC000619527752 353032874 /nfs/dbraw/zinc/03/28/74/353032874.db2.gz JNETUZKUFFQEJT-QMMMGPOBSA-N 0 0 257.240 2.837 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000292246709 198216670 /nfs/dbraw/zinc/21/66/70/198216670.db2.gz RXKWSZCQPHAWRW-UHFFFAOYSA-N 0 0 279.340 2.828 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1cc2c(nn1)CCC2 ZINC000619747726 353062833 /nfs/dbraw/zinc/06/28/33/353062833.db2.gz SQAWLMKRIRLRLB-UHFFFAOYSA-N 0 0 298.346 2.552 20 5 CFBDRN Cc1c(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)ccn1C ZINC000619866734 353069313 /nfs/dbraw/zinc/06/93/13/353069313.db2.gz OAGYTZVNDMCISH-UHFFFAOYSA-N 0 0 299.330 2.835 20 5 CFBDRN CN(Cc1ccsc1)c1ncc([N+](=O)[O-])s1 ZINC000619694183 353051843 /nfs/dbraw/zinc/05/18/43/353051843.db2.gz PTBPWGZRQAPTJB-UHFFFAOYSA-N 0 0 255.324 2.749 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])s2)CC(C)(C)C1 ZINC000619697694 353052410 /nfs/dbraw/zinc/05/24/10/353052410.db2.gz UPWKUIPBDUDDNB-MRVPVSSYSA-N 0 0 255.343 2.924 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000619697704 353052426 /nfs/dbraw/zinc/05/24/26/353052426.db2.gz XSYPEABIQNAGOX-QMMMGPOBSA-N 0 0 255.343 2.924 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151931096 187986898 /nfs/dbraw/zinc/98/68/98/187986898.db2.gz XZRIEDBJOKEPDV-RISCZKNCSA-N 0 0 276.336 2.832 20 5 CFBDRN CCC(CC)(CNc1ncccc1[N+](=O)[O-])OC ZINC000292295753 198233741 /nfs/dbraw/zinc/23/37/41/198233741.db2.gz NKSCKVHANXJBDI-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc([N+](=O)[O-])cc1COC ZINC000152391054 188014198 /nfs/dbraw/zinc/01/41/98/188014198.db2.gz MANYJFWRLOOJMD-NSHDSACASA-N 0 0 282.340 2.562 20 5 CFBDRN O=C(C[C@@H]1CSCCS1)Oc1cccc([N+](=O)[O-])c1 ZINC000152449286 188019450 /nfs/dbraw/zinc/01/94/50/188019450.db2.gz OIYFPRWNHYDMPH-LLVKDONJSA-N 0 0 299.373 2.739 20 5 CFBDRN Cc1c(NC(=O)NC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000153010596 188058453 /nfs/dbraw/zinc/05/84/53/188058453.db2.gz XYVJUBBXKODFKI-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN O=C(OC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000153591422 188091130 /nfs/dbraw/zinc/09/11/30/188091130.db2.gz PDTPLPLYBJWVIZ-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN CCC(CC)(CNC(=O)c1cccc(C)c1[N+](=O)[O-])OC ZINC000292339329 198251065 /nfs/dbraw/zinc/25/10/65/198251065.db2.gz PCLLQLHRXOHLJI-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN CC[C@@H]1CCN1Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000292345671 198252766 /nfs/dbraw/zinc/25/27/66/198252766.db2.gz HCSFXMFQRUVGNW-LLVKDONJSA-N 0 0 250.298 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCc2noc(C3CC3)n2)c1 ZINC000153642349 188095200 /nfs/dbraw/zinc/09/52/00/188095200.db2.gz UWBUHNOGNWDPHI-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN Cc1cc(NC(=O)NCCCCCCO)ccc1[N+](=O)[O-] ZINC000153674154 188096596 /nfs/dbraw/zinc/09/65/96/188096596.db2.gz JGFHIHGLGNEGHN-UHFFFAOYSA-N 0 0 295.339 2.577 20 5 CFBDRN Cc1nc(NC(=O)C(C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000153715058 188098463 /nfs/dbraw/zinc/09/84/63/188098463.db2.gz ZSCZMBVBAWMYAS-UHFFFAOYSA-N 0 0 275.308 2.673 20 5 CFBDRN Cc1cccc(OC[C@@H](O)Cc2ccccc2)c1[N+](=O)[O-] ZINC000153791982 188103590 /nfs/dbraw/zinc/10/35/90/188103590.db2.gz CDCCBPNOIANNMK-AWEZNQCLSA-N 0 0 287.315 2.886 20 5 CFBDRN CCCCOCCOc1cc(OC)ccc1[N+](=O)[O-] ZINC000566214480 290358946 /nfs/dbraw/zinc/35/89/46/290358946.db2.gz JURVMGVOHWHGKF-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@]2(C)CCCS2)cc1[N+](=O)[O-] ZINC000153974434 188115240 /nfs/dbraw/zinc/11/52/40/188115240.db2.gz QLZKSQYJDLMDSX-CQSZACIVSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1c(C(=O)NC[C@]2(C)CCCS2)cccc1[N+](=O)[O-] ZINC000154094893 188123012 /nfs/dbraw/zinc/12/30/12/188123012.db2.gz HVKUFSLIOODGPH-AWEZNQCLSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCC1CC1 ZINC000154119088 188124065 /nfs/dbraw/zinc/12/40/65/188124065.db2.gz SXGDJRRKHURHSJ-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN COC(C)(C)c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000154209173 188129476 /nfs/dbraw/zinc/12/94/76/188129476.db2.gz OCRZOMIBCFZWJS-UHFFFAOYSA-N 0 0 263.253 2.526 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(Cc2csnn2)C2CC2)c1 ZINC000154294282 188133949 /nfs/dbraw/zinc/13/39/49/188133949.db2.gz KQTVKWVALHSHNH-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN CC[C@H](C)Cn1cc([N+](=O)[O-])cc(Br)c1=O ZINC000154337326 188136705 /nfs/dbraw/zinc/13/67/05/188136705.db2.gz LVYQDKHAYXMROK-ZETCQYMHSA-N 0 0 289.129 2.565 20 5 CFBDRN Cc1cc(C(=O)NC[C@@]2(C)CCCS2)cc([N+](=O)[O-])c1 ZINC000154610828 188153598 /nfs/dbraw/zinc/15/35/98/188153598.db2.gz QIUKCVDGZHEYAL-CQSZACIVSA-N 0 0 294.376 2.919 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])CCCS1 ZINC000154788636 188161152 /nfs/dbraw/zinc/16/11/52/188161152.db2.gz KSYFEZLPCQXXFD-CYBMUJFWSA-N 0 0 298.339 2.749 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000154901812 188169263 /nfs/dbraw/zinc/16/92/63/188169263.db2.gz WFLYMNPQBCBTMA-QWHCGFSZSA-N 0 0 291.351 2.774 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H](n3cccn3)C2)c(F)c1 ZINC000292481755 198304624 /nfs/dbraw/zinc/30/46/24/198304624.db2.gz ZOWXENWLZAFLKG-SECBINFHSA-N 0 0 294.261 2.521 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H](C)C[C@@H](C)O ZINC000156704328 188277117 /nfs/dbraw/zinc/27/71/17/188277117.db2.gz LTRIFFIOJOPNCU-MWLCHTKSSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1nn([C@@H](C)c2nc(C(C)C)no2)c(C)c1[N+](=O)[O-] ZINC000157067067 188294697 /nfs/dbraw/zinc/29/46/97/188294697.db2.gz KATRLOUDKKRHMT-VIFPVBQESA-N 0 0 279.300 2.524 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292526033 198320541 /nfs/dbraw/zinc/32/05/41/198320541.db2.gz HIQLGQDJVWEYBU-DTWKUNHWSA-N 0 0 256.277 2.878 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000156394540 188259906 /nfs/dbraw/zinc/25/99/06/188259906.db2.gz ATGKNVHLYQTWHZ-TZMCWYRMSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000157489477 188321140 /nfs/dbraw/zinc/32/11/40/188321140.db2.gz DGIPLEZAMBUAFR-DGCLKSJQSA-N 0 0 291.351 2.973 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000157489194 188321274 /nfs/dbraw/zinc/32/12/74/188321274.db2.gz DGIPLEZAMBUAFR-YPMHNXCESA-N 0 0 291.351 2.973 20 5 CFBDRN CCCC1(CNC(=O)c2ccc([N+](=O)[O-])o2)CCC1 ZINC000157377915 188313812 /nfs/dbraw/zinc/31/38/12/188313812.db2.gz ULJCTNUJMQRBAY-UHFFFAOYSA-N 0 0 266.297 2.888 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1C[C@H]2CCC[C@@H]2C1 ZINC000157638855 188329869 /nfs/dbraw/zinc/32/98/69/188329869.db2.gz YBSMUTZDLHGZGR-CHWSQXEVSA-N 0 0 289.335 2.536 20 5 CFBDRN CO[C@H](C)CCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292613016 198350391 /nfs/dbraw/zinc/35/03/91/198350391.db2.gz NBVBHACZEJAODH-SECBINFHSA-N 0 0 256.277 2.879 20 5 CFBDRN Cc1noc(CCCOc2cccc(Cl)c2[N+](=O)[O-])n1 ZINC000292622664 198354059 /nfs/dbraw/zinc/35/40/59/198354059.db2.gz JTVWDSJQBLDMSF-UHFFFAOYSA-N 0 0 297.698 2.951 20 5 CFBDRN CCC(=O)N1CC[C@H](Nc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000292677460 198371383 /nfs/dbraw/zinc/37/13/83/198371383.db2.gz BALJPYNUAYXFBT-VIFPVBQESA-N 0 0 297.742 2.671 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C(C)(C)F)cc1[N+](=O)[O-] ZINC000158809099 188395089 /nfs/dbraw/zinc/39/50/89/188395089.db2.gz NUGCIBAEORAMHI-VIFPVBQESA-N 0 0 268.288 2.829 20 5 CFBDRN CO[C@H](CNc1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000292737248 198394344 /nfs/dbraw/zinc/39/43/44/198394344.db2.gz IOIHFYQDWIBTAE-GFCCVEGCSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H](C)C[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000158752464 188391908 /nfs/dbraw/zinc/39/19/08/188391908.db2.gz WLAYJSCAFZDJOI-TXEJJXNPSA-N 0 0 290.363 2.950 20 5 CFBDRN Cc1c(C(=O)NCC2(C3CC3)CC2)cccc1[N+](=O)[O-] ZINC000160237737 188460882 /nfs/dbraw/zinc/46/08/82/188460882.db2.gz FYONJYFGNBXUKA-UHFFFAOYSA-N 0 0 274.320 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCO[C@@H](C3CC3)C2)cc1 ZINC000160310342 188465928 /nfs/dbraw/zinc/46/59/28/188465928.db2.gz GMTOUSFVNWHKNO-GXTWGEPZSA-N 0 0 262.309 2.964 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C(C)(F)F ZINC000293020329 198496866 /nfs/dbraw/zinc/49/68/66/198496866.db2.gz JEDOGOJKWXCPHS-MRVPVSSYSA-N 0 0 284.262 2.775 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCCSC1 ZINC000566572075 290402218 /nfs/dbraw/zinc/40/22/18/290402218.db2.gz ORXPXAPSIGAOPR-VIFPVBQESA-N 0 0 268.338 2.911 20 5 CFBDRN COCC(C)(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000165079721 188629418 /nfs/dbraw/zinc/62/94/18/188629418.db2.gz ZUSRNVTUBLNTBJ-UHFFFAOYSA-N 0 0 266.297 2.514 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCCC[C@@H]2C)n1 ZINC000166650936 188638534 /nfs/dbraw/zinc/63/85/34/188638534.db2.gz IQPZCPZCPPVWMP-UWVGGRQHSA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1nc(NCCC2=CCCCC2)ncc1[N+](=O)[O-] ZINC000295414261 199497874 /nfs/dbraw/zinc/49/78/74/199497874.db2.gz LNUQYFOHGQMAIO-UHFFFAOYSA-N 0 0 262.313 2.996 20 5 CFBDRN CCCC[C@H](C)N(C)c1ncc([N+](=O)[O-])c(C)n1 ZINC000295719755 199634487 /nfs/dbraw/zinc/63/44/87/199634487.db2.gz UBWWBVJTFLOPMF-VIFPVBQESA-N 0 0 252.318 2.708 20 5 CFBDRN C[C@@H](NC(=O)N(C)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000271764593 191312589 /nfs/dbraw/zinc/31/25/89/191312589.db2.gz WGNZUJOLGUVXTO-SNVBAGLBSA-N 0 0 277.324 2.850 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000172180277 188786706 /nfs/dbraw/zinc/78/67/06/188786706.db2.gz YZBURXCEGKILNH-JTQLQIEISA-N 0 0 266.297 2.840 20 5 CFBDRN Cc1c([C@H](C)Nc2nccc(C)c2[N+](=O)[O-])cnn1C ZINC000172277503 188791832 /nfs/dbraw/zinc/79/18/32/188791832.db2.gz ZDNQZXDIPFFTAV-VIFPVBQESA-N 0 0 275.312 2.513 20 5 CFBDRN CCCCOCCCNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000172934053 188834435 /nfs/dbraw/zinc/83/44/35/188834435.db2.gz IXPJEUSPUIROSP-UHFFFAOYSA-N 0 0 294.351 2.840 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000173000025 188837926 /nfs/dbraw/zinc/83/79/26/188837926.db2.gz RUKURUDSDBDIBZ-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000271787337 191326311 /nfs/dbraw/zinc/32/63/11/191326311.db2.gz KVCAYMMHHWPZQC-NEPJUHHUSA-N 0 0 291.351 2.796 20 5 CFBDRN CC[C@H](NCc1nonc1C)c1cccc([N+](=O)[O-])c1 ZINC000271791192 191329552 /nfs/dbraw/zinc/32/95/52/191329552.db2.gz NCIPPWJLTZWTTM-LBPRGKRZSA-N 0 0 276.296 2.527 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000173828620 188870129 /nfs/dbraw/zinc/87/01/29/188870129.db2.gz VRYFKYGXAKZGIH-NSHDSACASA-N 0 0 294.351 2.837 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@H](C)C1 ZINC000271874326 191382161 /nfs/dbraw/zinc/38/21/61/191382161.db2.gz LAOJHZLVOKJQKZ-VXGBXAGGSA-N 0 0 292.335 2.965 20 5 CFBDRN CSC[C@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271849542 191366588 /nfs/dbraw/zinc/36/65/88/191366588.db2.gz WCLVGLCFQUDIBY-UWVGGRQHSA-N 0 0 282.365 2.771 20 5 CFBDRN Cc1noc(CCCNc2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000272023096 191470495 /nfs/dbraw/zinc/47/04/95/191470495.db2.gz PWZCAWJVGAJOCJ-UHFFFAOYSA-N 0 0 290.323 2.948 20 5 CFBDRN C[C@H](NC(=O)COC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000272080581 191502968 /nfs/dbraw/zinc/50/29/68/191502968.db2.gz AUOHTVJBLHPREZ-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN O=[N+]([O-])c1nn(CC2=CCCC2)cc1Br ZINC000307482576 540149344 /nfs/dbraw/zinc/14/93/44/540149344.db2.gz YYPJKAVYLRVMKP-UHFFFAOYSA-N 0 0 272.102 2.664 20 5 CFBDRN CN(CCC1CC1)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272171778 191556438 /nfs/dbraw/zinc/55/64/38/191556438.db2.gz QEJSKFJSGNIFJQ-UHFFFAOYSA-N 0 0 298.289 2.945 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000272185741 191565121 /nfs/dbraw/zinc/56/51/21/191565121.db2.gz GNIGGWJSQFJBDB-QWRGUYRKSA-N 0 0 278.308 2.657 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@H]2CCC[C@H]21 ZINC000174337154 188892820 /nfs/dbraw/zinc/89/28/20/188892820.db2.gz WURAFLVBLQVRPY-BXUZGUMPSA-N 0 0 274.320 3.000 20 5 CFBDRN COc1ccc(NC(=O)/C=C/C2CC2)cc1[N+](=O)[O-] ZINC000272871227 191952930 /nfs/dbraw/zinc/95/29/30/191952930.db2.gz ZSIGISPLPYMOFV-QPJJXVBHSA-N 0 0 262.265 2.508 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)OC ZINC000295770297 199657457 /nfs/dbraw/zinc/65/74/57/199657457.db2.gz PQZKGMBJBAMPEI-IINYFYTJSA-N 0 0 294.351 2.694 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000272908481 191969180 /nfs/dbraw/zinc/96/91/80/191969180.db2.gz DOEMBXMSNNLXOW-XHDPSFHLSA-N 0 0 292.335 2.589 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CCCCS1 ZINC000272978025 192006317 /nfs/dbraw/zinc/00/63/17/192006317.db2.gz OIRUSNVZUKSKGK-ZDUSSCGKSA-N 0 0 294.376 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3n[nH]cc3C2)c(Cl)c1 ZINC000273028662 192024833 /nfs/dbraw/zinc/02/48/33/192024833.db2.gz UCNSCYJUHFZLPO-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN C[C@@H]1C[C@H](CCNC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000273556555 192202146 /nfs/dbraw/zinc/20/21/46/192202146.db2.gz MSQAPVQOFMPKIE-NXEZZACHSA-N 0 0 298.364 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2cccc(F)c2)C2CC2)nc1 ZINC000273592877 192222471 /nfs/dbraw/zinc/22/24/71/192222471.db2.gz HPPWNYMBGVKQSD-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN CCS[C@H]1CCC[C@H](Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000273599183 192225210 /nfs/dbraw/zinc/22/52/10/192225210.db2.gz IZOPRVTWUFBOIU-ONGXEEELSA-N 0 0 282.369 2.861 20 5 CFBDRN C[C@@H]1C[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000273619862 192233522 /nfs/dbraw/zinc/23/35/22/192233522.db2.gz VOZLXFUMBMTNFY-NEPJUHHUSA-N 0 0 292.335 2.530 20 5 CFBDRN CCc1nc([C@H](C)Nc2ncc([N+](=O)[O-])cn2)cs1 ZINC000273668689 192254879 /nfs/dbraw/zinc/25/48/79/192254879.db2.gz XHCWZVLJJYQHSM-ZETCQYMHSA-N 0 0 279.325 2.577 20 5 CFBDRN CCc1ccc(OCc2ccnc(OC)n2)c([N+](=O)[O-])c1 ZINC000295988530 199745211 /nfs/dbraw/zinc/74/52/11/199745211.db2.gz LSKHLFXSLUJYND-UHFFFAOYSA-N 0 0 289.291 2.535 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCC[C@H](F)C1 ZINC000567767700 290493399 /nfs/dbraw/zinc/49/33/99/290493399.db2.gz VFMFQJVHCQAKSB-UWVGGRQHSA-N 0 0 270.308 2.812 20 5 CFBDRN CC(C)C1(NC(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000294059842 198890995 /nfs/dbraw/zinc/89/09/95/198890995.db2.gz YXXIQYYYTKPHKO-UHFFFAOYSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1ccncc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000431512678 383680056 /nfs/dbraw/zinc/68/00/56/383680056.db2.gz HFHFDOOOYFZZSU-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC(C)=CCNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000191822419 535369470 /nfs/dbraw/zinc/36/94/70/535369470.db2.gz DQYKFWWPRKTQQV-UHFFFAOYSA-N 0 0 262.309 2.528 20 5 CFBDRN COc1cc(C(=O)N(C)CC(C)(C)C)c([N+](=O)[O-])cc1F ZINC000294407494 199027962 /nfs/dbraw/zinc/02/79/62/199027962.db2.gz DHTPEOHQVXPJBE-UHFFFAOYSA-N 0 0 298.314 2.861 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CC1(F)F ZINC000294473801 199054037 /nfs/dbraw/zinc/05/40/37/199054037.db2.gz VFIYHSPFVNHRRH-MRVPVSSYSA-N 0 0 270.235 2.805 20 5 CFBDRN Cc1c(NC(=O)CSC2CC2)cccc1[N+](=O)[O-] ZINC000294501420 199064087 /nfs/dbraw/zinc/06/40/87/199064087.db2.gz XBUVVHUHHKYPQY-UHFFFAOYSA-N 0 0 266.322 2.737 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1cc2c([nH]1)CCCC2 ZINC000294538656 199077531 /nfs/dbraw/zinc/07/75/31/199077531.db2.gz RMGBMZODDQQTQR-UHFFFAOYSA-N 0 0 299.330 2.732 20 5 CFBDRN COc1cc(C(=O)N(C)C2CCCC2)c([N+](=O)[O-])cc1F ZINC000294556247 199084771 /nfs/dbraw/zinc/08/47/71/199084771.db2.gz UFOUTLVRBNZIDK-UHFFFAOYSA-N 0 0 296.298 2.757 20 5 CFBDRN NC(=O)[C@@H]1CCCCC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000301792543 283316269 /nfs/dbraw/zinc/31/62/69/283316269.db2.gz RHRVBJULHGJWML-ZYHUDNBSSA-N 0 0 295.314 2.580 20 5 CFBDRN CCC1(CC)CN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000294574123 199091715 /nfs/dbraw/zinc/09/17/15/199091715.db2.gz XRFIBAYBNZWQFL-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CC(C)n1ncnc1COc1ccc([N+](=O)[O-])c(F)c1F ZINC000296130168 199793214 /nfs/dbraw/zinc/79/32/14/199793214.db2.gz YYPZVQLPTYGDKX-UHFFFAOYSA-N 0 0 298.249 2.624 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2ccccn2)cc([N+](=O)[O-])c1 ZINC000147253544 540375383 /nfs/dbraw/zinc/37/53/83/540375383.db2.gz BYRKQWATBJYLCT-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN CC(C)(CCC(=O)N1CCc2ccc(F)cc21)[N+](=O)[O-] ZINC000294748776 199165048 /nfs/dbraw/zinc/16/50/48/199165048.db2.gz OXOMRXYEISSSLA-UHFFFAOYSA-N 0 0 280.299 2.550 20 5 CFBDRN CCC[C@@H]1CCCCN1c1ncc([N+](=O)[O-])cn1 ZINC000302234254 535410755 /nfs/dbraw/zinc/41/07/55/535410755.db2.gz UBCVTXDIGORSFW-SNVBAGLBSA-N 0 0 250.302 2.544 20 5 CFBDRN COC1(CNc2nccc(C)c2[N+](=O)[O-])CCCC1 ZINC000294837777 199206017 /nfs/dbraw/zinc/20/60/17/199206017.db2.gz AVYWEHNRBDYALU-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN C[C@H](CCO)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000294839590 199206633 /nfs/dbraw/zinc/20/66/33/199206633.db2.gz BAJGQQTVSFLLCX-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H](CC(C)(C)O)Nc1cccc(F)c1[N+](=O)[O-] ZINC000294863900 199219392 /nfs/dbraw/zinc/21/93/92/199219392.db2.gz AJQCOKNXKLOULI-MRVPVSSYSA-N 0 0 256.277 2.695 20 5 CFBDRN CC(C)(CO)CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000294879225 199227575 /nfs/dbraw/zinc/22/75/75/199227575.db2.gz DYGOPNOECYJXEJ-UHFFFAOYSA-N 0 0 274.267 2.694 20 5 CFBDRN COC[C@@]1(C)CCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000294867806 199222028 /nfs/dbraw/zinc/22/20/28/199222028.db2.gz CVVKECAORSBJHX-ZDUSSCGKSA-N 0 0 286.278 2.736 20 5 CFBDRN COc1cc(NCC[C@@H](C)CCO)c(F)cc1[N+](=O)[O-] ZINC000294885505 199232032 /nfs/dbraw/zinc/23/20/32/199232032.db2.gz GIQOIXZRIOOPNZ-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN CCOc1cccc(NC[C@@H](C)CCCO)c1[N+](=O)[O-] ZINC000294893737 199235475 /nfs/dbraw/zinc/23/54/75/199235475.db2.gz GMGCOVORCOXBEH-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420635057 236664553 /nfs/dbraw/zinc/66/45/53/236664553.db2.gz YVUHXCCSNXCRMZ-NXEZZACHSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CCOC1(C)C ZINC000421569843 236842386 /nfs/dbraw/zinc/84/23/86/236842386.db2.gz OFPZDJFYPBSBAW-ZDUSSCGKSA-N 0 0 292.335 2.509 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000296502796 199913777 /nfs/dbraw/zinc/91/37/77/199913777.db2.gz YVOXVJHERCVGFK-JOYOIKCWSA-N 0 0 277.324 2.754 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NOC(C)C)cc1[N+](=O)[O-] ZINC000296578554 199938587 /nfs/dbraw/zinc/93/85/87/199938587.db2.gz STYQTGWGJYUYBC-JTQLQIEISA-N 0 0 281.312 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CCCOC1 ZINC000176223068 188984315 /nfs/dbraw/zinc/98/43/15/188984315.db2.gz DJKFNVHWAYSSGX-LLVKDONJSA-N 0 0 293.323 2.512 20 5 CFBDRN Cc1nc([C@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000296707628 199975354 /nfs/dbraw/zinc/97/53/54/199975354.db2.gz LOEQMVAKWDYEOU-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN C[C@H](NC(=O)N1C[C@@H](C)[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000296839800 200011538 /nfs/dbraw/zinc/01/15/38/200011538.db2.gz NWIXDASYVOMZEF-UTUOFQBUSA-N 0 0 291.351 2.953 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC(CF)CC1 ZINC000296974551 200051678 /nfs/dbraw/zinc/05/16/78/200051678.db2.gz QDGCOFVNGABXRZ-UHFFFAOYSA-N 0 0 284.262 2.556 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCC[C@@H]1CCO ZINC000275026117 192882493 /nfs/dbraw/zinc/88/24/93/192882493.db2.gz NEMUVHGRPAKXCK-KOLCDFICSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297248722 200125963 /nfs/dbraw/zinc/12/59/63/200125963.db2.gz VEVZFFGGTUKPLS-YGRLFVJLSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)C1(NC(=O)NCCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000297248879 200126127 /nfs/dbraw/zinc/12/61/27/200126127.db2.gz DCQHPKLPUZZJET-UHFFFAOYSA-N 0 0 291.351 2.625 20 5 CFBDRN CCC1(C)CN(Cc2ccc(OC)c([N+](=O)[O-])c2)C1 ZINC000297428757 200187118 /nfs/dbraw/zinc/18/71/18/200187118.db2.gz OIDGLPJHPGQZBT-UHFFFAOYSA-N 0 0 264.325 2.835 20 5 CFBDRN O=C([C@@H]1CC12CC2)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000334974028 296000558 /nfs/dbraw/zinc/00/05/58/296000558.db2.gz TYWMXTLRZYZWRC-LBPRGKRZSA-N 0 0 272.304 2.674 20 5 CFBDRN Cc1cncc(CCC(=O)N(C)c2cccc([N+](=O)[O-])c2)c1 ZINC000297650491 200252634 /nfs/dbraw/zinc/25/26/34/200252634.db2.gz GGRZOLNBNCPZOC-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN Cc1cc(Cl)cnc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000297689713 200262734 /nfs/dbraw/zinc/26/27/34/200262734.db2.gz HMMIBMXQNAIQKC-UHFFFAOYSA-N 0 0 285.731 2.817 20 5 CFBDRN Cc1ncccc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000297856690 200293294 /nfs/dbraw/zinc/29/32/94/200293294.db2.gz NKPUAXJCIHVLCU-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN CC(C)(NC(=O)Cc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000297903714 200301967 /nfs/dbraw/zinc/30/19/67/200301967.db2.gz RDXSHIGZAQGPGG-UHFFFAOYSA-N 0 0 290.241 2.594 20 5 CFBDRN C[C@@H]1CN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)[C@@H]1C ZINC000297835422 200289464 /nfs/dbraw/zinc/28/94/64/200289464.db2.gz GUYPRMXPLRSVPI-RKDXNWHRSA-N 0 0 297.742 2.798 20 5 CFBDRN Cc1cc(COC(=O)[C@H](F)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000297846620 200291169 /nfs/dbraw/zinc/29/11/69/200291169.db2.gz OFQPOTRYWNAJSE-VIFPVBQESA-N 0 0 295.188 2.847 20 5 CFBDRN Cc1cncc(CCC(=O)N(C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000298006326 200316656 /nfs/dbraw/zinc/31/66/56/200316656.db2.gz JNFRQBVWENJURR-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN CCCCN(C)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000298211971 200362067 /nfs/dbraw/zinc/36/20/67/200362067.db2.gz OZFMIYLRDVEXBQ-MDZDMXLPSA-N 0 0 262.309 2.867 20 5 CFBDRN CN(C(=O)c1ncoc1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000298195182 200357727 /nfs/dbraw/zinc/35/77/27/200357727.db2.gz WWEDKJDVXBUGTO-UHFFFAOYSA-N 0 0 287.275 2.737 20 5 CFBDRN CCCCc1noc([C@@H](C)Oc2cccnc2[N+](=O)[O-])n1 ZINC000298203276 200359017 /nfs/dbraw/zinc/35/90/17/200359017.db2.gz JAOZMDOYVVCVIN-SECBINFHSA-N 0 0 292.295 2.855 20 5 CFBDRN CCCCN(C1CC1)S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000298304523 200389207 /nfs/dbraw/zinc/38/92/07/200389207.db2.gz XMEFPJOUTVYWPC-UHFFFAOYSA-N 0 0 298.364 2.548 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000298286480 200383820 /nfs/dbraw/zinc/38/38/20/200383820.db2.gz DHBPXKDUVSBVHJ-MRVPVSSYSA-N 0 0 268.338 2.837 20 5 CFBDRN Cc1sc(C(=O)NCCc2ccsc2)cc1[N+](=O)[O-] ZINC000298367041 200408871 /nfs/dbraw/zinc/40/88/71/200408871.db2.gz SKNQDVAPYGIWQY-UHFFFAOYSA-N 0 0 296.373 2.999 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CC[C@@H]1CCOC1 ZINC000176750082 189007516 /nfs/dbraw/zinc/00/75/16/189007516.db2.gz XBXUBEPSWCCPCU-LLVKDONJSA-N 0 0 279.292 2.625 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCC1CC(F)(F)C1 ZINC000298327502 200396144 /nfs/dbraw/zinc/39/61/44/200396144.db2.gz RFEXUTZXTCEGCW-ZZXKWVIFSA-N 0 0 296.273 2.770 20 5 CFBDRN C[C@H](NC(=O)CC[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000176778790 189008745 /nfs/dbraw/zinc/00/87/45/189008745.db2.gz KXUJSEDDFMLQTD-RYUDHWBXSA-N 0 0 292.335 2.589 20 5 CFBDRN CCCCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000298334359 200398637 /nfs/dbraw/zinc/39/86/37/200398637.db2.gz BTKVWFZCBKGALN-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2[nH]nc(CC)c2[N+](=O)[O-])C1 ZINC000176812108 189010092 /nfs/dbraw/zinc/01/00/92/189010092.db2.gz RUIQTIHUYKUVRZ-JTQLQIEISA-N 0 0 294.355 2.533 20 5 CFBDRN CCC(CC)(CO)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000177083979 189027743 /nfs/dbraw/zinc/02/77/43/189027743.db2.gz PJOLDPOEDDDFQT-UHFFFAOYSA-N 0 0 295.339 2.576 20 5 CFBDRN CN(Cc1cncn1C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000177247150 189039172 /nfs/dbraw/zinc/03/91/72/189039172.db2.gz AQRIMPKGHABKNB-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CO[C@@H](C)c1noc(COc2cccc([N+](=O)[O-])c2C)n1 ZINC000177255297 189039875 /nfs/dbraw/zinc/03/98/75/189039875.db2.gz YBDLIHMWNGLATI-VIFPVBQESA-N 0 0 293.279 2.573 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000177841514 189073527 /nfs/dbraw/zinc/07/35/27/189073527.db2.gz BESXMKZMEHCDTJ-WCQYABFASA-N 0 0 292.335 2.507 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000177840364 189073930 /nfs/dbraw/zinc/07/39/30/189073930.db2.gz LTGJQEDFZMHOEI-AAEUAGOBSA-N 0 0 292.335 2.650 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2cccn2C)cc1[N+](=O)[O-] ZINC000177844562 189073971 /nfs/dbraw/zinc/07/39/71/189073971.db2.gz LUZXCBAYABCRBF-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN CSCCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177848971 189074138 /nfs/dbraw/zinc/07/41/38/189074138.db2.gz IQCIOWAZYWNCNQ-SNVBAGLBSA-N 0 0 282.365 2.834 20 5 CFBDRN O=C(NC1CCCCCCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000298877429 200553948 /nfs/dbraw/zinc/55/39/48/200553948.db2.gz YQOXXFACCTYNJN-UHFFFAOYSA-N 0 0 265.313 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](O)C2CCCCC2)n1 ZINC000178209787 189098489 /nfs/dbraw/zinc/09/84/89/189098489.db2.gz TXJLEDMBJRPZGC-ZDUSSCGKSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2ccc3c(c2)CCC3)c1=O ZINC000178227442 189099521 /nfs/dbraw/zinc/09/95/21/189099521.db2.gz YDCSZJJOAFYCPQ-UHFFFAOYSA-N 0 0 284.315 2.602 20 5 CFBDRN CC(C)Oc1cccc(Cn2ccc(=O)c([N+](=O)[O-])c2)c1 ZINC000178236086 189099712 /nfs/dbraw/zinc/09/97/12/189099712.db2.gz IAOIUEGKBJZLOX-UHFFFAOYSA-N 0 0 288.303 2.592 20 5 CFBDRN CO[C@@H](CNc1nc(C)ccc1[N+](=O)[O-])C(C)(C)C ZINC000178243464 189100217 /nfs/dbraw/zinc/10/02/17/189100217.db2.gz PJOJAPFTIWZBJF-NSHDSACASA-N 0 0 267.329 2.771 20 5 CFBDRN CCC1(NC(=O)CCNc2ccccc2[N+](=O)[O-])CCC1 ZINC000178596305 189129619 /nfs/dbraw/zinc/12/96/19/189129619.db2.gz FLKTYXFKMXRPGD-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000299280025 200661125 /nfs/dbraw/zinc/66/11/25/200661125.db2.gz BSSJROUMABKKEI-QWRGUYRKSA-N 0 0 279.340 2.558 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1nc(C(C)C)no1 ZINC000178989359 189160281 /nfs/dbraw/zinc/16/02/81/189160281.db2.gz RJESGBQZXMTGLD-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN Cn1c(Cl)cnc1CN1CCc2c1cccc2[N+](=O)[O-] ZINC000178945805 189156244 /nfs/dbraw/zinc/15/62/44/189156244.db2.gz CMQMNVDZDVZMNC-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN CC(C)c1cc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000179223057 189179056 /nfs/dbraw/zinc/17/90/56/189179056.db2.gz CMJYWGFAIVKJLS-UHFFFAOYSA-N 0 0 289.291 2.983 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H](C)C2CCCC2)c1[N+](=O)[O-] ZINC000179326915 189185819 /nfs/dbraw/zinc/18/58/19/189185819.db2.gz UNPGMWAQIYOMAZ-VIFPVBQESA-N 0 0 294.355 2.579 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000377381613 291253068 /nfs/dbraw/zinc/25/30/68/291253068.db2.gz JCGNFCNQXPAMOW-BMIGLBTASA-N 0 0 298.298 2.847 20 5 CFBDRN CCCCOC(=O)CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180436612 189255602 /nfs/dbraw/zinc/25/56/02/189255602.db2.gz CYXSZHUIIJBKMD-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN CN(C(=O)COc1ccc([N+](=O)[O-])cc1)C1(C)CCCC1 ZINC000490704655 540985491 /nfs/dbraw/zinc/98/54/91/540985491.db2.gz LFEYAHUMZWXDDV-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])C(C)(C)O1 ZINC000180565995 189262333 /nfs/dbraw/zinc/26/23/33/189262333.db2.gz IBITVNFINFQYQD-GFCCVEGCSA-N 0 0 292.335 2.671 20 5 CFBDRN CC[C@@H](C)N(C(=O)CCn1cc([N+](=O)[O-])cn1)[C@H](C)CC ZINC000180524379 189260640 /nfs/dbraw/zinc/26/06/40/189260640.db2.gz XULZAHPZQDFACG-VXGBXAGGSA-N 0 0 296.371 2.607 20 5 CFBDRN CSCCNc1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000301116237 200918707 /nfs/dbraw/zinc/91/87/07/200918707.db2.gz ZIADBDDFOPQWJK-UHFFFAOYSA-N 0 0 297.380 2.508 20 5 CFBDRN CCn1c(C)nnc1Sc1ccccc1[N+](=O)[O-] ZINC000301201050 200936975 /nfs/dbraw/zinc/93/69/75/200936975.db2.gz WMONUDYOTOZJRY-UHFFFAOYSA-N 0 0 264.310 2.666 20 5 CFBDRN CC(=O)c1ccc(NCCc2cscn2)c([N+](=O)[O-])c1 ZINC000301298171 200969547 /nfs/dbraw/zinc/96/95/47/200969547.db2.gz STQBETBMCWCOHH-UHFFFAOYSA-N 0 0 291.332 2.909 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000301399837 200998499 /nfs/dbraw/zinc/99/84/99/200998499.db2.gz PJOXRVUOZGJHBZ-IINYFYTJSA-N 0 0 282.340 2.812 20 5 CFBDRN C[C@H]1SCCN(c2nc3sccn3c2[N+](=O)[O-])[C@@H]1C ZINC000265482612 291262556 /nfs/dbraw/zinc/26/25/56/291262556.db2.gz MAUBVDGGKHRJHQ-HTQZYQBOSA-N 0 0 298.393 2.634 20 5 CFBDRN C[C@@](O)(CNc1ccc(F)cc1[N+](=O)[O-])c1ccco1 ZINC000301443967 201009565 /nfs/dbraw/zinc/00/95/65/201009565.db2.gz VDLXGDBLJTWTIL-CYBMUJFWSA-N 0 0 280.255 2.647 20 5 CFBDRN CCOc1cc(N(C)C[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000301444728 201011956 /nfs/dbraw/zinc/01/19/56/201011956.db2.gz OZEXYIMJCWCJQF-CYBMUJFWSA-N 0 0 294.351 2.999 20 5 CFBDRN Cc1nc(CNc2ncccc2[N+](=O)[O-])sc1C ZINC000301449799 201012404 /nfs/dbraw/zinc/01/24/04/201012404.db2.gz PLRUWSTZNAXYPH-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC(C)OC(=O)[C@H](C)CNc1ccc([N+](=O)[O-])cc1F ZINC000301455982 201015460 /nfs/dbraw/zinc/01/54/60/201015460.db2.gz HMODMIJIUGPQCR-SECBINFHSA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1ccc(N(C)C[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000301459018 201015810 /nfs/dbraw/zinc/01/58/10/201015810.db2.gz YEQFGYITKBDIKG-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000301481666 201022947 /nfs/dbraw/zinc/02/29/47/201022947.db2.gz SOFOJTDGBYZIOS-GMSGAONNSA-N 0 0 254.261 2.953 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1cc(F)ccc1F ZINC000301486315 201026258 /nfs/dbraw/zinc/02/62/58/201026258.db2.gz XJSFLGIMPOJLCO-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN O=Cc1ccc(Oc2ncc(Cl)cn2)c([N+](=O)[O-])c1 ZINC000301497147 201030019 /nfs/dbraw/zinc/03/00/19/201030019.db2.gz UNHJUMHDPOTUDR-UHFFFAOYSA-N 0 0 279.639 2.643 20 5 CFBDRN Cc1ccc(NCCc2cccc(C(N)=O)c2)c([N+](=O)[O-])c1 ZINC000301437548 201008368 /nfs/dbraw/zinc/00/83/68/201008368.db2.gz KOMIRRUVVRGBQA-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN CCOc1cc(N(C)C[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000301528588 201049140 /nfs/dbraw/zinc/04/91/40/201049140.db2.gz OJKCBHGZOOPZRC-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN CC[C@@H]1CCN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000301536714 201054739 /nfs/dbraw/zinc/05/47/39/201054739.db2.gz ACWFBOBYXYAKEL-SECBINFHSA-N 0 0 268.288 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CCO)CCCCC2)nc1 ZINC000301538613 201056700 /nfs/dbraw/zinc/05/67/00/201056700.db2.gz BKMNRJDRGPUVBN-UHFFFAOYSA-N 0 0 279.340 2.735 20 5 CFBDRN CSCCN(C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000301547390 201060470 /nfs/dbraw/zinc/06/04/70/201060470.db2.gz FITCWWZURONXLK-UHFFFAOYSA-N 0 0 277.349 2.942 20 5 CFBDRN Cc1cc(Oc2c(C)c(C)nc3ncnn32)ccc1[N+](=O)[O-] ZINC000301552004 201066043 /nfs/dbraw/zinc/06/60/43/201066043.db2.gz MLYCVZLMEBLFJH-UHFFFAOYSA-N 0 0 299.290 2.750 20 5 CFBDRN CCOC(=O)c1cc(NCc2ccco2)ccc1[N+](=O)[O-] ZINC000301589085 201083461 /nfs/dbraw/zinc/08/34/61/201083461.db2.gz SJJKIYNZHYNJNY-UHFFFAOYSA-N 0 0 290.275 2.977 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1CCCOCC1 ZINC000182994257 189341962 /nfs/dbraw/zinc/34/19/62/189341962.db2.gz GYLBVTCILYYYHL-VIFPVBQESA-N 0 0 254.261 2.715 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCCOCC2)c([N+](=O)[O-])c1 ZINC000182998469 189342169 /nfs/dbraw/zinc/34/21/69/189342169.db2.gz VXMVWJYPFAKONM-LBPRGKRZSA-N 0 0 278.308 2.778 20 5 CFBDRN Cc1ccnc(N[C@H](C)C[C@H](O)c2ccco2)c1[N+](=O)[O-] ZINC000301647666 201115074 /nfs/dbraw/zinc/11/50/74/201115074.db2.gz QHCMQADYIZQOJZ-MNOVXSKESA-N 0 0 291.307 2.815 20 5 CFBDRN COC[C@@H](C)SCCc1ccc([N+](=O)[O-])cc1 ZINC000183274267 189352537 /nfs/dbraw/zinc/35/25/37/189352537.db2.gz NMCBCEIGQTZVDM-SNVBAGLBSA-N 0 0 255.339 2.905 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC2CC=CC2)c1 ZINC000301671538 201125824 /nfs/dbraw/zinc/12/58/24/201125824.db2.gz ZABHFPTZPGUHNL-UHFFFAOYSA-N 0 0 252.245 2.873 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H](Oc2cccnc2)C1 ZINC000301680388 201134028 /nfs/dbraw/zinc/13/40/28/201134028.db2.gz SNBRVQAQNAGFTD-OAHLLOKOSA-N 0 0 299.330 2.956 20 5 CFBDRN CC[C@H](C)[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)C(N)=O ZINC000301717035 201158531 /nfs/dbraw/zinc/15/85/31/201158531.db2.gz KOWJXGDMJPQAGE-CPCISQLKSA-N 0 0 285.731 2.560 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1nnc(C2CC2)s1 ZINC000301719562 201159372 /nfs/dbraw/zinc/15/93/72/201159372.db2.gz IPWPCBJIGGQBSD-UHFFFAOYSA-N 0 0 276.321 2.936 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183564027 189362408 /nfs/dbraw/zinc/36/24/08/189362408.db2.gz CVIRENDILPSJMH-DTWKUNHWSA-N 0 0 266.301 2.546 20 5 CFBDRN Cc1cc(N(Cc2cccc([N+](=O)[O-])c2)C2CC2)ncn1 ZINC000301731074 201164000 /nfs/dbraw/zinc/16/40/00/201164000.db2.gz SMSVCRPROIAVIK-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CC1(C)CCCN(C(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000183594199 189363332 /nfs/dbraw/zinc/36/33/32/189363332.db2.gz RREJWAKRKMOCNF-UHFFFAOYSA-N 0 0 278.312 2.644 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183646744 189365266 /nfs/dbraw/zinc/36/52/66/189365266.db2.gz OQGXRWRHTLWKKH-NXEZZACHSA-N 0 0 280.328 2.936 20 5 CFBDRN C[C@@H](CNc1ncc(Cl)cc1[N+](=O)[O-])Cn1cccn1 ZINC000301739634 201167096 /nfs/dbraw/zinc/16/70/96/201167096.db2.gz JWKMKWVFUKRIJC-VIFPVBQESA-N 0 0 295.730 2.588 20 5 CFBDRN COCCC(C)(C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000183903930 189370637 /nfs/dbraw/zinc/37/06/37/189370637.db2.gz ITKINHPSHHYODK-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN CN(Cc1cccnc1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000301792997 201200539 /nfs/dbraw/zinc/20/05/39/201200539.db2.gz OZIUKGTVKYDBAH-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN CCNC(=O)C(C)(C)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000301795454 201201791 /nfs/dbraw/zinc/20/17/91/201201791.db2.gz SSAHQORSNGETEX-UHFFFAOYSA-N 0 0 299.758 2.822 20 5 CFBDRN Cc1ccnc(N(Cc2ccccc2[N+](=O)[O-])C2CC2)n1 ZINC000301802937 201206475 /nfs/dbraw/zinc/20/64/75/201206475.db2.gz XDJWACYYOHWXMK-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CC(C)[C@H](O)CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000301784968 201195429 /nfs/dbraw/zinc/19/54/29/201195429.db2.gz LFNGAYHVTPOVQE-LLVKDONJSA-N 0 0 274.267 2.692 20 5 CFBDRN CC(C)C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000184468784 189387307 /nfs/dbraw/zinc/38/73/07/189387307.db2.gz UWFJJMONTIEDFP-NSHDSACASA-N 0 0 291.351 2.685 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ccc(C(F)(F)F)nn1 ZINC000301852082 201231420 /nfs/dbraw/zinc/23/14/20/201231420.db2.gz XIZFJAYHICUTOH-UHFFFAOYSA-N 0 0 286.169 2.591 20 5 CFBDRN C[C@H](O)CCNc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301855435 201233235 /nfs/dbraw/zinc/23/32/35/201233235.db2.gz ATOIALKBIGSSEM-JTQLQIEISA-N 0 0 282.340 2.955 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301865835 201239916 /nfs/dbraw/zinc/23/99/16/201239916.db2.gz BKDBJOJZVOSQMS-QWRGUYRKSA-N 0 0 289.339 2.652 20 5 CFBDRN Cc1cc(N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)ncn1 ZINC000301872892 201240763 /nfs/dbraw/zinc/24/07/63/201240763.db2.gz FZCSDUROQQRYAJ-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN Cc1cnc(NCCNc2ccc([N+](=O)[O-])cc2)nc1C ZINC000301890511 201250033 /nfs/dbraw/zinc/25/00/33/201250033.db2.gz QZDRESUBXQSDGE-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1noc(C)c1CN(C)c1sccc1[N+](=O)[O-] ZINC000301924799 201265135 /nfs/dbraw/zinc/26/51/35/201265135.db2.gz GOYMCPCGNJEJGY-UHFFFAOYSA-N 0 0 267.310 2.898 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2C[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000425512766 239086567 /nfs/dbraw/zinc/08/65/67/239086567.db2.gz NNHQXNLLAVYJGO-CLLJXQQHSA-N 0 0 289.335 2.501 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1ccc([N+](=O)[O-])cc1F ZINC000302010016 201295936 /nfs/dbraw/zinc/29/59/36/201295936.db2.gz AIKKQNCNXLYGIJ-HZMBPMFUSA-N 0 0 282.315 2.721 20 5 CFBDRN C[C@H](CNc1sccc1[N+](=O)[O-])CC1(C)OCCO1 ZINC000302027454 201306198 /nfs/dbraw/zinc/30/61/98/201306198.db2.gz QVQWMFSLZIXQMO-VIFPVBQESA-N 0 0 286.353 2.857 20 5 CFBDRN C[C@@H](Sc1ncnn1C)c1cccc([N+](=O)[O-])c1 ZINC000185756846 189420708 /nfs/dbraw/zinc/42/07/08/189420708.db2.gz GKAZJZUXMOSETC-MRVPVSSYSA-N 0 0 264.310 2.577 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CC(C)(C)OC2(C)C)c1[N+](=O)[O-] ZINC000302075685 201334202 /nfs/dbraw/zinc/33/42/02/201334202.db2.gz WDMUGJHHJYHQBV-SNVBAGLBSA-N 0 0 296.371 2.649 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@H]1CCCC[C@@H]1O ZINC000302084549 201338031 /nfs/dbraw/zinc/33/80/31/201338031.db2.gz YWSWPCHZYDNDGE-DOMZBBRYSA-N 0 0 278.352 2.891 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCc3ccccc32)nc1 ZINC000302097517 201341216 /nfs/dbraw/zinc/34/12/16/201341216.db2.gz JSAUQFLGFUGASA-LBPRGKRZSA-N 0 0 284.319 2.917 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCCCc1ccccc1 ZINC000186343236 189437256 /nfs/dbraw/zinc/43/72/56/189437256.db2.gz VQVPHJNJBQEITQ-UHFFFAOYSA-N 0 0 272.304 2.779 20 5 CFBDRN Cc1cc(N[C@H](C)C[S@](C)=O)c(Cl)cc1[N+](=O)[O-] ZINC000302157908 201373264 /nfs/dbraw/zinc/37/32/64/201373264.db2.gz NFPMQNRFZSRKLR-CVJBHZAOSA-N 0 0 290.772 2.736 20 5 CFBDRN CC(C)(C)[C@@H]1OCCC[C@H]1CNc1ncc([N+](=O)[O-])cn1 ZINC000302164682 201375848 /nfs/dbraw/zinc/37/58/48/201375848.db2.gz QTQWACXJTRMDJO-CMPLNLGQSA-N 0 0 294.355 2.638 20 5 CFBDRN CC(C)(C)[C@H]1OCCC[C@H]1CNc1ncc([N+](=O)[O-])cn1 ZINC000302164683 201377151 /nfs/dbraw/zinc/37/71/51/201377151.db2.gz QTQWACXJTRMDJO-JQWIXIFHSA-N 0 0 294.355 2.638 20 5 CFBDRN CCOc1cc(NCC2(CO)CCC2)ccc1[N+](=O)[O-] ZINC000302166935 201377332 /nfs/dbraw/zinc/37/73/32/201377332.db2.gz OYPGVYFRXNFYAG-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN CCOC(=O)[C@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])cc1F ZINC000302171482 201378087 /nfs/dbraw/zinc/37/80/87/201378087.db2.gz UNDCZQQPZZMPQX-BDAKNGLRSA-N 0 0 284.287 2.734 20 5 CFBDRN O=[N+]([O-])c1cnc(Sc2ccc(F)c(F)c2)nc1 ZINC000302178407 201382543 /nfs/dbraw/zinc/38/25/43/201382543.db2.gz YCRANZZRHVHMJM-UHFFFAOYSA-N 0 0 269.232 2.814 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2C[C@@H]2c2ccccc2)nc1 ZINC000302181859 201385036 /nfs/dbraw/zinc/38/50/36/201385036.db2.gz ZZKZBRWUSQELEM-DGCLKSJQSA-N 0 0 270.292 2.600 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000187395391 189466735 /nfs/dbraw/zinc/46/67/35/189466735.db2.gz HSVGCVLLUVDFAK-YPMHNXCESA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1cc(CNc2cccc([N+](=O)[O-])c2C)ncn1 ZINC000427567342 239319846 /nfs/dbraw/zinc/31/98/46/239319846.db2.gz WDVBTXPKMAGVJA-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=C1O[C@H](COc2ccc([N+](=O)[O-])cc2F)CC12CCC2 ZINC000187889814 189480057 /nfs/dbraw/zinc/48/00/57/189480057.db2.gz FVWZIRSILIKAEP-JTQLQIEISA-N 0 0 295.266 2.599 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccccc1O ZINC000427804158 239338131 /nfs/dbraw/zinc/33/81/31/239338131.db2.gz CHMFQRHXRSKCSI-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN Cc1cccc(NCCCCCCO)c1[N+](=O)[O-] ZINC000188395139 189501008 /nfs/dbraw/zinc/50/10/08/189501008.db2.gz GWSPCNDXVTUXKF-UHFFFAOYSA-N 0 0 252.314 2.868 20 5 CFBDRN Cc1c(NC(=O)c2cnn(C(C)C)c2)cccc1[N+](=O)[O-] ZINC000188489488 189504395 /nfs/dbraw/zinc/50/43/95/189504395.db2.gz VYRHEPLOUATRBP-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CCOC[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000189548240 189537903 /nfs/dbraw/zinc/53/79/03/189537903.db2.gz HYGKZQLCNNOVEK-GFCCVEGCSA-N 0 0 298.314 2.525 20 5 CFBDRN COc1cc(C(=O)N(C)[C@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000429249951 239594313 /nfs/dbraw/zinc/59/43/13/239594313.db2.gz DCIGQPBNPWNVQR-PWSUYJOCSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H]1[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])CCC[C@@H]1C ZINC000193320348 291309159 /nfs/dbraw/zinc/30/91/59/291309159.db2.gz JFXCYUHGYPGMPG-HOSYDEDBSA-N 0 0 291.351 2.732 20 5 CFBDRN CCC(C)(C)CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000189997178 189551536 /nfs/dbraw/zinc/55/15/36/189551536.db2.gz ZMJNZJUPHCOTNP-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1nccn1C ZINC000341872771 299345720 /nfs/dbraw/zinc/34/57/20/299345720.db2.gz FMLDXPZTRFSUMF-QWRGUYRKSA-N 0 0 274.324 2.740 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H](c2ccccc2)C2CC2)nc1 ZINC000431474401 239897177 /nfs/dbraw/zinc/89/71/77/239897177.db2.gz CPBQXSLGJMFOKF-AWEZNQCLSA-N 0 0 284.319 2.991 20 5 CFBDRN CN(CCc1cnccn1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432145777 239970079 /nfs/dbraw/zinc/97/00/79/239970079.db2.gz WMPPGOXSXVEHDG-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN O=[N+]([O-])c1c(NCCC2CC(O)C2)ccc2ncccc21 ZINC000432854203 240057075 /nfs/dbraw/zinc/05/70/75/240057075.db2.gz MQYMBLZIYOQRGB-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ccc(-c3cc[nH]n3)o2)cc1 ZINC000433309659 240140877 /nfs/dbraw/zinc/14/08/77/240140877.db2.gz BYGOGNLWBAQYAS-UHFFFAOYSA-N 0 0 298.302 2.868 20 5 CFBDRN Cc1cc(C)cc(CN(C)c2c([N+](=O)[O-])ncn2C)c1 ZINC000433804049 240180487 /nfs/dbraw/zinc/18/04/87/240180487.db2.gz OVDTUNUOPNWMCZ-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN C[C@@H]1C[C@H]1c1cc(NC(=O)c2ccc([N+](=O)[O-])s2)n[nH]1 ZINC000194584303 189664107 /nfs/dbraw/zinc/66/41/07/189664107.db2.gz ZZCGICLYAGRSBI-RNFRBKRXSA-N 0 0 292.320 2.755 20 5 CFBDRN CS[C@H]1CCCCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000434948348 240279534 /nfs/dbraw/zinc/27/95/34/240279534.db2.gz WTABOCYHVWRYJF-NSHDSACASA-N 0 0 267.354 2.712 20 5 CFBDRN COc1cccc(C(=O)N(C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000435717528 240343867 /nfs/dbraw/zinc/34/38/67/240343867.db2.gz OLRDOFOYPIAMGZ-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN Cc1nn(Cc2nc3ccccc3s2)cc1[N+](=O)[O-] ZINC000436475151 240450270 /nfs/dbraw/zinc/45/02/70/240450270.db2.gz SCCXXEGMZVVVEJ-UHFFFAOYSA-N 0 0 274.305 2.758 20 5 CFBDRN Cc1cccc(NCCCNC(=O)C2CCC2)c1[N+](=O)[O-] ZINC000275382834 193016171 /nfs/dbraw/zinc/01/61/71/193016171.db2.gz BPJNWQGLCFYCCW-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN COc1ccc(F)cc1CNc1ncccc1[N+](=O)[O-] ZINC000302386108 201442132 /nfs/dbraw/zinc/44/21/32/201442132.db2.gz CRZSPRYWMPLJOC-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1cccc(N(C)C[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000275405809 193025153 /nfs/dbraw/zinc/02/51/53/193025153.db2.gz FLUXKYQYDILJBN-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2cccc(C)c2[N+](=O)[O-])[C@H]1OC ZINC000275526843 193067653 /nfs/dbraw/zinc/06/76/53/193067653.db2.gz XNMFPHARUYXWOC-YRGRVCCFSA-N 0 0 280.324 2.508 20 5 CFBDRN COc1c(O)cccc1/C=C/c1ccc([N+](=O)[O-])cn1 ZINC000439512763 240781369 /nfs/dbraw/zinc/78/13/69/240781369.db2.gz MAQOBWAOASMXOT-AATRIKPKSA-N 0 0 272.260 2.874 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC=C(F)C1 ZINC000275781794 193126366 /nfs/dbraw/zinc/12/63/66/193126366.db2.gz PQSQIDNJFXUGHW-UHFFFAOYSA-N 0 0 279.271 2.994 20 5 CFBDRN CC[C@H](F)COc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC000440577773 240856062 /nfs/dbraw/zinc/85/60/62/240856062.db2.gz MSLVNYRYPVYGHY-VIFPVBQESA-N 0 0 271.244 2.508 20 5 CFBDRN COc1cc(Nc2c([N+](=O)[O-])c(C)nn2C)ccc1F ZINC000275802509 193133352 /nfs/dbraw/zinc/13/33/52/193133352.db2.gz KKKVFDIYSYRRFF-UHFFFAOYSA-N 0 0 280.259 2.528 20 5 CFBDRN Cc1cc(C)cc(CCNc2ncc([N+](=O)[O-])s2)c1 ZINC000443522469 241069109 /nfs/dbraw/zinc/06/91/09/241069109.db2.gz YVLWWDXFLAFPBD-UHFFFAOYSA-N 0 0 277.349 2.745 20 5 CFBDRN CCOCCC1(CNc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000443892187 241094113 /nfs/dbraw/zinc/09/41/13/241094113.db2.gz RIENKSGPZKQJKN-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CCOc1ccc(C(=O)Nc2ncc(C)o2)cc1[N+](=O)[O-] ZINC000443931232 241095308 /nfs/dbraw/zinc/09/53/08/241095308.db2.gz YEHONZRNEFNQNB-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000444463571 241141696 /nfs/dbraw/zinc/14/16/96/241141696.db2.gz GWCYBAFTZYEDEK-ONGXEEELSA-N 0 0 280.299 2.581 20 5 CFBDRN COc1ccc(CN2CCc3ncc([N+](=O)[O-])cc3C2)cc1 ZINC000444681559 241167753 /nfs/dbraw/zinc/16/77/53/241167753.db2.gz VGSKXDPLRUMGSY-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN COC[C@H](C)CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000446059233 241265559 /nfs/dbraw/zinc/26/55/59/241265559.db2.gz IRGQFGBDTOCREC-GHMZBOCLSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1ccc(-c2noc(-c3ccon3)n2)cc1[N+](=O)[O-] ZINC000445211686 241212142 /nfs/dbraw/zinc/21/21/42/241212142.db2.gz KYVBXDSFJKTWMY-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)o1 ZINC000445246003 241212151 /nfs/dbraw/zinc/21/21/51/241212151.db2.gz CYWANHRORAXKNO-UHFFFAOYSA-N 0 0 288.259 2.951 20 5 CFBDRN Cc1ccc(Cn2nccc2[N+](=O)[O-])c(Cl)c1 ZINC000446078129 241275371 /nfs/dbraw/zinc/27/53/71/241275371.db2.gz LXPTXSGQVYYCML-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN Cc1ccc(-c2nc(-c3c([N+](=O)[O-])ncn3C)no2)c(C)c1 ZINC000445583846 241222990 /nfs/dbraw/zinc/22/29/90/241222990.db2.gz OWXZIUGLGAEYQF-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSc1ncco1 ZINC000446080128 241275882 /nfs/dbraw/zinc/27/58/82/241275882.db2.gz RPUSNPYXZCJRTF-UHFFFAOYSA-N 0 0 266.278 2.754 20 5 CFBDRN C=Cn1cc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000446814210 241327528 /nfs/dbraw/zinc/32/75/28/241327528.db2.gz JUNISIHGUUYSRP-NSHDSACASA-N 0 0 272.308 2.743 20 5 CFBDRN Cc1ncccc1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000446104182 241277928 /nfs/dbraw/zinc/27/79/28/241277928.db2.gz SGPXOBHSYVXLOE-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CSc3ncco3)nc2c1 ZINC000446118110 241278995 /nfs/dbraw/zinc/27/89/95/241278995.db2.gz TZOSYKTYPOYIGO-UHFFFAOYSA-N 0 0 276.277 2.751 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@]1(C)CCO[C@@H]1C ZINC000446485538 241302261 /nfs/dbraw/zinc/30/22/61/241302261.db2.gz QIFCADVPKAQMCI-YGRLFVJLSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@H](C)O[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000446610525 241307430 /nfs/dbraw/zinc/30/74/30/241307430.db2.gz MXLLVYVZIJZOMG-SDDRHHMPSA-N 0 0 292.335 2.541 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H]1CCO[C@@H](C)C1 ZINC000447285315 241362481 /nfs/dbraw/zinc/36/24/81/241362481.db2.gz OMJTZYZNNUERDX-QWRGUYRKSA-N 0 0 281.308 2.797 20 5 CFBDRN C[C@@H]1C[C@@H](NCc2ccc([N+](=O)[O-])cc2F)c2nccn21 ZINC000449569090 242040008 /nfs/dbraw/zinc/04/00/08/242040008.db2.gz GEFBOWUPTQKOND-NOZJJQNGSA-N 0 0 290.298 2.726 20 5 CFBDRN CCn1ccnc1[C@H](C)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000449562968 242035672 /nfs/dbraw/zinc/03/56/72/242035672.db2.gz PRPHIBYWTXOZOE-LBPRGKRZSA-N 0 0 288.351 2.970 20 5 CFBDRN Cc1nc(NCc2ccc(C(C)C)cc2)ncc1[N+](=O)[O-] ZINC000450272778 242335967 /nfs/dbraw/zinc/33/59/67/242335967.db2.gz DGROOKCXUDRXPP-UHFFFAOYSA-N 0 0 286.335 2.851 20 5 CFBDRN CCC[C@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450329783 242358644 /nfs/dbraw/zinc/35/86/44/242358644.db2.gz MOVCQJMXISPTHS-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450334402 242360575 /nfs/dbraw/zinc/36/05/75/242360575.db2.gz ZWSAIZLPKRBWFE-QMTHXVAHSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H](Nc1nccc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000450246219 242321656 /nfs/dbraw/zinc/32/16/56/242321656.db2.gz QATDAZSPWSXWPZ-SECBINFHSA-N 0 0 257.293 2.775 20 5 CFBDRN C[C@]1(F)CCCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000295055970 291399314 /nfs/dbraw/zinc/39/93/14/291399314.db2.gz YQNGAEHIBRAZJK-LBPRGKRZSA-N 0 0 253.277 2.505 20 5 CFBDRN CCC1(CC)[C@H](Nc2ncc([N+](=O)[O-])c(C)n2)C[C@@H]1OC ZINC000450394485 242395687 /nfs/dbraw/zinc/39/56/87/242395687.db2.gz RQIPDFWTSWZPSU-NEPJUHHUSA-N 0 0 294.355 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@H]3C[C@H]3C3CC3)nc2c1 ZINC000450500412 242457036 /nfs/dbraw/zinc/45/70/36/242457036.db2.gz KUSQFMBGUJENKK-GXSJLCMTSA-N 0 0 258.281 2.682 20 5 CFBDRN C[C@@H](CC(C)(C)O)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000450689387 242543952 /nfs/dbraw/zinc/54/39/52/242543952.db2.gz GNDANBJYSRZAKF-VIFPVBQESA-N 0 0 279.340 2.524 20 5 CFBDRN Cc1cnc(N[C@H]([C@@H](CO)C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC000450783976 242602031 /nfs/dbraw/zinc/60/20/31/242602031.db2.gz YTYROHNJRZQLQP-JSGCOSHPSA-N 0 0 291.351 2.507 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])c2nccn21 ZINC000450830224 242627219 /nfs/dbraw/zinc/62/72/19/242627219.db2.gz NNKNTTLIEIUOGE-VXNVDRBHSA-N 0 0 293.714 2.958 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C[C@H]1C ZINC000450753030 242583057 /nfs/dbraw/zinc/58/30/57/242583057.db2.gz GJODONGXFMTCJG-BMIGLBTASA-N 0 0 292.335 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cnc(C3CC3)o2)n1 ZINC000450916775 242670195 /nfs/dbraw/zinc/67/01/95/242670195.db2.gz RXHMIYKSMHGGFD-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN COCC1(CNc2nc(C)ccc2[N+](=O)[O-])CCCC1 ZINC000450920044 242670483 /nfs/dbraw/zinc/67/04/83/242670483.db2.gz SEBKUWZVDUWKLV-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2C[C@H]2C2CC2)nc2sccn21 ZINC000450917640 242671507 /nfs/dbraw/zinc/67/15/07/242671507.db2.gz FEPRLDICSPQCHH-IUCAKERBSA-N 0 0 278.337 2.762 20 5 CFBDRN C[C@H]1CCC(=O)[C@@H](Oc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000450889608 242658996 /nfs/dbraw/zinc/65/89/96/242658996.db2.gz RLIJFBXMYRMXDK-SDBXPKJASA-N 0 0 267.256 2.870 20 5 CFBDRN O=C(N[C@@H]1CC12CC2)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000335494671 284019161 /nfs/dbraw/zinc/01/91/61/284019161.db2.gz HYLQRUCNLJUAIA-SNVBAGLBSA-N 0 0 266.684 2.531 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1C[N@H+]1CC[C@H](CCCO)C1 ZINC000452115704 243050960 /nfs/dbraw/zinc/05/09/60/243050960.db2.gz FSPXFQXYYNRRTJ-NSHDSACASA-N 0 0 298.770 2.843 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)OC ZINC000452423810 243164481 /nfs/dbraw/zinc/16/44/81/243164481.db2.gz XYWVUSXQXVDWCL-RNCFNFMXSA-N 0 0 298.314 2.525 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](F)C1 ZINC000452381007 243148290 /nfs/dbraw/zinc/14/82/90/243148290.db2.gz SHDNVOACIIWCOC-QWHCGFSZSA-N 0 0 294.326 2.734 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@H](C)CCC=C(C)C)n1 ZINC000452542788 243220289 /nfs/dbraw/zinc/22/02/89/243220289.db2.gz OHVMLOOUJZFQRF-LLVKDONJSA-N 0 0 294.355 2.676 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000452769137 243292195 /nfs/dbraw/zinc/29/21/95/243292195.db2.gz XQCVJDCTTGKMLG-AXFHLTTASA-N 0 0 294.326 3.000 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000452769138 243292280 /nfs/dbraw/zinc/29/22/80/243292280.db2.gz XQCVJDCTTGKMLG-MXWKQRLJSA-N 0 0 294.326 3.000 20 5 CFBDRN CSCC(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000452887367 243329947 /nfs/dbraw/zinc/32/99/47/243329947.db2.gz RXMOECQZEFMFDK-LBPRGKRZSA-N 0 0 294.376 2.664 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)C(C)C ZINC000330561243 202973147 /nfs/dbraw/zinc/97/31/47/202973147.db2.gz IBVMVFHHKVNPSI-QMMMGPOBSA-N 0 0 256.327 2.821 20 5 CFBDRN CC(C)[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330577891 202995459 /nfs/dbraw/zinc/99/54/59/202995459.db2.gz NRHAYCBCABPXDF-MNOVXSKESA-N 0 0 279.340 2.868 20 5 CFBDRN COC1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000454278384 243676421 /nfs/dbraw/zinc/67/64/21/243676421.db2.gz VSIPTOSVWGRBJM-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN CC[C@@H](O)[C@H](CC)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000455203114 243913843 /nfs/dbraw/zinc/91/38/43/243913843.db2.gz XORCLLVTAJYJCS-WCQYABFASA-N 0 0 295.339 2.574 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccncc1[N+](=O)[O-])C1CCCC1 ZINC000455032908 243874302 /nfs/dbraw/zinc/87/43/02/243874302.db2.gz KLLLFXTVEZVFER-LLVKDONJSA-N 0 0 292.339 2.502 20 5 CFBDRN C[C@H]1CC=C(C(=O)NCCc2ccccc2[N+](=O)[O-])CC1 ZINC000455776112 244015908 /nfs/dbraw/zinc/01/59/08/244015908.db2.gz BVQXPKJJFIKWNY-LBPRGKRZSA-N 0 0 288.347 3.000 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@H](C)C1 ZINC000330674415 203104152 /nfs/dbraw/zinc/10/41/52/203104152.db2.gz GIOQPWBYVXMHOH-GHMZBOCLSA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000456118753 244080438 /nfs/dbraw/zinc/08/04/38/244080438.db2.gz QYOGBBXCIZFHNS-KOLCDFICSA-N 0 0 296.754 2.953 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCCC1CCOCC1 ZINC000330711169 203142266 /nfs/dbraw/zinc/14/22/66/203142266.db2.gz PQKFLVFWRHQFNW-UHFFFAOYSA-N 0 0 267.329 2.697 20 5 CFBDRN CCC1(NC(=O)c2c[nH]c(=O)c([N+](=O)[O-])c2)CCCCC1 ZINC000456211367 244104630 /nfs/dbraw/zinc/10/46/30/244104630.db2.gz BKUVECCFBIPULG-UHFFFAOYSA-N 0 0 293.323 2.538 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)O1 ZINC000330722842 203154717 /nfs/dbraw/zinc/15/47/17/203154717.db2.gz YLUCVCFRZLUEGD-YPMHNXCESA-N 0 0 278.308 2.799 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000330724690 203156214 /nfs/dbraw/zinc/15/62/14/203156214.db2.gz ZRYKOUZOSNDTKH-WDEREUQCSA-N 0 0 290.319 2.847 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc2c(n1)CCC2 ZINC000456655587 244252917 /nfs/dbraw/zinc/25/29/17/244252917.db2.gz HBJSKMKUDJZREN-UHFFFAOYSA-N 0 0 297.314 2.660 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000456828526 244297421 /nfs/dbraw/zinc/29/74/21/244297421.db2.gz ZMRYAPPMJXTXEX-WDEREUQCSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@H]2C2CC2)c1 ZINC000456884754 244317496 /nfs/dbraw/zinc/31/74/96/244317496.db2.gz SCTFVPMWUUUDJV-RYUDHWBXSA-N 0 0 274.320 2.679 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000456951375 244335741 /nfs/dbraw/zinc/33/57/41/244335741.db2.gz XOISTWBKCVTUCC-MWLCHTKSSA-N 0 0 298.364 2.652 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H](C1CC1)C1CCC1 ZINC000457265076 244461559 /nfs/dbraw/zinc/46/15/59/244461559.db2.gz YWCYQDPRDRJQCH-INIZCTEOSA-N 0 0 288.347 2.832 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCc2ccccn2)c(F)c1 ZINC000227887226 189789355 /nfs/dbraw/zinc/78/93/55/189789355.db2.gz PPOOILPUFDXWBY-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN NC(=O)[C@H]1CCCC[C@@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000276234305 193251271 /nfs/dbraw/zinc/25/12/71/193251271.db2.gz OBMLGTRVUMFMJW-UWVGGRQHSA-N 0 0 297.742 2.704 20 5 CFBDRN CC1(C)C[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C(=O)O1 ZINC000276242107 193253949 /nfs/dbraw/zinc/25/39/49/193253949.db2.gz PMVXAZFSVIEKDE-VIFPVBQESA-N 0 0 284.699 2.754 20 5 CFBDRN CC1(C)SC[C@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000276247587 193255703 /nfs/dbraw/zinc/25/57/03/193255703.db2.gz AVLVNBHRUBTHTL-MRVPVSSYSA-N 0 0 273.745 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@H](O)C2)cc1 ZINC000230909705 189811793 /nfs/dbraw/zinc/81/17/93/189811793.db2.gz WJUBYMQMEBBHFC-MFKMUULPSA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CSC1(C)C ZINC000276319648 193286536 /nfs/dbraw/zinc/28/65/36/193286536.db2.gz WKTQHTOEDKCAKX-LLVKDONJSA-N 0 0 280.349 2.527 20 5 CFBDRN CO[C@@H](C)CCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276531012 193369150 /nfs/dbraw/zinc/36/91/50/193369150.db2.gz BYNBNXPFFAHDFJ-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN COC(C)(C)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000276546935 193376134 /nfs/dbraw/zinc/37/61/34/193376134.db2.gz DVOILZDYUGAEBQ-UHFFFAOYSA-N 0 0 263.253 2.526 20 5 CFBDRN C[C@H](Nc1nc2ccccc2cc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000276594724 193394124 /nfs/dbraw/zinc/39/41/24/193394124.db2.gz JVRMYPWUTQCXOB-JQWIXIFHSA-N 0 0 287.319 2.980 20 5 CFBDRN CN(C[C@H]1CCCO1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000276602841 193396530 /nfs/dbraw/zinc/39/65/30/193396530.db2.gz KQYBQGPJSRPJDU-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@H](C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276607535 193399144 /nfs/dbraw/zinc/39/91/44/193399144.db2.gz LDMYIDJBHTUHED-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN COC[C@@H](C)N(C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000276725004 193441168 /nfs/dbraw/zinc/44/11/68/193441168.db2.gz ZSYFAIAMANPETL-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ncc[nH]1 ZINC000138923722 296151624 /nfs/dbraw/zinc/15/16/24/296151624.db2.gz HIDWNDXEBPEVMZ-VHSXEESVSA-N 0 0 260.297 2.730 20 5 CFBDRN COC1(CC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCC1 ZINC000276741900 193447179 /nfs/dbraw/zinc/44/71/79/193447179.db2.gz FBHWCGJMZPOBKK-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN CCOC1CC(CCNc2ncc(C)cc2[N+](=O)[O-])C1 ZINC000276827519 193473294 /nfs/dbraw/zinc/47/32/94/193473294.db2.gz DRGFIEZIJNDDAJ-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN CCOc1cc(CN(C)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000276985972 193523404 /nfs/dbraw/zinc/52/34/04/193523404.db2.gz ZDDKKWBXZTXEDH-UHFFFAOYSA-N 0 0 292.257 2.988 20 5 CFBDRN C[C@H](F)CCNC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000458900495 244997356 /nfs/dbraw/zinc/99/73/56/244997356.db2.gz XQZGKWBRHWDFGJ-JTQLQIEISA-N 0 0 297.330 2.877 20 5 CFBDRN Cc1cccc2c1CN(C(=O)c1ccc([N+](=O)[O-])o1)CC2 ZINC000277109549 193557058 /nfs/dbraw/zinc/55/70/58/193557058.db2.gz XIJNJPLXPJIKNT-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN COc1cc(NC2CC(F)(F)C2)c(F)cc1[N+](=O)[O-] ZINC000277211923 193585780 /nfs/dbraw/zinc/58/57/80/193585780.db2.gz QSHBZIBEWOTXII-UHFFFAOYSA-N 0 0 276.214 2.952 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H](C)[C@@H](C)C1 ZINC000277184293 193578930 /nfs/dbraw/zinc/57/89/30/193578930.db2.gz ANWAQUWVRNVEFK-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN O=C(N[C@H]1CCSC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000266495732 284218876 /nfs/dbraw/zinc/21/88/76/284218876.db2.gz RGLFRWNWYZFNIE-VIFPVBQESA-N 0 0 292.316 2.576 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H](C)[C@H](C)O1 ZINC000408442589 297084446 /nfs/dbraw/zinc/08/44/46/297084446.db2.gz JVKNTJYONULTJL-VGMNWLOBSA-N 0 0 285.731 2.645 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000459473265 245190740 /nfs/dbraw/zinc/19/07/40/245190740.db2.gz BLLKTSJHJJUVAB-TXEJJXNPSA-N 0 0 293.323 2.592 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CNCC(F)F ZINC000459550535 245215558 /nfs/dbraw/zinc/21/55/58/245215558.db2.gz UHFKTODTYSALKH-WEVVVXLNSA-N 0 0 256.252 2.853 20 5 CFBDRN COC1CN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1 ZINC000459585479 245229349 /nfs/dbraw/zinc/22/93/49/245229349.db2.gz RXHSUCMIXFKBLI-UHFFFAOYSA-N 0 0 288.303 2.685 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CN(Cc3cccs3)C2)cc1 ZINC000459621269 245245702 /nfs/dbraw/zinc/24/57/02/245245702.db2.gz MVWLJNZHOWIWSK-UHFFFAOYSA-N 0 0 290.344 2.920 20 5 CFBDRN Cc1ccc(CN2CC(Oc3ccc([N+](=O)[O-])cc3)C2)cn1 ZINC000459625184 245247924 /nfs/dbraw/zinc/24/79/24/245247924.db2.gz XHHKCXZODZBPBY-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN O=C(NCC1CCCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000331622026 204020110 /nfs/dbraw/zinc/02/01/10/204020110.db2.gz GVUZIXDIQITGBN-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1NCCCCO ZINC000236505075 189833884 /nfs/dbraw/zinc/83/38/84/189833884.db2.gz LJGHGORMNUJEMU-UHFFFAOYSA-N 0 0 289.129 2.542 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])c1 ZINC000000236351 371564700 /nfs/dbraw/zinc/56/47/00/371564700.db2.gz QYUQFQOLKDJEKN-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cc(SCc2ccc([N+](=O)[O-])cc2)ncn1 ZINC000001286543 371664621 /nfs/dbraw/zinc/66/46/21/371664621.db2.gz DOCOJYGXCDBMRW-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN O=C(Nc1cc2c(cn1)CCCC2)c1ccc([N+](=O)[O-])o1 ZINC000362270517 284288164 /nfs/dbraw/zinc/28/81/64/284288164.db2.gz OUDKWQRYMZNACU-UHFFFAOYSA-N 0 0 287.275 2.714 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ncccc2C)c1 ZINC000001511603 371680093 /nfs/dbraw/zinc/68/00/93/371680093.db2.gz OAAFEYNSKKFECM-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COC(=O)[C@H](CNc1ccccc1[N+](=O)[O-])CC(C)C ZINC000462876160 245393679 /nfs/dbraw/zinc/39/36/79/245393679.db2.gz VABKUEBGOVFFEQ-NSHDSACASA-N 0 0 280.324 2.842 20 5 CFBDRN O=C(NCc1ccsc1)c1ccccc1[N+](=O)[O-] ZINC000013698121 372041844 /nfs/dbraw/zinc/04/18/44/372041844.db2.gz IUUONALWMUSDPC-UHFFFAOYSA-N 0 0 262.290 2.586 20 5 CFBDRN COc1cccc(COc2cccnc2[N+](=O)[O-])c1 ZINC000016247536 372103637 /nfs/dbraw/zinc/10/36/37/372103637.db2.gz KGUZPVGMRXSRCO-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CCC(CC)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000018177827 372142933 /nfs/dbraw/zinc/14/29/33/372142933.db2.gz SAIDPFPFFAMHBR-UHFFFAOYSA-N 0 0 251.286 2.905 20 5 CFBDRN CCC(C)(C)NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000018185284 372143989 /nfs/dbraw/zinc/14/39/89/372143989.db2.gz BXCSNKUDLUMVRJ-CMDGGOBGSA-N 0 0 262.309 2.913 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1COc2ccccc2C1 ZINC000016963164 372122857 /nfs/dbraw/zinc/12/28/57/372122857.db2.gz PEEMHRFCWPBMBL-GFCCVEGCSA-N 0 0 299.282 2.752 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000019871364 372202057 /nfs/dbraw/zinc/20/20/57/372202057.db2.gz ZHIVDLMKAHTAGD-LBPRGKRZSA-N 0 0 279.292 2.745 20 5 CFBDRN CN(C)c1ccc(Nc2ccccc2[N+](=O)[O-])cn1 ZINC000024240335 372272727 /nfs/dbraw/zinc/27/27/27/372272727.db2.gz WCICODJUDYHOLM-UHFFFAOYSA-N 0 0 258.281 2.799 20 5 CFBDRN CCOc1cc(C(=O)N[C@@H](C)CC)c([N+](=O)[O-])cc1OC ZINC000027338334 372338719 /nfs/dbraw/zinc/33/87/19/372338719.db2.gz UDUWHVKZCYWCNG-VIFPVBQESA-N 0 0 296.323 2.530 20 5 CFBDRN CNc1ccc(C(=O)Nc2cccc(C)n2)cc1[N+](=O)[O-] ZINC000027655345 372345197 /nfs/dbraw/zinc/34/51/97/372345197.db2.gz GGNHLZFUICBBMQ-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000027707707 372347212 /nfs/dbraw/zinc/34/72/12/372347212.db2.gz LZBMXSHVBBIVOH-ZJUUUORDSA-N 0 0 266.297 2.886 20 5 CFBDRN COc1cccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c1 ZINC000029250694 372376672 /nfs/dbraw/zinc/37/66/72/372376672.db2.gz GSZILVWMBUVDRM-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN Cc1cc(C)c(C(=O)Cn2cnc([N+](=O)[O-])c2)cc1C ZINC000029749545 372385745 /nfs/dbraw/zinc/38/57/45/372385745.db2.gz IFAPMHDITNQYSP-UHFFFAOYSA-N 0 0 273.292 2.599 20 5 CFBDRN O=C(c1cc(Cl)c[nH]1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029889487 372387509 /nfs/dbraw/zinc/38/75/09/372387509.db2.gz DVIGQVUYWHNCMJ-UHFFFAOYSA-N 0 0 291.694 2.779 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCCC(C)C)c1 ZINC000031551231 372412584 /nfs/dbraw/zinc/41/25/84/372412584.db2.gz YGSBKKLPCSJSQO-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000031930132 372422688 /nfs/dbraw/zinc/42/26/88/372422688.db2.gz VRELJSHRZQGFOQ-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000032394568 372438822 /nfs/dbraw/zinc/43/88/22/372438822.db2.gz KFZLTLNCWPSBEL-JGVFFNPUSA-N 0 0 268.700 2.634 20 5 CFBDRN COC(=O)c1cc(COc2ccccc2[N+](=O)[O-])oc1C ZINC000032856757 372468564 /nfs/dbraw/zinc/46/85/64/372468564.db2.gz SNXKIIPYBBJREU-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCc3c[nH]nc3C2)c1 ZINC000467434981 245472659 /nfs/dbraw/zinc/47/26/59/245472659.db2.gz GSVYKVDOCONRNI-LLVKDONJSA-N 0 0 272.308 2.596 20 5 CFBDRN C[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])c1cccnc1 ZINC000035124478 372544231 /nfs/dbraw/zinc/54/42/31/372544231.db2.gz XRMONGCLPODADW-MRVPVSSYSA-N 0 0 289.320 2.872 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332734028 204935615 /nfs/dbraw/zinc/93/56/15/204935615.db2.gz XDQDSCPSYGGBKV-WDEREUQCSA-N 0 0 291.351 2.557 20 5 CFBDRN Cc1noc(C)c1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000036967131 372617518 /nfs/dbraw/zinc/61/75/18/372617518.db2.gz YNRCAKBXWBTOGE-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CC[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000037754814 372697882 /nfs/dbraw/zinc/69/78/82/372697882.db2.gz FVTBXQRXORQGDM-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN CC(=O)c1ccc(N(C)[C@@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000037175139 372662133 /nfs/dbraw/zinc/66/21/33/372662133.db2.gz UKVZZDRIGLAKIO-LLVKDONJSA-N 0 0 280.349 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2Cc3ccccc32)nc1 ZINC000037566392 372666774 /nfs/dbraw/zinc/66/67/74/372666774.db2.gz WZRBZELJYQOGGV-NSHDSACASA-N 0 0 255.277 2.742 20 5 CFBDRN CC(C)CCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669549 372688658 /nfs/dbraw/zinc/68/86/58/372688658.db2.gz AZABJVQIWCKHRV-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN Cc1nc(CNCc2ccc([N+](=O)[O-])cc2)cs1 ZINC000037668349 372689071 /nfs/dbraw/zinc/68/90/71/372689071.db2.gz GUKNGUQISLLGCM-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccccc1F ZINC000037735395 372693333 /nfs/dbraw/zinc/69/33/33/372693333.db2.gz PJAOUMCNXYMMDA-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000277596842 193702794 /nfs/dbraw/zinc/70/27/94/193702794.db2.gz PPTWHSZZOWLZPA-UHFFFAOYSA-N 0 0 295.245 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1cnc(C)s1 ZINC000037797390 372711955 /nfs/dbraw/zinc/71/19/55/372711955.db2.gz BGMOIWWFOVASGS-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCOC2)ccc2ncccc21 ZINC000037998945 372757824 /nfs/dbraw/zinc/75/78/24/372757824.db2.gz QYDACIOWBLMJKB-SNVBAGLBSA-N 0 0 273.292 2.591 20 5 CFBDRN Cc1noc(C)c1CN(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000042098623 372872355 /nfs/dbraw/zinc/87/23/55/372872355.db2.gz GYINPIFKHDGEIU-UHFFFAOYSA-N 0 0 279.271 2.975 20 5 CFBDRN CC1(NC(=O)c2cc(F)ccc2[N+](=O)[O-])CCCC1 ZINC000042187874 372884362 /nfs/dbraw/zinc/88/43/62/372884362.db2.gz BNCDVPDMYALGJG-UHFFFAOYSA-N 0 0 266.272 2.796 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCN1c1cc(Cl)ccc1[N+](=O)[O-] ZINC000281162238 296192418 /nfs/dbraw/zinc/19/24/18/296192418.db2.gz LOUAYDARZIQPBV-KOLCDFICSA-N 0 0 284.743 2.988 20 5 CFBDRN CCCCNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000042878433 372963615 /nfs/dbraw/zinc/96/36/15/372963615.db2.gz HOEGDJDYFZHMDZ-UHFFFAOYSA-N 0 0 265.313 2.536 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](C)C1 ZINC000043127209 372975943 /nfs/dbraw/zinc/97/59/43/372975943.db2.gz QBFRSIHYTDIJJJ-SNVBAGLBSA-N 0 0 293.323 2.867 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1nccs1 ZINC000043160260 372979642 /nfs/dbraw/zinc/97/96/42/372979642.db2.gz YBMNQLUSEJMTFG-UHFFFAOYSA-N 0 0 292.320 2.715 20 5 CFBDRN Cc1ncc(CN(C)Cc2ccc([N+](=O)[O-])cc2)s1 ZINC000047258852 373084351 /nfs/dbraw/zinc/08/43/51/373084351.db2.gz DYNRMAXRKADCOO-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000047275257 373087645 /nfs/dbraw/zinc/08/76/45/373087645.db2.gz BNCZGNNWPLKCOO-VIFPVBQESA-N 0 0 251.286 2.857 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000048200925 373152275 /nfs/dbraw/zinc/15/22/75/373152275.db2.gz CUWPDHKRPOCCJD-NSHDSACASA-N 0 0 277.324 2.560 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000048371790 373172023 /nfs/dbraw/zinc/17/20/23/373172023.db2.gz DXGDFGGYLDWWCI-SECBINFHSA-N 0 0 277.324 2.555 20 5 CFBDRN CC(C)(C)n1cc(CNCc2ccccc2[N+](=O)[O-])cn1 ZINC000048326870 373162922 /nfs/dbraw/zinc/16/29/22/373162922.db2.gz GMXQRQRJARSNIR-UHFFFAOYSA-N 0 0 288.351 2.836 20 5 CFBDRN CCCNC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000047864461 373122733 /nfs/dbraw/zinc/12/27/33/373122733.db2.gz XZFCNZPUGGKTHI-UHFFFAOYSA-N 0 0 289.238 2.728 20 5 CFBDRN CCc1nnsc1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000048556495 373198737 /nfs/dbraw/zinc/19/87/37/373198737.db2.gz QVOMOTXIRZCACY-UHFFFAOYSA-N 0 0 293.304 2.536 20 5 CFBDRN Cc1cc(CN(C)Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000048572853 373203824 /nfs/dbraw/zinc/20/38/24/373203824.db2.gz HWSBIJOKVXOJDO-UHFFFAOYSA-N 0 0 275.308 2.832 20 5 CFBDRN CN1C(=O)c2ccccc2N[C@H]1c1csc([N+](=O)[O-])c1 ZINC000048619344 373207956 /nfs/dbraw/zinc/20/79/56/373207956.db2.gz GFJHYTTWOLTCIM-GFCCVEGCSA-N 0 0 289.316 2.853 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1Cc2ccccc2C1 ZINC000183552381 284383676 /nfs/dbraw/zinc/38/36/76/284383676.db2.gz XMSBUJKNYMMDDN-UHFFFAOYSA-N 0 0 284.275 2.538 20 5 CFBDRN CCC(CC)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000048980819 373244021 /nfs/dbraw/zinc/24/40/21/373244021.db2.gz GQGZVCPQSSZYPY-UHFFFAOYSA-N 0 0 279.340 2.625 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC(F)(F)F ZINC000049031540 373252413 /nfs/dbraw/zinc/25/24/13/373252413.db2.gz OZFVOQHPMIDXQT-UHFFFAOYSA-N 0 0 262.187 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ccccn2)c(Cl)c1 ZINC000049963224 373336889 /nfs/dbraw/zinc/33/68/89/373336889.db2.gz YSPXRMUCWHKFAD-UHFFFAOYSA-N 0 0 277.711 2.933 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cccnc2)c(Cl)c1 ZINC000049963279 373337153 /nfs/dbraw/zinc/33/71/53/373337153.db2.gz BYKORVPFYIWVKA-UHFFFAOYSA-N 0 0 277.711 2.933 20 5 CFBDRN CCn1cc([C@H](C)NCc2ccccc2[N+](=O)[O-])cn1 ZINC000050015660 373339608 /nfs/dbraw/zinc/33/96/08/373339608.db2.gz LKQSGHQXWAXRPH-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN COC(=O)C[C@@H]1CCCCN1c1ccccc1[N+](=O)[O-] ZINC000050084925 373351317 /nfs/dbraw/zinc/35/13/17/373351317.db2.gz CKOAUGWDELVTSP-NSHDSACASA-N 0 0 278.308 2.517 20 5 CFBDRN COC(=O)C[C@@H]1CCCCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000050085124 373352137 /nfs/dbraw/zinc/35/21/37/373352137.db2.gz DWTGYDDVPVSVST-LBPRGKRZSA-N 0 0 292.335 2.825 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1ccc(C)cc1[N+](=O)[O-] ZINC000050375018 373372553 /nfs/dbraw/zinc/37/25/53/373372553.db2.gz PGGMLVGWIKPCMK-DGCLKSJQSA-N 0 0 250.298 2.883 20 5 CFBDRN CNC(=O)c1ccc(SC2CCCC2)c([N+](=O)[O-])c1 ZINC000053548847 373482163 /nfs/dbraw/zinc/48/21/63/373482163.db2.gz WJSVRJSAGHBFEZ-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN CCC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000089471614 220208136 /nfs/dbraw/zinc/20/81/36/220208136.db2.gz VUXBWAWHRUXXSB-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc(OCc2csc([N+](=O)[O-])c2)nc1 ZINC000123549808 220212287 /nfs/dbraw/zinc/21/22/87/220212287.db2.gz LOPWWDKWHBUSOD-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN CC(C)OCCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000057364335 373589759 /nfs/dbraw/zinc/58/97/59/373589759.db2.gz SSTZVHPWPLOAEE-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN CCCc1ccc(C(=O)Cn2cc([N+](=O)[O-])cn2)cc1 ZINC000055386898 373549048 /nfs/dbraw/zinc/54/90/48/373549048.db2.gz BUKQDSOXAZUHQC-UHFFFAOYSA-N 0 0 273.292 2.627 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)o1 ZINC000057836094 373613667 /nfs/dbraw/zinc/61/36/67/373613667.db2.gz DLNSWXMFKUPYLG-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN COCCCCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000058554323 373664030 /nfs/dbraw/zinc/66/40/30/373664030.db2.gz MUIWLSISRBOQBX-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000062157935 373752570 /nfs/dbraw/zinc/75/25/70/373752570.db2.gz ZAKOMWYMJKKCJT-VIFPVBQESA-N 0 0 260.293 2.530 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])Nc1cccnc1 ZINC000063918659 373817501 /nfs/dbraw/zinc/81/75/01/373817501.db2.gz GCLMVDJVECONDH-GQCTYLIASA-N 0 0 287.250 2.781 20 5 CFBDRN CCCCc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000064078465 373819684 /nfs/dbraw/zinc/81/96/84/373819684.db2.gz OESCSZWEQOEHEV-UHFFFAOYSA-N 0 0 288.307 2.913 20 5 CFBDRN CSC[C@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000066764028 373952080 /nfs/dbraw/zinc/95/20/80/373952080.db2.gz CVYSPICNOHJIOJ-QMMMGPOBSA-N 0 0 269.322 2.808 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H](F)C2)c1 ZINC000336392394 220243703 /nfs/dbraw/zinc/24/37/03/220243703.db2.gz HHTIBVUSETUMCG-VHSXEESVSA-N 0 0 266.272 2.524 20 5 CFBDRN O=C(Cc1cccc(F)c1)NCc1cccc([N+](=O)[O-])c1 ZINC000067071848 373968776 /nfs/dbraw/zinc/96/87/76/373968776.db2.gz NTNUZENARODWNJ-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000431775591 383696061 /nfs/dbraw/zinc/69/60/61/383696061.db2.gz YBMGIIZFXZFHIP-GHMZBOCLSA-N 0 0 291.351 2.785 20 5 CFBDRN O=C(OC[C@@H]1CCCCO1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000070166382 374133950 /nfs/dbraw/zinc/13/39/50/374133950.db2.gz QPTSXHVLUGHBOH-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN CC[C@H](O)CCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000338288378 220251239 /nfs/dbraw/zinc/25/12/39/220251239.db2.gz RTLJUIRMZLHFGD-NSHDSACASA-N 0 0 252.314 2.866 20 5 CFBDRN CN(C(=O)/C=C\c1ccccc1[N+](=O)[O-])c1nccs1 ZINC000490704098 246021156 /nfs/dbraw/zinc/02/11/56/246021156.db2.gz VCTSCTMFVDSEDV-SREVYHEPSA-N 0 0 289.316 2.728 20 5 CFBDRN CN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000070194991 374145241 /nfs/dbraw/zinc/14/52/41/374145241.db2.gz ZFXIUSUAJOHWLD-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN COc1cccnc1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000491401361 246067204 /nfs/dbraw/zinc/06/72/04/246067204.db2.gz NCLSYXZRSYQRIT-BQYQJAHWSA-N 0 0 299.286 2.650 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000072540541 374262549 /nfs/dbraw/zinc/26/25/49/374262549.db2.gz MEENARWNTHRZLV-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000491169511 246047182 /nfs/dbraw/zinc/04/71/82/246047182.db2.gz YZHLTWMVRWHRHU-KRZKBDHCSA-N 0 0 297.314 2.880 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000072897490 374300318 /nfs/dbraw/zinc/30/03/18/374300318.db2.gz LUVPBRJZQUJQQK-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCC1CCC1 ZINC000491491928 246075133 /nfs/dbraw/zinc/07/51/33/246075133.db2.gz KIBPKHCYRPFYDK-TWGQIWQCSA-N 0 0 260.293 2.524 20 5 CFBDRN CCc1cnccc1NC(=O)C=Cc1ccccc1[N+](=O)[O-] ZINC000491852161 246098384 /nfs/dbraw/zinc/09/83/84/246098384.db2.gz WUVWIJBNMPTYHS-BQYQJAHWSA-N 0 0 297.314 2.626 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]21 ZINC000491873425 246107664 /nfs/dbraw/zinc/10/76/64/246107664.db2.gz TUTLQNJGBSAFFG-MYSQMOAQSA-N 0 0 272.304 2.619 20 5 CFBDRN C/C(=C\c1ccccc1)CN(C)c1ncc([N+](=O)[O-])cn1 ZINC000491669429 246089486 /nfs/dbraw/zinc/08/94/86/246089486.db2.gz MHJWBHGGWMBMOL-XYOKQWHBSA-N 0 0 284.319 2.925 20 5 CFBDRN COCCc1ccc(Oc2ccc([N+](=O)[O-])cn2)cc1 ZINC000073448035 374344100 /nfs/dbraw/zinc/34/41/00/374344100.db2.gz VDGOUDXWUSZYIG-UHFFFAOYSA-N 0 0 274.276 2.971 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCCc2ncccc21 ZINC000073459448 374344234 /nfs/dbraw/zinc/34/42/34/374344234.db2.gz JCNLFOCVLHMOSC-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000491924756 246124646 /nfs/dbraw/zinc/12/46/46/246124646.db2.gz KKKVHZXHFJCXQP-LASXONHFSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1cc(C(=O)N(C)c2nccs2)ccc1[N+](=O)[O-] ZINC000073831655 374391735 /nfs/dbraw/zinc/39/17/35/374391735.db2.gz MQUXYJVGMPIAKO-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491985871 246147848 /nfs/dbraw/zinc/14/78/48/246147848.db2.gz YJJRCVMPUSPDRL-MEGUKVRKSA-N 0 0 260.293 2.617 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000073785643 374382789 /nfs/dbraw/zinc/38/27/89/374382789.db2.gz PUYKADIEHFNYID-LAHYYIKRSA-N 0 0 274.320 2.865 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000073786784 374384699 /nfs/dbraw/zinc/38/46/99/374384699.db2.gz IFXFCLWVGWEOKD-YPMHNXCESA-N 0 0 291.351 2.925 20 5 CFBDRN CCO[C@H](CCNC(=O)c1ccccc1[N+](=O)[O-])C(C)C ZINC000074288341 374412968 /nfs/dbraw/zinc/41/29/68/374412968.db2.gz CMLCFVKMEGRSPE-CQSZACIVSA-N 0 0 294.351 2.776 20 5 CFBDRN Cc1c([C@@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)cnn1C ZINC000074739962 374435973 /nfs/dbraw/zinc/43/59/73/374435973.db2.gz OETAEYKMTMGPHR-SECBINFHSA-N 0 0 275.312 2.513 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@@H]1C=CCCC1 ZINC000492108122 246190002 /nfs/dbraw/zinc/19/00/02/246190002.db2.gz JQRQHMZQIPNWKL-ASCRHOAZSA-N 0 0 272.304 2.833 20 5 CFBDRN C[C@H]1CCN(C(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000492074690 246177577 /nfs/dbraw/zinc/17/75/77/246177577.db2.gz VVRQOQHFJPTRCS-ATPLWMGHSA-N 0 0 278.283 2.616 20 5 CFBDRN O=C(/C=C\C1CCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000492083867 246179060 /nfs/dbraw/zinc/17/90/60/246179060.db2.gz JBDLHMFEPSZSPB-FPLPWBNLSA-N 0 0 260.293 2.567 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000075278575 374473750 /nfs/dbraw/zinc/47/37/50/374473750.db2.gz LQBLJMNUASSOPB-IUCAKERBSA-N 0 0 268.338 2.917 20 5 CFBDRN CSC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000075362826 374476844 /nfs/dbraw/zinc/47/68/44/374476844.db2.gz MEXYXTJQMGBEDG-UHFFFAOYSA-N 0 0 274.367 2.528 20 5 CFBDRN CC[C@@H](Cc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000075682094 374500236 /nfs/dbraw/zinc/50/02/36/374500236.db2.gz ZWPACEKVZBDABF-LBPRGKRZSA-N 0 0 288.303 2.939 20 5 CFBDRN Cc1cc(NC(=O)C=Cc2ccccc2[N+](=O)[O-])c[nH]c1=O ZINC000492251838 246231377 /nfs/dbraw/zinc/23/13/77/246231377.db2.gz YYLSAMHPDXLBIQ-SREVYHEPSA-N 0 0 299.286 2.656 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)CCS1 ZINC000076073617 374531088 /nfs/dbraw/zinc/53/10/88/374531088.db2.gz WGHWYHWTWLLYGE-GFCCVEGCSA-N 0 0 296.392 2.931 20 5 CFBDRN C[C@]1(F)CCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000492282160 246245259 /nfs/dbraw/zinc/24/52/59/246245259.db2.gz GYRFEDJJWLXCGF-GJBLVYBDSA-N 0 0 278.283 2.569 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000492297249 246249309 /nfs/dbraw/zinc/24/93/09/246249309.db2.gz RGSBYQHENDJHAW-LMVHVUTASA-N 0 0 278.283 2.569 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000076291024 374545051 /nfs/dbraw/zinc/54/50/51/374545051.db2.gz MVNHZTTWCVNUGC-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN COc1ccc(NC(=O)/C=C/C2CCC2)cc1[N+](=O)[O-] ZINC000492412788 246284134 /nfs/dbraw/zinc/28/41/34/246284134.db2.gz VZBLTGLDLLUGEY-VMPITWQZSA-N 0 0 276.292 2.898 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000078944046 374576541 /nfs/dbraw/zinc/57/65/41/374576541.db2.gz NAIBGUHNGLGGGV-KWQFWETISA-N 0 0 286.291 2.579 20 5 CFBDRN Cc1ccncc1N(C)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492585068 246334876 /nfs/dbraw/zinc/33/48/76/246334876.db2.gz CNHDIJUCLNNUCB-VOTSOKGWSA-N 0 0 297.314 2.974 20 5 CFBDRN O=C(/C=C\c1cccc(O)c1)Nc1cccc([N+](=O)[O-])c1 ZINC000492730836 246370113 /nfs/dbraw/zinc/37/01/13/246370113.db2.gz BRPQWKZVBSPFSD-FPLPWBNLSA-N 0 0 284.271 2.952 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NOC1CCCCC1 ZINC000492753497 246376032 /nfs/dbraw/zinc/37/60/32/246376032.db2.gz REVUFZQVLYTYMV-DHZHZOJOSA-N 0 0 290.319 2.989 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cncc(F)c1 ZINC000492622444 246346284 /nfs/dbraw/zinc/34/62/84/246346284.db2.gz XKZGVZSHDDTNBT-UTCJRWHESA-N 0 0 287.250 2.781 20 5 CFBDRN CCOc1cc(CN(C)Cc2ccon2)ccc1[N+](=O)[O-] ZINC000492661021 246356415 /nfs/dbraw/zinc/35/64/15/246356415.db2.gz ZQKWKMWVHRMFIX-UHFFFAOYSA-N 0 0 291.307 2.614 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492879222 246412272 /nfs/dbraw/zinc/41/22/72/246412272.db2.gz CVIZOENQSNRAKY-MMJGMSOZSA-N 0 0 260.293 2.523 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)/C=C\c1ccc[nH]1 ZINC000492763336 246378187 /nfs/dbraw/zinc/37/81/87/246378187.db2.gz IPOMQNSSXVVWCD-FPLPWBNLSA-N 0 0 299.330 2.637 20 5 CFBDRN Cc1nn(Cc2ncccc2C(F)(F)F)cc1[N+](=O)[O-] ZINC000431857918 383702319 /nfs/dbraw/zinc/70/23/19/383702319.db2.gz JCNJNASQUDRXMU-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN CCC[C@@H](CCO)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080653126 374667587 /nfs/dbraw/zinc/66/75/87/374667587.db2.gz POHUOJDJYYZFNZ-LBPRGKRZSA-N 0 0 296.367 2.952 20 5 CFBDRN CSCCCNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000083402694 374742599 /nfs/dbraw/zinc/74/25/99/374742599.db2.gz ZIFRFKUREDLGLZ-UHFFFAOYSA-N 0 0 288.756 2.731 20 5 CFBDRN O=C(Nc1ccc(O)c(Cl)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000085204467 374796073 /nfs/dbraw/zinc/79/60/73/374796073.db2.gz YBJVRTHVHDVABB-UHFFFAOYSA-N 0 0 281.655 2.534 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N(CC(F)F)C1CC1 ZINC000087255698 374812033 /nfs/dbraw/zinc/81/20/33/374812033.db2.gz AWGIZRIIUDHCLC-UHFFFAOYSA-N 0 0 298.289 2.784 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000493208511 246521493 /nfs/dbraw/zinc/52/14/93/246521493.db2.gz QEHAIBZLHVXOBC-YAIVDXGMSA-N 0 0 286.331 2.913 20 5 CFBDRN CCC1(CNC(=O)c2cc(OC)ccc2[N+](=O)[O-])CCC1 ZINC000084252558 374772755 /nfs/dbraw/zinc/77/27/55/374772755.db2.gz KBPMMMJFBQMDIK-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@H]2O)c2ncccc12 ZINC000089167506 374882123 /nfs/dbraw/zinc/88/21/23/374882123.db2.gz UZJJZTYNKMWDCN-QMTHXVAHSA-N 0 0 287.319 2.716 20 5 CFBDRN O=C(NOC1CCCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000089265133 374893127 /nfs/dbraw/zinc/89/31/27/374893127.db2.gz AXMHDNVFRVSZBQ-UHFFFAOYSA-N 0 0 265.269 2.591 20 5 CFBDRN CC[C@@H](CSC)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000089264189 374893336 /nfs/dbraw/zinc/89/33/36/374893336.db2.gz CPQHPFVMTTYUIV-VIFPVBQESA-N 0 0 283.353 2.858 20 5 CFBDRN O=C(/C=C\c1cncs1)Nc1ccc([N+](=O)[O-])cc1 ZINC000493316093 246553808 /nfs/dbraw/zinc/55/38/08/246553808.db2.gz GOIMSQKJMJBHGS-WAYWQWQTSA-N 0 0 275.289 2.703 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CCCC1CCCC1 ZINC000088499955 374849460 /nfs/dbraw/zinc/84/94/60/374849460.db2.gz VSSCUTWZFBPDCP-UHFFFAOYSA-N 0 0 250.298 2.727 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CC[C@H]1C1CC1 ZINC000493531438 246617029 /nfs/dbraw/zinc/61/70/29/246617029.db2.gz TXKXUJFHSDLCDP-WSROAFLRSA-N 0 0 272.304 2.619 20 5 CFBDRN Cc1cc(C)c(C(=O)NCCc2cccc([N+](=O)[O-])c2)o1 ZINC000089835874 374959931 /nfs/dbraw/zinc/95/99/31/374959931.db2.gz WUDGIXBYTXINCL-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN CCC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)CCO1 ZINC000089473099 374913315 /nfs/dbraw/zinc/91/33/15/374913315.db2.gz APPHRTRCIQYDCK-SNVBAGLBSA-N 0 0 298.364 2.606 20 5 CFBDRN Cc1ncsc1CNc1ncc(C)cc1[N+](=O)[O-] ZINC000090692349 375022817 /nfs/dbraw/zinc/02/28/17/375022817.db2.gz LVMFULQOUXFVSU-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC[C@H]1COCCN1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000091980352 375076217 /nfs/dbraw/zinc/07/62/17/375076217.db2.gz AXKZHAMCCAEZPK-DYLGSBMWSA-N 0 0 276.336 2.719 20 5 CFBDRN CC(C)[C@H](CO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000092401705 375108097 /nfs/dbraw/zinc/10/80/97/375108097.db2.gz MLRUPSQRQWCDFK-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN O=C(Nc1ccc2c(c1)COC2)c1ccccc1[N+](=O)[O-] ZINC000091681178 375058316 /nfs/dbraw/zinc/05/83/16/375058316.db2.gz IKJXRGFBODJTOH-UHFFFAOYSA-N 0 0 284.271 2.877 20 5 CFBDRN COc1ccc(OCc2ncccc2C)c([N+](=O)[O-])c1 ZINC000092853935 375164256 /nfs/dbraw/zinc/16/42/56/375164256.db2.gz YOQFOPIPLOOAAJ-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CCN(CC(C)(C)O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000092970800 375172029 /nfs/dbraw/zinc/17/20/29/375172029.db2.gz BWYKEFRSNCKODG-UHFFFAOYSA-N 0 0 252.314 2.500 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000092487058 375116270 /nfs/dbraw/zinc/11/62/70/375116270.db2.gz PIBLEOVYBIGYGH-GXTWGEPZSA-N 0 0 278.352 2.903 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1cccc(O)c1)CC2 ZINC000093338368 375217827 /nfs/dbraw/zinc/21/78/27/375217827.db2.gz HGEWYIGGPJIYQD-UHFFFAOYSA-N 0 0 284.315 2.859 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCCc2occc2C1 ZINC000289332335 296228081 /nfs/dbraw/zinc/22/80/81/296228081.db2.gz YFNLUQVNTRMZOV-UHFFFAOYSA-N 0 0 292.316 2.838 20 5 CFBDRN O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)C1CC1 ZINC000094189005 375344612 /nfs/dbraw/zinc/34/46/12/375344612.db2.gz FULMBNKCBOOKCP-UHFFFAOYSA-N 0 0 285.097 2.706 20 5 CFBDRN CC(C)CCOCCNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000094771858 375377883 /nfs/dbraw/zinc/37/78/83/375377883.db2.gz LGNLLSONVOPNKI-UHFFFAOYSA-N 0 0 292.339 2.946 20 5 CFBDRN C[C@@H]1CSCCN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094774869 375379812 /nfs/dbraw/zinc/37/98/12/375379812.db2.gz SXLJZEOAKHYUDS-SECBINFHSA-N 0 0 270.329 2.671 20 5 CFBDRN Cc1cc(C)n(CCCNc2ccccc2[N+](=O)[O-])n1 ZINC000098065865 375596279 /nfs/dbraw/zinc/59/62/79/375596279.db2.gz LOBBJNXUXLXTFK-UHFFFAOYSA-N 0 0 274.324 2.910 20 5 CFBDRN C[C@@H](NC(=O)OCCCF)c1ccccc1[N+](=O)[O-] ZINC000496893583 247025444 /nfs/dbraw/zinc/02/54/44/247025444.db2.gz UGMCWXNILDAVTM-SECBINFHSA-N 0 0 270.260 2.742 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1CC(C)(C)C1 ZINC000505590930 247143715 /nfs/dbraw/zinc/14/37/15/247143715.db2.gz PVLDGMLMGXGABU-UHFFFAOYSA-N 0 0 276.336 2.989 20 5 CFBDRN CCCC[C@H](C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000503524890 247121410 /nfs/dbraw/zinc/12/14/10/247121410.db2.gz VKROAKVCRPYMGN-VIFPVBQESA-N 0 0 290.323 2.658 20 5 CFBDRN CCC(C)(C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000102605282 375681940 /nfs/dbraw/zinc/68/19/40/375681940.db2.gz NUVCCELDGFOPBZ-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000102665196 375685104 /nfs/dbraw/zinc/68/51/04/375685104.db2.gz AUSMKYBYHYQJAO-VIFPVBQESA-N 0 0 266.272 2.606 20 5 CFBDRN COc1cc([N+](=O)[O-])c(C(=O)OC(C)C)cc1SC ZINC000103221342 375722885 /nfs/dbraw/zinc/72/28/85/375722885.db2.gz UIIFDOWRAJQRLZ-UHFFFAOYSA-N 0 0 285.321 2.891 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@H]1C1CCC1 ZINC000102874992 375697534 /nfs/dbraw/zinc/69/75/34/375697534.db2.gz RKKOEDIVMULSRG-AWEZNQCLSA-N 0 0 274.320 3.000 20 5 CFBDRN Nc1ccc(C(=O)N2CCC[C@@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000102875028 375697691 /nfs/dbraw/zinc/69/76/91/375697691.db2.gz ZLNIJLUZBURUKH-CYBMUJFWSA-N 0 0 289.335 2.582 20 5 CFBDRN Cc1nccc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n1 ZINC000507243074 247170136 /nfs/dbraw/zinc/17/01/36/247170136.db2.gz JURNUPHMZZYYBX-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN CCC(C)(C)[N@H+](C)CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC000512280455 247211298 /nfs/dbraw/zinc/21/12/98/247211298.db2.gz HWOPLHYUMGWFIM-UHFFFAOYSA-N 0 0 293.367 2.962 20 5 CFBDRN Cc1c(NC(=O)CCc2ccncc2)cccc1[N+](=O)[O-] ZINC000104201042 375773281 /nfs/dbraw/zinc/77/32/81/375773281.db2.gz RGXUDVNBLICRCH-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN CC[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111422118 375847265 /nfs/dbraw/zinc/84/72/65/375847265.db2.gz AUAYOADLHUXIML-GXFFZTMASA-N 0 0 293.323 2.626 20 5 CFBDRN CCC(CC)[C@@H](O)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000111427663 375848403 /nfs/dbraw/zinc/84/84/03/375848403.db2.gz FQHWAIHNIGYJQT-ZDUSSCGKSA-N 0 0 295.339 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cscn2)c([N+](=O)[O-])c1 ZINC000111628246 375858573 /nfs/dbraw/zinc/85/85/73/375858573.db2.gz KIPCOWSFFJRRFH-UHFFFAOYSA-N 0 0 294.292 2.614 20 5 CFBDRN C[C@H](NCc1cscn1)c1ccccc1[N+](=O)[O-] ZINC000111625102 375858826 /nfs/dbraw/zinc/85/88/26/375858826.db2.gz RQZGIQBTNKBXSL-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC1CCOCC1 ZINC000112209279 375890074 /nfs/dbraw/zinc/89/00/74/375890074.db2.gz OKGKJDNANIEPBJ-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN O=C(Nc1ccc2[nH]ccc2c1)c1ccc([N+](=O)[O-])o1 ZINC000112863076 375972560 /nfs/dbraw/zinc/97/25/60/375972560.db2.gz GCVHRNRSEVKQKM-UHFFFAOYSA-N 0 0 271.232 2.921 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000264213906 383710507 /nfs/dbraw/zinc/71/05/07/383710507.db2.gz GFZBYYBHJRTPEX-CBAPKCEASA-N 0 0 254.311 2.575 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)CC2CC2)c1[N+](=O)[O-] ZINC000112601854 375934255 /nfs/dbraw/zinc/93/42/55/375934255.db2.gz DMLARHPVMFXZQH-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)CC2CC2)cccc1[N+](=O)[O-] ZINC000112608401 375936927 /nfs/dbraw/zinc/93/69/27/375936927.db2.gz KHMGHIHJURDIJX-SECBINFHSA-N 0 0 262.309 2.822 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000112608300 375937411 /nfs/dbraw/zinc/93/74/11/375937411.db2.gz PIWRBPRQYKPLSH-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000112609352 375937635 /nfs/dbraw/zinc/93/76/35/375937635.db2.gz ILZXJNVBXBDWIQ-NSHDSACASA-N 0 0 291.351 2.702 20 5 CFBDRN COC(=O)CCN(Cc1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000113508337 376020651 /nfs/dbraw/zinc/02/06/51/376020651.db2.gz GVOOAHYTTLELEY-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN COc1ccc(CN2CCS[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000118416255 376296067 /nfs/dbraw/zinc/29/60/67/376296067.db2.gz VVKMAGBASLSQKH-MNOVXSKESA-N 0 0 296.392 2.929 20 5 CFBDRN CC(C)CS(=O)(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000118428608 376298139 /nfs/dbraw/zinc/29/81/39/376298139.db2.gz OXSSMEMZEVLQCF-UHFFFAOYSA-N 0 0 293.728 2.613 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000119658127 376448633 /nfs/dbraw/zinc/44/86/33/376448633.db2.gz YQYBTESHHRMQDJ-COPLHBTASA-N 0 0 292.335 2.648 20 5 CFBDRN C[C@@H](CNc1ccccc1)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000119713693 376458787 /nfs/dbraw/zinc/45/87/87/376458787.db2.gz DHRBRHVAMHDSGX-LBPRGKRZSA-N 0 0 299.330 2.825 20 5 CFBDRN CC[C@@H](COC)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000119894421 376499619 /nfs/dbraw/zinc/49/96/19/376499619.db2.gz QIVLKEIOIDZDQJ-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2C[C@H](C)C[C@@H](C)C2)c1 ZINC000136291545 284573527 /nfs/dbraw/zinc/57/35/27/284573527.db2.gz BUMFAWWVIDKPTA-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@H](C)C1 ZINC000120015627 376528456 /nfs/dbraw/zinc/52/84/56/376528456.db2.gz BGKWCEUDIFWNSH-GHMZBOCLSA-N 0 0 262.309 2.855 20 5 CFBDRN CCOc1cc(Oc2ccc([N+](=O)[O-])cc2)ncn1 ZINC000120346908 376598065 /nfs/dbraw/zinc/59/80/65/376598065.db2.gz GWTUHXKBTVDZJG-UHFFFAOYSA-N 0 0 261.237 2.576 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCO[C@@H]1C ZINC000120228961 376571746 /nfs/dbraw/zinc/57/17/46/376571746.db2.gz DILGMKPZIBJRAD-GHMZBOCLSA-N 0 0 293.323 2.511 20 5 CFBDRN Cc1nccn1CCCN(C)c1ccccc1[N+](=O)[O-] ZINC000120242175 376576287 /nfs/dbraw/zinc/57/62/87/376576287.db2.gz AKDBFBINAFLDFH-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN CCOc1cc(Oc2ccc(OC)cc2[N+](=O)[O-])ncn1 ZINC000120479086 376621932 /nfs/dbraw/zinc/62/19/32/376621932.db2.gz LQGQPKLXYXQZDQ-UHFFFAOYSA-N 0 0 291.263 2.584 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000264513557 190115222 /nfs/dbraw/zinc/11/52/22/190115222.db2.gz IZYVGFRFMGUGPR-KYZUINATSA-N 0 0 268.338 2.965 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2nc(C)ccc2[N+](=O)[O-])cc1 ZINC000121281705 376797653 /nfs/dbraw/zinc/79/76/53/376797653.db2.gz XWGIQYHOPCHOPV-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1ccco1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000121432714 376829348 /nfs/dbraw/zinc/82/93/48/376829348.db2.gz LGCIQOVHMCXBEC-QWHCGFSZSA-N 0 0 298.298 2.881 20 5 CFBDRN C[S@@](=O)c1cccc(NCc2ccccc2[N+](=O)[O-])c1 ZINC000121774811 376903668 /nfs/dbraw/zinc/90/36/68/376903668.db2.gz UBOKYQRHDMCGJZ-FQEVSTJZSA-N 0 0 290.344 2.944 20 5 CFBDRN Cc1cc(CNc2c(F)cc([N+](=O)[O-])cc2F)on1 ZINC000121695484 376886076 /nfs/dbraw/zinc/88/60/76/376886076.db2.gz MXPBUYBKEXVPBL-UHFFFAOYSA-N 0 0 269.207 2.782 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@@H]2CCCCO2)c(F)c1 ZINC000121694296 376886760 /nfs/dbraw/zinc/88/67/60/376886760.db2.gz IDGZTUSHPCYQSH-VIFPVBQESA-N 0 0 272.251 2.854 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccccc1 ZINC000121707486 376889299 /nfs/dbraw/zinc/88/92/99/376889299.db2.gz FVTFLUSUDNNEKT-UHFFFAOYSA-N 0 0 274.251 2.915 20 5 CFBDRN Cc1cc(C(=O)N2CCCCCC2)cc([N+](=O)[O-])c1 ZINC000121810687 376910353 /nfs/dbraw/zinc/91/03/53/376910353.db2.gz RJVCCTSOFWHTRL-UHFFFAOYSA-N 0 0 262.309 2.919 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc2c(c1)COC2 ZINC000121818063 376913102 /nfs/dbraw/zinc/91/31/02/376913102.db2.gz IUIVGLILTBVUQW-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN Cc1c(C(=O)N(C)CC(F)(F)F)cccc1[N+](=O)[O-] ZINC000124404208 377047618 /nfs/dbraw/zinc/04/76/18/377047618.db2.gz RFMYCKVKDBCDCJ-UHFFFAOYSA-N 0 0 276.214 2.538 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000124711736 377080335 /nfs/dbraw/zinc/08/03/35/377080335.db2.gz ZAUGVFNMQHTPSJ-QMTHXVAHSA-N 0 0 294.326 2.971 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000125163939 377124194 /nfs/dbraw/zinc/12/41/94/377124194.db2.gz GZLJFHURPJQCGY-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN C[N@H+](CCC(=O)OC(C)(C)C)Cc1ccc([N+](=O)[O-])cc1 ZINC000126085619 377211051 /nfs/dbraw/zinc/21/10/51/377211051.db2.gz JNIREYMJEFOLLH-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN Cc1cc(NC[C@H]2CCCCS2)ncc1[N+](=O)[O-] ZINC000126405059 377225312 /nfs/dbraw/zinc/22/53/12/377225312.db2.gz NTZUZWGUCUGLHN-SNVBAGLBSA-N 0 0 267.354 2.996 20 5 CFBDRN C[C@@]1(CNc2ccncc2[N+](=O)[O-])CCCS1 ZINC000126413702 377226457 /nfs/dbraw/zinc/22/64/57/377226457.db2.gz HTOGCUXLIVHGIJ-NSHDSACASA-N 0 0 253.327 2.687 20 5 CFBDRN Cc1ccc(NC[C@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000128030439 377312742 /nfs/dbraw/zinc/31/27/42/377312742.db2.gz MLGSNLHJFGTXGW-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC2(CC2)C1 ZINC000334835047 284625564 /nfs/dbraw/zinc/62/55/64/284625564.db2.gz DSKAIMYCAPCKRD-UHFFFAOYSA-N 0 0 272.260 2.577 20 5 CFBDRN CC[C@@H](SC)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000129078297 377380802 /nfs/dbraw/zinc/38/08/02/377380802.db2.gz YSMQBYULNGKTGH-LLVKDONJSA-N 0 0 269.322 2.950 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)C1CC1 ZINC000129530435 377440671 /nfs/dbraw/zinc/44/06/71/377440671.db2.gz FGMOQLJPCNXAHE-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN CC[C@@H](SC)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000129560993 377445134 /nfs/dbraw/zinc/44/51/34/377445134.db2.gz XSJURDGAHKELCI-LLVKDONJSA-N 0 0 269.322 2.950 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000129236428 377402826 /nfs/dbraw/zinc/40/28/26/377402826.db2.gz DPWPVVDQNLFARW-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN CS[C@@H](C)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129900155 377487756 /nfs/dbraw/zinc/48/77/56/377487756.db2.gz HVMVEAOAQNBUDQ-JTQLQIEISA-N 0 0 294.376 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2CCSCC2)n1 ZINC000130083626 377508611 /nfs/dbraw/zinc/50/86/11/377508611.db2.gz POJDKPPMSRKDOO-UHFFFAOYSA-N 0 0 267.354 2.853 20 5 CFBDRN CC1(C)CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)O1 ZINC000278180293 193853013 /nfs/dbraw/zinc/85/30/13/193853013.db2.gz DZWYNBBLQDVURS-GFCCVEGCSA-N 0 0 279.292 2.596 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCCc2ncccc21 ZINC000343089712 296262929 /nfs/dbraw/zinc/26/29/29/296262929.db2.gz DGIXWUAWVJXKHX-UHFFFAOYSA-N 0 0 283.287 2.583 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000130343564 377540490 /nfs/dbraw/zinc/54/04/90/377540490.db2.gz MYFCVLJTOBCTOO-ZJUUUORDSA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@H](CCNc1ccc(Cl)cc1[N+](=O)[O-])[S@](C)=O ZINC000130336342 377540931 /nfs/dbraw/zinc/54/09/31/377540931.db2.gz VYHRZDVLSIWYCP-NTCNTBNZSA-N 0 0 290.772 2.817 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000130355387 377542420 /nfs/dbraw/zinc/54/24/20/377542420.db2.gz HYFBWVNANMNDGS-ZJUUUORDSA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@@H](CCC(C)(C)C)NC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000130453201 377561708 /nfs/dbraw/zinc/56/17/08/377561708.db2.gz LMZWOHGZFXIUBB-NSHDSACASA-N 0 0 296.371 2.512 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCc2ccsc2C1 ZINC000123622738 284667644 /nfs/dbraw/zinc/66/76/44/284667644.db2.gz IHHJGHSKVHONIB-UHFFFAOYSA-N 0 0 288.328 2.855 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC[C@@H]1C1CCCC1 ZINC000366148496 284668819 /nfs/dbraw/zinc/66/88/19/284668819.db2.gz QESNSVBIMOLCIN-CQSZACIVSA-N 0 0 289.335 2.785 20 5 CFBDRN CCc1nn(C)c(Sc2cccc(O)c2)c1[N+](=O)[O-] ZINC000131050531 377650086 /nfs/dbraw/zinc/65/00/86/377650086.db2.gz STYDEJZMHORKNC-UHFFFAOYSA-N 0 0 279.321 2.748 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000131496110 377719506 /nfs/dbraw/zinc/71/95/06/377719506.db2.gz KBNNHDNALVZRGD-NSHDSACASA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000131596107 377733272 /nfs/dbraw/zinc/73/32/72/377733272.db2.gz DPDCHUNFTVQWML-QMMMGPOBSA-N 0 0 286.378 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC2)c(C(F)(F)F)c1 ZINC000131603186 377735297 /nfs/dbraw/zinc/73/52/97/377735297.db2.gz COYVWGWGEMTQCL-ZETCQYMHSA-N 0 0 276.214 2.814 20 5 CFBDRN CSC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000131413552 377707804 /nfs/dbraw/zinc/70/78/04/377707804.db2.gz NELONZNGZXILTG-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN CC[C@H](C)OCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000131919588 377777835 /nfs/dbraw/zinc/77/78/35/377777835.db2.gz CTXOWXQRIMRRCL-NSHDSACASA-N 0 0 280.324 2.965 20 5 CFBDRN CC(C)(CNC(=O)c1[nH]ncc1[N+](=O)[O-])C1CCCCC1 ZINC000132139604 377805801 /nfs/dbraw/zinc/80/58/01/377805801.db2.gz VVOGQUREJGCGSO-UHFFFAOYSA-N 0 0 294.355 2.654 20 5 CFBDRN Cc1cc(NC(=O)NC(C)(C)C)ccc1[N+](=O)[O-] ZINC000132182666 377812333 /nfs/dbraw/zinc/81/23/33/377812333.db2.gz MALYVBCQBJUARJ-UHFFFAOYSA-N 0 0 251.286 2.823 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)ccc1F ZINC000133558292 377940206 /nfs/dbraw/zinc/94/02/06/377940206.db2.gz OMNXMWLSSIUXQY-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000133143073 377907943 /nfs/dbraw/zinc/90/79/43/377907943.db2.gz TXNMEBZIFHUGPW-PWSUYJOCSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000133165381 377911075 /nfs/dbraw/zinc/91/10/75/377911075.db2.gz KLDCZRSECAWLJJ-RKDXNWHRSA-N 0 0 286.278 2.613 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000134368632 378006001 /nfs/dbraw/zinc/00/60/01/378006001.db2.gz QYOOEJVJAMKBRV-ZANVPECISA-N 0 0 276.292 2.539 20 5 CFBDRN CC[C@H](CCO)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000134440150 378015202 /nfs/dbraw/zinc/01/52/02/378015202.db2.gz KXEWYSNOPATIAH-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CCC[C@@H](O)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000134446942 378015994 /nfs/dbraw/zinc/01/59/94/378015994.db2.gz VIFHSTXBKGETMG-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN CSc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)o1 ZINC000133868801 377963826 /nfs/dbraw/zinc/96/38/26/377963826.db2.gz QLNHGTUHUHJZFH-UHFFFAOYSA-N 0 0 293.304 2.865 20 5 CFBDRN Cc1ccc(C(=O)N(C)C[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000133939551 377969966 /nfs/dbraw/zinc/96/99/66/377969966.db2.gz LTESHICMBORWKC-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000133961179 377971018 /nfs/dbraw/zinc/97/10/18/377971018.db2.gz TZRZQJKHKIUWOL-TVQRCGJNSA-N 0 0 263.297 2.673 20 5 CFBDRN Cc1nc(NC(=O)CC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000134031991 377976541 /nfs/dbraw/zinc/97/65/41/377976541.db2.gz DJOLJAVDYUYINR-NSHDSACASA-N 0 0 293.323 2.586 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000134106135 377984003 /nfs/dbraw/zinc/98/40/03/377984003.db2.gz FCWSPVKVWIYFOI-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN Cc1cnc([C@@H](C)Nc2ncccc2[N+](=O)[O-])s1 ZINC000134932131 378066327 /nfs/dbraw/zinc/06/63/27/378066327.db2.gz OBUYPDSHKXZZFS-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccn2Cc2nc(C3CC3)no2)c1 ZINC000134487165 378020837 /nfs/dbraw/zinc/02/08/37/378020837.db2.gz ASXUGQRYHCKKRG-UHFFFAOYSA-N 0 0 284.275 2.858 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2coc3ccccc23)s1 ZINC000432156477 383726602 /nfs/dbraw/zinc/72/66/02/383726602.db2.gz OHRBSHJZAAARCB-UHFFFAOYSA-N 0 0 275.289 2.832 20 5 CFBDRN C[C@@H]([C@@H]1Cc2ccccc2O1)N(C)c1ncccc1[N+](=O)[O-] ZINC000135560742 378145186 /nfs/dbraw/zinc/14/51/86/378145186.db2.gz SAOUZUNMVFWYEB-NHYWBVRUSA-N 0 0 299.330 2.818 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ccc(O)cc2)c1 ZINC000135239696 378102863 /nfs/dbraw/zinc/10/28/63/378102863.db2.gz QNWFWUGBMSBSBL-UHFFFAOYSA-N 0 0 274.276 2.921 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@@H]1CCOC1 ZINC000135253114 378105212 /nfs/dbraw/zinc/10/52/12/378105212.db2.gz BVTDCMJMTIDSCV-WDEREUQCSA-N 0 0 287.319 2.980 20 5 CFBDRN CC[C@H]1CCN(c2cc(C(=O)OC)ccc2[N+](=O)[O-])C1 ZINC000136307396 378194872 /nfs/dbraw/zinc/19/48/72/378194872.db2.gz XZAVCNCJLSLRMN-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN CN(Cc1ccc(N)nc1)c1ccc([N+](=O)[O-])cc1Cl ZINC000135981798 378173715 /nfs/dbraw/zinc/17/37/15/378173715.db2.gz FUINBCGOGMHTPW-UHFFFAOYSA-N 0 0 292.726 2.862 20 5 CFBDRN C[C@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000149964369 378267615 /nfs/dbraw/zinc/26/76/15/378267615.db2.gz WRXYFBFWXUCJTH-BXKDBHETSA-N 0 0 273.292 2.732 20 5 CFBDRN CC1(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000150775990 378271632 /nfs/dbraw/zinc/27/16/32/378271632.db2.gz XSTYJAOFQCBJJD-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CCCN(CC1CCCCC1)C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000137939478 378229475 /nfs/dbraw/zinc/22/94/75/378229475.db2.gz AUMQEUPMBFZZOT-UHFFFAOYSA-N 0 0 294.355 2.750 20 5 CFBDRN CO[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000138623228 378234200 /nfs/dbraw/zinc/23/42/00/378234200.db2.gz PCYXIXXOKBSTSQ-LURJTMIESA-N 0 0 292.213 2.587 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1C[C@H]2CCC[C@@H]2C1 ZINC000148320362 378255861 /nfs/dbraw/zinc/25/58/61/378255861.db2.gz DGEMTWWYABJXSH-NXEZZACHSA-N 0 0 250.273 2.970 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000151400111 378323130 /nfs/dbraw/zinc/32/31/30/378323130.db2.gz HOAWFAVSEKYABS-LLVKDONJSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1ncc(Cl)c(C(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000151549440 378335870 /nfs/dbraw/zinc/33/58/70/378335870.db2.gz BOVFXOGPLDEOFZ-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000264352892 383729485 /nfs/dbraw/zinc/72/94/85/383729485.db2.gz ZLDSJLUANBDBEN-CABZTGNLSA-N 0 0 263.297 2.905 20 5 CFBDRN C[C@@]1(CO)CCC[C@@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153841171 378516419 /nfs/dbraw/zinc/51/64/19/378516419.db2.gz OWPVXKKFPBXOGK-JQWIXIFHSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@]2(C)CCCS2)c1[N+](=O)[O-] ZINC000154125751 378541085 /nfs/dbraw/zinc/54/10/85/378541085.db2.gz IIFDCPLNFOVBTE-CQSZACIVSA-N 0 0 294.376 2.919 20 5 CFBDRN C[C@@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])[C@H]1C ZINC000330452987 378559714 /nfs/dbraw/zinc/55/97/14/378559714.db2.gz LEZNYYTZHDZJGR-ZJUUUORDSA-N 0 0 250.298 2.531 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)C[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000330459768 378562592 /nfs/dbraw/zinc/56/25/92/378562592.db2.gz ZTJZLDQBVHAWEW-PSASIEDQSA-N 0 0 298.364 2.652 20 5 CFBDRN CCc1nocc1COc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000571820584 383729883 /nfs/dbraw/zinc/72/98/83/383729883.db2.gz SRMWSOSTSUTYNA-UHFFFAOYSA-N 0 0 277.280 2.736 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000330503456 378572721 /nfs/dbraw/zinc/57/27/21/378572721.db2.gz CQTSMUHNDGPCNY-OAHLLOKOSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000330518741 378576514 /nfs/dbraw/zinc/57/65/14/378576514.db2.gz JVHKZNBJMYRHSL-WDEREUQCSA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1n[nH]c(C(=O)NC2CCC(C(C)C)CC2)c1[N+](=O)[O-] ZINC000331091978 378631023 /nfs/dbraw/zinc/63/10/23/378631023.db2.gz WZHOOOROJMVFMK-UHFFFAOYSA-N 0 0 294.355 2.571 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCCC(C)(C)O ZINC000331096427 378632831 /nfs/dbraw/zinc/63/28/31/378632831.db2.gz CEUDYIBAVIJKGU-UHFFFAOYSA-N 0 0 252.314 2.785 20 5 CFBDRN CC[C@H]1CCCC[C@H]1N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000330968234 378606276 /nfs/dbraw/zinc/60/62/76/378606276.db2.gz DTNRAJIXIYIRDP-NWDGAFQWSA-N 0 0 293.367 2.974 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])n2C)CCCC1 ZINC000330970413 378606658 /nfs/dbraw/zinc/60/66/58/378606658.db2.gz CMEVUKYOHGAIBV-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000331045753 378620861 /nfs/dbraw/zinc/62/08/61/378620861.db2.gz UJRWUEOASRLFDM-ZYHUDNBSSA-N 0 0 294.376 2.834 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)C1 ZINC000331256552 378659610 /nfs/dbraw/zinc/65/96/10/378659610.db2.gz BVPOURYQRJXEFJ-GFCCVEGCSA-N 0 0 292.335 2.579 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC2(CCC2)C1 ZINC000158164032 378665061 /nfs/dbraw/zinc/66/50/61/378665061.db2.gz XCANUWREGYZOTR-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC[C@@H]1C ZINC000331212869 378650389 /nfs/dbraw/zinc/65/03/89/378650389.db2.gz SRGWEUOVVAKZDC-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN O=C(NC[C@H]1[C@@H]2c3ccccc3C[C@H]12)c1ccc([N+](=O)[O-])o1 ZINC000160009453 378742940 /nfs/dbraw/zinc/74/29/40/378742940.db2.gz YEQWJJSBYAVZMM-LALPHHSUSA-N 0 0 298.298 2.504 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000160141574 378750133 /nfs/dbraw/zinc/75/01/33/378750133.db2.gz KSIMKSBTBZIZJC-GFCCVEGCSA-N 0 0 279.292 2.686 20 5 CFBDRN C[C@H]1CCc2ccccc2[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000331940938 378718038 /nfs/dbraw/zinc/71/80/38/378718038.db2.gz MACWMKOUERBMLW-ZUZCIYMTSA-N 0 0 299.330 2.976 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@@H](C3CC3)C2)ncc1[N+](=O)[O-] ZINC000160388062 378769039 /nfs/dbraw/zinc/76/90/39/378769039.db2.gz LGYQAHXAQGESCM-DGCLKSJQSA-N 0 0 277.324 2.668 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000332504460 378770933 /nfs/dbraw/zinc/77/09/33/378770933.db2.gz XSWDYDZVXDXLJF-AWEZNQCLSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1ccc(CN2CCc3ncc([N+](=O)[O-])cc3C2)cc1 ZINC000332499392 378771092 /nfs/dbraw/zinc/77/10/92/378771092.db2.gz COXLZHJIIDCLJA-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN Cc1cncc(CN2CCc3ccc([N+](=O)[O-])cc3C2)c1 ZINC000332524570 378772732 /nfs/dbraw/zinc/77/27/32/378772732.db2.gz OCOOLGSRTXULOS-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CCc3ccccc3C2)cc1[N+](=O)[O-] ZINC000332526573 378772897 /nfs/dbraw/zinc/77/28/97/378772897.db2.gz PAILOYCCSTTZIW-CYBMUJFWSA-N 0 0 298.342 2.870 20 5 CFBDRN Cc1cc(=O)n(CC(=O)CCC2CCCC2)cc1[N+](=O)[O-] ZINC000160455751 378774695 /nfs/dbraw/zinc/77/46/95/378774695.db2.gz BVOVIAJNXNEXOT-UHFFFAOYSA-N 0 0 292.335 2.604 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@H]2CC(C)(C)C)c1[N+](=O)[O-] ZINC000332658599 378784010 /nfs/dbraw/zinc/78/40/10/378784010.db2.gz NKQVVQORXXVURY-JTQLQIEISA-N 0 0 294.355 2.667 20 5 CFBDRN COc1cc(N[C@@H]2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000160662348 378789341 /nfs/dbraw/zinc/78/93/41/378789341.db2.gz LYJLWLYSHOXSEA-LLVKDONJSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cn(Cc2csc(C(C)(C)C)n2)nc1[N+](=O)[O-] ZINC000332700379 378791436 /nfs/dbraw/zinc/79/14/36/378791436.db2.gz XBTKMYHRZOTJDL-UHFFFAOYSA-N 0 0 280.353 2.902 20 5 CFBDRN CC[C@@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CCS1 ZINC000278488250 194007768 /nfs/dbraw/zinc/00/77/68/194007768.db2.gz PINGPDKIEQUIOQ-SNVBAGLBSA-N 0 0 292.364 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC=C(F)C2)c([N+](=O)[O-])c1 ZINC000278494690 194010689 /nfs/dbraw/zinc/01/06/89/194010689.db2.gz MCRFBIXMUYPMAO-UHFFFAOYSA-N 0 0 281.243 2.562 20 5 CFBDRN CC(C)(C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000161447834 378847868 /nfs/dbraw/zinc/84/78/68/378847868.db2.gz WUBSKSGKRSFOLL-VIFPVBQESA-N 0 0 297.311 2.712 20 5 CFBDRN COc1cc(N[C@@H](CO)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000161464301 378849325 /nfs/dbraw/zinc/84/93/25/378849325.db2.gz BYTIDXSNBDVORW-LLVKDONJSA-N 0 0 282.340 2.812 20 5 CFBDRN COc1cccc(CNc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000161499402 378850947 /nfs/dbraw/zinc/85/09/47/378850947.db2.gz CJGMXMMUORDMIE-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1nc(NC[C@@]2(C)CCCS2)ccc1[N+](=O)[O-] ZINC000161572196 378857819 /nfs/dbraw/zinc/85/78/19/378857819.db2.gz IKUONAMCUSTPFQ-GFCCVEGCSA-N 0 0 267.354 2.996 20 5 CFBDRN CC(=O)c1cc(N(C)CC2(O)CCCC2)ccc1[N+](=O)[O-] ZINC000161721307 378867272 /nfs/dbraw/zinc/86/72/72/378867272.db2.gz LGDUGQCTLRWKGQ-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC[C@H]2c2cc[nH]n2)c1 ZINC000278511158 194019426 /nfs/dbraw/zinc/01/94/26/194019426.db2.gz BJMYYDMAHLKLAW-AWEZNQCLSA-N 0 0 272.308 2.655 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1CCCCO1 ZINC000162533347 378918198 /nfs/dbraw/zinc/91/81/98/378918198.db2.gz CYNBLDSCNZTALT-GFCCVEGCSA-N 0 0 293.323 2.594 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C[C@H](C)C1 ZINC000163008218 378949302 /nfs/dbraw/zinc/94/93/02/378949302.db2.gz IUAPOVJTNMXFCP-WDEREUQCSA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)C1CCC1 ZINC000163017858 378949483 /nfs/dbraw/zinc/94/94/83/378949483.db2.gz FFKLYCVDCLSOIH-UHFFFAOYSA-N 0 0 263.297 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccn(C)c1 ZINC000334242380 378971335 /nfs/dbraw/zinc/97/13/35/378971335.db2.gz XHTOUORMCSMVHI-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CC(C)C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163821947 378991963 /nfs/dbraw/zinc/99/19/63/378991963.db2.gz MKKUZHRDIAWEDQ-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN CCC1(C)CCN(C(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000334260311 378996053 /nfs/dbraw/zinc/99/60/53/378996053.db2.gz RMSWSKQEYYXUIX-UHFFFAOYSA-N 0 0 279.340 2.586 20 5 CFBDRN Cc1c(CC(=O)N2CCCC23CCC3)cccc1[N+](=O)[O-] ZINC000334263915 378999572 /nfs/dbraw/zinc/99/95/72/378999572.db2.gz KGGRKMSZXJGCDC-UHFFFAOYSA-N 0 0 288.347 2.991 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000166710033 379060101 /nfs/dbraw/zinc/06/01/01/379060101.db2.gz XMDZUCXROZCUAG-BDAKNGLRSA-N 0 0 281.312 2.623 20 5 CFBDRN Cc1cnc(CCN(C)c2nc(C)ccc2[N+](=O)[O-])s1 ZINC000165973233 379045964 /nfs/dbraw/zinc/04/59/64/379045964.db2.gz PLUNOZANHAFWLY-UHFFFAOYSA-N 0 0 292.364 2.742 20 5 CFBDRN C[C@H]1CCC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000335306836 379051765 /nfs/dbraw/zinc/05/17/65/379051765.db2.gz UYTGCRGRDSSRFI-UWVGGRQHSA-N 0 0 265.313 2.574 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000168615586 379091536 /nfs/dbraw/zinc/09/15/36/379091536.db2.gz RJUOCFJGOMYGHG-MRVPVSSYSA-N 0 0 268.700 2.730 20 5 CFBDRN CC1(O)CCN(Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000168716946 379095140 /nfs/dbraw/zinc/09/51/40/379095140.db2.gz IZGGDPYRYOIBHS-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000170788241 379158677 /nfs/dbraw/zinc/15/86/77/379158677.db2.gz KOJLIEYQKNUBKV-NSHDSACASA-N 0 0 279.292 2.544 20 5 CFBDRN CN(C(=O)c1ccccc1[N+](=O)[O-])C1Cc2ccccc2C1 ZINC000334357030 379149253 /nfs/dbraw/zinc/14/92/53/379149253.db2.gz OVQRRVXOSOTZFK-UHFFFAOYSA-N 0 0 296.326 2.834 20 5 CFBDRN COC(C)(C)CC(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000278814410 194166752 /nfs/dbraw/zinc/16/67/52/194166752.db2.gz OWJXYWJFXBCKRB-UHFFFAOYSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1sc(C(=O)N(C)[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000335390225 379207319 /nfs/dbraw/zinc/20/73/19/379207319.db2.gz UZBZNFLPZSDPSI-JTQLQIEISA-N 0 0 268.338 2.835 20 5 CFBDRN O=C(N[C@H]1CCC[C@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000335431746 379285115 /nfs/dbraw/zinc/28/51/15/379285115.db2.gz YMSLEWNWULQQBD-UWVGGRQHSA-N 0 0 266.272 2.605 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000334409227 379247675 /nfs/dbraw/zinc/24/76/75/379247675.db2.gz LWUZIPWJOGEEEI-MNOVXSKESA-N 0 0 266.272 2.524 20 5 CFBDRN O=C(N[C@H]1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])s1 ZINC000335417874 379256630 /nfs/dbraw/zinc/25/66/30/379256630.db2.gz LUZMBRKVTHVXMX-YUMQZZPRSA-N 0 0 272.301 2.667 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000335418414 379258887 /nfs/dbraw/zinc/25/88/87/379258887.db2.gz NFRVMDAVCWPSAZ-DTWKUNHWSA-N 0 0 286.328 2.975 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000335430860 379283619 /nfs/dbraw/zinc/28/36/19/379283619.db2.gz OMOWQWYCDJWQMQ-GHMZBOCLSA-N 0 0 266.272 2.605 20 5 CFBDRN Cc1ccccc1[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000334458614 379335622 /nfs/dbraw/zinc/33/56/22/379335622.db2.gz KIWLGNWCMCILKB-LBPRGKRZSA-N 0 0 299.330 2.861 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCSC1 ZINC000335465280 379344522 /nfs/dbraw/zinc/34/45/22/379344522.db2.gz KWJWPGSEAOOJGR-SECBINFHSA-N 0 0 266.322 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC[C@H](F)C1 ZINC000334483376 379378826 /nfs/dbraw/zinc/37/88/26/379378826.db2.gz YXKYQGIRTQVCQQ-VHSXEESVSA-N 0 0 281.287 2.915 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000171722097 379384699 /nfs/dbraw/zinc/38/46/99/379384699.db2.gz NUKPBKJSXXBNCK-SECBINFHSA-N 0 0 281.337 2.564 20 5 CFBDRN CCc1cncc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c1 ZINC000278931467 194217727 /nfs/dbraw/zinc/21/77/27/194217727.db2.gz DNTSQIFFZSBCPZ-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334477997 379368467 /nfs/dbraw/zinc/36/84/67/379368467.db2.gz QWCXHMDNWIMBAJ-VIFPVBQESA-N 0 0 273.292 2.701 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CC12CC2 ZINC000335517189 379438292 /nfs/dbraw/zinc/43/82/92/379438292.db2.gz XSTGATHPSSFNBB-NSHDSACASA-N 0 0 274.320 2.802 20 5 CFBDRN Cc1ccc(NC(=O)[C@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000335494721 379400214 /nfs/dbraw/zinc/40/02/14/379400214.db2.gz JLLWWVDGGNEMPI-CMPLNLGQSA-N 0 0 252.245 2.590 20 5 CFBDRN CSC[C@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000171811814 379409315 /nfs/dbraw/zinc/40/93/15/379409315.db2.gz DZBCREYFFPKROY-JTQLQIEISA-N 0 0 282.365 2.552 20 5 CFBDRN COc1cccc(CN(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000171823984 379414367 /nfs/dbraw/zinc/41/43/67/379414367.db2.gz JGAOTRWFFLHDSM-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@H](F)C1 ZINC000334510288 379422872 /nfs/dbraw/zinc/42/28/72/379422872.db2.gz OIPFTBNJGWUWOT-ZETCQYMHSA-N 0 0 287.678 2.824 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC1CC(C)(F)C1 ZINC000334527234 379457862 /nfs/dbraw/zinc/45/78/62/379457862.db2.gz WGLDUESWOLZKIB-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@H](C2CC2)C1 ZINC000334532378 379468899 /nfs/dbraw/zinc/46/88/99/379468899.db2.gz KXCMCQGGCYSMJB-VIFPVBQESA-N 0 0 266.322 2.528 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCSC[C@@H]1C1CC1 ZINC000334772523 296297684 /nfs/dbraw/zinc/29/76/84/296297684.db2.gz VRRLPVODMCGBBB-SNVBAGLBSA-N 0 0 298.389 2.624 20 5 CFBDRN CC1(CNc2ccnc3c2cccc3[N+](=O)[O-])COC1 ZINC000432320892 383736886 /nfs/dbraw/zinc/73/68/86/383736886.db2.gz CCYAZMNIWPLZLP-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN CC[C@@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000432331301 383737801 /nfs/dbraw/zinc/73/78/01/383737801.db2.gz LIVDLKPRNMULEQ-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN COc1cccc(COc2cccc([N+](=O)[O-])c2C)n1 ZINC000172319740 379542316 /nfs/dbraw/zinc/54/23/16/379542316.db2.gz USIFECMRWPALEC-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CSC[C@@H]1C ZINC000335555515 379503602 /nfs/dbraw/zinc/50/36/02/379503602.db2.gz MDWVXMBNILZPLO-JQWIXIFHSA-N 0 0 294.376 2.693 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCSC1 ZINC000335556444 379506729 /nfs/dbraw/zinc/50/67/29/379506729.db2.gz QYSDHMMZUHOJCY-LLVKDONJSA-N 0 0 294.376 2.837 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000172485436 379579071 /nfs/dbraw/zinc/57/90/71/379579071.db2.gz RLPNCDRGZUKTMD-SECBINFHSA-N 0 0 264.256 2.572 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1CC=CCC1 ZINC000172489435 379580936 /nfs/dbraw/zinc/58/09/36/379580936.db2.gz LDTATWRWZNAIKP-NSHDSACASA-N 0 0 292.360 2.912 20 5 CFBDRN COc1ccc(CN2CCSC[C@H]2C)cc1[N+](=O)[O-] ZINC000172590126 379600946 /nfs/dbraw/zinc/60/09/46/379600946.db2.gz AGIRGJOPOTXJTQ-SNVBAGLBSA-N 0 0 282.365 2.541 20 5 CFBDRN Cc1c([C@@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)cnn1C ZINC000172408522 379559519 /nfs/dbraw/zinc/55/95/19/379559519.db2.gz UXKQGQXGWSWCBQ-SSDOTTSWSA-N 0 0 295.730 2.858 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCCC12CCC2 ZINC000334618891 379636072 /nfs/dbraw/zinc/63/60/72/379636072.db2.gz HGZZEMMZZZDKSU-UHFFFAOYSA-N 0 0 260.293 2.754 20 5 CFBDRN CC(C)CCCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172758332 379639326 /nfs/dbraw/zinc/63/93/26/379639326.db2.gz ZPWJJXGFETXZLD-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N(C)C2CCC2)c1 ZINC000172698589 379626501 /nfs/dbraw/zinc/62/65/01/379626501.db2.gz ZQJHYCDNVONBBV-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCS[C@@H](C)[C@H]2C)c1 ZINC000173069958 379690314 /nfs/dbraw/zinc/69/03/14/379690314.db2.gz WOZKXPXELQEGPW-MNOVXSKESA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC(CF)C1 ZINC000335644911 379652616 /nfs/dbraw/zinc/65/26/16/379652616.db2.gz YEORAOVECNGMPD-UHFFFAOYSA-N 0 0 280.299 2.562 20 5 CFBDRN CCCc1nc(CNc2cc([N+](=O)[O-])ccc2C)no1 ZINC000172846524 379656823 /nfs/dbraw/zinc/65/68/23/379656823.db2.gz JFXYUWCSXPGFJW-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN CCC[C@H](CC)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172902419 379668982 /nfs/dbraw/zinc/66/89/82/379668982.db2.gz JKASQMJFIHAAES-LBPRGKRZSA-N 0 0 264.325 2.832 20 5 CFBDRN CCCC[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)OC ZINC000172919467 379669887 /nfs/dbraw/zinc/66/98/87/379669887.db2.gz LWVJUDQOGKCXAH-JTQLQIEISA-N 0 0 284.337 2.814 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1C1CCC1 ZINC000173498530 379744545 /nfs/dbraw/zinc/74/45/45/379744545.db2.gz ZOJSQAPIEMPJBE-HNNXBMFYSA-N 0 0 288.347 2.928 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000173666905 379761937 /nfs/dbraw/zinc/76/19/37/379761937.db2.gz OQCXWLYCMGIEFD-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN CCC[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OCC ZINC000173964339 379808736 /nfs/dbraw/zinc/80/87/36/379808736.db2.gz AWZIMFVDZHBPHR-RISCZKNCSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@H]1C ZINC000335721673 379781780 /nfs/dbraw/zinc/78/17/80/379781780.db2.gz IREGWIKWEKBZBC-IUCAKERBSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@@H]1C ZINC000335721675 379782365 /nfs/dbraw/zinc/78/23/65/379782365.db2.gz IREGWIKWEKBZBC-RKDXNWHRSA-N 0 0 266.272 2.604 20 5 CFBDRN CC1(C)CCN(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000174254685 379852091 /nfs/dbraw/zinc/85/20/91/379852091.db2.gz VSNGWADHMFTTKF-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@@H]2CCC[C@@H]21 ZINC000174337093 379866911 /nfs/dbraw/zinc/86/69/11/379866911.db2.gz HXZKTUCJYLMYMG-AAEUAGOBSA-N 0 0 274.320 3.000 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3ccc([N+](=O)[O-])cc3Cl)C[C@@H]21 ZINC000174088878 379825360 /nfs/dbraw/zinc/82/53/60/379825360.db2.gz GFYNIVIKDWTZTD-PHIMTYICSA-N 0 0 294.738 2.976 20 5 CFBDRN COC[C@@H](C)N(C)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000174690945 379928596 /nfs/dbraw/zinc/92/85/96/379928596.db2.gz SNFHEVZORRLSOP-MRVPVSSYSA-N 0 0 290.266 2.667 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])s2)c1O ZINC000174935052 379965316 /nfs/dbraw/zinc/96/53/16/379965316.db2.gz OFGDJPPRLWJKPJ-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)(C)C ZINC000174762013 379935595 /nfs/dbraw/zinc/93/55/95/379935595.db2.gz YMBPJLQGCAATCQ-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)CCS1 ZINC000174765435 379936296 /nfs/dbraw/zinc/93/62/96/379936296.db2.gz HEEJQXSFXPYFNL-LBPRGKRZSA-N 0 0 294.376 2.871 20 5 CFBDRN CCOc1cc(N2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000174917621 379961463 /nfs/dbraw/zinc/96/14/63/379961463.db2.gz WUJCXHZWLLLGLT-SNVBAGLBSA-N 0 0 250.298 2.982 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](C)[C@H]1C ZINC000175048894 379985745 /nfs/dbraw/zinc/98/57/45/379985745.db2.gz ZHTCXYPRXWDEDP-VHSXEESVSA-N 0 0 293.323 2.866 20 5 CFBDRN C[C@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])c1cccnc1 ZINC000175052256 379986586 /nfs/dbraw/zinc/98/65/86/379986586.db2.gz TXGWLLKEBNPHQO-NSHDSACASA-N 0 0 297.314 2.683 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCC1 ZINC000175067259 379988429 /nfs/dbraw/zinc/98/84/29/379988429.db2.gz BTGGEAXQGHJWPA-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN Cc1cccc(C(=O)NCC2(C)CCC2)c1[N+](=O)[O-] ZINC000175093732 379994339 /nfs/dbraw/zinc/99/43/39/379994339.db2.gz FWDSKVVOAUGGTL-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN(C)C[C@H]1CCCO1 ZINC000175106099 379997858 /nfs/dbraw/zinc/99/78/58/379997858.db2.gz MDDQMCVGQFJOFJ-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN CC1(CNC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)CCC1 ZINC000175105793 379998184 /nfs/dbraw/zinc/99/81/84/379998184.db2.gz HTIPUIVJGBJAAK-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@@H]1CCCOC1 ZINC000175110160 379998258 /nfs/dbraw/zinc/99/82/58/379998258.db2.gz OKQDUYDZYSWWDF-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Sc1cccc(F)c1 ZINC000335207184 380008002 /nfs/dbraw/zinc/00/80/02/380008002.db2.gz CHZOLGPAEZQJHZ-UHFFFAOYSA-N 0 0 253.258 2.619 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CS[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000335212447 380016206 /nfs/dbraw/zinc/01/62/06/380016206.db2.gz DYOMJGFTXDUOOK-XPUUQOCRSA-N 0 0 286.378 2.589 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CS[C@H](C)C2)c1 ZINC000335220901 380029789 /nfs/dbraw/zinc/02/97/89/380029789.db2.gz FMULQXVSFHLYAY-ZJUUUORDSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000175260351 380032633 /nfs/dbraw/zinc/03/26/33/380032633.db2.gz CAKZNNYQRPJXPW-NEPJUHHUSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1Cc1ccc(F)cc1Cl ZINC000335904904 380084170 /nfs/dbraw/zinc/08/41/70/380084170.db2.gz HNUQNWZXKYMBLB-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN COc1ccc(C(=O)N(C)[C@H](C)C2(C)CC2)cc1[N+](=O)[O-] ZINC000175586906 380094029 /nfs/dbraw/zinc/09/40/29/380094029.db2.gz VEDOTHFXJNDIKX-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc(C)c(N(C)CCn2ccc([N+](=O)[O-])n2)c1 ZINC000176214198 380197691 /nfs/dbraw/zinc/19/76/91/380197691.db2.gz VDWWUFOGPHPRDE-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC[C@@H]1F ZINC000335988748 380202567 /nfs/dbraw/zinc/20/25/67/380202567.db2.gz GUSVCLWNQPMOAB-NWDGAFQWSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000187113390 296307037 /nfs/dbraw/zinc/30/70/37/296307037.db2.gz CONFWMXFUPMKPR-LLVKDONJSA-N 0 0 262.309 2.857 20 5 CFBDRN CC[C@H]1CCN(C(=O)Nc2cc([N+](=O)[O-])ccc2OC)C1 ZINC000176454553 380238409 /nfs/dbraw/zinc/23/84/09/380238409.db2.gz LBRAHNKTTALMMY-JTQLQIEISA-N 0 0 293.323 2.867 20 5 CFBDRN O=C([C@@H]1C[C@H]1C1CC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000177352675 380332130 /nfs/dbraw/zinc/33/21/30/380332130.db2.gz WIWYPWGCUUALPG-UONOGXRCSA-N 0 0 286.331 2.920 20 5 CFBDRN CCC1(C(=O)NCc2cc([N+](=O)[O-])ccc2OC)CCC1 ZINC000176804160 380273736 /nfs/dbraw/zinc/27/37/36/380273736.db2.gz PMNFLDSHEQNXDC-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)[C@H]2C)cc([N+](=O)[O-])c1 ZINC000176989095 380291245 /nfs/dbraw/zinc/29/12/45/380291245.db2.gz IZWNLGTWRIGYAA-GHMZBOCLSA-N 0 0 262.309 2.774 20 5 CFBDRN COC(=O)c1cc(NCc2ccoc2)cc(C)c1[N+](=O)[O-] ZINC000177818284 380382099 /nfs/dbraw/zinc/38/20/99/380382099.db2.gz OXPQJTMVBWLYOD-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN Cc1ccc(CN[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000288396150 197067006 /nfs/dbraw/zinc/06/70/06/197067006.db2.gz HNDUKSSRHAUDAG-MRVPVSSYSA-N 0 0 262.231 2.944 20 5 CFBDRN CCS[C@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000178096584 380408783 /nfs/dbraw/zinc/40/87/83/380408783.db2.gz ZEQOGTQRRKLVNV-OLZOCXBDSA-N 0 0 294.376 2.999 20 5 CFBDRN CCS[C@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000178096563 380408803 /nfs/dbraw/zinc/40/88/03/380408803.db2.gz ZEQOGTQRRKLVNV-STQMWFEESA-N 0 0 294.376 2.999 20 5 CFBDRN Nc1c(C(=O)Nc2ccc3[nH]ccc3c2)cccc1[N+](=O)[O-] ZINC000178158663 380414871 /nfs/dbraw/zinc/41/48/71/380414871.db2.gz ISSYTRGUYSFSBN-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN CC[C@@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000336572070 380484775 /nfs/dbraw/zinc/48/47/75/380484775.db2.gz AFJAUFFXMNMWCM-SNVBAGLBSA-N 0 0 265.313 2.718 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]2CCC[C@H]21 ZINC000336556648 380461617 /nfs/dbraw/zinc/46/16/17/380461617.db2.gz ACERODWLMVNSGS-ZYHUDNBSSA-N 0 0 277.324 2.574 20 5 CFBDRN CC1=CCCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000336564379 380472699 /nfs/dbraw/zinc/47/26/99/380472699.db2.gz XWGMAMRAHVMRFK-UHFFFAOYSA-N 0 0 285.303 2.868 20 5 CFBDRN Cc1cc(F)ccc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000336596473 380523672 /nfs/dbraw/zinc/52/36/72/380523672.db2.gz XBBSSKDMQNZETH-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN O=C(N[C@H]1CCCC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000336609552 380543122 /nfs/dbraw/zinc/54/31/22/380543122.db2.gz NIMRHVKJJAUZNN-VIFPVBQESA-N 0 0 284.262 2.903 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCCc1cccc(F)c1 ZINC000179974083 380605022 /nfs/dbraw/zinc/60/50/22/380605022.db2.gz TXTSHFDQCPPECY-UHFFFAOYSA-N 0 0 276.267 2.528 20 5 CFBDRN CN(Cc1ccoc1)Cc1ccc([N+](=O)[O-])cc1F ZINC000336813316 380675235 /nfs/dbraw/zinc/67/52/35/380675235.db2.gz UECGEVFAPLOIED-UHFFFAOYSA-N 0 0 264.256 2.959 20 5 CFBDRN CC[C@H](CSC)NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000180577882 380677851 /nfs/dbraw/zinc/67/78/51/380677851.db2.gz XKOUNNNFJJMVMT-ABZNLYFFSA-N 0 0 294.376 2.866 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000180971400 380718767 /nfs/dbraw/zinc/71/87/67/380718767.db2.gz AMSCBJYHUDVRRX-MNOVXSKESA-N 0 0 291.351 2.733 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(OC(F)F)c1)C1CC1 ZINC000180975340 380719822 /nfs/dbraw/zinc/71/98/22/380719822.db2.gz CLWSQUQZKWLKFR-UHFFFAOYSA-N 0 0 258.224 2.795 20 5 CFBDRN CO[C@H](C)COc1ccc([N+](=O)[O-])cc1Br ZINC000181004421 380723798 /nfs/dbraw/zinc/72/37/98/380723798.db2.gz LAMOCHYZYTUUTG-SSDOTTSWSA-N 0 0 290.113 2.771 20 5 CFBDRN Cc1cc(COC(=O)COC(C)(C)C)ccc1[N+](=O)[O-] ZINC000181276160 380768343 /nfs/dbraw/zinc/76/83/43/380768343.db2.gz VGXPPIDERKBULQ-UHFFFAOYSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])cc1O ZINC000181105267 380739658 /nfs/dbraw/zinc/73/96/58/380739658.db2.gz BIOCBENGRPQPKM-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN CN(C[C@H]1CCOC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000279168360 194314983 /nfs/dbraw/zinc/31/49/83/194314983.db2.gz CLCRCXZGZLKRRV-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN CCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000181135862 380746831 /nfs/dbraw/zinc/74/68/31/380746831.db2.gz VEVWWCUDNHAUAW-UHFFFAOYSA-N 0 0 288.250 2.678 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nnc(-c3ccco3)o2)cc1 ZINC000181489161 380805246 /nfs/dbraw/zinc/80/52/46/380805246.db2.gz ZPRKZUOEZXLMNF-UHFFFAOYSA-N 0 0 286.247 2.850 20 5 CFBDRN O=C1OCC[C@H]1CCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288503137 197096218 /nfs/dbraw/zinc/09/62/18/197096218.db2.gz UMHOQMOPJWBNOU-MRVPVSSYSA-N 0 0 285.683 2.580 20 5 CFBDRN CC(=O)c1cc(N[C@@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000287353009 380811146 /nfs/dbraw/zinc/81/11/46/380811146.db2.gz PLKAASBRXMWIKD-VIFPVBQESA-N 0 0 288.307 2.704 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)Oc1cccnc1[N+](=O)[O-] ZINC000295385666 380822890 /nfs/dbraw/zinc/82/28/90/380822890.db2.gz ULJCJASUUKLWJJ-VIFPVBQESA-N 0 0 268.269 2.940 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@H](SC)C2)cc1[N+](=O)[O-] ZINC000295453258 380863745 /nfs/dbraw/zinc/86/37/45/380863745.db2.gz AMDWFLIWGJPDLH-LBPRGKRZSA-N 0 0 294.376 2.735 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCC[C@@H]1CCOC1 ZINC000401988964 380880020 /nfs/dbraw/zinc/88/00/20/380880020.db2.gz HBJWUWWOMGWMPW-SNVBAGLBSA-N 0 0 268.288 2.963 20 5 CFBDRN CC(C)(C(=O)NCCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000287575045 380873226 /nfs/dbraw/zinc/87/32/26/380873226.db2.gz FXRFTXFQBUWOIQ-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(O)c(Cl)c1)[N+](=O)[O-] ZINC000295473239 380878482 /nfs/dbraw/zinc/87/84/82/380878482.db2.gz ITCKXVYIPFHDAL-UHFFFAOYSA-N 0 0 286.715 2.820 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])C12CCC2 ZINC000287469608 380837746 /nfs/dbraw/zinc/83/77/46/380837746.db2.gz WUCVJTCPXHNECS-KBPBESRZSA-N 0 0 291.351 2.937 20 5 CFBDRN CC1(C)CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000358427820 380838957 /nfs/dbraw/zinc/83/89/57/380838957.db2.gz VSKSZUMTFSWTMK-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCOCC1CC1 ZINC000181619665 380843645 /nfs/dbraw/zinc/84/36/45/380843645.db2.gz AHZMHTAGKMZNIH-UHFFFAOYSA-N 0 0 255.245 2.539 20 5 CFBDRN CCOC(=O)[C@H](NCc1ccc([N+](=O)[O-])cc1)[C@H](C)CC ZINC000181632283 380849058 /nfs/dbraw/zinc/84/90/58/380849058.db2.gz AIDLDJNZULRKPY-BXUZGUMPSA-N 0 0 294.351 2.662 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1c(F)cccc1[N+](=O)[O-] ZINC000287602070 380879618 /nfs/dbraw/zinc/87/96/18/380879618.db2.gz FRDIXCUIRWLACB-WCBMZHEXSA-N 0 0 254.261 2.571 20 5 CFBDRN Cc1nn(C[C@H]2CCCCC2(F)F)cc1[N+](=O)[O-] ZINC000295441195 380857188 /nfs/dbraw/zinc/85/71/88/380857188.db2.gz VONZHZNYAWVNJG-SECBINFHSA-N 0 0 259.256 2.925 20 5 CFBDRN O=C(NC[C@H]1CCCSC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000287533815 380859376 /nfs/dbraw/zinc/85/93/76/380859376.db2.gz KZIJXIDYLXFVOK-SNVBAGLBSA-N 0 0 295.364 2.860 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C2CC2)C1 ZINC000368108815 380927498 /nfs/dbraw/zinc/92/74/98/380927498.db2.gz AXOPPMZHTFRFJY-LBPRGKRZSA-N 0 0 289.335 2.560 20 5 CFBDRN CO[C@@H](C)CCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295475239 380881362 /nfs/dbraw/zinc/88/13/62/380881362.db2.gz IZJPXJSAAVXVFW-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CCC[C@](C)(O)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000287621559 380890713 /nfs/dbraw/zinc/89/07/13/380890713.db2.gz ZEOXNCYHVFIXHA-LBPRGKRZSA-N 0 0 296.352 2.563 20 5 CFBDRN CC/C=C/CNC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000295495502 380895368 /nfs/dbraw/zinc/89/53/68/380895368.db2.gz BMPWWQNXXDHYMB-SNAWJCMRSA-N 0 0 293.279 2.507 20 5 CFBDRN CO[C@H](C)CN(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000287637129 380895441 /nfs/dbraw/zinc/89/54/41/380895441.db2.gz QOHZZFZHKAYTLA-LLVKDONJSA-N 0 0 295.339 2.710 20 5 CFBDRN CCOc1ccccc1OCCn1cc([N+](=O)[O-])c(C)n1 ZINC000181814937 380903592 /nfs/dbraw/zinc/90/35/92/380903592.db2.gz FUJGHCOLMSMVDC-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN Cc1nn(CCc2ccccc2Cl)cc1[N+](=O)[O-] ZINC000181815188 380903844 /nfs/dbraw/zinc/90/38/44/380903844.db2.gz PODIKZYUEZOJTI-UHFFFAOYSA-N 0 0 265.700 2.996 20 5 CFBDRN Cc1nn(Cc2ccc(Br)cc2)cc1[N+](=O)[O-] ZINC000181826776 380907816 /nfs/dbraw/zinc/90/78/16/380907816.db2.gz IJUFHCXZNINJHY-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN Cc1nn([C@H](C)c2cc([N+](=O)[O-])ccc2C)cc1[N+](=O)[O-] ZINC000181826673 380908061 /nfs/dbraw/zinc/90/80/61/380908061.db2.gz ZRZSFFSWCKXHTO-SNVBAGLBSA-N 0 0 290.279 2.926 20 5 CFBDRN Cc1nn(Cc2coc(-c3cccs3)n2)cc1[N+](=O)[O-] ZINC000181831108 380908140 /nfs/dbraw/zinc/90/81/40/380908140.db2.gz GCZPNQFXGRIZDB-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN CCCc1nc(Cn2cc([N+](=O)[O-])c(C)n2)cs1 ZINC000181834603 380910168 /nfs/dbraw/zinc/91/01/68/380910168.db2.gz ZPJMHTCBDPLUIZ-UHFFFAOYSA-N 0 0 266.326 2.557 20 5 CFBDRN CCOc1ncc(C)cc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295589843 380961656 /nfs/dbraw/zinc/96/16/56/380961656.db2.gz AQURAQDKTYERCZ-UHFFFAOYSA-N 0 0 295.339 2.563 20 5 CFBDRN C[C@H]1CN(c2ncnc3sc([N+](=O)[O-])cc32)CCS1 ZINC000287920885 380972017 /nfs/dbraw/zinc/97/20/17/380972017.db2.gz OXHKJDYAOJUZIR-ZETCQYMHSA-N 0 0 296.377 2.541 20 5 CFBDRN C[C@H](CNc1cccc(C(N)=O)c1[N+](=O)[O-])c1ccccc1 ZINC000341371730 380975002 /nfs/dbraw/zinc/97/50/02/380975002.db2.gz LCSAIMZYQDSYCY-LLVKDONJSA-N 0 0 299.330 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2noc3ccccc23)nc1 ZINC000347170223 380982453 /nfs/dbraw/zinc/98/24/53/380982453.db2.gz NKURDDQTJWQNGZ-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC(C)(F)F)c1 ZINC000295565562 380944651 /nfs/dbraw/zinc/94/46/51/380944651.db2.gz LVIJGVZQGFXRIZ-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@](C)(F)C2)c1 ZINC000295570205 380946903 /nfs/dbraw/zinc/94/69/03/380946903.db2.gz MDZUANQIRRRDQW-CQSZACIVSA-N 0 0 296.298 2.568 20 5 CFBDRN C[C@H](C(=O)Nc1nccs1)c1ccc([N+](=O)[O-])cc1F ZINC000182173520 381009178 /nfs/dbraw/zinc/00/91/78/381009178.db2.gz BWWHAQMWEAABFT-ZETCQYMHSA-N 0 0 295.295 2.933 20 5 CFBDRN CO[C@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CC[C@@H]1C ZINC000341432524 381011769 /nfs/dbraw/zinc/01/17/69/381011769.db2.gz XESXGKLDITYREJ-FZMZJTMJSA-N 0 0 264.325 2.764 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)[C@H]1C ZINC000295702722 381025736 /nfs/dbraw/zinc/02/57/36/381025736.db2.gz XUSZVTBVRNLUNW-YUMQZZPRSA-N 0 0 268.700 2.729 20 5 CFBDRN CC1(C)COCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182086755 380987026 /nfs/dbraw/zinc/98/70/26/380987026.db2.gz BSJFBZJDIYGACX-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CC(C)CCNC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000279296227 194374069 /nfs/dbraw/zinc/37/40/69/194374069.db2.gz ZFCGAOZQEGHPNL-UHFFFAOYSA-N 0 0 297.330 2.576 20 5 CFBDRN Cc1cc(NC(=O)c2cncs2)ccc1[N+](=O)[O-] ZINC000347191290 380993862 /nfs/dbraw/zinc/99/38/62/380993862.db2.gz UWHBNLZNMAMGHX-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CCC[C@]1(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCOC1 ZINC000288025423 380995940 /nfs/dbraw/zinc/99/59/40/380995940.db2.gz WRFILALJJDJTHJ-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@H](C)C(C)C ZINC000288034688 380997897 /nfs/dbraw/zinc/99/78/97/380997897.db2.gz CYXCIQYBAHSJSR-SECBINFHSA-N 0 0 254.334 2.600 20 5 CFBDRN CCc1nn(C)c(NCc2cccc3cc[nH]c32)c1[N+](=O)[O-] ZINC000341420874 381006843 /nfs/dbraw/zinc/00/68/43/381006843.db2.gz GWVPQGOINNLMPG-UHFFFAOYSA-N 0 0 299.334 2.984 20 5 CFBDRN CC(C)(CNC(=O)c1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000295672913 381007288 /nfs/dbraw/zinc/00/72/88/381007288.db2.gz HXSULIMJPDFDAI-UHFFFAOYSA-N 0 0 299.330 2.697 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1[C@H](C)CCC1(C)C ZINC000411084503 381008137 /nfs/dbraw/zinc/00/81/37/381008137.db2.gz JGEBLJAFSPPVBJ-SECBINFHSA-N 0 0 277.324 2.701 20 5 CFBDRN O=[N+]([O-])c1cc(C[N@H+]2CC[C@H](O)C23CCCC3)cs1 ZINC000353996649 381008938 /nfs/dbraw/zinc/00/89/38/381008938.db2.gz PCOJYLVYKLYGEY-NSHDSACASA-N 0 0 282.365 2.536 20 5 CFBDRN Cc1csc(C(C)(C)NC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000295740637 381047043 /nfs/dbraw/zinc/04/70/43/381047043.db2.gz GFOGIGXVCPGYEJ-UHFFFAOYSA-N 0 0 299.396 2.638 20 5 CFBDRN CC[C@H](CNc1nccc2cc([N+](=O)[O-])ccc21)OC ZINC000295739223 381047712 /nfs/dbraw/zinc/04/77/12/381047712.db2.gz XSZJFNIIAVPKNR-GFCCVEGCSA-N 0 0 275.308 2.980 20 5 CFBDRN COC[C@@H]1CCN1Cc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000354057593 381050423 /nfs/dbraw/zinc/05/04/23/381050423.db2.gz XCGPFBUKUXDCIS-ZDUSSCGKSA-N 0 0 278.352 2.939 20 5 CFBDRN COc1cc(C(=O)NC[C@H]2CC2(C)C)cc([N+](=O)[O-])c1C ZINC000358763270 381066002 /nfs/dbraw/zinc/06/60/02/381066002.db2.gz NNVUWQKYZJCKIZ-LLVKDONJSA-N 0 0 292.335 2.688 20 5 CFBDRN COc1ccc(-c2noc(CCC(C)(C)[N+](=O)[O-])n2)cc1 ZINC000295774605 381067822 /nfs/dbraw/zinc/06/78/22/381067822.db2.gz CABDTWSNBYGJIT-UHFFFAOYSA-N 0 0 291.307 2.733 20 5 CFBDRN CCCNC(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000289617588 381070735 /nfs/dbraw/zinc/07/07/35/381070735.db2.gz BBUSUCJGFWBXHG-UHFFFAOYSA-N 0 0 251.286 2.689 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000182350373 381072356 /nfs/dbraw/zinc/07/23/56/381072356.db2.gz HCZPRDLALUZDBE-BDAKNGLRSA-N 0 0 280.299 2.752 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000295724686 381037231 /nfs/dbraw/zinc/03/72/31/381037231.db2.gz KXKDLAJYXYPTOL-PXTSUWAFSA-N 0 0 292.310 2.959 20 5 CFBDRN CC[C@H](CO)N(C)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000295797278 381080638 /nfs/dbraw/zinc/08/06/38/381080638.db2.gz MTWFSOVSPVQJLL-GFCCVEGCSA-N 0 0 294.351 2.561 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCC(=O)NCC1CCC1 ZINC000182401921 381083391 /nfs/dbraw/zinc/08/33/91/381083391.db2.gz JZJDURJKOJKTCK-UHFFFAOYSA-N 0 0 291.351 2.540 20 5 CFBDRN Cc1cc(NC(=O)c2cccnc2C)ccc1[N+](=O)[O-] ZINC000182402723 381084518 /nfs/dbraw/zinc/08/45/18/381084518.db2.gz WAYZPKKRKATFQI-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COc1cncc(CN2CCc3ccc([N+](=O)[O-])cc32)c1 ZINC000289657406 381089929 /nfs/dbraw/zinc/08/99/29/381089929.db2.gz CRBDZOOAJXAQSN-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN CC(C)(CCc1nc(-c2ccccc2)no1)[N+](=O)[O-] ZINC000295814672 381093119 /nfs/dbraw/zinc/09/31/19/381093119.db2.gz FYEXJXGOERNTMN-UHFFFAOYSA-N 0 0 261.281 2.725 20 5 CFBDRN CCN(C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000182530627 381107054 /nfs/dbraw/zinc/10/70/54/381107054.db2.gz NJXMQAQVMVWHKT-SECBINFHSA-N 0 0 280.299 2.848 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CC(F)C1 ZINC000347380000 381111232 /nfs/dbraw/zinc/11/12/32/381111232.db2.gz RSXRMLATEUTIKV-YHYXMXQVSA-N 0 0 250.273 2.652 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@H]1C ZINC000279346571 194393785 /nfs/dbraw/zinc/39/37/85/194393785.db2.gz QEQNNONCNLTGTB-GHMZBOCLSA-N 0 0 292.335 2.912 20 5 CFBDRN CN(CC(F)F)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000354095175 381075970 /nfs/dbraw/zinc/07/59/70/381075970.db2.gz YUKNGYKJNUDRMW-UHFFFAOYSA-N 0 0 267.235 2.844 20 5 CFBDRN Cn1ccc(-c2noc(-c3ccc([N+](=O)[O-])cc3)n2)c1 ZINC000347334977 381077446 /nfs/dbraw/zinc/07/74/46/381077446.db2.gz DUJVBPLFDHYGLM-UHFFFAOYSA-N 0 0 270.248 2.650 20 5 CFBDRN CCC[C@H](OC)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000295790128 381077711 /nfs/dbraw/zinc/07/77/11/381077711.db2.gz BLYQMLJXDUEMGU-NSHDSACASA-N 0 0 292.295 2.715 20 5 CFBDRN CO[C@@](C)([C@@H](C)[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C1CC1 ZINC000411972847 381078539 /nfs/dbraw/zinc/07/85/39/381078539.db2.gz QSKDMKQBDYDTLM-BMIGLBTASA-N 0 0 294.351 2.594 20 5 CFBDRN CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)c1ncccc1C ZINC000341649372 381148831 /nfs/dbraw/zinc/14/88/31/381148831.db2.gz WBQKACCBINVQEX-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)OC ZINC000295909663 381150413 /nfs/dbraw/zinc/15/04/13/381150413.db2.gz ZPWHYCSTXZVLMF-IINYFYTJSA-N 0 0 294.351 2.694 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H]2CC[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC000358914993 381151794 /nfs/dbraw/zinc/15/17/94/381151794.db2.gz MCGNZMWABUIRQU-ZYHUDNBSSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1nc(N[C@H]2COc3ccc(F)cc32)ccc1[N+](=O)[O-] ZINC000341660464 381154560 /nfs/dbraw/zinc/15/45/60/381154560.db2.gz CTTLHOFYVJMGEG-NSHDSACASA-N 0 0 289.266 2.983 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)NC1CCC1 ZINC000289802436 381165398 /nfs/dbraw/zinc/16/53/98/381165398.db2.gz UZXWQDCNNHJYBX-UHFFFAOYSA-N 0 0 269.688 2.922 20 5 CFBDRN CCn1cc([C@H](C)Nc2c([N+](=O)[O-])nc(C)n2CC)cn1 ZINC000295930648 381166264 /nfs/dbraw/zinc/16/62/64/381166264.db2.gz HFHQMSTUXORDSN-VIFPVBQESA-N 0 0 292.343 2.509 20 5 CFBDRN C[C@H]1CC(C)(C)C[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000412047122 381124794 /nfs/dbraw/zinc/12/47/94/381124794.db2.gz RXQGFLATVSQREA-JTQLQIEISA-N 0 0 264.325 2.921 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]1C1CC1 ZINC000341613889 381125222 /nfs/dbraw/zinc/12/52/22/381125222.db2.gz NOKGMWGMLJZEST-RYUDHWBXSA-N 0 0 290.319 2.978 20 5 CFBDRN CCCCCNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000347403751 381127238 /nfs/dbraw/zinc/12/72/38/381127238.db2.gz IRUPJRDYJKCTOG-UHFFFAOYSA-N 0 0 260.297 2.562 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2Cc3ccccc3O2)nc1C1CC1 ZINC000368942782 381166716 /nfs/dbraw/zinc/16/67/16/381166716.db2.gz ZHPGFXJYYHNHDX-GFCCVEGCSA-N 0 0 285.303 2.672 20 5 CFBDRN Cc1cnc(NC(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000341694396 381175211 /nfs/dbraw/zinc/17/52/11/381175211.db2.gz HMALXPQRAODWBB-SECBINFHSA-N 0 0 290.279 2.774 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CCC2CC2)c1 ZINC000347492567 381185902 /nfs/dbraw/zinc/18/59/02/381185902.db2.gz DXGPBSWAVAISIG-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H](C)CS(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000296027677 381219591 /nfs/dbraw/zinc/21/95/91/381219591.db2.gz UYIFSLKDLKMJQR-JTQLQIEISA-N 0 0 271.338 2.556 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000347507844 381193442 /nfs/dbraw/zinc/19/34/42/381193442.db2.gz UABBGUFVWMOCKW-YPMHNXCESA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1nscc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000183002639 381195568 /nfs/dbraw/zinc/19/55/68/381195568.db2.gz IMOZAOBLOIRQAJ-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN C[C@H](C(=O)Nc1ccncc1)c1ccc([N+](=O)[O-])cc1F ZINC000183025682 381199458 /nfs/dbraw/zinc/19/94/58/381199458.db2.gz APZIGOBHDKPQMB-VIFPVBQESA-N 0 0 289.266 2.871 20 5 CFBDRN CCC[C@H]([NH2+][C@H]1C[C@@H](O)C1)c1cccc([N+](=O)[O-])c1 ZINC000296094756 381253417 /nfs/dbraw/zinc/25/34/17/381253417.db2.gz YJDCSNLJYHXMNG-YUTCNCBUSA-N 0 0 264.325 2.549 20 5 CFBDRN COCC1(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCC1 ZINC000347612753 381255439 /nfs/dbraw/zinc/25/54/39/381255439.db2.gz VWEBOYOSQBSUFR-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cn3ccccc3n2)n1 ZINC000359091330 381260782 /nfs/dbraw/zinc/26/07/82/381260782.db2.gz HMJRRSFFRNSWBM-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC[C@@H](C)n1ncc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1C ZINC000359092903 381260992 /nfs/dbraw/zinc/26/09/92/381260992.db2.gz SLCSEAUFOZTGHM-MRVPVSSYSA-N 0 0 291.311 2.651 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(CC3CC(F)(F)C3)n2)cc1 ZINC000296125121 381266478 /nfs/dbraw/zinc/26/64/78/381266478.db2.gz WDSQCSIZOXWSMX-UHFFFAOYSA-N 0 0 294.261 2.899 20 5 CFBDRN CCCCCN(CCO)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359105007 381266887 /nfs/dbraw/zinc/26/68/87/381266887.db2.gz WIOHZLTWTWYKGS-UHFFFAOYSA-N 0 0 281.356 2.596 20 5 CFBDRN C[C@H](CNC(=O)c1c(N)cccc1[N+](=O)[O-])c1ccccc1 ZINC000354356729 381222668 /nfs/dbraw/zinc/22/26/68/381222668.db2.gz FRWRSJGFRAANBP-LLVKDONJSA-N 0 0 299.330 2.711 20 5 CFBDRN CCCCC[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000354375155 381232499 /nfs/dbraw/zinc/23/24/99/381232499.db2.gz JZYGJAOQKVVAHB-JTQLQIEISA-N 0 0 280.328 2.503 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1C[C@@H]1C ZINC000289967379 381240417 /nfs/dbraw/zinc/24/04/17/381240417.db2.gz YQSGAZNEMFQJFK-ONGXEEELSA-N 0 0 277.324 2.935 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2C[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000412361460 381269960 /nfs/dbraw/zinc/26/99/60/381269960.db2.gz LDAZJSRFYNVNBE-GHMZBOCLSA-N 0 0 292.335 2.862 20 5 CFBDRN COc1c(C(=O)NCC2CCCC2)cccc1[N+](=O)[O-] ZINC000354398818 381243252 /nfs/dbraw/zinc/24/32/52/381243252.db2.gz VYJNLZNTQGMBHG-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@]3(CCCCO3)C2)nc1 ZINC000369362492 381245064 /nfs/dbraw/zinc/24/50/64/381245064.db2.gz LSWPZJRHDDPCHH-CQSZACIVSA-N 0 0 277.324 2.529 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1CF ZINC000296077614 381246290 /nfs/dbraw/zinc/24/62/90/381246290.db2.gz DDGKGXSBFZKUGN-LLVKDONJSA-N 0 0 267.260 2.561 20 5 CFBDRN Cc1ccc([C@H](C)NCc2cnns2)cc1[N+](=O)[O-] ZINC000290069066 381286869 /nfs/dbraw/zinc/28/68/69/381286869.db2.gz PWYKAZYGESAMPJ-VIFPVBQESA-N 0 0 278.337 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H](O)CC(F)(F)F)ccc1Cl ZINC000290074399 381287891 /nfs/dbraw/zinc/28/78/91/381287891.db2.gz JMUWKEWCBXDWJK-LURJTMIESA-N 0 0 299.632 2.940 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)NCC1=CCCCC1 ZINC000296189824 381292986 /nfs/dbraw/zinc/29/29/86/381292986.db2.gz HGGRULCDMUAESE-UHFFFAOYSA-N 0 0 289.335 2.894 20 5 CFBDRN CC[C@H](O)CCCNc1c(F)cccc1[N+](=O)[O-] ZINC000342376790 381303633 /nfs/dbraw/zinc/30/36/33/381303633.db2.gz MTQPDFZSGDSNKG-VIFPVBQESA-N 0 0 256.277 2.697 20 5 CFBDRN CCn1ncc2ccc(NC(=O)c3ccc([N+](=O)[O-])[nH]3)cc21 ZINC000354498063 381304687 /nfs/dbraw/zinc/30/46/87/381304687.db2.gz APXLCPRJPKXOQC-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN CS[C@@H]1CCN(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000296159849 381282402 /nfs/dbraw/zinc/28/24/02/381282402.db2.gz KKDPEHLYCOIXOX-LLVKDONJSA-N 0 0 295.364 2.872 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1cccc(Cl)c1F ZINC000347732221 381321197 /nfs/dbraw/zinc/32/11/97/381321197.db2.gz LADSBTAJHYEYRT-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN COc1c(Cl)cccc1NCc1c([N+](=O)[O-])ncn1C ZINC000347757857 381336848 /nfs/dbraw/zinc/33/68/48/381336848.db2.gz SLDJYQXFYIANOV-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN COc1cccc(N[C@@H](C)CCCCO)c1[N+](=O)[O-] ZINC000296223431 381307858 /nfs/dbraw/zinc/30/78/58/381307858.db2.gz GZMSKZOFJOGRHN-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@@H]3CCC[C@H]32)cc1 ZINC000369695638 381316808 /nfs/dbraw/zinc/31/68/08/381316808.db2.gz IHVCEDXITLKNCA-ZIAGYGMSSA-N 0 0 262.309 2.743 20 5 CFBDRN C[C@@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])C1CC1 ZINC000296250331 381320427 /nfs/dbraw/zinc/32/04/27/381320427.db2.gz IRJIBWYBVYMMHH-SECBINFHSA-N 0 0 289.335 2.697 20 5 CFBDRN CC1(CNC(=O)c2cc([N+](=O)[O-])c(F)cc2N)CCCC1 ZINC000279444811 194436612 /nfs/dbraw/zinc/43/66/12/194436612.db2.gz NNIVANLVFBJGGR-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000279437953 194433257 /nfs/dbraw/zinc/43/32/57/194433257.db2.gz LUKZYALSSCGMOZ-AWEZNQCLSA-N 0 0 293.323 2.628 20 5 CFBDRN CC[C@@H](CC(F)(F)F)Nc1ncc([N+](=O)[O-])cn1 ZINC000354594716 381358251 /nfs/dbraw/zinc/35/82/51/381358251.db2.gz MIZYOCAOPILDEE-LURJTMIESA-N 0 0 264.207 2.528 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2ccc(Cl)c(F)c2)c1[N+](=O)[O-] ZINC000354604126 381363287 /nfs/dbraw/zinc/36/32/87/381363287.db2.gz RMLDRHOVPWMMMK-UHFFFAOYSA-N 0 0 298.661 2.671 20 5 CFBDRN CC1(C)CCC(C)(CNC(=O)c2[nH]ncc2[N+](=O)[O-])CC1 ZINC000412613389 381367209 /nfs/dbraw/zinc/36/72/09/381367209.db2.gz MJQANMIQDLKYPX-UHFFFAOYSA-N 0 0 294.355 2.654 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])s1)OCC1CC1 ZINC000412628818 381374137 /nfs/dbraw/zinc/37/41/37/381374137.db2.gz ZVDKOFCCFMEPHB-UHFFFAOYSA-N 0 0 298.364 2.591 20 5 CFBDRN Cc1nn(C[C@@H]2C[C@@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359366420 381374626 /nfs/dbraw/zinc/37/46/26/381374626.db2.gz IOTRFGCXJFFCFQ-QWHCGFSZSA-N 0 0 257.293 2.903 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cccnc1C1CC1 ZINC000342528574 381376549 /nfs/dbraw/zinc/37/65/49/381376549.db2.gz OJLYIMFGKRMRNT-UHFFFAOYSA-N 0 0 297.314 2.797 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000347849535 381376917 /nfs/dbraw/zinc/37/69/17/381376917.db2.gz RVDUKEFJQGZYAZ-MRVPVSSYSA-N 0 0 295.314 2.625 20 5 CFBDRN Cc1nc(N[C@H](C)CCc2ccco2)ncc1[N+](=O)[O-] ZINC000296469198 381409723 /nfs/dbraw/zinc/40/97/23/381409723.db2.gz JVDXVJAIPXBIDO-SECBINFHSA-N 0 0 276.296 2.719 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000296483535 381415708 /nfs/dbraw/zinc/41/57/08/381415708.db2.gz AJTUQOGSIOYBKK-LLVKDONJSA-N 0 0 263.297 2.919 20 5 CFBDRN CCn1ccnc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296484156 381417485 /nfs/dbraw/zinc/41/74/85/381417485.db2.gz OKCSZSLCZCSGCG-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])C[C@@H]1O ZINC000342534543 381379814 /nfs/dbraw/zinc/37/98/14/381379814.db2.gz CGTZHHVSBNSETA-LDWIPMOCSA-N 0 0 291.134 2.719 20 5 CFBDRN COC1CCC(CCNc2ncc([N+](=O)[O-])cc2C)CC1 ZINC000347880745 381397511 /nfs/dbraw/zinc/39/75/11/381397511.db2.gz PRLKFUWJGJPDRW-UHFFFAOYSA-N 0 0 293.367 2.727 20 5 CFBDRN Cc1cc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)no1 ZINC000359478012 381426997 /nfs/dbraw/zinc/42/69/97/381426997.db2.gz WRJPRBPNMZHDAM-UHFFFAOYSA-N 0 0 272.264 2.598 20 5 CFBDRN Cc1cc(Cl)cnc1NCc1cccc([N+](=O)[O-])c1 ZINC000359480164 381427878 /nfs/dbraw/zinc/42/78/78/381427878.db2.gz LFBAIZFYNOQYJE-UHFFFAOYSA-N 0 0 277.711 2.986 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000347956211 381432217 /nfs/dbraw/zinc/43/22/17/381432217.db2.gz LPLGHFXHRDSHDL-RYUDHWBXSA-N 0 0 282.271 2.630 20 5 CFBDRN O=C(NC[C@H]1CCCCS1)c1csc([N+](=O)[O-])c1 ZINC000342634348 381448158 /nfs/dbraw/zinc/44/81/58/381448158.db2.gz HJLNLGCXQASNQH-SECBINFHSA-N 0 0 286.378 2.672 20 5 CFBDRN Cc1nc(Sc2nccn2C(C)C)ncc1[N+](=O)[O-] ZINC000296559333 381448467 /nfs/dbraw/zinc/44/84/67/381448467.db2.gz PKEACXKUDMXHIK-UHFFFAOYSA-N 0 0 279.325 2.622 20 5 CFBDRN O=C(NC[C@@H]1CCCCS1)c1ccc([N+](=O)[O-])s1 ZINC000342641914 381451816 /nfs/dbraw/zinc/45/18/16/381451816.db2.gz RFXFMJFTDZWBPQ-QMMMGPOBSA-N 0 0 286.378 2.672 20 5 CFBDRN CC(C)c1cnc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)s1 ZINC000359533061 381455016 /nfs/dbraw/zinc/45/50/16/381455016.db2.gz WHSKBEQYZOKOIR-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN CCN(C(=O)NCc1cccc([N+](=O)[O-])c1C)C1CCC1 ZINC000359546475 381460640 /nfs/dbraw/zinc/46/06/40/381460640.db2.gz USOFKLALFILZGN-UHFFFAOYSA-N 0 0 291.351 2.987 20 5 CFBDRN CCCCCOC1CN(c2c([N+](=O)[O-])c(C)nn2CC)C1 ZINC000359549220 381461824 /nfs/dbraw/zinc/46/18/24/381461824.db2.gz URJAOOJPLNSOGP-UHFFFAOYSA-N 0 0 296.371 2.515 20 5 CFBDRN Cc1cc(C(=O)N2CCCOC(C)(C)C2)cc([N+](=O)[O-])c1 ZINC000370906991 381512798 /nfs/dbraw/zinc/51/27/98/381512798.db2.gz ZCPPIXXGDJHDCN-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1nn(C2CC(OC(C)C)C2)c(C)c1[N+](=O)[O-] ZINC000412983377 381518503 /nfs/dbraw/zinc/51/85/03/381518503.db2.gz NFNFYFFNBGRVPI-UHFFFAOYSA-N 0 0 253.302 2.537 20 5 CFBDRN CC(C)OCCCOc1c(F)cccc1[N+](=O)[O-] ZINC000185034887 381518808 /nfs/dbraw/zinc/51/88/08/381518808.db2.gz AJONZDCAYSQJGB-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCCF ZINC000184876850 381491863 /nfs/dbraw/zinc/49/18/63/381491863.db2.gz KUVRTLGWVQOHLU-GFCCVEGCSA-N 0 0 282.315 2.639 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(Cl)cc1O)[N+](=O)[O-] ZINC000296656418 381493522 /nfs/dbraw/zinc/49/35/22/381493522.db2.gz XXSVDDHRPKOSKZ-UHFFFAOYSA-N 0 0 286.715 2.820 20 5 CFBDRN Cc1ccc(CC[C@@H](C)NC(=O)c2cc([N+](=O)[O-])c[nH]2)o1 ZINC000359596429 381496847 /nfs/dbraw/zinc/49/68/47/381496847.db2.gz HNOUADWNGYYUGR-SECBINFHSA-N 0 0 291.307 2.575 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000342796080 381547728 /nfs/dbraw/zinc/54/77/28/381547728.db2.gz ORZPLBAYPMWKMW-YUSALJHKSA-N 0 0 279.340 2.770 20 5 CFBDRN Cc1nn(Cc2ccc(CO)cc2)c2ccc([N+](=O)[O-])cc12 ZINC000354928948 381525562 /nfs/dbraw/zinc/52/55/62/381525562.db2.gz GWZYSLKEZJCLDO-UHFFFAOYSA-N 0 0 297.314 2.794 20 5 CFBDRN Cc1cc(N(C)C(=O)c2cccc([N+](=O)[O-])c2C)ccn1 ZINC000359639192 381526992 /nfs/dbraw/zinc/52/69/92/381526992.db2.gz CYTCPIDVCXPQQZ-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CC(C)OC1CC(n2cc(Cl)cc([N+](=O)[O-])c2=O)C1 ZINC000412994706 381527242 /nfs/dbraw/zinc/52/72/42/381527242.db2.gz BMWYHNWUFSXEOZ-UHFFFAOYSA-N 0 0 286.715 2.538 20 5 CFBDRN Cc1cc([N+](=O)[O-])nn1C[C@H](O)c1ccc(F)cc1Cl ZINC000185071416 381527859 /nfs/dbraw/zinc/52/78/59/381527859.db2.gz PHBWBIPDUPNSBR-NSHDSACASA-N 0 0 299.689 2.626 20 5 CFBDRN CC(C)OC1CC(n2cc([N+](=O)[O-])c(C3CC3)n2)C1 ZINC000413002333 381532380 /nfs/dbraw/zinc/53/23/80/381532380.db2.gz FLMYOBKWSUQXMJ-UHFFFAOYSA-N 0 0 265.313 2.797 20 5 CFBDRN CSCCCOc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359647813 381533233 /nfs/dbraw/zinc/53/32/33/381533233.db2.gz JTLFITWNYVAYEM-UHFFFAOYSA-N 0 0 256.327 2.739 20 5 CFBDRN C[C@@H]1CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C[C@@H](C)C1 ZINC000342779594 381534971 /nfs/dbraw/zinc/53/49/71/381534971.db2.gz KWIWBZFPBKFSNU-MNOVXSKESA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1nc(N[C@H](CO)c2cccc(F)c2)ccc1[N+](=O)[O-] ZINC000348366538 381536298 /nfs/dbraw/zinc/53/62/98/381536298.db2.gz PVDITOPXAACKRA-GFCCVEGCSA-N 0 0 291.282 2.583 20 5 CFBDRN CCc1n[nH]c(C(=O)NCC(C)(C)C(C)(C)C)c1[N+](=O)[O-] ZINC000348448852 381572821 /nfs/dbraw/zinc/57/28/21/381572821.db2.gz ZFOIAMLXWCPKMI-UHFFFAOYSA-N 0 0 296.371 2.682 20 5 CFBDRN CC(C)OC(=O)CCCNc1c(F)cccc1[N+](=O)[O-] ZINC000342832704 381573737 /nfs/dbraw/zinc/57/37/37/381573737.db2.gz UKMLQWDCWAVVDG-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000185241137 381575121 /nfs/dbraw/zinc/57/51/21/381575121.db2.gz VRHPIDOVFKGFFI-LLVKDONJSA-N 0 0 264.325 2.893 20 5 CFBDRN O=C(CSC(F)F)OCc1ccc([N+](=O)[O-])cc1 ZINC000298182159 381579366 /nfs/dbraw/zinc/57/93/66/381579366.db2.gz ZPFVDJHMWDKWJE-UHFFFAOYSA-N 0 0 277.248 2.594 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@@H](CC2CC2)C1 ZINC000359725838 381581771 /nfs/dbraw/zinc/58/17/71/381581771.db2.gz XMHWVDTZQPQQQN-LBPRGKRZSA-N 0 0 274.320 2.857 20 5 CFBDRN O=[N+]([O-])c1cn(CCCOCC(F)(F)F)nc1C1CC1 ZINC000185177249 381553629 /nfs/dbraw/zinc/55/36/29/381553629.db2.gz QBQLOZGDCXEASA-UHFFFAOYSA-N 0 0 293.245 2.638 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCCC[C@H]21 ZINC000371172793 381561920 /nfs/dbraw/zinc/56/19/20/381561920.db2.gz CMHZWYGTCUENNY-DZGCQCFKSA-N 0 0 288.347 2.928 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C1CC1 ZINC000185276106 381584113 /nfs/dbraw/zinc/58/41/13/381584113.db2.gz XNHNFHBUFOHJQZ-LBPRGKRZSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1cnc(NCC2([C@@H](C)CCO)CCC2)c([N+](=O)[O-])c1 ZINC000342818637 381565725 /nfs/dbraw/zinc/56/57/25/381565725.db2.gz XNWOZFYWDGVPAH-LBPRGKRZSA-N 0 0 293.367 2.899 20 5 CFBDRN Cc1ccncc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000359704961 381569383 /nfs/dbraw/zinc/56/93/83/381569383.db2.gz DGSJXORPFIRGQZ-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CCC[C@@H](CC)NS(=O)(=O)c1ccc([N+](=O)[O-])s1 ZINC000195211614 270251263 /nfs/dbraw/zinc/25/12/63/270251263.db2.gz ZSDQBPQVCKYRSK-MRVPVSSYSA-N 0 0 292.382 2.513 20 5 CFBDRN CC(C)(CCc1nc2ccc(Cl)cc2c(=O)[nH]1)[N+](=O)[O-] ZINC000290920412 381598465 /nfs/dbraw/zinc/59/84/65/381598465.db2.gz HLNLNJXSUXDNBR-UHFFFAOYSA-N 0 0 295.726 2.977 20 5 CFBDRN CCN(C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(C)C ZINC000342889013 381600629 /nfs/dbraw/zinc/60/06/29/381600629.db2.gz WAUPWDBMUYTOGX-UHFFFAOYSA-N 0 0 275.308 2.947 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000185336029 381601434 /nfs/dbraw/zinc/60/14/34/381601434.db2.gz UUIDDHFWVWLGHW-AAEUAGOBSA-N 0 0 276.336 2.784 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCCC2(CC2)C1 ZINC000185332744 381602295 /nfs/dbraw/zinc/60/22/95/381602295.db2.gz HOTKGAOMAMMITR-UHFFFAOYSA-N 0 0 266.322 2.673 20 5 CFBDRN O=C(Nc1cc2c(cn1)CCC2)c1ccccc1[N+](=O)[O-] ZINC000359772423 381606067 /nfs/dbraw/zinc/60/60/67/381606067.db2.gz JKZMADWKCCWHSM-UHFFFAOYSA-N 0 0 283.287 2.731 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342903360 381606262 /nfs/dbraw/zinc/60/62/62/381606262.db2.gz JYIYLNBXVSGZGY-UHFFFAOYSA-N 0 0 299.330 2.996 20 5 CFBDRN CC1(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)CCC1 ZINC000342903047 381606874 /nfs/dbraw/zinc/60/68/74/381606874.db2.gz JKFPJFNRNISFHD-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN Cc1ccc(NC(=O)CC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000298342521 381585154 /nfs/dbraw/zinc/58/51/54/381585154.db2.gz LKANTVCZFWEEEQ-GFCCVEGCSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1noc(NC(=O)c2cccc(C)c2[N+](=O)[O-])c1C ZINC000359739851 381589697 /nfs/dbraw/zinc/58/96/97/381589697.db2.gz OQHQLKLUUNZHTL-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC12CCC(CC1)C2 ZINC000371323191 381590206 /nfs/dbraw/zinc/59/02/06/381590206.db2.gz LRBAGWVKKQXNMT-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCc2ccc(CO)cc21 ZINC000371343713 381595242 /nfs/dbraw/zinc/59/52/42/381595242.db2.gz HPKFLZCMABCDNL-UHFFFAOYSA-N 0 0 284.315 2.650 20 5 CFBDRN CCCC1(C(=O)NCCNc2ccc([N+](=O)[O-])cc2)CC1 ZINC000348524477 381607621 /nfs/dbraw/zinc/60/76/21/381607621.db2.gz HCXBPXLHJQNVSV-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN COc1cc(C(=O)N2CCCC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000185321701 381596280 /nfs/dbraw/zinc/59/62/80/381596280.db2.gz UAJPYDFFXPWWKH-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN Cc1nn(COC(=O)OC2CCCCC2)c(C)c1[N+](=O)[O-] ZINC000185354892 381608919 /nfs/dbraw/zinc/60/89/19/381608919.db2.gz JWMMWQPQSVSDCA-UHFFFAOYSA-N 0 0 297.311 2.852 20 5 CFBDRN Cc1cc(NC[C@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000290965304 381612747 /nfs/dbraw/zinc/61/27/47/381612747.db2.gz NWLTUGKUOVQIKP-SECBINFHSA-N 0 0 254.261 2.633 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CCCSC ZINC000290977716 381618068 /nfs/dbraw/zinc/61/80/68/381618068.db2.gz QYAJZVNOAIYYJV-UHFFFAOYSA-N 0 0 284.381 2.931 20 5 CFBDRN COC(=O)[C@H](C)Sc1cc(C)c([N+](=O)[O-])cc1F ZINC000290991807 381623474 /nfs/dbraw/zinc/62/34/74/381623474.db2.gz RWUBYKPHYJXAKS-ZETCQYMHSA-N 0 0 273.285 2.696 20 5 CFBDRN CCOC(=O)c1ccnc(NCCC2(C)CC2)c1[N+](=O)[O-] ZINC000359842761 381636708 /nfs/dbraw/zinc/63/67/08/381636708.db2.gz WRJDRIVLZGATMM-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CCC1(C)CN(C(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)C1 ZINC000291022660 381637364 /nfs/dbraw/zinc/63/73/64/381637364.db2.gz FPXMFIJSDRMQKX-UHFFFAOYSA-N 0 0 292.335 2.784 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1N1CC[C@H](CO)C1 ZINC000342964248 381638006 /nfs/dbraw/zinc/63/80/06/381638006.db2.gz IFIBBYNWYSQTMH-ZETCQYMHSA-N 0 0 291.134 2.720 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@H](C2CC2)C1 ZINC000291010159 381630893 /nfs/dbraw/zinc/63/08/93/381630893.db2.gz WTBCQBYRSUJUPZ-ZDUSSCGKSA-N 0 0 274.320 2.857 20 5 CFBDRN Cc1cc(CN(C)c2cc(C)c([N+](=O)[O-])cc2F)no1 ZINC000291053603 381648835 /nfs/dbraw/zinc/64/88/35/381648835.db2.gz ZRMGRTPBAXFVHO-UHFFFAOYSA-N 0 0 279.271 2.975 20 5 CFBDRN Cc1cc(NCCC(=O)OC(C)C)c(F)cc1[N+](=O)[O-] ZINC000359847509 381638561 /nfs/dbraw/zinc/63/85/61/381638561.db2.gz WVJYHCFZSQUUIN-UHFFFAOYSA-N 0 0 284.287 2.796 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000185459176 381638706 /nfs/dbraw/zinc/63/87/06/381638706.db2.gz UJWIFOFHNMOGMG-BXUZGUMPSA-N 0 0 276.336 2.784 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2C[C@@H]2C2CC2)s1 ZINC000343033620 381655153 /nfs/dbraw/zinc/65/51/53/381655153.db2.gz JYUGRMSGOSHHFP-APPZFPTMSA-N 0 0 276.321 2.810 20 5 CFBDRN COc1cccc(NC[C@@]2(C)CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000185521046 381657164 /nfs/dbraw/zinc/65/71/64/381657164.db2.gz QNNHSWDJCKYHGS-DZGCQCFKSA-N 0 0 294.351 2.957 20 5 CFBDRN CCC1(C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2C)C1 ZINC000291084451 381660828 /nfs/dbraw/zinc/66/08/28/381660828.db2.gz PAKAIMHTLAMGPF-UHFFFAOYSA-N 0 0 276.336 2.704 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCCCC[C@H]1CCO ZINC000343106582 381662458 /nfs/dbraw/zinc/66/24/58/381662458.db2.gz ZGJSTKZVHMIXFU-NSHDSACASA-N 0 0 299.758 2.775 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@]3(C2)CCCOC3)n1 ZINC000343163912 381664020 /nfs/dbraw/zinc/66/40/20/381664020.db2.gz UNAXVAIVMCCKII-HNNXBMFYSA-N 0 0 291.351 2.614 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC(F)(F)[C@@H](C)C1 ZINC000291095263 381665049 /nfs/dbraw/zinc/66/50/49/381665049.db2.gz JUPSICGPXAEYBR-QMMMGPOBSA-N 0 0 288.298 2.601 20 5 CFBDRN CC(C)OC(=O)CC[C@@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000359905375 381669690 /nfs/dbraw/zinc/66/96/90/381669690.db2.gz UJJMCOFEKFXXCE-SNVBAGLBSA-N 0 0 281.312 2.522 20 5 CFBDRN CC(C)(CCc1noc(-c2ccccc2F)n1)[N+](=O)[O-] ZINC000291116762 381670709 /nfs/dbraw/zinc/67/07/09/381670709.db2.gz UHIYXJRBBKBVLL-UHFFFAOYSA-N 0 0 279.271 2.864 20 5 CFBDRN CCC1(C)CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000291059018 381652032 /nfs/dbraw/zinc/65/20/32/381652032.db2.gz KWVQQZBNWKXNRW-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN O=C(N[C@@H]1CCCCC12CCCC2)c1[nH]ncc1[N+](=O)[O-] ZINC000291218019 381711223 /nfs/dbraw/zinc/71/12/23/381711223.db2.gz NFXDOEPNXWTWMD-LLVKDONJSA-N 0 0 292.339 2.551 20 5 CFBDRN CC(C)n1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)n1 ZINC000291149291 381685790 /nfs/dbraw/zinc/68/57/90/381685790.db2.gz ZGBLRRFXBGZQQS-UHFFFAOYSA-N 0 0 293.327 2.502 20 5 CFBDRN CO[C@](C)(C(=O)Nc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000291154410 381686709 /nfs/dbraw/zinc/68/67/09/381686709.db2.gz LJPNXECAKSMVHD-AWEZNQCLSA-N 0 0 278.308 2.657 20 5 CFBDRN CC1(C(=O)NCc2ccccc2[N+](=O)[O-])CCCC1 ZINC000298634964 381694233 /nfs/dbraw/zinc/69/42/33/381694233.db2.gz GMGLAYHPJUOITE-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN CC(C)C1CCC(N(C)C(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000355235849 381694564 /nfs/dbraw/zinc/69/45/64/381694564.db2.gz ARDQROYQHKTGDG-UHFFFAOYSA-N 0 0 294.355 2.605 20 5 CFBDRN Cc1cc(OCCOC(C)C)c(F)cc1[N+](=O)[O-] ZINC000185656643 381695918 /nfs/dbraw/zinc/69/59/18/381695918.db2.gz CTBPTRRZCCGYAL-UHFFFAOYSA-N 0 0 257.261 2.846 20 5 CFBDRN CCC(C)(C)NC(=O)COc1cc(C)c([N+](=O)[O-])cc1F ZINC000185666390 381697541 /nfs/dbraw/zinc/69/75/41/381697541.db2.gz CZHSLHFBNSUQAQ-UHFFFAOYSA-N 0 0 298.314 2.726 20 5 CFBDRN COc1ccc(NC(=O)CCc2ccoc2)cc1[N+](=O)[O-] ZINC000359962423 381700346 /nfs/dbraw/zinc/70/03/46/381700346.db2.gz FNHFUPUKSHYYBC-UHFFFAOYSA-N 0 0 290.275 2.768 20 5 CFBDRN COc1cccc(N[C@H]2CCCOCC2)c1[N+](=O)[O-] ZINC000185674943 381702374 /nfs/dbraw/zinc/70/23/74/381702374.db2.gz ANPSMHYRIWTVJZ-JTQLQIEISA-N 0 0 266.297 2.584 20 5 CFBDRN Cn1cc(CNCc2ccccc2[N+](=O)[O-])c(C(F)F)n1 ZINC000291197277 381704187 /nfs/dbraw/zinc/70/41/87/381704187.db2.gz MQBHNRLWCIQTLF-UHFFFAOYSA-N 0 0 296.277 2.556 20 5 CFBDRN Cc1cc(NC(=O)NC[C@@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000298746859 381734261 /nfs/dbraw/zinc/73/42/61/381734261.db2.gz UTTXINBDAMXARC-WPRPVWTQSA-N 0 0 263.297 2.681 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1C[C@]12CCOC2 ZINC000372015946 381736643 /nfs/dbraw/zinc/73/66/43/381736643.db2.gz PEDRXZPFSFLYBB-ZANVPECISA-N 0 0 296.710 2.613 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C1 ZINC000343480617 381743463 /nfs/dbraw/zinc/74/34/63/381743463.db2.gz KGYUHDHDYWAKLM-GFCCVEGCSA-N 0 0 294.351 2.997 20 5 CFBDRN CC(C)N(C)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000298777765 381746311 /nfs/dbraw/zinc/74/63/11/381746311.db2.gz GAZOMVVCKLSSLL-UHFFFAOYSA-N 0 0 262.265 2.821 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1cc(C)nc(C)n1 ZINC000298786528 381748436 /nfs/dbraw/zinc/74/84/36/381748436.db2.gz KFXQTZZYMBPJEO-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000360048515 381749040 /nfs/dbraw/zinc/74/90/40/381749040.db2.gz WCHHONDEISXVRQ-NSHDSACASA-N 0 0 296.298 2.574 20 5 CFBDRN CCN(Cc1c(F)cc([N+](=O)[O-])cc1F)C[C@H](C)OC ZINC000291341017 381754269 /nfs/dbraw/zinc/75/42/69/381754269.db2.gz WTGASAGDTIZHRP-VIFPVBQESA-N 0 0 288.294 2.730 20 5 CFBDRN Cc1cccnc1N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000348813529 381719429 /nfs/dbraw/zinc/71/94/29/381719429.db2.gz IPTCIDUVAMZGPM-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2Cc3ccccc32)cc1[N+](=O)[O-] ZINC000360005042 381722103 /nfs/dbraw/zinc/72/21/03/381722103.db2.gz QVBCMQZCNCZJDV-ZDUSSCGKSA-N 0 0 298.298 2.882 20 5 CFBDRN CCc1nnc(SCc2c(F)cccc2[N+](=O)[O-])[nH]1 ZINC000349013001 381791101 /nfs/dbraw/zinc/79/11/01/381791101.db2.gz XLRPYDVXSZUTQM-UHFFFAOYSA-N 0 0 282.300 2.707 20 5 CFBDRN Cc1cc(C(=O)NC23CCC(CC2)C3)cc([N+](=O)[O-])c1 ZINC000372345547 381795500 /nfs/dbraw/zinc/79/55/00/381795500.db2.gz GUVZJZPVFNKQKV-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(N[C@@H](c1ccccc1)C1CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000298866817 381770233 /nfs/dbraw/zinc/77/02/33/381770233.db2.gz VQCWLTFUDIFQAN-AWEZNQCLSA-N 0 0 285.303 2.804 20 5 CFBDRN C[C@H](CCc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298879023 381773855 /nfs/dbraw/zinc/77/38/55/381773855.db2.gz ZRPHVCUDERKODS-LLVKDONJSA-N 0 0 287.319 2.674 20 5 CFBDRN COCC1CCN(c2cc(C)c([N+](=O)[O-])cc2F)CC1 ZINC000292718067 381820443 /nfs/dbraw/zinc/82/04/43/381820443.db2.gz TWYGKLWIBLZCDK-UHFFFAOYSA-N 0 0 282.315 2.905 20 5 CFBDRN CCc1nocc1CN(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000292756670 381823144 /nfs/dbraw/zinc/82/31/44/381823144.db2.gz KAURAXWLSIYTFU-UHFFFAOYSA-N 0 0 279.271 2.921 20 5 CFBDRN Cc1cc(C(=O)NCC(C)(C)C)ccc1[N+](=O)[O-] ZINC000299102292 381825032 /nfs/dbraw/zinc/82/50/32/381825032.db2.gz OVMPOQAKMCNFEJ-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN O=c1ccn(CCC(Cl)(Cl)Cl)cc1[N+](=O)[O-] ZINC000186156995 381837576 /nfs/dbraw/zinc/83/75/76/381837576.db2.gz QAEDWJBGDXSJPD-UHFFFAOYSA-N 0 0 285.514 2.517 20 5 CFBDRN CO[C@@](C)(CNc1ncc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000292401257 381803210 /nfs/dbraw/zinc/80/32/10/381803210.db2.gz RTVKUJWHQXKTFK-LBPRGKRZSA-N 0 0 285.731 2.870 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@](C)(OC)C1CC1 ZINC000292449884 381806120 /nfs/dbraw/zinc/80/61/20/381806120.db2.gz WUAIXAXJRDUCDP-OAHLLOKOSA-N 0 0 294.351 2.968 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@]23CCOC3)c1 ZINC000343670755 381879529 /nfs/dbraw/zinc/87/95/29/381879529.db2.gz SGDRSFAVZRGGIX-AWEZNQCLSA-N 0 0 262.309 2.663 20 5 CFBDRN Cc1c(NC(=O)NC[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000299276889 381881122 /nfs/dbraw/zinc/88/11/22/381881122.db2.gz YZCKQUHHSCDZTM-LLVKDONJSA-N 0 0 293.323 2.594 20 5 CFBDRN COC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000186331251 381884081 /nfs/dbraw/zinc/88/40/81/381884081.db2.gz XTGNAHRVTNRMPX-UHFFFAOYSA-N 0 0 293.319 2.987 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1F)c1ccon1 ZINC000349231839 381855270 /nfs/dbraw/zinc/85/52/70/381855270.db2.gz ATVBAHNNHAZWLJ-MRVPVSSYSA-N 0 0 265.244 2.573 20 5 CFBDRN CCCC1(C(=O)NCc2cc([N+](=O)[O-])ccc2OC)CC1 ZINC000343637541 381859009 /nfs/dbraw/zinc/85/90/09/381859009.db2.gz ZUAJOWZHARGWAT-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN CCC(CC)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000299255789 381873288 /nfs/dbraw/zinc/87/32/88/381873288.db2.gz HGRPZCVQNOGXOH-UHFFFAOYSA-N 0 0 250.298 2.994 20 5 CFBDRN Cn1ccnc1[C@H]1CCCN1Cc1ccccc1[N+](=O)[O-] ZINC000372954520 381896570 /nfs/dbraw/zinc/89/65/70/381896570.db2.gz WIWYIGDSONQPMN-CQSZACIVSA-N 0 0 286.335 2.665 20 5 CFBDRN C[C@@H](CN(C)c1ccc([N+](=O)[O-])nc1)c1nccs1 ZINC000343711170 381902850 /nfs/dbraw/zinc/90/28/50/381902850.db2.gz FDDJLSIKEJQNEV-VIFPVBQESA-N 0 0 278.337 2.686 20 5 CFBDRN Cc1cncnc1-c1noc(-c2cccc([N+](=O)[O-])c2C)n1 ZINC000356914391 381902981 /nfs/dbraw/zinc/90/29/81/381902981.db2.gz BSJOFARYYXLPTG-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)Nc1cccc([N+](=O)[O-])c1 ZINC000416722432 381904319 /nfs/dbraw/zinc/90/43/19/381904319.db2.gz HEIZLLWYGTZPBP-VIFPVBQESA-N 0 0 261.281 2.516 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C/C=C/c1ccccc1 ZINC000356933385 381911075 /nfs/dbraw/zinc/91/10/75/381911075.db2.gz YACUUFXBDDEFDV-VMPITWQZSA-N 0 0 270.288 2.778 20 5 CFBDRN CCc1nocc1CN(C)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000292896560 381889815 /nfs/dbraw/zinc/88/98/15/381889815.db2.gz WHPMZMPQNMFCNG-UHFFFAOYSA-N 0 0 291.307 2.790 20 5 CFBDRN CCc1nn(C)c(NCCCCCSC)c1[N+](=O)[O-] ZINC000186360255 381890993 /nfs/dbraw/zinc/89/09/93/381890993.db2.gz IJPDWSMSJWPIEQ-UHFFFAOYSA-N 0 0 286.401 2.836 20 5 CFBDRN Cc1cc2cc(CNc3ncc([N+](=O)[O-])s3)ccc2[nH]1 ZINC000343807325 381955327 /nfs/dbraw/zinc/95/53/27/381955327.db2.gz LXWDQOYASJBPEO-UHFFFAOYSA-N 0 0 288.332 2.875 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000343807581 381955666 /nfs/dbraw/zinc/95/56/66/381955666.db2.gz LRRKBBHWUMWISU-QMMMGPOBSA-N 0 0 258.705 2.719 20 5 CFBDRN COc1cccc(N[C@@H]2C[C@@H]2C(F)F)c1[N+](=O)[O-] ZINC000343813955 381959397 /nfs/dbraw/zinc/95/93/97/381959397.db2.gz UOXMFJMQJAGUCT-POYBYMJQSA-N 0 0 258.224 2.669 20 5 CFBDRN CC/C=C\CCn1cnc2ccc([N+](=O)[O-])cc2c1=O ZINC000362226841 381966716 /nfs/dbraw/zinc/96/67/16/381966716.db2.gz NFARZZUHMOPZIK-ARJAWSKDSA-N 0 0 273.292 2.661 20 5 CFBDRN Cc1nnc([C@@H](C)Oc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000299522061 381970994 /nfs/dbraw/zinc/97/09/94/381970994.db2.gz LLIVPRZEEDCAHC-ZCFIWIBFSA-N 0 0 267.216 2.565 20 5 CFBDRN C[C@@H](C(=O)NCCc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000293060151 381972719 /nfs/dbraw/zinc/97/27/19/381972719.db2.gz ABCWMSOWUNEVPU-VIFPVBQESA-N 0 0 286.278 2.545 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](C)C1 ZINC000299595856 381998289 /nfs/dbraw/zinc/99/82/89/381998289.db2.gz FNTWYCVBFYQHOI-MNOVXSKESA-N 0 0 294.376 2.944 20 5 CFBDRN COc1ccc(COc2ccc([N+](=O)[O-])cc2)cn1 ZINC000362305030 381999512 /nfs/dbraw/zinc/99/95/12/381999512.db2.gz KCUNTWJKKACWJS-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CC(=O)c1cc(N[C@H]2C[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000343884239 382002974 /nfs/dbraw/zinc/00/29/74/382002974.db2.gz ZHIPVUWIJYOFRI-UWVGGRQHSA-N 0 0 270.235 2.863 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000343884238 382003031 /nfs/dbraw/zinc/00/30/31/382003031.db2.gz ZHIPVUWIJYOFRI-NXEZZACHSA-N 0 0 270.235 2.863 20 5 CFBDRN C[C@@H]1CCN(CC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000299623172 382007661 /nfs/dbraw/zinc/00/76/61/382007661.db2.gz JTUXSLDNUCFKFF-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CC23CCCC3)cccc1[N+](=O)[O-] ZINC000357109508 382012433 /nfs/dbraw/zinc/01/24/33/382012433.db2.gz ORKFDMKOYRUQSC-GFCCVEGCSA-N 0 0 290.319 2.666 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCC1CCC1 ZINC000186782506 382013971 /nfs/dbraw/zinc/01/39/71/382013971.db2.gz FTQTXURWJMEHQR-UHFFFAOYSA-N 0 0 279.296 2.525 20 5 CFBDRN Cc1cc(NC(=O)NC[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000416977141 381980026 /nfs/dbraw/zinc/98/00/26/381980026.db2.gz SLKPBZRMBWEALO-JTQLQIEISA-N 0 0 275.308 2.825 20 5 CFBDRN COCC[C@@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000416974466 381980450 /nfs/dbraw/zinc/98/04/50/381980450.db2.gz LERSFNRBQLUMKO-SNVBAGLBSA-N 0 0 295.339 2.697 20 5 CFBDRN Cc1csc(=O)n1CCOc1ccc(C)cc1[N+](=O)[O-] ZINC000299557531 381980875 /nfs/dbraw/zinc/98/08/75/381980875.db2.gz WJNRIUPSDAXOCF-UHFFFAOYSA-N 0 0 294.332 2.514 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSc1nncs1 ZINC000362340452 382015034 /nfs/dbraw/zinc/01/50/34/382015034.db2.gz RTGMFWKKSRMNCJ-UHFFFAOYSA-N 0 0 283.334 2.747 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C(C)C)C1CC1 ZINC000299566448 381985417 /nfs/dbraw/zinc/98/54/17/381985417.db2.gz ADNBMMLKGNJORB-UHFFFAOYSA-N 0 0 262.309 2.916 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H]2C(F)F)c([N+](=O)[O-])cc1F ZINC000343863636 381988194 /nfs/dbraw/zinc/98/81/94/381988194.db2.gz PYLVFJUOKFLVIW-CAHLUQPWSA-N 0 0 276.214 2.808 20 5 CFBDRN Cc1cc(OCC(=O)c2ccc[nH]2)c(F)cc1[N+](=O)[O-] ZINC000186728143 381994948 /nfs/dbraw/zinc/99/49/48/381994948.db2.gz MRSQTFDVHDQWQB-UHFFFAOYSA-N 0 0 278.239 2.632 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCC3(CC3)C2)n1 ZINC000351546881 382045033 /nfs/dbraw/zinc/04/50/33/382045033.db2.gz WSPJUIODVWKXOB-UHFFFAOYSA-N 0 0 261.325 2.987 20 5 CFBDRN CSCCCCCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000186966472 382060994 /nfs/dbraw/zinc/06/09/94/382060994.db2.gz BNYACVHLPZKEMA-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN CC[C@H](NCc1snnc1C)c1cccc([N+](=O)[O-])c1 ZINC000293291513 382066452 /nfs/dbraw/zinc/06/64/52/382066452.db2.gz DHPUYNZJKJSMLW-LBPRGKRZSA-N 0 0 292.364 2.996 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@]12CCOC2 ZINC000362345526 382017999 /nfs/dbraw/zinc/01/79/99/382017999.db2.gz NRCCVZQBYVDJRS-WFASDCNBSA-N 0 0 290.319 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H](O)CC2)c(Cl)c1 ZINC000293181847 382020954 /nfs/dbraw/zinc/02/09/54/382020954.db2.gz PSBJKAATZWELBS-GFCCVEGCSA-N 0 0 284.743 2.595 20 5 CFBDRN COc1ccc(CNC(=O)c2csc([N+](=O)[O-])c2)cc1 ZINC000343924275 382022296 /nfs/dbraw/zinc/02/22/96/382022296.db2.gz MTGXAMRJCVSJPI-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN C[C@H]1C[C@H](c2ccccc2)N(c2c([N+](=O)[O-])ncn2C)C1 ZINC000343928180 382024747 /nfs/dbraw/zinc/02/47/47/382024747.db2.gz DPCWFGUBPNQARY-WCQYABFASA-N 0 0 286.335 2.916 20 5 CFBDRN COc1c(C(=O)N(C)[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000357144999 382025862 /nfs/dbraw/zinc/02/58/62/382025862.db2.gz KZROVYRFYYHHDM-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000343930224 382026048 /nfs/dbraw/zinc/02/60/48/382026048.db2.gz RRGRLGPOYVVGFR-UFBFGSQYSA-N 0 0 282.727 2.705 20 5 CFBDRN C/C(=C\c1nc(Cc2ccc([N+](=O)[O-])cn2)no1)C1CC1 ZINC000357326272 382110379 /nfs/dbraw/zinc/11/03/79/382110379.db2.gz FQOXNKDWKOOKRB-RMKNXTFCSA-N 0 0 286.291 2.777 20 5 CFBDRN CCS[C@H]1CCCCN(c2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000301226624 382110458 /nfs/dbraw/zinc/11/04/58/382110458.db2.gz GRYHJNMKEHJFFS-NSHDSACASA-N 0 0 298.412 2.749 20 5 CFBDRN Cc1c(Cc2noc(C3(C)CC3)n2)cccc1[N+](=O)[O-] ZINC000357327703 382110792 /nfs/dbraw/zinc/11/07/92/382110792.db2.gz UKWSRYLCXSBPJX-UHFFFAOYSA-N 0 0 273.292 2.929 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1 ZINC000301235074 382114149 /nfs/dbraw/zinc/11/41/49/382114149.db2.gz LKIAKVUOAOQGJO-MFKMUULPSA-N 0 0 252.314 2.804 20 5 CFBDRN CSCCc1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000357334431 382115994 /nfs/dbraw/zinc/11/59/94/382115994.db2.gz YVGBWQBCRCRIGU-UHFFFAOYSA-N 0 0 293.348 2.783 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@@H](C3CC3)C2)c(Cl)c1 ZINC000362527364 382128786 /nfs/dbraw/zinc/12/87/86/382128786.db2.gz AHDVYGYWROGYPL-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN Cc1ccccc1Sc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000301063633 382070918 /nfs/dbraw/zinc/07/09/18/382070918.db2.gz CUXFSKKRUGSAAJ-UHFFFAOYSA-N 0 0 289.316 2.548 20 5 CFBDRN Cc1cc(NCCC(=O)OC(C)C)ccc1[N+](=O)[O-] ZINC000301069597 382072313 /nfs/dbraw/zinc/07/23/13/382072313.db2.gz CUICJVQDHDSUKG-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1ccnc(N2CC(F)(c3ccccc3)C2)c1[N+](=O)[O-] ZINC000376236795 382126062 /nfs/dbraw/zinc/12/60/62/382126062.db2.gz KOPFOSHGGPFIIX-UHFFFAOYSA-N 0 0 287.294 2.983 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])cnc1NC1CCCCCCC1 ZINC000301074802 382074476 /nfs/dbraw/zinc/07/44/76/382074476.db2.gz GVXNJAHEBCSGEX-UHFFFAOYSA-N 0 0 292.339 2.614 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCCC[C@@H]1C ZINC000301082105 382076045 /nfs/dbraw/zinc/07/60/45/382076045.db2.gz GEONIDOTMPMAHF-GWCFXTLKSA-N 0 0 291.351 2.945 20 5 CFBDRN COc1ccnc(Oc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000301130466 382087571 /nfs/dbraw/zinc/08/75/71/382087571.db2.gz UBKXJKRYYMSLKY-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN Nc1nc(N2CCC3(CCCCC3)CC2)ncc1[N+](=O)[O-] ZINC000301151469 382092670 /nfs/dbraw/zinc/09/26/70/382092670.db2.gz DHOCJKVIRIDSAE-UHFFFAOYSA-N 0 0 291.355 2.518 20 5 CFBDRN CCCc1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000357304995 382096585 /nfs/dbraw/zinc/09/65/85/382096585.db2.gz RBNMEJDZVHBTOT-UHFFFAOYSA-N 0 0 261.281 2.830 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1cccc(C(N)=O)c1 ZINC000301170658 382096530 /nfs/dbraw/zinc/09/65/30/382096530.db2.gz JXNYJYYAQLSSSX-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cc1c(C(=O)NCCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000362495791 382099752 /nfs/dbraw/zinc/09/97/52/382099752.db2.gz CHUJJEKNLZBHSZ-UHFFFAOYSA-N 0 0 276.214 2.585 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@H](n2cccn2)C1 ZINC000301188198 382101424 /nfs/dbraw/zinc/10/14/24/382101424.db2.gz QKPYXXNIHZHJLE-NSHDSACASA-N 0 0 290.298 2.772 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ccc(F)cc2F)nc1 ZINC000301188147 382101640 /nfs/dbraw/zinc/10/16/40/382101640.db2.gz KWDBSBFJPZTHOI-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301527185 382175681 /nfs/dbraw/zinc/17/56/81/382175681.db2.gz JPRGKRUAPAUGTH-RKDXNWHRSA-N 0 0 279.344 2.594 20 5 CFBDRN CCCCN(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344171030 382178402 /nfs/dbraw/zinc/17/84/02/382178402.db2.gz HZQCVFIOCQNMRG-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC1(OC)CCC1 ZINC000418818930 382178545 /nfs/dbraw/zinc/17/85/45/382178545.db2.gz JJNRNTPYIFGOPT-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN CCCN(CC)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344179840 382182689 /nfs/dbraw/zinc/18/26/89/382182689.db2.gz MKMXPTARXYPHPF-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN O=C(C[C@H]1C=CCCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000187222611 382133985 /nfs/dbraw/zinc/13/39/85/382133985.db2.gz QTXUEFREDPGGDA-LBPRGKRZSA-N 0 0 274.320 2.957 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H](C)O1 ZINC000301280242 382135423 /nfs/dbraw/zinc/13/54/23/382135423.db2.gz NVEPNXMQECIGLZ-PSASIEDQSA-N 0 0 285.731 2.647 20 5 CFBDRN CC(C)(C)CCNc1cc([N+](=O)[O-])ccc1NCCO ZINC000187244127 382136847 /nfs/dbraw/zinc/13/68/47/382136847.db2.gz BYWATDFWURSUKB-UHFFFAOYSA-N 0 0 281.356 2.847 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2ccon2)no1 ZINC000357372332 382138626 /nfs/dbraw/zinc/13/86/26/382138626.db2.gz JZWWOLNYMXTBSF-WAYWQWQTSA-N 0 0 284.231 2.803 20 5 CFBDRN Cc1ccnc(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000357373827 382139228 /nfs/dbraw/zinc/13/92/28/382139228.db2.gz MEJMRAUJUZTWGM-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN C[C@H]1C[C@H](CO)CCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000344122978 382141805 /nfs/dbraw/zinc/14/18/05/382141805.db2.gz FZBZUPMOAFXPJF-WDEREUQCSA-N 0 0 298.770 2.841 20 5 CFBDRN CC(C)CC1(CNc2c([N+](=O)[O-])ncn2C)CC1 ZINC000362560780 382145712 /nfs/dbraw/zinc/14/57/12/382145712.db2.gz NYZMHVZUUDYSTC-UHFFFAOYSA-N 0 0 252.318 2.567 20 5 CFBDRN Cc1nn(C)c(NCCc2ccc(C)cc2)c1[N+](=O)[O-] ZINC000301317924 382147678 /nfs/dbraw/zinc/14/76/78/382147678.db2.gz OZRPWCCVMLQKSN-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]cc(-c3nc(-c4ccon4)no3)c2c1 ZINC000357392204 382148398 /nfs/dbraw/zinc/14/83/98/382148398.db2.gz IVYXFXKFBQFDMX-UHFFFAOYSA-N 0 0 297.230 2.781 20 5 CFBDRN O=[N+]([O-])c1cccnc1Oc1cccc(-n2cccn2)c1 ZINC000301358120 382157514 /nfs/dbraw/zinc/15/75/14/382157514.db2.gz LHVHVNDGZPVWLV-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@@]2(C)CO)ccc1[N+](=O)[O-] ZINC000301370515 382161359 /nfs/dbraw/zinc/16/13/59/382161359.db2.gz SKIQWBCAWDZDJN-KGLIPLIRSA-N 0 0 264.325 2.866 20 5 CFBDRN COc1cc(NCc2ccn3ccnc3c2)ccc1[N+](=O)[O-] ZINC000357430376 382167835 /nfs/dbraw/zinc/16/78/35/382167835.db2.gz AOOVDAMLQCGBGT-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN CC(C)[C@@H](O)CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000301406252 382167961 /nfs/dbraw/zinc/16/79/61/382167961.db2.gz GYJBGCYJLQFQIY-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000301399836 382168805 /nfs/dbraw/zinc/16/88/05/382168805.db2.gz PJOXRVUOZGJHBZ-HZMBPMFUSA-N 0 0 282.340 2.812 20 5 CFBDRN CC(=O)c1ccc(NCC[C@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000301408353 382171062 /nfs/dbraw/zinc/17/10/62/382171062.db2.gz IJQVVMGKZFBLNT-AWEZNQCLSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1nc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c(C)o1 ZINC000352101722 382171877 /nfs/dbraw/zinc/17/18/77/382171877.db2.gz DCEZCYCIMGDYBD-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000352102654 382172009 /nfs/dbraw/zinc/17/20/09/382172009.db2.gz PQSGCPNOWCHNGJ-QWHCGFSZSA-N 0 0 296.298 2.878 20 5 CFBDRN CCOc1cc(N2C[C@@H](C)O[C@H](CC)C2)ccc1[N+](=O)[O-] ZINC000301505074 382173573 /nfs/dbraw/zinc/17/35/73/382173573.db2.gz GOYHUPLSHBKCJS-DGCLKSJQSA-N 0 0 294.351 2.997 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1CCc2c[nH]nc2C1 ZINC000376957493 382195557 /nfs/dbraw/zinc/19/55/57/382195557.db2.gz KOPBMDJUKKAIAK-JTQLQIEISA-N 0 0 292.726 2.941 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(OC3CCC3)CC2)s1 ZINC000418971458 382204547 /nfs/dbraw/zinc/20/45/47/382204547.db2.gz KSXPGLSPIGIMPP-UHFFFAOYSA-N 0 0 283.353 2.589 20 5 CFBDRN Cc1cc(C(=O)Nc2cccnc2C)cc([N+](=O)[O-])c1 ZINC000362705960 382206362 /nfs/dbraw/zinc/20/63/62/382206362.db2.gz ZKJQHIYFMZAJBG-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCSCC2)c(OC(F)F)c1 ZINC000302071859 382206742 /nfs/dbraw/zinc/20/67/42/382206742.db2.gz YZSMXLLDCJIKIZ-UHFFFAOYSA-N 0 0 290.291 2.749 20 5 CFBDRN Cc1nc(C(F)F)n(CCc2ccc([N+](=O)[O-])cc2)n1 ZINC000418985302 382207163 /nfs/dbraw/zinc/20/71/63/382207163.db2.gz WGLLHTZRBSUYIL-UHFFFAOYSA-N 0 0 282.250 2.675 20 5 CFBDRN Cc1cnc(CC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)s1 ZINC000352179636 382209033 /nfs/dbraw/zinc/20/90/33/382209033.db2.gz ZWJSEOPDHUJNPW-UHFFFAOYSA-N 0 0 295.295 2.680 20 5 CFBDRN CN(C(=O)c1ccc2c(c1)CCC2)c1ccc([N+](=O)[O-])nc1 ZINC000344230976 382216505 /nfs/dbraw/zinc/21/65/05/382216505.db2.gz WMGZJQFYTOZDDV-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CCO)c2ccco2)cc1 ZINC000302228328 382221947 /nfs/dbraw/zinc/22/19/47/382221947.db2.gz VLKLICFDOANNBA-GFCCVEGCSA-N 0 0 262.265 2.723 20 5 CFBDRN Cc1ccc2c(c1)nc(CNc1ncccc1[N+](=O)[O-])n2C ZINC000302225972 382222261 /nfs/dbraw/zinc/22/22/61/382222261.db2.gz SFNSUBLXXCBWNU-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000302256877 382232251 /nfs/dbraw/zinc/23/22/51/382232251.db2.gz OOLXLSCXHUBADG-PBKGFPTLSA-N 0 0 270.716 2.987 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000301750088 382189665 /nfs/dbraw/zinc/18/96/65/382189665.db2.gz AMFDVMXCWXOKES-ZJUUUORDSA-N 0 0 284.743 2.845 20 5 CFBDRN Cc1cc(CCNc2ccc([N+](=O)[O-])nc2)cc(C)c1O ZINC000357689524 382280029 /nfs/dbraw/zinc/28/00/29/382280029.db2.gz WTSZLPLZLTXNGS-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CC[C@H](C)CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000187844695 382280737 /nfs/dbraw/zinc/28/07/37/382280737.db2.gz UFUXLTZGQGKTLR-JTQLQIEISA-N 0 0 262.309 2.920 20 5 CFBDRN C[C@@H](Nc1nc2ccccc2cc1[N+](=O)[O-])C1CC1 ZINC000352349087 382284449 /nfs/dbraw/zinc/28/44/49/382284449.db2.gz AKTSRGRSODXXAI-SECBINFHSA-N 0 0 257.293 2.775 20 5 CFBDRN COCCC(C)(C)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000352364712 382292442 /nfs/dbraw/zinc/29/24/42/382292442.db2.gz HRPPOLMZYPGKCR-UHFFFAOYSA-N 0 0 291.307 2.959 20 5 CFBDRN NC(=O)c1coc(CNc2ccc([N+](=O)[O-])cc2Cl)c1 ZINC000302346094 382242372 /nfs/dbraw/zinc/24/23/72/382242372.db2.gz AVHNOCPVYWADBT-UHFFFAOYSA-N 0 0 295.682 2.552 20 5 CFBDRN Cc1ncsc1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302396731 382244459 /nfs/dbraw/zinc/24/44/59/382244459.db2.gz CZVDFERPGJISHK-UHFFFAOYSA-N 0 0 290.348 2.940 20 5 CFBDRN CCC[C@H](CNc1ncc([N+](=O)[O-])cc1Cl)OC ZINC000293719439 382250081 /nfs/dbraw/zinc/25/00/81/382250081.db2.gz QIWQFSFMKFDXCO-SECBINFHSA-N 0 0 273.720 2.870 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CCC2(CCCCC2)O1 ZINC000357610062 382251376 /nfs/dbraw/zinc/25/13/76/382251376.db2.gz UKKWDLVSCXVFKI-NSHDSACASA-N 0 0 294.355 2.622 20 5 CFBDRN Cc1cccc(N(C)C[C@H]2CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000302480966 382254751 /nfs/dbraw/zinc/25/47/51/382254751.db2.gz GMEFLJOVFCQOLQ-OCCSQVGLSA-N 0 0 278.352 2.891 20 5 CFBDRN CC1(C)CC[C@H](CNc2nc3sccn3c2[N+](=O)[O-])O1 ZINC000302477771 382255801 /nfs/dbraw/zinc/25/58/01/382255801.db2.gz WZPWZOBXPZAFPA-MRVPVSSYSA-N 0 0 296.352 2.674 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1(c2cccc(F)c2)CC1 ZINC000352364390 382290859 /nfs/dbraw/zinc/29/08/59/382290859.db2.gz CNMGHPUKRASPTE-UHFFFAOYSA-N 0 0 290.298 2.611 20 5 CFBDRN Cc1cccc(NCc2nnc(C(C)C)n2C)c1[N+](=O)[O-] ZINC000302494505 382258391 /nfs/dbraw/zinc/25/83/91/382258391.db2.gz LOHJSWWVDXGWCD-UHFFFAOYSA-N 0 0 289.339 2.767 20 5 CFBDRN Cc1cccc(NCCOC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000302502643 382260088 /nfs/dbraw/zinc/26/00/88/382260088.db2.gz PFEPEUWIFNGEOL-LBPRGKRZSA-N 0 0 280.324 2.511 20 5 CFBDRN CCC[C@@H]1CN(c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000302518404 382260532 /nfs/dbraw/zinc/26/05/32/382260532.db2.gz WLRQNVZAEFTIGI-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(C)CCO[C@H]2C2CC2)n1 ZINC000364140045 382271438 /nfs/dbraw/zinc/27/14/38/382271438.db2.gz PAGCKPIDDRRCRZ-DZGCQCFKSA-N 0 0 291.351 2.915 20 5 CFBDRN COC(=O)c1cnc(Nc2cccc(C)c2)c([N+](=O)[O-])c1 ZINC000302763317 382313402 /nfs/dbraw/zinc/31/34/02/382313402.db2.gz KUKMRKDOUOBYCT-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN COc1cc(CN(CC(F)F)C2CC2)ccc1[N+](=O)[O-] ZINC000352408211 382314135 /nfs/dbraw/zinc/31/41/35/382314135.db2.gz XPVWPOZJUXPPIO-UHFFFAOYSA-N 0 0 286.278 2.833 20 5 CFBDRN Cc1cc(NCc2ccc([N+](=O)[O-])cc2)nnc1C ZINC000302778537 382315940 /nfs/dbraw/zinc/31/59/40/382315940.db2.gz HAIWJHZELDUUHZ-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Nc1ccc(CNc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000302783893 382317694 /nfs/dbraw/zinc/31/76/94/382317694.db2.gz UKHDAIHOMMNTJS-UHFFFAOYSA-N 0 0 278.699 2.838 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)NCc1c(F)cc([N+](=O)[O-])cc1F ZINC000352421295 382322273 /nfs/dbraw/zinc/32/22/73/382322273.db2.gz KGMQVWAOWLXOMT-SDBXPKJASA-N 0 0 286.278 2.530 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000293886615 382323045 /nfs/dbraw/zinc/32/30/45/382323045.db2.gz BJJXFAMIUSBLQE-DTWKUNHWSA-N 0 0 282.727 2.658 20 5 CFBDRN Cc1cc(N2CCCO[C@H](C(C)C)C2)ncc1[N+](=O)[O-] ZINC000293887746 382323151 /nfs/dbraw/zinc/32/31/51/382323151.db2.gz BSSVELPRWMWSFH-ZDUSSCGKSA-N 0 0 279.340 2.550 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1coc(C)c1 ZINC000188007498 382323422 /nfs/dbraw/zinc/32/34/22/382323422.db2.gz SWVFNHKIFAMUAW-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN Cc1c(NC(=O)Cc2cncs2)cccc1[N+](=O)[O-] ZINC000188010624 382324270 /nfs/dbraw/zinc/32/42/70/382324270.db2.gz YVFSMEZUWVKTMM-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN CCOC(=O)[C@H](C)[C@@H](C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000302886468 382345321 /nfs/dbraw/zinc/34/53/21/382345321.db2.gz NDVRGBYTIWMFJJ-GHMZBOCLSA-N 0 0 280.324 2.903 20 5 CFBDRN CC(C)(CCNc1ncc([N+](=O)[O-])cn1)C1CC1 ZINC000293890939 382324548 /nfs/dbraw/zinc/32/45/48/382324548.db2.gz BWTKGXVGCLPXPZ-UHFFFAOYSA-N 0 0 250.302 2.623 20 5 CFBDRN Cc1cc(C(=O)N2CCCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000377706422 382327280 /nfs/dbraw/zinc/32/72/80/382327280.db2.gz UGDUPVWTDSQBFQ-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1C[C@@H]3OCCC[C@@H]13)CCN2 ZINC000302873808 382340216 /nfs/dbraw/zinc/34/02/16/382340216.db2.gz DLJUSPUSPMYRAG-BHYNMZESSA-N 0 0 289.335 2.542 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](CO)C2CCCC2)n1 ZINC000357830581 382340623 /nfs/dbraw/zinc/34/06/23/382340623.db2.gz VCSIRQBSWZDTCL-GFCCVEGCSA-N 0 0 279.340 2.570 20 5 CFBDRN COCCN(c1cc(C)c([N+](=O)[O-])cn1)[C@H]1CC[C@H](C)C1 ZINC000302702310 382296449 /nfs/dbraw/zinc/29/64/49/382296449.db2.gz IKGWOMBGFJTQSE-AAEUAGOBSA-N 0 0 293.367 2.940 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H](CO)c3ccccc32)ccc1[N+](=O)[O-] ZINC000364282197 382297925 /nfs/dbraw/zinc/29/79/25/382297925.db2.gz MSPWFZZOKNXZGM-BXUZGUMPSA-N 0 0 299.330 2.931 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CC2(CCC2)C(=O)O1 ZINC000188130321 382350867 /nfs/dbraw/zinc/35/08/67/382350867.db2.gz NLHLNFDXXLKPGW-GFCCVEGCSA-N 0 0 291.303 2.768 20 5 CFBDRN COC(C)(C)CCN1CCc2c1cccc2[N+](=O)[O-] ZINC000346413059 382352273 /nfs/dbraw/zinc/35/22/73/382352273.db2.gz NMHBYKOBKLNSKZ-UHFFFAOYSA-N 0 0 264.325 2.772 20 5 CFBDRN C[C@@H]1CCOCCN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000365069380 382361413 /nfs/dbraw/zinc/36/14/13/382361413.db2.gz HROPKOAEUICPLW-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@H]1CF ZINC000293973632 382362066 /nfs/dbraw/zinc/36/20/66/382362066.db2.gz FZQCALSYMILTIV-JTQLQIEISA-N 0 0 291.282 2.650 20 5 CFBDRN Cc1nc2[nH]ccc2c(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000357883760 382362295 /nfs/dbraw/zinc/36/22/95/382362295.db2.gz UGVVZWBSKDEYGZ-UHFFFAOYSA-N 0 0 297.318 2.517 20 5 CFBDRN CC[C@H]1CCN1C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000293977973 382362922 /nfs/dbraw/zinc/36/29/22/382362922.db2.gz KTKDMZMFVPWDEF-ZDUSSCGKSA-N 0 0 291.351 2.798 20 5 CFBDRN CC1(C)CCC[C@@H]1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000294005509 382375814 /nfs/dbraw/zinc/37/58/14/382375814.db2.gz AWXAZOIGAAQQRI-SNVBAGLBSA-N 0 0 291.351 2.733 20 5 CFBDRN CN1CCc2ccc(C(=O)Nc3ccc([N+](=O)[O-])cc3)cc21 ZINC000346527063 382397702 /nfs/dbraw/zinc/39/77/02/382397702.db2.gz JXFLPZABBRGIMQ-UHFFFAOYSA-N 0 0 297.314 2.839 20 5 CFBDRN CC(C)[C@]1(CO)CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000294011252 382376592 /nfs/dbraw/zinc/37/65/92/382376592.db2.gz KCCBXLMGEVEGRS-OAHLLOKOSA-N 0 0 278.352 2.830 20 5 CFBDRN COc1cc(C[N@@H+]2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000352539900 382386745 /nfs/dbraw/zinc/38/67/45/382386745.db2.gz DTRYSSLTEJSWAO-SNVBAGLBSA-N 0 0 250.298 2.588 20 5 CFBDRN CC[C@@H]1CC[N@H+](Cc2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000352541420 382387998 /nfs/dbraw/zinc/38/79/98/382387998.db2.gz XOHDDQRSXJFKAR-LLVKDONJSA-N 0 0 264.325 2.835 20 5 CFBDRN CC[C@H]1CC[N@H+](Cc2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000352541421 382388933 /nfs/dbraw/zinc/38/89/33/382388933.db2.gz XOHDDQRSXJFKAR-NSHDSACASA-N 0 0 264.325 2.835 20 5 CFBDRN COc1cc(C[N@H+]2C[C@@H]3[C@H](C2)C3(C)C)ccc1[N+](=O)[O-] ZINC000352545836 382389747 /nfs/dbraw/zinc/38/97/47/382389747.db2.gz MFPOLWFWZJKDIV-TXEJJXNPSA-N 0 0 276.336 2.691 20 5 CFBDRN CCc1nc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000346589882 382426968 /nfs/dbraw/zinc/42/69/68/382426968.db2.gz ZBOOMHQQJMPWTF-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2nc(C)ccc2[N+](=O)[O-])CCO1 ZINC000420615892 382427278 /nfs/dbraw/zinc/42/72/78/382427278.db2.gz FRWXGJGEXLSUTN-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])CCO1 ZINC000420616584 382427636 /nfs/dbraw/zinc/42/76/36/382427636.db2.gz HQNUUNGRFMHFPW-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@@H]1CF ZINC000294133485 382428948 /nfs/dbraw/zinc/42/89/48/382428948.db2.gz KUOUOXOADRBBGW-SNVBAGLBSA-N 0 0 291.282 2.650 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000188533521 382429907 /nfs/dbraw/zinc/42/99/07/382429907.db2.gz LULFUUJMKAUSQQ-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN CC[C@@H]1CCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000294151122 382436475 /nfs/dbraw/zinc/43/64/75/382436475.db2.gz VBHMSPZLUVWMRJ-GFCCVEGCSA-N 0 0 262.309 2.538 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1N)[C@H]1CCC(C)(C)C1 ZINC000358070457 382438525 /nfs/dbraw/zinc/43/85/25/382438525.db2.gz HCTREASUFZAEMB-JTQLQIEISA-N 0 0 291.351 2.828 20 5 CFBDRN CN(C(=O)c1c(N)cccc1[N+](=O)[O-])[C@H]1CCC(C)(C)C1 ZINC000358073576 382438730 /nfs/dbraw/zinc/43/87/30/382438730.db2.gz INPATICZWLMOAX-JTQLQIEISA-N 0 0 291.351 2.828 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@H]2CCCC[C@H]21 ZINC000365764849 382439737 /nfs/dbraw/zinc/43/97/37/382439737.db2.gz RFBZSXSZLCAUJL-RKDXNWHRSA-N 0 0 266.322 2.671 20 5 CFBDRN COC1([C@H](C)Nc2ccc([N+](=O)[O-])cc2)CCOCC1 ZINC000420638520 382440056 /nfs/dbraw/zinc/44/00/56/382440056.db2.gz JYHIZQYDMAMDDB-NSHDSACASA-N 0 0 280.324 2.591 20 5 CFBDRN CCCN1C[C@H](CNc2cc(C)ccc2[N+](=O)[O-])CC1=O ZINC000420647185 382443566 /nfs/dbraw/zinc/44/35/66/382443566.db2.gz BWTYZVKZEWKWOT-LBPRGKRZSA-N 0 0 291.351 2.574 20 5 CFBDRN CCCN1C[C@H](CNc2ccc(C)cc2[N+](=O)[O-])CC1=O ZINC000420649860 382445484 /nfs/dbraw/zinc/44/54/84/382445484.db2.gz GZIUOHNVQKKAQP-LBPRGKRZSA-N 0 0 291.351 2.574 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@@H](C2CC2)C1 ZINC000378126483 382403084 /nfs/dbraw/zinc/40/30/84/382403084.db2.gz IJINKFZPURVORO-CQSZACIVSA-N 0 0 288.347 2.786 20 5 CFBDRN CCOC1CC(CCNc2ccc([N+](=O)[O-])nc2)C1 ZINC000352589366 382407753 /nfs/dbraw/zinc/40/77/53/382407753.db2.gz SJDOXKSAPBIKQG-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN CC(C)(C)CCNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420587651 382412825 /nfs/dbraw/zinc/41/28/25/382412825.db2.gz QFOYNJYWTPPGLE-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN CC(C)(NC(=O)CC1CC1)c1ccccc1[N+](=O)[O-] ZINC000294096164 382413956 /nfs/dbraw/zinc/41/39/56/382413956.db2.gz MJSHWMXKFMZKQL-UHFFFAOYSA-N 0 0 262.309 2.746 20 5 CFBDRN CC[C@@H]1CCN1C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000294105852 382417555 /nfs/dbraw/zinc/41/75/55/382417555.db2.gz RYWPWPYBZSXSJJ-ABZNLYFFSA-N 0 0 260.293 2.619 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000358035080 382420072 /nfs/dbraw/zinc/42/00/72/382420072.db2.gz KLAHPTOQUGDDGK-WDEREUQCSA-N 0 0 294.326 2.829 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1Cc2ccccc21 ZINC000358033921 382420213 /nfs/dbraw/zinc/42/02/13/382420213.db2.gz LBORTROUHJOTIQ-ZDUSSCGKSA-N 0 0 298.298 2.882 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H](O)CC2CCCC2)c1 ZINC000188647682 382448948 /nfs/dbraw/zinc/44/89/48/382448948.db2.gz KBZKZNGSZBXZIR-CYBMUJFWSA-N 0 0 265.309 2.915 20 5 CFBDRN CC(C)CNc1nc(NCC(C)C)c([N+](=O)[O-])s1 ZINC000346644109 382451494 /nfs/dbraw/zinc/45/14/94/382451494.db2.gz AJUAKBIXABSUKQ-UHFFFAOYSA-N 0 0 272.374 2.609 20 5 CFBDRN C/C=C(/CC)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000188661804 382452534 /nfs/dbraw/zinc/45/25/34/382452534.db2.gz DMUWSJCFOJLDGE-BASWHVEKSA-N 0 0 262.309 2.610 20 5 CFBDRN Cc1cccnc1CN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352704936 382452933 /nfs/dbraw/zinc/45/29/33/382452933.db2.gz HTPPZKXJUZKCSE-UHFFFAOYSA-N 0 0 299.330 2.693 20 5 CFBDRN COc1cc(C(=O)N2CCCC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000294211481 382461605 /nfs/dbraw/zinc/46/16/05/382461605.db2.gz CDQPJFSVYDVXPD-VIFPVBQESA-N 0 0 296.298 2.757 20 5 CFBDRN Cc1c(CNC(=O)CC(C)(F)F)cccc1[N+](=O)[O-] ZINC000346678731 382467712 /nfs/dbraw/zinc/46/77/12/382467712.db2.gz LTRGDKUIEHQFAJ-UHFFFAOYSA-N 0 0 272.251 2.565 20 5 CFBDRN Cc1ccccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294240644 382473008 /nfs/dbraw/zinc/47/30/08/382473008.db2.gz NKTGNTBTAYKPLZ-UHFFFAOYSA-N 0 0 250.298 2.769 20 5 CFBDRN CCC(C)(C)OCCNc1nccc(C)c1[N+](=O)[O-] ZINC000308515746 382477675 /nfs/dbraw/zinc/47/76/75/382477675.db2.gz WYOYEIQFMXLOBZ-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2c(F)cccc2[N+](=O)[O-])C1(C)C ZINC000382318364 382529380 /nfs/dbraw/zinc/52/93/80/382529380.db2.gz UIQGAROBVLBYAB-WDEREUQCSA-N 0 0 268.288 2.959 20 5 CFBDRN CNC(=O)c1cccc(NCc2csc([N+](=O)[O-])c2)c1 ZINC000037562574 184540477 /nfs/dbraw/zinc/54/04/77/184540477.db2.gz HROWZIQBNLMPQH-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN COc1cc(N[C@H]2CC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000310977791 382500449 /nfs/dbraw/zinc/50/04/49/382500449.db2.gz XFWPZNGLBHJCRG-CBAPKCEASA-N 0 0 254.261 2.953 20 5 CFBDRN CC[C@H](COC)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000311110290 382500653 /nfs/dbraw/zinc/50/06/53/382500653.db2.gz FDIQZVSTLZEOCQ-SECBINFHSA-N 0 0 256.277 2.879 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCc1cn[nH]c1 ZINC000379869067 382509817 /nfs/dbraw/zinc/50/98/17/382509817.db2.gz OKCFYVHLIYNTQL-UHFFFAOYSA-N 0 0 266.688 2.626 20 5 CFBDRN CSC1(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000188953343 382511422 /nfs/dbraw/zinc/51/14/22/382511422.db2.gz XAYBHTMJPYEZFO-JTQLQIEISA-N 0 0 294.376 2.976 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)Cc1ccoc1 ZINC000358226971 382513345 /nfs/dbraw/zinc/51/33/45/382513345.db2.gz STPVWIXNJAYOCW-UHFFFAOYSA-N 0 0 276.292 2.828 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC[C@H]1CCCO1 ZINC000188963898 382514466 /nfs/dbraw/zinc/51/44/66/382514466.db2.gz YVPZQAUAIODIRN-GFCCVEGCSA-N 0 0 251.282 2.851 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000421449148 382540856 /nfs/dbraw/zinc/54/08/56/382540856.db2.gz OZTXNPNEDZYEAB-XQQFMLRXSA-N 0 0 274.320 2.647 20 5 CFBDRN COc1cc(N2CC[C@H](SC)C2)c(F)cc1[N+](=O)[O-] ZINC000294891629 382541085 /nfs/dbraw/zinc/54/10/85/382541085.db2.gz DBMXOEPBXXNVSY-QMMMGPOBSA-N 0 0 286.328 2.684 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC1(c2ccccc2)CC1 ZINC000358287848 382548310 /nfs/dbraw/zinc/54/83/10/382548310.db2.gz MZBPIRSHQQYLJI-UHFFFAOYSA-N 0 0 296.326 2.943 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)c1ccccc1OC ZINC000346874377 382554448 /nfs/dbraw/zinc/55/44/48/382554448.db2.gz KWUPHGHCJGYMFJ-UHFFFAOYSA-N 0 0 290.275 2.863 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@H]3CCC[C@H]32)ncc1[N+](=O)[O-] ZINC000295006431 382559783 /nfs/dbraw/zinc/55/97/83/382559783.db2.gz SIRAEWTUIZVZPZ-WDEREUQCSA-N 0 0 276.340 2.708 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@H](C3CC3)C2)c1 ZINC000367283070 382563230 /nfs/dbraw/zinc/56/32/30/382563230.db2.gz RJEUDUFTJPGTTI-NSHDSACASA-N 0 0 261.325 2.803 20 5 CFBDRN Cc1cc(C)c2c(c1)CN(c1ncc([N+](=O)[O-])c(C)n1)CC2 ZINC000295024394 382563692 /nfs/dbraw/zinc/56/36/92/382563692.db2.gz MJRIAXVPBDZBKW-UHFFFAOYSA-N 0 0 298.346 2.873 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1nnc(C2CC2)[nH]1 ZINC000295047327 382565076 /nfs/dbraw/zinc/56/50/76/382565076.db2.gz XJOMCAUELLZSIU-UHFFFAOYSA-N 0 0 273.296 2.511 20 5 CFBDRN COc1ccc(NC(=O)[C@@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000421515139 382566119 /nfs/dbraw/zinc/56/61/19/382566119.db2.gz RPVLPALTXVGEGZ-LLVKDONJSA-N 0 0 286.234 2.587 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC2CCC1CC2 ZINC000367396880 382568021 /nfs/dbraw/zinc/56/80/21/382568021.db2.gz ODWCJAIKFQOGKH-UHFFFAOYSA-N 0 0 260.293 2.609 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CCCCC1(F)F ZINC000294852773 382534379 /nfs/dbraw/zinc/53/43/79/382534379.db2.gz CFSPUOYSWCTIOY-QMMMGPOBSA-N 0 0 274.271 2.566 20 5 CFBDRN Cc1ccc(NC(=O)[C@@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000421436581 382536772 /nfs/dbraw/zinc/53/67/72/382536772.db2.gz YILQYUQUSKFWKW-LLVKDONJSA-N 0 0 270.235 2.887 20 5 CFBDRN CN(C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000421438573 382537597 /nfs/dbraw/zinc/53/75/97/382537597.db2.gz VZRWZNMZFIGUDV-IJLUTSLNSA-N 0 0 274.320 2.994 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000421578613 382589143 /nfs/dbraw/zinc/58/91/43/382589143.db2.gz IRALRRXOOZRHGF-SECBINFHSA-N 0 0 250.298 2.679 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@@H]1CCc2cccnc21 ZINC000189317214 382590028 /nfs/dbraw/zinc/59/00/28/382590028.db2.gz YDUOQFYTEZKDOV-CQSZACIVSA-N 0 0 298.298 2.763 20 5 CFBDRN C[C@H](NC(=O)C1(C)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000295226151 382592248 /nfs/dbraw/zinc/59/22/48/382592248.db2.gz BBPCDYREFBPPMA-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N(CC1CC1)CC1CCC1 ZINC000358378789 382594692 /nfs/dbraw/zinc/59/46/92/382594692.db2.gz DIBIIUXTFBFLEO-UHFFFAOYSA-N 0 0 277.324 2.575 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000358388330 382597328 /nfs/dbraw/zinc/59/73/28/382597328.db2.gz CYRJBUJHVBKDDQ-MNOVXSKESA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H](O)c1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc1F ZINC000295270308 382604094 /nfs/dbraw/zinc/60/40/94/382604094.db2.gz HOSDVMUZWDAAAW-VIFPVBQESA-N 0 0 298.314 2.653 20 5 CFBDRN COc1cc(N[C@@H](C)[C@@H](OC)C2CC2)ccc1[N+](=O)[O-] ZINC000388282008 382604983 /nfs/dbraw/zinc/60/49/83/382604983.db2.gz NDAZQPTUAZPWMP-LKFCYVNXSA-N 0 0 280.324 2.829 20 5 CFBDRN COc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)ccc1F ZINC000189447559 382610014 /nfs/dbraw/zinc/61/00/14/382610014.db2.gz MYVVKDHHIBCKML-UHFFFAOYSA-N 0 0 280.211 2.588 20 5 CFBDRN COc1cc(NCc2nnc(C)s2)c(F)cc1[N+](=O)[O-] ZINC000391955635 382621700 /nfs/dbraw/zinc/62/17/00/382621700.db2.gz IREZHWZMPAXCTJ-UHFFFAOYSA-N 0 0 298.299 2.515 20 5 CFBDRN CCOc1cc(NC[C@@H](CO)C(C)C)ccc1[N+](=O)[O-] ZINC000394046109 382625634 /nfs/dbraw/zinc/62/56/34/382625634.db2.gz MJFJLANOXRSRMM-NSHDSACASA-N 0 0 282.340 2.670 20 5 CFBDRN CC(C)[C@H](CO)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000394105325 382626208 /nfs/dbraw/zinc/62/62/08/382626208.db2.gz XKWNXTYNWVDHFZ-NSHDSACASA-N 0 0 289.335 2.819 20 5 CFBDRN C[C@@H](Nc1ccn(CC(F)F)n1)c1ccccc1[N+](=O)[O-] ZINC000346939440 382578344 /nfs/dbraw/zinc/57/83/44/382578344.db2.gz KDKPLHYEPQVLLT-SECBINFHSA-N 0 0 296.277 2.651 20 5 CFBDRN CC/C=C\CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421569407 382583446 /nfs/dbraw/zinc/58/34/46/382583446.db2.gz LRXCGLCIELOYFA-WAYWQWQTSA-N 0 0 262.309 2.908 20 5 CFBDRN CS(=O)(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CC=CCC1 ZINC000189873912 382643748 /nfs/dbraw/zinc/64/37/48/382643748.db2.gz OWJDTYWIEZWCLU-JTQLQIEISA-N 0 0 296.348 2.519 20 5 CFBDRN C[C@@H]1CC[C@@H](CSc2ccc([N+](=O)[O-])cn2)O1 ZINC000190026690 382650887 /nfs/dbraw/zinc/65/08/87/382650887.db2.gz VBQMAWKPKIDEQQ-SCZZXKLOSA-N 0 0 254.311 2.649 20 5 CFBDRN CCOC1CC(CCNC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000190060787 382652055 /nfs/dbraw/zinc/65/20/55/382652055.db2.gz WBPCCZWLTLFSAC-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN COc1cc(C(=O)NC2CCCCC2)cc([N+](=O)[O-])c1C ZINC000190228306 382657714 /nfs/dbraw/zinc/65/77/14/382657714.db2.gz LTCPDBCPJKDJKK-UHFFFAOYSA-N 0 0 292.335 2.974 20 5 CFBDRN CC(=O)Nc1cccc(CNc2ncc([N+](=O)[O-])s2)c1 ZINC000190316992 382661834 /nfs/dbraw/zinc/66/18/34/382661834.db2.gz LNUVIEFTWPYLIM-UHFFFAOYSA-N 0 0 292.320 2.622 20 5 CFBDRN C[C@H]1CSCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000190443302 382668402 /nfs/dbraw/zinc/66/84/02/382668402.db2.gz PNSGVCJJFBVBRH-SNVBAGLBSA-N 0 0 284.356 2.919 20 5 CFBDRN CC[C@H](C)CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000190573293 382673678 /nfs/dbraw/zinc/67/36/78/382673678.db2.gz RNSVEFSBKQTNIM-VIFPVBQESA-N 0 0 266.297 2.978 20 5 CFBDRN CC(C)=CCNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000190609611 382674774 /nfs/dbraw/zinc/67/47/74/382674774.db2.gz OIEVKGIMROPXAL-UHFFFAOYSA-N 0 0 277.324 2.702 20 5 CFBDRN Cc1cc(OC[C@H]2CC[C@@H](C)O2)c(F)cc1[N+](=O)[O-] ZINC000190685659 382677736 /nfs/dbraw/zinc/67/77/36/382677736.db2.gz NGJDRFOJPQWMTP-NXEZZACHSA-N 0 0 269.272 2.989 20 5 CFBDRN CCCCC(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000191344148 382698724 /nfs/dbraw/zinc/69/87/24/382698724.db2.gz FWHJMWZAACPFAN-UHFFFAOYSA-N 0 0 266.297 2.840 20 5 CFBDRN Cc1cc(C(=O)N2CCS[C@@H](C)CC2)cc([N+](=O)[O-])c1 ZINC000191361027 382699113 /nfs/dbraw/zinc/69/91/13/382699113.db2.gz ULBFOIQEJNDQGL-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1ccc(NC(=O)c2ncc(F)cc2F)cc1[N+](=O)[O-] ZINC000192083576 382704622 /nfs/dbraw/zinc/70/46/22/382704622.db2.gz ZDNRCRCIOVNNEZ-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1ccccc1[C@@H](O)CNc1ncc([N+](=O)[O-])s1 ZINC000192453887 382709192 /nfs/dbraw/zinc/70/91/92/382709192.db2.gz HGYSSSONQJWWNE-JTQLQIEISA-N 0 0 279.321 2.505 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2ncc([N+](=O)[O-])s2)C1(C)C ZINC000192473380 382709280 /nfs/dbraw/zinc/70/92/80/382709280.db2.gz ZFOMCYFSVDMFRU-HQJQHLMTSA-N 0 0 271.342 2.667 20 5 CFBDRN CCO[C@H](CCNc1ncc([N+](=O)[O-])s1)C(C)C ZINC000192473952 382709372 /nfs/dbraw/zinc/70/93/72/382709372.db2.gz DJFKZVMCGJQTFJ-SECBINFHSA-N 0 0 273.358 2.914 20 5 CFBDRN COc1cc(NCc2ncc(C)o2)c([N+](=O)[O-])cc1F ZINC000192733963 382711625 /nfs/dbraw/zinc/71/16/25/382711625.db2.gz WCUGJWVOGBJDQH-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN CC(C)[C@H]1CN(c2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000192796649 382712429 /nfs/dbraw/zinc/71/24/29/382712429.db2.gz AHUBUSVCGXGCMI-CYBMUJFWSA-N 0 0 281.381 2.755 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)OCC1CC1 ZINC000192910240 382713598 /nfs/dbraw/zinc/71/35/98/382713598.db2.gz IDEXFVJPSZLBNM-UHFFFAOYSA-N 0 0 284.699 2.884 20 5 CFBDRN CC(C)(C)CCCCNC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000193550314 382720938 /nfs/dbraw/zinc/72/09/38/382720938.db2.gz FFZGNFZUPJYTNM-UHFFFAOYSA-N 0 0 295.339 2.642 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCSC(F)(F)F)s1 ZINC000194157245 382736465 /nfs/dbraw/zinc/73/64/65/382736465.db2.gz CNOGZUGZONIGKT-UHFFFAOYSA-N 0 0 273.261 2.716 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336834363 382727733 /nfs/dbraw/zinc/72/77/33/382727733.db2.gz HZRZFWJFIABLLE-ZCFIWIBFSA-N 0 0 294.204 2.805 20 5 CFBDRN CCOC(=O)CCCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000336835676 382728557 /nfs/dbraw/zinc/72/85/57/382728557.db2.gz JWZPNVMZSMGCEL-UHFFFAOYSA-N 0 0 284.287 2.798 20 5 CFBDRN Cc1cnc(N[C@H]2CCC[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC000575177038 382729771 /nfs/dbraw/zinc/72/97/71/382729771.db2.gz OLFSYJSMEDHGTR-ZJUUUORDSA-N 0 0 253.277 2.991 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000193931865 382729953 /nfs/dbraw/zinc/72/99/53/382729953.db2.gz YBHHIZLLMAPFCQ-ZJUUUORDSA-N 0 0 266.297 2.744 20 5 CFBDRN O=C(Nc1ccc2c[nH]nc2c1)c1ccccc1[N+](=O)[O-] ZINC000194002839 382731927 /nfs/dbraw/zinc/73/19/27/382731927.db2.gz ASSYQLYXJXDODG-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CNC(=O)c1ccc(CNc2cccc([N+](=O)[O-])c2C)cc1 ZINC000194039487 382733488 /nfs/dbraw/zinc/73/34/88/382733488.db2.gz QHMPLZMOIGGFPE-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CCCCOCCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000195213306 382764472 /nfs/dbraw/zinc/76/44/72/382764472.db2.gz SGBKRROAWDMGIT-UHFFFAOYSA-N 0 0 279.340 2.791 20 5 CFBDRN CS(=O)(=O)c1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 ZINC000200061944 382772486 /nfs/dbraw/zinc/77/24/86/382772486.db2.gz SJKYGRMLXCORRH-UHFFFAOYSA-N 0 0 293.300 2.791 20 5 CFBDRN COc1cc(OCC(=O)C2CCCC2)ccc1[N+](=O)[O-] ZINC000280033093 194675766 /nfs/dbraw/zinc/67/57/66/194675766.db2.gz WDKBKRIITRRDIU-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN C/C=C/C=C\C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000207417593 382774080 /nfs/dbraw/zinc/77/40/80/382774080.db2.gz HTFIQQAPZOEADE-ICWBMWKASA-N 0 0 262.265 2.674 20 5 CFBDRN Cc1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2C)s1 ZINC000337289009 382795026 /nfs/dbraw/zinc/79/50/26/382795026.db2.gz JFWDGXDSCOKURR-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN COc1cc(N[C@@H]2CCSC2)c(F)cc1[N+](=O)[O-] ZINC000218864923 382791102 /nfs/dbraw/zinc/79/11/02/382791102.db2.gz GRBODLMOTVASGB-SSDOTTSWSA-N 0 0 272.301 2.660 20 5 CFBDRN CC1(C)CCC[C@@](O)(CNc2ncc([N+](=O)[O-])s2)C1 ZINC000336935526 382743766 /nfs/dbraw/zinc/74/37/66/382743766.db2.gz TYNZVCWZULUZFF-LBPRGKRZSA-N 0 0 285.369 2.795 20 5 CFBDRN COc1ccncc1NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194508484 382746453 /nfs/dbraw/zinc/74/64/53/382746453.db2.gz AYCGDDFDKBTHFX-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000577067195 382846359 /nfs/dbraw/zinc/84/63/59/382846359.db2.gz CSHHDPIUPRPVJF-CMPLNLGQSA-N 0 0 282.340 2.812 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000228626319 382848303 /nfs/dbraw/zinc/84/83/03/382848303.db2.gz DQPGFHQWSOYWJY-MRVPVSSYSA-N 0 0 282.246 2.712 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCSC2)c(Cl)c1 ZINC000228724061 382848795 /nfs/dbraw/zinc/84/87/95/382848795.db2.gz LTDFDJTYGHRWGN-ZETCQYMHSA-N 0 0 273.745 2.808 20 5 CFBDRN Cc1noc([C@@H](C)N(C)c2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000577124189 382848922 /nfs/dbraw/zinc/84/89/22/382848922.db2.gz JHFYYVVTDBOSRU-MRVPVSSYSA-N 0 0 294.286 2.931 20 5 CFBDRN CN(C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C(C)(C)C ZINC000575924780 382804053 /nfs/dbraw/zinc/80/40/53/382804053.db2.gz VZLTZWLBUPMTTO-UHFFFAOYSA-N 0 0 289.335 2.876 20 5 CFBDRN CC(C)C[C@@H](O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000224292312 382810435 /nfs/dbraw/zinc/81/04/35/382810435.db2.gz WXNSMUIUOACJPS-SNVBAGLBSA-N 0 0 256.277 2.553 20 5 CFBDRN CCc1ccccc1CCn1cc([N+](=O)[O-])cc(F)c1=O ZINC000576160056 382812533 /nfs/dbraw/zinc/81/25/33/382812533.db2.gz SLHPBYSROHVOHM-UHFFFAOYSA-N 0 0 290.294 2.701 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC2(CO)CCCC2)c(F)c1 ZINC000225516882 382817739 /nfs/dbraw/zinc/81/77/39/382817739.db2.gz VPSXEKSACXUGRY-UHFFFAOYSA-N 0 0 286.278 2.838 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCCOCC2CC2)c1 ZINC000225682843 382820190 /nfs/dbraw/zinc/82/01/90/382820190.db2.gz YVZKBKJMJUYTRO-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCC[C@@H]2O)c(Cl)c1 ZINC000226149229 382824848 /nfs/dbraw/zinc/82/48/48/382824848.db2.gz SVNDIKRKKOZEBX-KCJUWKMLSA-N 0 0 285.731 2.606 20 5 CFBDRN CC(C)c1noc(OCc2c(F)cccc2[N+](=O)[O-])n1 ZINC000337475281 382827363 /nfs/dbraw/zinc/82/73/63/382827363.db2.gz RTDSFHHLBBJLFV-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)[C@@H](C)C1 ZINC000226644809 382830464 /nfs/dbraw/zinc/83/04/64/382830464.db2.gz MOCJWYBIRHWNHW-SCVCMEIPSA-N 0 0 291.351 2.732 20 5 CFBDRN COCCN(C(=O)Nc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000337520708 382836119 /nfs/dbraw/zinc/83/61/19/382836119.db2.gz MQHQREILRFCTRP-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN CC1(CNc2cc(Cl)ccc2[N+](=O)[O-])COC1 ZINC000227521403 382837706 /nfs/dbraw/zinc/83/77/06/382837706.db2.gz BABOMTHKUADLKT-UHFFFAOYSA-N 0 0 256.689 2.697 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@@H]1c1ccccc1 ZINC000337530526 382837925 /nfs/dbraw/zinc/83/79/25/382837925.db2.gz CTYSSDNWFJEZSN-HUUCEWRRSA-N 0 0 297.314 2.584 20 5 CFBDRN COC(=O)[C@H](C)CSc1ncc([N+](=O)[O-])cc1Cl ZINC000227652523 382839046 /nfs/dbraw/zinc/83/90/46/382839046.db2.gz VWPXODUXOLWURZ-ZCFIWIBFSA-N 0 0 290.728 2.544 20 5 CFBDRN Cc1nc(N2CCC[C@H]2[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000576868911 382839076 /nfs/dbraw/zinc/83/90/76/382839076.db2.gz PMCWTVFIMXVJMC-OCCSQVGLSA-N 0 0 291.351 2.694 20 5 CFBDRN CC(C)(CCO)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000227921449 382842380 /nfs/dbraw/zinc/84/23/80/382842380.db2.gz ONZRXCQDHZDHJT-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN COc1cc(NCC2(SC)CC2)ccc1[N+](=O)[O-] ZINC000227993594 382843338 /nfs/dbraw/zinc/84/33/38/382843338.db2.gz QIYWZQYSEXDFKW-UHFFFAOYSA-N 0 0 268.338 2.911 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1Cc1nc2cccnc2c(=O)[nH]1 ZINC000337663398 382853154 /nfs/dbraw/zinc/85/31/54/382853154.db2.gz NQLOHAXRRQUWPT-UHFFFAOYSA-N 0 0 296.286 2.538 20 5 CFBDRN Cc1cc(NCCC[C@H](C)CO)ccc1[N+](=O)[O-] ZINC000230146884 382856315 /nfs/dbraw/zinc/85/63/15/382856315.db2.gz YGLWRGFMUCNNEH-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN C[C@@H](CO)CCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000230148650 382856420 /nfs/dbraw/zinc/85/64/20/382856420.db2.gz OOOZDJXNTILGNY-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000230170186 382856805 /nfs/dbraw/zinc/85/68/05/382856805.db2.gz WFASBSGUUWUZFH-LDYMZIIASA-N 0 0 295.314 2.625 20 5 CFBDRN COc1cccc(CCNc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000230182954 382856985 /nfs/dbraw/zinc/85/69/85/382856985.db2.gz UYOAAMSZEUXBPD-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1nc(NCC2(C)CCOCC2)ccc1[N+](=O)[O-] ZINC000230187178 382857033 /nfs/dbraw/zinc/85/70/33/382857033.db2.gz HGCWWDLCPFAQNA-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1cc(N[C@@H](C)C2CCOCC2)ncc1[N+](=O)[O-] ZINC000230216969 382857563 /nfs/dbraw/zinc/85/75/63/382857563.db2.gz MYZHTFDGBBMMCJ-JTQLQIEISA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H]1CCC[C@@H](O)C1 ZINC000230908881 382863351 /nfs/dbraw/zinc/86/33/51/382863351.db2.gz KNCVOXOAOYNEQB-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN COC[C@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000231789423 382867202 /nfs/dbraw/zinc/86/72/02/382867202.db2.gz PPAMARORYRBMJZ-LBPRGKRZSA-N 0 0 282.315 2.592 20 5 CFBDRN Cc1c(CNc2ccc([N+](=O)[O-])cn2)cccc1[N+](=O)[O-] ZINC000231868312 382867488 /nfs/dbraw/zinc/86/74/88/382867488.db2.gz ILTGSCKELMEWLY-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN CC(C)=CCNc1nc2sccn2c1[N+](=O)[O-] ZINC000232650458 382868946 /nfs/dbraw/zinc/86/89/46/382868946.db2.gz MPJXNXRUOJTIJG-UHFFFAOYSA-N 0 0 252.299 2.682 20 5 CFBDRN CC(C)[N@H+](Cc1ccc([N+](=O)[O-])cc1)CC1(C(=O)[O-])CC1 ZINC000577737030 382869156 /nfs/dbraw/zinc/86/91/56/382869156.db2.gz HFZNVMFUZWOSGP-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CC(C)Oc1nc(NCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000577768820 382870418 /nfs/dbraw/zinc/87/04/18/382870418.db2.gz RQPNMRHJBUXRQB-UHFFFAOYSA-N 0 0 293.245 2.563 20 5 CFBDRN CCOc1cc(NC[C@H]2CC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000233798229 382872156 /nfs/dbraw/zinc/87/21/56/382872156.db2.gz UXOWOMBZMUCIIW-CMPLNLGQSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cc(NCC=C(C)C)c([N+](=O)[O-])cc1OC ZINC000234800786 382874877 /nfs/dbraw/zinc/87/48/77/382874877.db2.gz CREBCQSFNJHPGI-UHFFFAOYSA-N 0 0 266.297 2.990 20 5 CFBDRN CC[C@H](C)CNC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000421584620 382876678 /nfs/dbraw/zinc/87/66/78/382876678.db2.gz BFGAIGFXLRNVPV-QMMMGPOBSA-N 0 0 284.287 2.518 20 5 CFBDRN Cc1ccc(CC(=O)N(C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000533146617 382883824 /nfs/dbraw/zinc/88/38/24/382883824.db2.gz GPGVKBVLIUGQHA-UHFFFAOYSA-N 0 0 274.276 2.702 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1cccc(F)c1 ZINC000440837783 382886335 /nfs/dbraw/zinc/88/63/35/382886335.db2.gz YRKOYIUTPDIBOS-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000533229305 382887164 /nfs/dbraw/zinc/88/71/64/382887164.db2.gz AZFSXMCNZINZDA-UHFFFAOYSA-N 0 0 294.238 2.600 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCC(C)(C)C)c1F ZINC000235781604 382887816 /nfs/dbraw/zinc/88/78/16/382887816.db2.gz HSOYKQIYGYCZJJ-UHFFFAOYSA-N 0 0 268.288 2.818 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2C)CCO1 ZINC000421662464 382887996 /nfs/dbraw/zinc/88/79/96/382887996.db2.gz LGYXTZXPBGIACH-VXGBXAGGSA-N 0 0 292.335 2.591 20 5 CFBDRN CC/C=C\CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000455293944 382891604 /nfs/dbraw/zinc/89/16/04/382891604.db2.gz GBCLBEFJYBKDCQ-DAXSKMNVSA-N 0 0 277.324 2.702 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2CCCOC2)nc1 ZINC000533310605 382891879 /nfs/dbraw/zinc/89/18/79/382891879.db2.gz BYTRVOJJQNGASF-SECBINFHSA-N 0 0 254.311 2.509 20 5 CFBDRN Cc1ccoc1CNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493776197 382902777 /nfs/dbraw/zinc/90/27/77/382902777.db2.gz JUZQGJRFTRRUHP-WAYWQWQTSA-N 0 0 286.287 2.826 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](F)C1 ZINC000455365041 382903075 /nfs/dbraw/zinc/90/30/75/382903075.db2.gz WIQZSQSSXXVGFT-SECBINFHSA-N 0 0 297.286 2.569 20 5 CFBDRN CC[C@H](F)CSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000442560454 382936309 /nfs/dbraw/zinc/93/63/09/382936309.db2.gz XJMWYFQBMCQMDI-JTQLQIEISA-N 0 0 286.328 2.675 20 5 CFBDRN COc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)ccc1O ZINC000442653002 382941815 /nfs/dbraw/zinc/94/18/15/382941815.db2.gz RYIZDWCDFAFWIN-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CCCC[C@@H](C(=O)[O-])[N@H+](C)CCc1cccc([N+](=O)[O-])c1 ZINC000578778526 382954610 /nfs/dbraw/zinc/95/46/10/382954610.db2.gz ZRFQFNNFNZSEBD-AWEZNQCLSA-N 0 0 294.351 2.713 20 5 CFBDRN Cn1c2cccc(NCc3ccccc3[N+](=O)[O-])c2oc1=O ZINC000338078715 382917484 /nfs/dbraw/zinc/91/74/84/382917484.db2.gz CGMZBVCJNHNQKT-UHFFFAOYSA-N 0 0 299.286 2.652 20 5 CFBDRN Cc1c(NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)cnn1C ZINC000421782054 382922890 /nfs/dbraw/zinc/92/28/90/382922890.db2.gz BEWVVKQSOVDVOE-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=c1oc2ccc(OCc3ccc([N+](=O)[O-])cc3)cc2o1 ZINC000442081628 382928812 /nfs/dbraw/zinc/92/88/12/382928812.db2.gz JEFMNHSDMAEHSE-UHFFFAOYSA-N 0 0 287.227 2.873 20 5 CFBDRN Cn1c(C(=O)NCC2(C3CCC3)CCC2)ccc1[N+](=O)[O-] ZINC000457169706 382977514 /nfs/dbraw/zinc/97/75/14/382977514.db2.gz AVEOAJDUCQUKLZ-UHFFFAOYSA-N 0 0 291.351 2.634 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000457201864 382988019 /nfs/dbraw/zinc/98/80/19/382988019.db2.gz KLQFQGPHSVQEBM-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN O=C(N[C@H](C1CC1)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000457209910 382990927 /nfs/dbraw/zinc/99/09/27/382990927.db2.gz CUJYOCUVESJIJE-AWEZNQCLSA-N 0 0 274.320 2.903 20 5 CFBDRN O=C(N[C@@H](C1CC1)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000457216978 382993782 /nfs/dbraw/zinc/99/37/82/382993782.db2.gz GOKGPLLFXIRBLE-CQSZACIVSA-N 0 0 274.320 2.903 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@@H](C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000457232056 382998939 /nfs/dbraw/zinc/99/89/39/382998939.db2.gz RHOIQCBIJLOKMB-VIFPVBQESA-N 0 0 296.371 2.996 20 5 CFBDRN Cc1nn(CCCC2CCOCC2)c(C)c1[N+](=O)[O-] ZINC000447787439 383005683 /nfs/dbraw/zinc/00/56/83/383005683.db2.gz NDAVPIXZOBGMNZ-UHFFFAOYSA-N 0 0 267.329 2.615 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1CCC[C@@H]1F ZINC000549698214 383012041 /nfs/dbraw/zinc/01/20/41/383012041.db2.gz GWHNVXZFUZOLLF-CMPLNLGQSA-N 0 0 275.283 2.867 20 5 CFBDRN C[C@@H](C(=O)NCc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000549782006 383013144 /nfs/dbraw/zinc/01/31/44/383013144.db2.gz KYKOIQYIXNLTIQ-QMMMGPOBSA-N 0 0 272.251 2.502 20 5 CFBDRN O=C([O-])CCC[N@@H+]1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000579436457 383015950 /nfs/dbraw/zinc/01/59/50/383015950.db2.gz QPDBWSQFAWLZTD-CYBMUJFWSA-N 0 0 292.335 2.639 20 5 CFBDRN O=C(NCCOCC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000457139894 382969829 /nfs/dbraw/zinc/96/98/29/382969829.db2.gz ANGWOBARFMPLBT-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000457345705 383028748 /nfs/dbraw/zinc/02/87/48/383028748.db2.gz PXDWPKLYWAKBBE-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])O1 ZINC000457351539 383030964 /nfs/dbraw/zinc/03/09/64/383030964.db2.gz DMHLIFQFEBNHQA-RKDXNWHRSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cnc(NC[C@@]2(O)CCCC2(C)C)c([N+](=O)[O-])c1 ZINC000338733201 383032503 /nfs/dbraw/zinc/03/25/03/383032503.db2.gz BTFSMGSFMHWGHK-AWEZNQCLSA-N 0 0 279.340 2.651 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1cc[nH]c(=O)c1 ZINC000338734096 383033106 /nfs/dbraw/zinc/03/31/06/383033106.db2.gz OXIFITONDMFRKV-ZETCQYMHSA-N 0 0 294.698 2.917 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](CC(N)=O)c2ccccc2)c1 ZINC000338782524 383049721 /nfs/dbraw/zinc/04/97/21/383049721.db2.gz KYMXDQZUNOUYDX-ZDUSSCGKSA-N 0 0 299.330 2.932 20 5 CFBDRN C[C@H](C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1)C1CCC1 ZINC000457625272 383054402 /nfs/dbraw/zinc/05/44/02/383054402.db2.gz HSJJQJMNJUUWDS-JTQLQIEISA-N 0 0 299.330 2.940 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@]2(O)CCC[C@@H]2C)n1 ZINC000338814890 383059457 /nfs/dbraw/zinc/05/94/57/383059457.db2.gz XKNQXAGJSRGNHC-IINYFYTJSA-N 0 0 279.340 2.570 20 5 CFBDRN COC(=O)c1csc(Sc2ccccc2[N+](=O)[O-])n1 ZINC000338818673 383060587 /nfs/dbraw/zinc/06/05/87/383060587.db2.gz YFVGXVNUAHROAS-UHFFFAOYSA-N 0 0 296.329 2.989 20 5 CFBDRN CC(C)OC(C)(C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000448547975 383066029 /nfs/dbraw/zinc/06/60/29/383066029.db2.gz LJUWYIJDYPUFCT-LLVKDONJSA-N 0 0 294.351 2.976 20 5 CFBDRN Cc1oc(-c2ccsc2)nc1Cn1nccc1[N+](=O)[O-] ZINC000338844587 383066616 /nfs/dbraw/zinc/06/66/16/383066616.db2.gz PGYCSCMSTIJIPH-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN CC[C@H](C)[C@H](O)C[NH2+][C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000579494496 383022908 /nfs/dbraw/zinc/02/29/08/383022908.db2.gz QPYUGMDXJXWHGZ-VZJVUDMVSA-N 0 0 278.352 2.579 20 5 CFBDRN CC[C@H](C)[C@@H](O)C[NH2+][C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000579494497 383023064 /nfs/dbraw/zinc/02/30/64/383023064.db2.gz QPYUGMDXJXWHGZ-XEGUGMAKSA-N 0 0 278.352 2.579 20 5 CFBDRN CCC[C@@H](CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000579556423 383027604 /nfs/dbraw/zinc/02/76/04/383027604.db2.gz JYCNUDHXMHMHBG-RISCZKNCSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@H](CO)[C@@H]1CCCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000596435886 383126280 /nfs/dbraw/zinc/12/62/80/383126280.db2.gz OYQVGKRTWGTULZ-SKDRFNHKSA-N 0 0 299.758 2.631 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000596435611 383126369 /nfs/dbraw/zinc/12/63/69/383126369.db2.gz CYQDWSCFVCUJKV-AULYBMBSSA-N 0 0 287.319 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@](O)(C3CC3)C2)c1 ZINC000596460740 383127337 /nfs/dbraw/zinc/12/73/37/383127337.db2.gz AMMWWWAFVOQHOT-OAHLLOKOSA-N 0 0 276.336 2.645 20 5 CFBDRN O=C(NCc1ccc(F)cc1F)c1csc([N+](=O)[O-])c1 ZINC000339051962 383132331 /nfs/dbraw/zinc/13/23/31/383132331.db2.gz HKCBJBGUFXVIKD-UHFFFAOYSA-N 0 0 298.270 2.865 20 5 CFBDRN CN(C(=O)CNc1ccccc1[N+](=O)[O-])C1CC(C)(C)C1 ZINC000458214618 383133041 /nfs/dbraw/zinc/13/30/41/383133041.db2.gz SXCGWHWWVNKLCN-UHFFFAOYSA-N 0 0 291.351 2.654 20 5 CFBDRN CN(C)C(=O)[C@@H]1CCC[C@@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000609631354 383134714 /nfs/dbraw/zinc/13/47/14/383134714.db2.gz IADXAWUHBKCMIO-NXEZZACHSA-N 0 0 297.380 2.715 20 5 CFBDRN Cc1c[nH]cc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000339079259 383141155 /nfs/dbraw/zinc/14/11/55/383141155.db2.gz IUICNZVASDMXQY-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN C[C@]1(C(=O)NCc2ccccc2[N+](=O)[O-])C[C@H]2C[C@H]2C1 ZINC000338974733 383105750 /nfs/dbraw/zinc/10/57/50/383105750.db2.gz SVBOAXFITSQSOF-JYAVWHMHSA-N 0 0 274.320 2.647 20 5 CFBDRN C[C@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])[C@H]1C ZINC000339005740 383118563 /nfs/dbraw/zinc/11/85/63/383118563.db2.gz ZNGOZXWXLXSXPK-QWRGUYRKSA-N 0 0 264.325 2.921 20 5 CFBDRN Cc1cnn(CCOc2ccc([N+](=O)[O-])cc2Cl)c1 ZINC000424084443 383176579 /nfs/dbraw/zinc/17/65/79/383176579.db2.gz OJWMYENXUFGLFR-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1C1CCCCC1 ZINC000517455909 383182885 /nfs/dbraw/zinc/18/28/85/383182885.db2.gz XEJIHTGLVJRWDL-QWHCGFSZSA-N 0 0 291.351 2.632 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC(C(F)(F)F)C1 ZINC000518436279 383196619 /nfs/dbraw/zinc/19/66/19/383196619.db2.gz DDAFFDIWALHJOW-UHFFFAOYSA-N 0 0 281.621 2.642 20 5 CFBDRN CC(C)SCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000566720382 383203682 /nfs/dbraw/zinc/20/36/82/383203682.db2.gz AVRMNHNSIOWXMY-UHFFFAOYSA-N 0 0 269.282 2.879 20 5 CFBDRN Cc1c(CN2CCO[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000247976659 383203667 /nfs/dbraw/zinc/20/36/67/383203667.db2.gz HWRDDZKKQBQMAO-NEPJUHHUSA-N 0 0 264.325 2.512 20 5 CFBDRN CCc1nc(NC(=O)c2ccc([N+](=O)[O-])n2C)sc1C ZINC000339472478 383209071 /nfs/dbraw/zinc/20/90/71/383209071.db2.gz OFWAQWDPLGBAJY-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2csc([N+](=O)[O-])c2)n1 ZINC000339115794 383154816 /nfs/dbraw/zinc/15/48/16/383154816.db2.gz TVXSGTSQAAOWJT-NSCUHMNNSA-N 0 0 263.278 2.631 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000339118667 383155574 /nfs/dbraw/zinc/15/55/74/383155574.db2.gz YVQJGJBUQOFVMP-WAYWQWQTSA-N 0 0 271.276 2.878 20 5 CFBDRN Cc1ccc(C[NH2+][C@@H](C)[C@H](C(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000424618137 383211552 /nfs/dbraw/zinc/21/15/52/383211552.db2.gz JLCRRZTYZSWCNT-SMDDNHRTSA-N 0 0 294.351 2.738 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@H](F)C1 ZINC000424745725 383249133 /nfs/dbraw/zinc/24/91/33/383249133.db2.gz CYNCUNQVRWKWDK-OLZOCXBDSA-N 0 0 294.326 2.782 20 5 CFBDRN Cc1cc(CNC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)ccn1 ZINC000567191516 383250647 /nfs/dbraw/zinc/25/06/47/383250647.db2.gz MYUVMUKKEIJIKP-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H]2CCC[C@H]2F)c(F)c1 ZINC000339588538 383256196 /nfs/dbraw/zinc/25/61/96/383256196.db2.gz APXOHOYKPUXTLX-ZYHUDNBSSA-N 0 0 256.252 2.714 20 5 CFBDRN CCC[C@]1(CO)CCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000567291265 383260058 /nfs/dbraw/zinc/26/00/58/383260058.db2.gz WDCIUMNHVQUSTM-HNNXBMFYSA-N 0 0 294.351 2.592 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000458892921 383272195 /nfs/dbraw/zinc/27/21/95/383272195.db2.gz VWCCUZSJFARUSE-HZMBPMFUSA-N 0 0 289.335 2.850 20 5 CFBDRN C[C@H](NCc1c([N+](=O)[O-])ncn1C)c1ccccc1Cl ZINC000339653810 383274263 /nfs/dbraw/zinc/27/42/63/383274263.db2.gz XUUHRMNIJWCCDV-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1c(OC[C@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000566831191 383215739 /nfs/dbraw/zinc/21/57/39/383215739.db2.gz UDBXFQDZFBYWQD-NSHDSACASA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000424705146 383236396 /nfs/dbraw/zinc/23/63/96/383236396.db2.gz JAOOGXJRCIEXKH-WFBYXXMGSA-N 0 0 280.299 2.829 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](C)C[C@@H](C)C1 ZINC000248673540 383280339 /nfs/dbraw/zinc/28/03/39/383280339.db2.gz VXBDCYLKMWLSCI-GHMZBOCLSA-N 0 0 280.372 2.992 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000425133903 383328707 /nfs/dbraw/zinc/32/87/07/383328707.db2.gz FEBDYDKTXRCFQD-JGPRNRPPSA-N 0 0 292.360 2.602 20 5 CFBDRN COc1cc(C(=O)N(CC2CC2)C(C)C)ccc1[N+](=O)[O-] ZINC000522243230 383333220 /nfs/dbraw/zinc/33/32/20/383333220.db2.gz MTXIFOYAXQGZCC-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CCNC(=O)c1cc([N+](=O)[O-])cn1C ZINC000522249962 383334549 /nfs/dbraw/zinc/33/45/49/383334549.db2.gz ANLAYBHPFRDSRG-NEPJUHHUSA-N 0 0 293.367 2.880 20 5 CFBDRN Cc1ccc(CCNc2nccc(C)c2[N+](=O)[O-])o1 ZINC000522412345 383336608 /nfs/dbraw/zinc/33/66/08/383336608.db2.gz CVTPEQGGQNMZAN-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1C=CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000521528351 383299100 /nfs/dbraw/zinc/29/91/00/383299100.db2.gz RRGBTBUGNJJOIC-WCQYABFASA-N 0 0 274.320 2.923 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC12CC2 ZINC000424971259 383300033 /nfs/dbraw/zinc/30/00/33/383300033.db2.gz OGSFNXPAEOYACE-TZMCWYRMSA-N 0 0 288.347 2.832 20 5 CFBDRN Cc1nc(N2CC[C@H](C3CCCC3)C2)ncc1[N+](=O)[O-] ZINC000521602744 383302088 /nfs/dbraw/zinc/30/20/88/383302088.db2.gz FGZVEUPDWQHNKX-LBPRGKRZSA-N 0 0 276.340 2.710 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000424975866 383302563 /nfs/dbraw/zinc/30/25/63/383302563.db2.gz DFIDWPPDSUMHGI-WCQYABFASA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000463486344 383304508 /nfs/dbraw/zinc/30/45/08/383304508.db2.gz ZDJMJCBXGADQLD-NEPJUHHUSA-N 0 0 279.340 2.994 20 5 CFBDRN Cc1ccc(F)cc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000340253860 383392685 /nfs/dbraw/zinc/39/26/85/383392685.db2.gz XATAUARFPXHFGZ-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CCC(=O)C1(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000450413681 383399171 /nfs/dbraw/zinc/39/91/71/383399171.db2.gz VNLHBPBZIBQXHG-SNVBAGLBSA-N 0 0 290.319 2.531 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C[C@@H](C)O1 ZINC000252442949 383408076 /nfs/dbraw/zinc/40/80/76/383408076.db2.gz FFMVUJCESNRCKQ-RISCZKNCSA-N 0 0 264.325 2.594 20 5 CFBDRN Cc1nn(C)c(N[C@H]2C[C@]2(F)c2ccccc2)c1[N+](=O)[O-] ZINC000425269458 383353887 /nfs/dbraw/zinc/35/38/87/383353887.db2.gz CFGSIBDPGBJIFV-FZMZJTMJSA-N 0 0 290.298 2.686 20 5 CFBDRN COC(=O)c1cc(N[C@H]2CC23CC3)cc(C)c1[N+](=O)[O-] ZINC000425272061 383356397 /nfs/dbraw/zinc/35/63/97/383356397.db2.gz JVHDQVPVHWXXQU-NSHDSACASA-N 0 0 276.292 2.654 20 5 CFBDRN O=C(NC[C@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])cn1 ZINC000568093852 383357844 /nfs/dbraw/zinc/35/78/44/383357844.db2.gz PWPDUCBDIDBBTP-HTAVTVPLSA-N 0 0 289.335 2.546 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000340123917 383360290 /nfs/dbraw/zinc/36/02/90/383360290.db2.gz ZPJZPUGDHLKPHO-SECBINFHSA-N 0 0 275.308 2.947 20 5 CFBDRN CN(CCC1CCCCC1)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000523740699 383361126 /nfs/dbraw/zinc/36/11/26/383361126.db2.gz FKSVTALJCBYJKW-UHFFFAOYSA-N 0 0 293.367 2.976 20 5 CFBDRN COc1ccc(Cl)cc1CNc1ccncc1[N+](=O)[O-] ZINC000340151278 383363518 /nfs/dbraw/zinc/36/35/18/383363518.db2.gz WOQILZNLAJSCSE-UHFFFAOYSA-N 0 0 293.710 2.686 20 5 CFBDRN Cc1cccc(C)c1OCCNc1ccncc1[N+](=O)[O-] ZINC000340153178 383364011 /nfs/dbraw/zinc/36/40/11/383364011.db2.gz PPTFPLQZIFWSJX-UHFFFAOYSA-N 0 0 287.319 2.519 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@@H]1CCCc2ccccc21 ZINC000340157782 383364172 /nfs/dbraw/zinc/36/41/72/383364172.db2.gz KNZFZRXQAQWRMJ-ZDUSSCGKSA-N 0 0 283.331 2.944 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@H](O)Cc1ccccc1 ZINC000340167370 383366402 /nfs/dbraw/zinc/36/64/02/383366402.db2.gz JQMJOBDDDFVMQA-CQSZACIVSA-N 0 0 287.315 2.886 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1ncnc2c1CCC2 ZINC000524491187 383373577 /nfs/dbraw/zinc/37/35/77/383373577.db2.gz BZAHQXCVLVGGMN-UHFFFAOYSA-N 0 0 257.249 2.666 20 5 CFBDRN Cc1nc(NCc2ccc(C3CC3)cc2)ncc1[N+](=O)[O-] ZINC000450479652 383428670 /nfs/dbraw/zinc/42/86/70/383428670.db2.gz PTGASJKYVWGQJX-UHFFFAOYSA-N 0 0 284.319 2.605 20 5 CFBDRN CN(CCCCCO)c1nccc2c1cccc2[N+](=O)[O-] ZINC000450481178 383429845 /nfs/dbraw/zinc/42/98/45/383429845.db2.gz CWSQVOGHNZDLFK-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN Cc1cc(C)cc(CN(C)c2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000450481503 383429935 /nfs/dbraw/zinc/42/99/35/383429935.db2.gz DRNHKTIRHIZLIE-UHFFFAOYSA-N 0 0 286.335 2.946 20 5 CFBDRN COc1cc(CN2Cc3cccnc3C2)ccc1[N+](=O)[O-] ZINC000568874757 383445013 /nfs/dbraw/zinc/44/50/13/383445013.db2.gz JNEVKYSOYIAILZ-UHFFFAOYSA-N 0 0 285.303 2.514 20 5 CFBDRN CC[C@H](CNc1nccc2c1cccc2[N+](=O)[O-])OC ZINC000450538765 383452217 /nfs/dbraw/zinc/45/22/17/383452217.db2.gz IKBHXRUIRGYKAI-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CCc1cc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)nc(C)n1 ZINC000450547393 383455521 /nfs/dbraw/zinc/45/55/21/383455521.db2.gz CPQMYACYLXWSFQ-JTQLQIEISA-N 0 0 286.335 2.851 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@H](C)CCO ZINC000450564989 383463875 /nfs/dbraw/zinc/46/38/75/383463875.db2.gz NKYQKSYMTUVZIX-NSHDSACASA-N 0 0 282.340 2.562 20 5 CFBDRN CC[C@H](COCC(F)(F)F)Nc1ccc([N+](=O)[O-])nc1 ZINC000450583700 383470018 /nfs/dbraw/zinc/47/00/18/383470018.db2.gz CMSOSGDEJPCGLI-MRVPVSSYSA-N 0 0 293.245 2.759 20 5 CFBDRN CCN(Cc1cccc(F)c1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340561931 383471318 /nfs/dbraw/zinc/47/13/18/383471318.db2.gz BQLWNNXUVPAYGG-UHFFFAOYSA-N 0 0 291.282 2.724 20 5 CFBDRN O=C(Nc1ccccc1OC(F)F)c1ccc([N+](=O)[O-])[nH]1 ZINC000340561810 383471443 /nfs/dbraw/zinc/47/14/43/383471443.db2.gz URPRYMDHLJMGQD-UHFFFAOYSA-N 0 0 297.217 2.777 20 5 CFBDRN CN(C/C=C\c1ccccc1[N+](=O)[O-])Cc1cnccn1 ZINC000255523901 383483154 /nfs/dbraw/zinc/48/31/54/383483154.db2.gz RMMQENRXQHOVTA-XQRVVYSFSA-N 0 0 284.319 2.530 20 5 CFBDRN Cc1cncc(CNc2ncc(Cl)cc2[N+](=O)[O-])c1 ZINC000340571442 383474310 /nfs/dbraw/zinc/47/43/10/383474310.db2.gz SOWIIANPOIMPER-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(CF)CF)cc1OC(F)F ZINC000450593863 383474635 /nfs/dbraw/zinc/47/46/35/383474635.db2.gz RLBVKYZXNLKELB-UHFFFAOYSA-N 0 0 282.193 2.916 20 5 CFBDRN CC(C)(C)c1ccc(NCC(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000340604387 383485052 /nfs/dbraw/zinc/48/50/52/383485052.db2.gz YKTZOOUEBWUOIV-UHFFFAOYSA-N 0 0 291.351 2.583 20 5 CFBDRN Cc1ncoc1C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000487997248 383486412 /nfs/dbraw/zinc/48/64/12/383486412.db2.gz FFTYAOYZNQOODQ-SECBINFHSA-N 0 0 289.291 2.691 20 5 CFBDRN Cc1ncoc1C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000487997252 383487108 /nfs/dbraw/zinc/48/71/08/383487108.db2.gz FFTYAOYZNQOODQ-VIFPVBQESA-N 0 0 289.291 2.691 20 5 CFBDRN Cc1cnc(N[C@@H]([C@H](CO)C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC000450783978 383489778 /nfs/dbraw/zinc/48/97/78/383489778.db2.gz YTYROHNJRZQLQP-TZMCWYRMSA-N 0 0 291.351 2.507 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000569431245 383497740 /nfs/dbraw/zinc/49/77/40/383497740.db2.gz ITYXMDCDBCNEQM-GXSJLCMTSA-N 0 0 256.689 2.838 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H]2C[C@@H](O)CC[C@H]2C1 ZINC000450816616 383501458 /nfs/dbraw/zinc/50/14/58/383501458.db2.gz WJYCPOSZSFDSGF-WOPDTQHZSA-N 0 0 294.326 2.721 20 5 CFBDRN Cc1cnc(N[C@H]2C[C@H](C)n3ccnc32)c([N+](=O)[O-])c1 ZINC000450821825 383503190 /nfs/dbraw/zinc/50/31/90/383503190.db2.gz ALHXALOENRPNRT-UWVGGRQHSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1nc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)[nH]c1C ZINC000569504430 383506905 /nfs/dbraw/zinc/50/69/05/383506905.db2.gz VCAMEFORLVAVMG-NSHDSACASA-N 0 0 274.324 2.786 20 5 CFBDRN Cc1nc(COc2ccc([N+](=O)[O-])cc2F)co1 ZINC000569550015 383510027 /nfs/dbraw/zinc/51/00/27/383510027.db2.gz MPQIDQVHLLVPFU-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN CCn1ccnc1[C@H](C)Nc1nccc(C)c1[N+](=O)[O-] ZINC000450833014 383510790 /nfs/dbraw/zinc/51/07/90/383510790.db2.gz SMNVYSIRILEBKF-JTQLQIEISA-N 0 0 275.312 2.688 20 5 CFBDRN Cc1cc(N[C@H](C)Cc2cnn(C)c2)c(F)cc1[N+](=O)[O-] ZINC000450839975 383515963 /nfs/dbraw/zinc/51/59/63/383515963.db2.gz IAOSVTGVCPRCHT-SNVBAGLBSA-N 0 0 292.314 2.819 20 5 CFBDRN Cc1ccc(N[C@H](C)Cc2cnn(C)c2)c([N+](=O)[O-])c1 ZINC000450840577 383516383 /nfs/dbraw/zinc/51/63/83/383516383.db2.gz JHQSVDOKBMTOLZ-LLVKDONJSA-N 0 0 274.324 2.680 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCC(C)(C)O1 ZINC000569590974 383517071 /nfs/dbraw/zinc/51/70/71/383517071.db2.gz RLQBYIYCLGNDMZ-SNVBAGLBSA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000450857104 383524467 /nfs/dbraw/zinc/52/44/67/383524467.db2.gz IJMFSIXOGJUFFD-GHMZBOCLSA-N 0 0 267.329 2.568 20 5 CFBDRN CCC1CN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000569682317 383526801 /nfs/dbraw/zinc/52/68/01/383526801.db2.gz QPMVGUYEXODKIY-SNVBAGLBSA-N 0 0 262.309 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2COCCO2)c2ccccc21 ZINC000450868872 383528764 /nfs/dbraw/zinc/52/87/64/383528764.db2.gz NDTUZBXOEHWRAI-LLVKDONJSA-N 0 0 289.287 2.542 20 5 CFBDRN CCn1cc([C@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])cn1 ZINC000450878295 383533244 /nfs/dbraw/zinc/53/32/44/383533244.db2.gz SGBCSBIIZOMBIW-NSHDSACASA-N 0 0 289.339 2.996 20 5 CFBDRN CCOC1(C)CCN(c2c([N+](=O)[O-])c(C)nn2CC)CC1 ZINC000488432081 383537935 /nfs/dbraw/zinc/53/79/35/383537935.db2.gz LIQNRFOZRDQLED-UHFFFAOYSA-N 0 0 296.371 2.515 20 5 CFBDRN CC(C)c1nnc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)s1 ZINC000450907425 383544573 /nfs/dbraw/zinc/54/45/73/383544573.db2.gz SKCLDBFFOSKRDM-UHFFFAOYSA-N 0 0 293.352 2.692 20 5 CFBDRN CCOc1cc(OC[C@H](OC)C2CC2)ccc1[N+](=O)[O-] ZINC000570161677 383577109 /nfs/dbraw/zinc/57/71/09/383577109.db2.gz YVZKBIQMEZOHRA-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN CC(C)CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429921115 383577926 /nfs/dbraw/zinc/57/79/26/383577926.db2.gz IUSLMNPQZLVOCO-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN CCC(=O)c1ccc(NCc2cnn(C)c2)c([N+](=O)[O-])c1 ZINC000280825460 194991356 /nfs/dbraw/zinc/99/13/56/194991356.db2.gz YNXYLXNKUDLJME-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000430784460 383602052 /nfs/dbraw/zinc/60/20/52/383602052.db2.gz BITUXACESZXJIK-LBPRGKRZSA-N 0 0 290.319 2.507 20 5 CFBDRN O=C(Nc1c[nH]cn1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000570469763 383607317 /nfs/dbraw/zinc/60/73/17/383607317.db2.gz YJSATVCGMQPRCK-UHFFFAOYSA-N 0 0 281.659 2.615 20 5 CFBDRN CN(C(=O)CCNc1ccccc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000489346479 383608315 /nfs/dbraw/zinc/60/83/15/383608315.db2.gz CQIDJTXUOOIIBQ-ZDUSSCGKSA-N 0 0 291.351 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCC2(F)F)cc1CO ZINC000450927713 383553086 /nfs/dbraw/zinc/55/30/86/383553086.db2.gz QBJXZBDHQWIFJN-JTQLQIEISA-N 0 0 287.262 2.901 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(N[C@H]2C[C@@H](O)C2)c(Cl)c1 ZINC000450930682 383555053 /nfs/dbraw/zinc/55/50/53/383555053.db2.gz XGLCZWNFOYSYPT-IAQIWYKVSA-N 0 0 277.107 2.837 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000450934067 383556257 /nfs/dbraw/zinc/55/62/57/383556257.db2.gz FBBQULNDOSGQBW-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN COC[C@@H]1CCCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000450932995 383556378 /nfs/dbraw/zinc/55/63/78/383556378.db2.gz ITWYZOINABWLCF-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN CS[C@@H](C)CNC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000341083052 383558277 /nfs/dbraw/zinc/55/82/77/383558277.db2.gz BNRLPJVJGBNLAG-VHSXEESVSA-N 0 0 297.380 2.707 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)[C@H]2CC[N@@H+](C(C)C)C2)n1 ZINC000450951221 383564393 /nfs/dbraw/zinc/56/43/93/383564393.db2.gz FNMNDRKPWFXJNB-ZDUSSCGKSA-N 0 0 292.383 2.526 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000450951248 383565256 /nfs/dbraw/zinc/56/52/56/383565256.db2.gz XFCOVIOXQAAAHY-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN C[C@@H]1CCC(=O)[C@@H](Oc2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000450955357 383567102 /nfs/dbraw/zinc/56/71/02/383567102.db2.gz CNYNEMJJTNWOKV-HQJQHLMTSA-N 0 0 284.699 2.780 20 5 CFBDRN COc1cc(Cn2cc([N+](=O)[O-])c(C)n2)ccc1Cl ZINC000450954737 383567556 /nfs/dbraw/zinc/56/75/56/383567556.db2.gz CHJMKYCISNJUIV-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN CCO[C@@H]1C[C@@H]1NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000570510788 383610859 /nfs/dbraw/zinc/61/08/59/383610859.db2.gz WGOLSWJDGDGNQP-WCQYABFASA-N 0 0 293.323 2.511 20 5 CFBDRN COc1cc(Cl)ccc1CNc1ccncc1[N+](=O)[O-] ZINC000341113509 383569588 /nfs/dbraw/zinc/56/95/88/383569588.db2.gz YXPBNXYYVUOWQE-UHFFFAOYSA-N 0 0 293.710 2.686 20 5 CFBDRN COc1cccc([C@@H](C)n2cc([N+](=O)[O-])c(C)n2)c1 ZINC000450961334 383569591 /nfs/dbraw/zinc/56/95/91/383569591.db2.gz QSRUUYMANXFOSN-SNVBAGLBSA-N 0 0 261.281 2.718 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000450965669 383570669 /nfs/dbraw/zinc/57/06/69/383570669.db2.gz FWGAJUMUQIKUDZ-IUCAKERBSA-N 0 0 296.298 2.661 20 5 CFBDRN Cc1cc(=O)[nH]c(C(=O)Nc2cc([N+](=O)[O-])ccc2C)c1 ZINC000431252296 383641249 /nfs/dbraw/zinc/64/12/49/383641249.db2.gz IZEJVAQQAASONG-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CO[C@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@H]1C ZINC000451547937 383642545 /nfs/dbraw/zinc/64/25/45/383642545.db2.gz RGFKYOFQLRMYGA-YGRLFVJLSA-N 0 0 282.315 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H]2CCCN(Cc3ncccn3)C2)cc1 ZINC000451553625 383645495 /nfs/dbraw/zinc/64/54/95/383645495.db2.gz YEYSDTNBHAEXHP-AWEZNQCLSA-N 0 0 298.346 2.764 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ccc([N+](=O)[O-])c(N)c2F)O1 ZINC000490062521 383650921 /nfs/dbraw/zinc/65/09/21/383650921.db2.gz LWARCMHIVLFYEM-QMMMGPOBSA-N 0 0 283.303 2.686 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000280908419 195022860 /nfs/dbraw/zinc/02/28/60/195022860.db2.gz GNUUUSYOTZKBGQ-CKYFFXLPSA-N 0 0 277.324 2.754 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])cc2c1OCOC2)[C@@H]1CC1(C)C ZINC000489450314 383620533 /nfs/dbraw/zinc/62/05/33/383620533.db2.gz WBFVXYDGSZDOAM-CYBMUJFWSA-N 0 0 292.335 2.692 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc([C@@H]3C[C@H]3C)no2)c1 ZINC000570741047 383630894 /nfs/dbraw/zinc/63/08/94/383630894.db2.gz STZOKZDEFDUVST-VXNVDRBHSA-N 0 0 275.264 2.777 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000431141609 383634368 /nfs/dbraw/zinc/63/43/68/383634368.db2.gz XGJWIRMEUKKVEV-NWDGAFQWSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1nn(CCCc2nc3ccccc3[nH]2)c(C)c1[N+](=O)[O-] ZINC000571236021 383675933 /nfs/dbraw/zinc/67/59/33/383675933.db2.gz GCIBHASNTCPVGT-UHFFFAOYSA-N 0 0 299.334 2.917 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NC1(CCO)CC1 ZINC000431479707 383676218 /nfs/dbraw/zinc/67/62/18/383676218.db2.gz ZIMMWGMPYSZXSL-UHFFFAOYSA-N 0 0 290.241 2.941 20 5 CFBDRN COc1ccc(OCC2(OC)CCC2)c([N+](=O)[O-])c1 ZINC000418793171 533006120 /nfs/dbraw/zinc/00/61/20/533006120.db2.gz NYZWSACBHULIEI-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN Cc1noc(OCc2cc([N+](=O)[O-])ccc2OC(C)C)n1 ZINC000264558047 383754575 /nfs/dbraw/zinc/75/45/75/383754575.db2.gz WPFUEIIIJJKCBO-UHFFFAOYSA-N 0 0 293.279 2.652 20 5 CFBDRN O=C(NC1CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000264567262 383756207 /nfs/dbraw/zinc/75/62/07/383756207.db2.gz WGQRKSATDXSVRT-UHFFFAOYSA-N 0 0 254.673 2.531 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)C[C@@H](C)O1 ZINC000264712932 383774410 /nfs/dbraw/zinc/77/44/10/383774410.db2.gz GQIZNVYCRRXAHG-MWLCHTKSSA-N 0 0 268.288 2.738 20 5 CFBDRN CC(C)(C)OCCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000571032305 383661201 /nfs/dbraw/zinc/66/12/01/383661201.db2.gz NWXKWLNRIBNZJQ-UHFFFAOYSA-N 0 0 289.335 2.792 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@H](F)C1 ZINC000571167640 383669447 /nfs/dbraw/zinc/66/94/47/383669447.db2.gz SKIPOHINWQJZEN-RYUDHWBXSA-N 0 0 280.299 2.534 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2CCO[C@H](C)C2)c1 ZINC000571164629 383669740 /nfs/dbraw/zinc/66/97/40/383669740.db2.gz RHELVQMPHQGTTF-GHMZBOCLSA-N 0 0 281.308 2.797 20 5 CFBDRN COc1ccncc1CNc1ccc([N+](=O)[O-])cc1 ZINC000431478286 383674864 /nfs/dbraw/zinc/67/48/64/383674864.db2.gz PSCUZHNQPFMRBK-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1noc(CC)n1 ZINC000280966570 195049054 /nfs/dbraw/zinc/04/90/54/195049054.db2.gz HMNNOZAZNWKMJY-UHFFFAOYSA-N 0 0 292.295 2.551 20 5 CFBDRN Cc1c(NC(=O)C[C@H](C)n2ccnc2)cccc1[N+](=O)[O-] ZINC000572726194 383810970 /nfs/dbraw/zinc/81/09/70/383810970.db2.gz NPMVSMHRESMWIV-JTQLQIEISA-N 0 0 288.307 2.690 20 5 CFBDRN CCO[C@@H](C)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000264979734 383811125 /nfs/dbraw/zinc/81/11/25/383811125.db2.gz FSAUTFINCRWUHN-QMMMGPOBSA-N 0 0 263.253 2.742 20 5 CFBDRN CCO[C@H]1C[C@@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000265024238 383817402 /nfs/dbraw/zinc/81/74/02/383817402.db2.gz UMCYVLNZOSWBBY-QWRGUYRKSA-N 0 0 275.264 2.537 20 5 CFBDRN Cc1nn([C@H](C)c2nc3ccccc3o2)cc1[N+](=O)[O-] ZINC000436475767 383820609 /nfs/dbraw/zinc/82/06/09/383820609.db2.gz VNPLOBCTUZUHMD-SECBINFHSA-N 0 0 272.264 2.850 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000264770733 383781290 /nfs/dbraw/zinc/78/12/90/383781290.db2.gz GAXQLYLAMDSYJY-BONVTDFDSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1nn(C)c(NCCc2cccc(F)c2F)c1[N+](=O)[O-] ZINC000264814020 383785361 /nfs/dbraw/zinc/78/53/61/383785361.db2.gz PNYDPBUWIWJXIS-UHFFFAOYSA-N 0 0 296.277 2.570 20 5 CFBDRN CN(C(=O)C1CCSCC1)c1ccc([N+](=O)[O-])cc1 ZINC000264816595 383786413 /nfs/dbraw/zinc/78/64/13/383786413.db2.gz DMDFQCUZARNINK-UHFFFAOYSA-N 0 0 280.349 2.701 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2nc(-c3ccccc3)cs2)cn1 ZINC000436206330 383788223 /nfs/dbraw/zinc/78/82/23/383788223.db2.gz QESREMAKLKXMCA-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN COC1(C)CN(c2cc(C)nc3c2cccc3[N+](=O)[O-])C1 ZINC000572579616 383795753 /nfs/dbraw/zinc/79/57/53/383795753.db2.gz LWOPVUSSJIRZRU-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN CN(C)C(=O)[C@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000265125800 383839363 /nfs/dbraw/zinc/83/93/63/383839363.db2.gz LFMALORTJLSKLU-WCQYABFASA-N 0 0 291.351 2.654 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)OCC(C)C ZINC000572963896 383848738 /nfs/dbraw/zinc/84/87/38/383848738.db2.gz SNMHEFCBVCVRRC-JTQLQIEISA-N 0 0 295.339 2.636 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1C[C@H](C)O[C@@H]1C ZINC000572985546 383853623 /nfs/dbraw/zinc/85/36/23/383853623.db2.gz GBHUDODMPAWWCA-AXFHLTTASA-N 0 0 280.324 2.829 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@H]1CCc2ccccc2C1 ZINC000265218575 383865903 /nfs/dbraw/zinc/86/59/03/383865903.db2.gz NBRNRDVPZUQJFW-CYBMUJFWSA-N 0 0 299.330 2.552 20 5 CFBDRN Cc1ccnc(NCCCO[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000280994443 195061911 /nfs/dbraw/zinc/06/19/11/195061911.db2.gz OZORKRALNOCICQ-LBPRGKRZSA-N 0 0 295.339 2.643 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@H](C)c1cccnc1 ZINC000265235537 383869527 /nfs/dbraw/zinc/86/95/27/383869527.db2.gz NPAMWHGDQFDCMJ-SNVBAGLBSA-N 0 0 288.307 2.541 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC(CC)C1 ZINC000453604206 383871791 /nfs/dbraw/zinc/87/17/91/383871791.db2.gz MRDBPVROSLBEOJ-UHFFFAOYSA-N 0 0 264.325 2.835 20 5 CFBDRN O=c1[nH]c(NCC2CCCC2)nc2ccc([N+](=O)[O-])cc21 ZINC000281002569 195064743 /nfs/dbraw/zinc/06/47/43/195064743.db2.gz PTZXUIVZBGQIKH-UHFFFAOYSA-N 0 0 288.307 2.846 20 5 CFBDRN CC[C@@H](O)[C@H](CC)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000454142617 383914018 /nfs/dbraw/zinc/91/40/18/383914018.db2.gz IAZSGVBLYOEVHF-WCQYABFASA-N 0 0 295.339 2.574 20 5 CFBDRN C[C@@H](NC(=O)COc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000573448869 383932183 /nfs/dbraw/zinc/93/21/83/383932183.db2.gz JNJIHIDTAJHCDD-SNVBAGLBSA-N 0 0 280.324 2.524 20 5 CFBDRN C[C@H](O)[C@H]1CCCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000265496664 383932899 /nfs/dbraw/zinc/93/28/99/383932899.db2.gz WVWXLOZFYZUSNG-IINYFYTJSA-N 0 0 298.770 2.984 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3nc(C)ncc3C2)c1 ZINC000531524051 383882597 /nfs/dbraw/zinc/88/25/97/383882597.db2.gz IOAQPWMHOQIINS-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531631950 383900470 /nfs/dbraw/zinc/90/04/70/383900470.db2.gz RPZWHTBRAGRBFW-ZYHUDNBSSA-N 0 0 291.351 2.934 20 5 CFBDRN C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000265716870 383983603 /nfs/dbraw/zinc/98/36/03/383983603.db2.gz QHIOMFLPWKIYHF-SNVBAGLBSA-N 0 0 276.336 2.787 20 5 CFBDRN CC(C)(C(=O)NC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000265735268 383987182 /nfs/dbraw/zinc/98/71/82/383987182.db2.gz YXSHVOALUXATEE-UHFFFAOYSA-N 0 0 276.336 2.931 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1ccon1 ZINC000265739282 383988644 /nfs/dbraw/zinc/98/86/44/383988644.db2.gz BQMLUZDOLFPYLK-SNVBAGLBSA-N 0 0 261.281 2.776 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CC[C@@H](C(=O)[O-])[C@H]1C ZINC000573809881 383996111 /nfs/dbraw/zinc/99/61/11/383996111.db2.gz RXYOBAAAXMIDLC-NTZNESFSSA-N 0 0 292.335 2.759 20 5 CFBDRN COC[C@H]1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000265777328 383998232 /nfs/dbraw/zinc/99/82/32/383998232.db2.gz FEZJNJPLYOPTGQ-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000531871670 383936035 /nfs/dbraw/zinc/93/60/35/383936035.db2.gz USGBAOWIJGMCSK-UHFFFAOYSA-N 0 0 280.711 2.921 20 5 CFBDRN CSCCCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000265515321 383937317 /nfs/dbraw/zinc/93/73/17/383937317.db2.gz QHLZUNPWOZAMII-UHFFFAOYSA-N 0 0 268.338 2.962 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)NC(CF)CF ZINC000454203567 383941594 /nfs/dbraw/zinc/94/15/94/383941594.db2.gz RMNMNEDTNIKROU-UHFFFAOYSA-N 0 0 293.657 2.677 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000438111962 383952720 /nfs/dbraw/zinc/95/27/20/383952720.db2.gz DCSWGWPWAISGGJ-NXEZZACHSA-N 0 0 280.349 2.602 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H](Cc1ccccc1)C1CC1 ZINC000265662617 383971657 /nfs/dbraw/zinc/97/16/57/383971657.db2.gz XOTLOZSAWLVCTL-ZDUSSCGKSA-N 0 0 286.335 2.762 20 5 CFBDRN O=C(NCCC[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000454348947 384003194 /nfs/dbraw/zinc/00/31/94/384003194.db2.gz VDAINDMNRKBVHT-LLVKDONJSA-N 0 0 293.323 2.533 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000532095590 383973635 /nfs/dbraw/zinc/97/36/35/383973635.db2.gz WNECBBJIJDSONL-ZCFIWIBFSA-N 0 0 266.232 2.598 20 5 CFBDRN CC(C)(C(=O)NC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000265858755 384018336 /nfs/dbraw/zinc/01/83/36/384018336.db2.gz YRERHYZFFKZRDW-UHFFFAOYSA-N 0 0 262.309 2.541 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000532392665 384023315 /nfs/dbraw/zinc/02/33/15/384023315.db2.gz FWSVBKWQSQSLQH-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)cc1C ZINC000532391837 384023393 /nfs/dbraw/zinc/02/33/93/384023393.db2.gz HFBOGJXOCHKOSR-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CC[C@@H]1c1ccccc1 ZINC000532408089 384024933 /nfs/dbraw/zinc/02/49/33/384024933.db2.gz MMTFLGBBSYBPRD-CQSZACIVSA-N 0 0 283.287 2.577 20 5 CFBDRN CCc1ocnc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000265800622 384004291 /nfs/dbraw/zinc/00/42/91/384004291.db2.gz VCWKAECOZQYFIS-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN C[C@H](N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1)C1(C)CC1 ZINC000438453977 384004420 /nfs/dbraw/zinc/00/44/20/384004420.db2.gz INIHHKUFKQBHFZ-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000532324112 384011112 /nfs/dbraw/zinc/01/11/12/384011112.db2.gz DBGQZXAEZZURGG-YPMHNXCESA-N 0 0 293.367 2.974 20 5 CFBDRN C[C@@H]1CCCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000457269887 296360633 /nfs/dbraw/zinc/36/06/33/296360633.db2.gz SNCXWRHYHPUQHG-RNCFNFMXSA-N 0 0 266.297 2.886 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@H]3OCCC[C@H]23)n1 ZINC000438884068 384027808 /nfs/dbraw/zinc/02/78/08/384027808.db2.gz PCFRIGPHJKLHPW-IJLUTSLNSA-N 0 0 277.324 2.586 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]([C@H]3CCOC3)C2)n1 ZINC000439088526 384032552 /nfs/dbraw/zinc/03/25/52/384032552.db2.gz TTYRCVNHOBJPRY-OLZOCXBDSA-N 0 0 291.351 2.551 20 5 CFBDRN CCc1cc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)on1 ZINC000532516694 384041162 /nfs/dbraw/zinc/04/11/62/384041162.db2.gz HJIHROUFQYQSIJ-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1cc(C2CC2)no1 ZINC000440433101 384084253 /nfs/dbraw/zinc/08/42/53/384084253.db2.gz VVWWXGVOWMMSPI-UHFFFAOYSA-N 0 0 273.248 2.713 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000266126484 384086133 /nfs/dbraw/zinc/08/61/33/384086133.db2.gz VJAUNCCPXHIPST-JSGCOSHPSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000266128453 384087415 /nfs/dbraw/zinc/08/74/15/384087415.db2.gz CGUWPDXSRKAMAB-UHFFFAOYSA-N 0 0 279.296 2.619 20 5 CFBDRN CO[C@@H]1C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000440261769 384073997 /nfs/dbraw/zinc/07/39/97/384073997.db2.gz UUYKOUYJTCXXFS-VXGBXAGGSA-N 0 0 293.323 2.530 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]([C@@H](C)O)C2)c1 ZINC000533008597 384091489 /nfs/dbraw/zinc/09/14/89/384091489.db2.gz CKWZXXYGSDJWJH-NEPJUHHUSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1nc(NCCc2ccc3[nH]ccc3c2)ccc1[N+](=O)[O-] ZINC000440545872 384101703 /nfs/dbraw/zinc/10/17/03/384101703.db2.gz FPDPXRIWRPDXGW-UHFFFAOYSA-N 0 0 296.330 2.856 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ncc(C)cc2[N+](=O)[O-])cc1 ZINC000266179632 384106309 /nfs/dbraw/zinc/10/63/09/384106309.db2.gz BWNKEHBLLZQUQI-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN CCC[C@@H](O)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000266190682 384110698 /nfs/dbraw/zinc/11/06/98/384110698.db2.gz BTQYFWBPBDVGIM-SECBINFHSA-N 0 0 290.266 2.769 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@H](C)CO ZINC000266205337 384113942 /nfs/dbraw/zinc/11/39/42/384113942.db2.gz FESSETZLDUEXCK-HTQZYQBOSA-N 0 0 290.266 2.625 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC(n2cccn2)CC1 ZINC000301123674 285076042 /nfs/dbraw/zinc/07/60/42/285076042.db2.gz RWKSFQPZPCQBJZ-UHFFFAOYSA-N 0 0 290.298 2.772 20 5 CFBDRN Cc1nccn1CCCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000266213793 384117317 /nfs/dbraw/zinc/11/73/17/384117317.db2.gz GRAPGBSVUPQEBJ-UHFFFAOYSA-N 0 0 274.324 2.910 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3cc(F)cc(F)c3)no2)c1 ZINC000355868856 285080437 /nfs/dbraw/zinc/08/04/37/285080437.db2.gz BDANJMAADLVCAT-UHFFFAOYSA-N 0 0 292.201 2.918 20 5 CFBDRN CC(C)C[C@@H](C(=O)[O-])[N@H+](C)CCc1cccc([N+](=O)[O-])c1 ZINC000574697313 384135164 /nfs/dbraw/zinc/13/51/64/384135164.db2.gz OGCGTXFXUUGBPE-AWEZNQCLSA-N 0 0 294.351 2.568 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCSC1 ZINC000266325653 384149025 /nfs/dbraw/zinc/14/90/25/384149025.db2.gz ITXWAOQBYHMYKK-VIFPVBQESA-N 0 0 281.337 2.530 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000266331692 384150712 /nfs/dbraw/zinc/15/07/12/384150712.db2.gz YUURZBWOKNGJSF-HZGVNTEJSA-N 0 0 288.225 2.752 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOCC2CCC2)c(Cl)c1 ZINC000574854836 384155461 /nfs/dbraw/zinc/15/54/61/384155461.db2.gz KRCAGDPAWYUDCK-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000266536989 384193935 /nfs/dbraw/zinc/19/39/35/384193935.db2.gz ZQYYYBOWXHWXME-SECBINFHSA-N 0 0 298.389 2.552 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCSC1 ZINC000227286199 285092606 /nfs/dbraw/zinc/09/26/06/285092606.db2.gz AEZMBYUPBXEYME-SSDOTTSWSA-N 0 0 259.718 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CC3CC3)no2)s1 ZINC000266557236 384197351 /nfs/dbraw/zinc/19/73/51/384197351.db2.gz JBCHZMGOTJXWJF-UHFFFAOYSA-N 0 0 251.267 2.659 20 5 CFBDRN COc1cccc(NC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC000277241171 384241180 /nfs/dbraw/zinc/24/11/80/384241180.db2.gz UTAXIRPUXURAPH-UHFFFAOYSA-N 0 0 258.224 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCCS1 ZINC000266686972 384221559 /nfs/dbraw/zinc/22/15/59/384221559.db2.gz UNASSQQRKAOCSP-NSHDSACASA-N 0 0 267.306 2.704 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1C[C@@H]1C ZINC000277191378 384225902 /nfs/dbraw/zinc/22/59/02/384225902.db2.gz WRJSVSKDEOPUJG-VHSXEESVSA-N 0 0 250.298 2.809 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC3(CCC3)C2)s1 ZINC000376447447 285098899 /nfs/dbraw/zinc/09/88/99/285098899.db2.gz JWEYXFYFDFLFGL-UHFFFAOYSA-N 0 0 290.348 2.980 20 5 CFBDRN CC(C)[C@H]1OCC[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000277291234 384257533 /nfs/dbraw/zinc/25/75/33/384257533.db2.gz BFUZYGALFWUMDF-ZIAGYGMSSA-N 0 0 292.335 2.619 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2CC[C@H](F)C2)s1 ZINC000340850280 285100870 /nfs/dbraw/zinc/10/08/70/285100870.db2.gz MIZMOVGZKHPRBL-NKWVEPMBSA-N 0 0 282.300 2.902 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCO[C@H]1C(C)C ZINC000267200677 384322890 /nfs/dbraw/zinc/32/28/90/384322890.db2.gz JIRNZCOKPVECPY-AAEUAGOBSA-N 0 0 279.340 2.771 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CCCOC(C)C ZINC000267050916 384287977 /nfs/dbraw/zinc/28/79/77/384287977.db2.gz NYKZKDXOQJRVQD-UHFFFAOYSA-N 0 0 296.367 2.993 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@H](C)c1ccccc1 ZINC000267049430 384288162 /nfs/dbraw/zinc/28/81/62/384288162.db2.gz WZBPPZLIHKNCEG-MNOVXSKESA-N 0 0 287.319 2.845 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC1(C)C ZINC000277403404 384291526 /nfs/dbraw/zinc/29/15/26/384291526.db2.gz HDGNVBNEHNQZEK-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN COc1cc(NC[C@]2(C)CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000267069483 384292977 /nfs/dbraw/zinc/29/29/77/384292977.db2.gz IMBRQPJXPXPZTL-CABCVRRESA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000267082162 384295096 /nfs/dbraw/zinc/29/50/96/384295096.db2.gz SHXNSCFDXJFBLQ-CYBMUJFWSA-N 0 0 276.292 2.950 20 5 CFBDRN CCOC[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000267097460 384298957 /nfs/dbraw/zinc/29/89/57/384298957.db2.gz OCCCCJBQKAICSO-VIFPVBQESA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1ccc(N(C)CCn2ccc([N+](=O)[O-])n2)cc1C ZINC000267111771 384303224 /nfs/dbraw/zinc/30/32/24/384303224.db2.gz XRUNSLJKBAYANE-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])cc2OC)CCC1 ZINC000277569754 384342023 /nfs/dbraw/zinc/34/20/23/384342023.db2.gz KXVJIDFEQOXDOM-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCOC[C@@H]1C ZINC000277777444 384401760 /nfs/dbraw/zinc/40/17/60/384401760.db2.gz WMNCTGFFZCAUFN-QWRGUYRKSA-N 0 0 250.298 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3ccncc3)n2)cc1 ZINC000013921217 285105544 /nfs/dbraw/zinc/10/55/44/285105544.db2.gz XHKIADXWSAVPKC-UHFFFAOYSA-N 0 0 268.232 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C[C@H]3CCCCO3)n2)s1 ZINC000277845153 384421770 /nfs/dbraw/zinc/42/17/70/384421770.db2.gz AHCYIYMWEJXJJK-MRVPVSSYSA-N 0 0 295.320 2.818 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCOC[C@H]1C ZINC000277691289 384377545 /nfs/dbraw/zinc/37/75/45/384377545.db2.gz HDIPDZDRVIPMRU-GFCCVEGCSA-N 0 0 294.351 2.603 20 5 CFBDRN COC(=O)c1cc(NC(=O)C2(C)CCC2)cc([N+](=O)[O-])c1 ZINC000267395679 384379326 /nfs/dbraw/zinc/37/93/26/384379326.db2.gz NKIMXJBHGVPQIN-UHFFFAOYSA-N 0 0 292.291 2.510 20 5 CFBDRN C[C@@H](NC(=O)C1(C)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000267401192 384381118 /nfs/dbraw/zinc/38/11/18/384381118.db2.gz XMKILINBTFOQDB-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](C)[C@@H]1C ZINC000267722201 384451597 /nfs/dbraw/zinc/45/15/97/384451597.db2.gz UYNDZPAELVJNEV-QWRGUYRKSA-N 0 0 264.325 2.976 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@@H]1C ZINC000277993346 384456308 /nfs/dbraw/zinc/45/63/08/384456308.db2.gz NJDPXABDPFEOBK-GXSJLCMTSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCc1cnn(C)c1 ZINC000277862506 384428009 /nfs/dbraw/zinc/42/80/09/384428009.db2.gz UKDVSRGKXNDAQR-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc(C(C)(C)F)n2)c1 ZINC000277917804 384438725 /nfs/dbraw/zinc/43/87/25/384438725.db2.gz MZDYKVOWHCLSOH-UHFFFAOYSA-N 0 0 295.270 2.782 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H](C)c1ncn(C)n1 ZINC000277925344 384441025 /nfs/dbraw/zinc/44/10/25/384441025.db2.gz ZXENDZMFTDYODJ-QMMMGPOBSA-N 0 0 295.730 2.858 20 5 CFBDRN CCOc1cc(OCC(=O)C2CCC2)ccc1[N+](=O)[O-] ZINC000278054517 384466844 /nfs/dbraw/zinc/46/68/44/384466844.db2.gz UERPOSTUDIBBGT-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])C1CCC1 ZINC000278056312 384467580 /nfs/dbraw/zinc/46/75/80/384467580.db2.gz UIXQHVMXQCRTHP-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN C[C@H](NC(=O)NC1CC(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000267863738 384480836 /nfs/dbraw/zinc/48/08/36/384480836.db2.gz PLKCWPHBFJEKLT-YZRBJQDESA-N 0 0 277.324 2.754 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000278146139 384482825 /nfs/dbraw/zinc/48/28/25/384482825.db2.gz OAKLWSBHZJETOP-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])cc1 ZINC000268113778 384535211 /nfs/dbraw/zinc/53/52/11/384535211.db2.gz FSTRRLPIXQCLPL-WCQYABFASA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@H]1CN(C(=O)CCc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000268037687 384516206 /nfs/dbraw/zinc/51/62/06/384516206.db2.gz JBRABPMPMNBDBM-TXEJJXNPSA-N 0 0 276.336 2.642 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CCCO1 ZINC000278283533 384516442 /nfs/dbraw/zinc/51/64/42/384516442.db2.gz WIRUURQTCPCTPF-NSHDSACASA-N 0 0 281.293 2.732 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1scnc1C ZINC000289379590 197320235 /nfs/dbraw/zinc/32/02/35/197320235.db2.gz PJQICLVRCVKYAC-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC([C@@H]3CCOC3)C2)c2ncccc12 ZINC000366486765 285120151 /nfs/dbraw/zinc/12/01/51/285120151.db2.gz BPDPWIOOUDILCL-LLVKDONJSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(F)C2)nc1-c1ccccc1 ZINC000343474862 285120231 /nfs/dbraw/zinc/12/02/31/285120231.db2.gz MDKNVEGQCLCDLZ-UHFFFAOYSA-N 0 0 273.267 2.815 20 5 CFBDRN Cc1nc([C@@H](C)N(C)CCc2ccccc2[N+](=O)[O-])no1 ZINC000289373024 197317995 /nfs/dbraw/zinc/31/79/95/197317995.db2.gz KJFCIAFFOCNJFV-SNVBAGLBSA-N 0 0 290.323 2.522 20 5 CFBDRN CC[C@@H](C)NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268082459 384526701 /nfs/dbraw/zinc/52/67/01/384526701.db2.gz PRTOFDKRMSCWJE-MRVPVSSYSA-N 0 0 285.731 2.846 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])o2)CCCCC1 ZINC000268111964 384533660 /nfs/dbraw/zinc/53/36/60/384533660.db2.gz ITYFEUOEAIEXER-UHFFFAOYSA-N 0 0 266.297 2.888 20 5 CFBDRN O=C(NCC1CCSCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000268142432 384543556 /nfs/dbraw/zinc/54/35/56/384543556.db2.gz RIQMKBDVJYRKIS-UHFFFAOYSA-N 0 0 298.339 2.607 20 5 CFBDRN C[C@H]1C[C@@H](CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCO1 ZINC000278384499 384552070 /nfs/dbraw/zinc/55/20/70/384552070.db2.gz FLWHTYBASDMQEF-UWVGGRQHSA-N 0 0 290.323 2.698 20 5 CFBDRN CN(CCc1ccccn1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278399574 384558368 /nfs/dbraw/zinc/55/83/68/384558368.db2.gz MUCPKJFWTZVKQB-UHFFFAOYSA-N 0 0 298.346 2.637 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@@H]3CCOC3)CC2)cc1 ZINC000374645366 285121170 /nfs/dbraw/zinc/12/11/70/285121170.db2.gz NTGGIGUYEUTSIF-CYBMUJFWSA-N 0 0 276.336 2.848 20 5 CFBDRN O=C(/C=C/C1CC1)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268282114 384589857 /nfs/dbraw/zinc/58/98/57/384589857.db2.gz WLWXGVCTFZPEMZ-ZZXKWVIFSA-N 0 0 280.711 2.831 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOc3cc(O)ccc3C2)cc1 ZINC000367734797 285128401 /nfs/dbraw/zinc/12/84/01/285128401.db2.gz SMJWHNMJOZNTOG-UHFFFAOYSA-N 0 0 286.287 2.699 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)C[C@@H](C2CC2)O1 ZINC000279382839 384620335 /nfs/dbraw/zinc/62/03/35/384620335.db2.gz SXBVOTRRNDVWMF-BONVTDFDSA-N 0 0 294.326 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](C3CCOCC3)C2)cc1 ZINC000378280828 285129492 /nfs/dbraw/zinc/12/94/92/285129492.db2.gz GGOZPWNEGCNXJG-ZDUSSCGKSA-N 0 0 276.336 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](c3ccccc3)C2)cn1 ZINC000344429607 285129015 /nfs/dbraw/zinc/12/90/15/285129015.db2.gz ISOKWGHDXRKDPI-CYBMUJFWSA-N 0 0 269.304 2.984 20 5 CFBDRN COCCSCCCSc1ncccc1[N+](=O)[O-] ZINC000278700353 384592511 /nfs/dbraw/zinc/59/25/11/384592511.db2.gz JQYYMNVZGBFDGP-UHFFFAOYSA-N 0 0 288.394 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3cc(F)c(F)cc32)cn1 ZINC000344423518 285131988 /nfs/dbraw/zinc/13/19/88/285131988.db2.gz SCTSSCNDLKTXNG-UHFFFAOYSA-N 0 0 277.230 2.962 20 5 CFBDRN CC(C)(C)[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)CCO1 ZINC000279688954 384725052 /nfs/dbraw/zinc/72/50/52/384725052.db2.gz FYZYSNPUHCFFTG-NSHDSACASA-N 0 0 284.381 2.903 20 5 CFBDRN C[C@@H]1C(=O)CC[C@H]1CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000279667593 384715749 /nfs/dbraw/zinc/71/57/49/384715749.db2.gz OKJQBPXWMHRYQV-UWVGGRQHSA-N 0 0 276.292 2.539 20 5 CFBDRN CCC1(O)CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000269043325 384716976 /nfs/dbraw/zinc/71/69/76/384716976.db2.gz KPWJNECQUPNUSY-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN COCCSc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000570712429 322547392 /nfs/dbraw/zinc/54/73/92/322547392.db2.gz FOOJXHUJARXNGL-UHFFFAOYSA-N 0 0 268.338 2.607 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1CCC(=O)[C@H]1C ZINC000279721391 384735531 /nfs/dbraw/zinc/73/55/31/384735531.db2.gz UVWKNDJGGDTWBR-WDEREUQCSA-N 0 0 290.319 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COc3cc(F)cc(F)c32)nc1 ZINC000374589851 285136842 /nfs/dbraw/zinc/13/68/42/285136842.db2.gz BADXKRLUKYBHJL-JTQLQIEISA-N 0 0 293.229 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cn[nH]c2)c2ccncc21 ZINC000301097537 285136852 /nfs/dbraw/zinc/13/68/52/285136852.db2.gz OPRPLRRCXIYZRH-UHFFFAOYSA-N 0 0 255.237 2.610 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(c2ncc(F)cn2)C2CC2)c1 ZINC000269505668 384770851 /nfs/dbraw/zinc/77/08/51/384770851.db2.gz RIRQGMAVSWKTMA-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CCC2CC2)c1 ZINC000269510134 384772790 /nfs/dbraw/zinc/77/27/90/384772790.db2.gz HJGCGTDIHHSERG-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1noc(C)c1NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000269646133 384819356 /nfs/dbraw/zinc/81/93/56/384819356.db2.gz SMYWJEFTUSFDPQ-UHFFFAOYSA-N 0 0 289.291 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H]2CCCN(c3ncccn3)C2)cc1 ZINC000450765447 285139521 /nfs/dbraw/zinc/13/95/21/285139521.db2.gz RXWXMCWLGGUFCX-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000269805927 384870691 /nfs/dbraw/zinc/87/06/91/384870691.db2.gz LNJVWMJJQWGFAP-UHFFFAOYSA-N 0 0 299.330 2.996 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCC1(C)CC1 ZINC000280073689 384872378 /nfs/dbraw/zinc/87/23/78/384872378.db2.gz QPHMXLGRGJSUHE-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@H](C)OC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000279996150 384844375 /nfs/dbraw/zinc/84/43/75/384844375.db2.gz HHYFVGGUHHGPTN-QWRGUYRKSA-N 0 0 292.335 2.801 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000280008872 384846899 /nfs/dbraw/zinc/84/68/99/384846899.db2.gz MYGDCIMDPQGLHW-HNNXBMFYSA-N 0 0 292.335 2.626 20 5 CFBDRN COc1cc(OCCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000280031347 384856908 /nfs/dbraw/zinc/85/69/08/384856908.db2.gz VXQFIDLULZRJNI-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN CC(C)OC[C@@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000269904618 384899622 /nfs/dbraw/zinc/89/96/22/384899622.db2.gz HLXTWQHKJCMTTE-CYBMUJFWSA-N 0 0 285.365 2.614 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)O1 ZINC000269922048 384904968 /nfs/dbraw/zinc/90/49/68/384904968.db2.gz GCFYJDWOWMHIAX-QWHCGFSZSA-N 0 0 278.308 2.515 20 5 CFBDRN Cc1ccnc(NCCc2ccncc2C)c1[N+](=O)[O-] ZINC000270006997 384939475 /nfs/dbraw/zinc/93/94/75/384939475.db2.gz STPIMDLLEZRPJD-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CC(C)CC[C@H](O)C[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000280236869 384940219 /nfs/dbraw/zinc/94/02/19/384940219.db2.gz HUHCUMXKYFRWEU-AWEZNQCLSA-N 0 0 280.368 2.824 20 5 CFBDRN CO[C@@]1(C)CCC[N@H+](Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000280307180 384967868 /nfs/dbraw/zinc/96/78/68/384967868.db2.gz PIBFEIFOCWJPMZ-HNNXBMFYSA-N 0 0 278.352 2.986 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC=C(C)CC1 ZINC000270079596 384969322 /nfs/dbraw/zinc/96/93/22/384969322.db2.gz FLXIEMWTECAANA-UHFFFAOYSA-N 0 0 291.307 2.787 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC=C(C)CC1 ZINC000270162542 384998163 /nfs/dbraw/zinc/99/81/63/384998163.db2.gz JQRCTAUIPYKAMA-UHFFFAOYSA-N 0 0 262.309 2.898 20 5 CFBDRN COc1cc(N2CCC[C@](C)(OC)C2)c(F)cc1[N+](=O)[O-] ZINC000280561605 385060969 /nfs/dbraw/zinc/06/09/69/385060969.db2.gz UTNHCGBGYPWAOL-AWEZNQCLSA-N 0 0 298.314 2.748 20 5 CFBDRN COc1cc(N2CCC[C@@](C)(OC)C2)c(F)cc1[N+](=O)[O-] ZINC000280561607 385061391 /nfs/dbraw/zinc/06/13/91/385061391.db2.gz UTNHCGBGYPWAOL-CQSZACIVSA-N 0 0 298.314 2.748 20 5 CFBDRN Cc1c(C(=O)Nc2ccn(C(C)C)n2)cccc1[N+](=O)[O-] ZINC000280569465 385065745 /nfs/dbraw/zinc/06/57/45/385065745.db2.gz VYPAPKMWOXPIBV-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccn(C(C)C)n1 ZINC000280577155 385066955 /nfs/dbraw/zinc/06/69/55/385066955.db2.gz WMTFQBVCRKZDFM-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CCC[C@H]1CN(c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000270278661 385039358 /nfs/dbraw/zinc/03/93/58/385039358.db2.gz RWZHRBBIFIYBNX-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN CC[C@@](C)(OC)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000280517140 385044256 /nfs/dbraw/zinc/04/42/56/385044256.db2.gz NYLQLUBXOSHRKM-GFCCVEGCSA-N 0 0 288.250 2.627 20 5 CFBDRN CC1=CCCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000280686367 385114390 /nfs/dbraw/zinc/11/43/90/385114390.db2.gz ARPKRYDAOIVOTH-UHFFFAOYSA-N 0 0 273.292 2.888 20 5 CFBDRN CCC(=O)c1ccc(N(CC)CC(C)(C)O)c([N+](=O)[O-])c1 ZINC000280699917 385119024 /nfs/dbraw/zinc/11/90/24/385119024.db2.gz KCSNMSMKUQMBAD-UHFFFAOYSA-N 0 0 294.351 2.785 20 5 CFBDRN CSc1ccc(CCNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000280724502 385128993 /nfs/dbraw/zinc/12/89/93/385128993.db2.gz JIDAIYJQICNPIH-UHFFFAOYSA-N 0 0 292.364 2.705 20 5 CFBDRN Cc1ccc(CN2C[C@@H](C)O[C@H](C3CC3)C2)cc1[N+](=O)[O-] ZINC000280628179 385091339 /nfs/dbraw/zinc/09/13/39/385091339.db2.gz QERMHLBEDKZTPV-WBMJQRKESA-N 0 0 290.363 2.903 20 5 CFBDRN CC1CCN(C(=O)NCCc2cccc([N+](=O)[O-])c2)CC1 ZINC000270557977 385147073 /nfs/dbraw/zinc/14/70/73/385147073.db2.gz SHYAWYKAMJJCRP-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCC1 ZINC000282090703 385210164 /nfs/dbraw/zinc/21/01/64/385210164.db2.gz YJZOFHOVAHPMQT-UHFFFAOYSA-N 0 0 279.296 2.621 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])o1 ZINC000289113947 197237868 /nfs/dbraw/zinc/23/78/68/197237868.db2.gz SWLOTZVINCYNES-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN CO[C@@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281706740 385202691 /nfs/dbraw/zinc/20/26/91/385202691.db2.gz UFCVEYUDGBODAK-NWDGAFQWSA-N 0 0 264.325 2.907 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC3CCC(O)CC3)ccnc21 ZINC000097678352 285157558 /nfs/dbraw/zinc/15/75/58/285157558.db2.gz DWWQYTJKRACYLO-UHFFFAOYSA-N 0 0 287.319 2.858 20 5 CFBDRN Cc1cc(F)c(NC(=O)C2CCC2)cc1[N+](=O)[O-] ZINC000282415162 385305008 /nfs/dbraw/zinc/30/50/08/385305008.db2.gz LIHJSKUPYRPRQT-UHFFFAOYSA-N 0 0 252.245 2.781 20 5 CFBDRN CC(C)=CC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000282484315 385323963 /nfs/dbraw/zinc/32/39/63/385323963.db2.gz XWJWQTANAOYYAB-UHFFFAOYSA-N 0 0 252.245 2.947 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)C[C@@H](C2CC2)O1 ZINC000282470248 385321297 /nfs/dbraw/zinc/32/12/97/385321297.db2.gz KQLPTNMIYVRLEG-BONVTDFDSA-N 0 0 294.326 2.733 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2COc3ccccc32)n1 ZINC000289069831 197227080 /nfs/dbraw/zinc/22/70/80/197227080.db2.gz NHTVGOKUYNXZQN-LLVKDONJSA-N 0 0 285.303 2.886 20 5 CFBDRN CCOCC(C)(C)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000289091718 197232585 /nfs/dbraw/zinc/23/25/85/197232585.db2.gz OVZVMBMANKWRNL-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1cccc(F)c1F ZINC000271008855 385300280 /nfs/dbraw/zinc/30/02/80/385300280.db2.gz XMBXKKIHCNMXCQ-SSDOTTSWSA-N 0 0 282.250 2.780 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCO[C@@H]2CCCCO2)c1 ZINC000282548036 385340036 /nfs/dbraw/zinc/34/00/36/385340036.db2.gz YKPIMKFPFAUFOW-CYBMUJFWSA-N 0 0 285.271 2.656 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000282682604 385369298 /nfs/dbraw/zinc/36/92/98/385369298.db2.gz ORYGHYZPMPDZHL-ZJUUUORDSA-N 0 0 299.710 2.973 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1cc(C)on1 ZINC000282693953 385372295 /nfs/dbraw/zinc/37/22/95/385372295.db2.gz KMWNHLHINFVZKL-SNVBAGLBSA-N 0 0 291.307 2.751 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@@H](C)C[C@@H]1C ZINC000282735171 385379028 /nfs/dbraw/zinc/37/90/28/385379028.db2.gz ZZSIUQPWVBNUKO-OUJBWJOFSA-N 0 0 278.308 2.655 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCC2CCC2)c1 ZINC000282747012 385381042 /nfs/dbraw/zinc/38/10/42/385381042.db2.gz ZVTYIIAXNSVBCH-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN COCCCCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271778943 385391686 /nfs/dbraw/zinc/39/16/86/385391686.db2.gz HDLCCYORWCGTBA-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN CCSCCCNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000282814828 385393605 /nfs/dbraw/zinc/39/36/05/385393605.db2.gz ZLJMYTLGKFSHEQ-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H]2C)c1 ZINC000282847673 385398730 /nfs/dbraw/zinc/39/87/30/385398730.db2.gz NKKBKFHXAUBGNI-MFKMUULPSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1ocnc1C1CC1 ZINC000289001675 197210946 /nfs/dbraw/zinc/21/09/46/197210946.db2.gz WOCQMFOLKBHRCP-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCC(C)(C)C)c1 ZINC000282933580 385412066 /nfs/dbraw/zinc/41/20/66/385412066.db2.gz XOEOSMZTHZRJEF-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN Cn1cccc1C[N@H+](C)CCc1cccc([N+](=O)[O-])c1 ZINC000282973696 385418579 /nfs/dbraw/zinc/41/85/79/385418579.db2.gz ITSRBHAZBFCVES-UHFFFAOYSA-N 0 0 273.336 2.608 20 5 CFBDRN O=C(NC[C@H]1CCCSC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000288992212 197208328 /nfs/dbraw/zinc/20/83/28/197208328.db2.gz NIUVCWOPLJDKHV-SECBINFHSA-N 0 0 298.339 2.607 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])N1CCCC1 ZINC000272106184 385449369 /nfs/dbraw/zinc/44/93/69/385449369.db2.gz IAUWVSYLTRVZMB-UHFFFAOYSA-N 0 0 297.742 2.596 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCc2ccccc2C1 ZINC000051449027 285166998 /nfs/dbraw/zinc/16/69/98/285166998.db2.gz ARPVIZFZEZZKHH-ZDUSSCGKSA-N 0 0 269.304 2.959 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)c2nc(C3CC3)no2)cc1 ZINC000272113580 385451548 /nfs/dbraw/zinc/45/15/48/385451548.db2.gz PJKXMNJWPPUVFZ-UHFFFAOYSA-N 0 0 281.218 2.995 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2CC)c1 ZINC000283323618 385456577 /nfs/dbraw/zinc/45/65/77/385456577.db2.gz XJUNRCTUMDEQHQ-BXKDBHETSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@](O)(CNc1ccc(Cl)cc1[N+](=O)[O-])C(F)(F)F ZINC000272050796 385435232 /nfs/dbraw/zinc/43/52/32/385435232.db2.gz XFWICSYWYSCLIW-VIFPVBQESA-N 0 0 298.648 2.973 20 5 CFBDRN Cc1cnc(N[C@H](C)[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000272058141 385437660 /nfs/dbraw/zinc/43/76/60/385437660.db2.gz ZFMULJHRFADHRJ-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCSC(C)(C)C ZINC000283478522 385468642 /nfs/dbraw/zinc/46/86/42/385468642.db2.gz SZGMIOKSFBQQHJ-UHFFFAOYSA-N 0 0 270.354 2.597 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H]1CCCCO1 ZINC000272228902 385480907 /nfs/dbraw/zinc/48/09/07/385480907.db2.gz XAOODNQGCXABLM-RISCZKNCSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1ncnn1C(C)(C)C ZINC000283682848 385488656 /nfs/dbraw/zinc/48/86/56/385488656.db2.gz ZJPJLABUJBJVPG-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(CC(F)(F)F)CC2)nc1 ZINC000343136183 285172293 /nfs/dbraw/zinc/17/22/93/285172293.db2.gz ARTFIVBAKANHPX-UHFFFAOYSA-N 0 0 290.245 2.554 20 5 CFBDRN Cc1cccnc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283697940 385490787 /nfs/dbraw/zinc/49/07/87/385490787.db2.gz VCLVFCWLGLMENV-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN O=C(NCC1CC(c2ccccc2)C1)c1ccc([N+](=O)[O-])[nH]1 ZINC000283723520 385494157 /nfs/dbraw/zinc/49/41/57/385494157.db2.gz VYNONIREGRNMRZ-UHFFFAOYSA-N 0 0 299.330 2.847 20 5 CFBDRN Cc1ccc(N(C)Cc2ncc(Cl)n2C)c([N+](=O)[O-])c1 ZINC000272282608 385497615 /nfs/dbraw/zinc/49/76/15/385497615.db2.gz OQDQMVQSUHFDDW-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN Cc1c(OCCOCC(F)F)cccc1[N+](=O)[O-] ZINC000283780767 385499163 /nfs/dbraw/zinc/49/91/63/385499163.db2.gz DFNBSPJLXBSQTO-UHFFFAOYSA-N 0 0 261.224 2.564 20 5 CFBDRN CC1=C(C(=O)Nc2cccc([N+](=O)[O-])c2)SCCO1 ZINC000281190372 195141669 /nfs/dbraw/zinc/14/16/69/195141669.db2.gz ORAFVMGOHNNHGR-UHFFFAOYSA-N 0 0 280.305 2.528 20 5 CFBDRN Cc1c(OC(=O)OCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000283805191 385501486 /nfs/dbraw/zinc/50/14/86/385501486.db2.gz HPWCQZNBIGOZFP-UHFFFAOYSA-N 0 0 279.170 2.981 20 5 CFBDRN COC[C@H](NCc1ccc([N+](=O)[O-])cc1F)c1ccco1 ZINC000272303528 385504474 /nfs/dbraw/zinc/50/44/74/385504474.db2.gz NVKLEEFVORCWMU-ZDUSSCGKSA-N 0 0 294.282 2.804 20 5 CFBDRN CC(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272302212 385504692 /nfs/dbraw/zinc/50/46/92/385504692.db2.gz NUVOCFSRBQZUMM-UHFFFAOYSA-N 0 0 270.716 2.563 20 5 CFBDRN Cc1cc(F)c(NC(=O)NCC(C)C)cc1[N+](=O)[O-] ZINC000283881917 385510002 /nfs/dbraw/zinc/51/00/02/385510002.db2.gz ISWKPINWSXVBMC-UHFFFAOYSA-N 0 0 269.276 2.820 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ccsc3C2)s1 ZINC000281990398 285178900 /nfs/dbraw/zinc/17/89/00/285178900.db2.gz SULANLZQSONXEM-UHFFFAOYSA-N 0 0 267.335 2.676 20 5 CFBDRN C[C@@H](CCc1cccn1C)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000283973967 385518690 /nfs/dbraw/zinc/51/86/90/385518690.db2.gz ZKICZBUERJOOPB-NSHDSACASA-N 0 0 288.351 2.949 20 5 CFBDRN CCCN(CC(C)C)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000272515002 385556517 /nfs/dbraw/zinc/55/65/17/385556517.db2.gz VAVRYRDXNJFNTE-UHFFFAOYSA-N 0 0 279.340 2.685 20 5 CFBDRN Cc1nc(NC[C@H]2COc3ccccc32)ccc1[N+](=O)[O-] ZINC000288807293 197169889 /nfs/dbraw/zinc/16/98/89/197169889.db2.gz GJKKROAYKWQUBL-NSHDSACASA-N 0 0 285.303 2.886 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2SC[C@@H]2CCCO2)s1 ZINC000286148380 385564826 /nfs/dbraw/zinc/56/48/26/385564826.db2.gz ALMAKDKZLVDLGX-ZETCQYMHSA-N 0 0 297.361 2.871 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc(Cl)cc2)nc1 ZINC000301979227 285183939 /nfs/dbraw/zinc/18/39/39/285183939.db2.gz AGXKRIANWDZPNN-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2c(F)cccc2[N+](=O)[O-])n[nH]1 ZINC000286187645 385568814 /nfs/dbraw/zinc/56/88/14/385568814.db2.gz LKBZPPDAVKWNFD-QMMMGPOBSA-N 0 0 278.287 2.809 20 5 CFBDRN COc1cc(OCC2CCC(=O)CC2)ccc1[N+](=O)[O-] ZINC000286352758 385595912 /nfs/dbraw/zinc/59/59/12/385595912.db2.gz KXMIHDHCSLMIAS-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC1CCOCC1 ZINC000130359595 285187179 /nfs/dbraw/zinc/18/71/79/285187179.db2.gz DLZMNMFPXNQFSM-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc([N+](=O)[O-])cc2Cl)[nH]1 ZINC000286388525 385606422 /nfs/dbraw/zinc/60/64/22/385606422.db2.gz MKYQJHBVASLDDN-LURJTMIESA-N 0 0 281.703 2.848 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC(CCCO)CC1 ZINC000272731694 385598027 /nfs/dbraw/zinc/59/80/27/385598027.db2.gz AIMHLOMEKVLMSO-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN COC1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCC1 ZINC000272737576 385599608 /nfs/dbraw/zinc/59/96/08/385599608.db2.gz MHRXGHANTQYIKA-UHFFFAOYSA-N 0 0 275.264 2.670 20 5 CFBDRN O=[N+]([O-])c1cnc2c(c1)CN(Cc1ccc(F)cc1)CC2 ZINC000352997822 285184728 /nfs/dbraw/zinc/18/47/28/285184728.db2.gz OQDNGBCMDLPZIM-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN Cc1cc(COC(=O)C(C)(C)F)ccc1[N+](=O)[O-] ZINC000272651406 385582978 /nfs/dbraw/zinc/58/29/78/385582978.db2.gz FELIZAUQOIBKKO-UHFFFAOYSA-N 0 0 255.245 2.695 20 5 CFBDRN Cc1ccc(NC(=O)[C@]2(C)CCCOC2)cc1[N+](=O)[O-] ZINC000272676601 385587399 /nfs/dbraw/zinc/58/73/99/385587399.db2.gz XLUVVWJJEDUSGE-CQSZACIVSA-N 0 0 278.308 2.658 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC(CF)C1 ZINC000413511677 285186186 /nfs/dbraw/zinc/18/61/86/285186186.db2.gz WWTDFMQPLSFMAZ-UHFFFAOYSA-N 0 0 261.256 2.549 20 5 CFBDRN COc1ccc(NC(=O)C2CCSCC2)cc1[N+](=O)[O-] ZINC000272793183 385617962 /nfs/dbraw/zinc/61/79/62/385617962.db2.gz JRHXIWNAGYTYFJ-UHFFFAOYSA-N 0 0 296.348 2.685 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272823449 385624741 /nfs/dbraw/zinc/62/47/41/385624741.db2.gz PPOHUMVJZRWLOW-NSHDSACASA-N 0 0 284.337 2.684 20 5 CFBDRN COc1cc(N2CCC[C@@H]2[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000286456836 385625365 /nfs/dbraw/zinc/62/53/65/385625365.db2.gz NKYVVBMORPOQHJ-OCCSQVGLSA-N 0 0 292.335 2.751 20 5 CFBDRN COc1ccc(NC(=O)C2CCCC2)cc1[N+](=O)[O-] ZINC000272846350 385631642 /nfs/dbraw/zinc/63/16/42/385631642.db2.gz UUNCRKHXOBVROD-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000272849892 385632478 /nfs/dbraw/zinc/63/24/78/385632478.db2.gz QDWCQUKEUZCALJ-SNVBAGLBSA-N 0 0 291.307 2.834 20 5 CFBDRN COC[C@H]1CC[N@H+](Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000272852485 385633026 /nfs/dbraw/zinc/63/30/26/385633026.db2.gz VSSAFIHERGPBQL-JTQLQIEISA-N 0 0 284.743 2.717 20 5 CFBDRN COc1ccc(NC(=O)N2CC[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000272854445 385634496 /nfs/dbraw/zinc/63/44/96/385634496.db2.gz QUPDCAQRWPKQDV-UWVGGRQHSA-N 0 0 293.323 2.866 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000286391586 385606985 /nfs/dbraw/zinc/60/69/85/385606985.db2.gz PAEIWXCAOIMVCU-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN Cc1ccc(N2CCC(CCCO)CC2)c([N+](=O)[O-])c1 ZINC000272873777 385637781 /nfs/dbraw/zinc/63/77/81/385637781.db2.gz DRPLYPRUDISWBD-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN COCCCCNc1cc(C)c([N+](=O)[O-])cc1C(=O)OC ZINC000286511241 385639099 /nfs/dbraw/zinc/63/90/99/385639099.db2.gz WRGBIDDAWMYSEM-UHFFFAOYSA-N 0 0 296.323 2.528 20 5 CFBDRN COc1ccc(NC(=O)NCCC(C)C)cc1[N+](=O)[O-] ZINC000272882903 385640561 /nfs/dbraw/zinc/64/05/61/385640561.db2.gz XBCLUWFYNARUKT-UHFFFAOYSA-N 0 0 281.312 2.771 20 5 CFBDRN CCOC1CC(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000286549102 385646457 /nfs/dbraw/zinc/64/64/57/385646457.db2.gz ALKDRSKQRVUEDD-UNXYVOJBSA-N 0 0 292.335 2.587 20 5 CFBDRN CCc1[nH]ccc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000286563929 385650272 /nfs/dbraw/zinc/65/02/72/385650272.db2.gz UBEFXZZYSMZTBE-UHFFFAOYSA-N 0 0 285.303 2.688 20 5 CFBDRN CC(C)[C@@H](Nc1ccccc1[N+](=O)[O-])c1nncn1C ZINC000286563409 385650667 /nfs/dbraw/zinc/65/06/67/385650667.db2.gz KWSYRJXGCILXHF-GFCCVEGCSA-N 0 0 275.312 2.533 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCOC1CCC1 ZINC000286562726 385651001 /nfs/dbraw/zinc/65/10/01/385651001.db2.gz LVAHXBCABVVLRY-UHFFFAOYSA-N 0 0 267.281 2.551 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000286647329 385675219 /nfs/dbraw/zinc/67/52/19/385675219.db2.gz XPGIFCMWOUXIHU-NSHDSACASA-N 0 0 278.308 2.778 20 5 CFBDRN Cc1nc(C)c(CNc2ccc(N)cc2[N+](=O)[O-])s1 ZINC000286654554 385678534 /nfs/dbraw/zinc/67/85/34/385678534.db2.gz CNNCJXVTEKVNTP-UHFFFAOYSA-N 0 0 278.337 2.862 20 5 CFBDRN CCOC1CC(N(C)c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000288648458 197136320 /nfs/dbraw/zinc/13/63/20/197136320.db2.gz XYXQQVYXSSJKIW-UHFFFAOYSA-N 0 0 285.731 2.647 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cn2)[C@H]1c1ccccc1 ZINC000273607537 385691634 /nfs/dbraw/zinc/69/16/34/385691634.db2.gz KYKNLFKTPPWKTK-ZWNOBZJWSA-N 0 0 270.292 2.582 20 5 CFBDRN CC(C)c1ccc(N(C)C(=O)c2cc([N+](=O)[O-])n[nH]2)cc1 ZINC000286831066 385718044 /nfs/dbraw/zinc/71/80/44/385718044.db2.gz USIGNBYLDSCKDA-UHFFFAOYSA-N 0 0 288.307 2.718 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCC[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000286743630 385701150 /nfs/dbraw/zinc/70/11/50/385701150.db2.gz RAUODRXSYWQTKY-SMDDNHRTSA-N 0 0 292.335 2.760 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1(C)C ZINC000274191888 385736835 /nfs/dbraw/zinc/73/68/35/385736835.db2.gz KRYVUNUWUHIMMD-SNVBAGLBSA-N 0 0 291.351 2.685 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000286937345 385744719 /nfs/dbraw/zinc/74/47/19/385744719.db2.gz DZDQLMUZUKLCSK-NSHDSACASA-N 0 0 284.262 2.791 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC2=CCCOC2)c(F)c1 ZINC000288631902 197132281 /nfs/dbraw/zinc/13/22/81/197132281.db2.gz WQGNLEKRVYMNKT-UHFFFAOYSA-N 0 0 270.235 2.632 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1cn2c(n1)SCC2 ZINC000274261058 385751282 /nfs/dbraw/zinc/75/12/82/385751282.db2.gz LLRAVKQODVSACT-UHFFFAOYSA-N 0 0 290.348 2.552 20 5 CFBDRN CCOC1CC(N(C)c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000288623158 197128825 /nfs/dbraw/zinc/12/88/25/197128825.db2.gz VXRLKQQFUKIWLY-UHFFFAOYSA-N 0 0 292.335 2.801 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000287088636 385777617 /nfs/dbraw/zinc/77/76/17/385777617.db2.gz YNLNNAABOYFRDJ-PCAWENJQSA-N 0 0 278.308 2.536 20 5 CFBDRN COC1(c2noc(-c3ccccc3[N+](=O)[O-])n2)CCC1 ZINC000274113535 385721236 /nfs/dbraw/zinc/72/12/36/385721236.db2.gz UJLCEBZBQPCBHS-UHFFFAOYSA-N 0 0 275.264 2.670 20 5 CFBDRN CC(C)OCCCNc1c(F)cccc1[N+](=O)[O-] ZINC000286865205 385726754 /nfs/dbraw/zinc/72/67/54/385726754.db2.gz HHUVXGQIQRTPDC-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000274507205 385814845 /nfs/dbraw/zinc/81/48/45/385814845.db2.gz TWECIFBPOYAJDH-PGLGOXFNSA-N 0 0 250.298 2.642 20 5 CFBDRN O=C(N[C@H](C1CC1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000288567305 197113654 /nfs/dbraw/zinc/11/36/54/197113654.db2.gz KZLBTYQQNAEKJQ-SNVBAGLBSA-N 0 0 288.225 2.666 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCC[C@@H]1CCO ZINC000275007182 385911808 /nfs/dbraw/zinc/91/18/08/385911808.db2.gz IIIMQFCKVZDIIO-PSASIEDQSA-N 0 0 285.731 2.606 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000275018065 385913691 /nfs/dbraw/zinc/91/36/91/385913691.db2.gz LEBBHXVLUWLECQ-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN COc1cccc(NC[C@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000274753601 385864110 /nfs/dbraw/zinc/86/41/10/385864110.db2.gz QAPJDXMDABTYPJ-MNOVXSKESA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cccc(NC[C@@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000274754730 385864239 /nfs/dbraw/zinc/86/42/39/385864239.db2.gz QAPJDXMDABTYPJ-WDEREUQCSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cccc(NCC2([C@@H](O)C(C)C)CC2)c1[N+](=O)[O-] ZINC000274756404 385865278 /nfs/dbraw/zinc/86/52/78/385865278.db2.gz QPHSGLVWSVLKDN-AWEZNQCLSA-N 0 0 294.351 2.812 20 5 CFBDRN CO[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])CC[C@H]1C ZINC000275991180 385981857 /nfs/dbraw/zinc/98/18/57/385981857.db2.gz JUYMYCQUBLBPJD-BXKDBHETSA-N 0 0 268.288 2.595 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCCO1 ZINC000275996389 385982207 /nfs/dbraw/zinc/98/22/07/385982207.db2.gz NKCGJMFUPJOODH-UHFFFAOYSA-N 0 0 276.248 2.509 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@@H](C)C(F)(F)F ZINC000288543991 197108331 /nfs/dbraw/zinc/10/83/31/197108331.db2.gz WZSGEUGTFFJHKK-QMMMGPOBSA-N 0 0 262.231 2.944 20 5 CFBDRN CCCCS(=O)(=O)Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288532598 197104845 /nfs/dbraw/zinc/10/48/45/197104845.db2.gz ZXFHDEXJMTZFGW-UHFFFAOYSA-N 0 0 293.728 2.757 20 5 CFBDRN CC(C)=CCCNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000276633100 385998304 /nfs/dbraw/zinc/99/83/04/385998304.db2.gz OSRILWSUQSRZNG-UHFFFAOYSA-N 0 0 292.335 2.836 20 5 CFBDRN COC[C@H](C)N(C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000276725001 386024998 /nfs/dbraw/zinc/02/49/98/386024998.db2.gz ZSYFAIAMANPETL-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCSC2)c1 ZINC000288495056 197094085 /nfs/dbraw/zinc/09/40/85/197094085.db2.gz MBHWSSQZSBEISH-VIFPVBQESA-N 0 0 267.354 2.732 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCCSC1 ZINC000288464673 197084950 /nfs/dbraw/zinc/08/49/50/197084950.db2.gz KDEWDOYMGWTMMB-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c(C(C)=O)c1)C(C)C ZINC000288460521 197084331 /nfs/dbraw/zinc/08/43/31/197084331.db2.gz PXTPTPSWBPAVGK-AWEZNQCLSA-N 0 0 280.324 2.880 20 5 CFBDRN CCOc1cc(CN2CCO[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000276859470 386062442 /nfs/dbraw/zinc/06/24/42/386062442.db2.gz GEWGJFIZSLQYFK-VXGBXAGGSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1cnc(SC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000276882825 386069439 /nfs/dbraw/zinc/06/94/39/386069439.db2.gz IYIDJKXBGYUJHY-SECBINFHSA-N 0 0 254.311 2.569 20 5 CFBDRN Nc1ccc(NCCCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000288439945 197078152 /nfs/dbraw/zinc/07/81/52/197078152.db2.gz OVBMSSKKGJCTOC-UHFFFAOYSA-N 0 0 263.219 2.931 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCC1CCCC1 ZINC000277094279 386126921 /nfs/dbraw/zinc/12/69/21/386126921.db2.gz NXWOMXWIYZOSTP-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CCCSC1 ZINC000288408294 197070097 /nfs/dbraw/zinc/07/00/97/197070097.db2.gz GNGOOKXWOXXANP-SECBINFHSA-N 0 0 253.327 2.545 20 5 CFBDRN CN(C(=O)c1ocnc1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000288281191 197042535 /nfs/dbraw/zinc/04/25/35/197042535.db2.gz AWXDRLOMXUDFBU-UHFFFAOYSA-N 0 0 287.275 2.737 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1C[C@H]1CCC(F)(F)C1 ZINC000331487930 285229391 /nfs/dbraw/zinc/22/93/91/285229391.db2.gz ALVARIOLFWFKTF-QMMMGPOBSA-N 0 0 298.245 2.938 20 5 CFBDRN Cc1cnc(N[C@@H](C)[C@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000288097894 197004042 /nfs/dbraw/zinc/00/40/42/197004042.db2.gz APXGLDGRJGCASL-FZMZJTMJSA-N 0 0 287.319 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nccc(C(F)F)n2)n1 ZINC000288091883 197002183 /nfs/dbraw/zinc/00/21/83/197002183.db2.gz ABENGQKLQUSPNI-UHFFFAOYSA-N 0 0 295.249 2.638 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000288132057 197010523 /nfs/dbraw/zinc/01/05/23/197010523.db2.gz DXPLFBVYPOSPLP-UKRRQHHQSA-N 0 0 292.335 2.903 20 5 CFBDRN Cc1nc(N[C@@H](C)[C@H](O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000288120818 197008634 /nfs/dbraw/zinc/00/86/34/197008634.db2.gz CTMFUFXPEHYRTP-NHYWBVRUSA-N 0 0 287.319 2.832 20 5 CFBDRN Cc1cnc(N[C@@H](C)[C@@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000288097902 197004400 /nfs/dbraw/zinc/00/44/00/197004400.db2.gz APXGLDGRJGCASL-SMDDNHRTSA-N 0 0 287.319 2.832 20 5 CFBDRN CCc1noc(CC)c1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000287791363 196942929 /nfs/dbraw/zinc/94/29/29/196942929.db2.gz JDSCXLBRYMDQBO-UHFFFAOYSA-N 0 0 290.323 2.902 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1ncc(C)o1 ZINC000281374787 195215066 /nfs/dbraw/zinc/21/50/66/195215066.db2.gz YNOJHGPUXVNVMM-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN Cc1cc(N2CCCC[C@H]2c2cc[nH]n2)ncc1[N+](=O)[O-] ZINC000288888828 291933135 /nfs/dbraw/zinc/93/31/35/291933135.db2.gz HHPPIFBNHMBHCU-LBPRGKRZSA-N 0 0 287.323 2.753 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C=C(C)C ZINC000281428289 195236272 /nfs/dbraw/zinc/23/62/72/195236272.db2.gz QNSDGCOZZCDOHO-UHFFFAOYSA-N 0 0 264.281 2.898 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCCOC1CCOCC1 ZINC000287168203 196793811 /nfs/dbraw/zinc/79/38/11/196793811.db2.gz GBSOHHINPTYOQQ-UHFFFAOYSA-N 0 0 298.314 2.732 20 5 CFBDRN C[C@@H](CF)NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000286864188 196722690 /nfs/dbraw/zinc/72/26/90/196722690.db2.gz GRNHKEKHLGMZGV-LURJTMIESA-N 0 0 275.667 2.728 20 5 CFBDRN CCc1ccc(C(=O)NC2CCSCC2)cc1[N+](=O)[O-] ZINC000081937391 177903607 /nfs/dbraw/zinc/90/36/07/177903607.db2.gz LCSVSQCORCGEJV-UHFFFAOYSA-N 0 0 294.376 2.783 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000425627399 533226927 /nfs/dbraw/zinc/22/69/27/533226927.db2.gz VNKRDYMDGCIBDI-ILWJIGKKSA-N 0 0 291.307 2.523 20 5 CFBDRN CCCCOCC(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000281556148 195287257 /nfs/dbraw/zinc/28/72/57/195287257.db2.gz ZIWCHOGHBZMQKG-UHFFFAOYSA-N 0 0 296.323 2.749 20 5 CFBDRN COCC1(CNc2ncc(C)cc2[N+](=O)[O-])CCC1 ZINC000281741004 195359953 /nfs/dbraw/zinc/35/99/53/195359953.db2.gz BJCPMWURFOPIJM-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2C)[C@@H](C)C1 ZINC000281752107 195365503 /nfs/dbraw/zinc/36/55/03/195365503.db2.gz JBMVQLKIFXYOCV-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CCCC(F)(F)C1 ZINC000281756852 195368358 /nfs/dbraw/zinc/36/83/58/195368358.db2.gz CHYXYDDMXMVWOV-MRVPVSSYSA-N 0 0 274.271 2.566 20 5 CFBDRN C[C@](O)(COc1cc(Cl)ccc1[N+](=O)[O-])C(F)(F)F ZINC000281784083 195381280 /nfs/dbraw/zinc/38/12/80/195381280.db2.gz HKBNIBLIEYTGBV-VIFPVBQESA-N 0 0 299.632 2.940 20 5 CFBDRN CN(C)c1ccccc1CNc1ncc([N+](=O)[O-])s1 ZINC000281789761 195381590 /nfs/dbraw/zinc/38/15/90/195381590.db2.gz FJBQALDLMYDTFH-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000281789896 195381973 /nfs/dbraw/zinc/38/19/73/195381973.db2.gz LHCIXNPGZJCMGT-LDYMZIIASA-N 0 0 280.349 2.845 20 5 CFBDRN COCC1(CNc2nc(C)ccc2[N+](=O)[O-])CCC1 ZINC000281815692 195392979 /nfs/dbraw/zinc/39/29/79/195392979.db2.gz HFXNJXJDKMKUMU-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(CC)CC ZINC000281982976 195461733 /nfs/dbraw/zinc/46/17/33/195461733.db2.gz OVPZVMBSJQODKP-UHFFFAOYSA-N 0 0 281.312 2.867 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CCCCO1 ZINC000282197774 195535334 /nfs/dbraw/zinc/53/53/34/195535334.db2.gz FMWXCYBSUZRQDK-LLVKDONJSA-N 0 0 282.271 2.550 20 5 CFBDRN Cc1cn(CCCCC(F)(F)F)nc1[N+](=O)[O-] ZINC000282316133 195572378 /nfs/dbraw/zinc/57/23/78/195572378.db2.gz SULKULLRPOYXBI-UHFFFAOYSA-N 0 0 251.208 2.832 20 5 CFBDRN O=c1ccn(CCSC2CCCCC2)cc1[N+](=O)[O-] ZINC000282507673 195630243 /nfs/dbraw/zinc/63/02/43/195630243.db2.gz KDURWEJMYZFDNO-UHFFFAOYSA-N 0 0 282.365 2.822 20 5 CFBDRN CSC[C@@H](C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283680627 195899656 /nfs/dbraw/zinc/89/96/56/195899656.db2.gz SNUUFGCERMYMMQ-LLVKDONJSA-N 0 0 296.392 2.595 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)/C=C/C1CC1 ZINC000283732904 195908619 /nfs/dbraw/zinc/90/86/19/195908619.db2.gz ZNZLWEJEZNCEID-BQYQJAHWSA-N 0 0 274.320 2.562 20 5 CFBDRN C/C=C/C=C\C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000492406253 533445516 /nfs/dbraw/zinc/44/55/16/533445516.db2.gz GKYRDAMJEXGSRB-ICWBMWKASA-N 0 0 262.265 2.674 20 5 CFBDRN COc1cccc(C(=O)N2CCC[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000194452281 533398496 /nfs/dbraw/zinc/39/84/96/533398496.db2.gz DYVWEYCPFZKBGA-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CSCCN(C)c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413186938 533581487 /nfs/dbraw/zinc/58/14/87/533581487.db2.gz QDMRRDLANPFDBI-UHFFFAOYSA-N 0 0 295.286 2.808 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])c1ccn(C)n1 ZINC000413446229 533554344 /nfs/dbraw/zinc/55/43/44/533554344.db2.gz CIWICQUXNNLGBA-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN CSCC[C@H](C)N(C)c1ncc([N+](=O)[O-])cc1F ZINC000413180034 533639241 /nfs/dbraw/zinc/63/92/41/533639241.db2.gz IURZQDVTNQAWIF-QMMMGPOBSA-N 0 0 273.333 2.707 20 5 CFBDRN C[C@H](NC(=O)[C@H](C)C(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000341779332 130041658 /nfs/dbraw/zinc/04/16/58/130041658.db2.gz TWDNIRAKRBUKLZ-YUMQZZPRSA-N 0 0 290.241 2.970 20 5 CFBDRN C[C@H](CO)CNc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000341834631 130065624 /nfs/dbraw/zinc/06/56/24/130065624.db2.gz AQFZFAQWKCMZIO-LURJTMIESA-N 0 0 279.123 2.942 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccccn2)ccc1[N+](=O)[O-] ZINC000341859643 130077240 /nfs/dbraw/zinc/07/72/40/130077240.db2.gz PPEUJQPTVOAETE-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCN(c2cccnc2)C1 ZINC000366752467 130115022 /nfs/dbraw/zinc/11/50/22/130115022.db2.gz UEGFGTVCCDSLRB-GFCCVEGCSA-N 0 0 284.319 2.681 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000341943875 130150586 /nfs/dbraw/zinc/15/05/86/130150586.db2.gz FOBLWVRFQVMUBS-YPMHNXCESA-N 0 0 276.336 2.690 20 5 CFBDRN O=C(CNc1c(F)cccc1[N+](=O)[O-])NC1CCCCC1 ZINC000341928249 130158834 /nfs/dbraw/zinc/15/88/34/130158834.db2.gz KIXUACDAVLIYJR-UHFFFAOYSA-N 0 0 295.314 2.595 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H](O)Cc1ccccc1 ZINC000341983129 130168483 /nfs/dbraw/zinc/16/84/83/130168483.db2.gz MBDQOBQUDVKXPD-LBPRGKRZSA-N 0 0 290.294 2.749 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000342016682 130200425 /nfs/dbraw/zinc/20/04/25/130200425.db2.gz LLHBOYQXABPVTQ-GXSJLCMTSA-N 0 0 298.364 2.510 20 5 CFBDRN C[C@H](Sc1cn[nH]n1)c1ccccc1[N+](=O)[O-] ZINC000342078893 130240669 /nfs/dbraw/zinc/24/06/69/130240669.db2.gz SFWGCUNSCNNGEM-ZETCQYMHSA-N 0 0 250.283 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCOCC3CC3)n2)cc1 ZINC000355464260 130307489 /nfs/dbraw/zinc/30/74/89/130307489.db2.gz DVJIBGHYWQLMLX-UHFFFAOYSA-N 0 0 289.291 2.614 20 5 CFBDRN CC(C)=CCNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000191851234 130318127 /nfs/dbraw/zinc/31/81/27/130318127.db2.gz BNYXDDBALWDBHO-UHFFFAOYSA-N 0 0 254.311 2.661 20 5 CFBDRN COc1c(C(=O)N(C(C)C)C2CC2)cccc1[N+](=O)[O-] ZINC000355625721 130322981 /nfs/dbraw/zinc/32/29/81/130322981.db2.gz URXLFCSLBDHDID-UHFFFAOYSA-N 0 0 278.308 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Nc1ccc(OCCO)cc1 ZINC000342194570 130327174 /nfs/dbraw/zinc/32/71/74/130327174.db2.gz AGUIGHOQPFEISW-UHFFFAOYSA-N 0 0 292.266 2.849 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000191943825 130335446 /nfs/dbraw/zinc/33/54/46/130335446.db2.gz OJBXHPZCWNMIRE-PWSUYJOCSA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000342154807 130347470 /nfs/dbraw/zinc/34/74/70/130347470.db2.gz IXRSVYZSRJDSQH-TVQRCGJNSA-N 0 0 270.304 2.943 20 5 CFBDRN Cc1ccc(-c2nc(Cc3cccnc3)no2)cc1[N+](=O)[O-] ZINC000355851392 130348006 /nfs/dbraw/zinc/34/80/06/130348006.db2.gz LHCPVXVFLKPCSI-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN C[C@H](NCc1ccccc1[N+](=O)[O-])C(=O)OC1CCCC1 ZINC000192006863 130348406 /nfs/dbraw/zinc/34/84/06/130348406.db2.gz LFLWNZNRTKVZLS-NSHDSACASA-N 0 0 292.335 2.559 20 5 CFBDRN Cc1cncc(-c2noc(-c3cc([N+](=O)[O-])cnc3C)n2)c1 ZINC000355847940 130349348 /nfs/dbraw/zinc/34/93/48/130349348.db2.gz UBWDPWRPHIHOFY-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN C[C@H](O)CCCSCc1ccc([N+](=O)[O-])cc1 ZINC000355954377 130358863 /nfs/dbraw/zinc/35/88/63/130358863.db2.gz MDPBQFGJDFMAGY-JTQLQIEISA-N 0 0 255.339 2.989 20 5 CFBDRN COC[C@H](C)Cc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000355970286 130363080 /nfs/dbraw/zinc/36/30/80/130363080.db2.gz CJOKEHGWWHAYQA-SECBINFHSA-N 0 0 291.307 2.778 20 5 CFBDRN Cc1nccc(COc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000356003763 130367099 /nfs/dbraw/zinc/36/70/99/130367099.db2.gz AJDUAVWABTXGOE-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1nc2cccnc2s1 ZINC000356182174 130382937 /nfs/dbraw/zinc/38/29/37/130382937.db2.gz VDDCFPXHZSMUAO-UHFFFAOYSA-N 0 0 288.288 2.574 20 5 CFBDRN C[C@H]1CSCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000192164669 130385153 /nfs/dbraw/zinc/38/51/53/130385153.db2.gz WVGDZMPTZBACBU-SNVBAGLBSA-N 0 0 295.364 2.812 20 5 CFBDRN CCC(C)(C)CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000192190047 130390323 /nfs/dbraw/zinc/39/03/23/130390323.db2.gz GZJVVRIZGSEAOO-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN COc1c(C(=O)N(C)[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000357145000 130404326 /nfs/dbraw/zinc/40/43/26/130404326.db2.gz KZROVYRFYYHHDM-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCOC2)c(OC(F)F)c1 ZINC000357341263 130445740 /nfs/dbraw/zinc/44/57/40/130445740.db2.gz VKNOXFMFMMNVGU-QMMMGPOBSA-N 0 0 288.250 2.645 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000359597828 130524417 /nfs/dbraw/zinc/52/44/17/130524417.db2.gz KZOHZJVKNPFCHT-SNVBAGLBSA-N 0 0 295.314 2.547 20 5 CFBDRN COc1cc(NCc2ncc(C)o2)ccc1[N+](=O)[O-] ZINC000192737355 130541315 /nfs/dbraw/zinc/54/13/15/130541315.db2.gz ARYPHMPSDGHLBU-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN Cc1c2ccccc2n(C)c1CNc1c([N+](=O)[O-])ncn1C ZINC000359832325 130550535 /nfs/dbraw/zinc/55/05/35/130550535.db2.gz OHEPDQPELQWBEG-UHFFFAOYSA-N 0 0 299.334 2.741 20 5 CFBDRN Cc1ccnc(NCC2(C(F)F)CC2)c1[N+](=O)[O-] ZINC000359846835 130552018 /nfs/dbraw/zinc/55/20/18/130552018.db2.gz QZWPUMYVNRJNSX-UHFFFAOYSA-N 0 0 257.240 2.755 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC[C@H](C)C2)cc([N+](=O)[O-])c1C ZINC000359858434 130554800 /nfs/dbraw/zinc/55/48/00/130554800.db2.gz PUYUKNZROUHXNA-JOYOIKCWSA-N 0 0 292.335 2.830 20 5 CFBDRN Cc1cc(N[C@H]2CCn3ccnc3C2)c(F)cc1[N+](=O)[O-] ZINC000360048066 130581565 /nfs/dbraw/zinc/58/15/65/130581565.db2.gz QFJBALLBPOAPMR-JTQLQIEISA-N 0 0 290.298 2.666 20 5 CFBDRN C[C@@H](O)C1CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000222271924 130789231 /nfs/dbraw/zinc/78/92/31/130789231.db2.gz DWCIALXWFQGDQD-SNVBAGLBSA-N 0 0 298.770 2.841 20 5 CFBDRN CS[C@H](CO)[C@@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000227058916 130834944 /nfs/dbraw/zinc/83/49/44/130834944.db2.gz SKSZXDAHSVFGBB-RDDDGLTNSA-N 0 0 290.772 2.773 20 5 CFBDRN CCOc1cccc(NCCC[C@H](C)O)c1[N+](=O)[O-] ZINC000228000063 130858983 /nfs/dbraw/zinc/85/89/83/130858983.db2.gz MPZKRJZFFYDDPR-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H](C)c1nccs1 ZINC000230612108 130905134 /nfs/dbraw/zinc/90/51/34/130905134.db2.gz BDAFHHFODBGZQJ-SECBINFHSA-N 0 0 278.337 2.970 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000230905299 130909543 /nfs/dbraw/zinc/90/95/43/130909543.db2.gz VUCQJZWKRMLLHE-CYBMUJFWSA-N 0 0 268.313 2.566 20 5 CFBDRN Cc1noc(CCNc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000231900403 130921239 /nfs/dbraw/zinc/92/12/39/130921239.db2.gz JBGVSGIJBHCJNL-UHFFFAOYSA-N 0 0 282.687 2.594 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H]1C1CC1 ZINC000363476888 291995178 /nfs/dbraw/zinc/99/51/78/291995178.db2.gz ZCBRKXJVAPYUKS-CQSZACIVSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1ccc(C(=O)N(C(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000247989215 131109102 /nfs/dbraw/zinc/10/91/02/131109102.db2.gz LQCIGMARYRGSGN-UHFFFAOYSA-N 0 0 262.309 2.916 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000253605676 131144591 /nfs/dbraw/zinc/14/45/91/131144591.db2.gz LSGDGRHTUGHDFM-CYBMUJFWSA-N 0 0 276.336 2.928 20 5 CFBDRN CN(C[C@H]1OCCc2ccccc21)c1ccc([N+](=O)[O-])cn1 ZINC000263649151 131301581 /nfs/dbraw/zinc/30/15/81/131301581.db2.gz BGJXNHSBSUFSNN-OAHLLOKOSA-N 0 0 299.330 2.740 20 5 CFBDRN Cc1n[nH]c(C(=O)N(C)CCC2CCCCC2)c1[N+](=O)[O-] ZINC000263701838 131311874 /nfs/dbraw/zinc/31/18/74/131311874.db2.gz OUXFBUUWVAONRW-UHFFFAOYSA-N 0 0 294.355 2.669 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000263735152 131318480 /nfs/dbraw/zinc/31/84/80/131318480.db2.gz UWJFCHSLAMKEAW-VIFPVBQESA-N 0 0 273.292 2.558 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000264183350 131407450 /nfs/dbraw/zinc/40/74/50/131407450.db2.gz BJEWHUNZZQABDR-CABZTGNLSA-N 0 0 262.309 2.822 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@H]1CCc2ccccc2C1 ZINC000265218576 131564180 /nfs/dbraw/zinc/56/41/80/131564180.db2.gz NBRNRDVPZUQJFW-ZDUSSCGKSA-N 0 0 299.330 2.552 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000265594630 131608201 /nfs/dbraw/zinc/60/82/01/131608201.db2.gz SQPRCGRCJMQQSJ-WCBMZHEXSA-N 0 0 282.727 2.563 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000265816960 131641854 /nfs/dbraw/zinc/64/18/54/131641854.db2.gz QNEMWEBJWDFCHL-LBPRGKRZSA-N 0 0 276.336 2.787 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000266286712 131712863 /nfs/dbraw/zinc/71/28/63/131712863.db2.gz VUWBPMBSLXLIAP-SECBINFHSA-N 0 0 270.304 2.943 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@](=O)[C@@H](C)C(C)C ZINC000266370255 131724096 /nfs/dbraw/zinc/72/40/96/131724096.db2.gz RFUFISHVZBOQIJ-OVWNDWIMSA-N 0 0 285.365 2.897 20 5 CFBDRN Cc1cccc(C(=O)NCCCC(F)(F)F)c1[N+](=O)[O-] ZINC000266572244 131753010 /nfs/dbraw/zinc/75/30/10/131753010.db2.gz SWUXZEHVVYAPSF-UHFFFAOYSA-N 0 0 290.241 2.976 20 5 CFBDRN CCOC[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000267051434 131813627 /nfs/dbraw/zinc/81/36/27/131813627.db2.gz FIHUPEXAIGASMB-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC2CC(C)C2)c1 ZINC000267759970 131914064 /nfs/dbraw/zinc/91/40/64/131914064.db2.gz YMHSPFYJLBXBJR-UHFFFAOYSA-N 0 0 280.349 2.845 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H](C)CSC)ccc1[N+](=O)[O-] ZINC000268146082 131965812 /nfs/dbraw/zinc/96/58/12/131965812.db2.gz DLUAUHBPQPLQAA-VIFPVBQESA-N 0 0 298.364 2.935 20 5 CFBDRN COCCN(Cc1ccco1)c1cc(C)c([N+](=O)[O-])cn1 ZINC000268372857 132000411 /nfs/dbraw/zinc/00/04/11/132000411.db2.gz ZGXUAMAUIMBVHR-UHFFFAOYSA-N 0 0 291.307 2.544 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)O1 ZINC000268409608 132005553 /nfs/dbraw/zinc/00/55/53/132005553.db2.gz ULYFRPWSNQPEJS-OLZOCXBDSA-N 0 0 279.292 2.596 20 5 CFBDRN Cc1nc(NC[C@@H]2CCO[C@@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000268533755 132023502 /nfs/dbraw/zinc/02/35/02/132023502.db2.gz XWUXDBMWFJJPKL-SMDDNHRTSA-N 0 0 279.340 2.771 20 5 CFBDRN CC[C@@](C)(CCO)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000268603575 132034271 /nfs/dbraw/zinc/03/42/71/132034271.db2.gz XRPBYZRJLUGSMJ-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1cc([N+](=O)[O-])ccc1OC ZINC000268744989 132060050 /nfs/dbraw/zinc/06/00/50/132060050.db2.gz RKUWJOVXZPQEII-YPMHNXCESA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1nc(N[C@@H]2CCCc3c[nH]nc32)ccc1[N+](=O)[O-] ZINC000268821431 132072130 /nfs/dbraw/zinc/07/21/30/132072130.db2.gz JXEZORFALHZENW-SNVBAGLBSA-N 0 0 273.296 2.511 20 5 CFBDRN CCCC(C)(C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269322751 132154805 /nfs/dbraw/zinc/15/48/05/132154805.db2.gz BUPDCXQFCGIIRZ-UHFFFAOYSA-N 0 0 282.344 2.750 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000269415402 132167158 /nfs/dbraw/zinc/16/71/58/132167158.db2.gz YCWAXQXEACAWQS-UHFFFAOYSA-N 0 0 281.703 2.737 20 5 CFBDRN Cc1nccn1CCCN(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000269440003 132171597 /nfs/dbraw/zinc/17/15/97/132171597.db2.gz AWAJZQZVVFVGPP-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN Cc1cc(F)c(NC(=O)NC(C)(C)C)cc1[N+](=O)[O-] ZINC000284033040 195966491 /nfs/dbraw/zinc/96/64/91/195966491.db2.gz OWZLPCPTAISQHF-UHFFFAOYSA-N 0 0 269.276 2.962 20 5 CFBDRN Cc1nc(N2CCc3ccccc3[C@H]2C)ncc1[N+](=O)[O-] ZINC000297590277 393446104 /nfs/dbraw/zinc/44/61/04/393446104.db2.gz UCENLAHZPJTXTG-LLVKDONJSA-N 0 0 284.319 2.817 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC2(C(C)C)CC2)c1 ZINC000297942322 393449127 /nfs/dbraw/zinc/44/91/27/393449127.db2.gz ZYFKFSPUSMYPQA-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H](NC(=O)c1[nH]ncc1[N+](=O)[O-])c1ccc(C)cc1 ZINC000179130676 393452367 /nfs/dbraw/zinc/45/23/67/393452367.db2.gz RVNXSXNOPHWHED-NSHDSACASA-N 0 0 288.307 2.507 20 5 CFBDRN C[C@@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])C[C@@H]1C ZINC000301943734 393482535 /nfs/dbraw/zinc/48/25/35/393482535.db2.gz WGYWREAOIHRLSH-BDAKNGLRSA-N 0 0 280.353 2.786 20 5 CFBDRN O=[N+]([O-])c1ccsc1Nc1cnn(C[C@H]2CCCO2)c1 ZINC000301930796 393482805 /nfs/dbraw/zinc/48/28/05/393482805.db2.gz KZNMVODRAJACRU-SNVBAGLBSA-N 0 0 294.336 2.775 20 5 CFBDRN COc1cc(N2C[C@@H](C)S[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000301469892 393472580 /nfs/dbraw/zinc/47/25/80/393472580.db2.gz OGGPKZHRXLOLIF-NXEZZACHSA-N 0 0 282.365 2.934 20 5 CFBDRN CC1CCN(c2ccc(C(=O)N(C)C)cc2[N+](=O)[O-])CC1 ZINC000017395770 393484106 /nfs/dbraw/zinc/48/41/06/393484106.db2.gz PAVIQBVPWSZSDI-UHFFFAOYSA-N 0 0 291.351 2.533 20 5 CFBDRN Cc1cc(N2CC[C@H](O)[C@@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000302433024 393491888 /nfs/dbraw/zinc/49/18/88/393491888.db2.gz PCEUJAPHDMOXCR-ZANVPECISA-N 0 0 284.743 2.764 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@H](O)[C@H](C)C1 ZINC000302831701 393495033 /nfs/dbraw/zinc/49/50/33/393495033.db2.gz QWMJOUQIIRZELB-SKDRFNHKSA-N 0 0 284.743 2.764 20 5 CFBDRN O=C(Nc1cccc2c[nH]nc21)c1ccccc1[N+](=O)[O-] ZINC000026917359 393496189 /nfs/dbraw/zinc/49/61/89/393496189.db2.gz KZAJHTDEAVKEAV-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN COc1ccnc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000182436701 393510151 /nfs/dbraw/zinc/51/01/51/393510151.db2.gz PPHZFJOZZCQWJW-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2C[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000182443323 393510309 /nfs/dbraw/zinc/51/03/09/393510309.db2.gz ZHZHSIDTEQPJIW-NWDGAFQWSA-N 0 0 260.293 2.888 20 5 CFBDRN C[C@H]1CSCCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000311242217 393520427 /nfs/dbraw/zinc/52/04/27/393520427.db2.gz XFZHGPIZKIXLRJ-VIFPVBQESA-N 0 0 270.329 2.671 20 5 CFBDRN Cc1cc(N2CC[C@]3(C2)CCCOC3)ccc1[N+](=O)[O-] ZINC000376482048 393520780 /nfs/dbraw/zinc/52/07/80/393520780.db2.gz ZUCOVFUFHJRTJO-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN CCOc1cccc(N2CCC([C@@H](C)O)CC2)c1[N+](=O)[O-] ZINC000184114792 393524722 /nfs/dbraw/zinc/52/47/22/393524722.db2.gz XCJBWZNSQHOSDV-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN CCc1nnc(CSc2ccc([N+](=O)[O-])cc2F)o1 ZINC000185148079 393531366 /nfs/dbraw/zinc/53/13/66/393531366.db2.gz ITICWQXKYZQOSF-UHFFFAOYSA-N 0 0 283.284 2.972 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@@](O)(C2CC2)C1 ZINC000377467221 393531965 /nfs/dbraw/zinc/53/19/65/393531965.db2.gz DFCPDZGVKVXWLW-AWEZNQCLSA-N 0 0 296.754 2.908 20 5 CFBDRN Cn1ncc2c1CCC[C@H]2NCc1csc([N+](=O)[O-])c1 ZINC000037562989 393532038 /nfs/dbraw/zinc/53/20/38/393532038.db2.gz QFDCGKMTYCNFNR-LLVKDONJSA-N 0 0 292.364 2.557 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000185459422 393533479 /nfs/dbraw/zinc/53/34/79/393533479.db2.gz PLGYBRXBACTIRW-WCBMZHEXSA-N 0 0 271.276 2.738 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000331745899 296490737 /nfs/dbraw/zinc/49/07/37/296490737.db2.gz YTSCKOVGBKJLDI-MWLCHTKSSA-N 0 0 287.319 2.995 20 5 CFBDRN CC[C@@]1(C)COCCN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000330627558 393554911 /nfs/dbraw/zinc/55/49/11/393554911.db2.gz KIYJVEARPJQZQJ-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@@H](CO)NC(=O)c1cc2ccccc2c2cccnc12 ZINC000188246056 393562614 /nfs/dbraw/zinc/56/26/14/393562614.db2.gz QZVFOFBKKKROAH-ZDUSSCGKSA-N 0 0 294.354 2.889 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2Cc3ccccc32)s1 ZINC000189682573 393575026 /nfs/dbraw/zinc/57/50/26/393575026.db2.gz QUHLGKBVQUVWGT-SECBINFHSA-N 0 0 261.306 2.803 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H](C2CC2)C1 ZINC000334378948 393597686 /nfs/dbraw/zinc/59/76/86/393597686.db2.gz YBPLIIFWEHNUQS-LBPRGKRZSA-N 0 0 274.320 2.775 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1cccc(Cl)c1F ZINC000191825936 393608057 /nfs/dbraw/zinc/60/80/57/393608057.db2.gz MRFRMNAROJQLRR-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN Cc1cc(N2C[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000048543811 393588510 /nfs/dbraw/zinc/58/85/10/393588510.db2.gz PNYOGSBDXJQKPO-PHIMTYICSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CSC[C@H]1C ZINC000334286574 393589155 /nfs/dbraw/zinc/58/91/55/393589155.db2.gz HCRSSYNTJJOSAF-SKDRFNHKSA-N 0 0 295.364 2.776 20 5 CFBDRN O=C(NCC1CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048913964 393608777 /nfs/dbraw/zinc/60/87/77/393608777.db2.gz WXDOBHFSLYMLOA-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN Cc1cccc(CCNc2ncccc2[N+](=O)[O-])c1 ZINC000051670547 393617430 /nfs/dbraw/zinc/61/74/30/393617430.db2.gz UITIPESLLKUBIL-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN CCOC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000055050741 393621017 /nfs/dbraw/zinc/62/10/17/393621017.db2.gz LWGLXPDMTJTNNH-UHFFFAOYSA-N 0 0 278.289 2.895 20 5 CFBDRN CC1CCN(c2ncnc3cccc([N+](=O)[O-])c32)CC1 ZINC000408167876 393624870 /nfs/dbraw/zinc/62/48/70/393624870.db2.gz ZGGFGSIKOKVJHM-UHFFFAOYSA-N 0 0 272.308 2.774 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000411177218 393681578 /nfs/dbraw/zinc/68/15/78/393681578.db2.gz IORNYHNVNMWZNI-JTQLQIEISA-N 0 0 292.335 2.910 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCS[C@@H](C(C)C)C1 ZINC000192636264 393628946 /nfs/dbraw/zinc/62/89/46/393628946.db2.gz XFBRCDJDZZWYBR-LLVKDONJSA-N 0 0 298.412 2.697 20 5 CFBDRN Cc1c(C(=O)N2C[C@H](C)OC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000065606582 393633194 /nfs/dbraw/zinc/63/31/94/393633194.db2.gz LUIJDAGWCOHXLM-JTQLQIEISA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)C[C@H]1COCCN1Cc1ccccc1[N+](=O)[O-] ZINC000072980121 393651935 /nfs/dbraw/zinc/65/19/35/393651935.db2.gz TUMKTPZVOWCTAV-AWEZNQCLSA-N 0 0 278.352 2.842 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)N(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000074238258 393654914 /nfs/dbraw/zinc/65/49/14/393654914.db2.gz OYHDSKTZKGTJLU-FZMZJTMJSA-N 0 0 292.335 2.905 20 5 CFBDRN COc1cccc(C(=O)Nc2ncccc2C)c1[N+](=O)[O-] ZINC000193869808 393666792 /nfs/dbraw/zinc/66/67/92/393666792.db2.gz BUINOVFCBBQEMI-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=c1[nH]c(-c2ccc([N+](=O)[O-])cc2)nc2cc(F)ccc12 ZINC000193922690 393667978 /nfs/dbraw/zinc/66/79/78/393667978.db2.gz HTSFUXZNGIGZIH-UHFFFAOYSA-N 0 0 285.234 2.637 20 5 CFBDRN Cc1cc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)ccn1 ZINC000075285157 393671695 /nfs/dbraw/zinc/67/16/95/393671695.db2.gz LGOSZSHWGBACTK-QPJJXVBHSA-N 0 0 283.287 2.950 20 5 CFBDRN CCCCCNC(=O)Cc1c(F)c(OC)ccc1[N+](=O)[O-] ZINC000411145249 393673985 /nfs/dbraw/zinc/67/39/85/393673985.db2.gz VUJIRDCZWKWZFE-UHFFFAOYSA-N 0 0 298.314 2.591 20 5 CFBDRN CCCCN(C)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411200360 393684830 /nfs/dbraw/zinc/68/48/30/393684830.db2.gz MRYXVAQEQGSFDH-UONOGXRCSA-N 0 0 276.336 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1ccoc1)CCN2 ZINC000195212659 393734226 /nfs/dbraw/zinc/73/42/26/393734226.db2.gz HEALWDIARCJYES-UHFFFAOYSA-N 0 0 259.265 2.768 20 5 CFBDRN Cc1noc2ncnc(Oc3cc([N+](=O)[O-])ccc3C)c12 ZINC000195509374 393742940 /nfs/dbraw/zinc/74/29/40/393742940.db2.gz FWXGVJHWERRGDI-UHFFFAOYSA-N 0 0 286.247 2.935 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000088713359 393763437 /nfs/dbraw/zinc/76/34/37/393763437.db2.gz ILUPSOZABCGCCP-QWRGUYRKSA-N 0 0 291.351 2.897 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@@H](C)CC[C@H]2C)cc1[N+](=O)[O-] ZINC000088713361 393763469 /nfs/dbraw/zinc/76/34/69/393763469.db2.gz ILUPSOZABCGCCP-WDEREUQCSA-N 0 0 291.351 2.897 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccncc1 ZINC000194372321 393691476 /nfs/dbraw/zinc/69/14/76/393691476.db2.gz JKLCMXUGMNFXLH-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC(C)=C[C@H](C)C1 ZINC000335727999 393693553 /nfs/dbraw/zinc/69/35/53/393693553.db2.gz RIRIFSXPKLCEDF-JTQLQIEISA-N 0 0 274.320 2.941 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000077227305 393694972 /nfs/dbraw/zinc/69/49/72/393694972.db2.gz JPDMDQJLSXIIQC-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN O=C(c1ccc(F)cc1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148930 393705053 /nfs/dbraw/zinc/70/50/53/393705053.db2.gz BPHOHJXQWYEQJR-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN CCC1(CNC(=O)c2cccc(OC)c2[N+](=O)[O-])CCC1 ZINC000194628360 393710225 /nfs/dbraw/zinc/71/02/25/393710225.db2.gz OILBRARTMOKVJU-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CC(C)(CC(F)F)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000411470011 393715982 /nfs/dbraw/zinc/71/59/82/393715982.db2.gz HVJOEHCWFVXHMV-UHFFFAOYSA-N 0 0 286.278 2.892 20 5 CFBDRN O=C(CCC(F)(F)F)NCc1cccc([N+](=O)[O-])c1 ZINC000079777813 393722243 /nfs/dbraw/zinc/72/22/43/393722243.db2.gz QLOQFEUUBDUSNV-UHFFFAOYSA-N 0 0 276.214 2.554 20 5 CFBDRN CC[C@@H](C)C(=O)COc1ccc([N+](=O)[O-])cc1C ZINC000089692699 393769162 /nfs/dbraw/zinc/76/91/62/393769162.db2.gz OSYYGRNLDXHQOB-SECBINFHSA-N 0 0 251.282 2.897 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000226138700 393770677 /nfs/dbraw/zinc/77/06/77/393770677.db2.gz QTGKJCVKPZHMMY-NXEZZACHSA-N 0 0 277.324 2.507 20 5 CFBDRN Cc1ccc(NCCc2ncc(C)cn2)c([N+](=O)[O-])c1 ZINC000340079447 393835549 /nfs/dbraw/zinc/83/55/49/393835549.db2.gz RVXWERVVDUAETO-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2ccnc3ccncc32)c1 ZINC000340078687 393835576 /nfs/dbraw/zinc/83/55/76/393835576.db2.gz KNKZJHGYTNXSBJ-UHFFFAOYSA-N 0 0 294.314 2.615 20 5 CFBDRN C[C@]1(O)CCCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000230372716 393778649 /nfs/dbraw/zinc/77/86/49/393778649.db2.gz BIJKGFFSMUUNKY-ZDUSSCGKSA-N 0 0 284.743 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)C2CCCCC2)cn1 ZINC000338765090 393798042 /nfs/dbraw/zinc/79/80/42/393798042.db2.gz ANRUXOHSWRMDDN-LBPRGKRZSA-N 0 0 279.340 2.591 20 5 CFBDRN Cc1c(CS(=O)(=O)[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000424209630 393798756 /nfs/dbraw/zinc/79/87/56/393798756.db2.gz SLXSPWGEYXNODN-LLVKDONJSA-N 0 0 285.365 2.863 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCCC2(C)C)cc1[N+](=O)[O-] ZINC000094268690 393807950 /nfs/dbraw/zinc/80/79/50/393807950.db2.gz DSQZDWYRJVCCPX-CYBMUJFWSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000245556555 393815529 /nfs/dbraw/zinc/81/55/29/393815529.db2.gz ANGGOESSSOEZSN-ZSBIGDGJSA-N 0 0 292.335 2.589 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000457984053 393816946 /nfs/dbraw/zinc/81/69/46/393816946.db2.gz BNIASWQSGQALCO-TZMCWYRMSA-N 0 0 276.336 2.688 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C[C@H]2CC(C)C)ccc1[N+](=O)[O-] ZINC000457988002 393817682 /nfs/dbraw/zinc/81/76/82/393817682.db2.gz IBEBBYJZHMZTQB-VXGBXAGGSA-N 0 0 292.335 2.768 20 5 CFBDRN Cc1ccnc(CNc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000339410196 393824200 /nfs/dbraw/zinc/82/42/00/393824200.db2.gz GHLONEJLRLMKDD-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN COc1c(C(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000416119271 393875300 /nfs/dbraw/zinc/87/53/00/393875300.db2.gz SERBLUGVJZMGMZ-HBNTYKKESA-N 0 0 292.335 2.720 20 5 CFBDRN O=C(/C=C/C1CCOCC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000112164249 393889907 /nfs/dbraw/zinc/88/99/07/393889907.db2.gz BSNNZUWGUKIQRB-DAFODLJHSA-N 0 0 294.282 2.655 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccnc(C3CC3)n2)cc1CO ZINC000428664243 393903489 /nfs/dbraw/zinc/90/34/89/393903489.db2.gz LWOUQHXRQLYQHF-UHFFFAOYSA-N 0 0 287.275 2.547 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000416326146 393905223 /nfs/dbraw/zinc/90/52/23/393905223.db2.gz ZORNFDQVXHSYPT-GRYCIOLGSA-N 0 0 291.351 2.510 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000458096299 393845762 /nfs/dbraw/zinc/84/57/62/393845762.db2.gz INEUEXVTRGEAGQ-HIFRSBDPSA-N 0 0 291.351 2.558 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000458103332 393847864 /nfs/dbraw/zinc/84/78/64/393847864.db2.gz LYAOFQDYIOZZPR-TZMCWYRMSA-N 0 0 280.299 2.898 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000458116524 393850437 /nfs/dbraw/zinc/85/04/37/393850437.db2.gz QWDPDBQVACZTPD-LJWDBELGSA-N 0 0 294.326 2.998 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC23CC3)c1 ZINC000416041565 393853151 /nfs/dbraw/zinc/85/31/51/393853151.db2.gz DRWVSFCYSUDWOB-SNVBAGLBSA-N 0 0 290.319 2.523 20 5 CFBDRN CC(C)(C(=O)NC[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000416045099 393853659 /nfs/dbraw/zinc/85/36/59/393853659.db2.gz JMORNMGFGSZAQT-LLVKDONJSA-N 0 0 288.347 2.789 20 5 CFBDRN CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)CC(C)C ZINC000416047033 393854862 /nfs/dbraw/zinc/85/48/62/393854862.db2.gz UEHQMCKEMLBGHR-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN CO[C@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])CC(C)C ZINC000416053032 393856003 /nfs/dbraw/zinc/85/60/03/393856003.db2.gz DGDIIGCNHICUCW-LBPRGKRZSA-N 0 0 294.351 2.694 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000458144544 393858455 /nfs/dbraw/zinc/85/84/55/393858455.db2.gz RLDGZDDLOYDVCQ-WDEREUQCSA-N 0 0 287.319 2.850 20 5 CFBDRN O=C(/C=C/c1cncc(F)c1)Nc1cccc([N+](=O)[O-])c1 ZINC000104197125 393859495 /nfs/dbraw/zinc/85/94/95/393859495.db2.gz VYHCGZQGPNZGER-SNAWJCMRSA-N 0 0 287.250 2.781 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CC[C@@H](C)C1 ZINC000429229343 393946450 /nfs/dbraw/zinc/94/64/50/393946450.db2.gz VOOKARJJEAGMPQ-KOLCDFICSA-N 0 0 277.324 2.559 20 5 CFBDRN COc1cc(C(=O)N(C)[C@@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000429249952 393948125 /nfs/dbraw/zinc/94/81/25/393948125.db2.gz DCIGQPBNPWNVQR-ZYHUDNBSSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc(C(=O)N(C)[C@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000429249949 393948549 /nfs/dbraw/zinc/94/85/49/393948549.db2.gz DCIGQPBNPWNVQR-JQWIXIFHSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000429258582 393949536 /nfs/dbraw/zinc/94/95/36/393949536.db2.gz HUXXUCUOYHDKGX-YPMHNXCESA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)Cc2ccncc2)c1 ZINC000487872555 394027122 /nfs/dbraw/zinc/02/71/22/394027122.db2.gz JRPNCXFOIAQNHA-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN COc1cc(-c2nc3cc([N+](=O)[O-])ccc3n2C)ccc1O ZINC000436655099 394021345 /nfs/dbraw/zinc/02/13/45/394021345.db2.gz HWEUYASHAVHEIP-UHFFFAOYSA-N 0 0 299.286 2.863 20 5 CFBDRN CC[C@H](C)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000348496358 393954149 /nfs/dbraw/zinc/95/41/49/393954149.db2.gz QOAVZDVUBWNTRK-JQWIXIFHSA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])c(C)n1 ZINC000121531192 393956556 /nfs/dbraw/zinc/95/65/56/393956556.db2.gz ARXYWNCDFXEHQL-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC1CC(c2ccccc2)C1 ZINC000476405894 393960319 /nfs/dbraw/zinc/96/03/19/393960319.db2.gz TXKDCMLDMGUCQQ-UHFFFAOYSA-N 0 0 269.304 2.770 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN[C@@H](C)c1ccccn1 ZINC000430209026 393967913 /nfs/dbraw/zinc/96/79/13/393967913.db2.gz WIRBDAIANORORU-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCC12CCC2 ZINC000430211820 393968594 /nfs/dbraw/zinc/96/85/94/393968594.db2.gz YXFMEKLDDHRHBD-UHFFFAOYSA-N 0 0 262.309 2.732 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])cc1OC ZINC000430209524 393968854 /nfs/dbraw/zinc/96/88/54/393968854.db2.gz RJRDZKWGXNFHJQ-AAEUAGOBSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1ccc([N+](=O)[O-])cc1OC ZINC000430229432 393970549 /nfs/dbraw/zinc/97/05/49/393970549.db2.gz VJXCSOVIRKDMHS-OAHLLOKOSA-N 0 0 294.351 2.604 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CC12CCCC2 ZINC000430225014 393970771 /nfs/dbraw/zinc/97/07/71/393970771.db2.gz IMGHHFFCYLXDGK-CYBMUJFWSA-N 0 0 274.320 2.586 20 5 CFBDRN Cc1c(CC(=O)N[C@@H](C)CC2CC2)cccc1[N+](=O)[O-] ZINC000122712699 393971228 /nfs/dbraw/zinc/97/12/28/393971228.db2.gz UQMNIZDVMQDYJY-JTQLQIEISA-N 0 0 276.336 2.751 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)[C@@H]2CCO[C@@H]21 ZINC000265943306 393978052 /nfs/dbraw/zinc/97/80/52/393978052.db2.gz GFTRYOCITBSVMC-GDPRMGEGSA-N 0 0 297.742 2.869 20 5 CFBDRN CN(C1(C)CCCC1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000486988037 393978627 /nfs/dbraw/zinc/97/86/27/393978627.db2.gz OFPGTODLPYHLPK-UHFFFAOYSA-N 0 0 298.364 2.548 20 5 CFBDRN Cc1conc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000123363529 393980063 /nfs/dbraw/zinc/98/00/63/393980063.db2.gz SVSXUNHKRWWBHO-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN C[C@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@@H](c2ccco2)C1 ZINC000266438824 393982270 /nfs/dbraw/zinc/98/22/70/393982270.db2.gz GGAQINPBFLBQQQ-WDEREUQCSA-N 0 0 290.323 2.899 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Br)C1 ZINC000267215942 393986126 /nfs/dbraw/zinc/98/61/26/393986126.db2.gz IFHRILZGJMNHDJ-SSDOTTSWSA-N 0 0 286.129 2.599 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000430463435 393988554 /nfs/dbraw/zinc/98/85/54/393988554.db2.gz BCFOBBGVSURMSQ-ZJUUUORDSA-N 0 0 294.355 2.702 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@@H]2CCC(C)C)c1[N+](=O)[O-] ZINC000268967431 393997206 /nfs/dbraw/zinc/99/72/06/393997206.db2.gz BHMSQHSUOMBHTI-LLVKDONJSA-N 0 0 294.355 2.667 20 5 CFBDRN CC[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000125090799 394000762 /nfs/dbraw/zinc/00/07/62/394000762.db2.gz KKMBZLGXJGIBGT-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN CC[C@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000125091060 394000998 /nfs/dbraw/zinc/00/09/98/394000998.db2.gz KKMBZLGXJGIBGT-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1c(CC(=O)NCCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000126403003 394027796 /nfs/dbraw/zinc/02/77/96/394027796.db2.gz OCGCOFVSBANCPR-UHFFFAOYSA-N 0 0 290.241 2.514 20 5 CFBDRN CCC(=O)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000270731775 394013879 /nfs/dbraw/zinc/01/38/79/394013879.db2.gz ARBIPKYBOMYGFX-CYBMUJFWSA-N 0 0 290.319 2.569 20 5 CFBDRN CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])c1cccnc1 ZINC000358287634 394101404 /nfs/dbraw/zinc/10/14/04/394101404.db2.gz LXRIREDDGKQYJX-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN Cc1nn(C)c(C(=O)Nc2ccc(C)c(C)c2)c1[N+](=O)[O-] ZINC000127592953 394052742 /nfs/dbraw/zinc/05/27/42/394052742.db2.gz NAKOSDJVVUSYPA-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN C[C@H](SC[C@H]1COCCO1)c1cccc([N+](=O)[O-])c1 ZINC000419555734 394053459 /nfs/dbraw/zinc/05/34/59/394053459.db2.gz HSSVMBDRPWWFRJ-GXFFZTMASA-N 0 0 283.349 2.804 20 5 CFBDRN C[C@H](SC[C@H]1COCCO1)c1ccccc1[N+](=O)[O-] ZINC000419565238 394054666 /nfs/dbraw/zinc/05/46/66/394054666.db2.gz ZHAHCFWSSZGOEW-WDEREUQCSA-N 0 0 283.349 2.804 20 5 CFBDRN C[C@H]1CSCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000277403245 394055722 /nfs/dbraw/zinc/05/57/22/394055722.db2.gz KWZBUUIFVDBYOE-SNVBAGLBSA-N 0 0 252.339 2.784 20 5 CFBDRN COc1cc(C[N@H+](C)CC2CCC2)c([N+](=O)[O-])cc1OC ZINC000127773314 394056162 /nfs/dbraw/zinc/05/61/62/394056162.db2.gz NWZFAYGSAYEUHP-UHFFFAOYSA-N 0 0 294.351 2.844 20 5 CFBDRN NC(=O)c1ccc(NC[C@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000281901321 394090108 /nfs/dbraw/zinc/09/01/08/394090108.db2.gz FLWGWESHNGOUGR-QMMMGPOBSA-N 0 0 299.277 2.541 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CCC[C@@H]1C(F)F ZINC000492897727 394091854 /nfs/dbraw/zinc/09/18/54/394091854.db2.gz QVYCLKOLGNVQGX-QDZRJHCZSA-N 0 0 296.273 2.864 20 5 CFBDRN Cc1nn(C[C@@H](C)C(F)(F)F)c(C)c1[N+](=O)[O-] ZINC000439041906 394095561 /nfs/dbraw/zinc/09/55/61/394095561.db2.gz LXKQMNPWSVFDSY-RXMQYKEDSA-N 0 0 251.208 2.607 20 5 CFBDRN CCOc1cccc(N2CCC(C)(OC)CC2)c1[N+](=O)[O-] ZINC000273861481 394036222 /nfs/dbraw/zinc/03/62/22/394036222.db2.gz MIMFLCMZZPCXQL-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN CCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000130314377 394108388 /nfs/dbraw/zinc/10/83/88/394108388.db2.gz MCITXSGGPSQIIJ-UHFFFAOYSA-N 0 0 267.285 2.525 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1F ZINC000439293869 394111410 /nfs/dbraw/zinc/11/14/10/394111410.db2.gz FCUUUZKROSYXLV-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN CCN(C(=O)COc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000282019923 394111742 /nfs/dbraw/zinc/11/17/42/394111742.db2.gz QFGWOFAGEMFCHN-UHFFFAOYSA-N 0 0 280.324 2.539 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C1CC1 ZINC000493214279 394180578 /nfs/dbraw/zinc/18/05/78/394180578.db2.gz FUEOZDGUJFZWLA-HJHHMULASA-N 0 0 272.304 2.619 20 5 CFBDRN Cc1cc(N2CCC[C@]23CCOC3)c(F)cc1[N+](=O)[O-] ZINC000360089752 394145562 /nfs/dbraw/zinc/14/55/62/394145562.db2.gz NBEWTJUVHFXBRH-CQSZACIVSA-N 0 0 280.299 2.802 20 5 CFBDRN CC(C)C1(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])CC1 ZINC000493143887 394161394 /nfs/dbraw/zinc/16/13/94/394161394.db2.gz AJPUZTIBCVQQLN-FPLPWBNLSA-N 0 0 274.320 2.913 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cc([N+](=O)[O-])cc2F)CCS1 ZINC000285938449 394169034 /nfs/dbraw/zinc/16/90/34/394169034.db2.gz JFKVJNOLQXHHQU-MRVPVSSYSA-N 0 0 288.319 2.810 20 5 CFBDRN CC[C@H](C)n1ncc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1C ZINC000361302338 394169489 /nfs/dbraw/zinc/16/94/89/394169489.db2.gz WJMPSVTWHVAYKH-QMMMGPOBSA-N 0 0 291.311 2.651 20 5 CFBDRN CCCN(Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)CC(F)F ZINC000361266503 394169597 /nfs/dbraw/zinc/16/95/97/394169597.db2.gz NUMYBQYVLXAXFT-UHFFFAOYSA-N 0 0 298.293 2.948 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000493182756 394171615 /nfs/dbraw/zinc/17/16/15/394171615.db2.gz DHYUKCBKERJUQU-GJIOHYHPSA-N 0 0 288.225 2.675 20 5 CFBDRN CC[C@@H](C)CNC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000421081666 394172765 /nfs/dbraw/zinc/17/27/65/394172765.db2.gz AZJZXMGSHWWHLI-SECBINFHSA-N 0 0 281.312 2.771 20 5 CFBDRN CC[C@H](C)N(C)C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000448848135 394197201 /nfs/dbraw/zinc/19/72/01/394197201.db2.gz WZJNQJXKRPRJHV-NSHDSACASA-N 0 0 292.335 2.815 20 5 CFBDRN Cn1ccc(/C=C/C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000493281462 394198449 /nfs/dbraw/zinc/19/84/49/394198449.db2.gz DLRDFSPJQSLPTP-FARCUNLSSA-N 0 0 271.276 2.585 20 5 CFBDRN COc1cc(N2CCCOC(C)(C)C2)c([N+](=O)[O-])cc1F ZINC000363930097 394201943 /nfs/dbraw/zinc/20/19/43/394201943.db2.gz DMJHOACRYOQTTE-UHFFFAOYSA-N 0 0 298.314 2.748 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCCSC1 ZINC000288175976 394211692 /nfs/dbraw/zinc/21/16/92/394211692.db2.gz DQMCUTLFAOMSJE-JTQLQIEISA-N 0 0 284.385 2.675 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@H](C)CCSC ZINC000289028783 394215155 /nfs/dbraw/zinc/21/51/55/394215155.db2.gz YKQOZLOGHGXPCR-SECBINFHSA-N 0 0 286.401 2.697 20 5 CFBDRN Cc1ccc(N[C@H](C)C(=O)N2CCCCC2)c([N+](=O)[O-])c1 ZINC000135444411 394221020 /nfs/dbraw/zinc/22/10/20/394221020.db2.gz HQAHYHVWAUNQPB-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN CCO/C=C/C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000493380778 394227381 /nfs/dbraw/zinc/22/73/81/394227381.db2.gz GGTJCJSSHHVQKF-MDZDMXLPSA-N 0 0 292.335 2.882 20 5 CFBDRN Cc1c(OC(=O)C2(CCF)CC2)cccc1[N+](=O)[O-] ZINC000290607357 394231971 /nfs/dbraw/zinc/23/19/71/394231971.db2.gz VXWLAIOZJTVYQE-UHFFFAOYSA-N 0 0 267.256 2.948 20 5 CFBDRN Cn1nc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1C1CC1 ZINC000290638873 394232279 /nfs/dbraw/zinc/23/22/79/394232279.db2.gz VTRVVCUUBMLFMR-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])NC1(CF)CCC1 ZINC000493432339 394242284 /nfs/dbraw/zinc/24/22/84/394242284.db2.gz KQKOXEWWYHURJH-HYXAFXHYSA-N 0 0 296.273 2.756 20 5 CFBDRN O=C(CSCC1CC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000291537686 394242460 /nfs/dbraw/zinc/24/24/60/394242460.db2.gz LTQYFBKTDXZVKX-UHFFFAOYSA-N 0 0 292.360 2.627 20 5 CFBDRN CC(C)c1ccc(CN2CCO[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000366545162 394244347 /nfs/dbraw/zinc/24/43/47/394244347.db2.gz OJRGHTUUZSHUSB-CABCVRRESA-N 0 0 276.336 2.691 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000493449077 394246194 /nfs/dbraw/zinc/24/61/94/394246194.db2.gz HFWJSJGKNJDBJB-SYTKJHMZSA-N 0 0 292.360 2.618 20 5 CFBDRN CC(C)n1cnnc1CN(C)c1c(F)cccc1[N+](=O)[O-] ZINC000291621678 394248181 /nfs/dbraw/zinc/24/81/81/394248181.db2.gz AVOYKCCWURPPIR-UHFFFAOYSA-N 0 0 293.302 2.543 20 5 CFBDRN C[C@@H]1CCC[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000150808289 394253461 /nfs/dbraw/zinc/25/34/61/394253461.db2.gz CASZGSNLCRBFQW-VHSXEESVSA-N 0 0 266.297 2.839 20 5 CFBDRN C[C@]1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1(F)F ZINC000421243586 394184255 /nfs/dbraw/zinc/18/42/55/394184255.db2.gz NGNXYCWNSISKMP-LLVKDONJSA-N 0 0 281.218 2.942 20 5 CFBDRN CCn1cc(N[C@H](C)Cc2ccccc2[N+](=O)[O-])cn1 ZINC000449216560 394254736 /nfs/dbraw/zinc/25/47/36/394254736.db2.gz TUROFUSGXSXJRE-LLVKDONJSA-N 0 0 274.324 2.854 20 5 CFBDRN CC[C@H](C)CONC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493232225 394186168 /nfs/dbraw/zinc/18/61/68/394186168.db2.gz WYCVTMJPYINDHJ-TVRMLOFPSA-N 0 0 278.308 2.702 20 5 CFBDRN CCC(CC)N(CC(C)C)C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000286105255 394192606 /nfs/dbraw/zinc/19/26/06/394192606.db2.gz MUGFRDOEZJPYTL-UHFFFAOYSA-N 0 0 282.344 2.605 20 5 CFBDRN CC(=O)c1cc(NCCC[C@@H](C)CO)ccc1[N+](=O)[O-] ZINC000286111427 394193645 /nfs/dbraw/zinc/19/36/45/394193645.db2.gz DQJQBVXWEBTZEM-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000151554773 394262615 /nfs/dbraw/zinc/26/26/15/394262615.db2.gz UMJFOIRFJRXERY-WRWORJQWSA-N 0 0 284.262 2.791 20 5 CFBDRN CCC1(C)CN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000493517963 394266141 /nfs/dbraw/zinc/26/61/41/394266141.db2.gz HUZHKXDVUPBLTR-FPLPWBNLSA-N 0 0 274.320 2.867 20 5 CFBDRN CCc1nocc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000293540319 394283581 /nfs/dbraw/zinc/28/35/81/394283581.db2.gz XWVUQYUNMOVTPE-UHFFFAOYSA-N 0 0 275.308 2.777 20 5 CFBDRN CC1(F)CC(NC(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000493618261 394292692 /nfs/dbraw/zinc/29/26/92/394292692.db2.gz VCKJVGMAGJGXRN-HYXAFXHYSA-N 0 0 296.273 2.754 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@H](c2ccco2)C1 ZINC000370863657 394293249 /nfs/dbraw/zinc/29/32/49/394293249.db2.gz XJRSDYXICPHDSA-LBPRGKRZSA-N 0 0 286.287 2.818 20 5 CFBDRN Cc1nc(N[C@@H]2CCCO[C@H]2CC(C)C)ncc1[N+](=O)[O-] ZINC000294825756 394294687 /nfs/dbraw/zinc/29/46/87/394294687.db2.gz AEYJYORLEKKTDG-YPMHNXCESA-N 0 0 294.355 2.699 20 5 CFBDRN CCOC1(C)CN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000450581863 394296060 /nfs/dbraw/zinc/29/60/60/394296060.db2.gz QXZPMHOQCRPAQR-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC[C@@H]2c2ccccc2)nc1 ZINC000450618407 394300342 /nfs/dbraw/zinc/30/03/42/394300342.db2.gz VMWFHWJYKKIUQQ-UKRRQHHQSA-N 0 0 298.346 2.803 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)/C=C/[C@H]1CCOC1 ZINC000493653315 394300556 /nfs/dbraw/zinc/30/05/56/394300556.db2.gz OFERZZQUXZIWAV-FWYAXHSGSA-N 0 0 294.282 2.574 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])NC[C@@H]1CC12CC2 ZINC000493672711 394305591 /nfs/dbraw/zinc/30/55/91/394305591.db2.gz IIJAUAXVRJZELB-MORRKMRCSA-N 0 0 290.294 2.663 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]2C2CC2)c(Br)c1 ZINC000450644278 394308073 /nfs/dbraw/zinc/30/80/73/394308073.db2.gz MQDBJXYXRASAMJ-SNVBAGLBSA-N 0 0 298.140 2.741 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CC[C@H]1C1CC1 ZINC000493695343 394311789 /nfs/dbraw/zinc/31/17/89/394311789.db2.gz SMALXXQWOAJNBQ-FCIQKIPGSA-N 0 0 272.304 2.619 20 5 CFBDRN C[C@@]12CN(c3ncccc3[N+](=O)[O-])C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000450689371 394318030 /nfs/dbraw/zinc/31/80/30/394318030.db2.gz GMYPRXBIAVLCQE-YXMPFFBPSA-N 0 0 271.320 2.638 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C1CC1 ZINC000156570791 394335505 /nfs/dbraw/zinc/33/55/05/394335505.db2.gz SMCOUUKIKATDNX-JTQLQIEISA-N 0 0 274.320 2.526 20 5 CFBDRN CSC1(CNC(=O)/C=C\c2ccccc2[N+](=O)[O-])CC1 ZINC000493800739 394318831 /nfs/dbraw/zinc/31/88/31/394318831.db2.gz CERWVUPWWFPSAR-SREVYHEPSA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1conc1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000493815649 394321761 /nfs/dbraw/zinc/32/17/61/394321761.db2.gz FVRFNZIFHPZQOM-SREVYHEPSA-N 0 0 273.248 2.543 20 5 CFBDRN Cc1cc(N2C[C@@H](F)[C@@H](F)C2)c(F)cc1[N+](=O)[O-] ZINC000450708797 394322949 /nfs/dbraw/zinc/32/29/49/394322949.db2.gz LACKJDXSKXAKEA-DTORHVGOSA-N 0 0 260.215 2.539 20 5 CFBDRN CO[C@H]1CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C[C@H]1C ZINC000450771223 394339109 /nfs/dbraw/zinc/33/91/09/394339109.db2.gz YJHYEMMXCHUFGT-BMIGLBTASA-N 0 0 292.335 2.659 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@@H]1C=CCCC1 ZINC000157741734 394349489 /nfs/dbraw/zinc/34/94/89/394349489.db2.gz GXVBZBDHJMSUBW-OCHBPSSRSA-N 0 0 272.304 2.833 20 5 CFBDRN COc1cccnc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000453374800 394392137 /nfs/dbraw/zinc/39/21/37/394392137.db2.gz SXZIECZNJUGSLD-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN COc1cc(C(=O)N(C)[C@@H]2CC2(C)C)c([N+](=O)[O-])cc1F ZINC000451253863 394370720 /nfs/dbraw/zinc/37/07/20/394370720.db2.gz KFEPVIHBYPFYNK-GFCCVEGCSA-N 0 0 296.298 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C)CC2)c1 ZINC000172931512 394374611 /nfs/dbraw/zinc/37/46/11/394374611.db2.gz CHJHPHMAXAQHFK-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000176958279 394382263 /nfs/dbraw/zinc/38/22/63/394382263.db2.gz OLJWXRSOGJYYBQ-NSHDSACASA-N 0 0 292.335 2.763 20 5 CFBDRN COc1cc(N2CCOC3(CCC3)C2)c(F)cc1[N+](=O)[O-] ZINC000271108674 135091402 /nfs/dbraw/zinc/09/14/02/135091402.db2.gz SQWODLMBOGSDJK-UHFFFAOYSA-N 0 0 296.298 2.502 20 5 CFBDRN Cc1ccc(NC(=O)[C@]2(C)CCCCO2)cc1[N+](=O)[O-] ZINC000457365304 394441886 /nfs/dbraw/zinc/44/18/86/394441886.db2.gz DZIQRRRZOMUABB-AWEZNQCLSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1sc(C(=O)NC(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000536566201 394560746 /nfs/dbraw/zinc/56/07/46/394560746.db2.gz XJPSPMQTPSBKPN-UHFFFAOYSA-N 0 0 268.338 2.883 20 5 CFBDRN Cc1cccc(OC[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000535880283 394548877 /nfs/dbraw/zinc/54/88/77/394548877.db2.gz PUYCLOPOMVAHNW-LLVKDONJSA-N 0 0 251.282 2.709 20 5 CFBDRN C[C@@H](NCc1nnsc1Cl)c1ccccc1[N+](=O)[O-] ZINC000539380888 394625756 /nfs/dbraw/zinc/62/57/56/394625756.db2.gz ZPOSKKVZRNXTPB-SSDOTTSWSA-N 0 0 298.755 2.951 20 5 CFBDRN CC[C@@H](C)n1nc(Nc2cc(C)c([N+](=O)[O-])cn2)cc1C ZINC000540493339 394647454 /nfs/dbraw/zinc/64/74/54/394647454.db2.gz ZQZJJZSFTNUGFU-SNVBAGLBSA-N 0 0 289.339 2.940 20 5 CFBDRN CCc1cnc(CN2CCc3ccc([N+](=O)[O-])cc32)o1 ZINC000543520006 394733415 /nfs/dbraw/zinc/73/34/15/394733415.db2.gz UDZBGHHSQBTSEB-UHFFFAOYSA-N 0 0 273.292 2.708 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000541684665 394680863 /nfs/dbraw/zinc/68/08/63/394680863.db2.gz RSEQCPXZOARADH-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN C[C@H](C(=O)Nc1cncc(F)c1)c1cccc([N+](=O)[O-])c1 ZINC000545250832 394785879 /nfs/dbraw/zinc/78/58/79/394785879.db2.gz KXTBLYCEKREBGI-VIFPVBQESA-N 0 0 289.266 2.871 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000545319014 394791574 /nfs/dbraw/zinc/79/15/74/394791574.db2.gz HWFUQHMOEIFYML-KXMPLOMGSA-N 0 0 287.319 2.882 20 5 CFBDRN COc1cc(C[NH2+]C2(C3CC3)CC2)c([N+](=O)[O-])cc1F ZINC000546629059 394833854 /nfs/dbraw/zinc/83/38/54/394833854.db2.gz KZBJWDHQHDIXFR-UHFFFAOYSA-N 0 0 280.299 2.775 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CC2(C1)CCCC2 ZINC000547998955 394902691 /nfs/dbraw/zinc/90/26/91/394902691.db2.gz PMKABPYPUXFRLE-UHFFFAOYSA-N 0 0 289.335 2.680 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)NC1(C2CC2)CC1 ZINC000548087549 394908902 /nfs/dbraw/zinc/90/89/02/394908902.db2.gz WBZHEFPUOFOAGH-UHFFFAOYSA-N 0 0 279.271 2.798 20 5 CFBDRN COc1cc(CN2[C@H](C)C[C@H]2C)c([N+](=O)[O-])cc1F ZINC000548096649 394910325 /nfs/dbraw/zinc/91/03/25/394910325.db2.gz DRQFEHZPPSIJDJ-RKDXNWHRSA-N 0 0 268.288 2.725 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1CC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000548098206 394911091 /nfs/dbraw/zinc/91/10/91/394911091.db2.gz DDXXQQYVQHEGRG-RKDXNWHRSA-N 0 0 297.742 2.669 20 5 CFBDRN Nc1c(C(=O)Nc2cccc3cc[nH]c32)cccc1[N+](=O)[O-] ZINC000548142853 394914205 /nfs/dbraw/zinc/91/42/05/394914205.db2.gz ADYVKWGANAQHGX-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCC[C@H]2CCO)n1 ZINC000548293071 394920643 /nfs/dbraw/zinc/92/06/43/394920643.db2.gz SJXNXZVHGICZMA-RYUDHWBXSA-N 0 0 279.340 2.570 20 5 CFBDRN CNC(=O)c1ccc(NCC2CC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000548364670 394924411 /nfs/dbraw/zinc/92/44/11/394924411.db2.gz KSVTVHAVIMWQSZ-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN CC(C)[C@@H]1CC[C@@H](C)C[C@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548412651 394928663 /nfs/dbraw/zinc/92/86/63/394928663.db2.gz XRPBAOXNBPPJKU-JFGNBEQYSA-N 0 0 294.355 2.509 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCc2nc(C)ncc2C1 ZINC000548613269 394940241 /nfs/dbraw/zinc/94/02/41/394940241.db2.gz ZPHYJPSVRTZPDX-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN C[C@@H](C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C(C)(F)F ZINC000556688906 395008201 /nfs/dbraw/zinc/00/82/01/395008201.db2.gz QELFXQUNPMOJDK-VIFPVBQESA-N 0 0 298.289 2.771 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000554935445 394962983 /nfs/dbraw/zinc/96/29/83/394962983.db2.gz DNENKIQLTNGWMY-MRVPVSSYSA-N 0 0 281.287 2.996 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000555222061 394968199 /nfs/dbraw/zinc/96/81/99/394968199.db2.gz QSPODOPZUSTXRQ-JQWIXIFHSA-N 0 0 254.261 2.632 20 5 CFBDRN CSC1(CNC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000555300188 394970682 /nfs/dbraw/zinc/97/06/82/394970682.db2.gz UKMKQLRWIZFQJW-JTQLQIEISA-N 0 0 294.376 2.710 20 5 CFBDRN COc1cnccc1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000585702002 395101388 /nfs/dbraw/zinc/10/13/88/395101388.db2.gz WWAYPWJYXNRBOW-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@]2(C1)CCCOC2 ZINC000376487476 296501662 /nfs/dbraw/zinc/50/16/62/296501662.db2.gz LNCJLWUHAVWXOU-CQSZACIVSA-N 0 0 280.299 2.741 20 5 CFBDRN C[C@@H]1CC(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)C[C@@H](C)C1 ZINC000596455530 395110464 /nfs/dbraw/zinc/11/04/64/395110464.db2.gz UNBPJFABTBKJKF-UWVGGRQHSA-N 0 0 279.340 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC([C@H]2CCOC2)CC1 ZINC000364187258 296505426 /nfs/dbraw/zinc/50/54/26/296505426.db2.gz JJLKVWBPHHLEED-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H]2c2ccco2)c(F)c1 ZINC000364321366 296506967 /nfs/dbraw/zinc/50/69/67/296506967.db2.gz KKFBPWFJCGABTF-ZDUSSCGKSA-N 0 0 292.266 2.905 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC2(C1)CCOCC2 ZINC000288211584 296511678 /nfs/dbraw/zinc/51/16/78/296511678.db2.gz DFXKMJPKNJQXAQ-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(c2cnccn2)CC1 ZINC000376481864 296514457 /nfs/dbraw/zinc/51/44/57/296514457.db2.gz YVVOQIUCGQXXAZ-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC[C@H](F)C1 ZINC000343044564 296519107 /nfs/dbraw/zinc/51/91/07/296519107.db2.gz HVZINSCMIZHXCA-ZETCQYMHSA-N 0 0 293.220 2.947 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC23CCC3)c(F)c1 ZINC000408471879 296519341 /nfs/dbraw/zinc/51/93/41/296519341.db2.gz TUVDTDHFFWRALL-UHFFFAOYSA-N 0 0 251.261 2.652 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H](C3CC3)[C@H]2C2CC2)s1 ZINC000450801834 296520540 /nfs/dbraw/zinc/52/05/40/296520540.db2.gz XLKCDOFJZFLMRK-GXSJLCMTSA-N 0 0 265.338 2.676 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1[C@H](C)C[C@H]1C ZINC000335187967 397648079 /nfs/dbraw/zinc/64/80/79/397648079.db2.gz ATXYOKOGGHGHJS-GHMZBOCLSA-N 0 0 262.309 2.835 20 5 CFBDRN CC[C@H](O)CCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000135080677 397604019 /nfs/dbraw/zinc/60/40/19/397604019.db2.gz UJHBCJHJQIFEED-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN CC[C@@H](O)CCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000135075521 397604034 /nfs/dbraw/zinc/60/40/34/397604034.db2.gz QCWDXTBNIFWQDN-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CO[C@@]1(C)C[C@@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000301673922 397638952 /nfs/dbraw/zinc/63/89/52/397638952.db2.gz RNJVGCXKANSNCV-DOMZBBRYSA-N 0 0 293.367 2.938 20 5 CFBDRN Cc1ccc(N2CCCSCC2)c([N+](=O)[O-])c1 ZINC000301459006 292130293 /nfs/dbraw/zinc/13/02/93/292130293.db2.gz YDPZXDGUQRUPBC-UHFFFAOYSA-N 0 0 252.339 2.847 20 5 CFBDRN Cc1ccc(N2CCC[C@@H]2C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000269849566 292131731 /nfs/dbraw/zinc/13/17/31/292131731.db2.gz IWORNRUTPFIDIS-VXGBXAGGSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1ccc(N2CCC[C@H]3OCC[C@H]32)c([N+](=O)[O-])c1 ZINC000366503683 292134297 /nfs/dbraw/zinc/13/42/97/292134297.db2.gz FVMWOZYHRAHHCT-TZMCWYRMSA-N 0 0 262.309 2.661 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)s1 ZINC000192509670 304812205 /nfs/dbraw/zinc/81/22/05/304812205.db2.gz JEPLRSCFAYXKCO-GDPRMGEGSA-N 0 0 295.364 2.811 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cccc(C(F)F)c2)cn1 ZINC000356043258 155147856 /nfs/dbraw/zinc/14/78/56/155147856.db2.gz JUUDZTKSRSQLIV-UHFFFAOYSA-N 0 0 253.208 2.777 20 5 CFBDRN CC(C)c1cccc(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000356045997 155148136 /nfs/dbraw/zinc/14/81/36/155148136.db2.gz QOPYSJGKLOAVJT-UHFFFAOYSA-N 0 0 287.319 2.976 20 5 CFBDRN CC1(C(=O)Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)CC1 ZINC000356066580 155155095 /nfs/dbraw/zinc/15/50/95/155155095.db2.gz YORDYWUIKXVKLS-UHFFFAOYSA-N 0 0 285.303 2.828 20 5 CFBDRN Cc1ccc(Cc2noc(-c3ccc([N+](=O)[O-])cc3)n2)cn1 ZINC000356129426 155168678 /nfs/dbraw/zinc/16/86/78/155168678.db2.gz BROZQCLRSGKPOT-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1cnc(OCc2ncoc2C2CC2)c([N+](=O)[O-])c1 ZINC000356195083 155180431 /nfs/dbraw/zinc/18/04/31/155180431.db2.gz YLPBAUOXFWPTLU-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CO[C@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1(C)C ZINC000450758838 286063824 /nfs/dbraw/zinc/06/38/24/286063824.db2.gz PBUWADJRASSZRI-LBPRGKRZSA-N 0 0 293.367 2.858 20 5 CFBDRN CCC[C@@H](CNc1cc(C(=O)OC)ccc1[N+](=O)[O-])OC ZINC000356302232 155216205 /nfs/dbraw/zinc/21/62/05/155216205.db2.gz ZLASCWPKEGXISH-NSHDSACASA-N 0 0 296.323 2.608 20 5 CFBDRN COc1c(C(=O)NCC2(C(C)C)CC2)cccc1[N+](=O)[O-] ZINC000356370798 155243450 /nfs/dbraw/zinc/24/34/50/155243450.db2.gz QOMSGQKPMVUMBC-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2ncccc2[N+](=O)[O-])C1(C)C ZINC000301438996 286165507 /nfs/dbraw/zinc/16/55/07/286165507.db2.gz UFFKVLSPGRLICC-ZWNOBZJWSA-N 0 0 265.313 2.605 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000449758895 286205375 /nfs/dbraw/zinc/20/53/75/286205375.db2.gz HRRLLEKUICWOSW-UKRRQHHQSA-N 0 0 294.351 2.738 20 5 CFBDRN COc1cc(CN2C[C@@H](C)[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000293546558 286220346 /nfs/dbraw/zinc/22/03/46/286220346.db2.gz YPYGIYWBRVJEPG-BDAKNGLRSA-N 0 0 268.288 2.583 20 5 CFBDRN COc1cc(N2CC=C(C)CC2)c([N+](=O)[O-])cc1F ZINC000270035735 286228475 /nfs/dbraw/zinc/22/84/75/286228475.db2.gz ICUQJIUTYWMWLX-UHFFFAOYSA-N 0 0 266.272 2.899 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H](C)CC1 ZINC000277377933 286248915 /nfs/dbraw/zinc/24/89/15/286248915.db2.gz DTHCWTANCDUHRA-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000356569036 155305364 /nfs/dbraw/zinc/30/53/64/155305364.db2.gz STBYSPSWINHBMQ-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1ccccc1SCc1c([N+](=O)[O-])ncn1C ZINC000356585626 155310280 /nfs/dbraw/zinc/31/02/80/155310280.db2.gz AOHIGHQCYSVADT-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN COc1ccc(C(=O)N(C)[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000356594598 155311581 /nfs/dbraw/zinc/31/15/81/155311581.db2.gz OGARORYOFBKPPH-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000356706036 155334962 /nfs/dbraw/zinc/33/49/62/155334962.db2.gz KIYIQCYAXJTTML-SSDOTTSWSA-N 0 0 274.679 2.726 20 5 CFBDRN C[C@H](C1CCC1)N(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000356798679 155371601 /nfs/dbraw/zinc/37/16/01/155371601.db2.gz RMNIOZMKZXGMJU-MRVPVSSYSA-N 0 0 280.353 2.929 20 5 CFBDRN COCCC(C)(C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492576662 292282245 /nfs/dbraw/zinc/28/22/45/292282245.db2.gz RJCLLKZTIKLEMY-TWGQIWQCSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H](NCc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1cccnc1 ZINC000356832747 155385866 /nfs/dbraw/zinc/38/58/66/155385866.db2.gz BCHYUBFWRSQNID-SNVBAGLBSA-N 0 0 297.318 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCCC1 ZINC000127863456 292284066 /nfs/dbraw/zinc/28/40/66/292284066.db2.gz VGKMGXWJDIILDO-UHFFFAOYSA-N 0 0 263.297 2.839 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC3(CCOCC3)CC2)n1 ZINC000376460247 292285133 /nfs/dbraw/zinc/28/51/33/292285133.db2.gz IXNKFAQCEJHZRY-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@H](C)C2)c1 ZINC000220082630 286383214 /nfs/dbraw/zinc/38/32/14/286383214.db2.gz XYWPTONTIPQOKX-ZJUUUORDSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H](C)O[C@H](C3CC3)C2)c1 ZINC000280565042 286383383 /nfs/dbraw/zinc/38/33/83/286383383.db2.gz VAGNKTNUWYLKRU-BMIGLBTASA-N 0 0 292.335 2.607 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H]([C@H]3CCOC3)C2)c1 ZINC000408425992 292288076 /nfs/dbraw/zinc/28/80/76/292288076.db2.gz QBXOMGKRQTUWGH-OLZOCXBDSA-N 0 0 276.336 2.766 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H]2C)c1 ZINC000105382328 286381876 /nfs/dbraw/zinc/38/18/76/286381876.db2.gz RIQPZAREKJOHHM-SKDRFNHKSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC000330674418 292293668 /nfs/dbraw/zinc/29/36/68/292293668.db2.gz GIOQPWBYVXMHOH-WDEREUQCSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)C[C@H]2CC[C@@H]1O2 ZINC000191851208 292293673 /nfs/dbraw/zinc/29/36/73/292293673.db2.gz UWMROUYDCHKVQF-ZLDLUXBVSA-N 0 0 290.319 2.799 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC2(CCC2)C1 ZINC000158068412 292292178 /nfs/dbraw/zinc/29/21/78/292292178.db2.gz XJIQSMAAIDVJCT-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCc2n[nH]cc2C1 ZINC000272395500 292295379 /nfs/dbraw/zinc/29/53/79/292295379.db2.gz DGAAKHFJEVVMDZ-LLVKDONJSA-N 0 0 286.335 2.746 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1ccc(F)cn1 ZINC000343151246 154127039 /nfs/dbraw/zinc/12/70/39/154127039.db2.gz AVHXZBCSFGROAY-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CSc1cccc(F)c1CNc1ccncc1[N+](=O)[O-] ZINC000343227398 154147718 /nfs/dbraw/zinc/14/77/18/154147718.db2.gz VOOGOYDQAUMYJX-UHFFFAOYSA-N 0 0 293.323 2.885 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000343231163 154148371 /nfs/dbraw/zinc/14/83/71/154148371.db2.gz XWINZOYTAJBUFF-ZYHUDNBSSA-N 0 0 278.308 2.777 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1ncccc1F ZINC000343246833 154153779 /nfs/dbraw/zinc/15/37/79/154153779.db2.gz IRTZTXFBOKOZNN-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCC[C@H]1O ZINC000343250754 154154749 /nfs/dbraw/zinc/15/47/49/154154749.db2.gz NGDJBWREOLIAEX-ZYHUDNBSSA-N 0 0 270.716 2.598 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000343255061 154156229 /nfs/dbraw/zinc/15/62/29/154156229.db2.gz UMAXEQUMBYJBES-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000343255062 154156737 /nfs/dbraw/zinc/15/67/37/154156737.db2.gz UMAXEQUMBYJBES-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN CN(Cc1cc[nH]n1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000343288167 154168200 /nfs/dbraw/zinc/16/82/00/154168200.db2.gz VPVUYNAVANAHOJ-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000343296608 154170247 /nfs/dbraw/zinc/17/02/47/154170247.db2.gz DUIKKEAXWGZSQQ-ZDUSSCGKSA-N 0 0 280.299 2.533 20 5 CFBDRN CO[C@@H](c1nc(Cc2ccccc2[N+](=O)[O-])no1)C1CC1 ZINC000345572891 154208149 /nfs/dbraw/zinc/20/81/49/154208149.db2.gz PILKAGLAOHRCOE-CYBMUJFWSA-N 0 0 289.291 2.666 20 5 CFBDRN Nc1ccc(-c2noc([C@@H]3C[C@@H]3C(F)F)n2)cc1[N+](=O)[O-] ZINC000345676400 154237707 /nfs/dbraw/zinc/23/77/07/154237707.db2.gz XSUSUWLCGDHNCK-NKWVEPMBSA-N 0 0 296.233 2.596 20 5 CFBDRN CCc1noc(-c2cccc(SC)c2[N+](=O)[O-])n1 ZINC000345684234 154238886 /nfs/dbraw/zinc/23/88/86/154238886.db2.gz DXTCXMVBMAUTSG-UHFFFAOYSA-N 0 0 265.294 2.929 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@@H]([NH2+]Cc2csc([N+](=O)[O-])c2)C1(C)C ZINC000345696635 154242704 /nfs/dbraw/zinc/24/27/04/154242704.db2.gz WDAMYDKADZDITC-GGZOMVNGSA-N 0 0 284.381 2.805 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@@H]([NH2+]Cc2csc([N+](=O)[O-])c2)C1(C)C ZINC000345696638 154243082 /nfs/dbraw/zinc/24/30/82/154243082.db2.gz WDAMYDKADZDITC-XXILOJSOSA-N 0 0 284.381 2.805 20 5 CFBDRN COc1ccccc1-c1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000345726702 154251681 /nfs/dbraw/zinc/25/16/81/154251681.db2.gz JXHNROAQUYQUMN-UHFFFAOYSA-N 0 0 286.247 2.649 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@H]1C(F)F ZINC000345755345 154260019 /nfs/dbraw/zinc/26/00/19/154260019.db2.gz XLOJLBJFZZCERF-NXEZZACHSA-N 0 0 298.289 2.903 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C(F)F)c1ccc([N+](=O)[O-])cc1Cl ZINC000345784718 154266593 /nfs/dbraw/zinc/26/65/93/154266593.db2.gz ACIRZULIFSZUPI-VXNVDRBHSA-N 0 0 290.653 2.632 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000345801437 154270192 /nfs/dbraw/zinc/27/01/92/154270192.db2.gz LOWHVIBKUCNJNA-QWRGUYRKSA-N 0 0 276.336 2.992 20 5 CFBDRN CSC1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000348010078 154285477 /nfs/dbraw/zinc/28/54/77/154285477.db2.gz MNQJMZUXXGBKHG-UHFFFAOYSA-N 0 0 284.312 2.958 20 5 CFBDRN O=C(C[C@H]1CCCCO1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348020585 154288553 /nfs/dbraw/zinc/28/85/53/154288553.db2.gz UCIYNPPBMJHDLC-GFCCVEGCSA-N 0 0 282.271 2.632 20 5 CFBDRN CCC1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000348020717 154288745 /nfs/dbraw/zinc/28/87/45/154288745.db2.gz UHJKDJJPFBHAJM-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN C[C@H]1[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1(F)F ZINC000348022356 154290348 /nfs/dbraw/zinc/29/03/48/154290348.db2.gz VTEFKVWFQQAPIT-CDUCUWFYSA-N 0 0 274.198 2.574 20 5 CFBDRN CSC[C@@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348027226 154292050 /nfs/dbraw/zinc/29/20/50/154292050.db2.gz YTDUDRUIBWESRB-SSDOTTSWSA-N 0 0 272.301 2.672 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000348038053 154294225 /nfs/dbraw/zinc/29/42/25/154294225.db2.gz JOJBAYJHRGAJFC-QPUJVOFHSA-N 0 0 280.299 2.752 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC000348065754 154304206 /nfs/dbraw/zinc/30/42/06/154304206.db2.gz ACPOKFYRLBCNFN-JBLDHEPKSA-N 0 0 291.351 2.853 20 5 CFBDRN Cc1noc([C@H](C)NCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000348098107 154318331 /nfs/dbraw/zinc/31/83/31/154318331.db2.gz SDSSAVDAJMSWQH-ZETCQYMHSA-N 0 0 296.714 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2ccc(-c3ccccn3)n2)cc1 ZINC000348116012 154327897 /nfs/dbraw/zinc/32/78/97/154327897.db2.gz ULMDTBJYQPBUSS-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN Cc1cc(N[C@H](CO)c2ccc(F)cc2)ncc1[N+](=O)[O-] ZINC000348166553 154346139 /nfs/dbraw/zinc/34/61/39/154346139.db2.gz GXVXVVNELDZFJX-GFCCVEGCSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cc(N(C)C2Cc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000348177818 154350675 /nfs/dbraw/zinc/35/06/75/154350675.db2.gz IEXCPLVTGXUZED-UHFFFAOYSA-N 0 0 283.331 2.902 20 5 CFBDRN CCN(C)C(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000348590251 154397266 /nfs/dbraw/zinc/39/72/66/154397266.db2.gz AQTSYIMOWYFOHE-UHFFFAOYSA-N 0 0 276.214 2.706 20 5 CFBDRN Cc1cccnc1CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352356946 154541623 /nfs/dbraw/zinc/54/16/23/154541623.db2.gz OQQHFBAPNCIGDU-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCc1ccc(F)cc1 ZINC000357015264 155467781 /nfs/dbraw/zinc/46/77/81/155467781.db2.gz PVUXBVAJPVMTHM-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCO)c2ccccc2)cn1 ZINC000354327553 154676198 /nfs/dbraw/zinc/67/61/98/154676198.db2.gz PFVZRELCDNHBTD-ZDUSSCGKSA-N 0 0 273.292 2.525 20 5 CFBDRN CCc1ccc(-c2nc(-c3nccn3C)no2)cc1[N+](=O)[O-] ZINC000356963746 155442370 /nfs/dbraw/zinc/44/23/70/155442370.db2.gz NKMQUMTYOVHXBF-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN COc1cccc(N[C@@H]2C[C@H]3OCCC[C@@H]23)c1[N+](=O)[O-] ZINC000302583362 286459058 /nfs/dbraw/zinc/45/90/58/286459058.db2.gz UNZNRFUFHVUPAZ-UFGOTCBOSA-N 0 0 278.308 2.583 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000355243836 154967111 /nfs/dbraw/zinc/96/71/11/154967111.db2.gz YPESRCAUPOQENR-WCBMZHEXSA-N 0 0 282.727 2.911 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000355299389 154985140 /nfs/dbraw/zinc/98/51/40/154985140.db2.gz XDVWSTIKJIBSOP-YUMQZZPRSA-N 0 0 252.245 2.719 20 5 CFBDRN Cc1cccc2nc(Cc3ccc([N+](=O)[O-])cn3)[nH]c(=O)c12 ZINC000355417168 155003239 /nfs/dbraw/zinc/00/32/39/155003239.db2.gz KBINHTSZQVYWJK-UHFFFAOYSA-N 0 0 296.286 2.538 20 5 CFBDRN CSCCCc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355446976 155010149 /nfs/dbraw/zinc/01/01/49/155010149.db2.gz WCDISJAVEHBPDK-UHFFFAOYSA-N 0 0 294.336 2.523 20 5 CFBDRN CC(C)=Cc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355448884 155011340 /nfs/dbraw/zinc/01/13/40/155011340.db2.gz YIGHECKGRRTIPH-UHFFFAOYSA-N 0 0 260.253 2.650 20 5 CFBDRN CC[C@H](C)OCc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355691333 155063741 /nfs/dbraw/zinc/06/37/41/155063741.db2.gz SWWSMSZFDCXLTC-JTQLQIEISA-N 0 0 291.307 2.884 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C\c2nc([C@@H]3CCCO3)no2)c1 ZINC000357092972 155497618 /nfs/dbraw/zinc/49/76/18/155497618.db2.gz VJHPJGKIXQEAKX-DGMVEKRQSA-N 0 0 287.275 3.000 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H](CO)C1CC1 ZINC000357776416 155531024 /nfs/dbraw/zinc/53/10/24/155531024.db2.gz VIJVKKRBDPZYFQ-VIFPVBQESA-N 0 0 270.716 2.679 20 5 CFBDRN Cc1sc(C(=O)N2CCOC(C)(C)[C@H]2C)cc1[N+](=O)[O-] ZINC000357933757 155580522 /nfs/dbraw/zinc/58/05/22/155580522.db2.gz YSGUHHQOCUGSDC-SECBINFHSA-N 0 0 298.364 2.604 20 5 CFBDRN COc1c(C(=O)NC[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000358019636 155603393 /nfs/dbraw/zinc/60/33/93/155603393.db2.gz RJGACCMQRYEXTF-GHMZBOCLSA-N 0 0 292.335 2.769 20 5 CFBDRN CSc1ccccc1Cn1ccc(C)c([N+](=O)[O-])c1=O ZINC000358266275 155696661 /nfs/dbraw/zinc/69/66/61/155696661.db2.gz TYVLFVZKZCKURC-UHFFFAOYSA-N 0 0 290.344 2.835 20 5 CFBDRN C[C@H]1CCCC[C@H]1CCNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000358266637 155697457 /nfs/dbraw/zinc/69/74/57/155697457.db2.gz SAEIVHBUABQQPH-QWRGUYRKSA-N 0 0 279.340 2.869 20 5 CFBDRN CCOc1cc(OCc2cnc(C)cn2)ccc1[N+](=O)[O-] ZINC000358517255 155789153 /nfs/dbraw/zinc/78/91/53/155789153.db2.gz SIAFBSXRHIEYFS-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN CC1(CNc2ccc(C(=O)NC3CC3)cc2[N+](=O)[O-])CC1 ZINC000359025913 155958907 /nfs/dbraw/zinc/95/89/07/155958907.db2.gz DAMSYEQZURUWQY-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN CCCCN(CCCO)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359089614 155982626 /nfs/dbraw/zinc/98/26/26/155982626.db2.gz BOVWDQZIYOAFNC-UHFFFAOYSA-N 0 0 281.356 2.596 20 5 CFBDRN CCC[C@@](C)(O)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359093896 155984369 /nfs/dbraw/zinc/98/43/69/155984369.db2.gz KJRQRYMABVAAIE-CYBMUJFWSA-N 0 0 267.329 2.570 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359094026 155984443 /nfs/dbraw/zinc/98/44/43/155984443.db2.gz KVCAUOIQJWSLIK-JOYOIKCWSA-N 0 0 281.356 2.816 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2CC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000360367271 156062081 /nfs/dbraw/zinc/06/20/81/156062081.db2.gz WUACASWLINTAHE-RKDXNWHRSA-N 0 0 298.339 2.937 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)Cc1ccncc1 ZINC000360879437 156063037 /nfs/dbraw/zinc/06/30/37/156063037.db2.gz GPLLWWLZCITIME-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN CC[C@H](C)[C@H](CNc1nc(C)ccc1[N+](=O)[O-])OC ZINC000362976986 156133900 /nfs/dbraw/zinc/13/39/00/156133900.db2.gz NPBBNUMXLUVNPD-CABZTGNLSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1cc(C)cc([C@@H]2CCCN2C(=O)[C@H]2C[C@@H]2[N+](=O)[O-])c1 ZINC000363064404 156145574 /nfs/dbraw/zinc/14/55/74/156145574.db2.gz ZOMFNHDQONUBDJ-KKUMJFAQSA-N 0 0 288.347 2.632 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(C3CCOCC3)CC2)s1 ZINC000367155020 156289897 /nfs/dbraw/zinc/28/98/97/156289897.db2.gz ZNAKPGYYVHAVLX-UHFFFAOYSA-N 0 0 297.380 2.694 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC2CCC1CC2 ZINC000371393555 156774225 /nfs/dbraw/zinc/77/42/25/156774225.db2.gz QHOUIZSCQYYFRC-UHFFFAOYSA-N 0 0 274.320 2.538 20 5 CFBDRN CCOc1cccc(N2CCO[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000375333394 286573219 /nfs/dbraw/zinc/57/32/19/286573219.db2.gz PIUXOECXNNFKHP-AWEZNQCLSA-N 0 0 292.335 2.609 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1C[C@H]2CC[C@@H](C1)O2 ZINC000374105302 157069759 /nfs/dbraw/zinc/06/97/59/157069759.db2.gz HXJUAFCOIGCIQS-HONMWMINSA-N 0 0 262.309 2.519 20 5 CFBDRN COC[C@H]1CCCC[N@H+](Cc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000374930531 157173629 /nfs/dbraw/zinc/17/36/29/157173629.db2.gz PBLQGHVJDCXRSR-ZDUSSCGKSA-N 0 0 296.342 2.982 20 5 CFBDRN COC[C@@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000375138435 157199960 /nfs/dbraw/zinc/19/99/60/157199960.db2.gz APGQYYQVTQFUID-SNVBAGLBSA-N 0 0 298.364 2.545 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CCCOCC2)cccc1[N+](=O)[O-] ZINC000376117318 157324585 /nfs/dbraw/zinc/32/45/85/157324585.db2.gz RYXKHOYPRAPSRE-NSHDSACASA-N 0 0 279.292 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cnc3n2CCCC3)c(F)c1 ZINC000376471435 157359740 /nfs/dbraw/zinc/35/97/40/157359740.db2.gz DIQURKUMCXQEQR-UHFFFAOYSA-N 0 0 290.298 2.879 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1cnc2n1CCCC2 ZINC000376484183 157361160 /nfs/dbraw/zinc/36/11/60/157361160.db2.gz JNEZAWHYTPDFTR-UHFFFAOYSA-N 0 0 272.308 2.740 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1C[C@@H]2CCC[C@@H]2C1 ZINC000408025971 157376472 /nfs/dbraw/zinc/37/64/72/157376472.db2.gz UZQPJFOKTWZIEW-PHIMTYICSA-N 0 0 299.330 2.948 20 5 CFBDRN CCOc1cc(NCc2cc[nH]c(=O)c2)ccc1[N+](=O)[O-] ZINC000340833909 161601722 /nfs/dbraw/zinc/60/17/22/161601722.db2.gz ACXMHAPEKCZFPL-UHFFFAOYSA-N 0 0 289.291 2.706 20 5 CFBDRN COc1ccncc1COc1ccc(OC)c([N+](=O)[O-])c1 ZINC000341261934 161605315 /nfs/dbraw/zinc/60/53/15/161605315.db2.gz BBDOBNZBKVTXQA-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN Cc1nc(NC(=O)C2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000342485703 161639453 /nfs/dbraw/zinc/63/94/53/161639453.db2.gz WGZNXWBAGNKYMI-UHFFFAOYSA-N 0 0 263.297 2.673 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000343817987 161869039 /nfs/dbraw/zinc/86/90/39/161869039.db2.gz APWAOMGSDAYSFZ-LBPRGKRZSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cc(N(C)CCc2ccccc2O)ncc1[N+](=O)[O-] ZINC000343865193 161880752 /nfs/dbraw/zinc/88/07/52/161880752.db2.gz ICBUVQVILFZNJJ-UHFFFAOYSA-N 0 0 287.319 2.683 20 5 CFBDRN Cc1ccccc1CN(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000344360310 162024977 /nfs/dbraw/zinc/02/49/77/162024977.db2.gz WXZYMGXDDMDOFH-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@H](CO)c2ccc(F)cc2)cs1 ZINC000344383654 162029388 /nfs/dbraw/zinc/02/93/88/162029388.db2.gz PJBCJVIVUXEJAN-GFCCVEGCSA-N 0 0 296.323 2.619 20 5 CFBDRN CC(C)CN(C)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000344515925 162056419 /nfs/dbraw/zinc/05/64/19/162056419.db2.gz OBWWFDZCVSMBSF-UHFFFAOYSA-N 0 0 282.365 2.801 20 5 CFBDRN Cc1csc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000344550133 162065334 /nfs/dbraw/zinc/06/53/34/162065334.db2.gz FISLLAWCINXQAP-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CO[C@H](CCNc1ncc(C)cc1[N+](=O)[O-])C(F)(F)F ZINC000345039298 162168091 /nfs/dbraw/zinc/16/80/91/162168091.db2.gz SXEIGDMADPARNZ-SECBINFHSA-N 0 0 293.245 2.678 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H]1CC[C@H](C)C1 ZINC000345172641 162194193 /nfs/dbraw/zinc/19/41/93/162194193.db2.gz KRWULKBXOPVMBY-QWRGUYRKSA-N 0 0 292.335 2.656 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H]1CC[C@@H](C)C1 ZINC000345172640 162194433 /nfs/dbraw/zinc/19/44/33/162194433.db2.gz KRWULKBXOPVMBY-MNOVXSKESA-N 0 0 292.335 2.656 20 5 CFBDRN CC(=O)N1CCc2cc(Nc3ccc([N+](=O)[O-])nc3)ccc21 ZINC000345316762 162224561 /nfs/dbraw/zinc/22/45/61/162224561.db2.gz AXIWKJIHTDIWEO-UHFFFAOYSA-N 0 0 298.302 2.642 20 5 CFBDRN C[C@@H]1C[C@H](NCc2cccc([N+](=O)[O-])c2)c2nccn21 ZINC000345350560 162233845 /nfs/dbraw/zinc/23/38/45/162233845.db2.gz HVLUYBYGFXLPMH-MFKMUULPSA-N 0 0 272.308 2.587 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)C(F)(F)F ZINC000345933233 162279954 /nfs/dbraw/zinc/27/99/54/162279954.db2.gz SFPUBKOVWVRZBB-ZETCQYMHSA-N 0 0 276.214 2.584 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(Cc3ccccn3)no2)c1 ZINC000346054357 162306877 /nfs/dbraw/zinc/30/68/77/162306877.db2.gz SFZGPZRONWJJMO-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000346068026 162309971 /nfs/dbraw/zinc/30/99/71/162309971.db2.gz LMAVQCBPXJNUDL-UHFFFAOYSA-N 0 0 265.313 2.559 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000346168116 162336783 /nfs/dbraw/zinc/33/67/83/162336783.db2.gz BUUTXZFEFQDYEN-JTQLQIEISA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000346249886 162363208 /nfs/dbraw/zinc/36/32/08/162363208.db2.gz PHJMCNQQLWUQBB-CABZTGNLSA-N 0 0 277.324 2.501 20 5 CFBDRN C[C@@H]1CCC[C@@H](c2noc(-c3cc([N+](=O)[O-])nn3C)n2)C1 ZINC000346281479 162370518 /nfs/dbraw/zinc/37/05/18/162370518.db2.gz VDVSMNBSTXYPID-RKDXNWHRSA-N 0 0 291.311 2.672 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000346281433 162371452 /nfs/dbraw/zinc/37/14/52/162371452.db2.gz UXNIVRZCAIGFEP-UHFFFAOYSA-N 0 0 267.329 2.584 20 5 CFBDRN CCCN(CC)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000346341337 162388919 /nfs/dbraw/zinc/38/89/19/162388919.db2.gz YSMGGHJJLGOHFZ-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000346523425 162435773 /nfs/dbraw/zinc/43/57/73/162435773.db2.gz LDEVYHDHUAIVCO-NSHDSACASA-N 0 0 276.336 2.832 20 5 CFBDRN CS[C@H](C)CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347294412 162647117 /nfs/dbraw/zinc/64/71/17/162647117.db2.gz XBYVOEDPWUGBJR-SSDOTTSWSA-N 0 0 287.316 2.607 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])o1)c1ccc(F)cc1 ZINC000347649837 162749014 /nfs/dbraw/zinc/74/90/14/162749014.db2.gz JQEFKDAURQVTJO-SECBINFHSA-N 0 0 292.266 2.860 20 5 CFBDRN O=C(Nc1cccc(OCCF)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000347735331 162764144 /nfs/dbraw/zinc/76/41/44/162764144.db2.gz OSLWNKGNBHVHRK-UHFFFAOYSA-N 0 0 293.254 2.524 20 5 CFBDRN CC(C)CNC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000348454139 162852561 /nfs/dbraw/zinc/85/25/61/162852561.db2.gz HNOKEVCRKVWVMN-UHFFFAOYSA-N 0 0 290.241 2.999 20 5 CFBDRN COc1ccccc1-c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000349843619 163025513 /nfs/dbraw/zinc/02/55/13/163025513.db2.gz LGGOJHKVGVQKSE-UHFFFAOYSA-N 0 0 286.247 2.649 20 5 CFBDRN C[C@@H]1CC[C@H](COc2c(Cl)cncc2[N+](=O)[O-])O1 ZINC000349856622 163027676 /nfs/dbraw/zinc/02/76/76/163027676.db2.gz XOHIEILZZJNUEM-HTQZYQBOSA-N 0 0 272.688 2.590 20 5 CFBDRN CCCn1cc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)cn1 ZINC000349884487 163031300 /nfs/dbraw/zinc/03/13/00/163031300.db2.gz VXYBYFHGZFLCAU-UHFFFAOYSA-N 0 0 299.290 2.918 20 5 CFBDRN CC(C)Cc1noc(-c2n[nH]c(C(C)C)c2[N+](=O)[O-])n1 ZINC000350451881 163082682 /nfs/dbraw/zinc/08/26/82/163082682.db2.gz GKKFPJUTJUEEBS-UHFFFAOYSA-N 0 0 279.300 2.690 20 5 CFBDRN Cc1cccc(CS(=O)(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000350674840 163097577 /nfs/dbraw/zinc/09/75/77/163097577.db2.gz DQNBADACZPTBPY-UHFFFAOYSA-N 0 0 291.328 2.877 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2C[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000350785959 163106725 /nfs/dbraw/zinc/10/67/25/163106725.db2.gz KWFPERJSVIIXIW-RKDXNWHRSA-N 0 0 285.250 2.678 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C\c2nc(-c3ncc[nH]3)no2)c1 ZINC000351003615 163114619 /nfs/dbraw/zinc/11/46/19/163114619.db2.gz UEZSOXQHXMQBIP-PLNGDYQASA-N 0 0 283.247 2.538 20 5 CFBDRN COc1cc(NCC2(CCO)CCC2)c(F)cc1[N+](=O)[O-] ZINC000351481523 163131942 /nfs/dbraw/zinc/13/19/42/163131942.db2.gz ZJLCAGSXPZNTPD-UHFFFAOYSA-N 0 0 298.314 2.707 20 5 CFBDRN CCn1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000351651627 163166015 /nfs/dbraw/zinc/16/60/15/163166015.db2.gz PPBCFIZAQUMLPL-UHFFFAOYSA-N 0 0 293.258 2.594 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000351674028 163172032 /nfs/dbraw/zinc/17/20/32/163172032.db2.gz SFNYBRZMBHHRIM-LBPRGKRZSA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@H](C(=O)N1CCCCCO1)c1ccc([N+](=O)[O-])cc1F ZINC000351694677 163177191 /nfs/dbraw/zinc/17/71/91/163177191.db2.gz UDNHRVLRDBMEEM-JTQLQIEISA-N 0 0 296.298 2.782 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCOCC23CCC3)c(F)c1 ZINC000351716566 163181331 /nfs/dbraw/zinc/18/13/31/163181331.db2.gz AQOIBZNVNATAEP-UHFFFAOYSA-N 0 0 298.289 2.628 20 5 CFBDRN COc1cc(CSc2ncc(C)cn2)ccc1[N+](=O)[O-] ZINC000351805241 163196516 /nfs/dbraw/zinc/19/65/16/163196516.db2.gz FHSQRLYWQVRBTI-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC[C@H]1C ZINC000351808744 163196625 /nfs/dbraw/zinc/19/66/25/163196625.db2.gz NESMKABHCPGIPG-MWLCHTKSSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1cc(COc2ccc(CO)cc2)ccc1[N+](=O)[O-] ZINC000351826651 163200203 /nfs/dbraw/zinc/20/02/03/163200203.db2.gz ICICDPZQDASOGP-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN COc1cc(COc2c(C)nn(C)c2C)ccc1[N+](=O)[O-] ZINC000351883521 163210464 /nfs/dbraw/zinc/21/04/64/163210464.db2.gz ACEQISIRAVDJTL-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2c(F)ccc(O)c2F)cc1 ZINC000352760059 163228165 /nfs/dbraw/zinc/22/81/65/163228165.db2.gz NKTWTKSDYOVYDA-UHFFFAOYSA-N 0 0 294.257 2.868 20 5 CFBDRN Cc1ccc(C(C)(C)NC(=O)c2ccccc2[N+](=O)[O-])cn1 ZINC000352763319 163228633 /nfs/dbraw/zinc/22/86/33/163228633.db2.gz ZRKOQLSFOIYHBI-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN CCc1ccc(CNCc2ccccc2[N+](=O)[O-])cn1 ZINC000352815349 163240687 /nfs/dbraw/zinc/24/06/87/163240687.db2.gz LJZQBGHBCKKUSI-UHFFFAOYSA-N 0 0 271.320 2.842 20 5 CFBDRN CSc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000353000218 163285187 /nfs/dbraw/zinc/28/51/87/163285187.db2.gz QRKCFUKHBHKRQI-UHFFFAOYSA-N 0 0 291.332 2.921 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000353347295 163349470 /nfs/dbraw/zinc/34/94/70/163349470.db2.gz BYNOQPPKILIQDH-TVQRCGJNSA-N 0 0 280.324 2.903 20 5 CFBDRN CC(C)CN(Cc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000353542792 163389311 /nfs/dbraw/zinc/38/93/11/163389311.db2.gz LQCUOXAKQFOGMA-UHFFFAOYSA-N 0 0 288.351 2.991 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C(C)C)C1 ZINC000353827678 163459827 /nfs/dbraw/zinc/45/98/27/163459827.db2.gz OSDAZODOJHBUAM-LBPRGKRZSA-N 0 0 291.351 2.806 20 5 CFBDRN CC[C@@H]1CN(c2cccc(OC)c2[N+](=O)[O-])CCCO1 ZINC000281726951 287213020 /nfs/dbraw/zinc/21/30/20/287213020.db2.gz CLSPLXAEPBZQME-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccccc1[N+](=O)[O-] ZINC000005344647 170088275 /nfs/dbraw/zinc/08/82/75/170088275.db2.gz HJQUZRLDWPFKFL-UHFFFAOYSA-N 0 0 287.231 2.755 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])s2)o1 ZINC000005421310 170097560 /nfs/dbraw/zinc/09/75/60/170097560.db2.gz MANBTUSIUMQMOW-UHFFFAOYSA-N 0 0 280.305 2.830 20 5 CFBDRN CCOCCCCNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000284274506 196018661 /nfs/dbraw/zinc/01/86/61/196018661.db2.gz LQIYMNMNLZPWRC-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN COc1ccc(NC(=O)Cc2cccs2)c([N+](=O)[O-])c1 ZINC000005670298 170125995 /nfs/dbraw/zinc/12/59/95/170125995.db2.gz HVPOPHQXCLHPFO-UHFFFAOYSA-N 0 0 292.316 2.846 20 5 CFBDRN C[C@H]1CCCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000005675850 170127317 /nfs/dbraw/zinc/12/73/17/170127317.db2.gz GFPSILJWKMIWML-NSHDSACASA-N 0 0 262.309 2.538 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000006061086 170173162 /nfs/dbraw/zinc/17/31/62/170173162.db2.gz DTFADNIQGLHSCF-FZMZJTMJSA-N 0 0 292.335 2.669 20 5 CFBDRN CCCN(CCC)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000006757245 170241161 /nfs/dbraw/zinc/24/11/61/170241161.db2.gz ZPYUWDZCQXDFKQ-UHFFFAOYSA-N 0 0 264.325 2.786 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000007890941 170306557 /nfs/dbraw/zinc/30/65/57/170306557.db2.gz DUESOKFTIPUEQK-GFCCVEGCSA-N 0 0 294.351 2.915 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnc(C)c1 ZINC000010165097 170399764 /nfs/dbraw/zinc/39/97/64/170399764.db2.gz GEECMNLYCMNXQQ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CN(C(=O)c1ccnc(Cl)c1)c1ccc([N+](=O)[O-])cc1 ZINC000020362470 171161508 /nfs/dbraw/zinc/16/15/08/171161508.db2.gz MPTGQJIVUVSHBR-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000020448741 171207247 /nfs/dbraw/zinc/20/72/47/171207247.db2.gz PNIDTOZKZSIBDC-SECBINFHSA-N 0 0 254.286 2.886 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1nnsc1Cl ZINC000021226142 171300152 /nfs/dbraw/zinc/30/01/52/171300152.db2.gz UWKZKMOHMNMLET-UHFFFAOYSA-N 0 0 289.675 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCO2)c(Cl)c1 ZINC000021812414 171329713 /nfs/dbraw/zinc/32/97/13/171329713.db2.gz FBKVRQSUOAGOGS-VIFPVBQESA-N 0 0 256.689 2.839 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCO2)c(Cl)c1 ZINC000021812415 171329758 /nfs/dbraw/zinc/32/97/58/171329758.db2.gz FBKVRQSUOAGOGS-SECBINFHSA-N 0 0 256.689 2.839 20 5 CFBDRN COc1ccc(NCc2ccc(OC)c([N+](=O)[O-])c2)cn1 ZINC000022147390 171357114 /nfs/dbraw/zinc/35/71/14/171357114.db2.gz NTWIDZMREMFJEG-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN COc1ccc(C(=O)NC(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000022385281 171385911 /nfs/dbraw/zinc/38/59/11/171385911.db2.gz JCJYUPVGCWPFPD-UHFFFAOYSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCCC2(C)CC2)c1F ZINC000284883869 196149657 /nfs/dbraw/zinc/14/96/57/196149657.db2.gz LEAIXOLGYIMUOC-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN Cc1ncccc1CC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000285006189 196176125 /nfs/dbraw/zinc/17/61/25/196176125.db2.gz GEDWJGWMBHLAAY-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000035019274 171937460 /nfs/dbraw/zinc/93/74/60/171937460.db2.gz SDGMITJMDRGCPY-BXUZGUMPSA-N 0 0 294.351 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCOC2)c(Cl)c1 ZINC000035255714 172085563 /nfs/dbraw/zinc/08/55/63/172085563.db2.gz DUGWRZGVNXZWDY-QMMMGPOBSA-N 0 0 256.689 2.697 20 5 CFBDRN COC(=O)CCCSc1ccc([N+](=O)[O-])cc1 ZINC000035286475 172104289 /nfs/dbraw/zinc/10/42/89/172104289.db2.gz JIRDGMVRCVGXFD-UHFFFAOYSA-N 0 0 255.295 2.640 20 5 CFBDRN CCOC1CC(COc2ccc(OC)cc2[N+](=O)[O-])C1 ZINC000285173384 196212827 /nfs/dbraw/zinc/21/28/27/196212827.db2.gz NLZYRGDZDFCTGK-UHFFFAOYSA-N 0 0 281.308 2.797 20 5 CFBDRN CCOC1CC(COc2ccc([N+](=O)[O-])cc2C=O)C1 ZINC000285208096 196221969 /nfs/dbraw/zinc/22/19/69/196221969.db2.gz PMXGWGXSWXHSDH-UHFFFAOYSA-N 0 0 279.292 2.601 20 5 CFBDRN C[C@@H](NCc1cc(F)ccc1[N+](=O)[O-])c1ccccn1 ZINC000035694738 172364125 /nfs/dbraw/zinc/36/41/25/172364125.db2.gz UFHQTCCUYZKWJS-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN Cc1ccc(N[C@@H](C)[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000035736179 172388590 /nfs/dbraw/zinc/38/85/90/172388590.db2.gz RTEGCGNAFZMUCV-GWCFXTLKSA-N 0 0 250.298 2.883 20 5 CFBDRN COC(=O)CSCc1cc([N+](=O)[O-])ccc1Cl ZINC000036336621 172620236 /nfs/dbraw/zinc/62/02/36/172620236.db2.gz XPWQFQFIBIUGPJ-UHFFFAOYSA-N 0 0 275.713 2.654 20 5 CFBDRN COC(=O)[C@H](CC(C)C)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000037283190 173090667 /nfs/dbraw/zinc/09/06/67/173090667.db2.gz SDHVRPFUBLVUPL-ZDUSSCGKSA-N 0 0 294.351 2.581 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000037286195 173092397 /nfs/dbraw/zinc/09/23/97/173092397.db2.gz JKITVQMCGGVXGZ-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN Cc1noc([C@@H]2CCCN2Cc2ccccc2[N+](=O)[O-])n1 ZINC000285723893 196379282 /nfs/dbraw/zinc/37/92/82/196379282.db2.gz QFXYZKARIUDAAU-ZDUSSCGKSA-N 0 0 288.307 2.623 20 5 CFBDRN COc1ncccc1CNCc1ccc(C)c([N+](=O)[O-])c1 ZINC000037408119 173183813 /nfs/dbraw/zinc/18/38/13/173183813.db2.gz ZEMMIZZFXCQOPX-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN Cc1cccc(C(=O)NCc2cccc(O)c2)c1[N+](=O)[O-] ZINC000038032247 174027701 /nfs/dbraw/zinc/02/77/01/174027701.db2.gz BYZLSRNDIDZATF-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Cc1ccc(NCc2ccnc(C)n2)c([N+](=O)[O-])c1 ZINC000038038211 174038652 /nfs/dbraw/zinc/03/86/52/174038652.db2.gz TVVNPQMITCLHPJ-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=[N+]([O-])c1nn(CCc2ccccc2)cc1Br ZINC000040517284 174307609 /nfs/dbraw/zinc/30/76/09/174307609.db2.gz VBXCFAVASSOEGY-UHFFFAOYSA-N 0 0 296.124 2.797 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1ccc(O)cc1 ZINC000040736590 174389639 /nfs/dbraw/zinc/38/96/39/174389639.db2.gz CLUFVYRIARCAJE-UHFFFAOYSA-N 0 0 258.277 2.955 20 5 CFBDRN CCC[C@@H](C)N(C)c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000286261793 196544414 /nfs/dbraw/zinc/54/44/14/196544414.db2.gz VWHJQZXAZCHUCR-SECBINFHSA-N 0 0 290.323 2.868 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000043043742 174955697 /nfs/dbraw/zinc/95/56/97/174955697.db2.gz CEYCYOSJVFAGCT-DGCLKSJQSA-N 0 0 291.351 2.973 20 5 CFBDRN CCCCNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000043468561 175053190 /nfs/dbraw/zinc/05/31/90/175053190.db2.gz GPYOTULQULRBKX-UHFFFAOYSA-N 0 0 251.286 2.825 20 5 CFBDRN COC(=O)c1ccc(CNc2ccc([N+](=O)[O-])cc2F)o1 ZINC000043474186 175054265 /nfs/dbraw/zinc/05/42/65/175054265.db2.gz PYYMYSAAKGYVDG-UHFFFAOYSA-N 0 0 294.238 2.726 20 5 CFBDRN Cc1cc(NC(=O)c2ccnc(F)c2)ccc1[N+](=O)[O-] ZINC000043570212 175068237 /nfs/dbraw/zinc/06/82/37/175068237.db2.gz MPYFKCZAVRPIDS-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cn1cc(Nc2ccc([N+](=O)[O-])cc2Br)cn1 ZINC000043596515 175076642 /nfs/dbraw/zinc/07/66/42/175076642.db2.gz UIZBOQAXBDKCND-UHFFFAOYSA-N 0 0 297.112 2.834 20 5 CFBDRN C[C@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000043842926 175115362 /nfs/dbraw/zinc/11/53/62/175115362.db2.gz VVECRMFKLVDOOZ-JTQLQIEISA-N 0 0 277.324 2.535 20 5 CFBDRN Cc1ccc(NC(=O)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000044603193 175215594 /nfs/dbraw/zinc/21/55/94/175215594.db2.gz YTJPXYPTXWIJTD-UHFFFAOYSA-N 0 0 262.187 2.794 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1C[C@H]1c1ccccc1 ZINC000044677826 175227449 /nfs/dbraw/zinc/22/74/49/175227449.db2.gz OOPVAGDXZSVUEW-WCQYABFASA-N 0 0 255.277 2.958 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CCOC[C@H]1C ZINC000286432702 196602144 /nfs/dbraw/zinc/60/21/44/196602144.db2.gz QRGIYVWTXRJBSP-ZYHUDNBSSA-N 0 0 292.335 2.882 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000075278572 176681184 /nfs/dbraw/zinc/68/11/84/176681184.db2.gz LQBLJMNUASSOPB-BDAKNGLRSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1cc(CNC(=O)c2cc([N+](=O)[O-])c(C)cc2C)ccn1 ZINC000421546322 533680746 /nfs/dbraw/zinc/68/07/46/533680746.db2.gz BLJFIKUKBKKGLK-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN C[C@@H]1C[C@H](CCNc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000286505207 196625510 /nfs/dbraw/zinc/62/55/10/196625510.db2.gz AXSZAGDSKXRYAT-GHMZBOCLSA-N 0 0 279.340 2.794 20 5 CFBDRN CNC(=O)c1ccc(NCCSC(C)C)c([N+](=O)[O-])c1 ZINC000286522213 196629302 /nfs/dbraw/zinc/62/93/02/196629302.db2.gz UKBPULFYXZMWGN-UHFFFAOYSA-N 0 0 297.380 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCSC(C)C ZINC000286551621 196638370 /nfs/dbraw/zinc/63/83/70/196638370.db2.gz ARLXOIFYTNLHNF-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN CSC[C@@H](C)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000077178330 177230972 /nfs/dbraw/zinc/23/09/72/177230972.db2.gz SASZZRNBUVIVNC-SECBINFHSA-N 0 0 283.353 2.776 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCCC[C@H]1CO ZINC000077209872 177235504 /nfs/dbraw/zinc/23/55/04/177235504.db2.gz HAIQHYZOUBEAHO-WDEREUQCSA-N 0 0 250.298 2.558 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000077227755 177236540 /nfs/dbraw/zinc/23/65/40/177236540.db2.gz RWMDWQVNZOWELI-JGVFFNPUSA-N 0 0 258.705 2.677 20 5 CFBDRN COc1cc(C(=O)N2CCCC2(C)C)ccc1[N+](=O)[O-] ZINC000077352686 177255523 /nfs/dbraw/zinc/25/55/23/177255523.db2.gz OFZWIKHPXNIUIB-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN C[C@@H](NC(=O)C1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000077737797 177297643 /nfs/dbraw/zinc/29/76/43/177297643.db2.gz MPSBLPTWVQJXRV-SNVBAGLBSA-N 0 0 260.293 2.738 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)C1CC=CC1 ZINC000077997693 177315521 /nfs/dbraw/zinc/31/55/21/177315521.db2.gz SVKWMKTYNDWVTR-UHFFFAOYSA-N 0 0 288.347 3.000 20 5 CFBDRN COc1cc(NC[C@H](O)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000078267008 177329250 /nfs/dbraw/zinc/32/92/50/177329250.db2.gz GUWNMABVCCTJIP-LLVKDONJSA-N 0 0 282.340 2.812 20 5 CFBDRN CC(C)N(C(=O)COc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000078421625 177340329 /nfs/dbraw/zinc/34/03/29/177340329.db2.gz JWJOGTWOBJXJAJ-UHFFFAOYSA-N 0 0 280.324 2.619 20 5 CFBDRN O=c1ccc(C(F)(F)F)cn1Cc1cccc([N+](=O)[O-])c1 ZINC000078460115 177343208 /nfs/dbraw/zinc/34/32/08/177343208.db2.gz YJGGCDODQAXOJX-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H](CO)Cc1ccccc1 ZINC000078495410 177347096 /nfs/dbraw/zinc/34/70/96/177347096.db2.gz POXVIUKIPXWMLC-ZDUSSCGKSA-N 0 0 290.294 2.749 20 5 CFBDRN CCC(CC)CNC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000078508064 177348099 /nfs/dbraw/zinc/34/80/99/177348099.db2.gz IDWAKRMAPHXJIA-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CCC[C@@H](CCO)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000078673046 177368899 /nfs/dbraw/zinc/36/88/99/177368899.db2.gz SLDUVKOTRLRMNX-VIFPVBQESA-N 0 0 287.747 2.854 20 5 CFBDRN CC(C)(CCO)CNc1ccc([N+](=O)[O-])cc1F ZINC000078688625 177371197 /nfs/dbraw/zinc/37/11/97/177371197.db2.gz PCLPUAFPYRNARX-UHFFFAOYSA-N 0 0 256.277 2.554 20 5 CFBDRN COC(=O)[C@H](C)S[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000078695136 177372216 /nfs/dbraw/zinc/37/22/16/177372216.db2.gz UQYWNHNUKQRTPB-IUCAKERBSA-N 0 0 269.322 2.951 20 5 CFBDRN CC(C)CON=c1nc[nH]c2sc([N+](=O)[O-])cc21 ZINC000286603428 196653621 /nfs/dbraw/zinc/65/36/21/196653621.db2.gz UYCYCUSNLVNASB-UHFFFAOYSA-N 0 0 268.298 2.599 20 5 CFBDRN CCN(CC(C)(C)O)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000078730240 177378010 /nfs/dbraw/zinc/37/80/10/177378010.db2.gz GOPMMRFDUCZALK-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN COC(=O)C[C@@H](C)SCCc1ccc([N+](=O)[O-])cc1 ZINC000078813682 177391904 /nfs/dbraw/zinc/39/19/04/177391904.db2.gz CVMLMWJZGOJVAP-SNVBAGLBSA-N 0 0 283.349 2.822 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000286626361 196660412 /nfs/dbraw/zinc/66/04/12/196660412.db2.gz GUXCHBRFBNUAMY-MRVPVSSYSA-N 0 0 254.311 2.575 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000335866273 287338618 /nfs/dbraw/zinc/33/86/18/287338618.db2.gz JLSBRWSVLSXHSI-SNVBAGLBSA-N 0 0 287.319 2.948 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C(C)(C)C1 ZINC000284486859 296914954 /nfs/dbraw/zinc/91/49/54/296914954.db2.gz UEMUSKJYLNVFLK-QMMMGPOBSA-N 0 0 295.314 2.577 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000187421898 287361242 /nfs/dbraw/zinc/36/12/42/287361242.db2.gz RHQRJQJTJSPDOU-ZWNOBZJWSA-N 0 0 262.309 2.855 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(-c2nc(CCC(C)(C)C)no2)n1 ZINC000347213814 226087958 /nfs/dbraw/zinc/08/79/58/226087958.db2.gz KOAICIDSEYZDHG-UHFFFAOYSA-N 0 0 293.327 2.840 20 5 CFBDRN Cc1cnc(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)cn1 ZINC000349156995 226554697 /nfs/dbraw/zinc/55/46/97/226554697.db2.gz FMRPWNSZBWKFFT-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN CC[C@@]1(C)CN(C(=O)c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000192622112 287420681 /nfs/dbraw/zinc/42/06/81/287420681.db2.gz YKPXNJGCAGCQQB-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000275019254 287436437 /nfs/dbraw/zinc/43/64/37/287436437.db2.gz LOPYRVCTYIBZJZ-CQSZACIVSA-N 0 0 264.325 2.584 20 5 CFBDRN Cc1cc(C)c(CNCc2csc([N+](=O)[O-])c2)cn1 ZINC000397485566 270318853 /nfs/dbraw/zinc/31/88/53/270318853.db2.gz INDSBURSMDAOTA-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN Cc1cccc(NC[C@H]2CC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000397891206 270320738 /nfs/dbraw/zinc/32/07/38/270320738.db2.gz RTRMBKTXNIZZLH-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN CC1(C)COC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000313032866 260239706 /nfs/dbraw/zinc/23/97/06/260239706.db2.gz JQXVEYRJYWOOAU-ZDUSSCGKSA-N 0 0 287.319 2.980 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H](C)CCCCO ZINC000517962235 260327756 /nfs/dbraw/zinc/32/77/56/260327756.db2.gz VBOQITZDQXWEPX-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN CCc1nn(C)c(NC[C@H](C)C(C)C)c1[N+](=O)[O-] ZINC000091561705 260448311 /nfs/dbraw/zinc/44/83/11/260448311.db2.gz NGTIWWXWDAXUPS-VIFPVBQESA-N 0 0 254.334 2.595 20 5 CFBDRN Cc1cnc(NCc2ccc(C)c(C)n2)c([N+](=O)[O-])c1 ZINC000314235078 261596049 /nfs/dbraw/zinc/59/60/49/261596049.db2.gz WNAKFGQZTPVBJS-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN COc1cc(CN2CCC[C@H](F)C2)c([N+](=O)[O-])cc1OC ZINC000518782834 262048240 /nfs/dbraw/zinc/04/82/40/262048240.db2.gz OCRDDZFCDDBOLD-NSHDSACASA-N 0 0 298.314 2.546 20 5 CFBDRN CCSCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000525775398 262200144 /nfs/dbraw/zinc/20/01/44/262200144.db2.gz JTDAYWOLWSBLTB-JTQLQIEISA-N 0 0 299.396 2.537 20 5 CFBDRN CCC(C)(C)C(=O)NCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278249750 263046123 /nfs/dbraw/zinc/04/61/23/263046123.db2.gz XCCHXRAOIMFCQJ-UHFFFAOYSA-N 0 0 290.323 2.524 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])co1 ZINC000187831996 263269642 /nfs/dbraw/zinc/26/96/42/263269642.db2.gz XQCZYIXJRWMNAC-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN CC[C@@H](N[C@@H](C)c1ncn(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000391884400 265024475 /nfs/dbraw/zinc/02/44/75/265024475.db2.gz XNVJMIGJFCSAFC-GXFFZTMASA-N 0 0 289.339 2.525 20 5 CFBDRN CC(C)SCC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000514533245 265177227 /nfs/dbraw/zinc/17/72/27/265177227.db2.gz KMVDANQZAYLITD-UHFFFAOYSA-N 0 0 268.338 2.699 20 5 CFBDRN CCCC[C@H]([NH2+]C/C=C\c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000518933859 265230890 /nfs/dbraw/zinc/23/08/90/265230890.db2.gz DZTMTIIBWMBJNI-WOLRVDTOSA-N 0 0 292.335 2.841 20 5 CFBDRN CNC(=O)c1ccc(NC[C@@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC000096832864 265389296 /nfs/dbraw/zinc/38/92/96/265389296.db2.gz SQSOUCIIFSQQGT-SNVBAGLBSA-N 0 0 279.340 2.658 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000195322254 265523228 /nfs/dbraw/zinc/52/32/28/265523228.db2.gz LAHDJHPVEJDBMP-ZJUUUORDSA-N 0 0 280.324 2.768 20 5 CFBDRN Cc1c(OCC(=O)c2cccn2C)cccc1[N+](=O)[O-] ZINC000037991124 270226121 /nfs/dbraw/zinc/22/61/21/270226121.db2.gz QMTGZIALDGSHRU-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN C[C@H](NC(=O)c1cccc(N)c1)c1cccc([N+](=O)[O-])c1 ZINC000037766499 270226219 /nfs/dbraw/zinc/22/62/19/270226219.db2.gz USFICZZTYPYJLN-JTQLQIEISA-N 0 0 285.303 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CCc1ccncc1 ZINC000194847331 270247840 /nfs/dbraw/zinc/24/78/40/270247840.db2.gz ABHIILMYJMMELU-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCCc1ccccc1 ZINC000195524152 270254090 /nfs/dbraw/zinc/25/40/90/270254090.db2.gz BIHAINRTACRTSN-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000312263879 270289190 /nfs/dbraw/zinc/28/91/90/270289190.db2.gz LJOGAQXESLGWFN-YUMQZZPRSA-N 0 0 294.336 2.579 20 5 CFBDRN CC(C)(C)[C@@H](O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000324501811 270298241 /nfs/dbraw/zinc/29/82/41/270298241.db2.gz KZHADZDPKMPNIF-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCCC2(F)F)ncc1[N+](=O)[O-] ZINC000391029518 270306238 /nfs/dbraw/zinc/30/62/38/270306238.db2.gz UQVWSPOBXIZLOP-JTQLQIEISA-N 0 0 285.294 2.958 20 5 CFBDRN COc1cccc(N[C@H]2CC23CCOCC3)c1[N+](=O)[O-] ZINC000395641660 270313175 /nfs/dbraw/zinc/31/31/75/270313175.db2.gz DVPCRFRTKDTRQK-LBPRGKRZSA-N 0 0 278.308 2.584 20 5 CFBDRN CCOc1cc(NCc2cnc(C)o2)ccc1[N+](=O)[O-] ZINC000397850996 270319872 /nfs/dbraw/zinc/31/98/72/270319872.db2.gz HHCURPLTRDSMGZ-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2=CCOCC2)c2ccncc21 ZINC000397896792 270320319 /nfs/dbraw/zinc/32/03/19/270320319.db2.gz VQHSOXBSFZINDH-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CC[C@@H](C)O2)c1[N+](=O)[O-] ZINC000397914396 270320630 /nfs/dbraw/zinc/32/06/30/270320630.db2.gz FXPQWILKIONWKG-MNOVXSKESA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cc(COc2cccc([N+](=O)[O-])c2C)ncn1 ZINC000488217319 270342572 /nfs/dbraw/zinc/34/25/72/270342572.db2.gz CZOBNMIAFSOGPG-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2NC(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000514602178 270347082 /nfs/dbraw/zinc/34/70/82/270347082.db2.gz AIICJEPPBUNMPP-UONOGXRCSA-N 0 0 299.330 2.528 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000515041121 270361634 /nfs/dbraw/zinc/36/16/34/270361634.db2.gz MOYFPSMHZQUFJF-HZMBPMFUSA-N 0 0 291.351 2.790 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC[C@@H]1CCCCO1 ZINC000516492462 270382281 /nfs/dbraw/zinc/38/22/81/270382281.db2.gz ZZSDFKHHUVNBFD-NSHDSACASA-N 0 0 280.324 2.975 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](F)C2)c1 ZINC000516577793 270384639 /nfs/dbraw/zinc/38/46/39/270384639.db2.gz NZGRTXWFTSPIFT-SNVBAGLBSA-N 0 0 296.298 2.568 20 5 CFBDRN C[C@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1(F)F ZINC000517009824 270394925 /nfs/dbraw/zinc/39/49/25/270394925.db2.gz IEFJJEBEIYNOJB-QMMMGPOBSA-N 0 0 296.277 2.953 20 5 CFBDRN Cc1ncc(COc2c(C)c(C)ncc2[N+](=O)[O-])s1 ZINC000521645569 270433626 /nfs/dbraw/zinc/43/36/26/270433626.db2.gz JDPQRPFKOJGWQK-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC1(C2CC2)CC1 ZINC000527090104 270492219 /nfs/dbraw/zinc/49/22/19/270492219.db2.gz XTLJEOSPWOXARB-UHFFFAOYSA-N 0 0 275.308 2.967 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000527182695 270496579 /nfs/dbraw/zinc/49/65/79/270496579.db2.gz PSKYRVMLFUYFTE-NXEZZACHSA-N 0 0 289.335 2.792 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCc2nnc(C3CC3)o2)c1 ZINC000530433936 270793191 /nfs/dbraw/zinc/79/31/91/270793191.db2.gz GXTIYTBYCADAFQ-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCc1ccno1 ZINC000531852625 270844449 /nfs/dbraw/zinc/84/44/49/270844449.db2.gz AOXNVBXOLQDTDZ-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN C[C@H]1CCCC[C@H]1N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531887533 270847102 /nfs/dbraw/zinc/84/71/02/270847102.db2.gz DYPPKWLSOJUREH-GXFFZTMASA-N 0 0 277.324 2.641 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532138189 270854165 /nfs/dbraw/zinc/85/41/65/270854165.db2.gz BWBUOOGCVCHVNH-GYSYKLTISA-N 0 0 289.335 2.783 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)c(F)c1 ZINC000532143819 270854205 /nfs/dbraw/zinc/85/42/05/270854205.db2.gz XPGCAAFOVZDXFV-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)C1CCC(NC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000532168981 270854535 /nfs/dbraw/zinc/85/45/35/270854535.db2.gz KNACZJWTQCUDSO-UHFFFAOYSA-N 0 0 293.367 2.878 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532388444 270859348 /nfs/dbraw/zinc/85/93/48/270859348.db2.gz XKOVDQMLUHCHGN-GHMZBOCLSA-N 0 0 277.324 2.641 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OC[C@@H]2CCCOC2)c1 ZINC000533228724 270876255 /nfs/dbraw/zinc/87/62/55/270876255.db2.gz XZEQVSSHYFMQMZ-SECBINFHSA-N 0 0 255.245 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2CCCOC2)nc1 ZINC000533310606 270878255 /nfs/dbraw/zinc/87/82/55/270878255.db2.gz BYTRVOJJQNGASF-VIFPVBQESA-N 0 0 254.311 2.509 20 5 CFBDRN CC(C)CN1CCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000301376423 273513243 /nfs/dbraw/zinc/51/32/43/273513243.db2.gz WAUAEXCRUXOXLO-UHFFFAOYSA-N 0 0 299.321 2.651 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])nc1C ZINC000298715487 274736931 /nfs/dbraw/zinc/73/69/31/274736931.db2.gz WJHDKWJOCULLPL-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2CC23CC3)s1 ZINC000425277497 275034345 /nfs/dbraw/zinc/03/43/45/275034345.db2.gz XKTJBHGWBRSHQS-SSDOTTSWSA-N 0 0 262.294 2.564 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCn3c(cc4ccccc43)C2)nc1 ZINC000360104900 275037009 /nfs/dbraw/zinc/03/70/09/275037009.db2.gz OHAJAUFZJGSXJV-UHFFFAOYSA-N 0 0 294.314 2.965 20 5 CFBDRN CC(C)(C)OC(=O)C1CN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000450701697 275517301 /nfs/dbraw/zinc/51/73/01/275517301.db2.gz XLTQFARQNOHZBO-UHFFFAOYSA-N 0 0 296.298 2.512 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000186646932 275687408 /nfs/dbraw/zinc/68/74/08/275687408.db2.gz HGWIFMGPTMOZID-STQMWFEESA-N 0 0 274.320 2.776 20 5 CFBDRN CC(C)[C@H]1N(C(=O)Nc2ccncc2[N+](=O)[O-])CC1(C)C ZINC000297905711 275715178 /nfs/dbraw/zinc/71/51/78/275715178.db2.gz XXVVIUIZSPCBES-GFCCVEGCSA-N 0 0 292.339 2.888 20 5 CFBDRN CC1(C)CCCC[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000094326593 275811999 /nfs/dbraw/zinc/81/19/99/275811999.db2.gz QRMGTTNJLHCTFV-JTQLQIEISA-N 0 0 250.302 2.766 20 5 CFBDRN CC(C)(C)C[C@@H]1CCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000450943001 275813853 /nfs/dbraw/zinc/81/38/53/275813853.db2.gz HJPWOVQMBWHXJY-JTQLQIEISA-N 0 0 264.329 2.647 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000299103423 275870619 /nfs/dbraw/zinc/87/06/19/275870619.db2.gz IMFSEIDYXWTVDF-GFCCVEGCSA-N 0 0 274.276 2.869 20 5 CFBDRN CC1(C)C[C@H]1Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000132632840 275870794 /nfs/dbraw/zinc/87/07/94/275870794.db2.gz FTXJJVOEFMENSB-GFCCVEGCSA-N 0 0 275.308 2.690 20 5 CFBDRN CC1(C)OCC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000296398544 275882110 /nfs/dbraw/zinc/88/21/10/275882110.db2.gz VOBFROXLYCFBNY-LLVKDONJSA-N 0 0 254.261 2.713 20 5 CFBDRN CC1=C[C@@H](C)CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000362366768 275918326 /nfs/dbraw/zinc/91/83/26/275918326.db2.gz XFPHOCCZLWQWPQ-SECBINFHSA-N 0 0 272.308 2.874 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC2(C)CCCCC2)c1[N+](=O)[O-] ZINC000269397986 276041387 /nfs/dbraw/zinc/04/13/87/276041387.db2.gz NFDPRFORUKCESM-UHFFFAOYSA-N 0 0 294.355 2.894 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H](C)C2CCCC2)c1[N+](=O)[O-] ZINC000433763733 276041779 /nfs/dbraw/zinc/04/17/79/276041779.db2.gz CGMBVMRTSNPGJV-SECBINFHSA-N 0 0 294.355 2.750 20 5 CFBDRN CC1(C)CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000157110918 276103441 /nfs/dbraw/zinc/10/34/41/276103441.db2.gz STCIEHUOSOAEEI-JTQLQIEISA-N 0 0 266.297 2.886 20 5 CFBDRN CC1(C)CN(Cc2ccccc2[N+](=O)[O-])[C@H]1[C@@H]1CCCO1 ZINC000289689790 276133660 /nfs/dbraw/zinc/13/36/60/276133660.db2.gz IBPZCYFVCLHUJA-GJZGRUSLSA-N 0 0 290.363 2.984 20 5 CFBDRN CC1(C)COC[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000450853444 276139788 /nfs/dbraw/zinc/13/97/88/276139788.db2.gz HZECQLNLNXXPMR-CYBMUJFWSA-N 0 0 287.319 2.980 20 5 CFBDRN CCC(=O)c1ccc(N2CCSCC2)c([N+](=O)[O-])c1 ZINC000280816672 276205442 /nfs/dbraw/zinc/20/54/42/276205442.db2.gz XLVCTPBLPZUJEJ-UHFFFAOYSA-N 0 0 280.349 2.741 20 5 CFBDRN CCCN(Cc1ccccc1[N+](=O)[O-])[C@@H](C)C(=O)OCC ZINC000429718892 276256220 /nfs/dbraw/zinc/25/62/20/276256220.db2.gz BNGNJJZWXCTQHD-LBPRGKRZSA-N 0 0 294.351 2.758 20 5 CFBDRN CCC[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000273400429 276273707 /nfs/dbraw/zinc/27/37/07/276273707.db2.gz MCOOURMPUOCMHD-SNVBAGLBSA-N 0 0 265.313 2.718 20 5 CFBDRN CCC[C@]1(C)CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000302145293 276293505 /nfs/dbraw/zinc/29/35/05/276293505.db2.gz HJLQLNOQZFYHHT-CYBMUJFWSA-N 0 0 264.329 2.791 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cn1)C1CCCCCC1 ZINC000273684264 276871009 /nfs/dbraw/zinc/87/10/09/276871009.db2.gz ZTPJTEIDJHWTEV-UHFFFAOYSA-N 0 0 250.302 2.544 20 5 CFBDRN CC(=O)c1cc(N2C[C@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000228259771 277106334 /nfs/dbraw/zinc/10/63/34/277106334.db2.gz YQTYFUJPMIMGCV-JTQLQIEISA-N 0 0 292.335 2.801 20 5 CFBDRN CC(C)(C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C(F)F ZINC000335774543 277123689 /nfs/dbraw/zinc/12/36/89/277123689.db2.gz XEASPTLLTRAWJW-UHFFFAOYSA-N 0 0 298.289 2.771 20 5 CFBDRN CC(C)(C)C1=N/C(=C/c2ccc([N+](=O)[O-])cc2)C(=O)O1 ZINC000006761710 277133189 /nfs/dbraw/zinc/13/31/89/277133189.db2.gz VSSFRRHICXARHG-DHZHZOJOSA-N 0 0 274.276 2.937 20 5 CFBDRN CON(C)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000298210973 277273487 /nfs/dbraw/zinc/27/34/87/277273487.db2.gz URCMHUVAWPEZOF-UHFFFAOYSA-N 0 0 250.176 2.611 20 5 CFBDRN CO[C@@H]1CCN(c2cc(C)nc3c2cccc3[N+](=O)[O-])C1 ZINC000345105639 277293508 /nfs/dbraw/zinc/29/35/08/277293508.db2.gz JZXTTXSXEMTZIA-LLVKDONJSA-N 0 0 287.319 2.677 20 5 CFBDRN CO[C@H]1CCN(c2c(C)cc([N+](=O)[O-])cc2Cl)C1 ZINC000277796039 277341176 /nfs/dbraw/zinc/34/11/76/277341176.db2.gz NXNQZZLYGLYVRE-JTQLQIEISA-N 0 0 270.716 2.782 20 5 CFBDRN CO[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281596356 277341467 /nfs/dbraw/zinc/34/14/67/277341467.db2.gz HNLKMSQJPWOHPR-ONGXEEELSA-N 0 0 268.288 2.738 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)CC[C@H]1C ZINC000276104996 277344339 /nfs/dbraw/zinc/34/43/39/277344339.db2.gz WXRCDFPVQUPURF-RNCFNFMXSA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@]1(C)CCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000280579712 277359023 /nfs/dbraw/zinc/35/90/23/277359023.db2.gz WBYRVAPFGZIGRS-OAHLLOKOSA-N 0 0 291.351 2.568 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2nc(C)ccc2[N+](=O)[O-])C1(C)C ZINC000360869609 277359736 /nfs/dbraw/zinc/35/97/36/277359736.db2.gz DZZZJAWPJRGZNA-BXUZGUMPSA-N 0 0 279.340 2.914 20 5 CFBDRN COc1cc(N2CCC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000266177728 277381362 /nfs/dbraw/zinc/38/13/62/277381362.db2.gz BDTUXASMJQUQRN-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@H](OC)C2)c(F)cc1[N+](=O)[O-] ZINC000275766498 277382291 /nfs/dbraw/zinc/38/22/91/277382291.db2.gz AABZZROPFFGHTR-LKFCYVNXSA-N 0 0 298.314 2.604 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000121306080 277475916 /nfs/dbraw/zinc/47/59/16/277475916.db2.gz DNWAHWFQMNZZHQ-VIFPVBQESA-N 0 0 268.288 2.898 20 5 CFBDRN CC(C)C[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000330950722 277497606 /nfs/dbraw/zinc/49/76/06/277497606.db2.gz HUHRATRSRAMAHT-LLVKDONJSA-N 0 0 291.351 2.828 20 5 CFBDRN CC(C)N(C)C(=O)[C@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271635051 277539730 /nfs/dbraw/zinc/53/97/30/277539730.db2.gz PZMGRLUSFWJUIF-NEPJUHHUSA-N 0 0 293.367 2.501 20 5 CFBDRN CO[C@@H]1CCCN(c2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000432141179 277646187 /nfs/dbraw/zinc/64/61/87/277646187.db2.gz ZHBNJQGNWXATAW-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1c(Br)cncc1[N+](=O)[O-] ZINC000309210492 277800705 /nfs/dbraw/zinc/80/07/05/277800705.db2.gz NQZSPIZKXAAYRH-HTRCEHHLSA-N 0 0 286.129 2.963 20 5 CFBDRN C[C@@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CC(C)(C)O1 ZINC000275361194 277914094 /nfs/dbraw/zinc/91/40/94/277914094.db2.gz LPXYHFBXXKJGTH-SNVBAGLBSA-N 0 0 291.351 2.567 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCC[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000269497880 278000204 /nfs/dbraw/zinc/00/02/04/278000204.db2.gz MQHSXSSBMLOUHT-UWVGGRQHSA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H]2CC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000269381612 278002797 /nfs/dbraw/zinc/00/27/97/278002797.db2.gz JNZKDGBENOMMST-MGCOHNPYSA-N 0 0 294.355 2.750 20 5 CFBDRN CC(C)c1ccc(C(=O)N(C)[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000275786186 278021766 /nfs/dbraw/zinc/02/17/66/278021766.db2.gz JFWXLVIHUMIKLF-GFCCVEGCSA-N 0 0 292.335 2.579 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000230100113 278063665 /nfs/dbraw/zinc/06/36/65/278063665.db2.gz YEOFGRXCMCXTOI-UFBFGSQYSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000172638312 278077159 /nfs/dbraw/zinc/07/71/59/278077159.db2.gz YKTFFZJNYIWXAD-WDEREUQCSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@]1(F)CCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000294983991 278125155 /nfs/dbraw/zinc/12/51/55/278125155.db2.gz PTPBRRQFERMPJE-GFCCVEGCSA-N 0 0 271.267 2.645 20 5 CFBDRN CC1(C)CCC(NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000128194262 278199938 /nfs/dbraw/zinc/19/99/38/278199938.db2.gz JGHQEKGVGYSOLJ-UHFFFAOYSA-N 0 0 266.297 2.886 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000176095188 278235772 /nfs/dbraw/zinc/23/57/72/278235772.db2.gz IBMKVCIDUHPHSY-LBPRGKRZSA-N 0 0 262.309 2.903 20 5 CFBDRN CC(=O)c1ccc(N2CCSC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000277427629 278247622 /nfs/dbraw/zinc/24/76/22/278247622.db2.gz NEKKDCZJJVWQDF-SNVBAGLBSA-N 0 0 294.376 2.987 20 5 CFBDRN CC(C)(C(=O)N1CCC=C(F)C1)c1ccccc1[N+](=O)[O-] ZINC000336372493 278261706 /nfs/dbraw/zinc/26/17/06/278261706.db2.gz RAPCWNIMWQJOTD-UHFFFAOYSA-N 0 0 292.310 2.958 20 5 CFBDRN C[C@H](c1cnccn1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000332513073 278315345 /nfs/dbraw/zinc/31/53/45/278315345.db2.gz IWNJIRFKIHWNGO-LLVKDONJSA-N 0 0 284.319 2.504 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1c1ccc(N)cc1[N+](=O)[O-] ZINC000376423980 278327742 /nfs/dbraw/zinc/32/77/42/278327742.db2.gz VYVLORJKQLCAIE-JTQLQIEISA-N 0 0 261.325 2.946 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000335459878 278329004 /nfs/dbraw/zinc/32/90/04/278329004.db2.gz LTLYQEDKKWJIIT-NSHDSACASA-N 0 0 276.336 2.927 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000344216831 278352869 /nfs/dbraw/zinc/35/28/69/278352869.db2.gz UEDPPBNSRFKABS-QWRGUYRKSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000267678083 278487145 /nfs/dbraw/zinc/48/71/45/278487145.db2.gz DJPDDCRVECFZAV-SECBINFHSA-N 0 0 274.276 2.966 20 5 CFBDRN CCC(CC)[C@@H](CCNc1ncc([N+](=O)[O-])cc1C)OC ZINC000446886285 278603853 /nfs/dbraw/zinc/60/38/53/278603853.db2.gz YFUSHTKRSJIFBW-CQSZACIVSA-N 0 0 295.383 2.973 20 5 CFBDRN CCC(CC)[C@H](O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000311809070 278610934 /nfs/dbraw/zinc/61/09/34/278610934.db2.gz IEJQLUALSRPSTA-GFCCVEGCSA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)C1(NC(=O)CCOc2ccccc2[N+](=O)[O-])CC1 ZINC000293788490 278701806 /nfs/dbraw/zinc/70/18/06/278701806.db2.gz AFVLGHCEZGZSHW-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN C[C@@H]1COCCN(c2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000371319499 278845748 /nfs/dbraw/zinc/84/57/48/278845748.db2.gz RRGCRKVMWUUCDT-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@@H]1CSC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000343609087 278868358 /nfs/dbraw/zinc/86/83/58/278868358.db2.gz MFHVNOVXYDXLEV-RNCFNFMXSA-N 0 0 289.360 2.728 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@@H](C)C1 ZINC000156894988 278931602 /nfs/dbraw/zinc/93/16/02/278931602.db2.gz DEKMLIBBBATHNT-KOLCDFICSA-N 0 0 262.309 2.822 20 5 CFBDRN CC(C)C[C@@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000331676675 279016856 /nfs/dbraw/zinc/01/68/56/279016856.db2.gz SSUQOKGRGHYLNS-NSHDSACASA-N 0 0 294.355 2.749 20 5 CFBDRN Cc1nc(N(C)[C@@H]2CCCC[C@H]2C)ncc1[N+](=O)[O-] ZINC000296288474 279088533 /nfs/dbraw/zinc/08/85/33/279088533.db2.gz HROFXLPVFKUWKG-MWLCHTKSSA-N 0 0 264.329 2.708 20 5 CFBDRN Cc1nc(N2C[C@H](C)C[C@@H]2c2cccnc2)ncc1[N+](=O)[O-] ZINC000450444637 279094801 /nfs/dbraw/zinc/09/48/01/279094801.db2.gz ZIEFUPZTKGNDJH-ZWNOBZJWSA-N 0 0 299.334 2.676 20 5 CFBDRN C[C@@H]1c2ccccc2CCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000336621918 279133055 /nfs/dbraw/zinc/13/30/55/279133055.db2.gz STEGJAMTGLHMCY-LLVKDONJSA-N 0 0 299.330 2.693 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC1CCC(F)(F)CC1 ZINC000334585185 279158139 /nfs/dbraw/zinc/15/81/39/279158139.db2.gz FIVIDDJKSBZBQG-UHFFFAOYSA-N 0 0 259.256 2.925 20 5 CFBDRN CC(C)N(C(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2)C(C)C ZINC000182860471 279313166 /nfs/dbraw/zinc/31/31/66/279313166.db2.gz AZYNKESESAJXDC-UHFFFAOYSA-N 0 0 294.307 2.583 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450483283 279920620 /nfs/dbraw/zinc/92/06/20/279920620.db2.gz FJUKVBUHOSMHNY-GXFFZTMASA-N 0 0 287.319 2.980 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H](F)C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335408304 279958001 /nfs/dbraw/zinc/95/80/01/279958001.db2.gz KJTIFXIHRKUVNN-KOLCDFICSA-N 0 0 284.262 2.744 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncnc3cccc([N+](=O)[O-])c32)CCO1 ZINC000347407184 280014777 /nfs/dbraw/zinc/01/47/77/280014777.db2.gz LFAWRUNTBZUMNZ-VHSXEESVSA-N 0 0 288.307 2.517 20 5 CFBDRN C[C@H]1N(c2ncc([N+](=O)[O-])cc2Cl)CCOC1(C)C ZINC000408321841 280038883 /nfs/dbraw/zinc/03/88/83/280038883.db2.gz QWELRHITXUGKAR-MRVPVSSYSA-N 0 0 285.731 2.647 20 5 CFBDRN C[C@H]1OCC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000349781143 280061015 /nfs/dbraw/zinc/06/10/15/280061015.db2.gz IEVNYXJREDZZTN-KCJUWKMLSA-N 0 0 275.264 2.537 20 5 CFBDRN C[C@H]1c2cccn2CCN1Cc1cccc([N+](=O)[O-])c1 ZINC000023706666 280133933 /nfs/dbraw/zinc/13/39/33/280133933.db2.gz YSSLGNUBPQZUNU-LBPRGKRZSA-N 0 0 271.320 2.973 20 5 CFBDRN O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCC2(CCC2)C1 ZINC000161058287 280164814 /nfs/dbraw/zinc/16/48/14/280164814.db2.gz CJUDEGHBHGEBQJ-UHFFFAOYSA-N 0 0 296.273 2.889 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC=C(F)C1 ZINC000272135001 280184168 /nfs/dbraw/zinc/18/41/68/280184168.db2.gz STQCLISWCCRAHT-UHFFFAOYSA-N 0 0 284.674 2.948 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157268492 280246813 /nfs/dbraw/zinc/24/68/13/280246813.db2.gz VTGRJVUEJSFOCZ-SNVBAGLBSA-N 0 0 277.324 2.582 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269297927 280247298 /nfs/dbraw/zinc/24/72/98/280247298.db2.gz BEIQTODNXCAQKU-SNVBAGLBSA-N 0 0 294.355 2.846 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000177023631 280335942 /nfs/dbraw/zinc/33/59/42/280335942.db2.gz HOTATEHGRZFNSQ-ONGXEEELSA-N 0 0 262.309 2.774 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CC=CCC2)nc2sccn21 ZINC000080119994 280354898 /nfs/dbraw/zinc/35/48/98/280354898.db2.gz ZGWPKLVLQYSOMF-QMMMGPOBSA-N 0 0 264.310 2.825 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC=C(F)C1 ZINC000272543461 280358827 /nfs/dbraw/zinc/35/88/27/280358827.db2.gz QEFIJSPHSDFCMH-UHFFFAOYSA-N 0 0 257.652 2.707 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H]3OCCC[C@@H]3C2)c(F)c1 ZINC000121782762 280359467 /nfs/dbraw/zinc/35/94/67/280359467.db2.gz OSGCXFHBQKNPHE-NOZJJQNGSA-N 0 0 298.289 2.878 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC3(CCO3)CC2)c(F)c1 ZINC000367131443 280359608 /nfs/dbraw/zinc/35/96/08/280359608.db2.gz RBRUBBRPQZGNEY-UHFFFAOYSA-N 0 0 284.262 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(C2)CCCOC3)c(Cl)c1 ZINC000459607528 280363315 /nfs/dbraw/zinc/36/33/15/280363315.db2.gz OOHUKPBTXMSFRY-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@@H]2C2CC2)c(Cl)c1 ZINC000182381010 280364078 /nfs/dbraw/zinc/36/40/78/280364078.db2.gz OAYRLKNYROEGQQ-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC2)c(Br)c1 ZINC000281207915 280365704 /nfs/dbraw/zinc/36/57/04/280365704.db2.gz NPGBXZJDMCGEPD-UHFFFAOYSA-N 0 0 257.087 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC(C4CCC4)C3)nc2c1 ZINC000450532982 280371386 /nfs/dbraw/zinc/37/13/86/280371386.db2.gz ZBLMKURBWPJRMK-UHFFFAOYSA-N 0 0 272.308 2.707 20 5 CFBDRN CC(C)[C@H]1CCCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420611943 280376972 /nfs/dbraw/zinc/37/69/72/280376972.db2.gz NJQMPNSLZUJSGK-NSHDSACASA-N 0 0 291.351 2.566 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCCCC3)CC2)nc1 ZINC000302166628 280378845 /nfs/dbraw/zinc/37/88/45/280378845.db2.gz RSTDKDUMBDMQMM-UHFFFAOYSA-N 0 0 276.340 2.936 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@H](F)C1 ZINC000450608919 280382923 /nfs/dbraw/zinc/38/29/23/280382923.db2.gz ZYEFTFPGZVSNEA-VIFPVBQESA-N 0 0 261.256 2.691 20 5 CFBDRN CC(C)[C@H]1CCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000450815514 280389857 /nfs/dbraw/zinc/38/98/57/280389857.db2.gz STYQQZDYAVGKSG-SECBINFHSA-N 0 0 255.705 2.878 20 5 CFBDRN CCOCCCCNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000047323393 280426833 /nfs/dbraw/zinc/42/68/33/280426833.db2.gz UIZVNIDHSWPMLA-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000268064144 280443384 /nfs/dbraw/zinc/44/33/84/280443384.db2.gz AAPYSFTVUZJYFM-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN O=C(NCCCOC1CCCC1)c1ccc([N+](=O)[O-])s1 ZINC000061259843 280460227 /nfs/dbraw/zinc/46/02/27/280460227.db2.gz WJINIIBKFBIEOS-UHFFFAOYSA-N 0 0 298.364 2.735 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2c(N)cccc2[N+](=O)[O-])CC1(C)C ZINC000293843310 280468104 /nfs/dbraw/zinc/46/81/04/280468104.db2.gz IUJJWHIGBMVSAY-CYBMUJFWSA-N 0 0 291.351 2.684 20 5 CFBDRN Cc1ccc(/C=C/C(=O)NCc2cccc([N+](=O)[O-])c2)o1 ZINC000067071988 280470649 /nfs/dbraw/zinc/47/06/49/280470649.db2.gz AFTBKVIETOAORG-BQYQJAHWSA-N 0 0 286.287 2.826 20 5 CFBDRN CSCCCCNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000077346493 280524006 /nfs/dbraw/zinc/52/40/06/280524006.db2.gz UKDIBXWGXACVBL-UHFFFAOYSA-N 0 0 297.380 2.537 20 5 CFBDRN CCOc1ccc(C(=O)N(C)[C@H](C)CC)cc1[N+](=O)[O-] ZINC000078305263 280527954 /nfs/dbraw/zinc/52/79/54/280527954.db2.gz HKMLANDDWOKUJY-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN CN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000078386020 280528832 /nfs/dbraw/zinc/52/88/32/280528832.db2.gz UOMVJEMAEACFTC-JXMROGBWSA-N 0 0 283.287 2.666 20 5 CFBDRN CCC1(CNC(=O)Nc2cc([N+](=O)[O-])ccc2OC)CC1 ZINC000078438199 280529369 /nfs/dbraw/zinc/52/93/69/280529369.db2.gz LTMZYZAOAGTLFR-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN O=C(/C=C/c1ccc(Cl)c([N+](=O)[O-])c1)NCC1CC1 ZINC000078821959 280531099 /nfs/dbraw/zinc/53/10/99/280531099.db2.gz YFWOUPAMCLTUBF-GQCTYLIASA-N 0 0 280.711 2.788 20 5 CFBDRN Cn1ccnc1SCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000083090496 280547637 /nfs/dbraw/zinc/54/76/37/280547637.db2.gz XGQVWVXMRCKABO-UHFFFAOYSA-N 0 0 290.304 2.762 20 5 CFBDRN O=C([C@@H]1CC=CCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231308 280558751 /nfs/dbraw/zinc/55/87/51/280558751.db2.gz GCBHKVINUDFBES-CYBMUJFWSA-N 0 0 286.331 2.836 20 5 CFBDRN COc1ccnc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1 ZINC000089979839 280566584 /nfs/dbraw/zinc/56/65/84/280566584.db2.gz LVWVJYXOJXQXBZ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1ccc(C(N)=O)cc1OCc1ccc([N+](=O)[O-])cc1 ZINC000103463870 280581733 /nfs/dbraw/zinc/58/17/33/280581733.db2.gz RMTDIOJINCYPFQ-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN O=C(N[C@H]1CCc2ccc(F)cc21)c1ccc([N+](=O)[O-])o1 ZINC000106678370 280586639 /nfs/dbraw/zinc/58/66/39/280586639.db2.gz AFJIRBAZOIUYOE-NSHDSACASA-N 0 0 290.250 2.744 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CCCC2)cc1[N+](=O)[O-] ZINC000188090404 280591671 /nfs/dbraw/zinc/59/16/71/280591671.db2.gz YXAWDYHUPIKKQT-UHFFFAOYSA-N 0 0 262.309 2.954 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@]2(C)CO)ccc1[N+](=O)[O-] ZINC000301370517 280629262 /nfs/dbraw/zinc/62/92/62/280629262.db2.gz SKIQWBCAWDZDJN-ZIAGYGMSSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)C(=O)N2CCCCC2)c1 ZINC000122878005 280629560 /nfs/dbraw/zinc/62/95/60/280629560.db2.gz GKPUYFCLFRDBFV-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN CC[C@@]1(C)COCCN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000330623773 280648272 /nfs/dbraw/zinc/64/82/72/280648272.db2.gz FKMPYCGTLVFNEB-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C1c2ccccc2C[C@@H]1Sc1ncccc1[N+](=O)[O-] ZINC000127154993 280660813 /nfs/dbraw/zinc/66/08/13/280660813.db2.gz UBMXQQFZCSKWTK-LBPRGKRZSA-N 0 0 286.312 2.890 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000130900637 280709480 /nfs/dbraw/zinc/70/94/80/280709480.db2.gz KKVUZSJTKWIJHX-LLVKDONJSA-N 0 0 262.309 2.775 20 5 CFBDRN COC(=O)c1coc(COc2cccc(C)c2[N+](=O)[O-])c1 ZINC000131262390 280714686 /nfs/dbraw/zinc/71/46/86/280714686.db2.gz LOQUJKLYVNTDPS-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCCN(CCC)C(=O)COc1ccc(C)c([N+](=O)[O-])c1 ZINC000131593694 280720647 /nfs/dbraw/zinc/72/06/47/280720647.db2.gz ZBOJJNRAEYOGSP-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc(F)cc2[N+](=O)[O-])o1 ZINC000131589555 280720711 /nfs/dbraw/zinc/72/07/11/280720711.db2.gz VGCOUVFTDOHYJQ-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@H](C)S1 ZINC000134422787 280758763 /nfs/dbraw/zinc/75/87/63/280758763.db2.gz RIJXQIDAEMTUDX-DTORHVGOSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000280136843 288267389 /nfs/dbraw/zinc/26/73/89/288267389.db2.gz BNZKYYOAFGFBFY-YGRLFVJLSA-N 0 0 262.309 2.599 20 5 CFBDRN C[C@@H]1CN(c2ccnc3c2cccc3[N+](=O)[O-])CCCO1 ZINC000432327614 288270033 /nfs/dbraw/zinc/27/00/33/288270033.db2.gz TVODFLOPDMOXRA-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC(C)C ZINC000184996315 280832869 /nfs/dbraw/zinc/83/28/69/280832869.db2.gz OLVRHXKJGOCPGX-CYBMUJFWSA-N 0 0 278.352 2.936 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cn1)c1cnccn1 ZINC000186350795 280845664 /nfs/dbraw/zinc/84/56/64/280845664.db2.gz BJBOAICBPONTDJ-QMMMGPOBSA-N 0 0 262.294 2.633 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1cc(Cl)ccc1F ZINC000188968335 280866855 /nfs/dbraw/zinc/86/68/55/280866855.db2.gz KOWBNKTWCACJJJ-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN CCN(CC)C(=O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000192541933 280909554 /nfs/dbraw/zinc/90/95/54/280909554.db2.gz HHAMBIPGBNSPIZ-UHFFFAOYSA-N 0 0 282.365 2.696 20 5 CFBDRN C[C@H](c1ccncc1)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000194634849 280924880 /nfs/dbraw/zinc/92/48/80/280924880.db2.gz SHTCNFDZVGSRQT-LLVKDONJSA-N 0 0 285.303 2.823 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000194873352 280928055 /nfs/dbraw/zinc/92/80/55/280928055.db2.gz XBJNSVPKPWBRAF-VIFPVBQESA-N 0 0 256.327 2.965 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)[C@@H](C)[C@H](C)O1 ZINC000408443825 288279305 /nfs/dbraw/zinc/27/93/05/288279305.db2.gz PTMOUADFXWPNDV-VGMNWLOBSA-N 0 0 285.731 2.645 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cnc1C)[C@@H](C)C(C)C ZINC000194980891 280929022 /nfs/dbraw/zinc/92/90/22/280929022.db2.gz HNQMCZAHXVKMCD-NSHDSACASA-N 0 0 279.340 2.805 20 5 CFBDRN CC[C@H](SC)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000178511176 281012404 /nfs/dbraw/zinc/01/24/04/281012404.db2.gz ACTAHYDZNDTWKG-LBPRGKRZSA-N 0 0 282.365 2.695 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3nccn3C)C2)c1 ZINC000339362176 281013641 /nfs/dbraw/zinc/01/36/41/281013641.db2.gz NXRRQJHWTSLZOK-GFCCVEGCSA-N 0 0 286.335 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H]3OCCC[C@H]23)c1 ZINC000438894407 281015118 /nfs/dbraw/zinc/01/51/18/281015118.db2.gz JQVRCAQXCHGPLM-GYSYKLTISA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2Cc3cccc(O)c3C2)n1 ZINC000428663868 281015287 /nfs/dbraw/zinc/01/52/87/281015287.db2.gz HTZVTTYBKIRCLI-LLVKDONJSA-N 0 0 285.303 2.583 20 5 CFBDRN CC[C@H]1CCCN(c2cccc(C(N)=O)c2[N+](=O)[O-])CC1 ZINC000342410981 281076526 /nfs/dbraw/zinc/07/65/26/281076526.db2.gz PRTQVRODWJUIHK-NSHDSACASA-N 0 0 291.351 2.710 20 5 CFBDRN CCc1ncc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cn1 ZINC000255316910 281093579 /nfs/dbraw/zinc/09/35/79/281093579.db2.gz SCWTUQUORXKYAH-YVMONPNESA-N 0 0 298.302 2.599 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000255383401 281096198 /nfs/dbraw/zinc/09/61/98/281096198.db2.gz BMYBYHSTXIGFBB-UXFRKABBSA-N 0 0 274.320 2.865 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)c(F)cc2[N+](=O)[O-])CCCO1 ZINC000281844117 288299320 /nfs/dbraw/zinc/29/93/20/288299320.db2.gz LNRLQIMHJKLKQZ-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])Nc1ccc(F)nc1 ZINC000255629485 281104311 /nfs/dbraw/zinc/10/43/11/281104311.db2.gz WPVVPQCQXFXTRK-YVMONPNESA-N 0 0 287.250 2.781 20 5 CFBDRN CCC[C@H](O)C[NH2+][C@H](C)c1cccc([N+](=O)[O-])c1C ZINC000268925587 281118263 /nfs/dbraw/zinc/11/82/63/281118263.db2.gz XUIJLHRWWNLPSS-NEPJUHHUSA-N 0 0 266.341 2.715 20 5 CFBDRN Cc1c([C@@H](C)[NH2+]C[C@@H](O)C(C)C)cccc1[N+](=O)[O-] ZINC000268925886 281118280 /nfs/dbraw/zinc/11/82/80/281118280.db2.gz PYDDKCVLZHTXLT-BXUZGUMPSA-N 0 0 266.341 2.571 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc([N+](=O)[O-])cc2)s1 ZINC000353276206 281176055 /nfs/dbraw/zinc/17/60/55/281176055.db2.gz KVLMJYVCFKAUDD-ZETCQYMHSA-N 0 0 264.310 2.928 20 5 CFBDRN CC[C@H]1CN(c2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000264743425 281179382 /nfs/dbraw/zinc/17/93/82/281179382.db2.gz KUONPLKWTXWVRP-QWRGUYRKSA-N 0 0 250.298 2.599 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2nn(C)cc2Cl)c1 ZINC000393347473 281193952 /nfs/dbraw/zinc/19/39/52/281193952.db2.gz JEBPEWBTRQYYNQ-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](CO)C(C)(C)C)c1 ZINC000393934091 281194048 /nfs/dbraw/zinc/19/40/48/281194048.db2.gz YFCFKNMCKDLTPU-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cccc(NCc2nn(C)cc2Cl)c1[N+](=O)[O-] ZINC000393348107 281194141 /nfs/dbraw/zinc/19/41/41/281194141.db2.gz LKQRCOVSBMVKLY-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CCOc1cc(NC[C@H](C)C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000397734407 281201732 /nfs/dbraw/zinc/20/17/32/281201732.db2.gz GZYZGOSDIPBHBR-MNOVXSKESA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1cc(N[C@@H]2CS[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000397985403 281203209 /nfs/dbraw/zinc/20/32/09/281203209.db2.gz UIJKYZXRFNKDKO-IUCAKERBSA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CS[C@@H](C)C1 ZINC000397975480 281203567 /nfs/dbraw/zinc/20/35/67/281203567.db2.gz ONTGSUZIORDKHC-DTWKUNHWSA-N 0 0 253.327 2.604 20 5 CFBDRN CC[C@@H](O)CCCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000398463654 281206383 /nfs/dbraw/zinc/20/63/83/281206383.db2.gz KPMXIYVTPHKBBL-SECBINFHSA-N 0 0 274.267 2.836 20 5 CFBDRN Cc1cccc(C)c1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000336257955 281210733 /nfs/dbraw/zinc/21/07/33/281210733.db2.gz BVWUTPYLTCKRIK-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN Cc1cccc(C2=CCN(c3ncc([N+](=O)[O-])cn3)CC2)c1 ZINC000273917064 281213997 /nfs/dbraw/zinc/21/39/97/281213997.db2.gz JHKYGOFVBNFCPR-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000356828549 281221715 /nfs/dbraw/zinc/22/17/15/281221715.db2.gz UHAFOTUOJXKIAW-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN C[C@@H]1C[C@@H](COc2cccc([N+](=O)[O-])c2)CCO1 ZINC000447300833 281240487 /nfs/dbraw/zinc/24/04/87/281240487.db2.gz ZJDTVBXJYCPWJO-MNOVXSKESA-N 0 0 251.282 2.789 20 5 CFBDRN CCc1ncc(CNc2ncc([N+](=O)[O-])cc2Cl)o1 ZINC000450846653 281245078 /nfs/dbraw/zinc/24/50/78/281245078.db2.gz MYTNSIWNKBJNMS-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)COC1 ZINC000450846902 281245175 /nfs/dbraw/zinc/24/51/75/281245175.db2.gz QETYKWXTDIRPQV-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN Cc1cccc2c(=O)n(Cc3ccccc3[N+](=O)[O-])cnc12 ZINC000078702484 281265222 /nfs/dbraw/zinc/26/52/22/281265222.db2.gz FCSVDADTBBRTQU-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN CC1(C)CN(c2ccccc2[N+](=O)[O-])[C@H]1[C@@H]1CCCO1 ZINC000291152186 281269426 /nfs/dbraw/zinc/26/94/26/281269426.db2.gz PAYQPFIBESFQLP-KBPBESRZSA-N 0 0 276.336 2.989 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000491925041 281276101 /nfs/dbraw/zinc/27/61/01/281276101.db2.gz LKOMILHHGVCNOY-UXFRKABBSA-N 0 0 274.320 2.865 20 5 CFBDRN CCc1nocc1CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000514873310 281288368 /nfs/dbraw/zinc/28/83/68/281288368.db2.gz OZJOLUSLGRUXSE-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN Cc1cccc(CCC(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000516809133 281306150 /nfs/dbraw/zinc/30/61/50/281306150.db2.gz OSKUJHYDJJUXBH-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN C[C@@H](C(=O)N(C)CC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000517067647 281306749 /nfs/dbraw/zinc/30/67/49/281306749.db2.gz FEXQJABWTLDYLI-MRVPVSSYSA-N 0 0 290.241 2.719 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000527034280 281324738 /nfs/dbraw/zinc/32/47/38/281324738.db2.gz DLMLTDWIPMXPRH-SMDDNHRTSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000527199478 281325771 /nfs/dbraw/zinc/32/57/71/281325771.db2.gz XNRKWNGDOUQEGP-QWRGUYRKSA-N 0 0 277.324 2.688 20 5 CFBDRN O=C(Nc1ccsc1Cl)c1ccc([N+](=O)[O-])cn1 ZINC000527340708 281329705 /nfs/dbraw/zinc/32/97/05/281329705.db2.gz IRYRHPNTFHPULD-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN Cc1ocnc1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000531499441 281430292 /nfs/dbraw/zinc/43/02/92/281430292.db2.gz RKWGCLQUGAPAPR-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCCC1(C)C ZINC000531558283 281431666 /nfs/dbraw/zinc/43/16/66/281431666.db2.gz XNBYQMVKYFQDAY-LBPRGKRZSA-N 0 0 279.340 2.632 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531633620 281436616 /nfs/dbraw/zinc/43/66/16/281436616.db2.gz KQNVXXWDPZJTFK-SNVBAGLBSA-N 0 0 265.313 2.544 20 5 CFBDRN CCOc1ccccc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532398260 281452990 /nfs/dbraw/zinc/45/29/90/281452990.db2.gz WRKJHJNVQYJSNB-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN O=C(Nc1cccc2ncccc21)c1ccc([N+](=O)[O-])cn1 ZINC000532391843 281453253 /nfs/dbraw/zinc/45/32/53/281453253.db2.gz HHJDNQBXUPXEJJ-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1C2CCC1CC2 ZINC000532501977 281455173 /nfs/dbraw/zinc/45/51/73/281455173.db2.gz VZMKCLYMCFPOBP-UHFFFAOYSA-N 0 0 253.689 2.774 20 5 CFBDRN CCc1cnc(CSc2ccc([N+](=O)[O-])cn2)o1 ZINC000533447785 281467855 /nfs/dbraw/zinc/46/78/55/281467855.db2.gz VTKIJPJEDXJKSA-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC(F)(F)F)c(Cl)c1 ZINC000535229289 281500098 /nfs/dbraw/zinc/50/00/98/281500098.db2.gz LKJBSOAYSBKSTO-ZCFIWIBFSA-N 0 0 298.648 2.973 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000537448262 281537313 /nfs/dbraw/zinc/53/73/13/281537313.db2.gz OOAVATWYPZOABD-UHFFFAOYSA-N 0 0 274.276 2.986 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000540451641 281585148 /nfs/dbraw/zinc/58/51/48/281585148.db2.gz UMHKKQQQSJWXGP-VXGBXAGGSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C[C@@H]1C ZINC000542587814 281629247 /nfs/dbraw/zinc/62/92/47/281629247.db2.gz XSVXDVUCAHMDLJ-VWYCJHECSA-N 0 0 277.324 2.544 20 5 CFBDRN Cc1cnc(N[C@@H]2COCc3ccccc32)c([N+](=O)[O-])c1 ZINC000419001196 281630925 /nfs/dbraw/zinc/63/09/25/281630925.db2.gz SAXYFPPGIUTBKK-CYBMUJFWSA-N 0 0 285.303 2.982 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000542801358 281634479 /nfs/dbraw/zinc/63/44/79/281634479.db2.gz ALCCLVOCRVYWSY-NEPJUHHUSA-N 0 0 294.351 2.772 20 5 CFBDRN COC(=O)CSCc1ccc([N+](=O)[O-])cc1Cl ZINC000543863503 281657730 /nfs/dbraw/zinc/65/77/30/281657730.db2.gz HGEXKXBTAJJLQG-UHFFFAOYSA-N 0 0 275.713 2.654 20 5 CFBDRN CC(C)(C)OCCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000544674852 281671607 /nfs/dbraw/zinc/67/16/07/281671607.db2.gz KAVFOVDGRGRBIL-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN O=C(Nc1ccnc2ccccc21)c1ccc([N+](=O)[O-])s1 ZINC000545195342 281681007 /nfs/dbraw/zinc/68/10/07/281681007.db2.gz CJOPOCXBAZRTRN-UHFFFAOYSA-N 0 0 299.311 2.879 20 5 CFBDRN CCc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(C)nn1 ZINC000545373241 281687252 /nfs/dbraw/zinc/68/72/52/281687252.db2.gz XSEHHMTTZRMFHO-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CCO[C@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000545688455 281691914 /nfs/dbraw/zinc/69/19/14/281691914.db2.gz GAECZWFBQNMYFK-RYUDHWBXSA-N 0 0 289.291 2.846 20 5 CFBDRN CC1CC(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000546632518 281714918 /nfs/dbraw/zinc/71/49/18/281714918.db2.gz ZFRCCPFBDZZEAW-UHFFFAOYSA-N 0 0 282.727 2.911 20 5 CFBDRN Cn1c(-c2nc([C@@H]3Cc4ccccc43)no2)ccc1[N+](=O)[O-] ZINC000546996220 281724296 /nfs/dbraw/zinc/72/42/96/281724296.db2.gz BWYITPXGDPAONL-LLVKDONJSA-N 0 0 296.286 2.671 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(COc2ccccc2[N+](=O)[O-])n1 ZINC000547186654 281729032 /nfs/dbraw/zinc/72/90/32/281729032.db2.gz QVZVFYITCOKQNC-DTWKUNHWSA-N 0 0 275.264 2.680 20 5 CFBDRN O=[N+]([O-])c1cccc(SCCOC[C@H]2CCCO2)c1 ZINC000548996096 281808988 /nfs/dbraw/zinc/80/89/88/281808988.db2.gz IXYVLOULJZSVND-GFCCVEGCSA-N 0 0 283.349 2.883 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cn1)C(=O)OC(C)(C)C ZINC000552462605 281862787 /nfs/dbraw/zinc/86/27/87/281862787.db2.gz BAHNOLDUKNEEJN-SNVBAGLBSA-N 0 0 281.312 2.522 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2ccc([N+](=O)[O-])cn2)CC12CCC2 ZINC000552730508 281865391 /nfs/dbraw/zinc/86/53/91/281865391.db2.gz LJDHVEUIERTTCK-CYBMUJFWSA-N 0 0 289.335 2.641 20 5 CFBDRN CSC1(CNC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000555300189 281912608 /nfs/dbraw/zinc/91/26/08/281912608.db2.gz UKMKQLRWIZFQJW-SNVBAGLBSA-N 0 0 294.376 2.710 20 5 CFBDRN CC(C)[C@@H]1CCC[C@H](NC(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000555290680 281912966 /nfs/dbraw/zinc/91/29/66/281912966.db2.gz SHUHAJAJSKDJJE-MNOVXSKESA-N 0 0 294.355 2.653 20 5 CFBDRN Cc1nc(N2CC3C[C@@H]4CC2C[C@H](C3)C4)ncc1[N+](=O)[O-] ZINC000295493182 281920256 /nfs/dbraw/zinc/92/02/56/281920256.db2.gz KBBMOYNPFNDKCA-MPEURRAXSA-N 0 0 288.351 2.708 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000274770804 288372134 /nfs/dbraw/zinc/37/21/34/288372134.db2.gz UJTHOCRRHHQDBO-JQWIXIFHSA-N 0 0 291.351 2.579 20 5 CFBDRN CC(C)=CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000556521719 281936626 /nfs/dbraw/zinc/93/66/26/281936626.db2.gz QCGDTWQOKAZCAL-LLVKDONJSA-N 0 0 279.340 2.798 20 5 CFBDRN Cc1nc(N2CCc3ccsc3C2)ccc1[N+](=O)[O-] ZINC000132493124 281942537 /nfs/dbraw/zinc/94/25/37/281942537.db2.gz JJVRMAWHBORKFT-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC[C@@H](C)[C@@H]1C ZINC000557630662 281967639 /nfs/dbraw/zinc/96/76/39/281967639.db2.gz OZCSQOAEKLUHFQ-KOLCDFICSA-N 0 0 292.335 2.925 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1CCC[C@@H]1F)c1ccc([N+](=O)[O-])cc1F ZINC000558032074 281975606 /nfs/dbraw/zinc/97/56/06/281975606.db2.gz VFMMRUOAESIOIH-YDEJPDAXSA-N 0 0 298.289 2.844 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000274770805 288377560 /nfs/dbraw/zinc/37/75/60/288377560.db2.gz UJTHOCRRHHQDBO-PWSUYJOCSA-N 0 0 291.351 2.579 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@H]2OCC)ccc1[N+](=O)[O-] ZINC000559815627 282010946 /nfs/dbraw/zinc/01/09/46/282010946.db2.gz CTIJGBKNGVRHKP-ZYHUDNBSSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1ccc([C@H](C)NCc2cnccn2)cc1[N+](=O)[O-] ZINC000560604732 282036337 /nfs/dbraw/zinc/03/63/37/282036337.db2.gz ULASWJMENGCGHD-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN C[C@H]1CC[C@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])CC1 ZINC000561193137 282049574 /nfs/dbraw/zinc/04/95/74/282049574.db2.gz GFCJKWIZZOMLBS-MGCOHNPYSA-N 0 0 277.324 2.684 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000561407716 282054146 /nfs/dbraw/zinc/05/41/46/282054146.db2.gz CRFBMCFSDFAASI-VXNVDRBHSA-N 0 0 254.261 2.953 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1c1ccccc1)c1ccc([N+](=O)[O-])cn1 ZINC000561562985 282057113 /nfs/dbraw/zinc/05/71/13/282057113.db2.gz KILPOQBWAHYZHY-JSGCOSHPSA-N 0 0 297.314 2.523 20 5 CFBDRN Cc1ccc(NC(=O)N(C)[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000159254554 289990121 /nfs/dbraw/zinc/99/01/21/289990121.db2.gz YEOGZTYXZLVZJJ-LLVKDONJSA-N 0 0 295.364 2.872 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C)N(c2ncc([N+](=O)[O-])s2)C1 ZINC000336954606 288498354 /nfs/dbraw/zinc/49/83/54/288498354.db2.gz UEVYWIIJEMOQRA-HLTSFMKQSA-N 0 0 255.343 2.922 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000416081197 288973664 /nfs/dbraw/zinc/97/36/64/288973664.db2.gz QHCUGMMBTJAIPH-OUAUKWLOSA-N 0 0 262.309 2.711 20 5 CFBDRN C[C@@]1(CO)CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000132615672 289176413 /nfs/dbraw/zinc/17/64/13/289176413.db2.gz IOHXESVCQZRAGQ-CYBMUJFWSA-N 0 0 284.743 2.847 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000295499274 289181445 /nfs/dbraw/zinc/18/14/45/289181445.db2.gz KAULZUDGIAEYDP-CYBMUJFWSA-N 0 0 266.272 2.559 20 5 CFBDRN O=C(c1ccoc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152251410 289842386 /nfs/dbraw/zinc/84/23/86/289842386.db2.gz SGWQHTMRDJTHTQ-UHFFFAOYSA-N 0 0 286.287 2.993 20 5 CFBDRN O=[N+]([O-])c1cccc(N2CCN(Cc3ccco3)CC2)c1 ZINC000154760168 289903338 /nfs/dbraw/zinc/90/33/38/289903338.db2.gz LYPWHKQQJAUASQ-UHFFFAOYSA-N 0 0 287.319 2.510 20 5 CFBDRN O=C(Nc1ccncc1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000156407511 289937908 /nfs/dbraw/zinc/93/79/08/289937908.db2.gz OYYSUWKOGREKOX-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@@H]1C=CCCC1 ZINC000158503168 289976416 /nfs/dbraw/zinc/97/64/16/289976416.db2.gz JQRQHMZQIPNWKL-WTNCMQEWSA-N 0 0 272.304 2.833 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000158605915 289978093 /nfs/dbraw/zinc/97/80/93/289978093.db2.gz DGPANSRAPJUDQM-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1cccc(N[C@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC000302028896 290006359 /nfs/dbraw/zinc/00/63/59/290006359.db2.gz ARTCBFNNZIPDCU-MNOVXSKESA-N 0 0 250.298 2.740 20 5 CFBDRN CCC(C)(C)C(=O)COc1cc(OC)ccc1[N+](=O)[O-] ZINC000312475465 290016289 /nfs/dbraw/zinc/01/62/89/290016289.db2.gz XVBJWLGIWVVOEK-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2nccnc2C(F)F)c1 ZINC000313725107 290018177 /nfs/dbraw/zinc/01/81/77/290018177.db2.gz XBSAIINPGNKVIG-UHFFFAOYSA-N 0 0 280.234 2.935 20 5 CFBDRN C[C@@H](C(=O)NCc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000562395029 290040531 /nfs/dbraw/zinc/04/05/31/290040531.db2.gz IQNFBYWVNJKXLX-SNVBAGLBSA-N 0 0 274.276 2.608 20 5 CFBDRN C[C@H](NC(=O)CCc1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000562556132 290055004 /nfs/dbraw/zinc/05/50/04/290055004.db2.gz KHPOVTLPLYWVDE-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@@H]1C(C)(C)C ZINC000562732824 290071616 /nfs/dbraw/zinc/07/16/16/290071616.db2.gz OZCLVXASAXNVIX-RYUDHWBXSA-N 0 0 293.367 2.878 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000563022661 290094347 /nfs/dbraw/zinc/09/43/47/290094347.db2.gz FPCMCQJNHRNZOI-HIFRSBDPSA-N 0 0 291.351 2.829 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)CC2(CCC2)O1 ZINC000563082029 290101439 /nfs/dbraw/zinc/10/14/39/290101439.db2.gz UEKLKRZBIASWNL-GFCCVEGCSA-N 0 0 276.336 2.738 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2ccn(C(C)C)n2)c1 ZINC000563120871 290105657 /nfs/dbraw/zinc/10/56/57/290105657.db2.gz KMEANLNCEYRJAY-UHFFFAOYSA-N 0 0 291.307 2.960 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1Cc1ccccc1)CCCC2 ZINC000563120163 290105752 /nfs/dbraw/zinc/10/57/52/290105752.db2.gz UUICVLVEJHYPFS-UHFFFAOYSA-N 0 0 284.315 2.684 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2csc(C)n2)c1 ZINC000563119647 290105771 /nfs/dbraw/zinc/10/57/71/290105771.db2.gz BGNQHZOXLLKIOK-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000563218109 290119535 /nfs/dbraw/zinc/11/95/35/290119535.db2.gz QRWPYPALIHJOGZ-NWDGAFQWSA-N 0 0 290.319 2.978 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000563245081 290123041 /nfs/dbraw/zinc/12/30/41/290123041.db2.gz JSNSUENBIXNBEE-MNOVXSKESA-N 0 0 267.329 2.507 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000563737845 290172100 /nfs/dbraw/zinc/17/21/00/290172100.db2.gz GHVYVPYKHUKVCB-CMPLNLGQSA-N 0 0 294.376 2.710 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000563737864 290172143 /nfs/dbraw/zinc/17/21/43/290172143.db2.gz GHVYVPYKHUKVCB-PWSUYJOCSA-N 0 0 294.376 2.710 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000565074973 290265132 /nfs/dbraw/zinc/26/51/32/290265132.db2.gz UDYSSTPAASCTBJ-GXSJLCMTSA-N 0 0 267.329 2.507 20 5 CFBDRN CN(c1nnc(-c2cccc([N+](=O)[O-])c2)n1C1CC1)C1CC1 ZINC000565126353 290271131 /nfs/dbraw/zinc/27/11/31/290271131.db2.gz PMACMDXIBQPPIY-UHFFFAOYSA-N 0 0 299.334 2.787 20 5 CFBDRN Cc1ncc(CN[C@H]2CCc3c2cccc3[N+](=O)[O-])o1 ZINC000565218812 290283886 /nfs/dbraw/zinc/28/38/86/290283886.db2.gz PEANHDCIYHCQQQ-ZDUSSCGKSA-N 0 0 273.292 2.668 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@@H](F)C1 ZINC000565418833 290300216 /nfs/dbraw/zinc/30/02/16/290300216.db2.gz HCTRUFLBQCWPQZ-ZJUUUORDSA-N 0 0 295.314 2.647 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000565467958 290303233 /nfs/dbraw/zinc/30/32/33/290303233.db2.gz CFYNUKIVEHUZBM-LLVKDONJSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000361013063 290335751 /nfs/dbraw/zinc/33/57/51/290335751.db2.gz LXCQOBVYVMISIJ-QWRGUYRKSA-N 0 0 293.367 2.878 20 5 CFBDRN C[C@@H](c1nc(-c2cnccn2)no1)c1cccc([N+](=O)[O-])c1 ZINC000565976258 290338247 /nfs/dbraw/zinc/33/82/47/290338247.db2.gz YEMLJHZSMKVSCG-SECBINFHSA-N 0 0 297.274 2.587 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]1C ZINC000565985645 290338689 /nfs/dbraw/zinc/33/86/89/290338689.db2.gz JBUYPUWLOVLPJJ-IJLUTSLNSA-N 0 0 291.351 2.792 20 5 CFBDRN CC(C)(O)CCn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000566472941 290389988 /nfs/dbraw/zinc/38/99/88/290389988.db2.gz VHCUYZRXWMWQKW-UHFFFAOYSA-N 0 0 275.308 2.619 20 5 CFBDRN CCC1(CO)CCN(c2c(OC)cccc2[N+](=O)[O-])CC1 ZINC000566485007 290393891 /nfs/dbraw/zinc/39/38/91/290393891.db2.gz WMWZESWFRKDCJD-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN COC[C@H]1CCCN(c2c(OC)cccc2[N+](=O)[O-])CC1 ZINC000567627369 290479298 /nfs/dbraw/zinc/47/92/98/290479298.db2.gz OGZXPPXFBLHVAL-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000568635986 290563426 /nfs/dbraw/zinc/56/34/26/290563426.db2.gz YARZVQRCAOKJDC-MFKMUULPSA-N 0 0 295.339 2.513 20 5 CFBDRN Cc1cncc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)c1 ZINC000173619051 292964810 /nfs/dbraw/zinc/96/48/10/292964810.db2.gz IXRAHQMSGMOBPK-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN COC[C@H]1CCCCN(c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000364216635 293196142 /nfs/dbraw/zinc/19/61/42/293196142.db2.gz NHMRSMRBBFOMQA-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN CO[C@@]1(C)CCCN(c2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000282318025 294134128 /nfs/dbraw/zinc/13/41/28/294134128.db2.gz SZZCXAMJSDVDPN-AWEZNQCLSA-N 0 0 279.340 2.572 20 5 CFBDRN CO[C@@]1(C)C[C@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000301535488 294158999 /nfs/dbraw/zinc/15/89/99/294158999.db2.gz AFBMQIJITVNRPT-WFASDCNBSA-N 0 0 293.367 2.938 20 5 CFBDRN C[C@@H]1CN(c2ccncc2[N+](=O)[O-])C[C@@H](c2ccccc2)O1 ZINC000340152129 297083313 /nfs/dbraw/zinc/08/33/13/297083313.db2.gz RATYZXZOKBCAMN-WBMJQRKESA-N 0 0 299.330 2.956 20 5 CFBDRN CO[C@](C)(C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000295301147 294739018 /nfs/dbraw/zinc/73/90/18/294739018.db2.gz JIIHHRISOMBUBB-BONVTDFDSA-N 0 0 292.335 2.587 20 5 CFBDRN CO[C@](C)([C@@H](C)[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C1CC1 ZINC000411972851 294778929 /nfs/dbraw/zinc/77/89/29/294778929.db2.gz QSKDMKQBDYDTLM-MEBBXXQBSA-N 0 0 294.351 2.594 20 5 CFBDRN Nc1c(F)c(N2CCC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000278382052 295346434 /nfs/dbraw/zinc/34/64/34/295346434.db2.gz FFIVFBIKRMAVSN-UHFFFAOYSA-N 0 0 265.288 2.697 20 5 CFBDRN O=C(C1CCCC1)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359614760 295444480 /nfs/dbraw/zinc/44/44/80/295444480.db2.gz KTEPCEZUDZRXCI-UHFFFAOYSA-N 0 0 290.319 2.506 20 5 CFBDRN O=C(NC12CCC(CC1)C2)c1csc([N+](=O)[O-])c1 ZINC000371718584 295600246 /nfs/dbraw/zinc/60/02/46/295600246.db2.gz XSXYUORXHRKKPF-UHFFFAOYSA-N 0 0 266.322 2.719 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)[C@@H](C)[C@@H](C)O1 ZINC000408443822 297084636 /nfs/dbraw/zinc/08/46/36/297084636.db2.gz PTMOUADFXWPNDV-HRDYMLBCSA-N 0 0 285.731 2.645 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000037669493 297225318 /nfs/dbraw/zinc/22/53/18/297225318.db2.gz XXWDBDKXZMNLMG-NXEZZACHSA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@@H]1c2ccccc2CN1c1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000342823284 297826542 /nfs/dbraw/zinc/82/65/42/297826542.db2.gz HVSWJKQRTBGYAJ-SNVBAGLBSA-N 0 0 297.314 2.775 20 5 CFBDRN C[C@H]1CN(c2ccc(N)cc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000368298960 300297688 /nfs/dbraw/zinc/29/76/88/300297688.db2.gz KVOSBKVCAXFQOV-NSHDSACASA-N 0 0 299.330 2.965 20 5 CFBDRN C[C@H]1CN(c2sccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000302045347 300305897 /nfs/dbraw/zinc/30/58/97/300305897.db2.gz XURPSILOJQDEIC-YUMQZZPRSA-N 0 0 258.368 2.987 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC[C@@H]2CC(F)F)c1 ZINC000343920555 301853794 /nfs/dbraw/zinc/85/37/94/301853794.db2.gz TTXYNZOEPWMERQ-JTQLQIEISA-N 0 0 286.278 2.764 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCSC[C@H](C)C1 ZINC000192676639 302038353 /nfs/dbraw/zinc/03/83/53/302038353.db2.gz JJOYEQRDVUHJOC-SNVBAGLBSA-N 0 0 294.376 2.728 20 5 CFBDRN Cc1ccnc(N2CCCc3occc3C2)c1[N+](=O)[O-] ZINC000289142105 302271734 /nfs/dbraw/zinc/27/17/34/302271734.db2.gz SFPHWGHUCGZNNS-UHFFFAOYSA-N 0 0 273.292 2.844 20 5 CFBDRN Cc1ccnc(N2CCC[C@](C)(F)C2)c1[N+](=O)[O-] ZINC000295026407 302271839 /nfs/dbraw/zinc/27/18/39/302271839.db2.gz VLCWFHFDAXUDSP-LBPRGKRZSA-N 0 0 253.277 2.627 20 5 CFBDRN Cc1cnc(N(CCCO)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000270120112 302345934 /nfs/dbraw/zinc/34/59/34/302345934.db2.gz GKYPSEQNIAGQCZ-UHFFFAOYSA-N 0 0 281.356 2.533 20 5 CFBDRN Cc1cnc(N2CCCC3(CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000266195874 302348557 /nfs/dbraw/zinc/34/85/57/302348557.db2.gz HVBPPXITMJGFFO-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN Cc1cnc(N2CCOC[C@@H]2CC(C)C)c([N+](=O)[O-])c1 ZINC000267995908 302351217 /nfs/dbraw/zinc/35/12/17/302351217.db2.gz AJCZOAFHKNGUER-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1nc(NC(=O)c2ccccc2[N+](=O)[O-])sc1C ZINC000006187174 302610018 /nfs/dbraw/zinc/61/00/18/302610018.db2.gz XIVBDGMJBBLULL-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000408338083 302785962 /nfs/dbraw/zinc/78/59/62/302785962.db2.gz JAXZBHDYPTZUGA-LLVKDONJSA-N 0 0 280.372 2.899 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCCC[C@H]1c1ccco1 ZINC000371825459 303019079 /nfs/dbraw/zinc/01/90/79/303019079.db2.gz UWQLAUKFBCIHSP-NSHDSACASA-N 0 0 290.323 2.649 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@@H](CC(C)(C)C)C1 ZINC000450939920 303020983 /nfs/dbraw/zinc/02/09/83/303020983.db2.gz CNILTKNFAXATMY-JTQLQIEISA-N 0 0 266.345 2.591 20 5 CFBDRN O=C(NC1CCCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000335879695 303740778 /nfs/dbraw/zinc/74/07/78/303740778.db2.gz RLIRSVFNOQSRMZ-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCCC[C@H](CO)C1 ZINC000367327616 304802475 /nfs/dbraw/zinc/80/24/75/304802475.db2.gz SCLYWCSEPDUPHV-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1Cc2ccc(O)cc2C2(CC2)C1 ZINC000360230280 304811290 /nfs/dbraw/zinc/81/12/90/304811290.db2.gz JQNGRZGJSBJJBP-UHFFFAOYSA-N 0 0 297.314 2.747 20 5 CFBDRN COC(=O)c1occc1CSc1ccc([N+](=O)[O-])cn1 ZINC000159613652 322325113 /nfs/dbraw/zinc/32/51/13/322325113.db2.gz WDQMFTFSVZXTSX-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN CCCCCNC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159620643 322325157 /nfs/dbraw/zinc/32/51/57/322325157.db2.gz RVKMOQHFDOQIHJ-JTQLQIEISA-N 0 0 297.380 2.777 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000160275681 322335557 /nfs/dbraw/zinc/33/55/57/322335557.db2.gz KAHIVLGZYHAODS-JSGCOSHPSA-N 0 0 262.309 2.994 20 5 CFBDRN CC(C)OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000160631148 322340821 /nfs/dbraw/zinc/34/08/21/322340821.db2.gz RQFQYRDZQPNUNX-UHFFFAOYSA-N 0 0 262.265 2.570 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000161702472 322355923 /nfs/dbraw/zinc/35/59/23/322355923.db2.gz ZCKIPUZCKJGQGS-PHIMTYICSA-N 0 0 262.309 2.537 20 5 CFBDRN O=C(c1ccco1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162071977 322360457 /nfs/dbraw/zinc/36/04/57/322360457.db2.gz IBVFEPRENOWXRZ-UHFFFAOYSA-N 0 0 272.260 2.781 20 5 CFBDRN Cc1sc(C(=O)N2[C@@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000162377016 322364493 /nfs/dbraw/zinc/36/44/93/322364493.db2.gz LFMOQTRQDVDEOS-YUMQZZPRSA-N 0 0 268.338 2.978 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000171167993 322401814 /nfs/dbraw/zinc/40/18/14/322401814.db2.gz FTJGIODDTCWNMX-RYUDHWBXSA-N 0 0 293.367 2.871 20 5 CFBDRN Cc1c(C(=O)NC[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000172444309 322425071 /nfs/dbraw/zinc/42/50/71/322425071.db2.gz IBVJFOJGHLKSQR-SNVBAGLBSA-N 0 0 264.325 2.925 20 5 CFBDRN CSCCCCNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000172488702 322425923 /nfs/dbraw/zinc/42/59/23/322425923.db2.gz HSAIQPUNJJAPQE-UHFFFAOYSA-N 0 0 297.380 2.537 20 5 CFBDRN Cc1cc(N2CCC[C@H]2c2ncon2)c(F)cc1[N+](=O)[O-] ZINC000569285781 322461791 /nfs/dbraw/zinc/46/17/91/322461791.db2.gz GGQYFKFQRNPVMB-JTQLQIEISA-N 0 0 292.270 2.767 20 5 CFBDRN CC(C)[C@@H]1CN(c2ncc([N+](=O)[O-])cc2F)CCS1 ZINC000569617205 322485573 /nfs/dbraw/zinc/48/55/73/322485573.db2.gz RZALDOCIKFKAPU-NSHDSACASA-N 0 0 285.344 2.707 20 5 CFBDRN C[C@@H](C(=O)N1CCS[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000569639678 322486944 /nfs/dbraw/zinc/48/69/44/322486944.db2.gz NQRRPHUMVSYHOE-GHMZBOCLSA-N 0 0 294.376 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(C3CCSCC3)no2)nc1 ZINC000569671107 322488276 /nfs/dbraw/zinc/48/82/76/322488276.db2.gz GGLKYSDVDMPUJW-UHFFFAOYSA-N 0 0 292.320 2.650 20 5 CFBDRN COCCCCCOc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000570059780 322512218 /nfs/dbraw/zinc/51/22/18/322512218.db2.gz DUKXZXOUEATAKN-UHFFFAOYSA-N 0 0 268.313 2.802 20 5 CFBDRN CC1=CCCN(c2ccc([N+](=O)[O-])c(OC(C)C)n2)C1 ZINC000570177189 322518393 /nfs/dbraw/zinc/51/83/93/322518393.db2.gz ZXTKZUSCWMCUIC-UHFFFAOYSA-N 0 0 277.324 2.933 20 5 CFBDRN C[C@H](C(=O)N(C)[C@@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000570227598 322521453 /nfs/dbraw/zinc/52/14/53/322521453.db2.gz WBWXWTWXTIJFGN-GXFFZTMASA-N 0 0 276.336 2.955 20 5 CFBDRN CCO[C@@H]1C[C@H]1NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000570510787 322535954 /nfs/dbraw/zinc/53/59/54/322535954.db2.gz WGOLSWJDGDGNQP-DGCLKSJQSA-N 0 0 293.323 2.511 20 5 CFBDRN CCCCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000570658165 322544104 /nfs/dbraw/zinc/54/41/04/322544104.db2.gz UNRSEOOCFHHWKP-JTQLQIEISA-N 0 0 250.298 2.615 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000570907847 322558392 /nfs/dbraw/zinc/55/83/92/322558392.db2.gz HSKUKZZPESFMCL-ZJUUUORDSA-N 0 0 283.303 2.746 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H]2CCO[C@@H](C)C2)c1 ZINC000571164631 322572814 /nfs/dbraw/zinc/57/28/14/322572814.db2.gz RHELVQMPHQGTTF-QWRGUYRKSA-N 0 0 281.308 2.797 20 5 CFBDRN CCOc1cccc(Cl)c1Cn1cc([N+](=O)[O-])cn1 ZINC000571537269 322587254 /nfs/dbraw/zinc/58/72/54/322587254.db2.gz FQAWUKNNUVOWTI-UHFFFAOYSA-N 0 0 281.699 2.892 20 5 CFBDRN Cc1nn(C)c(N2CC[C@@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000572074912 322606534 /nfs/dbraw/zinc/60/65/34/322606534.db2.gz BODSRDZEYMZRKE-SNVBAGLBSA-N 0 0 266.345 2.509 20 5 CFBDRN CS[C@@H]1CCN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000572455260 322619371 /nfs/dbraw/zinc/61/93/71/322619371.db2.gz WJBIOEOJJKVSFI-ZWNOBZJWSA-N 0 0 294.376 2.662 20 5 CFBDRN CC[C@H](C)C[C@H](NCc1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000572484144 322620565 /nfs/dbraw/zinc/62/05/65/322620565.db2.gz AFPRFSRQRANUPC-FZMZJTMJSA-N 0 0 294.351 2.662 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)C(C)(C)CO)cc1[N+](=O)[O-] ZINC000572661381 322627099 /nfs/dbraw/zinc/62/70/99/322627099.db2.gz GNVHZZROJSPRAP-UHFFFAOYSA-N 0 0 294.351 2.849 20 5 CFBDRN C[C@H](C(=O)NCCC(C)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000572932094 322637345 /nfs/dbraw/zinc/63/73/45/322637345.db2.gz YJWAYTPPRLXAKX-VIFPVBQESA-N 0 0 286.278 2.860 20 5 CFBDRN CC(C)Oc1nc(N[C@H]2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000572974924 322639526 /nfs/dbraw/zinc/63/95/26/322639526.db2.gz DLTKABUYLVMOMS-ZJUUUORDSA-N 0 0 283.303 2.501 20 5 CFBDRN C[C@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])c1ccon1 ZINC000573458449 322657525 /nfs/dbraw/zinc/65/75/25/322657525.db2.gz SSNDUTHOPNQHOH-TVQRCGJNSA-N 0 0 273.292 2.921 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C12CCC2 ZINC000573773547 322668188 /nfs/dbraw/zinc/66/81/88/322668188.db2.gz XRZQGRZAJJMITL-OLZOCXBDSA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1cc(C)c(C(=O)NCc2cccc([N+](=O)[O-])c2)o1 ZINC000176226931 323674442 /nfs/dbraw/zinc/67/44/42/323674442.db2.gz GAPAJUVFHUUGKF-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@H]2C1 ZINC000178282172 323714197 /nfs/dbraw/zinc/71/41/97/323714197.db2.gz GXBWEQHKQFBDAN-UWVGGRQHSA-N 0 0 280.349 2.919 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1cccnc1 ZINC000178947384 323727964 /nfs/dbraw/zinc/72/79/64/323727964.db2.gz YQODBRWUOGRTBW-UHFFFAOYSA-N 0 0 255.277 2.553 20 5 CFBDRN O=C(N[C@@H]1CCCOCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000179965373 323767254 /nfs/dbraw/zinc/76/72/54/323767254.db2.gz ZJGRCUCRIJNCBN-LLVKDONJSA-N 0 0 298.726 2.547 20 5 CFBDRN CO[C@H](C)CSCCc1ccc([N+](=O)[O-])cc1 ZINC000181140193 323802093 /nfs/dbraw/zinc/80/20/93/323802093.db2.gz MJQCSMMSDKDWPD-SNVBAGLBSA-N 0 0 255.339 2.905 20 5 CFBDRN CCN(CC)C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182180976 323846276 /nfs/dbraw/zinc/84/62/76/323846276.db2.gz XAARZNHFRJJBRR-VIFPVBQESA-N 0 0 268.288 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cccnn2)c(Cl)c1 ZINC000183981227 323932826 /nfs/dbraw/zinc/93/28/26/323932826.db2.gz CASSBYXEFUADAG-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN C[C@@H](C(=O)N(C)C1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000184304865 323940944 /nfs/dbraw/zinc/94/09/44/323940944.db2.gz MDKNZQSOIMCGGL-SECBINFHSA-N 0 0 280.299 2.848 20 5 CFBDRN Cc1cc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)co1 ZINC000188071319 324007746 /nfs/dbraw/zinc/00/77/46/324007746.db2.gz TYOXEGVMQXRSGP-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cc(F)ccc2Cl)cn1 ZINC000188176315 324009973 /nfs/dbraw/zinc/00/99/73/324009973.db2.gz PDPURIDSUXVQND-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1cc(Cl)ccc1F ZINC000188721643 324019774 /nfs/dbraw/zinc/01/97/74/324019774.db2.gz MGVCABTUVDOOEL-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(-c2nc(-c3ccc(C)cc3)no2)n1 ZINC000191684895 324052923 /nfs/dbraw/zinc/05/29/23/324052923.db2.gz QDQUHHRQXBTOSJ-UHFFFAOYSA-N 0 0 299.290 2.837 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCc1cn2ccccc2n1 ZINC000194661725 324092732 /nfs/dbraw/zinc/09/27/32/324092732.db2.gz MLHLONPULUWBMV-UHFFFAOYSA-N 0 0 286.316 2.930 20 5 CFBDRN COc1c(-c2nc([C@@H]3C[C@@H]3C)no2)cccc1[N+](=O)[O-] ZINC000350143263 324202733 /nfs/dbraw/zinc/20/27/33/324202733.db2.gz NVGCOLYEFDTEKH-IONNQARKSA-N 0 0 275.264 2.777 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc([C@@H]2CCC(F)(F)C2)no1 ZINC000350597828 324241026 /nfs/dbraw/zinc/24/10/26/324241026.db2.gz PAVBAXZGZLCYIK-SSDOTTSWSA-N 0 0 298.249 2.886 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3cncc(F)c3)n2)s1 ZINC000350838167 324266247 /nfs/dbraw/zinc/26/62/47/324266247.db2.gz PFMBCMNOIJKGJF-UHFFFAOYSA-N 0 0 292.251 2.907 20 5 CFBDRN Cn1cncc1-c1noc(/C=C/c2ccccc2[N+](=O)[O-])n1 ZINC000350879394 324275470 /nfs/dbraw/zinc/27/54/70/324275470.db2.gz GRSQVGXKCHKJQP-VOTSOKGWSA-N 0 0 297.274 2.549 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(C3CCSCC3)no2)c1 ZINC000350884519 324277948 /nfs/dbraw/zinc/27/79/48/324277948.db2.gz DPHAOZAUTDXMQW-UHFFFAOYSA-N 0 0 280.309 2.584 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(C2C(C)(C)C2(C)C)n1 ZINC000350884693 324277967 /nfs/dbraw/zinc/27/79/67/324277967.db2.gz BDWKKMLZGXWFMY-UHFFFAOYSA-N 0 0 291.311 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cncs3)no2)s1 ZINC000350915043 324282492 /nfs/dbraw/zinc/28/24/92/324282492.db2.gz LNQRAXQHUNQNTP-UHFFFAOYSA-N 0 0 280.290 2.830 20 5 CFBDRN CC[C@@H]1CCC[C@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000351097445 324311105 /nfs/dbraw/zinc/31/11/05/324311105.db2.gz AGVKQVRMLVHUQX-RKDXNWHRSA-N 0 0 291.311 2.672 20 5 CFBDRN CCC[C@H](OC)c1noc(-c2cc([N+](=O)[O-])cnc2C)n1 ZINC000351131023 324321077 /nfs/dbraw/zinc/32/10/77/324321077.db2.gz HMMDZYQAIAVECW-NSHDSACASA-N 0 0 292.295 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3cc(C4CC4)on3)n2)o1 ZINC000351139335 324324222 /nfs/dbraw/zinc/32/42/22/324324222.db2.gz AKJRETHVOXYFNX-UHFFFAOYSA-N 0 0 288.219 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCC3CCOCC3)n2)o1 ZINC000351140714 324325093 /nfs/dbraw/zinc/32/50/93/324325093.db2.gz BZXPBNJJDYWUPG-UHFFFAOYSA-N 0 0 293.279 2.597 20 5 CFBDRN CC[C@@H](C)Cc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351142248 324325745 /nfs/dbraw/zinc/32/57/45/324325745.db2.gz DRUOCESSTRYILF-SSDOTTSWSA-N 0 0 251.242 2.826 20 5 CFBDRN Cc1c(-c2noc([C@@]3(C)CCCO3)n2)cccc1[N+](=O)[O-] ZINC000351145303 324326590 /nfs/dbraw/zinc/32/65/90/324326590.db2.gz JPCHBYUBDNVSOO-CQSZACIVSA-N 0 0 289.291 2.979 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351144266 324326624 /nfs/dbraw/zinc/32/66/24/324326624.db2.gz HQPBWWKJDOSJDK-IUCAKERBSA-N 0 0 293.279 2.910 20 5 CFBDRN CC[C@H](C)OCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351148674 324328345 /nfs/dbraw/zinc/32/83/45/324328345.db2.gz QGKJHROGCGUBIA-ZETCQYMHSA-N 0 0 267.241 2.553 20 5 CFBDRN COC1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCCC1 ZINC000351151825 324329969 /nfs/dbraw/zinc/32/99/69/324329969.db2.gz VSDSJYWGBGLLTL-UHFFFAOYSA-N 0 0 279.252 2.654 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351153385 324330937 /nfs/dbraw/zinc/33/09/37/324330937.db2.gz YGJQCJSMQLIJQC-BRDIYROLSA-N 0 0 279.252 2.724 20 5 CFBDRN COc1cc(-c2nc(C3(C)CC3)no2)ccc1[N+](=O)[O-] ZINC000351170587 324337121 /nfs/dbraw/zinc/33/71/21/324337121.db2.gz FULBKDKFPYECEI-UHFFFAOYSA-N 0 0 275.264 2.705 20 5 CFBDRN O=C1C[C@H]2C[C@@H]1[C@@H](c1nc(-c3ccc([N+](=O)[O-])cc3)no1)C2 ZINC000351186885 324342412 /nfs/dbraw/zinc/34/24/12/324342412.db2.gz QTLXJPXOCYSSPJ-AXTRIDKLSA-N 0 0 299.286 2.727 20 5 CFBDRN COCC1(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 ZINC000351335455 324386749 /nfs/dbraw/zinc/38/67/49/324386749.db2.gz STQDQFYMIIHUKD-UHFFFAOYSA-N 0 0 289.291 2.614 20 5 CFBDRN Cc1nc(NCCC(C)(C)C2CC2)ccc1[N+](=O)[O-] ZINC000381288084 324416779 /nfs/dbraw/zinc/41/67/79/324416779.db2.gz ISTFYXRIBYAEQW-UHFFFAOYSA-N 0 0 263.341 2.958 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@H]1CC(C)C ZINC000385193901 324457596 /nfs/dbraw/zinc/45/75/96/324457596.db2.gz NLHHBMVEGBSIQN-GHMZBOCLSA-N 0 0 266.345 2.966 20 5 CFBDRN CNc1ccc(C(=O)N(C)[C@@H](C)C2(C)CC2)cc1[N+](=O)[O-] ZINC000385574679 324462595 /nfs/dbraw/zinc/46/25/95/324462595.db2.gz UOSIGLDPBYFVPK-JTQLQIEISA-N 0 0 291.351 2.897 20 5 CFBDRN CCOc1cc(N[C@@H](CC)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000386737218 324481902 /nfs/dbraw/zinc/48/19/02/324481902.db2.gz CMRYXZGPUUDXDO-ONGXEEELSA-N 0 0 268.313 2.565 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H]2CC[C@@H](O)C[C@H]2C1 ZINC000398764596 324551363 /nfs/dbraw/zinc/55/13/63/324551363.db2.gz ADAIJCJOHFXTKP-RWMBFGLXSA-N 0 0 276.336 2.500 20 5 CFBDRN Cc1cc(N2C[C@@H]3CC[C@H](O)C[C@@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000398696563 324551484 /nfs/dbraw/zinc/55/14/84/324551484.db2.gz KNINEEMYIVQQGM-TUAOUCFPSA-N 0 0 294.326 2.640 20 5 CFBDRN Cc1cc(N2C[C@@H]3CC[C@H](O)C[C@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000398696555 324551487 /nfs/dbraw/zinc/55/14/87/324551487.db2.gz KNINEEMYIVQQGM-SRVKXCTJSA-N 0 0 294.326 2.640 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2C(F)(F)F)CO1 ZINC000575984178 324562995 /nfs/dbraw/zinc/56/29/95/324562995.db2.gz PLAMADVXGABEFH-BQBZGAKWSA-N 0 0 291.229 2.598 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2C(F)(F)F)CO1 ZINC000575984180 324563584 /nfs/dbraw/zinc/56/35/84/324563584.db2.gz PLAMADVXGABEFH-RNFRBKRXSA-N 0 0 291.229 2.598 20 5 CFBDRN CCO[C@@H](CC)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000576250606 324596892 /nfs/dbraw/zinc/59/68/92/324596892.db2.gz KQOYNKXAKKRPHX-HZMBPMFUSA-N 0 0 292.335 2.688 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000576588251 324634103 /nfs/dbraw/zinc/63/41/03/324634103.db2.gz PRTZVQMTSQWJBP-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN CCc1nnc(CNc2c(OC)cccc2[N+](=O)[O-])s1 ZINC000577124222 324699446 /nfs/dbraw/zinc/69/94/46/324699446.db2.gz KGMSKCIGOPJLOX-UHFFFAOYSA-N 0 0 294.336 2.629 20 5 CFBDRN C[C@@H](C(=O)NC1CC(C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000577461393 324739037 /nfs/dbraw/zinc/73/90/37/324739037.db2.gz LSPOFYLYSDXUAO-FDMSEYEVSA-N 0 0 280.299 2.705 20 5 CFBDRN C[C@H]1CN(C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])C[C@@H]1C ZINC000577874589 324791248 /nfs/dbraw/zinc/79/12/48/324791248.db2.gz NVVVFVIRUQJJBY-RYUDHWBXSA-N 0 0 290.363 2.987 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC[C@H](F)C1 ZINC000579251456 324935502 /nfs/dbraw/zinc/93/55/02/324935502.db2.gz SWORHAFZADHXBQ-NSHDSACASA-N 0 0 280.299 2.786 20 5 CFBDRN CCc1ccc(CCn2cc([N+](=O)[O-])cc(F)c2=O)cc1 ZINC000580326387 325026422 /nfs/dbraw/zinc/02/64/22/325026422.db2.gz PEKKTBIJZBFDFG-UHFFFAOYSA-N 0 0 290.294 2.701 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](c3cccnc3)C2)c(F)c1 ZINC000580438864 325035663 /nfs/dbraw/zinc/03/56/63/325035663.db2.gz HVHKXIXFEOCGCH-NSHDSACASA-N 0 0 288.282 2.518 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@H](F)C1 ZINC000580546926 325044956 /nfs/dbraw/zinc/04/49/56/325044956.db2.gz MHZHLMQKMFHKHL-UWVGGRQHSA-N 0 0 281.287 2.997 20 5 CFBDRN COCC1(C)CN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000580613685 325050609 /nfs/dbraw/zinc/05/06/09/325050609.db2.gz VPPWFNVUYDWQDC-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1(F)F ZINC000580988068 325080269 /nfs/dbraw/zinc/08/02/69/325080269.db2.gz QDWHKXQZEBDMIT-ZETCQYMHSA-N 0 0 289.257 2.798 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1C[C@H](OC)C12CCC2 ZINC000581022058 325083906 /nfs/dbraw/zinc/08/39/06/325083906.db2.gz JUMZSDDVAREJTM-STQMWFEESA-N 0 0 292.335 2.973 20 5 CFBDRN CCNc1ccc(C(=O)N2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000581436884 325121358 /nfs/dbraw/zinc/12/13/58/325121358.db2.gz IAMPTYZPHVJLME-LLVKDONJSA-N 0 0 295.314 2.601 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000581760400 325149063 /nfs/dbraw/zinc/14/90/63/325149063.db2.gz JVOLXKWPWJTJDA-QWRGUYRKSA-N 0 0 262.309 2.567 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@@H]2OCC[C@@H]2C1 ZINC000582060133 325173283 /nfs/dbraw/zinc/17/32/83/325173283.db2.gz UXFIBLLGWIHPMS-ABAIWWIYSA-N 0 0 299.330 2.758 20 5 CFBDRN CC(C)N(C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000582218222 325186724 /nfs/dbraw/zinc/18/67/24/325186724.db2.gz LLUOIBYIGFHOKW-SNVBAGLBSA-N 0 0 250.298 2.565 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H]2CCC[C@@H]21 ZINC000582262702 325190597 /nfs/dbraw/zinc/19/05/97/325190597.db2.gz MPAFALTZMLWZCG-NEPJUHHUSA-N 0 0 278.356 2.889 20 5 CFBDRN C[C@@H](C(=O)N(C)[C@H](C)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000582463573 325206778 /nfs/dbraw/zinc/20/67/78/325206778.db2.gz IPMCQRXWYMVJOC-GHMZBOCLSA-N 0 0 276.336 2.955 20 5 CFBDRN CC[C@@H](C)CC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000582521613 325211213 /nfs/dbraw/zinc/21/12/13/325211213.db2.gz QOPLNZFWCBVPKC-LLVKDONJSA-N 0 0 280.324 2.526 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000582677772 325225588 /nfs/dbraw/zinc/22/55/88/325225588.db2.gz VCTYPSNJTUCRNS-JGPRNRPPSA-N 0 0 280.324 2.971 20 5 CFBDRN Cc1noc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c1C ZINC000583002006 325251774 /nfs/dbraw/zinc/25/17/74/325251774.db2.gz KBUITJCMCWSNRO-SECBINFHSA-N 0 0 289.291 2.942 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1CO[C@@H](C)C1 ZINC000583320479 325277617 /nfs/dbraw/zinc/27/76/17/325277617.db2.gz WKVCYKLFTJECQR-QWRGUYRKSA-N 0 0 293.323 2.511 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ccccc3CC2)c(F)c1 ZINC000583368360 325281276 /nfs/dbraw/zinc/28/12/76/325281276.db2.gz SPYODQLZBUUUDU-UHFFFAOYSA-N 0 0 287.294 2.734 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1ccc(F)cc1[N+](=O)[O-] ZINC000583451665 325289258 /nfs/dbraw/zinc/28/92/58/325289258.db2.gz BTKYKXKHNDAABA-QMMMGPOBSA-N 0 0 287.312 2.776 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CC3CC(F)(F)C3)no2)nc1 ZINC000583606852 325298990 /nfs/dbraw/zinc/29/89/90/325298990.db2.gz MHTYYVYFCDXJTN-UHFFFAOYSA-N 0 0 296.233 2.628 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]2CCC[C@@H]21 ZINC000583833011 325313681 /nfs/dbraw/zinc/31/36/81/325313681.db2.gz XEGDXCMNAMTFKN-WCQYABFASA-N 0 0 289.335 2.641 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]2CCC[C@H]21 ZINC000583833010 325313721 /nfs/dbraw/zinc/31/37/21/325313721.db2.gz XEGDXCMNAMTFKN-DGCLKSJQSA-N 0 0 289.335 2.641 20 5 CFBDRN CCn1cc(N[C@@H]2CCc3c2cccc3[N+](=O)[O-])cn1 ZINC000584242071 325340866 /nfs/dbraw/zinc/34/08/66/325340866.db2.gz GEEPBAHPCBGKBC-CYBMUJFWSA-N 0 0 272.308 2.911 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CO[C@@H](C)C1 ZINC000584349488 328690728 /nfs/dbraw/zinc/69/07/28/328690728.db2.gz WPKPMZNZWFLGAH-ONGXEEELSA-N 0 0 293.323 2.511 20 5 CFBDRN CC(C)NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 ZINC000435812937 330663558 /nfs/dbraw/zinc/66/35/58/330663558.db2.gz BIWAKCCXHGGJDG-UHFFFAOYSA-N 0 0 298.317 2.959 20 5 CFBDRN O=C(NCCCCO)c1cc2ccccc2c2cccnc12 ZINC000194982659 331104305 /nfs/dbraw/zinc/10/43/05/331104305.db2.gz ZYTLUAXUOSPKSL-UHFFFAOYSA-N 0 0 294.354 2.890 20 5 CFBDRN CC(C)CCCS(=O)(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000182464520 519642636 /nfs/dbraw/zinc/64/26/36/519642636.db2.gz NFPSDOPAFZKASH-UHFFFAOYSA-N 0 0 285.365 2.946 20 5 CFBDRN CCCCN(CCOC)Cc1c(F)cccc1[N+](=O)[O-] ZINC000068853280 520535648 /nfs/dbraw/zinc/53/56/48/520535648.db2.gz XMXALRWLALNDFL-UHFFFAOYSA-N 0 0 284.331 2.982 20 5 CFBDRN CCCSCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049249073 520555130 /nfs/dbraw/zinc/55/51/30/520555130.db2.gz WVBNNXUZWYRTLP-JTQLQIEISA-N 0 0 282.365 2.915 20 5 CFBDRN CC[C@]1(C)CN(C(=O)c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000192622098 500606660 /nfs/dbraw/zinc/60/66/60/500606660.db2.gz YKPXNJGCAGCQQB-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000282761312 500630425 /nfs/dbraw/zinc/63/04/25/500630425.db2.gz VIGAWACZSOLIBL-UWVGGRQHSA-N 0 0 299.710 2.973 20 5 CFBDRN C[C@@H](C(=O)N1[C@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000525938658 500877576 /nfs/dbraw/zinc/87/75/76/500877576.db2.gz NIZNFUSRKNLAOP-OUAUKWLOSA-N 0 0 262.309 2.708 20 5 CFBDRN CCSCC[C@@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173314194 521712383 /nfs/dbraw/zinc/71/23/83/521712383.db2.gz QARLZXLNLFSXDV-LLVKDONJSA-N 0 0 296.392 2.785 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000151351707 522040391 /nfs/dbraw/zinc/04/03/91/522040391.db2.gz TYYBXOMACAYNLY-SECBINFHSA-N 0 0 268.288 2.533 20 5 CFBDRN C[C@H](CCCC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000427957979 533837394 /nfs/dbraw/zinc/83/73/94/533837394.db2.gz LRXLZZDMVHIURS-SSDOTTSWSA-N 0 0 293.245 2.774 20 5 CFBDRN COc1cccc2c1C[C@H](Nc1ncc([N+](=O)[O-])s1)C2 ZINC000413423407 533892994 /nfs/dbraw/zinc/89/29/94/533892994.db2.gz DUBPVQYXAXDDKO-SECBINFHSA-N 0 0 291.332 2.639 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])o1)CC(F)(F)F ZINC000413979827 534041854 /nfs/dbraw/zinc/04/18/54/534041854.db2.gz JBCQHKAFRLWNEJ-ZCFIWIBFSA-N 0 0 280.202 2.506 20 5 CFBDRN C[C@@H](c1cccc(O)c1)N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000155722543 534092003 /nfs/dbraw/zinc/09/20/03/534092003.db2.gz YRSJMVTWSSLKNI-VIFPVBQESA-N 0 0 290.275 2.727 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000452251005 535880707 /nfs/dbraw/zinc/88/07/07/535880707.db2.gz SXKGJHYODGUYFK-VIFPVBQESA-N 0 0 276.214 2.507 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412351039 534263754 /nfs/dbraw/zinc/26/37/54/534263754.db2.gz YTWHDPIVNFQRGB-GJQVQUKXSA-N 0 0 274.320 2.613 20 5 CFBDRN COC(=O)c1cccc(OCC[C@H](C)F)c1[N+](=O)[O-] ZINC000413014013 534280289 /nfs/dbraw/zinc/28/02/89/534280289.db2.gz HXDBLSKWGDJHEX-QMMMGPOBSA-N 0 0 271.244 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](Cc3ccncc3)C2)n1 ZINC000359901993 534325034 /nfs/dbraw/zinc/32/50/34/534325034.db2.gz QVPWASOXFMVSEI-AWEZNQCLSA-N 0 0 298.346 2.762 20 5 CFBDRN CC(C)(C)C(C)(C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000336837687 518597005 /nfs/dbraw/zinc/59/70/05/518597005.db2.gz ZDCXLCDKAYWOTR-UHFFFAOYSA-N 0 0 279.340 2.732 20 5 CFBDRN CC(C)(C)CCNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000048854492 518651884 /nfs/dbraw/zinc/65/18/84/518651884.db2.gz FORZQIKAGYYHDD-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN CC(C)(C)[C@@H]1OCCC[C@H]1CNc1ccncc1[N+](=O)[O-] ZINC000360991468 518952967 /nfs/dbraw/zinc/95/29/67/518952967.db2.gz SZYLLNBJGGUQIC-SMDDNHRTSA-N 0 0 293.367 2.665 20 5 CFBDRN CC(C)(CCO)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000227918336 519222031 /nfs/dbraw/zinc/22/20/31/519222031.db2.gz XCZFYJWWDBLJPX-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN C=Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000360509247 519314912 /nfs/dbraw/zinc/31/49/12/519314912.db2.gz ROWUWNOGQQVLFF-UHFFFAOYSA-N 0 0 299.330 2.549 20 5 CFBDRN CC(C)CCSCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000049221867 519678119 /nfs/dbraw/zinc/67/81/19/519678119.db2.gz ALGQBTZPEXZVFC-UHFFFAOYSA-N 0 0 296.392 2.990 20 5 CFBDRN CC(C)NC(=O)[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000229192945 519715720 /nfs/dbraw/zinc/71/57/20/519715720.db2.gz JADGXNZSEGUUOZ-QMMMGPOBSA-N 0 0 285.731 2.573 20 5 CFBDRN CC(C)OC(=O)CSCCOc1ccccc1[N+](=O)[O-] ZINC000127711758 519747839 /nfs/dbraw/zinc/74/78/39/519747839.db2.gz BKMGOYWUOWSZST-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334448105 519803153 /nfs/dbraw/zinc/80/31/53/519803153.db2.gz INJDYKNDSAOFIN-LBPRGKRZSA-N 0 0 287.319 2.947 20 5 CFBDRN CC(C)[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000336365683 519824591 /nfs/dbraw/zinc/82/45/91/519824591.db2.gz WZBSTUDEDYTKGB-GFCCVEGCSA-N 0 0 279.340 2.584 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000336335740 519825542 /nfs/dbraw/zinc/82/55/42/519825542.db2.gz UAXIWUSIWUVSPX-CQSZACIVSA-N 0 0 287.319 2.947 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@@H](C(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000262726934 519836334 /nfs/dbraw/zinc/83/63/34/519836334.db2.gz ZPFWJZFXTJZYSN-CQSZACIVSA-N 0 0 294.351 2.917 20 5 CFBDRN CCC1(CNC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)CC1 ZINC000188983988 519841925 /nfs/dbraw/zinc/84/19/25/519841925.db2.gz ZVJIBAZLOZSFRJ-UHFFFAOYSA-N 0 0 292.335 2.832 20 5 CFBDRN CC(C)c1nc(COc2ccccc2[N+](=O)[O-])no1 ZINC000067096099 519864491 /nfs/dbraw/zinc/86/44/91/519864491.db2.gz MDCMYDTXSZLCBH-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN CCN(C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)[C@H]1CCOC1 ZINC000361704268 520000468 /nfs/dbraw/zinc/00/04/68/520000468.db2.gz HGZKKCVOKHGIJW-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC[C@H]1F ZINC000412674782 534465690 /nfs/dbraw/zinc/46/56/90/534465690.db2.gz RIRYSTIKSKYVFM-ZIAGYGMSSA-N 0 0 294.326 2.924 20 5 CFBDRN CCN(C(=O)c1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000074019284 520104894 /nfs/dbraw/zinc/10/48/94/520104894.db2.gz PNWBKACESTXBCV-UHFFFAOYSA-N 0 0 271.276 2.657 20 5 CFBDRN CCN(C(=O)c1csc([N+](=O)[O-])c1)c1ccncc1 ZINC000074019487 520123779 /nfs/dbraw/zinc/12/37/79/520123779.db2.gz ONVAHBYXOHWKRW-UHFFFAOYSA-N 0 0 277.305 2.718 20 5 CFBDRN CCCCCC[C@H](C)NC(=O)Cn1cc([N+](=O)[O-])nc1C ZINC000068180467 520128309 /nfs/dbraw/zinc/12/83/09/520128309.db2.gz FZIDGVNDMRWCSI-NSHDSACASA-N 0 0 296.371 2.575 20 5 CFBDRN CCCOC(=O)[C@@H](C)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181819076 520216432 /nfs/dbraw/zinc/21/64/32/520216432.db2.gz KKGUVHTWIXDBMM-VXGBXAGGSA-N 0 0 294.351 2.896 20 5 CFBDRN CCCCCNC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000049027269 520221414 /nfs/dbraw/zinc/22/14/14/520221414.db2.gz TZNVGENPFSJAQQ-UHFFFAOYSA-N 0 0 266.297 2.523 20 5 CFBDRN CCNc1ccc(C(=O)N(C)CC2CCC2)cc1[N+](=O)[O-] ZINC000061487219 520280220 /nfs/dbraw/zinc/28/02/20/520280220.db2.gz IZTLCKWGSNNNSA-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CCCOc1cc(NC(N)=O)c([N+](=O)[O-])cc1OCCC ZINC000076091165 520314148 /nfs/dbraw/zinc/31/41/48/520314148.db2.gz QBIYAMOQKXUTPP-UHFFFAOYSA-N 0 0 297.311 2.663 20 5 CFBDRN CCCCN(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CC1 ZINC000050707475 520357100 /nfs/dbraw/zinc/35/71/00/520357100.db2.gz PDNNSGPJQNGMCY-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN CCCCN(C(=O)c1cc([N+](=O)[O-])cnc1N)[C@H](C)CC ZINC000230869993 520357295 /nfs/dbraw/zinc/35/72/95/520357295.db2.gz FVKGGNRAWWLCDY-SNVBAGLBSA-N 0 0 294.355 2.613 20 5 CFBDRN CCCCN(C)C(=O)CSc1nc(C)ccc1[N+](=O)[O-] ZINC000160694150 520378783 /nfs/dbraw/zinc/37/87/83/520378783.db2.gz HBUQNRLTRWUWKJ-UHFFFAOYSA-N 0 0 297.380 2.649 20 5 CFBDRN CC1(C)CC[C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000162662686 520408198 /nfs/dbraw/zinc/40/81/98/520408198.db2.gz OGIXCMNRXCLLKD-JTQLQIEISA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@@]2(C)C(C)C)ncc1[N+](=O)[O-] ZINC000413437268 534495942 /nfs/dbraw/zinc/49/59/42/534495942.db2.gz OJEHHJRVJFJTKC-PWSUYJOCSA-N 0 0 250.302 2.540 20 5 CFBDRN CC1(CC(=O)N2CCc3cc([N+](=O)[O-])ccc32)CC1 ZINC000336110772 520691417 /nfs/dbraw/zinc/69/14/17/520691417.db2.gz MLPHXSBFVXFWRO-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CC1(CNC(=O)CNc2ccccc2[N+](=O)[O-])CCCC1 ZINC000066442853 520706560 /nfs/dbraw/zinc/70/65/60/520706560.db2.gz MFJXSXMJZXBLRC-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CC1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2N)CCCC1 ZINC000066431015 520729009 /nfs/dbraw/zinc/72/90/09/520729009.db2.gz BZBNYDRZRFNQDH-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN CCOc1cc(NCC(O)(CC)CC)ccc1[N+](=O)[O-] ZINC000087029778 520760059 /nfs/dbraw/zinc/76/00/59/520760059.db2.gz HYHGPQFHXOSRBC-UHFFFAOYSA-N 0 0 282.340 2.957 20 5 CFBDRN CCOc1cc(NC[C@]2(O)CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000327971013 520762680 /nfs/dbraw/zinc/76/26/80/520762680.db2.gz GDTHUZKQQFVOKW-IAQYHMDHSA-N 0 0 294.351 2.957 20 5 CFBDRN CCOc1cc(N[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000226571905 520766093 /nfs/dbraw/zinc/76/60/93/520766093.db2.gz MBRHRXMQIBHTLU-JTQLQIEISA-N 0 0 268.338 2.911 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])cc2)Cc2ccccc2C1 ZINC000092613173 520766334 /nfs/dbraw/zinc/76/63/34/520766334.db2.gz RSTNMWHRXRXKBV-UHFFFAOYSA-N 0 0 296.326 2.882 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000049178215 520822318 /nfs/dbraw/zinc/82/23/18/520822318.db2.gz IUWJZPMAVWNNFS-JTQLQIEISA-N 0 0 266.297 2.739 20 5 CFBDRN CC1=CCCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335779239 520856603 /nfs/dbraw/zinc/85/66/03/520856603.db2.gz GZQCNLQQFQRDAK-UHFFFAOYSA-N 0 0 279.271 2.918 20 5 CFBDRN CCC[C@@](C)([NH2+]Cc1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000340682928 520926124 /nfs/dbraw/zinc/92/61/24/520926124.db2.gz QOEBEUMJVMOHGZ-CQSZACIVSA-N 0 0 280.324 2.636 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000069237966 520954648 /nfs/dbraw/zinc/95/46/48/520954648.db2.gz KTAHDFUXVBQOLJ-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050694194 521058128 /nfs/dbraw/zinc/05/81/28/521058128.db2.gz DWDQGGFEXBYPRL-ZETCQYMHSA-N 0 0 285.731 2.749 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000157597204 521120001 /nfs/dbraw/zinc/12/00/01/521120001.db2.gz HVXYBKIAOVQJLZ-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCC2(C)CCC2)c1 ZINC000338441025 521280235 /nfs/dbraw/zinc/28/02/35/521280235.db2.gz WYXLHAIOKKZYIJ-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccnc2)c1 ZINC000338411834 521281596 /nfs/dbraw/zinc/28/15/96/521281596.db2.gz XINZAODAMQLPFL-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN CCC[C@](C)([NH2+]Cc1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000340682927 521283261 /nfs/dbraw/zinc/28/32/61/521283261.db2.gz QOEBEUMJVMOHGZ-AWEZNQCLSA-N 0 0 280.324 2.636 20 5 CFBDRN O=C(CN1Cc2ccccc2C1)Nc1ccccc1[N+](=O)[O-] ZINC000155521766 534557241 /nfs/dbraw/zinc/55/72/41/534557241.db2.gz PURXVPPHUHCBNL-UHFFFAOYSA-N 0 0 297.314 2.549 20 5 CFBDRN CCCc1cc(NC(=O)Nc2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000228372712 521375053 /nfs/dbraw/zinc/37/50/53/521375053.db2.gz HNDVZGKLXJNRSY-UHFFFAOYSA-N 0 0 289.295 2.914 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@H]1F ZINC000340805166 521426317 /nfs/dbraw/zinc/42/63/17/521426317.db2.gz CCSDNZNTGVYQTO-ZJUUUORDSA-N 0 0 296.298 2.614 20 5 CFBDRN CC(C)(C)CC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359611081 534564280 /nfs/dbraw/zinc/56/42/80/534564280.db2.gz AAMONGFFFNOIEP-UHFFFAOYSA-N 0 0 292.335 2.752 20 5 CFBDRN CCC(CC)(CO)CNc1cccc(F)c1[N+](=O)[O-] ZINC000157604794 521455670 /nfs/dbraw/zinc/45/56/70/521455670.db2.gz DAUYGAHXWSBJQQ-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN CCCN(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000049479099 521472334 /nfs/dbraw/zinc/47/23/34/521472334.db2.gz VHXYWTPBBCSTDL-UHFFFAOYSA-N 0 0 261.281 2.558 20 5 CFBDRN CCCN(CCC)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000173028743 521634804 /nfs/dbraw/zinc/63/48/04/521634804.db2.gz HAHDPIAAYCSNGO-UHFFFAOYSA-N 0 0 293.367 2.680 20 5 CFBDRN CCOC1(C)CC[NH+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000339207875 521635748 /nfs/dbraw/zinc/63/57/48/521635748.db2.gz JMOQPJAYVGHZMH-UHFFFAOYSA-N 0 0 294.351 2.691 20 5 CFBDRN CCC(O)(CC)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000049491047 521658221 /nfs/dbraw/zinc/65/82/21/521658221.db2.gz QWMHNDYCYBMBNS-UHFFFAOYSA-N 0 0 280.324 2.760 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCC1=CCCC1 ZINC000157446768 521793217 /nfs/dbraw/zinc/79/32/17/521793217.db2.gz LQDXQZAOVJPSSJ-UHFFFAOYSA-N 0 0 289.335 2.867 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000049094488 521825982 /nfs/dbraw/zinc/82/59/82/521825982.db2.gz LQEHJOVIWYEZNO-DTWKUNHWSA-N 0 0 293.323 2.772 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000360064506 522000044 /nfs/dbraw/zinc/00/00/44/522000044.db2.gz CVYSWKXFACCYTK-ISVAXAHUSA-N 0 0 270.304 2.861 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000370761112 522080239 /nfs/dbraw/zinc/08/02/39/522080239.db2.gz UZKHBXUJVBYYBA-LBPRGKRZSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1cc(C(=O)NC[C@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000334070589 522122825 /nfs/dbraw/zinc/12/28/25/522122825.db2.gz MEJFLANXJFOLEE-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000162630397 522135624 /nfs/dbraw/zinc/13/56/24/522135624.db2.gz YSVIUYSGAGQYNA-LLVKDONJSA-N 0 0 292.335 2.912 20 5 CFBDRN COC(=O)c1ccc(Oc2nccc(C)c2[N+](=O)[O-])cc1 ZINC000078832292 522148756 /nfs/dbraw/zinc/14/87/56/522148756.db2.gz WRRYPVVDTFGBCH-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN CC[C@@H](C)NC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000123603028 522155664 /nfs/dbraw/zinc/15/56/64/522155664.db2.gz DUQPXGNMHDFJRZ-LLVKDONJSA-N 0 0 280.324 2.587 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Nc2ccccc2)n1 ZINC000166632352 522179816 /nfs/dbraw/zinc/17/98/16/522179816.db2.gz YGJNNOUIPLZTSJ-UHFFFAOYSA-N 0 0 273.248 2.520 20 5 CFBDRN CC[C@H](CNc1cc(C)c([N+](=O)[O-])cc1F)OC ZINC000310905238 522201683 /nfs/dbraw/zinc/20/16/83/522201683.db2.gz BMXIDPYKASGODA-SECBINFHSA-N 0 0 256.277 2.879 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000360419571 522274383 /nfs/dbraw/zinc/27/43/83/522274383.db2.gz JLLFMCFILHVCTC-NXEZZACHSA-N 0 0 280.324 2.760 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000340013106 522300517 /nfs/dbraw/zinc/30/05/17/522300517.db2.gz HSHSJBJKSCONRS-PSASIEDQSA-N 0 0 295.339 2.931 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC(F)F ZINC000185428009 522302483 /nfs/dbraw/zinc/30/24/83/522302483.db2.gz OGXKAHAISGOUCJ-SNVBAGLBSA-N 0 0 286.278 2.545 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000354393854 522326002 /nfs/dbraw/zinc/32/60/02/522326002.db2.gz SPZAGCAJQGKVMP-BXUZGUMPSA-N 0 0 294.351 2.614 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000361481145 522326078 /nfs/dbraw/zinc/32/60/78/522326078.db2.gz JXLKXPVNAFZTOA-ZWNOBZJWSA-N 0 0 280.324 2.619 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000361481175 522328461 /nfs/dbraw/zinc/32/84/61/522328461.db2.gz KETLQDFLSTVYSF-NOZJJQNGSA-N 0 0 296.323 2.603 20 5 CFBDRN COc1cc(CN(C)Cc2ccoc2)c([N+](=O)[O-])cc1F ZINC000361632150 522353704 /nfs/dbraw/zinc/35/37/04/522353704.db2.gz OYOHPQZYFZHDMT-UHFFFAOYSA-N 0 0 294.282 2.968 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000057378817 522354175 /nfs/dbraw/zinc/35/41/75/522354175.db2.gz VQULYLKJRKFJAH-NEPJUHHUSA-N 0 0 294.351 2.915 20 5 CFBDRN CC[C@@H](C)c1ccc(C(=O)Cn2cnc([N+](=O)[O-])n2)cc1 ZINC000053834934 522425759 /nfs/dbraw/zinc/42/57/59/522425759.db2.gz WRIYTGXGWMDDGR-SNVBAGLBSA-N 0 0 288.307 2.583 20 5 CFBDRN CC[C@@H](CC(F)(F)F)Nc1ccncc1[N+](=O)[O-] ZINC000361012734 522482958 /nfs/dbraw/zinc/48/29/58/522482958.db2.gz HGPYRNLYCBCFCK-ZETCQYMHSA-N 0 0 263.219 2.555 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000094957487 522511481 /nfs/dbraw/zinc/51/14/81/522511481.db2.gz QJURKIYHQVOZCN-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000173850477 522512235 /nfs/dbraw/zinc/51/22/35/522512235.db2.gz XEMZTYVEKJPBOG-QMMMGPOBSA-N 0 0 286.353 2.590 20 5 CFBDRN CNc1c(C(=O)N2CCCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000161413065 522534638 /nfs/dbraw/zinc/53/46/38/522534638.db2.gz ONYPJPDSUZVHAD-JTQLQIEISA-N 0 0 277.324 2.651 20 5 CFBDRN CCc1nn(C)c(NCCc2ccc(C)cc2)c1[N+](=O)[O-] ZINC000360897647 522669239 /nfs/dbraw/zinc/66/92/39/522669239.db2.gz UTVWTVHMNIOZIU-UHFFFAOYSA-N 0 0 288.351 2.854 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@H](O)C2)c(F)cc1[N+](=O)[O-] ZINC000218862630 522743912 /nfs/dbraw/zinc/74/39/12/522743912.db2.gz MZRCDXSBJDJCED-ZJUUUORDSA-N 0 0 298.314 2.706 20 5 CFBDRN COc1cc(NCC[C@H](C)F)c(F)cc1[N+](=O)[O-] ZINC000338758552 522746106 /nfs/dbraw/zinc/74/61/06/522746106.db2.gz WRSGGGRKFNVYSR-ZETCQYMHSA-N 0 0 260.240 2.903 20 5 CFBDRN COc1cc(NCc2ccco2)c([N+](=O)[O-])cc1OC ZINC000213794421 522746771 /nfs/dbraw/zinc/74/67/71/522746771.db2.gz QWDDKVQVHRJBFW-UHFFFAOYSA-N 0 0 278.264 2.817 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC[C@@H]1OC ZINC000340945086 522939150 /nfs/dbraw/zinc/93/91/50/522939150.db2.gz CFAGUTHFOJMYEJ-NHYWBVRUSA-N 0 0 294.351 2.968 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000428080654 534672942 /nfs/dbraw/zinc/67/29/42/534672942.db2.gz QDZHPXJDDMEOOU-IMRBUKKESA-N 0 0 292.360 2.602 20 5 CFBDRN CC[C@@H](O)CCCNc1ccc([N+](=O)[O-])cc1F ZINC000361077940 522976392 /nfs/dbraw/zinc/97/63/92/522976392.db2.gz DTEGFTHTHXPSNB-SNVBAGLBSA-N 0 0 256.277 2.697 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCc1ccoc1 ZINC000186421975 522978641 /nfs/dbraw/zinc/97/86/41/522978641.db2.gz QLPUWYZMPNBZBQ-UHFFFAOYSA-N 0 0 291.263 2.518 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334798373 523102653 /nfs/dbraw/zinc/10/26/53/523102653.db2.gz DUSPURRHGBGTDS-NSHDSACASA-N 0 0 266.272 2.749 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC2(C1)CCCC2 ZINC000361004603 523141963 /nfs/dbraw/zinc/14/19/63/523141963.db2.gz YSUYDYCRICYSOK-UHFFFAOYSA-N 0 0 264.329 2.500 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCOCC(C)C ZINC000312523254 523143768 /nfs/dbraw/zinc/14/37/68/523143768.db2.gz DOSBZVHHOZRUMB-UHFFFAOYSA-N 0 0 284.360 2.594 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCC[C@H]1CCCCO1 ZINC000361015169 523144378 /nfs/dbraw/zinc/14/43/78/523144378.db2.gz QXVXPFUIVUKPGT-GFCCVEGCSA-N 0 0 296.371 2.881 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H](OC)C(C)(C)C ZINC000360995207 523147006 /nfs/dbraw/zinc/14/70/06/523147006.db2.gz MVHWSJMWXDNSNG-JTQLQIEISA-N 0 0 284.360 2.593 20 5 CFBDRN CCn1c(SCCF)nnc1-c1ccc([N+](=O)[O-])cc1 ZINC000361586238 523234781 /nfs/dbraw/zinc/23/47/81/523234781.db2.gz HAADHNWJTPAEQP-UHFFFAOYSA-N 0 0 296.327 2.935 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000067548048 523243418 /nfs/dbraw/zinc/24/34/18/523243418.db2.gz RFWPQEYRRWWTNL-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@@H]1CCCN(c2c([N+](=O)[O-])nc(C)n2CC)C1 ZINC000360891344 523255310 /nfs/dbraw/zinc/25/53/10/523255310.db2.gz JAOXWXZYVYFXJP-LLVKDONJSA-N 0 0 266.345 2.746 20 5 CFBDRN CC[C@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)C[C@@H](C)O1 ZINC000360904431 523306433 /nfs/dbraw/zinc/30/64/33/523306433.db2.gz RTGYYYZLYDHDTB-MFKMUULPSA-N 0 0 292.335 2.801 20 5 CFBDRN CCn1cc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cn1 ZINC000340751771 523430578 /nfs/dbraw/zinc/43/05/78/523430578.db2.gz XXFAXTKCMDIXRN-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN CN(Cc1cccs1)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050702326 523445367 /nfs/dbraw/zinc/44/53/67/523445367.db2.gz LRZKPVCRYRQCSW-UHFFFAOYSA-N 0 0 291.332 2.511 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336832832 523473505 /nfs/dbraw/zinc/47/35/05/523473505.db2.gz FUEAIRDFTBQXHO-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000048820370 523474801 /nfs/dbraw/zinc/47/48/01/523474801.db2.gz BWRFYNLOWANBQX-UHFFFAOYSA-N 0 0 266.278 2.522 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)CC1 ZINC000378629956 523595292 /nfs/dbraw/zinc/59/52/92/523595292.db2.gz FCTAKWQGEDIVLA-SNVBAGLBSA-N 0 0 298.364 2.606 20 5 CFBDRN CN(Cc1nccs1)Cc1c(F)cccc1[N+](=O)[O-] ZINC000175083261 523600689 /nfs/dbraw/zinc/60/06/89/523600689.db2.gz AOLKUDGZQWVKMG-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCC[C@@H]1C ZINC000230691581 523667871 /nfs/dbraw/zinc/66/78/71/523667871.db2.gz ITNVELURLDQZHE-GXSJLCMTSA-N 0 0 266.345 2.968 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCCCS1 ZINC000158377120 523667947 /nfs/dbraw/zinc/66/79/47/523667947.db2.gz DRPVWFALNWZWAX-JTQLQIEISA-N 0 0 284.385 2.817 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCC(C)(C)COC ZINC000340845875 523668002 /nfs/dbraw/zinc/66/80/02/523668002.db2.gz GMXMYUSXYUIXCG-UHFFFAOYSA-N 0 0 298.387 2.984 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(CCOC)CCC1 ZINC000192687898 523669659 /nfs/dbraw/zinc/66/96/59/523669659.db2.gz KDCKTFPCPHLGOZ-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN CN(C(=O)CCC1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000158627951 523798982 /nfs/dbraw/zinc/79/89/82/523798982.db2.gz XDWHZUCCXNXLCO-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN CC[C@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360525534 523834202 /nfs/dbraw/zinc/83/42/02/523834202.db2.gz PISYOZPKZKRDEI-VIFPVBQESA-N 0 0 250.298 2.566 20 5 CFBDRN CO[C@H](C)CCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000181101614 523862342 /nfs/dbraw/zinc/86/23/42/523862342.db2.gz GHJNUZBBGNHRDV-MNOVXSKESA-N 0 0 280.324 2.587 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc([N+](=O)[O-])ccc1NC ZINC000050748637 523866082 /nfs/dbraw/zinc/86/60/82/523866082.db2.gz BOXBRLIHIJOTMT-JTQLQIEISA-N 0 0 279.340 2.755 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000336462498 523887449 /nfs/dbraw/zinc/88/74/49/523887449.db2.gz BTADCWWLCBHKIY-JTQLQIEISA-N 0 0 262.309 2.639 20 5 CFBDRN CO[C@H](C)[C@H](C)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000188038385 523895411 /nfs/dbraw/zinc/89/54/11/523895411.db2.gz RTUIEKWQOKFWCS-WDEREUQCSA-N 0 0 295.339 2.757 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1c([N+](=O)[O-])c(C)nn1C ZINC000088298821 523899176 /nfs/dbraw/zinc/89/91/76/523899176.db2.gz ONRMRZGIJRMKKH-DTWKUNHWSA-N 0 0 254.334 2.873 20 5 CFBDRN CN(C(=O)[C@@H]1COc2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000158312178 523899464 /nfs/dbraw/zinc/89/94/64/523899464.db2.gz YPFBDEHBJDRCJC-CQSZACIVSA-N 0 0 298.298 2.734 20 5 CFBDRN CO[C@H](C)c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000340642231 523917878 /nfs/dbraw/zinc/91/78/78/523917878.db2.gz MQFYGSDXLADUDL-SECBINFHSA-N 0 0 277.280 2.584 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@@H]1CCc2ccccc21 ZINC000334380295 523938168 /nfs/dbraw/zinc/93/81/68/523938168.db2.gz IHPLPLSZWTWXDA-CYBMUJFWSA-N 0 0 299.330 2.693 20 5 CFBDRN CN(C(=O)c1csc([N+](=O)[O-])c1)C1CC(C)(C)C1 ZINC000335139516 523963754 /nfs/dbraw/zinc/96/37/54/523963754.db2.gz VTYAWFXDDQRTLB-UHFFFAOYSA-N 0 0 268.338 2.917 20 5 CFBDRN O=C(c1ccccc1O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172424523 534749987 /nfs/dbraw/zinc/74/99/87/534749987.db2.gz BUWAHMNEOQGGRQ-UHFFFAOYSA-N 0 0 284.271 2.503 20 5 CFBDRN COCCOCCCCNc1cccc(C)c1[N+](=O)[O-] ZINC000218847606 523991880 /nfs/dbraw/zinc/99/18/80/523991880.db2.gz YVBXQEDQZRXERC-UHFFFAOYSA-N 0 0 282.340 2.758 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](OC)C(C)C ZINC000362346068 524057214 /nfs/dbraw/zinc/05/72/14/524057214.db2.gz SOADMILFEQBERY-ZDUSSCGKSA-N 0 0 280.324 2.767 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000361568820 524086634 /nfs/dbraw/zinc/08/66/34/524086634.db2.gz RDULPJGKJRHCJT-QMMMGPOBSA-N 0 0 290.241 2.752 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157321419 524123907 /nfs/dbraw/zinc/12/39/07/524123907.db2.gz NBNDLYXUMFHLLJ-GHMZBOCLSA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2cccnc2)cc([N+](=O)[O-])c1 ZINC000149857816 524144867 /nfs/dbraw/zinc/14/48/67/524144867.db2.gz IKJPSTXCTZKERS-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050706139 524159067 /nfs/dbraw/zinc/15/90/67/524159067.db2.gz IKCLEGJDPZHKCU-MNOVXSKESA-N 0 0 291.351 2.733 20 5 CFBDRN C[C@@H]1CCCC[C@H]1N(C)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050709507 524160779 /nfs/dbraw/zinc/16/07/79/524160779.db2.gz KDKIONFAFRAYFC-QMTHXVAHSA-N 0 0 291.351 2.828 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000339506213 524161304 /nfs/dbraw/zinc/16/13/04/524161304.db2.gz CSZYIUKDCSJKKT-DTWKUNHWSA-N 0 0 268.338 2.822 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000337159258 524162932 /nfs/dbraw/zinc/16/29/32/524162932.db2.gz RUSXZBOUZAAULI-QWRGUYRKSA-N 0 0 294.376 2.992 20 5 CFBDRN Cc1cc(C(=O)Nc2ccccc2O)cc([N+](=O)[O-])c1 ZINC000049175004 524179820 /nfs/dbraw/zinc/17/98/20/524179820.db2.gz ZONCOMXCKQZCAM-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN COc1ccc(Cn2ccnc2C(C)C)cc1[N+](=O)[O-] ZINC000070423111 524261536 /nfs/dbraw/zinc/26/15/36/524261536.db2.gz NRVKVMWDTCVMGW-UHFFFAOYSA-N 0 0 275.308 2.972 20 5 CFBDRN Cc1ccc(CC(=O)NCc2ccccc2[N+](=O)[O-])s1 ZINC000049214342 524266245 /nfs/dbraw/zinc/26/62/45/524266245.db2.gz QMVHYQCSRIXNDB-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000050707501 524300314 /nfs/dbraw/zinc/30/03/14/524300314.db2.gz PBQSQGKWBBHSKH-GHMZBOCLSA-N 0 0 291.351 2.828 20 5 CFBDRN C[C@H](CN1CCCC1=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000049236281 524326508 /nfs/dbraw/zinc/32/65/08/524326508.db2.gz KTMNVZHZUMTQOV-SECBINFHSA-N 0 0 297.742 2.671 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H]1F ZINC000335066256 524337242 /nfs/dbraw/zinc/33/72/42/524337242.db2.gz BGSKEXMIIWSHEI-NWDGAFQWSA-N 0 0 280.299 2.832 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@H](F)C1 ZINC000335015535 524337553 /nfs/dbraw/zinc/33/75/53/524337553.db2.gz ZAHHTLMLCWKVQA-QWRGUYRKSA-N 0 0 280.299 2.832 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@]1(C)C[C@H]1F ZINC000335179261 524340676 /nfs/dbraw/zinc/34/06/76/524340676.db2.gz XPVYMIVDFIWWEJ-YPMHNXCESA-N 0 0 266.272 2.898 20 5 CFBDRN Cc1cccc(CCNC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000338661274 524361462 /nfs/dbraw/zinc/36/14/62/524361462.db2.gz QZCIOXVOPMWVAZ-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN C[C@@H](CCCO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000088503644 524463989 /nfs/dbraw/zinc/46/39/89/524463989.db2.gz CWIBGJMSGZFMJF-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN C[C@@H](CCCO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214008572 524464763 /nfs/dbraw/zinc/46/47/63/524464763.db2.gz GTZSVQQODKDQTQ-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@@H](CCCO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000088503662 524464821 /nfs/dbraw/zinc/46/48/21/524464821.db2.gz CFWUNMQDEABEHD-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN COc1ccc(NC(=O)C[C@H]2C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000361339522 524523103 /nfs/dbraw/zinc/52/31/03/524523103.db2.gz OQVWOIALJVDRHP-DTWKUNHWSA-N 0 0 264.281 2.588 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)C1 ZINC000334780948 524557792 /nfs/dbraw/zinc/55/77/92/524557792.db2.gz BNFCBDRKBJZYFC-DGCLKSJQSA-N 0 0 288.347 2.916 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2C[C@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000186641942 524618495 /nfs/dbraw/zinc/61/84/95/524618495.db2.gz BFXQODAVRBSEHH-QWRGUYRKSA-N 0 0 278.308 2.834 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000336159903 524621465 /nfs/dbraw/zinc/62/14/65/524621465.db2.gz IANOCNFFIPXPKQ-XCBNKYQSSA-N 0 0 267.260 2.654 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235548894 524639988 /nfs/dbraw/zinc/63/99/88/524639988.db2.gz LWPVYGBZOISJSZ-RQJHMYQMSA-N 0 0 293.245 2.580 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000158378153 524646091 /nfs/dbraw/zinc/64/60/91/524646091.db2.gz USNBQHLTJGAVFW-WDEREUQCSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1cccc(NCC2(CO)CCCC2)c1[N+](=O)[O-] ZINC000218904812 524672861 /nfs/dbraw/zinc/67/28/61/524672861.db2.gz KISSIUUZHNUBLK-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN COc1ccccc1SCc1c([N+](=O)[O-])ncn1C ZINC000356570591 524708026 /nfs/dbraw/zinc/70/80/26/524708026.db2.gz YJMKEDXIHIQBRX-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000356723132 524712269 /nfs/dbraw/zinc/71/22/69/524712269.db2.gz GQMDPRMWIQOZLV-BXKDBHETSA-N 0 0 280.349 2.602 20 5 CFBDRN C[C@H](Cn1ccnc1)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000317324345 524793652 /nfs/dbraw/zinc/79/36/52/524793652.db2.gz ORUGAOGFPHAHOH-LLVKDONJSA-N 0 0 297.318 2.840 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CS1 ZINC000334889679 524799001 /nfs/dbraw/zinc/79/90/01/524799001.db2.gz FXYZOXRFBLPTQL-OIBJUYFYSA-N 0 0 299.327 2.749 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC12CCCC2 ZINC000336176546 524904153 /nfs/dbraw/zinc/90/41/53/524904153.db2.gz CWSCRFBGMJBBCG-GFCCVEGCSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@](CO)(C(C)C)C1 ZINC000377976679 524905758 /nfs/dbraw/zinc/90/57/58/524905758.db2.gz KVNUSMXEGQWREQ-OAHLLOKOSA-N 0 0 278.352 2.748 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCc1ccncc1 ZINC000167673535 524906960 /nfs/dbraw/zinc/90/69/60/524906960.db2.gz FDFVPYLNUCSFRJ-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1cc(Cn2cc([N+](=O)[O-])cn2)c2ccccc2n1 ZINC000074015721 524923323 /nfs/dbraw/zinc/92/33/23/524923323.db2.gz RVRJOQQQEPSJBV-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN Cc1cc(Cn2ncc3cc([N+](=O)[O-])cc(Cl)c32)no1 ZINC000340852653 524923464 /nfs/dbraw/zinc/92/34/64/524923464.db2.gz GCMRYYBNBBLYMG-UHFFFAOYSA-N 0 0 292.682 2.943 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361717889 524951687 /nfs/dbraw/zinc/95/16/87/524951687.db2.gz RMXZGKXKQUMEGV-YUMQZZPRSA-N 0 0 252.245 2.719 20 5 CFBDRN C[C@@H](Cn1cccn1)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000047873505 524954575 /nfs/dbraw/zinc/95/45/75/524954575.db2.gz VWVDJFQDMZDGEG-VIFPVBQESA-N 0 0 280.715 2.945 20 5 CFBDRN Cc1cc(N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2)ccn1 ZINC000361949813 525015319 /nfs/dbraw/zinc/01/53/19/525015319.db2.gz PWPSDABMGHPTCW-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN C[C@H](NC(=O)N1CCC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000334664249 525015886 /nfs/dbraw/zinc/01/58/86/525015886.db2.gz VTUDHJNSJFJIQH-NSHDSACASA-N 0 0 289.335 2.994 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2C(C)(C)O)c(F)cc1[N+](=O)[O-] ZINC000360075925 525068406 /nfs/dbraw/zinc/06/84/06/525068406.db2.gz VYKIEADHLQLQNA-CYBMUJFWSA-N 0 0 282.315 2.782 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1cccnc1 ZINC000054128918 525219007 /nfs/dbraw/zinc/21/90/07/525219007.db2.gz ZVGKSCZLDYLPQD-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN C[C@]1(CNc2c3c(ccc2[N+](=O)[O-])NCC3)CCCO1 ZINC000302908867 525270148 /nfs/dbraw/zinc/27/01/48/525270148.db2.gz YFLMFJKIPXOYPF-CQSZACIVSA-N 0 0 277.324 2.544 20 5 CFBDRN Cc1cc(NCCNC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC000065200703 525270380 /nfs/dbraw/zinc/27/03/80/525270380.db2.gz OPJJNEGXWHYCOY-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000335450285 525278152 /nfs/dbraw/zinc/27/81/52/525278152.db2.gz AZBBSMDRHKXANR-AWEZNQCLSA-N 0 0 298.289 2.627 20 5 CFBDRN C[C@]1(NC(=O)c2csc([N+](=O)[O-])c2)CC=CCC1 ZINC000335146627 525296706 /nfs/dbraw/zinc/29/67/06/525296706.db2.gz PUQLVRZJPBEPCJ-LBPRGKRZSA-N 0 0 266.322 2.885 20 5 CFBDRN Cc1cc(N[C@@H](CO)CC2CCC2)ccc1[N+](=O)[O-] ZINC000360094785 525296629 /nfs/dbraw/zinc/29/66/29/525296629.db2.gz YKSDKLNHVMMIJK-CYBMUJFWSA-N 0 0 264.325 2.866 20 5 CFBDRN CSC1(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000188953319 525304633 /nfs/dbraw/zinc/30/46/33/525304633.db2.gz XAYBHTMJPYEZFO-SNVBAGLBSA-N 0 0 294.376 2.976 20 5 CFBDRN C[C@]1(c2ccccc2)CCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000368178590 525325635 /nfs/dbraw/zinc/32/56/35/525325635.db2.gz IKOFADXKZIUHQG-HNNXBMFYSA-N 0 0 284.319 2.553 20 5 CFBDRN Cc1cc(OCCC[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000310798598 525369118 /nfs/dbraw/zinc/36/91/18/525369118.db2.gz WQXPGPLKOANVGI-SECBINFHSA-N 0 0 257.261 2.582 20 5 CFBDRN Cc1nc(NCCC2C[C@@H](C)O[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000338484963 525399614 /nfs/dbraw/zinc/39/96/14/525399614.db2.gz PWBNJTUHPYQROY-GHMZBOCLSA-N 0 0 293.367 2.726 20 5 CFBDRN Cc1nc(NCCCSCC(C)C)ccc1[N+](=O)[O-] ZINC000339357344 525399641 /nfs/dbraw/zinc/39/96/41/525399641.db2.gz CUAVORKOKOFRIU-UHFFFAOYSA-N 0 0 283.397 2.911 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCC[C@@H]2C)c1 ZINC000067297359 525448942 /nfs/dbraw/zinc/44/89/42/525448942.db2.gz OFZAHJHWESWUHK-GWCFXTLKSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCC2(OC)CCC2)c1 ZINC000336834091 525450531 /nfs/dbraw/zinc/45/05/31/525450531.db2.gz PSJNSKJTBZIJLJ-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H]3OCCC[C@H]23)c1 ZINC000338715587 525453919 /nfs/dbraw/zinc/45/39/19/525453919.db2.gz RLBOOBIVAAAMGY-GYSYKLTISA-N 0 0 278.308 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2scnc2C)c1 ZINC000090794779 525453971 /nfs/dbraw/zinc/45/39/71/525453971.db2.gz UXWRCRONYCWFDG-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN CSCCCNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000124033976 525472940 /nfs/dbraw/zinc/47/29/40/525472940.db2.gz GHULZNOCGDIMOQ-UHFFFAOYSA-N 0 0 288.756 2.731 20 5 CFBDRN COc1ccc2nc(-c3ccc([N+](=O)[O-])cc3)[nH]c2n1 ZINC000340086592 525477221 /nfs/dbraw/zinc/47/72/21/525477221.db2.gz CBBSVJMFMLXPHG-UHFFFAOYSA-N 0 0 270.248 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC[C@@H](O)C(F)(F)F ZINC000358974497 525556831 /nfs/dbraw/zinc/55/68/31/525556831.db2.gz FESWOGCZHKQDSU-SNVBAGLBSA-N 0 0 279.214 2.514 20 5 CFBDRN Cc1c(C(=O)N(C)Cc2cscn2)cccc1[N+](=O)[O-] ZINC000049048088 525558639 /nfs/dbraw/zinc/55/86/39/525558639.db2.gz UITZFRSOPWKGDB-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CC[C@H](F)C1 ZINC000334336403 525564566 /nfs/dbraw/zinc/56/45/66/525564566.db2.gz SPJNUVALJVMHAR-UWVGGRQHSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1ccoc1 ZINC000186418688 525568187 /nfs/dbraw/zinc/56/81/87/525568187.db2.gz UBZCEZOXUKRIKU-UHFFFAOYSA-N 0 0 275.264 2.818 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC[C@@H]1C ZINC000334285572 525570270 /nfs/dbraw/zinc/57/02/70/525570270.db2.gz GMSVUMVNSCTOSO-KWQFWETISA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CCCCO1 ZINC000052684478 525570463 /nfs/dbraw/zinc/57/04/63/525570463.db2.gz LYEBREGDBUOSII-GFCCVEGCSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCO[C@@H]2CCCC[C@@H]2C)c1=O ZINC000155871196 525572822 /nfs/dbraw/zinc/57/28/22/525572822.db2.gz LCCOHSBFDWQIFK-SMDDNHRTSA-N 0 0 294.351 2.660 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2ccc(F)cc2Cl)c1=O ZINC000155849497 525574109 /nfs/dbraw/zinc/57/41/09/525574109.db2.gz XIGFGDSPCXJABB-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN Cc1ccn(C[C@@H]2CCCCC2(F)F)c(=O)c1[N+](=O)[O-] ZINC000336260381 525600079 /nfs/dbraw/zinc/60/00/79/525600079.db2.gz NTPGBQREBPMBMU-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000377176258 525620199 /nfs/dbraw/zinc/62/01/99/525620199.db2.gz QMVXAZXLUPRRSI-XPTSAGLGSA-N 0 0 271.276 2.924 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)c2ccccn2)cccc1[N+](=O)[O-] ZINC000050042218 525644618 /nfs/dbraw/zinc/64/46/18/525644618.db2.gz BDALUWSTLRPGOQ-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@H](Nc1ccc2ncc([N+](=O)[O-])n2n1)c1cccc(O)c1 ZINC000159116986 525664605 /nfs/dbraw/zinc/66/46/05/525664605.db2.gz DJSCXOCQNJELSJ-VIFPVBQESA-N 0 0 299.290 2.516 20 5 CFBDRN Cc1ccnc(NCCOc2ccccc2F)c1[N+](=O)[O-] ZINC000360270543 525690310 /nfs/dbraw/zinc/69/03/10/525690310.db2.gz FLZNPDQWOKSOGT-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000178721905 525693286 /nfs/dbraw/zinc/69/32/86/525693286.db2.gz XTUQJMIFRWHPQP-ZDUSSCGKSA-N 0 0 274.320 2.671 20 5 CFBDRN Cc1c(CNC(=O)C[C@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000360525054 525711276 /nfs/dbraw/zinc/71/12/76/525711276.db2.gz MATZNLOSOUKPTB-LBPRGKRZSA-N 0 0 274.320 2.876 20 5 CFBDRN Cc1c(CNC(=O)CCc2ccco2)cccc1[N+](=O)[O-] ZINC000360523957 525712646 /nfs/dbraw/zinc/71/26/46/525712646.db2.gz DNTDQQXZEYEVFO-UHFFFAOYSA-N 0 0 288.303 2.745 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2C[C@@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000360527755 525718992 /nfs/dbraw/zinc/71/89/92/525718992.db2.gz JRWTVIHDQJDCBX-CHWSQXEVSA-N 0 0 276.336 2.812 20 5 CFBDRN Cc1ccc(-c2cccnc2)cc1NC(=O)[C@H]1CC1[N+](=O)[O-] ZINC000366550623 525759422 /nfs/dbraw/zinc/75/94/22/525759422.db2.gz GMGZYQKGWLVHHE-ZFWWWQNUSA-N 0 0 297.314 2.661 20 5 CFBDRN C[C@@](O)(CNc1ccc2cc([N+](=O)[O-])ccc2n1)C1CC1 ZINC000315110334 525778693 /nfs/dbraw/zinc/77/86/93/525778693.db2.gz QYJXSSXAIZEEIA-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1c(Cn2nnc3ccccc32)cccc1[N+](=O)[O-] ZINC000340939852 525791169 /nfs/dbraw/zinc/79/11/69/525791169.db2.gz NUZKSYDHIPQYCE-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2cn3ccsc3n2)nc1 ZINC000178763354 534883230 /nfs/dbraw/zinc/88/32/30/534883230.db2.gz XFRYVCMFPNQFLX-UHFFFAOYSA-N 0 0 292.345 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2Cc1nccn1C(F)F ZINC000154720630 534883659 /nfs/dbraw/zinc/88/36/59/534883659.db2.gz KVYXATBRGFLDOL-UHFFFAOYSA-N 0 0 294.261 2.749 20 5 CFBDRN C[C@@]1(C(=O)Nc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000334996445 525812010 /nfs/dbraw/zinc/81/20/10/525812010.db2.gz ZLPLUYRVORVHRG-JTQLQIEISA-N 0 0 256.208 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@@H]2CC23CC3)c1 ZINC000418985916 534884402 /nfs/dbraw/zinc/88/44/02/534884402.db2.gz XHGHICFTAMZVDS-NSHDSACASA-N 0 0 269.304 2.777 20 5 CFBDRN Cc1nc(C)c(NCCc2cccc([N+](=O)[O-])c2)nc1C ZINC000413211962 534884401 /nfs/dbraw/zinc/88/44/01/534884401.db2.gz QQQBNKJPCUYESZ-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235250206 525836438 /nfs/dbraw/zinc/83/64/38/525836438.db2.gz LWPVYGBZOISJSZ-NKWVEPMBSA-N 0 0 293.245 2.580 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CCC[C@@H]2F)cccc1[N+](=O)[O-] ZINC000336307877 525847847 /nfs/dbraw/zinc/84/78/47/525847847.db2.gz CVZHAHWQBAMDNH-GXSJLCMTSA-N 0 0 281.287 2.915 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CS[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000334823194 525849402 /nfs/dbraw/zinc/84/94/02/525849402.db2.gz UUKOPJGDEFUMLH-SCZZXKLOSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CCC[C@H]2F)cccc1[N+](=O)[O-] ZINC000336307883 525849566 /nfs/dbraw/zinc/84/95/66/525849566.db2.gz CVZHAHWQBAMDNH-KOLCDFICSA-N 0 0 281.287 2.915 20 5 CFBDRN C[C@@]1(CNc2c3c(ccc2[N+](=O)[O-])NCC3)CCCO1 ZINC000302908866 525851991 /nfs/dbraw/zinc/85/19/91/525851991.db2.gz YFLMFJKIPXOYPF-AWEZNQCLSA-N 0 0 277.324 2.544 20 5 CFBDRN Cc1c(NC(=O)[C@@]2(C)C[C@H]2F)cccc1[N+](=O)[O-] ZINC000335176934 525854864 /nfs/dbraw/zinc/85/48/64/525854864.db2.gz RJBANRVEQJGBNZ-PWSUYJOCSA-N 0 0 252.245 2.590 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2[C@H](C)C2(F)F)cccc1[N+](=O)[O-] ZINC000335157116 525855164 /nfs/dbraw/zinc/85/51/64/525855164.db2.gz VQUWYALZOZFSGR-XVKPBYJWSA-N 0 0 270.235 2.743 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CC(C(F)(F)F)C2)c(F)c1 ZINC000413298677 534888673 /nfs/dbraw/zinc/88/86/73/534888673.db2.gz KXECWEHUAKWNSU-UHFFFAOYSA-N 0 0 279.193 2.882 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2OC[C@@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000367988309 525880804 /nfs/dbraw/zinc/88/08/04/525880804.db2.gz RPRFGPCDCHKDTA-MISXGVKJSA-N 0 0 291.303 2.624 20 5 CFBDRN Cc1c(OCc2ccc(CO)cc2)cccc1[N+](=O)[O-] ZINC000168691210 525881327 /nfs/dbraw/zinc/88/13/27/525881327.db2.gz IDHQBRZUNRLBNT-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN COc1cccc(CCNc2cccc(C)c2[N+](=O)[O-])n1 ZINC000338680010 525903663 /nfs/dbraw/zinc/90/36/63/525903663.db2.gz YLIMBUBIRKKMIF-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1c([C@@H](C)Nc2ncc(C)cc2[N+](=O)[O-])cnn1C ZINC000078629798 525905548 /nfs/dbraw/zinc/90/55/48/525905548.db2.gz UUBLHQXYMIMAKP-SECBINFHSA-N 0 0 275.312 2.513 20 5 CFBDRN Cc1c([C@@H]2C[C@@H]2Nc2cc(C)ccc2[N+](=O)[O-])cnn1C ZINC000339094330 525907392 /nfs/dbraw/zinc/90/73/92/525907392.db2.gz HOCAMUCABCFCAF-AAEUAGOBSA-N 0 0 286.335 2.913 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2ncccc2[N+](=O)[O-])C1 ZINC000078477197 525915444 /nfs/dbraw/zinc/91/54/44/525915444.db2.gz LVTMLIWRLWQWHR-IUCAKERBSA-N 0 0 253.327 2.686 20 5 CFBDRN Cc1cn2c(n1)CN([C@@H](C)c1cccc([N+](=O)[O-])c1)CC2 ZINC000363701161 525933159 /nfs/dbraw/zinc/93/31/59/525933159.db2.gz OIVPCKMGKVQEBX-LBPRGKRZSA-N 0 0 286.335 2.677 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000361568819 525941377 /nfs/dbraw/zinc/94/13/77/525941377.db2.gz RDULPJGKJRHCJT-MRVPVSSYSA-N 0 0 290.241 2.752 20 5 CFBDRN Cc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000049558424 525949237 /nfs/dbraw/zinc/94/92/37/525949237.db2.gz YTWICLVLDGBPBG-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])cn2)s1 ZINC000049184392 525949736 /nfs/dbraw/zinc/94/97/36/525949736.db2.gz QFDOKWKBVDLAFT-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1cnccc1NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000340041075 526014129 /nfs/dbraw/zinc/01/41/29/526014129.db2.gz UEWXDEPQIJYNDS-UHFFFAOYSA-N 0 0 299.330 2.636 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cncc(F)c2)c1 ZINC000341540565 526056392 /nfs/dbraw/zinc/05/63/92/526056392.db2.gz CNJPXRIXIHWZOJ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCC(F)(F)F)cc1[N+](=O)[O-] ZINC000065759279 526058892 /nfs/dbraw/zinc/05/88/92/526058892.db2.gz NDUWIBUJBHCAPE-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H](O)C2)c1 ZINC000088725563 526062224 /nfs/dbraw/zinc/06/22/24/526062224.db2.gz WHAICEHVNYZGLP-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CC[C@H](C)O2)c1 ZINC000125804901 526062541 /nfs/dbraw/zinc/06/25/41/526062541.db2.gz BQTNVFGZEZPSAL-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCC[C@@H]2CO)c1 ZINC000088724865 526062850 /nfs/dbraw/zinc/06/28/50/526062850.db2.gz WEBFGYSORAXLDP-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc3c(c2)OCO3)n1 ZINC000162732065 526063129 /nfs/dbraw/zinc/06/31/29/526063129.db2.gz UTQSOQXVTMIXPJ-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn(C(F)F)c2)n1 ZINC000361666342 526063731 /nfs/dbraw/zinc/06/37/31/526063731.db2.gz KJDYLIMJGFIKEV-UHFFFAOYSA-N 0 0 269.211 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1cccnc1 ZINC000172191671 526070562 /nfs/dbraw/zinc/07/05/62/526070562.db2.gz NMKFDVCJECDYCB-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@H](F)C1 ZINC000335418423 526074215 /nfs/dbraw/zinc/07/42/15/526074215.db2.gz NKNSICXGOTUGRX-QWRGUYRKSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnn1C(C)C ZINC000068235772 526075453 /nfs/dbraw/zinc/07/54/53/526075453.db2.gz HQLPBMBHXWKWDH-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN Cc1cnc(N[C@@H](CO)c2cccc(F)c2)c([N+](=O)[O-])c1 ZINC000361263575 526095321 /nfs/dbraw/zinc/09/53/21/526095321.db2.gz UKXQUUCRWWTUEA-LBPRGKRZSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)cn1 ZINC000073446276 526134355 /nfs/dbraw/zinc/13/43/55/526134355.db2.gz QRPNNEJDBCFSSQ-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CS[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000334802947 526203768 /nfs/dbraw/zinc/20/37/68/526203768.db2.gz IZJYRJNZJNNGTD-ONGXEEELSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000334802944 526215736 /nfs/dbraw/zinc/21/57/36/526215736.db2.gz IZJYRJNZJNNGTD-MWLCHTKSSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000335198220 526221776 /nfs/dbraw/zinc/22/17/76/526221776.db2.gz NNSIDXOOCPUZQG-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccoc2)ccc1[N+](=O)[O-] ZINC000048820120 526224179 /nfs/dbraw/zinc/22/41/79/526224179.db2.gz SFOGYOCCNMBFMQ-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN C[C@H]1CC(NC(=O)Nc2ccncc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000183645611 526227950 /nfs/dbraw/zinc/22/79/50/526227950.db2.gz HTXZGACCCFOYQJ-ZACCUICWSA-N 0 0 292.339 2.936 20 5 CFBDRN C[C@H]1CC=CC[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000341439069 526237008 /nfs/dbraw/zinc/23/70/08/526237008.db2.gz XBUCCIMQDDSAPR-SWLSCSKDSA-N 0 0 288.347 2.856 20 5 CFBDRN O=C(C1=CCC1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000334230590 526273091 /nfs/dbraw/zinc/27/30/91/526273091.db2.gz JZCIIJIHHLMFCP-AWEZNQCLSA-N 0 0 290.344 2.889 20 5 CFBDRN Cc1nn(CC2CCC(F)(F)CC2)cc1[N+](=O)[O-] ZINC000334577162 526275225 /nfs/dbraw/zinc/27/52/25/526275225.db2.gz IOVBRGYXYNVVPI-UHFFFAOYSA-N 0 0 259.256 2.925 20 5 CFBDRN Cc1nn(Cc2ccc(Cl)s2)cc1[N+](=O)[O-] ZINC000334183147 526290574 /nfs/dbraw/zinc/29/05/74/526290574.db2.gz GFKBIFFZTABVPS-UHFFFAOYSA-N 0 0 257.702 2.863 20 5 CFBDRN Cc1nn(Cc2noc(C3CCCCC3)n2)cc1[N+](=O)[O-] ZINC000181826410 526300188 /nfs/dbraw/zinc/30/01/88/526300188.db2.gz MVMYHEKGHRPWBQ-UHFFFAOYSA-N 0 0 291.311 2.579 20 5 CFBDRN Cc1csc(N(C)C(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000072012040 526375388 /nfs/dbraw/zinc/37/53/88/526375388.db2.gz AIHNNRSOSUFEHA-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN O=C(OC[C@@H]1CCCO1)c1cc([N+](=O)[O-])ccc1Cl ZINC000057973112 526421731 /nfs/dbraw/zinc/42/17/31/526421731.db2.gz SRFQTWVKJUSCDV-VIFPVBQESA-N 0 0 285.683 2.584 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccccc1O ZINC000088281109 526604154 /nfs/dbraw/zinc/60/41/54/526604154.db2.gz JFLDWUKBSUMCLE-UHFFFAOYSA-N 0 0 286.287 2.872 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@@H](c2ccccc2)C1 ZINC000335080646 526628832 /nfs/dbraw/zinc/62/88/32/526628832.db2.gz HCOUSNNOVOHCFA-CYBMUJFWSA-N 0 0 299.330 2.943 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@@H](C2CC2)C1 ZINC000334168912 526638841 /nfs/dbraw/zinc/63/88/41/526638841.db2.gz ATLBDHHQZGDEEQ-GFCCVEGCSA-N 0 0 299.330 2.948 20 5 CFBDRN O=C(NCc1ccc(C(F)F)cc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000360817034 526655300 /nfs/dbraw/zinc/65/53/00/526655300.db2.gz LHFAMEQOVOYHKV-UHFFFAOYSA-N 0 0 295.245 2.791 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCCC[C@@H]1C(F)F ZINC000336154284 526714517 /nfs/dbraw/zinc/71/45/17/526714517.db2.gz RHGHQTJAQSEIRM-LLVKDONJSA-N 0 0 284.262 2.855 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC(C2CCC2)C1 ZINC000336484578 526717791 /nfs/dbraw/zinc/71/77/91/526717791.db2.gz WSHNLYTXQZVMNB-UHFFFAOYSA-N 0 0 266.322 2.528 20 5 CFBDRN O=C(NCc1ccccc1F)c1ccc([N+](=O)[O-])cc1F ZINC000054126779 526752057 /nfs/dbraw/zinc/75/20/57/526752057.db2.gz ICZVWKIOSOMPRF-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN Cc1occc1CN(C)c1ncc(C)cc1[N+](=O)[O-] ZINC000361265605 526828062 /nfs/dbraw/zinc/82/80/62/526828062.db2.gz OICZPAVDSBHAPB-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCCC[C@@H]1C(F)F ZINC000335663705 526907469 /nfs/dbraw/zinc/90/74/69/526907469.db2.gz GDPWKXBAYYIWPB-MRVPVSSYSA-N 0 0 290.291 2.916 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@@H]21 ZINC000336111289 526907808 /nfs/dbraw/zinc/90/78/08/526907808.db2.gz VJXDODMHKIRTIK-SCZZXKLOSA-N 0 0 266.322 2.671 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000365559114 526971237 /nfs/dbraw/zinc/97/12/37/526971237.db2.gz VQWSTAUZYHOCGD-GXTWGEPZSA-N 0 0 289.335 2.688 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000361793055 526991437 /nfs/dbraw/zinc/99/14/37/526991437.db2.gz UFXZPILPNIOUPR-QMMMGPOBSA-N 0 0 285.250 2.672 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@H]1F ZINC000340785898 527037789 /nfs/dbraw/zinc/03/77/89/527037789.db2.gz TUZDCYJOEYIASK-NEPJUHHUSA-N 0 0 298.339 2.694 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@H]1F ZINC000340785901 527038237 /nfs/dbraw/zinc/03/82/37/527038237.db2.gz TUZDCYJOEYIASK-VXGBXAGGSA-N 0 0 298.339 2.694 20 5 CFBDRN O=C(CSc1ncco1)c1ccc([N+](=O)[O-])cc1 ZINC000236403006 527072760 /nfs/dbraw/zinc/07/27/60/527072760.db2.gz QZUSYZGYYAJTFY-UHFFFAOYSA-N 0 0 264.262 2.558 20 5 CFBDRN CC(C)(CNC(=O)c1ccccc1[N+](=O)[O-])OCC1CC1 ZINC000412619491 527165731 /nfs/dbraw/zinc/16/57/31/527165731.db2.gz DVEXNMZECUJWAN-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN O=C(Nc1ccc(F)cc1O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000340332101 527214765 /nfs/dbraw/zinc/21/47/65/527214765.db2.gz IEMXTDYOJVHHPG-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN CC(=O)c1cc(N[C@@H](C)c2csnn2)ccc1[N+](=O)[O-] ZINC000413546846 527217352 /nfs/dbraw/zinc/21/73/52/527217352.db2.gz CNBFBNBPLQFGEX-ZETCQYMHSA-N 0 0 292.320 2.822 20 5 CFBDRN O=C(Nc1ccc(=O)[nH]c1)c1ccc([N+](=O)[O-])cc1Cl ZINC000312326270 527238788 /nfs/dbraw/zinc/23/87/88/527238788.db2.gz HBQDLFAKSWXTDE-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1C1CC1 ZINC000370765618 527244842 /nfs/dbraw/zinc/24/48/42/527244842.db2.gz FSWRHKHIIWYPBQ-CQSZACIVSA-N 0 0 274.320 2.538 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCOc2ccccc21 ZINC000172766315 527270787 /nfs/dbraw/zinc/27/07/87/527270787.db2.gz PNHVTBUIPBNZCN-UHFFFAOYSA-N 0 0 298.298 2.563 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]2CCC[C@@H]21 ZINC000174333507 527312547 /nfs/dbraw/zinc/31/25/47/527312547.db2.gz WBUWDGFJBVPOTA-FZMZJTMJSA-N 0 0 289.335 2.703 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cccc(Cl)c1F ZINC000336039754 527315960 /nfs/dbraw/zinc/31/59/60/527315960.db2.gz SNZBFJPKDWYPDA-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@@H]2CCOC2)ccc2ncccc21 ZINC000230104090 527321333 /nfs/dbraw/zinc/32/13/33/527321333.db2.gz VHOJFOCNBZJOHC-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@H](CC3CC3)C2)nc2sccn21 ZINC000338804744 527321402 /nfs/dbraw/zinc/32/14/02/527321402.db2.gz AVCAFTWJGJSECA-SNVBAGLBSA-N 0 0 292.364 2.930 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCC[C@H]2F)nc2sccn21 ZINC000338822458 527321414 /nfs/dbraw/zinc/32/14/14/527321414.db2.gz AAMRWDFTXZIWAR-RNFRBKRXSA-N 0 0 270.289 2.607 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1CCO[C@@H]1C1CC1 ZINC000230308999 527322001 /nfs/dbraw/zinc/32/20/01/527322001.db2.gz XZDGYRWLBLEQFO-WCQYABFASA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CSCCCO ZINC000085163381 527334340 /nfs/dbraw/zinc/33/43/40/527334340.db2.gz KSMRCMSWGICOEY-UHFFFAOYSA-N 0 0 261.730 2.864 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCC1(O)CCC1 ZINC000158671636 527334736 /nfs/dbraw/zinc/33/47/36/527334736.db2.gz XNWWBBWZGHAHSX-UHFFFAOYSA-N 0 0 256.689 2.575 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCC2CCOCC2)c1 ZINC000338557718 527337202 /nfs/dbraw/zinc/33/72/02/527337202.db2.gz PHWKORMKDOEQDG-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cccnc2-n2cccn2)cc1 ZINC000192831537 527353248 /nfs/dbraw/zinc/35/32/48/527353248.db2.gz RWEMNWVIBFPJKJ-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cn1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 ZINC000081378918 527357514 /nfs/dbraw/zinc/35/75/14/527357514.db2.gz GKIMGSHQDHVERC-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN Cn1ccc(CNc2ccc([N+](=O)[O-])cc2OC(F)F)n1 ZINC000354570453 527360944 /nfs/dbraw/zinc/36/09/44/527360944.db2.gz GZIDLNCHSRGGCT-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC=C(c3ccco3)C2)nc1 ZINC000367744088 527361429 /nfs/dbraw/zinc/36/14/29/527361429.db2.gz KNUWDEZCCIBVNV-UHFFFAOYSA-N 0 0 271.276 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3cc[nH]n3)C2)c(F)c1 ZINC000193847118 527362690 /nfs/dbraw/zinc/36/26/90/527362690.db2.gz LTKFCEUYCQPQND-JTQLQIEISA-N 0 0 290.298 2.841 20 5 CFBDRN Cc1ncccc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000175207577 527365529 /nfs/dbraw/zinc/36/55/29/527365529.db2.gz XGSWZQUQGRPLKI-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](c2cccnc2)C2CC2)nc1 ZINC000340083957 527368282 /nfs/dbraw/zinc/36/82/82/527368282.db2.gz XJFJBKAAEMGLIU-AWEZNQCLSA-N 0 0 270.292 2.948 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCCSC1 ZINC000334605900 527375096 /nfs/dbraw/zinc/37/50/96/527375096.db2.gz XLZOKZPOAGQMNF-SECBINFHSA-N 0 0 266.322 2.677 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2ccnc3cccnc32)c1 ZINC000338690184 527384706 /nfs/dbraw/zinc/38/47/06/527384706.db2.gz UDBKDHPBAUSLRV-UHFFFAOYSA-N 0 0 294.314 2.615 20 5 CFBDRN O=[N+]([O-])c1cccc(CS(=O)(=O)c2ccc(F)cc2)c1 ZINC000084428982 527388483 /nfs/dbraw/zinc/38/84/83/527388483.db2.gz QRCOPUMVWYZSIV-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3CCC[C@H]3F)c21 ZINC000338845897 527395621 /nfs/dbraw/zinc/39/56/21/527395621.db2.gz VOEOLEPHTXLALU-RKDXNWHRSA-N 0 0 276.271 2.841 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1ccnc2cccnc21 ZINC000338676822 527399439 /nfs/dbraw/zinc/39/94/39/527399439.db2.gz PDJHCHJCGVOSLL-UHFFFAOYSA-N 0 0 294.314 2.615 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1ncc(Cl)s1 ZINC000225315390 527400436 /nfs/dbraw/zinc/40/04/36/527400436.db2.gz CGXMSBVJDIARQX-UHFFFAOYSA-N 0 0 283.740 2.995 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1Cc2ccccc2[C@@H]1O ZINC000170982292 527404721 /nfs/dbraw/zinc/40/47/21/527404721.db2.gz SCBMHZHJLWPZJF-HIFRSBDPSA-N 0 0 270.288 2.665 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCOc1ccc(Cl)c(F)c1 ZINC000187898557 527407910 /nfs/dbraw/zinc/40/79/10/527407910.db2.gz YBVILCNNVABMAM-UHFFFAOYSA-N 0 0 285.662 2.663 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSc2ncccc2Cl)n1 ZINC000178489772 527408190 /nfs/dbraw/zinc/40/81/90/527408190.db2.gz XUNGKMJZXVGAHC-UHFFFAOYSA-N 0 0 284.728 2.632 20 5 CFBDRN O=[N+]([O-])c1cn(COC2CCCC2)nc1C1CC1 ZINC000361007236 527408298 /nfs/dbraw/zinc/40/82/98/527408298.db2.gz PFIOMWUXEDUODY-UHFFFAOYSA-N 0 0 251.286 2.585 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCO[C@@H](C3CCCCC3)C2)s1 ZINC000369189980 527410385 /nfs/dbraw/zinc/41/03/85/527410385.db2.gz UXBXLKWMBITKCX-LLVKDONJSA-N 0 0 297.380 2.837 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCC[C@H]2n2cccn2)s1 ZINC000192474239 527411198 /nfs/dbraw/zinc/41/11/98/527411198.db2.gz VWAYWRVRFIVRAL-NXEZZACHSA-N 0 0 293.352 2.844 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCO[C@@H]2C2CC2)c(Cl)c1 ZINC000230307862 527413048 /nfs/dbraw/zinc/41/30/48/527413048.db2.gz BEDMFXDJIWATDB-WDEREUQCSA-N 0 0 283.715 2.623 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2CCC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000340958300 527433519 /nfs/dbraw/zinc/43/35/19/527433519.db2.gz CHUQLNYZIYTJJK-ZJUUUORDSA-N 0 0 297.330 2.527 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000336112726 527481251 /nfs/dbraw/zinc/48/12/51/527481251.db2.gz XXHAUQMWNMZXJZ-UHFFFAOYSA-N 0 0 295.245 2.604 20 5 CFBDRN O=c1ccn(Cc2ccc([N+](=O)[O-])cc2)c2ccccc12 ZINC000151990725 527491261 /nfs/dbraw/zinc/49/12/61/527491261.db2.gz CLSVXVBTUVUIEY-UHFFFAOYSA-N 0 0 280.283 2.958 20 5 CFBDRN CC(C)(C)CC[C@H](CO)Nc1ncc([N+](=O)[O-])cc1F ZINC000413267495 527677000 /nfs/dbraw/zinc/67/70/00/527677000.db2.gz MRDCHVFZDRQTDH-SECBINFHSA-N 0 0 285.319 2.728 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])cnc2C)CCC1 ZINC000175675761 527740622 /nfs/dbraw/zinc/74/06/22/527740622.db2.gz TWFJEEHHFOBJMR-UHFFFAOYSA-N 0 0 277.324 2.608 20 5 CFBDRN CCC1CN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000413372112 527877132 /nfs/dbraw/zinc/87/71/32/527877132.db2.gz XCCHARHEGZPUEL-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000428106593 528407154 /nfs/dbraw/zinc/40/71/54/528407154.db2.gz JVGMIGNOBNOPSH-YABSGUDNSA-N 0 0 290.319 2.522 20 5 CFBDRN CCC(C)(C)OCCNc1ccncc1[N+](=O)[O-] ZINC000308510203 528594145 /nfs/dbraw/zinc/59/41/45/528594145.db2.gz CONXIVKKSSXMSL-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC12CC2 ZINC000425674543 528614607 /nfs/dbraw/zinc/61/46/07/528614607.db2.gz PDHZCDVECRWYEL-LBPRGKRZSA-N 0 0 291.307 2.668 20 5 CFBDRN CCOc1cccc(NCC2(CCO)CC2)c1[N+](=O)[O-] ZINC000157236277 528672929 /nfs/dbraw/zinc/67/29/29/528672929.db2.gz RZFMTUQQODXPTK-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN CCOCCN(CC)c1ccc([N+](=O)[O-])c(OCC)c1 ZINC000174915262 528725793 /nfs/dbraw/zinc/72/57/93/528725793.db2.gz GIPFJGDHXMUXNL-UHFFFAOYSA-N 0 0 282.340 2.856 20 5 CFBDRN CC1(C)CCC[C@@H](n2cc([N+](=O)[O-])c(C3CC3)n2)C1=O ZINC000413006034 528960610 /nfs/dbraw/zinc/96/06/10/528960610.db2.gz NZZZMZKECUBUBD-SNVBAGLBSA-N 0 0 277.324 2.989 20 5 CFBDRN CC(C)[C@H](CO)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413288382 529023604 /nfs/dbraw/zinc/02/36/04/529023604.db2.gz DISRPHCLNREXPY-LBPRGKRZSA-N 0 0 289.335 2.819 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)[C@@H](C)c1cccnc1 ZINC000115227671 529087113 /nfs/dbraw/zinc/08/71/13/529087113.db2.gz FNDRSPCOCRWVPI-JTQLQIEISA-N 0 0 289.291 2.806 20 5 CFBDRN CCn1ccc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000414208875 529172767 /nfs/dbraw/zinc/17/27/67/529172767.db2.gz MJIUNFKQDTXOPG-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN CC[C@H]1CCCCCN1C(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000191628952 529196580 /nfs/dbraw/zinc/19/65/80/529196580.db2.gz CZYUPKGVDUXSLA-NSHDSACASA-N 0 0 294.355 2.606 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413144890 529224935 /nfs/dbraw/zinc/22/49/35/529224935.db2.gz UTDCSRTVDWRZBK-HZMBPMFUSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000421917389 529325918 /nfs/dbraw/zinc/32/59/18/529325918.db2.gz OQFHPMPVXSBGOD-SECBINFHSA-N 0 0 275.308 2.852 20 5 CFBDRN CC[C@H]1C[C@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])CCO1 ZINC000420615917 529329042 /nfs/dbraw/zinc/32/90/42/529329042.db2.gz FUFPALFPTLHMNA-NEPJUHHUSA-N 0 0 279.340 2.976 20 5 CFBDRN CCC(CC)CNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000465951361 535024189 /nfs/dbraw/zinc/02/41/89/535024189.db2.gz QVBFOPNWLDRKGX-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN CC1(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CC1 ZINC000492071808 535122124 /nfs/dbraw/zinc/12/21/24/535122124.db2.gz YMZCRXJOBMXABP-DAXSKMNVSA-N 0 0 260.293 2.524 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266046524 535156607 /nfs/dbraw/zinc/15/66/07/535156607.db2.gz YXYBBXGNSHXIBL-LLVKDONJSA-N 0 0 264.325 2.640 20 5 CFBDRN CC/C=C\CNC(=O)c1cc(OCC)ccc1[N+](=O)[O-] ZINC000452598915 535258021 /nfs/dbraw/zinc/25/80/21/535258021.db2.gz GKXSJTBBRJMQAO-WAYWQWQTSA-N 0 0 278.308 2.690 20 5 CFBDRN CC/C=C\CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000452613733 535258596 /nfs/dbraw/zinc/25/85/96/535258596.db2.gz QHWFSFNWHQNDKT-PLNGDYQASA-N 0 0 254.311 2.661 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@H](C)C2(C)CC2)c1[N+](=O)[O-] ZINC000269338463 535320862 /nfs/dbraw/zinc/32/08/62/535320862.db2.gz BWUDRSSSGYIWSV-SECBINFHSA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1ccc(CN2CC(C(C)(C)O)C2)cc1[N+](=O)[O-] ZINC000459616118 535371369 /nfs/dbraw/zinc/37/13/69/535371369.db2.gz YKRSFWKPZWJFRF-UHFFFAOYSA-N 0 0 292.379 2.921 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000462174576 535476265 /nfs/dbraw/zinc/47/62/65/535476265.db2.gz GPYMDGGGGLIFLN-ZWNOBZJWSA-N 0 0 299.330 2.940 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456192945 535554821 /nfs/dbraw/zinc/55/48/21/535554821.db2.gz SOKGNCVZNHNSSI-SNVBAGLBSA-N 0 0 295.339 2.779 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])c(C)s1)C(F)F ZINC000452247742 535799702 /nfs/dbraw/zinc/79/97/02/535799702.db2.gz NJPWPIHRRGBZQQ-ZCFIWIBFSA-N 0 0 278.280 2.738 20 5 CFBDRN CCc1cccc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)n1 ZINC000459893772 535845242 /nfs/dbraw/zinc/84/52/42/535845242.db2.gz CIHGVSXYIVEHBJ-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CCc1cccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])n1 ZINC000449953735 535846252 /nfs/dbraw/zinc/84/62/52/535846252.db2.gz ZRIIIMHDPNVPLA-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])C(F)F ZINC000452250373 535863370 /nfs/dbraw/zinc/86/33/70/535863370.db2.gz SHFSNQXQCAHSFT-VIFPVBQESA-N 0 0 272.251 2.677 20 5 CFBDRN CN(C(=O)/C=C/c1cscn1)c1cccc([N+](=O)[O-])c1 ZINC000492197270 535938616 /nfs/dbraw/zinc/93/86/16/535938616.db2.gz FBIPTEFVZGWCKV-AATRIKPKSA-N 0 0 289.316 2.728 20 5 CFBDRN CCc1[nH]nc(N[C@H](C)Cc2ccccc2[N+](=O)[O-])c1C ZINC000449188736 535984011 /nfs/dbraw/zinc/98/40/11/535984011.db2.gz OJSIRDLFNHMYFR-SNVBAGLBSA-N 0 0 288.351 2.654 20 5 CFBDRN CC[C@H](CCO)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450379681 536092758 /nfs/dbraw/zinc/09/27/58/536092758.db2.gz OLXKFFQSPMFLKT-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000432043945 536629405 /nfs/dbraw/zinc/62/94/05/536629405.db2.gz XXWHPQBAMNDYSA-GXFFZTMASA-N 0 0 278.308 2.739 20 5 CFBDRN COc1ccc(NC(=O)/C=C\C2CCC2)c([N+](=O)[O-])c1 ZINC000492078862 536715258 /nfs/dbraw/zinc/71/52/58/536715258.db2.gz APHYDIVYAPERJD-YVMONPNESA-N 0 0 276.292 2.898 20 5 CFBDRN O=C([C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCC(F)(F)C1 ZINC000935257662 649892758 /nfs/dbraw/zinc/89/27/58/649892758.db2.gz UVNXWPAQMJSCAN-RYUDHWBXSA-N 0 0 296.273 2.566 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935251604 649891770 /nfs/dbraw/zinc/89/17/70/649891770.db2.gz LNWUWAOXLRZZQB-ZNMIVQPWSA-N 0 0 288.347 2.955 20 5 CFBDRN CCN(CC)C(=S)Sc1ccncc1[N+](=O)[O-] ZINC000727431934 574050161 /nfs/dbraw/zinc/05/01/61/574050161.db2.gz ZKSUHNLIIJOXAD-UHFFFAOYSA-N 0 0 271.367 2.709 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCSCc1ccccc1 ZINC000727431639 574050192 /nfs/dbraw/zinc/05/01/92/574050192.db2.gz TXTNIHCBRJJIPG-UHFFFAOYSA-N 0 0 289.360 2.757 20 5 CFBDRN CC(C)C1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000753822695 574050213 /nfs/dbraw/zinc/05/02/13/574050213.db2.gz YQVJHSHZCUKJCL-UHFFFAOYSA-N 0 0 265.269 2.595 20 5 CFBDRN Cc1ccc(C(=O)OC2([C@H]3CCOC3)CC2)cc1[N+](=O)[O-] ZINC000744162462 574057467 /nfs/dbraw/zinc/05/74/67/574057467.db2.gz HSOXYENZUGIBFH-LBPRGKRZSA-N 0 0 291.303 2.629 20 5 CFBDRN CCSCCOC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000727629615 574057608 /nfs/dbraw/zinc/05/76/08/574057608.db2.gz ADNLVYJLXWWBDZ-UHFFFAOYSA-N 0 0 294.332 2.986 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])OCCC1CC1 ZINC000744288365 574064764 /nfs/dbraw/zinc/06/47/64/574064764.db2.gz HZSFKHDGDYPUQB-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN Cc1csc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000744313302 574066557 /nfs/dbraw/zinc/06/65/57/574066557.db2.gz ZVATWOYQHYNGCX-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(Cc1nccs1)CC2 ZINC000754106073 574073379 /nfs/dbraw/zinc/07/33/79/574073379.db2.gz LLMFMQSKYMGIMW-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(Cc1cscn1)CC2 ZINC000754112825 574073950 /nfs/dbraw/zinc/07/39/50/574073950.db2.gz IJMFQBPRPSGAEK-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)C1CC(F)(F)C1 ZINC000754124039 574075513 /nfs/dbraw/zinc/07/55/13/574075513.db2.gz KMSGXOWOXZEZDJ-UHFFFAOYSA-N 0 0 277.248 2.745 20 5 CFBDRN Cc1cc(C(=O)OCC(=O)C2CCCC2)ccc1[N+](=O)[O-] ZINC000744484091 574077395 /nfs/dbraw/zinc/07/73/95/574077395.db2.gz AERBPVSKTAODBZ-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN C/C=C(\C)C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000750902344 574079902 /nfs/dbraw/zinc/07/99/02/574079902.db2.gz IFZKKHZZUBQLLZ-QDEBKDIKSA-N 0 0 279.292 2.873 20 5 CFBDRN Cc1cc(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)no1 ZINC000736866309 574082730 /nfs/dbraw/zinc/08/27/30/574082730.db2.gz JKJPLMMBBNHVKB-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN O=[N+]([O-])c1cnc2c(c1)CN(C/C(Cl)=C\Cl)CC2 ZINC000754213488 574082761 /nfs/dbraw/zinc/08/27/61/574082761.db2.gz OXQJWBWHRPWDKQ-RUDMXATFSA-N 0 0 288.134 2.667 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1cscn1 ZINC000728161171 574082970 /nfs/dbraw/zinc/08/29/70/574082970.db2.gz MSSHYZRZUBDTGX-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N(C)CC(F)F ZINC000754239087 574083411 /nfs/dbraw/zinc/08/34/11/574083411.db2.gz WKHSMUUFILAANR-CLFYSBASSA-N 0 0 284.262 2.722 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C)ncn1 ZINC000754305507 574087861 /nfs/dbraw/zinc/08/78/61/574087861.db2.gz CBNSKBOQXNXMIS-JXMROGBWSA-N 0 0 298.302 2.735 20 5 CFBDRN CSCCCOC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000744825273 574089444 /nfs/dbraw/zinc/08/94/44/574089444.db2.gz IVCBKPARDIOXKN-UHFFFAOYSA-N 0 0 294.332 2.986 20 5 CFBDRN C/C=C\COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730644027 574090995 /nfs/dbraw/zinc/09/09/95/574090995.db2.gz QGEOKMZUCVSXFU-IHWYPQMZSA-N 0 0 255.657 2.981 20 5 CFBDRN CCCOCCOC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000730696296 574091974 /nfs/dbraw/zinc/09/19/74/574091974.db2.gz UNAOYLVCNKURLH-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN C/C=C(/C)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000754404668 574094334 /nfs/dbraw/zinc/09/43/34/574094334.db2.gz XBZAGSAOFPSBMX-KMKOMSMNSA-N 0 0 260.293 2.840 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000754582636 574104962 /nfs/dbraw/zinc/10/49/62/574104962.db2.gz IIRPRXRGFUEJBL-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN COCCCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000740532835 574106119 /nfs/dbraw/zinc/10/61/19/574106119.db2.gz VXTOLKYECZNDLA-UHFFFAOYSA-N 0 0 295.335 2.842 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000731323732 574117140 /nfs/dbraw/zinc/11/71/40/574117140.db2.gz HSQWXQUZGITLSS-MRVPVSSYSA-N 0 0 287.312 2.776 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000731389315 574119471 /nfs/dbraw/zinc/11/94/71/574119471.db2.gz BUKSAOHWAZPFOG-SSDOTTSWSA-N 0 0 275.351 2.699 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000731391833 574119983 /nfs/dbraw/zinc/11/99/83/574119983.db2.gz OFOPHCOZLIDJHB-SECBINFHSA-N 0 0 269.322 2.637 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1F ZINC000754785117 574120337 /nfs/dbraw/zinc/12/03/37/574120337.db2.gz RWUINZUWLXXXQD-NSHDSACASA-N 0 0 297.282 2.850 20 5 CFBDRN Cc1cnccc1CCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000754793239 574121010 /nfs/dbraw/zinc/12/10/10/574121010.db2.gz DXVDUXHSELGSEI-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN CO[C@H]1CCC[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000731456998 574121487 /nfs/dbraw/zinc/12/14/87/574121487.db2.gz WSOCDVILEPAKOV-QWHCGFSZSA-N 0 0 297.282 2.848 20 5 CFBDRN C[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000731547889 574123510 /nfs/dbraw/zinc/12/35/10/574123510.db2.gz PPQBJCCCDMQZPD-ZETCQYMHSA-N 0 0 253.229 2.689 20 5 CFBDRN C/C(=C/c1ccccc1)CN(C)Cn1nccc1[N+](=O)[O-] ZINC000754859122 574125224 /nfs/dbraw/zinc/12/52/24/574125224.db2.gz VDFKRCDTYAQJBT-RAXLEYEMSA-N 0 0 286.335 2.784 20 5 CFBDRN CNc1ccc(C(=O)OC[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000745959670 574129337 /nfs/dbraw/zinc/12/93/37/574129337.db2.gz PSNKTODFDFGZSQ-JTQLQIEISA-N 0 0 296.348 2.689 20 5 CFBDRN Cc1c(COC(=O)[C@@H](O)CC(C)(C)C)cccc1[N+](=O)[O-] ZINC000751765803 574129347 /nfs/dbraw/zinc/12/93/47/574129347.db2.gz QAVNWCBZCLTNOR-ZDUSSCGKSA-N 0 0 295.335 2.744 20 5 CFBDRN O=Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)cs1 ZINC000754957941 574129430 /nfs/dbraw/zinc/12/94/30/574129430.db2.gz CVBUYIVNXXDXMX-UHFFFAOYSA-N 0 0 276.273 2.721 20 5 CFBDRN Cc1c(C(=O)OC[C@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000745960282 574129474 /nfs/dbraw/zinc/12/94/74/574129474.db2.gz VPZHTUQGRTWQIY-SNVBAGLBSA-N 0 0 281.333 2.956 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000745960210 574129526 /nfs/dbraw/zinc/12/95/26/574129526.db2.gz INZXMLFCYNLBMM-LLVKDONJSA-N 0 0 281.333 2.956 20 5 CFBDRN CC(C)N(Cc1ccccc1F)Cn1cc([N+](=O)[O-])cn1 ZINC000746033750 574131577 /nfs/dbraw/zinc/13/15/77/574131577.db2.gz WRHIBDISGZHVBC-UHFFFAOYSA-N 0 0 292.314 2.799 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCCCF ZINC000755011729 574132217 /nfs/dbraw/zinc/13/22/17/574132217.db2.gz MBELCNWWDBNIRB-MDZDMXLPSA-N 0 0 267.256 2.901 20 5 CFBDRN CCO[C@@H](C)C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746064401 574132536 /nfs/dbraw/zinc/13/25/36/574132536.db2.gz FKYWLOJDOUYRON-QMMMGPOBSA-N 0 0 287.699 2.716 20 5 CFBDRN CC(=O)CCCOC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755019181 574133037 /nfs/dbraw/zinc/13/30/37/574133037.db2.gz JFIFAFDYUBLGJJ-KHPPLWFESA-N 0 0 291.303 2.911 20 5 CFBDRN C[C@@H](C(=O)OCc1ccon1)c1ccc([N+](=O)[O-])cc1F ZINC000755068905 574135933 /nfs/dbraw/zinc/13/59/33/574135933.db2.gz WVTOOMVEFHMBKI-MRVPVSSYSA-N 0 0 294.238 2.569 20 5 CFBDRN CS/C=C/C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000751893627 574140040 /nfs/dbraw/zinc/14/00/40/574140040.db2.gz OLDCUPOXFIJKFN-SNAWJCMRSA-N 0 0 256.258 2.549 20 5 CFBDRN Cc1cc(F)ccc1OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000755133545 574141789 /nfs/dbraw/zinc/14/17/89/574141789.db2.gz QCMYQSZILWADTB-UHFFFAOYSA-N 0 0 278.239 2.600 20 5 CFBDRN O=C(COC1CCCC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728995171 574144506 /nfs/dbraw/zinc/14/45/06/574144506.db2.gz ONQQAULOXGKMCN-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN CC(C)(C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728995145 574144533 /nfs/dbraw/zinc/14/45/33/574144533.db2.gz NASJLIZQTBXBMW-UHFFFAOYSA-N 0 0 255.245 2.823 20 5 CFBDRN O=[N+]([O-])c1c(NCCc2cccc(O)c2)nc2ccccn21 ZINC000746330711 574146042 /nfs/dbraw/zinc/14/60/42/574146042.db2.gz CFJWUXRPWDWATG-UHFFFAOYSA-N 0 0 298.302 2.603 20 5 CFBDRN COC(=O)CSCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746389743 574147678 /nfs/dbraw/zinc/14/76/78/574147678.db2.gz TVSGMHPFBINMDT-UHFFFAOYSA-N 0 0 275.713 2.654 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1ccc(Cl)cc1 ZINC000755232665 574148599 /nfs/dbraw/zinc/14/85/99/574148599.db2.gz VRZGQABZJAPEOP-UHFFFAOYSA-N 0 0 280.667 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNc2ncc(F)cn2)c1 ZINC000746703319 574163491 /nfs/dbraw/zinc/16/34/91/574163491.db2.gz ZNDWZELMCDVIAB-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCC[C@@H](C)C2)n1 ZINC000755575824 574169062 /nfs/dbraw/zinc/16/90/62/574169062.db2.gz OOONBVJSGIJQII-GHMZBOCLSA-N 0 0 295.339 2.794 20 5 CFBDRN CCCCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746904160 574170089 /nfs/dbraw/zinc/17/00/89/574170089.db2.gz QLNWSZPPYXQGIU-UHFFFAOYSA-N 0 0 255.245 2.967 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1ccc(O)cc1 ZINC000746904123 574170451 /nfs/dbraw/zinc/17/04/51/574170451.db2.gz OUVOVSYRVXNCEE-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN O=C(CC1CC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000746956988 574173010 /nfs/dbraw/zinc/17/30/10/574173010.db2.gz VXZOFVLFMYLVJJ-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCCc1ccc[nH]1 ZINC000755655396 574175657 /nfs/dbraw/zinc/17/56/57/574175657.db2.gz CDJUNTHTYLKDET-QXMHVHEDSA-N 0 0 299.330 2.685 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000747013595 574175867 /nfs/dbraw/zinc/17/58/67/574175867.db2.gz YVDDZXGXMNJBIH-VIFPVBQESA-N 0 0 279.292 2.682 20 5 CFBDRN COC[C@H](C)OC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000755677666 574177553 /nfs/dbraw/zinc/17/75/53/574177553.db2.gz GDZGRQALRLDJEV-IEHMKBBKSA-N 0 0 279.292 2.576 20 5 CFBDRN Cc1nnccc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000753096319 574185965 /nfs/dbraw/zinc/18/59/65/574185965.db2.gz VFFZFYONBKGRRB-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2ccoc2)c1 ZINC000747212795 574187580 /nfs/dbraw/zinc/18/75/80/574187580.db2.gz DSRWTGDBDDXYQJ-UHFFFAOYSA-N 0 0 266.228 2.948 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCCOC(C)(C)C2)c1 ZINC000747223094 574188126 /nfs/dbraw/zinc/18/81/26/574188126.db2.gz YGZLILNAYJZHPO-UHFFFAOYSA-N 0 0 298.314 2.748 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H]1CCCS1 ZINC000755812526 574189186 /nfs/dbraw/zinc/18/91/86/574189186.db2.gz UQVCIAKBCAXBJD-JTQLQIEISA-N 0 0 297.332 2.656 20 5 CFBDRN Cc1nc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)cs1 ZINC000733095193 574189582 /nfs/dbraw/zinc/18/95/82/574189582.db2.gz VIKFDLKREXLLCV-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN C[C@H]1CCN(c2nc3ccccn3c2[N+](=O)[O-])CCS1 ZINC000755054232 574195859 /nfs/dbraw/zinc/19/58/59/574195859.db2.gz HDCUARYTICGIKL-JTQLQIEISA-N 0 0 292.364 2.574 20 5 CFBDRN C[C@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000747486449 574198365 /nfs/dbraw/zinc/19/83/65/574198365.db2.gz LQFXNZVCBIPESH-JTQLQIEISA-N 0 0 263.293 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCc3ccon3)sc2c1 ZINC000747621996 574203346 /nfs/dbraw/zinc/20/33/46/574203346.db2.gz KNVMIGVVGSQTRJ-UHFFFAOYSA-N 0 0 276.277 2.805 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCCC[C@H]1CCCO1 ZINC000756068210 574207290 /nfs/dbraw/zinc/20/72/90/574207290.db2.gz WVTQPOZBQZXSBS-CQSZACIVSA-N 0 0 293.319 2.640 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[Si](C)(C)C)c1 ZINC000740957529 574207526 /nfs/dbraw/zinc/20/75/26/574207526.db2.gz ABICDBWHFWXQTI-UHFFFAOYSA-N 0 0 283.356 2.638 20 5 CFBDRN CC(C)[C@H](C)N(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000733187748 574208871 /nfs/dbraw/zinc/20/88/71/574208871.db2.gz VLLQGAHKFRBORL-VIFPVBQESA-N 0 0 267.285 2.984 20 5 CFBDRN CO[C@@H](CNc1cc(C)ccc1[N+](=O)[O-])C(F)(F)F ZINC000756119959 574210670 /nfs/dbraw/zinc/21/06/70/574210670.db2.gz ISSWDEWCBRZNBD-JTQLQIEISA-N 0 0 278.230 2.892 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000747842963 574214961 /nfs/dbraw/zinc/21/49/61/574214961.db2.gz DSJPHYHESUJMAJ-VXGBXAGGSA-N 0 0 294.326 2.987 20 5 CFBDRN Cc1cc(C)c(OC(=O)C[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000739485266 574215043 /nfs/dbraw/zinc/21/50/43/574215043.db2.gz OQMIPMHLLYTXAH-NSHDSACASA-N 0 0 279.292 2.544 20 5 CFBDRN COc1cc(NCc2cccc(F)n2)ccc1[N+](=O)[O-] ZINC000747847839 574215570 /nfs/dbraw/zinc/21/55/70/574215570.db2.gz RQNHYRCQTFXEBL-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CNc1nc(C(=O)OCc2csc([N+](=O)[O-])c2)cs1 ZINC000756202920 574216551 /nfs/dbraw/zinc/21/65/51/574216551.db2.gz IMMGZDUCOXKNGD-UHFFFAOYSA-N 0 0 299.333 2.512 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)C[NH2+]CC(C)(C)C(=O)[O-] ZINC000756307976 574224036 /nfs/dbraw/zinc/22/40/36/574224036.db2.gz RIPZTLFOYWBGHG-YRNVUSSQSA-N 0 0 292.335 2.699 20 5 CFBDRN CC[C@H](C)COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000756340503 574226634 /nfs/dbraw/zinc/22/66/34/574226634.db2.gz SZNFTLZZHYEBBN-JTQLQIEISA-N 0 0 251.282 2.727 20 5 CFBDRN COC[C@H]1CCN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000739889758 574227414 /nfs/dbraw/zinc/22/74/14/574227414.db2.gz GQNBMNJWWXAPFT-VIFPVBQESA-N 0 0 293.348 2.677 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000756346327 574227733 /nfs/dbraw/zinc/22/77/33/574227733.db2.gz WHFIHTSYYQKDOA-SECBINFHSA-N 0 0 295.291 2.584 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC[C@@H]1CCCC1=O ZINC000748180000 574235680 /nfs/dbraw/zinc/23/56/80/574235680.db2.gz FEYOEQODEYASTF-NSHDSACASA-N 0 0 291.303 2.819 20 5 CFBDRN Cc1cc(C(=O)OCC[C@H]2CCCC2=O)cc([N+](=O)[O-])c1 ZINC000748180027 574235684 /nfs/dbraw/zinc/23/56/84/574235684.db2.gz ALPZMYCTEVZGKV-LLVKDONJSA-N 0 0 291.303 2.819 20 5 CFBDRN CC/C=C(/C)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000729895318 574235772 /nfs/dbraw/zinc/23/57/72/574235772.db2.gz XCCUBOFIYOPFFY-XGICHPGQSA-N 0 0 292.335 2.931 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@@H](C)CC(C)C ZINC000729893834 574235807 /nfs/dbraw/zinc/23/58/07/574235807.db2.gz PCHUQULFNQEDMB-VIFPVBQESA-N 0 0 266.297 2.890 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)O[C@H]1C=CCCC1 ZINC000733435572 574236820 /nfs/dbraw/zinc/23/68/20/574236820.db2.gz AEOPQIMLXFMJTG-LBPRGKRZSA-N 0 0 277.276 2.626 20 5 CFBDRN CC1=C(C(=O)OCc2csc([N+](=O)[O-])c2)CCCO1 ZINC000756439090 574237157 /nfs/dbraw/zinc/23/71/57/574237157.db2.gz WQTPEXAGNUZSCW-UHFFFAOYSA-N 0 0 283.305 2.784 20 5 CFBDRN CC1=C(C(=O)OCc2ccccc2[N+](=O)[O-])CCCO1 ZINC000756442468 574238094 /nfs/dbraw/zinc/23/80/94/574238094.db2.gz QMVIJBLAFSREQJ-UHFFFAOYSA-N 0 0 277.276 2.722 20 5 CFBDRN C[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000748216295 574239751 /nfs/dbraw/zinc/23/97/51/574239751.db2.gz AFDJKPMKVNWIJX-ZETCQYMHSA-N 0 0 277.198 2.950 20 5 CFBDRN C[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1N)C(F)(F)F ZINC000748217371 574240336 /nfs/dbraw/zinc/24/03/36/574240336.db2.gz NKYJBGRQOIVOGM-LURJTMIESA-N 0 0 292.213 2.532 20 5 CFBDRN CC(C)CCOCCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000733469443 574240975 /nfs/dbraw/zinc/24/09/75/574240975.db2.gz CZHMFDBWMDREOR-UHFFFAOYSA-N 0 0 299.298 2.953 20 5 CFBDRN COCC(C)(C)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000756530281 574243787 /nfs/dbraw/zinc/24/37/87/574243787.db2.gz BRRBPCQMXKALRD-JTQLQIEISA-N 0 0 281.308 2.872 20 5 CFBDRN CCN(CCC(F)(F)F)Cn1nc(C)c([N+](=O)[O-])c1C ZINC000748297224 574245614 /nfs/dbraw/zinc/24/56/14/574245614.db2.gz RRDMISZYYVSLJB-UHFFFAOYSA-N 0 0 294.277 2.640 20 5 CFBDRN CC(C)C(=O)COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000740392457 574249768 /nfs/dbraw/zinc/24/97/68/574249768.db2.gz XWRDKFFGFSBSFW-UHFFFAOYSA-N 0 0 293.319 2.641 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000733686459 574251900 /nfs/dbraw/zinc/25/19/00/574251900.db2.gz YYBSTSWJFPNPJT-LBPRGKRZSA-N 0 0 294.351 2.866 20 5 CFBDRN Cc1c(CC(=O)OCc2nccs2)cccc1[N+](=O)[O-] ZINC000748470006 574254162 /nfs/dbraw/zinc/25/41/62/574254162.db2.gz FMQZSVDBLPMCLN-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN CC(C)(C(=O)O[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000740546686 574254900 /nfs/dbraw/zinc/25/49/00/574254900.db2.gz XWDBXBKXYFEMSW-GFCCVEGCSA-N 0 0 295.360 2.921 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1cc([N+](=O)[O-])ccc1F)C(C)C ZINC000740803790 574267814 /nfs/dbraw/zinc/26/78/14/574267814.db2.gz SMWBGDOIFVDUSZ-JTQLQIEISA-N 0 0 298.314 2.619 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@H](CO)C1CC1 ZINC000734146252 574274298 /nfs/dbraw/zinc/27/42/98/574274298.db2.gz FXRHZTGPSBBHIO-SNVBAGLBSA-N 0 0 290.241 2.796 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])on1 ZINC000749058690 574278648 /nfs/dbraw/zinc/27/86/48/574278648.db2.gz PXHQUHSZTHUEIZ-SECBINFHSA-N 0 0 276.248 2.809 20 5 CFBDRN C[C@@H](CNC(=O)COc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000734335755 574282422 /nfs/dbraw/zinc/28/24/22/574282422.db2.gz HBPJDBQDVWBNLL-NSHDSACASA-N 0 0 294.351 2.772 20 5 CFBDRN CC(C)(C)CN(CCCO)c1ccc([N+](=O)[O-])cc1F ZINC000749177943 574283882 /nfs/dbraw/zinc/28/38/82/574283882.db2.gz XWYXLFXYZDPSCE-UHFFFAOYSA-N 0 0 284.331 2.969 20 5 CFBDRN Nc1ccc(C(=O)OCc2csc([N+](=O)[O-])c2)cc1F ZINC000749196245 574284192 /nfs/dbraw/zinc/28/41/92/574284192.db2.gz WFTBWEIBFKQTFQ-UHFFFAOYSA-N 0 0 296.279 2.735 20 5 CFBDRN O=C(OCCCF)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000741449319 574290919 /nfs/dbraw/zinc/29/09/19/574290919.db2.gz RMGQJIBIWUVXGB-UHFFFAOYSA-N 0 0 261.636 2.765 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N(CCCCO)C2CC2)c(F)c1 ZINC000749322277 574289435 /nfs/dbraw/zinc/28/94/35/574289435.db2.gz ZNMYDQGPRLEXNJ-UHFFFAOYSA-N 0 0 286.278 2.614 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000741440000 574289508 /nfs/dbraw/zinc/28/95/08/574289508.db2.gz MALRSLJPENJQHC-SNVBAGLBSA-N 0 0 299.710 2.718 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000749322175 574289518 /nfs/dbraw/zinc/28/95/18/574289518.db2.gz WNNMKCAFLGFXMQ-SECBINFHSA-N 0 0 286.278 2.736 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000741439998 574289653 /nfs/dbraw/zinc/28/96/53/574289653.db2.gz MALRSLJPENJQHC-JTQLQIEISA-N 0 0 299.710 2.718 20 5 CFBDRN O=C(C[C@H]1CCOC1)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000741439953 574290088 /nfs/dbraw/zinc/29/00/88/574290088.db2.gz LLSYJMJDZXQEGG-SNVBAGLBSA-N 0 0 299.710 2.718 20 5 CFBDRN O=C(CCC1CC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000734610790 574295262 /nfs/dbraw/zinc/29/52/62/574295262.db2.gz OPUCRACCLMEOLP-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN COc1ccc(COC(=O)CCC2CC2)cc1[N+](=O)[O-] ZINC000734612663 574295607 /nfs/dbraw/zinc/29/56/07/574295607.db2.gz PFZQNYBWMJMBMQ-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN CSc1ccc(C(=O)OCc2ccon2)cc1[N+](=O)[O-] ZINC000741832336 574305827 /nfs/dbraw/zinc/30/58/27/574305827.db2.gz QEXROAGRNZEEJG-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN CC[C@@H](C)NC(=S)Nc1cccc([N+](=O)[O-])c1C ZINC000749757498 574307196 /nfs/dbraw/zinc/30/71/96/574307196.db2.gz NNVPULOYNMBDIV-MRVPVSSYSA-N 0 0 267.354 2.988 20 5 CFBDRN Cc1ccccc1[C@H]1CCN(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000725780559 574307246 /nfs/dbraw/zinc/30/72/46/574307246.db2.gz VNMWAFXEKGCAFL-ZDUSSCGKSA-N 0 0 286.335 2.547 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000742212494 574319212 /nfs/dbraw/zinc/31/92/12/574319212.db2.gz RLQCWYYLKSZIJF-SNVBAGLBSA-N 0 0 292.364 2.774 20 5 CFBDRN CCc1nnc([C@@H](C)Oc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000742348338 574323022 /nfs/dbraw/zinc/32/30/22/574323022.db2.gz BRRKWKCTFYMQBR-SSDOTTSWSA-N 0 0 281.243 2.819 20 5 CFBDRN COc1ccc(CNc2ccc(C)cn2)cc1[N+](=O)[O-] ZINC000742396156 574327845 /nfs/dbraw/zinc/32/78/45/574327845.db2.gz KGDJNXNBFOANEC-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@H]1CCCN(c2nc3ccccn3c2[N+](=O)[O-])[C@H]1C ZINC000742410963 574328643 /nfs/dbraw/zinc/32/86/43/574328643.db2.gz FRMOAGOKVZWIIB-QWRGUYRKSA-N 0 0 274.324 2.867 20 5 CFBDRN O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1NCCC1CC1 ZINC000742422871 574329523 /nfs/dbraw/zinc/32/95/23/574329523.db2.gz NRIXCHKZYRJXOS-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN CCOCCCOC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000735599509 574330187 /nfs/dbraw/zinc/33/01/87/574330187.db2.gz SIUKZIBSAAFNLP-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000744337928 574332047 /nfs/dbraw/zinc/33/20/47/574332047.db2.gz UARMZFOGJNJPGO-SSDOTTSWSA-N 0 0 277.198 2.631 20 5 CFBDRN COc1ccc(CC(=O)OCC2CC=CC2)cc1[N+](=O)[O-] ZINC000750359893 574335641 /nfs/dbraw/zinc/33/56/41/574335641.db2.gz JDOQQHYMYBOYLK-UHFFFAOYSA-N 0 0 291.303 2.655 20 5 CFBDRN O=C(C[C@@H]1CCCO1)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735801374 574336240 /nfs/dbraw/zinc/33/62/40/574336240.db2.gz ZBWFKCFFEZJRKQ-JTQLQIEISA-N 0 0 299.710 2.861 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735800114 574336431 /nfs/dbraw/zinc/33/64/31/574336431.db2.gz AWNMTRUAOBKKKM-APPZFPTMSA-N 0 0 269.684 2.947 20 5 CFBDRN Cc1c(C(=O)NCCNc2ccccc2)cccc1[N+](=O)[O-] ZINC000735825113 574337131 /nfs/dbraw/zinc/33/71/31/574337131.db2.gz FCPIEBSWYLSAID-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC1([C@H]2CCOC2)CC1 ZINC000735911203 574341830 /nfs/dbraw/zinc/34/18/30/574341830.db2.gz PVKHGIGVPJWYLO-NSHDSACASA-N 0 0 291.303 2.629 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000742739126 574342073 /nfs/dbraw/zinc/34/20/73/574342073.db2.gz FUJQZJVUDNPBML-JQWIXIFHSA-N 0 0 294.376 2.917 20 5 CFBDRN CCC1(C(=O)OCc2csc([N+](=O)[O-])c2)CC1 ZINC000750489455 574342910 /nfs/dbraw/zinc/34/29/10/574342910.db2.gz ORNSTGUBPNPPSE-UHFFFAOYSA-N 0 0 255.295 2.890 20 5 CFBDRN Cc1cccc(C(=O)NCCNc2ccccc2)c1[N+](=O)[O-] ZINC000735931490 574343488 /nfs/dbraw/zinc/34/34/88/574343488.db2.gz TXHWSVYSFKFXIH-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN O=C(OCc1ccon1)c1cc([N+](=O)[O-])ccc1Cl ZINC000735962420 574344503 /nfs/dbraw/zinc/34/45/03/574344503.db2.gz IXBFUEFKXVSAPM-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C1(C)CCC1 ZINC000750535818 574346708 /nfs/dbraw/zinc/34/67/08/574346708.db2.gz WGGAYAJSURZCFV-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCCCN1C)c1cccc([N+](=O)[O-])c1 ZINC000745080406 574347008 /nfs/dbraw/zinc/34/70/08/574347008.db2.gz AGFCOGNNDBAOJO-BXUZGUMPSA-N 0 0 292.335 2.683 20 5 CFBDRN CSCCCC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000736002545 574347321 /nfs/dbraw/zinc/34/73/21/574347321.db2.gz BNGKJPSBMWEPTF-UHFFFAOYSA-N 0 0 269.322 2.952 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])n2C)[C@@H](C)C1 ZINC000736033116 574348514 /nfs/dbraw/zinc/34/85/14/574348514.db2.gz ZMWXHWVBIRPDMK-SCVCMEIPSA-N 0 0 280.324 2.915 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCCCC1CC1 ZINC000736049348 574348876 /nfs/dbraw/zinc/34/88/76/574348876.db2.gz LLEFUNAKSLKHBH-YFHOEESVSA-N 0 0 274.320 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NCCC2CC2)cc1 ZINC000743009440 574349736 /nfs/dbraw/zinc/34/97/36/574349736.db2.gz OUCHAQJTPIBXRS-UHFFFAOYSA-N 0 0 265.338 2.681 20 5 CFBDRN Nc1cccc(-c2noc(Cc3cccc([N+](=O)[O-])c3)n2)c1 ZINC000743276708 574356948 /nfs/dbraw/zinc/35/69/48/574356948.db2.gz QJGDBHIWJPJQNB-UHFFFAOYSA-N 0 0 296.286 2.818 20 5 CFBDRN CCOCCNC(=S)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000750974890 574365939 /nfs/dbraw/zinc/36/59/39/574365939.db2.gz WBSQSWOOEGTHEU-UHFFFAOYSA-N 0 0 297.380 2.535 20 5 CFBDRN CC(C)=C(C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000751350500 574374862 /nfs/dbraw/zinc/37/48/62/574374862.db2.gz WUGLROLBSUXSAR-UHFFFAOYSA-N 0 0 262.309 2.910 20 5 CFBDRN CS/C=C/C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000751456918 574377129 /nfs/dbraw/zinc/37/71/29/574377129.db2.gz DFGGXPOZPQJQEU-AATRIKPKSA-N 0 0 252.295 2.718 20 5 CFBDRN O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)C1CCC1 ZINC000751641943 574380435 /nfs/dbraw/zinc/38/04/35/574380435.db2.gz QVCKIVCECHHKDQ-UHFFFAOYSA-N 0 0 276.248 2.579 20 5 CFBDRN O=C(CC[C@@H]1CCOC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000751709329 574381219 /nfs/dbraw/zinc/38/12/19/574381219.db2.gz NAVOXBJUGNSRDO-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1C1CC1 ZINC000751734270 574381696 /nfs/dbraw/zinc/38/16/96/574381696.db2.gz ANLBMZZPBDQDFI-ZIAGYGMSSA-N 0 0 291.303 2.563 20 5 CFBDRN CCC1(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000751753865 574382443 /nfs/dbraw/zinc/38/24/43/574382443.db2.gz RCLXUYDROBFPKI-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN CC(C)(C)C[C@@H](O)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000751767922 574382646 /nfs/dbraw/zinc/38/26/46/574382646.db2.gz AKQSRFUCHVJELC-GFCCVEGCSA-N 0 0 299.298 2.574 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000751795512 574383005 /nfs/dbraw/zinc/38/30/05/574383005.db2.gz AXHJKYOXHITVKI-MRVPVSSYSA-N 0 0 255.295 2.746 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000751804134 574383248 /nfs/dbraw/zinc/38/32/48/574383248.db2.gz ZSRZGFPUWUAOFX-SNVBAGLBSA-N 0 0 267.256 2.823 20 5 CFBDRN C[C@@H](C[C@H](O)c1cccs1)Nc1cccnc1[N+](=O)[O-] ZINC000751941079 574385598 /nfs/dbraw/zinc/38/55/98/574385598.db2.gz FKGYOBOOYGDFBP-ONGXEEELSA-N 0 0 293.348 2.975 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])[C@H](O)c1cccc(F)c1 ZINC000751964255 574385889 /nfs/dbraw/zinc/38/58/89/574385889.db2.gz CBWPTXOGLUTVRL-ZANVPECISA-N 0 0 291.282 2.663 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000751966832 574385958 /nfs/dbraw/zinc/38/59/58/574385958.db2.gz GAUGAYILKBAZDA-SECBINFHSA-N 0 0 290.241 2.839 20 5 CFBDRN CSCCCOC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752569357 574392808 /nfs/dbraw/zinc/39/28/08/574392808.db2.gz YYSPUCGMVZADLY-UHFFFAOYSA-N 0 0 291.275 2.783 20 5 CFBDRN CSCCNC(=S)Nc1cc([N+](=O)[O-])ccc1C ZINC000752728132 574394054 /nfs/dbraw/zinc/39/40/54/574394054.db2.gz QDVSAEQWYCVKTA-UHFFFAOYSA-N 0 0 285.394 2.553 20 5 CFBDRN Cc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2C)nc1 ZINC000753482667 574402964 /nfs/dbraw/zinc/40/29/64/574402964.db2.gz YRSPYZPVGNHKSX-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(COC(=O)[C@@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000753490483 574403086 /nfs/dbraw/zinc/40/30/86/574403086.db2.gz PLFSWUWIHAEMPY-LLVKDONJSA-N 0 0 289.287 2.677 20 5 CFBDRN CC(C)[C@H](C)Sc1ccc(-c2nn[nH]n2)cc1[N+](=O)[O-] ZINC000820021384 599099376 /nfs/dbraw/zinc/09/93/76/599099376.db2.gz SYAQGISCBGEFHA-QMMMGPOBSA-N 0 0 293.352 2.912 20 5 CFBDRN Cc1ccc([C@@H](C)[NH2+]CCCCC(=O)[O-])cc1[N+](=O)[O-] ZINC000821069872 598171714 /nfs/dbraw/zinc/17/17/14/598171714.db2.gz LYXQWWGBEQCLEX-LLVKDONJSA-N 0 0 280.324 2.809 20 5 CFBDRN C/C(Cl)=C/C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000900156790 620647194 /nfs/dbraw/zinc/64/71/94/620647194.db2.gz FATIWOUUAKUQFH-CLFYSBASSA-N 0 0 268.700 2.696 20 5 CFBDRN CC[C@](C)(CC(=O)[O-])[NH2+]C/C=C\c1ccccc1[N+](=O)[O-] ZINC000828657099 601431332 /nfs/dbraw/zinc/43/13/32/601431332.db2.gz DEZQTSYXTMZWLY-DDJMYBDESA-N 0 0 292.335 2.841 20 5 CFBDRN C[C@]1(C(=O)[O-])CC[N@H+](Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000062935035 601912891 /nfs/dbraw/zinc/91/28/91/601912891.db2.gz XYSJJPABGFAIPH-ZDUSSCGKSA-N 0 0 298.726 2.545 20 5 CFBDRN CC1(CCNC(=O)CCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000935734181 649935826 /nfs/dbraw/zinc/93/58/26/649935826.db2.gz YJUXIOOUPNRZRN-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN C/C(=C\Cl)CSCCn1cc([N+](=O)[O-])cn1 ZINC000797808850 630106619 /nfs/dbraw/zinc/10/66/19/630106619.db2.gz RMEDLXFQDYJERT-XBXARRHUSA-N 0 0 261.734 2.667 20 5 CFBDRN O=C([O-])[C@H]1CCC[N@H+](Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000077253466 604787048 /nfs/dbraw/zinc/78/70/48/604787048.db2.gz HXEPBSLQSNQRFQ-JTQLQIEISA-N 0 0 298.726 2.545 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc(Cl)c(F)c([N+](=O)[O-])c2)C1 ZINC000900486555 620681739 /nfs/dbraw/zinc/68/17/39/620681739.db2.gz ZGNKPPNJFCJONF-ZETCQYMHSA-N 0 0 286.690 2.869 20 5 CFBDRN C[C@]1(C(=O)[O-])CC[N@H+](Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000062934959 605212627 /nfs/dbraw/zinc/21/26/27/605212627.db2.gz NBOGYMZKURDZRC-ZDUSSCGKSA-N 0 0 298.726 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cc(C3CCC3)nn2)c(F)c1 ZINC000900547852 620685500 /nfs/dbraw/zinc/68/55/00/620685500.db2.gz WNEGQHZZWPUVCJ-UHFFFAOYSA-N 0 0 276.271 2.641 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2cccc(-c3nn[nH]n3)c2)cc1 ZINC000826499563 608381327 /nfs/dbraw/zinc/38/13/27/608381327.db2.gz NMVQKPVDIYDXDZ-UHFFFAOYSA-N 0 0 299.315 2.926 20 5 CFBDRN CCn1cc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cn1 ZINC000176189746 617849784 /nfs/dbraw/zinc/84/97/84/617849784.db2.gz IXSYLMIGNFOCPY-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN CCc1ccc([C@@H](O)CNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000804778551 617878268 /nfs/dbraw/zinc/87/82/68/617878268.db2.gz ZZGOONOWHVJIRN-AWEZNQCLSA-N 0 0 287.319 2.698 20 5 CFBDRN CSCC(C)(C)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000890873342 617928960 /nfs/dbraw/zinc/92/89/60/617928960.db2.gz FVFMURIBIKSDHP-SNVBAGLBSA-N 0 0 296.392 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@](C)(O)c2ccccc2)n1 ZINC000178225297 617697517 /nfs/dbraw/zinc/69/75/17/617697517.db2.gz GIQAAIPPPLUHHF-OAHLLOKOSA-N 0 0 287.319 2.618 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCc1ccsc1 ZINC000891752305 617738404 /nfs/dbraw/zinc/73/84/04/617738404.db2.gz GSXZSBBPLAKWNZ-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN CCSCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255024296 617757668 /nfs/dbraw/zinc/75/76/68/617757668.db2.gz JTGNGZDTPQBXSA-YVMONPNESA-N 0 0 281.333 2.904 20 5 CFBDRN C[C@H]1CN(c2c([N+](=O)[O-])cnn2C)c2ccccc21 ZINC000891852640 617786202 /nfs/dbraw/zinc/78/62/02/617786202.db2.gz PKCYMWRMSMKAAU-VIFPVBQESA-N 0 0 258.281 2.584 20 5 CFBDRN CC1=C[C@@H](C)CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804682528 617805636 /nfs/dbraw/zinc/80/56/36/617805636.db2.gz KUTVUJBYFXGVBX-SECBINFHSA-N 0 0 277.280 2.905 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@@H](F)C2)c1 ZINC000804685757 617810562 /nfs/dbraw/zinc/81/05/62/617810562.db2.gz KPPROJYPYNLXII-MRVPVSSYSA-N 0 0 272.251 2.681 20 5 CFBDRN CO[C@H]1C[C@@H]([NH2+]Cc2cc(F)ccc2[N+](=O)[O-])C1(C)C ZINC000300619257 617825899 /nfs/dbraw/zinc/82/58/99/617825899.db2.gz ASGNMMRTOACMPK-OLZOCXBDSA-N 0 0 282.315 2.637 20 5 CFBDRN Cc1csc(COc2cc(C=O)ccc2[N+](=O)[O-])n1 ZINC000094966872 617861603 /nfs/dbraw/zinc/86/16/03/617861603.db2.gz YIKHRZNGGFXNGT-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN C[C@H](O)c1cccc(NCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000891965356 617884250 /nfs/dbraw/zinc/88/42/50/617884250.db2.gz OXZNNJZQAGRRDF-NSHDSACASA-N 0 0 287.319 2.698 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCc1ccoc1C ZINC000891965850 617884804 /nfs/dbraw/zinc/88/48/04/617884804.db2.gz VSSNXFBLVAIQDB-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN Cc1ccc([C@H](O)[C@H](C)Nc2ccc([N+](=O)[O-])cn2)cc1 ZINC000804809571 617893241 /nfs/dbraw/zinc/89/32/41/617893241.db2.gz GJOYYIPIQBULAU-XHDPSFHLSA-N 0 0 287.319 2.832 20 5 CFBDRN CSc1ccc(CNc2c([N+](=O)[O-])cnn2C)cc1 ZINC000891978200 617899753 /nfs/dbraw/zinc/89/97/53/617899753.db2.gz DWUMLHAQWKABGS-UHFFFAOYSA-N 0 0 278.337 2.662 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCOC[C@@H]2C)c1 ZINC000804819151 617899777 /nfs/dbraw/zinc/89/97/77/617899777.db2.gz VSSUJFJXRYGBNO-UWVGGRQHSA-N 0 0 298.314 2.827 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccccc2[N+](=O)[O-])C=CCC1 ZINC000924402198 617927238 /nfs/dbraw/zinc/92/72/38/617927238.db2.gz SRPCUAZTJJTOSS-CQSZACIVSA-N 0 0 261.277 2.994 20 5 CFBDRN CSCC(C)(C)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000890876763 617929684 /nfs/dbraw/zinc/92/96/84/617929684.db2.gz WZLDWYBRDNPTKY-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1C[C@H]1c1cccc(Cl)c1)[N+](=O)[O-] ZINC000813110173 617935901 /nfs/dbraw/zinc/93/59/01/617935901.db2.gz UGBNUDRBTLCZDQ-JFUSQASVSA-N 0 0 283.711 2.652 20 5 CFBDRN Cc1ccccc1[C@H]1CCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000892250256 618022881 /nfs/dbraw/zinc/02/28/81/618022881.db2.gz DHISCSXEQKLKNR-LBPRGKRZSA-N 0 0 286.335 2.631 20 5 CFBDRN CCCCOC(=O)COc1cc(C)ccc1[N+](=O)[O-] ZINC000049942894 618050298 /nfs/dbraw/zinc/05/02/98/618050298.db2.gz AYRLJOXLGPGSCU-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN O=C([O-])[C@@H]1CCCC[N@@H+]1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000049964238 618054365 /nfs/dbraw/zinc/05/43/65/618054365.db2.gz ZRZDGMBGECYEEH-LBPRGKRZSA-N 0 0 298.726 2.687 20 5 CFBDRN O=C([O-])C[C@@H]1CCC[N@@H+]1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000049964262 618054686 /nfs/dbraw/zinc/05/46/86/618054686.db2.gz ROONKBWMXAVUPP-JTQLQIEISA-N 0 0 298.726 2.687 20 5 CFBDRN COCC(C)(C)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000813994045 618067702 /nfs/dbraw/zinc/06/77/02/618067702.db2.gz GXLWBECNVVCQEN-UHFFFAOYSA-N 0 0 295.335 2.743 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000177736857 618070623 /nfs/dbraw/zinc/07/06/23/618070623.db2.gz XQLBAFZQSAAPRZ-VIFPVBQESA-N 0 0 297.307 2.957 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@]12C[C@H]1CCC2 ZINC000924431497 618071689 /nfs/dbraw/zinc/07/16/89/618071689.db2.gz UEYFDNFTGWKOBJ-YMTOWFKASA-N 0 0 279.267 2.967 20 5 CFBDRN CCCCC1(NC(=O)COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000889534033 618085645 /nfs/dbraw/zinc/08/56/45/618085645.db2.gz JGHSYQXOVULGAJ-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN CO[C@@H](C)c1cccc(Nc2c([N+](=O)[O-])cnn2C)c1 ZINC000892280016 618086144 /nfs/dbraw/zinc/08/61/44/618086144.db2.gz JHDOIURPGTYULQ-VIFPVBQESA-N 0 0 276.296 2.779 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCSC(C)(C)C ZINC000892291364 618093045 /nfs/dbraw/zinc/09/30/45/618093045.db2.gz CCUPLQRUKCIYPI-UHFFFAOYSA-N 0 0 285.369 2.942 20 5 CFBDRN Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2N)c1 ZINC000050212532 618094121 /nfs/dbraw/zinc/09/41/21/618094121.db2.gz YQCHIKSBTUYZAK-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1cccc(F)c1[N+](=O)[O-] ZINC000050374766 618116441 /nfs/dbraw/zinc/11/64/41/618116441.db2.gz DROGDWFDTPPUNT-MWLCHTKSSA-N 0 0 254.261 2.713 20 5 CFBDRN O=C(/C=C/c1ccco1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000050432030 618122409 /nfs/dbraw/zinc/12/24/09/618122409.db2.gz DVTPWUXXNYQSPO-BQYQJAHWSA-N 0 0 287.271 2.987 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000891350133 618143387 /nfs/dbraw/zinc/14/33/87/618143387.db2.gz NASLWGICSASRAQ-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2ccccn2)c([N+](=O)[O-])c1 ZINC000022367980 618160422 /nfs/dbraw/zinc/16/04/22/618160422.db2.gz GKLHDVMFOWMOQI-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1c(OC(=O)[C@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000176786348 618165278 /nfs/dbraw/zinc/16/52/78/618165278.db2.gz ZALRDJKDVMTFCJ-QWRGUYRKSA-N 0 0 261.277 2.855 20 5 CFBDRN Cc1ncc(COC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000077467024 618169464 /nfs/dbraw/zinc/16/94/64/618169464.db2.gz PMCKQGLGBJBKQX-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC[C@H](C)O2)c1C ZINC000891421628 618192796 /nfs/dbraw/zinc/19/27/96/618192796.db2.gz PXFMYUQFSIBYGQ-CMPLNLGQSA-N 0 0 292.335 2.509 20 5 CFBDRN CC(=O)O[C@@H](C)Sc1ccc([N+](=O)[O-])cc1F ZINC000849892639 618199027 /nfs/dbraw/zinc/19/90/27/618199027.db2.gz QHQCDDYSXWKEOW-SSDOTTSWSA-N 0 0 259.258 2.735 20 5 CFBDRN CSC1(CNc2ncc([N+](=O)[O-])cn2)CCCCC1 ZINC000235217180 618211638 /nfs/dbraw/zinc/21/16/38/618211638.db2.gz IZKGOIOETLCWRC-UHFFFAOYSA-N 0 0 282.369 2.863 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000935253918 618217062 /nfs/dbraw/zinc/21/70/62/618217062.db2.gz YCBSDHLGFDQELQ-CYBMUJFWSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1c([N+](=O)[O-])cnn1C)CC2 ZINC000892403178 618228473 /nfs/dbraw/zinc/22/84/73/618228473.db2.gz XVVRDNGNWZEQKX-LBPRGKRZSA-N 0 0 272.308 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(C/C=C\Cl)n2)cc1 ZINC000255626248 618233836 /nfs/dbraw/zinc/23/38/36/618233836.db2.gz SLLUYEUUCXTTCO-BHQIHCQQSA-N 0 0 264.672 2.606 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000892419085 618234504 /nfs/dbraw/zinc/23/45/04/618234504.db2.gz ZHSISBSWHDXTQI-IACUBPJLSA-N 0 0 289.335 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCOCC3)c(Cl)c1 ZINC000893940717 618246954 /nfs/dbraw/zinc/24/69/54/618246954.db2.gz PJOQCURDVSVSIX-UHFFFAOYSA-N 0 0 282.727 2.865 20 5 CFBDRN COC1(CCOc2ccc([N+](=O)[O-])cc2C=O)CCC1 ZINC000312349198 618248824 /nfs/dbraw/zinc/24/88/24/618248824.db2.gz AWBQBHITMRIBEY-UHFFFAOYSA-N 0 0 279.292 2.745 20 5 CFBDRN CN(CC(C)(C)C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000726967991 618248925 /nfs/dbraw/zinc/24/89/25/618248925.db2.gz MOPRQBUPPSGXCU-UHFFFAOYSA-N 0 0 291.351 2.962 20 5 CFBDRN CCO/C=C\C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000840588379 618252033 /nfs/dbraw/zinc/25/20/33/618252033.db2.gz LNYJVXFELFDXFQ-DMEOUFDRSA-N 0 0 265.265 2.749 20 5 CFBDRN CC[C@]1(C(=O)[O-])CCC[N@H+](Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000062877697 618255397 /nfs/dbraw/zinc/25/53/97/618255397.db2.gz AHOLICADXVAHFT-HNNXBMFYSA-N 0 0 292.335 2.672 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1Nc1ccc([C@@H]2CCOC2)cc1 ZINC000893991770 618260521 /nfs/dbraw/zinc/26/05/21/618260521.db2.gz NXTGYNAXAKUTEM-LLVKDONJSA-N 0 0 288.307 2.576 20 5 CFBDRN CC(C)(CCC(=O)Nc1cncc(Cl)c1)[N+](=O)[O-] ZINC000895076378 618264009 /nfs/dbraw/zinc/26/40/09/618264009.db2.gz NZTNERHRPYCQRZ-UHFFFAOYSA-N 0 0 271.704 2.509 20 5 CFBDRN C[C@H](CNC(=O)NCCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000893298003 618274887 /nfs/dbraw/zinc/27/48/87/618274887.db2.gz RDAGPAVLSDRBMO-LLVKDONJSA-N 0 0 291.351 2.798 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C(F)F)c1C ZINC000894035768 618278305 /nfs/dbraw/zinc/27/83/05/618278305.db2.gz MBDSSLFEWDCDCE-QMMMGPOBSA-N 0 0 272.251 2.595 20 5 CFBDRN CCc1ncc(CNc2ccc(F)c([N+](=O)[O-])c2)o1 ZINC000901350689 620792597 /nfs/dbraw/zinc/79/25/97/620792597.db2.gz AOZDGOXNYIHKMX-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2COc3ccccc32)cc1[N+](=O)[O-] ZINC000178993455 618291774 /nfs/dbraw/zinc/29/17/74/618291774.db2.gz VRNUQFBFVHCYJX-CYBMUJFWSA-N 0 0 299.282 2.985 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCCC1(F)F ZINC000894060841 618299282 /nfs/dbraw/zinc/29/92/82/618299282.db2.gz ZQQUGSHCNMZPQJ-QMMMGPOBSA-N 0 0 287.266 2.846 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@@H]2CC[C@H]2C)c1F ZINC000312516608 618300330 /nfs/dbraw/zinc/30/03/30/618300330.db2.gz HRJTYUGDHQBRCU-HTRCEHHLSA-N 0 0 284.262 2.710 20 5 CFBDRN C=C/C=C\CCn1c(C)nc([N+](=O)[O-])c1Br ZINC000882111266 618317931 /nfs/dbraw/zinc/31/79/31/618317931.db2.gz ZHBCLLUBPRSFBO-PLNGDYQASA-N 0 0 286.129 2.995 20 5 CFBDRN O=C1CCN(c2cccc(Br)c2[N+](=O)[O-])CC1 ZINC000310471795 618326117 /nfs/dbraw/zinc/32/61/17/618326117.db2.gz ZIOSTDUFRQKFBO-UHFFFAOYSA-N 0 0 299.124 2.527 20 5 CFBDRN Cc1c(C(=O)O[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000103825275 618329617 /nfs/dbraw/zinc/32/96/17/618329617.db2.gz CMPOODHAQKUNEI-SECBINFHSA-N 0 0 267.306 2.566 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000103825640 618330931 /nfs/dbraw/zinc/33/09/31/618330931.db2.gz DWDBGYPSNVVGCJ-SNVBAGLBSA-N 0 0 267.306 2.566 20 5 CFBDRN CCc1ccnc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c1 ZINC000179757286 618345067 /nfs/dbraw/zinc/34/50/67/618345067.db2.gz RAHCUNSRYOZNOT-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)C/C(Cl)=C\Cl)cc1 ZINC000794500997 618353230 /nfs/dbraw/zinc/35/32/30/618353230.db2.gz AACBDGBJXGRJIY-FNORWQNLSA-N 0 0 296.131 2.688 20 5 CFBDRN C[C@H](O)[C@@H]1CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000235542014 618367626 /nfs/dbraw/zinc/36/76/26/618367626.db2.gz ZGMZQCSOBHKTPF-JGVFFNPUSA-N 0 0 288.706 2.594 20 5 CFBDRN COCC(C)(C)CC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000840592243 618370836 /nfs/dbraw/zinc/37/08/36/618370836.db2.gz SJSDMWPADLNKGK-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@H](O)c1ccccc1 ZINC000052064597 618373899 /nfs/dbraw/zinc/37/38/99/618373899.db2.gz NMWZSBIQCZNUGW-ZDUSSCGKSA-N 0 0 277.251 2.846 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1C=CCC1 ZINC000893330262 618375174 /nfs/dbraw/zinc/37/51/74/618375174.db2.gz PNXIVQWACLDOCT-NSHDSACASA-N 0 0 290.319 2.690 20 5 CFBDRN COC/C(C)=C\COc1cc(F)ccc1[N+](=O)[O-] ZINC000901381894 620799873 /nfs/dbraw/zinc/79/98/73/620799873.db2.gz SLSYGYZCDUWZSJ-UITAMQMPSA-N 0 0 255.245 2.705 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N(CC(F)F)C1CCC1 ZINC000895149630 618392860 /nfs/dbraw/zinc/39/28/60/618392860.db2.gz NIBNVHZCKCVWCW-UHFFFAOYSA-N 0 0 284.262 2.855 20 5 CFBDRN CC1(C)CCC(COC(=O)Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000178380138 618402823 /nfs/dbraw/zinc/40/28/23/618402823.db2.gz UEUYAWZZGZOSAZ-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN CC1(C)CCC(COC(=O)Cn2ccc([N+](=O)[O-])n2)CC1 ZINC000178380094 618402841 /nfs/dbraw/zinc/40/28/41/618402841.db2.gz FGILWRKGAWZOLY-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN COC/C(C)=C/COc1ccc(OC)cc1[N+](=O)[O-] ZINC000901392375 620803197 /nfs/dbraw/zinc/80/31/97/620803197.db2.gz KKGPQNFWMRHFPY-UXBLZVDNSA-N 0 0 267.281 2.575 20 5 CFBDRN COC/C(C)=C\COc1ccc(OC)cc1[N+](=O)[O-] ZINC000901392373 620803359 /nfs/dbraw/zinc/80/33/59/620803359.db2.gz KKGPQNFWMRHFPY-POHAHGRESA-N 0 0 267.281 2.575 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCCC(F)(F)F)c1F ZINC000235782187 618436191 /nfs/dbraw/zinc/43/61/91/618436191.db2.gz WUHCTHHEYHYNDT-UHFFFAOYSA-N 0 0 294.204 2.725 20 5 CFBDRN Cc1cncc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])c1 ZINC000079710769 618439764 /nfs/dbraw/zinc/43/97/64/618439764.db2.gz VYEDGQAYMGYHDK-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000311218863 618440937 /nfs/dbraw/zinc/44/09/37/618440937.db2.gz OJGDCHUIODYZOY-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1cc(CNc2ccc(F)c([N+](=O)[O-])c2)on1 ZINC000311222305 618442002 /nfs/dbraw/zinc/44/20/02/618442002.db2.gz ONMSTNLIAMJEQH-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN Cc1cccc(C2(C(=O)O[C@@H](C)C[N+](=O)[O-])CCC2)c1 ZINC000814042281 618441970 /nfs/dbraw/zinc/44/19/70/618441970.db2.gz VOEVMDAWJKAKKA-LBPRGKRZSA-N 0 0 277.320 2.625 20 5 CFBDRN COC[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000747165317 618460979 /nfs/dbraw/zinc/46/09/79/618460979.db2.gz QYLHWNKAZHGICO-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC(C)(C)C ZINC000726359781 618468136 /nfs/dbraw/zinc/46/81/36/618468136.db2.gz BQBJFVZXFXLISV-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCc1ccncc1 ZINC000726384657 618470634 /nfs/dbraw/zinc/47/06/34/618470634.db2.gz HPOFHKUKXZBGLA-ZZXKWVIFSA-N 0 0 284.271 2.746 20 5 CFBDRN CC1(Nc2nnc(-c3cccc([N+](=O)[O-])c3)o2)CCC1 ZINC000901410315 620809871 /nfs/dbraw/zinc/80/98/71/620809871.db2.gz XYNAQZNYMHMMQD-UHFFFAOYSA-N 0 0 274.280 2.999 20 5 CFBDRN O=C1OC(c2cccc([N+](=O)[O-])c2)=N/C1=C/c1ccoc1 ZINC000727291964 618486359 /nfs/dbraw/zinc/48/63/59/618486359.db2.gz ZXPXGTRLGANNLD-WUXMJOGZSA-N 0 0 284.227 2.532 20 5 CFBDRN COc1cc(SC[C@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000882738215 618492433 /nfs/dbraw/zinc/49/24/33/618492433.db2.gz NEKQLWANSSSZTQ-LURJTMIESA-N 0 0 277.729 2.730 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OCC1CCCC1 ZINC000108662549 618496230 /nfs/dbraw/zinc/49/62/30/618496230.db2.gz FCQKWOJQFWDHAX-UHFFFAOYSA-N 0 0 264.281 2.524 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000928590499 618502954 /nfs/dbraw/zinc/50/29/54/618502954.db2.gz XVZXQCOBXYYIGI-PFEDMVJOSA-N 0 0 292.335 2.538 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC[C@@H]1CCCC1(F)F ZINC000882800150 618516352 /nfs/dbraw/zinc/51/63/52/618516352.db2.gz FVPRZXOEPASALK-QMMMGPOBSA-N 0 0 274.271 2.566 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCc1nnc(C)o1 ZINC000901422453 620814037 /nfs/dbraw/zinc/81/40/37/620814037.db2.gz ACWGSJBYSBIWJC-UHFFFAOYSA-N 0 0 276.296 2.503 20 5 CFBDRN Cc1cc(C(=O)NC2(C3CC3)CCC2)cc([N+](=O)[O-])c1 ZINC000895360230 618550136 /nfs/dbraw/zinc/55/01/36/618550136.db2.gz NHVJARPIJRNINP-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(NC1(C2CC2)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000895364941 618551418 /nfs/dbraw/zinc/55/14/18/618551418.db2.gz ADHWALPHEYANCD-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC000895394095 618565655 /nfs/dbraw/zinc/56/56/55/618565655.db2.gz LAQFKGZJLTWNOF-BLLLJJGKSA-N 0 0 299.305 2.907 20 5 CFBDRN Cc1nc([C@@H]2CCN(c3cccc(C)c3[N+](=O)[O-])C2)no1 ZINC000895399991 618569525 /nfs/dbraw/zinc/56/95/25/618569525.db2.gz FNWZHHOUQFCCCZ-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN NC(=O)c1cc(NCC[C@@H]2C[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000895425030 618575877 /nfs/dbraw/zinc/57/58/77/618575877.db2.gz FESWPCPOEWOWEC-PWSUYJOCSA-N 0 0 289.335 2.542 20 5 CFBDRN Cc1c(CN2CC[C@@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000895431683 618579392 /nfs/dbraw/zinc/57/93/92/618579392.db2.gz PMVWHIUDYWHSFT-LLVKDONJSA-N 0 0 256.252 2.743 20 5 CFBDRN CN(C)c1ccnc(CNc2sccc2[N+](=O)[O-])c1 ZINC000895465154 618600293 /nfs/dbraw/zinc/60/02/93/618600293.db2.gz ISANFGJBNOKFJF-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN C[C@H](CC1CCCC1)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000177789656 618606249 /nfs/dbraw/zinc/60/62/49/618606249.db2.gz ZVZOEUIREOVTAZ-LLVKDONJSA-N 0 0 295.339 2.551 20 5 CFBDRN CCc1ccc(C(=O)OCC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000177899031 618611634 /nfs/dbraw/zinc/61/16/34/618611634.db2.gz BCCLUYDYDHZQCZ-ZDUSSCGKSA-N 0 0 293.319 2.883 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000310723093 618618234 /nfs/dbraw/zinc/61/82/34/618618234.db2.gz YUVOIQJETKWOAY-IONNQARKSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C[C@H]1C ZINC000092112847 618618689 /nfs/dbraw/zinc/61/86/89/618618689.db2.gz JKQURWMMNAQSAA-OCAPTIKFSA-N 0 0 297.742 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CCC(CO)CC1 ZINC000236476061 618624416 /nfs/dbraw/zinc/62/44/16/618624416.db2.gz DDLBORARBZKRJK-UHFFFAOYSA-N 0 0 288.706 2.596 20 5 CFBDRN CSC[C@@H](C)Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000892603471 618650413 /nfs/dbraw/zinc/65/04/13/618650413.db2.gz NCSDVNJDZPQTSJ-SSDOTTSWSA-N 0 0 271.298 2.666 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000310924300 618660286 /nfs/dbraw/zinc/66/02/86/618660286.db2.gz KGVSCRDJWNXCCN-VXNVDRBHSA-N 0 0 251.242 2.713 20 5 CFBDRN CC[C@@H](C)N(CCO)c1ccc([N+](=O)[O-])cc1Cl ZINC000310951889 618661659 /nfs/dbraw/zinc/66/16/59/618661659.db2.gz RGZVTWCEAOHTNB-SECBINFHSA-N 0 0 272.732 2.845 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC1(c2cccc(F)c2)CC1 ZINC000892633366 618665039 /nfs/dbraw/zinc/66/50/39/618665039.db2.gz RRFMEYVYQSZQCM-UHFFFAOYSA-N 0 0 290.298 2.611 20 5 CFBDRN COC(C)(C)C(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892654289 618676601 /nfs/dbraw/zinc/67/66/01/618676601.db2.gz WSYZCGLPOBSQCF-UHFFFAOYSA-N 0 0 289.291 2.507 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc(F)c([N+](=O)[O-])c2)CCO1 ZINC000783192708 618688548 /nfs/dbraw/zinc/68/85/48/618688548.db2.gz FIOIDQIMBSZYKP-NXEZZACHSA-N 0 0 268.288 2.961 20 5 CFBDRN O=C(Cc1ccc(F)cc1)Oc1cccc([N+](=O)[O-])c1 ZINC000072508491 618700387 /nfs/dbraw/zinc/70/03/87/618700387.db2.gz AXJWENFFSAACOS-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN C[C@@H](O)c1cccc(NCCc2ccccc2[N+](=O)[O-])n1 ZINC000892696684 618716187 /nfs/dbraw/zinc/71/61/87/618716187.db2.gz OTGNYLRTZOHWRN-LLVKDONJSA-N 0 0 287.319 2.698 20 5 CFBDRN Cn1ccc(COc2cc(F)c([N+](=O)[O-])cc2Cl)n1 ZINC000311610481 618718119 /nfs/dbraw/zinc/71/81/19/618718119.db2.gz QFELYMDVRSMGKY-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N(C)C(C)C)c1F ZINC000311648101 618718768 /nfs/dbraw/zinc/71/87/68/618718768.db2.gz CMQJIORIYDDDMF-UHFFFAOYSA-N 0 0 272.251 2.662 20 5 CFBDRN Cc1cnc(OCc2cccc([N+](=O)[O-])c2)c([N+](=O)[O-])c1 ZINC000178284071 618733523 /nfs/dbraw/zinc/73/35/23/618733523.db2.gz GWRZXCGKXKYMJR-UHFFFAOYSA-N 0 0 289.247 2.785 20 5 CFBDRN C[C@H](CO)Sc1c2cc(F)ccc2ncc1[N+](=O)[O-] ZINC000892740636 618738117 /nfs/dbraw/zinc/73/81/17/618738117.db2.gz OOKGRGUKLROXFZ-SSDOTTSWSA-N 0 0 282.296 2.755 20 5 CFBDRN CCOc1cc(NC(=O)CC[C@H](C)OC)ccc1[N+](=O)[O-] ZINC000892744861 618738865 /nfs/dbraw/zinc/73/88/65/618738865.db2.gz PAXQTMPMUIRQDN-JTQLQIEISA-N 0 0 296.323 2.747 20 5 CFBDRN CCOc1cc(NC(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000892749826 618739664 /nfs/dbraw/zinc/73/96/64/618739664.db2.gz MYKNRLIJHHCWEQ-UHFFFAOYSA-N 0 0 266.297 2.978 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000066767596 618744453 /nfs/dbraw/zinc/74/44/53/618744453.db2.gz XJXGDKVRRAMDAD-VIFPVBQESA-N 0 0 269.322 2.637 20 5 CFBDRN O=C(c1ccccn1)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892861246 618758929 /nfs/dbraw/zinc/75/89/29/618758929.db2.gz RAYURLRWYIODDN-UHFFFAOYSA-N 0 0 297.314 2.973 20 5 CFBDRN C[C@H]1[C@H](c2ccccc2)CCN1c1c([N+](=O)[O-])cnn1C ZINC000892861297 618759030 /nfs/dbraw/zinc/75/90/30/618759030.db2.gz UEZTYPXSSDHXHU-WCQYABFASA-N 0 0 286.335 2.711 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1N[C@H]1CCC(F)(F)C1 ZINC000892938214 618773941 /nfs/dbraw/zinc/77/39/41/618773941.db2.gz KZMSYKNWTLVLPV-LURJTMIESA-N 0 0 284.222 2.731 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1CCC(F)(F)C1 ZINC000892938675 618774149 /nfs/dbraw/zinc/77/41/49/618774149.db2.gz QUVLDWQOGHDUHS-ZETCQYMHSA-N 0 0 273.239 2.598 20 5 CFBDRN Cc1cccc(NCC(=O)NCc2ccccc2)c1[N+](=O)[O-] ZINC000309792384 618775006 /nfs/dbraw/zinc/77/50/06/618775006.db2.gz DSCOZLWIZDFRBT-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CC=C(C(C)(C)C)CC1 ZINC000893004392 618798755 /nfs/dbraw/zinc/79/87/55/618798755.db2.gz TYEJCEGNEQZDOP-UHFFFAOYSA-N 0 0 264.329 2.511 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000070286622 625293904 /nfs/dbraw/zinc/29/39/04/625293904.db2.gz YUSHPQOBRFNQGY-JTQLQIEISA-N 0 0 279.340 2.732 20 5 CFBDRN C[C@H](O)c1cccc(NCCc2cccc([N+](=O)[O-])c2)n1 ZINC000893014428 618802522 /nfs/dbraw/zinc/80/25/22/618802522.db2.gz XCGPEQNZARSTSO-NSHDSACASA-N 0 0 287.319 2.698 20 5 CFBDRN CN(Cc1ccc(Cl)c(F)c1)c1c([N+](=O)[O-])cnn1C ZINC000893037296 618811602 /nfs/dbraw/zinc/81/16/02/618811602.db2.gz JKJIJDHEQUPOFT-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC[C@@H]1CCc2ccccc21 ZINC000893075533 618823586 /nfs/dbraw/zinc/82/35/86/618823586.db2.gz BUKQEMGQHYVTGL-AAEUAGOBSA-N 0 0 277.320 2.705 20 5 CFBDRN O=[N+]([O-])c1cnc2c(Cl)cccc2c1N1CCCO1 ZINC000893120539 618838586 /nfs/dbraw/zinc/83/85/86/618838586.db2.gz HWEAAAOCUCIIMC-UHFFFAOYSA-N 0 0 279.683 2.938 20 5 CFBDRN CC(C)(CC(=O)OCC(C)(C)[N+](=O)[O-])CC(F)(F)F ZINC000893158099 618851619 /nfs/dbraw/zinc/85/16/19/618851619.db2.gz QVSAFXAIQAVXSW-UHFFFAOYSA-N 0 0 285.262 2.954 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC2(O)CCCCC2)c1 ZINC000893165815 618857163 /nfs/dbraw/zinc/85/71/63/618857163.db2.gz XWUWADKUAKFGQO-UHFFFAOYSA-N 0 0 293.319 2.755 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC1(O)CCCCC1 ZINC000893167144 618858732 /nfs/dbraw/zinc/85/87/32/618858732.db2.gz YDNBGRUJWXUHCF-UHFFFAOYSA-N 0 0 293.319 2.755 20 5 CFBDRN Cc1ccc(CNC(=O)C2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000893176842 618866661 /nfs/dbraw/zinc/86/66/61/618866661.db2.gz TWVPPEXWDKHWSP-UHFFFAOYSA-N 0 0 274.320 2.710 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCC[C@@H]1CCCCO1 ZINC000893184158 618870960 /nfs/dbraw/zinc/87/09/60/618870960.db2.gz VWFXSOYAULNBFV-LBPRGKRZSA-N 0 0 295.339 2.760 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(CO)cc1 ZINC000070331114 625302273 /nfs/dbraw/zinc/30/22/73/625302273.db2.gz XUNICIOARBSOES-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN CCO/C=C\C(=O)OCc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000840586291 618917094 /nfs/dbraw/zinc/91/70/94/618917094.db2.gz CCXQKMICEMAJOV-FPLPWBNLSA-N 0 0 295.291 2.587 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000313237570 618977536 /nfs/dbraw/zinc/97/75/36/618977536.db2.gz UTFORDHTRDNCSY-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000070385093 625309256 /nfs/dbraw/zinc/30/92/56/625309256.db2.gz VDOWQVGYSDAZOA-RKDXNWHRSA-N 0 0 298.339 2.700 20 5 CFBDRN C/C(=C/C(=O)N1CC[C@@H](CF)C1)c1ccc([N+](=O)[O-])cc1 ZINC000893494078 619019393 /nfs/dbraw/zinc/01/93/93/619019393.db2.gz NOSLMANTBYVXJM-KGTBHZDVSA-N 0 0 292.310 2.816 20 5 CFBDRN Cc1c(Nc2c([N+](=O)[O-])cnn2C)cnn1C1CCCC1 ZINC000893522185 619034061 /nfs/dbraw/zinc/03/40/61/619034061.db2.gz NETPVBAVJRKTLY-UHFFFAOYSA-N 0 0 290.327 2.692 20 5 CFBDRN CC[C@H](C(=O)[O-])[N@H+](C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000093633520 619041808 /nfs/dbraw/zinc/04/18/08/619041808.db2.gz MMEFNNCNDAZRIB-SNVBAGLBSA-N 0 0 286.715 2.543 20 5 CFBDRN Cn1nccc1CCOc1cc([N+](=O)[O-])ccc1Cl ZINC000230876086 619072799 /nfs/dbraw/zinc/07/27/99/619072799.db2.gz WEQJNPNDUALLBX-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN CO[C@H](COc1cc(C=O)ccc1[N+](=O)[O-])CC(C)C ZINC000841668976 619097118 /nfs/dbraw/zinc/09/71/18/619097118.db2.gz RUJRFFVFPJGYLO-LBPRGKRZSA-N 0 0 281.308 2.847 20 5 CFBDRN CCn1ccc(CNCc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000840956051 619139660 /nfs/dbraw/zinc/13/96/60/619139660.db2.gz IEVYKZZWLPWJHZ-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCCOC1CC1 ZINC000893672710 619153015 /nfs/dbraw/zinc/15/30/15/619153015.db2.gz UZZHGXWJLHFPBI-UHFFFAOYSA-N 0 0 279.292 2.547 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)c1nccs1 ZINC000893717508 619200553 /nfs/dbraw/zinc/20/05/53/619200553.db2.gz SBROONUGJJTJIX-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000925898069 619210073 /nfs/dbraw/zinc/21/00/73/619210073.db2.gz GGXRQOONJNULTH-UHFFFAOYSA-N 0 0 284.337 2.551 20 5 CFBDRN CC1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCOCC1 ZINC000726754612 619260246 /nfs/dbraw/zinc/26/02/46/619260246.db2.gz TVWQHKQRBIORIJ-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN O=[N+]([O-])CCN1Cc2ccccc2-c2ccccc2C1 ZINC000791051626 619271909 /nfs/dbraw/zinc/27/19/09/619271909.db2.gz HAKHQWBYWFKCGU-UHFFFAOYSA-N 0 0 268.316 2.946 20 5 CFBDRN Cc1ccc(N(C(=O)[C@@H]2CC2[N+](=O)[O-])C2CCC2)cc1 ZINC000894230678 619272551 /nfs/dbraw/zinc/27/25/51/619272551.db2.gz KVURKHZPAAYHRJ-ZIAGYGMSSA-N 0 0 274.320 2.546 20 5 CFBDRN Cc1ccc2ccccc2c1COC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840671236 619303961 /nfs/dbraw/zinc/30/39/61/619303961.db2.gz RFRSGXWRAJUZCU-UKRRQHHQSA-N 0 0 285.299 2.857 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000048346618 619326580 /nfs/dbraw/zinc/32/65/80/619326580.db2.gz WMZJWABAXZBEMH-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCO[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000928248267 619328202 /nfs/dbraw/zinc/32/82/02/619328202.db2.gz IPYCSPHTFHBERO-WZRBSPASSA-N 0 0 292.335 2.587 20 5 CFBDRN COCCC(C)(C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928249264 619328596 /nfs/dbraw/zinc/32/85/96/619328596.db2.gz QSKUAWKGPRSRET-LLVKDONJSA-N 0 0 294.351 2.835 20 5 CFBDRN Cc1nc(C)c(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000928249602 619328615 /nfs/dbraw/zinc/32/86/15/619328615.db2.gz SJBCBLHBGFAPFB-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN CC(C)c1cncc(NC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000888244373 619355543 /nfs/dbraw/zinc/35/55/43/619355543.db2.gz XUWPPRKSPBVECU-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CCc1cccc(CNc2c([N+](=O)[O-])cnn2C)c1 ZINC000894402897 619370516 /nfs/dbraw/zinc/37/05/16/619370516.db2.gz NJBFCYILFMCSKC-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN CC1(C)CO[C@@H](CCNC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000894506572 619407278 /nfs/dbraw/zinc/40/72/78/619407278.db2.gz VVAGBMSLAKXHRM-NSHDSACASA-N 0 0 292.335 2.530 20 5 CFBDRN COc1c(O)ccc(CN2CCC[C@@](C)(F)C2)c1[N+](=O)[O-] ZINC000894658032 619434662 /nfs/dbraw/zinc/43/46/62/619434662.db2.gz DOBXRUMNPNOYBG-CQSZACIVSA-N 0 0 298.314 2.633 20 5 CFBDRN Cc1nnc([C@H](C)NCc2cc(Cl)ccc2[N+](=O)[O-])[nH]1 ZINC000894773005 619460219 /nfs/dbraw/zinc/46/02/19/619460219.db2.gz UCHOPMPSWVRRTG-ZETCQYMHSA-N 0 0 295.730 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2Cc3c[nH]nc3[C@@H](C)C2)c1 ZINC000894897779 619503235 /nfs/dbraw/zinc/50/32/35/619503235.db2.gz XVDLZRJAVWWBHG-JTQLQIEISA-N 0 0 272.308 2.750 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000881480983 619507455 /nfs/dbraw/zinc/50/74/55/619507455.db2.gz BQLBWFIZHUCIEC-QMMMGPOBSA-N 0 0 268.700 2.791 20 5 CFBDRN CC(C)OC1CN(c2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000894972073 619519391 /nfs/dbraw/zinc/51/93/91/619519391.db2.gz SFZYCMHJIBNQHZ-UHFFFAOYSA-N 0 0 287.319 2.757 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1cc([N+](=O)[O-])ccc1F ZINC000889603914 619527838 /nfs/dbraw/zinc/52/78/38/619527838.db2.gz AQERHFBGXMWJSE-DFAYQTQMSA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)(C)OC[C@H]1CCCN1c1ccc(N)cc1[N+](=O)[O-] ZINC000895656721 619576748 /nfs/dbraw/zinc/57/67/48/619576748.db2.gz OLLSOLCXWAFUGF-GFCCVEGCSA-N 0 0 293.367 2.961 20 5 CFBDRN Cn1nccc1[C@H]1CCCN1c1sccc1[N+](=O)[O-] ZINC000895720529 619590539 /nfs/dbraw/zinc/59/05/39/619590539.db2.gz XVFPGRUAFZLAJP-SNVBAGLBSA-N 0 0 278.337 2.731 20 5 CFBDRN Cc1cc(CO)cc(C)c1CNc1ccc([N+](=O)[O-])cn1 ZINC000895737855 619597779 /nfs/dbraw/zinc/59/77/79/619597779.db2.gz FOFJFKVHZZZRMW-UHFFFAOYSA-N 0 0 287.319 2.711 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])c3ccccc32)CCO1 ZINC000895765764 619598317 /nfs/dbraw/zinc/59/83/17/619598317.db2.gz RTPUZOSBTCSKDD-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000895754606 619599624 /nfs/dbraw/zinc/59/96/24/619599624.db2.gz PBMRPTOLPAKWBS-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000895758100 619600664 /nfs/dbraw/zinc/60/06/64/619600664.db2.gz BXARSPZKTQOUMJ-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@@H]1CCN(c2nccc3c2cccc3[N+](=O)[O-])CCO1 ZINC000895761975 619601885 /nfs/dbraw/zinc/60/18/85/619601885.db2.gz JRPBMFLAYPPIRG-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H]1CCN(c2nccc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000895762280 619601901 /nfs/dbraw/zinc/60/19/01/619601901.db2.gz LSMWQDPYIQAPQE-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN COC(C)(C)[C@@H](C)Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000895786403 619606495 /nfs/dbraw/zinc/60/64/95/619606495.db2.gz RKBJAPAOPXYQPP-SECBINFHSA-N 0 0 290.323 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](c2ncccn2)C2CC2)cc1 ZINC000895780752 619608838 /nfs/dbraw/zinc/60/88/38/619608838.db2.gz RZALZXDLCLROIW-CYBMUJFWSA-N 0 0 270.292 2.948 20 5 CFBDRN CC(C)O[C@]1(CNc2ccc([N+](=O)[O-])s2)CCOC1 ZINC000895870392 619624704 /nfs/dbraw/zinc/62/47/04/619624704.db2.gz YJJVVKCEBMBZIZ-LBPRGKRZSA-N 0 0 286.353 2.652 20 5 CFBDRN CC(C)O[C@@]1(CNc2ccc([N+](=O)[O-])cc2F)CCOC1 ZINC000895865813 619625932 /nfs/dbraw/zinc/62/59/32/619625932.db2.gz HUVHVAVNQHPPGU-CQSZACIVSA-N 0 0 298.314 2.730 20 5 CFBDRN CNC(=O)c1ccc(NC(C)(C)/C=C\Cl)c([N+](=O)[O-])c1 ZINC000895916309 619634055 /nfs/dbraw/zinc/63/40/55/619634055.db2.gz ZCVWUGWCNYZDDL-SREVYHEPSA-N 0 0 297.742 2.897 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@H]2c2ncccn2)s1 ZINC000895975829 619644815 /nfs/dbraw/zinc/64/48/15/619644815.db2.gz HFSIFCZKTGLMEJ-VIFPVBQESA-N 0 0 291.336 2.573 20 5 CFBDRN Cc1nc(N2CCCC[C@@H]2c2ncccn2)ccc1[N+](=O)[O-] ZINC000895975403 619647808 /nfs/dbraw/zinc/64/78/08/619647808.db2.gz WLRLDVUDXVTTFV-CYBMUJFWSA-N 0 0 299.334 2.820 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCOC(C)(C)C)c1C ZINC000895980459 619648330 /nfs/dbraw/zinc/64/83/30/619648330.db2.gz ISIMATYRFQHBAG-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1nc2c(s1)CCC2 ZINC000896068199 619665464 /nfs/dbraw/zinc/66/54/64/619665464.db2.gz ILWWKLHUGSYIOR-UHFFFAOYSA-N 0 0 290.348 2.590 20 5 CFBDRN COc1cc(NC[C@@H]2C=C[C@H]3C[C@@H]2CO3)ccc1[N+](=O)[O-] ZINC000896077543 619666990 /nfs/dbraw/zinc/66/69/90/619666990.db2.gz LODOLWSHNFIXSY-LOWVWBTDSA-N 0 0 290.319 2.606 20 5 CFBDRN CCC[C@@H](O)CCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000896186084 619690260 /nfs/dbraw/zinc/69/02/60/619690260.db2.gz FIRXNDWBHDCTOK-SECBINFHSA-N 0 0 273.720 2.606 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCS[C@H](C)C1 ZINC000896246590 619700647 /nfs/dbraw/zinc/70/06/47/619700647.db2.gz QAFJAVAWPTXFAU-NXEZZACHSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])CCS1 ZINC000896248797 619704584 /nfs/dbraw/zinc/70/45/84/619704584.db2.gz YGALCBZYYDZMDZ-RKDXNWHRSA-N 0 0 253.327 2.686 20 5 CFBDRN CCOC(=O)[C@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000896264615 619706760 /nfs/dbraw/zinc/70/67/60/619706760.db2.gz HNNFXJFIWFUFOQ-VIFPVBQESA-N 0 0 298.726 2.638 20 5 CFBDRN CC1(C)O[C@@H]2C[C@@H](Nc3ccc([N+](=O)[O-])cc3F)C[C@@H]2O1 ZINC000896348716 619722379 /nfs/dbraw/zinc/72/23/79/619722379.db2.gz YPZUUBIWFUYZMX-IXLQSRGQSA-N 0 0 296.298 2.828 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000896406891 619730851 /nfs/dbraw/zinc/73/08/51/619730851.db2.gz LGVOCUHYUDYRPY-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCCc3cccnc32)c1[N+](=O)[O-] ZINC000896413262 619732210 /nfs/dbraw/zinc/73/22/10/619732210.db2.gz NVDXUTRTXUCHOA-LLVKDONJSA-N 0 0 287.323 2.521 20 5 CFBDRN CC(C)[C@@H](Nc1ccnc(F)c1[N+](=O)[O-])c1cnn(C)c1 ZINC000896422541 619734608 /nfs/dbraw/zinc/73/46/08/619734608.db2.gz KNBRSRFRAUZWTA-LLVKDONJSA-N 0 0 293.302 2.672 20 5 CFBDRN CC(C)[C@H](Nc1ncccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000896425136 619735132 /nfs/dbraw/zinc/73/51/32/619735132.db2.gz AZZIOAMUEUZEBK-LBPRGKRZSA-N 0 0 275.312 2.533 20 5 CFBDRN Cn1nc(CNc2sccc2[N+](=O)[O-])cc1C1CC1 ZINC000896528310 619753652 /nfs/dbraw/zinc/75/36/52/619753652.db2.gz OEFIGVQJDYTWBX-UHFFFAOYSA-N 0 0 278.337 2.879 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nc2c(s1)COCC2 ZINC000896545030 619760452 /nfs/dbraw/zinc/76/04/52/619760452.db2.gz DOERTUSOTTWXME-UHFFFAOYSA-N 0 0 291.332 2.736 20 5 CFBDRN CCn1cnc2c1CCN(c1cccc(F)c1[N+](=O)[O-])C2 ZINC000896659490 619782148 /nfs/dbraw/zinc/78/21/48/619782148.db2.gz JLXPXRPXYBSGMD-UHFFFAOYSA-N 0 0 290.298 2.513 20 5 CFBDRN CCn1cnc2c1CCN(c1cc(C)sc1[N+](=O)[O-])C2 ZINC000896654905 619783920 /nfs/dbraw/zinc/78/39/20/619783920.db2.gz QGWLKGZQKBHXRJ-UHFFFAOYSA-N 0 0 292.364 2.744 20 5 CFBDRN CCc1onc(C)c1CNc1c([N+](=O)[O-])c(C)nn1CC ZINC000896663640 619786675 /nfs/dbraw/zinc/78/66/75/619786675.db2.gz CDMVYVTUCGYVDE-UHFFFAOYSA-N 0 0 293.327 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(C2CSC2)no1 ZINC000896716279 619797289 /nfs/dbraw/zinc/79/72/89/619797289.db2.gz ZHEDHDVFBSPCRR-UHFFFAOYSA-N 0 0 277.305 2.784 20 5 CFBDRN Cc1cc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000896792947 619820056 /nfs/dbraw/zinc/82/00/56/619820056.db2.gz BJSCLQXDMMYDAA-SNVBAGLBSA-N 0 0 272.308 2.909 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2CC[C@@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000896802103 619822320 /nfs/dbraw/zinc/82/23/20/619822320.db2.gz AMHFIIWMVPRWLH-CABZTGNLSA-N 0 0 295.314 2.686 20 5 CFBDRN Nc1c(F)c(NC[C@H]2CC[C@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000896802102 619822451 /nfs/dbraw/zinc/82/24/51/619822451.db2.gz AMHFIIWMVPRWLH-BXKDBHETSA-N 0 0 295.314 2.686 20 5 CFBDRN Nc1ccc(NC[C@H]2CC[C@@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000896801305 619822594 /nfs/dbraw/zinc/82/25/94/619822594.db2.gz MKISWYQPZZIYNI-RISCZKNCSA-N 0 0 277.324 2.547 20 5 CFBDRN O=[N+]([O-])c1sccc1NCC1(C2(O)CCC2)CC1 ZINC000896808105 619822903 /nfs/dbraw/zinc/82/29/03/619822903.db2.gz QJMAJBLWIUYBKY-UHFFFAOYSA-N 0 0 268.338 2.763 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H]2CCCC[C@H]2O)c(Cl)c1 ZINC000896812192 619826418 /nfs/dbraw/zinc/82/64/18/619826418.db2.gz IAMJAARCFGOHRI-BXKDBHETSA-N 0 0 299.758 2.996 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000795990525 619848925 /nfs/dbraw/zinc/84/89/25/619848925.db2.gz HSRNRCVWIFZZIB-GWCFXTLKSA-N 0 0 281.308 2.699 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000795990528 619848929 /nfs/dbraw/zinc/84/89/29/619848929.db2.gz HSRNRCVWIFZZIB-MFKMUULPSA-N 0 0 281.308 2.699 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000796010484 619855008 /nfs/dbraw/zinc/85/50/08/619855008.db2.gz ULDLNYUTCMXYBM-TVQRCGJNSA-N 0 0 299.298 2.838 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000796010482 619855380 /nfs/dbraw/zinc/85/53/80/619855380.db2.gz ULDLNYUTCMXYBM-NOZJJQNGSA-N 0 0 299.298 2.838 20 5 CFBDRN CC[C@@H](C)Cc1noc(C(C)(C)n2cc([N+](=O)[O-])cn2)n1 ZINC000896949533 619903042 /nfs/dbraw/zinc/90/30/42/619903042.db2.gz RZJBIGNHUFCGJI-SECBINFHSA-N 0 0 293.327 2.546 20 5 CFBDRN CC(C)(C)OC(=O)NCCSc1ccc([N+](=O)[O-])nc1 ZINC000896956298 619904629 /nfs/dbraw/zinc/90/46/29/619904629.db2.gz TUQKKEJEUFILAR-UHFFFAOYSA-N 0 0 299.352 2.607 20 5 CFBDRN Cc1cccc(NCc2cnn(CCF)c2)c1[N+](=O)[O-] ZINC000896993582 619909891 /nfs/dbraw/zinc/90/98/91/619909891.db2.gz TWBDVBJVKXRSMZ-UHFFFAOYSA-N 0 0 278.287 2.681 20 5 CFBDRN C[C@@H](CNC(=O)N(C)CCCF)c1ccccc1[N+](=O)[O-] ZINC000897049149 619919714 /nfs/dbraw/zinc/91/97/14/619919714.db2.gz BEXMJFVZSAFPEW-NSHDSACASA-N 0 0 297.330 2.699 20 5 CFBDRN C[C@H](CNC(=O)N[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000897054477 619921281 /nfs/dbraw/zinc/92/12/81/619921281.db2.gz XIVYPTHKPQNAJF-ZWNOBZJWSA-N 0 0 289.335 2.550 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000897075338 619923318 /nfs/dbraw/zinc/92/33/18/619923318.db2.gz LDWIWLXZEVRXSR-IAUWMGRQSA-N 0 0 280.711 2.836 20 5 CFBDRN C[C@@H](CNC(=O)N1[C@H](C)C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897063717 619923681 /nfs/dbraw/zinc/92/36/81/619923681.db2.gz PWUUZRCLTYYSRC-SDDRHHMPSA-N 0 0 291.351 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3CC[C@@]32c2cccnc2)nc1 ZINC000897070299 619925199 /nfs/dbraw/zinc/92/51/99/619925199.db2.gz CYBHFYYVGJVMQY-LRDDRELGSA-N 0 0 296.330 2.900 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c2ccccc21 ZINC000897071618 619925703 /nfs/dbraw/zinc/92/57/03/619925703.db2.gz JAJVHQQFSRCFDJ-MIBAYGRRSA-N 0 0 297.314 2.731 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)ccc2ncccc21 ZINC000897076420 619928462 /nfs/dbraw/zinc/92/84/62/619928462.db2.gz ZDFKBMCVYVXFDA-UOCYRSESSA-N 0 0 297.314 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CC34CC(O)C4)sc2c1 ZINC000897093100 619929141 /nfs/dbraw/zinc/92/91/41/619929141.db2.gz JXNQQPQIIAXVEP-UHLWVNKISA-N 0 0 291.332 2.530 20 5 CFBDRN CCC(=O)c1ccc(N(C)[C@@H]2CC[C@@H]2OC)c([N+](=O)[O-])c1 ZINC000897144838 619941022 /nfs/dbraw/zinc/94/10/22/619941022.db2.gz NNZMNPSWZYBTFD-DOMZBBRYSA-N 0 0 292.335 2.801 20 5 CFBDRN CCOc1cc(N(C)[C@@H]2CC[C@@H]2OC)ccc1[N+](=O)[O-] ZINC000897147158 619941148 /nfs/dbraw/zinc/94/11/48/619941148.db2.gz HFPULCKDBSGRLD-YPMHNXCESA-N 0 0 280.324 2.607 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000897147193 619941203 /nfs/dbraw/zinc/94/12/03/619941203.db2.gz HVOXETVEHYBRPM-QWHCGFSZSA-N 0 0 250.298 2.517 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H]3CCC[C@@H]23)c(F)c1 ZINC000897176361 619944561 /nfs/dbraw/zinc/94/45/61/619944561.db2.gz UAAUUXFHOAVLBC-JVUFJMBOSA-N 0 0 251.261 2.729 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897177007 619944869 /nfs/dbraw/zinc/94/48/69/619944869.db2.gz IMHKZVXGZHFXJL-NQMVMOMDSA-N 0 0 251.261 2.729 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC1CC(CCO)C1 ZINC000897233603 619955164 /nfs/dbraw/zinc/95/51/64/619955164.db2.gz XCSOPGWRNHQXCJ-UHFFFAOYSA-N 0 0 270.716 2.821 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC[C@H]1CCC(F)(F)C1 ZINC000897236736 619956648 /nfs/dbraw/zinc/95/66/48/619956648.db2.gz SDAFPACCOPGDCP-MRVPVSSYSA-N 0 0 274.271 2.566 20 5 CFBDRN COc1nn(C)cc1CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000897242545 619958095 /nfs/dbraw/zinc/95/80/95/619958095.db2.gz BGICCRZCRFWJIU-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@]23C[C@H]2COC32CCC2)c1 ZINC000897264775 619961892 /nfs/dbraw/zinc/96/18/92/619961892.db2.gz LMMHNMJSCQRKRK-IINYFYTJSA-N 0 0 289.335 2.548 20 5 CFBDRN COCCCCOC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909591170 619966880 /nfs/dbraw/zinc/96/68/80/619966880.db2.gz JRMNFBLZAUSKSE-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OCCCF ZINC000909592906 619967293 /nfs/dbraw/zinc/96/72/93/619967293.db2.gz HEYJALPHWTYOHS-UHFFFAOYSA-N 0 0 273.285 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC23CCCC3)nc1 ZINC000897322502 619991266 /nfs/dbraw/zinc/99/12/66/619991266.db2.gz HXKPTYMCRXDDPB-NSHDSACASA-N 0 0 263.297 2.503 20 5 CFBDRN Cc1cnc(N[C@H]2CCOC23CCCC3)c([N+](=O)[O-])c1 ZINC000897322638 619992156 /nfs/dbraw/zinc/99/21/56/619992156.db2.gz LJKKEGHOGVEWKT-LBPRGKRZSA-N 0 0 277.324 2.812 20 5 CFBDRN Cc1cc(CSc2ncc(C)cc2[N+](=O)[O-])no1 ZINC000897334141 619998772 /nfs/dbraw/zinc/99/87/72/619998772.db2.gz KTBYWRMQYYQKCT-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN COC(=O)/C(C)=C/COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000088629643 620001245 /nfs/dbraw/zinc/00/12/45/620001245.db2.gz GOVKOXBCOSJZFO-VMPITWQZSA-N 0 0 285.683 2.746 20 5 CFBDRN Cc1ccnc(N2CC(Cc3ccoc3)C2)c1[N+](=O)[O-] ZINC000897350739 620013784 /nfs/dbraw/zinc/01/37/84/620013784.db2.gz KFEPTGKLSIRJMM-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN C/C(=C/Cl)CSCCn1cc([N+](=O)[O-])ccc1=O ZINC000797810254 620022493 /nfs/dbraw/zinc/02/24/93/620022493.db2.gz MEHUHQXHZKIBHV-TWGQIWQCSA-N 0 0 288.756 2.632 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]2C1 ZINC000148405244 620058420 /nfs/dbraw/zinc/05/84/20/620058420.db2.gz LMIIIGDOFPROCT-AOOOYVTPSA-N 0 0 278.283 2.606 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000928934527 620075451 /nfs/dbraw/zinc/07/54/51/620075451.db2.gz LNXOIJVCAILQBE-ONEGZZNKSA-N 0 0 286.690 2.995 20 5 CFBDRN Nc1ccc(C(=O)Nc2ccc3c(c2)CCC3)cc1[N+](=O)[O-] ZINC000007802132 620078774 /nfs/dbraw/zinc/07/87/74/620078774.db2.gz CUBXBWLMSGTGRS-UHFFFAOYSA-N 0 0 297.314 2.918 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000928935847 620083696 /nfs/dbraw/zinc/08/36/96/620083696.db2.gz FYMYVBCRTHQOKL-SREVYHEPSA-N 0 0 298.726 2.621 20 5 CFBDRN COC/C=C\c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000866942032 620089146 /nfs/dbraw/zinc/08/91/46/620089146.db2.gz NXCRUXHUILVWLV-DAXSKMNVSA-N 0 0 289.291 2.537 20 5 CFBDRN Cc1c(C(=O)OCC(C)(F)F)cccc1[N+](=O)[O-] ZINC000861229843 620104225 /nfs/dbraw/zinc/10/42/25/620104225.db2.gz KCPUZPNKGHXQJJ-UHFFFAOYSA-N 0 0 259.208 2.715 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)ccc1[N+](=O)[O-] ZINC000889577879 620126744 /nfs/dbraw/zinc/12/67/44/620126744.db2.gz DPPNEEZDPXRSFZ-WCFLWFBJSA-N 0 0 274.320 2.822 20 5 CFBDRN CC(C)(C)C1=N/C(=C\c2csc([N+](=O)[O-])c2)C(=O)O1 ZINC000054425079 620134815 /nfs/dbraw/zinc/13/48/15/620134815.db2.gz RAOJVTSZQZINFG-YWEYNIOJSA-N 0 0 280.305 2.999 20 5 CFBDRN CCc1noc(COc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000109793098 620153179 /nfs/dbraw/zinc/15/31/79/620153179.db2.gz HQKAOUPKAJHMFB-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CC(F)(F)F)c1C ZINC000888159574 620155656 /nfs/dbraw/zinc/15/56/56/620155656.db2.gz PPJSWPFBMCTORD-UHFFFAOYSA-N 0 0 290.241 2.846 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1COC[C@@H]1C1CC1 ZINC000897452189 620174225 /nfs/dbraw/zinc/17/42/25/620174225.db2.gz UCGHIKSMKXXASG-TZMCWYRMSA-N 0 0 299.330 2.980 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1COC[C@H]1C1CC1 ZINC000897446233 620175190 /nfs/dbraw/zinc/17/51/90/620175190.db2.gz SGKAFUAFLGUVRS-JOYOIKCWSA-N 0 0 266.272 2.571 20 5 CFBDRN Cc1cn[nH]c1C[NH2+]C[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000897463758 620177103 /nfs/dbraw/zinc/17/71/03/620177103.db2.gz ILQPNDQXDQIDJM-JTQLQIEISA-N 0 0 274.324 2.520 20 5 CFBDRN COC1(CCOc2ccc(N)c([N+](=O)[O-])c2)CCC1 ZINC000842026051 620189582 /nfs/dbraw/zinc/18/95/82/620189582.db2.gz DSTDDSPUISBGAC-UHFFFAOYSA-N 0 0 266.297 2.515 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1ccc([N+](=O)[O-])cc1)C2 ZINC000897498474 620191515 /nfs/dbraw/zinc/19/15/15/620191515.db2.gz RANFKZXQOUJIHK-MFKMUULPSA-N 0 0 264.350 2.784 20 5 CFBDRN O=[N+]([O-])c1cccc(NCCOC2CCSCC2)c1 ZINC000897515685 620200975 /nfs/dbraw/zinc/20/09/75/620200975.db2.gz YJFPIXVDEGCZSB-UHFFFAOYSA-N 0 0 282.365 2.919 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H](C)C(F)F)c1[N+](=O)[O-] ZINC000786514070 620250089 /nfs/dbraw/zinc/25/00/89/620250089.db2.gz KRMJRTUDTFICKW-ZETCQYMHSA-N 0 0 259.208 2.714 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccnc(F)c1 ZINC000041039298 620427111 /nfs/dbraw/zinc/42/71/11/620427111.db2.gz WWBYJDHKKVVXEN-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)N1CCC[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000923892063 620445446 /nfs/dbraw/zinc/44/54/46/620445446.db2.gz MDMJIJCCFDNZNR-LLVKDONJSA-N 0 0 298.364 2.572 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)NC/C=C/Cl ZINC000899044624 620516354 /nfs/dbraw/zinc/51/63/54/620516354.db2.gz ZSVWMCANCYFZNP-XBXARRHUSA-N 0 0 297.742 2.531 20 5 CFBDRN CCCCc1nc(-c2cccc(OCC[N+](=O)[O-])c2)no1 ZINC000899231270 620533694 /nfs/dbraw/zinc/53/36/94/620533694.db2.gz CEDFWXCUYNPUTQ-UHFFFAOYSA-N 0 0 291.307 2.735 20 5 CFBDRN O=[N+]([O-])CCOc1ccc(Br)cc1Cl ZINC000899232324 620534083 /nfs/dbraw/zinc/53/40/83/620534083.db2.gz ZOBMIOYWNMLUTJ-UHFFFAOYSA-N 0 0 280.505 2.758 20 5 CFBDRN CC1=NN(c2cccc([N+](=O)[O-])c2C)C(=O)[C@H]1C(C)C ZINC000899349366 620549830 /nfs/dbraw/zinc/54/98/30/620549830.db2.gz BEKPVFUDQJSVOU-ZDUSSCGKSA-N 0 0 275.308 2.898 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000899419702 620562523 /nfs/dbraw/zinc/56/25/23/620562523.db2.gz XSNAERKQPFIPPD-XFWSIPNHSA-N 0 0 280.349 2.678 20 5 CFBDRN CC(C)Cn1c(SCC[N+](=O)[O-])nnc1-c1ccco1 ZINC000899860663 620606348 /nfs/dbraw/zinc/60/63/48/620606348.db2.gz LWXBWUNLHFXTSA-UHFFFAOYSA-N 0 0 296.352 2.563 20 5 CFBDRN CCOc1ccccc1-c1nnc(SCC[N+](=O)[O-])o1 ZINC000899862278 620606719 /nfs/dbraw/zinc/60/67/19/620606719.db2.gz XLWOSMRZMBUDTM-UHFFFAOYSA-N 0 0 295.320 2.504 20 5 CFBDRN C[C@H](Cc1nnc(SCC[N+](=O)[O-])o1)c1ccccc1 ZINC000899878318 620608324 /nfs/dbraw/zinc/60/83/24/620608324.db2.gz OXKRBVINFSUKFS-SNVBAGLBSA-N 0 0 293.348 2.785 20 5 CFBDRN CCC/C=C/[C@H](O)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000899936753 620615796 /nfs/dbraw/zinc/61/57/96/620615796.db2.gz GHYRDOQDIYYKHH-UZYOAWRESA-N 0 0 292.335 2.867 20 5 CFBDRN CC[C@H](O)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000899937653 620615832 /nfs/dbraw/zinc/61/58/32/620615832.db2.gz RGPMJTLWVXKSSB-GWCFXTLKSA-N 0 0 280.324 2.557 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@@H](C(=O)[O-])C2CC2)cc1[N+](=O)[O-] ZINC000901478195 620826290 /nfs/dbraw/zinc/82/62/90/620826290.db2.gz VKFJTEVXZZFZTB-CQSZACIVSA-N 0 0 292.335 2.671 20 5 CFBDRN Cc1cnc(OC[C@H]2C[C@@H](C)O[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000901505768 620839682 /nfs/dbraw/zinc/83/96/82/620839682.db2.gz SQKDJPLWQFYIEB-GDNZZTSVSA-N 0 0 280.324 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@@H]2C[C@@H]3COC[C@H]3O2)cc1 ZINC000901519517 620855042 /nfs/dbraw/zinc/85/50/42/620855042.db2.gz IGZSKZUFRZCZDD-KWCYVHTRSA-N 0 0 295.360 2.632 20 5 CFBDRN Cc1nn(C[C@@H]2CCCC3(CCC3)O2)cc1[N+](=O)[O-] ZINC000901549580 620862024 /nfs/dbraw/zinc/86/20/24/620862024.db2.gz SLKIDWJMEOLFDU-NSHDSACASA-N 0 0 265.313 2.592 20 5 CFBDRN Cc1noc(CSCc2ccncc2)c1[N+](=O)[O-] ZINC000901631787 620876030 /nfs/dbraw/zinc/87/60/30/620876030.db2.gz POKUIXBNBQNMBL-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN COCCOc1ccc(Br)c(C)c1[N+](=O)[O-] ZINC000901666539 620886793 /nfs/dbraw/zinc/88/67/93/620886793.db2.gz FCNHYOLSJCWWOD-UHFFFAOYSA-N 0 0 290.113 2.691 20 5 CFBDRN COCCCCCOc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000901699583 620897761 /nfs/dbraw/zinc/89/77/61/620897761.db2.gz MCRZWUBLIUIQHE-UHFFFAOYSA-N 0 0 297.307 2.562 20 5 CFBDRN O=C([O-])C1=CC[C@@H]([NH2+]Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000901729957 620902328 /nfs/dbraw/zinc/90/23/28/620902328.db2.gz GEOWTHNZXGLESD-LLVKDONJSA-N 0 0 296.710 2.511 20 5 CFBDRN COCC(COC)SCc1cccc([N+](=O)[O-])c1C ZINC000901854715 620931331 /nfs/dbraw/zinc/93/13/31/620931331.db2.gz NGDUYVKMFRXSRL-UHFFFAOYSA-N 0 0 285.365 2.798 20 5 CFBDRN Cc1c(NC[C@@H]2C[C@]23CCOC3)cccc1[N+](=O)[O-] ZINC000901929665 620943913 /nfs/dbraw/zinc/94/39/13/620943913.db2.gz PVRFJFQILCNQBM-FZMZJTMJSA-N 0 0 262.309 2.742 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1nncs1 ZINC000901931206 620944273 /nfs/dbraw/zinc/94/42/73/620944273.db2.gz VNLRPMNKSYQGGX-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN O=C([O-])CC[N@H+](C/C=C\c1ccccc1[N+](=O)[O-])C1CC1 ZINC000901972661 620948368 /nfs/dbraw/zinc/94/83/68/620948368.db2.gz WLYUGGUZIDAGAI-HYXAFXHYSA-N 0 0 290.319 2.547 20 5 CFBDRN C[C@]1(C(=O)[O-])CCC[N@@H+]1C/C=C\c1ccccc1[N+](=O)[O-] ZINC000902005353 620955409 /nfs/dbraw/zinc/95/54/09/620955409.db2.gz DMBMLAMYRIIUAY-IJVDHGTGSA-N 0 0 290.319 2.547 20 5 CFBDRN C[C@@H]1C[C@H]([NH2+]Cc2ccc([N+](=O)[O-])cc2)CC[C@H]1C(=O)[O-] ZINC000902101627 620973720 /nfs/dbraw/zinc/97/37/20/620973720.db2.gz RYKPVQRXSSKYRE-MPKXVKKWSA-N 0 0 292.335 2.574 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNc1cc(C)ncn1 ZINC000902199847 620996884 /nfs/dbraw/zinc/99/68/84/620996884.db2.gz YTBNYNRQFOPMEE-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNc1cnc(C)nc1 ZINC000902205004 620997821 /nfs/dbraw/zinc/99/78/21/620997821.db2.gz OORIDOMDAWTPIP-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2C[C@]23CCOC3)cc1F ZINC000902227588 621001949 /nfs/dbraw/zinc/00/19/49/621001949.db2.gz SYPVNYIPXQBLRB-RNCFNFMXSA-N 0 0 267.256 2.539 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2C[C@]23CCOC3)c([N+](=O)[O-])c1 ZINC000902305467 621027978 /nfs/dbraw/zinc/02/79/78/621027978.db2.gz QQOIARGHUWCDOX-DOMZBBRYSA-N 0 0 291.303 2.603 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@@]12CCOC2 ZINC000902308538 621028799 /nfs/dbraw/zinc/02/87/99/621028799.db2.gz OOHFWJQLHMKENY-XHDPSFHLSA-N 0 0 291.303 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CC[C@@H]1C[C@H]1C1CC1 ZINC000902339988 621031923 /nfs/dbraw/zinc/03/19/23/621031923.db2.gz JGQQFOPCLZPDRX-NEPJUHHUSA-N 0 0 262.309 2.501 20 5 CFBDRN CC(C)n1ccc(CSCc2cn(C)nc2[N+](=O)[O-])n1 ZINC000902324576 621036306 /nfs/dbraw/zinc/03/63/06/621036306.db2.gz GIDDHVCICMGPAF-UHFFFAOYSA-N 0 0 295.368 2.539 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSCCn1cc(Cl)cn1 ZINC000902410519 621057566 /nfs/dbraw/zinc/05/75/66/621057566.db2.gz QSIDRIMKSVKCEG-UHFFFAOYSA-N 0 0 298.755 2.773 20 5 CFBDRN CC(C)(C)c1ccn(Cc2cccnc2[N+](=O)[O-])n1 ZINC000902415901 621070768 /nfs/dbraw/zinc/07/07/68/621070768.db2.gz AIJQWVONRIJKEO-UHFFFAOYSA-N 0 0 260.297 2.532 20 5 CFBDRN Cn1cncc1CCCOc1ccc([N+](=O)[O-])c(F)c1F ZINC000902422775 621074334 /nfs/dbraw/zinc/07/43/34/621074334.db2.gz FOPYOXXUIIIPNO-UHFFFAOYSA-N 0 0 297.261 2.618 20 5 CFBDRN C=C/C=C\CCSCCn1c(C)ncc1[N+](=O)[O-] ZINC000902450989 621092075 /nfs/dbraw/zinc/09/20/75/621092075.db2.gz ATVAMCUBBYJGNN-PLNGDYQASA-N 0 0 267.354 2.965 20 5 CFBDRN O=[N+]([O-])c1ncccc1COc1cccc2c1OCCC2 ZINC000902457248 621094692 /nfs/dbraw/zinc/09/46/92/621094692.db2.gz UUISNUTXXZVGKX-UHFFFAOYSA-N 0 0 286.287 2.894 20 5 CFBDRN COc1ccc(CSCc2nncs2)cc1[N+](=O)[O-] ZINC000902559119 621121957 /nfs/dbraw/zinc/12/19/57/621121957.db2.gz ZZRJUBRNSXXBQT-UHFFFAOYSA-N 0 0 297.361 2.888 20 5 CFBDRN O=[N+]([O-])c1ncccc1Cn1ncc(Cl)c1C1CC1 ZINC000902580091 621129875 /nfs/dbraw/zinc/12/98/75/621129875.db2.gz SZEBVZHWNPVJIK-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN CCC1(CC(=O)Oc2cc([N+](=O)[O-])ccc2C)COC1 ZINC000902679757 621147370 /nfs/dbraw/zinc/14/73/70/621147370.db2.gz DDEKOKUHLGZRHZ-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OC[C@@H]1CCCOC1 ZINC000902696725 621149038 /nfs/dbraw/zinc/14/90/38/621149038.db2.gz HLPFRWYZRYVHLJ-MRVPVSSYSA-N 0 0 273.235 2.678 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OC[C@@H]1C[C@]12CCOC2 ZINC000902696895 621149091 /nfs/dbraw/zinc/14/90/91/621149091.db2.gz KQWIJJSEBDOYDO-SDBXPKJASA-N 0 0 285.246 2.678 20 5 CFBDRN C[C@H]1OCC[C@@H]1COc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902696503 621149139 /nfs/dbraw/zinc/14/91/39/621149139.db2.gz BKPHXGUTFGJDTA-HTQZYQBOSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OC[C@H]1CCCOC1 ZINC000902696726 621149154 /nfs/dbraw/zinc/14/91/54/621149154.db2.gz HLPFRWYZRYVHLJ-QMMMGPOBSA-N 0 0 273.235 2.678 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000902938563 621210379 /nfs/dbraw/zinc/21/03/79/621210379.db2.gz UCJHUMOTUNMJPG-VIFPVBQESA-N 0 0 281.312 2.530 20 5 CFBDRN CC1=NO[C@](C)(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000903099565 621252309 /nfs/dbraw/zinc/25/23/09/621252309.db2.gz JNUABRYRNWBZPL-LBPRGKRZSA-N 0 0 297.698 2.742 20 5 CFBDRN COCc1noc(CCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000903309987 621327006 /nfs/dbraw/zinc/32/70/06/621327006.db2.gz WSRPFMHAPJVBBE-UHFFFAOYSA-N 0 0 297.698 2.563 20 5 CFBDRN CSCCCCc1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000903787688 621442465 /nfs/dbraw/zinc/44/24/65/621442465.db2.gz UZTZLLMXQSKRNY-UHFFFAOYSA-N 0 0 296.352 2.669 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@]12C[C@H]1CCC2 ZINC000904004200 621504761 /nfs/dbraw/zinc/50/47/61/621504761.db2.gz PXEJNKMPPFNKMM-AMIZOPFISA-N 0 0 265.240 2.830 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc(-c2cccnc2)no1 ZINC000904323594 621574919 /nfs/dbraw/zinc/57/49/19/621574919.db2.gz UPEDNTMPYYWCNV-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN COc1cnc(C)cc1-c1cc([N+](=O)[O-])ccc1CCO ZINC000904813694 621645664 /nfs/dbraw/zinc/64/56/64/621645664.db2.gz MALFYEOWYAMSHF-UHFFFAOYSA-N 0 0 288.303 2.509 20 5 CFBDRN Cc1ccnc(-c2noc(-c3cccc([N+](=O)[O-])c3N)n2)c1 ZINC000904939106 621677064 /nfs/dbraw/zinc/67/70/64/621677064.db2.gz CWCXGRSBBUWWEQ-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H](C)[C@@H]1CCCO1 ZINC000905088982 621693411 /nfs/dbraw/zinc/69/34/11/621693411.db2.gz BPHOJPSCVUINGO-RISCZKNCSA-N 0 0 292.335 2.965 20 5 CFBDRN CC(C)CNC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000905070515 621697503 /nfs/dbraw/zinc/69/75/03/621697503.db2.gz GSUGMQXDUCBGFK-UHFFFAOYSA-N 0 0 284.743 2.953 20 5 CFBDRN CC(C)CNC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905142107 621709465 /nfs/dbraw/zinc/70/94/65/621709465.db2.gz ANTHSTWSKAQBMI-SNVBAGLBSA-N 0 0 265.313 2.611 20 5 CFBDRN CCc1ccc(OC[C@H]2C[C@@]23CCOC3)c([N+](=O)[O-])c1 ZINC000905236769 621731656 /nfs/dbraw/zinc/73/16/56/621731656.db2.gz SKXSNDNKBUVRAJ-IUODEOHRSA-N 0 0 277.320 2.963 20 5 CFBDRN Cc1cc(NCC[N+](=O)[O-])ccc1OCC(F)(F)F ZINC000905320586 621740981 /nfs/dbraw/zinc/74/09/81/621740981.db2.gz BLIBQEGHVAOENR-UHFFFAOYSA-N 0 0 278.230 2.625 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000832784442 625514502 /nfs/dbraw/zinc/51/45/02/625514502.db2.gz PZYDSSBFCUPFBJ-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000905654179 621809377 /nfs/dbraw/zinc/80/93/77/621809377.db2.gz QWZRVZGCXLNICW-VIFPVBQESA-N 0 0 297.380 2.695 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CC1(CC)COC1 ZINC000905710223 621825329 /nfs/dbraw/zinc/82/53/29/621825329.db2.gz VSHTUHGWUIVORB-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC1=NO[C@](C)(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000905826954 621878171 /nfs/dbraw/zinc/87/81/71/621878171.db2.gz KFYFGYBVKHWBNB-AWEZNQCLSA-N 0 0 292.291 2.501 20 5 CFBDRN CC[C@](O)(COc1ccc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000905942142 621904961 /nfs/dbraw/zinc/90/49/61/621904961.db2.gz JDYONONQRQHISJ-JTQLQIEISA-N 0 0 297.204 2.816 20 5 CFBDRN C[C@](O)(COc1ccc(Cl)cc1[N+](=O)[O-])C(F)F ZINC000905942774 621905201 /nfs/dbraw/zinc/90/52/01/621905201.db2.gz MKPJLKVATMVUEG-JTQLQIEISA-N 0 0 281.642 2.643 20 5 CFBDRN CC[C@@](O)(COc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000905944045 621909275 /nfs/dbraw/zinc/90/92/75/621909275.db2.gz SOVSXPXDRFBBBG-SNVBAGLBSA-N 0 0 279.214 2.677 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)S(=O)(=O)C/C=C\Cl ZINC000906056491 621923661 /nfs/dbraw/zinc/92/36/61/621923661.db2.gz YXFRHYNYYGYWJN-JVBZPZKUSA-N 0 0 289.740 2.823 20 5 CFBDRN C[C@@H](OC(=O)COC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000906120984 621933743 /nfs/dbraw/zinc/93/37/43/621933743.db2.gz ZBKWBLCZMWZYSL-SSDOTTSWSA-N 0 0 293.197 2.735 20 5 CFBDRN CC(C)C1(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])COC1 ZINC000906120498 621933842 /nfs/dbraw/zinc/93/38/42/621933842.db2.gz SRQNYHXJTUMBIX-LLVKDONJSA-N 0 0 293.319 2.872 20 5 CFBDRN CCCn1nc(C)c(-c2cc([N+](=O)[O-])ccc2CO)c1C ZINC000906171886 621943980 /nfs/dbraw/zinc/94/39/80/621943980.db2.gz JZEQOCLFKUIDOX-UHFFFAOYSA-N 0 0 289.335 2.977 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000906244838 621958739 /nfs/dbraw/zinc/95/87/39/621958739.db2.gz HSYCNUVPYLXWCK-VIFPVBQESA-N 0 0 298.314 2.586 20 5 CFBDRN O=C(NCC1CCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149146147 621987360 /nfs/dbraw/zinc/98/73/60/621987360.db2.gz YZPCIBQXABQKHY-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000833030239 625543108 /nfs/dbraw/zinc/54/31/08/625543108.db2.gz XCKOCXZBBXHVJZ-JOYOIKCWSA-N 0 0 268.288 2.766 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)CC2COC2)cc1[N+](=O)[O-] ZINC000908074570 622193105 /nfs/dbraw/zinc/19/31/05/622193105.db2.gz ARMSYIROEALXGM-UHFFFAOYSA-N 0 0 292.335 2.867 20 5 CFBDRN Cc1nnsc1[C@H](C)OC(=O)c1csc([N+](=O)[O-])c1 ZINC000908920305 622284733 /nfs/dbraw/zinc/28/47/33/622284733.db2.gz BUWRUECSTNHUSW-LURJTMIESA-N 0 0 299.333 2.734 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000927500999 622295424 /nfs/dbraw/zinc/29/54/24/622295424.db2.gz WHKVDSNGECGIFP-MISXGVKJSA-N 0 0 274.320 2.761 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000909074507 622312457 /nfs/dbraw/zinc/31/24/57/622312457.db2.gz QJLXCLDDWQHCCZ-UHFFFAOYSA-N 0 0 292.335 2.782 20 5 CFBDRN CC[C@H](COC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)OC ZINC000910027143 622388062 /nfs/dbraw/zinc/38/80/62/622388062.db2.gz IDQUKEWOQRBONV-MGPQQGTHSA-N 0 0 293.319 2.667 20 5 CFBDRN O=C(OCCCF)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027370 622388136 /nfs/dbraw/zinc/38/81/36/622388136.db2.gz KHISTKSSESQXIV-NWDGAFQWSA-N 0 0 267.256 2.601 20 5 CFBDRN CC[C@H](OC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C(C)=O ZINC000910025676 622388271 /nfs/dbraw/zinc/38/82/71/622388271.db2.gz XXWRGKMIQYAGOP-RDBSUJKOSA-N 0 0 291.303 2.609 20 5 CFBDRN CC1=C(C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)CCC1 ZINC000911477935 622521942 /nfs/dbraw/zinc/52/19/42/622521942.db2.gz XPSNTBGWYRFMCX-UHFFFAOYSA-N 0 0 296.273 2.990 20 5 CFBDRN O=C(C=C1CCC1)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475791 622522099 /nfs/dbraw/zinc/52/20/99/622522099.db2.gz JIZOZJCYUSOMKS-UHFFFAOYSA-N 0 0 282.246 2.600 20 5 CFBDRN C[C@H]1CCC[C@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911476665 622522235 /nfs/dbraw/zinc/52/22/35/622522235.db2.gz SEMJBXGSXYYYIC-WCBMZHEXSA-N 0 0 298.289 2.925 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC000911477229 622522342 /nfs/dbraw/zinc/52/23/42/622522342.db2.gz UHJIENDPBBZABF-DTWKUNHWSA-N 0 0 298.289 2.925 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000911730035 622540416 /nfs/dbraw/zinc/54/04/16/622540416.db2.gz DSYZYHKJYJBXEC-UHFFFAOYSA-N 0 0 298.390 2.612 20 5 CFBDRN Cc1sc(C(=O)N(C)C[Si](C)(C)C)cc1[N+](=O)[O-] ZINC000911732993 622548673 /nfs/dbraw/zinc/54/86/73/622548673.db2.gz MWTVXVCAHRYTHM-UHFFFAOYSA-N 0 0 286.429 2.914 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000911733626 622549215 /nfs/dbraw/zinc/54/92/15/622549215.db2.gz GHYSHBAMBKXHMS-UHFFFAOYSA-N 0 0 266.373 2.544 20 5 CFBDRN Nc1ccc(NC(=O)/C=C/c2cccc(O)c2)cc1[N+](=O)[O-] ZINC000819892928 622568092 /nfs/dbraw/zinc/56/80/92/622568092.db2.gz OYGMMYZOLCEVRK-QPJJXVBHSA-N 0 0 299.286 2.535 20 5 CFBDRN C[C@@H]1CCC[C@H](C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000819919339 622571259 /nfs/dbraw/zinc/57/12/59/622571259.db2.gz FCODUNQJKAGKIT-ZJUUUORDSA-N 0 0 277.324 2.942 20 5 CFBDRN O=C(/C=C\[C@H]1CCCO1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000819964011 622586227 /nfs/dbraw/zinc/58/62/27/622586227.db2.gz JYAAZIGUVISWCR-AYYIZTPMSA-N 0 0 297.694 2.889 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CCCC(C)=O ZINC000820001783 622594647 /nfs/dbraw/zinc/59/46/47/622594647.db2.gz CKRWUYHPJXSPHH-UHFFFAOYSA-N 0 0 294.307 2.691 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NOc1ccccc1 ZINC000820048969 622604318 /nfs/dbraw/zinc/60/43/18/622604318.db2.gz MTZKZZKPXVYAFB-UHFFFAOYSA-N 0 0 272.260 2.627 20 5 CFBDRN CO[C@H](COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000820127394 622615415 /nfs/dbraw/zinc/61/54/15/622615415.db2.gz OFWUWBDGBBFCSW-GFCCVEGCSA-N 0 0 299.710 2.830 20 5 CFBDRN CC1(NC(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)CC=CC1 ZINC000912048696 622619309 /nfs/dbraw/zinc/61/93/09/622619309.db2.gz RADUGNSVUCTGFF-UHFFFAOYSA-N 0 0 295.726 2.669 20 5 CFBDRN C=C=CCNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000820193555 622624333 /nfs/dbraw/zinc/62/43/33/622624333.db2.gz CGXWTHJPYFAJQF-UHFFFAOYSA-N 0 0 260.293 2.789 20 5 CFBDRN C[C@@H]1CCCCN1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000912157382 622638952 /nfs/dbraw/zinc/63/89/52/622638952.db2.gz UJXZNGPVYBPENX-SECBINFHSA-N 0 0 297.742 2.767 20 5 CFBDRN CC[C@H](C)CONC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000820279192 622641778 /nfs/dbraw/zinc/64/17/78/622641778.db2.gz KKICTOMLXGVODQ-ZLKJLUDKSA-N 0 0 292.335 2.792 20 5 CFBDRN C[C@@H](O)CCOc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912364266 622700072 /nfs/dbraw/zinc/70/00/72/622700072.db2.gz HDIMKOCEHADXBC-ZCFIWIBFSA-N 0 0 263.652 2.537 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@@H]2CCC(C)(C)C2)n1 ZINC000820558037 622702206 /nfs/dbraw/zinc/70/22/06/622702206.db2.gz ZMWCJQSHLGROKE-SECBINFHSA-N 0 0 281.312 2.547 20 5 CFBDRN COC(=O)c1ccnc(N2CCCCCCC2)c1[N+](=O)[O-] ZINC000820599660 622713053 /nfs/dbraw/zinc/71/30/53/622713053.db2.gz WMWBLJNTSIWXPW-UHFFFAOYSA-N 0 0 293.323 2.547 20 5 CFBDRN O=C(c1cc(Cl)c(F)c([N+](=O)[O-])c1)N1CC[C@H]2C[C@H]2C1 ZINC000912679748 622758642 /nfs/dbraw/zinc/75/86/42/622758642.db2.gz BLNXQRFYMMDZSC-CBAPKCEASA-N 0 0 298.701 2.869 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000820883700 622781919 /nfs/dbraw/zinc/78/19/19/622781919.db2.gz PHILGCLGQAUKCX-GDLCADMTSA-N 0 0 292.335 2.587 20 5 CFBDRN CCC1(C(=O)OCCn2c(C)ncc2[N+](=O)[O-])CCCC1 ZINC000151058052 622821179 /nfs/dbraw/zinc/82/11/79/622821179.db2.gz KBSYVJOWVLNPEO-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN O=C(O[C@H]1Cc2ccccc2C1=O)c1ccc([N+](=O)[O-])cc1 ZINC000210356280 622837710 /nfs/dbraw/zinc/83/77/10/622837710.db2.gz POPOPIPMYDAQFN-AWEZNQCLSA-N 0 0 297.266 2.559 20 5 CFBDRN CC[C@@H](Cc1ccccc1C)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000821167334 622859095 /nfs/dbraw/zinc/85/90/95/622859095.db2.gz RJKKDQHOIJISDX-STQMWFEESA-N 0 0 279.336 2.772 20 5 CFBDRN CC[C@@H](Cc1ccccc1C)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000821201719 622867545 /nfs/dbraw/zinc/86/75/45/622867545.db2.gz GTBQSOTYPLQABT-OLZOCXBDSA-N 0 0 279.336 2.772 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000151245287 622873114 /nfs/dbraw/zinc/87/31/14/622873114.db2.gz YPGAPCJSDUPSJZ-PSASIEDQSA-N 0 0 280.299 2.913 20 5 CFBDRN Cc1occc1C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151261949 622877094 /nfs/dbraw/zinc/87/70/94/622877094.db2.gz HJCJOPHUOIHSAO-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN O=C(CCCF)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913385460 622892336 /nfs/dbraw/zinc/89/23/36/622892336.db2.gz BQQCLKXWBYULKL-UHFFFAOYSA-N 0 0 292.669 2.753 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)[C@H]1CCSC1 ZINC000821329892 622895173 /nfs/dbraw/zinc/89/51/73/622895173.db2.gz OGZNZEMZYMHQFU-NSHDSACASA-N 0 0 294.376 2.789 20 5 CFBDRN CCCCOc1ccc(Br)nc1[N+](=O)[O-] ZINC000221798677 622928091 /nfs/dbraw/zinc/92/80/91/622928091.db2.gz ZJQWHFDUPAFGIW-UHFFFAOYSA-N 0 0 275.102 2.931 20 5 CFBDRN COc1ccc(OC(=O)C[C@@H](C)SC)c([N+](=O)[O-])c1 ZINC000156373348 622936219 /nfs/dbraw/zinc/93/62/19/622936219.db2.gz JYOWYJNEVJTEFV-MRVPVSSYSA-N 0 0 285.321 2.650 20 5 CFBDRN C[C@@H](COC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccn1 ZINC000821523827 622940606 /nfs/dbraw/zinc/94/06/06/622940606.db2.gz YLVVGJSYOAGCOO-NSHDSACASA-N 0 0 280.324 2.564 20 5 CFBDRN Cc1cc(NC(=O)N2C[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000821565923 622945585 /nfs/dbraw/zinc/94/55/85/622945585.db2.gz LLFSKSRKQGDNEZ-UWVGGRQHSA-N 0 0 263.297 2.775 20 5 CFBDRN CC(C)CCN(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000045472410 622987283 /nfs/dbraw/zinc/98/72/83/622987283.db2.gz PKWCOLZELRGRBG-UHFFFAOYSA-N 0 0 295.295 2.621 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H]1CCC1(C)C ZINC000821847042 622993218 /nfs/dbraw/zinc/99/32/18/622993218.db2.gz UJODVANYPSETDA-GFCCVEGCSA-N 0 0 279.292 2.949 20 5 CFBDRN O=C(Nc1ccc(O)cc1F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000165563089 622993425 /nfs/dbraw/zinc/99/34/25/622993425.db2.gz AAFVKJXPPDMUJB-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@@H]1CCCCO1 ZINC000057969791 623022828 /nfs/dbraw/zinc/02/28/28/623022828.db2.gz HFYZTHOEEUSONV-JTQLQIEISA-N 0 0 255.245 2.682 20 5 CFBDRN C[C@]1(COC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc32)C[C@H]1F ZINC000822087715 623031189 /nfs/dbraw/zinc/03/11/89/623031189.db2.gz GTQVZRGUDGQIAN-TZMCWYRMSA-N 0 0 292.266 2.981 20 5 CFBDRN CS[C@H](C)CNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000157479665 623038005 /nfs/dbraw/zinc/03/80/05/623038005.db2.gz ITQNZCGRZYIXDX-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN CCCCC[C@@H](O)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000822127138 623043653 /nfs/dbraw/zinc/04/36/53/623043653.db2.gz DZZVBXWQDRBLJP-CYBMUJFWSA-N 0 0 280.324 2.783 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@]1(C)C[C@@H]1F ZINC000822141049 623049161 /nfs/dbraw/zinc/04/91/61/623049161.db2.gz WRBPDNQWDZJDST-AAEUAGOBSA-N 0 0 283.255 2.508 20 5 CFBDRN CC(C)(CCC(=O)NCc1cccc(Cl)c1)[N+](=O)[O-] ZINC000822163650 623053558 /nfs/dbraw/zinc/05/35/58/623053558.db2.gz COWYHZRFHSPCOW-UHFFFAOYSA-N 0 0 284.743 2.792 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000059132992 623088574 /nfs/dbraw/zinc/08/85/74/623088574.db2.gz KFGVKQYJUJKZKV-UHFFFAOYSA-N 0 0 295.295 2.730 20 5 CFBDRN Cn1cccc1CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000226818445 623090672 /nfs/dbraw/zinc/09/06/72/623090672.db2.gz NZIHVNJHYCZEPU-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN CCCN(CC(C)C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822437995 623103837 /nfs/dbraw/zinc/10/38/37/623103837.db2.gz VAHSWXSRGGENOI-UHFFFAOYSA-N 0 0 258.362 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(CO)cc2)c(F)c1 ZINC000227491955 623111164 /nfs/dbraw/zinc/11/11/64/623111164.db2.gz LPMIVIKWEDOUCD-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN C[C@H]1C[C@@H]1c1ccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])o1 ZINC000822614637 623137037 /nfs/dbraw/zinc/13/70/37/623137037.db2.gz YMLXQBNDAVPQFD-JQWIXIFHSA-N 0 0 294.351 2.855 20 5 CFBDRN O=C(NCCC[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])o1 ZINC000834342484 625626183 /nfs/dbraw/zinc/62/61/83/625626183.db2.gz TZPXADRQEBOPBA-SNVBAGLBSA-N 0 0 264.281 2.664 20 5 CFBDRN O=C(Nc1cccc(F)n1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000228830349 623164286 /nfs/dbraw/zinc/16/42/86/623164286.db2.gz RTXOMUOIPURCRK-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CSC[C@H]1C ZINC000822877197 623195738 /nfs/dbraw/zinc/19/57/38/623195738.db2.gz LVPVNOFKBSKRBC-MWLCHTKSSA-N 0 0 294.376 2.693 20 5 CFBDRN CC(C)(C)c1noc(OCc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000170965911 623196240 /nfs/dbraw/zinc/19/62/40/623196240.db2.gz NHGVAFIQLDBXED-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN CCCCCCOCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000170965507 623196399 /nfs/dbraw/zinc/19/63/99/623196399.db2.gz GGYAALJVCSLLAE-UHFFFAOYSA-N 0 0 294.351 2.808 20 5 CFBDRN Cc1ncccc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000170987627 623197511 /nfs/dbraw/zinc/19/75/11/623197511.db2.gz FLHFGJHEBRDXOP-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC[C@@H](C)OC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 ZINC000171489367 623224331 /nfs/dbraw/zinc/22/43/31/623224331.db2.gz UJKCPHWFPRFMJY-SNVBAGLBSA-N 0 0 289.291 2.736 20 5 CFBDRN CC(C)n1cc(NC(=O)c2cc([N+](=O)[O-])ccc2F)cn1 ZINC000061683420 623227621 /nfs/dbraw/zinc/22/76/21/623227621.db2.gz JCTVICOYGYFZJS-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN COCC(C)(C)CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000823106539 623237283 /nfs/dbraw/zinc/23/72/83/623237283.db2.gz IDWBZNBCKMZVCB-UHFFFAOYSA-N 0 0 294.351 2.614 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823118062 623239734 /nfs/dbraw/zinc/23/97/34/623239734.db2.gz LGJCUTKOVGVVNP-NSHDSACASA-N 0 0 270.373 2.859 20 5 CFBDRN CN(Cc1cc(F)ccc1[N+](=O)[O-])CC(F)(F)F ZINC000171825642 623244235 /nfs/dbraw/zinc/24/42/35/623244235.db2.gz JCLDFAVOKXSJNQ-UHFFFAOYSA-N 0 0 266.194 2.728 20 5 CFBDRN Cc1ccc2c(c1)[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])CC2 ZINC000823157601 623247846 /nfs/dbraw/zinc/24/78/46/623247846.db2.gz VHXNKSSWJJKLJB-CQSZACIVSA-N 0 0 290.363 2.934 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000230106142 623252409 /nfs/dbraw/zinc/25/24/09/623252409.db2.gz VTEUEXOEFQWHCX-AMIZOPFISA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cnc(Cl)c(NC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000230424274 623276417 /nfs/dbraw/zinc/27/64/17/623276417.db2.gz RHINWQGMLOWHTO-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823266673 623279313 /nfs/dbraw/zinc/27/93/13/623279313.db2.gz CJZOGGDMIWOGSB-NHYWBVRUSA-N 0 0 290.363 2.872 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])nc2)C1 ZINC000230918244 623310111 /nfs/dbraw/zinc/31/01/11/623310111.db2.gz WCHFXLRDVPESMD-PSASIEDQSA-N 0 0 253.327 2.686 20 5 CFBDRN Cc1cc(OCc2cnc(Cl)cn2)ccc1[N+](=O)[O-] ZINC000231289035 623337098 /nfs/dbraw/zinc/33/70/98/623337098.db2.gz AYIAIVVWLJPINA-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CC1(C)CC[N@H+](Cn2ncc3ccc([N+](=O)[O-])cc32)C1 ZINC000173437150 623349257 /nfs/dbraw/zinc/34/92/57/623349257.db2.gz CLJNOEZERBKDDD-UHFFFAOYSA-N 0 0 274.324 2.634 20 5 CFBDRN COc1ccccc1OC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000063501577 623356348 /nfs/dbraw/zinc/35/63/48/623356348.db2.gz WZNCHOBOLIBABC-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN CC(=O)COc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC000231646105 623363803 /nfs/dbraw/zinc/36/38/03/623363803.db2.gz IWPHMIUMSVZGIR-UHFFFAOYSA-N 0 0 264.064 2.869 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000231754112 623366430 /nfs/dbraw/zinc/36/64/30/623366430.db2.gz LJLDEKYNMGJBAV-ZCFIWIBFSA-N 0 0 294.204 2.805 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c1C ZINC000232038168 623385380 /nfs/dbraw/zinc/38/53/80/623385380.db2.gz PCXZMCWSMWMPDN-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])C1=COCCC1 ZINC000174119867 623388835 /nfs/dbraw/zinc/38/88/35/623388835.db2.gz JZTXELHSKVYCAT-UHFFFAOYSA-N 0 0 283.667 2.848 20 5 CFBDRN CSCCCOC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000174191171 623397534 /nfs/dbraw/zinc/39/75/34/623397534.db2.gz IHEKGVHFLGDHRN-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)/C=C\[C@@H]1CCCO1 ZINC000823814472 623399965 /nfs/dbraw/zinc/39/99/65/623399965.db2.gz KDVFMZOOGCTYPH-VAWSOJLPSA-N 0 0 294.282 2.716 20 5 CFBDRN Cc1c(C(=O)OCC(F)(F)C(F)F)cccc1[N+](=O)[O-] ZINC000174277474 623407944 /nfs/dbraw/zinc/40/79/44/623407944.db2.gz KLCMVVBXXNWYBB-UHFFFAOYSA-N 0 0 295.188 2.960 20 5 CFBDRN CC[C@](C)(NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000823920757 623418827 /nfs/dbraw/zinc/41/88/27/623418827.db2.gz BOJUJEXPGGLKTK-AWEZNQCLSA-N 0 0 292.339 2.877 20 5 CFBDRN CC(C)(CO)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000232527165 623424359 /nfs/dbraw/zinc/42/43/59/623424359.db2.gz AAHWFRBCDDNGKT-UHFFFAOYSA-N 0 0 276.695 2.818 20 5 CFBDRN Nc1ccc(NC(=O)N[C@@H]2CC23CCCC3)cc1[N+](=O)[O-] ZINC000823956247 623425576 /nfs/dbraw/zinc/42/55/76/623425576.db2.gz QDKRFAWKGSXRHL-GFCCVEGCSA-N 0 0 290.323 2.631 20 5 CFBDRN CCOCCCCOC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000174565307 623427909 /nfs/dbraw/zinc/42/79/09/623427909.db2.gz BSUFMMXXZMZECY-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823979938 623428016 /nfs/dbraw/zinc/42/80/16/623428016.db2.gz FEASLJQCFZLFJL-IUCAKERBSA-N 0 0 278.312 2.582 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823985862 623429174 /nfs/dbraw/zinc/42/91/74/623429174.db2.gz DEMQILMHAWYWJN-LBPRGKRZSA-N 0 0 292.339 2.829 20 5 CFBDRN Nc1ccc(NC(=O)N2CCC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000823998257 623430871 /nfs/dbraw/zinc/43/08/71/623430871.db2.gz MIBCFCDVOVSUHP-LBPRGKRZSA-N 0 0 290.323 2.583 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824008552 623431512 /nfs/dbraw/zinc/43/15/12/623431512.db2.gz QJLQQCFTAQATSD-NSHDSACASA-N 0 0 292.339 2.973 20 5 CFBDRN CSCC1CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000834383643 625652483 /nfs/dbraw/zinc/65/24/83/625652483.db2.gz LSIWWLAZDKLXOD-UHFFFAOYSA-N 0 0 294.376 2.810 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N(C)CC1CC1 ZINC000757702156 623493427 /nfs/dbraw/zinc/49/34/27/623493427.db2.gz KOBHBLFDQPGXCB-UHFFFAOYSA-N 0 0 298.726 2.881 20 5 CFBDRN O=C(OC1CCCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000111609286 623496269 /nfs/dbraw/zinc/49/62/69/623496269.db2.gz XVPZJAZHTGYUOT-UHFFFAOYSA-N 0 0 253.229 2.833 20 5 CFBDRN CO[C@@H]1CCC[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000151549815 623498162 /nfs/dbraw/zinc/49/81/62/623498162.db2.gz IZWWXMSSLGKHCL-ZIAGYGMSSA-N 0 0 293.319 2.638 20 5 CFBDRN Cc1nc(Cl)cc(Oc2ccc([N+](=O)[O-])c(CO)c2)n1 ZINC000758172067 623501537 /nfs/dbraw/zinc/50/15/37/623501537.db2.gz ACINUGIPXIVGIA-UHFFFAOYSA-N 0 0 295.682 2.631 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000824447782 623508408 /nfs/dbraw/zinc/50/84/08/623508408.db2.gz QXCXRXLCSAKUOW-HAQNSBGRSA-N 0 0 292.335 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H]2CCn3ccnc32)cc1Cl ZINC000824525518 623516103 /nfs/dbraw/zinc/51/61/03/623516103.db2.gz AVQIJKCWJSVCCF-LLVKDONJSA-N 0 0 292.726 2.679 20 5 CFBDRN Cc1cc(Cl)ncc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000312543266 623529372 /nfs/dbraw/zinc/52/93/72/623529372.db2.gz IKSSEGAKTMGLQM-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C[C@]1(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCCO1 ZINC000094801130 623565648 /nfs/dbraw/zinc/56/56/48/623565648.db2.gz HATHSMNDCDCJGO-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN CC(C)(CCc1nc(-c2cccs2)no1)[N+](=O)[O-] ZINC000834398222 625660022 /nfs/dbraw/zinc/66/00/22/625660022.db2.gz DVJZYUQPSQHSKZ-UHFFFAOYSA-N 0 0 267.310 2.786 20 5 CFBDRN O=C(NC[C@@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])s1 ZINC000913861867 623627121 /nfs/dbraw/zinc/62/71/21/623627121.db2.gz OVMPZNLNQHXQCU-YFKPBYRVSA-N 0 0 295.147 2.580 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000825238047 623655354 /nfs/dbraw/zinc/65/53/54/623655354.db2.gz BHQJFRBJHVMZAW-YUSALJHKSA-N 0 0 292.335 2.507 20 5 CFBDRN CC[C@@H](C)OCC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000152435625 623706542 /nfs/dbraw/zinc/70/65/42/623706542.db2.gz RPHGOLYVKIFWLK-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN CCO[C@@H](C(=O)NCc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000825633224 623727463 /nfs/dbraw/zinc/72/74/63/623727463.db2.gz DMRCZDRGGTULRV-CQSZACIVSA-N 0 0 294.351 2.581 20 5 CFBDRN CCO[C@H](C(=O)NCc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000825633217 623727851 /nfs/dbraw/zinc/72/78/51/623727851.db2.gz DMRCZDRGGTULRV-AWEZNQCLSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1ccc(CNC(=O)C2=C(C)OCCC2)cc1[N+](=O)[O-] ZINC000825648417 623730183 /nfs/dbraw/zinc/73/01/83/623730183.db2.gz RSKYMVHVWJNCET-UHFFFAOYSA-N 0 0 290.319 2.604 20 5 CFBDRN Cc1ccc(CNC(=O)C(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000825647450 623730466 /nfs/dbraw/zinc/73/04/66/623730466.db2.gz FBSMJYVBRKTJHS-UHFFFAOYSA-N 0 0 288.347 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2C[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000825647501 623730476 /nfs/dbraw/zinc/73/04/76/623730476.db2.gz GWCZWMLLIFXRGF-OLZOCXBDSA-N 0 0 276.336 2.812 20 5 CFBDRN CC[C@H](C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825654632 623731150 /nfs/dbraw/zinc/73/11/50/623731150.db2.gz AJAZYWCUVVQZDH-VIFPVBQESA-N 0 0 250.298 2.566 20 5 CFBDRN CC[C@@H](C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825654631 623731344 /nfs/dbraw/zinc/73/13/44/623731344.db2.gz AJAZYWCUVVQZDH-SECBINFHSA-N 0 0 250.298 2.566 20 5 CFBDRN CN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152564023 623735829 /nfs/dbraw/zinc/73/58/29/623735829.db2.gz VULKUXOVZOCBTG-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN C[C@@H](NC(=O)NC[C@@H]1C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000914216263 623738340 /nfs/dbraw/zinc/73/83/40/623738340.db2.gz FRKGNZZJCARYGM-UMNHJUIQSA-N 0 0 277.324 2.611 20 5 CFBDRN COc1ccccc1OC[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000152703151 623757254 /nfs/dbraw/zinc/75/72/54/623757254.db2.gz VUEVXZDFZOVPDP-CYBMUJFWSA-N 0 0 289.287 2.716 20 5 CFBDRN CC(C)[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccn1 ZINC000825963848 623776358 /nfs/dbraw/zinc/77/63/58/623776358.db2.gz JJCYZIZUFXEAOA-CQSZACIVSA-N 0 0 293.367 2.730 20 5 CFBDRN CC/C(C)=C\C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000826567081 623814355 /nfs/dbraw/zinc/81/43/55/623814355.db2.gz CHCOTIZBJCNFEA-KHPPLWFESA-N 0 0 262.309 2.610 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@H]1Cc1ccccc1)[N+](=O)[O-] ZINC000826616258 623824334 /nfs/dbraw/zinc/82/43/34/623824334.db2.gz XVLLFBSNPWHORE-AWEZNQCLSA-N 0 0 290.363 2.666 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000826670833 623836816 /nfs/dbraw/zinc/83/68/16/623836816.db2.gz ZWMVPWZMFGYFJE-UWVGGRQHSA-N 0 0 297.311 2.712 20 5 CFBDRN Cc1ccc(OC(=O)CSC(C)C)c([N+](=O)[O-])c1 ZINC000914466526 623870988 /nfs/dbraw/zinc/87/09/88/623870988.db2.gz QDVKEMKEUHDBCM-UHFFFAOYSA-N 0 0 269.322 2.950 20 5 CFBDRN C[C@@H]1CN(c2cc(N)ccc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000826817128 623883821 /nfs/dbraw/zinc/88/38/21/623883821.db2.gz QHURMAIYSMXUPI-LLVKDONJSA-N 0 0 291.351 2.715 20 5 CFBDRN CCN(CCSC)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000826846983 623890495 /nfs/dbraw/zinc/89/04/95/623890495.db2.gz WTVAPIMFJJMTHG-UHFFFAOYSA-N 0 0 285.325 2.692 20 5 CFBDRN CCC1(CNC(=O)CCC(C)(C)[N+](=O)[O-])CCCC1 ZINC000826933457 623910894 /nfs/dbraw/zinc/91/08/94/623910894.db2.gz WYWUNDOFAOXCQZ-UHFFFAOYSA-N 0 0 270.373 2.909 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN(Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000153273678 623911625 /nfs/dbraw/zinc/91/16/25/623911625.db2.gz NZDVJZJNJWEBTQ-GFCCVEGCSA-N 0 0 280.372 2.897 20 5 CFBDRN CC(C)CCn1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000827009519 623923952 /nfs/dbraw/zinc/92/39/52/623923952.db2.gz SGYAOAGWIATXMX-UHFFFAOYSA-N 0 0 296.371 2.703 20 5 CFBDRN CC(C)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000763552771 623957725 /nfs/dbraw/zinc/95/77/25/623957725.db2.gz XNQVOLBDRDOWJK-QMMMGPOBSA-N 0 0 255.245 2.935 20 5 CFBDRN CC(C)(C)CCNC(=O)CNc1cc([N+](=O)[O-])ccc1F ZINC000153436591 623969716 /nfs/dbraw/zinc/96/97/16/623969716.db2.gz ZCPMQLZLYWPFJT-UHFFFAOYSA-N 0 0 297.330 2.698 20 5 CFBDRN O=C([C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N(C1CC1)C1CC1 ZINC000827239261 623972222 /nfs/dbraw/zinc/97/22/22/623972222.db2.gz PEDLPZHXCQUDBR-CABCVRRESA-N 0 0 286.331 2.852 20 5 CFBDRN O=C(CSC(F)(F)F)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000915139207 623987898 /nfs/dbraw/zinc/98/78/98/623987898.db2.gz UUWAIJLTTYZLOG-UHFFFAOYSA-N 0 0 298.217 2.925 20 5 CFBDRN CCN(CC(C)C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000915210931 623995947 /nfs/dbraw/zinc/99/59/47/623995947.db2.gz GTZODAFWLSXVJC-UHFFFAOYSA-N 0 0 267.285 2.985 20 5 CFBDRN Cc1ccc(CCNc2nc3ccccn3c2[N+](=O)[O-])o1 ZINC000915213071 623997423 /nfs/dbraw/zinc/99/74/23/623997423.db2.gz LYVWXNYKRYNOSX-UHFFFAOYSA-N 0 0 286.291 2.799 20 5 CFBDRN COC(=O)Cc1ccc(N(C)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000827543290 624028028 /nfs/dbraw/zinc/02/80/28/624028028.db2.gz UNUKOWYMUPNHSR-UHFFFAOYSA-N 0 0 294.351 2.793 20 5 CFBDRN CC(C)COC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000915445926 624044832 /nfs/dbraw/zinc/04/48/32/624044832.db2.gz NQNNGHZPBYNZQJ-UHFFFAOYSA-N 0 0 267.281 2.563 20 5 CFBDRN CC(C)n1ccnc1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000915597930 624083135 /nfs/dbraw/zinc/08/31/35/624083135.db2.gz KESZUYACAMSEBD-UHFFFAOYSA-N 0 0 289.291 2.634 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1Cl)C1(Cl)CC1 ZINC000915603852 624083159 /nfs/dbraw/zinc/08/31/59/624083159.db2.gz RZHGGLYNIJQVBU-UHFFFAOYSA-N 0 0 290.102 2.968 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CCn1cc(Cl)cn1 ZINC000834518976 625701157 /nfs/dbraw/zinc/70/11/57/625701157.db2.gz OMMQNGCUQPCQCU-UHFFFAOYSA-N 0 0 292.726 2.507 20 5 CFBDRN CC(=O)c1ccc(CC(=O)Oc2cccc([N+](=O)[O-])c2)cc1 ZINC000915801136 624111630 /nfs/dbraw/zinc/11/16/30/624111630.db2.gz KPNJRJDIXGVFRU-UHFFFAOYSA-N 0 0 299.282 2.946 20 5 CFBDRN CCc1onc(C)c1COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000915870001 624136112 /nfs/dbraw/zinc/13/61/12/624136112.db2.gz GEYGHQFRRYWCTF-UHFFFAOYSA-N 0 0 290.275 2.845 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000915872818 624136261 /nfs/dbraw/zinc/13/62/61/624136261.db2.gz ZYLVRUMFYYYPGG-DTORHVGOSA-N 0 0 283.353 2.564 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC(=O)OCC(F)(F)F)c1 ZINC000827953663 624136757 /nfs/dbraw/zinc/13/67/57/624136757.db2.gz PZEZILZARVDNJA-UHFFFAOYSA-N 0 0 295.169 2.681 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000828068344 624163679 /nfs/dbraw/zinc/16/36/79/624163679.db2.gz SNXREWCBEPZKPT-UHFFFAOYSA-N 0 0 290.653 2.648 20 5 CFBDRN CCC[C@H](O)CN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000828105420 624174560 /nfs/dbraw/zinc/17/45/60/624174560.db2.gz BZQXTENWVCDGEK-LBPRGKRZSA-N 0 0 286.759 2.841 20 5 CFBDRN CC(F)(F)CCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000828293484 624223506 /nfs/dbraw/zinc/22/35/06/624223506.db2.gz NGRQEVUBNLKTKC-UHFFFAOYSA-N 0 0 270.239 2.700 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCn3ccnc32)c(F)c1 ZINC000828358201 624232983 /nfs/dbraw/zinc/23/29/83/624232983.db2.gz PUICMSQZRGVAOL-JTQLQIEISA-N 0 0 280.234 2.626 20 5 CFBDRN CCC[C@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])OC ZINC000828385167 624236334 /nfs/dbraw/zinc/23/63/34/624236334.db2.gz WIARWLKLOUABJU-SECBINFHSA-N 0 0 283.284 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](F)C2)c(OC(F)F)c1 ZINC000828449734 624248780 /nfs/dbraw/zinc/24/87/80/624248780.db2.gz XPKZFUCVPVVJSZ-ZETCQYMHSA-N 0 0 276.214 2.744 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000916523208 624250835 /nfs/dbraw/zinc/25/08/35/624250835.db2.gz QKJAJSGBVJEQPB-ZJUUUORDSA-N 0 0 297.332 2.596 20 5 CFBDRN Cc1nc(Cn2cnc([N+](=O)[O-])c2)sc1C(C)(C)C ZINC000916558203 624255253 /nfs/dbraw/zinc/25/52/53/624255253.db2.gz IASJQPKVMVGWAK-UHFFFAOYSA-N 0 0 280.353 2.902 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2[C@@H](c3ccccc3)C2(F)F)cn1 ZINC000916558204 624255344 /nfs/dbraw/zinc/25/53/44/624255344.db2.gz ICCOAERXGQVEQZ-ZYHUDNBSSA-N 0 0 279.246 2.840 20 5 CFBDRN O=C(OCC1=CCCC1)c1ccc([N+](=O)[O-])s1 ZINC000828503614 624257770 /nfs/dbraw/zinc/25/77/70/624257770.db2.gz WWDUJKRRXWHKGJ-UHFFFAOYSA-N 0 0 253.279 2.923 20 5 CFBDRN Cc1cccc(C(=O)NN2[C@H](C)CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000916585955 624260573 /nfs/dbraw/zinc/26/05/73/624260573.db2.gz KMPMIWSNLGEDGK-TXEJJXNPSA-N 0 0 291.351 2.811 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000916616476 624265108 /nfs/dbraw/zinc/26/51/08/624265108.db2.gz LWCAHJCCEORGAR-DTORHVGOSA-N 0 0 283.353 2.564 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCCC[C@H]1CCOC1 ZINC000828575402 624271604 /nfs/dbraw/zinc/27/16/04/624271604.db2.gz IHSKWQKVPWCVJV-LBPRGKRZSA-N 0 0 293.319 2.877 20 5 CFBDRN CCc1ncc(COc2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000916787271 624284501 /nfs/dbraw/zinc/28/45/01/624284501.db2.gz JHKWVYXBHMNCGM-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN CCn1ccnc1CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000828704947 624288906 /nfs/dbraw/zinc/28/89/06/624288906.db2.gz VVWIZPRYQLCTLF-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN O=[N+]([O-])c1cccc(Oc2cccnc2[N+](=O)[O-])c1 ZINC000916969237 624296527 /nfs/dbraw/zinc/29/65/27/624296527.db2.gz IHQLKCUJIDNABA-UHFFFAOYSA-N 0 0 261.193 2.690 20 5 CFBDRN Nc1ccc(NC[C@@H]2CCOC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000828785621 624301026 /nfs/dbraw/zinc/30/10/26/624301026.db2.gz MFPHBFDSOQMSCH-LLVKDONJSA-N 0 0 291.351 2.938 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])cn2)CC1(Cl)Cl ZINC000828791471 624301684 /nfs/dbraw/zinc/30/16/84/624301684.db2.gz UKHZKLFJPZNZMQ-VIFPVBQESA-N 0 0 276.123 2.986 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCC[C@H]1CCOC1 ZINC000828790695 624301741 /nfs/dbraw/zinc/30/17/41/624301741.db2.gz IEUZRCLWDMIULU-LBPRGKRZSA-N 0 0 293.319 2.877 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCOC(C)(C)C)c1 ZINC000064234871 624305545 /nfs/dbraw/zinc/30/55/45/624305545.db2.gz QMSBENIEIDSFKV-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccn(-c2ccccc2)n1 ZINC000917092993 624311404 /nfs/dbraw/zinc/31/14/04/624311404.db2.gz BLIKJBSFMIHMLA-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cc1cnc(OCc2cnc(C3CC3)o2)c([N+](=O)[O-])c1 ZINC000917150188 624317922 /nfs/dbraw/zinc/31/79/22/624317922.db2.gz UALRASSCBGSXMJ-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CC(C)(C)C(=O)CSCc1cccnc1[N+](=O)[O-] ZINC000917150371 624320535 /nfs/dbraw/zinc/32/05/35/624320535.db2.gz WDNMEMBCTFVCKO-UHFFFAOYSA-N 0 0 268.338 2.838 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C[C@H]1C ZINC000828903772 624326865 /nfs/dbraw/zinc/32/68/65/624326865.db2.gz JRNHNZSXWCAPSH-MEBBXXQBSA-N 0 0 292.335 2.659 20 5 CFBDRN O=C(OC[C@H]1CCCO1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000917263358 624327696 /nfs/dbraw/zinc/32/76/96/624327696.db2.gz CIAXNABVFLHRKI-LLVKDONJSA-N 0 0 291.259 2.677 20 5 CFBDRN Cc1cccc2c1N[C@@H](c1csc([N+](=O)[O-])c1)NC2=O ZINC000917416386 624341538 /nfs/dbraw/zinc/34/15/38/624341538.db2.gz ROMVMXHHSZLAHC-GFCCVEGCSA-N 0 0 289.316 2.819 20 5 CFBDRN COC(=O)/C=C(/C)CSc1ccc([N+](=O)[O-])cc1F ZINC000917478038 624344865 /nfs/dbraw/zinc/34/48/65/624344865.db2.gz SRZKQMFIMSMMAI-YVMONPNESA-N 0 0 285.296 2.945 20 5 CFBDRN CCN(CC)C(=O)OCOc1c(F)cccc1[N+](=O)[O-] ZINC000917477712 624345436 /nfs/dbraw/zinc/34/54/36/624345436.db2.gz QXBAEEYQLMNUAP-UHFFFAOYSA-N 0 0 286.259 2.549 20 5 CFBDRN O=C1N[C@@H](c2csc([N+](=O)[O-])c2)Nc2cc(F)ccc21 ZINC000917503205 624348115 /nfs/dbraw/zinc/34/81/15/624348115.db2.gz ZIKYCBMFEGJNBA-NSHDSACASA-N 0 0 293.279 2.650 20 5 CFBDRN COCC(COC)Nc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000829015479 624355843 /nfs/dbraw/zinc/35/58/43/624355843.db2.gz KDFZWELVPHTOQI-UHFFFAOYSA-N 0 0 288.731 2.630 20 5 CFBDRN CC(C)CNC(=S)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000917581878 624365339 /nfs/dbraw/zinc/36/53/39/624365339.db2.gz XILQJXUIIXDMRJ-JTQLQIEISA-N 0 0 281.381 2.776 20 5 CFBDRN Cc1nn(C)c(N2CCCCCCCC2)c1[N+](=O)[O-] ZINC000829077718 624368099 /nfs/dbraw/zinc/36/80/99/624368099.db2.gz MRGLZCWAZKIYTC-UHFFFAOYSA-N 0 0 266.345 2.797 20 5 CFBDRN Cc1cc(OCOC(=O)N(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000917719208 624378725 /nfs/dbraw/zinc/37/87/25/624378725.db2.gz QNORFOLWUUUHHB-UHFFFAOYSA-N 0 0 288.687 2.591 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)C1(Cl)CC1 ZINC000917741379 624379414 /nfs/dbraw/zinc/37/94/14/624379414.db2.gz UJIUWBLHHLIRNR-UHFFFAOYSA-N 0 0 290.102 2.968 20 5 CFBDRN O=C(NCc1ccc(Cl)nc1)c1cccc([N+](=O)[O-])c1 ZINC000917724106 624379580 /nfs/dbraw/zinc/37/95/80/624379580.db2.gz BXDRCXPQVITZQG-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]1C ZINC000829137653 624382445 /nfs/dbraw/zinc/38/24/45/624382445.db2.gz VGASFKZQVDYSJO-MRVPVSSYSA-N 0 0 266.322 2.551 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1)[C@H](CO)CC(C)(C)C ZINC000829159784 624389467 /nfs/dbraw/zinc/38/94/67/624389467.db2.gz XPFASPITXVVXQT-ZDUSSCGKSA-N 0 0 266.341 2.828 20 5 CFBDRN CCc1nc(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])co1 ZINC000829177857 624393730 /nfs/dbraw/zinc/39/37/30/624393730.db2.gz OMUKVUUPSKYTBB-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCC(F)CC1 ZINC000829198868 624396973 /nfs/dbraw/zinc/39/69/73/624396973.db2.gz DGLSKBKCYAOSSI-UONOGXRCSA-N 0 0 292.310 2.659 20 5 CFBDRN C[C@@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1cccc(F)c1 ZINC000917968010 624400454 /nfs/dbraw/zinc/40/04/54/624400454.db2.gz VKCZTWAKZYDDGD-SECBINFHSA-N 0 0 292.266 2.990 20 5 CFBDRN CCC[C@@H](C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000033457542 624411555 /nfs/dbraw/zinc/41/15/55/624411555.db2.gz DYHSGZPXZFLWGN-ZJRUKIMVSA-N 0 0 262.309 2.913 20 5 CFBDRN CO[C@H](Cn1ccnc1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000918377925 624433728 /nfs/dbraw/zinc/43/37/28/624433728.db2.gz NPPIHIQILSHULD-LLVKDONJSA-N 0 0 281.699 2.832 20 5 CFBDRN Cc1nc(C)c(COc2c(F)cccc2[N+](=O)[O-])o1 ZINC000829449054 624435249 /nfs/dbraw/zinc/43/52/49/624435249.db2.gz KECCTSDBZDZUGP-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CCCOc1cccc(Cn2ccnc2[N+](=O)[O-])c1 ZINC000918386766 624435394 /nfs/dbraw/zinc/43/53/94/624435394.db2.gz JNLANFQLOSPUGC-UHFFFAOYSA-N 0 0 261.281 2.628 20 5 CFBDRN COc1ccc([C@@H](C)Cn2ccnc2[N+](=O)[O-])cc1 ZINC000918386768 624435499 /nfs/dbraw/zinc/43/54/99/624435499.db2.gz JQXKKLPYVKDASX-JTQLQIEISA-N 0 0 261.281 2.604 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1cccc2n[nH]cc21 ZINC000918500102 624440382 /nfs/dbraw/zinc/44/03/82/624440382.db2.gz WKCSVJRTLLTQCT-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CC(C)CCCOC(=O)c1ccc([N+](=O)[O-])n1C ZINC000918495398 624443035 /nfs/dbraw/zinc/44/30/35/624443035.db2.gz CPKSGFAXPKJMBJ-UHFFFAOYSA-N 0 0 254.286 2.526 20 5 CFBDRN CCC(C)(C)COC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000174865143 624453333 /nfs/dbraw/zinc/45/33/33/624453333.db2.gz RWKYVSYRVHKEBB-UHFFFAOYSA-N 0 0 297.355 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](CCCO)C2)c(Cl)c1 ZINC000829577541 624458009 /nfs/dbraw/zinc/45/80/09/624458009.db2.gz NLHMJDLROAGDHI-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H](CCCO)C1 ZINC000829584620 624459197 /nfs/dbraw/zinc/45/91/97/624459197.db2.gz JGDRQTKQTYOIEZ-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1cc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)ccn1 ZINC000154787793 624463875 /nfs/dbraw/zinc/46/38/75/624463875.db2.gz CYICRZJGGXHSQV-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000918816944 624498904 /nfs/dbraw/zinc/49/89/04/624498904.db2.gz XTQULRZCVHZANM-QMMMGPOBSA-N 0 0 297.332 2.841 20 5 CFBDRN CC[C@@H](C)C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000918932568 624511642 /nfs/dbraw/zinc/51/16/42/624511642.db2.gz NHTSVJOOFQQXJS-LLVKDONJSA-N 0 0 281.308 2.953 20 5 CFBDRN CC(C)SCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000919074941 624521819 /nfs/dbraw/zinc/52/18/19/624521819.db2.gz ZUDFXIPVJZQLNU-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN O=C(CC[C@@H]1CCCO1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000919093184 624523186 /nfs/dbraw/zinc/52/31/86/624523186.db2.gz IZCNHEBOOMQSGY-LBPRGKRZSA-N 0 0 297.282 2.736 20 5 CFBDRN O=C(OC[C@@H]1CCOC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000919210724 624536160 /nfs/dbraw/zinc/53/61/60/624536160.db2.gz IMKRPKFSMISCBT-SECBINFHSA-N 0 0 291.259 2.534 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCF ZINC000829792490 624539256 /nfs/dbraw/zinc/53/92/56/624539256.db2.gz ZHOAGEGBHDFALA-UHFFFAOYSA-N 0 0 286.328 2.748 20 5 CFBDRN C/C=C/COC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000919227338 624541894 /nfs/dbraw/zinc/54/18/94/624541894.db2.gz RMNPFRKECRIFHX-ONEGZZNKSA-N 0 0 280.236 2.544 20 5 CFBDRN CCC(F)(F)COC(=O)c1csc([N+](=O)[O-])c1 ZINC000851489650 634393540 /nfs/dbraw/zinc/39/35/40/634393540.db2.gz PGVLPFONWQPHQG-UHFFFAOYSA-N 0 0 265.237 2.858 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000919290420 624554747 /nfs/dbraw/zinc/55/47/47/624554747.db2.gz JTNFHVILPHQBMG-SECBINFHSA-N 0 0 287.337 2.761 20 5 CFBDRN COCCCC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000919356947 624563356 /nfs/dbraw/zinc/56/33/56/624563356.db2.gz LGKSQVBUTRSTQC-JTQLQIEISA-N 0 0 267.281 2.626 20 5 CFBDRN Cc1nccc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000919467010 624577143 /nfs/dbraw/zinc/57/71/43/624577143.db2.gz MYXFSKGYDPMCJG-VIFPVBQESA-N 0 0 287.275 2.611 20 5 CFBDRN CC(C)(C)CCOC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000919506351 624580962 /nfs/dbraw/zinc/58/09/62/624580962.db2.gz XIQAZNBJCJCWLB-UHFFFAOYSA-N 0 0 284.287 2.909 20 5 CFBDRN O=C(C=C1CCCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000919696558 624598733 /nfs/dbraw/zinc/59/87/33/624598733.db2.gz IWIVRBRVUAFJPF-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN O=C(CCc1nccs1)Nc1cc([N+](=O)[O-])ccc1F ZINC000919985190 624653157 /nfs/dbraw/zinc/65/31/57/624653157.db2.gz GLKNLFDNIFMFOR-UHFFFAOYSA-N 0 0 295.295 2.762 20 5 CFBDRN CCO[C@@H](CC)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000919988726 624654962 /nfs/dbraw/zinc/65/49/62/624654962.db2.gz JAVYJYTYASARPV-NSHDSACASA-N 0 0 267.281 2.624 20 5 CFBDRN CC[C@H](C)OC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000920122951 624681784 /nfs/dbraw/zinc/68/17/84/624681784.db2.gz MKVUXAAAUDRQRF-QMMMGPOBSA-N 0 0 252.270 2.592 20 5 CFBDRN CN(C(=O)CCc1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC000930121394 624693981 /nfs/dbraw/zinc/69/39/81/624693981.db2.gz DQNJRYYPXHMCKU-UHFFFAOYSA-N 0 0 282.727 2.802 20 5 CFBDRN CCC/C(C)=C\C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000920217256 624698448 /nfs/dbraw/zinc/69/84/48/624698448.db2.gz YLJYATGCRORHCV-QXMHVHEDSA-N 0 0 291.351 2.869 20 5 CFBDRN CCC/C(C)=C\C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000920222729 624700850 /nfs/dbraw/zinc/70/08/50/624700850.db2.gz WRKSNZMTHGICQB-FLIBITNWSA-N 0 0 292.335 2.966 20 5 CFBDRN CC[C@H](C)n1ncc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1C ZINC000830327099 624709747 /nfs/dbraw/zinc/70/97/47/624709747.db2.gz OQOURTKMQLLNHU-JTQLQIEISA-N 0 0 296.371 2.937 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCC(=O)CC1CC1 ZINC000830368871 624723933 /nfs/dbraw/zinc/72/39/33/624723933.db2.gz CGLIOUOERJVSIB-UHFFFAOYSA-N 0 0 295.266 2.568 20 5 CFBDRN CCn1ccc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000830729298 624827309 /nfs/dbraw/zinc/82/73/09/624827309.db2.gz WTBBRJNQVHCZMH-JTQLQIEISA-N 0 0 289.291 2.729 20 5 CFBDRN CCN(CC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000921366375 624850834 /nfs/dbraw/zinc/85/08/34/624850834.db2.gz JQFAZTBBSVOWFK-SNVBAGLBSA-N 0 0 265.313 2.707 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CCC(=O)C1 ZINC000830892259 624920832 /nfs/dbraw/zinc/92/08/32/624920832.db2.gz QZWWAHFUHOURFU-SECBINFHSA-N 0 0 297.694 2.661 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1cccc2c1OCCO2 ZINC000064338432 624924402 /nfs/dbraw/zinc/92/44/02/624924402.db2.gz OVFNMMOYNBMQRV-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCC(=O)C1)c1cccc([N+](=O)[O-])c1 ZINC000830921788 624929163 /nfs/dbraw/zinc/92/91/63/624929163.db2.gz LCHDVVALAOEDJR-KOLCDFICSA-N 0 0 277.276 2.568 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233335871 624938811 /nfs/dbraw/zinc/93/88/11/624938811.db2.gz HZFQULKDMZBEDK-VIFPVBQESA-N 0 0 268.288 2.961 20 5 CFBDRN CC[C@@H](CO)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000233870442 624959114 /nfs/dbraw/zinc/95/91/14/624959114.db2.gz LVSSKXVQKPIWRT-LURJTMIESA-N 0 0 262.668 2.570 20 5 CFBDRN CC[C@H](C)[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000831187195 624970024 /nfs/dbraw/zinc/97/00/24/624970024.db2.gz BRNUPIVBTSYEEP-WPRPVWTQSA-N 0 0 298.305 2.915 20 5 CFBDRN COc1ccc(OC(=O)OC2CCC2)cc1[N+](=O)[O-] ZINC000831249542 624977572 /nfs/dbraw/zinc/97/75/72/624977572.db2.gz VJMRGXQNJHKNCR-UHFFFAOYSA-N 0 0 267.237 2.671 20 5 CFBDRN CCCCCCNC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000068511848 625004720 /nfs/dbraw/zinc/00/47/20/625004720.db2.gz VNQNIETUSMSMCW-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN COc1ccc(OC(=O)Cc2cccs2)c([N+](=O)[O-])c1 ZINC000068720149 625022365 /nfs/dbraw/zinc/02/23/65/625022365.db2.gz BELAHODOCMKHSM-UHFFFAOYSA-N 0 0 293.300 2.813 20 5 CFBDRN CCON(CC)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000831496288 625035994 /nfs/dbraw/zinc/03/59/94/625035994.db2.gz OQVFDVFANAQIAQ-UHFFFAOYSA-N 0 0 295.339 2.587 20 5 CFBDRN Cc1cnc(CNC(=O)c2c(C)cccc2[N+](=O)[O-])c(C)c1 ZINC000831548283 625051707 /nfs/dbraw/zinc/05/17/07/625051707.db2.gz JMZVGAYCWTUVAP-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN COc1cc(OCC(=O)OCCC(C)C)ccc1[N+](=O)[O-] ZINC000069172084 625057711 /nfs/dbraw/zinc/05/77/11/625057711.db2.gz PGHMGNNBIRRMQY-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000831727814 625108020 /nfs/dbraw/zinc/10/80/20/625108020.db2.gz VDKBERGFIZLXAF-GFCCVEGCSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C[C@H]1F ZINC000831800825 625136438 /nfs/dbraw/zinc/13/64/38/625136438.db2.gz XWDYXLBIASYKBZ-QPUJVOFHSA-N 0 0 284.262 2.554 20 5 CFBDRN O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@@H]2C[C@@H]21 ZINC000831818693 625141790 /nfs/dbraw/zinc/14/17/90/625141790.db2.gz NQTIANDWYCMRAG-KCJUWKMLSA-N 0 0 280.711 2.873 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)c2nccn2C)cccc1[N+](=O)[O-] ZINC000851584079 634445324 /nfs/dbraw/zinc/44/53/24/634445324.db2.gz XHOJKARSSJLXFQ-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)CC1 ZINC000070158049 625274875 /nfs/dbraw/zinc/27/48/75/625274875.db2.gz PXQNEYNKGYREEM-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN CC(C)(CCc1nc([C@H]2CCCS2)no1)[N+](=O)[O-] ZINC000834615341 625736183 /nfs/dbraw/zinc/73/61/83/625736183.db2.gz CTRBWJVUWFUAFV-MRVPVSSYSA-N 0 0 271.342 2.626 20 5 CFBDRN Cc1ccc(N(C)CC(=O)Nc2ccccc2[N+](=O)[O-])cc1 ZINC000101562309 625737010 /nfs/dbraw/zinc/73/70/10/625737010.db2.gz GTUYIBRGWCHXJY-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN C/C=C\COC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000072991822 625754461 /nfs/dbraw/zinc/75/44/61/625754461.db2.gz HQJJMHASYDPNKS-IHWYPQMZSA-N 0 0 290.319 2.928 20 5 CFBDRN Cc1c(C(=O)OCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000073001683 625755247 /nfs/dbraw/zinc/75/52/47/625755247.db2.gz RQZRNBIWHFINSR-UHFFFAOYSA-N 0 0 263.171 2.622 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCS[C@H](C)C2)c1 ZINC000834669500 625773297 /nfs/dbraw/zinc/77/32/97/625773297.db2.gz AIFMSFBMLZFUCB-GHMZBOCLSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)CCS1 ZINC000834671055 625774160 /nfs/dbraw/zinc/77/41/60/625774160.db2.gz MLZXHRGNHBNISA-CMPLNLGQSA-N 0 0 294.376 2.538 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCS[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000834681224 625779897 /nfs/dbraw/zinc/77/98/97/625779897.db2.gz AWMSKWGUTXEAGN-JQWIXIFHSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)CCS1 ZINC000834701611 625791963 /nfs/dbraw/zinc/79/19/63/625791963.db2.gz VQENVYNVDDSSNQ-JGVFFNPUSA-N 0 0 286.378 2.670 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCS[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000834707819 625796610 /nfs/dbraw/zinc/79/66/10/625796610.db2.gz XZYUBWHHGDDRDG-KOLCDFICSA-N 0 0 294.376 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CSC(F)F)n2)o1 ZINC000834843788 625900436 /nfs/dbraw/zinc/90/04/36/625900436.db2.gz LQMSWPZULNVGJR-UHFFFAOYSA-N 0 0 277.208 2.694 20 5 CFBDRN CC(C)(CCc1nc([C@@H]2CCOC(C)(C)C2)no1)[N+](=O)[O-] ZINC000834886231 625909768 /nfs/dbraw/zinc/90/97/68/625909768.db2.gz JUFALQPGUVOGSP-SNVBAGLBSA-N 0 0 297.355 2.730 20 5 CFBDRN CCCCCCNC(=O)COc1ccc([N+](=O)[O-])cc1C ZINC000002609801 625917558 /nfs/dbraw/zinc/91/75/58/625917558.db2.gz UPMRQRNGARSFFA-UHFFFAOYSA-N 0 0 294.351 2.979 20 5 CFBDRN CC(C)(C)OC(=O)NCCCNc1ccc([N+](=O)[O-])cc1 ZINC000112733864 625949694 /nfs/dbraw/zinc/94/96/94/625949694.db2.gz DXERIJKXEAJIKU-UHFFFAOYSA-N 0 0 295.339 2.922 20 5 CFBDRN CSCCOC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000073413283 626003841 /nfs/dbraw/zinc/00/38/41/626003841.db2.gz MRXANLQLIGEMGM-UHFFFAOYSA-N 0 0 287.362 2.837 20 5 CFBDRN CCCCO[C@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000065686114 626203553 /nfs/dbraw/zinc/20/35/53/626203553.db2.gz URZNFRYWXDTTAW-GFCCVEGCSA-N 0 0 295.335 2.886 20 5 CFBDRN CC(F)(F)CCCOC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000922539564 626304484 /nfs/dbraw/zinc/30/44/84/626304484.db2.gz CMQMQKAKBSHCDF-UHFFFAOYSA-N 0 0 289.234 2.893 20 5 CFBDRN COC[C@@H](C)OC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000073836908 626395399 /nfs/dbraw/zinc/39/53/99/626395399.db2.gz HNWJPGZSTSTLTP-MRVPVSSYSA-N 0 0 285.321 2.508 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000086648002 626404415 /nfs/dbraw/zinc/40/44/15/626404415.db2.gz VXPSKWXOYAOVLP-UHFFFAOYSA-N 0 0 279.340 2.876 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNCc1cncc(O)c1 ZINC000922975255 626435778 /nfs/dbraw/zinc/43/57/78/626435778.db2.gz CLFJUIKPQBTPNI-UHFFFAOYSA-N 0 0 287.319 2.602 20 5 CFBDRN Cc1ccc(COC(=O)[C@H](C)[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000923061017 626447462 /nfs/dbraw/zinc/44/74/62/626447462.db2.gz UBFAQUWNLQKQLK-BXUZGUMPSA-N 0 0 293.319 2.762 20 5 CFBDRN CCN(CC[N+](=O)[O-])Cc1cccc(Br)c1 ZINC000929378109 626464396 /nfs/dbraw/zinc/46/43/96/626464396.db2.gz BCYQRTPXLZMLBH-UHFFFAOYSA-N 0 0 287.157 2.548 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)O1 ZINC000757118407 626480663 /nfs/dbraw/zinc/48/06/63/626480663.db2.gz VDJYJHGVIKNSTA-ZJUUUORDSA-N 0 0 285.321 2.657 20 5 CFBDRN Cc1c(COC(=O)/C=C\[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000838047026 626484815 /nfs/dbraw/zinc/48/48/15/626484815.db2.gz GIEODSKDRXDWKD-FOQNGQEVSA-N 0 0 291.303 2.539 20 5 CFBDRN O=C(CC1(O)CCC1)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000838211684 626488901 /nfs/dbraw/zinc/48/89/01/626488901.db2.gz PIBPONQXDOKKDP-UHFFFAOYSA-N 0 0 299.710 2.597 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838284143 626491812 /nfs/dbraw/zinc/49/18/12/626491812.db2.gz IDHJBTRQVWFEFB-GXFFZTMASA-N 0 0 281.308 2.606 20 5 CFBDRN CC(C)CCCOC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838287387 626491889 /nfs/dbraw/zinc/49/18/89/626491889.db2.gz YEBSANXGTNIALW-ZDUSSCGKSA-N 0 0 281.308 2.608 20 5 CFBDRN C[C@@H](C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000838395029 626495464 /nfs/dbraw/zinc/49/54/64/626495464.db2.gz PZRZTJDOAUOJRN-SNVBAGLBSA-N 0 0 291.303 2.757 20 5 CFBDRN CCC(C)(C)OCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000838410817 626496270 /nfs/dbraw/zinc/49/62/70/626496270.db2.gz JDYFYSVZQULQRJ-UHFFFAOYSA-N 0 0 299.298 2.982 20 5 CFBDRN CC(C)c1cccc(CC(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000838963859 626517239 /nfs/dbraw/zinc/51/72/39/626517239.db2.gz CZHXQSPNBSGGAU-NSHDSACASA-N 0 0 265.309 2.561 20 5 CFBDRN CC/C=C(/F)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000867001891 626524591 /nfs/dbraw/zinc/52/45/91/626524591.db2.gz UYNFWGBQRDCSJF-UUILKARUSA-N 0 0 278.283 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2C[C@@H]3C[C@H]2CS3)c1 ZINC000839193757 626531133 /nfs/dbraw/zinc/53/11/33/626531133.db2.gz XQMPYFGWNIEKSY-QWRGUYRKSA-N 0 0 284.768 2.938 20 5 CFBDRN CC(C)(CCC(=O)N1CCSc2ccccc21)[N+](=O)[O-] ZINC000839218533 626532430 /nfs/dbraw/zinc/53/24/30/626532430.db2.gz RNROARHXUQKCBA-UHFFFAOYSA-N 0 0 294.376 2.961 20 5 CFBDRN CCN(c1ccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc1)C(C)C ZINC000839461481 626536162 /nfs/dbraw/zinc/53/61/62/626536162.db2.gz XOUXMYPSGHTEDI-ZIAGYGMSSA-N 0 0 291.351 2.525 20 5 CFBDRN CCc1ccc(C(=O)N2Cc3ccncc3C2)cc1[N+](=O)[O-] ZINC000808104042 626539645 /nfs/dbraw/zinc/53/96/45/626539645.db2.gz WDWOMDBPAHCWAM-UHFFFAOYSA-N 0 0 297.314 2.708 20 5 CFBDRN C[C@@H]1N(c2c(F)cc([N+](=O)[O-])cc2F)CCOC1(C)C ZINC000749321960 626540654 /nfs/dbraw/zinc/54/06/54/626540654.db2.gz SVNGQUCVVHHHMS-QMMMGPOBSA-N 0 0 286.278 2.877 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1cc[nH]c1 ZINC000756990744 626543228 /nfs/dbraw/zinc/54/32/28/626543228.db2.gz KFMVQTXNNDKNRF-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1C[C@@H]1C1CC1 ZINC000756993056 626543250 /nfs/dbraw/zinc/54/32/50/626543250.db2.gz CIVBAXILSCDGMC-VXGBXAGGSA-N 0 0 294.738 2.911 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)O1 ZINC000757138172 626545782 /nfs/dbraw/zinc/54/57/82/626545782.db2.gz WZRAITRKVISODD-YPMHNXCESA-N 0 0 297.282 2.735 20 5 CFBDRN Cc1c2ccccc2oc1[C@H](C)NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839548179 626546680 /nfs/dbraw/zinc/54/66/80/626546680.db2.gz NKZCCGXUWGKZFY-MVWJERBFSA-N 0 0 288.303 2.584 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000867016853 626550644 /nfs/dbraw/zinc/55/06/44/626550644.db2.gz WVINYXPWPYWTMZ-LMLFDSFASA-N 0 0 279.340 2.817 20 5 CFBDRN Cc1ccc([C@H]2C[C@H](C)CCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])o1 ZINC000839695018 626551293 /nfs/dbraw/zinc/55/12/93/626551293.db2.gz VFQFKHQVLBVPKY-OJAKKHQRSA-N 0 0 292.335 2.553 20 5 CFBDRN O=C(/C=C\c1ccco1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757617529 626556473 /nfs/dbraw/zinc/55/64/73/626556473.db2.gz LCHHLMODOWCOFQ-SREVYHEPSA-N 0 0 298.298 2.786 20 5 CFBDRN CON(C(=O)c1ccc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000757629100 626556665 /nfs/dbraw/zinc/55/66/65/626556665.db2.gz LCQJGIUTOCHSGT-UHFFFAOYSA-N 0 0 282.271 2.680 20 5 CFBDRN CC(C)=C(C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757644598 626557089 /nfs/dbraw/zinc/55/70/89/626557089.db2.gz XYUQRDGKQJIYCB-UHFFFAOYSA-N 0 0 274.320 2.836 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1ccncc1 ZINC000757683964 626557905 /nfs/dbraw/zinc/55/79/05/626557905.db2.gz YFNXZSPKKGRJHB-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CCc1noc(C)c1COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000757955020 626569944 /nfs/dbraw/zinc/56/99/44/626569944.db2.gz XRTNBEKXDDCCMM-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000758112473 626577005 /nfs/dbraw/zinc/57/70/05/626577005.db2.gz GULIDWWLNJLOJA-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CC23CCCC3)nc2ccccn21 ZINC000758150677 626579014 /nfs/dbraw/zinc/57/90/14/626579014.db2.gz DWXDTDNGBCTYNR-SNVBAGLBSA-N 0 0 272.308 2.987 20 5 CFBDRN Cc1cc(N[C@@H](CO)CC(F)F)c(Cl)cc1[N+](=O)[O-] ZINC000758181125 626580702 /nfs/dbraw/zinc/58/07/02/626580702.db2.gz NBEKPEGUCCEKAL-SSDOTTSWSA-N 0 0 294.685 2.985 20 5 CFBDRN COC(C)(C)CN(C)c1ccc(C)cc1[N+](=O)[O-] ZINC000758486474 626595150 /nfs/dbraw/zinc/59/51/50/626595150.db2.gz PPLDOWGMBDLGTO-UHFFFAOYSA-N 0 0 252.314 2.764 20 5 CFBDRN COC(C)(C)CN(C)c1ccc([N+](=O)[O-])cc1F ZINC000758487804 626595534 /nfs/dbraw/zinc/59/55/34/626595534.db2.gz WYJIBXIGESVWCF-UHFFFAOYSA-N 0 0 256.277 2.595 20 5 CFBDRN CC(F)(F)CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000758706350 626603788 /nfs/dbraw/zinc/60/37/88/626603788.db2.gz WLZZCEQLKQLNBP-UHFFFAOYSA-N 0 0 259.208 2.683 20 5 CFBDRN CC(F)(F)CC(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000758703812 626603921 /nfs/dbraw/zinc/60/39/21/626603921.db2.gz YSOMDKNSBUTDBL-UHFFFAOYSA-N 0 0 289.234 2.562 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000759437534 626658738 /nfs/dbraw/zinc/65/87/38/626658738.db2.gz RUYNOCPTXJPHOT-UHFFFAOYSA-N 0 0 294.307 2.553 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000759820360 626693478 /nfs/dbraw/zinc/69/34/78/626693478.db2.gz ULVDNAIZQKXYAY-SNVBAGLBSA-N 0 0 261.277 2.999 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1CC12CCC2 ZINC000759826947 626694102 /nfs/dbraw/zinc/69/41/02/626694102.db2.gz CARKFZGJZIAPTL-LLVKDONJSA-N 0 0 279.267 2.967 20 5 CFBDRN CCCCOC(=O)[C@@H](C)N1CCc2c1cccc2[N+](=O)[O-] ZINC000759848957 626697176 /nfs/dbraw/zinc/69/71/76/626697176.db2.gz MGXKEPFVJOZREQ-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN O=C(CC1CC1)O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000759942337 626702963 /nfs/dbraw/zinc/70/29/63/626702963.db2.gz CEQGCHXASUEPCO-NEPJUHHUSA-N 0 0 293.323 2.618 20 5 CFBDRN CCC/C=C\C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000759991874 626707923 /nfs/dbraw/zinc/70/79/23/626707923.db2.gz NCQQSGIKVGACQT-YWEYNIOJSA-N 0 0 262.309 2.610 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1cncs1 ZINC000760023036 626710223 /nfs/dbraw/zinc/71/02/23/626710223.db2.gz RQVUHMNHTFLRKB-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN CCOCCCC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760022697 626710377 /nfs/dbraw/zinc/71/03/77/626710377.db2.gz OSROISPZXJGLTK-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1SCCS1 ZINC000760190122 626718552 /nfs/dbraw/zinc/71/85/52/626718552.db2.gz FIDMMWQMTMZMPK-UHFFFAOYSA-N 0 0 284.362 2.648 20 5 CFBDRN C[C@@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)c1ccc[nH]1 ZINC000760225527 626721410 /nfs/dbraw/zinc/72/14/10/626721410.db2.gz KBCVJWQVQSRPHS-SECBINFHSA-N 0 0 290.348 2.971 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)c1ccc[nH]1 ZINC000760418490 626744315 /nfs/dbraw/zinc/74/43/15/626744315.db2.gz FGXZEGUGCZMQAD-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@H]2Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000760461848 626747735 /nfs/dbraw/zinc/74/77/35/626747735.db2.gz FSBKSHZAQNTLDX-CYBMUJFWSA-N 0 0 299.334 2.886 20 5 CFBDRN CCN(CCC1CC1)c1nc2ccccn2c1[N+](=O)[O-] ZINC000760598164 626758446 /nfs/dbraw/zinc/75/84/46/626758446.db2.gz PEXKYALOJXQZCH-UHFFFAOYSA-N 0 0 274.324 2.869 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCOC1 ZINC000760779650 626771771 /nfs/dbraw/zinc/77/17/71/626771771.db2.gz NTACPXNOOSKCCW-SECBINFHSA-N 0 0 299.710 2.750 20 5 CFBDRN CCOC(=O)[C@@H](NCc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000760916550 626784172 /nfs/dbraw/zinc/78/41/72/626784172.db2.gz LLWHBQFLPHMKHF-CYBMUJFWSA-N 0 0 294.351 2.662 20 5 CFBDRN CCC(CC)(CC)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000761473437 626839139 /nfs/dbraw/zinc/83/91/39/626839139.db2.gz AYSDDGQTOTXCNU-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN CCC[C@H](C)c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000761851557 626864217 /nfs/dbraw/zinc/86/42/17/626864217.db2.gz GRKHVAKGYPCDRM-QMMMGPOBSA-N 0 0 262.269 2.943 20 5 CFBDRN CC/C=C/CCOC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762074906 626887345 /nfs/dbraw/zinc/88/73/45/626887345.db2.gz IKGRXWCDZYUONZ-ONEGZZNKSA-N 0 0 250.254 2.503 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCSC2)c1 ZINC000762129479 626895085 /nfs/dbraw/zinc/89/50/85/626895085.db2.gz DGTFULLCJZMLGT-SECBINFHSA-N 0 0 297.332 2.513 20 5 CFBDRN O=C(NCCCCF)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000936068701 649982975 /nfs/dbraw/zinc/98/29/75/649982975.db2.gz RZCKDQNCMBUQLK-STQMWFEESA-N 0 0 280.299 2.564 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1CCSC1 ZINC000762268475 626908327 /nfs/dbraw/zinc/90/83/27/626908327.db2.gz IFPHKEJDLCMBLP-LURJTMIESA-N 0 0 288.275 2.565 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@@H]1CCSC1 ZINC000762268478 626908355 /nfs/dbraw/zinc/90/83/55/626908355.db2.gz IFPHKEJDLCMBLP-ZCFIWIBFSA-N 0 0 288.275 2.565 20 5 CFBDRN Cc1ccncc1COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000762353793 626911711 /nfs/dbraw/zinc/91/17/11/626911711.db2.gz OZXDOHFXUGYPAQ-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])[C@H]1CC12CCC2 ZINC000762690417 626930583 /nfs/dbraw/zinc/93/05/83/626930583.db2.gz IIJVWDJGCKDCMY-GFCCVEGCSA-N 0 0 275.304 2.871 20 5 CFBDRN Cc1cc(C(=O)OCCc2ccccc2[N+](=O)[O-])c(C)[nH]1 ZINC000762699832 626931005 /nfs/dbraw/zinc/93/10/05/626931005.db2.gz SLFCVEDYBILEGL-UHFFFAOYSA-N 0 0 288.303 2.939 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000762710714 626932186 /nfs/dbraw/zinc/93/21/86/626932186.db2.gz OZVVMIDRQSDUKQ-GFCCVEGCSA-N 0 0 295.335 2.742 20 5 CFBDRN CC(=O)CCCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000762817335 626940353 /nfs/dbraw/zinc/94/03/53/626940353.db2.gz XNDMESYUHLCFGZ-UHFFFAOYSA-N 0 0 265.265 2.511 20 5 CFBDRN O=C(OCC1CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000762894977 626948386 /nfs/dbraw/zinc/94/83/86/626948386.db2.gz AXENIRSPWDKWJV-UHFFFAOYSA-N 0 0 260.249 2.643 20 5 CFBDRN C[C@H](C(=O)OCc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000762944669 626952333 /nfs/dbraw/zinc/95/23/33/626952333.db2.gz JWCRGRDURBCVHE-NSHDSACASA-N 0 0 286.287 2.837 20 5 CFBDRN CCCCOC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762969817 626953400 /nfs/dbraw/zinc/95/34/00/626953400.db2.gz GCPHMIXFOJIYAU-UHFFFAOYSA-N 0 0 281.293 2.556 20 5 CFBDRN O=C(OCCC1CC1)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762976278 626953982 /nfs/dbraw/zinc/95/39/82/626953982.db2.gz QGXIRYYUHYSQBA-UHFFFAOYSA-N 0 0 293.304 2.556 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CCOC[C@H]2C)c1 ZINC000763052330 626959729 /nfs/dbraw/zinc/95/97/29/626959729.db2.gz KJEJOYBESKDCMO-KCJUWKMLSA-N 0 0 284.287 2.579 20 5 CFBDRN CCOC(=O)[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)C(C)(C)C ZINC000763074605 626962458 /nfs/dbraw/zinc/96/24/58/626962458.db2.gz VUCNCCQTMQGSHE-GFCCVEGCSA-N 0 0 295.339 2.688 20 5 CFBDRN COCC1CCN(c2cccc([N+](=O)[O-])c2C(C)=O)CC1 ZINC000763202485 626970367 /nfs/dbraw/zinc/97/03/67/626970367.db2.gz BEQZSBZRERXCEX-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN CC(=O)c1c(N(C)CC2(O)CCCC2)cccc1[N+](=O)[O-] ZINC000763206443 626970395 /nfs/dbraw/zinc/97/03/95/626970395.db2.gz YRCYIHWMDRRMJP-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@H](C(=O)OCc1cncs1)c1cccc([N+](=O)[O-])c1 ZINC000763222063 626971515 /nfs/dbraw/zinc/97/15/15/626971515.db2.gz SUTWEVUREBBLNI-VIFPVBQESA-N 0 0 292.316 2.898 20 5 CFBDRN O=C(Nc1nccc2ccncc21)c1cccc([N+](=O)[O-])c1 ZINC000763307537 626978349 /nfs/dbraw/zinc/97/83/49/626978349.db2.gz YSTXPPMBRINNFD-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN C[C@@H](C(=O)OC/C=C/Cl)c1cccc([N+](=O)[O-])c1 ZINC000763401282 626985844 /nfs/dbraw/zinc/98/58/44/626985844.db2.gz GJGSSOSEDWLRGX-BSPAPZMXSA-N 0 0 269.684 2.994 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@]12CCOC2)c1cccc([N+](=O)[O-])c1 ZINC000763403297 626985914 /nfs/dbraw/zinc/98/59/14/626985914.db2.gz LDZMMWIRNSRFLQ-XEGUGMAKSA-N 0 0 291.303 2.626 20 5 CFBDRN CC(C)[C@H](C)OC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000763545198 626996777 /nfs/dbraw/zinc/99/67/77/626996777.db2.gz VUYADIHLMDNGPE-NSHDSACASA-N 0 0 281.308 2.951 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000763545195 626996820 /nfs/dbraw/zinc/99/68/20/626996820.db2.gz VUYADIHLMDNGPE-LLVKDONJSA-N 0 0 281.308 2.951 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763718320 627007854 /nfs/dbraw/zinc/00/78/54/627007854.db2.gz BMOXJLGPVQVFTJ-SSDOTTSWSA-N 0 0 285.683 2.773 20 5 CFBDRN C[C@@H](C(=O)OCC(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000764078693 627035692 /nfs/dbraw/zinc/03/56/92/627035692.db2.gz QRXAYFALSNDEHZ-SECBINFHSA-N 0 0 269.272 2.990 20 5 CFBDRN CC1(COC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)CCC1 ZINC000764116816 627039906 /nfs/dbraw/zinc/03/99/06/627039906.db2.gz RZERKWMVVNRYIT-UHFFFAOYSA-N 0 0 293.275 2.671 20 5 CFBDRN CS/C=C/C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000764251141 627048299 /nfs/dbraw/zinc/04/82/99/627048299.db2.gz DJCGOQPDYMJDAA-AATRIKPKSA-N 0 0 253.279 2.515 20 5 CFBDRN Cc1cc(F)cc(C(=O)O[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC000764401106 627057652 /nfs/dbraw/zinc/05/76/52/627057652.db2.gz ZOVIUYQUDCGWPZ-QMMMGPOBSA-N 0 0 267.256 2.998 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1C[C@]12CCOC2 ZINC000764759688 627090782 /nfs/dbraw/zinc/09/07/82/627090782.db2.gz LTODWVQKYSYOSS-ZANVPECISA-N 0 0 297.694 2.580 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)C[C@@H]2CCOC2)n1 ZINC000765525503 627145073 /nfs/dbraw/zinc/14/50/73/627145073.db2.gz IJVWQIIAXFMNJW-MNOVXSKESA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1cccc(C)c1CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000765671099 627153434 /nfs/dbraw/zinc/15/34/34/627153434.db2.gz OZPXYWVYKUGPJZ-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CNc1c(C(=O)N(OC)C2CCCC2)cccc1[N+](=O)[O-] ZINC000765689434 627154638 /nfs/dbraw/zinc/15/46/38/627154638.db2.gz HUIHLAAKEOPUSW-UHFFFAOYSA-N 0 0 293.323 2.583 20 5 CFBDRN COc1cc(COC(=O)C=C2CCC2)c([N+](=O)[O-])cc1F ZINC000766216040 627185504 /nfs/dbraw/zinc/18/55/04/627185504.db2.gz XFNSZLXJSAQLIG-UHFFFAOYSA-N 0 0 295.266 2.896 20 5 CFBDRN CC(C)COC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000766225350 627185789 /nfs/dbraw/zinc/18/57/89/627185789.db2.gz MHXUSMNQNIUIDB-UHFFFAOYSA-N 0 0 274.276 2.956 20 5 CFBDRN C[C@@H]1COCC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000766592653 627210747 /nfs/dbraw/zinc/21/07/47/627210747.db2.gz VWKFCSQQIBKYHR-PSASIEDQSA-N 0 0 298.726 2.859 20 5 CFBDRN CS[C@H](C)CC(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000766915395 627226838 /nfs/dbraw/zinc/22/68/38/627226838.db2.gz HACDKSAECFRJLZ-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2CC2(Cl)Cl)nc1C1CC1 ZINC000767058382 627232816 /nfs/dbraw/zinc/23/28/16/627232816.db2.gz VEADRLAHBQYKAX-SSDOTTSWSA-N 0 0 276.123 2.863 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H](O)c2ccccc2F)nc1C1CC1 ZINC000767058009 627233034 /nfs/dbraw/zinc/23/30/34/627233034.db2.gz QMTFRCWGFNQYQY-ZDUSSCGKSA-N 0 0 291.282 2.541 20 5 CFBDRN C[C@@H]1CN(c2nc3ccc([N+](=O)[O-])cc3s2)CCC1=O ZINC000767332467 627243904 /nfs/dbraw/zinc/24/39/04/627243904.db2.gz QTYXZODPWOJXBE-MRVPVSSYSA-N 0 0 291.332 2.620 20 5 CFBDRN CC(C)(F)C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000768641486 627360488 /nfs/dbraw/zinc/36/04/88/627360488.db2.gz VFVYTBHTHUWKJV-UHFFFAOYSA-N 0 0 285.271 2.655 20 5 CFBDRN C[C@H]1C[N@H+](C)[C@@H](C)CN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000768773759 627371376 /nfs/dbraw/zinc/37/13/76/627371376.db2.gz PTIONFZKFNKIOV-QWRGUYRKSA-N 0 0 297.786 2.773 20 5 CFBDRN CN(Cc1ncc[nH]1)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000851875169 634568942 /nfs/dbraw/zinc/56/89/42/634568942.db2.gz NXANAMTZQNAPKR-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN Cc1ccncc1C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000768840819 627378039 /nfs/dbraw/zinc/37/80/39/627378039.db2.gz WHWXAWACUIMWLZ-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN CO[C@H](C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000769850204 627481048 /nfs/dbraw/zinc/48/10/48/627481048.db2.gz PDQMDGJQUBDKJZ-GWCFXTLKSA-N 0 0 281.308 2.870 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C[C@H]1CCCOC1 ZINC000769849152 627481373 /nfs/dbraw/zinc/48/13/73/627481373.db2.gz BWJUIILFXAZFMH-VXGBXAGGSA-N 0 0 276.336 2.772 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OC[C@H]1CCCO1 ZINC000769880533 627484898 /nfs/dbraw/zinc/48/48/98/627484898.db2.gz FHXXLHNQSSTTJJ-GFCCVEGCSA-N 0 0 293.319 2.856 20 5 CFBDRN CO[C@@H](C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)C(C)C ZINC000769920857 627487696 /nfs/dbraw/zinc/48/76/96/627487696.db2.gz SGDFLTPWDJLJIS-LLVKDONJSA-N 0 0 286.715 2.858 20 5 CFBDRN Cc1cnccc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000769995603 627495216 /nfs/dbraw/zinc/49/52/16/627495216.db2.gz OHGOBGNYSIMXMU-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN Cc1cnc(Nc2cnn(C)c2C2CC2)c([N+](=O)[O-])c1 ZINC000770126917 627503872 /nfs/dbraw/zinc/50/38/72/627503872.db2.gz IJHHGSWYIOGWRP-UHFFFAOYSA-N 0 0 273.296 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@](C)(O)C(C)C ZINC000770557725 627529358 /nfs/dbraw/zinc/52/93/58/627529358.db2.gz OXTSWHSSAOEGQU-NHYWBVRUSA-N 0 0 295.335 2.914 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2)o1 ZINC000770926482 627559183 /nfs/dbraw/zinc/55/91/83/627559183.db2.gz HHSQHLPXZYKWQF-SECBINFHSA-N 0 0 276.248 2.809 20 5 CFBDRN CCCC(=CC(=O)OCCn1ccc([N+](=O)[O-])n1)CCC ZINC000771138263 627580654 /nfs/dbraw/zinc/58/06/54/627580654.db2.gz SFJWNOWAFMMVHJ-UHFFFAOYSA-N 0 0 295.339 2.861 20 5 CFBDRN CCCCCCNC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000771247034 627587962 /nfs/dbraw/zinc/58/79/62/627587962.db2.gz ZBRODKDHEIRRPH-UHFFFAOYSA-N 0 0 282.344 2.751 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CC(C2CC2)C1 ZINC000936067765 649983182 /nfs/dbraw/zinc/98/31/82/649983182.db2.gz OUMFSNUVURSBJR-UHFFFAOYSA-N 0 0 292.310 2.535 20 5 CFBDRN C[C@@H](C[C@H](O)c1ccccc1)Nc1ccc([N+](=O)[O-])nc1 ZINC000771926212 627648524 /nfs/dbraw/zinc/64/85/24/627648524.db2.gz MFUQMVTVSCVNDQ-FZMZJTMJSA-N 0 0 287.319 2.914 20 5 CFBDRN Cc1ncc(CCNc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000771922100 627648996 /nfs/dbraw/zinc/64/89/96/627648996.db2.gz LKSBJSDQSATHBZ-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000772329390 627702395 /nfs/dbraw/zinc/70/23/95/627702395.db2.gz RJXUUMJEBOXWGW-CYBMUJFWSA-N 0 0 279.292 2.516 20 5 CFBDRN CCc1nc(C(=O)OCc2cccc([N+](=O)[O-])c2C)co1 ZINC000772392986 627707297 /nfs/dbraw/zinc/70/72/97/627707297.db2.gz AQPFYWRFSANRMB-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=C(Oc1ccc2cncnc2c1)c1cccc([N+](=O)[O-])c1 ZINC000772521096 627718363 /nfs/dbraw/zinc/71/83/63/627718363.db2.gz HUZKPCZRBCMNGW-UHFFFAOYSA-N 0 0 295.254 2.757 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1c(O)cccc1F ZINC000772582071 627724131 /nfs/dbraw/zinc/72/41/31/627724131.db2.gz MYGPCHLIULERAA-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN Cc1ccc(COC(=O)c2cc([N+](=O)[O-])ccc2C)cn1 ZINC000772668688 627733488 /nfs/dbraw/zinc/73/34/88/627733488.db2.gz BMHDHVBZWFPGGH-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)COC1(C)CCC1 ZINC000772972655 627763728 /nfs/dbraw/zinc/76/37/28/627763728.db2.gz VJHGMBLVWLBQEI-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN COCCC1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000773247795 627798781 /nfs/dbraw/zinc/79/87/81/627798781.db2.gz VIYZXNDCIYECLU-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1ccc(S(=O)(=O)Oc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000773283958 627801418 /nfs/dbraw/zinc/80/14/18/627801418.db2.gz RMYQCEGWEAMPSK-UHFFFAOYSA-N 0 0 297.288 2.572 20 5 CFBDRN CSCCOC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000773548342 627836583 /nfs/dbraw/zinc/83/65/83/627836583.db2.gz YKPXBBRFZYJWLN-UHFFFAOYSA-N 0 0 275.713 2.768 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cccnc1)CC2 ZINC000773985915 627876282 /nfs/dbraw/zinc/87/62/82/627876282.db2.gz SDVZEOXRYURFHU-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC(=O)[C@H](C)CC(C)(C)C ZINC000774000817 627877243 /nfs/dbraw/zinc/87/72/43/627877243.db2.gz ONCOGMCZWVBWHM-SNVBAGLBSA-N 0 0 297.355 2.715 20 5 CFBDRN C[C@@H](OC(=O)C1CCC(=O)CC1)c1ccccc1[N+](=O)[O-] ZINC000774016628 627879737 /nfs/dbraw/zinc/87/97/37/627879737.db2.gz UGBNGAITMXXTEU-SNVBAGLBSA-N 0 0 291.303 2.958 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCOC[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000774064243 627883217 /nfs/dbraw/zinc/88/32/17/627883217.db2.gz MGPXSUOMFYRFQD-SRVKXCTJSA-N 0 0 293.319 2.872 20 5 CFBDRN COc1cccc(C(=O)OC[C@@H]2CCCS2)c1[N+](=O)[O-] ZINC000774120733 627890347 /nfs/dbraw/zinc/89/03/47/627890347.db2.gz CBSLJTWSQDGIMX-VIFPVBQESA-N 0 0 297.332 2.656 20 5 CFBDRN CCc1ccc(C(=O)Nc2c[nH]nc2Cl)cc1[N+](=O)[O-] ZINC000774225132 627905997 /nfs/dbraw/zinc/90/59/97/627905997.db2.gz QMOLCBROFUGUDP-UHFFFAOYSA-N 0 0 294.698 2.786 20 5 CFBDRN CCO[C@@H]1C[C@@H]1COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000774313409 627914963 /nfs/dbraw/zinc/91/49/63/627914963.db2.gz IFBXHUVZBLCSHF-MPKXVKKWSA-N 0 0 293.319 2.667 20 5 CFBDRN CCc1cc(CNc2ccc([N+](=O)[O-])c(OC)c2)[nH]n1 ZINC000774528290 627935103 /nfs/dbraw/zinc/93/51/03/627935103.db2.gz CEKLYSRQKSFAMU-UHFFFAOYSA-N 0 0 276.296 2.501 20 5 CFBDRN CCc1cc(CNc2cc(OC)ccc2[N+](=O)[O-])[nH]n1 ZINC000774528928 627935196 /nfs/dbraw/zinc/93/51/96/627935196.db2.gz HAKYTZCSJCKZOO-UHFFFAOYSA-N 0 0 276.296 2.501 20 5 CFBDRN CCc1cc(CNc2ccc([N+](=O)[O-])s2)[nH]n1 ZINC000774529814 627935860 /nfs/dbraw/zinc/93/58/60/627935860.db2.gz NCAKGIUIDJENQR-UHFFFAOYSA-N 0 0 252.299 2.554 20 5 CFBDRN Cc1cccc(CN(C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000774534156 627936108 /nfs/dbraw/zinc/93/61/08/627936108.db2.gz IMQGMKHXHPQVQD-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CCOC(=O)[C@@H](Nc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000774536907 627936477 /nfs/dbraw/zinc/93/64/77/627936477.db2.gz OTIFFSSVQWLKKO-GFCCVEGCSA-N 0 0 280.324 2.985 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc(OC(F)F)cn1 ZINC000774712133 627950681 /nfs/dbraw/zinc/95/06/81/627950681.db2.gz CTFAXMRGIVGEFR-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H](C)C[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000774723411 627952960 /nfs/dbraw/zinc/95/29/60/627952960.db2.gz XSUQRRQQYDOWHY-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@H](CC1CCCCC1)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000774800287 627963999 /nfs/dbraw/zinc/96/39/99/627963999.db2.gz FDQTZDBRWLFBPC-LLVKDONJSA-N 0 0 295.339 2.693 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000851995887 634619716 /nfs/dbraw/zinc/61/97/16/634619716.db2.gz BABZHVGZRILFFX-JQWIXIFHSA-N 0 0 275.308 2.674 20 5 CFBDRN CCc1ccnc(C(=O)OCc2ccccc2[N+](=O)[O-])c1 ZINC000775094199 627993773 /nfs/dbraw/zinc/99/37/73/627993773.db2.gz YEMHEXIULLVBGV-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCC(=O)[C@H](C)C1 ZINC000775481687 628029645 /nfs/dbraw/zinc/02/96/45/628029645.db2.gz OYNFZTZQGUQFKB-MNOVXSKESA-N 0 0 291.303 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)COc2ccc(F)c(F)c2)cc1 ZINC000776275575 628125162 /nfs/dbraw/zinc/12/51/62/628125162.db2.gz GEZHGGCPKKUFSO-AWEZNQCLSA-N 0 0 295.241 2.985 20 5 CFBDRN COc1ccccc1OC[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776277213 628125258 /nfs/dbraw/zinc/12/52/58/628125258.db2.gz LTNQCGPWJVHNQN-ZDUSSCGKSA-N 0 0 289.287 2.716 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)C1(F)CCCC1 ZINC000776307184 628129370 /nfs/dbraw/zinc/12/93/70/628129370.db2.gz UCTLSHRUTLFDTG-UHFFFAOYSA-N 0 0 270.235 2.955 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1(F)CCCC1 ZINC000776306226 628129387 /nfs/dbraw/zinc/12/93/87/628129387.db2.gz KLQFXIIHZKSLDV-UHFFFAOYSA-N 0 0 282.271 2.824 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCCCCCCO)c1[N+](=O)[O-] ZINC000776391381 628142094 /nfs/dbraw/zinc/14/20/94/628142094.db2.gz FGMSSVMBMDHMAT-UHFFFAOYSA-N 0 0 299.298 2.752 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000776416375 628147091 /nfs/dbraw/zinc/14/70/91/628147091.db2.gz YHAVFLVEKNMORB-VHSXEESVSA-N 0 0 297.282 2.592 20 5 CFBDRN CCc1ccc(OC(=O)[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000776523815 628156344 /nfs/dbraw/zinc/15/63/44/628156344.db2.gz WBNGBRUJVKRLGF-PWSUYJOCSA-N 0 0 293.319 2.878 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776700597 628169083 /nfs/dbraw/zinc/16/90/83/628169083.db2.gz LCSYBEBKLDPMSZ-JZYVYDRUSA-N 0 0 267.306 2.746 20 5 CFBDRN CC1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000790810706 628186124 /nfs/dbraw/zinc/18/61/24/628186124.db2.gz OPLKMKOJDADAFE-UHFFFAOYSA-N 0 0 253.229 2.691 20 5 CFBDRN CSc1cccc(C(=O)OCCC(C)(C)O)c1[N+](=O)[O-] ZINC000777079882 628198677 /nfs/dbraw/zinc/19/86/77/628198677.db2.gz OZOXNWMCPPHWFH-UHFFFAOYSA-N 0 0 299.348 2.635 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCCc1ccoc1 ZINC000777379331 628218987 /nfs/dbraw/zinc/21/89/87/628218987.db2.gz IQNXBVKTIVAOMH-UTCJRWHESA-N 0 0 287.271 2.987 20 5 CFBDRN Cc1cccc(C(=O)OCCc2ccoc2)c1[N+](=O)[O-] ZINC000777379422 628219420 /nfs/dbraw/zinc/21/94/20/628219420.db2.gz LWVCIDMRKSBSDB-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NOC1CCCCC1 ZINC000777475816 628229151 /nfs/dbraw/zinc/22/91/51/628229151.db2.gz XVAXUNUWTSOAOO-UHFFFAOYSA-N 0 0 293.323 2.658 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1Cc1ccc(CF)cc1 ZINC000777535540 628233556 /nfs/dbraw/zinc/23/35/56/628233556.db2.gz SLPIZUFMCBZWEN-UHFFFAOYSA-N 0 0 296.685 2.928 20 5 CFBDRN C[C@H](CNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000777956138 628267250 /nfs/dbraw/zinc/26/72/50/628267250.db2.gz BYFKRVRTECRPDZ-SECBINFHSA-N 0 0 298.289 2.849 20 5 CFBDRN O=C(NOC1CCCCC1)c1ccccc1[N+](=O)[O-] ZINC000777960200 628267537 /nfs/dbraw/zinc/26/75/37/628267537.db2.gz UPEMLWQDAYCTLX-UHFFFAOYSA-N 0 0 264.281 2.589 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NOC1CCCCC1 ZINC000777964125 628268651 /nfs/dbraw/zinc/26/86/51/628268651.db2.gz GYQOEBUCFFHCGE-UHFFFAOYSA-N 0 0 278.308 2.518 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](C)[C@@H](F)C2)c1 ZINC000777998526 628271621 /nfs/dbraw/zinc/27/16/21/628271621.db2.gz TWKUJQJENRWVHJ-KCJUWKMLSA-N 0 0 286.278 2.927 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000778009497 628272537 /nfs/dbraw/zinc/27/25/37/628272537.db2.gz OFCRDCCTTGLGQB-CYBMUJFWSA-N 0 0 268.288 2.595 20 5 CFBDRN O=C(NCCC1CCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778033759 628273810 /nfs/dbraw/zinc/27/38/10/628273810.db2.gz FWWFKWAKNQPEKO-UHFFFAOYSA-N 0 0 298.289 2.993 20 5 CFBDRN CC(C)OCC(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000778087402 628277113 /nfs/dbraw/zinc/27/71/13/628277113.db2.gz KLZARUXSVVNRJB-UHFFFAOYSA-N 0 0 287.699 2.716 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000778145157 628280066 /nfs/dbraw/zinc/28/00/66/628280066.db2.gz HPIPOGIFTBVEKK-MRVPVSSYSA-N 0 0 252.270 2.522 20 5 CFBDRN O=C(OCCCF)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778169005 628283011 /nfs/dbraw/zinc/28/30/11/628283011.db2.gz HSCUTVZEVIQHRS-UHFFFAOYSA-N 0 0 277.198 2.589 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000778374188 628301615 /nfs/dbraw/zinc/30/16/15/628301615.db2.gz VQZWHKUWZKRVPS-QWRGUYRKSA-N 0 0 279.292 2.567 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1ccncn1 ZINC000778450548 628307940 /nfs/dbraw/zinc/30/79/40/628307940.db2.gz YHTNDVXBOHKXDO-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CCO[C@@H](C)C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778771740 628331301 /nfs/dbraw/zinc/33/13/01/628331301.db2.gz BUXWYYNHBOJWGZ-QWRGUYRKSA-N 0 0 281.308 2.932 20 5 CFBDRN C/C=C(\C)C(=O)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778792078 628332283 /nfs/dbraw/zinc/33/22/83/628332283.db2.gz ISZZNMDLMBVTNX-ADLJWPQSSA-N 0 0 290.319 2.683 20 5 CFBDRN COc1cc(COC(=O)/C=C\C2CC2)ccc1[N+](=O)[O-] ZINC000778812972 628333354 /nfs/dbraw/zinc/33/33/54/628333354.db2.gz SKVAORMJFIUWNJ-ALCCZGGFSA-N 0 0 277.276 2.613 20 5 CFBDRN COc1cc(COC(=O)[C@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000778829374 628335150 /nfs/dbraw/zinc/33/51/50/628335150.db2.gz QXFJAUBXTFLOOD-SNVBAGLBSA-N 0 0 279.292 2.693 20 5 CFBDRN COCC1(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000778876260 628337735 /nfs/dbraw/zinc/33/77/35/628337735.db2.gz VAOXCFKOQFQMKK-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN COCC1(C(=O)O[C@@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000779199228 628359537 /nfs/dbraw/zinc/35/95/37/628359537.db2.gz XMBNYBYOZSASJP-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN COCC1(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000779255898 628364093 /nfs/dbraw/zinc/36/40/93/628364093.db2.gz ICXFKEPGMBLCJJ-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000779263639 628366148 /nfs/dbraw/zinc/36/61/48/628366148.db2.gz XUBMPJIYIIQABI-WDEREUQCSA-N 0 0 278.308 2.739 20 5 CFBDRN COc1ccc(CC(=O)O[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000779263582 628366168 /nfs/dbraw/zinc/36/61/68/628366168.db2.gz NPJHUZNFIGNJEN-CMPLNLGQSA-N 0 0 293.319 2.878 20 5 CFBDRN CNc1ccc(C(=O)O[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000779266172 628367092 /nfs/dbraw/zinc/36/70/92/628367092.db2.gz VGQZDEQTZRJRDG-GXSJLCMTSA-N 0 0 278.308 2.982 20 5 CFBDRN CC[C@@H]1C[C@@H](OC(=O)Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000779294196 628370934 /nfs/dbraw/zinc/37/09/34/628370934.db2.gz YRNBJEZYJPRLAS-OLZOCXBDSA-N 0 0 293.319 2.638 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C[C@H]1O ZINC000779426645 628381195 /nfs/dbraw/zinc/38/11/95/628381195.db2.gz FNWXLZHLRFJIIS-ZIAGYGMSSA-N 0 0 278.352 2.828 20 5 CFBDRN CCc1cnc(CNc2cc(OC)cc(F)c2[N+](=O)[O-])o1 ZINC000779711310 628394873 /nfs/dbraw/zinc/39/48/73/628394873.db2.gz SWGSQPXCLQPUER-UHFFFAOYSA-N 0 0 295.270 2.905 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1[C@H]2CCCO[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000779857462 628414349 /nfs/dbraw/zinc/41/43/49/628414349.db2.gz ZXDHNMDRWZQGPB-KQURDKLPSA-N 0 0 291.303 2.624 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@H]2CCCCO2)nc2ccccn21 ZINC000779945546 628420398 /nfs/dbraw/zinc/42/03/98/628420398.db2.gz BZGQPUWVWVBMHD-LLVKDONJSA-N 0 0 290.323 2.614 20 5 CFBDRN Cc1cccc([C@@H](C)OC(=O)c2nn(C)cc2[N+](=O)[O-])c1 ZINC000779951050 628420874 /nfs/dbraw/zinc/42/08/74/628420874.db2.gz BYQHBIAVFNAUKQ-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCO1 ZINC000780141537 628434601 /nfs/dbraw/zinc/43/46/01/628434601.db2.gz KGZYTGDOTAYMDU-MNOVXSKESA-N 0 0 299.348 2.905 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC000780622206 628482871 /nfs/dbraw/zinc/48/28/71/628482871.db2.gz MPIOEBSRWSMBGF-LBPRGKRZSA-N 0 0 276.292 2.902 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)/C=C/C1CC1 ZINC000780637277 628483930 /nfs/dbraw/zinc/48/39/30/628483930.db2.gz SVABDVITFWEDQJ-QPJJXVBHSA-N 0 0 291.303 2.791 20 5 CFBDRN CC/C(C)=C(/C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000781014181 628512798 /nfs/dbraw/zinc/51/27/98/628512798.db2.gz QFWYGFUXVCXMAG-KHPPLWFESA-N 0 0 289.335 2.621 20 5 CFBDRN COC[C@H](C)CC(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000781171206 628528653 /nfs/dbraw/zinc/52/86/53/628528653.db2.gz IWUKGBTVTYCDHE-SNVBAGLBSA-N 0 0 281.308 2.619 20 5 CFBDRN Cc1cc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])co1 ZINC000781371547 628543714 /nfs/dbraw/zinc/54/37/14/628543714.db2.gz KLFPSMHOBGXWRF-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N(C)C)C1 ZINC000781623113 628567700 /nfs/dbraw/zinc/56/77/00/628567700.db2.gz RWNXRULHMFDPMT-MNOVXSKESA-N 0 0 291.351 2.579 20 5 CFBDRN C/C=C/COC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000781734242 628576466 /nfs/dbraw/zinc/57/64/66/628576466.db2.gz ATLKMJHABBFDKS-NSCUHMNNSA-N 0 0 294.263 2.555 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000781986809 628595291 /nfs/dbraw/zinc/59/52/91/628595291.db2.gz BABXJQDLMNJVSJ-SSDOTTSWSA-N 0 0 293.304 2.673 20 5 CFBDRN COC(C)(C)CC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000781987195 628595514 /nfs/dbraw/zinc/59/55/14/628595514.db2.gz MDOMMYMPLGRQDN-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C1N[C@@H](c2ccc([N+](=O)[O-])s2)Nc2cc(F)ccc21 ZINC000782193775 628613305 /nfs/dbraw/zinc/61/33/05/628613305.db2.gz HXCJCXAEPNYYIU-NSHDSACASA-N 0 0 293.279 2.650 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCO[C@H](C)C1 ZINC000782215867 628615817 /nfs/dbraw/zinc/61/58/17/628615817.db2.gz WTGMJODWOTVSMB-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000782225031 628616855 /nfs/dbraw/zinc/61/68/55/628616855.db2.gz UKSGVVCLACYPDQ-UHFFFAOYSA-N 0 0 296.670 2.710 20 5 CFBDRN C[C@@H](OC(=O)Cc1cncs1)c1ccc([N+](=O)[O-])cc1 ZINC000782315402 628627222 /nfs/dbraw/zinc/62/72/22/628627222.db2.gz MXDRRWWVNROFKG-SECBINFHSA-N 0 0 292.316 2.898 20 5 CFBDRN Cc1cccc(N(C)Cc2nnc3ccccn32)c1[N+](=O)[O-] ZINC000782346777 628631179 /nfs/dbraw/zinc/63/11/79/628631179.db2.gz ZZDPOIWXFZXVJZ-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCc2ncsc2C1 ZINC000782418133 628636195 /nfs/dbraw/zinc/63/61/95/628636195.db2.gz OFBQUMKIMVAPPR-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN Cc1ccc(N2C[C@H](C)O[C@@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000782410903 628636294 /nfs/dbraw/zinc/63/62/94/628636294.db2.gz VMTBOOLBZWFLCZ-TUAOUCFPSA-N 0 0 264.325 2.905 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccoc1 ZINC000782572213 628645179 /nfs/dbraw/zinc/64/51/79/628645179.db2.gz SGUWSAMNAPRPFX-UHFFFAOYSA-N 0 0 265.196 2.684 20 5 CFBDRN CC(C)(C)C[C@H](O)CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000805727797 628652086 /nfs/dbraw/zinc/65/20/86/628652086.db2.gz HOMIDHMVINMFJY-CYBMUJFWSA-N 0 0 295.335 2.825 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1CCC=CO1 ZINC000782837160 628659654 /nfs/dbraw/zinc/65/96/54/628659654.db2.gz ZCNAMMQFXPNSII-LBPRGKRZSA-N 0 0 291.307 2.717 20 5 CFBDRN COC(C)(C)CC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000782934279 628664519 /nfs/dbraw/zinc/66/45/19/628664519.db2.gz HVIJPDNIZKKONJ-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@@H]1C[C@@H](COc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000783002480 628668258 /nfs/dbraw/zinc/66/82/58/628668258.db2.gz XITXYLZODAPRRF-ZJUUUORDSA-N 0 0 269.272 2.928 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1nccs1 ZINC000783205623 628686103 /nfs/dbraw/zinc/68/61/03/628686103.db2.gz ZAKJXEITSIXUKX-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN Cc1nnc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)s1 ZINC000783663632 628732178 /nfs/dbraw/zinc/73/21/78/628732178.db2.gz BOWGZESPAJJUJN-UHFFFAOYSA-N 0 0 298.755 2.698 20 5 CFBDRN O=C(CC1CCOCC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783706906 628736330 /nfs/dbraw/zinc/73/63/30/628736330.db2.gz HXAQHUQVAGPDDR-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](F)C(C)C ZINC000784024795 628765671 /nfs/dbraw/zinc/76/56/71/628765671.db2.gz UBXGZXLOMRWIBV-LLVKDONJSA-N 0 0 270.260 2.536 20 5 CFBDRN CC(C)[C@@H](F)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000784024845 628765831 /nfs/dbraw/zinc/76/58/31/628765831.db2.gz VOXYTZBUPUGXJC-SNVBAGLBSA-N 0 0 258.224 2.667 20 5 CFBDRN CC(C)[C@H](F)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000784051575 628768922 /nfs/dbraw/zinc/76/89/22/628768922.db2.gz JGMRATNBRVGSPO-LBPRGKRZSA-N 0 0 268.288 2.547 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@@H]1C[C@H]1C1CC1 ZINC000784054813 628769535 /nfs/dbraw/zinc/76/95/35/628769535.db2.gz NDPQKSQERPSTRE-WDEREUQCSA-N 0 0 279.267 2.823 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CO[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000784066549 628771341 /nfs/dbraw/zinc/77/13/41/628771341.db2.gz QEFCUCBFSOJGAR-FOGDFJRCSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)OCCCC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000784089446 628775197 /nfs/dbraw/zinc/77/51/97/628775197.db2.gz ZCBGYVDQAMHCDT-UHFFFAOYSA-N 0 0 285.271 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1CO[C@@H](C)C1 ZINC000784278249 628798624 /nfs/dbraw/zinc/79/86/24/628798624.db2.gz LUSUVZWPAQMHCG-SRVKXCTJSA-N 0 0 293.319 2.932 20 5 CFBDRN C[C@@H](OC(=O)[C@H](O)CC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000784340537 628807329 /nfs/dbraw/zinc/80/73/29/628807329.db2.gz SIDIZIUUZCDYJC-ZWNOBZJWSA-N 0 0 295.335 2.996 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCO[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000784491928 628821048 /nfs/dbraw/zinc/82/10/48/628821048.db2.gz CGDXSQZLEWVOIS-SCVCMEIPSA-N 0 0 279.292 2.624 20 5 CFBDRN CN(C)c1ccc(COC(=O)[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000784514127 628823116 /nfs/dbraw/zinc/82/31/16/628823116.db2.gz YIOZEJJKGCRYDV-NSHDSACASA-N 0 0 292.335 2.750 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000784566910 628827940 /nfs/dbraw/zinc/82/79/40/628827940.db2.gz ILXDPEQMXAPIMQ-MWLCHTKSSA-N 0 0 297.282 2.592 20 5 CFBDRN COC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000784683368 628836198 /nfs/dbraw/zinc/83/61/98/628836198.db2.gz AXMGITMPIGEQJF-ZCFIWIBFSA-N 0 0 291.662 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000784729693 628839457 /nfs/dbraw/zinc/83/94/57/628839457.db2.gz PJBIRHPHKAEION-BZBKMWRSSA-N 0 0 263.253 2.713 20 5 CFBDRN CCc1nc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)co1 ZINC000784783675 628841723 /nfs/dbraw/zinc/84/17/23/628841723.db2.gz OUKNXWLPFKIYBL-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN C/C(=C\C(=O)OC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000784835545 628844454 /nfs/dbraw/zinc/84/44/54/628844454.db2.gz BWJFAPYPNMAXSZ-MARXPDLDSA-N 0 0 291.303 2.720 20 5 CFBDRN C/C(=C\C(=O)OCCF)c1ccc([N+](=O)[O-])cc1 ZINC000784872934 628847260 /nfs/dbraw/zinc/84/72/60/628847260.db2.gz DAXCWTFQXKEUGC-CMDGGOBGSA-N 0 0 253.229 2.511 20 5 CFBDRN CSCCOC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784881837 628848723 /nfs/dbraw/zinc/84/87/23/628848723.db2.gz AZJKQKSNIUADIE-MDZDMXLPSA-N 0 0 281.333 2.904 20 5 CFBDRN Cc1[nH]ncc1C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000785589348 628896160 /nfs/dbraw/zinc/89/61/60/628896160.db2.gz NRPDSQHTRHMJDK-SECBINFHSA-N 0 0 275.264 2.544 20 5 CFBDRN C[C@H]1CC[C@H](C)N1CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000785736763 628909503 /nfs/dbraw/zinc/90/95/03/628909503.db2.gz XKWOCWSECROTQS-RYUDHWBXSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1cc(N2CC[C@H](C)[C@H]2CO)c(Cl)cc1[N+](=O)[O-] ZINC000785842448 628916764 /nfs/dbraw/zinc/91/67/64/628916764.db2.gz ARBQKUFFTGMVLF-ISVAXAHUSA-N 0 0 284.743 2.764 20 5 CFBDRN Cc1cc(N2CC[C@@H](C)[C@@H]2CO)c(Cl)cc1[N+](=O)[O-] ZINC000785842449 628916901 /nfs/dbraw/zinc/91/69/01/628916901.db2.gz ARBQKUFFTGMVLF-OQPBUACISA-N 0 0 284.743 2.764 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])s1)C1CCOCC1 ZINC000786336973 628949167 /nfs/dbraw/zinc/94/91/67/628949167.db2.gz ILZBQSWKMXXBQI-UHFFFAOYSA-N 0 0 298.364 2.591 20 5 CFBDRN CCc1ccc(OC(=O)CC(C)(C)OC)c([N+](=O)[O-])c1 ZINC000786419695 628955491 /nfs/dbraw/zinc/95/54/91/628955491.db2.gz GTAYTQGBOIOSRB-UHFFFAOYSA-N 0 0 281.308 2.878 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1cccnc1 ZINC000786560166 628968498 /nfs/dbraw/zinc/96/84/98/628968498.db2.gz HVPCZBHWIDKQCK-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CN(Cc1ccc(F)cc1)c1ccc(N)cc1[N+](=O)[O-] ZINC000786562211 628968936 /nfs/dbraw/zinc/96/89/36/628968936.db2.gz RZINPSHZPMTRJD-UHFFFAOYSA-N 0 0 275.283 2.953 20 5 CFBDRN CCOc1cccc(COc2ccc(N)c([N+](=O)[O-])c2)n1 ZINC000786861676 628982039 /nfs/dbraw/zinc/98/20/39/628982039.db2.gz CEIMVXAMQVNTJB-UHFFFAOYSA-N 0 0 289.291 2.550 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CCCOCC2)cccc1[N+](=O)[O-] ZINC000787095890 628993377 /nfs/dbraw/zinc/99/33/77/628993377.db2.gz GCULTRNVKBJFSW-LBPRGKRZSA-N 0 0 293.319 2.763 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000787298391 629005212 /nfs/dbraw/zinc/00/52/12/629005212.db2.gz KQIKHTWVSMUTLQ-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1cccc(C(=O)OCc2ccc(F)cn2)c1[N+](=O)[O-] ZINC000787553151 629018112 /nfs/dbraw/zinc/01/81/12/629018112.db2.gz JXUSCCPSIWMFQY-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCC[C@H]1CCCO1 ZINC000787595001 629021158 /nfs/dbraw/zinc/02/11/58/629021158.db2.gz RGGXADXELZVURO-LLVKDONJSA-N 0 0 279.292 2.629 20 5 CFBDRN COc1ccc(OC(=O)C(C)(C)C2CC2)c([N+](=O)[O-])c1 ZINC000805857362 629041621 /nfs/dbraw/zinc/04/16/21/629041621.db2.gz SFUZHVQWGAERHS-UHFFFAOYSA-N 0 0 279.292 2.945 20 5 CFBDRN COc1cc(CSCCCOC(C)=O)ccc1[N+](=O)[O-] ZINC000788513821 629077836 /nfs/dbraw/zinc/07/78/36/629077836.db2.gz NERHQBABFLWYGP-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN COc1ccc(N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)cn1 ZINC000788694598 629093283 /nfs/dbraw/zinc/09/32/83/629093283.db2.gz WPOPSHGEFRKVOV-SECBINFHSA-N 0 0 288.307 2.754 20 5 CFBDRN C[C@@H](NCc1cccnc1F)c1ccc(N)c([N+](=O)[O-])c1 ZINC000788801428 629101204 /nfs/dbraw/zinc/10/12/04/629101204.db2.gz ABFKLWCDSCCSRM-SECBINFHSA-N 0 0 290.298 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H]3CC[C@H](O)C3)sc2c1 ZINC000788860065 629105016 /nfs/dbraw/zinc/10/50/16/629105016.db2.gz BPYUUFJNHGJBJZ-WPRPVWTQSA-N 0 0 293.348 2.777 20 5 CFBDRN C[C@H]1CC[C@H](COC(=O)c2cc([N+](=O)[O-])ccc2Cl)O1 ZINC000789002152 629116278 /nfs/dbraw/zinc/11/62/78/629116278.db2.gz UNTFFBCZGZLYML-WCBMZHEXSA-N 0 0 299.710 2.973 20 5 CFBDRN CCOC1CC(CC(=O)OCc2csc([N+](=O)[O-])c2)C1 ZINC000789157864 629130083 /nfs/dbraw/zinc/13/00/83/629130083.db2.gz CEFMMXDHNLITCZ-UHFFFAOYSA-N 0 0 299.348 2.905 20 5 CFBDRN C[C@@H](O)CCCN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000789329333 629147393 /nfs/dbraw/zinc/14/73/93/629147393.db2.gz FDGUXWOOPURTRX-SNVBAGLBSA-N 0 0 286.759 2.841 20 5 CFBDRN C[C@@H](C(=O)OCC1(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000789583560 629168816 /nfs/dbraw/zinc/16/88/16/629168816.db2.gz MSOBSTOISODHPM-SECBINFHSA-N 0 0 267.256 2.744 20 5 CFBDRN CC(C)CC(N)=NOCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000789587606 629169336 /nfs/dbraw/zinc/16/93/36/629169336.db2.gz HQVZRKWIHIKVMJ-UHFFFAOYSA-N 0 0 296.283 2.548 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCC1(F)CC1 ZINC000789593066 629170292 /nfs/dbraw/zinc/17/02/92/629170292.db2.gz URPISSMRIDCARC-UTCJRWHESA-N 0 0 265.240 2.653 20 5 CFBDRN C[C@@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000789601585 629171831 /nfs/dbraw/zinc/17/18/31/629171831.db2.gz QHSKSFVZMMDNDF-JTQLQIEISA-N 0 0 263.293 2.727 20 5 CFBDRN COc1ccc(CC(=O)OC[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000789602321 629171882 /nfs/dbraw/zinc/17/18/82/629171882.db2.gz PEKWTGFMNJTSGC-SNVBAGLBSA-N 0 0 293.319 2.735 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H](C)C1CC1 ZINC000789611371 629173781 /nfs/dbraw/zinc/17/37/81/629173781.db2.gz ZWQYYKXUMZYYDU-VIFPVBQESA-N 0 0 279.292 2.806 20 5 CFBDRN COc1cc(C(=O)OC[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000789616547 629174795 /nfs/dbraw/zinc/17/47/95/629174795.db2.gz ZSNSBJGHJYWHRW-SECBINFHSA-N 0 0 279.292 2.806 20 5 CFBDRN CCc1[nH]nc(C(=O)OCC2CCCCC2)c1[N+](=O)[O-] ZINC000790073370 629208742 /nfs/dbraw/zinc/20/87/42/629208742.db2.gz IMYZSCOFPAOQJH-UHFFFAOYSA-N 0 0 281.312 2.617 20 5 CFBDRN Cc1c(CNC(=O)NOC2CCCC2)cccc1[N+](=O)[O-] ZINC000790453008 629240371 /nfs/dbraw/zinc/24/03/71/629240371.db2.gz SWTZRMRIKIHXRM-UHFFFAOYSA-N 0 0 293.323 2.577 20 5 CFBDRN CC1(COC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)CC1 ZINC000790811561 629266003 /nfs/dbraw/zinc/26/60/03/629266003.db2.gz RRFSGPAXENJPOT-UHFFFAOYSA-N 0 0 288.303 2.893 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCC1=CCCC1 ZINC000790828105 629268001 /nfs/dbraw/zinc/26/80/01/629268001.db2.gz DHWKNGLHGZQCJP-UHFFFAOYSA-N 0 0 261.277 2.791 20 5 CFBDRN Cc1cccc(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)c1 ZINC000791288900 629297417 /nfs/dbraw/zinc/29/74/17/629297417.db2.gz CFHUEBPKHXMADP-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1ccnc(COC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000791308495 629299337 /nfs/dbraw/zinc/29/93/37/629299337.db2.gz NVCNWWCXNVOCBT-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN COC1(COC(=O)c2ccc([N+](=O)[O-])cc2F)CCCC1 ZINC000791344033 629302398 /nfs/dbraw/zinc/30/23/98/629302398.db2.gz PMMKGSGLGMPKRA-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN CC(C)=CC(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791486669 629320567 /nfs/dbraw/zinc/32/05/67/629320567.db2.gz HXYCRJDYUDPZHZ-UHFFFAOYSA-N 0 0 280.236 2.512 20 5 CFBDRN CO[C@H](C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000791511899 629324687 /nfs/dbraw/zinc/32/46/87/629324687.db2.gz BBKRHWSFWPRUAT-RNCFNFMXSA-N 0 0 279.292 2.624 20 5 CFBDRN COc1cc(C(=O)OC/C=C\Cl)cc([N+](=O)[O-])c1C ZINC000791689666 629346495 /nfs/dbraw/zinc/34/64/95/629346495.db2.gz VYGXWKWOAXDGRA-ARJAWSKDSA-N 0 0 285.683 2.821 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCCCCF)c1 ZINC000791777158 629355745 /nfs/dbraw/zinc/35/57/45/629355745.db2.gz IFBOKGTZUZPXRC-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN COc1cc(C(=O)OCCCCCF)ccc1[N+](=O)[O-] ZINC000791816140 629361221 /nfs/dbraw/zinc/36/12/21/629361221.db2.gz VYOIDDOWMFJXTQ-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN CC/C(C)=C/C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000835069035 629365637 /nfs/dbraw/zinc/36/56/37/629365637.db2.gz KGJNRNMXSAGAJT-VQHVLOKHSA-N 0 0 265.265 2.865 20 5 CFBDRN COc1cc(C(=O)O[C@@H]2C=CCC2)cc([N+](=O)[O-])c1C ZINC000791869555 629369338 /nfs/dbraw/zinc/36/93/38/629369338.db2.gz FEYHEUQQWNAFCU-LLVKDONJSA-N 0 0 277.276 2.787 20 5 CFBDRN CCC[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000791895959 629373575 /nfs/dbraw/zinc/37/35/75/629373575.db2.gz VIRAXLUUEQVCOU-SECBINFHSA-N 0 0 254.286 2.526 20 5 CFBDRN CN(CC(=O)OCCCCCF)c1ccccc1[N+](=O)[O-] ZINC000791966725 629383878 /nfs/dbraw/zinc/38/38/78/629383878.db2.gz TYYWHYPIPBKYIE-UHFFFAOYSA-N 0 0 298.314 2.714 20 5 CFBDRN O=C(COCC1CCCC1)OCc1ccc([N+](=O)[O-])cc1 ZINC000835086066 629386191 /nfs/dbraw/zinc/38/61/91/629386191.db2.gz BZWKOIHOHYPRSF-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN COc1ccc(OC(=O)CCOCC(C)C)cc1[N+](=O)[O-] ZINC000792119901 629399771 /nfs/dbraw/zinc/39/97/71/629399771.db2.gz VZVKUBMGGFUCJF-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN CCC/C=C\C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000792122416 629400257 /nfs/dbraw/zinc/40/02/57/629400257.db2.gz XDOZSHWYMGHWIZ-WAYWQWQTSA-N 0 0 265.265 2.865 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000792530927 629430756 /nfs/dbraw/zinc/43/07/56/629430756.db2.gz AUEMEFOBSUWATH-DTORHVGOSA-N 0 0 284.262 2.696 20 5 CFBDRN CC[C@H](C)CN(C)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000110594129 634737202 /nfs/dbraw/zinc/73/72/02/634737202.db2.gz QVRBFGMFFFAGEC-JTQLQIEISA-N 0 0 291.351 2.962 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCC(F)(F)CC1 ZINC000792819726 629455077 /nfs/dbraw/zinc/45/50/77/629455077.db2.gz JJOGQIHHSPRIBO-UHFFFAOYSA-N 0 0 299.277 2.536 20 5 CFBDRN CCc1ccc(OC(=O)CSC2CC2)c([N+](=O)[O-])c1 ZINC000835170612 629487951 /nfs/dbraw/zinc/48/79/51/629487951.db2.gz YLWDIPUBDQSCBL-UHFFFAOYSA-N 0 0 281.333 2.958 20 5 CFBDRN CNc1c(C(=O)O[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000793127085 629490956 /nfs/dbraw/zinc/49/09/56/629490956.db2.gz FQKJEQPDJYNMEL-QMMMGPOBSA-N 0 0 264.281 2.592 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1[C@H]2CCCO[C@H]2C1(C)C)[N+](=O)[O-] ZINC000835182002 629497963 /nfs/dbraw/zinc/49/79/63/629497963.db2.gz JXKNPXGYUOLZEK-CYZMBNFOSA-N 0 0 299.367 2.569 20 5 CFBDRN O=C(OCc1csc(Cl)n1)c1ccc([N+](=O)[O-])o1 ZINC000793228035 629509771 /nfs/dbraw/zinc/50/97/71/629509771.db2.gz YFRINEIIQDDQDT-UHFFFAOYSA-N 0 0 288.668 2.655 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC([C@H]2CCOC2)C1 ZINC000793258611 629516258 /nfs/dbraw/zinc/51/62/58/629516258.db2.gz LDTWLCFMZDSRFV-JTQLQIEISA-N 0 0 296.754 2.717 20 5 CFBDRN Cc1ccc(CNCc2cccnc2F)cc1[N+](=O)[O-] ZINC000793281901 629519343 /nfs/dbraw/zinc/51/93/43/629519343.db2.gz SDLZMASJUFWKMF-UHFFFAOYSA-N 0 0 275.283 2.727 20 5 CFBDRN C[C@H](C(=O)OC[C@@H]1CCO[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000793406077 629539617 /nfs/dbraw/zinc/53/96/17/629539617.db2.gz SSBOQBIWYYCGGH-GVXVVHGQSA-N 0 0 293.319 2.667 20 5 CFBDRN COc1c(C(=O)NC23CCC(CC2)C3)cccc1[N+](=O)[O-] ZINC000793803380 629596810 /nfs/dbraw/zinc/59/68/10/629596810.db2.gz SSCOMDZQOOUKBL-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CC[C@@H]1CCN1Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000793822565 629599293 /nfs/dbraw/zinc/59/92/93/629599293.db2.gz YMMWHMJOFJENLT-CQSZACIVSA-N 0 0 286.335 2.900 20 5 CFBDRN CC(C)[C@H]1C[C@H]1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835320509 629625956 /nfs/dbraw/zinc/62/59/56/629625956.db2.gz VVSYWBLIOYRYOT-WDEREUQCSA-N 0 0 257.330 2.657 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NOC/C=C\Cl ZINC000794291319 629632164 /nfs/dbraw/zinc/63/21/64/629632164.db2.gz RYBUAFNIVVBAAQ-TWDGKRCQSA-N 0 0 296.710 2.798 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@@H]1CCCSC1 ZINC000806050055 629637420 /nfs/dbraw/zinc/63/74/20/629637420.db2.gz RYTGNASJSFLUND-NSHDSACASA-N 0 0 295.360 2.824 20 5 CFBDRN COCC[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C(C)(C)C ZINC000835401629 629691705 /nfs/dbraw/zinc/69/17/05/629691705.db2.gz JZPATNKGZDEBRZ-NSHDSACASA-N 0 0 289.372 2.816 20 5 CFBDRN CC(C)c1nc(COC(=O)CCC(C)(C)[N+](=O)[O-])co1 ZINC000835414139 629700873 /nfs/dbraw/zinc/70/08/73/629700873.db2.gz UKXNPRLIIKFLQD-UHFFFAOYSA-N 0 0 284.312 2.677 20 5 CFBDRN CC(C)(O)CCCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000835424720 629709990 /nfs/dbraw/zinc/70/99/90/629709990.db2.gz CTDMYMFAXCQHJO-UHFFFAOYSA-N 0 0 281.308 2.693 20 5 CFBDRN CC(C)(O)CCCCOC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000835432185 629717482 /nfs/dbraw/zinc/71/74/82/629717482.db2.gz NTTBWHKGVRLDMR-UHFFFAOYSA-N 0 0 295.335 2.622 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1CCCC[C@H]1F)[N+](=O)[O-] ZINC000835445735 629728436 /nfs/dbraw/zinc/72/84/36/629728436.db2.gz MOKYXXCNGJDKEF-NXEZZACHSA-N 0 0 261.293 2.646 20 5 CFBDRN C[C@H](COC(=O)[C@H]1CCC[C@@H]1c1ccccc1)[N+](=O)[O-] ZINC000835455728 629739906 /nfs/dbraw/zinc/73/99/06/629739906.db2.gz OJXZHEMUMUCEHW-BNOWGMLFSA-N 0 0 277.320 2.779 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000795190394 629743078 /nfs/dbraw/zinc/74/30/78/629743078.db2.gz PQZUHCDJCXGVSU-ZDUSSCGKSA-N 0 0 268.288 2.739 20 5 CFBDRN COc1cc(Oc2cncc(Cl)n2)ccc1[N+](=O)[O-] ZINC000795195803 629743809 /nfs/dbraw/zinc/74/38/09/629743809.db2.gz ZIHZHCCYJYWFQZ-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN CC(C)(Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(F)F ZINC000795202579 629744796 /nfs/dbraw/zinc/74/47/96/629744796.db2.gz MYORAANEBBTFIF-UHFFFAOYSA-N 0 0 275.211 2.959 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1cccnc1F ZINC000795239398 629749881 /nfs/dbraw/zinc/74/98/81/629749881.db2.gz WEXCFIWKGOATOT-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCC(F)(F)C(F)F ZINC000795247782 629751094 /nfs/dbraw/zinc/75/10/94/629751094.db2.gz NCBLSQVBFCJYRY-UHFFFAOYSA-N 0 0 288.584 2.922 20 5 CFBDRN COc1ccc([C@@H]2C[C@H]2Nc2ccc([N+](=O)[O-])cn2)cc1 ZINC000795258688 629753645 /nfs/dbraw/zinc/75/36/45/629753645.db2.gz OCFCYTKLDOZUIH-UONOGXRCSA-N 0 0 285.303 2.966 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@H]1CCC[C@H](O)C1 ZINC000795269365 629755426 /nfs/dbraw/zinc/75/54/26/629755426.db2.gz AHSQZSINFMDQED-RYUDHWBXSA-N 0 0 293.319 2.611 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(F)(F)CC2)c(Cl)c1 ZINC000795275160 629756160 /nfs/dbraw/zinc/75/61/60/629756160.db2.gz YXUZIYWOBUOEGA-UHFFFAOYSA-N 0 0 277.658 2.879 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCC[C@@H](O)C1 ZINC000795355946 629766074 /nfs/dbraw/zinc/76/60/74/629766074.db2.gz QMUFVIWHQNFCRB-DGCLKSJQSA-N 0 0 293.319 2.611 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835478870 629767395 /nfs/dbraw/zinc/76/73/95/629767395.db2.gz BUPHZGVCWBWATL-OCCSQVGLSA-N 0 0 293.319 2.762 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CCCOCC2)cc1[N+](=O)[O-] ZINC000835479881 629768224 /nfs/dbraw/zinc/76/82/24/629768224.db2.gz LOPVKMKBKGZXEC-CYBMUJFWSA-N 0 0 293.319 2.763 20 5 CFBDRN Cc1ccc(COC(=O)C2CCC(=O)CC2)cc1[N+](=O)[O-] ZINC000835481578 629769901 /nfs/dbraw/zinc/76/99/01/629769901.db2.gz WZYZCUVMRDKGJY-UHFFFAOYSA-N 0 0 291.303 2.706 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835480326 629770212 /nfs/dbraw/zinc/77/02/12/629770212.db2.gz IEJMXTYDPJZTGC-NWDGAFQWSA-N 0 0 295.335 2.865 20 5 CFBDRN Cc1ccc(COC(=O)C2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000835483339 629775458 /nfs/dbraw/zinc/77/54/58/629775458.db2.gz HCYGUVXHCYSOIJ-UHFFFAOYSA-N 0 0 285.246 2.992 20 5 CFBDRN Cc1[nH]nc(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)c1C ZINC000835485865 629775953 /nfs/dbraw/zinc/77/59/53/629775953.db2.gz RORQXTLEGFDPOB-UHFFFAOYSA-N 0 0 289.291 2.600 20 5 CFBDRN Cc1ccc(COC(=O)CCOCC2CC2)cc1[N+](=O)[O-] ZINC000835487278 629778105 /nfs/dbraw/zinc/77/81/05/629778105.db2.gz ZXFSBRUCPMCMQY-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN CC1(C(=O)COC(=O)c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000795503441 629789662 /nfs/dbraw/zinc/78/96/62/629789662.db2.gz JQFLHZLIHQWPRW-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN CCC[C@@H](COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000835537966 629819624 /nfs/dbraw/zinc/81/96/24/629819624.db2.gz NWALCCAKNQRTAC-YHAOYDPOSA-N 0 0 293.319 2.966 20 5 CFBDRN CCC[C@H](COC(=O)c1cc(C)cc([N+](=O)[O-])c1)OC ZINC000835537518 629819802 /nfs/dbraw/zinc/81/98/02/629819802.db2.gz LQPHTDBKSSUOGS-CYBMUJFWSA-N 0 0 281.308 2.875 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OC/C=C/Cl ZINC000795711048 629825388 /nfs/dbraw/zinc/82/53/88/629825388.db2.gz NDBOQCLQHMHAJI-QPJJXVBHSA-N 0 0 285.683 2.903 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)CCOC ZINC000795709227 629825652 /nfs/dbraw/zinc/82/56/52/629825652.db2.gz MWABXFUOWAVJFD-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN CCc1ccc(OS(=O)(=O)c2ccoc2)c([N+](=O)[O-])c1 ZINC000795842708 629840886 /nfs/dbraw/zinc/84/08/86/629840886.db2.gz LJYBLSIWSNEWCC-UHFFFAOYSA-N 0 0 297.288 2.518 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000796009298 629863229 /nfs/dbraw/zinc/86/32/29/629863229.db2.gz RULAVQYQVSNOAG-NOZJJQNGSA-N 0 0 299.298 2.838 20 5 CFBDRN COc1cc(COC(=O)CCC(F)F)ccc1[N+](=O)[O-] ZINC000796018130 629864031 /nfs/dbraw/zinc/86/40/31/629864031.db2.gz NHQDRCCNFGXEHV-UHFFFAOYSA-N 0 0 289.234 2.692 20 5 CFBDRN O=C(C[C@H]1CCCC[C@@H]1O)OCc1csc([N+](=O)[O-])c1 ZINC000796138919 629882096 /nfs/dbraw/zinc/88/20/96/629882096.db2.gz LZOUDXQRYMCQMI-MNOVXSKESA-N 0 0 299.348 2.641 20 5 CFBDRN COCCCCCOC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796307182 629901547 /nfs/dbraw/zinc/90/15/47/629901547.db2.gz PFRFTAZCQIEOQN-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CC[C@@](C)(OC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000796394544 629912874 /nfs/dbraw/zinc/91/28/74/629912874.db2.gz AXXQVHXUMRJVRV-LLVKDONJSA-N 0 0 273.310 2.515 20 5 CFBDRN C[C@H](O)CCCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796446029 629918616 /nfs/dbraw/zinc/91/86/16/629918616.db2.gz TWCHKXTWIFJHSG-NSHDSACASA-N 0 0 295.335 2.577 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000796534443 629931238 /nfs/dbraw/zinc/93/12/38/629931238.db2.gz UBJMPVHKRKCFBG-UFBFGSQYSA-N 0 0 284.287 2.734 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC(F)(F)CC1 ZINC000796541849 629932717 /nfs/dbraw/zinc/93/27/17/629932717.db2.gz PCFNKVBIIHYXDN-UHFFFAOYSA-N 0 0 274.242 2.965 20 5 CFBDRN Cc1c(OC(=O)CCC(F)F)cccc1[N+](=O)[O-] ZINC000796591970 629939564 /nfs/dbraw/zinc/93/95/64/629939564.db2.gz NIRHNCFRMPJXFC-UHFFFAOYSA-N 0 0 259.208 2.854 20 5 CFBDRN CC/C=C\COC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796650414 629945737 /nfs/dbraw/zinc/94/57/37/629945737.db2.gz DVOPQKCKQLXRPY-PLNGDYQASA-N 0 0 265.265 2.726 20 5 CFBDRN COc1c(C(=O)OC[C@H](C)CSC)cccc1[N+](=O)[O-] ZINC000835680941 629946469 /nfs/dbraw/zinc/94/64/69/629946469.db2.gz HOMWZVFLLSHPDB-VIFPVBQESA-N 0 0 299.348 2.759 20 5 CFBDRN COc1c(C(=O)O[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000796661991 629947132 /nfs/dbraw/zinc/94/71/32/629947132.db2.gz OBROCIBEMVPSRE-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN CS[C@H](C)COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000835683480 629949342 /nfs/dbraw/zinc/94/93/42/629949342.db2.gz ZNFSNGIVOQRUSC-SECBINFHSA-N 0 0 269.322 2.812 20 5 CFBDRN Cc1cncc(CCC(=O)Oc2cccc([N+](=O)[O-])c2)c1 ZINC000796713576 629954309 /nfs/dbraw/zinc/95/43/09/629954309.db2.gz SDLGUMLWKOGIGO-UHFFFAOYSA-N 0 0 286.287 2.836 20 5 CFBDRN C[C@H](CC(C)(C)C)OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000835705045 629970816 /nfs/dbraw/zinc/97/08/16/629970816.db2.gz ISDQTXFQYHFBNG-SNVBAGLBSA-N 0 0 283.328 2.549 20 5 CFBDRN COc1c(C(=O)OCC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000796906497 629987986 /nfs/dbraw/zinc/98/79/86/629987986.db2.gz PXJWXJVCDFJAFP-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN Cc1c(CC(=O)OC[C@@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000797087335 630014972 /nfs/dbraw/zinc/01/49/72/630014972.db2.gz GIQFPLPBDAYXDW-LBPRGKRZSA-N 0 0 295.360 2.885 20 5 CFBDRN COC[C@H](C)COC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000797090201 630015133 /nfs/dbraw/zinc/01/51/33/630015133.db2.gz CPNYRGSUAUAETA-NSHDSACASA-N 0 0 295.335 2.698 20 5 CFBDRN Cc1c(CC(=O)OC[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000797139607 630025503 /nfs/dbraw/zinc/02/55/03/630025503.db2.gz IPOQDRFGULGMEJ-LLVKDONJSA-N 0 0 295.360 2.742 20 5 CFBDRN CCc1nc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)c(C)o1 ZINC000797182698 630034415 /nfs/dbraw/zinc/03/44/15/630034415.db2.gz XDNRJKMWOXUEJM-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CS[C@@H](C)[C@H](C)OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000797188950 630035195 /nfs/dbraw/zinc/03/51/95/630035195.db2.gz ACAIDGBBLJEOKW-UWVGGRQHSA-N 0 0 283.349 2.821 20 5 CFBDRN CS[C@H](C)[C@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000797204386 630037711 /nfs/dbraw/zinc/03/77/11/630037711.db2.gz IQVGHKJUONYZCV-VHSXEESVSA-N 0 0 299.348 2.657 20 5 CFBDRN CS[C@H](C)[C@@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000797210318 630039851 /nfs/dbraw/zinc/03/98/51/630039851.db2.gz YPITUIWECQMVSC-NXEZZACHSA-N 0 0 283.349 2.821 20 5 CFBDRN CC(F)(F)CCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000797218922 630042356 /nfs/dbraw/zinc/04/23/56/630042356.db2.gz XOTGVVGFLYEDOA-UHFFFAOYSA-N 0 0 259.208 2.797 20 5 CFBDRN CC(F)(F)CCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000797221478 630042779 /nfs/dbraw/zinc/04/27/79/630042779.db2.gz ANMCUMCTYKBBMU-UHFFFAOYSA-N 0 0 277.198 2.936 20 5 CFBDRN CC(C)(CCC(=O)OCC/C=C\Br)[N+](=O)[O-] ZINC000835793333 630056818 /nfs/dbraw/zinc/05/68/18/630056818.db2.gz KYLSSAFYDLEXPK-CLTKARDFSA-N 0 0 294.145 2.664 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000797579124 630083141 /nfs/dbraw/zinc/08/31/41/630083141.db2.gz VTNPTEKZEVXABS-NWDGAFQWSA-N 0 0 296.273 2.601 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C12CCC2 ZINC000835886523 630134096 /nfs/dbraw/zinc/13/40/96/630134096.db2.gz NOFRAFSRSBYRRR-OLZOCXBDSA-N 0 0 291.303 2.709 20 5 CFBDRN O=C(O[C@@H]1CCCCC1=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000798123703 630152656 /nfs/dbraw/zinc/15/26/56/630152656.db2.gz NYFHWSCBLHJCBD-GFCCVEGCSA-N 0 0 297.694 2.917 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000835915122 630154773 /nfs/dbraw/zinc/15/47/73/630154773.db2.gz KNSYQUNFLQHXBY-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CN(C(=O)/C=C/c1cccs1)c1ccc([N+](=O)[O-])nc1 ZINC000798240817 630171557 /nfs/dbraw/zinc/17/15/57/630171557.db2.gz FFFPJNIINLMXAG-FNORWQNLSA-N 0 0 289.316 2.728 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cc([N+](=O)[O-])ccc1OC)OC ZINC000835959230 630179912 /nfs/dbraw/zinc/17/99/12/630179912.db2.gz KUIUZRYOWQKWHX-AWEZNQCLSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](c2ccccn2)C1 ZINC000798416391 630189107 /nfs/dbraw/zinc/18/91/07/630189107.db2.gz OWPYERNWYDDUQA-GFCCVEGCSA-N 0 0 284.319 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000852633948 634794412 /nfs/dbraw/zinc/79/44/12/634794412.db2.gz DPBUTWLXEKKWMW-NXEZZACHSA-N 0 0 250.273 2.576 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\SC ZINC000798541185 630203324 /nfs/dbraw/zinc/20/33/24/630203324.db2.gz GCMHRQUGWHEJDR-SREVYHEPSA-N 0 0 282.321 2.809 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1cc(C2CC2)no1 ZINC000799582293 630322882 /nfs/dbraw/zinc/32/28/82/630322882.db2.gz ZHTFXIHINCBKQV-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN CC(C)C1(COC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000799670479 630331998 /nfs/dbraw/zinc/33/19/98/630331998.db2.gz BICVKMSUHOBMKC-UHFFFAOYSA-N 0 0 266.297 2.526 20 5 CFBDRN CC(C)C1(COC(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000799672603 630332573 /nfs/dbraw/zinc/33/25/73/630332573.db2.gz DVANQMSNXSIEKC-UHFFFAOYSA-N 0 0 278.308 2.770 20 5 CFBDRN CSC1(COC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000799686690 630335660 /nfs/dbraw/zinc/33/56/60/630335660.db2.gz GVJZXQHOAGKBIN-UHFFFAOYSA-N 0 0 267.306 2.647 20 5 CFBDRN CSC1(COC(=O)Cc2ccccc2[N+](=O)[O-])CCC1 ZINC000799691255 630336641 /nfs/dbraw/zinc/33/66/41/630336641.db2.gz IRASAJUMICMWPY-UHFFFAOYSA-N 0 0 295.360 2.966 20 5 CFBDRN CSC1(COC(=O)Cc2cccc([N+](=O)[O-])c2)CCC1 ZINC000799694321 630337098 /nfs/dbraw/zinc/33/70/98/630337098.db2.gz TXYBFMURUAWCHM-UHFFFAOYSA-N 0 0 295.360 2.966 20 5 CFBDRN O=C(COc1ccsc1)OCc1ccc([N+](=O)[O-])cc1 ZINC000799858657 630349064 /nfs/dbraw/zinc/34/90/64/630349064.db2.gz ZCHKVEAJYSYGLF-UHFFFAOYSA-N 0 0 293.300 2.779 20 5 CFBDRN CC(=O)N(C)c1ccc(Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000799932921 630354609 /nfs/dbraw/zinc/35/46/09/630354609.db2.gz ZYGINCGJVYOVIY-UHFFFAOYSA-N 0 0 292.320 2.778 20 5 CFBDRN C[C@@H](COc1ccccc1)Nc1ncc([N+](=O)[O-])s1 ZINC000799932490 630354631 /nfs/dbraw/zinc/35/46/31/630354631.db2.gz PVZCQVNDOHHKDL-VIFPVBQESA-N 0 0 279.321 2.931 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCC1CCOCC1 ZINC000799973036 630357278 /nfs/dbraw/zinc/35/72/78/630357278.db2.gz URVYGJVUSSTCEA-UHFFFAOYSA-N 0 0 268.288 2.881 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2O[C@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000800297691 630368382 /nfs/dbraw/zinc/36/83/82/630368382.db2.gz GCALOLGPLAWANO-GPCCPHFNSA-N 0 0 293.319 2.760 20 5 CFBDRN C/C(=C/C(=O)NC1(C(F)F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000800338764 630372881 /nfs/dbraw/zinc/37/28/81/630372881.db2.gz VXKGSLVMBIUQOU-HJWRWDBZSA-N 0 0 296.273 2.912 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2O[C@H](C)C[C@H]2C)c([N+](=O)[O-])c1 ZINC000800394089 630378069 /nfs/dbraw/zinc/37/80/69/630378069.db2.gz XZYIYSGQAMWDFP-GPCCPHFNSA-N 0 0 293.319 2.876 20 5 CFBDRN CC(C)(CCC(=O)OCCC1CC(F)(F)C1)[N+](=O)[O-] ZINC000836105566 630386479 /nfs/dbraw/zinc/38/64/79/630386479.db2.gz DTZJSBSLQGRFNN-UHFFFAOYSA-N 0 0 279.283 2.801 20 5 CFBDRN CC(C)n1ccc(CNc2cc(N)ccc2[N+](=O)[O-])n1 ZINC000800853831 630411544 /nfs/dbraw/zinc/41/15/44/630411544.db2.gz YRLHTMSGOCTJSY-UHFFFAOYSA-N 0 0 275.312 2.567 20 5 CFBDRN COC1CCC(CNc2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000800857023 630411629 /nfs/dbraw/zinc/41/16/29/630411629.db2.gz CYMWRFONLSKLJU-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN Cc1cc(C)nc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)c1 ZINC000800859204 630412219 /nfs/dbraw/zinc/41/22/19/630412219.db2.gz SMEAYATTYYZLNT-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCC[C@@H]2CCCC[C@H]2O)c1 ZINC000800860681 630412280 /nfs/dbraw/zinc/41/22/80/630412280.db2.gz WLXQQXGOLPUNJV-XHDPSFHLSA-N 0 0 293.367 2.920 20 5 CFBDRN Cc1nn(CCC(=O)O[C@@H](C)CC(C)C)c(C)c1[N+](=O)[O-] ZINC000103671907 630512145 /nfs/dbraw/zinc/51/21/45/630512145.db2.gz XCDAJVKLVMOBEM-JTQLQIEISA-N 0 0 297.355 2.776 20 5 CFBDRN COc1ccc(OC(=O)/C=C\c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000802227729 630514556 /nfs/dbraw/zinc/51/45/56/630514556.db2.gz KKSLDDVHSAOESZ-DAXSKMNVSA-N 0 0 288.259 2.550 20 5 CFBDRN CC(C)(C(=O)OCC1CC(C)(C)C1)n1cc([N+](=O)[O-])cn1 ZINC000802291448 630523982 /nfs/dbraw/zinc/52/39/82/630523982.db2.gz FARQBNDUYJBTRL-UHFFFAOYSA-N 0 0 295.339 2.506 20 5 CFBDRN O=C(Oc1ccc2cc[nH]c2c1)c1ccc([N+](=O)[O-])cn1 ZINC000802962405 630572362 /nfs/dbraw/zinc/57/23/62/630572362.db2.gz FVHJWXCOMQNTGX-UHFFFAOYSA-N 0 0 283.243 2.690 20 5 CFBDRN C[C@H](CC1CCOCC1)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000803018452 630578618 /nfs/dbraw/zinc/57/86/18/630578618.db2.gz QMMHGCPMWDKYNV-LLVKDONJSA-N 0 0 293.319 2.957 20 5 CFBDRN CCCC(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803226970 630589288 /nfs/dbraw/zinc/58/92/88/630589288.db2.gz UJXKRMATCAQLTH-UHFFFAOYSA-N 0 0 259.208 2.716 20 5 CFBDRN Cc1cccc(C(=O)OCC2CCC(=O)CC2)c1[N+](=O)[O-] ZINC000803215069 630590523 /nfs/dbraw/zinc/59/05/23/630590523.db2.gz SLETXNJWHZWICS-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN CC(C)SCCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000803219142 630590675 /nfs/dbraw/zinc/59/06/75/630590675.db2.gz BQGHVLWSDRQCLW-UHFFFAOYSA-N 0 0 275.351 2.955 20 5 CFBDRN CC(C)SCCOC(=O)COc1ccccc1[N+](=O)[O-] ZINC000803226374 630591932 /nfs/dbraw/zinc/59/19/32/630591932.db2.gz COALSALMTIHEKO-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCSC(C)C ZINC000803241491 630593857 /nfs/dbraw/zinc/59/38/57/630593857.db2.gz NQGRDHZZFFHKQU-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN CC(C)SCCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000803288491 630599357 /nfs/dbraw/zinc/59/93/57/630599357.db2.gz CNCUXTSRGYIJSS-UHFFFAOYSA-N 0 0 275.351 2.955 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000803267751 630596551 /nfs/dbraw/zinc/59/65/51/630596551.db2.gz QGUIGUQAIGBFRI-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)OCCCCF)c1 ZINC000803278870 630598308 /nfs/dbraw/zinc/59/83/08/630598308.db2.gz GKBPCRKYXOXVSG-UHFFFAOYSA-N 0 0 299.298 2.965 20 5 CFBDRN O=C(OCCCCCO)c1c(Cl)cccc1[N+](=O)[O-] ZINC000803342333 630604198 /nfs/dbraw/zinc/60/41/98/630604198.db2.gz CHPMCMMUVSCKHL-UHFFFAOYSA-N 0 0 287.699 2.568 20 5 CFBDRN O=C(OCCCCCO)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000803413277 630614903 /nfs/dbraw/zinc/61/49/03/630614903.db2.gz LPGOTTABGSENDV-UHFFFAOYSA-N 0 0 287.699 2.568 20 5 CFBDRN CCOCCCOC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803457757 630619852 /nfs/dbraw/zinc/61/98/52/630619852.db2.gz UVPITXKPEOJHDP-UHFFFAOYSA-N 0 0 285.271 2.626 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCC(=O)CC(C)C)c1F ZINC000803461014 630620569 /nfs/dbraw/zinc/62/05/69/630620569.db2.gz RPRPWZPWAIHHCV-UHFFFAOYSA-N 0 0 297.282 2.814 20 5 CFBDRN CCc1[nH]ccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000803774368 630641531 /nfs/dbraw/zinc/64/15/31/630641531.db2.gz HZTOPCZYFKPJRC-UHFFFAOYSA-N 0 0 274.276 2.842 20 5 CFBDRN CC(C)=C(C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000804179352 630662892 /nfs/dbraw/zinc/66/28/92/630662892.db2.gz ZAWVQINYFWGGMM-UHFFFAOYSA-N 0 0 276.336 2.952 20 5 CFBDRN C/C=C(\C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000804178310 630662956 /nfs/dbraw/zinc/66/29/56/630662956.db2.gz IEVAABNCXGLHKC-NYYWCZLTSA-N 0 0 262.309 2.562 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCc1ccco1 ZINC000804315521 630671008 /nfs/dbraw/zinc/67/10/08/630671008.db2.gz ITGIQGWLHBEDLS-UHFFFAOYSA-N 0 0 263.209 2.708 20 5 CFBDRN CCOc1ncccc1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000804341895 630673889 /nfs/dbraw/zinc/67/38/89/630673889.db2.gz ASYUFDVXIJFKPY-UHFFFAOYSA-N 0 0 288.307 2.583 20 5 CFBDRN CC(=O)c1cc(NC[C@@H](O)c2ccco2)ccc1[N+](=O)[O-] ZINC000804347984 630674392 /nfs/dbraw/zinc/67/43/92/630674392.db2.gz TZFSGKQVWQJEJS-CYBMUJFWSA-N 0 0 290.275 2.536 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ccc(N)cc2[N+](=O)[O-])cc1 ZINC000804349862 630675276 /nfs/dbraw/zinc/67/52/76/630675276.db2.gz KLQXZMHPFJRDEI-OAHLLOKOSA-N 0 0 287.319 2.631 20 5 CFBDRN Nc1ccc(NCCOC2CCCC2)c([N+](=O)[O-])c1 ZINC000804383843 630678404 /nfs/dbraw/zinc/67/84/04/630678404.db2.gz LPGPQRFFEHBWKC-UHFFFAOYSA-N 0 0 265.313 2.548 20 5 CFBDRN CC(C)(C)OCCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804391687 630678954 /nfs/dbraw/zinc/67/89/54/630678954.db2.gz BBANRLZTOVSVBQ-UHFFFAOYSA-N 0 0 283.284 2.730 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804463182 630684266 /nfs/dbraw/zinc/68/42/66/630684266.db2.gz ITYXCPIQDMECFB-VIFPVBQESA-N 0 0 297.311 2.712 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)cc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000804514997 630688698 /nfs/dbraw/zinc/68/86/98/630688698.db2.gz PUMQRUDCOMIPJA-RKDXNWHRSA-N 0 0 267.354 2.507 20 5 CFBDRN COC(C)(C)CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000804512229 630688910 /nfs/dbraw/zinc/68/89/10/630688910.db2.gz OABHYRMCVOGCLJ-UHFFFAOYSA-N 0 0 266.297 2.634 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000804514320 630689284 /nfs/dbraw/zinc/68/92/84/630689284.db2.gz ZBJKINTVAMLXBV-UWVGGRQHSA-N 0 0 267.354 2.873 20 5 CFBDRN C[C@H](CNc1ccc(N)cc1[N+](=O)[O-])c1ccncc1 ZINC000804536545 630690858 /nfs/dbraw/zinc/69/08/58/630690858.db2.gz VEXLQAYGNMCHTE-SNVBAGLBSA-N 0 0 272.308 2.788 20 5 CFBDRN COC(=O)c1cc(C)nc(NCCC2CCC2)c1[N+](=O)[O-] ZINC000804542295 630691330 /nfs/dbraw/zinc/69/13/30/630691330.db2.gz LAFAAYCUJFXULB-UHFFFAOYSA-N 0 0 293.323 2.687 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@]1(C)CCCO1 ZINC000804542288 630691464 /nfs/dbraw/zinc/69/14/64/630691464.db2.gz XEBVVWCCZWJNDO-CQSZACIVSA-N 0 0 278.308 2.778 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000804584747 630760245 /nfs/dbraw/zinc/76/02/45/630760245.db2.gz LNSARPDADNVBAV-UHFFFAOYSA-N 0 0 280.324 2.953 20 5 CFBDRN CN(CC1(O)CCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804613529 630764865 /nfs/dbraw/zinc/76/48/65/630764865.db2.gz KCEKGLFMYWDNAC-UHFFFAOYSA-N 0 0 270.716 2.599 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CCCC2)cc1Cl ZINC000836185025 630767428 /nfs/dbraw/zinc/76/74/28/630767428.db2.gz OABKENWHEXLAJE-UHFFFAOYSA-N 0 0 268.700 2.793 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CCC=CO2)ccc1[N+](=O)[O-] ZINC000804631415 630767821 /nfs/dbraw/zinc/76/78/21/630767821.db2.gz UAGBEZRZQVYFTR-GFCCVEGCSA-N 0 0 276.292 2.902 20 5 CFBDRN Cc1[nH]nc(NC(=O)Nc2ccc([N+](=O)[O-])cc2C)c1C ZINC000806430079 630800350 /nfs/dbraw/zinc/80/03/50/630800350.db2.gz ZGCMNSCQBNIQET-UHFFFAOYSA-N 0 0 289.295 2.887 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)c1cnccn1 ZINC000836400470 630810450 /nfs/dbraw/zinc/81/04/50/630810450.db2.gz QTGLXZIMTHXDMH-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3cccnc3F)n2)cc1 ZINC000806650071 630826691 /nfs/dbraw/zinc/82/66/91/630826691.db2.gz NHFATPIGGYYAJC-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NOC1CCC1 ZINC000836520932 630839968 /nfs/dbraw/zinc/83/99/68/630839968.db2.gz RWQKGLLWSGVNQO-UHFFFAOYSA-N 0 0 279.296 2.817 20 5 CFBDRN C[C@]1(F)CCCN(Cn2ncc3cc([N+](=O)[O-])ccc32)C1 ZINC000840098649 630891071 /nfs/dbraw/zinc/89/10/71/630891071.db2.gz DXCNKSXSQYRCSW-AWEZNQCLSA-N 0 0 292.314 2.726 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](F)C1 ZINC000836821772 630891994 /nfs/dbraw/zinc/89/19/94/630891994.db2.gz SYLPNDVNYXCKKE-VPUINMBXSA-N 0 0 278.283 2.569 20 5 CFBDRN C/C=C\C(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000836839179 630896549 /nfs/dbraw/zinc/89/65/49/630896549.db2.gz YDHWPGYKPPCATJ-LSYFEZSPSA-N 0 0 274.320 2.877 20 5 CFBDRN C/C=C/C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000836853839 630900614 /nfs/dbraw/zinc/90/06/14/630900614.db2.gz DSYCGBARAIIPEL-SNAWJCMRSA-N 0 0 263.297 2.930 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]1C(C)C ZINC000836888993 630909619 /nfs/dbraw/zinc/90/96/19/630909619.db2.gz RKBAJNHNHDQYSZ-GXTWGEPZSA-N 0 0 284.400 2.961 20 5 CFBDRN CCc1nn(C)c(SCc2ccco2)c1[N+](=O)[O-] ZINC000807915619 630921583 /nfs/dbraw/zinc/92/15/83/630921583.db2.gz FDVPLUNFDYIHLM-UHFFFAOYSA-N 0 0 267.310 2.776 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1ccc(C=O)cc1 ZINC000807914166 630921804 /nfs/dbraw/zinc/92/18/04/630921804.db2.gz CTYDKPBQMBTOKT-UHFFFAOYSA-N 0 0 275.264 2.724 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC1CCOCC1 ZINC000807950704 630925355 /nfs/dbraw/zinc/92/53/55/630925355.db2.gz YMYKGHSLAFTWSL-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN CO[C@@H](CNc1cc([N+](=O)[O-])ccc1C(C)=O)C(C)C ZINC000807987875 630931469 /nfs/dbraw/zinc/93/14/69/630931469.db2.gz IEDDRCFPJUBJPM-AWEZNQCLSA-N 0 0 280.324 2.880 20 5 CFBDRN O=Cc1ccc(Oc2ccncc2[N+](=O)[O-])c(F)c1 ZINC000808007287 630941025 /nfs/dbraw/zinc/94/10/25/630941025.db2.gz YGQIIXDABYKOOP-UHFFFAOYSA-N 0 0 262.196 2.734 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1ccc[nH]1 ZINC000808007914 630941075 /nfs/dbraw/zinc/94/10/75/630941075.db2.gz MRWVZPBWJWCPIQ-QMMMGPOBSA-N 0 0 263.301 2.621 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC12CCC(CC1)C2 ZINC000808015381 630942489 /nfs/dbraw/zinc/94/24/89/630942489.db2.gz PKWQIGXXVCJGQM-UHFFFAOYSA-N 0 0 264.329 2.864 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CCOC[C@@H]1C ZINC000808049338 630944798 /nfs/dbraw/zinc/94/47/98/630944798.db2.gz XRGIBOQHPDTOJD-ZANVPECISA-N 0 0 278.308 2.634 20 5 CFBDRN Cc1ncc(COc2ccc(Cl)c([N+](=O)[O-])c2)cn1 ZINC000808056897 630945500 /nfs/dbraw/zinc/94/55/00/630945500.db2.gz LITBCXIPJRTYQC-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000808055965 630945686 /nfs/dbraw/zinc/94/56/86/630945686.db2.gz WMGOBTWGGGTMCN-SECBINFHSA-N 0 0 299.710 2.623 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCC2(F)CCC2)c1 ZINC000808076160 630948394 /nfs/dbraw/zinc/94/83/94/630948394.db2.gz OWKDSQJSIATWMD-UHFFFAOYSA-N 0 0 253.277 2.871 20 5 CFBDRN Cc1sc(C(=O)N2Cc3ccncc3C2)cc1[N+](=O)[O-] ZINC000808101888 630951734 /nfs/dbraw/zinc/95/17/34/630951734.db2.gz UDKGIQCEBFELEG-UHFFFAOYSA-N 0 0 289.316 2.516 20 5 CFBDRN COCc1cccc(COc2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000808257085 630972307 /nfs/dbraw/zinc/97/23/07/630972307.db2.gz UJXMHXFCTBWTIM-UHFFFAOYSA-N 0 0 288.303 2.902 20 5 CFBDRN CC(C)(CCC(=O)N[C@H](C1CC1)C1CCC1)[N+](=O)[O-] ZINC000837240053 630984607 /nfs/dbraw/zinc/98/46/07/630984607.db2.gz CCGQUNIODZUVDE-ZDUSSCGKSA-N 0 0 268.357 2.517 20 5 CFBDRN CC(=O)CCCCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000837334834 631003061 /nfs/dbraw/zinc/00/30/61/631003061.db2.gz DHUJEAMLAWGBAM-UHFFFAOYSA-N 0 0 279.292 2.901 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000840140917 631007275 /nfs/dbraw/zinc/00/72/75/631007275.db2.gz VAIXCJBYSBRKBJ-ZDUSSCGKSA-N 0 0 288.307 2.569 20 5 CFBDRN CC(C)COC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000808561751 631014115 /nfs/dbraw/zinc/01/41/15/631014115.db2.gz NYLSSCPALSYARH-UHFFFAOYSA-N 0 0 273.235 2.615 20 5 CFBDRN Cc1ccc([C@H](C)C(=O)N(C)c2ccc([N+](=O)[O-])nc2)o1 ZINC000808795287 631049480 /nfs/dbraw/zinc/04/94/80/631049480.db2.gz SKFPZAOQQLDOKG-JTQLQIEISA-N 0 0 289.291 2.658 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000837535791 631058878 /nfs/dbraw/zinc/05/88/78/631058878.db2.gz KXFSGIPGZUELHS-SNVBAGLBSA-N 0 0 293.319 2.783 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000837742063 631120842 /nfs/dbraw/zinc/12/08/42/631120842.db2.gz QHTRJXOZYQYVBH-SNVBAGLBSA-N 0 0 293.319 2.783 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CS[C@H](C)C2)c1[N+](=O)[O-] ZINC000840515523 631161065 /nfs/dbraw/zinc/16/10/65/631161065.db2.gz YOEJJFMNKAYKQC-SCZZXKLOSA-N 0 0 298.339 2.666 20 5 CFBDRN CC(C)COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840655143 631173187 /nfs/dbraw/zinc/17/31/87/631173187.db2.gz FHOSTLLGFWXHHJ-QWHCGFSZSA-N 0 0 263.293 2.898 20 5 CFBDRN COCC[C@@H](C)OC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840656072 631173268 /nfs/dbraw/zinc/17/32/68/631173268.db2.gz JNAKUUMRCXHCTQ-DDTOSNHZSA-N 0 0 293.319 2.667 20 5 CFBDRN O=C(OC/C=C/Cl)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840657217 631173556 /nfs/dbraw/zinc/17/35/56/631173556.db2.gz ONBVGIIYPIQSPE-UUIAATJESA-N 0 0 281.695 2.994 20 5 CFBDRN O=C(Cc1cccs1)OCc1ccccc1[N+](=O)[O-] ZINC000809437126 631225329 /nfs/dbraw/zinc/22/53/29/631225329.db2.gz QDBXFQNSVOZHET-UHFFFAOYSA-N 0 0 277.301 2.942 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2Cc3ccncc3C2)cc1 ZINC000809562379 631234764 /nfs/dbraw/zinc/23/47/64/631234764.db2.gz GAJNBUKUOMMUKK-UHFFFAOYSA-N 0 0 255.277 2.506 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000809632113 631251566 /nfs/dbraw/zinc/25/15/66/631251566.db2.gz MECMVBCGYRVXSF-SECBINFHSA-N 0 0 276.248 2.809 20 5 CFBDRN Cc1ccc(OS(=O)(=O)CCC2CCC2)cc1[N+](=O)[O-] ZINC000809828148 631294620 /nfs/dbraw/zinc/29/46/20/631294620.db2.gz KDGQVJHMOPUDTM-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1SCCCS1 ZINC000841015791 631306719 /nfs/dbraw/zinc/30/67/19/631306719.db2.gz OZDPZPCAOSCWOK-UHFFFAOYSA-N 0 0 284.362 2.730 20 5 CFBDRN Cc1cccc(C)c1[C@H](C)OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841165577 631351429 /nfs/dbraw/zinc/35/14/29/631351429.db2.gz FYMQGOWSXUMNFT-QJPTWQEYSA-N 0 0 263.293 2.573 20 5 CFBDRN CCSc1cccc(F)c1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000841237031 631365506 /nfs/dbraw/zinc/36/55/06/631365506.db2.gz HIJQHHFXPCXSCU-VXNVDRBHSA-N 0 0 284.312 2.541 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1CCCSC1 ZINC000810524342 631380622 /nfs/dbraw/zinc/38/06/22/631380622.db2.gz SCPBHOVYRBWJDR-VIFPVBQESA-N 0 0 267.306 2.643 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@]1(C)CC1(Cl)Cl ZINC000840209626 631444036 /nfs/dbraw/zinc/44/40/36/631444036.db2.gz YMYIIHOAHIFBRN-JTQLQIEISA-N 0 0 291.134 2.649 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000867031910 631456151 /nfs/dbraw/zinc/45/61/51/631456151.db2.gz ACRXVEYLTDDZML-KAOXEZKKSA-N 0 0 288.347 2.546 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(-c2cccc(C(F)(F)F)c2)no1 ZINC000841729645 631460754 /nfs/dbraw/zinc/46/07/54/631460754.db2.gz HWQUTPWXYFEHOH-RKDXNWHRSA-N 0 0 299.208 2.888 20 5 CFBDRN Cc1ccc(C(=O)OCc2cccnc2C)cc1[N+](=O)[O-] ZINC000810884374 631467781 /nfs/dbraw/zinc/46/77/81/631467781.db2.gz LIZBFKJESBASLP-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CCn1cncc1CNCc1cc([N+](=O)[O-])ccc1Cl ZINC000841867331 631484791 /nfs/dbraw/zinc/48/47/91/631484791.db2.gz KTXTYBKKZUUDBE-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2cc(F)ccc2[N+](=O)[O-])C1=O ZINC000840224030 631514496 /nfs/dbraw/zinc/51/44/96/631514496.db2.gz MWFFSAASGIYRFT-LLVKDONJSA-N 0 0 295.266 2.648 20 5 CFBDRN CO[C@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)CC(C)C ZINC000842053820 631515252 /nfs/dbraw/zinc/51/52/52/631515252.db2.gz CQHKVHXUYWCDMF-AWEZNQCLSA-N 0 0 295.335 2.742 20 5 CFBDRN CO[C@H](COC(=O)c1ccccc1[N+](=O)[O-])CC(C)C ZINC000842055762 631516436 /nfs/dbraw/zinc/51/64/36/631516436.db2.gz QDENJIBNGYMMKN-NSHDSACASA-N 0 0 281.308 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCc2cncs2)c1 ZINC000811123997 631521124 /nfs/dbraw/zinc/52/11/24/631521124.db2.gz RFXVNBLNLAAVJF-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])c(F)c2)C1=O ZINC000840225516 631522750 /nfs/dbraw/zinc/52/27/50/631522750.db2.gz SGZUFRWJXBJVOY-LLVKDONJSA-N 0 0 295.266 2.648 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H]1CCC(C)(C)C1=O ZINC000840226565 631526893 /nfs/dbraw/zinc/52/68/93/631526893.db2.gz YFLNRQPVWQIISV-LBPRGKRZSA-N 0 0 291.303 2.818 20 5 CFBDRN COC1(COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000811177031 631530892 /nfs/dbraw/zinc/53/08/92/631530892.db2.gz ICCVJINBBGWWMS-YVMONPNESA-N 0 0 291.303 2.720 20 5 CFBDRN CCc1c(C(=O)OCc2csc([N+](=O)[O-])c2)ccn1C ZINC000811275826 631545459 /nfs/dbraw/zinc/54/54/59/631545459.db2.gz MVJQXUIPWYDOQI-UHFFFAOYSA-N 0 0 294.332 2.914 20 5 CFBDRN Cc1ccc(O)cc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000811311861 631549561 /nfs/dbraw/zinc/54/95/61/631549561.db2.gz RHZOHRJVGXHGJZ-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN CC[C@@H](O)CCCNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000811807934 631624998 /nfs/dbraw/zinc/62/49/98/631624998.db2.gz OPOWXNQYKJKBRE-GFCCVEGCSA-N 0 0 280.324 2.760 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC2(CCOC2)CC1 ZINC000811841396 631630633 /nfs/dbraw/zinc/63/06/33/631630633.db2.gz FCOVXROZVXGPRA-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(CSCc2ccccc2)no1 ZINC000842496589 631645903 /nfs/dbraw/zinc/64/59/03/631645903.db2.gz YZDVEXQKRVCCIB-GHMZBOCLSA-N 0 0 291.332 2.636 20 5 CFBDRN Cc1ccccc1[C@H](C)c1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000842500057 631647102 /nfs/dbraw/zinc/64/71/02/631647102.db2.gz JEWOGTJGVDKVLV-MVWJERBFSA-N 0 0 273.292 2.662 20 5 CFBDRN Cc1nc(C2CCC2)nn1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000842649334 631692456 /nfs/dbraw/zinc/69/24/56/631692456.db2.gz HBVDCNSGCREADN-UHFFFAOYSA-N 0 0 290.298 2.950 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2ncc(Cl)n2C)c1 ZINC000842655715 631694660 /nfs/dbraw/zinc/69/46/60/631694660.db2.gz OMYMDGXXUZTXOI-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN Nc1ccc(N2CC(c3ccccc3F)C2)c([N+](=O)[O-])c1 ZINC000812485879 631724994 /nfs/dbraw/zinc/72/49/94/631724994.db2.gz RHXAWGMFRZIVGY-UHFFFAOYSA-N 0 0 287.294 2.920 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000812529842 631729653 /nfs/dbraw/zinc/72/96/53/631729653.db2.gz DYNACNSVFFKCQP-BDAKNGLRSA-N 0 0 284.287 2.591 20 5 CFBDRN Cc1ccc(C2(C(=O)O[C@@H](C)C[N+](=O)[O-])CCC2)cc1 ZINC000842805111 631746231 /nfs/dbraw/zinc/74/62/31/631746231.db2.gz AHFSHNKOPGVRSZ-LBPRGKRZSA-N 0 0 277.320 2.625 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000812624836 631747154 /nfs/dbraw/zinc/74/71/54/631747154.db2.gz IUTOZXASEUIPMV-GHMZBOCLSA-N 0 0 281.308 2.557 20 5 CFBDRN C/C=C(/C)COC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000842845313 631761845 /nfs/dbraw/zinc/76/18/45/631761845.db2.gz BAPGXPLWUHPGTK-WMZJFQQLSA-N 0 0 279.292 2.655 20 5 CFBDRN C/C=C(/C)COC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000842846415 631763034 /nfs/dbraw/zinc/76/30/34/631763034.db2.gz MGXMPJBTKCMZAR-WCIBSUBMSA-N 0 0 278.308 2.540 20 5 CFBDRN CC(C)c1ccc(C(=O)NOC2CCC2)cc1[N+](=O)[O-] ZINC000812791512 631778838 /nfs/dbraw/zinc/77/88/38/631778838.db2.gz WKGRZYWYCWBWAX-UHFFFAOYSA-N 0 0 278.308 2.932 20 5 CFBDRN CCc1onc(C)c1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812811577 631788433 /nfs/dbraw/zinc/78/84/33/631788433.db2.gz CQADNZAJBJBAFL-UHFFFAOYSA-N 0 0 294.311 2.583 20 5 CFBDRN COc1csc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000812813571 631789427 /nfs/dbraw/zinc/78/94/27/631789427.db2.gz MZEVPUHLCBIJRA-UHFFFAOYSA-N 0 0 297.336 2.795 20 5 CFBDRN CC(C)(CCc1noc(CC2CCSCC2)n1)[N+](=O)[O-] ZINC000812813545 631789733 /nfs/dbraw/zinc/78/97/33/631789733.db2.gz MLDDXLXDPBHNTM-UHFFFAOYSA-N 0 0 299.396 2.743 20 5 CFBDRN CC(C)(CCc1noc(/C=C\c2ccc[nH]2)n1)[N+](=O)[O-] ZINC000812814850 631789829 /nfs/dbraw/zinc/78/98/29/631789829.db2.gz QVOHJRDYGFDGCT-WAYWQWQTSA-N 0 0 276.296 2.556 20 5 CFBDRN C[C@@H](COC(=O)c1occc1-c1ccccc1)[N+](=O)[O-] ZINC000813101856 631838867 /nfs/dbraw/zinc/83/88/67/631838867.db2.gz KAORTHVSTOGBBK-JTQLQIEISA-N 0 0 275.260 2.769 20 5 CFBDRN CCc1ccc(C(C)(C)C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813104500 631839422 /nfs/dbraw/zinc/83/94/22/631839422.db2.gz NLKFTWYJFCTSIT-LLVKDONJSA-N 0 0 279.336 2.735 20 5 CFBDRN C[C@@H](COC(=O)/C=C\c1nc2ccccc2s1)[N+](=O)[O-] ZINC000813104372 631839712 /nfs/dbraw/zinc/83/97/12/631839712.db2.gz ZICDUZZASORNQO-NMTCXDENSA-N 0 0 292.316 2.518 20 5 CFBDRN C[C@@H](COC(=O)[C@@H]1CCc2c1cccc2Cl)[N+](=O)[O-] ZINC000813103532 631839757 /nfs/dbraw/zinc/83/97/57/631839757.db2.gz CZSQARORKHMWMI-GZMMTYOYSA-N 0 0 283.711 2.578 20 5 CFBDRN CC[C@@H](CC(=O)OC[C@@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813106264 631840923 /nfs/dbraw/zinc/84/09/23/631840923.db2.gz BROXMODULABGMQ-NEPJUHHUSA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@H](COC(=O)c1ccc(-c2ccc(F)cc2)o1)[N+](=O)[O-] ZINC000813107566 631841491 /nfs/dbraw/zinc/84/14/91/631841491.db2.gz TZHQOQWCDRPMNC-SECBINFHSA-N 0 0 293.250 2.908 20 5 CFBDRN C[C@@H](COC(=O)Cc1c[nH]c2ccc(Cl)cc12)[N+](=O)[O-] ZINC000813106107 631841615 /nfs/dbraw/zinc/84/16/15/631841615.db2.gz YNYSKIBLJKDEEM-QMMMGPOBSA-N 0 0 296.710 2.572 20 5 CFBDRN C[C@H](COC(=O)/C=C\c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000813106833 631841915 /nfs/dbraw/zinc/84/19/15/631841915.db2.gz IMUPLRBSATZJGD-WQAKUFEDSA-N 0 0 269.684 2.562 20 5 CFBDRN Cc1[nH]c2ccc(Cl)cc2c1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813110450 631842580 /nfs/dbraw/zinc/84/25/80/631842580.db2.gz AXPVMJVRJRNVEE-SSDOTTSWSA-N 0 0 296.710 2.952 20 5 CFBDRN CC(C)c1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813110406 631842705 /nfs/dbraw/zinc/84/27/05/631842705.db2.gz ZVIRLMOBFUGHKA-JTQLQIEISA-N 0 0 251.282 2.632 20 5 CFBDRN C[C@@H](CCc1ccccc1F)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813108875 631842876 /nfs/dbraw/zinc/84/28/76/631842876.db2.gz BLVQKAMZWHZQSU-QWRGUYRKSA-N 0 0 283.299 2.603 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCC[C@@H]2CCCC[C@@H]12)[N+](=O)[O-] ZINC000813108908 631843182 /nfs/dbraw/zinc/84/31/82/631843182.db2.gz BVTOOQYTZZWKQI-YVECIDJPSA-N 0 0 269.341 2.801 20 5 CFBDRN CC(C)CCOc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813110526 631843284 /nfs/dbraw/zinc/84/32/84/631843284.db2.gz CNHNEAHRPVZFLU-GFCCVEGCSA-N 0 0 295.335 2.934 20 5 CFBDRN Cc1cc2occ(C(=O)OC[C@@H](C)[N+](=O)[O-])c2cc1C ZINC000813108279 631843651 /nfs/dbraw/zinc/84/36/51/631843651.db2.gz CONISKQHQIAWKW-SNVBAGLBSA-N 0 0 277.276 2.872 20 5 CFBDRN C/C(=C\c1ccc(C)cc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813109100 631843857 /nfs/dbraw/zinc/84/38/57/631843857.db2.gz OKNYKYRWFSFLOM-JATZPVMKSA-N 0 0 263.293 2.607 20 5 CFBDRN C[C@@H](COC(=O)/C=C\c1ccccc1Cl)[N+](=O)[O-] ZINC000813113688 631844448 /nfs/dbraw/zinc/84/44/48/631844448.db2.gz HVOHGMAEUCUXIF-NMTCXDENSA-N 0 0 269.684 2.562 20 5 CFBDRN C/C(=C/c1cccc(Cl)c1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813113836 631844523 /nfs/dbraw/zinc/84/45/23/631844523.db2.gz JVFLQZCCJIHLHQ-MBACFSSFSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@H](COC(=O)c1cc2sccc2s1)[N+](=O)[O-] ZINC000813111441 631845233 /nfs/dbraw/zinc/84/52/33/631845233.db2.gz HFYJNVLIRMSMGE-ZCFIWIBFSA-N 0 0 271.319 2.785 20 5 CFBDRN CC(C)Cc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813115571 631846238 /nfs/dbraw/zinc/84/62/38/631846238.db2.gz VUEHCCAJFDZNRB-LLVKDONJSA-N 0 0 265.309 2.707 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCCCC1CC1 ZINC000813180060 631872667 /nfs/dbraw/zinc/87/26/67/631872667.db2.gz KJJSGRWVXKZEDX-UHFFFAOYSA-N 0 0 266.297 2.670 20 5 CFBDRN CC1CC(OC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000813228735 631886277 /nfs/dbraw/zinc/88/62/77/631886277.db2.gz FNFRDMHKNLLEPS-UHFFFAOYSA-N 0 0 288.303 2.960 20 5 CFBDRN O=C(C[C@H]1CCOC1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813242290 631890977 /nfs/dbraw/zinc/89/09/77/631890977.db2.gz OJQCYQZXAKBLAO-MRVPVSSYSA-N 0 0 284.699 2.613 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)no1 ZINC000813246815 631891128 /nfs/dbraw/zinc/89/11/28/631891128.db2.gz RHLMHPCRLCLVID-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN C[C@@H](NC1CC1)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000843219028 631900856 /nfs/dbraw/zinc/90/08/56/631900856.db2.gz PUPZULTZOVDUPU-ZCFIWIBFSA-N 0 0 280.309 2.519 20 5 CFBDRN C[C@@H]1SCC[C@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000843290604 631920377 /nfs/dbraw/zinc/92/03/77/631920377.db2.gz NHKZZPZFFOBYOR-GZMMTYOYSA-N 0 0 297.405 2.775 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1Nc1cccc(CO)c1 ZINC000813587141 631935280 /nfs/dbraw/zinc/93/52/80/631935280.db2.gz YDMNRVIEQNEOHO-UHFFFAOYSA-N 0 0 295.298 2.801 20 5 CFBDRN CC[C@H](C)CONc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000813623353 631942878 /nfs/dbraw/zinc/94/28/78/631942878.db2.gz XTEAHUVXBZFQAN-QMMMGPOBSA-N 0 0 269.257 2.893 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@H]4C[C@H]43)c2c1 ZINC000840296836 631958841 /nfs/dbraw/zinc/95/88/41/631958841.db2.gz DPDLUTHEBKQUGJ-GXFFZTMASA-N 0 0 255.277 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1(C(F)F)CC1 ZINC000843530359 631977921 /nfs/dbraw/zinc/97/79/21/631977921.db2.gz HLDPBCQQQDKQDY-UHFFFAOYSA-N 0 0 271.219 2.854 20 5 CFBDRN O=C(OC/C=C/Br)c1cccc([N+](=O)[O-])c1 ZINC000813926634 631978588 /nfs/dbraw/zinc/97/85/88/631978588.db2.gz BDYKRSIDLHDARH-GORDUTHDSA-N 0 0 286.081 2.660 20 5 CFBDRN COCC(C)(C)COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000813997435 631989714 /nfs/dbraw/zinc/98/97/14/631989714.db2.gz PJWSMOWOJQBVPG-UHFFFAOYSA-N 0 0 281.308 2.733 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc(Cl)cc(Cl)c1 ZINC000814042629 631997132 /nfs/dbraw/zinc/99/71/32/631997132.db2.gz YNCWJGZNLSLDMA-ZCFIWIBFSA-N 0 0 278.091 2.815 20 5 CFBDRN CC(C)c1ccsc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814034070 631997211 /nfs/dbraw/zinc/99/72/11/631997211.db2.gz FVEQVEGPFMOTQZ-QMMMGPOBSA-N 0 0 257.311 2.694 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ncsc1-c1ccccc1 ZINC000814033808 631997257 /nfs/dbraw/zinc/99/72/57/631997257.db2.gz DJYPEBJVDHURSQ-VIFPVBQESA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C\c1nc2ccccc2s1 ZINC000814037117 631997783 /nfs/dbraw/zinc/99/77/83/631997783.db2.gz RNEWQTQOCWNSMR-ATJFRQLMSA-N 0 0 292.316 2.518 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cccc2ccsc21 ZINC000814036066 631997972 /nfs/dbraw/zinc/99/79/72/631997972.db2.gz FZFLQGZZVNWGEW-MRVPVSSYSA-N 0 0 265.290 2.723 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1scnc1C1CCCC1 ZINC000814036452 631998180 /nfs/dbraw/zinc/99/81/80/631998180.db2.gz YEUYRXNLUMLCST-QMMMGPOBSA-N 0 0 284.337 2.623 20 5 CFBDRN CC(C)Cc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814038122 631998651 /nfs/dbraw/zinc/99/86/51/631998651.db2.gz MMCFEGVNRPFIOH-NSHDSACASA-N 0 0 265.309 2.707 20 5 CFBDRN CC[C@H](CC(=O)O[C@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814042720 631999653 /nfs/dbraw/zinc/99/96/53/631999653.db2.gz MOQBUVASGFEWFP-VXGBXAGGSA-N 0 0 265.309 2.779 20 5 CFBDRN CC[C@@H](C)c1ccccc1OCC(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814042576 631999690 /nfs/dbraw/zinc/99/96/90/631999690.db2.gz MIUZPUKUJGIDBQ-VXGBXAGGSA-N 0 0 295.335 2.787 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C/c1ccc(Cl)cc1 ZINC000814043000 632000162 /nfs/dbraw/zinc/00/01/62/632000162.db2.gz ACYNKVBCYFNDKZ-ILFKPUCNSA-N 0 0 269.684 2.562 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCSc1ccccc1F ZINC000814043790 632000580 /nfs/dbraw/zinc/00/05/80/632000580.db2.gz JMKQDXXEJXIOAC-SECBINFHSA-N 0 0 287.312 2.516 20 5 CFBDRN Cc1ccc(C(C)C)c(OCC(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000814046397 632001315 /nfs/dbraw/zinc/00/13/15/632001315.db2.gz JYLYVRRMIVFQDQ-GFCCVEGCSA-N 0 0 295.335 2.706 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)CCc1cccc(F)c1 ZINC000814045179 632001442 /nfs/dbraw/zinc/00/14/42/632001442.db2.gz YKCIJZSTAOCVFX-WDEREUQCSA-N 0 0 283.299 2.603 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](F)CC1CCCCC1 ZINC000814049241 632001992 /nfs/dbraw/zinc/00/19/92/632001992.db2.gz QQJXQXXCAXPXJY-KOLCDFICSA-N 0 0 261.293 2.503 20 5 CFBDRN CCc1nc(C)c(COC(=O)c2ccc([N+](=O)[O-])cc2)o1 ZINC000814069019 632004578 /nfs/dbraw/zinc/00/45/78/632004578.db2.gz PPLGRKGRIFDGJX-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1ncoc1COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000814096605 632012123 /nfs/dbraw/zinc/01/21/23/632012123.db2.gz RGGOBKYQSZHRJV-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=S)NC2CCC2)cc1[N+](=O)[O-] ZINC000814199161 632033439 /nfs/dbraw/zinc/03/34/39/632033439.db2.gz QCUJSOAYOPVBKV-SNVBAGLBSA-N 0 0 293.392 2.981 20 5 CFBDRN CN(C)c1cc(F)c(C(=O)N(C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000843714857 632036992 /nfs/dbraw/zinc/03/69/92/632036992.db2.gz AMZJZTZMIVCPIT-UHFFFAOYSA-N 0 0 297.330 2.670 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CCS[C@H]2C)c1[N+](=O)[O-] ZINC000843740970 632045154 /nfs/dbraw/zinc/04/51/54/632045154.db2.gz BELOAKZSTVCOBH-KWQFWETISA-N 0 0 298.339 2.666 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC(C)CC1 ZINC000843750067 632049492 /nfs/dbraw/zinc/04/94/92/632049492.db2.gz FYBSZYIKHWVALX-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN CCCN(CC)C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843762662 632051381 /nfs/dbraw/zinc/05/13/81/632051381.db2.gz DSYPEEUODAAMSQ-UHFFFAOYSA-N 0 0 268.288 2.914 20 5 CFBDRN O=C(OCCC1CCOCC1)c1csc([N+](=O)[O-])c1 ZINC000814444375 632064548 /nfs/dbraw/zinc/06/45/48/632064548.db2.gz VSIIPECJAMANQQ-UHFFFAOYSA-N 0 0 285.321 2.630 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843836114 632064727 /nfs/dbraw/zinc/06/47/27/632064727.db2.gz PJVFMJYLSSUIRR-ZETCQYMHSA-N 0 0 286.328 2.524 20 5 CFBDRN CN(CCNC(=O)OC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000840323178 632073810 /nfs/dbraw/zinc/07/38/10/632073810.db2.gz IGMGNAUCOSTHOU-UHFFFAOYSA-N 0 0 295.339 2.556 20 5 CFBDRN CC[C@](C)(CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])OC ZINC000843901430 632074873 /nfs/dbraw/zinc/07/48/73/632074873.db2.gz QNMJETVSIBAIOQ-CQSZACIVSA-N 0 0 298.314 2.587 20 5 CFBDRN CCC1CN(C(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000843902534 632075262 /nfs/dbraw/zinc/07/52/62/632075262.db2.gz GYOAIRCDVUDQPL-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1nc(C(=O)OCc2cccc([N+](=O)[O-])c2C)c(C)o1 ZINC000814503173 632081453 /nfs/dbraw/zinc/08/14/53/632081453.db2.gz AZBYPPIYROPANI-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN COC[C@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000814630933 632100454 /nfs/dbraw/zinc/10/04/54/632100454.db2.gz WRDMKLRPIZKIJM-SECBINFHSA-N 0 0 299.714 2.501 20 5 CFBDRN CCON(C(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C(C)C ZINC000844072058 632105379 /nfs/dbraw/zinc/10/53/79/632105379.db2.gz AMPRNUBYVXVBFM-UHFFFAOYSA-N 0 0 284.287 2.844 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CC[C@@H](C)O1 ZINC000840325310 632105871 /nfs/dbraw/zinc/10/58/71/632105871.db2.gz CSSMCRQUSQINIO-BXKDBHETSA-N 0 0 278.308 2.777 20 5 CFBDRN CCON(C(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000844077789 632108401 /nfs/dbraw/zinc/10/84/01/632108401.db2.gz NJXCDNHBKZXMEF-UHFFFAOYSA-N 0 0 266.297 2.705 20 5 CFBDRN CO[C@@H]1CCC[C@@H](OC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000844259755 632147299 /nfs/dbraw/zinc/14/72/99/632147299.db2.gz RGJLMBBRNGCHJN-CHWSQXEVSA-N 0 0 293.319 2.638 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cccc(F)c2[N+](=O)[O-])C1 ZINC000840327057 632152442 /nfs/dbraw/zinc/15/24/42/632152442.db2.gz MHJNEUIPQCXSFK-DTORHVGOSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@H](F)CCNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000814914915 632162473 /nfs/dbraw/zinc/16/24/73/632162473.db2.gz QQKXLSXJLIMGDD-VIFPVBQESA-N 0 0 295.314 2.647 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2C[C@H]3[C@@H](C2)C3(F)F)c(F)c1 ZINC000844460267 632219703 /nfs/dbraw/zinc/21/97/03/632219703.db2.gz FCPIPDXZPKHRQH-DTORHVGOSA-N 0 0 290.216 2.570 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000844489342 632229859 /nfs/dbraw/zinc/22/98/59/632229859.db2.gz IJFSAGLQRNFCNZ-CABZTGNLSA-N 0 0 280.299 2.581 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000844493183 632230875 /nfs/dbraw/zinc/23/08/75/632230875.db2.gz CEESMDIVUZUFIA-NWDGAFQWSA-N 0 0 291.303 2.945 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)/C=C(\C)COC ZINC000844509748 632234370 /nfs/dbraw/zinc/23/43/70/632234370.db2.gz BHYYXLGVRUECMX-JXMROGBWSA-N 0 0 294.307 2.525 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCc1cccnc1 ZINC000105557851 632236424 /nfs/dbraw/zinc/23/64/24/632236424.db2.gz TYLZXWZNXNOIAM-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN C[C@@H](OC(=O)c1cc(C=O)c[nH]1)c1ccccc1[N+](=O)[O-] ZINC000815251099 632240146 /nfs/dbraw/zinc/24/01/46/632240146.db2.gz UENGJFYIFQCEGL-SECBINFHSA-N 0 0 288.259 2.653 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000815381859 632262847 /nfs/dbraw/zinc/26/28/47/632262847.db2.gz VJKJPKKWTMTLEO-UONOGXRCSA-N 0 0 293.319 2.843 20 5 CFBDRN Cc1ccc(N(CC(C)C)C(=O)[C@@H]2CC2[N+](=O)[O-])c(C)c1 ZINC000844661626 632276556 /nfs/dbraw/zinc/27/65/56/632276556.db2.gz MVYUANDCTUBHKZ-UKRRQHHQSA-N 0 0 290.363 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1cc2n(n1)CCCC2 ZINC000844762437 632301185 /nfs/dbraw/zinc/30/11/85/632301185.db2.gz SDYLIDUFQTWKBQ-UHFFFAOYSA-N 0 0 291.282 2.846 20 5 CFBDRN C[C@@H](COC(=O)Cc1c[nH]c2cccc(Cl)c12)[N+](=O)[O-] ZINC000816091005 632369930 /nfs/dbraw/zinc/36/99/30/632369930.db2.gz HFHAVROWZKZPFG-QMMMGPOBSA-N 0 0 296.710 2.572 20 5 CFBDRN COC/C(C)=C/C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000845241451 632392290 /nfs/dbraw/zinc/39/22/90/632392290.db2.gz HKZGFPRZBQROGK-WEVVVXLNSA-N 0 0 299.710 2.884 20 5 CFBDRN Cc1cc(COC(=O)c2ccc([N+](=O)[O-])cc2)co1 ZINC000816243421 632407240 /nfs/dbraw/zinc/40/72/40/632407240.db2.gz SGTXBWNZFJFICQ-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCc2coc(C)c2)c1 ZINC000816246373 632409164 /nfs/dbraw/zinc/40/91/64/632409164.db2.gz IRKNXIXTLXISSE-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CO[C@@H](COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000816351945 632424479 /nfs/dbraw/zinc/42/44/79/632424479.db2.gz ZURLCMDAUNGMSK-PIZKADEWSA-N 0 0 293.319 2.822 20 5 CFBDRN CO[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000816353639 632425543 /nfs/dbraw/zinc/42/55/43/632425543.db2.gz OXYAYELZSPDNAL-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000816375198 632433328 /nfs/dbraw/zinc/43/33/28/632433328.db2.gz WQSGIKOJULPTKC-GFCCVEGCSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(OC[C@@H]1CC1(F)F)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000816425011 632453112 /nfs/dbraw/zinc/45/31/12/632453112.db2.gz QGYKGGYLNZRCJN-ZETCQYMHSA-N 0 0 296.229 2.888 20 5 CFBDRN O=C(OC[C@H]1CC1(F)F)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000816425010 632453432 /nfs/dbraw/zinc/45/34/32/632453432.db2.gz QGYKGGYLNZRCJN-SSDOTTSWSA-N 0 0 296.229 2.888 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1coc2ccc(Cl)cc21 ZINC000845577796 632468452 /nfs/dbraw/zinc/46/84/52/632468452.db2.gz SNBRZAJZNHJTNI-SSDOTTSWSA-N 0 0 283.667 2.908 20 5 CFBDRN CC(C)O[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000845638519 632498753 /nfs/dbraw/zinc/49/87/53/632498753.db2.gz HZULWSXFJRNUJT-AWEZNQCLSA-N 0 0 264.325 2.989 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1CCCc2cccnc21)[N+](=O)[O-] ZINC000845644148 632501313 /nfs/dbraw/zinc/50/13/13/632501313.db2.gz CDZZARWEOIULCK-GFCCVEGCSA-N 0 0 292.335 2.838 20 5 CFBDRN C[C@@]1(CCNc2ncc([N+](=O)[O-])cn2)C[C@@]1(F)Cl ZINC000845646556 632502976 /nfs/dbraw/zinc/50/29/76/632502976.db2.gz CAOJCWDEIYCSDA-ZJUUUORDSA-N 0 0 274.683 2.501 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])cc1)[C@H]1CCCOC1 ZINC000845671913 632513645 /nfs/dbraw/zinc/51/36/45/632513645.db2.gz MWZPMJVDGRYSPZ-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@H](CO)C1CCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000845706554 632528808 /nfs/dbraw/zinc/52/88/08/632528808.db2.gz DATWOGMKJPYYCD-SNVBAGLBSA-N 0 0 282.315 2.579 20 5 CFBDRN Cc1cc(N[C@H](CO)CCF)c(Cl)cc1[N+](=O)[O-] ZINC000845730764 632537761 /nfs/dbraw/zinc/53/77/61/632537761.db2.gz UARLCXSGWWBRNQ-QMMMGPOBSA-N 0 0 276.695 2.689 20 5 CFBDRN Cc1cnc(N2CCC[C@@]3(CC3(F)F)C2)c([N+](=O)[O-])c1 ZINC000845741803 632547672 /nfs/dbraw/zinc/54/76/72/632547672.db2.gz GQRZBKVYEOGTKZ-GFCCVEGCSA-N 0 0 283.278 2.924 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CCC=CO1)c1ccccc1[N+](=O)[O-] ZINC000817038501 632548633 /nfs/dbraw/zinc/54/86/33/632548633.db2.gz QDOXALGCYGFCQJ-CYBMUJFWSA-N 0 0 290.319 2.639 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@]3(CC3(F)F)C2)c1 ZINC000845745038 632549569 /nfs/dbraw/zinc/54/95/69/632549569.db2.gz WWWVSCFHUSZYHP-LBPRGKRZSA-N 0 0 283.278 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(CC3(F)F)C2)cc1 ZINC000845766265 632557916 /nfs/dbraw/zinc/55/79/16/632557916.db2.gz FBWMYTFMLTVPOJ-LLVKDONJSA-N 0 0 254.236 2.830 20 5 CFBDRN Cc1ccc(N2CCC[C@H](CCO)CC2)c([N+](=O)[O-])c1 ZINC000817131025 632562514 /nfs/dbraw/zinc/56/25/14/632562514.db2.gz VIFUAIQOZYGHOS-ZDUSSCGKSA-N 0 0 278.352 2.892 20 5 CFBDRN CC[C@@H](OC(=O)COc1ccccc1[N+](=O)[O-])C(C)C ZINC000845848386 632579375 /nfs/dbraw/zinc/57/93/75/632579375.db2.gz AWMDEGIFVVMYTB-GFCCVEGCSA-N 0 0 281.308 2.951 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@H]1CC[C@H](C)O1 ZINC000817239140 632580577 /nfs/dbraw/zinc/58/05/77/632580577.db2.gz QBNFHBYBOPQEKF-NWDGAFQWSA-N 0 0 293.319 2.936 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@H]1CCCCO1 ZINC000817240129 632581616 /nfs/dbraw/zinc/58/16/16/632581616.db2.gz XCYZOHPDYKPHRJ-GFCCVEGCSA-N 0 0 293.319 2.938 20 5 CFBDRN C[C@@]1(C(=O)OCc2csc([N+](=O)[O-])c2)CC1(F)F ZINC000817247502 632583895 /nfs/dbraw/zinc/58/38/95/632583895.db2.gz APZBWLKBSJFWLJ-VIFPVBQESA-N 0 0 277.248 2.745 20 5 CFBDRN C[C@@H]([C@@H](OC(=O)[C@H]1C[C@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845873197 632589174 /nfs/dbraw/zinc/58/91/74/632589174.db2.gz RZXPPNLQMPPCTH-UPBCOZELSA-N 0 0 281.283 2.731 20 5 CFBDRN C[C@@H]([C@H](OC(=O)[C@H]1C[C@@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845878676 632590330 /nfs/dbraw/zinc/59/03/30/632590330.db2.gz RZXPPNLQMPPCTH-PZEZNAACSA-N 0 0 281.283 2.731 20 5 CFBDRN Cc1nn(C)c(C(=O)OCCC(C)(C)C2CC2)c1[N+](=O)[O-] ZINC000845884776 632590738 /nfs/dbraw/zinc/59/07/38/632590738.db2.gz VUBBKPNVPUGAJB-UHFFFAOYSA-N 0 0 295.339 2.620 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@H]1CC12CC2 ZINC000817431972 632632286 /nfs/dbraw/zinc/63/22/86/632632286.db2.gz TYOGBHPFLYNQLS-MRVPVSSYSA-N 0 0 266.684 2.987 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@]1(C)CC1(F)F)CC2 ZINC000817443435 632635860 /nfs/dbraw/zinc/63/58/60/632635860.db2.gz OVDHPUGSGGXJBE-ZDUSSCGKSA-N 0 0 296.273 2.838 20 5 CFBDRN CN(C)c1ccc(COC(=O)[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000817442795 632636074 /nfs/dbraw/zinc/63/60/74/632636074.db2.gz CDKJMJFMKCGONQ-NSHDSACASA-N 0 0 290.319 2.504 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]3COC[C@@H]32)c(Cl)c1 ZINC000840345768 632687489 /nfs/dbraw/zinc/68/74/89/632687489.db2.gz QPEDMWKCNDPWST-RNCFNFMXSA-N 0 0 282.727 2.863 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@@]2(CC2(F)F)C1 ZINC000846567748 632743562 /nfs/dbraw/zinc/74/35/62/632743562.db2.gz JHPVAXIENASAQZ-CYBMUJFWSA-N 0 0 296.273 2.856 20 5 CFBDRN Cc1nn(CC[C@@H]2CC2(Cl)Cl)c(C)c1[N+](=O)[O-] ZINC000818051394 632792614 /nfs/dbraw/zinc/79/26/14/632792614.db2.gz KLBAJWLMCIGTBQ-MRVPVSSYSA-N 0 0 278.139 2.992 20 5 CFBDRN Cc1cn(CC[C@H]2CC2(Cl)Cl)nc1[N+](=O)[O-] ZINC000818084425 632796260 /nfs/dbraw/zinc/79/62/60/632796260.db2.gz VIAHDBANMZFVNH-ZETCQYMHSA-N 0 0 264.112 2.684 20 5 CFBDRN Cc1cn(CC[C@@H]2CC2(Cl)Cl)nc1[N+](=O)[O-] ZINC000818084424 632796532 /nfs/dbraw/zinc/79/65/32/632796532.db2.gz VIAHDBANMZFVNH-SSDOTTSWSA-N 0 0 264.112 2.684 20 5 CFBDRN O=C1CCN(Cc2cc(F)ccc2[N+](=O)[O-])C2(CCC2)C1 ZINC000846991627 632871303 /nfs/dbraw/zinc/87/13/03/632871303.db2.gz BJVFKEHNIUNRSQ-UHFFFAOYSA-N 0 0 292.310 2.822 20 5 CFBDRN Cc1noc([C@H](C)N(C)Cc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000847060033 632906592 /nfs/dbraw/zinc/90/65/92/632906592.db2.gz XEMOMUXNCDFJKU-QMMMGPOBSA-N 0 0 294.286 2.618 20 5 CFBDRN CCCCOC(=O)C(C)(C)NCc1ccc([N+](=O)[O-])cc1 ZINC000847059309 632906748 /nfs/dbraw/zinc/90/67/48/632906748.db2.gz FAXXTZUNUBBBNX-UHFFFAOYSA-N 0 0 294.351 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(CC3CC3)C2)c(F)c1 ZINC000856789532 635860666 /nfs/dbraw/zinc/86/06/66/635860666.db2.gz GWYFIAXBIBQBHX-UHFFFAOYSA-N 0 0 250.273 2.970 20 5 CFBDRN CC(C)n1cc(OC(=O)Cc2cccc([N+](=O)[O-])c2)cn1 ZINC000847128529 632930956 /nfs/dbraw/zinc/93/09/56/632930956.db2.gz CBSOMRDPSCEBDN-UHFFFAOYSA-N 0 0 289.291 2.520 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C(C)C ZINC000847170680 632942876 /nfs/dbraw/zinc/94/28/76/632942876.db2.gz DDTKEDIVILXTIG-UHFFFAOYSA-N 0 0 295.295 2.764 20 5 CFBDRN Cc1nn(/C=C/c2ccc([N+](=O)[O-])o2)c(C)c1[N+](=O)[O-] ZINC000106913041 632994154 /nfs/dbraw/zinc/99/41/54/632994154.db2.gz HWNTUNLRONDJLQ-AATRIKPKSA-N 0 0 278.224 2.537 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)O[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000107010315 633018908 /nfs/dbraw/zinc/01/89/08/633018908.db2.gz VHZQBPVUUSYFSL-LLVKDONJSA-N 0 0 293.319 2.838 20 5 CFBDRN CN(Cc1ccc(F)cc1Cl)Cn1nccc1[N+](=O)[O-] ZINC000107093559 633062874 /nfs/dbraw/zinc/06/28/74/633062874.db2.gz VIAFNKORBSBTEH-UHFFFAOYSA-N 0 0 298.705 2.673 20 5 CFBDRN CCC[C@H](CC(C)C)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847786100 633076222 /nfs/dbraw/zinc/07/62/22/633076222.db2.gz MWIDLMYGLQBWTC-LLVKDONJSA-N 0 0 297.355 2.834 20 5 CFBDRN COC[C@@H](C)CCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000847872646 633121197 /nfs/dbraw/zinc/12/11/97/633121197.db2.gz KMGADDGTARTHQO-VIFPVBQESA-N 0 0 285.271 2.563 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000847921616 633146440 /nfs/dbraw/zinc/14/64/40/633146440.db2.gz IYXBXKBXTMQQFZ-HDJSIYSDSA-N 0 0 293.319 2.638 20 5 CFBDRN CCCOCCOC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000107414588 633212500 /nfs/dbraw/zinc/21/25/00/633212500.db2.gz KXISCZKSDWUVAR-UHFFFAOYSA-N 0 0 299.348 2.657 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(-c2cc([N+](=O)[O-])ccc2N(C)C)n1 ZINC000848710547 633322129 /nfs/dbraw/zinc/32/21/29/633322129.db2.gz SCNIYJSIYJGSNQ-WPRPVWTQSA-N 0 0 288.307 2.834 20 5 CFBDRN CC(C)(O)CCSc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000848741071 633331197 /nfs/dbraw/zinc/33/11/97/633331197.db2.gz KHWWIZCKONUFAD-UHFFFAOYSA-N 0 0 286.309 2.756 20 5 CFBDRN C[C@@H](CNc1cc(N)ccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000848767213 633340089 /nfs/dbraw/zinc/34/00/89/633340089.db2.gz GNGAGZOMXRCSNG-VIFPVBQESA-N 0 0 295.339 2.567 20 5 CFBDRN CNc1nccc(C(=O)OCC2CCCCC2)c1[N+](=O)[O-] ZINC000848790683 633343639 /nfs/dbraw/zinc/34/36/39/633343639.db2.gz HOJMQBBPUZELMH-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC12CC2 ZINC000819627170 633402719 /nfs/dbraw/zinc/40/27/19/633402719.db2.gz OYCBTVYMEYDJMO-CHOZFAJLSA-N 0 0 272.304 2.667 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC1CC(C)C1 ZINC000857735755 636018880 /nfs/dbraw/zinc/01/88/80/636018880.db2.gz DJHRGXQVRVQXDG-UHFFFAOYSA-N 0 0 280.299 2.818 20 5 CFBDRN CCc1ccc(OC(=O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000849374913 633630747 /nfs/dbraw/zinc/63/07/47/633630747.db2.gz JUYWFYAYFUSNSS-UHFFFAOYSA-N 0 0 263.171 2.625 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)O[C@H]1COC(C)(C)C1 ZINC000849648941 633657247 /nfs/dbraw/zinc/65/72/47/633657247.db2.gz RKCPWBUGJIMODR-SNVBAGLBSA-N 0 0 279.292 2.628 20 5 CFBDRN O=C(OCC1CC=CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000849717033 633686658 /nfs/dbraw/zinc/68/66/58/633686658.db2.gz KHLMVDYUAAAMKR-UHFFFAOYSA-N 0 0 265.240 2.857 20 5 CFBDRN O=C(OCC1CC=CC1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000849724690 633689990 /nfs/dbraw/zinc/68/99/90/633689990.db2.gz SEJYXCMXKXKLSN-UHFFFAOYSA-N 0 0 265.240 2.857 20 5 CFBDRN CC(C)c1ccc(C(=O)OCC[C@H](C)O)cc1[N+](=O)[O-] ZINC000849760709 633707456 /nfs/dbraw/zinc/70/74/56/633707456.db2.gz JIKKEXIYLHVLQP-JTQLQIEISA-N 0 0 281.308 2.646 20 5 CFBDRN CC(C)=CCCOC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000849799221 633725003 /nfs/dbraw/zinc/72/50/03/633725003.db2.gz KWDDRTAMTZJNKT-ZDUSSCGKSA-N 0 0 279.292 2.528 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CC1(F)F ZINC000849809348 633730245 /nfs/dbraw/zinc/73/02/45/633730245.db2.gz FQDPMFDEAWJECV-LLVKDONJSA-N 0 0 289.209 2.822 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CC1(F)F ZINC000849809349 633730426 /nfs/dbraw/zinc/73/04/26/633730426.db2.gz FQDPMFDEAWJECV-NSHDSACASA-N 0 0 289.209 2.822 20 5 CFBDRN COCC1(CC(=O)OCc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000849813989 633732106 /nfs/dbraw/zinc/73/21/06/633732106.db2.gz SVHRXLXHRTVOEU-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN CCC(CC)COC(=O)c1ccnc(NC)c1[N+](=O)[O-] ZINC000849820943 633734414 /nfs/dbraw/zinc/73/44/14/633734414.db2.gz JTZFXVLCWSZRRZ-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN CC1(C)OC[C@H](COc2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000849880695 633751284 /nfs/dbraw/zinc/75/12/84/633751284.db2.gz POTVJTWXKAQORB-VIFPVBQESA-N 0 0 287.699 2.779 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CCCC1 ZINC000849957011 633775364 /nfs/dbraw/zinc/77/53/64/633775364.db2.gz XGEWARZTGHAPSU-UHFFFAOYSA-N 0 0 294.263 2.641 20 5 CFBDRN CSC(C)(C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000849987666 633784301 /nfs/dbraw/zinc/78/43/01/633784301.db2.gz SNMBEUCFYNINOY-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN C[C@H](CC(=O)OCc1ccc([N+](=O)[O-])cc1)[C@H]1CCCO1 ZINC000850080568 633827076 /nfs/dbraw/zinc/82/70/76/633827076.db2.gz SGNWWERIJQBVOG-BXUZGUMPSA-N 0 0 293.319 2.843 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000850178615 633874504 /nfs/dbraw/zinc/87/45/04/633874504.db2.gz DQNYBEPJHBXUKG-CYBMUJFWSA-N 0 0 297.282 2.592 20 5 CFBDRN O=C(CCCF)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000850192761 633881110 /nfs/dbraw/zinc/88/11/10/633881110.db2.gz FHAVVHGCLVDVJY-UHFFFAOYSA-N 0 0 285.271 2.657 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)C1CC2(CC2)C1 ZINC000850212086 633895656 /nfs/dbraw/zinc/89/56/56/633895656.db2.gz ANLJTPPODUQQJD-UHFFFAOYSA-N 0 0 261.277 2.828 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C1CC2(CC2)C1 ZINC000850215905 633898394 /nfs/dbraw/zinc/89/83/94/633898394.db2.gz TUCQHAPFMZDZIP-UHFFFAOYSA-N 0 0 279.267 2.967 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850261439 633915176 /nfs/dbraw/zinc/91/51/76/633915176.db2.gz RITMSTHKKCLQBM-GDNZZTSVSA-N 0 0 261.277 2.684 20 5 CFBDRN C[C@@H]1CO[C@H](C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000885575176 633918147 /nfs/dbraw/zinc/91/81/47/633918147.db2.gz WOZVXDLNHYHCID-UFBFGSQYSA-N 0 0 299.710 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1CC2(CC2)C1 ZINC000850770069 634084339 /nfs/dbraw/zinc/08/43/39/634084339.db2.gz KRILYYADFNOXCU-UHFFFAOYSA-N 0 0 261.277 2.999 20 5 CFBDRN Cc1c(OC(=O)[C@H]2C[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000850770732 634085644 /nfs/dbraw/zinc/08/56/44/634085644.db2.gz YGMNHXHXNLEWHW-URLYPYJESA-N 0 0 261.277 2.855 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000850985399 634166607 /nfs/dbraw/zinc/16/66/07/634166607.db2.gz HFEAFTMOYXRAHV-JTQLQIEISA-N 0 0 279.292 2.945 20 5 CFBDRN Cc1cc(C(=O)OC[C@H](C)[N+](=O)[O-])c(-n2cccc2)s1 ZINC000851037008 634190431 /nfs/dbraw/zinc/19/04/31/634190431.db2.gz PPYQVJREUJRZHM-VIFPVBQESA-N 0 0 294.332 2.669 20 5 CFBDRN CC(C)c1ccccc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000851046441 634194892 /nfs/dbraw/zinc/19/48/92/634194892.db2.gz QIYUOQPMLGOXRC-JTQLQIEISA-N 0 0 251.282 2.632 20 5 CFBDRN CCOC1(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000851052400 634197402 /nfs/dbraw/zinc/19/74/02/634197402.db2.gz DCCUDYHTMSVGKW-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN CC(C)=C[C@H]1[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])C1(C)C ZINC000851122434 634233048 /nfs/dbraw/zinc/23/30/48/634233048.db2.gz CYAGCKJPEIAKET-QWRGUYRKSA-N 0 0 269.341 2.823 20 5 CFBDRN CC(C)(COC(=O)CSc1ccc(F)cc1)[N+](=O)[O-] ZINC000851122974 634234883 /nfs/dbraw/zinc/23/48/83/634234883.db2.gz LWEVWXDFHROTDG-UHFFFAOYSA-N 0 0 287.312 2.516 20 5 CFBDRN CC(C)Oc1ccccc1CC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125479 634235373 /nfs/dbraw/zinc/23/53/73/634235373.db2.gz GHPXDUZEBYGQTP-UHFFFAOYSA-N 0 0 295.335 2.615 20 5 CFBDRN CC(C)(COC(=O)CCCOc1cccc(F)c1)[N+](=O)[O-] ZINC000851125218 634235600 /nfs/dbraw/zinc/23/56/00/634235600.db2.gz BOYWVJXHEKAYRH-UHFFFAOYSA-N 0 0 299.298 2.583 20 5 CFBDRN CC(C)(COC(=O)C1(c2cccc(Cl)c2)CC1)[N+](=O)[O-] ZINC000851124890 634235858 /nfs/dbraw/zinc/23/58/58/634235858.db2.gz OVZKTXVEEAHOSD-UHFFFAOYSA-N 0 0 297.738 2.970 20 5 CFBDRN Cc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1Cl ZINC000851125207 634235893 /nfs/dbraw/zinc/23/58/93/634235893.db2.gz BGNSDUKBUYVRHO-UHFFFAOYSA-N 0 0 271.700 2.861 20 5 CFBDRN CC[C@H](OC1CCCCC1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126000 634236542 /nfs/dbraw/zinc/23/65/42/634236542.db2.gz KKOYEIIROAWWHS-LBPRGKRZSA-N 0 0 287.356 2.713 20 5 CFBDRN CC[C@@H](Oc1cccc(C)c1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126384 634236599 /nfs/dbraw/zinc/23/65/99/634236599.db2.gz MJNXYYOSHLIRNN-CYBMUJFWSA-N 0 0 295.335 2.751 20 5 CFBDRN CC(C)(COC(=O)CC1CCCCCC1)[N+](=O)[O-] ZINC000851126060 634236730 /nfs/dbraw/zinc/23/67/30/634236730.db2.gz LLTYWLGCRYYZGG-UHFFFAOYSA-N 0 0 257.330 2.945 20 5 CFBDRN COc1c(C)cc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1C ZINC000851126780 634236811 /nfs/dbraw/zinc/23/68/11/634236811.db2.gz IBJMZYYUOZITJT-UHFFFAOYSA-N 0 0 281.308 2.524 20 5 CFBDRN CC[C@@H](OC1CCCCC1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125998 634236916 /nfs/dbraw/zinc/23/69/16/634236916.db2.gz KKOYEIIROAWWHS-GFCCVEGCSA-N 0 0 287.356 2.713 20 5 CFBDRN Cc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])c(Cl)c1 ZINC000851128400 634237817 /nfs/dbraw/zinc/23/78/17/634237817.db2.gz VJHMPFXQPGKZRW-UHFFFAOYSA-N 0 0 271.700 2.861 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CCCC[C@@H]1C1CC1)[N+](=O)[O-] ZINC000851129278 634238294 /nfs/dbraw/zinc/23/82/94/634238294.db2.gz LXSURDHUCVIORZ-NEPJUHHUSA-N 0 0 269.341 2.801 20 5 CFBDRN Cc1nc2ccccc2cc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129215 634238472 /nfs/dbraw/zinc/23/84/72/634238472.db2.gz KPOIVJYOQKCWES-UHFFFAOYSA-N 0 0 288.303 2.755 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129341 634238719 /nfs/dbraw/zinc/23/87/19/634238719.db2.gz JYRBCIYPIKOVTF-QWRGUYRKSA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)(COC(=O)C1CCC2(CC2)CC1)[N+](=O)[O-] ZINC000851130697 634239551 /nfs/dbraw/zinc/23/95/51/634239551.db2.gz ZNVKUOJPZNLOLO-UHFFFAOYSA-N 0 0 255.314 2.555 20 5 CFBDRN CC(C)(COC(=O)[C@H](F)CC1CCCCC1)[N+](=O)[O-] ZINC000851130407 634239556 /nfs/dbraw/zinc/23/95/56/634239556.db2.gz VFXAXMCXJRKQOU-LLVKDONJSA-N 0 0 275.320 2.893 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCCCC1(C)C)[N+](=O)[O-] ZINC000851130096 634239600 /nfs/dbraw/zinc/23/96/00/634239600.db2.gz QWSYKPFKQXFTGU-JTQLQIEISA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1CCCC1 ZINC000851141199 634244568 /nfs/dbraw/zinc/24/45/68/634244568.db2.gz XVWKMOJOIHSGFP-JTQLQIEISA-N 0 0 257.330 2.944 20 5 CFBDRN COc1cccc(C(=O)OC[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000851226130 634279111 /nfs/dbraw/zinc/27/91/11/634279111.db2.gz WYLQRTLQJBNHFH-JGPRNRPPSA-N 0 0 291.303 2.806 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000851230118 634282056 /nfs/dbraw/zinc/28/20/56/634282056.db2.gz MMUIDENKSQKQGS-JGPRNRPPSA-N 0 0 261.277 2.798 20 5 CFBDRN CCC[C@H]1CCCC[C@@H]1OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000851453566 634370030 /nfs/dbraw/zinc/37/00/30/634370030.db2.gz KUKSCCDLIJNTKJ-RYUDHWBXSA-N 0 0 295.339 2.693 20 5 CFBDRN Cc1noc(COc2c(C)ccnc2Cl)c1[N+](=O)[O-] ZINC000853019021 634877519 /nfs/dbraw/zinc/87/75/19/634877519.db2.gz BYSBFMUXGOXBMD-UHFFFAOYSA-N 0 0 283.671 2.827 20 5 CFBDRN CC(C)(C)S(=O)(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000853022383 634879243 /nfs/dbraw/zinc/87/92/43/634879243.db2.gz LDWWEMNHQDGHPE-UHFFFAOYSA-N 0 0 293.291 2.586 20 5 CFBDRN COc1cccc(C)c1NCc1onc(C)c1[N+](=O)[O-] ZINC000853060883 634893389 /nfs/dbraw/zinc/89/33/89/634893389.db2.gz MFCVVAGNDCRSRT-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN COCc1ccc(NCc2onc(C)c2[N+](=O)[O-])cc1 ZINC000853063183 634894042 /nfs/dbraw/zinc/89/40/42/634894042.db2.gz ZVWNFJMBRFNSLT-UHFFFAOYSA-N 0 0 277.280 2.650 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])c(C(N)=O)c1)C(C)(C)C ZINC000853338630 634975048 /nfs/dbraw/zinc/97/50/48/634975048.db2.gz PFBULIHIUUMRTI-SECBINFHSA-N 0 0 279.340 2.788 20 5 CFBDRN CCOC[C@@H]1CCN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000853401937 634994633 /nfs/dbraw/zinc/99/46/33/634994633.db2.gz IXKBQAWKZFQGNH-GFCCVEGCSA-N 0 0 294.351 2.775 20 5 CFBDRN CCOc1cc(NC[C@H]2CC(C)=NO2)ccc1[N+](=O)[O-] ZINC000853660464 635064864 /nfs/dbraw/zinc/06/48/64/635064864.db2.gz GMJZVFIKAFEPCR-LLVKDONJSA-N 0 0 279.296 2.570 20 5 CFBDRN COc1cc(NC[C@H]2CC(C)=NO2)c(Cl)cc1[N+](=O)[O-] ZINC000853660722 635064883 /nfs/dbraw/zinc/06/48/83/635064883.db2.gz DOGBCZJEPJOGBZ-MRVPVSSYSA-N 0 0 299.714 2.834 20 5 CFBDRN CCc1nc(C)c(COc2ccc(N)c([N+](=O)[O-])c2)o1 ZINC000853662098 635065588 /nfs/dbraw/zinc/06/55/88/635065588.db2.gz CIDZFUHNOIOGMC-UHFFFAOYSA-N 0 0 277.280 2.615 20 5 CFBDRN COC(OC)[C@H](C)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000853681907 635073136 /nfs/dbraw/zinc/07/31/36/635073136.db2.gz VYWCUULIVMAQHW-VIFPVBQESA-N 0 0 291.307 2.562 20 5 CFBDRN O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1N[C@H]1C=CCC1 ZINC000853801244 635112115 /nfs/dbraw/zinc/11/21/15/635112115.db2.gz RVIUGZLHWSNUCH-JTQLQIEISA-N 0 0 287.319 2.618 20 5 CFBDRN Cc1ccc(N2C[C@]3(C)COC[C@]3(C)C2)c([N+](=O)[O-])c1 ZINC000853813664 635116220 /nfs/dbraw/zinc/11/62/20/635116220.db2.gz YAXZNAFOBKNULD-GASCZTMLSA-N 0 0 276.336 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@H]3COC4(CCC4)C3)nc2c1 ZINC000853829836 635120746 /nfs/dbraw/zinc/12/07/46/635120746.db2.gz XRVVMCJZLAALHC-SECBINFHSA-N 0 0 288.307 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@@](O)(C2CC2)C1 ZINC000853833473 635121696 /nfs/dbraw/zinc/12/16/96/635121696.db2.gz ICQJWESFNJZLHH-AWEZNQCLSA-N 0 0 296.754 2.990 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@](O)(C3CC3)C2)c(F)c1 ZINC000853834433 635122204 /nfs/dbraw/zinc/12/22/04/635122204.db2.gz UPNFVQZABZPLDS-CQSZACIVSA-N 0 0 298.289 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]23OCCC[C@H]2C3(F)F)s1 ZINC000853847508 635130159 /nfs/dbraw/zinc/13/01/59/635130159.db2.gz QSVWLDNFSIWGDT-XCBNKYQSSA-N 0 0 290.291 2.883 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1ccc([N+](=O)[O-])cc1Cl)C2 ZINC000853857393 635133876 /nfs/dbraw/zinc/13/38/76/635133876.db2.gz AZVIEGNPTCKPSH-ZANVPECISA-N 0 0 282.727 2.721 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H](CO)CC1CC1 ZINC000853869396 635140367 /nfs/dbraw/zinc/14/03/67/635140367.db2.gz SNKHPWSXZZOGRE-SNVBAGLBSA-N 0 0 268.288 2.554 20 5 CFBDRN Cc1noc(COC(=O)[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000853900578 635151683 /nfs/dbraw/zinc/15/16/83/635151683.db2.gz WGBJCDSUQRRRAD-ZETCQYMHSA-N 0 0 270.285 2.617 20 5 CFBDRN CC1(C)CCC(O)(CNc2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000853905173 635154964 /nfs/dbraw/zinc/15/49/64/635154964.db2.gz NWMXZSJXZMJYKN-UHFFFAOYSA-N 0 0 293.367 2.920 20 5 CFBDRN Cc1noc(COC(=O)C[C@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000853909242 635159202 /nfs/dbraw/zinc/15/92/02/635159202.db2.gz MSZNCSNQAUTHCJ-JTQLQIEISA-N 0 0 280.280 2.681 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCCOC(F)F ZINC000853919865 635163021 /nfs/dbraw/zinc/16/30/21/635163021.db2.gz DHWYQIIWWFNOID-UHFFFAOYSA-N 0 0 289.234 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2SCCS2)s1 ZINC000853939570 635171606 /nfs/dbraw/zinc/17/16/06/635171606.db2.gz NUOJXRRGJGUUGV-UHFFFAOYSA-N 0 0 262.381 2.874 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)CC1=CCSC1 ZINC000853982366 635185125 /nfs/dbraw/zinc/18/51/25/635185125.db2.gz YPAOBEXRFGDEPM-UHFFFAOYSA-N 0 0 292.360 2.907 20 5 CFBDRN Cc1ccc(N2CCO[C@](C)(C(F)F)C2)c([N+](=O)[O-])c1 ZINC000853997944 635187639 /nfs/dbraw/zinc/18/76/39/635187639.db2.gz QICVTYRTGNOYEA-ZDUSSCGKSA-N 0 0 286.278 2.764 20 5 CFBDRN CO[C@@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CCCC1 ZINC000854060915 635201657 /nfs/dbraw/zinc/20/16/57/635201657.db2.gz OZJVUVAPSBPCJQ-GFCCVEGCSA-N 0 0 297.355 2.935 20 5 CFBDRN C[C@@H](Cc1ccco1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000854060592 635202025 /nfs/dbraw/zinc/20/20/25/635202025.db2.gz BRMQFQPFKUJNSY-JTQLQIEISA-N 0 0 293.323 2.997 20 5 CFBDRN C[C@@H](CC(F)(F)F)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496033 635317965 /nfs/dbraw/zinc/31/79/65/635317965.db2.gz BMKRVPBNZWWBNP-LURJTMIESA-N 0 0 291.229 2.704 20 5 CFBDRN Nc1ccc(NC(=O)C2CC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000854496954 635317970 /nfs/dbraw/zinc/31/79/70/635317970.db2.gz VGHADXAMGWXCRV-UHFFFAOYSA-N 0 0 275.308 2.696 20 5 CFBDRN Nc1ccc(NC(=O)C(F)=C2CCCC2)cc1[N+](=O)[O-] ZINC000854497141 635318412 /nfs/dbraw/zinc/31/84/12/635318412.db2.gz IPMUPEJMZQZOAI-UHFFFAOYSA-N 0 0 279.271 2.913 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)CC(F)F ZINC000112976169 635454985 /nfs/dbraw/zinc/45/49/85/635454985.db2.gz WYUMNXJIUMGYPX-UHFFFAOYSA-N 0 0 264.659 2.945 20 5 CFBDRN O=C(N[C@H]1C=CCC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000855429446 635542502 /nfs/dbraw/zinc/54/25/02/635542502.db2.gz IAYCMRJGZDLUFI-JTQLQIEISA-N 0 0 282.246 2.521 20 5 CFBDRN CC(C)OCCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000113465033 635587159 /nfs/dbraw/zinc/58/71/59/635587159.db2.gz DWGWXAOWWUDIFI-UHFFFAOYSA-N 0 0 267.281 2.567 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)NCCC(C)(C)F ZINC000855747127 635636019 /nfs/dbraw/zinc/63/60/19/635636019.db2.gz XOMJVWWIIWUWAX-UHFFFAOYSA-N 0 0 297.330 2.529 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCC1=CCCC1 ZINC000856095580 635723357 /nfs/dbraw/zinc/72/33/57/635723357.db2.gz CRKGMPZXMGMFCI-JXMROGBWSA-N 0 0 272.304 2.835 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@H](C2CCC2)C1)[N+](=O)[O-] ZINC000856171971 635746833 /nfs/dbraw/zinc/74/68/33/635746833.db2.gz SNDLMOGEFGJXFN-ZDUSSCGKSA-N 0 0 282.384 2.861 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000856462868 635817610 /nfs/dbraw/zinc/81/76/10/635817610.db2.gz RSPDPIBROPCRQF-VIFPVBQESA-N 0 0 280.299 2.852 20 5 CFBDRN O=C(OCc1c(Cl)oc2ccccc21)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000856938322 635890719 /nfs/dbraw/zinc/89/07/19/635890719.db2.gz PZJMEPAVMAQHCO-PSASIEDQSA-N 0 0 295.678 2.795 20 5 CFBDRN C[C@@H](CC(=O)Nc1cc([N+](=O)[O-])ccc1F)[C@H]1CCCO1 ZINC000857571846 635985586 /nfs/dbraw/zinc/98/55/86/635985586.db2.gz OAIOPXNMVAQOJR-TVQRCGJNSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@]12C[C@H]1COC2(C)C ZINC000857579093 635986654 /nfs/dbraw/zinc/98/66/54/635986654.db2.gz YXFZAZIAACDRME-BONVTDFDSA-N 0 0 291.303 2.624 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Oc2c(C)cccc2[N+](=O)[O-])C1 ZINC000857600425 635991869 /nfs/dbraw/zinc/99/18/69/635991869.db2.gz PIGMFXIQUXPTIP-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1(CCF)CC1 ZINC000857637362 635999667 /nfs/dbraw/zinc/99/96/67/635999667.db2.gz ZYESUBSQBUPIKG-UHFFFAOYSA-N 0 0 298.226 2.548 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)Oc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000857635958 635999867 /nfs/dbraw/zinc/99/98/67/635999867.db2.gz BYLVNJLNIRRLJH-DTWKUNHWSA-N 0 0 294.263 2.845 20 5 CFBDRN O=C([C@@H]1CC1[N+](=O)[O-])N1CCCCc2cc(Cl)ccc21 ZINC000857658022 636004893 /nfs/dbraw/zinc/00/48/93/636004893.db2.gz BOIOGIMQKZHZNF-DGCLKSJQSA-N 0 0 294.738 2.675 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1Cc2ccncc2C1 ZINC000857879867 636045919 /nfs/dbraw/zinc/04/59/19/636045919.db2.gz TYIBIMJOHGYPRX-UHFFFAOYSA-N 0 0 285.303 2.514 20 5 CFBDRN CCC(=O)c1ccc(N(C)CC2(C)COC2)c([N+](=O)[O-])c1 ZINC000858706189 636328829 /nfs/dbraw/zinc/32/88/29/636328829.db2.gz NRFQPSRQEAHSRI-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000858729990 636334566 /nfs/dbraw/zinc/33/45/66/636334566.db2.gz RNUWJKQEKIOSAZ-YPMHNXCESA-N 0 0 284.287 2.722 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000858775746 636344025 /nfs/dbraw/zinc/34/40/25/636344025.db2.gz HSDIBJJMYHGLMT-LOKDSWTASA-N 0 0 295.295 2.727 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@@H]2CCC[C@]2(CO)C1 ZINC000858804290 636347633 /nfs/dbraw/zinc/34/76/33/636347633.db2.gz NJPSGFBWYVTQMZ-SWLSCSKDSA-N 0 0 276.336 2.502 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000858809496 636348776 /nfs/dbraw/zinc/34/87/76/636348776.db2.gz MCNRHZJZRULVGA-ZANVPECISA-N 0 0 296.298 2.722 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000858853834 636357145 /nfs/dbraw/zinc/35/71/45/636357145.db2.gz BAQGUCGOXMXZRM-KLPPZKSPSA-N 0 0 278.308 2.634 20 5 CFBDRN CCCOC(=O)NCCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000859009720 636409587 /nfs/dbraw/zinc/40/95/87/636409587.db2.gz MXJCXLBWVCCGIU-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCCN2Cn1cc(Cl)cn1 ZINC000159267733 650253355 /nfs/dbraw/zinc/25/33/55/650253355.db2.gz IMXSOOYLPWQIRX-UHFFFAOYSA-N 0 0 292.726 2.855 20 5 CFBDRN Cc1ccccc1C(=O)COc1cccnc1[N+](=O)[O-] ZINC000048349429 650263781 /nfs/dbraw/zinc/26/37/81/650263781.db2.gz WDFAIQKEYCPPSO-UHFFFAOYSA-N 0 0 272.260 2.560 20 5 CFBDRN CCCCC(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859450277 636568567 /nfs/dbraw/zinc/56/85/67/636568567.db2.gz FIIZTFPQMOQDPN-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN CCO[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000859453883 636570016 /nfs/dbraw/zinc/57/00/16/636570016.db2.gz PQRSJNKRFLLZBV-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN CO[C@]1(C)C[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1(C)C ZINC000159571699 650266637 /nfs/dbraw/zinc/26/66/37/650266637.db2.gz MUQJNDQDUVIBDB-IUODEOHRSA-N 0 0 293.319 2.955 20 5 CFBDRN CC(C)CCC(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859466153 636573612 /nfs/dbraw/zinc/57/36/12/636573612.db2.gz JQTGOOJHPFSKGX-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN CC/C=C(\C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859467679 636573682 /nfs/dbraw/zinc/57/36/82/636573682.db2.gz VHIHKYOXJHDRPS-RUDMXATFSA-N 0 0 295.266 2.816 20 5 CFBDRN CCO[C@@H](COC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000859492269 636581686 /nfs/dbraw/zinc/58/16/86/636581686.db2.gz PWUVWKRZYQGXKG-NSHDSACASA-N 0 0 299.348 2.937 20 5 CFBDRN CCO[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000859514334 636586209 /nfs/dbraw/zinc/58/62/09/636586209.db2.gz VAQIBHLSZSJFQE-ZDUSSCGKSA-N 0 0 297.282 2.706 20 5 CFBDRN C[N@H+](CCC(C)(C)C(=O)[O-])Cc1ccccc1[N+](=O)[O-] ZINC000859781867 636689347 /nfs/dbraw/zinc/68/93/47/636689347.db2.gz IYACPOHYDMFWET-UHFFFAOYSA-N 0 0 280.324 2.528 20 5 CFBDRN COC[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859812018 636703519 /nfs/dbraw/zinc/70/35/19/636703519.db2.gz ZNCJILVRDKUTNC-JTQLQIEISA-N 0 0 289.287 2.940 20 5 CFBDRN COCCCCCOC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000116991502 636714721 /nfs/dbraw/zinc/71/47/21/636714721.db2.gz BGVCXBYEZAXTHF-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN CCOCCOC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000194279470 636768601 /nfs/dbraw/zinc/76/86/01/636768601.db2.gz BKCSKFCNRYRQGZ-UHFFFAOYSA-N 0 0 285.321 2.510 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000860140756 636800843 /nfs/dbraw/zinc/80/08/43/636800843.db2.gz HPUPOUUAOCTSFU-DEKYYXRVSA-N 0 0 293.319 2.698 20 5 CFBDRN CCO[C@@H](C(=O)OCCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000860370058 636869659 /nfs/dbraw/zinc/86/96/59/636869659.db2.gz IOYYLXZRFPKXSJ-CQSZACIVSA-N 0 0 295.335 2.742 20 5 CFBDRN CN(CC1CC1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000049236117 650291229 /nfs/dbraw/zinc/29/12/29/650291229.db2.gz OMWFSJJBBFOVSE-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CC(C)(C)OC(=O)NCCNc1ccsc1[N+](=O)[O-] ZINC000049390558 650294682 /nfs/dbraw/zinc/29/46/82/650294682.db2.gz RZQJVIOCBXTGDJ-UHFFFAOYSA-N 0 0 287.341 2.593 20 5 CFBDRN C[C@H](COC(=O)CC(C1CCC1)C1CCC1)[N+](=O)[O-] ZINC000860776110 636969018 /nfs/dbraw/zinc/96/90/18/636969018.db2.gz BMGZJCAUNMDXTG-SNVBAGLBSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1)[N+](=O)[O-] ZINC000860776684 636969252 /nfs/dbraw/zinc/96/92/52/636969252.db2.gz GSMMNWJRBICDOR-MRVWCRGKSA-N 0 0 277.320 2.779 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC(C1CCC1)C1CCC1 ZINC000860787634 636971999 /nfs/dbraw/zinc/97/19/99/636971999.db2.gz MOYRNJDTJTYNNQ-SNVBAGLBSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@H]([C@@H](OC(=O)C12CC(C1)C2)c1ccccc1F)[N+](=O)[O-] ZINC000860854911 636991209 /nfs/dbraw/zinc/99/12/09/636991209.db2.gz LUWQLBZDLPETEQ-RSSUCFIBSA-N 0 0 293.294 2.875 20 5 CFBDRN CC(C)(COC(=O)c1csc(C(F)(F)F)c1)[N+](=O)[O-] ZINC000860866272 636995296 /nfs/dbraw/zinc/99/52/96/636995296.db2.gz BLJVMOYUSWYXRG-UHFFFAOYSA-N 0 0 297.254 2.979 20 5 CFBDRN Cc1cccc2c(CCC(=O)OCCC[N+](=O)[O-])c[nH]c21 ZINC000860915002 637015026 /nfs/dbraw/zinc/01/50/26/637015026.db2.gz OEOBTEGYRVJNDG-UHFFFAOYSA-N 0 0 290.319 2.619 20 5 CFBDRN CC(C)[C@@H](Cc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860914871 637015037 /nfs/dbraw/zinc/01/50/37/637015037.db2.gz JBOGDUUMAIELAN-CQSZACIVSA-N 0 0 279.336 2.711 20 5 CFBDRN CC(C)Cc1ccc(C(=O)OCCC[N+](=O)[O-])cc1 ZINC000860913651 637015078 /nfs/dbraw/zinc/01/50/78/637015078.db2.gz HSVVRPRHWMUNKC-UHFFFAOYSA-N 0 0 265.309 2.709 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(c2ccccc2)CCCC1 ZINC000860913790 637015240 /nfs/dbraw/zinc/01/52/40/637015240.db2.gz JSWDLVHRLMNPIC-UHFFFAOYSA-N 0 0 277.320 2.708 20 5 CFBDRN CC(C)Cc1ccc(CC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860914364 637015499 /nfs/dbraw/zinc/01/54/99/637015499.db2.gz VGFPXKCQUGYZGH-UHFFFAOYSA-N 0 0 279.336 2.638 20 5 CFBDRN C[C@H](SCc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860914547 637015592 /nfs/dbraw/zinc/01/55/92/637015592.db2.gz YKDKZMKSZKPRAO-NSHDSACASA-N 0 0 283.349 2.518 20 5 CFBDRN C[C@@H](CC(=O)OCCC[N+](=O)[O-])c1ccc(F)cc1F ZINC000860917697 637015989 /nfs/dbraw/zinc/01/59/89/637015989.db2.gz QSCZONPUGRBFDK-VIFPVBQESA-N 0 0 287.262 2.668 20 5 CFBDRN O=C(C[C@@H]1CCCc2ccccc21)OCCC[N+](=O)[O-] ZINC000860915607 637016079 /nfs/dbraw/zinc/01/60/79/637016079.db2.gz UZESZHGUURULHE-ZDUSSCGKSA-N 0 0 277.320 2.707 20 5 CFBDRN CC(C)(C)O[C@@H](C(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860917417 637016112 /nfs/dbraw/zinc/01/61/12/637016112.db2.gz LGRBEHGRKMVWPW-CYBMUJFWSA-N 0 0 295.335 2.753 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000860917415 637016191 /nfs/dbraw/zinc/01/61/91/637016191.db2.gz LGLUYOSJDWIUGI-YNEHKIRRSA-N 0 0 269.341 2.803 20 5 CFBDRN C/C(=C\c1ccccc1Cl)C(=O)OCCC[N+](=O)[O-] ZINC000860917565 637016209 /nfs/dbraw/zinc/01/62/09/637016209.db2.gz NRIPQJLJVOBLGQ-MDZDMXLPSA-N 0 0 283.711 2.953 20 5 CFBDRN O=C(CC/C=C/c1ccccc1)OCCC[N+](=O)[O-] ZINC000860915852 637016437 /nfs/dbraw/zinc/01/64/37/637016437.db2.gz YEQQFADEZBIFLW-RUDMXATFSA-N 0 0 263.293 2.690 20 5 CFBDRN C[C@H](CCCc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860920374 637016907 /nfs/dbraw/zinc/01/69/07/637016907.db2.gz YYSXNRFHIIVQNL-CYBMUJFWSA-N 0 0 279.336 2.855 20 5 CFBDRN CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)OCCC[N+](=O)[O-] ZINC000860918138 637016983 /nfs/dbraw/zinc/01/69/83/637016983.db2.gz YNOSSPLJDGILNB-XQQFMLRXSA-N 0 0 287.356 2.570 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(c2ccccc2Cl)CCC1 ZINC000860919870 637017027 /nfs/dbraw/zinc/01/70/27/637017027.db2.gz YGDXJHXGRVGUQE-UHFFFAOYSA-N 0 0 297.738 2.972 20 5 CFBDRN CCNc1ccc(Cl)cc1C(=O)OCCC[N+](=O)[O-] ZINC000860921032 637018182 /nfs/dbraw/zinc/01/81/82/637018182.db2.gz MCCJDOHDIXBGHV-UHFFFAOYSA-N 0 0 286.715 2.595 20 5 CFBDRN Cc1cccc(C(=O)OCC(C)(F)F)c1[N+](=O)[O-] ZINC000861227692 637124530 /nfs/dbraw/zinc/12/45/30/637124530.db2.gz GMXYUSYONYVLLR-UHFFFAOYSA-N 0 0 259.208 2.715 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2cc(SC)ccc2[N+](=O)[O-])C1 ZINC000861301215 637155110 /nfs/dbraw/zinc/15/51/10/637155110.db2.gz XRVNOGXLZXRCTF-KYZUINATSA-N 0 0 297.332 2.651 20 5 CFBDRN C[C@@H]1SCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000118292083 637200886 /nfs/dbraw/zinc/20/08/86/637200886.db2.gz PPQROXXJGRMSMY-IUCAKERBSA-N 0 0 298.339 2.700 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000861809742 637239527 /nfs/dbraw/zinc/23/95/27/637239527.db2.gz VTFQGWOUKQAPLT-MRVPVSSYSA-N 0 0 286.715 2.956 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000862243720 637297224 /nfs/dbraw/zinc/29/72/24/637297224.db2.gz CIXUXTZVQLFEBB-UHFFFAOYSA-N 0 0 280.711 2.873 20 5 CFBDRN Cc1ccc2c(c1)CCCN2Cn1cc([N+](=O)[O-])cn1 ZINC000014188424 637451903 /nfs/dbraw/zinc/45/19/03/637451903.db2.gz PSJXINHNNKUJOI-UHFFFAOYSA-N 0 0 272.308 2.510 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OCc1ccccc1 ZINC000075537252 650336740 /nfs/dbraw/zinc/33/67/40/650336740.db2.gz AOKYLKXODAJUSX-UHFFFAOYSA-N 0 0 287.271 2.717 20 5 CFBDRN CSCCCC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000119038473 637463132 /nfs/dbraw/zinc/46/31/32/637463132.db2.gz JPPXOOUDVMAYTR-UHFFFAOYSA-N 0 0 269.322 2.952 20 5 CFBDRN CCCOc1cncc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000862858328 637510376 /nfs/dbraw/zinc/51/03/76/637510376.db2.gz XTECBBHCCWISIP-UHFFFAOYSA-N 0 0 295.339 2.644 20 5 CFBDRN CC[C@H]1CCC[C@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000119338293 637590930 /nfs/dbraw/zinc/59/09/30/637590930.db2.gz GHDOQSYALVYASV-AAEUAGOBSA-N 0 0 295.339 2.693 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC000863246019 637602928 /nfs/dbraw/zinc/60/29/28/637602928.db2.gz QXUHBMJVBYBPSV-UHTWSYAYSA-N 0 0 273.288 2.998 20 5 CFBDRN CC[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cc(C)ccc1C ZINC000863272487 637612835 /nfs/dbraw/zinc/61/28/35/637612835.db2.gz AQINYIDLAQQZSN-MGPQQGTHSA-N 0 0 276.336 2.536 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cc(Cl)n(C)n1 ZINC000863299936 637621175 /nfs/dbraw/zinc/62/11/75/637621175.db2.gz ZAQMWAZFMKIPQU-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN C[Si](C)(C)Cn1cc([N+](=O)[O-])c(-c2ccc(F)cn2)n1 ZINC000863344366 637632777 /nfs/dbraw/zinc/63/27/77/637632777.db2.gz AVMDRAOLDNXIKW-UHFFFAOYSA-N 0 0 294.362 2.870 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCCOC1CCOCC1 ZINC000863351773 637636851 /nfs/dbraw/zinc/63/68/51/637636851.db2.gz KCCPZDOLTRLOSE-UHFFFAOYSA-N 0 0 298.314 2.650 20 5 CFBDRN COCc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)o1 ZINC000119720605 637820131 /nfs/dbraw/zinc/82/01/31/637820131.db2.gz UXXHEHANEBUEBX-UHFFFAOYSA-N 0 0 291.259 2.691 20 5 CFBDRN Cc1ccc(CN(C)Cn2nc(C)c([N+](=O)[O-])c2C)s1 ZINC000076265915 650376895 /nfs/dbraw/zinc/37/68/95/650376895.db2.gz TZLTXXUJUPHKSR-UHFFFAOYSA-N 0 0 294.380 2.868 20 5 CFBDRN Cc1ccc(NC(=O)C2CC3(CSC3)C2)cc1[N+](=O)[O-] ZINC000864404886 637963756 /nfs/dbraw/zinc/96/37/56/637963756.db2.gz SBVVFYSLZRWTJL-UHFFFAOYSA-N 0 0 292.360 2.985 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864447674 637983705 /nfs/dbraw/zinc/98/37/05/637983705.db2.gz OTLDKIOPGNJUSH-GWCFXTLKSA-N 0 0 294.326 2.971 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000864906798 638120911 /nfs/dbraw/zinc/12/09/11/638120911.db2.gz SNHBMBBOWWBOGO-VXGBXAGGSA-N 0 0 276.336 2.893 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865000844 638154651 /nfs/dbraw/zinc/15/46/51/638154651.db2.gz MDRRVOZTFUTZBB-NXEZZACHSA-N 0 0 282.315 2.827 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@]12C[C@@H]1CCCC2 ZINC000865029587 638165650 /nfs/dbraw/zinc/16/56/50/638165650.db2.gz VUKJONACFCQAOE-WFASDCNBSA-N 0 0 274.320 2.791 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865083496 638185824 /nfs/dbraw/zinc/18/58/24/638185824.db2.gz YOLDTKCEAUHSRR-SECBINFHSA-N 0 0 268.288 2.533 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CCC[C@@H]1C1CC1 ZINC000865156592 638209324 /nfs/dbraw/zinc/20/93/24/638209324.db2.gz HEZMVNPCGNTIOZ-CYBMUJFWSA-N 0 0 292.310 2.677 20 5 CFBDRN CC(C)CCC1CCN(Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000120429787 638220176 /nfs/dbraw/zinc/22/01/76/638220176.db2.gz NHULBYUUHZOXMY-UHFFFAOYSA-N 0 0 280.372 2.897 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000865352282 638277943 /nfs/dbraw/zinc/27/79/43/638277943.db2.gz FVKJUGMXWZOJDZ-BDAKNGLRSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1ccc(COc2cc(C)c(C)nn2)cc1[N+](=O)[O-] ZINC000865357108 638280471 /nfs/dbraw/zinc/28/04/71/638280471.db2.gz SYTZUCUTHRYNIG-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN CC(C)CCNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865398061 638308694 /nfs/dbraw/zinc/30/86/94/638308694.db2.gz PPSYOPSXRJZPRV-UHFFFAOYSA-N 0 0 256.277 2.684 20 5 CFBDRN Cc1cc(CN2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)no1 ZINC000076940943 650412228 /nfs/dbraw/zinc/41/22/28/650412228.db2.gz JJRAQWOFAYWMOP-VIFPVBQESA-N 0 0 273.292 2.842 20 5 CFBDRN CC[C@@H]1CCCN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865442393 638337193 /nfs/dbraw/zinc/33/71/93/638337193.db2.gz WOQTWOPBBXURQT-SNVBAGLBSA-N 0 0 282.315 2.853 20 5 CFBDRN Cc1nc(CNc2cc(F)c([N+](=O)[O-])cc2CO)cs1 ZINC000865447814 638341347 /nfs/dbraw/zinc/34/13/47/638341347.db2.gz OKIVZADWOQQVSF-UHFFFAOYSA-N 0 0 297.311 2.603 20 5 CFBDRN CN(CC(C)(C)C)c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865500101 638382187 /nfs/dbraw/zinc/38/21/87/638382187.db2.gz QUSZBPSKSMTUJB-UHFFFAOYSA-N 0 0 270.304 2.709 20 5 CFBDRN C[C@](O)(CNc1ncc(F)cc1[N+](=O)[O-])c1cccs1 ZINC000865550783 638416123 /nfs/dbraw/zinc/41/61/23/638416123.db2.gz JULWTDZANNRFMX-LBPRGKRZSA-N 0 0 297.311 2.510 20 5 CFBDRN C[C@@H]1CCN(c2cc(F)c([N+](=O)[O-])cc2CO)C[C@H]1C ZINC000865650886 638487973 /nfs/dbraw/zinc/48/79/73/638487973.db2.gz LBZSEOFFWVKDSY-NXEZZACHSA-N 0 0 282.315 2.709 20 5 CFBDRN Cc1ccc(C[NH2+][C@H](C)COC(C)C)cc1[N+](=O)[O-] ZINC000865786809 638574277 /nfs/dbraw/zinc/57/42/77/638574277.db2.gz CWTUGGYGLSLZQW-GFCCVEGCSA-N 0 0 266.341 2.806 20 5 CFBDRN C[C@H](NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CCCC1 ZINC000865868200 638626093 /nfs/dbraw/zinc/62/60/93/638626093.db2.gz LDJQPYUWRGHJSJ-JTQLQIEISA-N 0 0 294.326 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1NC[C@H]1COCO1 ZINC000865871578 638629214 /nfs/dbraw/zinc/62/92/14/638629214.db2.gz DFIYHLSTOSKNEC-LURJTMIESA-N 0 0 293.106 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCCO3)c(C(F)F)c1 ZINC000865968468 638681473 /nfs/dbraw/zinc/68/14/73/638681473.db2.gz FSLZGVKINQOTLH-UHFFFAOYSA-N 0 0 284.262 2.902 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]3[C@@H]2C(C)(C)[C@H]3O)c1 ZINC000866002274 638693266 /nfs/dbraw/zinc/69/32/66/638693266.db2.gz RFHPNFWINCBGKT-GLQYFDAESA-N 0 0 290.363 2.889 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1[C@@H]2OC[C@H]3C[C@H]1C[C@H]23 ZINC000866045671 638710069 /nfs/dbraw/zinc/71/00/69/638710069.db2.gz OLJUBHJRIIORAC-BKNSQDEOSA-N 0 0 274.320 2.739 20 5 CFBDRN Cc1nc(N[C@@H]2CC(C)(C)CC[C@H]2O)ccc1[N+](=O)[O-] ZINC000866049160 638712735 /nfs/dbraw/zinc/71/27/35/638712735.db2.gz GRGVUNLEGLKGIU-ZYHUDNBSSA-N 0 0 279.340 2.650 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000866070297 638722589 /nfs/dbraw/zinc/72/25/89/638722589.db2.gz ALAGZUVZRNIZEM-ONGXEEELSA-N 0 0 295.295 2.507 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])s2)C1 ZINC000866072569 638724398 /nfs/dbraw/zinc/72/43/98/638724398.db2.gz UFQTVJGLELHQLV-BDAKNGLRSA-N 0 0 256.327 2.660 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000866084983 638729681 /nfs/dbraw/zinc/72/96/81/638729681.db2.gz YOJAMGQNDIJUDQ-GXFFZTMASA-N 0 0 293.323 2.626 20 5 CFBDRN Nc1ccc(NCC[C@H]2CCCS2)c([N+](=O)[O-])c1 ZINC000866092183 638732885 /nfs/dbraw/zinc/73/28/85/638732885.db2.gz TZKNSHWHSXLAAM-SNVBAGLBSA-N 0 0 267.354 2.875 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3C[C@@H]4CC=CC[C@@H]4C3)c21 ZINC000866163172 638761484 /nfs/dbraw/zinc/76/14/84/638761484.db2.gz HTDQNLVHWCSPKK-TXEJJXNPSA-N 0 0 296.330 2.940 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)C1 ZINC000866168022 638762816 /nfs/dbraw/zinc/76/28/16/638762816.db2.gz HYMIRFPFHYLBAN-JGZJWPJOSA-N 0 0 293.323 2.522 20 5 CFBDRN Nc1ccc(NC[C@@H]2C[C@H]2C2CCOCC2)c([N+](=O)[O-])c1 ZINC000866180572 638764886 /nfs/dbraw/zinc/76/48/86/638764886.db2.gz RUGWFMCDQFSSKI-AAEUAGOBSA-N 0 0 291.351 2.652 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1C[C@H]1C1CCOCC1 ZINC000866181533 638764900 /nfs/dbraw/zinc/76/49/00/638764900.db2.gz BDXABOMSOIGCMR-JSGCOSHPSA-N 0 0 291.351 2.773 20 5 CFBDRN Cc1ccc(CNc2ncc(C)c(C)n2)cc1[N+](=O)[O-] ZINC000866181319 638764924 /nfs/dbraw/zinc/76/49/24/638764924.db2.gz BGSPCUCDESIMFZ-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H]3CSC[C@H]3C2)c1 ZINC000866199978 638768469 /nfs/dbraw/zinc/76/84/69/638768469.db2.gz FFXYZAGBJCDEDC-GHMZBOCLSA-N 0 0 294.376 2.793 20 5 CFBDRN COc1cccc(N2CC[C@H]3CSC[C@H]3C2)c1[N+](=O)[O-] ZINC000866202419 638769025 /nfs/dbraw/zinc/76/90/25/638769025.db2.gz UNBKEILDQWBTIL-WDEREUQCSA-N 0 0 294.376 2.793 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866206003 638769940 /nfs/dbraw/zinc/76/99/40/638769940.db2.gz HYZAGASKSNFARW-ZJUUUORDSA-N 0 0 282.340 2.923 20 5 CFBDRN Cc1nn(C)c(N2CC([C@@H]3CCC[C@@H](C)C3)C2)c1[N+](=O)[O-] ZINC000866254503 638781376 /nfs/dbraw/zinc/78/13/76/638781376.db2.gz PLRIGERJGJEXBU-ZYHUDNBSSA-N 0 0 292.383 2.899 20 5 CFBDRN C[C@@H]1CCC[C@H](C2CN(c3ncc([N+](=O)[O-])cn3)C2)C1 ZINC000866254747 638781747 /nfs/dbraw/zinc/78/17/47/638781747.db2.gz XYFMWOBYQQLVPD-MNOVXSKESA-N 0 0 276.340 2.647 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]2C2CCOCC2)n1 ZINC000866272270 638786442 /nfs/dbraw/zinc/78/64/42/638786442.db2.gz MKOBIPSVLVUEDH-NWDGAFQWSA-N 0 0 277.324 2.525 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]2C2CCOCC2)c(Cl)c1 ZINC000866273464 638786676 /nfs/dbraw/zinc/78/66/76/638786676.db2.gz MXMFNTINBIZCQG-CMPLNLGQSA-N 0 0 297.742 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H]2C2CCOCC2)cc1 ZINC000866273748 638786705 /nfs/dbraw/zinc/78/67/05/638786705.db2.gz SEUGIRZYMRVIJU-UONOGXRCSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000866301124 638791688 /nfs/dbraw/zinc/79/16/88/638791688.db2.gz GVAADBRGTHCGBA-UWVGGRQHSA-N 0 0 298.339 2.573 20 5 CFBDRN C[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CC(C)(C)O1 ZINC000121695658 638886199 /nfs/dbraw/zinc/88/61/99/638886199.db2.gz GYKDGKQXSCSXSS-MRVPVSSYSA-N 0 0 286.278 2.877 20 5 CFBDRN Cc1cc(F)cc(C(=O)NC(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000121963773 638915973 /nfs/dbraw/zinc/91/59/73/638915973.db2.gz OJZLCTFLYAHROD-UHFFFAOYSA-N 0 0 292.310 2.961 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CC[C@H]1C(F)F ZINC000868601728 638960334 /nfs/dbraw/zinc/96/03/34/638960334.db2.gz ALRVVSBORPSZHW-VIFPVBQESA-N 0 0 290.653 2.728 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC[C@@H]1C(F)F ZINC000868600652 638960348 /nfs/dbraw/zinc/96/03/48/638960348.db2.gz ZQHWLPYXNNKANF-SECBINFHSA-N 0 0 290.653 2.728 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000868611134 638961862 /nfs/dbraw/zinc/96/18/62/638961862.db2.gz QYOUNYXCVBKECR-CQSZACIVSA-N 0 0 298.289 2.627 20 5 CFBDRN C[C@]1(NC(=O)Cc2cccc(F)c2[N+](=O)[O-])CC=CCC1 ZINC000868854631 638986683 /nfs/dbraw/zinc/98/66/83/638986683.db2.gz HNLZJDUEZAMVCD-HNNXBMFYSA-N 0 0 292.310 2.891 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1C[C@H]1C1CCC1 ZINC000868960299 638995107 /nfs/dbraw/zinc/99/51/07/638995107.db2.gz SWPVKZZCGPTALW-WCQYABFASA-N 0 0 292.310 2.581 20 5 CFBDRN CC[C@H]1CCCN1C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000869052838 639015282 /nfs/dbraw/zinc/01/52/82/639015282.db2.gz FLJPGWYXMRJYCL-ZDUSSCGKSA-N 0 0 291.351 2.987 20 5 CFBDRN Cc1ccc(CNC(=O)N(C)CCC2CC2)cc1[N+](=O)[O-] ZINC000869053163 639015548 /nfs/dbraw/zinc/01/55/48/639015548.db2.gz JDICJTLLRAAEOO-UHFFFAOYSA-N 0 0 291.351 2.845 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC000869066139 639020741 /nfs/dbraw/zinc/02/07/41/639020741.db2.gz GKKICCDYFYPNJJ-HJQYOEGKSA-N 0 0 289.335 2.867 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000869356906 639192922 /nfs/dbraw/zinc/19/29/22/639192922.db2.gz MGAFPNVASQBFAQ-SECBINFHSA-N 0 0 285.321 2.628 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000869362937 639196313 /nfs/dbraw/zinc/19/63/13/639196313.db2.gz RYLYUKAGROTJQB-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CC(=O)OC[C@@H](C)CC(C)(C)C ZINC000869370546 639199342 /nfs/dbraw/zinc/19/93/42/639199342.db2.gz KIPSWRDCVVQXGU-JTQLQIEISA-N 0 0 297.355 2.715 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000869433827 639228686 /nfs/dbraw/zinc/22/86/86/639228686.db2.gz OHGYEHZROWNURQ-JTQLQIEISA-N 0 0 297.282 2.706 20 5 CFBDRN CO[C@@H]1CCC[C@H](OC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000078232330 650482794 /nfs/dbraw/zinc/48/27/94/650482794.db2.gz PFLVYENIESDXIP-MNOVXSKESA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1cccc(C(=O)NCC[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000869609628 639321028 /nfs/dbraw/zinc/32/10/28/639321028.db2.gz IKDZYWSSUKSWCX-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC(C)(C)F)c1[N+](=O)[O-] ZINC000869625391 639329254 /nfs/dbraw/zinc/32/92/54/639329254.db2.gz UUEJGTNZZTUKHA-UHFFFAOYSA-N 0 0 272.251 2.520 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)[C@H](C)O1 ZINC000869653649 639343399 /nfs/dbraw/zinc/34/33/99/639343399.db2.gz LXDJEWZNTOXULG-WEDXCCLWSA-N 0 0 285.321 2.513 20 5 CFBDRN CSCCOC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869810211 639416634 /nfs/dbraw/zinc/41/66/34/639416634.db2.gz GBYVXKMOCBSFQI-UHFFFAOYSA-N 0 0 295.360 2.923 20 5 CFBDRN COC1(CCOC(=O)c2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000869896649 639457939 /nfs/dbraw/zinc/45/79/39/639457939.db2.gz GDDHBDDNRWXFCL-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000869898121 639458491 /nfs/dbraw/zinc/45/84/91/639458491.db2.gz HAFBFTZYJGGYMO-VIFPVBQESA-N 0 0 297.282 2.706 20 5 CFBDRN CCOC1CC(COC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000869897170 639458816 /nfs/dbraw/zinc/45/88/16/639458816.db2.gz NKVZUYOZTYNRFX-UHFFFAOYSA-N 0 0 297.282 2.706 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000869898120 639459027 /nfs/dbraw/zinc/45/90/27/639459027.db2.gz HAFBFTZYJGGYMO-SECBINFHSA-N 0 0 297.282 2.706 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])[C@H](C)O1 ZINC000870174325 639534448 /nfs/dbraw/zinc/53/44/48/639534448.db2.gz OZBKUIDELBRVJU-KHQFGBGNSA-N 0 0 299.710 2.967 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)cc1[N+](=O)[O-] ZINC000870254646 639566094 /nfs/dbraw/zinc/56/60/94/639566094.db2.gz JDRNPNNCOJKPQR-CKYFFXLPSA-N 0 0 279.292 2.622 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)ccc1[N+](=O)[O-] ZINC000870276674 639574973 /nfs/dbraw/zinc/57/49/73/639574973.db2.gz GCWMKPBLPYIATK-DMDPSCGWSA-N 0 0 293.319 2.760 20 5 CFBDRN C[C@H](COC(=O)[C@@H](C)CCc1ccc(F)cc1)[N+](=O)[O-] ZINC000870378457 639617449 /nfs/dbraw/zinc/61/74/49/639617449.db2.gz WYVUHAPXNPZIMX-WDEREUQCSA-N 0 0 283.299 2.603 20 5 CFBDRN COc1ccc2cc(C(=O)O[C@H](C)C[N+](=O)[O-])sc2c1 ZINC000870387444 639619794 /nfs/dbraw/zinc/61/97/94/639619794.db2.gz OERHJJWBCMQPHM-MRVPVSSYSA-N 0 0 295.316 2.732 20 5 CFBDRN CC(C)CCOC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000078544140 650513906 /nfs/dbraw/zinc/51/39/06/650513906.db2.gz KZJVLBHUBBJQCI-UHFFFAOYSA-N 0 0 267.281 2.563 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCCC[C@@H]1F ZINC000870490749 639688991 /nfs/dbraw/zinc/68/89/91/639688991.db2.gz ZXVULCGCPAGJDB-NWDGAFQWSA-N 0 0 296.298 2.614 20 5 CFBDRN Cc1c(C(=O)OCc2cccnc2)cccc1[N+](=O)[O-] ZINC000018230420 639696913 /nfs/dbraw/zinc/69/69/13/639696913.db2.gz BQCYAYHKKFRVKM-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@]12C[C@H]1CCCC2 ZINC000870530699 639707095 /nfs/dbraw/zinc/70/70/95/639707095.db2.gz XQVJLCFKFCEDLW-ZOWXZIJZSA-N 0 0 282.384 2.907 20 5 CFBDRN CC(C)(C)CCCNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000191737071 650520637 /nfs/dbraw/zinc/52/06/37/650520637.db2.gz OGFUHHCOTBHRQY-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@@H]1C[C@H]1C1CC1 ZINC000870669079 639760039 /nfs/dbraw/zinc/76/00/39/639760039.db2.gz NVLSWYAEUUMPRS-JSGCOSHPSA-N 0 0 275.304 2.727 20 5 CFBDRN COCC(C)(C)C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700310 639778201 /nfs/dbraw/zinc/77/82/01/639778201.db2.gz HGFQCHNFPAVIAM-UHFFFAOYSA-N 0 0 295.335 2.927 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000870709987 639784223 /nfs/dbraw/zinc/78/42/23/639784223.db2.gz XYRKKRRSYOGOGP-WCBMZHEXSA-N 0 0 298.364 2.604 20 5 CFBDRN CCn1cc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cn1 ZINC000078712276 650526678 /nfs/dbraw/zinc/52/66/78/650526678.db2.gz UWKSCUKCQSWKEV-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN O=C(Cc1ccsc1)OCc1ccc([N+](=O)[O-])cc1 ZINC000191966290 650532206 /nfs/dbraw/zinc/53/22/06/650532206.db2.gz CBLOBJDRQGHNHX-UHFFFAOYSA-N 0 0 277.301 2.942 20 5 CFBDRN Cc1ncsc1CCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000192681765 650584267 /nfs/dbraw/zinc/58/42/67/650584267.db2.gz PFLJZIYXSVNWKA-UHFFFAOYSA-N 0 0 298.345 2.821 20 5 CFBDRN COc1ccccc1[C@@H](O)CNc1ccc([N+](=O)[O-])cc1 ZINC000192810675 650598846 /nfs/dbraw/zinc/59/88/46/650598846.db2.gz DTVROVVLHNYQCC-AWEZNQCLSA-N 0 0 288.303 2.749 20 5 CFBDRN CC1(C)CCC(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000079697360 650613104 /nfs/dbraw/zinc/61/31/04/650613104.db2.gz LCEMNSOEAGAJTJ-UHFFFAOYSA-N 0 0 291.351 2.876 20 5 CFBDRN O=C(CC(F)(F)C(F)F)NCc1cccc([N+](=O)[O-])c1 ZINC000888552252 641197523 /nfs/dbraw/zinc/19/75/23/641197523.db2.gz JJFSQYPFPMZGCL-UHFFFAOYSA-N 0 0 294.204 2.502 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000871094500 641200579 /nfs/dbraw/zinc/20/05/79/641200579.db2.gz JQIZBZBVZKWRRR-AULYBMBSSA-N 0 0 294.376 2.538 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000871097799 641204722 /nfs/dbraw/zinc/20/47/22/641204722.db2.gz HSJMIHTWLNGJLQ-XYPYZODXSA-N 0 0 294.376 2.835 20 5 CFBDRN O=C(NOCCCC(F)(F)F)c1ccc([N+](=O)[O-])s1 ZINC000871210174 641247807 /nfs/dbraw/zinc/24/78/07/641247807.db2.gz WTULKXIEBXDIOE-UHFFFAOYSA-N 0 0 298.242 2.660 20 5 CFBDRN O=C(OCCOc1ccccc1)c1csc([N+](=O)[O-])c1 ZINC000080129043 650645546 /nfs/dbraw/zinc/64/55/46/650645546.db2.gz VUZPWXAKBDMWEC-UHFFFAOYSA-N 0 0 293.300 2.892 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871515223 641336415 /nfs/dbraw/zinc/33/64/15/641336415.db2.gz VXQLWDFPNLDSLU-PHIMTYICSA-N 0 0 290.294 2.772 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1 ZINC000871630662 641375341 /nfs/dbraw/zinc/37/53/41/641375341.db2.gz NELFDARKIQPNRW-LEWSCRJBSA-N 0 0 263.293 2.931 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000871637910 641377219 /nfs/dbraw/zinc/37/72/19/641377219.db2.gz QWZVEGAQMJJWOP-XEGUGMAKSA-N 0 0 291.303 2.744 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1 ZINC000871651380 641382645 /nfs/dbraw/zinc/38/26/45/641382645.db2.gz DKSSHZGSKHOUTM-OBJOEFQTSA-N 0 0 291.303 2.744 20 5 CFBDRN CC(C)(C)SCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000871661888 641387832 /nfs/dbraw/zinc/38/78/32/641387832.db2.gz VKAMXYCCDVRSFI-UHFFFAOYSA-N 0 0 276.745 2.899 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@@H]2CCOC2)cc1Cl ZINC000871693535 641409010 /nfs/dbraw/zinc/40/90/10/641409010.db2.gz CTEAIGFCESLCIR-SSDOTTSWSA-N 0 0 275.663 2.803 20 5 CFBDRN CCCOCOc1ccc(Br)nc1[N+](=O)[O-] ZINC000871695057 641409489 /nfs/dbraw/zinc/40/94/89/641409489.db2.gz XZNBUPZTVNXJDD-UHFFFAOYSA-N 0 0 291.101 2.515 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCC1CCOCC1 ZINC000871704380 641414617 /nfs/dbraw/zinc/41/46/17/641414617.db2.gz UCBMWQQDAPOVSV-UHFFFAOYSA-N 0 0 299.396 2.650 20 5 CFBDRN CCc1nc(CC)n(Cc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000871734891 641429327 /nfs/dbraw/zinc/42/93/27/641429327.db2.gz GXFVWXFVWQQCFE-UHFFFAOYSA-N 0 0 274.324 2.668 20 5 CFBDRN CC[C@@]1(C)OC(=O)N(Cc2ccc(C)c([N+](=O)[O-])c2)C1=O ZINC000871757408 641441756 /nfs/dbraw/zinc/44/17/56/641441756.db2.gz ZTKROXNLJRNGFR-CQSZACIVSA-N 0 0 292.291 2.551 20 5 CFBDRN Cc1ccc(Cn2cnc(C(C)C)n2)cc1[N+](=O)[O-] ZINC000871759749 641442918 /nfs/dbraw/zinc/44/29/18/641442918.db2.gz VCFCUGQWNRDLBX-UHFFFAOYSA-N 0 0 260.297 2.666 20 5 CFBDRN Cc1c(NCc2nccn2CC(F)F)cccc1[N+](=O)[O-] ZINC000871803505 641466168 /nfs/dbraw/zinc/46/61/68/641466168.db2.gz GLQLLCQXWMRQHA-UHFFFAOYSA-N 0 0 296.277 2.977 20 5 CFBDRN Cc1c(C[NH2+][C@H](CC(=O)[O-])C(C)(C)C)cccc1[N+](=O)[O-] ZINC000871878673 641495828 /nfs/dbraw/zinc/49/58/28/641495828.db2.gz RIUAAYLVUXPQEL-CYBMUJFWSA-N 0 0 294.351 2.882 20 5 CFBDRN CC1CCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)CC1 ZINC000193534423 650667186 /nfs/dbraw/zinc/66/71/86/650667186.db2.gz FIMSUXMDECUDKB-UHFFFAOYSA-N 0 0 289.335 2.716 20 5 CFBDRN C[C@@H](NC[C@@H](O)c1ccco1)c1c(F)cccc1[N+](=O)[O-] ZINC000872019963 641550909 /nfs/dbraw/zinc/55/09/09/641550909.db2.gz BDMSUJKXKPIFRA-BXKDBHETSA-N 0 0 294.282 2.711 20 5 CFBDRN Cc1c(CN[C@H](C)c2c(F)cccc2[N+](=O)[O-])cnn1C ZINC000872050794 641584795 /nfs/dbraw/zinc/58/47/95/641584795.db2.gz FQYSTUJBPGCVFG-SECBINFHSA-N 0 0 292.314 2.627 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccn(C)n1)c1c(F)cccc1[N+](=O)[O-] ZINC000872080316 641613724 /nfs/dbraw/zinc/61/37/24/641613724.db2.gz VQYWRVBSAAYENW-VHSXEESVSA-N 0 0 292.314 2.879 20 5 CFBDRN C[C@@H](N[C@@H](C)c1csnn1)c1c(F)cccc1[N+](=O)[O-] ZINC000872081318 641614521 /nfs/dbraw/zinc/61/45/21/641614521.db2.gz XDMQAUZPZPRSLV-JGVFFNPUSA-N 0 0 296.327 2.997 20 5 CFBDRN C[C@@H](N[C@H](C)c1c(F)cccc1[N+](=O)[O-])c1ccnn1C ZINC000872091005 641624505 /nfs/dbraw/zinc/62/45/05/641624505.db2.gz DWDXTLJLDQLNNU-NXEZZACHSA-N 0 0 292.314 2.879 20 5 CFBDRN CC[C@H](C)COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000124054474 641763368 /nfs/dbraw/zinc/76/33/68/641763368.db2.gz BGQOHHAZEJXAPR-JTQLQIEISA-N 0 0 267.281 2.563 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC(C(C)=O)CC1 ZINC000872668700 641890068 /nfs/dbraw/zinc/89/00/68/641890068.db2.gz WNOHHUJXHNFKMB-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN Nc1ccc(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)c([N+](=O)[O-])c1 ZINC000872697646 641901885 /nfs/dbraw/zinc/90/18/85/641901885.db2.gz OXNISUMPTZVBQA-PUYPPJJSSA-N 0 0 277.324 2.547 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)cc1 ZINC000872699517 641904175 /nfs/dbraw/zinc/90/41/75/641904175.db2.gz NSBJPWSAUAPAHM-SWHYSGLUSA-N 0 0 262.309 2.964 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCO[C@H](C(F)(F)F)CC1 ZINC000872749238 641918195 /nfs/dbraw/zinc/91/81/95/641918195.db2.gz CTCJJDRDMNOQBR-QMMMGPOBSA-N 0 0 296.270 2.814 20 5 CFBDRN Cc1nccnc1[C@@H](C)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000872767847 641924877 /nfs/dbraw/zinc/92/48/77/641924877.db2.gz AOPMTDCAVBPTHG-MRVPVSSYSA-N 0 0 293.714 2.915 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])s1)[C@@H](O)c1ccccc1 ZINC000872774609 641928731 /nfs/dbraw/zinc/92/87/31/641928731.db2.gz LJIFLUSFPNBOKA-JQWIXIFHSA-N 0 0 293.348 2.975 20 5 CFBDRN CC1(Nc2ncc([N+](=O)[O-])cc2F)CC(F)(F)C1 ZINC000872779201 641934065 /nfs/dbraw/zinc/93/40/65/641934065.db2.gz PYUJDAVHGDJPEF-UHFFFAOYSA-N 0 0 261.203 2.729 20 5 CFBDRN COC(=O)[C@]12CCC[C@H]1N(c1sccc1[N+](=O)[O-])CC2 ZINC000872786835 641939194 /nfs/dbraw/zinc/93/91/94/641939194.db2.gz VQHLRYQNFMUQOA-MFKMUULPSA-N 0 0 296.348 2.578 20 5 CFBDRN CCCn1cc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cn1 ZINC000080734986 650706495 /nfs/dbraw/zinc/70/64/95/650706495.db2.gz QPQMPXFUWLBHHC-UHFFFAOYSA-N 0 0 292.270 2.593 20 5 CFBDRN CCn1nccc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000873183814 642053765 /nfs/dbraw/zinc/05/37/65/642053765.db2.gz KUFZVDXCMQKXMH-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN Cc1nccnc1[C@@H](C)NCc1csc([N+](=O)[O-])c1 ZINC000873189546 642056468 /nfs/dbraw/zinc/05/64/68/642056468.db2.gz PWHDLHZLBNVVJV-SECBINFHSA-N 0 0 278.337 2.606 20 5 CFBDRN CCn1ncc(NCc2ccc([N+](=O)[O-])cc2F)c1C ZINC000873195686 642060527 /nfs/dbraw/zinc/06/05/27/642060527.db2.gz IMRMTPXZOPYNIG-UHFFFAOYSA-N 0 0 278.287 2.871 20 5 CFBDRN CC[C@@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873339311 642140935 /nfs/dbraw/zinc/14/09/35/642140935.db2.gz AKPXKZSOBQOZFP-GFCCVEGCSA-N 0 0 297.332 2.598 20 5 CFBDRN CC[C@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C(C)=O ZINC000873379915 642167467 /nfs/dbraw/zinc/16/74/67/642167467.db2.gz YZQRRPLWXZGSGR-SDBXPKJASA-N 0 0 297.282 2.748 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OC[C@@H]1CCO[C@@H]1C ZINC000873438222 642204745 /nfs/dbraw/zinc/20/47/45/642204745.db2.gz FQGGHFLKDJVBBA-NEPJUHHUSA-N 0 0 293.319 2.793 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873438659 642205541 /nfs/dbraw/zinc/20/55/41/642205541.db2.gz UNJYQGVTPIHRHN-SNVBAGLBSA-N 0 0 279.292 2.736 20 5 CFBDRN CO[C@H](COC(=O)c1c(C)ccc([N+](=O)[O-])c1C)C1CC1 ZINC000873438757 642205678 /nfs/dbraw/zinc/20/56/78/642205678.db2.gz XMGZQRVLITUSDY-CYBMUJFWSA-N 0 0 293.319 2.793 20 5 CFBDRN CC(C)OC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000873443722 642208276 /nfs/dbraw/zinc/20/82/76/642208276.db2.gz GPFCNBAEWHKDEP-UHFFFAOYSA-N 0 0 293.319 2.986 20 5 CFBDRN COC(=O)c1ccc(COc2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000194875726 650732497 /nfs/dbraw/zinc/73/24/97/650732497.db2.gz GIESYNHSGSWRKR-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1sccc1C(F)F ZINC000873548205 642243993 /nfs/dbraw/zinc/24/39/93/642243993.db2.gz VYHNWQPVJXDZBL-UHFFFAOYSA-N 0 0 265.237 2.509 20 5 CFBDRN O=C(OC1CCSCC1)c1ccc([N+](=O)[O-])cc1 ZINC000873560099 642251056 /nfs/dbraw/zinc/25/10/56/642251056.db2.gz MCJYQKFQYMIVOH-UHFFFAOYSA-N 0 0 267.306 2.647 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC1CCCC1 ZINC000873743647 642292670 /nfs/dbraw/zinc/29/26/70/642292670.db2.gz FELWEDSBNUFBMV-UHFFFAOYSA-N 0 0 262.309 2.884 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC1CCC1 ZINC000873989735 642317803 /nfs/dbraw/zinc/31/78/03/642317803.db2.gz RINNAJANLAJRTH-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN CC(C)OCCCOC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000124771281 642320647 /nfs/dbraw/zinc/32/06/47/642320647.db2.gz IJPPPZBYCIVRJF-UHFFFAOYSA-N 0 0 295.335 2.886 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@H]2C[C@@H](C(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000874047366 642328146 /nfs/dbraw/zinc/32/81/46/642328146.db2.gz WMVMRQNSUIEMMN-TXEJJXNPSA-N 0 0 292.335 2.671 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC1CCSCC1 ZINC000874264164 642361339 /nfs/dbraw/zinc/36/13/39/642361339.db2.gz GDFNPHSTKCTYOB-UHFFFAOYSA-N 0 0 294.376 2.837 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1cccnc1 ZINC000195263900 650745176 /nfs/dbraw/zinc/74/51/76/650745176.db2.gz QNRDECLCKVELQN-UHFFFAOYSA-N 0 0 278.651 2.862 20 5 CFBDRN CN(C)c1ccc(F)cc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000874576447 642420810 /nfs/dbraw/zinc/42/08/10/642420810.db2.gz KRRWOFMXYYLUIW-UHFFFAOYSA-N 0 0 297.330 2.666 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000874625539 642428141 /nfs/dbraw/zinc/42/81/41/642428141.db2.gz MKZXNOGPCBQQAJ-IJLUTSLNSA-N 0 0 294.351 2.691 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCO[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000874625666 642429369 /nfs/dbraw/zinc/42/93/69/642429369.db2.gz LCWNZRNRMRRLFK-TUAOUCFPSA-N 0 0 292.335 2.587 20 5 CFBDRN CC(C)c1nsc(NC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000874698322 642459016 /nfs/dbraw/zinc/45/90/16/642459016.db2.gz AYGIRLUQSWKTOV-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Oc1ccccc1F ZINC000195519564 650756237 /nfs/dbraw/zinc/75/62/37/650756237.db2.gz LORIEZYQCHPFDE-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000125157840 642473717 /nfs/dbraw/zinc/47/37/17/642473717.db2.gz CBJXATUBGNWGNB-NSHDSACASA-N 0 0 274.267 2.961 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000874778968 642479206 /nfs/dbraw/zinc/47/92/06/642479206.db2.gz ZWTGFOFMRJMCDT-BFHYXJOUSA-N 0 0 286.331 2.757 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CC23CCC3)c1[N+](=O)[O-] ZINC000874781782 642482140 /nfs/dbraw/zinc/48/21/40/642482140.db2.gz ASVNTELEBGRERW-NSHDSACASA-N 0 0 278.283 2.715 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CC12CCC2 ZINC000874783639 642484553 /nfs/dbraw/zinc/48/45/53/642484553.db2.gz ZQFFDRBAFYNELR-ZDUSSCGKSA-N 0 0 274.320 2.586 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000874784264 642485520 /nfs/dbraw/zinc/48/55/20/642485520.db2.gz UNVGLFOXGYNBHH-ZDUSSCGKSA-N 0 0 288.347 2.931 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000874785577 642486967 /nfs/dbraw/zinc/48/69/67/642486967.db2.gz DXHWWQBPZVGGSI-GFCCVEGCSA-N 0 0 285.303 2.749 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC23CCC3)ccc1[N+](=O)[O-] ZINC000874792460 642492734 /nfs/dbraw/zinc/49/27/34/642492734.db2.gz XFFFNQRSXRCUEC-GFCCVEGCSA-N 0 0 260.293 2.576 20 5 CFBDRN COCC1(N(C)C(=O)c2cc([N+](=O)[O-])c(C)s2)CCC1 ZINC000874937136 642558249 /nfs/dbraw/zinc/55/82/49/642558249.db2.gz LBOXLVJHPSNWAS-UHFFFAOYSA-N 0 0 298.364 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@@H](CCO)C1 ZINC000125240676 642576259 /nfs/dbraw/zinc/57/62/59/642576259.db2.gz FAWMIAAVSCIUKF-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN CCOC1CC2(C[C@@H]2C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000875053997 642581246 /nfs/dbraw/zinc/58/12/46/642581246.db2.gz DVXYANWXSKQQSD-JVWICGRDSA-N 0 0 290.319 2.739 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000875054675 642581271 /nfs/dbraw/zinc/58/12/71/642581271.db2.gz YAWRPQQDHSKUTG-GRLWKWRFSA-N 0 0 278.283 2.965 20 5 CFBDRN CC1(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)CC(F)(F)C1 ZINC000875090228 642583887 /nfs/dbraw/zinc/58/38/87/642583887.db2.gz HMWSCAWGSMUNOL-UHFFFAOYSA-N 0 0 296.273 2.919 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000875250436 642599312 /nfs/dbraw/zinc/59/93/12/642599312.db2.gz FPTXDDZCFFAMQZ-APHKKCJPSA-N 0 0 278.283 2.965 20 5 CFBDRN CSCC[C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000125346871 642600300 /nfs/dbraw/zinc/60/03/00/642600300.db2.gz BOYQXVSTHLGPCZ-QMMMGPOBSA-N 0 0 255.343 2.852 20 5 CFBDRN COC1CC(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000875296095 642604179 /nfs/dbraw/zinc/60/41/79/642604179.db2.gz SJRJMVRVZYNLKZ-UHFFFAOYSA-N 0 0 284.699 2.612 20 5 CFBDRN CCON(CC)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000875349383 642610915 /nfs/dbraw/zinc/61/09/15/642610915.db2.gz YGMXEUKJUINFIH-NSHDSACASA-N 0 0 295.339 2.947 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCSC[C@H]1C ZINC000875351252 642612036 /nfs/dbraw/zinc/61/20/36/642612036.db2.gz SLONNBMCDHCVEB-SNVBAGLBSA-N 0 0 294.376 2.789 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000875423146 642620566 /nfs/dbraw/zinc/62/05/66/642620566.db2.gz GNLGQOCFOIZGJB-QCNRFFRDSA-N 0 0 275.308 2.529 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1C[C@H](C)O[C@@H]1C ZINC000875502222 642630849 /nfs/dbraw/zinc/63/08/49/642630849.db2.gz ZTDJGCAHYJBDBZ-HBNTYKKESA-N 0 0 279.292 2.622 20 5 CFBDRN CN1C[C@H](Nc2ccc([N+](=O)[O-])cc2C(F)F)CCC1=O ZINC000084089117 650772559 /nfs/dbraw/zinc/77/25/59/650772559.db2.gz NDQCARUQXLYSBJ-MRVPVSSYSA-N 0 0 299.277 2.565 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)C1 ZINC000876310807 642818538 /nfs/dbraw/zinc/81/85/38/642818538.db2.gz FLYJKDDBZLSAHJ-CZMCAQCFSA-N 0 0 298.339 2.666 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@H]2C[C@H]21)c1ccccc1[N+](=O)[O-] ZINC000876406354 642853677 /nfs/dbraw/zinc/85/36/77/642853677.db2.gz ZDGXOTNJVAEEGO-UHIISALHSA-N 0 0 289.335 2.850 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000876428613 642866573 /nfs/dbraw/zinc/86/65/73/642866573.db2.gz YVHNXIQMXUJBIL-GFCCVEGCSA-N 0 0 275.308 2.967 20 5 CFBDRN Cc1ccccc1NC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000876817846 643023888 /nfs/dbraw/zinc/02/38/88/643023888.db2.gz NIKIZGDJJXWEIJ-UHFFFAOYSA-N 0 0 272.308 2.915 20 5 CFBDRN Cc1c(Cl)cccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000876838632 643034154 /nfs/dbraw/zinc/03/41/54/643034154.db2.gz SNJOPFLWGYXDBP-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC(C)c1nnc(CNc2ccc(F)c([N+](=O)[O-])c2)o1 ZINC000126287746 643107434 /nfs/dbraw/zinc/10/74/34/643107434.db2.gz ZIJVMTZLEFXCHH-UHFFFAOYSA-N 0 0 280.259 2.852 20 5 CFBDRN CCCOc1ccc(NCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000877121750 643162102 /nfs/dbraw/zinc/16/21/02/643162102.db2.gz UVYINVOFRWNVDM-UHFFFAOYSA-N 0 0 290.323 2.729 20 5 CFBDRN Cc1ccc(N(C)Cc2cn(C)nc2[N+](=O)[O-])cc1C ZINC000877179889 643191785 /nfs/dbraw/zinc/19/17/85/643191785.db2.gz AWRFEZKLRNETAG-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN Cn1cc(CNc2ccc3c(c2)CCCC3)c([N+](=O)[O-])n1 ZINC000877560651 643359631 /nfs/dbraw/zinc/35/96/31/643359631.db2.gz XJRCQBDRJGJWKB-UHFFFAOYSA-N 0 0 286.335 2.819 20 5 CFBDRN Cc1c(F)c(F)ccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000877593350 643386583 /nfs/dbraw/zinc/38/65/83/643386583.db2.gz SUPQVAJDVKABBT-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN CC1(C)C[C@H](O)CN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000877751583 643527727 /nfs/dbraw/zinc/52/77/27/643527727.db2.gz HGLWPIFMQPXCHY-LBPRGKRZSA-N 0 0 298.770 2.841 20 5 CFBDRN CC1(C)C[C@H](O)CN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000877751979 643529600 /nfs/dbraw/zinc/52/96/00/643529600.db2.gz ASHAKOMKQSHHNU-LBPRGKRZSA-N 0 0 298.770 2.841 20 5 CFBDRN CC(C)(O)[C@@H]1CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000877796387 643562735 /nfs/dbraw/zinc/56/27/35/643562735.db2.gz FKFOQYLTEHGORN-LLVKDONJSA-N 0 0 284.381 2.639 20 5 CFBDRN Cc1c(NC(=O)N2CC[C@H](CF)C2)cccc1[N+](=O)[O-] ZINC000877952540 643660852 /nfs/dbraw/zinc/66/08/52/643660852.db2.gz PKYGWMMUFANAMN-SNVBAGLBSA-N 0 0 281.287 2.727 20 5 CFBDRN CC(C)C1(O)CN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000089666836 650850919 /nfs/dbraw/zinc/85/09/19/650850919.db2.gz WTCIWMPQSDKFCH-UHFFFAOYSA-N 0 0 286.278 2.740 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000126934416 643766644 /nfs/dbraw/zinc/76/66/44/643766644.db2.gz FDBDAFCYJYUHOR-HTQZYQBOSA-N 0 0 258.705 2.820 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)C[C@@H](C)C1 ZINC000246855915 650886411 /nfs/dbraw/zinc/88/64/11/650886411.db2.gz ILIDXYODLWVWPA-URLYPYJESA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)[C@H](CO)C1 ZINC000879147595 644158508 /nfs/dbraw/zinc/15/85/08/644158508.db2.gz DCYQSXYVLYONMS-PWSUYJOCSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(NCCC1CCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000127609582 644198523 /nfs/dbraw/zinc/19/85/23/644198523.db2.gz GTCYFEMYGVCAQA-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000879322286 644228271 /nfs/dbraw/zinc/22/82/71/644228271.db2.gz UKWKQHQBMASILZ-MFKMUULPSA-N 0 0 282.315 2.733 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1F)OCC(F)(F)F ZINC000879513069 644298656 /nfs/dbraw/zinc/29/86/56/644298656.db2.gz CLPPFQZEEHROHJ-UHFFFAOYSA-N 0 0 282.193 2.660 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])c3nonc32)CCCS1 ZINC000127868509 644343106 /nfs/dbraw/zinc/34/31/06/644343106.db2.gz GHLLDKVHZOYVMU-GFCCVEGCSA-N 0 0 294.336 2.829 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](CF)C1 ZINC000879608743 644346667 /nfs/dbraw/zinc/34/66/67/644346667.db2.gz WOIBXGKVMYQXOX-JTQLQIEISA-N 0 0 295.314 2.981 20 5 CFBDRN Cc1ccc(C(=O)OCCc2ccccc2[N+](=O)[O-])nc1 ZINC000127898468 644358757 /nfs/dbraw/zinc/35/87/57/644358757.db2.gz OZOHXGNXRUNGKU-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN Cc1noc(C)c1CCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000127994815 644415166 /nfs/dbraw/zinc/41/51/66/644415166.db2.gz OTLNSSKQYPFMNI-UHFFFAOYSA-N 0 0 280.255 2.960 20 5 CFBDRN CCON(CC)CCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000879796680 644428763 /nfs/dbraw/zinc/42/87/63/644428763.db2.gz RBJRBONIPKQYPN-UHFFFAOYSA-N 0 0 295.339 2.505 20 5 CFBDRN Cc1ccc(C(=O)OCc2cccc([N+](=O)[O-])c2)nc1 ZINC000128049467 644450533 /nfs/dbraw/zinc/45/05/33/644450533.db2.gz JCWRMHSWZWYWBM-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCSC(C)(C)C1)[N+](=O)[O-] ZINC000888796869 644503344 /nfs/dbraw/zinc/50/33/44/644503344.db2.gz SEVKILCSZODZNW-SNVBAGLBSA-N 0 0 288.413 2.612 20 5 CFBDRN Cc1nccnc1[C@H](C)NCc1ccc(F)cc1[N+](=O)[O-] ZINC000880109295 644535207 /nfs/dbraw/zinc/53/52/07/644535207.db2.gz VTGZTVRCNWTIQI-JTQLQIEISA-N 0 0 290.298 2.683 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@@H](CF)C1 ZINC000880233629 644594511 /nfs/dbraw/zinc/59/45/11/644594511.db2.gz YKZBBVMBYSRJON-JTQLQIEISA-N 0 0 270.279 2.915 20 5 CFBDRN CCOc1cc(NC(=O)N(CC)CC)ccc1[N+](=O)[O-] ZINC000888836199 644835283 /nfs/dbraw/zinc/83/52/83/644835283.db2.gz PBUPQHGUVUUCNX-UHFFFAOYSA-N 0 0 281.312 2.867 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])c(F)c1)c1ccccn1 ZINC000094773236 650963380 /nfs/dbraw/zinc/96/33/80/650963380.db2.gz NGOWAGLDQXRYCO-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN C[C@@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCCO1 ZINC000094801109 650963998 /nfs/dbraw/zinc/96/39/98/650963998.db2.gz IANBUTQTVUMSLY-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(C[C@H]1CCCCO1)OCc1cccc([N+](=O)[O-])c1 ZINC000129037502 644982889 /nfs/dbraw/zinc/98/28/89/644982889.db2.gz DYGFLXSVRBVJEL-CYBMUJFWSA-N 0 0 279.292 2.597 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000881491705 644991147 /nfs/dbraw/zinc/99/11/47/644991147.db2.gz ZWYIEWXOWUBNHI-SNVBAGLBSA-N 0 0 285.303 2.772 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000881493368 644992948 /nfs/dbraw/zinc/99/29/48/644992948.db2.gz UNUSGXSUBRBQIM-SECBINFHSA-N 0 0 266.322 2.661 20 5 CFBDRN CC1(C)C[C@@]1(C)CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000881540213 645032355 /nfs/dbraw/zinc/03/23/55/645032355.db2.gz LETWGRFZSAPSFE-HNNXBMFYSA-N 0 0 276.336 2.690 20 5 CFBDRN CC1(C)C[C@@]1(C)CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000881541945 645033654 /nfs/dbraw/zinc/03/36/54/645033654.db2.gz IQXWUFYUSDFVBC-HNNXBMFYSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@H](CF)C2)cc([N+](=O)[O-])c1 ZINC000881595190 645066571 /nfs/dbraw/zinc/06/65/71/645066571.db2.gz PXJAQRZGCXAVDH-NSHDSACASA-N 0 0 280.299 2.725 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC(F)CC1 ZINC000881612129 645081047 /nfs/dbraw/zinc/08/10/47/645081047.db2.gz BFCGCPYOSRQOES-UHFFFAOYSA-N 0 0 291.282 2.650 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@@H](CF)C2)cc1[N+](=O)[O-] ZINC000881662975 645092869 /nfs/dbraw/zinc/09/28/69/645092869.db2.gz VDPMKLCGIBBAMC-VIFPVBQESA-N 0 0 298.339 2.748 20 5 CFBDRN CC(C)(C(=O)N1CC[C@@H](CF)C1)c1ccc([N+](=O)[O-])cc1 ZINC000881670448 645097759 /nfs/dbraw/zinc/09/77/59/645097759.db2.gz JWYPQPYJWYEQIR-NSHDSACASA-N 0 0 294.326 2.690 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@H](CF)C1)c1ccc([N+](=O)[O-])cc1F ZINC000881675624 645102830 /nfs/dbraw/zinc/10/28/30/645102830.db2.gz XLXFVTJTXWGTGL-NXEZZACHSA-N 0 0 298.289 2.655 20 5 CFBDRN CCC(O)(CC)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881865339 645144353 /nfs/dbraw/zinc/14/43/53/645144353.db2.gz VEWMNFCHZTUZGT-UHFFFAOYSA-N 0 0 280.324 2.760 20 5 CFBDRN CCn1nc(C)c(CNc2ccnc(F)c2[N+](=O)[O-])c1C ZINC000881910560 645152784 /nfs/dbraw/zinc/15/27/84/645152784.db2.gz QLVZCABUEWPMHH-UHFFFAOYSA-N 0 0 293.302 2.574 20 5 CFBDRN CCC[C@@](C)(O)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881978054 645167205 /nfs/dbraw/zinc/16/72/05/645167205.db2.gz WUWHGMRSKJVFHB-CQSZACIVSA-N 0 0 280.324 2.760 20 5 CFBDRN CCC[C@](C)(O)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881978053 645167259 /nfs/dbraw/zinc/16/72/59/645167259.db2.gz WUWHGMRSKJVFHB-AWEZNQCLSA-N 0 0 280.324 2.760 20 5 CFBDRN Cc1cccc(OS(=O)(=O)CC2(C)CCC2)c1[N+](=O)[O-] ZINC000882016305 645177305 /nfs/dbraw/zinc/17/73/05/645177305.db2.gz INVBYGIBTMCSCS-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2ccnc(F)c2[N+](=O)[O-])C1(C)C ZINC000882040816 645185813 /nfs/dbraw/zinc/18/58/13/645185813.db2.gz XYQUCXBUQTTXIO-ZANVPECISA-N 0 0 283.303 2.744 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2CCC3(CCC3)O2)c([N+](=O)[O-])c1 ZINC000882051222 645188024 /nfs/dbraw/zinc/18/80/24/645188024.db2.gz OTHFTGILJPFUSS-LBPRGKRZSA-N 0 0 291.303 2.888 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@H]1CCC2(CCC2)O1 ZINC000882145666 645218407 /nfs/dbraw/zinc/21/84/07/645218407.db2.gz QVNUELCGDJDMCO-SNVBAGLBSA-N 0 0 298.726 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC[C@@H](O)C(C)C ZINC000882149413 645219048 /nfs/dbraw/zinc/21/90/48/645219048.db2.gz SJOKCWBEQPCDII-GFCCVEGCSA-N 0 0 253.298 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCO[C@H]2CCCOC2)cc1 ZINC000882148117 645219324 /nfs/dbraw/zinc/21/93/24/645219324.db2.gz JRNSOGQATMLQKU-ZDUSSCGKSA-N 0 0 283.349 2.981 20 5 CFBDRN Cc1cc(OCC[C@H](O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000882154891 645221788 /nfs/dbraw/zinc/22/17/88/645221788.db2.gz AEINQWRZNMVWMF-LBPRGKRZSA-N 0 0 271.288 2.828 20 5 CFBDRN CCC1(O)CCC(Nc2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000882158746 645223453 /nfs/dbraw/zinc/22/34/53/645223453.db2.gz VRJXEXDATHLKQQ-UHFFFAOYSA-N 0 0 283.303 2.625 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCCOC(F)(F)F)c1C ZINC000882176656 645231535 /nfs/dbraw/zinc/23/15/35/645231535.db2.gz VLQWRIJCMWUSDN-UHFFFAOYSA-N 0 0 294.229 2.912 20 5 CFBDRN CC(=O)c1c(NCC[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000882178739 645233738 /nfs/dbraw/zinc/23/37/38/645233738.db2.gz FCMLLQDELUZJAU-NSHDSACASA-N 0 0 278.308 2.636 20 5 CFBDRN COCCC(C)(C)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882188267 645237975 /nfs/dbraw/zinc/23/79/75/645237975.db2.gz LTJGJLQYRMPRKP-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN CC(=O)c1c(N[C@H]2CCC[C@@H]2CCO)cccc1[N+](=O)[O-] ZINC000882214288 645244856 /nfs/dbraw/zinc/24/48/56/645244856.db2.gz SZFQKPXOFLQXJC-NEPJUHHUSA-N 0 0 292.335 2.760 20 5 CFBDRN CC1(C)CN(c2ccnc(F)c2[N+](=O)[O-])CC(C)(C)O1 ZINC000882238052 645250579 /nfs/dbraw/zinc/25/05/79/645250579.db2.gz QXWMPQIYSVJYIN-UHFFFAOYSA-N 0 0 283.303 2.523 20 5 CFBDRN CO[C@@]1(C)CCCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882284219 645262831 /nfs/dbraw/zinc/26/28/31/645262831.db2.gz LIJZZRVIZGZXCN-HNNXBMFYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC=C(C)C1 ZINC000882303551 645272471 /nfs/dbraw/zinc/27/24/71/645272471.db2.gz WHHLFYSIVOMRIH-UHFFFAOYSA-N 0 0 260.293 2.954 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H](CO)CC1CCCC1 ZINC000882307149 645274496 /nfs/dbraw/zinc/27/44/96/645274496.db2.gz JPVJZBSBMVUNRZ-NSHDSACASA-N 0 0 297.330 2.730 20 5 CFBDRN O=C(NCc1ccco1)c1cccc([N+](=O)[O-])c1Cl ZINC000129572827 645278330 /nfs/dbraw/zinc/27/83/30/645278330.db2.gz ZMJAFSXAKDNTSN-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN Cc1cccc(COC(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000129590892 645282292 /nfs/dbraw/zinc/28/22/92/645282292.db2.gz METNNBJGVHPESF-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1Cl)C1CC1 ZINC000129590490 645282389 /nfs/dbraw/zinc/28/23/89/645282389.db2.gz UFLKTOMVEWJAGZ-ZETCQYMHSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1ncnc(N(C)CCc2cccc([N+](=O)[O-])c2)c1C ZINC000882322249 645283258 /nfs/dbraw/zinc/28/32/58/645283258.db2.gz HHBCVNCIUZEKML-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN Cc1cccc(CCCNc2ccnc(F)c2[N+](=O)[O-])n1 ZINC000882348770 645288446 /nfs/dbraw/zinc/28/84/46/645288446.db2.gz BPYHDHOHNCFGLZ-UHFFFAOYSA-N 0 0 290.298 2.877 20 5 CFBDRN CCOCC(C)(C)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882380075 645294239 /nfs/dbraw/zinc/29/42/39/645294239.db2.gz IGANZKLRWNIFDC-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN CCn1ccnc1CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882412803 645303988 /nfs/dbraw/zinc/30/39/88/645303988.db2.gz VYKBSUKYCDHDNT-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN Cc1cccnc1CCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882417435 645305773 /nfs/dbraw/zinc/30/57/73/645305773.db2.gz SAUSERHMTPCSKK-UHFFFAOYSA-N 0 0 290.298 2.877 20 5 CFBDRN CC(=O)c1c(NC[C@@H]2CCCC[C@H]2O)cccc1[N+](=O)[O-] ZINC000882427574 645308744 /nfs/dbraw/zinc/30/87/44/645308744.db2.gz UBOIDWSTATTYNU-SMDDNHRTSA-N 0 0 292.335 2.760 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC[C@@H](c2cccnc2)C1 ZINC000882513454 645351015 /nfs/dbraw/zinc/35/10/15/645351015.db2.gz NGZGTQHZLUQJCE-LLVKDONJSA-N 0 0 288.282 2.518 20 5 CFBDRN C[C@@H]1CN(c2ccnc(F)c2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000882519181 645352019 /nfs/dbraw/zinc/35/20/19/645352019.db2.gz PYGKNFPHCRKFJZ-SNVBAGLBSA-N 0 0 295.314 2.667 20 5 CFBDRN COCC1(CNc2cccc([N+](=O)[O-])c2C(C)=O)CC1 ZINC000882543403 645362687 /nfs/dbraw/zinc/36/26/87/645362687.db2.gz GPNPURJDCDJWRW-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN CC(=O)c1c(N[C@@H]2C[C@H](O)C23CCC3)cccc1[N+](=O)[O-] ZINC000882622319 645392114 /nfs/dbraw/zinc/39/21/14/645392114.db2.gz MTMGFDKILSQBHM-OLZOCXBDSA-N 0 0 290.319 2.513 20 5 CFBDRN CC(=O)c1c(N[C@@H]2CO[C@@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000882663266 645404648 /nfs/dbraw/zinc/40/46/48/645404648.db2.gz LSNKRJDFIIQIMQ-SMDDNHRTSA-N 0 0 290.319 2.777 20 5 CFBDRN C[C@H](O)CSc1ccc([N+](=O)[O-])cc1Br ZINC000882738557 645437702 /nfs/dbraw/zinc/43/77/02/645437702.db2.gz YVCFQNOCWVODBJ-LURJTMIESA-N 0 0 292.154 2.830 20 5 CFBDRN C[C@@H](O)CSc1ccc([N+](=O)[O-])c2cnccc12 ZINC000882738263 645437787 /nfs/dbraw/zinc/43/77/87/645437787.db2.gz OTSSYGSSWCTXCX-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ccc(N)cc2[N+](=O)[O-])OC1 ZINC000882763144 645446778 /nfs/dbraw/zinc/44/67/78/645446778.db2.gz FWXVYCCYWBLRFN-NSHDSACASA-N 0 0 279.340 2.794 20 5 CFBDRN O=c1[nH]ccc(NCC2(CC3CC3)CC2)c1[N+](=O)[O-] ZINC000882773120 645451011 /nfs/dbraw/zinc/45/10/11/645451011.db2.gz AHFOSFMNKYLHLX-UHFFFAOYSA-N 0 0 263.297 2.688 20 5 CFBDRN CC1(CNc2ccnc(F)c2[N+](=O)[O-])CC(F)(F)C1 ZINC000882774939 645452456 /nfs/dbraw/zinc/45/24/56/645452456.db2.gz GRZUEJMYTQNJJF-UHFFFAOYSA-N 0 0 275.230 2.976 20 5 CFBDRN O=C(OCC1CCOCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000130154555 645459807 /nfs/dbraw/zinc/45/98/07/645459807.db2.gz SKGFDWJYXAOBRJ-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN COc1cc(N2CCC[C@@H](CF)C2)ccc1[N+](=O)[O-] ZINC000882801625 645462769 /nfs/dbraw/zinc/46/27/69/645462769.db2.gz XAZZYTZCJYPPAL-JTQLQIEISA-N 0 0 268.288 2.789 20 5 CFBDRN COc1cc(N2CCC[C@@H](CF)C2)c(F)cc1[N+](=O)[O-] ZINC000882802104 645463185 /nfs/dbraw/zinc/46/31/85/645463185.db2.gz PPXCPJLIIHKOLB-VIFPVBQESA-N 0 0 286.278 2.928 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC(F)CC1 ZINC000882803761 645463892 /nfs/dbraw/zinc/46/38/92/645463892.db2.gz DOZGKHAEYIZGHK-UHFFFAOYSA-N 0 0 266.272 2.736 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC(F)CC1 ZINC000882805717 645465019 /nfs/dbraw/zinc/46/50/19/645465019.db2.gz RKKVBXBIEMGFIB-UHFFFAOYSA-N 0 0 296.298 2.628 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC(F)CC1 ZINC000882805415 645465344 /nfs/dbraw/zinc/46/53/44/645465344.db2.gz DYFXBBZDYFSZPZ-UHFFFAOYSA-N 0 0 266.272 2.736 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(F)CC2)c(Cl)c1 ZINC000882806479 645465886 /nfs/dbraw/zinc/46/58/86/645465886.db2.gz CHHIYNIPMCBWNF-UHFFFAOYSA-N 0 0 259.668 2.582 20 5 CFBDRN CO[C@]1(C(F)(F)F)CCN(c2sccc2[N+](=O)[O-])C1 ZINC000882844035 645477463 /nfs/dbraw/zinc/47/74/63/645477463.db2.gz JHUNUEZDSOEAHQ-SECBINFHSA-N 0 0 296.270 2.814 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC(CC2CCOCC2)C1 ZINC000882885730 645492277 /nfs/dbraw/zinc/49/22/77/645492277.db2.gz LWUULEJKJIXBOA-UHFFFAOYSA-N 0 0 282.365 2.909 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCc1cnc(C2CC2)o1 ZINC000882945390 645521686 /nfs/dbraw/zinc/52/16/86/645521686.db2.gz SXOFGGNIKZEWRA-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1c2ccccc2CC[C@H]1F ZINC000882991148 645548372 /nfs/dbraw/zinc/54/83/72/645548372.db2.gz NKPVDZZBMBUBJO-VXGBXAGGSA-N 0 0 290.298 2.766 20 5 CFBDRN CCCCOC(=O)COc1ccc([N+](=O)[O-])cc1C ZINC000131467631 645729844 /nfs/dbraw/zinc/72/98/44/645729844.db2.gz IVPZRWOBSCUUJJ-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CCC1 ZINC000131619437 645764963 /nfs/dbraw/zinc/76/49/63/645764963.db2.gz QGFPKFGXNCMCHW-SSDOTTSWSA-N 0 0 284.262 2.791 20 5 CFBDRN Cc1ccc(OC(=O)/C=C/c2cccnc2)cc1[N+](=O)[O-] ZINC000131814426 645829179 /nfs/dbraw/zinc/82/91/79/645829179.db2.gz VLNYIUPHFVMJAO-FNORWQNLSA-N 0 0 284.271 2.917 20 5 CFBDRN Cc1ccc(OC(=O)c2ccnn2C(C)C)cc1[N+](=O)[O-] ZINC000131829087 645831527 /nfs/dbraw/zinc/83/15/27/645831527.db2.gz KAGVDJMOPOWXIB-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000883553334 645886098 /nfs/dbraw/zinc/88/60/98/645886098.db2.gz QIJFXTNTIBMXTN-NWDGAFQWSA-N 0 0 289.335 2.921 20 5 CFBDRN Cc1ccc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000132461888 645918656 /nfs/dbraw/zinc/91/86/56/645918656.db2.gz MUJIEECYORJXLP-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN Cc1ccc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c(C)n1 ZINC000132523069 645929287 /nfs/dbraw/zinc/92/92/87/645929287.db2.gz CLWUCXYHNMJTCB-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1ccoc1 ZINC000132550882 645932024 /nfs/dbraw/zinc/93/20/24/645932024.db2.gz BJBPVIXGZMVIRW-UHFFFAOYSA-N 0 0 251.169 2.546 20 5 CFBDRN COc1cc(C(=O)Oc2ccccc2F)ccc1[N+](=O)[O-] ZINC000132746013 645959333 /nfs/dbraw/zinc/95/93/33/645959333.db2.gz DHYVDHSEPXCPGF-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000132763693 645963998 /nfs/dbraw/zinc/96/39/98/645963998.db2.gz PKLGTDOLJMCSAC-ZJUUUORDSA-N 0 0 298.339 2.748 20 5 CFBDRN O=C(OC[C@@H](F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000800143435 646154946 /nfs/dbraw/zinc/15/49/46/646154946.db2.gz CRDCCJGCQXZDDP-MRVPVSSYSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cncs1 ZINC000800144788 646155960 /nfs/dbraw/zinc/15/59/60/646155960.db2.gz FUWNOWOYPJIPMY-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CCn1nc(C)cc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000133649888 646202458 /nfs/dbraw/zinc/20/24/58/646202458.db2.gz OWDQOSZNQDUCJO-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCCC1CCC1 ZINC000133747125 646219660 /nfs/dbraw/zinc/21/96/60/646219660.db2.gz MPZOPXQLSJKZAL-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN C[C@@H]1CCC[C@H](C)CN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000133749888 646220034 /nfs/dbraw/zinc/22/00/34/646220034.db2.gz VPFLZXNXSDJOGV-WDEREUQCSA-N 0 0 291.351 2.828 20 5 CFBDRN CCC(CC)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134025955 646266497 /nfs/dbraw/zinc/26/64/97/646266497.db2.gz VSOWVFNGUSBMQV-UHFFFAOYSA-N 0 0 272.251 2.791 20 5 CFBDRN Nc1cccc(-c2noc(-c3ccc([N+](=O)[O-])cc3)n2)c1 ZINC000134616518 646371795 /nfs/dbraw/zinc/37/17/95/646371795.db2.gz KSWNOCJZBWRMPN-UHFFFAOYSA-N 0 0 282.259 2.894 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H](C)CCSC)c([N+](=O)[O-])c1 ZINC000134835679 646392428 /nfs/dbraw/zinc/39/24/28/646392428.db2.gz RCLNNVWWHMRJRG-VIFPVBQESA-N 0 0 297.380 2.508 20 5 CFBDRN CONC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 ZINC000134885335 646399567 /nfs/dbraw/zinc/39/95/67/646399567.db2.gz FWIBGQZLLNLCEQ-UHFFFAOYSA-N 0 0 296.348 2.921 20 5 CFBDRN Cc1cc(N(C)CCc2cccc([N+](=O)[O-])c2)nc(F)n1 ZINC000884498930 646402998 /nfs/dbraw/zinc/40/29/98/646402998.db2.gz PINKCQCYSWWNFV-UHFFFAOYSA-N 0 0 290.298 2.511 20 5 CFBDRN Cc1cc(N(C)CCc2ccccc2[N+](=O)[O-])nc(F)n1 ZINC000884504863 646405232 /nfs/dbraw/zinc/40/52/32/646405232.db2.gz SSOPKBLFIGLYRI-UHFFFAOYSA-N 0 0 290.298 2.511 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@H]1CC2CCC1CC2 ZINC000884521064 646417692 /nfs/dbraw/zinc/41/76/92/646417692.db2.gz MUBSRCSTOAALQN-XIVSLSHWSA-N 0 0 290.319 2.859 20 5 CFBDRN C[C@H]1CC=C(C(=O)OCc2cccnc2[N+](=O)[O-])CC1 ZINC000884544750 646436906 /nfs/dbraw/zinc/43/69/06/646436906.db2.gz ORMQMZMITSZVFZ-JTQLQIEISA-N 0 0 276.292 2.779 20 5 CFBDRN O=C(Nc1ccc(F)nc1)c1cc(F)ccc1[N+](=O)[O-] ZINC000135054286 646450999 /nfs/dbraw/zinc/45/09/99/646450999.db2.gz HAILPGDOIJHIDV-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2CSC2)c2ccccc21 ZINC000884569770 646458538 /nfs/dbraw/zinc/45/85/38/646458538.db2.gz XTOBJJHOCNPFLD-UHFFFAOYSA-N 0 0 275.333 2.918 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000884582901 646469515 /nfs/dbraw/zinc/46/95/15/646469515.db2.gz ONQXHLRJWNPWMO-PHIMTYICSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3C[C@@H]4C[C@@H]4C3)c2c1 ZINC000884592949 646475799 /nfs/dbraw/zinc/47/57/99/646475799.db2.gz ZLWBDFOGDABOET-AOOOYVTPSA-N 0 0 255.277 2.599 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cc(C3CC3)no2)c(F)c1 ZINC000884637471 646498830 /nfs/dbraw/zinc/49/88/30/646498830.db2.gz PDBYDTHOFYUNMG-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1C[C@@H]2CSC[C@@H]2C1 ZINC000884644809 646504849 /nfs/dbraw/zinc/50/48/49/646504849.db2.gz DITKNEUUHJKQIQ-DTORHVGOSA-N 0 0 268.313 2.533 20 5 CFBDRN COc1cc(N2C[C@@H]3CSC[C@@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000884645543 646505655 /nfs/dbraw/zinc/50/56/55/646505655.db2.gz KTJUAXUQESYPKT-DTORHVGOSA-N 0 0 298.339 2.542 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNc1cc(N)ccc1[N+](=O)[O-] ZINC000884650053 646508599 /nfs/dbraw/zinc/50/85/99/646508599.db2.gz XILRNRPTBXTQLV-LLHIFLOGSA-N 0 0 261.325 2.881 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@]3(CO)CCC[C@@H]23)c1 ZINC000884665319 646517716 /nfs/dbraw/zinc/51/77/16/646517716.db2.gz PASJHIXFPQXPEP-HUUCEWRRSA-N 0 0 276.336 2.645 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1COc1ccc(F)nc1 ZINC000135331865 646519043 /nfs/dbraw/zinc/51/90/43/646519043.db2.gz ZLBJAJSBQMDDQV-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN CCc1nn(C)c(NCC[C@@H]2CC(C)(C)CO2)c1[N+](=O)[O-] ZINC000884676010 646523337 /nfs/dbraw/zinc/52/33/37/646523337.db2.gz YQRSEEKTCOVUET-SNVBAGLBSA-N 0 0 296.371 2.508 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@H](c2ncccn2)C1 ZINC000884708485 646535806 /nfs/dbraw/zinc/53/58/06/646535806.db2.gz AWUZMDBCJOXGIO-JTQLQIEISA-N 0 0 290.348 2.830 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@@H](c2ncccn2)C1 ZINC000884708486 646536029 /nfs/dbraw/zinc/53/60/29/646536029.db2.gz AWUZMDBCJOXGIO-SNVBAGLBSA-N 0 0 290.348 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3ncccn3)C2)s1 ZINC000884709319 646536100 /nfs/dbraw/zinc/53/61/00/646536100.db2.gz BYIIZYUZQNMUKR-SNVBAGLBSA-N 0 0 290.348 2.830 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H]([C@H]2CCCO2)C1 ZINC000884731726 646545214 /nfs/dbraw/zinc/54/52/14/646545214.db2.gz DTSVTRAILARXEL-JOYOIKCWSA-N 0 0 297.742 2.649 20 5 CFBDRN COc1cccc(N2CC[C@@H]([C@H]3CCCO3)C2)c1[N+](=O)[O-] ZINC000884732015 646545279 /nfs/dbraw/zinc/54/52/79/646545279.db2.gz JBJSMQOSAVNDDK-DGCLKSJQSA-N 0 0 292.335 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H]([C@H]3CCCO3)C2)c1 ZINC000884732587 646545968 /nfs/dbraw/zinc/54/59/68/646545968.db2.gz QHDBEFKJKQARMS-SWLSCSKDSA-N 0 0 276.336 2.909 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OS(=O)(=O)c2ccccc2)c1 ZINC000135592506 646554563 /nfs/dbraw/zinc/55/45/63/646554563.db2.gz FPWSEYNKOGROTB-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN CN(CCCF)c1ncc([N+](=O)[O-])cc1Br ZINC000884856746 646560309 /nfs/dbraw/zinc/56/03/09/646560309.db2.gz KGQXEXBPFAGEMH-UHFFFAOYSA-N 0 0 292.108 2.548 20 5 CFBDRN CC[C@H]1CCC[C@]1(C)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000885062018 646597224 /nfs/dbraw/zinc/59/72/24/646597224.db2.gz RGDZOXACUJJNBH-FZMZJTMJSA-N 0 0 295.339 2.588 20 5 CFBDRN CC[C@H]1CCC[C@@]1(C)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000885062022 646597927 /nfs/dbraw/zinc/59/79/27/646597927.db2.gz RGDZOXACUJJNBH-SMDDNHRTSA-N 0 0 295.339 2.588 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2COC(C)(C)C2)c1C ZINC000885423952 646684719 /nfs/dbraw/zinc/68/47/19/646684719.db2.gz GUXKRCRRRXTLNL-LLVKDONJSA-N 0 0 293.319 2.936 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)C1(C2CC2)CC1 ZINC000885734860 646742179 /nfs/dbraw/zinc/74/21/79/646742179.db2.gz QBPKDTDTFATNPQ-UHFFFAOYSA-N 0 0 275.304 2.871 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C1(C2CC2)CC1 ZINC000885734716 646742270 /nfs/dbraw/zinc/74/22/70/646742270.db2.gz JRQVVZKICFUWFM-UHFFFAOYSA-N 0 0 279.267 2.967 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCc2ccccc2C1 ZINC000885931256 646772520 /nfs/dbraw/zinc/77/25/20/646772520.db2.gz QVTXYKGUEVLOAI-UHFFFAOYSA-N 0 0 269.304 2.548 20 5 CFBDRN CCN(CCC(F)(F)F)Cc1cccnc1[N+](=O)[O-] ZINC000885979438 646792842 /nfs/dbraw/zinc/79/28/42/646792842.db2.gz CUESYJSHQVRSCO-UHFFFAOYSA-N 0 0 277.246 2.764 20 5 CFBDRN COc1c(C(=O)NC[C@]23C[C@H]2CCC3)cccc1[N+](=O)[O-] ZINC000885995371 646801221 /nfs/dbraw/zinc/80/12/21/646801221.db2.gz NRBGSVISBLFCGU-BMIGLBTASA-N 0 0 290.319 2.523 20 5 CFBDRN Cc1c(CC(=O)NC[C@]23C[C@H]2CCC3)cccc1[N+](=O)[O-] ZINC000885999346 646804510 /nfs/dbraw/zinc/80/45/10/646804510.db2.gz SMBSHVVZLVGTMS-CJNGLKHVSA-N 0 0 288.347 2.752 20 5 CFBDRN CO[C@@]1(C)C[C@@H](N(C)Cc2cccnc2[N+](=O)[O-])C1(C)C ZINC000886027774 646826700 /nfs/dbraw/zinc/82/67/00/646826700.db2.gz ILOQECQYJJLJGR-DOMZBBRYSA-N 0 0 293.367 2.625 20 5 CFBDRN CC(C)(C)OC1CCN(Cc2cccnc2[N+](=O)[O-])CC1 ZINC000886040210 646836320 /nfs/dbraw/zinc/83/63/20/646836320.db2.gz UMCXLDCNWXPXBL-UHFFFAOYSA-N 0 0 293.367 2.769 20 5 CFBDRN C[C@H](c1cccc(O)c1)N(C)Cc1cccnc1[N+](=O)[O-] ZINC000886065836 646851979 /nfs/dbraw/zinc/85/19/79/646851979.db2.gz WJQCZVOJSSJKRB-LLVKDONJSA-N 0 0 287.319 2.888 20 5 CFBDRN C[C@H]1C[C@@H](c2cccnc2)N(Cc2cccnc2[N+](=O)[O-])C1 ZINC000886134476 646888693 /nfs/dbraw/zinc/88/86/93/646888693.db2.gz SSRWLCSNCGWALN-WFASDCNBSA-N 0 0 298.346 2.968 20 5 CFBDRN Cc1[nH]nc(NCc2ccc(C)c([N+](=O)[O-])c2)c1C ZINC000886196279 646915462 /nfs/dbraw/zinc/91/54/62/646915462.db2.gz NFKQGRCWLLXPHK-UHFFFAOYSA-N 0 0 260.297 2.855 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCCc2occc2C1 ZINC000886209193 646922044 /nfs/dbraw/zinc/92/20/44/646922044.db2.gz HBTFYXCAZKERPO-UHFFFAOYSA-N 0 0 273.292 2.531 20 5 CFBDRN C[C@@H]1Cc2ncn(Cc3cccnc3[N+](=O)[O-])c2C[C@@H]1C ZINC000886225997 646929433 /nfs/dbraw/zinc/92/94/33/646929433.db2.gz FVNSYHQQUWZLNY-MNOVXSKESA-N 0 0 286.335 2.605 20 5 CFBDRN C[C@@H]1Cc2ncn(Cc3cccnc3[N+](=O)[O-])c2C[C@H]1C ZINC000886225996 646929609 /nfs/dbraw/zinc/92/96/09/646929609.db2.gz FVNSYHQQUWZLNY-GHMZBOCLSA-N 0 0 286.335 2.605 20 5 CFBDRN C[C@H]1COC2(CCCC2)CN1Cc1cccnc1[N+](=O)[O-] ZINC000886283780 646952577 /nfs/dbraw/zinc/95/25/77/646952577.db2.gz GYZPROHMZCGJLH-LBPRGKRZSA-N 0 0 291.351 2.523 20 5 CFBDRN C[C@]1(C(F)(F)F)CCN(Cc2cccnc2[N+](=O)[O-])C1 ZINC000886314315 646965789 /nfs/dbraw/zinc/96/57/89/646965789.db2.gz SSAYCWYQORJTDK-NSHDSACASA-N 0 0 289.257 2.764 20 5 CFBDRN C[C@@H](N[C@H](CCO)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000886797471 647140926 /nfs/dbraw/zinc/14/09/26/647140926.db2.gz VCZJQRJWOOBJAK-LDYMZIIASA-N 0 0 292.257 2.559 20 5 CFBDRN O=C(NCc1ccc[nH]1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000139417575 647179986 /nfs/dbraw/zinc/17/99/86/647179986.db2.gz DNAZDEJRMLGVSW-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1C[C@@H]2C[C@@H]2C1 ZINC000887183683 647240356 /nfs/dbraw/zinc/24/03/56/647240356.db2.gz GRLQCBDYNYBWEA-OCAPTIKFSA-N 0 0 281.699 2.732 20 5 CFBDRN CC(C)(CNC(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000887381791 647295567 /nfs/dbraw/zinc/29/55/67/647295567.db2.gz GHWWXMMAPUGMTD-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)c1nc(-c2cn(CC(C)(C)[N+](=O)[O-])nn2)cs1 ZINC000887400289 647302896 /nfs/dbraw/zinc/30/28/96/647302896.db2.gz XXBFDEURCPIMSX-UHFFFAOYSA-N 0 0 295.368 2.580 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)c2ccccc2[N+](=O)[O-])ccn1 ZINC000887400964 647303057 /nfs/dbraw/zinc/30/30/57/647303057.db2.gz MZSLOJTYPIAYPR-NSHDSACASA-N 0 0 299.330 2.832 20 5 CFBDRN CC[C@](C)(OC)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887401085 647303271 /nfs/dbraw/zinc/30/32/71/647303271.db2.gz PFFNJBDRTPTYGF-ABAIWWIYSA-N 0 0 294.351 2.630 20 5 CFBDRN CO[C@@H](C)CCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403093 647303657 /nfs/dbraw/zinc/30/36/57/647303657.db2.gz OOCYLEJEMQJIEY-RYUDHWBXSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@H](CNC(=O)/C=C/C1CC1)c1ccccc1[N+](=O)[O-] ZINC000887402713 647303783 /nfs/dbraw/zinc/30/37/83/647303783.db2.gz HXBROHNEARFFLR-ANYFNZRUSA-N 0 0 274.320 2.781 20 5 CFBDRN C/C=C(\C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403350 647304360 /nfs/dbraw/zinc/30/43/60/647304360.db2.gz URKVQSCENLDIHI-INJWIWPUSA-N 0 0 262.309 2.781 20 5 CFBDRN CCO[C@@H](CC)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404926 647304851 /nfs/dbraw/zinc/30/48/51/647304851.db2.gz PATPVTBIWUSPJJ-RISCZKNCSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@H](CNC(=O)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000887404979 647305387 /nfs/dbraw/zinc/30/53/87/647305387.db2.gz QHBLXVKIHQCMPV-SNVBAGLBSA-N 0 0 262.309 2.615 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2nc(C)ccc2[N+](=O)[O-])C1 ZINC000223001734 647339628 /nfs/dbraw/zinc/33/96/28/647339628.db2.gz HMYMXLZVCALKSV-NXEZZACHSA-N 0 0 267.354 2.994 20 5 CFBDRN O=C(Oc1ccc2c(c1)OCO2)c1ccc([N+](=O)[O-])cc1 ZINC000005577251 647351838 /nfs/dbraw/zinc/35/18/38/647351838.db2.gz AQMYGZVPWWRQPT-UHFFFAOYSA-N 0 0 287.227 2.543 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000887732123 647402793 /nfs/dbraw/zinc/40/27/93/647402793.db2.gz HWJRRQVQCPQBLD-GWCFXTLKSA-N 0 0 260.293 2.970 20 5 CFBDRN CN(C)C(=O)CSCc1cc([N+](=O)[O-])ccc1Cl ZINC000302278938 647403386 /nfs/dbraw/zinc/40/33/86/647403386.db2.gz FVFYADIGKLSHPN-UHFFFAOYSA-N 0 0 288.756 2.570 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cscn1 ZINC000302513580 647408740 /nfs/dbraw/zinc/40/87/40/647408740.db2.gz ZJZHSDZVHRWRCL-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN C[C@]12CN(C(=O)c3ccc([N+](=O)[O-])o3)C[C@H]1[C@H]1CC[C@@H]2C1 ZINC000887887434 647432630 /nfs/dbraw/zinc/43/26/30/647432630.db2.gz DSKOIETVZHZEQB-BQVMBELUSA-N 0 0 290.319 2.696 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1C[C@H](C)CO1 ZINC000887937114 647441026 /nfs/dbraw/zinc/44/10/26/647441026.db2.gz LQFZLRRIOZJHCG-UFBFGSQYSA-N 0 0 278.308 2.575 20 5 CFBDRN O=C(Nc1cnn(C2CCC2)c1)c1csc([N+](=O)[O-])c1 ZINC000889063435 647488237 /nfs/dbraw/zinc/48/82/37/647488237.db2.gz VTYVJMWPDFKXNO-UHFFFAOYSA-N 0 0 292.320 2.830 20 5 CFBDRN CCc1cnc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)o1 ZINC000889395997 647559850 /nfs/dbraw/zinc/55/98/50/647559850.db2.gz SNTUXYNVGAOEBP-UHFFFAOYSA-N 0 0 281.293 2.767 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1C1CCCC1 ZINC000889405660 647562923 /nfs/dbraw/zinc/56/29/23/647562923.db2.gz VFCJBZGUSAJVIR-CQSZACIVSA-N 0 0 289.335 2.582 20 5 CFBDRN CCCCC1(NC(=O)Cc2ccccc2[N+](=O)[O-])CC1 ZINC000889534353 647594708 /nfs/dbraw/zinc/59/47/08/647594708.db2.gz YJCGQTNRCIAYPU-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1cccc([N+](=O)[O-])c1 ZINC000889578662 647602554 /nfs/dbraw/zinc/60/25/54/647602554.db2.gz ODRICGUYIHRWKL-OASPWFOLSA-N 0 0 260.293 2.513 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889577720 647602949 /nfs/dbraw/zinc/60/29/49/647602949.db2.gz AHRIRCMXIBYXDH-GTKLPIIYSA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000889602213 647613016 /nfs/dbraw/zinc/61/30/16/647613016.db2.gz CFRTWZIUHPHZSQ-IJLUTSLNSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000889612355 647617594 /nfs/dbraw/zinc/61/75/94/647617594.db2.gz OSPCCOUKMIAYOP-IRUJWGPZSA-N 0 0 292.310 2.581 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)Cc2cccnc2)cc1[N+](=O)[O-] ZINC000889652815 647631407 /nfs/dbraw/zinc/63/14/07/647631407.db2.gz HOYJBHSSXQYPHT-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)Cc2cccnc2)cccc1[N+](=O)[O-] ZINC000889654020 647632137 /nfs/dbraw/zinc/63/21/37/647632137.db2.gz VSJKHDRJMGTICR-NSHDSACASA-N 0 0 299.330 2.659 20 5 CFBDRN C[C@@H]1CSCCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000889908054 647696926 /nfs/dbraw/zinc/69/69/26/647696926.db2.gz CUEONLPFCKHJFM-MRVPVSSYSA-N 0 0 286.378 2.624 20 5 CFBDRN CCCN(C(=O)[C@@H]1C[C@@H](C)CO1)c1cccc([N+](=O)[O-])c1 ZINC000890409302 647794543 /nfs/dbraw/zinc/79/45/43/647794543.db2.gz COVDYJBSSFTKGW-RISCZKNCSA-N 0 0 292.335 2.763 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000890421304 647798856 /nfs/dbraw/zinc/79/88/56/647798856.db2.gz HFQJSBUQJCYPBQ-ZJUUUORDSA-N 0 0 297.282 2.767 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CSC[C@H]2C)c1C ZINC000890516561 647813151 /nfs/dbraw/zinc/81/31/51/647813151.db2.gz IJBADCRDHSZWNB-KOLCDFICSA-N 0 0 294.376 2.693 20 5 CFBDRN O=c1ccn(C[C@H]2CC3CCC2CC3)cc1[N+](=O)[O-] ZINC000829060005 647821677 /nfs/dbraw/zinc/82/16/77/647821677.db2.gz UJXQLRUQDCVUJD-HTAVTVPLSA-N 0 0 262.309 2.583 20 5 CFBDRN COCCCNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000111290008 647824365 /nfs/dbraw/zinc/82/43/65/647824365.db2.gz BLTLHXLSCVCZFS-UHFFFAOYSA-N 0 0 260.240 2.981 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000005626552 647849800 /nfs/dbraw/zinc/84/98/00/647849800.db2.gz DMXNSUKVQQTVIQ-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN CSC1(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)CCCCC1 ZINC000924242231 647947112 /nfs/dbraw/zinc/94/71/12/647947112.db2.gz SCWMOKFKOSBQQD-UHFFFAOYSA-N 0 0 297.380 2.719 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@]12C[C@H]1CCC2 ZINC000924429660 647979447 /nfs/dbraw/zinc/97/94/47/647979447.db2.gz RXHAQUOWGGDVNY-BXUZGUMPSA-N 0 0 261.277 2.828 20 5 CFBDRN C[C@@H](NC(=O)N1CC(C)(C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000925239441 648139413 /nfs/dbraw/zinc/13/94/13/648139413.db2.gz FBKNRIIBZLWHAQ-SNVBAGLBSA-N 0 0 277.324 2.707 20 5 CFBDRN C[C@@H](NC(=O)N[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000925251725 648142898 /nfs/dbraw/zinc/14/28/98/648142898.db2.gz SYYHVOSRGCJMDU-IXPVHAAZSA-N 0 0 289.335 2.898 20 5 CFBDRN CC(C)[S@@](C)(=O)=NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000926073553 648399590 /nfs/dbraw/zinc/39/95/90/648399590.db2.gz NEBFBJFTSQLVKV-AQDCRGGLSA-N 0 0 296.348 2.641 20 5 CFBDRN Cc1nonc1[C@H](C)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000926894028 648648624 /nfs/dbraw/zinc/64/86/24/648648624.db2.gz WLTNAJDXUVWPON-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN O=C(NCC[C@@H]1C[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000927422268 648773924 /nfs/dbraw/zinc/77/39/24/648773924.db2.gz IPDHDGUQSVBHDD-RISCZKNCSA-N 0 0 274.320 2.761 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1csc([N+](=O)[O-])c1 ZINC000927489838 648790045 /nfs/dbraw/zinc/79/00/45/648790045.db2.gz DFESVZPJDJGCLF-IQJOONFLSA-N 0 0 280.349 2.822 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1c(F)cccc1[N+](=O)[O-] ZINC000927490683 648790459 /nfs/dbraw/zinc/79/04/59/648790459.db2.gz AHNOAEANKZJQOE-HBNTYKKESA-N 0 0 292.310 2.900 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000927493543 648791370 /nfs/dbraw/zinc/79/13/70/648791370.db2.gz NPRLHZQURSXSND-KWBADKCTSA-N 0 0 292.310 2.900 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC[C@@H]1CC[C@H]2C[C@H]21 ZINC000927500653 648793847 /nfs/dbraw/zinc/79/38/47/648793847.db2.gz UQKUXDVOAJXAAY-YDHLFZDLSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000928038660 648845977 /nfs/dbraw/zinc/84/59/77/648845977.db2.gz GKBFGAWWMPPCCY-ZIYJGFGOSA-N 0 0 292.310 2.817 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928244939 648886499 /nfs/dbraw/zinc/88/64/99/648886499.db2.gz HLOMJPYXSHAQIB-JTNHKYCSSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H](NC(=O)CC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000928246488 648887368 /nfs/dbraw/zinc/88/73/68/648887368.db2.gz FWNGLHSJVXJIJL-SSDOTTSWSA-N 0 0 276.214 2.724 20 5 CFBDRN CSC1(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000928245666 648887625 /nfs/dbraw/zinc/88/76/25/648887625.db2.gz UIBHVMNQLRCVJE-SECBINFHSA-N 0 0 280.349 2.668 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000928246409 648887642 /nfs/dbraw/zinc/88/76/42/648887642.db2.gz DSELDPJBKDKGAE-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@@H](NC(=O)CC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000928248314 648888379 /nfs/dbraw/zinc/88/83/79/648888379.db2.gz KEGVXBUKTFVXCN-NEPJUHHUSA-N 0 0 292.335 2.589 20 5 CFBDRN CC[C@@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247228 648888722 /nfs/dbraw/zinc/88/87/22/648888722.db2.gz RDUARMAOIJZWPM-NXEZZACHSA-N 0 0 250.298 2.818 20 5 CFBDRN CSCCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246814 648888764 /nfs/dbraw/zinc/88/87/64/648888764.db2.gz KMLAAGNFORTDRL-SECBINFHSA-N 0 0 268.338 2.525 20 5 CFBDRN C[C@@H](NC(=O)/C=C/[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928247335 648888863 /nfs/dbraw/zinc/88/88/63/648888863.db2.gz SHMPXYFWKWWSBE-LXVYHGRKSA-N 0 0 290.319 2.507 20 5 CFBDRN C[C@@H](NC(=O)CCn1cccc1)c1ccc([N+](=O)[O-])cc1 ZINC000928249909 648889652 /nfs/dbraw/zinc/88/96/52/648889652.db2.gz WLOWXEHXCIADRC-GFCCVEGCSA-N 0 0 287.319 2.664 20 5 CFBDRN Cc1noc(C(C)C)c1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000928782260 648952904 /nfs/dbraw/zinc/95/29/04/648952904.db2.gz LOVRLIGLVDMJFI-UHFFFAOYSA-N 0 0 283.328 2.880 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000928936497 648967533 /nfs/dbraw/zinc/96/75/33/648967533.db2.gz FDLJVSZHQMHZQS-BQYQJAHWSA-N 0 0 282.727 2.785 20 5 CFBDRN CCO[C@H]1CCN(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000929118097 648982995 /nfs/dbraw/zinc/98/29/95/648982995.db2.gz FFBQBIJWYJBMFX-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CN(CC[N+](=O)[O-])Cc1c(Cl)cccc1Cl ZINC000929379985 649042486 /nfs/dbraw/zinc/04/24/86/649042486.db2.gz JCZDKRGFCIJFPY-UHFFFAOYSA-N 0 0 263.124 2.702 20 5 CFBDRN O=C(C[C@H]1CC[C@@H](C2CC2)O1)Oc1cccc([N+](=O)[O-])c1 ZINC000929497325 649061304 /nfs/dbraw/zinc/06/13/04/649061304.db2.gz CWPUKSSKZIGBJU-KGLIPLIRSA-N 0 0 291.303 2.848 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@]12CCO[C@H]1CCCC2 ZINC000929498174 649061471 /nfs/dbraw/zinc/06/14/71/649061471.db2.gz PYAOHQKVMNAMFY-DZGCQCFKSA-N 0 0 291.303 2.850 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@]12CCO[C@H]1CCCC2 ZINC000929498179 649061627 /nfs/dbraw/zinc/06/16/27/649061627.db2.gz PYAOHQKVMNAMFY-ZFWWWQNUSA-N 0 0 291.303 2.850 20 5 CFBDRN O=[N+]([O-])CCN1CCc2ccccc2[C@@H]1c1ccccc1 ZINC000929604984 649077197 /nfs/dbraw/zinc/07/71/97/649077197.db2.gz YVJDQNFISFKGRB-KRWDZBQOSA-N 0 0 282.343 2.911 20 5 CFBDRN Cc1ccc([C@@H]2C[C@@H](C)CCN2CC[N+](=O)[O-])o1 ZINC000929760272 649114699 /nfs/dbraw/zinc/11/46/99/649114699.db2.gz QRQIFFZFEHSSAB-JQWIXIFHSA-N 0 0 252.314 2.638 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NC(C)(C)C ZINC000929776662 649118122 /nfs/dbraw/zinc/11/81/22/649118122.db2.gz UPOHLGVEDJIIJF-UHFFFAOYSA-N 0 0 268.338 2.845 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1SC)C1CC1 ZINC000929862741 649141938 /nfs/dbraw/zinc/14/19/38/649141938.db2.gz COXPCFBEOOYSAF-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN Cc1cc(CN[C@H](C)c2ccccc2[N+](=O)[O-])c(C)nn1 ZINC000930234737 649211163 /nfs/dbraw/zinc/21/11/63/649211163.db2.gz GIDRAEKXYBWKIR-GFCCVEGCSA-N 0 0 286.335 2.852 20 5 CFBDRN O=C(NCc1ccoc1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000930655211 649298892 /nfs/dbraw/zinc/29/88/92/649298892.db2.gz UBZJFARTRYQPLY-UONOGXRCSA-N 0 0 286.287 2.608 20 5 CFBDRN O=C(NCc1ccoc1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000930655212 649299418 /nfs/dbraw/zinc/29/94/18/649299418.db2.gz UBZJFARTRYQPLY-ZIAGYGMSSA-N 0 0 286.287 2.608 20 5 CFBDRN CCCN(C)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000931108793 649380751 /nfs/dbraw/zinc/38/07/51/649380751.db2.gz OBEVYWUWHSTGBK-UHFFFAOYSA-N 0 0 268.288 2.535 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC/C=C\Cl ZINC000931262661 649399385 /nfs/dbraw/zinc/39/93/85/649399385.db2.gz ZGOIUGMERLTKCQ-UTCJRWHESA-N 0 0 299.714 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCN2CC[C@H]2C(F)F)cc1 ZINC000931421323 649422952 /nfs/dbraw/zinc/42/29/52/649422952.db2.gz JDLKDSFYJTXWMK-LBPRGKRZSA-N 0 0 286.278 2.703 20 5 CFBDRN CC1(C)CN(Cc2cc([N+](=O)[O-])ccc2Cl)CCO1 ZINC000036232456 649535161 /nfs/dbraw/zinc/53/51/61/649535161.db2.gz XPOMERYDFJULLF-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1noc(CN2CCC[C@@H]2COC(C)(C)C)c1[N+](=O)[O-] ZINC000932168097 649556662 /nfs/dbraw/zinc/55/66/62/649556662.db2.gz SUDFKDQQGMCNMG-LLVKDONJSA-N 0 0 297.355 2.671 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000932635212 649632405 /nfs/dbraw/zinc/63/24/05/649632405.db2.gz ZXYOIZANDUOVPU-MRVWCRGKSA-N 0 0 288.347 2.861 20 5 CFBDRN CCO[C@H]1CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000932970618 649665447 /nfs/dbraw/zinc/66/54/47/649665447.db2.gz CDMKZQXELPDFHA-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN CSC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933175658 649677692 /nfs/dbraw/zinc/67/76/92/649677692.db2.gz AYSQUBDJISSGBR-TVYUQYBPSA-N 0 0 294.376 2.566 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCSC1 ZINC000933686759 649714373 /nfs/dbraw/zinc/71/43/73/649714373.db2.gz QYGMCPGYRSOISI-MRVPVSSYSA-N 0 0 298.389 2.552 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)CC2(C)COC2)cc1[N+](=O)[O-] ZINC000934212852 649762211 /nfs/dbraw/zinc/76/22/11/649762211.db2.gz YLEHYWOCRCBNFY-LLVKDONJSA-N 0 0 292.335 2.507 20 5 CFBDRN Cc1[nH]nc2c1CCCN2Cc1ccc([N+](=O)[O-])cc1F ZINC000934314034 649772438 /nfs/dbraw/zinc/77/24/38/649772438.db2.gz TYFQSOQSLPQOAD-UHFFFAOYSA-N 0 0 290.298 2.718 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NC1CC=CC1 ZINC000934683756 649815218 /nfs/dbraw/zinc/81/52/18/649815218.db2.gz TUYUMFDHGITSFZ-UHFFFAOYSA-N 0 0 278.333 2.765 20 5 CFBDRN COc1cc(N2CCC(F)(F)CC2)ccc1[N+](=O)[O-] ZINC000114011939 651215369 /nfs/dbraw/zinc/21/53/69/651215369.db2.gz RHSPWROUXUUNCA-UHFFFAOYSA-N 0 0 272.251 2.839 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1N ZINC001155078423 782202757 /nfs/dbraw/zinc/20/27/57/782202757.db2.gz RZLWQXLNJVZEHF-NXEZZACHSA-N 0 0 264.329 2.810 20 5 CFBDRN CCCN(CCC)c1nc(C)cc(OC)c1[N+](=O)[O-] ZINC001155179644 782244874 /nfs/dbraw/zinc/24/48/74/782244874.db2.gz RBYIXAFNXJBONB-UHFFFAOYSA-N 0 0 267.329 2.933 20 5 CFBDRN CC(C)(C)C(=N)Nc1ncnc2cc([N+](=O)[O-])ccc21 ZINC001155181239 782244286 /nfs/dbraw/zinc/24/42/86/782244286.db2.gz LXRUANXRLQLDFA-UHFFFAOYSA-N 0 0 273.296 2.973 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C1(C)CC1 ZINC000706563963 782253053 /nfs/dbraw/zinc/25/30/53/782253053.db2.gz AJWMLHXENTWIAK-SECBINFHSA-N 0 0 277.324 2.555 20 5 CFBDRN O=C1CC(CNc2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC001168394411 778784146 /nfs/dbraw/zinc/78/41/46/778784146.db2.gz GGVYHTJGIIVNIT-UHFFFAOYSA-N 0 0 271.276 2.534 20 5 CFBDRN CCOc1nc(N2CC(c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC001167065043 769888373 /nfs/dbraw/zinc/88/83/73/769888373.db2.gz MIGWXQIYZZJSSK-UHFFFAOYSA-N 0 0 299.330 2.992 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)c1nc(Cl)ncc1[N+](=O)[O-] ZINC001167090444 769969822 /nfs/dbraw/zinc/96/98/22/769969822.db2.gz ACAGGGPMBNFFRP-ZETCQYMHSA-N 0 0 270.720 2.521 20 5 CFBDRN CCOc1nc(N(C)C[C@@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC001167091140 769971717 /nfs/dbraw/zinc/97/17/17/769971717.db2.gz GQGJDVPLCCRVRV-JTQLQIEISA-N 0 0 279.340 2.871 20 5 CFBDRN CN(C[C@H]1CC1(C)C)c1cc([N+](=O)[O-])c2cc[nH]c2n1 ZINC001167093148 769979473 /nfs/dbraw/zinc/97/94/73/769979473.db2.gz VBHDHTYOSPWEQP-SECBINFHSA-N 0 0 274.324 2.953 20 5 CFBDRN Cc1cc(C)n2nc(Nc3cccc([N+](=O)[O-])c3)cc2n1 ZINC001204439803 770058561 /nfs/dbraw/zinc/05/85/61/770058561.db2.gz LSJKFFQNKWLKGF-UHFFFAOYSA-N 0 0 283.291 2.998 20 5 CFBDRN CCN(CC(C)(C)O)c1ccc2cccc([N+](=O)[O-])c2n1 ZINC001167160543 770116195 /nfs/dbraw/zinc/11/61/95/770116195.db2.gz XXXVBHJSYDHHOM-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@@H]4OCC[C@H]4C3)c2c1 ZINC001167217894 770152460 /nfs/dbraw/zinc/15/24/60/770152460.db2.gz WIHJSNRYLNGGMV-WFASDCNBSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc2ccc(N3C[C@H]4[C@H](CF)[C@H]4C3)nc2c1 ZINC001167222712 770155920 /nfs/dbraw/zinc/15/59/20/770155920.db2.gz SQWNMYSLYBHEDV-XYYAHUGASA-N 0 0 287.294 2.795 20 5 CFBDRN CN1C[C@H](Nc2ccc([N+](=O)[O-])c3ccccc23)CCC1=O ZINC001167249861 770173826 /nfs/dbraw/zinc/17/38/26/770173826.db2.gz QVMZKSLYQPCZIU-LLVKDONJSA-N 0 0 299.330 2.781 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cncnc2Cl)c1F ZINC001210377814 770272911 /nfs/dbraw/zinc/27/29/11/770272911.db2.gz AHRSNAWUIJSPIB-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-n1ccc(N)c2ccnc1-2 ZINC001211286433 770476323 /nfs/dbraw/zinc/47/63/23/770476323.db2.gz UGQRDYALGLOWSM-UHFFFAOYSA-N 0 0 268.276 2.776 20 5 CFBDRN CC(=O)NCC1(Nc2ccc(F)cc2[N+](=O)[O-])CCCC1 ZINC001104357768 770584127 /nfs/dbraw/zinc/58/41/27/770584127.db2.gz IHMBNECJNOTGFW-UHFFFAOYSA-N 0 0 295.314 2.595 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@H]1CCCOC1 ZINC000723859360 770691937 /nfs/dbraw/zinc/69/19/37/770691937.db2.gz VDIBVYCVNAEXFP-CMPLNLGQSA-N 0 0 265.313 2.607 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H]1CCO[C@H]1C ZINC000588492674 770852859 /nfs/dbraw/zinc/85/28/59/770852859.db2.gz BBENJIXEWVSQBT-GEQRGXFDSA-N 0 0 291.303 2.719 20 5 CFBDRN Cc1ncnc(C)c1Nc1cc(F)cc([N+](=O)[O-])c1 ZINC001216482232 770944569 /nfs/dbraw/zinc/94/45/69/770944569.db2.gz CJYARLIHXXVFHA-UHFFFAOYSA-N 0 0 262.244 2.884 20 5 CFBDRN CC(C)(C)c1ccc(NCC(C)(C)C(N)=O)c([N+](=O)[O-])c1 ZINC001167604720 770973218 /nfs/dbraw/zinc/97/32/18/770973218.db2.gz KVQNPIASADEVSQ-UHFFFAOYSA-N 0 0 293.367 2.816 20 5 CFBDRN CC(C)(CNc1cc2ccccc2c([N+](=O)[O-])c1)C(N)=O ZINC001167605681 770975049 /nfs/dbraw/zinc/97/50/49/770975049.db2.gz QNBFQYMCLQPEHL-UHFFFAOYSA-N 0 0 287.319 2.671 20 5 CFBDRN COc1cc(NCc2ccnc(N)c2)cc([N+](=O)[O-])c1C ZINC001167616313 770991825 /nfs/dbraw/zinc/99/18/25/770991825.db2.gz FYGCKSFTCBWDLB-UHFFFAOYSA-N 0 0 288.307 2.501 20 5 CFBDRN Nc1cc(CNc2ccnc3c2cccc3[N+](=O)[O-])ccn1 ZINC001167617096 770993312 /nfs/dbraw/zinc/99/33/12/770993312.db2.gz VITIKXKBFARDAE-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN Cc1nc(C)c(CN[C@H](C)c2ccccc2[N+](=O)[O-])[nH]1 ZINC000724674305 773239913 /nfs/dbraw/zinc/23/99/13/773239913.db2.gz QFYBKBMOJJUJLR-SECBINFHSA-N 0 0 274.324 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC001224096676 775100776 /nfs/dbraw/zinc/10/07/76/775100776.db2.gz NVMYMKUODZZMEI-IMSIIYSGSA-N 0 0 290.275 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(COC2CCSCC2)c([N+](=O)[O-])c1 ZINC001224094756 775100914 /nfs/dbraw/zinc/10/09/14/775100914.db2.gz DNVMCUDAGTVPSO-UHFFFAOYSA-N 0 0 298.320 2.915 20 5 CFBDRN C[C@@H](OCc1ccc([N+](=O)[O-])nc1)c1ccncc1 ZINC001224109340 775102075 /nfs/dbraw/zinc/10/20/75/775102075.db2.gz RFHOBPOYOIMYHO-SNVBAGLBSA-N 0 0 259.265 2.663 20 5 CFBDRN C[C@@H](Cc1ccco1)OCc1ccc([N+](=O)[O-])nc1 ZINC001224112937 775103238 /nfs/dbraw/zinc/10/32/38/775103238.db2.gz FPNNFUNRTCLBCK-JTQLQIEISA-N 0 0 262.265 2.731 20 5 CFBDRN C[C@H](Cc1ccco1)OCc1ccc([N+](=O)[O-])nc1 ZINC001224112938 775103465 /nfs/dbraw/zinc/10/34/65/775103465.db2.gz FPNNFUNRTCLBCK-SNVBAGLBSA-N 0 0 262.265 2.731 20 5 CFBDRN COC1=CC[C@H](OCc2ccc([N+](=O)[O-])nc2)CC1 ZINC001224113461 775103680 /nfs/dbraw/zinc/10/36/80/775103680.db2.gz JJQLSDRUQSVZDC-LBPRGKRZSA-N 0 0 264.281 2.589 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@@H]3OCC[C@@H]3C2)ccc1Cl ZINC000724799535 775105229 /nfs/dbraw/zinc/10/52/29/775105229.db2.gz CGURDAUWCAGVOA-RISCZKNCSA-N 0 0 296.754 2.859 20 5 CFBDRN Cc1cnc(CO[C@@H](C)c2cnccn2)c(C)c1[N+](=O)[O-] ZINC001224186041 775122522 /nfs/dbraw/zinc/12/25/22/775122522.db2.gz XBYWEEKHVWWAFZ-NSHDSACASA-N 0 0 288.307 2.674 20 5 CFBDRN CCCOC[C@H](C)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224185560 775122621 /nfs/dbraw/zinc/12/26/21/775122621.db2.gz JOMLODNCPUDAEH-NSHDSACASA-N 0 0 282.340 2.938 20 5 CFBDRN COCCCCO[C@@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001224320106 775156117 /nfs/dbraw/zinc/15/61/17/775156117.db2.gz OAORAOXXUPHFAS-JTQLQIEISA-N 0 0 297.307 2.828 20 5 CFBDRN O=C1CCCC[C@H]1OCCc1ccc([N+](=O)[O-])cc1 ZINC001224333088 775160189 /nfs/dbraw/zinc/16/01/89/775160189.db2.gz AYQUQXRSKSJIDX-CQSZACIVSA-N 0 0 263.293 2.666 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224334243 775161185 /nfs/dbraw/zinc/16/11/85/775161185.db2.gz UHHIEFPLEQMTCC-QWHCGFSZSA-N 0 0 297.351 2.594 20 5 CFBDRN COc1ccccc1CCCO[C@@H](C[N+](=O)[O-])C1CC1 ZINC001224411696 775177815 /nfs/dbraw/zinc/17/78/15/775177815.db2.gz FSLFHQKRTSKTBT-HNNXBMFYSA-N 0 0 279.336 2.700 20 5 CFBDRN C[C@H](OCC1(C)COC1)c1cccc([N+](=O)[O-])c1 ZINC001224471988 775197176 /nfs/dbraw/zinc/19/71/76/775197176.db2.gz RLESKYQDVNZNFN-JTQLQIEISA-N 0 0 251.282 2.709 20 5 CFBDRN CC1(C)C[C@@H](CO[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)O1 ZINC001224635264 775233986 /nfs/dbraw/zinc/23/39/86/775233986.db2.gz KVDSBEAWNUZXEN-KBPBESRZSA-N 0 0 277.320 2.646 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](OCC[C@H]1CCOC1)C2 ZINC001224695663 775250532 /nfs/dbraw/zinc/25/05/32/775250532.db2.gz BMNZRPIGNVCMIA-ABAIWWIYSA-N 0 0 277.320 2.505 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)OCCC(C)(C)C ZINC001224725143 775259446 /nfs/dbraw/zinc/25/94/46/775259446.db2.gz PGOQXFGGMFDHFN-SNVBAGLBSA-N 0 0 269.345 2.941 20 5 CFBDRN CCC(=O)[C@@H](CC)OCCOc1ccc([N+](=O)[O-])cc1 ZINC001224778035 775271673 /nfs/dbraw/zinc/27/16/73/775271673.db2.gz IMUQWZYTRHWDPC-CQSZACIVSA-N 0 0 281.308 2.748 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCCc1cccs1)c1cccnc1 ZINC001224784959 775272702 /nfs/dbraw/zinc/27/27/02/775272702.db2.gz AYGCUOLMDCEHCO-CYBMUJFWSA-N 0 0 278.333 2.720 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1-n1ccnc1 ZINC000309104768 775303815 /nfs/dbraw/zinc/30/38/15/775303815.db2.gz LJCGYYUYCXZZFH-UHFFFAOYSA-N 0 0 268.070 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](OCCc1ccncc1)C2 ZINC001224953024 775315212 /nfs/dbraw/zinc/31/52/12/775315212.db2.gz BGBVTHMEBLNFIP-MRXNPFEDSA-N 0 0 284.315 2.716 20 5 CFBDRN COC(=O)C1(CO[C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC001224981706 775325592 /nfs/dbraw/zinc/32/55/92/775325592.db2.gz QXZNXIKVFAQSNC-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN Cc1ccccc1OCCCO[C@H](C[N+](=O)[O-])C1CC1 ZINC001225014658 775336586 /nfs/dbraw/zinc/33/65/86/775336586.db2.gz MYPJQSXXCYXREX-OAHLLOKOSA-N 0 0 279.336 2.836 20 5 CFBDRN CSCCOC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC001225041815 775343017 /nfs/dbraw/zinc/34/30/17/775343017.db2.gz WZGVZDMBKGLAJW-UHFFFAOYSA-N 0 0 296.392 2.943 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1c(F)cccc1F ZINC001225208219 775365918 /nfs/dbraw/zinc/36/59/18/775365918.db2.gz UNSHFOXJJXCSIL-QMMMGPOBSA-N 0 0 297.261 2.845 20 5 CFBDRN CCN(CC)c1cccc(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001225236896 775375428 /nfs/dbraw/zinc/37/54/28/775375428.db2.gz IGCRLEFZGAFVNW-OAHLLOKOSA-N 0 0 278.352 2.967 20 5 CFBDRN CCOc1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc1 ZINC001225255912 775381784 /nfs/dbraw/zinc/38/17/84/775381784.db2.gz NSIPWCIOTDYIOV-HNNXBMFYSA-N 0 0 288.303 2.877 20 5 CFBDRN Cc1ccc(O[C@H]2CCN(c3ccc([N+](=O)[O-])cn3)C2)cc1 ZINC001225262125 775382333 /nfs/dbraw/zinc/38/23/33/775382333.db2.gz ZJEJIKZQDRYJAS-HNNXBMFYSA-N 0 0 299.330 2.956 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2cnccc21)C1CC1 ZINC001225296835 775397407 /nfs/dbraw/zinc/39/74/07/775397407.db2.gz UPIAJDKILYSGKD-AWEZNQCLSA-N 0 0 258.277 2.669 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(-n2cccc2)cc1)C1CC1 ZINC001225356902 775425148 /nfs/dbraw/zinc/42/51/48/775425148.db2.gz RLXDKPKEFZZRHN-OAHLLOKOSA-N 0 0 272.304 2.911 20 5 CFBDRN COC(=O)c1ccc(Cl)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001225382744 775437345 /nfs/dbraw/zinc/43/73/45/775437345.db2.gz RSKNDZKTZJNEIV-GFCCVEGCSA-N 0 0 299.710 2.561 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385518 775437901 /nfs/dbraw/zinc/43/79/01/775437901.db2.gz TYGLIBKTVMQBGJ-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC001225383712 775437940 /nfs/dbraw/zinc/43/79/40/775437940.db2.gz FVKZOTKFOSHKOD-NXEZZACHSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385396 775438703 /nfs/dbraw/zinc/43/87/03/775438703.db2.gz RWBKTFNISAJLST-PELKAZGASA-N 0 0 255.245 2.538 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCO2)ccc1Br ZINC001225503918 775484261 /nfs/dbraw/zinc/48/42/61/775484261.db2.gz HVXFQWXQWXPABO-QMMMGPOBSA-N 0 0 288.097 2.525 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCOC2)ccc1Br ZINC001225503707 775484949 /nfs/dbraw/zinc/48/49/49/775484949.db2.gz DFRYIDAWNVJDEV-MRVPVSSYSA-N 0 0 288.097 2.525 20 5 CFBDRN CC1(C)OC[C@H](CCOc2cc(F)ccc2[N+](=O)[O-])O1 ZINC001225574428 775506369 /nfs/dbraw/zinc/50/63/69/775506369.db2.gz AZIMWWGCUSKJSH-JTQLQIEISA-N 0 0 285.271 2.654 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2cc(F)ccc2[N+](=O)[O-])C1 ZINC001225577063 775507418 /nfs/dbraw/zinc/50/74/18/775507418.db2.gz FDIQPFFYUOGHCU-KOLCDFICSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)CC1CC(Oc2cc(F)ccc2[N+](=O)[O-])C1 ZINC001225574907 775507684 /nfs/dbraw/zinc/50/76/84/775507684.db2.gz IMCJKKCLLUEVIV-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN CCCCOC[C@H](C)Oc1ncccc1[N+](=O)[O-] ZINC001225593393 775515560 /nfs/dbraw/zinc/51/55/60/775515560.db2.gz PSILGRHKEOARMH-JTQLQIEISA-N 0 0 254.286 2.574 20 5 CFBDRN CC(C)C[C@@H](Oc1cccnc1[N+](=O)[O-])C(=O)OC(C)C ZINC001225628357 775530193 /nfs/dbraw/zinc/53/01/93/775530193.db2.gz JGTRCOLFLJRQTF-GFCCVEGCSA-N 0 0 296.323 2.735 20 5 CFBDRN C[C@H](COc1ccccc1)Oc1cccnc1[N+](=O)[O-] ZINC001225628399 775530825 /nfs/dbraw/zinc/53/08/25/775530825.db2.gz LVGDGFUSQRFLHB-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cccc(C)c1[N+](=O)[O-] ZINC001225630927 775532472 /nfs/dbraw/zinc/53/24/72/775532472.db2.gz BLSNFKQMSDOMLJ-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1cccc(O[C@@H]2C=C(C)OC(=O)C2)c1[N+](=O)[O-] ZINC001225631014 775533788 /nfs/dbraw/zinc/53/37/88/775533788.db2.gz CAVXCCHFRHBDLP-SNVBAGLBSA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1cccc(O[C@H]2COc3cnccc32)c1[N+](=O)[O-] ZINC001225635829 775534844 /nfs/dbraw/zinc/53/48/44/775534844.db2.gz QJNQWDNFIFABTP-ZDUSSCGKSA-N 0 0 272.260 2.811 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cccc(C)c1[N+](=O)[O-] ZINC001225636566 775534919 /nfs/dbraw/zinc/53/49/19/775534919.db2.gz YEZHFJCUSSQZMV-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(O[C@@H]2CCCC[C@H]2F)ncc1[N+](=O)[O-] ZINC001225660910 775544989 /nfs/dbraw/zinc/54/49/89/775544989.db2.gz IEAUQFRMXCSJMQ-MWLCHTKSSA-N 0 0 254.261 2.958 20 5 CFBDRN C[C@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])c1cccc(F)c1 ZINC001225661114 775545118 /nfs/dbraw/zinc/54/51/18/775545118.db2.gz JFZATRYDWDLCFB-QMMMGPOBSA-N 0 0 278.239 2.975 20 5 CFBDRN C[C@@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])c1cccc(F)c1 ZINC001225661112 775545405 /nfs/dbraw/zinc/54/54/05/775545405.db2.gz JFZATRYDWDLCFB-MRVPVSSYSA-N 0 0 278.239 2.975 20 5 CFBDRN Cc1cc(OC[C@H]2Cc3ccccc3O2)ncc1[N+](=O)[O-] ZINC001225657936 775545862 /nfs/dbraw/zinc/54/58/62/775545862.db2.gz UXGGGKLUMKOUEZ-GFCCVEGCSA-N 0 0 286.287 2.681 20 5 CFBDRN Cc1cc(O[C@@H](C)C(=O)OCCC(C)C)ncc1[N+](=O)[O-] ZINC001225663725 775546635 /nfs/dbraw/zinc/54/66/35/775546635.db2.gz UABHKRQLLPDNQF-NSHDSACASA-N 0 0 296.323 2.655 20 5 CFBDRN C[C@@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])c1ccccc1F ZINC001225662054 775546723 /nfs/dbraw/zinc/54/67/23/775546723.db2.gz QNNUPQJEZMYHSH-MRVPVSSYSA-N 0 0 278.239 2.975 20 5 CFBDRN CCCCOC[C@@H](C)Oc1cc(C)c([N+](=O)[O-])cn1 ZINC001225661935 775547457 /nfs/dbraw/zinc/54/74/57/775547457.db2.gz MIYWZZLMPZNRKF-LLVKDONJSA-N 0 0 268.313 2.882 20 5 CFBDRN COc1ccc([C@H](C)Oc2[nH]ccc(=O)c2[N+](=O)[O-])cc1 ZINC001225665686 775548400 /nfs/dbraw/zinc/54/84/00/775548400.db2.gz DCASOHQMLHWBSN-VIFPVBQESA-N 0 0 290.275 2.844 20 5 CFBDRN C[C@@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])c1cccnc1Cl ZINC001225667654 775549115 /nfs/dbraw/zinc/54/91/15/775549115.db2.gz VMUSVESLBWBOJP-SSDOTTSWSA-N 0 0 295.682 2.884 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225664731 775549560 /nfs/dbraw/zinc/54/95/60/775549560.db2.gz SCINLRWTJSIHKX-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)C[C@@]1(C)CO ZINC000694706636 775552877 /nfs/dbraw/zinc/55/28/77/775552877.db2.gz HMJRRTUAUUTQKZ-ZANVPECISA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCOC[C@@H]2C)c1 ZINC001225680927 775555215 /nfs/dbraw/zinc/55/52/15/775555215.db2.gz GIAJCEABQXPUSL-CMPLNLGQSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCn3ccnc32)c1 ZINC001225679216 775555971 /nfs/dbraw/zinc/55/59/71/775555971.db2.gz QGVSFOGEGTXMBJ-LLVKDONJSA-N 0 0 259.265 2.624 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1cc(C)ccc1[N+](=O)[O-] ZINC001225682197 775557394 /nfs/dbraw/zinc/55/73/94/775557394.db2.gz ISBRIJQHOLZUCW-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cc(C)ccc2[N+](=O)[O-])C1 ZINC001225682292 775557420 /nfs/dbraw/zinc/55/74/20/775557420.db2.gz JZXGVCBTLPUVOL-PHIMTYICSA-N 0 0 279.292 2.624 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cc(C)ccc2[N+](=O)[O-])C1 ZINC001225682565 775557433 /nfs/dbraw/zinc/55/74/33/775557433.db2.gz KKXFFNLUMQIHKM-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC001225712636 775569751 /nfs/dbraw/zinc/56/97/51/775569751.db2.gz JCAVLTGHYHAKHT-VIFPVBQESA-N 0 0 287.699 2.969 20 5 CFBDRN CC(C)N1CC(Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC001225710196 775570325 /nfs/dbraw/zinc/57/03/25/775570325.db2.gz IPHJGQMVXVBMGO-UHFFFAOYSA-N 0 0 270.716 2.720 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nccc2ccccc21)C1CC1 ZINC001225744209 775579553 /nfs/dbraw/zinc/57/95/53/775579553.db2.gz CSLHACFJBXNVQK-CYBMUJFWSA-N 0 0 258.277 2.669 20 5 CFBDRN COc1ccc(OC[C@@H]2CCC(C)(C)O2)c([N+](=O)[O-])c1 ZINC001225767415 775586858 /nfs/dbraw/zinc/58/68/58/775586858.db2.gz LRUWJPOYOCJMSQ-NSHDSACASA-N 0 0 281.308 2.940 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC001225769296 775587283 /nfs/dbraw/zinc/58/72/83/775587283.db2.gz JBOYJZUEXIZSMA-JTQLQIEISA-N 0 0 269.297 2.797 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2CN3CCC2CC3)c1Cl ZINC001225786203 775593371 /nfs/dbraw/zinc/59/33/71/775593371.db2.gz QEWXHMIYMUJWTF-GFCCVEGCSA-N 0 0 282.727 2.721 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2CCC=CO2)c1F ZINC001225793626 775595075 /nfs/dbraw/zinc/59/50/75/775595075.db2.gz CEJCXYBLKGQWAZ-VIFPVBQESA-N 0 0 253.229 2.805 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1cccc([N+](=O)[O-])c1F ZINC001225796436 775596297 /nfs/dbraw/zinc/59/62/97/775596297.db2.gz LDJIUCYIVAMRSP-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN CCOC(=O)CC1CC(Oc2cccc([N+](=O)[O-])c2F)C1 ZINC001225794287 775596319 /nfs/dbraw/zinc/59/63/19/775596319.db2.gz MHLPCVLMUBJZNY-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2CCn3ccnc32)c1 ZINC001225801557 775597203 /nfs/dbraw/zinc/59/72/03/775597203.db2.gz CJWWPJOEKZRGKT-GFCCVEGCSA-N 0 0 287.275 2.518 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@H](C)c2cncnc2)c1 ZINC001225803850 775598490 /nfs/dbraw/zinc/59/84/90/775598490.db2.gz JJWDGDUHQBSIGW-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CO[C@H](C)CCOc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225803821 775598586 /nfs/dbraw/zinc/59/85/86/775598586.db2.gz IPLLPUZFBCSQIV-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN CC[C@H](COC)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225804400 775599049 /nfs/dbraw/zinc/59/90/49/775599049.db2.gz SEORHEBQOKKZKH-LLVKDONJSA-N 0 0 267.281 2.601 20 5 CFBDRN CC(=O)c1cccc(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001225812400 775601550 /nfs/dbraw/zinc/60/15/50/775601550.db2.gz XUWBAFUCVBOPTJ-OAHLLOKOSA-N 0 0 286.287 2.681 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225906588 775630959 /nfs/dbraw/zinc/63/09/59/775630959.db2.gz CUEGZAZIZZKYFE-GFCCVEGCSA-N 0 0 253.229 2.624 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225907912 775631385 /nfs/dbraw/zinc/63/13/85/775631385.db2.gz UYTXBPCVNZRRTC-KWQFWETISA-N 0 0 255.245 2.538 20 5 CFBDRN CC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC001225918977 775635259 /nfs/dbraw/zinc/63/52/59/775635259.db2.gz NOAVMURCXVAICG-ZETCQYMHSA-N 0 0 277.198 2.970 20 5 CFBDRN O=C1CC(Oc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC001225918694 775635318 /nfs/dbraw/zinc/63/53/18/775635318.db2.gz LDBVDJQHKPDYJE-UHFFFAOYSA-N 0 0 275.182 2.724 20 5 CFBDRN O=C1CCC(Oc2ccc([N+](=O)[O-])cc2F)CC1 ZINC001225948827 775644957 /nfs/dbraw/zinc/64/49/57/775644957.db2.gz VLBJXPGZYJIOMF-UHFFFAOYSA-N 0 0 253.229 2.624 20 5 CFBDRN Cc1cc(O)cc(C)c1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225965203 775650265 /nfs/dbraw/zinc/65/02/65/775650265.db2.gz YRZVAHBZJOLKLT-AWEZNQCLSA-N 0 0 288.303 2.801 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1c(F)cccc1[N+](=O)[O-] ZINC001226007087 775664213 /nfs/dbraw/zinc/66/42/13/775664213.db2.gz KABNPMVHSHVGNS-CABZTGNLSA-N 0 0 297.282 2.845 20 5 CFBDRN CC(C)(C)N1CC(Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC001226007506 775665819 /nfs/dbraw/zinc/66/58/19/775665819.db2.gz OHJFSWHFFDIPKJ-UHFFFAOYSA-N 0 0 268.288 2.595 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1c(F)cccc1[N+](=O)[O-] ZINC001226010905 775667241 /nfs/dbraw/zinc/66/72/41/775667241.db2.gz XGSLBPDKGCVVTJ-KCJUWKMLSA-N 0 0 255.245 2.538 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226011135 775667361 /nfs/dbraw/zinc/66/73/61/775667361.db2.gz ZUGNVZBRLJZUDF-NSHDSACASA-N 0 0 255.245 2.870 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1O[C@H]1CCCC2(C1)OCCO2 ZINC001226010632 775667603 /nfs/dbraw/zinc/66/76/03/775667603.db2.gz VARUYOJYICRILW-JTQLQIEISA-N 0 0 297.282 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ncccc1F)C2 ZINC001226055550 775682796 /nfs/dbraw/zinc/68/27/96/775682796.db2.gz FYTDEIMBWVWNJP-GFCCVEGCSA-N 0 0 274.251 2.675 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ncc(Cl)cc2[N+](=O)[O-])=C1C ZINC001226130333 775710226 /nfs/dbraw/zinc/71/02/26/775710226.db2.gz LJWYHLCQYYBBIZ-VIFPVBQESA-N 0 0 298.682 2.631 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C[C@H]1C ZINC001226167409 775723475 /nfs/dbraw/zinc/72/34/75/775723475.db2.gz IVTVWXBVISTSDN-FOGDFJRCSA-N 0 0 292.335 2.897 20 5 CFBDRN CCCC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168204 775723764 /nfs/dbraw/zinc/72/37/64/775723764.db2.gz PUIJVZNQSIBBSU-SECBINFHSA-N 0 0 266.297 2.651 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)[C@H]1C ZINC001226172509 775724888 /nfs/dbraw/zinc/72/48/88/775724888.db2.gz LEBSLJYHSCRROU-GBIKHYSHSA-N 0 0 292.335 2.897 20 5 CFBDRN CO[C@H](C)CCOc1cc([N+](=O)[O-])c(F)cc1F ZINC001226188817 775730792 /nfs/dbraw/zinc/73/07/92/775730792.db2.gz GKECOLQFQIHPPW-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@@H](CO)CC1 ZINC000294074681 775736618 /nfs/dbraw/zinc/73/66/18/775736618.db2.gz NLNSDKBRUXSLSP-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@H](CO)CC1 ZINC000294074677 775736753 /nfs/dbraw/zinc/73/67/53/775736753.db2.gz NLNSDKBRUXSLSP-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN CN1CC[C@@H](Oc2cc([N+](=O)[O-])c(Cl)cc2Cl)C1 ZINC001226203508 775737076 /nfs/dbraw/zinc/73/70/76/775737076.db2.gz HLTUIQOOXRTFLH-SSDOTTSWSA-N 0 0 291.134 2.985 20 5 CFBDRN O=[N+]([O-])c1cnc(OC[C@H]2CCCCO2)c(Cl)c1 ZINC001226254952 775757636 /nfs/dbraw/zinc/75/76/36/775757636.db2.gz FTPYAEOEXJZBFG-SECBINFHSA-N 0 0 272.688 2.591 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC001226257865 775759696 /nfs/dbraw/zinc/75/96/96/775759696.db2.gz JTKHEJNMPAAQKS-VXNVDRBHSA-N 0 0 272.688 2.590 20 5 CFBDRN CC(=O)c1ccc(O[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001226294819 775771303 /nfs/dbraw/zinc/77/13/03/775771303.db2.gz MQFITJVTIQOJQN-SKDRFNHKSA-N 0 0 279.292 2.744 20 5 CFBDRN CCN1CC(Oc2cc(C(F)(F)F)ccc2[N+](=O)[O-])C1 ZINC001226301340 775772642 /nfs/dbraw/zinc/77/26/42/775772642.db2.gz JVFGNRNJSHZTKF-UHFFFAOYSA-N 0 0 290.241 2.697 20 5 CFBDRN C[C@@H](CON)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] ZINC001226386903 775798825 /nfs/dbraw/zinc/79/88/25/775798825.db2.gz SNGRNDZJWBNHHY-NSHDSACASA-N 0 0 288.303 2.919 20 5 CFBDRN CC(C)[C@@H](C)Oc1ncnc2ccc([N+](=O)[O-])cc21 ZINC001226435539 775821387 /nfs/dbraw/zinc/82/13/87/775821387.db2.gz CVDFKVLKNVSYNH-SECBINFHSA-N 0 0 261.281 2.961 20 5 CFBDRN C[C@@H](Oc1ncnc2ccc([N+](=O)[O-])cc21)C(F)(F)F ZINC001226436758 775822306 /nfs/dbraw/zinc/82/23/06/775822306.db2.gz OMMNWGXNEFJCGO-ZCFIWIBFSA-N 0 0 287.197 2.868 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCOC(C)(C)C2)c1 ZINC001226447908 775825839 /nfs/dbraw/zinc/82/58/39/775825839.db2.gz TYOUIGOBNUARND-NSHDSACASA-N 0 0 281.308 2.940 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccc(Cl)nn1)C2 ZINC001226452897 775829113 /nfs/dbraw/zinc/82/91/13/775829113.db2.gz GXEYFKDDRXHJKF-NSHDSACASA-N 0 0 291.694 2.584 20 5 CFBDRN C[C@@H](CON)Oc1ccc2c(c1)oc(=O)c1ccccc21 ZINC001226515909 775856999 /nfs/dbraw/zinc/85/69/99/775856999.db2.gz WVOUURAEBHXXIU-JTQLQIEISA-N 0 0 285.299 2.604 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226528713 775860730 /nfs/dbraw/zinc/86/07/30/775860730.db2.gz BBHRZXLRFTTZAU-SECBINFHSA-N 0 0 295.291 2.518 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCn2ccnc21 ZINC001226530197 775862349 /nfs/dbraw/zinc/86/23/49/775862349.db2.gz XIDRTYNKUDVQFX-ZDUSSCGKSA-N 0 0 287.275 2.518 20 5 CFBDRN CCC[C@H](CC)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226582638 775880991 /nfs/dbraw/zinc/88/09/91/775880991.db2.gz YNEWDGZOFCHTAZ-VIFPVBQESA-N 0 0 254.286 2.956 20 5 CFBDRN COc1cc(O[C@H]2CC[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC001226582647 775881142 /nfs/dbraw/zinc/88/11/42/775881142.db2.gz YPNJYCWLPRTLPL-BDAKNGLRSA-N 0 0 252.270 2.566 20 5 CFBDRN COc1cc(O[C@@H]2CC[C@H](C)C2)ncc1[N+](=O)[O-] ZINC001226582649 775881346 /nfs/dbraw/zinc/88/13/46/775881346.db2.gz YPNJYCWLPRTLPL-DTWKUNHWSA-N 0 0 252.270 2.566 20 5 CFBDRN COc1cc(O[C@@H]2CCCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC001226580541 775881446 /nfs/dbraw/zinc/88/14/46/775881446.db2.gz BJURWRDEKWCYDJ-GXSJLCMTSA-N 0 0 266.297 2.956 20 5 CFBDRN Cc1ccc([C@H](C)Oc2ccc([N+](=O)[O-])nc2)cn1 ZINC001226710563 775933723 /nfs/dbraw/zinc/93/37/23/775933723.db2.gz SLULZBGUXDFEPY-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])nc1)c1cnc2ccccn21 ZINC001226711447 775934167 /nfs/dbraw/zinc/93/41/67/775934167.db2.gz RVFFZSMGDOULMT-JTQLQIEISA-N 0 0 284.275 2.778 20 5 CFBDRN C[C@@H](CCc1ccccc1)Oc1ncc([N+](=O)[O-])cn1 ZINC001226729149 775939619 /nfs/dbraw/zinc/93/96/19/775939619.db2.gz BDUQEUTUGKFJKI-NSHDSACASA-N 0 0 273.292 2.785 20 5 CFBDRN CC[C@H](C)C[C@H](CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733563 775941216 /nfs/dbraw/zinc/94/12/16/775941216.db2.gz QDHDISFMFDIWPB-ONGXEEELSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1cccc2c1[C@H](Oc1ncc([N+](=O)[O-])cn1)CC2 ZINC001226732575 775941263 /nfs/dbraw/zinc/94/12/63/775941263.db2.gz WZFDFYZZZYNZAD-GFCCVEGCSA-N 0 0 271.276 2.760 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@H](Oc2ncc([N+](=O)[O-])cn2)C1 ZINC001226731581 775941285 /nfs/dbraw/zinc/94/12/85/775941285.db2.gz PJFOARNXOQGNLS-WCABBAIRSA-N 0 0 251.286 2.588 20 5 CFBDRN CC[C@H]1CC[C@H](Oc2ncc([N+](=O)[O-])cn2)CC1 ZINC001226734277 775942914 /nfs/dbraw/zinc/94/29/14/775942914.db2.gz XEPJVLMIUDUXLC-HOMQSWHASA-N 0 0 251.286 2.732 20 5 CFBDRN CC/C=C(\C)[C@H](CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226734330 775943258 /nfs/dbraw/zinc/94/32/58/775943258.db2.gz XWBQIVQKZYSMDU-LAHYYIKRSA-N 0 0 251.286 2.899 20 5 CFBDRN CC1(C)C(=O)CCC[C@@H]1Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226750906 775946633 /nfs/dbraw/zinc/94/66/33/775946633.db2.gz AUMMRTNXSXEJIL-NSHDSACASA-N 0 0 282.271 2.656 20 5 CFBDRN C[C@@H](Oc1ncc(F)cc1[N+](=O)[O-])c1ccncc1F ZINC001226752222 775948690 /nfs/dbraw/zinc/94/86/90/775948690.db2.gz YIKDPILAYBPNLV-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H]1CCc2cccnc21 ZINC001226761482 775951168 /nfs/dbraw/zinc/95/11/68/775951168.db2.gz IHESAOWHLDIJCH-GFCCVEGCSA-N 0 0 271.276 2.760 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226760099 775951314 /nfs/dbraw/zinc/95/13/14/775951314.db2.gz DGTPPACTKKGFAG-SWZMTVOYSA-N 0 0 299.710 2.969 20 5 CFBDRN CCCCOC[C@H](C)Oc1ncc([N+](=O)[O-])cc1C ZINC001226762508 775952393 /nfs/dbraw/zinc/95/23/93/775952393.db2.gz WWACLICZLYGKCD-NSHDSACASA-N 0 0 268.313 2.882 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226762971 775952619 /nfs/dbraw/zinc/95/26/19/775952619.db2.gz ZMWSXWRHUPLQAD-XWEPSHTISA-N 0 0 285.683 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H]1COCc2ccccc21 ZINC001226761501 775952682 /nfs/dbraw/zinc/95/26/82/775952682.db2.gz ITGUFIOVCGBMCI-AWEZNQCLSA-N 0 0 286.287 2.949 20 5 CFBDRN CC1=C(Oc2ccc([N+](=O)[O-])cc2Cl)C(=O)O[C@H]1C ZINC001226762093 775952868 /nfs/dbraw/zinc/95/28/68/775952868.db2.gz NXVDAOKRAZYLCG-ZETCQYMHSA-N 0 0 283.667 2.846 20 5 CFBDRN COC1CCC(Oc2cccc([N+](=O)[O-])c2)CC1 ZINC001226763011 775952926 /nfs/dbraw/zinc/95/29/26/775952926.db2.gz GSSKNHYVFZSMGU-UHFFFAOYSA-N 0 0 251.282 2.931 20 5 CFBDRN COC(=O)C1CC(Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226762970 775953190 /nfs/dbraw/zinc/95/31/90/775953190.db2.gz ZMWSXWRHUPLQAD-UHFFFAOYSA-N 0 0 285.683 2.579 20 5 CFBDRN CN1CCC[C@@H](Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226810355 775972790 /nfs/dbraw/zinc/97/27/90/775972790.db2.gz MZTWRDBIODAMJQ-SNVBAGLBSA-N 0 0 270.716 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@@H]2CCc3nccn3C2)c1 ZINC001226809921 775973039 /nfs/dbraw/zinc/97/30/39/775973039.db2.gz HQFGJGOOEPZISU-SNVBAGLBSA-N 0 0 293.710 2.839 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])c(C)c2)=C1C ZINC001226840529 775987167 /nfs/dbraw/zinc/98/71/67/775987167.db2.gz HBMANCNDMDBFPN-LBPRGKRZSA-N 0 0 277.276 2.891 20 5 CFBDRN Cc1ccc2[nH]c(=O)cc(O[C@@H](C[N+](=O)[O-])C3CC3)c2c1 ZINC001226854027 775992361 /nfs/dbraw/zinc/99/23/61/775992361.db2.gz DLMRMVOANSPWGX-AWEZNQCLSA-N 0 0 288.303 2.683 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC001226908107 776011458 /nfs/dbraw/zinc/01/14/58/776011458.db2.gz DDQJPDGZPDYSAF-ZCFIWIBFSA-N 0 0 291.662 2.718 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CCSCC2)cc1Cl ZINC001226918521 776017327 /nfs/dbraw/zinc/01/73/27/776017327.db2.gz YMSXKEUFGLSDOM-UHFFFAOYSA-N 0 0 274.729 2.918 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc(C)c([N+](=O)[O-])c2)=C1C ZINC001226951358 776031194 /nfs/dbraw/zinc/03/11/94/776031194.db2.gz ZGLDMFHRTOBCCM-GFCCVEGCSA-N 0 0 277.276 2.891 20 5 CFBDRN Cc1ccc(O[C@H]2CCn3ccnc32)cc1[N+](=O)[O-] ZINC001226950955 776031235 /nfs/dbraw/zinc/03/12/35/776031235.db2.gz QZPLWXOMJHTENE-LBPRGKRZSA-N 0 0 259.265 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCOC2)ccc1C(F)(F)F ZINC001226964739 776036945 /nfs/dbraw/zinc/03/69/45/776036945.db2.gz QWQQKPDKBLDYQC-MRVPVSSYSA-N 0 0 277.198 2.781 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ncncc1Cl)C2 ZINC001226982379 776048573 /nfs/dbraw/zinc/04/85/73/776048573.db2.gz FFOTUNAKHUGOMT-NSHDSACASA-N 0 0 291.694 2.584 20 5 CFBDRN CC(=O)N1CC(Oc2nc3ccccc3c3ccccc32)C1 ZINC001226991546 776051729 /nfs/dbraw/zinc/05/17/29/776051729.db2.gz AQULCBPMVLOHJI-UHFFFAOYSA-N 0 0 292.338 2.998 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1cc([N+](=O)[O-])ccc1C ZINC001226992110 776052975 /nfs/dbraw/zinc/05/29/75/776052975.db2.gz IEZKALMXXLBNOH-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@H](C)c1cncnc1 ZINC001226993882 776053247 /nfs/dbraw/zinc/05/32/47/776053247.db2.gz ALPAHLFGCDJPJI-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CC1=C(Oc2cc([N+](=O)[O-])ccc2C)C(=O)O[C@@H]1C ZINC001226994973 776054303 /nfs/dbraw/zinc/05/43/03/776054303.db2.gz JCCWRAIHGAGLMU-SECBINFHSA-N 0 0 263.249 2.501 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2C[C@@H]3CC[C@H]2C3)c1 ZINC001227049135 776072587 /nfs/dbraw/zinc/07/25/87/776072587.db2.gz ODBWHADXNRSKSV-GBIKHYSHSA-N 0 0 291.303 2.949 20 5 CFBDRN Cc1sc2ncnc(O[C@@H](C[N+](=O)[O-])C3CC3)c2c1C ZINC001227083216 776088601 /nfs/dbraw/zinc/08/86/01/776088601.db2.gz UYEYNKQAVUEYBL-JTQLQIEISA-N 0 0 293.348 2.742 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@H](C)C(C)C ZINC001227121390 776106093 /nfs/dbraw/zinc/10/60/93/776106093.db2.gz APCFGONRKRIGDG-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001227122128 776106815 /nfs/dbraw/zinc/10/68/15/776106815.db2.gz LWHSEFDOLOMQCP-ILWJIGKKSA-N 0 0 277.276 2.559 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001227122508 776106890 /nfs/dbraw/zinc/10/68/90/776106890.db2.gz QREPJZZYACOKCW-CWSCBRNRSA-N 0 0 289.287 2.725 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H](C)C(C)C ZINC001227121391 776107053 /nfs/dbraw/zinc/10/70/53/776107053.db2.gz APCFGONRKRIGDG-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H](C)c1cnccn1 ZINC001227187670 776129056 /nfs/dbraw/zinc/12/90/56/776129056.db2.gz PSJULVUBFSRDIG-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H](C)c1cnccn1 ZINC001227187669 776129065 /nfs/dbraw/zinc/12/90/65/776129065.db2.gz PSJULVUBFSRDIG-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccccc1N1CCCC1)C1CC1 ZINC001227199064 776134764 /nfs/dbraw/zinc/13/47/64/776134764.db2.gz LJIYMIGLYIJVIA-OAHLLOKOSA-N 0 0 276.336 2.721 20 5 CFBDRN O=C1OC[C@@H](COc2c3ccccc3ccc2[N+](=O)[O-])O1 ZINC001227214350 776140981 /nfs/dbraw/zinc/14/09/81/776140981.db2.gz KEIAKICGFIMJNG-SNVBAGLBSA-N 0 0 289.243 2.662 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2ccncc2)c([N+](=O)[O-])n1 ZINC001227220039 776142651 /nfs/dbraw/zinc/14/26/51/776142651.db2.gz OZGOXPGTRVWFQV-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ccc(C)nc2[N+](=O)[O-])cn1 ZINC001227223009 776144416 /nfs/dbraw/zinc/14/44/16/776144416.db2.gz ZWMOSVAZHHLJGK-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN CC[C@H](COc1nc2ccc([N+](=O)[O-])cc2o1)OC ZINC001227231144 776148523 /nfs/dbraw/zinc/14/85/23/776148523.db2.gz CAJRPQNUBDNXPU-SECBINFHSA-N 0 0 266.253 2.540 20 5 CFBDRN Cc1cn2c(n1)[C@H](Oc1ncc(C)cc1[N+](=O)[O-])CCC2 ZINC001227236909 776151307 /nfs/dbraw/zinc/15/13/07/776151307.db2.gz MTGCUYMZGXVINF-GFCCVEGCSA-N 0 0 288.307 2.717 20 5 CFBDRN CCOC[C@@H](C)Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227239541 776153058 /nfs/dbraw/zinc/15/30/58/776153058.db2.gz XZXJXIDRVRIDKX-MRVPVSSYSA-N 0 0 266.253 2.540 20 5 CFBDRN O=[N+]([O-])c1nc(Br)ccc1OC1CC=CC1 ZINC001227257450 776159788 /nfs/dbraw/zinc/15/97/88/776159788.db2.gz ZNZYEWKRRUENNZ-UHFFFAOYSA-N 0 0 285.097 2.850 20 5 CFBDRN CCCCC(=O)N(C)CCN(C)c1ccccc1[N+](=O)[O-] ZINC001105329081 776160531 /nfs/dbraw/zinc/16/05/31/776160531.db2.gz OCTUHIVGWKKIKZ-UHFFFAOYSA-N 0 0 293.367 2.680 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])cc3cc[nH]c32)nn1C ZINC001167766103 776170985 /nfs/dbraw/zinc/17/09/85/776170985.db2.gz URAQRCGBIBVUHN-UHFFFAOYSA-N 0 0 285.307 2.730 20 5 CFBDRN COC(=O)c1ccc(O[C@@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC001227295037 776172828 /nfs/dbraw/zinc/17/28/28/776172828.db2.gz IBFYCHKZKJLHLW-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC001227296589 776173865 /nfs/dbraw/zinc/17/38/65/776173865.db2.gz YPPYXSJDBDVMQN-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cc(O)ccc1O)C2 ZINC001227401442 776192965 /nfs/dbraw/zinc/19/29/65/776192965.db2.gz JNEPGIAISXAOKD-ZDUSSCGKSA-N 0 0 287.271 2.552 20 5 CFBDRN CC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc1 ZINC001227465000 776208257 /nfs/dbraw/zinc/20/82/57/776208257.db2.gz QPEHGTXKWBJFTE-HNNXBMFYSA-N 0 0 286.287 2.681 20 5 CFBDRN O=C1CC(Oc2ccc(OC(F)(F)F)cc2[N+](=O)[O-])C1 ZINC001227603586 776239935 /nfs/dbraw/zinc/23/99/35/776239935.db2.gz HRGAGEKMVXNYOO-UHFFFAOYSA-N 0 0 291.181 2.604 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCC1CCCC1)c1cccnc1 ZINC001222709157 776247131 /nfs/dbraw/zinc/24/71/31/776247131.db2.gz UJUDJNQDTVRAPY-CYBMUJFWSA-N 0 0 250.298 2.606 20 5 CFBDRN CCC[C@H](CC)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227693295 776258665 /nfs/dbraw/zinc/25/86/65/776258665.db2.gz RRDQCFXLDCGVAX-QMMMGPOBSA-N 0 0 264.285 2.824 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1OCCOC1CCCC1 ZINC001227701214 776262028 /nfs/dbraw/zinc/26/20/28/776262028.db2.gz LQUSJPJVKJHTPB-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN C=Cc1cnc(=O)[nH]c1O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001227713518 776267295 /nfs/dbraw/zinc/26/72/95/776267295.db2.gz MHVXUBIVEXWLQP-VIFPVBQESA-N 0 0 287.275 2.873 20 5 CFBDRN CCC[C@H](OCc1cccc([N+](=O)[O-])c1)C(=O)OCC ZINC001222768937 776296749 /nfs/dbraw/zinc/29/67/49/776296749.db2.gz NXSOVSPUZKFOIA-ZDUSSCGKSA-N 0 0 281.308 2.843 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nc(Cl)ccc1F)c1cccnc1 ZINC001227883693 776315713 /nfs/dbraw/zinc/31/57/13/776315713.db2.gz RTIBYTMHGDICJV-JTQLQIEISA-N 0 0 297.673 2.666 20 5 CFBDRN Cc1cnc(NCc2ccc3c(c2)CCO3)cc1[N+](=O)[O-] ZINC001167782083 776337784 /nfs/dbraw/zinc/33/77/84/776337784.db2.gz JGQLNRNFGFUYBN-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN O=[N+]([O-])c1cncc(OC[C@@H]2CCc3ccccc3O2)c1 ZINC001227988633 776350578 /nfs/dbraw/zinc/35/05/78/776350578.db2.gz UYRHLZCOHGTHDH-ZDUSSCGKSA-N 0 0 286.287 2.762 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@@H]2CCOc3ccccc32)c1 ZINC001227987534 776350924 /nfs/dbraw/zinc/35/09/24/776350924.db2.gz HPCRQAJKXWFDPE-CQSZACIVSA-N 0 0 272.260 2.892 20 5 CFBDRN CC[C@H](COc1cc([N+](=O)[O-])cc(F)c1F)OC ZINC001228015288 776364723 /nfs/dbraw/zinc/36/47/23/776364723.db2.gz BWPUDZDKHIRWEW-MRVPVSSYSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2nccc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228018191 776365302 /nfs/dbraw/zinc/36/53/02/776365302.db2.gz WVODAEDDUDGQCM-SFYZADRCSA-N 0 0 272.688 2.590 20 5 CFBDRN CC1(C)C[C@H](Oc2ccnc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228057253 776379564 /nfs/dbraw/zinc/37/95/64/776379564.db2.gz MJLNKDBVWHHWRM-MRVPVSSYSA-N 0 0 286.715 2.980 20 5 CFBDRN CC1(C)C[C@@H](Oc2ccnc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228057254 776380670 /nfs/dbraw/zinc/38/06/70/776380670.db2.gz MJLNKDBVWHHWRM-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228133842 776404542 /nfs/dbraw/zinc/40/45/42/776404542.db2.gz BDROEFZIQWTKKZ-MNOVXSKESA-N 0 0 288.303 2.947 20 5 CFBDRN CO[C@@H](C)CCOc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228136826 776405952 /nfs/dbraw/zinc/40/59/52/776405952.db2.gz ZNPOQUDPQNLJSI-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOC[C@@H]2F)c2ncccc12 ZINC001228139775 776406698 /nfs/dbraw/zinc/40/66/98/776406698.db2.gz ZFQLVMVHWCKBSI-CMPLNLGQSA-N 0 0 292.266 2.649 20 5 CFBDRN CCC[C@@H]1CCCC[C@@H]1Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228139340 776406728 /nfs/dbraw/zinc/40/67/28/776406728.db2.gz AJTKKYPXQRBYIY-ZJUUUORDSA-N 0 0 281.312 2.828 20 5 CFBDRN O=c1[nH]cnc(O[C@@H]2CC[C@@H]3CCCC[C@H]3C2)c1[N+](=O)[O-] ZINC001228141853 776407929 /nfs/dbraw/zinc/40/79/29/776407929.db2.gz VXQUKNVOZLSPPP-GARJFASQSA-N 0 0 293.323 2.828 20 5 CFBDRN CC[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C1CCCCC1 ZINC001228141960 776408374 /nfs/dbraw/zinc/40/83/74/776408374.db2.gz XLZMVJQQLZNMLL-JTQLQIEISA-N 0 0 281.312 2.828 20 5 CFBDRN O=c1[nH]cnc(O[C@H]2CCCc3ccccc32)c1[N+](=O)[O-] ZINC001228143608 776408456 /nfs/dbraw/zinc/40/84/56/776408456.db2.gz NSXJGSCUGGJLQT-NSHDSACASA-N 0 0 287.275 2.547 20 5 CFBDRN CC(C)[C@@H]1CC[C@@H](C)C[C@H]1Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228144575 776409492 /nfs/dbraw/zinc/40/94/92/776409492.db2.gz VPJSIJATAWWFJO-OUAUKWLOSA-N 0 0 295.339 2.930 20 5 CFBDRN CC1(C)[C@H]2CC[C@]1(C)[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C2 ZINC001228144936 776409817 /nfs/dbraw/zinc/40/98/17/776409817.db2.gz XPBXHDQXUZRAIT-ATEUNZGCSA-N 0 0 293.323 2.684 20 5 CFBDRN C[C@H](O)C(=O)Oc1cccc2cc3ccccc3nc21 ZINC001228175697 776416109 /nfs/dbraw/zinc/41/61/09/776416109.db2.gz GXORMZZCNBWFRS-JTQLQIEISA-N 0 0 267.284 2.674 20 5 CFBDRN C[C@@H](CON)Oc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC001228205252 776421604 /nfs/dbraw/zinc/42/16/04/776421604.db2.gz PHXAUGXCWOJGRP-YFKPBYRVSA-N 0 0 281.095 2.559 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(O[C@H]2CCc3nccn3C2)c1 ZINC001228258446 776435741 /nfs/dbraw/zinc/43/57/41/776435741.db2.gz YIPMFNBJHRSATH-NSHDSACASA-N 0 0 293.710 2.839 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)cc([N+](=O)[O-])c1)C1CC1 ZINC001228258141 776435986 /nfs/dbraw/zinc/43/59/86/776435986.db2.gz RJYBNRZPQZOJBO-NSHDSACASA-N 0 0 286.671 2.682 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccc(C)c(C)n1 ZINC001228297445 776448803 /nfs/dbraw/zinc/44/88/03/776448803.db2.gz CDPKGBFNFUEDFT-JTQLQIEISA-N 0 0 290.323 2.579 20 5 CFBDRN Cc1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c(F)c1 ZINC001228382789 776476443 /nfs/dbraw/zinc/47/64/43/776476443.db2.gz KMOADZGSYKAJTK-AWEZNQCLSA-N 0 0 276.267 2.926 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CC[C@H](C3CC3)O2)c1[N+](=O)[O-] ZINC000722335135 776482563 /nfs/dbraw/zinc/48/25/63/776482563.db2.gz XTOZNUOLENQGQI-NWDGAFQWSA-N 0 0 277.324 2.668 20 5 CFBDRN CO[C@H](C)COc1c(C(C)=O)cc(C)cc1[N+](=O)[O-] ZINC001228452101 776494901 /nfs/dbraw/zinc/49/49/01/776494901.db2.gz GTFWNESHVBSXSO-SECBINFHSA-N 0 0 267.281 2.520 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1OCCOC(C)C ZINC001228455215 776496220 /nfs/dbraw/zinc/49/62/20/776496220.db2.gz YVDOIFWCJXOUQI-UHFFFAOYSA-N 0 0 281.308 2.910 20 5 CFBDRN CC(C)O[C@]1(CNc2ccc([N+](=O)[O-])cc2)CCOC1 ZINC000722345949 776499877 /nfs/dbraw/zinc/49/98/77/776499877.db2.gz IJSJBHSPAFMTRX-AWEZNQCLSA-N 0 0 280.324 2.591 20 5 CFBDRN COc1ncc(F)c(O[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001228469523 776500126 /nfs/dbraw/zinc/50/01/26/776500126.db2.gz HIQFSGVDBNZJGW-QMMMGPOBSA-N 0 0 293.254 2.673 20 5 CFBDRN O=C1CC[C@@H](COc2cccc3nc4ccccc4nc32)O1 ZINC001228523128 776511965 /nfs/dbraw/zinc/51/19/65/776511965.db2.gz RPGJOPFBNPDOIV-NSHDSACASA-N 0 0 294.310 2.867 20 5 CFBDRN COCC(COC)Oc1cccc2nc3ccccc3nc21 ZINC001228523720 776512052 /nfs/dbraw/zinc/51/20/52/776512052.db2.gz WLNGSOZUOYDBGQ-UHFFFAOYSA-N 0 0 298.342 2.823 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccnc(C(F)F)c1)c1cccnc1 ZINC001228532296 776513794 /nfs/dbraw/zinc/51/37/94/776513794.db2.gz XAXPZQMBMYDVEV-LBPRGKRZSA-N 0 0 295.245 2.811 20 5 CFBDRN Cc1cc(F)ncc1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228590472 776531299 /nfs/dbraw/zinc/53/12/99/776531299.db2.gz YEHFAHFJSOCMQA-CYBMUJFWSA-N 0 0 288.278 2.984 20 5 CFBDRN CC[C@@H](COC)Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228610916 776538616 /nfs/dbraw/zinc/53/86/16/776538616.db2.gz BFMIOKBNFGESQJ-QMMMGPOBSA-N 0 0 261.224 2.677 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228610927 776538907 /nfs/dbraw/zinc/53/89/07/776538907.db2.gz BLVCZVXCXRXLQF-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(F)cc1O[C@H]1COc2cnccc21 ZINC001228611062 776539000 /nfs/dbraw/zinc/53/90/00/776539000.db2.gz FHNBHVLSUQOTDF-LBPRGKRZSA-N 0 0 294.213 2.781 20 5 CFBDRN C[C@H](Oc1cc(F)cc(F)c1[N+](=O)[O-])c1cncnc1 ZINC001228612372 776539192 /nfs/dbraw/zinc/53/91/92/776539192.db2.gz HHHOBPMMIJNTMJ-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228612747 776539905 /nfs/dbraw/zinc/53/99/05/776539905.db2.gz LVQGOUWVSRQOBP-SNVBAGLBSA-N 0 0 271.219 2.764 20 5 CFBDRN C[C@H]1C[C@H](Oc2ncncc2[N+](=O)[O-])CC(C)(C)C1 ZINC001228655943 776555166 /nfs/dbraw/zinc/55/51/66/776555166.db2.gz XSWBTICSWBVHOR-UWVGGRQHSA-N 0 0 265.313 2.978 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ncncc2[N+](=O)[O-])CC(C)(C)C1 ZINC001228655946 776555299 /nfs/dbraw/zinc/55/52/99/776555299.db2.gz XSWBTICSWBVHOR-ZJUUUORDSA-N 0 0 265.313 2.978 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)COC(C)(C)C)c1[N+](=O)[O-] ZINC001228684874 776568175 /nfs/dbraw/zinc/56/81/75/776568175.db2.gz UFJFHHGVGVHQAR-QMMMGPOBSA-N 0 0 284.312 2.586 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC001228687610 776569358 /nfs/dbraw/zinc/56/93/58/776569358.db2.gz ZALGVBOFYHZKMJ-IONNQARKSA-N 0 0 252.270 2.571 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228689299 776569476 /nfs/dbraw/zinc/56/94/76/776569476.db2.gz QYYCYESCZZRDOM-MRVPVSSYSA-N 0 0 284.312 2.586 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC001228687470 776569963 /nfs/dbraw/zinc/56/99/63/776569963.db2.gz XMQKTCYMVWOIAQ-WCBMZHEXSA-N 0 0 266.297 2.961 20 5 CFBDRN c1ccc2nc3cc(OC[C@H]4COCO4)ccc3nc2c1 ZINC001228740808 776592620 /nfs/dbraw/zinc/59/26/20/776592620.db2.gz MAOTVBZJCNPDOV-GFCCVEGCSA-N 0 0 282.299 2.535 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOC[C@@H]2F)cc1Cl ZINC001228759049 776600720 /nfs/dbraw/zinc/60/07/20/776600720.db2.gz RSTKPZJHGJBJRR-GXSJLCMTSA-N 0 0 275.663 2.754 20 5 CFBDRN C[C@@H](Oc1cnccc1[N+](=O)[O-])c1cnc2ccccn21 ZINC001228879659 776643736 /nfs/dbraw/zinc/64/37/36/776643736.db2.gz JDXOWOQLEAGKFT-SNVBAGLBSA-N 0 0 284.275 2.778 20 5 CFBDRN O=[N+]([O-])c1ccncc1OC[C@@H]1CCc2ccccc2O1 ZINC001228879504 776644005 /nfs/dbraw/zinc/64/40/05/776644005.db2.gz HHHWWRYQWZAYNF-LBPRGKRZSA-N 0 0 286.287 2.762 20 5 CFBDRN CC(C)Oc1cc(COc2cnccc2[N+](=O)[O-])ccn1 ZINC001228881511 776645078 /nfs/dbraw/zinc/64/50/78/776645078.db2.gz FRTAPHFDQJHGGT-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN C[C@@H](COc1cnccc1[N+](=O)[O-])Oc1ccccc1 ZINC001228883874 776645207 /nfs/dbraw/zinc/64/52/07/776645207.db2.gz ZMGGLRWEQQFXFH-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN O=C1CCC(Oc2ccc([N+](=O)[O-])c(Cl)n2)CC1 ZINC001228936328 776661706 /nfs/dbraw/zinc/66/17/06/776661706.db2.gz RALSFSNHAZEHNM-UHFFFAOYSA-N 0 0 270.672 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2COc3cnccc32)nc1Cl ZINC001228938010 776662612 /nfs/dbraw/zinc/66/26/12/776662612.db2.gz MABKXKZOBVYENP-JTQLQIEISA-N 0 0 293.666 2.551 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1CCO[C@@H](C)C1 ZINC001228977321 776673747 /nfs/dbraw/zinc/67/37/47/776673747.db2.gz NIKVTZZPRWHPRI-GXSJLCMTSA-N 0 0 279.292 2.744 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1OC[C@@H]1CCCCO1 ZINC001228972263 776674376 /nfs/dbraw/zinc/67/43/76/776674376.db2.gz XTFROPRPOCHKMP-NSHDSACASA-N 0 0 279.292 2.745 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@H](C)c1cnccn1 ZINC001228976659 776674599 /nfs/dbraw/zinc/67/45/99/776674599.db2.gz HAOFXXJOTBARET-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229006455 776687405 /nfs/dbraw/zinc/68/74/05/776687405.db2.gz ZKEXHROHDDOQCV-PWSUYJOCSA-N 0 0 288.303 2.947 20 5 CFBDRN COC(=O)c1c(O[C@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC001229044241 776698440 /nfs/dbraw/zinc/69/84/40/776698440.db2.gz FNPVWTRJYLBKNW-ZCFIWIBFSA-N 0 0 293.197 2.711 20 5 CFBDRN CCC(CC)Oc1cccc([N+](=O)[O-])c1C(=O)OC ZINC001229044071 776698577 /nfs/dbraw/zinc/69/85/77/776698577.db2.gz DGSXVNGJHNXUAC-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1c(O[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC001229046945 776700197 /nfs/dbraw/zinc/70/01/97/776700197.db2.gz VJTJVJNUWUEIIA-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001229058838 776704384 /nfs/dbraw/zinc/70/43/84/776704384.db2.gz GIUOYSWGCOWTGF-DOFRTFSJSA-N 0 0 277.276 2.559 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001229059640 776704418 /nfs/dbraw/zinc/70/44/18/776704418.db2.gz TWJQKTOJUOXBCH-RBZYPMLTSA-N 0 0 289.287 2.725 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1CO[C@@H]1CCCCC1=O ZINC001223537229 776713667 /nfs/dbraw/zinc/71/36/67/776713667.db2.gz DWGHRNNEFKJZTE-CQSZACIVSA-N 0 0 263.293 2.932 20 5 CFBDRN C[C@H](Oc1ccc(/C=C/[N+](=O)[O-])cc1)c1cncnc1 ZINC001229113324 776720845 /nfs/dbraw/zinc/72/08/45/776720845.db2.gz BMSRLVCEVQTMOL-MLRMMBSGSA-N 0 0 271.276 2.864 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229113848 776722428 /nfs/dbraw/zinc/72/24/28/776722428.db2.gz GBZYLSXFPVBGSA-RYYBZQDPSA-N 0 0 295.335 2.753 20 5 CFBDRN Cn1ccc2cc(O[C@@H](C[N+](=O)[O-])c3cccnc3)ccc21 ZINC001229115347 776722497 /nfs/dbraw/zinc/72/24/97/776722497.db2.gz PPHZIXKHBKOGMR-INIZCTEOSA-N 0 0 297.314 2.970 20 5 CFBDRN COC(=O)C1(C)CC(Oc2ccc(/C=C/[N+](=O)[O-])cc2)C1 ZINC001229114463 776722793 /nfs/dbraw/zinc/72/27/93/776722793.db2.gz KDIPOTNABFRGLB-BQYQJAHWSA-N 0 0 291.303 2.655 20 5 CFBDRN CC1=C(Oc2ccc(/C=C/[N+](=O)[O-])cc2)C(=O)O[C@H]1C ZINC001229117040 776724004 /nfs/dbraw/zinc/72/40/04/776724004.db2.gz ZJRBRJFKPMFTSB-JARNTUPDSA-N 0 0 275.260 2.532 20 5 CFBDRN Cn1ccc2cc(O[C@H](C[N+](=O)[O-])c3cccnc3)ccc21 ZINC001229115349 776724015 /nfs/dbraw/zinc/72/40/15/776724015.db2.gz PPHZIXKHBKOGMR-MRXNPFEDSA-N 0 0 297.314 2.970 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ccc(/C=C/[N+](=O)[O-])cc2)CCO1 ZINC001229117217 776724452 /nfs/dbraw/zinc/72/44/52/776724452.db2.gz ZQFGJANGMMSEML-NANSOSEBSA-N 0 0 263.293 2.880 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCCOC1 ZINC001229176964 776743772 /nfs/dbraw/zinc/74/37/72/776743772.db2.gz FCZZVDIBBYLZRB-NSHDSACASA-N 0 0 279.292 2.745 20 5 CFBDRN CCO[C@@H](C)COc1ccc([N+](=O)[O-])cc1C(=O)CC ZINC001229179458 776745020 /nfs/dbraw/zinc/74/50/20/776745020.db2.gz MBQJDDXAEWBSTA-JTQLQIEISA-N 0 0 281.308 2.991 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1CCn2ccnc21 ZINC001229197135 776749198 /nfs/dbraw/zinc/74/91/98/776749198.db2.gz BQCNKHGVBLQJRZ-NSHDSACASA-N 0 0 277.255 2.763 20 5 CFBDRN CO[C@@H](C)CCOc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229199782 776750608 /nfs/dbraw/zinc/75/06/08/776750608.db2.gz ZICFYBOHUXBHTQ-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(F)c(F)cc1F)c1cccnc1 ZINC001229214290 776754162 /nfs/dbraw/zinc/75/41/62/776754162.db2.gz HKDGURAJYRAEKK-ZDUSSCGKSA-N 0 0 298.220 2.896 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(OC[C@@H]2CCCO2)c1 ZINC001229344486 776785631 /nfs/dbraw/zinc/78/56/31/776785631.db2.gz ZQSZNYMQQIURPE-LBPRGKRZSA-N 0 0 274.276 2.701 20 5 CFBDRN CC(C)[C@@H](C)Oc1n[nH]c(=O)c2ccc([N+](=O)[O-])cc21 ZINC001229357745 776789347 /nfs/dbraw/zinc/78/93/47/776789347.db2.gz GNPATFHWWYAFPB-MRVPVSSYSA-N 0 0 277.280 2.667 20 5 CFBDRN CC(C)[C@H](C)Oc1n[nH]c(=O)c2cccc([N+](=O)[O-])c21 ZINC001229367578 776792587 /nfs/dbraw/zinc/79/25/87/776792587.db2.gz PEKQYTQVCPOVRN-QMMMGPOBSA-N 0 0 277.280 2.667 20 5 CFBDRN Cc1nc(O[C@H](C)c2ccccc2F)c([N+](=O)[O-])c(=O)[nH]1 ZINC001229376944 776795909 /nfs/dbraw/zinc/79/59/09/776795909.db2.gz YDNBOYKYFXGGIB-SSDOTTSWSA-N 0 0 293.254 2.678 20 5 CFBDRN CC1(C)C[C@H](Oc2cc([N+](=O)[O-])ccc2O)CCO1 ZINC001229396225 776799010 /nfs/dbraw/zinc/79/90/10/776799010.db2.gz RKSABVNHHLKETA-SNVBAGLBSA-N 0 0 267.281 2.637 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@@H]2CCCC[C@@H]2F)c1 ZINC001229396032 776799181 /nfs/dbraw/zinc/79/91/81/776799181.db2.gz PHMDSNYLKRXGES-GXSJLCMTSA-N 0 0 255.245 2.960 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@@H]2CCCC[C@H]2F)c1 ZINC001229396035 776799272 /nfs/dbraw/zinc/79/92/72/776799272.db2.gz PHMDSNYLKRXGES-MWLCHTKSSA-N 0 0 255.245 2.960 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1O)c1ccncc1 ZINC001229397431 776799801 /nfs/dbraw/zinc/79/98/01/776799801.db2.gz HPXHDGWODXJVPU-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ncnc2cc([N+](=O)[O-])ccc21 ZINC001229401969 776800775 /nfs/dbraw/zinc/80/07/75/776800775.db2.gz HDPFABSBGPZKHO-ZDUSSCGKSA-N 0 0 289.291 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@@H]2CC(F)(F)C2(F)F)c1 ZINC001229399859 776801254 /nfs/dbraw/zinc/80/12/54/776801254.db2.gz NZJQKAXVDKAYSV-MRVPVSSYSA-N 0 0 281.161 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)ncnc2OC1CCCC1 ZINC001229399298 776801299 /nfs/dbraw/zinc/80/12/99/776801299.db2.gz IMLGWTNUNXYUAA-UHFFFAOYSA-N 0 0 259.265 2.859 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(-c2ccccc2)[nH]n1)C1CC1 ZINC001229437615 776809027 /nfs/dbraw/zinc/80/90/27/776809027.db2.gz KXRADHQROXTYPM-ZDUSSCGKSA-N 0 0 273.292 2.511 20 5 CFBDRN CCC[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])[C@H](C)CC ZINC001229451394 776816842 /nfs/dbraw/zinc/81/68/42/776816842.db2.gz FCMUESPAVNFILO-PWSUYJOCSA-N 0 0 268.313 2.822 20 5 CFBDRN CC[C@H]1CCCC[C@H]1Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452286 776817790 /nfs/dbraw/zinc/81/77/90/776817790.db2.gz ORKFIHSAFGUNTC-CMPLNLGQSA-N 0 0 266.297 2.576 20 5 CFBDRN Cc1cc(OC2CN(C(C)C)C2)cc(C)c1[N+](=O)[O-] ZINC001229466020 776819907 /nfs/dbraw/zinc/81/99/07/776819907.db2.gz HWPXFZSUCAYBER-UHFFFAOYSA-N 0 0 264.325 2.683 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1)OC ZINC001229470546 776821894 /nfs/dbraw/zinc/82/18/94/776821894.db2.gz QRWJMVAMXGXXJN-LLVKDONJSA-N 0 0 283.324 2.988 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2nc3cc([N+](=O)[O-])ccc3o2)CCO1 ZINC001229491328 776828670 /nfs/dbraw/zinc/82/86/70/776828670.db2.gz PVCGBQUVEQUYSC-SCZZXKLOSA-N 0 0 278.264 2.682 20 5 CFBDRN Cc1nc(O[C@H](C)c2ccccc2[N+](=O)[O-])c(C)c(=O)[nH]1 ZINC001229684784 776872214 /nfs/dbraw/zinc/87/22/14/776872214.db2.gz GPKKLMLFHWRIQV-SECBINFHSA-N 0 0 289.291 2.847 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])c(C)cc1O ZINC001229750163 776886388 /nfs/dbraw/zinc/88/63/88/776886388.db2.gz KUKKBMSSWCXUNY-SNVBAGLBSA-N 0 0 297.307 2.719 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1cc([N+](=O)[O-])c(C)cc1O ZINC001229753038 776887647 /nfs/dbraw/zinc/88/76/47/776887647.db2.gz GAEZHOSJWWRKKM-STQMWFEESA-N 0 0 281.308 2.945 20 5 CFBDRN Cc1cc([O-])c(O[C@@H]2CCC[N@@H+](C(C)C)C2)cc1[N+](=O)[O-] ZINC001229755688 776888564 /nfs/dbraw/zinc/88/85/64/776888564.db2.gz ZMLBQNSNADDBOF-GFCCVEGCSA-N 0 0 294.351 2.860 20 5 CFBDRN CC[C@@H](C)Oc1c(C(=O)OC)ccc(C)c1[N+](=O)[O-] ZINC001229901211 776914100 /nfs/dbraw/zinc/91/41/00/776914100.db2.gz YDXMTBFDLZSKRU-SECBINFHSA-N 0 0 267.281 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCCCC2=O)cc1F ZINC001229895735 776914300 /nfs/dbraw/zinc/91/43/00/776914300.db2.gz FLIAYXXTFSWURM-GFCCVEGCSA-N 0 0 267.256 2.933 20 5 CFBDRN Cc1c([C@H](C)Oc2ccc([N+](=O)[O-])nc2Cl)cnn1C ZINC001229916345 776916852 /nfs/dbraw/zinc/91/68/52/776916852.db2.gz WNROKLYUOKPAOD-QMMMGPOBSA-N 0 0 296.714 2.825 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ccc([N+](=O)[O-])nc2Cl)O1 ZINC001229914778 776917008 /nfs/dbraw/zinc/91/70/08/776917008.db2.gz GFPMWTJRXLXGGR-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCC=CO2)c(Cl)n1 ZINC001229915250 776917505 /nfs/dbraw/zinc/91/75/05/776917505.db2.gz MIYVNAYKADICIO-MRVPVSSYSA-N 0 0 270.672 2.715 20 5 CFBDRN CC1(C)COC(=O)[C@H]1Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001229962310 776925648 /nfs/dbraw/zinc/92/56/48/776925648.db2.gz UWUUOJGCRGDWPU-SNVBAGLBSA-N 0 0 285.683 2.579 20 5 CFBDRN CC1=C(Oc2ccc(Cl)c([N+](=O)[O-])c2)C(=O)O[C@@H]1C ZINC001229963184 776926209 /nfs/dbraw/zinc/92/62/09/776926209.db2.gz GJEVQKZQWIBBHD-SSDOTTSWSA-N 0 0 283.667 2.846 20 5 CFBDRN C[C@H](Oc1nc[n-]c(=O)c1Cl)c1cccc([N+](=O)[O-])c1 ZINC001230019153 776941521 /nfs/dbraw/zinc/94/15/21/776941521.db2.gz YIEFODWMAYKTDI-ZETCQYMHSA-N 0 0 295.682 2.884 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCC2CC2)c1 ZINC000126255764 776942299 /nfs/dbraw/zinc/94/22/99/776942299.db2.gz PNWDLHHLLYGJLW-UHFFFAOYSA-N 0 0 267.306 2.884 20 5 CFBDRN O=C1OCC[C@H]1Oc1ccc([N+](=O)[O-])c(Cl)c1Cl ZINC001230040469 776948602 /nfs/dbraw/zinc/94/86/02/776948602.db2.gz MLIRYVGMRHIYFB-SSDOTTSWSA-N 0 0 292.074 2.596 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc(C)cc2[N+](=O)[O-])=C1C ZINC001230143925 776955657 /nfs/dbraw/zinc/95/56/57/776955657.db2.gz QYZORHGNSNLHJR-LLVKDONJSA-N 0 0 277.276 2.891 20 5 CFBDRN Cc1ccc(O[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001230145351 776955774 /nfs/dbraw/zinc/95/57/74/776955774.db2.gz FHDIWSJOAMAYIL-CMPLNLGQSA-N 0 0 251.282 2.707 20 5 CFBDRN CC[C@H](C)[C@H](C)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230230297 776961381 /nfs/dbraw/zinc/96/13/81/776961381.db2.gz NHXGFKFLISTWHJ-YUMQZZPRSA-N 0 0 264.285 2.680 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1OC1CC(F)(F)C1 ZINC001230245751 776965546 /nfs/dbraw/zinc/96/55/46/776965546.db2.gz CWMHAFNKPJMSRM-UHFFFAOYSA-N 0 0 286.234 2.730 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001230314341 776977050 /nfs/dbraw/zinc/97/70/50/776977050.db2.gz DQOIBAXGIRCYEO-AYMMMOKOSA-N 0 0 264.237 2.680 20 5 CFBDRN O=C1CCC(Oc2ccc(F)c([N+](=O)[O-])c2)CC1 ZINC001225383170 776980875 /nfs/dbraw/zinc/98/08/75/776980875.db2.gz VSQJJZDSAWTVST-UHFFFAOYSA-N 0 0 253.229 2.624 20 5 CFBDRN Cc1cc(O[C@H]2C(=O)OCC2(C)C)cc([N+](=O)[O-])c1C ZINC001230471503 776996044 /nfs/dbraw/zinc/99/60/44/776996044.db2.gz IKTXGAWYOOCROA-LBPRGKRZSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1cc(OC[C@H]2CCCO2)cc([N+](=O)[O-])c1C ZINC001230471754 776996557 /nfs/dbraw/zinc/99/65/57/776996557.db2.gz PTYXOBRGFKDLNX-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN Cc1ccc(O[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230491494 776998560 /nfs/dbraw/zinc/99/85/60/776998560.db2.gz UBMGPHVPOIIBOZ-NXEZZACHSA-N 0 0 296.279 2.615 20 5 CFBDRN Cc1ccc(O[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492597 776999439 /nfs/dbraw/zinc/99/94/39/776999439.db2.gz RQGAMTAXLLXMKH-UWVGGRQHSA-N 0 0 296.279 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CCC(=O)CC2)cc1[N+](=O)[O-] ZINC001230491652 776999587 /nfs/dbraw/zinc/99/95/87/776999587.db2.gz YNTCHLVEZUGNIR-UHFFFAOYSA-N 0 0 294.263 2.702 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC001230494761 776999964 /nfs/dbraw/zinc/99/99/64/776999964.db2.gz WEUYVZWSJMQYSZ-ZJUUUORDSA-N 0 0 296.279 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC001230491587 777000015 /nfs/dbraw/zinc/00/00/15/777000015.db2.gz XBXMKSMGWCNTBM-QMMMGPOBSA-N 0 0 266.253 2.989 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001230601545 777022656 /nfs/dbraw/zinc/02/26/56/777022656.db2.gz OAXHXDFGQOKQLE-IGJMFERPSA-N 0 0 271.276 2.815 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)nc1[N+](=O)[O-])C(F)(F)F ZINC001230872985 777078273 /nfs/dbraw/zinc/07/82/73/777078273.db2.gz ULMKRZBXCSYSSA-SCSAIBSYSA-N 0 0 270.594 2.973 20 5 CFBDRN CC1(C)CC[C@@H](COc2ccc(Cl)nc2[N+](=O)[O-])O1 ZINC001230871612 777078504 /nfs/dbraw/zinc/07/85/04/777078504.db2.gz DUOVYYRWFAJVGS-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@H](Oc2ccc(Cl)nc2[N+](=O)[O-])C1 ZINC001230878537 777079406 /nfs/dbraw/zinc/07/94/06/777079406.db2.gz FXQBAMMKXCYKNO-JTQLQIEISA-N 0 0 299.758 2.895 20 5 CFBDRN CC1(C)C[C@@H](Oc2ccc(Cl)nc2[N+](=O)[O-])CCO1 ZINC001230879284 777079827 /nfs/dbraw/zinc/07/98/27/777079827.db2.gz NHNJEWQSOAQAGS-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ccc(Cl)nc1[N+](=O)[O-] ZINC001230883011 777081370 /nfs/dbraw/zinc/08/13/70/777081370.db2.gz IDZSMMLIJYCJOG-IUCAKERBSA-N 0 0 286.715 2.980 20 5 CFBDRN CCOC(=O)c1ccc(O[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC001230913078 777091594 /nfs/dbraw/zinc/09/15/94/777091594.db2.gz QQDUYWGCTSBBIL-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cccc(Cl)c2[N+](=O)[O-])C1 ZINC001230916013 777092507 /nfs/dbraw/zinc/09/25/07/777092507.db2.gz VJZNOBIMBWJLDZ-BDAKNGLRSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@H](O)CCCC(=O)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230915693 777093001 /nfs/dbraw/zinc/09/30/01/777093001.db2.gz TWEIGMHHDPOHKQ-QMMMGPOBSA-N 0 0 287.699 2.705 20 5 CFBDRN C[C@@H](Cc1ccccc1)Oc1cccnc1[N+](=O)[O-] ZINC001225629243 777098317 /nfs/dbraw/zinc/09/83/17/777098317.db2.gz HVMPMSDSQVXPHT-NSHDSACASA-N 0 0 258.277 3.000 20 5 CFBDRN Cc1cnc(F)c([C@H](C)Oc2ccc([N+](=O)[O-])cn2)c1 ZINC001225634666 777107297 /nfs/dbraw/zinc/10/72/97/777107297.db2.gz LHJFGTUGYPFPCB-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1nc(Cl)nc(O[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000721574114 777110513 /nfs/dbraw/zinc/11/05/13/777110513.db2.gz GUCCOESVITXWOX-SVRRBLITSA-N 0 0 271.704 2.914 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@@H]1CCc2nccn2C1 ZINC001231058844 777128586 /nfs/dbraw/zinc/12/85/86/777128586.db2.gz DDYRWPRABNACPN-GFCCVEGCSA-N 0 0 287.319 2.802 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1c(C)ccc(C)c1[N+](=O)[O-] ZINC001231061011 777128771 /nfs/dbraw/zinc/12/87/71/777128771.db2.gz QLDTUULYPNFTLB-SNVBAGLBSA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@@H]1C(=O)OCC1(C)C ZINC001231060975 777128802 /nfs/dbraw/zinc/12/88/02/777128802.db2.gz QEKPBRZQPWWLMR-GFCCVEGCSA-N 0 0 279.292 2.542 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(-c2ncc[nH]2)cc1)C1CC1 ZINC001231080584 777135582 /nfs/dbraw/zinc/13/55/82/777135582.db2.gz FOCNMEQHXIUVRR-CYBMUJFWSA-N 0 0 273.292 2.511 20 5 CFBDRN Cc1cc(O[C@@H](C)c2nccs2)ncc1[N+](=O)[O-] ZINC001225659359 777150233 /nfs/dbraw/zinc/15/02/33/777150233.db2.gz QINZVBVDMXUXIP-QMMMGPOBSA-N 0 0 265.294 2.895 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1cc(C)c([N+](=O)[O-])cn1 ZINC001225658253 777151510 /nfs/dbraw/zinc/15/15/10/777151510.db2.gz CARRZWVSJFEVEX-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(O[C@H]3CCCOC3)cnc21 ZINC001231157356 777159550 /nfs/dbraw/zinc/15/95/50/777159550.db2.gz CKEQKJSHJGQWFB-NSHDSACASA-N 0 0 274.276 2.701 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(OC3CC4(COC4)C3)cnc21 ZINC001231155798 777159625 /nfs/dbraw/zinc/15/96/25/777159625.db2.gz DMBQEGFAQKZWEB-UHFFFAOYSA-N 0 0 286.287 2.701 20 5 CFBDRN Cc1cccc2nc(O[C@@H](C[N+](=O)[O-])c3cccnc3)oc21 ZINC001231161210 777160738 /nfs/dbraw/zinc/16/07/38/777160738.db2.gz GSFNDVGAPVPFTR-ZDUSSCGKSA-N 0 0 299.286 2.928 20 5 CFBDRN O=C1OCC[C@@H]1Oc1ccc(-c2ccccc2[N+](=O)[O-])cc1 ZINC001231252904 777176739 /nfs/dbraw/zinc/17/67/39/777176739.db2.gz IXBFWWWDGOIWEX-HNNXBMFYSA-N 0 0 299.282 2.956 20 5 CFBDRN CN1CC(Oc2ccc(-c3ccccc3[N+](=O)[O-])cc2)C1 ZINC001231252923 777177339 /nfs/dbraw/zinc/17/73/39/777177339.db2.gz RJWFSKUYDRSCIP-UHFFFAOYSA-N 0 0 284.315 2.955 20 5 CFBDRN O=Nc1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc1 ZINC001231289272 777186393 /nfs/dbraw/zinc/18/63/93/777186393.db2.gz NMJWRZVCKYAJHP-ZDUSSCGKSA-N 0 0 273.248 2.876 20 5 CFBDRN CCCOC(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-] ZINC000126467251 777280191 /nfs/dbraw/zinc/28/01/91/777280191.db2.gz SDVVNETUOFWDIW-UHFFFAOYSA-N 0 0 283.280 2.569 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225915423 777335874 /nfs/dbraw/zinc/33/58/74/777335874.db2.gz IVOUDJSXBUVDHJ-UFBFGSQYSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@H](NC(=O)C(C)C)C2)c1 ZINC001059153258 777388603 /nfs/dbraw/zinc/38/86/03/777388603.db2.gz KAQGIJMFKAOAQF-HAQNSBGRSA-N 0 0 291.351 2.618 20 5 CFBDRN O=[N+]([O-])c1ccccc1CO[C@@H]1CCCC2(C1)OCCO2 ZINC000712828058 777390097 /nfs/dbraw/zinc/39/00/97/777390097.db2.gz LQBHJFVBOSKXLI-CYBMUJFWSA-N 0 0 293.319 2.797 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC001226286984 777494895 /nfs/dbraw/zinc/49/48/95/777494895.db2.gz AGERFHYLAJFDGJ-LBPRGKRZSA-N 0 0 277.276 2.869 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nc2cc(Cl)ccc2o1)C1CC1 ZINC001226462166 777548052 /nfs/dbraw/zinc/54/80/52/777548052.db2.gz DNFSHRPPGQZZPN-NSHDSACASA-N 0 0 282.683 2.915 20 5 CFBDRN C[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@@H](C)C1=O ZINC000696543098 777552091 /nfs/dbraw/zinc/55/20/91/777552091.db2.gz OAJLONSROKAWBL-NXEZZACHSA-N 0 0 296.754 2.905 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001226530722 777569773 /nfs/dbraw/zinc/56/97/73/777569773.db2.gz GAFYEZAHRWNZAC-PEGIJTEDSA-N 0 0 261.277 2.975 20 5 CFBDRN CC[C@H](C)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC001168004535 777572386 /nfs/dbraw/zinc/57/23/86/777572386.db2.gz XUGVQDQINXBWQF-QMMMGPOBSA-N 0 0 252.270 2.592 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC001226732564 777626353 /nfs/dbraw/zinc/62/63/53/777626353.db2.gz WUZOURQUNLDEMC-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@H]2CCn3ccnc32)c1 ZINC001226805830 777655567 /nfs/dbraw/zinc/65/55/67/777655567.db2.gz DNUNKQAVXSCHAY-JTQLQIEISA-N 0 0 279.683 2.969 20 5 CFBDRN CCOCCOC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC001204994829 777689395 /nfs/dbraw/zinc/68/93/95/777689395.db2.gz XRJQRYILMNLZDY-UHFFFAOYSA-N 0 0 294.351 2.617 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1OC[C@H]1CCO1 ZINC001226908692 777695646 /nfs/dbraw/zinc/69/56/46/777695646.db2.gz MEAJMIMBUYXNRG-ZCFIWIBFSA-N 0 0 261.636 2.555 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H]1CCOC[C@@H]1C ZINC001226970617 777713807 /nfs/dbraw/zinc/71/38/07/777713807.db2.gz ASMHZZLNOUVJLB-CMPLNLGQSA-N 0 0 251.282 2.707 20 5 CFBDRN C[C@@H](O)CC(=O)Oc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC001227128842 777768030 /nfs/dbraw/zinc/76/80/30/777768030.db2.gz GTRXTRAVQQBADF-RXMQYKEDSA-N 0 0 294.090 2.578 20 5 CFBDRN Cc1ccc(O[C@@H](C)C(=O)OCCC(C)C)c([N+](=O)[O-])n1 ZINC001227221901 777791613 /nfs/dbraw/zinc/79/16/13/777791613.db2.gz HAQJIERQMYIWNX-NSHDSACASA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1ccc(O[C@@H]2CCCC[C@@H]2F)c([N+](=O)[O-])n1 ZINC001227223713 777792349 /nfs/dbraw/zinc/79/23/49/777792349.db2.gz ONUHIURGMUBHOP-VHSXEESVSA-N 0 0 254.261 2.958 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233498229 777969939 /nfs/dbraw/zinc/96/99/39/777969939.db2.gz JWYYQOZXRAWEPS-JTQLQIEISA-N 0 0 295.291 2.518 20 5 CFBDRN CC(=O)c1cc(O[C@H]2CCCCC2=O)ccc1[N+](=O)[O-] ZINC001233498641 777970911 /nfs/dbraw/zinc/97/09/11/777970911.db2.gz LGDLLVXLUBMXAI-AWEZNQCLSA-N 0 0 277.276 2.688 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)c1cccnc1 ZINC001233551277 777987516 /nfs/dbraw/zinc/98/75/16/777987516.db2.gz OOJCSZFTWOOBGO-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)C(F)(F)F ZINC001233552259 777987795 /nfs/dbraw/zinc/98/77/95/777987795.db2.gz UYBMTSGKRNDCKS-LURJTMIESA-N 0 0 250.176 2.628 20 5 CFBDRN Cc1cn2c(n1)[C@H](Oc1c(C)ccnc1[N+](=O)[O-])CCC2 ZINC001233557464 777990603 /nfs/dbraw/zinc/99/06/03/777990603.db2.gz UZKGWJAUYYJLOA-LLVKDONJSA-N 0 0 288.307 2.717 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC001228001470 777991141 /nfs/dbraw/zinc/99/11/41/777991141.db2.gz YXKQOQFLUBNYJJ-SNVBAGLBSA-N 0 0 286.671 2.682 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2C=CCCC2)c1[N+](=O)[O-] ZINC001233576655 777996639 /nfs/dbraw/zinc/99/66/39/777996639.db2.gz YGBAOKALIYBJCA-SECBINFHSA-N 0 0 264.237 2.991 20 5 CFBDRN CCc1ccc(O)c(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001233580162 777997989 /nfs/dbraw/zinc/99/79/89/777997989.db2.gz JEDOUSHNNLCNQH-OAHLLOKOSA-N 0 0 288.303 2.746 20 5 CFBDRN CC(C)OCCOc1cc(F)c([N+](=O)[O-])cc1F ZINC001233597419 778005474 /nfs/dbraw/zinc/00/54/74/778005474.db2.gz LWKYBTPQHBAJLW-UHFFFAOYSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(OC(F)F)cc1)C1CC1 ZINC001233643751 778022208 /nfs/dbraw/zinc/02/22/08/778022208.db2.gz NKEHOCWNSMVJBH-LLVKDONJSA-N 0 0 273.235 2.722 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1nc2ccc([N+](=O)[O-])cc2s1 ZINC001228209481 778024001 /nfs/dbraw/zinc/02/40/01/778024001.db2.gz SBQCIESNOBVMFR-ZETCQYMHSA-N 0 0 296.304 2.535 20 5 CFBDRN COC(C[C@@H](C)Oc1c(F)cc(F)cc1[N+](=O)[O-])OC ZINC001233697798 778045156 /nfs/dbraw/zinc/04/51/56/778045156.db2.gz DBJSQXCSDQSTNQ-SSDOTTSWSA-N 0 0 291.250 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCSCC2)cc1[N+](=O)[O-] ZINC001233703624 778048799 /nfs/dbraw/zinc/04/87/99/778048799.db2.gz YHXYCZXQIJDUDU-UHFFFAOYSA-N 0 0 284.293 2.777 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc(Cl)cnc2[N+](=O)[O-])=C1C ZINC001233716944 778051787 /nfs/dbraw/zinc/05/17/87/778051787.db2.gz SAUGDNWYFGQNJY-QMMMGPOBSA-N 0 0 298.682 2.631 20 5 CFBDRN C[C@@H](Oc1cc(Cl)cnc1[N+](=O)[O-])c1cnccn1 ZINC001233715013 778052158 /nfs/dbraw/zinc/05/21/58/778052158.db2.gz FWIJYWHOLAQTHK-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc2n[nH]cc21 ZINC001233743424 778061582 /nfs/dbraw/zinc/06/15/82/778061582.db2.gz JOZWPAQYAZYZSY-OAHLLOKOSA-N 0 0 298.302 2.663 20 5 CFBDRN CC[C@@H](COC)Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233764581 778069160 /nfs/dbraw/zinc/06/91/60/778069160.db2.gz HUKGYMLUJSCNIZ-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233778335 778074119 /nfs/dbraw/zinc/07/41/19/778074119.db2.gz IVTDFZXASCCJET-MNOVXSKESA-N 0 0 288.303 2.947 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233786600 778077031 /nfs/dbraw/zinc/07/70/31/778077031.db2.gz QAKRKCHSRFOMMZ-YGRLFVJLSA-N 0 0 288.303 2.947 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(O[C@H]3CCOC[C@H]3F)nc21 ZINC001233840156 778092602 /nfs/dbraw/zinc/09/26/02/778092602.db2.gz BWWITSUGIZRIIK-PWSUYJOCSA-N 0 0 292.266 2.649 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858645 778096832 /nfs/dbraw/zinc/09/68/32/778096832.db2.gz YBVFFNXYOBUFMV-GFCCVEGCSA-N 0 0 266.297 2.907 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCc3ccccc3C2)ccn1 ZINC001233856663 778097048 /nfs/dbraw/zinc/09/70/48/778097048.db2.gz DMDJJLBCGXEYKO-CYBMUJFWSA-N 0 0 270.288 2.926 20 5 CFBDRN C[C@H](COC(C)(C)C)Oc1ccnc([N+](=O)[O-])c1 ZINC001233855360 778097617 /nfs/dbraw/zinc/09/76/17/778097617.db2.gz QNRKAIODYIOGNM-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1O[C@H](C)C(C)C ZINC001233985220 778131062 /nfs/dbraw/zinc/13/10/62/778131062.db2.gz WRZNWJMWCSJPJP-LLVKDONJSA-N 0 0 267.325 2.938 20 5 CFBDRN CCC[C@H](C)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC001234051676 778153757 /nfs/dbraw/zinc/15/37/57/778153757.db2.gz PYMUKEVCASXOPB-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN Cc1cc(F)c(O[C@H](C)c2ncccn2)cc1[N+](=O)[O-] ZINC001234056055 778154073 /nfs/dbraw/zinc/15/40/73/778154073.db2.gz GEBQSZMZCMZATH-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN c1cnc2c(c1)ccc1c(OC[C@@H]3COCO3)ccnc12 ZINC001234087827 778162186 /nfs/dbraw/zinc/16/21/86/778162186.db2.gz RUXQHHUOMNQVRM-LBPRGKRZSA-N 0 0 282.299 2.535 20 5 CFBDRN CCn1c(C)nnc1O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001234093605 778163390 /nfs/dbraw/zinc/16/33/90/778163390.db2.gz PMVSHFCGYOQBHZ-SECBINFHSA-N 0 0 276.296 2.655 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NC3(c4ccccn4)CC3)cn21 ZINC001168150245 778164124 /nfs/dbraw/zinc/16/41/24/778164124.db2.gz CCKBOWMTMRBDAU-UHFFFAOYSA-N 0 0 295.302 2.739 20 5 CFBDRN CCn1c(C)nnc1O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001234094747 778164311 /nfs/dbraw/zinc/16/43/11/778164311.db2.gz YNWFFBMFDOJSTG-SECBINFHSA-N 0 0 276.296 2.655 20 5 CFBDRN CC(C)Oc1cccc(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234289170 778201643 /nfs/dbraw/zinc/20/16/43/778201643.db2.gz ZOOJZCCIGBJNEE-AWEZNQCLSA-N 0 0 265.309 2.908 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC2(C3CC3)CC2)c1F ZINC000697385436 778204764 /nfs/dbraw/zinc/20/47/64/778204764.db2.gz HAKARHPDDVALKQ-UHFFFAOYSA-N 0 0 278.283 2.715 20 5 CFBDRN COc1cc(F)c(O[C@H](C[N+](=O)[O-])C2CC2)cc1Cl ZINC001234321917 778208240 /nfs/dbraw/zinc/20/82/40/778208240.db2.gz QLKIAXQOSMHRCK-GFCCVEGCSA-N 0 0 289.690 2.922 20 5 CFBDRN CCOC(=O)Cc1ccc([N+](=O)[O-])c(O[C@H](C)CC)c1 ZINC001234408520 778219193 /nfs/dbraw/zinc/21/91/93/778219193.db2.gz NIIAGJGWVAILCG-SNVBAGLBSA-N 0 0 281.308 2.878 20 5 CFBDRN CC(C)(O)[C@@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000721863595 778225640 /nfs/dbraw/zinc/22/56/40/778225640.db2.gz PQIWVTBWUHRCCJ-SECBINFHSA-N 0 0 284.743 2.845 20 5 CFBDRN O=C(CC(F)(F)F)Nc1cc([N+](=O)[O-])ccc1F ZINC000127629961 778226808 /nfs/dbraw/zinc/22/68/08/778226808.db2.gz BMQONNYNXRVZHB-UHFFFAOYSA-N 0 0 266.150 2.625 20 5 CFBDRN CSCC[C@@H](Nc1nc(C)ccc1[N+](=O)[O-])C(C)(C)O ZINC001168213398 778253439 /nfs/dbraw/zinc/25/34/39/778253439.db2.gz DRPWOPWTIIFBDR-LLVKDONJSA-N 0 0 299.396 2.603 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1CCOC[C@@H]1F ZINC001229200883 778282513 /nfs/dbraw/zinc/28/25/13/778282513.db2.gz IVYQWIIBSPCVAI-ONGXEEELSA-N 0 0 273.235 2.548 20 5 CFBDRN O=c1ccc2ncc(OC3CC3)c3c4ccccc4n1c32 ZINC001234711494 778293097 /nfs/dbraw/zinc/29/30/97/778293097.db2.gz RUNHWVPFMQOGRZ-UHFFFAOYSA-N 0 0 276.295 2.980 20 5 CFBDRN O=c1c2cccc(O)c2oc2cc(O)cc(OC3CC3)c21 ZINC001234741076 778294474 /nfs/dbraw/zinc/29/44/74/778294474.db2.gz FOJHQFUIEDLBGU-UHFFFAOYSA-N 0 0 284.267 2.899 20 5 CFBDRN COc1nc(C)ncc1Nc1ccc(C)cc1[N+](=O)[O-] ZINC001214167628 778295308 /nfs/dbraw/zinc/29/53/08/778295308.db2.gz QARZTGZMVSUMEV-UHFFFAOYSA-N 0 0 274.280 2.754 20 5 CFBDRN Cc1ccc(Nc2ncc(F)cc2N)c([N+](=O)[O-])c1 ZINC001214167915 778297006 /nfs/dbraw/zinc/29/70/06/778297006.db2.gz ZBPWUWNMWDQJTN-UHFFFAOYSA-N 0 0 262.244 2.763 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1 ZINC001229468053 778306824 /nfs/dbraw/zinc/30/68/24/778306824.db2.gz BIJBBPWQVOMPOH-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN CCOC[C@H](C)Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229486619 778310017 /nfs/dbraw/zinc/31/00/17/778310017.db2.gz HUTYECGKTZQLIM-QMMMGPOBSA-N 0 0 266.253 2.540 20 5 CFBDRN Cc1cc(O[C@@H]2COC[C@H]2F)c(C)c(C)c1[N+](=O)[O-] ZINC001234870135 778316927 /nfs/dbraw/zinc/31/69/27/778316927.db2.gz FSUAZIHPEINETP-ZYHUDNBSSA-N 0 0 269.272 2.636 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CO[C@@H]1CCOC[C@@H]1C ZINC001223945412 778372296 /nfs/dbraw/zinc/37/22/96/778372296.db2.gz HPWWUGMNXAHOSZ-GXFFZTMASA-N 0 0 281.308 2.545 20 5 CFBDRN CCC(=O)COC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC001204877815 778414411 /nfs/dbraw/zinc/41/44/11/778414411.db2.gz LYYUHHJGTIMRQI-UHFFFAOYSA-N 0 0 292.335 2.559 20 5 CFBDRN CCC1(Nc2ccc3cc(F)cc([N+](=O)[O-])c3n2)COC1 ZINC001168324091 778432763 /nfs/dbraw/zinc/43/27/63/778432763.db2.gz QHWHKXPQPMMPDB-UHFFFAOYSA-N 0 0 291.282 2.873 20 5 CFBDRN CCC1(CNc2cc([N+](=O)[O-])cc3cc[nH]c32)COC1 ZINC001168327759 778434264 /nfs/dbraw/zinc/43/42/64/778434264.db2.gz STSBBAWSUMGQQL-UHFFFAOYSA-N 0 0 275.308 2.915 20 5 CFBDRN C[C@@H](NC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1CCC1 ZINC000138123529 778441298 /nfs/dbraw/zinc/44/12/98/778441298.db2.gz QUVOODSEGHMJNQ-SSDOTTSWSA-N 0 0 297.742 2.749 20 5 CFBDRN CCOc1cc(NC[C@H]2C[C@@](C)(O)C2)ccc1[N+](=O)[O-] ZINC001168345218 778443691 /nfs/dbraw/zinc/44/36/91/778443691.db2.gz ZNOZJUGEYUGUAL-WJONMLJTSA-N 0 0 280.324 2.566 20 5 CFBDRN CC[C@H](COCc1ncc(C)c([N+](=O)[O-])c1C)OC ZINC001224185662 778453452 /nfs/dbraw/zinc/45/34/52/778453452.db2.gz LUPFAWQOVSNGGC-LLVKDONJSA-N 0 0 268.313 2.548 20 5 CFBDRN Cc1cnc(CO[C@H]2CCO[C@H](C)C2)c(C)c1[N+](=O)[O-] ZINC001224185958 778454576 /nfs/dbraw/zinc/45/45/76/778454576.db2.gz VBFZJGWYOLUIKJ-PWSUYJOCSA-N 0 0 280.324 2.691 20 5 CFBDRN CCc1cnc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)o1 ZINC001126831366 778482232 /nfs/dbraw/zinc/48/22/32/778482232.db2.gz YWEVAVBNNAOYGR-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCOC(=O)CCCCCCO[C@@H](C[N+](=O)[O-])C1CC1 ZINC001224391352 778496748 /nfs/dbraw/zinc/49/67/48/778496748.db2.gz INWMADSJDSODBD-ZDUSSCGKSA-N 0 0 287.356 2.572 20 5 CFBDRN O=C(Cc1ccc(Cl)c([N+](=O)[O-])c1)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC001142589927 778513893 /nfs/dbraw/zinc/51/38/93/778513893.db2.gz WTYOKXBUUMDHNV-JGPRNRPPSA-N 0 0 294.738 2.705 20 5 CFBDRN C[C@H](OCCC1(C)OCCO1)c1cccc([N+](=O)[O-])c1 ZINC001224885501 778730255 /nfs/dbraw/zinc/73/02/55/778730255.db2.gz LKCASSZFTLNRHA-NSHDSACASA-N 0 0 281.308 2.826 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000698256602 778785205 /nfs/dbraw/zinc/78/52/05/778785205.db2.gz REPLLBNLPNUNNP-QMMMGPOBSA-N 0 0 266.684 2.697 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])cc(C)n1 ZINC001127156381 778835590 /nfs/dbraw/zinc/83/55/90/778835590.db2.gz PNKDLIVHMIOQCY-ZYHUDNBSSA-N 0 0 277.324 2.607 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1cc(Cl)ccn1 ZINC000060777733 778889588 /nfs/dbraw/zinc/88/95/88/778889588.db2.gz PHGAIRVTFHLNGD-UHFFFAOYSA-N 0 0 278.651 2.862 20 5 CFBDRN C[C@H]1CN(c2cc(C(F)(F)F)ccc2[N+](=O)[O-])CCO1 ZINC001120456850 778890836 /nfs/dbraw/zinc/89/08/36/778890836.db2.gz UMRBIBOICAVBIG-QMMMGPOBSA-N 0 0 290.241 2.839 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC001233702164 779073881 /nfs/dbraw/zinc/07/38/81/779073881.db2.gz LYJVRDCNPFKHHY-DIYOJNKTSA-N 0 0 264.237 2.680 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(c2nnc([C@H](C)[N+](=O)[O-])n2CC)C1 ZINC001121794035 779115626 /nfs/dbraw/zinc/11/56/26/779115626.db2.gz VHCXZNPPKYLWCW-SDDRHHMPSA-N 0 0 295.387 2.651 20 5 CFBDRN Cc1cc(F)c(OC[C@H]2COC(C)(C)O2)cc1[N+](=O)[O-] ZINC001234056786 779128320 /nfs/dbraw/zinc/12/83/20/779128320.db2.gz MWVHEYLWCRBKSR-VIFPVBQESA-N 0 0 285.271 2.573 20 5 CFBDRN CSc1cccc(F)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001234248967 779176881 /nfs/dbraw/zinc/17/68/81/779176881.db2.gz HNRAHEOJNXTXQS-JTQLQIEISA-N 0 0 271.313 2.982 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC[C@@H]2C[C@@H]2C1 ZINC000699219081 779221875 /nfs/dbraw/zinc/22/18/75/779221875.db2.gz QHKMYHJTSOEDCT-RKDXNWHRSA-N 0 0 280.711 2.730 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@@H]1C[C@H]1C1CC1 ZINC000176548115 779227092 /nfs/dbraw/zinc/22/70/92/779227092.db2.gz XQMWKRWXICVXIB-VHSXEESVSA-N 0 0 264.256 2.719 20 5 CFBDRN CC(C)(F)CCNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000699251436 779227916 /nfs/dbraw/zinc/22/79/16/779227916.db2.gz SVVJZGRKRQHXQQ-UHFFFAOYSA-N 0 0 290.241 2.741 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2C[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000176558571 779245164 /nfs/dbraw/zinc/24/51/64/779245164.db2.gz GIADDSVSFDXFLK-MNOVXSKESA-N 0 0 261.277 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nccnc2C2CC2)cc1 ZINC000699528483 779288991 /nfs/dbraw/zinc/28/89/91/779288991.db2.gz MUICSUPMLPMCTK-UHFFFAOYSA-N 0 0 270.292 2.874 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])cc1)C(=O)CC1CC1 ZINC001106654572 779331532 /nfs/dbraw/zinc/33/15/32/779331532.db2.gz ISBHMMOYYHZNSP-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1C[C@@H]1C1CC1 ZINC000176620173 779332333 /nfs/dbraw/zinc/33/23/33/779332333.db2.gz GNAQDYVEAMOATI-SFYZADRCSA-N 0 0 282.246 2.858 20 5 CFBDRN O=C(OCC1CSC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC001123131932 779349598 /nfs/dbraw/zinc/34/95/98/779349598.db2.gz HLLCVCNNTSCUOF-STQMWFEESA-N 0 0 293.344 2.605 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)[C@H](CC)OC1CCCCC1 ZINC001123258341 779369213 /nfs/dbraw/zinc/36/92/13/779369213.db2.gz YKXLCKXUYYIIFP-AAEUAGOBSA-N 0 0 287.356 2.713 20 5 CFBDRN O=C(NCCOC1CCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000691187896 779372639 /nfs/dbraw/zinc/37/26/39/779372639.db2.gz BGHWVCIWZFNDPH-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CC[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001123355487 779392979 /nfs/dbraw/zinc/39/29/79/779392979.db2.gz RDYPDGALXNLBHY-HZSPNIEDSA-N 0 0 277.320 2.697 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)C[C@@H]2CC=CCC2)n1 ZINC001127476038 779493953 /nfs/dbraw/zinc/49/39/53/779493953.db2.gz QXSNNTKGXRHZHI-GFCCVEGCSA-N 0 0 289.335 2.727 20 5 CFBDRN Nc1c(Cl)cc(C(=O)N[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000721274786 779527181 /nfs/dbraw/zinc/52/71/81/779527181.db2.gz UGAMWPVHDQAUNQ-SNVBAGLBSA-N 0 0 295.726 2.503 20 5 CFBDRN CC(C)(C)C(=O)NC/C=C\CNc1ccc([N+](=O)[O-])cc1 ZINC001107165819 779816458 /nfs/dbraw/zinc/81/64/58/779816458.db2.gz ZZICGOJNFQNWDS-PLNGDYQASA-N 0 0 291.351 2.725 20 5 CFBDRN CC[C@@H](OC(=O)c1cccnn1)c1cccc([N+](=O)[O-])c1 ZINC001127732747 779819293 /nfs/dbraw/zinc/81/92/93/779819293.db2.gz KGCFIEPCQZLZCL-CYBMUJFWSA-N 0 0 287.275 2.693 20 5 CFBDRN Cc1ccnc(COc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000692682182 780012472 /nfs/dbraw/zinc/01/24/72/780012472.db2.gz SOJQMLSYVVBIAL-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN COc1cnc(N[C@@H](C)C(C)(C)C)cc1[N+](=O)[O-] ZINC001168683770 780321775 /nfs/dbraw/zinc/32/17/75/780321775.db2.gz UFHURFULPVVCRQ-QMMMGPOBSA-N 0 0 253.302 2.845 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC001128173480 780344512 /nfs/dbraw/zinc/34/45/12/780344512.db2.gz DYWIYFAWXJNLQG-QWRGUYRKSA-N 0 0 262.309 2.855 20 5 CFBDRN CC[C@H]1CCN(C(=O)COc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC001128173193 780346546 /nfs/dbraw/zinc/34/65/46/780346546.db2.gz CDPXSSDEIDYFEF-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN COc1nc(NCC(C)(C)CC2CC2)ncc1[N+](=O)[O-] ZINC001162122447 780581923 /nfs/dbraw/zinc/58/19/23/780581923.db2.gz OERUFOKPUICDAX-UHFFFAOYSA-N 0 0 280.328 2.632 20 5 CFBDRN O=[N+]([O-])c1cc(NC(C2CC2)C2CC2)cnc1F ZINC001168746961 780605933 /nfs/dbraw/zinc/60/59/33/780605933.db2.gz OAKIIQQNNFUREV-UHFFFAOYSA-N 0 0 251.261 2.729 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000702572105 780934985 /nfs/dbraw/zinc/93/49/85/780934985.db2.gz YZGYJZCFGUTUGY-SSDOTTSWSA-N 0 0 274.679 2.726 20 5 CFBDRN CO[C@@H](C)COc1cc(Br)ccc1[N+](=O)[O-] ZINC000703839385 781067170 /nfs/dbraw/zinc/06/71/70/781067170.db2.gz JANDPVPRYXUDQZ-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@@H]1CCSC1 ZINC000704835853 781113998 /nfs/dbraw/zinc/11/39/98/781113998.db2.gz ADMUPKLHLQREDY-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN Cc1cc(C(=O)OCCC(F)(F)F)c(N)c([N+](=O)[O-])c1 ZINC000706552789 781187909 /nfs/dbraw/zinc/18/79/09/781187909.db2.gz VWFBCGMYKOFLKR-UHFFFAOYSA-N 0 0 292.213 2.595 20 5 CFBDRN CN(Cc1ccon1)C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000706599778 781190213 /nfs/dbraw/zinc/19/02/13/781190213.db2.gz UKSGFTMYAIUWAK-UHFFFAOYSA-N 0 0 295.682 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCOC2)cc1F ZINC000708582282 781293766 /nfs/dbraw/zinc/29/37/66/781293766.db2.gz SRNUJTHBDOVGNZ-SECBINFHSA-N 0 0 255.245 2.539 20 5 CFBDRN CC1(C)C[C@H](CNc2cccnc2[N+](=O)[O-])C(C)(C)O1 ZINC000709764215 781306455 /nfs/dbraw/zinc/30/64/55/781306455.db2.gz BLPKHJFAAOIXRB-SNVBAGLBSA-N 0 0 279.340 2.995 20 5 CFBDRN COCC1(CNc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000709315220 781309248 /nfs/dbraw/zinc/30/92/48/781309248.db2.gz RBFOIBXMBCZTSE-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN COc1cc(NCCc2ccns2)ccc1[N+](=O)[O-] ZINC000709914433 781321722 /nfs/dbraw/zinc/32/17/22/781321722.db2.gz CWKZMSPJBUYFAD-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN CC(C)(C)SCCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000695455755 781371100 /nfs/dbraw/zinc/37/11/00/781371100.db2.gz QLHYMTSEQDTCAH-UHFFFAOYSA-N 0 0 271.342 2.639 20 5 CFBDRN CCOc1nc(N[C@@H](C)c2ccno2)ccc1[N+](=O)[O-] ZINC001163214543 781408505 /nfs/dbraw/zinc/40/85/05/781408505.db2.gz WIXHXTRVAGTFKW-QMMMGPOBSA-N 0 0 278.268 2.550 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC[C@H](CF)C1 ZINC000711509576 781448982 /nfs/dbraw/zinc/44/89/82/781448982.db2.gz RCFFQLNJBGJFIN-MRVPVSSYSA-N 0 0 286.690 2.680 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC[C@@H]2C=CCC2)c1F ZINC000711717650 781466130 /nfs/dbraw/zinc/46/61/30/781466130.db2.gz WCXSGZPRWSOHQN-SNVBAGLBSA-N 0 0 278.283 2.738 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2C=CCC2)nc2sccn21 ZINC000711737117 781467971 /nfs/dbraw/zinc/46/79/71/781467971.db2.gz OWLNHADWEFCPKR-MRVPVSSYSA-N 0 0 264.310 2.682 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000711722700 781468348 /nfs/dbraw/zinc/46/83/48/781468348.db2.gz PNNAIVXOYJUNSQ-QMMMGPOBSA-N 0 0 282.246 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC[C@H]3C=CCC3)c2c1 ZINC000711762040 781471086 /nfs/dbraw/zinc/47/10/86/781471086.db2.gz YBBOZDDBIYDGFL-JTQLQIEISA-N 0 0 270.292 2.916 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1Cl ZINC000711785717 781471836 /nfs/dbraw/zinc/47/18/36/781471836.db2.gz IVNBMRIDMOIAAJ-VIFPVBQESA-N 0 0 280.711 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CCC2)[C@@H]2CCOC2)nc1 ZINC000711840267 781475926 /nfs/dbraw/zinc/47/59/26/781475926.db2.gz DKMPKQZXBSPDPS-BXUZGUMPSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CCC2)[C@H]2CCOC2)nc1 ZINC000711840268 781475946 /nfs/dbraw/zinc/47/59/46/781475946.db2.gz DKMPKQZXBSPDPS-FZMZJTMJSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1cccc(N2CC[C@H](F)C(F)(F)CC2)n1 ZINC001163780208 781576636 /nfs/dbraw/zinc/57/66/36/781576636.db2.gz MXYDDPJVXOTUJD-QMMMGPOBSA-N 0 0 275.230 2.563 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001118416990 781627222 /nfs/dbraw/zinc/62/72/22/781627222.db2.gz RHDNSCIQIDGFKU-DTWKUNHWSA-N 0 0 268.273 2.526 20 5 CFBDRN C[C@]1(F)CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H]1F ZINC001164326919 781705875 /nfs/dbraw/zinc/70/58/75/781705875.db2.gz LPJZURDXHJIHHE-KOLCDFICSA-N 0 0 291.685 2.920 20 5 CFBDRN C[C@H](CCO)Nc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC001165905453 781755453 /nfs/dbraw/zinc/75/54/53/781755453.db2.gz OMYVWMZHAIRICA-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN Cc1nc(Cl)c([N+](=O)[O-])c(N(C)C(C)(C)C)n1 ZINC001166529338 781758911 /nfs/dbraw/zinc/75/89/11/781758911.db2.gz BQFNJRGAXGNANN-UHFFFAOYSA-N 0 0 258.709 2.581 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCCO2)c2ccccc21 ZINC001119415330 781798851 /nfs/dbraw/zinc/79/88/51/781798851.db2.gz SPDCWCOUCPIIMG-UHFFFAOYSA-N 0 0 259.265 2.675 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@]1(C)CCCC[C@H]1O ZINC000594553321 349445778 /nfs/dbraw/zinc/44/57/78/349445778.db2.gz FACRGWWYHYDRQE-UKRRQHHQSA-N 0 0 294.351 2.957 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCC[C@H](O)C1 ZINC000594551572 349445790 /nfs/dbraw/zinc/44/57/90/349445790.db2.gz JYXIUUXSRONDNY-MNOVXSKESA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](C)[C@@H]1C ZINC000594553640 349446562 /nfs/dbraw/zinc/44/65/62/349446562.db2.gz MLOMIKKCRAPJLX-UWVGGRQHSA-N 0 0 250.298 2.838 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1c(OC)cccc1[N+](=O)[O-] ZINC000594585613 349452381 /nfs/dbraw/zinc/45/23/81/349452381.db2.gz FCPXNPZUASQPOP-QWRGUYRKSA-N 0 0 282.340 2.812 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC2(CC2(F)F)C1 ZINC000594588157 349452927 /nfs/dbraw/zinc/45/29/27/349452927.db2.gz DBUKZENVAPSLRZ-UHFFFAOYSA-N 0 0 258.199 2.579 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CC2)[C@H]2CCCCO2)s1 ZINC000594590580 349453060 /nfs/dbraw/zinc/45/30/60/349453060.db2.gz LDMPHEFQJVYVBZ-MWLCHTKSSA-N 0 0 283.353 2.811 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H](C1CC1)[C@@H]1CCCCO1 ZINC000594591292 349453962 /nfs/dbraw/zinc/45/39/62/349453962.db2.gz QHHKYUGINARDSV-QWHCGFSZSA-N 0 0 277.324 2.749 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000594594086 349454791 /nfs/dbraw/zinc/45/47/91/349454791.db2.gz LILZACXKOSVCAF-HOMQSWHASA-N 0 0 265.313 2.748 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC000594597229 349456456 /nfs/dbraw/zinc/45/64/56/349456456.db2.gz ZUKHBGASQFZULG-SNVBAGLBSA-N 0 0 280.324 2.985 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CCC2(CCCC2)O1 ZINC000594598511 349457406 /nfs/dbraw/zinc/45/74/06/349457406.db2.gz IBEPVWJSALJDCH-NSHDSACASA-N 0 0 277.324 2.894 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H]1CCCCO1 ZINC000594601878 349457935 /nfs/dbraw/zinc/45/79/35/349457935.db2.gz PFMUWRBBEJGSAZ-RYUDHWBXSA-N 0 0 265.313 2.749 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])nc2)CC2(CCCCC2)O1 ZINC000594602575 349459119 /nfs/dbraw/zinc/45/91/19/349459119.db2.gz YHLZJZAXQKHNEP-GFCCVEGCSA-N 0 0 291.351 2.918 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@H]1CCCCO1 ZINC000594604026 349459509 /nfs/dbraw/zinc/45/95/09/349459509.db2.gz WPQBFBAICDPJEF-RKDXNWHRSA-N 0 0 271.342 2.811 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000067548230 346809218 /nfs/dbraw/zinc/80/92/18/346809218.db2.gz OFBZGGSNCBSYIL-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1cc(COc2cccc([N+](=O)[O-])c2C)nc(C)n1 ZINC000594885508 349493276 /nfs/dbraw/zinc/49/32/76/349493276.db2.gz GPVLLWOGLRDUSY-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN CC(C)O[C@]1(COc2ccc([N+](=O)[O-])cc2F)CCOC1 ZINC000594885991 349493542 /nfs/dbraw/zinc/49/35/42/349493542.db2.gz KWGHMKFCWMEQFI-CQSZACIVSA-N 0 0 299.298 2.697 20 5 CFBDRN CO[C@H]1CC[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000594731886 349482801 /nfs/dbraw/zinc/48/28/01/349482801.db2.gz KHWGNZLYZFPZIZ-PWSUYJOCSA-N 0 0 289.291 2.927 20 5 CFBDRN COc1cccc(Cn2c(C)ncc2[N+](=O)[O-])c1Cl ZINC000594888498 349494452 /nfs/dbraw/zinc/49/44/52/349494452.db2.gz YTNUGAVKFXRPFA-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN O=[N+]([O-])c1cnn(CC[C@@H]2CCc3ccccc32)c1 ZINC000594888707 349494587 /nfs/dbraw/zinc/49/45/87/349494587.db2.gz BTEMAXSWSSSWGZ-LBPRGKRZSA-N 0 0 257.293 2.911 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ncn(CC3CC=CC3)n2)c1 ZINC000594891730 349495200 /nfs/dbraw/zinc/49/52/00/349495200.db2.gz QVZHZHYODVEQFY-UHFFFAOYSA-N 0 0 270.292 2.820 20 5 CFBDRN Cc1cc(=O)n(CCCC2CCC2)cc1[N+](=O)[O-] ZINC000594892454 349496002 /nfs/dbraw/zinc/49/60/02/349496002.db2.gz YKOPUQMEVRDTPW-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN CO[C@@H](CSCc1ccc([N+](=O)[O-])cc1)[C@H]1CCOC1 ZINC000594892616 349496242 /nfs/dbraw/zinc/49/62/42/349496242.db2.gz BSBLHVCSQXVVTO-JSGCOSHPSA-N 0 0 297.376 2.880 20 5 CFBDRN Cc1cccc(OCc2cn(C(C)(C)C)nn2)c1[N+](=O)[O-] ZINC000594893816 349496986 /nfs/dbraw/zinc/49/69/86/349496986.db2.gz KSULGFCPTLKGOX-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN CO[C@@H](COc1c(F)cccc1[N+](=O)[O-])C1CCC1 ZINC000594896354 349497737 /nfs/dbraw/zinc/49/77/37/349497737.db2.gz WEXGTNSZAIODBQ-LBPRGKRZSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000067704983 346815594 /nfs/dbraw/zinc/81/55/94/346815594.db2.gz KJMBJEIVCDAOLZ-NWDGAFQWSA-N 0 0 291.351 2.702 20 5 CFBDRN CCc1nnc(COc2c(C)c(C)ncc2[N+](=O)[O-])s1 ZINC000594902643 349500152 /nfs/dbraw/zinc/50/01/52/349500152.db2.gz LIPMCCBNIQGYTC-UHFFFAOYSA-N 0 0 294.336 2.600 20 5 CFBDRN CC[C@H]1CCCN(C(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000124574764 187144123 /nfs/dbraw/zinc/14/41/23/187144123.db2.gz HZAIBXHXSSZCGO-JTQLQIEISA-N 0 0 278.312 2.644 20 5 CFBDRN Cc1cc(COc2c(C)c(C)ncc2[N+](=O)[O-])nc(C)n1 ZINC000594904793 349500862 /nfs/dbraw/zinc/50/08/62/349500862.db2.gz AFRWZGFMPZMOSN-UHFFFAOYSA-N 0 0 288.307 2.592 20 5 CFBDRN CC[C@H](C)CS(=O)(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000127651905 187324032 /nfs/dbraw/zinc/32/40/32/187324032.db2.gz KLYRXSLOOVKSDP-JTQLQIEISA-N 0 0 271.338 2.556 20 5 CFBDRN CC(C)CCn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000594907149 349501389 /nfs/dbraw/zinc/50/13/89/349501389.db2.gz RXQYVEWTMHWENK-UHFFFAOYSA-N 0 0 260.297 2.899 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000067722578 346816786 /nfs/dbraw/zinc/81/67/86/346816786.db2.gz RBIFVDJLGLJBQK-TXEJJXNPSA-N 0 0 291.351 2.782 20 5 CFBDRN CC1(CNC(=O)Nc2ccncc2[N+](=O)[O-])CCCC1 ZINC000124598115 187144962 /nfs/dbraw/zinc/14/49/62/187144962.db2.gz WBHCBPKBKZSCDR-UHFFFAOYSA-N 0 0 278.312 2.692 20 5 CFBDRN CC1(C)C[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000127699954 187327280 /nfs/dbraw/zinc/32/72/80/187327280.db2.gz KHNCSZHTAOMKDH-QMMMGPOBSA-N 0 0 252.245 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCCCn1ccnc1 ZINC000124744697 187151682 /nfs/dbraw/zinc/15/16/82/187151682.db2.gz XASKASWJUCVOHC-UHFFFAOYSA-N 0 0 280.715 2.947 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)C[C@H]1CCCCO1 ZINC000125019962 187166009 /nfs/dbraw/zinc/16/60/09/187166009.db2.gz AIJFZGNPIRODLY-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]2CCCC[C@H]12 ZINC000273072212 192036712 /nfs/dbraw/zinc/03/67/12/192036712.db2.gz NPKHQBCRWYBMDY-FOGDFJRCSA-N 0 0 277.324 2.574 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000336630253 290622722 /nfs/dbraw/zinc/62/27/22/290622722.db2.gz HHDHBLGCSMARMT-CBAPKCEASA-N 0 0 268.700 2.777 20 5 CFBDRN CC(C)[C@@H](O)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000125196077 187174225 /nfs/dbraw/zinc/17/42/25/187174225.db2.gz QEKPGUFANAHUEK-JTQLQIEISA-N 0 0 258.705 2.677 20 5 CFBDRN CCn1cc(N[C@H](C)c2ccc([N+](=O)[O-])cc2OC)cn1 ZINC000595030034 349556490 /nfs/dbraw/zinc/55/64/90/349556490.db2.gz LZKUZBQKYIGZKC-SNVBAGLBSA-N 0 0 290.323 2.993 20 5 CFBDRN Cc1cn(C)nc1NCc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000595000002 349542745 /nfs/dbraw/zinc/54/27/45/349542745.db2.gz ARMMBHKQCGQEMX-UHFFFAOYSA-N 0 0 288.351 2.794 20 5 CFBDRN CC(C)CC1([NH2+]Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000595000486 349542935 /nfs/dbraw/zinc/54/29/35/349542935.db2.gz MSWDIRQLJMTWRX-UHFFFAOYSA-N 0 0 264.325 2.969 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)NCC(C)(F)F ZINC000595040642 349560593 /nfs/dbraw/zinc/56/05/93/349560593.db2.gz YFSMYSNBKUADTI-MRVPVSSYSA-N 0 0 274.267 2.909 20 5 CFBDRN C[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CC(C)(C)O1 ZINC000153625623 300302337 /nfs/dbraw/zinc/30/23/37/300302337.db2.gz BEBZFVPKPCATKS-QMMMGPOBSA-N 0 0 285.731 2.647 20 5 CFBDRN Cc1noc(CN2C[C@@H](C)Oc3ccccc32)c1[N+](=O)[O-] ZINC000595319250 349601085 /nfs/dbraw/zinc/60/10/85/349601085.db2.gz ZNTWFUIUNYIOTG-SECBINFHSA-N 0 0 289.291 2.679 20 5 CFBDRN Cc1noc(CN(C)Cc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000595287037 349591389 /nfs/dbraw/zinc/59/13/89/349591389.db2.gz AHQBMCVZMHBKLJ-UHFFFAOYSA-N 0 0 279.271 2.662 20 5 CFBDRN Cc1noc(CN2c3ccccc3C[C@H]2C)c1[N+](=O)[O-] ZINC000595288043 349591491 /nfs/dbraw/zinc/59/14/91/349591491.db2.gz XSAUJYXAUGRYPY-SECBINFHSA-N 0 0 273.292 2.842 20 5 CFBDRN Cc1noc(CN2CCC[C@@H](C(F)(F)F)C2)c1[N+](=O)[O-] ZINC000595292256 349592730 /nfs/dbraw/zinc/59/27/30/349592730.db2.gz XMVDVRICOWREBL-MRVPVSSYSA-N 0 0 293.245 2.666 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)C1CC1 ZINC000068351984 346849644 /nfs/dbraw/zinc/84/96/44/346849644.db2.gz IDKLBFQKRKQKSC-MRVPVSSYSA-N 0 0 298.726 2.636 20 5 CFBDRN CCCCO[C@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000068505979 346857009 /nfs/dbraw/zinc/85/70/09/346857009.db2.gz OHNFUNRCGPXYDV-NWDGAFQWSA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1noc(CN(C)[C@H](C)C2(C)CC2)c1[N+](=O)[O-] ZINC000595337922 349605294 /nfs/dbraw/zinc/60/52/94/349605294.db2.gz DHGCTIQIILCVFG-SECBINFHSA-N 0 0 253.302 2.512 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@H](CC)O1 ZINC000421956628 529381574 /nfs/dbraw/zinc/38/15/74/529381574.db2.gz QONJYHZQXPNQSL-OKILXGFUSA-N 0 0 292.335 2.624 20 5 CFBDRN CC(C)CN(C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000068585889 346860007 /nfs/dbraw/zinc/86/00/07/346860007.db2.gz UDAQODCUSOLTSN-NSHDSACASA-N 0 0 279.340 2.953 20 5 CFBDRN Cc1noc(CNC2(c3ccccc3)CC2)c1[N+](=O)[O-] ZINC000595415195 349618749 /nfs/dbraw/zinc/61/87/49/349618749.db2.gz NJTRPDFBNKLWDX-UHFFFAOYSA-N 0 0 273.292 2.670 20 5 CFBDRN CCC1(CO)CCN(Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000595407631 349618184 /nfs/dbraw/zinc/61/81/84/349618184.db2.gz FACPWEUAHHLGCP-UHFFFAOYSA-N 0 0 296.342 2.718 20 5 CFBDRN Cc1cc(NCC[C@@H]2CCO[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000273112512 192046184 /nfs/dbraw/zinc/04/61/84/192046184.db2.gz GKZZWQPPTSJBQP-VXGBXAGGSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1cccc2nc(CNc3ccncc3[N+](=O)[O-])cn21 ZINC000068772485 346868435 /nfs/dbraw/zinc/86/84/35/346868435.db2.gz ZSKGJYFKKOAZCP-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN C[C@H](O)[C@H]1C[C@H](C)CC[N@@H+]1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595457166 349632502 /nfs/dbraw/zinc/63/25/02/349632502.db2.gz ATHHAOMPBCKZMX-UHIISALHSA-N 0 0 296.342 2.715 20 5 CFBDRN CC[C@H](NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000595475292 349637672 /nfs/dbraw/zinc/63/76/72/349637672.db2.gz HQTGJTWBQOJISO-ZDUSSCGKSA-N 0 0 277.324 2.583 20 5 CFBDRN Cc1ccc(-c2noc([C@H]3CCCOC3)n2)cc1[N+](=O)[O-] ZINC000273880628 192346894 /nfs/dbraw/zinc/34/68/94/192346894.db2.gz UVCQFBPHOARMOO-NSHDSACASA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1noc(CN(C)CCc2ccccc2C)c1[N+](=O)[O-] ZINC000595507457 349645913 /nfs/dbraw/zinc/64/59/13/349645913.db2.gz NPTMLWBSUPAUIP-UHFFFAOYSA-N 0 0 289.335 2.874 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@]2(CC2(F)F)C1 ZINC000595564492 349661332 /nfs/dbraw/zinc/66/13/32/349661332.db2.gz QMKWOKPLEROZQE-LBPRGKRZSA-N 0 0 286.253 2.965 20 5 CFBDRN Cc1noc(CN(C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000595563684 349661866 /nfs/dbraw/zinc/66/18/66/349661866.db2.gz UENITXUXQOAJRH-UHFFFAOYSA-N 0 0 255.318 2.759 20 5 CFBDRN CC[C@@H](CCO)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413188196 529467354 /nfs/dbraw/zinc/46/73/54/529467354.db2.gz UDGWSTQYIPKXIA-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@]1(C2CC2)CN(Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000595616329 349677359 /nfs/dbraw/zinc/67/73/59/349677359.db2.gz AZTVFSFMNDBCAV-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN CCCC[C@@H]1CCC[C@H]1NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000069541761 346895498 /nfs/dbraw/zinc/89/54/98/346895498.db2.gz JPTYZCQEQJKHLH-GHMZBOCLSA-N 0 0 294.355 2.715 20 5 CFBDRN O=C(NCCNc1ccccc1[N+](=O)[O-])C1=CCCCC1 ZINC000125896789 187203942 /nfs/dbraw/zinc/20/39/42/187203942.db2.gz DIYXJNRUDSJJDO-UHFFFAOYSA-N 0 0 289.335 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCOCC2)c2ccncc21 ZINC000070160934 346906607 /nfs/dbraw/zinc/90/66/07/346906607.db2.gz NHEPQNQXIMJDEE-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000177143315 529535950 /nfs/dbraw/zinc/53/59/50/529535950.db2.gz DVFDAGTUIYJCCB-WFASDCNBSA-N 0 0 276.336 2.989 20 5 CFBDRN CCc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2N)c1 ZINC000157277038 529541961 /nfs/dbraw/zinc/54/19/61/529541961.db2.gz FOYSBHXYIMQSRQ-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1N)[C@@H]1CC1(C)C ZINC000416280788 529572450 /nfs/dbraw/zinc/57/24/50/529572450.db2.gz ZLBRHDQZGPAXQL-QWRGUYRKSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@H](C)[C@H]1O ZINC000595749894 349722346 /nfs/dbraw/zinc/72/23/46/349722346.db2.gz NUMMURJPIAUARO-MSRIBSCDSA-N 0 0 298.770 2.697 20 5 CFBDRN O=C1CCCC12CCN(Cc1csc([N+](=O)[O-])c1)CC2 ZINC000595809251 349736656 /nfs/dbraw/zinc/73/66/56/349736656.db2.gz YOKZWRSXSVUTMX-UHFFFAOYSA-N 0 0 294.376 2.992 20 5 CFBDRN CCc1ccc(C(=O)N(C)c2cccnc2)cc1[N+](=O)[O-] ZINC000173417750 529593290 /nfs/dbraw/zinc/59/32/90/529593290.db2.gz WMUKSEDURHLJTG-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN CN(CCOc1ccc(F)cc1)c1ncccc1[N+](=O)[O-] ZINC000070793663 346914255 /nfs/dbraw/zinc/91/42/55/346914255.db2.gz OMHWIJAOPRXITE-UHFFFAOYSA-N 0 0 291.282 2.644 20 5 CFBDRN CCc1ccccc1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000176844782 529584064 /nfs/dbraw/zinc/58/40/64/529584064.db2.gz LYDWPTSAHBEKSL-UHFFFAOYSA-N 0 0 299.330 2.669 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000070936698 346916537 /nfs/dbraw/zinc/91/65/37/346916537.db2.gz JELWMSAMBGCFOY-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC1CCC(COC)CC1 ZINC000413530543 529602193 /nfs/dbraw/zinc/60/21/93/529602193.db2.gz JZNHUYFXFZIWMA-UHFFFAOYSA-N 0 0 296.371 2.737 20 5 CFBDRN CCc1ccc(C(=O)NCCC(C)C)cc1[N+](=O)[O-] ZINC000173249628 529603025 /nfs/dbraw/zinc/60/30/25/529603025.db2.gz AIHYAAJSAITVBB-UHFFFAOYSA-N 0 0 264.325 2.933 20 5 CFBDRN Cc1nc(NCC(C)(C)[C@@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000269955146 190574871 /nfs/dbraw/zinc/57/48/71/190574871.db2.gz SQBHHTDGXSJNPY-ZDUSSCGKSA-N 0 0 281.356 2.753 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000596014577 349775433 /nfs/dbraw/zinc/77/54/33/349775433.db2.gz RDUBICZLQWHHGI-ILWJIGKKSA-N 0 0 274.276 2.549 20 5 CFBDRN Cc1noc(COc2cccc(C)c2F)c1[N+](=O)[O-] ZINC000596019665 349776471 /nfs/dbraw/zinc/77/64/71/349776471.db2.gz BNVKNGSMMYHRLG-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CCCc1nnc(SCc2onc(C)c2[N+](=O)[O-])o1 ZINC000596024947 349777703 /nfs/dbraw/zinc/77/77/03/349777703.db2.gz UIEDRLICOPQFJK-UHFFFAOYSA-N 0 0 284.297 2.519 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCC1(C)COC1 ZINC000596031601 349778728 /nfs/dbraw/zinc/77/87/28/349778728.db2.gz CUSLGWLNSCNRKI-UHFFFAOYSA-N 0 0 250.298 2.660 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)[C@@H]1C ZINC000596054293 349782589 /nfs/dbraw/zinc/78/25/89/349782589.db2.gz CXBFFVGWSXVTGB-BREBYQMCSA-N 0 0 291.351 2.930 20 5 CFBDRN Nc1ccc(N2CCC[C@H]2c2ccsc2)nc1[N+](=O)[O-] ZINC000596075265 349788249 /nfs/dbraw/zinc/78/82/49/349788249.db2.gz GKCSSMLEJXDKFT-NSHDSACASA-N 0 0 290.348 2.975 20 5 CFBDRN CN(C[C@H](O)Cc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000431494612 383677111 /nfs/dbraw/zinc/67/71/11/383677111.db2.gz MYLQSKXYHWEOPD-CQSZACIVSA-N 0 0 286.331 2.635 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596087902 349791291 /nfs/dbraw/zinc/79/12/91/349791291.db2.gz QKKJYHWDGTVYQX-VIFPVBQESA-N 0 0 285.731 2.649 20 5 CFBDRN CCOC1CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596088485 349791372 /nfs/dbraw/zinc/79/13/72/349791372.db2.gz SJGHVQIPQRQMNU-UHFFFAOYSA-N 0 0 285.731 2.649 20 5 CFBDRN COc1cc(CNc2cc(C)sc2[N+](=O)[O-])ccn1 ZINC000596066495 349785140 /nfs/dbraw/zinc/78/51/40/349785140.db2.gz DWHBVEWWTJMAEI-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN Cc1cc(NCCC(=O)OC(C)C)c([N+](=O)[O-])s1 ZINC000596066668 349786381 /nfs/dbraw/zinc/78/63/81/349786381.db2.gz FRZSZLFXXHWHTP-UHFFFAOYSA-N 0 0 272.326 2.718 20 5 CFBDRN Cc1cc(N2C[C@@H](C)OC(C)(C)C2)c([N+](=O)[O-])s1 ZINC000596090367 349792275 /nfs/dbraw/zinc/79/22/75/349792275.db2.gz QCLJAHOAOCKRPW-MRVPVSSYSA-N 0 0 270.354 2.968 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1N)[C@H]1CC1(C)C ZINC000416280786 529673823 /nfs/dbraw/zinc/67/38/23/529673823.db2.gz ZLBRHDQZGPAXQL-GHMZBOCLSA-N 0 0 291.351 2.732 20 5 CFBDRN CCn1ccnc1CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000294977209 199280040 /nfs/dbraw/zinc/28/00/40/199280040.db2.gz LOZHSJJJCYFXAF-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN Cc1cc(NCCCc2nc(C)no2)c([N+](=O)[O-])s1 ZINC000596104681 349795235 /nfs/dbraw/zinc/79/52/35/349795235.db2.gz LHCUVZXADXTEGP-UHFFFAOYSA-N 0 0 282.325 2.701 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2c2ncon2)c([N+](=O)[O-])s1 ZINC000596105065 349795498 /nfs/dbraw/zinc/79/54/98/349795498.db2.gz MIVNVSHRWNOFHK-MRVPVSSYSA-N 0 0 280.309 2.689 20 5 CFBDRN CC(=O)N1CCC(CNc2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596113931 349796962 /nfs/dbraw/zinc/79/69/62/349796962.db2.gz FGQASJJAQQYGLN-UHFFFAOYSA-N 0 0 297.380 2.635 20 5 CFBDRN O=c1[nH]ccc(N2CC[C@@H](c3ccccc3)C2)c1[N+](=O)[O-] ZINC000596118370 349799125 /nfs/dbraw/zinc/79/91/25/349799125.db2.gz XOCVLOXQMQXMEO-GFCCVEGCSA-N 0 0 285.303 2.689 20 5 CFBDRN Cc1cc(NCC(=O)OC(C)(C)C)c([N+](=O)[O-])s1 ZINC000596128321 349801269 /nfs/dbraw/zinc/80/12/69/349801269.db2.gz HURLVCKUMGFLLI-UHFFFAOYSA-N 0 0 272.326 2.718 20 5 CFBDRN COc1cc(N(C)Cc2ccon2)c(Cl)cc1[N+](=O)[O-] ZINC000596135267 349803482 /nfs/dbraw/zinc/80/34/82/349803482.db2.gz SEZNDZNCIHFBDM-UHFFFAOYSA-N 0 0 297.698 2.881 20 5 CFBDRN COc1cc(N[C@@H](C)[C@H](C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000596135530 349804001 /nfs/dbraw/zinc/80/40/01/349804001.db2.gz JDXACVKXZRUWIT-SFYZADRCSA-N 0 0 288.731 2.686 20 5 CFBDRN CC(C)c1cc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)on1 ZINC000072648230 346934265 /nfs/dbraw/zinc/93/42/65/346934265.db2.gz MBMLJZQFCJVHSE-UHFFFAOYSA-N 0 0 289.291 2.983 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596138973 349805607 /nfs/dbraw/zinc/80/56/07/349805607.db2.gz PTASEGDFUUSFHW-RKDXNWHRSA-N 0 0 253.302 2.932 20 5 CFBDRN CC[C@]1(C)CCCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596139443 349805618 /nfs/dbraw/zinc/80/56/18/349805618.db2.gz AMEPCNZDYGERAG-CYBMUJFWSA-N 0 0 264.329 2.589 20 5 CFBDRN CC[C@H](O)CNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000420630667 529709229 /nfs/dbraw/zinc/70/92/29/529709229.db2.gz SWFRBBCGDCFBBE-VIFPVBQESA-N 0 0 258.705 2.739 20 5 CFBDRN COCCSc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596145145 349808296 /nfs/dbraw/zinc/80/82/96/349808296.db2.gz RGWWNDOCGCEWBN-UHFFFAOYSA-N 0 0 277.729 2.995 20 5 CFBDRN O=c1[nH]ccc(N[C@H]2C[C@H]2Cc2ccccc2)c1[N+](=O)[O-] ZINC000596147085 349808817 /nfs/dbraw/zinc/80/88/17/349808817.db2.gz RYUDEDQWWLPJQA-YPMHNXCESA-N 0 0 285.303 2.739 20 5 CFBDRN O=c1[nH]ccc(N[C@H]2C[C@@H]2Cc2ccccc2)c1[N+](=O)[O-] ZINC000596147082 349808996 /nfs/dbraw/zinc/80/89/96/349808996.db2.gz RYUDEDQWWLPJQA-AAEUAGOBSA-N 0 0 285.303 2.739 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1c(Cl)cncc1[N+](=O)[O-] ZINC000596149529 349809797 /nfs/dbraw/zinc/80/97/97/349809797.db2.gz MNZHZRBNFRZBRR-JGVFFNPUSA-N 0 0 255.705 2.878 20 5 CFBDRN CC1(C)CCC[C@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596153173 349810246 /nfs/dbraw/zinc/81/02/46/349810246.db2.gz OXXTVBHWRZJTIR-GFCCVEGCSA-N 0 0 277.324 2.684 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596158419 349812486 /nfs/dbraw/zinc/81/24/86/349812486.db2.gz XPUUFEGFWKESIA-SNVBAGLBSA-N 0 0 299.758 2.895 20 5 CFBDRN Cc1cc(NCC2(CCO)CCC2)c([N+](=O)[O-])s1 ZINC000596166147 349814896 /nfs/dbraw/zinc/81/48/96/349814896.db2.gz QOSFXIBIJAQCBB-UHFFFAOYSA-N 0 0 270.354 2.929 20 5 CFBDRN COC(C)(C)CN(C)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596163982 349814910 /nfs/dbraw/zinc/81/49/10/349814910.db2.gz UXVAIJHJENXILW-UHFFFAOYSA-N 0 0 273.720 2.504 20 5 CFBDRN COc1cccc(N[C@@H](C)CC(C)(C)O)c1[N+](=O)[O-] ZINC000294999164 199293093 /nfs/dbraw/zinc/29/30/93/199293093.db2.gz NXXXPLRYSLWZQB-VIFPVBQESA-N 0 0 268.313 2.565 20 5 CFBDRN CCC1(CC)[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@H]1OC ZINC000596167294 349815332 /nfs/dbraw/zinc/81/53/32/349815332.db2.gz BHGYJKVRDRGCQG-GHMZBOCLSA-N 0 0 295.339 2.701 20 5 CFBDRN CN(CCOc1ccc(F)cc1)c1ccc([N+](=O)[O-])nc1 ZINC000072883640 346946780 /nfs/dbraw/zinc/94/67/80/346946780.db2.gz MRTVDZJRKJPNNG-UHFFFAOYSA-N 0 0 291.282 2.644 20 5 CFBDRN CCc1nc(CCNc2ncc([N+](=O)[O-])cc2F)cs1 ZINC000413117610 529735228 /nfs/dbraw/zinc/73/52/28/529735228.db2.gz RWMMACRVLQOUKO-UHFFFAOYSA-N 0 0 296.327 2.802 20 5 CFBDRN CC[C@H](SC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000126824364 187264696 /nfs/dbraw/zinc/26/46/96/187264696.db2.gz SSSFHSNQHWHDOG-CABZTGNLSA-N 0 0 282.365 2.914 20 5 CFBDRN Cc1cc(N2CC[C@H](c3nccn3C)C2)c([N+](=O)[O-])s1 ZINC000596175156 349817832 /nfs/dbraw/zinc/81/78/32/349817832.db2.gz QCMARBMFHLZSAH-JTQLQIEISA-N 0 0 292.364 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC[C@H]2CCCO2)cc1 ZINC000072883498 346947061 /nfs/dbraw/zinc/94/70/61/346947061.db2.gz DOWILRNRJFZHOK-CQSZACIVSA-N 0 0 280.324 2.592 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCC[C@H]2O)c([N+](=O)[O-])s1 ZINC000596176275 349817618 /nfs/dbraw/zinc/81/76/18/349817618.db2.gz ZTEYXQZBQLNHJZ-GXSJLCMTSA-N 0 0 270.354 2.562 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCC[C@@H]2O)c([N+](=O)[O-])s1 ZINC000596176281 349817654 /nfs/dbraw/zinc/81/76/54/349817654.db2.gz ZTEYXQZBQLNHJZ-ONGXEEELSA-N 0 0 270.354 2.562 20 5 CFBDRN CCc1ncc(CN[C@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000414360857 529757002 /nfs/dbraw/zinc/75/70/02/529757002.db2.gz BBZCBHBCDXJSKR-LLVKDONJSA-N 0 0 286.335 2.798 20 5 CFBDRN CCOCCC1(CNc2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596174393 349817873 /nfs/dbraw/zinc/81/78/73/349817873.db2.gz HBQKPCSUYBVYFF-UHFFFAOYSA-N 0 0 299.758 2.684 20 5 CFBDRN CCc1ncsc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000178612098 529763015 /nfs/dbraw/zinc/76/30/15/529763015.db2.gz IMSDXVPKRNYPDI-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN Cc1cc(NCc2nnc(C(C)C)[nH]2)ccc1[N+](=O)[O-] ZINC000295041320 199315972 /nfs/dbraw/zinc/31/59/72/199315972.db2.gz WTKPVXOPKIOHHN-UHFFFAOYSA-N 0 0 275.312 2.757 20 5 CFBDRN COc1cc(N[C@@H](C)C[C@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000596189998 349822224 /nfs/dbraw/zinc/82/22/24/349822224.db2.gz NWZDUIPWPYWIEA-YUMQZZPRSA-N 0 0 288.731 2.828 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2cc(C)sc2[N+](=O)[O-])[C@@H]1OC ZINC000596193074 349823244 /nfs/dbraw/zinc/82/32/44/349823244.db2.gz ZVMJXPOYLRUWPJ-IEBDPFPHSA-N 0 0 286.353 2.569 20 5 CFBDRN Cc1cc(NCC2(CO)CCC2)c([N+](=O)[O-])s1 ZINC000596192253 349823391 /nfs/dbraw/zinc/82/33/91/349823391.db2.gz BWDRMVPSESDJDA-UHFFFAOYSA-N 0 0 256.327 2.539 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C[C@H]1C ZINC000596198512 349824930 /nfs/dbraw/zinc/82/49/30/349824930.db2.gz LDIJFVZIIJOXAU-GMTAPVOTSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1cc(NCC2(CO)CCCC2)c([N+](=O)[O-])s1 ZINC000596197130 349825002 /nfs/dbraw/zinc/82/50/02/349825002.db2.gz QUGICCQFXSHIDK-UHFFFAOYSA-N 0 0 270.354 2.929 20 5 CFBDRN CCC[C@H]1CCCCN1c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596199871 349825683 /nfs/dbraw/zinc/82/56/83/349825683.db2.gz XEPYXGBNJRCQKQ-NSHDSACASA-N 0 0 291.351 2.853 20 5 CFBDRN Cc1cc(NCc2nnc(C3CC3)n2C)c([N+](=O)[O-])s1 ZINC000596182638 349819371 /nfs/dbraw/zinc/81/93/71/349819371.db2.gz DKUIHOQWIRCKOP-UHFFFAOYSA-N 0 0 293.352 2.583 20 5 CFBDRN Cc1cc(NCCc2ccc[nH]2)c([N+](=O)[O-])s1 ZINC000596182010 349819389 /nfs/dbraw/zinc/81/93/89/349819389.db2.gz JRXJMEDQYQPYDO-UHFFFAOYSA-N 0 0 251.311 2.947 20 5 CFBDRN CC(C)C[C@@H]1C[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596182332 349819411 /nfs/dbraw/zinc/81/94/11/349819411.db2.gz AYEWZTQTMJAKPV-GHMZBOCLSA-N 0 0 295.339 2.701 20 5 CFBDRN O=C(N[C@@H]1C=CCCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334243427 295706951 /nfs/dbraw/zinc/70/69/51/295706951.db2.gz POMCMADYHSMKIF-SNVBAGLBSA-N 0 0 285.303 2.915 20 5 CFBDRN CC[C@H]1CN(c2cc(C)sc2[N+](=O)[O-])CCCO1 ZINC000596219691 349830855 /nfs/dbraw/zinc/83/08/55/349830855.db2.gz CYYNYNOMBWSPNC-JTQLQIEISA-N 0 0 270.354 2.970 20 5 CFBDRN CC[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCCO1 ZINC000596220656 349831196 /nfs/dbraw/zinc/83/11/96/349831196.db2.gz QFXQGGFUPGOGQJ-VIFPVBQESA-N 0 0 285.731 2.649 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@@H]1C(F)F ZINC000596255080 349842987 /nfs/dbraw/zinc/84/29/87/349842987.db2.gz JKKNRKXDNKWURJ-LLVKDONJSA-N 0 0 279.246 2.987 20 5 CFBDRN CCOC[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000072946530 346952635 /nfs/dbraw/zinc/95/26/35/346952635.db2.gz RWFMNZVRMAIOAN-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN CC1(C2CC2)CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596238951 349836392 /nfs/dbraw/zinc/83/63/92/349836392.db2.gz UFVLVOCFNKJNDN-UHFFFAOYSA-N 0 0 277.324 2.712 20 5 CFBDRN CC1(C)CCC[C@@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596246030 349838861 /nfs/dbraw/zinc/83/88/61/349838861.db2.gz GDQJLFWCCOEWSI-SECBINFHSA-N 0 0 265.313 2.934 20 5 CFBDRN C[C@@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])[C@@H]1C ZINC000596249484 349840464 /nfs/dbraw/zinc/84/04/64/349840464.db2.gz QIHAAUKKBGKLBY-NXEZZACHSA-N 0 0 257.293 2.988 20 5 CFBDRN Cc1cc(N2CC(C(=O)OC(C)(C)C)C2)c([N+](=O)[O-])s1 ZINC000596252301 349840915 /nfs/dbraw/zinc/84/09/15/349840915.db2.gz BQLOURPDMLWLHS-UHFFFAOYSA-N 0 0 298.364 2.743 20 5 CFBDRN CO[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H]1C ZINC000596254616 349842095 /nfs/dbraw/zinc/84/20/95/349842095.db2.gz KRSLXIZJTBMWFR-KWQFWETISA-N 0 0 285.731 2.504 20 5 CFBDRN COCC1CCC(Nc2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596271137 349848725 /nfs/dbraw/zinc/84/87/25/349848725.db2.gz LFNFLHZTSXSSPB-UHFFFAOYSA-N 0 0 299.758 2.682 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596269950 349848777 /nfs/dbraw/zinc/84/87/77/349848777.db2.gz IGVRRGIVDQKJGM-SKDRFNHKSA-N 0 0 251.286 2.542 20 5 CFBDRN COc1ccc(OC[C@@H](OC)C2CCC2)cc1[N+](=O)[O-] ZINC000596280198 349851393 /nfs/dbraw/zinc/85/13/93/349851393.db2.gz WBSNMUOSJAXHKD-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN C[C@]12CN(c3cc[nH]c(=O)c3[N+](=O)[O-])C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000596260589 349845480 /nfs/dbraw/zinc/84/54/80/349845480.db2.gz LLJQUMYXAISGDA-JNIYBQFBSA-N 0 0 289.335 2.568 20 5 CFBDRN Cc1cc(NCc2cnc(C)o2)c([N+](=O)[O-])s1 ZINC000596265158 349847320 /nfs/dbraw/zinc/84/73/20/349847320.db2.gz QCDBSEWXYZDMSR-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN Cc1noc(COc2ccc(F)cc2C)c1[N+](=O)[O-] ZINC000596267061 349847705 /nfs/dbraw/zinc/84/77/05/349847705.db2.gz YVYPLRWOLACZDN-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCO[C@@H]1CC1(F)F ZINC000596266981 349848105 /nfs/dbraw/zinc/84/81/05/349848105.db2.gz XJMJWADIQSWSTP-LLVKDONJSA-N 0 0 273.235 2.706 20 5 CFBDRN CC[N@@H+]1CCCN(c2cc(C)c([N+](=O)[O-])cc2F)[C@@H](C)C1 ZINC000596268917 349848168 /nfs/dbraw/zinc/84/81/68/349848168.db2.gz NNZIYTJGQKQCDE-LBPRGKRZSA-N 0 0 295.358 2.963 20 5 CFBDRN COCc1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000273920948 192364458 /nfs/dbraw/zinc/36/44/58/192364458.db2.gz FRQISDGUDXXAIQ-UHFFFAOYSA-N 0 0 295.270 2.589 20 5 CFBDRN COc1cc(N[C@H]2CO[C@@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596291897 349854669 /nfs/dbraw/zinc/85/46/69/349854669.db2.gz LYQQBTJWPODUDB-JGVFFNPUSA-N 0 0 286.715 2.846 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2C[C@@H]3CCCC[C@@H]32)c1 ZINC000596295343 349855586 /nfs/dbraw/zinc/85/55/86/349855586.db2.gz UUFZKMBMRGVEHS-RYUDHWBXSA-N 0 0 290.319 2.760 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000073336068 346971793 /nfs/dbraw/zinc/97/17/93/346971793.db2.gz OEXGNMWYZBFDTG-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN CCOc1cc(N[C@@H](CO)C2CCC2)ccc1[N+](=O)[O-] ZINC000596322531 349862729 /nfs/dbraw/zinc/86/27/29/349862729.db2.gz BTRSCIFSHOPWQE-LBPRGKRZSA-N 0 0 280.324 2.566 20 5 CFBDRN CC[C@H](COCC1CC1)Nc1ncc([N+](=O)[O-])s1 ZINC000596326104 349863925 /nfs/dbraw/zinc/86/39/25/349863925.db2.gz HEBDSDJRBFMRRL-SECBINFHSA-N 0 0 271.342 2.668 20 5 CFBDRN O=c1[nH]ccc(N2CCC(c3ccco3)CC2)c1[N+](=O)[O-] ZINC000596328348 349865382 /nfs/dbraw/zinc/86/53/82/349865382.db2.gz APRREWNVXLFVKR-UHFFFAOYSA-N 0 0 289.291 2.672 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](O)c2ccsc2)n1 ZINC000126988022 187274812 /nfs/dbraw/zinc/27/48/12/187274812.db2.gz HZCIMOUWIFULOU-NSHDSACASA-N 0 0 279.321 2.505 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000073968807 346987493 /nfs/dbraw/zinc/98/74/93/346987493.db2.gz DNUJLFUPLOYVOF-VIFPVBQESA-N 0 0 295.295 2.764 20 5 CFBDRN CCc1nocc1CSCc1onc(C)c1[N+](=O)[O-] ZINC000596369274 349880067 /nfs/dbraw/zinc/88/00/67/349880067.db2.gz HZDURFOIJXRDCX-UHFFFAOYSA-N 0 0 283.309 2.875 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCN(c2ccccc2)CC1 ZINC000301938859 136443818 /nfs/dbraw/zinc/44/38/18/136443818.db2.gz SGZLEZKPFGAWPC-UHFFFAOYSA-N 0 0 289.360 2.983 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cn2)CCc2ccccc21 ZINC000596360947 349877220 /nfs/dbraw/zinc/87/72/20/349877220.db2.gz PGUJVZOPHZYEDZ-UHFFFAOYSA-N 0 0 298.346 2.725 20 5 CFBDRN Cc1noc(CSCCC[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000596372160 349881217 /nfs/dbraw/zinc/88/12/17/349881217.db2.gz CKLDLDXMDTYRHZ-SNVBAGLBSA-N 0 0 286.353 2.941 20 5 CFBDRN COc1ccc(C(=O)N(CC(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000074234681 347003610 /nfs/dbraw/zinc/00/36/10/347003610.db2.gz SATAZADTFXZYIY-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000074234786 347003758 /nfs/dbraw/zinc/00/37/58/347003758.db2.gz UKKPSGANLNTYKT-LURJTMIESA-N 0 0 292.213 2.740 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@]1(C)C(C)C ZINC000413442232 529932979 /nfs/dbraw/zinc/93/29/79/529932979.db2.gz ULYREZJZWBEWFD-UKRRQHHQSA-N 0 0 291.351 2.801 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ccncc1[N+](=O)[O-])CC2 ZINC000596410941 349893857 /nfs/dbraw/zinc/89/38/57/349893857.db2.gz VXCNLSCDUTXNTN-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN COc1ccc(CSCC2(C)COC2)cc1[N+](=O)[O-] ZINC000596417069 349897056 /nfs/dbraw/zinc/89/70/56/349897056.db2.gz YRRLDTQNBITWMW-UHFFFAOYSA-N 0 0 283.349 2.873 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000596417800 349897506 /nfs/dbraw/zinc/89/75/06/349897506.db2.gz KZHHOHPTZOSKNU-LBPRGKRZSA-N 0 0 293.367 2.967 20 5 CFBDRN Cc1cnc(N[C@H](C)c2cscn2)c([N+](=O)[O-])c1 ZINC000596430088 349900465 /nfs/dbraw/zinc/90/04/65/349900465.db2.gz GPUCCDWNEGWEDY-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN CC[C@H](CNc1c(F)cc([N+](=O)[O-])cc1F)OC ZINC000290598471 197762480 /nfs/dbraw/zinc/76/24/80/197762480.db2.gz DPBRIHYDZRBEPT-MRVPVSSYSA-N 0 0 260.240 2.710 20 5 CFBDRN O=C(NCc1coc2ccccc12)c1ccc([N+](=O)[O-])o1 ZINC000074663975 347025843 /nfs/dbraw/zinc/02/58/43/347025843.db2.gz DBPLWJSLLCIMCK-UHFFFAOYSA-N 0 0 286.243 2.864 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCC[C@H]2[C@@H](C)CO)n1 ZINC000596434110 349901830 /nfs/dbraw/zinc/90/18/30/349901830.db2.gz IXXQPQOTMQITCU-AAEUAGOBSA-N 0 0 293.367 2.594 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000596437297 349902381 /nfs/dbraw/zinc/90/23/81/349902381.db2.gz VZQWNTHVFAUXLA-SECBINFHSA-N 0 0 297.742 2.791 20 5 CFBDRN CCN(CC1CC1)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000074763744 347031428 /nfs/dbraw/zinc/03/14/28/347031428.db2.gz WHKJVTBIOMUXGR-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074767135 347031463 /nfs/dbraw/zinc/03/14/63/347031463.db2.gz FPJOYUOMTLWKFT-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cc(NCCCOC(C)C)ncc1[N+](=O)[O-] ZINC000074725253 347028776 /nfs/dbraw/zinc/02/87/76/347028776.db2.gz YPDDBJDEGBSFBZ-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN CCCC[C@@H](COC)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074764539 347031504 /nfs/dbraw/zinc/03/15/04/347031504.db2.gz BWLCVBGAXFRKPW-NSHDSACASA-N 0 0 267.329 2.915 20 5 CFBDRN Cc1cc(N2CCC[C@H](C)[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000596440039 349902997 /nfs/dbraw/zinc/90/29/97/349902997.db2.gz CGCKJOCIFDEMAD-SMDDNHRTSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])[C@H]1CCO ZINC000596440032 349903233 /nfs/dbraw/zinc/90/32/33/349903233.db2.gz CFBZSWKVKZSJAC-JQWIXIFHSA-N 0 0 282.315 2.721 20 5 CFBDRN COCCN(c1cc(C)c([N+](=O)[O-])cn1)C1CCCC1 ZINC000074744990 347030439 /nfs/dbraw/zinc/03/04/39/347030439.db2.gz TVSPMEZYLUWRRL-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074747167 347030453 /nfs/dbraw/zinc/03/04/53/347030453.db2.gz JBSZISPQYLXNIQ-SECBINFHSA-N 0 0 275.312 2.513 20 5 CFBDRN Cc1cc(N(C)[C@@H](C)c2ccccn2)ncc1[N+](=O)[O-] ZINC000074744158 347030772 /nfs/dbraw/zinc/03/07/72/347030772.db2.gz XYFSZNDVYKTOCB-NSHDSACASA-N 0 0 272.308 2.891 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000596440334 349903765 /nfs/dbraw/zinc/90/37/65/349903765.db2.gz IAUPCSXRRDMGSS-XYPYZODXSA-N 0 0 250.298 2.883 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000596441277 349903849 /nfs/dbraw/zinc/90/38/49/349903849.db2.gz KPWJEUPGEFSUKK-XWEPSHTISA-N 0 0 272.251 2.852 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(c1ncc([N+](=O)[O-])cc1Cl)C2 ZINC000596443960 349905288 /nfs/dbraw/zinc/90/52/88/349905288.db2.gz QUTLHYNCSXIMDS-BETUJISGSA-N 0 0 297.742 2.506 20 5 CFBDRN CCSCC[C@H](C)N(C)c1ncccc1[N+](=O)[O-] ZINC000074770668 347032449 /nfs/dbraw/zinc/03/24/49/347032449.db2.gz FCUNUSFGUGNOIR-JTQLQIEISA-N 0 0 269.370 2.958 20 5 CFBDRN C[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)[C@H]1CCO ZINC000596442215 349904304 /nfs/dbraw/zinc/90/43/04/349904304.db2.gz JMRRTBDSGVIPCT-CABZTGNLSA-N 0 0 299.758 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2nnc(C3CC3)s2)nc1 ZINC000074778097 347033058 /nfs/dbraw/zinc/03/30/58/347033058.db2.gz HNJIWNZZFULXPD-UHFFFAOYSA-N 0 0 280.334 2.870 20 5 CFBDRN COC(=O)C1(CNc2ccccc2[N+](=O)[O-])CCCC1 ZINC000074774656 347033211 /nfs/dbraw/zinc/03/32/11/347033211.db2.gz YJVOBXRICFMVFP-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN C[C@H]1c2ccccc2C[C@H]1Nc1ccncc1[N+](=O)[O-] ZINC000596448928 349906204 /nfs/dbraw/zinc/90/62/04/349906204.db2.gz SACGLUSMEDZFGW-IINYFYTJSA-N 0 0 269.304 2.552 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC(C)(C)F ZINC000596467622 349909368 /nfs/dbraw/zinc/90/93/68/349909368.db2.gz DAJVFCCWIMEATQ-UHFFFAOYSA-N 0 0 258.297 2.670 20 5 CFBDRN COCc1csc(CNc2ncccc2[N+](=O)[O-])c1 ZINC000596471870 349910677 /nfs/dbraw/zinc/91/06/77/349910677.db2.gz BBOKASNEONRZAP-UHFFFAOYSA-N 0 0 279.321 2.810 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000596473930 349911680 /nfs/dbraw/zinc/91/16/80/349911680.db2.gz KMLYHJGKHRFRDG-SDDRHHMPSA-N 0 0 278.356 2.968 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])cc1Cl)C(C)C ZINC000075062444 347052103 /nfs/dbraw/zinc/05/21/03/347052103.db2.gz VCWLCSOXKCCHJC-JTQLQIEISA-N 0 0 273.720 2.726 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1(CCO)CCCC1 ZINC000075064024 347052651 /nfs/dbraw/zinc/05/26/51/347052651.db2.gz FFUXJUCNGBSQTP-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN CO[C@H](CNc1nc2sccn2c1[N+](=O)[O-])C(C)(C)C ZINC000075063108 347052743 /nfs/dbraw/zinc/05/27/43/347052743.db2.gz ZQUHOIQCEOPUMR-MRVPVSSYSA-N 0 0 298.368 2.777 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCC[C@H](C)O2)n1 ZINC000596473609 349911221 /nfs/dbraw/zinc/91/12/21/349911221.db2.gz HFXKOQUEHMHCOW-RYUDHWBXSA-N 0 0 279.340 2.976 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CCC[C@H]3C[C@H]32)c1[N+](=O)[O-] ZINC000596473464 349911260 /nfs/dbraw/zinc/91/12/60/349911260.db2.gz HVZBRZXVCXSVIT-GARJFASQSA-N 0 0 278.356 2.739 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000596474967 349912604 /nfs/dbraw/zinc/91/26/04/349912604.db2.gz UUYZWVCMPBWJOD-UTLUCORTSA-N 0 0 263.297 2.544 20 5 CFBDRN Cc1cnc(N[C@H](CO)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000075066275 347053526 /nfs/dbraw/zinc/05/35/26/347053526.db2.gz FNSRDPPSQOVQMJ-GFCCVEGCSA-N 0 0 279.340 2.651 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000596474882 349911848 /nfs/dbraw/zinc/91/18/48/349911848.db2.gz STWYAPNIYHBZMH-JBLDHEPKSA-N 0 0 289.335 2.542 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2ccncc2[N+](=O)[O-])C1(C)C ZINC000075064816 347053671 /nfs/dbraw/zinc/05/36/71/347053671.db2.gz TXANWJZJVNANFI-WCQYABFASA-N 0 0 265.313 2.605 20 5 CFBDRN CCC1(C)CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000272396956 282345191 /nfs/dbraw/zinc/34/51/91/282345191.db2.gz HJACMKILDSEICP-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1nc(NCC[C@@]2(C)CC2(F)F)ccc1[N+](=O)[O-] ZINC000596475233 349912320 /nfs/dbraw/zinc/91/23/20/349912320.db2.gz HBNCPCOBTCOJOB-NSHDSACASA-N 0 0 271.267 2.567 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@H](CCF)C1 ZINC000596478915 349913794 /nfs/dbraw/zinc/91/37/94/349913794.db2.gz PZPWOENDZZUDTF-NSHDSACASA-N 0 0 267.304 2.874 20 5 CFBDRN C[C@@]1(CCNc2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1(F)F ZINC000596479686 349914411 /nfs/dbraw/zinc/91/44/11/349914411.db2.gz UXOSZVGWZOPZNY-GFCCVEGCSA-N 0 0 299.277 2.541 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC=C(F)C1 ZINC000273204225 192073505 /nfs/dbraw/zinc/07/35/05/192073505.db2.gz LVGPOZHCZKVCIY-UHFFFAOYSA-N 0 0 279.271 2.994 20 5 CFBDRN Cc1cnc(OCc2ncc(C3CC3)o2)c([N+](=O)[O-])c1 ZINC000270432901 190682832 /nfs/dbraw/zinc/68/28/32/190682832.db2.gz CAWYEBARCZPOQR-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000596484349 349915758 /nfs/dbraw/zinc/91/57/58/349915758.db2.gz ODMNANLOLOVTKO-CYBMUJFWSA-N 0 0 263.297 2.905 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ccc([N+](=O)[O-])c(C)n1)C2 ZINC000596482797 349915927 /nfs/dbraw/zinc/91/59/27/349915927.db2.gz BPZABBXXCWGESQ-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN CN(Cc1cscn1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000146288789 530020365 /nfs/dbraw/zinc/02/03/65/530020365.db2.gz YZVPYJLPNJJGCM-UHFFFAOYSA-N 0 0 292.320 2.715 20 5 CFBDRN Cc1nc(N2CCC[C@H](CCF)C2)ccc1[N+](=O)[O-] ZINC000596481288 349915313 /nfs/dbraw/zinc/91/53/13/349915313.db2.gz WDKNEKQHDFYHOF-LLVKDONJSA-N 0 0 267.304 2.874 20 5 CFBDRN CN(Cc1noc(C2CC2)n1)c1ccc(F)cc1[N+](=O)[O-] ZINC000413607081 530032237 /nfs/dbraw/zinc/03/22/37/530032237.db2.gz NDWDPSOWYMSDQB-UHFFFAOYSA-N 0 0 292.270 2.631 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H](CO)CC1CC1 ZINC000596494114 349919458 /nfs/dbraw/zinc/91/94/58/349919458.db2.gz URLRMJVSOXUXNK-SNVBAGLBSA-N 0 0 268.288 2.554 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC(O)(C2CC2)C2CC2)n1 ZINC000596493722 349919786 /nfs/dbraw/zinc/91/97/86/349919786.db2.gz PJOAEFOZSHFKQL-UHFFFAOYSA-N 0 0 291.351 2.570 20 5 CFBDRN CCc1nnc(CNc2ccc(F)cc2[N+](=O)[O-])s1 ZINC000290675998 197784792 /nfs/dbraw/zinc/78/47/92/197784792.db2.gz KXTXWKFWXVERIS-UHFFFAOYSA-N 0 0 282.300 2.760 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1C[C@H](O)C12CCC2 ZINC000596500214 349921731 /nfs/dbraw/zinc/92/17/31/349921731.db2.gz AJCDMMQEWHDEKF-STQMWFEESA-N 0 0 262.309 2.619 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2C[C@H](O)C23CCC3)c(F)c1 ZINC000596506585 349923127 /nfs/dbraw/zinc/92/31/27/349923127.db2.gz IQEVNXSJAQJHRD-QWRGUYRKSA-N 0 0 284.262 2.588 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1N1CC[C@H]2C[C@H]2C1 ZINC000596509226 349923887 /nfs/dbraw/zinc/92/38/87/349923887.db2.gz HIPVGBDUDUIPHM-YUMQZZPRSA-N 0 0 298.140 2.599 20 5 CFBDRN CC(=O)c1cc(N2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000596508098 349923969 /nfs/dbraw/zinc/92/39/69/349923969.db2.gz AJEQBKNHAUNBFH-GHMZBOCLSA-N 0 0 260.293 2.644 20 5 CFBDRN COc1cccc([C@@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000295542655 199556505 /nfs/dbraw/zinc/55/65/05/199556505.db2.gz OMQBMDXHSVPEHZ-SECBINFHSA-N 0 0 288.307 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3C[C@@H]3C2)c2ncccc12 ZINC000596511385 349925457 /nfs/dbraw/zinc/92/54/57/349925457.db2.gz OMRRWQFXURRKSD-GHMZBOCLSA-N 0 0 269.304 2.989 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1C[C@H](O)C12CCC2 ZINC000596511378 349925489 /nfs/dbraw/zinc/92/54/89/349925489.db2.gz PLPDTDVCWCCPJL-NEPJUHHUSA-N 0 0 282.727 2.964 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1C(C)(C)C1(F)F ZINC000596512958 349926111 /nfs/dbraw/zinc/92/61/11/349926111.db2.gz MUZQPYHMORTXTE-QMMMGPOBSA-N 0 0 288.298 2.823 20 5 CFBDRN CC1(C)[C@@H](CNc2ccc([N+](=O)[O-])nc2)C1(F)F ZINC000596513191 349926162 /nfs/dbraw/zinc/92/61/62/349926162.db2.gz PNAOZICLXMZKQE-MRVPVSSYSA-N 0 0 257.240 2.693 20 5 CFBDRN CC1(C)[C@@H](CNc2ccc([N+](=O)[O-])cn2)C1(F)F ZINC000596513430 349926847 /nfs/dbraw/zinc/92/68/47/349926847.db2.gz WLTRQUGFSOJRLH-MRVPVSSYSA-N 0 0 257.240 2.693 20 5 CFBDRN CN(c1ccccc1)[C@H]1CCN(c2ncccc2[N+](=O)[O-])C1 ZINC000596517425 349927859 /nfs/dbraw/zinc/92/78/59/349927859.db2.gz IAWZMPBRSHLKTB-AWEZNQCLSA-N 0 0 298.346 2.705 20 5 CFBDRN CS(=O)(=O)c1cc([N+](=O)[O-])ccc1NCC1=CCCC1 ZINC000596518158 349928105 /nfs/dbraw/zinc/92/81/05/349928105.db2.gz SPYPDEACZOWXFM-UHFFFAOYSA-N 0 0 296.348 2.521 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@]1(C)CC1(C)C ZINC000596523772 349929713 /nfs/dbraw/zinc/92/97/13/349929713.db2.gz NPSZORLICAZCMA-LBPRGKRZSA-N 0 0 252.318 2.720 20 5 CFBDRN C[C@H](O)C1(Nc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 ZINC000596524340 349929971 /nfs/dbraw/zinc/92/99/71/349929971.db2.gz VKHOXBAZOUWORQ-ZETCQYMHSA-N 0 0 290.241 2.939 20 5 CFBDRN C[C@@H](O)C1(Nc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 ZINC000596524339 349929988 /nfs/dbraw/zinc/92/99/88/349929988.db2.gz VKHOXBAZOUWORQ-SSDOTTSWSA-N 0 0 290.241 2.939 20 5 CFBDRN Cc1nc(C)c(CNc2nc(C)ccc2[N+](=O)[O-])s1 ZINC000127422413 187305113 /nfs/dbraw/zinc/30/51/13/187305113.db2.gz LNZVWVQNUDKGDJ-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN CC(C)(C)N1C[C@@H](CNc2ccsc2[N+](=O)[O-])CC1=O ZINC000596523256 349928897 /nfs/dbraw/zinc/92/88/97/349928897.db2.gz YBLSQAPWEGNROY-SECBINFHSA-N 0 0 297.380 2.715 20 5 CFBDRN CC(C)(C)N1C[C@H](CNc2sccc2[N+](=O)[O-])CC1=O ZINC000596519347 349928939 /nfs/dbraw/zinc/92/89/39/349928939.db2.gz INQHLVTWQNUNTE-VIFPVBQESA-N 0 0 297.380 2.715 20 5 CFBDRN CO[C@@H](CNc1cccnc1[N+](=O)[O-])C1CCCC1 ZINC000596526587 349930495 /nfs/dbraw/zinc/93/04/95/349930495.db2.gz QKNRHCKYVPCFGF-LBPRGKRZSA-N 0 0 265.313 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3COC[C@@H]3C2)c2ncccc12 ZINC000596526696 349930743 /nfs/dbraw/zinc/93/07/43/349930743.db2.gz FXTKCRWNUBCMDY-NEPJUHHUSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCc1ccccc1 ZINC000140902267 232212938 /nfs/dbraw/zinc/21/29/38/232212938.db2.gz ZUQREPOTMKCPKN-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1nc2ccccc2[nH]1 ZINC000151235547 232215070 /nfs/dbraw/zinc/21/50/70/232215070.db2.gz XBLDUJOPSMWSQK-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN CCOc1cc(N[C@@H](C)c2nccn2C)ccc1[N+](=O)[O-] ZINC000596543326 349936421 /nfs/dbraw/zinc/93/64/21/349936421.db2.gz RPIBIAXEAHOEDS-JTQLQIEISA-N 0 0 290.323 2.900 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCOC2(C)C)c1[N+](=O)[O-] ZINC000596544741 349937266 /nfs/dbraw/zinc/93/72/66/349937266.db2.gz PPHQJVSITPYPLC-SNVBAGLBSA-N 0 0 265.313 2.525 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000596543580 349937596 /nfs/dbraw/zinc/93/75/96/349937596.db2.gz MALQGOJKNOGQIV-QMMMGPOBSA-N 0 0 285.731 2.870 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000596547185 349937857 /nfs/dbraw/zinc/93/78/57/349937857.db2.gz YAJDNLLAUWAUNH-SNVBAGLBSA-N 0 0 291.351 2.790 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000596547134 349938306 /nfs/dbraw/zinc/93/83/06/349938306.db2.gz WJUQBNBUFIEZAV-QMMMGPOBSA-N 0 0 285.731 2.870 20 5 CFBDRN Cc1ccc(F)cc1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157301878 232236580 /nfs/dbraw/zinc/23/65/80/232236580.db2.gz MGCVPGUTMJHYSI-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCO[C@@H](C)[C@H]1C ZINC000162567209 232255314 /nfs/dbraw/zinc/25/53/14/232255314.db2.gz DUJNSXYGWUXYHT-MNOVXSKESA-N 0 0 293.323 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCC(F)(F)F)CC2 ZINC000273260881 192092047 /nfs/dbraw/zinc/09/20/47/192092047.db2.gz IDBWLTWSWBFQKS-UHFFFAOYSA-N 0 0 260.215 2.910 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSc2ccc(Cl)cn2)c1 ZINC000173923741 232283870 /nfs/dbraw/zinc/28/38/70/232283870.db2.gz RFKCUEPSOILFTA-UHFFFAOYSA-N 0 0 284.728 2.632 20 5 CFBDRN Cc1cccc(NC(=O)CN(C)c2ccccc2[N+](=O)[O-])c1 ZINC000173015481 232272142 /nfs/dbraw/zinc/27/21/42/232272142.db2.gz MIFFFZCEAMFMAQ-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN COc1ccc(C)nc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000174792348 232300335 /nfs/dbraw/zinc/30/03/35/232300335.db2.gz VEZDBIXEFVELPN-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CN(C(=O)[C@H]1C[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000176596876 232336400 /nfs/dbraw/zinc/33/64/00/232336400.db2.gz XIHAMGAJLNZOSM-OLZOCXBDSA-N 0 0 260.293 2.604 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000176596861 232336525 /nfs/dbraw/zinc/33/65/25/232336525.db2.gz XIHAMGAJLNZOSM-CHWSQXEVSA-N 0 0 260.293 2.604 20 5 CFBDRN O=c1ccn(Cc2ccc3ccccc3c2)cc1[N+](=O)[O-] ZINC000178237908 232376505 /nfs/dbraw/zinc/37/65/05/232376505.db2.gz TYGSKILJVTVOEE-UHFFFAOYSA-N 0 0 280.283 2.958 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000177839697 232370104 /nfs/dbraw/zinc/37/01/04/232370104.db2.gz DBMTUEUMEFYYAX-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccoc2)cc1[N+](=O)[O-] ZINC000177833844 232370405 /nfs/dbraw/zinc/37/04/05/232370405.db2.gz VLNFVMHXQGTUAS-JTQLQIEISA-N 0 0 274.276 2.987 20 5 CFBDRN Cc1ccc(C(=O)NCCCc2ccccn2)cc1[N+](=O)[O-] ZINC000178603924 232383801 /nfs/dbraw/zinc/38/38/01/232383801.db2.gz JOWZELQEOUKZQC-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN CC[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000005118558 346210715 /nfs/dbraw/zinc/21/07/15/346210715.db2.gz OVFSQFTYXBKQMN-MRVPVSSYSA-N 0 0 286.715 2.542 20 5 CFBDRN CN(C[C@H]1CC1(C)C)c1ncc([N+](=O)[O-])cc1F ZINC000596564180 349942368 /nfs/dbraw/zinc/94/23/68/349942368.db2.gz KKYFDQWFWHFLDB-MRVPVSSYSA-N 0 0 253.277 2.611 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1N[C@@H]1CCN(C(C)C)C1=O ZINC000085288443 179005110 /nfs/dbraw/zinc/00/51/10/179005110.db2.gz ZTJWPIGOYSLSDX-GFCCVEGCSA-N 0 0 291.351 2.633 20 5 CFBDRN Cc1ccc(OCCN(C)c2ncccc2[N+](=O)[O-])cc1 ZINC000015143071 346234628 /nfs/dbraw/zinc/23/46/28/346234628.db2.gz YYYRVYZBGYRRKE-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN Cc1nc(N[C@H]2C[C@H](OC(C)C)C2(C)C)ncc1[N+](=O)[O-] ZINC000295128280 199361098 /nfs/dbraw/zinc/36/10/98/199361098.db2.gz XSKLDIOYFZGGNW-RYUDHWBXSA-N 0 0 294.355 2.697 20 5 CFBDRN CN(CC(=O)Nc1ccccc1[N+](=O)[O-])Cc1ccccc1 ZINC000007785772 346219139 /nfs/dbraw/zinc/21/91/39/346219139.db2.gz BXJANLZJJLOIEU-UHFFFAOYSA-N 0 0 299.330 2.665 20 5 CFBDRN COc1cc(NCCOCCF)c(Cl)cc1[N+](=O)[O-] ZINC000596571254 349944771 /nfs/dbraw/zinc/94/47/71/349944771.db2.gz RMSSMISUGLAHRR-UHFFFAOYSA-N 0 0 292.694 2.655 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1cccc(Cl)c1 ZINC000008308518 346223070 /nfs/dbraw/zinc/22/30/70/346223070.db2.gz GDNPAGJVJCRBIE-UHFFFAOYSA-N 0 0 252.661 2.725 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)CC(=O)NC(C)(C)C ZINC000016419883 346238240 /nfs/dbraw/zinc/23/82/40/346238240.db2.gz ALFQDKWWTNAIRR-LLVKDONJSA-N 0 0 293.367 2.502 20 5 CFBDRN CC(C)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000017300738 346240280 /nfs/dbraw/zinc/24/02/80/346240280.db2.gz LOAXFSVEFOSLHV-SECBINFHSA-N 0 0 268.338 2.600 20 5 CFBDRN Cc1ccccc1CCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000018104486 346241089 /nfs/dbraw/zinc/24/10/89/346241089.db2.gz KKEYGAKUATWFCD-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN CCC(C)(C)NC(=O)COc1cc(C)ccc1[N+](=O)[O-] ZINC000019192921 346242461 /nfs/dbraw/zinc/24/24/61/346242461.db2.gz CAMRGUXYMAPIGO-UHFFFAOYSA-N 0 0 280.324 2.587 20 5 CFBDRN COc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000020448447 346244103 /nfs/dbraw/zinc/24/41/03/346244103.db2.gz WWPDILJTTLHSCX-SECBINFHSA-N 0 0 290.275 2.687 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000025568730 346260099 /nfs/dbraw/zinc/26/00/99/346260099.db2.gz IRHZSVMORZXMIA-JQWIXIFHSA-N 0 0 291.351 2.709 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCc1ccc(Cl)cc1 ZINC000021960721 346247317 /nfs/dbraw/zinc/24/73/17/346247317.db2.gz AOFOSZRFYXVCIZ-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN CC(C)CCO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000596576813 349946285 /nfs/dbraw/zinc/94/62/85/349946285.db2.gz PMXSWYXVRHYZDF-GFCCVEGCSA-N 0 0 297.330 2.770 20 5 CFBDRN Nc1ccc(-c2noc([C@H]3C[C@H]4C[C@H]4C3)n2)cc1[N+](=O)[O-] ZINC000596579342 349946308 /nfs/dbraw/zinc/94/63/08/349946308.db2.gz HDWPQSFLNWZNES-MYJAWHEDSA-N 0 0 286.291 2.741 20 5 CFBDRN Cc1c(CNC(=O)N[C@@]23C[C@@H]2CCC3)cccc1[N+](=O)[O-] ZINC000596578465 349946312 /nfs/dbraw/zinc/94/63/12/349946312.db2.gz DITMHSBQFWTIMZ-WFASDCNBSA-N 0 0 289.335 2.645 20 5 CFBDRN Cc1cc(Oc2ccc([N+](=O)[O-])cn2)ccc1[N+](=O)[O-] ZINC000023612649 346252994 /nfs/dbraw/zinc/25/29/94/346252994.db2.gz NUOICQCJWKQIRH-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN COCc1cccc(NC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000025334786 346259548 /nfs/dbraw/zinc/25/95/48/346259548.db2.gz UCSIONYDQYOKON-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCc1ccc(Cl)s1 ZINC000025912237 346261985 /nfs/dbraw/zinc/26/19/85/346261985.db2.gz CHXCHUSXQDBARO-UHFFFAOYSA-N 0 0 299.739 2.578 20 5 CFBDRN Cc1c(C(=O)NCCCOCC(C)C)cccc1[N+](=O)[O-] ZINC000025968521 346262849 /nfs/dbraw/zinc/26/28/49/346262849.db2.gz JPGCIDSQRAYCGT-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cc(-c3cccs3)on2)cn1 ZINC000029211813 346279521 /nfs/dbraw/zinc/27/95/21/346279521.db2.gz NGLSWTFNFQTUFE-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN Cc1cnc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)s1 ZINC000032062110 346299656 /nfs/dbraw/zinc/29/96/56/346299656.db2.gz IJTWAHPUQQINFP-UHFFFAOYSA-N 0 0 283.334 2.982 20 5 CFBDRN Cc1nn(C)c(C)c1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000032455757 346304791 /nfs/dbraw/zinc/30/47/91/346304791.db2.gz GIUBKQHDFCVCES-UHFFFAOYSA-N 0 0 294.336 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCn2cccn2)c2ncccc12 ZINC000030517491 346288399 /nfs/dbraw/zinc/28/83/99/346288399.db2.gz XPKTWBNDIWYBCF-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN CNC(=O)c1ccc(OCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000032783052 346308230 /nfs/dbraw/zinc/30/82/30/346308230.db2.gz HEGVEZHNELPFLF-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN CC(C)[C@@H](Nc1ccc([N+](=O)[O-])cn1)C(=O)OC(C)(C)C ZINC000273284965 192099994 /nfs/dbraw/zinc/09/99/94/192099994.db2.gz DQMDVFIRVXUCEG-GFCCVEGCSA-N 0 0 295.339 2.768 20 5 CFBDRN O=C(Nc1ccncc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000042034735 346359280 /nfs/dbraw/zinc/35/92/80/346359280.db2.gz LGJBNIZZHRPRQX-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cn1ccc(COc2ccc(Cl)cc2[N+](=O)[O-])cc1=O ZINC000042090043 346360140 /nfs/dbraw/zinc/36/01/40/346360140.db2.gz JODNKTJSCHESST-UHFFFAOYSA-N 0 0 294.694 2.526 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000042918677 346374066 /nfs/dbraw/zinc/37/40/66/346374066.db2.gz BBNVNTFAGFXVLP-XPUUQOCRSA-N 0 0 286.234 2.791 20 5 CFBDRN Cc1cc(NC(=O)Nc2ccncc2[N+](=O)[O-])ccc1O ZINC000190171827 232479820 /nfs/dbraw/zinc/47/98/20/232479820.db2.gz WFKLJHVCBQVFBT-UHFFFAOYSA-N 0 0 288.263 2.648 20 5 CFBDRN COC1CCN(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000043147404 346380135 /nfs/dbraw/zinc/38/01/35/346380135.db2.gz UTISGMVFAISXNT-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc2c(c1)CCO2 ZINC000045153985 346404216 /nfs/dbraw/zinc/40/42/16/346404216.db2.gz GQSZRYWRPXKHMF-UHFFFAOYSA-N 0 0 284.271 2.782 20 5 CFBDRN CCCCN(CCCC)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000044257094 346391040 /nfs/dbraw/zinc/39/10/40/346391040.db2.gz DPNRIGAWXARWII-UHFFFAOYSA-N 0 0 267.329 2.965 20 5 CFBDRN C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000596620215 349958535 /nfs/dbraw/zinc/95/85/35/349958535.db2.gz NGLCGJQZCABSGG-GWCFXTLKSA-N 0 0 278.308 2.739 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000044484693 346394226 /nfs/dbraw/zinc/39/42/26/346394226.db2.gz LNGWFYAAEATVPH-SCZZXKLOSA-N 0 0 268.338 2.965 20 5 CFBDRN CCCN(CCC)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000046305110 346424706 /nfs/dbraw/zinc/42/47/06/346424706.db2.gz JBTHCDHTAGGZQR-UHFFFAOYSA-N 0 0 290.323 2.733 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@@H]1c1ccsc1 ZINC000046913158 346437994 /nfs/dbraw/zinc/43/79/94/346437994.db2.gz NJKSLXPZLXJDCE-GFCCVEGCSA-N 0 0 291.332 2.962 20 5 CFBDRN COC(=O)c1ccoc1COc1ccc([N+](=O)[O-])cc1F ZINC000047354980 346450251 /nfs/dbraw/zinc/45/02/51/346450251.db2.gz ACCSEJACZOLKLG-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000047382465 346450261 /nfs/dbraw/zinc/45/02/61/346450261.db2.gz IJFOMFODJHDETH-JTQLQIEISA-N 0 0 266.297 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@]12C[C@H]1CCC2 ZINC000596639786 349965356 /nfs/dbraw/zinc/96/53/56/349965356.db2.gz ZFCWYXCVGUCWEL-QMTHXVAHSA-N 0 0 275.308 2.967 20 5 CFBDRN Cc1c(NC(=O)N[C@@]23C[C@@H]2CCC3)cccc1[N+](=O)[O-] ZINC000596635168 349963492 /nfs/dbraw/zinc/96/34/92/349963492.db2.gz IEGVELBZCZHDTC-HZMBPMFUSA-N 0 0 275.308 2.967 20 5 CFBDRN Cc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(C)n1 ZINC000048637646 346475591 /nfs/dbraw/zinc/47/55/91/346475591.db2.gz FJJBVPZZYSMNCP-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CN(Cc1ccccc1)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000052474058 346522941 /nfs/dbraw/zinc/52/29/41/346522941.db2.gz CPVHNSVMRNWPLC-UHFFFAOYSA-N 0 0 299.330 2.665 20 5 CFBDRN O=C(NC1CCCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052604372 346525526 /nfs/dbraw/zinc/52/55/26/346525526.db2.gz UAXKNWWGYOAMJE-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN CCC[C@H](C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000053215888 346540854 /nfs/dbraw/zinc/54/08/54/346540854.db2.gz QSTRQPLKRZNJML-NSHDSACASA-N 0 0 264.325 2.690 20 5 CFBDRN CNC(=O)c1ccc(NCCc2ccccc2)c([N+](=O)[O-])c1 ZINC000053548238 346549238 /nfs/dbraw/zinc/54/92/38/346549238.db2.gz CMZGWGZLBDETIM-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)NCCC(C)(C)C)c1 ZINC000053542550 346549614 /nfs/dbraw/zinc/54/96/14/346549614.db2.gz UDEAFJWOZASJIZ-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN Cc1ccc(OCC(=O)NCCC(C)(C)C)c([N+](=O)[O-])c1 ZINC000053571702 346550051 /nfs/dbraw/zinc/55/00/51/346550051.db2.gz ZNBUNWULZPIHRS-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN CCC1CCN(C(=O)c2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000054613036 346573616 /nfs/dbraw/zinc/57/36/16/346573616.db2.gz RLUIEENYTSPNBX-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1csc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000054950168 346579790 /nfs/dbraw/zinc/57/97/90/346579790.db2.gz YEBHXNYUAWWQQN-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN Cc1ccc(NC(=O)CCc2nccs2)cc1[N+](=O)[O-] ZINC000055183873 346584277 /nfs/dbraw/zinc/58/42/77/346584277.db2.gz HQXBJLRRQVWJMW-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN CC(C)(C)CCNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000055325765 346587094 /nfs/dbraw/zinc/58/70/94/346587094.db2.gz OZYKZLGQSREERR-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)cc1F ZINC000055797812 346596845 /nfs/dbraw/zinc/59/68/45/346596845.db2.gz FXVURHLDPBODEP-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN COCCN(C)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000055930196 346600625 /nfs/dbraw/zinc/60/06/25/346600625.db2.gz SAGBBFPTBJYAIK-UHFFFAOYSA-N 0 0 294.351 2.611 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H]1c1ccccn1 ZINC000056275378 346604916 /nfs/dbraw/zinc/60/49/16/346604916.db2.gz VAJUGUCMEIKLIV-LLVKDONJSA-N 0 0 287.275 2.560 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@H]1C1CCCC1 ZINC000056355561 346607492 /nfs/dbraw/zinc/60/74/92/346607492.db2.gz HOIAIOPQTHOMFV-ZDUSSCGKSA-N 0 0 277.324 2.718 20 5 CFBDRN Cc1cccc(CNC(=O)NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000056459438 346608841 /nfs/dbraw/zinc/60/88/41/346608841.db2.gz NNZZIDZABGFFPQ-UHFFFAOYSA-N 0 0 299.330 2.903 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC[C@H]1CCCO1 ZINC000058825144 346651258 /nfs/dbraw/zinc/65/12/58/346651258.db2.gz INTDUAWEQKSCEW-GFCCVEGCSA-N 0 0 293.323 2.594 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1cccc(O)c1 ZINC000060322587 346661668 /nfs/dbraw/zinc/66/16/68/346661668.db2.gz BOSHTPKAGLQLLD-UHFFFAOYSA-N 0 0 258.277 2.955 20 5 CFBDRN CCCN(CC1CCCCC1)c1ncc([N+](=O)[O-])c(N)n1 ZINC000060452547 346666505 /nfs/dbraw/zinc/66/65/05/346666505.db2.gz CUTPBWMZEZRENX-UHFFFAOYSA-N 0 0 293.371 2.764 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1nc(C)c(C)o1 ZINC000060533206 346667628 /nfs/dbraw/zinc/66/76/28/346667628.db2.gz AWHREDKGMMBUCI-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN CCCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000060657821 346670240 /nfs/dbraw/zinc/67/02/40/346670240.db2.gz AEVKAEGNMALQLS-UHFFFAOYSA-N 0 0 279.340 2.533 20 5 CFBDRN CN(CCOc1ccccc1)c1ccc([N+](=O)[O-])cn1 ZINC000060846582 346671964 /nfs/dbraw/zinc/67/19/64/346671964.db2.gz ZXDFIYVZDXLLNH-UHFFFAOYSA-N 0 0 273.292 2.505 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000063815301 346711236 /nfs/dbraw/zinc/71/12/36/346711236.db2.gz VSJDCRSGTWJSPX-LBPRGKRZSA-N 0 0 292.335 2.864 20 5 CFBDRN CCCC(C)(C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000065042555 346728705 /nfs/dbraw/zinc/72/87/05/346728705.db2.gz CEOVNZVMERCROS-UHFFFAOYSA-N 0 0 250.298 2.903 20 5 CFBDRN CCc1ccc(C(=O)Cn2c(C)ncc2[N+](=O)[O-])cc1 ZINC000064331911 346717830 /nfs/dbraw/zinc/71/78/30/346717830.db2.gz ZBNXIJDQHVRZKM-UHFFFAOYSA-N 0 0 273.292 2.545 20 5 CFBDRN Cc1cc(C)n(CCCNc2ccsc2[N+](=O)[O-])n1 ZINC000063256116 346698581 /nfs/dbraw/zinc/69/85/81/346698581.db2.gz HOBKWZAFEFPBMV-UHFFFAOYSA-N 0 0 280.353 2.972 20 5 CFBDRN CNC(=O)c1ccc(N2CCC[C@@H](C)CC2)c([N+](=O)[O-])c1 ZINC000063282726 346699442 /nfs/dbraw/zinc/69/94/42/346699442.db2.gz UBUFQZXHHPAWCI-LLVKDONJSA-N 0 0 291.351 2.581 20 5 CFBDRN O=C(Cc1cccs1)Nc1ccc([N+](=O)[O-])cc1F ZINC000063447029 346700103 /nfs/dbraw/zinc/70/01/03/346700103.db2.gz CDKOCJCZCWGZOU-UHFFFAOYSA-N 0 0 280.280 2.977 20 5 CFBDRN COc1cccc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c1 ZINC000063447014 346700244 /nfs/dbraw/zinc/70/02/44/346700244.db2.gz DGDWOMGKLVZCSA-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1c(NC(=O)CCCn2cccn2)cccc1[N+](=O)[O-] ZINC000063473551 346701365 /nfs/dbraw/zinc/70/13/65/346701365.db2.gz LSLKUCYVXZMBQW-UHFFFAOYSA-N 0 0 288.307 2.519 20 5 CFBDRN COCCCN(C)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000066372052 346756388 /nfs/dbraw/zinc/75/63/88/346756388.db2.gz ASAPVVZCFIAFJW-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN Cc1cc(C(=O)Nc2cnn(C(C)C)c2)ccc1[N+](=O)[O-] ZINC000066411848 346759580 /nfs/dbraw/zinc/75/95/80/346759580.db2.gz GJEBCSSUTJTSKI-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CC(C)(C)SCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000066738466 346775885 /nfs/dbraw/zinc/77/58/85/346775885.db2.gz WHZJSSHZRIZNMQ-UHFFFAOYSA-N 0 0 282.365 2.856 20 5 CFBDRN COc1ccccc1CN(C)c1ccncc1[N+](=O)[O-] ZINC000066796438 346779634 /nfs/dbraw/zinc/77/96/34/346779634.db2.gz IZTOZGFEYWKIBS-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C)S[C@@H](C)C1 ZINC000075893434 347106208 /nfs/dbraw/zinc/10/62/08/347106208.db2.gz JPRHLHQUUWILMC-PHIMTYICSA-N 0 0 296.392 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@]2(O)CCCc3ccccc32)nc1 ZINC000066859823 346782646 /nfs/dbraw/zinc/78/26/46/346782646.db2.gz JCYLKIFLXVLRQG-INIZCTEOSA-N 0 0 299.330 2.626 20 5 CFBDRN CN(C)c1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)cc1 ZINC000067071278 346790785 /nfs/dbraw/zinc/79/07/85/346790785.db2.gz IMSWXNDLKAZUCL-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN CCO[C@H]1CCCN(Cc2ccc(OC)c([N+](=O)[O-])c2)C1 ZINC000076402654 347136931 /nfs/dbraw/zinc/13/69/31/347136931.db2.gz BLZMMBCFLUREEW-ZDUSSCGKSA-N 0 0 294.351 2.604 20 5 CFBDRN O=C(NCCC(F)(F)F)Nc1cccc([N+](=O)[O-])c1 ZINC000076488610 347141086 /nfs/dbraw/zinc/14/10/86/347141086.db2.gz YOUWGHSNEHXYSK-UHFFFAOYSA-N 0 0 277.202 2.669 20 5 CFBDRN Cc1cc(N2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000076710520 347148811 /nfs/dbraw/zinc/14/88/11/347148811.db2.gz UEDRCUUIDLQDMK-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN CC(C)Oc1ccc(CNc2ccc([N+](=O)[O-])nc2)cn1 ZINC000076805670 347155198 /nfs/dbraw/zinc/15/51/98/347155198.db2.gz UEUMKKSTESVFDY-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H](CCO)c1ccccc1 ZINC000077231536 347178162 /nfs/dbraw/zinc/17/81/62/347178162.db2.gz PQKMKNZIZHJLOU-ZDUSSCGKSA-N 0 0 287.319 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CCO)c2ccccc2)nc1 ZINC000077231856 347178439 /nfs/dbraw/zinc/17/84/39/347178439.db2.gz AKCMPYDGIIJFCG-CYBMUJFWSA-N 0 0 287.319 2.568 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000077229105 347178667 /nfs/dbraw/zinc/17/86/67/347178667.db2.gz CNKXOWHJLSVKSY-IUCAKERBSA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1c(Cn2c3ccccc3n(C)c2=O)cccc1[N+](=O)[O-] ZINC000077331481 347187641 /nfs/dbraw/zinc/18/76/41/347187641.db2.gz GTTDMYWQBQJAFZ-UHFFFAOYSA-N 0 0 297.314 2.605 20 5 CFBDRN Cc1nn(C)c(C)c1C[C@@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000078399274 347250206 /nfs/dbraw/zinc/25/02/06/347250206.db2.gz BPGFYVJOZPHKMC-SNVBAGLBSA-N 0 0 288.351 2.988 20 5 CFBDRN CCCc1noc(COc2cc([N+](=O)[O-])ccc2C)n1 ZINC000077910732 347219987 /nfs/dbraw/zinc/21/99/87/347219987.db2.gz UYYOGXMIFFFOKI-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H]2O)c(Cl)c1 ZINC000077993623 347223370 /nfs/dbraw/zinc/22/33/70/347223370.db2.gz AZJRHNGTUNQKEM-GHMZBOCLSA-N 0 0 256.689 2.574 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000078000531 347224425 /nfs/dbraw/zinc/22/44/25/347224425.db2.gz NTLYOVUIHQGWII-RKDXNWHRSA-N 0 0 268.338 2.917 20 5 CFBDRN CCOC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000078259723 347235994 /nfs/dbraw/zinc/23/59/94/347235994.db2.gz NIQWEEMKKGQRSE-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN CC[C@@H](CCO)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000078300543 347238308 /nfs/dbraw/zinc/23/83/08/347238308.db2.gz XCKVGGWADNVFRP-QMMMGPOBSA-N 0 0 290.266 2.769 20 5 CFBDRN COc1cc(N[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000078302946 347239474 /nfs/dbraw/zinc/23/94/74/347239474.db2.gz FWORMPPGIJISGN-GDLCADMTSA-N 0 0 292.335 2.829 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000078339855 347243551 /nfs/dbraw/zinc/24/35/51/347243551.db2.gz KACSFTCKTFDXSM-VIFPVBQESA-N 0 0 297.311 2.712 20 5 CFBDRN CCc1ccc([C@@H](COC)Nc2ncccc2[N+](=O)[O-])o1 ZINC000078341239 347243639 /nfs/dbraw/zinc/24/36/39/347243639.db2.gz XGJGEBMQKKABBV-LLVKDONJSA-N 0 0 291.307 2.945 20 5 CFBDRN CCC1(CNC(=O)NCCc2ccccc2[N+](=O)[O-])CC1 ZINC000078356101 347245137 /nfs/dbraw/zinc/24/51/37/347245137.db2.gz HKULUQDZNGAFLR-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN CC[C@@H](C)Nc1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000078359649 347245984 /nfs/dbraw/zinc/24/59/84/347245984.db2.gz CUBJZNSIIPDGBR-SNVBAGLBSA-N 0 0 279.340 2.943 20 5 CFBDRN C[C@](O)(CNc1cccc(F)c1[N+](=O)[O-])c1ccco1 ZINC000078375588 347247564 /nfs/dbraw/zinc/24/75/64/347247564.db2.gz AMSZGZLCHMBBOP-ZDUSSCGKSA-N 0 0 280.255 2.647 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@]2(C)c2ccccc2)c1[N+](=O)[O-] ZINC000078374100 347247570 /nfs/dbraw/zinc/24/75/70/347247570.db2.gz BZGRVRCVKWEODQ-IUODEOHRSA-N 0 0 286.335 2.779 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000078376656 347248553 /nfs/dbraw/zinc/24/85/53/347248553.db2.gz MYLPYLZONOPTDQ-ZDUSSCGKSA-N 0 0 262.265 2.507 20 5 CFBDRN O=C(CCc1cccs1)NCc1ccc([N+](=O)[O-])cc1 ZINC000079141750 347291326 /nfs/dbraw/zinc/29/13/26/347291326.db2.gz GUZLYJQDLJPUIU-UHFFFAOYSA-N 0 0 290.344 2.905 20 5 CFBDRN C[C@H]1CCCC[C@@]1(C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000308704089 232648971 /nfs/dbraw/zinc/64/89/71/232648971.db2.gz HUVGLNIENLCYMY-ZUZCIYMTSA-N 0 0 291.351 2.876 20 5 CFBDRN CCCn1cc(Nc2ncc([N+](=O)[O-])cc2Cl)cn1 ZINC000078472723 347255454 /nfs/dbraw/zinc/25/54/54/347255454.db2.gz MFPOOICEHOCVGC-UHFFFAOYSA-N 0 0 281.703 2.993 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1C[C@@H](C)S[C@@H](C)C1 ZINC000078480267 347256279 /nfs/dbraw/zinc/25/62/79/347256279.db2.gz IJPMBYHRXXVZJG-AOOOYVTPSA-N 0 0 267.354 2.628 20 5 CFBDRN C[C@@H](CNc1c([N+](=O)[O-])ncn1C)Sc1ccccc1 ZINC000078504844 347257915 /nfs/dbraw/zinc/25/79/15/347257915.db2.gz QPBNPFXQNUKFEZ-JTQLQIEISA-N 0 0 292.364 2.921 20 5 CFBDRN CCc1cnc(CCNc2ncc([N+](=O)[O-])cc2C)s1 ZINC000078513134 347258813 /nfs/dbraw/zinc/25/88/13/347258813.db2.gz JKSZUVIBJCFYMI-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN Cc1cnc(N[C@@H](C)c2nnc3ccccn32)c([N+](=O)[O-])c1 ZINC000078627443 347265388 /nfs/dbraw/zinc/26/53/88/347265388.db2.gz GBMPCXMXCFIBCH-JTQLQIEISA-N 0 0 298.306 2.514 20 5 CFBDRN CCC[C@@H](CCO)CNc1ccc([N+](=O)[O-])s1 ZINC000078673217 347269664 /nfs/dbraw/zinc/26/96/64/347269664.db2.gz AEDREZHKIDMABJ-VIFPVBQESA-N 0 0 258.343 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1nnc(C(C)C)n1C ZINC000273303834 192105622 /nfs/dbraw/zinc/10/56/22/192105622.db2.gz MBPFOPUJOMFNRM-UHFFFAOYSA-N 0 0 289.339 2.767 20 5 CFBDRN CCC[C@H]1C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000079657487 347319383 /nfs/dbraw/zinc/31/93/83/347319383.db2.gz SUWUHSSLZGIUQZ-AAEUAGOBSA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1cc(N2CCC[C@H](O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000080530848 347364431 /nfs/dbraw/zinc/36/44/31/347364431.db2.gz UDLSSWNRRVTFLD-VIFPVBQESA-N 0 0 270.716 2.518 20 5 CFBDRN CC[C@@H](CO)Nc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000080530467 347364778 /nfs/dbraw/zinc/36/47/78/347364778.db2.gz NXTAEBBHKROLFJ-QMMMGPOBSA-N 0 0 258.705 2.739 20 5 CFBDRN Cc1cc(N[C@H](C)C[C@@H]2CCCO2)ncc1[N+](=O)[O-] ZINC000080600504 347368958 /nfs/dbraw/zinc/36/89/58/347368958.db2.gz GOPQWDNWXQMTBG-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN CCO[C@@H](CCNc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000080606222 347369502 /nfs/dbraw/zinc/36/95/02/347369502.db2.gz HFVRXAMZBGQSGY-LBPRGKRZSA-N 0 0 267.329 2.853 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000080611634 347369696 /nfs/dbraw/zinc/36/96/96/347369696.db2.gz PCOOKUHUPWZJOU-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000080638587 347370913 /nfs/dbraw/zinc/37/09/13/347370913.db2.gz BIXJDUHNMUNMSP-QPUJVOFHSA-N 0 0 286.303 2.561 20 5 CFBDRN Cc1ccc(NC[C@H](O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000080638644 347371695 /nfs/dbraw/zinc/37/16/95/347371695.db2.gz VYRJAYMWFLNLLT-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC000080650737 347372684 /nfs/dbraw/zinc/37/26/84/347372684.db2.gz PGWRHFAHKCRJDL-AOOOYVTPSA-N 0 0 280.299 2.835 20 5 CFBDRN Nc1nc(Oc2cccc3c2CCCC3)ncc1[N+](=O)[O-] ZINC000080676479 347374072 /nfs/dbraw/zinc/37/40/72/347374072.db2.gz QCTITWQLPAFYBH-UHFFFAOYSA-N 0 0 286.291 2.638 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](C)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000080688050 347375595 /nfs/dbraw/zinc/37/55/95/347375595.db2.gz ZUUZUDHKMINSAR-UWVGGRQHSA-N 0 0 287.323 2.571 20 5 CFBDRN CC(C)c1nncn1CCNc1ccc([N+](=O)[O-])cc1F ZINC000080759195 347378520 /nfs/dbraw/zinc/37/85/20/347378520.db2.gz SIEDSJOWTFBJIL-UHFFFAOYSA-N 0 0 293.302 2.561 20 5 CFBDRN C[C@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000080960105 347389630 /nfs/dbraw/zinc/38/96/30/347389630.db2.gz VXLXYQNYLPXFHW-YUMQZZPRSA-N 0 0 286.378 2.622 20 5 CFBDRN CCOc1ccc(COc2ncc(C)cc2[N+](=O)[O-])nc1 ZINC000083597393 347452921 /nfs/dbraw/zinc/45/29/21/347452921.db2.gz GEKOBGZCKVDCGI-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN CCCCOCCNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000081589511 347428500 /nfs/dbraw/zinc/42/85/00/347428500.db2.gz FOFNFVBMEVYCDS-UHFFFAOYSA-N 0 0 290.323 2.767 20 5 CFBDRN C[C@@H](SCc1nc2cc([N+](=O)[O-])ccc2o1)[C@H](C)O ZINC000083093461 347447807 /nfs/dbraw/zinc/44/78/07/347447807.db2.gz KJKYPZFJEKXHFU-JGVFFNPUSA-N 0 0 282.321 2.739 20 5 CFBDRN Cc1ccc(C(N)=O)cc1NCc1ccccc1[N+](=O)[O-] ZINC000083137466 347448813 /nfs/dbraw/zinc/44/88/13/347448813.db2.gz YVMRIWWJDCVNJY-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000086757593 347489145 /nfs/dbraw/zinc/48/91/45/347489145.db2.gz SQMLTGWGOFAYLE-NWDGAFQWSA-N 0 0 292.335 2.843 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2ncccc2F)cc1 ZINC000084482929 347464534 /nfs/dbraw/zinc/46/45/34/347464534.db2.gz YGNLFPVZRUVFHQ-UHFFFAOYSA-N 0 0 276.271 2.653 20 5 CFBDRN CN(C(=O)COCC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000410614384 232701787 /nfs/dbraw/zinc/70/17/87/232701787.db2.gz JCSXJXZMCCDDGR-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1noc(C)c1CCCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000084928191 347476439 /nfs/dbraw/zinc/47/64/39/347476439.db2.gz YQMAOYWZLJBRIQ-UHFFFAOYSA-N 0 0 290.323 2.948 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCCO)c(Br)c1 ZINC000085162648 347477849 /nfs/dbraw/zinc/47/78/49/347477849.db2.gz XYSICSGEIDYXNY-UHFFFAOYSA-N 0 0 292.154 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOC[C@@H]2CCCO2)c1 ZINC000088698950 347507193 /nfs/dbraw/zinc/50/71/93/347507193.db2.gz CDPDQOZNKSSHDO-ZDUSSCGKSA-N 0 0 294.351 2.901 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCN(C)CC(F)(F)F)c1 ZINC000088722434 347507694 /nfs/dbraw/zinc/50/76/94/347507694.db2.gz WSJJVBZZWPDONV-UHFFFAOYSA-N 0 0 291.273 2.809 20 5 CFBDRN Cc1ncc([C@@H](C)NCc2ccccc2[N+](=O)[O-])c(C)n1 ZINC000089511998 347520608 /nfs/dbraw/zinc/52/06/08/347520608.db2.gz LGRRLAVAQDPKPO-SNVBAGLBSA-N 0 0 286.335 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCOC[C@H]1C1CC1 ZINC000090008485 347534731 /nfs/dbraw/zinc/53/47/31/347534731.db2.gz OJETUSXYEQTKFH-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN C[C@H](O)CCNc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090093045 347541486 /nfs/dbraw/zinc/54/14/86/347541486.db2.gz BUCDKNBQTGLUQM-NSHDSACASA-N 0 0 287.319 2.840 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC000090579342 347552968 /nfs/dbraw/zinc/55/29/68/347552968.db2.gz YVNKFDSXKCRKMH-QWRGUYRKSA-N 0 0 294.351 2.976 20 5 CFBDRN CCOc1cc(NCc2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000090792387 347557456 /nfs/dbraw/zinc/55/74/56/347557456.db2.gz KRQFJNAOCOIHNA-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC[C@@H](CSC)N(C)c1ccc([N+](=O)[O-])c(C)n1 ZINC000091022506 347567195 /nfs/dbraw/zinc/56/71/95/347567195.db2.gz VOOHQWHISZIARA-JTQLQIEISA-N 0 0 269.370 2.876 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC1(O)CCCCC1 ZINC000091477721 347589260 /nfs/dbraw/zinc/58/92/60/347589260.db2.gz CPHHUGKFZCXVPW-UHFFFAOYSA-N 0 0 294.351 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3ncc[nH]3)C2)cc1 ZINC000091480175 347589352 /nfs/dbraw/zinc/58/93/52/347589352.db2.gz UBHUFBDDIGAYMO-LLVKDONJSA-N 0 0 272.308 2.702 20 5 CFBDRN COc1cc(CCNc2ccc([N+](=O)[O-])cc2)ccc1O ZINC000091483353 347589799 /nfs/dbraw/zinc/58/97/99/347589799.db2.gz HHWCVKVFFHQVGQ-UHFFFAOYSA-N 0 0 288.303 2.964 20 5 CFBDRN CN(Cc1cnccn1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000091484070 347589927 /nfs/dbraw/zinc/58/99/27/347589927.db2.gz SABDJHGMSDGIFE-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN O=C1Cc2cc(Nc3ccc([N+](=O)[O-])cc3)ccc2N1 ZINC000091483350 347590027 /nfs/dbraw/zinc/59/00/27/347590027.db2.gz KGPBCDOVEVSKTI-UHFFFAOYSA-N 0 0 269.260 2.833 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1ccc([N+](=O)[O-])cc1 ZINC000091506054 347591279 /nfs/dbraw/zinc/59/12/79/347591279.db2.gz XNRSAIQXGDPZPF-JTQLQIEISA-N 0 0 266.297 2.596 20 5 CFBDRN C[C@@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])[C@@H]1C ZINC000091859223 347601193 /nfs/dbraw/zinc/60/11/93/347601193.db2.gz LEZNYYTZHDZJGR-NXEZZACHSA-N 0 0 250.298 2.531 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000092624284 347635955 /nfs/dbraw/zinc/63/59/55/347635955.db2.gz GCQILTBRUMTLCZ-WDEREUQCSA-N 0 0 279.340 2.618 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cccc(N(C)C)c1 ZINC000092629805 347636123 /nfs/dbraw/zinc/63/61/23/347636123.db2.gz ZVXJWJJOZKMVGF-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cnn(CC(F)F)c1 ZINC000092655315 347637240 /nfs/dbraw/zinc/63/72/40/347637240.db2.gz MZGBXJBUCXQOSD-UHFFFAOYSA-N 0 0 283.238 2.503 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1ccc([N+](=O)[O-])c(C)c1 ZINC000092686898 347641118 /nfs/dbraw/zinc/64/11/18/347641118.db2.gz NSDMTCIPMIGDSX-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CCOc1cc(N2CCC[C@@H]2C(C)(C)O)ccc1[N+](=O)[O-] ZINC000092693091 347641393 /nfs/dbraw/zinc/64/13/93/347641393.db2.gz JGPOBLCSEMDAFS-CQSZACIVSA-N 0 0 294.351 2.733 20 5 CFBDRN C[C@@]1(Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)CCOC1 ZINC000092688757 347641760 /nfs/dbraw/zinc/64/17/60/347641760.db2.gz RUULMMGMOOJGAC-GFCCVEGCSA-N 0 0 288.250 2.787 20 5 CFBDRN Cc1cccn2cc(CNc3ncccc3[N+](=O)[O-])nc12 ZINC000092826024 347648464 /nfs/dbraw/zinc/64/84/64/347648464.db2.gz KUAHKKHHJGSTBD-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2cccc(F)c2Cl)c1 ZINC000092855196 347649044 /nfs/dbraw/zinc/64/90/44/347649044.db2.gz DHLNOUFQZDZXQU-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2c(F)cccc2[N+](=O)[O-])o1 ZINC000563868550 290180063 /nfs/dbraw/zinc/18/00/63/290180063.db2.gz RNTRBSVMOOOGBP-ZCFIWIBFSA-N 0 0 266.232 2.598 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[NH+]1CCC(CC(=O)[O-])CC1 ZINC000093682238 347681712 /nfs/dbraw/zinc/68/17/12/347681712.db2.gz SXBOVEBWPGLSFS-LLVKDONJSA-N 0 0 292.335 2.843 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000098122501 347712869 /nfs/dbraw/zinc/71/28/69/347712869.db2.gz OXUBSQZMPZWDRA-WDEREUQCSA-N 0 0 279.340 2.829 20 5 CFBDRN COC1CCC([N@H+](C)Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000093414816 347672907 /nfs/dbraw/zinc/67/29/07/347672907.db2.gz XAZZZTSYZMEDIN-UHFFFAOYSA-N 0 0 294.351 2.690 20 5 CFBDRN O=C(Nc1cnc(C2CC2)nc1)c1ccc([N+](=O)[O-])cc1 ZINC000103020256 347721876 /nfs/dbraw/zinc/72/18/76/347721876.db2.gz JZHOAUDQQUYSJT-UHFFFAOYSA-N 0 0 284.275 2.515 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC000110595921 347748761 /nfs/dbraw/zinc/74/87/61/347748761.db2.gz XJGOJRIGAZLUNS-LBPRGKRZSA-N 0 0 286.335 2.779 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C(C)(C)C ZINC000112250330 347759442 /nfs/dbraw/zinc/75/94/42/347759442.db2.gz XMIWXJAUVHXBLR-SECBINFHSA-N 0 0 280.324 2.768 20 5 CFBDRN COC(=O)CC(C)(C)CC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000119841712 347804364 /nfs/dbraw/zinc/80/43/64/347804364.db2.gz GFHZLKIPIZHMKG-UHFFFAOYSA-N 0 0 294.307 2.513 20 5 CFBDRN CSCCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000119984563 347804815 /nfs/dbraw/zinc/80/48/15/347804815.db2.gz XASGXUHILFEDPJ-UHFFFAOYSA-N 0 0 283.353 2.696 20 5 CFBDRN Cc1cnc(NC[C@H]2CCCS2)c([N+](=O)[O-])c1 ZINC000122082665 347814123 /nfs/dbraw/zinc/81/41/23/347814123.db2.gz NWLSJKAIUFCPSM-SECBINFHSA-N 0 0 253.327 2.606 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NC3CC=CC3)cc2N1 ZINC000125044213 347826836 /nfs/dbraw/zinc/82/68/36/347826836.db2.gz JJZXQUIIWREQJL-UHFFFAOYSA-N 0 0 273.292 2.610 20 5 CFBDRN CC(C)(CO)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000128904566 347854963 /nfs/dbraw/zinc/85/49/63/347854963.db2.gz HVEUQPBMXATBIJ-UHFFFAOYSA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C1CCC1 ZINC000130084818 347867716 /nfs/dbraw/zinc/86/77/16/347867716.db2.gz HZGQFMDQXASMJH-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN CCOC(=O)CCCCOc1ccc(OC)cc1[N+](=O)[O-] ZINC000128639505 187381626 /nfs/dbraw/zinc/38/16/26/187381626.db2.gz YHHXAVQKVXIXJB-UHFFFAOYSA-N 0 0 297.307 2.716 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1nccs1 ZINC000131205042 347876551 /nfs/dbraw/zinc/87/65/51/347876551.db2.gz KTCTWIMJVJJQIR-ZETCQYMHSA-N 0 0 281.293 2.525 20 5 CFBDRN CNc1ccc(C(=O)NCCCC2CC2)cc1[N+](=O)[O-] ZINC000134824122 347901389 /nfs/dbraw/zinc/90/13/89/347901389.db2.gz HFDOXGFQZLVZOP-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN C[C@H](Nc1ccc2ncc([N+](=O)[O-])n2n1)c1ccccc1 ZINC000144082889 347940066 /nfs/dbraw/zinc/94/00/66/347940066.db2.gz WOPLFHFDLULZAL-JTQLQIEISA-N 0 0 283.291 2.811 20 5 CFBDRN O=C(NC1CC=CC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000151598900 347963531 /nfs/dbraw/zinc/96/35/31/347963531.db2.gz ARZMTIGWLVEDRR-UHFFFAOYSA-N 0 0 266.684 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC1(CO)CCCCC1 ZINC000157562754 348000851 /nfs/dbraw/zinc/00/08/51/348000851.db2.gz RXSWWKODPQIIJJ-UHFFFAOYSA-N 0 0 299.758 2.998 20 5 CFBDRN Cc1cccc(OCCNc2cccnc2[N+](=O)[O-])c1 ZINC000159297335 348011475 /nfs/dbraw/zinc/01/14/75/348011475.db2.gz OFMSTBASFWAYAO-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1CSCCS1 ZINC000306099953 651088205 /nfs/dbraw/zinc/08/82/05/651088205.db2.gz PCVRITVFVSNNKB-MRVPVSSYSA-N 0 0 288.369 2.994 20 5 CFBDRN Cc1nc(NCc2ccc(C3CCC3)cc2)ncc1[N+](=O)[O-] ZINC000450481063 539595977 /nfs/dbraw/zinc/59/59/77/539595977.db2.gz AGCMMMQOEXVDTL-UHFFFAOYSA-N 0 0 298.346 2.995 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccc(C)c(F)c2)c1[N+](=O)[O-] ZINC000171367456 348075303 /nfs/dbraw/zinc/07/53/03/348075303.db2.gz ZUSOFPFMFGHIGV-UHFFFAOYSA-N 0 0 292.270 2.580 20 5 CFBDRN Cc1c(NC(=O)N2CCS[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000171359426 348075456 /nfs/dbraw/zinc/07/54/56/348075456.db2.gz IWKDEZMTEFIYGW-SECBINFHSA-N 0 0 295.364 2.872 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCCCCO ZINC000166215465 348041565 /nfs/dbraw/zinc/04/15/65/348041565.db2.gz KARLLLIEPBGTMP-UHFFFAOYSA-N 0 0 275.308 2.718 20 5 CFBDRN C[C@H]1C[C@H]1CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000167222217 348045213 /nfs/dbraw/zinc/04/52/13/348045213.db2.gz AYPQRAHQOLHFLF-IUCAKERBSA-N 0 0 258.281 2.606 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000171875605 348083409 /nfs/dbraw/zinc/08/34/09/348083409.db2.gz MYVFYOJLPMYDJH-LBPRGKRZSA-N 0 0 293.367 2.901 20 5 CFBDRN O=C(c1cccs1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172424551 348093539 /nfs/dbraw/zinc/09/35/39/348093539.db2.gz REMFGUHYLIYKLP-UHFFFAOYSA-N 0 0 274.301 2.859 20 5 CFBDRN CSCCCC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172421317 348093650 /nfs/dbraw/zinc/09/36/50/348093650.db2.gz ZVKYIQSESYFBHX-UHFFFAOYSA-N 0 0 280.349 2.627 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000172452961 348095320 /nfs/dbraw/zinc/09/53/20/348095320.db2.gz LCYWPGSLPGMGAY-SECBINFHSA-N 0 0 268.288 2.756 20 5 CFBDRN CN(C(=O)CNc1cccc([N+](=O)[O-])c1)C1CCCCC1 ZINC000198528575 348150451 /nfs/dbraw/zinc/15/04/51/348150451.db2.gz JMUSFSNUMXUNDZ-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN CN(Cc1ccco1)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000209233369 348158180 /nfs/dbraw/zinc/15/81/80/348158180.db2.gz SFODKOHMQLDJAH-FPLPWBNLSA-N 0 0 286.287 2.860 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC(C1CC1)C1CC1 ZINC000210232968 348158437 /nfs/dbraw/zinc/15/84/37/348158437.db2.gz ADBKVVDIJNLTJF-WTKPLQERSA-N 0 0 286.331 2.913 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H](OC)C2(C)C)c(F)cc1[N+](=O)[O-] ZINC000218868817 348167878 /nfs/dbraw/zinc/16/78/78/348167878.db2.gz YDLRWOKPXISVAC-OLZOCXBDSA-N 0 0 298.314 2.968 20 5 CFBDRN CCOC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000219582767 348168957 /nfs/dbraw/zinc/16/89/57/348168957.db2.gz YSLCDVAOBRTQNX-UHFFFAOYSA-N 0 0 253.254 2.559 20 5 CFBDRN COc1ccc(NCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000225895275 348180717 /nfs/dbraw/zinc/18/07/17/348180717.db2.gz DEBAHOKDKCUIIC-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000227821165 348190795 /nfs/dbraw/zinc/19/07/95/348190795.db2.gz BBYFKRKJWHPSKX-RYUDHWBXSA-N 0 0 250.298 2.820 20 5 CFBDRN CC(C)CCNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000086964415 179369947 /nfs/dbraw/zinc/36/99/47/179369947.db2.gz QVZNTRKZVJUWQD-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN C[C@H]1OCC[C@]1(C)Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000230098305 348204436 /nfs/dbraw/zinc/20/44/36/348204436.db2.gz CONBQPJJZRANIJ-OTYXRUKQSA-N 0 0 288.307 2.517 20 5 CFBDRN Cc1ccc(NCC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000230102415 348204561 /nfs/dbraw/zinc/20/45/61/348204561.db2.gz NIEOXXHMZUVLFB-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC[C@@H]1CCOC1 ZINC000230102469 348204569 /nfs/dbraw/zinc/20/45/69/348204569.db2.gz USPKUBYYXKSGIM-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000230187145 348205308 /nfs/dbraw/zinc/20/53/08/348205308.db2.gz JBIXVMSPISSAHB-GHMZBOCLSA-N 0 0 265.313 2.524 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000245420916 348253771 /nfs/dbraw/zinc/25/37/71/348253771.db2.gz CMJKTYFHLUIMBS-NHAGDIPZSA-N 0 0 288.347 2.989 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NOCC(C)C ZINC000273335547 192117297 /nfs/dbraw/zinc/11/72/97/192117297.db2.gz OWQXPMFKAGCMSH-DHZHZOJOSA-N 0 0 278.308 2.702 20 5 CFBDRN CS[C@H](C)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000128854564 187393818 /nfs/dbraw/zinc/39/38/18/187393818.db2.gz BAYZECFNFYZHTD-ZCFIWIBFSA-N 0 0 261.734 2.807 20 5 CFBDRN CN(c1nc2sccn2c1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000302859050 282601630 /nfs/dbraw/zinc/60/16/30/282601630.db2.gz NXTRXQQLNWZYGV-ZETCQYMHSA-N 0 0 266.326 2.539 20 5 CFBDRN Cc1cccc(CCNC(=O)c2c(C)cccc2[N+](=O)[O-])n1 ZINC000279529957 348339915 /nfs/dbraw/zinc/33/99/15/348339915.db2.gz VXVJOWGGDCXYQW-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CO[C@@H](C)CCNC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000273350058 192122840 /nfs/dbraw/zinc/12/28/40/192122840.db2.gz YAVWVPIMWVVHBD-IIANPFDCSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)O[C@@H](Cn1ncc([N+](=O)[O-])c1N)c1ccccc1 ZINC000292099760 348355687 /nfs/dbraw/zinc/35/56/87/348355687.db2.gz OWWRZIBMBLZEBR-ZDUSSCGKSA-N 0 0 290.323 2.540 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC[C@H]2CF)s1 ZINC000292773009 348359351 /nfs/dbraw/zinc/35/93/51/348359351.db2.gz LEDSFHGKHKAHHD-ZETCQYMHSA-N 0 0 282.300 2.538 20 5 CFBDRN Cc1cc(N2CCC[C@H]2CF)c(F)cc1[N+](=O)[O-] ZINC000292777239 348359524 /nfs/dbraw/zinc/35/95/24/348359524.db2.gz LMKDVPXXSHENBP-VIFPVBQESA-N 0 0 256.252 2.981 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CCCC[C@H]1F ZINC000413089331 233016384 /nfs/dbraw/zinc/01/63/84/233016384.db2.gz WYTJUBSETFMTFC-VXGBXAGGSA-N 0 0 295.314 2.675 20 5 CFBDRN Cc1ccnc(N[C@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000310783486 348410475 /nfs/dbraw/zinc/41/04/75/348410475.db2.gz CCMIOSRSUHBVKU-QMMMGPOBSA-N 0 0 257.240 2.898 20 5 CFBDRN Cc1cc(CNc2c(Cl)cncc2[N+](=O)[O-])on1 ZINC000311034457 348411332 /nfs/dbraw/zinc/41/13/32/348411332.db2.gz XZGCGAIWHHGZOH-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccc(C)o1 ZINC000311700276 348414408 /nfs/dbraw/zinc/41/44/08/348414408.db2.gz MBAGRURAORJATI-UHFFFAOYSA-N 0 0 264.285 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cn(C(C)C)nn2)c1 ZINC000312238994 348416967 /nfs/dbraw/zinc/41/69/67/348416967.db2.gz GHJZERJNZJQFSS-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN CC[C@@H](CCO)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000312295726 348416985 /nfs/dbraw/zinc/41/69/85/348416985.db2.gz MSLGZSPSJOBUQG-NSHDSACASA-N 0 0 282.340 2.732 20 5 CFBDRN CC[C@H](CCO)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000312295724 348417029 /nfs/dbraw/zinc/41/70/29/348417029.db2.gz MSLGZSPSJOBUQG-LLVKDONJSA-N 0 0 282.340 2.732 20 5 CFBDRN C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C(=O)OC(C)(C)C ZINC000413023707 233005303 /nfs/dbraw/zinc/00/53/03/233005303.db2.gz KCIKGTANFQDZCW-VIFPVBQESA-N 0 0 294.307 2.511 20 5 CFBDRN COc1ncc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC000312661190 348419819 /nfs/dbraw/zinc/41/98/19/348419819.db2.gz AJTQVGKXDQIHDF-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2CC2)c(C(F)(F)F)c1 ZINC000413040973 233010022 /nfs/dbraw/zinc/01/00/22/233010022.db2.gz KEGGWXCQGYZFMS-UHFFFAOYSA-N 0 0 261.203 2.831 20 5 CFBDRN C[C@H](C[C@@H](O)c1cccs1)Nc1ncccc1[N+](=O)[O-] ZINC000128876741 187396095 /nfs/dbraw/zinc/39/60/95/187396095.db2.gz QEPUGLUOBJXLIV-MWLCHTKSSA-N 0 0 293.348 2.975 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2cccnc2)C2CC2)c(F)c1 ZINC000413080749 233015194 /nfs/dbraw/zinc/01/51/94/233015194.db2.gz YPNLNMUMBBYKDZ-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN COC[C@H](CNc1ccc(C(F)F)cc1[N+](=O)[O-])OC ZINC000312860751 348420501 /nfs/dbraw/zinc/42/05/01/348420501.db2.gz YJJHHEMHBFDGHJ-VIFPVBQESA-N 0 0 290.266 2.606 20 5 CFBDRN CC(C)(C)OCCNc1c(Cl)cncc1[N+](=O)[O-] ZINC000308534959 348404588 /nfs/dbraw/zinc/40/45/88/348404588.db2.gz WMVUBWOBMCBYDB-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2Cc1nnc2n1CCCC2 ZINC000318479606 348434345 /nfs/dbraw/zinc/43/43/45/348434345.db2.gz BKEXLZIZSDVOAO-UHFFFAOYSA-N 0 0 297.318 2.526 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H](CC)C2CC2)cc1[N+](=O)[O-] ZINC000324030342 348439168 /nfs/dbraw/zinc/43/91/68/348439168.db2.gz RUWQKCNUBULGBN-LBPRGKRZSA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000313430609 348422415 /nfs/dbraw/zinc/42/24/15/348422415.db2.gz YWYIVASQTQXMND-GZMMTYOYSA-N 0 0 290.348 2.844 20 5 CFBDRN COCC(C)(C)CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000313783787 348424028 /nfs/dbraw/zinc/42/40/28/348424028.db2.gz BLKIXPMHUGSZNA-UHFFFAOYSA-N 0 0 290.323 2.623 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1N ZINC000314580143 348426095 /nfs/dbraw/zinc/42/60/95/348426095.db2.gz PIUSDFJDSQAGKF-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@@H](Cc1ccco1)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000596824102 349993836 /nfs/dbraw/zinc/99/38/36/349993836.db2.gz HQQBHERZSWDKDK-NSHDSACASA-N 0 0 288.303 2.683 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1COC(C)(C)C1 ZINC000596843143 349995703 /nfs/dbraw/zinc/99/57/03/349995703.db2.gz QEGLXTKJMWODFA-SNVBAGLBSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1ccoc1CC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000596840815 349996037 /nfs/dbraw/zinc/99/60/37/349996037.db2.gz CUHLRVWORPMRTN-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413137535 233022815 /nfs/dbraw/zinc/02/28/15/233022815.db2.gz AQOKQUVEAPBVCN-SSDOTTSWSA-N 0 0 294.286 2.777 20 5 CFBDRN Cc1nc(C)c(CNc2ncc([N+](=O)[O-])cc2F)s1 ZINC000413173394 233028903 /nfs/dbraw/zinc/02/89/03/233028903.db2.gz OZPZKJAJWPSBQS-UHFFFAOYSA-N 0 0 282.300 2.814 20 5 CFBDRN CSCCCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000401129113 348576946 /nfs/dbraw/zinc/57/69/46/348576946.db2.gz OISJXDFHRJDLHA-UHFFFAOYSA-N 0 0 259.306 2.684 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1CC12CCCC2 ZINC000401161926 348577197 /nfs/dbraw/zinc/57/71/97/348577197.db2.gz BXLJLWJMEIJTGP-SNVBAGLBSA-N 0 0 251.261 2.874 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000401773048 348578686 /nfs/dbraw/zinc/57/86/86/348578686.db2.gz ATQSXDXLBVJHJV-APPZFPTMSA-N 0 0 256.689 2.838 20 5 CFBDRN Cc1cc(N[C@H]2CO[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000402078446 348580691 /nfs/dbraw/zinc/58/06/91/348580691.db2.gz TYEFVQKMKFFOOG-DTWKUNHWSA-N 0 0 254.261 2.632 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccnc3c2cccc3[N+](=O)[O-])CO1 ZINC000402241214 348581434 /nfs/dbraw/zinc/58/14/34/348581434.db2.gz XXWOJHCCKLMMOR-UWVGGRQHSA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCC[C@H]1CCOC1 ZINC000402372036 348581828 /nfs/dbraw/zinc/58/18/28/348581828.db2.gz YTJRCQLVZDKJFB-VIFPVBQESA-N 0 0 256.327 2.885 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403237022 348583817 /nfs/dbraw/zinc/58/38/17/348583817.db2.gz PYLCXVIREDSERM-OYNCUSHFSA-N 0 0 251.261 2.729 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)[C@H](C)CO1 ZINC000404049127 348589546 /nfs/dbraw/zinc/58/95/46/348589546.db2.gz IKXIZOLTUGLCHD-MFKMUULPSA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@H](NCc1ccns1)c1cccc([N+](=O)[O-])c1 ZINC000404457208 348592067 /nfs/dbraw/zinc/59/20/67/348592067.db2.gz WZOYQAWLJVGPHS-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CC=CCC1 ZINC000273443466 192152631 /nfs/dbraw/zinc/15/26/31/192152631.db2.gz JUOXVDULPDILHJ-SECBINFHSA-N 0 0 279.271 2.964 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])cc2F)CCO[C@H]1C1CC1 ZINC000413236473 233038890 /nfs/dbraw/zinc/03/88/90/233038890.db2.gz RFRDJURUEVJLDB-JSGCOSHPSA-N 0 0 295.314 2.746 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)C2CC2)c2cccnc21 ZINC000413296637 233048748 /nfs/dbraw/zinc/04/87/48/233048748.db2.gz FVHMHDWJVDPSFM-LLVKDONJSA-N 0 0 287.319 2.573 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCSC2)c(F)c1 ZINC000413303379 233049032 /nfs/dbraw/zinc/04/90/32/233049032.db2.gz QACNUIHJTOVSMQ-MRVPVSSYSA-N 0 0 271.317 2.684 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413313266 233051194 /nfs/dbraw/zinc/05/11/94/233051194.db2.gz RNCFQSCOFZZAIQ-ZJUUUORDSA-N 0 0 275.308 2.978 20 5 CFBDRN CSCCCSc1ncc([N+](=O)[O-])c(C)n1 ZINC000413319170 233052488 /nfs/dbraw/zinc/05/24/88/233052488.db2.gz OFMSSKCPFPYTIP-UHFFFAOYSA-N 0 0 259.356 2.538 20 5 CFBDRN Cc1cn(-c2cccc([N+](=O)[O-])c2)c(=O)n1CCC(C)C ZINC000585707656 348739604 /nfs/dbraw/zinc/73/96/04/348739604.db2.gz RCUPZIKKLKFSFN-UHFFFAOYSA-N 0 0 289.335 2.902 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N1CCC[C@H]2C[C@H]21 ZINC000588065857 348769501 /nfs/dbraw/zinc/76/95/01/348769501.db2.gz DOTGDJMHUVRHLR-IONNQARKSA-N 0 0 298.140 2.741 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000588225810 348779884 /nfs/dbraw/zinc/77/98/84/348779884.db2.gz YWUDQJVHMUQRFR-SKDRFNHKSA-N 0 0 291.307 2.620 20 5 CFBDRN Cc1cc(NC(=O)N2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000588274661 348782183 /nfs/dbraw/zinc/78/21/83/348782183.db2.gz NOLOTGVQFDIZAP-MFKMUULPSA-N 0 0 275.308 2.919 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H](C)CC1 ZINC000588815087 348796632 /nfs/dbraw/zinc/79/66/32/348796632.db2.gz JKDPLHWUOPOPEA-JTQLQIEISA-N 0 0 266.345 2.746 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](C)[C@@H](C)C1 ZINC000588823400 348796678 /nfs/dbraw/zinc/79/66/78/348796678.db2.gz SQAUAXXYPCOYAB-ZJUUUORDSA-N 0 0 266.345 2.602 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1nccc(C(F)F)n1 ZINC000588851675 348798690 /nfs/dbraw/zinc/79/86/90/348798690.db2.gz YNLFCAJGTMMPRM-UHFFFAOYSA-N 0 0 286.263 2.996 20 5 CFBDRN C[C@H](CO)CNc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000585728982 348741380 /nfs/dbraw/zinc/74/13/80/348741380.db2.gz OYVJZUKFZKZRSS-JTQLQIEISA-N 0 0 266.341 2.933 20 5 CFBDRN CO[C@H]1C[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C12CCC2 ZINC000413439509 233072814 /nfs/dbraw/zinc/07/28/14/233072814.db2.gz KBUGMCLWPKXCDB-RYUDHWBXSA-N 0 0 277.324 2.668 20 5 CFBDRN COC(=O)c1ccc(OCCCCF)cc1[N+](=O)[O-] ZINC000586198997 348746769 /nfs/dbraw/zinc/74/67/69/348746769.db2.gz YGNSRVHOAVJFCG-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(COc2ccc(CO)cc2)c(F)c1 ZINC000586087706 348747132 /nfs/dbraw/zinc/74/71/32/348747132.db2.gz CUYBPSMMEFLPRD-UHFFFAOYSA-N 0 0 295.241 2.944 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H]2C[C@@H]21 ZINC000586785935 348752084 /nfs/dbraw/zinc/75/20/84/348752084.db2.gz LSGQGYUGVCDHGC-PELKAZGASA-N 0 0 279.271 2.750 20 5 CFBDRN CCc1cc(NC(=O)c2ccc([N+](=O)[O-])cn2)ccc1F ZINC000586825872 348756550 /nfs/dbraw/zinc/75/65/50/348756550.db2.gz MJEFAZJWSACSCQ-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC[C@H]2C[C@H]21 ZINC000586888815 348759538 /nfs/dbraw/zinc/75/95/38/348759538.db2.gz DEEAEROJZFJPJK-IINYFYTJSA-N 0 0 297.314 2.768 20 5 CFBDRN CCOC1CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000587415640 348761780 /nfs/dbraw/zinc/76/17/80/348761780.db2.gz ABBPANPWLRKSMZ-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC[C@@H]2C2CC2)s1 ZINC000587862089 348762566 /nfs/dbraw/zinc/76/25/66/348762566.db2.gz GILOUXLBMBIOQT-SNVBAGLBSA-N 0 0 290.348 2.978 20 5 CFBDRN CN(C)c1nc(CNc2c(F)cccc2[N+](=O)[O-])cs1 ZINC000587990292 348764494 /nfs/dbraw/zinc/76/44/94/348764494.db2.gz VFKCVKSGPJFNEI-UHFFFAOYSA-N 0 0 296.327 2.869 20 5 CFBDRN COC(=O)C1(CNc2c(F)cccc2[N+](=O)[O-])CCCC1 ZINC000587989644 348764857 /nfs/dbraw/zinc/76/48/57/348764857.db2.gz AZBPJXUWWXFVQL-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN CN(CCc1ccncc1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000589108617 348810818 /nfs/dbraw/zinc/81/08/18/348810818.db2.gz HLCCJZWLMLZPJJ-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN O=[N+]([O-])c1cc(N2CCC[C@@H](O)C2)ccc1C(F)(F)F ZINC000589103066 348811007 /nfs/dbraw/zinc/81/10/07/348811007.db2.gz MYLKFZUFVIWSRQ-SECBINFHSA-N 0 0 290.241 2.575 20 5 CFBDRN C[C@@H]1C[C@H]1c1nc(CCNc2ccccc2[N+](=O)[O-])no1 ZINC000589123959 348812033 /nfs/dbraw/zinc/81/20/33/348812033.db2.gz XLWOZRLZIVGUMS-NXEZZACHSA-N 0 0 288.307 2.756 20 5 CFBDRN COc1cc(Cc2noc([C@@H]3C[C@H]3C)n2)ccc1[N+](=O)[O-] ZINC000589136502 348813066 /nfs/dbraw/zinc/81/30/66/348813066.db2.gz UTOGXFYLQZFQLE-PSASIEDQSA-N 0 0 289.291 2.701 20 5 CFBDRN COCC[C@@H](C)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000413498987 233084825 /nfs/dbraw/zinc/08/48/25/233084825.db2.gz YDVOIINNGKWVQU-MRVPVSSYSA-N 0 0 274.267 2.958 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncnc3cccc([N+](=O)[O-])c32)CS1 ZINC000413517115 233087611 /nfs/dbraw/zinc/08/76/11/233087611.db2.gz MDSCLUXXAVPKRY-BDAKNGLRSA-N 0 0 290.348 2.844 20 5 CFBDRN CO[C@@](C)([C@H](C)Nc1ncccc1[N+](=O)[O-])C1CC1 ZINC000413524845 233089063 /nfs/dbraw/zinc/08/90/63/233089063.db2.gz XBDFNPMYVZRTDN-ZANVPECISA-N 0 0 265.313 2.605 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(N)c2F)CS1 ZINC000413523922 233089308 /nfs/dbraw/zinc/08/93/08/233089308.db2.gz UYENYFAWNMGVHG-BQBZGAKWSA-N 0 0 271.317 2.622 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1C[C@H](C)O[C@H]1C ZINC000413537359 233092396 /nfs/dbraw/zinc/09/23/96/233092396.db2.gz RLZIIJYMFBIAFK-SRVKXCTJSA-N 0 0 294.351 2.967 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(CN2C3CCC2CC3)c1 ZINC000589494285 348836031 /nfs/dbraw/zinc/83/60/31/348836031.db2.gz MOMWNPMFECFBFY-UHFFFAOYSA-N 0 0 290.319 2.508 20 5 CFBDRN COC1([C@H](C)Nc2ncc(C)cc2[N+](=O)[O-])CCC1 ZINC000413559598 233096143 /nfs/dbraw/zinc/09/61/43/233096143.db2.gz JSFGGUYRKCOLCF-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC1CCC(C(F)F)CC1 ZINC000413443946 233073952 /nfs/dbraw/zinc/07/39/52/233073952.db2.gz NPLYJLZTULKMRK-UHFFFAOYSA-N 0 0 271.267 2.648 20 5 CFBDRN Cc1nc(NC2CCC(C(F)F)CC2)ncc1[N+](=O)[O-] ZINC000413447000 233074678 /nfs/dbraw/zinc/07/46/78/233074678.db2.gz PLSNFNZYWKDIRH-UHFFFAOYSA-N 0 0 286.282 2.929 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(N)c2F)C12CCC2 ZINC000413448039 233074759 /nfs/dbraw/zinc/07/47/59/233074759.db2.gz QJFUTHBOBKHYPL-GHMZBOCLSA-N 0 0 295.314 2.686 20 5 CFBDRN COc1cc(N[C@H](C)C[C@H]2CCOC2)c([N+](=O)[O-])cc1F ZINC000589584525 348840460 /nfs/dbraw/zinc/84/04/60/348840460.db2.gz NFMXQEYSVGXKLO-NXEZZACHSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cccc(N2CCC3(CCOC3)CC2)c1[N+](=O)[O-] ZINC000589598212 348841144 /nfs/dbraw/zinc/84/11/44/348841144.db2.gz AKVLKUUKBTYKOD-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)CCC1(F)F ZINC000589601322 348842108 /nfs/dbraw/zinc/84/21/08/348842108.db2.gz MIROBXDMORWIIK-SSDOTTSWSA-N 0 0 289.257 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](n3cc(Cl)cn3)C2)s1 ZINC000589608914 348842642 /nfs/dbraw/zinc/84/26/42/348842642.db2.gz MOMHKYHQNBWVHN-SECBINFHSA-N 0 0 298.755 2.958 20 5 CFBDRN NC(=O)c1cccc(Sc2sccc2[N+](=O)[O-])c1 ZINC000589609007 348842869 /nfs/dbraw/zinc/84/28/69/348842869.db2.gz HPQRWXNWNAVKLI-UHFFFAOYSA-N 0 0 280.330 2.906 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000271081931 190937950 /nfs/dbraw/zinc/93/79/50/190937950.db2.gz JHEWODUHEXOTJF-PELKAZGASA-N 0 0 286.303 2.561 20 5 CFBDRN Cc1c(NC(=O)N2CC(C3CC3)C2)cccc1[N+](=O)[O-] ZINC000589753580 348855444 /nfs/dbraw/zinc/85/54/44/348855444.db2.gz MDEXUGDCVVWBPG-UHFFFAOYSA-N 0 0 275.308 2.777 20 5 CFBDRN C[C@@H](NC(=O)N1CC(C2CC2)C1)c1ccccc1[N+](=O)[O-] ZINC000589791329 348857219 /nfs/dbraw/zinc/85/72/19/348857219.db2.gz AAYCGIAJGSPFGV-SNVBAGLBSA-N 0 0 289.335 2.707 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC2(C1)CCCOC2 ZINC000589867010 348862417 /nfs/dbraw/zinc/86/24/17/348862417.db2.gz AEMWOAAMYMLOCJ-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN CCn1cnnc1CCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000590080239 348873323 /nfs/dbraw/zinc/87/33/23/348873323.db2.gz UDTKWUBTLSTILR-UHFFFAOYSA-N 0 0 295.730 2.514 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CS[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000413699800 233117464 /nfs/dbraw/zinc/11/74/64/233117464.db2.gz CSOBZQMCCGPGFL-GXSJLCMTSA-N 0 0 295.364 2.919 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000128997585 187403998 /nfs/dbraw/zinc/40/39/98/187403998.db2.gz PCYVTXQDFCVUIU-KBPBESRZSA-N 0 0 287.319 2.714 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000590711972 348933391 /nfs/dbraw/zinc/93/33/91/348933391.db2.gz VEHWJQLPINXLQP-WDEREUQCSA-N 0 0 293.367 2.908 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@@H]1C ZINC000590717620 348934786 /nfs/dbraw/zinc/93/47/86/348934786.db2.gz QBOUYAMGWUMUCM-DTWKUNHWSA-N 0 0 256.327 2.518 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]([C@@H](CO)C1CC1)C1CC1 ZINC000590719254 348935848 /nfs/dbraw/zinc/93/58/48/348935848.db2.gz MBYYOFVKEBGINF-JQWIXIFHSA-N 0 0 282.365 2.865 20 5 CFBDRN Cc1cccc(N2CC[S@@](=O)C(C)(C)CC2)c1[N+](=O)[O-] ZINC000590724617 348937083 /nfs/dbraw/zinc/93/70/83/348937083.db2.gz GKLOMXPLJTVXGF-HXUWFJFHSA-N 0 0 296.392 2.641 20 5 CFBDRN CC1(C)C[C@@H](O)CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000590726376 348937891 /nfs/dbraw/zinc/93/78/91/348937891.db2.gz SMEAAZXHMXUBCA-VIFPVBQESA-N 0 0 270.354 2.644 20 5 CFBDRN CCOCn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000590736723 348939293 /nfs/dbraw/zinc/93/92/93/348939293.db2.gz TWYIUVFUPVNFPR-UHFFFAOYSA-N 0 0 255.661 2.592 20 5 CFBDRN C[C@]12CN(c3ncc([N+](=O)[O-])s3)C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000590738016 348939457 /nfs/dbraw/zinc/93/94/57/348939457.db2.gz RFXXLTGICYKMKN-KEPMVKOISA-N 0 0 279.365 2.924 20 5 CFBDRN Cc1ccc(C(=O)NC/C=C/c2cccnc2)cc1[N+](=O)[O-] ZINC000590397352 348898255 /nfs/dbraw/zinc/89/82/55/348898255.db2.gz QKXSCFCQQDGXIR-HWKANZROSA-N 0 0 297.314 2.741 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1C(F)(F)F ZINC000590553259 348908472 /nfs/dbraw/zinc/90/84/72/348908472.db2.gz MUPSCKQEOUHSPN-SNVBAGLBSA-N 0 0 288.225 2.680 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H]2C(F)(F)F)cc([N+](=O)[O-])c1 ZINC000590553194 348908539 /nfs/dbraw/zinc/90/85/39/348908539.db2.gz HOAKFSWPTUDQIY-JTQLQIEISA-N 0 0 288.225 2.680 20 5 CFBDRN C[C@H]1OCC[C@@H]1Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000590582346 348910771 /nfs/dbraw/zinc/91/07/71/348910771.db2.gz PNEXSKYQHXDANK-MUWHJKNJSA-N 0 0 274.729 2.913 20 5 CFBDRN Nc1ccc(N2CCC[C@H]2c2ccccc2)nc1[N+](=O)[O-] ZINC000590572383 348910843 /nfs/dbraw/zinc/91/08/43/348910843.db2.gz KDISNSMNQFLOTG-ZDUSSCGKSA-N 0 0 284.319 2.914 20 5 CFBDRN CCSCC[C@@H](C)N(C)c1ccc(N)c([N+](=O)[O-])n1 ZINC000590584932 348911724 /nfs/dbraw/zinc/91/17/24/348911724.db2.gz PEVAMQSUPZMPQH-SECBINFHSA-N 0 0 284.385 2.540 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1ccc([N+](=O)[O-])cc1C)CC2 ZINC000590610620 348915853 /nfs/dbraw/zinc/91/58/53/348915853.db2.gz FQVGVCQTAVLFHX-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN CC[C@@](C)(CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000590773851 348947007 /nfs/dbraw/zinc/94/70/07/348947007.db2.gz UGFLCRMLFPMVNN-NHYWBVRUSA-N 0 0 294.351 2.630 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000129123294 187411988 /nfs/dbraw/zinc/41/19/88/187411988.db2.gz PUTXDRZNYDIGSD-GFCCVEGCSA-N 0 0 280.324 2.530 20 5 CFBDRN CCC1(C)CN(Cc2cc3c(cc2[N+](=O)[O-])OCCO3)C1 ZINC000590970092 348974914 /nfs/dbraw/zinc/97/49/14/348974914.db2.gz UBPKSQRTQADOHP-UHFFFAOYSA-N 0 0 292.335 2.598 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC[N@@H+]2C[C@@H]3C[C@H]2CS3)cc1 ZINC000590972760 348975733 /nfs/dbraw/zinc/97/57/33/348975733.db2.gz ZZRNNUSJKGCCGG-JSGCOSHPSA-N 0 0 294.376 2.553 20 5 CFBDRN CC1(C)CN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000591079481 348985956 /nfs/dbraw/zinc/98/59/56/348985956.db2.gz WGIYXTPMSXJEBK-QWHCGFSZSA-N 0 0 274.320 2.567 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@@H](C2CCC2)C1 ZINC000591127457 348990463 /nfs/dbraw/zinc/99/04/63/348990463.db2.gz UCMQIOYVXMEYRL-GFCCVEGCSA-N 0 0 274.320 2.857 20 5 CFBDRN CC(C)[C@@H](O)CSc1ccc([N+](=O)[O-])cc1F ZINC000591128926 348991213 /nfs/dbraw/zinc/99/12/13/348991213.db2.gz YUBOEAPHPHLHDT-JTQLQIEISA-N 0 0 259.302 2.843 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](c3ccco3)C2)c(F)c1 ZINC000591147030 348992283 /nfs/dbraw/zinc/99/22/83/348992283.db2.gz DBAPAGCCZPXFIK-VIFPVBQESA-N 0 0 277.255 2.716 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cc1F)C(C1CC1)C1CC1 ZINC000591143763 348992316 /nfs/dbraw/zinc/99/23/16/348992316.db2.gz VPLUTFFPMZHLFC-UHFFFAOYSA-N 0 0 265.288 2.754 20 5 CFBDRN CCN(Cc1ccoc1)c1ncc([N+](=O)[O-])cc1F ZINC000591144597 348992364 /nfs/dbraw/zinc/99/23/64/348992364.db2.gz AGDKQDOOJITTCS-UHFFFAOYSA-N 0 0 265.244 2.748 20 5 CFBDRN CO[C@]1(C)C[C@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000591150878 348993533 /nfs/dbraw/zinc/99/35/33/348993533.db2.gz MFWOGDCPDMEDJK-SMDDNHRTSA-N 0 0 297.330 2.769 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000129047100 187407603 /nfs/dbraw/zinc/40/76/03/187407603.db2.gz CLQJAEGVUPTNEM-NSHDSACASA-N 0 0 280.324 2.530 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(CCC3)[C@@H]3COC[C@H]32)c1 ZINC000591173917 348996520 /nfs/dbraw/zinc/99/65/20/348996520.db2.gz JVNCNXRQOIRIPR-IUODEOHRSA-N 0 0 288.347 2.909 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(C)(C)C[C@H]1C ZINC000591197170 348999221 /nfs/dbraw/zinc/99/92/21/348999221.db2.gz HJIMKXZJEITBEA-SECBINFHSA-N 0 0 266.345 2.744 20 5 CFBDRN CC1(C2(NC(=O)c3ccc([N+](=O)[O-])s3)CC2)CC1 ZINC000590883467 348961712 /nfs/dbraw/zinc/96/17/12/348961712.db2.gz QRSZGBAUMONBCP-UHFFFAOYSA-N 0 0 266.322 2.719 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1(C2(C)CC2)CC1 ZINC000590883433 348961840 /nfs/dbraw/zinc/96/18/40/348961840.db2.gz AVODTDBKEWNGAU-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CC(C)C1(NC(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000590920187 348967299 /nfs/dbraw/zinc/96/72/99/348967299.db2.gz WGMPOMUYXUBVJO-UHFFFAOYSA-N 0 0 277.324 2.583 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCC[C@H]1CCCO1)CCCC2 ZINC000591892694 349055732 /nfs/dbraw/zinc/05/57/32/349055732.db2.gz LQRSTKXJDDHNOT-GFCCVEGCSA-N 0 0 291.351 2.850 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](CCO)CC2)s1 ZINC000591465593 349025371 /nfs/dbraw/zinc/02/53/71/349025371.db2.gz XVFUOSREXVNNNM-SNVBAGLBSA-N 0 0 270.354 2.645 20 5 CFBDRN CC[C@]1(NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)CCOC1 ZINC000591513572 349028030 /nfs/dbraw/zinc/02/80/30/349028030.db2.gz HTFVNPCKGAJJFS-HNNXBMFYSA-N 0 0 292.335 2.511 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000591979985 349068574 /nfs/dbraw/zinc/06/85/74/349068574.db2.gz BKLNGYHIMOIKPB-QWRGUYRKSA-N 0 0 293.367 2.772 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000591982519 349069733 /nfs/dbraw/zinc/06/97/33/349069733.db2.gz PTJDMNWRZSDUQV-MWLCHTKSSA-N 0 0 267.329 2.507 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1F)C(=O)OC(C)(C)C ZINC000591994792 349071928 /nfs/dbraw/zinc/07/19/28/349071928.db2.gz ZAGLWIDMRDHPMM-JTQLQIEISA-N 0 0 299.302 2.661 20 5 CFBDRN CCN(CCSC)c1cc(OC)c([N+](=O)[O-])cc1F ZINC000591999125 349073226 /nfs/dbraw/zinc/07/32/26/349073226.db2.gz HRQWUINQKHKXOO-UHFFFAOYSA-N 0 0 288.344 2.932 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCS[C@@H]2C)n1 ZINC000592003308 349074192 /nfs/dbraw/zinc/07/41/92/349074192.db2.gz MMCHFYIEWHUCOD-ZJUUUORDSA-N 0 0 267.354 2.913 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCS[C@@H]2C)n1 ZINC000592003296 349074196 /nfs/dbraw/zinc/07/41/96/349074196.db2.gz MMCHFYIEWHUCOD-NXEZZACHSA-N 0 0 267.354 2.913 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CCS[C@@H]2C)cccc1[N+](=O)[O-] ZINC000592122704 349085742 /nfs/dbraw/zinc/08/57/42/349085742.db2.gz MBLZSBIKLCVOEC-KOLCDFICSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCS[C@H]1C ZINC000592122995 349086810 /nfs/dbraw/zinc/08/68/10/349086810.db2.gz OURGKFKSRFSZGU-ONGXEEELSA-N 0 0 295.364 2.919 20 5 CFBDRN CCN(CCSC)Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000592203819 349101107 /nfs/dbraw/zinc/10/11/07/349101107.db2.gz PHKIEBVDUKXAFI-UHFFFAOYSA-N 0 0 294.380 2.656 20 5 CFBDRN COC[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000129453586 187432424 /nfs/dbraw/zinc/43/24/24/187432424.db2.gz VBLHKXLMGBXZPN-LLVKDONJSA-N 0 0 272.251 2.710 20 5 CFBDRN CCOC(=O)Cc1ccc(NCC2(C)CC2)c([N+](=O)[O-])c1 ZINC000591917727 349060035 /nfs/dbraw/zinc/06/00/35/349060035.db2.gz BZXFVSAQJUASOJ-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCC[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC000591957992 349064826 /nfs/dbraw/zinc/06/48/26/349064826.db2.gz KXIIJHSNLKSXNJ-MNOVXSKESA-N 0 0 295.314 2.647 20 5 CFBDRN COC(=O)[C@@H](CC(C)(C)C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000591971924 349066926 /nfs/dbraw/zinc/06/69/26/349066926.db2.gz NCSOEPDMWPZDMC-SNVBAGLBSA-N 0 0 295.339 2.688 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000591973934 349067710 /nfs/dbraw/zinc/06/77/10/349067710.db2.gz KPWBTLIAUKUXMX-ZDUSSCGKSA-N 0 0 267.329 2.651 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1nccc(C)c1[N+](=O)[O-] ZINC000591979925 349068272 /nfs/dbraw/zinc/06/82/72/349068272.db2.gz AOCXNYBYDNZCPX-KOLCDFICSA-N 0 0 267.329 2.507 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)CC(F)F ZINC000592671191 349148625 /nfs/dbraw/zinc/14/86/25/349148625.db2.gz FAPQWSDVTUALHP-MRVPVSSYSA-N 0 0 272.251 2.616 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H](C)CC(F)F ZINC000592671829 349148638 /nfs/dbraw/zinc/14/86/38/349148638.db2.gz JBUWVKXGCGIWQY-MRVPVSSYSA-N 0 0 286.278 2.924 20 5 CFBDRN C[C@@H](CNC(=O)Cc1cccc([N+](=O)[O-])c1)CC(F)F ZINC000592673559 349149333 /nfs/dbraw/zinc/14/93/33/349149333.db2.gz XLKQFYZCZIRLKX-SECBINFHSA-N 0 0 286.278 2.545 20 5 CFBDRN Cc1c(NCc2ccc([N+](=O)[O-])cc2F)n[nH]c1C(C)C ZINC000592375719 349112222 /nfs/dbraw/zinc/11/22/22/349112222.db2.gz ZSVDBLRVMXCUTF-UHFFFAOYSA-N 0 0 292.314 2.923 20 5 CFBDRN Nc1ccc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)cn1 ZINC000592431239 349118338 /nfs/dbraw/zinc/11/83/38/349118338.db2.gz LWBIFBVAOGSYCK-UHFFFAOYSA-N 0 0 298.346 2.567 20 5 CFBDRN Cc1cccc(C)c1CCNc1ncc([N+](=O)[O-])cn1 ZINC000273975259 192386289 /nfs/dbraw/zinc/38/62/89/192386289.db2.gz PVZIHOICIHECDQ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CCn1nccc1-c1cc([N+](=O)[O-])cc(C(=O)OC)c1C ZINC000592987291 349181495 /nfs/dbraw/zinc/18/14/95/349181495.db2.gz XMDBMMNRKVBFNR-UHFFFAOYSA-N 0 0 289.291 2.573 20 5 CFBDRN CCC[C@@H](C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000592998761 349183579 /nfs/dbraw/zinc/18/35/79/349183579.db2.gz VNPHWGQKEILRHH-SECBINFHSA-N 0 0 268.288 2.581 20 5 CFBDRN C[C@H](NCc1cc2n(n1)CCC2)c1ccccc1[N+](=O)[O-] ZINC000593022140 349188769 /nfs/dbraw/zinc/18/87/69/349188769.db2.gz VJNYASIKPNXKJL-NSHDSACASA-N 0 0 286.335 2.588 20 5 CFBDRN C[C@H](NCc1cc2n(n1)CCC2)c1cccc([N+](=O)[O-])c1 ZINC000593020511 349188797 /nfs/dbraw/zinc/18/87/97/349188797.db2.gz NGQDKZPGWOFAEL-NSHDSACASA-N 0 0 286.335 2.588 20 5 CFBDRN CC(C)CN(C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000593023612 349189781 /nfs/dbraw/zinc/18/97/81/349189781.db2.gz XNATWYUMVJEMMQ-UHFFFAOYSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593023931 349189896 /nfs/dbraw/zinc/18/98/96/349189896.db2.gz HGEKXHLCTSHPSY-GWCFXTLKSA-N 0 0 294.326 2.971 20 5 CFBDRN O=C(NCC1CCC(F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000592720494 349157430 /nfs/dbraw/zinc/15/74/30/349157430.db2.gz CHNWXQILKNLEJN-UHFFFAOYSA-N 0 0 280.299 2.853 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000592736717 349159762 /nfs/dbraw/zinc/15/97/62/349159762.db2.gz SRCSTOHMQCMKRP-MGPQQGTHSA-N 0 0 288.347 2.751 20 5 CFBDRN CCN(CCSC)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000592742222 349162958 /nfs/dbraw/zinc/16/29/58/349162958.db2.gz SUIRGKSYCLWEJT-UHFFFAOYSA-N 0 0 286.328 2.559 20 5 CFBDRN CCN(CCSC)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000592745135 349163811 /nfs/dbraw/zinc/16/38/11/349163811.db2.gz POBLVGAVXNIXSQ-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000592836375 349169898 /nfs/dbraw/zinc/16/98/98/349169898.db2.gz OIIFVNQSZYEQRC-UHFFFAOYSA-N 0 0 296.371 2.950 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC12CC=CC2 ZINC000592899337 349176116 /nfs/dbraw/zinc/17/61/16/349176116.db2.gz DJMOKZDJFZGDIU-CYBMUJFWSA-N 0 0 289.335 2.740 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCC1=CCCCC1 ZINC000593167125 349222804 /nfs/dbraw/zinc/22/28/04/349222804.db2.gz CZVYEJCGTOJNAB-UHFFFAOYSA-N 0 0 292.310 2.893 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000593172173 349223102 /nfs/dbraw/zinc/22/31/02/349223102.db2.gz KBXCSDHJYLCZSC-JIMOISOXSA-N 0 0 292.310 2.581 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC[C@@]2(CC2(F)F)C1 ZINC000593182347 349224935 /nfs/dbraw/zinc/22/49/35/349224935.db2.gz JNSWYNOTXUCBBE-GFCCVEGCSA-N 0 0 297.261 2.858 20 5 CFBDRN C[C@@H](C(=O)N1CCCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000593235571 349232692 /nfs/dbraw/zinc/23/26/92/349232692.db2.gz ICDUABGYTPTSSG-SNVBAGLBSA-N 0 0 298.289 2.956 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Cn1cc(C2CC2)cn1 ZINC000593237159 349233266 /nfs/dbraw/zinc/23/32/66/349233266.db2.gz KIVXUODHGOIYPG-UHFFFAOYSA-N 0 0 273.292 2.726 20 5 CFBDRN CC[C@@H](OCCn1cc([N+](=O)[O-])cn1)c1ccccc1 ZINC000593236457 349233313 /nfs/dbraw/zinc/23/33/13/349233313.db2.gz KFZYOXSLXMTZOW-CQSZACIVSA-N 0 0 275.308 2.959 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)[C@@H](CC)CO1 ZINC000593257285 349236855 /nfs/dbraw/zinc/23/68/55/349236855.db2.gz BNULEWIIYAPTGD-UWVGGRQHSA-N 0 0 298.364 2.686 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCC(F)(F)C2)cs1 ZINC000593048993 349194067 /nfs/dbraw/zinc/19/40/67/349194067.db2.gz PBAQOOJVAUONGF-UHFFFAOYSA-N 0 0 262.281 2.887 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C2(CCC2)CO1 ZINC000593090671 349206357 /nfs/dbraw/zinc/20/63/57/349206357.db2.gz CDNWEZWAXAQKQY-GFCCVEGCSA-N 0 0 276.336 2.738 20 5 CFBDRN COc1cc(NCCC2(CO)CCC2)ccc1[N+](=O)[O-] ZINC000593512825 349288044 /nfs/dbraw/zinc/28/80/44/349288044.db2.gz URYRHLJZTCCEOV-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN CCCC(C)(C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000593580051 349294778 /nfs/dbraw/zinc/29/47/78/349294778.db2.gz JFJGHKRRMYUNOH-UHFFFAOYSA-N 0 0 291.351 2.701 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CCCC(F)(F)C1 ZINC000593301419 349243367 /nfs/dbraw/zinc/24/33/67/349243367.db2.gz DMXQIAJYIYLROG-UHFFFAOYSA-N 0 0 299.277 2.536 20 5 CFBDRN CCCC(C)(C)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000593298423 349243410 /nfs/dbraw/zinc/24/34/10/349243410.db2.gz JXKLPWBCIBIDRG-UHFFFAOYSA-N 0 0 293.327 2.764 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NC1(C2CCC2)CC1 ZINC000593331459 349248942 /nfs/dbraw/zinc/24/89/42/349248942.db2.gz SRBZDAVGELZHEH-UHFFFAOYSA-N 0 0 289.335 2.727 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NC1CC2(CCC2)C1 ZINC000593331259 349249009 /nfs/dbraw/zinc/24/90/09/349249009.db2.gz QRQOZCYVXTXTDL-UHFFFAOYSA-N 0 0 289.335 2.727 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CC2(C1)CCCC2 ZINC000593620289 349300406 /nfs/dbraw/zinc/30/04/06/349300406.db2.gz OZYXMXNLVMBGNT-UHFFFAOYSA-N 0 0 292.310 2.679 20 5 CFBDRN CC1(C)CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593449028 349273322 /nfs/dbraw/zinc/27/33/22/349273322.db2.gz UREVDKCCWMZWSW-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CCSCC1 ZINC000593454185 349274034 /nfs/dbraw/zinc/27/40/34/349274034.db2.gz DTAZKPXRJOYVQU-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCCOCC1CC1 ZINC000593462240 349275650 /nfs/dbraw/zinc/27/56/50/349275650.db2.gz BPRRMERYZLDCMH-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H](Nc1ccnc2cc([N+](=O)[O-])ccc21)[C@H](C)CO ZINC000593462371 349276069 /nfs/dbraw/zinc/27/60/69/349276069.db2.gz UTERLBFSXWVBLK-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCC1(CCO)CC1 ZINC000593471985 349277207 /nfs/dbraw/zinc/27/72/07/349277207.db2.gz USRVXSBEXFMEPR-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN CCc1nc(Sc2ncc([N+](=O)[O-])cn2)sc1C ZINC000593480569 349279389 /nfs/dbraw/zinc/27/93/89/349279389.db2.gz GKJLHKFFKYDKCC-UHFFFAOYSA-N 0 0 282.350 2.863 20 5 CFBDRN CC1(C)COC[C@@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593482855 349280482 /nfs/dbraw/zinc/28/04/82/349280482.db2.gz SYEJWCBEIYFHPT-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCCC(F)(F)C1)CCN2 ZINC000593486823 349281911 /nfs/dbraw/zinc/28/19/11/349281911.db2.gz GAFAVZSPLJXSFC-UHFFFAOYSA-N 0 0 283.278 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CC2)C2CCOCC2)cn1 ZINC000593491443 349282951 /nfs/dbraw/zinc/28/29/51/349282951.db2.gz KTEWOQCWJWDZGA-AWEZNQCLSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@H]1CN(c2ccccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593494049 349284301 /nfs/dbraw/zinc/28/43/01/349284301.db2.gz YGHPLQXYEKGOKY-MNOVXSKESA-N 0 0 250.298 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CC3(F)F)CC2)nc1 ZINC000593498830 349284980 /nfs/dbraw/zinc/28/49/80/349284980.db2.gz SBASNDXZUYMAHR-UHFFFAOYSA-N 0 0 269.251 2.615 20 5 CFBDRN CCN(c1ccccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000593497061 349285200 /nfs/dbraw/zinc/28/52/00/349285200.db2.gz ZJJLBIQTSSQWIU-LLVKDONJSA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@H](CO)C1CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000593506175 349286393 /nfs/dbraw/zinc/28/63/93/349286393.db2.gz OZTYZCPJYXSIDJ-SNVBAGLBSA-N 0 0 282.315 2.579 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2C)[C@@H](C)CO1 ZINC000593665429 349307063 /nfs/dbraw/zinc/30/70/63/349307063.db2.gz CIDUEMVIZHUWOV-SWLSCSKDSA-N 0 0 278.352 2.903 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593677575 349308581 /nfs/dbraw/zinc/30/85/81/349308581.db2.gz AUALGQRYFXWLQO-SNVBAGLBSA-N 0 0 292.310 2.701 20 5 CFBDRN CC[C@@H](C)C[N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593736329 349317007 /nfs/dbraw/zinc/31/70/07/349317007.db2.gz CNGNMOQDUJAMGK-SNVBAGLBSA-N 0 0 280.324 2.771 20 5 CFBDRN C[C@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C[C@H]1C ZINC000593737916 349317143 /nfs/dbraw/zinc/31/71/43/349317143.db2.gz ZQPXSFILPVRJCT-WDEREUQCSA-N 0 0 292.335 2.771 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CCC12CCCC2 ZINC000593757025 349320976 /nfs/dbraw/zinc/32/09/76/349320976.db2.gz BIABQIQAPDXWLV-UHFFFAOYSA-N 0 0 292.310 2.822 20 5 CFBDRN COc1c(C(=O)N2CCC23CCCC3)cccc1[N+](=O)[O-] ZINC000593757057 349321110 /nfs/dbraw/zinc/32/11/10/349321110.db2.gz CJVJGESCSXPWSA-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN CC[C@H](NC(=O)c1ccccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000594183206 349391479 /nfs/dbraw/zinc/39/14/79/349391479.db2.gz VEDMDHJLWPOKDP-JSGCOSHPSA-N 0 0 292.335 2.672 20 5 CFBDRN CC1(NC(=O)CCc2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000594074902 349369811 /nfs/dbraw/zinc/36/98/11/349369811.db2.gz KSJFZKNNSGNOFA-UHFFFAOYSA-N 0 0 274.320 2.752 20 5 CFBDRN Cc1cc(NC(=O)N2CC3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000594080532 349369923 /nfs/dbraw/zinc/36/99/23/349369923.db2.gz KGDCRVNARFSLNW-UHFFFAOYSA-N 0 0 297.261 2.776 20 5 CFBDRN CCCN(C(=O)c1cccc([N+](=O)[O-])c1OC)C(C)C ZINC000594094827 349376394 /nfs/dbraw/zinc/37/63/94/349376394.db2.gz IFGRZUDOCJXMCG-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN CCOCCCn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000594470426 349427491 /nfs/dbraw/zinc/42/74/91/349427491.db2.gz CBZBDQLOYYCDMN-UHFFFAOYSA-N 0 0 275.308 2.885 20 5 CFBDRN Nc1c(NC(=O)NC2CCC2)cc(Cl)cc1[N+](=O)[O-] ZINC000597090220 350028924 /nfs/dbraw/zinc/02/89/24/350028924.db2.gz JQRHIRLHCRMWOY-UHFFFAOYSA-N 0 0 284.703 2.504 20 5 CFBDRN C[C@H](NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N)C1CC1 ZINC000597093820 350030153 /nfs/dbraw/zinc/03/01/53/350030153.db2.gz YHILJFKQJKBIIS-LURJTMIESA-N 0 0 298.730 2.750 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])n[nH]1)N1CCC[C@@H]1CC1CCCC1 ZINC000594419114 349416103 /nfs/dbraw/zinc/41/61/03/349416103.db2.gz UKDMTPAAUZLIQJ-LLVKDONJSA-N 0 0 292.339 2.503 20 5 CFBDRN COc1ccc(CCNc2ncc(C)cc2[N+](=O)[O-])cc1 ZINC000090691956 180024673 /nfs/dbraw/zinc/02/46/73/180024673.db2.gz PJTASKMFPHPGFJ-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@H](C2CC2)C1 ZINC000290897878 197852381 /nfs/dbraw/zinc/85/23/81/197852381.db2.gz LWGWMRHRRCNOBL-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)[C@@H](O)C2CC2)cc1[N+](=O)[O-] ZINC000597631707 350119662 /nfs/dbraw/zinc/11/96/62/350119662.db2.gz NCKAEKAWNGXPAE-ZDUSSCGKSA-N 0 0 292.335 2.602 20 5 CFBDRN Cc1ccncc1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000104454464 186007156 /nfs/dbraw/zinc/00/71/56/186007156.db2.gz DMBMERCSIKGDEU-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000597668827 350122188 /nfs/dbraw/zinc/12/21/88/350122188.db2.gz ONEBYUXXDXUKHN-VXGBXAGGSA-N 0 0 292.335 2.965 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000104621117 186010776 /nfs/dbraw/zinc/01/07/76/186010776.db2.gz JBULJKFXVAXZCJ-TVQRCGJNSA-N 0 0 287.319 2.995 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@@H]1C ZINC000104660042 186011452 /nfs/dbraw/zinc/01/14/52/186011452.db2.gz GJLHYRHLNBJTHY-CABZTGNLSA-N 0 0 277.324 2.555 20 5 CFBDRN C[C@@H]1C[C@@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000271249381 191028643 /nfs/dbraw/zinc/02/86/43/191028643.db2.gz HGOBEXBBECKZKP-MNOVXSKESA-N 0 0 293.323 2.531 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCCC[C@@H]21 ZINC000597829654 350141566 /nfs/dbraw/zinc/14/15/66/350141566.db2.gz HEZFUEFYSJCCSY-JSGCOSHPSA-N 0 0 274.320 2.538 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000597951430 350161456 /nfs/dbraw/zinc/16/14/56/350161456.db2.gz IYCXWABQRZRANV-NSHDSACASA-N 0 0 294.351 2.915 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000597952577 350162085 /nfs/dbraw/zinc/16/20/85/350162085.db2.gz SXTJCXLANJZNAT-NSHDSACASA-N 0 0 293.367 2.948 20 5 CFBDRN Cc1ccncc1CCNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000597979005 350171071 /nfs/dbraw/zinc/17/10/71/350171071.db2.gz XHRPUWGTSJZKLR-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000271287908 191052088 /nfs/dbraw/zinc/05/20/88/191052088.db2.gz DMCIKBWNQDCNRD-MNOVXSKESA-N 0 0 265.313 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H]2O)cc1OC(F)F ZINC000271302437 191062063 /nfs/dbraw/zinc/06/20/63/191062063.db2.gz KPJQZDAJBBBDQK-PSASIEDQSA-N 0 0 288.250 2.522 20 5 CFBDRN CC[C@H](C)CCNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000597975970 350169512 /nfs/dbraw/zinc/16/95/12/350169512.db2.gz PNIYTHZTTCIAQV-NSHDSACASA-N 0 0 280.324 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC2OCCCO2)c(Cl)c1 ZINC000091466544 180163970 /nfs/dbraw/zinc/16/39/70/180163970.db2.gz RYDHXGXBSCYLJK-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCCC1OCCCO1 ZINC000091466628 180164197 /nfs/dbraw/zinc/16/41/97/180164197.db2.gz OFDLKHHJALDPET-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCC[C@@H](C)O1 ZINC000598212546 350216172 /nfs/dbraw/zinc/21/61/72/350216172.db2.gz KVKAPCPTNGSYHJ-YPMHNXCESA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@]1(C)CC1(F)F ZINC000598217678 350217867 /nfs/dbraw/zinc/21/78/67/350217867.db2.gz VZEXMRIFEHFZJQ-ZDUSSCGKSA-N 0 0 298.289 2.987 20 5 CFBDRN Cc1sc(C(=O)NC[C@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000598219529 350218096 /nfs/dbraw/zinc/21/80/96/350218096.db2.gz RPKNTHQWOJLASI-JTQLQIEISA-N 0 0 290.291 2.740 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000598218577 350218419 /nfs/dbraw/zinc/21/84/19/350218419.db2.gz MDKVEOJXUCDMDQ-GFCCVEGCSA-N 0 0 284.262 2.678 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)C1CC1 ZINC000598231841 350220682 /nfs/dbraw/zinc/22/06/82/350220682.db2.gz BFLCGVOXDAZNNT-CYBMUJFWSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@H](NC(=O)COc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000598232903 350221551 /nfs/dbraw/zinc/22/15/51/350221551.db2.gz JJOSFIREPGFOMR-ZDUSSCGKSA-N 0 0 292.335 2.587 20 5 CFBDRN CCc1nn(C)c(NCCc2cccs2)c1[N+](=O)[O-] ZINC000091562289 180192980 /nfs/dbraw/zinc/19/29/80/180192980.db2.gz AYOQFBZUEQSSJR-UHFFFAOYSA-N 0 0 280.353 2.607 20 5 CFBDRN CC[C@@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598234690 350222274 /nfs/dbraw/zinc/22/22/74/350222274.db2.gz WXYKMUHXNGISJC-CYBMUJFWSA-N 0 0 294.376 2.992 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(OC)c([N+](=O)[O-])c1)C1CC1 ZINC000598234967 350222313 /nfs/dbraw/zinc/22/23/13/350222313.db2.gz YPJKWVOCNQLFTJ-NSHDSACASA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@@H](NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000598234100 350222361 /nfs/dbraw/zinc/22/23/61/350222361.db2.gz QYIJHPRMJDBZTM-CYBMUJFWSA-N 0 0 298.289 2.720 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000598234894 350222503 /nfs/dbraw/zinc/22/25/03/350222503.db2.gz WYMWIUPLVNAALE-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000414748379 233342630 /nfs/dbraw/zinc/34/26/30/233342630.db2.gz UKYVRWUHDWNPLO-CYBMUJFWSA-N 0 0 280.324 2.619 20 5 CFBDRN Cc1ccc(C(=O)NCCCOC(C)(C)C)cc1[N+](=O)[O-] ZINC000598190434 350210874 /nfs/dbraw/zinc/21/08/74/350210874.db2.gz HNAILTVNFLCBLB-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCCOC(C)(C)C ZINC000598190907 350211218 /nfs/dbraw/zinc/21/12/18/350211218.db2.gz OGAQGEWYDHZULE-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN CCn1ncc(C)c1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000598198940 350212768 /nfs/dbraw/zinc/21/27/68/350212768.db2.gz HZZRXEQNCPOZLX-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN C[C@H](C(=O)NCCc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000598205593 350213167 /nfs/dbraw/zinc/21/31/67/350213167.db2.gz YMWASLQCYFIABQ-NSHDSACASA-N 0 0 288.303 2.650 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2CCC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000598211585 350215109 /nfs/dbraw/zinc/21/51/09/350215109.db2.gz GKMLCDJFWLZJHA-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000598299822 350229226 /nfs/dbraw/zinc/22/92/26/350229226.db2.gz ULHRFELIZPCURU-ZYHUDNBSSA-N 0 0 291.351 2.949 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000598396907 350256561 /nfs/dbraw/zinc/25/65/61/350256561.db2.gz ZNAMUAUTCXKAPU-AWEZNQCLSA-N 0 0 292.335 2.530 20 5 CFBDRN O=C(NCC1=CCCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000598374793 350251578 /nfs/dbraw/zinc/25/15/78/350251578.db2.gz CZPVZAZRISKRAO-UHFFFAOYSA-N 0 0 285.303 2.916 20 5 CFBDRN O=C(NCC1=CCCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000598379677 350252241 /nfs/dbraw/zinc/25/22/41/350252241.db2.gz WEZZYSBMZFVOEZ-LSDHHAIUSA-N 0 0 286.331 2.925 20 5 CFBDRN CC(NC(=O)c1csc([N+](=O)[O-])c1)(C1CC1)C1CC1 ZINC000598380372 350252867 /nfs/dbraw/zinc/25/28/67/350252867.db2.gz FEMKOQKNAGLFHE-UHFFFAOYSA-N 0 0 280.349 2.965 20 5 CFBDRN CC(C)[C@H](NC(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598382015 350253595 /nfs/dbraw/zinc/25/35/95/350253595.db2.gz VEBTZMRRUCRMOM-HNNXBMFYSA-N 0 0 276.336 2.688 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598387360 350255203 /nfs/dbraw/zinc/25/52/03/350255203.db2.gz ZJKCFMIBUAPUDJ-HNNXBMFYSA-N 0 0 292.335 2.912 20 5 CFBDRN CNc1c(C(=O)N(C)C[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000598550395 350281279 /nfs/dbraw/zinc/28/12/79/350281279.db2.gz JRAJAVKLUOYRBB-SNVBAGLBSA-N 0 0 291.351 2.755 20 5 CFBDRN CNc1c(C(=O)N(C)C[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000598550394 350281358 /nfs/dbraw/zinc/28/13/58/350281358.db2.gz JRAJAVKLUOYRBB-JTQLQIEISA-N 0 0 291.351 2.755 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000598568367 350283535 /nfs/dbraw/zinc/28/35/35/350283535.db2.gz QGLSAVIECMJONH-UHFFFAOYSA-N 0 0 280.711 2.873 20 5 CFBDRN CC(C)(C)C(F)(F)CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000598466724 350273119 /nfs/dbraw/zinc/27/31/19/350273119.db2.gz YEIGPJPXYFTYMY-UHFFFAOYSA-N 0 0 276.239 2.599 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2CC(C)(C)C2)c1 ZINC000598505601 350277786 /nfs/dbraw/zinc/27/77/86/350277786.db2.gz UUHRKPJJKFTMEA-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CC1=CCSC1 ZINC000598516561 350279417 /nfs/dbraw/zinc/27/94/17/350279417.db2.gz YXTFCHPAIGTPSE-UHFFFAOYSA-N 0 0 292.360 2.648 20 5 CFBDRN COC(=O)c1ccnc(NC2CC3(CCC3)C2)c1[N+](=O)[O-] ZINC000598629982 350297474 /nfs/dbraw/zinc/29/74/74/350297474.db2.gz GDCHZMZFZFJTTO-UHFFFAOYSA-N 0 0 291.307 2.521 20 5 CFBDRN Cc1ccnc([C@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)n1 ZINC000598641363 350300057 /nfs/dbraw/zinc/30/00/57/350300057.db2.gz SMAHZULEHGGQNE-QMMMGPOBSA-N 0 0 293.714 2.915 20 5 CFBDRN CC1CC(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000598654010 350303916 /nfs/dbraw/zinc/30/39/16/350303916.db2.gz UVNKGJXPTXBCCH-UHFFFAOYSA-N 0 0 263.297 2.762 20 5 CFBDRN C[C@H](C(=O)NCC1CC(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000598777969 350328011 /nfs/dbraw/zinc/32/80/11/350328011.db2.gz DOMJARHYEQTCNE-CXQJBGSLSA-N 0 0 276.336 2.861 20 5 CFBDRN CCOC1(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000598829170 350335784 /nfs/dbraw/zinc/33/57/84/350335784.db2.gz TXZBYEWIKVUOJM-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CCCF ZINC000598839955 350337489 /nfs/dbraw/zinc/33/74/89/350337489.db2.gz BHJAPPPVXJELTF-UHFFFAOYSA-N 0 0 270.260 2.682 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000598856245 350341119 /nfs/dbraw/zinc/34/11/19/350341119.db2.gz VZZOYXRWATVPDQ-SECBINFHSA-N 0 0 294.307 2.584 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@]2(C)CC2(C)C)c1 ZINC000598879025 350343705 /nfs/dbraw/zinc/34/37/05/350343705.db2.gz KJDSXVREGBXJSF-CQSZACIVSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC1CC(C)C1 ZINC000598893058 350345020 /nfs/dbraw/zinc/34/50/20/350345020.db2.gz VFVAGWRIBBEYJG-UHFFFAOYSA-N 0 0 262.309 2.679 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598884970 350345059 /nfs/dbraw/zinc/34/50/59/350345059.db2.gz QEYZILHXJQNOTN-GXFFZTMASA-N 0 0 274.320 2.920 20 5 CFBDRN CC1CC(CNC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000598892545 350345065 /nfs/dbraw/zinc/34/50/65/350345065.db2.gz MVRPYSCRARNCPG-UHFFFAOYSA-N 0 0 298.289 2.578 20 5 CFBDRN O=C(C1=CCC1)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598884081 350345070 /nfs/dbraw/zinc/34/50/70/350345070.db2.gz QCLJWZRZBSERPY-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCc3cccnc32)c(F)c1 ZINC000598944937 350347413 /nfs/dbraw/zinc/34/74/13/350347413.db2.gz DUBSHRJYVFATSU-JTQLQIEISA-N 0 0 288.282 2.666 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCc3cccnc32)c([N+](=O)[O-])c1 ZINC000598944889 350347563 /nfs/dbraw/zinc/34/75/63/350347563.db2.gz CBIIGWYAIIWGKX-LBPRGKRZSA-N 0 0 284.319 2.835 20 5 CFBDRN C/C=C\CNC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000599002492 350358245 /nfs/dbraw/zinc/35/82/45/350358245.db2.gz CJYXKGLOSJTAPJ-IHWYPQMZSA-N 0 0 291.351 2.793 20 5 CFBDRN C[C@@H](NC(=O)NCC1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000599023466 350360253 /nfs/dbraw/zinc/36/02/53/350360253.db2.gz YTINIMNHDHGHKI-LLVKDONJSA-N 0 0 289.335 2.921 20 5 CFBDRN CC[C@@H](CCO)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599049484 350365706 /nfs/dbraw/zinc/36/57/06/350365706.db2.gz YHIIOWRBFLLVBS-NSHDSACASA-N 0 0 275.308 2.716 20 5 CFBDRN O=C(NCC[C@H]1CC1(F)F)c1ccc(F)cc1[N+](=O)[O-] ZINC000598781538 350329075 /nfs/dbraw/zinc/32/90/75/350329075.db2.gz KBEXPYDYCQTRKP-ZETCQYMHSA-N 0 0 288.225 2.509 20 5 CFBDRN O=C(NCC[C@@H]1CC1(F)F)c1ccc(F)cc1[N+](=O)[O-] ZINC000598781537 350329320 /nfs/dbraw/zinc/32/93/20/350329320.db2.gz KBEXPYDYCQTRKP-SSDOTTSWSA-N 0 0 288.225 2.509 20 5 CFBDRN C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCO1 ZINC000598802908 350330662 /nfs/dbraw/zinc/33/06/62/350330662.db2.gz JJZAYCPPVCWFPN-MFKMUULPSA-N 0 0 278.308 2.739 20 5 CFBDRN C[C@@H](CC(=O)N(C)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCCO1 ZINC000598804113 350331148 /nfs/dbraw/zinc/33/11/48/350331148.db2.gz SHGRIZQEBSWCTL-FZMZJTMJSA-N 0 0 292.335 2.763 20 5 CFBDRN CN(C(=O)[C@@H]1CCC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000598804629 350331169 /nfs/dbraw/zinc/33/11/69/350331169.db2.gz ZWVDRFGWUGSWFO-LBPRGKRZSA-N 0 0 262.309 2.994 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599072305 350370792 /nfs/dbraw/zinc/37/07/92/350370792.db2.gz AVKUSUGUTLFWSH-GXFFZTMASA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H](CC(=O)NCc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000599083776 350373589 /nfs/dbraw/zinc/37/35/89/350373589.db2.gz MPYBXWGYPOBEBE-MRVPVSSYSA-N 0 0 290.241 2.800 20 5 CFBDRN O=[N+]([O-])c1c(NCCC2(CO)CC2)ccc2cnccc21 ZINC000599084765 350373648 /nfs/dbraw/zinc/37/36/48/350373648.db2.gz XNYSDLXYKFFTIK-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCc3ccco3)nc2c1 ZINC000105797204 186071463 /nfs/dbraw/zinc/07/14/63/186071463.db2.gz CMSPGLHNKAQJCT-UHFFFAOYSA-N 0 0 258.237 2.676 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]2c2cn[nH]c2)c1 ZINC000599098124 350375889 /nfs/dbraw/zinc/37/58/89/350375889.db2.gz COOLMGSWORCMMW-LBPRGKRZSA-N 0 0 272.308 2.968 20 5 CFBDRN Cc1cc(N2CC[C@H](c3ccncc3)C2)ncc1[N+](=O)[O-] ZINC000599099798 350376050 /nfs/dbraw/zinc/37/60/50/350376050.db2.gz CSRPRGYVXGFLAP-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1ccnc([C@@H]2CCN(c3cccnc3[N+](=O)[O-])C2)c1 ZINC000599101606 350376094 /nfs/dbraw/zinc/37/60/94/350376094.db2.gz RYOMIHJCRJNUIJ-GFCCVEGCSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1ccnc([C@@H]2CCN(c3ncc([N+](=O)[O-])s3)C2)c1 ZINC000599102699 350376623 /nfs/dbraw/zinc/37/66/23/350376623.db2.gz SOFZGALECZMOSJ-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2c2cn[nH]c2)ccc1[N+](=O)[O-] ZINC000599108105 350376652 /nfs/dbraw/zinc/37/66/52/350376652.db2.gz RHYFKQBFVTZEBW-CQSZACIVSA-N 0 0 272.308 2.968 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1ccc3cnccc3c1[N+](=O)[O-])C2 ZINC000599107616 350376767 /nfs/dbraw/zinc/37/67/67/350376767.db2.gz OWUZVEOZXWSFKG-MLGOLLRUSA-N 0 0 299.330 2.616 20 5 CFBDRN CCn1nncc1CNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000599115376 350377350 /nfs/dbraw/zinc/37/73/50/350377350.db2.gz HNZVKRQRFKBCNM-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c(F)c1 ZINC000599114905 350377365 /nfs/dbraw/zinc/37/73/65/350377365.db2.gz INGLXPCKGUIIFE-BBBLOLIVSA-N 0 0 265.288 2.977 20 5 CFBDRN COC1CC(c2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000599116880 350378167 /nfs/dbraw/zinc/37/81/67/350378167.db2.gz YUVWETXNQWFCLE-UHFFFAOYSA-N 0 0 275.264 2.537 20 5 CFBDRN C[C@@H]1CN(c2cccnc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000599117661 350378383 /nfs/dbraw/zinc/37/83/83/350378383.db2.gz LVRMDYUBVRSSMA-DTORHVGOSA-N 0 0 271.267 2.717 20 5 CFBDRN Cc1cnc(S[C@@H]2COC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000599125448 350380245 /nfs/dbraw/zinc/38/02/45/350380245.db2.gz PSNKDNIGPYIEJP-VIFPVBQESA-N 0 0 268.338 2.958 20 5 CFBDRN Cc1nn(C)c(SC[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000599127878 350381088 /nfs/dbraw/zinc/38/10/88/350381088.db2.gz WEEVQOABLVTCPG-ILWJIGKKSA-N 0 0 267.354 2.775 20 5 CFBDRN CCC(C)(C)OC1CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000599136338 350382159 /nfs/dbraw/zinc/38/21/59/350382159.db2.gz LRBOAVDBFRJPJH-UHFFFAOYSA-N 0 0 283.303 2.523 20 5 CFBDRN CC1(C)CC(=O)CCN(c2sccc2[N+](=O)[O-])C1 ZINC000599137220 350382234 /nfs/dbraw/zinc/38/22/34/350382234.db2.gz HZWLAQZLMCFNID-UHFFFAOYSA-N 0 0 268.338 2.852 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Nc2cnn(CCF)c2)n1 ZINC000599142325 350383745 /nfs/dbraw/zinc/38/37/45/350383745.db2.gz USMKHYIMIJVQTB-UHFFFAOYSA-N 0 0 279.275 2.516 20 5 CFBDRN CC(C)c1c[nH]c(Sc2ccc([N+](=O)[O-])cn2)n1 ZINC000599153764 350386113 /nfs/dbraw/zinc/38/61/13/350386113.db2.gz YKELNRPOZCEJPF-UHFFFAOYSA-N 0 0 264.310 2.988 20 5 CFBDRN Nc1cc(NCC[C@@H]2CC=CCC2)cc(CO)c1[N+](=O)[O-] ZINC000599155594 350386289 /nfs/dbraw/zinc/38/62/89/350386289.db2.gz IMQFQVTWXHRGMR-LLVKDONJSA-N 0 0 291.351 2.828 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1c(F)cccc1[N+](=O)[O-] ZINC000599162887 350386877 /nfs/dbraw/zinc/38/68/77/350386877.db2.gz AXIXMGZDBSXLMI-UOKLYIGXSA-N 0 0 268.288 2.958 20 5 CFBDRN Cc1nc(N[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000599164412 350387510 /nfs/dbraw/zinc/38/75/10/350387510.db2.gz MYXJZHFNUMFYKW-BDXNGKNPSA-N 0 0 265.313 2.522 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000599163987 350387541 /nfs/dbraw/zinc/38/75/41/350387541.db2.gz KJOCLLPOUSAUQK-UVMAFCGOSA-N 0 0 285.731 2.867 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])s1)C(=O)N1CCCC1 ZINC000599169529 350388259 /nfs/dbraw/zinc/38/82/59/350388259.db2.gz FLMHADBEDXYXLK-UHFFFAOYSA-N 0 0 297.380 2.717 20 5 CFBDRN Cc1cc(NC[C@H]2C[C@@H](O)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000599174951 350389312 /nfs/dbraw/zinc/38/93/12/350389312.db2.gz NMZLZMKMDCWZJD-PHIMTYICSA-N 0 0 287.319 2.634 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCC1COC1 ZINC000599176657 350389828 /nfs/dbraw/zinc/38/98/28/350389828.db2.gz WYJQFRBZFIOYRW-UHFFFAOYSA-N 0 0 276.214 2.672 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179009 350390197 /nfs/dbraw/zinc/39/01/97/350390197.db2.gz DJWBBIJMTXQYJA-SWLSCSKDSA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCC1(C(F)(F)F)CCCC1 ZINC000599184588 350391966 /nfs/dbraw/zinc/39/19/66/350391966.db2.gz IVFLHKBALWCWQB-UHFFFAOYSA-N 0 0 289.257 2.946 20 5 CFBDRN C[C@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000599189556 350392681 /nfs/dbraw/zinc/39/26/81/350392681.db2.gz SNKRQGAVDDVZBY-MRVPVSSYSA-N 0 0 290.241 2.800 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@@H](c2ccc(O)cc2)C1 ZINC000599190269 350392884 /nfs/dbraw/zinc/39/28/84/350392884.db2.gz BMJVDCPBQFMDOY-GFCCVEGCSA-N 0 0 285.303 2.689 20 5 CFBDRN CCOc1cc(N[C@H]2C[C@H](OC)C2)ccc1[N+](=O)[O-] ZINC000599189525 350392953 /nfs/dbraw/zinc/39/29/53/350392953.db2.gz GSWKUXXIPGJMTG-XYPYZODXSA-N 0 0 266.297 2.583 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(Cc3cccs3)C2)c(F)c1 ZINC000599196340 350394066 /nfs/dbraw/zinc/39/40/66/350394066.db2.gz MJSXGTURXKQFRQ-UHFFFAOYSA-N 0 0 293.323 2.869 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2cccc(C)c2[N+](=O)[O-])C1 ZINC000599205428 350395998 /nfs/dbraw/zinc/39/59/98/350395998.db2.gz FVPOFBKUIVTPJO-PHIMTYICSA-N 0 0 250.298 2.740 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1Cl)C1CCOCC1 ZINC000092250411 180353607 /nfs/dbraw/zinc/35/36/07/180353607.db2.gz UBXZVACTXAWNJE-UHFFFAOYSA-N 0 0 299.710 2.623 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN(C1CC1)C1CC1 ZINC000599600676 350463362 /nfs/dbraw/zinc/46/33/62/350463362.db2.gz WOZWOPZMRNKVNO-UHFFFAOYSA-N 0 0 250.273 2.861 20 5 CFBDRN CCc1cc2c([nH]c(CCC(C)(C)[N+](=O)[O-])nc2=O)s1 ZINC000291012189 197888632 /nfs/dbraw/zinc/88/86/32/197888632.db2.gz VAGRKKCBEQYPBF-UHFFFAOYSA-N 0 0 295.364 2.947 20 5 CFBDRN C/C=C/CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000599753178 350491361 /nfs/dbraw/zinc/49/13/61/350491361.db2.gz NZGQKFODZXWWCS-SNAWJCMRSA-N 0 0 263.297 2.909 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(CC(F)F)C1CC1 ZINC000106871870 186146156 /nfs/dbraw/zinc/14/61/56/186146156.db2.gz KAURWVAIKAXQPZ-UHFFFAOYSA-N 0 0 256.252 2.824 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000599779519 350492555 /nfs/dbraw/zinc/49/25/55/350492555.db2.gz JNGQRPHLEDPENW-QISWUMQESA-N 0 0 293.323 2.528 20 5 CFBDRN CC1(C)Cc2ccccc2N1CCn1ccc([N+](=O)[O-])n1 ZINC000599659816 350475338 /nfs/dbraw/zinc/47/53/38/350475338.db2.gz JTEZLXPBYPIWKM-UHFFFAOYSA-N 0 0 286.335 2.633 20 5 CFBDRN CCC1(C)CN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000291043612 197898371 /nfs/dbraw/zinc/89/83/71/197898371.db2.gz IOQDZANOKNZOKO-UHFFFAOYSA-N 0 0 254.311 2.528 20 5 CFBDRN CC(C)(C)c1noc(OCc2ccccc2[N+](=O)[O-])n1 ZINC000107419908 186174091 /nfs/dbraw/zinc/17/40/91/186174091.db2.gz ABQIXPFXCPQVJW-UHFFFAOYSA-N 0 0 277.280 2.854 20 5 CFBDRN CCC(O)(CC)CN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000599780343 350493341 /nfs/dbraw/zinc/49/33/41/350493341.db2.gz PLESOYZXIDAKGS-UHFFFAOYSA-N 0 0 295.339 2.610 20 5 CFBDRN CC(C)(NC(=O)C12CC(C1)C2)c1ccccc1[N+](=O)[O-] ZINC000599966662 350501161 /nfs/dbraw/zinc/50/11/61/350501161.db2.gz RTJHDJJVRQPRRW-UHFFFAOYSA-N 0 0 274.320 2.746 20 5 CFBDRN CCO[C@@H](C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)C1CC1 ZINC000599960165 350501222 /nfs/dbraw/zinc/50/12/22/350501222.db2.gz ZGGNIZIZLPIQEO-CQSZACIVSA-N 0 0 292.335 2.965 20 5 CFBDRN O=C(NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cc1F ZINC000600167971 350528498 /nfs/dbraw/zinc/52/84/98/350528498.db2.gz HPGSGDLIEHRWIO-MXWKQRLJSA-N 0 0 292.310 2.900 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])OCC ZINC000600176635 350531827 /nfs/dbraw/zinc/53/18/27/350531827.db2.gz YIPOPOMHUDLZDW-LBPRGKRZSA-N 0 0 294.351 2.838 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCCc2cnoc2)c1 ZINC000600563470 350571175 /nfs/dbraw/zinc/57/11/75/350571175.db2.gz WSDIQFVQAKJBHK-UHFFFAOYSA-N 0 0 278.264 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCC(=O)NCC(C)(C)C ZINC000600563605 350571242 /nfs/dbraw/zinc/57/12/42/350571242.db2.gz MSOWCXXKSPNOGJ-UHFFFAOYSA-N 0 0 293.367 2.786 20 5 CFBDRN CCOC(=O)[C@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000600567726 350571852 /nfs/dbraw/zinc/57/18/52/350571852.db2.gz CGVJMWSCJJBTMJ-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN Cc1noc(CS[C@@H]2COC(C)(C)C2)c1[N+](=O)[O-] ZINC000600567706 350571948 /nfs/dbraw/zinc/57/19/48/350571948.db2.gz BSYVRUCZAMTKJX-QMMMGPOBSA-N 0 0 272.326 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCCc2cn[nH]c2)cc1 ZINC000600570204 350572933 /nfs/dbraw/zinc/57/29/33/350572933.db2.gz PYUFALMMZKFKMJ-UHFFFAOYSA-N 0 0 293.348 2.673 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCCC(F)(F)CC1 ZINC000600256172 350545959 /nfs/dbraw/zinc/54/59/59/350545959.db2.gz XHPKKAOKGDHLMX-UHFFFAOYSA-N 0 0 290.291 2.918 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])N(C1CC1)C1CC1 ZINC000600357999 350549790 /nfs/dbraw/zinc/54/97/90/350549790.db2.gz CUINVCLTPWEBKX-UHFFFAOYSA-N 0 0 290.319 2.517 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N(C1CC1)C1CC1 ZINC000600358034 350549846 /nfs/dbraw/zinc/54/98/46/350549846.db2.gz DIYCIEKPDQRJTE-UHFFFAOYSA-N 0 0 292.360 2.840 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC(Cc2ccco2)C1 ZINC000600365129 350550662 /nfs/dbraw/zinc/55/06/62/350550662.db2.gz CZKRFEKIXAAQDV-UHFFFAOYSA-N 0 0 286.287 2.503 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC(Cc2ccco2)C1 ZINC000600369844 350551570 /nfs/dbraw/zinc/55/15/70/350551570.db2.gz GIBWQUZPDIBOTP-UHFFFAOYSA-N 0 0 292.316 2.564 20 5 CFBDRN CCC(F)(F)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000600380201 350552149 /nfs/dbraw/zinc/55/21/49/350552149.db2.gz QOTCSTFMDGGUKR-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN CCC(F)(F)C(C)(C)CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000600392666 350553160 /nfs/dbraw/zinc/55/31/60/350553160.db2.gz JKAPVPOXFDGCMR-UHFFFAOYSA-N 0 0 290.266 2.989 20 5 CFBDRN CC1(C(=O)CNC(=O)c2csc([N+](=O)[O-])c2)CCCC1 ZINC000600486362 350557809 /nfs/dbraw/zinc/55/78/09/350557809.db2.gz GGUAROAAEMMZHX-UHFFFAOYSA-N 0 0 296.348 2.536 20 5 CFBDRN C[C@H](C(=O)NC[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000416040155 233524723 /nfs/dbraw/zinc/52/47/23/233524723.db2.gz BDVXUDLYXCXDDF-VHSXEESVSA-N 0 0 292.310 2.754 20 5 CFBDRN CCO[C@@H](COc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000600510178 350560900 /nfs/dbraw/zinc/56/09/00/350560900.db2.gz ZZLOJZYRFQRWJH-ZDUSSCGKSA-N 0 0 251.282 2.789 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1C[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC000600516265 350561821 /nfs/dbraw/zinc/56/18/21/350561821.db2.gz NCFNPXSIUVGXNA-HBNTYKKESA-N 0 0 286.287 2.715 20 5 CFBDRN COC(=O)CCCCOc1ccc(C)c([N+](=O)[O-])c1 ZINC000600530506 350565113 /nfs/dbraw/zinc/56/51/13/350565113.db2.gz AODOJBUMWBUKIB-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCO[C@H](COc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000600530367 350565161 /nfs/dbraw/zinc/56/51/61/350565161.db2.gz DISULBJWSIGJKE-GFCCVEGCSA-N 0 0 269.272 2.928 20 5 CFBDRN COC(=O)CCCCOc1cccc(C)c1[N+](=O)[O-] ZINC000600532414 350565763 /nfs/dbraw/zinc/56/57/63/350565763.db2.gz GSLUFVGRUVGFGL-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN COC(=O)CCCCOc1ccc([N+](=O)[O-])cc1C ZINC000600536305 350566768 /nfs/dbraw/zinc/56/67/68/350566768.db2.gz MZRSWHQZGPNWTC-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CC(C)[C@H]1C[C@H](NC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000271389721 191112258 /nfs/dbraw/zinc/11/22/58/191112258.db2.gz QXZRXXMQRZPMGE-BXUZGUMPSA-N 0 0 292.335 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(Cn3ccnc3C3CC3)nc2c1 ZINC000600611661 350580299 /nfs/dbraw/zinc/58/02/99/350580299.db2.gz SYPCENWATRCKBE-UHFFFAOYSA-N 0 0 283.291 2.593 20 5 CFBDRN CCO[C@@H](CSCc1onc(C)c1[N+](=O)[O-])C1CC1 ZINC000600638004 350589198 /nfs/dbraw/zinc/58/91/98/350589198.db2.gz PXDWAYFZXQKBJS-JTQLQIEISA-N 0 0 286.353 2.940 20 5 CFBDRN C/C=C\C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000600698455 350597481 /nfs/dbraw/zinc/59/74/81/350597481.db2.gz FKZZIRVXDYPQKN-MVZIDQBPSA-N 0 0 291.351 2.752 20 5 CFBDRN C[C@]12CCCC[C@H]1CN2C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000600758227 350606386 /nfs/dbraw/zinc/60/63/86/350606386.db2.gz CGHYFUZIFCGEPH-BBRMVZONSA-N 0 0 288.347 2.928 20 5 CFBDRN C[C@]12CCCC[C@H]1CN2C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000600758010 350606405 /nfs/dbraw/zinc/60/64/05/350606405.db2.gz NLEJRORBSHGQBK-BBRMVZONSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1noc(COc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000109744122 186271235 /nfs/dbraw/zinc/27/12/35/186271235.db2.gz BHZMIXRPSVSVCP-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN C/C=C/C[S@](=O)CCCOc1ccc([N+](=O)[O-])cc1 ZINC000600899230 350625691 /nfs/dbraw/zinc/62/56/91/350625691.db2.gz KLPZCYPJCGZEEX-PHXNAMCJSA-N 0 0 283.349 2.689 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@H]1CCCC12CC2 ZINC000600803595 350614867 /nfs/dbraw/zinc/61/48/67/350614867.db2.gz KTZHCRNMNTVCBJ-CYBMUJFWSA-N 0 0 288.347 2.834 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000600808947 350615645 /nfs/dbraw/zinc/61/56/45/350615645.db2.gz MPLAXAWAUUCSOU-OTDNITJGSA-N 0 0 277.324 2.741 20 5 CFBDRN Cc1ccc(C(=O)N(C)CC2CCC2)cc1[N+](=O)[O-] ZINC000110465635 186296934 /nfs/dbraw/zinc/29/69/34/186296934.db2.gz VEJBFMRJPOYYTQ-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)C2CC2)cc1C(F)(F)F ZINC000110460069 186297234 /nfs/dbraw/zinc/29/72/34/186297234.db2.gz QHKSXMHKRWAASL-NSHDSACASA-N 0 0 290.241 2.796 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC1CCC1 ZINC000110464960 186297472 /nfs/dbraw/zinc/29/74/72/186297472.db2.gz YMYRSYGTJZVRPR-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Nc1nc(N[C@@H]2CCC[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000110603836 186303901 /nfs/dbraw/zinc/30/39/01/186303901.db2.gz XGRTVGUYQMPJSU-NWDGAFQWSA-N 0 0 299.334 2.715 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCO[C@H]2C)c([N+](=O)[O-])c1 ZINC000601073580 350659041 /nfs/dbraw/zinc/65/90/41/350659041.db2.gz COYHDUPTYYPCIA-QWRGUYRKSA-N 0 0 251.282 2.707 20 5 CFBDRN CCc1ccc(OC[C@@H]2CCO[C@H]2C)c([N+](=O)[O-])c1 ZINC000601077183 350660168 /nfs/dbraw/zinc/66/01/68/350660168.db2.gz OHNUGRZEQKAERD-JQWIXIFHSA-N 0 0 265.309 2.961 20 5 CFBDRN CCc1ccc(OC[C@@H]2CCO[C@@H]2C)c([N+](=O)[O-])c1 ZINC000601077184 350660349 /nfs/dbraw/zinc/66/03/49/350660349.db2.gz OHNUGRZEQKAERD-PWSUYJOCSA-N 0 0 265.309 2.961 20 5 CFBDRN C[C@@H]1OCC[C@H]1COc1ccc(F)cc1[N+](=O)[O-] ZINC000601077308 350660381 /nfs/dbraw/zinc/66/03/81/350660381.db2.gz ZYPUWJMQYKDOJK-IUCAKERBSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000601122130 350674827 /nfs/dbraw/zinc/67/48/27/350674827.db2.gz RRAOPPCRNXUQLU-RNFRBKRXSA-N 0 0 292.261 2.582 20 5 CFBDRN CCC(CC)Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601099029 350667866 /nfs/dbraw/zinc/66/78/66/350667866.db2.gz PCDJGAVZSQBXCG-UHFFFAOYSA-N 0 0 280.250 2.948 20 5 CFBDRN CN(c1c([N+](=O)[O-])c(C(F)(F)F)nn1C)C1CCCC1 ZINC000601100450 350668681 /nfs/dbraw/zinc/66/86/81/350668681.db2.gz DAEARERYKSIBQL-UHFFFAOYSA-N 0 0 292.261 2.726 20 5 CFBDRN CC(C)[C@H](C)N(C)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601104416 350669201 /nfs/dbraw/zinc/66/92/01/350669201.db2.gz WHTKXSDRZDCPAP-ZETCQYMHSA-N 0 0 294.277 2.828 20 5 CFBDRN CC(C)COC1CCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601105799 350669918 /nfs/dbraw/zinc/66/99/18/350669918.db2.gz IXKYNFPUGFZKIT-UHFFFAOYSA-N 0 0 297.330 2.770 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H](C)O[C@H]2C)c([N+](=O)[O-])cc1F ZINC000601223558 350701999 /nfs/dbraw/zinc/70/19/99/350701999.db2.gz GEAHXOQZTBTNQG-KHQFGBGNSA-N 0 0 284.287 2.720 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncnc3cccc([N+](=O)[O-])c32)[C@@H](C)O1 ZINC000601223957 350702149 /nfs/dbraw/zinc/70/21/49/350702149.db2.gz UXQWQTIIXBLEMV-FXPVBKGRSA-N 0 0 288.307 2.516 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)[C@H](C)O1 ZINC000601223476 350702364 /nfs/dbraw/zinc/70/23/64/350702364.db2.gz CONDEFLDENQLGH-HFBDOXOYSA-N 0 0 287.319 2.543 20 5 CFBDRN CCOc1cc(N2CCC[C@](O)(CC)C2)ccc1[N+](=O)[O-] ZINC000601232854 350704901 /nfs/dbraw/zinc/70/49/01/350704901.db2.gz GXMHYFRHBRYJHS-OAHLLOKOSA-N 0 0 294.351 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1Nc1cnn2c1CCCC2 ZINC000601232305 350705080 /nfs/dbraw/zinc/70/50/80/350705080.db2.gz PGTUDLZGGTUNBC-UHFFFAOYSA-N 0 0 293.714 2.920 20 5 CFBDRN COc1cc(NCc2cccc(C)n2)ccc1[N+](=O)[O-] ZINC000111049494 186348455 /nfs/dbraw/zinc/34/84/55/186348455.db2.gz JTSKUIGHTHYICC-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@H]2CCC[C@@H]2O)ccc1C(F)(F)F ZINC000601249093 350709031 /nfs/dbraw/zinc/70/90/31/350709031.db2.gz DTHFOXAEOJBABI-ONGXEEELSA-N 0 0 290.241 2.939 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H](O)[C@H]2CCCC[C@@H]21 ZINC000601252966 350710485 /nfs/dbraw/zinc/71/04/85/350710485.db2.gz XTQVCKOLVOEOHQ-HUBLWGQQSA-N 0 0 294.326 2.864 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000111004877 186342586 /nfs/dbraw/zinc/34/25/86/186342586.db2.gz MPYYKVMZOYYSCX-RISCZKNCSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@@H]1CCCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601145025 350681389 /nfs/dbraw/zinc/68/13/89/350681389.db2.gz HFPOXBSELZAXMC-SECBINFHSA-N 0 0 253.277 2.755 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C[C@@H](C)O1 ZINC000111015928 186344292 /nfs/dbraw/zinc/34/42/92/186344292.db2.gz FIDRTCVAFBZHPN-PSASIEDQSA-N 0 0 298.364 2.604 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000601173958 350688790 /nfs/dbraw/zinc/68/87/90/350688790.db2.gz NFWYVJXQIUANNZ-JEZHCXPESA-N 0 0 251.261 2.729 20 5 CFBDRN CO[C@@H](CNc1ncc(F)cc1[N+](=O)[O-])CC(C)C ZINC000601175852 350689729 /nfs/dbraw/zinc/68/97/29/350689729.db2.gz QXDPEHWCNLILKO-SNVBAGLBSA-N 0 0 271.292 2.602 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@@H]4OCC[C@@H]4C3)c2c1 ZINC000601182275 350690974 /nfs/dbraw/zinc/69/09/74/350690974.db2.gz SBTOAPZOGVRDHI-BZNIZROVSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601184403 350691504 /nfs/dbraw/zinc/69/15/04/350691504.db2.gz KVTYAPGPMOWRKL-BXKDBHETSA-N 0 0 289.360 2.871 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@H]2C(F)(F)F)n1 ZINC000601188250 350692172 /nfs/dbraw/zinc/69/21/72/350692172.db2.gz FLRLFNZNSZNJDZ-QMMMGPOBSA-N 0 0 275.230 2.748 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2ccns2)c1 ZINC000601193249 350693758 /nfs/dbraw/zinc/69/37/58/350693758.db2.gz DKVFZBLTIZYIPR-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN COc1cc(CNc2cc(C)ccc2[N+](=O)[O-])on1 ZINC000601198121 350694822 /nfs/dbraw/zinc/69/48/22/350694822.db2.gz NRWAEGHIKHUOAI-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CC(C)(CNc1ccsc1[N+](=O)[O-])n1ccnc1 ZINC000601198408 350694959 /nfs/dbraw/zinc/69/49/59/350694959.db2.gz WZEYSSQVWFJSJW-UHFFFAOYSA-N 0 0 266.326 2.700 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1CCO[C@H]1c1ccccc1 ZINC000601203162 350696013 /nfs/dbraw/zinc/69/60/13/350696013.db2.gz DORHQNAMNMDDIM-OCCSQVGLSA-N 0 0 285.303 2.932 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1ccsc1[N+](=O)[O-] ZINC000601202624 350696164 /nfs/dbraw/zinc/69/61/64/350696164.db2.gz DHGDBKOIHLZHIU-JIOCBJNQSA-N 0 0 256.327 2.880 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1CCCC12CC2 ZINC000601209217 350697371 /nfs/dbraw/zinc/69/73/71/350697371.db2.gz CKLSWVJGOVGIJL-SNVBAGLBSA-N 0 0 251.261 2.874 20 5 CFBDRN CSC1CCN(c2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000601207918 350697559 /nfs/dbraw/zinc/69/75/59/350697559.db2.gz UCKYAOTZVFDJJU-UHFFFAOYSA-N 0 0 298.393 2.636 20 5 CFBDRN CCC[C@@H](CCO)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000601212199 350698852 /nfs/dbraw/zinc/69/88/52/350698852.db2.gz JKQUZIIFFXCOCT-LBPRGKRZSA-N 0 0 289.335 2.528 20 5 CFBDRN C[C@H]1CN(C(=O)C2(c3ccc([N+](=O)[O-])cc3)CCC2)[C@H]1C ZINC000601601899 350745800 /nfs/dbraw/zinc/74/58/00/350745800.db2.gz DBRIOWPUHNFSBO-RYUDHWBXSA-N 0 0 288.347 2.883 20 5 CFBDRN O=C(NCC1=CCCCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601602455 350745807 /nfs/dbraw/zinc/74/58/07/350745807.db2.gz FLMJDIZCDSCUMY-UHFFFAOYSA-N 0 0 278.283 2.964 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@@H]1C(F)F ZINC000601605829 350746495 /nfs/dbraw/zinc/74/64/95/350746495.db2.gz ILCMPWLYWQEBKW-SECBINFHSA-N 0 0 296.229 2.821 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000601704951 350764912 /nfs/dbraw/zinc/76/49/12/350764912.db2.gz NOSLNWKCNLUEOA-LBPRGKRZSA-N 0 0 290.319 2.666 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCCC23CC3)cccc1[N+](=O)[O-] ZINC000601705543 350764971 /nfs/dbraw/zinc/76/49/71/350764971.db2.gz ONSRPHSAQHGHJE-LBPRGKRZSA-N 0 0 289.335 2.699 20 5 CFBDRN C[C@H](C(=O)NCC(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000601689064 350760538 /nfs/dbraw/zinc/76/05/38/350760538.db2.gz XXROTVIHAUXUMO-VIFPVBQESA-N 0 0 268.288 2.563 20 5 CFBDRN CSC1CCN(C(=O)c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000601691685 350761118 /nfs/dbraw/zinc/76/11/18/350761118.db2.gz IPGMIWDLGQBGRW-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN CSC1CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000601696371 350763133 /nfs/dbraw/zinc/76/31/33/350763133.db2.gz OPPQHMKWNTTWDF-UHFFFAOYSA-N 0 0 280.349 2.562 20 5 CFBDRN CC[C@@H](C)Cc1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000601396471 350729600 /nfs/dbraw/zinc/72/96/00/350729600.db2.gz ZTTQPQUYRJKSPM-SSDOTTSWSA-N 0 0 250.258 2.562 20 5 CFBDRN CC[C@H](C)Cc1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000601398567 350729705 /nfs/dbraw/zinc/72/97/05/350729705.db2.gz HSWCKBPZWQLIFJ-QMMMGPOBSA-N 0 0 264.285 2.572 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])CCS1 ZINC000601529705 350736714 /nfs/dbraw/zinc/73/67/14/350736714.db2.gz ZZQZXVORYZULCQ-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ccc2nccnc2n1 ZINC000111143388 186358873 /nfs/dbraw/zinc/35/88/73/186358873.db2.gz RGQPXWHZHRMWFN-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN CCSCCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601555574 350739420 /nfs/dbraw/zinc/73/94/20/350739420.db2.gz PMHQSEZYEFPOPF-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC12CC2 ZINC000601706442 350765596 /nfs/dbraw/zinc/76/55/96/350765596.db2.gz VFRNLXRQQXOKJY-CYBMUJFWSA-N 0 0 274.320 2.586 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCCC12CC2 ZINC000601705588 350765874 /nfs/dbraw/zinc/76/58/74/350765874.db2.gz PUQFSTGHJXNOAT-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN CC1(F)CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000601866395 350794637 /nfs/dbraw/zinc/79/46/37/350794637.db2.gz CLUVNIDWPAYILX-UHFFFAOYSA-N 0 0 284.262 2.698 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1N1N=C2CC[C@@H](C)[C@@H]2C1=O ZINC000601934739 350807839 /nfs/dbraw/zinc/80/78/39/350807839.db2.gz DTMFQCFUOJRKPA-RNCFNFMXSA-N 0 0 273.292 2.652 20 5 CFBDRN C[C@@H]1CCc2c(=O)n(-c3ccc([N+](=O)[O-])cc3Cl)[n-]c21 ZINC000601937076 350809210 /nfs/dbraw/zinc/80/92/10/350809210.db2.gz BNDPQOWVKJVEAB-APPZFPTMSA-N 0 0 293.710 2.997 20 5 CFBDRN C[C@H]1CCc2c(=O)n(-c3ccc([N+](=O)[O-])cc3Cl)[n-]c21 ZINC000601937077 350809225 /nfs/dbraw/zinc/80/92/25/350809225.db2.gz BNDPQOWVKJVEAB-CBAPKCEASA-N 0 0 293.710 2.997 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2Cl)C(=O)[C@H](C)C1 ZINC000601938842 350810272 /nfs/dbraw/zinc/81/02/72/350810272.db2.gz KOGYGPUZISQLHW-SSDOTTSWSA-N 0 0 281.699 2.997 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000602132206 350845300 /nfs/dbraw/zinc/84/53/00/350845300.db2.gz IJARMBQEZJIWRN-GXFFZTMASA-N 0 0 294.351 2.569 20 5 CFBDRN CN(C(=O)C1CCC=CCC1)c1ccc([N+](=O)[O-])nc1 ZINC000602322581 350863064 /nfs/dbraw/zinc/86/30/64/350863064.db2.gz PUJCJFPKJVOQLK-UHFFFAOYSA-N 0 0 275.308 2.699 20 5 CFBDRN CC[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000111275554 186387150 /nfs/dbraw/zinc/38/71/50/186387150.db2.gz IPDVULOXLACZID-WDEREUQCSA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000111629135 186433691 /nfs/dbraw/zinc/43/36/91/186433691.db2.gz IVSKSBZOVPJHNT-VIFPVBQESA-N 0 0 279.340 2.604 20 5 CFBDRN C/C=C\CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000602374752 350871003 /nfs/dbraw/zinc/87/10/03/350871003.db2.gz KFEIEOHTSZHDSX-IHWYPQMZSA-N 0 0 289.335 2.845 20 5 CFBDRN CC(C)(F)CNC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000602388730 350872788 /nfs/dbraw/zinc/87/27/88/350872788.db2.gz NPBVHULTXAMWHU-UHFFFAOYSA-N 0 0 297.330 2.877 20 5 CFBDRN CN(Cc1cn(C)nc1[N+](=O)[O-])Cc1cccc(Cl)c1 ZINC000602434694 350880041 /nfs/dbraw/zinc/88/00/41/350880041.db2.gz QOZDDXREVQOQOL-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CNC3(C(F)F)CC3)nc2c1 ZINC000602658071 350963948 /nfs/dbraw/zinc/96/39/48/350963948.db2.gz ILRJSIWHYDEINI-UHFFFAOYSA-N 0 0 283.234 2.623 20 5 CFBDRN Cc1c(NC(=O)N[C@@H](C)C(F)F)cccc1[N+](=O)[O-] ZINC000602762649 351017462 /nfs/dbraw/zinc/01/74/62/351017462.db2.gz PBTBXMBZXDIBTR-ZETCQYMHSA-N 0 0 273.239 2.678 20 5 CFBDRN C[C@@H](c1ccccc1F)N(C)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602768875 351019425 /nfs/dbraw/zinc/01/94/25/351019425.db2.gz ZAPYTUPZPNPPPC-JTQLQIEISA-N 0 0 292.314 2.660 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000112610005 186509775 /nfs/dbraw/zinc/50/97/75/186509775.db2.gz CUBIZGUGRRJDCX-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000416691517 233598948 /nfs/dbraw/zinc/59/89/48/233598948.db2.gz SAQRTOYKUFYPBS-JQWIXIFHSA-N 0 0 289.335 2.755 20 5 CFBDRN Cn1cc(CN2CCCCc3ccccc32)c([N+](=O)[O-])n1 ZINC000602792559 351027087 /nfs/dbraw/zinc/02/70/87/351027087.db2.gz BUJOYVWWWVBXPF-UHFFFAOYSA-N 0 0 286.335 2.671 20 5 CFBDRN CCC[C@H]1CCCC[C@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602812834 351034728 /nfs/dbraw/zinc/03/47/28/351034728.db2.gz RRZSIHFQFLIBQH-WCQYABFASA-N 0 0 280.372 2.777 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC[NH2+]C(C)(C)c1cccs1 ZINC000602812545 351035302 /nfs/dbraw/zinc/03/53/02/351035302.db2.gz SPGJWSIORGSILV-UHFFFAOYSA-N 0 0 294.380 2.686 20 5 CFBDRN Cc1noc(CN(C)c2ccsc2)c1[N+](=O)[O-] ZINC000602869567 351052727 /nfs/dbraw/zinc/05/27/27/351052727.db2.gz LSZQUDRNKANRJD-UHFFFAOYSA-N 0 0 253.283 2.589 20 5 CFBDRN CN(Cc1ccns1)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000602881297 351056285 /nfs/dbraw/zinc/05/62/85/351056285.db2.gz GGCFUZSUJMJXPQ-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN CSCc1cn([C@@H](C)c2cccc([N+](=O)[O-])c2)nn1 ZINC000603185572 351115657 /nfs/dbraw/zinc/11/56/57/351115657.db2.gz JBBNJYDZMSEZPG-VIFPVBQESA-N 0 0 278.337 2.659 20 5 CFBDRN CCOCc1cn([C@@H](C)c2cc([N+](=O)[O-])ccc2C)nn1 ZINC000603249047 351125191 /nfs/dbraw/zinc/12/51/91/351125191.db2.gz DURUTNLTLTUYRS-NSHDSACASA-N 0 0 290.323 2.641 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2OC3CCC2CC3)c(F)c1 ZINC000603033835 351093373 /nfs/dbraw/zinc/09/33/73/351093373.db2.gz TYADKAXIVBASQX-UHFFFAOYSA-N 0 0 266.272 2.792 20 5 CFBDRN CCc1nnc(SCc2ccc(OC)c([N+](=O)[O-])c2)o1 ZINC000603367920 351147929 /nfs/dbraw/zinc/14/79/29/351147929.db2.gz CTQBXLNFUNDLTJ-UHFFFAOYSA-N 0 0 295.320 2.841 20 5 CFBDRN CCc1nnc(SCc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000603370125 351148501 /nfs/dbraw/zinc/14/85/01/351148501.db2.gz JQWDBPXSEPUBNP-UHFFFAOYSA-N 0 0 283.284 2.972 20 5 CFBDRN CCc1nnc(SCc2ccc([N+](=O)[O-])c(OC)c2)o1 ZINC000603372768 351149806 /nfs/dbraw/zinc/14/98/06/351149806.db2.gz VNAUUSKRUUCZOL-UHFFFAOYSA-N 0 0 295.320 2.841 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1cc2n(n1)CCCC2 ZINC000603399516 351157068 /nfs/dbraw/zinc/15/70/68/351157068.db2.gz BXJWBLPRWAERGP-UHFFFAOYSA-N 0 0 272.308 2.740 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1cn(C2CCC2)nn1 ZINC000603404692 351157780 /nfs/dbraw/zinc/15/77/80/351157780.db2.gz NQUDICWCWVJSDI-UHFFFAOYSA-N 0 0 273.296 2.523 20 5 CFBDRN O=[N+]([O-])c1cnn(CCCOc2ccc(Cl)cc2)c1 ZINC000603476618 351167076 /nfs/dbraw/zinc/16/70/76/351167076.db2.gz PEXNFBVEHISFEJ-UHFFFAOYSA-N 0 0 281.699 2.914 20 5 CFBDRN C[NH+](C)[C@@H](CNc1cccnc1[N+](=O)[O-])c1cccs1 ZINC000603837179 351183887 /nfs/dbraw/zinc/18/38/87/351183887.db2.gz BTVUJLOWSUFMHF-NSHDSACASA-N 0 0 292.364 2.766 20 5 CFBDRN O=[N+]([O-])c1ncccc1Sc1ccc2c(c1)OCCO2 ZINC000603837798 351184737 /nfs/dbraw/zinc/18/47/37/351184737.db2.gz FPWHQZFVNZZTRA-UHFFFAOYSA-N 0 0 290.300 2.912 20 5 CFBDRN CC(=O)N1CCc2cc(Nc3cccnc3[N+](=O)[O-])ccc21 ZINC000603841035 351184776 /nfs/dbraw/zinc/18/47/76/351184776.db2.gz SYDSUHVZLYVTCN-UHFFFAOYSA-N 0 0 298.302 2.642 20 5 CFBDRN COc1cc(OC)cc(Oc2cccnc2[N+](=O)[O-])c1 ZINC000603838837 351184884 /nfs/dbraw/zinc/18/48/84/351184884.db2.gz OHBNLHRPNCYBCC-UHFFFAOYSA-N 0 0 276.248 2.799 20 5 CFBDRN Cc1nc(Sc2cccnc2[N+](=O)[O-])oc1C ZINC000603845101 351185753 /nfs/dbraw/zinc/18/57/53/351185753.db2.gz FGMUHHBJTYRENX-UHFFFAOYSA-N 0 0 251.267 2.746 20 5 CFBDRN O=[N+]([O-])c1ncccc1Sc1ncn(-c2ccccc2)n1 ZINC000603846945 351185806 /nfs/dbraw/zinc/18/58/06/351185806.db2.gz LXTNJZBDZJGPET-UHFFFAOYSA-N 0 0 299.315 2.722 20 5 CFBDRN C[C@@H](CNc1cccnc1[N+](=O)[O-])[N@@H+]1CCCC[C@@H]1C ZINC000603853707 351186451 /nfs/dbraw/zinc/18/64/51/351186451.db2.gz KBHCITNHSSUCSX-RYUDHWBXSA-N 0 0 278.356 2.665 20 5 CFBDRN C[C@@H]1CCCC[C@H]1OCCNc1ncccc1[N+](=O)[O-] ZINC000603860392 351187028 /nfs/dbraw/zinc/18/70/28/351187028.db2.gz HRCOOTOFYAHYFR-DGCLKSJQSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@H]1CCCC[C@H]1OCCNc1ncccc1[N+](=O)[O-] ZINC000603860393 351187082 /nfs/dbraw/zinc/18/70/82/351187082.db2.gz HRCOOTOFYAHYFR-WCQYABFASA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@H]1CCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000603268354 351127983 /nfs/dbraw/zinc/12/79/83/351127983.db2.gz ZDNNDWRSOQQDIN-ZETCQYMHSA-N 0 0 288.225 2.848 20 5 CFBDRN C[C@H]1CCN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 ZINC000603267071 351128129 /nfs/dbraw/zinc/12/81/29/351128129.db2.gz PJBHUFSGJHKURX-ZETCQYMHSA-N 0 0 299.124 2.592 20 5 CFBDRN Cc1nn(Cc2cc(C3CC3)no2)c2ncc([N+](=O)[O-])cc12 ZINC000603317726 351138133 /nfs/dbraw/zinc/13/81/33/351138133.db2.gz BRRIWQXVHICKHJ-UHFFFAOYSA-N 0 0 299.290 2.562 20 5 CFBDRN Cn1cnc(CSCc2ccc([N+](=O)[O-])cc2)c1 ZINC000603323997 351139465 /nfs/dbraw/zinc/13/94/65/351139465.db2.gz GLMFCOFHRYZGGD-UHFFFAOYSA-N 0 0 263.322 2.762 20 5 CFBDRN Cc1nn(CC(=O)CC(C)(C)C)c2ncc([N+](=O)[O-])cc12 ZINC000603326478 351139492 /nfs/dbraw/zinc/13/94/92/351139492.db2.gz NGOGENUXZSZBOH-UHFFFAOYSA-N 0 0 290.323 2.653 20 5 CFBDRN Cc1nn(C[C@@H]2CCC(C)(C)O2)c2ncc([N+](=O)[O-])cc12 ZINC000603329964 351140392 /nfs/dbraw/zinc/14/03/92/351140392.db2.gz ZNWUTJGFQLEGSZ-NSHDSACASA-N 0 0 290.323 2.606 20 5 CFBDRN C[C@H](NC(=O)N1C2CCC1CC2)c1cccc([N+](=O)[O-])c1 ZINC000604127070 351200277 /nfs/dbraw/zinc/20/02/77/351200277.db2.gz HKAIOLXVFIZUIG-PKSQDBQZSA-N 0 0 289.335 2.992 20 5 CFBDRN Cc1occc1CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000604311139 351206198 /nfs/dbraw/zinc/20/61/98/351206198.db2.gz SIDFJEZCVPOSID-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H]1C ZINC000604397951 351210998 /nfs/dbraw/zinc/21/09/98/351210998.db2.gz QBVAZEVTPNCBCD-VHSXEESVSA-N 0 0 280.349 2.696 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2ccc(F)cc21 ZINC000604502711 351215207 /nfs/dbraw/zinc/21/52/07/351215207.db2.gz NKMOMPKWZKCESF-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1CC(C)(C)C ZINC000604511291 351216277 /nfs/dbraw/zinc/21/62/77/351216277.db2.gz LXVRIHXTFMLARH-LLVKDONJSA-N 0 0 293.367 2.974 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCOCC1CCC1 ZINC000416914740 233634842 /nfs/dbraw/zinc/63/48/42/233634842.db2.gz PFUHRBXSJRLGIU-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN CC(C)[C@H]1CCCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000117298752 186746822 /nfs/dbraw/zinc/74/68/22/186746822.db2.gz AMKIREXCGOSTRJ-CYBMUJFWSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1cc(C(=O)N2CCS[C@@H](C)[C@H]2C)cc([N+](=O)[O-])c1 ZINC000118290340 186768633 /nfs/dbraw/zinc/76/86/33/186768633.db2.gz JRGOJAJFIZTUJS-MNOVXSKESA-N 0 0 294.376 2.869 20 5 CFBDRN CCC[C@H]1CCC(=O)N(Cc2cccc([N+](=O)[O-])c2)C1=O ZINC000118986526 186793039 /nfs/dbraw/zinc/79/30/39/186793039.db2.gz JBNFDSOFGDCOOM-LBPRGKRZSA-N 0 0 290.319 2.660 20 5 CFBDRN CC(C)(C)CN(CCO)Cc1c(F)cccc1[N+](=O)[O-] ZINC000609317084 351496145 /nfs/dbraw/zinc/49/61/45/351496145.db2.gz KCLSCDYNNNHUTQ-UHFFFAOYSA-N 0 0 284.331 2.574 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)CC2 ZINC000609303749 351496245 /nfs/dbraw/zinc/49/62/45/351496245.db2.gz FGDPVUZKYZOCAJ-LBPRGKRZSA-N 0 0 286.287 2.914 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000609442133 351502207 /nfs/dbraw/zinc/50/22/07/351502207.db2.gz TYPIJVLOHGPDIE-QMMMGPOBSA-N 0 0 254.261 2.652 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CCCCOC ZINC000291407274 198013918 /nfs/dbraw/zinc/01/39/18/198013918.db2.gz IOPNANDVTMLNJO-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@H](C)C2)c1 ZINC000609494909 351504346 /nfs/dbraw/zinc/50/43/46/351504346.db2.gz HEWOQWRICOXEOC-JTQLQIEISA-N 0 0 250.298 2.840 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@H](C)O1 ZINC000609513223 351505004 /nfs/dbraw/zinc/50/50/04/351505004.db2.gz QFXADRMRLAPFKP-IUCAKERBSA-N 0 0 256.327 2.660 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000609514621 351505124 /nfs/dbraw/zinc/50/51/24/351505124.db2.gz QQLKFXOYABGSLT-BXKDBHETSA-N 0 0 272.308 2.674 20 5 CFBDRN Cn1ncc2c1CCC[C@@H]2Nc1ccc([N+](=O)[O-])s1 ZINC000609560019 351506908 /nfs/dbraw/zinc/50/69/08/351506908.db2.gz ODKHRNVLVWUHKV-VIFPVBQESA-N 0 0 278.337 2.879 20 5 CFBDRN Cc1noc(C2CCN(c3ccsc3[N+](=O)[O-])CC2)n1 ZINC000609560406 351507186 /nfs/dbraw/zinc/50/71/86/351507186.db2.gz NSYXWAOPOCCUID-UHFFFAOYSA-N 0 0 294.336 2.732 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])s2)cc1O ZINC000609560509 351507198 /nfs/dbraw/zinc/50/71/98/351507198.db2.gz VLOOCVPSGOZCOX-UHFFFAOYSA-N 0 0 280.305 2.983 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ccsc1[N+](=O)[O-] ZINC000609571287 351508794 /nfs/dbraw/zinc/50/87/94/351508794.db2.gz PBVNKCKXWLYLJM-LDYMZIIASA-N 0 0 258.343 2.865 20 5 CFBDRN CC(C)[C@@H](C)N(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000609586089 351510022 /nfs/dbraw/zinc/51/00/22/351510022.db2.gz BOILWVVWGCHDIO-MRVPVSSYSA-N 0 0 268.342 2.785 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc2nccn2c1 ZINC000609623247 351511749 /nfs/dbraw/zinc/51/17/49/351511749.db2.gz YBFHIHWOCWAJIZ-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc3nccn3c2)ccc1[N+](=O)[O-] ZINC000609618978 351512197 /nfs/dbraw/zinc/51/21/97/351512197.db2.gz TWKLGLYMIGFKQB-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc2c(c1)CCO2 ZINC000608779040 351470806 /nfs/dbraw/zinc/47/08/06/351470806.db2.gz BULZYZQYXKCRAW-UHFFFAOYSA-N 0 0 271.276 2.537 20 5 CFBDRN CSc1ccc(Cn2cccc([N+](=O)[O-])c2=O)cc1 ZINC000608801371 351473844 /nfs/dbraw/zinc/47/38/44/351473844.db2.gz AYMOQAXTZFGUJM-UHFFFAOYSA-N 0 0 276.317 2.527 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H](n2ccnc2)C1 ZINC000609132937 351486847 /nfs/dbraw/zinc/48/68/47/351486847.db2.gz XBNQTPRXIVEVDO-LBPRGKRZSA-N 0 0 272.308 2.633 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CSc3ccccc32)c1[N+](=O)[O-] ZINC000609797770 351519968 /nfs/dbraw/zinc/51/99/68/351519968.db2.gz SDYPIQKVEHIZSG-JTQLQIEISA-N 0 0 290.348 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC[C@@H]2CCOC2)s1 ZINC000610131461 351534559 /nfs/dbraw/zinc/53/45/59/351534559.db2.gz STOANKSATUQJTM-JTQLQIEISA-N 0 0 286.353 2.511 20 5 CFBDRN CC1(C)CCN1C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000610116682 351534829 /nfs/dbraw/zinc/53/48/29/351534829.db2.gz PSBOMHHMHXPQRL-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN CC(C)CC[C@](C)(O)CNc1cccnc1[N+](=O)[O-] ZINC000610131963 351535757 /nfs/dbraw/zinc/53/57/57/351535757.db2.gz ZINHUNJJODKXOD-ZDUSSCGKSA-N 0 0 267.329 2.589 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000291430121 198019007 /nfs/dbraw/zinc/01/90/07/198019007.db2.gz JCYCWOYWAIHVCR-JTQLQIEISA-N 0 0 298.314 2.539 20 5 CFBDRN O=C(Cn1nccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000119733375 186848289 /nfs/dbraw/zinc/84/82/89/186848289.db2.gz JTAUXZAGSUZKNN-UHFFFAOYSA-N 0 0 299.208 2.693 20 5 CFBDRN Cc1nccn1CCCNc1ccsc1[N+](=O)[O-] ZINC000610279286 351547976 /nfs/dbraw/zinc/54/79/76/351547976.db2.gz UHEZCHFYNXMVAA-UHFFFAOYSA-N 0 0 266.326 2.663 20 5 CFBDRN CCOc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000610434998 351566949 /nfs/dbraw/zinc/56/69/49/351566949.db2.gz ULVABFAOFPKRKL-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN CCc1ccc(C(=O)Nc2ccc[nH]c2=O)cc1[N+](=O)[O-] ZINC000610436540 351567142 /nfs/dbraw/zinc/56/71/42/351567142.db2.gz DEWFERHDMARGDP-UHFFFAOYSA-N 0 0 287.275 2.510 20 5 CFBDRN CC[C@@H](Nc1cc2c(nn1)CCC2)c1cccc([N+](=O)[O-])c1 ZINC000610518291 351576323 /nfs/dbraw/zinc/57/63/23/351576323.db2.gz JZSIKQBMYBDAJH-CQSZACIVSA-N 0 0 298.346 2.859 20 5 CFBDRN CO[C@H](C)c1nc(CNc2cccnc2[N+](=O)[O-])cs1 ZINC000610648342 351587422 /nfs/dbraw/zinc/58/74/22/351587422.db2.gz WSNXKYGOKPEQHG-MRVPVSSYSA-N 0 0 294.336 2.766 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000610643945 351587696 /nfs/dbraw/zinc/58/76/96/351587696.db2.gz FBPMGWCALGFREW-WDEREUQCSA-N 0 0 277.324 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1ccn(C)c(=O)c1 ZINC000610657568 351588231 /nfs/dbraw/zinc/58/82/31/351588231.db2.gz SBPBDJXHBSCAFQ-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN COC(=O)[C@H](Nc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000610661635 351589292 /nfs/dbraw/zinc/58/92/92/351589292.db2.gz SEFSMSYXCFKEFV-NSHDSACASA-N 0 0 266.297 2.594 20 5 CFBDRN CCO[C@H]1C[C@H]1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000610664642 351590393 /nfs/dbraw/zinc/59/03/93/351590393.db2.gz BSHPOTMYRCRPAN-PWSUYJOCSA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](n3ccnc3)C2)c1 ZINC000610677425 351591567 /nfs/dbraw/zinc/59/15/67/351591567.db2.gz FOVDDNZGBIKQAL-CYBMUJFWSA-N 0 0 286.335 2.941 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1COc2c1cccc2Cl ZINC000610709920 351595360 /nfs/dbraw/zinc/59/53/60/351595360.db2.gz MGIRFBLREKVJCX-SECBINFHSA-N 0 0 294.698 2.527 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@@H]1n1ccnc1 ZINC000610726401 351598294 /nfs/dbraw/zinc/59/82/94/351598294.db2.gz BIORGLMFKYYEJS-QWRGUYRKSA-N 0 0 292.364 2.940 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000610726327 351598797 /nfs/dbraw/zinc/59/87/97/351598797.db2.gz WZLXAGPKEGXQIJ-JTQLQIEISA-N 0 0 270.354 2.908 20 5 CFBDRN O=C([C@@H]1CC12CCC2)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000610734487 351599287 /nfs/dbraw/zinc/59/92/87/351599287.db2.gz HIIUVVVMQVXUAW-LBPRGKRZSA-N 0 0 272.304 2.674 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000610734521 351599318 /nfs/dbraw/zinc/59/93/18/351599318.db2.gz MDILEJXHWSITAN-QWRGUYRKSA-N 0 0 293.323 2.531 20 5 CFBDRN Cc1cnc(N[C@H](C)C[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000610734680 351599325 /nfs/dbraw/zinc/59/93/25/351599325.db2.gz FQCCLDHXAJNISO-MNOVXSKESA-N 0 0 265.313 2.525 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC(CC(F)(F)F)C1 ZINC000610735219 351600142 /nfs/dbraw/zinc/60/01/42/351600142.db2.gz KJDVRPWLPMUYDG-UHFFFAOYSA-N 0 0 260.215 2.983 20 5 CFBDRN C[C@@]1(c2ccccc2)CN(c2cccnc2[N+](=O)[O-])CCO1 ZINC000610735438 351600146 /nfs/dbraw/zinc/60/01/46/351600146.db2.gz MFVJFICUQLPRQL-INIZCTEOSA-N 0 0 299.330 2.742 20 5 CFBDRN Cc1ccnc(N2CC(CC(F)(F)F)C2)c1[N+](=O)[O-] ZINC000610735297 351600362 /nfs/dbraw/zinc/60/03/62/351600362.db2.gz VYEHVBDNJZVTOV-UHFFFAOYSA-N 0 0 275.230 2.687 20 5 CFBDRN O=C(NC1CC=CC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000610742103 351602011 /nfs/dbraw/zinc/60/20/11/351602011.db2.gz JDKMBVKANRBCEA-UHFFFAOYSA-N 0 0 266.684 2.697 20 5 CFBDRN O=C(NC1CC=CC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000610742109 351602543 /nfs/dbraw/zinc/60/25/43/351602543.db2.gz JYLCFGIVCHXRCK-UHFFFAOYSA-N 0 0 272.260 2.789 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC12CCC2 ZINC000610748537 351602852 /nfs/dbraw/zinc/60/28/52/351602852.db2.gz CSNSXIZZILXBQG-SNVBAGLBSA-N 0 0 276.292 2.732 20 5 CFBDRN Cc1cncc(C)c1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000610753223 351603141 /nfs/dbraw/zinc/60/31/41/351603141.db2.gz GFNUWOAALZJPLW-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1cncc(C)c1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000610757761 351603967 /nfs/dbraw/zinc/60/39/67/351603967.db2.gz XDISQMZNWVTCHE-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1ccc([N+](=O)[O-])cn1)CC2 ZINC000610771415 351606262 /nfs/dbraw/zinc/60/62/62/351606262.db2.gz CFZGPURUNKZKGO-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN C[C@H]1Cc2ccccc2N(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000610772154 351606271 /nfs/dbraw/zinc/60/62/71/351606271.db2.gz NOIDJCCRALUHJP-NSHDSACASA-N 0 0 297.314 2.829 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000610769418 351606683 /nfs/dbraw/zinc/60/66/83/351606683.db2.gz LXHPDWPEMFECJP-SNVBAGLBSA-N 0 0 283.287 2.581 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000610856245 351614150 /nfs/dbraw/zinc/61/41/50/351614150.db2.gz KEPHGXRDDBWBJS-QMMMGPOBSA-N 0 0 274.271 2.627 20 5 CFBDRN O=C(N[C@@H]1CCC(F)(F)C1)c1csc([N+](=O)[O-])c1 ZINC000610790319 351608952 /nfs/dbraw/zinc/60/89/52/351608952.db2.gz CNFHWGWNDNWABO-SSDOTTSWSA-N 0 0 276.264 2.574 20 5 CFBDRN Cc1nc(N(C)CCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000610856183 351614266 /nfs/dbraw/zinc/61/42/66/351614266.db2.gz LZFKXAAGUYVEAH-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1nc2ccccc2o1 ZINC000610340581 351556023 /nfs/dbraw/zinc/55/60/23/351556023.db2.gz ONQHLDBFVLFLQV-UHFFFAOYSA-N 0 0 284.275 2.786 20 5 CFBDRN CCOc1cc(N2CCc3ncccc3C2)ccc1[N+](=O)[O-] ZINC000611006853 351633398 /nfs/dbraw/zinc/63/33/98/351633398.db2.gz NVBPNOMFDZLSGD-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3ncccc3C2)c1 ZINC000611007164 351633549 /nfs/dbraw/zinc/63/35/49/351633549.db2.gz VBLQGZTYHFMIAN-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1cccnc1[N+](=O)[O-] ZINC000611030870 351635286 /nfs/dbraw/zinc/63/52/86/351635286.db2.gz GHPRLPFTFMTRTE-QMMMGPOBSA-N 0 0 276.296 2.810 20 5 CFBDRN CC(C)C1CN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000611079322 351638480 /nfs/dbraw/zinc/63/84/80/351638480.db2.gz OOCXXFCTJPGOPK-UHFFFAOYSA-N 0 0 282.727 2.976 20 5 CFBDRN CC(C)C1CN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000611079326 351638526 /nfs/dbraw/zinc/63/85/26/351638526.db2.gz OVAZLGIQCUYLIJ-UHFFFAOYSA-N 0 0 287.319 2.804 20 5 CFBDRN CCOc1cc(N[C@H](CO)CC2CC2)ccc1[N+](=O)[O-] ZINC000611104473 351640181 /nfs/dbraw/zinc/64/01/81/351640181.db2.gz XWRDKEUWUMVOLB-LBPRGKRZSA-N 0 0 280.324 2.566 20 5 CFBDRN CCC[C@@H](C)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000611143309 351644311 /nfs/dbraw/zinc/64/43/11/351644311.db2.gz GEBRMGSRHFZFEN-SNVBAGLBSA-N 0 0 289.335 2.923 20 5 CFBDRN C[C@@H](CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CC1 ZINC000611195481 351651737 /nfs/dbraw/zinc/65/17/37/351651737.db2.gz JRXQQJYRKYIXTH-VIFPVBQESA-N 0 0 287.319 2.852 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000611195307 351651758 /nfs/dbraw/zinc/65/17/58/351651758.db2.gz KTVLQBMAVRMEFC-VIFPVBQESA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1cc(N[C@H](CO)CC2CCCC2)ncc1[N+](=O)[O-] ZINC000611222219 351652759 /nfs/dbraw/zinc/65/27/59/351652759.db2.gz HNDCMKHXQFMJIW-LBPRGKRZSA-N 0 0 279.340 2.651 20 5 CFBDRN CSc1cc(NC(=O)c2cccc([N+](=O)[O-])c2)ccn1 ZINC000611231024 351654560 /nfs/dbraw/zinc/65/45/60/351654560.db2.gz VPTOKUAGEUXTGO-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN CN(CCOC(C)(C)C)c1ccsc1[N+](=O)[O-] ZINC000610856331 351614578 /nfs/dbraw/zinc/61/45/78/351614578.db2.gz WVMSXSWBDHMWDF-UHFFFAOYSA-N 0 0 258.343 2.908 20 5 CFBDRN CCCCCOCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000120674912 186916936 /nfs/dbraw/zinc/91/69/36/186916936.db2.gz KABOTMGWWVGYOX-UHFFFAOYSA-N 0 0 281.308 2.845 20 5 CFBDRN CN(CCOC(C)(C)C)c1nc2sccn2c1[N+](=O)[O-] ZINC000610856345 351614869 /nfs/dbraw/zinc/61/48/69/351614869.db2.gz YWELYGMXDKKOQC-UHFFFAOYSA-N 0 0 298.368 2.555 20 5 CFBDRN CC(C)C(NC(=O)CNc1ccccc1[N+](=O)[O-])C(C)C ZINC000610926128 351621525 /nfs/dbraw/zinc/62/15/25/351621525.db2.gz LCBISGUBXIYJBN-UHFFFAOYSA-N 0 0 293.367 2.804 20 5 CFBDRN CN(C(=O)[C@@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])cc1 ZINC000120332177 186892546 /nfs/dbraw/zinc/89/25/46/186892546.db2.gz WVVSKHWTNDMAAT-VIFPVBQESA-N 0 0 289.118 2.751 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCOC[C@H]1C ZINC000610949116 351623165 /nfs/dbraw/zinc/62/31/65/351623165.db2.gz ALYZLAMXNNCPPV-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1cc(C)ccc1[N+](=O)[O-] ZINC000610946538 351623243 /nfs/dbraw/zinc/62/32/43/351623243.db2.gz XIVJYPMEKLTSKY-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCOC[C@@H]1C ZINC000610949117 351623384 /nfs/dbraw/zinc/62/33/84/351623384.db2.gz ALYZLAMXNNCPPV-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CC(C)(C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000610946617 351623418 /nfs/dbraw/zinc/62/34/18/351623418.db2.gz QRKGMDNXVWRBLO-QMMMGPOBSA-N 0 0 258.343 2.865 20 5 CFBDRN Cc1c(NC(=O)N2CCCOC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000610949119 351623512 /nfs/dbraw/zinc/62/35/12/351623512.db2.gz BJKHJGGDDKKKGJ-JTQLQIEISA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000610970885 351626885 /nfs/dbraw/zinc/62/68/85/351626885.db2.gz POFCYPYWPZWUGX-QWRGUYRKSA-N 0 0 282.365 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCOC3)no2)c(Cl)c1 ZINC000120370933 186895737 /nfs/dbraw/zinc/89/57/37/186895737.db2.gz GMEODFROIDZIIN-SSDOTTSWSA-N 0 0 295.682 2.802 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1nccc(C)c1[N+](=O)[O-] ZINC000611373707 351677506 /nfs/dbraw/zinc/67/75/06/351677506.db2.gz UVNGZBHBFMSDBR-QWRGUYRKSA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1cc(C)c(CNc2sccc2[N+](=O)[O-])c(=O)[nH]1 ZINC000611411353 351684929 /nfs/dbraw/zinc/68/49/29/351684929.db2.gz KQHZEKCOZDZUAQ-UHFFFAOYSA-N 0 0 279.321 2.986 20 5 CFBDRN CC(C)OCCCN(C)c1sccc1[N+](=O)[O-] ZINC000611412585 351686114 /nfs/dbraw/zinc/68/61/14/351686114.db2.gz BYAHLQBRZVFUOF-UHFFFAOYSA-N 0 0 258.343 2.908 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCc2ncccc2C1 ZINC000611413590 351686835 /nfs/dbraw/zinc/68/68/35/351686835.db2.gz GGXIFGTUKNGABY-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN O=C(C1=CCC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000611544081 351706723 /nfs/dbraw/zinc/70/67/23/351706723.db2.gz NUXKZQWPGRAZCA-UHFFFAOYSA-N 0 0 258.277 2.594 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCO[C@@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000611467877 351693297 /nfs/dbraw/zinc/69/32/97/351693297.db2.gz NFVAVRDKFWUHSJ-NEPJUHHUSA-N 0 0 296.371 2.642 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1C1CCOCC1 ZINC000611467788 351693348 /nfs/dbraw/zinc/69/33/48/351693348.db2.gz VDMCPYAQCLQFTR-CYBMUJFWSA-N 0 0 276.336 2.990 20 5 CFBDRN COC1(C)CN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC000611466720 351693541 /nfs/dbraw/zinc/69/35/41/351693541.db2.gz HCRLRHVOMPKRGW-UHFFFAOYSA-N 0 0 290.241 2.839 20 5 CFBDRN CC1(C)C[C@H](Nc2cccnc2[N+](=O)[O-])C(C)(C)O1 ZINC000611494464 351697321 /nfs/dbraw/zinc/69/73/21/351697321.db2.gz RFKQOCNSEXLIPC-JTQLQIEISA-N 0 0 265.313 2.748 20 5 CFBDRN COc1cc(N2CCO[C@H](C(C)C)C2)c(F)cc1[N+](=O)[O-] ZINC000611494820 351697395 /nfs/dbraw/zinc/69/73/95/351697395.db2.gz YFEUEGVMGUAXQT-AWEZNQCLSA-N 0 0 298.314 2.604 20 5 CFBDRN C[C@@H]1CCN(CCOc2ccccc2[N+](=O)[O-])C[C@H]1F ZINC000611502469 351700272 /nfs/dbraw/zinc/70/02/72/351700272.db2.gz SRPXEELHISGOKD-VXGBXAGGSA-N 0 0 282.315 2.654 20 5 CFBDRN COc1cc(N2CC=CCC2)c(F)cc1[N+](=O)[O-] ZINC000611510022 351702024 /nfs/dbraw/zinc/70/20/24/351702024.db2.gz YLUMULAQZUVOQB-UHFFFAOYSA-N 0 0 252.245 2.509 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCSCC(F)(F)F ZINC000611281641 351663710 /nfs/dbraw/zinc/66/37/10/351663710.db2.gz IGMPUSKMNBKKJH-UHFFFAOYSA-N 0 0 281.259 2.697 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCCOCC1CC1 ZINC000611290018 351664114 /nfs/dbraw/zinc/66/41/14/351664114.db2.gz SHHLOPYQOIGTEW-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN CCOc1cc(N2CC3(CC(F)C3)C2)ccc1[N+](=O)[O-] ZINC000611298389 351665425 /nfs/dbraw/zinc/66/54/25/351665425.db2.gz CCGDAHLMAHCJGG-UHFFFAOYSA-N 0 0 280.299 2.932 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)C(C)(C)O ZINC000611297003 351665657 /nfs/dbraw/zinc/66/56/57/351665657.db2.gz YLOCXYQDRFIPQC-SSDOTTSWSA-N 0 0 290.266 2.768 20 5 CFBDRN CC(C)[C@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611306951 351667334 /nfs/dbraw/zinc/66/73/34/351667334.db2.gz GJADPRBCBVJTJK-CMPLNLGQSA-N 0 0 265.313 2.605 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2cc([N+](=O)[O-])ccc2C)ncn1 ZINC000611337275 351669866 /nfs/dbraw/zinc/66/98/66/351669866.db2.gz QBXJDMHVJVEWJU-LBPRGKRZSA-N 0 0 286.335 2.852 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000611339112 351670876 /nfs/dbraw/zinc/67/08/76/351670876.db2.gz ITTMGSGKXAXYMH-CHWSQXEVSA-N 0 0 291.351 2.633 20 5 CFBDRN CC1(C)c2ccccc2CCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000611342075 351672023 /nfs/dbraw/zinc/67/20/23/351672023.db2.gz YDYSZXYPQRGWIC-UHFFFAOYSA-N 0 0 299.330 2.857 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3ncc[nH]3)C2)s1 ZINC000611370587 351675302 /nfs/dbraw/zinc/67/53/02/351675302.db2.gz JFLJREVZFADOFC-SECBINFHSA-N 0 0 278.337 2.763 20 5 CFBDRN COC1(C)CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000611372830 351676078 /nfs/dbraw/zinc/67/60/78/351676078.db2.gz JCOPBVJGULSZMA-UHFFFAOYSA-N 0 0 256.327 2.662 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000611373361 351676211 /nfs/dbraw/zinc/67/62/11/351676211.db2.gz QCXGYWZHCGORPV-RKDXNWHRSA-N 0 0 296.352 2.531 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000291613458 198051311 /nfs/dbraw/zinc/05/13/11/198051311.db2.gz JTRVRADLGLAFIZ-GHMZBOCLSA-N 0 0 291.351 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCC4(CCC4)C3)c2c1 ZINC000611708218 351727167 /nfs/dbraw/zinc/72/71/67/351727167.db2.gz LULJUZUQINZZFJ-UHFFFAOYSA-N 0 0 284.319 2.918 20 5 CFBDRN COC[C@@](C)(NCc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000611717872 351729612 /nfs/dbraw/zinc/72/96/12/351729612.db2.gz DEYSLHGGGLUXPL-LLVKDONJSA-N 0 0 292.257 2.652 20 5 CFBDRN COc1cccc2c1CCN(c1cccnc1[N+](=O)[O-])C2 ZINC000611764523 351738605 /nfs/dbraw/zinc/73/86/05/351738605.db2.gz JAQGAMBRPQUORH-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)c2ccco2)cc1[N+](=O)[O-] ZINC000611775620 351739484 /nfs/dbraw/zinc/73/94/84/351739484.db2.gz IGEALSRUJXSYCE-VIFPVBQESA-N 0 0 290.275 2.939 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1CC(F)(F)C1 ZINC000291664182 198054970 /nfs/dbraw/zinc/05/49/70/198054970.db2.gz CWSQCADHAVVQBF-UHFFFAOYSA-N 0 0 257.240 2.755 20 5 CFBDRN COc1ccc(NC(=O)N2C[C@@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000611824598 351744165 /nfs/dbraw/zinc/74/41/65/351744165.db2.gz SYQNEDVEACPJFB-GXSJLCMTSA-N 0 0 291.307 2.620 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCNc1ncc(Cl)s1 ZINC000611564880 351709479 /nfs/dbraw/zinc/70/94/79/351709479.db2.gz QLBQONFQRBREHI-UHFFFAOYSA-N 0 0 298.755 2.651 20 5 CFBDRN O=C(Nc1ccc(C2CC2)cc1)Nc1ccncc1[N+](=O)[O-] ZINC000611598467 351713362 /nfs/dbraw/zinc/71/33/62/351713362.db2.gz CKKZPXXQALBPSG-UHFFFAOYSA-N 0 0 298.302 2.933 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCCC[C@H]2CO)n1 ZINC000611616787 351715487 /nfs/dbraw/zinc/71/54/87/351715487.db2.gz GXXKUKZHYRMSRI-NWDGAFQWSA-N 0 0 279.340 2.570 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1cccc(CCCO)c1 ZINC000611618270 351715885 /nfs/dbraw/zinc/71/58/85/351715885.db2.gz ZEYZWBSNFRXWCR-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN CCCCNC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C ZINC000121602051 186983742 /nfs/dbraw/zinc/98/37/42/186983742.db2.gz HEBGJSYNNKRDDV-UHFFFAOYSA-N 0 0 295.295 2.650 20 5 CFBDRN COc1cc(COc2ccncc2)c([N+](=O)[O-])cc1F ZINC000431501235 383678892 /nfs/dbraw/zinc/67/88/92/383678892.db2.gz DDLLVLCKQBWZTR-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000611976080 351757541 /nfs/dbraw/zinc/75/75/41/351757541.db2.gz UKQFGGZBTFJNPX-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN CCc1nnc(COc2ccc(F)cc2[N+](=O)[O-])s1 ZINC000612018992 351762448 /nfs/dbraw/zinc/76/24/48/351762448.db2.gz LFLUENKOLXGNBY-UHFFFAOYSA-N 0 0 283.284 2.727 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)NOCC(C)C)cc1[N+](=O)[O-] ZINC000121645579 186986581 /nfs/dbraw/zinc/98/65/81/186986581.db2.gz FIQRGRLCOGOIMG-LLVKDONJSA-N 0 0 295.339 2.851 20 5 CFBDRN C[C@H]1OCC[C@H]1Sc1ncnc2cccc([N+](=O)[O-])c21 ZINC000612451743 351822946 /nfs/dbraw/zinc/82/29/46/351822946.db2.gz FBICCYUTBZIISW-LDYMZIIASA-N 0 0 291.332 2.808 20 5 CFBDRN Cc1cnc([C@H](C)CNc2cccnc2[N+](=O)[O-])s1 ZINC000612458895 351823818 /nfs/dbraw/zinc/82/38/18/351823818.db2.gz YRBUQTILAXUNJS-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000121978215 187008574 /nfs/dbraw/zinc/00/85/74/187008574.db2.gz VKFMOYMWKZJXSN-JTQLQIEISA-N 0 0 250.298 2.774 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCCS2)nc2sccn21 ZINC000122104068 187015362 /nfs/dbraw/zinc/01/53/62/187015362.db2.gz RNBBRXVFSDFOAH-ZETCQYMHSA-N 0 0 284.366 2.612 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H]1CSCCS1 ZINC000122399979 187030661 /nfs/dbraw/zinc/03/06/61/187030661.db2.gz WFPLYAKAGUNYIT-MRVPVSSYSA-N 0 0 288.369 2.994 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccncc2)cc([N+](=O)[O-])c1 ZINC000122428083 187031614 /nfs/dbraw/zinc/03/16/14/187031614.db2.gz NCSMMJYSHUBQSI-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CCCN(CC1CC1)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000122448510 187032757 /nfs/dbraw/zinc/03/27/57/187032757.db2.gz VFNZVBABBIHLSB-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN C[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000612611235 351843725 /nfs/dbraw/zinc/84/37/25/351843725.db2.gz AOAIDYUGYDOXQQ-MRVPVSSYSA-N 0 0 281.287 2.902 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2sccc2[N+](=O)[O-])[C@@]12CCCO2 ZINC000612664356 351849114 /nfs/dbraw/zinc/84/91/14/351849114.db2.gz IIJSGWFPRNYPPQ-LOWVWBTDSA-N 0 0 298.364 2.795 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000291760085 198082761 /nfs/dbraw/zinc/08/27/61/198082761.db2.gz AXZXQIRBAOMKAZ-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN CCC1(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)CCC1 ZINC000123033620 187067222 /nfs/dbraw/zinc/06/72/22/187067222.db2.gz HTBLCLWIZUZPFS-UHFFFAOYSA-N 0 0 284.262 2.936 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(C[C@@H]2CCOC2)n1 ZINC000612934144 351879761 /nfs/dbraw/zinc/87/97/61/351879761.db2.gz CHITVIIBCOWYFC-JTQLQIEISA-N 0 0 289.291 2.532 20 5 CFBDRN CN(CC1(O)CCCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000124202051 187126041 /nfs/dbraw/zinc/12/60/41/187126041.db2.gz WMQQLTWZQJEDJI-UHFFFAOYSA-N 0 0 284.743 2.990 20 5 CFBDRN CCc1noc([C@H](C)Oc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000123193360 187076896 /nfs/dbraw/zinc/07/68/96/187076896.db2.gz OVJGBPUYBSIUPX-ZETCQYMHSA-N 0 0 281.243 2.819 20 5 CFBDRN CC(C)(C)OCCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000123277450 187082236 /nfs/dbraw/zinc/08/22/36/187082236.db2.gz QUMYFNMJZIHBHA-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN CCCC(=O)COc1cc(C)c([N+](=O)[O-])cc1F ZINC000123555522 187098674 /nfs/dbraw/zinc/09/86/74/187098674.db2.gz PRQXVTHDQBTHQF-UHFFFAOYSA-N 0 0 255.245 2.790 20 5 CFBDRN O=[N+]([O-])c1cc(-c2nc(C3CCC3)no2)cc2c1OCO2 ZINC000612897519 351875914 /nfs/dbraw/zinc/87/59/14/351875914.db2.gz OLVUTWLAXZXOAE-UHFFFAOYSA-N 0 0 289.247 2.641 20 5 CFBDRN Cc1ccc(-c2noc(-c3ccnc(C)n3)n2)cc1[N+](=O)[O-] ZINC000612895038 351875990 /nfs/dbraw/zinc/87/59/90/351875990.db2.gz DLDWMIBKKKNFKM-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1occc1C ZINC000291943259 198112962 /nfs/dbraw/zinc/11/29/62/198112962.db2.gz TXHWEYXSAWKZOL-UHFFFAOYSA-N 0 0 264.285 2.633 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1noc(C(C)C)n1 ZINC000131541495 187571974 /nfs/dbraw/zinc/57/19/74/187571974.db2.gz DZKYILKFOWGGRW-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN CCO[C@@H]1CCCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000613875039 352020276 /nfs/dbraw/zinc/02/02/76/352020276.db2.gz ZMZQDXMNKYJRKS-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN CC[C@@H](SC)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000131921352 187595763 /nfs/dbraw/zinc/59/57/63/187595763.db2.gz CNOAPYGHDHUSOH-CYBMUJFWSA-N 0 0 294.376 2.621 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000614672505 352110397 /nfs/dbraw/zinc/11/03/97/352110397.db2.gz WKPZBPOGFWRBLS-CMPLNLGQSA-N 0 0 290.319 2.618 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1cc(OC)c([N+](=O)[O-])cc1F ZINC000615494953 352199349 /nfs/dbraw/zinc/19/93/49/352199349.db2.gz WRRCVENVDQXJOM-JTQLQIEISA-N 0 0 298.314 2.605 20 5 CFBDRN CC1(C)CCC[C@@](O)(CNc2cccnc2[N+](=O)[O-])C1 ZINC000614980181 352138869 /nfs/dbraw/zinc/13/88/69/352138869.db2.gz HKKYJLMFQXATAM-AWEZNQCLSA-N 0 0 279.340 2.733 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CC=CCC1 ZINC000132515413 187632880 /nfs/dbraw/zinc/63/28/80/187632880.db2.gz ILIUNWCUTCKABM-VIFPVBQESA-N 0 0 265.240 2.996 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000132672675 187642204 /nfs/dbraw/zinc/64/22/04/187642204.db2.gz ZCNKDVHYYGLWJC-CABZTGNLSA-N 0 0 297.282 2.987 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000615949723 352266260 /nfs/dbraw/zinc/26/62/60/352266260.db2.gz DMYQCOYREZDCQS-WDEREUQCSA-N 0 0 265.313 2.586 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000615949720 352266293 /nfs/dbraw/zinc/26/62/93/352266293.db2.gz DMYQCOYREZDCQS-GHMZBOCLSA-N 0 0 265.313 2.586 20 5 CFBDRN CC(=Cc1cccc([N+](=O)[O-])c1)CN1CCc2c[nH]nc2C1 ZINC000615835176 352247328 /nfs/dbraw/zinc/24/73/28/352247328.db2.gz WZHOOLLSQMLUTC-KPKJPENVSA-N 0 0 298.346 2.780 20 5 CFBDRN C=C/C(C)=C\CC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000616030162 352275991 /nfs/dbraw/zinc/27/59/91/352275991.db2.gz RDYPWICTCLQXKQ-WQLSENKSSA-N 0 0 289.335 2.645 20 5 CFBDRN Cc1ccc(CN(C)c2ccc([N+](=O)[O-])s2)nc1 ZINC000616064741 352280792 /nfs/dbraw/zinc/28/07/92/352280792.db2.gz SBSHTFQEFWEZMU-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CCC[C@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000616177026 352295838 /nfs/dbraw/zinc/29/58/38/352295838.db2.gz YFLUBKLMNIZXQL-JTQLQIEISA-N 0 0 279.340 2.891 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000292037013 198141693 /nfs/dbraw/zinc/14/16/93/198141693.db2.gz QZDBWVBDHVJLOP-VIFPVBQESA-N 0 0 297.330 2.967 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1ncoc1C ZINC000565903835 290333754 /nfs/dbraw/zinc/33/37/54/290333754.db2.gz MTIDTTLJAFMYKH-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN Cc1nc(NC[C@H](O)c2cccc(F)c2)ccc1[N+](=O)[O-] ZINC000133669405 187699640 /nfs/dbraw/zinc/69/96/40/187699640.db2.gz HHLKOOICLYKKFT-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN CC[C@]1(NC(=O)Nc2cc([N+](=O)[O-])ccc2C)CCOC1 ZINC000616745325 352426915 /nfs/dbraw/zinc/42/69/15/352426915.db2.gz GOIXMAYVLQICQO-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN COc1cc(N2CC(C)(C)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000617004896 352459468 /nfs/dbraw/zinc/45/94/68/352459468.db2.gz OFHUKSLFBOMUEF-JTQLQIEISA-N 0 0 280.324 2.607 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000292080065 198156492 /nfs/dbraw/zinc/15/64/92/198156492.db2.gz DKMRFPPIDJFQMA-VIFPVBQESA-N 0 0 296.323 2.619 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000617431455 352563497 /nfs/dbraw/zinc/56/34/97/352563497.db2.gz WJGADHCAKQKAHU-IUCAKERBSA-N 0 0 267.329 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2Cc3cccc(O)c3C2)s1 ZINC000617480684 352571089 /nfs/dbraw/zinc/57/10/89/352571089.db2.gz WMTADGARUDJLGA-SECBINFHSA-N 0 0 276.317 2.941 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC(c2ccncc2)CC1 ZINC000617488473 352572369 /nfs/dbraw/zinc/57/23/69/352572369.db2.gz NUADIZQNXOAPSU-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1CCSCC1 ZINC000134598918 187763356 /nfs/dbraw/zinc/76/33/56/187763356.db2.gz BBCNNLNEAZQCAG-UHFFFAOYSA-N 0 0 267.354 2.853 20 5 CFBDRN CCCCNC(=O)[C@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271592660 191229581 /nfs/dbraw/zinc/22/95/81/191229581.db2.gz FZTKDVADZJMIJE-NEPJUHHUSA-N 0 0 293.367 2.550 20 5 CFBDRN C[C@@H](C(=O)Nc1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000617690379 352629306 /nfs/dbraw/zinc/62/93/06/352629306.db2.gz DXAYRAVZKRXGAM-MRVPVSSYSA-N 0 0 277.305 2.794 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(Cl)cn2)nc1C1CC1 ZINC000618146989 352723297 /nfs/dbraw/zinc/72/32/97/352723297.db2.gz LJNMISCTRFCRKQ-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000618174615 352730205 /nfs/dbraw/zinc/73/02/05/352730205.db2.gz WOOYVGICMLZIIS-WDEREUQCSA-N 0 0 256.689 2.838 20 5 CFBDRN Cc1nc(C(=O)NCc2cccc([N+](=O)[O-])c2)sc1C ZINC000618367323 352759353 /nfs/dbraw/zinc/75/93/53/352759353.db2.gz NJOHIEMAPGFNJC-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN COCCC(C)(C)CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000230842219 539931956 /nfs/dbraw/zinc/93/19/56/539931956.db2.gz CCODZBTWUYJZIS-UHFFFAOYSA-N 0 0 294.311 2.606 20 5 CFBDRN CCCCOCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271638524 191253888 /nfs/dbraw/zinc/25/38/88/191253888.db2.gz ALLFFJUZNHIVFH-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@H]2C)c1 ZINC000136302547 187875160 /nfs/dbraw/zinc/87/51/60/187875160.db2.gz HVHJLAGIXAEKIL-KOLCDFICSA-N 0 0 278.308 2.982 20 5 CFBDRN C[C@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@@H]1F ZINC000618585915 352822283 /nfs/dbraw/zinc/82/22/83/352822283.db2.gz YDINBNGUUWLCEV-WPRPVWTQSA-N 0 0 278.287 2.655 20 5 CFBDRN CN(CCOC(C)(C)C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000618587994 352823261 /nfs/dbraw/zinc/82/32/61/352823261.db2.gz JDMIMHIGCUSZBN-UHFFFAOYSA-N 0 0 292.339 2.722 20 5 CFBDRN CC(C)n1cccc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000136457079 187879708 /nfs/dbraw/zinc/87/97/08/187879708.db2.gz TWDJCUJHTZIIFU-UHFFFAOYSA-N 0 0 287.319 2.907 20 5 CFBDRN CCC(CC)(CNc1cc(N)ccc1[N+](=O)[O-])OC ZINC000292168450 198189287 /nfs/dbraw/zinc/18/92/87/198189287.db2.gz DMMWQHLEJMQEPS-UHFFFAOYSA-N 0 0 267.329 2.794 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1nnc(C2CC2)o1 ZINC000618681198 352857367 /nfs/dbraw/zinc/85/73/67/352857367.db2.gz ANVABGKXMDKGEI-UHFFFAOYSA-N 0 0 266.282 2.529 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCNc1ccccn1 ZINC000048381285 539934207 /nfs/dbraw/zinc/93/42/07/539934207.db2.gz VQJQRPRQVHUOMY-UHFFFAOYSA-N 0 0 276.271 2.653 20 5 CFBDRN CSCCCCC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000150834577 187929786 /nfs/dbraw/zinc/92/97/86/187929786.db2.gz UZDSSDYRSFWRAK-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)C1CCC1 ZINC000619305719 352990561 /nfs/dbraw/zinc/99/05/61/352990561.db2.gz SQVPUAYSNKCJJR-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000619158529 352967864 /nfs/dbraw/zinc/96/78/64/352967864.db2.gz WKYVBGBNSWKOHH-SECBINFHSA-N 0 0 270.354 2.908 20 5 CFBDRN COc1c(C(=O)N2CCC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000619439698 353024422 /nfs/dbraw/zinc/02/44/22/353024422.db2.gz YRFPPUQPVZHCFX-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@@H](C)CC(C)(C)C1 ZINC000619489884 353029225 /nfs/dbraw/zinc/02/92/25/353029225.db2.gz XSPRDTWUTIFUPT-JTQLQIEISA-N 0 0 280.372 2.992 20 5 CFBDRN O=C(NCCCCc1ccccc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000619566162 353036935 /nfs/dbraw/zinc/03/69/35/353036935.db2.gz QNQDHZVMYLZKNI-UHFFFAOYSA-N 0 0 287.319 2.676 20 5 CFBDRN COc1cc(N2CCC(F)(F)CC2)c([N+](=O)[O-])cc1F ZINC000619504164 353030402 /nfs/dbraw/zinc/03/04/02/353030402.db2.gz HTGZZNLNKXDSHU-UHFFFAOYSA-N 0 0 290.241 2.978 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC2(C1)CC(F)(F)C2 ZINC000619531726 353033271 /nfs/dbraw/zinc/03/32/71/353033271.db2.gz SKZGFELQBGSTFH-UHFFFAOYSA-N 0 0 298.289 2.835 20 5 CFBDRN CCO[C@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2OC)C1 ZINC000619531624 353033462 /nfs/dbraw/zinc/03/34/62/353033462.db2.gz RLLTXDJBZIQGPY-AWEZNQCLSA-N 0 0 294.351 2.604 20 5 CFBDRN CCCc1nc(C)c(CNc2ccc([N+](=O)[O-])cn2)o1 ZINC000292254986 198219650 /nfs/dbraw/zinc/21/96/50/198219650.db2.gz KKLDKXUPYKXFFK-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC(C3CCOCC3)C2)cs1 ZINC000619726551 353060533 /nfs/dbraw/zinc/06/05/33/353060533.db2.gz GHBOGZGICFWPOQ-UHFFFAOYSA-N 0 0 282.365 2.515 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@@H]1CC12CCC2 ZINC000619772771 353065309 /nfs/dbraw/zinc/06/53/09/353065309.db2.gz GBLGYRLJSBZVFZ-AWEZNQCLSA-N 0 0 288.347 2.786 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC23CCC3)c1 ZINC000619807357 353067069 /nfs/dbraw/zinc/06/70/69/353067069.db2.gz SFHNKAVDSBQQGP-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1c1cccnc1)c1ccccc1[N+](=O)[O-] ZINC000619871694 353069792 /nfs/dbraw/zinc/06/97/92/353069792.db2.gz UGLBWJJTABCWFO-JSGCOSHPSA-N 0 0 297.314 2.523 20 5 CFBDRN CC(C)(C(=O)Nc1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000619874763 353069855 /nfs/dbraw/zinc/06/98/55/353069855.db2.gz SOEZHHSFTJPXDF-UHFFFAOYSA-N 0 0 285.303 2.906 20 5 CFBDRN COC(C)(C)C(=O)CSc1ccc([N+](=O)[O-])cc1F ZINC000619585588 353038095 /nfs/dbraw/zinc/03/80/95/353038095.db2.gz IYJCWVLUCFNUOA-UHFFFAOYSA-N 0 0 287.312 2.820 20 5 CFBDRN CC[C@H](C)CONC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000292253902 198219882 /nfs/dbraw/zinc/21/98/82/198219882.db2.gz JWNVHNVFEGPMOR-WDEREUQCSA-N 0 0 295.339 2.933 20 5 CFBDRN COCCC1(CNc2ccc([N+](=O)[O-])cc2COC)CC1 ZINC000152504661 188024512 /nfs/dbraw/zinc/02/45/12/188024512.db2.gz ROLZRAWNKPGISD-UHFFFAOYSA-N 0 0 294.351 2.970 20 5 CFBDRN CC(C)(C)NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152515785 188025496 /nfs/dbraw/zinc/02/54/96/188025496.db2.gz AFNBIENKQQFKLJ-UHFFFAOYSA-N 0 0 256.689 2.777 20 5 CFBDRN CCCN(C)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152547814 188027709 /nfs/dbraw/zinc/02/77/09/188027709.db2.gz CIYIFYWCVXRPDI-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN CC1(C)SC(=O)N(Cc2cccc([N+](=O)[O-])c2)C1=O ZINC000152825249 188046691 /nfs/dbraw/zinc/04/66/91/188046691.db2.gz GDZQOGXHJVINGD-UHFFFAOYSA-N 0 0 280.305 2.569 20 5 CFBDRN CC[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2COC)C1 ZINC000152836441 188047610 /nfs/dbraw/zinc/04/76/10/188047610.db2.gz FZTYOJWLBAWHNT-LLVKDONJSA-N 0 0 264.325 2.978 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]2C1 ZINC000152971049 188056684 /nfs/dbraw/zinc/05/66/84/188056684.db2.gz OUZIQFGCZYNTGP-DTEKQVELSA-N 0 0 286.331 2.867 20 5 CFBDRN CC1(C)C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000153127935 188066157 /nfs/dbraw/zinc/06/61/57/188066157.db2.gz LBDDDXPYWWUHLZ-LLVKDONJSA-N 0 0 293.323 2.674 20 5 CFBDRN CC[C@H]1CCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000152799265 188044418 /nfs/dbraw/zinc/04/44/18/188044418.db2.gz ZGOAMLRSTNNWTC-JTQLQIEISA-N 0 0 250.298 2.840 20 5 CFBDRN CCCCCN(CCO)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000153783507 188103350 /nfs/dbraw/zinc/10/33/50/188103350.db2.gz BDGWGEFRAOUCNU-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN Cc1cc(NC(=O)N2CCO[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000153834629 188106510 /nfs/dbraw/zinc/10/65/10/188106510.db2.gz BOIUGBXHIKGQAG-QWRGUYRKSA-N 0 0 293.323 2.544 20 5 CFBDRN CCCCCN(CCO)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153891494 188109772 /nfs/dbraw/zinc/10/97/72/188109772.db2.gz NZTPGXFABFDJSY-UHFFFAOYSA-N 0 0 287.747 2.632 20 5 CFBDRN CC[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153937652 188112754 /nfs/dbraw/zinc/11/27/54/188112754.db2.gz WHOIKDLJKKAOIW-QMMMGPOBSA-N 0 0 255.705 2.880 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)Nc1cccc([N+](=O)[O-])c1 ZINC000154003703 188117048 /nfs/dbraw/zinc/11/70/48/188117048.db2.gz XLWUBCORXBDMJF-UHFFFAOYSA-N 0 0 275.308 2.907 20 5 CFBDRN Cc1ccoc1CN(C)c1ncc([N+](=O)[O-])cc1C ZINC000292394485 198271593 /nfs/dbraw/zinc/27/15/93/198271593.db2.gz UHHBEMLZTNSKDL-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN CO[C@@H](C)CN(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000292356861 198257812 /nfs/dbraw/zinc/25/78/12/198257812.db2.gz CKQJZGQAFHXUBJ-VIFPVBQESA-N 0 0 256.277 2.513 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153833182 188106064 /nfs/dbraw/zinc/10/60/64/188106064.db2.gz XNIJVMJOJFRHKZ-MRVPVSSYSA-N 0 0 287.747 2.852 20 5 CFBDRN CC[C@H]1CCN1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000292404157 198274634 /nfs/dbraw/zinc/27/46/34/198274634.db2.gz LLKLGCIRVGGSFN-VIFPVBQESA-N 0 0 261.281 2.720 20 5 CFBDRN CN(C)c1cccc(CN(C)c2ncccc2[N+](=O)[O-])c1 ZINC000154709156 188158234 /nfs/dbraw/zinc/15/82/34/188158234.db2.gz GRZRXKFUKHTLPA-UHFFFAOYSA-N 0 0 286.335 2.692 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc(F)c([N+](=O)[O-])cc2F)C1 ZINC000154793510 188162057 /nfs/dbraw/zinc/16/20/57/188162057.db2.gz YTBYWFPLLGLOAR-MRVPVSSYSA-N 0 0 284.262 2.745 20 5 CFBDRN CCc1ccc(OC[C@H](O)COCC(C)C)c([N+](=O)[O-])c1 ZINC000155305981 188198254 /nfs/dbraw/zinc/19/82/54/188198254.db2.gz ADZJMKDTIHVJRB-CYBMUJFWSA-N 0 0 297.351 2.570 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1snnc1C ZINC000292457326 198295208 /nfs/dbraw/zinc/29/52/08/198295208.db2.gz ZQUHESUWMGNVMI-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1 ZINC000155530485 188211601 /nfs/dbraw/zinc/21/16/01/188211601.db2.gz HFOMUAJPUROVTN-UHFFFAOYSA-N 0 0 275.351 2.500 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000155970893 188237594 /nfs/dbraw/zinc/23/75/94/188237594.db2.gz GQWBUXUDMSNMCU-PWSUYJOCSA-N 0 0 292.335 2.912 20 5 CFBDRN CSCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000156041299 188239929 /nfs/dbraw/zinc/23/99/29/188239929.db2.gz AMLPCEXDDBGMPT-UHFFFAOYSA-N 0 0 283.353 2.778 20 5 CFBDRN CN(CCC1CC1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000156293426 188253870 /nfs/dbraw/zinc/25/38/70/188253870.db2.gz IRYAEFKBTQHVHJ-RMKNXTFCSA-N 0 0 274.320 2.867 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000156385033 188258879 /nfs/dbraw/zinc/25/88/79/188258879.db2.gz CWEGPGPUXOAYBZ-YPMHNXCESA-N 0 0 276.336 2.832 20 5 CFBDRN CCN(C[C@H](C)OC)c1ccc([N+](=O)[O-])cc1F ZINC000292478095 198302899 /nfs/dbraw/zinc/30/28/99/198302899.db2.gz ZJEWQHCQDKICSQ-VIFPVBQESA-N 0 0 256.277 2.595 20 5 CFBDRN Cc1nc(CCOc2ccccc2[N+](=O)[O-])cs1 ZINC000156671238 188275174 /nfs/dbraw/zinc/27/51/74/188275174.db2.gz TXDKJUZTSXRFOC-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN O=C1CCCCN1COc1cccc(Cl)c1[N+](=O)[O-] ZINC000292544859 198328116 /nfs/dbraw/zinc/32/81/16/198328116.db2.gz BMNKYXLLUBYCKT-UHFFFAOYSA-N 0 0 284.699 2.597 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000157310994 188309975 /nfs/dbraw/zinc/30/99/75/188309975.db2.gz RDTVLCPZDKNRSR-QWRGUYRKSA-N 0 0 291.351 2.828 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157357351 188311556 /nfs/dbraw/zinc/31/15/56/188311556.db2.gz DZHYOFWUSVKTKA-SNVBAGLBSA-N 0 0 279.340 2.685 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000157399687 188314678 /nfs/dbraw/zinc/31/46/78/188314678.db2.gz XFVSJNPGHSHEGY-ZETCQYMHSA-N 0 0 284.262 2.744 20 5 CFBDRN Cc1cc(N[C@@H](C)CC[S@](C)=O)c(F)cc1[N+](=O)[O-] ZINC000292573788 198338105 /nfs/dbraw/zinc/33/81/05/198338105.db2.gz KLOHHRWXIJNLAN-UGZDLDLSSA-N 0 0 288.344 2.611 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292583743 198341491 /nfs/dbraw/zinc/34/14/91/198341491.db2.gz LEVOHNPVMDMUJW-HMDXOVGESA-N 0 0 268.288 2.781 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCN(c2ccccn2)C1 ZINC000157669459 188331849 /nfs/dbraw/zinc/33/18/49/188331849.db2.gz WUIKGCLUUJJYSJ-ZDUSSCGKSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1cccc(N(C)CCn2cc([N+](=O)[O-])cn2)c1C ZINC000157745768 188336666 /nfs/dbraw/zinc/33/66/66/188336666.db2.gz HCXQOJJHYVXUEK-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN CCCn1ncnc1COc1cccc(Cl)c1[N+](=O)[O-] ZINC000292637730 198359456 /nfs/dbraw/zinc/35/94/56/198359456.db2.gz LGLGGXJKUQVATL-UHFFFAOYSA-N 0 0 296.714 2.829 20 5 CFBDRN CC1(C)C[C@@H](O)CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000295177192 199389318 /nfs/dbraw/zinc/38/93/18/199389318.db2.gz HADSSLKDJZYHME-NSHDSACASA-N 0 0 284.381 2.639 20 5 CFBDRN CSC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000158130114 188358975 /nfs/dbraw/zinc/35/89/75/188358975.db2.gz JCEBJYDPLSCUEL-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN CN(C(=O)C[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000158630456 188386068 /nfs/dbraw/zinc/38/60/68/188386068.db2.gz VEVMCUCCSLSRDQ-CYBMUJFWSA-N 0 0 278.308 2.517 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c2cnccc12)C1CC1 ZINC000292732758 198393305 /nfs/dbraw/zinc/39/33/05/198393305.db2.gz IGTKUDYMZNYEJJ-OAHLLOKOSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@@H](CNc1cc(C)c([N+](=O)[O-])cc1F)C1CC1 ZINC000292739959 198394989 /nfs/dbraw/zinc/39/49/89/198394989.db2.gz ISTMFEKVVCRENP-ZDUSSCGKSA-N 0 0 268.288 2.879 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OCCC1OCCCO1 ZINC000292752286 198399666 /nfs/dbraw/zinc/39/96/66/198399666.db2.gz VVTPRZXGDWHUPF-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN O=C(CCCn1cccc1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000158808105 188394427 /nfs/dbraw/zinc/39/44/27/188394427.db2.gz BOWXRVBJRRULFD-UHFFFAOYSA-N 0 0 299.330 2.766 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000160331271 188466745 /nfs/dbraw/zinc/46/67/45/188466745.db2.gz ZVNRUVHCUSDVCF-GXTWGEPZSA-N 0 0 292.335 2.973 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H](OC)C1CC1 ZINC000292915133 198459874 /nfs/dbraw/zinc/45/98/74/198459874.db2.gz XTSXLMLXACZUIT-AWEZNQCLSA-N 0 0 280.324 2.578 20 5 CFBDRN O=[N+]([O-])c1nn([C@H]2C=CCCC2)cc1Br ZINC000161121057 188509145 /nfs/dbraw/zinc/50/91/45/188509145.db2.gz NQFACCIUMXXBTQ-ZETCQYMHSA-N 0 0 272.102 2.835 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000293161368 198544472 /nfs/dbraw/zinc/54/44/72/198544472.db2.gz SELGJGCPWYVLBP-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN CCc1ccc(C(=O)N(C)[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000163542771 188610155 /nfs/dbraw/zinc/61/01/55/188610155.db2.gz JSYVOLZHKDZQIF-LBPRGKRZSA-N 0 0 294.376 2.735 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H]2CC=CCC2)n1 ZINC000166740492 188639223 /nfs/dbraw/zinc/63/92/23/188639223.db2.gz WKJNDVVTYSVKOP-SNVBAGLBSA-N 0 0 291.307 2.545 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000271747634 191303185 /nfs/dbraw/zinc/30/31/85/191303185.db2.gz YLXAFGTZOJARER-UWVGGRQHSA-N 0 0 298.364 2.590 20 5 CFBDRN Cc1nsc(N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271755984 191308578 /nfs/dbraw/zinc/30/85/78/191308578.db2.gz ZSJRAQXWGDBJQF-SSDOTTSWSA-N 0 0 264.310 2.928 20 5 CFBDRN CC(C)c1nc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000271764062 191312314 /nfs/dbraw/zinc/31/23/14/191312314.db2.gz PIOMQDGHSWQQIJ-JTQLQIEISA-N 0 0 290.323 2.952 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCS1 ZINC000171722159 188756316 /nfs/dbraw/zinc/75/63/16/188756316.db2.gz HHTRSETXEQGLIR-SECBINFHSA-N 0 0 281.337 2.564 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1([C@@H](C)CCO)CCC1 ZINC000271770908 191316400 /nfs/dbraw/zinc/31/64/00/191316400.db2.gz GIXZXSFPTWKCKQ-LBPRGKRZSA-N 0 0 293.367 2.899 20 5 CFBDRN CC(C)[C@@H](O)C1(CNc2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000271774613 191319127 /nfs/dbraw/zinc/31/91/27/191319127.db2.gz GUCUUXGTZWRURR-LLVKDONJSA-N 0 0 299.758 2.852 20 5 CFBDRN Cc1ncc(CN(C)c2nccc(C)c2[N+](=O)[O-])s1 ZINC000172277711 188792145 /nfs/dbraw/zinc/79/21/45/188792145.db2.gz DPUUUBVHXQHMRA-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)[C@H]1C ZINC000172658448 188820138 /nfs/dbraw/zinc/82/01/38/188820138.db2.gz QNOFLJOOOUBHCD-BDAKNGLRSA-N 0 0 268.338 2.917 20 5 CFBDRN CC[C@@H](C)OCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271789228 191328714 /nfs/dbraw/zinc/32/87/14/191328714.db2.gz QGGPQNYQZQTOBC-GHMZBOCLSA-N 0 0 280.324 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1nc(C)cs1 ZINC000173319265 188849816 /nfs/dbraw/zinc/84/98/16/188849816.db2.gz GCLNWQRJHUYGLW-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CCCCCOCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271838947 191358452 /nfs/dbraw/zinc/35/84/52/191358452.db2.gz UIECFWRLXBGNEZ-GFCCVEGCSA-N 0 0 294.351 2.979 20 5 CFBDRN CCCCCOCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271838949 191358935 /nfs/dbraw/zinc/35/89/35/191358935.db2.gz UIECFWRLXBGNEZ-LBPRGKRZSA-N 0 0 294.351 2.979 20 5 CFBDRN C[C@H](NC(=O)C1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000271807713 191338718 /nfs/dbraw/zinc/33/87/18/191338718.db2.gz TUFUAVCZDLTOMX-JTQLQIEISA-N 0 0 260.293 2.738 20 5 CFBDRN C[C@@H](NC(=O)CCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000271834111 191354979 /nfs/dbraw/zinc/35/49/79/191354979.db2.gz SOMCLFBPEILZJS-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN Cc1nc(NCC2([C@H](O)C(C)C)CC2)ccc1[N+](=O)[O-] ZINC000271893205 191394628 /nfs/dbraw/zinc/39/46/28/191394628.db2.gz XPVBIONCSBSHHY-CYBMUJFWSA-N 0 0 279.340 2.507 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000173864893 188871520 /nfs/dbraw/zinc/87/15/20/188871520.db2.gz GKOWPVQEWLWURF-MRVPVSSYSA-N 0 0 286.353 2.590 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000271948493 191425600 /nfs/dbraw/zinc/42/56/00/191425600.db2.gz BDNFPPBQUVVEIJ-GZMMTYOYSA-N 0 0 298.364 2.652 20 5 CFBDRN CC1(C)CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000174178135 188885876 /nfs/dbraw/zinc/88/58/76/188885876.db2.gz OVWRVIBZJIYARF-UHFFFAOYSA-N 0 0 263.297 2.859 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCO[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000272069351 191498445 /nfs/dbraw/zinc/49/84/45/191498445.db2.gz LCWNZRNRMRRLFK-WOPDTQHZSA-N 0 0 292.335 2.587 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@H]2CCC[C@@H]21 ZINC000174266611 188889726 /nfs/dbraw/zinc/88/97/26/188889726.db2.gz VZKOFPMXZQWTLI-OCCSQVGLSA-N 0 0 288.347 2.928 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC2(C1)CCCCC2 ZINC000272710605 191877970 /nfs/dbraw/zinc/87/79/70/191877970.db2.gz DNPPLAZQAOQPAM-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CC[C@H](C)CC2)c1[N+](=O)[O-] ZINC000252964744 293744930 /nfs/dbraw/zinc/74/49/30/293744930.db2.gz RFTVWXXSQYQHAH-CZMCAQCFSA-N 0 0 252.318 2.627 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000272895502 191963472 /nfs/dbraw/zinc/96/34/72/191963472.db2.gz ZKWWHZZVDAQSAU-MWLCHTKSSA-N 0 0 293.323 2.914 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCCS1 ZINC000272922920 191977335 /nfs/dbraw/zinc/97/73/35/191977335.db2.gz FOYSIRDTAXWSDJ-NSHDSACASA-N 0 0 266.322 2.819 20 5 CFBDRN CCC1(NC(=O)c2cccc([N+](=O)[O-])c2C)CCC1 ZINC000174923808 188924095 /nfs/dbraw/zinc/92/40/95/188924095.db2.gz AGSQKVKABWHWMT-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN Cc1cccc(OC[C@H](C)Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000273565944 192207672 /nfs/dbraw/zinc/20/76/72/192207672.db2.gz CNJPGIXXVQZTDR-NSHDSACASA-N 0 0 288.307 2.573 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCC(F)(F)F ZINC000273625021 192235319 /nfs/dbraw/zinc/23/53/19/192235319.db2.gz RGKGLJQDJCMTSR-UHFFFAOYSA-N 0 0 260.215 2.910 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000175178761 188937742 /nfs/dbraw/zinc/93/77/42/188937742.db2.gz HMCRQUZYECWZSA-BDAKNGLRSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1ccc(-c2noc([C@@H]3CCCO3)n2)cc1[N+](=O)[O-] ZINC000273657986 192250113 /nfs/dbraw/zinc/25/01/13/192250113.db2.gz ACNNEGQDUZBFOQ-NSHDSACASA-N 0 0 275.264 2.805 20 5 CFBDRN C[C@H](CN(C)c1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000273682625 192260040 /nfs/dbraw/zinc/26/00/40/192260040.db2.gz ZJRLYLCRBHGEOT-LLVKDONJSA-N 0 0 272.308 2.625 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cn1)c1nc(C)cs1 ZINC000273684272 192260764 /nfs/dbraw/zinc/26/07/64/192260764.db2.gz ZTUCYAIXVIIYNZ-VIFPVBQESA-N 0 0 279.325 2.713 20 5 CFBDRN Cc1ccc(-c2noc(CCOC(C)C)n2)cc1[N+](=O)[O-] ZINC000273692716 192264462 /nfs/dbraw/zinc/26/44/62/192264462.db2.gz FNIVQFZUPNWYOT-UHFFFAOYSA-N 0 0 291.307 2.921 20 5 CFBDRN C[C@H]1CCCC[C@@H]1c1noc(-c2nn(C)cc2[N+](=O)[O-])n1 ZINC000273741148 192286177 /nfs/dbraw/zinc/28/61/77/192286177.db2.gz BRQMIKQXXSIPOF-IUCAKERBSA-N 0 0 291.311 2.672 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000273783506 192306718 /nfs/dbraw/zinc/30/67/18/192306718.db2.gz TYBGSSIWBQOHMS-VIFPVBQESA-N 0 0 291.307 2.878 20 5 CFBDRN Cc1cccc(C(=O)N2CCC(CF)CC2)c1[N+](=O)[O-] ZINC000296017341 199753859 /nfs/dbraw/zinc/75/38/59/199753859.db2.gz ULMPHLAMJWMVLW-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)N(C)c2ccccc2)c1[N+](=O)[O-] ZINC000269369550 535320945 /nfs/dbraw/zinc/32/09/45/535320945.db2.gz YHLYTTZDNIEIAC-UHFFFAOYSA-N 0 0 288.307 2.718 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000293886620 198812404 /nfs/dbraw/zinc/81/24/04/198812404.db2.gz BJJXFAMIUSBLQE-IUCAKERBSA-N 0 0 282.727 2.658 20 5 CFBDRN O=C(CCC1CCC1)NCc1ccccc1[N+](=O)[O-] ZINC000175611022 188959053 /nfs/dbraw/zinc/95/90/53/188959053.db2.gz QXTXHJHIPMPJCF-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@@H](F)C1 ZINC000568868097 290574351 /nfs/dbraw/zinc/57/43/51/290574351.db2.gz XGLDOGZLWGHWLY-ZJUUUORDSA-N 0 0 296.298 2.614 20 5 CFBDRN CCC[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000175679853 188961735 /nfs/dbraw/zinc/96/17/35/188961735.db2.gz MZGBQGVGLPQDEF-QMMMGPOBSA-N 0 0 251.286 2.673 20 5 CFBDRN COC[C@@]1(C)CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000294084818 198902371 /nfs/dbraw/zinc/90/23/71/198902371.db2.gz AORIDTQKJVNBIA-ZDUSSCGKSA-N 0 0 268.288 2.597 20 5 CFBDRN C[C@H](N[C@@H](C)C(F)(F)F)c1ccc(N)c([N+](=O)[O-])c1 ZINC000294085253 198902374 /nfs/dbraw/zinc/90/23/74/198902374.db2.gz IPQKJOQXHFBHIO-BQBZGAKWSA-N 0 0 277.246 2.778 20 5 CFBDRN CC[C@H](C)[C@H](CNc1ncc([N+](=O)[O-])s1)OC ZINC000294360411 199012405 /nfs/dbraw/zinc/01/24/05/199012405.db2.gz ZZDRXDPOQHUINA-YUMQZZPRSA-N 0 0 259.331 2.524 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000294330063 199001202 /nfs/dbraw/zinc/00/12/02/199001202.db2.gz KPNALTIXYQAXTB-LBPRGKRZSA-N 0 0 266.297 2.657 20 5 CFBDRN CC(C)(CCC(=O)NCCSc1ccccc1)[N+](=O)[O-] ZINC000294390106 199021617 /nfs/dbraw/zinc/02/16/17/199021617.db2.gz LLYZLPVDKTUVEG-UHFFFAOYSA-N 0 0 296.392 2.730 20 5 CFBDRN Cc1nc2ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc2o1 ZINC000294522846 199072141 /nfs/dbraw/zinc/07/21/41/199072141.db2.gz RLYRRXKWCCZNKE-UHFFFAOYSA-N 0 0 291.307 2.910 20 5 CFBDRN Cc1cccc(N(C)C(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294521577 199070432 /nfs/dbraw/zinc/07/04/32/199070432.db2.gz KTQXFSIWDGJHQE-UHFFFAOYSA-N 0 0 264.325 2.793 20 5 CFBDRN Cc1cc(N[C@H]2CCN(CC(F)F)C2)ccc1[N+](=O)[O-] ZINC000419026358 236427740 /nfs/dbraw/zinc/42/77/40/236427740.db2.gz UBVPYIOTZOCIAT-NSHDSACASA-N 0 0 285.294 2.655 20 5 CFBDRN COc1cc(CNc2ncc([N+](=O)[O-])c(C)n2)ccc1C ZINC000294787954 199183421 /nfs/dbraw/zinc/18/34/21/199183421.db2.gz BANWSIOONLOWCA-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN O=C(Nc1ccc(C2CCC2)cn1)c1ccc([N+](=O)[O-])[nH]1 ZINC000294800594 199188782 /nfs/dbraw/zinc/18/87/82/199188782.db2.gz PHFNWYINSPXUEK-UHFFFAOYSA-N 0 0 286.291 2.838 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]2CCC[C@H]21 ZINC000294857932 199216229 /nfs/dbraw/zinc/21/62/29/199216229.db2.gz SLTPYXCBGPUNST-KOLCDFICSA-N 0 0 277.324 2.574 20 5 CFBDRN CCc1ccc(O)c(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294859480 199217344 /nfs/dbraw/zinc/21/73/44/199217344.db2.gz GDORRCZFYUHXMD-UHFFFAOYSA-N 0 0 280.324 2.729 20 5 CFBDRN Cc1cc(NC[C@H](C)CCCO)ccc1[N+](=O)[O-] ZINC000294867836 199221753 /nfs/dbraw/zinc/22/17/53/199221753.db2.gz CWKLAJUZDMCFHL-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN COC[C@@]1(C)CCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000294875913 199226160 /nfs/dbraw/zinc/22/61/60/199226160.db2.gz DSAUCLZKLHHFST-AWEZNQCLSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1ncsc1CCNc1nc(C)ccc1[N+](=O)[O-] ZINC000294885659 199231601 /nfs/dbraw/zinc/23/16/01/199231601.db2.gz CLGNTQYLYMMYHV-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000361769944 291155616 /nfs/dbraw/zinc/15/56/16/291155616.db2.gz KUVSBOKIZOXARQ-JQWIXIFHSA-N 0 0 274.320 2.920 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCC2(O)CCC2)cc1 ZINC000420637013 236664995 /nfs/dbraw/zinc/66/49/95/236664995.db2.gz NQHCLQAZQFZVDS-UHFFFAOYSA-N 0 0 250.298 2.702 20 5 CFBDRN C[C@H]1C[C@H]2CCCC[C@@H]2N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000118663209 291160145 /nfs/dbraw/zinc/16/01/45/291160145.db2.gz FRZNCXYXFMFZTQ-AXFHLTTASA-N 0 0 278.308 2.981 20 5 CFBDRN C[C@H]1Cc2ccccc2N(Cc2c([N+](=O)[O-])ncn2C)C1 ZINC000332078903 291168042 /nfs/dbraw/zinc/16/80/42/291168042.db2.gz ZSAYXSKCPNPKLS-NSHDSACASA-N 0 0 286.335 2.527 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@]1(C)CCO[C@@H]1C ZINC000421505095 236828931 /nfs/dbraw/zinc/82/89/31/236828931.db2.gz HSKANBVOFMYBDM-ABAIWWIYSA-N 0 0 292.335 2.509 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000421443880 236815296 /nfs/dbraw/zinc/81/52/96/236815296.db2.gz GZTRTFOWQJNNDM-CABZTGNLSA-N 0 0 260.293 2.572 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1CF ZINC000296454382 199898011 /nfs/dbraw/zinc/89/80/11/199898011.db2.gz YOSMRKJSUQPWMZ-NSHDSACASA-N 0 0 281.287 2.869 20 5 CFBDRN CCc1ccc(OC[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000576878293 540480966 /nfs/dbraw/zinc/48/09/66/540480966.db2.gz LZRGYCKULLDODG-LBPRGKRZSA-N 0 0 265.309 2.963 20 5 CFBDRN CN(CCF)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000296838640 200011915 /nfs/dbraw/zinc/01/19/15/200011915.db2.gz TYLRYAJXCNXBTD-UHFFFAOYSA-N 0 0 275.667 2.681 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@@H](F)[C@@H](F)C1 ZINC000296898017 200029291 /nfs/dbraw/zinc/02/92/91/200029291.db2.gz LOJDRQAXFMRDBO-DNMVVKLTSA-N 0 0 296.273 2.517 20 5 CFBDRN CC(C)=CCNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296921092 200035710 /nfs/dbraw/zinc/03/57/10/200035710.db2.gz PUGBMQBIUBZWTN-NSHDSACASA-N 0 0 277.324 2.921 20 5 CFBDRN COCc1ccc(COC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000297026602 200065588 /nfs/dbraw/zinc/06/55/88/200065588.db2.gz ZRUPVXAHERVWHK-UHFFFAOYSA-N 0 0 295.335 2.712 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297248678 200126249 /nfs/dbraw/zinc/12/62/49/200126249.db2.gz VEVZFFGGTUKPLS-HZMBPMFUSA-N 0 0 289.335 2.962 20 5 CFBDRN COC(=O)Cc1ccc(N2CCC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000297187147 200108928 /nfs/dbraw/zinc/10/89/28/200108928.db2.gz QIOKFGXQGVDPJU-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN Cc1nc(N[C@H]2C[C@@H]2Cc2ccccc2)ncc1[N+](=O)[O-] ZINC000297299867 200140592 /nfs/dbraw/zinc/14/05/92/200140592.db2.gz YMBNFWDBEFMSAJ-STQMWFEESA-N 0 0 284.319 2.736 20 5 CFBDRN Cc1c(NC(=O)N(C)[C@H](C)C(C)(C)O)cccc1[N+](=O)[O-] ZINC000297501344 200211569 /nfs/dbraw/zinc/21/15/69/200211569.db2.gz QHXKZMDNGITBDW-SNVBAGLBSA-N 0 0 295.339 2.526 20 5 CFBDRN C[C@@H](CCCO)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297492549 200209308 /nfs/dbraw/zinc/20/93/08/200209308.db2.gz MMRZKAYGPOUISZ-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H]2CC)c1 ZINC000297642559 200249674 /nfs/dbraw/zinc/24/96/74/200249674.db2.gz BJEWSRXRORRDRZ-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1ccnc(OC)n1 ZINC000297754072 200274554 /nfs/dbraw/zinc/27/45/54/200274554.db2.gz ONOMZMVKUJNQLA-UHFFFAOYSA-N 0 0 288.307 2.568 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000297814913 200285680 /nfs/dbraw/zinc/28/56/80/200285680.db2.gz KNZPCVATCQLYKW-GFCCVEGCSA-N 0 0 280.324 2.965 20 5 CFBDRN Cc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc1[N+](=O)[O-] ZINC000297757932 200275652 /nfs/dbraw/zinc/27/56/52/200275652.db2.gz KDPWCBSYLOMOCB-UHFFFAOYSA-N 0 0 295.295 2.677 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000297761809 200277539 /nfs/dbraw/zinc/27/75/39/200277539.db2.gz MGTJGZFJAILMID-NSHDSACASA-N 0 0 284.287 2.796 20 5 CFBDRN CCCc1cc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)no1 ZINC000297784655 200280766 /nfs/dbraw/zinc/28/07/66/200280766.db2.gz MOHDZPCSHDMTJS-UHFFFAOYSA-N 0 0 289.291 2.812 20 5 CFBDRN CCc1nc(C)c(C(=O)N(C)c2cccc([N+](=O)[O-])c2)o1 ZINC000298004409 200316665 /nfs/dbraw/zinc/31/66/65/200316665.db2.gz ZLDGZKCBPYXKFV-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000298013410 200317500 /nfs/dbraw/zinc/31/75/00/200317500.db2.gz ZYFKZBIXKVOTBB-VIFPVBQESA-N 0 0 280.349 2.941 20 5 CFBDRN COC(=O)[C@@H](C)Sc1ccc(Cl)cc1[N+](=O)[O-] ZINC000298060482 200325500 /nfs/dbraw/zinc/32/55/00/200325500.db2.gz GAYZVDBPWJLPDB-ZCFIWIBFSA-N 0 0 275.713 2.902 20 5 CFBDRN C[C@H]1CCCN(C(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000298089081 200330814 /nfs/dbraw/zinc/33/08/14/200330814.db2.gz SALGPJROCZAZCS-LBPRGKRZSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000298110597 200335289 /nfs/dbraw/zinc/33/52/89/200335289.db2.gz ACLRTIVDVMEDEL-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@@H]1CN(CCSCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000298169053 200351205 /nfs/dbraw/zinc/35/12/05/200351205.db2.gz CRTJJPAPQSVFOB-GFCCVEGCSA-N 0 0 296.392 2.549 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1c(F)cccc1F ZINC000298252889 200372295 /nfs/dbraw/zinc/37/22/95/200372295.db2.gz DVTJPFFPKKSEKV-UHFFFAOYSA-N 0 0 286.278 2.763 20 5 CFBDRN Cn1c(S/C=C/c2ccc([N+](=O)[O-])o2)nnc1C1CC1 ZINC000298202240 200359292 /nfs/dbraw/zinc/35/92/92/200359292.db2.gz WPUIOSKYPQOQOZ-VOTSOKGWSA-N 0 0 292.320 2.957 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C1CC1 ZINC000298306938 200390124 /nfs/dbraw/zinc/39/01/24/200390124.db2.gz NLVDMQRQJLDTMN-VIFPVBQESA-N 0 0 280.349 2.696 20 5 CFBDRN CC1(CNC(=O)NCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000176625221 189001440 /nfs/dbraw/zinc/00/14/40/189001440.db2.gz XJRCUPODZYURLB-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCCC(C)(C)C ZINC000298294320 200386147 /nfs/dbraw/zinc/38/61/47/200386147.db2.gz QINLJRDWIKPTQF-UHFFFAOYSA-N 0 0 252.314 2.891 20 5 CFBDRN C[C@@H]1CC[C@@]2(CCN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)C1 ZINC000596189848 540704806 /nfs/dbraw/zinc/70/48/06/540704806.db2.gz LVBBUWGGFBUCKE-QMTHXVAHSA-N 0 0 277.324 2.712 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCC[C@H](CO)C2)c(F)c1 ZINC000298505803 200452312 /nfs/dbraw/zinc/45/23/12/200452312.db2.gz GXAGIHCVDDRBTO-DTWKUNHWSA-N 0 0 286.278 2.836 20 5 CFBDRN CCCC[C@H](CCC)NC(=O)Cn1cc([N+](=O)[O-])nc1C ZINC000177276418 189040744 /nfs/dbraw/zinc/04/07/44/189040744.db2.gz WODIBLABJKWNIA-LBPRGKRZSA-N 0 0 296.371 2.575 20 5 CFBDRN Cc1ccc(N[C@@H]2CCC[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000298521053 200457418 /nfs/dbraw/zinc/45/74/18/200457418.db2.gz IIXBNQMONYKTOR-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCC[C@@H](CO)C1 ZINC000298709357 200511948 /nfs/dbraw/zinc/51/19/48/200511948.db2.gz VXUXAYWFWYISML-PSASIEDQSA-N 0 0 285.731 2.606 20 5 CFBDRN CC(C)Oc1ccccc1CNc1c([N+](=O)[O-])ncn1C ZINC000298772156 200531080 /nfs/dbraw/zinc/53/10/80/200531080.db2.gz JBSYBZCIXPFNKL-UHFFFAOYSA-N 0 0 290.323 2.728 20 5 CFBDRN C[C@H]1CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000178166723 189094593 /nfs/dbraw/zinc/09/45/93/189094593.db2.gz CHOBLMNVEXZZID-LHXDFBSTSA-N 0 0 274.320 2.865 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000178415210 189114314 /nfs/dbraw/zinc/11/43/14/189114314.db2.gz GQTWHVZXUNZHHZ-LBPRGKRZSA-N 0 0 295.364 2.954 20 5 CFBDRN CCC(CC)(CNc1cc(C)c([N+](=O)[O-])cn1)C(=O)OC ZINC000178557129 189126767 /nfs/dbraw/zinc/12/67/67/189126767.db2.gz CCJCKMIIHRLOEZ-UHFFFAOYSA-N 0 0 295.339 2.690 20 5 CFBDRN C[C@H]1CCN(C(=O)NCCc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000178594682 189129785 /nfs/dbraw/zinc/12/97/85/189129785.db2.gz OVYRMXCIGHYBAD-RYUDHWBXSA-N 0 0 291.351 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCc2ccccn2)n1 ZINC000178840273 189150168 /nfs/dbraw/zinc/15/01/68/189150168.db2.gz XNCCABUERUQKQS-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN COC(=O)C[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000178850128 189150497 /nfs/dbraw/zinc/15/04/97/189150497.db2.gz QHHQLTUIXFLWHG-VIFPVBQESA-N 0 0 298.726 2.780 20 5 CFBDRN COC[C@H](C)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000178870356 189151663 /nfs/dbraw/zinc/15/16/63/189151663.db2.gz QFQWHVDFQIYVSO-SSDOTTSWSA-N 0 0 260.240 2.567 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1csc([N+](=O)[O-])c1 ZINC000178987104 189159494 /nfs/dbraw/zinc/15/94/94/189159494.db2.gz NNENHVYOVMXDMV-UHFFFAOYSA-N 0 0 266.322 2.576 20 5 CFBDRN Cc1ccc(OCc2nc(C(C)C)no2)cc1[N+](=O)[O-] ZINC000178991886 189159877 /nfs/dbraw/zinc/15/98/77/189159877.db2.gz YHOZMGQSLWLXCG-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN COCCC1(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000178918570 189154801 /nfs/dbraw/zinc/15/48/01/189154801.db2.gz NWKJTNFEIZSDDH-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301981884 540969267 /nfs/dbraw/zinc/96/92/67/540969267.db2.gz YAZYFWASHKQUFT-GHMZBOCLSA-N 0 0 289.339 2.510 20 5 CFBDRN COc1cc(NCCCCCCO)ccc1[N+](=O)[O-] ZINC000180209483 189243811 /nfs/dbraw/zinc/24/38/11/189243811.db2.gz DNIGUNRTBQSEOB-UHFFFAOYSA-N 0 0 268.313 2.568 20 5 CFBDRN Cc1cnc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000180430413 189254424 /nfs/dbraw/zinc/25/44/24/189254424.db2.gz DCZNPGMYQPCGRV-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN CCOC(=O)[C@@H](CC)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180454901 189256691 /nfs/dbraw/zinc/25/66/91/189256691.db2.gz ZHXARZZOWIYCHT-ZWNOBZJWSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CCCOCC2)cccc1[N+](=O)[O-] ZINC000180376102 189252319 /nfs/dbraw/zinc/25/23/19/189252319.db2.gz QMJPRAORAHFRAL-LLVKDONJSA-N 0 0 293.323 2.594 20 5 CFBDRN CC1(C)C[C@@H](Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCO1 ZINC000216073811 540987761 /nfs/dbraw/zinc/98/77/61/540987761.db2.gz KRDNFWOUGAPPPL-VIFPVBQESA-N 0 0 290.323 2.841 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000301244552 200949726 /nfs/dbraw/zinc/94/97/26/200949726.db2.gz POPICIQRBGRLQS-ZANVPECISA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000301293667 200967989 /nfs/dbraw/zinc/96/79/89/200967989.db2.gz WFQUNBJPMDNSTR-QPUJVOFHSA-N 0 0 287.747 2.852 20 5 CFBDRN C[C@]1(CO)CCC[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000301333391 200977785 /nfs/dbraw/zinc/97/77/85/200977785.db2.gz BVRBUEGOPDCEQR-QWHCGFSZSA-N 0 0 268.288 2.697 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCCOC2)c1 ZINC000301443120 201010521 /nfs/dbraw/zinc/01/05/21/201010521.db2.gz NYHVWBJADASKIJ-SECBINFHSA-N 0 0 284.287 2.581 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@](C)(O)C(C)C ZINC000301450745 201013027 /nfs/dbraw/zinc/01/30/27/201013027.db2.gz SXMMWOHUWVTDDI-CYBMUJFWSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1nc(CNc2nccc(C)c2[N+](=O)[O-])sc1C ZINC000301449836 201013367 /nfs/dbraw/zinc/01/33/67/201013367.db2.gz POKXHJYBIFVFQJ-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H](C)C[C@H](C)O)c1 ZINC000301453912 201014571 /nfs/dbraw/zinc/01/45/71/201014571.db2.gz BBESSSNPMKPOOW-IUCAKERBSA-N 0 0 286.303 2.561 20 5 CFBDRN Cc1noc(CCNc2cc(C)c([N+](=O)[O-])cc2Cl)n1 ZINC000301456765 201016275 /nfs/dbraw/zinc/01/62/75/201016275.db2.gz WZESFNSSNABKIH-UHFFFAOYSA-N 0 0 296.714 2.903 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])cc2Cl)CCCC[C@H]1O ZINC000301482279 201022898 /nfs/dbraw/zinc/02/28/98/201022898.db2.gz FMQLEIIGNMBMQM-YPMHNXCESA-N 0 0 299.758 2.996 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CCc1ccncc1 ZINC000301520621 201043130 /nfs/dbraw/zinc/04/31/30/201043130.db2.gz LCTUISUDYYABJP-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN Cc1cc(NC(=O)N(C)C[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000182767942 189334111 /nfs/dbraw/zinc/33/41/11/189334111.db2.gz IJMWECRDOUBELS-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2cccc(C(N)=O)c2)c1 ZINC000301571840 201074842 /nfs/dbraw/zinc/07/48/42/201074842.db2.gz OPPAJTBXYPSKJR-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN Cc1nn(Cc2c(F)cccc2F)c(C)c1[N+](=O)[O-] ZINC000182605416 189328970 /nfs/dbraw/zinc/32/89/70/189328970.db2.gz FIRIOXOTLWPOHN-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN COc1cc(NC[C@@](C)(O)C(C)C)c([N+](=O)[O-])cc1F ZINC000301631368 201105057 /nfs/dbraw/zinc/10/50/57/201105057.db2.gz UAPNLMKXNMNWAS-CYBMUJFWSA-N 0 0 286.303 2.561 20 5 CFBDRN Cc1nc(NC[C@@H](O)c2ccc(F)cc2)ccc1[N+](=O)[O-] ZINC000301634299 201107299 /nfs/dbraw/zinc/10/72/99/201107299.db2.gz KJAMITRWCUMMHQ-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000182966908 189340944 /nfs/dbraw/zinc/34/09/44/189340944.db2.gz CINIELBJDZWPDP-QMTHXVAHSA-N 0 0 290.319 2.563 20 5 CFBDRN COc1cc(NCC2CCC2)c([N+](=O)[O-])cc1F ZINC000301638280 201110575 /nfs/dbraw/zinc/11/05/75/201110575.db2.gz XPVNEWIIALWAJT-UHFFFAOYSA-N 0 0 254.261 2.955 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CC[C@@H](CO)CC2)c(Cl)c1 ZINC000301642000 201111922 /nfs/dbraw/zinc/11/19/22/201111922.db2.gz ZDLHOIJXTKKBAO-DTORHVGOSA-N 0 0 285.731 2.606 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000182871101 189337833 /nfs/dbraw/zinc/33/78/33/189337833.db2.gz GAFAYXVZLPFAIT-ZANVPECISA-N 0 0 277.276 2.506 20 5 CFBDRN C[C@H](Cc1ccc(O)cc1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000301622473 201101350 /nfs/dbraw/zinc/10/13/50/201101350.db2.gz NZERXYQNYNRVJL-LLVKDONJSA-N 0 0 287.319 2.763 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1CCCc1ccccc1Cl ZINC000182938486 189340013 /nfs/dbraw/zinc/34/00/13/189340013.db2.gz PAKXSPBKNWZCAO-UHFFFAOYSA-N 0 0 280.715 2.660 20 5 CFBDRN CC[C@H](NC(=O)COC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000183161283 189348568 /nfs/dbraw/zinc/34/85/68/189348568.db2.gz VZGKASCJPNQRNE-ZDUSSCGKSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@H](C[C@@H](O)c1ccco1)Nc1ccc([N+](=O)[O-])cn1 ZINC000301647032 201113505 /nfs/dbraw/zinc/11/35/05/201113505.db2.gz PWQCVCZQHYAJAE-MWLCHTKSSA-N 0 0 277.280 2.507 20 5 CFBDRN O=C(NCCC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000183471163 189359602 /nfs/dbraw/zinc/35/96/02/189359602.db2.gz RFNOLVHWQHTECS-AWEZNQCLSA-N 0 0 292.335 2.674 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])s1)c1nccs1 ZINC000183505254 189360845 /nfs/dbraw/zinc/36/08/45/189360845.db2.gz PBYIQZGBOFDDFN-ZETCQYMHSA-N 0 0 297.361 2.646 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C)Cc1cscn1 ZINC000183302550 189354121 /nfs/dbraw/zinc/35/41/21/189354121.db2.gz LIDGUJCTQDRZAE-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN Cc1ncc(CN2CCc3c2cccc3[N+](=O)[O-])s1 ZINC000183620169 189363937 /nfs/dbraw/zinc/36/39/37/189363937.db2.gz GRFWTROSKSHHJI-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN CSCC[C@H](C)N(C)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183621522 189364133 /nfs/dbraw/zinc/36/41/33/189364133.db2.gz WMQODHFWYFKPCI-VIFPVBQESA-N 0 0 298.368 2.595 20 5 CFBDRN Cc1nn(C)c(NCCc2cc3ccccc3[nH]2)c1[N+](=O)[O-] ZINC000301758228 201179617 /nfs/dbraw/zinc/17/96/17/201179617.db2.gz ZAPSUODYZSDZDD-UHFFFAOYSA-N 0 0 299.334 2.773 20 5 CFBDRN Cc1cc(N[C@H]2CCOC2)c(Cl)cc1[N+](=O)[O-] ZINC000301766820 201183459 /nfs/dbraw/zinc/18/34/59/201183459.db2.gz HNIOBSWLVQLGAB-QMMMGPOBSA-N 0 0 256.689 2.757 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])cc1C)CC(F)F ZINC000184086535 189375948 /nfs/dbraw/zinc/37/59/48/189375948.db2.gz AAQQDBYKVWNMFC-UHFFFAOYSA-N 0 0 258.268 2.990 20 5 CFBDRN CC(C)[C@H](C)N(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CC1 ZINC000184317698 189383037 /nfs/dbraw/zinc/38/30/37/189383037.db2.gz UETPCEHMNHEWSZ-JTQLQIEISA-N 0 0 291.351 2.826 20 5 CFBDRN CCOc1cccc(NCCCCCCO)c1[N+](=O)[O-] ZINC000184144864 189377520 /nfs/dbraw/zinc/37/75/20/189377520.db2.gz LKUPDIBOHZUYFM-UHFFFAOYSA-N 0 0 282.340 2.958 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000301777924 201192625 /nfs/dbraw/zinc/19/26/25/201192625.db2.gz MFKLKASPFJXJJI-JTQLQIEISA-N 0 0 266.297 2.514 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2ncccc2F)c1 ZINC000301810623 201212611 /nfs/dbraw/zinc/21/26/11/201212611.db2.gz XFMBONDZOXAMFJ-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN Cc1ccc(NC[C@H](C)C(=O)OC(C)C)c([N+](=O)[O-])c1 ZINC000301824870 201217958 /nfs/dbraw/zinc/21/79/58/201217958.db2.gz OCASLIVLJSKIFN-NSHDSACASA-N 0 0 280.324 2.903 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H]1COc2ccccc21 ZINC000301825524 201219918 /nfs/dbraw/zinc/21/99/18/201219918.db2.gz IUOLMCBCLRFDPX-GWCFXTLKSA-N 0 0 285.303 2.966 20 5 CFBDRN CCCCN(C)c1cc(OC)c([N+](=O)[O-])cc1F ZINC000301877719 201245399 /nfs/dbraw/zinc/24/53/99/201245399.db2.gz SXFXMNYAJVEVRC-UHFFFAOYSA-N 0 0 256.277 2.979 20 5 CFBDRN CN(C)c1cccc(CNc2ccc([N+](=O)[O-])cc2)n1 ZINC000301855193 201234039 /nfs/dbraw/zinc/23/40/39/201234039.db2.gz QXDUNIFLBRCVSJ-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN Cc1noc(C)c1COc1c(F)cccc1[N+](=O)[O-] ZINC000185020478 189401263 /nfs/dbraw/zinc/40/12/63/189401263.db2.gz FOLNYJLMBSNPKG-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN Cc1nn(C[C@H](O)c2ccc(F)cc2Cl)cc1[N+](=O)[O-] ZINC000185069232 189402987 /nfs/dbraw/zinc/40/29/87/189402987.db2.gz PMUJJFAUMYZEQJ-LBPRGKRZSA-N 0 0 299.689 2.626 20 5 CFBDRN COc1cc(N2C[C@@H]3[C@H](C2)C3(C)C)c(F)cc1[N+](=O)[O-] ZINC000301920322 201263106 /nfs/dbraw/zinc/26/31/06/201263106.db2.gz IZYBNTMGACUODH-DTORHVGOSA-N 0 0 280.299 2.835 20 5 CFBDRN CN(Cc1nccn1C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000301918261 201263223 /nfs/dbraw/zinc/26/32/23/201263223.db2.gz JPTBROLRKRMGNV-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN CCc1nn(C)c(N2CC[C@H](C)C[C@@H](C)C2)c1[N+](=O)[O-] ZINC000301922414 201265680 /nfs/dbraw/zinc/26/56/80/201265680.db2.gz DZDXHZVJRMBNIY-WDEREUQCSA-N 0 0 280.372 2.763 20 5 CFBDRN COc1cc(N(C)CC2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000301931744 201266283 /nfs/dbraw/zinc/26/62/83/201266283.db2.gz OXPYPPLFGTYUGV-UHFFFAOYSA-N 0 0 298.314 2.605 20 5 CFBDRN C[C@@H](CNc1sccc1[N+](=O)[O-])Cn1cccn1 ZINC000301944258 201272727 /nfs/dbraw/zinc/27/27/27/201272727.db2.gz ZBHBKFIUNZWNEH-VIFPVBQESA-N 0 0 266.326 2.601 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301953628 201276540 /nfs/dbraw/zinc/27/65/40/201276540.db2.gz JYHYGEAWCBXONR-DOFRTFSJSA-N 0 0 265.313 2.666 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)[C@H](C)C1 ZINC000301959550 201277348 /nfs/dbraw/zinc/27/73/48/201277348.db2.gz HDFBLNBUBYRLED-MXWKQRLJSA-N 0 0 289.339 2.874 20 5 CFBDRN C[C@@H]1CCCC[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000425562170 239102642 /nfs/dbraw/zinc/10/26/42/239102642.db2.gz BXDAZKQUCDNUES-ZYHUDNBSSA-N 0 0 277.324 2.779 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NCCC3=CCCC3)nn21 ZINC000301960996 201279588 /nfs/dbraw/zinc/27/95/88/201279588.db2.gz MLJDLNZBAQCUKF-UHFFFAOYSA-N 0 0 273.296 2.550 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)C[C@H]1F ZINC000425558027 239100553 /nfs/dbraw/zinc/10/05/53/239100553.db2.gz CTVNTSWPGPEBFN-BXUZGUMPSA-N 0 0 289.266 2.830 20 5 CFBDRN CCSCC[C@H](C)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301988882 201288731 /nfs/dbraw/zinc/28/87/31/201288731.db2.gz LSHUSDGGFKRQKH-VIFPVBQESA-N 0 0 295.368 2.581 20 5 CFBDRN COCCCC(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000185455859 189414023 /nfs/dbraw/zinc/41/40/23/189414023.db2.gz KNBXKRSXCQPGJF-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN O=C1Cc2cc(Nc3sccc3[N+](=O)[O-])ccc2N1 ZINC000301995524 201293340 /nfs/dbraw/zinc/29/33/40/201293340.db2.gz HGSFBHGOGSTGTI-UHFFFAOYSA-N 0 0 275.289 2.895 20 5 CFBDRN Cc1ccnc(Nc2cccc(CCCO)c2)c1[N+](=O)[O-] ZINC000302063947 201327401 /nfs/dbraw/zinc/32/74/01/201327401.db2.gz VEJMHHVUEXRRLC-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CCOc1cccc(N(C)C[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000302103717 201342732 /nfs/dbraw/zinc/34/27/32/201342732.db2.gz MJVOVJCWBSYTCN-GFCCVEGCSA-N 0 0 294.351 2.999 20 5 CFBDRN CN(Cc1ccc(Cl)cc1)c1ncc([N+](=O)[O-])cn1 ZINC000302113958 201345802 /nfs/dbraw/zinc/34/58/02/201345802.db2.gz BLHSGXFOKIHNTO-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN Cc1cc(Oc2cccc(-n3ccnn3)c2)ncc1[N+](=O)[O-] ZINC000302145222 201365377 /nfs/dbraw/zinc/36/53/77/201365377.db2.gz HFJHFONLVFZSFJ-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN Cc1cc(NCCn2ccnc2)c(Cl)cc1[N+](=O)[O-] ZINC000302135334 201358164 /nfs/dbraw/zinc/35/81/64/201358164.db2.gz MCRBCZSFYBMLLC-UHFFFAOYSA-N 0 0 280.715 2.865 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Oc1cccc(-n2ccnn2)c1 ZINC000302173995 201380629 /nfs/dbraw/zinc/38/06/29/201380629.db2.gz WCVSQTBPSDMNJT-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2ccccc2)CC(F)F)nc1 ZINC000302174062 201380745 /nfs/dbraw/zinc/38/07/45/201380745.db2.gz WFOYDYJJPPPJMD-UHFFFAOYSA-N 0 0 294.261 2.657 20 5 CFBDRN C[C@H]1[C@@H](O)CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000294985769 291290646 /nfs/dbraw/zinc/29/06/46/291290646.db2.gz MLWCCHGUFKRYBC-UFBFGSQYSA-N 0 0 270.716 2.598 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1OC(F)F)[C@H](C)CO ZINC000302176426 201384099 /nfs/dbraw/zinc/38/40/99/201384099.db2.gz XGOMRPZKVPBWJC-HTQZYQBOSA-N 0 0 290.266 2.625 20 5 CFBDRN Cn1cncc1CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000302177053 201384258 /nfs/dbraw/zinc/38/42/58/201384258.db2.gz RAMHRODGFJCZNQ-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CO)CCC2)c2ccncc21 ZINC000302181215 201385871 /nfs/dbraw/zinc/38/58/71/201385871.db2.gz RTMHXPLRXJJFRR-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN Cc1ccc(C[NH2+][C@@H]2CCC[C@]2(C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000426752531 239257931 /nfs/dbraw/zinc/25/79/31/239257931.db2.gz AQGXOKKOUDEEQB-HIFRSBDPSA-N 0 0 292.335 2.636 20 5 CFBDRN Cc1cc(OCC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000188836041 189514712 /nfs/dbraw/zinc/51/47/12/189514712.db2.gz UJNWWDBRMACVFI-NSHDSACASA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1ccc(Oc2ccc([N+](=O)[O-])c3cccnc23)c[n+]1[O-] ZINC000302226911 201410070 /nfs/dbraw/zinc/41/00/70/201410070.db2.gz TWMXCCYJFJPUEZ-UHFFFAOYSA-N 0 0 297.270 2.877 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CC[C@@H](C)O2)c1 ZINC000191024968 189580849 /nfs/dbraw/zinc/58/08/49/189580849.db2.gz NKPOXAHEEWUIGC-MNOVXSKESA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000430786959 239806294 /nfs/dbraw/zinc/80/62/94/239806294.db2.gz DPNYRNGOHLEHJB-CMPLNLGQSA-N 0 0 292.335 2.587 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000432333830 239987002 /nfs/dbraw/zinc/98/70/02/239987002.db2.gz HQCXVTWQPKVQHM-QMMMGPOBSA-N 0 0 286.715 2.859 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CCc1ccccc1F ZINC000432729288 240034223 /nfs/dbraw/zinc/03/42/23/240034223.db2.gz PRLAUMMFEOTGJQ-UHFFFAOYSA-N 0 0 296.685 2.792 20 5 CFBDRN CN(Cc1ccc(F)c(Cl)c1)c1c([N+](=O)[O-])ncn1C ZINC000432871175 240060356 /nfs/dbraw/zinc/06/03/56/240060356.db2.gz JPFFPKRSDSTNNR-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2CC(O)C2)c2ncccc12 ZINC000432870841 240061063 /nfs/dbraw/zinc/06/10/63/240061063.db2.gz INECZHXCMSXFAT-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000263563626 383681342 /nfs/dbraw/zinc/68/13/42/383681342.db2.gz DLNLGTSRLTWPKD-NEPJUHHUSA-N 0 0 292.335 2.761 20 5 CFBDRN COc1cccc(C(=O)N2C[C@@H](C)CC[C@H]2C)c1[N+](=O)[O-] ZINC000194532963 189663007 /nfs/dbraw/zinc/66/30/07/189663007.db2.gz FHPIZAUAMZDQNO-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]1C ZINC000434978220 240280143 /nfs/dbraw/zinc/28/01/43/240280143.db2.gz MISRIXKPBRZCBI-VWYCJHECSA-N 0 0 279.340 2.725 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)ns1 ZINC000435637069 240336214 /nfs/dbraw/zinc/33/62/14/240336214.db2.gz MBYDYIOJQRAUNX-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN COc1cccc(C(=O)N(C)[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000435987035 240374811 /nfs/dbraw/zinc/37/48/11/240374811.db2.gz XNDASVZMIGUTSS-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cn(CC[C@H]2CCCCO2)nc1C1CC1 ZINC000436188146 240404646 /nfs/dbraw/zinc/40/46/46/240404646.db2.gz ULHZUJAEWLCVDA-LLVKDONJSA-N 0 0 265.313 2.628 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ncc(C3CC3)o2)nc1C1CC1 ZINC000436214005 240409233 /nfs/dbraw/zinc/40/92/33/240409233.db2.gz YTGBWSIDVSAQMP-UHFFFAOYSA-N 0 0 274.280 2.582 20 5 CFBDRN Cc1cc(Oc2ncc([N+](=O)[O-])cn2)c(F)cc1[N+](=O)[O-] ZINC000302370250 201439892 /nfs/dbraw/zinc/43/98/92/201439892.db2.gz CIZORFOYVBNCIM-UHFFFAOYSA-N 0 0 294.198 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@@H](O)c1ccccc1)CCN2 ZINC000275389536 193018077 /nfs/dbraw/zinc/01/80/77/193018077.db2.gz QAVBFJUFCUOPIE-OAHLLOKOSA-N 0 0 299.330 2.708 20 5 CFBDRN CN(CCC1CC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000437055419 240538194 /nfs/dbraw/zinc/53/81/94/240538194.db2.gz NRUNMWBVLHCLCI-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CCOC[C@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000275400232 193022173 /nfs/dbraw/zinc/02/21/73/193022173.db2.gz DPIGUAMASWVMSZ-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1C[C@H]1C ZINC000275528070 193068285 /nfs/dbraw/zinc/06/82/85/193068285.db2.gz MCFPZFKXSMMJCC-SCZZXKLOSA-N 0 0 263.297 2.681 20 5 CFBDRN Cc1ccsc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000439286953 240758340 /nfs/dbraw/zinc/75/83/40/240758340.db2.gz ORSRWTQHLIUMRC-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000439158609 240744860 /nfs/dbraw/zinc/74/48/60/240744860.db2.gz PSZZPGROJZOVIB-DTWKUNHWSA-N 0 0 265.313 2.540 20 5 CFBDRN Cc1nc(C(F)F)n(CCOc2ccccc2[N+](=O)[O-])n1 ZINC000439187410 240747621 /nfs/dbraw/zinc/74/76/21/240747621.db2.gz DZRWCZCNMTUSEZ-UHFFFAOYSA-N 0 0 298.249 2.511 20 5 CFBDRN COc1cc(/C=C\c2ccc([N+](=O)[O-])cn2)ccc1O ZINC000439520087 240781753 /nfs/dbraw/zinc/78/17/53/240781753.db2.gz GLKJZHBMHNAXMN-RQOWECAXSA-N 0 0 272.260 2.874 20 5 CFBDRN CCN(CCOC)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000275857158 193148117 /nfs/dbraw/zinc/14/81/17/193148117.db2.gz IPDVINQATDDECU-UHFFFAOYSA-N 0 0 294.351 2.827 20 5 CFBDRN CCc1nc(C(C)(C)NCc2ccc([N+](=O)[O-])cc2)no1 ZINC000441206758 240917348 /nfs/dbraw/zinc/91/73/48/240917348.db2.gz RVFVMOMGECPUQD-UHFFFAOYSA-N 0 0 290.323 2.565 20 5 CFBDRN Nc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc2ccoc2c1 ZINC000442819548 241006076 /nfs/dbraw/zinc/00/60/76/241006076.db2.gz IPFCSPDMRNIPAG-UHFFFAOYSA-N 0 0 298.258 2.571 20 5 CFBDRN COCCOc1cccc(Nc2ncc([N+](=O)[O-])s2)c1 ZINC000443508419 241068028 /nfs/dbraw/zinc/06/80/28/241068028.db2.gz KCWJDRSIYUUCSQ-UHFFFAOYSA-N 0 0 295.320 2.820 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])cnc1Nc1ccc2ccoc2c1 ZINC000443521137 241069016 /nfs/dbraw/zinc/06/90/16/241069016.db2.gz UHFAKZXATRKQAK-UHFFFAOYSA-N 0 0 298.258 2.579 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2c[nH]c3ncccc23)n1 ZINC000443887644 241093437 /nfs/dbraw/zinc/09/34/37/241093437.db2.gz HSZCKIMLXKBXII-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000444463562 241141350 /nfs/dbraw/zinc/14/13/50/241141350.db2.gz GWCYBAFTZYEDEK-GXSJLCMTSA-N 0 0 280.299 2.581 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000444471909 241142859 /nfs/dbraw/zinc/14/28/59/241142859.db2.gz ZHLFIZWCNYCEJY-ZJUUUORDSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000444470180 241143308 /nfs/dbraw/zinc/14/33/08/241143308.db2.gz VTLBTCYKOCSRHC-NXEZZACHSA-N 0 0 287.319 2.995 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(Sc3ncco3)c21 ZINC000444879403 241201414 /nfs/dbraw/zinc/20/14/14/241201414.db2.gz UBTGJUIHOUNJSD-UHFFFAOYSA-N 0 0 274.261 2.677 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000446063640 241267698 /nfs/dbraw/zinc/26/76/98/241267698.db2.gz RQSNFHSVTJVJOL-SDDRHHMPSA-N 0 0 294.351 2.601 20 5 CFBDRN CSc1nnc(CNc2ccccc2[N+](=O)[O-])s1 ZINC000445663719 241229924 /nfs/dbraw/zinc/22/99/24/241229924.db2.gz ZHHOXCMEIUQVGM-UHFFFAOYSA-N 0 0 282.350 2.780 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@H](C)O[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000446610526 241308527 /nfs/dbraw/zinc/30/85/27/241308527.db2.gz MXLLVYVZIJZOMG-SRVKXCTJSA-N 0 0 292.335 2.541 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H]1CCO[C@H](C)C1 ZINC000447326872 241368830 /nfs/dbraw/zinc/36/88/30/241368830.db2.gz QWNSZKBBHFDLPT-PWSUYJOCSA-N 0 0 293.319 2.991 20 5 CFBDRN CC(C)OC(C)(C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000448547976 241468223 /nfs/dbraw/zinc/46/82/23/241468223.db2.gz LJUWYIJDYPUFCT-NSHDSACASA-N 0 0 294.351 2.976 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)Nc1cccc([N+](=O)[O-])c1 ZINC000448556697 241473197 /nfs/dbraw/zinc/47/31/97/241473197.db2.gz GMOJNLVDGFNMEQ-OUJBWJOFSA-N 0 0 260.293 2.970 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@H]1C[C@@H](C)n2ccnc21 ZINC000449574257 242043072 /nfs/dbraw/zinc/04/30/72/242043072.db2.gz UEUVJTYJYCQBSL-RISCZKNCSA-N 0 0 286.335 2.895 20 5 CFBDRN C[C@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@H](c2ccco2)C1 ZINC000266438822 299988633 /nfs/dbraw/zinc/98/86/33/299988633.db2.gz GGAQINPBFLBQQQ-QWRGUYRKSA-N 0 0 290.323 2.899 20 5 CFBDRN Cc1nc(N2CCC[C@H]2c2ccco2)ncc1[N+](=O)[O-] ZINC000450338182 242364601 /nfs/dbraw/zinc/36/46/01/242364601.db2.gz JFPDCMBZRNDEQZ-JTQLQIEISA-N 0 0 274.280 2.628 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450348804 242369000 /nfs/dbraw/zinc/36/90/00/242369000.db2.gz NGPMPXILLDMCGE-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN CCN(Cc1cccc(F)c1)c1ncc([N+](=O)[O-])c(C)n1 ZINC000450245177 242322529 /nfs/dbraw/zinc/32/25/29/242322529.db2.gz RHAPWDIXRRXXFW-UHFFFAOYSA-N 0 0 290.298 2.859 20 5 CFBDRN CCS[C@H]1CC[C@H](N(C)c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000450361451 242377036 /nfs/dbraw/zinc/37/70/36/242377036.db2.gz NZTZVZMYRZONJI-QWRGUYRKSA-N 0 0 296.396 2.804 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450440654 242422370 /nfs/dbraw/zinc/42/23/70/242422370.db2.gz PTIYRQXMHSCAPG-VHSXEESVSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCC1(CCO)CC1 ZINC000450450288 242426990 /nfs/dbraw/zinc/42/69/90/242426990.db2.gz GUBKSJXLHSCENM-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450455062 242429643 /nfs/dbraw/zinc/42/96/43/242429643.db2.gz TUGVGKSLMNJQTG-QWHCGFSZSA-N 0 0 287.319 2.714 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNc1c([N+](=O)[O-])ncn1C ZINC000450659338 242531439 /nfs/dbraw/zinc/53/14/39/242531439.db2.gz HFZLNIBLBWIWQX-VHSXEESVSA-N 0 0 252.318 2.567 20 5 CFBDRN CCOc1cc(N[C@@H](C)[C@@H](C)OC)ccc1[N+](=O)[O-] ZINC000302729675 201528899 /nfs/dbraw/zinc/52/88/99/201528899.db2.gz UYARQDPDMOWAEO-VHSXEESVSA-N 0 0 268.313 2.829 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CC12CCOCC2 ZINC000450788898 242604386 /nfs/dbraw/zinc/60/43/86/242604386.db2.gz DUJONMNPXKPRKC-CQSZACIVSA-N 0 0 292.335 2.722 20 5 CFBDRN CC(=O)CCCCCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000450887356 242657033 /nfs/dbraw/zinc/65/70/33/242657033.db2.gz ICZUMFXTCJRYQY-UHFFFAOYSA-N 0 0 286.715 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000302824546 201567371 /nfs/dbraw/zinc/56/73/71/201567371.db2.gz XAMKIBNBPKDNRM-GIPNMCIBSA-N 0 0 266.272 2.713 20 5 CFBDRN Cc1ccc(C[N@H+](C)CCn2cc([N+](=O)[O-])cn2)cc1C ZINC000452046392 243022516 /nfs/dbraw/zinc/02/25/16/243022516.db2.gz WBLRFSXQUKLCCI-UHFFFAOYSA-N 0 0 288.351 2.540 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000452183287 243077587 /nfs/dbraw/zinc/07/75/87/243077587.db2.gz NZIZHKQTEKSPBD-GXSJLCMTSA-N 0 0 280.349 2.525 20 5 CFBDRN COc1c(C(=O)N2CCC[C@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000452210663 243085778 /nfs/dbraw/zinc/08/57/78/243085778.db2.gz WMBJBHXCMQVXRM-AWEZNQCLSA-N 0 0 296.298 2.568 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H](CC)C(F)F)cc1[N+](=O)[O-] ZINC000452255117 243100191 /nfs/dbraw/zinc/10/01/91/243100191.db2.gz ZWVVQHJRASTNFZ-JTQLQIEISA-N 0 0 286.278 2.931 20 5 CFBDRN CCc1ccc(C(=O)N[C@H](CC)C(F)F)cc1[N+](=O)[O-] ZINC000452255118 243101318 /nfs/dbraw/zinc/10/13/18/243101318.db2.gz ZWVVQHJRASTNFZ-SNVBAGLBSA-N 0 0 286.278 2.931 20 5 CFBDRN COc1c(C(=O)NCC2=CCCCC2)cccc1[N+](=O)[O-] ZINC000452291548 243118158 /nfs/dbraw/zinc/11/81/58/243118158.db2.gz NWIQCYPYACSGEE-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](F)C1 ZINC000452368128 243145284 /nfs/dbraw/zinc/14/52/84/243145284.db2.gz LQRMDXHERQEFDN-NXEZZACHSA-N 0 0 298.339 2.646 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)C(C)(F)F)ccc1[N+](=O)[O-] ZINC000452456420 243179376 /nfs/dbraw/zinc/17/93/76/243179376.db2.gz INQYQYMSBUTIPE-MRVPVSSYSA-N 0 0 272.251 2.677 20 5 CFBDRN CC/C=C\CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000452603369 243239574 /nfs/dbraw/zinc/23/95/74/243239574.db2.gz OMDVXLQAWLAPDG-YVMONPNESA-N 0 0 276.336 2.955 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000452576682 243230036 /nfs/dbraw/zinc/23/00/36/243230036.db2.gz VHJFKIBWZUNSIT-NEPJUHHUSA-N 0 0 299.330 2.971 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000330516340 202910699 /nfs/dbraw/zinc/91/06/99/202910699.db2.gz IHMWIPNNJOZHPR-NXEZZACHSA-N 0 0 276.292 2.539 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@H]1CCCC1(F)F ZINC000452853349 243320298 /nfs/dbraw/zinc/32/02/98/243320298.db2.gz SPVWVRJGJOJHNS-LLVKDONJSA-N 0 0 298.289 2.689 20 5 CFBDRN CCC1(NC(=O)CNc2ccccc2[N+](=O)[O-])CCCC1 ZINC000452976639 243353988 /nfs/dbraw/zinc/35/39/88/243353988.db2.gz PLTATIHLCGGNIP-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN CCN(C(=O)c1[nH]nc(C)c1[N+](=O)[O-])[C@@H]1CCCC[C@@H]1C ZINC000330600305 203023591 /nfs/dbraw/zinc/02/35/91/203023591.db2.gz HDRCRAGYZZHFNC-GXSJLCMTSA-N 0 0 294.355 2.667 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H]1C1CC1 ZINC000454131943 243636588 /nfs/dbraw/zinc/63/65/88/243636588.db2.gz KJFSDDLROWOJSD-LBPRGKRZSA-N 0 0 291.307 2.620 20 5 CFBDRN Cc1c(NC(=O)NCC[C@H](C)F)cccc1[N+](=O)[O-] ZINC000454207969 243659339 /nfs/dbraw/zinc/65/93/39/243659339.db2.gz WNDATGGNDXHADJ-QMMMGPOBSA-N 0 0 269.276 2.773 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000454953825 243853899 /nfs/dbraw/zinc/85/38/99/243853899.db2.gz HTPXVUAHTLKLIO-KWBADKCTSA-N 0 0 277.324 2.754 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(F)F ZINC000455341762 243939696 /nfs/dbraw/zinc/93/96/96/243939696.db2.gz XKHUTJKSSGQKKN-SECBINFHSA-N 0 0 291.229 2.899 20 5 CFBDRN CC/C=C/CNC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000455337798 243939805 /nfs/dbraw/zinc/93/98/05/243939805.db2.gz QSTPCPJCIKCHGJ-ONEGZZNKSA-N 0 0 289.335 2.629 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CCCC1(C)C ZINC000338812322 294461176 /nfs/dbraw/zinc/46/11/76/294461176.db2.gz QAGODMZYMMQFHA-SECBINFHSA-N 0 0 252.318 2.567 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NC(=O)CC(C)(C)O ZINC000456173106 244095640 /nfs/dbraw/zinc/09/56/40/244095640.db2.gz WQHBKBHMLBCNFX-UHFFFAOYSA-N 0 0 295.339 2.515 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000456058209 244063051 /nfs/dbraw/zinc/06/30/51/244063051.db2.gz WWQXPGUFPQBNIM-UHFFFAOYSA-N 0 0 294.355 2.682 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000456301438 244131951 /nfs/dbraw/zinc/13/19/51/244131951.db2.gz RAGUIHAGXMEZHH-AAEUAGOBSA-N 0 0 294.326 2.685 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1C[C@H]1C(C)C ZINC000456307442 244134061 /nfs/dbraw/zinc/13/40/61/244134061.db2.gz ZTUVABVILAMQSJ-FZMZJTMJSA-N 0 0 276.336 2.925 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000456340602 244148607 /nfs/dbraw/zinc/14/86/07/244148607.db2.gz YYUQZHDZPCXHME-STQMWFEESA-N 0 0 276.336 2.925 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1C[C@H]1C1CC1 ZINC000456874747 244314031 /nfs/dbraw/zinc/31/40/31/244314031.db2.gz FKFGJPMOCFPXBH-FZMZJTMJSA-N 0 0 274.320 2.679 20 5 CFBDRN COCC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000456878641 244316683 /nfs/dbraw/zinc/31/66/83/244316683.db2.gz JXIUFFYXGZBUQV-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN Cc1n[nH]c(C(=O)NC[C@@H]2CCCC(C)(C)C2)c1[N+](=O)[O-] ZINC000456899466 244323974 /nfs/dbraw/zinc/32/39/74/244323974.db2.gz FJSSOKUGWJCJDZ-SNVBAGLBSA-N 0 0 294.355 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCOC2)c(Cl)c1 ZINC000330799640 203249704 /nfs/dbraw/zinc/24/97/04/203249704.db2.gz HYRHVEWWHZXVSV-MRVPVSSYSA-N 0 0 257.673 2.664 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000330846343 203306808 /nfs/dbraw/zinc/30/68/08/203306808.db2.gz UXXAMWYHXUUPEY-LLVKDONJSA-N 0 0 289.335 2.605 20 5 CFBDRN CC[C@@H]1C[C@@H]1Nc1ncc(Br)cc1[N+](=O)[O-] ZINC000230215172 189808047 /nfs/dbraw/zinc/80/80/47/189808047.db2.gz XBYOSXNWVDYUPK-SVRRBLITSA-N 0 0 286.129 2.963 20 5 CFBDRN C[C@H]1CCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000276138462 193223259 /nfs/dbraw/zinc/22/32/59/193223259.db2.gz CCEOUNXWCOKBFP-ONGXEEELSA-N 0 0 298.364 2.599 20 5 CFBDRN CCC(O)(CC)CCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000276199999 193240637 /nfs/dbraw/zinc/24/06/37/193240637.db2.gz IKDOJUVFOSLCOE-UHFFFAOYSA-N 0 0 267.329 2.651 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)CC1(C)C ZINC000276278891 193268875 /nfs/dbraw/zinc/26/88/75/193268875.db2.gz TVKDBFIXSWYNFD-SNVBAGLBSA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCc1cccc(F)c1 ZINC000276279990 193268955 /nfs/dbraw/zinc/26/89/55/193268955.db2.gz WLQJHNHYKLQMMB-UHFFFAOYSA-N 0 0 290.294 2.837 20 5 CFBDRN Cc1noc(CCOc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000116607288 376197710 /nfs/dbraw/zinc/19/77/10/376197710.db2.gz IIXSNQRPYVLGIU-UHFFFAOYSA-N 0 0 283.671 2.561 20 5 CFBDRN C[C@@H](Cn1cc([N+](=O)[O-])ccc1=O)c1ccccc1 ZINC000116609966 376197718 /nfs/dbraw/zinc/19/77/18/376197718.db2.gz PTUNLYFVBXBSTH-NSHDSACASA-N 0 0 258.277 2.560 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@@H]1CCCO1 ZINC000276544866 193375521 /nfs/dbraw/zinc/37/55/21/193375521.db2.gz DOBFUSIMAQMLDC-NSHDSACASA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000331090458 203532644 /nfs/dbraw/zinc/53/26/44/203532644.db2.gz VVPBLLSNHQSPCZ-AAEUAGOBSA-N 0 0 276.336 2.690 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000231684258 189814677 /nfs/dbraw/zinc/81/46/77/189814677.db2.gz PONYTXHVTQWLIP-BDAKNGLRSA-N 0 0 271.704 2.623 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2C[C@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000458149718 244782055 /nfs/dbraw/zinc/78/20/55/244782055.db2.gz WMRQSXJZTXVCDD-GOEBONIOSA-N 0 0 290.363 2.997 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)cc([N+](=O)[O-])c1 ZINC000458268480 244822455 /nfs/dbraw/zinc/82/24/55/244822455.db2.gz YYCCBACAVDLNTP-DDTOSNHZSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccc(F)cc1[N+](=O)[O-] ZINC000458267860 244822748 /nfs/dbraw/zinc/82/27/48/244822748.db2.gz YIFRBVMNRJTMNZ-JFUSQASVSA-N 0 0 278.283 2.652 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000286742195 196692545 /nfs/dbraw/zinc/69/25/45/196692545.db2.gz IMOYGKKFNWKKQA-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1c(CNC(=O)N2CC[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000458678677 244937711 /nfs/dbraw/zinc/93/77/11/244937711.db2.gz BRDGVIOBICFSSZ-CQSZACIVSA-N 0 0 289.335 2.597 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000177092263 291508741 /nfs/dbraw/zinc/50/87/41/291508741.db2.gz HMVJSTAPKVKJPL-KOLCDFICSA-N 0 0 262.309 2.774 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC3(CCC3)[C@@H]3COC[C@@H]32)cs1 ZINC000459725497 245284467 /nfs/dbraw/zinc/28/44/67/245284467.db2.gz QAACJRAKHAZUFF-NEPJUHHUSA-N 0 0 294.376 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cncnc1C1CC1 ZINC000459895972 245342755 /nfs/dbraw/zinc/34/27/55/245342755.db2.gz XLKBSDCEWREKAW-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC=C(C)C)c1 ZINC000234551092 189822881 /nfs/dbraw/zinc/82/28/81/189822881.db2.gz LBWLWZCFSLYKRK-UHFFFAOYSA-N 0 0 264.281 2.760 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H]1CCc2ccccc2C1 ZINC000331953439 204330995 /nfs/dbraw/zinc/33/09/95/204330995.db2.gz IVLPCNXETJSOKY-LBPRGKRZSA-N 0 0 271.320 2.905 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC(c3c[nH]cn3)CC2)cs1 ZINC000331974108 204351531 /nfs/dbraw/zinc/35/15/31/204351531.db2.gz VDLAKQYAWAFKJW-UHFFFAOYSA-N 0 0 292.364 2.759 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H]2C(C)C)cc([N+](=O)[O-])c1C ZINC000332004871 204382252 /nfs/dbraw/zinc/38/22/52/204382252.db2.gz RKPIRFGRDSPMQY-GFCCVEGCSA-N 0 0 292.335 2.782 20 5 CFBDRN COC(=O)[C@H](CNc1ccc(F)cc1[N+](=O)[O-])CC(C)C ZINC000462877229 245394315 /nfs/dbraw/zinc/39/43/15/245394315.db2.gz ZFUWKZRXZGDDQK-JTQLQIEISA-N 0 0 298.314 2.981 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000463290726 245398208 /nfs/dbraw/zinc/39/82/08/245398208.db2.gz UHEOSIZDOPJIHS-ZWNOBZJWSA-N 0 0 274.320 2.920 20 5 CFBDRN CN(Cc1ccc(F)c(F)c1)c1ccc([N+](=O)[O-])cn1 ZINC000012874516 371992293 /nfs/dbraw/zinc/99/22/93/371992293.db2.gz ABIVNLCPYSVTEL-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000014194170 372062241 /nfs/dbraw/zinc/06/22/41/372062241.db2.gz YZIVAZDXXYFFPP-VXGBXAGGSA-N 0 0 291.351 2.796 20 5 CFBDRN CN1C(=O)c2ccccc2N[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000015249102 372082454 /nfs/dbraw/zinc/08/24/54/372082454.db2.gz IHSKNVDKDDPCQW-AWEZNQCLSA-N 0 0 283.287 2.791 20 5 CFBDRN Cc1cc(C(=O)NCCc2cccs2)ccc1[N+](=O)[O-] ZINC000017909552 372138375 /nfs/dbraw/zinc/13/83/75/372138375.db2.gz ZABMCCAQGXAUNH-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CC(C)[C@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000018176139 372143332 /nfs/dbraw/zinc/14/33/32/372143332.db2.gz FKHPKUFFJWVRAA-VIFPVBQESA-N 0 0 251.286 2.761 20 5 CFBDRN COc1ccccc1CNCc1ccccc1[N+](=O)[O-] ZINC000020040884 372221365 /nfs/dbraw/zinc/22/13/65/372221365.db2.gz DDSIFAMONBCTEJ-UHFFFAOYSA-N 0 0 272.304 2.893 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@]12C[C@H]1CCC2 ZINC000335489250 284317006 /nfs/dbraw/zinc/31/70/06/284317006.db2.gz TUSODUYHDKTFOY-AMIZOPFISA-N 0 0 264.256 2.863 20 5 CFBDRN COCc1ccccc1CNc1ncccc1[N+](=O)[O-] ZINC000026719128 372327705 /nfs/dbraw/zinc/32/77/05/372327705.db2.gz QSVKJYNGKMRONA-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cc(Cl)c[nH]1 ZINC000029485370 372381200 /nfs/dbraw/zinc/38/12/00/372381200.db2.gz HKSLOSVXVYBUGL-UHFFFAOYSA-N 0 0 265.656 2.829 20 5 CFBDRN Cc1c(NC(=O)/C=C/c2cccnc2)cccc1[N+](=O)[O-] ZINC000030880292 372399676 /nfs/dbraw/zinc/39/96/76/372399676.db2.gz ZZVGJIHXLDNTQF-BQYQJAHWSA-N 0 0 283.287 2.950 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cc(F)cc3cccnc32)cn1 ZINC000031620694 372413545 /nfs/dbraw/zinc/41/35/45/372413545.db2.gz FCNOMYRHCNTYLY-UHFFFAOYSA-N 0 0 272.239 2.527 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000032516369 372443117 /nfs/dbraw/zinc/44/31/17/372443117.db2.gz GNHKZZBFXVXGOH-VIFPVBQESA-N 0 0 266.297 2.522 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000332672446 204878563 /nfs/dbraw/zinc/87/85/63/204878563.db2.gz IJSXZZCFAXZBLU-HRDYMLBCSA-N 0 0 268.338 2.773 20 5 CFBDRN COC[C@@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000035084589 372536823 /nfs/dbraw/zinc/53/68/23/372536823.db2.gz PCQOSRQIWROOAD-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN CS(=O)(=O)c1ccc(NCC2CCCC2)c([N+](=O)[O-])c1 ZINC000035232647 372547868 /nfs/dbraw/zinc/54/78/68/372547868.db2.gz YEHPETACRZOKLL-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN CCOCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000036230011 372567798 /nfs/dbraw/zinc/56/77/98/372567798.db2.gz LRMKFHVABYOVRM-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000036734200 372588423 /nfs/dbraw/zinc/58/84/23/372588423.db2.gz KOPQHEJUYCIFNF-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@@H]1CCOC1 ZINC000036970812 372618740 /nfs/dbraw/zinc/61/87/40/372618740.db2.gz VMXVSDXWHRXPSH-QMMMGPOBSA-N 0 0 256.689 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC3(CC2)OCCO3)cc1 ZINC000037061571 372643530 /nfs/dbraw/zinc/64/35/30/372643530.db2.gz SWOIOFROGBXRMG-UHFFFAOYSA-N 0 0 278.308 2.692 20 5 CFBDRN CC(C)[N@H+](CCCC(=O)[O-])Cc1ccc([N+](=O)[O-])cc1 ZINC000037596555 372671154 /nfs/dbraw/zinc/67/11/54/372671154.db2.gz GECFKXUGGOQSIC-UHFFFAOYSA-N 0 0 280.324 2.670 20 5 CFBDRN Cc1cc(OCC(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000037976310 372743181 /nfs/dbraw/zinc/74/31/81/372743181.db2.gz BFRWTCQQOPPPLJ-UHFFFAOYSA-N 0 0 251.282 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCOC2)c2cccnc21 ZINC000471873197 245535012 /nfs/dbraw/zinc/53/50/12/245535012.db2.gz SDOZNTWWYSXHFR-JTQLQIEISA-N 0 0 274.276 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@H]2CCO)c(Cl)c1 ZINC000040419712 372781161 /nfs/dbraw/zinc/78/11/61/372781161.db2.gz DBOLKNXDLJOFGS-JTQLQIEISA-N 0 0 284.743 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSc2nncn2C2CC2)cc1 ZINC000042154924 372878648 /nfs/dbraw/zinc/87/86/48/372878648.db2.gz DCXZZSHVRDWTEB-UHFFFAOYSA-N 0 0 290.348 2.856 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C[C@H]1CCCO1 ZINC000042316414 372906381 /nfs/dbraw/zinc/90/63/81/372906381.db2.gz JNEKFACLFMPFFA-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN CN(CC1CC1)c1ncc(Br)cc1[N+](=O)[O-] ZINC000042388524 372918452 /nfs/dbraw/zinc/91/84/52/372918452.db2.gz HFFGLMPUYXRTEW-UHFFFAOYSA-N 0 0 286.129 2.599 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1C[C@H]1C ZINC000043148949 372979188 /nfs/dbraw/zinc/97/91/88/372979188.db2.gz KSGMKKSPWYISDS-NXEZZACHSA-N 0 0 263.297 2.681 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000289863908 197509513 /nfs/dbraw/zinc/50/95/13/197509513.db2.gz VWVPYVDUNHFCKL-ZCFIWIBFSA-N 0 0 277.202 2.667 20 5 CFBDRN COC(=O)[C@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])[C@@H]1C ZINC000432175108 284370199 /nfs/dbraw/zinc/37/01/99/284370199.db2.gz VUDOUYIHJWMWJW-BDAKNGLRSA-N 0 0 298.726 2.636 20 5 CFBDRN CC(=O)c1ccc(N2CCO[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000043425736 372991581 /nfs/dbraw/zinc/99/15/81/372991581.db2.gz TVBPCQLYQZAOEA-DZGCQCFKSA-N 0 0 290.319 2.555 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ncccc1[N+](=O)[O-] ZINC000048337300 373165513 /nfs/dbraw/zinc/16/55/13/373165513.db2.gz LSUUYJJFKRCNBY-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1cccc(O)c1 ZINC000048068896 373143346 /nfs/dbraw/zinc/14/33/46/373143346.db2.gz GMSXCUVNWSHXBO-UHFFFAOYSA-N 0 0 258.277 2.590 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCSCC1 ZINC000048562077 373200838 /nfs/dbraw/zinc/20/08/38/373200838.db2.gz XOKMGGOSERZBJT-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN O=C(NC[C@@H]1CCCCO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000049058341 373257080 /nfs/dbraw/zinc/25/70/80/373257080.db2.gz JZCHQCCYGNGOAS-JTQLQIEISA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H]2CCCC[C@@H]21 ZINC000049982372 373337373 /nfs/dbraw/zinc/33/73/73/373337373.db2.gz HIHWZBIZFLFTEB-MNOVXSKESA-N 0 0 278.308 2.983 20 5 CFBDRN CC(C)CCNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037363 373341587 /nfs/dbraw/zinc/34/15/87/373341587.db2.gz HZPJHYZPMSYIRY-UHFFFAOYSA-N 0 0 272.251 2.649 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037933 373342373 /nfs/dbraw/zinc/34/23/73/373342373.db2.gz MFLZHRRYEDRSPD-SECBINFHSA-N 0 0 296.273 2.959 20 5 CFBDRN CCc1coc(NC(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000571385819 383689636 /nfs/dbraw/zinc/68/96/36/383689636.db2.gz KUKISLXMPWIDQX-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Cc1nn(Cc2ccnc(Cl)c2)c(C)c1[N+](=O)[O-] ZINC000050165530 373362405 /nfs/dbraw/zinc/36/24/05/373362405.db2.gz WGTRNWPJNXPZMG-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@H]1CCOC1 ZINC000050352079 373372868 /nfs/dbraw/zinc/37/28/68/373372868.db2.gz ZTBUJLSPZPVEFM-MRVPVSSYSA-N 0 0 256.689 2.697 20 5 CFBDRN CCn1ccnc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000051921926 373418311 /nfs/dbraw/zinc/41/83/11/373418311.db2.gz UJQHDWPWVOXWBP-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 ZINC000053266877 373472606 /nfs/dbraw/zinc/47/26/06/373472606.db2.gz BXMKGMQIFZACQQ-UHFFFAOYSA-N 0 0 266.322 2.553 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000054125717 373499762 /nfs/dbraw/zinc/49/97/62/373499762.db2.gz DSYAQPBDWBFXNS-UWVGGRQHSA-N 0 0 280.299 2.852 20 5 CFBDRN Cc1noc(C)c1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000055466203 373554067 /nfs/dbraw/zinc/55/40/67/373554067.db2.gz CGCPGUOCILGFAW-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN O=C(c1ccsc1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057836825 373613792 /nfs/dbraw/zinc/61/37/92/373613792.db2.gz XTNQAVRQKXKDKH-UHFFFAOYSA-N 0 0 274.301 2.859 20 5 CFBDRN CCC1(C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)CC1 ZINC000062960882 373776884 /nfs/dbraw/zinc/77/68/84/373776884.db2.gz WYYXOJFREYFGHH-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN CN(CCc1ccc([N+](=O)[O-])cc1)Cc1cscn1 ZINC000063591857 373810856 /nfs/dbraw/zinc/81/08/56/373810856.db2.gz AWJYVUFVUKOZNL-UHFFFAOYSA-N 0 0 277.349 2.726 20 5 CFBDRN CCN(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000064907849 373839638 /nfs/dbraw/zinc/83/96/38/373839638.db2.gz HTIXFKVRYJZRBH-UHFFFAOYSA-N 0 0 291.332 2.810 20 5 CFBDRN O=C(CSc1ncccc1[N+](=O)[O-])NC1CCCCC1 ZINC000065693281 373898473 /nfs/dbraw/zinc/89/84/73/373898473.db2.gz BFFISJGCNHMSGG-UHFFFAOYSA-N 0 0 295.364 2.531 20 5 CFBDRN CSC[C@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000066757160 373949303 /nfs/dbraw/zinc/94/93/03/373949303.db2.gz YVUHPGNVKCTTNH-VIFPVBQESA-N 0 0 268.338 2.557 20 5 CFBDRN CN(C(=O)CSc1ccccc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000489375247 245943623 /nfs/dbraw/zinc/94/36/23/245943623.db2.gz GZRQIOGXCCXVIR-GFCCVEGCSA-N 0 0 294.376 2.944 20 5 CFBDRN CC(C)Cc1noc(COc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000067015220 373967575 /nfs/dbraw/zinc/96/75/75/373967575.db2.gz OWTGXBVBKDSWIH-UHFFFAOYSA-N 0 0 295.270 2.894 20 5 CFBDRN C[C@@H]1CCC[C@H](C)[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000247306036 189938166 /nfs/dbraw/zinc/93/81/66/189938166.db2.gz JDSXWMGHOGKRAN-MSRIBSCDSA-N 0 0 291.351 2.732 20 5 CFBDRN CC(C)CN(C)C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000070194148 374145297 /nfs/dbraw/zinc/14/52/97/374145297.db2.gz YTXYYSJXFIUWQO-UHFFFAOYSA-N 0 0 270.716 2.976 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000070360383 374170017 /nfs/dbraw/zinc/17/00/17/374170017.db2.gz MKRYHWZOUORHEY-DTWKUNHWSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000491113109 246042840 /nfs/dbraw/zinc/04/28/40/246042840.db2.gz ZNKLTKCGZHNKTK-ZYHUDNBSSA-N 0 0 291.351 2.928 20 5 CFBDRN Cc1ccc(CNC(=O)/C=C\c2ccccc2[N+](=O)[O-])cn1 ZINC000491120516 246044635 /nfs/dbraw/zinc/04/46/35/246044635.db2.gz QTKNKKLJGPKKEY-HJWRWDBZSA-N 0 0 297.314 2.628 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)CC[C@H]1C ZINC000072545271 374262144 /nfs/dbraw/zinc/26/21/44/374262144.db2.gz SBLIEXRZEKNZDL-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)(C)OCCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491335891 246061865 /nfs/dbraw/zinc/06/18/65/246061865.db2.gz RBKOUGIQDCJBNT-TWGQIWQCSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)(C)CNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491200279 246049158 /nfs/dbraw/zinc/04/91/58/246049158.db2.gz OUVIWLFGBFBAMX-FPLPWBNLSA-N 0 0 262.309 2.770 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000491924757 246124714 /nfs/dbraw/zinc/12/47/14/246124714.db2.gz KKKVHZXHFJCXQP-PKJDGDQJSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@H](C1CC1)N(C(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000073711674 374373863 /nfs/dbraw/zinc/37/38/63/374373863.db2.gz GRZYKOYVSPMDGJ-SNVBAGLBSA-N 0 0 274.320 2.998 20 5 CFBDRN COc1ccc(CNc2ncccc2[N+](=O)[O-])cc1F ZINC000073587713 374353457 /nfs/dbraw/zinc/35/34/57/374353457.db2.gz AWGQCWPHDNIZTE-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN C[C@H](NC(=O)N(C)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000073758030 374379657 /nfs/dbraw/zinc/37/96/57/374379657.db2.gz LQFOLLRAKCNOBM-JTQLQIEISA-N 0 0 277.324 2.850 20 5 CFBDRN CCOc1ccc(C(=O)N(C)[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000073786645 374384260 /nfs/dbraw/zinc/38/42/60/374384260.db2.gz VAZWYSDIBJXDDV-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc(CNC(=O)/C=C\C2CCC2)cc1[N+](=O)[O-] ZINC000492051953 246169260 /nfs/dbraw/zinc/16/92/60/246169260.db2.gz UCGRYPNDVHHNFN-VURMDHGXSA-N 0 0 290.319 2.576 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2ccsc2)ncc1[N+](=O)[O-] ZINC000074765141 374436577 /nfs/dbraw/zinc/43/65/77/374436577.db2.gz DSDPLEIFLRIGMO-LLVKDONJSA-N 0 0 279.321 2.505 20 5 CFBDRN CCCCN(CCOC)c1cc(C)c([N+](=O)[O-])cn1 ZINC000074749978 374436740 /nfs/dbraw/zinc/43/67/40/374436740.db2.gz JBVCEKPSCPQLDP-UHFFFAOYSA-N 0 0 267.329 2.551 20 5 CFBDRN O=C(/C=C/C1CCC1)NCc1ccccc1[N+](=O)[O-] ZINC000492067617 246175040 /nfs/dbraw/zinc/17/50/40/246175040.db2.gz IDOKTOHVEJDDOD-CMDGGOBGSA-N 0 0 260.293 2.567 20 5 CFBDRN Cc1cc(=O)[nH]cc1NC(=O)C=Cc1ccc([N+](=O)[O-])cc1 ZINC000492071928 246175363 /nfs/dbraw/zinc/17/53/63/246175363.db2.gz QVCIVZKJYXMLRV-QPJJXVBHSA-N 0 0 299.286 2.656 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000492087849 246180870 /nfs/dbraw/zinc/18/08/70/246180870.db2.gz SAEZPTCENXOVBK-OVFHYVDXSA-N 0 0 274.320 2.722 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000075277989 374471945 /nfs/dbraw/zinc/47/19/45/374471945.db2.gz PCJISIYANCFIRY-VXGBXAGGSA-N 0 0 276.336 2.784 20 5 CFBDRN COc1cc(C(=O)N2C[C@@H](C)CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000075291651 374475266 /nfs/dbraw/zinc/47/52/66/374475266.db2.gz PZBMTEWNTYRRGP-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCCCCC1 ZINC000135011194 296217420 /nfs/dbraw/zinc/21/74/20/296217420.db2.gz MCOXHYIRNQLEPA-UHFFFAOYSA-N 0 0 266.272 2.750 20 5 CFBDRN O=C([C@@H]1CCCCS1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000272977272 284467319 /nfs/dbraw/zinc/46/73/19/284467319.db2.gz PJFXGVVKJKUASN-ZDUSSCGKSA-N 0 0 292.360 2.770 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000492366944 246269974 /nfs/dbraw/zinc/26/99/74/246269974.db2.gz OOIDVJHXZLAJAQ-SYTKJHMZSA-N 0 0 297.314 2.880 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000492297250 246250462 /nfs/dbraw/zinc/25/04/62/246250462.db2.gz RGSBYQHENDJHAW-PSKZRQQASA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1ccc(/C=C\C(=O)N(C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000492413437 246283854 /nfs/dbraw/zinc/28/38/54/246283854.db2.gz HEOFXRNNSKFBTK-HJWRWDBZSA-N 0 0 297.314 2.974 20 5 CFBDRN COc1ccc(/C=C\C(=O)Nc2ccc([N+](=O)[O-])cc2)nc1 ZINC000492422772 246287704 /nfs/dbraw/zinc/28/77/04/246287704.db2.gz BWUNJVQBYATIEJ-UITAMQMPSA-N 0 0 299.286 2.650 20 5 CFBDRN Cc1ccc(/C=C/C(=O)NCc2cccc([N+](=O)[O-])c2)cn1 ZINC000492469361 246301389 /nfs/dbraw/zinc/30/13/89/246301389.db2.gz GAEDLMOXZPNXGF-BQYQJAHWSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C\c1ccncn1 ZINC000492500106 246312371 /nfs/dbraw/zinc/31/23/71/246312371.db2.gz HRYMEGDCERSPKX-ARJAWSKDSA-N 0 0 298.302 2.654 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1C=CCC1 ZINC000078955890 374576831 /nfs/dbraw/zinc/57/68/31/374576831.db2.gz ZWERXFSOUMQWKS-JTQLQIEISA-N 0 0 276.292 2.898 20 5 CFBDRN CCOC(C)(C)CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492734188 246371973 /nfs/dbraw/zinc/37/19/73/246371973.db2.gz JORYNDRIUZFJLQ-YFHOEESVSA-N 0 0 292.335 2.539 20 5 CFBDRN CCOC(C)(C)CNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492760327 246378316 /nfs/dbraw/zinc/37/83/16/246378316.db2.gz JGQDXHIRMBTJIB-HJWRWDBZSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000080544353 374660642 /nfs/dbraw/zinc/66/06/42/374660642.db2.gz ULYXNYOPQOZQGM-GFCCVEGCSA-N 0 0 250.298 2.518 20 5 CFBDRN CC[C@@](C)(CO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000080882337 374675533 /nfs/dbraw/zinc/67/55/33/374675533.db2.gz ITTDZSCTXFMPBS-NSHDSACASA-N 0 0 258.705 2.821 20 5 CFBDRN CCc1ccc(C(=O)N(CC)CC)cc1[N+](=O)[O-] ZINC000081809471 374716808 /nfs/dbraw/zinc/71/68/08/374716808.db2.gz KZKRZEUSWRXOBK-UHFFFAOYSA-N 0 0 250.298 2.639 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2CCC2)c(Br)c1 ZINC000081940993 374724463 /nfs/dbraw/zinc/72/44/63/374724463.db2.gz KUBVBDIIZAJGCZ-UHFFFAOYSA-N 0 0 286.129 2.964 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000087408129 374820121 /nfs/dbraw/zinc/82/01/21/374820121.db2.gz KXUVLBPQYRVYCU-VIFPVBQESA-N 0 0 260.297 2.810 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000084201823 374768578 /nfs/dbraw/zinc/76/85/78/374768578.db2.gz PVKCJMHISBDWJO-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)[C@@H]2CCCO2)c1 ZINC000084726281 374787209 /nfs/dbraw/zinc/78/72/09/374787209.db2.gz QXUPUFSYNFTICQ-MFKMUULPSA-N 0 0 250.298 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@H]2O)c2ccncc21 ZINC000089167522 374882787 /nfs/dbraw/zinc/88/27/87/374882787.db2.gz ZJDMQQDLBVCHKP-MEBBXXQBSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@@H]2O)c2ccncc21 ZINC000089167519 374882890 /nfs/dbraw/zinc/88/28/90/374882890.db2.gz ZJDMQQDLBVCHKP-BONVTDFDSA-N 0 0 287.319 2.716 20 5 CFBDRN O=C([C@@H]1CCSC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000336059967 284497170 /nfs/dbraw/zinc/49/71/70/284497170.db2.gz UKORXAHGNJVNFB-LLVKDONJSA-N 0 0 292.360 2.627 20 5 CFBDRN O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C1CCCC1 ZINC000089420995 374903314 /nfs/dbraw/zinc/90/33/14/374903314.db2.gz OSCCGSUSQIXZFQ-UHFFFAOYSA-N 0 0 274.280 2.600 20 5 CFBDRN CC(C)n1cncc1CNCc1ccccc1[N+](=O)[O-] ZINC000090208968 375004661 /nfs/dbraw/zinc/00/46/61/375004661.db2.gz ZMCSGAUFMMIWNN-UHFFFAOYSA-N 0 0 274.324 2.662 20 5 CFBDRN C[C@H](CCCC(F)(F)F)Nc1ncc([N+](=O)[O-])cn1 ZINC000493602239 246641343 /nfs/dbraw/zinc/64/13/43/246641343.db2.gz GGKVNKWMYDNZIB-SSDOTTSWSA-N 0 0 278.234 2.918 20 5 CFBDRN Cc1cc(N[C@@H]2CCCC[C@@H]2CO)ccc1[N+](=O)[O-] ZINC000092656465 375135447 /nfs/dbraw/zinc/13/54/47/375135447.db2.gz CKVCJGABMBBGLE-DGCLKSJQSA-N 0 0 264.325 2.866 20 5 CFBDRN CCN(Cc1cnn(C)c1)Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000092437793 375112574 /nfs/dbraw/zinc/11/25/74/375112574.db2.gz YKUNLSWNJDPSRS-UHFFFAOYSA-N 0 0 288.351 2.659 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCSC[C@@H]1C1CCC1 ZINC000334867023 296227651 /nfs/dbraw/zinc/22/76/51/296227651.db2.gz XVUYEYWHPCEZHA-SNVBAGLBSA-N 0 0 296.348 2.546 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cnn(C)c1 ZINC000093945150 375332252 /nfs/dbraw/zinc/33/22/52/375332252.db2.gz OSMFLMVALSTDTL-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CC[C@H](CCO)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000094957312 375398678 /nfs/dbraw/zinc/39/86/78/375398678.db2.gz FIWBSQYAKMXMNN-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(C)nc1 ZINC000495176946 246860735 /nfs/dbraw/zinc/86/07/35/246860735.db2.gz UXHFHYALKADBSA-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1n[nH]cc1CCCNc1cc(C)ccc1[N+](=O)[O-] ZINC000094659607 375372680 /nfs/dbraw/zinc/37/26/80/375372680.db2.gz JOMFUXNLVTYMLI-UHFFFAOYSA-N 0 0 274.324 2.979 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCCOC2)c1 ZINC000094659449 375372901 /nfs/dbraw/zinc/37/29/01/375372901.db2.gz QZERFYKKWXPIKA-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1noc(C)c1CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000094772071 375377355 /nfs/dbraw/zinc/37/73/55/375377355.db2.gz KYIJTHNLPJJJPY-UHFFFAOYSA-N 0 0 287.279 2.688 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCCc3nccs3)nc2c1 ZINC000094771911 375377898 /nfs/dbraw/zinc/37/78/98/375377898.db2.gz LNRWQDHPWOVQGK-UHFFFAOYSA-N 0 0 289.320 2.582 20 5 CFBDRN Cc1ccc(NC[C@]2(C)CCCO2)c([N+](=O)[O-])c1 ZINC000094804761 375380778 /nfs/dbraw/zinc/38/07/78/375380778.db2.gz OMXRRAAELLVGCU-ZDUSSCGKSA-N 0 0 250.298 2.884 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000094804673 375381036 /nfs/dbraw/zinc/38/10/36/375381036.db2.gz CSEPVPNPBDWONS-GFCCVEGCSA-N 0 0 254.261 2.715 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2CCC(C)CC2)n1 ZINC000097030076 375496421 /nfs/dbraw/zinc/49/64/21/375496421.db2.gz XJNAUXRXQATZLO-UHFFFAOYSA-N 0 0 293.323 2.767 20 5 CFBDRN CCOc1cccc(NC2CCC(O)CC2)c1[N+](=O)[O-] ZINC000097140806 375528558 /nfs/dbraw/zinc/52/85/58/375528558.db2.gz NAJBUSMSHHAERI-UHFFFAOYSA-N 0 0 280.324 2.709 20 5 CFBDRN O=C1COc2cc([N+](=O)[O-])c(NCc3ccccc3)cc2N1 ZINC000097335861 375550335 /nfs/dbraw/zinc/55/03/35/375550335.db2.gz SQLPJRSGYLAFMP-UHFFFAOYSA-N 0 0 299.286 2.538 20 5 CFBDRN C[C@H](CCO)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000097442870 375561239 /nfs/dbraw/zinc/56/12/39/375561239.db2.gz RTHZCKNJILPDLJ-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNc1ncccc1[N+](=O)[O-] ZINC000097596940 375569887 /nfs/dbraw/zinc/56/98/87/375569887.db2.gz AYCPGSFXDPRHSS-CYBMUJFWSA-N 0 0 267.329 2.589 20 5 CFBDRN CN(Cc1cccnc1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000097631719 375574740 /nfs/dbraw/zinc/57/47/40/375574740.db2.gz XDTAWGQYNRLXFR-RMKNXTFCSA-N 0 0 297.314 2.662 20 5 CFBDRN CCCCCN(C(=O)CCn1cc([N+](=O)[O-])cn1)C(C)C ZINC000098100737 375602123 /nfs/dbraw/zinc/60/21/23/375602123.db2.gz WYOXHWQXJXAJDQ-UHFFFAOYSA-N 0 0 296.371 2.609 20 5 CFBDRN C[C@@H]1CC[N@H+](CCOc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000501791718 247107725 /nfs/dbraw/zinc/10/77/25/247107725.db2.gz BPKJAHWXCMDABL-CHWSQXEVSA-N 0 0 278.352 2.952 20 5 CFBDRN C[C@H](F)CCn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O ZINC000505516079 247141233 /nfs/dbraw/zinc/14/12/33/247141233.db2.gz XSZCLVSPEFVXNS-JTQLQIEISA-N 0 0 291.282 2.567 20 5 CFBDRN CCOC1CC(COc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000505558782 247141353 /nfs/dbraw/zinc/14/13/53/247141353.db2.gz GFRXXDNLDJNVOU-UHFFFAOYSA-N 0 0 269.272 2.928 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCC[C@@H](C)F)c1 ZINC000505659926 247147131 /nfs/dbraw/zinc/14/71/31/247147131.db2.gz KPBJDPULRZQUSR-MRVPVSSYSA-N 0 0 271.244 2.508 20 5 CFBDRN Cc1c(CNC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000506179514 247156630 /nfs/dbraw/zinc/15/66/30/247156630.db2.gz OYTCOYQGQYWNEF-YWVIFJGQSA-N 0 0 288.347 2.956 20 5 CFBDRN CCCCN(CC)C(=O)COc1ccc(F)cc1[N+](=O)[O-] ZINC000102599810 375682112 /nfs/dbraw/zinc/68/21/12/375682112.db2.gz BGYAHRHFPUNWFA-UHFFFAOYSA-N 0 0 298.314 2.761 20 5 CFBDRN O=C(COc1ccc(F)cc1[N+](=O)[O-])Nc1ccccc1 ZINC000102599867 375682313 /nfs/dbraw/zinc/68/23/13/375682313.db2.gz XPSIBLOHOMLSDM-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN COc1cc([N+](=O)[O-])c(C(=O)N(C)C(C)C)cc1SC ZINC000102983323 375706910 /nfs/dbraw/zinc/70/69/10/375706910.db2.gz UBHABFSPFFLHCV-UHFFFAOYSA-N 0 0 298.364 2.806 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCc3c(cnn3C)C2)c1 ZINC000571621563 383709722 /nfs/dbraw/zinc/70/97/22/383709722.db2.gz FWJGAPNNFLJAIG-UHFFFAOYSA-N 0 0 286.335 2.590 20 5 CFBDRN CN(CC1CCOCC1)c1cccc(F)c1[N+](=O)[O-] ZINC000104186556 375771339 /nfs/dbraw/zinc/77/13/39/375771339.db2.gz RBTWEFSXUZBNEV-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN CCCCN(CCO)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000104306086 375778313 /nfs/dbraw/zinc/77/83/13/375778313.db2.gz DETJHIDNGGTUSD-UHFFFAOYSA-N 0 0 286.759 2.843 20 5 CFBDRN COc1ccc(-c2noc(-c3ccc([N+](=O)[O-])cc3)n2)cn1 ZINC000109592035 375824433 /nfs/dbraw/zinc/82/44/33/375824433.db2.gz XFYOWPPIVXSCTD-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1(O)CCCCC1 ZINC000111355907 375838730 /nfs/dbraw/zinc/83/87/30/375838730.db2.gz DJEOIEGKGHZXEB-UHFFFAOYSA-N 0 0 250.298 2.702 20 5 CFBDRN CCN(CC1CC1)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000112214093 375893193 /nfs/dbraw/zinc/89/31/93/375893193.db2.gz ZIFXIVBDFDQLEX-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000112713211 375952330 /nfs/dbraw/zinc/95/23/30/375952330.db2.gz OKVCDGQJOHOWPA-SECBINFHSA-N 0 0 263.297 2.905 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000112717737 375955180 /nfs/dbraw/zinc/95/51/80/375955180.db2.gz LSDGVOMIFHXQEH-NSHDSACASA-N 0 0 291.351 2.625 20 5 CFBDRN CCCCCN(CCO)Cc1csc([N+](=O)[O-])c1 ZINC000112411882 375918961 /nfs/dbraw/zinc/91/89/61/375918961.db2.gz OUAPMFZVYHJAFK-UHFFFAOYSA-N 0 0 272.370 2.641 20 5 CFBDRN CC1(C)CCCN(CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000112702006 375949221 /nfs/dbraw/zinc/94/92/21/375949221.db2.gz NOMVZCDNXHKKQX-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H](C)Cn1cccn1 ZINC000113648105 376027034 /nfs/dbraw/zinc/02/70/34/376027034.db2.gz MOTPYCYCULCWIO-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN COC(=O)C[C@@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000115188869 376113197 /nfs/dbraw/zinc/11/31/97/376113197.db2.gz OGMPFZWTEAYYJK-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN COC(=O)C[C@@H](C)SCCOc1ccccc1[N+](=O)[O-] ZINC000115191913 376114699 /nfs/dbraw/zinc/11/46/99/376114699.db2.gz AXYZCOWHIIWVGJ-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN Cc1ccc(CCNC(=O)Cc2ccccc2[N+](=O)[O-])cc1 ZINC000116205861 376170796 /nfs/dbraw/zinc/17/07/96/376170796.db2.gz AULBEDHOXXVTQI-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC1CCOCC1 ZINC000117124602 376220927 /nfs/dbraw/zinc/22/09/27/376220927.db2.gz TUCLDCZGCXPCIO-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000119037978 376340307 /nfs/dbraw/zinc/34/03/07/376340307.db2.gz UWONACVGTATWOR-SMDDNHRTSA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1c(C(=O)N2CCS[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000118293298 376286670 /nfs/dbraw/zinc/28/66/70/376286670.db2.gz QIYYGEKFCCCQIZ-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1Cc1csc([N+](=O)[O-])c1 ZINC000118418675 376296189 /nfs/dbraw/zinc/29/61/89/376296189.db2.gz ROQQMPKUJKCLLY-BDAKNGLRSA-N 0 0 272.395 2.982 20 5 CFBDRN CCCN(C)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000119474501 376421050 /nfs/dbraw/zinc/42/10/50/376421050.db2.gz NAOZUVSCDUKYLS-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN CC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000119572814 376438688 /nfs/dbraw/zinc/43/86/88/376438688.db2.gz CJBKGTOYSYIYMF-SECBINFHSA-N 0 0 273.292 2.671 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@]1(C)CCCO1 ZINC000119630860 376445832 /nfs/dbraw/zinc/44/58/32/376445832.db2.gz IUFGBVNCPGZUHV-CQSZACIVSA-N 0 0 278.308 2.719 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1nc2cc(F)ccc2o1 ZINC000120082514 376543941 /nfs/dbraw/zinc/54/39/41/376543941.db2.gz ZLKFIRPLUGTPHO-UHFFFAOYSA-N 0 0 289.222 2.849 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000120089068 376544970 /nfs/dbraw/zinc/54/49/70/376544970.db2.gz WCNZCSVYMDKRSC-NEPJUHHUSA-N 0 0 276.336 2.784 20 5 CFBDRN CN(CCC1CC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000120287026 376586245 /nfs/dbraw/zinc/58/62/45/376586245.db2.gz JKSLNVLJUBIFBW-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN CC1(CNC(=O)NCCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000120485917 376624974 /nfs/dbraw/zinc/62/49/74/376624974.db2.gz KBUFTUVYSLVTJO-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN CC(C)(O)CCn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000120663080 376663383 /nfs/dbraw/zinc/66/33/83/376663383.db2.gz PELZXUMNCZRXBP-UHFFFAOYSA-N 0 0 283.715 2.759 20 5 CFBDRN CCc1noc(C)c1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000120811856 376698973 /nfs/dbraw/zinc/69/89/73/376698973.db2.gz KOLDRDHLWAXCDT-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000121434646 376831914 /nfs/dbraw/zinc/83/19/14/376831914.db2.gz SLCXRKKGHKRGMD-LBPRGKRZSA-N 0 0 293.367 2.871 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C ZINC000121560917 376859257 /nfs/dbraw/zinc/85/92/57/376859257.db2.gz NRDHMJCAEDHXJL-SSDOTTSWSA-N 0 0 295.295 2.648 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000121700352 376886525 /nfs/dbraw/zinc/88/65/25/376886525.db2.gz XSZQJBUTZPFVKF-UHFFFAOYSA-N 0 0 296.277 2.835 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000123719613 376971509 /nfs/dbraw/zinc/97/15/09/376971509.db2.gz ZMRZOAFJJKZEKJ-ZFWWWQNUSA-N 0 0 276.336 2.690 20 5 CFBDRN COc1ccc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)cn1 ZINC000121835099 376917600 /nfs/dbraw/zinc/91/76/00/376917600.db2.gz WXPHBDOUJLBZLU-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N[C@H]1CCc2ccccc21 ZINC000124693100 377076827 /nfs/dbraw/zinc/07/68/27/377076827.db2.gz DUBXELLLZOFVLI-LBPRGKRZSA-N 0 0 298.302 2.799 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCCn1cccn1 ZINC000127355574 377277866 /nfs/dbraw/zinc/27/78/66/377277866.db2.gz XWYPEQNROQGBJH-UHFFFAOYSA-N 0 0 280.715 2.947 20 5 CFBDRN CC(C)OC(=O)CSCc1ccccc1[N+](=O)[O-] ZINC000127699721 377298211 /nfs/dbraw/zinc/29/82/11/377298211.db2.gz MOOAZSJAHYSRMW-UHFFFAOYSA-N 0 0 269.322 2.780 20 5 CFBDRN O=C(N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12)c1cccc([N+](=O)[O-])c1 ZINC000127764782 377302244 /nfs/dbraw/zinc/30/22/44/377302244.db2.gz OQSYAKHFTSVLFJ-ARFHVFGLSA-N 0 0 294.310 2.663 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000129135163 377390481 /nfs/dbraw/zinc/39/04/81/377390481.db2.gz HCEOYQLYSMDBCP-SECBINFHSA-N 0 0 286.353 2.591 20 5 CFBDRN CCCC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000128579491 377346172 /nfs/dbraw/zinc/34/61/72/377346172.db2.gz BJQQZXYAVGHTQH-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000129455845 377428922 /nfs/dbraw/zinc/42/89/22/377428922.db2.gz PLHASXQKUQODDP-NWDGAFQWSA-N 0 0 291.351 2.997 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCc1nccs1 ZINC000129785242 377472292 /nfs/dbraw/zinc/47/22/92/377472292.db2.gz YGQMCLDQLFXHAD-UHFFFAOYSA-N 0 0 284.728 2.754 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000130351376 377542545 /nfs/dbraw/zinc/54/25/45/377542545.db2.gz HZTAIESJHGAVSM-VIFPVBQESA-N 0 0 268.338 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Sc2ccc(CO)cc2)n1 ZINC000130309789 377537213 /nfs/dbraw/zinc/53/72/13/377537213.db2.gz ZFLIJPSBPSPBHK-UHFFFAOYSA-N 0 0 276.317 2.942 20 5 CFBDRN C[C@H](CCCO)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130402265 377552146 /nfs/dbraw/zinc/55/21/46/377552146.db2.gz BZYUTGRDYDBAHF-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1n[nH]cc1CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130362039 377545017 /nfs/dbraw/zinc/54/50/17/377545017.db2.gz FKOABVPGABWLRF-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@H]1CCCOC1 ZINC000130362870 377545109 /nfs/dbraw/zinc/54/51/09/377545109.db2.gz WXBATUGYFXESFA-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1ccc(CN2CCOCC2(C)C)cc1[N+](=O)[O-] ZINC000130873875 377625323 /nfs/dbraw/zinc/62/53/23/377625323.db2.gz YRHIDQLHRFNTGR-UHFFFAOYSA-N 0 0 264.325 2.514 20 5 CFBDRN CCOC(=O)[C@@H](C)SCc1ccc([N+](=O)[O-])cc1 ZINC000131077118 377654322 /nfs/dbraw/zinc/65/43/22/377654322.db2.gz NGLLUGSWESIQPQ-SECBINFHSA-N 0 0 269.322 2.780 20 5 CFBDRN C[C@H](NC(=O)c1[nH]ncc1[N+](=O)[O-])[C@@H](C)C1CCCCC1 ZINC000131235807 377679276 /nfs/dbraw/zinc/67/92/76/377679276.db2.gz SLAILDBKPOWGHO-ZJUUUORDSA-N 0 0 294.355 2.653 20 5 CFBDRN CCC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000131251299 377681762 /nfs/dbraw/zinc/68/17/62/377681762.db2.gz JAGRLZILTBQITN-AWEZNQCLSA-N 0 0 264.325 2.596 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccnn1C(C)C ZINC000131443184 377713642 /nfs/dbraw/zinc/71/36/42/377713642.db2.gz RXIZXZDKSXNZFE-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CCC[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)CCO1 ZINC000131419703 377709193 /nfs/dbraw/zinc/70/91/93/377709193.db2.gz KZOCYLFORCWQOM-LLVKDONJSA-N 0 0 270.354 2.657 20 5 CFBDRN CO[C@H](C)c1noc(COc2ccc([N+](=O)[O-])cc2C)n1 ZINC000131421731 377709431 /nfs/dbraw/zinc/70/94/31/377709431.db2.gz WHPAEPMPGMHRGC-SECBINFHSA-N 0 0 293.279 2.573 20 5 CFBDRN CSC[C@H](C)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000131923766 377779069 /nfs/dbraw/zinc/77/90/69/377779069.db2.gz YQUPTLLZPJPGKR-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN Cc1ccc(OCC(=O)NC2CCCCC2)cc1[N+](=O)[O-] ZINC000131673264 377744145 /nfs/dbraw/zinc/74/41/45/377744145.db2.gz NFKQMRZFZSHCSO-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCCC[C@H]2C)cc1[N+](=O)[O-] ZINC000131740373 377754095 /nfs/dbraw/zinc/75/40/95/377754095.db2.gz QDIYLXPABBVSOH-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@@H]1C ZINC000131850222 377766704 /nfs/dbraw/zinc/76/67/04/377766704.db2.gz MFZGHGWTIDVTQK-MNOVXSKESA-N 0 0 262.309 2.713 20 5 CFBDRN COc1cc(NCC2(CCO)CCC2)c([N+](=O)[O-])cc1F ZINC000132673746 377865281 /nfs/dbraw/zinc/86/52/81/377865281.db2.gz ZZQURYKBUCMJMR-UHFFFAOYSA-N 0 0 298.314 2.707 20 5 CFBDRN Cc1nc(N[C@@H](C)c2ccccn2)ccc1[N+](=O)[O-] ZINC000132541825 377851489 /nfs/dbraw/zinc/85/14/89/377851489.db2.gz CTCXMVCKYHMUMB-VIFPVBQESA-N 0 0 258.281 2.866 20 5 CFBDRN COc1cc(C(=O)N2CCCCCC2)ccc1[N+](=O)[O-] ZINC000132644700 377861202 /nfs/dbraw/zinc/86/12/02/377861202.db2.gz RCEWINIMCZEIIM-UHFFFAOYSA-N 0 0 278.308 2.620 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cccc(S(C)(=O)=O)c2[N+](=O)[O-])C1 ZINC000133395011 377925870 /nfs/dbraw/zinc/92/58/70/377925870.db2.gz BVPVLZXZSCDMJH-ZJUUUORDSA-N 0 0 298.364 2.599 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H](Oc2ccncc2)C1 ZINC000133770722 377955952 /nfs/dbraw/zinc/95/59/52/377955952.db2.gz VXFNDLCEXIVMRE-AWEZNQCLSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1c(OC(=O)c2ccnn2C(C)C)cccc1[N+](=O)[O-] ZINC000133612246 377943579 /nfs/dbraw/zinc/94/35/79/377943579.db2.gz IEMKZGIBPSOFCI-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN Cc1ccnc(Nc2cccc(-n3ccnn3)c2)c1[N+](=O)[O-] ZINC000133731264 377952898 /nfs/dbraw/zinc/95/28/98/377952898.db2.gz CLUJBEXDMYZLLE-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN CC[C@@H](C)C(=O)COc1ccc([N+](=O)[O-])cc1F ZINC000133105881 377904811 /nfs/dbraw/zinc/90/48/11/377904811.db2.gz KIIMIRBOPZSVPB-MRVPVSSYSA-N 0 0 255.245 2.728 20 5 CFBDRN CSCC[C@H](C)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000134402153 378010349 /nfs/dbraw/zinc/01/03/49/378010349.db2.gz NOHWNXHULUQJDW-NSHDSACASA-N 0 0 296.392 2.737 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000133937392 377970080 /nfs/dbraw/zinc/97/00/80/377970080.db2.gz SHRSXDNMAGHRHK-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000133960760 377971109 /nfs/dbraw/zinc/97/11/09/377971109.db2.gz TZRZQJKHKIUWOL-NOZJJQNGSA-N 0 0 263.297 2.673 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])C(C)(C)C ZINC000133962121 377971255 /nfs/dbraw/zinc/97/12/55/377971255.db2.gz XFEFLSTXEALBAR-LBPRGKRZSA-N 0 0 298.314 2.525 20 5 CFBDRN O=C(NC1CCCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134025727 377976426 /nfs/dbraw/zinc/97/64/26/377976426.db2.gz CPIKZSXRDHWMRI-UHFFFAOYSA-N 0 0 270.235 2.545 20 5 CFBDRN CC(C)CN(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134052091 377979766 /nfs/dbraw/zinc/97/97/66/377979766.db2.gz HVNCXCYJXZSLME-UHFFFAOYSA-N 0 0 272.251 2.601 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCCCCCO ZINC000134574860 378033088 /nfs/dbraw/zinc/03/30/88/378033088.db2.gz MQAHCQGYZDVBIO-UHFFFAOYSA-N 0 0 269.297 2.535 20 5 CFBDRN CCC[C@H]([NH2+][C@@H]1C=C[C@H](CO)C1)c1cccc([N+](=O)[O-])c1 ZINC000134631496 378039357 /nfs/dbraw/zinc/03/93/57/378039357.db2.gz AZJUDPOLOWXIPC-BJJXKVORSA-N 0 0 290.363 2.963 20 5 CFBDRN CSCCCCNc1ncc([N+](=O)[O-])cc1C ZINC000134829105 378052083 /nfs/dbraw/zinc/05/20/83/378052083.db2.gz ORFJHDQSBKJVKX-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN COCCOC(=O)CS[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000278272711 193891955 /nfs/dbraw/zinc/89/19/55/193891955.db2.gz FEQKOGMMOIJFTA-SNVBAGLBSA-N 0 0 299.348 2.579 20 5 CFBDRN CCOc1cccc(N[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000134918995 378064088 /nfs/dbraw/zinc/06/40/88/378064088.db2.gz DUVHQOFEQLXATG-SNVBAGLBSA-N 0 0 266.297 2.584 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CCC[C@H]2O)c1[N+](=O)[O-] ZINC000134925068 378065412 /nfs/dbraw/zinc/06/54/12/378065412.db2.gz BPLIXGPTJRCHAR-CMPLNLGQSA-N 0 0 280.324 2.566 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)C1CCCC1 ZINC000135029299 378076258 /nfs/dbraw/zinc/07/62/58/378076258.db2.gz PNDJGCZPDXIIIV-UHFFFAOYSA-N 0 0 267.256 2.872 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@@H](CO)C3CC3)ccnc21 ZINC000432150949 383726155 /nfs/dbraw/zinc/72/61/55/383726155.db2.gz PITYWDZRXZFTOH-NSHDSACASA-N 0 0 287.319 2.573 20 5 CFBDRN CCOc1cc(N[C@H](C)C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000136366444 378198181 /nfs/dbraw/zinc/19/81/81/378198181.db2.gz NJJVPPNHKYDUKA-NXEZZACHSA-N 0 0 268.313 2.565 20 5 CFBDRN CC(C)[C@@H]1CC[C@H](C)C[C@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000136606320 378204368 /nfs/dbraw/zinc/20/43/68/378204368.db2.gz AUIVSKMQOKFYRT-GARJFASQSA-N 0 0 294.355 2.509 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1OCCc2ccccc21 ZINC000136771811 378209448 /nfs/dbraw/zinc/20/94/48/378209448.db2.gz YCKFFNVCGXMQEL-OAHLLOKOSA-N 0 0 298.298 2.847 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000149989052 378267119 /nfs/dbraw/zinc/26/71/19/378267119.db2.gz SRQPDKRKHIQURO-CABZTGNLSA-N 0 0 273.292 2.732 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1C[C@H]2CCC[C@@H]2C1 ZINC000151096268 378293212 /nfs/dbraw/zinc/29/32/12/378293212.db2.gz JERMOSOQNHRDID-NXEZZACHSA-N 0 0 278.283 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CCO[C@@H]1C ZINC000137797130 378229785 /nfs/dbraw/zinc/22/97/85/378229785.db2.gz MBCOIAWVTGKNSO-GHMZBOCLSA-N 0 0 293.323 2.511 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ncc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000138903664 378233685 /nfs/dbraw/zinc/23/36/85/378233685.db2.gz JHJAGCSWOBAQJU-ZJUUUORDSA-N 0 0 260.297 2.730 20 5 CFBDRN Cc1ncc(Cl)c(C(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000151546397 378336126 /nfs/dbraw/zinc/33/61/26/378336126.db2.gz SSVVHHQERWBHPO-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H]2OCCC[C@@H]2C1 ZINC000152358738 378411094 /nfs/dbraw/zinc/41/10/94/378411094.db2.gz ILVRAUJRMQOWRY-RISCZKNCSA-N 0 0 262.309 2.600 20 5 CFBDRN CSCCN(C)C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000152367513 378411548 /nfs/dbraw/zinc/41/15/48/378411548.db2.gz ZVWRZXAYZYTWHV-UHFFFAOYSA-N 0 0 288.756 2.683 20 5 CFBDRN O=C(CC1CC1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152436112 378415576 /nfs/dbraw/zinc/41/55/76/378415576.db2.gz ZEDYTBPECHFHNJ-UHFFFAOYSA-N 0 0 274.320 2.886 20 5 CFBDRN CC(C)OC(=O)CSc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153836111 378515375 /nfs/dbraw/zinc/51/53/75/378515375.db2.gz LKHFJCCDPAKAAB-UHFFFAOYSA-N 0 0 290.728 2.687 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)C[C@H]1CCCO1 ZINC000330431007 378553640 /nfs/dbraw/zinc/55/36/40/378553640.db2.gz JGHKOVWLKSCLMX-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN CC1(C)CC[NH+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000330434794 378555048 /nfs/dbraw/zinc/55/50/48/378555048.db2.gz QBCRWTJOMXGPNT-UHFFFAOYSA-N 0 0 264.325 2.922 20 5 CFBDRN Cc1n[nH]cc1CN(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000154324671 378557734 /nfs/dbraw/zinc/55/77/34/378557734.db2.gz IELTWPXUZVFKPM-UHFFFAOYSA-N 0 0 286.335 2.791 20 5 CFBDRN CCN(CC1CC1)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000154429413 378565458 /nfs/dbraw/zinc/56/54/58/378565458.db2.gz JPJQQBIIFLPXOR-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCC[C@@H]1CCCC[C@H]1O ZINC000154455471 378568365 /nfs/dbraw/zinc/56/83/65/378568365.db2.gz NFCQRMBICDOIPI-WCQYABFASA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCC(=O)[C@@H](C)C1 ZINC000330522919 378577267 /nfs/dbraw/zinc/57/72/67/378577267.db2.gz MRADJIRUYMKWIE-QWRGUYRKSA-N 0 0 290.319 2.847 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1cccc([N+](=O)[O-])c1C ZINC000330537346 378580874 /nfs/dbraw/zinc/58/08/74/378580874.db2.gz WGLHSZOSXMFCCU-OAHLLOKOSA-N 0 0 278.352 2.904 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CCC(F)(F)C1 ZINC000331072894 378627054 /nfs/dbraw/zinc/62/70/54/378627054.db2.gz FLSBRDBXIYYAIH-JTQLQIEISA-N 0 0 298.289 2.989 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000331117990 378635685 /nfs/dbraw/zinc/63/56/85/378635685.db2.gz NAGDTNRBVFOBJS-YGRLFVJLSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000331119253 378636024 /nfs/dbraw/zinc/63/60/24/378636024.db2.gz OTJVLGMMTJSCEI-NEPJUHHUSA-N 0 0 292.335 2.507 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000331117988 378636084 /nfs/dbraw/zinc/63/60/84/378636084.db2.gz NAGDTNRBVFOBJS-IINYFYTJSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CC[C@H](C)[C@H]1C ZINC000331122036 378636276 /nfs/dbraw/zinc/63/62/76/378636276.db2.gz PCTPYDFQRPFZLO-CMPLNLGQSA-N 0 0 291.351 2.572 20 5 CFBDRN CC(C)C[C@H]1COCCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000330711698 378596291 /nfs/dbraw/zinc/59/62/91/378596291.db2.gz QEWJLJFFGUBPHM-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCOC(C)(C)[C@@H]1C ZINC000331046273 378620721 /nfs/dbraw/zinc/62/07/21/378620721.db2.gz GNUUQIWEQBSOOO-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000331066111 378625428 /nfs/dbraw/zinc/62/54/28/378625428.db2.gz PYNPKGWCRCNXEW-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CO[C@H](C)C1 ZINC000331257712 378659897 /nfs/dbraw/zinc/65/98/97/378659897.db2.gz DIBCXLXDNUJVCH-MNOVXSKESA-N 0 0 278.308 2.575 20 5 CFBDRN CCCN(C(=O)[C@@H]1CO[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000331261354 378660207 /nfs/dbraw/zinc/66/02/07/378660207.db2.gz CAOHLZDFJGXBQJ-NEPJUHHUSA-N 0 0 292.335 2.763 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@H]2C)c([N+](=O)[O-])cc1OC ZINC000159408120 378706700 /nfs/dbraw/zinc/70/67/00/378706700.db2.gz ALTUGZWNDOYDCJ-BDAKNGLRSA-N 0 0 266.297 2.680 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@@H]2C)c([N+](=O)[O-])cc1OC ZINC000159408257 378707709 /nfs/dbraw/zinc/70/77/09/378707709.db2.gz ALTUGZWNDOYDCJ-IUCAKERBSA-N 0 0 266.297 2.680 20 5 CFBDRN Cc1c(NC(=O)N(C)[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000159470664 378710300 /nfs/dbraw/zinc/71/03/00/378710300.db2.gz GUWWPGJTHDASRN-SNVBAGLBSA-N 0 0 295.364 2.872 20 5 CFBDRN Cc1c(NC(=O)N(C)[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000159470814 378710841 /nfs/dbraw/zinc/71/08/41/378710841.db2.gz GUWWPGJTHDASRN-JTQLQIEISA-N 0 0 295.364 2.872 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000331745900 378714346 /nfs/dbraw/zinc/71/43/46/378714346.db2.gz YTSCKOVGBKJLDI-ONGXEEELSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000331745897 378714664 /nfs/dbraw/zinc/71/46/64/378714664.db2.gz YTSCKOVGBKJLDI-GXSJLCMTSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@H](CCC1CCCCC1)NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000159665707 378722608 /nfs/dbraw/zinc/72/26/08/378722608.db2.gz YGOWTEYWPKBKQW-SNVBAGLBSA-N 0 0 294.355 2.797 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000332429700 378762139 /nfs/dbraw/zinc/76/21/39/378762139.db2.gz ILLJFCBNZZDBLI-CYBMUJFWSA-N 0 0 276.336 2.703 20 5 CFBDRN Cc1ccnc(N[C@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000160625180 378786205 /nfs/dbraw/zinc/78/62/05/378786205.db2.gz POWQHZAUAUQLPK-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN CCC[C@@H](C)[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000332674415 378787353 /nfs/dbraw/zinc/78/73/53/378787353.db2.gz IVIDIGOXVBNVFV-ZWNOBZJWSA-N 0 0 279.340 2.964 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CC[C@](C)(CC)C2)c1[N+](=O)[O-] ZINC000332680708 378787824 /nfs/dbraw/zinc/78/78/24/378787824.db2.gz STOOOIWPNRHVST-AWEZNQCLSA-N 0 0 294.355 2.533 20 5 CFBDRN Cc1nc(C)c(Cn2nc(C)c([N+](=O)[O-])c2C)s1 ZINC000332723688 378794309 /nfs/dbraw/zinc/79/43/09/378794309.db2.gz RVRGJCCREXSTQK-UHFFFAOYSA-N 0 0 266.326 2.530 20 5 CFBDRN CC[C@H](C)OCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000161611662 378861467 /nfs/dbraw/zinc/86/14/67/378861467.db2.gz KMNMOCWMAPZHFD-RYUDHWBXSA-N 0 0 294.351 2.896 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC[C@@H]2CCC[C@@H]21 ZINC000334210732 378930385 /nfs/dbraw/zinc/93/03/85/378930385.db2.gz OGNJKRRZQIPONT-AAEUAGOBSA-N 0 0 274.320 2.538 20 5 CFBDRN CS[C@@H](C)CC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000162765679 378932893 /nfs/dbraw/zinc/93/28/93/378932893.db2.gz SHUFSTAXIKYFBJ-ZETCQYMHSA-N 0 0 272.301 2.814 20 5 CFBDRN CC1=C(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)CCCO1 ZINC000162519721 378915091 /nfs/dbraw/zinc/91/50/91/378915091.db2.gz ADMNFYQKNMMHFP-UHFFFAOYSA-N 0 0 288.303 2.568 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2ccc([N+](=O)[O-])n2C)CC12CCC2 ZINC000334224806 378948049 /nfs/dbraw/zinc/94/80/49/378948049.db2.gz HLXINHHOAMHABL-CYBMUJFWSA-N 0 0 291.351 2.584 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC12CCC2 ZINC000334241435 378969124 /nfs/dbraw/zinc/96/91/24/378969124.db2.gz WMONSEBFTWJTEC-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)[C@@H]2CCC[C@@H]21 ZINC000334253405 378985692 /nfs/dbraw/zinc/98/56/92/378985692.db2.gz LZZLKIFYJTVIIZ-NEPJUHHUSA-N 0 0 291.351 2.584 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000164124765 379006350 /nfs/dbraw/zinc/00/63/50/379006350.db2.gz LQGKDPYLIOBXTQ-SECBINFHSA-N 0 0 286.328 2.605 20 5 CFBDRN Cc1cc(NC(=O)N(C)CCCCCO)ccc1[N+](=O)[O-] ZINC000278602803 194064700 /nfs/dbraw/zinc/06/47/00/194064700.db2.gz BFXJVXUHFQAYHS-UHFFFAOYSA-N 0 0 295.339 2.530 20 5 CFBDRN CCn1cc(OCc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000278646338 194083074 /nfs/dbraw/zinc/08/30/74/194083074.db2.gz MAVPFTJWYHKSDL-UHFFFAOYSA-N 0 0 265.244 2.529 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000335287995 379028933 /nfs/dbraw/zinc/02/89/33/379028933.db2.gz KMNVZQCTMXEBKH-GFCCVEGCSA-N 0 0 263.297 2.857 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000335287996 379029447 /nfs/dbraw/zinc/02/94/47/379029447.db2.gz KMNVZQCTMXEBKH-LBPRGKRZSA-N 0 0 263.297 2.857 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000335315186 379071356 /nfs/dbraw/zinc/07/13/56/379071356.db2.gz BCHOBDVDRNTULP-QWRGUYRKSA-N 0 0 266.272 2.524 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N(C[C@@H]2CCOC2)C2CC2)c(F)c1 ZINC000167530634 379072275 /nfs/dbraw/zinc/07/22/75/379072275.db2.gz AXBJCVMNCFDWBB-VIFPVBQESA-N 0 0 298.289 2.878 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000168991965 379103721 /nfs/dbraw/zinc/10/37/21/379103721.db2.gz CXYVPSRQKZVHBN-SECBINFHSA-N 0 0 281.287 2.998 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000335331330 379104181 /nfs/dbraw/zinc/10/41/81/379104181.db2.gz WEUXTGRCOFICDB-SNVBAGLBSA-N 0 0 280.349 2.529 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000334343498 379126490 /nfs/dbraw/zinc/12/64/90/379126490.db2.gz MFRFOPRZPVCHMW-YUMQZZPRSA-N 0 0 268.700 2.729 20 5 CFBDRN C[C@H]1CCCC[C@H]1N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000334346346 379131435 /nfs/dbraw/zinc/13/14/35/379131435.db2.gz QATREUIBLDINFQ-WDEREUQCSA-N 0 0 279.340 2.584 20 5 CFBDRN CC(C)c1nnc(COc2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000170698236 379143488 /nfs/dbraw/zinc/14/34/88/379143488.db2.gz WXKRBPJKVRYHLO-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN CC1(C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@H]1C1CC1 ZINC000334389744 379210733 /nfs/dbraw/zinc/21/07/33/379210733.db2.gz SAJHKISQCVNTFN-ZDUSSCGKSA-N 0 0 292.310 2.995 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](F)C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335408303 379237693 /nfs/dbraw/zinc/23/76/93/379237693.db2.gz KJTIFXIHRKUVNN-GXSJLCMTSA-N 0 0 284.262 2.744 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])cnc2C)ccc1F ZINC000171190951 379253536 /nfs/dbraw/zinc/25/35/36/379253536.db2.gz PMHNJAVRAGMUHB-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC(C)NC(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000335419617 379260343 /nfs/dbraw/zinc/26/03/43/379260343.db2.gz DWJPAWBOCUMBQV-ZDUSSCGKSA-N 0 0 291.351 2.892 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCC[C@H]2F)cccc1[N+](=O)[O-] ZINC000334419162 379265009 /nfs/dbraw/zinc/26/50/09/379265009.db2.gz PXWZPYCMRWQXHP-MNOVXSKESA-N 0 0 266.272 2.524 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000335430863 379282854 /nfs/dbraw/zinc/28/28/54/379282854.db2.gz OMOWQWYCDJWQMQ-WDEREUQCSA-N 0 0 266.272 2.605 20 5 CFBDRN CCCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)C1CC1 ZINC000171487108 379320778 /nfs/dbraw/zinc/32/07/78/379320778.db2.gz PUETTWJFBONWMA-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN COC1CCN(c2ccc3ncccc3c2[N+](=O)[O-])CC1 ZINC000298716403 284775406 /nfs/dbraw/zinc/77/54/06/284775406.db2.gz MFUPOLXHIKQCRD-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@@H](F)C1 ZINC000335458762 379330822 /nfs/dbraw/zinc/33/08/22/379330822.db2.gz LGRLLLJHQVFOLG-SECBINFHSA-N 0 0 278.239 2.525 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])CCS1 ZINC000171579803 379345821 /nfs/dbraw/zinc/34/58/21/379345821.db2.gz YSJSIGQYKFCUBR-XUIVZRPNSA-N 0 0 292.360 2.572 20 5 CFBDRN COCCCN(C)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432320062 383736020 /nfs/dbraw/zinc/73/60/20/383736020.db2.gz LOJMUAOPHWQFBR-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H](C)C(C)C ZINC000171927622 379441623 /nfs/dbraw/zinc/44/16/23/379441623.db2.gz IZVOWPBPVLMIAO-JTQLQIEISA-N 0 0 280.324 2.512 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000171876772 379427646 /nfs/dbraw/zinc/42/76/46/379427646.db2.gz QBCRCLCMTYCDSV-QMMMGPOBSA-N 0 0 256.327 2.774 20 5 CFBDRN Cc1c([C@@H](C)Nc2ccc(F)cc2[N+](=O)[O-])cnn1C ZINC000171973050 379457559 /nfs/dbraw/zinc/45/75/59/379457559.db2.gz RLHWREQNSSPNOC-MRVPVSSYSA-N 0 0 278.287 2.949 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H]1CC=CCC1 ZINC000172484310 379578422 /nfs/dbraw/zinc/57/84/22/379578422.db2.gz WHCRYNPGFIUHBM-YKWSONSWSA-N 0 0 272.304 2.833 20 5 CFBDRN C[C@H](c1ccco1)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172753531 379639626 /nfs/dbraw/zinc/63/96/26/379639626.db2.gz BETHHOMKSFNXNP-LLVKDONJSA-N 0 0 288.303 2.950 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000334621722 379643444 /nfs/dbraw/zinc/64/34/44/379643444.db2.gz SRCIRJWAYVTQIT-YUMQZZPRSA-N 0 0 268.700 2.871 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000172644202 379613570 /nfs/dbraw/zinc/61/35/70/379613570.db2.gz UYZLOHIMYCFGAB-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1CCCN(C(=O)CNc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000173000031 379685791 /nfs/dbraw/zinc/68/57/91/379685791.db2.gz RUKURUDSDBDIBZ-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCS[C@@H](C)[C@@H]2C)c1 ZINC000173069946 379690651 /nfs/dbraw/zinc/69/06/51/379690651.db2.gz WOZKXPXELQEGPW-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN CSc1ccc(C(=O)N2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000173122850 379699347 /nfs/dbraw/zinc/69/93/47/379699347.db2.gz VUTWCIJZMMVSAE-SECBINFHSA-N 0 0 280.349 2.941 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@H]1CC=CCC1 ZINC000172893317 379665257 /nfs/dbraw/zinc/66/52/57/379665257.db2.gz FDNZDHHARWSJLW-LBPRGKRZSA-N 0 0 274.320 2.610 20 5 CFBDRN Cc1occc1CN(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173222642 379713479 /nfs/dbraw/zinc/71/34/79/379713479.db2.gz QHANRPHDFCZDMM-UHFFFAOYSA-N 0 0 288.303 2.697 20 5 CFBDRN COc1cccc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000173389050 379735143 /nfs/dbraw/zinc/73/51/43/379735143.db2.gz CVWXYHAQBDSHGQ-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN CCc1ccc(C(=O)N(C)C2CCC2)cc1[N+](=O)[O-] ZINC000173478963 379742626 /nfs/dbraw/zinc/74/26/26/379742626.db2.gz KZQCNZIAADAMPE-UHFFFAOYSA-N 0 0 262.309 2.782 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000173879193 379791872 /nfs/dbraw/zinc/79/18/72/379791872.db2.gz BGRAUCACZVFEOM-SNVBAGLBSA-N 0 0 294.351 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(C3CCC3)C2)c1 ZINC000335729028 379794451 /nfs/dbraw/zinc/79/44/51/379794451.db2.gz MDRJETNHSRTBNB-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN O=C(N[C@H]1CCCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000335732765 379800076 /nfs/dbraw/zinc/80/00/76/379800076.db2.gz XSHODQZMIFEZHF-JTQLQIEISA-N 0 0 284.262 2.903 20 5 CFBDRN O=C(N[C@H]1CC[C@H](F)C1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334684547 379763177 /nfs/dbraw/zinc/76/31/77/379763177.db2.gz REWOSJYWKYLCHI-IUCAKERBSA-N 0 0 291.282 2.697 20 5 CFBDRN Cc1c(C(=O)N2C[C@@H]3[C@H](C2)C3(C)C)cccc1[N+](=O)[O-] ZINC000174115695 379829919 /nfs/dbraw/zinc/82/99/19/379829919.db2.gz KZFWIONTTRPWKL-TXEJJXNPSA-N 0 0 274.320 2.631 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000335755905 379837527 /nfs/dbraw/zinc/83/75/27/379837527.db2.gz LUFNKGPDIYBGKD-DTWKUNHWSA-N 0 0 268.338 2.917 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335758484 379842626 /nfs/dbraw/zinc/84/26/26/379842626.db2.gz WACHDPOQQQBFMD-TVQRCGJNSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H]3[C@H](C2)C3(C)C)ccc1[N+](=O)[O-] ZINC000174039807 379818328 /nfs/dbraw/zinc/81/83/28/379818328.db2.gz WJBVYTZALJDOII-TXEJJXNPSA-N 0 0 274.320 2.631 20 5 CFBDRN CN(C(=O)c1ccc(F)cc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000335785861 379885326 /nfs/dbraw/zinc/88/53/26/379885326.db2.gz QZNXAZLDGRPGID-LLVKDONJSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000334764550 379907778 /nfs/dbraw/zinc/90/77/78/379907778.db2.gz XAGOTGZHDNKGFT-WPRPVWTQSA-N 0 0 268.338 2.917 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000335799359 379908164 /nfs/dbraw/zinc/90/81/64/379908164.db2.gz AXEKBABJDSRHKD-ZYANWLCNSA-N 0 0 289.335 2.574 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@H]1CCCC(F)(F)C1 ZINC000335048576 379962713 /nfs/dbraw/zinc/96/27/13/379962713.db2.gz JCORKDJCRBGFBO-VIFPVBQESA-N 0 0 272.251 2.582 20 5 CFBDRN CCC1(NC(=O)CCOc2ccccc2[N+](=O)[O-])CCC1 ZINC000174933813 379965197 /nfs/dbraw/zinc/96/51/97/379965197.db2.gz ZOZBAAONWZEJRB-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN Cn1c(C(=O)Nc2cccc(F)c2Cl)ccc1[N+](=O)[O-] ZINC000335840715 379976229 /nfs/dbraw/zinc/97/62/29/379976229.db2.gz ROFZPIIWEFZJRO-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H]2CC2(C)C)c1 ZINC000335841545 379977707 /nfs/dbraw/zinc/97/77/07/379977707.db2.gz RTRCTNLVTZMTSR-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CCS1 ZINC000174767091 379936288 /nfs/dbraw/zinc/93/62/88/379936288.db2.gz QYUSMDRFQJEWPR-LBPRGKRZSA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])CCCO1 ZINC000174843608 379949976 /nfs/dbraw/zinc/94/99/76/379949976.db2.gz LMEHCENCUKMTLX-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN CCC1(NC(=O)Cc2cccc([N+](=O)[O-])c2)CCC1 ZINC000174910199 379961062 /nfs/dbraw/zinc/96/10/62/379961062.db2.gz AXNKVPXVGJOKJP-UHFFFAOYSA-N 0 0 262.309 2.586 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCC2(C)CCC2)c1 ZINC000175085121 379992388 /nfs/dbraw/zinc/99/23/88/379992388.db2.gz HFEOHKBXERCBFB-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC2(CCC2(F)F)C1 ZINC000335853199 379996730 /nfs/dbraw/zinc/99/67/30/379996730.db2.gz LSJRQXQCRICXJO-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000175169690 380011378 /nfs/dbraw/zinc/01/13/78/380011378.db2.gz XZKONJJCNTUGIK-VXGBXAGGSA-N 0 0 291.351 2.925 20 5 CFBDRN CN1CCOc2ccc(Nc3ccc([N+](=O)[O-])cn3)cc21 ZINC000175165120 380011449 /nfs/dbraw/zinc/01/14/49/380011449.db2.gz VJZQWONATOZDOT-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000175199718 380018949 /nfs/dbraw/zinc/01/89/49/380018949.db2.gz ICBSYJPCZYUPBX-NEPJUHHUSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000175199761 380019504 /nfs/dbraw/zinc/01/95/04/380019504.db2.gz ICBSYJPCZYUPBX-VXGBXAGGSA-N 0 0 276.336 2.784 20 5 CFBDRN O=C(Nc1cc(F)c(F)c(F)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000335907231 380086540 /nfs/dbraw/zinc/08/65/40/380086540.db2.gz PUADNMOVFOTRQJ-UHFFFAOYSA-N 0 0 285.181 2.593 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000335878482 380039089 /nfs/dbraw/zinc/03/90/89/380039089.db2.gz UJHAWOXJTTZQCO-MWLCHTKSSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC12CC2 ZINC000335230868 380047798 /nfs/dbraw/zinc/04/77/98/380047798.db2.gz DWIBQURSFCWUJK-NSHDSACASA-N 0 0 261.281 2.577 20 5 CFBDRN Cc1cscc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000175611003 380100292 /nfs/dbraw/zinc/10/02/92/380100292.db2.gz YUGGXUCCDUPGKY-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CCC1(CNC(=O)c2ccc(OC)c([N+](=O)[O-])c2)CCC1 ZINC000175672790 380110601 /nfs/dbraw/zinc/11/06/01/380110601.db2.gz RODPJDUOQWUIGW-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000342398292 220272830 /nfs/dbraw/zinc/27/28/30/220272830.db2.gz FTAMFPMNPZORLC-HIFRSBDPSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1nn(C[C@@H]2CCc3ccccc32)cc1[N+](=O)[O-] ZINC000335975073 380183210 /nfs/dbraw/zinc/18/32/10/380183210.db2.gz MLVQXIKQVOWWJV-LBPRGKRZSA-N 0 0 257.293 2.830 20 5 CFBDRN Cc1ccc2c(c1)CCCN2CCn1ccc([N+](=O)[O-])n1 ZINC000176194423 380192320 /nfs/dbraw/zinc/19/23/20/380192320.db2.gz SNXMLUPBFSDYAR-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1cc(C)cc(C)c1 ZINC000176214473 380197314 /nfs/dbraw/zinc/19/73/14/380197314.db2.gz GBBOAICWVGJVCP-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN CC1(C)CN(C(=O)c2csc([N+](=O)[O-])c2)CCS1 ZINC000176461754 380239590 /nfs/dbraw/zinc/23/95/90/380239590.db2.gz MODKKBMLWNUQCN-UHFFFAOYSA-N 0 0 286.378 2.624 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H]1CCCCC1(F)F ZINC000336257334 380299539 /nfs/dbraw/zinc/29/95/39/380299539.db2.gz FOMOFQTYJGNSGZ-SECBINFHSA-N 0 0 259.256 2.925 20 5 CFBDRN COc1ccc(NC(=O)CCc2ccoc2)c([N+](=O)[O-])c1 ZINC000177071058 380300942 /nfs/dbraw/zinc/30/09/42/380300942.db2.gz UFDVOTRRERYXCK-UHFFFAOYSA-N 0 0 290.275 2.768 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CCCS1 ZINC000336489012 380355803 /nfs/dbraw/zinc/35/58/03/380355803.db2.gz YTHVHRSONPGKRC-SNVBAGLBSA-N 0 0 270.285 2.568 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccn3ccnc3c2)n1 ZINC000178267628 380429488 /nfs/dbraw/zinc/42/94/88/380429488.db2.gz UUKUQTLMSOAFSC-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1C[C@H]2CCCC[C@@H]2C1 ZINC000178279536 380430990 /nfs/dbraw/zinc/43/09/90/380430990.db2.gz NOOLWSJFJFKXAI-ZIAGYGMSSA-N 0 0 288.347 2.786 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSc2ccc(F)cc2)n1 ZINC000178443354 380451938 /nfs/dbraw/zinc/45/19/38/380451938.db2.gz MWJGSQQCBJMSAA-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCc2cccc(F)c21 ZINC000336517761 380398201 /nfs/dbraw/zinc/39/82/01/380398201.db2.gz ZAYZRUYVDQBELI-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC2(CCC2(F)F)C1 ZINC000336525885 380413493 /nfs/dbraw/zinc/41/34/93/380413493.db2.gz AZJVVXOIMFREET-UHFFFAOYSA-N 0 0 254.236 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2ccc3n[nH]cc3c2)n1 ZINC000178152528 380415232 /nfs/dbraw/zinc/41/52/32/380415232.db2.gz JUAIRXTUDBYYBI-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN COC(=O)C[C@@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000178851136 380489313 /nfs/dbraw/zinc/48/93/13/380489313.db2.gz RTYCCYUHORSOCD-JTQLQIEISA-N 0 0 298.726 2.780 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000336589474 380511831 /nfs/dbraw/zinc/51/18/31/380511831.db2.gz KUZVONMPWSWBEU-JGVFFNPUSA-N 0 0 272.301 2.585 20 5 CFBDRN CC1(C)CCCCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000336605368 380535852 /nfs/dbraw/zinc/53/58/52/380535852.db2.gz YFDJYHYHQYXNCN-UHFFFAOYSA-N 0 0 266.297 2.840 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000336633645 380580819 /nfs/dbraw/zinc/58/08/19/380580819.db2.gz GIQOJLSGMOQIHH-UWVGGRQHSA-N 0 0 280.299 2.533 20 5 CFBDRN C[C@H]1[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1(F)F ZINC000336633900 380580908 /nfs/dbraw/zinc/58/09/08/380580908.db2.gz HEKUMSQNULBWAD-CDUCUWFYSA-N 0 0 274.198 2.574 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CCCOCC2)cc1[N+](=O)[O-] ZINC000180367665 380648245 /nfs/dbraw/zinc/64/82/45/380648245.db2.gz CVKMLJHGMRWHGB-NSHDSACASA-N 0 0 293.323 2.594 20 5 CFBDRN COc1cc(NCCCCF)c(F)cc1[N+](=O)[O-] ZINC000336790164 380637559 /nfs/dbraw/zinc/63/75/59/380637559.db2.gz KUXAGJLARXSHMH-UHFFFAOYSA-N 0 0 260.240 2.904 20 5 CFBDRN C[C@@](O)(CNc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000336797183 380645255 /nfs/dbraw/zinc/64/52/55/380645255.db2.gz ZGEOREREURVFHT-GFCCVEGCSA-N 0 0 270.716 2.821 20 5 CFBDRN CN1C(=O)c2ccccc2N[C@@H]1c1ccc([N+](=O)[O-])s1 ZINC000180755220 380696049 /nfs/dbraw/zinc/69/60/49/380696049.db2.gz SBVOMNJGWWPVHM-LBPRGKRZSA-N 0 0 289.316 2.853 20 5 CFBDRN C[C@H]1CCC[C@H](CNC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000180971417 380718430 /nfs/dbraw/zinc/71/84/30/380718430.db2.gz AMSCBJYHUDVRRX-QWRGUYRKSA-N 0 0 291.351 2.733 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000180984034 380720758 /nfs/dbraw/zinc/72/07/58/380720758.db2.gz GTJALGFJPNPYLS-RKDXNWHRSA-N 0 0 265.313 2.919 20 5 CFBDRN C[C@@H](NC(=O)c1c(N)cccc1[N+](=O)[O-])C1CCCCC1 ZINC000181118770 380744025 /nfs/dbraw/zinc/74/40/25/380744025.db2.gz AXYMRBCUPGBHDY-SNVBAGLBSA-N 0 0 291.351 2.876 20 5 CFBDRN CC(=O)c1cc(N[C@@H](C)[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000287305347 380799449 /nfs/dbraw/zinc/79/94/49/380799449.db2.gz MYGYWQFSJGTQPI-GXSJLCMTSA-N 0 0 278.308 2.634 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287353625 380811122 /nfs/dbraw/zinc/81/11/22/380811122.db2.gz NMBPTSONFLVWIB-LDYMZIIASA-N 0 0 256.277 2.553 20 5 CFBDRN CCC1(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)CC1 ZINC000295378631 380819371 /nfs/dbraw/zinc/81/93/71/380819371.db2.gz DPJWLSLDEDHEOU-UHFFFAOYSA-N 0 0 287.319 2.608 20 5 CFBDRN CCOC(=O)CN(CC(C)C)c1ccccc1[N+](=O)[O-] ZINC000358468636 380862424 /nfs/dbraw/zinc/86/24/24/380862424.db2.gz IJGOLQZSEPRMJE-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN CC[C@H]1CCN1C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000295456452 380865881 /nfs/dbraw/zinc/86/58/81/380865881.db2.gz XWHRMLQKIYTWAJ-LBPRGKRZSA-N 0 0 290.319 2.569 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)CSC2CC2)cc1[N+](=O)[O-] ZINC000295454864 380866701 /nfs/dbraw/zinc/86/67/01/380866701.db2.gz YCBXEXQCVDPOPB-JTQLQIEISA-N 0 0 294.376 2.976 20 5 CFBDRN Cc1ncccc1OCCOc1ccc([N+](=O)[O-])cc1 ZINC000181712755 380872039 /nfs/dbraw/zinc/87/20/39/380872039.db2.gz AWARIXDDQPFGMW-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)nn1C(F)F ZINC000341257123 380872259 /nfs/dbraw/zinc/87/22/59/380872259.db2.gz IAZVEPFECZWYBH-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN COc1cc(NCCC[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000401986410 380876136 /nfs/dbraw/zinc/87/61/36/380876136.db2.gz CCLHFJZJXZHJOU-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1cnc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)n1C ZINC000341262902 380878129 /nfs/dbraw/zinc/87/81/29/380878129.db2.gz RLOZYFUFYQFFPK-UHFFFAOYSA-N 0 0 288.351 2.662 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1c(F)cccc1Cl ZINC000341218527 380836371 /nfs/dbraw/zinc/83/63/71/380836371.db2.gz YCWDFVPTTRBYST-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(c3ccccn3)CCC2)nc1 ZINC000367877083 380844422 /nfs/dbraw/zinc/84/44/22/380844422.db2.gz YGRQJVBOUQPPSE-UHFFFAOYSA-N 0 0 284.319 2.919 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@H]1CC12CCCC2 ZINC000353776588 380844486 /nfs/dbraw/zinc/84/44/86/380844486.db2.gz KXVFQLGUEPIVRY-GFCCVEGCSA-N 0 0 274.320 2.791 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@@H](O)CC(F)(F)F ZINC000347084235 380928145 /nfs/dbraw/zinc/92/81/45/380928145.db2.gz AAFSNOVYXZCIQS-QMMMGPOBSA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CCC[C@@H](C)C1 ZINC000408465983 380928434 /nfs/dbraw/zinc/92/84/34/380928434.db2.gz ICPYFPZSLVUSLW-PWSUYJOCSA-N 0 0 264.325 2.891 20 5 CFBDRN CC(=O)CCCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295477631 380883769 /nfs/dbraw/zinc/88/37/69/380883769.db2.gz YWEIMKYVQFKUDH-NSHDSACASA-N 0 0 278.308 2.531 20 5 CFBDRN C[C@@H](CF)NC(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000295480339 380884822 /nfs/dbraw/zinc/88/48/22/380884822.db2.gz MPFIJFLLNKQTMW-LURJTMIESA-N 0 0 294.204 2.701 20 5 CFBDRN CC1(C)C[C@@H](O)CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000295484021 380887250 /nfs/dbraw/zinc/88/72/50/380887250.db2.gz ACQCULHQPBVYGF-JTQLQIEISA-N 0 0 282.315 2.721 20 5 CFBDRN CC[C@@H](CNc1ccc([N+](=O)[O-])cn1)CC(F)(F)F ZINC000402660166 380889257 /nfs/dbraw/zinc/88/92/57/380889257.db2.gz KLVIHMGGWJXQEM-MRVPVSSYSA-N 0 0 277.246 2.802 20 5 CFBDRN Cc1nscc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000181808186 380903628 /nfs/dbraw/zinc/90/36/28/380903628.db2.gz WCCJDEVYBHMXSV-MRVPVSSYSA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC[C@@H](O)CC(F)(F)F ZINC000347049113 380904035 /nfs/dbraw/zinc/90/40/35/380904035.db2.gz DJIFDZOTVVPUDU-VIFPVBQESA-N 0 0 293.241 2.904 20 5 CFBDRN Cc1nn([C@@H](C)c2cccc([N+](=O)[O-])c2)cc1[N+](=O)[O-] ZINC000181817169 380905160 /nfs/dbraw/zinc/90/51/60/380905160.db2.gz CIRNBBRPMJJCSB-VIFPVBQESA-N 0 0 276.252 2.617 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCOC(C)(C)C2)n1 ZINC000408172198 380915542 /nfs/dbraw/zinc/91/55/42/380915542.db2.gz TWBSDSKFAUGDPO-LLVKDONJSA-N 0 0 279.340 2.976 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCS[C@H](C)C2)n1 ZINC000408172444 380915555 /nfs/dbraw/zinc/91/55/55/380915555.db2.gz WLUSPGWFCGYJOQ-SNVBAGLBSA-N 0 0 267.354 2.548 20 5 CFBDRN CCN(CCc1ccccc1)c1ncc([N+](=O)[O-])c(C)n1 ZINC000295534882 380922496 /nfs/dbraw/zinc/92/24/96/380922496.db2.gz NSAQEXZMDUIFAZ-UHFFFAOYSA-N 0 0 286.335 2.762 20 5 CFBDRN CO[C@@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])[C@@H](C)C1 ZINC000408422157 380924149 /nfs/dbraw/zinc/92/41/49/380924149.db2.gz FRBITINZUAVTGY-NWDGAFQWSA-N 0 0 279.340 2.610 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])n2C)cc(C)c1O ZINC000358592590 380954248 /nfs/dbraw/zinc/95/42/48/380954248.db2.gz HYEMXTRVPCBVOO-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN CCCC[C@@H](C)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000347127169 380956165 /nfs/dbraw/zinc/95/61/65/380956165.db2.gz XMPQSUBLRXFTCS-MRVPVSSYSA-N 0 0 293.327 2.980 20 5 CFBDRN CCOc1ccccc1CNc1ncc([N+](=O)[O-])c(C)n1 ZINC000295591259 380963171 /nfs/dbraw/zinc/96/31/71/380963171.db2.gz SUSGYIOYJFTSIR-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC1=CCCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000287879910 380963666 /nfs/dbraw/zinc/96/36/66/380963666.db2.gz UHXVPLQIAQSYFV-UHFFFAOYSA-N 0 0 276.321 2.756 20 5 CFBDRN O=C(OCC(F)(F)F)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000279275308 194362788 /nfs/dbraw/zinc/36/27/88/194362788.db2.gz NWVGYGWQBNSADC-UHFFFAOYSA-N 0 0 290.197 2.656 20 5 CFBDRN CCOCCNc1c2ccc(OC)cc2ncc1[N+](=O)[O-] ZINC000295605890 380970439 /nfs/dbraw/zinc/97/04/39/380970439.db2.gz UWHZSWDNUGALGV-UHFFFAOYSA-N 0 0 291.307 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NOC[C@@H]1CCOC1 ZINC000287914362 380971961 /nfs/dbraw/zinc/97/19/61/380971961.db2.gz WFMMRDWGJGFOTJ-MRVPVSSYSA-N 0 0 272.688 2.628 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1OC[C@H]2CCC[C@H]12 ZINC000368263918 380972660 /nfs/dbraw/zinc/97/26/60/380972660.db2.gz OJQTYMDGBQRZAY-SCDSUCTJSA-N 0 0 290.319 2.657 20 5 CFBDRN CC(C)CCC1CCN(c2c([N+](=O)[O-])ncn2C)CC1 ZINC000347165181 380980892 /nfs/dbraw/zinc/98/08/92/380980892.db2.gz PUMYLNSLINVMPY-UHFFFAOYSA-N 0 0 280.372 2.981 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCSCC2)c(Cl)c1 ZINC000182063653 380981239 /nfs/dbraw/zinc/98/12/39/380981239.db2.gz UFYLTLNRALLJAB-UHFFFAOYSA-N 0 0 272.757 2.797 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)[C@H]1C ZINC000295553280 380936484 /nfs/dbraw/zinc/93/64/84/380936484.db2.gz JCHIXJPYDUSENG-YUMQZZPRSA-N 0 0 268.700 2.729 20 5 CFBDRN C[C@H](CC(C)(C)C)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347105276 380941783 /nfs/dbraw/zinc/94/17/83/380941783.db2.gz BLRQQWNYFLVFDN-MRVPVSSYSA-N 0 0 293.327 2.918 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCSC[C@@H](C)C1 ZINC000287969849 380984559 /nfs/dbraw/zinc/98/45/59/380984559.db2.gz ZPFMWKJMGFTBDJ-JTQLQIEISA-N 0 0 294.376 2.987 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347238461 381022101 /nfs/dbraw/zinc/02/21/01/381022101.db2.gz RWFIVQYDSRBGQY-JGVFFNPUSA-N 0 0 267.260 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC([C@@H]3CCOC3)C2)c(Cl)c1 ZINC000368441658 381025603 /nfs/dbraw/zinc/02/56/03/381025603.db2.gz LXLQBJYFQZGYAT-LLVKDONJSA-N 0 0 296.754 2.717 20 5 CFBDRN Cn1cc(Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)c(C2CC2)n1 ZINC000295645894 380993689 /nfs/dbraw/zinc/99/36/89/380993689.db2.gz FMYCVELSKWBYRR-UHFFFAOYSA-N 0 0 298.306 2.826 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCc3ncccc3C2)c1 ZINC000368343646 380996073 /nfs/dbraw/zinc/99/60/73/380996073.db2.gz ZILKCDIZMLMCID-UHFFFAOYSA-N 0 0 269.304 2.548 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](O)CC(C)(C)C2)c1 ZINC000295660140 381001193 /nfs/dbraw/zinc/00/11/93/381001193.db2.gz AQYBNKOTZFIPFP-LBPRGKRZSA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCCSC2)c1 ZINC000288074119 381004574 /nfs/dbraw/zinc/00/45/74/381004574.db2.gz OWHSVUUWENVRIV-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN CC[C@@H](CNc1nccc2cc([N+](=O)[O-])ccc21)OC ZINC000295739227 381046834 /nfs/dbraw/zinc/04/68/34/381046834.db2.gz XSZJFNIIAVPKNR-LBPRGKRZSA-N 0 0 275.308 2.980 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347284364 381047925 /nfs/dbraw/zinc/04/79/25/381047925.db2.gz KZAADHCEPVQBOU-JTQLQIEISA-N 0 0 267.260 2.654 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cnc(C)s1 ZINC000195196354 270250868 /nfs/dbraw/zinc/25/08/68/270250868.db2.gz BXYPVKUPXMJCBH-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN C[C@@H]1C[C@@H]1N(Cc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000411927730 381057607 /nfs/dbraw/zinc/05/76/07/381057607.db2.gz SUOICDJJPRMGOH-YPMHNXCESA-N 0 0 286.335 2.743 20 5 CFBDRN CCOc1cc(N(C)CC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000341528082 381067304 /nfs/dbraw/zinc/06/73/04/381067304.db2.gz KRGIBKUPLOBDMO-CYBMUJFWSA-N 0 0 294.351 2.999 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cncc(F)c1 ZINC000341527562 381067759 /nfs/dbraw/zinc/06/77/59/381067759.db2.gz FPSAARXBYDZUCQ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CN(Cc1ccco1)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347259779 381033118 /nfs/dbraw/zinc/03/31/18/381033118.db2.gz KLQKFCVMNACZEV-UHFFFAOYSA-N 0 0 293.254 2.991 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H](C)CCC=C(C)C)c1[N+](=O)[O-] ZINC000295715416 381033692 /nfs/dbraw/zinc/03/36/92/381033692.db2.gz WWRRWAPUWDEMGC-SNVBAGLBSA-N 0 0 294.355 2.745 20 5 CFBDRN COCCCCCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347260410 381034461 /nfs/dbraw/zinc/03/44/61/381034461.db2.gz FMYZICCOGOKJKB-UHFFFAOYSA-N 0 0 299.302 2.672 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)Cc1ccc[nH]1 ZINC000354035365 381035998 /nfs/dbraw/zinc/03/59/98/381035998.db2.gz YBAQXHRQCUHTRT-UHFFFAOYSA-N 0 0 251.311 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCO[C@@H](C2CCC2)C1 ZINC000411872444 381044178 /nfs/dbraw/zinc/04/41/78/381044178.db2.gz JWUUJEUYMOFMCK-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN COc1cc(N2CC[C@H](OC)C(C)(C)C2)ccc1[N+](=O)[O-] ZINC000295795979 381080611 /nfs/dbraw/zinc/08/06/11/381080611.db2.gz RZGYETDTCMXUOP-AWEZNQCLSA-N 0 0 294.351 2.855 20 5 CFBDRN COc1cccc(NCCNc2ccccn2)c1[N+](=O)[O-] ZINC000354112031 381087777 /nfs/dbraw/zinc/08/77/77/381087777.db2.gz YJLKESKNYIQTAZ-UHFFFAOYSA-N 0 0 288.307 2.522 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)OC ZINC000295827660 381100674 /nfs/dbraw/zinc/10/06/74/381100674.db2.gz UQMAMVBWKXHWLL-YGRLFVJLSA-N 0 0 294.351 2.694 20 5 CFBDRN CN(Cc1ccoc1)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000341611051 381123631 /nfs/dbraw/zinc/12/36/31/381123631.db2.gz ZOIBWVKAJYMLAD-UHFFFAOYSA-N 0 0 290.304 2.829 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@H]1Cc2ccccc21 ZINC000358882266 381137562 /nfs/dbraw/zinc/13/75/62/381137562.db2.gz BYTQSIZIWXQIKP-HNNXBMFYSA-N 0 0 296.326 2.593 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CSC1CC1 ZINC000295889479 381138413 /nfs/dbraw/zinc/13/84/13/381138413.db2.gz BFRVZLQVAQBKJE-UHFFFAOYSA-N 0 0 267.306 2.704 20 5 CFBDRN Cc1c(Br)c(Nc2cn[nH]c2)ncc1[N+](=O)[O-] ZINC000347428674 381146266 /nfs/dbraw/zinc/14/62/66/381146266.db2.gz MDCDNMXUVIPZRN-UHFFFAOYSA-N 0 0 298.100 2.527 20 5 CFBDRN C[C@H]1CC(C)(C)CN1CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000412048570 381126474 /nfs/dbraw/zinc/12/64/74/381126474.db2.gz UZZHCMRNYKTLLY-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1nc2cc(Cn3nc(C)c([N+](=O)[O-])c3C)ccc2[nH]1 ZINC000358861102 381130043 /nfs/dbraw/zinc/13/00/43/381130043.db2.gz MDUZPASWFCBIQH-UHFFFAOYSA-N 0 0 285.307 2.641 20 5 CFBDRN CCCN(C)c1ncc([N+](=O)[O-])c(C)c1Br ZINC000347409282 381130956 /nfs/dbraw/zinc/13/09/56/381130956.db2.gz NSRQHZRTAGXLKJ-UHFFFAOYSA-N 0 0 288.145 2.907 20 5 CFBDRN CC/C=C/CNC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000295942555 381172528 /nfs/dbraw/zinc/17/25/28/381172528.db2.gz LBDWSIOVYHINLB-SNAWJCMRSA-N 0 0 293.279 2.507 20 5 CFBDRN CC(C)Oc1cc(C(=O)NC2CC(C)C2)ccc1[N+](=O)[O-] ZINC000412153598 381185532 /nfs/dbraw/zinc/18/55/32/381185532.db2.gz AOJJJOYQZUTGCG-UHFFFAOYSA-N 0 0 292.335 2.910 20 5 CFBDRN Cc1cnn([C@H]2CCN(Cc3csc([N+](=O)[O-])c3)C2)c1 ZINC000289853399 381189181 /nfs/dbraw/zinc/18/91/81/381189181.db2.gz KUHIAOUCYBOBTI-LBPRGKRZSA-N 0 0 292.364 2.608 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000347500907 381189593 /nfs/dbraw/zinc/18/95/93/381189593.db2.gz RWGJWQVQRSWZAI-SNVBAGLBSA-N 0 0 262.309 2.903 20 5 CFBDRN Cc1c(OC(=O)OC2CCOCC2)cccc1[N+](=O)[O-] ZINC000289870372 381196036 /nfs/dbraw/zinc/19/60/36/381196036.db2.gz QSMMKEORKVXUQV-UHFFFAOYSA-N 0 0 281.264 2.598 20 5 CFBDRN CC(C)(CCc1nc(Cc2ccc(F)cc2)no1)[N+](=O)[O-] ZINC000295991171 381200203 /nfs/dbraw/zinc/20/02/03/381200203.db2.gz RSMLERGUUWAQLX-UHFFFAOYSA-N 0 0 293.298 2.787 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)Cc2ccco2)n1 ZINC000359080710 381252775 /nfs/dbraw/zinc/25/27/75/381252775.db2.gz HIROOAOJIBIUFH-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)CCc2ccncc2)n1 ZINC000359084959 381255222 /nfs/dbraw/zinc/25/52/22/381255222.db2.gz RWYZKRHJSZKRDE-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN O=C(NCc1ccc(C2CC2)cc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000359084157 381255703 /nfs/dbraw/zinc/25/57/03/381255703.db2.gz HSKFVCCWZAGCHQ-UHFFFAOYSA-N 0 0 285.303 2.730 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])C12CCC(CC1)C2 ZINC000342303800 381262893 /nfs/dbraw/zinc/26/28/93/381262893.db2.gz FBIRDLQLRRRLLD-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCSC2)n1 ZINC000359100832 381265206 /nfs/dbraw/zinc/26/52/06/381265206.db2.gz QDQDHTIZOWJZPJ-SECBINFHSA-N 0 0 253.327 2.524 20 5 CFBDRN Cc1ccccc1CN(C)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000354358327 381222788 /nfs/dbraw/zinc/22/27/88/381222788.db2.gz RTKXTNJLWOMKPN-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN CO[C@@H](C)[C@@H](C)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000290030446 381269162 /nfs/dbraw/zinc/26/91/62/381269162.db2.gz RTUIEKWQOKFWCS-MNOVXSKESA-N 0 0 295.339 2.757 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1ccn2ccnc2c1 ZINC000354378412 381233970 /nfs/dbraw/zinc/23/39/70/381233970.db2.gz APQQUXSWSKRFNC-UHFFFAOYSA-N 0 0 268.276 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN(C2CC2)C2COC2)c1 ZINC000296054778 381234948 /nfs/dbraw/zinc/23/49/48/381234948.db2.gz OXWOJDDRKHGBHN-UHFFFAOYSA-N 0 0 282.727 2.611 20 5 CFBDRN COC(=O)c1cc(N[C@H]2CC2(C)C)cc(C)c1[N+](=O)[O-] ZINC000354384463 381238137 /nfs/dbraw/zinc/23/81/37/381238137.db2.gz JIKPBEJBYVOIFO-NSHDSACASA-N 0 0 278.308 2.900 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@]3(CCCCO3)C2)n1 ZINC000369342088 381239504 /nfs/dbraw/zinc/23/95/04/381239504.db2.gz HJFSAJASYFIKQS-OAHLLOKOSA-N 0 0 291.351 2.838 20 5 CFBDRN CS[C@@H](C)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359106724 381269970 /nfs/dbraw/zinc/26/99/70/381269970.db2.gz YXJFYUJVPNRABR-VIFPVBQESA-N 0 0 255.343 2.770 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000296173573 381287638 /nfs/dbraw/zinc/28/76/38/381287638.db2.gz GACGMOYHCCEFFV-CYBMUJFWSA-N 0 0 281.287 2.951 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183579704 381289367 /nfs/dbraw/zinc/28/93/67/381289367.db2.gz BNHVMMRGBIFNNG-WDEREUQCSA-N 0 0 292.339 2.938 20 5 CFBDRN C[C@H]1CCC[C@H](CNC(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000183590138 381290984 /nfs/dbraw/zinc/29/09/84/381290984.db2.gz MRUBHMJAYZCYGI-QWRGUYRKSA-N 0 0 292.339 2.938 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CSC(F)F ZINC000296190307 381292980 /nfs/dbraw/zinc/29/29/80/381292980.db2.gz CHNAGFMMPNTEQQ-UHFFFAOYSA-N 0 0 277.248 2.764 20 5 CFBDRN CC(C)CN(C)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000347687878 381299294 /nfs/dbraw/zinc/29/92/94/381299294.db2.gz USAUHUZKESGFGC-SNVBAGLBSA-N 0 0 282.315 2.952 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CCC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000342368685 381300096 /nfs/dbraw/zinc/30/00/96/381300096.db2.gz MMVNSLDIWQUGNS-AAEUAGOBSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(C)c1nnc(COc2cccnc2[N+](=O)[O-])s1 ZINC000296218078 381306743 /nfs/dbraw/zinc/30/67/43/381306743.db2.gz GNYNJBBQJITSQG-UHFFFAOYSA-N 0 0 280.309 2.544 20 5 CFBDRN CC[C@H](C)[C@H](NCc1cccc([N+](=O)[O-])c1C)C(=O)OC ZINC000183684868 381304692 /nfs/dbraw/zinc/30/46/92/381304692.db2.gz WHIQDQZNPFYWQC-HZMBPMFUSA-N 0 0 294.351 2.581 20 5 CFBDRN C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccon1 ZINC000347650772 381276586 /nfs/dbraw/zinc/27/65/86/381276586.db2.gz KZZYFMWVLXBIBX-ZETCQYMHSA-N 0 0 295.682 2.727 20 5 CFBDRN Cc1cc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)n[nH]1 ZINC000183528572 381283507 /nfs/dbraw/zinc/28/35/07/381283507.db2.gz RWDFZZWMBTZPCK-QMMMGPOBSA-N 0 0 292.270 2.508 20 5 CFBDRN COc1ccc(COc2nc(C)cc(C)c2[N+](=O)[O-])cn1 ZINC000354539468 381329006 /nfs/dbraw/zinc/32/90/06/381329006.db2.gz RBNPZNPETRPJDJ-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccc(F)cc1Cl ZINC000347745360 381329147 /nfs/dbraw/zinc/32/91/47/381329147.db2.gz VVXRPEWYQHYUPR-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](CO)CC2CCCC2)n1 ZINC000359302898 381336196 /nfs/dbraw/zinc/33/61/96/381336196.db2.gz ULKJHGLKSMRVJB-ZDUSSCGKSA-N 0 0 293.367 2.899 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CC[C@@H]1CCCO1 ZINC000342457772 381341821 /nfs/dbraw/zinc/34/18/21/381341821.db2.gz YIQJLXGGWKWEPK-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(=O)c1cc(N[C@H](C)CCCCO)ccc1[N+](=O)[O-] ZINC000296322638 381346928 /nfs/dbraw/zinc/34/69/28/381346928.db2.gz OLHXODZPURVUMV-SNVBAGLBSA-N 0 0 280.324 2.760 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H]1CCC(F)(F)C1 ZINC000359323794 381350310 /nfs/dbraw/zinc/35/03/10/381350310.db2.gz RCNMTUKVYFXCME-NSHDSACASA-N 0 0 298.289 2.689 20 5 CFBDRN CC[C@H]1CCN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000342476354 381350888 /nfs/dbraw/zinc/35/08/88/381350888.db2.gz HHNAZYZMACFFQK-VIFPVBQESA-N 0 0 298.289 2.674 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CC[C@@H](CO)C2)ccc2ncccc21 ZINC000296334982 381351910 /nfs/dbraw/zinc/35/19/10/381351910.db2.gz PQKWKBIUFXLPKC-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H](C(=O)NCC1(C)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000347850628 381378738 /nfs/dbraw/zinc/37/87/38/381378738.db2.gz ODKPDURLEQXDRF-VIFPVBQESA-N 0 0 280.299 2.754 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H](C)CCCCO ZINC000296366241 381364164 /nfs/dbraw/zinc/36/41/64/381364164.db2.gz SHEDDFFDGBSEHV-NSHDSACASA-N 0 0 252.314 2.866 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)Cc2ccncc2)c1[N+](=O)[O-] ZINC000342524995 381374901 /nfs/dbraw/zinc/37/49/01/381374901.db2.gz WFJPYTIDIOYAMX-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1nc(N[C@@H]2CCOc3ccccc32)ncc1[N+](=O)[O-] ZINC000296467072 381407956 /nfs/dbraw/zinc/40/79/56/381407956.db2.gz JSTZFMFORUOMDG-LLVKDONJSA-N 0 0 286.291 2.629 20 5 CFBDRN Cc1nn(C)c(C)c1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000195067857 270249270 /nfs/dbraw/zinc/24/92/70/270249270.db2.gz CQFSSZZPTRNWPV-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000354680927 381401183 /nfs/dbraw/zinc/40/11/83/381401183.db2.gz UVCCBKKLNZBELN-BBBLOLIVSA-N 0 0 266.297 2.694 20 5 CFBDRN COc1cc(NCCCOC(C)C)ccc1[N+](=O)[O-] ZINC000359456373 381421291 /nfs/dbraw/zinc/42/12/91/381421291.db2.gz WBRSHEWSQRIICE-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000279467345 194444765 /nfs/dbraw/zinc/44/47/65/194444765.db2.gz NMAMFACMHYIULR-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN Cn1c2ccc(Nc3ccc([N+](=O)[O-])cc3)cc2n(C)c1=O ZINC000359483673 381429135 /nfs/dbraw/zinc/42/91/35/381429135.db2.gz SUFLLRRVDXRVKV-UHFFFAOYSA-N 0 0 298.302 2.529 20 5 CFBDRN CCCc1noc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000296520643 381431422 /nfs/dbraw/zinc/43/14/22/381431422.db2.gz CDNKCMGQQALTQM-JTQLQIEISA-N 0 0 290.323 2.781 20 5 CFBDRN Cc1ccc(COC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000296518638 381431633 /nfs/dbraw/zinc/43/16/33/381431633.db2.gz KOYWWUTYIIZNQW-UHFFFAOYSA-N 0 0 265.309 2.874 20 5 CFBDRN CC[C@@](C)(OC)c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000290451052 381432935 /nfs/dbraw/zinc/43/29/35/381432935.db2.gz LTZHVAGZAKBSRC-CYBMUJFWSA-N 0 0 277.280 2.916 20 5 CFBDRN CN(CC[C@H]1CCCO1)Cc1csc([N+](=O)[O-])c1 ZINC000342611336 381433555 /nfs/dbraw/zinc/43/35/55/381433555.db2.gz XUQIMMKZGADNOS-LLVKDONJSA-N 0 0 270.354 2.657 20 5 CFBDRN CC[C@H]1OCCC[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347993293 381447760 /nfs/dbraw/zinc/44/77/60/381447760.db2.gz WKJJDKULHQHZFY-CHWSQXEVSA-N 0 0 296.298 2.878 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCc2ccsc2C1 ZINC000359574391 381479302 /nfs/dbraw/zinc/47/93/02/381479302.db2.gz AMZHXEQXXTZARB-UHFFFAOYSA-N 0 0 292.364 2.744 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000370500475 381457666 /nfs/dbraw/zinc/45/76/66/381457666.db2.gz QWXUYVHOEUYIGZ-SNVBAGLBSA-N 0 0 290.298 2.841 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C1(CCF)CC1 ZINC000290527246 381465887 /nfs/dbraw/zinc/46/58/87/381465887.db2.gz OEPRKSCEAROQNH-UHFFFAOYSA-N 0 0 253.229 2.640 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1(C)Cc2ccccc2C1 ZINC000354880317 381504034 /nfs/dbraw/zinc/50/40/34/381504034.db2.gz DLQXNNHCRMMSBT-UHFFFAOYSA-N 0 0 286.335 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccon2)c2cccnc21 ZINC000184978109 381504827 /nfs/dbraw/zinc/50/48/27/381504827.db2.gz KBCHRLQQIVZKDL-UHFFFAOYSA-N 0 0 271.232 2.710 20 5 CFBDRN CCOC(=O)CC[C@@H](C)Nc1c(F)cccc1[N+](=O)[O-] ZINC000342731412 381505734 /nfs/dbraw/zinc/50/57/34/381505734.db2.gz URWFOJGVKFVNAL-SECBINFHSA-N 0 0 284.287 2.878 20 5 CFBDRN CC(C)(C)CCNC(=O)CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000359618637 381511610 /nfs/dbraw/zinc/51/16/10/381511610.db2.gz HIGTWETZVDPQEF-UHFFFAOYSA-N 0 0 297.330 2.698 20 5 CFBDRN C[C@H]([NH2+]C[C@@H](O)CC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000296704978 381512089 /nfs/dbraw/zinc/51/20/89/381512089.db2.gz LIERNMOQIJIGIA-KWQFWETISA-N 0 0 292.257 2.559 20 5 CFBDRN CCCc1nc(CNc2cc(F)cc([N+](=O)[O-])c2)no1 ZINC000359595286 381494489 /nfs/dbraw/zinc/49/44/89/381494489.db2.gz HFOTZKYCMMFUDP-UHFFFAOYSA-N 0 0 280.259 2.682 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCc2noc(C3CC3)n2)c1 ZINC000359594006 381494539 /nfs/dbraw/zinc/49/45/39/381494539.db2.gz DJROZMRHNCENSQ-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN Cc1c(NC(=O)c2c[nH]c(C3CC3)n2)cccc1[N+](=O)[O-] ZINC000342715527 381494947 /nfs/dbraw/zinc/49/49/47/381494947.db2.gz BJZUHBARQTZXSE-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000354872440 381500254 /nfs/dbraw/zinc/50/02/54/381500254.db2.gz AVUIUFBMVZCFHL-QMMMGPOBSA-N 0 0 288.298 2.881 20 5 CFBDRN Cc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)o1 ZINC000296675434 381501788 /nfs/dbraw/zinc/50/17/88/381501788.db2.gz ZXLYECFGKGOPLL-VIFPVBQESA-N 0 0 262.269 2.768 20 5 CFBDRN Cc1cccc2c1CN(C(=O)CCC(C)(C)[N+](=O)[O-])CC2 ZINC000296677004 381502892 /nfs/dbraw/zinc/50/28/92/381502892.db2.gz LCEUEEWEGGQLBO-UHFFFAOYSA-N 0 0 290.363 2.715 20 5 CFBDRN CCC(CC)(CC)CNc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290763796 381543663 /nfs/dbraw/zinc/54/36/63/381543663.db2.gz KKAUZQZIZOPBAK-UHFFFAOYSA-N 0 0 294.355 2.717 20 5 CFBDRN CC(C)(CCC(=O)N1CCCc2cccc(O)c21)[N+](=O)[O-] ZINC000296857404 381544783 /nfs/dbraw/zinc/54/47/83/381544783.db2.gz USVCEFAKWXMRGP-UHFFFAOYSA-N 0 0 292.335 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ccccn3)CC2)nc1 ZINC000371097325 381548193 /nfs/dbraw/zinc/54/81/93/381548193.db2.gz FJZBHOJMALANIE-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2nc3ccccc3o2)nc1C1CC1 ZINC000185166388 381550570 /nfs/dbraw/zinc/55/05/70/381550570.db2.gz UTJIJLZHUJAZJA-UHFFFAOYSA-N 0 0 284.275 2.858 20 5 CFBDRN COc1c(C(=O)Nc2cc(C)ns2)cccc1[N+](=O)[O-] ZINC000359631592 381522653 /nfs/dbraw/zinc/52/26/53/381522653.db2.gz AQDQLTYPLVQFMN-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN C[C@H](NC(=O)Cc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000185057581 381524791 /nfs/dbraw/zinc/52/47/91/381524791.db2.gz DNORUAOOSGQVMI-JTQLQIEISA-N 0 0 274.276 2.608 20 5 CFBDRN COCc1nc(CNc2ccc([N+](=O)[O-])cc2F)cs1 ZINC000290699333 381526007 /nfs/dbraw/zinc/52/60/07/381526007.db2.gz NHENDBPPNNGPTR-UHFFFAOYSA-N 0 0 297.311 2.949 20 5 CFBDRN CC(C)COCCC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000348360289 381530191 /nfs/dbraw/zinc/53/01/91/381530191.db2.gz VCYGGEMUOGQUNL-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN Cc1nc(N[C@@H](CO)c2cccc(F)c2)ccc1[N+](=O)[O-] ZINC000348366539 381536350 /nfs/dbraw/zinc/53/63/50/381536350.db2.gz PVDITOPXAACKRA-LBPRGKRZSA-N 0 0 291.282 2.583 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000359711196 381572773 /nfs/dbraw/zinc/57/27/73/381572773.db2.gz YVTDLWDZXSNFPI-LLVKDONJSA-N 0 0 296.371 2.564 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)CCC1(F)F ZINC000290855839 381575111 /nfs/dbraw/zinc/57/51/11/381575111.db2.gz IGBIKNKQMDQNRS-SECBINFHSA-N 0 0 284.262 2.712 20 5 CFBDRN CCCc1nc(COc2c(F)cccc2[N+](=O)[O-])no1 ZINC000185185734 381557319 /nfs/dbraw/zinc/55/73/19/381557319.db2.gz WTUBRLAKKZOTHT-UHFFFAOYSA-N 0 0 281.243 2.648 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCCC[C@@H]21 ZINC000371172797 381561238 /nfs/dbraw/zinc/56/12/38/381561238.db2.gz CMHZWYGTCUENNY-ZFWWWQNUSA-N 0 0 288.347 2.928 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])C[C@@H]1C ZINC000342847781 381583297 /nfs/dbraw/zinc/58/32/97/381583297.db2.gz HEJPKFWOXJCNPU-OUAUKWLOSA-N 0 0 291.351 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CCO[C@H]3CCC[C@@H]32)c1 ZINC000297596408 381567379 /nfs/dbraw/zinc/56/73/79/381567379.db2.gz UPIHWZFRULCUFQ-GJZGRUSLSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)C2CCCC2)c(F)c1 ZINC000290926641 381599253 /nfs/dbraw/zinc/59/92/53/381599253.db2.gz YGOUUZJBQWZFCK-CYBMUJFWSA-N 0 0 268.288 2.697 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCC=C(C)C ZINC000348511580 381600946 /nfs/dbraw/zinc/60/09/46/381600946.db2.gz SMFGTIOGGBEOGV-UHFFFAOYSA-N 0 0 278.308 2.690 20 5 CFBDRN Cc1ccc(NC(=O)CC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000298342523 381585174 /nfs/dbraw/zinc/58/51/74/381585174.db2.gz LKANTVCZFWEEEQ-LBPRGKRZSA-N 0 0 278.308 2.801 20 5 CFBDRN CCCN(CC)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000298360768 381591721 /nfs/dbraw/zinc/59/17/21/381591721.db2.gz NQIAKQMKHFKMNE-MDZDMXLPSA-N 0 0 262.309 2.867 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000298365955 381593832 /nfs/dbraw/zinc/59/38/32/381593832.db2.gz BYOSDIPZGHCLGP-SNVBAGLBSA-N 0 0 250.298 2.711 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000185356320 381609020 /nfs/dbraw/zinc/60/90/20/381609020.db2.gz RYQIWKVKBBBPBX-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCCC2(CC2)C1 ZINC000185356203 381609640 /nfs/dbraw/zinc/60/96/40/381609640.db2.gz JGIHDPUMPFIYRJ-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN COc1ccc(C(=O)N(C)[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000298410491 381612480 /nfs/dbraw/zinc/61/24/80/381612480.db2.gz QKOILAMEPGAPJG-SNVBAGLBSA-N 0 0 280.324 2.720 20 5 CFBDRN CSCCCN(C)c1ccc([N+](=O)[O-])c(N)c1F ZINC000290965596 381613846 /nfs/dbraw/zinc/61/38/46/381613846.db2.gz PDGWGJLQTYTTKO-UHFFFAOYSA-N 0 0 273.333 2.505 20 5 CFBDRN CSC[C@H](C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342922263 381617469 /nfs/dbraw/zinc/61/74/69/381617469.db2.gz VXECVYPMFKXJFV-QMMMGPOBSA-N 0 0 293.348 2.557 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000185403200 381622179 /nfs/dbraw/zinc/62/21/79/381622179.db2.gz FZGGYQYGNZLTFU-LBPRGKRZSA-N 0 0 282.340 2.812 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1c(F)cccc1[N+](=O)[O-] ZINC000342936691 381625591 /nfs/dbraw/zinc/62/55/91/381625591.db2.gz DQHFTPKQSPAXGQ-GXFFZTMASA-N 0 0 282.315 2.721 20 5 CFBDRN O=C(NCCC1CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342935353 381625694 /nfs/dbraw/zinc/62/56/94/381625694.db2.gz RQIDIHUOWSSLBU-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN CCC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000291018607 381634240 /nfs/dbraw/zinc/63/42/40/381634240.db2.gz FCZIFIVGWKTHFD-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000342942887 381630152 /nfs/dbraw/zinc/63/01/52/381630152.db2.gz CXAVEJWKDVBQDY-HLUHBDAQSA-N 0 0 266.272 2.713 20 5 CFBDRN COc1cccc(NC[C@@H]2CCC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000185469808 381642647 /nfs/dbraw/zinc/64/26/47/381642647.db2.gz PNHCNZYIACUJBV-GHMZBOCLSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1cc(Cl)ccc1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000348649962 381656036 /nfs/dbraw/zinc/65/60/36/381656036.db2.gz CKOUCVFIZVNGAG-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC[C@H]1C[C@@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000343045792 381658654 /nfs/dbraw/zinc/65/86/54/381658654.db2.gz OIMGWRVDBLMNKC-XPUUQOCRSA-N 0 0 264.310 2.810 20 5 CFBDRN Cn1cc(NC(=O)c2ccc([N+](=O)[O-])s2)c(C2CC2)n1 ZINC000291089117 381663145 /nfs/dbraw/zinc/66/31/45/381663145.db2.gz PRGZABCESNMPCL-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN NC(=O)c1cccc(NC2CCC3(CC3)CC2)c1[N+](=O)[O-] ZINC000343210020 381667249 /nfs/dbraw/zinc/66/72/49/381667249.db2.gz XMWGOPCIXLWVSU-UHFFFAOYSA-N 0 0 289.335 2.828 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CC1CC1 ZINC000298690590 381713211 /nfs/dbraw/zinc/71/32/11/381713211.db2.gz SLSYJLNAMGUXEJ-SNVBAGLBSA-N 0 0 294.376 2.944 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000359990123 381715309 /nfs/dbraw/zinc/71/53/09/381715309.db2.gz CFCKOPXCODFMAO-NEPJUHHUSA-N 0 0 293.367 2.880 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000291126084 381674761 /nfs/dbraw/zinc/67/47/61/381674761.db2.gz CXMFYFQFIHWITC-SNVBAGLBSA-N 0 0 279.340 2.828 20 5 CFBDRN Cc1ccc(C[N@@H+](C)[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000348707680 381675331 /nfs/dbraw/zinc/67/53/31/381675331.db2.gz JQGDCBYCPVTJHS-ZDUSSCGKSA-N 0 0 264.325 2.514 20 5 CFBDRN C[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000348707757 381676020 /nfs/dbraw/zinc/67/60/20/381676020.db2.gz UOYXJYRXEFMNMD-SECBINFHSA-N 0 0 267.304 2.943 20 5 CFBDRN CCC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000291142154 381683293 /nfs/dbraw/zinc/68/32/93/381683293.db2.gz XRBNPVJBILJXJO-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CCC1(C)CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000291158370 381689814 /nfs/dbraw/zinc/68/98/14/381689814.db2.gz ZTDNMJCBIDSQDR-UHFFFAOYSA-N 0 0 268.338 2.837 20 5 CFBDRN COc1cccc(CNc2ccc([N+](=O)[O-])c(N)c2F)c1 ZINC000348745884 381692923 /nfs/dbraw/zinc/69/29/23/381692923.db2.gz WQFZBCTVPQUPMX-UHFFFAOYSA-N 0 0 291.282 2.937 20 5 CFBDRN CC(C)(C)c1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000298736946 381730054 /nfs/dbraw/zinc/73/00/54/381730054.db2.gz PGIIIIKPPFQMDV-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1C ZINC000185793631 381735875 /nfs/dbraw/zinc/73/58/75/381735875.db2.gz FJYAESILIJVYFR-GCIINGENSA-N 0 0 260.293 2.523 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccn3ccnc3c2)c1[N+](=O)[O-] ZINC000355300255 381736502 /nfs/dbraw/zinc/73/65/02/381736502.db2.gz BFJRHHKFNXHMKG-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN O=C(Nc1ccc(-c2ncc[nH]2)cc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000355307563 381741729 /nfs/dbraw/zinc/74/17/29/381741729.db2.gz ALPIGZJXIRNLAT-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000348897455 381759056 /nfs/dbraw/zinc/75/90/56/381759056.db2.gz CDZALEIZBDERDR-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2noc(C3CC3)n2)c(Cl)c1 ZINC000343453863 381722320 /nfs/dbraw/zinc/72/23/20/381722320.db2.gz RUMHDMQOZCOXLK-UHFFFAOYSA-N 0 0 295.686 2.516 20 5 CFBDRN Cc1c(C(=O)NC2Cc3ccccc3C2)cccc1[N+](=O)[O-] ZINC000185750048 381722677 /nfs/dbraw/zinc/72/26/77/381722677.db2.gz BNJDXZQEUNHRGF-UHFFFAOYSA-N 0 0 296.326 2.800 20 5 CFBDRN Cc1cc(Sc2nnnn2C(C)C)ccc1[N+](=O)[O-] ZINC000298716543 381723149 /nfs/dbraw/zinc/72/31/49/381723149.db2.gz MJFCBDRLVVOGEM-UHFFFAOYSA-N 0 0 279.325 2.622 20 5 CFBDRN CC(C)=CCCNS(=O)(=O)c1ccc(C)cc1[N+](=O)[O-] ZINC000360007270 381724131 /nfs/dbraw/zinc/72/41/31/381724131.db2.gz OLLXXCRWSNJPGE-UHFFFAOYSA-N 0 0 298.364 2.538 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2c(C)cccc2C)c1[N+](=O)[O-] ZINC000185759472 381724914 /nfs/dbraw/zinc/72/49/14/381724914.db2.gz OKMRJRSEGMPPPI-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN CNc1c(C(=O)Nc2csc(C)n2)cccc1[N+](=O)[O-] ZINC000360954658 381796131 /nfs/dbraw/zinc/79/61/31/381796131.db2.gz NVXKDJSDLAFRJX-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN CSC[C@@H]1CCCN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000292231258 381796581 /nfs/dbraw/zinc/79/65/81/381796581.db2.gz IWLYGXCIFUGOFQ-LLVKDONJSA-N 0 0 281.381 2.878 20 5 CFBDRN CO[C@@](C)(CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC000292245993 381796691 /nfs/dbraw/zinc/79/66/91/381796691.db2.gz GTTGRXRVPGZNHZ-ZDUSSCGKSA-N 0 0 295.295 2.730 20 5 CFBDRN O=C(NC[C@H]1CCCc2ccccc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000298840469 381763173 /nfs/dbraw/zinc/76/31/73/381763173.db2.gz GBWJNNBHJOYXTJ-GFCCVEGCSA-N 0 0 299.330 2.773 20 5 CFBDRN C[Si](C)(C)Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000291579836 381766302 /nfs/dbraw/zinc/76/63/02/381766302.db2.gz FXXMHIIIMIJQKU-UHFFFAOYSA-N 0 0 276.368 2.787 20 5 CFBDRN O=c1ccn(Cc2ccc(C(F)F)cc2)cc1[N+](=O)[O-] ZINC000185891345 381769421 /nfs/dbraw/zinc/76/94/21/381769421.db2.gz GBHIHZMQYJLUKC-UHFFFAOYSA-N 0 0 280.230 2.742 20 5 CFBDRN CCN(CCc1cccs1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298883173 381773859 /nfs/dbraw/zinc/77/38/59/381773859.db2.gz LHQRKVHPODTDJQ-UHFFFAOYSA-N 0 0 293.348 2.689 20 5 CFBDRN COCC1(COc2ccc(OC)cc2[N+](=O)[O-])CCC1 ZINC000291837327 381774394 /nfs/dbraw/zinc/77/43/94/381774394.db2.gz IYBCUPWNFZKOFH-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000298884822 381775280 /nfs/dbraw/zinc/77/52/80/381775280.db2.gz MFXGVSMSTSHHEP-UWVGGRQHSA-N 0 0 265.313 2.574 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H]1C[C@H]1C(C)C ZINC000185911410 381775380 /nfs/dbraw/zinc/77/53/80/381775380.db2.gz CSMXOTRPYWFEIE-STQMWFEESA-N 0 0 292.335 2.512 20 5 CFBDRN CCc1cc(N(C)OC)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000299091766 381821583 /nfs/dbraw/zinc/82/15/83/381821583.db2.gz WKGQXPICIXBAOA-UHFFFAOYSA-N 0 0 288.307 2.612 20 5 CFBDRN Cc1cc(OC[C@H](O)C(F)(F)F)c(Cl)cc1[N+](=O)[O-] ZINC000349104092 381823904 /nfs/dbraw/zinc/82/39/04/381823904.db2.gz FCCXVGBMEDVPBV-VIFPVBQESA-N 0 0 299.632 2.859 20 5 CFBDRN Cc1ccoc1CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000343596385 381826862 /nfs/dbraw/zinc/82/68/62/381826862.db2.gz RYNURGPCBLQYOW-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN CC1CCC(c2noc(-c3nn(C)cc3[N+](=O)[O-])n2)CC1 ZINC000356225867 381832115 /nfs/dbraw/zinc/83/21/15/381832115.db2.gz VVLVCKMLRPPHQX-UHFFFAOYSA-N 0 0 291.311 2.672 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000299123630 381832113 /nfs/dbraw/zinc/83/21/13/381832113.db2.gz LJUUJSXTZAJVDR-NSHDSACASA-N 0 0 273.292 2.604 20 5 CFBDRN COc1c(C(=O)N2C[C@@H](C)CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000356225606 381832401 /nfs/dbraw/zinc/83/24/01/381832401.db2.gz PSVGMKCUTUPBQR-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1nccn1CCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000349143237 381833894 /nfs/dbraw/zinc/83/38/94/381833894.db2.gz LKFKDYVBIOLFGO-UHFFFAOYSA-N 0 0 297.318 2.663 20 5 CFBDRN Cc1cccc(N2CCO[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000372596007 381842130 /nfs/dbraw/zinc/84/21/30/381842130.db2.gz RSKIWODVUODWGO-ZDUSSCGKSA-N 0 0 262.309 2.518 20 5 CFBDRN COc1ccc(OCc2cnc(C)s2)c([N+](=O)[O-])c1 ZINC000299148115 381843367 /nfs/dbraw/zinc/84/33/67/381843367.db2.gz XDBMTWSAYABOSJ-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN O=C(NCCOC1CCCCC1)c1csc([N+](=O)[O-])c1 ZINC000299035392 381804540 /nfs/dbraw/zinc/80/45/40/381804540.db2.gz VGKFQCKGZQZAIX-UHFFFAOYSA-N 0 0 298.364 2.735 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCOC[C@@H](C2CCC2)C1 ZINC000292427564 381804959 /nfs/dbraw/zinc/80/49/59/381804959.db2.gz WYNIOKJDZCOKBT-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCOC[C@H](C2CCC2)C1 ZINC000292453487 381805782 /nfs/dbraw/zinc/80/57/82/381805782.db2.gz ZIQXZYNSXFLHQD-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1ccoc1CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000343572876 381807616 /nfs/dbraw/zinc/80/76/16/381807616.db2.gz PBKUWBJMKJSRLO-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN O=C(NCCOC1CCCCC1)c1ccc([N+](=O)[O-])s1 ZINC000299050592 381807986 /nfs/dbraw/zinc/80/79/86/381807986.db2.gz XFQZWKREUPWKRY-UHFFFAOYSA-N 0 0 298.364 2.735 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCO[C@H]1C ZINC000355894437 381811850 /nfs/dbraw/zinc/81/18/50/381811850.db2.gz JLTOWRFUAHGQOY-QWRGUYRKSA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1ccccc1C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000299084572 381817546 /nfs/dbraw/zinc/81/75/46/381817546.db2.gz RGWKXHQDRVXQIO-NSHDSACASA-N 0 0 287.319 2.592 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)n1cccc/c1=N\C(C)C ZINC000299288361 381884262 /nfs/dbraw/zinc/88/42/62/381884262.db2.gz IDOCVZHUXSWXPZ-BMRADRMJSA-N 0 0 299.330 2.702 20 5 CFBDRN C[C@H](c1noc(-c2nn(C)cc2[N+](=O)[O-])n1)C(C)(C)C ZINC000356885839 381885809 /nfs/dbraw/zinc/88/58/09/381885809.db2.gz BUNCYXNXNNYFIM-SSDOTTSWSA-N 0 0 279.300 2.528 20 5 CFBDRN CC[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)C(C)(C)O ZINC000292819004 381850469 /nfs/dbraw/zinc/85/04/69/381850469.db2.gz OSZKOTFRXLGNNF-SNVBAGLBSA-N 0 0 274.267 2.834 20 5 CFBDRN CCCCCN(C(=O)c1c([N+](=O)[O-])c(C)nn1C)C(C)C ZINC000299174798 381853491 /nfs/dbraw/zinc/85/34/91/381853491.db2.gz WKOXPMNFRDMIHW-UHFFFAOYSA-N 0 0 296.371 2.678 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC1(C)C ZINC000299181734 381854779 /nfs/dbraw/zinc/85/47/79/381854779.db2.gz ZTENFSOXAFAPAN-SKDRFNHKSA-N 0 0 294.376 2.990 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccon1)c1ccc([N+](=O)[O-])cc1 ZINC000349231281 381855389 /nfs/dbraw/zinc/85/53/89/381855389.db2.gz ACMNSBQPEMHADX-ZJUUUORDSA-N 0 0 261.281 2.995 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CCCCC[C@H]21 ZINC000372738948 381858595 /nfs/dbraw/zinc/85/85/95/381858595.db2.gz KMLQFOXCBSTCHG-IINYFYTJSA-N 0 0 289.335 2.582 20 5 CFBDRN CC(C)(C)[C@@H](O)C[N@H+](Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000343699998 381895182 /nfs/dbraw/zinc/89/51/82/381895182.db2.gz XAIBESWJSPUXES-HNNXBMFYSA-N 0 0 292.379 2.966 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000186380496 381896182 /nfs/dbraw/zinc/89/61/82/381896182.db2.gz GCRFEPZGLZOTCY-NXEZZACHSA-N 0 0 266.272 2.965 20 5 CFBDRN Cc1cncnc1-c1noc(-c2ccc([N+](=O)[O-])cc2C)n1 ZINC000356933363 381911857 /nfs/dbraw/zinc/91/18/57/381911857.db2.gz XXDCSDSUSCHOAD-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CCCCO[C@H]1C[C@@H](Nc2c([N+](=O)[O-])ncn2C)C1(C)C ZINC000362127184 381921444 /nfs/dbraw/zinc/92/14/44/381921444.db2.gz YCIAEEBIMGXYSH-MNOVXSKESA-N 0 0 296.371 2.724 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC2CCC2)cc1 ZINC000416877238 381957997 /nfs/dbraw/zinc/95/79/97/381957997.db2.gz BCEIKIDNDHIPBR-UHFFFAOYSA-N 0 0 251.282 2.790 20 5 CFBDRN COc1cc(OCCOCC2CCC2)ccc1[N+](=O)[O-] ZINC000416910870 381966713 /nfs/dbraw/zinc/96/67/13/381966713.db2.gz SAGFSKZLGMMWJK-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN CO[C@H](COc1ncc(C)cc1[N+](=O)[O-])CC(C)C ZINC000416919932 381969854 /nfs/dbraw/zinc/96/98/54/381969854.db2.gz KJTBVEXXPSYWJB-NSHDSACASA-N 0 0 268.313 2.738 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]1C(C)C ZINC000186641602 381971966 /nfs/dbraw/zinc/97/19/66/381971966.db2.gz ZOTBFQICUYZIMU-QWRGUYRKSA-N 0 0 278.308 2.834 20 5 CFBDRN Cc1ccccc1C1CC(NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000343786536 381940843 /nfs/dbraw/zinc/94/08/43/381940843.db2.gz QRCAVVJUVLRCJS-UHFFFAOYSA-N 0 0 299.330 2.907 20 5 CFBDRN Cc1cc(C)nc(-c2noc(-c3ccc([N+](=O)[O-])n3C)n2)c1 ZINC000356993487 381949218 /nfs/dbraw/zinc/94/92/18/381949218.db2.gz NFWSIGBGZFUZFP-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN CN(C[C@H]1CCOC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000293161363 382012364 /nfs/dbraw/zinc/01/23/64/382012364.db2.gz SELGJGCPWYVLBP-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000186647304 381974175 /nfs/dbraw/zinc/97/41/75/381974175.db2.gz VEXOCIGLWGOKNW-QWHCGFSZSA-N 0 0 262.309 2.850 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000357034151 381980854 /nfs/dbraw/zinc/98/08/54/381980854.db2.gz CNTUJCALUUMZEM-IINYFYTJSA-N 0 0 294.351 2.581 20 5 CFBDRN COc1ccc(NC(=O)NC[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416985764 381982004 /nfs/dbraw/zinc/98/20/04/381982004.db2.gz DJRFQQQRQNZMAW-SECBINFHSA-N 0 0 291.307 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCOCC2(CCC2)C1 ZINC000373376458 381990491 /nfs/dbraw/zinc/99/04/91/381990491.db2.gz IMTXVNHSWZHILI-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN CO[C@@](C)(CNC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000293236961 382042499 /nfs/dbraw/zinc/04/24/99/382042499.db2.gz KGBFMUFNGHHQDY-ZDUSSCGKSA-N 0 0 298.364 2.510 20 5 CFBDRN Cc1cc(CCNc2ccc([N+](=O)[O-])c(C)c2)on1 ZINC000343980504 382047007 /nfs/dbraw/zinc/04/70/07/382047007.db2.gz SRNSTUBTDKQDQA-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2CC[C@@H]2C)c1[N+](=O)[O-] ZINC000343982560 382047723 /nfs/dbraw/zinc/04/77/23/382047723.db2.gz KMLAWRVRDNLVET-WCBMZHEXSA-N 0 0 280.349 2.845 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ocnc1C ZINC000362344240 382017467 /nfs/dbraw/zinc/01/74/67/382017467.db2.gz CQVDXIPEVURDFU-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccn(C)c1 ZINC000362346389 382019640 /nfs/dbraw/zinc/01/96/40/382019640.db2.gz VRXZEBNZXYHZSY-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@H](C)C1CC1 ZINC000299663392 382020516 /nfs/dbraw/zinc/02/05/16/382020516.db2.gz QWBIXVUGGHPYLT-SNVBAGLBSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1CCN(CC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000299664682 382020834 /nfs/dbraw/zinc/02/08/34/382020834.db2.gz QXLYKIKUMGDJMP-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN CCCCN(C)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000299674685 382022758 /nfs/dbraw/zinc/02/27/58/382022758.db2.gz UGLDXPQILHHMLL-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC(F)(F)C2)cn1 ZINC000362360130 382024338 /nfs/dbraw/zinc/02/43/38/382024338.db2.gz RYHORGSNDIBDHP-QMMMGPOBSA-N 0 0 257.240 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC[C@@H]1C ZINC000299681889 382024553 /nfs/dbraw/zinc/02/45/53/382024553.db2.gz WMGMPXQPSIWJRZ-NSHDSACASA-N 0 0 262.309 2.918 20 5 CFBDRN COc1c(C(=O)N(C)[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000357145005 382026196 /nfs/dbraw/zinc/02/61/96/382026196.db2.gz KZROVYRFYYHHDM-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@H]1C ZINC000343965931 382039114 /nfs/dbraw/zinc/03/91/14/382039114.db2.gz RXFMDHAWXGOTIC-ZJUUUORDSA-N 0 0 278.308 2.522 20 5 CFBDRN CCc1nc(CNc2ccc([N+](=O)[O-])cn2)cs1 ZINC000301203789 382104909 /nfs/dbraw/zinc/10/49/09/382104909.db2.gz RJPPHRVCTGFWNT-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN CC(C)(C)CCCCNc1ncc([N+](=O)[O-])c(N)n1 ZINC000301213082 382107536 /nfs/dbraw/zinc/10/75/36/382107536.db2.gz WBNNHAPVJPBQJC-UHFFFAOYSA-N 0 0 267.333 2.595 20 5 CFBDRN Cc1cc(C)cc([C@H](C)Nc2ncc([N+](=O)[O-])c(N)n2)c1 ZINC000301215718 382107638 /nfs/dbraw/zinc/10/76/38/382107638.db2.gz ZXQSPFPLOPARNC-JTQLQIEISA-N 0 0 287.323 2.757 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(Oc3cccnc3)c21 ZINC000357326546 382110756 /nfs/dbraw/zinc/11/07/56/382110756.db2.gz ZGBDUAHWTJWVGY-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ncc([N+](=O)[O-])cc1C ZINC000301224807 382110971 /nfs/dbraw/zinc/11/09/71/382110971.db2.gz FPKIEQRWBUUQQG-ZWNOBZJWSA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(/C=C/c3ccco3)n2)nc1 ZINC000357330908 382112181 /nfs/dbraw/zinc/11/21/81/382112181.db2.gz QKYDEGLTKFEMCC-AATRIKPKSA-N 0 0 298.258 2.727 20 5 CFBDRN C[C@@H]1CC[C@H](c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)C1 ZINC000357330268 382112586 /nfs/dbraw/zinc/11/25/86/382112586.db2.gz GIPXVACAYMBUQH-ZJUUUORDSA-N 0 0 288.307 2.867 20 5 CFBDRN C[C@H]1CC[C@@H](c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)C1 ZINC000357330266 382112894 /nfs/dbraw/zinc/11/28/94/382112894.db2.gz GIPXVACAYMBUQH-VHSXEESVSA-N 0 0 288.307 2.867 20 5 CFBDRN C[C@H]1N(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCOC1(C)C ZINC000357335804 382115963 /nfs/dbraw/zinc/11/59/63/382115963.db2.gz NIAQSFZNNGCMOH-SNVBAGLBSA-N 0 0 293.323 2.626 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@H]1CC12CCCC2 ZINC000344085785 382120421 /nfs/dbraw/zinc/12/04/21/382120421.db2.gz DQPBBSYBPSOYOF-CYBMUJFWSA-N 0 0 288.347 2.834 20 5 CFBDRN CC/C=C(/C)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357346967 382124972 /nfs/dbraw/zinc/12/49/72/382124972.db2.gz XTKGXCFQAVYHKR-WTKPLQERSA-N 0 0 274.280 2.777 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1CC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000293307603 382072330 /nfs/dbraw/zinc/07/23/30/382072330.db2.gz FHSBKACUHMGNLF-DTWKUNHWSA-N 0 0 297.742 2.527 20 5 CFBDRN CC(C)CCN(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301076442 382074833 /nfs/dbraw/zinc/07/48/33/382074833.db2.gz IOLITUXUKFSDQW-UHFFFAOYSA-N 0 0 267.285 2.985 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1cccc(F)c1[N+](=O)[O-] ZINC000301078437 382075540 /nfs/dbraw/zinc/07/55/40/382075540.db2.gz HUEBSYKHMTZDAF-UHFFFAOYSA-N 0 0 292.314 2.739 20 5 CFBDRN CN(Cc1ccncc1)c1ccc([N+](=O)[O-])cc1F ZINC000301104624 382082722 /nfs/dbraw/zinc/08/27/22/382082722.db2.gz VFINUDQFBOGKKP-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN Cc1cc(NCc2nc(C3CC3)no2)ccc1[N+](=O)[O-] ZINC000301103065 382082783 /nfs/dbraw/zinc/08/27/83/382082783.db2.gz RGHVSXMLNLQLLG-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC1CCC(C)CC1 ZINC000301121093 382086300 /nfs/dbraw/zinc/08/63/00/382086300.db2.gz RSEYKGBFLBACSL-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1cn2c(n1)CC[C@@H](Nc1ccccc1[N+](=O)[O-])C2 ZINC000301134557 382089393 /nfs/dbraw/zinc/08/93/93/382089393.db2.gz XUHXLOAIPCUQMD-LLVKDONJSA-N 0 0 272.308 2.527 20 5 CFBDRN Cc1ccc(Sc2ncc([N+](=O)[O-])c(N)n2)c(C)c1 ZINC000301139975 382091807 /nfs/dbraw/zinc/09/18/07/382091807.db2.gz AAYCDTFNQPKVNO-UHFFFAOYSA-N 0 0 276.321 2.735 20 5 CFBDRN COCc1cc(CNc2ncccc2[N+](=O)[O-])ccc1F ZINC000301170586 382096082 /nfs/dbraw/zinc/09/60/82/382096082.db2.gz DKWCUMGJHXZRNB-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN Cc1nnsc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000293368711 382097321 /nfs/dbraw/zinc/09/73/21/382097321.db2.gz HTBLSBCVRVSRGF-QMMMGPOBSA-N 0 0 278.337 2.606 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])c(OC)c1 ZINC000351970638 382099324 /nfs/dbraw/zinc/09/93/24/382099324.db2.gz GOOYAMXHKCKSCR-AAEUAGOBSA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@H]1C[C@@H](c2noc(-c3ccc([N+](=O)[O-])s3)n2)CCO1 ZINC000357463217 382181551 /nfs/dbraw/zinc/18/15/51/382181551.db2.gz DIRSXUGQPVUWAP-YUMQZZPRSA-N 0 0 295.320 2.989 20 5 CFBDRN Cc1cc(N(C)Cc2cc([N+](=O)[O-])ccc2Cl)ncn1 ZINC000301274818 382131777 /nfs/dbraw/zinc/13/17/77/382131777.db2.gz WHLKGNYQBWHZRH-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2ccc([N+](=O)[O-])cc2F)n1 ZINC000352026982 382137923 /nfs/dbraw/zinc/13/79/23/382137923.db2.gz MHOJGIDONTUOJN-HWKANZROSA-N 0 0 275.239 2.708 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@@H](C)O1 ZINC000301289238 382138507 /nfs/dbraw/zinc/13/85/07/382138507.db2.gz WAVDGAMKQZDPKS-YPMHNXCESA-N 0 0 264.325 2.907 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cc1Cl)Cn1cccn1 ZINC000301287841 382138528 /nfs/dbraw/zinc/13/85/28/382138528.db2.gz RNKJPJLIHCKUHW-VIFPVBQESA-N 0 0 295.730 2.588 20 5 CFBDRN COC(=O)c1cccc(Oc2nccc(C)c2[N+](=O)[O-])c1 ZINC000301293093 382140956 /nfs/dbraw/zinc/14/09/56/382140956.db2.gz OFPLADPBOWFBIX-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCOc1cccnc1 ZINC000301306112 382143957 /nfs/dbraw/zinc/14/39/57/382143957.db2.gz YHFOIGKFPGZIPZ-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC1(C)C ZINC000187285790 382146262 /nfs/dbraw/zinc/14/62/62/382146262.db2.gz ZDOSAQHVGZZGPV-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H](CCO)C1CC1 ZINC000301346577 382153892 /nfs/dbraw/zinc/15/38/92/382153892.db2.gz JUUVUVTXJMCYIK-SNVBAGLBSA-N 0 0 270.716 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCO)C2CC2)c(Cl)c1 ZINC000301357648 382157721 /nfs/dbraw/zinc/15/77/21/382157721.db2.gz NRNLANMMAHARLB-NSHDSACASA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H]2CCC(C)(C)O2)c1[N+](=O)[O-] ZINC000187358817 382162712 /nfs/dbraw/zinc/16/27/12/382162712.db2.gz UAIXRKAWZPCARV-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN CCc1cc(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])on1 ZINC000301383409 382164807 /nfs/dbraw/zinc/16/48/07/382164807.db2.gz ZWQHZDUOPALZBV-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000301399838 382168231 /nfs/dbraw/zinc/16/82/31/382168231.db2.gz PJOXRVUOZGJHBZ-QMTHXVAHSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000301399839 382169064 /nfs/dbraw/zinc/16/90/64/382169064.db2.gz PJOXRVUOZGJHBZ-YGRLFVJLSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCn2ccnc2C1 ZINC000357495243 382194680 /nfs/dbraw/zinc/19/46/80/382194680.db2.gz ZLQPYGZRRHFAEW-LLVKDONJSA-N 0 0 272.308 2.527 20 5 CFBDRN Cc1nc(C2CCC2)nn1Cc1c(F)cccc1[N+](=O)[O-] ZINC000418927900 382194701 /nfs/dbraw/zinc/19/47/01/382194701.db2.gz WJDLLWNDXJEXFN-UHFFFAOYSA-N 0 0 290.298 2.950 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344202057 382195287 /nfs/dbraw/zinc/19/52/87/382195287.db2.gz AQGSWFGCWDYVHM-SECBINFHSA-N 0 0 268.288 2.995 20 5 CFBDRN CCC[C@H]1CN(c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000301839507 382196541 /nfs/dbraw/zinc/19/65/41/382196541.db2.gz OGFLSWVICKBRCB-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN CC(C)(C)Oc1cc(N2CCOCC2)ccc1[N+](=O)[O-] ZINC000301858885 382196863 /nfs/dbraw/zinc/19/68/63/382196863.db2.gz DXWHHNIVLPEGAH-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000357517519 382202626 /nfs/dbraw/zinc/20/26/26/382202626.db2.gz UDAMPKQWZXIVTL-NXEZZACHSA-N 0 0 279.340 2.930 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(C(F)F)c1 ZINC000357515670 382202869 /nfs/dbraw/zinc/20/28/69/382202869.db2.gz HOPJGWKVMHOXMF-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN Cc1ccnc(N2CCC(OC3CCC3)CC2)c1[N+](=O)[O-] ZINC000418972721 382204162 /nfs/dbraw/zinc/20/41/62/382204162.db2.gz NITOOAACQGWIDL-UHFFFAOYSA-N 0 0 291.351 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC(n2cccn2)CC1 ZINC000377038855 382205169 /nfs/dbraw/zinc/20/51/69/382205169.db2.gz BEKYJJCFJAWGQB-UHFFFAOYSA-N 0 0 290.298 2.772 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c(N)c1F)CC(C)C ZINC000418983945 382205684 /nfs/dbraw/zinc/20/56/84/382205684.db2.gz DBHFZJTVQZNTLS-VIFPVBQESA-N 0 0 285.319 2.789 20 5 CFBDRN CO[C@H](CNc1nccc(C)c1[N+](=O)[O-])CC(C)C ZINC000418982514 382206141 /nfs/dbraw/zinc/20/61/41/382206141.db2.gz BDMJXAGNGCBNIL-NSHDSACASA-N 0 0 267.329 2.771 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])ncn1C)[C@@H]1CC1(C)C ZINC000418983970 382206154 /nfs/dbraw/zinc/20/61/54/382206154.db2.gz DJXBFVSXTLRQFA-IUCAKERBSA-N 0 0 252.318 2.565 20 5 CFBDRN COCCCCN(C)c1c(C)cccc1[N+](=O)[O-] ZINC000419012235 382215592 /nfs/dbraw/zinc/21/55/92/382215592.db2.gz DOXYYXOSQJSEEU-UHFFFAOYSA-N 0 0 252.314 2.766 20 5 CFBDRN CCCOc1ccc(Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302220306 382217695 /nfs/dbraw/zinc/21/76/95/382217695.db2.gz LZXNYVAWIHFSLS-UHFFFAOYSA-N 0 0 274.280 2.917 20 5 CFBDRN Cc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c[n+]1[O-] ZINC000302224880 382219817 /nfs/dbraw/zinc/21/98/17/382219817.db2.gz QNVLAEJBCFEXHP-UHFFFAOYSA-N 0 0 280.667 2.982 20 5 CFBDRN C[C@H](Cc1ccc(O)cc1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000357549799 382220215 /nfs/dbraw/zinc/22/02/15/382220215.db2.gz PEFRDPHZGMVKAO-LLVKDONJSA-N 0 0 287.319 2.763 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000293663885 382222324 /nfs/dbraw/zinc/22/23/24/382222324.db2.gz HWHJIQOGXMAUFE-SECBINFHSA-N 0 0 291.307 2.784 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc(Cl)c(F)c2)nc1 ZINC000302229515 382223711 /nfs/dbraw/zinc/22/37/11/382223711.db2.gz RKJFCZXZTGBDLB-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCC[C@H]1c1ccccc1 ZINC000363113562 382225696 /nfs/dbraw/zinc/22/56/96/382225696.db2.gz GSKOASNQKTXIHX-ZDUSSCGKSA-N 0 0 286.335 2.665 20 5 CFBDRN CCOc1cc(Cn2cnc(C3CC3)n2)ccc1[N+](=O)[O-] ZINC000419047937 382226406 /nfs/dbraw/zinc/22/64/06/382226406.db2.gz UQNIHSWYPHIGQP-UHFFFAOYSA-N 0 0 288.307 2.511 20 5 CFBDRN O=C(Nc1ccc(F)cn1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344257091 382233883 /nfs/dbraw/zinc/23/38/83/382233883.db2.gz HJFXICMMGMSROW-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000187451908 382187407 /nfs/dbraw/zinc/18/74/07/382187407.db2.gz OSXWDZSRINHOQU-BXUZGUMPSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1ccnc([C@H](Nc2ccc([N+](=O)[O-])nc2)C2CC2)n1 ZINC000362660200 382191567 /nfs/dbraw/zinc/19/15/67/382191567.db2.gz ZTTOAINWWYZZQJ-CYBMUJFWSA-N 0 0 285.307 2.651 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1C[C@@H]1C1CCCCC1 ZINC000357679421 382276186 /nfs/dbraw/zinc/27/61/86/382276186.db2.gz JBSXHBGIPNNCIQ-MNOVXSKESA-N 0 0 264.329 2.709 20 5 CFBDRN Cc1nc2n(c1C)CCN(c1cc(F)ccc1[N+](=O)[O-])C2 ZINC000364186425 382278041 /nfs/dbraw/zinc/27/80/41/382278041.db2.gz IRBYBLWYBXSKAM-UHFFFAOYSA-N 0 0 290.298 2.567 20 5 CFBDRN CO[C@]1(C)CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000357685399 382278139 /nfs/dbraw/zinc/27/81/39/382278139.db2.gz AMTQFUFCOFKWFD-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC[C@H](C)O ZINC000302653823 382283859 /nfs/dbraw/zinc/28/38/59/382283859.db2.gz HCISALYGBKRXES-QMMMGPOBSA-N 0 0 258.705 2.739 20 5 CFBDRN CCOc1cncc(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000302660643 382284595 /nfs/dbraw/zinc/28/45/95/382284595.db2.gz DZORAUOECIPGOR-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CCC[C@@H](CNc1ccc([N+](=O)[O-])c(C)n1)OC ZINC000293809645 382288584 /nfs/dbraw/zinc/28/85/84/382288584.db2.gz YWWQWBSZDYQEED-JTQLQIEISA-N 0 0 253.302 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@@H]3CCC[C@H]32)c(F)c1 ZINC000364243860 382289665 /nfs/dbraw/zinc/28/96/65/382289665.db2.gz MJLTZUPCEXKWEL-ZIAGYGMSSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cn[nH]c2)c(Cl)c1 ZINC000357592719 382242891 /nfs/dbraw/zinc/24/28/91/382242891.db2.gz BFLVPCIWLAMYFG-UHFFFAOYSA-N 0 0 266.688 2.626 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCOC(C)(C)C1 ZINC000377285836 382248446 /nfs/dbraw/zinc/24/84/46/382248446.db2.gz FDARTLFCPJNSRU-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCOCC2(CCCC2)C1 ZINC000302451465 382252193 /nfs/dbraw/zinc/25/21/93/382252193.db2.gz TUOJVJRQFURXQL-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN Cc1cc2c(NCc3cccc([N+](=O)[O-])c3C)nccn2n1 ZINC000302680369 382290727 /nfs/dbraw/zinc/29/07/27/382290727.db2.gz OSVHIWIQBHWXHU-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(CCOCC1CCC1)CC2 ZINC000419240215 382257089 /nfs/dbraw/zinc/25/70/89/382257089.db2.gz QYGAFOPJUQHHHF-UHFFFAOYSA-N 0 0 290.363 2.770 20 5 CFBDRN CSc1cccc(C(=O)OC(C)C)c1[N+](=O)[O-] ZINC000187899648 382291108 /nfs/dbraw/zinc/29/11/08/382291108.db2.gz JJLDSJAIAHJCKU-UHFFFAOYSA-N 0 0 255.295 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H]3OCCC[C@H]23)c(F)c1 ZINC000302519794 382262379 /nfs/dbraw/zinc/26/23/79/382262379.db2.gz BJYSOJUYSKXJEW-WQAKAFBOSA-N 0 0 266.272 2.713 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000352288392 382262515 /nfs/dbraw/zinc/26/25/15/382262515.db2.gz AFDPGIQWCJUOFY-VIFPVBQESA-N 0 0 287.319 2.852 20 5 CFBDRN Cc1cc(N(CCO)C2CCC2)c(Cl)cc1[N+](=O)[O-] ZINC000302565594 382269860 /nfs/dbraw/zinc/26/98/60/382269860.db2.gz OMVOUGPRBZVTSC-UHFFFAOYSA-N 0 0 284.743 2.908 20 5 CFBDRN COc1cc(Nc2ncccc2[N+](=O)[O-])ccc1F ZINC000302565282 382269911 /nfs/dbraw/zinc/26/99/11/382269911.db2.gz VZAVLFURPQDQRQ-UHFFFAOYSA-N 0 0 263.228 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2noc3ccccc23)cn1 ZINC000357661742 382270216 /nfs/dbraw/zinc/27/02/16/382270216.db2.gz NZMQHOXMVZQAKC-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@H]1CCC[C@@H](O)C1)CCN2 ZINC000302884119 382343974 /nfs/dbraw/zinc/34/39/74/382343974.db2.gz LLTHBUPKIHDNHR-WDEREUQCSA-N 0 0 291.351 2.526 20 5 CFBDRN CN(C[C@@H](O)C(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000302745799 382308579 /nfs/dbraw/zinc/30/85/79/382308579.db2.gz FGEMEPJWEBAKEE-SECBINFHSA-N 0 0 298.648 2.608 20 5 CFBDRN CCO[C@H]1C[C@](O)(CNc2ccccc2[N+](=O)[O-])C1(C)C ZINC000302748690 382310734 /nfs/dbraw/zinc/31/07/34/382310734.db2.gz GKWWACXMJVLKRV-ZFWWWQNUSA-N 0 0 294.351 2.573 20 5 CFBDRN Cc1cc(CCNc2ccc([N+](=O)[O-])cn2)cc(C)c1O ZINC000302762113 382313980 /nfs/dbraw/zinc/31/39/80/382313980.db2.gz KMTZFUKOJICDED-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN COc1ncccc1CCNc1ccc(C)cc1[N+](=O)[O-] ZINC000357776163 382314377 /nfs/dbraw/zinc/31/43/77/382314377.db2.gz TYZKSFMSINEBCJ-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CC[C@H]1CCN1C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000293868999 382314706 /nfs/dbraw/zinc/31/47/06/382314706.db2.gz CURXLNDMJWNZLJ-LBPRGKRZSA-N 0 0 287.319 2.560 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000302781093 382316627 /nfs/dbraw/zinc/31/66/27/382316627.db2.gz RSANIIWBCZZUER-IUCAKERBSA-N 0 0 299.758 2.963 20 5 CFBDRN COC1(CC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000357791303 382324255 /nfs/dbraw/zinc/32/42/55/382324255.db2.gz MRPVMKUXMAHPFX-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN CC[C@H]1CCN1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000293899939 382327702 /nfs/dbraw/zinc/32/77/02/382327702.db2.gz FTGCAFNGHBKSHE-NSHDSACASA-N 0 0 287.319 2.630 20 5 CFBDRN COc1ncccc1CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000357808027 382331375 /nfs/dbraw/zinc/33/13/75/382331375.db2.gz OCSZELYADBDNSB-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H]1CF ZINC000293939722 382345765 /nfs/dbraw/zinc/34/57/65/382345765.db2.gz CPCDEBJZVNQRTH-SECBINFHSA-N 0 0 286.690 2.822 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1cscn1 ZINC000352367475 382293734 /nfs/dbraw/zinc/29/37/34/382293734.db2.gz PAWRXUADCAUSIB-UHFFFAOYSA-N 0 0 286.316 2.634 20 5 CFBDRN CSCCCCCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000188123651 382349164 /nfs/dbraw/zinc/34/91/64/382349164.db2.gz NWPBOKKNMAKCDH-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN C[C@@H](NC(=O)NCC1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000357863671 382354718 /nfs/dbraw/zinc/35/47/18/382354718.db2.gz ZRUGDKNQDSKXKV-SNVBAGLBSA-N 0 0 277.324 2.755 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2cc(F)ccc2Cl)c1 ZINC000188180426 382362406 /nfs/dbraw/zinc/36/24/06/382362406.db2.gz VHAKCQLVLDWHKO-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN CC[C@@H](C)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000357914239 382372973 /nfs/dbraw/zinc/37/29/73/382372973.db2.gz RVZRXRDWMHWJQM-NEPJUHHUSA-N 0 0 293.367 2.974 20 5 CFBDRN CC[C@@H](C)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000357914247 382373760 /nfs/dbraw/zinc/37/37/60/382373760.db2.gz RVZRXRDWMHWJQM-VXGBXAGGSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCOC(C)(C)[C@H]1C ZINC000357919964 382374479 /nfs/dbraw/zinc/37/44/79/382374479.db2.gz VFAXBMAGSUFEEO-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@@H](C)[C@H](C)NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000188299921 382387620 /nfs/dbraw/zinc/38/76/20/382387620.db2.gz QTEQKAOXTWNJEE-GSGCRYEPSA-N 0 0 292.335 2.538 20 5 CFBDRN CC(C)(NC(=O)Cc1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000294057860 382398440 /nfs/dbraw/zinc/39/84/40/382398440.db2.gz JXHORYGNTUIPFU-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN C[C@H](O)CC(C)(C)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000304356386 382398697 /nfs/dbraw/zinc/39/86/97/382398697.db2.gz SHUCPMGJMUAZJL-JTQLQIEISA-N 0 0 295.339 2.513 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])CCO1 ZINC000420615920 382427428 /nfs/dbraw/zinc/42/74/28/382427428.db2.gz FUFPALFPTLHMNA-NWDGAFQWSA-N 0 0 279.340 2.976 20 5 CFBDRN CC[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000420617029 382427534 /nfs/dbraw/zinc/42/75/34/382427534.db2.gz JCZMLEDNTMBCRM-NEPJUHHUSA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000420617781 382428296 /nfs/dbraw/zinc/42/82/96/382428296.db2.gz BTKFJKDOKPADCT-VXGBXAGGSA-N 0 0 294.351 2.997 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2cc(OC)ccc2[N+](=O)[O-])CCO1 ZINC000420620928 382429908 /nfs/dbraw/zinc/42/99/08/382429908.db2.gz REKNFOBWYWUYLQ-QWRGUYRKSA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@H]1CN(c2ncc([N+](=O)[O-])cc2F)C[C@H](CC)O1 ZINC000420627921 382433033 /nfs/dbraw/zinc/43/30/33/382433033.db2.gz NSSJYVBZFYSMFM-QWRGUYRKSA-N 0 0 283.303 2.523 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)[C@@H]1C ZINC000294156624 382437673 /nfs/dbraw/zinc/43/76/73/382437673.db2.gz OXUJKAMMVAFMLQ-HTQZYQBOSA-N 0 0 268.700 2.729 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2C)C[C@H](CC)O1 ZINC000420636123 382437980 /nfs/dbraw/zinc/43/79/80/382437980.db2.gz VFLKRLGHOPISJI-BETUJISGSA-N 0 0 279.340 2.692 20 5 CFBDRN COc1ccc(OC[C@@H](O)CC2CCCC2)c([N+](=O)[O-])c1 ZINC000188647823 382448445 /nfs/dbraw/zinc/44/84/45/382448445.db2.gz XIOOTUNSZINALX-LBPRGKRZSA-N 0 0 295.335 2.923 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC([C@@H]3CCOC3)C2)ccc1Cl ZINC000365558286 382413689 /nfs/dbraw/zinc/41/36/89/382413689.db2.gz COKGXIRNQJZAHU-LLVKDONJSA-N 0 0 296.754 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC23CCSCC3)cn1 ZINC000420656936 382447024 /nfs/dbraw/zinc/44/70/24/382447024.db2.gz VSQCHXJANRALKB-SNVBAGLBSA-N 0 0 279.365 2.935 20 5 CFBDRN C[C@@H]1C[C@H](NCc2ccc([N+](=O)[O-])cc2)c2nccn21 ZINC000294101488 382415229 /nfs/dbraw/zinc/41/52/29/382415229.db2.gz MTNOLDGICNYUBK-MFKMUULPSA-N 0 0 272.308 2.587 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000358026854 382418000 /nfs/dbraw/zinc/41/80/00/382418000.db2.gz ZSHUTQXLNPSEEB-VXGBXAGGSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1ncc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)c(C)n1 ZINC000346571326 382419321 /nfs/dbraw/zinc/41/93/21/382419321.db2.gz KBDURGLULKMMJG-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC(C2CCCCC2)C1 ZINC000294113128 382420148 /nfs/dbraw/zinc/42/01/48/382420148.db2.gz JVVYGLXWGINBKD-UHFFFAOYSA-N 0 0 277.324 2.575 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN(C)CC(F)F ZINC000352757681 382470271 /nfs/dbraw/zinc/47/02/71/382470271.db2.gz VFXIJQJGVQWYBN-UHFFFAOYSA-N 0 0 274.267 2.690 20 5 CFBDRN COc1ccc(C(=O)N2CC3CCC2CC3)cc1[N+](=O)[O-] ZINC000378388984 382452916 /nfs/dbraw/zinc/45/29/16/382452916.db2.gz PQGJRAOKIWSIGQ-UHFFFAOYSA-N 0 0 290.319 2.618 20 5 CFBDRN CC[C@@H](F)CN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352708657 382453911 /nfs/dbraw/zinc/45/39/11/382453911.db2.gz HCAOTECBAWRPOT-LLVKDONJSA-N 0 0 268.288 2.537 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@H]2C)c1 ZINC000294196068 382454176 /nfs/dbraw/zinc/45/41/76/382454176.db2.gz QLEPVBXZGCZOJL-DTWKUNHWSA-N 0 0 280.349 2.797 20 5 CFBDRN CC(C)[C@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)CCCO1 ZINC000294196806 382455455 /nfs/dbraw/zinc/45/54/55/382455455.db2.gz CAQJUOVINVSDKQ-LLVKDONJSA-N 0 0 298.364 2.543 20 5 CFBDRN CC[C@H]1CCN1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000294217237 382463157 /nfs/dbraw/zinc/46/31/57/382463157.db2.gz YPJFDFONOMMRJM-JTQLQIEISA-N 0 0 280.349 2.698 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC[C@@H]1CF ZINC000294217362 382464657 /nfs/dbraw/zinc/46/46/57/382464657.db2.gz WAZKZQQUUHSNGF-SECBINFHSA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294255573 382480781 /nfs/dbraw/zinc/48/07/81/382480781.db2.gz PPSKQUQMWOZBKS-UHFFFAOYSA-N 0 0 295.295 2.677 20 5 CFBDRN CC(C)(CCC(=O)NCCCc1ccccc1)[N+](=O)[O-] ZINC000294256544 382482140 /nfs/dbraw/zinc/48/21/40/382482140.db2.gz FZAXIBRPMVEVIQ-UHFFFAOYSA-N 0 0 278.352 2.571 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000358240962 382522309 /nfs/dbraw/zinc/52/23/09/382522309.db2.gz HZJMYIZEOURXEH-GXSJLCMTSA-N 0 0 294.355 2.573 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1F)C[C@@H]1CCCCO1 ZINC000313677314 382522511 /nfs/dbraw/zinc/52/25/11/382522511.db2.gz OHZMLLJVYJDZRP-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCc1ccccn1 ZINC000421415219 382527856 /nfs/dbraw/zinc/52/78/56/382527856.db2.gz BQXFIKSAHDGWRR-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@@H](C)c1ncc(NC(=O)c2ccc([N+](=O)[O-])o2)cn1 ZINC000358196532 382499489 /nfs/dbraw/zinc/49/94/89/382499489.db2.gz ZGIXZRYQBQVYEI-MRVPVSSYSA-N 0 0 290.279 2.744 20 5 CFBDRN CC[C@@H](COC)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000311110293 382500231 /nfs/dbraw/zinc/50/02/31/382500231.db2.gz FDIQZVSTLZEOCQ-VIFPVBQESA-N 0 0 256.277 2.879 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC[C@H]2C(F)F)c1 ZINC000353177117 382515656 /nfs/dbraw/zinc/51/56/56/382515656.db2.gz VKTHPPIVXSDMKV-NSHDSACASA-N 0 0 256.252 2.824 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2)CC(C)(C)O1 ZINC000188986805 382517973 /nfs/dbraw/zinc/51/79/73/382517973.db2.gz OGOCOXCNFCKMRE-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN CCCCNC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000358273382 382540465 /nfs/dbraw/zinc/54/04/65/382540465.db2.gz KAYKNKRJZOPQHO-UHFFFAOYSA-N 0 0 265.313 2.503 20 5 CFBDRN COc1ccc(CSc2cccnn2)cc1[N+](=O)[O-] ZINC000358272589 382540490 /nfs/dbraw/zinc/54/04/90/382540490.db2.gz ROFXYEXKPQZRDT-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN COCCCCCNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421456197 382542872 /nfs/dbraw/zinc/54/28/72/382542872.db2.gz UWHRPDCVKQVJII-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000384114374 382548220 /nfs/dbraw/zinc/54/82/20/382548220.db2.gz BYUVJICASADDSI-GXFFZTMASA-N 0 0 291.351 2.897 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000421482296 382553989 /nfs/dbraw/zinc/55/39/89/382553989.db2.gz KDUIITXVXRDZNA-ZYHUDNBSSA-N 0 0 274.320 2.881 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC2(CCO2)CC1 ZINC000367153520 382558924 /nfs/dbraw/zinc/55/89/24/382558924.db2.gz MSKWTXHGIUMJIJ-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN COc1ccc(NC(=O)[C@@]23C[C@@H]2CCC3)cc1[N+](=O)[O-] ZINC000421498671 382560284 /nfs/dbraw/zinc/56/02/84/382560284.db2.gz AMXZISLGTJJMOV-XPTSAGLGSA-N 0 0 276.292 2.732 20 5 CFBDRN C[C@@H](CC(C)(C)O)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000295009032 382562507 /nfs/dbraw/zinc/56/25/07/382562507.db2.gz PBLIXLPWSPWYSY-ZETCQYMHSA-N 0 0 274.267 2.834 20 5 CFBDRN CC[C@@H](NCc1cccc([N+](=O)[O-])c1C)c1nccn1C ZINC000358313340 382562945 /nfs/dbraw/zinc/56/29/45/382562945.db2.gz UTIZOOCWCKRPEV-CYBMUJFWSA-N 0 0 288.351 2.878 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000346900160 382564773 /nfs/dbraw/zinc/56/47/73/382564773.db2.gz WGHSNAAGQLAULG-LURJTMIESA-N 0 0 282.243 2.727 20 5 CFBDRN C[C@H](CC(C)(C)O)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000295049146 382566568 /nfs/dbraw/zinc/56/65/68/382566568.db2.gz UJIPYZXNTSWDHE-MRVPVSSYSA-N 0 0 256.277 2.695 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CC12CC2 ZINC000421522360 382568758 /nfs/dbraw/zinc/56/87/58/382568758.db2.gz ZGQURIGSFOMLRH-LLVKDONJSA-N 0 0 294.738 2.707 20 5 CFBDRN O=C(CSC1CC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000295057852 382570518 /nfs/dbraw/zinc/57/05/18/382570518.db2.gz TWRLIJZGNLBGNO-UHFFFAOYSA-N 0 0 292.360 2.770 20 5 CFBDRN CSc1ccccc1Cn1cc([N+](=O)[O-])cc(C)c1=O ZINC000358258864 382532783 /nfs/dbraw/zinc/53/27/83/382532783.db2.gz GMQYSHBJXIDOPK-UHFFFAOYSA-N 0 0 290.344 2.835 20 5 CFBDRN CO[C@@H](C)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000189064310 382534919 /nfs/dbraw/zinc/53/49/19/382534919.db2.gz JNRCCVOVKZTACU-QMMMGPOBSA-N 0 0 294.332 2.574 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC(C)(C)C ZINC000421439640 382537884 /nfs/dbraw/zinc/53/78/84/382537884.db2.gz AVCAMEVMZXIQDB-UHFFFAOYSA-N 0 0 264.325 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)C2CCCCC2)cc1 ZINC000386299822 382586289 /nfs/dbraw/zinc/58/62/89/382586289.db2.gz VHONZDNPEWHNMO-CQSZACIVSA-N 0 0 264.325 2.948 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000346960794 382587688 /nfs/dbraw/zinc/58/76/88/382587688.db2.gz PTTSVAXLXMKLLE-AAEUAGOBSA-N 0 0 276.336 2.784 20 5 CFBDRN CC[C@@H](C)CNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000421576545 382587990 /nfs/dbraw/zinc/58/79/90/382587990.db2.gz CKSKMIUAVMEHQG-LLVKDONJSA-N 0 0 279.340 2.559 20 5 CFBDRN CC[C@H](C)CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000421579557 382590040 /nfs/dbraw/zinc/59/00/40/382590040.db2.gz LBPWNRVIUJNDKB-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](C)O ZINC000386829705 382592835 /nfs/dbraw/zinc/59/28/35/382592835.db2.gz VRVROLRFHYLSLM-XVKPBYJWSA-N 0 0 258.705 2.820 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N(CC1CC1)C1CCC1 ZINC000358380468 382594791 /nfs/dbraw/zinc/59/47/91/382594791.db2.gz GLSDFSRUHAEKPB-UHFFFAOYSA-N 0 0 288.347 2.928 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CC23CCCC3)cccc1[N+](=O)[O-] ZINC000387090080 382595627 /nfs/dbraw/zinc/59/56/27/382595627.db2.gz CVLRHSOMHOGBHW-LBPRGKRZSA-N 0 0 289.335 2.699 20 5 CFBDRN COc1cc(N[C@@H]2CC23CCOCC3)ccc1[N+](=O)[O-] ZINC000387189808 382597091 /nfs/dbraw/zinc/59/70/91/382597091.db2.gz WGTNVAFHHNYPOM-CYBMUJFWSA-N 0 0 278.308 2.584 20 5 CFBDRN Cc1cc(OCCOCC(F)F)c(F)cc1[N+](=O)[O-] ZINC000189360595 382598613 /nfs/dbraw/zinc/59/86/13/382598613.db2.gz UYZKLOUMBWBZGT-UHFFFAOYSA-N 0 0 279.214 2.703 20 5 CFBDRN Cc1c(OC[C@H](O)CC(F)(F)F)cccc1[N+](=O)[O-] ZINC000189413287 382605616 /nfs/dbraw/zinc/60/56/16/382605616.db2.gz RQZTVXLTMPIFPB-MRVPVSSYSA-N 0 0 279.214 2.595 20 5 CFBDRN CC1(C)C(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1(C)C ZINC000358416531 382605697 /nfs/dbraw/zinc/60/56/97/382605697.db2.gz VKHJUDYCKMFILA-UHFFFAOYSA-N 0 0 294.326 2.827 20 5 CFBDRN Cc1c(OC[C@@H](O)CC(F)(F)F)cccc1[N+](=O)[O-] ZINC000189413306 382605741 /nfs/dbraw/zinc/60/57/41/382605741.db2.gz RQZTVXLTMPIFPB-QMMMGPOBSA-N 0 0 279.214 2.595 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000388354324 382605950 /nfs/dbraw/zinc/60/59/50/382605950.db2.gz PQZOGQHAZSTAQY-RNCFNFMXSA-N 0 0 250.298 2.820 20 5 CFBDRN COCCC[C@@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295286779 382608042 /nfs/dbraw/zinc/60/80/42/382608042.db2.gz IEQVCBZZYDSCRG-NEPJUHHUSA-N 0 0 294.351 2.835 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000388696631 382608117 /nfs/dbraw/zinc/60/81/17/382608117.db2.gz MJLMJBFQYDQFKW-ZYHUDNBSSA-N 0 0 291.351 2.945 20 5 CFBDRN CC[C@@H]1CCC[C@H]1Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000388641448 382608284 /nfs/dbraw/zinc/60/82/84/382608284.db2.gz OSEDIENRPFOCQB-ZYHUDNBSSA-N 0 0 291.351 2.945 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCn1cnc2c1CCCC2 ZINC000295324130 382616960 /nfs/dbraw/zinc/61/69/60/382616960.db2.gz OLYUWTJLXYDFCS-UHFFFAOYSA-N 0 0 287.319 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](O)C1CCCCC1 ZINC000189507200 382617783 /nfs/dbraw/zinc/61/77/83/382617783.db2.gz UOABDGAXIWRAPX-AWEZNQCLSA-N 0 0 292.335 2.783 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(C2CC2)n1 ZINC000353729690 382619720 /nfs/dbraw/zinc/61/97/20/382619720.db2.gz NMODWDGRKMILPW-UHFFFAOYSA-N 0 0 298.302 2.702 20 5 CFBDRN COc1cnccc1[C@H](C)NCc1cccc([N+](=O)[O-])c1 ZINC000189554599 382624011 /nfs/dbraw/zinc/62/40/11/382624011.db2.gz DNYURJSBEUDPGH-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](CO)C2CCCC2)c1 ZINC000395142196 382629340 /nfs/dbraw/zinc/62/93/40/382629340.db2.gz VEMDAPGTZPJLHI-CYBMUJFWSA-N 0 0 264.325 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN[C@@H]2CCn3ccnc32)c1 ZINC000295199706 382581134 /nfs/dbraw/zinc/58/11/34/382581134.db2.gz YLNDBAVJMVWTQZ-GFCCVEGCSA-N 0 0 292.726 2.679 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H](C)C(F)(F)F ZINC000421564727 382582616 /nfs/dbraw/zinc/58/26/16/382582616.db2.gz VXNADOATFDFDHC-QMMMGPOBSA-N 0 0 290.241 2.892 20 5 CFBDRN Cc1ccc(CNc2nnc(C(F)F)o2)cc1[N+](=O)[O-] ZINC000189285352 382582948 /nfs/dbraw/zinc/58/29/48/382582948.db2.gz HMTSVGWWNSTSHD-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN COc1ccc(OCC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000190180883 382656145 /nfs/dbraw/zinc/65/61/45/382656145.db2.gz SEOPBPJSFGLISX-SNVBAGLBSA-N 0 0 267.281 2.551 20 5 CFBDRN COc1cc(C(=O)N2CCCCCC2)cc([N+](=O)[O-])c1C ZINC000190199181 382656750 /nfs/dbraw/zinc/65/67/50/382656750.db2.gz JEEWFBNOUINSLY-UHFFFAOYSA-N 0 0 292.335 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCO[C@@H]1C ZINC000190265260 382660144 /nfs/dbraw/zinc/66/01/44/382660144.db2.gz WGQSKTVYRFWNIH-PWSUYJOCSA-N 0 0 278.308 2.657 20 5 CFBDRN COc1cccc(CNc2ncc([N+](=O)[O-])s2)c1OC ZINC000190318471 382661982 /nfs/dbraw/zinc/66/19/82/382661982.db2.gz FOPZRQHJUISQMU-UHFFFAOYSA-N 0 0 295.320 2.681 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2ncc([N+](=O)[O-])s2)C1(C)C ZINC000190329976 382662416 /nfs/dbraw/zinc/66/24/16/382662416.db2.gz OINWTEOJTBMFDW-DTWKUNHWSA-N 0 0 285.369 2.691 20 5 CFBDRN COc1ccc(OC[C@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000190612547 382675051 /nfs/dbraw/zinc/67/50/51/382675051.db2.gz AWBBOSLQVRECQO-GXSJLCMTSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC[C@@H](C)O1 ZINC000190650361 382676475 /nfs/dbraw/zinc/67/64/75/382676475.db2.gz FCEUPKKGNDFFDD-KOLCDFICSA-N 0 0 267.281 2.550 20 5 CFBDRN Cc1cc(OC[C@@H]2CC[C@H](C)O2)c(F)cc1[N+](=O)[O-] ZINC000190685599 382677557 /nfs/dbraw/zinc/67/75/57/382677557.db2.gz NGJDRFOJPQWMTP-UWVGGRQHSA-N 0 0 269.272 2.989 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(O)CCCCCC2)s1 ZINC000189688066 382635462 /nfs/dbraw/zinc/63/54/62/382635462.db2.gz WTVVVCWJLWFWHX-UHFFFAOYSA-N 0 0 271.342 2.549 20 5 CFBDRN Cc1cc(N2C[C@@H]3CC[C@@H](O)C[C@@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000398696527 382636274 /nfs/dbraw/zinc/63/62/74/382636274.db2.gz KNINEEMYIVQQGM-QJPTWQEYSA-N 0 0 294.326 2.640 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H]3CC[C@@H](O)C[C@H]3C2)c1 ZINC000398842210 382636723 /nfs/dbraw/zinc/63/67/23/382636723.db2.gz PEJIMFJQCIFXCL-RWMBFGLXSA-N 0 0 276.336 2.500 20 5 CFBDRN Cc1cc(C(=O)N2CCSC[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000191237622 382696720 /nfs/dbraw/zinc/69/67/20/382696720.db2.gz FYOUDGLXEGTTPF-LLVKDONJSA-N 0 0 294.376 2.728 20 5 CFBDRN C[C@@H]1CSCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000191328784 382698482 /nfs/dbraw/zinc/69/84/82/382698482.db2.gz QEORYRCVHBDMMY-VIFPVBQESA-N 0 0 298.339 2.559 20 5 CFBDRN Cc1cnc(COC(=O)[C@H]2C[C@@H]2C(C)C)c(C)c1[N+](=O)[O-] ZINC000191368539 382699190 /nfs/dbraw/zinc/69/91/90/382699190.db2.gz CMJUFIUARNMQMY-NEPJUHHUSA-N 0 0 292.335 2.942 20 5 CFBDRN COC(=O)[C@H](C)N(Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000192380726 382707991 /nfs/dbraw/zinc/70/79/91/382707991.db2.gz KOCFIWHOKNABTN-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN CCC(CC)(CCO)CNc1ncc([N+](=O)[O-])s1 ZINC000192473513 382709251 /nfs/dbraw/zinc/70/92/51/382709251.db2.gz IEOLEODHEGFKGX-UHFFFAOYSA-N 0 0 273.358 2.652 20 5 CFBDRN CN(CCOCc1ccccc1)c1ncc([N+](=O)[O-])s1 ZINC000192485271 382709424 /nfs/dbraw/zinc/70/94/24/382709424.db2.gz BGQLZOWTFXESDY-UHFFFAOYSA-N 0 0 293.348 2.704 20 5 CFBDRN C[C@](O)(CNc1ncc([N+](=O)[O-])s1)c1ccc(F)cc1 ZINC000192513714 382709652 /nfs/dbraw/zinc/70/96/52/382709652.db2.gz DROIYFQDOQZSPW-LBPRGKRZSA-N 0 0 297.311 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCCCCO)ccc2c1 ZINC000192581889 382710290 /nfs/dbraw/zinc/71/02/90/382710290.db2.gz JNRCANWEJCXWQP-UHFFFAOYSA-N 0 0 275.308 2.718 20 5 CFBDRN C[C@H](CCc1cccn1C)Nc1cc(N)ccc1[N+](=O)[O-] ZINC000192795982 382712489 /nfs/dbraw/zinc/71/24/89/382712489.db2.gz LLKOOOKFZZIKTD-LLVKDONJSA-N 0 0 288.351 2.949 20 5 CFBDRN C[C@@H](Cn1ccnc1)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000336859327 382736127 /nfs/dbraw/zinc/73/61/27/382736127.db2.gz GTODIQWMUGMEBI-NSHDSACASA-N 0 0 297.318 2.840 20 5 CFBDRN CCCCOC[C@H](O)COc1ccc(CC)cc1[N+](=O)[O-] ZINC000193392325 382719525 /nfs/dbraw/zinc/71/95/25/382719525.db2.gz XQJGRGPHIOTQNP-ZDUSSCGKSA-N 0 0 297.351 2.714 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)CNc1cc(N)ccc1[N+](=O)[O-] ZINC000193485654 382720189 /nfs/dbraw/zinc/72/01/89/382720189.db2.gz CJQXEICVOREBHA-QMMMGPOBSA-N 0 0 290.323 2.997 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])s1)C1CCCC1 ZINC000193639364 382721934 /nfs/dbraw/zinc/72/19/34/382721934.db2.gz LXVICTSBHHLXAR-UHFFFAOYSA-N 0 0 254.311 2.671 20 5 CFBDRN C[C@H](Cn1ccnc1)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000336859326 382736313 /nfs/dbraw/zinc/73/63/13/382736313.db2.gz GTODIQWMUGMEBI-LLVKDONJSA-N 0 0 297.318 2.840 20 5 CFBDRN Cc1cc(NC(=O)N[C@H](C)[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000193709185 382722968 /nfs/dbraw/zinc/72/29/68/382722968.db2.gz FNZKVKNDTXHMQQ-ZWNOBZJWSA-N 0 0 293.323 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)cn1 ZINC000575005081 382723732 /nfs/dbraw/zinc/72/37/32/382723732.db2.gz AEPNQABLGKEYSZ-YUTCNCBUSA-N 0 0 289.335 2.749 20 5 CFBDRN C[C@@H]1CCN(c2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000575119295 382727703 /nfs/dbraw/zinc/72/77/03/382727703.db2.gz KYCUCYFVXYVXIT-SNVBAGLBSA-N 0 0 261.325 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@H]2OC(F)F)nc1 ZINC000336880612 382736764 /nfs/dbraw/zinc/73/67/64/382736764.db2.gz AAHMTSWWZKIGIS-DTWKUNHWSA-N 0 0 273.239 2.562 20 5 CFBDRN CCOC[C@@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)C(C)C ZINC000219368121 382793729 /nfs/dbraw/zinc/79/37/29/382793729.db2.gz MFVAJSFFSRSQGH-CYBMUJFWSA-N 0 0 292.339 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(CNC2(C(F)F)CC2)c(F)c1 ZINC000337288101 382794541 /nfs/dbraw/zinc/79/45/41/382794541.db2.gz LJXWQCHOKKOZFG-UHFFFAOYSA-N 0 0 260.215 2.621 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCC3CCOCC3)ccnc21 ZINC000214748940 382777011 /nfs/dbraw/zinc/77/70/11/382777011.db2.gz ZWMDTNURDIFNOP-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCO[C@H](C)C1 ZINC000220105122 382795031 /nfs/dbraw/zinc/79/50/31/382795031.db2.gz ONRGBDVBSZYVTJ-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN CC[C@@H](C)N(CC(=O)OC)Cc1c(F)cccc1[N+](=O)[O-] ZINC000337257942 382787734 /nfs/dbraw/zinc/78/77/34/382787734.db2.gz UOYIVMWFWYTGHM-SNVBAGLBSA-N 0 0 298.314 2.507 20 5 CFBDRN CC[C@H](CCO)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000218869297 382791028 /nfs/dbraw/zinc/79/10/28/382791028.db2.gz QTROTNOZCMVOAH-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN COc1cc(NCc2cc(C)ccn2)ccc1[N+](=O)[O-] ZINC000336888248 382737739 /nfs/dbraw/zinc/73/77/39/382737739.db2.gz DYBKYGZVQVFSTB-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@H]1CCC[C@@]1(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000336893333 382738500 /nfs/dbraw/zinc/73/85/00/382738500.db2.gz KQFROVZPOGLSSW-TVQRCGJNSA-N 0 0 268.288 2.697 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccoc1C1CC1 ZINC000336903061 382739735 /nfs/dbraw/zinc/73/97/35/382739735.db2.gz XUXJDOFOOFZJBD-UHFFFAOYSA-N 0 0 286.287 2.995 20 5 CFBDRN COC(=O)[C@]1(C)CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@@H]1C ZINC000336921082 382742280 /nfs/dbraw/zinc/74/22/80/382742280.db2.gz RURWCMQELIJURZ-XHDPSFHLSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)c1ncc(CN(C)c2ncc([N+](=O)[O-])s2)cn1 ZINC000336923761 382742857 /nfs/dbraw/zinc/74/28/57/382742857.db2.gz JIQFSRPKZFWZSJ-UHFFFAOYSA-N 0 0 293.352 2.601 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1CCCC(F)(F)C1 ZINC000336923518 382742910 /nfs/dbraw/zinc/74/29/10/382742910.db2.gz JEWMXNGUPUWTFZ-VIFPVBQESA-N 0 0 271.267 2.649 20 5 CFBDRN Cc1ccnc([C@H](Nc2ncc([N+](=O)[O-])s2)C2CC2)n1 ZINC000336980360 382748051 /nfs/dbraw/zinc/74/80/51/382748051.db2.gz RZWBYRJJEHSHOI-SNVBAGLBSA-N 0 0 291.336 2.713 20 5 CFBDRN COCCN(C[C@H]1CC=CCC1)c1ncc([N+](=O)[O-])s1 ZINC000337002367 382750982 /nfs/dbraw/zinc/75/09/82/382750982.db2.gz VUNJNMIMPXCZGT-NSHDSACASA-N 0 0 297.380 2.860 20 5 CFBDRN C[C@@H](CO)CCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000228311812 382846566 /nfs/dbraw/zinc/84/65/66/382846566.db2.gz LSYBWCCKRRLDDI-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CO1 ZINC000577103524 382847808 /nfs/dbraw/zinc/84/78/08/382847808.db2.gz NKUZLHQDPBNQLT-AAEUAGOBSA-N 0 0 299.330 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2ccccc2)c1 ZINC000220387823 382796288 /nfs/dbraw/zinc/79/62/88/382796288.db2.gz VFNPROZMNMXQIL-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N(CCO)C1CCC1 ZINC000221028202 382796817 /nfs/dbraw/zinc/79/68/17/382796817.db2.gz FMOJZDRTPASVJD-UHFFFAOYSA-N 0 0 270.716 2.599 20 5 CFBDRN O=C(NCC1CCC(F)(F)CC1)c1ccc([N+](=O)[O-])o1 ZINC000337333822 382803964 /nfs/dbraw/zinc/80/39/64/382803964.db2.gz ZSORVDAHERCQSF-UHFFFAOYSA-N 0 0 288.250 2.743 20 5 CFBDRN C[C@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000223207357 382804176 /nfs/dbraw/zinc/80/41/76/382804176.db2.gz SDYXKMXUHVPKGB-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccc(NC[C@H]2CCC[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC000223247394 382804709 /nfs/dbraw/zinc/80/47/09/382804709.db2.gz YPVJIIHPSBKETQ-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCCCCCO ZINC000229141859 382850548 /nfs/dbraw/zinc/85/05/48/382850548.db2.gz KQTBNFHHZWGSPJ-UHFFFAOYSA-N 0 0 258.705 2.823 20 5 CFBDRN CCC[C@@H](O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000226232028 382825218 /nfs/dbraw/zinc/82/52/18/382825218.db2.gz PIVHCYITOIQYJX-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC(C)(C)C(C)(C)O ZINC000576598709 382829146 /nfs/dbraw/zinc/82/91/46/382829146.db2.gz VYOCHUBVZSIPMO-UHFFFAOYSA-N 0 0 282.340 2.812 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2N)[C@@H](C)C1 ZINC000226644798 382830677 /nfs/dbraw/zinc/83/06/77/382830677.db2.gz MOCJWYBIRHWNHW-JFGNBEQYSA-N 0 0 291.351 2.732 20 5 CFBDRN CCC1(CNc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])CC1 ZINC000226807172 382831412 /nfs/dbraw/zinc/83/14/12/382831412.db2.gz RATFGHYUQDDODH-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cc2F)[C@H]2CCO[C@H]21 ZINC000227071979 382832543 /nfs/dbraw/zinc/83/25/43/382832543.db2.gz CDKFECSHYGXVDT-OASPWFOLSA-N 0 0 280.299 2.959 20 5 CFBDRN Cc1cc(N(C)CCCCCO)ccc1[N+](=O)[O-] ZINC000227108450 382833463 /nfs/dbraw/zinc/83/34/63/382833463.db2.gz KSWAZDDKPOTQEF-UHFFFAOYSA-N 0 0 252.314 2.502 20 5 CFBDRN COc1cc(COc2cnn(C(C)C)c2)ccc1[N+](=O)[O-] ZINC000576767518 382835803 /nfs/dbraw/zinc/83/58/03/382835803.db2.gz MSAKKLANCJBVMJ-UHFFFAOYSA-N 0 0 291.307 2.960 20 5 CFBDRN O=[N+]([O-])c1cc(C[NH2+]CC[C@H]2CCCCO2)cs1 ZINC000227337663 382835931 /nfs/dbraw/zinc/83/59/31/382835931.db2.gz RDWSLSSFEUTKDY-LLVKDONJSA-N 0 0 270.354 2.705 20 5 CFBDRN Cc1ccnc(NC[C@@H](O)CC(C)(C)C)c1[N+](=O)[O-] ZINC000227357318 382836020 /nfs/dbraw/zinc/83/60/20/382836020.db2.gz PQVJJAVVIAGPPU-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@]2(O)CCCC[C@H]2C1 ZINC000227374393 382836721 /nfs/dbraw/zinc/83/67/21/382836721.db2.gz IXMZXDCRJKAIBP-XHDPSFHLSA-N 0 0 294.326 2.865 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CCO)C2CCCCC2)c(F)c1 ZINC000576842023 382838921 /nfs/dbraw/zinc/83/89/21/382838921.db2.gz YVXKCQAKFPWLGA-CYBMUJFWSA-N 0 0 297.330 2.872 20 5 CFBDRN COCCN(C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000337536979 382838955 /nfs/dbraw/zinc/83/89/55/382838955.db2.gz WHDFULUSFXYWKU-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCc2cscn2)c(F)c1 ZINC000227890459 382842098 /nfs/dbraw/zinc/84/20/98/382842098.db2.gz JTIWLWIOWFJJQZ-UHFFFAOYSA-N 0 0 285.275 2.984 20 5 CFBDRN COc1ncccc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227888015 382842134 /nfs/dbraw/zinc/84/21/34/382842134.db2.gz KYSNCRXEKSYOGK-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN C[C@@H](O)CCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000227996156 382843379 /nfs/dbraw/zinc/84/33/79/382843379.db2.gz VSWKNJSYXCHBKL-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CSC1(CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000227992988 382843396 /nfs/dbraw/zinc/84/33/96/382843396.db2.gz REVSLBRSGRLXKS-UHFFFAOYSA-N 0 0 278.337 2.779 20 5 CFBDRN CCC1(O)CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000441352458 382908578 /nfs/dbraw/zinc/90/85/78/382908578.db2.gz UKTIBJZOGXXBJM-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN CC(C)C(CNc1ncc([N+](=O)[O-])cn1)C(C)C ZINC000229699490 382853008 /nfs/dbraw/zinc/85/30/08/382853008.db2.gz FHZVIRDSNBHGTA-UHFFFAOYSA-N 0 0 252.318 2.725 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000337663589 382853021 /nfs/dbraw/zinc/85/30/21/382853021.db2.gz SBTADFKLARRJED-GFCCVEGCSA-N 0 0 293.367 2.871 20 5 CFBDRN C[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])C1CCOCC1 ZINC000230217255 382857569 /nfs/dbraw/zinc/85/75/69/382857569.db2.gz YZBBPGJYKNICAI-MRVPVSSYSA-N 0 0 296.352 2.531 20 5 CFBDRN CCc1nocc1COc1ccc([N+](=O)[O-])cc1OC ZINC000577454605 382859198 /nfs/dbraw/zinc/85/91/98/382859198.db2.gz OYFKHEWAMUELPZ-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN CC(C)SCCn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000337741505 382860410 /nfs/dbraw/zinc/86/04/10/382860410.db2.gz DDPWQOHCZKDPPT-UHFFFAOYSA-N 0 0 255.343 2.810 20 5 CFBDRN O=C(CSc1cccc([N+](=O)[O-])c1)N1CCCCC1 ZINC000337756682 382862174 /nfs/dbraw/zinc/86/21/74/382862174.db2.gz MFCMGOIGJISAEV-UHFFFAOYSA-N 0 0 280.349 2.699 20 5 CFBDRN Cc1cnc(CNc2nc3sccn3c2[N+](=O)[O-])s1 ZINC000230914672 382863364 /nfs/dbraw/zinc/86/33/64/382863364.db2.gz NOQGLLDDNUNNIM-UHFFFAOYSA-N 0 0 295.349 2.681 20 5 CFBDRN C[C@@H](CCO)Sc1ncc([N+](=O)[O-])cc1Cl ZINC000230920476 382863829 /nfs/dbraw/zinc/86/38/29/382863829.db2.gz PMLAZVUAWBSQFG-LURJTMIESA-N 0 0 262.718 2.506 20 5 CFBDRN Cc1c(CNc2ncncc2Cl)cccc1[N+](=O)[O-] ZINC000231869050 382867386 /nfs/dbraw/zinc/86/73/86/382867386.db2.gz OOEJRKUDYHMASH-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(F)(F)C(F)(F)C2)c(Cl)c1 ZINC000577707379 382867879 /nfs/dbraw/zinc/86/78/79/382867879.db2.gz AAOHKRVLDNPILP-UHFFFAOYSA-N 0 0 299.611 2.734 20 5 CFBDRN CCOC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)C(C)C ZINC000233798377 382872068 /nfs/dbraw/zinc/87/20/68/382872068.db2.gz LPUJIRBDNNUCEN-NSHDSACASA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1cccc(N(C)CCCCCO)c1[N+](=O)[O-] ZINC000234271213 382873636 /nfs/dbraw/zinc/87/36/36/382873636.db2.gz WQXWFNQYQULJPH-UHFFFAOYSA-N 0 0 252.314 2.502 20 5 CFBDRN Cc1cn(Cc2cccc3cccnc32)nc1[N+](=O)[O-] ZINC000337933550 382873997 /nfs/dbraw/zinc/87/39/97/382873997.db2.gz AJQGDMJLRZCFMR-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN NC(=O)[C@@H](Sc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000337938149 382874639 /nfs/dbraw/zinc/87/46/39/382874639.db2.gz URVKJMXBZQZXMQ-ZDUSSCGKSA-N 0 0 288.328 2.914 20 5 CFBDRN Cc1cccc(NCCC2(O)CCC2)c1[N+](=O)[O-] ZINC000286703398 196682829 /nfs/dbraw/zinc/68/28/29/196682829.db2.gz KKNLWEKPUHAGAU-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000235068850 382876777 /nfs/dbraw/zinc/87/67/77/382876777.db2.gz WOSGBXCUOHQBQD-MRVPVSSYSA-N 0 0 286.328 2.524 20 5 CFBDRN CC[C@@H](C)CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000421586174 382877120 /nfs/dbraw/zinc/87/71/20/382877120.db2.gz DVRVBMQLICQRAO-NXEZZACHSA-N 0 0 282.315 3.000 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])NOCCC1CC1 ZINC000493709642 382880433 /nfs/dbraw/zinc/88/04/33/382880433.db2.gz SCIAWOMBDRLTPH-GQCTYLIASA-N 0 0 294.282 2.595 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)[C@H](C)C2CC2)c1F ZINC000235441444 382881011 /nfs/dbraw/zinc/88/10/11/382881011.db2.gz DUISFMKOTKZTNG-SECBINFHSA-N 0 0 280.299 2.913 20 5 CFBDRN O=C(/C=C\[C@H]1CCOC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000493716377 382882809 /nfs/dbraw/zinc/88/28/09/382882809.db2.gz DIZGVTPIGDCEGM-LVXRCVOFSA-N 0 0 296.710 2.779 20 5 CFBDRN O=C(NCCc1ccsc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337968857 382882894 /nfs/dbraw/zinc/88/28/94/382882894.db2.gz NTVRATIVBRWQLB-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@H]1CCCOC1 ZINC000533201869 382886343 /nfs/dbraw/zinc/88/63/43/382886343.db2.gz WZNNWIJDWQXDKM-VIFPVBQESA-N 0 0 254.311 2.509 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)Cc1ccncc1 ZINC000421741433 382911086 /nfs/dbraw/zinc/91/10/86/382911086.db2.gz OTFJRPWKKBSXDJ-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CO[C@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])[C@H](C)C1 ZINC000441374901 382911249 /nfs/dbraw/zinc/91/12/49/382911249.db2.gz ICYREKWYPVAFBG-MNOVXSKESA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2C)CCO1 ZINC000421672064 382892890 /nfs/dbraw/zinc/89/28/90/382892890.db2.gz SPCUOMZTRRQPJZ-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H]1F ZINC000493752466 382894705 /nfs/dbraw/zinc/89/47/05/382894705.db2.gz JODOORCYOXZGCU-YMVSPQSISA-N 0 0 278.283 2.615 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@@H](O)C2)c2ccncc21 ZINC000236548598 382894909 /nfs/dbraw/zinc/89/49/09/382894909.db2.gz AXSLJRRPSABILR-GHMZBOCLSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCC1(F)CCOCC1 ZINC000578144310 382895365 /nfs/dbraw/zinc/89/53/65/382895365.db2.gz PLWWFMNKGJNZBT-UHFFFAOYSA-N 0 0 272.301 2.601 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000578187613 382897462 /nfs/dbraw/zinc/89/74/62/382897462.db2.gz LUMWWDYEMBSBHJ-JGVFFNPUSA-N 0 0 290.241 2.765 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1scnc1C1CC1 ZINC000534955666 382940493 /nfs/dbraw/zinc/94/04/93/382940493.db2.gz RHNFQUOLFKLGGX-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1nn(C)cc1Cl ZINC000421847753 382944416 /nfs/dbraw/zinc/94/44/16/382944416.db2.gz YMURCCYJFNALGJ-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@@H]2C2CCC2)c(F)c1 ZINC000578745805 382950338 /nfs/dbraw/zinc/95/03/38/382950338.db2.gz GYGWQIHFZCVFRW-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN COC[C@H](C)CC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000338228283 382957330 /nfs/dbraw/zinc/95/73/30/382957330.db2.gz DNWUPHDTFMJFHN-LLVKDONJSA-N 0 0 292.335 2.547 20 5 CFBDRN CCOc1cccc(N2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000578380764 382916043 /nfs/dbraw/zinc/91/60/43/382916043.db2.gz QTKYGFSZXHXWSM-SNVBAGLBSA-N 0 0 268.288 2.932 20 5 CFBDRN Cc1cccnc1CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421762589 382917749 /nfs/dbraw/zinc/91/77/49/382917749.db2.gz NHMBDRATYWUKLF-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC(C)CC[C@@H](O)COc1c(F)cccc1[N+](=O)[O-] ZINC000280276594 194777814 /nfs/dbraw/zinc/77/78/14/194777814.db2.gz LGWWTVFRORNJHX-SNVBAGLBSA-N 0 0 271.288 2.910 20 5 CFBDRN O=C(Cc1ccccc1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000534575775 382933675 /nfs/dbraw/zinc/93/36/75/382933675.db2.gz RNWDVQLMLHFLDQ-UHFFFAOYSA-N 0 0 296.326 2.722 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1COc1ccc(Cl)cc1F ZINC000338148138 382934147 /nfs/dbraw/zinc/93/41/47/382934147.db2.gz CUNKLEPBXBNGAO-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN CC[C@H](SC)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000338145346 382934512 /nfs/dbraw/zinc/93/45/12/382934512.db2.gz VPOZFULSHHBXHX-ZDUSSCGKSA-N 0 0 296.392 2.737 20 5 CFBDRN CC(F)(F)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000579027646 382976660 /nfs/dbraw/zinc/97/66/60/382976660.db2.gz QEPLJMZLMGTFSG-UHFFFAOYSA-N 0 0 252.167 2.940 20 5 CFBDRN CO[C@@H](C)CSCCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000548826088 382985822 /nfs/dbraw/zinc/98/58/22/382985822.db2.gz NOVISKHGVUOKAL-JTQLQIEISA-N 0 0 298.364 2.692 20 5 CFBDRN CCCC[C@H](COC)N=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1 ZINC000447672447 382999762 /nfs/dbraw/zinc/99/97/62/382999762.db2.gz UHQLJRDZGCGXGU-SNVBAGLBSA-N 0 0 292.339 2.510 20 5 CFBDRN C[C@@H]1CCCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000457263133 383006437 /nfs/dbraw/zinc/00/64/37/383006437.db2.gz PJQVFPSDNGHBQI-NOZJJQNGSA-N 0 0 265.313 2.622 20 5 CFBDRN CCC1CN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000457280795 383010624 /nfs/dbraw/zinc/01/06/24/383010624.db2.gz RDPIEQOADIPQQP-UHFFFAOYSA-N 0 0 285.303 2.625 20 5 CFBDRN CC(=O)CN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000421908907 382967601 /nfs/dbraw/zinc/96/76/01/382967601.db2.gz VSFVEERKEKJZNY-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1)c1cc[nH]c(=O)c1 ZINC000338732389 383032495 /nfs/dbraw/zinc/03/24/95/383032495.db2.gz GJEWHZFOKILCEQ-VIFPVBQESA-N 0 0 259.265 2.869 20 5 CFBDRN COCC1(CCNc2nccc(C)c2[N+](=O)[O-])CCC1 ZINC000338736143 383033982 /nfs/dbraw/zinc/03/39/82/383033982.db2.gz LKONECXUHVCRML-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@]1(C)C[C@H]1c1ccccc1 ZINC000338739787 383035034 /nfs/dbraw/zinc/03/50/34/383035034.db2.gz LCOCIRAPMRWTBW-WFASDCNBSA-N 0 0 286.335 2.934 20 5 CFBDRN C[C@@H](F)CCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000338752171 383039246 /nfs/dbraw/zinc/03/92/46/383039246.db2.gz FJHDJQPUMGOVGA-MRVPVSSYSA-N 0 0 253.277 2.723 20 5 CFBDRN Cc1ccnc(NC[C@H](CO)C2CCCCC2)c1[N+](=O)[O-] ZINC000338769417 383043778 /nfs/dbraw/zinc/04/37/78/383043778.db2.gz XYHQBDLOTRJBNO-CYBMUJFWSA-N 0 0 293.367 2.899 20 5 CFBDRN COCc1nc(CNCc2csc([N+](=O)[O-])c2)cs1 ZINC000289753724 197470689 /nfs/dbraw/zinc/47/06/89/197470689.db2.gz IHPQDSACDHKDKD-UHFFFAOYSA-N 0 0 299.377 2.549 20 5 CFBDRN Cc1cnc(NC[C@@H](CO)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000338769597 383045005 /nfs/dbraw/zinc/04/50/05/383045005.db2.gz WAWXFZFSELZDIG-ZDUSSCGKSA-N 0 0 293.367 2.899 20 5 CFBDRN COCCN(CC(C)C)c1ncc([N+](=O)[O-])cc1Cl ZINC000448133040 383046261 /nfs/dbraw/zinc/04/62/61/383046261.db2.gz TVOLBKZHOBJTQU-UHFFFAOYSA-N 0 0 287.747 2.752 20 5 CFBDRN Nc1c(F)c(N2CC[C@@H](CC3CC3)C2)ccc1[N+](=O)[O-] ZINC000338804812 383057710 /nfs/dbraw/zinc/05/77/10/383057710.db2.gz CQBAUAFRLMNXHU-JTQLQIEISA-N 0 0 279.315 2.943 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@@H+]1C[C@@H](C)[C@@](C)(C(=O)[O-])C1 ZINC000579843974 383058594 /nfs/dbraw/zinc/05/85/94/383058594.db2.gz DBKKNXTXDCMHTO-HFAKWTLXSA-N 0 0 292.335 2.698 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@@H+]1C[C@@H](C)[C@](C)(C(=O)[O-])C1 ZINC000579843976 383058946 /nfs/dbraw/zinc/05/89/46/383058946.db2.gz DBKKNXTXDCMHTO-UEKVPHQBSA-N 0 0 292.335 2.698 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1cncs1 ZINC000338902304 383079680 /nfs/dbraw/zinc/07/96/80/383079680.db2.gz HZYPOHKOSSVTOU-ZETCQYMHSA-N 0 0 295.295 2.681 20 5 CFBDRN CC(C)OC(C)(C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000448548160 383065569 /nfs/dbraw/zinc/06/55/69/383065569.db2.gz OBHITWLWJUHQDV-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1[nH]ccc1C1CC1 ZINC000457674521 383068357 /nfs/dbraw/zinc/06/83/57/383068357.db2.gz DARJNGLRJXSWKB-UHFFFAOYSA-N 0 0 299.330 2.773 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000457710804 383080213 /nfs/dbraw/zinc/08/02/13/383080213.db2.gz PBZFNOAHOYMFFA-NHYWBVRUSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1ccc(NC(=O)CCCC(=O)C2CC2)cc1[N+](=O)[O-] ZINC000448557448 383070377 /nfs/dbraw/zinc/07/03/77/383070377.db2.gz JJNJTOVVIHBHNY-UHFFFAOYSA-N 0 0 290.319 2.991 20 5 CFBDRN COCC1(Nc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000580018495 383074535 /nfs/dbraw/zinc/07/45/35/383074535.db2.gz LYBZOHALFHTJLN-UHFFFAOYSA-N 0 0 256.689 2.839 20 5 CFBDRN O=C(NC1(c2ccccc2)CC1)c1ccc([N+](=O)[O-])o1 ZINC000338648343 383025818 /nfs/dbraw/zinc/02/58/18/383025818.db2.gz RYRNLFSCHOHXAR-UHFFFAOYSA-N 0 0 272.260 2.607 20 5 CFBDRN CC(C)(C)C1CN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000457334430 383026092 /nfs/dbraw/zinc/02/60/92/383026092.db2.gz WQIRZAGXXIYWIC-UHFFFAOYSA-N 0 0 276.336 2.642 20 5 CFBDRN CC(C)(C)C1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000457336120 383026225 /nfs/dbraw/zinc/02/62/25/383026225.db2.gz ZOSXCSSDGDXMOF-UHFFFAOYSA-N 0 0 276.336 2.642 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1Cc2cccnc2C1 ZINC000550652317 383027943 /nfs/dbraw/zinc/02/79/43/383027943.db2.gz CMKPNTWPXMBEKI-UHFFFAOYSA-N 0 0 285.303 2.514 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000457858013 383120264 /nfs/dbraw/zinc/12/02/64/383120264.db2.gz UDUYLLYOZHWXHK-IINYFYTJSA-N 0 0 289.335 2.850 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000592004786 383122000 /nfs/dbraw/zinc/12/20/00/383122000.db2.gz ZAUZRGSCVYDZDY-WPRPVWTQSA-N 0 0 279.365 2.869 20 5 CFBDRN Cc1cccc(CN(C)c2ccc(C(N)=O)cc2[N+](=O)[O-])c1 ZINC000339031936 383126006 /nfs/dbraw/zinc/12/60/06/383126006.db2.gz SYJIIKGXLCTBNE-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000596435974 383126553 /nfs/dbraw/zinc/12/65/53/383126553.db2.gz GJOAUYKONRTUPC-XYPYZODXSA-N 0 0 280.324 2.891 20 5 CFBDRN Cc1cc(N(C)CC2=CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000596464583 383126989 /nfs/dbraw/zinc/12/69/89/383126989.db2.gz FKXMEZRVXFZLTQ-UHFFFAOYSA-N 0 0 280.299 2.825 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@](O)(C2CC2)C1 ZINC000596460703 383127546 /nfs/dbraw/zinc/12/75/46/383127546.db2.gz AECILDWNQBNYFN-HNNXBMFYSA-N 0 0 276.336 2.645 20 5 CFBDRN Cc1cc(N2CCC[C@@](O)(C3CC3)C2)ccc1[N+](=O)[O-] ZINC000596464740 383128087 /nfs/dbraw/zinc/12/80/87/383128087.db2.gz XMCCZWXKBQVINO-HNNXBMFYSA-N 0 0 276.336 2.645 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@H]1COc2ccccc21 ZINC000339058000 383134602 /nfs/dbraw/zinc/13/46/02/383134602.db2.gz KNVBNJKYLBFUQO-GXFFZTMASA-N 0 0 285.303 2.966 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1C[C@@H](C)[C@H](C)C1 ZINC000553156068 383099137 /nfs/dbraw/zinc/09/91/37/383099137.db2.gz PFILIRYBLUGIGH-GHMZBOCLSA-N 0 0 292.335 2.782 20 5 CFBDRN CCC[C@H](C)N(C)c1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000553276956 383103875 /nfs/dbraw/zinc/10/38/75/383103875.db2.gz UUKBLDVXOJAJJD-JTQLQIEISA-N 0 0 295.339 2.710 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1cnc2n1CCCC2 ZINC000553254118 383104339 /nfs/dbraw/zinc/10/43/39/383104339.db2.gz QHMCOTGIHUGQKK-UHFFFAOYSA-N 0 0 290.298 2.879 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000457821432 383108968 /nfs/dbraw/zinc/10/89/68/383108968.db2.gz RMSLBUTXMJYAKX-XHDPSFHLSA-N 0 0 292.335 2.731 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000448949486 383113495 /nfs/dbraw/zinc/11/34/95/383113495.db2.gz ISLYZQWSMSRXGI-VIFPVBQESA-N 0 0 298.314 2.861 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)CC2CC2)c([N+](=O)[O-])cc1F ZINC000448964412 383117709 /nfs/dbraw/zinc/11/77/09/383117709.db2.gz FAFQEXGUGLEODI-MRVPVSSYSA-N 0 0 296.298 2.661 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000424087034 383177309 /nfs/dbraw/zinc/17/73/09/383177309.db2.gz DCSSUWLRFMMANO-CYBMUJFWSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCC1Cc2ccccc2C1 ZINC000339221762 383185184 /nfs/dbraw/zinc/18/51/84/383185184.db2.gz MEOZXEUQAUKFNC-UHFFFAOYSA-N 0 0 283.331 2.629 20 5 CFBDRN C[C@H](c1nc(-c2cocn2)no1)c1cccc([N+](=O)[O-])c1 ZINC000566645746 383197035 /nfs/dbraw/zinc/19/70/35/383197035.db2.gz XEZUANBUPOCCNV-QMMMGPOBSA-N 0 0 286.247 2.785 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ncc(-c2ccc(F)cc2)o1 ZINC000518463021 383197430 /nfs/dbraw/zinc/19/74/30/383197430.db2.gz UUUWXKLBJZUVAS-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN CC(C)CC(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000566760377 383208734 /nfs/dbraw/zinc/20/87/34/383208734.db2.gz NJNNJEJHTFDSTK-UHFFFAOYSA-N 0 0 289.335 2.781 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2ccccc2[N+](=O)[O-])n1 ZINC000339116922 383155730 /nfs/dbraw/zinc/15/57/30/383155730.db2.gz RCPWTGBRYJFIQG-SREVYHEPSA-N 0 0 257.249 2.569 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000339118664 383155764 /nfs/dbraw/zinc/15/57/64/383155764.db2.gz YVQJGJBUQOFVMP-AATRIKPKSA-N 0 0 271.276 2.878 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ncnc2[nH]ccc21 ZINC000339138446 383162525 /nfs/dbraw/zinc/16/25/25/383162525.db2.gz YTPRIWVZZAAELY-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC(C)(C)CC1 ZINC000339154230 383168400 /nfs/dbraw/zinc/16/84/00/383168400.db2.gz YTKRRPBEBQITLN-UHFFFAOYSA-N 0 0 277.324 2.560 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1[C@H](C)CCC[C@@H]1C ZINC000248512852 383252809 /nfs/dbraw/zinc/25/28/09/383252809.db2.gz CFRGOWKUSOTQGX-MSRIBSCDSA-N 0 0 291.351 2.853 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN[C@@H]1CCC[C@H]1F ZINC000339590350 383255560 /nfs/dbraw/zinc/25/55/60/383255560.db2.gz PNLVBTIFLCHDOC-CHWSQXEVSA-N 0 0 282.315 2.974 20 5 CFBDRN COc1cc(COc2cc(C)ccc2[N+](=O)[O-])ccn1 ZINC000339612368 383262217 /nfs/dbraw/zinc/26/22/17/383262217.db2.gz GSYLSNYHTAHQSE-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CC(C)[C@@H]1[C@@H](C(C)C)CN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000567445223 383276276 /nfs/dbraw/zinc/27/62/76/383276276.db2.gz KXLRRJNTQZZOEE-TZMCWYRMSA-N 0 0 291.351 2.742 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)Nc2ccncc2[N+](=O)[O-])[C@@H](C)C1 ZINC000248401294 383236605 /nfs/dbraw/zinc/23/66/05/383236605.db2.gz LSVHZIJWNQCNGX-OUAUKWLOSA-N 0 0 292.339 2.936 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1C[C@H](c2ccccc2)C1 ZINC000280567429 194885797 /nfs/dbraw/zinc/88/57/97/194885797.db2.gz KZYJNDBWQDREQI-HAQNSBGRSA-N 0 0 272.308 2.686 20 5 CFBDRN CC(F)(F)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000519867969 383243834 /nfs/dbraw/zinc/24/38/34/383243834.db2.gz NDRVXKWRZPTIRH-UHFFFAOYSA-N 0 0 277.202 2.511 20 5 CFBDRN CC(C)[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000339820880 383330693 /nfs/dbraw/zinc/33/06/93/383330693.db2.gz NWWTZGYRLCBHNF-HNNXBMFYSA-N 0 0 296.342 2.981 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc2c(c1)COC2 ZINC000522239464 383333045 /nfs/dbraw/zinc/33/30/45/383333045.db2.gz OPANXTSSCBGPFG-UHFFFAOYSA-N 0 0 298.298 2.555 20 5 CFBDRN CCc1cccc2c1N(C(=O)c1ccc([N+](=O)[O-])[nH]1)CC2 ZINC000523069983 383346576 /nfs/dbraw/zinc/34/65/76/383346576.db2.gz YLEDKGHIUUEUSQ-UHFFFAOYSA-N 0 0 285.303 2.688 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1C=CCC1 ZINC000567591131 383290159 /nfs/dbraw/zinc/29/01/59/383290159.db2.gz MLUWMIIJIOCWQZ-SNVBAGLBSA-N 0 0 275.308 2.931 20 5 CFBDRN CC(C)N(CC(F)F)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000339724024 383297851 /nfs/dbraw/zinc/29/78/51/383297851.db2.gz RFAQQMZASBRORD-UHFFFAOYSA-N 0 0 290.241 2.850 20 5 CFBDRN CCC[C@@H](CC)Nc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000521588302 383301329 /nfs/dbraw/zinc/30/13/29/383301329.db2.gz GOYBBLXHASRVBV-SNVBAGLBSA-N 0 0 279.340 2.945 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000424985687 383305645 /nfs/dbraw/zinc/30/56/45/383305645.db2.gz VSSVWJRVYXLBTN-NWDGAFQWSA-N 0 0 299.330 2.995 20 5 CFBDRN CCc1cnc(COc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000525183143 383396422 /nfs/dbraw/zinc/39/64/22/383396422.db2.gz NSMZJHVGSCMJGT-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN CCOc1cc(N2CC[C@@H]3OCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000568385790 383396439 /nfs/dbraw/zinc/39/64/39/383396439.db2.gz SCPYNUCAKIMMLO-RISCZKNCSA-N 0 0 292.335 2.609 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2c([N+](=O)[O-])ncn2C)C[C@H]1C ZINC000251858651 383398358 /nfs/dbraw/zinc/39/83/58/383398358.db2.gz PQWQWJQVMXCNKB-OPRDCNLKSA-N 0 0 252.318 2.565 20 5 CFBDRN CCN(C(=O)NCCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000340301839 383410076 /nfs/dbraw/zinc/41/00/76/383410076.db2.gz UKFNEPFNHSKNEQ-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN Cc1cncc(CN2CCc3c(cccc3[N+](=O)[O-])C2)c1 ZINC000525949206 383411154 /nfs/dbraw/zinc/41/11/54/383411154.db2.gz ZIYDQCWKKCMSBO-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN COc1ccc(O)c(NC(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000340311983 383411905 /nfs/dbraw/zinc/41/19/05/383411905.db2.gz KXWKQEWYQAGJAF-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000340086106 383351128 /nfs/dbraw/zinc/35/11/28/383351128.db2.gz YPVDGSVEWAWUKB-GHMZBOCLSA-N 0 0 262.309 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](c2cccnc2)C2CC2)nc1 ZINC000340083958 383351189 /nfs/dbraw/zinc/35/11/89/383351189.db2.gz XJFJBKAAEMGLIU-CQSZACIVSA-N 0 0 270.292 2.948 20 5 CFBDRN CN1CC[C@@H](CNc2c(Cl)cccc2[N+](=O)[O-])CC1=O ZINC000425267319 383354549 /nfs/dbraw/zinc/35/45/49/383354549.db2.gz KWJPLVUJEYPMMS-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000425271808 383355546 /nfs/dbraw/zinc/35/55/46/383355546.db2.gz JOJWLRJHPOYWOS-GFCCVEGCSA-N 0 0 276.292 2.736 20 5 CFBDRN CCOC(=O)c1cc(NCCC2CC2)ccc1[N+](=O)[O-] ZINC000467789414 383355732 /nfs/dbraw/zinc/35/57/32/383355732.db2.gz SJQWUQDZTOZNDW-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1C[C@H]1C1CCC1 ZINC000425274992 383357291 /nfs/dbraw/zinc/35/72/91/383357291.db2.gz BFLARWZOMOHYFC-RYUDHWBXSA-N 0 0 278.356 2.968 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@H]1CCCC[C@@H]1C ZINC000340108341 383357516 /nfs/dbraw/zinc/35/75/16/383357516.db2.gz HYHPKAMJKLDKMA-RYUDHWBXSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1cc(N2CC(CC(F)F)C2)ccc1[N+](=O)[O-] ZINC000425284932 383359037 /nfs/dbraw/zinc/35/90/37/383359037.db2.gz NYZDTOIZZGZOSH-UHFFFAOYSA-N 0 0 256.252 2.995 20 5 CFBDRN Nc1c(F)c(N[C@@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000425297673 383361128 /nfs/dbraw/zinc/36/11/28/383361128.db2.gz IKCRSQSZNJPNRU-RNLVFQAGSA-N 0 0 251.261 2.527 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc(NC2CCCC2)nc1 ZINC000340149500 383363099 /nfs/dbraw/zinc/36/30/99/383363099.db2.gz AWAXEZCQYTXXGR-UHFFFAOYSA-N 0 0 299.334 2.905 20 5 CFBDRN CC[C@@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2C)C1 ZINC000480054125 383414486 /nfs/dbraw/zinc/41/44/86/383414486.db2.gz ASSPFPXALRKXIC-GFCCVEGCSA-N 0 0 291.351 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCOC[C@@H]2CCCO2)cc1 ZINC000524710449 383379398 /nfs/dbraw/zinc/37/93/98/383379398.db2.gz BLNJUGLMQKWXFD-LBPRGKRZSA-N 0 0 283.349 2.883 20 5 CFBDRN Cc1c(CNC(=O)N2C[C@@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000480052353 383414858 /nfs/dbraw/zinc/41/48/58/383414858.db2.gz DEKVWLBBRIXODR-QWRGUYRKSA-N 0 0 291.351 2.843 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1C[C@@H](F)[C@@H](F)C1 ZINC000296918079 291824192 /nfs/dbraw/zinc/82/41/92/291824192.db2.gz MXEDMRUGHWPJFE-PHIMTYICSA-N 0 0 298.289 2.652 20 5 CFBDRN CNc1c(C(=O)N(C)[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000428202757 383424168 /nfs/dbraw/zinc/42/41/68/383424168.db2.gz VBBZSNMBXYTAJH-NSHDSACASA-N 0 0 277.324 2.507 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@H]3CCC[C@@H]3C2)n1 ZINC000408172085 291826688 /nfs/dbraw/zinc/82/66/88/291826688.db2.gz RQGBFMDQQVSGQI-VXGBXAGGSA-N 0 0 261.325 2.843 20 5 CFBDRN Cc1ccc(F)c(CN(C)c2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000450481189 383430687 /nfs/dbraw/zinc/43/06/87/383430687.db2.gz CZWCVVLTCZHGIC-UHFFFAOYSA-N 0 0 290.298 2.777 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000340476274 383435529 /nfs/dbraw/zinc/43/55/29/383435529.db2.gz SVQZSFUHJXCOKH-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN CC(C)C[C@@H](C(=O)[O-])[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000568772164 383435707 /nfs/dbraw/zinc/43/57/07/383435707.db2.gz OAOQHHMNHWHHLP-ZDUSSCGKSA-N 0 0 280.324 2.526 20 5 CFBDRN O=C(Nc1ccc(OC(F)F)cc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000340476315 383436265 /nfs/dbraw/zinc/43/62/65/383436265.db2.gz UAVDONIJIFFSHI-UHFFFAOYSA-N 0 0 297.217 2.777 20 5 CFBDRN O=C(Nc1cccc2ncccc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000340480717 383437020 /nfs/dbraw/zinc/43/70/20/383437020.db2.gz UHQKKWSJEUGIFT-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@H]1CC12CCCC2 ZINC000428298350 383444845 /nfs/dbraw/zinc/44/48/45/383444845.db2.gz HZHUKBDBZHIWCL-ZDUSSCGKSA-N 0 0 289.335 2.727 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H]1CC12CCCC2 ZINC000428307249 383448298 /nfs/dbraw/zinc/44/82/98/383448298.db2.gz TUYOLUSBZLMNRW-ZDUSSCGKSA-N 0 0 289.335 2.727 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2CC[C@H](F)C2)c1 ZINC000450534298 383449463 /nfs/dbraw/zinc/44/94/63/383449463.db2.gz DPKBQEVWCAQOEN-QWRGUYRKSA-N 0 0 275.283 2.867 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000253383011 383453400 /nfs/dbraw/zinc/45/34/00/383453400.db2.gz KATITBSQHHZUJF-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2[C@@H]2CCC[C@H]2O)cn1 ZINC000450688325 383482279 /nfs/dbraw/zinc/48/22/79/383482279.db2.gz WJTGNSPNYCVRAF-BFHYXJOUSA-N 0 0 291.351 2.510 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000568994832 383457914 /nfs/dbraw/zinc/45/79/14/383457914.db2.gz OCWAEHFEEXTDOA-VXGBXAGGSA-N 0 0 291.351 2.848 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000527182696 383461028 /nfs/dbraw/zinc/46/10/28/383461028.db2.gz PSKYRVMLFUYFTE-UWVGGRQHSA-N 0 0 289.335 2.792 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC(C)=C[C@H](C)C2)c1 ZINC000485425842 383464204 /nfs/dbraw/zinc/46/42/04/383464204.db2.gz KETOUTSZGBLIMQ-JTQLQIEISA-N 0 0 290.319 2.784 20 5 CFBDRN CC1(F)CC(Nc2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000450578635 383468129 /nfs/dbraw/zinc/46/81/29/383468129.db2.gz KDWXLNOBWNLSRR-UHFFFAOYSA-N 0 0 282.300 2.902 20 5 CFBDRN CCc1cnc(COc2cc(OC)ccc2[N+](=O)[O-])o1 ZINC000569118657 383470252 /nfs/dbraw/zinc/47/02/52/383470252.db2.gz CDLAAFBPJIYQNE-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN Cc1ccc(C[NH2+]CC2(C(=O)[O-])CCCC2)cc1[N+](=O)[O-] ZINC000569185262 383475269 /nfs/dbraw/zinc/47/52/69/383475269.db2.gz BTSVDNOOFKTFGZ-UHFFFAOYSA-N 0 0 292.335 2.638 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450455060 383417343 /nfs/dbraw/zinc/41/73/43/383417343.db2.gz TUGVGKSLMNJQTG-CHWSQXEVSA-N 0 0 287.319 2.714 20 5 CFBDRN COCC(COC)Nc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000450785590 383491024 /nfs/dbraw/zinc/49/10/24/383491024.db2.gz BADVKLIMMLYRKZ-UHFFFAOYSA-N 0 0 288.731 2.630 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@H](C)O ZINC000450796464 383494347 /nfs/dbraw/zinc/49/43/47/383494347.db2.gz MKWFYQOBXAOLHG-CBAPKCEASA-N 0 0 290.266 2.768 20 5 CFBDRN Cc1cnc(N2C[C@@H](C3CC3)[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000450800637 383495398 /nfs/dbraw/zinc/49/53/98/383495398.db2.gz VIINCXGZRKKUSP-JSGCOSHPSA-N 0 0 273.336 2.923 20 5 CFBDRN CC(=O)CCCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000450800854 383495467 /nfs/dbraw/zinc/49/54/67/383495467.db2.gz RHHNGMNPQTXVJI-UHFFFAOYSA-N 0 0 292.291 2.652 20 5 CFBDRN CCOc1cc(NCC2=CCCOC2)ccc1[N+](=O)[O-] ZINC000340890742 383498825 /nfs/dbraw/zinc/49/88/25/383498825.db2.gz HMEXPOAKTQTROV-UHFFFAOYSA-N 0 0 278.308 2.752 20 5 CFBDRN CC[C@H]1CN(c2c(OC)cccc2[N+](=O)[O-])CCCO1 ZINC000569473203 383503350 /nfs/dbraw/zinc/50/33/50/383503350.db2.gz VZQRWNRQVXPADT-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCC[C@H]1CCOC1 ZINC000450821433 383503733 /nfs/dbraw/zinc/50/37/33/383503733.db2.gz QZPBQNIHCGCDHQ-SECBINFHSA-N 0 0 255.245 2.539 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](C)SC ZINC000569489522 383506215 /nfs/dbraw/zinc/50/62/15/383506215.db2.gz SAQNWNNTEYPAMU-QMMMGPOBSA-N 0 0 283.353 2.717 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)c2nccn21 ZINC000450827388 383507446 /nfs/dbraw/zinc/50/74/46/383507446.db2.gz HQBRVNUXAFISPD-XCBNKYQSSA-N 0 0 293.714 2.958 20 5 CFBDRN CCn1ccnc1[C@@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000450830433 383509159 /nfs/dbraw/zinc/50/91/59/383509159.db2.gz NWFCSPITFZIYHP-SNVBAGLBSA-N 0 0 260.297 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2CCC[C@@H](O)C2)nc1 ZINC000488254665 383509287 /nfs/dbraw/zinc/50/92/87/383509287.db2.gz VLZMRPYFJZZQSR-MWLCHTKSSA-N 0 0 268.338 2.633 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H](C)n3ccnc32)ccc1[N+](=O)[O-] ZINC000450831726 383510783 /nfs/dbraw/zinc/51/07/83/383510783.db2.gz PVRIHSXDAISEIW-WCBMZHEXSA-N 0 0 273.296 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cnccn2)c2ncccc12 ZINC000340966802 383513971 /nfs/dbraw/zinc/51/39/71/383513971.db2.gz PPCXYMXMQJRKNY-UHFFFAOYSA-N 0 0 295.302 2.588 20 5 CFBDRN CN(c1ccccc1[N+](=O)[O-])[C@H](CO)CC(C)(C)C ZINC000450837279 383515430 /nfs/dbraw/zinc/51/54/30/383515430.db2.gz TYPFTHXPCGSLBN-NSHDSACASA-N 0 0 266.341 2.828 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCC[C@H](CO)C2)n1 ZINC000340984473 383518421 /nfs/dbraw/zinc/51/84/21/383518421.db2.gz CJBLHDJGJBVPLZ-STQMWFEESA-N 0 0 293.367 2.817 20 5 CFBDRN CCc1ncc(CNc2ncc(Cl)cc2[N+](=O)[O-])o1 ZINC000450844738 383518742 /nfs/dbraw/zinc/51/87/42/383518742.db2.gz IRURXDLCVINEAK-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN C[C@H](C(=O)N1CCS[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000569639681 383522253 /nfs/dbraw/zinc/52/22/53/383522253.db2.gz NQRRPHUMVSYHOE-MNOVXSKESA-N 0 0 294.376 2.662 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCC[C@@H]2CCOC2)c1 ZINC000450888361 383537310 /nfs/dbraw/zinc/53/73/10/383537310.db2.gz LSQWPODSKXLSNT-VIFPVBQESA-N 0 0 255.245 2.539 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2C[C@H](C)CCC2=O)c([N+](=O)[O-])c1 ZINC000450894224 383539544 /nfs/dbraw/zinc/53/95/44/383539544.db2.gz URYOJKZCMXLDJZ-RFAUZJTJSA-N 0 0 291.303 2.934 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2CO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000569806662 383539622 /nfs/dbraw/zinc/53/96/22/383539622.db2.gz QBPPIYLTIQVTOE-KOLCDFICSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(O[C@@H]2C[C@H](C)CCC2=O)n1 ZINC000450898149 383541940 /nfs/dbraw/zinc/54/19/40/383541940.db2.gz SQQHHCYRXQLUCF-PRHODGIISA-N 0 0 278.308 2.743 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)NCC1CCCC1 ZINC000341153271 383586066 /nfs/dbraw/zinc/58/60/66/383586066.db2.gz JNIGEGGFEHOXSJ-UHFFFAOYSA-N 0 0 298.289 2.722 20 5 CFBDRN Cc1sc(C(=O)N2CCC=C(C)C2)cc1[N+](=O)[O-] ZINC000280821661 194988600 /nfs/dbraw/zinc/98/86/00/194988600.db2.gz VJQKGCBNJSOMQS-UHFFFAOYSA-N 0 0 266.322 2.757 20 5 CFBDRN CCOc1cc(N2CC[C@@H]2CC)ccc1[N+](=O)[O-] ZINC000489048452 383593176 /nfs/dbraw/zinc/59/31/76/383593176.db2.gz UIFAXIVCYMAASE-JTQLQIEISA-N 0 0 250.298 2.982 20 5 CFBDRN CCC1(CNC(=O)COc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000430828258 383604577 /nfs/dbraw/zinc/60/45/77/383604577.db2.gz ARGVLGRVXXINRK-UHFFFAOYSA-N 0 0 292.335 2.588 20 5 CFBDRN CC(F)(F)CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000570504208 383609734 /nfs/dbraw/zinc/60/97/34/383609734.db2.gz TWTTUVRFXPNJCU-UHFFFAOYSA-N 0 0 278.642 2.633 20 5 CFBDRN COc1cc(O[C@H]2C[C@H](C)CCC2=O)ccc1[N+](=O)[O-] ZINC000450929661 383554647 /nfs/dbraw/zinc/55/46/47/383554647.db2.gz ITPUHLMGFPSWQJ-OTYXRUKQSA-N 0 0 279.292 2.740 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000450931724 383555644 /nfs/dbraw/zinc/55/56/44/383555644.db2.gz BRDVPZJRKVSEGH-LDYMZIIASA-N 0 0 283.303 2.686 20 5 CFBDRN COc1ccc(NC(=O)N(C)[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000488578902 383563460 /nfs/dbraw/zinc/56/34/60/383563460.db2.gz IEOWJBLWMTYZPS-LBPRGKRZSA-N 0 0 293.323 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC[C@@H]2CCCO2)c1 ZINC000570043720 383564815 /nfs/dbraw/zinc/56/48/15/383564815.db2.gz WQQNEUXLUOOHEX-JTQLQIEISA-N 0 0 267.281 2.551 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1c(C)nn(C)c1C ZINC000429799027 383569882 /nfs/dbraw/zinc/56/98/82/383569882.db2.gz BUDHPVIKVIHVEK-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H]2CCCN(Cc3ccon3)C2)cc1 ZINC000451549205 383642253 /nfs/dbraw/zinc/64/22/53/383642253.db2.gz PEFSSJNQAYWQMM-CYBMUJFWSA-N 0 0 287.319 2.962 20 5 CFBDRN Cc1nsc(C)c1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000431264328 383643013 /nfs/dbraw/zinc/64/30/13/383643013.db2.gz AMVGIIBKXMYDOL-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+]1CCC[C@@H](C(=O)[O-])[C@H]1C ZINC000263034299 383650866 /nfs/dbraw/zinc/65/08/66/383650866.db2.gz HPTRJWMPBGPPJL-BXUZGUMPSA-N 0 0 292.335 2.588 20 5 CFBDRN CC1(C)CC[C@H](CNc2ccc([N+](=O)[O-])c(N)c2F)O1 ZINC000490062520 383651059 /nfs/dbraw/zinc/65/10/59/383651059.db2.gz LWARCMHIVLFYEM-MRVPVSSYSA-N 0 0 283.303 2.686 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2C[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000431137026 383632976 /nfs/dbraw/zinc/63/29/76/383632976.db2.gz CGKOYISTQOZXQF-WDEREUQCSA-N 0 0 292.360 2.845 20 5 CFBDRN Cc1ccc(-c2nc(C[C@@H]3CCCO3)no2)cc1[N+](=O)[O-] ZINC000264561520 383755329 /nfs/dbraw/zinc/75/53/29/383755329.db2.gz AICHUUFGVKCUHQ-NSHDSACASA-N 0 0 289.291 2.675 20 5 CFBDRN CCO[C@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264588097 383759741 /nfs/dbraw/zinc/75/97/41/383759741.db2.gz DXMFBXXMJURRAX-QWRGUYRKSA-N 0 0 275.264 2.537 20 5 CFBDRN O=C(NC1CC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000435835200 383780265 /nfs/dbraw/zinc/78/02/65/383780265.db2.gz LSOJNJVWULDWFU-UHFFFAOYSA-N 0 0 278.311 2.574 20 5 CFBDRN CC[C@@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000264735658 383778075 /nfs/dbraw/zinc/77/80/75/383778075.db2.gz JQFHHUVKWLUJMA-ZWNOBZJWSA-N 0 0 292.335 2.801 20 5 CFBDRN COc1ccncc1CNc1ccc([N+](=O)[O-])cc1F ZINC000431412459 383669438 /nfs/dbraw/zinc/66/94/38/383669438.db2.gz DKUUREIFSUGTAA-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C3CCC2CC3)c(Br)c1 ZINC000530903338 383803501 /nfs/dbraw/zinc/80/35/01/383803501.db2.gz PNTWWFHESPGBRT-UHFFFAOYSA-N 0 0 298.140 2.884 20 5 CFBDRN CCc1noc(-c2ccc(NC3CC3)c([N+](=O)[O-])c2)n1 ZINC000265001573 383814346 /nfs/dbraw/zinc/81/43/46/383814346.db2.gz KPMKLMWLSCLNQT-UHFFFAOYSA-N 0 0 274.280 2.782 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCC3CCC3)n2)o1 ZINC000453248026 383815443 /nfs/dbraw/zinc/81/54/43/383815443.db2.gz BRBSKMTVQLOWNG-UHFFFAOYSA-N 0 0 263.253 2.971 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])cc2)nc1 ZINC000436458133 383819055 /nfs/dbraw/zinc/81/90/55/383819055.db2.gz YQTHYWZRVYNRTM-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000264849600 383790630 /nfs/dbraw/zinc/79/06/30/383790630.db2.gz ZRSSCVZGLCODEB-HZMBPMFUSA-N 0 0 289.335 2.962 20 5 CFBDRN O=C(NCC1CCSCC1)c1ccc([N+](=O)[O-])cc1F ZINC000264874844 383795387 /nfs/dbraw/zinc/79/53/87/383795387.db2.gz BMYFFHFTGRKDGP-UHFFFAOYSA-N 0 0 298.339 2.607 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC1CCSCC1 ZINC000264898879 383798482 /nfs/dbraw/zinc/79/84/82/383798482.db2.gz GYVXTHLDWYARPR-UHFFFAOYSA-N 0 0 294.376 2.776 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@H]1C ZINC000265124879 383838823 /nfs/dbraw/zinc/83/88/23/383838823.db2.gz LAHZJKIPKJNUIN-NEPJUHHUSA-N 0 0 291.351 2.796 20 5 CFBDRN Cc1nn(C)c(Nc2ccc3c(c2)CCCO3)c1[N+](=O)[O-] ZINC000265153285 383844945 /nfs/dbraw/zinc/84/49/45/383844945.db2.gz UBYVHAUMWDASDJ-UHFFFAOYSA-N 0 0 288.307 2.705 20 5 CFBDRN CCc1ccc(CCn2cccc([N+](=O)[O-])c2=O)cc1 ZINC000265153618 383845025 /nfs/dbraw/zinc/84/50/25/383845025.db2.gz GTLNBEYQRJVCGR-UHFFFAOYSA-N 0 0 272.304 2.562 20 5 CFBDRN CSc1ccc(C(=O)N2CCC2(C)C)cc1[N+](=O)[O-] ZINC000531324613 383848818 /nfs/dbraw/zinc/84/88/18/383848818.db2.gz APLYVJIPJIKMNR-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN COC1CCC(N(C)C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000265210186 383860735 /nfs/dbraw/zinc/86/07/35/383860735.db2.gz PXTLVYCZHFXDBM-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN Cc1nn(C)c(Oc2ccc3c(c2)CCC3)c1[N+](=O)[O-] ZINC000265221321 383864851 /nfs/dbraw/zinc/86/48/51/383864851.db2.gz GNALJXXPDOLPOH-UHFFFAOYSA-N 0 0 273.292 2.918 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2ccc(C)nc2)c1 ZINC000531433223 383867788 /nfs/dbraw/zinc/86/77/88/383867788.db2.gz BPPLFUQMJGMNRK-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1cc(CNc2ncc([N+](=O)[O-])cc2C)cc(C)c1O ZINC000436704284 383876501 /nfs/dbraw/zinc/87/65/01/383876501.db2.gz GWCZYNADFOCHMB-UHFFFAOYSA-N 0 0 287.319 2.655 20 5 CFBDRN CCC(C)(C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000265417489 383910335 /nfs/dbraw/zinc/91/03/35/383910335.db2.gz PCIVDWURSXAJBN-UHFFFAOYSA-N 0 0 264.325 2.784 20 5 CFBDRN CCOC1(C)CN(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000454152844 383918901 /nfs/dbraw/zinc/91/89/01/383918901.db2.gz UDPPDXYCZFMAND-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000454155765 383919107 /nfs/dbraw/zinc/91/91/07/383919107.db2.gz WXBFICAFLILKRJ-ZJUUUORDSA-N 0 0 263.297 2.762 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](CO)Cc2ccco2)c1 ZINC000531788349 383920201 /nfs/dbraw/zinc/92/02/01/383920201.db2.gz YLXOWCSESGYKNV-LBPRGKRZSA-N 0 0 290.319 2.759 20 5 CFBDRN CC(C)C[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000531813135 383924806 /nfs/dbraw/zinc/92/48/06/383924806.db2.gz RELNYIRSJFPFHJ-LBPRGKRZSA-N 0 0 293.367 2.974 20 5 CFBDRN CCC(C)(C)N(C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000265469018 383925674 /nfs/dbraw/zinc/92/56/74/383925674.db2.gz DRHXXRSOTCNABK-UHFFFAOYSA-N 0 0 279.340 2.654 20 5 CFBDRN C[C@H]1SCCN(c2nc3sccn3c2[N+](=O)[O-])[C@H]1C ZINC000265482616 383929022 /nfs/dbraw/zinc/92/90/22/383929022.db2.gz MAUBVDGGKHRJHQ-JGVFFNPUSA-N 0 0 298.393 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCO[C@H]2CCCCO2)cc1 ZINC000281056791 195087512 /nfs/dbraw/zinc/08/75/12/195087512.db2.gz YBYUSFHYVZNVCF-AWEZNQCLSA-N 0 0 280.324 2.940 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2CCC[C@H]2C1)c1ccc([N+](=O)[O-])cn1 ZINC000531634713 383901404 /nfs/dbraw/zinc/90/14/04/383901404.db2.gz XORAJHBZBUNBPR-GRYCIOLGSA-N 0 0 289.335 2.688 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@H](NCc1ncccn1)CCC2 ZINC000573267361 383901468 /nfs/dbraw/zinc/90/14/68/383901468.db2.gz FUHMPJPCAGRZKV-CQSZACIVSA-N 0 0 284.319 2.552 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](OC(F)F)C2)c1 ZINC000573269111 383902031 /nfs/dbraw/zinc/90/20/31/383902031.db2.gz XEPTTXJQQGTCPB-VIFPVBQESA-N 0 0 272.251 2.721 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC(C)=C(C)C1 ZINC000281034364 195078022 /nfs/dbraw/zinc/07/80/22/195078022.db2.gz QRVFDWJITXPNAT-UHFFFAOYSA-N 0 0 264.329 2.666 20 5 CFBDRN CC(C)CN(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000265364856 383902235 /nfs/dbraw/zinc/90/22/35/383902235.db2.gz XZGBLRLDEGUJAN-UHFFFAOYSA-N 0 0 284.743 2.905 20 5 CFBDRN CC(C)CC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531633180 383902645 /nfs/dbraw/zinc/90/26/45/383902645.db2.gz FQUMLZIHQFKSRS-UHFFFAOYSA-N 0 0 279.340 2.792 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H]1C(C)C ZINC000454130373 383905880 /nfs/dbraw/zinc/90/58/80/383905880.db2.gz HLGDKHMKANSPET-LBPRGKRZSA-N 0 0 293.323 2.866 20 5 CFBDRN COc1ccc(OCc2nccs2)c([N+](=O)[O-])c1 ZINC000161154712 533022520 /nfs/dbraw/zinc/02/25/20/533022520.db2.gz CSNYGSPOOSEZFC-UHFFFAOYSA-N 0 0 266.278 2.639 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532138081 383981752 /nfs/dbraw/zinc/98/17/52/383981752.db2.gz IBJJHAXRBUYIQW-MNOVXSKESA-N 0 0 277.324 2.688 20 5 CFBDRN CC(C)C[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532137918 383982419 /nfs/dbraw/zinc/98/24/19/383982419.db2.gz YQFUDZYPEZOCOC-NSHDSACASA-N 0 0 277.324 2.641 20 5 CFBDRN CC(C)[C@@H]1[C@@H](C(C)C)CN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000454305898 383985877 /nfs/dbraw/zinc/98/58/77/383985877.db2.gz BMIGXDCKNKIIJU-DGCLKSJQSA-N 0 0 279.340 2.676 20 5 CFBDRN CSCCCNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265746717 383990492 /nfs/dbraw/zinc/99/04/92/383990492.db2.gz FYXSQEGGGPABTJ-UHFFFAOYSA-N 0 0 296.392 2.742 20 5 CFBDRN C[C@H](NC(=O)NC1(CF)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000573797619 383991988 /nfs/dbraw/zinc/99/19/88/383991988.db2.gz KRVPRDBSYNNZTQ-JTQLQIEISA-N 0 0 295.314 2.847 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000569464560 322474642 /nfs/dbraw/zinc/47/46/42/322474642.db2.gz YNMSALCXNKKUOH-ZANVPECISA-N 0 0 270.304 2.943 20 5 CFBDRN Cc1noc(C)c1CCNc1ncc([N+](=O)[O-])cc1Cl ZINC000265546482 383942630 /nfs/dbraw/zinc/94/26/30/383942630.db2.gz PXFJXMYVCBTXRQ-UHFFFAOYSA-N 0 0 296.714 2.903 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000265594623 383953239 /nfs/dbraw/zinc/95/32/39/383953239.db2.gz SQPRCGRCJMQQSJ-PSASIEDQSA-N 0 0 282.727 2.563 20 5 CFBDRN CSCCCNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000265635419 383964758 /nfs/dbraw/zinc/96/47/58/383964758.db2.gz UPBHHIHZMQLBIH-UHFFFAOYSA-N 0 0 293.348 2.559 20 5 CFBDRN Cc1nc(C2CCC2)nn1Cc1ccccc1[N+](=O)[O-] ZINC000438191348 383969055 /nfs/dbraw/zinc/96/90/55/383969055.db2.gz PQVJQEKLZDEFEK-UHFFFAOYSA-N 0 0 272.308 2.811 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1(C2CC2)CC1 ZINC000532370478 384017745 /nfs/dbraw/zinc/01/77/45/384017745.db2.gz XNEZQVRNROMSBI-UHFFFAOYSA-N 0 0 260.293 2.576 20 5 CFBDRN Cc1ccnc(NC[C@@](C)(O)c2ccsc2)c1[N+](=O)[O-] ZINC000265876613 384021900 /nfs/dbraw/zinc/02/19/00/384021900.db2.gz XGITVPAQXIXHKT-CYBMUJFWSA-N 0 0 293.348 2.679 20 5 CFBDRN CC(C)OCCCN(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000265870362 384022055 /nfs/dbraw/zinc/02/20/55/384022055.db2.gz VKAVEITUHNWQSO-UHFFFAOYSA-N 0 0 287.747 2.895 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532393009 384023072 /nfs/dbraw/zinc/02/30/72/384023072.db2.gz WQALOOFDDRZWPW-BMIGLBTASA-N 0 0 297.314 2.653 20 5 CFBDRN CCOc1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000532391000 384023330 /nfs/dbraw/zinc/02/33/30/384023330.db2.gz ISPNSVXRZZNVQM-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN C[C@H]1Cc2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532392915 384023484 /nfs/dbraw/zinc/02/34/84/384023484.db2.gz HCFUDLNQBQMGSZ-NSHDSACASA-N 0 0 297.314 2.577 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532393377 384024944 /nfs/dbraw/zinc/02/49/44/384024944.db2.gz RMYPJXFVXKMHOQ-MNOVXSKESA-N 0 0 277.324 2.641 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCOC(C)C ZINC000265836681 384013847 /nfs/dbraw/zinc/01/38/47/384013847.db2.gz YFIPDCPRPGLLMV-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN CCCCN(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000265988558 384046627 /nfs/dbraw/zinc/04/66/27/384046627.db2.gz HILQMWMMVKXVBG-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1C[C@H](C)C[C@H]1C ZINC000532587795 384048072 /nfs/dbraw/zinc/04/80/72/384048072.db2.gz WTCALKTUOPNDEX-ZYHUDNBSSA-N 0 0 291.351 2.572 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1C[C@H]1C ZINC000439922965 384050586 /nfs/dbraw/zinc/05/05/86/384050586.db2.gz BZCHYJVDXIWVLN-ZJUUUORDSA-N 0 0 293.323 2.771 20 5 CFBDRN CC(C)[C@@H](C)CC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266026244 384057138 /nfs/dbraw/zinc/05/71/38/384057138.db2.gz HSOFAAAZJQTTDW-LBPRGKRZSA-N 0 0 278.352 2.936 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(C(F)F)CC2)s1 ZINC000574174170 384057322 /nfs/dbraw/zinc/05/73/22/384057322.db2.gz RXNAPXFQRHBYAB-UHFFFAOYSA-N 0 0 263.269 2.533 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000266027361 384058969 /nfs/dbraw/zinc/05/89/69/384058969.db2.gz BNPLNXKQUGLVRS-KBPBESRZSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@@H+]2CCCC3(CCOCC3)C2)cc1 ZINC000266028038 384059541 /nfs/dbraw/zinc/05/95/41/384059541.db2.gz QWAWDXJOYXSTGQ-UHFFFAOYSA-N 0 0 290.363 2.987 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCC1CCCC1 ZINC000266038414 384060539 /nfs/dbraw/zinc/06/05/39/384060539.db2.gz XAUQEJZBBYBEQJ-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])C1CCCCC1 ZINC000266067386 384068703 /nfs/dbraw/zinc/06/87/03/384068703.db2.gz SDPDHFJCHHZBKA-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])[nH]1)Cc1cccs1 ZINC000266160433 384097688 /nfs/dbraw/zinc/09/76/88/384097688.db2.gz OKNZJKIFVPUTMK-VIFPVBQESA-N 0 0 293.348 2.593 20 5 CFBDRN CCCCN(C)c1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000266163120 384099885 /nfs/dbraw/zinc/09/98/85/384099885.db2.gz XAJVBFYNFHDVAS-UHFFFAOYSA-N 0 0 293.323 2.788 20 5 CFBDRN Cc1nn(CCCN(C)c2ccccc2)c(C)c1[N+](=O)[O-] ZINC000574518146 384107433 /nfs/dbraw/zinc/10/74/33/384107433.db2.gz VKSMARUBXPQOPO-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN Cc1cnc(N2CCS[C@@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000266189379 384107543 /nfs/dbraw/zinc/10/75/43/384107543.db2.gz FSBCKQHTFHYHLN-ZJUUUORDSA-N 0 0 267.354 2.628 20 5 CFBDRN Cc1cnc(NCC[C@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000266199995 384113956 /nfs/dbraw/zinc/11/39/56/384113956.db2.gz IZZXYUFCFKGGSQ-AWEZNQCLSA-N 0 0 287.319 2.834 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC(c2ncc[nH]2)CC1 ZINC000264964935 285076489 /nfs/dbraw/zinc/07/64/89/285076489.db2.gz XXPAGFDXNDMDFP-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CCC[C@H]2F)ccc1[N+](=O)[O-] ZINC000455082786 384135421 /nfs/dbraw/zinc/13/54/21/384135421.db2.gz KRZVXLLSZKZBNN-MNOVXSKESA-N 0 0 281.287 2.915 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCC[C@@H]2O)ccc2ncccc21 ZINC000089167739 285078638 /nfs/dbraw/zinc/07/86/38/285078638.db2.gz PYFONMKBUYWPIK-HZMBPMFUSA-N 0 0 287.319 2.716 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)C[C@@H]21 ZINC000266246742 384126948 /nfs/dbraw/zinc/12/69/48/384126948.db2.gz RFINFYZDWIAZPI-PHIMTYICSA-N 0 0 299.330 2.804 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccccc3)no2)c1 ZINC000345744231 285080277 /nfs/dbraw/zinc/08/02/77/285080277.db2.gz GCYDUCHXOVTEKX-UHFFFAOYSA-N 0 0 256.221 2.640 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000266320451 384146499 /nfs/dbraw/zinc/14/64/99/384146499.db2.gz SIFXPNBSQHUBNN-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@@H](C)O[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000413526341 533035968 /nfs/dbraw/zinc/03/59/68/533035968.db2.gz BMYGJUASOJYPMZ-UTLUCORTSA-N 0 0 298.314 2.968 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@@](=O)[C@H](C)C(C)C ZINC000266370252 384159370 /nfs/dbraw/zinc/15/93/70/384159370.db2.gz RFUFISHVZBOQIJ-GIGQVBGESA-N 0 0 285.365 2.897 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266452360 384177834 /nfs/dbraw/zinc/17/78/34/384177834.db2.gz BGFASXOBMSBFRY-AAEUAGOBSA-N 0 0 291.351 2.625 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC(CC(F)F)C1 ZINC000425285565 285091285 /nfs/dbraw/zinc/09/12/85/285091285.db2.gz OXQLRTZVRXOYFU-UHFFFAOYSA-N 0 0 277.658 2.735 20 5 CFBDRN C[C@@H](N(C)c1ccc(C(N)=O)cc1[N+](=O)[O-])C(C)(C)C ZINC000569838390 322500213 /nfs/dbraw/zinc/50/02/13/322500213.db2.gz LXDQFQRCKHALMG-SECBINFHSA-N 0 0 279.340 2.565 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(N2CC[C@@H](CO)C2)c(Cl)c1 ZINC000185458679 285087515 /nfs/dbraw/zinc/08/75/15/285087515.db2.gz KHUKAXLEDSSAAU-SSDOTTSWSA-N 0 0 291.134 2.720 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC2(C1)CCOCC2 ZINC000266484140 384184164 /nfs/dbraw/zinc/18/41/64/384184164.db2.gz QTHDQYBHDQQPPM-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H]1c1cc[nH]n1 ZINC000278771638 285091917 /nfs/dbraw/zinc/09/19/17/285091917.db2.gz UVHNDJSACZWSDS-JTQLQIEISA-N 0 0 293.714 2.708 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CC[C@@H](F)C1 ZINC000340982011 285092496 /nfs/dbraw/zinc/09/24/96/285092496.db2.gz VMAPYHFXDZMIIB-HTQZYQBOSA-N 0 0 259.668 2.946 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CC(F)(F)C2)c(Cl)c1 ZINC000277203939 384231517 /nfs/dbraw/zinc/23/15/17/384231517.db2.gz QBMAKELERRJEES-UHFFFAOYSA-N 0 0 263.631 2.853 20 5 CFBDRN C/C=C(/CC)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000277234531 384239188 /nfs/dbraw/zinc/23/91/88/384239188.db2.gz QMZNRNOBESADCP-BASWHVEKSA-N 0 0 262.309 2.610 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2C[C@H]3OCCC[C@H]23)c(F)c1 ZINC000302568837 285094449 /nfs/dbraw/zinc/09/44/49/285094449.db2.gz PTMFHROPZRKAJV-GGZOMVNGSA-N 0 0 284.262 2.852 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Oc2cccnc2[N+](=O)[O-])c(F)c1 ZINC000301792399 285094563 /nfs/dbraw/zinc/09/45/63/285094563.db2.gz OSHMXKGITGWLEZ-UHFFFAOYSA-N 0 0 297.173 2.969 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC(c2cn[nH]c2)CC1 ZINC000373994555 285095092 /nfs/dbraw/zinc/09/50/92/285095092.db2.gz WVBFKTMMTGDGDV-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@H](C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000277179575 384223302 /nfs/dbraw/zinc/22/33/02/384223302.db2.gz VKLFXOGQHPJADZ-VIFPVBQESA-N 0 0 296.371 2.819 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H](OC)C(C)C)cc1[N+](=O)[O-] ZINC000266878417 384251950 /nfs/dbraw/zinc/25/19/50/384251950.db2.gz NLPDTOSIYAASRT-CQSZACIVSA-N 0 0 294.351 2.558 20 5 CFBDRN C[C@H]1C[N@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C(C)(C)C1 ZINC000266960966 384269617 /nfs/dbraw/zinc/26/96/17/384269617.db2.gz XOIPPGWRWSJJGQ-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@@H](C)OC ZINC000266983621 384272664 /nfs/dbraw/zinc/27/26/64/384272664.db2.gz RBYZYUQXWRKQOQ-SNVBAGLBSA-N 0 0 268.313 2.578 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266814652 384242292 /nfs/dbraw/zinc/24/22/92/384242292.db2.gz PXWBIIKOULYTKI-GFCCVEGCSA-N 0 0 293.367 2.823 20 5 CFBDRN CCNC(=O)C1(CNc2cccc(C)c2[N+](=O)[O-])CCC1 ZINC000277471979 384312248 /nfs/dbraw/zinc/31/22/48/384312248.db2.gz RNXPCSREYIXFQK-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN CC[C@@H](C)c1nnc([C@@H](C)Nc2ncccc2[N+](=O)[O-])[nH]1 ZINC000277501883 384320651 /nfs/dbraw/zinc/32/06/51/384320651.db2.gz VJMHGORMFSGBBX-RKDXNWHRSA-N 0 0 290.327 2.795 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ccc([N+](=O)[O-])cc2C)o1 ZINC000267031619 384282506 /nfs/dbraw/zinc/28/25/06/384282506.db2.gz LHDGCDBGHGKERM-ZDUSSCGKSA-N 0 0 276.292 2.950 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])cc1F)C[C@@H]1CCCO1 ZINC000267036379 384283862 /nfs/dbraw/zinc/28/38/62/384283862.db2.gz NSQRXGIYMLOOPD-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCC[C@H]2C(C)(C)C)c1[N+](=O)[O-] ZINC000277681362 384373835 /nfs/dbraw/zinc/37/38/35/384373835.db2.gz FRSJXGFIBAQQFP-JTQLQIEISA-N 0 0 294.355 2.531 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277594256 384349253 /nfs/dbraw/zinc/34/92/53/384349253.db2.gz NVLUSFHTFZMDHK-VHSXEESVSA-N 0 0 280.324 2.768 20 5 CFBDRN O=C(CCC1CCC1)NCCc1ccccc1[N+](=O)[O-] ZINC000267291510 384349990 /nfs/dbraw/zinc/34/99/90/384349990.db2.gz ZYNHOGDUAVYCKX-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CCCN(CCC)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277643885 384362982 /nfs/dbraw/zinc/36/29/82/384362982.db2.gz UITMJJLOEDGFPE-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCOCC3)n2)cc1 ZINC000349894195 285107528 /nfs/dbraw/zinc/10/75/28/285107528.db2.gz VDWZWHUJGUOLIK-LLVKDONJSA-N 0 0 289.291 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC[C@@H]3CCOC3)n2)cc1 ZINC000267573354 384416478 /nfs/dbraw/zinc/41/64/78/384416478.db2.gz OIQYBLZCNMZKSY-SNVBAGLBSA-N 0 0 289.291 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3cncc(F)c3)n2)cc1 ZINC000355470848 285106240 /nfs/dbraw/zinc/10/62/40/285106240.db2.gz PIUSAAYGFRUEJW-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN CCS[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000267381808 384375324 /nfs/dbraw/zinc/37/53/24/384375324.db2.gz IHJAOWBSAFSUEH-ONGXEEELSA-N 0 0 297.380 2.717 20 5 CFBDRN CCS[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000267381800 384375695 /nfs/dbraw/zinc/37/56/95/384375695.db2.gz IHJAOWBSAFSUEH-GXSJLCMTSA-N 0 0 297.380 2.717 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NC1CCC2(CC2)CC1 ZINC000277707891 384381625 /nfs/dbraw/zinc/38/16/25/384381625.db2.gz WXMDSCNGYWRQFQ-UHFFFAOYSA-N 0 0 289.335 2.630 20 5 CFBDRN COc1ccnc(N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000267695382 384445752 /nfs/dbraw/zinc/44/57/52/384445752.db2.gz RASICNCEDNZFDB-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000267624519 384427587 /nfs/dbraw/zinc/42/75/87/384427587.db2.gz INRGQZOZXCJKHU-PHIMTYICSA-N 0 0 262.309 2.631 20 5 CFBDRN CSCc1ccc(CNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000343950506 220279583 /nfs/dbraw/zinc/27/95/83/220279583.db2.gz ALRSOOYFTBCDGH-UHFFFAOYSA-N 0 0 289.360 2.887 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])ccc1Cl)C1CCC1 ZINC000277933054 384442549 /nfs/dbraw/zinc/44/25/49/384442549.db2.gz HZHJIUNQAZZRFU-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN CCCC[C@H]1NC(=O)N(c2ccc(C)c([N+](=O)[O-])c2)C1=O ZINC000278082965 384471802 /nfs/dbraw/zinc/47/18/02/384471802.db2.gz OACQUFFTBBYJHO-LLVKDONJSA-N 0 0 291.307 2.518 20 5 CFBDRN CCC(C)(C)C(=O)COc1ccc([N+](=O)[O-])cc1OC ZINC000289418773 197336989 /nfs/dbraw/zinc/33/69/89/197336989.db2.gz VYHBITLPBMBPRS-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN C[C@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCS1 ZINC000278126200 384480321 /nfs/dbraw/zinc/48/03/21/384480321.db2.gz YVVROTDPNANOKE-JTQLQIEISA-N 0 0 293.392 2.895 20 5 CFBDRN C[C@@H]1CC/C(=C\C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000267871131 384482224 /nfs/dbraw/zinc/48/22/24/384482224.db2.gz QMCDADYQWHFHTK-LWMMSDEHSA-N 0 0 274.320 2.957 20 5 CFBDRN CC(C)OCCCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278146437 384483319 /nfs/dbraw/zinc/48/33/19/384483319.db2.gz BAFIWFFNYFMSJJ-UHFFFAOYSA-N 0 0 279.340 2.790 20 5 CFBDRN C[C@@H]1CCCN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000267754616 384458023 /nfs/dbraw/zinc/45/80/23/384458023.db2.gz MWCNCEYQPGTRML-LLVKDONJSA-N 0 0 276.336 2.883 20 5 CFBDRN CCN(CCOC)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000267994464 384506466 /nfs/dbraw/zinc/50/64/66/384506466.db2.gz SKMUTULEVCJZIP-UHFFFAOYSA-N 0 0 295.339 2.712 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[S@@](=O)C(C)(C)C)c1 ZINC000268003935 384507876 /nfs/dbraw/zinc/50/78/76/384507876.db2.gz CCZJGHOQLGNQTQ-LJQANCHMSA-N 0 0 284.381 2.862 20 5 CFBDRN COCCSCCCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000278173694 384491088 /nfs/dbraw/zinc/49/10/88/384491088.db2.gz BNBVWEYUZAMDCS-UHFFFAOYSA-N 0 0 299.348 2.556 20 5 CFBDRN C[C@@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)[C@H]1CCCCO1 ZINC000278175298 384491097 /nfs/dbraw/zinc/49/10/97/384491097.db2.gz BEPRXOHFBUKETQ-NOZJJQNGSA-N 0 0 290.323 2.841 20 5 CFBDRN C[C@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])CCC1=O ZINC000278379328 384549067 /nfs/dbraw/zinc/54/90/67/384549067.db2.gz LLGDJELHIJVVSU-JTQLQIEISA-N 0 0 285.303 2.558 20 5 CFBDRN CSCCN(C)c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000570446146 322532949 /nfs/dbraw/zinc/53/29/49/322532949.db2.gz PCXMOMSIKGYBKQ-UHFFFAOYSA-N 0 0 281.381 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@H]3CCOC3)CC2)cc1 ZINC000374645368 285121710 /nfs/dbraw/zinc/12/17/10/285121710.db2.gz NTGGIGUYEUTSIF-ZDUSSCGKSA-N 0 0 276.336 2.848 20 5 CFBDRN CC1(C2CCN(c3ccccc3[N+](=O)[O-])CC2)OCCO1 ZINC000268138952 384540738 /nfs/dbraw/zinc/54/07/38/384540738.db2.gz ZSWQRBDDIQEQTA-UHFFFAOYSA-N 0 0 292.335 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCn2cc(-n3cccc3)cn2)cc1 ZINC000359947821 220317026 /nfs/dbraw/zinc/31/70/26/220317026.db2.gz TUGTXPRDCTZEJW-UHFFFAOYSA-N 0 0 298.302 2.661 20 5 CFBDRN CC[C@H]1CCC[C@@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000268222089 384570942 /nfs/dbraw/zinc/57/09/42/384570942.db2.gz KCSRPMXGBQRSLQ-ONGXEEELSA-N 0 0 277.324 2.684 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@H](CO)CC2)c(F)c1 ZINC000268256612 384581297 /nfs/dbraw/zinc/58/12/97/384581297.db2.gz JDJUADULLSTXQW-MGCOHNPYSA-N 0 0 268.288 2.697 20 5 CFBDRN CCC[C@](C)(O)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278415555 384564872 /nfs/dbraw/zinc/56/48/72/384564872.db2.gz OFQJOTFTZBXYSV-AWEZNQCLSA-N 0 0 279.340 2.526 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)CCO1 ZINC000279321195 384610163 /nfs/dbraw/zinc/61/01/63/384610163.db2.gz CMDRYTKPVJQTIK-LLVKDONJSA-N 0 0 297.330 2.567 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cc1Cl)C1CCC(CO)CC1 ZINC000268287186 384591416 /nfs/dbraw/zinc/59/14/16/384591416.db2.gz SGILOGPBXXYRAN-UHFFFAOYSA-N 0 0 299.758 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3OCC[C@H]3C2)c(Cl)c1 ZINC000375721567 285130756 /nfs/dbraw/zinc/13/07/56/285130756.db2.gz WJPNMKNATGFSKB-TVQRCGJNSA-N 0 0 282.727 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](c3ccccc3)C2)cn1 ZINC000344429608 285130829 /nfs/dbraw/zinc/13/08/29/285130829.db2.gz ISOKWGHDXRKDPI-ZDUSSCGKSA-N 0 0 269.304 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3cccc(F)c3C2)nc1 ZINC000301966575 285132002 /nfs/dbraw/zinc/13/20/02/285132002.db2.gz OOMNDCUBIHHAKN-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(CCOC3)C2)c2cccnc21 ZINC000413177487 285131405 /nfs/dbraw/zinc/13/14/05/285131405.db2.gz ATGYHDGBVJPZQH-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](F)C2)c2ccncc21 ZINC000450593616 285130233 /nfs/dbraw/zinc/13/02/33/285130233.db2.gz BLRHTMXLBIEUCM-VIFPVBQESA-N 0 0 261.256 2.691 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)C1CC1 ZINC000279684117 384723038 /nfs/dbraw/zinc/72/30/38/384723038.db2.gz HFBHAXGRLAJKCJ-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000279556385 384677301 /nfs/dbraw/zinc/67/73/01/384677301.db2.gz UCZONBMNPCPIBC-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(C)(C)[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000279663409 384713699 /nfs/dbraw/zinc/71/36/99/384713699.db2.gz CVBYKROPIDXESN-AWEZNQCLSA-N 0 0 278.352 2.842 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCCC(C)(C)C2)c1[N+](=O)[O-] ZINC000269318003 384748144 /nfs/dbraw/zinc/74/81/44/384748144.db2.gz ANRMXTODYQDXDN-UHFFFAOYSA-N 0 0 294.355 2.704 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H]2O)nc1-c1ccccc1 ZINC000301877712 285135006 /nfs/dbraw/zinc/13/50/06/285135006.db2.gz SWDXPKMIZHYOLS-TZMCWYRMSA-N 0 0 299.330 2.982 20 5 CFBDRN COC(=O)CCCSc1c(F)cc([N+](=O)[O-])cc1F ZINC000269665719 384828001 /nfs/dbraw/zinc/82/80/01/384828001.db2.gz VRIVTKDWLFEKDX-UHFFFAOYSA-N 0 0 291.275 2.918 20 5 CFBDRN C[C@@H]1CCC[N@H+](C[C@@H](O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000269674921 384829826 /nfs/dbraw/zinc/82/98/26/384829826.db2.gz VZGFXAWJLHNWRD-IUODEOHRSA-N 0 0 278.352 2.750 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000280004973 384847846 /nfs/dbraw/zinc/84/78/46/384847846.db2.gz MPDOKSGJVJABQX-ZDUSSCGKSA-N 0 0 298.364 2.688 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCCC4(CC4)C3)nc2c1 ZINC000278483147 285140040 /nfs/dbraw/zinc/14/00/40/285140040.db2.gz OXVPIRSMQHDBAO-UHFFFAOYSA-N 0 0 272.308 2.852 20 5 CFBDRN COc1cc(OCc2noc(C(C)C)n2)ccc1[N+](=O)[O-] ZINC000280046959 384862757 /nfs/dbraw/zinc/86/27/57/384862757.db2.gz XSDLXPLHJUSPFO-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCCCCC3)nc2c1 ZINC000277355950 285140354 /nfs/dbraw/zinc/14/03/54/285140354.db2.gz AMTUHXZWPULZIW-UHFFFAOYSA-N 0 0 260.297 2.852 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)[C@@H]1C ZINC000280276967 384956539 /nfs/dbraw/zinc/95/65/39/384956539.db2.gz DIDNVPILFQQENG-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@@H]1CCC[C@@H]1O ZINC000269977736 384926525 /nfs/dbraw/zinc/92/65/25/384926525.db2.gz MOQDSWIKODDKJP-FZMZJTMJSA-N 0 0 264.325 2.500 20 5 CFBDRN COc1cc(N2CCC[C@](C)(OC)C2)ccc1[N+](=O)[O-] ZINC000280215365 384932080 /nfs/dbraw/zinc/93/20/80/384932080.db2.gz GUPOIHFIXLRXGL-AWEZNQCLSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cc(C)nc(COc2ncc(C)cc2[N+](=O)[O-])c1 ZINC000270097442 384975912 /nfs/dbraw/zinc/97/59/12/384975912.db2.gz DTDPJDHPFOQRQW-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN CN(c1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCSC1 ZINC000270187332 385007837 /nfs/dbraw/zinc/00/78/37/385007837.db2.gz OEKFDAVLLBTBLG-SECBINFHSA-N 0 0 256.302 2.676 20 5 CFBDRN CC(=O)N1CCC[C@H](CNc2ccc([N+](=O)[O-])cc2C)C1 ZINC000280419197 385011393 /nfs/dbraw/zinc/01/13/93/385011393.db2.gz JPUSJDAWXKIMCF-CYBMUJFWSA-N 0 0 291.351 2.574 20 5 CFBDRN CC[C@@](C)(OC)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000280427219 385013511 /nfs/dbraw/zinc/01/35/11/385013511.db2.gz UBWLFYIVMFTIEY-OAHLLOKOSA-N 0 0 292.335 2.689 20 5 CFBDRN CCCN(C)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000280425094 385013615 /nfs/dbraw/zinc/01/36/15/385013615.db2.gz INXLULYQOAPQSG-UHFFFAOYSA-N 0 0 288.706 2.798 20 5 CFBDRN COC(=O)C[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000280596962 385074975 /nfs/dbraw/zinc/07/49/75/385074975.db2.gz UUZHSFVRSAHHFU-ZETCQYMHSA-N 0 0 272.688 2.612 20 5 CFBDRN CC1=CCN(C(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 ZINC000270378662 385077801 /nfs/dbraw/zinc/07/78/01/385077801.db2.gz OGUGMOOUZZKEHK-UHFFFAOYSA-N 0 0 299.330 2.797 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC=C(C)C1 ZINC000280621518 385087424 /nfs/dbraw/zinc/08/74/24/385087424.db2.gz FUPMCWUTINXECG-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN CC1=CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000280770548 385147358 /nfs/dbraw/zinc/14/73/58/385147358.db2.gz ZMYGAWHSHWDEPY-UHFFFAOYSA-N 0 0 261.281 2.779 20 5 CFBDRN COCC1CCN(c2cc(OC)c([N+](=O)[O-])cc2F)CC1 ZINC000270564455 385148749 /nfs/dbraw/zinc/14/87/49/385148749.db2.gz MFIUZPJSDUGYKG-UHFFFAOYSA-N 0 0 298.314 2.605 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Nc1cnn(CC(C)C)c1 ZINC000280772934 385149052 /nfs/dbraw/zinc/14/90/52/385149052.db2.gz SATKWDVLXNSXDD-UHFFFAOYSA-N 0 0 292.343 2.716 20 5 CFBDRN CCc1nnc([C@H](C)Nc2ccc(F)cc2[N+](=O)[O-])[nH]1 ZINC000270639308 385173605 /nfs/dbraw/zinc/17/36/05/385173605.db2.gz HOMMMRXFUBHWIS-ZETCQYMHSA-N 0 0 279.275 2.588 20 5 CFBDRN CCC1(CO)CCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000270789971 385225804 /nfs/dbraw/zinc/22/58/04/385225804.db2.gz RGGLEIPWTZZCJI-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN CC[C@@](C)(OC)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000289108829 197237027 /nfs/dbraw/zinc/23/70/27/197237027.db2.gz PHCVFFDOQLGDJC-LLVKDONJSA-N 0 0 283.309 2.978 20 5 CFBDRN C[C@H]1C[C@H](CCNc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000282279068 385268238 /nfs/dbraw/zinc/26/82/38/385268238.db2.gz UQMYORYIEDFURW-WDEREUQCSA-N 0 0 279.340 2.794 20 5 CFBDRN CCC1(CO)CCN(Cc2csc([N+](=O)[O-])c2)CC1 ZINC000270939748 385276499 /nfs/dbraw/zinc/27/64/99/385276499.db2.gz VMOLWBISRNXTJS-UHFFFAOYSA-N 0 0 284.381 2.641 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1cccc(-n2ccnn2)c1 ZINC000184291610 285160954 /nfs/dbraw/zinc/16/09/54/285160954.db2.gz QXXATUQEFTYGQU-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN CCCCN(CCCC)C(=O)Cn1cc(C)c([N+](=O)[O-])n1 ZINC000282350209 385291078 /nfs/dbraw/zinc/29/10/78/385291078.db2.gz WPVKHWMOOVFOOH-UHFFFAOYSA-N 0 0 296.371 2.529 20 5 CFBDRN COCCC1(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2F)CC1 ZINC000282484670 385323772 /nfs/dbraw/zinc/32/37/72/385323772.db2.gz QMIYXDLXQCECFG-UHFFFAOYSA-N 0 0 296.298 2.798 20 5 CFBDRN C[C@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000282525080 385334747 /nfs/dbraw/zinc/33/47/47/385334747.db2.gz GSNLWTVLNPHCBQ-NSHDSACASA-N 0 0 291.351 2.577 20 5 CFBDRN Cc1cnc(CN(C)CCc2cccc([N+](=O)[O-])c2)o1 ZINC000282529983 385334874 /nfs/dbraw/zinc/33/48/74/385334874.db2.gz UYOQIIOGIJOKFC-UHFFFAOYSA-N 0 0 275.308 2.566 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@]2(C)CCCOC2)cc1[N+](=O)[O-] ZINC000282584339 385346195 /nfs/dbraw/zinc/34/61/95/385346195.db2.gz ZZSBSUZOIPAIQJ-CQSZACIVSA-N 0 0 296.298 2.798 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)[C@@H]2C)c1 ZINC000282760960 385384681 /nfs/dbraw/zinc/38/46/81/385384681.db2.gz CMHIRINLWLHYAX-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN COC1(C)CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000271686926 385387558 /nfs/dbraw/zinc/38/75/58/385387558.db2.gz KZJBTOZSVCQQSQ-UHFFFAOYSA-N 0 0 250.298 2.600 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCN(c2ccccc2)CC1 ZINC000298737440 285165092 /nfs/dbraw/zinc/16/50/92/285165092.db2.gz PDKDOYBPEGYMJX-UHFFFAOYSA-N 0 0 298.346 2.707 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(C[C@H]3CCCO3)c2)c1 ZINC000272099316 385446908 /nfs/dbraw/zinc/44/69/08/385446908.db2.gz LFCWSBVKKGKLLA-CQSZACIVSA-N 0 0 273.292 2.637 20 5 CFBDRN CCOC1CC(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2F)C1 ZINC000288944423 197198043 /nfs/dbraw/zinc/19/80/43/197198043.db2.gz WZGLELWKKRKMSH-UHFFFAOYSA-N 0 0 296.298 2.796 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2nonc21)[C@@H]1CCCCO1 ZINC000272049519 385435578 /nfs/dbraw/zinc/43/55/78/385435578.db2.gz XDKBFASQMACJQI-KCJUWKMLSA-N 0 0 292.295 2.501 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCc1noc(C2CC2)n1 ZINC000283343194 385457519 /nfs/dbraw/zinc/45/75/19/385457519.db2.gz BCUQKFNTIOJDGE-UHFFFAOYSA-N 0 0 292.270 2.915 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000272185742 385468748 /nfs/dbraw/zinc/46/87/48/385468748.db2.gz GNIGGWJSQFJBDB-WDEREUQCSA-N 0 0 278.308 2.657 20 5 CFBDRN C[C@@H]1CCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000272250552 385487499 /nfs/dbraw/zinc/48/74/99/385487499.db2.gz SPLNYEQAIURGMP-NXEZZACHSA-N 0 0 298.289 2.944 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272150216 385460607 /nfs/dbraw/zinc/46/06/07/385460607.db2.gz NPWDQDYKAGUHBZ-BXUZGUMPSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H]1CCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000272160756 385463191 /nfs/dbraw/zinc/46/31/91/385463191.db2.gz NUFNZTNKIDAPBB-SNVBAGLBSA-N 0 0 298.289 2.945 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000288868967 197182980 /nfs/dbraw/zinc/18/29/80/197182980.db2.gz LEOOGYVWAPZMNR-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN CCC(O)(CC)CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000283766032 385496607 /nfs/dbraw/zinc/49/66/07/385496607.db2.gz NVPHVKSZRJKIBX-UHFFFAOYSA-N 0 0 280.324 2.760 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC1(CCO)CCCC1 ZINC000288899880 197189018 /nfs/dbraw/zinc/18/90/18/197189018.db2.gz IHBVMOKWJJULOA-UHFFFAOYSA-N 0 0 285.731 2.750 20 5 CFBDRN COc1cc(NCCc2ccc[nH]2)c([N+](=O)[O-])cc1OC ZINC000272301837 385504181 /nfs/dbraw/zinc/50/41/81/385504181.db2.gz QOWCWFSUSLAMGX-UHFFFAOYSA-N 0 0 291.307 2.595 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](C3CC3)C2)c(Cl)c1 ZINC000367045315 285175834 /nfs/dbraw/zinc/17/58/34/285175834.db2.gz KPYBRXHILLLETE-SECBINFHSA-N 0 0 267.716 2.880 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000283902088 385512816 /nfs/dbraw/zinc/51/28/16/385512816.db2.gz JDJYVTCKVIXJAJ-RKDXNWHRSA-N 0 0 295.314 2.577 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000283932137 385515263 /nfs/dbraw/zinc/51/52/63/385515263.db2.gz XNXYCQOMJWUTEG-MRVPVSSYSA-N 0 0 290.323 2.997 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCC=C(F)C2)c(F)c1 ZINC000284096993 385535270 /nfs/dbraw/zinc/53/52/70/385535270.db2.gz OGEBNNBLTPPISW-UHFFFAOYSA-N 0 0 272.226 2.932 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCC2)c(C(F)(F)F)c1 ZINC000413130800 285180291 /nfs/dbraw/zinc/18/02/91/285180291.db2.gz HHXJMRGUBJQJNJ-UHFFFAOYSA-N 0 0 261.203 2.973 20 5 CFBDRN O=[N+]([O-])c1c(S[C@H]2CCCOC2)nc2sccn21 ZINC000272466752 385544735 /nfs/dbraw/zinc/54/47/35/385544735.db2.gz MKJGHGCVXHHIHU-ZETCQYMHSA-N 0 0 285.350 2.575 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CCCO1 ZINC000281193689 195142881 /nfs/dbraw/zinc/14/28/81/195142881.db2.gz HWIHXSQREJYERI-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1ccc([N+](=O)[O-])cc1 ZINC000286222825 385574299 /nfs/dbraw/zinc/57/42/99/385574299.db2.gz CDQQWRFOAWNLQT-MNOVXSKESA-N 0 0 250.298 2.679 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000272488931 385550021 /nfs/dbraw/zinc/55/00/21/385550021.db2.gz PSNKBPADEMBFNQ-NEPJUHHUSA-N 0 0 278.352 2.936 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCc3ccccc3OC2)s1 ZINC000367167073 285181262 /nfs/dbraw/zinc/18/12/62/285181262.db2.gz XSLBDMNHAVMIFY-SNVBAGLBSA-N 0 0 291.332 2.857 20 5 CFBDRN CCC[C@@]1(NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCOC1 ZINC000288810793 197170653 /nfs/dbraw/zinc/17/06/53/197170653.db2.gz MYSRMGRIOCSNDI-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCc3c2cccc3F)nc1 ZINC000354512577 285183203 /nfs/dbraw/zinc/18/32/03/285183203.db2.gz VDSMTPUAOIVITA-LBPRGKRZSA-N 0 0 274.255 2.623 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1ccc([N+](=O)[O-])cc1F ZINC000286246722 385577057 /nfs/dbraw/zinc/57/70/57/385577057.db2.gz DQXGBMULKMROOU-UWVGGRQHSA-N 0 0 268.288 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2c2cc[nH]n2)cc1F ZINC000286245236 385577108 /nfs/dbraw/zinc/57/71/08/385577108.db2.gz RXXUVTRHXRRNFI-CQSZACIVSA-N 0 0 290.298 2.794 20 5 CFBDRN Nc1ccc(C(=O)N2CC3(C2)CCCCC3)cc1[N+](=O)[O-] ZINC000272710667 385594676 /nfs/dbraw/zinc/59/46/76/385594676.db2.gz DRZMTRIIGJCPFV-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN CCCc1nnc(Sc2c([N+](=O)[O-])nc(C)n2CC)o1 ZINC000288773244 197162165 /nfs/dbraw/zinc/16/21/65/197162165.db2.gz DPZFMJJXTBIBKJ-UHFFFAOYSA-N 0 0 297.340 2.606 20 5 CFBDRN CC(C)c1noc(N(C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000286368156 385600348 /nfs/dbraw/zinc/60/03/48/385600348.db2.gz DXPOTVBUSPKTLJ-UHFFFAOYSA-N 0 0 290.323 2.780 20 5 CFBDRN C[N@H+](Cc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1CCC[C@H]1O ZINC000286266114 385579929 /nfs/dbraw/zinc/57/99/29/385579929.db2.gz BFGJOHQRTLOJSW-CHWSQXEVSA-N 0 0 284.743 2.593 20 5 CFBDRN COCC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000272640962 385581081 /nfs/dbraw/zinc/58/10/81/385581081.db2.gz COMMXJXMSUEQDU-UHFFFAOYSA-N 0 0 281.308 2.619 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000272704296 385591411 /nfs/dbraw/zinc/59/14/11/385591411.db2.gz WTPLVCBJHJHJAE-ZJUUUORDSA-N 0 0 279.340 2.732 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCSC(C)C ZINC000286331103 385592262 /nfs/dbraw/zinc/59/22/62/385592262.db2.gz HWCVTOJAZDEVER-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN COc1cc(NC[C@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000286331897 385592355 /nfs/dbraw/zinc/59/23/55/385592355.db2.gz KJACJJBHBGZFMT-WDEREUQCSA-N 0 0 280.324 2.688 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CCOC[C@H]1C ZINC000286432698 385619745 /nfs/dbraw/zinc/61/97/45/385619745.db2.gz QRGIYVWTXRJBSP-PWSUYJOCSA-N 0 0 292.335 2.882 20 5 CFBDRN COc1cc(NC[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000286448953 385623980 /nfs/dbraw/zinc/62/39/80/385623980.db2.gz RXCDHAKXRIGCMX-UWVGGRQHSA-N 0 0 298.314 2.827 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000286451071 385624254 /nfs/dbraw/zinc/62/42/54/385624254.db2.gz SACGHMUUDNVBEI-WDEREUQCSA-N 0 0 291.351 2.647 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H]1[C@H]1CCCO1 ZINC000286506656 385636701 /nfs/dbraw/zinc/63/67/01/385636701.db2.gz QTNOOOVGRNPSKY-GXTWGEPZSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2[C@H]2CCCO2)c(Cl)c1 ZINC000286472336 385629961 /nfs/dbraw/zinc/62/99/61/385629961.db2.gz ONSZIOSZVFIOTG-VXGBXAGGSA-N 0 0 297.742 2.791 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCCS1 ZINC000272876427 385638457 /nfs/dbraw/zinc/63/84/57/385638457.db2.gz TXDRUCDSXUZLJN-LBPRGKRZSA-N 0 0 281.333 2.924 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)NC1CCCC1 ZINC000286578953 385655593 /nfs/dbraw/zinc/65/55/93/385655593.db2.gz VBKKISNFJSMHKN-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN C[C@H]1COc2ccccc2CN1c1ccc([N+](=O)[O-])cn1 ZINC000272945096 385661642 /nfs/dbraw/zinc/66/16/42/385661642.db2.gz WHXLAPUVVIGOAI-NSHDSACASA-N 0 0 285.303 2.777 20 5 CFBDRN CC1([C@H]2CCCN(c3ccc([N+](=O)[O-])cc3)C2)OCCO1 ZINC000273187959 385673938 /nfs/dbraw/zinc/67/39/38/385673938.db2.gz QXLOAYADWPEAJT-LBPRGKRZSA-N 0 0 292.335 2.574 20 5 CFBDRN CC(C)[C@H](Nc1ccc(F)cc1[N+](=O)[O-])c1nncn1C ZINC000286687562 385685689 /nfs/dbraw/zinc/68/56/89/385685689.db2.gz XIHWTDASZUYJLZ-LBPRGKRZSA-N 0 0 293.302 2.672 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000288648006 197136283 /nfs/dbraw/zinc/13/62/83/197136283.db2.gz RAZIZFHADMZCCU-SSDOTTSWSA-N 0 0 276.214 2.584 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1CCC[C@@H]1CCO ZINC000286746508 385701548 /nfs/dbraw/zinc/70/15/48/385701548.db2.gz RHALTKUCOCRAOH-MWLCHTKSSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@@H](Nc1cc(Cl)ccc1[N+](=O)[O-])c1ncn(C)n1 ZINC000286799724 385713152 /nfs/dbraw/zinc/71/31/52/385713152.db2.gz WJFOLGOBXFZLKG-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN Nc1ccc(N[C@@H]2CCO[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000286870418 385727602 /nfs/dbraw/zinc/72/76/02/385727602.db2.gz NMHWXZMGTBYWSJ-RISCZKNCSA-N 0 0 277.324 2.547 20 5 CFBDRN CCCN(CCc1cccs1)c1ncc([N+](=O)[O-])cn1 ZINC000274176736 385734301 /nfs/dbraw/zinc/73/43/01/385734301.db2.gz ARNQJFCFSXXEPW-UHFFFAOYSA-N 0 0 292.364 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CCC3CC3)no2)cc1 ZINC000274183475 385735894 /nfs/dbraw/zinc/73/58/94/385735894.db2.gz ZMCWSOUBOIIOCK-UHFFFAOYSA-N 0 0 259.265 2.987 20 5 CFBDRN CN(C(=O)CC1(C)CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000288634754 197132117 /nfs/dbraw/zinc/13/21/17/197132117.db2.gz ABLRHGUKLBHQKJ-UHFFFAOYSA-N 0 0 277.324 2.923 20 5 CFBDRN CC[C@H]1Cc2ccccc2CN1c1ncc([N+](=O)[O-])cn1 ZINC000274284416 385756667 /nfs/dbraw/zinc/75/66/67/385756667.db2.gz WEJQQEHRWPQOQU-ZDUSSCGKSA-N 0 0 284.319 2.726 20 5 CFBDRN CC/C=C/CCn1cc(Br)c([N+](=O)[O-])n1 ZINC000274293658 385758113 /nfs/dbraw/zinc/75/81/13/385758113.db2.gz CGGVSGOPVYQSOS-ONEGZZNKSA-N 0 0 274.118 2.910 20 5 CFBDRN COc1cc(CN2CC=C(C)CC2)c([N+](=O)[O-])cc1F ZINC000274307010 385762565 /nfs/dbraw/zinc/76/25/65/385762565.db2.gz LGZNEUKIVIKCIJ-UHFFFAOYSA-N 0 0 280.299 2.895 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C[C@@H](C)O1 ZINC000287295251 385820419 /nfs/dbraw/zinc/82/04/19/385820419.db2.gz LEFAXAJSGMSZDA-MFKMUULPSA-N 0 0 292.335 2.801 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@H]2CCO)c([N+](=O)[O-])cc1F ZINC000275000148 385909471 /nfs/dbraw/zinc/90/94/71/385909471.db2.gz GKYXEZNSLOLHBM-GXSJLCMTSA-N 0 0 298.314 2.706 20 5 CFBDRN CC[C@]1(CO)CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000275018061 385913522 /nfs/dbraw/zinc/91/35/22/385913522.db2.gz LEBBHXVLUWLECQ-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2c(C)cccc2[N+](=O)[O-])[C@H]1OC ZINC000275041068 385919418 /nfs/dbraw/zinc/91/94/18/385919418.db2.gz YINLHUQNMQNMEP-ZKYQVNSYSA-N 0 0 280.324 2.508 20 5 CFBDRN COc1cccc(NC[C@@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000274753600 385864317 /nfs/dbraw/zinc/86/43/17/385864317.db2.gz QAPJDXMDABTYPJ-GHMZBOCLSA-N 0 0 280.324 2.830 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000274757008 385865424 /nfs/dbraw/zinc/86/54/24/385865424.db2.gz PSPRLYAHKBKWJD-SNVBAGLBSA-N 0 0 294.355 2.704 20 5 CFBDRN CC(C)(O)c1ccc(CNc2ncccc2[N+](=O)[O-])cc1 ZINC000274768224 385867435 /nfs/dbraw/zinc/86/74/35/385867435.db2.gz TVYRVACYZGTGJT-UHFFFAOYSA-N 0 0 287.319 2.829 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000274827997 385879434 /nfs/dbraw/zinc/87/94/34/385879434.db2.gz SZSDQFHMLMPYDH-BXUZGUMPSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1cccc(N2CCC(CCCO)CC2)c1[N+](=O)[O-] ZINC000275436691 385976729 /nfs/dbraw/zinc/97/67/29/385976729.db2.gz JJBBYZKWLQCHMF-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN CO[C@@H]1CN(c2cccc(C)c2[N+](=O)[O-])CC[C@H]1C ZINC000275818659 385980055 /nfs/dbraw/zinc/98/00/55/385980055.db2.gz FFOMBVZNRNUOMH-ZWNOBZJWSA-N 0 0 264.325 2.764 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@H](C)C(F)(F)F ZINC000288543987 197108876 /nfs/dbraw/zinc/10/88/76/197108876.db2.gz WZSGEUGTFFJHKK-MRVPVSSYSA-N 0 0 262.231 2.944 20 5 CFBDRN CC(C)=CCCNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000276616275 385992209 /nfs/dbraw/zinc/99/22/09/385992209.db2.gz KABYCDJLBBMGKF-UHFFFAOYSA-N 0 0 276.336 3.000 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NC[C@H]1C[C@H]1C ZINC000276617973 385993544 /nfs/dbraw/zinc/99/35/44/385993544.db2.gz QKDHCFXDKNLBHP-VXNVDRBHSA-N 0 0 282.727 2.942 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CSC2(C)C)n1 ZINC000276629380 385997473 /nfs/dbraw/zinc/99/74/73/385997473.db2.gz VWENHMNSMTTYFX-SECBINFHSA-N 0 0 253.327 2.604 20 5 CFBDRN CCCN(CC)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000288504926 197097692 /nfs/dbraw/zinc/09/76/92/197097692.db2.gz SIDNZGARAVTNBA-UHFFFAOYSA-N 0 0 266.326 2.836 20 5 CFBDRN COC(=O)c1cnc(NCCC2=CCCC2)c([N+](=O)[O-])c1 ZINC000276839790 386056941 /nfs/dbraw/zinc/05/69/41/386056941.db2.gz WFZREOMQNAKBHE-UHFFFAOYSA-N 0 0 291.307 2.689 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC3(CCCCC3)O2)nc1 ZINC000276774060 386040017 /nfs/dbraw/zinc/04/00/17/386040017.db2.gz NMSJBRRMGRGZNY-LBPRGKRZSA-N 0 0 292.339 2.679 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC3(CCCCC3)O2)nc1 ZINC000276774057 386040856 /nfs/dbraw/zinc/04/08/56/386040856.db2.gz NMSJBRRMGRGZNY-GFCCVEGCSA-N 0 0 292.339 2.679 20 5 CFBDRN CC[C@@H](C)CC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000276804007 386046950 /nfs/dbraw/zinc/04/69/50/386046950.db2.gz FCBKELGKJLEQFZ-SNVBAGLBSA-N 0 0 280.324 2.656 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CSC2(C)C)n1 ZINC000276629385 385996654 /nfs/dbraw/zinc/99/66/54/385996654.db2.gz VWENHMNSMTTYFX-VIFPVBQESA-N 0 0 253.327 2.604 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@H]1CC[C@@H](O)C1 ZINC000277027384 386111111 /nfs/dbraw/zinc/11/11/11/386111111.db2.gz ZLRXNFMDOZYNMP-CMPLNLGQSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)c1ncnn1C ZINC000276868663 386065394 /nfs/dbraw/zinc/06/53/94/386065394.db2.gz JHFRBGFHCNREOV-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN Cc1cc(SC[C@@H]2CCCO2)ncc1[N+](=O)[O-] ZINC000276892464 386071836 /nfs/dbraw/zinc/07/18/36/386071836.db2.gz KJMGGHLCLVORJN-VIFPVBQESA-N 0 0 254.311 2.569 20 5 CFBDRN Cc1cc(N[C@H](C)[C@@H](O)c2ccccc2)ncc1[N+](=O)[O-] ZINC000288387381 197064693 /nfs/dbraw/zinc/06/46/93/197064693.db2.gz ZZMLBQLTGVPINX-IAQYHMDHSA-N 0 0 287.319 2.832 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)C1CCC1 ZINC000288411385 197071220 /nfs/dbraw/zinc/07/12/20/197071220.db2.gz LMQBYZOPDHECRB-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000288339019 197054720 /nfs/dbraw/zinc/05/47/20/197054720.db2.gz BDKINPQKJISZQO-RXLGXGPVSA-N 0 0 288.225 2.675 20 5 CFBDRN C[C@@H](C(=O)N(C)CCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000288334294 197053029 /nfs/dbraw/zinc/05/30/29/197053029.db2.gz NBFMRIBYCSLJBX-LLVKDONJSA-N 0 0 276.336 2.642 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCOC1CCC1)CC2 ZINC000287934782 196972118 /nfs/dbraw/zinc/97/21/18/196972118.db2.gz XBHRRDJRIJHIOG-UHFFFAOYSA-N 0 0 262.309 2.526 20 5 CFBDRN CSCCCN(C)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000281223753 195155840 /nfs/dbraw/zinc/15/58/40/195155840.db2.gz JVYQRKQHLGWWQH-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN C[C@@H](NC(=O)OC[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000287704139 196922169 /nfs/dbraw/zinc/92/21/69/196922169.db2.gz FYLVIWIMRQKJMG-GHMZBOCLSA-N 0 0 294.307 2.561 20 5 CFBDRN COC[C@@H]1CCCCN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000372043280 285261128 /nfs/dbraw/zinc/26/11/28/285261128.db2.gz NJVQFXAFOMDQIZ-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN CSCCCN(C)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000281350293 195207829 /nfs/dbraw/zinc/20/78/29/195207829.db2.gz RXSGIWAWQDAKHJ-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CSCCCN(C)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000281388336 195220853 /nfs/dbraw/zinc/22/08/53/195220853.db2.gz VFLRKXYLFUCMTD-CMDGGOBGSA-N 0 0 294.376 2.820 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)C(C)(C)C ZINC000281389816 195221882 /nfs/dbraw/zinc/22/18/82/195221882.db2.gz OFGXQTSPECIKIB-UHFFFAOYSA-N 0 0 294.307 2.547 20 5 CFBDRN CCOCC1(C(=O)Nc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000281400830 195226201 /nfs/dbraw/zinc/22/62/01/195226201.db2.gz FMPIXAXHTQVFSA-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CC(C)SCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000286967430 196747174 /nfs/dbraw/zinc/74/71/74/196747174.db2.gz NQCCROWUVJPYAN-UHFFFAOYSA-N 0 0 283.353 2.858 20 5 CFBDRN CC[C@@H](C)Nc1ncc([N+](=O)[O-])cc1Br ZINC000081940862 177904387 /nfs/dbraw/zinc/90/43/87/177904387.db2.gz RETPGBVTMXABIJ-ZCFIWIBFSA-N 0 0 274.118 2.963 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCCC1CCCC1 ZINC000081275383 177785467 /nfs/dbraw/zinc/78/54/67/177785467.db2.gz YQHBFQMAFVETMU-UHFFFAOYSA-N 0 0 250.298 2.727 20 5 CFBDRN CC(C)Cn1cc(Nc2ncc([N+](=O)[O-])s2)cn1 ZINC000281751507 195365120 /nfs/dbraw/zinc/36/51/20/195365120.db2.gz BYLIQJSYFAQERC-UHFFFAOYSA-N 0 0 267.314 2.647 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)[C@@H](C)C1 ZINC000281759450 195369355 /nfs/dbraw/zinc/36/93/55/195369355.db2.gz YPRYWKZOUOCQNS-GXSJLCMTSA-N 0 0 268.288 2.738 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCc2nccs2)s1 ZINC000281769457 195372805 /nfs/dbraw/zinc/37/28/05/195372805.db2.gz DCAVJAPAFJRCAS-UHFFFAOYSA-N 0 0 270.339 2.553 20 5 CFBDRN CCN(CC1CC1)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000281819314 195394656 /nfs/dbraw/zinc/39/46/56/195394656.db2.gz WKSDAUXLTWWLKZ-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2C)[C@H](C)C1 ZINC000281860320 195412317 /nfs/dbraw/zinc/41/23/17/195412317.db2.gz PFHCQSFRQVTKET-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN C[C@H](CCO)C1(CNc2cc(N)ccc2[N+](=O)[O-])CCC1 ZINC000282180082 195529521 /nfs/dbraw/zinc/52/95/21/195529521.db2.gz JFMLZGHPTZCAJU-LLVKDONJSA-N 0 0 293.367 2.778 20 5 CFBDRN Cc1c(OCc2ncnn2C(C)(C)C)cccc1[N+](=O)[O-] ZINC000282299242 195568198 /nfs/dbraw/zinc/56/81/98/195568198.db2.gz WYEYZFUAFHTIET-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCSC(C)(C)C)c1=O ZINC000282643579 195668072 /nfs/dbraw/zinc/66/80/72/195668072.db2.gz LLVZPAHRDRMXEH-UHFFFAOYSA-N 0 0 270.354 2.597 20 5 CFBDRN CON(Cc1cccc([N+](=O)[O-])c1C)CC1CCOCC1 ZINC000282392003 195595360 /nfs/dbraw/zinc/59/53/60/195595360.db2.gz DSHPHHJTIVTPCA-UHFFFAOYSA-N 0 0 294.351 2.693 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCCOC(C)C ZINC000283669675 195897414 /nfs/dbraw/zinc/89/74/14/195897414.db2.gz YCJOVQCEUXPMTC-UHFFFAOYSA-N 0 0 256.277 2.879 20 5 CFBDRN C[C@@H]1OCC[C@H]1SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000283880094 195935719 /nfs/dbraw/zinc/93/57/19/195935719.db2.gz IHHWRHRSOLCGRE-QPUJVOFHSA-N 0 0 298.320 2.914 20 5 CFBDRN C[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1ccn(C)n1 ZINC000413466130 533565720 /nfs/dbraw/zinc/56/57/20/533565720.db2.gz WWTRRTVMCOKXPT-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN Cc1cc(N[C@@H]2CCN(c3cccnc3)C2)ccc1[N+](=O)[O-] ZINC000366747984 130023972 /nfs/dbraw/zinc/02/39/72/130023972.db2.gz ACJCFKQWCZUDLV-CQSZACIVSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)s1 ZINC000341788868 130049748 /nfs/dbraw/zinc/04/97/48/130049748.db2.gz GTVPQCLIGCROIP-UHFFFAOYSA-N 0 0 279.321 2.565 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2ccccn2)cc1[N+](=O)[O-] ZINC000341857232 130075342 /nfs/dbraw/zinc/07/53/42/130075342.db2.gz FTRWDHOCIMHTAG-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])nc2)CCO[C@@H]1C1CC1 ZINC000341958056 130172809 /nfs/dbraw/zinc/17/28/09/130172809.db2.gz JTPUBLTUHAGWHB-ZIAGYGMSSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(C[N@H+]2CCCCC[C@H]2CCO)cs1 ZINC000342055293 130224579 /nfs/dbraw/zinc/22/45/79/130224579.db2.gz APOZZWDUWUPMPZ-LBPRGKRZSA-N 0 0 284.381 2.783 20 5 CFBDRN CC(C)=CCNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000191760020 130298163 /nfs/dbraw/zinc/29/81/63/130298163.db2.gz ZAZSJBYNYDSVFA-UHFFFAOYSA-N 0 0 268.700 2.944 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@@H](COc2ccccc2)C1 ZINC000355570220 130314389 /nfs/dbraw/zinc/31/43/89/130314389.db2.gz AJQFTCQUTNNYAM-CYBMUJFWSA-N 0 0 299.330 2.895 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000355578173 130316224 /nfs/dbraw/zinc/31/62/24/130316224.db2.gz QCJVIQISEBFGHU-WCBMZHEXSA-N 0 0 298.364 2.510 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(-c2ccco2)n1 ZINC000355674814 130330293 /nfs/dbraw/zinc/33/02/93/130330293.db2.gz PCRWZMSXPMHJGZ-UHFFFAOYSA-N 0 0 271.232 2.829 20 5 CFBDRN CC1CCC(Nc2cccc(C(N)=O)c2[N+](=O)[O-])CC1 ZINC000342197774 130331133 /nfs/dbraw/zinc/33/11/33/130331133.db2.gz GFCJKWIZZOMLBS-UHFFFAOYSA-N 0 0 277.324 2.684 20 5 CFBDRN C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000355955629 130359072 /nfs/dbraw/zinc/35/90/72/130359072.db2.gz NLHNGLKCVMLCBD-QWRGUYRKSA-N 0 0 293.323 2.531 20 5 CFBDRN CC1CCC(C)(NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000356012478 130367816 /nfs/dbraw/zinc/36/78/16/130367816.db2.gz QBUIMKDUAGHTNP-UHFFFAOYSA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1cccc(-c2noc(Cc3cccc([N+](=O)[O-])c3)n2)n1 ZINC000356193592 130384395 /nfs/dbraw/zinc/38/43/95/130384395.db2.gz NSJQWZXFODVEQA-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000356665539 130392483 /nfs/dbraw/zinc/39/24/83/130392483.db2.gz LBWRESQYUAFEFH-MRVPVSSYSA-N 0 0 280.255 2.819 20 5 CFBDRN CC[C@@H](C)n1ncc(Nc2c([N+](=O)[O-])c(C)nn2C)c1C ZINC000357637118 130496883 /nfs/dbraw/zinc/49/68/83/130496883.db2.gz PHXFAOFTOBCZFL-MRVPVSSYSA-N 0 0 292.343 2.856 20 5 CFBDRN Cc1c(CNC(=O)N2CC[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000359542669 130520403 /nfs/dbraw/zinc/52/04/03/130520403.db2.gz AMJDCSOSUVWJBS-ZDUSSCGKSA-N 0 0 291.351 2.843 20 5 CFBDRN Cc1ccc(CC[C@@H](C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)o1 ZINC000359594301 130524392 /nfs/dbraw/zinc/52/43/92/130524392.db2.gz DCAVPYUPENJKIV-SECBINFHSA-N 0 0 291.307 2.575 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H](C)C(F)(F)F ZINC000359636363 130527352 /nfs/dbraw/zinc/52/73/52/130527352.db2.gz PZGNEQNPRANPPQ-LURJTMIESA-N 0 0 280.250 2.730 20 5 CFBDRN COc1ccc(NC(=O)c2scnc2C)cc1[N+](=O)[O-] ZINC000359970140 130569550 /nfs/dbraw/zinc/56/95/50/130569550.db2.gz KLGOUAYHDMPPGO-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CSC1(CNC(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000193695883 130646127 /nfs/dbraw/zinc/64/61/27/130646127.db2.gz GFGCROMIJXIIBF-UHFFFAOYSA-N 0 0 281.337 2.612 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CC[C@@H](C)[C@@H]2C)c1F ZINC000285308877 291992691 /nfs/dbraw/zinc/99/26/91/291992691.db2.gz HIYCGXWWAXZEAI-SCZZXKLOSA-N 0 0 280.299 2.913 20 5 CFBDRN CCc1nc(CCNc2ncc([N+](=O)[O-])s2)cs1 ZINC000195512702 130745372 /nfs/dbraw/zinc/74/53/72/130745372.db2.gz QVHJYYZAIUTYCW-UHFFFAOYSA-N 0 0 284.366 2.725 20 5 CFBDRN Cc1cnc(NC[C@H](C)c2nccs2)c([N+](=O)[O-])c1 ZINC000230609256 130905009 /nfs/dbraw/zinc/90/50/09/130905009.db2.gz UJYBRIQFBPVNKO-VIFPVBQESA-N 0 0 278.337 2.970 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@@H]1CCCO1 ZINC000267163161 291996417 /nfs/dbraw/zinc/99/64/17/291996417.db2.gz ANLFWIVSHMNACN-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN CN(CC(C)(C)C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000263812098 131336707 /nfs/dbraw/zinc/33/67/07/131336707.db2.gz YLCPVZKINVWPGO-UHFFFAOYSA-N 0 0 298.314 2.617 20 5 CFBDRN COc1cc([N+](=O)[O-])c(C(=O)N2CCCC2)cc1SC ZINC000264143001 131401051 /nfs/dbraw/zinc/40/10/51/131401051.db2.gz QPLCIFVAEMKSNX-UHFFFAOYSA-N 0 0 296.348 2.561 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000264320593 131432441 /nfs/dbraw/zinc/43/24/41/131432441.db2.gz UBVMUGNCOOGWFF-GWCFXTLKSA-N 0 0 277.324 2.583 20 5 CFBDRN CCC(C)(CC)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000265048402 131542435 /nfs/dbraw/zinc/54/24/35/131542435.db2.gz PNYSRBCOFBTLSV-UHFFFAOYSA-N 0 0 280.324 2.669 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000265522516 131599254 /nfs/dbraw/zinc/59/92/54/131599254.db2.gz MIAFOALZNONONT-SNVBAGLBSA-N 0 0 285.303 2.915 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000265595494 131607938 /nfs/dbraw/zinc/60/79/38/131607938.db2.gz YVBCJPUTRSRRSR-NSHDSACASA-N 0 0 285.303 2.915 20 5 CFBDRN CC(C)c1noc(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000265645127 131615635 /nfs/dbraw/zinc/61/56/35/131615635.db2.gz SUTKXPPUSLGOTO-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(CCCCNc2cnccn2)cc1 ZINC000265867114 131650182 /nfs/dbraw/zinc/65/01/82/131650182.db2.gz YQHLJTZJKCDXLD-UHFFFAOYSA-N 0 0 272.308 2.820 20 5 CFBDRN Cc1ccc(NCC[S@@](=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000267005658 131808275 /nfs/dbraw/zinc/80/82/75/131808275.db2.gz GOPQEPAMCVKHPS-LJQANCHMSA-N 0 0 284.381 2.862 20 5 CFBDRN Cc1ccnc(NCC(=O)OC2CCCCC2)c1[N+](=O)[O-] ZINC000267611088 131892255 /nfs/dbraw/zinc/89/22/55/131892255.db2.gz GTAMZQMZTBZBJF-UHFFFAOYSA-N 0 0 293.323 2.586 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000267792703 131917914 /nfs/dbraw/zinc/91/79/14/131917914.db2.gz SAEZPTCENXOVBK-IDDPWSFUSA-N 0 0 274.320 2.722 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000268263594 131986525 /nfs/dbraw/zinc/98/65/25/131986525.db2.gz FNDUWPOMUFOZQD-DGCLKSJQSA-N 0 0 278.308 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](c3cccs3)C2)nc1 ZINC000268399272 132004077 /nfs/dbraw/zinc/00/40/77/132004077.db2.gz PEYDVDUVBGKWAA-LLVKDONJSA-N 0 0 291.332 2.629 20 5 CFBDRN COCC[C@H](C)Nc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000268471808 132013303 /nfs/dbraw/zinc/01/33/03/132013303.db2.gz IBUCQPDKGNKEHR-JTQLQIEISA-N 0 0 296.323 2.527 20 5 CFBDRN Cc1nc(N[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000268476359 132014546 /nfs/dbraw/zinc/01/45/46/132014546.db2.gz KHLGZLCIZLVKKH-WQAKAFBOSA-N 0 0 277.324 2.524 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1ccccc1[N+](=O)[O-] ZINC000268500160 132017762 /nfs/dbraw/zinc/01/77/62/132017762.db2.gz HNRXUBJPXAUUMJ-YPMHNXCESA-N 0 0 264.325 2.594 20 5 CFBDRN Cc1ccc(CN(C)c2ccc([N+](=O)[O-])c(C)n2)o1 ZINC000268515233 132020266 /nfs/dbraw/zinc/02/02/66/132020266.db2.gz SZMWCUNJVZZEDJ-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN Cc1nc(NCC(=O)OC2CCCCC2)ccc1[N+](=O)[O-] ZINC000268520193 132020661 /nfs/dbraw/zinc/02/06/61/132020661.db2.gz UWNYFPZCINGBQS-UHFFFAOYSA-N 0 0 293.323 2.586 20 5 CFBDRN Cc1nc(NCCCC(C)(C)CO)ccc1[N+](=O)[O-] ZINC000268536729 132024110 /nfs/dbraw/zinc/02/41/10/132024110.db2.gz YLBOCDAKJIZGDY-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN Cc1noc(CCCOc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000268644512 132040815 /nfs/dbraw/zinc/04/08/15/132040815.db2.gz UCXZERBODRZHLZ-UHFFFAOYSA-N 0 0 297.698 2.951 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000269175276 132125236 /nfs/dbraw/zinc/12/52/36/132125236.db2.gz SMLXMUHOVHXWSA-SNVBAGLBSA-N 0 0 298.339 2.702 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269336363 132157440 /nfs/dbraw/zinc/15/74/40/132157440.db2.gz GRDBDBIKXDKNKW-VIFPVBQESA-N 0 0 282.344 2.750 20 5 CFBDRN O=C(COc1cc(F)cc([N+](=O)[O-])c1)C1CCCC1 ZINC000269352803 132159059 /nfs/dbraw/zinc/15/90/59/132159059.db2.gz NFNLIKXGKRMUFD-UHFFFAOYSA-N 0 0 267.256 2.872 20 5 CFBDRN COCCC1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1 ZINC000269391985 132163951 /nfs/dbraw/zinc/16/39/51/132163951.db2.gz ANAUASHSZURBGU-UHFFFAOYSA-N 0 0 289.291 2.713 20 5 CFBDRN O=C(NC1CCC1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000269467249 132174875 /nfs/dbraw/zinc/17/48/75/132174875.db2.gz HXISCWHHESOADJ-UHFFFAOYSA-N 0 0 288.225 2.896 20 5 CFBDRN CCOC(=O)[C@@H](Sc1ncccc1[N+](=O)[O-])C(C)C ZINC000179853290 393470342 /nfs/dbraw/zinc/47/03/42/393470342.db2.gz NGDIGPZEPQFCDA-JTQLQIEISA-N 0 0 284.337 2.670 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@@H](O)[C@H](C)C1 ZINC000302831698 393495433 /nfs/dbraw/zinc/49/54/33/393495433.db2.gz QWMJOUQIIRZELB-BXKDBHETSA-N 0 0 284.743 2.764 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC[C@@](C)(O)C1 ZINC000302802413 393495825 /nfs/dbraw/zinc/49/58/25/393495825.db2.gz DAZGHLXLNDAVHZ-CYBMUJFWSA-N 0 0 284.743 2.908 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000302883201 393495885 /nfs/dbraw/zinc/49/58/85/393495885.db2.gz KSCXEXVOHHQMKP-ZJUUUORDSA-N 0 0 277.324 2.542 20 5 CFBDRN CC(C)(C)CCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000031760631 393510161 /nfs/dbraw/zinc/51/01/61/393510161.db2.gz AOZKHGVZHLOVOJ-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN CC[C@H](CO)NC(=O)c1cc2ccccc2c2cccnc12 ZINC000182378849 393510449 /nfs/dbraw/zinc/51/04/49/393510449.db2.gz QZVFOFBKKKROAH-CYBMUJFWSA-N 0 0 294.354 2.889 20 5 CFBDRN Cc1ccc(CNCc2ccc([N+](=O)[O-])cc2)nc1C ZINC000313794268 393524481 /nfs/dbraw/zinc/52/44/81/393524481.db2.gz DOMPNVRAWSRJEZ-UHFFFAOYSA-N 0 0 271.320 2.896 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccc(C)c(C)n1 ZINC000314252770 393525501 /nfs/dbraw/zinc/52/55/01/393525501.db2.gz YOLCAISPSLREOF-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN Cc1ccc(CNc2ncc([N+](=O)[O-])s2)nc1C ZINC000314035958 393525981 /nfs/dbraw/zinc/52/59/81/393525981.db2.gz METCKOUFLRJYHI-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCOC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1F ZINC000185158617 393531268 /nfs/dbraw/zinc/53/12/68/393531268.db2.gz YBVNEKYGWWMPQN-SSDOTTSWSA-N 0 0 273.285 2.778 20 5 CFBDRN CCc1nc(NC(=O)c2ccc([N+](=O)[O-])o2)sc1C ZINC000044701806 393566522 /nfs/dbraw/zinc/56/65/22/393566522.db2.gz PAXNJKDJARBNIB-UHFFFAOYSA-N 0 0 281.293 2.767 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000332656725 393561410 /nfs/dbraw/zinc/56/14/10/393561410.db2.gz FEEJWNALNVAXAD-IVZWLZJFSA-N 0 0 294.355 2.558 20 5 CFBDRN COc1cc(C)ccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000048807744 393602790 /nfs/dbraw/zinc/60/27/90/393602790.db2.gz XNLBYGDHGKFWLZ-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN CC(C)c1ccc(C[N@@H+]2CC[C@@H](C)[C@H]2CO)cc1[N+](=O)[O-] ZINC000191178203 393590280 /nfs/dbraw/zinc/59/02/80/393590280.db2.gz CEAHWSNMTAOQKG-MLGOLLRUSA-N 0 0 292.379 2.921 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000194142287 393679289 /nfs/dbraw/zinc/67/92/89/393679289.db2.gz QGJXDXSDUPSDFW-UWVGGRQHSA-N 0 0 280.324 2.768 20 5 CFBDRN COc1cccc(C(=O)NC[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000194156306 393679620 /nfs/dbraw/zinc/67/96/20/393679620.db2.gz PXCPQWULBNXQFS-LLVKDONJSA-N 0 0 290.319 2.690 20 5 CFBDRN CCCCCNC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000048931138 393611473 /nfs/dbraw/zinc/61/14/73/393611473.db2.gz NRBFLWXGDZWAFU-UHFFFAOYSA-N 0 0 279.340 2.947 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000408102117 393624403 /nfs/dbraw/zinc/62/44/03/393624403.db2.gz AEUKYXSCGWGVKU-HTQZYQBOSA-N 0 0 278.337 2.977 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1ncnc2cccc([N+](=O)[O-])c21 ZINC000408196184 393624906 /nfs/dbraw/zinc/62/49/06/393624906.db2.gz YSIITSDZEXCUKZ-VHSXEESVSA-N 0 0 272.308 2.773 20 5 CFBDRN CCOCCCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000192504754 393624915 /nfs/dbraw/zinc/62/49/15/393624915.db2.gz VTRPOSKHYPHJJF-UHFFFAOYSA-N 0 0 280.324 2.967 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCCCC[C@H]2C)c1[N+](=O)[O-] ZINC000408356314 393626468 /nfs/dbraw/zinc/62/64/68/393626468.db2.gz PMQHXUFRDYQWLH-SNVBAGLBSA-N 0 0 294.355 2.846 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCCC23CCC3)c1[N+](=O)[O-] ZINC000408443267 393626769 /nfs/dbraw/zinc/62/67/69/393626769.db2.gz OZASBVYVPUWFSB-UHFFFAOYSA-N 0 0 292.339 2.600 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000408466423 393627208 /nfs/dbraw/zinc/62/72/08/393627208.db2.gz JBKVFEZAXVICJF-BDAKNGLRSA-N 0 0 253.277 2.611 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@](C)(OC)C1(C)C ZINC000192640543 393629185 /nfs/dbraw/zinc/62/91/85/393629185.db2.gz PZEWGAFXLBLNGF-YGRLFVJLSA-N 0 0 296.371 2.735 20 5 CFBDRN Cc1nc(NC(=O)C[C@@H]2CC[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000410552672 393630246 /nfs/dbraw/zinc/63/02/46/393630246.db2.gz CXTJFLMPIPPERT-GARJFASQSA-N 0 0 275.308 2.673 20 5 CFBDRN Cc1nc(NC(=O)[C@H](C)C2CCC2)ccc1[N+](=O)[O-] ZINC000410552368 393630345 /nfs/dbraw/zinc/63/03/45/393630345.db2.gz ABEZSBJAAWUEHE-MRVPVSSYSA-N 0 0 263.297 2.673 20 5 CFBDRN Cc1nc(NC(=O)C(C)(C)C(C)(F)F)ccc1[N+](=O)[O-] ZINC000410556442 393630475 /nfs/dbraw/zinc/63/04/75/393630475.db2.gz ZKXAXVLSTMSPQE-UHFFFAOYSA-N 0 0 287.266 2.918 20 5 CFBDRN COc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1O ZINC000192717438 393631734 /nfs/dbraw/zinc/63/17/34/393631734.db2.gz OTMJJKBBFXINLL-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN COc1cccc(C(=O)Nc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000193758987 393663016 /nfs/dbraw/zinc/66/30/16/393663016.db2.gz LWDGXRONYCNPFM-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN O=C(NCc1ccoc1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411626452 393732814 /nfs/dbraw/zinc/73/28/14/393732814.db2.gz WSLYHJQMHDRKTK-UONOGXRCSA-N 0 0 286.287 2.608 20 5 CFBDRN CCCCOCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000195260127 393736627 /nfs/dbraw/zinc/73/66/27/393736627.db2.gz MUROIUXTLRMCMH-UHFFFAOYSA-N 0 0 281.312 2.533 20 5 CFBDRN CCC[C@@H](CC)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000195393977 393739335 /nfs/dbraw/zinc/73/93/35/393739335.db2.gz COPXDLNESMATSH-GFCCVEGCSA-N 0 0 279.340 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1Cc2ccccc21 ZINC000195481768 393741893 /nfs/dbraw/zinc/74/18/93/393741893.db2.gz BGGCXPNHVZGGIM-CYBMUJFWSA-N 0 0 296.326 2.973 20 5 CFBDRN COC(=O)c1cccc(Oc2ncc([N+](=O)[O-])s2)c1 ZINC000195505549 393742253 /nfs/dbraw/zinc/74/22/53/393742253.db2.gz KOFAQKSOPWJNIZ-UHFFFAOYSA-N 0 0 280.261 2.630 20 5 CFBDRN COc1ccc(CNc2ncc([N+](=O)[O-])s2)cc1F ZINC000195508040 393742366 /nfs/dbraw/zinc/74/23/66/393742366.db2.gz AZVSSVIFIHYYLU-UHFFFAOYSA-N 0 0 283.284 2.811 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1c2ccccc2C[C@@H]1C ZINC000195518454 393742369 /nfs/dbraw/zinc/74/23/69/393742369.db2.gz XYDJHAWJWHGDID-JTQLQIEISA-N 0 0 297.314 2.890 20 5 CFBDRN CC(=O)Nc1ccc(Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000195506092 393742530 /nfs/dbraw/zinc/74/25/30/393742530.db2.gz ZOZQYBPZUTUUNA-UHFFFAOYSA-N 0 0 278.293 2.753 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000411833246 393752610 /nfs/dbraw/zinc/75/26/10/393752610.db2.gz HXHCLKRXMIRGMR-NSHDSACASA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000411833678 393753248 /nfs/dbraw/zinc/75/32/48/393753248.db2.gz JZUSRQBGGYWSRL-LEWSCRJBSA-N 0 0 274.320 2.567 20 5 CFBDRN O=C(NC1CCCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000087021008 393756112 /nfs/dbraw/zinc/75/61/12/393756112.db2.gz QNETVGWYGIAHQQ-UHFFFAOYSA-N 0 0 289.335 2.605 20 5 CFBDRN Cc1ccc(NC(=O)CCc2cccc([N+](=O)[O-])c2)nc1 ZINC000087060949 393757218 /nfs/dbraw/zinc/75/72/18/393757218.db2.gz UWZFGUYQRSZIDH-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN COc1cccc(C(=O)Nc2ccncc2C)c1[N+](=O)[O-] ZINC000194314163 393688595 /nfs/dbraw/zinc/68/85/95/393688595.db2.gz FJGWLOSDTGCORY-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cccc(C(=O)N2CCC[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000194452265 393697314 /nfs/dbraw/zinc/69/73/14/393697314.db2.gz DYVWEYCPFZKBGA-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2nnsc2Cl)c1 ZINC000078641119 393710571 /nfs/dbraw/zinc/71/05/71/393710571.db2.gz FXVUTNWCEGCQOR-UHFFFAOYSA-N 0 0 270.701 2.712 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC[C@H](F)C1 ZINC000335965835 393711685 /nfs/dbraw/zinc/71/16/85/393711685.db2.gz KJTNRUOREHIEKN-VHSXEESVSA-N 0 0 281.287 2.915 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000194832011 393719099 /nfs/dbraw/zinc/71/90/99/393719099.db2.gz RCUDMSFOYZEWAJ-ZYHUDNBSSA-N 0 0 278.308 2.514 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000194831986 393719102 /nfs/dbraw/zinc/71/91/02/393719102.db2.gz RCUDMSFOYZEWAJ-CMPLNLGQSA-N 0 0 278.308 2.514 20 5 CFBDRN CCCCOCCN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088607284 393763695 /nfs/dbraw/zinc/76/36/95/393763695.db2.gz PZGGCQNAIIRGRK-UHFFFAOYSA-N 0 0 278.352 2.770 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)c(=O)[nH]1 ZINC000337940756 393771594 /nfs/dbraw/zinc/77/15/94/393771594.db2.gz USGCBSNQYROEAY-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H]1CCSC1 ZINC000228585434 393774908 /nfs/dbraw/zinc/77/49/08/393774908.db2.gz VZJYDCZXQPFFQP-QMMMGPOBSA-N 0 0 256.302 2.899 20 5 CFBDRN COc1ccnc(NC(=O)c2cc([N+](=O)[O-])ccc2C)c1 ZINC000089987022 393775329 /nfs/dbraw/zinc/77/53/29/393775329.db2.gz DQYFTZUCZRDVRJ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H](CC(N)=O)Nc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000228852615 393776190 /nfs/dbraw/zinc/77/61/90/393776190.db2.gz PTIPIRVXNWLWPG-RXMQYKEDSA-N 0 0 292.122 2.577 20 5 CFBDRN CNc1ccc(C(=O)NC[C@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000230713979 393779522 /nfs/dbraw/zinc/77/95/22/393779522.db2.gz MZVOUMHYHNAXST-CMPLNLGQSA-N 0 0 291.351 2.803 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CCC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000231037530 393780182 /nfs/dbraw/zinc/78/01/82/393780182.db2.gz LHDOXRAKPXTOJW-VXGBXAGGSA-N 0 0 294.351 2.957 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1cccs1 ZINC000091555575 393784023 /nfs/dbraw/zinc/78/40/23/393784023.db2.gz PSXOBJCCHFFFMS-UHFFFAOYSA-N 0 0 266.326 2.793 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCCC[C@H]1F ZINC000413533857 393785312 /nfs/dbraw/zinc/78/53/12/393785312.db2.gz QODOQIRXNINKBZ-NXEZZACHSA-N 0 0 270.308 2.812 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1C[C@@H](OC(C)C)C1 ZINC000457875645 393794300 /nfs/dbraw/zinc/79/43/00/393794300.db2.gz SIWUPWCIGUSHDX-TXEJJXNPSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]2F)n1 ZINC000338846429 393803124 /nfs/dbraw/zinc/80/31/24/393803124.db2.gz VXXCGACANZZASU-VHSXEESVSA-N 0 0 253.277 2.909 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000457929133 393804248 /nfs/dbraw/zinc/80/42/48/393804248.db2.gz QWUFUYMRGTXBIK-XBFCOCLRSA-N 0 0 289.335 2.583 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H]1CCCC[C@@H]1O ZINC000096867217 393838823 /nfs/dbraw/zinc/83/88/23/393838823.db2.gz SXPRUAZAPMOGFX-YPMHNXCESA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2ccccc2Cl)c1=O ZINC000096778340 393838979 /nfs/dbraw/zinc/83/89/79/393838979.db2.gz KMLDANQQWWJDQW-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@@H](C)[C@H]1C ZINC000416073194 393863847 /nfs/dbraw/zinc/86/38/47/393863847.db2.gz NHZBZFRLCBHQHL-UTLUCORTSA-N 0 0 280.299 2.850 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@@H](C)[C@H]1C ZINC000416076610 393865115 /nfs/dbraw/zinc/86/51/15/393865115.db2.gz QVCQRZJIYNOWLN-HBNTYKKESA-N 0 0 292.335 2.720 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)Cc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000416082902 393867884 /nfs/dbraw/zinc/86/78/84/393867884.db2.gz XRPWMKRMUHUYCX-IJLUTSLNSA-N 0 0 276.336 2.640 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000416082900 393867988 /nfs/dbraw/zinc/86/79/88/393867988.db2.gz XRPWMKRMUHUYCX-GRYCIOLGSA-N 0 0 276.336 2.640 20 5 CFBDRN C[C@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CO1 ZINC000345549674 393910556 /nfs/dbraw/zinc/91/05/56/393910556.db2.gz VORIQOHFWVACJK-WCBMZHEXSA-N 0 0 275.264 2.537 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CC1CCC1 ZINC000111699417 393887199 /nfs/dbraw/zinc/88/71/99/393887199.db2.gz DHQCWMJGKKORIO-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2cc(C)ccc2C)c1[N+](=O)[O-] ZINC000428661575 393903507 /nfs/dbraw/zinc/90/35/07/393903507.db2.gz AISQBKQQNJSIPY-UHFFFAOYSA-N 0 0 288.351 2.890 20 5 CFBDRN Cc1cc(-c2noc([C@]3(C)C[C@H]3F)n2)cc([N+](=O)[O-])c1 ZINC000424363609 393840165 /nfs/dbraw/zinc/84/01/65/393840165.db2.gz OXOJPKSQNKTTFR-ZWNOBZJWSA-N 0 0 277.255 2.953 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1C[C@]1(C)C(C)C ZINC000458117199 393850717 /nfs/dbraw/zinc/85/07/17/393850717.db2.gz IXGAREUQWBKQMM-DZGCQCFKSA-N 0 0 292.335 2.768 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1nnc(C2CC2)o1 ZINC000102599425 393851924 /nfs/dbraw/zinc/85/19/24/393851924.db2.gz QZIKFTOJWSWGQF-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN CS[C@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000103375807 393855805 /nfs/dbraw/zinc/85/58/05/393855805.db2.gz NGODNUJVBZPFKZ-DTWKUNHWSA-N 0 0 280.349 2.624 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000458146256 393858479 /nfs/dbraw/zinc/85/84/79/393858479.db2.gz SZWLGRFABSUSJN-WCQYABFASA-N 0 0 296.754 2.951 20 5 CFBDRN CC(C)(NC(=O)c1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000345790287 393917760 /nfs/dbraw/zinc/91/77/60/393917760.db2.gz YIYUGOPEOVWFJM-UHFFFAOYSA-N 0 0 285.303 2.655 20 5 CFBDRN CCC1(C)CN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000428834491 393916592 /nfs/dbraw/zinc/91/65/92/393916592.db2.gz UZMYNZKQAUOMNJ-UHFFFAOYSA-N 0 0 298.289 2.674 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ncc([N+](=O)[O-])cn2)[C@H]1C ZINC000252374086 393934469 /nfs/dbraw/zinc/93/44/69/393934469.db2.gz YGYMEQSYAOQVSM-WCABBAIRSA-N 0 0 250.302 2.621 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000429245464 393948540 /nfs/dbraw/zinc/94/85/40/393948540.db2.gz KFWQTUZZFGKWBB-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1c(Br)c(N2CC(C)C2)ncc1[N+](=O)[O-] ZINC000436348228 394020889 /nfs/dbraw/zinc/02/08/89/394020889.db2.gz WFLQNEXIBHGXRZ-UHFFFAOYSA-N 0 0 286.129 2.517 20 5 CFBDRN CC(C)(C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000264213347 393967582 /nfs/dbraw/zinc/96/75/82/393967582.db2.gz JKDSRQOHKPJRSY-SNVBAGLBSA-N 0 0 266.297 2.839 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CC23CCCC3)cc1[N+](=O)[O-] ZINC000430220323 393969847 /nfs/dbraw/zinc/96/98/47/393969847.db2.gz QVDXUBQIRAEKMH-ZDUSSCGKSA-N 0 0 290.319 2.666 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266030961 393978844 /nfs/dbraw/zinc/97/88/44/393978844.db2.gz IQOAYDCBKITMOI-LLVKDONJSA-N 0 0 264.325 2.690 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCCO1 ZINC000268035694 393990380 /nfs/dbraw/zinc/99/03/80/393990380.db2.gz IKJWFHNOHDPLCH-CYBMUJFWSA-N 0 0 275.264 2.670 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCCC[C@H]2F)nc2sccn21 ZINC000413529820 296496994 /nfs/dbraw/zinc/49/69/94/296496994.db2.gz JKXLVXBEAACFCX-HTQZYQBOSA-N 0 0 284.316 2.997 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCCC3CC(O)C3)ccnc21 ZINC000432141195 394011805 /nfs/dbraw/zinc/01/18/05/394011805.db2.gz ZOIYFDLVSVEUIJ-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)(C)C[C@H](O)CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000487568992 394012576 /nfs/dbraw/zinc/01/25/76/394012576.db2.gz ZUYJNUXPLPDWIE-GFCCVEGCSA-N 0 0 298.314 2.860 20 5 CFBDRN COc1cc(N[C@@H](CCO)c2ccco2)ccc1[N+](=O)[O-] ZINC000274370733 394042043 /nfs/dbraw/zinc/04/20/43/394042043.db2.gz GOERDKJTGDLGQS-NSHDSACASA-N 0 0 292.291 2.732 20 5 CFBDRN CC[C@@]1(C)CN(c2cc(OC)c(F)cc2[N+](=O)[O-])CCO1 ZINC000276100877 394048185 /nfs/dbraw/zinc/04/81/85/394048185.db2.gz XZYNDVDLWFMACA-AWEZNQCLSA-N 0 0 298.314 2.748 20 5 CFBDRN CC1=C(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000437194553 394049394 /nfs/dbraw/zinc/04/93/94/394049394.db2.gz NWXMEBNXOJCHAT-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC[C@@H](O)C1 ZINC000276396471 394049569 /nfs/dbraw/zinc/04/95/69/394049569.db2.gz FWIKYEBSZXPOCF-SNVBAGLBSA-N 0 0 270.716 2.518 20 5 CFBDRN C[C@@H](SC[C@@H]1COCCO1)c1cccc([N+](=O)[O-])c1 ZINC000419555735 394053510 /nfs/dbraw/zinc/05/35/10/394053510.db2.gz HSSVMBDRPWWFRJ-MFKMUULPSA-N 0 0 283.349 2.804 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000355133896 394058839 /nfs/dbraw/zinc/05/88/39/394058839.db2.gz PDVKAKIZSKUJNA-UHFFFAOYSA-N 0 0 294.355 2.704 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NN1CCCCC1 ZINC000278719704 394062911 /nfs/dbraw/zinc/06/29/11/394062911.db2.gz RGESGVAGIJUZQM-UHFFFAOYSA-N 0 0 297.742 2.687 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000355335295 394063200 /nfs/dbraw/zinc/06/32/00/394063200.db2.gz OUBUUVQMQRKMAP-GDLCADMTSA-N 0 0 290.319 2.575 20 5 CFBDRN CCC(=O)c1ccc(N2CCC[C@](C)(O)C2)c([N+](=O)[O-])c1 ZINC000280664149 394073982 /nfs/dbraw/zinc/07/39/82/394073982.db2.gz GYUBRACCGGDBOZ-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2O[C@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000281834296 394080869 /nfs/dbraw/zinc/08/08/69/394080869.db2.gz HOXOEHJLNAIJEN-JRKPZEMJSA-N 0 0 279.292 2.622 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@](C)(O)C(F)(F)F ZINC000281844891 394082679 /nfs/dbraw/zinc/08/26/79/394082679.db2.gz LSBXHNFMOCXTBV-SNVBAGLBSA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1cn(CCOc2ccccc2Cl)nc1[N+](=O)[O-] ZINC000439187155 394104175 /nfs/dbraw/zinc/10/41/75/394104175.db2.gz HAPXCBIITAMIIX-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN Cc1cc(OC[C@](C)(O)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000281848989 394083752 /nfs/dbraw/zinc/08/37/52/394083752.db2.gz MBBUGUKQPCSJQC-JTQLQIEISA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC=C(C)C1 ZINC000281866207 394085925 /nfs/dbraw/zinc/08/59/25/394085925.db2.gz UGWLKVFJBDYRDD-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ccc([N+](=O)[O-])nc1)CC2 ZINC000438895718 394086014 /nfs/dbraw/zinc/08/60/14/394086014.db2.gz PYVJCZIBOJBQDM-UHFFFAOYSA-N 0 0 299.330 2.604 20 5 CFBDRN Cc1c(CC(=O)NC2C(C)(C)C2(C)C)cccc1[N+](=O)[O-] ZINC000438917712 394086827 /nfs/dbraw/zinc/08/68/27/394086827.db2.gz FKEMDLYZQFUUNZ-UHFFFAOYSA-N 0 0 290.363 2.997 20 5 CFBDRN CCOCC1(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000281883548 394088080 /nfs/dbraw/zinc/08/80/80/394088080.db2.gz PNVRHLJBCBMUMM-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ncccc1NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000438938511 394088528 /nfs/dbraw/zinc/08/85/28/394088528.db2.gz VJUJPTQWQXOUEM-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN COC(C)(C)CC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000281889342 394089006 /nfs/dbraw/zinc/08/90/06/394089006.db2.gz DQCJCAXRRWBISF-UHFFFAOYSA-N 0 0 284.287 2.796 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492885544 394089380 /nfs/dbraw/zinc/08/93/80/394089380.db2.gz DFSAYCCILZFVOH-FMFIFOJESA-N 0 0 274.320 2.913 20 5 CFBDRN CC1=CCCN(C(=O)CCNc2ccccc2[N+](=O)[O-])C1 ZINC000281893709 394089809 /nfs/dbraw/zinc/08/98/09/394089809.db2.gz WLBRCGUYDZICPM-UHFFFAOYSA-N 0 0 289.335 2.575 20 5 CFBDRN CC1=CCCN(C(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000281907895 394092088 /nfs/dbraw/zinc/09/20/88/394092088.db2.gz XVYTVMDJQVWOHT-UHFFFAOYSA-N 0 0 290.319 2.542 20 5 CFBDRN CC(C)(NC(=O)/C=C/c1ccc[nH]1)c1ccccc1[N+](=O)[O-] ZINC000492896434 394092129 /nfs/dbraw/zinc/09/21/29/394092129.db2.gz QLELPZIFZSKHLH-MDZDMXLPSA-N 0 0 299.330 2.988 20 5 CFBDRN C[C@@H](CSCc1c([N+](=O)[O-])ncn1C)C(F)(F)F ZINC000439018565 394094501 /nfs/dbraw/zinc/09/45/01/394094501.db2.gz FVPBZUBCAXCLDU-LURJTMIESA-N 0 0 283.275 2.760 20 5 CFBDRN CC1=CCCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000281923179 394094638 /nfs/dbraw/zinc/09/46/38/394094638.db2.gz ZGBMCMLYRDSDMV-UHFFFAOYSA-N 0 0 277.280 2.655 20 5 CFBDRN CC[C@@H](C)CONC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492917640 394097505 /nfs/dbraw/zinc/09/75/05/394097505.db2.gz DDMCEQBPFXGSEL-TYBABMIJSA-N 0 0 278.308 2.702 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N(C)CC(F)F ZINC000439113689 394099541 /nfs/dbraw/zinc/09/95/41/394099541.db2.gz CXHXVGRDGMANCZ-UHFFFAOYSA-N 0 0 292.669 2.894 20 5 CFBDRN CN(CC1CCC1)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000126407554 394027899 /nfs/dbraw/zinc/02/78/99/394027899.db2.gz MVQLYPHATCGWLI-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CC1CCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000272552326 394030529 /nfs/dbraw/zinc/03/05/29/394030529.db2.gz OXVUDUFREGFPIC-UHFFFAOYSA-N 0 0 298.289 2.945 20 5 CFBDRN CO[C@H](C)CCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000272841522 394031141 /nfs/dbraw/zinc/03/11/41/394031141.db2.gz TXGBLCWVSNRZMU-GHMZBOCLSA-N 0 0 280.324 2.587 20 5 CFBDRN CCc1coc(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])n1 ZINC000493198560 394176914 /nfs/dbraw/zinc/17/69/14/394176914.db2.gz BQZHPFGVUITSFP-BQYQJAHWSA-N 0 0 287.275 2.797 20 5 CFBDRN CCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000130296180 394107959 /nfs/dbraw/zinc/10/79/59/394107959.db2.gz PAEQDKGBWLFBCD-UHFFFAOYSA-N 0 0 251.286 2.825 20 5 CFBDRN CCO/C=C\C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000492977557 394113497 /nfs/dbraw/zinc/11/34/97/394113497.db2.gz AIUKDKOENKDUPQ-CLFYSBASSA-N 0 0 287.275 2.632 20 5 CFBDRN O=c1oc(-c2ccc([N+](=O)[O-])cc2)nn1CC1CCCC1 ZINC000130553267 394114379 /nfs/dbraw/zinc/11/43/79/394114379.db2.gz GIEIKJQJBZOYEC-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN COc1ccc(OCC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000358965226 394117077 /nfs/dbraw/zinc/11/70/77/394117077.db2.gz MNCWLGTYXPXCTA-NSHDSACASA-N 0 0 281.308 2.941 20 5 CFBDRN Cc1ccnc(CNC(=O)/C=C/c2cccc([N+](=O)[O-])c2)c1 ZINC000492989086 394117329 /nfs/dbraw/zinc/11/73/29/394117329.db2.gz FDNBFMUQGSAHKN-AATRIKPKSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1c(CNC(=O)/C=C\c2ccn(C)c2)cccc1[N+](=O)[O-] ZINC000492993657 394118836 /nfs/dbraw/zinc/11/88/36/394118836.db2.gz ZBZOOZXNOFIPRA-SREVYHEPSA-N 0 0 299.330 2.571 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCC2(O)CCC2)c(F)c1 ZINC000420631732 394121368 /nfs/dbraw/zinc/12/13/68/394121368.db2.gz JBHKBNKLMUOCPI-UHFFFAOYSA-N 0 0 268.288 2.841 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000130900868 394121816 /nfs/dbraw/zinc/12/18/16/394121816.db2.gz KKVUZSJTKWIJHX-NSHDSACASA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOCC(F)(F)F ZINC000131400915 394132751 /nfs/dbraw/zinc/13/27/51/394132751.db2.gz XFJJQMCVPFPVJD-UHFFFAOYSA-N 0 0 279.214 2.861 20 5 CFBDRN Cc1cccc(C(=O)N(C)c2ncccc2F)c1[N+](=O)[O-] ZINC000131640234 394137491 /nfs/dbraw/zinc/13/74/91/394137491.db2.gz HIQBYFBLXJLYHF-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN CC(C)=CCCNS(=O)(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000359995181 394143923 /nfs/dbraw/zinc/14/39/23/394143923.db2.gz SFQHRSBRQFLKAZ-UHFFFAOYSA-N 0 0 298.364 2.538 20 5 CFBDRN Cc1cc(N(C)[C@@H]2CCSC2)c(F)cc1[N+](=O)[O-] ZINC000360055096 394144695 /nfs/dbraw/zinc/14/46/95/394144695.db2.gz UURGFQRGJSIRHM-SECBINFHSA-N 0 0 270.329 2.984 20 5 CFBDRN C[C@H](CNc1c(F)cccc1[N+](=O)[O-])Cn1ccnc1 ZINC000285958639 394172130 /nfs/dbraw/zinc/17/21/30/394172130.db2.gz ADYLGCXHEGBGBC-SNVBAGLBSA-N 0 0 278.287 2.679 20 5 CFBDRN CN(C(=O)/C=C\c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000493191524 394174825 /nfs/dbraw/zinc/17/48/25/394174825.db2.gz UPKBSDFHXXXIAQ-HJWRWDBZSA-N 0 0 262.309 2.865 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)NCc1ccccc1[N+](=O)[O-] ZINC000448723381 394175310 /nfs/dbraw/zinc/17/53/10/394175310.db2.gz HOSIIZVDJPNGRR-GMXVVIOVSA-N 0 0 274.320 2.647 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000286479941 394197084 /nfs/dbraw/zinc/19/70/84/394197084.db2.gz OZUMLJNPUPDVEH-PWSUYJOCSA-N 0 0 297.742 2.791 20 5 CFBDRN CCO/C=C\C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000493288512 394200016 /nfs/dbraw/zinc/20/00/16/394200016.db2.gz NRLLVFHGGFEURV-KTKRTIGZSA-N 0 0 290.319 2.636 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCC[C@@H]1c1ncon1 ZINC000363888612 394202166 /nfs/dbraw/zinc/20/21/66/394202166.db2.gz NQECFCHDXDUYHM-CYBMUJFWSA-N 0 0 288.307 2.623 20 5 CFBDRN Cc1cc(N2CCC[C@@H](C3CC3)C2)ncc1[N+](=O)[O-] ZINC000288371294 394211624 /nfs/dbraw/zinc/21/16/24/394211624.db2.gz YPAKUOIOFIIMMJ-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1nc(N2CCCc3occc3C2)ccc1[N+](=O)[O-] ZINC000289201163 394216297 /nfs/dbraw/zinc/21/62/97/394216297.db2.gz XPIWCZWPCIEBBM-UHFFFAOYSA-N 0 0 273.292 2.844 20 5 CFBDRN Cc1cc(F)ccc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000135329123 394217351 /nfs/dbraw/zinc/21/73/51/394217351.db2.gz ZFJLDXBJUOZUGO-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC1C[C@H]2C[C@H]2C1 ZINC000493394203 394230372 /nfs/dbraw/zinc/23/03/72/394230372.db2.gz UISRZPDBSUKKMJ-SVWIVYCUSA-N 0 0 272.304 2.523 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3c(F)cccc3[N+](=O)[O-])C2)c1 ZINC000290908039 394235846 /nfs/dbraw/zinc/23/58/46/394235846.db2.gz VYDCMTDXLFKIGT-LLVKDONJSA-N 0 0 290.298 2.690 20 5 CFBDRN Cc1cnc(N2CCC(F)(F)[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000291083003 394236934 /nfs/dbraw/zinc/23/69/34/394236934.db2.gz IJAKWCPTNSBQFV-VIFPVBQESA-N 0 0 271.267 2.780 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@@H]3CCC[C@H]3C2)cc1[N+](=O)[O-] ZINC000148385320 394248462 /nfs/dbraw/zinc/24/84/62/394248462.db2.gz LYPAQWOHUSYAPX-RYUDHWBXSA-N 0 0 289.335 2.509 20 5 CFBDRN Cc1ccnc(NCC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC000291628022 394249099 /nfs/dbraw/zinc/24/90/99/394249099.db2.gz AHEVNKLKOJIIAB-UHFFFAOYSA-N 0 0 257.240 2.755 20 5 CFBDRN Cc1nc(N2Cc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000150791422 394252643 /nfs/dbraw/zinc/25/26/43/394252643.db2.gz DIOTUOWNBJPYGN-UHFFFAOYSA-N 0 0 255.277 2.818 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCS[C@@H](C)CC2)n1 ZINC000493472031 394252821 /nfs/dbraw/zinc/25/28/21/394252821.db2.gz IDFHBXWYJOWBMN-NSHDSACASA-N 0 0 281.381 2.938 20 5 CFBDRN C[C@@]1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1(F)F ZINC000421243587 394184195 /nfs/dbraw/zinc/18/41/95/394184195.db2.gz NGNXYCWNSISKMP-NSHDSACASA-N 0 0 281.218 2.942 20 5 CFBDRN CC[C@H](C)CONC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000493232221 394186319 /nfs/dbraw/zinc/18/63/19/394186319.db2.gz WYCVTMJPYINDHJ-AEZGRPFRSA-N 0 0 278.308 2.702 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000286102066 394192114 /nfs/dbraw/zinc/19/21/14/394192114.db2.gz DAWZRASMYMUMQN-SKDRFNHKSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1ccc(/C=C/C(=O)N(C)c2ccc([N+](=O)[O-])nc2)o1 ZINC000493483005 394255275 /nfs/dbraw/zinc/25/52/75/394255275.db2.gz IDQCVQMHBHGDEY-SOFGYWHQSA-N 0 0 287.275 2.567 20 5 CFBDRN Cc1noc([C@H]2CCCN2c2ccccc2[N+](=O)[O-])n1 ZINC000286333751 394195977 /nfs/dbraw/zinc/19/59/77/394195977.db2.gz HZVMVJUEILOKEU-GFCCVEGCSA-N 0 0 274.280 2.628 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000134422829 394196820 /nfs/dbraw/zinc/19/68/20/394196820.db2.gz RIJXQIDAEMTUDX-RKDXNWHRSA-N 0 0 298.339 2.700 20 5 CFBDRN Cc1c(CNC(=O)/C=C/c2cccnc2)cccc1[N+](=O)[O-] ZINC000493514323 394264202 /nfs/dbraw/zinc/26/42/02/394264202.db2.gz HFJOEVOXRZBNBW-BQYQJAHWSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C)[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000292641002 394276129 /nfs/dbraw/zinc/27/61/29/394276129.db2.gz OSOXQDIYNZPAEN-UWVGGRQHSA-N 0 0 268.288 2.656 20 5 CFBDRN Cc1ccnc(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c1 ZINC000493553769 394276466 /nfs/dbraw/zinc/27/64/66/394276466.db2.gz LEDKDRPTRBRZQZ-QPJJXVBHSA-N 0 0 297.314 2.628 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])c(OC)c2)C[C@@H]1C ZINC000450744395 394332633 /nfs/dbraw/zinc/33/26/33/394332633.db2.gz MLURSXYFZFUNBQ-FZMZJTMJSA-N 0 0 294.351 2.855 20 5 CFBDRN NC(=O)c1ccc(Oc2ncc(Cl)cc2[N+](=O)[O-])cc1 ZINC000153519406 394285885 /nfs/dbraw/zinc/28/58/85/394285885.db2.gz VYAZZXVQGADONB-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN Cc1nc(N2C[C@H](C)c3ccccc32)ncc1[N+](=O)[O-] ZINC000450258187 394288610 /nfs/dbraw/zinc/28/86/10/394288610.db2.gz ZMJLBUJEPAPDJH-VIFPVBQESA-N 0 0 270.292 2.948 20 5 CFBDRN CCO[C@H]1CCN(c2ncc(C)cc2[N+](=O)[O-])C[C@@H]1C ZINC000450743937 394333021 /nfs/dbraw/zinc/33/30/21/394333021.db2.gz KAPDEVSYOZXOGB-AAEUAGOBSA-N 0 0 279.340 2.550 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccn(C)c1)c1cccc([N+](=O)[O-])c1 ZINC000493610225 394289747 /nfs/dbraw/zinc/28/97/47/394289747.db2.gz FVIHHXCSYUEYFQ-ZHRWSRJISA-N 0 0 299.330 2.824 20 5 CFBDRN Cc1nc(N2CC[C@]3(CC[C@@H](C)C3)C2)ncc1[N+](=O)[O-] ZINC000450434456 394292295 /nfs/dbraw/zinc/29/22/95/394292295.db2.gz MZKLANAXVWUULF-YGRLFVJLSA-N 0 0 276.340 2.710 20 5 CFBDRN Cc1nc(N2Cc3ccccc3C[C@@H]2C)ncc1[N+](=O)[O-] ZINC000450447275 394293271 /nfs/dbraw/zinc/29/32/71/394293271.db2.gz URBYZXWVJYVZNY-JTQLQIEISA-N 0 0 284.319 2.644 20 5 CFBDRN CCO[C@@H]1CCN(c2nc(C)ccc2[N+](=O)[O-])C[C@H]1C ZINC000450748189 394333887 /nfs/dbraw/zinc/33/38/87/394333887.db2.gz VXBMBGWTWMATRZ-ZWNOBZJWSA-N 0 0 279.340 2.550 20 5 CFBDRN CCO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2C)C[C@@H]1C ZINC000450747507 394334124 /nfs/dbraw/zinc/33/41/24/394334124.db2.gz UJYYXGZJEFBNPU-AAEUAGOBSA-N 0 0 279.340 2.550 20 5 CFBDRN CC(C)=CCNC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000493698461 394312545 /nfs/dbraw/zinc/31/25/45/394312545.db2.gz ULIZQXACRGMUEF-XQRVVYSFSA-N 0 0 278.283 2.830 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000450666072 394313522 /nfs/dbraw/zinc/31/35/22/394313522.db2.gz XCAJOEGIJOPHJM-QWRGUYRKSA-N 0 0 291.351 2.932 20 5 CFBDRN COc1ccc(O[C@H]2C[C@H](C)CCC2=O)c([N+](=O)[O-])c1 ZINC000450687079 394317650 /nfs/dbraw/zinc/31/76/50/394317650.db2.gz WOQJXYAUWZGQSR-OTYXRUKQSA-N 0 0 279.292 2.740 20 5 CFBDRN COc1cc(N2CCC(CF)CC2)ccc1[N+](=O)[O-] ZINC000450691843 394318318 /nfs/dbraw/zinc/31/83/18/394318318.db2.gz ZCRHQTWMPKHCGQ-UHFFFAOYSA-N 0 0 268.288 2.789 20 5 CFBDRN CCC[C@H](Nc1c([N+](=O)[O-])ncn1C)C(C)(C)C ZINC000450705219 394321899 /nfs/dbraw/zinc/32/18/99/394321899.db2.gz NEGRFNAIBSJOGO-VIFPVBQESA-N 0 0 254.334 2.955 20 5 CFBDRN Cc1cccc(CN2CCc3ccc([N+](=O)[O-])cc32)n1 ZINC000450727040 394327573 /nfs/dbraw/zinc/32/75/73/394327573.db2.gz XHSMHDNHGRGZQS-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@@H]1CCC[C@@H]1F ZINC000493845317 394328549 /nfs/dbraw/zinc/32/85/49/394328549.db2.gz CURVZCLPVNCDBY-VDTGWRSZSA-N 0 0 278.283 2.615 20 5 CFBDRN Cc1nc(N[C@H](C(C)C)[C@@H](CO)C(C)C)ccc1[N+](=O)[O-] ZINC000450765114 394337358 /nfs/dbraw/zinc/33/73/58/394337358.db2.gz IXEHWRKRGDESPV-SWLSCSKDSA-N 0 0 295.383 2.999 20 5 CFBDRN Cc1cnc(N[C@H](C(=O)OC(C)C)C(C)C)c([N+](=O)[O-])c1 ZINC000450771062 394339139 /nfs/dbraw/zinc/33/91/39/394339139.db2.gz FNWKPMCCSTUAJO-LBPRGKRZSA-N 0 0 295.339 2.686 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCCC1(C)C ZINC000157130307 394342072 /nfs/dbraw/zinc/34/20/72/394342072.db2.gz DYXYLUQPRLAFPW-ZDUSSCGKSA-N 0 0 291.351 2.997 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)C2CCOCC2)cccc1[N+](=O)[O-] ZINC000158183501 394355860 /nfs/dbraw/zinc/35/58/60/394355860.db2.gz GXVHDTGBYBSTSU-SNVBAGLBSA-N 0 0 292.335 2.904 20 5 CFBDRN Cc1c(Cl)cnn1Cc1cccc([N+](=O)[O-])c1 ZINC000158588423 394358767 /nfs/dbraw/zinc/35/87/67/394358767.db2.gz JVNJUZKFYUAMOX-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccc(F)c1 ZINC000494610936 394360161 /nfs/dbraw/zinc/36/01/61/394360161.db2.gz GEXHPLFVPDGHNH-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCO2)cc1OC(F)F ZINC000275978042 135116438 /nfs/dbraw/zinc/11/64/38/135116438.db2.gz CCJDZDHFQNJWEO-UHFFFAOYSA-N 0 0 274.223 2.728 20 5 CFBDRN Cc1sccc1CC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000530327386 394505498 /nfs/dbraw/zinc/50/54/98/394505498.db2.gz LNMFSASMDFFSNM-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCCO2)c1ccc([N+](=O)[O-])cn1 ZINC000531887669 394530667 /nfs/dbraw/zinc/53/06/67/394530667.db2.gz CHPHHHACRASHIB-UHFFFAOYSA-N 0 0 299.286 2.567 20 5 CFBDRN CCc1nn(C)c(N(C)CC2CCCCC2)c1[N+](=O)[O-] ZINC000537687762 394590202 /nfs/dbraw/zinc/59/02/02/394590202.db2.gz RCHBXIBSSTZMCC-UHFFFAOYSA-N 0 0 280.372 2.907 20 5 CFBDRN Cc1cc(NC(=O)c2cccc(C)c2[N+](=O)[O-])c(C)cn1 ZINC000535748777 394541870 /nfs/dbraw/zinc/54/18/70/394541870.db2.gz ZPQFZAOOHUYPCZ-UHFFFAOYSA-N 0 0 285.303 2.589 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000535794856 394545599 /nfs/dbraw/zinc/54/55/99/394545599.db2.gz WXEMAQSUMPWCIK-GFCCVEGCSA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)C1 ZINC000538443945 394603883 /nfs/dbraw/zinc/60/38/83/394603883.db2.gz UHNXGXWVCLEFHC-NEPJUHHUSA-N 0 0 288.347 2.916 20 5 CFBDRN CC(C)C[C@@H](C)CN1C(=O)c2ccc([N+](=O)[O-])cc2C1=O ZINC000539511083 394628247 /nfs/dbraw/zinc/62/82/47/394628247.db2.gz CHTSOEHAVKZAEY-SNVBAGLBSA-N 0 0 290.319 2.873 20 5 CFBDRN C[C@@]1(CO)CCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000545397697 394793601 /nfs/dbraw/zinc/79/36/01/394793601.db2.gz TZMZWTCGXRCWIS-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@@H]2CCCC[C@H]12 ZINC000546180736 394821304 /nfs/dbraw/zinc/82/13/04/394821304.db2.gz IUCNEMYEIOYZGZ-RAIGVLPGSA-N 0 0 276.340 2.790 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)SCCOCCO ZINC000547302485 394857507 /nfs/dbraw/zinc/85/75/07/394857507.db2.gz RNZNSZPSSBLUIO-NSHDSACASA-N 0 0 285.365 2.706 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCCCC1CC1 ZINC000548098495 394911574 /nfs/dbraw/zinc/91/15/74/394911574.db2.gz DOHZFFXLVNCLQV-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000548285742 394921011 /nfs/dbraw/zinc/92/10/11/394921011.db2.gz XRTGBRWCVUQVJA-QWHCGFSZSA-N 0 0 276.336 2.766 20 5 CFBDRN CCc1nn(C)c(NCC2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000548365275 394924405 /nfs/dbraw/zinc/92/44/05/394924405.db2.gz DZZOPXWOHNMJNP-UHFFFAOYSA-N 0 0 266.345 2.739 20 5 CFBDRN CCC(CC)N(CC(C)C)C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000548420037 394928720 /nfs/dbraw/zinc/92/87/20/394928720.db2.gz CHXFKIIIFNBFQN-UHFFFAOYSA-N 0 0 282.344 2.605 20 5 CFBDRN Cc1cn(Cc2cc3c(c(Cl)c2)OCO3)nc1[N+](=O)[O-] ZINC000554697135 394956104 /nfs/dbraw/zinc/95/61/04/394956104.db2.gz OEPUQHCWIBZPIT-UHFFFAOYSA-N 0 0 295.682 2.530 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cn1)C(F)(F)F ZINC000554695373 394956149 /nfs/dbraw/zinc/95/61/49/394956149.db2.gz RDMKMOGYTIYHPL-UHFFFAOYSA-N 0 0 263.219 2.990 20 5 CFBDRN CC(C)C[C@H]([NH2+]C/C=C\c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000556919510 395025285 /nfs/dbraw/zinc/02/52/85/395025285.db2.gz ZYVNSKBCACACAV-VGVVRCBHSA-N 0 0 292.335 2.697 20 5 CFBDRN CC[C@H](C)N(C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000555309720 394970782 /nfs/dbraw/zinc/97/07/82/394970782.db2.gz HVVJGGJMPADVTA-WDEREUQCSA-N 0 0 264.325 2.955 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H](CCO)CC1 ZINC000420637247 296499989 /nfs/dbraw/zinc/49/99/89/296499989.db2.gz HUDSFAMDOPYWDV-JTQLQIEISA-N 0 0 299.758 2.632 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000371005306 296501602 /nfs/dbraw/zinc/50/16/02/296501602.db2.gz OEKKKJPQBUIFMW-GHMZBOCLSA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H]([C@H]2CCOC2)C1 ZINC000371005311 296501655 /nfs/dbraw/zinc/50/16/55/296501655.db2.gz OEKKKJPQBUIFMW-QWRGUYRKSA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]2C[C@H](O)CC[C@H]2C1 ZINC000450812491 296501669 /nfs/dbraw/zinc/50/16/69/296501669.db2.gz NIPNPVPHICXYNM-NTZNESFSSA-N 0 0 294.326 2.721 20 5 CFBDRN Cn1c(C(=O)Nc2c(O)cccc2Cl)ccc1[N+](=O)[O-] ZINC000557183955 395031783 /nfs/dbraw/zinc/03/17/83/395031783.db2.gz RJSKCNPGRKEXBN-UHFFFAOYSA-N 0 0 295.682 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@H](O)CC1 ZINC000293976639 296504535 /nfs/dbraw/zinc/50/45/35/296504535.db2.gz ICLCPTZONSWYEC-JTQLQIEISA-N 0 0 270.716 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCOC[C@H]2C2CC2)c1 ZINC000090009924 296504641 /nfs/dbraw/zinc/50/46/41/296504641.db2.gz DFSKPXWRIMLHNS-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000364974872 296505605 /nfs/dbraw/zinc/50/56/05/296505605.db2.gz KCBDMMYFYFJNBC-WDEREUQCSA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000364974869 296505616 /nfs/dbraw/zinc/50/56/16/296505616.db2.gz KCBDMMYFYFJNBC-GHMZBOCLSA-N 0 0 280.299 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2cc[nH]n2)c(F)c1 ZINC000278699448 296506615 /nfs/dbraw/zinc/50/66/15/296506615.db2.gz MQOPTCNZCPLGPB-CYBMUJFWSA-N 0 0 276.271 2.799 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000286506666 304800501 /nfs/dbraw/zinc/80/05/01/304800501.db2.gz QTNOOOVGRNPSKY-OCCSQVGLSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC[C@H](CO)CC1 ZINC000294178093 296510641 /nfs/dbraw/zinc/51/06/41/296510641.db2.gz SHJXCOYJFNVAPK-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1C[C@@H]3OCCC[C@H]13)CCN2 ZINC000302873810 296510343 /nfs/dbraw/zinc/51/03/43/296510343.db2.gz DLJUSPUSPMYRAG-IUPBHXKESA-N 0 0 289.335 2.542 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3C[C@H]3C3CC3)c21 ZINC000362374384 296513436 /nfs/dbraw/zinc/51/34/36/296513436.db2.gz ACWMSPATWGIDBF-ONGXEEELSA-N 0 0 270.292 2.748 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCCCO1 ZINC000278059435 296519183 /nfs/dbraw/zinc/51/91/83/296519183.db2.gz SEAXYVAFVQPHSX-UHFFFAOYSA-N 0 0 277.202 2.540 20 5 CFBDRN CO[C@@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C[C@H]1C ZINC000450774670 285720614 /nfs/dbraw/zinc/72/06/14/285720614.db2.gz OSZVYGVEFOTLFR-DGCLKSJQSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@@H]1CCN(c2cccc(C)c2[N+](=O)[O-])C[C@H]1C ZINC000450771234 285721603 /nfs/dbraw/zinc/72/16/03/285721603.db2.gz YJVDFFKXKAFXEH-DGCLKSJQSA-N 0 0 264.325 2.764 20 5 CFBDRN COC1(C)CCN(C(=O)c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000428642298 292100922 /nfs/dbraw/zinc/10/09/22/292100922.db2.gz GSOXXRFMIIXJAW-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CO[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])CC[C@@H]1C ZINC000302606868 285775293 /nfs/dbraw/zinc/77/52/93/285775293.db2.gz ZTHXQPHRBPWDMU-SMDDNHRTSA-N 0 0 264.325 2.764 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000592000593 397586788 /nfs/dbraw/zinc/58/67/88/397586788.db2.gz ASZZXUAFIPYOAE-MWLCHTKSSA-N 0 0 289.360 2.871 20 5 CFBDRN CCC1(CC)[C@H](Nc2c([N+](=O)[O-])c(C)nn2C)C[C@@H]1OC ZINC000301721678 397639311 /nfs/dbraw/zinc/63/93/11/397639311.db2.gz QWYSDBOJCFISMM-MNOVXSKESA-N 0 0 296.371 2.642 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCO[C@@H]3CCC[C@H]32)c(Cl)c1 ZINC000374125623 304811958 /nfs/dbraw/zinc/81/19/58/304811958.db2.gz JNKXBNKXNCOTML-VXGBXAGGSA-N 0 0 297.742 2.791 20 5 CFBDRN Cc1ccc(N[C@H]2CC(=O)N(C(C)(C)C)C2)c([N+](=O)[O-])c1 ZINC000301484685 292162102 /nfs/dbraw/zinc/16/21/02/292162102.db2.gz VSLSVFNNGGPARQ-NSHDSACASA-N 0 0 291.351 2.715 20 5 CFBDRN CCOC(=O)c1ccnc(N(C)[C@@H](C)C(C)C)c1[N+](=O)[O-] ZINC000265621455 285967452 /nfs/dbraw/zinc/96/74/52/285967452.db2.gz XRAWAANCGOPBDR-JTQLQIEISA-N 0 0 295.339 2.647 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CSC[C@H]2C)cc1[N+](=O)[O-] ZINC000356216158 155185044 /nfs/dbraw/zinc/18/50/44/155185044.db2.gz STJZASDINYSKDF-BXKDBHETSA-N 0 0 294.376 2.639 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CC[C@@H]1C ZINC000230259929 286074886 /nfs/dbraw/zinc/07/48/86/286074886.db2.gz OIGVGGOIYMMBHW-BONVTDFDSA-N 0 0 292.335 2.659 20 5 CFBDRN CO[C@](C)(C(=O)Oc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000291403605 286140759 /nfs/dbraw/zinc/14/07/59/286140759.db2.gz DSJRPGQIESOEMX-AWEZNQCLSA-N 0 0 279.292 2.624 20 5 CFBDRN CCC[C@H](CNc1ccc2cc([N+](=O)[O-])ccc2n1)OC ZINC000356425516 155261212 /nfs/dbraw/zinc/26/12/12/155261212.db2.gz YSWRBVIOVZZHCJ-CYBMUJFWSA-N 0 0 289.335 2.792 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)C[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000158878246 286199567 /nfs/dbraw/zinc/19/95/67/286199567.db2.gz UEMCBTYSGRJUJP-PHIMTYICSA-N 0 0 292.335 2.722 20 5 CFBDRN CC1(c2nc(CCNc3ccccc3[N+](=O)[O-])no2)CC1 ZINC000356504618 155286166 /nfs/dbraw/zinc/28/61/66/155286166.db2.gz VIPGGQFUVNNPLQ-UHFFFAOYSA-N 0 0 288.307 2.684 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@@H](C)C2)c1 ZINC000301362072 286225650 /nfs/dbraw/zinc/22/56/50/286225650.db2.gz QOHCYERUQXACNY-SECBINFHSA-N 0 0 268.288 2.979 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](C)[C@@H](OC)C2)c1 ZINC000302576771 286225841 /nfs/dbraw/zinc/22/58/41/286225841.db2.gz RXSGODNARKAQBP-RNCFNFMXSA-N 0 0 298.314 2.604 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000267647086 286230496 /nfs/dbraw/zinc/23/04/96/286230496.db2.gz LNYUTLAAVCEIPD-UWVGGRQHSA-N 0 0 250.298 2.838 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000356561812 155302301 /nfs/dbraw/zinc/30/23/01/155302301.db2.gz KCUPILCYHFRPRP-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC[C@H](C)C1 ZINC000277615574 286249048 /nfs/dbraw/zinc/24/90/48/286249048.db2.gz QJLBKOBYSCSJQU-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H](C)CC[C@@H]1C ZINC000277396057 286249199 /nfs/dbraw/zinc/24/91/99/286249199.db2.gz GLJMZSNYXSTPPB-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000356605962 155315422 /nfs/dbraw/zinc/31/54/22/155315422.db2.gz WHCCTTYFFKBJCB-JTQLQIEISA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H](F)CCNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000356706037 155335633 /nfs/dbraw/zinc/33/56/33/155335633.db2.gz KIYIQCYAXJTTML-ZETCQYMHSA-N 0 0 274.679 2.726 20 5 CFBDRN CCOc1cc(N(C)Cc2ccon2)ccc1[N+](=O)[O-] ZINC000356745076 155349199 /nfs/dbraw/zinc/34/91/99/155349199.db2.gz PBSIJXXJWJBVAH-UHFFFAOYSA-N 0 0 277.280 2.618 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(F)(F)[C@H](C)C2)n1 ZINC000291159469 292284517 /nfs/dbraw/zinc/28/45/17/292284517.db2.gz PTODRXBRXAQAPS-MRVPVSSYSA-N 0 0 271.267 2.780 20 5 CFBDRN O=C(CC1CC1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000585465549 413280652 /nfs/dbraw/zinc/28/06/52/413280652.db2.gz ZWHQESGVLOOEHZ-CQSZACIVSA-N 0 0 292.360 2.969 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@H](C)O[C@@H](C3CC3)C2)c1 ZINC000280565051 286383244 /nfs/dbraw/zinc/38/32/44/286383244.db2.gz VAGNKTNUWYLKRU-ZUZCIYMTSA-N 0 0 292.335 2.607 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H]3CCCC[C@@H]3C2)n1 ZINC000178251403 292289597 /nfs/dbraw/zinc/28/95/97/292289597.db2.gz GDABAAJEEVICQZ-VXGBXAGGSA-N 0 0 261.325 2.925 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)C[C@@H]1C ZINC000412774471 286383701 /nfs/dbraw/zinc/38/37/01/286383701.db2.gz DEXWBBYWQKVEPR-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H]3C[C@@H](O)CC[C@@H]3C2)c1 ZINC000450816912 292288082 /nfs/dbraw/zinc/28/80/82/292288082.db2.gz WZIWSLYZWWXBRQ-MCIONIFRSA-N 0 0 290.363 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@]3(CCOC3)C2)c1 ZINC000408246272 292288855 /nfs/dbraw/zinc/28/88/55/292288855.db2.gz KWCCBOIKBJKNCN-AWEZNQCLSA-N 0 0 262.309 2.520 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@]3(CCOC3)C2)c1 ZINC000450883880 286382335 /nfs/dbraw/zinc/38/23/35/286382335.db2.gz QWIZZSJCFJEUFT-HNNXBMFYSA-N 0 0 292.335 2.610 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCOC(C)(C)C2)n1 ZINC000160679489 292290345 /nfs/dbraw/zinc/29/03/45/292290345.db2.gz KTQFGWFDDURJIB-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN CN(Cc1ncc[nH]1)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000356863092 155398218 /nfs/dbraw/zinc/39/82/18/155398218.db2.gz PYVQMPRXIREPAQ-UHFFFAOYSA-N 0 0 298.249 2.556 20 5 CFBDRN COc1ccc2c(c1)CN(c1nc(C)ccc1[N+](=O)[O-])CC2 ZINC000122153190 286399883 /nfs/dbraw/zinc/39/98/83/286399883.db2.gz ZZJSRSVAUJWZQM-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN COc1ccc2c(c1)CN(c1cc(N)ccc1[N+](=O)[O-])CC2 ZINC000193394232 286399915 /nfs/dbraw/zinc/39/99/15/286399915.db2.gz DZOAFPBADJZAMD-UHFFFAOYSA-N 0 0 299.330 2.748 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCc2cncnc2C1 ZINC000332536919 292296322 /nfs/dbraw/zinc/29/63/22/292296322.db2.gz IWVQBAOYEKJSFG-LBPRGKRZSA-N 0 0 298.346 2.813 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000356897350 155418276 /nfs/dbraw/zinc/41/82/76/155418276.db2.gz POJVLZVWJWUKSW-NOZJJQNGSA-N 0 0 296.323 2.603 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000343059743 154099425 /nfs/dbraw/zinc/09/94/25/154099425.db2.gz HPJDMKPABOTGBY-NXEZZACHSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000343071949 154104548 /nfs/dbraw/zinc/10/45/48/154104548.db2.gz QYJPQQKFRGFBKU-NXEZZACHSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cc(C)nc(C)n2)n1 ZINC000343165459 154130897 /nfs/dbraw/zinc/13/08/97/154130897.db2.gz ZZZYZZJFBRSOTL-UHFFFAOYSA-N 0 0 287.323 2.626 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CCC(F)(F)F)c1 ZINC000343201060 154140002 /nfs/dbraw/zinc/14/00/02/154140002.db2.gz DAIAESOJARAGEC-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCC[C@@H]1O ZINC000343250498 154154635 /nfs/dbraw/zinc/15/46/35/154154635.db2.gz NGDJBWREOLIAEX-PWSUYJOCSA-N 0 0 270.716 2.598 20 5 CFBDRN CN(c1ccc2cc([N+](=O)[O-])ccc2n1)[C@H]1CCCOC1 ZINC000343295441 154170171 /nfs/dbraw/zinc/17/01/71/154170171.db2.gz XWIFXFMXGUMQJU-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1C(F)F ZINC000343387121 154193364 /nfs/dbraw/zinc/19/33/64/154193364.db2.gz BELYBQMEZPOAOJ-LBPRGKRZSA-N 0 0 298.289 2.784 20 5 CFBDRN Cc1cc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)ccn1 ZINC000345525864 154194869 /nfs/dbraw/zinc/19/48/69/154194869.db2.gz DRAUKIJYZULCTK-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1C[C@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000345565134 154207255 /nfs/dbraw/zinc/20/72/55/154207255.db2.gz IWIZAORCBXIICH-SFGNSQDASA-N 0 0 299.277 2.609 20 5 CFBDRN Nc1ccc(-c2noc(C3(F)CCCC3)n2)cc1[N+](=O)[O-] ZINC000345675743 154237632 /nfs/dbraw/zinc/23/76/32/154237632.db2.gz VUSNQQSHPFVTSV-UHFFFAOYSA-N 0 0 292.270 2.966 20 5 CFBDRN Nc1ccc(-c2noc([C@@H]3C[C@H]3C(F)F)n2)cc1[N+](=O)[O-] ZINC000345676401 154238004 /nfs/dbraw/zinc/23/80/04/154238004.db2.gz XSUSUWLCGDHNCK-RNFRBKRXSA-N 0 0 296.233 2.596 20 5 CFBDRN C[C@H]1COCC[C@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000345730514 154251930 /nfs/dbraw/zinc/25/19/30/154251930.db2.gz QLNXURJPCLSWRW-JOYOIKCWSA-N 0 0 289.291 2.785 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000345732711 154253323 /nfs/dbraw/zinc/25/33/23/154253323.db2.gz BOHILHVDHIVQRV-NSHDSACASA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1cc(C(=O)NCCC2(F)CCC2)cc([N+](=O)[O-])c1 ZINC000345737962 154254445 /nfs/dbraw/zinc/25/44/45/154254445.db2.gz FZKGZPLOBMMNOF-UHFFFAOYSA-N 0 0 280.299 2.915 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCCC1(F)CCC1 ZINC000345739678 154255988 /nfs/dbraw/zinc/25/59/88/154255988.db2.gz JWWOJDHCPUIVML-UHFFFAOYSA-N 0 0 294.326 2.926 20 5 CFBDRN CC(C)(NC(=O)c1cc[nH]c1)c1ccccc1[N+](=O)[O-] ZINC000345805500 154270907 /nfs/dbraw/zinc/27/09/07/154270907.db2.gz LSUICKBMYMMPLH-UHFFFAOYSA-N 0 0 273.292 2.588 20 5 CFBDRN CC[C@H](CO)N(C)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000345828914 154276852 /nfs/dbraw/zinc/27/68/52/154276852.db2.gz IVKPERPPOGNJKR-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN C[C@H](C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000348013360 154287296 /nfs/dbraw/zinc/28/72/96/154287296.db2.gz ODSBBVOXGLWMIQ-ZETCQYMHSA-N 0 0 252.245 2.719 20 5 CFBDRN COC1CC(N(C)C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000348088356 154314154 /nfs/dbraw/zinc/31/41/54/154314154.db2.gz SIZZHBGMFJWTIK-UHFFFAOYSA-N 0 0 293.323 2.544 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC1(C)CCCC1 ZINC000348130677 154332285 /nfs/dbraw/zinc/33/22/85/154332285.db2.gz XAABCLGOKWGJMF-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN Cc1cc(C(=O)Nc2c[nH]c(=O)cc2C)cc([N+](=O)[O-])c1 ZINC000348150320 154341722 /nfs/dbraw/zinc/34/17/22/154341722.db2.gz ZYQBZUGAMKCJRF-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000348177996 154350349 /nfs/dbraw/zinc/35/03/49/154350349.db2.gz YKCAKCFSJKHCMN-GZMMTYOYSA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000348191256 154357164 /nfs/dbraw/zinc/35/71/64/154357164.db2.gz UOZZGUNFPZDEMA-NSHDSACASA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)c1cncs1 ZINC000348267373 154387032 /nfs/dbraw/zinc/38/70/32/154387032.db2.gz KMLKARUEXBWIAX-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)c1cncs1 ZINC000348267374 154387348 /nfs/dbraw/zinc/38/73/48/154387348.db2.gz KMLKARUEXBWIAX-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2cncs2)ccc1[N+](=O)[O-] ZINC000348270036 154388940 /nfs/dbraw/zinc/38/89/40/154388940.db2.gz STPMIJIQHWVXPV-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN COc1cccc(C(=O)N(C)[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000435987043 286439750 /nfs/dbraw/zinc/43/97/50/286439750.db2.gz XNDASVZMIGUTSS-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN CCc1nnc(C)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000352406923 154559127 /nfs/dbraw/zinc/55/91/27/154559127.db2.gz FLERYTQBTPXAHH-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H](O)C2CCCCC2)nc1C1CC1 ZINC000352437480 154572109 /nfs/dbraw/zinc/57/21/09/154572109.db2.gz NLZFHEGMDZKRRZ-CYBMUJFWSA-N 0 0 279.340 2.610 20 5 CFBDRN COc1nc(C)cc(Oc2cccc(C)c2[N+](=O)[O-])n1 ZINC000354583939 154756626 /nfs/dbraw/zinc/75/66/26/154756626.db2.gz WCFRXKGQRVDTJZ-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(Cc3ccco3)n2)cc1 ZINC000355440453 155008570 /nfs/dbraw/zinc/00/85/70/155008570.db2.gz ILVFWCFZMVMLJP-UHFFFAOYSA-N 0 0 271.232 2.829 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC1CCC(=O)CC1 ZINC000355485997 155021311 /nfs/dbraw/zinc/02/13/11/155021311.db2.gz PBONOXFMHMBARQ-UHFFFAOYSA-N 0 0 290.319 2.991 20 5 CFBDRN O=C(CC1CCC(=O)CC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000355484907 155021441 /nfs/dbraw/zinc/02/14/41/155021441.db2.gz KZBMCJDHSMLILD-UHFFFAOYSA-N 0 0 276.292 2.683 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1CC(=O)c2ccccc21 ZINC000355487810 155022883 /nfs/dbraw/zinc/02/28/83/155022883.db2.gz YVJZQUAQPPWFHK-AWEZNQCLSA-N 0 0 296.282 2.904 20 5 CFBDRN COC[C@H](C)c1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000355791639 155087976 /nfs/dbraw/zinc/08/79/76/155087976.db2.gz UVDVLLDUOTUHGJ-ZETCQYMHSA-N 0 0 267.310 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3ccncc3)no2)s1 ZINC000355800932 155091386 /nfs/dbraw/zinc/09/13/86/155091386.db2.gz VLJKMEJGYCSISG-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C/c2nc([C@@H]3CCCO3)no2)c1 ZINC000357092976 155497534 /nfs/dbraw/zinc/49/75/34/155497534.db2.gz VJHPJGKIXQEAKX-SYTKJHMZSA-N 0 0 287.275 3.000 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)C2CC2)c(Cl)c1 ZINC000357780604 155534947 /nfs/dbraw/zinc/53/49/47/155534947.db2.gz IWDQZFINVSSPGU-SECBINFHSA-N 0 0 270.716 2.679 20 5 CFBDRN CCN(CC1CCC1)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000358170393 155654822 /nfs/dbraw/zinc/65/48/22/155654822.db2.gz OQAASXJJDPLQAX-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN Cc1nn(Cc2ncc(Cl)s2)c(C)c1[N+](=O)[O-] ZINC000358690416 155844877 /nfs/dbraw/zinc/84/48/77/155844877.db2.gz VWYAJUZVOBYSHG-UHFFFAOYSA-N 0 0 272.717 2.566 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1ccncc1 ZINC000358890086 155906677 /nfs/dbraw/zinc/90/66/77/155906677.db2.gz WICXYOPWXIQNQL-UHFFFAOYSA-N 0 0 291.694 2.626 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCn2cccc2)n1 ZINC000359081768 155978065 /nfs/dbraw/zinc/97/80/65/155978065.db2.gz KQBHDGQAARCZFN-UHFFFAOYSA-N 0 0 260.297 2.520 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](CO)c2ccccc2)n1 ZINC000359079492 155978704 /nfs/dbraw/zinc/97/87/04/155978704.db2.gz BHSARCJAEPGOMC-ZDUSSCGKSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1noc(C)c1CCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359100146 155986201 /nfs/dbraw/zinc/98/62/01/155986201.db2.gz XKAMKIQMQJBKFN-UHFFFAOYSA-N 0 0 290.323 2.866 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@H]1C[C@@H]1c1ccccc1 ZINC000359168042 156011007 /nfs/dbraw/zinc/01/10/07/156011007.db2.gz BBMDNWQOUYANSL-TZMCWYRMSA-N 0 0 270.288 2.560 20 5 CFBDRN O=C1[C@H](SCC2CC2)CCN1c1cccc([N+](=O)[O-])c1 ZINC000359170477 156012395 /nfs/dbraw/zinc/01/23/95/156012395.db2.gz WDKVXNLJBNOXNJ-CYBMUJFWSA-N 0 0 292.360 2.843 20 5 CFBDRN Nc1nccnc1SCc1c(F)cc([N+](=O)[O-])cc1F ZINC000359181810 156015256 /nfs/dbraw/zinc/01/52/56/156015256.db2.gz ZLABOYPGFLTVFW-UHFFFAOYSA-N 0 0 298.274 2.538 20 5 CFBDRN O=C(Nc1cccc2c1OCO2)c1ccc([N+](=O)[O-])cc1 ZINC000360186053 156045806 /nfs/dbraw/zinc/04/58/06/156045806.db2.gz YWQFTNFMPQERSE-UHFFFAOYSA-N 0 0 286.243 2.576 20 5 CFBDRN COc1cccc(C(=O)NCCC2(F)CCC2)c1[N+](=O)[O-] ZINC000360223340 156057123 /nfs/dbraw/zinc/05/71/23/156057123.db2.gz ZZZJECAFHKJIEU-UHFFFAOYSA-N 0 0 296.298 2.616 20 5 CFBDRN C[C@H]1COC(C)(C)CN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000362740160 156078052 /nfs/dbraw/zinc/07/80/52/156078052.db2.gz HGIJJAAMQZCXJU-JTQLQIEISA-N 0 0 293.323 2.626 20 5 CFBDRN COC(C)(C)CC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000362748429 156079789 /nfs/dbraw/zinc/07/97/89/156079789.db2.gz QLQLMPRXJAMWGN-UHFFFAOYSA-N 0 0 294.351 2.761 20 5 CFBDRN CC[C@H](C)[C@H](CNc1cc(C)c([N+](=O)[O-])cn1)OC ZINC000362975137 156132649 /nfs/dbraw/zinc/13/26/49/156132649.db2.gz DERCJCMIUJSVTG-CABZTGNLSA-N 0 0 267.329 2.771 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1c([N+](=O)[O-])nc(C)n1CC)OC ZINC000362976435 156134513 /nfs/dbraw/zinc/13/45/13/156134513.db2.gz KFOWVOMXLLYFIK-GXSJLCMTSA-N 0 0 284.360 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@@](O)(C2CC2)C1 ZINC000367339880 156317377 /nfs/dbraw/zinc/31/73/77/156317377.db2.gz QLRVTSCMHSOZHL-ZDUSSCGKSA-N 0 0 282.727 2.599 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCCOCC1 ZINC000368802757 156541742 /nfs/dbraw/zinc/54/17/42/156541742.db2.gz RNCSPQQEFITAHY-UHFFFAOYSA-N 0 0 299.714 2.502 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@H]2CCCCC[C@@H]21 ZINC000371178002 156743430 /nfs/dbraw/zinc/74/34/30/156743430.db2.gz VTVCXEANBMGXKM-OCCSQVGLSA-N 0 0 274.320 3.000 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@H]2CCCCC[C@H]21 ZINC000371178004 156743533 /nfs/dbraw/zinc/74/35/33/156743533.db2.gz VTVCXEANBMGXKM-TZMCWYRMSA-N 0 0 274.320 3.000 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1cccnc1 ZINC000371476028 156786506 /nfs/dbraw/zinc/78/65/06/156786506.db2.gz UAFMJGVJYDORET-GJZGRUSLSA-N 0 0 298.298 2.837 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC2(CC2)CC1 ZINC000371966720 156836304 /nfs/dbraw/zinc/83/63/04/156836304.db2.gz NFONQVQLQVRMTB-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])s2)[C@@H]2CCC[C@H]2O1 ZINC000374497744 157121054 /nfs/dbraw/zinc/12/10/54/157121054.db2.gz UHWBSHQNJFGXGZ-RKDXNWHRSA-N 0 0 283.353 2.588 20 5 CFBDRN Cc1cc(N2CC[C@@H](Cc3ccncc3)C2)ncc1[N+](=O)[O-] ZINC000374682597 157148722 /nfs/dbraw/zinc/14/87/22/157148722.db2.gz ZNYNPHFNJWUSRV-AWEZNQCLSA-N 0 0 298.346 2.762 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])s2)CCO[C@@H]1C1CC1 ZINC000375597144 157258294 /nfs/dbraw/zinc/25/82/94/157258294.db2.gz JUBJMTCPBBTGMC-PWSUYJOCSA-N 0 0 283.353 2.668 20 5 CFBDRN CCOC1CC2(C1)CCN(c1ccccc1[N+](=O)[O-])C2 ZINC000376174385 157330350 /nfs/dbraw/zinc/33/03/50/157330350.db2.gz QPULAUVRKFYMLX-UHFFFAOYSA-N 0 0 276.336 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cnc3n2CCCC3)cc1 ZINC000376473017 157360146 /nfs/dbraw/zinc/36/01/46/157360146.db2.gz JFGSUSCUHVLDLI-UHFFFAOYSA-N 0 0 272.308 2.740 20 5 CFBDRN Cc1c(CN2CCOC(C)(C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000408323553 157391727 /nfs/dbraw/zinc/39/17/27/157391727.db2.gz ZMINSPLYFBVEJP-GFCCVEGCSA-N 0 0 278.352 2.903 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC2(C1)CCCC2 ZINC000408329314 157392365 /nfs/dbraw/zinc/39/23/65/157392365.db2.gz JCTYAKWTIODFGE-UHFFFAOYSA-N 0 0 264.329 2.500 20 5 CFBDRN COC(=O)CCC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000301559486 161548211 /nfs/dbraw/zinc/54/82/11/161548211.db2.gz JWLJSQPAGPIINN-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1CCC[C@H](CO)C1 ZINC000340969545 161603795 /nfs/dbraw/zinc/60/37/95/161603795.db2.gz LHXZEYYFCFQKMS-QWRGUYRKSA-N 0 0 282.315 2.945 20 5 CFBDRN Cc1nc(NC(=O)c2cccnc2C2CC2)ccc1[N+](=O)[O-] ZINC000342420091 161625763 /nfs/dbraw/zinc/62/57/63/161625763.db2.gz PVTWCYXQHZSLSE-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1ccc(F)cn1 ZINC000342680096 161683812 /nfs/dbraw/zinc/68/38/12/161683812.db2.gz NWKUKFSDKCBMKI-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN COc1cc(NC[C@H]2CCOC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000343551807 161800520 /nfs/dbraw/zinc/80/05/20/161800520.db2.gz UWZHMCJPNBJFTG-VHSXEESVSA-N 0 0 298.314 2.827 20 5 CFBDRN Cc1cc(NCc2nnc(C(C)C)s2)ncc1[N+](=O)[O-] ZINC000343887393 161886064 /nfs/dbraw/zinc/88/60/64/161886064.db2.gz VDNOKGQXRJGFOK-UHFFFAOYSA-N 0 0 293.352 2.885 20 5 CFBDRN CSCc1ccc(CNc2ccncc2[N+](=O)[O-])cc1 ZINC000343908943 161890565 /nfs/dbraw/zinc/89/05/65/161890565.db2.gz IYCZZIQHTRBQLL-UHFFFAOYSA-N 0 0 289.360 2.887 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344187165 161968469 /nfs/dbraw/zinc/96/84/69/161968469.db2.gz WBYUFHOZHFYBKS-SECBINFHSA-N 0 0 268.288 2.850 20 5 CFBDRN Cc1scc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1C ZINC000344198168 161969952 /nfs/dbraw/zinc/96/99/52/161969952.db2.gz JWZRVERRAHMDAH-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000344400757 162035482 /nfs/dbraw/zinc/03/54/82/162035482.db2.gz KYAAZIPSFAURFD-SNVBAGLBSA-N 0 0 296.754 2.953 20 5 CFBDRN C[C@@H](CC(=O)OC(C)(C)C)Nc1ccc([N+](=O)[O-])nc1 ZINC000344412030 162037295 /nfs/dbraw/zinc/03/72/95/162037295.db2.gz DKRPOJXMQCMWRQ-VIFPVBQESA-N 0 0 281.312 2.522 20 5 CFBDRN O=C(Nc1nc2ccsc2s1)c1cc([N+](=O)[O-])c[nH]1 ZINC000344426040 162040860 /nfs/dbraw/zinc/04/08/60/162040860.db2.gz VSKDYDYXSJVTFK-UHFFFAOYSA-N 0 0 294.317 2.846 20 5 CFBDRN CN(C[C@H]1OCCc2ccccc21)c1ccc([N+](=O)[O-])nc1 ZINC000344428243 162041793 /nfs/dbraw/zinc/04/17/93/162041793.db2.gz GKAOHFSTAQKREE-OAHLLOKOSA-N 0 0 299.330 2.740 20 5 CFBDRN COCCOc1ccc(Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000344429826 162042215 /nfs/dbraw/zinc/04/22/15/162042215.db2.gz BMUGOMOWKBTFGS-UHFFFAOYSA-N 0 0 289.291 2.759 20 5 CFBDRN Cc1csc(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])c1 ZINC000344636785 162088104 /nfs/dbraw/zinc/08/81/04/162088104.db2.gz WLCCISHVRFHZPX-UHFFFAOYSA-N 0 0 291.332 2.676 20 5 CFBDRN Cn1c(C(=O)Nc2ccc(Cl)cc2)ccc1[N+](=O)[O-] ZINC000344700849 162103009 /nfs/dbraw/zinc/10/30/09/162103009.db2.gz NLHJGYVIKWDEQU-UHFFFAOYSA-N 0 0 279.683 2.839 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000344896858 162145634 /nfs/dbraw/zinc/14/56/34/162145634.db2.gz RBPWDEIFUHEAPA-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)N(C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000345066080 162172144 /nfs/dbraw/zinc/17/21/44/162172144.db2.gz DATAUTXXINZDSN-NEPJUHHUSA-N 0 0 276.336 2.989 20 5 CFBDRN COc1ccc(CNC(=O)[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000345144043 162186691 /nfs/dbraw/zinc/18/66/91/162186691.db2.gz QRYUXFGCATXBEK-PWSUYJOCSA-N 0 0 292.335 2.656 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000345248582 162210271 /nfs/dbraw/zinc/21/02/71/162210271.db2.gz CCFCNYGWZMGUED-WPRPVWTQSA-N 0 0 263.297 2.673 20 5 CFBDRN Cc1c(C(=O)Nc2cnn(C(F)F)c2)cccc1[N+](=O)[O-] ZINC000345292688 162220955 /nfs/dbraw/zinc/22/09/55/162220955.db2.gz RSTXPODSPFXSJQ-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000345404950 162241585 /nfs/dbraw/zinc/24/15/85/162241585.db2.gz AITZFSZCKQHQAV-GFCCVEGCSA-N 0 0 298.289 2.720 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000345969251 162286420 /nfs/dbraw/zinc/28/64/20/162286420.db2.gz BKHQZPSCHSMCIH-LBPRGKRZSA-N 0 0 298.314 2.669 20 5 CFBDRN Cn1cc(-c2noc(/C=C\c3ccc([N+](=O)[O-])cc3)n2)cn1 ZINC000346043305 162304087 /nfs/dbraw/zinc/30/40/87/162304087.db2.gz FFLYZKOOOCVPBB-DAXSKMNVSA-N 0 0 297.274 2.549 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000346114227 162320359 /nfs/dbraw/zinc/32/03/59/162320359.db2.gz GALRNPALEFXLBW-NSHDSACASA-N 0 0 278.308 2.522 20 5 CFBDRN Nc1nc(CCNc2ccc([N+](=O)[O-])cc2Cl)cs1 ZINC000346119666 162323177 /nfs/dbraw/zinc/32/31/77/162323177.db2.gz IZTUOMLDGYVHGO-UHFFFAOYSA-N 0 0 298.755 2.772 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000346232206 162360333 /nfs/dbraw/zinc/36/03/33/162360333.db2.gz IXMMIPCRCWYBPK-GHMZBOCLSA-N 0 0 276.292 2.588 20 5 CFBDRN O=C(Nc1cc(C2CCCC2)[nH]n1)c1ccc([N+](=O)[O-])[nH]1 ZINC000346327714 162382895 /nfs/dbraw/zinc/38/28/95/162382895.db2.gz LRNIUHQAWFKJJB-UHFFFAOYSA-N 0 0 289.295 2.556 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1ccc(N)cc1Cl ZINC000347746493 162767215 /nfs/dbraw/zinc/76/72/15/162767215.db2.gz MZVKZCQBMAKOSI-UHFFFAOYSA-N 0 0 298.755 2.856 20 5 CFBDRN CCOc1ccc(C(=O)NCC(C)(C)C)cc1[N+](=O)[O-] ZINC000347818218 162781388 /nfs/dbraw/zinc/78/13/88/162781388.db2.gz OQYMDFFBKRLTJB-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CCO[C@H](CCNc1ccc([N+](=O)[O-])c(C)n1)C(C)C ZINC000348342593 162831460 /nfs/dbraw/zinc/83/14/60/162831460.db2.gz OHIFDWYHJVNABE-CYBMUJFWSA-N 0 0 281.356 2.583 20 5 CFBDRN CC(C)c1noc(COc2c(Cl)cncc2[N+](=O)[O-])n1 ZINC000349829062 163022019 /nfs/dbraw/zinc/02/20/19/163022019.db2.gz AJCSQGIBFFQGHD-UHFFFAOYSA-N 0 0 298.686 2.729 20 5 CFBDRN Cc1cc(OCc2ccn(C)n2)c(Cl)cc1[N+](=O)[O-] ZINC000349840077 163023102 /nfs/dbraw/zinc/02/31/02/163023102.db2.gz NTNSBEMJAAGITF-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CCc1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 ZINC000349882922 163031692 /nfs/dbraw/zinc/03/16/92/163031692.db2.gz YIAGIHHUGHWAJR-UHFFFAOYSA-N 0 0 259.221 2.953 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@H]3CCCOCC3)n2)c1 ZINC000349908824 163036164 /nfs/dbraw/zinc/03/61/64/163036164.db2.gz OKOBTUGMQZRTCC-JTQLQIEISA-N 0 0 289.291 2.929 20 5 CFBDRN Cc1occc1-c1noc(-c2cc([N+](=O)[O-])cnc2C)n1 ZINC000350419170 163079082 /nfs/dbraw/zinc/07/90/82/163079082.db2.gz CXAPFFKEIHCWCZ-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN CO[C@](C)(c1noc(-c2ccccc2[N+](=O)[O-])n1)C1CC1 ZINC000350432596 163080424 /nfs/dbraw/zinc/08/04/24/163080424.db2.gz NFCRFSIQPZMMPV-AWEZNQCLSA-N 0 0 289.291 2.916 20 5 CFBDRN CC[C@@](C)(OC)c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000350447592 163081877 /nfs/dbraw/zinc/08/18/77/163081877.db2.gz DKDLIZCFJDDULK-LLVKDONJSA-N 0 0 283.309 2.978 20 5 CFBDRN CO[C@@H](C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000350643080 163096050 /nfs/dbraw/zinc/09/60/50/163096050.db2.gz UWFOULUTORYAIH-GFCCVEGCSA-N 0 0 266.297 2.513 20 5 CFBDRN CCCCNC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000350768136 163106283 /nfs/dbraw/zinc/10/62/83/163106283.db2.gz SMIQKLVWTSEPSL-UHFFFAOYSA-N 0 0 266.297 2.523 20 5 CFBDRN CC(C)[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000351247077 163122780 /nfs/dbraw/zinc/12/27/80/163122780.db2.gz DZSCZRXTZDQMLM-AWEZNQCLSA-N 0 0 282.315 2.591 20 5 CFBDRN CC(C)(C(=O)Nc1nccs1)c1ccc([N+](=O)[O-])cc1 ZINC000351255863 163125205 /nfs/dbraw/zinc/12/52/05/163125205.db2.gz URGQSLNZZMIXRQ-UHFFFAOYSA-N 0 0 291.332 2.968 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C[C@H]1O ZINC000351499188 163135832 /nfs/dbraw/zinc/13/58/32/163135832.db2.gz BXZMOTQGLDZXIT-ZWNOBZJWSA-N 0 0 294.351 2.589 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C[C@H]1O ZINC000351499183 163135843 /nfs/dbraw/zinc/13/58/43/163135843.db2.gz BXZMOTQGLDZXIT-GXFFZTMASA-N 0 0 294.351 2.589 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000351546810 163142931 /nfs/dbraw/zinc/14/29/31/163142931.db2.gz VWMGQGSIPSLBLD-MVZIDQBPSA-N 0 0 250.298 2.642 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1C[C@H]1C(F)F ZINC000351539083 163143626 /nfs/dbraw/zinc/14/36/26/163143626.db2.gz PDICCLKKWUAMJK-SCZZXKLOSA-N 0 0 299.277 2.987 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000351548740 163144863 /nfs/dbraw/zinc/14/48/63/163144863.db2.gz TWECIFBPOYAJDH-BYCRGOAPSA-N 0 0 250.298 2.642 20 5 CFBDRN COc1ncccc1NCc1ccc([N+](=O)[O-])cc1F ZINC000351569228 163149110 /nfs/dbraw/zinc/14/91/10/163149110.db2.gz SDHHXSUFSIZGTR-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@@H](C)c1ccon1 ZINC000351579471 163150339 /nfs/dbraw/zinc/15/03/39/163150339.db2.gz JQYRSBARKTZGEI-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc[nH]1 ZINC000351617541 163159719 /nfs/dbraw/zinc/15/97/19/163159719.db2.gz XYMROFATPDTPSN-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N1CCCCCO1 ZINC000351685185 163173568 /nfs/dbraw/zinc/17/35/68/163173568.db2.gz MGRKSTBWZKHWOA-UHFFFAOYSA-N 0 0 296.348 2.631 20 5 CFBDRN CCOCCSCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000351826431 163200008 /nfs/dbraw/zinc/20/00/08/163200008.db2.gz HDWNBEZNSUBWTQ-UHFFFAOYSA-N 0 0 271.338 2.873 20 5 CFBDRN COc1cc(CS[C@@H](C)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000351828744 163200459 /nfs/dbraw/zinc/20/04/59/163200459.db2.gz ICPSXZDPJOEITD-BDAKNGLRSA-N 0 0 271.338 2.606 20 5 CFBDRN COc1cc(CSCC(=O)OC(C)C)ccc1[N+](=O)[O-] ZINC000351841434 163202221 /nfs/dbraw/zinc/20/22/21/163202221.db2.gz SAPPBWIHOHJPMR-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN CN(Cc1c(F)cc([N+](=O)[O-])cc1F)CC1CC1 ZINC000351852767 163202972 /nfs/dbraw/zinc/20/29/72/163202972.db2.gz LGNXDGZABYGINT-UHFFFAOYSA-N 0 0 256.252 2.715 20 5 CFBDRN COC(=O)[C@H](CC(C)C)N(C)Cc1ccc([N+](=O)[O-])cc1 ZINC000351873575 163208648 /nfs/dbraw/zinc/20/86/48/163208648.db2.gz HDVSWCOELYTACL-AWEZNQCLSA-N 0 0 294.351 2.614 20 5 CFBDRN Cc1cc(NC(=O)NCc2cccn2C)ccc1[N+](=O)[O-] ZINC000352904077 163265631 /nfs/dbraw/zinc/26/56/31/163265631.db2.gz VHAMKYOHRUHTQI-UHFFFAOYSA-N 0 0 288.307 2.563 20 5 CFBDRN CC(=O)[C@@H](C)SCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000352918367 163270379 /nfs/dbraw/zinc/27/03/79/163270379.db2.gz XYAWKHBYFAWRQQ-MRVPVSSYSA-N 0 0 279.321 2.682 20 5 CFBDRN COc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2)c1O ZINC000352916467 163270397 /nfs/dbraw/zinc/27/03/97/163270397.db2.gz SSFSJTBEDFTQDE-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN Cc1cc(=O)n(CCCCOC(C)(C)C)cc1[N+](=O)[O-] ZINC000352930939 163271858 /nfs/dbraw/zinc/27/18/58/163271858.db2.gz NLQCRCHDEXTIQU-UHFFFAOYSA-N 0 0 282.340 2.660 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC[C@@H]1C(F)F ZINC000353196716 163315054 /nfs/dbraw/zinc/31/50/54/163315054.db2.gz UEJGUCLXZRGDKH-LLVKDONJSA-N 0 0 256.252 2.824 20 5 CFBDRN CCN(CC(=O)OC)Cc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000353282088 163336636 /nfs/dbraw/zinc/33/66/36/163336636.db2.gz FHEROUVJKJKMOS-UHFFFAOYSA-N 0 0 294.351 2.713 20 5 CFBDRN CC(C)n1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000353374489 163353894 /nfs/dbraw/zinc/35/38/94/163353894.db2.gz LYVCSAWOLAMPHM-UHFFFAOYSA-N 0 0 274.280 2.625 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000353410233 163359482 /nfs/dbraw/zinc/35/94/82/163359482.db2.gz BVQRZJLMOZQAQM-ONGXEEELSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000353410229 163359854 /nfs/dbraw/zinc/35/98/54/163359854.db2.gz BVQRZJLMOZQAQM-GXSJLCMTSA-N 0 0 287.319 2.995 20 5 CFBDRN CC1(C)CC(C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000353506594 163379839 /nfs/dbraw/zinc/37/98/39/163379839.db2.gz MXQFHWWSSDHTFF-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1Cc2ccccc21 ZINC000353678489 163422701 /nfs/dbraw/zinc/42/27/01/163422701.db2.gz MZJKXYXWCWAYFL-OAHLLOKOSA-N 0 0 282.299 2.551 20 5 CFBDRN CCc1nnc(CNc2ccc3ncccc3c2[N+](=O)[O-])o1 ZINC000353856912 163468199 /nfs/dbraw/zinc/46/81/99/163468199.db2.gz DAWORCDFBCFYOP-UHFFFAOYSA-N 0 0 299.290 2.701 20 5 CFBDRN COCCC(C)(C)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000354043319 163510905 /nfs/dbraw/zinc/51/09/05/163510905.db2.gz ZBBIPOANFVKDQK-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ncc[nH]1)c1ccccc1[N+](=O)[O-] ZINC000138923616 287052726 /nfs/dbraw/zinc/05/27/26/287052726.db2.gz HIDWNDXEBPEVMZ-ZJUUUORDSA-N 0 0 260.297 2.730 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)C[C@H](O)C(F)(F)F ZINC000341169416 287152102 /nfs/dbraw/zinc/15/21/02/287152102.db2.gz WZOXORTWDZFVBZ-KWQFWETISA-N 0 0 292.257 2.511 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2c(C)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000194682364 287171239 /nfs/dbraw/zinc/17/12/39/287171239.db2.gz VLMOXOZKIQSPJZ-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000286639071 287211937 /nfs/dbraw/zinc/21/19/37/287211937.db2.gz DEWKVBOCWMLVMD-VHSXEESVSA-N 0 0 268.288 2.738 20 5 CFBDRN CS(=O)(=O)c1ccc(Oc2ccc([N+](=O)[O-])cc2)cc1 ZINC000003611732 169902388 /nfs/dbraw/zinc/90/23/88/169902388.db2.gz GQDMKXWXQJKEAD-UHFFFAOYSA-N 0 0 293.300 2.791 20 5 CFBDRN C[C@@H]1CC(Nc2nc3sccn3c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000301911573 287229371 /nfs/dbraw/zinc/22/93/71/287229371.db2.gz BOUWIDDUOAJHQG-HTQZYQBOSA-N 0 0 296.352 2.672 20 5 CFBDRN CCOc1ncccc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000005474931 170102739 /nfs/dbraw/zinc/10/27/39/170102739.db2.gz PKKBZMLPFACTHK-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000005591422 170117060 /nfs/dbraw/zinc/11/70/60/170117060.db2.gz PZLUXNNVQHTFIJ-MRVPVSSYSA-N 0 0 295.295 2.730 20 5 CFBDRN COc1cccc(COc2ccc([N+](=O)[O-])cc2C=O)c1 ZINC000007438770 170285454 /nfs/dbraw/zinc/28/54/54/170285454.db2.gz VVJNBBYJCKCBCP-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN O=C(CNc1cccc([N+](=O)[O-])c1)Nc1cccc(F)c1 ZINC000007954416 170309551 /nfs/dbraw/zinc/30/95/51/170309551.db2.gz DJSRDMOVPJXAEX-UHFFFAOYSA-N 0 0 289.266 2.785 20 5 CFBDRN CC1CCC(Nc2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000007983314 170311786 /nfs/dbraw/zinc/31/17/86/170311786.db2.gz XEOKIINOCRSQIW-UHFFFAOYSA-N 0 0 277.324 2.684 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCCCCF)c1 ZINC000284361712 196038304 /nfs/dbraw/zinc/03/83/04/196038304.db2.gz WTNKEZFERMNHEX-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN CCC(C)(C)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000008317549 170330778 /nfs/dbraw/zinc/33/07/78/170330778.db2.gz VHHJPWLRBOOXQY-UHFFFAOYSA-N 0 0 254.315 2.905 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])s2)ccn1 ZINC000010163239 170399329 /nfs/dbraw/zinc/39/93/29/170399329.db2.gz MECKFYCVXPQSHS-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2C)ccn1 ZINC000010163248 170399768 /nfs/dbraw/zinc/39/97/68/170399768.db2.gz QNZMRJBOHVMSPZ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COc1ccc(OC(=O)OCC(F)(F)F)cc1[N+](=O)[O-] ZINC000284428144 196052252 /nfs/dbraw/zinc/05/22/52/196052252.db2.gz VTNPMTMWSXYIJS-UHFFFAOYSA-N 0 0 295.169 2.681 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CCCCCF ZINC000284451085 196058523 /nfs/dbraw/zinc/05/85/23/196058523.db2.gz PYZWEFXBTYBPMT-UHFFFAOYSA-N 0 0 262.668 2.550 20 5 CFBDRN O=C(COc1cccc(F)c1)Nc1cccc([N+](=O)[O-])c1 ZINC000014738378 170643834 /nfs/dbraw/zinc/64/38/34/170643834.db2.gz AYVAUARTNLJBGU-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000269391589 287249100 /nfs/dbraw/zinc/24/91/00/287249100.db2.gz SCMNMXIYJFMUBA-WCBMZHEXSA-N 0 0 285.731 2.647 20 5 CFBDRN Cc1nn(C)c(N[C@H](C2CC2)C2CCC2)c1[N+](=O)[O-] ZINC000324771372 270298221 /nfs/dbraw/zinc/29/82/21/270298221.db2.gz DOACYPACWWWSEO-NSHDSACASA-N 0 0 264.329 2.627 20 5 CFBDRN COc1ccc(C(=O)Nc2ccc(O)cc2)cc1[N+](=O)[O-] ZINC000020211338 171075155 /nfs/dbraw/zinc/07/51/55/171075155.db2.gz XSNDPJOYGVJHCY-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN Cc1cccc(N2CCC(n3cccn3)CC2)c1[N+](=O)[O-] ZINC000302474766 292558530 /nfs/dbraw/zinc/55/85/30/292558530.db2.gz DSUPMYKXNBJOIH-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1ccccc1F ZINC000020370274 171167930 /nfs/dbraw/zinc/16/79/30/171167930.db2.gz WWZFXSWPTDTZFV-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN C[C@@H](Nc1cccc(C(N)=O)c1)c1ccccc1[N+](=O)[O-] ZINC000020526168 171239059 /nfs/dbraw/zinc/23/90/59/171239059.db2.gz DYFHGWHZHAMZTJ-SNVBAGLBSA-N 0 0 285.303 2.867 20 5 CFBDRN COC[C@H](C)Nc1ccc(Br)cc1[N+](=O)[O-] ZINC000021513172 171311446 /nfs/dbraw/zinc/31/14/46/171311446.db2.gz UOVWLSLQOIULSX-ZETCQYMHSA-N 0 0 289.129 2.804 20 5 CFBDRN Cc1cccc(N2CCC[C@]3(CCOC3)C2)c1[N+](=O)[O-] ZINC000450880817 292559772 /nfs/dbraw/zinc/55/97/72/292559772.db2.gz LEYSPRWCBPPGDV-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN COc1ccc(Nc2ccc([N+](=O)[O-])cn2)cc1OC ZINC000022419395 171387648 /nfs/dbraw/zinc/38/76/48/171387648.db2.gz GTDUSGNEYWCLGD-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2coc(-c3cccs3)n2)cn1 ZINC000026980861 171504985 /nfs/dbraw/zinc/50/49/85/171504985.db2.gz VUUJYZWNIBSZAV-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)nc1 ZINC000033303194 171780135 /nfs/dbraw/zinc/78/01/35/171780135.db2.gz PFRZOMCFRVMVHA-UITAMQMPSA-N 0 0 283.287 2.950 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669419 287261092 /nfs/dbraw/zinc/26/10/92/287261092.db2.gz BHUPHOVHGHHTHN-SECBINFHSA-N 0 0 266.272 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc3c(c2)OCCO3)cc1 ZINC000034979344 171912241 /nfs/dbraw/zinc/91/22/41/171912241.db2.gz GQWFKAILGFRHNC-UHFFFAOYSA-N 0 0 286.287 2.978 20 5 CFBDRN COC(=O)[C@H](CC(C)C)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000035019272 171937783 /nfs/dbraw/zinc/93/77/83/171937783.db2.gz SDGMITJMDRGCPY-RISCZKNCSA-N 0 0 294.351 2.833 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccccc2CO)c1 ZINC000035039510 171945468 /nfs/dbraw/zinc/94/54/68/171945468.db2.gz LRSRXJVPAGGZCC-UHFFFAOYSA-N 0 0 258.277 2.699 20 5 CFBDRN CCOC1CC(CNc2cccc([N+](=O)[O-])c2)C1 ZINC000285037894 196183704 /nfs/dbraw/zinc/18/37/04/196183704.db2.gz FBDJYQXBNSGISV-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2nonc21)c1cccnc1 ZINC000035122739 171992863 /nfs/dbraw/zinc/99/28/63/171992863.db2.gz WBLYUEBFLFPQOI-QMMMGPOBSA-N 0 0 285.263 2.699 20 5 CFBDRN CCOC1CC(COc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000285055532 196187371 /nfs/dbraw/zinc/18/73/71/196187371.db2.gz GSLNZHWYYCWWOU-UHFFFAOYSA-N 0 0 269.272 2.928 20 5 CFBDRN CCC[C@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000035206217 172050333 /nfs/dbraw/zinc/05/03/33/172050333.db2.gz IRRKQYWJZYPTGJ-ZETCQYMHSA-N 0 0 250.258 2.732 20 5 CFBDRN COC(=O)CCCSc1ccccc1[N+](=O)[O-] ZINC000035286620 172104610 /nfs/dbraw/zinc/10/46/10/172104610.db2.gz AWKIKHFPECIIGI-UHFFFAOYSA-N 0 0 255.295 2.640 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CC[C@H](C)[C@H]2C)c1F ZINC000285309900 196247365 /nfs/dbraw/zinc/24/73/65/196247365.db2.gz HIYCGXWWAXZEAI-WCBMZHEXSA-N 0 0 280.299 2.913 20 5 CFBDRN Cc1c(CNCc2ccccn2)cccc1[N+](=O)[O-] ZINC000035648774 172325127 /nfs/dbraw/zinc/32/51/27/172325127.db2.gz AIVIRYCMVGVCFV-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN Cc1c(COc2cccc(CO)c2)cccc1[N+](=O)[O-] ZINC000035649547 172325847 /nfs/dbraw/zinc/32/58/47/172325847.db2.gz HDTJXAJUHHQZFO-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN COCCn1cc(N[C@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000037090534 172946333 /nfs/dbraw/zinc/94/63/33/172946333.db2.gz MGPMMOJNXYQJKS-LLVKDONJSA-N 0 0 290.323 2.611 20 5 CFBDRN CCCN(CC)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286189 173092627 /nfs/dbraw/zinc/09/26/27/173092627.db2.gz LWTOOTBFOKPFCY-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN Cc1nc(COc2ccc(C=O)cc2[N+](=O)[O-])cs1 ZINC000037295577 173099189 /nfs/dbraw/zinc/09/91/89/173099189.db2.gz QADDWAYVQUOMKQ-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN CCOCCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000037378975 173167399 /nfs/dbraw/zinc/16/73/99/173167399.db2.gz RZACGFDVCFSRBN-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H](O)c1ccccc1 ZINC000037420882 173197329 /nfs/dbraw/zinc/19/73/29/173197329.db2.gz QLLUWNABCUKMIF-CYBMUJFWSA-N 0 0 276.267 2.879 20 5 CFBDRN Cc1nn(C)c(NCCC2CCCC2)c1[N+](=O)[O-] ZINC000037741465 173662809 /nfs/dbraw/zinc/66/28/09/173662809.db2.gz TZXYFVSEDFNJLY-UHFFFAOYSA-N 0 0 252.318 2.629 20 5 CFBDRN Cc1csc(CNCc2ccccc2[N+](=O)[O-])n1 ZINC000038090823 174104663 /nfs/dbraw/zinc/10/46/63/174104663.db2.gz BGUWANXPVABTKZ-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2S[C@H]2CCCOC2)s1 ZINC000286070393 196487987 /nfs/dbraw/zinc/48/79/87/196487987.db2.gz BAQUPGGLBJHZEF-ZETCQYMHSA-N 0 0 297.361 2.871 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N(CCO)C1CC1 ZINC000040452695 174266648 /nfs/dbraw/zinc/26/66/48/174266648.db2.gz SLXVVNGGSOJGJC-UHFFFAOYSA-N 0 0 290.241 2.575 20 5 CFBDRN CC(C)(C)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000040494072 174293510 /nfs/dbraw/zinc/29/35/10/174293510.db2.gz SVHSCBVWTVTDKX-UHFFFAOYSA-N 0 0 254.315 2.762 20 5 CFBDRN Cc1ccc(OC[C@H](C)Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000040500001 174296671 /nfs/dbraw/zinc/29/66/71/174296671.db2.gz FNKFZGRZJUMILY-NSHDSACASA-N 0 0 290.323 2.516 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1cscn1 ZINC000040504312 174301344 /nfs/dbraw/zinc/30/13/44/174301344.db2.gz IZDZKJNBINXMOR-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN O=C(NCc1nccs1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000040693563 174377152 /nfs/dbraw/zinc/37/71/52/174377152.db2.gz IVNIRLZCDUALTI-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN C[C@H](NCc1nccs1)c1ccc([N+](=O)[O-])cc1 ZINC000041012474 174449794 /nfs/dbraw/zinc/44/97/94/174449794.db2.gz FKFIJNZEHLDRDQ-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000042804910 174882707 /nfs/dbraw/zinc/88/27/07/174882707.db2.gz KMFCWRUKPHLNKV-ONGXEEELSA-N 0 0 266.345 2.875 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000043147428 174981400 /nfs/dbraw/zinc/98/14/00/174981400.db2.gz WJLIQMYXHZOEFR-SECBINFHSA-N 0 0 263.297 2.823 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)Cc1cccs1 ZINC000043544430 175064161 /nfs/dbraw/zinc/06/41/61/175064161.db2.gz KEYCZGWQSCNKKM-UHFFFAOYSA-N 0 0 293.300 2.813 20 5 CFBDRN CCNC(=O)C(C)(C)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000286300802 196558936 /nfs/dbraw/zinc/55/89/36/196558936.db2.gz SMHIEJCHWQEZOP-UHFFFAOYSA-N 0 0 299.758 2.822 20 5 CFBDRN Cc1nc(NC(=O)c2csc([N+](=O)[O-])c2)sc1C ZINC000044314373 175162411 /nfs/dbraw/zinc/16/24/11/175162411.db2.gz JMTPCLOKEXRYTL-UHFFFAOYSA-N 0 0 283.334 2.982 20 5 CFBDRN O=C([O-])CC[C@@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000044428300 175175826 /nfs/dbraw/zinc/17/58/26/175175826.db2.gz VSOUUKDJXWWQQZ-LBPRGKRZSA-N 0 0 292.335 2.672 20 5 CFBDRN COC[C@H](C)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000044477206 175178440 /nfs/dbraw/zinc/17/84/40/175178440.db2.gz LLMDYBJKNQJEHJ-MRVPVSSYSA-N 0 0 258.705 2.943 20 5 CFBDRN CCN(CC(C)C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000044488049 175180661 /nfs/dbraw/zinc/18/06/61/175180661.db2.gz LTMNSWUQODVJNV-UHFFFAOYSA-N 0 0 256.327 2.774 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000067612977 175779375 /nfs/dbraw/zinc/77/93/75/175779375.db2.gz OWJRTYOKEZUIFK-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc(Cl)cc2[N+](=O)[O-])[nH]1 ZINC000286395267 196590259 /nfs/dbraw/zinc/59/02/59/196590259.db2.gz MUKWFWGJIHUUBF-ZCFIWIBFSA-N 0 0 281.703 2.848 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCCN1c1ncc([N+](=O)[O-])s1 ZINC000286403375 196592095 /nfs/dbraw/zinc/59/20/95/196592095.db2.gz PSZTZWISTLWZQA-NXEZZACHSA-N 0 0 285.369 2.571 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCC1(O)CCC1 ZINC000286713175 196685221 /nfs/dbraw/zinc/68/52/21/196685221.db2.gz LEGGLQRRCVOPFH-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN COC(=O)CCCCCCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074740376 176607154 /nfs/dbraw/zinc/60/71/54/176607154.db2.gz PCXIOJLPAAWJHQ-UHFFFAOYSA-N 0 0 295.339 2.834 20 5 CFBDRN CCN(C)C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000286508273 196625911 /nfs/dbraw/zinc/62/59/11/196625911.db2.gz DWYXHNJHNPCYRD-UHFFFAOYSA-N 0 0 285.731 2.752 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CC=CCC1 ZINC000076779936 177088948 /nfs/dbraw/zinc/08/89/48/177088948.db2.gz LGLWCELBQSOQNL-SNVBAGLBSA-N 0 0 261.281 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCC[C@H]2O)cc1 ZINC000077208313 177234794 /nfs/dbraw/zinc/23/47/94/177234794.db2.gz WPLSJPSIDGNHMS-ZWNOBZJWSA-N 0 0 250.298 2.558 20 5 CFBDRN CC(C)Oc1ccccc1Cn1cc([N+](=O)[O-])cn1 ZINC000077557000 177280912 /nfs/dbraw/zinc/28/09/12/177280912.db2.gz RBUGLCPTSRDXDG-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)C1CC=CC1 ZINC000077734042 177297111 /nfs/dbraw/zinc/29/71/11/177297111.db2.gz VDZYSAVMNWXPLG-UHFFFAOYSA-N 0 0 250.229 2.639 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000286583397 196648383 /nfs/dbraw/zinc/64/83/83/196648383.db2.gz SUESVJRGROOVCF-UHFFFAOYSA-N 0 0 266.297 2.645 20 5 CFBDRN CCC(C)(C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078149308 177324030 /nfs/dbraw/zinc/32/40/30/177324030.db2.gz QTNGNJPSMPUMNF-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN CCOC(=O)CCCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000078526376 177349823 /nfs/dbraw/zinc/34/98/23/177349823.db2.gz JPPUFVMAMOXJOS-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N(CC(F)F)C1CC1 ZINC000078544721 177352219 /nfs/dbraw/zinc/35/22/19/177352219.db2.gz ZMQDQHWOJNDOQG-UHFFFAOYSA-N 0 0 285.250 2.856 20 5 CFBDRN Cc1c(COc2nc(C(C)C)no2)cccc1[N+](=O)[O-] ZINC000078561469 177354766 /nfs/dbraw/zinc/35/47/66/177354766.db2.gz DTKDCXOAFRZVBM-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN Cc1cnc(N(Cc2cccnc2)C2CC2)c([N+](=O)[O-])c1 ZINC000078653729 177367331 /nfs/dbraw/zinc/36/73/31/177367331.db2.gz DFNBRPIEGHNDTG-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN CCC[C@@H](CCO)CNc1ccc([N+](=O)[O-])cc1F ZINC000078673090 177369217 /nfs/dbraw/zinc/36/92/17/177369217.db2.gz QJMUKYXLSWPKFU-JTQLQIEISA-N 0 0 270.304 2.945 20 5 CFBDRN Cc1csc(Sc2c([N+](=O)[O-])c(C)nn2C)n1 ZINC000078727420 177376728 /nfs/dbraw/zinc/37/67/28/177376728.db2.gz PPHUHUTYIJIEJE-UHFFFAOYSA-N 0 0 270.339 2.553 20 5 CFBDRN COC(=O)[C@H](C)CSCc1ccc([N+](=O)[O-])cc1 ZINC000078820375 177393433 /nfs/dbraw/zinc/39/34/33/177393433.db2.gz FJMZVFKQHTZBFF-SECBINFHSA-N 0 0 269.322 2.637 20 5 CFBDRN COC(=O)[C@H](C)CSCc1cccc([N+](=O)[O-])c1C ZINC000078820273 177393700 /nfs/dbraw/zinc/39/37/00/177393700.db2.gz HHTCJMFYHOROCV-SECBINFHSA-N 0 0 283.349 2.946 20 5 CFBDRN COC(=O)[C@H](C)CSCc1cc(F)ccc1[N+](=O)[O-] ZINC000078829645 177395500 /nfs/dbraw/zinc/39/55/00/177395500.db2.gz RBVBAEQATHNKFA-MRVPVSSYSA-N 0 0 287.312 2.776 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c(N)cccc2[N+](=O)[O-])c2ccccc21 ZINC000180661336 296909892 /nfs/dbraw/zinc/90/98/92/296909892.db2.gz PCBGDSUPVAUACD-SNVBAGLBSA-N 0 0 297.314 2.941 20 5 CFBDRN C[C@@H]1CCCN(c2nc3sccn3c2[N+](=O)[O-])[C@H]1C ZINC000301433507 287361496 /nfs/dbraw/zinc/36/14/96/287361496.db2.gz RDQVHEZLLHPJCN-BDAKNGLRSA-N 0 0 280.353 2.929 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])o2)[C@@H]1C ZINC000362128328 287397733 /nfs/dbraw/zinc/39/77/33/287397733.db2.gz FEUQKZUNKVTXDE-MXWKQRLJSA-N 0 0 280.324 2.990 20 5 CFBDRN C[C@@H]1CCC[C@@H](Cn2ccc(=O)c([N+](=O)[O-])c2)C1 ZINC000185532808 287398785 /nfs/dbraw/zinc/39/87/85/287398785.db2.gz WCBXCTZPCQZYGA-GHMZBOCLSA-N 0 0 250.298 2.583 20 5 CFBDRN C[C@@H]1CCC[C@H](C)C1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183636158 287433965 /nfs/dbraw/zinc/43/39/65/287433965.db2.gz OQLWXDFIRUVPTQ-HWYHXSKPSA-N 0 0 292.339 2.936 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NCCCC2CCCC2)n1 ZINC000191498504 227018148 /nfs/dbraw/zinc/01/81/48/227018148.db2.gz SBJPYEIUNREIGS-UHFFFAOYSA-N 0 0 294.355 2.511 20 5 CFBDRN COc1ccc(CNCC(F)(F)C(F)F)cc1[N+](=O)[O-] ZINC000191779303 227172535 /nfs/dbraw/zinc/17/25/35/227172535.db2.gz OUVOFTSAASSJKR-UHFFFAOYSA-N 0 0 296.220 2.593 20 5 CFBDRN CCN(CC)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000521369645 260087868 /nfs/dbraw/zinc/08/78/68/260087868.db2.gz BRFLYFGGDNJTCU-UHFFFAOYSA-N 0 0 253.302 2.623 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2cncc(Cl)c2)s1 ZINC000192459794 227809030 /nfs/dbraw/zinc/80/90/30/227809030.db2.gz MZCTZNUDBGADMO-UHFFFAOYSA-N 0 0 257.658 2.892 20 5 CFBDRN CO[C@@H](C[NH2+]Cc1csc([N+](=O)[O-])c1)CC(C)C ZINC000418097060 228034264 /nfs/dbraw/zinc/03/42/64/228034264.db2.gz KTALHVFVIZTEFR-LLVKDONJSA-N 0 0 272.370 2.807 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)CS1 ZINC000397845328 270319693 /nfs/dbraw/zinc/31/96/93/270319693.db2.gz DUKRELAPMDCZBG-BQBZGAKWSA-N 0 0 273.745 2.949 20 5 CFBDRN O=C(NCc1ccoc1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000179644818 260060261 /nfs/dbraw/zinc/06/02/61/260060261.db2.gz RYVDBJJEVPJYIN-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN CC1(C)COC[C@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000313041401 260241166 /nfs/dbraw/zinc/24/11/66/260241166.db2.gz RQYIRWHDMINSMS-CYBMUJFWSA-N 0 0 287.319 2.980 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1ccc([N+](=O)[O-])cc1F ZINC000313092131 260246927 /nfs/dbraw/zinc/24/69/27/260246927.db2.gz ADWAAPVSRRCICS-CMPLNLGQSA-N 0 0 256.277 2.695 20 5 CFBDRN Cc1ccnc(NCCOCC2CCCC2)c1[N+](=O)[O-] ZINC000313471762 260297127 /nfs/dbraw/zinc/29/71/27/260297127.db2.gz RFHHKANPHHIOKB-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC[C@H]2C2CCC2)c(Cl)c1 ZINC000518368257 260385727 /nfs/dbraw/zinc/38/57/27/260385727.db2.gz SDKNVLPTDGPMLU-LBPRGKRZSA-N 0 0 297.742 2.649 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000518695641 260497633 /nfs/dbraw/zinc/49/76/33/260497633.db2.gz WKSOGLOLIYMSMK-WDEREUQCSA-N 0 0 277.324 2.546 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCSC[C@@H](C)C1 ZINC000516663723 261673133 /nfs/dbraw/zinc/67/31/33/261673133.db2.gz YRMVUGHOAKPNOJ-JTQLQIEISA-N 0 0 282.365 2.793 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000264332203 262887854 /nfs/dbraw/zinc/88/78/54/262887854.db2.gz XGAVZLYEGDKQOY-VXGBXAGGSA-N 0 0 291.351 2.702 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000282434460 263076576 /nfs/dbraw/zinc/07/65/76/263076576.db2.gz HSGQUFTYQJKNCS-FICVDOATSA-N 0 0 288.347 2.642 20 5 CFBDRN COC(=O)[C@H](CC(C)C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000094659046 265248867 /nfs/dbraw/zinc/24/88/67/265248867.db2.gz CSVBNIOJHVNCKJ-LBPRGKRZSA-N 0 0 280.324 2.903 20 5 CFBDRN COCCOC[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000357508273 266311853 /nfs/dbraw/zinc/31/18/53/266311853.db2.gz ZMGAFLXMFDKTBB-SECBINFHSA-N 0 0 288.731 2.712 20 5 CFBDRN CN(CC(F)(F)F)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000192647341 270232894 /nfs/dbraw/zinc/23/28/94/270232894.db2.gz GQSSFZXSVHYZIG-VOTSOKGWSA-N 0 0 288.225 2.629 20 5 CFBDRN Cn1cc(Cl)cc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000194362532 270245181 /nfs/dbraw/zinc/24/51/81/270245181.db2.gz CRKTVMRKLWHXQH-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN CC1(C)COC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000313142556 270290042 /nfs/dbraw/zinc/29/00/42/270290042.db2.gz REOHMPPFLCJWSI-LLVKDONJSA-N 0 0 254.261 2.571 20 5 CFBDRN CCc1nn(C)c(NCCOCC2CCCC2)c1[N+](=O)[O-] ZINC000313478130 270290310 /nfs/dbraw/zinc/29/03/10/270290310.db2.gz GLJXLPJNYVJBGJ-UHFFFAOYSA-N 0 0 296.371 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)C2CCC2)c2ncccc12 ZINC000315484489 270292842 /nfs/dbraw/zinc/29/28/42/270292842.db2.gz BJHZGZRTPOJNJE-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc(NC[C@H](O)C2CCC2)c(F)cc1[N+](=O)[O-] ZINC000315669852 270293170 /nfs/dbraw/zinc/29/31/70/270293170.db2.gz KGRIGOFFTHVWPK-ZDUSSCGKSA-N 0 0 268.288 2.615 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@@H](O)C1CCC1 ZINC000315757545 270293237 /nfs/dbraw/zinc/29/32/37/270293237.db2.gz YDTMEUCWARFYPS-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@@H](O)CCCNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000357502816 270303353 /nfs/dbraw/zinc/30/33/53/270303353.db2.gz LZIVDDPKNJNARR-LLVKDONJSA-N 0 0 268.313 2.566 20 5 CFBDRN CNc1c(C(=O)N(C)C2CC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000395421515 270313190 /nfs/dbraw/zinc/31/31/90/270313190.db2.gz UBNCIOHZCNQEQY-UHFFFAOYSA-N 0 0 291.351 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(CO)CC2)c2cccnc21 ZINC000395862655 270313506 /nfs/dbraw/zinc/31/35/06/270313506.db2.gz BIGFTAVFEWZPNY-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2C[C@]2(C)C(C)C)c([N+](=O)[O-])c1 ZINC000397234062 270316582 /nfs/dbraw/zinc/31/65/82/270316582.db2.gz SVFHEQCSSPYKIV-DZGCQCFKSA-N 0 0 291.351 2.801 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000397241262 270316636 /nfs/dbraw/zinc/31/66/36/270316636.db2.gz RSCCZWYXUFUMIF-TZMCWYRMSA-N 0 0 277.324 2.540 20 5 CFBDRN CCOc1cc(NC[C@]2(C)CCOC2)ccc1[N+](=O)[O-] ZINC000397324526 270317045 /nfs/dbraw/zinc/31/70/45/270317045.db2.gz WHCGFKYWLLXOKI-AWEZNQCLSA-N 0 0 280.324 2.832 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@@]1(C)C(C)C ZINC000397284835 270317204 /nfs/dbraw/zinc/31/72/04/270317204.db2.gz OCDFMSBUPDPLDO-MFKMUULPSA-N 0 0 266.345 2.966 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCCC3(O)CCC3)ccnc21 ZINC000397619760 270319015 /nfs/dbraw/zinc/31/90/15/270319015.db2.gz GQUQDHADBWJUPA-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN C[C@@H](CCO)CCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000397848612 270319803 /nfs/dbraw/zinc/31/98/03/270319803.db2.gz REDBQNRXMNELAM-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H]1CC[C@@H](C)O1 ZINC000397865014 270319821 /nfs/dbraw/zinc/31/98/21/270319821.db2.gz FXOYVBVXJXZSSL-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)CS1 ZINC000397845331 270320227 /nfs/dbraw/zinc/32/02/27/270320227.db2.gz DUKRELAPMDCZBG-NKWVEPMBSA-N 0 0 273.745 2.949 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2c(F)cc([N+](=O)[O-])cc2F)O1 ZINC000397928820 270320756 /nfs/dbraw/zinc/32/07/56/270320756.db2.gz TVADPHQUKKRERP-CBAPKCEASA-N 0 0 272.251 2.852 20 5 CFBDRN CCC[C@@H](CNC(=O)c1ccc(CC)c([N+](=O)[O-])c1)OC ZINC000452298917 270335836 /nfs/dbraw/zinc/33/58/36/270335836.db2.gz NIDHYGWBDCFWQV-ZDUSSCGKSA-N 0 0 294.351 2.702 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CCOCC(C)C ZINC000514728675 270351252 /nfs/dbraw/zinc/35/12/52/270351252.db2.gz CDOBEUVUYOOFRO-UHFFFAOYSA-N 0 0 295.339 2.638 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000515301393 270366576 /nfs/dbraw/zinc/36/65/76/270366576.db2.gz HYUMGWFINGLFIX-WCQYABFASA-N 0 0 285.303 2.517 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(CCOCC(F)F)c1 ZINC000515311873 270367926 /nfs/dbraw/zinc/36/79/26/270367926.db2.gz XOXQFINXBCNHGH-UHFFFAOYSA-N 0 0 297.261 2.740 20 5 CFBDRN O=C(Nc1c(O)cccc1Cl)c1ccc([N+](=O)[O-])o1 ZINC000515505924 270372124 /nfs/dbraw/zinc/37/21/24/270372124.db2.gz XZPWKEBAFGJARS-UHFFFAOYSA-N 0 0 282.639 2.799 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](CC(C)C)OC ZINC000515654461 270373529 /nfs/dbraw/zinc/37/35/29/270373529.db2.gz SNXOWJFYDKACIQ-ZDUSSCGKSA-N 0 0 295.339 2.636 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC(C)(C)C[C@H](C)O ZINC000516087920 270377834 /nfs/dbraw/zinc/37/78/34/270377834.db2.gz DQNAHBKEPGSVEQ-JTQLQIEISA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H](OC(C)C)C2)cccc1[N+](=O)[O-] ZINC000516183149 270378996 /nfs/dbraw/zinc/37/89/96/270378996.db2.gz IQNKWVGOROGMDU-GFCCVEGCSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCc2nccs2)c1C ZINC000516585321 270384183 /nfs/dbraw/zinc/38/41/83/270384183.db2.gz OCGMDTGMEXVCFI-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN CC[C@H](NC(=O)COc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000517169283 270398706 /nfs/dbraw/zinc/39/87/06/270398706.db2.gz WLHWZXXZXXIXJJ-ZDUSSCGKSA-N 0 0 294.351 2.915 20 5 CFBDRN CC(C)C(C)(C)NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000517640363 270408187 /nfs/dbraw/zinc/40/81/87/270408187.db2.gz WVROROFUMYBJOO-UHFFFAOYSA-N 0 0 293.367 2.582 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cccc([N+](=O)[O-])c2C)cn1 ZINC000517679516 270408758 /nfs/dbraw/zinc/40/87/58/270408758.db2.gz XCBHYYXHIKGUJH-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN O=C(N[C@H]1CCCCC12CCCC2)c1c[nH]nc1[N+](=O)[O-] ZINC000518456584 270411801 /nfs/dbraw/zinc/41/18/01/270411801.db2.gz SFHNHPNZIWEWQL-NSHDSACASA-N 0 0 292.339 2.551 20 5 CFBDRN COC(C)(C)CCOc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000521900205 270438020 /nfs/dbraw/zinc/43/80/20/270438020.db2.gz FYJQPDQQYGAYIK-UHFFFAOYSA-N 0 0 268.313 2.801 20 5 CFBDRN CCc1ccc(C(=O)NCCOC(C)(C)C)cc1[N+](=O)[O-] ZINC000530834322 270811055 /nfs/dbraw/zinc/81/10/55/270811055.db2.gz KGRMOPPKYSQKJG-UHFFFAOYSA-N 0 0 294.351 2.702 20 5 CFBDRN C[C@@H](c1cccnc1)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332540915 274279131 /nfs/dbraw/zinc/27/91/31/274279131.db2.gz XOOKEFLYAZRLGN-NSHDSACASA-N 0 0 284.319 2.504 20 5 CFBDRN C[C@@H]1CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])[C@H]1CO ZINC000492174521 274290867 /nfs/dbraw/zinc/29/08/67/274290867.db2.gz BQRWVEBAFILFIR-YGRLFVJLSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1nc(N(C)[C@@H]2CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000296196857 274840672 /nfs/dbraw/zinc/84/06/72/274840672.db2.gz BWPBUCOUVGQWDW-CYBMUJFWSA-N 0 0 284.319 2.817 20 5 CFBDRN O=C([C@@H]1CCCS1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162035116 275004900 /nfs/dbraw/zinc/00/49/00/275004900.db2.gz XCOHZXKFQDRVQX-ZDUSSCGKSA-N 0 0 292.360 2.770 20 5 CFBDRN CC(C)(C)[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000336389186 275533254 /nfs/dbraw/zinc/53/32/54/275533254.db2.gz HORWWSUPFPDEKB-VIFPVBQESA-N 0 0 266.297 2.696 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)c2cn[nH]c2)cc1[N+](=O)[O-] ZINC000356726755 275847563 /nfs/dbraw/zinc/84/75/63/275847563.db2.gz CEQURNQEFRPBJE-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN CC(C)CN(C)c1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000266407702 275882294 /nfs/dbraw/zinc/88/22/94/275882294.db2.gz QDQJRIMBGXGAHD-UHFFFAOYSA-N 0 0 293.323 2.644 20 5 CFBDRN CCC(=O)c1ccc(N2CCC[C@@H](O)CC2)c([N+](=O)[O-])c1 ZINC000294307549 275949498 /nfs/dbraw/zinc/94/94/98/275949498.db2.gz YTIDSKHNJUXGMW-GFCCVEGCSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)[C@H]1CCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000450815276 276028314 /nfs/dbraw/zinc/02/83/14/276028314.db2.gz SGKIUMJXXBOQQO-GFCCVEGCSA-N 0 0 260.297 2.706 20 5 CFBDRN CCOC1(C)CN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000450580303 276308655 /nfs/dbraw/zinc/30/86/55/276308655.db2.gz NNQVEALVCAZXHD-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000301093687 276337479 /nfs/dbraw/zinc/33/74/79/276337479.db2.gz GEFOOXDTCFVKHH-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN CCOC[C@@H]1CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000360990002 276462580 /nfs/dbraw/zinc/46/25/80/276462580.db2.gz IBQBJOBYGLAWNH-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340756151 276462586 /nfs/dbraw/zinc/46/25/86/276462586.db2.gz KGEWBWCGTMLXLH-NXEZZACHSA-N 0 0 265.313 2.622 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284558946 276467908 /nfs/dbraw/zinc/46/79/08/276467908.db2.gz YEERZMYPZFWGEB-PELKAZGASA-N 0 0 295.314 2.625 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000420643491 276520909 /nfs/dbraw/zinc/52/09/09/276520909.db2.gz UMMJSDAILPTVNN-GHMZBOCLSA-N 0 0 291.351 2.932 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000042580933 276650240 /nfs/dbraw/zinc/65/02/40/276650240.db2.gz COBSGJLLRGULRX-ONGXEEELSA-N 0 0 250.302 2.766 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000479583596 276655691 /nfs/dbraw/zinc/65/56/91/276655691.db2.gz MFOXKLCNBNGHAF-MGCOHNPYSA-N 0 0 266.297 2.886 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000185335987 276673198 /nfs/dbraw/zinc/67/31/98/276673198.db2.gz UUIDDHFWVWLGHW-YPMHNXCESA-N 0 0 276.336 2.784 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cc1F)C1Cc2ccccc2C1 ZINC000413069659 277004498 /nfs/dbraw/zinc/00/44/98/277004498.db2.gz JFFSAQGFRRKYCW-UHFFFAOYSA-N 0 0 287.294 2.733 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000053548900 277018015 /nfs/dbraw/zinc/01/80/15/277018015.db2.gz CTZWZTITKBSTMX-JQWIXIFHSA-N 0 0 291.351 2.945 20 5 CFBDRN CN1C(=O)c2ccccc2N[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000015249109 277021816 /nfs/dbraw/zinc/02/18/16/277021816.db2.gz RHCSFYIGTUYEDI-AWEZNQCLSA-N 0 0 283.287 2.791 20 5 CFBDRN COC(=O)[C@H](CC(C)C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000036980714 277119327 /nfs/dbraw/zinc/11/93/27/277119327.db2.gz JCUODTXRQJCMDY-YPMHNXCESA-N 0 0 294.351 2.833 20 5 CFBDRN COC(=O)[C@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C[C@H]1C ZINC000277015160 277131041 /nfs/dbraw/zinc/13/10/41/277131041.db2.gz YMGBYGIWQGMZSB-NEPJUHHUSA-N 0 0 292.335 2.539 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC=C(C)CC2)c1 ZINC000167343151 277161631 /nfs/dbraw/zinc/16/16/31/277161631.db2.gz GKCYPVKXUXCNJP-UHFFFAOYSA-N 0 0 276.292 2.538 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@H](C)[C@H](C)C2)c1 ZINC000252772394 277162014 /nfs/dbraw/zinc/16/20/14/277162014.db2.gz VHSXGOMLJMCUGO-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN COCC1=CCN(c2ccc3ncccc3c2[N+](=O)[O-])CC1 ZINC000274657501 277200547 /nfs/dbraw/zinc/20/05/47/277200547.db2.gz DVXMGSWTAKBVBR-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN COC[C@@]1(C)CCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000294965551 277256228 /nfs/dbraw/zinc/25/62/28/277256228.db2.gz NLHLATBRWPMUHU-AWEZNQCLSA-N 0 0 264.325 2.766 20 5 CFBDRN COC[C@H]1CCN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000265838440 277266716 /nfs/dbraw/zinc/26/67/16/277266716.db2.gz RSURKKNIXYEFNL-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN CO[C@@H](C)CN(C(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000354533127 277275899 /nfs/dbraw/zinc/27/58/99/277275899.db2.gz XPQPJRXBBNZLLJ-NSHDSACASA-N 0 0 295.339 2.872 20 5 CFBDRN CC(C)(CCC(=O)NC1(Cc2ccccc2)CC1)[N+](=O)[O-] ZINC000294978757 277295762 /nfs/dbraw/zinc/29/57/62/277295762.db2.gz LUTKRPAYOXBATG-UHFFFAOYSA-N 0 0 290.363 2.713 20 5 CFBDRN CNc1c(C(=O)Nc2ccc(C)nc2)cccc1[N+](=O)[O-] ZINC000161512268 277301540 /nfs/dbraw/zinc/30/15/40/277301540.db2.gz FGSZYIWOKKFISP-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CO[C@H]1CCC[C@H]1Sc1ccc([N+](=O)[O-])cn1 ZINC000338558539 277338223 /nfs/dbraw/zinc/33/82/23/277338223.db2.gz WKFHZFBMFBQXBG-VHSXEESVSA-N 0 0 254.311 2.649 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@H]2C)c([N+](=O)[O-])cc1F ZINC000127618910 277382298 /nfs/dbraw/zinc/38/22/98/277382298.db2.gz VZTBLUITWIASFL-DTWKUNHWSA-N 0 0 268.288 2.977 20 5 CFBDRN COCC[C@@H](C)CNc1c(C)cccc1[N+](=O)[O-] ZINC000413492640 277543131 /nfs/dbraw/zinc/54/31/31/277543131.db2.gz MJPGYIKNZSCXLX-SNVBAGLBSA-N 0 0 252.314 2.988 20 5 CFBDRN COC[C@@H]1CCN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000265838436 277577307 /nfs/dbraw/zinc/57/73/07/277577307.db2.gz RSURKKNIXYEFNL-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CO[C@@H]1CCCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000292323010 277645928 /nfs/dbraw/zinc/64/59/28/277645928.db2.gz AKLRLDMKMRTTQS-SNVBAGLBSA-N 0 0 268.288 2.658 20 5 CFBDRN CO[C@@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000450768086 277658633 /nfs/dbraw/zinc/65/86/33/277658633.db2.gz UWITVDQBHSZUOL-IINYFYTJSA-N 0 0 282.315 2.904 20 5 CFBDRN C[C@@H]1CCCCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000286177739 277678242 /nfs/dbraw/zinc/67/82/42/277678242.db2.gz AWNLXQAKDHGRIC-MRVPVSSYSA-N 0 0 278.337 2.978 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)[C@H](C)C1 ZINC000281709006 277746416 /nfs/dbraw/zinc/74/64/16/277746416.db2.gz UJZHNWLIGPZYPY-YPMHNXCESA-N 0 0 264.325 2.907 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H](C)C1 ZINC000281578336 277746668 /nfs/dbraw/zinc/74/66/68/277746668.db2.gz FQMSLZCJRPQEBR-KOLCDFICSA-N 0 0 295.295 2.507 20 5 CFBDRN C[C@@H]1CCOCCN1c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000302520381 277766989 /nfs/dbraw/zinc/76/69/89/277766989.db2.gz AWOGGHNPRGLZID-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@H]1c2ccccc2C[C@H]1Nc1ncccc1[N+](=O)[O-] ZINC000413494708 277772931 /nfs/dbraw/zinc/77/29/31/277772931.db2.gz HWWDBZXTOBTNDZ-OCCSQVGLSA-N 0 0 285.303 2.714 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000185602408 277965536 /nfs/dbraw/zinc/96/55/36/277965536.db2.gz WDJMAMITDRMIBG-CHWSQXEVSA-N 0 0 276.336 2.845 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000054125714 278001728 /nfs/dbraw/zinc/00/17/28/278001728.db2.gz DSYAQPBDWBFXNS-AOOOYVTPSA-N 0 0 280.299 2.852 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000022158218 278003780 /nfs/dbraw/zinc/00/37/80/278003780.db2.gz NYOUZNCPAVVGTH-DTORHVGOSA-N 0 0 280.353 2.786 20 5 CFBDRN C[C@@H]1N(Cc2ccc([N+](=O)[O-])cc2F)CCOC1(C)C ZINC000330700231 278044804 /nfs/dbraw/zinc/04/48/04/278044804.db2.gz GSLWFLGFSHWCFH-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(F)c([N+](=O)[O-])cc2F)C[C@H]1C ZINC000228626589 288017464 /nfs/dbraw/zinc/01/74/64/288017464.db2.gz AOWSAEMLJQQKJW-HTQZYQBOSA-N 0 0 284.262 2.601 20 5 CFBDRN CC1(C)CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000276865304 278227978 /nfs/dbraw/zinc/22/79/78/278227978.db2.gz DEYZKOOGEBRHNX-SECBINFHSA-N 0 0 266.297 2.886 20 5 CFBDRN C[C@@H](NC(=O)[C@]1(C)CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000457442686 278330020 /nfs/dbraw/zinc/33/00/20/278330020.db2.gz ALUFIUULHXKUAF-ABAIWWIYSA-N 0 0 292.335 2.731 20 5 CFBDRN CC1(C)CN(c2ncc(Cl)cc2[N+](=O)[O-])CCS1 ZINC000153924168 278345946 /nfs/dbraw/zinc/34/59/46/278345946.db2.gz BNBDHVUTNOAYGZ-UHFFFAOYSA-N 0 0 287.772 2.975 20 5 CFBDRN C[C@H]1CCSCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000191498542 278376012 /nfs/dbraw/zinc/37/60/12/278376012.db2.gz ONZZYTWDPCVVRE-JTQLQIEISA-N 0 0 295.364 2.954 20 5 CFBDRN CC1(C)OCC[C@@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000452926213 278413913 /nfs/dbraw/zinc/41/39/13/278413913.db2.gz KUXKQHXZZYRSFJ-NSHDSACASA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1C ZINC000091326918 278414320 /nfs/dbraw/zinc/41/43/20/278414320.db2.gz YTWYFGWCKQGVMV-IUCAKERBSA-N 0 0 282.727 2.976 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1CCCN1c1ccccc1[N+](=O)[O-] ZINC000344564966 278455536 /nfs/dbraw/zinc/45/55/36/278455536.db2.gz RJMWLKJBTWXGFD-CYBMUJFWSA-N 0 0 292.335 2.905 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037170 278455997 /nfs/dbraw/zinc/45/59/97/278455997.db2.gz WFBYWDDNMZHKKX-MRVPVSSYSA-N 0 0 284.262 2.888 20 5 CFBDRN CC1=C(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)CCC1 ZINC000331482797 278484284 /nfs/dbraw/zinc/48/42/84/278484284.db2.gz AFRDCXHLLWHUDM-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000270805905 278515558 /nfs/dbraw/zinc/51/55/58/278515558.db2.gz VPXVCOSXLYIADY-JQWIXIFHSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000277301554 278855203 /nfs/dbraw/zinc/85/52/03/278855203.db2.gz AVEBLXJOQXILSS-ZYHUDNBSSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@@H]1COc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000175365468 278863959 /nfs/dbraw/zinc/86/39/59/278863959.db2.gz PIFSLGHOKLPXHY-SECBINFHSA-N 0 0 288.259 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H](C)[C@@H]2C)c1 ZINC000268784098 278864587 /nfs/dbraw/zinc/86/45/87/278864587.db2.gz DGMGBAQKZSOQLQ-WDEREUQCSA-N 0 0 250.298 2.517 20 5 CFBDRN C[C@@H]1CSC[C@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000343624346 278872526 /nfs/dbraw/zinc/87/25/26/278872526.db2.gz JWTAMSXXEUOYQF-HZGVNTEJSA-N 0 0 273.745 2.807 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cn2)c2ccccc2O1 ZINC000296271924 278927072 /nfs/dbraw/zinc/92/70/72/278927072.db2.gz KJXWRXPHKLEWHD-BXKDBHETSA-N 0 0 286.291 2.709 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@H](C)C1 ZINC000156894544 278931716 /nfs/dbraw/zinc/93/17/16/278931716.db2.gz DEKMLIBBBATHNT-GXSJLCMTSA-N 0 0 262.309 2.822 20 5 CFBDRN CCC[C@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])CCO1 ZINC000270292579 278957278 /nfs/dbraw/zinc/95/72/78/278957278.db2.gz VATIEQPFEFYGHE-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cnc(N2CCOc3ccc([N+](=O)[O-])cc3C2)s1 ZINC000357832287 279015969 /nfs/dbraw/zinc/01/59/69/279015969.db2.gz YFBOWISRTAFQOE-UHFFFAOYSA-N 0 0 291.332 2.759 20 5 CFBDRN Cc1cnc(N[C@@H]2CCCc3nn(C)cc32)c([N+](=O)[O-])c1 ZINC000337793647 279020119 /nfs/dbraw/zinc/02/01/19/279020119.db2.gz FANQRGPIRWXMRF-LLVKDONJSA-N 0 0 287.323 2.521 20 5 CFBDRN CC(C)C[C@@H]1COCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000301586746 279043340 /nfs/dbraw/zinc/04/33/40/279043340.db2.gz PGSDQHNANKLRNF-GFCCVEGCSA-N 0 0 282.315 2.985 20 5 CFBDRN CCN(CC(C)C)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269356712 279063531 /nfs/dbraw/zinc/06/35/31/279063531.db2.gz IALGKCFMZNRLME-UHFFFAOYSA-N 0 0 282.344 2.559 20 5 CFBDRN CCN(CC)C(=O)[C@@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000037126560 279075160 /nfs/dbraw/zinc/07/51/60/279075160.db2.gz ZPISZMYENUEDDU-NWDGAFQWSA-N 0 0 293.367 2.502 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000287982086 279080345 /nfs/dbraw/zinc/08/03/45/279080345.db2.gz AMYVSMLPMKZCKT-JGVFFNPUSA-N 0 0 278.337 2.834 20 5 CFBDRN Cc1nc(N2CCCC(C)(C)CC2)ncc1[N+](=O)[O-] ZINC000297261768 279089591 /nfs/dbraw/zinc/08/95/91/279089591.db2.gz WBNRQFKKFQOXJE-UHFFFAOYSA-N 0 0 264.329 2.710 20 5 CFBDRN Cc1nc(N2CCSCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000276778248 279092873 /nfs/dbraw/zinc/09/28/73/279092873.db2.gz HVLPTWKEIKMYDV-SECBINFHSA-N 0 0 267.354 2.630 20 5 CFBDRN C[C@@]1(F)CCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000408103155 279166112 /nfs/dbraw/zinc/16/61/12/279166112.db2.gz LMQUJKASXYMNTE-LLVKDONJSA-N 0 0 282.300 2.538 20 5 CFBDRN Cc1nscc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000179496899 279267853 /nfs/dbraw/zinc/26/78/53/279267853.db2.gz QCDMJBFFVSOWFX-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN Cc1sc(C(=O)N2C[C@H](C)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000267639354 279282710 /nfs/dbraw/zinc/28/27/10/279282710.db2.gz KUCBVLANCPBDSR-YUMQZZPRSA-N 0 0 268.338 2.693 20 5 CFBDRN CC(C)N(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000346863536 279313163 /nfs/dbraw/zinc/31/31/63/279313163.db2.gz DAKHMSONQJQFAX-UHFFFAOYSA-N 0 0 268.288 2.993 20 5 CFBDRN C[C@H](NC(=O)NOC/C=C/Cl)c1ccccc1[N+](=O)[O-] ZINC000292335025 279439358 /nfs/dbraw/zinc/43/93/58/279439358.db2.gz JNLKLOZSTKMPLO-IYNCYZAOSA-N 0 0 299.714 2.639 20 5 CFBDRN CCOC1CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000302012080 279669891 /nfs/dbraw/zinc/66/98/91/279669891.db2.gz ZADPPWOBDAYZOX-UHFFFAOYSA-N 0 0 256.327 2.662 20 5 CFBDRN CCO[C@@H]1CCN(c2cc(OC)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000450747832 279783134 /nfs/dbraw/zinc/78/31/34/279783134.db2.gz VARZSDBKMVXTKC-XHDPSFHLSA-N 0 0 294.351 2.855 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000450604298 279972700 /nfs/dbraw/zinc/97/27/00/279972700.db2.gz KGBIHMZSYRGYRU-SECBINFHSA-N 0 0 254.261 2.542 20 5 CFBDRN CCOc1cccc(N2C[C@H](C)O[C@@H](CC)C2)c1[N+](=O)[O-] ZINC000302128398 279973718 /nfs/dbraw/zinc/97/37/18/279973718.db2.gz BGHUYGABXCTLLO-RYUDHWBXSA-N 0 0 294.351 2.997 20 5 CFBDRN C[C@H]1C[C@H](Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)c2nccn21 ZINC000450822942 280014293 /nfs/dbraw/zinc/01/42/93/280014293.db2.gz BMYYXLSUXZEYPY-UFBFGSQYSA-N 0 0 298.306 2.786 20 5 CFBDRN C[C@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CO1 ZINC000345549678 280018823 /nfs/dbraw/zinc/01/88/23/280018823.db2.gz VORIQOHFWVACJK-WPRPVWTQSA-N 0 0 275.264 2.537 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000302169984 280036273 /nfs/dbraw/zinc/03/62/73/280036273.db2.gz UDETVXQETTVUFE-ZANVPECISA-N 0 0 270.292 2.730 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269386085 280122842 /nfs/dbraw/zinc/12/28/42/280122842.db2.gz KVYWXRVSBYQADC-BDAKNGLRSA-N 0 0 282.344 2.606 20 5 CFBDRN CC[C@H]1CCCCN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000360843612 288201942 /nfs/dbraw/zinc/20/19/42/288201942.db2.gz ZQKOXAXIGLENTE-NSHDSACASA-N 0 0 266.345 2.889 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC=C(F)C1 ZINC000335126652 280158002 /nfs/dbraw/zinc/15/80/02/280158002.db2.gz OLGXBQWIHRSYON-UHFFFAOYSA-N 0 0 289.266 2.775 20 5 CFBDRN C[C@]1(F)CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000294927688 280162217 /nfs/dbraw/zinc/16/22/17/280162217.db2.gz KJMBDJXKWMRNDW-NSHDSACASA-N 0 0 273.695 2.972 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H]1C1CCC1 ZINC000102874985 280191741 /nfs/dbraw/zinc/19/17/41/280191741.db2.gz GKDKOKVMLAYQBO-SNVBAGLBSA-N 0 0 264.281 2.593 20 5 CFBDRN Cc1cc(=O)n(CCC(Cl)(Cl)Cl)cc1[N+](=O)[O-] ZINC000186259141 280305257 /nfs/dbraw/zinc/30/52/57/280305257.db2.gz TZGQJEFWFSRSAK-UHFFFAOYSA-N 0 0 299.541 2.825 20 5 CFBDRN O=[N+]([O-])c1c(N2CC3CCC2CC3)nc2sccn21 ZINC000371442929 280354092 /nfs/dbraw/zinc/35/40/92/280354092.db2.gz MKUJXSMDCUOZKU-UHFFFAOYSA-N 0 0 278.337 2.683 20 5 CFBDRN O=[N+]([O-])c1c(NCC2(O)CCCC2)ccc2ncccc21 ZINC000083420395 280354395 /nfs/dbraw/zinc/35/43/95/280354395.db2.gz WULWCALDKXVMCK-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCC[C@@H]3C[C@@H]32)nc2sccn21 ZINC000413462219 280354802 /nfs/dbraw/zinc/35/48/02/280354802.db2.gz PVEDDRXSPGFXGF-VGMNWLOBSA-N 0 0 278.337 2.905 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC1CCS(=O)CC1 ZINC000042336697 280358475 /nfs/dbraw/zinc/35/84/75/280358475.db2.gz GZNARSIMUQBWCL-UHFFFAOYSA-N 0 0 288.756 2.571 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC3(C2)CCOCC3)c(F)c1 ZINC000269630966 280359585 /nfs/dbraw/zinc/35/95/85/280359585.db2.gz LHCMZVWARWDVLL-UHFFFAOYSA-N 0 0 298.289 2.880 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c(F)c1 ZINC000302568833 280359955 /nfs/dbraw/zinc/35/99/55/280359955.db2.gz PTMFHROPZRKAJV-AXTRIDKLSA-N 0 0 284.262 2.852 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1CCCC[C@@H]1O ZINC000276724930 280362205 /nfs/dbraw/zinc/36/22/05/280362205.db2.gz ZRUZDPXBUBBEPG-JSGCOSHPSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@H]2c2ccco2)cc1 ZINC000375798849 280363942 /nfs/dbraw/zinc/36/39/42/280363942.db2.gz XRLPUIYIZCVBLD-AWEZNQCLSA-N 0 0 288.303 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCN2)nc1-c1ccccc1 ZINC000346120881 280366075 /nfs/dbraw/zinc/36/60/75/280366075.db2.gz OIPVCWPVFNEUPV-UHFFFAOYSA-N 0 0 284.319 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1C[C@@H]3CCC[C@H]3C1)CCN2 ZINC000275389442 280370840 /nfs/dbraw/zinc/37/08/40/280370840.db2.gz PZOONAIGNPFAPC-QWRGUYRKSA-N 0 0 273.336 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@H](F)C3)c2c1 ZINC000413294266 280371443 /nfs/dbraw/zinc/37/14/43/280371443.db2.gz ANDPSRYYXJJCCG-JTQLQIEISA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](F)C2)c(Cl)c1 ZINC000408103789 280379243 /nfs/dbraw/zinc/37/92/43/280379243.db2.gz CCWHCKICCUQCMO-SSDOTTSWSA-N 0 0 259.668 2.582 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCc3occc3C2)s1 ZINC000289098520 280379608 /nfs/dbraw/zinc/37/96/08/280379608.db2.gz PIBWTVZWYARMAD-UHFFFAOYSA-N 0 0 265.294 2.597 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](CC(F)(F)F)C2)s1 ZINC000281857278 280380873 /nfs/dbraw/zinc/38/08/73/280380873.db2.gz JXAHFZLLBRMTMW-ZCFIWIBFSA-N 0 0 281.259 2.830 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]2C2CCCCC2)nc1 ZINC000273682651 280382088 /nfs/dbraw/zinc/38/20/88/280382088.db2.gz ZKBRJZYHTCWQOG-NWDGAFQWSA-N 0 0 262.313 2.766 20 5 CFBDRN O=[N+]([O-])c1cnn([C@H]2CCCc3ccc(F)cc32)c1 ZINC000180509712 280383850 /nfs/dbraw/zinc/38/38/50/280383850.db2.gz OCRJETDJFBIFCX-ZDUSSCGKSA-N 0 0 261.256 2.856 20 5 CFBDRN CNc1ccc(C(=O)N(CC2CC2)C(C)C)cc1[N+](=O)[O-] ZINC000047861621 280427794 /nfs/dbraw/zinc/42/77/94/280427794.db2.gz OUFOADWUTVGQBU-UHFFFAOYSA-N 0 0 291.351 2.897 20 5 CFBDRN CC(=O)c1ccc(NCc2cc(C)no2)c([N+](=O)[O-])c1 ZINC000064538478 280465408 /nfs/dbraw/zinc/46/54/08/280465408.db2.gz MYFKODRFZRUHFD-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCCOCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000065558514 280466483 /nfs/dbraw/zinc/46/64/83/280466483.db2.gz VZNVZQGNELLZIA-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN COc1cc(-c2nc3ccccc3n2C)c([N+](=O)[O-])cc1O ZINC000069835894 280481251 /nfs/dbraw/zinc/48/12/51/280481251.db2.gz CVJKFSPOJJJKFW-UHFFFAOYSA-N 0 0 299.286 2.863 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000071211472 280486067 /nfs/dbraw/zinc/48/60/67/280486067.db2.gz KPRKRTNQHVRDNC-GXSJLCMTSA-N 0 0 277.324 2.555 20 5 CFBDRN CCCCN(C)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000075245055 280509878 /nfs/dbraw/zinc/50/98/78/280509878.db2.gz JCRWPJBVMXIQGG-JXMROGBWSA-N 0 0 262.309 2.867 20 5 CFBDRN O=[N+]([O-])c1cccnc1N(Cc1ccccn1)C1CC1 ZINC000078435116 280529262 /nfs/dbraw/zinc/52/92/62/280529262.db2.gz RRFMGSNEERSTAU-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC(C)C ZINC000079828663 280537193 /nfs/dbraw/zinc/53/71/93/280537193.db2.gz MHDUOKYZQWZEKH-UHFFFAOYSA-N 0 0 251.286 2.742 20 5 CFBDRN Cc1cc(N2CCC[C@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000450875152 280557198 /nfs/dbraw/zinc/55/71/98/280557198.db2.gz DTDYDJPHSVBISB-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1cccc(CNC(=O)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000087154314 280557284 /nfs/dbraw/zinc/55/72/84/280557284.db2.gz UXHXIJSEHCYUDZ-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCc2ccccc2CO)c(F)c1 ZINC000121692052 280623681 /nfs/dbraw/zinc/62/36/81/280623681.db2.gz SKZYRKYYMDKAIW-UHFFFAOYSA-N 0 0 294.257 2.977 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000122259210 280626253 /nfs/dbraw/zinc/62/62/53/280626253.db2.gz ZDUYLODYHFVLFV-GFCCVEGCSA-N 0 0 293.367 2.582 20 5 CFBDRN Cc1cc(N[C@H]2CCCc3nn(C)cc32)ncc1[N+](=O)[O-] ZINC000282142112 280632657 /nfs/dbraw/zinc/63/26/57/280632657.db2.gz AXUKSLQEJGANNG-NSHDSACASA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1cc(N[C@H]2COCc3ccccc32)ncc1[N+](=O)[O-] ZINC000418993167 280633413 /nfs/dbraw/zinc/63/34/13/280633413.db2.gz KKHGWTDHZAQYLM-ZDUSSCGKSA-N 0 0 285.303 2.982 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000125362425 280643187 /nfs/dbraw/zinc/64/31/87/280643187.db2.gz LUWDILLYNNIKHQ-TZMCWYRMSA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H]1CCC(=O)N(C)C1 ZINC000193356238 280657138 /nfs/dbraw/zinc/65/71/38/280657138.db2.gz DIBZLXQCROKNKE-SECBINFHSA-N 0 0 297.742 2.589 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)CC1(O)CCCC1 ZINC000127444454 280660958 /nfs/dbraw/zinc/66/09/58/280660958.db2.gz HTPJDRLFRGPMDW-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN O=[N+]([O-])c1cccc(CS(=O)(=O)C2CCCCC2)c1 ZINC000128756486 280683401 /nfs/dbraw/zinc/68/34/01/280683401.db2.gz ZZZVKFFPOBHTCU-UHFFFAOYSA-N 0 0 283.349 2.842 20 5 CFBDRN CC1(C)[C@H](Nc2c(Cl)cccc2[N+](=O)[O-])C[C@@H]1O ZINC000129173143 280687844 /nfs/dbraw/zinc/68/78/44/280687844.db2.gz LAPJMAHTGFXJOE-ZJUUUORDSA-N 0 0 270.716 2.820 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(=O)[nH]c2C)cc1[N+](=O)[O-] ZINC000130147986 280699815 /nfs/dbraw/zinc/69/98/15/280699815.db2.gz XEGOWNTWEUJFET-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1nnc(COc2cc(C)ccc2[N+](=O)[O-])n1C1CC1 ZINC000130561700 280705292 /nfs/dbraw/zinc/70/52/92/280705292.db2.gz BRCYSGATDGLXDK-UHFFFAOYSA-N 0 0 288.307 2.717 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N(CC1CC1)CC1CC1 ZINC000130714229 280707437 /nfs/dbraw/zinc/70/74/37/280707437.db2.gz JHMMRDUNJASCDK-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN CC(C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000133948919 280751437 /nfs/dbraw/zinc/75/14/37/280751437.db2.gz WVTQMCXJKXZTDG-UHFFFAOYSA-N 0 0 277.324 2.677 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161453916 280757977 /nfs/dbraw/zinc/75/79/77/280757977.db2.gz JPBIQAUEUCEBBV-VHSXEESVSA-N 0 0 279.340 2.801 20 5 CFBDRN CCc1ncsc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000138370557 280790546 /nfs/dbraw/zinc/79/05/46/280790546.db2.gz RLXJNAZJRGSDQJ-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN Cc1ccc(NC(=O)NCc2cccc([N+](=O)[O-])c2)nc1 ZINC000184289004 280826245 /nfs/dbraw/zinc/82/62/45/280826245.db2.gz NLXVNURCAWLEMO-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cccc(F)c2)nc1C1CC1 ZINC000185178295 280833644 /nfs/dbraw/zinc/83/36/44/280833644.db2.gz AZKFSMIQTTXDII-UHFFFAOYSA-N 0 0 261.256 2.856 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])c(C2CC2)n1)c1ccc(F)cc1 ZINC000185174700 280834112 /nfs/dbraw/zinc/83/41/12/280834112.db2.gz RQATWNVBVRKXHB-UHFFFAOYSA-N 0 0 289.266 2.691 20 5 CFBDRN CC(C)C[C@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000185241157 280834545 /nfs/dbraw/zinc/83/45/45/280834545.db2.gz VRHPIDOVFKGFFI-NSHDSACASA-N 0 0 264.325 2.893 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2CCC(C)(C)O2)cc1[N+](=O)[O-] ZINC000187401334 280855709 /nfs/dbraw/zinc/85/57/09/280855709.db2.gz MUYUZURHDCBWNE-VIFPVBQESA-N 0 0 298.364 2.652 20 5 CFBDRN C[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])N1CCCCC1=O ZINC000190293046 280886908 /nfs/dbraw/zinc/88/69/08/280886908.db2.gz WQTXAISEWYZIOR-JTQLQIEISA-N 0 0 295.314 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC1CCOCC1 ZINC000127718407 281009096 /nfs/dbraw/zinc/00/90/96/281009096.db2.gz XGEKIRWMYHZEMA-UHFFFAOYSA-N 0 0 293.323 2.512 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCC[C@H]2F)n1 ZINC000413528491 281014667 /nfs/dbraw/zinc/01/46/67/281014667.db2.gz DPIMSTNOZWUCRQ-NXEZZACHSA-N 0 0 253.277 2.991 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(C)CCO[C@H]2C2CC2)n1 ZINC000364140048 281014764 /nfs/dbraw/zinc/01/47/64/281014764.db2.gz PAGCKPIDDRRCRZ-ZFWWWQNUSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cc(N(C)[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000252929302 281078799 /nfs/dbraw/zinc/07/87/99/281078799.db2.gz RZQIIOHZUSILED-ZIAGYGMSSA-N 0 0 264.325 2.643 20 5 CFBDRN COc1ccc(NC(=O)/C=C\c2cccnc2)c([N+](=O)[O-])c1 ZINC000255383093 281096188 /nfs/dbraw/zinc/09/61/88/281096188.db2.gz GALAPTKZUKQDHI-DAXSKMNVSA-N 0 0 299.286 2.650 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC1CCSCC1 ZINC000255502647 281099267 /nfs/dbraw/zinc/09/92/67/281099267.db2.gz KCRCVGMVUNSBQV-PLNGDYQASA-N 0 0 292.360 2.620 20 5 CFBDRN CC(=O)OCCCSCc1ccc([N+](=O)[O-])c(F)c1 ZINC000278648461 281128445 /nfs/dbraw/zinc/12/84/45/281128445.db2.gz MCQLZXNCCSMOCA-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])o2)[C@@H]2CCC[C@H]21 ZINC000294909693 281140290 /nfs/dbraw/zinc/14/02/90/281140290.db2.gz VYKUVKLPOUYIAE-NXEZZACHSA-N 0 0 278.308 2.839 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])o2)[C@H]2CCC[C@H]21 ZINC000294909709 281140337 /nfs/dbraw/zinc/14/03/37/281140337.db2.gz VYKUVKLPOUYIAE-ZJUUUORDSA-N 0 0 278.308 2.839 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CCCO1 ZINC000281464463 281146172 /nfs/dbraw/zinc/14/61/72/281146172.db2.gz GAFNLDSXVXPJEV-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CCS1 ZINC000134439185 281149106 /nfs/dbraw/zinc/14/91/06/281149106.db2.gz VMCVKTTWEMIHPC-JTQLQIEISA-N 0 0 298.339 2.702 20 5 CFBDRN CCOc1cc(N[C@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000312860459 281155318 /nfs/dbraw/zinc/15/53/18/281155318.db2.gz XMZAVHMUQKDBCE-JQWIXIFHSA-N 0 0 280.324 2.830 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000360364984 281184022 /nfs/dbraw/zinc/18/40/22/281184022.db2.gz QWMGFRKVWRBUJF-RYUDHWBXSA-N 0 0 294.326 2.879 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nn(C)cc2Cl)c1 ZINC000393345589 281193817 /nfs/dbraw/zinc/19/38/17/281193817.db2.gz AHPQTWPIUHLLKY-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC(C)[C@H](CO)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000394091216 281194653 /nfs/dbraw/zinc/19/46/53/281194653.db2.gz NWLUPTBIZDQERY-NSHDSACASA-N 0 0 289.335 2.819 20 5 CFBDRN CCc1nn(C)c(NCc2cnc(C)cc2C)c1[N+](=O)[O-] ZINC000396969306 281198703 /nfs/dbraw/zinc/19/87/03/281198703.db2.gz FBQJQHJLDYTBQG-UHFFFAOYSA-N 0 0 289.339 2.515 20 5 CFBDRN CCOc1cc(NC[C@@H](C)C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000397734408 281201627 /nfs/dbraw/zinc/20/16/27/281201627.db2.gz GZYZGOSDIPBHBR-QWRGUYRKSA-N 0 0 282.340 2.812 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000397739381 281201864 /nfs/dbraw/zinc/20/18/64/281201864.db2.gz JLUVWWUUTCSGCL-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@H](CCO)CCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000397813328 281202293 /nfs/dbraw/zinc/20/22/93/281202293.db2.gz DNAHDOMDBBLVFA-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CS[C@@H](C)C2)c1 ZINC000397793409 281202838 /nfs/dbraw/zinc/20/28/38/281202838.db2.gz SONZVAQDXUVVFP-DTWKUNHWSA-N 0 0 268.338 2.909 20 5 CFBDRN CC[C@H](O)CCCNc1cc(C)ccc1[N+](=O)[O-] ZINC000398561994 281207023 /nfs/dbraw/zinc/20/70/23/281207023.db2.gz MJWJVGVXDOALHI-NSHDSACASA-N 0 0 252.314 2.866 20 5 CFBDRN C[C@H]1C[C@H](CO)CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000398733205 281208260 /nfs/dbraw/zinc/20/82/60/281208260.db2.gz JRFSGHNFUFQXES-QWRGUYRKSA-N 0 0 298.770 2.699 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000330513226 281218853 /nfs/dbraw/zinc/21/88/53/281218853.db2.gz SZIVFABFBFJOLI-PWSUYJOCSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2nccn2C(F)F)c1 ZINC000433430225 281234429 /nfs/dbraw/zinc/23/44/29/281234429.db2.gz ITBXBDZMCBLBQW-UHFFFAOYSA-N 0 0 287.197 2.905 20 5 CFBDRN COc1ccc(OC[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000447301233 281240470 /nfs/dbraw/zinc/24/04/70/281240470.db2.gz IIXYBLHCOQYGME-GHMZBOCLSA-N 0 0 281.308 2.797 20 5 CFBDRN COC1(C)CN(C/C(C)=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000459580090 281270081 /nfs/dbraw/zinc/27/00/81/281270081.db2.gz JRNKRNMGRVORSA-KPKJPENVSA-N 0 0 276.336 2.719 20 5 CFBDRN CC1(C)CN(c2ncccc2[N+](=O)[O-])[C@H]1c1ccncc1 ZINC000368029407 281272283 /nfs/dbraw/zinc/27/22/83/281272283.db2.gz OBGPXCYDDJRAKP-ZDUSSCGKSA-N 0 0 284.319 2.972 20 5 CFBDRN Cc1cccc2c1OCC[C@@H]2Nc1ncc([N+](=O)[O-])cn1 ZINC000302771848 281285520 /nfs/dbraw/zinc/28/55/20/281285520.db2.gz NFDVNGVZOSEJCP-LBPRGKRZSA-N 0 0 286.291 2.629 20 5 CFBDRN CCCN(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21)C(C)C ZINC000515496267 281294756 /nfs/dbraw/zinc/29/47/56/281294756.db2.gz MLXJTXZBKXCVLA-UHFFFAOYSA-N 0 0 290.323 2.732 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000525151302 281312172 /nfs/dbraw/zinc/31/21/72/281312172.db2.gz KEQGGVVDCKAOEK-UHFFFAOYSA-N 0 0 276.336 2.784 20 5 CFBDRN CC[C@]1(C)CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000276055868 281322970 /nfs/dbraw/zinc/32/29/70/281322970.db2.gz PZTACULTFQZEFI-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000527182522 281325945 /nfs/dbraw/zinc/32/59/45/281325945.db2.gz LVDFFFLFHUGPGK-NXEZZACHSA-N 0 0 280.349 2.696 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1[C@H](C)C[C@H]1C ZINC000527320463 281328838 /nfs/dbraw/zinc/32/88/38/281328838.db2.gz YANBVQVLULZAPZ-RKDXNWHRSA-N 0 0 279.296 2.618 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000275066584 281346339 /nfs/dbraw/zinc/34/63/39/281346339.db2.gz XXMJIZMJRZMPOL-ZDUSSCGKSA-N 0 0 299.758 2.632 20 5 CFBDRN CCSCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531634021 281436443 /nfs/dbraw/zinc/43/64/43/281436443.db2.gz UITAGXBPAQIKQZ-JTQLQIEISA-N 0 0 297.380 2.594 20 5 CFBDRN O=C(Nc1ccc2[nH]ccc2c1)c1ccc([N+](=O)[O-])cn1 ZINC000531633707 281436687 /nfs/dbraw/zinc/43/66/87/281436687.db2.gz FFMQNPZZOZVJRG-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000532393181 281453457 /nfs/dbraw/zinc/45/34/57/281453457.db2.gz IVFAHHZCBKYQGE-NSHDSACASA-N 0 0 277.324 2.642 20 5 CFBDRN CCC[C@@H](C)[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000533184625 281463457 /nfs/dbraw/zinc/46/34/57/281463457.db2.gz MCNFBPXXBNQYKQ-YPMHNXCESA-N 0 0 293.367 2.974 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1C2CCC1CC2 ZINC000535696079 281505444 /nfs/dbraw/zinc/50/54/44/281505444.db2.gz IETOCFJBSRCMKN-UHFFFAOYSA-N 0 0 285.303 2.843 20 5 CFBDRN CC1(C)[C@H](Nc2ncccc2[N+](=O)[O-])[C@@H]2CCCO[C@@H]21 ZINC000376491421 281525934 /nfs/dbraw/zinc/52/59/34/281525934.db2.gz KYFFNZZBRJEENU-WCQGTBRESA-N 0 0 277.324 2.605 20 5 CFBDRN CCOC(=O)C1(CNc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000536987677 281531216 /nfs/dbraw/zinc/53/12/16/281531216.db2.gz BWDMRXXCEBWGIE-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(C[C@H]3CCCOC3)c2)c1 ZINC000537555009 281539283 /nfs/dbraw/zinc/53/92/83/281539283.db2.gz ACWFOVOHMMYLSI-GFCCVEGCSA-N 0 0 287.319 2.885 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000048915289 288335866 /nfs/dbraw/zinc/33/58/66/288335866.db2.gz JPUXGEGYBBBRNB-MFKMUULPSA-N 0 0 292.335 2.801 20 5 CFBDRN CCc1nnc([C@@H](C)N(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000348089359 281563644 /nfs/dbraw/zinc/56/36/44/281563644.db2.gz VDHDKDGTPQDNRR-SNVBAGLBSA-N 0 0 290.323 2.733 20 5 CFBDRN O=C(CCCC(F)(F)F)NCc1cccc([N+](=O)[O-])c1 ZINC000539942466 281578429 /nfs/dbraw/zinc/57/84/29/281578429.db2.gz HKQGTPYXXNXJIT-UHFFFAOYSA-N 0 0 290.241 2.944 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000540451639 281584940 /nfs/dbraw/zinc/58/49/40/281584940.db2.gz UMHKKQQQSJWXGP-NWDGAFQWSA-N 0 0 294.351 2.833 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000540586180 281587408 /nfs/dbraw/zinc/58/74/08/281587408.db2.gz OBMOCLXTVVFSKF-AWEZNQCLSA-N 0 0 291.351 2.577 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCS[C@@H](C(C)C)C1 ZINC000360991171 281596978 /nfs/dbraw/zinc/59/69/78/281596978.db2.gz QYWZWCFKFHXVEX-LLVKDONJSA-N 0 0 298.412 2.697 20 5 CFBDRN C[C@@H]1COCCN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301848680 288349820 /nfs/dbraw/zinc/34/98/20/288349820.db2.gz ACBZQFVQPSIHPP-LLVKDONJSA-N 0 0 294.351 2.997 20 5 CFBDRN CCCC[C@H](CCC)CNc1ncc([N+](=O)[O-])cn1 ZINC000546181754 281706543 /nfs/dbraw/zinc/70/65/43/281706543.db2.gz HCTFCNZVZSVXJN-NSHDSACASA-N 0 0 266.345 2.825 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000546480459 281709711 /nfs/dbraw/zinc/70/97/11/281709711.db2.gz VBABVHULDLFWPD-KCJUWKMLSA-N 0 0 275.264 2.680 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1[C@@H](C)C[C@@H]1C ZINC000547770571 281754406 /nfs/dbraw/zinc/75/44/06/281754406.db2.gz QZMDWPOIZMICGL-UWVGGRQHSA-N 0 0 250.298 2.586 20 5 CFBDRN CCOc1cc(N2CCO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000548267781 281775511 /nfs/dbraw/zinc/77/55/11/281775511.db2.gz UMGCIBSLUNLQJD-OAHLLOKOSA-N 0 0 292.335 2.609 20 5 CFBDRN CSc1ccc(C)c(NC(=O)c2c[nH]nc2[N+](=O)[O-])c1 ZINC000548951941 281808401 /nfs/dbraw/zinc/80/84/01/281808401.db2.gz ARMWNPDMIWTTAX-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2[C@@H](C)C[C@@H]2C)c1 ZINC000549088870 281811690 /nfs/dbraw/zinc/81/16/90/281811690.db2.gz DCERYPYOCIPUMF-UWVGGRQHSA-N 0 0 278.308 2.616 20 5 CFBDRN O=C(Nc1ccc(C2CCC2)cc1)c1c[nH]nc1[N+](=O)[O-] ZINC000549378536 281815895 /nfs/dbraw/zinc/81/58/95/281815895.db2.gz WAPKFESCEQCJOU-UHFFFAOYSA-N 0 0 286.291 2.838 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1sccc1F ZINC000550175266 281824937 /nfs/dbraw/zinc/82/49/37/281824937.db2.gz NHQROEQWSZAHKR-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN Cc1nc(C)c(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)o1 ZINC000350399774 281856589 /nfs/dbraw/zinc/85/65/89/281856589.db2.gz WNVHSWKYULGZLP-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN CCN(Cc1ccc(C)cc1)c1c([N+](=O)[O-])ncn1C ZINC000553828831 281881903 /nfs/dbraw/zinc/88/19/03/281881903.db2.gz MNUYWOISVDGWKS-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000554034346 281884104 /nfs/dbraw/zinc/88/41/04/281884104.db2.gz ZISOSFPWPJAPSP-WCQGTBRESA-N 0 0 280.299 2.705 20 5 CFBDRN O=C(Nc1csc2ccccc12)c1c[nH]nc1[N+](=O)[O-] ZINC000554863317 281904273 /nfs/dbraw/zinc/90/42/73/281904273.db2.gz HBTUZTQZVAVKKW-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1nc(N2CCCc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000297120707 281928621 /nfs/dbraw/zinc/92/86/21/281928621.db2.gz MIALZNVIXMKDFP-UHFFFAOYSA-N 0 0 284.319 2.646 20 5 CFBDRN Cc1nc(N2CC[C@@H](C3CCOCC3)C2)ccc1[N+](=O)[O-] ZINC000377164617 281935781 /nfs/dbraw/zinc/93/57/81/281935781.db2.gz JJQUGRFZURMSMG-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN CC(C)=CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000556521722 281936901 /nfs/dbraw/zinc/93/69/01/281936901.db2.gz QCGDTWQOKAZCAL-NSHDSACASA-N 0 0 279.340 2.798 20 5 CFBDRN Cc1nc(N[C@@H]2CCc3cc(F)ccc32)ncc1[N+](=O)[O-] ZINC000294786062 281977927 /nfs/dbraw/zinc/97/79/27/281977927.db2.gz AYWBXQFRBDFUHO-GFCCVEGCSA-N 0 0 288.282 2.932 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCCCCC1 ZINC000558311020 281980019 /nfs/dbraw/zinc/98/00/19/281980019.db2.gz IUTUIGGRAXJEIK-UHFFFAOYSA-N 0 0 250.298 2.984 20 5 CFBDRN CS[C@@H](C)CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000558693367 281991753 /nfs/dbraw/zinc/99/17/53/281991753.db2.gz LLTMZOXATPETLG-UWVGGRQHSA-N 0 0 282.365 2.566 20 5 CFBDRN CC(=O)CSCc1c(F)cc([N+](=O)[O-])cc1F ZINC000560426768 282026123 /nfs/dbraw/zinc/02/61/23/282026123.db2.gz XQAGHWZMBNSBBS-UHFFFAOYSA-N 0 0 261.249 2.695 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)[C@@H]1CC1(C)C ZINC000561070781 282046693 /nfs/dbraw/zinc/04/66/93/282046693.db2.gz JLANUXILTFGHDQ-QWRGUYRKSA-N 0 0 277.324 2.544 20 5 CFBDRN CNc1ccc(C(=O)N2CC(C3CCC3)C2)cc1[N+](=O)[O-] ZINC000561097319 282047354 /nfs/dbraw/zinc/04/73/54/282047354.db2.gz WDQIHOGVYUJJSC-UHFFFAOYSA-N 0 0 289.335 2.509 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000336002740 288658622 /nfs/dbraw/zinc/65/86/22/288658622.db2.gz UOCULXBKKRGZAB-ZJUUUORDSA-N 0 0 263.297 2.857 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)Cc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000416075514 289008006 /nfs/dbraw/zinc/00/80/06/289008006.db2.gz FPEDDHCDDFBAED-UTUOFQBUSA-N 0 0 276.336 2.640 20 5 CFBDRN C[C@@]1(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)C[C@@H]1F ZINC000335113690 289129507 /nfs/dbraw/zinc/12/95/07/289129507.db2.gz KESAMOBKOFVYKZ-GXTWGEPZSA-N 0 0 278.283 2.622 20 5 CFBDRN CN(CCCNc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000021063009 289821738 /nfs/dbraw/zinc/82/17/38/289821738.db2.gz MYPNRAUEFNTLAL-UHFFFAOYSA-N 0 0 286.335 2.928 20 5 CFBDRN O=[N+]([O-])c1cccc(CS(=O)(=O)c2cccs2)c1 ZINC000154130942 289886470 /nfs/dbraw/zinc/88/64/70/289886470.db2.gz GDYXKXQATOHEMV-UHFFFAOYSA-N 0 0 283.330 2.630 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCF ZINC000154162321 289886888 /nfs/dbraw/zinc/88/68/88/289886888.db2.gz IUBJFKUSRBEACK-SECBINFHSA-N 0 0 286.328 2.551 20 5 CFBDRN CC(C)CCC(=O)COc1ccccc1[N+](=O)[O-] ZINC000154691295 289901749 /nfs/dbraw/zinc/90/17/49/289901749.db2.gz CKCIDISEWYGHEH-UHFFFAOYSA-N 0 0 251.282 2.979 20 5 CFBDRN COc1cc(C(=O)NC[C@@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000154910151 289906874 /nfs/dbraw/zinc/90/68/74/289906874.db2.gz FAQPKGKJBYXLDZ-LLVKDONJSA-N 0 0 290.319 2.690 20 5 CFBDRN Cc1cnc(OCCN(C)c2ccccc2)c([N+](=O)[O-])c1 ZINC000158005102 289967964 /nfs/dbraw/zinc/96/79/64/289967964.db2.gz FYBIHXFOWSADNC-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN CC1(C)CC[C@H]1Nc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000314828777 290018659 /nfs/dbraw/zinc/01/86/59/290018659.db2.gz RVESGBDAIRVLFU-GFCCVEGCSA-N 0 0 288.307 2.844 20 5 CFBDRN CC1(C)CC[C@@H]1Nc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000314828779 290018713 /nfs/dbraw/zinc/01/87/13/290018713.db2.gz RVESGBDAIRVLFU-LBPRGKRZSA-N 0 0 288.307 2.844 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC(CCO)CC1 ZINC000401060507 290025595 /nfs/dbraw/zinc/02/55/95/290025595.db2.gz WWSRMGSCZHWMOS-UHFFFAOYSA-N 0 0 264.325 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2c[nH]cn2)c2ccncc21 ZINC000562450865 290044449 /nfs/dbraw/zinc/04/44/49/290044449.db2.gz RWWFWIFKESZYRZ-UHFFFAOYSA-N 0 0 255.237 2.610 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000562534619 290052467 /nfs/dbraw/zinc/05/24/67/290052467.db2.gz VENJVXINRYKLPW-VXNVDRBHSA-N 0 0 291.229 2.598 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NC1(CF)CCC1 ZINC000563195025 290116657 /nfs/dbraw/zinc/11/66/57/290116657.db2.gz NABXPRLYYKEPAD-UHFFFAOYSA-N 0 0 298.339 2.695 20 5 CFBDRN C[C@H](O)CCN[C@@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000563264234 290125889 /nfs/dbraw/zinc/12/58/89/290125889.db2.gz OXFNEEWICHZHSE-KWQFWETISA-N 0 0 292.257 2.559 20 5 CFBDRN Cc1ccnc(N[C@H]2CCOC3(CCC3)C2)c1[N+](=O)[O-] ZINC000268942006 292790686 /nfs/dbraw/zinc/79/06/86/292790686.db2.gz GCMMSORYROOCDM-NSHDSACASA-N 0 0 277.324 2.812 20 5 CFBDRN Cc1ccnc(N[C@H]2CSC2(C)C)c1[N+](=O)[O-] ZINC000276534082 292791303 /nfs/dbraw/zinc/79/13/03/292791303.db2.gz WCQQSHNDCYMFRZ-QMMMGPOBSA-N 0 0 253.327 2.604 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1CCCSC1)c1cccc([N+](=O)[O-])c1 ZINC000563737865 290172326 /nfs/dbraw/zinc/17/23/26/290172326.db2.gz GHVYVPYKHUKVCB-ZYHUDNBSSA-N 0 0 294.376 2.710 20 5 CFBDRN C[C@@H]1CCC[C@@H](Cn2cc([N+](=O)[O-])cc(F)c2=O)C1 ZINC000563810797 290175797 /nfs/dbraw/zinc/17/57/97/290175797.db2.gz SOESAHOPJLZFDD-NXEZZACHSA-N 0 0 268.288 2.722 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ccn1CCC1CC1 ZINC000564328155 290203959 /nfs/dbraw/zinc/20/39/59/290203959.db2.gz BZCMRSZHPKZYDD-UHFFFAOYSA-N 0 0 258.277 2.710 20 5 CFBDRN Cc1ccoc1CNc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000564350788 290205495 /nfs/dbraw/zinc/20/54/95/290205495.db2.gz SWCIXRLITJDORW-UHFFFAOYSA-N 0 0 291.307 2.712 20 5 CFBDRN C[C@]1(C(=O)NCCc2ccccc2[N+](=O)[O-])C[C@H]2C[C@H]2C1 ZINC000564593032 290217709 /nfs/dbraw/zinc/21/77/09/290217709.db2.gz GSUIGYRTCITBBW-VIKVFOODSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1cc(N2CCC[C@H]([C@H](C)O)C2)c(F)cc1[N+](=O)[O-] ZINC000564637901 290219553 /nfs/dbraw/zinc/21/95/53/290219553.db2.gz FKUMYFZDVJVREH-QWRGUYRKSA-N 0 0 282.315 2.640 20 5 CFBDRN CC(C)(NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000564711421 290226065 /nfs/dbraw/zinc/22/60/65/290226065.db2.gz UBASWKVSZHNLEQ-UHFFFAOYSA-N 0 0 291.351 2.973 20 5 CFBDRN CNc1ccc(C(=O)Nc2cc(C)ns2)cc1[N+](=O)[O-] ZINC000564788045 290232532 /nfs/dbraw/zinc/23/25/32/290232532.db2.gz DMROFRYGNOJCDX-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN CNc1ccc(C(=O)N2CCC(C)=C(C)C2)cc1[N+](=O)[O-] ZINC000564820110 290235769 /nfs/dbraw/zinc/23/57/69/290235769.db2.gz FJIJHMXKQLFTPV-UHFFFAOYSA-N 0 0 289.335 2.819 20 5 CFBDRN Cc1cnc(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)o1 ZINC000564892745 290245286 /nfs/dbraw/zinc/24/52/86/290245286.db2.gz CVXIAXFFINTVOC-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN CCC[C@](C)(O)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000564892445 290245312 /nfs/dbraw/zinc/24/53/12/290245312.db2.gz LFBYXTRXJLKKFE-HNNXBMFYSA-N 0 0 293.367 2.832 20 5 CFBDRN CCOc1cccc(N2CC[C@@H]3OCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000564904332 290247905 /nfs/dbraw/zinc/24/79/05/290247905.db2.gz KKCYAPOOPMESDO-YPMHNXCESA-N 0 0 292.335 2.609 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000565074975 290265225 /nfs/dbraw/zinc/26/52/25/290265225.db2.gz UDYSSTPAASCTBJ-MWLCHTKSSA-N 0 0 267.329 2.507 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000565166595 290274669 /nfs/dbraw/zinc/27/46/69/290274669.db2.gz YEMNLLARBDOQPH-UHFFFAOYSA-N 0 0 250.298 2.855 20 5 CFBDRN C[C@@H](c1nc([C@@H]2CCCO2)no1)c1cccc([N+](=O)[O-])c1 ZINC000565918228 290334392 /nfs/dbraw/zinc/33/43/92/290334392.db2.gz NWJPOINDFIAJKI-SKDRFNHKSA-N 0 0 289.291 2.981 20 5 CFBDRN CO[C@H](COc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000566210562 290358846 /nfs/dbraw/zinc/35/88/46/290358846.db2.gz YAJMWHLFPKOMHJ-CYBMUJFWSA-N 0 0 251.282 2.707 20 5 CFBDRN O=C(N1CCc2c(cccc2[N+](=O)[O-])C1)C1(CF)CCC1 ZINC000566253273 290362472 /nfs/dbraw/zinc/36/24/72/290362472.db2.gz JVQGJLPGOGVWED-UHFFFAOYSA-N 0 0 292.310 2.619 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037171 290380606 /nfs/dbraw/zinc/38/06/06/290380606.db2.gz WFBYWDDNMZHKKX-QMMMGPOBSA-N 0 0 284.262 2.888 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(O[C@H]2C[C@H](C)CCC2=O)c1C ZINC000567368053 290459083 /nfs/dbraw/zinc/45/90/83/290459083.db2.gz KHNIFDPVVLVOQT-OQPBUACISA-N 0 0 278.308 2.743 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(C[C@@H]2CCCOC2)c1 ZINC000567406503 290461633 /nfs/dbraw/zinc/46/16/33/290461633.db2.gz KGVQLMSKTBKVFE-LBPRGKRZSA-N 0 0 287.319 2.885 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000568356086 290548715 /nfs/dbraw/zinc/54/87/15/290548715.db2.gz VNVKQRVSMNYJRR-GHMZBOCLSA-N 0 0 290.319 2.567 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCC(=O)C(C)(C)C)c1C ZINC000568783191 290570628 /nfs/dbraw/zinc/57/06/28/290570628.db2.gz PIQOWEZXHUVXGC-UHFFFAOYSA-N 0 0 266.297 2.601 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCSC1 ZINC000569106703 290586512 /nfs/dbraw/zinc/58/65/12/290586512.db2.gz FBIHJHWASYSIMU-VIFPVBQESA-N 0 0 295.364 2.718 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H](C)OC3(CCC3)C2)c1 ZINC000569115045 290586986 /nfs/dbraw/zinc/58/69/86/290586986.db2.gz OQXGEQQXGROEEG-LLVKDONJSA-N 0 0 292.335 2.751 20 5 CFBDRN COC[C@H]1CCCCN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000452047213 293201609 /nfs/dbraw/zinc/20/16/09/293201609.db2.gz ZQWVHYDPYHGQAO-LLVKDONJSA-N 0 0 282.315 2.735 20 5 CFBDRN COC[C@H]1CCCCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000450944421 293204033 /nfs/dbraw/zinc/20/40/33/293204033.db2.gz XVMPKZKJBRKWHH-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1nc(N2C[C@H](O)C[C@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000413498836 293306869 /nfs/dbraw/zinc/30/68/69/293306869.db2.gz HVVHDURZMZFVFA-HIFRSBDPSA-N 0 0 299.330 2.611 20 5 CFBDRN CO[C@@H](C)CCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295425808 293412393 /nfs/dbraw/zinc/41/23/93/293412393.db2.gz UIXPJQUVVHWZON-QWRGUYRKSA-N 0 0 280.324 2.587 20 5 CFBDRN CO[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])CC[C@H]1C ZINC000302606864 293832662 /nfs/dbraw/zinc/83/26/62/293832662.db2.gz ZTHXQPHRBPWDMU-BXUZGUMPSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@](C)(C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000292015117 294738938 /nfs/dbraw/zinc/73/89/38/294738938.db2.gz YRFJCFXPSCAYMN-BONVTDFDSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1cc(N2CCCO[C@@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000369698471 294994186 /nfs/dbraw/zinc/99/41/86/294994186.db2.gz XYSHEEXCFXXXLK-GXTWGEPZSA-N 0 0 292.335 2.751 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(C)s2)c1 ZINC000049027659 295253841 /nfs/dbraw/zinc/25/38/41/295253841.db2.gz ZTLODBMZWLQNFK-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN COc1cccc(C(=O)N2CCC[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000194452228 295284439 /nfs/dbraw/zinc/28/44/39/295284439.db2.gz DYVWEYCPFZKBGA-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cccc(C(=O)N2CC[C@H](C)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000192837734 295286455 /nfs/dbraw/zinc/28/64/55/295286455.db2.gz XHPVSBHWUDHMRG-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC([C@H]3CCCO3)CC2)c1 ZINC000193523819 295355796 /nfs/dbraw/zinc/35/57/96/295355796.db2.gz GWKQEQYXXAWQAX-OAHLLOKOSA-N 0 0 291.351 2.572 20 5 CFBDRN C[C@@H]1CO[C@H](c2ccccc2)CN1c1ncccc1[N+](=O)[O-] ZINC000267327881 297166728 /nfs/dbraw/zinc/16/67/28/297166728.db2.gz ZYPUNUCDCFRKHH-DOMZBBRYSA-N 0 0 299.330 2.956 20 5 CFBDRN C[C@H]1CCSCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000189976505 300027093 /nfs/dbraw/zinc/02/70/93/300027093.db2.gz MQOYCYINPOBLOX-JTQLQIEISA-N 0 0 280.349 2.562 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)[C@@H]2C)c1 ZINC000177131924 301849037 /nfs/dbraw/zinc/84/90/37/301849037.db2.gz ZRWIWIDELKKESI-MNOVXSKESA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@]3(CCOC3)C2)c1 ZINC000450882726 301853209 /nfs/dbraw/zinc/85/32/09/301853209.db2.gz OKCLMLGIKFRATR-HNNXBMFYSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCSC[C@H]2C)c1 ZINC000176497998 301854590 /nfs/dbraw/zinc/85/45/90/301854590.db2.gz WSTKZDGURQFTBX-SNVBAGLBSA-N 0 0 252.339 2.845 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)[C@@H]1CCCOC1 ZINC000343271097 302039731 /nfs/dbraw/zinc/03/97/31/302039731.db2.gz ALMPRQNHDBPIRY-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@]2(CCOC2)C1 ZINC000450887167 302040266 /nfs/dbraw/zinc/04/02/66/302040266.db2.gz YNMOFVFTXYKSCM-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1cccc2c1CN(c1ncccc1[N+](=O)[O-])CC2 ZINC000428659080 302056634 /nfs/dbraw/zinc/05/66/34/302056634.db2.gz GEBJETQZLMJADY-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1nn(C)c(N2Cc3ccccc3C3(CC3)C2)c1[N+](=O)[O-] ZINC000302483198 302786614 /nfs/dbraw/zinc/78/66/14/302786614.db2.gz RPKXBSGTBPIOBA-UHFFFAOYSA-N 0 0 298.346 2.689 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN(Cc1cccs1)C1CC1 ZINC000355791987 303019056 /nfs/dbraw/zinc/01/90/56/303019056.db2.gz VBDREPDXNQLJKE-UHFFFAOYSA-N 0 0 292.364 2.554 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCCC3(CCOCC3)C2)c1 ZINC000282122401 303497839 /nfs/dbraw/zinc/49/78/39/303497839.db2.gz BNWSNNOXMBXZRA-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1CC[C@H](F)C1 ZINC000492841693 303522452 /nfs/dbraw/zinc/52/24/52/303522452.db2.gz QAMXXDPUOMTXLA-QPZKUJRSSA-N 0 0 278.283 2.615 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@]23CCOC3)c2ccncc21 ZINC000376421031 304803036 /nfs/dbraw/zinc/80/30/36/304803036.db2.gz NNLZKHNEZKKQIS-INIZCTEOSA-N 0 0 299.330 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000363384615 304803077 /nfs/dbraw/zinc/80/30/77/304803077.db2.gz NFDNBCKIXRGUNB-NSHDSACASA-N 0 0 277.280 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)nc1 ZINC000270006390 304803537 /nfs/dbraw/zinc/80/35/37/304803537.db2.gz ZWEIKBXVKMOYBN-YUTCNCBUSA-N 0 0 289.335 2.749 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000439078500 304807793 /nfs/dbraw/zinc/80/77/93/304807793.db2.gz OETVZDBJNBDKLL-OLZOCXBDSA-N 0 0 276.336 2.848 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOCC2(CCC2)C1 ZINC000368707279 304807959 /nfs/dbraw/zinc/80/79/59/304807959.db2.gz PGDNAEJZLKMCSY-UHFFFAOYSA-N 0 0 262.309 2.602 20 5 CFBDRN CCC[C@@H](C)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159712482 322326787 /nfs/dbraw/zinc/32/67/87/322326787.db2.gz YVGPHPZQQGMFAN-ZJUUUORDSA-N 0 0 297.380 2.775 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000159860429 322329092 /nfs/dbraw/zinc/32/90/92/322329092.db2.gz XLIKJFUDIZNCOW-IINYFYTJSA-N 0 0 278.308 2.978 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCC1(C2CC2)CC1 ZINC000160050374 322332012 /nfs/dbraw/zinc/33/20/12/322332012.db2.gz IJJTVXLKNORZIP-UHFFFAOYSA-N 0 0 290.319 2.523 20 5 CFBDRN O=C1CCC[C@@H]1CCSc1ccc([N+](=O)[O-])cn1 ZINC000160097001 322332591 /nfs/dbraw/zinc/33/25/91/322332591.db2.gz GOXHEFLGTFEXJW-SECBINFHSA-N 0 0 266.322 2.841 20 5 CFBDRN O=C1c2ccccc2C[C@@H]1Sc1ccc([N+](=O)[O-])cn1 ZINC000160152357 322333498 /nfs/dbraw/zinc/33/34/98/322333498.db2.gz GUNYLXJELNIKKU-LBPRGKRZSA-N 0 0 286.312 2.890 20 5 CFBDRN CN(Cc1cccn1C)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000160506762 322338990 /nfs/dbraw/zinc/33/89/90/322338990.db2.gz XYVPVXOMSSTJEF-JXMROGBWSA-N 0 0 299.330 2.605 20 5 CFBDRN C[C@@]1(Nc2ccc3ncccc3c2[N+](=O)[O-])CCOC1 ZINC000161417219 322351517 /nfs/dbraw/zinc/35/15/17/322351517.db2.gz ZVDZAPLNOLOJAT-CQSZACIVSA-N 0 0 273.292 2.734 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1[C@@H](C)CC[C@@H]1C ZINC000161733985 322356229 /nfs/dbraw/zinc/35/62/29/322356229.db2.gz ZGVWXLLNNGGSDH-UWVGGRQHSA-N 0 0 278.308 2.616 20 5 CFBDRN COc1cc(C(=O)N2[C@H](C)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000162371811 322363548 /nfs/dbraw/zinc/36/35/48/322363548.db2.gz OGINVZSONBSNIT-AOOOYVTPSA-N 0 0 278.308 2.616 20 5 CFBDRN Cc1c(C(=O)N2[C@H](C)CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000162436739 322364889 /nfs/dbraw/zinc/36/48/89/322364889.db2.gz MZXPPVGIBUGMCB-AOOOYVTPSA-N 0 0 262.309 2.916 20 5 CFBDRN CCC(CC)CC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000164500223 322382903 /nfs/dbraw/zinc/38/29/03/322382903.db2.gz TVKUXIISPVCCIW-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN Cc1ccc2nc(-c3ccc([N+](=O)[O-])s3)[nH]c(=O)c2c1 ZINC000165074471 322384454 /nfs/dbraw/zinc/38/44/54/322384454.db2.gz TTWNYXUGNGBIPA-UHFFFAOYSA-N 0 0 287.300 2.868 20 5 CFBDRN COc1cccc(COc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000172319129 322422796 /nfs/dbraw/zinc/42/27/96/322422796.db2.gz HLRSTHNSWMDPDQ-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@H]1CC=CCC1 ZINC000172492563 322426337 /nfs/dbraw/zinc/42/63/37/322426337.db2.gz VZRRRZTYQCCKOD-LXKVQUBZSA-N 0 0 272.304 2.833 20 5 CFBDRN COC(=O)c1cnc(N[C@H]2CC23CCCC3)c([N+](=O)[O-])c1 ZINC000569783546 322495557 /nfs/dbraw/zinc/49/55/57/322495557.db2.gz HZZCWZDXNSBNJS-NSHDSACASA-N 0 0 291.307 2.521 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2c(C)noc2C)c1 ZINC000570213036 322519657 /nfs/dbraw/zinc/51/96/57/322519657.db2.gz YRQKHJISSONTBV-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN CCO[C@H]1C[C@H]1NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000570510789 322536097 /nfs/dbraw/zinc/53/60/97/322536097.db2.gz WGOLSWJDGDGNQP-YPMHNXCESA-N 0 0 293.323 2.511 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCNc1ccccn1 ZINC000571482245 322584745 /nfs/dbraw/zinc/58/47/45/322584745.db2.gz URBNBSLWBRZESG-UHFFFAOYSA-N 0 0 288.307 2.522 20 5 CFBDRN Cc1nnsc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000572726404 322629754 /nfs/dbraw/zinc/62/97/54/322629754.db2.gz VFTTXTWNRJFOAP-UHFFFAOYSA-N 0 0 292.364 2.780 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H]1CO[C@@H](C)C1 ZINC000572758184 322630809 /nfs/dbraw/zinc/63/08/09/322630809.db2.gz BEMHGVZJCJTPGB-QWRGUYRKSA-N 0 0 293.323 2.511 20 5 CFBDRN CN(c1c2ccccc2ncc1[N+](=O)[O-])C1CCOCC1 ZINC000573659832 322664068 /nfs/dbraw/zinc/66/40/68/322664068.db2.gz KMTXOTCTPTVSLH-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H](c1csnn1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000573672478 322664666 /nfs/dbraw/zinc/66/46/66/322664666.db2.gz FOHATOHPEOAPCE-SECBINFHSA-N 0 0 290.348 2.566 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H](F)C1 ZINC000574924365 322711526 /nfs/dbraw/zinc/71/15/26/322711526.db2.gz GGLKZJNTISNIKA-QMMMGPOBSA-N 0 0 285.250 2.700 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCC[C@H](F)C2)nc2sccn21 ZINC000575746425 322727904 /nfs/dbraw/zinc/72/79/04/322727904.db2.gz VQSUUNZEFJYOPZ-YUMQZZPRSA-N 0 0 284.316 2.997 20 5 CFBDRN CCCN(C(=O)NCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000575879448 322733063 /nfs/dbraw/zinc/73/30/63/322733063.db2.gz VGUUNIJTXOLULP-UHFFFAOYSA-N 0 0 279.340 2.925 20 5 CFBDRN CCOc1cc(N2CCCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000174909016 323656688 /nfs/dbraw/zinc/65/66/88/323656688.db2.gz GAJRTMBTOFPJOJ-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN CCOc1cc(N2CCCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000174908997 323657076 /nfs/dbraw/zinc/65/70/76/323657076.db2.gz GAJRTMBTOFPJOJ-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCc1cnc2ccccn12 ZINC000179803084 323759148 /nfs/dbraw/zinc/75/91/48/323759148.db2.gz XVOZQWBXRISDLH-UHFFFAOYSA-N 0 0 286.316 2.930 20 5 CFBDRN CC(C)(C)CCCNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000181113220 323800283 /nfs/dbraw/zinc/80/02/83/323800283.db2.gz VYIDUJJSDYUWNI-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN CCOC(=O)C[C@@H](C)N(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000182093182 323843035 /nfs/dbraw/zinc/84/30/35/323843035.db2.gz UKQZLQJRXBLUTE-SECBINFHSA-N 0 0 284.287 2.512 20 5 CFBDRN CCc1cnccc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000182397003 323861826 /nfs/dbraw/zinc/86/18/26/323861826.db2.gz RNSPGRJFGGVBTA-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN Cc1noc(C)c1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182435349 323864286 /nfs/dbraw/zinc/86/42/86/323864286.db2.gz UREIPLWWLDRVSE-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CC(C)N(C)C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182502986 323867306 /nfs/dbraw/zinc/86/73/06/323867306.db2.gz ZSFTWCSAYDYIRI-VIFPVBQESA-N 0 0 268.288 2.704 20 5 CFBDRN CC(C)(NC(=O)Nc1ccon1)c1ccc([N+](=O)[O-])cc1 ZINC000183484290 323918984 /nfs/dbraw/zinc/91/89/84/323918984.db2.gz AUWFBPZYPUWJRJ-UHFFFAOYSA-N 0 0 290.279 2.640 20 5 CFBDRN CC(C)(C)CCCNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183595108 323922888 /nfs/dbraw/zinc/92/28/88/323922888.db2.gz KEOSEAHNNIOQAH-UHFFFAOYSA-N 0 0 280.328 2.938 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1ccc(Cl)cc1F ZINC000187839771 324002864 /nfs/dbraw/zinc/00/28/64/324002864.db2.gz ZWRVAXYOOWNWST-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC(C)(C)O2)n1 ZINC000189430709 324028422 /nfs/dbraw/zinc/02/84/22/324028422.db2.gz JHRTYKUYKJFBNP-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN CC(C)c1ccc(C(=O)NCCCF)cc1[N+](=O)[O-] ZINC000190186830 324038211 /nfs/dbraw/zinc/03/82/11/324038211.db2.gz ZBFNQYDGXQUUHV-UHFFFAOYSA-N 0 0 268.288 2.808 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCC(C)C ZINC000192889582 324066367 /nfs/dbraw/zinc/06/63/67/324066367.db2.gz JGGKRBNILWQMBX-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN Cc1c(CS(=O)(=O)c2ccccc2)cccc1[N+](=O)[O-] ZINC000193218642 324069671 /nfs/dbraw/zinc/06/96/71/324069671.db2.gz KRLUAUDPWLUTGV-UHFFFAOYSA-N 0 0 291.328 2.877 20 5 CFBDRN COc1cccc(-c2nc([C@@H]3C[C@H]3C)no2)c1[N+](=O)[O-] ZINC000350141254 324201901 /nfs/dbraw/zinc/20/19/01/324201901.db2.gz COXXBCUDVDICAN-VXNVDRBHSA-N 0 0 275.264 2.777 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(-c3cscn3)no2)c1 ZINC000350546674 324228428 /nfs/dbraw/zinc/22/84/28/324228428.db2.gz BYSMPXAQPIXOMX-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN C[C@@H]1COCC[C@@H]1c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000350593341 324240045 /nfs/dbraw/zinc/24/00/45/324240045.db2.gz INMIKYRRPGEHLD-ZJUUUORDSA-N 0 0 289.291 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3ccncc3F)n2)s1 ZINC000350822894 324264227 /nfs/dbraw/zinc/26/42/27/324264227.db2.gz IRCOFWCQPRZTGA-UHFFFAOYSA-N 0 0 292.251 2.907 20 5 CFBDRN C[C@H](c1nc(-c2ccc([N+](=O)[O-])s2)no1)n1cccn1 ZINC000350832754 324265266 /nfs/dbraw/zinc/26/52/66/324265266.db2.gz KPFFXXWKBSGNGS-SSDOTTSWSA-N 0 0 291.292 2.512 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(/C=C/C(C)(C)C)n2)n1C ZINC000350916017 324282447 /nfs/dbraw/zinc/28/24/47/324282447.db2.gz OXSCTHGFWPSKFE-VOTSOKGWSA-N 0 0 291.311 2.746 20 5 CFBDRN CC[C@]1(C)C[C@@H]1c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350918906 324282897 /nfs/dbraw/zinc/28/28/97/324282897.db2.gz BNEZJGNRBOIENS-AMIZOPFISA-N 0 0 291.311 2.590 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@@H]3CC=CCC3)n2)n1C ZINC000350923389 324283270 /nfs/dbraw/zinc/28/32/70/324283270.db2.gz HDADTPWZVPLBHC-SECBINFHSA-N 0 0 289.295 2.510 20 5 CFBDRN Cc1ocnc1-c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000351051177 324299791 /nfs/dbraw/zinc/29/97/91/324299791.db2.gz HXXFPTZAEKDXCT-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CCC[C@@H](OC)c1noc(-c2cc([N+](=O)[O-])cnc2C)n1 ZINC000351131022 324320614 /nfs/dbraw/zinc/32/06/14/324320614.db2.gz HMMDZYQAIAVECW-LLVKDONJSA-N 0 0 292.295 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3(CCF)CC3)n2)o1 ZINC000351142738 324325819 /nfs/dbraw/zinc/32/58/19/324325819.db2.gz FHUJAMAVALJLCP-UHFFFAOYSA-N 0 0 267.216 2.629 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CSC3CC3)n2)o1 ZINC000351142748 324326015 /nfs/dbraw/zinc/32/60/15/324326015.db2.gz FJAWPQILTKSKLL-UHFFFAOYSA-N 0 0 267.266 2.633 20 5 CFBDRN Cc1c(-c2noc([C@H]3CCO[C@H]3C)n2)cccc1[N+](=O)[O-] ZINC000351145719 324326996 /nfs/dbraw/zinc/32/69/96/324326996.db2.gz KGCFFFGZSPHQPT-ONGXEEELSA-N 0 0 289.291 2.846 20 5 CFBDRN CO[C@@H](C)c1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351146414 324327510 /nfs/dbraw/zinc/32/75/10/324327510.db2.gz MRGCAEFKBZOKRI-QMMMGPOBSA-N 0 0 263.253 2.661 20 5 CFBDRN Cc1cc(-c2noc(C[C@@H]3CCOC3)n2)cc([N+](=O)[O-])c1 ZINC000351148741 324328329 /nfs/dbraw/zinc/32/83/29/324328329.db2.gz QMSGNTQDQSJDOF-JTQLQIEISA-N 0 0 289.291 2.532 20 5 CFBDRN CC(C)CCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351148449 324328491 /nfs/dbraw/zinc/32/84/91/324328491.db2.gz QEIWVKUNTNWNMH-UHFFFAOYSA-N 0 0 251.242 2.826 20 5 CFBDRN CC[C@H](C)[C@H](OC)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351148366 324328498 /nfs/dbraw/zinc/32/84/98/324328498.db2.gz PSJFKMGDLHZENS-XVKPBYJWSA-N 0 0 281.268 2.971 20 5 CFBDRN COCCCCc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351149322 324329166 /nfs/dbraw/zinc/32/91/66/324329166.db2.gz RKNNWSOMSYCPHS-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN Cc1c(-c2noc([C@H]3CCCO3)n2)cccc1[N+](=O)[O-] ZINC000351150045 324329239 /nfs/dbraw/zinc/32/92/39/324329239.db2.gz SBSDNNXFQUOTLQ-LLVKDONJSA-N 0 0 275.264 2.805 20 5 CFBDRN COC(C)(C)c1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351151756 324329689 /nfs/dbraw/zinc/32/96/89/324329689.db2.gz VGBWGSQKTHPBDV-UHFFFAOYSA-N 0 0 277.280 2.835 20 5 CFBDRN CO[C@H](CC(C)C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351270148 324366578 /nfs/dbraw/zinc/36/65/78/324366578.db2.gz NKTHXYXYVMOTDL-SECBINFHSA-N 0 0 281.268 2.971 20 5 CFBDRN C[C@H](CC(F)F)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000351277479 324368846 /nfs/dbraw/zinc/36/88/46/324368846.db2.gz NDHVYDLJRAORRH-SSDOTTSWSA-N 0 0 298.249 2.722 20 5 CFBDRN C[C@H]1CCCC[C@@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000351292838 324373170 /nfs/dbraw/zinc/37/31/70/324373170.db2.gz MZYIRCWFXRQUJG-IUCAKERBSA-N 0 0 291.311 2.672 20 5 CFBDRN CC/C=C\CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000384886893 324453909 /nfs/dbraw/zinc/45/39/09/324453909.db2.gz SHRZVPJUZXYWIE-ARJAWSKDSA-N 0 0 264.310 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)C2CCCCC2)cc1 ZINC000386299821 324476674 /nfs/dbraw/zinc/47/66/74/324476674.db2.gz VHONZDNPEWHNMO-AWEZNQCLSA-N 0 0 264.325 2.948 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c2ncccc12)[C@@H](C)O ZINC000386791966 324482208 /nfs/dbraw/zinc/48/22/08/324482208.db2.gz AIEZSMATVMFPKP-MWLCHTKSSA-N 0 0 275.308 2.714 20 5 CFBDRN CO[C@H]([C@H](C)Nc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000388288415 324503346 /nfs/dbraw/zinc/50/33/46/324503346.db2.gz SYIUVWBGJZOTRN-ISVAXAHUSA-N 0 0 268.288 2.959 20 5 CFBDRN COc1cc(N[C@@H]2CSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000397816915 324547804 /nfs/dbraw/zinc/54/78/04/324547804.db2.gz RWZQGYVPNPRVBR-PSASIEDQSA-N 0 0 268.338 2.767 20 5 CFBDRN COc1cc(N[C@H]2CSC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000397857441 324548704 /nfs/dbraw/zinc/54/87/04/324548704.db2.gz XBNHGSIYQWXBLQ-XCBNKYQSSA-N 0 0 286.328 2.906 20 5 CFBDRN Cc1noc([C@@H](C)N(C)c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000576530683 324628165 /nfs/dbraw/zinc/62/81/65/324628165.db2.gz YYEILDRVABXAAC-SSDOTTSWSA-N 0 0 280.259 2.623 20 5 CFBDRN CN(C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000576824642 324664118 /nfs/dbraw/zinc/66/41/18/324664118.db2.gz GNHFMTQFPCNVKJ-UHFFFAOYSA-N 0 0 286.278 2.672 20 5 CFBDRN C[C@@H](C(=O)N(C)[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000577927230 324795741 /nfs/dbraw/zinc/79/57/41/324795741.db2.gz SNTBNZMFIKCPNP-ZWNOBZJWSA-N 0 0 294.376 2.662 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)NC1(CF)CCC1 ZINC000578067384 324812687 /nfs/dbraw/zinc/81/26/87/324812687.db2.gz KVPWJQPEUMAVDF-UHFFFAOYSA-N 0 0 267.260 2.609 20 5 CFBDRN C[C@H](NC(=O)NC1(CF)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000578066362 324812738 /nfs/dbraw/zinc/81/27/38/324812738.db2.gz QIKBHQQOERNWPV-JTQLQIEISA-N 0 0 295.314 2.847 20 5 CFBDRN Cc1cc(NCCOC[C@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000578125457 324818238 /nfs/dbraw/zinc/81/82/38/324818238.db2.gz NMYKLAXXYYPRNA-LLVKDONJSA-N 0 0 298.314 2.650 20 5 CFBDRN Cc1cc(NCCOC[C@@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000578125458 324818397 /nfs/dbraw/zinc/81/83/97/324818397.db2.gz NMYKLAXXYYPRNA-NSHDSACASA-N 0 0 298.314 2.650 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCc2ccn(C(C)C)n2)c1C ZINC000578787609 324890071 /nfs/dbraw/zinc/89/00/71/324890071.db2.gz UVUREAZZFGTRJX-UHFFFAOYSA-N 0 0 290.323 2.963 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3ccccc3[C@H]2CO)c1 ZINC000579127487 324923982 /nfs/dbraw/zinc/92/39/82/324923982.db2.gz DOXPXHNFXIFCGG-QGZVFWFLSA-N 0 0 298.342 2.999 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3ccccc3[C@@H]2CO)c1 ZINC000579127486 324923988 /nfs/dbraw/zinc/92/39/88/324923988.db2.gz DOXPXHNFXIFCGG-KRWDZBQOSA-N 0 0 298.342 2.999 20 5 CFBDRN C[C@H](C(=O)NCC1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000579190617 324928588 /nfs/dbraw/zinc/92/85/88/324928588.db2.gz DKQCRNFTQPZXHT-JTQLQIEISA-N 0 0 262.309 2.615 20 5 CFBDRN CCn1ccnc1CN[C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000579376647 324946438 /nfs/dbraw/zinc/94/64/38/324946438.db2.gz YZAONLINGANWLQ-CYBMUJFWSA-N 0 0 286.335 2.588 20 5 CFBDRN Cc1ccc(CCNc2ncc([N+](=O)[O-])cc2F)o1 ZINC000580047705 325001472 /nfs/dbraw/zinc/00/14/72/325001472.db2.gz NSOSAEJEKFDZIP-UHFFFAOYSA-N 0 0 265.244 2.685 20 5 CFBDRN CC(C)[C@H]1N(c2ncc([N+](=O)[O-])s2)CC12CCOCC2 ZINC000580168092 325012200 /nfs/dbraw/zinc/01/22/00/325012200.db2.gz MJWYPKULPVGVFQ-LLVKDONJSA-N 0 0 297.380 2.693 20 5 CFBDRN CCc1csc(=O)n1Cc1ccc([N+](=O)[O-])cc1F ZINC000580194451 325015040 /nfs/dbraw/zinc/01/50/40/325015040.db2.gz OMNUPOLTYCWTOZ-UHFFFAOYSA-N 0 0 282.296 2.568 20 5 CFBDRN CC(C)(C)C(C)(C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000580356963 325028936 /nfs/dbraw/zinc/02/89/36/325028936.db2.gz YQUWGHWYOGLZEP-UHFFFAOYSA-N 0 0 294.351 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCS[C@H]3CCCC[C@@H]32)cn1 ZINC000580522158 325042344 /nfs/dbraw/zinc/04/23/44/325042344.db2.gz QNLBEYDUAYHJAA-RYUDHWBXSA-N 0 0 279.365 2.854 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOCC(F)(F)F)n1 ZINC000580927351 325075197 /nfs/dbraw/zinc/07/51/97/325075197.db2.gz GFNCCURBYVZBSK-UHFFFAOYSA-N 0 0 293.245 2.679 20 5 CFBDRN Cc1cccc(N(C)C2CCOCC2)c1[N+](=O)[O-] ZINC000580999839 325081249 /nfs/dbraw/zinc/08/12/49/325081249.db2.gz YSRMUMAUMORCQA-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1cc(C)no1 ZINC000581083163 325088937 /nfs/dbraw/zinc/08/89/37/325088937.db2.gz UOVFOCHELWTMTR-UHFFFAOYSA-N 0 0 277.280 2.554 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000581760398 325148988 /nfs/dbraw/zinc/14/89/88/325148988.db2.gz JVOLXKWPWJTJDA-GHMZBOCLSA-N 0 0 262.309 2.567 20 5 CFBDRN COc1cc(NCCOCC2CCC2)c(F)cc1[N+](=O)[O-] ZINC000582639742 325221321 /nfs/dbraw/zinc/22/13/21/325221321.db2.gz CHQQGHKSOHREKZ-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(CCOC1CCC1)C2 ZINC000582743519 325231083 /nfs/dbraw/zinc/23/10/83/325231083.db2.gz KNBVTHKPPCYKBE-UHFFFAOYSA-N 0 0 276.336 2.522 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1C1CCC1 ZINC000582847889 325239445 /nfs/dbraw/zinc/23/94/45/325239445.db2.gz BNWPDTOPAXZPOJ-LSDHHAIUSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1nc(N[C@H](C)Cc2ccsc2)ncc1[N+](=O)[O-] ZINC000583065346 325257057 /nfs/dbraw/zinc/25/70/57/325257057.db2.gz KXHUDUGEOPPUHG-MRVPVSSYSA-N 0 0 278.337 2.798 20 5 CFBDRN COC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000583302153 325276396 /nfs/dbraw/zinc/27/63/96/325276396.db2.gz YEZOKGZVSJYKGK-ZDUSSCGKSA-N 0 0 295.339 2.696 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC(C)CC1 ZINC000583406796 325285028 /nfs/dbraw/zinc/28/50/28/325285028.db2.gz WXEMSFDFMVSDHY-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000583568295 325296715 /nfs/dbraw/zinc/29/67/15/325296715.db2.gz FIQCZYRYGSFEIC-KWQFWETISA-N 0 0 287.747 2.852 20 5 CFBDRN Cc1ccc(C(=O)NC2(CF)CCC2)cc1[N+](=O)[O-] ZINC000583695812 325304500 /nfs/dbraw/zinc/30/45/00/325304500.db2.gz SXIRGNLQNYSZCA-UHFFFAOYSA-N 0 0 266.272 2.525 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])nc2)[C@H]2CCCO[C@H]21 ZINC000584206147 325337311 /nfs/dbraw/zinc/33/73/11/325337311.db2.gz DHDMDKVYLZAHPO-RAIGVLPGSA-N 0 0 277.324 2.605 20 5 CFBDRN CCO[C@H]1C[C@H]1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000584211174 325337673 /nfs/dbraw/zinc/33/76/73/325337673.db2.gz SOIKAESDCZVDDP-OCCSQVGLSA-N 0 0 292.335 2.625 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000584242554 325340967 /nfs/dbraw/zinc/34/09/67/325340967.db2.gz XYODGAVBSNXGHP-QMTHXVAHSA-N 0 0 292.335 2.607 20 5 CFBDRN Cn1ccnc1SCc1ccc([N+](=O)[O-])cc1F ZINC000311035110 333904103 /nfs/dbraw/zinc/90/41/03/333904103.db2.gz FOTVCFUTVCWRNW-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN CC(C)OCCCCNc1ccncc1[N+](=O)[O-] ZINC000228561874 519761377 /nfs/dbraw/zinc/76/13/77/519761377.db2.gz BHLKDNMCTWKEQG-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN COc1cccc(NCCOCC2CCC2)c1[N+](=O)[O-] ZINC000572755027 500860679 /nfs/dbraw/zinc/86/06/79/500860679.db2.gz QIQMWHTUVVPANB-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2CCOCC2)c1 ZINC000094659675 500979017 /nfs/dbraw/zinc/97/90/17/500979017.db2.gz RHTOAVKUAMPVKV-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN CCC(CC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360526737 521497816 /nfs/dbraw/zinc/49/78/16/521497816.db2.gz VTERUXTXJRIBJQ-UHFFFAOYSA-N 0 0 264.325 2.956 20 5 CFBDRN C[C@H]1CC[C@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413257902 533751681 /nfs/dbraw/zinc/75/16/81/533751681.db2.gz GFGIHQNEWQXXIY-IUCAKERBSA-N 0 0 253.277 2.754 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413230187 533756632 /nfs/dbraw/zinc/75/66/32/533756632.db2.gz ADPUFPMFSQHPIO-VHSXEESVSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000155262648 533836706 /nfs/dbraw/zinc/83/67/06/533836706.db2.gz VILNXXSDZDCHQE-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN COc1cccc2c1C[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)C2 ZINC000413421473 533882779 /nfs/dbraw/zinc/88/27/79/533882779.db2.gz BBRBKFQPZWZWTD-LBPRGKRZSA-N 0 0 299.330 2.886 20 5 CFBDRN COc1cccc2c1C[C@@H](Nc1ncc([N+](=O)[O-])cc1C)C2 ZINC000413427895 533883907 /nfs/dbraw/zinc/88/39/07/533883907.db2.gz ONPHQLYMBIHKKH-LBPRGKRZSA-N 0 0 299.330 2.886 20 5 CFBDRN COc1cc(Cn2ncc(Cl)c2C)c([N+](=O)[O-])cc1F ZINC000183977941 533962683 /nfs/dbraw/zinc/96/26/83/533962683.db2.gz OJXWCKJBMVIGBS-UHFFFAOYSA-N 0 0 299.689 2.949 20 5 CFBDRN C[C@@H]1C[C@H]1Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413119871 534043793 /nfs/dbraw/zinc/04/37/93/534043793.db2.gz PKBFCDQREJOMLC-SVGQVSJJSA-N 0 0 261.203 2.829 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000413242335 534099534 /nfs/dbraw/zinc/09/95/34/534099534.db2.gz YXTPKQCZDSJDIS-YFKPBYRVSA-N 0 0 267.182 2.739 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413157172 534143012 /nfs/dbraw/zinc/14/30/12/534143012.db2.gz TXUYDRQETZTPLS-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN CC(C)(C(=O)NCC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000341876523 534320678 /nfs/dbraw/zinc/32/06/78/534320678.db2.gz ZGVQQAACIAQLQS-UHFFFAOYSA-N 0 0 276.336 2.789 20 5 CFBDRN CC(=O)c1cc(N(C)Cc2cscn2)ccc1[N+](=O)[O-] ZINC000228259685 518289941 /nfs/dbraw/zinc/28/99/41/518289941.db2.gz OHRKKQIYGMJSMJ-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000048608384 518383256 /nfs/dbraw/zinc/38/32/56/518383256.db2.gz RIMKWDKPJLLIHM-LLVKDONJSA-N 0 0 278.308 2.636 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCS[C@H](C)C1 ZINC000360909510 518411573 /nfs/dbraw/zinc/41/15/73/518411573.db2.gz IAPLSAGSXBYARY-SECBINFHSA-N 0 0 280.349 2.739 20 5 CFBDRN CC(C)(C(=O)N1CCCCC1)c1ccccc1[N+](=O)[O-] ZINC000336343263 518511805 /nfs/dbraw/zinc/51/18/05/518511805.db2.gz RNTXXLDHOHMYFD-UHFFFAOYSA-N 0 0 276.336 2.885 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CCC[C@H]1F)c1ccc([N+](=O)[O-])cc1 ZINC000340795413 518532293 /nfs/dbraw/zinc/53/22/93/518532293.db2.gz XINYSZVSAHSXKI-OLZOCXBDSA-N 0 0 294.326 2.879 20 5 CFBDRN CC=Cc1ccc(NC(=O)c2c[nH]c(=O)c([N+](=O)[O-])c2)cc1 ZINC000360324081 518552661 /nfs/dbraw/zinc/55/26/61/518552661.db2.gz JLISVLYDUYKPEH-NSCUHMNNSA-N 0 0 299.286 2.981 20 5 CFBDRN CC(C)(C)CC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000048826103 518622522 /nfs/dbraw/zinc/62/25/22/518622522.db2.gz YJAHLTGFBITJKM-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN CC(C)(C)CCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000027366547 518651962 /nfs/dbraw/zinc/65/19/62/518651962.db2.gz JISYCECAHAWBDD-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN CC(C)(C)CCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000049248045 518652898 /nfs/dbraw/zinc/65/28/98/518652898.db2.gz GAPZPYMGKXYSAH-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC000340695889 519262802 /nfs/dbraw/zinc/26/28/02/519262802.db2.gz LEGWKYRSQDXVMX-UHFFFAOYSA-N 0 0 287.319 2.631 20 5 CFBDRN CC(C)C[C@H](C)Oc1ccc([N+](=O)[O-])c(CO)c1 ZINC000337438018 519325941 /nfs/dbraw/zinc/32/59/41/519325941.db2.gz IQUCFFBVMSAUII-JTQLQIEISA-N 0 0 253.298 2.901 20 5 CFBDRN CC(=O)N1CCC(CCNc2ccccc2[N+](=O)[O-])CC1 ZINC000301563767 519507282 /nfs/dbraw/zinc/50/72/82/519507282.db2.gz LKPLPIKGDHAJHK-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CC(C)CCCNC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000079491599 519639504 /nfs/dbraw/zinc/63/95/04/519639504.db2.gz NZGMEUDPQYJBLX-UHFFFAOYSA-N 0 0 293.367 2.583 20 5 CFBDRN CC(C)CCN(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000049227996 519651284 /nfs/dbraw/zinc/65/12/84/519651284.db2.gz NMYUXJGBMWUPEO-UHFFFAOYSA-N 0 0 268.342 2.786 20 5 CFBDRN CC(C)CCNC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000078595947 519660880 /nfs/dbraw/zinc/66/08/80/519660880.db2.gz AIJMQQHSLXJIQJ-NSHDSACASA-N 0 0 280.324 2.524 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])NC1CCC1 ZINC000272454332 534449818 /nfs/dbraw/zinc/44/98/18/534449818.db2.gz QWJAOTFDQPZROC-UHFFFAOYSA-N 0 0 297.742 2.642 20 5 CFBDRN CCC1(CNc2c([N+](=O)[O-])ncn2C)CCCC1 ZINC000230187811 519843331 /nfs/dbraw/zinc/84/33/31/519843331.db2.gz NXAJXUPZESZZDV-UHFFFAOYSA-N 0 0 252.318 2.711 20 5 CFBDRN CCC1CCN(c2c([N+](=O)[O-])nc(C)n2CC)CC1 ZINC000360901596 519859794 /nfs/dbraw/zinc/85/97/94/519859794.db2.gz LPPDUGLUWLDMCT-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN CC(C)c1nn(C)cc1Nc1ccc([N+](=O)[O-])nc1 ZINC000311593597 519883667 /nfs/dbraw/zinc/88/36/67/519883667.db2.gz YGJMRJREZZFPRJ-UHFFFAOYSA-N 0 0 261.285 2.590 20 5 CFBDRN CC(C)n1c(N)nnc1SCc1ccccc1[N+](=O)[O-] ZINC000062801118 519921808 /nfs/dbraw/zinc/92/18/08/519921808.db2.gz JPLWVBBHHINWNM-UHFFFAOYSA-N 0 0 293.352 2.642 20 5 CFBDRN CCN(C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)[C@@H]1CCOC1 ZINC000361668905 519996344 /nfs/dbraw/zinc/99/63/44/519996344.db2.gz CJDXVXTUFDEOKI-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN CC(C)n1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000313941913 520010942 /nfs/dbraw/zinc/01/09/42/520010942.db2.gz OLYIEQIGOROQPV-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN CCN(C)C(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334636608 520181033 /nfs/dbraw/zinc/18/10/33/520181033.db2.gz ZCEIAPDMAAHFBC-CYBMUJFWSA-N 0 0 291.351 2.846 20 5 CFBDRN Cc1nc(NC(=O)c2ccccc2[N+](=O)[O-])cc(C2CC2)n1 ZINC000160220811 534484278 /nfs/dbraw/zinc/48/42/78/534484278.db2.gz SJJKAYQEGAQHOW-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CCO[C@@H]1COCC[C@H]1Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360082661 520334472 /nfs/dbraw/zinc/33/44/72/520334472.db2.gz MSQDLCIRPFBAPB-BXUZGUMPSA-N 0 0 298.314 2.648 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000061803272 520373382 /nfs/dbraw/zinc/37/33/82/520373382.db2.gz BWBDTULYNAZSBJ-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CCN(CC(C)C)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000173387318 520405706 /nfs/dbraw/zinc/40/57/06/520405706.db2.gz ZRHNHPNYGDWQDH-UHFFFAOYSA-N 0 0 293.367 2.536 20 5 CFBDRN CC1(C)CC[C@H]1Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000312389118 520411611 /nfs/dbraw/zinc/41/16/11/520411611.db2.gz COOQKJCXBGXQDM-LLVKDONJSA-N 0 0 298.364 2.599 20 5 CFBDRN CCN(CC(F)F)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000182461081 520428297 /nfs/dbraw/zinc/42/82/97/520428297.db2.gz XQQAQCKELLLZIW-UHFFFAOYSA-N 0 0 272.251 2.630 20 5 CFBDRN CC1(C)C[C@H]1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000226235174 520567675 /nfs/dbraw/zinc/56/76/75/520567675.db2.gz UCVAEIXUIIEBKY-ZETCQYMHSA-N 0 0 266.326 2.762 20 5 CFBDRN CC1(C)C[C@H]1CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000226234657 520567858 /nfs/dbraw/zinc/56/78/58/520567858.db2.gz OHARHBTYOZGRRJ-JTQLQIEISA-N 0 0 289.335 2.938 20 5 CFBDRN CC1(C)[C@H](Nc2ccnc3c2cccc3[N+](=O)[O-])C[C@@H]1O ZINC000128964929 520674436 /nfs/dbraw/zinc/67/44/36/520674436.db2.gz TUWYKSCOFYVBQE-OLZOCXBDSA-N 0 0 287.319 2.714 20 5 CFBDRN CCOc1cc(N(C)CCCCCO)ccc1[N+](=O)[O-] ZINC000227110529 520747840 /nfs/dbraw/zinc/74/78/40/520747840.db2.gz RAYKGCWHXKNOHK-UHFFFAOYSA-N 0 0 282.340 2.592 20 5 CFBDRN CCOC(=O)CN(Cc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000049572966 520768669 /nfs/dbraw/zinc/76/86/69/520768669.db2.gz OBSJVBNWJWXWQJ-UHFFFAOYSA-N 0 0 294.351 2.677 20 5 CFBDRN CCOC(=O)C[C@@H](C)N(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000360080654 520824495 /nfs/dbraw/zinc/82/44/95/520824495.db2.gz AHSQIHNPHNWCDW-SNVBAGLBSA-N 0 0 298.314 2.820 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000360066800 521005402 /nfs/dbraw/zinc/00/54/02/521005402.db2.gz SHSRJAGNKCRPSZ-VIFPVBQESA-N 0 0 284.287 2.653 20 5 CFBDRN CCC[C@H](CC)Nc1c([N+](=O)[O-])c(CC)nn1C ZINC000091575910 521084877 /nfs/dbraw/zinc/08/48/77/521084877.db2.gz NWJQVRDCMHWGJD-VIFPVBQESA-N 0 0 254.334 2.881 20 5 CFBDRN CCOC(=O)[C@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000054614290 521304018 /nfs/dbraw/zinc/30/40/18/521304018.db2.gz CIJOTCUMVRKEBK-JTQLQIEISA-N 0 0 296.298 2.513 20 5 CFBDRN CCC(C)(C)NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000360923637 521321035 /nfs/dbraw/zinc/32/10/35/521321035.db2.gz MDMVLYRVIFXQHI-UHFFFAOYSA-N 0 0 286.278 2.720 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CCCCCCC2)c1[N+](=O)[O-] ZINC000068331491 521327161 /nfs/dbraw/zinc/32/71/61/521327161.db2.gz VFHCEQYRBITSOD-UHFFFAOYSA-N 0 0 294.355 2.677 20 5 CFBDRN CCCc1cc(NC(=O)c2c(C)cccc2[N+](=O)[O-])n[nH]1 ZINC000228362428 521377061 /nfs/dbraw/zinc/37/70/61/521377061.db2.gz WNGSXQMRXBGWCZ-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CCOc1ccccc1N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000339190726 521510627 /nfs/dbraw/zinc/51/06/27/521510627.db2.gz OPSBBERZJWBURG-UHFFFAOYSA-N 0 0 289.291 2.598 20 5 CFBDRN CCOC(C)(C)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000159536505 521627680 /nfs/dbraw/zinc/62/76/80/521627680.db2.gz MTJYPFKPUNXVEZ-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN COCCSCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000074457174 521778823 /nfs/dbraw/zinc/77/88/23/521778823.db2.gz BXMOQDOQTLSRIT-UHFFFAOYSA-N 0 0 295.320 2.524 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341010728 521811262 /nfs/dbraw/zinc/81/12/62/521811262.db2.gz HQGHVAUTTXOQTR-NWDGAFQWSA-N 0 0 293.367 2.871 20 5 CFBDRN CNC(=O)c1ccc(NC[C@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000230434966 521848180 /nfs/dbraw/zinc/84/81/80/521848180.db2.gz DUAYTTWEXWOVQM-MNOVXSKESA-N 0 0 291.351 2.803 20 5 CFBDRN COCC[C@@H]1CCCCN(c2ccncc2[N+](=O)[O-])C1 ZINC000361007693 521873868 /nfs/dbraw/zinc/87/38/68/521873868.db2.gz RFXIPANKRDBRPK-LBPRGKRZSA-N 0 0 279.340 2.633 20 5 CFBDRN CNC(=O)c1cccc(NCc2cccc([N+](=O)[O-])c2)c1C ZINC000078995711 521910497 /nfs/dbraw/zinc/91/04/97/521910497.db2.gz JQJIDCFVNISVGP-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN COCCc1ccc(Oc2ccc([N+](=O)[O-])nc2)cc1 ZINC000339953359 522014520 /nfs/dbraw/zinc/01/45/20/522014520.db2.gz OSMWBYXEPQUVDK-UHFFFAOYSA-N 0 0 274.276 2.971 20 5 CFBDRN CCc1cnc(CNC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000081207433 522035629 /nfs/dbraw/zinc/03/56/29/522035629.db2.gz NXHDDZXEHFYPNZ-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN CCc1cnc(CNc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000228870882 522043039 /nfs/dbraw/zinc/04/30/39/522043039.db2.gz BRMGCODROMHUDF-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN COc1cc(C(=O)Nc2ccccn2)cc([N+](=O)[O-])c1C ZINC000191671861 522160914 /nfs/dbraw/zinc/16/09/14/522160914.db2.gz YQAIUEDZXIEIOX-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCc1nc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c(C)o1 ZINC000361029066 522173732 /nfs/dbraw/zinc/17/37/32/522173732.db2.gz QMTHQOJNTBCXKQ-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Sc2cccs2)n1 ZINC000166886510 522178513 /nfs/dbraw/zinc/17/85/13/522178513.db2.gz SHDFSKGLFZMASL-UHFFFAOYSA-N 0 0 296.329 2.989 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCC2CC2)c1 ZINC000229511374 522178564 /nfs/dbraw/zinc/17/85/64/522178564.db2.gz CTINQTUBKOWVJD-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000302994834 522293387 /nfs/dbraw/zinc/29/33/87/522293387.db2.gz JVFKCACDRYYNTC-NXEZZACHSA-N 0 0 250.298 2.759 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000360064573 522325928 /nfs/dbraw/zinc/32/59/28/522325928.db2.gz CVYSWKXFACCYTK-OQPBUACISA-N 0 0 270.304 2.861 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000361845096 522330204 /nfs/dbraw/zinc/33/02/04/522330204.db2.gz YNBMTIIGAUAKID-NOZJJQNGSA-N 0 0 280.324 2.903 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000057301178 522357818 /nfs/dbraw/zinc/35/78/18/522357818.db2.gz HTYYKLDYJLNSCV-ZJUUUORDSA-N 0 0 280.324 2.768 20 5 CFBDRN CC(C)(C)C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000457010702 534631616 /nfs/dbraw/zinc/63/16/16/534631616.db2.gz HXKABZQAYMDFPC-JTQLQIEISA-N 0 0 279.340 2.821 20 5 CFBDRN COC(C)(C)CCNc1cc(F)cc([N+](=O)[O-])c1 ZINC000229549314 522476756 /nfs/dbraw/zinc/47/67/56/522476756.db2.gz INFZSOSXCYCEOL-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN CNc1c(C(=O)NC2CCC(C)CC2)cccc1[N+](=O)[O-] ZINC000161409814 522536649 /nfs/dbraw/zinc/53/66/49/522536649.db2.gz MPIXSKPCAHBUAW-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN CNc1c(C(=O)NCCCC(C)C)cccc1[N+](=O)[O-] ZINC000161480137 522537121 /nfs/dbraw/zinc/53/71/21/522537121.db2.gz SWJZDLBLAJYGEQ-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN CNc1c(C(=O)Nc2cc(C)ns2)cccc1[N+](=O)[O-] ZINC000361318367 522541770 /nfs/dbraw/zinc/54/17/70/522541770.db2.gz KSXPVIXFPOPMEM-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN COC[C@H](C)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213992575 522583696 /nfs/dbraw/zinc/58/36/96/522583696.db2.gz MNKFKXFVQZUWOY-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000050770844 522584226 /nfs/dbraw/zinc/58/42/26/522584226.db2.gz JENYFRYAHNYCCX-WDEREUQCSA-N 0 0 291.351 2.897 20 5 CFBDRN CC[C@@H](COC)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000079745082 522598097 /nfs/dbraw/zinc/59/80/97/522598097.db2.gz JHUUARRAGWYYBC-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN COc1cc(N2CCCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000361828914 522631986 /nfs/dbraw/zinc/63/19/86/522631986.db2.gz HGHVCPRMMYTVNZ-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000311152664 522636184 /nfs/dbraw/zinc/63/61/84/522636184.db2.gz JLTAUKHWYJTSLL-UHFFFAOYSA-N 0 0 250.298 2.966 20 5 CFBDRN Cc1cnc([C@H](C)NC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000177928722 534656540 /nfs/dbraw/zinc/65/65/40/534656540.db2.gz FVXWOAZIGKOGBA-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1cnc([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000177946396 534656618 /nfs/dbraw/zinc/65/66/18/534656618.db2.gz YVGBAVNLGQNZRJ-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN CC[C@H](N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000181831569 522695516 /nfs/dbraw/zinc/69/55/16/522695516.db2.gz DMVULZOYOYXFKJ-JQWIXIFHSA-N 0 0 280.324 2.505 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@@H](O)C2)c(F)cc1[N+](=O)[O-] ZINC000218862562 522745813 /nfs/dbraw/zinc/74/58/13/522745813.db2.gz MZRCDXSBJDJCED-VHSXEESVSA-N 0 0 298.314 2.706 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@@H](OC)C2)c(F)cc1[N+](=O)[O-] ZINC000231682953 522761856 /nfs/dbraw/zinc/76/18/56/522761856.db2.gz UBPCAYYAPPZFJN-RKDXNWHRSA-N 0 0 284.287 2.722 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000340890377 522762799 /nfs/dbraw/zinc/76/27/99/522762799.db2.gz ZDOCSPFFOOSCRS-DTWKUNHWSA-N 0 0 254.261 2.906 20 5 CFBDRN COc1cc(N[C@H]2CC[C@H](OC)C2)c(F)cc1[N+](=O)[O-] ZINC000231682960 522766896 /nfs/dbraw/zinc/76/68/96/522766896.db2.gz UBPCAYYAPPZFJN-IUCAKERBSA-N 0 0 284.287 2.722 20 5 CFBDRN COc1cc(Nc2ccc([N+](=O)[O-])nc2)cc(OC)c1 ZINC000339962970 522770083 /nfs/dbraw/zinc/77/00/83/522770083.db2.gz PTAJGOMWVOCCTH-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)c1ncc[nH]1 ZINC000140523399 522783215 /nfs/dbraw/zinc/78/32/15/522783215.db2.gz CHBNTURGLCSTFM-LLVKDONJSA-N 0 0 288.307 2.507 20 5 CFBDRN CC[C@H](O)CCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000361079558 522790538 /nfs/dbraw/zinc/79/05/38/522790538.db2.gz LJHHJFXOJZKVKA-JTQLQIEISA-N 0 0 256.277 2.697 20 5 CFBDRN COC(=O)C(C)(C)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000305213765 522793021 /nfs/dbraw/zinc/79/30/21/522793021.db2.gz HDCIZIKOPTWOGL-UHFFFAOYSA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1cncc(/C=C/C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000178524120 534673574 /nfs/dbraw/zinc/67/35/74/534673574.db2.gz HWECOXULMVAURH-VOTSOKGWSA-N 0 0 297.314 2.628 20 5 CFBDRN COCCC1(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000182432064 523018495 /nfs/dbraw/zinc/01/84/95/523018495.db2.gz PNDRDLDSAISYTH-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1cncc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c1 ZINC000176590389 534683120 /nfs/dbraw/zinc/68/31/20/534683120.db2.gz CNYAJSYIGBZOKZ-QPJJXVBHSA-N 0 0 283.287 2.950 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)CCC(F)(F)F ZINC000360948986 523141650 /nfs/dbraw/zinc/14/16/50/523141650.db2.gz FORZQPRVHOEBHF-UHFFFAOYSA-N 0 0 280.250 2.508 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CC[C@@H](C)C1 ZINC000311771535 523142827 /nfs/dbraw/zinc/14/28/27/523142827.db2.gz WDDMRSFSIICVDO-MWLCHTKSSA-N 0 0 266.345 2.968 20 5 CFBDRN CN(Cc1c(F)cccc1F)c1ncccc1[N+](=O)[O-] ZINC000338794471 523156395 /nfs/dbraw/zinc/15/63/95/523156395.db2.gz XOUJYGNBOSFNMO-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN COCc1nc(COc2ccc([N+](=O)[O-])cc2F)cs1 ZINC000360863992 523208527 /nfs/dbraw/zinc/20/85/27/523208527.db2.gz FKBWBWUXILBMQC-UHFFFAOYSA-N 0 0 298.295 2.916 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000336176742 523242972 /nfs/dbraw/zinc/24/29/72/523242972.db2.gz KXOMWUZGPHRPGQ-LLVKDONJSA-N 0 0 279.340 2.586 20 5 CFBDRN CN(Cc1ccc(F)cc1F)c1ccncc1[N+](=O)[O-] ZINC000357036685 523261171 /nfs/dbraw/zinc/26/11/71/523261171.db2.gz JPCDAGBCGFAEOG-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000354267906 523304201 /nfs/dbraw/zinc/30/42/01/523304201.db2.gz JYMHKHNCJAQKBA-ZJUUUORDSA-N 0 0 265.313 2.622 20 5 CFBDRN COCCCNc1ccc([N+](=O)[O-])cc1Br ZINC000049844110 523379316 /nfs/dbraw/zinc/37/93/16/523379316.db2.gz CCBMNDYYBRNKNM-UHFFFAOYSA-N 0 0 289.129 2.806 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C[C@@H]1C=CCC1 ZINC000193231494 523388762 /nfs/dbraw/zinc/38/87/62/523388762.db2.gz UPZDQDQUSXASGP-GFCCVEGCSA-N 0 0 274.320 2.910 20 5 CFBDRN CCn1cc(Nc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000310743880 523438029 /nfs/dbraw/zinc/43/80/29/523438029.db2.gz IEWVOPITDCTZPQ-UHFFFAOYSA-N 0 0 250.233 2.694 20 5 CFBDRN Cc1cnccc1CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000188845008 534708939 /nfs/dbraw/zinc/70/89/39/534708939.db2.gz BYRRCIRAFAPLGP-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CCn1cc(Nc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000059266231 523441420 /nfs/dbraw/zinc/44/14/20/523441420.db2.gz PLYYWTMROLPIQP-UHFFFAOYSA-N 0 0 250.233 2.694 20 5 CFBDRN COCCC[C@@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360515748 523454612 /nfs/dbraw/zinc/45/46/12/523454612.db2.gz PYKDLIFQCMEVLL-LLVKDONJSA-N 0 0 294.351 2.582 20 5 CFBDRN COCCC[C@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000182527202 523482072 /nfs/dbraw/zinc/48/20/72/523482072.db2.gz KFXGCNIKOOJUQC-NWDGAFQWSA-N 0 0 294.351 2.835 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000182569428 523564477 /nfs/dbraw/zinc/56/44/77/523564477.db2.gz AFHHYMKDGBCVHW-ZWNOBZJWSA-N 0 0 278.308 2.739 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182414825 523564625 /nfs/dbraw/zinc/56/46/25/523564625.db2.gz CBEKPWDDZZBZRS-TZMCWYRMSA-N 0 0 293.319 2.843 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000340879559 523653252 /nfs/dbraw/zinc/65/32/52/523653252.db2.gz KTWIWCMMQHREDV-GXFFZTMASA-N 0 0 293.323 2.531 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC[C@H]1CCCCO1 ZINC000192769665 523668293 /nfs/dbraw/zinc/66/82/93/523668293.db2.gz DSZSUFFDKLLNNU-GFCCVEGCSA-N 0 0 296.371 2.881 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H](OC)C(C)(C)C ZINC000192640239 523668614 /nfs/dbraw/zinc/66/86/14/523668614.db2.gz INESNIDRWZNRRI-SNVBAGLBSA-N 0 0 284.360 2.593 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1nc(C)c(C)s1 ZINC000192638703 523668870 /nfs/dbraw/zinc/66/88/70/523668870.db2.gz CFNVQGAEOXCPFD-UHFFFAOYSA-N 0 0 295.368 2.805 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(CC)CCOCC1 ZINC000313918939 523669191 /nfs/dbraw/zinc/66/91/91/523669191.db2.gz VYTHVABVYWBHAT-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN CCn1nccc1CN(C)c1c(F)cccc1[N+](=O)[O-] ZINC000340896687 523725082 /nfs/dbraw/zinc/72/50/82/523725082.db2.gz HYICQMWJBFDECS-UHFFFAOYSA-N 0 0 278.287 2.587 20 5 CFBDRN CO[C@@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC[C@@H]1C ZINC000340895231 523740314 /nfs/dbraw/zinc/74/03/14/523740314.db2.gz HATZKEBHSRPLDG-ZUZCIYMTSA-N 0 0 292.335 2.659 20 5 CFBDRN CO[C@@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC[C@H]1C ZINC000340895229 523741083 /nfs/dbraw/zinc/74/10/83/523741083.db2.gz HATZKEBHSRPLDG-MEBBXXQBSA-N 0 0 292.335 2.659 20 5 CFBDRN COc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)cc1 ZINC000339194759 523754118 /nfs/dbraw/zinc/75/41/18/523754118.db2.gz NUQZKKUNTYVOQK-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CCc1cc(O)ccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000191072518 523828556 /nfs/dbraw/zinc/82/85/56/523828556.db2.gz MQMNGXYGSXWRPV-UHFFFAOYSA-N 0 0 276.248 2.708 20 5 CFBDRN CN(C(=O)[C@H]1Cc2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000336155250 523913234 /nfs/dbraw/zinc/91/32/34/523913234.db2.gz ZLHQGDJKPQUXLQ-HNNXBMFYSA-N 0 0 282.299 2.898 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000050748046 523934759 /nfs/dbraw/zinc/93/47/59/523934759.db2.gz POSULSOFGXXXCH-JTQLQIEISA-N 0 0 279.340 2.897 20 5 CFBDRN CC[C@H](C)N(CCO)c1cc(C)c([N+](=O)[O-])cc1F ZINC000311933344 523938130 /nfs/dbraw/zinc/93/81/30/523938130.db2.gz GQEUHMIEMWITOB-JTQLQIEISA-N 0 0 270.304 2.640 20 5 CFBDRN CN(C(=O)c1ccncc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000073846269 523954597 /nfs/dbraw/zinc/95/45/97/523954597.db2.gz DLDRDGBKWCUZKE-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN COc1ccc(CCNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000054614038 523978864 /nfs/dbraw/zinc/97/88/64/523978864.db2.gz NKOAUGUKLBBINT-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CC(=O)Nc1ccc(Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000341718185 534746267 /nfs/dbraw/zinc/74/62/67/534746267.db2.gz WBMMHVJKHFGPTD-UHFFFAOYSA-N 0 0 272.264 2.692 20 5 CFBDRN COCCOCCCCNc1ccc([N+](=O)[O-])cc1C ZINC000080609615 523991924 /nfs/dbraw/zinc/99/19/24/523991924.db2.gz DGVKQMDPNGTYMI-UHFFFAOYSA-N 0 0 282.340 2.758 20 5 CFBDRN CCc1ccc(Oc2cc(Cl)nc(N)n2)c([N+](=O)[O-])c1 ZINC000083630026 524009433 /nfs/dbraw/zinc/00/94/33/524009433.db2.gz PYNDXFRTZLWQSO-UHFFFAOYSA-N 0 0 294.698 2.975 20 5 CFBDRN CNC(=O)C[C@@H](Nc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000360272167 524075603 /nfs/dbraw/zinc/07/56/03/524075603.db2.gz BKQBMCKPQJFPDA-CQSZACIVSA-N 0 0 299.330 2.884 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000054614131 524101680 /nfs/dbraw/zinc/10/16/80/524101680.db2.gz VRDIIJNFYZPLAZ-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])nc2)cc1OC ZINC000054614349 524101865 /nfs/dbraw/zinc/10/18/65/524101865.db2.gz FLAHGFVYMAZEFR-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN Cc1cccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000049412919 524198513 /nfs/dbraw/zinc/19/85/13/524198513.db2.gz NXOJASXMWTUBIP-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN C[C@H]1CCN(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])C1 ZINC000336089374 524247899 /nfs/dbraw/zinc/24/78/99/524247899.db2.gz HPWLYHYGBORUHN-NSHDSACASA-N 0 0 291.351 2.881 20 5 CFBDRN Cc1cc(C)c(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)c(C)c1 ZINC000340647563 524270661 /nfs/dbraw/zinc/27/06/61/524270661.db2.gz XPCCSLDPQQXBOV-UHFFFAOYSA-N 0 0 287.319 2.778 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2c([N+](=O)[O-])ncn2C)[C@H]1C ZINC000360276036 524295387 /nfs/dbraw/zinc/29/53/87/524295387.db2.gz UPJWDDXMSAKSKZ-VWYCJHECSA-N 0 0 266.345 2.813 20 5 CFBDRN C[C@H](CN(C)c1ccncc1[N+](=O)[O-])c1nccs1 ZINC000361059583 524303240 /nfs/dbraw/zinc/30/32/40/524303240.db2.gz GYKINPFCYSBHHO-SECBINFHSA-N 0 0 278.337 2.686 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050703474 524317655 /nfs/dbraw/zinc/31/76/55/524317655.db2.gz YGFXRBOYPGDJCJ-ZETCQYMHSA-N 0 0 297.742 2.701 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCCC(=O)OC(C)C)n1 ZINC000360864595 524336740 /nfs/dbraw/zinc/33/67/40/524336740.db2.gz OHARNEIDWBTLCR-UHFFFAOYSA-N 0 0 295.339 2.750 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000336159904 524371935 /nfs/dbraw/zinc/37/19/35/524371935.db2.gz IANOCNFFIPXPKQ-XVKPBYJWSA-N 0 0 267.260 2.654 20 5 CFBDRN C[C@@H](CC(C)(C)O)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000295049148 524405883 /nfs/dbraw/zinc/40/58/83/524405883.db2.gz UJIPYZXNTSWDHE-QMMMGPOBSA-N 0 0 256.277 2.695 20 5 CFBDRN COc1ccccc1CCNc1ncc([N+](=O)[O-])cc1C ZINC000219659067 524424615 /nfs/dbraw/zinc/42/46/15/524424615.db2.gz NLDHEYXBZCHTQC-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000336417836 524453389 /nfs/dbraw/zinc/45/33/89/524453389.db2.gz KJDRTKNRRBFIND-SNVBAGLBSA-N 0 0 298.289 2.641 20 5 CFBDRN Cc1cccc(Cn2cnc3cc([N+](=O)[O-])ccc3c2=O)c1 ZINC000058104529 524495255 /nfs/dbraw/zinc/49/52/55/524495255.db2.gz OVTFAXFZNIWTOB-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C[C@H]1C ZINC000336055329 524506695 /nfs/dbraw/zinc/50/66/95/524506695.db2.gz KHDSTFIYJNJYEL-DTORHVGOSA-N 0 0 281.287 2.854 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)c2ccccc21 ZINC000076337748 524535925 /nfs/dbraw/zinc/53/59/25/524535925.db2.gz QTUQFLAIYRPZSG-VIFPVBQESA-N 0 0 272.260 2.952 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)C1 ZINC000336480138 524552456 /nfs/dbraw/zinc/55/24/56/524552456.db2.gz OZCKTBYHMVRXIL-GHMZBOCLSA-N 0 0 262.309 2.994 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000360388682 524571765 /nfs/dbraw/zinc/57/17/65/524571765.db2.gz LKGZUMFZGIIBMU-SNVBAGLBSA-N 0 0 291.307 2.834 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000158404589 524610016 /nfs/dbraw/zinc/61/00/16/524610016.db2.gz UJOMNFAVAMQKBU-MWLCHTKSSA-N 0 0 263.297 2.905 20 5 CFBDRN Cc1cc(CN(C)CCc2ccc([N+](=O)[O-])cc2)no1 ZINC000076941237 524660591 /nfs/dbraw/zinc/66/05/91/524660591.db2.gz WJBRBIZLPMKCCZ-UHFFFAOYSA-N 0 0 275.308 2.566 20 5 CFBDRN Cc1ccc(C[C@@H](C)Nc2c([N+](=O)[O-])ncn2C)s1 ZINC000078003881 524663187 /nfs/dbraw/zinc/66/31/87/524663187.db2.gz NCKKEKRHOXRXSS-MRVPVSSYSA-N 0 0 280.353 2.741 20 5 CFBDRN Cc1cccc(NCCCN2CCCCC2=O)c1[N+](=O)[O-] ZINC000338665312 524672700 /nfs/dbraw/zinc/67/27/00/524672700.db2.gz JVJHGFPQFMHGSK-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN Cc1cccc(NC[C@@H]2CCC[C@H](O)C2)c1[N+](=O)[O-] ZINC000218859437 524680503 /nfs/dbraw/zinc/68/05/03/524680503.db2.gz VJJXYWLJOYOIJW-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000157359216 524700371 /nfs/dbraw/zinc/70/03/71/524700371.db2.gz GZXCAAVKUAYJEL-BDAKNGLRSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000226191010 524705923 /nfs/dbraw/zinc/70/59/23/524705923.db2.gz ODIROPADFCKDNH-SCVCMEIPSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1cccc(OCc2ncnn2C(C)(C)C)c1[N+](=O)[O-] ZINC000337775955 524767595 /nfs/dbraw/zinc/76/75/95/524767595.db2.gz XUHUUNJDMCGIFA-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCO1 ZINC000334009199 524798957 /nfs/dbraw/zinc/79/89/57/524798957.db2.gz XJCUYMOKJPGGFB-KWQFWETISA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccc(F)cc1Cn1ccc(C)c([N+](=O)[O-])c1=O ZINC000336427042 524855693 /nfs/dbraw/zinc/85/56/93/524855693.db2.gz YXSSRICNBXRFES-UHFFFAOYSA-N 0 0 276.267 2.561 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)[C@H]2CCCC[C@@H]12 ZINC000360548755 524867612 /nfs/dbraw/zinc/86/76/12/524867612.db2.gz XPYWFPMMUYEVHG-WOPDTQHZSA-N 0 0 291.351 2.584 20 5 CFBDRN C[C@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)c1cncs1 ZINC000340895445 524872096 /nfs/dbraw/zinc/87/20/96/524872096.db2.gz FIJFZTMYKMSPNY-ZETCQYMHSA-N 0 0 289.320 2.523 20 5 CFBDRN Cc1cc(Cl)cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1O ZINC000340326048 524877141 /nfs/dbraw/zinc/87/71/41/524877141.db2.gz DGQWIRMITFOGGN-UHFFFAOYSA-N 0 0 295.682 2.843 20 5 CFBDRN COc1ccc(OCCC(C)(C)OC)c([N+](=O)[O-])c1 ZINC000340643481 524940890 /nfs/dbraw/zinc/94/08/90/524940890.db2.gz VNRMHJXXXYKVCL-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN COc1csc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000080861842 524945939 /nfs/dbraw/zinc/94/59/39/524945939.db2.gz OZXAXZZHDUKCTB-UHFFFAOYSA-N 0 0 292.316 2.942 20 5 CFBDRN C[C@H]1C[C@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000049228459 524957074 /nfs/dbraw/zinc/95/70/74/524957074.db2.gz VEMDRFZWXSHUSB-YUMQZZPRSA-N 0 0 251.242 2.571 20 5 CFBDRN Cc1cc(N(C)[C@H](C)c2cnn(C)c2)c(F)cc1[N+](=O)[O-] ZINC000360069804 525031684 /nfs/dbraw/zinc/03/16/84/525031684.db2.gz FTQFXNPZTGJPCL-SNVBAGLBSA-N 0 0 292.314 2.973 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000302029229 525141615 /nfs/dbraw/zinc/14/16/15/525141615.db2.gz QHPFXAWWQIFRMB-LDYMZIIASA-N 0 0 254.261 2.571 20 5 CFBDRN Cc1ccc(NC(=O)N2[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000334628578 525148612 /nfs/dbraw/zinc/14/86/12/525148612.db2.gz GBSHPQVMEIRKMF-UWVGGRQHSA-N 0 0 263.297 2.918 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000337875036 525152422 /nfs/dbraw/zinc/15/24/22/525152422.db2.gz QBGDQOYAWUWMID-WHGOUJPWSA-N 0 0 284.262 2.673 20 5 CFBDRN C[C@](NC(=O)c1ccc([N+](=O)[O-])o1)(C1CC1)C(F)(F)F ZINC000361228992 525153874 /nfs/dbraw/zinc/15/38/74/525153874.db2.gz LLVLVZCCHXULMQ-JTQLQIEISA-N 0 0 292.213 2.649 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000336410711 525216134 /nfs/dbraw/zinc/21/61/34/525216134.db2.gz BHXWZPLFZDVSJX-RKDXNWHRSA-N 0 0 282.727 2.800 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1cccc(O)c1 ZINC000069429235 525222851 /nfs/dbraw/zinc/22/28/51/525222851.db2.gz JRRQYGNTVRNLHV-QMMMGPOBSA-N 0 0 292.316 2.853 20 5 CFBDRN Cc1cc(NCC[C@@H](C)[S@](C)=O)c(F)cc1[N+](=O)[O-] ZINC000312046224 525270067 /nfs/dbraw/zinc/27/00/67/525270067.db2.gz ZESTZYQQJREECI-HOGDKLEQSA-N 0 0 288.344 2.611 20 5 CFBDRN Cc1cc(NCC[C@H](O)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000338292999 525272840 /nfs/dbraw/zinc/27/28/40/525272840.db2.gz FEMFAQRAURFBSL-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN Cc1cc(NC[C@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000086015911 525274861 /nfs/dbraw/zinc/27/48/61/525274861.db2.gz JGLWMGDONKLWGC-BXUZGUMPSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@]1(F)CCN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000334430196 525280931 /nfs/dbraw/zinc/28/09/31/525280931.db2.gz JJFOQPUGOYDHNF-LBPRGKRZSA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1cc(NCc2cc[nH]c(=O)c2)c(F)cc1[N+](=O)[O-] ZINC000360067230 525282759 /nfs/dbraw/zinc/28/27/59/525282759.db2.gz VQOBKZLHQGFAEB-UHFFFAOYSA-N 0 0 277.255 2.755 20 5 CFBDRN Cc1cc(NCc2ncccc2O)c(F)cc1[N+](=O)[O-] ZINC000360068649 525282983 /nfs/dbraw/zinc/28/29/83/525282983.db2.gz ARCBLFRRQGRANU-UHFFFAOYSA-N 0 0 277.255 2.755 20 5 CFBDRN Cc1cc(N[C@]2(C)CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000230100326 525305059 /nfs/dbraw/zinc/30/50/59/525305059.db2.gz DUPWGAXKLWIZRQ-GXFFZTMASA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@@H](NC(=O)c1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000049217382 525367996 /nfs/dbraw/zinc/36/79/96/525367996.db2.gz ONUQPBWBWBDZDQ-SECBINFHSA-N 0 0 260.249 2.679 20 5 CFBDRN Cc1cc(OCCOCC2CC2)ccc1[N+](=O)[O-] ZINC000185466872 525372025 /nfs/dbraw/zinc/37/20/25/525372025.db2.gz CNMWPASFQVXAGF-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1cncs1 ZINC000338921063 525378751 /nfs/dbraw/zinc/37/87/51/525378751.db2.gz SPLNLUIVZISUGP-ZCFIWIBFSA-N 0 0 283.334 2.604 20 5 CFBDRN CSCCC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182420643 525378867 /nfs/dbraw/zinc/37/88/67/525378867.db2.gz QMMUZPOPCPIIIY-UHFFFAOYSA-N 0 0 254.311 2.595 20 5 CFBDRN Cc1ccc(OCC2(O)CCCC2)c([N+](=O)[O-])c1 ZINC000087464137 525399175 /nfs/dbraw/zinc/39/91/75/525399175.db2.gz LNTZAGXMELUHGE-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN Cc1nc(NCC[C@@H]2C[C@@H](C)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000338484964 525402499 /nfs/dbraw/zinc/40/24/99/525402499.db2.gz PWBNJTUHPYQROY-PTEHBNRSSA-N 0 0 293.367 2.726 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCc2ccsc2)c1 ZINC000049030570 525449304 /nfs/dbraw/zinc/44/93/04/525449304.db2.gz QSQUASSFEDYQKD-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1F)c1cccnc1 ZINC000313624983 525450848 /nfs/dbraw/zinc/45/08/48/525450848.db2.gz GGQPHZIEEFSJGH-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@@H]2OC)c1 ZINC000338692612 525452509 /nfs/dbraw/zinc/45/25/09/525452509.db2.gz KLWUTHPVFPRCRW-YGRLFVJLSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cn3ccccc3n2)c1 ZINC000338638239 525452890 /nfs/dbraw/zinc/45/28/90/525452890.db2.gz SMUSFICYFZUPPT-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@@H](C)C1 ZINC000157166197 525458081 /nfs/dbraw/zinc/45/80/81/525458081.db2.gz URYRKVJRYRNVKC-ZJUUUORDSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCSC1 ZINC000361335836 525467472 /nfs/dbraw/zinc/46/74/72/525467472.db2.gz MVXPYBAPRMOIPH-SECBINFHSA-N 0 0 296.348 2.685 20 5 CFBDRN Cc1cccnc1C1(CNc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000366116204 525494320 /nfs/dbraw/zinc/49/43/20/525494320.db2.gz IWMMIEDFFUWLHO-UHFFFAOYSA-N 0 0 298.346 2.567 20 5 CFBDRN Cc1cc([C@@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)cs1 ZINC000364392176 525496601 /nfs/dbraw/zinc/49/66/01/525496601.db2.gz YEYDESKJJAXVQM-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN Cc1cccnc1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000243981116 525520788 /nfs/dbraw/zinc/52/07/88/525520788.db2.gz SDELBZULSUQSJX-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@@H]1[C@H]2COC[C@H]21 ZINC000367734264 525556566 /nfs/dbraw/zinc/55/65/66/525556566.db2.gz NHKZVTRZZSEKFX-RTCCRHLQSA-N 0 0 282.727 2.861 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(F)(F)C1 ZINC000335245850 525569176 /nfs/dbraw/zinc/56/91/76/525569176.db2.gz PJZFHVVRTDQUEI-UHFFFAOYSA-N 0 0 285.250 2.776 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCC1CCOCC1 ZINC000311436270 525577168 /nfs/dbraw/zinc/57/71/68/525577168.db2.gz TVUPVUGLSQVEST-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)c1ccccn1 ZINC000052812323 525578864 /nfs/dbraw/zinc/57/88/64/525578864.db2.gz KODCALHZOMWMMJ-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN C[C@@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000150069782 525594086 /nfs/dbraw/zinc/59/40/86/525594086.db2.gz MSMLVZKWIGMTKB-GXSJLCMTSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1ccnc(Nc2cnn(C(F)F)c2)c1[N+](=O)[O-] ZINC000361672277 525704513 /nfs/dbraw/zinc/70/45/13/525704513.db2.gz XOHFLSAEYQWCKL-UHFFFAOYSA-N 0 0 269.211 2.633 20 5 CFBDRN Cc1c(CN2C[C@H](C)OC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000049177252 525708736 /nfs/dbraw/zinc/70/87/36/525708736.db2.gz ZPIYVTSSTZREFH-NSHDSACASA-N 0 0 278.352 2.903 20 5 CFBDRN Cc1c(CNc2nccnc2C(F)F)cccc1[N+](=O)[O-] ZINC000336849079 525708827 /nfs/dbraw/zinc/70/88/27/525708827.db2.gz PKHKLUZOILPZKW-UHFFFAOYSA-N 0 0 294.261 2.665 20 5 CFBDRN Cc1c(CN2C[C@@H](C)OC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000049177253 525709167 /nfs/dbraw/zinc/70/91/67/525709167.db2.gz ZPIYVTSSTZREFH-LLVKDONJSA-N 0 0 278.352 2.903 20 5 CFBDRN Cc1c(CNC(=O)CCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000360524684 525711983 /nfs/dbraw/zinc/71/19/83/525711983.db2.gz JJYUKRQVTLPEBB-UHFFFAOYSA-N 0 0 290.241 2.862 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2C[C@@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000360527756 525720334 /nfs/dbraw/zinc/72/03/34/525720334.db2.gz JRWTVIHDQJDCBX-OLZOCXBDSA-N 0 0 276.336 2.812 20 5 CFBDRN Cc1c(CNc2c([N+](=O)[O-])ncn2C)oc2ccccc12 ZINC000360277867 525729742 /nfs/dbraw/zinc/72/97/42/525729742.db2.gz GADIMQOWKVCTLI-UHFFFAOYSA-N 0 0 286.291 2.995 20 5 CFBDRN CSCc1cccc(CNc2ccncc2[N+](=O)[O-])c1 ZINC000340859308 525737593 /nfs/dbraw/zinc/73/75/93/525737593.db2.gz AQTQRKUMHQLHAM-UHFFFAOYSA-N 0 0 289.360 2.887 20 5 CFBDRN Cc1ccncc1CN(C)c1ccc([N+](=O)[O-])c(C)n1 ZINC000360092072 525740013 /nfs/dbraw/zinc/74/00/13/525740013.db2.gz BVGOORTUWZJACI-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)cc1F ZINC000088521431 525750366 /nfs/dbraw/zinc/75/03/66/525750366.db2.gz LZTGOQWHKLDPJV-SECBINFHSA-N 0 0 278.287 2.949 20 5 CFBDRN CS[C@@H](C)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355691583 525811271 /nfs/dbraw/zinc/81/12/71/525811271.db2.gz USNFEYAWEMGZIX-QMMMGPOBSA-N 0 0 279.321 2.993 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000228129125 525816168 /nfs/dbraw/zinc/81/61/68/525816168.db2.gz BPOWSJIXRLXKMB-YUMQZZPRSA-N 0 0 258.705 2.820 20 5 CFBDRN Cc1c(Nc2ccncc2[N+](=O)[O-])cnn1-c1ccccc1 ZINC000361041056 525823639 /nfs/dbraw/zinc/82/36/39/525823639.db2.gz BYOBDLFFBSPLAZ-UHFFFAOYSA-N 0 0 295.302 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@@H](O)C3CCC3)c2c1 ZINC000413314933 534885000 /nfs/dbraw/zinc/88/50/00/534885000.db2.gz JKXHLTFJIXPOKT-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1c(NC(=O)N(C)C[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000069027620 525840337 /nfs/dbraw/zinc/84/03/37/525840337.db2.gz UQZAZAIRJHTPHZ-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1c(NC(=O)N2[C@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000336056835 525844345 /nfs/dbraw/zinc/84/43/45/525844345.db2.gz NSLVFFPFLNNXJV-DTORHVGOSA-N 0 0 263.297 2.918 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336299984 525858548 /nfs/dbraw/zinc/85/85/48/525858548.db2.gz SJBJLIDNVKZOFE-CYBMUJFWSA-N 0 0 284.262 2.698 20 5 CFBDRN Cc1c(NCc2cn3cccnc3n2)cccc1[N+](=O)[O-] ZINC000124216397 525869402 /nfs/dbraw/zinc/86/94/02/525869402.db2.gz OEBOJTXSFSWRJD-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(C3CC3)CC2)c(F)c1 ZINC000413207185 534888898 /nfs/dbraw/zinc/88/88/98/534888898.db2.gz QSTRERFJWVBOJI-UHFFFAOYSA-N 0 0 251.261 2.731 20 5 CFBDRN Cc1cnc(CNc2ncc([N+](=O)[O-])cc2Cl)o1 ZINC000226805208 526055227 /nfs/dbraw/zinc/05/52/27/526055227.db2.gz UITFXYHOBGQDTC-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CS[C@H](C)C2)c1 ZINC000335220898 526055372 /nfs/dbraw/zinc/05/53/72/526055372.db2.gz FMULQXVSFHLYAY-NXEZZACHSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC23CCCC3)c1 ZINC000336117551 526055871 /nfs/dbraw/zinc/05/58/71/526055871.db2.gz MIPZALFLCQHXQQ-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC[C@H](F)C1 ZINC000336074302 526057886 /nfs/dbraw/zinc/05/78/86/526057886.db2.gz UBUDKDSEAYTVBX-JTQLQIEISA-N 0 0 281.287 2.787 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CC[C@H](C)O1 ZINC000154020795 526058081 /nfs/dbraw/zinc/05/80/81/526058081.db2.gz AKBLXJREHFPAKU-JOYOIKCWSA-N 0 0 278.308 2.718 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC[C@@H](F)C1 ZINC000336074303 526058416 /nfs/dbraw/zinc/05/84/16/526058416.db2.gz UBUDKDSEAYTVBX-SNVBAGLBSA-N 0 0 281.287 2.787 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC2(F)CCC2)n1 ZINC000340877670 526059770 /nfs/dbraw/zinc/05/97/70/526059770.db2.gz ODKXLKWYMWXHEI-UHFFFAOYSA-N 0 0 253.277 2.992 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)N2CCCCC2=O)c1 ZINC000192881345 526060190 /nfs/dbraw/zinc/06/01/90/526060190.db2.gz XNNHMINZFRUDRY-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC[C@H]1C ZINC000049375892 526068800 /nfs/dbraw/zinc/06/88/00/526068800.db2.gz RMWAHGNASBBBFA-LLVKDONJSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C)C1 ZINC000049397900 526070112 /nfs/dbraw/zinc/07/01/12/526070112.db2.gz LOHVSXFKDLWUQD-SNVBAGLBSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]1C(C)C ZINC000336594680 526072665 /nfs/dbraw/zinc/07/26/65/526072665.db2.gz WBBUXHLZUMPNDX-ZDUSSCGKSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1=COCCC1 ZINC000068317542 526078794 /nfs/dbraw/zinc/07/87/94/526078794.db2.gz HPVDDCOIALTDJP-UHFFFAOYSA-N 0 0 262.265 2.536 20 5 CFBDRN Cc1cnc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)s1 ZINC000049158954 526086713 /nfs/dbraw/zinc/08/67/13/526086713.db2.gz ZFNWYPCWGGWNQV-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cnc(Nc2cnn(C(F)F)c2)c([N+](=O)[O-])c1 ZINC000361668348 526096293 /nfs/dbraw/zinc/09/62/93/526096293.db2.gz VQZFRJSLYVDVHM-UHFFFAOYSA-N 0 0 269.211 2.633 20 5 CFBDRN Cc1cc(NCC[C@@H]2C[C@@H](C)O[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000338485650 526113018 /nfs/dbraw/zinc/11/30/18/526113018.db2.gz VFBOPCILORXZRT-CLLJXQQHSA-N 0 0 293.367 2.726 20 5 CFBDRN COc1cccc(F)c1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000360240995 526116121 /nfs/dbraw/zinc/11/61/21/526116121.db2.gz XVLZDQJTCHNLPQ-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CSc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000360239551 526134256 /nfs/dbraw/zinc/13/42/56/526134256.db2.gz FODRJZVKBHFOHP-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN Cc1ccc2c(c1)CN(C(=O)c1cc([N+](=O)[O-])cnc1C)C2 ZINC000361337846 526161238 /nfs/dbraw/zinc/16/12/38/526161238.db2.gz UJVCCHSTBDBETP-UHFFFAOYSA-N 0 0 297.314 2.763 20 5 CFBDRN C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000157370938 526161457 /nfs/dbraw/zinc/16/14/57/526161457.db2.gz AQMQYWZWZMNKIU-JTQLQIEISA-N 0 0 278.308 2.596 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000446108875 534910828 /nfs/dbraw/zinc/91/08/28/534910828.db2.gz PGDPBIAWTKLIEY-LBPRGKRZSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@@H]1CC(NC(=O)Nc2ccncc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000183645632 526229466 /nfs/dbraw/zinc/22/94/66/526229466.db2.gz HTXZGACCCFOYQJ-UWVGGRQHSA-N 0 0 292.339 2.936 20 5 CFBDRN C[C@H]1CC(Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C[C@H](C)C1 ZINC000084439066 526229926 /nfs/dbraw/zinc/22/99/26/526229926.db2.gz YKOLJEFXLMPACP-NXEZZACHSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000370761556 526247535 /nfs/dbraw/zinc/24/75/35/526247535.db2.gz OXWPQKVLHBLBSY-CQSZACIVSA-N 0 0 274.320 2.918 20 5 CFBDRN C[C@H]1CCCC[C@@H]1N(C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157285827 526255705 /nfs/dbraw/zinc/25/57/05/526255705.db2.gz NDYDEVOJFCGCLL-JQWIXIFHSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1cc(C(=O)NC2(C)CCCC2)cc([N+](=O)[O-])c1 ZINC000049159593 526263856 /nfs/dbraw/zinc/26/38/56/526263856.db2.gz KYQUZYFGNSVTDE-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1cc(Cl)c[nH]1 ZINC000048919411 526411923 /nfs/dbraw/zinc/41/19/23/526411923.db2.gz SPHPENDHTMSKHR-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN Cc1nnccc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000354272906 526426223 /nfs/dbraw/zinc/42/62/23/526426223.db2.gz JDXPPQFHDMYAAI-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCC12CCC2 ZINC000334805492 526510632 /nfs/dbraw/zinc/51/06/32/526510632.db2.gz HSONEIKACHMGTN-UONOGXRCSA-N 0 0 286.331 2.853 20 5 CFBDRN Cc1noc(C)c1CNc1ccc([N+](=O)[O-])cc1F ZINC000063255255 526518967 /nfs/dbraw/zinc/51/89/67/526518967.db2.gz OCNXSBVWWMIWRC-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1noc(C)c1COc1cc(C=O)ccc1[N+](=O)[O-] ZINC000050517526 526520505 /nfs/dbraw/zinc/52/05/05/526520505.db2.gz UMZLVKRCPHEBOQ-UHFFFAOYSA-N 0 0 276.248 2.591 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CC[C@H]1C1CC1 ZINC000334670157 526575564 /nfs/dbraw/zinc/57/55/64/526575564.db2.gz GPQUASWQHADDIE-JTQLQIEISA-N 0 0 280.711 2.873 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1Cc2ccccc2C1 ZINC000050912382 526628586 /nfs/dbraw/zinc/62/85/86/526628586.db2.gz PVBBHDXGGCFECV-UHFFFAOYSA-N 0 0 286.262 2.890 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC(F)(F)C1 ZINC000336374521 526636933 /nfs/dbraw/zinc/63/69/33/526636933.db2.gz YTZADONLWDOOEV-UHFFFAOYSA-N 0 0 296.229 2.822 20 5 CFBDRN CC(C)(C)[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000413985329 526667362 /nfs/dbraw/zinc/66/73/62/526667362.db2.gz IQOARYMGHFYULL-GHMZBOCLSA-N 0 0 279.340 2.868 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1C[C@@H]1C1CC1 ZINC000186304053 526694396 /nfs/dbraw/zinc/69/43/96/526694396.db2.gz OIKZCHOZJKCJTH-VXGBXAGGSA-N 0 0 294.738 2.911 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1C[C@H]1C1CC1 ZINC000186304083 526694590 /nfs/dbraw/zinc/69/45/90/526694590.db2.gz OIKZCHOZJKCJTH-NWDGAFQWSA-N 0 0 294.738 2.911 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@H](c2ccco2)C1 ZINC000377605040 526715287 /nfs/dbraw/zinc/71/52/87/526715287.db2.gz VTLBVYRRECUVOG-LBPRGKRZSA-N 0 0 286.287 2.818 20 5 CFBDRN CC(C)(C)[C@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000413985336 526726188 /nfs/dbraw/zinc/72/61/88/526726188.db2.gz IQOARYMGHFYULL-QWRGUYRKSA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1nc(CN(C)c2ncc(C)cc2[N+](=O)[O-])cs1 ZINC000361263515 526847790 /nfs/dbraw/zinc/84/77/90/526847790.db2.gz UFUFSZGIFAKGJK-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1nc(CNc2cc(F)cc([N+](=O)[O-])c2)oc1C ZINC000235945354 526896149 /nfs/dbraw/zinc/89/61/49/526896149.db2.gz OXXYLEVRKJUZDR-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1nc(NC[C@H]2CCC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000312705820 526998684 /nfs/dbraw/zinc/99/86/84/526998684.db2.gz SEKYWRKFMDDXJE-RYUDHWBXSA-N 0 0 279.340 2.509 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NCCCCF)cc2N1 ZINC000336833881 526999664 /nfs/dbraw/zinc/99/96/64/526999664.db2.gz PPCCZJFAKFQHQY-UHFFFAOYSA-N 0 0 281.287 2.641 20 5 CFBDRN O=C1COc2c(NCc3cccc([N+](=O)[O-])c3)cccc2N1 ZINC000368071177 527013354 /nfs/dbraw/zinc/01/33/54/527013354.db2.gz LWWMKCJVYQYAES-UHFFFAOYSA-N 0 0 299.286 2.538 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@H]1CC[C@H](F)C1 ZINC000360356096 527044949 /nfs/dbraw/zinc/04/49/49/527044949.db2.gz UKWRXMWNOFJFIR-UWVGGRQHSA-N 0 0 298.339 2.694 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccccc1 ZINC000229561010 527124415 /nfs/dbraw/zinc/12/44/15/527124415.db2.gz HZDPIFRKOADUKI-UHFFFAOYSA-N 0 0 260.224 2.986 20 5 CFBDRN CC(=O)c1c[nH]c(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000171733466 527180655 /nfs/dbraw/zinc/18/06/55/527180655.db2.gz QTJGHKNVGFARIN-UHFFFAOYSA-N 0 0 287.275 2.686 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])s1)c1cccnc1 ZINC000413589483 527185441 /nfs/dbraw/zinc/18/54/41/527185441.db2.gz PKPWVLOCWCDBCV-UHFFFAOYSA-N 0 0 278.337 2.836 20 5 CFBDRN CC(C)(NC(=O)NCCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000175597884 527271643 /nfs/dbraw/zinc/27/16/43/527271643.db2.gz NLGJUKHBZAQPGD-UHFFFAOYSA-N 0 0 291.351 2.929 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC1(c2ccccc2)CC1 ZINC000338648278 527294512 /nfs/dbraw/zinc/29/45/12/527294512.db2.gz RHQAZPLBCLAVMF-UHFFFAOYSA-N 0 0 296.326 2.943 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCC1(C)C ZINC000360876681 527313337 /nfs/dbraw/zinc/31/33/37/527313337.db2.gz XVJDFIGDAJIFJF-LLVKDONJSA-N 0 0 291.351 2.854 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)c2ccn(C)n2)c([N+](=O)[O-])c1 ZINC000413455156 527318804 /nfs/dbraw/zinc/31/88/04/527318804.db2.gz JPHRKKICWLWHGB-VIFPVBQESA-N 0 0 288.307 2.704 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3nccc4[nH]ccc43)no2)c1 ZINC000340689977 527323475 /nfs/dbraw/zinc/32/34/75/527323475.db2.gz CVMBKVRFSCHJSN-UHFFFAOYSA-N 0 0 296.246 2.516 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC000361014759 527324354 /nfs/dbraw/zinc/32/43/54/527324354.db2.gz OMEHSPLXJLMYKL-CJNGLKHVSA-N 0 0 299.330 2.744 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCOCC12CC2 ZINC000338600342 527333369 /nfs/dbraw/zinc/33/33/69/527333369.db2.gz VHGMUENOGHEYMD-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCC1(O)CCCC1 ZINC000087464021 527334541 /nfs/dbraw/zinc/33/45/41/527334541.db2.gz OBWPPZTXOBDRJT-UHFFFAOYSA-N 0 0 271.700 2.932 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCC1CCOCC1 ZINC000311324977 527335596 /nfs/dbraw/zinc/33/55/96/527335596.db2.gz BFAHHGGNUPQJNJ-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC1CCC(CO)CC1 ZINC000311512323 527336331 /nfs/dbraw/zinc/33/63/31/527336331.db2.gz RSKLIXXSSVSTLJ-UHFFFAOYSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1cnn2ccccc12 ZINC000071372888 527337087 /nfs/dbraw/zinc/33/70/87/527337087.db2.gz YKKZHMSYRQIVRU-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCc2cn3ccccc3n2)c1 ZINC000229549700 527337311 /nfs/dbraw/zinc/33/73/11/527337311.db2.gz YHWZBMSRIFFWHX-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1Cc2ccccc2[C@H]1O ZINC000170982473 527337614 /nfs/dbraw/zinc/33/76/14/527337614.db2.gz AOMIIEBSWVEVTF-UKRRQHHQSA-N 0 0 288.278 2.804 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(C[C@H]3CCOC3)c2c(Cl)c1 ZINC000340855515 527341099 /nfs/dbraw/zinc/34/10/99/527341099.db2.gz MZIDLFNLJOXOLG-MRVPVSSYSA-N 0 0 281.699 2.634 20 5 CFBDRN Cn1ccc(CCNc2ccc3cc([N+](=O)[O-])ccc3n2)n1 ZINC000318626500 527351871 /nfs/dbraw/zinc/35/18/71/527351871.db2.gz ZZILOPAEHSRDIZ-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2ncc[nH]2)c(F)c1 ZINC000310245656 527354558 /nfs/dbraw/zinc/35/45/58/527354558.db2.gz DVOJIIJDMJSXEW-UHFFFAOYSA-N 0 0 253.258 2.749 20 5 CFBDRN O=C(Nc1cccc(F)c1F)c1ccc([N+](=O)[O-])o1 ZINC000049388418 527355656 /nfs/dbraw/zinc/35/56/56/527355656.db2.gz GFEVQKHSTAPQJF-UHFFFAOYSA-N 0 0 268.175 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000363384614 527360575 /nfs/dbraw/zinc/36/05/75/527360575.db2.gz NFDNBCKIXRGUNB-LLVKDONJSA-N 0 0 277.280 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@@H](CO)C2)c(F)c1 ZINC000312495615 527365933 /nfs/dbraw/zinc/36/59/33/527365933.db2.gz PUAXXHODNOCOBX-WDEREUQCSA-N 0 0 282.315 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccnn2)c2ccncc21 ZINC000236422527 527366471 /nfs/dbraw/zinc/36/64/71/527366471.db2.gz SGDKEORSUJYKKG-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc([N+](=O)[O-])c2)nc1 ZINC000049389866 527366810 /nfs/dbraw/zinc/36/68/10/527366810.db2.gz NQIAWJWFYBSUQZ-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCO[C@H]2C2CC2)c(F)c1 ZINC000230309039 527368168 /nfs/dbraw/zinc/36/81/68/527368168.db2.gz NILZHSLUTSTWNM-STQMWFEESA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CCC[C@H](C2CC2)C1 ZINC000339196632 527370695 /nfs/dbraw/zinc/37/06/95/527370695.db2.gz ALWWRUQZNLUJCP-LBPRGKRZSA-N 0 0 276.336 2.922 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2(O)CCCC2)c(Cl)c1 ZINC000087464037 527371308 /nfs/dbraw/zinc/37/13/08/527371308.db2.gz BEXAWMUWSDTKRU-UHFFFAOYSA-N 0 0 271.700 2.932 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@@H](F)C1 ZINC000334291575 527373416 /nfs/dbraw/zinc/37/34/16/527373416.db2.gz MTKLPBMDVGKHLW-PSASIEDQSA-N 0 0 267.260 2.607 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCC(F)(F)C1 ZINC000335741230 527375516 /nfs/dbraw/zinc/37/55/16/527375516.db2.gz SCUYGCKQBUOUDW-MRVPVSSYSA-N 0 0 270.235 2.969 20 5 CFBDRN O=[N+]([O-])c1cccc(CSc2nc3cncnc3[nH]2)c1 ZINC000186759392 527387647 /nfs/dbraw/zinc/38/76/47/527387647.db2.gz NBJULIGIOOVKNM-UHFFFAOYSA-N 0 0 287.304 2.553 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(-c3ccco3)no2)c1 ZINC000340656196 527388582 /nfs/dbraw/zinc/38/85/82/527388582.db2.gz CPHJPZYIQSFREB-UHFFFAOYSA-N 0 0 271.232 2.829 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCc1nccs1 ZINC000310764679 527388906 /nfs/dbraw/zinc/38/89/06/527388906.db2.gz QPUCMJVMSSZEON-UHFFFAOYSA-N 0 0 267.285 2.845 20 5 CFBDRN O=[N+]([O-])c1cccc(Cn2nccc2-c2ccncc2)c1 ZINC000068777498 527389512 /nfs/dbraw/zinc/38/95/12/527389512.db2.gz CQYUTCPUFPAKSL-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2Cc1cccnc1 ZINC000129706388 527395651 /nfs/dbraw/zinc/39/56/51/527395651.db2.gz LUECXBAKILBXIY-UHFFFAOYSA-N 0 0 253.261 2.993 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2cnccn2)no1 ZINC000084510881 527397730 /nfs/dbraw/zinc/39/77/30/527397730.db2.gz CWZFRIPRUBJVKM-AATRIKPKSA-N 0 0 295.258 2.605 20 5 CFBDRN O=[N+]([O-])c1ccn(CCSc2cc(F)ccc2F)n1 ZINC000360385946 527407586 /nfs/dbraw/zinc/40/75/86/527407586.db2.gz UTMYIJSUKGTEMA-UHFFFAOYSA-N 0 0 285.275 2.862 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccc(Cl)cc2)nc1 ZINC000048765181 527409918 /nfs/dbraw/zinc/40/99/18/527409918.db2.gz BGBBGNAGAKMVGL-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCCF)c(Br)c1 ZINC000336655628 527410017 /nfs/dbraw/zinc/41/00/17/527410017.db2.gz KXFLOPBROBUERV-UHFFFAOYSA-N 0 0 292.108 2.914 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@H]2OC(F)F)s1 ZINC000336902401 527412408 /nfs/dbraw/zinc/41/24/08/527412408.db2.gz RHXJQELNUHXTDU-NTSWFWBYSA-N 0 0 279.268 2.624 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@@H]1CCCC1(F)F ZINC000336253815 527455145 /nfs/dbraw/zinc/45/51/45/527455145.db2.gz HTQBDMKZRSNMAD-ZETCQYMHSA-N 0 0 292.669 2.845 20 5 CFBDRN O=C(NC1CC(C(F)(F)F)C1)c1ccc([N+](=O)[O-])s1 ZINC000360517384 527481506 /nfs/dbraw/zinc/48/15/06/527481506.db2.gz WDEMOOYTUVXQOQ-UHFFFAOYSA-N 0 0 294.254 2.727 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1ccc(Cl)cc1F ZINC000336142116 527492339 /nfs/dbraw/zinc/49/23/39/527492339.db2.gz OIQCAJZJVYNWAS-UHFFFAOYSA-N 0 0 271.635 2.913 20 5 CFBDRN O=C(NC1CCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000052210571 527502049 /nfs/dbraw/zinc/50/20/49/527502049.db2.gz MFCLQNLNJXQEKZ-UHFFFAOYSA-N 0 0 254.673 2.531 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1F ZINC000050699440 527504013 /nfs/dbraw/zinc/50/40/13/527504013.db2.gz MWHAYENMLSCWQD-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CC(C)(C(=O)NC[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000416061177 527521899 /nfs/dbraw/zinc/52/18/99/527521899.db2.gz CZMLXZYEEYWKFN-LBPRGKRZSA-N 0 0 288.347 2.789 20 5 CFBDRN Nc1nccnc1SCc1ccc([N+](=O)[O-])cc1Cl ZINC000236355369 527572899 /nfs/dbraw/zinc/57/28/99/527572899.db2.gz RSAQSMQLRORHBX-UHFFFAOYSA-N 0 0 296.739 2.913 20 5 CFBDRN Cc1nn(C)c(NCC[C@@H]2C[C@@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000338487215 527679122 /nfs/dbraw/zinc/67/91/22/527679122.db2.gz JSFXJZYPFKWATR-PEGIJTEDSA-N 0 0 296.371 2.642 20 5 CFBDRN Cc1nn(C)cc1CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000317215613 527693446 /nfs/dbraw/zinc/69/34/46/527693446.db2.gz BEXNXTTXACGWIO-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413253419 527894885 /nfs/dbraw/zinc/89/48/85/527894885.db2.gz UVRIWTKPCPGLJX-CHWSQXEVSA-N 0 0 287.319 2.714 20 5 CFBDRN CC1(C2(Nc3ncc([N+](=O)[O-])cc3F)CC2)CC1 ZINC000413309026 527903980 /nfs/dbraw/zinc/90/39/80/527903980.db2.gz AMFNQCFQWVFJCH-UHFFFAOYSA-N 0 0 251.261 2.874 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@H]2C[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000413437045 528273433 /nfs/dbraw/zinc/27/34/33/528273433.db2.gz NLSWDWUBEMUKSZ-WDEREUQCSA-N 0 0 293.323 2.623 20 5 CFBDRN CC(C)c1nnc([C@@H](C)Nc2ncc([N+](=O)[O-])cc2F)[nH]1 ZINC000413214174 528302864 /nfs/dbraw/zinc/30/28/64/528302864.db2.gz LEIKXKIVYZRPOH-SSDOTTSWSA-N 0 0 294.290 2.544 20 5 CFBDRN CCOc1cc(N[C@H](C)c2ccn(C)n2)ccc1[N+](=O)[O-] ZINC000413462257 528331297 /nfs/dbraw/zinc/33/12/97/528331297.db2.gz PXVLNCIYOHMNSH-SNVBAGLBSA-N 0 0 290.323 2.900 20 5 CFBDRN CCNc1ccc(C(=O)N(C)[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000055468385 528428155 /nfs/dbraw/zinc/42/81/55/528428155.db2.gz WZFYRQUBBMKDJQ-JTQLQIEISA-N 0 0 279.340 2.897 20 5 CFBDRN CC(C)C[C@H](CCO)CNc1ncc([N+](=O)[O-])cc1F ZINC000413166351 528498673 /nfs/dbraw/zinc/49/86/73/528498673.db2.gz IHYFDHUUSPWRCA-JTQLQIEISA-N 0 0 285.319 2.586 20 5 CFBDRN CCCCNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411172834 528536707 /nfs/dbraw/zinc/53/67/07/528536707.db2.gz JGZLLNVANFFVQN-QWHCGFSZSA-N 0 0 262.309 2.615 20 5 CFBDRN CCC(C)(C)NC(=O)Cc1c(F)c(OC)ccc1[N+](=O)[O-] ZINC000413100860 528550367 /nfs/dbraw/zinc/55/03/67/528550367.db2.gz GDPCSKDKGZSARO-UHFFFAOYSA-N 0 0 298.314 2.590 20 5 CFBDRN CCCCNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000132184170 528557481 /nfs/dbraw/zinc/55/74/81/528557481.db2.gz NVPZUFFMZQIXBF-UHFFFAOYSA-N 0 0 263.297 2.692 20 5 CFBDRN CC(C)[C@@H](Nc1ncc([N+](=O)[O-])cc1F)c1ncc[nH]1 ZINC000413279464 528600293 /nfs/dbraw/zinc/60/02/93/528600293.db2.gz GQYREPUXTBDAEN-SNVBAGLBSA-N 0 0 279.275 2.661 20 5 CFBDRN CC(F)(F)CC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000428177063 528716444 /nfs/dbraw/zinc/71/64/44/528716444.db2.gz PCUSBAQOMRATFN-UHFFFAOYSA-N 0 0 292.669 2.910 20 5 CFBDRN CCC[C@@H](C)C[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000426823974 528882876 /nfs/dbraw/zinc/88/28/76/528882876.db2.gz KUKXGOQCYIXLLK-RISCZKNCSA-N 0 0 294.351 2.964 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CC(C)(C)C1 ZINC000413982154 529086472 /nfs/dbraw/zinc/08/64/72/529086472.db2.gz BGBHWIYYHVAVKC-UHFFFAOYSA-N 0 0 265.313 2.574 20 5 CFBDRN CC1(C)C[C@@H](CNc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000413337341 529136287 /nfs/dbraw/zinc/13/62/87/529136287.db2.gz NLXGZDRKLMOFPB-VIFPVBQESA-N 0 0 283.303 2.746 20 5 CFBDRN CCn1ccc(CNc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000413498151 529175551 /nfs/dbraw/zinc/17/55/51/529175551.db2.gz XARXKOOYAVGOIF-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)[C@H](O)C(C)C ZINC000413537621 529213089 /nfs/dbraw/zinc/21/30/89/529213089.db2.gz ICJBACPHWHETDA-GHMZBOCLSA-N 0 0 287.747 2.851 20 5 CFBDRN CC[C@@H](O)CCCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413169210 529218185 /nfs/dbraw/zinc/21/81/85/529218185.db2.gz XTJLVGHSODFRIA-CYBMUJFWSA-N 0 0 289.335 2.528 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000427441775 529219873 /nfs/dbraw/zinc/21/98/73/529219873.db2.gz CDROECSUHRURJG-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000176600984 529238819 /nfs/dbraw/zinc/23/88/19/529238819.db2.gz UDLKYWIFVMJGDG-QMMMGPOBSA-N 0 0 254.311 2.528 20 5 CFBDRN CC[C@H](C)[C@H](CNc1ncc([N+](=O)[O-])cc1F)OC ZINC000413321049 529248445 /nfs/dbraw/zinc/24/84/45/529248445.db2.gz FUADZBDAHDTTBT-KWQFWETISA-N 0 0 271.292 2.602 20 5 CFBDRN CC[C@@H](C)[N@H+](C/C=C\c1ccccc1[N+](=O)[O-])CC(=O)[O-] ZINC000414682414 529345721 /nfs/dbraw/zinc/34/57/21/529345721.db2.gz NXFVJIHYYYWPEA-XYLWRLHESA-N 0 0 292.335 2.793 20 5 CFBDRN CC(C)[N@H+](CC(=O)[O-])Cc1cc([N+](=O)[O-])ccc1Cl ZINC000263567052 535039249 /nfs/dbraw/zinc/03/92/49/535039249.db2.gz GJFBKRAFLQSVNQ-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN CC(C)(C)[C@@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450329169 535066288 /nfs/dbraw/zinc/06/62/88/535066288.db2.gz KIAGVDNXWKYEHJ-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])cc2N2CCOC2=O)CC1 ZINC000492907258 535159332 /nfs/dbraw/zinc/15/93/32/535159332.db2.gz OPVJHIVVLPPXGZ-UHFFFAOYSA-N 0 0 291.307 2.763 20 5 CFBDRN CC1(NC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)CCCC1 ZINC000342023255 535178717 /nfs/dbraw/zinc/17/87/17/535178717.db2.gz HQWVCUDOBUMSQF-UHFFFAOYSA-N 0 0 298.289 2.864 20 5 CFBDRN CCCN(C(=O)COCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000194139030 535205586 /nfs/dbraw/zinc/20/55/86/535205586.db2.gz UTSVKJSOJKSPPF-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN CCCCN(C)C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000191737372 535209992 /nfs/dbraw/zinc/20/99/92/535209992.db2.gz VSQIIPCEAKBSCY-UHFFFAOYSA-N 0 0 280.324 2.784 20 5 CFBDRN CC(C)(NC(=O)COc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000456148727 535220990 /nfs/dbraw/zinc/22/09/90/535220990.db2.gz QNBODNHNTBDQND-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN CC1(/C=C/C(=O)Nc2cccc([N+](=O)[O-])c2)CCOCC1 ZINC000493021892 535271384 /nfs/dbraw/zinc/27/13/84/535271384.db2.gz UWJNLHGPPQKXAK-AATRIKPKSA-N 0 0 290.319 2.906 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000457996892 535289544 /nfs/dbraw/zinc/28/95/44/535289544.db2.gz BPMPZCNNXJMKHZ-BXUZGUMPSA-N 0 0 292.335 2.524 20 5 CFBDRN CCC(=O)c1cccc(NCc2c([N+](=O)[O-])ncn2C)c1 ZINC000433491483 535346844 /nfs/dbraw/zinc/34/68/44/535346844.db2.gz OZHPFBIEYODIIS-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN CCCC[C@@H](CC)CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000502399511 535347928 /nfs/dbraw/zinc/34/79/28/535347928.db2.gz PWVKTNXAOPMMDM-LLVKDONJSA-N 0 0 291.355 2.688 20 5 CFBDRN CCC[C@@H]1C[C@H]1NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000450005074 535491912 /nfs/dbraw/zinc/49/19/12/535491912.db2.gz NGDPQBMBERRABV-LDYMZIIASA-N 0 0 296.298 2.661 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000452405251 535648619 /nfs/dbraw/zinc/64/86/19/535648619.db2.gz DPKLYYPBNUOFEY-NOZJJQNGSA-N 0 0 298.314 2.525 20 5 CFBDRN CCC[C@H](C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000269082302 535656071 /nfs/dbraw/zinc/65/60/71/535656071.db2.gz IXSQSBKJVIAVSG-JQWIXIFHSA-N 0 0 279.340 2.964 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])c(C)n1)c1nccs1 ZINC000450344599 535810177 /nfs/dbraw/zinc/81/01/77/535810177.db2.gz IFMDSRBDFDSTRJ-MRVPVSSYSA-N 0 0 279.325 2.713 20 5 CFBDRN CCc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000457324858 535892054 /nfs/dbraw/zinc/89/20/54/535892054.db2.gz FTFHUAUMJJAJFI-UHFFFAOYSA-N 0 0 287.319 2.772 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000452771893 535915723 /nfs/dbraw/zinc/91/57/23/535915723.db2.gz IZHAZPNNMVOASK-PWSUYJOCSA-N 0 0 262.309 2.679 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000459285479 535940373 /nfs/dbraw/zinc/94/03/73/535940373.db2.gz OBGHUYQTCXGIOD-YPMHNXCESA-N 0 0 293.323 2.592 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450855278 535998793 /nfs/dbraw/zinc/99/87/93/535998793.db2.gz FMBPDQCEAIRECN-KBPBESRZSA-N 0 0 289.335 2.526 20 5 CFBDRN CCc1ccnc(CNc2nc(C)ccc2[N+](=O)[O-])c1 ZINC000435592739 536013666 /nfs/dbraw/zinc/01/36/66/536013666.db2.gz JDNRUBBZVVHVJO-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN CC[C@H](CC(C)C)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000453161402 536073816 /nfs/dbraw/zinc/07/38/16/536073816.db2.gz IIAXOYLXEVBSDR-SECBINFHSA-N 0 0 293.327 2.918 20 5 CFBDRN CCc1nn(C)c(NC[C@H](C)c2nccs2)c1[N+](=O)[O-] ZINC000230608677 536182191 /nfs/dbraw/zinc/18/21/91/536182191.db2.gz DEPZFQKGFSBBEL-QMMMGPOBSA-N 0 0 295.368 2.563 20 5 CFBDRN CN(CCC1CCCCC1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000263649837 536322719 /nfs/dbraw/zinc/32/27/19/536322719.db2.gz AVWJZGDXVYAVAI-UHFFFAOYSA-N 0 0 279.340 2.965 20 5 CFBDRN CN(CCCCCO)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000227108614 536333753 /nfs/dbraw/zinc/33/37/53/536333753.db2.gz SJKSHTXOEKOSII-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN COc1cc(N2C[C@H](C)S[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301469894 536832171 /nfs/dbraw/zinc/83/21/71/536832171.db2.gz OGGPKZHRXLOLIF-UWVGGRQHSA-N 0 0 282.365 2.934 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@H](C)C[C@H]1C ZINC000444899236 536887243 /nfs/dbraw/zinc/88/72/43/536887243.db2.gz POOOBHNJEMJAAB-NXEZZACHSA-N 0 0 293.323 2.866 20 5 CFBDRN CC1(NC(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CCC1 ZINC000935080814 649872158 /nfs/dbraw/zinc/87/21/58/649872158.db2.gz VBOIMFQOGUYYIV-CHWSQXEVSA-N 0 0 274.320 2.757 20 5 CFBDRN CC1(NC(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CCC1 ZINC000935080816 649872456 /nfs/dbraw/zinc/87/24/56/649872456.db2.gz VBOIMFQOGUYYIV-OLZOCXBDSA-N 0 0 274.320 2.757 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000935128397 649878216 /nfs/dbraw/zinc/87/82/16/649878216.db2.gz HJIROATULLTSCY-BPNCWPANSA-N 0 0 299.330 2.904 20 5 CFBDRN O=Cc1ccc(OC[C@H]2CC2(Cl)Cl)c([N+](=O)[O-])c1 ZINC000727434908 574050027 /nfs/dbraw/zinc/05/00/27/574050027.db2.gz USKRGENSXCKUHQ-MRVPVSSYSA-N 0 0 290.102 2.980 20 5 CFBDRN C[C@H]1C[C@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C[C@@H](C)C1 ZINC000744212879 574061648 /nfs/dbraw/zinc/06/16/48/574061648.db2.gz NBXXGNBVKNPYDX-IAZYJMLFSA-N 0 0 280.324 2.915 20 5 CFBDRN O=C(Cc1ccc2c(c1)COC2)Oc1cccc([N+](=O)[O-])c1 ZINC000753992521 574061786 /nfs/dbraw/zinc/06/17/86/574061786.db2.gz AMXXBTPAIAUBIM-UHFFFAOYSA-N 0 0 299.282 2.773 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CC(=O)OCCC1CCCCC1 ZINC000744247137 574062131 /nfs/dbraw/zinc/06/21/31/574062131.db2.gz GZDZYHXYPFVCIQ-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCCOC3CCC3)c21 ZINC000754041759 574065301 /nfs/dbraw/zinc/06/53/01/574065301.db2.gz CUCOXTYQQCBPDM-UHFFFAOYSA-N 0 0 288.307 2.519 20 5 CFBDRN O=C(OCc1ccc(F)cc1F)c1ccc([N+](=O)[O-])o1 ZINC000754056385 574067100 /nfs/dbraw/zinc/06/71/00/574067100.db2.gz YPTIBCGHRVFICJ-UHFFFAOYSA-N 0 0 283.186 2.823 20 5 CFBDRN C[C@@H](OC(=O)c1cncs1)c1ccc([N+](=O)[O-])cc1 ZINC000744358893 574071162 /nfs/dbraw/zinc/07/11/62/574071162.db2.gz FGTCDUUTLGAMDK-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)/C=C\C1CC1 ZINC000744361179 574071335 /nfs/dbraw/zinc/07/13/35/574071335.db2.gz FOYBZWXDTVTIAA-VAWSOJLPSA-N 0 0 272.304 2.839 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000727955289 574074779 /nfs/dbraw/zinc/07/47/79/574074779.db2.gz BXDSTPPOMBPDAB-GHMZBOCLSA-N 0 0 279.292 2.626 20 5 CFBDRN CC(C)(C)C(=O)COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000728155761 574081786 /nfs/dbraw/zinc/08/17/86/574081786.db2.gz ZKRWLIUDLIHVCD-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)Cc1ccc(C)o1 ZINC000754259282 574085063 /nfs/dbraw/zinc/08/50/63/574085063.db2.gz KCTDLAOHAXUHKG-UHFFFAOYSA-N 0 0 291.259 2.653 20 5 CFBDRN CC(C)=C(C)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000750942843 574087283 /nfs/dbraw/zinc/08/72/83/574087283.db2.gz YRGUUFUJBVMHGA-UHFFFAOYSA-N 0 0 279.292 2.873 20 5 CFBDRN CCc1cnc(COC(=O)c2csc([N+](=O)[O-])c2)o1 ZINC000744795025 574088364 /nfs/dbraw/zinc/08/83/64/574088364.db2.gz BIMGCNZAOAABST-UHFFFAOYSA-N 0 0 282.277 2.564 20 5 CFBDRN CCc1noc([C@@H](C)OC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000744795409 574088470 /nfs/dbraw/zinc/08/84/70/574088470.db2.gz DYCOTEFEDCOZTA-ZCFIWIBFSA-N 0 0 297.292 2.520 20 5 CFBDRN C[C@@H](OC(=O)c1cscn1)c1cccc([N+](=O)[O-])c1 ZINC000728335893 574091949 /nfs/dbraw/zinc/09/19/49/574091949.db2.gz DQJDUFYFIANHPU-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN O=C(OCc1ccon1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000744985611 574095680 /nfs/dbraw/zinc/09/56/80/574095680.db2.gz XXRFYCBGVQEGMZ-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC=CCC1 ZINC000754466076 574096753 /nfs/dbraw/zinc/09/67/53/574096753.db2.gz ROJANXCBJUVJTC-VAWYXSNFSA-N 0 0 272.304 2.787 20 5 CFBDRN CCN1CCCC[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000745089260 574101186 /nfs/dbraw/zinc/10/11/86/574101186.db2.gz QNTICHDBQZIUMJ-LLVKDONJSA-N 0 0 298.364 2.574 20 5 CFBDRN O=C(OCC1CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000728764743 574106749 /nfs/dbraw/zinc/10/67/49/574106749.db2.gz YDIJZLXNMXTBLT-UHFFFAOYSA-N 0 0 260.249 2.643 20 5 CFBDRN COCC[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000754591754 574107048 /nfs/dbraw/zinc/10/70/48/574107048.db2.gz UYTOQRSGVYTANT-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN O=C(OCC1CC1)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000728765449 574107236 /nfs/dbraw/zinc/10/72/36/574107236.db2.gz WOXDEPDMLVLKNA-UHFFFAOYSA-N 0 0 260.249 2.643 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000754605499 574108377 /nfs/dbraw/zinc/10/83/77/574108377.db2.gz HOTKZONBVXUZNJ-SECBINFHSA-N 0 0 292.291 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2cscn2)c1 ZINC000745392160 574112485 /nfs/dbraw/zinc/11/24/85/574112485.db2.gz UWSLXODPQVSEDQ-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1cc(COC(=O)c2cc(C)ccc2[N+](=O)[O-])no1 ZINC000745388898 574112498 /nfs/dbraw/zinc/11/24/98/574112498.db2.gz KDLSAPJUSDZCMI-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000745387037 574112534 /nfs/dbraw/zinc/11/25/34/574112534.db2.gz AEJVMXFPEIRQKM-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000754581814 574112651 /nfs/dbraw/zinc/11/26/51/574112651.db2.gz NHBLWNYSJGGLDI-LBPRGKRZSA-N 0 0 285.271 2.706 20 5 CFBDRN CC(C)=CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000728810938 574115258 /nfs/dbraw/zinc/11/52/58/574115258.db2.gz XVNHTGGOIYMXCT-JTQLQIEISA-N 0 0 260.293 2.839 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000745960212 574129599 /nfs/dbraw/zinc/12/95/99/574129599.db2.gz INZXMLFCYNLBMM-NSHDSACASA-N 0 0 281.333 2.956 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)Cc2ccccc21 ZINC000754964881 574129681 /nfs/dbraw/zinc/12/96/81/574129681.db2.gz KNDFUNJHHSMPPN-LLVKDONJSA-N 0 0 299.330 2.693 20 5 CFBDRN CCCN(Cc1ccc(F)cc1)Cn1nccc1[N+](=O)[O-] ZINC000746034621 574131694 /nfs/dbraw/zinc/13/16/94/574131694.db2.gz YYVNZHRCJCWNJD-UHFFFAOYSA-N 0 0 292.314 2.800 20 5 CFBDRN CC(C)(C)/C=C\C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000731875331 574131925 /nfs/dbraw/zinc/13/19/25/574131925.db2.gz YGLZZZFZMVSHAK-HJWRWDBZSA-N 0 0 291.351 2.725 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746067930 574132603 /nfs/dbraw/zinc/13/26/03/574132603.db2.gz AURSHWQQYVTIMY-CMPLNLGQSA-N 0 0 299.710 2.716 20 5 CFBDRN CCO[C@H](C)C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746064400 574132748 /nfs/dbraw/zinc/13/27/48/574132748.db2.gz FKYWLOJDOUYRON-MRVPVSSYSA-N 0 0 287.699 2.716 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000746071185 574132788 /nfs/dbraw/zinc/13/27/88/574132788.db2.gz FHYUNBPFLGGWSB-VIFPVBQESA-N 0 0 299.710 2.718 20 5 CFBDRN C[C@H](C(=O)OCc1ccon1)c1ccc([N+](=O)[O-])cc1F ZINC000755068908 574135598 /nfs/dbraw/zinc/13/55/98/574135598.db2.gz WVTOOMVEFHMBKI-QMMMGPOBSA-N 0 0 294.238 2.569 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2ccc(Cl)c([N+](=O)[O-])c2)o1 ZINC000755150279 574142516 /nfs/dbraw/zinc/14/25/16/574142516.db2.gz KNLWEFLATHPMPI-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728989793 574144054 /nfs/dbraw/zinc/14/40/54/574144054.db2.gz HPGNVMZJQCOPFK-HOJFPOIOSA-N 0 0 265.240 2.909 20 5 CFBDRN Cc1cccc(C)c1OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000755230175 574148612 /nfs/dbraw/zinc/14/86/12/574148612.db2.gz FRJWADWNRXTZFT-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN O=C(C=C1CCCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000737581930 574148756 /nfs/dbraw/zinc/14/87/56/574148756.db2.gz ORSJSUKYEQYIAP-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN Cc1ccc(OC(=O)COC2CCCC2)cc1[N+](=O)[O-] ZINC000755249356 574149583 /nfs/dbraw/zinc/14/95/83/574149583.db2.gz MTASTYNZIPPBEB-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ccc(OC(=O)/C=C\C2CCOCC2)cc1[N+](=O)[O-] ZINC000755249674 574149731 /nfs/dbraw/zinc/14/97/31/574149731.db2.gz CJALWLIZZYRUIJ-HYXAFXHYSA-N 0 0 291.303 2.791 20 5 CFBDRN Cc1c[nH]cc1C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000755359202 574156020 /nfs/dbraw/zinc/15/60/20/574156020.db2.gz QKFULMFWZJPTJA-UHFFFAOYSA-N 0 0 260.249 2.759 20 5 CFBDRN CC1=CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000755383839 574158622 /nfs/dbraw/zinc/15/86/22/574158622.db2.gz FNHIGFUJTIIKEA-UHFFFAOYSA-N 0 0 277.349 2.944 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCCn1cccc1 ZINC000755425690 574161171 /nfs/dbraw/zinc/16/11/71/574161171.db2.gz AQTONTYXYYAKHC-OUKQBFOZSA-N 0 0 299.330 2.616 20 5 CFBDRN COC(=O)c1cc(OC[Si](C)(C)C)ccc1[N+](=O)[O-] ZINC000732623122 574162909 /nfs/dbraw/zinc/16/29/09/574162909.db2.gz ZCMYUDRLKGLHMU-UHFFFAOYSA-N 0 0 283.356 2.638 20 5 CFBDRN CCO[C@@H]1CCCN(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000738320084 574164057 /nfs/dbraw/zinc/16/40/57/574164057.db2.gz NUHRNVPFVGCNFS-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN CCOc1cc(COC(=O)c2ccco2)ccc1[N+](=O)[O-] ZINC000755506737 574166306 /nfs/dbraw/zinc/16/63/06/574166306.db2.gz JQVWDLGFXDLYJL-UHFFFAOYSA-N 0 0 291.259 2.944 20 5 CFBDRN C[C@@H](OC(=O)[C@@H](O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000732717425 574167355 /nfs/dbraw/zinc/16/73/55/574167355.db2.gz DSUSYXJQZNMAOL-BXKDBHETSA-N 0 0 281.308 2.606 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000746941143 574172101 /nfs/dbraw/zinc/17/21/01/574172101.db2.gz GFDVADIIAOHCLA-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCOCCCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746960624 574173092 /nfs/dbraw/zinc/17/30/92/574173092.db2.gz FLBLVUZLQQJVMM-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN CCC[C@H](O)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000732805806 574173356 /nfs/dbraw/zinc/17/33/56/574173356.db2.gz ZXQQTEMHOQPTMT-VIFPVBQESA-N 0 0 281.337 2.777 20 5 CFBDRN Cc1ncccc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746977489 574174044 /nfs/dbraw/zinc/17/40/44/574174044.db2.gz BPYNWVVQPLLQTB-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C1CC=CC1 ZINC000746985283 574174046 /nfs/dbraw/zinc/17/40/46/574174046.db2.gz RALXDBDKINSDTP-UHFFFAOYSA-N 0 0 265.240 2.743 20 5 CFBDRN O=C(NCCC1CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000755643813 574174491 /nfs/dbraw/zinc/17/44/91/574174491.db2.gz APBSJCAAQQPNMA-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN CCc1ccc(C(=O)OCc2ccon2)cc1[N+](=O)[O-] ZINC000747011199 574175301 /nfs/dbraw/zinc/17/53/01/574175301.db2.gz PBRNAVORRZCEME-UHFFFAOYSA-N 0 0 276.248 2.502 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC/C=C\Cl ZINC000755677990 574177523 /nfs/dbraw/zinc/17/75/23/574177523.db2.gz SIBGLPWQZARFPF-DJWKRKHSSA-N 0 0 271.656 2.513 20 5 CFBDRN Cc1cc(NC[C@H](O)C(F)(F)F)c(Cl)cc1[N+](=O)[O-] ZINC000747212265 574187487 /nfs/dbraw/zinc/18/74/87/574187487.db2.gz JHBVUBFDTZDZDQ-VIFPVBQESA-N 0 0 298.648 2.892 20 5 CFBDRN CC[S@@](=O)CCNc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000747217442 574187511 /nfs/dbraw/zinc/18/75/11/574187511.db2.gz HZRMOPRLWWIIBT-GOSISDBHSA-N 0 0 290.772 2.737 20 5 CFBDRN Cc1ccc(N2CCC[C@@H](n3ccnc3)C2)c([N+](=O)[O-])c1 ZINC000747215829 574187622 /nfs/dbraw/zinc/18/76/22/574187622.db2.gz DFXLSDIIGDZSFV-CYBMUJFWSA-N 0 0 286.335 2.941 20 5 CFBDRN Cc1ccccc1S(=O)(=O)Oc1ccccc1[N+](=O)[O-] ZINC000747229720 574188633 /nfs/dbraw/zinc/18/86/33/574188633.db2.gz VMLJSOYHXPRZFG-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN Cc1nc(CCC(=O)Nc2cccc([N+](=O)[O-])c2)cs1 ZINC000733093617 574189362 /nfs/dbraw/zinc/18/93/62/574189362.db2.gz SZFGBUABSHDIGT-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN Cc1[nH]nc(C(=O)Oc2ccc(Cl)cc2C)c1[N+](=O)[O-] ZINC000729305026 574196760 /nfs/dbraw/zinc/19/67/60/574196760.db2.gz XTYTYHRXSKOLGZ-UHFFFAOYSA-N 0 0 295.682 2.807 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)Cc1nnc2ccccn21 ZINC000733191310 574210282 /nfs/dbraw/zinc/21/02/82/574210282.db2.gz VNLVVDFUQVZGMP-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN CC[C@@H](CCO)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000756123278 574211331 /nfs/dbraw/zinc/21/13/31/574211331.db2.gz ZYTUSBPPFOYWFG-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN CC(C)c1cnc(COc2cccnc2[N+](=O)[O-])o1 ZINC000733207351 574211572 /nfs/dbraw/zinc/21/15/72/574211572.db2.gz IOUWRLCMGUJEGI-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN CC(C)[C@H]1CCN(c2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000756140892 574212877 /nfs/dbraw/zinc/21/28/77/574212877.db2.gz UKMHCAUDGYAYKB-VIFPVBQESA-N 0 0 276.296 2.613 20 5 CFBDRN Cc1noc(C)c1CCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000756147089 574213426 /nfs/dbraw/zinc/21/34/26/574213426.db2.gz UMZKJRDIBWACHN-UHFFFAOYSA-N 0 0 290.275 2.599 20 5 CFBDRN Cc1noc(C)c1CCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000756147722 574213766 /nfs/dbraw/zinc/21/37/66/574213766.db2.gz TZRDVTDGUBUCOG-UHFFFAOYSA-N 0 0 290.275 2.599 20 5 CFBDRN CCc1ocnc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000739490070 574215413 /nfs/dbraw/zinc/21/54/13/574215413.db2.gz PNXQBSNXCNFKSQ-UHFFFAOYSA-N 0 0 279.227 2.537 20 5 CFBDRN CC(C)Oc1ccc(Cn2ccc(=O)c([N+](=O)[O-])c2)cc1 ZINC000747862127 574216050 /nfs/dbraw/zinc/21/60/50/574216050.db2.gz FXZFBAUJNZRVIU-UHFFFAOYSA-N 0 0 288.303 2.592 20 5 CFBDRN COC[C@H](C)CC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000756243870 574218273 /nfs/dbraw/zinc/21/82/73/574218273.db2.gz ODXNDQACVOVDMC-GHMZBOCLSA-N 0 0 281.308 2.872 20 5 CFBDRN O=C(C[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000739552254 574218791 /nfs/dbraw/zinc/21/87/91/574218791.db2.gz TWWDACCRSDIANK-QMMMGPOBSA-N 0 0 284.699 2.613 20 5 CFBDRN Cn1c(C(=O)Oc2ccc3c(c2)CCC3)ccc1[N+](=O)[O-] ZINC000755196650 574218978 /nfs/dbraw/zinc/21/89/78/574218978.db2.gz XOSYPNFHSHJTLI-UHFFFAOYSA-N 0 0 286.287 2.641 20 5 CFBDRN Cn1c(C(=O)Oc2ccc(Cl)cc2F)ccc1[N+](=O)[O-] ZINC000756311672 574224563 /nfs/dbraw/zinc/22/45/63/574224563.db2.gz RSPJQCFDSRVSME-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000756342873 574227106 /nfs/dbraw/zinc/22/71/06/574227106.db2.gz GFEWZXNJMXERND-LAHYYIKRSA-N 0 0 291.303 2.766 20 5 CFBDRN C[C@H](OC(=O)Cc1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000733384153 574227379 /nfs/dbraw/zinc/22/73/79/574227379.db2.gz RWCVASZZTQDNNE-NSHDSACASA-N 0 0 286.287 2.837 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])o1)c1cccnc1 ZINC000733384909 574227401 /nfs/dbraw/zinc/22/74/01/574227401.db2.gz JDWOQTMCAJQWRL-QMMMGPOBSA-N 0 0 262.221 2.501 20 5 CFBDRN CCCC[C@H](CC)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000748117528 574230239 /nfs/dbraw/zinc/23/02/39/574230239.db2.gz BIVOZPGVCONEMU-NSHDSACASA-N 0 0 283.328 2.551 20 5 CFBDRN C[C@H]1CCC[C@@H](CC(=O)OCCn2cc([N+](=O)[O-])cn2)C1 ZINC000748120367 574230242 /nfs/dbraw/zinc/23/02/42/574230242.db2.gz KBEUWVILFRKQEA-NWDGAFQWSA-N 0 0 295.339 2.551 20 5 CFBDRN Cc1c(COC(=O)[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000748148863 574232426 /nfs/dbraw/zinc/23/24/26/574232426.db2.gz FSVULZVFDKIJOX-SNVBAGLBSA-N 0 0 263.293 2.993 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000756390198 574232570 /nfs/dbraw/zinc/23/25/70/574232570.db2.gz IAKILGKDNPXWNB-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000756394978 574233525 /nfs/dbraw/zinc/23/35/25/574233525.db2.gz XRZUSIGJUIVZJI-JTQLQIEISA-N 0 0 279.292 2.675 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1ccccc1[N+](=O)[O-] ZINC000748164201 574234297 /nfs/dbraw/zinc/23/42/97/574234297.db2.gz MRHMTPXRYRHEJR-JTQLQIEISA-N 0 0 277.276 2.511 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)O[C@@H]1C=CCCC1 ZINC000733430097 574235273 /nfs/dbraw/zinc/23/52/73/574235273.db2.gz QPUMZKJBHCREBQ-GFCCVEGCSA-N 0 0 290.319 2.926 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000756417788 574235490 /nfs/dbraw/zinc/23/54/90/574235490.db2.gz BFYJPYWVAWDSCL-JTQLQIEISA-N 0 0 293.319 2.929 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000729896686 574236359 /nfs/dbraw/zinc/23/63/59/574236359.db2.gz YCSPRIVAHRFSMG-GHMZBOCLSA-N 0 0 279.292 2.563 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)O[C@@H]1C=CCCC1 ZINC000733435570 574237012 /nfs/dbraw/zinc/23/70/12/574237012.db2.gz AEOPQIMLXFMJTG-GFCCVEGCSA-N 0 0 277.276 2.626 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)O[C@H]1C=CCCC1 ZINC000733471940 574239834 /nfs/dbraw/zinc/23/98/34/574239834.db2.gz ZOALWWQTKCUZPF-JTQLQIEISA-N 0 0 295.266 2.765 20 5 CFBDRN Cc1nc(C)c(C(=O)OCc2cccc([N+](=O)[O-])c2C)o1 ZINC000748206211 574239871 /nfs/dbraw/zinc/23/98/71/574239871.db2.gz CRNZHQFZZKFCEO-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)OCc1ccc([N+](=O)[O-])cc1F ZINC000756505472 574242265 /nfs/dbraw/zinc/24/22/65/574242265.db2.gz CUDQWZLJUSQUKK-LBPRGKRZSA-N 0 0 297.282 2.736 20 5 CFBDRN COc1ccc(COC(=O)[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000733577229 574246421 /nfs/dbraw/zinc/24/64/21/574246421.db2.gz CRUIWMVOQKQMHN-JTQLQIEISA-N 0 0 281.308 2.939 20 5 CFBDRN Cc1c(CC(=O)OCC2CCC2)cccc1[N+](=O)[O-] ZINC000748476597 574254450 /nfs/dbraw/zinc/25/44/50/574254450.db2.gz VPMMSCHPVPZZLC-UHFFFAOYSA-N 0 0 263.293 2.789 20 5 CFBDRN C[C@H](OC(=O)C[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000740541377 574254587 /nfs/dbraw/zinc/25/45/87/574254587.db2.gz HYFRLJKGADAPBF-WDEREUQCSA-N 0 0 279.292 2.626 20 5 CFBDRN CC(C)(C(=O)O[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000740546689 574254894 /nfs/dbraw/zinc/25/48/94/574254894.db2.gz XWDBXBKXYFEMSW-LBPRGKRZSA-N 0 0 295.360 2.921 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H]1CCCS1 ZINC000745959768 574255070 /nfs/dbraw/zinc/25/50/70/574255070.db2.gz FIDGDUMPRPXBHB-NSHDSACASA-N 0 0 281.333 2.956 20 5 CFBDRN O=C(Cn1cc2ccccc2n1)Oc1cccc([N+](=O)[O-])c1 ZINC000748515389 574256295 /nfs/dbraw/zinc/25/62/95/574256295.db2.gz AURMQSIMPSRCQS-UHFFFAOYSA-N 0 0 297.270 2.550 20 5 CFBDRN CCCOCC(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000740674993 574261218 /nfs/dbraw/zinc/26/12/18/574261218.db2.gz JWNPPVROFMJMCP-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN Cc1ncc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])s1 ZINC000748634208 574261737 /nfs/dbraw/zinc/26/17/37/574261737.db2.gz VJIBZUAYFAOITG-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1c(COC(=O)CC2(O)CCCC2)cccc1[N+](=O)[O-] ZINC000740982197 574277011 /nfs/dbraw/zinc/27/70/11/574277011.db2.gz ZBCLVVZWQXQVJZ-UHFFFAOYSA-N 0 0 293.319 2.642 20 5 CFBDRN Cc1cnc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000749055768 574277961 /nfs/dbraw/zinc/27/79/61/574277961.db2.gz MWKMVDBEQRVTCT-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])n(C)n1 ZINC000749056636 574278053 /nfs/dbraw/zinc/27/80/53/574278053.db2.gz QPCITDGVTRJYMT-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@H](CNC(=O)Cc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000734336448 574282641 /nfs/dbraw/zinc/28/26/41/574282641.db2.gz KJCOPVKFWKRTIZ-LLVKDONJSA-N 0 0 278.352 2.936 20 5 CFBDRN C[C@H](CNC(=O)Cc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000734340640 574282837 /nfs/dbraw/zinc/28/28/37/574282837.db2.gz SKXSJPBUVHOHLW-LLVKDONJSA-N 0 0 278.352 2.936 20 5 CFBDRN CCc1ccc(OC(=O)OC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000286726813 574285319 /nfs/dbraw/zinc/28/53/19/574285319.db2.gz BXXMQNNSDJOCNX-NSHDSACASA-N 0 0 295.291 2.852 20 5 CFBDRN C[C@H](CNC(=O)CNc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000734442086 574287031 /nfs/dbraw/zinc/28/70/31/574287031.db2.gz JZWGTSSUUKWFGJ-LLVKDONJSA-N 0 0 293.367 2.805 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2nccc(C)c2[N+](=O)[O-])no1 ZINC000734480445 574288261 /nfs/dbraw/zinc/28/82/61/574288261.db2.gz GSMDVAONCDRGCF-LBPRGKRZSA-N 0 0 288.307 2.936 20 5 CFBDRN CC(C)OCC(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000741439946 574289924 /nfs/dbraw/zinc/28/99/24/574289924.db2.gz LHEOFOZAIDEQTF-UHFFFAOYSA-N 0 0 287.699 2.716 20 5 CFBDRN CCO[C@H]1C[C@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000741445361 574290452 /nfs/dbraw/zinc/29/04/52/574290452.db2.gz KZHUIMWKYLSCCL-SKDRFNHKSA-N 0 0 299.710 2.716 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])C1(O)CCCC1 ZINC000734891933 574306854 /nfs/dbraw/zinc/30/68/54/574306854.db2.gz URDIQLLWPCNXSY-UHFFFAOYSA-N 0 0 299.710 2.597 20 5 CFBDRN CC[C@H](C)NC(=S)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000749752398 574306980 /nfs/dbraw/zinc/30/69/80/574306980.db2.gz PYMIVMIPDALPOL-VIFPVBQESA-N 0 0 267.354 2.988 20 5 CFBDRN COC(C)(C)C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734895946 574307075 /nfs/dbraw/zinc/30/70/75/574307075.db2.gz JRYOHEUTRLEDCW-UHFFFAOYSA-N 0 0 287.699 2.716 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000734895739 574307278 /nfs/dbraw/zinc/30/72/78/574307278.db2.gz JRHOABDUJYHEAJ-JTQLQIEISA-N 0 0 299.710 2.718 20 5 CFBDRN Cc1cc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)n[nH]1 ZINC000734975312 574308577 /nfs/dbraw/zinc/30/85/77/574308577.db2.gz XWJGYZMKUWFRLA-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC2CCSCC2)cc1 ZINC000749786123 574309354 /nfs/dbraw/zinc/30/93/54/574309354.db2.gz YEEQBKJZZWCNRX-UHFFFAOYSA-N 0 0 297.405 2.777 20 5 CFBDRN COCCCCCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000735123393 574313401 /nfs/dbraw/zinc/31/34/01/574313401.db2.gz HESDZKGWLIEVIS-UHFFFAOYSA-N 0 0 273.310 2.630 20 5 CFBDRN CNc1ccc(C(=O)OCCCCCOC)cc1[N+](=O)[O-] ZINC000735123976 574313634 /nfs/dbraw/zinc/31/36/34/574313634.db2.gz ODQAWHGRNKSPGO-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN C[C@H](CC(=O)NCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000742006277 574313785 /nfs/dbraw/zinc/31/37/85/574313785.db2.gz GKYNAWXPNVHASR-LLVKDONJSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@@H](CC(=O)NCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000742006278 574313871 /nfs/dbraw/zinc/31/38/71/574313871.db2.gz GKYNAWXPNVHASR-NSHDSACASA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)n1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000735131844 574314120 /nfs/dbraw/zinc/31/41/20/574314120.db2.gz OJCICIMTSMQPLU-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN Cc1nn(C)c(C)c1CN(C)Cc1cccc([N+](=O)[O-])c1 ZINC000749902263 574314901 /nfs/dbraw/zinc/31/49/01/574314901.db2.gz NALLYCKPUNNGHI-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN COc1ccc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)nc1 ZINC000742135178 574316301 /nfs/dbraw/zinc/31/63/01/574316301.db2.gz MNINWZODKYBLCY-RUDMXATFSA-N 0 0 299.286 2.650 20 5 CFBDRN CCc1ocnc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000739488249 574321596 /nfs/dbraw/zinc/32/15/96/574321596.db2.gz IAMSMCQPYLXRLH-UHFFFAOYSA-N 0 0 279.227 2.537 20 5 CFBDRN C[C@H](O)c1ccc(CNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000735487159 574326800 /nfs/dbraw/zinc/32/68/00/574326800.db2.gz QNVRIKCBPFBFDZ-JTQLQIEISA-N 0 0 273.292 2.655 20 5 CFBDRN CC1(C)CCCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000742401149 574328077 /nfs/dbraw/zinc/32/80/77/574328077.db2.gz NVDSCKLOORTPRR-UHFFFAOYSA-N 0 0 260.297 2.621 20 5 CFBDRN CCn1nccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750162286 574328831 /nfs/dbraw/zinc/32/88/31/574328831.db2.gz CPUUXFQURYWWGL-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN CCC(C)(C)COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000744330574 574329736 /nfs/dbraw/zinc/32/97/36/574329736.db2.gz VMHWLHCJEIBVAO-UHFFFAOYSA-N 0 0 254.286 2.526 20 5 CFBDRN CCC(C)(C)CCOC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000744343556 574332952 /nfs/dbraw/zinc/33/29/52/574332952.db2.gz YIXUDXWDNCDQQT-UHFFFAOYSA-N 0 0 297.355 2.859 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000742739296 574342005 /nfs/dbraw/zinc/34/20/05/574342005.db2.gz CCFZDZRXEHXVRY-ZYHUDNBSSA-N 0 0 298.339 2.748 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000742746667 574342533 /nfs/dbraw/zinc/34/25/33/574342533.db2.gz ZADYYJOEMCGIDR-DGCLKSJQSA-N 0 0 294.376 2.917 20 5 CFBDRN Cc1ccc(C(=O)OC[Si](C)(C)C)cc1[N+](=O)[O-] ZINC000735962665 574344540 /nfs/dbraw/zinc/34/45/40/574344540.db2.gz ODFWHPSGVQJZCM-UHFFFAOYSA-N 0 0 267.357 2.937 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000735967712 574344791 /nfs/dbraw/zinc/34/47/91/574344791.db2.gz IUIRLPJPRWEILG-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN Cc1[nH]ccc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000750525475 574345275 /nfs/dbraw/zinc/34/52/75/574345275.db2.gz OXLFSDIOBBCUOL-UHFFFAOYSA-N 0 0 274.276 2.897 20 5 CFBDRN Nc1ccc(OCc2cccc(F)c2)cc1[N+](=O)[O-] ZINC000750606596 574349295 /nfs/dbraw/zinc/34/92/95/574349295.db2.gz WOWPMKIPJOCKDV-UHFFFAOYSA-N 0 0 262.240 2.895 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@H]1CCCS1 ZINC000750905124 574361484 /nfs/dbraw/zinc/36/14/84/574361484.db2.gz QIQHGIQJEJNDOX-GFCCVEGCSA-N 0 0 281.333 2.576 20 5 CFBDRN Cc1cc(COC(=O)c2ccc([N+](=O)[O-])cc2C)on1 ZINC000725986927 574376926 /nfs/dbraw/zinc/37/69/26/574376926.db2.gz GTOYSMCQNMESDE-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1C1CC1 ZINC000751734265 574381715 /nfs/dbraw/zinc/38/17/15/574381715.db2.gz ANLBMZZPBDQDFI-KBPBESRZSA-N 0 0 291.303 2.563 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1ccc(F)cn1 ZINC000751828532 574383384 /nfs/dbraw/zinc/38/33/84/574383384.db2.gz YYLGMNDRLFBCOB-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CC(C)n1ccnc1COC(=O)c1ccccc1[N+](=O)[O-] ZINC000752064640 574387243 /nfs/dbraw/zinc/38/72/43/574387243.db2.gz JGABCSJHJJOGPY-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN Cc1cc(C(=O)NC2C(C)(C)C2(C)C)c(N)c([N+](=O)[O-])c1 ZINC000752728467 574394051 /nfs/dbraw/zinc/39/40/51/574394051.db2.gz VSXMSTZYCBGMRH-UHFFFAOYSA-N 0 0 291.351 2.650 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000752974297 574397007 /nfs/dbraw/zinc/39/70/07/574397007.db2.gz BEOCZYUCSSLYMT-LURJTMIESA-N 0 0 274.729 2.938 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000753100555 574398480 /nfs/dbraw/zinc/39/84/80/574398480.db2.gz SYYBZDGZRVILNV-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@H](N(C)c1nc2ccccn2c1[N+](=O)[O-])C1(C)CC1 ZINC000753117729 574398923 /nfs/dbraw/zinc/39/89/23/574398923.db2.gz HMHHDFPNKJFAEE-JTQLQIEISA-N 0 0 274.324 2.867 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)nc1 ZINC000753493502 574403168 /nfs/dbraw/zinc/40/31/68/574403168.db2.gz YTPGQLFFXGQPEI-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+][C@H](C(=O)[O-])C(C)C ZINC000821103431 598196705 /nfs/dbraw/zinc/19/67/05/598196705.db2.gz HUFWLZMXWNBVNT-GWCFXTLKSA-N 0 0 280.324 2.663 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+][C@@H](C(=O)[O-])C(C)C ZINC000821103432 598197463 /nfs/dbraw/zinc/19/74/63/598197463.db2.gz HUFWLZMXWNBVNT-GXFFZTMASA-N 0 0 280.324 2.663 20 5 CFBDRN O=[N+]([O-])CCOc1cc(F)c(Cl)cc1Br ZINC000899231045 620533637 /nfs/dbraw/zinc/53/36/37/620533637.db2.gz AAULZWISLDCNKS-UHFFFAOYSA-N 0 0 298.495 2.897 20 5 CFBDRN C/C(=C/C[N@H+](Cc1ccc([N+](=O)[O-])cc1)C(C)C)C(=O)[O-] ZINC000825730047 601158780 /nfs/dbraw/zinc/15/87/80/601158780.db2.gz QOJIYPZJMHCEIG-WQLSENKSSA-N 0 0 292.335 2.836 20 5 CFBDRN Cc1c(CNC(=O)C2(C)CC=CC2)cccc1[N+](=O)[O-] ZINC000935612380 649922686 /nfs/dbraw/zinc/92/26/86/649922686.db2.gz RFMBUNMDFYBDSY-UHFFFAOYSA-N 0 0 274.320 2.876 20 5 CFBDRN C/C(Cl)=C\C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000900268145 620656332 /nfs/dbraw/zinc/65/63/32/620656332.db2.gz NNXSLEZAEDNMRD-BNICOGTQSA-N 0 0 268.700 2.915 20 5 CFBDRN CCN(CCC[NH2+][C@@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000827130438 604265813 /nfs/dbraw/zinc/26/58/13/604265813.db2.gz WUBZTJYDGFFRJO-NSHDSACASA-N 0 0 295.339 2.635 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935785681 649944448 /nfs/dbraw/zinc/94/44/48/649944448.db2.gz RUAGRWGLGZRISB-LXTVHRRPSA-N 0 0 286.331 2.613 20 5 CFBDRN CCCCC[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000901724214 620901192 /nfs/dbraw/zinc/90/11/92/620901192.db2.gz PCYSCEMBRXWPCE-ZDUSSCGKSA-N 0 0 280.324 2.718 20 5 CFBDRN CC(C)(CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1Cl ZINC000833455986 605217680 /nfs/dbraw/zinc/21/76/80/605217680.db2.gz ITGQMMDRDFRJSC-UHFFFAOYSA-N 0 0 286.715 2.591 20 5 CFBDRN C[C@@H](O)CN(C)c1c2cccc(Cl)c2ncc1[N+](=O)[O-] ZINC000892004665 617909929 /nfs/dbraw/zinc/90/99/29/617909929.db2.gz KXBRFIYRSMWISO-MRVPVSSYSA-N 0 0 295.726 2.613 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCc1cccnc1C ZINC000890832988 617918409 /nfs/dbraw/zinc/91/84/09/617918409.db2.gz HEBFUJUVWFIOTP-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CSCC(C)(C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000890877909 617930271 /nfs/dbraw/zinc/93/02/71/617930271.db2.gz SVXIOSPRRUIFBQ-TWGQIWQCSA-N 0 0 294.376 2.866 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000924039876 617722251 /nfs/dbraw/zinc/72/22/51/617722251.db2.gz CMECWSMRWXXDDH-DWZYQQQCSA-N 0 0 288.347 2.546 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC(C)(C)c1ccccc1 ZINC000891755102 617740287 /nfs/dbraw/zinc/74/02/87/617740287.db2.gz UHHJKCNBWDRLTO-UHFFFAOYSA-N 0 0 274.324 2.718 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000120664236 617760749 /nfs/dbraw/zinc/76/07/49/617760749.db2.gz IBMPFNQSRKMCQT-ONGXEEELSA-N 0 0 288.303 2.818 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCc1ccc(C)o1 ZINC000891813558 617766969 /nfs/dbraw/zinc/76/69/69/617766969.db2.gz WAQZGVVQJHGYML-UHFFFAOYSA-N 0 0 277.280 2.554 20 5 CFBDRN CCc1ccc(CNc2c([N+](=O)[O-])cnn2C)s1 ZINC000891832155 617776652 /nfs/dbraw/zinc/77/66/52/617776652.db2.gz DVHGSKYZFMVINR-UHFFFAOYSA-N 0 0 266.326 2.564 20 5 CFBDRN Cc1c(Br)c(N2CC[C@H]3C[C@H]32)ncc1[N+](=O)[O-] ZINC000804688628 617811937 /nfs/dbraw/zinc/81/19/37/617811937.db2.gz PJABTLFXFOTACO-JGVFFNPUSA-N 0 0 298.140 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3C[C@H]32)c2ccncc21 ZINC000804690149 617815462 /nfs/dbraw/zinc/81/54/62/617815462.db2.gz ZWWBPAQRDJUNHK-LKFCYVNXSA-N 0 0 255.277 2.742 20 5 CFBDRN O=C(OCc1cccnc1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000901182255 620758684 /nfs/dbraw/zinc/75/86/84/620758684.db2.gz IWBXVLGPBJNBMW-HUUCEWRRSA-N 0 0 298.298 2.837 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000176175720 617842101 /nfs/dbraw/zinc/84/21/01/617842101.db2.gz RPCVKYZMKSUSIZ-VHSXEESVSA-N 0 0 279.340 2.650 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CC=CC[C@H]2C)c1[N+](=O)[O-] ZINC000890767285 617856421 /nfs/dbraw/zinc/85/64/21/617856421.db2.gz OZKJZDKOXCRREY-ZYHUDNBSSA-N 0 0 290.319 2.688 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000890770943 617866112 /nfs/dbraw/zinc/86/61/12/617866112.db2.gz FXNDCIOGSSQRRR-NOZJJQNGSA-N 0 0 278.283 2.818 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CC=CC[C@H]2C)c1[N+](=O)[O-] ZINC000890770880 617866919 /nfs/dbraw/zinc/86/69/19/617866919.db2.gz XZTDRMRMGYLJSN-ZWNOBZJWSA-N 0 0 274.320 2.988 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)OCCC[N+](=O)[O-])s1 ZINC000860917182 617872439 /nfs/dbraw/zinc/87/24/39/617872439.db2.gz GXFBIIMUXZVSRB-UHFFFAOYSA-N 0 0 271.338 2.869 20 5 CFBDRN Cc1ccccc1[C@H](O)Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000806631440 617873172 /nfs/dbraw/zinc/87/31/72/617873172.db2.gz OPWBVWQROUPITJ-CQSZACIVSA-N 0 0 287.319 2.711 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CCCOC1 ZINC000804779856 617879201 /nfs/dbraw/zinc/87/92/01/617879201.db2.gz LPBXANBSBKLCOT-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@H]2C[C@H]21 ZINC000804781906 617879962 /nfs/dbraw/zinc/87/99/62/617879962.db2.gz KQXFJBMFERHUFE-IINYFYTJSA-N 0 0 262.309 2.730 20 5 CFBDRN C[C@@H]1CO[C@H](C(F)(F)F)CN1c1ccc([N+](=O)[O-])cc1 ZINC000804793060 617883611 /nfs/dbraw/zinc/88/36/11/617883611.db2.gz WACVOKTYOMHGSV-KCJUWKMLSA-N 0 0 290.241 2.751 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1cnn2ccccc12 ZINC000804809321 617892081 /nfs/dbraw/zinc/89/20/81/617892081.db2.gz XTGZSLFDCJWVNT-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN Cc1ccc([C@H](O)[C@H](C)Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000804810357 617893791 /nfs/dbraw/zinc/89/37/91/617893791.db2.gz STFKAQKKGMGCSN-JOYOIKCWSA-N 0 0 293.348 2.894 20 5 CFBDRN CCCCOC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000101704944 617893997 /nfs/dbraw/zinc/89/39/97/617893997.db2.gz ALJARBJPLWMCQM-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCC1=O ZINC000812815120 617902395 /nfs/dbraw/zinc/90/23/95/617902395.db2.gz ZCQIQCFNHQDICS-ZJUUUORDSA-N 0 0 295.339 2.530 20 5 CFBDRN C[C@H]1C[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCC1=O ZINC000812815118 617902402 /nfs/dbraw/zinc/90/24/02/617902402.db2.gz ZCQIQCFNHQDICS-UWVGGRQHSA-N 0 0 295.339 2.530 20 5 CFBDRN CCSCc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813102860 617924585 /nfs/dbraw/zinc/92/45/85/617924585.db2.gz KMBJQXBTQPETEV-JTQLQIEISA-N 0 0 283.349 2.762 20 5 CFBDRN Cc1ccc(N2CC(O)(c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000804837881 617937736 /nfs/dbraw/zinc/93/77/36/617937736.db2.gz ZVTVEOSMOCJJGG-UHFFFAOYSA-N 0 0 284.315 2.611 20 5 CFBDRN C[C@@H](COC(=O)[C@@H](F)CC1CCCCC1)[N+](=O)[O-] ZINC000813112076 617939314 /nfs/dbraw/zinc/93/93/14/617939314.db2.gz ZFZODLWDUYODJS-ONGXEEELSA-N 0 0 261.293 2.503 20 5 CFBDRN CC(C)CC1(C(=O)OCCn2cc([N+](=O)[O-])cn2)CCC1 ZINC000177338059 617964487 /nfs/dbraw/zinc/96/44/87/617964487.db2.gz GZAWTZYJQZJZIU-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN COc1cccc(CSc2c([N+](=O)[O-])cnn2C)c1 ZINC000892144919 617973524 /nfs/dbraw/zinc/97/35/24/617973524.db2.gz QLMIYZADMKVKSF-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H](C)CO1 ZINC000891030286 617976596 /nfs/dbraw/zinc/97/65/96/617976596.db2.gz QYLDOYFILLOJAF-RNCFNFMXSA-N 0 0 278.308 2.521 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@@H](C)F)c1C ZINC000891186868 618027334 /nfs/dbraw/zinc/02/73/34/618027334.db2.gz OGCMYLZFEAEOKR-SECBINFHSA-N 0 0 268.288 2.690 20 5 CFBDRN C[C@]1(C2CC2)COCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000934480049 618051694 /nfs/dbraw/zinc/05/16/94/618051694.db2.gz FQQWDWNZAJKPGX-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN CCCCCNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000176678796 618056527 /nfs/dbraw/zinc/05/65/27/618056527.db2.gz PEGQWWOFUVJHCK-UHFFFAOYSA-N 0 0 268.288 2.962 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCc2ccccc2)c1[N+](=O)[O-] ZINC000176679579 618057176 /nfs/dbraw/zinc/05/71/76/618057176.db2.gz UJBZOQKBJFOUJF-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cn1nccc1CCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000236087753 618066112 /nfs/dbraw/zinc/06/61/12/618066112.db2.gz XIBMHJHILOACMW-UHFFFAOYSA-N 0 0 298.705 2.775 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)[C@]12C[C@H]1CCC2 ZINC000924431152 618068224 /nfs/dbraw/zinc/06/82/24/618068224.db2.gz NYPQMSMPDUHMKC-IAQYHMDHSA-N 0 0 291.303 2.707 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CC[C@@H](SC)C1 ZINC000234211502 618068598 /nfs/dbraw/zinc/06/85/98/618068598.db2.gz QQICANKQXGKMCF-NXEZZACHSA-N 0 0 284.385 2.816 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])c1 ZINC000050037392 618078076 /nfs/dbraw/zinc/07/80/76/618078076.db2.gz JYOCHPSQVDRWFO-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CCC[C@@H](CNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])OC ZINC000891263083 618087516 /nfs/dbraw/zinc/08/75/16/618087516.db2.gz YQWPNFUERRKNHA-LBPRGKRZSA-N 0 0 294.351 2.757 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)C(F)(F)C(F)F ZINC000177756504 618092953 /nfs/dbraw/zinc/09/29/53/618092953.db2.gz RMTFMOCEGYOCDF-UHFFFAOYSA-N 0 0 284.140 2.573 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)c1ccoc1 ZINC000061065088 618098601 /nfs/dbraw/zinc/09/86/01/618098601.db2.gz RKUFLILUZZSDPU-UHFFFAOYSA-N 0 0 261.233 2.587 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)ccc1F ZINC000061123165 618103594 /nfs/dbraw/zinc/10/35/94/618103594.db2.gz DCOGQLFOGALOQH-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CCCCCOC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000061169859 618107952 /nfs/dbraw/zinc/10/79/52/618107952.db2.gz GFELIQWEWGMBQS-UHFFFAOYSA-N 0 0 267.281 2.707 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC1CC(c2ccccc2)C1 ZINC000892320318 618121227 /nfs/dbraw/zinc/12/12/27/618121227.db2.gz CCAKKUWGHPJMBH-UHFFFAOYSA-N 0 0 272.308 2.686 20 5 CFBDRN O=C(OC[C@H]1Cc2ccccc2O1)c1cccc([N+](=O)[O-])c1 ZINC000177822423 618131479 /nfs/dbraw/zinc/13/14/79/618131479.db2.gz MLOIWLUDRZRVLO-CQSZACIVSA-N 0 0 299.282 2.755 20 5 CFBDRN C/C=C(\C)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000178791164 618133107 /nfs/dbraw/zinc/13/31/07/618133107.db2.gz XEDCKOTVEAHNES-ZZXKWVIFSA-N 0 0 256.208 2.778 20 5 CFBDRN CC(C)(C(=O)OC1CCCCCC1)n1cc([N+](=O)[O-])cn1 ZINC000102213830 618138443 /nfs/dbraw/zinc/13/84/43/618138443.db2.gz XCTDBVGFEZVVGG-UHFFFAOYSA-N 0 0 295.339 2.792 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)C1CCCCCCC1 ZINC000891348411 618142401 /nfs/dbraw/zinc/14/24/01/618142401.db2.gz OCEVOTPXGNHBBL-UHFFFAOYSA-N 0 0 280.328 2.627 20 5 CFBDRN CCCCCC[C@@H](C)C(=O)Nc1c([N+](=O)[O-])ncn1C ZINC000891348537 618142412 /nfs/dbraw/zinc/14/24/12/618142412.db2.gz PRQHTUWTKNLMNY-SNVBAGLBSA-N 0 0 282.344 2.873 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(F)c1 ZINC000050698292 618150083 /nfs/dbraw/zinc/15/00/83/618150083.db2.gz NCPAKMKXJNFQLP-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN Cc1c(OC(=O)[C@H]2C[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000176786321 618164849 /nfs/dbraw/zinc/16/48/49/618164849.db2.gz ZALRDJKDVMTFCJ-MNOVXSKESA-N 0 0 261.277 2.855 20 5 CFBDRN O=C(/C=C\c1ccco1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000255575752 618173692 /nfs/dbraw/zinc/17/36/92/618173692.db2.gz DVTPWUXXNYQSPO-FPLPWBNLSA-N 0 0 287.271 2.987 20 5 CFBDRN C[C@H](NC(=O)CCc1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000935089681 618181793 /nfs/dbraw/zinc/18/17/93/618181793.db2.gz ZBHRIIFPXXLZER-JTQLQIEISA-N 0 0 294.326 2.971 20 5 CFBDRN O=[N+]([O-])c1cc(NC2CCCC2)cc([N+](=O)[O-])c1 ZINC000891426489 618194800 /nfs/dbraw/zinc/19/48/00/618194800.db2.gz IQXVVLAETPXCLQ-UHFFFAOYSA-N 0 0 251.242 2.858 20 5 CFBDRN CC(C)=CC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162049883 618200473 /nfs/dbraw/zinc/20/04/73/618200473.db2.gz CCEKKMMUBDCQCH-UHFFFAOYSA-N 0 0 260.293 2.840 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCC[C@H]1CCCO1 ZINC000255590088 618210470 /nfs/dbraw/zinc/21/04/70/618210470.db2.gz LMLXIGMDAZDWCP-BMWLXYDHSA-N 0 0 291.303 2.720 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)Cc1cccc(=O)[nH]1 ZINC000933397361 618219326 /nfs/dbraw/zinc/21/93/26/618219326.db2.gz CCPXPPZIANDHNF-NSHDSACASA-N 0 0 287.319 2.888 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@@H]1C1CC1 ZINC000176940442 618231977 /nfs/dbraw/zinc/23/19/77/618231977.db2.gz AFYAJAZNECGNGJ-CHWSQXEVSA-N 0 0 261.277 2.684 20 5 CFBDRN CC[C@@]1(C(=O)[O-])CCC[N@H+](Cc2cccc([N+](=O)[O-])c2)C1 ZINC000062877534 618255044 /nfs/dbraw/zinc/25/50/44/618255044.db2.gz YEEGPDLUUULULN-OAHLLOKOSA-N 0 0 292.335 2.672 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](F)C2)c1C ZINC000892424978 618255596 /nfs/dbraw/zinc/25/55/96/618255596.db2.gz VABQGWVRQNWXFX-NSHDSACASA-N 0 0 280.299 2.786 20 5 CFBDRN CCO/C=C\C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000840589036 618263337 /nfs/dbraw/zinc/26/33/37/618263337.db2.gz ZHGGKWBNSWCPPP-WAYWQWQTSA-N 0 0 285.683 2.842 20 5 CFBDRN C[C@@H]1OCC[C@H]1COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000793424616 618279522 /nfs/dbraw/zinc/27/95/22/618279522.db2.gz WRLFDRNEQYIXEQ-IUCAKERBSA-N 0 0 299.710 2.830 20 5 CFBDRN COCc1nccc(N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000895088137 618280437 /nfs/dbraw/zinc/28/04/37/618280437.db2.gz WWOAMHLQRSSNJS-JTQLQIEISA-N 0 0 288.307 2.704 20 5 CFBDRN CC[C@@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000892461279 618297425 /nfs/dbraw/zinc/29/74/25/618297425.db2.gz FVFGQYYLZKSMJJ-NOZJJQNGSA-N 0 0 295.295 2.507 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC=C(C)CC1 ZINC000882133263 618322657 /nfs/dbraw/zinc/32/26/57/618322657.db2.gz KVNWNHACMBJMLQ-UHFFFAOYSA-N 0 0 260.293 2.954 20 5 CFBDRN COCCOC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C ZINC000179750249 618344713 /nfs/dbraw/zinc/34/47/13/618344713.db2.gz DITYWPIFHURUQT-UHFFFAOYSA-N 0 0 299.348 2.899 20 5 CFBDRN Cc1ccc(N2CCC[C@@H](CCO)C2)c([N+](=O)[O-])c1 ZINC000051895074 618348860 /nfs/dbraw/zinc/34/88/60/618348860.db2.gz RLACRZNUKRYYML-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN Cn1ccnc1COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000310837138 618349520 /nfs/dbraw/zinc/34/95/20/618349520.db2.gz LLSXRBUERAFNKQ-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN C[C@H](CNC(=O)OC(C)(C)C)Nc1ccccc1[N+](=O)[O-] ZINC000235477493 618349700 /nfs/dbraw/zinc/34/97/00/618349700.db2.gz GYUMIHOEKLGEBB-SNVBAGLBSA-N 0 0 295.339 2.920 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CCSCC2)cc1 ZINC000901373576 620798078 /nfs/dbraw/zinc/79/80/78/620798078.db2.gz MSPHUCSSMQYMOA-UHFFFAOYSA-N 0 0 283.349 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@]2(C)CCCO2)c1 ZINC000177241307 618380130 /nfs/dbraw/zinc/38/01/30/618380130.db2.gz LWPWAUFIMPLMGC-CQSZACIVSA-N 0 0 279.292 2.629 20 5 CFBDRN CC1CC(COC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000909471956 618382149 /nfs/dbraw/zinc/38/21/49/618382149.db2.gz NWYOGOXABQETBL-UHFFFAOYSA-N 0 0 267.256 2.937 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCc2ccon2)c1 ZINC000177329032 618402360 /nfs/dbraw/zinc/40/23/60/618402360.db2.gz XDKIBIUCKZICEP-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCCCc1ccoc1 ZINC000893337837 618413436 /nfs/dbraw/zinc/41/34/36/618413436.db2.gz CRDYASWCEHVJOO-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN Cc1c(C(=O)Nc2cccc(F)n2)cccc1[N+](=O)[O-] ZINC000162260830 618416150 /nfs/dbraw/zinc/41/61/50/618416150.db2.gz JYOFRNLXXDJOPA-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)CO[C@@H]1CCN(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000177399851 618416694 /nfs/dbraw/zinc/41/66/94/618416694.db2.gz BJSOIBMIYBNWME-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN C[C@]1(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCCOC1 ZINC000235717057 618421153 /nfs/dbraw/zinc/42/11/53/618421153.db2.gz YERMHNVABPPNMF-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2Cl)CCO1 ZINC000235729277 618424860 /nfs/dbraw/zinc/42/48/60/618424860.db2.gz NKXJEKSVLXWVDW-DTWKUNHWSA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(CCCF)OCc1ccc([N+](=O)[O-])cc1F ZINC000850192590 618428912 /nfs/dbraw/zinc/42/89/12/618428912.db2.gz BLQMCGRTQTZIHT-UHFFFAOYSA-N 0 0 259.208 2.527 20 5 CFBDRN C[C@@H]1C[C@H](Nc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CS1 ZINC000894194146 618435453 /nfs/dbraw/zinc/43/54/53/618435453.db2.gz KGQYABZRTGQGSI-APPZFPTMSA-N 0 0 283.309 2.809 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](OC(C)(C)C)c1ccccc1 ZINC000814039379 618439833 /nfs/dbraw/zinc/43/98/33/618439833.db2.gz OPOWHFKWLRSPAQ-DGCLKSJQSA-N 0 0 295.335 2.751 20 5 CFBDRN C[C@@H](CSc1ccccc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814041224 618440848 /nfs/dbraw/zinc/44/08/48/618440848.db2.gz QUDUCUJZWIRVLZ-QWRGUYRKSA-N 0 0 283.349 2.623 20 5 CFBDRN Cc1cc(Cl)c(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1C ZINC000814042148 618441791 /nfs/dbraw/zinc/44/17/91/618441791.db2.gz HDNBMCFMKIFMQH-VIFPVBQESA-N 0 0 271.700 2.779 20 5 CFBDRN CCSc1ccc(CC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814045125 618444076 /nfs/dbraw/zinc/44/40/76/618444076.db2.gz NBESGHFBYOOBBZ-JTQLQIEISA-N 0 0 283.349 2.550 20 5 CFBDRN Cc1c(NC(=O)N2CCCCC2)cccc1[N+](=O)[O-] ZINC000235831048 618447728 /nfs/dbraw/zinc/44/77/28/618447728.db2.gz AGDSOADMHYHZGB-UHFFFAOYSA-N 0 0 263.297 2.921 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCC2(C)CC2)c1F ZINC000312711005 618452904 /nfs/dbraw/zinc/45/29/04/618452904.db2.gz HHWKMOCTRRXTGZ-UHFFFAOYSA-N 0 0 284.262 2.711 20 5 CFBDRN CC[C@H](COC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)OC ZINC000842090434 618460989 /nfs/dbraw/zinc/46/09/89/618460989.db2.gz WRWOYCMPDSKJSU-MWLCHTKSSA-N 0 0 299.298 2.806 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC1CCC=CCC1 ZINC000895215785 618480183 /nfs/dbraw/zinc/48/01/83/618480183.db2.gz DBZITLWSNXJEOD-UHFFFAOYSA-N 0 0 290.319 2.832 20 5 CFBDRN CC1(Nc2nnc(-c3cccc([N+](=O)[O-])c3)o2)CC1 ZINC000901409974 620809961 /nfs/dbraw/zinc/80/99/61/620809961.db2.gz VVWWNJRINMLLGI-UHFFFAOYSA-N 0 0 260.253 2.609 20 5 CFBDRN Cc1noc(CN[C@@H](C(C)C)C(F)(F)F)c1[N+](=O)[O-] ZINC000901411996 620810794 /nfs/dbraw/zinc/81/07/94/620810794.db2.gz DOMDCYAXMVVQAY-VIFPVBQESA-N 0 0 281.234 2.568 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC/C=C(/C)COC ZINC000901414295 620811295 /nfs/dbraw/zinc/81/12/95/620811295.db2.gz ZLNDJFMPGQIIQN-XFFZJAGNSA-N 0 0 280.324 2.998 20 5 CFBDRN CSc1ccc(C(=O)N(C)CCCF)cc1[N+](=O)[O-] ZINC000895282190 618507468 /nfs/dbraw/zinc/50/74/68/618507468.db2.gz JHTXFXDXOZTILJ-UHFFFAOYSA-N 0 0 286.328 2.748 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1CSCCO ZINC000901425791 620814888 /nfs/dbraw/zinc/81/48/88/620814888.db2.gz WDBUPBLCHODVGX-UHFFFAOYSA-N 0 0 292.154 2.583 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC1(C2CC2)CCC1 ZINC000895359165 618549532 /nfs/dbraw/zinc/54/95/32/618549532.db2.gz ASZAAHZTVHGKQZ-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN COc1cc(C(=O)NC2(C3CC3)CCC2)ccc1[N+](=O)[O-] ZINC000895360839 618550065 /nfs/dbraw/zinc/55/00/65/618550065.db2.gz XETBADIKZXKIJV-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H]3C[C@@]3(c3ccccc3)C2)c(F)c1 ZINC000895391686 618563689 /nfs/dbraw/zinc/56/36/89/618563689.db2.gz JNFTYYWZKZWMMJ-WBMJQRKESA-N 0 0 299.305 2.907 20 5 CFBDRN CCC[C@H](C)OC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000177742722 618604906 /nfs/dbraw/zinc/60/49/06/618604906.db2.gz WKGCYFNIYIMZGO-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN Cn1nccc1CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000236088954 618605401 /nfs/dbraw/zinc/60/54/01/618605401.db2.gz BDAOPXRYAZQDKY-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CO[C@H](C)CC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177868396 618609947 /nfs/dbraw/zinc/60/99/47/618609947.db2.gz JTIVXXMPQJJDNQ-MNOVXSKESA-N 0 0 280.324 2.505 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C1 ZINC000162158729 618613283 /nfs/dbraw/zinc/61/32/83/618613283.db2.gz ZCCLTAXPQRKBOG-QMMMGPOBSA-N 0 0 297.742 2.703 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCC[C@H]1CCCO1 ZINC000177945927 618614804 /nfs/dbraw/zinc/61/48/04/618614804.db2.gz LMLXIGMDAZDWCP-LHRNQBEKSA-N 0 0 291.303 2.720 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC(C)(C)c1cccs1 ZINC000892534441 618616293 /nfs/dbraw/zinc/61/62/93/618616293.db2.gz KIUQPKHLMWPCKV-UHFFFAOYSA-N 0 0 280.353 2.780 20 5 CFBDRN CC(C)[C@H]1CCCN(c2c([N+](=O)[O-])cnn2C)CC1 ZINC000892545644 618626218 /nfs/dbraw/zinc/62/62/18/618626218.db2.gz PFGUWNRAUFKRTE-NSHDSACASA-N 0 0 266.345 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc([C@@H](C)O)cc2)n1 ZINC000178183473 618634525 /nfs/dbraw/zinc/63/45/25/618634525.db2.gz USTLTNYGNLRNJM-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1COCC1(C)C ZINC000935813028 618661100 /nfs/dbraw/zinc/66/11/00/618661100.db2.gz KTHHJFUNUXMDNE-UHFFFAOYSA-N 0 0 296.348 2.525 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892630999 618663537 /nfs/dbraw/zinc/66/35/37/618663537.db2.gz IBUOLUWEROMVQT-UFBFGSQYSA-N 0 0 271.276 2.738 20 5 CFBDRN C[C@H]1C[C@H](C)N(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000892642084 618668313 /nfs/dbraw/zinc/66/83/13/618668313.db2.gz LHPUKDGJRRIIMM-IUCAKERBSA-N 0 0 265.269 2.738 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892643879 618669221 /nfs/dbraw/zinc/66/92/21/618669221.db2.gz MCCXNBZGNFPDOR-LDYMZIIASA-N 0 0 271.276 2.738 20 5 CFBDRN CCCC(=CC(=O)OCCn1cc([N+](=O)[O-])cn1)CCC ZINC000180997118 618682561 /nfs/dbraw/zinc/68/25/61/618682561.db2.gz OCQDAEUCLZXGNC-UHFFFAOYSA-N 0 0 295.339 2.861 20 5 CFBDRN CC(C)(COC(=O)C1=CCC(C)(C)CC1)[N+](=O)[O-] ZINC000851128811 618689770 /nfs/dbraw/zinc/68/97/70/618689770.db2.gz FRNOZEBVVAIUNE-UHFFFAOYSA-N 0 0 255.314 2.721 20 5 CFBDRN CC1=CC[C@](C)(C(=O)OCC(C)(C)[N+](=O)[O-])CC1 ZINC000851130666 618690319 /nfs/dbraw/zinc/69/03/19/618690319.db2.gz YSNGEBIADIYURX-ZDUSSCGKSA-N 0 0 255.314 2.721 20 5 CFBDRN CCOC(=O)/C=C\COc1c(Cl)cccc1[N+](=O)[O-] ZINC000311271903 618700267 /nfs/dbraw/zinc/70/02/67/618700267.db2.gz QBHWHVJVMMXOFW-DAXSKMNVSA-N 0 0 285.683 2.746 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000892688091 618710278 /nfs/dbraw/zinc/71/02/78/618710278.db2.gz QHEMRTOGCLXVKZ-GARJFASQSA-N 0 0 264.329 2.709 20 5 CFBDRN CCOc1cc(NC(=O)C[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000892739056 618737740 /nfs/dbraw/zinc/73/77/40/618737740.db2.gz BAQRYOBVJZRMJE-LLVKDONJSA-N 0 0 294.307 2.501 20 5 CFBDRN CCOc1cc(NC(=O)[C@H](OC)C(C)C)ccc1[N+](=O)[O-] ZINC000892745171 618738096 /nfs/dbraw/zinc/73/80/96/618738096.db2.gz RRBOARQWQABWAW-CYBMUJFWSA-N 0 0 296.323 2.603 20 5 CFBDRN CCOc1cc(NC(=O)C(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000892747521 618738962 /nfs/dbraw/zinc/73/89/62/618738962.db2.gz WNJXKZFCZNPEQA-UHFFFAOYSA-N 0 0 294.307 2.547 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H](C)SC)ccc1[N+](=O)[O-] ZINC000892747988 618739313 /nfs/dbraw/zinc/73/93/13/618739313.db2.gz SVTVOFSZPQJGPK-MRVPVSSYSA-N 0 0 284.337 2.684 20 5 CFBDRN C[C@@H]1CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)[C@@H]1C ZINC000892800774 618749157 /nfs/dbraw/zinc/74/91/57/618749157.db2.gz KNRJMRVPJRQGJB-RKDXNWHRSA-N 0 0 265.269 2.738 20 5 CFBDRN CCOCCC1(CNc2ncc([N+](=O)[O-])cc2OC)CC1 ZINC000892951428 618780836 /nfs/dbraw/zinc/78/08/36/618780836.db2.gz NLWLHULWWWUUAF-UHFFFAOYSA-N 0 0 295.339 2.617 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)CC(C)(C)O)c1C ZINC000893000189 618798136 /nfs/dbraw/zinc/79/81/36/618798136.db2.gz ODQYWNKDLLDDGK-JTQLQIEISA-N 0 0 295.335 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@H]2CC2(F)F)c1C ZINC000893070118 618819494 /nfs/dbraw/zinc/81/94/94/618819494.db2.gz HPHVFOAIINALNU-JTQLQIEISA-N 0 0 298.289 2.987 20 5 CFBDRN CC(C)(COC(=O)c1cscc1C(F)F)[N+](=O)[O-] ZINC000893159830 618852430 /nfs/dbraw/zinc/85/24/30/618852430.db2.gz QPCMURDSOJFOBI-UHFFFAOYSA-N 0 0 279.264 2.898 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1CC1(Cl)Cl ZINC000309502517 618890903 /nfs/dbraw/zinc/89/09/03/618890903.db2.gz MBVMQKGGCNIQSN-ZCFIWIBFSA-N 0 0 262.096 2.596 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCCC[C@@H](C)C1 ZINC000893245552 618903031 /nfs/dbraw/zinc/90/30/31/618903031.db2.gz PYZRKLCKRYKIRW-SNVBAGLBSA-N 0 0 265.313 2.625 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H]1CSCCS1 ZINC000309991047 618926932 /nfs/dbraw/zinc/92/69/32/618926932.db2.gz BANFMIJKQCFIOY-SSDOTTSWSA-N 0 0 276.408 2.917 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CC[C@H]1C(F)F ZINC000931421820 618966125 /nfs/dbraw/zinc/96/61/25/618966125.db2.gz RIFDZMJOBYCFBD-KWQFWETISA-N 0 0 256.252 2.995 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2)C(=O)[C@@H]1C(C)C ZINC000108459734 618996373 /nfs/dbraw/zinc/99/63/73/618996373.db2.gz ADTCEUXKZXMACA-GFCCVEGCSA-N 0 0 261.281 2.590 20 5 CFBDRN C[C@@H]1CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCS1 ZINC000893481401 619014624 /nfs/dbraw/zinc/01/46/24/619014624.db2.gz WKFKDPBJJMZDEC-SECBINFHSA-N 0 0 297.336 2.835 20 5 CFBDRN C/C=C/COC(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-] ZINC000053921655 619079220 /nfs/dbraw/zinc/07/92/20/619079220.db2.gz CGDSMBCZZFTXSZ-GQCTYLIASA-N 0 0 295.291 2.735 20 5 CFBDRN C/C=C/COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000105248107 619083138 /nfs/dbraw/zinc/08/31/38/619083138.db2.gz BSPTUJXXKHAFFM-NSCUHMNNSA-N 0 0 255.657 2.981 20 5 CFBDRN Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)cn1 ZINC000073445323 619123723 /nfs/dbraw/zinc/12/37/23/619123723.db2.gz WFSMQSQGTYQWBF-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000036169562 619142535 /nfs/dbraw/zinc/14/25/35/619142535.db2.gz ZWGNOCPDIBPKCY-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])C(C)(C)C1 ZINC000893673529 619152470 /nfs/dbraw/zinc/15/24/70/619152470.db2.gz XHXNGVGJBXZEAB-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN O=C(Cc1ccc(Cl)c([N+](=O)[O-])c1)OCCOC1CC1 ZINC000924238935 619169274 /nfs/dbraw/zinc/16/92/74/619169274.db2.gz GPXIBCGYZGLMFZ-UHFFFAOYSA-N 0 0 299.710 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCCCC2)c1F ZINC000235873265 619193704 /nfs/dbraw/zinc/19/37/04/619193704.db2.gz UQHZBWUJHUBCKA-UHFFFAOYSA-N 0 0 266.272 2.668 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCC[C@@H]1CC[C@H]2C[C@H]21 ZINC000893799979 619220744 /nfs/dbraw/zinc/22/07/44/619220744.db2.gz UEENJHDXCTXXJH-NHCYSSNCSA-N 0 0 278.308 2.526 20 5 CFBDRN CC[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000893863260 619230601 /nfs/dbraw/zinc/23/06/01/619230601.db2.gz DWHUQYCYYCCWOB-WCQYABFASA-N 0 0 292.335 2.530 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1Cc2cccc(Cl)c2C1 ZINC000893866328 619231196 /nfs/dbraw/zinc/23/11/96/619231196.db2.gz LKQQFHXAKWHNBY-UHFFFAOYSA-N 0 0 278.699 2.502 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCCSC1 ZINC000893874723 619233087 /nfs/dbraw/zinc/23/30/87/619233087.db2.gz SGHFHJPLFQQJAI-VIFPVBQESA-N 0 0 283.353 2.554 20 5 CFBDRN Cc1c(COC(=O)C2(C)CCOCC2)cccc1[N+](=O)[O-] ZINC000726838901 619265779 /nfs/dbraw/zinc/26/57/79/619265779.db2.gz AWWNADCVWKXXRS-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N(CC1CC1)CC1CC1 ZINC000070505242 625331535 /nfs/dbraw/zinc/33/15/35/625331535.db2.gz ZTCQNDALHGYLTR-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1C[C@H](OC(C)(C)C)C1(C)C ZINC000894224594 619271192 /nfs/dbraw/zinc/27/11/92/619271192.db2.gz XDJVVZUPWPHACS-MNOVXSKESA-N 0 0 296.371 2.722 20 5 CFBDRN Cc1ccc(O)c(C(=O)OCc2cccc([N+](=O)[O-])c2)c1 ZINC000015576119 619278414 /nfs/dbraw/zinc/27/84/14/619278414.db2.gz OEPUSLJRRYTBDG-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN CC[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000840670538 619299530 /nfs/dbraw/zinc/29/95/30/619299530.db2.gz HYBVSGNYJNXAIM-UTUOFQBUSA-N 0 0 283.711 3.000 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)c(Cl)c1 ZINC000840672666 619325170 /nfs/dbraw/zinc/32/51/70/619325170.db2.gz KPHIDYQYWFGJCH-AZTOOPQRSA-N 0 0 287.674 2.749 20 5 CFBDRN O=C(/C=C\c1cccnc1)Nc1ccc([N+](=O)[O-])cc1F ZINC000726916234 619377157 /nfs/dbraw/zinc/37/71/57/619377157.db2.gz OJHONSMWANLFBS-UTCJRWHESA-N 0 0 287.250 2.781 20 5 CFBDRN COc1ccc(OC(=O)C[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000792117344 619422656 /nfs/dbraw/zinc/42/26/56/619422656.db2.gz GHXKFVHAKICAGU-SECBINFHSA-N 0 0 279.292 2.945 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000164255258 619431249 /nfs/dbraw/zinc/43/12/49/619431249.db2.gz DZVPDVOTISXQOC-DTWKUNHWSA-N 0 0 266.272 2.604 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1SC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000894682070 619442210 /nfs/dbraw/zinc/44/22/10/619442210.db2.gz SOIPMVJMQSHZOX-KXUCPTDWSA-N 0 0 267.354 2.857 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1SC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000894682069 619442239 /nfs/dbraw/zinc/44/22/39/619442239.db2.gz SOIPMVJMQSHZOX-BBBLOLIVSA-N 0 0 267.354 2.857 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1C[C@@H]2C[C@@H](c3ccccc3)[C@@H]2C1 ZINC000894776129 619461730 /nfs/dbraw/zinc/46/17/30/619461730.db2.gz GXVUMIGIGIGRRW-MELADBBJSA-N 0 0 298.346 2.568 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@H]1CCSC1 ZINC000894857032 619485316 /nfs/dbraw/zinc/48/53/16/619485316.db2.gz VJCNIKRWCJXZNY-VIFPVBQESA-N 0 0 283.353 2.554 20 5 CFBDRN Cc1ncoc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000894868240 619491150 /nfs/dbraw/zinc/49/11/50/619491150.db2.gz WUOLBKGBFKRSSR-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN CCNc1cc(CNc2ccc([N+](=O)[O-])c(C)n2)ccn1 ZINC000894871679 619493210 /nfs/dbraw/zinc/49/32/10/619493210.db2.gz VNVJLKUKQZGCNZ-UHFFFAOYSA-N 0 0 287.323 2.737 20 5 CFBDRN CN1C[C@H]2CC[C@@H](C1)N2c1ccc([N+](=O)[O-])cc1Cl ZINC000894880677 619495187 /nfs/dbraw/zinc/49/51/87/619495187.db2.gz FTXUBVHIWKZVSR-PHIMTYICSA-N 0 0 281.743 2.531 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000889603649 619509576 /nfs/dbraw/zinc/50/95/76/619509576.db2.gz ROLQCASNUHWQRB-JFGNBEQYSA-N 0 0 289.335 2.555 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC1CCC(OC(C)(C)C)CC1 ZINC000894925502 619514175 /nfs/dbraw/zinc/51/41/75/619514175.db2.gz LKVVRIZZIJROKC-UHFFFAOYSA-N 0 0 296.371 2.867 20 5 CFBDRN C[C@@H](F)CCNC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000895049993 619534584 /nfs/dbraw/zinc/53/45/84/619534584.db2.gz HSTLAUNICQBTGB-WDEREUQCSA-N 0 0 297.330 2.746 20 5 CFBDRN CNc1c(C(=O)OC[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000793177231 619536107 /nfs/dbraw/zinc/53/61/07/619536107.db2.gz JYYHYZONIWKWJQ-VIFPVBQESA-N 0 0 296.348 2.546 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC(F)(F)CC1 ZINC000795270306 619540087 /nfs/dbraw/zinc/54/00/87/619540087.db2.gz AHLNEVIUZCXESQ-UHFFFAOYSA-N 0 0 286.278 2.977 20 5 CFBDRN COC(=O)CC1CC(Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000895501343 619544016 /nfs/dbraw/zinc/54/40/16/619544016.db2.gz VTTFRKXZPCFROM-UHFFFAOYSA-N 0 0 278.308 2.657 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2c3ccccc3OC[C@@H]2F)s1 ZINC000895560666 619555863 /nfs/dbraw/zinc/55/58/63/619555863.db2.gz RZWKSARXAQBUEQ-KWQFWETISA-N 0 0 295.295 2.935 20 5 CFBDRN CC(C)(C)OC[C@H]1CCCN1c1ccc([N+](=O)[O-])nc1 ZINC000895655144 619576308 /nfs/dbraw/zinc/57/63/08/619576308.db2.gz OTADYOCRMORHOS-GFCCVEGCSA-N 0 0 279.340 2.774 20 5 CFBDRN CC(C)(C)OC[C@@H]1CCCN1c1ccc([N+](=O)[O-])cn1 ZINC000895657486 619577195 /nfs/dbraw/zinc/57/71/95/619577195.db2.gz KVZHWMCOGRSPKJ-LBPRGKRZSA-N 0 0 279.340 2.774 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c3cnccc32)CCO1 ZINC000895758871 619601281 /nfs/dbraw/zinc/60/12/81/619601281.db2.gz NYGNQOAVTYKTPJ-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cnc(N[C@H](c2ncccn2)C2CC2)c([N+](=O)[O-])c1 ZINC000895778118 619604758 /nfs/dbraw/zinc/60/47/58/619604758.db2.gz RPCXVJBCTMLNEZ-LBPRGKRZSA-N 0 0 285.307 2.651 20 5 CFBDRN Cc1nc(N[C@@H](c2ncccn2)C2CC2)ccc1[N+](=O)[O-] ZINC000895778454 619605161 /nfs/dbraw/zinc/60/51/61/619605161.db2.gz YRVJUDRCPYQHOG-CYBMUJFWSA-N 0 0 285.307 2.651 20 5 CFBDRN Cc1cccc(NC[C@]2(OC(C)C)CCOC2)c1[N+](=O)[O-] ZINC000895868485 619626389 /nfs/dbraw/zinc/62/63/89/619626389.db2.gz CMBXWXRWFMXOTI-OAHLLOKOSA-N 0 0 294.351 2.899 20 5 CFBDRN C[C@H]1CO[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000895964057 619645210 /nfs/dbraw/zinc/64/52/10/619645210.db2.gz UAIRDTLCWRSZGU-LDYMZIIASA-N 0 0 275.264 2.742 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CCCC3(CCC3)O2)c1[N+](=O)[O-] ZINC000896169302 619685529 /nfs/dbraw/zinc/68/55/29/619685529.db2.gz FOYUCCJUCDFXPG-SNVBAGLBSA-N 0 0 293.323 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCC3(CCC3)O2)nc1 ZINC000896168817 619687678 /nfs/dbraw/zinc/68/76/78/619687678.db2.gz XWYWEUDZCFGFIE-GFCCVEGCSA-N 0 0 277.324 2.894 20 5 CFBDRN CCC[C@@H](O)CCNc1ncc([N+](=O)[O-])cc1Cl ZINC000896182281 619688188 /nfs/dbraw/zinc/68/81/88/619688188.db2.gz HMXVEJCZVNKTAB-SECBINFHSA-N 0 0 273.720 2.606 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])c(N)c2F)CCCCO1 ZINC000896184265 619688760 /nfs/dbraw/zinc/68/87/60/619688760.db2.gz JRUZSSHCAIWDGQ-ZDUSSCGKSA-N 0 0 283.303 2.687 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])cc2)CCCCO1 ZINC000896184191 619688765 /nfs/dbraw/zinc/68/87/65/619688765.db2.gz SZINIKVECCCJPD-ZDUSSCGKSA-N 0 0 250.298 2.966 20 5 CFBDRN Cc1cc(NC[C@]2(C)CCCCO2)ncc1[N+](=O)[O-] ZINC000896184298 619688770 /nfs/dbraw/zinc/68/87/70/619688770.db2.gz KJOGZHWHKKXSII-ZDUSSCGKSA-N 0 0 265.313 2.669 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cc2F)CCCCO1 ZINC000896178863 619689276 /nfs/dbraw/zinc/68/92/76/619689276.db2.gz HXWYQVPVTACHJY-GFCCVEGCSA-N 0 0 269.276 2.500 20 5 CFBDRN CCC[C@@H](O)CCNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000896185455 619689984 /nfs/dbraw/zinc/68/99/84/619689984.db2.gz CWSGHKKNQMUDAT-GFCCVEGCSA-N 0 0 280.324 2.760 20 5 CFBDRN CCC[C@@H](O)CCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000896186098 619690031 /nfs/dbraw/zinc/69/00/31/619690031.db2.gz FSTRWPMSSBFKQD-GFCCVEGCSA-N 0 0 252.314 2.866 20 5 CFBDRN CCC[C@@H](O)CCNc1cc(OC)ccc1[N+](=O)[O-] ZINC000896185993 619690252 /nfs/dbraw/zinc/69/02/52/619690252.db2.gz KOYLPFIDRJAIGT-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nc3ccccn3c2[N+](=O)[O-])CCS1 ZINC000896244202 619699349 /nfs/dbraw/zinc/69/93/49/619699349.db2.gz GDPUKXAWBBGCMQ-NXEZZACHSA-N 0 0 292.364 2.939 20 5 CFBDRN Cc1cc(N[C@H]2CCS[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000896245802 619700376 /nfs/dbraw/zinc/70/03/76/619700376.db2.gz ODOGASRDVLBBQE-ZJUUUORDSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCS[C@@H](C)C2)n1 ZINC000896251595 619706054 /nfs/dbraw/zinc/70/60/54/619706054.db2.gz ZIZLFXNCRKTHMX-VHSXEESVSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCS[C@H](C)C2)n1 ZINC000896251589 619706077 /nfs/dbraw/zinc/70/60/77/619706077.db2.gz ZIZLFXNCRKTHMX-NXEZZACHSA-N 0 0 267.354 2.994 20 5 CFBDRN CCOC(=O)[C@@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000896264614 619706972 /nfs/dbraw/zinc/70/69/72/619706972.db2.gz HNNFXJFIWFUFOQ-SECBINFHSA-N 0 0 298.726 2.638 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC(O)(C2CCC2)CC1 ZINC000896323940 619715352 /nfs/dbraw/zinc/71/53/52/619715352.db2.gz VDULGFCOEKKSOV-UHFFFAOYSA-N 0 0 282.365 2.788 20 5 CFBDRN C[C@@H]1C(=O)CCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000896337731 619718986 /nfs/dbraw/zinc/71/89/86/619718986.db2.gz WIBBQDYLSFDQOJ-MRVPVSSYSA-N 0 0 291.332 2.762 20 5 CFBDRN C[C@H]1CCC(=O)CN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000896342146 619721420 /nfs/dbraw/zinc/72/14/20/619721420.db2.gz BVSQQAJDDRSCEY-JTQLQIEISA-N 0 0 285.303 2.701 20 5 CFBDRN CC(C)[C@H](Nc1cccnc1[N+](=O)[O-])c1cnn(C)c1 ZINC000896422236 619734246 /nfs/dbraw/zinc/73/42/46/619734246.db2.gz IJDHEBVWGOPUPM-LBPRGKRZSA-N 0 0 275.312 2.533 20 5 CFBDRN Cc1ccc(NCCNc2cc(C)c([N+](=O)[O-])cn2)nc1 ZINC000896456320 619741874 /nfs/dbraw/zinc/74/18/74/619741874.db2.gz SMVPVVKOOMGXMH-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN COC[C@H](CNc1ncc([N+](=O)[O-])cc1C)C(C)C ZINC000896501553 619750786 /nfs/dbraw/zinc/75/07/86/619750786.db2.gz DBXGDNQJQQVGNY-NSHDSACASA-N 0 0 267.329 2.629 20 5 CFBDRN COC[C@H](CNc1ccc([N+](=O)[O-])c(C)n1)C(C)C ZINC000896505340 619752762 /nfs/dbraw/zinc/75/27/62/619752762.db2.gz NMUURADYOQDCQU-NSHDSACASA-N 0 0 267.329 2.629 20 5 CFBDRN Cc1nn(C)c(NCC2CCC=CCC2)c1[N+](=O)[O-] ZINC000896551706 619760786 /nfs/dbraw/zinc/76/07/86/619760786.db2.gz PRXRGPGBDUJZJJ-UHFFFAOYSA-N 0 0 264.329 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](C3CCOCC3)C2)nc1 ZINC000896585956 619768215 /nfs/dbraw/zinc/76/82/15/619768215.db2.gz GJYUNJJIAGQAEW-ZDUSSCGKSA-N 0 0 291.351 2.633 20 5 CFBDRN CCn1cnc2c1CCN(c1c(F)cccc1[N+](=O)[O-])C2 ZINC000896655410 619784085 /nfs/dbraw/zinc/78/40/85/619784085.db2.gz WSARMETUKABYRH-UHFFFAOYSA-N 0 0 290.298 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H](CO)C2)nc1-c1ccccc1 ZINC000896690736 619788983 /nfs/dbraw/zinc/78/89/83/619788983.db2.gz QOUSYMUXWNPQKX-AULYBMBSSA-N 0 0 299.330 2.840 20 5 CFBDRN O=[N+]([O-])c1cccc(CCc2nc(C3CSC3)no2)c1 ZINC000896724041 619794837 /nfs/dbraw/zinc/79/48/37/619794837.db2.gz VRBDHQFVTRNLJI-UHFFFAOYSA-N 0 0 291.332 2.593 20 5 CFBDRN Cc1nccnc1NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000896792967 619819858 /nfs/dbraw/zinc/81/98/58/619819858.db2.gz CMMMAQXFSLPCBK-SNVBAGLBSA-N 0 0 272.308 2.909 20 5 CFBDRN Cc1ccnc(NC[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000896794975 619820493 /nfs/dbraw/zinc/82/04/93/619820493.db2.gz YKTPVPWJDVCFRA-JTQLQIEISA-N 0 0 272.308 2.909 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2CC[C@@H](C3CC3)O2)c1 ZINC000896800415 619821644 /nfs/dbraw/zinc/82/16/44/619821644.db2.gz GOPVRQIZGOYMPL-RISCZKNCSA-N 0 0 277.324 2.547 20 5 CFBDRN C[C@H]1C(=O)CC[C@@H]1CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000796232018 619863172 /nfs/dbraw/zinc/86/31/72/619863172.db2.gz KQYKCRXXPWOVCP-PSASIEDQSA-N 0 0 297.332 2.705 20 5 CFBDRN CC[C@](C)(OC)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000796392234 619880769 /nfs/dbraw/zinc/88/07/69/619880769.db2.gz CWBWWDDZVGGFIE-AWEZNQCLSA-N 0 0 281.308 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc(SC2COC2)cc1OC(F)F ZINC000896960776 619906403 /nfs/dbraw/zinc/90/64/03/619906403.db2.gz PLFYEJJQPRQHDI-UHFFFAOYSA-N 0 0 277.248 2.687 20 5 CFBDRN COc1cc(NC2CC3(C2)CO[C@H](C)C3)ccc1[N+](=O)[O-] ZINC000897052864 619920805 /nfs/dbraw/zinc/92/08/05/619920805.db2.gz PXLBEASYDWUTRX-CKQAKLJMSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1ccnc(NC2CC3(C2)CO[C@@H](C)C3)c1[N+](=O)[O-] ZINC000897054089 619921135 /nfs/dbraw/zinc/92/11/35/619921135.db2.gz XZMFDBXRLJHYEI-IFQILLTASA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC2CC3(C2)CO[C@H](C)C3)n1 ZINC000897053266 619921248 /nfs/dbraw/zinc/92/12/48/619921248.db2.gz SZCDZXZRLKRMQA-CDWSIMAYSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@@H](CNC(=O)N1CC(C)(C)C1)c1ccccc1[N+](=O)[O-] ZINC000897062544 619923761 /nfs/dbraw/zinc/92/37/61/619923761.db2.gz HFZWKUAJBITOEY-NSHDSACASA-N 0 0 291.351 2.750 20 5 CFBDRN CCC1(NC(=O)NC[C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000897064425 619924019 /nfs/dbraw/zinc/92/40/19/619924019.db2.gz YITYUSYLSHTLJB-NSHDSACASA-N 0 0 291.351 2.940 20 5 CFBDRN CCC1(NC(=O)NC[C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000897064422 619924078 /nfs/dbraw/zinc/92/40/78/619924078.db2.gz YITYUSYLSHTLJB-LLVKDONJSA-N 0 0 291.351 2.940 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000897078336 619928665 /nfs/dbraw/zinc/92/86/65/619928665.db2.gz QRALYHNFHATIAY-HYYGPYEASA-N 0 0 280.711 2.836 20 5 CFBDRN Cc1cc(N[C@H]2CC23CC(O)C3)c(Cl)cc1[N+](=O)[O-] ZINC000897092822 619929005 /nfs/dbraw/zinc/92/90/05/619929005.db2.gz FBIOFWBQWGZPDE-CXJYDNQRSA-N 0 0 282.727 2.882 20 5 CFBDRN CCc1nn(C)c(Nc2cnn(C3CCC3)c2)c1[N+](=O)[O-] ZINC000897103355 619933987 /nfs/dbraw/zinc/93/39/87/619933987.db2.gz WGRUBBBXMWHOKJ-UHFFFAOYSA-N 0 0 290.327 2.556 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(C3CCC3)c2)cn1 ZINC000897107844 619934633 /nfs/dbraw/zinc/93/46/33/619934633.db2.gz VBNQGMZHHMHYFZ-UHFFFAOYSA-N 0 0 259.269 2.655 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1cc(C)sc1[N+](=O)[O-] ZINC000897142503 619938983 /nfs/dbraw/zinc/93/89/83/619938983.db2.gz NHCFZZMVGVQNMP-PSASIEDQSA-N 0 0 256.327 2.578 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000897143518 619940593 /nfs/dbraw/zinc/94/05/93/619940593.db2.gz CODPKGYYFNSZEI-CMPLNLGQSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1nccc2c1cccc2[N+](=O)[O-] ZINC000897144046 619940675 /nfs/dbraw/zinc/94/06/75/619940675.db2.gz HHOLDXAZTGHYBG-KGLIPLIRSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000897144319 619940683 /nfs/dbraw/zinc/94/06/83/619940683.db2.gz JPEABIUGTJZSFG-GWCFXTLKSA-N 0 0 268.288 2.656 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]2C2CCCC2)s1 ZINC000897157546 619942117 /nfs/dbraw/zinc/94/21/17/619942117.db2.gz ZOAHIKLSAYJXJM-SECBINFHSA-N 0 0 253.327 2.820 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2C[C@@H]3CCC[C@@H]23)cc1F ZINC000897176936 619944881 /nfs/dbraw/zinc/94/48/81/619944881.db2.gz HBYXJXLPHPNOJK-IYYTYJHQSA-N 0 0 280.299 2.827 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cc1nc(CCCCF)no1 ZINC000897201829 619948512 /nfs/dbraw/zinc/94/85/12/619948512.db2.gz MLCUKZHAYBBYMB-UHFFFAOYSA-N 0 0 297.261 3.000 20 5 CFBDRN COc1c(-c2nc(CCCCF)no2)cccc1[N+](=O)[O-] ZINC000897205621 619949620 /nfs/dbraw/zinc/94/96/20/619949620.db2.gz KQBQITRGPMLETF-UHFFFAOYSA-N 0 0 295.270 2.946 20 5 CFBDRN CO[C@@H](C)COC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909593653 619967192 /nfs/dbraw/zinc/96/71/92/619967192.db2.gz LNFHKDSVPQPOJL-QMMMGPOBSA-N 0 0 285.321 2.508 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](Cc3nccs3)C2)nc1 ZINC000897279868 619967295 /nfs/dbraw/zinc/96/72/95/619967295.db2.gz YGYRTTYJRMTUET-SNVBAGLBSA-N 0 0 290.348 2.515 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@H](Cc2nccs2)C1 ZINC000897286990 619969710 /nfs/dbraw/zinc/96/97/10/619969710.db2.gz ZMWKKJVYYMNSKA-SNVBAGLBSA-N 0 0 290.348 2.515 20 5 CFBDRN CC[C@H](C)OC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000121647608 620007938 /nfs/dbraw/zinc/00/79/38/620007938.db2.gz NXDZHVBZMWQMNP-JTQLQIEISA-N 0 0 266.297 2.739 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC(Cc2ccoc2)C1 ZINC000897350667 620013188 /nfs/dbraw/zinc/01/31/88/620013188.db2.gz IBSXCAQFIPIGCS-UHFFFAOYSA-N 0 0 293.710 2.915 20 5 CFBDRN Cc1cc(N2CC(Cc3ccoc3)C2)ncc1[N+](=O)[O-] ZINC000897350972 620013579 /nfs/dbraw/zinc/01/35/79/620013579.db2.gz RIGSWCWIMMSEFD-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC(Cc2ccoc2)C1 ZINC000897350988 620014131 /nfs/dbraw/zinc/01/41/31/620014131.db2.gz SBMLJSPRBBVRFW-UHFFFAOYSA-N 0 0 258.277 2.867 20 5 CFBDRN CC1(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000223644297 620028566 /nfs/dbraw/zinc/02/85/66/620028566.db2.gz PSAIVMQVLAJRJG-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN Cc1cc(NCCc2cccnc2C)ncc1[N+](=O)[O-] ZINC000897405842 620104570 /nfs/dbraw/zinc/10/45/70/620104570.db2.gz JJMSDMWENJOMBC-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CSC1(COC(=O)c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000799685486 620117168 /nfs/dbraw/zinc/11/71/68/620117168.db2.gz WENHQCAUNSOSPV-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN Cc1ccc(OS(=O)(=O)CC(C)(C)C)cc1[N+](=O)[O-] ZINC000799792552 620123107 /nfs/dbraw/zinc/12/31/07/620123107.db2.gz AAKSJPRGJRHHLH-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000889580766 620140907 /nfs/dbraw/zinc/14/09/07/620140907.db2.gz MFLJHLCZTNWNSA-ADEWGFFLSA-N 0 0 290.319 2.522 20 5 CFBDRN CC(C)c1ccc(C[N@H+](C)[C@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000263408353 620141323 /nfs/dbraw/zinc/14/13/23/620141323.db2.gz KMLPFFHPUWTUDV-SNVBAGLBSA-N 0 0 280.324 2.623 20 5 CFBDRN O=C(Nc1cccc(Cl)n1)c1ccc([N+](=O)[O-])cc1 ZINC000080797806 625400214 /nfs/dbraw/zinc/40/02/14/625400214.db2.gz QHGBPFZYSBATBF-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2COC[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000897441978 620172734 /nfs/dbraw/zinc/17/27/34/620172734.db2.gz NRNVGZZWNVJFJR-OCCSQVGLSA-N 0 0 290.319 2.634 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1ncc(Cl)cc1[N+](=O)[O-])C2 ZINC000897491054 620189338 /nfs/dbraw/zinc/18/93/38/620189338.db2.gz BGFCBVGBNWPZMZ-PELKAZGASA-N 0 0 299.783 2.833 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1ccc([N+](=O)[O-])c(N)c1F)C2 ZINC000897494049 620189777 /nfs/dbraw/zinc/18/97/77/620189777.db2.gz FTFQERZSCPOAQJ-OQPBUACISA-N 0 0 297.355 2.505 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1cccc(F)c1[N+](=O)[O-])C2 ZINC000897497702 620190721 /nfs/dbraw/zinc/19/07/21/620190721.db2.gz ZNNYUBFRWFLJDN-RNCFNFMXSA-N 0 0 282.340 2.923 20 5 CFBDRN Nc1nc(SC2CCC(F)(F)CC2)ncc1[N+](=O)[O-] ZINC000897539215 620226042 /nfs/dbraw/zinc/22/60/42/620226042.db2.gz SKYVCMAZFPEHLZ-UHFFFAOYSA-N 0 0 290.295 2.637 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929103309 620235542 /nfs/dbraw/zinc/23/55/42/620235542.db2.gz DZQCRQIKECGNNT-XDTLVQLUSA-N 0 0 262.309 2.613 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCCC[C@@H]([C@H]2CCOC2)C1 ZINC000897561659 620247224 /nfs/dbraw/zinc/24/72/24/620247224.db2.gz AMMLHNWCOKNPTQ-OLZOCXBDSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@H]([C@H]3CCOC3)C2)nc1 ZINC000897564580 620247389 /nfs/dbraw/zinc/24/73/89/620247389.db2.gz QMGGJZXXXXCLNW-STQMWFEESA-N 0 0 291.351 2.633 20 5 CFBDRN CC1(C)CN(C(=O)c2cc3ccccc3c([N+](=O)[O-])c2N)C1 ZINC000897603822 620256882 /nfs/dbraw/zinc/25/68/82/620256882.db2.gz GPBMYPHAOMFQSK-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(CC(F)F)C2)c1C ZINC000898014423 620322907 /nfs/dbraw/zinc/32/29/07/620322907.db2.gz CWSVSELFPGBIQG-UHFFFAOYSA-N 0 0 298.289 2.939 20 5 CFBDRN Cc1ccc(CNC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])nc1 ZINC000898084844 620334708 /nfs/dbraw/zinc/33/47/08/620334708.db2.gz OOPWWNZZUDEUSR-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC(Cc3ccoc3)C2)c1 ZINC000898162421 620341143 /nfs/dbraw/zinc/34/11/43/620341143.db2.gz RZVDWJPMVVHKNN-UHFFFAOYSA-N 0 0 272.304 2.862 20 5 CFBDRN CCOc1ccc(C(=O)OCC(C)C)cc1[N+](=O)[O-] ZINC000078291798 620420306 /nfs/dbraw/zinc/42/03/06/620420306.db2.gz RQTAELSUPZJLTC-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN CC(C)N1CCC[C@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000923887069 620425482 /nfs/dbraw/zinc/42/54/82/620425482.db2.gz CUNLTZWSOPFBKQ-AWEZNQCLSA-N 0 0 292.335 2.511 20 5 CFBDRN CO[C@H](COC(=O)c1cc([N+](=O)[O-])cnc1C)CC(C)C ZINC000842060986 620449499 /nfs/dbraw/zinc/44/94/99/620449499.db2.gz FSFUDYGJISPVDC-LBPRGKRZSA-N 0 0 296.323 2.516 20 5 CFBDRN Cc1nc(-c2ccc(OCC[N+](=O)[O-])cc2)cs1 ZINC000899230082 620533536 /nfs/dbraw/zinc/53/35/36/620533536.db2.gz CJBKEEANEHCEME-UHFFFAOYSA-N 0 0 264.306 2.774 20 5 CFBDRN COc1ccc(CSCC[N+](=O)[O-])cc1Cl ZINC000899883639 620608936 /nfs/dbraw/zinc/60/89/36/620608936.db2.gz PVDRUUKGJBOTJX-UHFFFAOYSA-N 0 0 261.730 2.859 20 5 CFBDRN C[C@@H]1Cc2cc(NCc3cccnc3[N+](=O)[O-])ccc2O1 ZINC000901446183 620822892 /nfs/dbraw/zinc/82/28/92/620822892.db2.gz GIMOCNXSHUVBSY-SNVBAGLBSA-N 0 0 285.303 2.925 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H]1CCC[C@H](C(F)(F)F)O1 ZINC000901476458 620825643 /nfs/dbraw/zinc/82/56/43/620825643.db2.gz IHLZALHFEVPQHZ-DTWKUNHWSA-N 0 0 293.245 2.600 20 5 CFBDRN COC/C(C)=C/COc1c(Cl)cncc1[N+](=O)[O-] ZINC000901549939 620862012 /nfs/dbraw/zinc/86/20/12/620862012.db2.gz QSEMXRJJZXAZEU-FPYGCLRLSA-N 0 0 272.688 2.615 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)C[N@@H+](C)C[C@H](C)C(=O)[O-] ZINC000901597710 620870303 /nfs/dbraw/zinc/87/03/03/620870303.db2.gz PTBWOYOBEKCMKI-VNKGSWCUSA-N 0 0 292.335 2.651 20 5 CFBDRN CCOc1cc(CSC2COC2)ccc1[N+](=O)[O-] ZINC000901636006 620877013 /nfs/dbraw/zinc/87/70/13/620877013.db2.gz XZLHZXIDOJZVOA-UHFFFAOYSA-N 0 0 269.322 2.626 20 5 CFBDRN CC(C)(CCC(=O)[O-])[NH2+]C/C=C\c1ccccc1[N+](=O)[O-] ZINC000901661910 620885380 /nfs/dbraw/zinc/88/53/80/620885380.db2.gz OILCQOVAPYDDHL-ALCCZGGFSA-N 0 0 292.335 2.841 20 5 CFBDRN Cc1cccc(OC(=O)c2ccc(N)c([N+](=O)[O-])c2)c1 ZINC000042486695 620913902 /nfs/dbraw/zinc/91/39/02/620913902.db2.gz OBCIDKDRPZFLIO-UHFFFAOYSA-N 0 0 272.260 2.705 20 5 CFBDRN Cc1ccc(C[NH2+][C@@](C)(CC(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000901818967 620927292 /nfs/dbraw/zinc/92/72/92/620927292.db2.gz NNMONRFIKRJDBK-HNNXBMFYSA-N 0 0 294.351 2.882 20 5 CFBDRN Cc1nonc1CNc1cc([N+](=O)[O-])c(C)cc1C ZINC000901928147 620943923 /nfs/dbraw/zinc/94/39/23/620943923.db2.gz JLUQXMJIDPBFTJ-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN O=C([O-])C[C@@H]1CCC[N@@H+]1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000902010218 620957221 /nfs/dbraw/zinc/95/72/21/620957221.db2.gz SONXOLXIVNOLGZ-YIALFULDSA-N 0 0 290.319 2.547 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC[C@H]1C[C@@]12CCOC2 ZINC000902057558 620962571 /nfs/dbraw/zinc/96/25/71/620962571.db2.gz OCFLFNNLRDFQLQ-IUODEOHRSA-N 0 0 276.336 2.996 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1cc(OC)no1 ZINC000902046743 620963707 /nfs/dbraw/zinc/96/37/07/620963707.db2.gz RXAXAMHVPLAJFP-UHFFFAOYSA-N 0 0 293.279 2.602 20 5 CFBDRN CC(F)(F)CCCn1cnc2ccc([N+](=O)[O-])cc2c1=O ZINC000902130031 620984762 /nfs/dbraw/zinc/98/47/62/620984762.db2.gz NPMUCAWKDRSTIU-UHFFFAOYSA-N 0 0 297.261 2.740 20 5 CFBDRN COCCc1ccc(OCc2cccnc2[N+](=O)[O-])cc1 ZINC000902144590 620986998 /nfs/dbraw/zinc/98/69/98/620986998.db2.gz XUFYZVJIQWRPHE-UHFFFAOYSA-N 0 0 288.303 2.758 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSCCOC2CC2)c1 ZINC000902297603 621025128 /nfs/dbraw/zinc/02/51/28/621025128.db2.gz ZUGRVMAYJJWSHG-UHFFFAOYSA-N 0 0 283.349 2.886 20 5 CFBDRN Cn1cc(CSCCCOC(C)(C)C)c([N+](=O)[O-])n1 ZINC000902341205 621032447 /nfs/dbraw/zinc/03/24/47/621032447.db2.gz BRVDICFWNBSPIW-UHFFFAOYSA-N 0 0 287.385 2.767 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSC[C@@H]1CCCOC1 ZINC000902345520 621033433 /nfs/dbraw/zinc/03/34/33/621033433.db2.gz QAQGALQCXGYCMF-SNVBAGLBSA-N 0 0 268.338 2.650 20 5 CFBDRN CC/C=C/CCSCc1cn(C)nc1[N+](=O)[O-] ZINC000902368106 621043433 /nfs/dbraw/zinc/04/34/33/621043433.db2.gz GURVXOWZBGJIEP-SNAWJCMRSA-N 0 0 255.343 2.918 20 5 CFBDRN Cc1ccc([C@H](C)[NH2+][C@H](C)[C@H]2C[C@@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000902394247 621064334 /nfs/dbraw/zinc/06/43/34/621064334.db2.gz FZQFCPAGCGXFQO-YGNMPJRFSA-N 0 0 292.335 2.663 20 5 CFBDRN Cc1cn(CCOc2ccc(F)c(Cl)c2)nc1[N+](=O)[O-] ZINC000902739623 621162219 /nfs/dbraw/zinc/16/22/19/621162219.db2.gz UPIUGKCMBOQNBO-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC[C@@H](F)C1 ZINC000902999601 621225088 /nfs/dbraw/zinc/22/50/88/621225088.db2.gz BDAIGXHFXPUSHB-LLVKDONJSA-N 0 0 256.252 2.668 20 5 CFBDRN COc1cc([C@H](C)NCc2cscn2)cc([N+](=O)[O-])c1 ZINC000903088252 621247862 /nfs/dbraw/zinc/24/78/62/621247862.db2.gz YNPDBXHKKUONDI-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN C[C@H]([NH2+][C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903252988 621299608 /nfs/dbraw/zinc/29/96/08/621299608.db2.gz HGMLOWSUZLVPOE-JPWNPNNNSA-N 0 0 276.336 2.996 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])C(C)(C)C ZINC000112251157 625488793 /nfs/dbraw/zinc/48/87/93/625488793.db2.gz DAZWLHHVWFPFJO-MRVPVSSYSA-N 0 0 268.288 2.898 20 5 CFBDRN CCO[C@@H]1[C@@H](C)[C@@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000903669440 621413591 /nfs/dbraw/zinc/41/35/91/621413591.db2.gz CFWFWVDDAAQAOR-JMOVZRAMSA-N 0 0 295.320 2.845 20 5 CFBDRN C[C@H](c1noc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H]1CCCO1 ZINC000903791850 621439255 /nfs/dbraw/zinc/43/92/55/621439255.db2.gz MEOKPOBRHONJMZ-JOYOIKCWSA-N 0 0 289.291 2.927 20 5 CFBDRN O=C([O-])C[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000904041398 621510414 /nfs/dbraw/zinc/51/04/14/621510414.db2.gz QYRVUVSKRFJNQW-AWEZNQCLSA-N 0 0 292.335 2.718 20 5 CFBDRN CC(C)(CCc1noc(CCC2(C)CC2)n1)[N+](=O)[O-] ZINC000904079151 621517962 /nfs/dbraw/zinc/51/79/62/621517962.db2.gz AHZAGFYKKFIYPZ-UHFFFAOYSA-N 0 0 267.329 2.790 20 5 CFBDRN Cc1cc(COC(=O)[C@H](C)[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000904286529 621567517 /nfs/dbraw/zinc/56/75/17/621567517.db2.gz OVXDKJYMWRUIAU-BXUZGUMPSA-N 0 0 293.319 2.762 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000832732863 625500706 /nfs/dbraw/zinc/50/07/06/625500706.db2.gz CEVKLXVNNMZQTO-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN Cc1ccccc1-c1noc(-c2cnc(N)c([N+](=O)[O-])c2)n1 ZINC000904563056 621610250 /nfs/dbraw/zinc/61/02/50/621610250.db2.gz HFNDOSQKJAEAEU-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN Cc1ncc(-c2ccc3c(c2)CCCNC3=O)cc1[N+](=O)[O-] ZINC000904774552 621644078 /nfs/dbraw/zinc/64/40/78/621644078.db2.gz DRGRAEWDBRVGAL-UHFFFAOYSA-N 0 0 297.314 2.641 20 5 CFBDRN O=C1CCC[C@H](c2ccc(-c3ccc([N+](=O)[O-])nc3)cc2)N1 ZINC000904798586 621650006 /nfs/dbraw/zinc/65/00/06/621650006.db2.gz HXQHRKPVERYYCE-CQSZACIVSA-N 0 0 297.314 2.998 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC000905032680 621683439 /nfs/dbraw/zinc/68/34/39/621683439.db2.gz WPYODPQDFNYPMA-OCCSQVGLSA-N 0 0 290.319 2.657 20 5 CFBDRN CCO[C@@H]1[C@@H](C)[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000905084045 621692060 /nfs/dbraw/zinc/69/20/60/621692060.db2.gz KKEPPGSSIQNRRA-TVYUQYBPSA-N 0 0 278.308 2.513 20 5 CFBDRN C[C@H](C[NH2+]CC[N+](=O)[O-])c1c(Cl)cccc1Cl ZINC000905090000 621694076 /nfs/dbraw/zinc/69/40/76/621694076.db2.gz QXWKWAZBUYVQHP-MRVPVSSYSA-N 0 0 277.151 2.963 20 5 CFBDRN CC(C)Oc1ccc2ccccc2c1CNCC[N+](=O)[O-] ZINC000905214897 621724384 /nfs/dbraw/zinc/72/43/84/621724384.db2.gz KUVFWOPTUIQNFE-UHFFFAOYSA-N 0 0 288.347 2.993 20 5 CFBDRN CCCCS(=O)(=O)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000905255627 621728601 /nfs/dbraw/zinc/72/86/01/621728601.db2.gz BLRCXVCILFRFQF-UHFFFAOYSA-N 0 0 291.756 2.963 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000832771524 625510347 /nfs/dbraw/zinc/51/03/47/625510347.db2.gz SYOSBYKVTVOIFG-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN Cc1coc(-c2ccc(C)c(NCC[N+](=O)[O-])c2)n1 ZINC000905329839 621749394 /nfs/dbraw/zinc/74/93/94/621749394.db2.gz OSSLXRCVRARLLA-UHFFFAOYSA-N 0 0 261.281 2.647 20 5 CFBDRN COc1cc2ccc(NCC[N+](=O)[O-])cc2cc1OC ZINC000905379346 621757756 /nfs/dbraw/zinc/75/77/56/621757756.db2.gz CZIVTYUCVBWAQN-UHFFFAOYSA-N 0 0 276.292 2.546 20 5 CFBDRN O=[N+]([O-])CCNCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000905404016 621759501 /nfs/dbraw/zinc/75/95/01/621759501.db2.gz KKIXNHCRMOIFNB-UHFFFAOYSA-N 0 0 282.649 2.725 20 5 CFBDRN C[C@](O)(COc1ccc([N+](=O)[O-])c2ncccc12)C(F)F ZINC000905947240 621909679 /nfs/dbraw/zinc/90/96/79/621909679.db2.gz JQKBSDXFMFDGMT-ZDUSSCGKSA-N 0 0 298.245 2.538 20 5 CFBDRN O=C(NCc1ccccn1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149084813 621927766 /nfs/dbraw/zinc/92/77/66/621927766.db2.gz OHZNKGQMCLEPDV-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN Cc1ncc(-c2cnn(C(C)(C)C)c2)cc1[N+](=O)[O-] ZINC000906187484 621948649 /nfs/dbraw/zinc/94/86/49/621948649.db2.gz NTIHBNIJAVNAQF-UHFFFAOYSA-N 0 0 260.297 2.917 20 5 CFBDRN O=C(N[C@H](c1ccccn1)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000906247267 621959399 /nfs/dbraw/zinc/95/93/99/621959399.db2.gz BRUQBBLWQIHHRY-HNNXBMFYSA-N 0 0 297.314 2.871 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000906288253 621969749 /nfs/dbraw/zinc/96/97/49/621969749.db2.gz ZTWFINHVZPTLMZ-FPLPWBNLSA-N 0 0 268.700 2.856 20 5 CFBDRN Cc1cc(C(=O)N=[S@@](C)(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000906308370 621971390 /nfs/dbraw/zinc/97/13/90/621971390.db2.gz BYCIMYAJSKBHKC-FQEVSTJZSA-N 0 0 298.364 2.940 20 5 CFBDRN CC(C)(C)[S@](C)(=O)=NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000906326394 621974654 /nfs/dbraw/zinc/97/46/54/621974654.db2.gz VQOZSWNBYXBHMO-FQEVSTJZSA-N 0 0 298.364 2.560 20 5 CFBDRN O=C(NCc1nccs1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149153749 621988322 /nfs/dbraw/zinc/98/83/22/621988322.db2.gz JHEKBLGCEZLFMH-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN CCCCOC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000043369092 622071588 /nfs/dbraw/zinc/07/15/88/622071588.db2.gz MNLPCWLFFZTEDX-UHFFFAOYSA-N 0 0 279.292 2.901 20 5 CFBDRN C[C@H](CN(C)C(=O)CCC(C)(C)[N+](=O)[O-])C(C)(C)C ZINC000874592120 622141736 /nfs/dbraw/zinc/14/17/36/622141736.db2.gz NMHAHYJNBZNYAQ-LLVKDONJSA-N 0 0 272.389 2.963 20 5 CFBDRN C/C(Cl)=C\C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000908123551 622194779 /nfs/dbraw/zinc/19/47/79/622194779.db2.gz CONRRHWYIGIRRG-MDZDMXLPSA-N 0 0 282.727 2.738 20 5 CFBDRN CC[C@@H](O)[C@H](C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000908266871 622209775 /nfs/dbraw/zinc/20/97/75/622209775.db2.gz BGMDVWIFWGBEPL-CMPLNLGQSA-N 0 0 280.324 2.557 20 5 CFBDRN CCO[C@H]1[C@H](C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)[C@@H]1C ZINC000908268888 622210204 /nfs/dbraw/zinc/21/02/04/622210204.db2.gz PRGFDJKMNNMFRB-ZKYQVNSYSA-N 0 0 292.335 2.821 20 5 CFBDRN O=C(OC[C@H]1CCCC2(CCC2)O1)c1ccc([N+](=O)[O-])o1 ZINC000908694514 622261527 /nfs/dbraw/zinc/26/15/27/622261527.db2.gz ZFTACIKUOXKUQB-SNVBAGLBSA-N 0 0 295.291 2.836 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000908700508 622265116 /nfs/dbraw/zinc/26/51/16/622265116.db2.gz MVHSFOVLPVHGOI-CBAPKCEASA-N 0 0 297.694 2.630 20 5 CFBDRN COC/C(C)=C\COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000908703229 622265927 /nfs/dbraw/zinc/26/59/27/622265927.db2.gz YZOOTZKFVOETKT-CVNCVQKXSA-N 0 0 291.303 2.744 20 5 CFBDRN COC/C(C)=C\COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000908703237 622265990 /nfs/dbraw/zinc/26/59/90/622265990.db2.gz YZOOTZKFVOETKT-VQDMZCOGSA-N 0 0 291.303 2.744 20 5 CFBDRN COC/C(C)=C\COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000908826536 622278624 /nfs/dbraw/zinc/27/86/24/622278624.db2.gz SQFIMEJIVHVHSJ-UITAMQMPSA-N 0 0 299.710 2.998 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000908853252 622281792 /nfs/dbraw/zinc/28/17/92/622281792.db2.gz KAROYQNZHAQNRN-XBXARRHUSA-N 0 0 285.321 2.714 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000908952092 622288434 /nfs/dbraw/zinc/28/84/34/622288434.db2.gz IXMVBVSKLODRDI-ONNFQVAWSA-N 0 0 279.292 2.653 20 5 CFBDRN O=C(CCF)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000908969774 622291292 /nfs/dbraw/zinc/29/12/92/622291292.db2.gz VXMAZFVPLPLOCK-LBPRGKRZSA-N 0 0 280.299 2.660 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000908999378 622296668 /nfs/dbraw/zinc/29/66/68/622296668.db2.gz PMLUEKJJBKMHPS-YUMQZZPRSA-N 0 0 297.694 2.630 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000909038142 622299982 /nfs/dbraw/zinc/29/99/82/622299982.db2.gz IRUJEOYUUIMBGK-BXKDBHETSA-N 0 0 291.303 2.539 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000909017272 622301023 /nfs/dbraw/zinc/30/10/23/622301023.db2.gz DSDQKXPCCLSWOK-WRWORJQWSA-N 0 0 297.694 2.630 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000909051089 622304453 /nfs/dbraw/zinc/30/44/53/622304453.db2.gz WSBGQDVMXPDXHL-TWGQIWQCSA-N 0 0 299.710 2.998 20 5 CFBDRN CCCN(Cc1ccccc1)Cn1cc([N+](=O)[O-])cn1 ZINC000075581557 625558275 /nfs/dbraw/zinc/55/82/75/625558275.db2.gz XXWUAIXNMUNASU-UHFFFAOYSA-N 0 0 274.324 2.661 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2CC3(CC3)C2)cc1[N+](=O)[O-] ZINC000833316538 625559965 /nfs/dbraw/zinc/55/99/65/625559965.db2.gz GTJDQSJMXSMYFN-UHFFFAOYSA-N 0 0 280.711 2.793 20 5 CFBDRN O=C(OCCCCF)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028709 622388631 /nfs/dbraw/zinc/38/86/31/622388631.db2.gz VBGNGQAFJBTRLI-QWHCGFSZSA-N 0 0 281.283 2.991 20 5 CFBDRN CC(=O)CCCOC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028859 622388746 /nfs/dbraw/zinc/38/87/46/622388746.db2.gz VYEUAGGCRJXTJT-KGLIPLIRSA-N 0 0 291.303 2.611 20 5 CFBDRN O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC=CC1 ZINC000910008660 622390366 /nfs/dbraw/zinc/39/03/66/622390366.db2.gz ALCVDKACLDHIEI-UHFFFAOYSA-N 0 0 286.209 2.626 20 5 CFBDRN CCn1cnnc1SCc1cc([N+](=O)[O-])ccc1OC ZINC000028172716 622441009 /nfs/dbraw/zinc/44/10/09/622441009.db2.gz DUFSGHOZSSCVNR-UHFFFAOYSA-N 0 0 294.336 2.507 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475624 622522076 /nfs/dbraw/zinc/52/20/76/622522076.db2.gz HLNIDRAEHIYSKR-UWVGGRQHSA-N 0 0 298.289 2.781 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)C1=CCCCC1 ZINC000911476199 622522198 /nfs/dbraw/zinc/52/21/98/622522198.db2.gz ORSQFXHKLLGGNR-UHFFFAOYSA-N 0 0 296.273 2.990 20 5 CFBDRN CCC[C@H](C)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911473480 622522348 /nfs/dbraw/zinc/52/23/48/622522348.db2.gz CTXZCNYIRVTITA-QMMMGPOBSA-N 0 0 286.278 2.925 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)[C@@H]1CC12CCC2 ZINC000911475751 622522369 /nfs/dbraw/zinc/52/23/69/622522369.db2.gz IIEBOLPRVHMEST-VIFPVBQESA-N 0 0 296.273 2.679 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)C1CCCC1 ZINC000911480103 622522786 /nfs/dbraw/zinc/52/27/86/622522786.db2.gz RAWUIUBFAJKEHZ-UHFFFAOYSA-N 0 0 284.262 2.679 20 5 CFBDRN C/C(=C/C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F)C1CC1 ZINC000911478906 622524624 /nfs/dbraw/zinc/52/46/24/622524624.db2.gz VCKJQCUZWABBSN-YWEYNIOJSA-N 0 0 296.273 2.846 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC[C@@H]1C1CC1 ZINC000833690087 625573960 /nfs/dbraw/zinc/57/39/60/625573960.db2.gz IHCPEZYTVNKOQV-GFCCVEGCSA-N 0 0 280.711 2.873 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)c1cccs1 ZINC000819893488 622568148 /nfs/dbraw/zinc/56/81/48/622568148.db2.gz UCCRFJPOICEXPM-QMMMGPOBSA-N 0 0 291.332 2.981 20 5 CFBDRN Nc1ccc(NC(=O)/C=C/c2ccsc2)cc1[N+](=O)[O-] ZINC000819898903 622568296 /nfs/dbraw/zinc/56/82/96/622568296.db2.gz DYNSUIPGSQSUJV-DAFODLJHSA-N 0 0 289.316 2.890 20 5 CFBDRN CCc1ccccc1CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819905528 622569269 /nfs/dbraw/zinc/56/92/69/622569269.db2.gz PHTGEEZGWORQEQ-UHFFFAOYSA-N 0 0 299.330 2.921 20 5 CFBDRN Nc1ccc(NC(=O)c2cccc(F)c2F)cc1[N+](=O)[O-] ZINC000819916451 622571026 /nfs/dbraw/zinc/57/10/26/622571026.db2.gz NMPUVMJGDCXDCV-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CN(C[C@](C)(O)c1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000819951686 622582528 /nfs/dbraw/zinc/58/25/28/622582528.db2.gz YXCDJUHIIMCKOY-INIZCTEOSA-N 0 0 286.331 2.939 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000819964693 622586705 /nfs/dbraw/zinc/58/67/05/622586705.db2.gz VUXPVDWQNXZLOS-MXPGDACESA-N 0 0 291.303 2.934 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C1CC1 ZINC000820138135 622615956 /nfs/dbraw/zinc/61/59/56/622615956.db2.gz BFBMJGGLPQORFI-LBPRGKRZSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(NOCCC1CC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000820484623 622686203 /nfs/dbraw/zinc/68/62/03/622686203.db2.gz LVCDYRIJMMETAW-KGLIPLIRSA-N 0 0 290.319 2.546 20 5 CFBDRN CCOCCOc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912358653 622693385 /nfs/dbraw/zinc/69/33/85/622693385.db2.gz CGDMIUPKKLTHJR-UHFFFAOYSA-N 0 0 263.652 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CCCSC1 ZINC000820603130 622713524 /nfs/dbraw/zinc/71/35/24/622713524.db2.gz PCWJPVROIXXVJZ-NSHDSACASA-N 0 0 294.376 2.837 20 5 CFBDRN CCC[C@H]1CCCN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000912463778 622715647 /nfs/dbraw/zinc/71/56/47/622715647.db2.gz NYPPKYAANMWPIK-LBPRGKRZSA-N 0 0 291.351 2.723 20 5 CFBDRN COc1cc(N(C)CC2CC2)c([N+](=O)[O-])cc1C ZINC000820633346 622721482 /nfs/dbraw/zinc/72/14/82/622721482.db2.gz FIBFDKCXAXZZQC-UHFFFAOYSA-N 0 0 250.298 2.758 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H](O)C1CCCCC1 ZINC000820651297 622726306 /nfs/dbraw/zinc/72/63/06/622726306.db2.gz DJEZXVMIXBFSOA-CYBMUJFWSA-N 0 0 294.351 2.957 20 5 CFBDRN C[C@@H]1CN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000820651678 622726454 /nfs/dbraw/zinc/72/64/54/622726454.db2.gz TUJBCLZYNCZACC-DMTCVQMQSA-N 0 0 274.320 2.565 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@@H]1C=C[C@H](CO)C1 ZINC000820670025 622732249 /nfs/dbraw/zinc/73/22/49/622732249.db2.gz HVKGJAYYUAHNJA-JGVFFNPUSA-N 0 0 286.690 2.736 20 5 CFBDRN CC(C)(CCC(=O)OCC[C@@H]1CC1(Cl)Cl)[N+](=O)[O-] ZINC000820718557 622743174 /nfs/dbraw/zinc/74/31/74/622743174.db2.gz MKZSOOMTSSNBPX-MRVPVSSYSA-N 0 0 298.166 2.949 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)[C@@H](O)c2cccc([N+](=O)[O-])c2)C1 ZINC000820736924 622747262 /nfs/dbraw/zinc/74/72/62/622747262.db2.gz QOLIORRHRZZJHH-OLZOCXBDSA-N 0 0 293.319 2.750 20 5 CFBDRN O=C(c1ccc[nH]1)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000912653520 622754711 /nfs/dbraw/zinc/75/47/11/622754711.db2.gz SPYDTMSVDWFUEX-UHFFFAOYSA-N 0 0 285.303 2.906 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])OCC1CC1 ZINC000923964283 622758533 /nfs/dbraw/zinc/75/85/33/622758533.db2.gz RLIWCHRULHAPAI-UHFFFAOYSA-N 0 0 267.256 2.620 20 5 CFBDRN O=[N+]([O-])c1c(Nc2ccccc2)nc2ccccn21 ZINC000029161525 622769779 /nfs/dbraw/zinc/76/97/79/622769779.db2.gz HVMGYYOWSONDAJ-UHFFFAOYSA-N 0 0 254.249 2.986 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C1CC1 ZINC000323767124 622774480 /nfs/dbraw/zinc/77/44/80/622774480.db2.gz WOQSHBSPMYIDRV-LLVKDONJSA-N 0 0 284.262 2.791 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC1CC=CC1 ZINC000820871611 622778736 /nfs/dbraw/zinc/77/87/36/622778736.db2.gz INSVRZGFZZPKRX-UHFFFAOYSA-N 0 0 260.293 2.660 20 5 CFBDRN Cc1ncoc1-c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000820931977 622796737 /nfs/dbraw/zinc/79/67/37/622796737.db2.gz HFHJWMPZHKMKDZ-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c(C)n1C ZINC000913185230 622847339 /nfs/dbraw/zinc/84/73/39/622847339.db2.gz YUTOYHMUGGFETN-UHFFFAOYSA-N 0 0 291.282 2.942 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC=CC12CCCC2 ZINC000913195772 622849366 /nfs/dbraw/zinc/84/93/66/622849366.db2.gz QCCVQRVCIMJBRI-UHFFFAOYSA-N 0 0 287.319 2.623 20 5 CFBDRN Cc1cccc2c(C(=O)OC[C@H](C)[N+](=O)[O-])coc21 ZINC000821166966 622859043 /nfs/dbraw/zinc/85/90/43/622859043.db2.gz LBQLHJTUCBATFN-VIFPVBQESA-N 0 0 263.249 2.563 20 5 CFBDRN CC[C@H](Cc1ccccc1C)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000821167331 622859317 /nfs/dbraw/zinc/85/93/17/622859317.db2.gz RJKKDQHOIJISDX-CHWSQXEVSA-N 0 0 279.336 2.772 20 5 CFBDRN CC[C@H](Cc1ccccc1C)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000821201720 622867011 /nfs/dbraw/zinc/86/70/11/622867011.db2.gz GTBQSOTYPLQABT-QWHCGFSZSA-N 0 0 279.336 2.772 20 5 CFBDRN Cc1ccc(C2CC2)c(C(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000821205039 622867999 /nfs/dbraw/zinc/86/79/99/622867999.db2.gz XGJQVBNNZPNHIO-JTQLQIEISA-N 0 0 263.293 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC(c2ccccn2)C1 ZINC000913376708 622888235 /nfs/dbraw/zinc/88/82/35/622888235.db2.gz QVRKNLKXCZXFNS-UHFFFAOYSA-N 0 0 297.314 2.538 20 5 CFBDRN CO[C@H]1CC[C@@H]([N@H+](C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000821342144 622899849 /nfs/dbraw/zinc/89/98/49/622899849.db2.gz KZRIWRRVDCTDEQ-OLZOCXBDSA-N 0 0 264.325 2.594 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H](C)OC ZINC000156307477 622918497 /nfs/dbraw/zinc/91/84/97/622918497.db2.gz AIJKEXOYZLNYGG-VIFPVBQESA-N 0 0 299.348 2.899 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCCC[C@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000156555715 622946860 /nfs/dbraw/zinc/94/68/60/622946860.db2.gz JLAKRXNFWXXAIK-ZYHUDNBSSA-N 0 0 291.351 2.794 20 5 CFBDRN CC(C)(O)CCCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000821593580 622952206 /nfs/dbraw/zinc/95/22/06/622952206.db2.gz ZYMABXJPJJCBRZ-UHFFFAOYSA-N 0 0 281.308 2.693 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1cc(C2CC2)[nH]n1 ZINC000044990605 622954490 /nfs/dbraw/zinc/95/44/90/622954490.db2.gz KHGYBXFYHIEMIE-UHFFFAOYSA-N 0 0 287.275 2.552 20 5 CFBDRN CC1(C)CC[C@H]1OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000821842481 622991277 /nfs/dbraw/zinc/99/12/77/622991277.db2.gz QAYIHTRKMIAPOY-GFCCVEGCSA-N 0 0 279.292 2.705 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC=CCC1 ZINC000166005695 622999467 /nfs/dbraw/zinc/99/94/67/622999467.db2.gz FKQLPBZZEZWLDL-UHFFFAOYSA-N 0 0 266.684 2.650 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000224216659 622999646 /nfs/dbraw/zinc/99/96/46/622999646.db2.gz PCRUFFJDEZZGQZ-MRVPVSSYSA-N 0 0 252.270 2.522 20 5 CFBDRN CCC[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1N ZINC000224208642 622999664 /nfs/dbraw/zinc/99/96/64/622999664.db2.gz QNFWAZQAHCTIQV-QMMMGPOBSA-N 0 0 252.270 2.522 20 5 CFBDRN CC/C=C(/C)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000058041882 623027220 /nfs/dbraw/zinc/02/72/20/623027220.db2.gz CDFDTLHXAOLTOM-UITAMQMPSA-N 0 0 265.265 2.865 20 5 CFBDRN Cc1cc(C(=O)OC[C@]2(C)C[C@H]2F)ccc1[N+](=O)[O-] ZINC000822078527 623029362 /nfs/dbraw/zinc/02/93/62/623029362.db2.gz MFSXSIBGXGGFHW-YPMHNXCESA-N 0 0 267.256 2.808 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(O)cc2)c([N+](=O)[O-])c1 ZINC000045851900 623030552 /nfs/dbraw/zinc/03/05/52/623030552.db2.gz ZLNKLQVRGMNCSF-UHFFFAOYSA-N 0 0 289.247 2.821 20 5 CFBDRN COc1cc(C(=O)OC[C@@]2(C)C[C@@H]2F)ccc1[N+](=O)[O-] ZINC000822112577 623039252 /nfs/dbraw/zinc/03/92/52/623039252.db2.gz SEHFSOUVJXEANA-WCQYABFASA-N 0 0 283.255 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@]2(C)C[C@H]2F)c1 ZINC000822117535 623040278 /nfs/dbraw/zinc/04/02/78/623040278.db2.gz ARNISSJFRBMLDM-DGCLKSJQSA-N 0 0 267.256 2.808 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C(F)F)CC[C@@H]1O ZINC000167082690 623045544 /nfs/dbraw/zinc/04/55/44/623045544.db2.gz JBLYXOVENNTJDN-PELKAZGASA-N 0 0 286.278 2.740 20 5 CFBDRN CO[C@H](C(=O)Oc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000077922226 623046534 /nfs/dbraw/zinc/04/65/34/623046534.db2.gz BZTGOAHYERPBMO-AWEZNQCLSA-N 0 0 287.271 2.888 20 5 CFBDRN COc1cccc(COC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000058798419 623063627 /nfs/dbraw/zinc/06/36/27/623063627.db2.gz HKDBOQOFIZZYSX-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CCCCOC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 ZINC000058829719 623067501 /nfs/dbraw/zinc/06/75/01/623067501.db2.gz KTKLSYXCMPBRAR-UHFFFAOYSA-N 0 0 289.291 2.737 20 5 CFBDRN C[C@@H](OC(=O)CCn1cc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000077941918 623088417 /nfs/dbraw/zinc/08/84/17/623088417.db2.gz CAWCUQQUUWLPEA-LLVKDONJSA-N 0 0 295.339 2.693 20 5 CFBDRN O=C(NC1CCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000168627225 623098787 /nfs/dbraw/zinc/09/87/87/623098787.db2.gz DCGUHJHUBIGKEG-UHFFFAOYSA-N 0 0 254.673 2.531 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC[C@@H]1CC[C@@H](O)C1 ZINC000227666712 623115286 /nfs/dbraw/zinc/11/52/86/623115286.db2.gz YXMNWCAXHNUUNT-HTQZYQBOSA-N 0 0 288.706 2.960 20 5 CFBDRN CSC(C)(C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000822671203 623148603 /nfs/dbraw/zinc/14/86/03/623148603.db2.gz FFGZJHCEXLBNFR-VIFPVBQESA-N 0 0 282.365 2.914 20 5 CFBDRN COc1cc(NC[C@@H]2CCSC2)c([N+](=O)[O-])cc1OC ZINC000228581344 623151353 /nfs/dbraw/zinc/15/13/53/623151353.db2.gz JSGQKNNJAYVALJ-VIFPVBQESA-N 0 0 298.364 2.777 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000228623893 623154122 /nfs/dbraw/zinc/15/41/22/623154122.db2.gz UCEMRVDYWICRFW-SSDOTTSWSA-N 0 0 272.251 2.791 20 5 CFBDRN CCCCCOC(=O)COc1ccccc1[N+](=O)[O-] ZINC000060793203 623156021 /nfs/dbraw/zinc/15/60/21/623156021.db2.gz BDQJEYFSAAXELG-UHFFFAOYSA-N 0 0 267.281 2.707 20 5 CFBDRN C[S@](=O)CCNc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000228851108 623165662 /nfs/dbraw/zinc/16/56/62/623165662.db2.gz IUQZRMJSNHBHDK-KRWDZBQOSA-N 0 0 297.163 2.692 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C\[C@@H]1CCCO1 ZINC000822797457 623176779 /nfs/dbraw/zinc/17/67/79/623176779.db2.gz GMEPUZTWEQMYRA-VFFGBVLKSA-N 0 0 290.319 2.885 20 5 CFBDRN CCC1(C)CCN(C(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000822956053 623209279 /nfs/dbraw/zinc/20/92/79/623209279.db2.gz MFZAIZRCLCWLDF-UHFFFAOYSA-N 0 0 270.373 2.861 20 5 CFBDRN Cc1cccc2c1CCN(C(=O)c1ccc([N+](=O)[O-])o1)C2 ZINC000171536252 623228839 /nfs/dbraw/zinc/22/88/39/623228839.db2.gz MWMMECZDJOLIOI-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN CC(C)(C)C1CCN(Cn2nccc2[N+](=O)[O-])CC1 ZINC000172013474 623252117 /nfs/dbraw/zinc/25/21/17/623252117.db2.gz JSJSTJKPQFHAAH-UHFFFAOYSA-N 0 0 266.345 2.507 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000230107597 623252865 /nfs/dbraw/zinc/25/28/65/623252865.db2.gz MSHBHCNCQBMFFU-ISVAXAHUSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000230154918 623256354 /nfs/dbraw/zinc/25/63/54/623256354.db2.gz CFKYAVYNMREZPR-NOZJJQNGSA-N 0 0 284.743 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC[C@@H]1C(F)F ZINC000823218376 623265613 /nfs/dbraw/zinc/26/56/13/623265613.db2.gz GEBUGSKOXOZKQI-SNVBAGLBSA-N 0 0 284.262 2.691 20 5 CFBDRN CCc1ccc(OC(=O)C[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000172192177 623268719 /nfs/dbraw/zinc/26/87/19/623268719.db2.gz GIVRTFPRGGMIGM-NSHDSACASA-N 0 0 279.292 2.632 20 5 CFBDRN COC(C)(C)CCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000230421244 623276193 /nfs/dbraw/zinc/27/61/93/623276193.db2.gz MYGIMZPCUKWBFZ-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1C(F)F)c1nc[nH]n1 ZINC000230431447 623276428 /nfs/dbraw/zinc/27/64/28/623276428.db2.gz LQBSCJINLRITOB-LURJTMIESA-N 0 0 283.238 2.824 20 5 CFBDRN CCC(CC)OC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000230621804 623286977 /nfs/dbraw/zinc/28/69/77/623286977.db2.gz YJTLBAULJVPJPF-UHFFFAOYSA-N 0 0 252.270 2.522 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000230669971 623289263 /nfs/dbraw/zinc/28/92/63/623289263.db2.gz IRRRZWYBJPACSZ-NXEZZACHSA-N 0 0 280.299 2.900 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])nc2)C1 ZINC000230918247 623309903 /nfs/dbraw/zinc/30/99/03/623309903.db2.gz WCHFXLRDVPESMD-SCZZXKLOSA-N 0 0 253.327 2.686 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000823510129 623338300 /nfs/dbraw/zinc/33/83/00/623338300.db2.gz QXCXRXLCSAKUOW-TXEJJXNPSA-N 0 0 292.335 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@H]1C(C)C ZINC000823531624 623341181 /nfs/dbraw/zinc/34/11/81/623341181.db2.gz BUDBTBDBLAVHOX-NWDGAFQWSA-N 0 0 276.336 2.986 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CC1(C)C ZINC000823576135 623350886 /nfs/dbraw/zinc/35/08/86/623350886.db2.gz CFISOITWDQENKP-NSHDSACASA-N 0 0 262.309 2.740 20 5 CFBDRN CCON(C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C(C)C ZINC000823602840 623357660 /nfs/dbraw/zinc/35/76/60/623357660.db2.gz JCODJNLDADOFIE-KBPBESRZSA-N 0 0 292.335 2.887 20 5 CFBDRN C[C@@H](O)COc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC000231650615 623364001 /nfs/dbraw/zinc/36/40/01/623364001.db2.gz VBSPXYNQLJISEK-RXMQYKEDSA-N 0 0 266.080 2.661 20 5 CFBDRN O=C(/C=C/[C@H]1CCCO1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000823814744 623399917 /nfs/dbraw/zinc/39/99/17/623399917.db2.gz PEAVMXLDTCLSQW-XCODYQFDSA-N 0 0 296.710 2.922 20 5 CFBDRN Cc1c(C(=O)OCCOC(C)(C)C)cccc1[N+](=O)[O-] ZINC000063904945 623402343 /nfs/dbraw/zinc/40/23/43/623402343.db2.gz RFVNRVXKPCHKRP-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN CCCn1cc(NC(=O)c2cccc([N+](=O)[O-])c2C)cn1 ZINC000174270900 623404093 /nfs/dbraw/zinc/40/40/93/623404093.db2.gz ARMVHXDBJWRYEA-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN CSCCCOC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000174338108 623410151 /nfs/dbraw/zinc/41/01/51/623410151.db2.gz HQZSYTKHQWBYGJ-UHFFFAOYSA-N 0 0 298.364 2.693 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823932842 623420485 /nfs/dbraw/zinc/42/04/85/623420485.db2.gz GBGWHZLGNFHXIP-ZDUSSCGKSA-N 0 0 296.302 2.533 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823945198 623423391 /nfs/dbraw/zinc/42/33/91/623423391.db2.gz LIYFPXLXRJEZQK-NXEZZACHSA-N 0 0 292.339 2.735 20 5 CFBDRN Nc1ccc(NC(=O)NOC2CCCCC2)cc1[N+](=O)[O-] ZINC000823956370 623424984 /nfs/dbraw/zinc/42/49/84/623424984.db2.gz RJQLFQFKKRNPBF-UHFFFAOYSA-N 0 0 294.311 2.563 20 5 CFBDRN Nc1ccc(NC(=O)N[C@H]2CC23CCCC3)cc1[N+](=O)[O-] ZINC000823956249 623425348 /nfs/dbraw/zinc/42/53/48/623425348.db2.gz QDKRFAWKGSXRHL-LBPRGKRZSA-N 0 0 290.323 2.631 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823974394 623428290 /nfs/dbraw/zinc/42/82/90/623428290.db2.gz RDRHNDIMUAYILZ-VIFPVBQESA-N 0 0 280.328 2.733 20 5 CFBDRN CCCCN(C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000824003265 623431744 /nfs/dbraw/zinc/43/17/44/623431744.db2.gz VMUYIYMNLGPQIX-UHFFFAOYSA-N 0 0 292.339 2.973 20 5 CFBDRN CC(C)CCCCCOC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000842392555 623444640 /nfs/dbraw/zinc/44/46/40/623444640.db2.gz QBRAXVLBYUXNAQ-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN CC1(NC(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000824253461 623477547 /nfs/dbraw/zinc/47/75/47/623477547.db2.gz CPELUKQLDGURKJ-KBPBESRZSA-N 0 0 286.331 2.923 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCC[C@H](F)C1 ZINC000824260220 623478830 /nfs/dbraw/zinc/47/88/30/623478830.db2.gz UQYCIJKSRGBIIU-NSHDSACASA-N 0 0 280.299 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN[C@H]1CCn2ccnc21 ZINC000824526005 623516476 /nfs/dbraw/zinc/51/64/76/623516476.db2.gz HAPPNJOHONLUAI-NSHDSACASA-N 0 0 292.726 2.679 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCCC2(O)CCC2)c1F ZINC000840488220 623519928 /nfs/dbraw/zinc/51/99/28/623519928.db2.gz OTYVIPNFKJDVMC-UHFFFAOYSA-N 0 0 297.282 2.504 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC[C@H](F)C1 ZINC000824572262 623525775 /nfs/dbraw/zinc/52/57/75/623525775.db2.gz WWYFXBYNTGYCSJ-JTQLQIEISA-N 0 0 258.680 2.792 20 5 CFBDRN CCC(F)(F)CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000824931447 623590737 /nfs/dbraw/zinc/59/07/37/623590737.db2.gz PVZSCVGNUMUDKK-UHFFFAOYSA-N 0 0 286.278 2.987 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1cc(C2CC2)[nH]n1 ZINC000030839783 623664889 /nfs/dbraw/zinc/66/48/89/623664889.db2.gz GRKQSZGZIVXQHN-UHFFFAOYSA-N 0 0 287.275 2.552 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CC2(C)C)c([N+](=O)[O-])c1 ZINC000152406280 623695968 /nfs/dbraw/zinc/69/59/68/623695968.db2.gz YACRAIJJOYCTHS-SECBINFHSA-N 0 0 265.265 2.555 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CC[C@H]2C[C@H]2C1 ZINC000825606586 623722500 /nfs/dbraw/zinc/72/25/00/623722500.db2.gz WMYAPLGDPJUIFJ-JQWIXIFHSA-N 0 0 292.310 2.535 20 5 CFBDRN C[C@H]1CCN1C(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000914166059 623724725 /nfs/dbraw/zinc/72/47/25/623724725.db2.gz VTONRSYRPLYYIB-LURJTMIESA-N 0 0 272.663 2.622 20 5 CFBDRN Cc1ccc(CNC(=O)C2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000825640822 623729233 /nfs/dbraw/zinc/72/92/33/623729233.db2.gz KZNFXZXMDFBONJ-UHFFFAOYSA-N 0 0 276.336 2.956 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825641198 623729431 /nfs/dbraw/zinc/72/94/31/623729431.db2.gz RVSZYHAKQFSONC-HZMBPMFUSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1ccc(CNC(=O)C=C2CCC2)cc1[N+](=O)[O-] ZINC000825648527 623730025 /nfs/dbraw/zinc/73/00/25/623730025.db2.gz UAQJMBHHFFEYKX-UHFFFAOYSA-N 0 0 260.293 2.630 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000825648450 623730095 /nfs/dbraw/zinc/73/00/95/623730095.db2.gz SBGJWORJRDNJPU-JTQLQIEISA-N 0 0 262.309 2.566 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000825648683 623730846 /nfs/dbraw/zinc/73/08/46/623730846.db2.gz XSHJKAZKWFHGHG-NHAGDIPZSA-N 0 0 288.347 2.956 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCCCCC1CC1 ZINC000825662223 623733837 /nfs/dbraw/zinc/73/38/37/623733837.db2.gz SSEXHYOLQDMOGN-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN COc1cccc(C(=O)NCCCCC2CC2)c1[N+](=O)[O-] ZINC000825669730 623734792 /nfs/dbraw/zinc/73/47/92/623734792.db2.gz HKAIAGSPPCRBTK-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CS[C@@H]1CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000825905043 623767985 /nfs/dbraw/zinc/76/79/85/623767985.db2.gz IWEVSFIUVQHICC-WDEREUQCSA-N 0 0 288.413 2.612 20 5 CFBDRN CC(C)(C)CCCNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000825997616 623780250 /nfs/dbraw/zinc/78/02/50/623780250.db2.gz IXUYKNWWRPUVOH-UHFFFAOYSA-N 0 0 258.362 2.764 20 5 CFBDRN C[C@@H]1c2ccccc2CN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826579574 623817076 /nfs/dbraw/zinc/81/70/76/623817076.db2.gz JOTPKMYOCWKGPU-LLVKDONJSA-N 0 0 276.336 2.925 20 5 CFBDRN O=C(NOCC1CCC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000826627367 623825770 /nfs/dbraw/zinc/82/57/70/623825770.db2.gz HDUJNSKWGFIKQS-UONOGXRCSA-N 0 0 290.319 2.546 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)O[C@H]1COc2ccccc21 ZINC000153128352 623854813 /nfs/dbraw/zinc/85/48/13/623854813.db2.gz FLQOKBHIBXGMMY-HNNXBMFYSA-N 0 0 299.282 2.814 20 5 CFBDRN CCC1(CNC(=O)CCC(C)(C)[N+](=O)[O-])CCC1 ZINC000826765434 623868643 /nfs/dbraw/zinc/86/86/43/623868643.db2.gz ZOYCAJSSWZEZSW-UHFFFAOYSA-N 0 0 256.346 2.518 20 5 CFBDRN CCCN(C(=O)CCC(C)(C)[N+](=O)[O-])c1cccnc1 ZINC000826914554 623909301 /nfs/dbraw/zinc/90/93/01/623909301.db2.gz PPOIANMPVISHBA-UHFFFAOYSA-N 0 0 279.340 2.660 20 5 CFBDRN C[C@H]1CCC[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000835099765 623946324 /nfs/dbraw/zinc/94/63/24/623946324.db2.gz MTPYKVKMOOHOBZ-QWRGUYRKSA-N 0 0 257.330 2.944 20 5 CFBDRN CS[C@H](C)CCOC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000835660149 624006580 /nfs/dbraw/zinc/00/65/80/624006580.db2.gz CEGCEVUDIXQLEC-SNVBAGLBSA-N 0 0 283.349 2.822 20 5 CFBDRN CCC1(C(=O)OCCn2ccc([N+](=O)[O-])n2)CCCCC1 ZINC000764674715 624007868 /nfs/dbraw/zinc/00/78/68/624007868.db2.gz WIRBCNSGGQSMTB-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN CC(C)C[C@H](O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000827497003 624017329 /nfs/dbraw/zinc/01/73/29/624017329.db2.gz QLZBRYCYJZIFME-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)(CCC(=O)NC1CCC(F)(F)CC1)[N+](=O)[O-] ZINC000827506172 624019278 /nfs/dbraw/zinc/01/92/78/624019278.db2.gz MKDMSWMZUFIJPB-UHFFFAOYSA-N 0 0 278.299 2.516 20 5 CFBDRN COc1c(C(=O)OC[C@H](C)SC)cccc1[N+](=O)[O-] ZINC000835689479 624021611 /nfs/dbraw/zinc/02/16/11/624021611.db2.gz SKLNYTYFRPBCOI-QMMMGPOBSA-N 0 0 285.321 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cnn(-c3ccccc3)n2)cc1 ZINC000915355177 624029316 /nfs/dbraw/zinc/02/93/16/624029316.db2.gz KJAKEGQNFTVBLX-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN Cc1c(NC(=O)CCC(C)(C)[N+](=O)[O-])cnn1CC(C)C ZINC000827605729 624044050 /nfs/dbraw/zinc/04/40/50/624044050.db2.gz FGIHMRIJTCFKTM-UHFFFAOYSA-N 0 0 296.371 2.622 20 5 CFBDRN C/C=C\COC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 ZINC000915468202 624048168 /nfs/dbraw/zinc/04/81/68/624048168.db2.gz HQDNMABZTBYLLY-IHWYPQMZSA-N 0 0 287.275 2.513 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)/C=C(\C)C1CC1 ZINC000193578188 624054075 /nfs/dbraw/zinc/05/40/75/624054075.db2.gz UMKSQVCXTGJYTO-JXMROGBWSA-N 0 0 290.319 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H](CCO)C2CC2)c1 ZINC000827667475 624058673 /nfs/dbraw/zinc/05/86/73/624058673.db2.gz HHBCUCUVWPDSAT-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN CCCCC[C@@H](Nc1ccc([N+](=O)[O-])nc1)C(=O)OC ZINC000827731694 624079869 /nfs/dbraw/zinc/07/98/69/624079869.db2.gz ONVJNYNGYWQTRP-LLVKDONJSA-N 0 0 281.312 2.524 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1CC[C@H](C2CC2)O1 ZINC000915587983 624080912 /nfs/dbraw/zinc/08/09/12/624080912.db2.gz LWEJFKJIIRPNOO-QWHCGFSZSA-N 0 0 293.319 2.940 20 5 CFBDRN COCc1nsc(NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000765944197 624119620 /nfs/dbraw/zinc/11/96/20/624119620.db2.gz LPIBDICCKZEYNL-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC(=O)OC(C)(C)C)c1 ZINC000827953671 624137148 /nfs/dbraw/zinc/13/71/48/624137148.db2.gz QMNOOZPFPROAIL-UHFFFAOYSA-N 0 0 269.253 2.917 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)NCC(F)F ZINC000888286302 624142770 /nfs/dbraw/zinc/14/27/70/624142770.db2.gz IRUMZRFZKGJIEG-UHFFFAOYSA-N 0 0 293.657 2.943 20 5 CFBDRN CCc1ncc(COc2ccc(OC)cc2[N+](=O)[O-])o1 ZINC000915922056 624188142 /nfs/dbraw/zinc/18/81/42/624188142.db2.gz SIJCCWYPWNEVLD-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OC[C@@H]1CCC2(COC2)O1 ZINC000916079386 624219672 /nfs/dbraw/zinc/21/96/72/624219672.db2.gz GSLBIZCEVYGAJY-JTQLQIEISA-N 0 0 299.710 2.575 20 5 CFBDRN C[C@H](Nc1nccc(N(C)C)n1)c1ccc([N+](=O)[O-])cc1 ZINC000828282012 624221801 /nfs/dbraw/zinc/22/18/01/624221801.db2.gz ZKHGWPUQMFXLEL-JTQLQIEISA-N 0 0 287.323 2.624 20 5 CFBDRN C/C=C\COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000214056762 624227301 /nfs/dbraw/zinc/22/73/01/624227301.db2.gz ZRCIXPOMLVTDCP-IHWYPQMZSA-N 0 0 255.657 2.981 20 5 CFBDRN CC(C)N(C)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929922116 624228773 /nfs/dbraw/zinc/22/87/73/624228773.db2.gz KILSOBGZQMXRNG-QWHCGFSZSA-N 0 0 262.309 2.565 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC[C@@H](C)CCO ZINC000828349423 624232314 /nfs/dbraw/zinc/23/23/14/624232314.db2.gz UWRTXUVZQXPFEM-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC[C@H](C)CCO ZINC000828349422 624232422 /nfs/dbraw/zinc/23/24/22/624232422.db2.gz UWRTXUVZQXPFEM-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN CCOC1(C)CN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000828365060 624234440 /nfs/dbraw/zinc/23/44/40/624234440.db2.gz PBGFOTLFYQXIQU-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1N1CC[C@@H](F)C1 ZINC000828449701 624247491 /nfs/dbraw/zinc/24/74/91/624247491.db2.gz XFILPVSINZZGIA-MRVPVSSYSA-N 0 0 289.104 2.906 20 5 CFBDRN CC[C@H](CC(F)(F)F)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000828487456 624255121 /nfs/dbraw/zinc/25/51/21/624255121.db2.gz HQOUESBYQWJMOL-MRVPVSSYSA-N 0 0 284.278 2.669 20 5 CFBDRN Cc1cc(C(=O)NN2[C@@H](C)CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000916585965 624260389 /nfs/dbraw/zinc/26/03/89/624260389.db2.gz LBYBEAOYJIDDPM-RYUDHWBXSA-N 0 0 291.351 2.811 20 5 CFBDRN CC/C=C(/C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000916676162 624272939 /nfs/dbraw/zinc/27/29/39/624272939.db2.gz BYQLHZHUSKGTKY-WMZJFQQLSA-N 0 0 260.293 2.840 20 5 CFBDRN CC(C)c1nnc(SCc2cccnc2[N+](=O)[O-])n1C ZINC000916858214 624288483 /nfs/dbraw/zinc/28/84/83/624288483.db2.gz MHHSTJDYBVNTMO-UHFFFAOYSA-N 0 0 293.352 2.534 20 5 CFBDRN COc1cc(C=O)ccc1Oc1cccnc1[N+](=O)[O-] ZINC000916968040 624296381 /nfs/dbraw/zinc/29/63/81/624296381.db2.gz BFOGXNBGYUETTO-UHFFFAOYSA-N 0 0 274.232 2.603 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2cccnc2[N+](=O)[O-])no1 ZINC000917051735 624303289 /nfs/dbraw/zinc/30/32/89/624303289.db2.gz IZCKBAUELLEHAI-LLVKDONJSA-N 0 0 274.280 2.628 20 5 CFBDRN O=[N+]([O-])c1ncccc1SC[C@H](O)c1ccccc1 ZINC000917083698 624308941 /nfs/dbraw/zinc/30/89/41/624308941.db2.gz CNYFJMWOZUPMKD-NSHDSACASA-N 0 0 276.317 2.816 20 5 CFBDRN Nc1nc(NCC2CCCCCCC2)ncc1[N+](=O)[O-] ZINC000917093442 624311608 /nfs/dbraw/zinc/31/16/08/624311608.db2.gz RPJBNYZOAYJZMZ-UHFFFAOYSA-N 0 0 279.344 2.739 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ccc([N+](=O)[O-])nc2)c1 ZINC000917095251 624312275 /nfs/dbraw/zinc/31/22/75/624312275.db2.gz FGADCLMZZDLRGP-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000828877120 624319106 /nfs/dbraw/zinc/31/91/06/624319106.db2.gz OOXBJNXNHAXYCJ-ZDUSSCGKSA-N 0 0 279.292 2.674 20 5 CFBDRN CC(C)OCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000917263861 624327924 /nfs/dbraw/zinc/32/79/24/624327924.db2.gz UKJDHSABBXMAGL-UHFFFAOYSA-N 0 0 293.275 2.923 20 5 CFBDRN C[C@H]1CSC(SCc2cccnc2[N+](=O)[O-])=N1 ZINC000917367292 624336303 /nfs/dbraw/zinc/33/63/03/624336303.db2.gz FKNVZAJTMHAZCJ-ZETCQYMHSA-N 0 0 269.351 2.714 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000828944608 624337030 /nfs/dbraw/zinc/33/70/30/624337030.db2.gz KPCRERBUMMYIGO-MELADBBJSA-N 0 0 286.331 2.757 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN(C1CC1)C1CCCCC1 ZINC000917429298 624342786 /nfs/dbraw/zinc/34/27/86/624342786.db2.gz NCCXFSLHWZKOIZ-UHFFFAOYSA-N 0 0 264.329 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2CCC3(COC3)O2)c(F)c1 ZINC000917477583 624345008 /nfs/dbraw/zinc/34/50/08/624345008.db2.gz PRDYQYHBDABHBT-JTQLQIEISA-N 0 0 299.323 2.774 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)nc1C1CC1 ZINC000917484643 624345887 /nfs/dbraw/zinc/34/58/87/624345887.db2.gz OOJJEXVLNHBFRO-LETLQTKMSA-N 0 0 283.278 2.960 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2CC[C@@H](C3CC3)O2)nc1C1CC1 ZINC000917486175 624347385 /nfs/dbraw/zinc/34/73/85/624347385.db2.gz ZRKKGSIHDMLZHT-YPMHNXCESA-N 0 0 277.324 2.626 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000917565937 624357436 /nfs/dbraw/zinc/35/74/36/624357436.db2.gz YBLSERNHKVWEMV-LLVKDONJSA-N 0 0 281.308 2.699 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC[C@@]1(C)CC1(Cl)Cl ZINC000829059825 624364075 /nfs/dbraw/zinc/36/40/75/624364075.db2.gz ZDJQBBGMFDXRRY-VIFPVBQESA-N 0 0 293.154 2.714 20 5 CFBDRN COc1cc(OC[C@H]2CC[C@@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000917598446 624367668 /nfs/dbraw/zinc/36/76/68/624367668.db2.gz LTINLUCPGBGOIA-OCCSQVGLSA-N 0 0 293.319 2.940 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1CC[C@@H]1C[C@H]1C1CC1 ZINC000917598809 624367846 /nfs/dbraw/zinc/36/78/46/624367846.db2.gz WFZKDXIMYDRPCM-MNOVXSKESA-N 0 0 288.303 2.939 20 5 CFBDRN O=C1CC[C@@H](COc2ccc([N+](=O)[O-])c(Cl)c2)CCN1 ZINC000829108689 624374383 /nfs/dbraw/zinc/37/43/83/624374383.db2.gz YLOOBCIQHDHPFN-SECBINFHSA-N 0 0 298.726 2.543 20 5 CFBDRN Cc1nc(COC(=O)c2ccc([N+](=O)[O-])cc2F)cs1 ZINC000917633771 624374388 /nfs/dbraw/zinc/37/43/88/624374388.db2.gz UWRNRMRVWKRVKZ-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CC(C)(C)OC(=O)CCSCc1cccnc1[N+](=O)[O-] ZINC000917670826 624375485 /nfs/dbraw/zinc/37/54/85/624375485.db2.gz YXZXCDXKEQHQIU-UHFFFAOYSA-N 0 0 298.364 2.955 20 5 CFBDRN C[C@H]1CCN1C(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000829144104 624385003 /nfs/dbraw/zinc/38/50/03/624385003.db2.gz BLQMYZLTGMMPAV-VIFPVBQESA-N 0 0 282.727 2.802 20 5 CFBDRN CC(C)CC(=O)OCOc1ccc([N+](=O)[O-])c(F)c1F ZINC000917795857 624384961 /nfs/dbraw/zinc/38/49/61/624384961.db2.gz JXLHOPLTYSSZDR-UHFFFAOYSA-N 0 0 289.234 2.799 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSC1(CO)CCCCC1 ZINC000917810244 624385767 /nfs/dbraw/zinc/38/57/67/624385767.db2.gz RCRRRAUPNFBELG-UHFFFAOYSA-N 0 0 282.365 2.918 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@H]2CC[C@@H](C3CC3)O2)c1C ZINC000917836672 624387994 /nfs/dbraw/zinc/38/79/94/624387994.db2.gz JJTTWXODIMWLJX-OCCSQVGLSA-N 0 0 292.335 2.943 20 5 CFBDRN CCc1ncc(COc2c(C)c(C)ncc2[N+](=O)[O-])o1 ZINC000917836647 624388003 /nfs/dbraw/zinc/38/80/03/624388003.db2.gz ITZMTHWUSUVPCI-UHFFFAOYSA-N 0 0 277.280 2.736 20 5 CFBDRN CCc1nc(CNc2ccc([N+](=O)[O-])cc2COC)co1 ZINC000829184203 624393447 /nfs/dbraw/zinc/39/34/47/624393447.db2.gz WVCSTSZLHFANFL-UHFFFAOYSA-N 0 0 291.307 2.904 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])cc2C(C)=O)COC1 ZINC000829212943 624401749 /nfs/dbraw/zinc/40/17/49/624401749.db2.gz NWKICFPAGAKMDC-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc(C)cc1 ZINC000918069576 624412450 /nfs/dbraw/zinc/41/24/50/624412450.db2.gz JNWVPFRJYBNGNP-UHFFFAOYSA-N 0 0 273.292 2.898 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@@]2(CCOC2)C1 ZINC000829327491 624417983 /nfs/dbraw/zinc/41/79/83/624417983.db2.gz KLMWARDGTHUBNV-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1nc(C)c(COc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000829345175 624422007 /nfs/dbraw/zinc/42/20/07/624422007.db2.gz HOYWBUOIISOHBF-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCC[C@@H]1CCOC1 ZINC000829345013 624422370 /nfs/dbraw/zinc/42/23/70/624422370.db2.gz FSEROQDMJRNBAU-SNVBAGLBSA-N 0 0 295.295 2.732 20 5 CFBDRN CC(C)c1ccc(NC(=O)Cn2ccnc2[N+](=O)[O-])cc1 ZINC000918335952 624431834 /nfs/dbraw/zinc/43/18/34/624431834.db2.gz OICWPUYGNYHVFW-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN CC(C)c1cccc(NC(=O)Cn2ccnc2[N+](=O)[O-])c1 ZINC000918344017 624433050 /nfs/dbraw/zinc/43/30/50/624433050.db2.gz XQXYUIGQGRVREL-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN CC(C)Cc1ccc(C(=O)Cn2ccnc2[N+](=O)[O-])cc1 ZINC000918359580 624433794 /nfs/dbraw/zinc/43/37/94/624433794.db2.gz DONVREUFMIPAFJ-UHFFFAOYSA-N 0 0 287.319 2.873 20 5 CFBDRN CC(C)(C)c1cn(Cc2cccnc2[N+](=O)[O-])cn1 ZINC000918474735 624438561 /nfs/dbraw/zinc/43/85/61/624438561.db2.gz NLDGMWKZTWLPTB-UHFFFAOYSA-N 0 0 260.297 2.532 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000918499810 624440433 /nfs/dbraw/zinc/44/04/33/624440433.db2.gz QRGPVZQOEYJNOR-UHFFFAOYSA-N 0 0 260.249 2.588 20 5 CFBDRN COCCCCOC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000918504899 624440926 /nfs/dbraw/zinc/44/09/26/624440926.db2.gz HIXHMSJJBAHWCF-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN COCC1(CNc2cc(N)ccc2[N+](=O)[O-])CCCC1 ZINC000829477069 624441049 /nfs/dbraw/zinc/44/10/49/624441049.db2.gz HAARUIXPHYILCT-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN Cc1cc(N[C@@H]2CC[C@H](C(N)=O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000829496704 624444600 /nfs/dbraw/zinc/44/46/00/624444600.db2.gz CVPLJBYNLCSEFZ-DTWKUNHWSA-N 0 0 297.742 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](CCCO)C2)c(Cl)c1 ZINC000829577543 624458547 /nfs/dbraw/zinc/45/85/47/624458547.db2.gz NLHMJDLROAGDHI-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN Cc1ccc2c(c1)OCCN2C(=O)c1ccc([N+](=O)[O-])o1 ZINC000154783582 624459877 /nfs/dbraw/zinc/45/98/77/624459877.db2.gz OHEDTZFKGNVBLT-UHFFFAOYSA-N 0 0 288.259 2.535 20 5 CFBDRN Cc1nn([C@@H]2CCc3ccccc3C2)cc1[N+](=O)[O-] ZINC000829602307 624465962 /nfs/dbraw/zinc/46/59/62/624465962.db2.gz PTAKMZNTZYTKRQ-CYBMUJFWSA-N 0 0 257.293 2.830 20 5 CFBDRN O=C(COc1ccccc1)OCc1csc([N+](=O)[O-])c1 ZINC000918850053 624502525 /nfs/dbraw/zinc/50/25/25/624502525.db2.gz BQKZMYCPRVUSKK-UHFFFAOYSA-N 0 0 293.300 2.779 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000918869048 624506234 /nfs/dbraw/zinc/50/62/34/624506234.db2.gz WYGUSGCOLYAURI-ICWBMWKASA-N 0 0 277.276 2.779 20 5 CFBDRN Cc1cccc(C(=O)OCc2csc([N+](=O)[O-])c2)n1 ZINC000918944218 624512592 /nfs/dbraw/zinc/51/25/92/624512592.db2.gz GASCKFHXTPKBFS-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN C[C@@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1F ZINC000919024980 624516174 /nfs/dbraw/zinc/51/61/74/624516174.db2.gz QVHWHEXTGSGPMK-SECBINFHSA-N 0 0 292.266 2.990 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000919290421 624555052 /nfs/dbraw/zinc/55/50/52/624555052.db2.gz JTNFHVILPHQBMG-VIFPVBQESA-N 0 0 287.337 2.761 20 5 CFBDRN C[C@@H]1CCC[C@H](Cn2nc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000829837815 624562357 /nfs/dbraw/zinc/56/23/57/624562357.db2.gz MFCGGEDWEFGOLH-BDAKNGLRSA-N 0 0 268.273 2.526 20 5 CFBDRN Cc1cccc([C@@H](C)n2nc([N+](=O)[O-])cc2[N+](=O)[O-])c1 ZINC000829842032 624563665 /nfs/dbraw/zinc/56/36/65/624563665.db2.gz DXXHFVWCGKMNKY-SECBINFHSA-N 0 0 276.252 2.617 20 5 CFBDRN CCC(F)(F)COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000851489161 634392367 /nfs/dbraw/zinc/39/23/67/634392367.db2.gz VKSSDFJAGHLZPB-UHFFFAOYSA-N 0 0 273.235 2.726 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCOC2)c(F)c1F ZINC000829884389 624576789 /nfs/dbraw/zinc/57/67/89/624576789.db2.gz VEMZMLRAZUWXBQ-MRVPVSSYSA-N 0 0 273.235 2.678 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nnc(C3CC3)o2)c(F)c1F ZINC000829882644 624576910 /nfs/dbraw/zinc/57/69/10/624576910.db2.gz AJQRQPANXLQPCW-UHFFFAOYSA-N 0 0 297.217 2.712 20 5 CFBDRN C/C(=C\C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000919543788 624583652 /nfs/dbraw/zinc/58/36/52/624583652.db2.gz DYMAWHPOGOHYMN-CSKARUKUSA-N 0 0 289.287 2.677 20 5 CFBDRN C[C@@H](O)c1ccc(CNc2cccnc2[N+](=O)[O-])cc1 ZINC000919722279 624606470 /nfs/dbraw/zinc/60/64/70/624606470.db2.gz IEJJDXUHXKOSFF-SNVBAGLBSA-N 0 0 273.292 2.655 20 5 CFBDRN O=[N+]([O-])c1cnn(CN(C2CC2)[C@H]2CCc3ccccc32)c1 ZINC000919943279 624646205 /nfs/dbraw/zinc/64/62/05/624646205.db2.gz MJDUHMOCARWWKA-INIZCTEOSA-N 0 0 298.346 2.901 20 5 CFBDRN O=C(CCCC1CCCC1)OCCn1cc([N+](=O)[O-])cn1 ZINC000174894198 624693064 /nfs/dbraw/zinc/69/30/64/624693064.db2.gz FDCKRHVPWODMIY-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000920273411 624706016 /nfs/dbraw/zinc/70/60/16/624706016.db2.gz FPBHSXBFYKAXNT-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN CCC/C(C)=C/C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000920287104 624708509 /nfs/dbraw/zinc/70/85/09/624708509.db2.gz CPPDZIMQNROPBO-VAWYXSNFSA-N 0 0 291.351 2.869 20 5 CFBDRN CO[C@@H](C)CC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000195352978 624738492 /nfs/dbraw/zinc/73/84/92/624738492.db2.gz ZWOAUHMIICQZCW-JTQLQIEISA-N 0 0 267.281 2.542 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000830479914 624759214 /nfs/dbraw/zinc/75/92/14/624759214.db2.gz LMRPRFDEXGWEIT-LLVKDONJSA-N 0 0 268.357 2.613 20 5 CFBDRN Cc1nc(C)c(C(=O)OCCc2ccc([N+](=O)[O-])cc2)o1 ZINC000174904684 624802221 /nfs/dbraw/zinc/80/22/21/624802221.db2.gz JGYDDRRWAKGUEK-UHFFFAOYSA-N 0 0 290.275 2.599 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)C1(F)CC1 ZINC000830761307 624849013 /nfs/dbraw/zinc/84/90/13/624849013.db2.gz OILRDPSEPHUGPV-UHFFFAOYSA-N 0 0 283.255 2.539 20 5 CFBDRN CCCC[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000233025554 624918825 /nfs/dbraw/zinc/91/88/25/624918825.db2.gz KWVIDYNUXNJZLA-GFCCVEGCSA-N 0 0 280.324 2.636 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1CCC(=O)C1 ZINC000830896672 624922983 /nfs/dbraw/zinc/92/29/83/624922983.db2.gz KFKRRFPYMCDCPM-WDEREUQCSA-N 0 0 291.303 2.877 20 5 CFBDRN COc1cc(C(=O)OCCCSC)ccc1[N+](=O)[O-] ZINC000175398060 624950615 /nfs/dbraw/zinc/95/06/15/624950615.db2.gz HQPTWMLQFLXQTC-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CSCCCOC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000175399114 624950703 /nfs/dbraw/zinc/95/07/03/624950703.db2.gz OAOKBUKHYVMGIG-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN Cc1cnc(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)o1 ZINC000175457432 624951991 /nfs/dbraw/zinc/95/19/91/624951991.db2.gz CWLCJZUBJHJTFG-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1cnc(CN2CCc3cc([N+](=O)[O-])ccc32)s1 ZINC000179944937 624986798 /nfs/dbraw/zinc/98/67/98/624986798.db2.gz BPIFWNMFBRHIJN-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1cc(C(=O)Nc2ccccc2)c(N)c([N+](=O)[O-])c1 ZINC000068509778 625004563 /nfs/dbraw/zinc/00/45/63/625004563.db2.gz BEURTWJHUYDAMK-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCCC1CC=CC1 ZINC000831432801 625019139 /nfs/dbraw/zinc/01/91/39/625019139.db2.gz GDMAPSAXGKDRFK-UHFFFAOYSA-N 0 0 290.319 2.690 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CCCF)CC2 ZINC000831681973 625095002 /nfs/dbraw/zinc/09/50/02/625095002.db2.gz SOXGYNDHUVKVFV-UHFFFAOYSA-N 0 0 266.272 2.542 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000069525040 625138591 /nfs/dbraw/zinc/13/85/91/625138591.db2.gz TXEYPBBRXIRCPN-HTRCEHHLSA-N 0 0 254.673 2.843 20 5 CFBDRN CCn1cc([C@H](C)NCc2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000832309235 625271754 /nfs/dbraw/zinc/27/17/54/625271754.db2.gz GMAFFZGRKXOBNK-JTQLQIEISA-N 0 0 292.314 2.801 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOC2CCCC2)c2nonc21 ZINC000070167492 625279271 /nfs/dbraw/zinc/27/92/71/625279271.db2.gz RRRHORPBPQRBAV-UHFFFAOYSA-N 0 0 292.295 2.502 20 5 CFBDRN CCn1nc(C)c(CNc2c(C)c([N+](=O)[O-])ccc2F)n1 ZINC000834594406 625731046 /nfs/dbraw/zinc/73/10/46/625731046.db2.gz QORXSEIGTPTTRR-UHFFFAOYSA-N 0 0 293.302 2.574 20 5 CFBDRN CC(C)OC1(CNC(=O)c2ccccc2[N+](=O)[O-])CCC1 ZINC000834596573 625732461 /nfs/dbraw/zinc/73/24/61/625732461.db2.gz ZKBDZSQKFXNPBE-UHFFFAOYSA-N 0 0 292.335 2.672 20 5 CFBDRN CCS[C@H](C)c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000834634788 625746840 /nfs/dbraw/zinc/74/68/40/625746840.db2.gz HLSRLAKGHUWNIO-MRVPVSSYSA-N 0 0 273.358 2.872 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCc1ccn(C)n1 ZINC000834645478 625751612 /nfs/dbraw/zinc/75/16/12/625751612.db2.gz DZGILVJPIWMOSP-UHFFFAOYSA-N 0 0 289.339 2.761 20 5 CFBDRN Cc1nnc(CNc2cc([N+](=O)[O-])ccc2NC(C)C)o1 ZINC000834645535 625752624 /nfs/dbraw/zinc/75/26/24/625752624.db2.gz GHVMYUPKGFRKJX-UHFFFAOYSA-N 0 0 291.311 2.719 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)CCS1 ZINC000834671056 625773784 /nfs/dbraw/zinc/77/37/84/625773784.db2.gz MLZXHRGNHBNISA-JQWIXIFHSA-N 0 0 294.376 2.538 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCS[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000834681225 625780851 /nfs/dbraw/zinc/78/08/51/625780851.db2.gz AWMSKWGUTXEAGN-PWSUYJOCSA-N 0 0 294.376 2.917 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000834704370 625793674 /nfs/dbraw/zinc/79/36/74/625793674.db2.gz BEKXYJRENJIUOT-JQWIXIFHSA-N 0 0 294.376 2.917 20 5 CFBDRN C/C=C/COC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000073188433 625798290 /nfs/dbraw/zinc/79/82/90/625798290.db2.gz CFHLOMKXACDYMT-HWKANZROSA-N 0 0 265.265 2.726 20 5 CFBDRN CC(C)(CCc1nc([C@H]2CCC(F)(F)C2)no1)[N+](=O)[O-] ZINC000834729255 625810142 /nfs/dbraw/zinc/81/01/42/625810142.db2.gz CCAAERVSRGHVKB-QMMMGPOBSA-N 0 0 289.282 2.960 20 5 CFBDRN CC(C)(NC(=O)c1cc([N+](=O)[O-])ccc1F)C(F)F ZINC000834782059 625854141 /nfs/dbraw/zinc/85/41/41/625854141.db2.gz QWLRVSIQOYJCDB-UHFFFAOYSA-N 0 0 276.214 2.507 20 5 CFBDRN CC[C@@H](N[C@@H](C)c1ccc(N)c([N+](=O)[O-])c1)C(F)F ZINC000834827867 625887732 /nfs/dbraw/zinc/88/77/32/625887732.db2.gz MQNDUECNRKSOIS-OIBJUYFYSA-N 0 0 273.283 2.871 20 5 CFBDRN Cc1ccnc(SCCOc2ccc([N+](=O)[O-])cc2)n1 ZINC000769475919 625924036 /nfs/dbraw/zinc/92/40/36/625924036.db2.gz QFUMCUMVWMSXDK-UHFFFAOYSA-N 0 0 291.332 2.864 20 5 CFBDRN CC(C)(C)OC(=O)NCCCNc1ccccc1[N+](=O)[O-] ZINC000112733862 625950036 /nfs/dbraw/zinc/95/00/36/625950036.db2.gz VSFAUTWDFGJFPZ-UHFFFAOYSA-N 0 0 295.339 2.922 20 5 CFBDRN CC(C)OC(=O)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000921512183 625958004 /nfs/dbraw/zinc/95/80/04/625958004.db2.gz IPCPKEMOBYUXTP-UHFFFAOYSA-N 0 0 261.180 2.797 20 5 CFBDRN Cc1cc(N2C[C@@H](O)C[C@@H]2C)c(Cl)cc1[N+](=O)[O-] ZINC000788076640 625977279 /nfs/dbraw/zinc/97/72/79/625977279.db2.gz DTBLUJKAFCVIQA-IUCAKERBSA-N 0 0 270.716 2.516 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1cccc2c1OCC2 ZINC000921546669 625983999 /nfs/dbraw/zinc/98/39/99/625983999.db2.gz GEUBMOACVYAJGT-UHFFFAOYSA-N 0 0 284.315 2.820 20 5 CFBDRN CCc1[nH]nc(Cl)c1CNCc1ccccc1[N+](=O)[O-] ZINC000921547007 625984227 /nfs/dbraw/zinc/98/42/27/625984227.db2.gz GVWSHBYSXPKAFT-UHFFFAOYSA-N 0 0 294.742 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](C)C2CC2)c1C ZINC000888424555 626224222 /nfs/dbraw/zinc/22/42/22/626224222.db2.gz XGZUVPOWNQVSFX-SNVBAGLBSA-N 0 0 276.336 2.988 20 5 CFBDRN CCOCCCOC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000080118366 626237013 /nfs/dbraw/zinc/23/70/13/626237013.db2.gz IUCMKACLEFSWFT-UHFFFAOYSA-N 0 0 281.308 2.741 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccc(F)nc1 ZINC000069704460 626273303 /nfs/dbraw/zinc/27/33/03/626273303.db2.gz JLFKUESMVNNRLJ-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN COc1cccc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000922538307 626304616 /nfs/dbraw/zinc/30/46/16/626304616.db2.gz PEYOTPKFJOSNIC-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN C[C@@H](NCc1cnn(C)c1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000922537787 626304667 /nfs/dbraw/zinc/30/46/67/626304667.db2.gz KGZWWSKWHSNGSA-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN CC[C@@H](C)CONC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000922580122 626316107 /nfs/dbraw/zinc/31/61/07/626316107.db2.gz XVHAAGPIUZEXMV-GHMZBOCLSA-N 0 0 295.339 2.933 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H]1CCOC1 ZINC000155070427 626330490 /nfs/dbraw/zinc/33/04/90/626330490.db2.gz KXDJKDSRWJXMOO-SNVBAGLBSA-N 0 0 297.332 2.653 20 5 CFBDRN CCSc1ccccc1COC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000922802705 626367852 /nfs/dbraw/zinc/36/78/52/626367852.db2.gz OQABVWJNWFUBTM-GHMZBOCLSA-N 0 0 281.333 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCCS2)c2nonc21 ZINC000156483027 626389204 /nfs/dbraw/zinc/38/92/04/626389204.db2.gz FZFFXWJVOIPBSI-QMMMGPOBSA-N 0 0 294.336 2.829 20 5 CFBDRN CCCOC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000073928112 626400257 /nfs/dbraw/zinc/40/02/57/626400257.db2.gz GVGMYFGXIKKOHX-UHFFFAOYSA-N 0 0 266.297 2.740 20 5 CFBDRN CCCCOC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 ZINC000073926460 626400371 /nfs/dbraw/zinc/40/03/71/626400371.db2.gz FQRISCDBHPIISF-UHFFFAOYSA-N 0 0 289.291 2.737 20 5 CFBDRN C[C@@]1(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])CCCO1 ZINC000756557696 626420987 /nfs/dbraw/zinc/42/09/87/626420987.db2.gz GBPZHCIGSHQIIA-ZDUSSCGKSA-N 0 0 299.710 2.861 20 5 CFBDRN C[C@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000923053435 626446814 /nfs/dbraw/zinc/44/68/14/626446814.db2.gz WZERHJINJLYDIF-ZANVPECISA-N 0 0 297.282 2.592 20 5 CFBDRN CC[C@H](C)OC(=O)c1ccc2c(c1[N+](=O)[O-])OCCCO2 ZINC000923222635 626457773 /nfs/dbraw/zinc/45/77/73/626457773.db2.gz NBIOYMDZYCDCJW-VIFPVBQESA-N 0 0 295.291 2.711 20 5 CFBDRN CC[C@@H](C)OC(=O)c1ccc2c(c1[N+](=O)[O-])OCCCO2 ZINC000923222634 626457886 /nfs/dbraw/zinc/45/78/86/626457886.db2.gz NBIOYMDZYCDCJW-SECBINFHSA-N 0 0 295.291 2.711 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2cscn2)c([N+](=O)[O-])c1 ZINC000102978855 626485484 /nfs/dbraw/zinc/48/54/84/626485484.db2.gz AOMOPGMYMXPBPL-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000838079702 626485505 /nfs/dbraw/zinc/48/55/05/626485505.db2.gz HMWHUEAUNXOIND-NWDGAFQWSA-N 0 0 298.289 2.847 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000838086349 626485746 /nfs/dbraw/zinc/48/57/46/626485746.db2.gz HLNWAIFOVJAPOT-VTDTZARESA-N 0 0 274.320 2.769 20 5 CFBDRN C[C@@H](OC(=O)CC1(O)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000838212795 626488403 /nfs/dbraw/zinc/48/84/03/626488403.db2.gz KZXIGLWSMJBFPI-SNVBAGLBSA-N 0 0 279.292 2.504 20 5 CFBDRN CC1(C)CCC[C@@H]1OC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283519 626491817 /nfs/dbraw/zinc/49/18/17/626491817.db2.gz DRBHQMJRDZNQOA-QWHCGFSZSA-N 0 0 293.319 2.750 20 5 CFBDRN COC[C@H](C)OC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000838345887 626492854 /nfs/dbraw/zinc/49/28/54/626492854.db2.gz SPUKRDFKONNARO-QMMMGPOBSA-N 0 0 287.699 2.748 20 5 CFBDRN CCC(C)(C)OCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000838410943 626496429 /nfs/dbraw/zinc/49/64/29/626496429.db2.gz KHIARFZJRMNBPT-UHFFFAOYSA-N 0 0 281.308 2.843 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCCCO1 ZINC000838435844 626497618 /nfs/dbraw/zinc/49/76/18/626497618.db2.gz DGPAXHRKVSTBKE-AWEZNQCLSA-N 0 0 279.292 2.597 20 5 CFBDRN C[C@]1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCCCO1 ZINC000838436796 626497667 /nfs/dbraw/zinc/49/76/67/626497667.db2.gz JVUJCCRNDKYXOC-CQSZACIVSA-N 0 0 297.282 2.736 20 5 CFBDRN CCC(C)(C)OCC(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000838816376 626507620 /nfs/dbraw/zinc/50/76/20/626507620.db2.gz GJSYBTQRNVHBHY-UHFFFAOYSA-N 0 0 295.335 2.886 20 5 CFBDRN CCC(C)(C)OCC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000838819338 626507935 /nfs/dbraw/zinc/50/79/35/626507935.db2.gz KLJKUHQZAAHQIF-UHFFFAOYSA-N 0 0 285.271 2.845 20 5 CFBDRN COCC1(C)CN(Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000839142840 626528747 /nfs/dbraw/zinc/52/87/47/626528747.db2.gz DFUJNSZRUCVJQN-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN C[C@H](NCc1ccc(Cl)c([N+](=O)[O-])c1)c1ccn(C)n1 ZINC000839193121 626530967 /nfs/dbraw/zinc/53/09/67/626530967.db2.gz YPXGCHICCKAJMX-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@@H]1C[C@H]1C(F)(F)F ZINC000839224472 626531611 /nfs/dbraw/zinc/53/16/11/626531611.db2.gz OKOZHXDQVHVNTJ-RNFRBKRXSA-N 0 0 292.188 2.871 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000839236896 626532788 /nfs/dbraw/zinc/53/27/88/626532788.db2.gz VNGBGPPUHNEHGT-RNFRBKRXSA-N 0 0 270.235 2.858 20 5 CFBDRN CCC[C@@H](OC)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000839312360 626533568 /nfs/dbraw/zinc/53/35/68/626533568.db2.gz XLQYQUNOKLYGHX-LLVKDONJSA-N 0 0 267.281 2.624 20 5 CFBDRN CC(C)(CCC(=O)N1CCCOc2ccccc21)[N+](=O)[O-] ZINC000839318007 626533651 /nfs/dbraw/zinc/53/36/51/626533651.db2.gz NNNVPHUZIVUSEQ-UHFFFAOYSA-N 0 0 292.335 2.638 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000839396216 626535371 /nfs/dbraw/zinc/53/53/71/626535371.db2.gz IABIUFRWCOXSBY-LBPRGKRZSA-N 0 0 281.333 2.842 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC2(C3(C)CC3)CC2)c1 ZINC000839425646 626535998 /nfs/dbraw/zinc/53/59/98/626535998.db2.gz KQLHAYJKMDHHKZ-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CCCOC(=O)CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000749320506 626540635 /nfs/dbraw/zinc/54/06/35/626540635.db2.gz WNFFNEQOCNTXNR-UHFFFAOYSA-N 0 0 288.250 2.628 20 5 CFBDRN CC(C)(C)OCCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000757023135 626543591 /nfs/dbraw/zinc/54/35/91/626543591.db2.gz IUPDOXRFHXRFHD-UHFFFAOYSA-N 0 0 281.308 2.957 20 5 CFBDRN CC(C)(C)OCCCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000757039096 626543809 /nfs/dbraw/zinc/54/38/09/626543809.db2.gz OMUWTEGYHXYFJK-UHFFFAOYSA-N 0 0 281.308 2.957 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(CC(F)(F)C(F)F)C2 ZINC000757167965 626546091 /nfs/dbraw/zinc/54/60/91/626546091.db2.gz NSOXLZVERRGHKR-UHFFFAOYSA-N 0 0 292.232 2.853 20 5 CFBDRN CC1(C)CCN1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000757261861 626547251 /nfs/dbraw/zinc/54/72/51/626547251.db2.gz KOWXHBYSZLPMDU-UHFFFAOYSA-N 0 0 297.742 2.942 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1csc2ccccc21 ZINC000839661664 626550233 /nfs/dbraw/zinc/55/02/33/626550233.db2.gz DRWPAELTLIBVPP-HLUHBDAQSA-N 0 0 290.344 2.744 20 5 CFBDRN CC(C)[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1Cl ZINC000839689656 626551074 /nfs/dbraw/zinc/55/10/74/626551074.db2.gz DOYFTVCZQGSLQH-RAIGVLPGSA-N 0 0 296.754 2.819 20 5 CFBDRN CON(C(=O)c1ccc([N+](=O)[O-])cc1C)C1CCCC1 ZINC000757657915 626557475 /nfs/dbraw/zinc/55/74/75/626557475.db2.gz QQVBRGDMMABDQJ-UHFFFAOYSA-N 0 0 278.308 2.849 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000757744556 626561755 /nfs/dbraw/zinc/56/17/55/626561755.db2.gz KZYAJZUGNMSEDL-SNVBAGLBSA-N 0 0 287.337 2.800 20 5 CFBDRN CC(F)(F)CC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000757818282 626564025 /nfs/dbraw/zinc/56/40/25/626564025.db2.gz QGKRAELYVALUQQ-UHFFFAOYSA-N 0 0 259.208 2.683 20 5 CFBDRN O=C(OC[C@H]1CC12CCOCC2)c1cccc([N+](=O)[O-])c1 ZINC000758014413 626572909 /nfs/dbraw/zinc/57/29/09/626572909.db2.gz IXPZGFQAKBJZQI-GFCCVEGCSA-N 0 0 291.303 2.568 20 5 CFBDRN O=C(OCc1cscn1)c1ccc(F)cc1[N+](=O)[O-] ZINC000758113119 626576918 /nfs/dbraw/zinc/57/69/18/626576918.db2.gz JFRUYGUMQPCFGM-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CC1(C)CN(Cn2cc([N+](=O)[O-])cn2)Cc2ccccc21 ZINC000758374569 626590477 /nfs/dbraw/zinc/59/04/77/626590477.db2.gz DUMZLPJNFRPNGS-UHFFFAOYSA-N 0 0 286.335 2.542 20 5 CFBDRN COc1cc(COC(=O)CC(C)(F)F)ccc1[N+](=O)[O-] ZINC000758699016 626603403 /nfs/dbraw/zinc/60/34/03/626603403.db2.gz ATZJVHCDSJMTBE-UHFFFAOYSA-N 0 0 289.234 2.692 20 5 CFBDRN CC(F)(F)CC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000758729090 626604766 /nfs/dbraw/zinc/60/47/66/626604766.db2.gz CSBGFNHHZWPIEF-UHFFFAOYSA-N 0 0 277.198 2.822 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ncc(F)cc1F ZINC000758886799 626615398 /nfs/dbraw/zinc/61/53/98/626615398.db2.gz CVJGUBRDLQLWBD-UHFFFAOYSA-N 0 0 294.213 2.796 20 5 CFBDRN Cc1cc([N+](=O)[O-])nn1C[C@@H](O)c1ccc(Cl)c(F)c1 ZINC000759044410 626625673 /nfs/dbraw/zinc/62/56/73/626625673.db2.gz CPVIQXFYULQQIR-LLVKDONJSA-N 0 0 299.689 2.626 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](O)c1ccc(Cl)c(F)c1 ZINC000759048239 626625842 /nfs/dbraw/zinc/62/58/42/626625842.db2.gz LYESVZHZMXFSBJ-LLVKDONJSA-N 0 0 299.689 2.626 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](O)c1ccc(Cl)c(F)c1 ZINC000759048240 626625876 /nfs/dbraw/zinc/62/58/76/626625876.db2.gz LYESVZHZMXFSBJ-NSHDSACASA-N 0 0 299.689 2.626 20 5 CFBDRN CCC(=O)CCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000759436123 626658453 /nfs/dbraw/zinc/65/84/53/626658453.db2.gz OPDQQIBQWWWXHR-JXMROGBWSA-N 0 0 291.303 2.911 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000759497632 626665075 /nfs/dbraw/zinc/66/50/75/626665075.db2.gz GJCMGXUXLVROMH-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN CCC(=O)CCCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000759593248 626674354 /nfs/dbraw/zinc/67/43/54/626674354.db2.gz MJUDNUAZWGZGFV-UHFFFAOYSA-N 0 0 293.319 2.748 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1CC12CCC2 ZINC000759822937 626694189 /nfs/dbraw/zinc/69/41/89/626694189.db2.gz BQRDSVCXOBKBSK-GFCCVEGCSA-N 0 0 261.277 2.828 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccnc(C2CC2)n1 ZINC000760019589 626709504 /nfs/dbraw/zinc/70/95/04/626709504.db2.gz VPSFGDFRILHYFF-UHFFFAOYSA-N 0 0 299.286 2.790 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CC12CCC2 ZINC000760021958 626710264 /nfs/dbraw/zinc/71/02/64/626710264.db2.gz HELOMQZSFHARTE-JTQLQIEISA-N 0 0 261.277 2.999 20 5 CFBDRN CS/C=C/C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760023692 626710285 /nfs/dbraw/zinc/71/02/85/626710285.db2.gz YPIQOWLGLJDYPH-VOTSOKGWSA-N 0 0 253.279 2.685 20 5 CFBDRN CC[C@H]1CN(c2cc(C)c([N+](=O)[O-])cc2Cl)C[C@@H]1O ZINC000760267673 626727014 /nfs/dbraw/zinc/72/70/14/626727014.db2.gz IPQDKPDEKJGGHK-ZANVPECISA-N 0 0 284.743 2.764 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1ccc[nH]1 ZINC000760309250 626732423 /nfs/dbraw/zinc/73/24/23/626732423.db2.gz ALMRBUASXXMBAQ-SECBINFHSA-N 0 0 274.280 2.806 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2ccc[nH]2)ccc1[N+](=O)[O-] ZINC000760408800 626742766 /nfs/dbraw/zinc/74/27/66/626742766.db2.gz FZOFOQJEVVHCEH-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)c2ccc[nH]2)cccc1[N+](=O)[O-] ZINC000760434843 626745915 /nfs/dbraw/zinc/74/59/15/626745915.db2.gz NSDUIZWALURWMY-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1ccc([C@H](C)OC(=O)c2cncnc2)cc1[N+](=O)[O-] ZINC000760614641 626759570 /nfs/dbraw/zinc/75/95/70/626759570.db2.gz GUMAAGSYKQMLSI-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000760798176 626772896 /nfs/dbraw/zinc/77/28/96/626772896.db2.gz FUKZEIJHAHRXRA-MRVPVSSYSA-N 0 0 285.683 2.691 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000760798177 626773143 /nfs/dbraw/zinc/77/31/43/626773143.db2.gz FUKZEIJHAHRXRA-QMMMGPOBSA-N 0 0 285.683 2.691 20 5 CFBDRN CCOC(=O)[C@@H](NCc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000760917037 626783998 /nfs/dbraw/zinc/78/39/98/626783998.db2.gz LNZHUEDFTQVCDJ-CYBMUJFWSA-N 0 0 294.351 2.662 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCc2ccno2)c1 ZINC000761198169 626815088 /nfs/dbraw/zinc/81/50/88/626815088.db2.gz NHCUYRCBALRQEO-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C1Cc2ccccc2C1 ZINC000761426982 626833760 /nfs/dbraw/zinc/83/37/60/626833760.db2.gz KFVRMQVRAGIHPU-UHFFFAOYSA-N 0 0 283.283 2.915 20 5 CFBDRN CC1(C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)CC1 ZINC000761445986 626836345 /nfs/dbraw/zinc/83/63/45/626836345.db2.gz JPSRIQCTXQRRJY-UHFFFAOYSA-N 0 0 276.248 2.579 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000761466136 626838045 /nfs/dbraw/zinc/83/80/45/626838045.db2.gz LYCSOJCYVDZVRK-ZYHUDNBSSA-N 0 0 291.303 2.643 20 5 CFBDRN Cc1ccccc1OC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762063656 626885548 /nfs/dbraw/zinc/88/55/48/626885548.db2.gz HCDJYANONYODRM-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OC[C@@H]1CCSC1 ZINC000762149792 626897825 /nfs/dbraw/zinc/89/78/25/626897825.db2.gz QBPZFIHXSCPPFC-UXONFWTHSA-N 0 0 293.344 2.904 20 5 CFBDRN O=C1O[C@@H](COc2ccc(Cl)cc2[N+](=O)[O-])CC12CC2 ZINC000762187992 626902161 /nfs/dbraw/zinc/90/21/61/626902161.db2.gz DZQNEFHRTQPHHD-SECBINFHSA-N 0 0 297.694 2.723 20 5 CFBDRN Cc1ccncc1COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000762352526 626911147 /nfs/dbraw/zinc/91/11/47/626911147.db2.gz KRTRNUYSXGGZFK-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C1O[C@@H](CSCc2ccc([N+](=O)[O-])cc2)CC12CC2 ZINC000762426865 626915211 /nfs/dbraw/zinc/91/52/11/626915211.db2.gz KVWBNFYHXQANTB-GFCCVEGCSA-N 0 0 293.344 2.924 20 5 CFBDRN O=C1O[C@H](CSCc2ccccc2[N+](=O)[O-])CC12CC2 ZINC000762430259 626915578 /nfs/dbraw/zinc/91/55/78/626915578.db2.gz XAIKHUOIBGJGEI-NSHDSACASA-N 0 0 293.344 2.924 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1-c1nc([C@H]2CCOC2)cs1 ZINC000762441283 626916588 /nfs/dbraw/zinc/91/65/88/626916588.db2.gz WZWQRLTVBNSMSC-QMMMGPOBSA-N 0 0 291.332 2.804 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCCC[C@@H]2c2ccccc2)c1 ZINC000762467272 626917594 /nfs/dbraw/zinc/91/75/94/626917594.db2.gz QELVLOQAEXNWJK-OAHLLOKOSA-N 0 0 286.335 2.976 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])C1CC(F)(F)C1 ZINC000762710712 626932036 /nfs/dbraw/zinc/93/20/36/626932036.db2.gz OYNMEJXITSNKGL-UHFFFAOYSA-N 0 0 285.246 2.726 20 5 CFBDRN CSCCCOC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000762897342 626948403 /nfs/dbraw/zinc/94/84/03/626948403.db2.gz KJULFJITTFADQA-UHFFFAOYSA-N 0 0 294.332 2.986 20 5 CFBDRN CCCC(=O)COC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000762905610 626948595 /nfs/dbraw/zinc/94/85/95/626948595.db2.gz GULNCVXCNRSAPY-UHFFFAOYSA-N 0 0 290.275 2.602 20 5 CFBDRN CC(=O)CCCOC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000762905596 626948999 /nfs/dbraw/zinc/94/89/99/626948999.db2.gz GSGHQJAEBRFVEK-UHFFFAOYSA-N 0 0 290.275 2.602 20 5 CFBDRN CCSCCOC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000762909845 626949443 /nfs/dbraw/zinc/94/94/43/626949443.db2.gz ZGIPSWGWBZYSKY-UHFFFAOYSA-N 0 0 294.332 2.986 20 5 CFBDRN CC(C)C(C)(C)Sc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000763026224 626956903 /nfs/dbraw/zinc/95/69/03/626956903.db2.gz CTTMTQFHWGTJAX-UHFFFAOYSA-N 0 0 283.353 2.615 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@H]1CC1(Cl)Cl ZINC000763262821 626974047 /nfs/dbraw/zinc/97/40/47/626974047.db2.gz OOFUICBKNTULSQ-RXMQYKEDSA-N 0 0 297.525 2.604 20 5 CFBDRN CCOC(=O)[C@@H](C)NCc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000763273464 626975364 /nfs/dbraw/zinc/97/53/64/626975364.db2.gz ZPNMGTAVYRUITQ-LLVKDONJSA-N 0 0 294.351 2.759 20 5 CFBDRN C[C@@]1(c2noc(Cc3cccc([N+](=O)[O-])c3)n2)CCCO1 ZINC000763314226 626978756 /nfs/dbraw/zinc/97/87/56/626978756.db2.gz XNBWCOHJSLCFKT-AWEZNQCLSA-N 0 0 289.291 2.594 20 5 CFBDRN C[C@@H](C(=O)OCCOCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000763410813 626986639 /nfs/dbraw/zinc/98/66/39/626986639.db2.gz YIFRMCBKXVVVKZ-LLVKDONJSA-N 0 0 293.319 2.668 20 5 CFBDRN C[C@H](OC(=O)[C@H]1C[C@]12CCOC2)c1ccc([N+](=O)[O-])cc1 ZINC000763427328 626988392 /nfs/dbraw/zinc/98/83/92/626988392.db2.gz YICHZROLGWTBDG-ZBINZKHDSA-N 0 0 291.303 2.626 20 5 CFBDRN COC(=O)c1ccc(OC[Si](C)(C)C)cc1[N+](=O)[O-] ZINC000763498245 626992323 /nfs/dbraw/zinc/99/23/23/626992323.db2.gz FXBAAHIIFUOWFC-UHFFFAOYSA-N 0 0 283.356 2.638 20 5 CFBDRN CCCC[C@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000763536339 626996143 /nfs/dbraw/zinc/99/61/43/626996143.db2.gz OMRLRNIXGUNFFI-VIFPVBQESA-N 0 0 254.286 2.669 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000763553252 626997658 /nfs/dbraw/zinc/99/76/58/626997658.db2.gz KZJYSQDRCWCMDG-SNVBAGLBSA-N 0 0 251.282 2.725 20 5 CFBDRN COC(=O)c1cc(C(=O)O[C@@H](C)C(C)C)cc([N+](=O)[O-])c1 ZINC000763564975 626998959 /nfs/dbraw/zinc/99/89/59/626998959.db2.gz XRACWEKOHQNMEV-VIFPVBQESA-N 0 0 295.291 2.583 20 5 CFBDRN O=C(OCc1ccno1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763719382 627007922 /nfs/dbraw/zinc/00/79/22/627007922.db2.gz ZZTXCPYONVMLED-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN Cc1cc(COC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)on1 ZINC000763720687 627007971 /nfs/dbraw/zinc/00/79/71/627007971.db2.gz YVHLCGUASOEOMK-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CC1(COC(=O)COc2cccc([N+](=O)[O-])c2)CCC1 ZINC000764100624 627038227 /nfs/dbraw/zinc/03/82/27/627038227.db2.gz WGPCHXAARUJHBG-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CC1(CCOC(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000764240478 627047392 /nfs/dbraw/zinc/04/73/92/627047392.db2.gz QKMSLVXRHOQSTA-UHFFFAOYSA-N 0 0 264.281 2.524 20 5 CFBDRN O=c1ccnc(C=Cc2cc(Cl)ccc2[N+](=O)[O-])[nH]1 ZINC000764436852 627059587 /nfs/dbraw/zinc/05/95/87/627059587.db2.gz VFLAVRJUNAEJSZ-DAFODLJHSA-N 0 0 277.667 2.914 20 5 CFBDRN CCCOC(=O)c1cc(C(=O)OCCC)cc([N+](=O)[O-])c1 ZINC000764580396 627072647 /nfs/dbraw/zinc/07/26/47/627072647.db2.gz ZSQQOBNVYKXLOS-UHFFFAOYSA-N 0 0 295.291 2.728 20 5 CFBDRN CC[C@@H]([NH2+]Cc1cc([N+](=O)[O-])ccc1[O-])C1CC1 ZINC000765620810 627151175 /nfs/dbraw/zinc/15/11/75/627151175.db2.gz RKUXOTYBXSHAKO-GFCCVEGCSA-N 0 0 250.298 2.579 20 5 CFBDRN O=C(OCC1CC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000766228856 627186382 /nfs/dbraw/zinc/18/63/82/627186382.db2.gz WWNPGUXKLDBPEH-UHFFFAOYSA-N 0 0 272.260 2.710 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCOC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000766398946 627199896 /nfs/dbraw/zinc/19/98/96/627199896.db2.gz KXNYBBGCTZELMS-UHIISALHSA-N 0 0 293.319 2.872 20 5 CFBDRN CCC[C@H](CC)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000766404148 627200345 /nfs/dbraw/zinc/20/03/45/627200345.db2.gz TYSZRNYIJKHGNP-VIFPVBQESA-N 0 0 254.286 2.669 20 5 CFBDRN Cc1cc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])ns1 ZINC000766848646 627222542 /nfs/dbraw/zinc/22/25/42/627222542.db2.gz RKHSBBLJQCBHIP-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN CS[C@@H](C)CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000766921752 627224336 /nfs/dbraw/zinc/22/43/36/627224336.db2.gz YGRSRLNHKBHZOK-ZETCQYMHSA-N 0 0 275.351 2.841 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc3c(c2)COC3)nc1C1CC1 ZINC000767062372 627233297 /nfs/dbraw/zinc/23/32/97/627233297.db2.gz RVTCRRVNXZIHKT-UHFFFAOYSA-N 0 0 285.303 2.747 20 5 CFBDRN CCc1cccnc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000767067502 627233567 /nfs/dbraw/zinc/23/35/67/627233567.db2.gz ALSVCSPUKLWGQQ-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN CC/C=C/CCOC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000767585030 627263330 /nfs/dbraw/zinc/26/33/30/627263330.db2.gz QSJDNJQSAQIOCY-ONEGZZNKSA-N 0 0 264.281 2.690 20 5 CFBDRN CS/C=C/C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000767655148 627269615 /nfs/dbraw/zinc/26/96/15/627269615.db2.gz VRKNVLUNCSSGPX-SNAWJCMRSA-N 0 0 271.269 2.654 20 5 CFBDRN Cc1nc(COC(=O)Cc2ccccc2[N+](=O)[O-])cs1 ZINC000767683457 627274421 /nfs/dbraw/zinc/27/44/21/627274421.db2.gz WZALVHWPIBBEFQ-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CCOC(C)(C)C)n1 ZINC000767821287 627288704 /nfs/dbraw/zinc/28/87/04/627288704.db2.gz VVDSGAAERIOSLY-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN CN(Cc1cc[nH]n1)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000768555230 627353725 /nfs/dbraw/zinc/35/37/25/627353725.db2.gz OHOJDEVWQRNCIW-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000768900537 627385546 /nfs/dbraw/zinc/38/55/46/627385546.db2.gz VNHYKBFFNASVEE-LLVKDONJSA-N 0 0 295.339 2.585 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000805380373 627406721 /nfs/dbraw/zinc/40/67/21/627406721.db2.gz NTVYAWWSYUUBPF-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN COc1cccc(C(=O)OC[Si](C)(C)C)c1[N+](=O)[O-] ZINC000769607289 627460736 /nfs/dbraw/zinc/46/07/36/627460736.db2.gz ZWOVYCZGCVLIPJ-UHFFFAOYSA-N 0 0 283.356 2.638 20 5 CFBDRN CCC(CC)OC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000769605829 627460905 /nfs/dbraw/zinc/46/09/05/627460905.db2.gz VPHBQSQTHAICED-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN CN(CCOC(C)(C)C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000769740163 627471459 /nfs/dbraw/zinc/47/14/59/627471459.db2.gz RXAXYJSKGOJERG-UHFFFAOYSA-N 0 0 298.314 2.621 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OC[C@@H]1CCCO1 ZINC000769880534 627484958 /nfs/dbraw/zinc/48/49/58/627484958.db2.gz FHXXLHNQSSTTJJ-LBPRGKRZSA-N 0 0 293.319 2.856 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cn2)c(C)c1 ZINC000770117452 627502915 /nfs/dbraw/zinc/50/29/15/627502915.db2.gz GKMLMHHZGJQDRE-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])s2)o1 ZINC000770904111 627556192 /nfs/dbraw/zinc/55/61/92/627556192.db2.gz ZGSUHUBTGUFJCU-SSDOTTSWSA-N 0 0 282.277 2.871 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])s2)o1 ZINC000770904113 627556386 /nfs/dbraw/zinc/55/63/86/627556386.db2.gz ZGSUHUBTGUFJCU-ZETCQYMHSA-N 0 0 282.277 2.871 20 5 CFBDRN C[C@H]1CCC[C@H](COC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000770925963 627559047 /nfs/dbraw/zinc/55/90/47/627559047.db2.gz GUYIAWUFYTVFKK-QWRGUYRKSA-N 0 0 280.324 2.916 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2F)o1 ZINC000770955631 627564350 /nfs/dbraw/zinc/56/43/50/627564350.db2.gz HOLJWWNWYHXJBY-QMMMGPOBSA-N 0 0 294.238 2.948 20 5 CFBDRN C[C@@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000771488348 627607442 /nfs/dbraw/zinc/60/74/42/627607442.db2.gz KVAHPMQXLOJCKX-YFKPBYRVSA-N 0 0 281.161 2.838 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1Cc2ccccc21 ZINC000771480669 627607753 /nfs/dbraw/zinc/60/77/53/627607753.db2.gz FQJKEGIRNCHLFV-CQSZACIVSA-N 0 0 287.246 2.979 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771482258 627607969 /nfs/dbraw/zinc/60/79/69/627607969.db2.gz WOYXJIJRMNESSJ-VIFPVBQESA-N 0 0 285.271 2.700 20 5 CFBDRN Cc1cc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c(C)[nH]1 ZINC000771482290 627608009 /nfs/dbraw/zinc/60/80/09/627608009.db2.gz XBRNRQSNFOOMDO-UHFFFAOYSA-N 0 0 278.239 2.898 20 5 CFBDRN C/C(=C\C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000771484279 627608305 /nfs/dbraw/zinc/60/83/05/627608305.db2.gz RNVYICIPYGQGIL-XBXARRHUSA-N 0 0 265.240 2.996 20 5 CFBDRN O=C(CC(F)(F)F)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771489013 627608829 /nfs/dbraw/zinc/60/88/29/627608829.db2.gz PPBMETRFHVXMHI-UHFFFAOYSA-N 0 0 267.134 2.592 20 5 CFBDRN Cn1ncc(NC(=O)c2csc([N+](=O)[O-])c2)c1C1CC1 ZINC000771489306 627608958 /nfs/dbraw/zinc/60/89/58/627608958.db2.gz IYXILSPCPOXFNH-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN CCc1noc(C)c1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771492505 627609052 /nfs/dbraw/zinc/60/90/52/627609052.db2.gz QFZNMPJHOJJSNN-UHFFFAOYSA-N 0 0 294.238 2.812 20 5 CFBDRN Cn1ncc(NC(=O)c2ccc([N+](=O)[O-])s2)c1C1CC1 ZINC000771498071 627609542 /nfs/dbraw/zinc/60/95/42/627609542.db2.gz QEEHYPXEORBLGB-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN Cc1ncc(CCNc2nc(C)ccc2[N+](=O)[O-])s1 ZINC000771929878 627649975 /nfs/dbraw/zinc/64/99/75/627649975.db2.gz ZANJJBSNUBUWTI-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc([Si](C)(C)C)cc1 ZINC000772230235 627688861 /nfs/dbraw/zinc/68/88/61/627688861.db2.gz MFKKPBJOGQPRIN-UHFFFAOYSA-N 0 0 289.411 2.693 20 5 CFBDRN CC(C)(O)c1ccc(CNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000772231528 627689000 /nfs/dbraw/zinc/68/90/00/627689000.db2.gz IKJBSTQCLZUNDF-UHFFFAOYSA-N 0 0 287.319 2.829 20 5 CFBDRN Cc1nc(NC(=O)C=C2CCSCC2)ccc1[N+](=O)[O-] ZINC000772280745 627696292 /nfs/dbraw/zinc/69/62/92/627696292.db2.gz HLEDOZDHNJSGBK-UHFFFAOYSA-N 0 0 293.348 2.690 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(Cl)[nH]n1 ZINC000772430680 627709192 /nfs/dbraw/zinc/70/91/92/627709192.db2.gz IPDLCOOLOYMBRP-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)c1ccc[nH]1 ZINC000772463279 627711179 /nfs/dbraw/zinc/71/11/79/627711179.db2.gz RPUJRPCJWYYKIZ-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000772517332 627717971 /nfs/dbraw/zinc/71/79/71/627717971.db2.gz PBJBTDRSAOCJEM-UONOGXRCSA-N 0 0 293.319 2.809 20 5 CFBDRN CON(C(=O)c1c(C)cccc1[N+](=O)[O-])C1CCCC1 ZINC000772548480 627720709 /nfs/dbraw/zinc/72/07/09/627720709.db2.gz OCUIMNNUMSLWKP-UHFFFAOYSA-N 0 0 278.308 2.849 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000772554535 627721093 /nfs/dbraw/zinc/72/10/93/627721093.db2.gz GSFZHVPHZDJATI-ZWNOBZJWSA-N 0 0 279.292 2.768 20 5 CFBDRN O=C(Cc1ccoc1)OCc1csc([N+](=O)[O-])c1 ZINC000772570676 627722459 /nfs/dbraw/zinc/72/24/59/627722459.db2.gz IZOVRLXFAHDYLY-UHFFFAOYSA-N 0 0 267.262 2.535 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000772664542 627732706 /nfs/dbraw/zinc/73/27/06/627732706.db2.gz GLPIAPNNOGWCBV-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(Cc1ccco1)OCCc1ccccc1[N+](=O)[O-] ZINC000772673629 627734146 /nfs/dbraw/zinc/73/41/46/627734146.db2.gz MGUDRVSXBNULBY-UHFFFAOYSA-N 0 0 275.260 2.516 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H]1COC2(CCCC2)O1 ZINC000773237248 627797594 /nfs/dbraw/zinc/79/75/94/627797594.db2.gz KURKUSZGJKRUBS-SECBINFHSA-N 0 0 284.337 2.754 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NN1[C@H](C)CCC[C@@H]1C ZINC000773397108 627813979 /nfs/dbraw/zinc/81/39/79/627813979.db2.gz XHJKOSFFOVFQAM-TXEJJXNPSA-N 0 0 291.351 2.811 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCc3n[nH]cc3C2)ccc1Cl ZINC000773518830 627832220 /nfs/dbraw/zinc/83/22/20/627832220.db2.gz NFSONJCTGDRRJG-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN CSCCOC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000773529416 627833956 /nfs/dbraw/zinc/83/39/56/627833956.db2.gz DOXLQQPKZCOUEG-UHFFFAOYSA-N 0 0 275.713 2.768 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CC1CC1)CC2 ZINC000773993435 627876476 /nfs/dbraw/zinc/87/64/76/627876476.db2.gz QRUFONOEKCDTJZ-UHFFFAOYSA-N 0 0 260.293 2.592 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)Cc1ccco1)CC2 ZINC000773990693 627876581 /nfs/dbraw/zinc/87/65/81/627876581.db2.gz SSHDOXDXOVZJGS-UHFFFAOYSA-N 0 0 286.287 2.628 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCCCN1C)c1ccccc1[N+](=O)[O-] ZINC000774012056 627878791 /nfs/dbraw/zinc/87/87/91/627878791.db2.gz AVJHOAWTHOHORX-RISCZKNCSA-N 0 0 292.335 2.683 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccc(O)cc3CC2)cc1 ZINC000774525170 627935406 /nfs/dbraw/zinc/93/54/06/627935406.db2.gz ZLDDIORPEMGKBP-UHFFFAOYSA-N 0 0 284.315 2.906 20 5 CFBDRN C[C@@H]1CCOCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000774725861 627952926 /nfs/dbraw/zinc/95/29/26/627952926.db2.gz JHRKVSSTIWDZQS-SECBINFHSA-N 0 0 293.348 2.820 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)C[C@@H]1CCOC1 ZINC000774757806 627959466 /nfs/dbraw/zinc/95/94/66/627959466.db2.gz XILVKWRIQJDOCS-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@H](CC1CCCCC1)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000774812675 627965668 /nfs/dbraw/zinc/96/56/68/627965668.db2.gz HXCYXODUEGMONQ-LLVKDONJSA-N 0 0 295.339 2.693 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000774868029 627975103 /nfs/dbraw/zinc/97/51/03/627975103.db2.gz TUJRVVDDOJOBSM-QWRGUYRKSA-N 0 0 278.308 2.626 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1cccc(Cl)c1F ZINC000774878129 627976812 /nfs/dbraw/zinc/97/68/12/627976812.db2.gz FBPBULUOQMRRDR-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN CC(C)(O)CCNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000775547279 628036443 /nfs/dbraw/zinc/03/64/43/628036443.db2.gz PRYOXRYEKMFAAY-UHFFFAOYSA-N 0 0 281.337 2.777 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@]1(O)CCc2ccccc21 ZINC000775680345 628054207 /nfs/dbraw/zinc/05/42/07/628054207.db2.gz BBMIASPNABJPMM-AWEZNQCLSA-N 0 0 290.344 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)COc2c(F)cccc2F)cc1 ZINC000776276129 628125110 /nfs/dbraw/zinc/12/51/10/628125110.db2.gz HAWVDRITZGUSGX-CYBMUJFWSA-N 0 0 295.241 2.985 20 5 CFBDRN Cc1nc2ccccc2n1C[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776271430 628125220 /nfs/dbraw/zinc/12/52/20/628125220.db2.gz BVRZXZUSTVADIG-INIZCTEOSA-N 0 0 297.314 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)COc2ccc(F)cc2)cc1 ZINC000776278736 628125811 /nfs/dbraw/zinc/12/58/11/628125811.db2.gz UGSCBUVNVHBINZ-AWEZNQCLSA-N 0 0 277.251 2.846 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000776293538 628127215 /nfs/dbraw/zinc/12/72/15/628127215.db2.gz ZSYAQZIZCDXCOA-GHMZBOCLSA-N 0 0 279.292 2.624 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC(F)(F)C2)c1 ZINC000776302264 628128763 /nfs/dbraw/zinc/12/87/63/628128763.db2.gz SHNFYLRRIZBBRI-UHFFFAOYSA-N 0 0 276.214 2.588 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CCC(=O)C[C@H]1C ZINC000776342209 628133678 /nfs/dbraw/zinc/13/36/78/628133678.db2.gz CCPLDHHDCRYFEH-ZYHUDNBSSA-N 0 0 291.303 2.814 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCO1 ZINC000776410490 628145643 /nfs/dbraw/zinc/14/56/43/628145643.db2.gz DKMOKRHPMWHSRF-WCBMZHEXSA-N 0 0 285.321 2.515 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776691969 628167668 /nfs/dbraw/zinc/16/76/68/628167668.db2.gz MZTKFZXZFDKGNE-WOFXILAISA-N 0 0 279.267 2.823 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776697342 628168372 /nfs/dbraw/zinc/16/83/72/628168372.db2.gz LFWZQNNKWUPRSQ-ITGUQSILSA-N 0 0 261.277 2.684 20 5 CFBDRN CC(Cl)(Cl)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000777221261 628208537 /nfs/dbraw/zinc/20/85/37/628208537.db2.gz FTDHJGQCYGMIAX-UHFFFAOYSA-N 0 0 296.081 2.971 20 5 CFBDRN CC[C@@H]1C[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000777350426 628216928 /nfs/dbraw/zinc/21/69/28/628216928.db2.gz PJJACQQRCLGPBU-KGLIPLIRSA-N 0 0 293.319 2.638 20 5 CFBDRN CC(C)(C)CCCCC(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000805585488 628220174 /nfs/dbraw/zinc/22/01/74/628220174.db2.gz QDDKBBHEZZAENC-UHFFFAOYSA-N 0 0 297.355 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCC[C@H]3CCO)sc2c1 ZINC000777821503 628254582 /nfs/dbraw/zinc/25/45/82/628254582.db2.gz CARNWQVHGNUWIF-VIFPVBQESA-N 0 0 293.348 2.556 20 5 CFBDRN CC1(C)CC[C@@H](CNc2nc3ccccn3c2[N+](=O)[O-])O1 ZINC000777834743 628255102 /nfs/dbraw/zinc/25/51/02/628255102.db2.gz LRLCWMCQAPEXIY-JTQLQIEISA-N 0 0 290.323 2.612 20 5 CFBDRN O=C(Nc1cccnc1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777921601 628264919 /nfs/dbraw/zinc/26/49/19/628264919.db2.gz DHJFDELMRFYPNQ-UHFFFAOYSA-N 0 0 293.229 2.720 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NOC2CCCCC2)c1 ZINC000777956974 628267279 /nfs/dbraw/zinc/26/72/79/628267279.db2.gz BORJZKHFHMBFGH-UHFFFAOYSA-N 0 0 294.307 2.598 20 5 CFBDRN C[C@@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000778051569 628275623 /nfs/dbraw/zinc/27/56/23/628275623.db2.gz FEWLFTRUQQWUCY-SECBINFHSA-N 0 0 298.289 2.991 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)OCC1CCCC1 ZINC000778140704 628279958 /nfs/dbraw/zinc/27/99/58/628279958.db2.gz MMVGXHVHJNMQNX-UHFFFAOYSA-N 0 0 264.281 2.524 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778304138 628295688 /nfs/dbraw/zinc/29/56/88/628295688.db2.gz PXZBEYCTSTXKPY-IUCAKERBSA-N 0 0 285.246 2.886 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000778355012 628298787 /nfs/dbraw/zinc/29/87/87/628298787.db2.gz FRWIUGKQTYXUSW-NXEZZACHSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCO[C@H](C)C1 ZINC000778366505 628300759 /nfs/dbraw/zinc/30/07/59/628300759.db2.gz FTRPRAJTCMAIEC-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000778380283 628303053 /nfs/dbraw/zinc/30/30/53/628303053.db2.gz VWYOVBPQFSBYFI-WDEREUQCSA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C[C@H]1F ZINC000778472259 628309771 /nfs/dbraw/zinc/30/97/71/628309771.db2.gz WQWXDAXQSHHEKI-LDYMZIIASA-N 0 0 284.262 2.554 20 5 CFBDRN CC(C)=CC(=O)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778778133 628331296 /nfs/dbraw/zinc/33/12/96/628331296.db2.gz WGVZHXQXYKMASB-AWEZNQCLSA-N 0 0 290.319 2.683 20 5 CFBDRN CCCC(=O)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778785336 628331840 /nfs/dbraw/zinc/33/18/40/628331840.db2.gz GOQAMGGDEWTTJM-ZDUSSCGKSA-N 0 0 278.308 2.517 20 5 CFBDRN CO[C@H](C)CC(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778792640 628332529 /nfs/dbraw/zinc/33/25/29/628332529.db2.gz JXOMPKXREKYESY-GHMZBOCLSA-N 0 0 281.308 2.932 20 5 CFBDRN COc1cc(COC(=O)[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000778815268 628333302 /nfs/dbraw/zinc/33/33/02/628333302.db2.gz OIONGMMAWPLBEH-LBPRGKRZSA-N 0 0 297.332 2.542 20 5 CFBDRN CC[C@H]1C[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000779291010 628369802 /nfs/dbraw/zinc/36/98/02/628369802.db2.gz AUGYHMPJNRTAHN-QWHCGFSZSA-N 0 0 279.292 2.709 20 5 CFBDRN CC[C@@H]1C[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000779293948 628370325 /nfs/dbraw/zinc/37/03/25/628370325.db2.gz CXLYKOSIXRLNPJ-MNOVXSKESA-N 0 0 279.292 2.709 20 5 CFBDRN CCOC1CC(C(=O)OCc2ccc([N+](=O)[O-])cc2F)C1 ZINC000805640195 628388572 /nfs/dbraw/zinc/38/85/72/628388572.db2.gz MRCONKPGTFGPEA-UHFFFAOYSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1[C@@H]2CCCO[C@H]12)c1cccc([N+](=O)[O-])c1 ZINC000779840704 628413527 /nfs/dbraw/zinc/41/35/27/628413527.db2.gz XBDNYTFCYWNZIM-WTDIUWLJSA-N 0 0 291.303 2.624 20 5 CFBDRN CC/C(C)=C(\C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000779855388 628414326 /nfs/dbraw/zinc/41/43/26/628414326.db2.gz JRFMGMRFMHIAMB-ZHACJKMWSA-N 0 0 262.309 2.957 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)O[C@@H]1C=CCC1 ZINC000780553893 628474819 /nfs/dbraw/zinc/47/48/19/628474819.db2.gz MUWAPTPQURWKBP-GFCCVEGCSA-N 0 0 286.287 2.880 20 5 CFBDRN O=C(O[C@H]1C=CCC1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 ZINC000780560952 628477267 /nfs/dbraw/zinc/47/72/67/628477267.db2.gz UPIRPVNGTYNXJH-NSHDSACASA-N 0 0 299.286 2.656 20 5 CFBDRN CCON(C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000780699316 628488324 /nfs/dbraw/zinc/48/83/24/628488324.db2.gz FKJRDQDIRMORGK-UHFFFAOYSA-N 0 0 273.676 2.663 20 5 CFBDRN CC/C(C)=C(\C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000780855282 628500073 /nfs/dbraw/zinc/50/00/73/628500073.db2.gz IHQZKZPYZBYTHN-VAWYXSNFSA-N 0 0 291.351 2.869 20 5 CFBDRN Cc1cc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])co1 ZINC000781368940 628543700 /nfs/dbraw/zinc/54/37/00/628543700.db2.gz LQYYJUWJQMBPRC-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN COC[C@@H](CNc1cc(C)c([N+](=O)[O-])cc1Cl)OC ZINC000781388683 628545119 /nfs/dbraw/zinc/54/51/19/628545119.db2.gz ZFHDRKYONUESCK-SECBINFHSA-N 0 0 288.731 2.630 20 5 CFBDRN CCCCCC[C@@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000781451697 628549546 /nfs/dbraw/zinc/54/95/46/628549546.db2.gz GHCZPSCZYALMJK-LLVKDONJSA-N 0 0 294.355 2.892 20 5 CFBDRN Cc1cc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)co1 ZINC000781516521 628556662 /nfs/dbraw/zinc/55/66/62/628556662.db2.gz MHEYMMKEIRNJBK-UHFFFAOYSA-N 0 0 265.196 2.855 20 5 CFBDRN COCC[C@H](C)OC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000781935026 628592126 /nfs/dbraw/zinc/59/21/26/628592126.db2.gz DHDGJTXIFYAGMM-JTQLQIEISA-N 0 0 299.348 2.655 20 5 CFBDRN COCC[C@@H](C)OC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000781935027 628592021 /nfs/dbraw/zinc/59/20/21/628592021.db2.gz DHDGJTXIFYAGMM-SNVBAGLBSA-N 0 0 299.348 2.655 20 5 CFBDRN COc1ccc(NC(=S)NCC(C)C)cc1[N+](=O)[O-] ZINC000782206631 628614397 /nfs/dbraw/zinc/61/43/97/628614397.db2.gz HWZMGNJGIKGEPD-UHFFFAOYSA-N 0 0 283.353 2.546 20 5 CFBDRN CC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782252483 628619610 /nfs/dbraw/zinc/61/96/10/628619610.db2.gz KBGBXCUPJFMMDC-QMMMGPOBSA-N 0 0 255.245 2.823 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H](C)[C@@H](C)O1 ZINC000782410207 628636120 /nfs/dbraw/zinc/63/61/20/628636120.db2.gz PGSINWIAYMJHDO-OPRDCNLKSA-N 0 0 295.295 2.505 20 5 CFBDRN CO[C@@H](C)CCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000782431075 628637143 /nfs/dbraw/zinc/63/71/43/628637143.db2.gz GWFLDDOQTBMWFB-VIFPVBQESA-N 0 0 285.271 2.592 20 5 CFBDRN CCOCCCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782898696 628662149 /nfs/dbraw/zinc/66/21/49/628662149.db2.gz NOWNUAVILOFOEF-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2cc([N+](=O)[O-])ccc2F)CCO1 ZINC000782992367 628668039 /nfs/dbraw/zinc/66/80/39/628668039.db2.gz DPPLMFUVSCAILS-UWVGGRQHSA-N 0 0 297.282 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC(CCO)CC2)cc1Cl ZINC000783523620 628716243 /nfs/dbraw/zinc/71/62/43/628716243.db2.gz GVYYPJBEUFOJLC-UHFFFAOYSA-N 0 0 298.770 2.843 20 5 CFBDRN CCC[C@H](O)CC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000783708983 628736833 /nfs/dbraw/zinc/73/68/33/628736833.db2.gz VMTMRWKDDZKIAE-QWRGUYRKSA-N 0 0 281.308 2.750 20 5 CFBDRN CCc1nnc(CN2CCc3cc([N+](=O)[O-])ccc32)s1 ZINC000783881325 628753417 /nfs/dbraw/zinc/75/34/17/628753417.db2.gz WCLQPZGHFRDZJS-UHFFFAOYSA-N 0 0 290.348 2.571 20 5 CFBDRN C/C(=C\C(=O)NCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000783897731 628753960 /nfs/dbraw/zinc/75/39/60/628753960.db2.gz DKIIOYOHUKKBQI-PKNBQFBNSA-N 0 0 274.320 2.914 20 5 CFBDRN COCCCCNC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000783907694 628754662 /nfs/dbraw/zinc/75/46/62/628754662.db2.gz UWOHOHRMHKAOMH-QXMHVHEDSA-N 0 0 292.335 2.541 20 5 CFBDRN C/C(=C\C(=O)NCc1ccoc1)c1ccc([N+](=O)[O-])cc1 ZINC000783977397 628759575 /nfs/dbraw/zinc/75/95/75/628759575.db2.gz TZKAHVURNWUKNO-DHZHZOJOSA-N 0 0 286.287 2.908 20 5 CFBDRN C/C(=C\C(=O)Nc1ccnc(C)n1)c1ccc([N+](=O)[O-])cc1 ZINC000784009259 628761830 /nfs/dbraw/zinc/76/18/30/628761830.db2.gz KTWYVSMXIKWDKK-MDZDMXLPSA-N 0 0 298.302 2.735 20 5 CFBDRN C[C@H](OC(=O)c1cc[nH]c1)c1ccccc1[N+](=O)[O-] ZINC000784022600 628765183 /nfs/dbraw/zinc/76/51/83/628765183.db2.gz UFBUITZZQHQVGA-VIFPVBQESA-N 0 0 260.249 2.841 20 5 CFBDRN C/C(=C\C(=O)N[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000784051535 628769269 /nfs/dbraw/zinc/76/92/69/628769269.db2.gz ZSYKDASXYTXJCO-ZJNQMXKESA-N 0 0 292.360 2.620 20 5 CFBDRN CC(C)[C@H](F)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000784074164 628772322 /nfs/dbraw/zinc/77/23/22/628772322.db2.gz VYSTXZIWYQBGMI-SKDRFNHKSA-N 0 0 268.288 2.766 20 5 CFBDRN CS[C@@H](C)CNC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784081556 628773948 /nfs/dbraw/zinc/77/39/48/628773948.db2.gz CTOJTQIGALSKCU-UQSGXBNBSA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1ccc(OC(=O)[C@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000784139555 628782764 /nfs/dbraw/zinc/78/27/64/628782764.db2.gz XFCKHUJODPDIKR-LLVKDONJSA-N 0 0 255.245 2.803 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H](F)C(C)C)CC2 ZINC000784146068 628783014 /nfs/dbraw/zinc/78/30/14/628783014.db2.gz OYDCPRXVCGDABK-CYBMUJFWSA-N 0 0 280.299 2.786 20 5 CFBDRN Cc1cc(COC(=O)[C@H](F)C(C)C)ccc1[N+](=O)[O-] ZINC000784165664 628787173 /nfs/dbraw/zinc/78/71/73/628787173.db2.gz YXBBIKMFGYKNQR-GFCCVEGCSA-N 0 0 269.272 2.941 20 5 CFBDRN CCCCCCC(=O)COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000784198336 628790056 /nfs/dbraw/zinc/79/00/56/628790056.db2.gz INODLDCYXPCPNW-UHFFFAOYSA-N 0 0 296.323 2.630 20 5 CFBDRN C[C@H](OC(=O)c1cc[nH]c(=O)c1)c1ccccc1[N+](=O)[O-] ZINC000784235210 628793651 /nfs/dbraw/zinc/79/36/51/628793651.db2.gz QDEZSBMRGPKOCJ-VIFPVBQESA-N 0 0 288.259 2.613 20 5 CFBDRN CCC(=O)COC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000784257253 628795724 /nfs/dbraw/zinc/79/57/24/628795724.db2.gz KVNIZBZYDYJNDR-UHFFFAOYSA-N 0 0 279.292 2.854 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000784273639 628797419 /nfs/dbraw/zinc/79/74/19/628797419.db2.gz IKVWFLAGZLDLED-WCBMZHEXSA-N 0 0 299.710 2.716 20 5 CFBDRN Cc1ccn(C)c1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000784296758 628801141 /nfs/dbraw/zinc/80/11/41/628801141.db2.gz PEFJJXIXJCSMEH-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N[C@@H]1C=CCC1 ZINC000852199605 634685690 /nfs/dbraw/zinc/68/56/90/634685690.db2.gz NRCLJUOMENRNSF-SNVBAGLBSA-N 0 0 295.726 2.766 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CO[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000784500152 628821715 /nfs/dbraw/zinc/82/17/15/628821715.db2.gz SWWVOXSFEAKAKS-GMTAPVOTSA-N 0 0 279.292 2.624 20 5 CFBDRN COc1cc(N[C@@H]2[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])cc1OC ZINC000784730123 628839572 /nfs/dbraw/zinc/83/95/72/628839572.db2.gz RJRMHEQEQBYIHO-ICCCGROCSA-N 0 0 278.308 2.822 20 5 CFBDRN CC(C)/C=C/C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000785035616 628861374 /nfs/dbraw/zinc/86/13/74/628861374.db2.gz LHSHRFLKAINHEN-VOTSOKGWSA-N 0 0 277.276 2.533 20 5 CFBDRN C/C(=C/C(=O)N1CCSCC1)c1ccc([N+](=O)[O-])cc1 ZINC000785329350 628876307 /nfs/dbraw/zinc/87/63/07/628876307.db2.gz SCMGPQPOENZZHD-KHPPLWFESA-N 0 0 292.360 2.574 20 5 CFBDRN Cc1[nH]ncc1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000785576961 628894994 /nfs/dbraw/zinc/89/49/94/628894994.db2.gz FRYHGSFKOTVANA-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN Cc1cncc(COC(=O)Cc2ccc([N+](=O)[O-])cc2)c1 ZINC000785648144 628900802 /nfs/dbraw/zinc/90/08/02/628900802.db2.gz WTAVKGLQNCQCEO-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN Cc1cncc(COC(=O)c2c(C)cccc2[N+](=O)[O-])c1 ZINC000785649788 628901349 /nfs/dbraw/zinc/90/13/49/628901349.db2.gz VUJHPTWMEAMMTE-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1cc(N2CC[C@H](C)[C@@H]2CO)c(Cl)cc1[N+](=O)[O-] ZINC000785842452 628916701 /nfs/dbraw/zinc/91/67/01/628916701.db2.gz ARBQKUFFTGMVLF-SDBXPKJASA-N 0 0 284.743 2.764 20 5 CFBDRN CC(C)(F)COC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000785926570 628924231 /nfs/dbraw/zinc/92/42/31/628924231.db2.gz CIZZWZCUWRLLTM-UHFFFAOYSA-N 0 0 294.282 2.910 20 5 CFBDRN Cc1cnccc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000786045591 628933140 /nfs/dbraw/zinc/93/31/40/628933140.db2.gz OKYZIZKUDFAEHB-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@@H]3CCCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000852219688 634696320 /nfs/dbraw/zinc/69/63/20/634696320.db2.gz RYJBCKDPAWTRDU-GWCFXTLKSA-N 0 0 291.307 2.877 20 5 CFBDRN CCc1ccc(C(=O)O[C@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000786526139 628966254 /nfs/dbraw/zinc/96/62/54/628966254.db2.gz UOLBWGLQELZOAU-SSDOTTSWSA-N 0 0 273.235 2.968 20 5 CFBDRN Nc1ccc(NCCOc2ccccc2)c([N+](=O)[O-])c1 ZINC000786574082 628968831 /nfs/dbraw/zinc/96/88/31/628968831.db2.gz LDOCCCBBMOEXKJ-UHFFFAOYSA-N 0 0 273.292 2.668 20 5 CFBDRN Cc1cnc(CC(=O)OCc2cccc([N+](=O)[O-])c2)s1 ZINC000787018222 628988783 /nfs/dbraw/zinc/98/87/83/628988783.db2.gz MKAXPNJOOHGDBC-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN CC(C)(CCC(=O)NC[C@H]1CCC[C@@H]1C1CC1)[N+](=O)[O-] ZINC000839810445 628989563 /nfs/dbraw/zinc/98/95/63/628989563.db2.gz WAKKARICQLVSBG-CHWSQXEVSA-N 0 0 282.384 2.764 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCOCC1 ZINC000787105720 628993684 /nfs/dbraw/zinc/99/36/84/628993684.db2.gz HGTQZJDBPGLVBQ-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000852240044 634700022 /nfs/dbraw/zinc/70/00/22/634700022.db2.gz XQJGABFZXHOSHA-MNOVXSKESA-N 0 0 275.308 2.674 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000787724922 629029671 /nfs/dbraw/zinc/02/96/71/629029671.db2.gz YEIQUIVVMIATCK-AJLGHSKGSA-N 0 0 286.331 2.913 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCO1 ZINC000787810527 629037628 /nfs/dbraw/zinc/03/76/28/629037628.db2.gz GHKQAYNOSGTEON-QMMMGPOBSA-N 0 0 299.714 2.501 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C(F)(F)F ZINC000787988634 629046632 /nfs/dbraw/zinc/04/66/32/629046632.db2.gz RSIYSBRGSPGJCA-VIFPVBQESA-N 0 0 294.204 2.805 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCCCCO1 ZINC000788488528 629073682 /nfs/dbraw/zinc/07/36/82/629073682.db2.gz LEAQTFDLRUZQMF-UHFFFAOYSA-N 0 0 284.699 2.806 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC(C)(C)C2)c1 ZINC000788574772 629083272 /nfs/dbraw/zinc/08/32/72/629083272.db2.gz APKVYBIYJLPQCW-UHFFFAOYSA-N 0 0 254.261 2.589 20 5 CFBDRN Cc1cc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])ccn1 ZINC000789022701 629117760 /nfs/dbraw/zinc/11/77/60/629117760.db2.gz BHIQJVHCXMVNJS-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cc(C(=O)OCc2csc([N+](=O)[O-])c2)ccn1 ZINC000789029371 629118772 /nfs/dbraw/zinc/11/87/72/629118772.db2.gz IARLTIHOTFDRMV-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN C[C@H]1CC[C@H](COC(=O)c2cccc(Cl)c2[N+](=O)[O-])O1 ZINC000789064865 629122082 /nfs/dbraw/zinc/12/20/82/629122082.db2.gz MSUULYSSBWAFDM-DTWKUNHWSA-N 0 0 299.710 2.973 20 5 CFBDRN CC(C)(C)OCCCCOC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000789070332 629123198 /nfs/dbraw/zinc/12/31/98/629123198.db2.gz YKRXKTHDPKIQAE-UHFFFAOYSA-N 0 0 296.323 2.742 20 5 CFBDRN C/C=C(\CC)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000789240096 629140453 /nfs/dbraw/zinc/14/04/53/629140453.db2.gz WZUFRIGYCFWQSE-QDEBKDIKSA-N 0 0 279.292 2.873 20 5 CFBDRN C[C@@H](COC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2)C1CC1 ZINC000789602454 629171781 /nfs/dbraw/zinc/17/17/81/629171781.db2.gz SNSIMJQDYGQJOU-QMMMGPOBSA-N 0 0 293.275 2.526 20 5 CFBDRN CSC1(C(=O)Oc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000789626527 629175862 /nfs/dbraw/zinc/17/58/62/629175862.db2.gz NXAJZYUSXSLJJY-UHFFFAOYSA-N 0 0 267.306 2.704 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2nncs2)c([N+](=O)[O-])c1 ZINC000789755212 629186281 /nfs/dbraw/zinc/18/62/81/629186281.db2.gz WTMUUPBSUCSAMI-UHFFFAOYSA-N 0 0 298.305 2.647 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2ncco2)c([N+](=O)[O-])c1 ZINC000789982511 629201555 /nfs/dbraw/zinc/20/15/55/629201555.db2.gz OXBRTNMVISKHMF-UHFFFAOYSA-N 0 0 281.249 2.783 20 5 CFBDRN Cc1ccc(O)c(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)n1 ZINC000790074239 629208381 /nfs/dbraw/zinc/20/83/81/629208381.db2.gz ZOYFSHDQECURSO-WEVVVXLNSA-N 0 0 299.286 2.656 20 5 CFBDRN Cc1ccnc(COc2ccc(C=O)cc2[N+](=O)[O-])c1 ZINC000790243446 629222398 /nfs/dbraw/zinc/22/23/98/629222398.db2.gz RGEVWYMOCYNXSE-UHFFFAOYSA-N 0 0 272.260 2.690 20 5 CFBDRN Cc1c(CNC(=O)N2CCCCC2)cccc1[N+](=O)[O-] ZINC000790583823 629250124 /nfs/dbraw/zinc/25/01/24/629250124.db2.gz MNNDXTXNXJJRDJ-UHFFFAOYSA-N 0 0 277.324 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC[C@H]2CCSC2)cc1 ZINC000790604874 629251862 /nfs/dbraw/zinc/25/18/62/629251862.db2.gz YEDMGXBVQAGOIL-SECBINFHSA-N 0 0 297.405 2.634 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1nc(Cl)cs1 ZINC000790653214 629255374 /nfs/dbraw/zinc/25/53/74/629255374.db2.gz HUYZYLAFZKBDPO-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN Cc1ccc(OC(=O)c2ccnc(C)c2)c([N+](=O)[O-])c1 ZINC000790667943 629256623 /nfs/dbraw/zinc/25/66/23/629256623.db2.gz HGZBCTANHHZTTQ-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1c(CNC(=O)c2cc3occc3[nH]2)cccc1[N+](=O)[O-] ZINC000791022870 629282098 /nfs/dbraw/zinc/28/20/98/629282098.db2.gz WVQAZAQOLHDSRU-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN Cc1ccc(CN(CC[N+](=O)[O-])Cc2ccco2)s1 ZINC000791054158 629284197 /nfs/dbraw/zinc/28/41/97/629284197.db2.gz YCXBCNSBXKXSGG-UHFFFAOYSA-N 0 0 280.349 2.928 20 5 CFBDRN O=[N+]([O-])CCN1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000791055275 629284901 /nfs/dbraw/zinc/28/49/01/629284901.db2.gz RGZLYCZBZXYIRI-OAHLLOKOSA-N 0 0 273.336 2.972 20 5 CFBDRN O=[N+]([O-])CCN(Cc1cccc(-c2cccnc2)c1)C1CC1 ZINC000791055779 629284961 /nfs/dbraw/zinc/28/49/61/629284961.db2.gz XJCVHABTBJUBRD-UHFFFAOYSA-N 0 0 297.358 2.990 20 5 CFBDRN Cc1ccnc(COC(=O)c2cccc(C)c2[N+](=O)[O-])c1 ZINC000791243112 629294340 /nfs/dbraw/zinc/29/43/40/629294340.db2.gz XYIGOSHOVSFBNN-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccnc(COC(=O)Cc2ccccc2[N+](=O)[O-])c1 ZINC000791267001 629295556 /nfs/dbraw/zinc/29/55/56/629295556.db2.gz RIBLQBMDKTUPTG-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN Cc1ccccc1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000791290422 629297292 /nfs/dbraw/zinc/29/72/92/629297292.db2.gz MKENVGPHRLPXJF-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2nccc(C)n2)c([N+](=O)[O-])c1 ZINC000791473979 629320065 /nfs/dbraw/zinc/32/00/65/629320065.db2.gz UQEIFHSLHXMCHE-UHFFFAOYSA-N 0 0 287.275 2.529 20 5 CFBDRN COc1ccc(Oc2nccs2)c([N+](=O)[O-])c1 ZINC000835024632 629320889 /nfs/dbraw/zinc/32/08/89/629320889.db2.gz KDWCRBKMHDJFEE-UHFFFAOYSA-N 0 0 252.251 2.852 20 5 CFBDRN COC1(CC(=O)OCc2ccccc2[N+](=O)[O-])CCC1 ZINC000791513613 629325030 /nfs/dbraw/zinc/32/50/30/629325030.db2.gz CJYIWKNPWNGEQX-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN CO[C@@H](C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC000791565958 629333418 /nfs/dbraw/zinc/33/34/18/629333418.db2.gz LLOPJAGGCIQXEM-LLVKDONJSA-N 0 0 284.699 2.612 20 5 CFBDRN COC1(CC(=O)Oc2ccc(C)cc2[N+](=O)[O-])CCC1 ZINC000791778993 629356092 /nfs/dbraw/zinc/35/60/92/629356092.db2.gz WGQRGDDCLUQJBF-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN CC[C@H](C)CC(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000791881526 629370412 /nfs/dbraw/zinc/37/04/12/629370412.db2.gz WPIKKMKYXASWNR-VIFPVBQESA-N 0 0 295.291 2.723 20 5 CFBDRN CCC[C@H](C)COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000791895961 629373630 /nfs/dbraw/zinc/37/36/30/629373630.db2.gz VIRAXLUUEQVCOU-VIFPVBQESA-N 0 0 254.286 2.526 20 5 CFBDRN Cc1cccc(C(=O)O[C@H](C)c2ccnn2C)c1[N+](=O)[O-] ZINC000791927146 629378348 /nfs/dbraw/zinc/37/83/48/629378348.db2.gz BNSQXEKJYJYAMM-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccccc1)[N+](=O)[O-] ZINC000835082406 629380334 /nfs/dbraw/zinc/38/03/34/629380334.db2.gz SFWVDXPVVSVJSO-UHFFFAOYSA-N 0 0 251.282 2.565 20 5 CFBDRN C/C=C(/C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791993410 629385374 /nfs/dbraw/zinc/38/53/74/629385374.db2.gz DPMWCKFMZQAMAF-BAQGIRSFSA-N 0 0 280.236 2.512 20 5 CFBDRN CC[C@H](CC(C)C)C(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000835086319 629385578 /nfs/dbraw/zinc/38/55/78/629385578.db2.gz DZJVGBHXHNWEPQ-GFCCVEGCSA-N 0 0 297.355 2.715 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000792125888 629400081 /nfs/dbraw/zinc/40/00/81/629400081.db2.gz ZVRZZCBWMWEEKB-NSHDSACASA-N 0 0 271.244 2.503 20 5 CFBDRN CC[C@@H](C)OC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835099561 629401718 /nfs/dbraw/zinc/40/17/18/629401718.db2.gz ZRDHHEMBZSYJGK-SNVBAGLBSA-N 0 0 279.292 2.899 20 5 CFBDRN C[C@@H](OC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000835102671 629408918 /nfs/dbraw/zinc/40/89/18/629408918.db2.gz GRFLDWMCXJTSFE-SNVBAGLBSA-N 0 0 291.303 2.899 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000835106325 629411333 /nfs/dbraw/zinc/41/13/33/629411333.db2.gz JMDVJVBPXKRXTJ-OIBJUYFYSA-N 0 0 271.219 2.854 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000835106861 629412432 /nfs/dbraw/zinc/41/24/32/629412432.db2.gz LOIFWMQPNWKJKL-XCBNKYQSSA-N 0 0 271.219 2.854 20 5 CFBDRN COc1cc(C(=O)OC[C@H]2C[C@H]2C)c([N+](=O)[O-])cc1F ZINC000835109342 629414937 /nfs/dbraw/zinc/41/49/37/629414937.db2.gz ONSLRMOBQYQYOR-HTQZYQBOSA-N 0 0 283.255 2.555 20 5 CFBDRN COc1cc(C(=O)OC[C@@H]2C[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000835109345 629415203 /nfs/dbraw/zinc/41/52/03/629415203.db2.gz ONSLRMOBQYQYOR-YUMQZZPRSA-N 0 0 283.255 2.555 20 5 CFBDRN C[C@@H](Cc1ccccc1)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835120497 629425731 /nfs/dbraw/zinc/42/57/31/629425731.db2.gz XYSCHKHGJOAHCO-LBPRGKRZSA-N 0 0 279.336 2.996 20 5 CFBDRN CC(C)(CCC(=O)OCc1cccnc1Cl)[N+](=O)[O-] ZINC000835122357 629429160 /nfs/dbraw/zinc/42/91/60/629429160.db2.gz QLOMGLWVCKDQRD-UHFFFAOYSA-N 0 0 286.715 2.614 20 5 CFBDRN CNc1c(C(=O)O[C@@H](C)[C@H](C)SC)cccc1[N+](=O)[O-] ZINC000793322940 629526616 /nfs/dbraw/zinc/52/66/16/629526616.db2.gz ZOLSNIJGUANAID-IUCAKERBSA-N 0 0 298.364 2.933 20 5 CFBDRN CNc1c(C(=O)OCC2(SC)CC2)cccc1[N+](=O)[O-] ZINC000793328526 629528188 /nfs/dbraw/zinc/52/81/88/629528188.db2.gz JKKITYPPBDFYBG-UHFFFAOYSA-N 0 0 296.348 2.689 20 5 CFBDRN CC(C)(CCC(=O)OCCCC(F)(F)F)[N+](=O)[O-] ZINC000835256484 629568630 /nfs/dbraw/zinc/56/86/30/629568630.db2.gz UFPDRLNYTWAASI-UHFFFAOYSA-N 0 0 271.235 2.708 20 5 CFBDRN Cc1cc(COC(=O)c2ccc([N+](=O)[O-])n2C)cs1 ZINC000806021981 629575965 /nfs/dbraw/zinc/57/59/65/629575965.db2.gz QEGMYJNFBUWJDP-UHFFFAOYSA-N 0 0 280.305 2.660 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000852442916 634747588 /nfs/dbraw/zinc/74/75/88/634747588.db2.gz ICJRKUPBBRLLIQ-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835320507 629626559 /nfs/dbraw/zinc/62/65/59/629626559.db2.gz VVSYWBLIOYRYOT-MNOVXSKESA-N 0 0 257.330 2.657 20 5 CFBDRN Nc1ccc(C(=O)N(CC2CC2)C2CCC2)cc1[N+](=O)[O-] ZINC000794391063 629643016 /nfs/dbraw/zinc/64/30/16/629643016.db2.gz GVIQBZPHMXEYHP-UHFFFAOYSA-N 0 0 289.335 2.582 20 5 CFBDRN CC(C)(C)[C@H]1CCC[N@H+](Cn2nccc2[N+](=O)[O-])C1 ZINC000794705555 629677915 /nfs/dbraw/zinc/67/79/15/629677915.db2.gz KZXXTMFCYFRFMN-NSHDSACASA-N 0 0 266.345 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)CC(C)(C)O)c1 ZINC000835422162 629707077 /nfs/dbraw/zinc/70/70/77/629707077.db2.gz HETYJEOBVIZEDP-JTQLQIEISA-N 0 0 281.308 2.610 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H](C)CC(C)(C)O)cc1[N+](=O)[O-] ZINC000835422511 629707548 /nfs/dbraw/zinc/70/75/48/629707548.db2.gz JANZKUQLZQHFNT-JTQLQIEISA-N 0 0 295.335 2.864 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000835424922 629710118 /nfs/dbraw/zinc/71/01/18/629710118.db2.gz DDYFXICLGTZEJC-JTQLQIEISA-N 0 0 281.308 2.610 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)CC(C)(C)O ZINC000835424859 629710365 /nfs/dbraw/zinc/71/03/65/629710365.db2.gz ZWIIHKXOXFYTBF-JTQLQIEISA-N 0 0 281.308 2.610 20 5 CFBDRN COCCN(CCF)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000852483264 634757816 /nfs/dbraw/zinc/75/78/16/634757816.db2.gz FOFITBQCPXWNRP-UHFFFAOYSA-N 0 0 290.722 2.666 20 5 CFBDRN C[C@H](CC(C)(C)O)OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000835427494 629712303 /nfs/dbraw/zinc/71/23/03/629712303.db2.gz YDJZPSBRLALXRC-OALRPVAKSA-N 0 0 293.319 2.701 20 5 CFBDRN CCC(=O)c1ccc(N(C)CC(F)F)c([N+](=O)[O-])c1 ZINC000795086043 629733293 /nfs/dbraw/zinc/73/32/93/629733293.db2.gz MVDXCVMGIGNWPV-UHFFFAOYSA-N 0 0 272.251 2.889 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000795164720 629740874 /nfs/dbraw/zinc/74/08/74/629740874.db2.gz KGBMTUGUFKZEKO-LDYMZIIASA-N 0 0 265.338 2.680 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000795191615 629742887 /nfs/dbraw/zinc/74/28/87/629742887.db2.gz ZXTUMJATWXTRQV-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN COc1cc(Oc2cccnc2[N+](=O)[O-])ccc1[N+](=O)[O-] ZINC000795194412 629743687 /nfs/dbraw/zinc/74/36/87/629743687.db2.gz WILLWXQKEXZZOM-UHFFFAOYSA-N 0 0 291.219 2.699 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000835483007 629773792 /nfs/dbraw/zinc/77/37/92/629773792.db2.gz BLRRXIUFOYFFAX-CYBMUJFWSA-N 0 0 279.292 2.516 20 5 CFBDRN Cc1ccc(COC(=O)[C@@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000835483036 629774510 /nfs/dbraw/zinc/77/45/10/629774510.db2.gz CDJQJMXNMGKUSK-CQSZACIVSA-N 0 0 279.292 2.516 20 5 CFBDRN Cc1ccc(COC(=O)CC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000835485188 629775295 /nfs/dbraw/zinc/77/52/95/629775295.db2.gz MQODMBMHAQWSQZ-LBPRGKRZSA-N 0 0 293.319 2.763 20 5 CFBDRN COC[C@H](C)CC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835483362 629775565 /nfs/dbraw/zinc/77/55/65/629775565.db2.gz HTALYYIVTAJGRE-SNVBAGLBSA-N 0 0 281.308 2.619 20 5 CFBDRN COCCCCC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835485796 629776447 /nfs/dbraw/zinc/77/64/47/629776447.db2.gz QMEVIFUFTONUCN-UHFFFAOYSA-N 0 0 281.308 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCc1cncc(F)c1 ZINC000795483495 629787182 /nfs/dbraw/zinc/78/71/82/629787182.db2.gz LMOUPKHEMULXNX-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(OCc1cncc(F)c1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000795491806 629788358 /nfs/dbraw/zinc/78/83/58/629788358.db2.gz CIHFHNLVCLTWBQ-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN C[C@H](OC(=O)C1CCC(O)CC1)c1cccc([N+](=O)[O-])c1 ZINC000795647681 629816752 /nfs/dbraw/zinc/81/67/52/629816752.db2.gz KADFVGDSQOXSIB-IFQILLTASA-N 0 0 293.319 2.750 20 5 CFBDRN CCC[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1)OC ZINC000835539395 629822586 /nfs/dbraw/zinc/82/25/86/629822586.db2.gz XBPAQTWMDFCIPL-GFCCVEGCSA-N 0 0 267.281 2.567 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCCCSC ZINC000795711635 629825050 /nfs/dbraw/zinc/82/50/50/629825050.db2.gz RISVCBKXKRYAFY-UHFFFAOYSA-N 0 0 299.348 2.903 20 5 CFBDRN Cc1cc(OS(=O)(=O)c2ccsc2)ccc1[N+](=O)[O-] ZINC000795777593 629832127 /nfs/dbraw/zinc/83/21/27/629832127.db2.gz XYANBXRRJQTAOV-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN Cc1c(OS(=O)(=O)c2ccsc2)cccc1[N+](=O)[O-] ZINC000795806377 629836248 /nfs/dbraw/zinc/83/62/48/629836248.db2.gz XGAFKAJRLOTENM-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN Cc1cccc(OS(=O)(=O)c2ccsc2)c1[N+](=O)[O-] ZINC000795898849 629846774 /nfs/dbraw/zinc/84/67/74/629846774.db2.gz SXUZMMFHKZJANJ-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN O=C(C[C@H]1CCCOC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000795913085 629849567 /nfs/dbraw/zinc/84/95/67/629849567.db2.gz QGVNWYGNRQOXTG-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000795992646 629859198 /nfs/dbraw/zinc/85/91/98/629859198.db2.gz RDQWQFXBFBBVOO-KWQFWETISA-N 0 0 287.337 2.761 20 5 CFBDRN C[C@H]1C(=O)CC[C@H]1CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000796229601 629892788 /nfs/dbraw/zinc/89/27/88/629892788.db2.gz OVKCXNIFCDDSSB-PWSUYJOCSA-N 0 0 291.303 2.643 20 5 CFBDRN C[C@H]1C(=O)CC[C@H]1CC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000796238841 629894634 /nfs/dbraw/zinc/89/46/34/629894634.db2.gz OUMRTJACZCXGBQ-PWSUYJOCSA-N 0 0 291.303 2.643 20 5 CFBDRN COc1c(C(=O)OC/C=C\Cl)cccc1[N+](=O)[O-] ZINC000796312532 629902225 /nfs/dbraw/zinc/90/22/25/629902225.db2.gz OZOFGHISLYFKMS-UTCJRWHESA-N 0 0 271.656 2.513 20 5 CFBDRN COc1c(C(=O)OC[Si](C)(C)C)cccc1[N+](=O)[O-] ZINC000796310742 629902278 /nfs/dbraw/zinc/90/22/78/629902278.db2.gz RUDYHEJFQSCYJE-UHFFFAOYSA-N 0 0 283.356 2.638 20 5 CFBDRN CC[C@H](C)[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1)OC ZINC000835641938 629911796 /nfs/dbraw/zinc/91/17/96/629911796.db2.gz JTOMGBHKYKAXLA-GXFFZTMASA-N 0 0 281.308 2.813 20 5 CFBDRN CC[C@@H](C)[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1)OC ZINC000835645238 629914703 /nfs/dbraw/zinc/91/47/03/629914703.db2.gz SOMSLMQWOZTFDY-ZWNOBZJWSA-N 0 0 281.308 2.813 20 5 CFBDRN CC(C)(C(=O)OCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000796439163 629917211 /nfs/dbraw/zinc/91/72/11/629917211.db2.gz GREUDUUSDPVJJB-UHFFFAOYSA-N 0 0 263.293 2.826 20 5 CFBDRN CC(C)(C(=O)OCc1ccon1)c1ccccc1[N+](=O)[O-] ZINC000796440074 629917670 /nfs/dbraw/zinc/91/76/70/629917670.db2.gz TVDGODJPOKLKBN-UHFFFAOYSA-N 0 0 290.275 2.604 20 5 CFBDRN CC(C)(C(=O)OC[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000796440402 629917772 /nfs/dbraw/zinc/91/77/72/629917772.db2.gz YNJQWPNFDGTAFX-NSHDSACASA-N 0 0 293.319 2.595 20 5 CFBDRN CCOCCCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796444625 629918732 /nfs/dbraw/zinc/91/87/32/629918732.db2.gz VOFPXGROGNZSOT-UHFFFAOYSA-N 0 0 295.335 2.842 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])n[nH]2)cc1Cl ZINC000796452085 629919857 /nfs/dbraw/zinc/91/98/57/629919857.db2.gz VITIRZMMYAGEOI-UHFFFAOYSA-N 0 0 294.698 2.556 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC[C@H](C)SC)c1 ZINC000835661215 629923492 /nfs/dbraw/zinc/92/34/92/629923492.db2.gz ACXIKNADWUQOLV-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN COc1ccc(C(=O)OCC[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000835660573 629923633 /nfs/dbraw/zinc/92/36/33/629923633.db2.gz FUHAXFCEOHAFHI-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN CS[C@@H](C)CCOC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000835661778 629924151 /nfs/dbraw/zinc/92/41/51/629924151.db2.gz QKBNZDHMSFVMLL-JTQLQIEISA-N 0 0 283.349 2.822 20 5 CFBDRN CS[C@H](C)CCOC(=O)COc1ccccc1[N+](=O)[O-] ZINC000835663397 629925040 /nfs/dbraw/zinc/92/50/40/629925040.db2.gz MDAWQCSGLYHDQJ-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCC(F)(F)CC1 ZINC000796542726 629933179 /nfs/dbraw/zinc/93/31/79/629933179.db2.gz IZFXKJZXFQRFIH-UHFFFAOYSA-N 0 0 286.278 2.835 20 5 CFBDRN O=C(CCC(F)F)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000796557204 629934638 /nfs/dbraw/zinc/93/46/38/629934638.db2.gz FCYWWXSWYYLNHR-UHFFFAOYSA-N 0 0 280.177 2.857 20 5 CFBDRN COc1c(C(=O)OC[C@@H](C)SC)cccc1[N+](=O)[O-] ZINC000835689473 629958957 /nfs/dbraw/zinc/95/89/57/629958957.db2.gz SKLNYTYFRPBCOI-MRVPVSSYSA-N 0 0 285.321 2.512 20 5 CFBDRN COc1c(C(=O)OCC2CC=CC2)cccc1[N+](=O)[O-] ZINC000796790918 629968698 /nfs/dbraw/zinc/96/86/98/629968698.db2.gz SNTWWYLWNMNAOL-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000797144856 630026597 /nfs/dbraw/zinc/02/65/97/630026597.db2.gz VULRDARPFDMLDB-ZIAGYGMSSA-N 0 0 293.319 2.638 20 5 CFBDRN CS[C@@H](C)[C@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000797210321 630039491 /nfs/dbraw/zinc/03/94/91/630039491.db2.gz YPITUIWECQMVSC-UWVGGRQHSA-N 0 0 283.349 2.821 20 5 CFBDRN C[C@@H]1COCC[C@H]1OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000835782002 630039907 /nfs/dbraw/zinc/03/99/07/630039907.db2.gz RMVQMGULKHKIAJ-PRHODGIISA-N 0 0 299.710 2.830 20 5 CFBDRN CS[C@@H](C)[C@H](C)OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000797210503 630039941 /nfs/dbraw/zinc/03/99/41/630039941.db2.gz NTHSSQUWHNURLA-UWVGGRQHSA-N 0 0 283.349 2.821 20 5 CFBDRN O=C(COC(F)F)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000797237246 630046663 /nfs/dbraw/zinc/04/66/63/630046663.db2.gz XWSRMRGNQOPZFO-UHFFFAOYSA-N 0 0 295.625 2.531 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2C[C@H]2C2CC2)c1 ZINC000797437299 630065990 /nfs/dbraw/zinc/06/59/90/630065990.db2.gz OWEIIVPOFRXNCH-GXSJLCMTSA-N 0 0 266.272 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(-n2ccnc2)nc1-c1ccc(F)cc1 ZINC000797434474 630066365 /nfs/dbraw/zinc/06/63/65/630066365.db2.gz VYLGZLGVMANOAK-UHFFFAOYSA-N 0 0 284.250 2.982 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1ccc([N+](=O)[O-])cc1OC ZINC000797471156 630071208 /nfs/dbraw/zinc/07/12/08/630071208.db2.gz CMTXDENZZRANBH-ICWBMWKASA-N 0 0 277.276 2.779 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000797475001 630071398 /nfs/dbraw/zinc/07/13/98/630071398.db2.gz UDCGCBMTTPOYMY-CHWSQXEVSA-N 0 0 291.303 2.693 20 5 CFBDRN Cc1cc(OCC(=O)OC/C=C\Cl)ccc1[N+](=O)[O-] ZINC000797493497 630073946 /nfs/dbraw/zinc/07/39/46/630073946.db2.gz OWYHFIAATKDDGV-DJWKRKHSSA-N 0 0 285.683 2.578 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)CCSC)c1 ZINC000835814066 630079910 /nfs/dbraw/zinc/07/99/10/630079910.db2.gz FDIORHVGTBOYTJ-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN CSCC[C@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000835816189 630080907 /nfs/dbraw/zinc/08/09/07/630080907.db2.gz CHZSGUQKQMJTGX-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN C/C(=C\C(=O)N[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000797579027 630083099 /nfs/dbraw/zinc/08/30/99/630083099.db2.gz QJQUZCQXKGZTSI-AZHOBDGFSA-N 0 0 286.331 2.913 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])C12CCC2 ZINC000835881400 630130321 /nfs/dbraw/zinc/13/03/21/630130321.db2.gz DHRNZXFGTPPZAD-QWHCGFSZSA-N 0 0 291.303 2.709 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000835909123 630147764 /nfs/dbraw/zinc/14/77/64/630147764.db2.gz FZRDYESHZKOXOB-JTQLQIEISA-N 0 0 293.319 2.857 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000835909125 630148290 /nfs/dbraw/zinc/14/82/90/630148290.db2.gz FZRDYESHZKOXOB-SNVBAGLBSA-N 0 0 293.319 2.857 20 5 CFBDRN C[C@H](CO)N(CC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000798215831 630167103 /nfs/dbraw/zinc/16/71/03/630167103.db2.gz PLAIYPBSLVWWKI-SNVBAGLBSA-N 0 0 282.315 2.721 20 5 CFBDRN Cc1ccc(OS(=O)(=O)CC2(C)CCC2)c([N+](=O)[O-])c1 ZINC000798224280 630167800 /nfs/dbraw/zinc/16/78/00/630167800.db2.gz CHFXEYVXXAJRSS-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=S)NC(C)C ZINC000798374362 630182860 /nfs/dbraw/zinc/18/28/60/630182860.db2.gz MXAZACUXBRJZFT-UHFFFAOYSA-N 0 0 283.353 2.688 20 5 CFBDRN CC[C@](C)(COC(=O)Cc1cccc([N+](=O)[O-])c1C)OC ZINC000835961809 630184710 /nfs/dbraw/zinc/18/47/10/630184710.db2.gz HASIJZWJRBQNSN-OAHLLOKOSA-N 0 0 295.335 2.804 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)CCCSC)c1 ZINC000798417087 630189323 /nfs/dbraw/zinc/18/93/23/630189323.db2.gz HZPUFCSMNCREOS-UHFFFAOYSA-N 0 0 288.344 2.932 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H](c2ccccn2)C1 ZINC000798416838 630189497 /nfs/dbraw/zinc/18/94/97/630189497.db2.gz QJIIZKRZWBAUDO-GFCCVEGCSA-N 0 0 269.304 2.984 20 5 CFBDRN Cc1cc(F)cc(C(=O)Nc2cncc(F)c2)c1[N+](=O)[O-] ZINC000798536612 630202277 /nfs/dbraw/zinc/20/22/77/630202277.db2.gz LNTGQQXFUPJDBY-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN C[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)C1CC1 ZINC000798657459 630224500 /nfs/dbraw/zinc/22/45/00/630224500.db2.gz ORSUEHPYKPGJQD-NXEZZACHSA-N 0 0 291.303 2.609 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@@H]1CCCC1(C)C ZINC000799478322 630312584 /nfs/dbraw/zinc/31/25/84/630312584.db2.gz BHZIRFHINVYVHS-LLVKDONJSA-N 0 0 295.339 2.612 20 5 CFBDRN CC1(C)CCC[C@H]1OC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000799504480 630316971 /nfs/dbraw/zinc/31/69/71/630316971.db2.gz JPJGWFKAFGUILR-LLVKDONJSA-N 0 0 278.308 2.913 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H](O)c1ccc(F)cn1 ZINC000799616004 630324281 /nfs/dbraw/zinc/32/42/81/630324281.db2.gz FHWUBGTZDJSGBA-ZDUSSCGKSA-N 0 0 292.266 2.550 20 5 CFBDRN O=C(OCCCc1cscn1)c1cccc([N+](=O)[O-])c1 ZINC000799659579 630328602 /nfs/dbraw/zinc/32/86/02/630328602.db2.gz KADKYTJTAZUQJP-UHFFFAOYSA-N 0 0 292.316 2.841 20 5 CFBDRN COCC1(COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1 ZINC000799669227 630331516 /nfs/dbraw/zinc/33/15/16/630331516.db2.gz KKSCDEVTYPYWMQ-QPJJXVBHSA-N 0 0 291.303 2.578 20 5 CFBDRN CSC1(COC(=O)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000799683020 630333741 /nfs/dbraw/zinc/33/37/41/630333741.db2.gz OVELVSPROWCLQX-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCNc2ccccc2)s1 ZINC000799937821 630354937 /nfs/dbraw/zinc/35/49/37/630354937.db2.gz HFUQUOFIJUFUOD-UHFFFAOYSA-N 0 0 264.310 2.575 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2cccc([N+](=O)[O-])c2)s1 ZINC000799945600 630355904 /nfs/dbraw/zinc/35/59/04/630355904.db2.gz AUYWMXUHXFCQFM-UHFFFAOYSA-N 0 0 294.292 2.614 20 5 CFBDRN Cc1cc(Cl)nc(CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000799964605 630356918 /nfs/dbraw/zinc/35/69/18/630356918.db2.gz AMGRQOIPNBIZJQ-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000800297696 630368325 /nfs/dbraw/zinc/36/83/25/630368325.db2.gz GCALOLGPLAWANO-ISTVAULSSA-N 0 0 293.319 2.760 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)O1 ZINC000800303853 630369398 /nfs/dbraw/zinc/36/93/98/630369398.db2.gz CYJLNYZLRCITPM-XLDPMVHQSA-N 0 0 285.321 2.513 20 5 CFBDRN CC(C)COC(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000800838572 630410180 /nfs/dbraw/zinc/41/01/80/630410180.db2.gz GBKPNINEBIRQPG-UHFFFAOYSA-N 0 0 269.322 2.886 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2COc3ccccc3C2)c1 ZINC000800846309 630410670 /nfs/dbraw/zinc/41/06/70/630410670.db2.gz KFDFZNHZJFAHKI-LLVKDONJSA-N 0 0 299.330 2.840 20 5 CFBDRN C[C@@H]1CC(Nc2cc(N)ccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000800861086 630412045 /nfs/dbraw/zinc/41/20/45/630412045.db2.gz CPUWQWRZKVAUNS-RKDXNWHRSA-N 0 0 265.313 2.545 20 5 CFBDRN CN(C[C@H]1CC=CCC1)c1cc(N)ccc1[N+](=O)[O-] ZINC000800862235 630412338 /nfs/dbraw/zinc/41/23/38/630412338.db2.gz NTBNQYMFUFTFEV-NSHDSACASA-N 0 0 261.325 2.970 20 5 CFBDRN CO[C@@H](CCNc1cc(N)ccc1[N+](=O)[O-])C(F)(F)F ZINC000800869398 630412715 /nfs/dbraw/zinc/41/27/15/630412715.db2.gz NVYMXOPAGPMWAY-JTQLQIEISA-N 0 0 293.245 2.556 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nccn2C2CC2)c1 ZINC000839934920 630443474 /nfs/dbraw/zinc/44/34/74/630443474.db2.gz DDRULHQXWRAGNE-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN Cc1nc(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)co1 ZINC000801394179 630444042 /nfs/dbraw/zinc/44/40/42/630444042.db2.gz JBYLMNQGLCLCRF-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3ncsc3C2)cc1F ZINC000801546039 630456884 /nfs/dbraw/zinc/45/68/84/630456884.db2.gz VPTUOYGSAIIKCF-UHFFFAOYSA-N 0 0 293.323 2.749 20 5 CFBDRN C[C@H]1COC(C)(C)CN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000801550105 630457002 /nfs/dbraw/zinc/45/70/02/630457002.db2.gz NZTHNGWXECHTFF-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@H](C(F)F)C1 ZINC000801566594 630459507 /nfs/dbraw/zinc/45/95/07/630459507.db2.gz CDIFZRBNXKKQHV-SKDRFNHKSA-N 0 0 286.278 2.622 20 5 CFBDRN CCC/C=C/C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000801568774 630459624 /nfs/dbraw/zinc/45/96/24/630459624.db2.gz WEEUGANRSCSRQB-WEVVVXLNSA-N 0 0 276.336 2.952 20 5 CFBDRN C[C@@H](c1ccc([N+](=O)[O-])cc1)N1CCO[C@@H](C(F)F)C1 ZINC000801568040 630459682 /nfs/dbraw/zinc/45/96/82/630459682.db2.gz PDQBBJZMRFWCGT-JOYOIKCWSA-N 0 0 286.278 2.622 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801906249 630485186 /nfs/dbraw/zinc/48/51/86/630485186.db2.gz BUJMJTSRPQGNCQ-UHFFFAOYSA-N 0 0 283.303 2.577 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOCC23CC3)ccc1Cl ZINC000801981475 630496732 /nfs/dbraw/zinc/49/67/32/630496732.db2.gz PLSMOYRFANRBSR-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H]2CCC(C)(C)C2=O)c1[N+](=O)[O-] ZINC000839967713 630530971 /nfs/dbraw/zinc/53/09/71/630530971.db2.gz DMXMWNCYFJAMIX-LLVKDONJSA-N 0 0 291.303 2.818 20 5 CFBDRN C/C(=C/C(=O)O[C@@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000802900750 630562120 /nfs/dbraw/zinc/56/21/20/630562120.db2.gz WEWSIXRBSCCHSL-KLQCNHIUSA-N 0 0 291.303 2.720 20 5 CFBDRN C[C@H](C(=O)O[C@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000802901903 630562545 /nfs/dbraw/zinc/56/25/45/630562545.db2.gz MCLOQXNLUYMLQK-LKFCYVNXSA-N 0 0 297.282 2.560 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@H]1CCCCS1 ZINC000802920885 630565295 /nfs/dbraw/zinc/56/52/95/630565295.db2.gz MZNPKXXFIDJOJP-CYBMUJFWSA-N 0 0 295.360 2.966 20 5 CFBDRN Cn1c(C(=O)Oc2ccc3cc[nH]c3c2)ccc1[N+](=O)[O-] ZINC000802957550 630571656 /nfs/dbraw/zinc/57/16/56/630571656.db2.gz JCZOUMYJMUWJPT-UHFFFAOYSA-N 0 0 285.259 2.634 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803229649 630592380 /nfs/dbraw/zinc/59/23/80/630592380.db2.gz ROKZZBRPJMACLH-HTRCEHHLSA-N 0 0 271.219 2.572 20 5 CFBDRN O=C(OCCCCF)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000803292396 630599627 /nfs/dbraw/zinc/59/96/27/630599627.db2.gz OIQQFKMAOMJDJJ-UHFFFAOYSA-N 0 0 280.255 2.983 20 5 CFBDRN Cc1cc(C(=O)OCc2ccncc2)cc([N+](=O)[O-])c1 ZINC000803319425 630601176 /nfs/dbraw/zinc/60/11/76/630601176.db2.gz HWMZSGOANXKMCN-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=C(OCCCCF)c1cc(F)cc([N+](=O)[O-])c1 ZINC000803345605 630604238 /nfs/dbraw/zinc/60/42/38/630604238.db2.gz DCJTZYWHQPIHSA-UHFFFAOYSA-N 0 0 259.208 2.640 20 5 CFBDRN O=C(OCCCCF)c1c(F)ccc([N+](=O)[O-])c1F ZINC000803387233 630610806 /nfs/dbraw/zinc/61/08/06/630610806.db2.gz YMVIHIOADYXJKV-UHFFFAOYSA-N 0 0 277.198 2.780 20 5 CFBDRN O=C(OCc1ccncc1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000803413912 630615020 /nfs/dbraw/zinc/61/50/20/630615020.db2.gz YNRRFFGUIXENPV-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1cc(C(=O)O[C@H]2CCC(C)(C)C2=O)ccc1[N+](=O)[O-] ZINC000840012942 630633530 /nfs/dbraw/zinc/63/35/30/630633530.db2.gz RWSVSOYNIAHQPB-LBPRGKRZSA-N 0 0 291.303 2.818 20 5 CFBDRN CCc1[nH]ccc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000803775649 630641470 /nfs/dbraw/zinc/64/14/70/630641470.db2.gz WOBOVUIZTBHBDT-UHFFFAOYSA-N 0 0 292.266 2.981 20 5 CFBDRN CCCCCCNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000804317804 630671327 /nfs/dbraw/zinc/67/13/27/630671327.db2.gz QNKYCARSJQNSPR-UHFFFAOYSA-N 0 0 267.329 2.662 20 5 CFBDRN Cc1nnc(Sc2c([N+](=O)[O-])cccc2[N+](=O)[O-])s1 ZINC000804322565 630672213 /nfs/dbraw/zinc/67/22/13/630672213.db2.gz JHXAUZWIDWSYFI-UHFFFAOYSA-N 0 0 298.305 2.814 20 5 CFBDRN CN(Cc1ccsc1)c1ccc(N)cc1[N+](=O)[O-] ZINC000804331677 630672650 /nfs/dbraw/zinc/67/26/50/630672650.db2.gz QTFTZOFIIYHYHE-UHFFFAOYSA-N 0 0 263.322 2.875 20 5 CFBDRN Cc1ccc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])cn1 ZINC000804355975 630675029 /nfs/dbraw/zinc/67/50/29/630675029.db2.gz SOVOTICNHROCDB-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN CN(CC(C)(C)C)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804419797 630680353 /nfs/dbraw/zinc/68/03/53/630680353.db2.gz WRLYBSHFOVNOTN-UHFFFAOYSA-N 0 0 267.285 2.985 20 5 CFBDRN Cc1ccccc1[C@H](O)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000804450124 630682287 /nfs/dbraw/zinc/68/22/87/630682287.db2.gz HFGLEKLXVQSPQD-OAHLLOKOSA-N 0 0 287.319 2.631 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@]1(C)CCOC1 ZINC000804453651 630683085 /nfs/dbraw/zinc/68/30/85/630683085.db2.gz ISAIJJSXFVVWLY-CQSZACIVSA-N 0 0 278.308 2.636 20 5 CFBDRN C[C@@H](CNc1cc(N)c([N+](=O)[O-])c(CO)c1)C(C)(C)C ZINC000804461329 630684233 /nfs/dbraw/zinc/68/42/33/630684233.db2.gz GSBXWKULPUESKB-VIFPVBQESA-N 0 0 281.356 2.763 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@@H]2Nc1ccc(N)cc1[N+](=O)[O-] ZINC000804465384 630684618 /nfs/dbraw/zinc/68/46/18/630684618.db2.gz LMFFJTRTLBOGCM-ZDUSSCGKSA-N 0 0 299.334 2.765 20 5 CFBDRN NC(=O)[C@H]1CCC[C@@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000804473378 630685073 /nfs/dbraw/zinc/68/50/73/630685073.db2.gz HELMPXJVFOQVSA-WCBMZHEXSA-N 0 0 297.742 2.704 20 5 CFBDRN NC(=O)[C@H]1CCC[C@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000804473379 630685247 /nfs/dbraw/zinc/68/52/47/630685247.db2.gz HELMPXJVFOQVSA-WPRPVWTQSA-N 0 0 297.742 2.704 20 5 CFBDRN CSC[C@@H](CCO)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804497535 630688300 /nfs/dbraw/zinc/68/83/00/630688300.db2.gz DMFZTTDFNHKLTN-SECBINFHSA-N 0 0 290.772 2.774 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CSC[C@@H]2C)c1[N+](=O)[O-] ZINC000804499663 630688363 /nfs/dbraw/zinc/68/83/63/630688363.db2.gz GKEYLHDDFDOJRN-GZMMTYOYSA-N 0 0 298.339 2.524 20 5 CFBDRN Cc1cnc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])s1 ZINC000804505903 630688760 /nfs/dbraw/zinc/68/87/60/630688760.db2.gz LMVYUPYLOLMZKL-UHFFFAOYSA-N 0 0 294.292 2.880 20 5 CFBDRN Nc1ccc(N[C@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000804556330 630692591 /nfs/dbraw/zinc/69/25/91/630692591.db2.gz UKEUQFKQXXIXFF-QMMMGPOBSA-N 0 0 257.240 2.777 20 5 CFBDRN Nc1ccc(N2CCc3c(F)cccc3C2)c([N+](=O)[O-])c1 ZINC000804578110 630757488 /nfs/dbraw/zinc/75/74/88/630757488.db2.gz KZLOYHFDJHCQOP-UHFFFAOYSA-N 0 0 287.294 2.879 20 5 CFBDRN CCC1(O)CCC(Nc2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000804590035 630761813 /nfs/dbraw/zinc/76/18/13/630761813.db2.gz MNZMKOBOTHOPLR-UHFFFAOYSA-N 0 0 279.340 2.673 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@H]1CCn2ccnc2C1 ZINC000804624268 630766909 /nfs/dbraw/zinc/76/69/09/630766909.db2.gz LGSIQQPMVZABJR-JTQLQIEISA-N 0 0 292.726 2.872 20 5 CFBDRN CC1(C)CCC[C@@](O)(CNc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000804638676 630768060 /nfs/dbraw/zinc/76/80/60/630768060.db2.gz RBWLMLYKTNQBDJ-HNNXBMFYSA-N 0 0 293.367 2.920 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000809111212 630768708 /nfs/dbraw/zinc/76/87/08/630768708.db2.gz VFYPIWMWNACNMC-PHIMTYICSA-N 0 0 285.683 2.582 20 5 CFBDRN CCOC1CN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000836368279 630803598 /nfs/dbraw/zinc/80/35/98/630803598.db2.gz OEJWDHZTJUINPV-UHFFFAOYSA-N 0 0 299.714 2.501 20 5 CFBDRN C/C(=C/C(=O)N1CC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000836819213 630891127 /nfs/dbraw/zinc/89/11/27/630891127.db2.gz CJVXABAFFOCPOS-CUZBXDDWSA-N 0 0 278.283 2.569 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000836830497 630895045 /nfs/dbraw/zinc/89/50/45/630895045.db2.gz SFAQNBMTVBUVKX-ZJUUUORDSA-N 0 0 280.299 2.818 20 5 CFBDRN CC(C)(CCC(=O)NC12CCC(CC1)CC2)[N+](=O)[O-] ZINC000836882219 630907638 /nfs/dbraw/zinc/90/76/38/630907638.db2.gz LIGKYVFGRIVPRB-UHFFFAOYSA-N 0 0 268.357 2.661 20 5 CFBDRN O=[N+]([O-])c1cnccc1Oc1ccc(Br)nc1 ZINC000807994830 630933659 /nfs/dbraw/zinc/93/36/59/630933659.db2.gz UWUMICBJRWUQIL-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1nnc(C)s1 ZINC000808015588 630942993 /nfs/dbraw/zinc/94/29/93/630942993.db2.gz NEPLDBUGLDRKGR-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC[C@H]1CCOC1 ZINC000808016454 630943032 /nfs/dbraw/zinc/94/30/32/630943032.db2.gz MACZTBYRPJHCEA-NSHDSACASA-N 0 0 278.308 2.636 20 5 CFBDRN CC[C@H](C)N(CCO)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000808079628 630948376 /nfs/dbraw/zinc/94/83/76/630948376.db2.gz NTBYSUGXHLKEFS-VIFPVBQESA-N 0 0 295.364 2.802 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1Cc2ccncc2C1 ZINC000808103016 630951824 /nfs/dbraw/zinc/95/18/24/630951824.db2.gz BULCUCBYQTXYFW-ZZXKWVIFSA-N 0 0 295.298 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC(F)(F)C2)c(Cl)c1 ZINC000808132764 630953575 /nfs/dbraw/zinc/95/35/75/630953575.db2.gz PXUHSRBNRMJKIN-UHFFFAOYSA-N 0 0 292.669 2.720 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])[C@@H](O)c1ccccc1 ZINC000808129992 630953727 /nfs/dbraw/zinc/95/37/27/630953727.db2.gz ICYBXAKRWONMPV-ZWNOBZJWSA-N 0 0 273.292 2.524 20 5 CFBDRN Nc1ccc(OCCOc2ccccc2F)cc1[N+](=O)[O-] ZINC000808256269 630972023 /nfs/dbraw/zinc/97/20/23/630972023.db2.gz PCSSKDFUJMHDEY-UHFFFAOYSA-N 0 0 292.266 2.774 20 5 CFBDRN Cc1cc(OCCc2ccc([N+](=O)[O-])cc2)nnc1C ZINC000808256469 630972282 /nfs/dbraw/zinc/97/22/82/630972282.db2.gz CBODZXCHRQQRGJ-UHFFFAOYSA-N 0 0 273.292 2.623 20 5 CFBDRN Cc1c(OC(=O)c2cccnc2F)cccc1[N+](=O)[O-] ZINC000808283363 630975595 /nfs/dbraw/zinc/97/55/95/630975595.db2.gz XETVWKWKNCHTMY-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H](C1CC1)C1CCC1)[N+](=O)[O-] ZINC000837240051 630984745 /nfs/dbraw/zinc/98/47/45/630984745.db2.gz CCGQUNIODZUVDE-CYBMUJFWSA-N 0 0 268.357 2.517 20 5 CFBDRN CC(=O)CCCCCOC(=O)c1ccc([N+](=O)[O-])s1 ZINC000837325345 630999676 /nfs/dbraw/zinc/99/96/76/630999676.db2.gz NKVXFVXTXFHQAO-UHFFFAOYSA-N 0 0 285.321 2.963 20 5 CFBDRN CC[C@@H](C)OC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000808560992 631013877 /nfs/dbraw/zinc/01/38/77/631013877.db2.gz KGXNOCPBVLDLBJ-SSDOTTSWSA-N 0 0 273.235 2.757 20 5 CFBDRN CC[C@H](C)OC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000808560993 631014279 /nfs/dbraw/zinc/01/42/79/631014279.db2.gz KGXNOCPBVLDLBJ-ZETCQYMHSA-N 0 0 273.235 2.757 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2cnnn2-c2ccccc2)c1 ZINC000809187342 631094341 /nfs/dbraw/zinc/09/43/41/631094341.db2.gz SJZCSCZQMFLQHK-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CCc1[nH]nc(C(=O)OCC2=CCCCC2)c1[N+](=O)[O-] ZINC000837658059 631099716 /nfs/dbraw/zinc/09/97/16/631099716.db2.gz SKZYSJYJFZWGCJ-UHFFFAOYSA-N 0 0 279.296 2.538 20 5 CFBDRN Cc1ccc(N2CC(C(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000809338720 631107666 /nfs/dbraw/zinc/10/76/66/631107666.db2.gz JHGPYJUURSYKLG-UHFFFAOYSA-N 0 0 260.215 2.902 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@H]1c1ccccc1F)[N+](=O)[O-] ZINC000837900123 631149144 /nfs/dbraw/zinc/14/91/44/631149144.db2.gz ZIEPGICYGDIIMU-WCQYABFASA-N 0 0 294.326 2.633 20 5 CFBDRN CC(C)(CCC(=O)OCc1cccc(Cl)n1)[N+](=O)[O-] ZINC000837925678 631151628 /nfs/dbraw/zinc/15/16/28/631151628.db2.gz RYFLJIRNDIWAGO-UHFFFAOYSA-N 0 0 286.715 2.614 20 5 CFBDRN CC(C)(CCC(=O)OC/C(Cl)=C/Cl)[N+](=O)[O-] ZINC000837925884 631151698 /nfs/dbraw/zinc/15/16/98/631151698.db2.gz YWWTWCFVODXHNW-ALCCZGGFSA-N 0 0 270.112 2.684 20 5 CFBDRN O=C(OCC1CC1)c1cc(-c2ccc([N+](=O)[O-])cc2)[nH]n1 ZINC000837963269 631154661 /nfs/dbraw/zinc/15/46/61/631154661.db2.gz MFGLQMCVUIDTKX-UHFFFAOYSA-N 0 0 287.275 2.552 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000837975702 631156457 /nfs/dbraw/zinc/15/64/57/631156457.db2.gz CKURZCGLJBJGDM-LDYMZIIASA-N 0 0 267.256 2.823 20 5 CFBDRN CCO/C=C\C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000840586802 631167333 /nfs/dbraw/zinc/16/73/33/631167333.db2.gz FQCBZNFEVFWLGZ-WAYWQWQTSA-N 0 0 285.683 2.842 20 5 CFBDRN COC[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000840649952 631172898 /nfs/dbraw/zinc/17/28/98/631172898.db2.gz XVJBWQBZBSWUCE-SNVBAGLBSA-N 0 0 297.307 2.574 20 5 CFBDRN CCCOC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000840648479 631173114 /nfs/dbraw/zinc/17/31/14/631173114.db2.gz JOJCHEKOYVPIKC-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN O=C(OCCCF)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840655716 631173396 /nfs/dbraw/zinc/17/33/96/631173396.db2.gz LNONEJZURZZVEO-NWDGAFQWSA-N 0 0 267.256 2.601 20 5 CFBDRN O=C(OCCCCF)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840657066 631173661 /nfs/dbraw/zinc/17/36/61/631173661.db2.gz PJWCQEVLBQFRSY-QWHCGFSZSA-N 0 0 281.283 2.991 20 5 CFBDRN CC(=O)CCCOC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840657286 631173678 /nfs/dbraw/zinc/17/36/78/631173678.db2.gz PTQVODZNIBGFLF-UONOGXRCSA-N 0 0 291.303 2.611 20 5 CFBDRN Cc1cn(C)nc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000840689840 631174784 /nfs/dbraw/zinc/17/47/84/631174784.db2.gz IHTWOWOYZIBUDN-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN COc1ccccc1OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000042629369 649999641 /nfs/dbraw/zinc/99/96/41/649999641.db2.gz KFPTYACKZXULGV-UHFFFAOYSA-N 0 0 287.271 2.752 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000841016419 631307143 /nfs/dbraw/zinc/30/71/43/631307143.db2.gz VQNFZTFABOBHDV-LBPRGKRZSA-N 0 0 284.287 2.734 20 5 CFBDRN O=C(Nc1nc2cc(Cl)ccc2s1)[C@@H]1CC1[N+](=O)[O-] ZINC000841025150 631309281 /nfs/dbraw/zinc/30/92/81/631309281.db2.gz REWYLCSVVUEFOL-HTRCEHHLSA-N 0 0 297.723 2.553 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000841083553 631334152 /nfs/dbraw/zinc/33/41/52/631334152.db2.gz SCJDMOIMZDTNFB-CYBMUJFWSA-N 0 0 299.298 2.838 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C1(F)CCCCC1 ZINC000810191735 631341598 /nfs/dbraw/zinc/34/15/98/631341598.db2.gz DUZUQINIYMJGEJ-UHFFFAOYSA-N 0 0 294.326 2.926 20 5 CFBDRN CCc1ccc(N(C(=O)[C@@H]2CC2[N+](=O)[O-])C(C)C)cc1 ZINC000841238602 631366708 /nfs/dbraw/zinc/36/67/08/631366708.db2.gz VSFZHKQTXAXXBX-ZIAGYGMSSA-N 0 0 276.336 2.656 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000810535111 631383410 /nfs/dbraw/zinc/38/34/10/631383410.db2.gz GPSNHGPGZSGTPV-SNVBAGLBSA-N 0 0 281.333 2.952 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])O[C@@H]1CCCC[C@H]1F ZINC000810895559 631472460 /nfs/dbraw/zinc/47/24/60/631472460.db2.gz ZEUMTGRUVTYFFP-ZYHUDNBSSA-N 0 0 297.282 2.798 20 5 CFBDRN CC(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000811033553 631491236 /nfs/dbraw/zinc/49/12/36/631491236.db2.gz KGDLITPXKXBUIT-UHFFFAOYSA-N 0 0 264.064 2.965 20 5 CFBDRN Nc1ccc(OC[C@@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000842027082 631505048 /nfs/dbraw/zinc/50/50/48/631505048.db2.gz XHBUAASGBKBZGI-MRVPVSSYSA-N 0 0 272.251 2.991 20 5 CFBDRN COCC1(COC(=O)c2cccc([N+](=O)[O-])c2C)CCC1 ZINC000811059805 631508508 /nfs/dbraw/zinc/50/85/08/631508508.db2.gz DOUDROWFGWKNEJ-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN COCC1(COC(=O)c2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000811062920 631509563 /nfs/dbraw/zinc/50/95/63/631509563.db2.gz KHWXMKQDTTYSKJ-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN COCC1(COC(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000811065207 631510895 /nfs/dbraw/zinc/51/08/95/631510895.db2.gz BTZJNOXLDPPFKW-UHFFFAOYSA-N 0 0 279.292 2.568 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000811067819 631511616 /nfs/dbraw/zinc/51/16/16/631511616.db2.gz HSCSUDNHFPXMCM-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN O=C(OCCOCC1CCC1)c1csc([N+](=O)[O-])c1 ZINC000842065368 631521840 /nfs/dbraw/zinc/52/18/40/631521840.db2.gz DYQRMHLSWRATIY-UHFFFAOYSA-N 0 0 285.321 2.630 20 5 CFBDRN CO[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1F)CC(C)C ZINC000842067565 631522607 /nfs/dbraw/zinc/52/26/07/631522607.db2.gz SFLNCJVQTJYJBJ-NSHDSACASA-N 0 0 299.298 2.952 20 5 CFBDRN CC[C@@H](COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)OC ZINC000842081192 631529831 /nfs/dbraw/zinc/52/98/31/631529831.db2.gz WTRQIQVKUKSZEB-NSHDSACASA-N 0 0 287.699 2.830 20 5 CFBDRN CC[C@H](COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)OC ZINC000842081190 631529984 /nfs/dbraw/zinc/52/99/84/631529984.db2.gz WTRQIQVKUKSZEB-LLVKDONJSA-N 0 0 287.699 2.830 20 5 CFBDRN O=C(NOCc1ccc(Cl)cc1[N+](=O)[O-])C1CCC1 ZINC000842113652 631546765 /nfs/dbraw/zinc/54/67/65/631546765.db2.gz MGFXJFFHRWHIPD-UHFFFAOYSA-N 0 0 284.699 2.596 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C1(CCF)CC1 ZINC000811337621 631553115 /nfs/dbraw/zinc/55/31/15/631553115.db2.gz WBJWTELHFZGCPR-UHFFFAOYSA-N 0 0 297.282 2.786 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])C1(CCF)CC1 ZINC000811340208 631554423 /nfs/dbraw/zinc/55/44/23/631554423.db2.gz SZBSGQWPUVLBHG-UHFFFAOYSA-N 0 0 297.282 2.657 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@H]1CCCSC1 ZINC000811407783 631560773 /nfs/dbraw/zinc/56/07/73/631560773.db2.gz CCEZIVIQOPSOFS-VIFPVBQESA-N 0 0 299.323 2.920 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2CCC(C)(C)C2=O)c1 ZINC000840235501 631585830 /nfs/dbraw/zinc/58/58/30/631585830.db2.gz UYVYCUBXKTZBPZ-GFCCVEGCSA-N 0 0 291.303 2.818 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1c(F)cccc1Cl ZINC000842315419 631588081 /nfs/dbraw/zinc/58/80/81/631588081.db2.gz FUMBJCBGLUGSBL-BRDIYROLSA-N 0 0 287.674 2.749 20 5 CFBDRN Cc1cc(CN2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)on1 ZINC000811728684 631614474 /nfs/dbraw/zinc/61/44/74/631614474.db2.gz GVWOFWUPFRJULI-JTQLQIEISA-N 0 0 273.292 2.842 20 5 CFBDRN COc1cc(NCCc2ccccc2O)ccc1[N+](=O)[O-] ZINC000811773505 631621907 /nfs/dbraw/zinc/62/19/07/631621907.db2.gz ITGODYXSMOKFHP-UHFFFAOYSA-N 0 0 288.303 2.964 20 5 CFBDRN C[C@H](COC(=O)c1ccc(N)c([N+](=O)[O-])c1)CC(F)F ZINC000842443253 631630562 /nfs/dbraw/zinc/63/05/62/631630562.db2.gz YNKSIYOXYRZOFN-ZETCQYMHSA-N 0 0 288.250 2.625 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](C(F)F)C1 ZINC000811843493 631631681 /nfs/dbraw/zinc/63/16/81/631631681.db2.gz VGNGTSWJLRSLDX-VIFPVBQESA-N 0 0 286.278 2.833 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCSC(F)(F)F)c(F)c1 ZINC000840244314 631647657 /nfs/dbraw/zinc/64/76/57/631647657.db2.gz ILWWLBIOGFCLNQ-UHFFFAOYSA-N 0 0 285.222 2.794 20 5 CFBDRN Cc1ccc2oc(-c3noc([C@@H]4CC4[N+](=O)[O-])n3)cc2c1 ZINC000842501456 631647780 /nfs/dbraw/zinc/64/77/80/631647780.db2.gz RAKOCOCCLKBHSG-NXEZZACHSA-N 0 0 285.259 2.924 20 5 CFBDRN CC(C)(c1noc([C@@H]2CC2[N+](=O)[O-])n1)C1=CCCCC1 ZINC000842501491 631648331 /nfs/dbraw/zinc/64/83/31/631648331.db2.gz RROSNIDZWDZGFC-GHMZBOCLSA-N 0 0 277.324 2.980 20 5 CFBDRN COCC(C)(C)CC(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000840247219 631670711 /nfs/dbraw/zinc/67/07/11/631670711.db2.gz ZTCJBNFCYIVTPJ-UHFFFAOYSA-N 0 0 267.281 2.563 20 5 CFBDRN O=C(NOC/C=C/Cl)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000812409109 631706973 /nfs/dbraw/zinc/70/69/73/631706973.db2.gz VVMNMIBQTDVXOC-DAFODLJHSA-N 0 0 291.090 2.662 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CCC(=O)C1 ZINC000842702883 631711816 /nfs/dbraw/zinc/71/18/16/631711816.db2.gz RJJXWGIPVHNBGG-ZETCQYMHSA-N 0 0 283.667 2.523 20 5 CFBDRN Cc1cc(NCc2nc(C3CC3)no2)c(F)cc1[N+](=O)[O-] ZINC000812454676 631720638 /nfs/dbraw/zinc/72/06/38/631720638.db2.gz NUMVESHLVRNPSY-UHFFFAOYSA-N 0 0 292.270 2.915 20 5 CFBDRN Cc1cc(NC[C@H](O)c2ccco2)c(F)cc1[N+](=O)[O-] ZINC000812454302 631720992 /nfs/dbraw/zinc/72/09/92/631720992.db2.gz IPKRQCLOKYDRND-LBPRGKRZSA-N 0 0 280.255 2.781 20 5 CFBDRN Cn1ncc2ccc(CNc3ccc(N)cc3[N+](=O)[O-])cc21 ZINC000812478606 631723102 /nfs/dbraw/zinc/72/31/02/631723102.db2.gz YLHHOCJVKVOTFG-UHFFFAOYSA-N 0 0 297.318 2.676 20 5 CFBDRN CO[C@H](C)COC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000155548479 650024682 /nfs/dbraw/zinc/02/46/82/650024682.db2.gz IDHSEPWUYYHGAW-MRVPVSSYSA-N 0 0 285.321 2.508 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000812619213 631745610 /nfs/dbraw/zinc/74/56/10/631745610.db2.gz COWFGYXNHHENGS-VHSXEESVSA-N 0 0 299.298 2.696 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000812633102 631749060 /nfs/dbraw/zinc/74/90/60/631749060.db2.gz NYKSHQPANRWBTK-ZWNOBZJWSA-N 0 0 291.303 2.757 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000812633888 631749693 /nfs/dbraw/zinc/74/96/93/631749693.db2.gz UPFYGLGILIBARQ-NXEZZACHSA-N 0 0 299.298 2.696 20 5 CFBDRN C/C=C(\C)COC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000842847374 631763095 /nfs/dbraw/zinc/76/30/95/631763095.db2.gz XECUABBRDGOMPO-RUDMXATFSA-N 0 0 265.265 2.726 20 5 CFBDRN C/C=C(/C)COC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000842850317 631765753 /nfs/dbraw/zinc/76/57/53/631765753.db2.gz POEFFDRJQIQGJM-WTKPLQERSA-N 0 0 265.265 2.726 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)NOC1CCC1 ZINC000812789177 631776660 /nfs/dbraw/zinc/77/66/60/631776660.db2.gz OPHITEADCQQGGH-UHFFFAOYSA-N 0 0 296.348 2.921 20 5 CFBDRN CC(C)(CCc1noc([C@H]2CC2(C)C)n1)[N+](=O)[O-] ZINC000812812886 631788391 /nfs/dbraw/zinc/78/83/91/631788391.db2.gz WTUFKKWMYULYCZ-MRVPVSSYSA-N 0 0 253.302 2.571 20 5 CFBDRN Cc1ccc(CCc2nc(CCC(C)(C)[N+](=O)[O-])no2)o1 ZINC000812812432 631788415 /nfs/dbraw/zinc/78/84/15/631788415.db2.gz HLDINTGOXLEQJZ-UHFFFAOYSA-N 0 0 293.323 2.744 20 5 CFBDRN COC1(c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCCCC1 ZINC000812814990 631789412 /nfs/dbraw/zinc/78/94/12/631789412.db2.gz CRNDGYYKKHTXGP-UHFFFAOYSA-N 0 0 297.355 2.863 20 5 CFBDRN CC(C)(CCc1noc(/C=C/c2ccc[nH]2)n1)[N+](=O)[O-] ZINC000812814849 631789787 /nfs/dbraw/zinc/78/97/87/631789787.db2.gz QVOHJRDYGFDGCT-AATRIKPKSA-N 0 0 276.296 2.556 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000813077452 631827450 /nfs/dbraw/zinc/82/74/50/631827450.db2.gz PGMIRNUCZCUORD-WDEREUQCSA-N 0 0 281.308 2.727 20 5 CFBDRN CC(C)c1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000813104806 631839565 /nfs/dbraw/zinc/83/95/65/631839565.db2.gz RVGZACPPIBALPC-VIFPVBQESA-N 0 0 296.279 2.540 20 5 CFBDRN C[C@H](COC(=O)Cc1csc2ccccc12)[N+](=O)[O-] ZINC000813103626 631839605 /nfs/dbraw/zinc/83/96/05/631839605.db2.gz RWHJZGTTZLQTNK-SECBINFHSA-N 0 0 279.317 2.652 20 5 CFBDRN C[C@H](COC(=O)[C@H]1CCC[C@@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000813104445 631839616 /nfs/dbraw/zinc/83/96/16/631839616.db2.gz CUYUTTRUEYEELY-HRDYMLBCSA-N 0 0 283.246 2.564 20 5 CFBDRN C[C@H](COC(=O)C[C@@H]1CCCc2ccccc21)[N+](=O)[O-] ZINC000813105286 631839664 /nfs/dbraw/zinc/83/96/64/631839664.db2.gz XKZYIQKBIMPXJK-YPMHNXCESA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(OC2CCCC2)cc1)[N+](=O)[O-] ZINC000813104485 631840415 /nfs/dbraw/zinc/84/04/15/631840415.db2.gz DESMHDRPCACEGP-NSHDSACASA-N 0 0 293.319 2.830 20 5 CFBDRN C[C@H](COC(=O)c1ccc(-c2ccccc2)o1)[N+](=O)[O-] ZINC000813106872 631841933 /nfs/dbraw/zinc/84/19/33/631841933.db2.gz HBRXPSJRVBJSMZ-SNVBAGLBSA-N 0 0 275.260 2.769 20 5 CFBDRN CC(C)[C@H](C(=O)OC[C@@H](C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000813109306 631842632 /nfs/dbraw/zinc/84/26/32/631842632.db2.gz HSACBXXHUOAADF-MFKMUULPSA-N 0 0 283.299 2.774 20 5 CFBDRN C[C@@H](CCc1cccc(F)c1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813108116 631842841 /nfs/dbraw/zinc/84/28/41/631842841.db2.gz ANELJOAFMYAVGN-QWRGUYRKSA-N 0 0 283.299 2.603 20 5 CFBDRN CC[C@H](Sc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813109637 631843215 /nfs/dbraw/zinc/84/32/15/631843215.db2.gz UXTSBXYBDAEYBV-JQWIXIFHSA-N 0 0 283.349 2.766 20 5 CFBDRN C[C@H](CCc1cccc(F)c1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813108114 631843566 /nfs/dbraw/zinc/84/35/66/631843566.db2.gz ANELJOAFMYAVGN-GHMZBOCLSA-N 0 0 283.299 2.603 20 5 CFBDRN Cc1cccc2c(CCC(=O)OC[C@H](C)[N+](=O)[O-])c[nH]c21 ZINC000813109461 631843717 /nfs/dbraw/zinc/84/37/17/631843717.db2.gz TXGJCXAKCLUNCL-NSHDSACASA-N 0 0 290.319 2.617 20 5 CFBDRN Cc1ccc(SCCC(=O)OC[C@@H](C)[N+](=O)[O-])cc1C ZINC000813115732 631846356 /nfs/dbraw/zinc/84/63/56/631846356.db2.gz YVIKCGWFQQNFGY-GFCCVEGCSA-N 0 0 297.376 2.994 20 5 CFBDRN CCCC(=O)O[C@H]1CCN(Cc2cccc([N+](=O)[O-])c2)C1 ZINC000813172845 631870569 /nfs/dbraw/zinc/87/05/69/631870569.db2.gz OUMQULKAJAZESA-AWEZNQCLSA-N 0 0 292.335 2.512 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000813243314 631890803 /nfs/dbraw/zinc/89/08/03/631890803.db2.gz LURIITLXJFKNDY-DTWKUNHWSA-N 0 0 298.314 2.899 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)C1=CCOCC1 ZINC000813244292 631891123 /nfs/dbraw/zinc/89/11/23/631891123.db2.gz WAPMZKWLGXZOPX-UHFFFAOYSA-N 0 0 282.683 2.533 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCCOC1 ZINC000813291316 631895274 /nfs/dbraw/zinc/89/52/74/631895274.db2.gz IQJNYCCOSDPTNE-NSHDSACASA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1cnc(NC23CCC(CC2)C3)c(F)c1 ZINC000840287451 631901617 /nfs/dbraw/zinc/90/16/17/631901617.db2.gz WGDTYZBJZXRENX-UHFFFAOYSA-N 0 0 251.261 2.874 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCOCC(F)(F)F ZINC000843299246 631922010 /nfs/dbraw/zinc/92/20/10/631922010.db2.gz WPWINDDPUQAQAO-UHFFFAOYSA-N 0 0 293.245 2.627 20 5 CFBDRN CC[C@H](C)CON=c1nc[nH]c2cccc([N+](=O)[O-])c21 ZINC000813622463 631942914 /nfs/dbraw/zinc/94/29/14/631942914.db2.gz QAUCCDMLWLQMIX-VIFPVBQESA-N 0 0 276.296 2.928 20 5 CFBDRN CCC(CC)(CNc1nc2ccccn2c1[N+](=O)[O-])OC ZINC000813636601 631944187 /nfs/dbraw/zinc/94/41/87/631944187.db2.gz AFXFHKZALYYJGS-UHFFFAOYSA-N 0 0 292.339 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@@H]4C[C@@H]43)c2c1 ZINC000840296837 631958389 /nfs/dbraw/zinc/95/83/89/631958389.db2.gz DPDLUTHEBKQUGJ-MFKMUULPSA-N 0 0 255.277 2.742 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C1(F)CC1 ZINC000843522574 631975143 /nfs/dbraw/zinc/97/51/43/631975143.db2.gz FZGYPTXANOZZLV-UHFFFAOYSA-N 0 0 258.636 2.689 20 5 CFBDRN CCC/C=C\COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000813910698 631976263 /nfs/dbraw/zinc/97/62/63/631976263.db2.gz WJPKXSFUYKUKSJ-PLNGDYQASA-N 0 0 264.281 2.690 20 5 CFBDRN CN(OCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000156121681 650044216 /nfs/dbraw/zinc/04/42/16/650044216.db2.gz PVBGBRCIKIZGJX-UHFFFAOYSA-N 0 0 292.316 2.860 20 5 CFBDRN COCC(C)(C)COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000813994499 631988362 /nfs/dbraw/zinc/98/83/62/631988362.db2.gz YPJWSRGSKQNETE-UHFFFAOYSA-N 0 0 281.308 2.733 20 5 CFBDRN O=C(N1CCCc2c([N+](=O)[O-])cccc21)C1(C(F)F)CC1 ZINC000843568828 631990689 /nfs/dbraw/zinc/99/06/89/631990689.db2.gz AMHFMXQDTACUBX-UHFFFAOYSA-N 0 0 296.273 2.919 20 5 CFBDRN CC(C)c1ccsc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814034069 631997175 /nfs/dbraw/zinc/99/71/75/631997175.db2.gz FVEQVEGPFMOTQZ-MRVPVSSYSA-N 0 0 257.311 2.694 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc2sccc2c1 ZINC000814036999 631997797 /nfs/dbraw/zinc/99/77/97/631997797.db2.gz OYUZXWBFDWMPME-MRVPVSSYSA-N 0 0 265.290 2.723 20 5 CFBDRN C[C@@H](C(=O)O[C@@H](C)C[N+](=O)[O-])c1cccc(Cl)c1 ZINC000814040525 631998677 /nfs/dbraw/zinc/99/86/77/631998677.db2.gz IHMBZCOQINMSIK-DTWKUNHWSA-N 0 0 271.700 2.652 20 5 CFBDRN CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814039100 631998797 /nfs/dbraw/zinc/99/87/97/631998797.db2.gz XLLZISQMDCYMHC-RVMXOQNASA-N 0 0 287.356 2.569 20 5 CFBDRN CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814039099 631998804 /nfs/dbraw/zinc/99/88/04/631998804.db2.gz XLLZISQMDCYMHC-LPWJVIDDSA-N 0 0 287.356 2.569 20 5 CFBDRN C/C(=C\C(=O)O[C@H](C)C[N+](=O)[O-])c1cccc(Cl)c1 ZINC000814039572 631998817 /nfs/dbraw/zinc/99/88/17/631998817.db2.gz SNTVABLQVCBOOW-OLKPEBQYSA-N 0 0 283.711 2.952 20 5 CFBDRN Cc1cccc(C2(C(=O)O[C@H](C)C[N+](=O)[O-])CCC2)c1 ZINC000814042280 631999721 /nfs/dbraw/zinc/99/97/21/631999721.db2.gz VOEVMDAWJKAKKA-GFCCVEGCSA-N 0 0 277.320 2.625 20 5 CFBDRN C[C@H](CC(=O)O[C@@H](C)C[N+](=O)[O-])c1cccc(F)c1 ZINC000814044280 632000060 /nfs/dbraw/zinc/00/00/60/632000060.db2.gz OOXWYOMMCJEORJ-ZJUUUORDSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccccc1C(C)(C)C ZINC000814043546 632000437 /nfs/dbraw/zinc/00/04/37/632000437.db2.gz FZNHOYZUKLSMDY-SNVBAGLBSA-N 0 0 265.309 2.806 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1csc(-c2cccs2)n1 ZINC000814046840 632000932 /nfs/dbraw/zinc/00/09/32/632000932.db2.gz PBYVILNBAZBSBG-ZETCQYMHSA-N 0 0 298.345 2.694 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cccc(C2CCC2)c1 ZINC000814047622 632001041 /nfs/dbraw/zinc/00/10/41/632001041.db2.gz VNISXTQNUIILKA-SNVBAGLBSA-N 0 0 263.293 2.776 20 5 CFBDRN C/C(=C\c1ccc(Cl)cc1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814045679 632001086 /nfs/dbraw/zinc/00/10/86/632001086.db2.gz XHEXFASFRLJOPJ-TTZKWOQHSA-N 0 0 283.711 2.952 20 5 CFBDRN CCc1nc(C)c(COC(=O)c2ccccc2[N+](=O)[O-])o1 ZINC000814068247 632003947 /nfs/dbraw/zinc/00/39/47/632003947.db2.gz KMMWHKKJEYGLOZ-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CCS[C@H]2C)c1[N+](=O)[O-] ZINC000843740968 632045363 /nfs/dbraw/zinc/04/53/63/632045363.db2.gz BELOAKZSTVCOBH-GZMMTYOYSA-N 0 0 298.339 2.666 20 5 CFBDRN Cc1cc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])no1 ZINC000814255711 632046399 /nfs/dbraw/zinc/04/63/99/632046399.db2.gz AWFKNEGVOZJNNK-UHFFFAOYSA-N 0 0 289.291 2.808 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@@H](C)C1CC1 ZINC000843773652 632053649 /nfs/dbraw/zinc/05/36/49/632053649.db2.gz HORRLVGSFDIRDW-MRVPVSSYSA-N 0 0 280.299 2.818 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCO[C@H](C(F)F)C1 ZINC000814369512 632056130 /nfs/dbraw/zinc/05/61/30/632056130.db2.gz DFYIADCGKSESTH-VIFPVBQESA-N 0 0 292.669 2.719 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@H](O)c1ccccn1 ZINC000814367613 632056322 /nfs/dbraw/zinc/05/63/22/632056322.db2.gz SXCUXQYGVHGKET-GFCCVEGCSA-N 0 0 293.710 2.789 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC000814404674 632058969 /nfs/dbraw/zinc/05/89/69/632058969.db2.gz BTRXCKPISZBKRN-MWLCHTKSSA-N 0 0 296.298 2.878 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000843817266 632061040 /nfs/dbraw/zinc/06/10/40/632061040.db2.gz AGZHHYSMHBORLR-SNVBAGLBSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C)[C@H]1C ZINC000843814894 632061643 /nfs/dbraw/zinc/06/16/43/632061643.db2.gz JORMSPVQOBMJNV-WCBMZHEXSA-N 0 0 280.299 2.913 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NOC1CCCC1 ZINC000843847933 632067002 /nfs/dbraw/zinc/06/70/02/632067002.db2.gz PCCQFIPTCKXDMQ-UHFFFAOYSA-N 0 0 282.271 2.646 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000843871427 632069920 /nfs/dbraw/zinc/06/99/20/632069920.db2.gz LLXMDGUFGDSAJC-YFKFIEJBSA-N 0 0 278.283 2.571 20 5 CFBDRN Cc1nc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])c(C)o1 ZINC000814508174 632082407 /nfs/dbraw/zinc/08/24/07/632082407.db2.gz UJTQCVDGXRIXDR-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C1=C(C)OCCC1 ZINC000843968918 632086130 /nfs/dbraw/zinc/08/61/30/632086130.db2.gz VZXRAGHUUGHIKH-UHFFFAOYSA-N 0 0 291.307 2.659 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000840324011 632095995 /nfs/dbraw/zinc/09/59/95/632095995.db2.gz WERILUGECKMREX-NSHDSACASA-N 0 0 297.742 2.846 20 5 CFBDRN CCON(C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1)C(C)C ZINC000844075644 632107093 /nfs/dbraw/zinc/10/70/93/632107093.db2.gz RSHJEXLZSWFBNP-UHFFFAOYSA-N 0 0 296.323 2.714 20 5 CFBDRN CCON(C(=O)c1ccc(CC)c([N+](=O)[O-])c1)C(C)C ZINC000844075998 632107567 /nfs/dbraw/zinc/10/75/67/632107567.db2.gz GBUFUCQDYSOJFQ-UHFFFAOYSA-N 0 0 280.324 2.959 20 5 CFBDRN CCON(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(C)C ZINC000844077337 632108184 /nfs/dbraw/zinc/10/81/84/632108184.db2.gz IVRNYBVLXHRRPA-UHFFFAOYSA-N 0 0 291.307 2.878 20 5 CFBDRN CCON(C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(C)C ZINC000844077400 632108458 /nfs/dbraw/zinc/10/84/58/632108458.db2.gz IMDLOUOVFKDRPN-UHFFFAOYSA-N 0 0 291.307 2.878 20 5 CFBDRN CCON(C(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000844081160 632110783 /nfs/dbraw/zinc/11/07/83/632110783.db2.gz CKJCESRTKREAKJ-UHFFFAOYSA-N 0 0 266.297 2.705 20 5 CFBDRN CCCONC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000844087984 632115345 /nfs/dbraw/zinc/11/53/45/632115345.db2.gz JPNCSYDNAMLDIT-UHFFFAOYSA-N 0 0 266.297 2.790 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCS[C@H]1C ZINC000844105567 632120116 /nfs/dbraw/zinc/12/01/16/632120116.db2.gz GGMQXQGNFUEVOT-WCBMZHEXSA-N 0 0 298.339 2.666 20 5 CFBDRN O=C1O[C@H](COc2cccc(Cl)c2[N+](=O)[O-])CC12CC2 ZINC000814775759 632121756 /nfs/dbraw/zinc/12/17/56/632121756.db2.gz VZGBKZQZFZDVBI-QMMMGPOBSA-N 0 0 297.694 2.723 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCCc1ccccc1 ZINC000844259557 632147470 /nfs/dbraw/zinc/14/74/70/632147470.db2.gz ISBXYEFZPIBIBT-UHFFFAOYSA-N 0 0 288.303 2.723 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CC[C@@H](C)O2)c1 ZINC000840326934 632152454 /nfs/dbraw/zinc/15/24/54/632152454.db2.gz NANKIIUVVPRFNR-BDAKNGLRSA-N 0 0 284.287 2.722 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CC[C@@H](C)O2)c1 ZINC000840326939 632152488 /nfs/dbraw/zinc/15/24/88/632152488.db2.gz NANKIIUVVPRFNR-RKDXNWHRSA-N 0 0 284.287 2.722 20 5 CFBDRN Cc1ccnc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])c1 ZINC000814922867 632165993 /nfs/dbraw/zinc/16/59/93/632165993.db2.gz YZODZFUSMNGSMG-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@]2(O)CCC[C@@H]2C)c1 ZINC000814939031 632175084 /nfs/dbraw/zinc/17/50/84/632175084.db2.gz BTKJHFDJRRZNPR-XPTSAGLGSA-N 0 0 298.314 2.706 20 5 CFBDRN CS[C@H](C)CC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000156487382 650054390 /nfs/dbraw/zinc/05/43/90/650054390.db2.gz QLUNPWCWZNXQDY-SECBINFHSA-N 0 0 269.322 2.780 20 5 CFBDRN COC/C(C)=C\C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000844397204 632193596 /nfs/dbraw/zinc/19/35/96/632193596.db2.gz WBDWCQONNKWVGR-UITAMQMPSA-N 0 0 299.710 2.884 20 5 CFBDRN C[C@@H](COC(=O)c1nn(C)cc1[N+](=O)[O-])C1CCCCC1 ZINC000815229471 632234437 /nfs/dbraw/zinc/23/44/37/632234437.db2.gz IJHOZIOBEGWFFR-JTQLQIEISA-N 0 0 295.339 2.702 20 5 CFBDRN CCCN(C(=O)/C=C(/C)COC)c1cccc([N+](=O)[O-])c1 ZINC000844515514 632236538 /nfs/dbraw/zinc/23/65/38/632236538.db2.gz DNXXZDDZHJWIPI-XFXZXTDPSA-N 0 0 292.335 2.931 20 5 CFBDRN Cc1cc(C(=O)OCCc2cccnc2)ccc1[N+](=O)[O-] ZINC000105555643 632236546 /nfs/dbraw/zinc/23/65/46/632236546.db2.gz WKUPNMIXUYQDFS-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)O[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000815243236 632238331 /nfs/dbraw/zinc/23/83/31/632238331.db2.gz CZRGWFLBRVIZFV-RKDXNWHRSA-N 0 0 281.312 2.787 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000815388569 632264616 /nfs/dbraw/zinc/26/46/16/632264616.db2.gz PZOCZKOJOAVOPO-OCCSQVGLSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@@H](NCc1ncccc1F)c1ccc(N)c([N+](=O)[O-])c1 ZINC000815597739 632295368 /nfs/dbraw/zinc/29/53/68/632295368.db2.gz FCNHSZIYAMDGGF-SECBINFHSA-N 0 0 290.298 2.562 20 5 CFBDRN C[C@@H](NC1(C(F)F)CC1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000815622904 632299807 /nfs/dbraw/zinc/29/98/07/632299807.db2.gz IDUOETPBFWFIRE-SSDOTTSWSA-N 0 0 271.267 2.625 20 5 CFBDRN Cc1ccc(OC(=O)CCc2cncs2)c([N+](=O)[O-])c1 ZINC000815912288 632332324 /nfs/dbraw/zinc/33/23/24/632332324.db2.gz GVFPCHLWRLOTBV-UHFFFAOYSA-N 0 0 292.316 2.898 20 5 CFBDRN C[C@@H](COC(=O)c1cc(Cl)c(F)cc1Cl)[N+](=O)[O-] ZINC000816091362 632369917 /nfs/dbraw/zinc/36/99/17/632369917.db2.gz TYOPIAHEXXGQRA-YFKPBYRVSA-N 0 0 296.081 2.955 20 5 CFBDRN Cc1ccoc1COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000816100766 632372244 /nfs/dbraw/zinc/37/22/44/632372244.db2.gz SLOVEPJHNLRDLU-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000816225369 632398721 /nfs/dbraw/zinc/39/87/21/632398721.db2.gz RLHDBVIXAWVPHR-JFGNBEQYSA-N 0 0 280.324 2.915 20 5 CFBDRN CO[C@@H]1CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000845499235 632450666 /nfs/dbraw/zinc/45/06/66/632450666.db2.gz QPSUBJCXHPXGHF-SSDOTTSWSA-N 0 0 274.679 2.612 20 5 CFBDRN COc1cccc(C(=O)OCc2ccc(C)o2)c1[N+](=O)[O-] ZINC000816428294 632455302 /nfs/dbraw/zinc/45/53/02/632455302.db2.gz JFRRNUJGWXOIOA-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000845670210 632513589 /nfs/dbraw/zinc/51/35/89/632513589.db2.gz PGEVDMGLLGDOBW-LLVKDONJSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1c[nH]c(NC(=O)c2cccc([N+](=O)[O-])c2Cl)n1 ZINC000816947732 632538616 /nfs/dbraw/zinc/53/86/16/632538616.db2.gz ZZFMAUVYBQPXBG-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCC1(CO)CCC1 ZINC000845740661 632547446 /nfs/dbraw/zinc/54/74/46/632547446.db2.gz RACAMDRGKLEPQB-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN Nc1ccc(N2CCC[C@]3(CC3(F)F)C2)c([N+](=O)[O-])c1 ZINC000845742109 632547840 /nfs/dbraw/zinc/54/78/40/632547840.db2.gz JUTPCRZDTNHTBC-LBPRGKRZSA-N 0 0 283.278 2.803 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@]3(CC3(F)F)C2)c(F)c1 ZINC000845743120 632548851 /nfs/dbraw/zinc/54/88/51/632548851.db2.gz PFSORXARNIXOTG-NSHDSACASA-N 0 0 287.241 2.755 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@H]2CC2(Cl)Cl)nc1 ZINC000845743763 632548863 /nfs/dbraw/zinc/54/88/63/632548863.db2.gz CZPKQBQKPIQSAD-ZETCQYMHSA-N 0 0 291.138 2.771 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3[C@@H](C2)C3(F)F)c(Cl)c1 ZINC000845750777 632551163 /nfs/dbraw/zinc/55/11/63/632551163.db2.gz AEGOGJAURKXYJA-OCAPTIKFSA-N 0 0 274.654 2.950 20 5 CFBDRN CC[C@@H](COC(=O)c1ccc([N+](=O)[O-])cn1)CC(F)F ZINC000845759541 632554907 /nfs/dbraw/zinc/55/49/07/632554907.db2.gz WWCDJVFERJUSBW-MRVPVSSYSA-N 0 0 288.250 2.828 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000845764381 632556723 /nfs/dbraw/zinc/55/67/23/632556723.db2.gz HOVYZVULQDGWCP-UTUOFQBUSA-N 0 0 290.319 2.891 20 5 CFBDRN CC[C@H](COC(=O)c1cc([N+](=O)[O-])cn1C)CC(F)F ZINC000845765746 632557935 /nfs/dbraw/zinc/55/79/35/632557935.db2.gz BZKIOOJXAIKVGP-QMMMGPOBSA-N 0 0 290.266 2.772 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(CC3(F)F)C2)c([N+](=O)[O-])c1 ZINC000845769194 632559544 /nfs/dbraw/zinc/55/95/44/632559544.db2.gz PPYLQQKFOQDDSW-LLVKDONJSA-N 0 0 299.233 2.739 20 5 CFBDRN O=C(OC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])o1 ZINC000845775022 632561692 /nfs/dbraw/zinc/56/16/92/632561692.db2.gz YDQQGSKTMJJJMZ-BBBLOLIVSA-N 0 0 265.265 2.781 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000817216663 632573164 /nfs/dbraw/zinc/57/31/64/632573164.db2.gz MIJRWNPTIOPACJ-UMSPYCQHSA-N 0 0 291.351 2.876 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000845822497 632575789 /nfs/dbraw/zinc/57/57/89/632575789.db2.gz QMBBAKWQVOIZKR-JTQLQIEISA-N 0 0 287.337 2.800 20 5 CFBDRN CC(C)C(=O)O[C@@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845872767 632588771 /nfs/dbraw/zinc/58/87/71/632588771.db2.gz NYDBCKZVBDWCQI-BXKDBHETSA-N 0 0 269.272 2.731 20 5 CFBDRN CCOCCC(=O)O[C@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845873934 632588897 /nfs/dbraw/zinc/58/88/97/632588897.db2.gz FMRUSCBTSUGIBG-HZMBPMFUSA-N 0 0 299.298 2.502 20 5 CFBDRN C[C@H]([C@@H](OC(=O)C1CCC1)c1ccccc1F)[N+](=O)[O-] ZINC000845871858 632589286 /nfs/dbraw/zinc/58/92/86/632589286.db2.gz AYUBRGHZBLCAKZ-NOZJJQNGSA-N 0 0 281.283 2.875 20 5 CFBDRN CN(C)c1ccc(COC(=O)[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000817442794 632635899 /nfs/dbraw/zinc/63/58/99/632635899.db2.gz CDKJMJFMKCGONQ-LLVKDONJSA-N 0 0 290.319 2.504 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000817444187 632636674 /nfs/dbraw/zinc/63/66/74/632636674.db2.gz ZFRMHQBCLQNJHQ-NSHDSACASA-N 0 0 261.277 2.747 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CC12CC2 ZINC000817449338 632637984 /nfs/dbraw/zinc/63/79/84/632637984.db2.gz HFWMUAPIOWZJTH-QMMMGPOBSA-N 0 0 264.256 2.781 20 5 CFBDRN CCOC[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000840345141 632680774 /nfs/dbraw/zinc/68/07/74/632680774.db2.gz YGAVMWGXWJSMMC-CQSZACIVSA-N 0 0 264.325 2.990 20 5 CFBDRN CCOC[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000840345140 632681126 /nfs/dbraw/zinc/68/11/26/632681126.db2.gz YGAVMWGXWJSMMC-AWEZNQCLSA-N 0 0 264.325 2.990 20 5 CFBDRN C=COCCOC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817725400 632694416 /nfs/dbraw/zinc/69/44/16/632694416.db2.gz ICBYZTXTMUIYGC-UHFFFAOYSA-N 0 0 265.265 2.529 20 5 CFBDRN CCOC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817729659 632695966 /nfs/dbraw/zinc/69/59/66/632695966.db2.gz HHGMCNARKDFPQM-LLVKDONJSA-N 0 0 281.308 2.793 20 5 CFBDRN COC(C)(C)COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817739657 632700083 /nfs/dbraw/zinc/70/00/83/632700083.db2.gz ZNVILFSDFWGJLZ-UHFFFAOYSA-N 0 0 281.308 2.793 20 5 CFBDRN C/C(=C/C(=O)N1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000846629979 632763021 /nfs/dbraw/zinc/76/30/21/632763021.db2.gz IPMLXCUOUJLYCH-PRWOLLLXSA-N 0 0 292.310 2.959 20 5 CFBDRN CC(C)(C)N1CC[C@H](Oc2cc(F)ccc2[N+](=O)[O-])C1=O ZINC000818074630 632794839 /nfs/dbraw/zinc/79/48/39/632794839.db2.gz USIHRNIITHKQCO-NSHDSACASA-N 0 0 296.298 2.512 20 5 CFBDRN C/C(=C/C(=O)NCC(C)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000818261455 632819898 /nfs/dbraw/zinc/81/98/98/632819898.db2.gz PIRFRAAOBNBGIT-CLFYSBASSA-N 0 0 284.262 2.770 20 5 CFBDRN CC[C@@H](NCc1cnsn1)c1cccc([N+](=O)[O-])c1 ZINC000846846144 632820330 /nfs/dbraw/zinc/82/03/30/632820330.db2.gz DACLDNVLYCEYDK-GFCCVEGCSA-N 0 0 278.337 2.687 20 5 CFBDRN COCC[C@H](C)CNc1cc(OC)cc(F)c1[N+](=O)[O-] ZINC000840357673 632827998 /nfs/dbraw/zinc/82/79/98/632827998.db2.gz KZNDSRQYWXPEGH-VIFPVBQESA-N 0 0 286.303 2.827 20 5 CFBDRN COCC[C@@H](C)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000840360398 632846469 /nfs/dbraw/zinc/84/64/69/632846469.db2.gz YMLNSAPZAMTTKX-SECBINFHSA-N 0 0 283.284 2.588 20 5 CFBDRN CC1(C)C[C@@H]1Nc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000840360768 632854153 /nfs/dbraw/zinc/85/41/53/632854153.db2.gz CTCBDALRNOIBSV-ZDUSSCGKSA-N 0 0 289.335 2.697 20 5 CFBDRN CC(=O)C1CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000846952486 632856840 /nfs/dbraw/zinc/85/68/40/632856840.db2.gz ZILYZDSHBSJBEZ-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CS1 ZINC000840364074 632870218 /nfs/dbraw/zinc/87/02/18/632870218.db2.gz JZGLQFYHASIRPQ-SFYZADRCSA-N 0 0 283.309 2.809 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCCF)c1C ZINC000885423783 632874345 /nfs/dbraw/zinc/87/43/45/632874345.db2.gz CXXBEDKXLWKUKR-UHFFFAOYSA-N 0 0 255.245 2.728 20 5 CFBDRN CC(C)(CNc1cc(N)ccc1[N+](=O)[O-])OCC1CC1 ZINC000840368069 632908681 /nfs/dbraw/zinc/90/86/81/632908681.db2.gz TTWFOAKGUYJQQS-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN COCC1CCC(Nc2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000840368496 632909493 /nfs/dbraw/zinc/90/94/93/632909493.db2.gz GIZXROFGSVGFLC-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C(F)=C1CCC1 ZINC000818996193 632925823 /nfs/dbraw/zinc/92/58/23/632925823.db2.gz MJNCSKWCFVXOPD-UHFFFAOYSA-N 0 0 250.229 2.941 20 5 CFBDRN COC1([C@H](C)Nc2nc3ccccn3c2[N+](=O)[O-])CCC1 ZINC000840376233 633012299 /nfs/dbraw/zinc/01/22/99/633012299.db2.gz ZNIAMCJGCCVNBH-JTQLQIEISA-N 0 0 290.323 2.612 20 5 CFBDRN CC[C@H](CCC(C)C)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000847742502 633065385 /nfs/dbraw/zinc/06/53/85/633065385.db2.gz NVJXFCXCEZEEOB-GFCCVEGCSA-N 0 0 283.328 2.549 20 5 CFBDRN C[C@@H](CC(=O)OCc1cn(C)nc1[N+](=O)[O-])CC(C)(C)C ZINC000847781890 633075985 /nfs/dbraw/zinc/07/59/85/633075985.db2.gz JSSSFJOZBPRAFG-JTQLQIEISA-N 0 0 297.355 2.834 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000847918313 633144624 /nfs/dbraw/zinc/14/46/24/633144624.db2.gz SDPFUJLRKZSVNP-MGCOHNPYSA-N 0 0 299.710 2.973 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC1(C2CCCC2)CC1 ZINC000848016606 633189002 /nfs/dbraw/zinc/18/90/02/633189002.db2.gz BOWFPPRJBSWZRE-UHFFFAOYSA-N 0 0 278.308 2.813 20 5 CFBDRN C[C@@H]1C[C@H]1C(N)=NOCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000848520900 633274667 /nfs/dbraw/zinc/27/46/67/633274667.db2.gz RXWADYXIMJTXQS-GMSGAONNSA-N 0 0 283.715 2.903 20 5 CFBDRN CC(C)C[C@H](O)CNc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000848659590 633311378 /nfs/dbraw/zinc/31/13/78/633311378.db2.gz MWGVBIAFWDGXBG-NSHDSACASA-N 0 0 297.355 2.596 20 5 CFBDRN CCc1ccc(C(=O)OCc2coc(C)n2)cc1[N+](=O)[O-] ZINC000848727041 633326746 /nfs/dbraw/zinc/32/67/46/633326746.db2.gz ONWMCXXBLHTCCW-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN C[C@H](CNc1cc(N)ccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000848767212 633339949 /nfs/dbraw/zinc/33/99/49/633339949.db2.gz GNGAGZOMXRCSNG-SECBINFHSA-N 0 0 295.339 2.567 20 5 CFBDRN C[C@H](NC(=O)/C=C\[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000819544601 633364882 /nfs/dbraw/zinc/36/48/82/633364882.db2.gz SHMPXYFWKWWSBE-MTOSPURCSA-N 0 0 290.319 2.507 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000849186425 633581844 /nfs/dbraw/zinc/58/18/44/633581844.db2.gz QAAZLDLWPCYUQU-ZYHUDNBSSA-N 0 0 290.319 2.936 20 5 CFBDRN O=C(OCc1cc(C2CC2)no1)c1ccc([N+](=O)[O-])s1 ZINC000849625185 633644040 /nfs/dbraw/zinc/64/40/40/633644040.db2.gz RSCUYDLCRWNOBN-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2Cl)CO1 ZINC000849627702 633645880 /nfs/dbraw/zinc/64/58/80/633645880.db2.gz AVVFRTAZRRDRTJ-SECBINFHSA-N 0 0 299.710 2.973 20 5 CFBDRN CC1(C)C[C@H](OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000849630227 633647328 /nfs/dbraw/zinc/64/73/28/633647328.db2.gz ZPFNUEHZNAKOFY-VIFPVBQESA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1cc(C(=O)O[C@@H]2COC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000849631363 633648121 /nfs/dbraw/zinc/64/81/21/633648121.db2.gz AFIZJKDBNADQLN-NSHDSACASA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@@H](COC(=O)c1c(C)cccc1[N+](=O)[O-])C1CCC1 ZINC000849648140 633657046 /nfs/dbraw/zinc/65/70/46/633657046.db2.gz MZSROFSFSCIAFQ-ZDUSSCGKSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1sc(C(=O)O[C@H]2COC(C)(C)C2)cc1[N+](=O)[O-] ZINC000849677767 633667665 /nfs/dbraw/zinc/66/76/65/633667665.db2.gz GYCWGDHBOITTGC-MRVPVSSYSA-N 0 0 285.321 2.689 20 5 CFBDRN CC(C)COCCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849689871 633675260 /nfs/dbraw/zinc/67/52/60/633675260.db2.gz KGERXPYODLDUJS-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000849706120 633682232 /nfs/dbraw/zinc/68/22/32/633682232.db2.gz WYUHORVPKXHNIO-CYBMUJFWSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1cc(C(=O)O[C@H]2COC(C)(C)C2)cc([N+](=O)[O-])c1 ZINC000849714833 633685874 /nfs/dbraw/zinc/68/58/74/633685874.db2.gz SPMITNJFWXQLJC-GFCCVEGCSA-N 0 0 279.292 2.628 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000849715813 633686367 /nfs/dbraw/zinc/68/63/67/633686367.db2.gz FUCSYMYVEVVBHF-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000849717006 633686650 /nfs/dbraw/zinc/68/66/50/633686650.db2.gz JUQVQRIFIPGWBK-ZDUSSCGKSA-N 0 0 297.282 2.706 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000849717393 633687185 /nfs/dbraw/zinc/68/71/85/633687185.db2.gz QWJOBPFLJZKDDL-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCCS1 ZINC000849737586 633695995 /nfs/dbraw/zinc/69/59/95/633695995.db2.gz UYINZQZGVJTFMZ-LLVKDONJSA-N 0 0 285.296 2.673 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)O[C@H]1COC(C)(C)C1 ZINC000849815284 633732264 /nfs/dbraw/zinc/73/22/64/633732264.db2.gz QUAANZYGWFDVDC-SECBINFHSA-N 0 0 297.282 2.767 20 5 CFBDRN O=[N+]([O-])c1cn(CC=C(Cl)Cl)nc1C1CC1 ZINC000849891794 633755136 /nfs/dbraw/zinc/75/51/36/633755136.db2.gz KEGHAGXYARMYLE-UHFFFAOYSA-N 0 0 262.096 2.988 20 5 CFBDRN CC1(C)CC[C@H](Oc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1=O ZINC000849956137 633775379 /nfs/dbraw/zinc/77/53/79/633775379.db2.gz HFKMLZPIXXKGHT-NSHDSACASA-N 0 0 294.263 2.640 20 5 CFBDRN COc1cc(COC(=O)CCCF)c([N+](=O)[O-])cc1F ZINC000850195006 633884425 /nfs/dbraw/zinc/88/44/25/633884425.db2.gz MHQAGVGUSXWITJ-UHFFFAOYSA-N 0 0 289.234 2.535 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850263192 633916852 /nfs/dbraw/zinc/91/68/52/633916852.db2.gz GGVBCVGCEGKWJC-ITGUQSILSA-N 0 0 275.304 2.727 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@@H]2C[C@@H](O)c3ccccc32)cs1 ZINC000850370699 633960166 /nfs/dbraw/zinc/96/01/66/633960166.db2.gz FORBDOCXDFGABI-CHWSQXEVSA-N 0 0 290.344 2.924 20 5 CFBDRN CO[C@@H](C(=O)Oc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000850742804 634071062 /nfs/dbraw/zinc/07/10/62/634071062.db2.gz LOBLAZITHNKXDN-CYBMUJFWSA-N 0 0 279.292 2.705 20 5 CFBDRN CCC1(C(=O)OC[C@@H](C)[N+](=O)[O-])CCC(F)(F)CC1 ZINC000851037197 634190142 /nfs/dbraw/zinc/19/01/42/634190142.db2.gz RZQVSOILGLQNHR-SECBINFHSA-N 0 0 279.283 2.801 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC000851044963 634194480 /nfs/dbraw/zinc/19/44/80/634194480.db2.gz IIQHXPMHLQGJES-DRZSPHRISA-N 0 0 269.341 2.801 20 5 CFBDRN CC(C)(COC(=O)CCSc1ccccc1)[N+](=O)[O-] ZINC000851124391 634234585 /nfs/dbraw/zinc/23/45/85/634234585.db2.gz UYYNMKHIAYOQLH-UHFFFAOYSA-N 0 0 283.349 2.767 20 5 CFBDRN CC(C)(COC(=O)c1ccc(Cl)cc1F)[N+](=O)[O-] ZINC000851123765 634234840 /nfs/dbraw/zinc/23/48/40/634234840.db2.gz VNMLZMXAUXQPHS-UHFFFAOYSA-N 0 0 275.663 2.691 20 5 CFBDRN CCc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])s1 ZINC000851123733 634234891 /nfs/dbraw/zinc/23/48/91/634234891.db2.gz IBMVXQUZEICNTQ-UHFFFAOYSA-N 0 0 257.311 2.523 20 5 CFBDRN CCCOc1cccc(C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851124579 634235500 /nfs/dbraw/zinc/23/55/00/634235500.db2.gz BVVSAXRKUROZDM-UHFFFAOYSA-N 0 0 281.308 2.688 20 5 CFBDRN CC(C)(C)c1ncc(C(=O)OCC(C)(C)[N+](=O)[O-])s1 ZINC000851125558 634235507 /nfs/dbraw/zinc/23/55/07/634235507.db2.gz IBYSQQJSYJDPLE-UHFFFAOYSA-N 0 0 286.353 2.653 20 5 CFBDRN CC(C)(COC(=O)Cc1cc2ccccc2o1)[N+](=O)[O-] ZINC000851125477 634235706 /nfs/dbraw/zinc/23/57/06/634235706.db2.gz GGNFJAXXEDBWBK-UHFFFAOYSA-N 0 0 277.276 2.574 20 5 CFBDRN CC(C)(COC(=O)C1(c2ccc(F)cc2)CCC1)[N+](=O)[O-] ZINC000851124809 634235863 /nfs/dbraw/zinc/23/58/63/634235863.db2.gz MAJKURYKVBGSAV-UHFFFAOYSA-N 0 0 295.310 2.846 20 5 CFBDRN CC(C)(COC(=O)c1csc(-c2ccoc2)n1)[N+](=O)[O-] ZINC000851125341 634235867 /nfs/dbraw/zinc/23/58/67/634235867.db2.gz ACNCWHXYGBFEQH-UHFFFAOYSA-N 0 0 296.304 2.615 20 5 CFBDRN C/C(=C\C(=O)OCC(C)(C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000851126084 634236708 /nfs/dbraw/zinc/23/67/08/634236708.db2.gz MAKVQXKWSHDTLF-CSKARUKUSA-N 0 0 281.283 2.828 20 5 CFBDRN C[C@@H]1CC(C(=O)OCC(C)(C)[N+](=O)[O-])C[C@@H](C)C1 ZINC000851127266 634237369 /nfs/dbraw/zinc/23/73/69/634237369.db2.gz MLDGLIFIVLFWPZ-UWVGGRQHSA-N 0 0 257.330 2.657 20 5 CFBDRN CC(C)(COC(=O)c1ccc(Cl)c(F)c1)[N+](=O)[O-] ZINC000851127861 634237481 /nfs/dbraw/zinc/23/74/81/634237481.db2.gz ZDIUPPSRYOCXQH-UHFFFAOYSA-N 0 0 275.663 2.691 20 5 CFBDRN CC(C)(COC(=O)[C@H]1C[C@@H]1c1cccc(F)c1F)[N+](=O)[O-] ZINC000851127641 634237650 /nfs/dbraw/zinc/23/76/50/634237650.db2.gz ZWDLCEABTBTOCG-ZJUUUORDSA-N 0 0 299.273 2.667 20 5 CFBDRN CC(C)(COC(=O)c1cc(Cl)cs1)[N+](=O)[O-] ZINC000851128276 634237946 /nfs/dbraw/zinc/23/79/46/634237946.db2.gz PDZVFNIQUNHQFH-UHFFFAOYSA-N 0 0 263.702 2.614 20 5 CFBDRN COc1ccc2occ(C(=O)OCC(C)(C)[N+](=O)[O-])c2c1 ZINC000851129308 634238250 /nfs/dbraw/zinc/23/82/50/634238250.db2.gz IWIQCWPGUWMTPI-UHFFFAOYSA-N 0 0 293.275 2.654 20 5 CFBDRN CC(C)(COC(=O)c1ccc2c(c1)CC(C)(C)O2)[N+](=O)[O-] ZINC000851128797 634238613 /nfs/dbraw/zinc/23/86/13/634238613.db2.gz BHBXZUDWKCRBPG-UHFFFAOYSA-N 0 0 293.319 2.612 20 5 CFBDRN CC(C)(COC(=O)C[C@]1(C)CC1(Cl)Cl)[N+](=O)[O-] ZINC000851129199 634238705 /nfs/dbraw/zinc/23/87/05/634238705.db2.gz KKWZCDSNMSGZTA-SECBINFHSA-N 0 0 284.139 2.559 20 5 CFBDRN CC(C)(COC(=O)Cc1cccc(Cl)c1F)[N+](=O)[O-] ZINC000851129338 634238886 /nfs/dbraw/zinc/23/88/86/634238886.db2.gz JXHYCGYFCRASRX-UHFFFAOYSA-N 0 0 289.690 2.620 20 5 CFBDRN CC(C)(COC(=O)c1cccc(C2CC2)c1)[N+](=O)[O-] ZINC000851130659 634239871 /nfs/dbraw/zinc/23/98/71/634239871.db2.gz YLLARSGYVRHQKA-UHFFFAOYSA-N 0 0 263.293 2.776 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000851232168 634284113 /nfs/dbraw/zinc/28/41/13/634284113.db2.gz XWSZZXOQMKFQEU-ZSBIGDGJSA-N 0 0 291.303 2.563 20 5 CFBDRN Cc1ncc(COC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000851446872 634365003 /nfs/dbraw/zinc/36/50/03/634365003.db2.gz WVCIBRPOLWIIJM-SECBINFHSA-N 0 0 290.275 2.738 20 5 CFBDRN CCC[C@H]1CCCC[C@H]1OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000851453565 634369905 /nfs/dbraw/zinc/36/99/05/634369905.db2.gz KUKSCCDLIJNTKJ-NWDGAFQWSA-N 0 0 295.339 2.693 20 5 CFBDRN CC1CC(COC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000851462377 634375157 /nfs/dbraw/zinc/37/51/57/634375157.db2.gz MWARKHFBLKGKLN-UHFFFAOYSA-N 0 0 279.292 2.563 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CCOC(F)F)c2)cc1 ZINC000853017926 634876847 /nfs/dbraw/zinc/87/68/47/634876847.db2.gz QGBJWKZIHCMJJB-UHFFFAOYSA-N 0 0 283.234 2.698 20 5 CFBDRN Cc1noc(CSC2=N[C@@H](C)CS2)c1[N+](=O)[O-] ZINC000853019330 634877777 /nfs/dbraw/zinc/87/77/77/634877777.db2.gz OEEAZNXKJGTVNN-YFKPBYRVSA-N 0 0 273.339 2.616 20 5 CFBDRN Cc1cnccc1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000111043542 634893358 /nfs/dbraw/zinc/89/33/58/634893358.db2.gz MQXUTKZNLSPNCM-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cc(NCc2nc(C3CC3)no2)c([N+](=O)[O-])s1 ZINC000853200878 634933843 /nfs/dbraw/zinc/93/38/43/634933843.db2.gz RFXNVZIBNITLGZ-UHFFFAOYSA-N 0 0 280.309 2.837 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(C(F)F)cc2[N+](=O)[O-])C1 ZINC000853405507 634996160 /nfs/dbraw/zinc/99/61/60/634996160.db2.gz PWJIMELHMPDHJM-SECBINFHSA-N 0 0 272.251 2.758 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@H](OC)C2)c([N+](=O)[O-])cc1C ZINC000853516246 635025144 /nfs/dbraw/zinc/02/51/44/635025144.db2.gz SDTOWCXJAUNKKN-MEBBXXQBSA-N 0 0 294.351 2.773 20 5 CFBDRN CC[C@@]1(C)CN(c2cc(OC)c(C)cc2[N+](=O)[O-])CCO1 ZINC000853519918 635025869 /nfs/dbraw/zinc/02/58/69/635025869.db2.gz VAXJFCZJLNVSPA-HNNXBMFYSA-N 0 0 294.351 2.917 20 5 CFBDRN COc1cc(N2CCC3(CCO3)CC2)c([N+](=O)[O-])cc1C ZINC000853571157 635038993 /nfs/dbraw/zinc/03/89/93/635038993.db2.gz WQHUJYLAWMLFDX-UHFFFAOYSA-N 0 0 292.335 2.671 20 5 CFBDRN CC1=NO[C@@H](CNc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000853661752 635065515 /nfs/dbraw/zinc/06/55/15/635065515.db2.gz RUXWVATYTZTNRM-LLVKDONJSA-N 0 0 286.291 2.720 20 5 CFBDRN C[C@]1(CNc2ccc(N)c([N+](=O)[O-])n2)CC1(Cl)Cl ZINC000853663210 635066211 /nfs/dbraw/zinc/06/62/11/635066211.db2.gz WCDMTNAAFZSYPX-SECBINFHSA-N 0 0 291.138 2.568 20 5 CFBDRN COc1cc(N2CC[C@H](OC)[C@@H](C)C2)c([N+](=O)[O-])cc1C ZINC000853665307 635067247 /nfs/dbraw/zinc/06/72/47/635067247.db2.gz ZLVBOCCDLHJSNG-FZMZJTMJSA-N 0 0 294.351 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3CCCC[C@@H]32)c2nonc21 ZINC000853720054 635083947 /nfs/dbraw/zinc/08/39/47/635083947.db2.gz VCQCXUDVZIUJFV-IUCAKERBSA-N 0 0 274.280 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1Cn1cc(C2CC2)nn1 ZINC000853737143 635089230 /nfs/dbraw/zinc/08/92/30/635089230.db2.gz CVPCNWRNFURGJQ-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H](CO)C3CCC3)sc2c1 ZINC000853761846 635098035 /nfs/dbraw/zinc/09/80/35/635098035.db2.gz YIDGCLXGLVVWOF-NSHDSACASA-N 0 0 293.348 2.777 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CSCCC1(O)CC1 ZINC000853772834 635101610 /nfs/dbraw/zinc/10/16/10/635101610.db2.gz YTAFLZGAJHBQAP-UHFFFAOYSA-N 0 0 271.313 2.882 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(c1cccc(F)c1[N+](=O)[O-])C2 ZINC000853811668 635114055 /nfs/dbraw/zinc/11/40/55/635114055.db2.gz CTIDAOOFCYCLPW-OKILXGFUSA-N 0 0 280.299 2.597 20 5 CFBDRN CC(=O)c1ccc(N(C)CC2=CCCOC2)c([N+](=O)[O-])c1 ZINC000853837191 635123348 /nfs/dbraw/zinc/12/33/48/635123348.db2.gz RNJYOTVXHCUYOC-UHFFFAOYSA-N 0 0 290.319 2.580 20 5 CFBDRN C[C@@]1(CNc2ccc(N)cc2[N+](=O)[O-])CC1(F)F ZINC000853848913 635131697 /nfs/dbraw/zinc/13/16/97/635131697.db2.gz DWZMVWLLAXSCRM-JTQLQIEISA-N 0 0 257.240 2.634 20 5 CFBDRN O=C(OCC1CCOCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000111678057 635136681 /nfs/dbraw/zinc/13/66/81/635136681.db2.gz SNLGMAHSZYCUHL-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN CCCCOCCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000111678727 635137147 /nfs/dbraw/zinc/13/71/47/635137147.db2.gz SVXOHNQRZNAGKD-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN C[C@H](O)[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000853861884 635138126 /nfs/dbraw/zinc/13/81/26/635138126.db2.gz IEQOTJZJVYSLOG-GZMMTYOYSA-N 0 0 270.716 2.598 20 5 CFBDRN O=C(NOCC1CCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000853870065 635142440 /nfs/dbraw/zinc/14/24/40/635142440.db2.gz HPLWQLBZKGMRBE-UHFFFAOYSA-N 0 0 283.259 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@H]1C[C@H](O)C12CCC2 ZINC000853872174 635143396 /nfs/dbraw/zinc/14/33/96/635143396.db2.gz ZYEIAGWBVIYRRT-RYUDHWBXSA-N 0 0 282.727 2.964 20 5 CFBDRN Cc1noc(COC(=O)C[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000853903543 635153908 /nfs/dbraw/zinc/15/39/08/635153908.db2.gz DIADBKUPEJAMJV-PSASIEDQSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1cscc1C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853903240 635153924 /nfs/dbraw/zinc/15/39/24/635153924.db2.gz SECSVDGIUKVLAP-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN CCC1(C(=O)OCc2onc(C)c2[N+](=O)[O-])CCC1 ZINC000853904402 635155193 /nfs/dbraw/zinc/15/51/93/635155193.db2.gz YFBPTWSSVLBZFQ-UHFFFAOYSA-N 0 0 268.269 2.515 20 5 CFBDRN Cc1noc(COC(=O)C[C@@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000853909243 635158728 /nfs/dbraw/zinc/15/87/28/635158728.db2.gz MSZNCSNQAUTHCJ-SNVBAGLBSA-N 0 0 280.280 2.681 20 5 CFBDRN Cc1noc(COC(=O)C23CCC(CC2)C3)c1[N+](=O)[O-] ZINC000853910317 635158887 /nfs/dbraw/zinc/15/88/87/635158887.db2.gz KCZXASQEHHXPHV-UHFFFAOYSA-N 0 0 280.280 2.515 20 5 CFBDRN Cc1noc(COC(=O)CCCC(C)C)c1[N+](=O)[O-] ZINC000853920831 635164915 /nfs/dbraw/zinc/16/49/15/635164915.db2.gz HPJGTXLJTQZYEW-UHFFFAOYSA-N 0 0 270.285 2.761 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000853920712 635164999 /nfs/dbraw/zinc/16/49/99/635164999.db2.gz MAGLAOHVOIEXRA-WPRPVWTQSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)/C=C/C2CCCC2)c1[N+](=O)[O-] ZINC000853923638 635166059 /nfs/dbraw/zinc/16/60/59/635166059.db2.gz AADCKORYGYGAGH-VOTSOKGWSA-N 0 0 280.280 2.681 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@H]2COC[C@H]2C1 ZINC000853934902 635169620 /nfs/dbraw/zinc/16/96/20/635169620.db2.gz VOWHJGJAPPWGQB-VHSXEESVSA-N 0 0 282.727 2.721 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000853974643 635183502 /nfs/dbraw/zinc/18/35/02/635183502.db2.gz JUZYMAOTUOTZMT-XYPYZODXSA-N 0 0 279.340 2.935 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000111973464 635239301 /nfs/dbraw/zinc/23/93/01/635239301.db2.gz WVIFOHDIKUSTSM-CHWSQXEVSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1ncc(-c2cn(C)nc2C(C)C)cc1[N+](=O)[O-] ZINC000854172666 635243036 /nfs/dbraw/zinc/24/30/36/635243036.db2.gz FNXZZNAECUVEHP-UHFFFAOYSA-N 0 0 260.297 2.822 20 5 CFBDRN CCC(F)(F)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000854375087 635296995 /nfs/dbraw/zinc/29/69/95/635296995.db2.gz GOUQILYKSSFEMC-UHFFFAOYSA-N 0 0 286.278 2.641 20 5 CFBDRN CCO[C@@H](CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)C ZINC000854496495 635317508 /nfs/dbraw/zinc/31/75/08/635317508.db2.gz HEGBYQPVESXCRO-ZDUSSCGKSA-N 0 0 295.339 2.567 20 5 CFBDRN CSCC1(CC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000854496874 635317616 /nfs/dbraw/zinc/31/76/16/635317616.db2.gz SEGYJIUXFQXSJE-UHFFFAOYSA-N 0 0 295.364 2.649 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496392 635317796 /nfs/dbraw/zinc/31/77/96/635317796.db2.gz FMBIZMALIBUXSD-NXEZZACHSA-N 0 0 277.324 2.942 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000854496929 635317952 /nfs/dbraw/zinc/31/79/52/635317952.db2.gz UTKCPMMDLQVGQP-IEBDPFPHSA-N 0 0 275.308 2.552 20 5 CFBDRN CC(=O)C(C)(C)CCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497465 635318337 /nfs/dbraw/zinc/31/83/37/635318337.db2.gz PRXBXLFIHWYTCI-UHFFFAOYSA-N 0 0 293.323 2.511 20 5 CFBDRN C[C@@H]1CC/C(=C\C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497593 635318816 /nfs/dbraw/zinc/31/88/16/635318816.db2.gz RNKNJPNGJRILJW-YIXGCBLDSA-N 0 0 275.308 2.862 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CC[C@@H]1C(F)(F)F ZINC000854520213 635324681 /nfs/dbraw/zinc/32/46/81/635324681.db2.gz MWSAFZWBKSRHRY-SECBINFHSA-N 0 0 292.188 2.511 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1COc2ccccc21 ZINC000113038465 635462309 /nfs/dbraw/zinc/46/23/09/635462309.db2.gz XLLDRVGSOPUADV-AWEZNQCLSA-N 0 0 299.282 2.814 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000855148690 635468743 /nfs/dbraw/zinc/46/87/43/635468743.db2.gz KDRJHVQSIZBZNX-SNVBAGLBSA-N 0 0 298.314 2.760 20 5 CFBDRN CC[C@@H](C)CCNC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000855389130 635528499 /nfs/dbraw/zinc/52/84/99/635528499.db2.gz XRQPVXYGCPTIFB-SNVBAGLBSA-N 0 0 298.314 2.665 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000855420354 635536023 /nfs/dbraw/zinc/53/60/23/635536023.db2.gz YSAUELGGCSVAAQ-SNVBAGLBSA-N 0 0 278.333 2.765 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H]1C=CCC1 ZINC000855419933 635536133 /nfs/dbraw/zinc/53/61/33/635536133.db2.gz UWGLNUIUHVJMSS-SNVBAGLBSA-N 0 0 278.333 2.522 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000855424687 635539263 /nfs/dbraw/zinc/53/92/63/635539263.db2.gz SRJRVFMMNPJSLQ-JTQLQIEISA-N 0 0 266.684 2.697 20 5 CFBDRN CC(C)OCCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000113465768 635586901 /nfs/dbraw/zinc/58/69/01/635586901.db2.gz DMMYJNOJILCRJN-RMKNXTFCSA-N 0 0 293.319 2.966 20 5 CFBDRN O=C(N1CC[C@H]2C[C@H]2C1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000856063416 635712752 /nfs/dbraw/zinc/71/27/52/635712752.db2.gz RKUUTXIYDSEAOS-UWVGGRQHSA-N 0 0 296.273 2.555 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000856137679 635737537 /nfs/dbraw/zinc/73/75/37/635737537.db2.gz ARFVERRRLIURHS-RZIFZGNASA-N 0 0 274.320 2.913 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000856243037 635763323 /nfs/dbraw/zinc/76/33/23/635763323.db2.gz UGTAGCZRLOWJMC-YOGCLGLASA-N 0 0 268.357 2.611 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NOCC1CCC1 ZINC000856350057 635791989 /nfs/dbraw/zinc/79/19/89/635791989.db2.gz QGKCXKLANIFCCR-PKNBQFBNSA-N 0 0 290.319 2.846 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@]2(CC=CCC2)C1 ZINC000114445208 635833934 /nfs/dbraw/zinc/83/39/34/635833934.db2.gz SIXFOSDNVWMSSJ-CQSZACIVSA-N 0 0 276.340 2.571 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2F)CCS1 ZINC000157471884 650217334 /nfs/dbraw/zinc/21/73/34/650217334.db2.gz TWOGXJPCXACXMQ-JTQLQIEISA-N 0 0 298.339 2.702 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1N1CCCCO1 ZINC000856744390 635845423 /nfs/dbraw/zinc/84/54/23/635845423.db2.gz OVJRMKQXELMMLG-UHFFFAOYSA-N 0 0 287.113 2.889 20 5 CFBDRN Cc1nc(NC(=O)C2CC3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000857592793 635989817 /nfs/dbraw/zinc/98/98/17/635989817.db2.gz JSCSQQDBAMPCQZ-UHFFFAOYSA-N 0 0 297.261 2.672 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCC[C@H]1CC1(F)F ZINC000857685561 636008597 /nfs/dbraw/zinc/00/85/97/636008597.db2.gz UWEJOINWPABGFO-GQOHGMTASA-N 0 0 296.273 2.770 20 5 CFBDRN O=C(OC1CCOCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000158632307 650232586 /nfs/dbraw/zinc/23/25/86/650232586.db2.gz IRUUSLXBBRUNOA-UHFFFAOYSA-N 0 0 285.683 2.584 20 5 CFBDRN CCCCCCNC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000047833108 650246067 /nfs/dbraw/zinc/24/60/67/650246067.db2.gz KNLPVRFVUDIONO-UHFFFAOYSA-N 0 0 280.324 2.914 20 5 CFBDRN CN(CC1(C)COC1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000858706348 636328763 /nfs/dbraw/zinc/32/87/63/636328763.db2.gz UAFOTDURKYUCFS-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1c(Cc2noc(C34CC(C3)C4)n2)cccc1[N+](=O)[O-] ZINC000858720834 636331958 /nfs/dbraw/zinc/33/19/58/636331958.db2.gz LNVVZPOTCROPRH-UHFFFAOYSA-N 0 0 285.303 2.929 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(Cc3ccco3)C2)n1 ZINC000858731102 636334441 /nfs/dbraw/zinc/33/44/41/636334441.db2.gz QRAWYEBZJRYOIM-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000858735328 636335477 /nfs/dbraw/zinc/33/54/77/636335477.db2.gz GZCAIVGNVSCYIE-SECBINFHSA-N 0 0 282.321 2.720 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000858734885 636335854 /nfs/dbraw/zinc/33/58/54/636335854.db2.gz QROOJHKGWIPUDN-GFCCVEGCSA-N 0 0 276.292 2.659 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000858736184 636336958 /nfs/dbraw/zinc/33/69/58/636336958.db2.gz MAJHZENINLHQSR-LBPRGKRZSA-N 0 0 290.319 2.967 20 5 CFBDRN CC(C)c1nocc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000858773800 636342636 /nfs/dbraw/zinc/34/26/36/636342636.db2.gz QZCSGFAHURGTNO-UHFFFAOYSA-N 0 0 294.311 2.836 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1C[C@@H]2C[C@@H](c3ccccc3)[C@@H]2C1 ZINC000858803656 636347706 /nfs/dbraw/zinc/34/77/06/636347706.db2.gz AJYKCBHPYGPPMQ-MELADBBJSA-N 0 0 298.346 2.568 20 5 CFBDRN CN(OCC(F)(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000858869100 636364828 /nfs/dbraw/zinc/36/48/28/636364828.db2.gz DDKUQGRHRQKHSP-UHFFFAOYSA-N 0 0 268.166 2.664 20 5 CFBDRN C[C@H](NCc1cc(Cl)n(C)n1)c1ccc([N+](=O)[O-])cc1 ZINC000859318524 636539804 /nfs/dbraw/zinc/53/98/04/636539804.db2.gz GGHXLBLZIOCKML-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN CCO[C@H](COC(=O)c1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000859449926 636568212 /nfs/dbraw/zinc/56/82/12/636568212.db2.gz LEIISMCZHZYOGW-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN CCO[C@H](COC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000859492268 636581374 /nfs/dbraw/zinc/58/13/74/636581374.db2.gz PWUVWKRZYQGXKG-LLVKDONJSA-N 0 0 299.348 2.937 20 5 CFBDRN CCC[C@H](C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859506454 636584562 /nfs/dbraw/zinc/58/45/62/636584562.db2.gz OKWZNIRMROSCGU-VIFPVBQESA-N 0 0 297.282 2.896 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000859535747 636593362 /nfs/dbraw/zinc/59/33/62/636593362.db2.gz ZAWNKCQFPRGGEL-NKWVEPMBSA-N 0 0 271.219 2.686 20 5 CFBDRN CC[C@@H](C)CS(=O)(=O)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC000159711476 650274414 /nfs/dbraw/zinc/27/44/14/650274414.db2.gz XKMCNVQVTLGOLR-SECBINFHSA-N 0 0 287.337 2.658 20 5 CFBDRN COC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859812019 636703796 /nfs/dbraw/zinc/70/37/96/636703796.db2.gz ZNCJILVRDKUTNC-SNVBAGLBSA-N 0 0 289.287 2.940 20 5 CFBDRN CCO[C@@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C1CC1 ZINC000859866543 636731470 /nfs/dbraw/zinc/73/14/70/636731470.db2.gz YCEAXEPNZWFACA-CYBMUJFWSA-N 0 0 297.282 2.592 20 5 CFBDRN CCCCCCNc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000048892800 650281797 /nfs/dbraw/zinc/28/17/97/650281797.db2.gz NANRTOKYNWQXHA-UHFFFAOYSA-N 0 0 279.340 2.947 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2CSCCS2)c([N+](=O)[O-])c1 ZINC000194335548 636773115 /nfs/dbraw/zinc/77/31/15/636773115.db2.gz GIICIFQNTUSRKG-LLVKDONJSA-N 0 0 299.373 2.657 20 5 CFBDRN C[C@@H]1C[C@H](CO)CCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000860084946 636781722 /nfs/dbraw/zinc/78/17/22/636781722.db2.gz VABJUFUOJQECIP-GHMZBOCLSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000860136081 636797813 /nfs/dbraw/zinc/79/78/13/636797813.db2.gz WELWKKNTOXSPMC-KZWBYHQPSA-N 0 0 293.319 2.698 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])C12CC(C1)C2 ZINC000860273384 636846003 /nfs/dbraw/zinc/84/60/03/636846003.db2.gz YOOBUEJPHKYIRB-UHFFFAOYSA-N 0 0 265.240 2.577 20 5 CFBDRN CCO[C@@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000860371747 636870524 /nfs/dbraw/zinc/87/05/24/636870524.db2.gz XGCVIYDGRKQLJJ-CYBMUJFWSA-N 0 0 299.298 2.838 20 5 CFBDRN CC(C)(C)NC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000216489338 650294176 /nfs/dbraw/zinc/29/41/76/650294176.db2.gz UVXQIRFWDPXHIE-UHFFFAOYSA-N 0 0 255.249 2.654 20 5 CFBDRN CCO[C@H](C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1)C(C)C ZINC000860702960 636950483 /nfs/dbraw/zinc/95/04/83/636950483.db2.gz IKNIZQYNPWNXGX-ZDUSSCGKSA-N 0 0 297.307 2.570 20 5 CFBDRN C[C@@H](COC(=O)c1ccsc1C(F)(F)F)[N+](=O)[O-] ZINC000860777341 636968900 /nfs/dbraw/zinc/96/89/00/636968900.db2.gz NQQSGQZOVCQGAF-YFKPBYRVSA-N 0 0 283.227 2.589 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CC[C@@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000860777410 636969669 /nfs/dbraw/zinc/96/96/69/636969669.db2.gz PHNYFJQVYXBRHT-OASPWFOLSA-N 0 0 281.283 2.528 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1csc(C(F)(F)F)c1 ZINC000860786430 636972032 /nfs/dbraw/zinc/97/20/32/636972032.db2.gz CGSDGENRGRPYAQ-YFKPBYRVSA-N 0 0 283.227 2.589 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1C(F)(F)F ZINC000860788228 636972254 /nfs/dbraw/zinc/97/22/54/636972254.db2.gz RFOAWRXPXTVNTF-MRVPVSSYSA-N 0 0 291.225 2.836 20 5 CFBDRN CCO[C@H](C(=O)OCc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000860800503 636975164 /nfs/dbraw/zinc/97/51/64/636975164.db2.gz GTZGHVOXUIJMFN-AWEZNQCLSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@H]([C@H](OC(=O)C12CC(C1)C2)c1ccccc1F)[N+](=O)[O-] ZINC000860854910 636991090 /nfs/dbraw/zinc/99/10/90/636991090.db2.gz LUWQLBZDLPETEQ-QKMGCCESSA-N 0 0 293.294 2.875 20 5 CFBDRN C[C@@H](CC(=O)OCCC[N+](=O)[O-])c1cccc(F)c1 ZINC000860915333 637015045 /nfs/dbraw/zinc/01/50/45/637015045.db2.gz RKCCZSMLNXOXID-JTQLQIEISA-N 0 0 269.272 2.529 20 5 CFBDRN Cc1ccc(N(C)C(C)C)c(C(=O)OCCC[N+](=O)[O-])c1 ZINC000860914667 637015180 /nfs/dbraw/zinc/01/51/80/637015180.db2.gz BJNLJEDVQVAEDK-UHFFFAOYSA-N 0 0 294.351 2.663 20 5 CFBDRN O=C(/C=C/c1ccc(Cl)s1)OCCC[N+](=O)[O-] ZINC000860914582 637015552 /nfs/dbraw/zinc/01/55/52/637015552.db2.gz ZSEXYWWQKRTQBB-HWKANZROSA-N 0 0 275.713 2.625 20 5 CFBDRN CCc1ccc([C@@H](C)CC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860914786 637015584 /nfs/dbraw/zinc/01/55/84/637015584.db2.gz GZDKBOHTPVRVLV-LBPRGKRZSA-N 0 0 279.336 2.953 20 5 CFBDRN Cc1cc(C)c(/C=C/C(=O)OCCC[N+](=O)[O-])cc1C ZINC000860914042 637015614 /nfs/dbraw/zinc/01/56/14/637015614.db2.gz NHHZFQCDXSRSFI-AATRIKPKSA-N 0 0 277.320 2.835 20 5 CFBDRN CC[C@@H](CC1CCCC1)C(=O)OCCC[N+](=O)[O-] ZINC000860915545 637015995 /nfs/dbraw/zinc/01/59/95/637015995.db2.gz SBBBGOJIGVRLIT-LBPRGKRZSA-N 0 0 257.330 2.803 20 5 CFBDRN CC(C)c1cc(C(=O)OCCC[N+](=O)[O-])nn1C(C)(C)C ZINC000860916745 637016042 /nfs/dbraw/zinc/01/60/42/637016042.db2.gz WGXPGPCTIXRZLO-UHFFFAOYSA-N 0 0 297.355 2.585 20 5 CFBDRN CC(C)=CCOc1cccc(C(=O)OCCC[N+](=O)[O-])c1 ZINC000860915581 637016311 /nfs/dbraw/zinc/01/63/11/637016311.db2.gz UEGLDHPNGPYXBR-UHFFFAOYSA-N 0 0 293.319 2.855 20 5 CFBDRN Cc1cc(C(=O)OCCC[N+](=O)[O-])c(-n2cccc2)s1 ZINC000860922344 637018013 /nfs/dbraw/zinc/01/80/13/637018013.db2.gz NXNSCXDMLRQRTA-UHFFFAOYSA-N 0 0 294.332 2.671 20 5 CFBDRN CC(C)(C)c1ccc(CC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860921100 637018081 /nfs/dbraw/zinc/01/80/81/637018081.db2.gz NEFJDZRTCFRGHS-UHFFFAOYSA-N 0 0 279.336 2.737 20 5 CFBDRN C[C@]1(COC(=O)c2ccc([N+](=O)[O-])cc2)CCCOC1 ZINC000861037071 637050409 /nfs/dbraw/zinc/05/04/09/637050409.db2.gz MJQPCOIJGKAWBZ-AWEZNQCLSA-N 0 0 279.292 2.568 20 5 CFBDRN CC(F)(F)COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000861226987 637123471 /nfs/dbraw/zinc/12/34/71/637123471.db2.gz KNHWTZJAWAHRNG-UHFFFAOYSA-N 0 0 288.250 2.595 20 5 CFBDRN Nc1ccc(NC(=O)[C@H]2CCCC23CC3)cc1[N+](=O)[O-] ZINC000861749039 637232833 /nfs/dbraw/zinc/23/28/33/637232833.db2.gz WOZSAIGBUBYHKT-SNVBAGLBSA-N 0 0 275.308 2.696 20 5 CFBDRN CCO[C@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000861848323 637244685 /nfs/dbraw/zinc/24/46/85/637244685.db2.gz JFXPDISYHREFEZ-FZMZJTMJSA-N 0 0 294.351 2.833 20 5 CFBDRN CCC(F)(F)CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000862901139 637520663 /nfs/dbraw/zinc/52/06/63/637520663.db2.gz HMOZWIPAJXHAMP-YVMONPNESA-N 0 0 284.262 2.770 20 5 CFBDRN Nc1ccc(C(=O)NCC[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000862947443 637524434 /nfs/dbraw/zinc/52/44/34/637524434.db2.gz YVWYOGPBVAPOIY-LLVKDONJSA-N 0 0 289.335 2.653 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000075663795 650343584 /nfs/dbraw/zinc/34/35/84/650343584.db2.gz XRDPTAAAWFLWRV-GXSJLCMTSA-N 0 0 291.351 2.794 20 5 CFBDRN COC(=O)c1ccc(OCSC(C)C)c([N+](=O)[O-])c1 ZINC000863268298 637611473 /nfs/dbraw/zinc/61/14/73/637611473.db2.gz SBMDJBSVKHGPER-UHFFFAOYSA-N 0 0 285.321 2.859 20 5 CFBDRN CCO[C@@H](COc1ccc([N+](=O)[O-])c(F)c1)C1CC1 ZINC000863297828 637619310 /nfs/dbraw/zinc/61/93/10/637619310.db2.gz KCJLEMQXNDTJFK-ZDUSSCGKSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCc2cc(Cl)n(C)n2)c1C ZINC000863346023 637633851 /nfs/dbraw/zinc/63/38/51/637633851.db2.gz SODCEZKXGFGEBO-UHFFFAOYSA-N 0 0 296.714 2.573 20 5 CFBDRN CC(C)SCn1cc([N+](=O)[O-])nc1Br ZINC000863347388 637635114 /nfs/dbraw/zinc/63/51/14/637635114.db2.gz FUYUYDANOIVZGP-UHFFFAOYSA-N 0 0 280.147 2.653 20 5 CFBDRN Cc1nonc1CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000863353287 637638412 /nfs/dbraw/zinc/63/84/12/637638412.db2.gz YNWAKJFQIVMDHX-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN CC[C@H](C)c1ccc(C(=O)Cn2ncc([N+](=O)[O-])n2)cc1 ZINC000863374471 637644203 /nfs/dbraw/zinc/64/42/03/637644203.db2.gz AFRQISZFIREXOK-JTQLQIEISA-N 0 0 288.307 2.583 20 5 CFBDRN O=[N+]([O-])c1cnn(CC2CCC(C(F)(F)F)CC2)n1 ZINC000863374895 637644549 /nfs/dbraw/zinc/64/45/49/637644549.db2.gz YZKSTIGNRKXMTB-UHFFFAOYSA-N 0 0 278.234 2.555 20 5 CFBDRN COC1(C(N)=NOCc2ccccc2[N+](=O)[O-])CCCC1 ZINC000863477454 637672148 /nfs/dbraw/zinc/67/21/48/637672148.db2.gz LZSSPWWMJHPNJL-UHFFFAOYSA-N 0 0 293.323 2.552 20 5 CFBDRN COC1(C(N)=NOCc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000863479803 637675030 /nfs/dbraw/zinc/67/50/30/637675030.db2.gz ZJXIZEVIEIWKRX-UHFFFAOYSA-N 0 0 293.323 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc(N2Cc3ccc(O)cc3C2)c(F)c1 ZINC000864023891 637837244 /nfs/dbraw/zinc/83/72/44/637837244.db2.gz RQTYEGDRJKIOFU-UHFFFAOYSA-N 0 0 274.251 2.960 20 5 CFBDRN CCC(CC)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864434156 637976768 /nfs/dbraw/zinc/97/67/68/637976768.db2.gz YLSHVHFKXFXBRN-UHFFFAOYSA-N 0 0 268.288 2.581 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864774661 638088809 /nfs/dbraw/zinc/08/88/09/638088809.db2.gz XFDCBTCMOKHSJG-JTQLQIEISA-N 0 0 282.315 2.779 20 5 CFBDRN CCN(CC(C)C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864812865 638099282 /nfs/dbraw/zinc/09/92/82/638099282.db2.gz GHMCAXWBLQWJMY-UHFFFAOYSA-N 0 0 282.315 2.781 20 5 CFBDRN CCC1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000864950418 638134773 /nfs/dbraw/zinc/13/47/73/638134773.db2.gz OSTBTVPJMYDEMS-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865000845 638153977 /nfs/dbraw/zinc/15/39/77/638153977.db2.gz MDRRVOZTFUTZBB-UWVGGRQHSA-N 0 0 282.315 2.827 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865181831 638220964 /nfs/dbraw/zinc/22/09/64/638220964.db2.gz ZUXKJCZVACLWIE-JOYOIKCWSA-N 0 0 280.299 2.581 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000190107276 650409912 /nfs/dbraw/zinc/40/99/12/650409912.db2.gz FZLVRXFUZFWUNS-UHFFFAOYSA-N 0 0 279.340 2.589 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H]1CC2(CO1)CCOCC2 ZINC000865341240 638273536 /nfs/dbraw/zinc/27/35/36/638273536.db2.gz KWNLFTYXEJQPRW-GFCCVEGCSA-N 0 0 293.319 2.559 20 5 CFBDRN C[C@@H](C(=O)C(C)(C)C)n1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000865363207 638284416 /nfs/dbraw/zinc/28/44/16/638284416.db2.gz KCWARRRFPNOHPG-QMMMGPOBSA-N 0 0 265.313 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2coc(C3CC3)n2)cc1CO ZINC000865364096 638285670 /nfs/dbraw/zinc/28/56/70/638285670.db2.gz BLAMHAXRAVXEAQ-UHFFFAOYSA-N 0 0 290.275 2.532 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865399382 638309705 /nfs/dbraw/zinc/30/97/05/638309705.db2.gz NZKKIRLTDMQYHD-NXEZZACHSA-N 0 0 282.315 2.709 20 5 CFBDRN COCCN(C)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000865457512 638347462 /nfs/dbraw/zinc/34/74/62/638347462.db2.gz MWGZHDKBQMVPGZ-UHFFFAOYSA-N 0 0 260.240 2.615 20 5 CFBDRN C[C@@H](O)c1ccc(NCCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000865460493 638350213 /nfs/dbraw/zinc/35/02/13/638350213.db2.gz RDQBMYNSXNPXPQ-LLVKDONJSA-N 0 0 287.319 2.698 20 5 CFBDRN C[C@H]1CCC[C@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865511673 638387069 /nfs/dbraw/zinc/38/70/69/638387069.db2.gz JGYQDHWVHUENMN-GZMMTYOYSA-N 0 0 268.288 2.827 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NCCOC3CCC3)c2c1 ZINC000865564186 638426775 /nfs/dbraw/zinc/42/67/75/638426775.db2.gz KGNPNPMCRDMSIG-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865690090 638513380 /nfs/dbraw/zinc/51/33/80/638513380.db2.gz CEOOGXTVRAWLDA-JTQLQIEISA-N 0 0 282.315 2.781 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865709064 638528001 /nfs/dbraw/zinc/52/80/01/638528001.db2.gz MZINOLCZTKDIHE-SCZZXKLOSA-N 0 0 268.288 2.827 20 5 CFBDRN CCSCCCNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865758563 638557036 /nfs/dbraw/zinc/55/70/36/638557036.db2.gz KVUNYSBMOWFODZ-UHFFFAOYSA-N 0 0 288.344 2.781 20 5 CFBDRN CCOC1CN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000865838254 638603343 /nfs/dbraw/zinc/60/33/43/638603343.db2.gz SFOKHFOUNLDMOV-UHFFFAOYSA-N 0 0 272.251 2.758 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865882103 638640624 /nfs/dbraw/zinc/64/06/24/638640624.db2.gz MEPONEAQQAOQQA-KXUCPTDWSA-N 0 0 282.315 2.707 20 5 CFBDRN COCC1CN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)C1 ZINC000865913664 638654243 /nfs/dbraw/zinc/65/42/43/638654243.db2.gz RDTVEKZULVUPCH-UHFFFAOYSA-N 0 0 291.134 2.984 20 5 CFBDRN C[C@]1(CNc2cc(F)c([N+](=O)[O-])cc2CO)CC1(F)F ZINC000865943046 638669471 /nfs/dbraw/zinc/66/94/71/638669471.db2.gz DRNISHKPJIIUGQ-LLVKDONJSA-N 0 0 290.241 2.683 20 5 CFBDRN CSC1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000865989949 638690115 /nfs/dbraw/zinc/69/01/15/638690115.db2.gz ZHTZEBCPGGJAFV-UHFFFAOYSA-N 0 0 297.336 2.835 20 5 CFBDRN Cc1nc(N[C@@H]2CCCC[C@@H]2F)ccc1[N+](=O)[O-] ZINC000866046324 638710815 /nfs/dbraw/zinc/71/08/15/638710815.db2.gz WUMBJGPKWLLQQT-VHSXEESVSA-N 0 0 253.277 2.991 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2ccccc2[N+](=O)[O-])C1 ZINC000866068990 638721397 /nfs/dbraw/zinc/72/13/97/638721397.db2.gz HFLXISJPQXCWRQ-QWRGUYRKSA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@]1(O)CCCN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000866066533 638721508 /nfs/dbraw/zinc/72/15/08/638721508.db2.gz OIQDMTBBSWOUJR-HNNXBMFYSA-N 0 0 294.351 2.653 20 5 CFBDRN COc1cc(N2C[C@H](OC)CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000866072045 638723498 /nfs/dbraw/zinc/72/34/98/638723498.db2.gz RZECHTVPSMQXME-ZYHUDNBSSA-N 0 0 280.324 2.607 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@]12C[C@H]1CCCC2 ZINC000866112895 638743236 /nfs/dbraw/zinc/74/32/36/638743236.db2.gz FXHTXFSMQXTXDQ-CZUORRHYSA-N 0 0 288.347 2.834 20 5 CFBDRN C[C@@]1(C(F)(F)F)CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000866159523 638760854 /nfs/dbraw/zinc/76/08/54/638760854.db2.gz LASNSNBXFQMSAA-VIFPVBQESA-N 0 0 296.270 2.814 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866202442 638768990 /nfs/dbraw/zinc/76/89/90/638768990.db2.gz USSIIUGUHJZPEX-ZJUUUORDSA-N 0 0 282.340 2.923 20 5 CFBDRN CCOc1cccc(N2C[C@H](O)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000866228988 638775245 /nfs/dbraw/zinc/77/52/45/638775245.db2.gz HLFTUTYARHELKA-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@H]1CCC[C@@H](C2CN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)C1 ZINC000866254588 638781798 /nfs/dbraw/zinc/78/17/98/638781798.db2.gz SOLBCJFXUPAPLC-WDEREUQCSA-N 0 0 291.351 2.958 20 5 CFBDRN C[C@@H]1CCC[C@@H](C2CN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)C1 ZINC000866254585 638781821 /nfs/dbraw/zinc/78/18/21/638781821.db2.gz SOLBCJFXUPAPLC-GHMZBOCLSA-N 0 0 291.351 2.958 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000866298772 638791134 /nfs/dbraw/zinc/79/11/34/638791134.db2.gz CIJKMLIKBJVHSN-CABZTGNLSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000866300524 638791779 /nfs/dbraw/zinc/79/17/79/638791779.db2.gz BFFFZJTWXYMZNX-KOLCDFICSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000866301395 638792047 /nfs/dbraw/zinc/79/20/47/638792047.db2.gz KPQSVSTVWCQDJG-MWLCHTKSSA-N 0 0 280.324 2.759 20 5 CFBDRN Cc1nc(NCC[C@@H](O)C2CCCC2)ccc1[N+](=O)[O-] ZINC000866304594 638793420 /nfs/dbraw/zinc/79/34/20/638793420.db2.gz YXTTUDRSLUVPPG-CYBMUJFWSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1c(N[C@H](C)Cc2ccc(F)cc2[N+](=O)[O-])cnn1C ZINC000866326545 638797479 /nfs/dbraw/zinc/79/74/79/638797479.db2.gz XFIGMZSTPVUDQH-SECBINFHSA-N 0 0 292.314 2.819 20 5 CFBDRN C[C@H](Cc1ccc(F)cc1[N+](=O)[O-])NCC(C)(F)F ZINC000866364567 638805046 /nfs/dbraw/zinc/80/50/46/638805046.db2.gz RMQIPBOKDDFEKA-MRVPVSSYSA-N 0 0 276.258 2.910 20 5 CFBDRN C[C@H](OC(=O)C(C)(C)C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000866455079 638825423 /nfs/dbraw/zinc/82/54/23/638825423.db2.gz VKVHBAJDVZBKCH-VIFPVBQESA-N 0 0 294.307 2.511 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866507535 638832172 /nfs/dbraw/zinc/83/21/72/638832172.db2.gz DNUJOSAELMUZDZ-ZWNOBZJWSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000867733930 638895362 /nfs/dbraw/zinc/89/53/62/638895362.db2.gz QEFWSJBMJABAIK-ICGCDAGXSA-N 0 0 288.347 2.812 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H]1C(F)F ZINC000868604483 638960680 /nfs/dbraw/zinc/96/06/80/638960680.db2.gz TUFMQHSLYDWVLN-JLVNDZCYSA-N 0 0 296.273 2.864 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC[C@@H]1C(F)F ZINC000868605930 638960919 /nfs/dbraw/zinc/96/09/19/638960919.db2.gz LREAOHYVKTZHPW-MRVPVSSYSA-N 0 0 290.653 2.728 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])[C@H]1C ZINC000868896774 638990096 /nfs/dbraw/zinc/99/00/96/638990096.db2.gz IXJYBBCUBZOGKO-MXWKQRLJSA-N 0 0 294.326 2.779 20 5 CFBDRN Cc1ccc(CNC(=O)N2C[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000869052752 639014741 /nfs/dbraw/zinc/01/47/41/639014741.db2.gz DIQJIMDKJPKLIH-PWSUYJOCSA-N 0 0 291.351 2.843 20 5 CFBDRN Cc1ccc(CNC(=O)N2C[C@H](C)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000869053669 639014929 /nfs/dbraw/zinc/01/49/29/639014929.db2.gz QPWNCYNOGMKCLB-RYUDHWBXSA-N 0 0 291.351 2.701 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000869115675 639032236 /nfs/dbraw/zinc/03/22/36/639032236.db2.gz BUSUNPDCBJMBQI-ZMLRMANQSA-N 0 0 292.310 2.581 20 5 CFBDRN CC[C@@H](C)CCNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000869238040 639095107 /nfs/dbraw/zinc/09/51/07/639095107.db2.gz FGBSQNSGWHQKLJ-SNVBAGLBSA-N 0 0 282.315 2.829 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@H]2CCO[C@@H]2C)c1[N+](=O)[O-] ZINC000869430823 639226504 /nfs/dbraw/zinc/22/65/04/639226504.db2.gz LOCQDJICGPFJJJ-NXEZZACHSA-N 0 0 297.282 2.624 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000869433786 639228557 /nfs/dbraw/zinc/22/85/57/639228557.db2.gz NKIRIAXGLZWQSM-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN CC[C@H](C)NC(=O)CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000122590801 639230464 /nfs/dbraw/zinc/23/04/64/639230464.db2.gz QWRZIUZDGJZWSB-VIFPVBQESA-N 0 0 299.758 2.965 20 5 CFBDRN CCCCC(=O)COC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000869483753 639254480 /nfs/dbraw/zinc/25/44/80/639254480.db2.gz BKLMXISQAWWZHU-UHFFFAOYSA-N 0 0 297.282 2.958 20 5 CFBDRN CC(C)(F)CNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000869622121 639328460 /nfs/dbraw/zinc/32/84/60/639328460.db2.gz CLQMCACUIBOWFT-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)cccc1[N+](=O)[O-] ZINC000869655646 639342973 /nfs/dbraw/zinc/34/29/73/639342973.db2.gz ZIZDMAGQYQTRLO-IRUJWGPZSA-N 0 0 293.319 2.760 20 5 CFBDRN COC[C@H](C)OC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869811343 639417592 /nfs/dbraw/zinc/41/75/92/639417592.db2.gz NOWMZOUTMDXBKR-NSHDSACASA-N 0 0 293.319 2.595 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000869890155 639454765 /nfs/dbraw/zinc/45/47/65/639454765.db2.gz HDSWENWQMJDKTI-PXIYARARSA-N 0 0 281.333 2.992 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1c(F)cccc1[N+](=O)[O-] ZINC000869896371 639457138 /nfs/dbraw/zinc/45/71/38/639457138.db2.gz AJDKTWAGXLNXEK-JTQLQIEISA-N 0 0 297.282 2.850 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)c2c(F)cccc2[N+](=O)[O-])O1 ZINC000869896381 639457326 /nfs/dbraw/zinc/45/73/26/639457326.db2.gz ARWZPOZOBMQSPP-SECBINFHSA-N 0 0 297.282 2.848 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000869896808 639458395 /nfs/dbraw/zinc/45/83/95/639458395.db2.gz HVBVWPXRFWRVNI-ZJUUUORDSA-N 0 0 297.282 2.706 20 5 CFBDRN CCn1ncc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])c1C ZINC000870156023 639528915 /nfs/dbraw/zinc/52/89/15/639528915.db2.gz GUFNRQKCEMYYCU-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)ccc1[N+](=O)[O-] ZINC000870276676 639575651 /nfs/dbraw/zinc/57/56/51/639575651.db2.gz GCWMKPBLPYIATK-NQBHXWOUSA-N 0 0 293.319 2.760 20 5 CFBDRN CO[C@@H](C)CC(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700500 639777805 /nfs/dbraw/zinc/77/78/05/639777805.db2.gz WYAFVYMHAJEVLO-JTQLQIEISA-N 0 0 281.308 2.680 20 5 CFBDRN COC1CC(C(=O)OCc2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000870701995 639778918 /nfs/dbraw/zinc/77/89/18/639778918.db2.gz YPZQHVKVOLTUQK-UHFFFAOYSA-N 0 0 293.319 2.680 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000870703030 639779556 /nfs/dbraw/zinc/77/95/56/639779556.db2.gz NAZHMKNCLZGGNW-PWSUYJOCSA-N 0 0 292.335 2.543 20 5 CFBDRN CCC/C(C)=C/C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870830359 641091862 /nfs/dbraw/zinc/09/18/62/641091862.db2.gz ZUFZOYLERRMSCG-BJMVGYQFSA-N 0 0 293.323 2.784 20 5 CFBDRN CC(C)=CC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000193207076 650632999 /nfs/dbraw/zinc/63/29/99/650632999.db2.gz VXEIYQOTTBFFJW-UHFFFAOYSA-N 0 0 256.208 2.778 20 5 CFBDRN Cc1cc(C(=O)NCC[C@H]2CCCS2)cc([N+](=O)[O-])c1 ZINC000871028585 641162128 /nfs/dbraw/zinc/16/21/28/641162128.db2.gz HQNKVHGDEHDMJI-CYBMUJFWSA-N 0 0 294.376 2.919 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000871097928 641204387 /nfs/dbraw/zinc/20/43/87/641204387.db2.gz MGJVDWBKXKTFKT-KYZUINATSA-N 0 0 298.339 2.666 20 5 CFBDRN CC(C)(NC(=O)c1csc([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000871166733 641229090 /nfs/dbraw/zinc/22/90/90/641229090.db2.gz WTPVDDVUSCBYDV-JTQLQIEISA-N 0 0 298.364 2.734 20 5 CFBDRN CCc1ccc(C(=O)OCCCC(C)=O)cc1[N+](=O)[O-] ZINC000080118483 650644393 /nfs/dbraw/zinc/64/43/93/650644393.db2.gz LVYAYHUGPAHRPP-UHFFFAOYSA-N 0 0 279.292 2.683 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC(c2ccccc2)CC1 ZINC000193373697 650647621 /nfs/dbraw/zinc/64/76/21/650647621.db2.gz WOTSJVFSVZMFGP-UHFFFAOYSA-N 0 0 286.335 2.628 20 5 CFBDRN Cc1ccc2nc(CCC(C)(C)[N+](=O)[O-])[nH]c(=O)c2c1C ZINC000871602787 641366349 /nfs/dbraw/zinc/36/63/49/641366349.db2.gz VVENNGXHRWFUHL-UHFFFAOYSA-N 0 0 289.335 2.940 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000871631015 641374777 /nfs/dbraw/zinc/37/47/77/641374777.db2.gz CKIKEJABVBYCMR-WCFLWFBJSA-N 0 0 293.319 2.940 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2C[C@H]3CCC[C@@H]3O2)c([N+](=O)[O-])c1 ZINC000871636897 641377212 /nfs/dbraw/zinc/37/72/12/641377212.db2.gz UYGGTEIZLPBUAM-DYEKYZERSA-N 0 0 291.303 2.744 20 5 CFBDRN CC(C)(C)SCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000871647109 641380734 /nfs/dbraw/zinc/38/07/34/641380734.db2.gz YVNHVKKKEFMDPD-UHFFFAOYSA-N 0 0 282.321 2.992 20 5 CFBDRN CO[C@H]1C[C@@H](COc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000871650911 641382678 /nfs/dbraw/zinc/38/26/78/641382678.db2.gz KUYGNBZXROIMMI-WAAGHKOSSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1cnc(OC[C@@H]2C[C@H]3CCC[C@H]3O2)c([N+](=O)[O-])c1 ZINC000871656334 641385230 /nfs/dbraw/zinc/38/52/30/641385230.db2.gz DUERJVWHRYRJMB-NTZNESFSSA-N 0 0 278.308 2.635 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000871670610 641393348 /nfs/dbraw/zinc/39/33/48/641393348.db2.gz AVKUKIJKHAMOJD-AUTRQRHGSA-N 0 0 298.726 2.980 20 5 CFBDRN CO[C@H]1C[C@@H](COc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC000871674168 641396667 /nfs/dbraw/zinc/39/66/67/641396667.db2.gz WQAKCZLKIGIXST-OCAPTIKFSA-N 0 0 273.235 2.677 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H]2CC3(CCC3)CO2)c1 ZINC000871677534 641398975 /nfs/dbraw/zinc/39/89/75/641398975.db2.gz OBSRKTMFYDJIEX-GFCCVEGCSA-N 0 0 293.319 2.941 20 5 CFBDRN O=C(COc1cc(Cl)c([N+](=O)[O-])cc1F)C1CC1 ZINC000871693509 641408714 /nfs/dbraw/zinc/40/87/14/641408714.db2.gz BQCOFMOVYAAMDC-UHFFFAOYSA-N 0 0 273.647 2.745 20 5 CFBDRN CCOCCOc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871694947 641409475 /nfs/dbraw/zinc/40/94/75/641409475.db2.gz TVPVYWDGERPQTO-UHFFFAOYSA-N 0 0 263.652 2.803 20 5 CFBDRN CC[C@H](C)COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000123754165 641411872 /nfs/dbraw/zinc/41/18/72/641411872.db2.gz IERMDYTUFDFNHM-JTQLQIEISA-N 0 0 267.281 2.563 20 5 CFBDRN CC[C@]1(C)CC(=O)N(Cc2ccc(C)c([N+](=O)[O-])c2)C1=O ZINC000871738985 641432507 /nfs/dbraw/zinc/43/25/07/641432507.db2.gz KTPPCSIPYVTXPS-OAHLLOKOSA-N 0 0 290.319 2.578 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H](C)C(C)(C)C ZINC000871742086 641432662 /nfs/dbraw/zinc/43/26/62/641432662.db2.gz CGCMLQMONPOKSK-SECBINFHSA-N 0 0 252.314 2.747 20 5 CFBDRN Cc1cn(Cc2ccc(C)c(Cl)n2)nc1[N+](=O)[O-] ZINC000871749305 641438347 /nfs/dbraw/zinc/43/83/47/641438347.db2.gz GHASMQGGNDANCI-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC000871803537 641466152 /nfs/dbraw/zinc/46/61/52/641466152.db2.gz HYTWYAAGGRJDOK-WAAGHKOSSA-N 0 0 254.261 2.571 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1nccn1CC(F)F ZINC000871803275 641466498 /nfs/dbraw/zinc/46/64/98/641466498.db2.gz TYFOYKBMOOKIHA-UHFFFAOYSA-N 0 0 296.277 2.977 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[NH2+]C[C@@H](C(=O)[O-])C(C)C ZINC000871872487 641492950 /nfs/dbraw/zinc/49/29/50/641492950.db2.gz MSKIQKZDJQNRNE-GFCCVEGCSA-N 0 0 294.351 2.658 20 5 CFBDRN Cc1c(C[NH2+][C@H](C)[C@@H](C(=O)[O-])C(C)C)cccc1[N+](=O)[O-] ZINC000871874742 641492999 /nfs/dbraw/zinc/49/29/99/641492999.db2.gz UEJXGDBBGZTMJI-RISCZKNCSA-N 0 0 294.351 2.738 20 5 CFBDRN Cc1c(C[NH2+][C@@H](CC(=O)[O-])C(C)(C)C)cccc1[N+](=O)[O-] ZINC000871878674 641495865 /nfs/dbraw/zinc/49/58/65/641495865.db2.gz RIUAAYLVUXPQEL-ZDUSSCGKSA-N 0 0 294.351 2.882 20 5 CFBDRN COc1ccc(OC(=O)/C=C(\C)C2CC2)c([N+](=O)[O-])c1 ZINC000193587702 650671484 /nfs/dbraw/zinc/67/14/84/650671484.db2.gz NGKWFKOYPAINAX-VQHVLOKHSA-N 0 0 277.276 2.865 20 5 CFBDRN Cc1nnc(CN[C@H](C)c2c(F)cccc2[N+](=O)[O-])s1 ZINC000872059052 641592203 /nfs/dbraw/zinc/59/22/03/641592203.db2.gz AJURGRWDDGSHOQ-SSDOTTSWSA-N 0 0 296.327 2.745 20 5 CFBDRN COc1nn(C)cc1N[C@@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872065429 641597823 /nfs/dbraw/zinc/59/78/23/641597823.db2.gz AXBQISVGYBZQON-QMMMGPOBSA-N 0 0 294.286 2.649 20 5 CFBDRN CCn1ccc(CN[C@H](C)c2c(F)cccc2[N+](=O)[O-])n1 ZINC000872079744 641612067 /nfs/dbraw/zinc/61/20/67/641612067.db2.gz PEIIQPPUKXZLMF-SNVBAGLBSA-N 0 0 292.314 2.801 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CSCCS2)cccc1[N+](=O)[O-] ZINC000193678358 650684611 /nfs/dbraw/zinc/68/46/11/650684611.db2.gz GFVRGQSOTXKYTF-NSHDSACASA-N 0 0 298.389 2.690 20 5 CFBDRN CC(C)(O)[C@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000872676171 641893670 /nfs/dbraw/zinc/89/36/70/641893670.db2.gz PRNSVIQMNQFYBE-VIFPVBQESA-N 0 0 270.354 2.644 20 5 CFBDRN CC1(C)CO[C@H](CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000872687105 641897222 /nfs/dbraw/zinc/89/72/22/641897222.db2.gz UWFXKWUWEZZPOJ-JTQLQIEISA-N 0 0 290.323 2.698 20 5 CFBDRN C[C@]1(C(F)(F)F)CCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000872729533 641915472 /nfs/dbraw/zinc/91/54/72/641915472.db2.gz WIXNLDRJOHPZMT-JTQLQIEISA-N 0 0 275.230 2.769 20 5 CFBDRN COC(=O)CC[C@@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000872746649 641918686 /nfs/dbraw/zinc/91/86/86/641918686.db2.gz IWMHIZDGYCFJRN-JTQLQIEISA-N 0 0 298.364 2.826 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCO[C@@H](C(F)(F)F)CC1 ZINC000872752668 641921485 /nfs/dbraw/zinc/92/14/85/641921485.db2.gz ZFCBTJJFDSLQSJ-LLVKDONJSA-N 0 0 290.241 2.752 20 5 CFBDRN Cc1nccnc1[C@H](C)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000872768229 641925705 /nfs/dbraw/zinc/92/57/05/641925705.db2.gz HBVYQGAPXXUYST-QMMMGPOBSA-N 0 0 293.714 2.915 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@H]2CC23CCC3)c1[N+](=O)[O-] ZINC000872775101 641929660 /nfs/dbraw/zinc/92/96/60/641929660.db2.gz OQSIDIHGDVBHKI-JTQLQIEISA-N 0 0 291.307 2.521 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000872776022 641930738 /nfs/dbraw/zinc/93/07/38/641930738.db2.gz BWBFNDKLJHAOKO-XGTXGMFGSA-N 0 0 292.335 2.973 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000872777311 641931439 /nfs/dbraw/zinc/93/14/39/641931439.db2.gz YZAVDOQPPLGELS-AWAWDMARSA-N 0 0 277.324 2.547 20 5 CFBDRN CCn1ncc(Nc2nccc(C)c2[N+](=O)[O-])c1C ZINC000872778240 641932322 /nfs/dbraw/zinc/93/23/22/641932322.db2.gz DQHDPOCBDHQDIE-UHFFFAOYSA-N 0 0 261.285 2.567 20 5 CFBDRN CC1(Nc2nc3ccccn3c2[N+](=O)[O-])CC(F)(F)C1 ZINC000872779200 641933501 /nfs/dbraw/zinc/93/35/01/641933501.db2.gz PYLXQBNKASBMGV-UHFFFAOYSA-N 0 0 282.250 2.842 20 5 CFBDRN C[C@@H](NC(=O)NC[C@@H]1C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000872825498 641947963 /nfs/dbraw/zinc/94/79/63/641947963.db2.gz PBASVLDPAZCLHK-MXWKQRLJSA-N 0 0 277.324 2.611 20 5 CFBDRN Cc1c(CNc2ccn(CC(F)F)n2)cccc1[N+](=O)[O-] ZINC000873077974 642006727 /nfs/dbraw/zinc/00/67/27/642006727.db2.gz HMEVEYAEURDYNH-UHFFFAOYSA-N 0 0 296.277 2.977 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CC12CCC2 ZINC000873256230 642101605 /nfs/dbraw/zinc/10/16/05/642101605.db2.gz LDUURESMLHEZJR-CYBMUJFWSA-N 0 0 289.335 2.679 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2CCC[C@H]2O1)c1cccc([N+](=O)[O-])c1 ZINC000873317129 642133530 /nfs/dbraw/zinc/13/35/30/642133530.db2.gz FVPZKZLOLQOYCK-DDTOSNHZSA-N 0 0 291.303 2.709 20 5 CFBDRN C[C@H](NC(=O)c1c2ccccc2cc2ccccc21)C(N)=O ZINC000081824742 650728648 /nfs/dbraw/zinc/72/86/48/650728648.db2.gz AVOYTOLKEROOEK-NSHDSACASA-N 0 0 292.338 2.597 20 5 CFBDRN CCOCCCOC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873438596 642204885 /nfs/dbraw/zinc/20/48/85/642204885.db2.gz RPSRFFJNNXNXPU-UHFFFAOYSA-N 0 0 281.308 2.795 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC[C@@H]1CCOC1 ZINC000873438320 642204958 /nfs/dbraw/zinc/20/49/58/642204958.db2.gz IXJCXDGLSPQLLZ-LBPRGKRZSA-N 0 0 293.319 2.795 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCCOC1CCC1 ZINC000873438645 642206061 /nfs/dbraw/zinc/20/60/61/642206061.db2.gz TZJGPHUONUUAAU-UHFFFAOYSA-N 0 0 293.319 2.938 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@H]1CCO[C@@H]1C ZINC000873520134 642232189 /nfs/dbraw/zinc/23/21/89/642232189.db2.gz KUGODJMAJGAAQS-PWSUYJOCSA-N 0 0 279.292 2.546 20 5 CFBDRN CC[C@H](SC)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000124636877 642288365 /nfs/dbraw/zinc/28/83/65/642288365.db2.gz LETOCSBCEPFFIX-NSHDSACASA-N 0 0 285.321 2.650 20 5 CFBDRN CCN(CC)C(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873729556 642290422 /nfs/dbraw/zinc/29/04/22/642290422.db2.gz RCIAJHMGYGSHEA-UHFFFAOYSA-N 0 0 250.298 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1C[C@@H]1C ZINC000874037261 642323481 /nfs/dbraw/zinc/32/34/81/642323481.db2.gz SRESLGNWWGFQPA-ONGXEEELSA-N 0 0 262.309 2.597 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1CCCCO1 ZINC000874190189 642350518 /nfs/dbraw/zinc/35/05/18/642350518.db2.gz FATHONFCRAZYNM-LBPRGKRZSA-N 0 0 292.335 2.511 20 5 CFBDRN CCO[C@H]1C[C@@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000195263024 650745047 /nfs/dbraw/zinc/74/50/47/650745047.db2.gz RINXOHCUEYNSCR-WPRPVWTQSA-N 0 0 285.683 2.579 20 5 CFBDRN C[C@H](C1CC1)N(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000874385297 642376587 /nfs/dbraw/zinc/37/65/87/642376587.db2.gz FYENMPOWAIZXQZ-MRVPVSSYSA-N 0 0 265.269 2.738 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])O1 ZINC000874625809 642429120 /nfs/dbraw/zinc/42/91/20/642429120.db2.gz OTOOYNKDWNNFBN-SUNKGSAMSA-N 0 0 292.335 2.730 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000874788824 642489730 /nfs/dbraw/zinc/48/97/30/642489730.db2.gz BBZOOACRLKXXMK-ZDUSSCGKSA-N 0 0 285.303 2.749 20 5 CFBDRN CCn1ncc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)c1C ZINC000874819663 642506188 /nfs/dbraw/zinc/50/61/88/642506188.db2.gz IHQZNWXYUFZHTI-UHFFFAOYSA-N 0 0 294.336 2.742 20 5 CFBDRN C[C@@]1(Br)C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000875054202 642581230 /nfs/dbraw/zinc/58/12/30/642581230.db2.gz JZENTEGXULFZDX-GXSJLCMTSA-N 0 0 299.124 2.707 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C ZINC000875500977 642631088 /nfs/dbraw/zinc/63/10/88/642631088.db2.gz JIZQEFHODIDTLR-NOHGZBONSA-N 0 0 293.319 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(CS(=O)(=O)c2ccc(F)cc2)cc1 ZINC000084428985 650774235 /nfs/dbraw/zinc/77/42/35/650774235.db2.gz YEILCJVWAIEVDW-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN CCC[C@@H](CC)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000875656338 642659744 /nfs/dbraw/zinc/65/97/44/642659744.db2.gz MUCZMBGOLCRLBI-SNVBAGLBSA-N 0 0 265.313 2.779 20 5 CFBDRN Cc1ccc(CNC(=O)N2CC(C(C)C)C2)cc1[N+](=O)[O-] ZINC000876168883 642784440 /nfs/dbraw/zinc/78/44/40/642784440.db2.gz FEJAJIBISWGKOL-UHFFFAOYSA-N 0 0 291.351 2.701 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000876169318 642784797 /nfs/dbraw/zinc/78/47/97/642784797.db2.gz TUXHCJGQUVOMRC-GXTWGEPZSA-N 0 0 289.335 2.597 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN(C)Cc1cnccn1 ZINC000876643371 642956484 /nfs/dbraw/zinc/95/64/84/642956484.db2.gz DDPCITYCGIRDHE-UHFFFAOYSA-N 0 0 286.335 2.634 20 5 CFBDRN CO[C@@H](C)c1cccc(NCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000876857403 643038665 /nfs/dbraw/zinc/03/86/65/643038665.db2.gz QQMVBBDDOZJPHB-JTQLQIEISA-N 0 0 290.323 2.648 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000088704937 650817366 /nfs/dbraw/zinc/81/73/66/650817366.db2.gz RERHGKMSZZOWGG-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN COc1cc(C)cc(NCc2cn(C)nc2[N+](=O)[O-])c1C ZINC000877483374 643299199 /nfs/dbraw/zinc/29/91/99/643299199.db2.gz NAQDGPLQNKZKQF-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN Cc1c(CN2CCC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000877616824 643402544 /nfs/dbraw/zinc/40/25/44/643402544.db2.gz ODFAEDJUBQQKRD-GFCCVEGCSA-N 0 0 252.289 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1CCO[C@@H](CCF)C1 ZINC000877657652 643437614 /nfs/dbraw/zinc/43/76/14/643437614.db2.gz MFLVIOQZUJWBSK-ZDUSSCGKSA-N 0 0 296.342 2.772 20 5 CFBDRN Cc1c([C@H]2NC(=O)c3ccccc3N2C)cccc1[N+](=O)[O-] ZINC000877842668 643605636 /nfs/dbraw/zinc/60/56/36/643605636.db2.gz KHFWSVLEZVCFOE-HNNXBMFYSA-N 0 0 297.314 2.782 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCCOC1CC1 ZINC000877874112 643615110 /nfs/dbraw/zinc/61/51/10/643615110.db2.gz FQOQXFVXRXTAHY-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN CCOCCOCCOc1cc([N+](=O)[O-])ccc1Cl ZINC000877878669 643618258 /nfs/dbraw/zinc/61/82/58/643618258.db2.gz VYZBDBAWEHMDLZ-UHFFFAOYSA-N 0 0 289.715 2.680 20 5 CFBDRN CO[C@H]1C[C@@H](CN2CCc3cc(C)c([N+](=O)[O-])cc32)C1 ZINC000878344746 643853448 /nfs/dbraw/zinc/85/34/48/643853448.db2.gz ZTEQCTPDZKFIEN-BJHJDKERSA-N 0 0 276.336 2.691 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(C)C)c1 ZINC000127164679 643928559 /nfs/dbraw/zinc/92/85/59/643928559.db2.gz ZDSSIQXNRGUYMN-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN CON(Cc1cn(C)nc1[N+](=O)[O-])C[C@@H]1CCC[C@@H](C)C1 ZINC000878631857 643966495 /nfs/dbraw/zinc/96/64/95/643966495.db2.gz AKRMVYUGFRSKJN-VXGBXAGGSA-N 0 0 296.371 2.518 20 5 CFBDRN CC(C)[C@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000127302174 644008486 /nfs/dbraw/zinc/00/84/86/644008486.db2.gz NIVNEIIKWUPSQI-JTQLQIEISA-N 0 0 267.281 2.561 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC=C(Cl)C1 ZINC000878790785 644026858 /nfs/dbraw/zinc/02/68/58/644026858.db2.gz VKNLGXLTUCSOQV-UHFFFAOYSA-N 0 0 252.701 2.923 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CC23CCC3)ccc1[N+](=O)[O-] ZINC000879124453 644151169 /nfs/dbraw/zinc/15/11/69/644151169.db2.gz UWIPAAWWRRQNCH-GFCCVEGCSA-N 0 0 275.308 2.967 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000127596831 644179933 /nfs/dbraw/zinc/17/99/33/644179933.db2.gz HMJBJHDRDYITNO-QMTHXVAHSA-N 0 0 291.303 2.643 20 5 CFBDRN CCCCCN(CCO)c1ccc([N+](=O)[O-])cc1 ZINC000091485336 650901498 /nfs/dbraw/zinc/90/14/98/650901498.db2.gz UFQNNGOMRBWGGT-UHFFFAOYSA-N 0 0 252.314 2.584 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000879322039 644228066 /nfs/dbraw/zinc/22/80/66/644228066.db2.gz TZFZCYNGMJXWSN-GHMZBOCLSA-N 0 0 282.315 2.733 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000879322040 644228109 /nfs/dbraw/zinc/22/81/09/644228109.db2.gz TZFZCYNGMJXWSN-MNOVXSKESA-N 0 0 282.315 2.733 20 5 CFBDRN O=C(Nc1ncccc1O)c1cc([N+](=O)[O-])ccc1Cl ZINC000247298995 650902585 /nfs/dbraw/zinc/90/25/85/650902585.db2.gz DPJQJOWVNCPZRH-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN O=C(OC[C@H]1CCCCO1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000127971155 644407119 /nfs/dbraw/zinc/40/71/19/644407119.db2.gz JUQVTAAGZPYSPX-GFCCVEGCSA-N 0 0 299.710 2.974 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCSC(C)(C)C1)[N+](=O)[O-] ZINC000888796868 644503862 /nfs/dbraw/zinc/50/38/62/644503862.db2.gz SEVKILCSZODZNW-JTQLQIEISA-N 0 0 288.413 2.612 20 5 CFBDRN Cc1nc(COc2cc([N+](=O)[O-])ccc2Cl)no1 ZINC000093307971 650938014 /nfs/dbraw/zinc/93/80/14/650938014.db2.gz AQWKKFHRUXMFAS-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCc2ncncc2C1 ZINC000880597134 644783048 /nfs/dbraw/zinc/78/30/48/644783048.db2.gz ONZOSZPZJHJZDI-LBPRGKRZSA-N 0 0 298.346 2.813 20 5 CFBDRN C/C=C/CNC(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000888830029 644810399 /nfs/dbraw/zinc/81/03/99/644810399.db2.gz PAKYPPFBWWDXSU-HWKANZROSA-N 0 0 279.296 2.691 20 5 CFBDRN CCOc1cc(NC(=O)N2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000888832685 644816655 /nfs/dbraw/zinc/81/66/55/644816655.db2.gz MXQIZXMNPKCQKV-SNVBAGLBSA-N 0 0 293.323 2.867 20 5 CFBDRN CC[C@H](O)c1cn([C@@H](C)c2cc([N+](=O)[O-])ccc2C)nn1 ZINC000881365184 644962567 /nfs/dbraw/zinc/96/25/67/644962567.db2.gz OCPYVAAPHQKTAR-HZMBPMFUSA-N 0 0 290.323 2.547 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H]2C)cc1Cl ZINC000881481170 644984516 /nfs/dbraw/zinc/98/45/16/644984516.db2.gz DAJJDZRPVMYRIK-MRVPVSSYSA-N 0 0 268.700 2.791 20 5 CFBDRN CCC[C@@H](C)CCNC(=O)c1ccnc(NC)c1[N+](=O)[O-] ZINC000881531024 645024398 /nfs/dbraw/zinc/02/43/98/645024398.db2.gz DDUSVMYBRDBLJN-SNVBAGLBSA-N 0 0 294.355 2.588 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H](CF)C1 ZINC000881595924 645067605 /nfs/dbraw/zinc/06/76/05/645067605.db2.gz QTXAXTVKURRKDM-LLVKDONJSA-N 0 0 280.299 2.725 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC(F)CC1 ZINC000881609323 645079151 /nfs/dbraw/zinc/07/91/51/645079151.db2.gz ODBRWLZESKUAPT-UHFFFAOYSA-N 0 0 286.690 2.822 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC[C@H](CF)C1 ZINC000881664874 645094263 /nfs/dbraw/zinc/09/42/63/645094263.db2.gz SQCIDNLFJPBVKJ-SNVBAGLBSA-N 0 0 298.339 2.505 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CC[C@@H](CF)C1 ZINC000881677532 645103387 /nfs/dbraw/zinc/10/33/87/645103387.db2.gz PGDFGSRPCRHPHL-LEWSCRJBSA-N 0 0 292.310 2.516 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@H]1c1cccnc1 ZINC000881777829 645126172 /nfs/dbraw/zinc/12/61/72/645126172.db2.gz OIMLCLKHTOCYPE-NSHDSACASA-N 0 0 288.282 2.865 20 5 CFBDRN Cc1csc(CCNc2ccnc(F)c2[N+](=O)[O-])n1 ZINC000881792702 645128815 /nfs/dbraw/zinc/12/88/15/645128815.db2.gz ILFAWNMUQUOXPM-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000129256475 645134133 /nfs/dbraw/zinc/13/41/33/645134133.db2.gz YPVYKTGSROXKOO-WDEREUQCSA-N 0 0 270.716 2.820 20 5 CFBDRN CC(=O)c1c(NC[C@@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000881832966 645136946 /nfs/dbraw/zinc/13/69/46/645136946.db2.gz QFYATTQSDPIGLP-NSHDSACASA-N 0 0 278.308 2.636 20 5 CFBDRN CCOC1CCN(c2cccc([N+](=O)[O-])c2C(C)=O)CC1 ZINC000881835980 645137697 /nfs/dbraw/zinc/13/76/97/645137697.db2.gz MTZBJZXVBDKXDN-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(C)CCOCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000881856525 645140799 /nfs/dbraw/zinc/14/07/99/645140799.db2.gz OXPJJECFRIURSG-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN CC(=O)c1c(NC[C@@H](O)CC(C)C)cccc1[N+](=O)[O-] ZINC000881866726 645144581 /nfs/dbraw/zinc/14/45/81/645144581.db2.gz VJFFHOQANMBQKD-NSHDSACASA-N 0 0 280.324 2.616 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCCc1nccs1 ZINC000881980796 645167275 /nfs/dbraw/zinc/16/72/75/645167275.db2.gz REDMAZCWFFRJEO-UHFFFAOYSA-N 0 0 282.300 2.630 20 5 CFBDRN CCCC(O)(CCC)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000881999250 645172039 /nfs/dbraw/zinc/17/20/39/645172039.db2.gz GRUGWEQMICCMDY-UHFFFAOYSA-N 0 0 285.319 2.872 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC1(CCO)CCCCC1 ZINC000882072099 645192126 /nfs/dbraw/zinc/19/21/26/645192126.db2.gz PNTPWYKSOLYUHQ-UHFFFAOYSA-N 0 0 297.330 2.874 20 5 CFBDRN CC(C)[C@@H](O)CCn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000882116172 645207659 /nfs/dbraw/zinc/20/76/59/645207659.db2.gz OEMRXUHLPLNBTI-HNNXBMFYSA-N 0 0 289.335 2.865 20 5 CFBDRN O=c1ccn(CC[C@@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000882130030 645214635 /nfs/dbraw/zinc/21/46/35/645214635.db2.gz LJVJZGXMHMWHKM-VIFPVBQESA-N 0 0 272.251 2.582 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2CC(C)(C)OC2(C)C)cc1[N+](=O)[O-] ZINC000882140238 645217415 /nfs/dbraw/zinc/21/74/15/645217415.db2.gz ILBDEGHERIFKJG-LLVKDONJSA-N 0 0 294.351 2.659 20 5 CFBDRN Cc1cc(=O)n(CC[C@@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000882140223 645217445 /nfs/dbraw/zinc/21/74/45/645217445.db2.gz HYTVBBBZKLIMIU-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1cccc(OCC[C@H](O)C(C)C)c1[N+](=O)[O-] ZINC000882148984 645219425 /nfs/dbraw/zinc/21/94/25/645219425.db2.gz OPPSKZIXINTMNA-NSHDSACASA-N 0 0 253.298 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(SCO[C@@H]2CCCOC2)c(F)c1 ZINC000882157446 645223621 /nfs/dbraw/zinc/22/36/21/645223621.db2.gz XGKMCNWTWNNCLH-SNVBAGLBSA-N 0 0 287.312 2.979 20 5 CFBDRN CC(C)C(=O)N(C)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000882170876 645229226 /nfs/dbraw/zinc/22/92/26/645229226.db2.gz YXKSYPNEZWMALF-UHFFFAOYSA-N 0 0 286.715 2.699 20 5 CFBDRN C[C@@H]1CCCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882200322 645242848 /nfs/dbraw/zinc/24/28/48/645242848.db2.gz BMABCRDHHGLWFA-SECBINFHSA-N 0 0 253.277 2.755 20 5 CFBDRN CC(C)[C@H](O)CCn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000882206478 645243884 /nfs/dbraw/zinc/24/38/84/645243884.db2.gz OSCCAIWIZRAZJJ-OAHLLOKOSA-N 0 0 289.335 2.865 20 5 CFBDRN CC(=O)c1c(N[C@@H]2CCC[C@H]2CCO)cccc1[N+](=O)[O-] ZINC000882214289 645244572 /nfs/dbraw/zinc/24/45/72/645244572.db2.gz SZFQKPXOFLQXJC-NWDGAFQWSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(=O)c1c(N[C@H]2CCC[C@H]2CCO)cccc1[N+](=O)[O-] ZINC000882214290 645244628 /nfs/dbraw/zinc/24/46/28/645244628.db2.gz SZFQKPXOFLQXJC-RYUDHWBXSA-N 0 0 292.335 2.760 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H](O)c2ccccc2)c1 ZINC000096470400 650992522 /nfs/dbraw/zinc/99/25/22/650992522.db2.gz NJSATYDSXALLQQ-HNNXBMFYSA-N 0 0 288.303 2.749 20 5 CFBDRN CCC(F)(F)Cn1cc([N+](=O)[O-])nc1Br ZINC000882233580 645249655 /nfs/dbraw/zinc/24/96/55/645249655.db2.gz PLGUCUUYQHQDIH-UHFFFAOYSA-N 0 0 284.060 2.599 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC([C@H]2CCCO2)CC1 ZINC000882235883 645250326 /nfs/dbraw/zinc/25/03/26/645250326.db2.gz ASVUXPTZDYXMLC-GFCCVEGCSA-N 0 0 295.314 2.524 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H](CO)CC1CCCC1 ZINC000882307147 645274502 /nfs/dbraw/zinc/27/45/02/645274502.db2.gz JPVJZBSBMVUNRZ-LLVKDONJSA-N 0 0 297.330 2.730 20 5 CFBDRN CO[C@@H](C)COc1cccc(Br)c1[N+](=O)[O-] ZINC000882365490 645291249 /nfs/dbraw/zinc/29/12/49/645291249.db2.gz XDGLASSVEVZFGL-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129614030 645294265 /nfs/dbraw/zinc/29/42/65/645294265.db2.gz VPIKTGCWUIFDRB-VIFPVBQESA-N 0 0 268.700 2.777 20 5 CFBDRN CC(=O)c1c(NCC[C@@H](C)F)cccc1[N+](=O)[O-] ZINC000882401287 645299693 /nfs/dbraw/zinc/29/96/93/645299693.db2.gz TYTRVSXTYZRALJ-MRVPVSSYSA-N 0 0 254.261 2.957 20 5 CFBDRN Cc1ncsc1CCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882415423 645304694 /nfs/dbraw/zinc/30/46/94/645304694.db2.gz NQUQWRGINKTPCF-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN CC(C)[C@H]1C[C@H](CNc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882418823 645306032 /nfs/dbraw/zinc/30/60/32/645306032.db2.gz OKKGDCADCNMFCT-ZYHUDNBSSA-N 0 0 297.330 2.992 20 5 CFBDRN CO[C@H]1CCN(c2cccc([N+](=O)[O-])c2C(C)=O)C[C@H]1C ZINC000882420012 645306108 /nfs/dbraw/zinc/30/61/08/645306108.db2.gz WQUBRWWSCZRDNY-YGRLFVJLSA-N 0 0 292.335 2.659 20 5 CFBDRN CC(=O)c1c(N[C@@H]2CC23CCOCC3)cccc1[N+](=O)[O-] ZINC000882423199 645307060 /nfs/dbraw/zinc/30/70/60/645307060.db2.gz LCHVUKZXRVMOHP-CYBMUJFWSA-N 0 0 290.319 2.778 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000882444626 645318008 /nfs/dbraw/zinc/31/80/08/645318008.db2.gz RTXMWZSGPKGCAR-VGMNWLOBSA-N 0 0 251.261 2.729 20 5 CFBDRN CC[C@H](C)[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000252411321 651003386 /nfs/dbraw/zinc/00/33/86/651003386.db2.gz MSWMPBAGDACIFM-MISXGVKJSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1cc(NCCc2ccc(=O)[nH]c2)c([N+](=O)[O-])s1 ZINC000882537650 645359527 /nfs/dbraw/zinc/35/95/27/645359527.db2.gz OGCCUGUABMPTRY-UHFFFAOYSA-N 0 0 279.321 2.720 20 5 CFBDRN CC(=O)c1c(NC[C@@H](CO)CC2CC2)cccc1[N+](=O)[O-] ZINC000882622170 645391894 /nfs/dbraw/zinc/39/18/94/645391894.db2.gz JXFMCIFSJOZGTD-LBPRGKRZSA-N 0 0 292.335 2.618 20 5 CFBDRN CC(=O)c1c(N[C@H]2C[C@@H](O)C23CCC3)cccc1[N+](=O)[O-] ZINC000882622320 645392291 /nfs/dbraw/zinc/39/22/91/645392291.db2.gz MTMGFDKILSQBHM-QWHCGFSZSA-N 0 0 290.319 2.513 20 5 CFBDRN CCC[C@@H](CCO)Nc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882677647 645407645 /nfs/dbraw/zinc/40/76/45/645407645.db2.gz ACEBOTWILPMXEX-NSHDSACASA-N 0 0 280.324 2.760 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H](CF)C1 ZINC000882802335 645463287 /nfs/dbraw/zinc/46/32/87/645463287.db2.gz ZFBLGXULMUPBDG-MRVPVSSYSA-N 0 0 273.695 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC[C@H](CF)C3)nc2c1 ZINC000882802963 645463877 /nfs/dbraw/zinc/46/38/77/645463877.db2.gz YBOHNYONIKFWBX-SECBINFHSA-N 0 0 278.287 2.657 20 5 CFBDRN Cc1nc(N2CC[C@@H](c3nccs3)C2)ccc1[N+](=O)[O-] ZINC000882857553 645479537 /nfs/dbraw/zinc/47/95/37/645479537.db2.gz LYYIJNPVIZPQPZ-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN CC(C)c1c[nH]c(CNCc2ccccc2[N+](=O)[O-])n1 ZINC000882898008 645500161 /nfs/dbraw/zinc/50/01/61/645500161.db2.gz YFPMPEMBYIUFRX-UHFFFAOYSA-N 0 0 274.324 2.731 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000882906408 645504720 /nfs/dbraw/zinc/50/47/20/645504720.db2.gz DLMBXRKLZSEVPL-KLPPZKSPSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@@H]1c2sccc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000882911967 645507846 /nfs/dbraw/zinc/50/78/46/645507846.db2.gz HJJVLZGPRRODDS-MRVPVSSYSA-N 0 0 276.321 2.570 20 5 CFBDRN Cc1nn(C)c(NCc2cc(O)ccc2Cl)c1[N+](=O)[O-] ZINC000882917671 645509545 /nfs/dbraw/zinc/50/95/45/645509545.db2.gz RURUHPOLZTUTOF-UHFFFAOYSA-N 0 0 296.714 2.608 20 5 CFBDRN Nc1c(F)c(NC[C@@H]2CCC3(CCC3)O2)ccc1[N+](=O)[O-] ZINC000882973816 645536895 /nfs/dbraw/zinc/53/68/95/645536895.db2.gz MDORBNLVPUVBRP-VIFPVBQESA-N 0 0 295.314 2.830 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@]23CCCO3)s1 ZINC000882982196 645540105 /nfs/dbraw/zinc/54/01/05/645540105.db2.gz FTYBGMZVOFAWGI-KCJUWKMLSA-N 0 0 269.326 2.565 20 5 CFBDRN Cc1cc(NC2CCC3(COC3)CC2)ncc1[N+](=O)[O-] ZINC000882995401 645552360 /nfs/dbraw/zinc/55/23/60/645552360.db2.gz WNLIFLRMKGWQAA-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN CC(C)(C)[C@H]1CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000131572008 645754715 /nfs/dbraw/zinc/75/47/15/645754715.db2.gz XZDNIPSOYMZIJO-NSHDSACASA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C[C@H]1C ZINC000131598090 645756154 /nfs/dbraw/zinc/75/61/54/645756154.db2.gz LMBAMLDPIHPQPX-NXEZZACHSA-N 0 0 280.299 2.852 20 5 CFBDRN COC[C@@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000131774233 645813108 /nfs/dbraw/zinc/81/31/08/645813108.db2.gz HNTUVIZFAZCKSK-CEOUIICOSA-N 0 0 291.303 2.576 20 5 CFBDRN Cc1ccc(OC(=O)c2cccnc2)cc1[N+](=O)[O-] ZINC000131837218 645833522 /nfs/dbraw/zinc/83/35/22/645833522.db2.gz ILUCNPHXDOXUQG-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN COc1ccccc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132401909 645915164 /nfs/dbraw/zinc/91/51/64/645915164.db2.gz WSOUWKGANDOFMD-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN C[C@@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000132587218 645937292 /nfs/dbraw/zinc/93/72/92/645937292.db2.gz IHUFJTYSARERFR-SSDOTTSWSA-N 0 0 253.229 2.685 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000132850415 645974845 /nfs/dbraw/zinc/97/48/45/645974845.db2.gz PVCDHWVRRDSGTP-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN C=C/C=C/CCNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000883825259 645988613 /nfs/dbraw/zinc/98/86/13/645988613.db2.gz JECHZOQPMDCOBV-SNAWJCMRSA-N 0 0 289.335 2.869 20 5 CFBDRN CC[S@](=O)CCNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000133180411 646048404 /nfs/dbraw/zinc/04/84/04/646048404.db2.gz OYSIOSTXDWXQIL-LJQANCHMSA-N 0 0 292.307 2.713 20 5 CFBDRN CC(=O)CCC(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000800093213 646136986 /nfs/dbraw/zinc/13/69/86/646136986.db2.gz LJCQBZZJRYXUMJ-NSHDSACASA-N 0 0 279.292 2.877 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CCOC[C@@H]1C ZINC000800146869 646156115 /nfs/dbraw/zinc/15/61/15/646156115.db2.gz JCHUBZFFAYQKDE-WCBMZHEXSA-N 0 0 296.298 2.653 20 5 CFBDRN CS/C=C\C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800147712 646156266 /nfs/dbraw/zinc/15/62/66/646156266.db2.gz XSKVLJJWUNMRSZ-WAYWQWQTSA-N 0 0 270.285 2.858 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H](C)CO1 ZINC000889041458 646166079 /nfs/dbraw/zinc/16/60/79/646166079.db2.gz FEPKGHHSEOXZOG-JVXZTZIISA-N 0 0 298.726 2.920 20 5 CFBDRN Cn1ccnc1COc1cc([N+](=O)[O-])ccc1Cl ZINC000134202226 646304025 /nfs/dbraw/zinc/30/40/25/646304025.db2.gz WBMWCUSQIRQASK-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000134284722 646322241 /nfs/dbraw/zinc/32/22/41/646322241.db2.gz YSZWBSILDCQDHF-QMMMGPOBSA-N 0 0 286.328 2.605 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3C[C@H]32)c2ccccc21 ZINC000884497091 646401125 /nfs/dbraw/zinc/40/11/25/646401125.db2.gz OPKNOBXPHKWIMZ-JOYOIKCWSA-N 0 0 255.277 2.742 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])c2ccccc21)C1CC1 ZINC000884516696 646413841 /nfs/dbraw/zinc/41/38/41/646413841.db2.gz RAUBXCCGGMDVES-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])c2ccccc21)C1CC1 ZINC000884516697 646414211 /nfs/dbraw/zinc/41/42/11/646414211.db2.gz RAUBXCCGGMDVES-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])c3ccccc32)[C@H]1C ZINC000884517623 646415307 /nfs/dbraw/zinc/41/53/07/646415307.db2.gz SNIWCEDNVRGZLG-UWVGGRQHSA-N 0 0 257.293 2.988 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1ncc([N+](=O)[O-])c2ccccc21 ZINC000884517624 646415380 /nfs/dbraw/zinc/41/53/80/646415380.db2.gz SNIWCEDNVRGZLG-VHSXEESVSA-N 0 0 257.293 2.988 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CCC2(CCC2)CO1)[N+](=O)[O-] ZINC000884534885 646428213 /nfs/dbraw/zinc/42/82/13/646428213.db2.gz QGUWTNSQGHBUBF-GFCCVEGCSA-N 0 0 299.367 2.714 20 5 CFBDRN C[C@@H]1CC=C(C(=O)OCc2cccnc2[N+](=O)[O-])CC1 ZINC000884544751 646436103 /nfs/dbraw/zinc/43/61/03/646436103.db2.gz ORMQMZMITSZVFZ-SNVBAGLBSA-N 0 0 276.292 2.779 20 5 CFBDRN CC[C@H](CC(F)F)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884546283 646439685 /nfs/dbraw/zinc/43/96/85/646439685.db2.gz GRUWKFLAZVUZJQ-MRVPVSSYSA-N 0 0 288.250 2.714 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1ncc([N+](=O)[O-])c3ccccc31)C2 ZINC000884557356 646447410 /nfs/dbraw/zinc/44/74/10/646447410.db2.gz NAHRHVAKMSZIOP-BZNIZROVSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3C[C@@H]3C2)c2ccccc21 ZINC000884559569 646449060 /nfs/dbraw/zinc/44/90/60/646449060.db2.gz YHYPLOSIFQLZGG-GHMZBOCLSA-N 0 0 269.304 2.989 20 5 CFBDRN O=C(Nc1ccc(F)nc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000135064135 646452984 /nfs/dbraw/zinc/45/29/84/646452984.db2.gz PMVWGIWNJURXQV-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3C[C@@H]3C2)c(Br)c1 ZINC000884587618 646472082 /nfs/dbraw/zinc/47/20/82/646472082.db2.gz MSOOCLDFVHOUEB-OCAPTIKFSA-N 0 0 283.125 2.813 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2C[C@@H]3C[C@@H]3C2)c1 ZINC000884590958 646474880 /nfs/dbraw/zinc/47/48/80/646474880.db2.gz IVDJRYUZKWNPCT-PHIMTYICSA-N 0 0 255.277 2.599 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NOC1CCCC1 ZINC000135140301 646479042 /nfs/dbraw/zinc/47/90/42/646479042.db2.gz WGYKABVINZALSU-UHFFFAOYSA-N 0 0 264.281 2.507 20 5 CFBDRN Cc1cc(N2C[C@@H]3CSC[C@@H]3C2)c([N+](=O)[O-])s1 ZINC000884644159 646504212 /nfs/dbraw/zinc/50/42/12/646504212.db2.gz GASHSKCFJFHNCA-DTORHVGOSA-N 0 0 270.379 2.764 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@@H]1CC(C)(C)CO1 ZINC000884675940 646523207 /nfs/dbraw/zinc/52/32/07/646523207.db2.gz VXIPOMCTSJJWFO-LLVKDONJSA-N 0 0 296.371 2.737 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000884730250 646543182 /nfs/dbraw/zinc/54/31/82/646543182.db2.gz FDCBALVKNSXWBI-ONGXEEELSA-N 0 0 268.338 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]([C@H]3CCCO3)C2)c(F)c1 ZINC000884730590 646543354 /nfs/dbraw/zinc/54/33/54/646543354.db2.gz LCLVQNDKZVTDPF-IINYFYTJSA-N 0 0 280.299 2.739 20 5 CFBDRN COC[C@H](Nc1cccc(F)c1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000884796624 646552684 /nfs/dbraw/zinc/55/26/84/646552684.db2.gz SVJIIVGJJPMFRU-GWCFXTLKSA-N 0 0 298.314 2.587 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1(C2(O)CCC2)CCC1 ZINC000884811791 646553877 /nfs/dbraw/zinc/55/38/77/646553877.db2.gz YGHGLUFYPIPGGZ-UHFFFAOYSA-N 0 0 291.351 2.795 20 5 CFBDRN CCCN(C(=O)OCCOC)c1cccc([N+](=O)[O-])c1 ZINC000885166234 646627311 /nfs/dbraw/zinc/62/73/11/646627311.db2.gz AZGBJXMNSLYZIP-UHFFFAOYSA-N 0 0 282.296 2.594 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])c1c[nH]cc1C1CC1 ZINC000885172477 646629221 /nfs/dbraw/zinc/62/92/21/646629221.db2.gz WPMMRPXEOOUTBU-UHFFFAOYSA-N 0 0 287.275 2.552 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC[C@@H]2CCOC2)c1C ZINC000885423978 646685007 /nfs/dbraw/zinc/68/50/07/646685007.db2.gz IFFBKHXFXAFIKP-LBPRGKRZSA-N 0 0 293.319 2.795 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2COC(C)(C)C2)c1C ZINC000885423953 646685041 /nfs/dbraw/zinc/68/50/41/646685041.db2.gz GUXKRCRRRXTLNL-NSHDSACASA-N 0 0 293.319 2.936 20 5 CFBDRN C[C@H]1Cc2cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc2O1 ZINC000885597556 646715790 /nfs/dbraw/zinc/71/57/90/646715790.db2.gz RHQQCVGNTJWDAD-JTQLQIEISA-N 0 0 292.335 2.784 20 5 CFBDRN CC1(C)CO[C@H](CC(=O)OCc2csc([N+](=O)[O-])c2)C1 ZINC000885628900 646722589 /nfs/dbraw/zinc/72/25/89/646722589.db2.gz OBZGTRLFTDWAIX-SNVBAGLBSA-N 0 0 299.348 2.905 20 5 CFBDRN O=C(COC(=O)C1(C2CC2)CC1)c1cccc([N+](=O)[O-])c1 ZINC000885734823 646742541 /nfs/dbraw/zinc/74/25/41/646742541.db2.gz ORBJFADDLAZTAL-UHFFFAOYSA-N 0 0 289.287 2.511 20 5 CFBDRN COC[C@@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000885810640 646752534 /nfs/dbraw/zinc/75/25/34/646752534.db2.gz HVYQCZGBVXHPAT-CYBMUJFWSA-N 0 0 294.351 2.552 20 5 CFBDRN COC[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000885821126 646754081 /nfs/dbraw/zinc/75/40/81/646754081.db2.gz PYYZULCZDCIDLX-GFCCVEGCSA-N 0 0 294.351 2.552 20 5 CFBDRN CCc1nc(CN(C)Cc2cccnc2[N+](=O)[O-])cs1 ZINC000885965661 646787215 /nfs/dbraw/zinc/78/72/15/646787215.db2.gz RMMNXTVAKZMFMO-UHFFFAOYSA-N 0 0 292.364 2.641 20 5 CFBDRN Cc1sc(C(=O)NC[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000886006075 646809959 /nfs/dbraw/zinc/80/99/59/646809959.db2.gz GNWKEDPHEBPRMG-RNCFNFMXSA-N 0 0 280.349 2.885 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC[C@]12C[C@H]1CCC2 ZINC000886009547 646812644 /nfs/dbraw/zinc/81/26/44/646812644.db2.gz SFBDAKHERWFROT-DSGJPFBLSA-N 0 0 286.331 2.914 20 5 CFBDRN Nc1ccc(C(=O)NCC2CCC=CCC2)cc1[N+](=O)[O-] ZINC000886024458 646826080 /nfs/dbraw/zinc/82/60/80/646826080.db2.gz AFHKCTRYQDOFEH-UHFFFAOYSA-N 0 0 289.335 2.653 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCOCc2ccccc21 ZINC000886181933 646911179 /nfs/dbraw/zinc/91/11/79/646911179.db2.gz XVQKHVIKDPAIKI-UHFFFAOYSA-N 0 0 285.303 2.527 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC/C=C/c1ccccc1 ZINC000138158794 647022023 /nfs/dbraw/zinc/02/20/23/647022023.db2.gz HOTAHJDUJRMYFL-VMPITWQZSA-N 0 0 286.287 2.804 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000887043727 647196681 /nfs/dbraw/zinc/19/66/81/647196681.db2.gz HODDKKNECDIFRG-AOLWHUPESA-N 0 0 286.331 2.757 20 5 CFBDRN CC(C)(CNC(=O)CNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000887379160 647294767 /nfs/dbraw/zinc/29/47/67/647294767.db2.gz HRLYXUZVHSOTKO-UHFFFAOYSA-N 0 0 291.351 2.559 20 5 CFBDRN C/C(Cl)=C/C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887398848 647302360 /nfs/dbraw/zinc/30/23/60/647302360.db2.gz ILTCXGIPPANFDR-SBMLRHLQSA-N 0 0 282.727 2.957 20 5 CFBDRN CCOC(C)(C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887398856 647302390 /nfs/dbraw/zinc/30/23/90/647302390.db2.gz IQRCLKACUNLVKC-LLVKDONJSA-N 0 0 294.351 2.630 20 5 CFBDRN Cc1cnccc1C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887401334 647303255 /nfs/dbraw/zinc/30/32/55/647303255.db2.gz SWUAIVDSQSDKFN-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@@H](CNC(=O)[C@@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000887402444 647303726 /nfs/dbraw/zinc/30/37/26/647303726.db2.gz CCFHGSAZUCVZBG-WDEREUQCSA-N 0 0 294.376 2.568 20 5 CFBDRN C[C@H](CNC(=O)COC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000887403359 647304509 /nfs/dbraw/zinc/30/45/09/647304509.db2.gz UWAMFKIAUSALNH-LLVKDONJSA-N 0 0 294.351 2.630 20 5 CFBDRN CC(C)CC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887405426 647305082 /nfs/dbraw/zinc/30/50/82/647305082.db2.gz ZPYJKYCIMXLOHA-LLVKDONJSA-N 0 0 264.325 2.861 20 5 CFBDRN O=[N+]([O-])c1ncccc1Cn1cc(C2CCCCC2)nn1 ZINC000887463117 647325241 /nfs/dbraw/zinc/32/52/41/647325241.db2.gz QBVPPCUIQFAUFH-UHFFFAOYSA-N 0 0 287.323 2.677 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000300570137 647343615 /nfs/dbraw/zinc/34/36/15/647343615.db2.gz DVOTYDUIDRITHW-SDBXPKJASA-N 0 0 298.726 2.546 20 5 CFBDRN CCN(CC)C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000887595054 647366539 /nfs/dbraw/zinc/36/65/39/647366539.db2.gz KDJJXICWHCPCCR-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN O=C(CC(F)(F)C(F)F)OCc1ccc([N+](=O)[O-])cc1 ZINC000887659350 647383009 /nfs/dbraw/zinc/38/30/09/647383009.db2.gz UCEHTUQCDAZGNO-UHFFFAOYSA-N 0 0 295.188 2.929 20 5 CFBDRN Cc1cnn(CCOc2cc(Cl)ccc2[N+](=O)[O-])c1 ZINC000302468200 647408142 /nfs/dbraw/zinc/40/81/42/647408142.db2.gz FRJPZGTWMNDFEQ-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN Cc1cc(NC(=O)N(C)CCCF)ccc1[N+](=O)[O-] ZINC000887954187 647444296 /nfs/dbraw/zinc/44/42/96/647444296.db2.gz CLVAYJWXFNPBGR-UHFFFAOYSA-N 0 0 269.276 2.727 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000889293979 647538739 /nfs/dbraw/zinc/53/87/39/647538739.db2.gz RMMLOMQEZJCEIS-JTQLQIEISA-N 0 0 299.330 2.955 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2C[C@H](C)CO2)cc1[N+](=O)[O-] ZINC000889371265 647552227 /nfs/dbraw/zinc/55/22/27/647552227.db2.gz KLNLXEXLWBNWFT-CHIMOYNISA-N 0 0 292.335 2.505 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000889579990 647603694 /nfs/dbraw/zinc/60/36/94/647603694.db2.gz GXWVNGHOIYRBAP-KGYLQXTDSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000889590448 647607651 /nfs/dbraw/zinc/60/76/51/647607651.db2.gz JLVZVFLTNMPICJ-ZVWUFJHRSA-N 0 0 299.330 2.995 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000889590447 647607778 /nfs/dbraw/zinc/60/77/78/647607778.db2.gz JLVZVFLTNMPICJ-XDMRBOTDSA-N 0 0 299.330 2.995 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000889612356 647617370 /nfs/dbraw/zinc/61/73/70/647617370.db2.gz OSPCCOUKMIAYOP-SUZMYJTESA-N 0 0 292.310 2.581 20 5 CFBDRN Cc1nc(COC(=O)c2cccc([N+](=O)[O-])c2)cs1 ZINC000003506540 647832318 /nfs/dbraw/zinc/83/23/18/647832318.db2.gz SWENMHSIJKUZRY-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN Cc1cccc(C(=O)OCC(=O)c2ccccc2)c1[N+](=O)[O-] ZINC000004436390 647837176 /nfs/dbraw/zinc/83/71/76/647837176.db2.gz DOZVNEQXTXRICY-UHFFFAOYSA-N 0 0 299.282 2.943 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1 ZINC000007609410 647867522 /nfs/dbraw/zinc/86/75/22/647867522.db2.gz ATJZPSIOVDPVSJ-UHFFFAOYSA-N 0 0 285.303 2.913 20 5 CFBDRN C[C@H](OC(=O)c1cccn1C)c1cccc([N+](=O)[O-])c1 ZINC000007635484 647867589 /nfs/dbraw/zinc/86/75/89/647867589.db2.gz YNGURYXTQZZGGK-JTQLQIEISA-N 0 0 274.276 2.851 20 5 CFBDRN C[C@@H](OC(=O)COC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000923710581 647895472 /nfs/dbraw/zinc/89/54/72/647895472.db2.gz WEAQFVWDLJAYTG-SSDOTTSWSA-N 0 0 293.197 2.735 20 5 CFBDRN CC1(C(=O)OCc2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000924195597 647938739 /nfs/dbraw/zinc/93/87/39/647938739.db2.gz MGGILPWMBVRDAH-UHFFFAOYSA-N 0 0 261.277 2.994 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC000924375917 647968700 /nfs/dbraw/zinc/96/87/00/647968700.db2.gz HRESYTXJELQPMT-ZSBIGDGJSA-N 0 0 290.319 2.859 20 5 CFBDRN C[C@]1(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)C=CCC1 ZINC000924402664 647973414 /nfs/dbraw/zinc/97/34/14/647973414.db2.gz WUMHFJYVBMZVRJ-HNNXBMFYSA-N 0 0 289.287 2.677 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2)C=CCC1 ZINC000924408305 647975049 /nfs/dbraw/zinc/97/50/49/647975049.db2.gz COLJCTRMWBJKSF-AWEZNQCLSA-N 0 0 261.277 2.994 20 5 CFBDRN O=C(Nc1ccc(Cl)nc1)c1ccc([N+](=O)[O-])cc1 ZINC000034022408 648052639 /nfs/dbraw/zinc/05/26/39/648052639.db2.gz IGROZMGLNNRLOD-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@H](CF)C1)c1ccc([N+](=O)[O-])cc1 ZINC000925253118 648144279 /nfs/dbraw/zinc/14/42/79/648144279.db2.gz VRYCKBUPJKVLDE-GHMZBOCLSA-N 0 0 295.314 2.657 20 5 CFBDRN CC(C)[S@@](C)(=O)=NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000926057953 648394617 /nfs/dbraw/zinc/39/46/17/648394617.db2.gz KCCOFFVVCCLGHF-WVDJIFEKSA-N 0 0 298.364 2.731 20 5 CFBDRN C[C@]1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CCCCO1 ZINC000927190584 648736793 /nfs/dbraw/zinc/73/67/93/648736793.db2.gz KVWYOOGXDLCBBJ-CQSZACIVSA-N 0 0 293.323 2.676 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000928047231 648850261 /nfs/dbraw/zinc/85/02/61/648850261.db2.gz JXWOWQQMBRXWFR-ZIYJGFGOSA-N 0 0 278.283 2.508 20 5 CFBDRN C[C@@H](NC(=O)CSC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000928244897 648886833 /nfs/dbraw/zinc/88/68/33/648886833.db2.gz FSQHYHXBFRUMID-SSDOTTSWSA-N 0 0 290.291 2.728 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245884 648887349 /nfs/dbraw/zinc/88/73/49/648887349.db2.gz XTZUTNUFLHVSNP-SDDRHHMPSA-N 0 0 294.351 2.691 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246259 648887697 /nfs/dbraw/zinc/88/76/97/648887697.db2.gz GDNDTNGXHKRKLL-ZWNOBZJWSA-N 0 0 294.351 2.569 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000928246150 648887710 /nfs/dbraw/zinc/88/77/10/648887710.db2.gz BJYBYAHQOBBDNY-VXGBXAGGSA-N 0 0 299.330 2.971 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246916 648888181 /nfs/dbraw/zinc/88/81/81/648888181.db2.gz MEOXJKABPUMIIL-SNVBAGLBSA-N 0 0 292.335 2.777 20 5 CFBDRN C[C@@H](NC(=O)C(F)(F)C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000928249070 648888211 /nfs/dbraw/zinc/88/82/11/648888211.db2.gz ORJIBFUJEOGBNO-ZCFIWIBFSA-N 0 0 294.204 2.672 20 5 CFBDRN CCO[C@H](C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000928246764 648888321 /nfs/dbraw/zinc/88/83/21/648888321.db2.gz JFXPDISYHREFEZ-RISCZKNCSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000928247569 648888570 /nfs/dbraw/zinc/88/85/70/648888570.db2.gz XWWDFHSZRUIVLV-SKDRFNHKSA-N 0 0 260.293 2.572 20 5 CFBDRN O=C(N[C@@H](c1ccccn1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000928606545 648932006 /nfs/dbraw/zinc/93/20/06/648932006.db2.gz HJLSSNHHEWWQHK-OAHLLOKOSA-N 0 0 297.314 2.871 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000928936750 648968146 /nfs/dbraw/zinc/96/81/46/648968146.db2.gz GJZBBWJIDWTCLO-VOTSOKGWSA-N 0 0 268.700 2.856 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCCCC1 ZINC000929096906 648977961 /nfs/dbraw/zinc/97/79/61/648977961.db2.gz JJOOHFHBHCTVRD-UONOGXRCSA-N 0 0 274.320 2.711 20 5 CFBDRN CC(C)c1ccc(OC2CCN(CC[N+](=O)[O-])CC2)cc1 ZINC000929283833 649022396 /nfs/dbraw/zinc/02/23/96/649022396.db2.gz YCTQUUYAXQHUMF-UHFFFAOYSA-N 0 0 292.379 2.930 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)no1 ZINC000929460763 649053855 /nfs/dbraw/zinc/05/38/55/649053855.db2.gz CCOCLZBVPTZATR-VXGBXAGGSA-N 0 0 287.275 2.633 20 5 CFBDRN O=C(NCC1CCC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000930152390 649194247 /nfs/dbraw/zinc/19/42/47/649194247.db2.gz FJBQIPCXQKAFPC-UONOGXRCSA-N 0 0 274.320 2.615 20 5 CFBDRN CC1(CCC(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000930274723 649220208 /nfs/dbraw/zinc/22/02/08/649220208.db2.gz HCMABLNZHBMWLT-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN C[C@H](N[C@@H](C)c1ncccn1)c1ccc([N+](=O)[O-])cc1 ZINC000930594948 649283266 /nfs/dbraw/zinc/28/32/66/649283266.db2.gz QSHCSXQMQSQDHM-QWRGUYRKSA-N 0 0 272.308 2.797 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000930881580 649342701 /nfs/dbraw/zinc/34/27/01/649342701.db2.gz BXSJTEFXKPVTFE-OASPWFOLSA-N 0 0 275.308 2.905 20 5 CFBDRN C[C@@H]1C[C@@H]2C[C@@H]2C[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000930921601 649350211 /nfs/dbraw/zinc/35/02/11/649350211.db2.gz SXXOGYOBWMIZJT-OPQSFPLASA-N 0 0 288.347 2.893 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CC[C@H]2C(F)F)c(F)c1 ZINC000931427232 649424038 /nfs/dbraw/zinc/42/40/38/649424038.db2.gz LLJLGZRSUUAZNT-JTQLQIEISA-N 0 0 278.205 2.712 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000932271266 649572022 /nfs/dbraw/zinc/57/20/22/649572022.db2.gz NQURQNGWGDIRFN-HNNXBMFYSA-N 0 0 286.335 2.665 20 5 CFBDRN CCN(CC1CC1)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000932586216 649624234 /nfs/dbraw/zinc/62/42/34/649624234.db2.gz MMFACITXOHIPIY-HUUCEWRRSA-N 0 0 288.347 2.957 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000932652148 649634548 /nfs/dbraw/zinc/63/45/48/649634548.db2.gz WCRODGAVKCXLCZ-LSDHHAIUSA-N 0 0 297.314 2.756 20 5 CFBDRN Cc1cnc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])cc1C ZINC000933218588 649680329 /nfs/dbraw/zinc/68/03/29/649680329.db2.gz UGFQHGZQIYTHKZ-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=C(C[C@H]1CC=CCC1)NCCc1ccccc1[N+](=O)[O-] ZINC000933623934 649707744 /nfs/dbraw/zinc/70/77/44/649707744.db2.gz QOPXVWMMHIBXLF-ZDUSSCGKSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000934092304 649748124 /nfs/dbraw/zinc/74/81/24/649748124.db2.gz BHWTVMUUTPKPEL-MNOVXSKESA-N 0 0 294.326 2.923 20 5 CFBDRN CCC1(CNC(=O)CCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000934245475 649766880 /nfs/dbraw/zinc/76/68/80/649766880.db2.gz BKFVOLTYAFCJIT-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[N@@H+]1CCCSC[C@@H]1C ZINC000934296540 649769266 /nfs/dbraw/zinc/76/92/66/649769266.db2.gz JVKLFZYCSMHTNG-NSHDSACASA-N 0 0 296.392 2.931 20 5 CFBDRN C[C@H]1CSCCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000934297739 649769835 /nfs/dbraw/zinc/76/98/35/649769835.db2.gz KOFKPOVBAVQDRI-JTQLQIEISA-N 0 0 282.365 2.628 20 5 CFBDRN Cc1[nH]nc2c1CCCN2CCc1ccc([N+](=O)[O-])cc1 ZINC000934314587 649772993 /nfs/dbraw/zinc/77/29/93/649772993.db2.gz XVTVDGXOHBZPBT-UHFFFAOYSA-N 0 0 286.335 2.622 20 5 CFBDRN CC[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000934466594 649789055 /nfs/dbraw/zinc/78/90/55/649789055.db2.gz JMNBUYBXHPHKFH-BYCMXARLSA-N 0 0 288.347 2.957 20 5 CFBDRN C[C@@]1(C2CC2)COCCN1Cc1csc([N+](=O)[O-])c1 ZINC000934481507 649793377 /nfs/dbraw/zinc/79/33/77/649793377.db2.gz UOKYUMHENWLRJG-ZDUSSCGKSA-N 0 0 282.365 2.657 20 5 CFBDRN Cc1c(CN2CCOC[C@]2(C)C2CC2)cccc1[N+](=O)[O-] ZINC000934480697 649793399 /nfs/dbraw/zinc/79/33/99/649793399.db2.gz JYDFFMAAAQNYQU-MRXNPFEDSA-N 0 0 290.363 2.904 20 5 CFBDRN O=C(NC1CC=CC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000934688819 649815856 /nfs/dbraw/zinc/81/58/56/649815856.db2.gz XGYRFVCGAIISHQ-KBPBESRZSA-N 0 0 272.304 2.533 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN[C@@H](c1ccncc1)C1CC1 ZINC000934699191 649816389 /nfs/dbraw/zinc/81/63/89/649816389.db2.gz VEMTUFJDYPJTQB-CQSZACIVSA-N 0 0 284.319 2.626 20 5 CFBDRN CCCOCC(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116061863 651277944 /nfs/dbraw/zinc/27/79/44/651277944.db2.gz ZXHJRHUQPZSYLL-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000119945851 651301790 /nfs/dbraw/zinc/30/17/90/651301790.db2.gz QDWXZIGSBIRQPL-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000119945690 651301999 /nfs/dbraw/zinc/30/19/99/651301999.db2.gz QDWXZIGSBIRQPL-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(Cl)o2)c2nonc21 ZINC000698078208 778700010 /nfs/dbraw/zinc/70/00/10/778700010.db2.gz ZZSLZLFYMKAVJE-UHFFFAOYSA-N 0 0 294.654 2.990 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC[C@H](CF)C1 ZINC000711505563 781449159 /nfs/dbraw/zinc/44/91/59/781449159.db2.gz BPXVZAIGHWAGMB-MRVPVSSYSA-N 0 0 286.690 2.680 20 5 CFBDRN Cc1nc(Cl)nc(N(C)C[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC001167090507 769969688 /nfs/dbraw/zinc/96/96/88/769969688.db2.gz BQASAPDAQGNTHV-MRVPVSSYSA-N 0 0 284.747 2.829 20 5 CFBDRN COc1cc(C)nc(N(C)C[C@@H]2CC2(C)C)c1[N+](=O)[O-] ZINC001167091420 769971767 /nfs/dbraw/zinc/97/17/67/769971767.db2.gz KQSMTZWURXEKNZ-JTQLQIEISA-N 0 0 279.340 2.789 20 5 CFBDRN CN(C[C@H]1CC1(C)C)c1ccc2[nH]cc([N+](=O)[O-])c2n1 ZINC001167093174 769978891 /nfs/dbraw/zinc/97/88/91/769978891.db2.gz VHIUVQJKAAEMJF-SECBINFHSA-N 0 0 274.324 2.953 20 5 CFBDRN CN(CC1CCCCC1)c1c(Cl)[n+]([O-])ccc1[N+](=O)[O-] ZINC001167096484 769993658 /nfs/dbraw/zinc/99/36/58/769993658.db2.gz MWXLWBVSJVCUEN-UHFFFAOYSA-N 0 0 299.758 2.898 20 5 CFBDRN CCOC(=O)c1cccc(Nc2cccc([N+](=O)[O-])c2)n1 ZINC001204439556 770056794 /nfs/dbraw/zinc/05/67/94/770056794.db2.gz GWSDNHPPOZUVIZ-UHFFFAOYSA-N 0 0 287.275 2.910 20 5 CFBDRN O=[N+]([O-])c1c(NCc2cncnc2)ccc2ncccc21 ZINC001167265943 770191135 /nfs/dbraw/zinc/19/11/35/770191135.db2.gz ARKOQHYRYWIBDQ-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN COc1ncc(Nc2cnc(F)cc2C)cc1[N+](=O)[O-] ZINC001210135506 770215172 /nfs/dbraw/zinc/21/51/72/770215172.db2.gz UHSGGBMSIDIXKS-UHFFFAOYSA-N 0 0 278.243 2.585 20 5 CFBDRN COc1ncc(N(C)c2cc(C)ccc2N)cc1[N+](=O)[O-] ZINC001210139515 770216330 /nfs/dbraw/zinc/21/63/30/770216330.db2.gz XGBCANBLAWXDKV-UHFFFAOYSA-N 0 0 288.307 2.657 20 5 CFBDRN O=[N+]([O-])c1cc(Nc2cc(F)ncc2F)ccc1O ZINC001210394643 770278426 /nfs/dbraw/zinc/27/84/26/770278426.db2.gz FCNJTEYCVJATMP-UHFFFAOYSA-N 0 0 267.191 2.717 20 5 CFBDRN Cc1ccc2c(ccnc2NC2CC(=O)C2)c1[N+](=O)[O-] ZINC001167335578 770310519 /nfs/dbraw/zinc/31/05/19/770310519.db2.gz CNMXGMOAAZDYCT-UHFFFAOYSA-N 0 0 271.276 2.595 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cnc(C2CC2)o1 ZINC001125406961 770320342 /nfs/dbraw/zinc/32/03/42/770320342.db2.gz NDCPFDXDTSHHGB-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN COc1ncc(Nc2ccc(F)cc2[N+](=O)[O-])c(C)n1 ZINC001210952203 770403575 /nfs/dbraw/zinc/40/35/75/770403575.db2.gz ATTMTHNKBWBXTD-UHFFFAOYSA-N 0 0 278.243 2.585 20 5 CFBDRN NC(=O)c1c(F)cccc1Nc1ccc(F)cc1[N+](=O)[O-] ZINC001210953484 770403779 /nfs/dbraw/zinc/40/37/79/770403779.db2.gz JXEHNFDSQDHLAV-UHFFFAOYSA-N 0 0 293.229 2.716 20 5 CFBDRN CCOC(=O)c1cc(Nc2ccc(F)c([N+](=O)[O-])c2)on1 ZINC001211191207 770449679 /nfs/dbraw/zinc/44/96/79/770449679.db2.gz QNSMLLDOGMENGF-UHFFFAOYSA-N 0 0 295.226 2.642 20 5 CFBDRN CC[C@H](Nc1ncccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723859753 770691154 /nfs/dbraw/zinc/69/11/54/770691154.db2.gz YOPCYEZCDKVSMP-MNOVXSKESA-N 0 0 265.313 2.607 20 5 CFBDRN CC(=Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccncc1C ZINC000588438361 770695662 /nfs/dbraw/zinc/69/56/62/770695662.db2.gz LNTJXXLHRPPAFC-LUAWRHEFSA-N 0 0 297.314 2.762 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCC1(C)COC1 ZINC000588445091 770705892 /nfs/dbraw/zinc/70/58/92/770705892.db2.gz CRDANEQBIGLMQK-XFFZJAGNSA-N 0 0 291.303 2.578 20 5 CFBDRN CCOc1cc(NCc2nc(C)c[nH]2)ccc1[N+](=O)[O-] ZINC000693513289 770764988 /nfs/dbraw/zinc/76/49/88/770764988.db2.gz VSGKRTLGXGMLMN-UHFFFAOYSA-N 0 0 276.296 2.637 20 5 CFBDRN COc1ncc(Nc2cc(F)cc([N+](=O)[O-])c2)c(C)n1 ZINC001216482183 770944508 /nfs/dbraw/zinc/94/45/08/770944508.db2.gz ABDMFCHYRSACNR-UHFFFAOYSA-N 0 0 278.243 2.585 20 5 CFBDRN CCOc1cc(Nc2cc(F)cc([N+](=O)[O-])c2)no1 ZINC001216482354 770944604 /nfs/dbraw/zinc/94/46/04/770944604.db2.gz IILABCHGKDJRED-UHFFFAOYSA-N 0 0 267.216 2.864 20 5 CFBDRN COc1cccc(F)c1CNc1ccc([N+](=O)[O-])cn1 ZINC001167605892 770974450 /nfs/dbraw/zinc/97/44/50/770974450.db2.gz TWVSMTAFZGOVGR-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CCOC(=O)[C@@H](C)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001204596810 773194541 /nfs/dbraw/zinc/19/45/41/773194541.db2.gz DCHYESWRLVNACM-VHSXEESVSA-N 0 0 267.281 2.624 20 5 CFBDRN CC12CC(C1)CN2C(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001167638717 773205596 /nfs/dbraw/zinc/20/55/96/773205596.db2.gz GISFLVXJNOTKJN-UHFFFAOYSA-N 0 0 294.738 2.802 20 5 CFBDRN C[C@H](NCc1ccns1)c1ccc([N+](=O)[O-])cc1 ZINC000404382861 773229987 /nfs/dbraw/zinc/22/99/87/773229987.db2.gz LROZNDHAADCXBB-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@H]2CCCc3cccnc32)cn1 ZINC001224108118 775102395 /nfs/dbraw/zinc/10/23/95/775102395.db2.gz CNQDPJWQNCUARP-ZDUSSCGKSA-N 0 0 285.303 2.979 20 5 CFBDRN C[C@@H](OCc1ccc([N+](=O)[O-])nc1)c1nccs1 ZINC001224112436 775103330 /nfs/dbraw/zinc/10/33/30/775103330.db2.gz DEIIKIQQWNDLLN-MRVPVSSYSA-N 0 0 265.294 2.724 20 5 CFBDRN CC1(C)C(=O)CCC[C@@H]1OCc1ccc([N+](=O)[O-])nc1 ZINC001224112819 775103579 /nfs/dbraw/zinc/10/35/79/775103579.db2.gz DFQFQDRXOIYMCE-LBPRGKRZSA-N 0 0 278.308 2.654 20 5 CFBDRN Cc1ccc([C@@H](C)OCc2ccc([N+](=O)[O-])nc2)cn1 ZINC001224112860 775103824 /nfs/dbraw/zinc/10/38/24/775103824.db2.gz FCGJZAIXLOEODT-LLVKDONJSA-N 0 0 273.292 2.971 20 5 CFBDRN Cc1cnc(CO[C@@H]2CCO[C@@H](C)C2)c(C)c1[N+](=O)[O-] ZINC001224185956 775122823 /nfs/dbraw/zinc/12/28/23/775122823.db2.gz VBFZJGWYOLUIKJ-CMPLNLGQSA-N 0 0 280.324 2.691 20 5 CFBDRN O=C1CC(OCc2ccc([N+](=O)[O-])c3ccccc23)C1 ZINC001224204346 775128485 /nfs/dbraw/zinc/12/84/85/775128485.db2.gz QMNWIKHPDMETCZ-UHFFFAOYSA-N 0 0 271.272 2.996 20 5 CFBDRN Nc1nc(N2CCC3(CCCC3)CC2)ccc1[N+](=O)[O-] ZINC000167826529 775149458 /nfs/dbraw/zinc/14/94/58/775149458.db2.gz TYAISMIDBOQTGC-UHFFFAOYSA-N 0 0 276.340 2.733 20 5 CFBDRN C[C@@H](OCCc1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC001224331326 775159493 /nfs/dbraw/zinc/15/94/93/775159493.db2.gz PDSJNAQOHOQQGG-LLVKDONJSA-N 0 0 295.335 2.884 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC23CC(O)C3)c(C(F)F)c1 ZINC000724930970 775185192 /nfs/dbraw/zinc/18/51/92/775185192.db2.gz JDVYKNZAOOEMEE-UHLWVNKISA-N 0 0 284.262 2.858 20 5 CFBDRN O=C1CCCC[C@@H]1OCCOc1ccc([N+](=O)[O-])cc1 ZINC001224779505 775271008 /nfs/dbraw/zinc/27/10/08/775271008.db2.gz TVOKJFRHXIDMBD-AWEZNQCLSA-N 0 0 279.292 2.502 20 5 CFBDRN C[C@@H]1C[C@@H](OCCOc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001224778828 775271029 /nfs/dbraw/zinc/27/10/29/775271029.db2.gz MUPKMFHSWIKIQO-RISCZKNCSA-N 0 0 281.308 2.558 20 5 CFBDRN CC(=O)N1CC[C@H](CO[C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC001225011283 775333903 /nfs/dbraw/zinc/33/39/03/775333903.db2.gz JCGTXCOQKAKYAZ-YPMHNXCESA-N 0 0 292.335 2.541 20 5 CFBDRN CC(=O)N1CC[C@H](CO[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC001225014862 775335173 /nfs/dbraw/zinc/33/51/73/775335173.db2.gz SWPASWZKOUYWMT-AAEUAGOBSA-N 0 0 292.335 2.541 20 5 CFBDRN COc1cc(C)ccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225262690 775383240 /nfs/dbraw/zinc/38/32/40/775383240.db2.gz NLJZDYUHJBAVMJ-OAHLLOKOSA-N 0 0 288.303 2.795 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)c(CO)n1 ZINC001225286001 775392691 /nfs/dbraw/zinc/39/26/91/775392691.db2.gz KFSVGAFSILFPNG-NSHDSACASA-N 0 0 288.303 2.931 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccncc1)C2 ZINC001225289061 775394243 /nfs/dbraw/zinc/39/42/43/775394243.db2.gz FXFVOKNUWGXLNH-CQSZACIVSA-N 0 0 256.261 2.536 20 5 CFBDRN COc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(Cl)c1 ZINC001225343721 775419815 /nfs/dbraw/zinc/41/98/15/775419815.db2.gz ZKBBRNXFKIOVNA-GFCCVEGCSA-N 0 0 271.700 2.783 20 5 CFBDRN C[C@@H](Oc1ccc(F)c([N+](=O)[O-])c1)C(=O)OC(C)(C)C ZINC001225383514 775436899 /nfs/dbraw/zinc/43/68/99/775436899.db2.gz BNTFHTPLIGDGBZ-MRVPVSSYSA-N 0 0 285.271 2.843 20 5 CFBDRN COc1cc(O[C@H](C)c2cnn(C)c2C)ccc1[N+](=O)[O-] ZINC001225436878 775456164 /nfs/dbraw/zinc/45/61/64/775456164.db2.gz ZYBYIUCATRPZEU-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN COc1cc(O[C@H]2CCCC[C@@H]2OC)ccc1[N+](=O)[O-] ZINC001225441559 775458940 /nfs/dbraw/zinc/45/89/40/775458940.db2.gz RRHPDIXYHQPEQN-STQMWFEESA-N 0 0 281.308 2.940 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@H](C)C1CC1 ZINC001225448608 775461575 /nfs/dbraw/zinc/46/15/75/775461575.db2.gz QIQFKBXUVKYQSI-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN CC(=O)[C@H](C)Oc1ccc(Br)c([N+](=O)[O-])c1 ZINC001225504659 775485021 /nfs/dbraw/zinc/48/50/21/775485021.db2.gz YJSLTZUSBHNEQC-ZETCQYMHSA-N 0 0 288.097 2.714 20 5 CFBDRN CO[C@H](C)COc1ccc(Br)c([N+](=O)[O-])c1 ZINC001225503457 775485212 /nfs/dbraw/zinc/48/52/12/775485212.db2.gz AQSSNLVEOVHSNB-SSDOTTSWSA-N 0 0 290.113 2.771 20 5 CFBDRN CC(C)c1ncccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225521922 775490021 /nfs/dbraw/zinc/49/00/21/775490021.db2.gz MFIRKZWHRPCKCC-AWEZNQCLSA-N 0 0 287.319 2.997 20 5 CFBDRN O=[N+]([O-])c1cccnc1O[C@H]1CCOc2ccccc21 ZINC001225593530 775516885 /nfs/dbraw/zinc/51/68/85/775516885.db2.gz SZEARXIVZUAZOU-ZDUSSCGKSA-N 0 0 272.260 2.892 20 5 CFBDRN O=[N+]([O-])c1cccnc1O[C@H](c1cccnc1)C1CC1 ZINC001225597525 775517987 /nfs/dbraw/zinc/51/79/87/775517987.db2.gz SXUOXVMKZNANFQ-ZDUSSCGKSA-N 0 0 271.276 2.915 20 5 CFBDRN Cc1cnc(F)c([C@@H](C)Oc2ncccc2[N+](=O)[O-])c1 ZINC001225597713 775518311 /nfs/dbraw/zinc/51/83/11/775518311.db2.gz ULOIMCYZMJQEDX-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN C[C@H](Oc1cc(=O)cc[nH]1)c1ccccc1[N+](=O)[O-] ZINC001225608804 775522636 /nfs/dbraw/zinc/52/26/36/775522636.db2.gz VPGHUQMDMOQAIQ-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H](C)C(F)(F)F ZINC001225612140 775524083 /nfs/dbraw/zinc/52/40/83/775524083.db2.gz BXAFLCSPHOPJCU-LURJTMIESA-N 0 0 265.187 2.933 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@@H](Oc2cccc([O-])c2[N+](=O)[O-])C1 ZINC001225620378 775526480 /nfs/dbraw/zinc/52/64/80/775526480.db2.gz PFDIHBLPFBIWJK-LLVKDONJSA-N 0 0 280.324 2.552 20 5 CFBDRN C[C@@H](COC(C)(C)C)Oc1cccnc1[N+](=O)[O-] ZINC001225628041 775530208 /nfs/dbraw/zinc/53/02/08/775530208.db2.gz BNJSRPOYUAAATF-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN C[C@@H](COc1cccnc1[N+](=O)[O-])OCc1ccccc1 ZINC001225629315 775531543 /nfs/dbraw/zinc/53/15/43/775531543.db2.gz KEZPMAXAGFSPFX-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN COC(C[C@H](C)Oc1cccc(F)c1[N+](=O)[O-])OC ZINC001225666845 775549395 /nfs/dbraw/zinc/54/93/95/775549395.db2.gz NFSVBMSREULADB-QMMMGPOBSA-N 0 0 273.260 2.510 20 5 CFBDRN CSc1ccccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001225669135 775550544 /nfs/dbraw/zinc/55/05/44/775550544.db2.gz ZCRWLJWBSQNBIP-LLVKDONJSA-N 0 0 253.323 2.843 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)c2ncccn2)c1 ZINC001225679508 775555756 /nfs/dbraw/zinc/55/57/56/775555756.db2.gz VTCUAZYSCBKMEY-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc(C)ccc2[N+](=O)[O-])=C1C ZINC001225681588 775557357 /nfs/dbraw/zinc/55/73/57/775557357.db2.gz CEKDIRIQNFVHIA-LLVKDONJSA-N 0 0 277.276 2.891 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1cccc([N+](=O)[O-])c1C ZINC001225690179 775559928 /nfs/dbraw/zinc/55/99/28/775559928.db2.gz SNWWEYDIEJQUOA-MNOVXSKESA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cccc([N+](=O)[O-])c2C)C1 ZINC001225690257 775559937 /nfs/dbraw/zinc/55/99/37/775559937.db2.gz VCEMXQKEHKQTIW-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1c(O[C@@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC001225689891 775560186 /nfs/dbraw/zinc/56/01/86/775560186.db2.gz QMFNNDKSDAHRAU-MWLCHTKSSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1c(O[C@@H]2CCOC[C@H]2C)cccc1[N+](=O)[O-] ZINC001225693251 775561679 /nfs/dbraw/zinc/56/16/79/775561679.db2.gz RVBWCDIIFCDICQ-BXKDBHETSA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1O[C@H]1CCOC[C@H]1F ZINC001225713833 775570027 /nfs/dbraw/zinc/57/00/27/775570027.db2.gz XLTSKBGHYANRNS-SCZZXKLOSA-N 0 0 275.663 2.754 20 5 CFBDRN CC(=O)c1cc(Cl)ccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001225745944 775581392 /nfs/dbraw/zinc/58/13/92/775581392.db2.gz OCQMTBSKAWIHCF-CYBMUJFWSA-N 0 0 283.711 2.977 20 5 CFBDRN C[C@@H](Oc1cccc(N)n1)c1ccccc1[N+](=O)[O-] ZINC001225758372 775583587 /nfs/dbraw/zinc/58/35/87/775583587.db2.gz ZRBLWBUDBVONDN-SECBINFHSA-N 0 0 259.265 2.712 20 5 CFBDRN COc1ccc(OC2CCSCC2)c([N+](=O)[O-])c1 ZINC001225769357 775587591 /nfs/dbraw/zinc/58/75/91/775587591.db2.gz KZTFYHBCVMTLLG-UHFFFAOYSA-N 0 0 269.322 2.878 20 5 CFBDRN COc1ccc(OC[C@@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC001225770540 775588039 /nfs/dbraw/zinc/58/80/39/775588039.db2.gz YEOYUDFULFKPKF-NSHDSACASA-N 0 0 265.265 2.675 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc(F)c(F)cc2[N+](=O)[O-])=C1C ZINC001225780083 775590819 /nfs/dbraw/zinc/59/08/19/775590819.db2.gz UCTFCTLUIJEZMT-SNVBAGLBSA-N 0 0 299.229 2.861 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2CCCC3(C2)OCCO3)c1F ZINC001225795266 775596356 /nfs/dbraw/zinc/59/63/56/775596356.db2.gz FBOYGDRJAMDOQC-SNVBAGLBSA-N 0 0 297.282 2.798 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(OC2CCC(=O)CC2)c1 ZINC001225801656 775597447 /nfs/dbraw/zinc/59/74/47/775597447.db2.gz GGXRIPNVFUIPEB-UHFFFAOYSA-N 0 0 277.276 2.688 20 5 CFBDRN CO[C@@H](C)CCOc1ncc(C(F)(F)F)cc1[N+](=O)[O-] ZINC001225848135 775611947 /nfs/dbraw/zinc/61/19/47/775611947.db2.gz WFGDFBPIEWWIDR-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2ccc(F)cc2[N+](=O)[O-])C1 ZINC001225904415 775630078 /nfs/dbraw/zinc/63/00/78/775630078.db2.gz CVGXNQABGPMURI-MWLCHTKSSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225907913 775631045 /nfs/dbraw/zinc/63/10/45/775631045.db2.gz UYTXBPCVNZRRTC-LDYMZIIASA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC001225907550 775631058 /nfs/dbraw/zinc/63/10/58/775631058.db2.gz PRWIOYDNKNFCLN-SCZZXKLOSA-N 0 0 255.245 2.680 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(F)c(F)c(F)c1)C1CC1 ZINC001225911011 775631395 /nfs/dbraw/zinc/63/13/95/775631395.db2.gz HZWAXSUAYIAVND-JTQLQIEISA-N 0 0 261.199 2.538 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2ccc(F)cc2[N+](=O)[O-])C1(C)C ZINC001225906425 775631475 /nfs/dbraw/zinc/63/14/75/775631475.db2.gz AUASBYVCATXPLE-CABZTGNLSA-N 0 0 297.282 2.700 20 5 CFBDRN COC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC001225914016 775633873 /nfs/dbraw/zinc/63/38/73/775633873.db2.gz LOMKJMVXLUHCBA-ZCFIWIBFSA-N 0 0 293.197 2.554 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCN(CCF)CC2)c(F)c1 ZINC001225941301 775642052 /nfs/dbraw/zinc/64/20/52/775642052.db2.gz HFVZTVQPQDQUJH-UHFFFAOYSA-N 0 0 286.278 2.547 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC001225942019 775642304 /nfs/dbraw/zinc/64/23/04/775642304.db2.gz LVCNMXIKDFWBSB-MWLCHTKSSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(=O)C1CCC(Oc2ccc([N+](=O)[O-])cc2F)CC1 ZINC001225941661 775642435 /nfs/dbraw/zinc/64/24/35/775642435.db2.gz IKOQUZCKIRMYIR-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1OC[C@H]1CCO1 ZINC001225957448 775647531 /nfs/dbraw/zinc/64/75/31/775647531.db2.gz XKMMTAFRYLVRQE-MRVPVSSYSA-N 0 0 277.198 2.781 20 5 CFBDRN COC(C[C@H](C)Oc1c(F)cccc1[N+](=O)[O-])OC ZINC001226006835 775664433 /nfs/dbraw/zinc/66/44/33/775664433.db2.gz AJDUEAHGKBJTMN-QMMMGPOBSA-N 0 0 273.260 2.510 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC001226009328 775665704 /nfs/dbraw/zinc/66/57/04/775665704.db2.gz KXYUYHBGIOSUAT-NXEZZACHSA-N 0 0 297.282 2.845 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1c(F)cccc1[N+](=O)[O-] ZINC001226010365 775667640 /nfs/dbraw/zinc/66/76/40/775667640.db2.gz TWOVXFFJQHNAJN-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ncccc1F)C2 ZINC001226055552 775682764 /nfs/dbraw/zinc/68/27/64/775682764.db2.gz FYTDEIMBWVWNJP-LBPRGKRZSA-N 0 0 274.251 2.675 20 5 CFBDRN Cc1ccnc(O[C@H](C)c2cccnc2)c1[N+](=O)[O-] ZINC001226115263 775703542 /nfs/dbraw/zinc/70/35/42/775703542.db2.gz ABAHTBPJLQDCIW-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCC[C@H](CC(=O)OCC)Oc1nccc(C)c1[N+](=O)[O-] ZINC001226117712 775705043 /nfs/dbraw/zinc/70/50/43/775705043.db2.gz FZHPTWMIBZCTKJ-LLVKDONJSA-N 0 0 296.323 2.799 20 5 CFBDRN C[C@H](Oc1ncc(Cl)cc1[N+](=O)[O-])c1ncccn1 ZINC001226130436 775710058 /nfs/dbraw/zinc/71/00/58/775710058.db2.gz NBINUIIRFFHFBZ-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN COC(=O)C1(Nc2cccc(OC)c2[N+](=O)[O-])CCCC1 ZINC001167715284 775717656 /nfs/dbraw/zinc/71/76/56/775717656.db2.gz OKUCEMYLDTVPCQ-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168415 775723152 /nfs/dbraw/zinc/72/31/52/775723152.db2.gz RZDWRVIOKHTWQT-BXKDBHETSA-N 0 0 278.308 2.651 20 5 CFBDRN COC(C[C@@H](C)Oc1cc([N+](=O)[O-])c(F)cc1F)OC ZINC001226179399 775726619 /nfs/dbraw/zinc/72/66/19/775726619.db2.gz AIGMZNVXHDQPGS-SSDOTTSWSA-N 0 0 291.250 2.649 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226181370 775728130 /nfs/dbraw/zinc/72/81/30/775728130.db2.gz PDSOKBBVOMUIPT-LLVKDONJSA-N 0 0 271.219 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cccc(F)n1)C2 ZINC001226185783 775729731 /nfs/dbraw/zinc/72/97/31/775729731.db2.gz CAWYUONYEAFIPN-GFCCVEGCSA-N 0 0 274.251 2.675 20 5 CFBDRN CC(C)[N@@H+]1CC[C@@H](Oc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC001226191485 775733002 /nfs/dbraw/zinc/73/30/02/775733002.db2.gz NQRQXCLJVGSBCN-SECBINFHSA-N 0 0 286.278 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cccn3ccnc13)C2 ZINC001226216619 775739437 /nfs/dbraw/zinc/73/94/37/775739437.db2.gz UWVHKYWQYPTIAE-CQSZACIVSA-N 0 0 295.298 2.789 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccccc1-n1cccc1)C1CC1 ZINC001226243326 775752108 /nfs/dbraw/zinc/75/21/08/775752108.db2.gz FRILGTZPGPHWEF-OAHLLOKOSA-N 0 0 272.304 2.911 20 5 CFBDRN CCCOC[C@@H](C)Oc1ncc([N+](=O)[O-])cc1Cl ZINC001226255918 775757611 /nfs/dbraw/zinc/75/76/11/775757611.db2.gz QBNNALHWJQDSCS-MRVPVSSYSA-N 0 0 274.704 2.837 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cc1Cl)c1cncnc1 ZINC001226254950 775757809 /nfs/dbraw/zinc/75/78/09/775757809.db2.gz FRWWVJGUTGWREM-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c(Cl)c1 ZINC001226254648 775757975 /nfs/dbraw/zinc/75/79/75/775757975.db2.gz CJFKFQZBWXGPAS-VAOFZXAKSA-N 0 0 266.684 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(OC2CCC3(CC2)OCCO3)c1 ZINC001226264699 775762003 /nfs/dbraw/zinc/76/20/03/775762003.db2.gz AQJFKDWPZMWQIL-UHFFFAOYSA-N 0 0 297.282 2.798 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cc([N+](=O)[O-])ccc1F ZINC001226266855 775762246 /nfs/dbraw/zinc/76/22/46/775762246.db2.gz ACLRWSWGTFXYOA-GZMMTYOYSA-N 0 0 255.245 2.538 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2cc([N+](=O)[O-])ccc2F)C1 ZINC001226269946 775763442 /nfs/dbraw/zinc/76/34/42/775763442.db2.gz LBAJCWTZKZMZGX-MWLCHTKSSA-N 0 0 297.282 2.845 20 5 CFBDRN CCO[C@H](C)COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226295071 775771711 /nfs/dbraw/zinc/77/17/11/775771711.db2.gz PCFHNWOZEDHESE-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN CC(=O)[C@@H](C)Oc1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001226302536 775772916 /nfs/dbraw/zinc/77/29/16/775772916.db2.gz DRVRSZDKVJTKGV-SSDOTTSWSA-N 0 0 277.198 2.970 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@@H]1CCCC[C@H]1F ZINC001226332539 775782314 /nfs/dbraw/zinc/78/23/14/775782314.db2.gz BRAXDTWVRGPZIE-LDYMZIIASA-N 0 0 255.245 2.960 20 5 CFBDRN C[C@H](COC(C)(C)C)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226336651 775784065 /nfs/dbraw/zinc/78/40/65/775784065.db2.gz RABJCHXECMPCSV-SECBINFHSA-N 0 0 269.297 2.883 20 5 CFBDRN C[C@H](Oc1nc(Cl)ccc1[N+](=O)[O-])C1CCOCC1 ZINC001226367315 775791586 /nfs/dbraw/zinc/79/15/86/775791586.db2.gz XRLRTUMFHMPJKR-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN CCC[C@@H](C)Oc1cc([N+](=O)[O-])c(C(=O)OC)cc1OC ZINC001226430606 775819213 /nfs/dbraw/zinc/81/92/13/775819213.db2.gz IPYIJAHYUBYBJN-SECBINFHSA-N 0 0 297.307 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(OC[C@H]3CCC=CO3)c2c1 ZINC001226436316 775821612 /nfs/dbraw/zinc/82/16/12/775821612.db2.gz KEVJKUNBEIUMQY-LLVKDONJSA-N 0 0 287.275 2.610 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2cnn(C)c2C)c1 ZINC001226442782 775822440 /nfs/dbraw/zinc/82/24/40/775822440.db2.gz GPUSXYKDQJBZJK-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN CC(C)(C)c1ccc(O[C@H]2CCOC2=O)c([N+](=O)[O-])c1 ZINC001226445161 775825428 /nfs/dbraw/zinc/82/54/28/775825428.db2.gz AKEIUMMIRDNWKL-LBPRGKRZSA-N 0 0 279.292 2.587 20 5 CFBDRN CC(C)(C)c1ccc(OC[C@H]2COCO2)c([N+](=O)[O-])c1 ZINC001226447945 775825706 /nfs/dbraw/zinc/82/57/06/775825706.db2.gz ZVUALCDVWMDNAJ-LLVKDONJSA-N 0 0 281.308 2.644 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC1CCC(=O)CC1 ZINC001226530225 775862549 /nfs/dbraw/zinc/86/25/49/775862549.db2.gz YKZJLBAYLVBUAY-UHFFFAOYSA-N 0 0 277.276 2.688 20 5 CFBDRN Cc1noc2cc(O[C@@H](C[N+](=O)[O-])C3CC3)ccc12 ZINC001226534983 775865156 /nfs/dbraw/zinc/86/51/56/775865156.db2.gz KQZHAUPJVSMPOV-ZDUSSCGKSA-N 0 0 262.265 2.570 20 5 CFBDRN CC1CC(Cn2cc(Br)c([N+](=O)[O-])n2)C1 ZINC000725482318 775877892 /nfs/dbraw/zinc/87/78/92/775877892.db2.gz PSOIPEMAHTXPNG-UHFFFAOYSA-N 0 0 274.118 2.600 20 5 CFBDRN COc1cc(O[C@@H]2CCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC001226575300 775879924 /nfs/dbraw/zinc/87/99/24/775879924.db2.gz AWRBNZYSGSFSGI-WCBMZHEXSA-N 0 0 252.270 2.566 20 5 CFBDRN C[C@H](Oc1ccc2nccn2n1)c1ccccc1[N+](=O)[O-] ZINC001226581703 775881051 /nfs/dbraw/zinc/88/10/51/775881051.db2.gz NLMWLFXGYUDUQE-JTQLQIEISA-N 0 0 284.275 2.778 20 5 CFBDRN C[C@H](COc1ccc([N+](=O)[O-])nc1)OCc1ccccc1 ZINC001226709177 775932086 /nfs/dbraw/zinc/93/20/86/775932086.db2.gz FUUVOCRCAHVPAU-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN CCCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])nc1 ZINC001226710574 775933888 /nfs/dbraw/zinc/93/38/88/775933888.db2.gz SPFXXDDJFLWVSC-SNVBAGLBSA-N 0 0 254.286 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCc3ccccc3O2)cn1 ZINC001226710759 775934059 /nfs/dbraw/zinc/93/40/59/775934059.db2.gz UOMXQEHGENHKGB-ZDUSSCGKSA-N 0 0 286.287 2.762 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])nc1)c1ccncc1F ZINC001226712433 775934087 /nfs/dbraw/zinc/93/40/87/775934087.db2.gz MPCUEYCNLLTOFW-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2CCCc3ccccc32)nc1 ZINC001226732658 775941094 /nfs/dbraw/zinc/94/10/94/775941094.db2.gz XUTXEACMSRUYRN-CYBMUJFWSA-N 0 0 271.276 2.841 20 5 CFBDRN CC(C)[C@H]1CC[C@H](Oc2ncc([N+](=O)[O-])cn2)CC1 ZINC001226733169 775941647 /nfs/dbraw/zinc/94/16/47/775941647.db2.gz JAWZOFBUZQGKPC-UMSPYCQHSA-N 0 0 265.313 2.978 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cn1)c1cccs1 ZINC001226731229 775941662 /nfs/dbraw/zinc/94/16/62/775941662.db2.gz MQZBUXAJSQCZBD-ZETCQYMHSA-N 0 0 251.267 2.586 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cn1)c1cccnc1Cl ZINC001226730927 775941722 /nfs/dbraw/zinc/94/17/22/775941722.db2.gz JKWMRAZBHJXFPK-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@H](Oc1c(F)cc([N+](=O)[O-])cc1F)c1ncccn1 ZINC001226743670 775944247 /nfs/dbraw/zinc/94/42/47/775944247.db2.gz GKKSLXSHHBXQPH-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN CCO[C@@H](C)COc1c(F)cc([N+](=O)[O-])cc1F ZINC001226749140 775945304 /nfs/dbraw/zinc/94/53/04/775945304.db2.gz QUPJQTBBKPYUNH-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@@H]1C[C@H]2CC[C@@H]1C2 ZINC001226751194 775946962 /nfs/dbraw/zinc/94/69/62/775946962.db2.gz GJEZZVCNTBYEJM-VAOFZXAKSA-N 0 0 252.245 2.696 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2ncc(F)cc2[N+](=O)[O-])cn1 ZINC001226752228 775948351 /nfs/dbraw/zinc/94/83/51/775948351.db2.gz YOQBXIAFZPODTQ-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC001226760769 775951847 /nfs/dbraw/zinc/95/18/47/775951847.db2.gz OJGJBEMQNLJKSA-WAAGHKOSSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)[C@H]1CCC[C@@H](Oc2cccc([N+](=O)[O-])c2)C1 ZINC001226762830 775952528 /nfs/dbraw/zinc/95/25/28/775952528.db2.gz BOXWKPHTYPWDQS-CMPLNLGQSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)C(=O)OCCC(C)C ZINC001226763969 775953028 /nfs/dbraw/zinc/95/30/28/775953028.db2.gz DEACHWLUWATCBI-NSHDSACASA-N 0 0 296.323 2.655 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2cccc([N+](=O)[O-])c2)C1 ZINC001226763271 775953176 /nfs/dbraw/zinc/95/31/76/775953176.db2.gz ORGHDESAGRTACZ-GXFFZTMASA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)c1cccnc1F ZINC001226765340 775954299 /nfs/dbraw/zinc/95/42/99/775954299.db2.gz RECXXJORDKXQRW-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN CCOC(=O)N1CCC[C@H](Oc2cccc([N+](=O)[O-])c2)C1 ZINC001226764038 775954533 /nfs/dbraw/zinc/95/45/33/775954533.db2.gz FAWMXVLBRZJZPX-ZDUSSCGKSA-N 0 0 294.307 2.595 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(NC(C)(C)CCO)c1F ZINC001167741259 775954592 /nfs/dbraw/zinc/95/45/92/775954592.db2.gz BDBAYBUVGBPOJV-UHFFFAOYSA-N 0 0 256.277 2.615 20 5 CFBDRN CC(C)(CCO)Nc1ncc(F)c2cc([N+](=O)[O-])ccc21 ZINC001167741372 775955704 /nfs/dbraw/zinc/95/57/04/775955704.db2.gz RMDLMSIAXLXJEY-UHFFFAOYSA-N 0 0 293.298 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@H]2CCc3nccn3C2)c1 ZINC001226809916 775973540 /nfs/dbraw/zinc/97/35/40/775973540.db2.gz HQFGJGOOEPZISU-JTQLQIEISA-N 0 0 293.710 2.839 20 5 CFBDRN CC1=C(Oc2cc([N+](=O)[O-])ccc2Cl)C(=O)O[C@H]1C ZINC001226811113 775973773 /nfs/dbraw/zinc/97/37/73/775973773.db2.gz VSUGCITVHZPVAP-ZETCQYMHSA-N 0 0 283.667 2.846 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])c(C)c1 ZINC001226842633 775987062 /nfs/dbraw/zinc/98/70/62/775987062.db2.gz XRCOVEQMIULQHN-YPMHNXCESA-N 0 0 279.292 2.624 20 5 CFBDRN CCOC(=O)[C@@H](Oc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC001226843024 775987150 /nfs/dbraw/zinc/98/71/50/775987150.db2.gz DPVNRASOUUJDLH-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(O[C@@H]2CCn3ccnc32)ccc1[N+](=O)[O-] ZINC001226841536 775987519 /nfs/dbraw/zinc/98/75/19/775987519.db2.gz NZHURTIKRMNQKZ-GFCCVEGCSA-N 0 0 259.265 2.624 20 5 CFBDRN COc1cc(F)cc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001226883171 776002345 /nfs/dbraw/zinc/00/23/45/776002345.db2.gz LRJWAIBJEDQPGH-AWEZNQCLSA-N 0 0 292.266 2.626 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nc2ccccc2o1)c1cccnc1 ZINC001226907467 776012111 /nfs/dbraw/zinc/01/21/11/776012111.db2.gz VLRJFRUPPKZIEW-CYBMUJFWSA-N 0 0 285.259 2.620 20 5 CFBDRN CCCOC[C@H](C)Oc1cc(Cl)c([N+](=O)[O-])cn1 ZINC001226916460 776016292 /nfs/dbraw/zinc/01/62/92/776016292.db2.gz DIYVRMKIAMXOJU-QMMMGPOBSA-N 0 0 274.704 2.837 20 5 CFBDRN O=[N+]([O-])c1cnc(OCCOC2CCCC2)cc1Cl ZINC001226915441 776016771 /nfs/dbraw/zinc/01/67/71/776016771.db2.gz JAYVRUUJVGSVBV-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc(Cl)c([N+](=O)[O-])cn2)=C1C ZINC001226918460 776017792 /nfs/dbraw/zinc/01/77/92/776017792.db2.gz XHISYULZOGPCML-VIFPVBQESA-N 0 0 298.682 2.631 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC001226952550 776032642 /nfs/dbraw/zinc/03/26/42/776032642.db2.gz FRAGADKFJPBKJA-VDISTLRHSA-N 0 0 279.292 2.624 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2ccc(C)c([N+](=O)[O-])c2)C1(C)C ZINC001226951401 776033031 /nfs/dbraw/zinc/03/30/31/776033031.db2.gz AEYFXWLULVILKA-AAEUAGOBSA-N 0 0 293.319 2.870 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H]1C=C(C)OC(=O)C1 ZINC001226969671 776037882 /nfs/dbraw/zinc/03/78/82/776037882.db2.gz SDZBPXMGYPMJDZ-SNVBAGLBSA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H]1CCO[C@H](C)C1 ZINC001226971590 776040154 /nfs/dbraw/zinc/04/01/54/776040154.db2.gz PLRRKXJDGZKBAL-MNOVXSKESA-N 0 0 251.282 2.850 20 5 CFBDRN CCOC(=O)[C@H](Oc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC001226993020 776053116 /nfs/dbraw/zinc/05/31/16/776053116.db2.gz PKPIIGNJNFHAMD-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2C[C@H]3C=C[C@@H]2C3)c1 ZINC001227043639 776071087 /nfs/dbraw/zinc/07/10/87/776071087.db2.gz HEMRIUHVTCAWFU-CWSCBRNRSA-N 0 0 289.287 2.725 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCCC[C@@H]2F)n1 ZINC001227065692 776082197 /nfs/dbraw/zinc/08/21/97/776082197.db2.gz XLFZUECFUPCBJU-GXSJLCMTSA-N 0 0 254.261 2.958 20 5 CFBDRN CC[C@H](C)[C@@H](C)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227106076 776099966 /nfs/dbraw/zinc/09/99/66/776099966.db2.gz DSQHDZMUWUVKRK-JGVFFNPUSA-N 0 0 269.257 2.711 20 5 CFBDRN CCN1CC(Oc2cc(Cl)c(Cl)cc2[N+](=O)[O-])C1 ZINC001227128546 776108540 /nfs/dbraw/zinc/10/85/40/776108540.db2.gz DDTRSPXMAFVGKP-UHFFFAOYSA-N 0 0 291.134 2.985 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H]1COc2cnccc21 ZINC001227188111 776129151 /nfs/dbraw/zinc/12/91/51/776129151.db2.gz RJQYLQZZOVITSA-AWEZNQCLSA-N 0 0 288.259 2.511 20 5 CFBDRN Cc1ccc(O[C@H]2CCC(F)(F)C2)c([N+](=O)[O-])n1 ZINC001227223029 776143606 /nfs/dbraw/zinc/14/36/06/776143606.db2.gz AQKAYWLPCVNHCK-QMMMGPOBSA-N 0 0 258.224 2.865 20 5 CFBDRN Cc1ccc(OC2CN(Cc3ccccc3)C2)c([N+](=O)[O-])n1 ZINC001227221418 776144316 /nfs/dbraw/zinc/14/43/16/776144316.db2.gz ZEDBELOLSJOMIU-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN CCC[C@@H](CC(=O)OCC)Oc1ccc(C)nc1[N+](=O)[O-] ZINC001227222997 776144399 /nfs/dbraw/zinc/14/43/99/776144399.db2.gz ZRFYWOLVKSAWRQ-NSHDSACASA-N 0 0 296.323 2.799 20 5 CFBDRN CCOC[C@@H](C)Oc1nc2ccc([N+](=O)[O-])cc2o1 ZINC001227233982 776148520 /nfs/dbraw/zinc/14/85/20/776148520.db2.gz JZFQKFQNQNZZST-MRVPVSSYSA-N 0 0 266.253 2.540 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227236415 776149020 /nfs/dbraw/zinc/14/90/20/776149020.db2.gz SRSOZDBDPMUZLF-JTQLQIEISA-N 0 0 276.248 2.627 20 5 CFBDRN CC[N@@H+]1CCC[C@@H](Oc2nc3c(cccc3[N+](=O)[O-])o2)C1 ZINC001227235799 776149606 /nfs/dbraw/zinc/14/96/06/776149606.db2.gz KLFVBYUXGBAGPJ-SNVBAGLBSA-N 0 0 291.307 2.599 20 5 CFBDRN O=[N+]([O-])c1cccc2oc(OC[C@H]3CCC=CO3)nc21 ZINC001227239199 776152848 /nfs/dbraw/zinc/15/28/48/776152848.db2.gz UQYAHPANJUUGMQ-SECBINFHSA-N 0 0 276.248 2.808 20 5 CFBDRN CC[C@H](COc1nc(C(F)(F)F)ccc1[N+](=O)[O-])OC ZINC001227246571 776155715 /nfs/dbraw/zinc/15/57/15/776155715.db2.gz JBBIQHKDDSCHBH-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN CCC[C@@H](C)Oc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC001227294402 776172687 /nfs/dbraw/zinc/17/26/87/776172687.db2.gz CSWRGZDLJJDNNW-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC001227296592 776173666 /nfs/dbraw/zinc/17/36/66/776173666.db2.gz YPPYXSJDBDVMQN-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1ccnc(NCC2SCCS2)c1[N+](=O)[O-] ZINC000692817362 776198203 /nfs/dbraw/zinc/19/82/03/776198203.db2.gz IFTQJRDNTKPKRC-UHFFFAOYSA-N 0 0 271.367 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2C=CCCC2)c([N+](=O)[O-])c1 ZINC001227465523 776208751 /nfs/dbraw/zinc/20/87/51/776208751.db2.gz FNGNIPWIKSDDHH-JTQLQIEISA-N 0 0 264.237 2.991 20 5 CFBDRN CC(C)(C)OC(=O)N1CC(Oc2ccccc2[N+](=O)[O-])C1 ZINC001227482544 776212355 /nfs/dbraw/zinc/21/23/55/776212355.db2.gz JBLRHWPKBGXJGG-UHFFFAOYSA-N 0 0 294.307 2.593 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1OC1CCCC1 ZINC001227541543 776224255 /nfs/dbraw/zinc/22/42/55/776224255.db2.gz IJBOHWDQPAIXRR-UHFFFAOYSA-N 0 0 271.294 2.764 20 5 CFBDRN C[C@H](Cc1ccccc1)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227691026 776259669 /nfs/dbraw/zinc/25/96/69/776259669.db2.gz LTSREGOFWKIMDM-SNVBAGLBSA-N 0 0 298.302 2.876 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2CC3CCC2CC3)c2[nH]cnc21 ZINC001227691474 776260040 /nfs/dbraw/zinc/26/00/40/776260040.db2.gz OVROFADLBGSVDK-NWGYLPEXSA-N 0 0 288.307 2.824 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H]1CCOC(C)(C)C1 ZINC001227701935 776262014 /nfs/dbraw/zinc/26/20/14/776262014.db2.gz QXZWTLFJADOGNA-SNVBAGLBSA-N 0 0 281.308 2.940 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1c(OC)cccc1[N+](=O)[O-] ZINC001227702373 776262022 /nfs/dbraw/zinc/26/20/22/776262022.db2.gz VWFOAXCSRKPPFQ-NSHDSACASA-N 0 0 267.281 2.740 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnccc1C(F)(F)F)C1CC1 ZINC001227709035 776265878 /nfs/dbraw/zinc/26/58/78/776265878.db2.gz BKSCTXPYWRQMSW-SNVBAGLBSA-N 0 0 276.214 2.535 20 5 CFBDRN C[C@@H](Oc1ccsn1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001227731389 776271406 /nfs/dbraw/zinc/27/14/06/776271406.db2.gz CJMURPKIABMYJG-SSDOTTSWSA-N 0 0 294.288 2.920 20 5 CFBDRN Cc1cc(F)cc(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001227846827 776308422 /nfs/dbraw/zinc/30/84/22/776308422.db2.gz AOYIVSRYTROQHH-AWEZNQCLSA-N 0 0 276.267 2.926 20 5 CFBDRN C[C@@H]1COCC[C@@H]1OCc1ccc([N+](=O)[O-])cc1 ZINC001222793777 776309801 /nfs/dbraw/zinc/30/98/01/776309801.db2.gz YATZHOYOGPNDQX-MFKMUULPSA-N 0 0 251.282 2.536 20 5 CFBDRN C[C@H](COc1cncc([N+](=O)[O-])c1)OCc1ccccc1 ZINC001227988733 776351770 /nfs/dbraw/zinc/35/17/70/776351770.db2.gz WLARSLQYKJNEQR-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN CC1(C)C(=O)CCC[C@@H]1Oc1cncc([N+](=O)[O-])c1 ZINC001227990164 776351852 /nfs/dbraw/zinc/35/18/52/776351852.db2.gz HAAJCUHNIFDSML-LBPRGKRZSA-N 0 0 264.281 2.516 20 5 CFBDRN CC(C)Oc1ncccc1COc1cncc([N+](=O)[O-])c1 ZINC001227990010 776352459 /nfs/dbraw/zinc/35/24/59/776352459.db2.gz DBJSTLVVDQEFIE-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])cc(F)c1F)c1cncnc1 ZINC001228016523 776364678 /nfs/dbraw/zinc/36/46/78/776364678.db2.gz NYWAPVXKOSUKHH-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H](Oc1nccc(Cl)c1[N+](=O)[O-])C1CCOCC1 ZINC001228014763 776364796 /nfs/dbraw/zinc/36/47/96/776364796.db2.gz OLIQDRZERKVVET-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN COc1ccc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC001228056174 776379509 /nfs/dbraw/zinc/37/95/09/776379509.db2.gz OPBDPRXFCIXZFR-CQSZACIVSA-N 0 0 286.287 2.545 20 5 CFBDRN C[C@H](Oc1nccc(=S)[nH]1)c1ccccc1[N+](=O)[O-] ZINC001228126575 776401888 /nfs/dbraw/zinc/40/18/88/776401888.db2.gz WDHZXEYAAFTQIF-QMMMGPOBSA-N 0 0 277.305 2.814 20 5 CFBDRN CCCCC[C@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228144828 776409942 /nfs/dbraw/zinc/40/99/42/776409942.db2.gz WTBUFOCCDKDLHJ-VIFPVBQESA-N 0 0 269.301 2.828 20 5 CFBDRN C[N@@H+]1CCC[C@@H](Oc2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC001228209681 776422582 /nfs/dbraw/zinc/42/25/82/776422582.db2.gz WBJKZFFAWMOBNQ-SNVBAGLBSA-N 0 0 293.348 2.678 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC001228237962 776430278 /nfs/dbraw/zinc/43/02/78/776430278.db2.gz QZXJSXORQUCRRH-GFCCVEGCSA-N 0 0 281.308 2.932 20 5 CFBDRN CCOc1ccc(CO[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001223073428 776464834 /nfs/dbraw/zinc/46/48/34/776464834.db2.gz MFOUPBBHVCSWIX-AWEZNQCLSA-N 0 0 265.309 2.657 20 5 CFBDRN Cc1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)c(F)c1 ZINC001228382790 776476602 /nfs/dbraw/zinc/47/66/02/776476602.db2.gz KMOADZGSYKAJTK-CQSZACIVSA-N 0 0 276.267 2.926 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CC[C@@H](C3CC3)O2)c1[N+](=O)[O-] ZINC000722335224 776482063 /nfs/dbraw/zinc/48/20/63/776482063.db2.gz XTOZNUOLENQGQI-RYUDHWBXSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC1(CO)CCCC1 ZINC001167801736 776483969 /nfs/dbraw/zinc/48/39/69/776483969.db2.gz JHVDHSHDIVIUFV-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN CC[C@H](COC)Oc1c(C(C)=O)cc(C)cc1[N+](=O)[O-] ZINC001228455252 776496364 /nfs/dbraw/zinc/49/63/64/776496364.db2.gz ZGBVOADWNOFIDI-LLVKDONJSA-N 0 0 281.308 2.910 20 5 CFBDRN Cc1cnc(CO[C@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC001223203450 776514769 /nfs/dbraw/zinc/51/47/69/776514769.db2.gz GGLFOSZITICFCD-LLVKDONJSA-N 0 0 273.292 2.971 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)ncc1Cl)C1CC1 ZINC001228547521 776517294 /nfs/dbraw/zinc/51/72/94/776517294.db2.gz GWXRYRXOVXKCGT-SECBINFHSA-N 0 0 277.107 2.823 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@@H]1CCc2nccn2C1 ZINC001228572310 776525365 /nfs/dbraw/zinc/52/53/65/776525365.db2.gz ZBKKEPSMIKNSMO-CYBMUJFWSA-N 0 0 287.319 2.802 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1OCC(=O)OC(C)C ZINC001228572075 776525454 /nfs/dbraw/zinc/52/54/54/776525454.db2.gz VRYHWMWOYYNFQC-UHFFFAOYSA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1OC1CCOCC1 ZINC001228573016 776525823 /nfs/dbraw/zinc/52/58/23/776525823.db2.gz SQRWVMKDCOZFJR-UHFFFAOYSA-N 0 0 251.282 2.769 20 5 CFBDRN Cc1cnc(F)c(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001228582417 776528864 /nfs/dbraw/zinc/52/88/64/776528864.db2.gz ZMTLHCAXHZHHJP-CYBMUJFWSA-N 0 0 288.278 2.984 20 5 CFBDRN CCC[C@@H](C)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604652 776537567 /nfs/dbraw/zinc/53/75/67/776537567.db2.gz WJYRYMVRCVJWMH-SSDOTTSWSA-N 0 0 267.285 2.535 20 5 CFBDRN O=C1CCC(Oc2cc(F)cc(F)c2[N+](=O)[O-])CC1 ZINC001228610257 776537963 /nfs/dbraw/zinc/53/79/63/776537963.db2.gz SGNKHZJKLDZGJQ-UHFFFAOYSA-N 0 0 271.219 2.764 20 5 CFBDRN C[C@H]1C[C@H](Oc2cc(F)cc(F)c2[N+](=O)[O-])CCO1 ZINC001228610868 776538466 /nfs/dbraw/zinc/53/84/66/776538466.db2.gz ADJTVQCBEVYFMA-IONNQARKSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228612086 776540096 /nfs/dbraw/zinc/54/00/96/776540096.db2.gz YBAVNYIXZDLLAK-XVKPBYJWSA-N 0 0 273.235 2.677 20 5 CFBDRN Cc1nc(OC2CC2)c(Br)cc1[N+](=O)[O-] ZINC001228622685 776544692 /nfs/dbraw/zinc/54/46/92/776544692.db2.gz DJUFLHBFFBAWKL-UHFFFAOYSA-N 0 0 273.086 2.602 20 5 CFBDRN C[C@@H](CCc1ccccc1)Oc1ncncc1[N+](=O)[O-] ZINC001228654398 776553391 /nfs/dbraw/zinc/55/33/91/776553391.db2.gz KEBRMBXFXWLUJN-NSHDSACASA-N 0 0 273.292 2.785 20 5 CFBDRN CC[C@@H](Oc1ncncc1[N+](=O)[O-])c1ccccc1 ZINC001228657743 776555093 /nfs/dbraw/zinc/55/50/93/776555093.db2.gz QKZJWMFGVDEBEY-GFCCVEGCSA-N 0 0 259.265 2.915 20 5 CFBDRN CCC(CC)Oc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC001228660229 776555675 /nfs/dbraw/zinc/55/56/75/776555675.db2.gz GQCQTVAAZNKWIH-UHFFFAOYSA-N 0 0 287.337 2.566 20 5 CFBDRN CCCCOC[C@@H](C)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228685986 776567467 /nfs/dbraw/zinc/56/74/67/776567467.db2.gz LANZQYQYYVXGKD-SECBINFHSA-N 0 0 284.312 2.588 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228685436 776567680 /nfs/dbraw/zinc/56/76/80/776567680.db2.gz HRQQRKQAYFZCTD-NSHDSACASA-N 0 0 296.323 2.921 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)c2ccco2)c1[N+](=O)[O-] ZINC001228689055 776568681 /nfs/dbraw/zinc/56/86/81/776568681.db2.gz OWPBNGUTNRWBPT-MRVPVSSYSA-N 0 0 264.237 2.737 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CC[C@H](C(F)(F)F)O1 ZINC000722392877 776575836 /nfs/dbraw/zinc/57/58/36/776575836.db2.gz XDXJGAYZFYICQV-IONNQARKSA-N 0 0 291.229 2.512 20 5 CFBDRN COC(=O)C1(C)CC(OCc2cccc([N+](=O)[O-])c2C)C1 ZINC001223330588 776583090 /nfs/dbraw/zinc/58/30/90/776583090.db2.gz VRFGVSJQOFGBJT-UHFFFAOYSA-N 0 0 293.319 2.762 20 5 CFBDRN c1ccc2nc3cc(OC[C@H]4COCCO4)ccc3nc2c1 ZINC001228740079 776592678 /nfs/dbraw/zinc/59/26/78/776592678.db2.gz GOVYESVPXDJGFR-CYBMUJFWSA-N 0 0 296.326 2.577 20 5 CFBDRN CC1(C)COC(=O)[C@@H]1Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001228757055 776600621 /nfs/dbraw/zinc/60/06/21/776600621.db2.gz SKWFDWKLRFYNCE-JTQLQIEISA-N 0 0 285.683 2.579 20 5 CFBDRN COC(=O)c1cc(O[C@@H]2C[C@@H]3CC[C@H]2C3)cc([N+](=O)[O-])c1 ZINC001228769863 776605012 /nfs/dbraw/zinc/60/50/12/776605012.db2.gz CSYBGUMIDDSFEA-ISTVAULSSA-N 0 0 291.303 2.949 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC001228770260 776605073 /nfs/dbraw/zinc/60/50/73/776605073.db2.gz IOZYBQWLVDOERO-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN CC(C)OCCOc1c2ccccc2oc(=O)c1[N+](=O)[O-] ZINC001228802408 776618493 /nfs/dbraw/zinc/61/84/93/776618493.db2.gz KNSNJCGNEGUBCW-UHFFFAOYSA-N 0 0 293.275 2.505 20 5 CFBDRN CC[C@H](COc1c2ccccc2oc(=O)c1[N+](=O)[O-])OC ZINC001228807954 776620231 /nfs/dbraw/zinc/62/02/31/776620231.db2.gz VSWIPRGOTMYALV-SECBINFHSA-N 0 0 293.275 2.505 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228839015 776629245 /nfs/dbraw/zinc/62/92/45/776629245.db2.gz RROYWZYORGRHSF-OLZOCXBDSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](Oc1ncc(F)cn1)c1cccc([N+](=O)[O-])c1 ZINC001228849802 776633902 /nfs/dbraw/zinc/63/39/02/776633902.db2.gz QVGFMDGBFWUETG-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H](COC(C)(C)C)Oc1cnccc1[N+](=O)[O-] ZINC001228879228 776643485 /nfs/dbraw/zinc/64/34/85/776643485.db2.gz DGGLSFRZZICCTA-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN O=[N+]([O-])c1ccncc1O[C@@H]1CCc2ccccc2C1 ZINC001228880934 776645308 /nfs/dbraw/zinc/64/53/08/776645308.db2.gz ARGLISLHMOLLEY-CYBMUJFWSA-N 0 0 270.288 2.926 20 5 CFBDRN CC1(C)CC(Oc2cnccc2[N+](=O)[O-])CC(C)(C)N1O ZINC001228879967 776645328 /nfs/dbraw/zinc/64/53/28/776645328.db2.gz NBWLHTGMJFPMJY-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])cc2C)=C1C ZINC001228916451 776657296 /nfs/dbraw/zinc/65/72/96/776657296.db2.gz RONGIEJBYZYWJN-LLVKDONJSA-N 0 0 277.276 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C[C@H]3C[C@H]3C2)nc1Cl ZINC001228934483 776661735 /nfs/dbraw/zinc/66/17/35/776661735.db2.gz QIOQWXXUGBYIQW-RNLVFQAGSA-N 0 0 254.673 2.821 20 5 CFBDRN C[C@@H](O[C@H]1C(=O)OCC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC001223430822 776665626 /nfs/dbraw/zinc/66/56/26/776665626.db2.gz QLBMQGRWBKHERU-SKDRFNHKSA-N 0 0 279.292 2.624 20 5 CFBDRN CCCCOC[C@@H](C)Oc1cc(O)cc([O-])c1[N+](=O)[O-] ZINC001228970161 776672639 /nfs/dbraw/zinc/67/26/39/776672639.db2.gz GLUGRWPTKRJBKQ-SECBINFHSA-N 0 0 285.296 2.590 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@@H](C)c1ncccn1 ZINC001228973105 776674037 /nfs/dbraw/zinc/67/40/37/776674037.db2.gz FWBSPEQQBFJHBD-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN Cc1cccc2c1ccnc2O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001229042207 776697147 /nfs/dbraw/zinc/69/71/47/776697147.db2.gz CBDBSKHRADQBPW-AWEZNQCLSA-N 0 0 272.304 2.977 20 5 CFBDRN Cn1ccc2c1cccc2O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001229039267 776697203 /nfs/dbraw/zinc/69/72/03/776697203.db2.gz DCNZTUUQGQWMJR-INIZCTEOSA-N 0 0 297.314 2.970 20 5 CFBDRN COC(=O)c1c(O[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC001229046965 776700562 /nfs/dbraw/zinc/70/05/62/776700562.db2.gz VXOVDUITDKGQRQ-ZJUUUORDSA-N 0 0 279.292 2.949 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2ccc(/C=C/[N+](=O)[O-])cc2)C1 ZINC001229114329 776722616 /nfs/dbraw/zinc/72/26/16/776722616.db2.gz JKTXKDPTZUHWEQ-FVIDXVQGSA-N 0 0 291.303 2.655 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114943 776722877 /nfs/dbraw/zinc/72/28/77/776722877.db2.gz NQNSCDXYMXRCCE-HBWSCVEGSA-N 0 0 261.277 2.825 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCOC[C@@H]1F ZINC001229178853 776745032 /nfs/dbraw/zinc/74/50/32/776745032.db2.gz DBOWXLINPSLILA-SMDDNHRTSA-N 0 0 297.282 2.693 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC1CCC(=O)CC1 ZINC001229198483 776749973 /nfs/dbraw/zinc/74/99/73/776749973.db2.gz NGTBXSBFHJEBPT-UHFFFAOYSA-N 0 0 267.256 2.933 20 5 CFBDRN CO[C@H](C)CCOc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229199781 776750443 /nfs/dbraw/zinc/75/04/43/776750443.db2.gz ZICFYBOHUXBHTQ-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1CCCCC1=O ZINC001229201191 776751538 /nfs/dbraw/zinc/75/15/38/776751538.db2.gz LEIZEXLGOHQNGO-GFCCVEGCSA-N 0 0 267.256 2.933 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(O[C@H]2CCn3ccnc32)c1 ZINC001229344297 776784851 /nfs/dbraw/zinc/78/48/51/776784851.db2.gz WCHOQRYVJUHESP-LBPRGKRZSA-N 0 0 296.286 2.863 20 5 CFBDRN C[C@H]1CC[C@H](Oc2n[nH]c(=O)c3ccc([N+](=O)[O-])cc32)C1 ZINC001229363399 776790618 /nfs/dbraw/zinc/79/06/18/776790618.db2.gz CXDWNAJWEJMQRA-WPRPVWTQSA-N 0 0 289.291 2.811 20 5 CFBDRN O=c1[nH]nc(O[C@H]2C=CCCC2)c2c1cccc2[N+](=O)[O-] ZINC001229367166 776792365 /nfs/dbraw/zinc/79/23/65/776792365.db2.gz IXXGEPIFIUJRGU-VIFPVBQESA-N 0 0 287.275 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(OC[C@@H]2Cc3ccccc3O2)c1 ZINC001229397218 776798933 /nfs/dbraw/zinc/79/89/33/776798933.db2.gz DNTYSSRKNYGLMK-LBPRGKRZSA-N 0 0 287.271 2.683 20 5 CFBDRN C[C@H](Oc1ncnc2cc([N+](=O)[O-])ccc21)C(F)(F)F ZINC001229399491 776801113 /nfs/dbraw/zinc/80/11/13/776801113.db2.gz LNHRQNNMEWLEJW-LURJTMIESA-N 0 0 287.197 2.868 20 5 CFBDRN CC1(C)CCC[C@@H](Oc2cc[n+]([O-])cc2[N+](=O)[O-])C1 ZINC001229452583 776816855 /nfs/dbraw/zinc/81/68/55/776816855.db2.gz UFFIOMPLJKUGFA-SNVBAGLBSA-N 0 0 266.297 2.576 20 5 CFBDRN CC(C)C[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])C(C)C ZINC001229452203 776817554 /nfs/dbraw/zinc/81/75/54/776817554.db2.gz NACCBFUYXDCOCG-CYBMUJFWSA-N 0 0 268.313 2.678 20 5 CFBDRN CCCCC[C@@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229451969 776817963 /nfs/dbraw/zinc/81/79/63/776817963.db2.gz LGYVRRZFMLGGAU-LLVKDONJSA-N 0 0 268.313 2.966 20 5 CFBDRN C[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1c(F)cccc1F ZINC001229454934 776818507 /nfs/dbraw/zinc/81/85/07/776818507.db2.gz SEKREISBVSBIHE-MRVPVSSYSA-N 0 0 296.229 2.646 20 5 CFBDRN CCC[C@@H]1CCCC[C@H]1Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229453909 776818530 /nfs/dbraw/zinc/81/85/30/776818530.db2.gz BSDNIXKTOVTFLI-DGCLKSJQSA-N 0 0 280.324 2.966 20 5 CFBDRN O=[N+]([O-])c1c[n+]([O-])ccc1O[C@@H](c1ccccc1)C1CC1 ZINC001229454830 776818890 /nfs/dbraw/zinc/81/88/90/776818890.db2.gz RCPYWWPVOQXSTJ-HNNXBMFYSA-N 0 0 286.287 2.758 20 5 CFBDRN CCC[C@H]1CCCC[C@@H]1Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229453908 776819159 /nfs/dbraw/zinc/81/91/59/776819159.db2.gz BSDNIXKTOVTFLI-AAEUAGOBSA-N 0 0 280.324 2.966 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1 ZINC001229465257 776820187 /nfs/dbraw/zinc/82/01/87/776820187.db2.gz BCCAFYQZMDBZBB-JTQLQIEISA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1ccc2ccnc(O[C@H](C[N+](=O)[O-])C3CC3)c2c1 ZINC001229507957 776834029 /nfs/dbraw/zinc/83/40/29/776834029.db2.gz WWNXWBJUQSMPIN-CQSZACIVSA-N 0 0 272.304 2.977 20 5 CFBDRN O=[N+]([O-])c1ncc(Br)cc1O[C@@H]1C=CCC1 ZINC001229559098 776848010 /nfs/dbraw/zinc/84/80/10/776848010.db2.gz CJSULGNLEGTDOM-MRVPVSSYSA-N 0 0 285.097 2.850 20 5 CFBDRN Cc1cc(O)c(O[C@H](C)c2cncnc2)cc1[N+](=O)[O-] ZINC001229752981 776887674 /nfs/dbraw/zinc/88/76/74/776887674.db2.gz DXAWUAUMMWVSKK-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])c(C)c2C)C1 ZINC001229881168 776909415 /nfs/dbraw/zinc/90/94/15/776909415.db2.gz OAZAJGSAYLQFAR-TXEJJXNPSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1OC[C@H]1CCCO1 ZINC001229882538 776909498 /nfs/dbraw/zinc/90/94/98/776909498.db2.gz OJWXLJBAYMEOHS-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1ccc([N+](=O)[O-])c(C)c1C ZINC001229882636 776909950 /nfs/dbraw/zinc/90/99/50/776909950.db2.gz PRDOKXUAOCZINF-MRVPVSSYSA-N 0 0 267.281 2.542 20 5 CFBDRN CC1=C(Oc2cc(F)c(C)cc2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001229894811 776912602 /nfs/dbraw/zinc/91/26/02/776912602.db2.gz LALLORJZRXIKMO-QMMMGPOBSA-N 0 0 281.239 2.640 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2C[C@H]3C=C[C@@H]2C3)c(Cl)n1 ZINC001229915344 776917490 /nfs/dbraw/zinc/91/74/90/776917490.db2.gz NPMZLWUZOZAWFQ-XKSSXDPKSA-N 0 0 266.684 2.987 20 5 CFBDRN COC(=O)C1(C)CC(Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229963360 776925562 /nfs/dbraw/zinc/92/55/62/776925562.db2.gz IQUBRYMCIHOKJN-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN CCc1cc(F)c(O[C@H](C[N+](=O)[O-])C2CC2)c(F)c1 ZINC001229967562 776927230 /nfs/dbraw/zinc/92/72/30/776927230.db2.gz QCFMRAHSGQUGCK-GFCCVEGCSA-N 0 0 271.263 2.961 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2cc(C)c(Cl)cc2[N+](=O)[O-])C1 ZINC001229979543 776931590 /nfs/dbraw/zinc/93/15/90/776931590.db2.gz SPMQWHPWBWQPBK-DTORHVGOSA-N 0 0 299.710 2.887 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1ccc(C)cc1[N+](=O)[O-] ZINC001230143265 776955221 /nfs/dbraw/zinc/95/52/21/776955221.db2.gz ILOKOHIDVXVXQT-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc(OC2CCC3(CC2)OCCO3)c([N+](=O)[O-])c1 ZINC001230144424 776955875 /nfs/dbraw/zinc/95/58/75/776955875.db2.gz VRWLFWGBIMKPPM-UHFFFAOYSA-N 0 0 293.319 2.968 20 5 CFBDRN O=[N+]([O-])c1c[nH]cc2nc(O[C@H]3CC4CCC3CC4)nc1-2 ZINC001230229814 776961536 /nfs/dbraw/zinc/96/15/36/776961536.db2.gz HARUNBFXYRJBEW-KWPJZBAWSA-N 0 0 288.307 2.824 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cncc(Cl)c1 ZINC001225290425 776963331 /nfs/dbraw/zinc/96/33/31/776963331.db2.gz YUTJFFPNXVKKJJ-QMMMGPOBSA-N 0 0 296.714 2.616 20 5 CFBDRN CCC(CC)CO[C@@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001204938935 776975836 /nfs/dbraw/zinc/97/58/36/776975836.db2.gz KZIDFHIZSBORFC-JTQLQIEISA-N 0 0 269.345 2.941 20 5 CFBDRN CC(=O)c1cccc(C)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001230317363 776978563 /nfs/dbraw/zinc/97/85/63/776978563.db2.gz JTEOVGSSRBLUQX-CYBMUJFWSA-N 0 0 263.293 2.632 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cc(C)c(C)c([N+](=O)[O-])c1)C1CC1 ZINC001230477409 776997003 /nfs/dbraw/zinc/99/70/03/776997003.db2.gz LRPCAUPLBPHGBP-AWEZNQCLSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1cc(O[C@H]2CCOC[C@@H]2F)cc([N+](=O)[O-])c1C ZINC001230477937 776997027 /nfs/dbraw/zinc/99/70/27/776997027.db2.gz QLRBJNRDYOOCLX-AAEUAGOBSA-N 0 0 269.272 2.717 20 5 CFBDRN Cc1cc(O[C@H]2CCc3nccn3C2)cc([N+](=O)[O-])c1C ZINC001230478094 776997255 /nfs/dbraw/zinc/99/72/55/776997255.db2.gz SKCVCEACTUAGCC-LBPRGKRZSA-N 0 0 287.319 2.802 20 5 CFBDRN CC[C@H](COc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-])OC ZINC001230492197 776999559 /nfs/dbraw/zinc/99/95/59/776999559.db2.gz KYDKWPCEGKTZSZ-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN COC(=O)c1[nH]ccc1O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001230503498 777000611 /nfs/dbraw/zinc/00/06/11/777000611.db2.gz BCEIEMYUELOIAM-VIFPVBQESA-N 0 0 290.275 2.850 20 5 CFBDRN COC(=O)c1[nH]ccc1O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001230503857 777000631 /nfs/dbraw/zinc/00/06/31/777000631.db2.gz GVMLCTSQDURRSB-SECBINFHSA-N 0 0 290.275 2.850 20 5 CFBDRN CC(C)c1ncccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001225523062 777003364 /nfs/dbraw/zinc/00/33/64/777003364.db2.gz ZRVPJKJNZIXRMX-GFCCVEGCSA-N 0 0 250.298 2.639 20 5 CFBDRN CC1(C)C[C@H](Oc2cc3n[nH]cc3cc2[N+](=O)[O-])CCO1 ZINC001230601340 777023355 /nfs/dbraw/zinc/02/33/55/777023355.db2.gz MJHITYOEJDFQPF-SNVBAGLBSA-N 0 0 291.307 2.808 20 5 CFBDRN O=C1OCCC[C@H]1Oc1ccc2ccccc2c1[N+](=O)[O-] ZINC001230623012 777028800 /nfs/dbraw/zinc/02/88/00/777028800.db2.gz RYDGUJTWVASAQY-CYBMUJFWSA-N 0 0 287.271 2.832 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC1CCOCC1 ZINC001230724232 777052073 /nfs/dbraw/zinc/05/20/73/777052073.db2.gz UVLKEYYSQBHKRP-UHFFFAOYSA-N 0 0 251.282 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cnc(C3CC3)nc1)C2 ZINC001230745312 777056339 /nfs/dbraw/zinc/05/63/39/777056339.db2.gz DRJGQILQJMHCFD-AWEZNQCLSA-N 0 0 297.314 2.808 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ccc(Cl)nc2[N+](=O)[O-])CCO1 ZINC001230878762 777079248 /nfs/dbraw/zinc/07/92/48/777079248.db2.gz HYKRXOYVLZPWFI-SFYZADRCSA-N 0 0 272.688 2.590 20 5 CFBDRN CC(C)C1(O)CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000710590860 777087206 /nfs/dbraw/zinc/08/72/06/777087206.db2.gz LQTBQFRMVVYMIQ-UHFFFAOYSA-N 0 0 282.315 2.721 20 5 CFBDRN CCOC(=O)c1ccc(O[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC001230913077 777091805 /nfs/dbraw/zinc/09/18/05/777091805.db2.gz QQDUYWGCTSBBIL-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN CCOC(=O)c1ccc(O[C@H](C)CC)cc1[N+](=O)[O-] ZINC001230912728 777091918 /nfs/dbraw/zinc/09/19/18/777091918.db2.gz KBKLPCOBFPBLPI-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN C[C@@H](Oc1cccnc1[N+](=O)[O-])c1ccncc1F ZINC001225629458 777098501 /nfs/dbraw/zinc/09/85/01/777098501.db2.gz NVEBOYWMDRZROI-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cn1)c1ccncc1F ZINC001225635094 777113001 /nfs/dbraw/zinc/11/30/01/777113001.db2.gz XZRHGAACFOLIPQ-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CC(C)[C@@H](C)Oc1ncnc2cccc([N+](=O)[O-])c21 ZINC001231052950 777126494 /nfs/dbraw/zinc/12/64/94/777126494.db2.gz HFDZDESXJJBBHO-SECBINFHSA-N 0 0 261.281 2.961 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(O[C@@H]3C=CCC3)c21 ZINC001231057398 777127156 /nfs/dbraw/zinc/12/71/56/777127156.db2.gz YZLBODRRWWQEOL-SECBINFHSA-N 0 0 257.249 2.635 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2c(C)ccc(C)c2[N+](=O)[O-])C1 ZINC001231059368 777128177 /nfs/dbraw/zinc/12/81/77/777128177.db2.gz HEBLWMYGBVLDQY-PHIMTYICSA-N 0 0 279.292 2.542 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2[nH]nc(Cl)c2c1)C1CC1 ZINC001231126746 777150155 /nfs/dbraw/zinc/15/01/55/777150155.db2.gz FOTNENVJVVKAQO-LLVKDONJSA-N 0 0 281.699 2.650 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(O[C@H]3CCOC[C@H]3F)cnc21 ZINC001231156368 777159646 /nfs/dbraw/zinc/15/96/46/777159646.db2.gz JOVASISVZSTYQG-YPMHNXCESA-N 0 0 292.266 2.649 20 5 CFBDRN Cc1cc(=O)c2ccc(O[C@H](C[N+](=O)[O-])C3CC3)cc2o1 ZINC001231172314 777164401 /nfs/dbraw/zinc/16/44/01/777164401.db2.gz UGWPKLASOXCPJT-OAHLLOKOSA-N 0 0 289.287 2.536 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000711752600 777234699 /nfs/dbraw/zinc/23/46/99/777234699.db2.gz MGRCATRLQVYZKT-VIFPVBQESA-N 0 0 263.253 2.881 20 5 CFBDRN C[C@H](CC(=O)Nc1c([N+](=O)[O-])cnn1C)C1CCCCC1 ZINC001269828426 777254803 /nfs/dbraw/zinc/25/48/03/777254803.db2.gz NUAZYBHIQPHPTA-SNVBAGLBSA-N 0 0 294.355 2.873 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225905411 777330329 /nfs/dbraw/zinc/33/03/29/777330329.db2.gz SOHMOODDGFFLQR-JTQLQIEISA-N 0 0 285.271 2.845 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225914684 777333976 /nfs/dbraw/zinc/33/39/76/777333976.db2.gz AOJCFQRGKVKUEU-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN COC(=O)c1cc(NCC(C)(C)C)cc([N+](=O)[O-])c1 ZINC001161641780 777362236 /nfs/dbraw/zinc/36/22/36/777362236.db2.gz NWWIFQWCFUXDEK-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1OC[C@@H]1CCCCO1 ZINC001226130606 777445356 /nfs/dbraw/zinc/44/53/56/777445356.db2.gz RKBZCBSVOKMOLO-VIFPVBQESA-N 0 0 272.688 2.591 20 5 CFBDRN c1cnc2c(c1)ccc1ccc(CN3CC4(CCO4)C3)nc12 ZINC001232224176 777481120 /nfs/dbraw/zinc/48/11/20/777481120.db2.gz ZRUQURCOWLXLPP-UHFFFAOYSA-N 0 0 291.354 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2C[C@@H]2c2ccccc2)n1 ZINC001270812238 777496747 /nfs/dbraw/zinc/49/67/47/777496747.db2.gz JZYIJEKVIXXCDP-KGLIPLIRSA-N 0 0 297.314 2.584 20 5 CFBDRN C[C@H]1C[C@H](Nc2cc(Cl)cc([N+](=O)[O-])n2)CCO1 ZINC001161690143 777562310 /nfs/dbraw/zinc/56/23/10/777562310.db2.gz DBNYUZZZQGZQSC-IONNQARKSA-N 0 0 271.704 2.623 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCCCC1=O ZINC001226530646 777570072 /nfs/dbraw/zinc/57/00/72/777570072.db2.gz IBTHDAJLCAMCJY-CQSZACIVSA-N 0 0 277.276 2.688 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1cccc([N+](=O)[O-])c1 ZINC001226763513 777637323 /nfs/dbraw/zinc/63/73/23/777637323.db2.gz VLUQALGGBDNPOR-JTQLQIEISA-N 0 0 267.281 2.705 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1OC[C@@H]1CCCO1 ZINC001226909566 777695705 /nfs/dbraw/zinc/69/57/05/777695705.db2.gz YJEACBJHKQELQV-ZETCQYMHSA-N 0 0 275.663 2.945 20 5 CFBDRN CC[C@@H](Oc1cc(Cl)c(F)cc1[N+](=O)[O-])C(=O)OC ZINC001226908332 777695730 /nfs/dbraw/zinc/69/57/30/777695730.db2.gz FDGVNGYJZNAGDZ-SECBINFHSA-N 0 0 291.662 2.718 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)OCCc1ccccc1 ZINC001204999607 777721967 /nfs/dbraw/zinc/72/19/67/777721967.db2.gz DSQBDVRMQJJFIS-GFCCVEGCSA-N 0 0 289.335 2.748 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC001227043887 777743415 /nfs/dbraw/zinc/74/34/15/777743415.db2.gz ISHLGSQIQXIEAC-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN C[C@H](CO)[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000696780504 777786172 /nfs/dbraw/zinc/78/61/72/777786172.db2.gz SQMQTZFTBZVMFY-RISCZKNCSA-N 0 0 264.325 2.582 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1c(OC)cccc1[N+](=O)[O-] ZINC001227700700 777866287 /nfs/dbraw/zinc/86/62/87/777866287.db2.gz GAXUSSJLCINYBV-JTQLQIEISA-N 0 0 297.307 2.714 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000714038397 777891802 /nfs/dbraw/zinc/89/18/02/777891802.db2.gz IOHGMDNGZNQYNW-UHFFFAOYSA-N 0 0 294.204 2.758 20 5 CFBDRN CC(=O)c1cc(O[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC001233502386 777972433 /nfs/dbraw/zinc/97/24/33/777972433.db2.gz PIUJXICRJZLNIL-SKDRFNHKSA-N 0 0 279.292 2.744 20 5 CFBDRN CC[C@H](COC)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233504148 777972709 /nfs/dbraw/zinc/97/27/09/777972709.db2.gz YYENJOHZWZPIPG-SNVBAGLBSA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)c1nccs1 ZINC001233553348 777989349 /nfs/dbraw/zinc/98/93/49/777989349.db2.gz JGGIIXNMMJBTJH-QMMMGPOBSA-N 0 0 265.294 2.895 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H](C)c1cccnc1F ZINC001233557845 777990696 /nfs/dbraw/zinc/99/06/96/777990696.db2.gz WSDDHCIXVCONBX-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H](C)c1ccccn1 ZINC001233557761 777990955 /nfs/dbraw/zinc/99/09/55/777990955.db2.gz VVZBSNSSQAROJO-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cccc(OC2CCCC2)c1[N+](=O)[O-] ZINC001233573764 777996758 /nfs/dbraw/zinc/99/67/58/777996758.db2.gz BZQUBYSPQSSLHK-UHFFFAOYSA-N 0 0 252.226 2.824 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc(F)c([N+](=O)[O-])cc2F)=C1C ZINC001233597411 778005014 /nfs/dbraw/zinc/00/50/14/778005014.db2.gz LQTGGRQMRDNMJA-JTQLQIEISA-N 0 0 299.229 2.861 20 5 CFBDRN CC[C@H](COc1cc(F)c([N+](=O)[O-])cc1F)OC ZINC001233600090 778005913 /nfs/dbraw/zinc/00/59/13/778005913.db2.gz OFLMEBLZFKRATQ-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@@H](Oc1cc(F)c([N+](=O)[O-])cc1F)c1cncnc1 ZINC001233601779 778008598 /nfs/dbraw/zinc/00/85/98/778008598.db2.gz XNFMVBCTYLPIRM-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC2COC2)cc1Cl ZINC001228155033 778020088 /nfs/dbraw/zinc/02/00/88/778020088.db2.gz RHWOGOROGQSCFW-UHFFFAOYSA-N 0 0 264.064 2.679 20 5 CFBDRN CC(=O)N1CCC(Oc2cc(Cl)cc([N+](=O)[O-])c2)CC1 ZINC001228255983 778032887 /nfs/dbraw/zinc/03/28/87/778032887.db2.gz OFOSDDHFFXFOEZ-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228254989 778034893 /nfs/dbraw/zinc/03/48/93/778034893.db2.gz CMDXPTGJCYVOQH-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1O[C@H]1CCn2ccnc21 ZINC001233697100 778044581 /nfs/dbraw/zinc/04/45/81/778044581.db2.gz XDQMUWNONOWAQV-JTQLQIEISA-N 0 0 281.218 2.593 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233698590 778044896 /nfs/dbraw/zinc/04/48/96/778044896.db2.gz LVVCYDDFAUZNEQ-NSHDSACASA-N 0 0 271.219 2.764 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233696230 778045362 /nfs/dbraw/zinc/04/53/62/778045362.db2.gz QMSPOOWDPNHOAH-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN CCOC[C@H](C)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233699413 778045384 /nfs/dbraw/zinc/04/53/84/778045384.db2.gz OAQRCVIVGFCPMS-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1O[C@H]1COc2cnccc21 ZINC001233697511 778045489 /nfs/dbraw/zinc/04/54/89/778045489.db2.gz CLSYIJIMRRJHKO-LBPRGKRZSA-N 0 0 294.213 2.781 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233699602 778046230 /nfs/dbraw/zinc/04/62/30/778046230.db2.gz SFSIPXXFDWFZHB-SNVBAGLBSA-N 0 0 289.234 2.594 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233699430 778047032 /nfs/dbraw/zinc/04/70/32/778047032.db2.gz OQOKZXGQMLJIIV-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2C[C@H]3C=C[C@@H]2C3)cc1[N+](=O)[O-] ZINC001233702682 778048003 /nfs/dbraw/zinc/04/80/03/778048003.db2.gz OTBYQLKOZGWMPQ-RWEMILLDSA-N 0 0 276.248 2.846 20 5 CFBDRN CC1(C)C[C@H](Oc2ccc([N+](=O)[O-])c([N+](=O)[O-])c2)CCO1 ZINC001233703393 778048013 /nfs/dbraw/zinc/04/80/13/778048013.db2.gz WBQJGSVNFJXYOX-SNVBAGLBSA-N 0 0 296.279 2.839 20 5 CFBDRN O=[N+]([O-])c1ncc(Cl)cc1OC[C@@H]1CCC=CO1 ZINC001233712218 778052255 /nfs/dbraw/zinc/05/22/55/778052255.db2.gz CLQXIEJRHGFHDQ-VIFPVBQESA-N 0 0 270.672 2.715 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233748012 778062475 /nfs/dbraw/zinc/06/24/75/778062475.db2.gz DIBHAYPYPKRVJZ-CPCISQLKSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(OC[C@@H]2CCC=CO2)cc1F ZINC001233752035 778063999 /nfs/dbraw/zinc/06/39/99/778063999.db2.gz ZJMKNRSNIQWRKT-QMMMGPOBSA-N 0 0 271.219 2.945 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233751620 778064576 /nfs/dbraw/zinc/06/45/76/778064576.db2.gz WETXBZIIZXDNPZ-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@H]1C[C@H](Oc2ccc([N+](=O)[O-])c(F)c2F)CCO1 ZINC001233767731 778069498 /nfs/dbraw/zinc/06/94/98/778069498.db2.gz AAIDXPIAOVHSOH-JGVFFNPUSA-N 0 0 273.235 2.819 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCn3ccnc32)c(F)c1F ZINC001233764303 778069944 /nfs/dbraw/zinc/06/99/44/778069944.db2.gz BAWBVEWRHBRYDN-VIFPVBQESA-N 0 0 281.218 2.593 20 5 CFBDRN CCOC[C@@H](C)Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233765750 778070213 /nfs/dbraw/zinc/07/02/13/778070213.db2.gz YMGUCSRBUATEDM-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CCO[C@@H](C)COc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233783987 778076402 /nfs/dbraw/zinc/07/64/02/778076402.db2.gz BMMSDFPWUWPDOV-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN C[C@@H](Oc1ccnc([N+](=O)[O-])c1)c1cnc2ccccn21 ZINC001233854855 778097127 /nfs/dbraw/zinc/09/71/27/778097127.db2.gz JTYAQNJWLWJKBV-SNVBAGLBSA-N 0 0 284.275 2.778 20 5 CFBDRN C[C@@H](COCc1ccccc1)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858682 778097181 /nfs/dbraw/zinc/09/71/81/778097181.db2.gz ZASRBXCNICAUJL-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN CC1(C)CC(=O)CC[C@@H]1Oc1ccnc([N+](=O)[O-])c1 ZINC001233857728 778097492 /nfs/dbraw/zinc/09/74/92/778097492.db2.gz PEBZUFLQHIBYDV-NSHDSACASA-N 0 0 264.281 2.516 20 5 CFBDRN CC[C@H](C)[C@H](C)Oc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC001233860602 778098811 /nfs/dbraw/zinc/09/88/11/778098811.db2.gz BZKSLTFTJULSBA-IUCAKERBSA-N 0 0 282.296 2.590 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC1(F)CCOCC1 ZINC001168124575 778105206 /nfs/dbraw/zinc/10/52/06/778105206.db2.gz SZJZJNBWXZPXDM-UHFFFAOYSA-N 0 0 268.288 2.834 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001233988714 778131859 /nfs/dbraw/zinc/13/18/59/778131859.db2.gz WZBQZAZSIBVVTJ-CLLJXQQHSA-N 0 0 277.320 2.692 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2C[C@H]3CC[C@@H]2C3)cc1[N+](=O)[O-] ZINC001234049794 778153101 /nfs/dbraw/zinc/15/31/01/778153101.db2.gz CSLDCNVKGIAATA-IMSIIYSGSA-N 0 0 291.303 2.949 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2CCOC[C@H]2F)cc1[N+](=O)[O-] ZINC001234058491 778155008 /nfs/dbraw/zinc/15/50/08/778155008.db2.gz FGJRETJFRHWRQI-MWLCHTKSSA-N 0 0 273.235 2.548 20 5 CFBDRN Cc1cc(F)c(O[C@H]2CCn3ccnc32)cc1[N+](=O)[O-] ZINC001234057203 778155453 /nfs/dbraw/zinc/15/54/53/778155453.db2.gz RFPKPPXCYJBABE-NSHDSACASA-N 0 0 277.255 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@@H]1CCOC[C@@H]1F ZINC001228571328 778157940 /nfs/dbraw/zinc/15/79/40/778157940.db2.gz NUJWPXMQLOBQLM-NWDGAFQWSA-N 0 0 269.272 2.717 20 5 CFBDRN c1cnc2c(c1)ccc1c(OC[C@H]3COCCO3)ccnc12 ZINC001234087057 778162333 /nfs/dbraw/zinc/16/23/33/778162333.db2.gz LLHCHPCSGVIECP-CYBMUJFWSA-N 0 0 296.326 2.577 20 5 CFBDRN C[C@H](Oc1cc(F)cc(F)c1[N+](=O)[O-])c1ncccn1 ZINC001228610018 778173106 /nfs/dbraw/zinc/17/31/06/778173106.db2.gz LRRNISAATWKDEJ-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@H](Oc2ncncc2[N+](=O)[O-])C1 ZINC001228654753 778178947 /nfs/dbraw/zinc/17/89/47/778178947.db2.gz NKXHJEZXIDQLTO-WCABBAIRSA-N 0 0 251.286 2.588 20 5 CFBDRN CSc1cc(F)cc(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234293759 778202498 /nfs/dbraw/zinc/20/24/98/778202498.db2.gz DGRTZQVJOPWDFS-LBPRGKRZSA-N 0 0 271.313 2.982 20 5 CFBDRN C[C@H](Oc1cncc(O)c1)c1ccccc1[N+](=O)[O-] ZINC001228893859 778205660 /nfs/dbraw/zinc/20/56/60/778205660.db2.gz XWHXARDHFXZORF-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@@H]1CCO[C@@H](C)C1 ZINC001228921938 778208267 /nfs/dbraw/zinc/20/82/67/778208267.db2.gz ROHDZSQPPNIQDA-CMPLNLGQSA-N 0 0 251.282 2.850 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC2)cc1OC1CCCC1 ZINC001234360172 778214752 /nfs/dbraw/zinc/21/47/52/778214752.db2.gz NGRKFERYOJNTFA-UHFFFAOYSA-N 0 0 292.335 2.753 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCC2)cc1O[C@@H]1CCOC1 ZINC001234363801 778214795 /nfs/dbraw/zinc/21/47/95/778214795.db2.gz MNNJVKLFFZTNEL-CYBMUJFWSA-N 0 0 292.335 2.753 20 5 CFBDRN CC(C)(O)[C@@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000721864121 778227231 /nfs/dbraw/zinc/22/72/31/778227231.db2.gz SNHVNSZUUUIQHC-SECBINFHSA-N 0 0 284.743 2.845 20 5 CFBDRN CCc1cc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)no1 ZINC001234650921 778276456 /nfs/dbraw/zinc/27/64/56/778276456.db2.gz QGOZKSULEKDNGY-CYBMUJFWSA-N 0 0 274.276 2.691 20 5 CFBDRN C[C@H]1C[C@H](Oc2cc[n+]([O-])cc2[N+](=O)[O-])CC(C)(C)C1 ZINC001229452687 778305935 /nfs/dbraw/zinc/30/59/35/778305935.db2.gz VXNIEGBFUIOCIY-QWRGUYRKSA-N 0 0 280.324 2.822 20 5 CFBDRN CC[C@@H](COC)Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229487081 778310107 /nfs/dbraw/zinc/31/01/07/778310107.db2.gz JWJPWNXSCMXFBI-VIFPVBQESA-N 0 0 266.253 2.540 20 5 CFBDRN CC[C@@H](COc1nc2cc([N+](=O)[O-])ccc2o1)OC ZINC001229489016 778311241 /nfs/dbraw/zinc/31/12/41/778311241.db2.gz ASDYUYIPDNODLK-VIFPVBQESA-N 0 0 266.253 2.540 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2ccncc2F)n1 ZINC001234880605 778319762 /nfs/dbraw/zinc/31/97/62/778319762.db2.gz DWJNQIWOAUVGEQ-QMMMGPOBSA-N 0 0 293.254 2.673 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CCCC[C@H]2C)n1 ZINC001234880241 778320389 /nfs/dbraw/zinc/32/03/89/778320389.db2.gz ZEMAQLOLQQVHOB-MWLCHTKSSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CC[C@@H](C)CC2)n1 ZINC001234880310 778320536 /nfs/dbraw/zinc/32/05/36/778320536.db2.gz ARWNZIPLMLJJLG-AOOOYVTPSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2ccco2)n1 ZINC001234881629 778321322 /nfs/dbraw/zinc/32/13/22/778321322.db2.gz QTFCIFPYXIADKV-MRVPVSSYSA-N 0 0 264.237 2.731 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H]1CCC(F)(F)C1 ZINC001234906185 778326830 /nfs/dbraw/zinc/32/68/30/778326830.db2.gz WTBKXDRXOXOMES-MRVPVSSYSA-N 0 0 258.224 2.865 20 5 CFBDRN C[C@@]1(O)C[C@H](CNc2nccc3c2cccc3[N+](=O)[O-])C1 ZINC001168338826 778440226 /nfs/dbraw/zinc/44/02/26/778440226.db2.gz GGNUHBWSUPUBRV-AVCCJPFPSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H](OCCc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC001224328850 778471564 /nfs/dbraw/zinc/47/15/64/778471564.db2.gz UCRKIJVIUJSLPL-LBPRGKRZSA-N 0 0 279.336 2.969 20 5 CFBDRN C[C@@H](OC[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC001224478867 778532774 /nfs/dbraw/zinc/53/27/74/778532774.db2.gz DWKICWOUDXCXLY-GHMZBOCLSA-N 0 0 251.282 2.709 20 5 CFBDRN O=C(NC[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1Cl ZINC000160414868 778604190 /nfs/dbraw/zinc/60/41/90/778604190.db2.gz WIVXTSDCFXTVLR-SECBINFHSA-N 0 0 298.726 2.547 20 5 CFBDRN CC[C@H](C)CO[C@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001224665972 778619492 /nfs/dbraw/zinc/61/94/92/778619492.db2.gz YOFVBZFAYOEJQT-VHSXEESVSA-N 0 0 255.318 2.551 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1Cl ZINC000698256505 778785045 /nfs/dbraw/zinc/78/50/45/778785045.db2.gz QEKGUQNAYVYUQP-QMMMGPOBSA-N 0 0 266.684 2.697 20 5 CFBDRN CCOCCOCCO[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001225032444 778820460 /nfs/dbraw/zinc/82/04/60/778820460.db2.gz NIMWUZHTVZJIHJ-LBPRGKRZSA-N 0 0 283.324 2.726 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CC(=O)C(C)(C)C ZINC001120848895 778992444 /nfs/dbraw/zinc/99/24/44/778992444.db2.gz BHAJVPADCRWQTJ-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])c(F)c2F)=C1C ZINC001233765221 779083453 /nfs/dbraw/zinc/08/34/53/779083453.db2.gz SCBRRNVSKFBCAP-QMMMGPOBSA-N 0 0 299.229 2.861 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233764374 779083968 /nfs/dbraw/zinc/08/39/68/779083968.db2.gz CXKHBXWOADPUDW-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN COc1ccc([C@H](C)Oc2ccnc([N+](=O)[O-])c2)cn1 ZINC001233856783 779095927 /nfs/dbraw/zinc/09/59/27/779095927.db2.gz GYHBWKJVUOGZNU-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1cc(F)c(O[C@H]2C=C(C)OC(=O)C2)cc1[N+](=O)[O-] ZINC001234057067 779128517 /nfs/dbraw/zinc/12/85/17/779128517.db2.gz PVQAGKZEMMMCJN-VIFPVBQESA-N 0 0 281.239 2.640 20 5 CFBDRN CC1(C)C[C@@H](CNc2cnc(Cl)cc2[N+](=O)[O-])O1 ZINC001168451785 779178372 /nfs/dbraw/zinc/17/83/72/779178372.db2.gz QFOIFSMMLGKLDM-ZETCQYMHSA-N 0 0 271.704 2.623 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC[C@H]2C[C@H]2C1 ZINC000699217056 779220744 /nfs/dbraw/zinc/22/07/44/779220744.db2.gz NDCQINNREDEUHQ-IUCAKERBSA-N 0 0 280.711 2.730 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@@H]1C[C@@H]1C1CC1 ZINC000176548080 779227430 /nfs/dbraw/zinc/22/74/30/779227430.db2.gz XQMWKRWXICVXIB-NXEZZACHSA-N 0 0 264.256 2.719 20 5 CFBDRN CC(C)(F)CCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000699248782 779228193 /nfs/dbraw/zinc/22/81/93/779228193.db2.gz XPLGMAUWEFNUJT-UHFFFAOYSA-N 0 0 272.251 2.602 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1C[C@@H]1C1CC1 ZINC000176548273 779228491 /nfs/dbraw/zinc/22/84/91/779228491.db2.gz VVRSSACVHKERCL-ZJUUUORDSA-N 0 0 264.256 2.719 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2nccs2)n1 ZINC001234881075 779279689 /nfs/dbraw/zinc/27/96/89/779279689.db2.gz LQVBWFOLNUNYEM-ZETCQYMHSA-N 0 0 281.293 2.595 20 5 CFBDRN CCN(CCNc1cccc(F)c1[N+](=O)[O-])C(=O)C(C)C ZINC001106682064 779338115 /nfs/dbraw/zinc/33/81/15/779338115.db2.gz RCFIWCWKDIKOSX-UHFFFAOYSA-N 0 0 297.330 2.650 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])cc1)C(=O)C(C)(C)C ZINC001106753063 779364828 /nfs/dbraw/zinc/36/48/28/779364828.db2.gz CLYPTQRUVSSHNK-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCCOCCF ZINC000702605680 779368688 /nfs/dbraw/zinc/36/86/88/779368688.db2.gz VYRFFKJJDSZWBT-UHFFFAOYSA-N 0 0 262.668 2.646 20 5 CFBDRN CCC(CC)n1nc(C(=O)O[C@@H](CC)C[N+](=O)[O-])cc1C ZINC001123354926 779392088 /nfs/dbraw/zinc/39/20/88/779392088.db2.gz HMNIZBJZUFJVRF-LBPRGKRZSA-N 0 0 297.355 2.765 20 5 CFBDRN Cc1cc(NC(=O)c2nn(C)cc2Cl)ccc1[N+](=O)[O-] ZINC001127548882 779527620 /nfs/dbraw/zinc/52/76/20/779527620.db2.gz LRRPZGIJSBUZDJ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC[C@H](OC(=O)c1ncccn1)c1cccc([N+](=O)[O-])c1 ZINC001127623589 779617908 /nfs/dbraw/zinc/61/79/08/779617908.db2.gz CNIHVYIEOKTCLA-LBPRGKRZSA-N 0 0 287.275 2.693 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(Cl)cn2)n1 ZINC001124521452 779685498 /nfs/dbraw/zinc/68/54/98/779685498.db2.gz OOZONZKPFQIYSA-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC(C)(C)[C@H](CO)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC001168556933 779966022 /nfs/dbraw/zinc/96/60/22/779966022.db2.gz BKPQQBPOYQPHQE-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(=O)[C@H](C)OC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC001205243115 780030712 /nfs/dbraw/zinc/03/07/12/780030712.db2.gz XCIAKXUTSKCZPE-LBPRGKRZSA-N 0 0 292.335 2.558 20 5 CFBDRN COc1ncnc(NC[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000094739328 780125085 /nfs/dbraw/zinc/12/50/85/780125085.db2.gz DAXWWRGRMUTULZ-VHSXEESVSA-N 0 0 280.328 2.632 20 5 CFBDRN N#CNc1cc([N+](=O)[O-])cc2cc(Br)cnc21 ZINC001168631179 780132243 /nfs/dbraw/zinc/13/22/43/780132243.db2.gz MXEVUUZSTMVVIM-UHFFFAOYSA-N 0 0 293.080 2.798 20 5 CFBDRN CNc1cc([N+](=O)[O-])cnc1N[C@@H](C)C(C)(C)C ZINC001168683986 780320168 /nfs/dbraw/zinc/32/01/68/780320168.db2.gz ZQLJARGRBTVZAJ-QMMMGPOBSA-N 0 0 252.318 2.878 20 5 CFBDRN COc1ncc([N+](=O)[O-])cc1N[C@@H](C)C(C)(C)C ZINC001168684349 780321962 /nfs/dbraw/zinc/32/19/62/780321962.db2.gz DBZFUFKTGOFVKZ-QMMMGPOBSA-N 0 0 253.302 2.845 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1nc(OC)ncc1[N+](=O)[O-] ZINC001168690860 780341845 /nfs/dbraw/zinc/34/18/45/780341845.db2.gz AIUWFLYWCUXLJD-RKDXNWHRSA-N 0 0 268.317 2.630 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1cc([N+](=O)[O-])c(C)c[n+]1[O-] ZINC001168693281 780347777 /nfs/dbraw/zinc/34/77/77/780347777.db2.gz IVRBKHZJWLLVFB-GXSJLCMTSA-N 0 0 267.329 2.773 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1cc([N+](=O)[O-])c2nncn2c1 ZINC001168695820 780355409 /nfs/dbraw/zinc/35/54/09/780355409.db2.gz VZWIXHPTNFQXSJ-ZJUUUORDSA-N 0 0 277.328 2.874 20 5 CFBDRN C[C@H](CCCC(C)(C)O)Nc1ccc([N+](=O)[O-])cn1 ZINC001168695885 780356393 /nfs/dbraw/zinc/35/63/93/780356393.db2.gz HUMKQQIEXGWRFW-SNVBAGLBSA-N 0 0 267.329 2.731 20 5 CFBDRN CC[C@@H]1CCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC001128278826 780378246 /nfs/dbraw/zinc/37/82/46/780378246.db2.gz BSFQIJYOLCMDGW-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN COc1ncc([N+](=O)[O-])cc1N[C@@H](C)CCCC(C)(C)O ZINC001168712191 780462258 /nfs/dbraw/zinc/46/22/58/780462258.db2.gz PKOCIZIEPKNCHU-JTQLQIEISA-N 0 0 297.355 2.740 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])c2nonc21)C1CC1 ZINC000132821983 780618130 /nfs/dbraw/zinc/61/81/30/780618130.db2.gz AIWJQRUCVTWWQA-ZETCQYMHSA-N 0 0 262.269 2.589 20 5 CFBDRN Cc1c(NC[C@@H](O)c2ccco2)cc(F)cc1[N+](=O)[O-] ZINC001162258277 780645709 /nfs/dbraw/zinc/64/57/09/780645709.db2.gz NMDHPCZZROQQKG-GFCCVEGCSA-N 0 0 280.255 2.781 20 5 CFBDRN Cc1c(NC[C@H](O)c2ccco2)cc(F)cc1[N+](=O)[O-] ZINC001162258278 780645735 /nfs/dbraw/zinc/64/57/35/780645735.db2.gz NMDHPCZZROQQKG-LBPRGKRZSA-N 0 0 280.255 2.781 20 5 CFBDRN C[C@@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])C[C@H]1CO ZINC000700443658 780691083 /nfs/dbraw/zinc/69/10/83/780691083.db2.gz DOVSKOKDLPAEGO-ZJUUUORDSA-N 0 0 284.743 2.703 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1c([N+](=O)[O-])cnn1C ZINC001162345019 780788828 /nfs/dbraw/zinc/78/88/28/780788828.db2.gz DIEXXNYPTQDTCB-ZJUUUORDSA-N 0 0 252.318 2.567 20 5 CFBDRN O=[N+]([O-])c1cnc(Cl)cc1N[C@@H]1C[C@@]12CCCOC2 ZINC001162370723 780811149 /nfs/dbraw/zinc/81/11/49/780811149.db2.gz MPOHHRPKZUWLEI-ZYHUDNBSSA-N 0 0 283.715 2.624 20 5 CFBDRN O=C(NCC[C@H]1CC1(F)F)c1cc(F)ccc1[N+](=O)[O-] ZINC000701992874 780860374 /nfs/dbraw/zinc/86/03/74/780860374.db2.gz HKVLTNDUGXOUNX-ZETCQYMHSA-N 0 0 288.225 2.509 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@]2(C)CC2(C)C)c1F ZINC000702332010 780915174 /nfs/dbraw/zinc/91/51/74/780915174.db2.gz CRBYFLTZAQSQRE-AWEZNQCLSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@]2(C)CC2(C)C)c1F ZINC000702332011 780915245 /nfs/dbraw/zinc/91/52/45/780915245.db2.gz CRBYFLTZAQSQRE-CQSZACIVSA-N 0 0 280.299 2.961 20 5 CFBDRN CCn1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)n1 ZINC001128951452 780978142 /nfs/dbraw/zinc/97/81/42/780978142.db2.gz MEPNEMKHXZDIPI-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN COc1ccc([N+](=O)[O-])nc1N[C@@H]1CCCC[C@H]1F ZINC001162508851 781023376 /nfs/dbraw/zinc/02/33/76/781023376.db2.gz WSTXOOILCGYWOB-RKDXNWHRSA-N 0 0 269.276 2.691 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1F)C1CCC1 ZINC000706955317 781218515 /nfs/dbraw/zinc/21/85/15/781218515.db2.gz GYIUDVBGAAITEL-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@H]1C[C@@H](CO)C1 ZINC000707569108 781261456 /nfs/dbraw/zinc/26/14/56/781261456.db2.gz QHBDABJPNPZPKX-KNVOCYPGSA-N 0 0 274.679 2.570 20 5 CFBDRN CC1(C)CO[C@@H](COc2ccc(C=O)cc2[N+](=O)[O-])C1 ZINC000708376561 781271646 /nfs/dbraw/zinc/27/16/46/781271646.db2.gz OECFHITWGOAGGQ-LLVKDONJSA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1nc(Cl)nc(N[C@@H](C)c2ccno2)c1[N+](=O)[O-] ZINC001163207480 781400492 /nfs/dbraw/zinc/40/04/92/781400492.db2.gz NOHIAIUXYCXQGD-YFKPBYRVSA-N 0 0 283.675 2.508 20 5 CFBDRN Cc1cccc(CCN2Cc3ccc([N+](=O)[O-])cc3C2)n1 ZINC001118130616 781414827 /nfs/dbraw/zinc/41/48/27/781414827.db2.gz FDVOETGFTIMSJB-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN Nc1c(F)c(N2CCC[C@@H](CF)C2)ccc1[N+](=O)[O-] ZINC000711504232 781449021 /nfs/dbraw/zinc/44/90/21/781449021.db2.gz YEGQNWWFKPLSRS-QMMMGPOBSA-N 0 0 271.267 2.502 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCC2(CCC2)O1 ZINC000711517344 781451761 /nfs/dbraw/zinc/45/17/61/781451761.db2.gz AUVHFLAYFXZXBO-GFCCVEGCSA-N 0 0 277.324 2.812 20 5 CFBDRN O=C(OCCOC1CC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000712025875 781490927 /nfs/dbraw/zinc/49/09/27/781490927.db2.gz BTMRRCGNGNWMQP-UHFFFAOYSA-N 0 0 285.683 2.584 20 5 CFBDRN COc1cc(N2[C@H](C)CCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC001163542450 781504937 /nfs/dbraw/zinc/50/49/37/781504937.db2.gz ZTZFQLUJHPYRAV-AOOOYVTPSA-N 0 0 265.313 2.766 20 5 CFBDRN CCc1cccnc1-c1noc(-c2ccc([N+](=O)[O-])o2)n1 ZINC001212571110 781510050 /nfs/dbraw/zinc/51/00/50/781510050.db2.gz WOKLFOPILJXWCS-UHFFFAOYSA-N 0 0 286.247 2.862 20 5 CFBDRN CCC(=O)N[C@H]1CC[C@H](Nc2ccccc2[N+](=O)[O-])CC1 ZINC001063342959 781515273 /nfs/dbraw/zinc/51/52/73/781515273.db2.gz GTMXKPDYIZQCRS-HAQNSBGRSA-N 0 0 291.351 2.844 20 5 CFBDRN O=[N+]([O-])c1ccnc(N2CC[C@H](F)C(F)(F)CC2)c1 ZINC001163771769 781569988 /nfs/dbraw/zinc/56/99/88/781569988.db2.gz CDZDBJMHSYCHBW-VIFPVBQESA-N 0 0 275.230 2.563 20 5 CFBDRN Cc1cc(OCC(=O)OCC2CC=CC2)ccc1[N+](=O)[O-] ZINC001118398797 781621623 /nfs/dbraw/zinc/62/16/23/781621623.db2.gz HGASQGRRQXDLRV-UHFFFAOYSA-N 0 0 291.303 2.791 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001118416992 781627171 /nfs/dbraw/zinc/62/71/71/781627171.db2.gz RHDNSCIQIDGFKU-RKDXNWHRSA-N 0 0 268.273 2.526 20 5 CFBDRN C[C@]1(F)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1F ZINC001164326999 781705689 /nfs/dbraw/zinc/70/56/89/781705689.db2.gz MBZNTSNVFNICDZ-KOLCDFICSA-N 0 0 291.685 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ncc1NC[C@H]1CCCCO1 ZINC001164689423 781733950 /nfs/dbraw/zinc/73/39/50/781733950.db2.gz KFWIHBIMTREKTF-MRVPVSSYSA-N 0 0 271.704 2.624 20 5 CFBDRN COc1c(F)cc(NC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC001165230172 781744795 /nfs/dbraw/zinc/74/47/95/781744795.db2.gz RRVCXVILOVDHIU-SNVBAGLBSA-N 0 0 284.287 2.724 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(N2C[C@H]3[C@H](CC3(F)F)C2)n1 ZINC001165294874 781747815 /nfs/dbraw/zinc/74/78/15/781747815.db2.gz ORACNXFHFDCAPT-SVRRBLITSA-N 0 0 289.669 2.735 20 5 CFBDRN CN(c1ncnc2cc([N+](=O)[O-])ccc21)C(C)(C)C ZINC001166530168 781759630 /nfs/dbraw/zinc/75/96/30/781759630.db2.gz QLJMJAMLMWHBJQ-UHFFFAOYSA-N 0 0 260.297 2.773 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1C[C@@H]2C[C@H]1C[C@H]2F ZINC001166532155 781759743 /nfs/dbraw/zinc/75/97/43/781759743.db2.gz JBSYWFGIGOACTA-CNUIFLNQSA-N 0 0 271.679 2.580 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@H]1CCOC[C@H]1F ZINC001230727348 781869433 /nfs/dbraw/zinc/86/94/33/781869433.db2.gz BYSRRHYGRUURNG-PWSUYJOCSA-N 0 0 269.272 2.717 20 5 CFBDRN Cc1cc(=O)cc(O[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC001230897260 781878675 /nfs/dbraw/zinc/87/86/75/781878675.db2.gz GCLKUKDTPVCYOG-SNVBAGLBSA-N 0 0 275.260 2.996 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2cccc(Cl)c2[N+](=O)[O-])C1 ZINC001230916883 781882837 /nfs/dbraw/zinc/88/28/37/781882837.db2.gz FQKXMIFZISNJRV-OCAPTIKFSA-N 0 0 285.683 2.579 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1O[C@@H]1CCCOC1 ZINC001230916407 781883206 /nfs/dbraw/zinc/88/32/06/781883206.db2.gz ZHZXRRLZPJFGLI-MRVPVSSYSA-N 0 0 257.673 2.806 20 5 CFBDRN CCOC(=O)C1CC(Oc2c(C)ccc(C)c2[N+](=O)[O-])C1 ZINC001231061219 781900777 /nfs/dbraw/zinc/90/07/77/781900777.db2.gz SUAOAQKJWKZWRQ-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CC2)[C@@H]2CCCCO2)cn1 ZINC000594590322 349453193 /nfs/dbraw/zinc/45/31/93/349453193.db2.gz KSHAFIVTBOSIIK-GXTWGEPZSA-N 0 0 277.324 2.749 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@H](C)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000594576781 349450304 /nfs/dbraw/zinc/45/03/04/349450304.db2.gz ACCIKRRJXSWCFL-WDEREUQCSA-N 0 0 297.330 2.961 20 5 CFBDRN CC(C)Oc1nc(N[C@H]2CCC[C@H]2F)ccc1[N+](=O)[O-] ZINC000594581180 349451648 /nfs/dbraw/zinc/45/16/48/349451648.db2.gz JRDPOKHRHJHXJP-ZJUUUORDSA-N 0 0 283.303 2.501 20 5 CFBDRN COCC1(CNc2ccnc3c2cccc3[N+](=O)[O-])CC1 ZINC000594592401 349454039 /nfs/dbraw/zinc/45/40/39/349454039.db2.gz MNZSDFYTRJPZKL-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1cnc(CCNc2ccc([N+](=O)[O-])nc2)c(C)c1 ZINC000594594956 349455561 /nfs/dbraw/zinc/45/55/61/349455561.db2.gz DCLUTOVKXOZOCB-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1cnc(CCNc2ncccc2[N+](=O)[O-])c(C)c1 ZINC000594597424 349456072 /nfs/dbraw/zinc/45/60/72/349456072.db2.gz TZPCHDYVKLBMPP-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)C1CCC(F)(F)CC1 ZINC000594597934 349456135 /nfs/dbraw/zinc/45/61/35/349456135.db2.gz FEYUGQGTLTVQBL-QMMMGPOBSA-N 0 0 288.298 2.954 20 5 CFBDRN COC[C@H](CC(C)(C)C)Nc1ncccc1[N+](=O)[O-] ZINC000594602407 349458945 /nfs/dbraw/zinc/45/89/45/349458945.db2.gz YWBCLPRVXDZSIR-JTQLQIEISA-N 0 0 267.329 2.853 20 5 CFBDRN Cc1cc(CNC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000067556343 346809642 /nfs/dbraw/zinc/80/96/42/346809642.db2.gz KDGKBZCPEYQPBD-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN COc1ccc([C@@H](C)Cn2cnc([N+](=O)[O-])c2)cc1 ZINC000594885366 349493264 /nfs/dbraw/zinc/49/32/64/349493264.db2.gz DGZVEOOIULIVRG-JTQLQIEISA-N 0 0 261.281 2.604 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000594891398 349495195 /nfs/dbraw/zinc/49/51/95/349495195.db2.gz UKRFMBDNSIJNKW-OUAUKWLOSA-N 0 0 288.303 2.939 20 5 CFBDRN CO[C@@H](CSCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCOC1 ZINC000594892617 349495910 /nfs/dbraw/zinc/49/59/10/349495910.db2.gz BSBLHVCSQXVVTO-OCCSQVGLSA-N 0 0 297.376 2.880 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCC2CCC2)c1=O ZINC000594892851 349496199 /nfs/dbraw/zinc/49/61/99/349496199.db2.gz JZBRRELYWFVARU-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN CCCSCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000127652581 187323384 /nfs/dbraw/zinc/32/33/84/187323384.db2.gz FQENNCNLVDLSDE-UHFFFAOYSA-N 0 0 254.311 2.677 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000594900036 349499184 /nfs/dbraw/zinc/49/91/84/349499184.db2.gz KYVRHBYZXAYONY-OUAUKWLOSA-N 0 0 288.303 2.939 20 5 CFBDRN Cc1nc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)[nH]c1C ZINC000594933693 349516606 /nfs/dbraw/zinc/51/66/06/349516606.db2.gz AUKROXMUMKFIKX-UHFFFAOYSA-N 0 0 288.351 2.960 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000124682985 187148617 /nfs/dbraw/zinc/14/86/17/187148617.db2.gz XJFBFXJFBRLDOL-NXEZZACHSA-N 0 0 278.312 2.690 20 5 CFBDRN C/C(=C/c1nc(Cc2ccc([N+](=O)[O-])cn2)no1)C1CC1 ZINC000273845958 192331980 /nfs/dbraw/zinc/33/19/80/192331980.db2.gz FQOXNKDWKOOKRB-TWGQIWQCSA-N 0 0 286.291 2.777 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CC[C@H](O)CC1 ZINC000125017389 187165942 /nfs/dbraw/zinc/16/59/42/187165942.db2.gz LTTOVKZMNDWGBW-PHIMTYICSA-N 0 0 250.298 2.619 20 5 CFBDRN COc1ccc(NC(=O)C(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000290152725 197612582 /nfs/dbraw/zinc/61/25/82/197612582.db2.gz CSPSXCUZNLPLSJ-UHFFFAOYSA-N 0 0 278.308 2.978 20 5 CFBDRN CC[C@H](SC)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000125122103 187170704 /nfs/dbraw/zinc/17/07/04/187170704.db2.gz DSJQDMBGEQZHRH-NSHDSACASA-N 0 0 285.321 2.650 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)NCc1cscn1 ZINC000595017909 349551870 /nfs/dbraw/zinc/55/18/70/349551870.db2.gz XFKZTSRJRHPDGA-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)NCc1cnc(C)o1 ZINC000595053468 349566596 /nfs/dbraw/zinc/56/65/96/349566596.db2.gz VKAMLABRRPBGEP-VIFPVBQESA-N 0 0 291.307 2.751 20 5 CFBDRN Cc1csc([C@H](C)NC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000067875541 346825221 /nfs/dbraw/zinc/82/52/21/346825221.db2.gz LXTQXXJNMATLET-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@@H](NCc1ncccn1)CCCC2 ZINC000595099040 349581597 /nfs/dbraw/zinc/58/15/97/349581597.db2.gz PVPWEMBIKDKAAQ-HNNXBMFYSA-N 0 0 298.346 2.942 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)c1nccn1C ZINC000595110254 349583913 /nfs/dbraw/zinc/58/39/13/349583913.db2.gz ZSYHHZJSOSANMR-GHMZBOCLSA-N 0 0 274.324 2.740 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCc2ccccc2)c1=O ZINC000096778377 185112355 /nfs/dbraw/zinc/11/23/55/185112355.db2.gz VTYKJQORRAKSRG-UHFFFAOYSA-N 0 0 272.304 2.698 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000068171700 346838342 /nfs/dbraw/zinc/83/83/42/346838342.db2.gz LWJNZEJHWHBEDH-VIFPVBQESA-N 0 0 291.332 2.885 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000068243468 346843603 /nfs/dbraw/zinc/84/36/03/346843603.db2.gz SNGBVDADUNWWCL-JTQLQIEISA-N 0 0 298.364 2.606 20 5 CFBDRN Cc1nc(N[C@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000125367262 187182051 /nfs/dbraw/zinc/18/20/51/187182051.db2.gz UMYOTIUIVVVKJU-VIFPVBQESA-N 0 0 253.327 2.606 20 5 CFBDRN Cc1noc(CN2CCCc3ccccc32)c1[N+](=O)[O-] ZINC000595287674 349591451 /nfs/dbraw/zinc/59/14/51/349591451.db2.gz USUGPHUCWKCXRZ-UHFFFAOYSA-N 0 0 273.292 2.844 20 5 CFBDRN CCCN(CC(=O)OCC)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595294290 349593253 /nfs/dbraw/zinc/59/32/53/349593253.db2.gz KOSPKWBHWHVXFR-UHFFFAOYSA-N 0 0 298.314 2.509 20 5 CFBDRN Cc1noc(CN2CCC[C@H]2c2ccccn2)c1[N+](=O)[O-] ZINC000595347396 349607251 /nfs/dbraw/zinc/60/72/51/349607251.db2.gz UFRRUUYQJABMBP-LBPRGKRZSA-N 0 0 288.307 2.623 20 5 CFBDRN Cc1ccnc(NCC[C@@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000273097378 192042255 /nfs/dbraw/zinc/04/22/55/192042255.db2.gz DPKKNSCERQHRME-VXGBXAGGSA-N 0 0 279.340 2.915 20 5 CFBDRN CCc1cnccc1[C@H](C)NCc1onc(C)c1[N+](=O)[O-] ZINC000595427607 349622706 /nfs/dbraw/zinc/62/27/06/349622706.db2.gz XZANMJCYMBDCNS-VIFPVBQESA-N 0 0 290.323 2.699 20 5 CFBDRN Cc1nnc(CN(C)Cc2cc([N+](=O)[O-])ccc2Cl)o1 ZINC000096995525 185148689 /nfs/dbraw/zinc/14/86/89/185148689.db2.gz ZNGABLXSMVSYMF-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCOCC2(CCC2)C1 ZINC000595436752 349625752 /nfs/dbraw/zinc/62/57/52/349625752.db2.gz AQVDTSWUOOWQFO-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN O=C1[C@H](Nc2ccc([N+](=O)[O-])cc2)CCN1c1ccccc1 ZINC000068770021 346868714 /nfs/dbraw/zinc/86/87/14/346868714.db2.gz QLFQHEJULMXARO-OAHLLOKOSA-N 0 0 297.314 2.812 20 5 CFBDRN C[C@@H](O)[C@H]1C[C@H](C)CC[N@@H+]1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595457164 349632947 /nfs/dbraw/zinc/63/29/47/349632947.db2.gz ATHHAOMPBCKZMX-JTNHKYCSSA-N 0 0 296.342 2.715 20 5 CFBDRN CC1(C)CC(NC(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000595467139 349635656 /nfs/dbraw/zinc/63/56/56/349635656.db2.gz ZZNGXMOHUMAFAT-UHFFFAOYSA-N 0 0 291.351 2.625 20 5 CFBDRN COc1ccc(CN2CC[C@@H]2C(F)(F)F)cc1[N+](=O)[O-] ZINC000595493225 349641492 /nfs/dbraw/zinc/64/14/92/349641492.db2.gz DXHUHTBYJLQFTE-LLVKDONJSA-N 0 0 290.241 2.740 20 5 CFBDRN O=C(NCC1=CCCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000595474096 349637764 /nfs/dbraw/zinc/63/77/64/349637764.db2.gz CYAUCLLRNCSKCR-UHFFFAOYSA-N 0 0 275.308 2.504 20 5 CFBDRN CC[C@H](C)N(CC(=O)OC)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595477840 349638351 /nfs/dbraw/zinc/63/83/51/349638351.db2.gz YYJGNUNEMWAHKW-JTQLQIEISA-N 0 0 298.314 2.507 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC(C2CCCC2)C1 ZINC000365425156 282167093 /nfs/dbraw/zinc/16/70/93/282167093.db2.gz KEVMJHQBKYDJOX-UHFFFAOYSA-N 0 0 289.335 2.560 20 5 CFBDRN CC1(C)[C@H]([NH2+]Cc2ccc(F)cc2[N+](=O)[O-])[C@H]2CCO[C@@H]21 ZINC000595513542 349648656 /nfs/dbraw/zinc/64/86/56/349648656.db2.gz VPYZFPIYWKVTQE-BNOWGMLFSA-N 0 0 294.326 2.637 20 5 CFBDRN CCn1cc([C@@H](C)NCc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000595520667 349650329 /nfs/dbraw/zinc/65/03/29/349650329.db2.gz RSQSLLKEHHAKOM-SNVBAGLBSA-N 0 0 292.314 2.801 20 5 CFBDRN Cc1noc(CN2CCc3c2c(F)ccc3F)c1[N+](=O)[O-] ZINC000595499534 349642815 /nfs/dbraw/zinc/64/28/15/349642815.db2.gz LXDDWJHETZHJMH-UHFFFAOYSA-N 0 0 295.245 2.732 20 5 CFBDRN Cc1noc(Cn2cnc3c2C[C@@H](C)[C@@H](C)C3)c1[N+](=O)[O-] ZINC000595510093 349646541 /nfs/dbraw/zinc/64/65/41/349646541.db2.gz JZJCLOHUGBLDOC-DTWKUNHWSA-N 0 0 290.323 2.507 20 5 CFBDRN Cc1noc(Cn2cnc3c2C[C@@H](C)[C@H](C)C3)c1[N+](=O)[O-] ZINC000595510095 349647035 /nfs/dbraw/zinc/64/70/35/349647035.db2.gz JZJCLOHUGBLDOC-RKDXNWHRSA-N 0 0 290.323 2.507 20 5 CFBDRN Cc1noc(CNC2(c3ccccc3C)CC2)c1[N+](=O)[O-] ZINC000595563318 349660453 /nfs/dbraw/zinc/66/04/53/349660453.db2.gz QNCPKNBPTPVPIQ-UHFFFAOYSA-N 0 0 287.319 2.979 20 5 CFBDRN CC[C@@H](CC1CCCC1)C(=O)Nc1c([N+](=O)[O-])cnn1C ZINC000415450267 529462593 /nfs/dbraw/zinc/46/25/93/529462593.db2.gz JRGJCFKNWJXDIY-NSHDSACASA-N 0 0 294.355 2.873 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000413459795 529473677 /nfs/dbraw/zinc/47/36/77/529473677.db2.gz YDZAZIFFMLLVGK-PWSUYJOCSA-N 0 0 266.297 2.583 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1c2ccccc2C[C@@H]1CO ZINC000595656458 349692502 /nfs/dbraw/zinc/69/25/02/349692502.db2.gz SBMAKULQRBAZMP-CQSZACIVSA-N 0 0 284.315 2.518 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])C(C1CC1)C1CC1 ZINC000269838379 190558020 /nfs/dbraw/zinc/55/80/20/190558020.db2.gz ASNIIQBMCZKJBA-UHFFFAOYSA-N 0 0 288.347 2.690 20 5 CFBDRN CCCN(CCC)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000126034670 187212087 /nfs/dbraw/zinc/21/20/87/187212087.db2.gz ZFGOGZCWKZYKIJ-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN CC1(NC(=O)CSc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000126067162 187214557 /nfs/dbraw/zinc/21/45/57/187214557.db2.gz VIPMWWVKHGIJCU-UHFFFAOYSA-N 0 0 280.349 2.746 20 5 CFBDRN CC(C)N(CCn1ccc([N+](=O)[O-])n1)c1cccc(F)c1 ZINC000595825025 349743188 /nfs/dbraw/zinc/74/31/88/349743188.db2.gz RPYANIRIQRUNEK-UHFFFAOYSA-N 0 0 292.314 2.845 20 5 CFBDRN Cc1cc(NCc2cccc(N(C)C)n2)ccc1[N+](=O)[O-] ZINC000269946672 190573884 /nfs/dbraw/zinc/57/38/84/190573884.db2.gz GKQRHLMIGGXQQN-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CC(C)(C)C[N@H+](CC(=O)[O-])Cc1csc([N+](=O)[O-])c1 ZINC000595884981 349760737 /nfs/dbraw/zinc/76/07/37/349760737.db2.gz DEHLFJAOHYEBLA-UHFFFAOYSA-N 0 0 286.353 2.589 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC1CC(C)(C)C1 ZINC000595862591 349757853 /nfs/dbraw/zinc/75/78/53/349757853.db2.gz GNBBZUDTXWRKDG-UHFFFAOYSA-N 0 0 291.351 2.925 20 5 CFBDRN NC(=O)c1cc(NC2CCCCCC2)ccc1[N+](=O)[O-] ZINC000596056415 349783886 /nfs/dbraw/zinc/78/38/86/349783886.db2.gz YZGRKRCQWFDNJA-UHFFFAOYSA-N 0 0 277.324 2.828 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCCF)n2)cc1 ZINC000596044169 349780202 /nfs/dbraw/zinc/78/02/02/349780202.db2.gz BJGOTJUBKFDTPE-UHFFFAOYSA-N 0 0 251.217 2.547 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000269976712 190578563 /nfs/dbraw/zinc/57/85/63/190578563.db2.gz MIDYWSCIBBSEBO-BXUZGUMPSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1noc(C)c1[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000070951410 346918040 /nfs/dbraw/zinc/91/80/40/346918040.db2.gz YJEDFNIGPCAPCI-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596056322 349783604 /nfs/dbraw/zinc/78/36/04/349783604.db2.gz RPSMQPDLYYXKCN-SKDRFNHKSA-N 0 0 277.324 2.684 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H](O)C(C)C ZINC000414406881 529648359 /nfs/dbraw/zinc/64/83/59/529648359.db2.gz DPMSUZCLXZKKBF-OLZOCXBDSA-N 0 0 295.339 2.512 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596086178 349790513 /nfs/dbraw/zinc/79/05/13/349790513.db2.gz UUDUZZQZWADARB-ZJUUUORDSA-N 0 0 265.313 2.934 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000126235404 187225820 /nfs/dbraw/zinc/22/58/20/187225820.db2.gz XCHUJLOYZVWRNN-NWDGAFQWSA-N 0 0 291.351 2.577 20 5 CFBDRN CC[C@H](C)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000176496405 529636166 /nfs/dbraw/zinc/63/61/66/529636166.db2.gz ZMQJXOFKSJVPQU-JTQLQIEISA-N 0 0 264.325 2.992 20 5 CFBDRN CN(CC1CCCCC1)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596070817 349786228 /nfs/dbraw/zinc/78/62/28/349786228.db2.gz GIKOJKLDROCLKR-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1cc(NCC[C@H]2CCCO2)c([N+](=O)[O-])s1 ZINC000596070159 349786439 /nfs/dbraw/zinc/78/64/39/349786439.db2.gz OPAHFUGWWIBJQE-SECBINFHSA-N 0 0 256.327 2.946 20 5 CFBDRN CC[C@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413554804 529680468 /nfs/dbraw/zinc/68/04/68/529680468.db2.gz QNBWKOWCQDVNGF-WCQYABFASA-N 0 0 281.356 2.814 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000335878633 300487156 /nfs/dbraw/zinc/48/71/56/300487156.db2.gz JAZLAZOHQOGTNV-YUMQZZPRSA-N 0 0 268.700 2.871 20 5 CFBDRN Cc1cc(N2CCC[C@H]2c2ncon2)c([N+](=O)[O-])s1 ZINC000596105066 349795718 /nfs/dbraw/zinc/79/57/18/349795718.db2.gz MIVNVSHRWNOFHK-QMMMGPOBSA-N 0 0 280.309 2.689 20 5 CFBDRN Cc1cc(NCCC(=O)NC(C)(C)C)c([N+](=O)[O-])s1 ZINC000596111174 349797181 /nfs/dbraw/zinc/79/71/81/349797181.db2.gz ZVSINYQBYHEGGI-UHFFFAOYSA-N 0 0 285.369 2.681 20 5 CFBDRN Cc1ccccc1CN(C)c1ccc([N+](=O)[O-])nc1 ZINC000072521916 346927570 /nfs/dbraw/zinc/92/75/70/346927570.db2.gz RAJLBCVUMXTMMP-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN C[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1 ZINC000596120559 349798716 /nfs/dbraw/zinc/79/87/16/349798716.db2.gz UNCHYAOICSDOIJ-MGCOHNPYSA-N 0 0 277.324 2.684 20 5 CFBDRN CCS[C@@H]1CCCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596123743 349799804 /nfs/dbraw/zinc/79/98/04/349799804.db2.gz DFZUWTXTARLODR-SNVBAGLBSA-N 0 0 297.380 2.807 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000126463692 187240998 /nfs/dbraw/zinc/24/09/98/187240998.db2.gz USNBXOYLOGTTKH-LBPRGKRZSA-N 0 0 254.261 2.571 20 5 CFBDRN CCS[C@H]1CCCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596123742 349799602 /nfs/dbraw/zinc/79/96/02/349799602.db2.gz DFZUWTXTARLODR-JTQLQIEISA-N 0 0 297.380 2.807 20 5 CFBDRN COc1cc(N[C@H](C)[C@@H](C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000596135529 349804060 /nfs/dbraw/zinc/80/40/60/349804060.db2.gz JDXACVKXZRUWIT-JGVFFNPUSA-N 0 0 288.731 2.686 20 5 CFBDRN CCC1(O)CCN(c2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596140503 349805922 /nfs/dbraw/zinc/80/59/22/349805922.db2.gz YYDTXAOPSVNZTM-UHFFFAOYSA-N 0 0 270.354 2.706 20 5 CFBDRN CC(C)[C@@H](C)Sc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596141791 349806264 /nfs/dbraw/zinc/80/62/64/349806264.db2.gz KJMSCZMVEWHQGX-MRVPVSSYSA-N 0 0 268.338 2.830 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@@H](C)Nc1ccccc1[N+](=O)[O-] ZINC000273911560 192359410 /nfs/dbraw/zinc/35/94/10/192359410.db2.gz ARHOCGHKSITPAM-VHSXEESVSA-N 0 0 266.297 2.594 20 5 CFBDRN C[C@]1(c2ccccc2)C[C@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596143063 349806527 /nfs/dbraw/zinc/80/65/27/349806527.db2.gz XFVBGOOVCHKZNP-IUODEOHRSA-N 0 0 285.303 2.838 20 5 CFBDRN Cc1cc(N[C@@H]2CC(=O)N(C(C)(C)C)C2)c([N+](=O)[O-])s1 ZINC000596150473 349809466 /nfs/dbraw/zinc/80/94/66/349809466.db2.gz BPXKMDHGMRTBOO-SECBINFHSA-N 0 0 297.380 2.776 20 5 CFBDRN CC(C)(CO)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000294998426 199291512 /nfs/dbraw/zinc/29/15/12/199291512.db2.gz RDNRNTNRQXCNFS-UHFFFAOYSA-N 0 0 256.277 2.554 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)CCC1 ZINC000596155181 349811830 /nfs/dbraw/zinc/81/18/30/349811830.db2.gz QTFVNFBSWNHBBY-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN Cc1nn(C)c(N[C@H]2[C@@H]3CCc4ccccc4[C@@H]32)c1[N+](=O)[O-] ZINC000154358068 282275201 /nfs/dbraw/zinc/27/52/01/282275201.db2.gz SKZCEEPVWJISGE-RDBSUJKOSA-N 0 0 298.346 2.777 20 5 CFBDRN C[C@@H](NC(=O)N1CC2CC1(C)C2)c1cccc([N+](=O)[O-])c1 ZINC000596168884 349815160 /nfs/dbraw/zinc/81/51/60/349815160.db2.gz SHYVKEDZSNEPPQ-RWWNRMGGSA-N 0 0 289.335 2.850 20 5 CFBDRN CCC1(CC)[C@H](OC)C[C@@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596167297 349815227 /nfs/dbraw/zinc/81/52/27/349815227.db2.gz BHGYJKVRDRGCQG-WDEREUQCSA-N 0 0 295.339 2.701 20 5 CFBDRN Cc1cnc(C(C)(C)NC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000295004570 199295234 /nfs/dbraw/zinc/29/52/34/199295234.db2.gz QHCQYTSSVNDPTO-UHFFFAOYSA-N 0 0 299.396 2.638 20 5 CFBDRN CC[C@H](CCO)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596161222 349813913 /nfs/dbraw/zinc/81/39/13/349813913.db2.gz BRYGHVHCTKYNQG-SECBINFHSA-N 0 0 258.343 2.785 20 5 CFBDRN C[C@H](NC(=O)N1CC2CC1(C)C2)c1cccc([N+](=O)[O-])c1 ZINC000596168883 349815596 /nfs/dbraw/zinc/81/55/96/349815596.db2.gz SHYVKEDZSNEPPQ-NLTNOIMHSA-N 0 0 289.335 2.850 20 5 CFBDRN CCc1nc(C)c(NC(=O)c2cc([N+](=O)[O-])c[nH]2)s1 ZINC000428525800 529728403 /nfs/dbraw/zinc/72/84/03/529728403.db2.gz FKWOHTGECGGVGP-UHFFFAOYSA-N 0 0 280.309 2.503 20 5 CFBDRN Cc1cc(N(C)CCCn2ccnc2C)c([N+](=O)[O-])s1 ZINC000596169397 349816273 /nfs/dbraw/zinc/81/62/73/349816273.db2.gz ISMMLBPTEOCSLJ-UHFFFAOYSA-N 0 0 294.380 2.996 20 5 CFBDRN C[C@H](CCCO)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000295023558 199305302 /nfs/dbraw/zinc/30/53/02/199305302.db2.gz UVRGPRUDXIUMNP-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN Cc1cc(NCCCNC(=O)C(C)C)c([N+](=O)[O-])s1 ZINC000596175060 349817629 /nfs/dbraw/zinc/81/76/29/349817629.db2.gz KIUAECODEQMWJJ-UHFFFAOYSA-N 0 0 285.369 2.539 20 5 CFBDRN CC(C)C[C@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596174112 349817946 /nfs/dbraw/zinc/81/79/46/349817946.db2.gz BAOMYBHDPYFAOV-VIFPVBQESA-N 0 0 265.313 2.540 20 5 CFBDRN CCn1ccnc1CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000295040292 199314160 /nfs/dbraw/zinc/31/41/60/199314160.db2.gz SQZOTMBBKLZARN-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN Cc1cc(N(C)CC2CCOCC2)c([N+](=O)[O-])s1 ZINC000596178133 349818523 /nfs/dbraw/zinc/81/85/23/349818523.db2.gz GDNZJSITVPJGSP-UHFFFAOYSA-N 0 0 270.354 2.828 20 5 CFBDRN COC1(C)CCN(c2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596184860 349820948 /nfs/dbraw/zinc/82/09/48/349820948.db2.gz IRTAUWYACGENKE-UHFFFAOYSA-N 0 0 270.354 2.970 20 5 CFBDRN C[C@H](O)C1CCN(c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000235565809 290068542 /nfs/dbraw/zinc/06/85/42/290068542.db2.gz IMHRAGFACQKYHH-VIFPVBQESA-N 0 0 284.743 2.845 20 5 CFBDRN C[C@@H]1CC[C@@]2(CCN(c3ccc(N)c([N+](=O)[O-])n3)C2)C1 ZINC000596190638 349822323 /nfs/dbraw/zinc/82/23/23/349822323.db2.gz VELKPKFTCBJSSZ-QMTHXVAHSA-N 0 0 276.340 2.589 20 5 CFBDRN COc1cc(N[C@@H](C)C[C@@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000596189996 349822509 /nfs/dbraw/zinc/82/25/09/349822509.db2.gz NWZDUIPWPYWIEA-JGVFFNPUSA-N 0 0 288.731 2.828 20 5 CFBDRN CSCCCCCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596193914 349823861 /nfs/dbraw/zinc/82/38/61/349823861.db2.gz BTPUKQOUTMHBMX-UHFFFAOYSA-N 0 0 271.342 2.641 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596196168 349824198 /nfs/dbraw/zinc/82/41/98/349824198.db2.gz UXNKHZSNZLQNDO-SNVBAGLBSA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1ccc([C@H]2CCN(c3ccc(N)c([N+](=O)[O-])n3)C2)cc1 ZINC000596195438 349824225 /nfs/dbraw/zinc/82/42/25/349824225.db2.gz RMYAWEAFJDEMQP-ZDUSSCGKSA-N 0 0 298.346 2.874 20 5 CFBDRN CC1(C)CN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC(C)(C)C1 ZINC000596198245 349824690 /nfs/dbraw/zinc/82/46/90/349824690.db2.gz XFDHPTBTAZEFGE-UHFFFAOYSA-N 0 0 279.340 2.958 20 5 CFBDRN CC(C)C(C)(C)CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596202431 349825809 /nfs/dbraw/zinc/82/58/09/349825809.db2.gz DMWKFAZKVTVRJW-UHFFFAOYSA-N 0 0 253.302 2.790 20 5 CFBDRN CO[C@@H]1CN(c2cc(C)sc2[N+](=O)[O-])CC[C@@H]1C ZINC000596203468 349826433 /nfs/dbraw/zinc/82/64/33/349826433.db2.gz NSIRUOTZDPKLFH-GZMMTYOYSA-N 0 0 270.354 2.826 20 5 CFBDRN O=C1Cc2cc(Nc3ccc(F)cc3[N+](=O)[O-])ccc2N1 ZINC000072945609 346951523 /nfs/dbraw/zinc/95/15/23/346951523.db2.gz UHKISKQRHXDMNQ-UHFFFAOYSA-N 0 0 287.250 2.972 20 5 CFBDRN Cc1cc(N2CCC3(CCO3)CC2)c([N+](=O)[O-])s1 ZINC000596222272 349832307 /nfs/dbraw/zinc/83/23/07/349832307.db2.gz SMBAPJILMUJNFN-UHFFFAOYSA-N 0 0 268.338 2.724 20 5 CFBDRN CC[C@@H]1CN(c2c(C)cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000301285724 136428330 /nfs/dbraw/zinc/42/83/30/136428330.db2.gz VGAVJMQFZKBXMR-VXGBXAGGSA-N 0 0 264.325 2.907 20 5 CFBDRN CO[C@@]1(C)CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596224689 349832926 /nfs/dbraw/zinc/83/29/26/349832926.db2.gz YVIROBHOHVSLHM-LBPRGKRZSA-N 0 0 285.731 2.649 20 5 CFBDRN CC1(C)CC(CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596224078 349832958 /nfs/dbraw/zinc/83/29/58/349832958.db2.gz XDFFHZCMTFRQBI-UHFFFAOYSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H](C)CCCO ZINC000295063819 199326796 /nfs/dbraw/zinc/32/67/96/199326796.db2.gz YZWZWCVBUMGTRY-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1nc(N(C)CC2(c3ccccc3)CC2)ncc1[N+](=O)[O-] ZINC000295064615 199326887 /nfs/dbraw/zinc/32/68/87/199326887.db2.gz PRUQKHWGMSUSFJ-UHFFFAOYSA-N 0 0 298.346 2.861 20 5 CFBDRN Cc1cccc2c1CN(c1cc[nH]c(=O)c1[N+](=O)[O-])CC2 ZINC000596206828 349827224 /nfs/dbraw/zinc/82/72/24/349827224.db2.gz BJUFFKBAVFBSPM-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN C[C@H]1CSCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596207375 349827244 /nfs/dbraw/zinc/82/72/44/349827244.db2.gz SGJWCBLSTFFMKY-MRVPVSSYSA-N 0 0 287.772 2.833 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1SC[C@@H]1CCCO1 ZINC000596209519 349827847 /nfs/dbraw/zinc/82/78/47/349827847.db2.gz GUWSPWHSUUHEOX-ZETCQYMHSA-N 0 0 274.729 2.914 20 5 CFBDRN Cc1ccc2c(c1)CCN(c1cc[nH]c(=O)c1[N+](=O)[O-])C2 ZINC000596208843 349827879 /nfs/dbraw/zinc/82/78/79/349827879.db2.gz XGGYJMCZOHWVDY-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN O=C1CCC[C@H](CNc2ccc(C(F)F)cc2[N+](=O)[O-])N1 ZINC000596214030 349828400 /nfs/dbraw/zinc/82/84/00/349828400.db2.gz ASBJZSYZBOBOQL-SECBINFHSA-N 0 0 299.277 2.613 20 5 CFBDRN COc1cnccc1CNc1cc(C)sc1[N+](=O)[O-] ZINC000596219334 349830426 /nfs/dbraw/zinc/83/04/26/349830426.db2.gz QDLUDRPDPAYEQB-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN CO[C@@H](CNc1ncccc1[N+](=O)[O-])Cc1ccccc1 ZINC000295071438 199329711 /nfs/dbraw/zinc/32/97/11/199329711.db2.gz ZVRKGEQOBKCXPZ-CYBMUJFWSA-N 0 0 287.319 2.659 20 5 CFBDRN CO[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H]1C ZINC000596254615 349842276 /nfs/dbraw/zinc/84/22/76/349842276.db2.gz KRSLXIZJTBMWFR-KCJUWKMLSA-N 0 0 285.731 2.504 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@H]1C(F)F ZINC000596255082 349843003 /nfs/dbraw/zinc/84/30/03/349843003.db2.gz JKKNRKXDNKWURJ-NSHDSACASA-N 0 0 279.246 2.987 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@H](c2ccncc2)C1 ZINC000596255303 349843096 /nfs/dbraw/zinc/84/30/96/349843096.db2.gz WHJVNANBKJXXSX-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000128023213 187346282 /nfs/dbraw/zinc/34/62/82/187346282.db2.gz ZJYOUAKNAQJLTO-CMPLNLGQSA-N 0 0 276.336 2.703 20 5 CFBDRN Cc1cc(NCCO[C@H]2CCCCO2)c([N+](=O)[O-])s1 ZINC000596241246 349837385 /nfs/dbraw/zinc/83/73/85/349837385.db2.gz ARPFFGBTIYZVAV-NSHDSACASA-N 0 0 286.353 2.920 20 5 CFBDRN Nc1ccc(N2CCC[C@@H](c3ccccc3)C2)nc1[N+](=O)[O-] ZINC000596242650 349837790 /nfs/dbraw/zinc/83/77/90/349837790.db2.gz TXSJMLNYFIDECH-CYBMUJFWSA-N 0 0 298.346 2.956 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2C(F)(F)F)[C@H]1C ZINC000596247709 349839609 /nfs/dbraw/zinc/83/96/09/349839609.db2.gz LBOCGIHKELCRGI-BQBZGAKWSA-N 0 0 275.230 2.853 20 5 CFBDRN O=c1[nH]ccc(N2CC(C3CCCCC3)C2)c1[N+](=O)[O-] ZINC000596248322 349839728 /nfs/dbraw/zinc/83/97/28/349839728.db2.gz XMCOAYULMNPDFN-UHFFFAOYSA-N 0 0 277.324 2.712 20 5 CFBDRN Cc1cc(NC[C@H](C)CCCO)c([N+](=O)[O-])s1 ZINC000596249647 349840341 /nfs/dbraw/zinc/84/03/41/349840341.db2.gz JMHOKXCTKNYOQB-MRVPVSSYSA-N 0 0 258.343 2.785 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596251379 349841079 /nfs/dbraw/zinc/84/10/79/349841079.db2.gz WMXMWALTUDQENC-VHSXEESVSA-N 0 0 265.313 2.934 20 5 CFBDRN C[C@@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])[C@H]1C ZINC000596251584 349841111 /nfs/dbraw/zinc/84/11/11/349841111.db2.gz YEKRHACYJHMZDT-ZJUUUORDSA-N 0 0 257.293 2.988 20 5 CFBDRN Cc1cc(NCC[C@@H](C)CCO)c([N+](=O)[O-])s1 ZINC000596255036 349841775 /nfs/dbraw/zinc/84/17/75/349841775.db2.gz IUQPDIYHBRXRLL-MRVPVSSYSA-N 0 0 258.343 2.785 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](c3ccncc3)C2)s1 ZINC000596254175 349841797 /nfs/dbraw/zinc/84/17/97/349841797.db2.gz MROVASXYSFBWME-LLVKDONJSA-N 0 0 290.348 2.830 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCC[C@@H]2C2CC2)c1[N+](=O)[O-] ZINC000596270759 349848835 /nfs/dbraw/zinc/84/88/35/349848835.db2.gz ROSQCLKUVNVDMN-WDEREUQCSA-N 0 0 277.324 2.934 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1CCC(=O)CC1 ZINC000073153023 346963480 /nfs/dbraw/zinc/96/34/80/346963480.db2.gz MZXYOPUONLWCHK-UHFFFAOYSA-N 0 0 276.292 2.601 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596272258 349849322 /nfs/dbraw/zinc/84/93/22/349849322.db2.gz VSZKMCVRHYSVLZ-JSGCOSHPSA-N 0 0 277.324 2.540 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C(N)=O)c1)[C@H]1CC1(C)C ZINC000596272831 349849832 /nfs/dbraw/zinc/84/98/32/349849832.db2.gz UTUSVAWDUDPHLE-NEPJUHHUSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1noc(COc2cc(F)ccc2C)c1[N+](=O)[O-] ZINC000596273679 349849906 /nfs/dbraw/zinc/84/99/06/349849906.db2.gz IOPGUMDJBRNVQC-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C(N)=O)c1)[C@@H]1CC1(C)C ZINC000596272834 349850153 /nfs/dbraw/zinc/85/01/53/349850153.db2.gz UTUSVAWDUDPHLE-RYUDHWBXSA-N 0 0 291.351 2.930 20 5 CFBDRN CCC1(CNc2cc(OC)c(C)cc2[N+](=O)[O-])COC1 ZINC000596258874 349844641 /nfs/dbraw/zinc/84/46/41/349844641.db2.gz YIOPRNCWOGOTBB-UHFFFAOYSA-N 0 0 280.324 2.750 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000596260429 349845507 /nfs/dbraw/zinc/84/55/07/349845507.db2.gz HJMXHHZOJGGWLH-UHFFFAOYSA-N 0 0 296.277 2.868 20 5 CFBDRN COC[C@@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596260647 349845754 /nfs/dbraw/zinc/84/57/54/349845754.db2.gz NGTCWWJJRWPTCM-SNVBAGLBSA-N 0 0 299.758 2.896 20 5 CFBDRN Cc1csc(NCCNc2cc(C)sc2[N+](=O)[O-])n1 ZINC000596262838 349846568 /nfs/dbraw/zinc/84/65/68/349846568.db2.gz FNYPPXFDDSPENT-UHFFFAOYSA-N 0 0 298.393 2.676 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC[C@]2(CCOC2)C1 ZINC000596261607 349846601 /nfs/dbraw/zinc/84/66/01/349846601.db2.gz RWXQDMHDGBOYNA-ZDUSSCGKSA-N 0 0 297.742 2.650 20 5 CFBDRN Cc1nc(C2CCC2)nn1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000596263985 349846911 /nfs/dbraw/zinc/84/69/11/349846911.db2.gz HPDJUAHWOOCWNR-UHFFFAOYSA-N 0 0 290.298 2.950 20 5 CFBDRN Cc1cc(NCc2nnc(C(C)(C)C)[nH]2)c([N+](=O)[O-])s1 ZINC000596265165 349847164 /nfs/dbraw/zinc/84/71/64/349847164.db2.gz QGZUJXIMISUECK-UHFFFAOYSA-N 0 0 295.368 2.992 20 5 CFBDRN Cc1cc(N2CC[C@@H](CCCO)C2)c([N+](=O)[O-])s1 ZINC000596265244 349847338 /nfs/dbraw/zinc/84/73/38/349847338.db2.gz IDEDCIVJUAXRIB-SNVBAGLBSA-N 0 0 270.354 2.564 20 5 CFBDRN C[C@H](CC(C)(C)O)Nc1ccc([N+](=O)[O-])cc1F ZINC000295075907 199331838 /nfs/dbraw/zinc/33/18/38/199331838.db2.gz WZYSZHQKXILLKD-MRVPVSSYSA-N 0 0 256.277 2.695 20 5 CFBDRN Cc1nn(CCCCC(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000295475813 199525862 /nfs/dbraw/zinc/52/58/62/199525862.db2.gz YKITUEMYHDUMAS-UHFFFAOYSA-N 0 0 283.328 2.612 20 5 CFBDRN COC1CC(CCNc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000596309114 349859208 /nfs/dbraw/zinc/85/92/08/349859208.db2.gz ONCRTNSSVNHQOP-UHFFFAOYSA-N 0 0 283.303 2.543 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@@H]3CCCC[C@@H]32)n1 ZINC000596295051 349855784 /nfs/dbraw/zinc/85/57/84/349855784.db2.gz OEIKJTCOAAUKHE-RYUDHWBXSA-N 0 0 261.325 2.985 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ccn1C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000596298927 349856470 /nfs/dbraw/zinc/85/64/70/349856470.db2.gz CTBOJMNMMALFOA-LMKPVCQUSA-N 0 0 284.315 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(C3CC3)no2)cc1F ZINC000083552942 178580911 /nfs/dbraw/zinc/58/09/11/178580911.db2.gz PMPKDAKGBKOZKX-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN C[C@H](NC(=O)C(C)(C)F)c1ccc([N+](=O)[O-])cc1 ZINC000295484781 199530545 /nfs/dbraw/zinc/53/05/45/199530545.db2.gz ZKSPGRSBGPZSOR-QMMMGPOBSA-N 0 0 254.261 2.520 20 5 CFBDRN CN(CC1CC1)c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413071586 529862465 /nfs/dbraw/zinc/86/24/65/529862465.db2.gz NMLMVFTVQCQOCC-UHFFFAOYSA-N 0 0 275.230 2.855 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@@H](CO)C3CCC3)ccnc21 ZINC000596322771 349862951 /nfs/dbraw/zinc/86/29/51/349862951.db2.gz DEASUJQGLHSPHN-ZDUSSCGKSA-N 0 0 287.319 2.716 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])cc1F)C1CCOCC1 ZINC000596328801 349865203 /nfs/dbraw/zinc/86/52/03/349865203.db2.gz GKPBXBKQBYDVQI-AWEZNQCLSA-N 0 0 298.314 2.587 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000596332667 349866515 /nfs/dbraw/zinc/86/65/15/349866515.db2.gz AIODMTTUQLFSSB-LBPRGKRZSA-N 0 0 268.338 2.662 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H](C)c1ccccn1 ZINC000596311996 349861037 /nfs/dbraw/zinc/86/10/37/349861037.db2.gz IIPTXAVLOBRDQK-JTQLQIEISA-N 0 0 289.339 2.730 20 5 CFBDRN Cc1nn([C@@H](C)c2ccc(F)c(F)c2)cc1[N+](=O)[O-] ZINC000295491681 199533047 /nfs/dbraw/zinc/53/30/47/199533047.db2.gz ZJUMVYGNVJBTCG-QMMMGPOBSA-N 0 0 267.235 2.987 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H](C)CC(C)(C)O ZINC000295079201 199334223 /nfs/dbraw/zinc/33/42/23/199334223.db2.gz XKUMTUXXGRMENB-JTQLQIEISA-N 0 0 282.340 2.703 20 5 CFBDRN CCC(CC)[C@H](O)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219357205 539366576 /nfs/dbraw/zinc/36/65/76/539366576.db2.gz RIPSQGUEZLQGLK-CYBMUJFWSA-N 0 0 292.339 2.680 20 5 CFBDRN C[C@]1(C2CC2)CN(c2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000596334206 349867447 /nfs/dbraw/zinc/86/74/47/349867447.db2.gz HSTUQIGQVFTPHA-CYBMUJFWSA-N 0 0 297.742 2.649 20 5 CFBDRN CO[C@@H]1CCC[C@@H]([C@H](CO)Nc2ccccc2[N+](=O)[O-])C1 ZINC000596367706 349880107 /nfs/dbraw/zinc/88/01/07/349880107.db2.gz SVUCQNQJDDCQTG-BZPMIXESSA-N 0 0 294.351 2.573 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1(CCF)CC1 ZINC000291575631 529933616 /nfs/dbraw/zinc/93/36/16/529933616.db2.gz OHZKVNRDEJGCMF-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN C[C@H](c1ccco1)N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000074518860 347019104 /nfs/dbraw/zinc/01/91/04/347019104.db2.gz FKLQSBSYRHNHFU-MRVPVSSYSA-N 0 0 264.237 2.614 20 5 CFBDRN Cc1cnc([C@@H](C)CNc2ncccc2[N+](=O)[O-])s1 ZINC000273185829 192068602 /nfs/dbraw/zinc/06/86/02/192068602.db2.gz BWDYJQIMQQWLQN-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000413171727 529956514 /nfs/dbraw/zinc/95/65/14/529956514.db2.gz NGAUPWHLNYOHJF-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1cc(N2CCO[C@H](c3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000074739226 347029632 /nfs/dbraw/zinc/02/96/32/347029632.db2.gz GUMBMHGZIYQXJF-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1cc(NCc2ccc(Cl)nc2)ncc1[N+](=O)[O-] ZINC000074741906 347029816 /nfs/dbraw/zinc/02/98/16/347029816.db2.gz AZXCVBZUUBHPKR-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074751001 347030659 /nfs/dbraw/zinc/03/06/59/347030659.db2.gz ZWFPAXUTLMRUMO-ZWNOBZJWSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1ccc(NCCO[C@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000596446033 349905412 /nfs/dbraw/zinc/90/54/12/349905412.db2.gz CNZWOVAPDRYHOE-NSHDSACASA-N 0 0 272.251 2.739 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000074769502 347032324 /nfs/dbraw/zinc/03/23/24/347032324.db2.gz JAHXXXIOOHNXJX-BXUZGUMPSA-N 0 0 279.340 2.914 20 5 CFBDRN CSCC[C@@H](C)N(C)c1ncccc1[N+](=O)[O-] ZINC000074769912 347032513 /nfs/dbraw/zinc/03/25/13/347032513.db2.gz DMXIAEIMUQZICK-SECBINFHSA-N 0 0 255.343 2.568 20 5 CFBDRN CN(C[C@H]1CCCO1)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413120072 529967822 /nfs/dbraw/zinc/96/78/22/529967822.db2.gz ZRLYRLAUARYFSW-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@H](CNC(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000074938019 347044342 /nfs/dbraw/zinc/04/43/42/347044342.db2.gz GSZUWLJRBFEURF-CYBMUJFWSA-N 0 0 295.339 2.696 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)O1 ZINC000596470824 349909935 /nfs/dbraw/zinc/90/99/35/349909935.db2.gz QRPRQAKWXMDRDH-ZWNOBZJWSA-N 0 0 293.323 2.674 20 5 CFBDRN CC(C)[C@](C)(O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000075050829 347051536 /nfs/dbraw/zinc/05/15/36/347051536.db2.gz OGEJWAREAXHSKE-GFCCVEGCSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2nc3sccn3c2[N+](=O)[O-])O1 ZINC000596473055 349911301 /nfs/dbraw/zinc/91/13/01/349911301.db2.gz FMVIDQSNLSVFEY-RKDXNWHRSA-N 0 0 296.352 2.674 20 5 CFBDRN C[C@@]1(CCNc2cccnc2[N+](=O)[O-])CC1(F)F ZINC000596474735 349912014 /nfs/dbraw/zinc/91/20/14/349912014.db2.gz BPQSDCAAUVXVAM-SNVBAGLBSA-N 0 0 257.240 2.837 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CC1(C)CC1 ZINC000428181244 530004018 /nfs/dbraw/zinc/00/40/18/530004018.db2.gz DEXDZUCJIZDBPD-UHFFFAOYSA-N 0 0 262.309 2.743 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1cc(NC2CC2)ncn1 ZINC000413054929 530004692 /nfs/dbraw/zinc/00/46/92/530004692.db2.gz RTWGSEUPCFKJHE-UHFFFAOYSA-N 0 0 299.334 2.596 20 5 CFBDRN C[C@]1(CNc2c(Cl)cncc2[N+](=O)[O-])CC1(F)F ZINC000596479414 349914687 /nfs/dbraw/zinc/91/46/87/349914687.db2.gz UVICEANNCRTPAW-SECBINFHSA-N 0 0 277.658 2.522 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1ccc(Cl)cc1[N+](=O)[O-])C2 ZINC000596477929 349913985 /nfs/dbraw/zinc/91/39/85/349913985.db2.gz FGEZJJFZORQLLQ-NOZJJQNGSA-N 0 0 282.727 2.721 20 5 CFBDRN C[C@@]1(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])CC1(F)F ZINC000596480419 349914694 /nfs/dbraw/zinc/91/46/94/349914694.db2.gz ZAOZBKSZLCTJBF-SNVBAGLBSA-N 0 0 273.239 2.543 20 5 CFBDRN COc1ccc2c(c1)CCN(c1cccnc1[N+](=O)[O-])C2 ZINC000596484456 349916448 /nfs/dbraw/zinc/91/64/48/349916448.db2.gz QMSKLADRCNSTNQ-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN Cc1nc(NCC[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000273214505 192077260 /nfs/dbraw/zinc/07/72/60/192077260.db2.gz ULFDJUIRMRAFNM-PWSUYJOCSA-N 0 0 279.340 2.915 20 5 CFBDRN COC(=O)C[C@@H](CNc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000596489810 349917952 /nfs/dbraw/zinc/91/79/52/349917952.db2.gz FTLYXNBKJUORNN-NSHDSACASA-N 0 0 278.308 2.596 20 5 CFBDRN C[C@H](O)CC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000075490229 347079313 /nfs/dbraw/zinc/07/93/13/347079313.db2.gz XJQYKRWCLWMBEI-JTQLQIEISA-N 0 0 295.339 2.513 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000075490232 347079456 /nfs/dbraw/zinc/07/94/56/347079456.db2.gz XJQYKRWCLWMBEI-SNVBAGLBSA-N 0 0 295.339 2.513 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H]1C[C@H]1C ZINC000075506727 347080687 /nfs/dbraw/zinc/08/06/87/347080687.db2.gz XPOPVQAMRKVGAO-NQMVMOMDSA-N 0 0 298.726 2.540 20 5 CFBDRN CCOc1cc(NC[C@@H](CO)CC2CC2)ccc1[N+](=O)[O-] ZINC000596492682 349918558 /nfs/dbraw/zinc/91/85/58/349918558.db2.gz MCZYYKIXMIRBKG-LBPRGKRZSA-N 0 0 294.351 2.814 20 5 CFBDRN CCOc1cc(NC[C@H](CO)CC2CC2)ccc1[N+](=O)[O-] ZINC000596492680 349918875 /nfs/dbraw/zinc/91/88/75/349918875.db2.gz MCZYYKIXMIRBKG-GFCCVEGCSA-N 0 0 294.351 2.814 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCc3ccc(O)cc32)s1 ZINC000596488738 349917942 /nfs/dbraw/zinc/91/79/42/349917942.db2.gz NKEJABHRSFIXLY-JTQLQIEISA-N 0 0 277.305 2.856 20 5 CFBDRN COc1cc(N(C)C2CCC2)c(F)cc1[N+](=O)[O-] ZINC000270478933 190695120 /nfs/dbraw/zinc/69/51/20/190695120.db2.gz AHWIXRBPXIEBPS-UHFFFAOYSA-N 0 0 254.261 2.731 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000127310681 187295893 /nfs/dbraw/zinc/29/58/93/187295893.db2.gz ZJHITHQLPQPPSQ-SNVBAGLBSA-N 0 0 263.297 2.859 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]([C@H]3CCCCO3)C2)s1 ZINC000596504284 349922370 /nfs/dbraw/zinc/92/23/70/349922370.db2.gz MOSDBNYAQPFUAQ-WDEREUQCSA-N 0 0 297.380 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H](O)C23CCC3)c(Cl)c1 ZINC000596500236 349922078 /nfs/dbraw/zinc/92/20/78/349922078.db2.gz AUUWPXCKDAGNAL-NEPJUHHUSA-N 0 0 282.727 2.964 20 5 CFBDRN COC(=O)c1ccc(OCc2ccccc2)cc1[N+](=O)[O-] ZINC000146539726 539439344 /nfs/dbraw/zinc/43/93/44/539439344.db2.gz OYRFJFWDNMQHOM-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CC(=O)c1cc(N2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000596508100 349923952 /nfs/dbraw/zinc/92/39/52/349923952.db2.gz AJEQBKNHAUNBFH-QWRGUYRKSA-N 0 0 260.293 2.644 20 5 CFBDRN COc1cc(N2CC[C@@H]3C[C@@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000596508550 349924012 /nfs/dbraw/zinc/92/40/12/349924012.db2.gz CGRDZRUEHPVYJG-RKDXNWHRSA-N 0 0 266.272 2.589 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3C[C@@H]3C2)c(C(F)(F)F)c1 ZINC000596511856 349925409 /nfs/dbraw/zinc/92/54/09/349925409.db2.gz RHNRTOZBILOLOP-HTQZYQBOSA-N 0 0 287.241 2.855 20 5 CFBDRN CCOC(=O)c1cc(N2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000596511821 349925416 /nfs/dbraw/zinc/92/54/16/349925416.db2.gz QHQAAMAJGWXTTC-QWRGUYRKSA-N 0 0 290.319 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@@H]4C[C@@H]4C3)ccc2c1 ZINC000596513064 349926066 /nfs/dbraw/zinc/92/60/66/349926066.db2.gz YLVSXWDRRVTFKD-ZYHUDNBSSA-N 0 0 269.304 2.989 20 5 CFBDRN CC1(C)[C@H](CNc2ccc([N+](=O)[O-])nc2)C1(F)F ZINC000596513192 349926068 /nfs/dbraw/zinc/92/60/68/349926068.db2.gz PNAOZICLXMZKQE-QMMMGPOBSA-N 0 0 257.240 2.693 20 5 CFBDRN CCn1cc([C@@H](C)Nc2ccccc2[N+](=O)[O-])cn1 ZINC000044497365 232174507 /nfs/dbraw/zinc/17/45/07/232174507.db2.gz CSKVIYUSOMARRX-SNVBAGLBSA-N 0 0 260.297 2.984 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000596518066 349928057 /nfs/dbraw/zinc/92/80/57/349928057.db2.gz QKIVMKCSPLBYGG-LBPRGKRZSA-N 0 0 293.367 2.967 20 5 CFBDRN CN(c1ccccc1)[C@@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000596517812 349928072 /nfs/dbraw/zinc/92/80/72/349928072.db2.gz ORXNSCOODQYRAD-OAHLLOKOSA-N 0 0 298.346 2.705 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])cc1C)C1CCCC1 ZINC000596524474 349929936 /nfs/dbraw/zinc/92/99/36/349929936.db2.gz BJWGXCUVDZIQHH-CYBMUJFWSA-N 0 0 279.340 2.915 20 5 CFBDRN CC(C)[C@@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)C1CC1 ZINC000596520207 349928725 /nfs/dbraw/zinc/92/87/25/349928725.db2.gz FDHBAWUEMDTESB-CYBMUJFWSA-N 0 0 279.340 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@@H]3COC[C@@H]3C2)c1 ZINC000596528976 349931372 /nfs/dbraw/zinc/93/13/72/349931372.db2.gz PENKODKKZIYPJP-OLZOCXBDSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@H]2COC[C@@H]2C1 ZINC000596528161 349931402 /nfs/dbraw/zinc/93/14/02/349931402.db2.gz MEHBYFDVODIFLV-UWVGGRQHSA-N 0 0 282.727 2.721 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H](OC)C1CCCC1 ZINC000596527824 349930695 /nfs/dbraw/zinc/93/06/95/349930695.db2.gz LHTCRZIPTAVMAE-LBPRGKRZSA-N 0 0 296.371 2.737 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000596539414 349934799 /nfs/dbraw/zinc/93/47/99/349934799.db2.gz KQLCBUBXGRWUGN-OCCSQVGLSA-N 0 0 273.292 2.732 20 5 CFBDRN C[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1nccn1C ZINC000596541410 349935588 /nfs/dbraw/zinc/93/55/88/349935588.db2.gz FUZJCZDBPJRANI-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1sccc1[N+](=O)[O-] ZINC000596541656 349935645 /nfs/dbraw/zinc/93/56/45/349935645.db2.gz CVDQJNVKPUJLOS-QMMMGPOBSA-N 0 0 256.327 2.883 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000596540259 349935678 /nfs/dbraw/zinc/93/56/78/349935678.db2.gz PHUWCMYXJUELFH-OCCSQVGLSA-N 0 0 273.292 2.732 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000596540337 349935748 /nfs/dbraw/zinc/93/57/48/349935748.db2.gz KNBVYPLMSRTFJY-ZDUSSCGKSA-N 0 0 279.340 2.997 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCOC2(C)C)c1[N+](=O)[O-] ZINC000596544740 349937307 /nfs/dbraw/zinc/93/73/07/349937307.db2.gz PPHQJVSITPYPLC-JTQLQIEISA-N 0 0 265.313 2.525 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492770965 535009076 /nfs/dbraw/zinc/00/90/76/535009076.db2.gz RNCPGSKMBREEHC-JECSTDCCSA-N 0 0 292.360 2.618 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000273262094 192092069 /nfs/dbraw/zinc/09/20/69/192092069.db2.gz LAGYUDSLWUUTEJ-UHFFFAOYSA-N 0 0 297.261 2.803 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)[C@H]1C ZINC000295553279 199560857 /nfs/dbraw/zinc/56/08/57/199560857.db2.gz JCHIXJPYDUSENG-SFYZADRCSA-N 0 0 268.700 2.729 20 5 CFBDRN Cc1ncccc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000170989963 232265209 /nfs/dbraw/zinc/26/52/09/232265209.db2.gz VFFJHIXILZYXNG-SNVBAGLBSA-N 0 0 285.303 2.789 20 5 CFBDRN CCOC(=O)c1ccnc(NC2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000596551584 349939394 /nfs/dbraw/zinc/93/93/94/349939394.db2.gz GXCOTQHPUOBUAA-UHFFFAOYSA-N 0 0 293.323 2.767 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000596554722 349940680 /nfs/dbraw/zinc/94/06/80/349940680.db2.gz GXOLWKZFGPDHGB-ZKCHVHJHSA-N 0 0 284.699 2.612 20 5 CFBDRN Cc1nnc(CN(C)c2c(F)cccc2[N+](=O)[O-])s1 ZINC000596555432 349940692 /nfs/dbraw/zinc/94/06/92/349940692.db2.gz FRMHIMOYEOFJJE-UHFFFAOYSA-N 0 0 282.300 2.530 20 5 CFBDRN COc1ccc(OCC[N@@H+]2CCCC2(C)C)c([N+](=O)[O-])c1 ZINC000173642526 232279039 /nfs/dbraw/zinc/27/90/39/232279039.db2.gz ZMLIJZJHKMBHLQ-UHFFFAOYSA-N 0 0 294.351 2.857 20 5 CFBDRN CC[C@H]1CCC[C@@H](Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000085066555 178946488 /nfs/dbraw/zinc/94/64/88/178946488.db2.gz FBMYTGBIXQLREG-VHSXEESVSA-N 0 0 250.302 2.766 20 5 CFBDRN CN(CC1=CCSC1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000596555056 349940772 /nfs/dbraw/zinc/94/07/72/349940772.db2.gz ZDNCXKYOPMQANH-UHFFFAOYSA-N 0 0 291.376 2.672 20 5 CFBDRN C[C@H](CO)CSc1ccc([N+](=O)[O-])c2cccnc12 ZINC000097458815 185609837 /nfs/dbraw/zinc/60/98/37/185609837.db2.gz AWFYUJCHIHVRJG-SECBINFHSA-N 0 0 278.333 2.864 20 5 CFBDRN Cc1cncc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000176149448 232327128 /nfs/dbraw/zinc/32/71/28/232327128.db2.gz FFLDEUJCFKWIGK-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COc1cc(NCc2ncccc2F)c([N+](=O)[O-])cc1F ZINC000127567489 187315641 /nfs/dbraw/zinc/31/56/41/187315641.db2.gz NIDXVLBRWRTHOM-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CC[N@@H+]1Cc1ccccc1 ZINC000596558898 349941959 /nfs/dbraw/zinc/94/19/59/349941959.db2.gz UKUXJDRHXLVUHE-CQSZACIVSA-N 0 0 298.346 2.676 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)C[C@@H]1CC1(C)C ZINC000596568851 349943803 /nfs/dbraw/zinc/94/38/03/349943803.db2.gz XNGIOAPEWHOTQU-JTQLQIEISA-N 0 0 266.345 2.602 20 5 CFBDRN Cc1cnc(N[C@H](c2ccccc2)[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000596568121 349943856 /nfs/dbraw/zinc/94/38/56/349943856.db2.gz ZQVNJCNMUMCGJJ-RISCZKNCSA-N 0 0 287.319 2.832 20 5 CFBDRN Cc1cnc(N[C@@H](c2ccccc2)[C@H](C)O)c([N+](=O)[O-])c1 ZINC000596568123 349943880 /nfs/dbraw/zinc/94/38/80/349943880.db2.gz ZQVNJCNMUMCGJJ-SMDDNHRTSA-N 0 0 287.319 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCCF)cc1OC(F)F ZINC000596569877 349943900 /nfs/dbraw/zinc/94/39/00/349943900.db2.gz LRYSPJURRRUQIS-UHFFFAOYSA-N 0 0 294.229 2.594 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@@](C)(C(F)F)O1 ZINC000596571801 349944455 /nfs/dbraw/zinc/94/44/55/349944455.db2.gz AQSVMZXWKBXBKO-CPCISQLKSA-N 0 0 292.307 2.905 20 5 CFBDRN Cc1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000008330380 346223312 /nfs/dbraw/zinc/22/33/12/346223312.db2.gz DPGKVJCZZNVOJL-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN C[C@@H]1c2ccsc2CCN1c1c([N+](=O)[O-])ncn1C ZINC000008376602 346223967 /nfs/dbraw/zinc/22/39/67/346223967.db2.gz DTCMCCCOUOQCCX-MRVPVSSYSA-N 0 0 278.337 2.514 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000008368156 346224289 /nfs/dbraw/zinc/22/42/89/346224289.db2.gz UQAPHNVXYQRFSK-GWCFXTLKSA-N 0 0 277.324 2.718 20 5 CFBDRN C[C@H](CCc1ccco1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000022691498 346249747 /nfs/dbraw/zinc/24/97/47/346249747.db2.gz HQUDKTQTFHSIDU-LLVKDONJSA-N 0 0 288.303 2.939 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NC1CCCC1 ZINC000015593220 346236842 /nfs/dbraw/zinc/23/68/42/346236842.db2.gz OLXVWCYAOQWJHT-UHFFFAOYSA-N 0 0 280.349 2.746 20 5 CFBDRN CCN(CC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000029912059 346284304 /nfs/dbraw/zinc/28/43/04/346284304.db2.gz VCRVILMYSIEWRL-UHFFFAOYSA-N 0 0 297.742 2.671 20 5 CFBDRN CC(=O)C1CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000104426002 136526320 /nfs/dbraw/zinc/52/63/20/136526320.db2.gz XBYBZWWRAGHOJX-UHFFFAOYSA-N 0 0 262.309 2.709 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ncccc2F)c([N+](=O)[O-])c1 ZINC000127597282 187318940 /nfs/dbraw/zinc/31/89/40/187318940.db2.gz NYUAQBHSPCMVMC-UHFFFAOYSA-N 0 0 292.226 2.649 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC1CC1 ZINC000027464874 346269811 /nfs/dbraw/zinc/26/98/11/346269811.db2.gz UYIUBOPBDHRXRM-SECBINFHSA-N 0 0 280.349 2.602 20 5 CFBDRN O=C(c1ccsc1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029251226 346279744 /nfs/dbraw/zinc/27/97/44/346279744.db2.gz OHHHZDFSUOYUKD-UHFFFAOYSA-N 0 0 274.301 2.859 20 5 CFBDRN Cc1cc(C(=O)N2CCn3cccc3[C@@H]2C)ccc1[N+](=O)[O-] ZINC000030266889 346286824 /nfs/dbraw/zinc/28/68/24/346286824.db2.gz RKESWBCSCAZTRJ-LBPRGKRZSA-N 0 0 299.330 2.922 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCCCC1 ZINC000041867730 346356185 /nfs/dbraw/zinc/35/61/85/346356185.db2.gz RTRRRFHEFGLHSM-UHFFFAOYSA-N 0 0 265.313 2.718 20 5 CFBDRN Cc1c(NC(=O)[C@@H](O)C2CCCCC2)cccc1[N+](=O)[O-] ZINC000189533560 232467682 /nfs/dbraw/zinc/46/76/82/232467682.db2.gz WKRHZLVHFKTHBB-AWEZNQCLSA-N 0 0 292.335 2.783 20 5 CFBDRN CC(C)N(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000042011653 346358554 /nfs/dbraw/zinc/35/85/54/346358554.db2.gz NFKMRSPNAFMFOQ-UHFFFAOYSA-N 0 0 287.319 2.974 20 5 CFBDRN Cc1nnc(CNc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000042109275 346360787 /nfs/dbraw/zinc/36/07/87/346360787.db2.gz LMKBVXXNLNBSLY-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN Cc1cc(-c2noc(CCCF)n2)cc([N+](=O)[O-])c1 ZINC000596600453 349953574 /nfs/dbraw/zinc/95/35/74/349953574.db2.gz IGJYXIGQTNONBW-UHFFFAOYSA-N 0 0 265.244 2.855 20 5 CFBDRN CCCCCNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000042844910 346371999 /nfs/dbraw/zinc/37/19/99/346371999.db2.gz PJGZEQRVLAWHBP-UHFFFAOYSA-N 0 0 279.340 2.926 20 5 CFBDRN CCC(CC)CN(CC)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000042969234 346374899 /nfs/dbraw/zinc/37/48/99/346374899.db2.gz YSGAXGBUXMSBDX-UHFFFAOYSA-N 0 0 267.329 2.821 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000042978699 346375408 /nfs/dbraw/zinc/37/54/08/346375408.db2.gz TVEKEAZLLNTGDF-ONGXEEELSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1sc(C(=O)NCCc2ccccc2)cc1[N+](=O)[O-] ZINC000043737770 346387099 /nfs/dbraw/zinc/38/70/99/346387099.db2.gz XTIYPDJGZZDSHO-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CCCc1noc(CNc2cccc([N+](=O)[O-])c2)n1 ZINC000042627387 346369346 /nfs/dbraw/zinc/36/93/46/346369346.db2.gz QXFCOBANUNEICC-UHFFFAOYSA-N 0 0 262.269 2.542 20 5 CFBDRN CO[C@H](C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C1CCC1 ZINC000596619988 349958524 /nfs/dbraw/zinc/95/85/24/349958524.db2.gz NKYWDYLHEIXKDD-ZDUSSCGKSA-N 0 0 278.308 2.657 20 5 CFBDRN O=C(NC[C@@H]1CCCc2ccccc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000044623198 346396424 /nfs/dbraw/zinc/39/64/24/346396424.db2.gz JGJYDVVZSZNODH-LBPRGKRZSA-N 0 0 299.330 2.773 20 5 CFBDRN CC[C@H]1CN([C@@H](C)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000045752847 346413674 /nfs/dbraw/zinc/41/36/74/346413674.db2.gz ABWNTHIVYGGXQE-FZMZJTMJSA-N 0 0 264.325 2.767 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@@H]1CCCO1 ZINC000046162312 346421463 /nfs/dbraw/zinc/42/14/63/346421463.db2.gz OFOJYUAHROECJC-NSHDSACASA-N 0 0 294.307 2.501 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(F)c(F)c1 ZINC000046147084 346421597 /nfs/dbraw/zinc/42/15/97/346421597.db2.gz KQFQVZQMIDVYNQ-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCc2cc(F)ccc21 ZINC000046295856 346425205 /nfs/dbraw/zinc/42/52/05/346425205.db2.gz KNTPRTROTPMHJP-UHFFFAOYSA-N 0 0 290.250 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H](O)c1ccco1 ZINC000075668660 347091160 /nfs/dbraw/zinc/09/11/60/347091160.db2.gz OHGLYUQBRDZMFC-NSHDSACASA-N 0 0 282.683 2.987 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCC[C@@H]1C ZINC000193946413 232499075 /nfs/dbraw/zinc/49/90/75/232499075.db2.gz LYBCNNLVZFHYCN-CMPLNLGQSA-N 0 0 291.351 2.854 20 5 CFBDRN COc1ncccc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000047554121 346453113 /nfs/dbraw/zinc/45/31/13/346453113.db2.gz FSPUQXHLKNNBFI-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cn3ccccc3n2)s1 ZINC000048999594 346477975 /nfs/dbraw/zinc/47/79/75/346477975.db2.gz OABIBZHDFCEKSH-UHFFFAOYSA-N 0 0 288.332 2.959 20 5 CFBDRN CCC(CC)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000049031809 346478803 /nfs/dbraw/zinc/47/88/03/346478803.db2.gz HSUNMCJUKGDEHE-UHFFFAOYSA-N 0 0 280.324 2.669 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1ccc2c(c1)OCO2 ZINC000049056487 346479089 /nfs/dbraw/zinc/47/90/89/346479089.db2.gz BYEADQPFKNRNGU-UHFFFAOYSA-N 0 0 278.289 2.997 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cccc(OC(C)C)c1 ZINC000049418702 346483383 /nfs/dbraw/zinc/48/33/83/346483383.db2.gz HBSDSGGQPOVADC-UHFFFAOYSA-N 0 0 275.308 2.935 20 5 CFBDRN CN(Cc1ccc(Cl)s1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051527402 346505084 /nfs/dbraw/zinc/50/50/84/346505084.db2.gz YLEMOPPKOYQZSF-UHFFFAOYSA-N 0 0 299.739 2.910 20 5 CFBDRN CN(Cc1ccc(Cl)cc1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051862958 346507126 /nfs/dbraw/zinc/50/71/26/346507126.db2.gz YQUFEPCGVLQIFL-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN CCCN(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051862717 346507307 /nfs/dbraw/zinc/50/73/07/346507307.db2.gz JDZONLDDVIKRMU-UHFFFAOYSA-N 0 0 287.319 2.975 20 5 CFBDRN Cc1nc(N2CCS[C@@H](C)CC2)ccc1[N+](=O)[O-] ZINC000276989283 293300502 /nfs/dbraw/zinc/30/05/02/293300502.db2.gz ZTWUWSLMDPCDBM-VIFPVBQESA-N 0 0 267.354 2.630 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H](c2ncc[nH]2)C1 ZINC000075721906 347095255 /nfs/dbraw/zinc/09/52/55/347095255.db2.gz SSTTUVMVOXOVOU-NSHDSACASA-N 0 0 272.308 2.702 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NCc1cccs1 ZINC000205732474 232520750 /nfs/dbraw/zinc/52/07/50/232520750.db2.gz UDXSCUCLOLYZEH-FPLPWBNLSA-N 0 0 288.328 2.986 20 5 CFBDRN CCCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000050498578 346495987 /nfs/dbraw/zinc/49/59/87/346495987.db2.gz YPTVPEOFKAXSQT-UHFFFAOYSA-N 0 0 250.298 2.903 20 5 CFBDRN O=C(NC12CC3CC(CC(C3)C1)C2)c1cc([N+](=O)[O-])c[nH]1 ZINC000050822982 346497886 /nfs/dbraw/zinc/49/78/86/346497886.db2.gz JJSRZLUVQXDCAT-UHFFFAOYSA-N 0 0 289.335 2.622 20 5 CFBDRN CC(C)CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051202578 346501188 /nfs/dbraw/zinc/50/11/88/346501188.db2.gz NCXXDCIXPGQQAD-JTQLQIEISA-N 0 0 267.329 2.868 20 5 CFBDRN CCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054057847 346557922 /nfs/dbraw/zinc/55/79/22/346557922.db2.gz CZMRTDFXINHLRP-QMMMGPOBSA-N 0 0 254.261 2.652 20 5 CFBDRN CCCCCC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000053216278 346540943 /nfs/dbraw/zinc/54/09/43/346540943.db2.gz BOEWRHNAKYOGPM-UHFFFAOYSA-N 0 0 264.325 2.834 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000596668029 349971533 /nfs/dbraw/zinc/97/15/33/349971533.db2.gz UKTNUFKHRGBDBZ-LLVKDONJSA-N 0 0 291.351 2.559 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cc2)[C@H]2CCO[C@@H]21 ZINC000085633445 179108386 /nfs/dbraw/zinc/10/83/86/179108386.db2.gz NGFLDBICPAHSLH-UPJWGTAASA-N 0 0 262.309 2.820 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1cncc(Cl)n1 ZINC000053558631 346549552 /nfs/dbraw/zinc/54/95/52/346549552.db2.gz RQIOVZYIXYPIQL-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN CC1(C)[C@H](Nc2ccccc2[N+](=O)[O-])[C@H]2CCO[C@@H]21 ZINC000085633370 179108802 /nfs/dbraw/zinc/10/88/02/179108802.db2.gz BOUCCILVDIZVHP-WQAKAFBOSA-N 0 0 262.309 2.820 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1CCc2ccccc21 ZINC000053777063 346552286 /nfs/dbraw/zinc/55/22/86/346552286.db2.gz RACRJUXZWSTSCS-INIZCTEOSA-N 0 0 296.326 2.941 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)NCC1CCCC1 ZINC000054647301 346574345 /nfs/dbraw/zinc/57/43/45/346574345.db2.gz CRCSDLCOWZSVFD-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN O=C(Nc1cccnc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000056061699 346602942 /nfs/dbraw/zinc/60/29/42/346602942.db2.gz VUYUWRAZOXROPH-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CNc1nnc(SCc2csc([N+](=O)[O-])c2)s1 ZINC000054450945 346569092 /nfs/dbraw/zinc/56/90/92/346569092.db2.gz VOAWGBVHIWJUON-UHFFFAOYSA-N 0 0 288.379 2.842 20 5 CFBDRN CN(C(=O)CCc1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000058520335 346645700 /nfs/dbraw/zinc/64/57/00/346645700.db2.gz BJAOZPFKRCGDJM-UHFFFAOYSA-N 0 0 274.276 2.783 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(n3ccnc3)CC2)c(F)c1 ZINC000060296265 346661873 /nfs/dbraw/zinc/66/18/73/346661873.db2.gz DFTNSFJCQDMMFY-UHFFFAOYSA-N 0 0 290.298 2.772 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCCO2)c(F)c1 ZINC000060807071 346671778 /nfs/dbraw/zinc/67/17/78/346671778.db2.gz QFPNCVUPYXBSMT-SNVBAGLBSA-N 0 0 255.245 2.682 20 5 CFBDRN COc1ccc(NC(=O)c2ccsc2)c([N+](=O)[O-])c1 ZINC000057658532 346633668 /nfs/dbraw/zinc/63/36/68/346633668.db2.gz MOLGWIXSUKNYEO-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN CCCN(C)C(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000063602140 346704649 /nfs/dbraw/zinc/70/46/49/346704649.db2.gz RMMMWTRHDBLQAN-UHFFFAOYSA-N 0 0 268.338 2.799 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000063815280 346711411 /nfs/dbraw/zinc/71/14/11/346711411.db2.gz YLOOALADMJDOGN-ZDUSSCGKSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000063837675 346712106 /nfs/dbraw/zinc/71/21/06/346712106.db2.gz PAFKPZOOHQSCNP-UHFFFAOYSA-N 0 0 299.290 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2ccccn2)s1 ZINC000064029258 346714073 /nfs/dbraw/zinc/71/40/73/346714073.db2.gz GHMDCXCKDZXPOK-UHFFFAOYSA-N 0 0 264.310 2.575 20 5 CFBDRN CN(CC1CCCC1)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000064089285 346714712 /nfs/dbraw/zinc/71/47/12/346714712.db2.gz ZQCWKCIRSYYFDQ-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2ccc(Cl)cc2)c1[N+](=O)[O-] ZINC000064334332 346717825 /nfs/dbraw/zinc/71/78/25/346717825.db2.gz VOBAWTMEENWUIM-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CN(CC(=O)NC(C)(C)C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000061173309 346676360 /nfs/dbraw/zinc/67/63/60/346676360.db2.gz CAKQUIRILCKUIF-UHFFFAOYSA-N 0 0 299.758 2.599 20 5 CFBDRN CC(C)CN(C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000062488641 346688905 /nfs/dbraw/zinc/68/89/05/346688905.db2.gz UDAQODCUSOLTSN-LLVKDONJSA-N 0 0 279.340 2.953 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000063447754 346700722 /nfs/dbraw/zinc/70/07/22/346700722.db2.gz HTPDNKMSZZINQT-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN COCCCN(C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000066372168 346756320 /nfs/dbraw/zinc/75/63/20/346756320.db2.gz YPUDUPNCVZLUIG-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1C[C@@H](C)O[C@H](C)C1 ZINC000066404065 346758068 /nfs/dbraw/zinc/75/80/68/346758068.db2.gz OBWVIGTYQJVCLM-UTUOFQBUSA-N 0 0 264.325 2.765 20 5 CFBDRN CN(C[C@H]1OCCc2ccccc21)c1ccncc1[N+](=O)[O-] ZINC000066811982 346780404 /nfs/dbraw/zinc/78/04/04/346780404.db2.gz LXHVTHFQBGWZSL-MRXNPFEDSA-N 0 0 299.330 2.740 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nc(-c2ccccc2C)no1 ZINC000065694065 346738231 /nfs/dbraw/zinc/73/82/31/346738231.db2.gz YFMLFKCXQAQXAY-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)[C@@H](OC)C1 ZINC000276061622 136633141 /nfs/dbraw/zinc/63/31/41/136633141.db2.gz RNPSOKTYSLETKU-ABAIWWIYSA-N 0 0 294.351 2.602 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)c1csc([N+](=O)[O-])c1 ZINC000065759691 346740767 /nfs/dbraw/zinc/74/07/67/346740767.db2.gz SXAFZUCPVPRUBK-UHFFFAOYSA-N 0 0 282.243 2.681 20 5 CFBDRN O=C(NCc1ccc2ccccc2n1)c1ccc([N+](=O)[O-])o1 ZINC000075909316 347108057 /nfs/dbraw/zinc/10/80/57/347108057.db2.gz VWILVMRMYHMPGU-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN CC(C)COCCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000075904708 347108211 /nfs/dbraw/zinc/10/82/11/347108211.db2.gz ISSKPVUBUQOBIN-UHFFFAOYSA-N 0 0 266.297 2.596 20 5 CFBDRN O=C(N[C@H]1C[C@H]1c1cccc(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000067092579 346791694 /nfs/dbraw/zinc/79/16/94/346791694.db2.gz SHQVXIPZMJJQHY-QWRGUYRKSA-N 0 0 290.250 2.613 20 5 CFBDRN CC1(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000067269234 346796307 /nfs/dbraw/zinc/79/63/07/346796307.db2.gz DYDPZHHIFFAMIQ-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ncc(-c2cccs2)o1 ZINC000067429599 346801504 /nfs/dbraw/zinc/80/15/04/346801504.db2.gz OQXDOTWGWWULGT-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN O=C1c2ccccc2CCC[C@@H]1Oc1cccnc1[N+](=O)[O-] ZINC000067428561 346801734 /nfs/dbraw/zinc/80/17/34/346801734.db2.gz JQDXSKFBQGBGRG-ZDUSSCGKSA-N 0 0 298.298 2.956 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1c1ccccc1)c1ccc([N+](=O)[O-])s1 ZINC000067503466 346806131 /nfs/dbraw/zinc/80/61/31/346806131.db2.gz KKEPBRFKGBHSGQ-WDEREUQCSA-N 0 0 288.328 2.942 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1F)N1CCCCCC1 ZINC000076423925 347139134 /nfs/dbraw/zinc/13/91/34/347139134.db2.gz AHRUVOXJKRRHOB-UHFFFAOYSA-N 0 0 296.298 2.515 20 5 CFBDRN CSc1ccc(OCCn2c(C)ncc2[N+](=O)[O-])cc1 ZINC000076423038 347139141 /nfs/dbraw/zinc/13/91/41/347139141.db2.gz MTPDHTIATPXTMG-UHFFFAOYSA-N 0 0 293.348 2.901 20 5 CFBDRN C[C@H]1CN(c2c([N+](=O)[O-])ncn2C)c2ccccc21 ZINC000076799348 347155333 /nfs/dbraw/zinc/15/53/33/347155333.db2.gz UHEFCVXDFZCDNC-VIFPVBQESA-N 0 0 258.281 2.584 20 5 CFBDRN CN(CC1CC1)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000076848972 347156957 /nfs/dbraw/zinc/15/69/57/347156957.db2.gz UAOPMMHCKBHOJC-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN Cc1cc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)n2ncnc2n1 ZINC000076846383 347157014 /nfs/dbraw/zinc/15/70/14/347157014.db2.gz SDIAMIWGCLYSSK-JTQLQIEISA-N 0 0 298.306 2.514 20 5 CFBDRN CCCCN(C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000077591624 347202190 /nfs/dbraw/zinc/20/21/90/347202190.db2.gz IFCWRIWTJORKTA-UHFFFAOYSA-N 0 0 280.324 2.622 20 5 CFBDRN CCOC(=O)C1(CNc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000077232040 347179214 /nfs/dbraw/zinc/17/92/14/347179214.db2.gz MZUGXDKUMVPQRP-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN CC(=O)c1ccc(N2C[C@@H]3[C@H](C2)C3(C)C)c([N+](=O)[O-])c1 ZINC000078411708 347250212 /nfs/dbraw/zinc/25/02/12/347250212.db2.gz WGLDLXOJJRJZOW-TXEJJXNPSA-N 0 0 274.320 2.890 20 5 CFBDRN COC(C)(C)C[C@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000078401912 347250248 /nfs/dbraw/zinc/25/02/48/347250248.db2.gz NHYGBALOUFUZAA-VIFPVBQESA-N 0 0 253.302 2.605 20 5 CFBDRN CCc1cc(NCCc2ccccc2[N+](=O)[O-])ncn1 ZINC000078454593 347253820 /nfs/dbraw/zinc/25/38/20/347253820.db2.gz ANKSNSDEINYLAC-UHFFFAOYSA-N 0 0 272.308 2.602 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC2CCOCC2)c(F)c1 ZINC000078338787 347243689 /nfs/dbraw/zinc/24/36/89/347243689.db2.gz IXPONOLPGGYIIY-UHFFFAOYSA-N 0 0 298.314 2.732 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000267200555 282529694 /nfs/dbraw/zinc/52/96/94/282529694.db2.gz JGFHXQPYKZBJQG-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(C(F)(F)F)CC2)cn1 ZINC000078558675 347260752 /nfs/dbraw/zinc/26/07/52/347260752.db2.gz OVPBGDGUCJFPJC-UHFFFAOYSA-N 0 0 275.230 2.769 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NOC1CCCC1 ZINC000312161926 232655034 /nfs/dbraw/zinc/65/50/34/232655034.db2.gz QOJONQREQVGCTA-KTKRTIGZSA-N 0 0 276.292 2.598 20 5 CFBDRN CC[C@H]1CN(c2ncc([N+](=O)[O-])cc2C)CCS1 ZINC000078590065 347264136 /nfs/dbraw/zinc/26/41/36/347264136.db2.gz ORZYAKOMQMRHQK-NSHDSACASA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1cnc(NCCCOC(C)C)c([N+](=O)[O-])c1 ZINC000078624782 347265003 /nfs/dbraw/zinc/26/50/03/347265003.db2.gz ZPTJDFMXKMWQHV-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1cnc(NC[C@H](O)c2ccccc2F)c([N+](=O)[O-])c1 ZINC000078629842 347266131 /nfs/dbraw/zinc/26/61/31/347266131.db2.gz RZBKBHNZSOJJRS-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)c([N+](=O)[O-])c1 ZINC000078667474 347268938 /nfs/dbraw/zinc/26/89/38/347268938.db2.gz RQYSLOQASASCEU-JLLWLGSASA-N 0 0 277.324 2.524 20 5 CFBDRN COC1CCC(N(C)c2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000078664172 347269056 /nfs/dbraw/zinc/26/90/56/347269056.db2.gz IANDZRJXVPVXKM-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1OCCF ZINC000078988568 347283631 /nfs/dbraw/zinc/28/36/31/347283631.db2.gz NEFJIHUFBGZZAE-UHFFFAOYSA-N 0 0 264.050 2.706 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000079853240 347329154 /nfs/dbraw/zinc/32/91/54/347329154.db2.gz QZPDUIBPZOICDF-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1nccc(CNc2ccc3ncccc3c2[N+](=O)[O-])n1 ZINC000079949328 347333098 /nfs/dbraw/zinc/33/30/98/347333098.db2.gz CVOLSKMAUVHNFZ-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN CC(C)CCNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000080109173 347344436 /nfs/dbraw/zinc/34/44/36/347344436.db2.gz ZRBQYNNJJHEGMZ-UHFFFAOYSA-N 0 0 260.297 2.996 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000080496417 347361549 /nfs/dbraw/zinc/36/15/49/347361549.db2.gz DNNNIUUPMLXDNE-CQSZACIVSA-N 0 0 292.335 2.530 20 5 CFBDRN Cc1ccc(N2CCN(c3ccccn3)CC2)c([N+](=O)[O-])c1 ZINC000080531009 347364313 /nfs/dbraw/zinc/36/43/13/347364313.db2.gz QZAZAJRJHQLBQT-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCOC[C@@H]1CCCO1 ZINC000080538580 347364390 /nfs/dbraw/zinc/36/43/90/347364390.db2.gz XINKNJRKCDZOEI-AWEZNQCLSA-N 0 0 294.351 2.901 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1c2ccccc2C[C@H]1O ZINC000080571303 347366402 /nfs/dbraw/zinc/36/64/02/347366402.db2.gz KRSSMZBOQBTZBA-CABCVRRESA-N 0 0 288.278 2.804 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000080587295 347367789 /nfs/dbraw/zinc/36/77/89/347367789.db2.gz WDUWZOROFNDBAU-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN CN(Cc1nccs1)c1ccc([N+](=O)[O-])s1 ZINC000080613518 347370394 /nfs/dbraw/zinc/37/03/94/347370394.db2.gz JXXXNQVXOKHCFG-UHFFFAOYSA-N 0 0 255.324 2.749 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](C)C[C@@H](C)O ZINC000080647145 347371940 /nfs/dbraw/zinc/37/19/40/347371940.db2.gz NAHYMSXDAOWQEG-GXSJLCMTSA-N 0 0 252.314 2.722 20 5 CFBDRN CNC(=O)c1ccc(N2C[C@H](C)CC2(C)C)c([N+](=O)[O-])c1 ZINC000080684492 347374771 /nfs/dbraw/zinc/37/47/71/347374771.db2.gz IGHNXUVXYQUCJD-SNVBAGLBSA-N 0 0 291.351 2.579 20 5 CFBDRN CO[C@H](CNc1ncc(C)cc1[N+](=O)[O-])C(C)(C)C ZINC000080697567 347376470 /nfs/dbraw/zinc/37/64/70/347376470.db2.gz VYHNWYJFMXOLSQ-LLVKDONJSA-N 0 0 267.329 2.771 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC12CCC2 ZINC000080794118 347381532 /nfs/dbraw/zinc/38/15/32/347381532.db2.gz SXJMOFNQBDQELH-UHFFFAOYSA-N 0 0 264.256 2.503 20 5 CFBDRN Cc1nc2[nH]ccc2c(NCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000080950216 347387092 /nfs/dbraw/zinc/38/70/92/347387092.db2.gz BXKNOOYWOHGQDI-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@H](C)S1 ZINC000080961335 347389699 /nfs/dbraw/zinc/38/96/99/347389699.db2.gz AONAFAPSZCLMKH-YUMQZZPRSA-N 0 0 286.378 2.622 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)S[C@@H](C)C1 ZINC000080960423 347390117 /nfs/dbraw/zinc/39/01/17/347390117.db2.gz DXOHHHJRFHOGTI-PHIMTYICSA-N 0 0 294.376 2.869 20 5 CFBDRN CC(C)CCO[C@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000081016332 347396733 /nfs/dbraw/zinc/39/67/33/347396733.db2.gz GPAOVGYCZXLREG-ZDUSSCGKSA-N 0 0 279.340 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(c2ncc(F)cn2)C2CC2)cc1 ZINC000270782190 190812646 /nfs/dbraw/zinc/81/26/46/190812646.db2.gz PACYGAVQZUOWCY-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN Cc1nc(C)c(NC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000081564872 347427704 /nfs/dbraw/zinc/42/77/04/347427704.db2.gz WYPCFEOCVCOVOA-UHFFFAOYSA-N 0 0 283.334 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCc3cscn3)c2c1 ZINC000081590033 347428025 /nfs/dbraw/zinc/42/80/25/347428025.db2.gz GHAASCCDWFDKDM-UHFFFAOYSA-N 0 0 287.304 2.607 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000081987055 347441537 /nfs/dbraw/zinc/44/15/37/347441537.db2.gz RXCIDWMVUIHIAU-UHFFFAOYSA-N 0 0 298.302 2.676 20 5 CFBDRN C[C@@H](O)[C@@H](C)SCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000083093463 347448068 /nfs/dbraw/zinc/44/80/68/347448068.db2.gz KJKYPZFJEKXHFU-HTQZYQBOSA-N 0 0 282.321 2.739 20 5 CFBDRN CC(=O)[C@H](C)SCC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC000083124199 347448770 /nfs/dbraw/zinc/44/87/70/347448770.db2.gz GOHFAUFUBBPYLW-JTQLQIEISA-N 0 0 296.348 2.552 20 5 CFBDRN C[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])C(C)(C)O ZINC000087817750 347498905 /nfs/dbraw/zinc/49/89/05/347498905.db2.gz STIFHWVAUBXVDK-SSDOTTSWSA-N 0 0 258.705 2.820 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[NH+]1CCC(C)(C(=O)[O-])CC1 ZINC000086777124 347488794 /nfs/dbraw/zinc/48/87/94/347488794.db2.gz PUSVBDOCFMRSLV-LLVKDONJSA-N 0 0 292.335 2.843 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCC[C@@H]2O)c1 ZINC000084726643 347470486 /nfs/dbraw/zinc/47/04/86/347470486.db2.gz ALHMUXUYOPWPGX-FZMZJTMJSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2ccccn2)c1 ZINC000088702726 347507424 /nfs/dbraw/zinc/50/74/24/347507424.db2.gz PLILVPMLOXEVFR-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000088722209 347507804 /nfs/dbraw/zinc/50/78/04/347507804.db2.gz ZIVAYBAIBIKWPV-NWDGAFQWSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H](O)C(C)C)c1 ZINC000088725755 347508696 /nfs/dbraw/zinc/50/86/96/347508696.db2.gz DYQBXMXBDGIPIU-ZDUSSCGKSA-N 0 0 252.314 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cn(-c3ccccc3)nn2)cc1 ZINC000088741335 347509137 /nfs/dbraw/zinc/50/91/37/347509137.db2.gz NWAYASIQIRBODV-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(c1ccccn1)CC2 ZINC000088764103 347509761 /nfs/dbraw/zinc/50/97/61/347509761.db2.gz SSKJXJCLVZPGQT-UHFFFAOYSA-N 0 0 255.277 2.553 20 5 CFBDRN CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)CC(F)F ZINC000089893003 347531791 /nfs/dbraw/zinc/53/17/91/347531791.db2.gz RHIIXKZWXQGKMZ-UHFFFAOYSA-N 0 0 297.261 2.947 20 5 CFBDRN CC[C@@H](CSC)N(C)c1ncc(C)cc1[N+](=O)[O-] ZINC000091022972 347566887 /nfs/dbraw/zinc/56/68/87/347566887.db2.gz ZSNUTQHJUULPQG-JTQLQIEISA-N 0 0 269.370 2.876 20 5 CFBDRN Cc1cn2c(n1)CC[C@@H](Nc1ccc([N+](=O)[O-])cc1)C2 ZINC000091477772 347589324 /nfs/dbraw/zinc/58/93/24/347589324.db2.gz HPFYRMKOPCFTNG-GFCCVEGCSA-N 0 0 272.308 2.527 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000091485377 347590533 /nfs/dbraw/zinc/59/05/33/347590533.db2.gz ITNFLCBCSSAWPX-GFCCVEGCSA-N 0 0 262.265 2.987 20 5 CFBDRN CCOC(=O)C1(C)CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000091506091 347591308 /nfs/dbraw/zinc/59/13/08/347591308.db2.gz DKFZWORRTVELGR-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN NC(=O)C[C@H](Nc1ccc([N+](=O)[O-])cc1)C1CCCCC1 ZINC000091505265 347591397 /nfs/dbraw/zinc/59/13/97/347591397.db2.gz RMLYFHFDLWUBQH-AWEZNQCLSA-N 0 0 291.351 2.831 20 5 CFBDRN Cc1cccn2cc(CNc3nccc(C)c3[N+](=O)[O-])nc12 ZINC000091628487 347594300 /nfs/dbraw/zinc/59/43/00/347594300.db2.gz WWQCGJYIVAFZQP-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000090451501 347549554 /nfs/dbraw/zinc/54/95/54/347549554.db2.gz OAFFUPZVYIHEDQ-GHMZBOCLSA-N 0 0 294.351 2.976 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000090466277 347550951 /nfs/dbraw/zinc/55/09/51/347550951.db2.gz ZXXYSEOIRSCATE-BIMULSAOSA-N 0 0 262.309 2.818 20 5 CFBDRN CCc1nsc(Oc2ccccc2[N+](=O)[O-])n1 ZINC000092581504 347632075 /nfs/dbraw/zinc/63/20/75/347632075.db2.gz SSHWISVSECOKPH-UHFFFAOYSA-N 0 0 251.267 2.801 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(Sc2nccn2C)c1 ZINC000092581876 347632889 /nfs/dbraw/zinc/63/28/89/347632889.db2.gz WOAROYKUBQCRST-UHFFFAOYSA-N 0 0 283.284 2.627 20 5 CFBDRN CCN(Cc1cnn(C)c1)c1ccc([N+](=O)[O-])c(C)c1 ZINC000092623932 347634952 /nfs/dbraw/zinc/63/49/52/347634952.db2.gz MYUGMUFDFLKBRB-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN Cc1cc(Nc2cnn(-c3ncccn3)c2)ccc1[N+](=O)[O-] ZINC000092656340 347637244 /nfs/dbraw/zinc/63/72/44/347637244.db2.gz DQPYEGXOBQTMIV-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN CCOc1cc(N2CCC[C@@H]2COC)ccc1[N+](=O)[O-] ZINC000092665601 347638337 /nfs/dbraw/zinc/63/83/37/347638337.db2.gz TYUBYKOEQMCQND-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN CCc1nsc(Oc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000092686618 347640921 /nfs/dbraw/zinc/64/09/21/347640921.db2.gz SCHBFLWHYPPOJZ-UHFFFAOYSA-N 0 0 269.257 2.940 20 5 CFBDRN Cc1cc(N[C@H]2CCCCC[C@H]2C(N)=O)ccc1[N+](=O)[O-] ZINC000092686785 347641010 /nfs/dbraw/zinc/64/10/10/347641010.db2.gz NIWSVTLKXZTOJF-OLZOCXBDSA-N 0 0 291.351 2.749 20 5 CFBDRN CCc1nn(C)c(N(C)C2CCCCC2)c1[N+](=O)[O-] ZINC000092826363 347648521 /nfs/dbraw/zinc/64/85/21/347648521.db2.gz GURFQSSJIDRUBX-UHFFFAOYSA-N 0 0 266.345 2.660 20 5 CFBDRN Cc1cc(=O)n(Cc2cccc(F)c2Cl)cc1[N+](=O)[O-] ZINC000092856683 347648927 /nfs/dbraw/zinc/64/89/27/347648927.db2.gz ZBCINACBKJBHOP-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CCCC(O)(CCC)CNc1c([N+](=O)[O-])c(CC)nn1C ZINC000094163442 347693642 /nfs/dbraw/zinc/69/36/42/347693642.db2.gz BXEZZFLQOBMNIW-UHFFFAOYSA-N 0 0 298.387 2.634 20 5 CFBDRN Cc1cc(C(=O)Nc2cnc(C3CC3)nc2)ccc1[N+](=O)[O-] ZINC000103019960 347721060 /nfs/dbraw/zinc/72/10/60/347721060.db2.gz OZSQGINZOHYILT-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1c(CC(=O)N[C@H](C)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000122583443 347816284 /nfs/dbraw/zinc/81/62/84/347816284.db2.gz PZYMPHWCOSHLBP-LLVKDONJSA-N 0 0 278.352 2.997 20 5 CFBDRN C[C@@H](NC(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000123270819 347819298 /nfs/dbraw/zinc/81/92/98/347819298.db2.gz GAXYARFLNJORRD-LLVKDONJSA-N 0 0 291.351 2.625 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1CC[C@@H]2CCCC[C@@H]21 ZINC000124636876 347825796 /nfs/dbraw/zinc/82/57/96/347825796.db2.gz ZNOLCYXEYYNJII-JQWIXIFHSA-N 0 0 290.323 2.786 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCOC1CCCCCC1 ZINC000128017213 347846268 /nfs/dbraw/zinc/84/62/68/347846268.db2.gz YDPPFPOZUWGSLG-UHFFFAOYSA-N 0 0 253.302 2.531 20 5 CFBDRN O=C(Nc1cccnc1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000128801001 347854360 /nfs/dbraw/zinc/85/43/60/347854360.db2.gz VQMARQMGCDZYPH-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CCc1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)n[nH]1 ZINC000126540281 347835703 /nfs/dbraw/zinc/83/57/03/347835703.db2.gz YOJHMZYSOCZJFT-UHFFFAOYSA-N 0 0 294.698 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CSCCCO ZINC000086549589 179307202 /nfs/dbraw/zinc/30/72/02/179307202.db2.gz ODYYWRODMQPFNI-UHFFFAOYSA-N 0 0 261.730 2.864 20 5 CFBDRN C[C@H](NC(=O)CCOc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000130772844 347874094 /nfs/dbraw/zinc/87/40/94/347874094.db2.gz VLGVADCVLGJUIG-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000131028726 347876067 /nfs/dbraw/zinc/87/60/67/347876067.db2.gz MKQFSSKKEUUAJA-MRVPVSSYSA-N 0 0 289.291 2.942 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C2CCC2)c1 ZINC000131177559 347876382 /nfs/dbraw/zinc/87/63/82/347876382.db2.gz INSPLSIFHPBDQV-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1CCCC[C@@H]1O ZINC000134966918 347902906 /nfs/dbraw/zinc/90/29/06/347902906.db2.gz CSHRXNCRBVBFGZ-ZANVPECISA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1NC1CC1 ZINC000141733638 347931998 /nfs/dbraw/zinc/93/19/98/347931998.db2.gz PQSNJDLPRHVVLH-UHFFFAOYSA-N 0 0 257.087 2.932 20 5 CFBDRN Cc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2C)cn1 ZINC000142173910 347933505 /nfs/dbraw/zinc/93/35/05/347933505.db2.gz WKJSUZNQZVPPQV-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N(C)CCC(C)C)c1 ZINC000136298402 347910275 /nfs/dbraw/zinc/91/02/75/347910275.db2.gz HJUGPDSSYUMBKW-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2Cc3ccccc3O2)cc1[N+](=O)[O-] ZINC000137283174 347913512 /nfs/dbraw/zinc/91/35/12/347913512.db2.gz JWZUNXWZBOQDEI-HNNXBMFYSA-N 0 0 298.298 2.845 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCO[C@H](C2CCC2)C1 ZINC000411872443 232801394 /nfs/dbraw/zinc/80/13/94/232801394.db2.gz JWUUJEUYMOFMCK-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN CC(C)(C)CCC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000155017063 347984482 /nfs/dbraw/zinc/98/44/82/347984482.db2.gz PXWQCCXLIRKYFB-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)C1CCC1 ZINC000156157091 347992674 /nfs/dbraw/zinc/99/26/74/347992674.db2.gz AOCJDQFYCPQVNM-SECBINFHSA-N 0 0 293.323 2.914 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1Cc2ccccc21 ZINC000159483030 348012424 /nfs/dbraw/zinc/01/24/24/348012424.db2.gz YMDBVNRPAOXPOJ-NSHDSACASA-N 0 0 255.277 2.742 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H](C)C2CC2)c1 ZINC000167324254 348045017 /nfs/dbraw/zinc/04/50/17/348045017.db2.gz MPHQDFXTROVKQU-VIFPVBQESA-N 0 0 278.308 2.839 20 5 CFBDRN C[C@H](CNc1ncnc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000167222661 348045384 /nfs/dbraw/zinc/04/53/84/348045384.db2.gz PCTCKDABQAJYDN-SECBINFHSA-N 0 0 272.308 2.996 20 5 CFBDRN CC1(F)CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000169670188 348051723 /nfs/dbraw/zinc/05/17/23/348051723.db2.gz CVPUXQJWWSFBFF-UHFFFAOYSA-N 0 0 266.272 2.559 20 5 CFBDRN Cc1c(C(=O)Nc2ccc(F)cn2)cccc1[N+](=O)[O-] ZINC000170677302 348059472 /nfs/dbraw/zinc/05/94/72/348059472.db2.gz BCDKCCSRTFJXHX-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=C(Nc1cccc2[nH]cnc21)c1csc([N+](=O)[O-])c1 ZINC000172399088 348092847 /nfs/dbraw/zinc/09/28/47/348092847.db2.gz FSRURJDCMHQEML-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)C(C)C)cc([N+](=O)[O-])c1 ZINC000172443086 348094475 /nfs/dbraw/zinc/09/44/75/348094475.db2.gz RINZWGHHZVALJO-NSHDSACASA-N 0 0 264.325 2.925 20 5 CFBDRN COc1cc(C(=O)NC[C@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000172450796 348095148 /nfs/dbraw/zinc/09/51/48/348095148.db2.gz WVCDPABJGLASCI-JTQLQIEISA-N 0 0 280.324 2.625 20 5 CFBDRN COc1ccc(C(=O)NC[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000172450409 348095328 /nfs/dbraw/zinc/09/53/28/348095328.db2.gz GYYBEVDMLFECLG-SNVBAGLBSA-N 0 0 280.324 2.625 20 5 CFBDRN CC(C)(CO)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214026163 348160789 /nfs/dbraw/zinc/16/07/89/348160789.db2.gz UYYAFYWJOGHBSW-UHFFFAOYSA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1c(CNCc2nccs2)cccc1[N+](=O)[O-] ZINC000217470313 348165163 /nfs/dbraw/zinc/16/51/63/348165163.db2.gz YSFAVQYPYSXBQY-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN CCC[C@](C)(O)CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000227865464 348190653 /nfs/dbraw/zinc/19/06/53/348190653.db2.gz WNYAIDNPIOFCNE-AWEZNQCLSA-N 0 0 290.323 2.501 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2C)C1(C)C ZINC000227822828 348190856 /nfs/dbraw/zinc/19/08/56/348190856.db2.gz DJWRRYCSMGDDDT-GHMZBOCLSA-N 0 0 265.313 2.524 20 5 CFBDRN CC(C)OCCCCNc1cccnc1[N+](=O)[O-] ZINC000228563226 348195570 /nfs/dbraw/zinc/19/55/70/348195570.db2.gz SWTVNDDFXNLBRM-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCC2CCC2)c1 ZINC000229433874 348202152 /nfs/dbraw/zinc/20/21/52/348202152.db2.gz PFCUVXFGVOHGSO-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000230098289 348204394 /nfs/dbraw/zinc/20/43/94/348204394.db2.gz CONBQPJJZRANIJ-YMTOWFKASA-N 0 0 288.307 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@](C)(O)CC2)c1 ZINC000230372459 348206117 /nfs/dbraw/zinc/20/61/17/348206117.db2.gz IZQZAKUJOSRTNU-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN CCOC(=O)CCCCSc1ncccc1[N+](=O)[O-] ZINC000128785577 187389926 /nfs/dbraw/zinc/38/99/26/187389926.db2.gz BEGWAEAKMDNMOS-UHFFFAOYSA-N 0 0 284.337 2.815 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC[C@@H](CCO)C1 ZINC000236508474 348233800 /nfs/dbraw/zinc/23/38/00/348233800.db2.gz ONHIXMCLAHUGNZ-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN CS[C@@H](C)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000128826782 187392362 /nfs/dbraw/zinc/39/23/62/187392362.db2.gz YAVIMSYBDNAVAS-LURJTMIESA-N 0 0 261.734 2.807 20 5 CFBDRN CC(C)OCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273340256 192119434 /nfs/dbraw/zinc/11/94/34/192119434.db2.gz ADYXAZJPAGCKDZ-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN C[C@@]1(CCCO)CCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000273339303 192119643 /nfs/dbraw/zinc/11/96/43/192119643.db2.gz SNTYXHUEMGJSRK-ZDUSSCGKSA-N 0 0 299.758 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCCC2)c2ncccc12 ZINC000087029989 179382404 /nfs/dbraw/zinc/38/24/04/179382404.db2.gz WSYVRMYJUYFQCF-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN COc1ccc(NC(=O)C=C2CCC2)c([N+](=O)[O-])c1 ZINC000087060552 179389548 /nfs/dbraw/zinc/38/95/48/179389548.db2.gz SUYSARRVYFGEFH-UHFFFAOYSA-N 0 0 262.265 2.652 20 5 CFBDRN C[C@H](CNC(=O)c1ccccc1[N+](=O)[O-])CC(F)(F)F ZINC000412658829 232936489 /nfs/dbraw/zinc/93/64/89/232936489.db2.gz PROFPWVTAMLLRG-QMMMGPOBSA-N 0 0 290.241 2.913 20 5 CFBDRN C[C@H](NC(=O)C[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000087142575 179397142 /nfs/dbraw/zinc/39/71/42/179397142.db2.gz AITCSXOKXDLSHO-SMDDNHRTSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H](Oc1ccc(Br)cc1[N+](=O)[O-])[C@H](C)O ZINC000087139347 179397481 /nfs/dbraw/zinc/39/74/81/179397481.db2.gz PRBFJELGEZEKKT-NKWVEPMBSA-N 0 0 290.113 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3CCCS3)no2)[nH]1 ZINC000274253083 348334700 /nfs/dbraw/zinc/33/47/00/348334700.db2.gz XGOXQLPSAGUUJA-SSDOTTSWSA-N 0 0 266.282 2.541 20 5 CFBDRN Cc1cc(N(C)CCc2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000282368156 348343789 /nfs/dbraw/zinc/34/37/89/348343789.db2.gz XYECFZDONVHEQW-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccccc2)N(c2ncc([N+](=O)[O-])c(N)n2)C1 ZINC000286229042 348346504 /nfs/dbraw/zinc/34/65/04/348346504.db2.gz CITKJEGXDKBPCH-PWSUYJOCSA-N 0 0 299.334 2.555 20 5 CFBDRN CCn1cc(Nc2c(Cl)cncc2[N+](=O)[O-])cn1 ZINC000306108388 348383563 /nfs/dbraw/zinc/38/35/63/348383563.db2.gz UMSOEQXECXIIKH-UHFFFAOYSA-N 0 0 267.676 2.603 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@@H]1CCCSC1 ZINC000306080021 348383605 /nfs/dbraw/zinc/38/36/05/348383605.db2.gz CJAMHYXBSFMHCB-SSDOTTSWSA-N 0 0 273.745 2.951 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@@H]1CCSC1 ZINC000306106340 348383636 /nfs/dbraw/zinc/38/36/36/348383636.db2.gz SNRSVTZDKJCTJI-ZETCQYMHSA-N 0 0 273.745 2.808 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H]2C(C)(C)C)c1[N+](=O)[O-] ZINC000412808051 232966476 /nfs/dbraw/zinc/96/64/76/232966476.db2.gz GKLBTBLRFOXJLM-VHSXEESVSA-N 0 0 294.355 2.571 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@H]2CF)ccc1[N+](=O)[O-] ZINC000292869768 348359912 /nfs/dbraw/zinc/35/99/12/348359912.db2.gz SVIGEKVXWRYKEG-NSHDSACASA-N 0 0 266.272 2.736 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000311021943 348411586 /nfs/dbraw/zinc/41/15/86/348411586.db2.gz UWUGBGUSNRSPDE-SFYZADRCSA-N 0 0 271.704 2.623 20 5 CFBDRN CCSCC[C@@H](C)Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000310971900 348411682 /nfs/dbraw/zinc/41/16/82/348411682.db2.gz PQNGDZGRSNXKOO-MRVPVSSYSA-N 0 0 270.358 2.516 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@@H]1CCC[C@H](O)C1 ZINC000311546691 348413196 /nfs/dbraw/zinc/41/31/96/348413196.db2.gz KAPYOQPNBXJUFH-BDAKNGLRSA-N 0 0 285.731 2.606 20 5 CFBDRN C[C@H](O)CSCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000413014788 233002472 /nfs/dbraw/zinc/00/24/72/233002472.db2.gz JDIUWWGYOYBZMW-ZETCQYMHSA-N 0 0 261.730 2.862 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000413022311 233004584 /nfs/dbraw/zinc/00/45/84/233004584.db2.gz CTYBNPDMCFDQRK-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000312855836 348420450 /nfs/dbraw/zinc/42/04/50/348420450.db2.gz XPYBRVJAGDXWDB-UHFFFAOYSA-N 0 0 295.730 2.606 20 5 CFBDRN CC[C@](C)(CNc1c(Cl)cncc1[N+](=O)[O-])OC ZINC000322956763 348437916 /nfs/dbraw/zinc/43/79/16/348437916.db2.gz SWLNPKKCAMFRDI-LLVKDONJSA-N 0 0 273.720 2.870 20 5 CFBDRN CCc1nn(C)c(N[C@@H](C(C)C)C2CC2)c1[N+](=O)[O-] ZINC000324207243 348438602 /nfs/dbraw/zinc/43/86/02/348438602.db2.gz CIFZWNVNOLGSPZ-NSHDSACASA-N 0 0 266.345 2.737 20 5 CFBDRN CC[C@@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])C1CC1 ZINC000324248193 348438767 /nfs/dbraw/zinc/43/87/67/348438767.db2.gz ASPTXBFVIYSSLN-LLVKDONJSA-N 0 0 298.364 2.599 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCc1nc(C)cs1 ZINC000313393697 348422726 /nfs/dbraw/zinc/42/27/26/348422726.db2.gz SJIHBVVNZNNXOI-UHFFFAOYSA-N 0 0 295.368 2.539 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000314375233 348425799 /nfs/dbraw/zinc/42/57/99/348425799.db2.gz SCRCBYDRFXTNNJ-GHMZBOCLSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@@H]1CCCc2c[nH]nc21 ZINC000273408222 192140987 /nfs/dbraw/zinc/14/09/87/192140987.db2.gz NXWRDKKRARYFBL-CQSZACIVSA-N 0 0 286.335 2.794 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000413147728 233024678 /nfs/dbraw/zinc/02/46/78/233024678.db2.gz OSZCKAKNONLWIH-NSHDSACASA-N 0 0 291.351 2.925 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCC1=CCCC1 ZINC000596844363 349996791 /nfs/dbraw/zinc/99/67/91/349996791.db2.gz NGNWFJRHDSSCHY-UHFFFAOYSA-N 0 0 291.307 2.835 20 5 CFBDRN Cc1cc(OCc2nc([C@@H]3C[C@@H]3C)no2)ccc1[N+](=O)[O-] ZINC000350144414 348472072 /nfs/dbraw/zinc/47/20/72/348472072.db2.gz RWSBPHFWGJLUID-GZMMTYOYSA-N 0 0 289.291 2.989 20 5 CFBDRN Cc1cc(OCc2nc([C@H]3C[C@H]3C)no2)ccc1[N+](=O)[O-] ZINC000350144415 348472187 /nfs/dbraw/zinc/47/21/87/348472187.db2.gz RWSBPHFWGJLUID-KCJUWKMLSA-N 0 0 289.291 2.989 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1CC2(CC2)C1 ZINC000596777395 349988679 /nfs/dbraw/zinc/98/86/79/349988679.db2.gz YHAROAYBVWVYRD-UHFFFAOYSA-N 0 0 274.320 2.743 20 5 CFBDRN CCn1nc(C)c(Nc2ncc([N+](=O)[O-])cc2F)c1C ZINC000413181732 233030956 /nfs/dbraw/zinc/03/09/56/233030956.db2.gz WUMHCTJSYLSUPJ-UHFFFAOYSA-N 0 0 279.275 2.706 20 5 CFBDRN Cc1ncsc1CCNc1ncc(F)cc1[N+](=O)[O-] ZINC000401156565 348577413 /nfs/dbraw/zinc/57/74/13/348577413.db2.gz IVARBEVYZWRMTP-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN CCC1(CNc2ncc(F)cc2[N+](=O)[O-])CCOCC1 ZINC000401208927 348578076 /nfs/dbraw/zinc/57/80/76/348578076.db2.gz LQHZFAVTENFURQ-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000413262811 233043404 /nfs/dbraw/zinc/04/34/04/233043404.db2.gz GIZLOUKBJNSQNN-DTWKUNHWSA-N 0 0 253.277 2.977 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2cc(NC3CC3)ncn2)c1 ZINC000413214724 233035622 /nfs/dbraw/zinc/03/56/22/233035622.db2.gz WPPTZSJVBKWQDB-UHFFFAOYSA-N 0 0 299.334 2.614 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)c(F)c1 ZINC000413213220 233035767 /nfs/dbraw/zinc/03/57/67/233035767.db2.gz YFRSWHLAGMYWMV-IACUBPJLSA-N 0 0 285.278 2.879 20 5 CFBDRN C[C@H](C[C@H](O)c1cccs1)Nc1ccc([N+](=O)[O-])cn1 ZINC000128917976 187399458 /nfs/dbraw/zinc/39/94/58/187399458.db2.gz CVICGMJTNLQLFD-KOLCDFICSA-N 0 0 293.348 2.975 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCOC(C)(C)C1 ZINC000413329012 233054264 /nfs/dbraw/zinc/05/42/64/233054264.db2.gz FMKADOMZYLSNLK-LLVKDONJSA-N 0 0 296.371 2.737 20 5 CFBDRN CSc1cccc(C(=O)N2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000584846768 348722374 /nfs/dbraw/zinc/72/23/74/348722374.db2.gz UAULVTHLURHKCO-SECBINFHSA-N 0 0 298.339 2.891 20 5 CFBDRN C[C@@H](SC[C@@H]1CN(C)CCO1)c1cccc([N+](=O)[O-])c1 ZINC000584589959 348681641 /nfs/dbraw/zinc/68/16/41/348681641.db2.gz CXYIIZUHWUQYIT-RISCZKNCSA-N 0 0 296.392 2.720 20 5 CFBDRN COC1CCC(Nc2nccc(C)c2[N+](=O)[O-])CC1 ZINC000413411658 233069223 /nfs/dbraw/zinc/06/92/23/233069223.db2.gz DXYIMVZBQZSPTP-UHFFFAOYSA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@@]2(C1)CCCOC2 ZINC000588051545 348768801 /nfs/dbraw/zinc/76/88/01/348768801.db2.gz CRTPDXAYRPGXPO-GFCCVEGCSA-N 0 0 268.338 2.663 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@H]2CCCO2)s1 ZINC000588048976 348768860 /nfs/dbraw/zinc/76/88/60/348768860.db2.gz GNMIIPFIBJLLDO-NXEZZACHSA-N 0 0 268.338 2.804 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1ccsc1[N+](=O)[O-] ZINC000588074549 348770395 /nfs/dbraw/zinc/77/03/95/348770395.db2.gz ARHIRBZWGJNIAA-BDAKNGLRSA-N 0 0 256.327 2.741 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1sccc1[N+](=O)[O-] ZINC000588075377 348770693 /nfs/dbraw/zinc/77/06/93/348770693.db2.gz WMSHRUIJGIETNB-BDAKNGLRSA-N 0 0 256.327 2.741 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1ccc([N+](=O)[O-])s1 ZINC000588075375 348770699 /nfs/dbraw/zinc/77/06/99/348770699.db2.gz UJNFHAHENDCUPV-RKDXNWHRSA-N 0 0 256.327 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)Cc2ccccc2)s1 ZINC000588084859 348770912 /nfs/dbraw/zinc/77/09/12/348770912.db2.gz GVQIQHZTEACZSB-LLVKDONJSA-N 0 0 278.333 2.672 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CCCC2(F)F)cccc1[N+](=O)[O-] ZINC000588179897 348778175 /nfs/dbraw/zinc/77/81/75/348778175.db2.gz BPQNQTWBCRSTEV-GFCCVEGCSA-N 0 0 298.289 2.750 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCCC2(F)F)cccc1[N+](=O)[O-] ZINC000588179863 348778240 /nfs/dbraw/zinc/77/82/40/348778240.db2.gz AKNOAWFSVSTMSY-NSHDSACASA-N 0 0 284.262 2.821 20 5 CFBDRN CCc1nn(C)c(N[C@@H](C)c2cnccc2C)c1[N+](=O)[O-] ZINC000588823475 348796799 /nfs/dbraw/zinc/79/67/99/348796799.db2.gz YCXZOZGSTJBXDX-JTQLQIEISA-N 0 0 289.339 2.767 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1cnccc1C ZINC000588822949 348797034 /nfs/dbraw/zinc/79/70/34/348797034.db2.gz HLSGCRSQWIZUNL-JTQLQIEISA-N 0 0 289.339 2.996 20 5 CFBDRN Cc1cccc(CC2CN(c3ccncc3[N+](=O)[O-])C2)c1 ZINC000588823643 348797433 /nfs/dbraw/zinc/79/74/33/348797433.db2.gz PZSGMKVYJCMQEN-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000585729239 348741156 /nfs/dbraw/zinc/74/11/56/348741156.db2.gz VROBJWRAALVELN-NSHDSACASA-N 0 0 286.335 2.852 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]2C[C@@H]21 ZINC000586786015 348752355 /nfs/dbraw/zinc/75/23/55/348752355.db2.gz YGNKUBUJULTTNV-SKDRFNHKSA-N 0 0 261.281 2.611 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@@H]3C[C@@H]32)cc([N+](=O)[O-])c1C ZINC000586890042 348759394 /nfs/dbraw/zinc/75/93/94/348759394.db2.gz JNYFLRLSBWRYKE-MFKMUULPSA-N 0 0 290.319 2.536 20 5 CFBDRN Cc1cccc(C(=O)N2CCC[C@H]3C[C@H]32)c1[N+](=O)[O-] ZINC000586897484 348759975 /nfs/dbraw/zinc/75/99/75/348759975.db2.gz BJYJVSKVMCKBCD-CMPLNLGQSA-N 0 0 260.293 2.528 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@@H]2C[C@@H]21 ZINC000586898173 348760032 /nfs/dbraw/zinc/76/00/32/348760032.db2.gz KDGQCCDKXGAHMQ-RNCFNFMXSA-N 0 0 285.303 2.701 20 5 CFBDRN CCc1ccc(C(=O)N2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000586899233 348760656 /nfs/dbraw/zinc/76/06/56/348760656.db2.gz TVKNJACUUIYDLJ-YPMHNXCESA-N 0 0 274.320 2.782 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2cc3cc[nH]c3cn2)cc1 ZINC000587434169 348761882 /nfs/dbraw/zinc/76/18/82/348761882.db2.gz NIQQXTFFOPNTFW-UHFFFAOYSA-N 0 0 282.303 2.548 20 5 CFBDRN O=C(Nc1cc2ccccn2n1)c1ccc([N+](=O)[O-])s1 ZINC000587495250 348762592 /nfs/dbraw/zinc/76/25/92/348762592.db2.gz OCVOPQYOXLYENW-UHFFFAOYSA-N 0 0 288.288 2.556 20 5 CFBDRN CCC1(C)CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)CC1 ZINC000587750220 348762595 /nfs/dbraw/zinc/76/25/95/348762595.db2.gz ZTYCKIRDTWHTJG-UHFFFAOYSA-N 0 0 293.367 2.686 20 5 CFBDRN C[C@@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)c1ccccc1 ZINC000587972894 348763254 /nfs/dbraw/zinc/76/32/54/348763254.db2.gz LWXBQXWFXXPICC-SNVBAGLBSA-N 0 0 287.319 2.842 20 5 CFBDRN CC1(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)CCCC1 ZINC000587973730 348763379 /nfs/dbraw/zinc/76/33/79/348763379.db2.gz ZNPMXVDFCNYCEU-UHFFFAOYSA-N 0 0 279.340 2.662 20 5 CFBDRN CN(CC1CCC1)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000587975328 348763472 /nfs/dbraw/zinc/76/34/72/348763472.db2.gz ZBRWZFPCGAULOB-UHFFFAOYSA-N 0 0 278.337 2.836 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NC1CC=CC1 ZINC000587998790 348765632 /nfs/dbraw/zinc/76/56/32/348765632.db2.gz AVMFAAJTTJWWIG-UHFFFAOYSA-N 0 0 276.292 2.820 20 5 CFBDRN C[C@@H]1CN(c2cc(N)c([N+](=O)[O-])c(CO)c2)CC(C)(C)C1 ZINC000588015574 348766591 /nfs/dbraw/zinc/76/65/91/348766591.db2.gz MPKGNQAUZFMICN-JTQLQIEISA-N 0 0 293.367 2.542 20 5 CFBDRN CC(=O)c1cc(N2CCO[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000588013057 348766734 /nfs/dbraw/zinc/76/67/34/348766734.db2.gz USDQCUPNOWWEBO-HNNXBMFYSA-N 0 0 292.335 2.659 20 5 CFBDRN Cn1ccnc1Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000589103404 348810807 /nfs/dbraw/zinc/81/08/07/348810807.db2.gz WYTGGUXPRHSJIZ-UHFFFAOYSA-N 0 0 270.701 2.528 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@H](C)C1 ZINC000589103871 348810925 /nfs/dbraw/zinc/81/09/25/348810925.db2.gz OQNFESLDPCJWHZ-JTQLQIEISA-N 0 0 250.298 2.840 20 5 CFBDRN C[C@@H](O)CCN(C)c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000589112079 348811615 /nfs/dbraw/zinc/81/16/15/348811615.db2.gz UFHAMUBTYLBDQN-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCC(F)F)n2)s1 ZINC000589147118 348813090 /nfs/dbraw/zinc/81/30/90/348813090.db2.gz VAPLMYJXIHWLKM-UHFFFAOYSA-N 0 0 275.236 2.904 20 5 CFBDRN COCC[C@@H](C)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000413500013 233084745 /nfs/dbraw/zinc/08/47/45/233084745.db2.gz ZGZJFNJAJNDJNP-MRVPVSSYSA-N 0 0 273.720 2.728 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1C[C@H](O)C[C@@H]1c1ccccc1 ZINC000413502674 233085612 /nfs/dbraw/zinc/08/56/12/233085612.db2.gz NRNFZZKBJGRKLI-CZUORRHYSA-N 0 0 284.315 2.907 20 5 CFBDRN CCOc1cc(NC2CCOCC2)ccc1[N+](=O)[O-] ZINC000104232400 185937690 /nfs/dbraw/zinc/93/76/90/185937690.db2.gz UNFXZFQZZPPANY-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1ccnc(NC[C@@H]2C[C@@H](C)O[C@@H]2C)c1[N+](=O)[O-] ZINC000413533246 233091759 /nfs/dbraw/zinc/09/17/59/233091759.db2.gz NYMRIFZTRGPPQY-MXWKQRLJSA-N 0 0 265.313 2.524 20 5 CFBDRN C[C@H](CCc1ccccc1[N+](=O)[O-])Nc1c[nH]cn1 ZINC000589514074 348836865 /nfs/dbraw/zinc/83/68/65/348836865.db2.gz BIQQPIYGRJRCPY-SNVBAGLBSA-N 0 0 260.297 2.751 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000589584860 348839992 /nfs/dbraw/zinc/83/99/92/348839992.db2.gz PPEJUQJBWFURSF-DTWKUNHWSA-N 0 0 283.303 2.543 20 5 CFBDRN COc1cccc(N[C@H](C)C[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000589584548 348840076 /nfs/dbraw/zinc/84/00/76/348840076.db2.gz NVGRHXZTMRQBJF-MNOVXSKESA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000589584864 348840307 /nfs/dbraw/zinc/84/03/07/348840307.db2.gz PPEJUQJBWFURSF-RKDXNWHRSA-N 0 0 283.303 2.543 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(N)c2F)C12CCC2 ZINC000413448049 233075071 /nfs/dbraw/zinc/07/50/71/233075071.db2.gz QJFUTHBOBKHYPL-WDEREUQCSA-N 0 0 295.314 2.686 20 5 CFBDRN Cc1cccc(N2CC[C@@H](C(F)F)C2)c1[N+](=O)[O-] ZINC000589600360 348842089 /nfs/dbraw/zinc/84/20/89/348842089.db2.gz XXJHDEWORBQMPU-SECBINFHSA-N 0 0 256.252 2.995 20 5 CFBDRN Cc1cccc(N2CC[C@H](C(F)F)C2)c1[N+](=O)[O-] ZINC000589600361 348842184 /nfs/dbraw/zinc/84/21/84/348842184.db2.gz XXJHDEWORBQMPU-VIFPVBQESA-N 0 0 256.252 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1ccc(CC(N)=O)cc1 ZINC000589601738 348842224 /nfs/dbraw/zinc/84/22/24/348842224.db2.gz VNBAKTGGRNJHIX-UHFFFAOYSA-N 0 0 299.330 2.543 20 5 CFBDRN CCCC[C@H](C)N(C)c1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000589609002 348842686 /nfs/dbraw/zinc/84/26/86/348842686.db2.gz FGRAICQXOQODHD-JTQLQIEISA-N 0 0 281.356 2.684 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3ccsc3[N+](=O)[O-])C2)c1 ZINC000589608676 348842766 /nfs/dbraw/zinc/84/27/66/348842766.db2.gz CSVDIHZMALFIET-SNVBAGLBSA-N 0 0 278.337 2.613 20 5 CFBDRN Nc1c(F)c(N[C@H]2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000413458122 233076823 /nfs/dbraw/zinc/07/68/23/233076823.db2.gz MDJYSASQLIHTLB-VGMNWLOBSA-N 0 0 265.288 2.917 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1cccc2c1OCC2 ZINC000413459286 233076992 /nfs/dbraw/zinc/07/69/92/233076992.db2.gz SAYHQVDZSMDUJK-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CO)[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000413595980 233101281 /nfs/dbraw/zinc/10/12/81/233101281.db2.gz QMKYXUUVMYXBJS-MNOVXSKESA-N 0 0 282.315 2.640 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]2c2ccco2)s1 ZINC000413599052 233101876 /nfs/dbraw/zinc/10/18/76/233101876.db2.gz DHZIPYPAPYGFHE-RNFRBKRXSA-N 0 0 251.267 2.612 20 5 CFBDRN Cc1cc(N2CCCCCO2)c(F)cc1[N+](=O)[O-] ZINC000589691620 348851353 /nfs/dbraw/zinc/85/13/53/348851353.db2.gz BCMNFGZMKLTHCB-UHFFFAOYSA-N 0 0 254.261 2.964 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1(C)CCOCC1 ZINC000589808663 348857686 /nfs/dbraw/zinc/85/76/86/348857686.db2.gz DHGZFWINXKFBPL-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCc3cccnc32)cc1[N+](=O)[O-] ZINC000589829462 348858251 /nfs/dbraw/zinc/85/82/51/348858251.db2.gz JODMKWRDRZRBPK-CYBMUJFWSA-N 0 0 297.314 2.967 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1CCc2cccnc21 ZINC000589829473 348858762 /nfs/dbraw/zinc/85/87/62/348858762.db2.gz KFOOZOKSXMFPSJ-ZDUSSCGKSA-N 0 0 283.287 2.658 20 5 CFBDRN CC(C)(C)Oc1cc(N2CCO[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000589872917 348862534 /nfs/dbraw/zinc/86/25/34/348862534.db2.gz HCXZITCZLOXFOZ-OLZOCXBDSA-N 0 0 292.335 2.750 20 5 CFBDRN Cc1cc(CNc2c(Cl)cccc2[N+](=O)[O-])ncn1 ZINC000590082365 348873090 /nfs/dbraw/zinc/87/30/90/348873090.db2.gz XKJANNPBCCAECV-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CC(C)[C@@]1(CO)CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000590146828 348878242 /nfs/dbraw/zinc/87/82/42/348878242.db2.gz VLPLGLJZCWJVHV-ZDUSSCGKSA-N 0 0 284.381 2.891 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1C[C@]2(CCCO2)[C@H]1C1CC1 ZINC000590149632 348878685 /nfs/dbraw/zinc/87/86/85/348878685.db2.gz BPAWJTKDXOORNV-HUUCEWRRSA-N 0 0 292.310 2.882 20 5 CFBDRN CO[C@H](CNc1cccnc1[N+](=O)[O-])Cc1ccccc1 ZINC000590709180 348932490 /nfs/dbraw/zinc/93/24/90/348932490.db2.gz NBEHPLSZZOXXOE-ZDUSSCGKSA-N 0 0 287.319 2.659 20 5 CFBDRN CC(C)c1nnc(CNc2ccsc2[N+](=O)[O-])[nH]1 ZINC000590709591 348933571 /nfs/dbraw/zinc/93/35/71/348933571.db2.gz XAWWZAXGUCTANV-UHFFFAOYSA-N 0 0 267.314 2.510 20 5 CFBDRN COc1cccc2c1CN(c1ccncc1[N+](=O)[O-])CC2 ZINC000590722831 348937153 /nfs/dbraw/zinc/93/71/53/348937153.db2.gz BPSBHJLYOFAYNP-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN C[C@]12CN(c3ncccc3[N+](=O)[O-])C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000590737391 348939568 /nfs/dbraw/zinc/93/95/68/348939568.db2.gz NSPRJOIJXRTPRW-NWJSVONSSA-N 0 0 273.336 2.862 20 5 CFBDRN CSc1ccc(C(=O)N2COCC2(C)C)cc1[N+](=O)[O-] ZINC000590349817 348895708 /nfs/dbraw/zinc/89/57/08/348895708.db2.gz KJZYUMYPVMAMPX-UHFFFAOYSA-N 0 0 296.348 2.525 20 5 CFBDRN CC(C)(C(=O)N1CC(C)(C)C1)c1ccccc1[N+](=O)[O-] ZINC000590356335 348896218 /nfs/dbraw/zinc/89/62/18/348896218.db2.gz KSENERZYIUXLPW-UHFFFAOYSA-N 0 0 276.336 2.741 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H]2C(F)(F)F)cc1[N+](=O)[O-] ZINC000590379530 348898309 /nfs/dbraw/zinc/89/83/09/348898309.db2.gz HZJASIIWACDBJI-MRVPVSSYSA-N 0 0 294.254 2.742 20 5 CFBDRN C[C@@H](Cn1cncn1)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000590575334 348910778 /nfs/dbraw/zinc/91/07/78/348910778.db2.gz MCSVFVNHDAFIFO-QMMMGPOBSA-N 0 0 297.265 2.625 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC[C@@H]1C(F)(F)F ZINC000590602353 348913915 /nfs/dbraw/zinc/91/39/15/348913915.db2.gz WRYYHBZQUXLPFU-LLVKDONJSA-N 0 0 290.241 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCc2nc[nH]c2C1 ZINC000590600201 348914238 /nfs/dbraw/zinc/91/42/38/348914238.db2.gz MHOULMWWJGYCJN-LLVKDONJSA-N 0 0 286.335 2.746 20 5 CFBDRN CC[C@](C)(CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000590773850 348946947 /nfs/dbraw/zinc/94/69/47/348946947.db2.gz UGFLCRMLFPMVNN-IAQYHMDHSA-N 0 0 294.351 2.630 20 5 CFBDRN Cc1nc(Sc2ncc([N+](=O)[O-])c(C)n2)sc1C ZINC000590690969 348928955 /nfs/dbraw/zinc/92/89/55/348928955.db2.gz IICJUWXNHFKCOL-UHFFFAOYSA-N 0 0 282.350 2.918 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)C1CCC1 ZINC000590695465 348929612 /nfs/dbraw/zinc/92/96/12/348929612.db2.gz PWMYTEYHSDABCM-VIFPVBQESA-N 0 0 298.364 2.599 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000590775114 348947411 /nfs/dbraw/zinc/94/74/11/348947411.db2.gz IPAGEHXEEYDIJX-WHOFXGATSA-N 0 0 288.347 2.957 20 5 CFBDRN Cc1ccc(CN2CC3(C2)CCCOC3)cc1[N+](=O)[O-] ZINC000590969197 348974346 /nfs/dbraw/zinc/97/43/46/348974346.db2.gz GNLPBELETGODGX-UHFFFAOYSA-N 0 0 276.336 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@]4(CCOC4)C3)c2c1 ZINC000591149572 348993325 /nfs/dbraw/zinc/99/33/25/348993325.db2.gz IQVAACZZKZEHSI-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN CC1(C)CN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000591157961 348994659 /nfs/dbraw/zinc/99/46/59/348994659.db2.gz UJQTTZUKAZHJJL-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000591157910 348994669 /nfs/dbraw/zinc/99/46/69/348994669.db2.gz ARPULCHDNILJKR-UHFFFAOYSA-N 0 0 275.230 2.855 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CC3)C2)c(C(F)(F)F)c1 ZINC000591160027 348994977 /nfs/dbraw/zinc/99/49/77/348994977.db2.gz DBEYSXPNGLJOPP-UHFFFAOYSA-N 0 0 287.241 2.999 20 5 CFBDRN CC(C)(CNc1cccnc1[N+](=O)[O-])OCC1CC1 ZINC000591191234 348998503 /nfs/dbraw/zinc/99/85/03/348998503.db2.gz KJZFBJYQEGZKIO-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN CC(C)[C@H]1N(c2ccc([N+](=O)[O-])cn2)CC12CCOCC2 ZINC000591195909 348999160 /nfs/dbraw/zinc/99/91/60/348999160.db2.gz NIXYOPROUCVUFO-CQSZACIVSA-N 0 0 291.351 2.631 20 5 CFBDRN CNc1nc(C(=O)N(C)[C@H](C)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000590806390 348951905 /nfs/dbraw/zinc/95/19/05/348951905.db2.gz AIBWPPURVQTBIG-SECBINFHSA-N 0 0 294.355 2.538 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC1(C2(C)CC2)CC1 ZINC000590884439 348961765 /nfs/dbraw/zinc/96/17/65/348961765.db2.gz UOYBRNJTDLOATQ-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCC[C@H]1CCCCO1 ZINC000273962399 192379800 /nfs/dbraw/zinc/37/98/00/192379800.db2.gz OCKIKPMAVZKHFO-LLVKDONJSA-N 0 0 265.313 2.751 20 5 CFBDRN O=C(NCc1ccc(Cl)o1)c1ccc([N+](=O)[O-])s1 ZINC000591724779 349040441 /nfs/dbraw/zinc/04/04/41/349040441.db2.gz DHZUJCLWOVLVIL-UHFFFAOYSA-N 0 0 286.696 2.833 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@H](CCO)CC1 ZINC000591465586 349025573 /nfs/dbraw/zinc/02/55/73/349025573.db2.gz WTXHMOYIAAWRNK-JTQLQIEISA-N 0 0 270.354 2.645 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1sccc1[N+](=O)[O-] ZINC000591980771 349069090 /nfs/dbraw/zinc/06/90/90/349069090.db2.gz HWOJHAAWWGQWBL-RKDXNWHRSA-N 0 0 258.343 2.865 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000591982518 349069725 /nfs/dbraw/zinc/06/97/25/349069725.db2.gz PTJDMNWRZSDUQV-KOLCDFICSA-N 0 0 267.329 2.507 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1C[C@@H](OC(C)(C)C)C1 ZINC000591988816 349070475 /nfs/dbraw/zinc/07/04/75/349070475.db2.gz XNWZCNSVCQYAAB-PHIMTYICSA-N 0 0 296.371 2.878 20 5 CFBDRN CC(C)=CCn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000592360396 349108550 /nfs/dbraw/zinc/10/85/50/349108550.db2.gz NAVDVZNTXCGDEZ-UHFFFAOYSA-N 0 0 262.309 2.602 20 5 CFBDRN Cc1nn([C@H](C(=O)C2CC2)c2ccccc2)cc1[N+](=O)[O-] ZINC000592353239 349106863 /nfs/dbraw/zinc/10/68/63/349106863.db2.gz MCCKFTOYXDWWMO-AWEZNQCLSA-N 0 0 285.303 2.668 20 5 CFBDRN CCN(CCSC)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000591998924 349073193 /nfs/dbraw/zinc/07/31/93/349073193.db2.gz FYMSVNOQUHEZEH-UHFFFAOYSA-N 0 0 275.761 2.833 20 5 CFBDRN CCN(CCSC)c1ccc([N+](=O)[O-])c(N)c1F ZINC000592001924 349073615 /nfs/dbraw/zinc/07/36/15/349073615.db2.gz YHAHQDNFPJHZRP-UHFFFAOYSA-N 0 0 273.333 2.505 20 5 CFBDRN CCN(CCSC)c1c(F)cccc1[N+](=O)[O-] ZINC000592001163 349073813 /nfs/dbraw/zinc/07/38/13/349073813.db2.gz VAPNONCQTRHGIN-UHFFFAOYSA-N 0 0 258.318 2.923 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000592003376 349074178 /nfs/dbraw/zinc/07/41/78/349074178.db2.gz OIAKUHCVMUYZPC-ZANVPECISA-N 0 0 289.360 2.871 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCOC[C@@H]1C1CCC1 ZINC000592156344 349092114 /nfs/dbraw/zinc/09/21/14/349092114.db2.gz KQUDYKOPWVFZHJ-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CCN(CCSC)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000592252211 349104218 /nfs/dbraw/zinc/10/42/18/349104218.db2.gz YHGBXGMBSPYCRR-UHFFFAOYSA-N 0 0 283.353 2.812 20 5 CFBDRN COc1ccc(OCCC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000592357878 349108589 /nfs/dbraw/zinc/10/85/89/349108589.db2.gz RYZZKPSUBQLMRR-NSHDSACASA-N 0 0 281.308 2.799 20 5 CFBDRN CC[C@@H](C)Cn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000592359323 349108441 /nfs/dbraw/zinc/10/84/41/349108441.db2.gz AWEHVJUZKZPKIT-SNVBAGLBSA-N 0 0 260.293 2.956 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC=CCC1)CCCC2 ZINC000591927857 349061589 /nfs/dbraw/zinc/06/15/89/349061589.db2.gz CUULSGRSPBAJPF-UHFFFAOYSA-N 0 0 259.309 2.635 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC[C@H](C(F)F)C1)CCCC2 ZINC000591939018 349063058 /nfs/dbraw/zinc/06/30/58/349063058.db2.gz BOVCSOHFEMKPJO-JTQLQIEISA-N 0 0 297.305 2.960 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCC1=CCCOC1)CCCC2 ZINC000591944791 349063494 /nfs/dbraw/zinc/06/34/94/349063494.db2.gz ZZLOSYQVWSKNSP-UHFFFAOYSA-N 0 0 289.335 2.627 20 5 CFBDRN CO[C@@H](CNc1nc2c(cc1[N+](=O)[O-])CCCC2)C1CC1 ZINC000591948516 349063988 /nfs/dbraw/zinc/06/39/88/349063988.db2.gz LETRNJAMNGHJOL-AWEZNQCLSA-N 0 0 291.351 2.706 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H](C)CC(F)F ZINC000591961353 349065539 /nfs/dbraw/zinc/06/55/39/349065539.db2.gz GAXSYUBPSAGZAV-SSDOTTSWSA-N 0 0 276.287 2.823 20 5 CFBDRN COCC1(CCNc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000591961768 349065617 /nfs/dbraw/zinc/06/56/17/349065617.db2.gz QUPMIBKLHOURQY-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN COCC1(CCNc2ccc([N+](=O)[O-])s2)CC1 ZINC000591967995 349066862 /nfs/dbraw/zinc/06/68/62/349066862.db2.gz XRSJPJQNIKZYTA-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN Cc1cnc(N2CC(C)(CC(F)F)C2)c([N+](=O)[O-])c1 ZINC000591971547 349067019 /nfs/dbraw/zinc/06/70/19/349067019.db2.gz INDGSOUERXMGLF-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000591974662 349067698 /nfs/dbraw/zinc/06/76/98/349067698.db2.gz OZQXFGQKECFIOR-ZDUSSCGKSA-N 0 0 252.314 2.948 20 5 CFBDRN CC[C@H](CNc1cc(C)ccc1[N+](=O)[O-])C(=O)OC ZINC000591973607 349067804 /nfs/dbraw/zinc/06/78/04/349067804.db2.gz ITHLHPBMENRDQB-SNVBAGLBSA-N 0 0 266.297 2.514 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000591979986 349068340 /nfs/dbraw/zinc/06/83/40/349068340.db2.gz BKLNGYHIMOIKPB-WDEREUQCSA-N 0 0 293.367 2.772 20 5 CFBDRN CC[C@@H](CNc1ccc(C)cc1[N+](=O)[O-])C(=O)OC ZINC000591977182 349068362 /nfs/dbraw/zinc/06/83/62/349068362.db2.gz VFIZEAYNBKOECS-JTQLQIEISA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000592666906 349148014 /nfs/dbraw/zinc/14/80/14/349148014.db2.gz VAXKXTALYITYKV-VXGBXAGGSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(C2(F)CC2)n1 ZINC000592408813 349117752 /nfs/dbraw/zinc/11/77/52/349117752.db2.gz APLQKHZCTMXXJF-UHFFFAOYSA-N 0 0 263.228 2.912 20 5 CFBDRN CCOc1cncc(NCc2ccc([N+](=O)[O-])cc2C)n1 ZINC000592459757 349124549 /nfs/dbraw/zinc/12/45/49/349124549.db2.gz UAVINZYCNPSHQT-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN C[C@@H]1CCN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000273980032 192386938 /nfs/dbraw/zinc/38/69/38/192386938.db2.gz ULFZLCJKJISWJI-SNVBAGLBSA-N 0 0 294.326 2.880 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(-c2c(C)noc2C)c1C ZINC000592987061 349181343 /nfs/dbraw/zinc/18/13/43/349181343.db2.gz OKWNSLNUOVEIQS-UHFFFAOYSA-N 0 0 290.275 2.962 20 5 CFBDRN C[C@H]1[C@@H](c2ccccc2)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000274001168 192398045 /nfs/dbraw/zinc/39/80/45/192398045.db2.gz SXMPBOYMJTVMAI-FZMZJTMJSA-N 0 0 284.319 2.767 20 5 CFBDRN CN(C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000593017649 349188301 /nfs/dbraw/zinc/18/83/01/349188301.db2.gz GWJLJOKNBJQTLK-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN CCCCN(CC)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593022575 349189089 /nfs/dbraw/zinc/18/90/89/349189089.db2.gz HFLYVQZOORJPNH-UHFFFAOYSA-N 0 0 282.315 2.925 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCC1CCCC1 ZINC000593030249 349190752 /nfs/dbraw/zinc/19/07/52/349190752.db2.gz JXSAJKGDQOAWCV-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN CCCN(CC)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593029888 349190761 /nfs/dbraw/zinc/19/07/61/349190761.db2.gz SKYHQCHVPSKOCT-UHFFFAOYSA-N 0 0 268.288 2.535 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000592732392 349157888 /nfs/dbraw/zinc/15/78/88/349157888.db2.gz HJUKKZAUFIFZAP-GMTAPVOTSA-N 0 0 290.319 2.522 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273986640 192389636 /nfs/dbraw/zinc/38/96/36/192389636.db2.gz WENJCZCLKJOUDL-PRHODGIISA-N 0 0 280.299 2.536 20 5 CFBDRN Cc1cc(C(=O)Nc2n[nH]cc2C2CC2)ccc1[N+](=O)[O-] ZINC000592829379 349169592 /nfs/dbraw/zinc/16/95/92/349169592.db2.gz ZMACBAIZNKBZJB-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000593180873 349224722 /nfs/dbraw/zinc/22/47/22/349224722.db2.gz ZHVNGORHOSEPCD-IRUJWGPZSA-N 0 0 292.310 2.581 20 5 CFBDRN CS[C@@H]1CCCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000593228062 349231401 /nfs/dbraw/zinc/23/14/01/349231401.db2.gz DNGUYYAFBPGJKY-CHWSQXEVSA-N 0 0 294.376 2.999 20 5 CFBDRN Cc1cc(C(=O)N2CCCC(F)(F)C2)cc([N+](=O)[O-])c1 ZINC000593236293 349233233 /nfs/dbraw/zinc/23/32/33/349233233.db2.gz APGIDFGEBIXUBK-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN COc1ccc(Cn2cc(C3CC3)cn2)cc1[N+](=O)[O-] ZINC000593237265 349233299 /nfs/dbraw/zinc/23/32/99/349233299.db2.gz GZGCBLQOSFMYCZ-UHFFFAOYSA-N 0 0 273.292 2.726 20 5 CFBDRN CC[C@H]1CN(Cc2ccccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593061483 349198325 /nfs/dbraw/zinc/19/83/25/349198325.db2.gz CCHIDBPDSJWILT-AAEUAGOBSA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@H](CO)C1CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000593082850 349204798 /nfs/dbraw/zinc/20/47/98/349204798.db2.gz IROXNKKHEFJPBT-LLVKDONJSA-N 0 0 296.342 2.574 20 5 CFBDRN CC(C)C1(CNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593087707 349205261 /nfs/dbraw/zinc/20/52/61/349205261.db2.gz OMRVNRDXCZIFET-UHFFFAOYSA-N 0 0 294.326 2.829 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)C2(CCC2)CO1 ZINC000593094254 349207669 /nfs/dbraw/zinc/20/76/69/349207669.db2.gz YTOVQFUSAFIHTF-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCC1(CO)CCC1 ZINC000593511628 349287887 /nfs/dbraw/zinc/28/78/87/349287887.db2.gz NHTDBEHOPUFIOE-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@@]2(CC2(F)F)C1 ZINC000593521678 349289594 /nfs/dbraw/zinc/28/95/94/349289594.db2.gz NORZBETXPIBCPC-SECBINFHSA-N 0 0 260.265 2.892 20 5 CFBDRN COc1cc(N2CC[C@]3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000593521734 349290141 /nfs/dbraw/zinc/29/01/41/349290141.db2.gz PLOYSZVMVJUCTE-LBPRGKRZSA-N 0 0 284.262 2.839 20 5 CFBDRN C[C@H](Cc1ccccc1[N+](=O)[O-])N[C@H](C)c1csnn1 ZINC000414307973 233222411 /nfs/dbraw/zinc/22/24/11/233222411.db2.gz SGNCTLLBYCTWNL-NXEZZACHSA-N 0 0 292.364 2.728 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593284596 349241532 /nfs/dbraw/zinc/24/15/32/349241532.db2.gz WOTVMGZMVLBOQL-SMDDNHRTSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)[C@H](C)CO1 ZINC000593283810 349241550 /nfs/dbraw/zinc/24/15/50/349241550.db2.gz QJKYIXSHZANHFC-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@H]1CCCOC1 ZINC000593294203 349242529 /nfs/dbraw/zinc/24/25/29/349242529.db2.gz IMPIURCELFFBJT-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@]2(CC2(F)F)C1 ZINC000593358846 349252498 /nfs/dbraw/zinc/25/24/98/349252498.db2.gz MBOACYDZSLFTAQ-ZDUSSCGKSA-N 0 0 296.273 2.775 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CC[C@@H]2CCC[C@@H]21 ZINC000593625963 349301409 /nfs/dbraw/zinc/30/14/09/349301409.db2.gz RGGVEBLFXQCXQJ-GWCFXTLKSA-N 0 0 292.310 2.677 20 5 CFBDRN CC[C@@H](COC)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461115 349275380 /nfs/dbraw/zinc/27/53/80/349275380.db2.gz HXCXDXXSFDTDOT-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593462372 349276137 /nfs/dbraw/zinc/27/61/37/349276137.db2.gz UTERLBFSXWVBLK-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN CCC[C@H](O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463558 349276145 /nfs/dbraw/zinc/27/61/45/349276145.db2.gz YKJFOCOHVCRKFF-NSHDSACASA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593467362 349276785 /nfs/dbraw/zinc/27/67/85/349276785.db2.gz LMQYMEOIFWORDD-UWVGGRQHSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H](CO)CC(F)F ZINC000593472777 349277398 /nfs/dbraw/zinc/27/73/98/349277398.db2.gz NMLZPJSXOYIFPY-QMMMGPOBSA-N 0 0 297.261 2.571 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@H](OC(C)C)C1 ZINC000593486555 349281715 /nfs/dbraw/zinc/28/17/15/349281715.db2.gz AKZPCINTZRPBRQ-LBPRGKRZSA-N 0 0 296.371 2.513 20 5 CFBDRN Cc1cnc(N2CCC[C@H](OC(C)C)C2)c([N+](=O)[O-])c1 ZINC000593486778 349281863 /nfs/dbraw/zinc/28/18/63/349281863.db2.gz HIDQFJOMUYUBIO-LBPRGKRZSA-N 0 0 279.340 2.692 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCCC(F)(F)C1 ZINC000593487371 349282334 /nfs/dbraw/zinc/28/23/34/349282334.db2.gz URZNJJRCBPPDHE-UHFFFAOYSA-N 0 0 277.658 2.879 20 5 CFBDRN CC[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593491131 349283192 /nfs/dbraw/zinc/28/31/92/349283192.db2.gz GUJBVYZIQQCJNL-SCZZXKLOSA-N 0 0 285.731 2.647 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CC2)C2CCOCC2)s1 ZINC000593492211 349283679 /nfs/dbraw/zinc/28/36/79/349283679.db2.gz SXYPNOVPUYJDPD-LLVKDONJSA-N 0 0 283.353 2.668 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC(C)(C)c2ccc(F)cc21 ZINC000593492200 349283704 /nfs/dbraw/zinc/28/37/04/349283704.db2.gz RVXCVMJZRZIPPB-UHFFFAOYSA-N 0 0 290.298 2.897 20 5 CFBDRN CCN(c1cc(C)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000593494912 349284511 /nfs/dbraw/zinc/28/45/11/349284511.db2.gz BKOKCFLNHVABHM-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cc(N2CCC([C@H](C)CO)CC2)c(F)cc1[N+](=O)[O-] ZINC000593506541 349286296 /nfs/dbraw/zinc/28/62/96/349286296.db2.gz SSWPISAMUCFWLZ-LLVKDONJSA-N 0 0 296.342 2.887 20 5 CFBDRN C[C@@H](CO)C1CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593505718 349286404 /nfs/dbraw/zinc/28/64/04/349286404.db2.gz NHUCKEJRLBPUCI-JTQLQIEISA-N 0 0 282.315 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@H](CO)CCF ZINC000593509436 349287089 /nfs/dbraw/zinc/28/70/89/349287089.db2.gz LHPBSWWASOHCMR-QMMMGPOBSA-N 0 0 296.220 2.746 20 5 CFBDRN Cc1c(CC(=O)NC2CC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000593882186 349332056 /nfs/dbraw/zinc/33/20/56/349332056.db2.gz LXRPZXFYBWROHL-UHFFFAOYSA-N 0 0 288.347 2.895 20 5 CFBDRN O=C(NC1CC2(CCC2)C1)c1csc([N+](=O)[O-])c1 ZINC000593883018 349332069 /nfs/dbraw/zinc/33/20/69/349332069.db2.gz CFZRMAHDQQALLX-UHFFFAOYSA-N 0 0 266.322 2.719 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC1CC2(CCC2)C1 ZINC000593883093 349332147 /nfs/dbraw/zinc/33/21/47/349332147.db2.gz RQUHFTDUQNXUKU-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CNc1c(C(=O)NC2CC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000593884489 349332789 /nfs/dbraw/zinc/33/27/89/349332789.db2.gz ZTUBRHDQQJRGMY-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN CO[C@H](C)c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000274043543 192417475 /nfs/dbraw/zinc/41/74/75/192417475.db2.gz UUYBWFZRVXAFKV-SECBINFHSA-N 0 0 277.280 2.584 20 5 CFBDRN O=C([O-])c1ccc([N+](=O)[O-])c(C[NH+]2C[C@@H]3CCC[C@H]3C2)c1 ZINC000593737827 349317512 /nfs/dbraw/zinc/31/75/12/349317512.db2.gz WGKODVUKWUOULM-RYUDHWBXSA-N 0 0 290.319 2.525 20 5 CFBDRN CCC[C@@H](C)[N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593740464 349318183 /nfs/dbraw/zinc/31/81/83/349318183.db2.gz MNGYLFILHVNPRU-SNVBAGLBSA-N 0 0 280.324 2.913 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC23CCCC3)c1 ZINC000593757299 349321766 /nfs/dbraw/zinc/32/17/66/349321766.db2.gz JTFHSBCUVTWFDV-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN CC[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000594172234 349389619 /nfs/dbraw/zinc/38/96/19/349389619.db2.gz GXGOLWFCMQDXOM-MNOVXSKESA-N 0 0 298.364 2.734 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)[C@H]1CCCCO1 ZINC000594178428 349390724 /nfs/dbraw/zinc/39/07/24/349390724.db2.gz BFMWGIQOJXJBOW-ZIAGYGMSSA-N 0 0 292.335 2.672 20 5 CFBDRN CC1(NC(=O)NCc2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000594225952 349396702 /nfs/dbraw/zinc/39/67/02/349396702.db2.gz GMHXETNFUSAZRF-UHFFFAOYSA-N 0 0 275.308 2.503 20 5 CFBDRN Cc1ccc(C(=O)N2CCCc3cccnc32)cc1[N+](=O)[O-] ZINC000594069544 349368660 /nfs/dbraw/zinc/36/86/60/349368660.db2.gz DKXRTJQXKAKTIG-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)Cc2ccccn2)ccc1[N+](=O)[O-] ZINC000594139503 349385242 /nfs/dbraw/zinc/38/52/42/349385242.db2.gz GFYCXHRFSSQRSJ-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN CCC1(NC(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2N)CC1 ZINC000597091917 350029179 /nfs/dbraw/zinc/02/91/79/350029179.db2.gz FDOXVOSDSVJSHC-UHFFFAOYSA-N 0 0 298.730 2.895 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2N)C1 ZINC000597104594 350030150 /nfs/dbraw/zinc/03/01/50/350030150.db2.gz RVJUXARSBOTKSG-SSDOTTSWSA-N 0 0 298.730 2.704 20 5 CFBDRN CC1(C2(NC(=O)CCc3cccc([N+](=O)[O-])c3)CC2)CC1 ZINC000456649324 535100309 /nfs/dbraw/zinc/10/03/09/535100309.db2.gz QAUKUHGWTBSWEJ-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@H](C2CCC2)C1 ZINC000414473736 233262262 /nfs/dbraw/zinc/26/22/62/233262262.db2.gz RAXDHYLGDLGSTJ-INIZCTEOSA-N 0 0 290.363 2.904 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCCO[C@H](CF)C1 ZINC000414473605 233262320 /nfs/dbraw/zinc/26/23/20/233262320.db2.gz BELQRSWOBFHLMG-JHAQOBCDSA-N 0 0 294.326 2.668 20 5 CFBDRN Cc1nnc(CN(C)Cc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000597241954 350069908 /nfs/dbraw/zinc/06/99/08/350069908.db2.gz BNSJVORNEWZNPU-UHFFFAOYSA-N 0 0 292.364 2.695 20 5 CFBDRN CC1(C)COCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000597618163 350118185 /nfs/dbraw/zinc/11/81/85/350118185.db2.gz OYYYPNOIYHBDKI-UHFFFAOYSA-N 0 0 290.275 2.550 20 5 CFBDRN C[C@@H]1C[C@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271238004 191020682 /nfs/dbraw/zinc/02/06/82/191020682.db2.gz CJVYILORBIJKRN-GHMZBOCLSA-N 0 0 293.323 2.531 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCc1c[nH]cn1 ZINC000597667832 350122091 /nfs/dbraw/zinc/12/20/91/350122091.db2.gz SCVWUBRKELCCCF-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1nnc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)s1 ZINC000597667895 350122209 /nfs/dbraw/zinc/12/22/09/350122209.db2.gz VJMNHYOPYLJMDG-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCCF ZINC000597669418 350122920 /nfs/dbraw/zinc/12/29/20/350122920.db2.gz TVEXGZJPKJQNJJ-UHFFFAOYSA-N 0 0 254.261 2.900 20 5 CFBDRN CC(=O)[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000597711918 350127380 /nfs/dbraw/zinc/12/73/80/350127380.db2.gz XNSAPISEPKPWBM-JTQLQIEISA-N 0 0 296.348 2.548 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000104616658 186010616 /nfs/dbraw/zinc/01/06/16/186010616.db2.gz DRDOCYATFGXUCG-IONNQARKSA-N 0 0 268.338 2.883 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000597830895 350141377 /nfs/dbraw/zinc/14/13/77/350141377.db2.gz VHUCEGKEXFFUIW-AAEUAGOBSA-N 0 0 289.335 2.651 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000597829664 350141841 /nfs/dbraw/zinc/14/18/41/350141841.db2.gz HPIXWGWUKJITRP-STQMWFEESA-N 0 0 274.320 2.918 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000597855524 350143182 /nfs/dbraw/zinc/14/31/82/350143182.db2.gz SFKMUKUFXJJPGL-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000597854192 350143212 /nfs/dbraw/zinc/14/32/12/350143212.db2.gz CZUJHUVGDXKKFF-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1sc(C(=O)NC[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000271284550 191050851 /nfs/dbraw/zinc/05/08/51/191050851.db2.gz AUIULPZOEMIGIZ-SCZZXKLOSA-N 0 0 298.364 2.510 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000271287910 191052301 /nfs/dbraw/zinc/05/23/01/191052301.db2.gz DMCIKBWNQDCNRD-QWRGUYRKSA-N 0 0 265.313 2.525 20 5 CFBDRN Cn1ccc(CSCc2ccc([N+](=O)[O-])cc2)n1 ZINC000271292688 191055614 /nfs/dbraw/zinc/05/56/14/191055614.db2.gz GGMYFBXMZZJFDZ-UHFFFAOYSA-N 0 0 263.322 2.762 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000597974946 350168506 /nfs/dbraw/zinc/16/85/06/350168506.db2.gz DRGMKCCADCHRSR-JTQLQIEISA-N 0 0 280.324 2.769 20 5 CFBDRN CCCCN(CC)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000104826553 186026401 /nfs/dbraw/zinc/02/64/01/186026401.db2.gz JQWYLJDRKIKJSK-UHFFFAOYSA-N 0 0 279.340 2.748 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000597976030 350169177 /nfs/dbraw/zinc/16/91/77/350169177.db2.gz RDTAZEPAOBLTCR-QMMMGPOBSA-N 0 0 256.327 2.822 20 5 CFBDRN Cc1cc(NC[C@](C)(O)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000271314799 191068566 /nfs/dbraw/zinc/06/85/66/191068566.db2.gz JJIPVTJXYFJACC-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN CCc1nn(C)c(NCc2cccc(C)c2)c1[N+](=O)[O-] ZINC000091560434 180191457 /nfs/dbraw/zinc/19/14/57/180191457.db2.gz CYJAVZKUOACDIO-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN C[C@]1(CCNC(=O)Cc2ccccc2[N+](=O)[O-])CC1(F)F ZINC000598217350 350217618 /nfs/dbraw/zinc/21/76/18/350217618.db2.gz YYMSHIKCJZWXMI-ZDUSSCGKSA-N 0 0 298.289 2.689 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCCCC1CCC1 ZINC000598220183 350219313 /nfs/dbraw/zinc/21/93/13/350219313.db2.gz XJFWMDXOZPNYBH-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1cc(C(=O)NC[C@@]2(C)CC2(F)F)ccc1[N+](=O)[O-] ZINC000598220198 350219431 /nfs/dbraw/zinc/21/94/31/350219431.db2.gz XTHSYSJVPMYDBG-GFCCVEGCSA-N 0 0 284.262 2.678 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000598232539 350221547 /nfs/dbraw/zinc/22/15/47/350221547.db2.gz HDJZEAYKADSSPR-GFCCVEGCSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000598232520 350221555 /nfs/dbraw/zinc/22/15/55/350221555.db2.gz GTPNDQLPTRUOPP-ZDUSSCGKSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CC1 ZINC000598232832 350221630 /nfs/dbraw/zinc/22/16/30/350221630.db2.gz IAGNHNLTJFMBMA-NSHDSACASA-N 0 0 287.319 2.995 20 5 CFBDRN CCc1nn(C)c(NCCc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000091576233 180197813 /nfs/dbraw/zinc/19/78/13/180197813.db2.gz HDBNRRMZJSCMQV-UHFFFAOYSA-N 0 0 292.314 2.684 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC000414730155 233339917 /nfs/dbraw/zinc/33/99/17/233339917.db2.gz RNKALHLKNGDWJP-SECBINFHSA-N 0 0 294.307 2.511 20 5 CFBDRN CC1(C)CCN(C(=O)c2n[nH]cc2[N+](=O)[O-])CC(C)(C)C1 ZINC000598186461 350211179 /nfs/dbraw/zinc/21/11/79/350211179.db2.gz ONKRAZQCTKEVAO-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN Cc1cc(C(=O)NCCCOC(C)(C)C)ccc1[N+](=O)[O-] ZINC000598192344 350211960 /nfs/dbraw/zinc/21/19/60/350211960.db2.gz ZFUPAXDWUFXUAP-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]2C[C@H]21)c1csc([N+](=O)[O-])c1 ZINC000598208754 350214459 /nfs/dbraw/zinc/21/44/59/350214459.db2.gz OOKLFFRRCYSOOD-ATZCPNFKSA-N 0 0 280.349 2.822 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCc1ccccc1F ZINC000091549783 180188789 /nfs/dbraw/zinc/18/87/89/180188789.db2.gz LLSCQJKNGMWIJK-UHFFFAOYSA-N 0 0 292.314 2.913 20 5 CFBDRN CC[C@@](C)(NC(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598251922 350225349 /nfs/dbraw/zinc/22/53/49/350225349.db2.gz XSIRMIURUZHBDE-OAHLLOKOSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C(C)(C)C1(F)F ZINC000598339707 350240190 /nfs/dbraw/zinc/24/01/90/350240190.db2.gz CUQDRIQWTGXRMU-SNVBAGLBSA-N 0 0 298.289 2.924 20 5 CFBDRN CC1(C)[C@H](CNC(=O)c2cccc([N+](=O)[O-])c2)C1(F)F ZINC000598341513 350240791 /nfs/dbraw/zinc/24/07/91/350240791.db2.gz VXTOKURZVKCVHI-JTQLQIEISA-N 0 0 284.262 2.616 20 5 CFBDRN Cc1cnn(C)c1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000414788469 233352138 /nfs/dbraw/zinc/35/21/38/233352138.db2.gz MTLKRGXSXZNLCH-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CC[C@@](C)(NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000598250121 350224648 /nfs/dbraw/zinc/22/46/48/350224648.db2.gz LGZFPYFMTZFMKH-OAHLLOKOSA-N 0 0 294.326 2.971 20 5 CFBDRN CC(C)CC1(NC(=O)CNc2ccccc2[N+](=O)[O-])CC1 ZINC000598401830 350257349 /nfs/dbraw/zinc/25/73/49/350257349.db2.gz DVSCJLCDZFXIPN-UHFFFAOYSA-N 0 0 291.351 2.702 20 5 CFBDRN Cc1c(CC(=O)NCC2=CCCC2)cccc1[N+](=O)[O-] ZINC000598375388 350251548 /nfs/dbraw/zinc/25/15/48/350251548.db2.gz FMXKSCMNJWTNHO-UHFFFAOYSA-N 0 0 274.320 2.672 20 5 CFBDRN O=C(NCC1=CCCC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000598377679 350252307 /nfs/dbraw/zinc/25/23/07/350252307.db2.gz YXGISQYIBLZMMU-UHFFFAOYSA-N 0 0 297.314 2.983 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000598380905 350252831 /nfs/dbraw/zinc/25/28/31/350252831.db2.gz KGHKIENITPVWSI-CYBMUJFWSA-N 0 0 262.309 2.759 20 5 CFBDRN CC(NC(=O)c1cccc([N+](=O)[O-])c1)(C1CC1)C1CC1 ZINC000598382376 350253894 /nfs/dbraw/zinc/25/38/94/350253894.db2.gz ZGKQAHJGABOOMJ-UHFFFAOYSA-N 0 0 274.320 2.903 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@]2(C)CC2(C)C)ccc1[N+](=O)[O-] ZINC000598385488 350254434 /nfs/dbraw/zinc/25/44/34/350254434.db2.gz JUBCVAHPWHXFGD-HNNXBMFYSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1ccc(C(=O)N[C@@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598386938 350255344 /nfs/dbraw/zinc/25/53/44/350255344.db2.gz VBINSZKCRKDQEQ-AWEZNQCLSA-N 0 0 262.309 2.822 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc([N+](=O)[O-])s1)C1CCCC1 ZINC000598394434 350255763 /nfs/dbraw/zinc/25/57/63/350255763.db2.gz NWLCOWOFNPZYOD-JTQLQIEISA-N 0 0 298.364 2.591 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000598565641 350283452 /nfs/dbraw/zinc/28/34/52/350283452.db2.gz PHUZYPKGJAOCHJ-SECBINFHSA-N 0 0 268.338 2.774 20 5 CFBDRN Cc1ccc(C(=O)N2CC3CC2(C)C3)cc1[N+](=O)[O-] ZINC000598568517 350283955 /nfs/dbraw/zinc/28/39/55/350283955.db2.gz WBQOZQSUCVFBGE-UHFFFAOYSA-N 0 0 260.293 2.528 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000598572228 350284291 /nfs/dbraw/zinc/28/42/91/350284291.db2.gz SROBWJIYAVXKBX-UHFFFAOYSA-N 0 0 280.711 2.873 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000598570359 350284353 /nfs/dbraw/zinc/28/43/53/350284353.db2.gz IEDCCGZKPKIVTG-UHFFFAOYSA-N 0 0 280.711 2.873 20 5 CFBDRN Cc1cc(OCC(=O)NC2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000598503888 350277874 /nfs/dbraw/zinc/27/78/74/350277874.db2.gz AXTFMAJVMPFPDI-UHFFFAOYSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC[C@H]1CC1(F)F ZINC000598637114 350299016 /nfs/dbraw/zinc/29/90/16/350299016.db2.gz JHYQMHRYUQPAPW-LURJTMIESA-N 0 0 277.658 2.522 20 5 CFBDRN CC1CC(CNc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000598642948 350299560 /nfs/dbraw/zinc/29/95/60/350299560.db2.gz JUCMDCIAEWWSQA-UHFFFAOYSA-N 0 0 253.277 2.774 20 5 CFBDRN CCOc1cc(NCc2nccc(C)n2)ccc1[N+](=O)[O-] ZINC000598641895 350299738 /nfs/dbraw/zinc/29/97/38/350299738.db2.gz YHPKUOAOVAJNOG-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1CC(C)C1 ZINC000598644261 350300258 /nfs/dbraw/zinc/30/02/58/350300258.db2.gz ZFQXCKBZRWMOSL-UHFFFAOYSA-N 0 0 252.318 2.578 20 5 CFBDRN C[C@H]1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@H]1CO ZINC000598648326 350301112 /nfs/dbraw/zinc/30/11/12/350301112.db2.gz GEEBVHIFKVWFBG-JQWIXIFHSA-N 0 0 298.770 2.699 20 5 CFBDRN O=[N+]([O-])c1ncn(CCCCc2cccc(Cl)c2)n1 ZINC000598711559 350315045 /nfs/dbraw/zinc/31/50/45/350315045.db2.gz VEKYYIXVXKFJJA-UHFFFAOYSA-N 0 0 280.715 2.863 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000598614833 350293782 /nfs/dbraw/zinc/29/37/82/350293782.db2.gz FKDXZOPTFSEDJQ-SECBINFHSA-N 0 0 293.323 2.767 20 5 CFBDRN COC(=O)c1ccnc(N[C@@H]2CC23CCCC3)c1[N+](=O)[O-] ZINC000598620250 350294696 /nfs/dbraw/zinc/29/46/96/350294696.db2.gz KWOVKTAFQHSADN-SNVBAGLBSA-N 0 0 291.307 2.521 20 5 CFBDRN O=C(CCCF)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000598822821 350334600 /nfs/dbraw/zinc/33/46/00/350334600.db2.gz ZZEZNYIUFSMOLA-UHFFFAOYSA-N 0 0 266.272 2.624 20 5 CFBDRN Cc1nc(NC(=O)[C@H](C)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000598822925 350334651 /nfs/dbraw/zinc/33/46/51/350334651.db2.gz LOUSAYCXAQILBS-SECBINFHSA-N 0 0 289.291 2.709 20 5 CFBDRN CO[C@@H](C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C1CCC1 ZINC000598828224 350335727 /nfs/dbraw/zinc/33/57/27/350335727.db2.gz NDLKAAMWLUKSTD-CYBMUJFWSA-N 0 0 278.308 2.657 20 5 CFBDRN CN(C(=O)[C@@H]1CCCC2(CC2)C1)c1ccc([N+](=O)[O-])nc1 ZINC000598831676 350336372 /nfs/dbraw/zinc/33/63/72/350336372.db2.gz NPRFSCATSMOLGP-LLVKDONJSA-N 0 0 289.335 2.923 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000598855026 350340507 /nfs/dbraw/zinc/34/05/07/350340507.db2.gz OHZLCXQIYXOCRT-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN CC[C@@](C)(NC(=O)c1cccc(OC)c1[N+](=O)[O-])C1CC1 ZINC000598868463 350342647 /nfs/dbraw/zinc/34/26/47/350342647.db2.gz BDUXMNQTRAYXNR-OAHLLOKOSA-N 0 0 292.335 2.912 20 5 CFBDRN Cn1ccc(C(=O)N2CCCCc3ccc([N+](=O)[O-])cc32)c1 ZINC000598880799 350343708 /nfs/dbraw/zinc/34/37/08/350343708.db2.gz CCIZENGTAMVLKG-UHFFFAOYSA-N 0 0 299.330 2.916 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@]2(C)CC2(C)C)c1 ZINC000598879103 350343729 /nfs/dbraw/zinc/34/37/29/350343729.db2.gz MSEAQCMTBOQLAH-CQSZACIVSA-N 0 0 262.309 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@]2(C)CC2(C)C)c1 ZINC000598879024 350343732 /nfs/dbraw/zinc/34/37/32/350343732.db2.gz KJDSXVREGBXJSF-AWEZNQCLSA-N 0 0 278.308 2.522 20 5 CFBDRN CCOCCC(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598883601 350344325 /nfs/dbraw/zinc/34/43/25/350344325.db2.gz NOMDQUOMTMDLLY-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN CC1CC(CNC(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000598891648 350345052 /nfs/dbraw/zinc/34/50/52/350345052.db2.gz APDORFPLJRRMCK-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1[C@H](C)O[C@H](C)[C@H]1C ZINC000598953837 350348417 /nfs/dbraw/zinc/34/84/17/350348417.db2.gz FMDQQPJUNNERFK-PUHVVEEASA-N 0 0 292.335 2.901 20 5 CFBDRN CCn1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)c(C)n1 ZINC000598954994 350348708 /nfs/dbraw/zinc/34/87/08/350348708.db2.gz ZMFPEEVTYFDGHV-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599045471 350364223 /nfs/dbraw/zinc/36/42/23/350364223.db2.gz AYLDLNVZXCWPEM-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@](O)(CNc1ccc2cnccc2c1[N+](=O)[O-])C1CC1 ZINC000599044986 350364561 /nfs/dbraw/zinc/36/45/61/350364561.db2.gz WWMWPEODQDUWBK-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1c(CC(=O)NCC[C@@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000598779217 350328320 /nfs/dbraw/zinc/32/83/20/350328320.db2.gz BZPDQIHEISWJLY-LLVKDONJSA-N 0 0 298.289 2.607 20 5 CFBDRN O=C(NCC[C@@H]1CC1(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000598781906 350329008 /nfs/dbraw/zinc/32/90/08/350329008.db2.gz LBTBAYBDFXBDEY-SSDOTTSWSA-N 0 0 288.225 2.509 20 5 CFBDRN CCOC1(C(=O)Nc2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000598801180 350330595 /nfs/dbraw/zinc/33/05/95/350330595.db2.gz JFMPVSGQGLORBQ-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN CN(C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000598803435 350331035 /nfs/dbraw/zinc/33/10/35/350331035.db2.gz MTGJLRUVHSJIIH-HONMWMINSA-N 0 0 274.320 2.994 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2Nc1cnn(CCF)c1 ZINC000599069805 350370079 /nfs/dbraw/zinc/37/00/79/350370079.db2.gz IUGATDUWXCNYAN-CYBMUJFWSA-N 0 0 290.298 2.860 20 5 CFBDRN C/C=C\CN[C@@H](c1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000599081459 350372603 /nfs/dbraw/zinc/37/26/03/350372603.db2.gz LITQBEBKXDSXMS-VQTKUKTRSA-N 0 0 286.335 2.583 20 5 CFBDRN CC(C)[C@H](CO)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599085235 350373411 /nfs/dbraw/zinc/37/34/11/350373411.db2.gz NGNLCALABVPCDG-LBPRGKRZSA-N 0 0 289.335 2.819 20 5 CFBDRN Cc1cc(N2CC[C@@H](c3ccncc3)C2)ncc1[N+](=O)[O-] ZINC000599099797 350375886 /nfs/dbraw/zinc/37/58/86/350375886.db2.gz CSRPRGYVXGFLAP-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1ccnc([C@@H]2CCN(c3ncc([N+](=O)[O-])cc3C)C2)c1 ZINC000599098946 350376032 /nfs/dbraw/zinc/37/60/32/350376032.db2.gz DXJACDRIBBENNB-CYBMUJFWSA-N 0 0 298.346 2.996 20 5 CFBDRN C[C@@H](NC(=O)C12CC(C1)C2)c1cccc([N+](=O)[O-])c1 ZINC000599105231 350376717 /nfs/dbraw/zinc/37/67/17/350376717.db2.gz XCPGYIWWIDIBAA-NAUIOFCNSA-N 0 0 260.293 2.572 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H]2CCCOC2)c(Cl)c1 ZINC000599116352 350377832 /nfs/dbraw/zinc/37/78/32/350377832.db2.gz HHHHLNKMIMZWKX-VIFPVBQESA-N 0 0 285.731 2.872 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC[C@@H]1CCCOC1 ZINC000599117448 350378306 /nfs/dbraw/zinc/37/83/06/350378306.db2.gz UAQBLPKQEQJANB-VIFPVBQESA-N 0 0 256.327 2.885 20 5 CFBDRN C[C@H]1CN(c2ncccc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000599118175 350378393 /nfs/dbraw/zinc/37/83/93/350378393.db2.gz QQMHUAKYSFLEPH-IUCAKERBSA-N 0 0 271.267 2.717 20 5 CFBDRN Cc1cccc2c1C[C@H](Nc1ccc([N+](=O)[O-])cn1)CO2 ZINC000599122260 350379671 /nfs/dbraw/zinc/37/96/71/350379671.db2.gz DGIJJEROBOSZAR-NSHDSACASA-N 0 0 285.303 2.714 20 5 CFBDRN Cc1ccnc(NC[C@@H]2Cc3ccccc3CO2)c1[N+](=O)[O-] ZINC000599125030 350380189 /nfs/dbraw/zinc/38/01/89/350380189.db2.gz ZXRBUYDVHCHTGF-AWEZNQCLSA-N 0 0 299.330 2.852 20 5 CFBDRN Cc1cccc2c1C[C@H](Nc1ncc([N+](=O)[O-])s1)CO2 ZINC000599124179 350380558 /nfs/dbraw/zinc/38/05/58/350380558.db2.gz RAHHHRNTXNPWNW-VIFPVBQESA-N 0 0 291.332 2.775 20 5 CFBDRN O=[N+]([O-])c1cnc(SC[C@@H]2C[C@H]3C[C@H]3C2)nc1 ZINC000599126548 350381054 /nfs/dbraw/zinc/38/10/54/350381054.db2.gz PBEXROBBMUEOJL-AYMMMOKOSA-N 0 0 251.311 2.523 20 5 CFBDRN CC1(C)C[C@H](Sc2ccc([N+](=O)[O-])nc2)CO1 ZINC000599125917 350381284 /nfs/dbraw/zinc/38/12/84/350381284.db2.gz ULZKSNQZNNEGTR-VIFPVBQESA-N 0 0 254.311 2.649 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3CC[C@@H](C2)S3)c(F)c1 ZINC000599134676 350381821 /nfs/dbraw/zinc/38/18/21/350381821.db2.gz MTIDPYXPVSWLQC-ZJUUUORDSA-N 0 0 283.328 2.603 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H]1CCCN1CC(F)F ZINC000599132912 350381905 /nfs/dbraw/zinc/38/19/05/350381905.db2.gz LAEKDZMSMWZJHF-QMMMGPOBSA-N 0 0 291.323 2.798 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H]2CC[C@@H](C1)S2 ZINC000599135728 350382151 /nfs/dbraw/zinc/38/21/51/350382151.db2.gz WTCBTOJTEYULAU-NEPJUHHUSA-N 0 0 279.365 2.773 20 5 CFBDRN CC(C)CC[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000599136905 350382355 /nfs/dbraw/zinc/38/23/55/350382355.db2.gz CUMQDDVUBPAUFF-JTQLQIEISA-N 0 0 270.304 2.943 20 5 CFBDRN COc1cc(N[C@@H](CO)CCC(C)C)ccc1[N+](=O)[O-] ZINC000599138021 350382960 /nfs/dbraw/zinc/38/29/60/350382960.db2.gz XNFUWDZMFGFPNL-GFCCVEGCSA-N 0 0 282.340 2.812 20 5 CFBDRN CC(C)CC[C@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000599138024 350383051 /nfs/dbraw/zinc/38/30/51/350383051.db2.gz XPMBCPSHHHIBGE-LLVKDONJSA-N 0 0 252.314 2.804 20 5 CFBDRN CC1(C)CC(=O)CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000599144317 350384322 /nfs/dbraw/zinc/38/43/22/350384322.db2.gz DXZOZNHUORBVHO-UHFFFAOYSA-N 0 0 280.299 2.929 20 5 CFBDRN CC1(C)OC[C@H]2C[C@]21Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000599143881 350384329 /nfs/dbraw/zinc/38/43/29/350384329.db2.gz YAEKWCOAIWRFIH-KRTXAFLBSA-N 0 0 283.715 2.623 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000599147872 350384743 /nfs/dbraw/zinc/38/47/43/350384743.db2.gz QWECZRGDTWQRKC-RISCZKNCSA-N 0 0 288.307 2.517 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC(F)(F)CC ZINC000599147590 350384819 /nfs/dbraw/zinc/38/48/19/350384819.db2.gz BDRFKCKCOAFCSY-UHFFFAOYSA-N 0 0 262.260 2.577 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000599148164 350385779 /nfs/dbraw/zinc/38/57/79/350385779.db2.gz XJKKVHLCLVDMSM-HIFRSBDPSA-N 0 0 287.319 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C34CC(C3)C4)n2)s1 ZINC000599152473 350386297 /nfs/dbraw/zinc/38/62/97/350386297.db2.gz IMCXRJCWGBFQLQ-UHFFFAOYSA-N 0 0 263.278 2.758 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)n1 ZINC000599162965 350386952 /nfs/dbraw/zinc/38/69/52/350386952.db2.gz CERXUEGJCNCIFB-BJDJZHNGSA-N 0 0 279.340 2.830 20 5 CFBDRN Cc1cnc(N[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000599163902 350387647 /nfs/dbraw/zinc/38/76/47/350387647.db2.gz HEBWEFJRBJSLPA-WYFGTUCQSA-N 0 0 265.313 2.522 20 5 CFBDRN Cc1cc(N2CCO[C@@H](CCF)C2)c([N+](=O)[O-])s1 ZINC000599169262 350388053 /nfs/dbraw/zinc/38/80/53/350388053.db2.gz SXCVWYKSZYZAAR-VIFPVBQESA-N 0 0 274.317 2.530 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCO[C@H](CCF)C1 ZINC000599167892 350388102 /nfs/dbraw/zinc/38/81/02/350388102.db2.gz UAVARJFHKHWLOM-SECBINFHSA-N 0 0 288.706 2.813 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1C[C@@H]2CCC[C@]2(CO)C1 ZINC000599174219 350389260 /nfs/dbraw/zinc/38/92/60/350389260.db2.gz MXHGVJBYVDLPMI-IINYFYTJSA-N 0 0 296.754 2.847 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1C[C@@H]2CCC[C@]2(CO)C1 ZINC000599174685 350389270 /nfs/dbraw/zinc/38/92/70/350389270.db2.gz YLMGQXOMPMMUBJ-IINYFYTJSA-N 0 0 296.754 2.847 20 5 CFBDRN CCOc1cc(N[C@@H]2CO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179339 350390272 /nfs/dbraw/zinc/39/02/72/350390272.db2.gz DQXVEAMIEDTXLS-JSGCOSHPSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1cc(NCC2COC2)c([N+](=O)[O-])cc1C(F)(F)F ZINC000599178421 350390397 /nfs/dbraw/zinc/39/03/97/350390397.db2.gz NATSAGKJQROSHV-UHFFFAOYSA-N 0 0 290.241 2.980 20 5 CFBDRN C[C@@H]1CC[C@H](c2noc(-c3ccccc3[N+](=O)[O-])n2)O1 ZINC000599178068 350390400 /nfs/dbraw/zinc/39/04/00/350390400.db2.gz XZGXQKBLLVWCIW-LDYMZIIASA-N 0 0 275.264 2.885 20 5 CFBDRN Cc1cc(N[C@@H]2CO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599180912 350391151 /nfs/dbraw/zinc/39/11/51/350391151.db2.gz OBYARTNYHMUHRL-JSGCOSHPSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CO[C@H](C3CC3)C2)c(Cl)c1 ZINC000599182008 350391513 /nfs/dbraw/zinc/39/15/13/350391513.db2.gz WCPGCKRYWMTNBY-KCJUWKMLSA-N 0 0 283.715 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1CO[C@H](C3CC3)C1)CCN2 ZINC000599182386 350391529 /nfs/dbraw/zinc/39/15/29/350391529.db2.gz XWHRJXFLTHDBOR-HZMBPMFUSA-N 0 0 289.335 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](c2ccc(O)cc2)C1 ZINC000599192404 350393396 /nfs/dbraw/zinc/39/33/96/350393396.db2.gz QZLHTJHUNSNJLC-CYBMUJFWSA-N 0 0 299.330 2.998 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000599207652 350396537 /nfs/dbraw/zinc/39/65/37/350396537.db2.gz YRPOXZQBNGWVKR-JTQLQIEISA-N 0 0 264.329 2.629 20 5 CFBDRN Cc1cnccc1CN1CCc2c1cccc2[N+](=O)[O-] ZINC000599339194 350417062 /nfs/dbraw/zinc/41/70/62/350417062.db2.gz JEUMZHMJABYIHN-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1nc(NC(=O)[C@@H](C)CC(F)F)ccc1[N+](=O)[O-] ZINC000415265261 233484290 /nfs/dbraw/zinc/48/42/90/233484290.db2.gz KAALKQKOOWKJNC-LURJTMIESA-N 0 0 273.239 2.528 20 5 CFBDRN O=C(Nc1ccccc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000106103507 186102722 /nfs/dbraw/zinc/10/27/22/186102722.db2.gz HZDXJGSOGJIDRQ-UHFFFAOYSA-N 0 0 260.224 2.986 20 5 CFBDRN C[N@H+](Cc1ccc(Cl)cc1[N+](=O)[O-])CC1(C)COC1 ZINC000599571448 350457283 /nfs/dbraw/zinc/45/72/83/350457283.db2.gz HOLXXXMUEDRKIL-UHFFFAOYSA-N 0 0 284.743 2.717 20 5 CFBDRN CCC[C@@H](OCC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000599742872 350489964 /nfs/dbraw/zinc/48/99/64/350489964.db2.gz KJWRFZVSPTUZRG-SMDDNHRTSA-N 0 0 294.351 2.977 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCN(CC(F)F)C2CC2)c1 ZINC000106870990 186145093 /nfs/dbraw/zinc/14/50/93/186145093.db2.gz HDMTUEDYLMHJQC-UHFFFAOYSA-N 0 0 286.278 2.703 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(CC(F)F)C2CC2)cc1 ZINC000106871862 186146006 /nfs/dbraw/zinc/14/60/06/186146006.db2.gz AQZOTIJHYQZGDF-UHFFFAOYSA-N 0 0 256.252 2.824 20 5 CFBDRN CCCCCN[C@@](C)(C(=O)OC)c1cccc([N+](=O)[O-])c1 ZINC000599642481 350470649 /nfs/dbraw/zinc/47/06/49/350470649.db2.gz GURVRNDWCRCTMW-OAHLLOKOSA-N 0 0 294.351 2.763 20 5 CFBDRN COc1ccc(NC(=O)N(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000599770601 350492611 /nfs/dbraw/zinc/49/26/11/350492611.db2.gz OWGLWOUPVJOJRS-UHFFFAOYSA-N 0 0 291.307 2.762 20 5 CFBDRN CC(C)(C)OC(=O)C(C)(C)NCc1ccccc1[N+](=O)[O-] ZINC000599652955 350474298 /nfs/dbraw/zinc/47/42/98/350474298.db2.gz RSBJJBMGFVRKOH-UHFFFAOYSA-N 0 0 294.351 2.805 20 5 CFBDRN Cc1nn(C)c(NC(=O)c2cc([N+](=O)[O-])c(C)s2)c1C ZINC000106719264 186133653 /nfs/dbraw/zinc/13/36/53/186133653.db2.gz FRXGYMXRPFYNII-UHFFFAOYSA-N 0 0 294.336 2.567 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)C1CCCCC1 ZINC000107291629 186167087 /nfs/dbraw/zinc/16/70/87/186167087.db2.gz SUFQIBPSDHKOMW-SECBINFHSA-N 0 0 252.318 2.709 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCc1cnoc1C1CC1 ZINC000271378206 191106618 /nfs/dbraw/zinc/10/66/18/191106618.db2.gz NELWEJCNKMEAJF-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN CC(C)c1noc(OCCOc2ccc([N+](=O)[O-])cc2)n1 ZINC000107418116 186174408 /nfs/dbraw/zinc/17/44/08/186174408.db2.gz MRSFBMQQKHZFKG-UHFFFAOYSA-N 0 0 293.279 2.559 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ccncc12)NC1CCC1 ZINC000599830416 350495753 /nfs/dbraw/zinc/49/57/53/350495753.db2.gz TXCDLWKLKFVCAN-UHFFFAOYSA-N 0 0 286.291 2.817 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ccncc12)N[C@@H]1CC12CC2 ZINC000599838550 350495982 /nfs/dbraw/zinc/49/59/82/350495982.db2.gz KAEFELKYQFUUCU-CYBMUJFWSA-N 0 0 298.302 2.817 20 5 CFBDRN CCOc1cc(NCCCCOC)ccc1[N+](=O)[O-] ZINC000107039934 186156722 /nfs/dbraw/zinc/15/67/22/186156722.db2.gz FEHHBCGIOKLVEU-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1ncccc1N(C)C ZINC000291072935 197908314 /nfs/dbraw/zinc/90/83/14/197908314.db2.gz HETWNMBQRLPKGJ-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccncc1C ZINC000107291548 186166326 /nfs/dbraw/zinc/16/63/26/186166326.db2.gz FIOCOJKROGXPHO-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=C(NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000600168566 350528207 /nfs/dbraw/zinc/52/82/07/350528207.db2.gz KBESYQYEHSFXEW-MXWKQRLJSA-N 0 0 292.310 2.900 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1)OCC ZINC000600175704 350530950 /nfs/dbraw/zinc/53/09/50/350530950.db2.gz XOUBSFSYAJVYPT-ZDUSSCGKSA-N 0 0 280.324 2.530 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000107674733 186185453 /nfs/dbraw/zinc/18/54/53/186185453.db2.gz DREYAVZDHSIZSF-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1c(NCCOC2CCOCC2)cccc1[N+](=O)[O-] ZINC000600545566 350568922 /nfs/dbraw/zinc/56/89/22/350568922.db2.gz RSHUQCMMJZVEDE-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)OCC(F)(F)F ZINC000108279185 186209916 /nfs/dbraw/zinc/20/99/16/186209916.db2.gz PLZCYMHAYGFCNP-UHFFFAOYSA-N 0 0 292.213 2.726 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1COC2(CCCC2)O1 ZINC000271379780 191108305 /nfs/dbraw/zinc/10/83/05/191108305.db2.gz NNMLXLRFCUSBQC-LLVKDONJSA-N 0 0 296.298 2.832 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000600218549 350542933 /nfs/dbraw/zinc/54/29/33/350542933.db2.gz IFDVNVWHCXEKEW-DTORHVGOSA-N 0 0 280.711 2.649 20 5 CFBDRN CCC(F)(F)CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000600379242 350551654 /nfs/dbraw/zinc/55/16/54/350551654.db2.gz DTDHZYGEZFMPAB-VIFPVBQESA-N 0 0 286.278 2.860 20 5 CFBDRN O=C(NCC[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])o1 ZINC000600395401 350553416 /nfs/dbraw/zinc/55/34/16/350553416.db2.gz RPCFPRRKRBIHEE-JTQLQIEISA-N 0 0 264.281 2.664 20 5 CFBDRN C/C=C/CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000600491305 350558329 /nfs/dbraw/zinc/55/83/29/350558329.db2.gz MKFJZFKSFRJJEY-SNAWJCMRSA-N 0 0 262.309 2.565 20 5 CFBDRN C/C=C/CNC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] ZINC000600491370 350559302 /nfs/dbraw/zinc/55/93/02/350559302.db2.gz NZKGWWVPHZVQJS-SNAWJCMRSA-N 0 0 296.348 2.631 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])cc2)C[C@H]2C[C@H]2C1 ZINC000600495567 350559647 /nfs/dbraw/zinc/55/96/47/350559647.db2.gz CBIRUBXANYTKBB-JYAVWHMHSA-N 0 0 274.320 2.761 20 5 CFBDRN CO[C@@H](Cn1c(C)ncc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000600519753 350562464 /nfs/dbraw/zinc/56/24/64/350562464.db2.gz DQHMHRAIZKIOHT-LBPRGKRZSA-N 0 0 279.271 2.627 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCCc1cnoc1 ZINC000600523514 350563180 /nfs/dbraw/zinc/56/31/80/350563180.db2.gz RGLPIRXZNKPJHC-UHFFFAOYSA-N 0 0 278.264 2.603 20 5 CFBDRN CC1(C)CC(CS(=O)(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000600525273 350563518 /nfs/dbraw/zinc/56/35/18/350563518.db2.gz AGQOACPSDHFHQA-UHFFFAOYSA-N 0 0 283.349 2.805 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1C[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC000600525863 350564394 /nfs/dbraw/zinc/56/43/94/350564394.db2.gz FPKGZWZETMZDAV-AXFHLTTASA-N 0 0 286.287 2.715 20 5 CFBDRN CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)CC(C)C ZINC000416047031 233526132 /nfs/dbraw/zinc/52/61/32/233526132.db2.gz UEHQMCKEMLBGHR-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN CC(C)SCn1nc(-c2ccc([N+](=O)[O-])cc2)oc1=O ZINC000600530641 350565903 /nfs/dbraw/zinc/56/59/03/350565903.db2.gz BRGOEISBFQUIAR-UHFFFAOYSA-N 0 0 295.320 2.511 20 5 CFBDRN COC(=O)CCCCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000600538509 350568007 /nfs/dbraw/zinc/56/80/07/350568007.db2.gz ZBTDWEPPZAAJAY-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CCn1nc(C)cc1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000600604302 350579345 /nfs/dbraw/zinc/57/93/45/350579345.db2.gz FXTDEQHQFUTFIF-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCn1nc(C)cc1NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000600607011 350579722 /nfs/dbraw/zinc/57/97/22/350579722.db2.gz WBCMOLHHRYSHRQ-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCO[C@@H](COc1ccc([N+](=O)[O-])cc1C(C)=O)C1CC1 ZINC000600673186 350594176 /nfs/dbraw/zinc/59/41/76/350594176.db2.gz XWIFWLTZQDNEFN-HNNXBMFYSA-N 0 0 293.319 2.991 20 5 CFBDRN Cc1cn(-c2cccc([N+](=O)[O-])c2)c(=O)n1C[C@H]1C[C@H]1C ZINC000600673735 350594742 /nfs/dbraw/zinc/59/47/42/350594742.db2.gz IBVWOCIKLHUUOW-ZYHUDNBSSA-N 0 0 287.319 2.512 20 5 CFBDRN C[C@H]1C[C@H]1Cn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000600676390 350595706 /nfs/dbraw/zinc/59/57/06/350595706.db2.gz UIUKYTXNEQFBBG-ONGXEEELSA-N 0 0 258.277 2.566 20 5 CFBDRN CCOc1cc(N[C@@]2(C)CCOC2)ccc1[N+](=O)[O-] ZINC000092688767 180429810 /nfs/dbraw/zinc/42/98/10/180429810.db2.gz MNDHHBBCKXMDNM-ZDUSSCGKSA-N 0 0 266.297 2.584 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000600698454 350597295 /nfs/dbraw/zinc/59/72/95/350597295.db2.gz FKZZIRVXDYPQKN-AAOUONPWSA-N 0 0 291.351 2.752 20 5 CFBDRN CC(C)NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600884091 350623010 /nfs/dbraw/zinc/62/30/10/350623010.db2.gz CLPZCHJCRMSWNX-UHFFFAOYSA-N 0 0 262.309 2.541 20 5 CFBDRN CCCNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600892311 350624295 /nfs/dbraw/zinc/62/42/95/350624295.db2.gz MAZKRRJRGMOZFN-UHFFFAOYSA-N 0 0 262.309 2.543 20 5 CFBDRN CCC(O)(CC)CN(C)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000600765398 350607213 /nfs/dbraw/zinc/60/72/13/350607213.db2.gz AIUJNXYBFSBHEL-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN CCC(O)(CC)CN(C)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000600768182 350607726 /nfs/dbraw/zinc/60/77/26/350607726.db2.gz YFUPLODMTQVCOG-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN COCCCNc1ccc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000600786815 350611585 /nfs/dbraw/zinc/61/15/85/350611585.db2.gz UMUSVFRIIIKWLL-UHFFFAOYSA-N 0 0 287.319 2.527 20 5 CFBDRN Cc1ccc(-c2cnn(C[C@H]3CCOC3)c2)cc1[N+](=O)[O-] ZINC000600790349 350612882 /nfs/dbraw/zinc/61/28/82/350612882.db2.gz JVRBQMIPRWVQMT-GFCCVEGCSA-N 0 0 287.319 2.803 20 5 CFBDRN O=C(Nc1ccncc1O)c1ccc([N+](=O)[O-])cc1Cl ZINC000109890430 186277923 /nfs/dbraw/zinc/27/79/23/186277923.db2.gz PNKZFCZPEFBVBR-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN CCc1[nH]nc(NC(=O)c2ccc([N+](=O)[O-])s2)c1C ZINC000109895735 186279137 /nfs/dbraw/zinc/27/91/37/186279137.db2.gz XINQMDSOINVIHD-UHFFFAOYSA-N 0 0 280.309 2.503 20 5 CFBDRN O=C(NCc1cccnc1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110157587 186287457 /nfs/dbraw/zinc/28/74/57/186287457.db2.gz FRILTOOKSNNUEM-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN C[C@H]1CCN(C(=O)C2(c3ccc([N+](=O)[O-])cc3)CCC2)C1 ZINC000601029232 350647792 /nfs/dbraw/zinc/64/77/92/350647792.db2.gz NIMABZDUATYVGU-LBPRGKRZSA-N 0 0 288.347 2.885 20 5 CFBDRN Nc1nc(N[C@@H]2CCC[C@@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000110603839 186304196 /nfs/dbraw/zinc/30/41/96/186304196.db2.gz XGRTVGUYQMPJSU-VXGBXAGGSA-N 0 0 299.334 2.715 20 5 CFBDRN Cc1ccc(-c2cc([N+](=O)[O-])ccc2CCO)c(CO)c1 ZINC000600945944 350635263 /nfs/dbraw/zinc/63/52/63/350635263.db2.gz KWHAKFJGBYHMHV-UHFFFAOYSA-N 0 0 287.315 2.597 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601116248 350672568 /nfs/dbraw/zinc/67/25/68/350672568.db2.gz JGDOOWFRUVEIAF-OAHLLOKOSA-N 0 0 289.335 2.528 20 5 CFBDRN CN(CCc1cccs1)c1ncc(F)cc1[N+](=O)[O-] ZINC000601119965 350673640 /nfs/dbraw/zinc/67/36/40/350673640.db2.gz GXXNNGHAOYWOFX-UHFFFAOYSA-N 0 0 281.312 2.869 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@H]1CC=CCC1 ZINC000601119373 350673982 /nfs/dbraw/zinc/67/39/82/350673982.db2.gz XXOGNRWGRRZILG-ZETCQYMHSA-N 0 0 290.245 2.868 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ncc(F)cc1[N+](=O)[O-] ZINC000601121836 350674598 /nfs/dbraw/zinc/67/45/98/350674598.db2.gz PGQJDEAMHBLWET-ZETCQYMHSA-N 0 0 294.286 2.777 20 5 CFBDRN COc1cc(COc2ccc(C)cc2[N+](=O)[O-])sn1 ZINC000601074307 350659278 /nfs/dbraw/zinc/65/92/78/350659278.db2.gz OJONCBKSLLSJEC-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN Cc1c(OC[C@@H]2CCO[C@H]2C)cccc1[N+](=O)[O-] ZINC000601075414 350659725 /nfs/dbraw/zinc/65/97/25/350659725.db2.gz AYAFWHVDJXFLFP-QWRGUYRKSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1nc(CC2CC2)no1 ZINC000601079693 350661155 /nfs/dbraw/zinc/66/11/55/350661155.db2.gz BQYKRUOGVSCJCO-UHFFFAOYSA-N 0 0 289.291 2.818 20 5 CFBDRN Cc1cc(OC[C@@H]2CCO[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000601081693 350662298 /nfs/dbraw/zinc/66/22/98/350662298.db2.gz SIVVDDKAIJCDIW-UWVGGRQHSA-N 0 0 269.272 2.846 20 5 CFBDRN CCN(C#N)CCSCCOc1ccc([N+](=O)[O-])cc1 ZINC000601087263 350665196 /nfs/dbraw/zinc/66/51/96/350665196.db2.gz FIJYFMYGKQXCDB-UHFFFAOYSA-N 0 0 295.364 2.510 20 5 CFBDRN CCCCN(C)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601099216 350667903 /nfs/dbraw/zinc/66/79/03/350667903.db2.gz ZALBSCINAKUAJV-UHFFFAOYSA-N 0 0 280.250 2.583 20 5 CFBDRN CC[C@@H]1CCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601104248 350669255 /nfs/dbraw/zinc/66/92/55/350669255.db2.gz QQZKVLKNNIPQHE-SECBINFHSA-N 0 0 253.277 2.755 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000601223878 350702382 /nfs/dbraw/zinc/70/23/82/350702382.db2.gz RGSUOIOPCRJCIL-DFAYQTQMSA-N 0 0 278.308 2.775 20 5 CFBDRN COCC1(C2CC2)CN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000601224761 350702797 /nfs/dbraw/zinc/70/27/97/350702797.db2.gz AMRJVGKWJAZEBU-UHFFFAOYSA-N 0 0 280.299 2.597 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cn2)[C@@H]1c1ccccc1 ZINC000273607533 290911070 /nfs/dbraw/zinc/91/10/70/290911070.db2.gz KYKNLFKTPPWKTK-GWCFXTLKSA-N 0 0 270.292 2.582 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)[C@@H](O)C(F)(F)F)c1 ZINC000601238422 350706989 /nfs/dbraw/zinc/70/69/89/350706989.db2.gz WDABDRLFJGKOCH-OIBJUYFYSA-N 0 0 278.230 2.627 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc3c2CCOC3)cn1 ZINC000601240006 350707857 /nfs/dbraw/zinc/70/78/57/350707857.db2.gz VAQIYTPIXOCVSF-UHFFFAOYSA-N 0 0 285.303 2.675 20 5 CFBDRN Cc1cnc(NCc2cccc3c2CCOC3)c([N+](=O)[O-])c1 ZINC000601240334 350707862 /nfs/dbraw/zinc/70/78/62/350707862.db2.gz ZQAALBKBEQATGW-UHFFFAOYSA-N 0 0 299.330 2.983 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H](C(F)(F)F)C1 ZINC000601246631 350708347 /nfs/dbraw/zinc/70/83/47/350708347.db2.gz WMWHXJDYHWWONM-MRVPVSSYSA-N 0 0 275.230 2.769 20 5 CFBDRN CC1(F)CCN(c2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000601245560 350708469 /nfs/dbraw/zinc/70/84/69/350708469.db2.gz CHFZWRKKLKVYOS-UHFFFAOYSA-N 0 0 284.316 2.632 20 5 CFBDRN CC1(F)CCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000601246313 350708501 /nfs/dbraw/zinc/70/85/01/350708501.db2.gz NIZLCTXAQINKQI-UHFFFAOYSA-N 0 0 271.267 2.645 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CCC2)[C@@H]2CCCO2)s1 ZINC000601249634 350709045 /nfs/dbraw/zinc/70/90/45/350709045.db2.gz DJBVNPYDPZDJET-ONGXEEELSA-N 0 0 283.353 2.811 20 5 CFBDRN CC(C)Oc1nc(N2OC3CCC2CC3)ccc1[N+](=O)[O-] ZINC000601271868 350713664 /nfs/dbraw/zinc/71/36/64/350713664.db2.gz WUDMVEPKJQUCDF-UHFFFAOYSA-N 0 0 293.323 2.840 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1CC[C@H](CO)CC1 ZINC000601129197 350676741 /nfs/dbraw/zinc/67/67/41/350676741.db2.gz CYHQHHSXUZZNKV-KYZUINATSA-N 0 0 256.327 2.619 20 5 CFBDRN CC[C@]1(C)CCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601129639 350676962 /nfs/dbraw/zinc/67/69/62/350676962.db2.gz VIOWZYBDVXGJFP-GFCCVEGCSA-N 0 0 253.277 2.755 20 5 CFBDRN COCCC1(CNc2ncc(F)cc2[N+](=O)[O-])CCC1 ZINC000601129600 350676981 /nfs/dbraw/zinc/67/69/81/350676981.db2.gz KQDFMAIUQGGICC-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000601130692 350677777 /nfs/dbraw/zinc/67/77/77/350677777.db2.gz NNOHVRWCPGVXBR-KWCYVHTRSA-N 0 0 285.278 2.879 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCc2ccsc2C1 ZINC000601136808 350678927 /nfs/dbraw/zinc/67/89/27/350678927.db2.gz XTZHPFDMFOLNFC-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC1(c2ccccn2)CC1 ZINC000601141668 350680533 /nfs/dbraw/zinc/68/05/33/350680533.db2.gz OGHJOVRLHJERER-UHFFFAOYSA-N 0 0 288.282 2.668 20 5 CFBDRN COC1(CNc2ccnc3ccc([N+](=O)[O-])cc32)CCC1 ZINC000601140419 350680695 /nfs/dbraw/zinc/68/06/95/350680695.db2.gz VLTCVJHVLCWVJU-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN C[C@@H]1C[C@H](CCNc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601142331 350681129 /nfs/dbraw/zinc/68/11/29/350681129.db2.gz YLEIUNULRJBZCG-NXEZZACHSA-N 0 0 283.303 2.746 20 5 CFBDRN C[C@@](CO)([NH2+]C[C@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000601150518 350683154 /nfs/dbraw/zinc/68/31/54/350683154.db2.gz YSZIGOUDWRHEQU-BBRMVZONSA-N 0 0 290.363 2.748 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC([C@H]2CCCO2)CC1 ZINC000601154152 350683762 /nfs/dbraw/zinc/68/37/62/350683762.db2.gz YKCSPEPGBJUPRW-CYBMUJFWSA-N 0 0 295.314 2.524 20 5 CFBDRN CO[C@@H](CCNc1ncc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000601153841 350683787 /nfs/dbraw/zinc/68/37/87/350683787.db2.gz VBNGUMNENHJTNP-QMMMGPOBSA-N 0 0 297.208 2.508 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1c1ncc(F)cc1[N+](=O)[O-] ZINC000601158074 350684625 /nfs/dbraw/zinc/68/46/25/350684625.db2.gz MIFFGCDKTOUNOA-HTRCEHHLSA-N 0 0 293.220 2.906 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCC1(F)CCC1 ZINC000601163414 350686155 /nfs/dbraw/zinc/68/61/55/350686155.db2.gz JFGMMBNLVPTJRG-UHFFFAOYSA-N 0 0 257.240 2.823 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCc1noc2c1CCCC2 ZINC000601167110 350686697 /nfs/dbraw/zinc/68/66/97/350686697.db2.gz YDUWPRYOMLQXGZ-UHFFFAOYSA-N 0 0 292.270 2.608 20 5 CFBDRN Cc1ccoc1CN(C)c1ncc(F)cc1[N+](=O)[O-] ZINC000601167733 350686715 /nfs/dbraw/zinc/68/67/15/350686715.db2.gz COADXVYHXVKFFR-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN C[C@H](CC(C)(C)O)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601170529 350687031 /nfs/dbraw/zinc/68/70/31/350687031.db2.gz CLKRHQQFHVVHDN-SNVBAGLBSA-N 0 0 289.335 2.526 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1C[C@H]1c1ccco1 ZINC000601175025 350689763 /nfs/dbraw/zinc/68/97/63/350689763.db2.gz JYXIESCDDNDTSW-RKDXNWHRSA-N 0 0 263.228 2.690 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H]2C(F)(F)F)n1 ZINC000601188247 350692139 /nfs/dbraw/zinc/69/21/39/350692139.db2.gz FLRLFNZNSZNJDZ-MRVPVSSYSA-N 0 0 275.230 2.748 20 5 CFBDRN Cc1ccnc(NC[C@@H]2C[C@H]2c2cccnc2)c1[N+](=O)[O-] ZINC000601191023 350692777 /nfs/dbraw/zinc/69/27/77/350692777.db2.gz FDBIYJRXIXPPJS-STQMWFEESA-N 0 0 284.319 2.909 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2C[C@H]2c2cccnc2)c(F)c1 ZINC000601192259 350693236 /nfs/dbraw/zinc/69/32/36/350693236.db2.gz SHIKVUJNSMIWLP-JQWIXIFHSA-N 0 0 288.282 2.740 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1C[C@H]1c1cccnc1 ZINC000601191418 350693319 /nfs/dbraw/zinc/69/33/19/350693319.db2.gz IGGLSZYFBATYRE-RYUDHWBXSA-N 0 0 270.292 2.600 20 5 CFBDRN CC1(C)[C@H](CNc2ncc(F)cc2[N+](=O)[O-])C1(F)F ZINC000601196673 350694224 /nfs/dbraw/zinc/69/42/24/350694224.db2.gz RERUAGVAHRGESD-QMMMGPOBSA-N 0 0 275.230 2.832 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NCCC(C)(C)F ZINC000601195281 350694248 /nfs/dbraw/zinc/69/42/48/350694248.db2.gz JKSKCAGJLKCDCO-UHFFFAOYSA-N 0 0 298.240 2.897 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NC1CC(C)(C)C1 ZINC000601198710 350694989 /nfs/dbraw/zinc/69/49/89/350694989.db2.gz GLHSLASNIIOSDL-UHFFFAOYSA-N 0 0 292.261 2.948 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC(C)(C)[C@@H]1c1ccco1 ZINC000601210745 350698401 /nfs/dbraw/zinc/69/84/01/350698401.db2.gz SXTDUFUBZKGDNZ-JTQLQIEISA-N 0 0 276.296 2.509 20 5 CFBDRN CCC[C@H](CCO)Nc1c(F)cccc1[N+](=O)[O-] ZINC000601212172 350698936 /nfs/dbraw/zinc/69/89/36/350698936.db2.gz IHQJROLEIFMGEQ-SECBINFHSA-N 0 0 256.277 2.697 20 5 CFBDRN CCC[C@@H](CCO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000601212988 350699580 /nfs/dbraw/zinc/69/95/80/350699580.db2.gz UWCPJRPWKYVMFH-NSHDSACASA-N 0 0 267.329 2.570 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](c3cccnc3)C2)s1 ZINC000601217023 350700070 /nfs/dbraw/zinc/70/00/70/350700070.db2.gz VDGFFMRBZIXSAV-LLVKDONJSA-N 0 0 290.348 2.830 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC(CCF)CC1 ZINC000601217119 350700192 /nfs/dbraw/zinc/70/01/92/350700192.db2.gz ASKLYINFSLBDDT-UHFFFAOYSA-N 0 0 253.277 2.566 20 5 CFBDRN CCOc1cc(N[C@@H](C)Cn2ccnc2)ccc1[N+](=O)[O-] ZINC000111048477 186347950 /nfs/dbraw/zinc/34/79/50/186347950.db2.gz BSGJIPGFJPZCCJ-NSHDSACASA-N 0 0 290.323 2.691 20 5 CFBDRN CCOc1cc(NC[C@@H](C)COC)ccc1[N+](=O)[O-] ZINC000111049212 186348028 /nfs/dbraw/zinc/34/80/28/186348028.db2.gz LQNSUBPXXLGZKN-SNVBAGLBSA-N 0 0 268.313 2.688 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@H]1C(F)F ZINC000601602774 350745784 /nfs/dbraw/zinc/74/57/84/350745784.db2.gz JZMYHRPTDPREKJ-NSHDSACASA-N 0 0 295.245 2.556 20 5 CFBDRN CC(C)(F)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000601688503 350759904 /nfs/dbraw/zinc/75/99/04/350759904.db2.gz MRZPDSCMXTZDAA-UHFFFAOYSA-N 0 0 280.255 2.819 20 5 CFBDRN CC(C)(F)CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000601688532 350760013 /nfs/dbraw/zinc/76/00/13/350760013.db2.gz RTMGNHCQNXGPJT-UHFFFAOYSA-N 0 0 291.282 2.621 20 5 CFBDRN CSc1cccc(C(=O)NCC(C)(C)F)c1[N+](=O)[O-] ZINC000601688014 350760120 /nfs/dbraw/zinc/76/01/20/350760120.db2.gz LGDKSIJAUBPAHS-UHFFFAOYSA-N 0 0 286.328 2.795 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)[C@H](C)O1 ZINC000601354731 350725666 /nfs/dbraw/zinc/72/56/66/350725666.db2.gz UYAWSGNGGQDGTP-PTRXPTGYSA-N 0 0 289.291 2.926 20 5 CFBDRN CC[C@@H](C)Cc1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000601400283 350730131 /nfs/dbraw/zinc/73/01/31/350730131.db2.gz XWSNNZXOZNARLD-SSDOTTSWSA-N 0 0 250.258 2.562 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCC1(O)CCC1 ZINC000601588523 350743696 /nfs/dbraw/zinc/74/36/96/350743696.db2.gz ZKATYDLHUSXSFO-UHFFFAOYSA-N 0 0 292.335 2.845 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCCC12CC2 ZINC000601705587 350765738 /nfs/dbraw/zinc/76/57/38/350765738.db2.gz PUQFSTGHJXNOAT-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC(CCF)CC1 ZINC000601744600 350770615 /nfs/dbraw/zinc/77/06/15/350770615.db2.gz NOUZYUOZHQDRFT-UHFFFAOYSA-N 0 0 280.299 2.807 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC(CCF)CC1 ZINC000601748092 350771730 /nfs/dbraw/zinc/77/17/30/350771730.db2.gz XSVPQTUDTUPYIJ-UHFFFAOYSA-N 0 0 295.314 2.510 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)[C@@H](C)O1 ZINC000601760770 350772769 /nfs/dbraw/zinc/77/27/69/350772769.db2.gz JXIBITLBIMHHQO-RWYTXXIDSA-N 0 0 298.726 2.544 20 5 CFBDRN Cc1cc(C(=O)N2CCC(C)(F)CC2)cc([N+](=O)[O-])c1 ZINC000601869865 350795476 /nfs/dbraw/zinc/79/54/76/350795476.db2.gz RQZGJCZUBWCCJA-UHFFFAOYSA-N 0 0 280.299 2.867 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCc1ccco1 ZINC000601916051 350801133 /nfs/dbraw/zinc/80/11/33/350801133.db2.gz IQHIXIUNUSWQSH-UHFFFAOYSA-N 0 0 267.310 2.626 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCCCCF ZINC000601917220 350801471 /nfs/dbraw/zinc/80/14/71/350801471.db2.gz GBUPYEAVIXFBFX-UHFFFAOYSA-N 0 0 275.349 2.973 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1nc(CC2CC2)no1 ZINC000601925619 350803841 /nfs/dbraw/zinc/80/38/41/350803841.db2.gz POAPMDZORFJGOG-UHFFFAOYSA-N 0 0 288.307 2.851 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1N1N=C(C(C)C)CCC1=O ZINC000601934954 350807659 /nfs/dbraw/zinc/80/76/59/350807659.db2.gz GEUONWUDCMQNIN-UHFFFAOYSA-N 0 0 291.307 2.742 20 5 CFBDRN C[C@H]1CC=NN(c2ccc([N+](=O)[O-])cc2Cl)C1=O ZINC000601937947 350809295 /nfs/dbraw/zinc/80/92/95/350809295.db2.gz CLWFNTIVOPPUCM-ZETCQYMHSA-N 0 0 267.672 2.607 20 5 CFBDRN CC[C@@H]1C(=O)N(c2cccc([N+](=O)[O-])c2C)N=C1C ZINC000601939509 350809936 /nfs/dbraw/zinc/80/99/36/350809936.db2.gz LMIPJULKOOZADF-JTQLQIEISA-N 0 0 261.281 2.652 20 5 CFBDRN O=C1C[C@@H]2CCCC2=NN1c1ccc([N+](=O)[O-])cc1F ZINC000601939851 350811138 /nfs/dbraw/zinc/81/11/38/350811138.db2.gz PBCAXRBXFNBWJB-QMMMGPOBSA-N 0 0 277.255 2.627 20 5 CFBDRN C[C@@H]1CCC2=NN(c3ccc([N+](=O)[O-])cc3Cl)C(=O)[C@H]21 ZINC000601941512 350811167 /nfs/dbraw/zinc/81/11/67/350811167.db2.gz YMIAWWXDVQEZEI-KRTXAFLBSA-N 0 0 293.710 2.997 20 5 CFBDRN C[C@@H]1CCC2=NN(c3ccc([N+](=O)[O-])cc3Cl)C(=O)[C@@H]21 ZINC000601941510 350811264 /nfs/dbraw/zinc/81/12/64/350811264.db2.gz YMIAWWXDVQEZEI-JMCQJSRRSA-N 0 0 293.710 2.997 20 5 CFBDRN CCC[C@@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2F)N=C1C ZINC000601942637 350811700 /nfs/dbraw/zinc/81/17/00/350811700.db2.gz LXQDPJLUNJMUGY-JTQLQIEISA-N 0 0 279.271 2.873 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C1CCC=CCC1 ZINC000602086806 350838941 /nfs/dbraw/zinc/83/89/41/350838941.db2.gz XUGKYWYWRIRISF-UHFFFAOYSA-N 0 0 274.320 2.957 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1ccc([N+](=O)[O-])o1)C(C)(C)C ZINC000602218573 350853301 /nfs/dbraw/zinc/85/33/01/350853301.db2.gz QDEYLAOOTBYEAL-VIFPVBQESA-N 0 0 268.313 2.942 20 5 CFBDRN CCO[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000602230203 350854475 /nfs/dbraw/zinc/85/44/75/350854475.db2.gz KIJFKRDNIVMMSD-GFCCVEGCSA-N 0 0 266.297 2.594 20 5 CFBDRN Cc1c(NC(=O)[C@]23CCC[C@H]2OCC3)cccc1[N+](=O)[O-] ZINC000602232473 350854854 /nfs/dbraw/zinc/85/48/54/350854854.db2.gz UMOSPLMEGRTZOI-HIFRSBDPSA-N 0 0 290.319 2.801 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000602266634 350857138 /nfs/dbraw/zinc/85/71/38/350857138.db2.gz ZGFVZJXQEBOJSO-BDAKNGLRSA-N 0 0 298.339 2.700 20 5 CFBDRN Cc1cc(NC(=O)c2cnn(C3CC3)c2)ccc1[N+](=O)[O-] ZINC000602303987 350861681 /nfs/dbraw/zinc/86/16/81/350861681.db2.gz ZQUUIADZIJEIIJ-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000602302876 350861847 /nfs/dbraw/zinc/86/18/47/350861847.db2.gz PJBBBCAMOBFDEY-JFGNBEQYSA-N 0 0 278.308 2.655 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H](C)O[C@H]1C ZINC000602340349 350866033 /nfs/dbraw/zinc/86/60/33/350866033.db2.gz DJKHFNHQRRSFIB-OUJBWJOFSA-N 0 0 292.335 2.909 20 5 CFBDRN C[C@]12CCCC[C@H]1CN2C(=O)c1ccccc1[N+](=O)[O-] ZINC000602402257 350874889 /nfs/dbraw/zinc/87/48/89/350874889.db2.gz WQDHSMDAISHRPV-NHYWBVRUSA-N 0 0 274.320 3.000 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCc1ccccn1 ZINC000112208845 186473815 /nfs/dbraw/zinc/47/38/15/186473815.db2.gz HXXMMCWPFGXBLQ-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN C[C@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)C(F)(F)F ZINC000602660889 350965505 /nfs/dbraw/zinc/96/55/05/350965505.db2.gz AICBRILCODMUMP-LURJTMIESA-N 0 0 289.213 2.776 20 5 CFBDRN CC[C@]1(O)CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000602738644 351009319 /nfs/dbraw/zinc/00/93/19/351009319.db2.gz HEOHQHCXKXRHSS-AWEZNQCLSA-N 0 0 298.770 2.985 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1CN1CC(F)C1 ZINC000602608018 350943714 /nfs/dbraw/zinc/94/37/14/350943714.db2.gz LNGKGZJHULVHKP-UHFFFAOYSA-N 0 0 278.205 2.767 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602811116 351035120 /nfs/dbraw/zinc/03/51/20/351035120.db2.gz KDJAZFSPRMTLQI-PWSUYJOCSA-N 0 0 280.372 2.633 20 5 CFBDRN CN(Cc1ccns1)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000602883575 351057419 /nfs/dbraw/zinc/05/74/19/351057419.db2.gz OUHMVCAIXNTDCO-UHFFFAOYSA-N 0 0 299.302 2.962 20 5 CFBDRN C/C=C\c1cn(Cc2ccc([N+](=O)[O-])c(OCC)c2)nn1 ZINC000603239184 351123786 /nfs/dbraw/zinc/12/37/86/351123786.db2.gz UTADKYFFKPJHBH-HYXAFXHYSA-N 0 0 288.307 2.666 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2C[C@H](C)O[C@@H]2C)ccc1[N+](=O)[O-] ZINC000602925612 351067796 /nfs/dbraw/zinc/06/77/96/351067796.db2.gz JJFIRXIOFWVUFL-HOSYDEDBSA-N 0 0 293.323 2.591 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC=CC1 ZINC000603013301 351091266 /nfs/dbraw/zinc/09/12/66/351091266.db2.gz FWTMCJPJFBTLGC-UHFFFAOYSA-N 0 0 261.281 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3OC4CCC3CC4)nc2c1 ZINC000603030889 351092755 /nfs/dbraw/zinc/09/27/55/351092755.db2.gz HALPOTPJAQZLJO-UHFFFAOYSA-N 0 0 289.291 2.794 20 5 CFBDRN Cc1c(CN2OC3CCC2CC3)cccc1[N+](=O)[O-] ZINC000603032459 351093296 /nfs/dbraw/zinc/09/32/96/351093296.db2.gz LSGUWAFUFRVQQB-UHFFFAOYSA-N 0 0 262.309 2.962 20 5 CFBDRN CCc1nnc(SCc2ccc([N+](=O)[O-])cc2OC)o1 ZINC000603372786 351149677 /nfs/dbraw/zinc/14/96/77/351149677.db2.gz WGQGKIIRPIIYPM-UHFFFAOYSA-N 0 0 295.320 2.841 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOc2ncccn2)c1 ZINC000603404395 351157766 /nfs/dbraw/zinc/15/77/66/351157766.db2.gz XQOUFTXAJGMBMX-UHFFFAOYSA-N 0 0 288.307 2.574 20 5 CFBDRN Cc1cccc(C)c1OCc1cn(C)nc1[N+](=O)[O-] ZINC000603420111 351161032 /nfs/dbraw/zinc/16/10/32/351161032.db2.gz HZYBZHUTSLDQKV-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000603517519 351171854 /nfs/dbraw/zinc/17/18/54/351171854.db2.gz CLOLOYUZYXGQNF-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2nccs2)cc1[N+](=O)[O-] ZINC000603598705 351175237 /nfs/dbraw/zinc/17/52/37/351175237.db2.gz VJHIZQMZOBBTIA-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)OCC(C)C ZINC000603950970 351193979 /nfs/dbraw/zinc/19/39/79/351193979.db2.gz ZBWDBSBJLTYTIP-JTQLQIEISA-N 0 0 296.323 2.603 20 5 CFBDRN COc1ccc(CNc2cccnc2[N+](=O)[O-])cc1F ZINC000603849930 351186173 /nfs/dbraw/zinc/18/61/73/351186173.db2.gz PFNVRDDKVJJIQG-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1c(F)cccc1F ZINC000603868692 351188244 /nfs/dbraw/zinc/18/82/44/351188244.db2.gz OEVBPRHVDJTMQM-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN CCC(F)(F)Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000603319023 351138063 /nfs/dbraw/zinc/13/80/63/351138063.db2.gz PHLDFSUAQGBNGF-UHFFFAOYSA-N 0 0 282.250 2.899 20 5 CFBDRN Cc1nn(C[C@@H](C)C(F)(F)F)c2ncc([N+](=O)[O-])cc12 ZINC000603323449 351139389 /nfs/dbraw/zinc/13/93/89/351139389.db2.gz CDGNPGCIBCFCFG-ZCFIWIBFSA-N 0 0 288.229 2.846 20 5 CFBDRN CC1(C)C[C@H]1Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000604270677 351203751 /nfs/dbraw/zinc/20/37/51/351203751.db2.gz JWDQFXILAOTGGJ-CYBMUJFWSA-N 0 0 289.335 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)Cc2ccco2)s1 ZINC000604408838 351211344 /nfs/dbraw/zinc/21/13/44/351211344.db2.gz ZUWYVXNWNBHHLI-SECBINFHSA-N 0 0 282.321 2.512 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@@H]1C1CC1 ZINC000291266392 197972869 /nfs/dbraw/zinc/97/28/69/197972869.db2.gz ZEBDMQXXLIAPFM-CYBMUJFWSA-N 0 0 292.310 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(Cl)o2)nc1 ZINC000604455892 351213854 /nfs/dbraw/zinc/21/38/54/351213854.db2.gz XWTSVRBZGQCLOH-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN CCCN(Cc1ccccc1F)c1c([N+](=O)[O-])ncn1C ZINC000604491969 351214487 /nfs/dbraw/zinc/21/44/87/351214487.db2.gz KAGROIQRNVJRPW-UHFFFAOYSA-N 0 0 292.314 2.884 20 5 CFBDRN CN(Cc1ccsc1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000604485080 351214629 /nfs/dbraw/zinc/21/46/29/351214629.db2.gz ALWLKJRJYDWJAF-UHFFFAOYSA-N 0 0 290.344 2.857 20 5 CFBDRN Cc1cc(Oc2cc3c(nn2)CCC3)ccc1[N+](=O)[O-] ZINC000604499983 351215042 /nfs/dbraw/zinc/21/50/42/351215042.db2.gz FLSHPYZSRQZIRE-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1CC(C)(C)C ZINC000604511292 351215756 /nfs/dbraw/zinc/21/57/56/351215756.db2.gz LXVRIHXTFMLARH-NSHDSACASA-N 0 0 293.367 2.974 20 5 CFBDRN C[C@H]1c2ccccc2OCCN1c1ncccc1[N+](=O)[O-] ZINC000604557759 351219091 /nfs/dbraw/zinc/21/90/91/351219091.db2.gz MSLWGCVRSUNSBW-NSHDSACASA-N 0 0 285.303 2.950 20 5 CFBDRN CC(C)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000117591586 186753284 /nfs/dbraw/zinc/75/32/84/186753284.db2.gz FZBXDMMILSKSNW-UHFFFAOYSA-N 0 0 270.716 2.658 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CO[C@@H](C)CC ZINC000291374301 198004072 /nfs/dbraw/zinc/00/40/72/198004072.db2.gz DJYPCCQGHWFTRK-JTQLQIEISA-N 0 0 280.324 2.911 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCCc2ccccc2C1 ZINC000609289050 351494986 /nfs/dbraw/zinc/49/49/86/351494986.db2.gz PDOINZMKGHUPKO-UHFFFAOYSA-N 0 0 269.304 2.943 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCc2sccc2C1 ZINC000609332135 351495603 /nfs/dbraw/zinc/49/56/03/351495603.db2.gz KVQVBNSMHDPDRO-UHFFFAOYSA-N 0 0 291.332 2.573 20 5 CFBDRN CC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2)C(C)(C)CO1 ZINC000609646250 351514051 /nfs/dbraw/zinc/51/40/51/351514051.db2.gz MZDWPTKQFRFQMQ-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN CC1=C(C(=O)Nc2cc([N+](=O)[O-])ccc2F)SCCO1 ZINC000291408150 198013496 /nfs/dbraw/zinc/01/34/96/198013496.db2.gz FGJPIKLBOUSLQZ-UHFFFAOYSA-N 0 0 298.295 2.667 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](CO)Nc1ccc([N+](=O)[O-])cn1 ZINC000609496287 351504532 /nfs/dbraw/zinc/50/45/32/351504532.db2.gz FGZAEOKHSUBDBV-RISCZKNCSA-N 0 0 287.319 2.566 20 5 CFBDRN CN(CCNc1ccc([N+](=O)[O-])s1)CC(F)(F)F ZINC000609563970 351507858 /nfs/dbraw/zinc/50/78/58/351507858.db2.gz IYZJKMCESPLALX-UHFFFAOYSA-N 0 0 283.275 2.562 20 5 CFBDRN CC[C@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])C1CCCCC1 ZINC000608783972 351470739 /nfs/dbraw/zinc/47/07/39/351470739.db2.gz WAJLRDNWAUHWQD-NSHDSACASA-N 0 0 294.355 2.715 20 5 CFBDRN C[C@@H](C[C@H]1CCCO1)Nc1ccccc1[N+](=O)[O-] ZINC000608831515 351478781 /nfs/dbraw/zinc/47/87/81/351478781.db2.gz IMEYENUPOGWPBJ-WDEREUQCSA-N 0 0 250.298 2.964 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000609011715 351483895 /nfs/dbraw/zinc/48/38/95/351483895.db2.gz BXWXRKVRACPIFS-WDEREUQCSA-N 0 0 294.351 2.697 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000609130103 351485718 /nfs/dbraw/zinc/48/57/18/351485718.db2.gz NWCBAWXGRHQIBE-ZDUSSCGKSA-N 0 0 289.335 2.651 20 5 CFBDRN COc1ccc2c(c1)CCCN(c1cccnc1[N+](=O)[O-])C2 ZINC000609132842 351485873 /nfs/dbraw/zinc/48/58/73/351485873.db2.gz LTOIVYYQNPSBQO-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1C1CC1 ZINC000609139481 351486729 /nfs/dbraw/zinc/48/67/29/351486729.db2.gz NUAINHDLVWISOP-CQSZACIVSA-N 0 0 289.335 2.679 20 5 CFBDRN COc1ccc2c(c1)CCCN(c1ccc([N+](=O)[O-])nc1)C2 ZINC000609797221 351519616 /nfs/dbraw/zinc/51/96/16/351519616.db2.gz SXALWQKBUISXLV-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CSc3ccccc32)c1[N+](=O)[O-] ZINC000609797771 351519879 /nfs/dbraw/zinc/51/98/79/351519879.db2.gz SDYPIQKVEHIZSG-SNVBAGLBSA-N 0 0 290.348 2.896 20 5 CFBDRN CCN(CCC(F)(F)F)c1ccc([N+](=O)[O-])nc1 ZINC000609796983 351519972 /nfs/dbraw/zinc/51/99/72/351519972.db2.gz HAPCWVCTDNJQQT-UHFFFAOYSA-N 0 0 263.219 2.769 20 5 CFBDRN CC(C)c1cc(CNc2ccc([N+](=O)[O-])nc2)on1 ZINC000609797348 351519996 /nfs/dbraw/zinc/51/99/96/351519996.db2.gz OIODNAGIBOTSGW-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN CCCCN(C)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000609848505 351523014 /nfs/dbraw/zinc/52/30/14/351523014.db2.gz WTKHPBVSIZHIEP-UHFFFAOYSA-N 0 0 260.297 2.774 20 5 CFBDRN O=C(Nc1c(O)cccc1F)c1ccc([N+](=O)[O-])cc1 ZINC000609851221 351523290 /nfs/dbraw/zinc/52/32/90/351523290.db2.gz VSZKXYTYUQEXPB-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCc2ccno2)cc1 ZINC000609918370 351527348 /nfs/dbraw/zinc/52/73/48/351527348.db2.gz WXJBZBWUOBGHAI-UHFFFAOYSA-N 0 0 280.305 2.895 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCCCC1CC1 ZINC000609904758 351527362 /nfs/dbraw/zinc/52/73/62/351527362.db2.gz YAIWIDXGGZOTLV-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000609972499 351529380 /nfs/dbraw/zinc/52/93/80/351529380.db2.gz LKTGDKVVTIJRRL-LLVKDONJSA-N 0 0 292.335 2.545 20 5 CFBDRN CC(C)c1cnc(NC(=O)c2cc([N+](=O)[O-])cn2C)s1 ZINC000610236726 351542515 /nfs/dbraw/zinc/54/25/15/351542515.db2.gz GRIUMPKFKREUAD-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN C[C@]1(c2ccccc2)C[C@@H]1Nc1ccncc1[N+](=O)[O-] ZINC000610280228 351548168 /nfs/dbraw/zinc/54/81/68/351548168.db2.gz JFWYLCUQWUBLET-LSDHHAIUSA-N 0 0 269.304 2.554 20 5 CFBDRN CC1(C)CN(Cc2ccccc2[N+](=O)[O-])C(C)(C)CO1 ZINC000609671986 351516061 /nfs/dbraw/zinc/51/60/61/351516061.db2.gz SUUMBRXXZISPKB-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@H](C(C)(C)C)CC1 ZINC000609704453 351516366 /nfs/dbraw/zinc/51/63/66/351516366.db2.gz RKHKOVNVVCVNCR-LLVKDONJSA-N 0 0 280.372 2.981 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@H]1CCc2ccccc2C1 ZINC000609765787 351518344 /nfs/dbraw/zinc/51/83/44/351518344.db2.gz UPJIOYQINCKMSY-LBPRGKRZSA-N 0 0 283.331 2.629 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2cccnc2[N+](=O)[O-])C1(C)C ZINC000610411151 351563572 /nfs/dbraw/zinc/56/35/72/351563572.db2.gz WVVGSJJMNWOTIN-GWCFXTLKSA-N 0 0 265.313 2.605 20 5 CFBDRN Cc1cccc2c1CCCN2CCn1cc([N+](=O)[O-])cn1 ZINC000610453343 351567862 /nfs/dbraw/zinc/56/78/62/351567862.db2.gz SSDIZRZFSJFGEN-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000610489594 351570566 /nfs/dbraw/zinc/57/05/66/351570566.db2.gz LPMBQTWXGZTXNJ-SECBINFHSA-N 0 0 256.327 2.519 20 5 CFBDRN C[C@H](O)CNc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000120207415 186882669 /nfs/dbraw/zinc/88/26/69/186882669.db2.gz IBVVKXRSZAIPPU-VIFPVBQESA-N 0 0 268.313 2.565 20 5 CFBDRN CCCCO[C@H]1C[C@@H](Nc2ccncc2[N+](=O)[O-])C1(C)C ZINC000610510538 351574624 /nfs/dbraw/zinc/57/46/24/351574624.db2.gz SDBLJAABNFGKGU-KGLIPLIRSA-N 0 0 293.367 2.807 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H](CO)c1ccccc1 ZINC000610516849 351575506 /nfs/dbraw/zinc/57/55/06/351575506.db2.gz DXOHMGXSTNBHMU-NSHDSACASA-N 0 0 278.333 2.844 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])s1)c1nnc2ccccn21 ZINC000610514688 351575555 /nfs/dbraw/zinc/57/55/55/351575555.db2.gz ORQGWSKOHKACOP-MRVPVSSYSA-N 0 0 289.320 2.872 20 5 CFBDRN CCC[C@@H]1[C@H](C)CCCN1c1c([N+](=O)[O-])ncn1C ZINC000610518963 351576262 /nfs/dbraw/zinc/57/62/62/351576262.db2.gz FPWUIMFUANWQMM-GHMZBOCLSA-N 0 0 266.345 2.733 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000610519364 351576485 /nfs/dbraw/zinc/57/64/85/351576485.db2.gz RJIGYDDAYFHCJU-UHFFFAOYSA-N 0 0 290.279 2.868 20 5 CFBDRN C[C@@H](C1CC1)[N@H+](C)Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000610580927 351583203 /nfs/dbraw/zinc/58/32/03/351583203.db2.gz CGKCHEPAOAKZTJ-VIFPVBQESA-N 0 0 275.308 2.966 20 5 CFBDRN CO[C@@H](C)c1nc(CNc2cccnc2[N+](=O)[O-])cs1 ZINC000610648343 351587359 /nfs/dbraw/zinc/58/73/59/351587359.db2.gz WSNXKYGOKPEQHG-QMMMGPOBSA-N 0 0 294.336 2.766 20 5 CFBDRN COc1ccc(CN(C)Cc2ccc([N+](=O)[O-])cc2)cn1 ZINC000610661981 351589163 /nfs/dbraw/zinc/58/91/63/351589163.db2.gz FBCLJEBZKJQYBW-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN Cc1ccccc1CC1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000610660429 351589510 /nfs/dbraw/zinc/58/95/10/351589510.db2.gz PTNQIYZNIPQWPJ-UHFFFAOYSA-N 0 0 299.330 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H](C(F)(F)F)C2)c1 ZINC000610677596 351591068 /nfs/dbraw/zinc/59/10/68/351591068.db2.gz ZPHZSJNIBSNADH-NSHDSACASA-N 0 0 290.241 2.671 20 5 CFBDRN Cc1cc(C(=O)NC2(C(F)(F)F)CC2)cc([N+](=O)[O-])c1 ZINC000610704374 351594403 /nfs/dbraw/zinc/59/44/03/351594403.db2.gz SGSQYJNBTHPOMC-UHFFFAOYSA-N 0 0 288.225 2.728 20 5 CFBDRN Cc1cccc(CC2CN(c3cccnc3[N+](=O)[O-])C2)c1 ZINC000610716403 351596490 /nfs/dbraw/zinc/59/64/90/351596490.db2.gz DKYNXDNYDNIENR-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000610734454 351599228 /nfs/dbraw/zinc/59/92/28/351599228.db2.gz BVEJATUQUOTUFV-QWRGUYRKSA-N 0 0 293.323 2.531 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000610734988 351600536 /nfs/dbraw/zinc/60/05/36/351600536.db2.gz YPRHFKFQEPLISM-IUCAKERBSA-N 0 0 285.731 2.870 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCO[C@H](C(C)C)C1 ZINC000271437795 191138201 /nfs/dbraw/zinc/13/82/01/191138201.db2.gz NTQRJQJKWZPGPQ-AAEUAGOBSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1nc(NCC(C)(C)[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000610755441 351604562 /nfs/dbraw/zinc/60/45/62/351604562.db2.gz LUULLGDTRGZNEP-CYBMUJFWSA-N 0 0 293.367 2.727 20 5 CFBDRN Cc1ccc2c(c1)[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)CC2 ZINC000610771482 351606267 /nfs/dbraw/zinc/60/62/67/351606267.db2.gz SGRMNWFXZDCYCV-CQSZACIVSA-N 0 0 297.314 2.716 20 5 CFBDRN COc1cc(C)ccc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000610770921 351606635 /nfs/dbraw/zinc/60/66/35/351606635.db2.gz QCVPYIZOORQSJE-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CCC(F)(F)C1 ZINC000610787557 351608134 /nfs/dbraw/zinc/60/81/34/351608134.db2.gz UZCKLBKCJISFLB-SNVBAGLBSA-N 0 0 299.277 2.582 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCOC2(C1)CCCCCC2 ZINC000610786482 351608285 /nfs/dbraw/zinc/60/82/85/351608285.db2.gz CMABDEFRYQGRFC-UHFFFAOYSA-N 0 0 291.351 2.919 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000610807014 351610633 /nfs/dbraw/zinc/61/06/33/351610633.db2.gz XGNADEICEFIIFZ-ZANVPECISA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]([C@@H]3CCOC3)C2)s1 ZINC000611001994 351632463 /nfs/dbraw/zinc/63/24/63/351632463.db2.gz VMNARJZJHFNSAQ-VHSXEESVSA-N 0 0 268.338 2.519 20 5 CFBDRN CC(C)C1CN(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000611076247 351637485 /nfs/dbraw/zinc/63/74/85/351637485.db2.gz YPYPEOAPISYYLT-NSHDSACASA-N 0 0 291.351 2.953 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C(C)C)C1 ZINC000611079117 351638589 /nfs/dbraw/zinc/63/85/89/351638589.db2.gz LLLDQSSLDPUNSC-UHFFFAOYSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1ccc(CC(=O)N2CCc3ccc([N+](=O)[O-])cc32)o1 ZINC000611080911 351639312 /nfs/dbraw/zinc/63/93/12/351639312.db2.gz LKPQFBYSNQKKOT-UHFFFAOYSA-N 0 0 286.287 2.628 20 5 CFBDRN O=C(CCn1cccc1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000611093704 351640364 /nfs/dbraw/zinc/64/03/64/351640364.db2.gz KYRMKNOQFCHBKS-UHFFFAOYSA-N 0 0 299.330 2.766 20 5 CFBDRN CCC1(CNC(=O)NCCc2cccc([N+](=O)[O-])c2)CC1 ZINC000120480014 186902538 /nfs/dbraw/zinc/90/25/38/186902538.db2.gz QVPSAPKJUKDOES-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN C[C@H](NC(=O)NCc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000611120448 351642203 /nfs/dbraw/zinc/64/22/03/351642203.db2.gz ICUUKOTZTJZOFZ-JTQLQIEISA-N 0 0 277.324 2.583 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])c3cnccc23)ncn1 ZINC000611132956 351643822 /nfs/dbraw/zinc/64/38/22/351643822.db2.gz POJLCFJVJQWUQZ-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN CO[C@@H](C)[C@@H](C)NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000291474022 198031187 /nfs/dbraw/zinc/03/11/87/198031187.db2.gz QTEQKAOXTWNJEE-ZGFRAZBVSA-N 0 0 292.335 2.538 20 5 CFBDRN Cc1cc(Nc2cnn(C)c2C(F)F)ncc1[N+](=O)[O-] ZINC000611143856 351645018 /nfs/dbraw/zinc/64/50/18/351645018.db2.gz YHNFCHHXWMTQCS-UHFFFAOYSA-N 0 0 283.238 2.713 20 5 CFBDRN CCC[C@@H]1CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000611144070 351645195 /nfs/dbraw/zinc/64/51/95/351645195.db2.gz LDPHCMLAYJPBTO-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC[C@H](C(F)(F)F)C2)c1 ZINC000611177113 351646906 /nfs/dbraw/zinc/64/69/06/351646906.db2.gz UGQNSVMSBLCIAR-JTQLQIEISA-N 0 0 274.242 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(C2)CC(F)(F)C3)cc1 ZINC000611179316 351647617 /nfs/dbraw/zinc/64/76/17/351647617.db2.gz AMEZARBYVHYPOY-UHFFFAOYSA-N 0 0 268.263 2.826 20 5 CFBDRN CC(C)(C)Oc1cc(N2CCCOCC2)ccc1[N+](=O)[O-] ZINC000611203117 351651867 /nfs/dbraw/zinc/65/18/67/351651867.db2.gz COHGQQGHZTYZHV-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN CC[N@@H+]1C[C@@H](C)N(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H]1C ZINC000611221661 351652349 /nfs/dbraw/zinc/65/23/49/351652349.db2.gz JHPBMGQDRIYHEM-VHSXEESVSA-N 0 0 298.774 2.562 20 5 CFBDRN CC(C)CC[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000611254207 351657993 /nfs/dbraw/zinc/65/79/93/351657993.db2.gz QLFWYKBXRIGQRN-UHFFFAOYSA-N 0 0 252.314 2.778 20 5 CFBDRN Cc1cc(CSc2ccc([N+](=O)[O-])cc2)nn1C ZINC000610858131 351615558 /nfs/dbraw/zinc/61/55/58/351615558.db2.gz REDBMMGHFOGUKT-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN Cc1cc(COc2cccc([N+](=O)[O-])c2C)nn1C ZINC000610861949 351616907 /nfs/dbraw/zinc/61/69/07/351616907.db2.gz JPDDHCHTZLUHES-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC(C(F)F)C1 ZINC000610889180 351619068 /nfs/dbraw/zinc/61/90/68/351619068.db2.gz GGJKVKYBCWOLSY-UHFFFAOYSA-N 0 0 279.246 2.844 20 5 CFBDRN Cc1ccc(C(=O)N2CC3(C2)CC(F)(F)C3)cc1[N+](=O)[O-] ZINC000610968178 351627134 /nfs/dbraw/zinc/62/71/34/351627134.db2.gz CRUYKVOWMSYWTC-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000611373696 351677210 /nfs/dbraw/zinc/67/72/10/351677210.db2.gz TWDPLWCMKFFBJY-KCJUWKMLSA-N 0 0 285.731 2.870 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1ncc(C)cc1[N+](=O)[O-] ZINC000611373605 351677355 /nfs/dbraw/zinc/67/73/55/351677355.db2.gz JPIRXXNATTXXPC-PWSUYJOCSA-N 0 0 265.313 2.525 20 5 CFBDRN CN(C)c1ncccc1CNc1sccc1[N+](=O)[O-] ZINC000611411478 351684998 /nfs/dbraw/zinc/68/49/98/351684998.db2.gz FGVQIIBBQSTFIY-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H](O)COc1ccccc1 ZINC000611411544 351685322 /nfs/dbraw/zinc/68/53/22/351685322.db2.gz MRSBZWNQDXUIMS-SNVBAGLBSA-N 0 0 294.332 2.508 20 5 CFBDRN Cc1noc(C)c1CNc1sccc1[N+](=O)[O-] ZINC000611412780 351685910 /nfs/dbraw/zinc/68/59/10/351685910.db2.gz ZIFOPVAQRTWEMO-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN COc1cccc(CCNc2sccc2[N+](=O)[O-])n1 ZINC000611413276 351686099 /nfs/dbraw/zinc/68/60/99/351686099.db2.gz BFXCURUJDIGHHD-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN C[C@H]1CCN(c2sccc2[N+](=O)[O-])C[C@H]1n1ccnc1 ZINC000611413607 351687035 /nfs/dbraw/zinc/68/70/35/351687035.db2.gz HYYYKBSYLTVOIE-CMPLNLGQSA-N 0 0 292.364 2.940 20 5 CFBDRN CC(C)(CCCO)CNc1sccc1[N+](=O)[O-] ZINC000611413987 351687049 /nfs/dbraw/zinc/68/70/49/351687049.db2.gz ADOZRSNNDLKELS-UHFFFAOYSA-N 0 0 258.343 2.867 20 5 CFBDRN CN(CCc1nccs1)c1sccc1[N+](=O)[O-] ZINC000611414742 351687309 /nfs/dbraw/zinc/68/73/09/351687309.db2.gz TVPYXHKITWKOKU-UHFFFAOYSA-N 0 0 269.351 2.792 20 5 CFBDRN Cc1c([C@@H](C)NCc2cocn2)cccc1[N+](=O)[O-] ZINC000611474175 351695252 /nfs/dbraw/zinc/69/52/52/351695252.db2.gz IYXRHKPHBQZTKZ-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN CC1(C)C[C@H](Nc2ccc([N+](=O)[O-])nc2)C(C)(C)O1 ZINC000611494526 351697214 /nfs/dbraw/zinc/69/72/14/351697214.db2.gz UCVVJAWBKSHORS-JTQLQIEISA-N 0 0 265.313 2.748 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1sccc1[N+](=O)[O-] ZINC000611494849 351697221 /nfs/dbraw/zinc/69/72/21/351697221.db2.gz FPCDHLULGHUULC-MWLCHTKSSA-N 0 0 270.354 2.644 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000611494580 351697457 /nfs/dbraw/zinc/69/74/57/351697457.db2.gz DBVKNXYNOXMSHI-JTQLQIEISA-N 0 0 256.327 2.518 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000611505313 351700475 /nfs/dbraw/zinc/70/04/75/351700475.db2.gz BXWCWMIESNAZMM-CABZTGNLSA-N 0 0 280.299 2.723 20 5 CFBDRN COc1cc(N2CC=CCC2)c([N+](=O)[O-])cc1F ZINC000611509866 351701787 /nfs/dbraw/zinc/70/17/87/351701787.db2.gz DCGPZAYCMYSAHQ-UHFFFAOYSA-N 0 0 252.245 2.509 20 5 CFBDRN CC(C)c1nccn1CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000611537739 351705226 /nfs/dbraw/zinc/70/52/26/351705226.db2.gz XUAPOFOKAIEUPI-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN COCCOCCCCNc1sccc1[N+](=O)[O-] ZINC000611290150 351664369 /nfs/dbraw/zinc/66/43/69/351664369.db2.gz KVFDYDYALYBOKF-UHFFFAOYSA-N 0 0 274.342 2.511 20 5 CFBDRN Cc1ccc2c(c1)CCN2c1cccnc1[N+](=O)[O-] ZINC000611338279 351669669 /nfs/dbraw/zinc/66/96/69/351669669.db2.gz QZAXESDGLULESE-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN COCC1(C)CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000611338959 351670972 /nfs/dbraw/zinc/67/09/72/351670972.db2.gz GJGRDORZSKXWCY-UHFFFAOYSA-N 0 0 270.354 2.909 20 5 CFBDRN CCNc1nnc(Sc2nc(C)ccc2[N+](=O)[O-])s1 ZINC000120732602 186921504 /nfs/dbraw/zinc/92/15/04/186921504.db2.gz WMDRCVIHXLDSQS-UHFFFAOYSA-N 0 0 297.365 2.733 20 5 CFBDRN C[C@@H](CNc1ccsc1[N+](=O)[O-])Cn1ccnc1 ZINC000611370246 351675291 /nfs/dbraw/zinc/67/52/91/351675291.db2.gz MQVQSIWXHTUOGZ-VIFPVBQESA-N 0 0 266.326 2.601 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)[C@@H]2CCCOC2)n1 ZINC000611617489 351716346 /nfs/dbraw/zinc/71/63/46/351716346.db2.gz BAEASJJZYWKDTG-VXGBXAGGSA-N 0 0 279.340 2.834 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000611931427 351751653 /nfs/dbraw/zinc/75/16/53/351751653.db2.gz SJRXPODEFGTMNZ-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN CN(c1ncnc2ccc([N+](=O)[O-])cc21)C1CCCC1 ZINC000611699480 351725591 /nfs/dbraw/zinc/72/55/91/351725591.db2.gz DBDTYPGZFFOXCY-UHFFFAOYSA-N 0 0 272.308 2.917 20 5 CFBDRN CC1(C)[C@@H]2CN(c3ncnc4ccc([N+](=O)[O-])cc43)C[C@@H]21 ZINC000611705737 351727004 /nfs/dbraw/zinc/72/70/04/351727004.db2.gz GZFQYLJQXQQXPB-TXEJJXNPSA-N 0 0 284.319 2.630 20 5 CFBDRN CC1(C)CCCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611711575 351727732 /nfs/dbraw/zinc/72/77/32/351727732.db2.gz WWEAACSCZISDLN-UHFFFAOYSA-N 0 0 272.308 2.917 20 5 CFBDRN COC[C@H]1CCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000611784227 351740123 /nfs/dbraw/zinc/74/01/23/351740123.db2.gz BAOHTTUMIJFDLM-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN CCc1nnc([C@H](C)Nc2ccc([N+](=O)[O-])c(C)c2)[nH]1 ZINC000271444005 191140908 /nfs/dbraw/zinc/14/09/08/191140908.db2.gz XUUQXDXMHQXECA-VIFPVBQESA-N 0 0 275.312 2.757 20 5 CFBDRN Cc1ccnc(N2CCC[C@@H](OC(F)F)C2)c1[N+](=O)[O-] ZINC000611583653 351712355 /nfs/dbraw/zinc/71/23/55/351712355.db2.gz NKBDSWXGNPNYQS-SECBINFHSA-N 0 0 287.266 2.506 20 5 CFBDRN CCOC[C@H]1CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000611616547 351714335 /nfs/dbraw/zinc/71/43/35/351714335.db2.gz ZESGDBFHEXCBEN-ZDUSSCGKSA-N 0 0 293.367 2.860 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCCC[C@@H]2CO)n1 ZINC000611616789 351715137 /nfs/dbraw/zinc/71/51/37/351715137.db2.gz GXXKUKZHYRMSRI-VXGBXAGGSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@]2(C)CCCC[C@@H]2O)n1 ZINC000611616996 351715456 /nfs/dbraw/zinc/71/54/56/351715456.db2.gz UEIFHGZQOFHBHK-WFASDCNBSA-N 0 0 293.367 2.960 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCO[C@H]2C2CC2)n1 ZINC000611617345 351715636 /nfs/dbraw/zinc/71/56/36/351715636.db2.gz GHBNLCBLSXKKJD-YPMHNXCESA-N 0 0 277.324 2.586 20 5 CFBDRN C[C@H]1C[C@@H](CCNc2cccnc2[N+](=O)[O-])CCO1 ZINC000612103671 351775273 /nfs/dbraw/zinc/77/52/73/351775273.db2.gz FZEJWBMURYIWHU-QWRGUYRKSA-N 0 0 265.313 2.607 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000121153469 186954418 /nfs/dbraw/zinc/95/44/18/186954418.db2.gz UZMVJQBXUXSNHK-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN CC(C)O[C@H](Cn1nccc1[N+](=O)[O-])c1ccccc1 ZINC000291695742 198061089 /nfs/dbraw/zinc/06/10/89/198061089.db2.gz OPXJQHXJLRSYLO-CYBMUJFWSA-N 0 0 275.308 2.958 20 5 CFBDRN CCc1nnc(COc2cc(F)cc([N+](=O)[O-])c2)s1 ZINC000612024359 351762880 /nfs/dbraw/zinc/76/28/80/351762880.db2.gz SZHXJGPPFKFVNR-UHFFFAOYSA-N 0 0 283.284 2.727 20 5 CFBDRN CCN(CC(F)F)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000612451190 351823077 /nfs/dbraw/zinc/82/30/77/351823077.db2.gz KZDBQLVKBHWOJN-UHFFFAOYSA-N 0 0 282.250 2.629 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCCS2)nc2sccn21 ZINC000122104267 187015651 /nfs/dbraw/zinc/01/56/51/187015651.db2.gz RNBBRXVFSDFOAH-SSDOTTSWSA-N 0 0 284.366 2.612 20 5 CFBDRN CSCCCNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000123047185 187067995 /nfs/dbraw/zinc/06/79/95/187067995.db2.gz BGLYQQMMFXPDFC-UHFFFAOYSA-N 0 0 295.364 2.645 20 5 CFBDRN CCCCN(CC)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000122391598 187030024 /nfs/dbraw/zinc/03/00/24/187030024.db2.gz KTJNTXDISBJYEC-UHFFFAOYSA-N 0 0 282.315 2.925 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1CSCCS1 ZINC000122395127 187030362 /nfs/dbraw/zinc/03/03/62/187030362.db2.gz PQHCYCYWHMGFSP-VIFPVBQESA-N 0 0 288.369 2.994 20 5 CFBDRN CC(C)Oc1cncc(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000122403744 187030684 /nfs/dbraw/zinc/03/06/84/187030684.db2.gz KXCILLZPTMCVMJ-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN COCCC1(C(=O)Nc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000122429121 187031575 /nfs/dbraw/zinc/03/15/75/187031575.db2.gz SBKPXKRHQMEMCE-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C[C@H]1F ZINC000612616611 351844280 /nfs/dbraw/zinc/84/42/80/351844280.db2.gz PNWFQUZCPGAISR-LDYMZIIASA-N 0 0 299.277 2.946 20 5 CFBDRN CCOc1cc([N+](=O)[O-])c(C(=O)OC(C)C)cc1OC ZINC000122922952 187059840 /nfs/dbraw/zinc/05/98/40/187059840.db2.gz ZRXVKBQGTOYYCN-UHFFFAOYSA-N 0 0 283.280 2.567 20 5 CFBDRN CN(C[C@@H]1CCCOC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000122990073 187064212 /nfs/dbraw/zinc/06/42/12/187064212.db2.gz FBYQSCIMCYVNFT-VIFPVBQESA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cocn2)n1 ZINC000612935220 351879703 /nfs/dbraw/zinc/87/97/03/351879703.db2.gz BPVGZPJSILPMDQ-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC[C@H]3CCC[C@H]3C2)nc1 ZINC000612785874 351861889 /nfs/dbraw/zinc/86/18/89/351861889.db2.gz WTHHWFHDNZYGSW-OUAUKWLOSA-N 0 0 262.313 2.766 20 5 CFBDRN CCOc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000612778866 351861922 /nfs/dbraw/zinc/86/19/22/351861922.db2.gz SZJPHBZNOCVTTD-SNVBAGLBSA-N 0 0 288.307 2.957 20 5 CFBDRN CC(C)(CO)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000612792821 351862431 /nfs/dbraw/zinc/86/24/31/351862431.db2.gz AMEJHXSGMQURAL-UHFFFAOYSA-N 0 0 290.266 2.627 20 5 CFBDRN Cc1ccncc1CNCc1ccccc1[N+](=O)[O-] ZINC000123248181 187080099 /nfs/dbraw/zinc/08/00/99/187080099.db2.gz GNKNAAKWEWJOLC-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN CC1(C)CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@@H]1C1CC1 ZINC000291902779 198100376 /nfs/dbraw/zinc/10/03/76/198100376.db2.gz LDMNOROXHQAHEQ-CYBMUJFWSA-N 0 0 292.310 2.995 20 5 CFBDRN CCCC[C@H](CC)Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000130916317 187528709 /nfs/dbraw/zinc/52/87/09/187528709.db2.gz YVZRAJIDZUSESA-NSHDSACASA-N 0 0 252.314 2.973 20 5 CFBDRN CC(C)(C(=O)NC1CC=CC1)c1ccc([N+](=O)[O-])cc1F ZINC000612998777 351885783 /nfs/dbraw/zinc/88/57/83/351885783.db2.gz IEWBLYPRVUQWCK-UHFFFAOYSA-N 0 0 292.310 2.846 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2ccc([N+](=O)[O-])cn2)cc1O ZINC000613032403 351891950 /nfs/dbraw/zinc/89/19/50/351891950.db2.gz ICUOKRDJHCITJO-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1coc(C2CC2)n1 ZINC000613072347 351896032 /nfs/dbraw/zinc/89/60/32/351896032.db2.gz XDKKZILZDZVZPX-UHFFFAOYSA-N 0 0 273.248 2.713 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000131475535 187567329 /nfs/dbraw/zinc/56/73/29/187567329.db2.gz SPUXPWXEGDEJHY-MNOVXSKESA-N 0 0 262.309 2.713 20 5 CFBDRN COC[C@H]1CCCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000613435931 351959828 /nfs/dbraw/zinc/95/98/28/351959828.db2.gz QLUKXZCLMDBBBN-JTQLQIEISA-N 0 0 270.354 2.909 20 5 CFBDRN C[C@H](O)C(C)(C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000271456773 191149150 /nfs/dbraw/zinc/14/91/50/191149150.db2.gz ZSJXQDWGJJFEQV-ZETCQYMHSA-N 0 0 290.266 2.768 20 5 CFBDRN O=C(c1coc(C2CC2)n1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000614414858 352091385 /nfs/dbraw/zinc/09/13/85/352091385.db2.gz TYQGFWGBMFFDKV-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN CN(CC1(O)CCCCCC1)c1cccnc1[N+](=O)[O-] ZINC000614528727 352101544 /nfs/dbraw/zinc/10/15/44/352101544.db2.gz XACJYSSJUFJTAR-UHFFFAOYSA-N 0 0 279.340 2.511 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CCC[C@H]1CCO ZINC000614534240 352102377 /nfs/dbraw/zinc/10/23/77/352102377.db2.gz QBKUJFKNMDNDIP-IUCAKERBSA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H]2CCO)s1 ZINC000614534259 352102418 /nfs/dbraw/zinc/10/24/18/352102418.db2.gz ZIYKJJKDDNNLLY-DTWKUNHWSA-N 0 0 256.327 2.619 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1cnoc1 ZINC000615193926 352165726 /nfs/dbraw/zinc/16/57/26/352165726.db2.gz GPGXSRVGAHWDDU-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Nc1ccc([N+](=O)[O-])s1 ZINC000615493758 352199371 /nfs/dbraw/zinc/19/93/71/352199371.db2.gz GISSGICZUHDIGQ-ZETCQYMHSA-N 0 0 266.326 2.871 20 5 CFBDRN Cc1cccc(N2CCO[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000614976641 352138510 /nfs/dbraw/zinc/13/85/10/352138510.db2.gz YYNMZLGCJPRONZ-CYBMUJFWSA-N 0 0 264.325 2.764 20 5 CFBDRN CCOc1cc(N2C[C@H](C)O[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000614980394 352139275 /nfs/dbraw/zinc/13/92/75/352139275.db2.gz PNIZTEUPSASBOF-SDDRHHMPSA-N 0 0 294.351 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ncsc3C2)s1 ZINC000614982638 352139768 /nfs/dbraw/zinc/13/97/68/352139768.db2.gz ROVYRDRRGHBVSH-UHFFFAOYSA-N 0 0 267.335 2.676 20 5 CFBDRN C[C@H]1COCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000378265218 290951793 /nfs/dbraw/zinc/95/17/93/290951793.db2.gz ZRHFBBKXMKAWTC-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN Cc1ccc(C[C@H](CO)Nc2ccc([N+](=O)[O-])s2)cc1 ZINC000615528564 352204798 /nfs/dbraw/zinc/20/47/98/352204798.db2.gz WHPSIESYUBJOGA-GFCCVEGCSA-N 0 0 292.360 2.980 20 5 CFBDRN C[C@@H]1C[C@@H](O)CN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000615945759 352266052 /nfs/dbraw/zinc/26/60/52/352266052.db2.gz AABSOIIYRKTUTE-DGCLKSJQSA-N 0 0 299.330 2.616 20 5 CFBDRN CC(C)[C@H](CNc1ccc([N+](=O)[O-])nc1)c1ccnn1C ZINC000615944111 352266278 /nfs/dbraw/zinc/26/62/78/352266278.db2.gz LPVDEKOVARAZGV-LBPRGKRZSA-N 0 0 289.339 2.575 20 5 CFBDRN CC[C@H](C)Cn1cc(Br)c(=O)c([N+](=O)[O-])c1 ZINC000132651422 187639961 /nfs/dbraw/zinc/63/99/61/187639961.db2.gz PMZMUAGKAAZRRB-ZETCQYMHSA-N 0 0 289.129 2.565 20 5 CFBDRN CCn1ccc(CN(C)c2ccc([N+](=O)[O-])c(N)c2F)c1 ZINC000616383608 352337485 /nfs/dbraw/zinc/33/74/85/352337485.db2.gz XTESNBKQSDLVFL-UHFFFAOYSA-N 0 0 292.314 2.774 20 5 CFBDRN Cc1c(CN(C)Cc2ccccn2)cccc1[N+](=O)[O-] ZINC000295159268 199378895 /nfs/dbraw/zinc/37/88/95/199378895.db2.gz MZJHFAFOVSBRQY-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN CC(C)[C@@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000271532133 191196848 /nfs/dbraw/zinc/19/68/48/191196848.db2.gz CDYXRZMZHCNOCE-OCCSQVGLSA-N 0 0 292.335 2.528 20 5 CFBDRN Cc1nc(N[C@@H](C)C[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000134094833 187725734 /nfs/dbraw/zinc/72/57/34/187725734.db2.gz ZHVGHYGOLJJVGN-ONGXEEELSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)[C@H]1CCCO1 ZINC000133887273 187713167 /nfs/dbraw/zinc/71/31/67/187713167.db2.gz WHWOTURWXJQMFZ-UONOGXRCSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1CSCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000617003372 352460086 /nfs/dbraw/zinc/46/00/86/352460086.db2.gz XIAIJBMGHISKTH-QMMMGPOBSA-N 0 0 258.368 2.846 20 5 CFBDRN C[C@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])CCCO1 ZINC000292096745 198160900 /nfs/dbraw/zinc/16/09/00/198160900.db2.gz YZLWLOCKSTXNJA-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1Cc2cccc(O)c2C1 ZINC000617480664 352571410 /nfs/dbraw/zinc/57/14/10/352571410.db2.gz JZGILFFFRJBLER-SECBINFHSA-N 0 0 276.317 2.941 20 5 CFBDRN CCC[C@H]1SCCN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000135045723 187791221 /nfs/dbraw/zinc/79/12/21/187791221.db2.gz ZHCQZGPUEOJSQS-GFCCVEGCSA-N 0 0 295.364 2.613 20 5 CFBDRN C[C@H](C(=O)Nc1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000617690380 352629329 /nfs/dbraw/zinc/62/93/29/352629329.db2.gz DXAYRAVZKRXGAM-QMMMGPOBSA-N 0 0 277.305 2.794 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC(C)C)c1 ZINC000136291850 187873710 /nfs/dbraw/zinc/87/37/10/187873710.db2.gz RVTUELMTYFSUQR-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN CC[C@@H]1CCN(c2cc(C(=O)OC)ccc2[N+](=O)[O-])C1 ZINC000136307359 187873957 /nfs/dbraw/zinc/87/39/57/187873957.db2.gz XZAVCNCJLSLRMN-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN CC[C@H](C)CONC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000292170332 198189643 /nfs/dbraw/zinc/18/96/43/198189643.db2.gz UTJFZOPDQAUGSK-QMMMGPOBSA-N 0 0 286.715 2.956 20 5 CFBDRN C[C@H](NC(=O)NC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000271639815 191252589 /nfs/dbraw/zinc/25/25/89/191252589.db2.gz RDTJNDRFZGSKQA-JTQLQIEISA-N 0 0 277.324 2.898 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H](C)c2cnn(C)c2C)c1 ZINC000271641451 191255634 /nfs/dbraw/zinc/25/56/34/191255634.db2.gz PRYCCBVDALBDTF-VIFPVBQESA-N 0 0 290.323 2.818 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000292175443 198191188 /nfs/dbraw/zinc/19/11/88/198191188.db2.gz VHTLTUSXAVWJLG-UHFFFAOYSA-N 0 0 297.330 2.824 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000292175262 198191907 /nfs/dbraw/zinc/19/19/07/198191907.db2.gz VEEKXKFGSIMOAS-UHFFFAOYSA-N 0 0 297.330 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1nccs1 ZINC000618633757 352846351 /nfs/dbraw/zinc/84/63/51/352846351.db2.gz JOVMVFRKADWAKO-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1nc(C)c(CN(C)Cc2ccccc2[N+](=O)[O-])o1 ZINC000618903851 352908334 /nfs/dbraw/zinc/90/83/34/352908334.db2.gz KZHXJEPNXWQHHQ-UHFFFAOYSA-N 0 0 275.308 2.832 20 5 CFBDRN CC(=O)Nc1ccc(CNc2ccsc2[N+](=O)[O-])cn1 ZINC000618976988 352924637 /nfs/dbraw/zinc/92/46/37/352924637.db2.gz TVWIHJQRHLBLSY-UHFFFAOYSA-N 0 0 292.320 2.622 20 5 CFBDRN Cc1cc(NC(=O)N2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000619002107 352930713 /nfs/dbraw/zinc/93/07/13/352930713.db2.gz RXYVQNLMGGTSTB-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN CC(C)(NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21)C(F)F ZINC000619421793 353019513 /nfs/dbraw/zinc/01/95/13/353019513.db2.gz FSAXOWMPYXTHRB-UHFFFAOYSA-N 0 0 297.261 2.850 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC(F)(F)CC1 ZINC000619457188 353026491 /nfs/dbraw/zinc/02/64/91/353026491.db2.gz ICIZOSSVZVXMBM-UHFFFAOYSA-N 0 0 276.264 2.528 20 5 CFBDRN C[C@H](O)CCCSc1ccc([N+](=O)[O-])cc1F ZINC000619460459 353026892 /nfs/dbraw/zinc/02/68/92/353026892.db2.gz RHPQEZMJRLFPQR-QMMMGPOBSA-N 0 0 259.302 2.987 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H](C)c1ccncc1 ZINC000619484344 353028133 /nfs/dbraw/zinc/02/81/33/353028133.db2.gz OEXLSUXCDNOFGR-JTQLQIEISA-N 0 0 289.339 2.730 20 5 CFBDRN CCC(=O)c1ccc(NCCSC)c([N+](=O)[O-])c1 ZINC000619473990 353028201 /nfs/dbraw/zinc/02/82/01/353028201.db2.gz MALYAEGKLKTCBB-UHFFFAOYSA-N 0 0 268.338 2.962 20 5 CFBDRN COc1cnccc1CNc1ccccc1[N+](=O)[O-] ZINC000619570142 353036905 /nfs/dbraw/zinc/03/69/05/353036905.db2.gz MRSKJJZKDPEVNN-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN Cc1cnc(N2CC(C3CCCC3)C2)c([N+](=O)[O-])c1 ZINC000619503570 353030406 /nfs/dbraw/zinc/03/04/06/353030406.db2.gz NQIBFNXZSPQRKY-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2C[C@@H]2c2cccnc2)ccc1[N+](=O)[O-] ZINC000619567493 353037119 /nfs/dbraw/zinc/03/71/19/353037119.db2.gz CWTUJGWYKHXEPI-KGLIPLIRSA-N 0 0 297.314 2.584 20 5 CFBDRN C[C@@H](NC(=O)c1c(N)cccc1[N+](=O)[O-])c1ccsc1 ZINC000619777466 353066019 /nfs/dbraw/zinc/06/60/19/353066019.db2.gz ALYXGNZTICVGHV-MRVPVSSYSA-N 0 0 291.332 2.730 20 5 CFBDRN CCC(C)(C)N(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000619591013 353038727 /nfs/dbraw/zinc/03/87/27/353038727.db2.gz UYLBLKNHCWKUEX-UHFFFAOYSA-N 0 0 293.367 2.967 20 5 CFBDRN Cc1ccncc1[C@@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000619697267 353052345 /nfs/dbraw/zinc/05/23/45/353052345.db2.gz WTSPWLQGRMTPRJ-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@H]2C(F)(F)F)n1 ZINC000619698256 353053135 /nfs/dbraw/zinc/05/31/35/353053135.db2.gz WKQHLFIJOPFCLM-HTQZYQBOSA-N 0 0 275.230 2.969 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CC1(C)C ZINC000152213475 188002896 /nfs/dbraw/zinc/00/28/96/188002896.db2.gz NLADAVWMUHETDS-SECBINFHSA-N 0 0 265.265 2.555 20 5 CFBDRN Cc1nnsc1CNc1ccc([N+](=O)[O-])cc1C ZINC000292282972 198229815 /nfs/dbraw/zinc/22/98/15/198229815.db2.gz MJARGWVGKOLUIV-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000292278632 198228025 /nfs/dbraw/zinc/22/80/25/198228025.db2.gz SQWKZLBMNROWRY-SSDOTTSWSA-N 0 0 272.326 2.672 20 5 CFBDRN Cc1cc(N2CC[C@@H](n3cccn3)C2)ccc1[N+](=O)[O-] ZINC000292283881 198230764 /nfs/dbraw/zinc/23/07/64/198230764.db2.gz JVKOQEUBDYSVCX-CYBMUJFWSA-N 0 0 272.308 2.551 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC000152546772 188027517 /nfs/dbraw/zinc/02/75/17/188027517.db2.gz SSCHUYODVPUXPZ-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C1CC1 ZINC000153042331 188060558 /nfs/dbraw/zinc/06/05/58/188060558.db2.gz JBIYYKSXTFFOKZ-UHFFFAOYSA-N 0 0 273.292 2.844 20 5 CFBDRN O=C(OC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000153591344 188090818 /nfs/dbraw/zinc/09/08/18/188090818.db2.gz PDTPLPLYBJWVIZ-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN COc1cc(NC[C@](C)(OC)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000292333617 198247138 /nfs/dbraw/zinc/24/71/38/198247138.db2.gz NGRAMHPAMJQEKL-AWEZNQCLSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cc(SC[C@@H](C)CO)c(F)cc1[N+](=O)[O-] ZINC000292334069 198249070 /nfs/dbraw/zinc/24/90/70/198249070.db2.gz AYTMEACQHGHEFQ-ZETCQYMHSA-N 0 0 259.302 2.763 20 5 CFBDRN C[C@@]1(CNC(=O)c2cccc([N+](=O)[O-])c2)CCCS1 ZINC000153848607 188107622 /nfs/dbraw/zinc/10/76/22/188107622.db2.gz UFLHEPBGBVROJJ-ZDUSSCGKSA-N 0 0 280.349 2.610 20 5 CFBDRN O=C(NOCC1CC1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000292368851 198261638 /nfs/dbraw/zinc/26/16/38/198261638.db2.gz SAASRICLNYLTTI-UHFFFAOYSA-N 0 0 285.687 2.711 20 5 CFBDRN Cc1ccc(C(=O)NC[C@]2(C)CCCS2)cc1[N+](=O)[O-] ZINC000153974332 188115270 /nfs/dbraw/zinc/11/52/70/188115270.db2.gz QLZKSQYJDLMDSX-AWEZNQCLSA-N 0 0 294.376 2.919 20 5 CFBDRN CC[C@@](C)(NC(=O)c1ccc([N+](=O)[O-])o1)c1nccs1 ZINC000154006027 188117261 /nfs/dbraw/zinc/11/72/61/188117261.db2.gz JKYODVJYADAWNI-GFCCVEGCSA-N 0 0 295.320 2.700 20 5 CFBDRN Cc1c(C(=O)N(C)CCC2CC2)cccc1[N+](=O)[O-] ZINC000154115566 188124648 /nfs/dbraw/zinc/12/46/48/188124648.db2.gz JYBLZAJJLICGFI-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cccc(C(=O)NC[C@]2(C)CCCS2)c1[N+](=O)[O-] ZINC000154125645 188124744 /nfs/dbraw/zinc/12/47/44/188124744.db2.gz IIFDCPLNFOVBTE-AWEZNQCLSA-N 0 0 294.376 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(Cc2csnn2)C2CC2)cc1 ZINC000154269644 188132585 /nfs/dbraw/zinc/13/25/85/188132585.db2.gz KECILRRWXJSZMH-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN CS[C@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000154944669 188171807 /nfs/dbraw/zinc/17/18/07/188171807.db2.gz LPXSWIVTWSJUTR-MRVPVSSYSA-N 0 0 254.311 2.675 20 5 CFBDRN Cc1nnsc1CNc1cccc(C)c1[N+](=O)[O-] ZINC000292452973 198294130 /nfs/dbraw/zinc/29/41/30/198294130.db2.gz ZFVNNEMCKDPYEZ-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000156110596 188243091 /nfs/dbraw/zinc/24/30/91/188243091.db2.gz JYZLGRPJTDFZIM-MRVPVSSYSA-N 0 0 267.285 2.523 20 5 CFBDRN Cc1cc(N[C@@H](C)c2cn[nH]c2)c(F)cc1[N+](=O)[O-] ZINC000292517046 198317162 /nfs/dbraw/zinc/31/71/62/198317162.db2.gz GSVCYHZBDFMVPG-QMMMGPOBSA-N 0 0 264.260 2.939 20 5 CFBDRN Cc1cc(C)cc(Cn2cc([N+](=O)[O-])cc(C)c2=O)c1 ZINC000155800655 188227560 /nfs/dbraw/zinc/22/75/60/188227560.db2.gz HUIRJRUOGJBIMA-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN Cc1nc(CCOc2cccc([N+](=O)[O-])c2)cs1 ZINC000156676493 188276752 /nfs/dbraw/zinc/27/67/52/188276752.db2.gz QBQPVZDDWCUIFD-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN CC(C)CN(CC(C)C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157339591 188310396 /nfs/dbraw/zinc/31/03/96/188310396.db2.gz GWMTUGOTHWLNTQ-UHFFFAOYSA-N 0 0 293.367 2.931 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000157415012 188315887 /nfs/dbraw/zinc/31/58/87/188315887.db2.gz FQTQWKAJCKIZLK-JTQLQIEISA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1nn(C)cc1CNCc1cc([N+](=O)[O-])ccc1Cl ZINC000157719531 188334875 /nfs/dbraw/zinc/33/48/75/188334875.db2.gz AHYDBVGMEMXWTJ-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN CCCc1nccn1Cc1ccc([N+](=O)[O-])cc1F ZINC000157969584 188350228 /nfs/dbraw/zinc/35/02/28/188350228.db2.gz ASRIYQHVUNHTHP-UHFFFAOYSA-N 0 0 263.272 2.931 20 5 CFBDRN Nc1ccc(NC[C@@H](CO)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000292652404 198363783 /nfs/dbraw/zinc/36/37/83/198363783.db2.gz BUQSYIAZRUJKKH-LBPRGKRZSA-N 0 0 293.367 2.778 20 5 CFBDRN CCCCCn1cc(Br)cc([N+](=O)[O-])c1=O ZINC000158271686 188367328 /nfs/dbraw/zinc/36/73/28/188367328.db2.gz NRXXBUJQUGZZRK-UHFFFAOYSA-N 0 0 289.129 2.709 20 5 CFBDRN Cc1c(CC(=O)NCCC(C)C)cccc1[N+](=O)[O-] ZINC000158789928 188394898 /nfs/dbraw/zinc/39/48/98/188394898.db2.gz FLAGCXBJCMPNOZ-UHFFFAOYSA-N 0 0 264.325 2.608 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000271699826 191281805 /nfs/dbraw/zinc/28/18/05/191281805.db2.gz SHZSENLUXZDXGT-SRVKXCTJSA-N 0 0 291.351 2.953 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000159074300 188406777 /nfs/dbraw/zinc/40/67/77/188406777.db2.gz CHTWHBCLCWKIQB-APPZFPTMSA-N 0 0 268.700 2.634 20 5 CFBDRN COCCC1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000159223154 188415528 /nfs/dbraw/zinc/41/55/28/188415528.db2.gz OWCUHCWMLZSTQV-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN CC/C=C/CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000452613678 535241872 /nfs/dbraw/zinc/24/18/72/535241872.db2.gz QAQFFRQVPNCZSL-ORAHPGNNSA-N 0 0 280.299 2.920 20 5 CFBDRN CSCCNc1c(Br)cncc1[N+](=O)[O-] ZINC000160328695 188466443 /nfs/dbraw/zinc/46/64/43/188466443.db2.gz BZSKYNTWNUNTEI-UHFFFAOYSA-N 0 0 292.158 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCO[C@H](C3CC3)C2)cc1 ZINC000160310087 188465868 /nfs/dbraw/zinc/46/58/68/188465868.db2.gz GMTOUSFVNWHKNO-JSGCOSHPSA-N 0 0 262.309 2.964 20 5 CFBDRN CC(C)(C)C[C@@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000161418917 188526160 /nfs/dbraw/zinc/52/61/60/188526160.db2.gz ICBXVGWDCLWQRY-VIFPVBQESA-N 0 0 287.747 2.852 20 5 CFBDRN Cc1nc(NC[C@@H]2Cc3ccccc3O2)ccc1[N+](=O)[O-] ZINC000161517820 188532714 /nfs/dbraw/zinc/53/27/14/188532714.db2.gz HDAIUURJRSZNSH-LBPRGKRZSA-N 0 0 285.303 2.714 20 5 CFBDRN CCc1csc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)n1 ZINC000823542882 781930763 /nfs/dbraw/zinc/93/07/63/781930763.db2.gz MIAGBAZAHQHKOZ-ZETCQYMHSA-N 0 0 295.320 2.698 20 5 CFBDRN CCC(CC)(CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000162583757 188579516 /nfs/dbraw/zinc/57/95/16/188579516.db2.gz FTQMNGLVTAKLEB-UHFFFAOYSA-N 0 0 295.339 2.576 20 5 CFBDRN COC1(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCCC1 ZINC000295263217 199429048 /nfs/dbraw/zinc/42/90/48/199429048.db2.gz GHPJBTFGFAWVTL-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCCC[C@H]2C)n1 ZINC000166650816 188638602 /nfs/dbraw/zinc/63/86/02/188638602.db2.gz IQPZCPZCPPVWMP-ZJUUUORDSA-N 0 0 293.323 2.767 20 5 CFBDRN C[C@H](NC(=O)N(C)CC1CC1)c1ccccc1[N+](=O)[O-] ZINC000271754571 191307111 /nfs/dbraw/zinc/30/71/11/191307111.db2.gz ZMKORUDFQRQUQW-JTQLQIEISA-N 0 0 277.324 2.707 20 5 CFBDRN C[C@H](NC(=O)CC[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000271757765 191308187 /nfs/dbraw/zinc/30/81/87/191308187.db2.gz BZKQMYCYWXEJPF-NWDGAFQWSA-N 0 0 292.335 2.731 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)NCc1ccccc1[N+](=O)[O-] ZINC000171849078 188763469 /nfs/dbraw/zinc/76/34/69/188763469.db2.gz UPDFHXAXBUFESK-ZDUSSCGKSA-N 0 0 292.335 2.560 20 5 CFBDRN Cc1noc(C)c1C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271767298 191315706 /nfs/dbraw/zinc/31/57/06/191315706.db2.gz FERHTQCHFKTGPB-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000271787346 191325813 /nfs/dbraw/zinc/32/58/13/191325813.db2.gz KVCAYMMHHWPZQC-VXGBXAGGSA-N 0 0 291.351 2.796 20 5 CFBDRN C[C@@H](c1ccccn1)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172899023 188833460 /nfs/dbraw/zinc/83/34/60/188833460.db2.gz FIYOVFHOPXTFFE-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000172920753 188834364 /nfs/dbraw/zinc/83/43/64/188834364.db2.gz TXDDROOYEGREBG-VXGBXAGGSA-N 0 0 276.336 2.642 20 5 CFBDRN C[C@@H](NCc1noc(C2CC2)n1)c1ccccc1[N+](=O)[O-] ZINC000271797832 191333242 /nfs/dbraw/zinc/33/32/42/191333242.db2.gz VWPUMXHUAAOKKR-SECBINFHSA-N 0 0 288.307 2.706 20 5 CFBDRN C[C@@H]1CCCN1C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000173155358 188843582 /nfs/dbraw/zinc/84/35/82/188843582.db2.gz YBWFSACSPLILFS-GFCCVEGCSA-N 0 0 291.351 2.798 20 5 CFBDRN C[C@H](NC(=O)CC[C@@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000271807438 191339727 /nfs/dbraw/zinc/33/97/27/191339727.db2.gz NUPKUOGMGHXBNW-NWDGAFQWSA-N 0 0 292.335 2.589 20 5 CFBDRN CC1(C)CCCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000173699071 188864558 /nfs/dbraw/zinc/86/45/58/188864558.db2.gz GLMCBOPJURAOGT-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000271887439 191391471 /nfs/dbraw/zinc/39/14/71/191391471.db2.gz NTLMYIPMZVVDKC-GXSJLCMTSA-N 0 0 278.308 2.657 20 5 CFBDRN C[C@@H](CCO)C1(CNc2ncccc2[N+](=O)[O-])CCC1 ZINC000271888996 191392050 /nfs/dbraw/zinc/39/20/50/191392050.db2.gz WVDZHBQUXGPBSC-NSHDSACASA-N 0 0 279.340 2.591 20 5 CFBDRN Cc1nc(N[C@H](C)[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272028386 191473039 /nfs/dbraw/zinc/47/30/39/191473039.db2.gz REGRICWIAZJWMM-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2nonc21)[C@@H]1CCCCO1 ZINC000272049520 191486213 /nfs/dbraw/zinc/48/62/13/191486213.db2.gz XDKBFASQMACJQI-KWQFWETISA-N 0 0 292.295 2.501 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CCCCCO)c2)c1 ZINC000272124711 191528341 /nfs/dbraw/zinc/52/83/41/191528341.db2.gz UECOVCRPTBHJHR-UHFFFAOYSA-N 0 0 275.308 2.621 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000272404628 191700113 /nfs/dbraw/zinc/70/01/13/191700113.db2.gz BHOVLYVZUDYMIP-SKDRFNHKSA-N 0 0 297.282 2.845 20 5 CFBDRN CC(C)(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272329713 191659431 /nfs/dbraw/zinc/65/94/31/191659431.db2.gz RORAARXPMBZQOT-UHFFFAOYSA-N 0 0 284.743 2.953 20 5 CFBDRN Cc1nnc(CNc2ccc([N+](=O)[O-])cc2F)n1C1CC1 ZINC000272479093 191741395 /nfs/dbraw/zinc/74/13/95/191741395.db2.gz JCPDKBMEQFPLGE-UHFFFAOYSA-N 0 0 291.286 2.581 20 5 CFBDRN CCC[N@H+](CC(=O)[O-])[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000263582465 283115770 /nfs/dbraw/zinc/11/57/70/283115770.db2.gz YRSZYFAXHHTABT-LLVKDONJSA-N 0 0 280.324 2.761 20 5 CFBDRN CO[C@H](C)CCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000272841524 191941565 /nfs/dbraw/zinc/94/15/65/191941565.db2.gz TXGBLCWVSNRZMU-MNOVXSKESA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)ONC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000295763881 199654296 /nfs/dbraw/zinc/65/42/96/199654296.db2.gz WDQYEHOZCJEJKP-UHFFFAOYSA-N 0 0 292.213 2.683 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@H]1CCCCS1 ZINC000273003315 192014803 /nfs/dbraw/zinc/01/48/03/192014803.db2.gz VWJRFXPCMJHSHZ-LLVKDONJSA-N 0 0 284.312 2.958 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000273540659 192194212 /nfs/dbraw/zinc/19/42/12/192194212.db2.gz WOYZWTVGTRCOGY-ZETCQYMHSA-N 0 0 279.325 2.631 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000273518250 192183751 /nfs/dbraw/zinc/18/37/51/192183751.db2.gz YEGFHZSDIADTIS-IUCAKERBSA-N 0 0 281.287 2.854 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000273521057 192185406 /nfs/dbraw/zinc/18/54/06/192185406.db2.gz YNMDGDSFOVBFLL-ZETCQYMHSA-N 0 0 267.260 2.654 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cn2)C12CCCC2 ZINC000273572871 192209962 /nfs/dbraw/zinc/20/99/62/192209962.db2.gz DKQUMZJMUWVOFS-NEPJUHHUSA-N 0 0 292.339 2.535 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cn2)C12CCCC2 ZINC000273572873 192211336 /nfs/dbraw/zinc/21/13/36/192211336.db2.gz DKQUMZJMUWVOFS-NWDGAFQWSA-N 0 0 292.339 2.535 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2ncc([N+](=O)[O-])cn2)CC1 ZINC000273593981 192220952 /nfs/dbraw/zinc/22/09/52/192220952.db2.gz HXUJZCVGYBYJGU-LLVKDONJSA-N 0 0 264.329 2.647 20 5 CFBDRN C[C@@H]1C[C@@H](CCNC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000273593781 192222413 /nfs/dbraw/zinc/22/24/13/192222413.db2.gz QOBVNEYPIARLIA-NEPJUHHUSA-N 0 0 292.335 2.530 20 5 CFBDRN CCCOc1ccc(CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000273681085 192259499 /nfs/dbraw/zinc/25/94/99/192259499.db2.gz ZGOOURXGKKREGP-UHFFFAOYSA-N 0 0 288.307 2.786 20 5 CFBDRN CCC1(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)CC1 ZINC000295907822 199713766 /nfs/dbraw/zinc/71/37/66/199713766.db2.gz NVCXCLFKOGMVQG-UHFFFAOYSA-N 0 0 289.335 2.842 20 5 CFBDRN Nc1ccc(-c2noc(CC3CCC3)n2)cc1[N+](=O)[O-] ZINC000273692659 192264184 /nfs/dbraw/zinc/26/41/84/192264184.db2.gz MISUAQIZLPBFHU-UHFFFAOYSA-N 0 0 274.280 2.570 20 5 CFBDRN Cc1cc(C(=O)N(C)C[Si](C)(C)C)ccc1[N+](=O)[O-] ZINC000823637481 781935048 /nfs/dbraw/zinc/93/50/48/781935048.db2.gz RMGVCTYQQZEQIK-UHFFFAOYSA-N 0 0 280.400 2.853 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000567983274 290510944 /nfs/dbraw/zinc/51/09/44/290510944.db2.gz IWTARANCZYTTLI-NSHDSACASA-N 0 0 288.303 2.950 20 5 CFBDRN CCCNC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000175526187 188955164 /nfs/dbraw/zinc/95/51/64/188955164.db2.gz CMVDWTHSWGDNKD-SNVBAGLBSA-N 0 0 265.313 2.673 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2C[C@@H](C)[C@@H]2C)c1 ZINC000293915604 198825345 /nfs/dbraw/zinc/82/53/45/198825345.db2.gz CSAAZDQQLOTTFG-NEPJUHHUSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000274576384 192661418 /nfs/dbraw/zinc/66/14/18/192661418.db2.gz APXFKOJMNULVDR-CHWSQXEVSA-N 0 0 276.336 2.546 20 5 CFBDRN C[C@@H](N(C)C(=O)c1ccccc1[N+](=O)[O-])C1(C)CC1 ZINC000175630170 188960281 /nfs/dbraw/zinc/96/02/81/188960281.db2.gz VFRPCFJNUIUAFN-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000823634989 781935357 /nfs/dbraw/zinc/93/53/57/781935357.db2.gz DIRABBWSHZLEAE-UHFFFAOYSA-N 0 0 284.363 2.683 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H]1CC12CCCC2 ZINC000568583404 290560046 /nfs/dbraw/zinc/56/00/46/290560046.db2.gz SNGJKLVDBBQMSM-ZDUSSCGKSA-N 0 0 289.335 2.727 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])OC ZINC000294128419 198920059 /nfs/dbraw/zinc/92/00/59/198920059.db2.gz FIGMECKPCWTOCT-ZANVPECISA-N 0 0 298.314 2.525 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])[C@H]1C ZINC000294228259 198960463 /nfs/dbraw/zinc/96/04/63/198960463.db2.gz RQABUYWENPAPCG-SFYZADRCSA-N 0 0 268.700 2.729 20 5 CFBDRN Cc1c(NC(=O)C2[C@@H]3CCC[C@H]23)cccc1[N+](=O)[O-] ZINC000175715369 188963549 /nfs/dbraw/zinc/96/35/49/188963549.db2.gz LUOAJBCQWJKXRO-HWYHXSKPSA-N 0 0 260.293 2.888 20 5 CFBDRN CCCOc1cccc(Cn2ccc(=O)c([N+](=O)[O-])c2)c1 ZINC000294250847 198969542 /nfs/dbraw/zinc/96/95/42/198969542.db2.gz PYILGCMAMXOWHA-UHFFFAOYSA-N 0 0 288.303 2.594 20 5 CFBDRN C[C@H]1CCN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000352349349 290594474 /nfs/dbraw/zinc/59/44/74/290594474.db2.gz DGLLWFUVTLTXIB-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN CSc1ccc(C(=O)N2C[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000294418773 199032424 /nfs/dbraw/zinc/03/24/24/199032424.db2.gz ZGVZAHNIMNRSBQ-RKDXNWHRSA-N 0 0 280.349 2.797 20 5 CFBDRN CSc1ccc(C(=O)N2C[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000294418768 199032667 /nfs/dbraw/zinc/03/26/67/199032667.db2.gz ZGVZAHNIMNRSBQ-DTWKUNHWSA-N 0 0 280.349 2.797 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc2c(c1)CCC2)[N+](=O)[O-] ZINC000294525433 199072580 /nfs/dbraw/zinc/07/25/80/199072580.db2.gz YZAMLVVVNXPXPT-UHFFFAOYSA-N 0 0 276.336 2.949 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@@H]1CCCCC1(F)F ZINC000296067582 199772381 /nfs/dbraw/zinc/77/23/81/199772381.db2.gz RQWSBFYICPDBSX-VIFPVBQESA-N 0 0 272.251 2.582 20 5 CFBDRN C[C@@H](c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1)C(C)(F)F ZINC000294537899 199077259 /nfs/dbraw/zinc/07/72/59/199077259.db2.gz ALMPMHHXHYGKQO-LURJTMIESA-N 0 0 298.249 2.986 20 5 CFBDRN Cc1cc(CNC(=O)CCC(C)(C)[N+](=O)[O-])ccc1F ZINC000294555969 199084467 /nfs/dbraw/zinc/08/44/67/199084467.db2.gz WGCMTXLHFILTNC-UHFFFAOYSA-N 0 0 282.315 2.586 20 5 CFBDRN CC(C)(CCC(=O)Nc1cc(F)c(F)cc1F)[N+](=O)[O-] ZINC000294561354 199087122 /nfs/dbraw/zinc/08/71/22/199087122.db2.gz KZIJWYDFXXYCHH-UHFFFAOYSA-N 0 0 290.241 2.878 20 5 CFBDRN CC(C)(C)CNC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000294605941 199105184 /nfs/dbraw/zinc/10/51/84/199105184.db2.gz RQJOVOORHJTQJL-UHFFFAOYSA-N 0 0 292.335 2.720 20 5 CFBDRN O=C(NC1CCC1)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000294632395 199115222 /nfs/dbraw/zinc/11/52/22/199115222.db2.gz OJOYCJRMMGQADM-UHFFFAOYSA-N 0 0 288.225 2.896 20 5 CFBDRN COC(=O)CCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000296233840 199826023 /nfs/dbraw/zinc/82/60/23/199826023.db2.gz FANGCMWIKXWUPG-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(OC2CCC2)CC1 ZINC000418974143 236418961 /nfs/dbraw/zinc/41/89/61/236418961.db2.gz PZIUUUBPUZIMON-UHFFFAOYSA-N 0 0 291.351 2.836 20 5 CFBDRN CO[C@H](CNc1nc(C)ccc1[N+](=O)[O-])CC(C)C ZINC000418998788 236422963 /nfs/dbraw/zinc/42/29/63/236422963.db2.gz WIYSGALURSJDFQ-NSHDSACASA-N 0 0 267.329 2.771 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CC12CC2 ZINC000419003101 236424253 /nfs/dbraw/zinc/42/42/53/236424253.db2.gz ZZMCCJDOSRFMRS-LLVKDONJSA-N 0 0 262.309 2.953 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000419010591 236425547 /nfs/dbraw/zinc/42/55/47/236425547.db2.gz YYSANULOLKBAAK-DKTWLAJQSA-N 0 0 290.241 2.939 20 5 CFBDRN COC[C@]1(C)CCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000294867804 199221726 /nfs/dbraw/zinc/22/17/26/199221726.db2.gz CVVKECAORSBJHX-CYBMUJFWSA-N 0 0 286.278 2.736 20 5 CFBDRN O=Cc1ccc(OC(=O)OC2CCCC2)c([N+](=O)[O-])c1 ZINC000294901326 199239022 /nfs/dbraw/zinc/23/90/22/199239022.db2.gz ANRDGQQKOMOTCP-UHFFFAOYSA-N 0 0 279.248 2.865 20 5 CFBDRN Cc1csc([C@@H](C)CNC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000294915208 199245482 /nfs/dbraw/zinc/24/54/82/199245482.db2.gz JJRBSDSSPDETHQ-VIFPVBQESA-N 0 0 299.396 2.507 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294937297 199259212 /nfs/dbraw/zinc/25/92/12/199259212.db2.gz JJWMQLQYZUNVSB-VHSXEESVSA-N 0 0 275.308 2.978 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CSC1(C)C ZINC000421540959 236835301 /nfs/dbraw/zinc/83/53/01/236835301.db2.gz KYFLDCWUAJDCJI-GFCCVEGCSA-N 0 0 294.376 2.835 20 5 CFBDRN Cc1nc(N(Cc2cccs2)C2CC2)ncc1[N+](=O)[O-] ZINC000296588907 199939298 /nfs/dbraw/zinc/93/92/98/199939298.db2.gz RTAULHQSYFROQN-UHFFFAOYSA-N 0 0 290.348 2.924 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@H]1C ZINC000421912878 237003104 /nfs/dbraw/zinc/00/31/04/237003104.db2.gz SASGPNAVMZYONB-PELKAZGASA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1cc(NC(=O)NC(C)(C)C(C)(C)O)ccc1[N+](=O)[O-] ZINC000274845197 192804469 /nfs/dbraw/zinc/80/44/69/192804469.db2.gz VBXPWIWXVBQLAW-UHFFFAOYSA-N 0 0 295.339 2.574 20 5 CFBDRN Cc1nc(N2C[C@@H](C)[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000296910225 200031950 /nfs/dbraw/zinc/03/19/50/200031950.db2.gz ADVSVPBOFSCWHJ-YGRLFVJLSA-N 0 0 284.319 2.891 20 5 CFBDRN CC/C=C/CNC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000296883069 200025512 /nfs/dbraw/zinc/02/55/12/200025512.db2.gz KPOMURKWPJDNPD-AATRIKPKSA-N 0 0 278.308 2.690 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H]1CF)c1ccc([N+](=O)[O-])cc1 ZINC000296941065 200041716 /nfs/dbraw/zinc/04/17/16/200041716.db2.gz VIYGHLFAOUTWOF-GXFFZTMASA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1cc(COC(=O)CCC(C)(C)[N+](=O)[O-])cs1 ZINC000296961208 200047473 /nfs/dbraw/zinc/04/74/73/200047473.db2.gz VILGYQKPTHYDLF-UHFFFAOYSA-N 0 0 271.338 2.935 20 5 CFBDRN CC1(CNc2nccc3cc([N+](=O)[O-])ccc32)COC1 ZINC000297009886 200060476 /nfs/dbraw/zinc/06/04/76/200060476.db2.gz GJBKPBJTBHEKHN-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN Cc1nc(N[C@H](C)C2CCCC2)ncc1[N+](=O)[O-] ZINC000297088044 200081668 /nfs/dbraw/zinc/08/16/68/200081668.db2.gz KJEQSUAVDDNQAJ-MRVPVSSYSA-N 0 0 250.302 2.684 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297174411 200105225 /nfs/dbraw/zinc/10/52/25/200105225.db2.gz PSGSTWNBLBHGAE-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCF ZINC000297323208 200147923 /nfs/dbraw/zinc/14/79/23/200147923.db2.gz HGEGAAFOSRZOJO-UHFFFAOYSA-N 0 0 269.276 2.590 20 5 CFBDRN Cc1nc(N[C@@H]2CCO[C@H](C(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000297576572 200232017 /nfs/dbraw/zinc/23/20/17/200232017.db2.gz SJHGAESPGHXRTE-PWSUYJOCSA-N 0 0 294.355 2.699 20 5 CFBDRN CCc1cccnc1-c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000297712836 200266638 /nfs/dbraw/zinc/26/66/38/200266638.db2.gz MWFULEUBHYWWAJ-UHFFFAOYSA-N 0 0 290.323 2.682 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000297814917 200285213 /nfs/dbraw/zinc/28/52/13/200285213.db2.gz KNZPCVATCQLYKW-LBPRGKRZSA-N 0 0 280.324 2.965 20 5 CFBDRN CCC1(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000297862108 200294902 /nfs/dbraw/zinc/29/49/02/200294902.db2.gz YQENJSLTWGCOJB-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000297885854 200299088 /nfs/dbraw/zinc/29/90/88/200299088.db2.gz QWZGFKXWXMAQBV-QMMMGPOBSA-N 0 0 271.219 2.772 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)C(C)(F)F ZINC000297836778 200290154 /nfs/dbraw/zinc/29/01/54/200290154.db2.gz NKMSVOWMYJTHEI-SSDOTTSWSA-N 0 0 288.250 2.833 20 5 CFBDRN Cc1cc(NC(=O)CSC2CC2)ccc1[N+](=O)[O-] ZINC000298011970 200317173 /nfs/dbraw/zinc/31/71/73/200317173.db2.gz SOQMORAWGUODPT-UHFFFAOYSA-N 0 0 266.322 2.737 20 5 CFBDRN CCCCc1noc(COc2ccccc2[N+](=O)[O-])n1 ZINC000298065868 200326228 /nfs/dbraw/zinc/32/62/28/200326228.db2.gz KTMLTJSXJJZCHA-UHFFFAOYSA-N 0 0 277.280 2.899 20 5 CFBDRN CON(C)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000298194766 200357185 /nfs/dbraw/zinc/35/71/85/200357185.db2.gz BUVHGCAVNLFFFY-UHFFFAOYSA-N 0 0 250.176 2.611 20 5 CFBDRN CCN(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000298203446 200359202 /nfs/dbraw/zinc/35/92/02/200359202.db2.gz JVGDFMAFEUHSFV-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000298299463 200387744 /nfs/dbraw/zinc/38/77/44/200387744.db2.gz QGASAKPGDUJHOH-UHFFFAOYSA-N 0 0 290.241 2.548 20 5 CFBDRN CN(Cc1nccn1C(F)F)Cc1cccc([N+](=O)[O-])c1 ZINC000298274485 200379461 /nfs/dbraw/zinc/37/94/61/200379461.db2.gz JTAQLXQHYXKGLK-UHFFFAOYSA-N 0 0 296.277 2.818 20 5 CFBDRN Cc1ccc(Cn2c(C)c([N+](=O)[O-])ccc2=O)cc1C ZINC000298294192 200385624 /nfs/dbraw/zinc/38/56/24/200385624.db2.gz QHWMSHLMLJDNKA-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN CCOC(=O)CCCCCOc1ncc(C)cc1[N+](=O)[O-] ZINC000176707532 189005380 /nfs/dbraw/zinc/00/53/80/189005380.db2.gz VNHWWQOTTLJATJ-UHFFFAOYSA-N 0 0 296.323 2.801 20 5 CFBDRN CC(=O)c1c(C)cc(C)c(Cn2cc([N+](=O)[O-])cn2)c1C ZINC000298322341 200395269 /nfs/dbraw/zinc/39/52/69/200395269.db2.gz UAYPCTWPZMAIOK-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CC(C)N1CCC[C@H](Oc2cc(F)ccc2[N+](=O)[O-])C1=O ZINC000176858203 189011882 /nfs/dbraw/zinc/01/18/82/189011882.db2.gz RUUPJPPMQQTDKS-LBPRGKRZSA-N 0 0 296.298 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCCCC3)nc2c1 ZINC000176979891 189017966 /nfs/dbraw/zinc/01/79/66/189017966.db2.gz BYDZYZRONKMMHS-UHFFFAOYSA-N 0 0 261.281 2.722 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC2(CCC2)C1 ZINC000177443740 189051577 /nfs/dbraw/zinc/05/15/77/189051577.db2.gz DKVHJCRNYYXVJH-UHFFFAOYSA-N 0 0 266.322 2.673 20 5 CFBDRN Cc1cc(Cn2cc([N+](=O)[O-])c(C)cc2=O)c(C)s1 ZINC000177795080 189070376 /nfs/dbraw/zinc/07/03/76/189070376.db2.gz MHJXBHLYOQZZTN-UHFFFAOYSA-N 0 0 278.333 2.792 20 5 CFBDRN CC(C)CNC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000298756802 200527187 /nfs/dbraw/zinc/52/71/87/200527187.db2.gz YUALUFNQAVMVQB-SNVBAGLBSA-N 0 0 265.313 2.611 20 5 CFBDRN CCOCCCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177846103 189073568 /nfs/dbraw/zinc/07/35/68/189073568.db2.gz YMSUEEMHCHCVMC-GFCCVEGCSA-N 0 0 294.351 2.897 20 5 CFBDRN COc1cccc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000298768904 200529677 /nfs/dbraw/zinc/52/96/77/200529677.db2.gz ZXXWKUFZBTZELV-SECBINFHSA-N 0 0 276.296 2.510 20 5 CFBDRN CCCN(CC)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000298716943 200513248 /nfs/dbraw/zinc/51/32/48/200513248.db2.gz KBUWEASQXOLMFZ-UHFFFAOYSA-N 0 0 251.286 2.859 20 5 CFBDRN COCCC1(CNc2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000177971568 189082193 /nfs/dbraw/zinc/08/21/93/189082193.db2.gz CXQJVTZHWIUOJC-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000177990438 189083805 /nfs/dbraw/zinc/08/38/05/189083805.db2.gz MBSLBYXBGKEBEA-SECBINFHSA-N 0 0 254.286 2.696 20 5 CFBDRN CCC1(CNC(=O)c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000178167336 189094678 /nfs/dbraw/zinc/09/46/78/189094678.db2.gz URROIKYUPXDXSH-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CC(C)[C@@H](C)N(C(=O)c1cccc([N+](=O)[O-])c1N)C1CC1 ZINC000178178412 189095364 /nfs/dbraw/zinc/09/53/64/189095364.db2.gz BDTSNFWJWZCKDR-SNVBAGLBSA-N 0 0 291.351 2.826 20 5 CFBDRN Cc1ccccc1C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000299084569 200603039 /nfs/dbraw/zinc/60/30/39/200603039.db2.gz RGWKXHQDRVXQIO-LLVKDONJSA-N 0 0 287.319 2.592 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC1(C)C ZINC000299059373 200594979 /nfs/dbraw/zinc/59/49/79/200594979.db2.gz KOQCLQUPRVAFGV-NSHDSACASA-N 0 0 279.296 2.523 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000299095551 200607227 /nfs/dbraw/zinc/60/72/27/200607227.db2.gz VGYYULUHZZEEBH-GUOLPTJISA-N 0 0 260.293 2.523 20 5 CFBDRN CCN(CC(C)C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000299285224 200664046 /nfs/dbraw/zinc/66/40/46/200664046.db2.gz FVCWLOWHQKNONV-UHFFFAOYSA-N 0 0 298.314 2.617 20 5 CFBDRN CC(C)(C)C[C@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000178799660 189147038 /nfs/dbraw/zinc/14/70/38/189147038.db2.gz CEGZKIDEOOWNQC-LLVKDONJSA-N 0 0 295.339 2.513 20 5 CFBDRN COC(=O)CCCCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000299271543 200657495 /nfs/dbraw/zinc/65/74/95/200657495.db2.gz JPMQBFQRQMDSAS-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN CC[C@@H](SCc1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000179151721 189172911 /nfs/dbraw/zinc/17/29/11/189172911.db2.gz BTWPGLNGMILRDC-LLVKDONJSA-N 0 0 269.322 2.780 20 5 CFBDRN CS[C@H](C)CC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000179173028 189174492 /nfs/dbraw/zinc/17/44/92/189174492.db2.gz FFZUKOXBXKPGEX-SNVBAGLBSA-N 0 0 282.365 2.695 20 5 CFBDRN C[C@@H](NC(=O)C(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000179313823 189185515 /nfs/dbraw/zinc/18/55/15/189185515.db2.gz DPXOPGNEIOSOAG-MRVPVSSYSA-N 0 0 254.261 2.520 20 5 CFBDRN O=C(NCC1CCC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000179584198 189204375 /nfs/dbraw/zinc/20/43/75/189204375.db2.gz WUFDOOKWPVGMEM-UHFFFAOYSA-N 0 0 285.303 2.673 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000179788863 189219849 /nfs/dbraw/zinc/21/98/49/189219849.db2.gz SWKFDIROEAWJCD-IINYFYTJSA-N 0 0 290.319 2.563 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000179788847 189220247 /nfs/dbraw/zinc/22/02/47/189220247.db2.gz SWKFDIROEAWJCD-QMTHXVAHSA-N 0 0 290.319 2.563 20 5 CFBDRN Cc1ccc(OCc2ncnn2CC(C)C)cc1[N+](=O)[O-] ZINC000180111085 189237106 /nfs/dbraw/zinc/23/71/06/189237106.db2.gz TWYJJBOEJJCKTK-UHFFFAOYSA-N 0 0 290.323 2.730 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCCCCCO ZINC000180217561 189244359 /nfs/dbraw/zinc/24/43/59/189244359.db2.gz MHXZFXKEGFFDFD-UHFFFAOYSA-N 0 0 282.340 2.706 20 5 CFBDRN Cc1cc(OCCCCCCO)c(F)cc1[N+](=O)[O-] ZINC000229508533 541002443 /nfs/dbraw/zinc/00/24/43/541002443.db2.gz ZKDOLTRUYGVPMU-UHFFFAOYSA-N 0 0 271.288 2.974 20 5 CFBDRN COc1cc(NC[C@@H](O)c2ccsc2)ccc1[N+](=O)[O-] ZINC000230907754 540995778 /nfs/dbraw/zinc/99/57/78/540995778.db2.gz TYQQAQQWKSEDHL-GFCCVEGCSA-N 0 0 294.332 2.810 20 5 CFBDRN CCCc1nc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000180416147 189253945 /nfs/dbraw/zinc/25/39/45/189253945.db2.gz LYGXHKUPRYMOOC-JTQLQIEISA-N 0 0 290.323 2.781 20 5 CFBDRN CCCOC(=O)[C@@H](C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180416716 189254187 /nfs/dbraw/zinc/25/41/87/189254187.db2.gz HYKHHEJDMZLYIC-WDEREUQCSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cnc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000180430434 189254903 /nfs/dbraw/zinc/25/49/03/189254903.db2.gz DCZNPGMYQPCGRV-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN CCCCOC(=O)CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180436634 189255566 /nfs/dbraw/zinc/25/55/66/189255566.db2.gz CYXSZHUIIJBKMD-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN Cc1ccccc1[C@@H](CO)Nc1ncc([N+](=O)[O-])s1 ZINC000555960042 540984555 /nfs/dbraw/zinc/98/45/55/540984555.db2.gz OWUGBANDDXAJQZ-SNVBAGLBSA-N 0 0 279.321 2.505 20 5 CFBDRN COCc1noc(CSCc2ccccc2[N+](=O)[O-])n1 ZINC000180588105 189263962 /nfs/dbraw/zinc/26/39/62/189263962.db2.gz PBSKEYLHLJOUJI-UHFFFAOYSA-N 0 0 295.320 2.558 20 5 CFBDRN Cc1cc(N(C)Cc2ccccn2)ccc1[N+](=O)[O-] ZINC000301065212 200904950 /nfs/dbraw/zinc/90/49/50/200904950.db2.gz DOESLUABIHELCT-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)n1cc([N+](=O)[O-])cn1 ZINC000180505981 189259503 /nfs/dbraw/zinc/25/95/03/189259503.db2.gz FNVMCTOZOHPPPS-VIFPVBQESA-N 0 0 276.252 2.617 20 5 CFBDRN CC(C)NC(=O)c1ccc(N2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000301144231 200924948 /nfs/dbraw/zinc/92/49/48/200924948.db2.gz KMZZBCFHRSWHDA-NSHDSACASA-N 0 0 291.351 2.579 20 5 CFBDRN CC[C@@H](C)CN(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000301243092 200947746 /nfs/dbraw/zinc/94/77/46/200947746.db2.gz KKNRVFCTBYXDFG-MRVPVSSYSA-N 0 0 268.342 2.786 20 5 CFBDRN CO[C@H](C)CCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000180918070 189283440 /nfs/dbraw/zinc/28/34/40/189283440.db2.gz QMCOSHHEYKUIBL-SNVBAGLBSA-N 0 0 280.324 2.965 20 5 CFBDRN C[C@@H](CCC(C)(C)C)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301329924 200976878 /nfs/dbraw/zinc/97/68/78/200976878.db2.gz KLJOLSIKOGGXKR-QMMMGPOBSA-N 0 0 267.333 2.594 20 5 CFBDRN Cc1cnc(N[C@H](CCO)c2cccs2)c([N+](=O)[O-])c1 ZINC000301422620 201003241 /nfs/dbraw/zinc/00/32/41/201003241.db2.gz FRRJYILLDXDTDM-SNVBAGLBSA-N 0 0 293.348 2.895 20 5 CFBDRN C[C@](O)(CNc1ccc(F)cc1[N+](=O)[O-])c1ccco1 ZINC000301443968 201009800 /nfs/dbraw/zinc/00/98/00/201009800.db2.gz VDLXGDBLJTWTIL-ZDUSSCGKSA-N 0 0 280.255 2.647 20 5 CFBDRN Cc1ccccc1[C@H](O)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000301443542 201010281 /nfs/dbraw/zinc/01/02/81/201010281.db2.gz PVHQJARHRFBRMG-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cc(F)ccc2F)nc1 ZINC000301463472 201018571 /nfs/dbraw/zinc/01/85/71/201018571.db2.gz FUWWWJLHDHQWAJ-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCOCc1ccccc1 ZINC000301476488 201021244 /nfs/dbraw/zinc/02/12/44/201021244.db2.gz OOMCDOVWEXKBJZ-UHFFFAOYSA-N 0 0 287.319 2.927 20 5 CFBDRN CN(C)c1nc(CNc2ccc(F)cc2[N+](=O)[O-])cs1 ZINC000301480478 201023448 /nfs/dbraw/zinc/02/34/48/201023448.db2.gz RRZXNXNOCCVIFA-UHFFFAOYSA-N 0 0 296.327 2.869 20 5 CFBDRN CCOC[C@@H]1CCN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000301484201 201024961 /nfs/dbraw/zinc/02/49/61/201024961.db2.gz GJYPLCJWXFZUDS-SNVBAGLBSA-N 0 0 298.314 2.605 20 5 CFBDRN O=[N+]([O-])c1cnn(-c2nc(C(F)F)nc3ccccc32)c1 ZINC000301484118 201025077 /nfs/dbraw/zinc/02/50/77/201025077.db2.gz VBLAHBRWDSTXJC-UHFFFAOYSA-N 0 0 291.217 2.661 20 5 CFBDRN Cc1cc(N(C)CC[C@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000301488104 201025465 /nfs/dbraw/zinc/02/54/65/201025465.db2.gz ZJSJSCOOVFHOGW-VIFPVBQESA-N 0 0 272.732 2.764 20 5 CFBDRN C[C@]1(CNc2ccccc2[N+](=O)[O-])CCCC[C@H]1O ZINC000301498097 201032245 /nfs/dbraw/zinc/03/22/45/201032245.db2.gz VIHBCICUPKJIMU-ZIAGYGMSSA-N 0 0 264.325 2.948 20 5 CFBDRN COC(=O)c1cc(NCC2CCC2)cc(C)c1[N+](=O)[O-] ZINC000301540091 201056158 /nfs/dbraw/zinc/05/61/58/201056158.db2.gz BUHYDIMIMHWDCP-UHFFFAOYSA-N 0 0 278.308 2.902 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182703126 189332146 /nfs/dbraw/zinc/33/21/46/189332146.db2.gz QXTMRGPINXTSDU-BXUZGUMPSA-N 0 0 292.335 2.763 20 5 CFBDRN COC(=O)C(C)(C)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000301606766 201092588 /nfs/dbraw/zinc/09/25/88/201092588.db2.gz NHJQTJYOJAWXIU-UHFFFAOYSA-N 0 0 286.715 2.859 20 5 CFBDRN COc1cc(C)ccc1CNc1ccc([N+](=O)[O-])cn1 ZINC000301608929 201093436 /nfs/dbraw/zinc/09/34/36/201093436.db2.gz AKKRXYAXKQBKAQ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CS(=O)(=O)c1ccc(N[C@@H]2C=CCCC2)c([N+](=O)[O-])c1 ZINC000301610881 201094277 /nfs/dbraw/zinc/09/42/77/201094277.db2.gz CYMBGUJYYDDXQB-SNVBAGLBSA-N 0 0 296.348 2.519 20 5 CFBDRN COc1ccc(C=O)cc1Oc1ccc([N+](=O)[O-])c(C)n1 ZINC000301615596 201098069 /nfs/dbraw/zinc/09/80/69/201098069.db2.gz BNJVUUNBYYAYTC-UHFFFAOYSA-N 0 0 288.259 2.912 20 5 CFBDRN CC[C@H](C)N(C)c1ncc([N+](=O)[O-])cc1Br ZINC000301623909 201102294 /nfs/dbraw/zinc/10/22/94/201102294.db2.gz OVIBOTPZDCIEOU-ZETCQYMHSA-N 0 0 288.145 2.987 20 5 CFBDRN COc1cc(NC[C@H](O)CC(C)C)c([N+](=O)[O-])cc1F ZINC000301626827 201103077 /nfs/dbraw/zinc/10/30/77/201103077.db2.gz QLKXSVAIFSGSTK-SECBINFHSA-N 0 0 286.303 2.561 20 5 CFBDRN CCC1(CC)[C@H](Nc2ccc([N+](=O)[O-])cn2)C[C@@H]1OC ZINC000301656583 201118017 /nfs/dbraw/zinc/11/80/17/201118017.db2.gz BBJQLYPMYYZPLN-NEPJUHHUSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1nc(N[C@H](CCO)c2cccs2)ccc1[N+](=O)[O-] ZINC000301653687 201119186 /nfs/dbraw/zinc/11/91/86/201119186.db2.gz KQQUOIRZMIQFIV-SNVBAGLBSA-N 0 0 293.348 2.895 20 5 CFBDRN COc1cc(NCC(C)(C)OC)c([N+](=O)[O-])cc1F ZINC000301662182 201122833 /nfs/dbraw/zinc/12/28/33/201122833.db2.gz YAXJRJHXIFITEQ-UHFFFAOYSA-N 0 0 272.276 2.579 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000301666003 201123688 /nfs/dbraw/zinc/12/36/88/201123688.db2.gz OKDKEJGSQWGKSB-SMDDNHRTSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1nc(Oc2cccc([N+](=O)[O-])c2)ccc1[N+](=O)[O-] ZINC000301667187 201125461 /nfs/dbraw/zinc/12/54/61/201125461.db2.gz XDVIVLRAEOTNGL-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN CC[C@@H]1CCN(c2cc(C)c([N+](=O)[O-])c(C(=O)OC)c2)C1 ZINC000301669608 201125782 /nfs/dbraw/zinc/12/57/82/201125782.db2.gz NSQHATRORCLSSZ-LLVKDONJSA-N 0 0 292.335 2.926 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2[C@@H]3c4ccccc4C[C@H]23)c1[N+](=O)[O-] ZINC000301674187 201129653 /nfs/dbraw/zinc/12/96/53/201129653.db2.gz BDECLKHFIFVWIC-MGPQQGTHSA-N 0 0 298.346 2.635 20 5 CFBDRN O=C(NCCC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])s1 ZINC000183419139 189358487 /nfs/dbraw/zinc/35/84/87/189358487.db2.gz MTFCGRNJTFZDNH-JTQLQIEISA-N 0 0 298.364 2.735 20 5 CFBDRN COC(=O)c1cc(N(C)CC(C)C)cc(C)c1[N+](=O)[O-] ZINC000301702192 201147977 /nfs/dbraw/zinc/14/79/77/201147977.db2.gz UBTVQCSPMHDVBP-UHFFFAOYSA-N 0 0 280.324 2.782 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C)Cc1nccs1 ZINC000183361060 189356276 /nfs/dbraw/zinc/35/62/76/189356276.db2.gz WLJHBRGCMDRUGT-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN CCCCN(CC)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183547835 189362160 /nfs/dbraw/zinc/36/21/60/189362160.db2.gz FMALLXQZTSVNSV-UHFFFAOYSA-N 0 0 266.301 2.644 20 5 CFBDRN CCC(C)(CC)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183571948 189362450 /nfs/dbraw/zinc/36/24/50/189362450.db2.gz GFENBABVUSNUJJ-UHFFFAOYSA-N 0 0 266.301 2.690 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1nccs1 ZINC000183620140 189364273 /nfs/dbraw/zinc/36/42/73/189364273.db2.gz ZDZWIFXZGZJEKK-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN CCC(CC)CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183643220 189365191 /nfs/dbraw/zinc/36/51/91/189365191.db2.gz JVSKCHBKZILAMX-UHFFFAOYSA-N 0 0 266.301 2.548 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183646776 189365214 /nfs/dbraw/zinc/36/52/14/189365214.db2.gz OQGXRWRHTLWKKH-ZJUUUORDSA-N 0 0 280.328 2.936 20 5 CFBDRN NC(=O)[C@@H]1CCCCC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000301771965 201187843 /nfs/dbraw/zinc/18/78/43/201187843.db2.gz JPJLXKNLNGZSCQ-GHMZBOCLSA-N 0 0 295.314 2.580 20 5 CFBDRN CCCCCS(=O)(=O)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC000184318731 189382930 /nfs/dbraw/zinc/38/29/30/189382930.db2.gz JCIKLYIZJPDUOU-UHFFFAOYSA-N 0 0 291.300 2.633 20 5 CFBDRN COc1cc(CN2CCC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000184227272 189380199 /nfs/dbraw/zinc/38/01/99/189380199.db2.gz UESIBNUQNZAAKY-VIFPVBQESA-N 0 0 268.288 2.727 20 5 CFBDRN CN(C)c1cccc(CNc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000301807195 201210223 /nfs/dbraw/zinc/21/02/23/201210223.db2.gz AJSQYTQQVWSJOL-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H](O)CCc1ccccc1 ZINC000184726638 189394022 /nfs/dbraw/zinc/39/40/22/189394022.db2.gz HGUXIZVIDIBDLN-CQSZACIVSA-N 0 0 287.315 2.967 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ccc(C)cc1[N+](=O)[O-] ZINC000301825216 201220354 /nfs/dbraw/zinc/22/03/54/201220354.db2.gz OEOOLINENXZYRY-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@H]1COc2ccccc21 ZINC000301825530 201220752 /nfs/dbraw/zinc/22/07/52/201220752.db2.gz IUOLMCBCLRFDPX-ZWNOBZJWSA-N 0 0 285.303 2.966 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 ZINC000184796798 189395844 /nfs/dbraw/zinc/39/58/44/189395844.db2.gz KXWMMEIOGYKHAZ-UHFFFAOYSA-N 0 0 280.349 2.976 20 5 CFBDRN CN(C)c1cccc(CNc2ccc([N+](=O)[O-])cc2F)n1 ZINC000301875993 201244436 /nfs/dbraw/zinc/24/44/36/201244436.db2.gz YGIUHTLSNDPFQD-UHFFFAOYSA-N 0 0 290.298 2.807 20 5 CFBDRN CC(=O)c1ccc(NCc2ncoc2C)c([N+](=O)[O-])c1 ZINC000301878408 201245092 /nfs/dbraw/zinc/24/50/92/201245092.db2.gz UMDHPAWDXADRNL-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN COc1cc(CN(C)c2ccccc2[N+](=O)[O-])ccc1O ZINC000301879102 201246690 /nfs/dbraw/zinc/24/66/90/201246690.db2.gz UTRQTPHOKQUQTF-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN CC[C@H](Nc1ccccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000301844344 201229406 /nfs/dbraw/zinc/22/94/06/201229406.db2.gz LLNLSXVXNADVJZ-GWCFXTLKSA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1ccccc1CN(C)c1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301849138 201232042 /nfs/dbraw/zinc/23/20/42/201232042.db2.gz VYSNTAHOYVXJJS-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000301859598 201236700 /nfs/dbraw/zinc/23/67/00/201236700.db2.gz SSDKZOPXXIJSTD-ZWNOBZJWSA-N 0 0 295.295 2.873 20 5 CFBDRN Cc1ccnc([C@H](Nc2ncccc2[N+](=O)[O-])C2CC2)n1 ZINC000301866510 201240279 /nfs/dbraw/zinc/24/02/79/201240279.db2.gz NBEKPBHZNIYTLW-GFCCVEGCSA-N 0 0 285.307 2.651 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000185075471 189402820 /nfs/dbraw/zinc/40/28/20/189402820.db2.gz LRLJQSYCVTZTII-CHWSQXEVSA-N 0 0 262.309 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCCCC[C@H]2C(N)=O)c1 ZINC000301907278 201257295 /nfs/dbraw/zinc/25/72/95/201257295.db2.gz ALLJYLCICVSLBF-NEPJUHHUSA-N 0 0 291.351 2.749 20 5 CFBDRN COc1cc(NC2C[C@@H](C)O[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000301925102 201265012 /nfs/dbraw/zinc/26/50/12/201265012.db2.gz GUBLMSQECQKDEV-NXEZZACHSA-N 0 0 280.324 2.971 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1c2ccccc2C[C@H]1O ZINC000301931529 201266186 /nfs/dbraw/zinc/26/61/86/201266186.db2.gz LWMBSXLDZNTZLC-NEPJUHHUSA-N 0 0 276.317 2.727 20 5 CFBDRN Cc1nsc(Oc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000301935177 201267483 /nfs/dbraw/zinc/26/74/83/201267483.db2.gz SMLJGKNNPWHQAK-UHFFFAOYSA-N 0 0 251.267 2.855 20 5 CFBDRN COc1ccc(Oc2nc(C)ns2)c([N+](=O)[O-])c1 ZINC000301940665 201270506 /nfs/dbraw/zinc/27/05/06/201270506.db2.gz ZUECBNQHYYFUJV-UHFFFAOYSA-N 0 0 267.266 2.556 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H](O)Cc1ccccc1 ZINC000301979427 201284634 /nfs/dbraw/zinc/28/46/34/201284634.db2.gz FXQWTMOAOVNVHD-NSHDSACASA-N 0 0 278.333 2.672 20 5 CFBDRN Cc1cc(NCc2ccc(Cl)cn2)ncc1[N+](=O)[O-] ZINC000301981982 201286306 /nfs/dbraw/zinc/28/63/06/201286306.db2.gz BEYUKPGKKQVINH-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CCC(CC)(CO)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000185624567 189418189 /nfs/dbraw/zinc/41/81/89/189418189.db2.gz KQDCOCIDVBATJL-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2nccn(C3CC3)c2=O)c1 ZINC000302023960 201305214 /nfs/dbraw/zinc/30/52/14/201305214.db2.gz PGLDVIILWBXHET-UHFFFAOYSA-N 0 0 287.275 2.587 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000302026440 201306776 /nfs/dbraw/zinc/30/67/76/201306776.db2.gz QJISXOIOKGRMGD-BDAKNGLRSA-N 0 0 284.337 2.800 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCOC2(CCCC2)C1 ZINC000302004131 201294855 /nfs/dbraw/zinc/29/48/55/201294855.db2.gz KAFFSTKNKXHZEX-UHFFFAOYSA-N 0 0 268.338 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(CO)cc2)cc1 ZINC000302037414 201312011 /nfs/dbraw/zinc/31/20/11/201312011.db2.gz VGORDFIZIWRKKV-UHFFFAOYSA-N 0 0 272.304 2.742 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@]3(CC[C@@H](C)C3)C2)c1[N+](=O)[O-] ZINC000302039192 201314101 /nfs/dbraw/zinc/31/41/01/201314101.db2.gz HBWCJLABTZRPLC-IAQYHMDHSA-N 0 0 292.383 2.907 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOc2ccc(Cl)cc2)nc1 ZINC000302115036 201347292 /nfs/dbraw/zinc/34/72/92/201347292.db2.gz RFSOZZQGTFZDDD-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000186486918 189440330 /nfs/dbraw/zinc/44/03/30/189440330.db2.gz DLYBGNHSGGGPSG-SWLSCSKDSA-N 0 0 276.336 2.893 20 5 CFBDRN C[C@@H](c1cc(F)ccc1F)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302144830 201362942 /nfs/dbraw/zinc/36/29/42/201362942.db2.gz GZROPPRJQZBDND-QMMMGPOBSA-N 0 0 294.261 2.860 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Oc2ccc(F)nc2)c1 ZINC000302141359 201361288 /nfs/dbraw/zinc/36/12/88/201361288.db2.gz FNNBOQSLTJGFOG-UHFFFAOYSA-N 0 0 292.222 2.708 20 5 CFBDRN CSCCNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000302166093 201377604 /nfs/dbraw/zinc/37/76/04/201377604.db2.gz RKDGPBINOOWFHC-UHFFFAOYSA-N 0 0 278.280 2.971 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])cc1F ZINC000302171484 201379741 /nfs/dbraw/zinc/37/97/41/201379741.db2.gz UNDCZQQPZZMPQX-IUCAKERBSA-N 0 0 284.287 2.734 20 5 CFBDRN CCCN(c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)C1CC1 ZINC000302172649 201381535 /nfs/dbraw/zinc/38/15/35/201381535.db2.gz AWDMWSPUDHZSBC-UHFFFAOYSA-N 0 0 289.335 2.858 20 5 CFBDRN CCOc1ccc(Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302175710 201383645 /nfs/dbraw/zinc/38/36/45/201383645.db2.gz WZQBDUKXGXLBHD-UHFFFAOYSA-N 0 0 261.237 2.576 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cn1)c1nc2ccccc2[nH]1 ZINC000302180104 201385463 /nfs/dbraw/zinc/38/54/63/201385463.db2.gz ZDWMXERJKNXGEV-JTQLQIEISA-N 0 0 298.306 2.824 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ncccc1C ZINC000427113204 239284409 /nfs/dbraw/zinc/28/44/09/239284409.db2.gz GDHBDFJFKNEYOV-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCOCCCNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000187382546 189465815 /nfs/dbraw/zinc/46/58/15/189465815.db2.gz SAZKMYPVCYKHRG-UHFFFAOYSA-N 0 0 294.351 2.875 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000187406206 189466485 /nfs/dbraw/zinc/46/64/85/189466485.db2.gz MAXCJMRHNYQFTJ-RISCZKNCSA-N 0 0 292.335 2.621 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NCCC2CCCCC2)n1 ZINC000427262209 239299397 /nfs/dbraw/zinc/29/93/97/239299397.db2.gz FZBDSGOLVNAWDW-UHFFFAOYSA-N 0 0 294.355 2.511 20 5 CFBDRN O=[N+]([O-])c1cccc(NCCOCC(F)(F)C(F)F)c1 ZINC000189579021 189538162 /nfs/dbraw/zinc/53/81/62/189538162.db2.gz OHHLERUZDOJVJD-UHFFFAOYSA-N 0 0 296.220 2.924 20 5 CFBDRN C[C@H](CNc1ccc(Cl)cc1[N+](=O)[O-])c1nncn1C ZINC000189963361 189550472 /nfs/dbraw/zinc/55/04/72/189550472.db2.gz RCRDVWYKOIXZFV-MRVPVSSYSA-N 0 0 295.730 2.592 20 5 CFBDRN COc1ccc(OCc2nc(C)cs2)cc1[N+](=O)[O-] ZINC000190181449 189556525 /nfs/dbraw/zinc/55/65/25/189556525.db2.gz KFLGPGBXIMYRGM-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN Cc1ccc(NC(=O)CCOCC(F)F)cc1[N+](=O)[O-] ZINC000190264915 189559092 /nfs/dbraw/zinc/55/90/92/189559092.db2.gz ZCLAYUCWNUROPG-UHFFFAOYSA-N 0 0 288.250 2.514 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000190507903 189566824 /nfs/dbraw/zinc/56/68/24/189566824.db2.gz MEEFGLRVLOZTQF-NSHDSACASA-N 0 0 292.335 2.579 20 5 CFBDRN Cc1ncoc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000430528145 239771017 /nfs/dbraw/zinc/77/10/17/239771017.db2.gz WVNCUSKPRXLCLE-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN CCNC(=O)c1ccc(NCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000192806599 189629706 /nfs/dbraw/zinc/62/97/06/189629706.db2.gz MMKMBDLGPWVOLJ-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)C1CCCCCC1 ZINC000432530417 240019179 /nfs/dbraw/zinc/01/91/79/240019179.db2.gz IWQFXVWPRDKSPG-UHFFFAOYSA-N 0 0 265.313 2.639 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)C(C)C ZINC000192903495 189631657 /nfs/dbraw/zinc/63/16/57/189631657.db2.gz MMDSWCNWSKBKFE-SNVBAGLBSA-N 0 0 250.298 2.678 20 5 CFBDRN CCCCOC[C@H](O)COc1cc(C)c([N+](=O)[O-])cc1C ZINC000432743621 240036273 /nfs/dbraw/zinc/03/62/73/240036273.db2.gz WWKDDZGRUHWOKT-ZDUSSCGKSA-N 0 0 297.351 2.768 20 5 CFBDRN C[C@@H](O)CCCNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000432844346 240056159 /nfs/dbraw/zinc/05/61/59/240056159.db2.gz DELOGDXQWQAIGJ-MRVPVSSYSA-N 0 0 290.266 2.769 20 5 CFBDRN COc1c(C(=O)N2CC(C)=C[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000435265996 240301458 /nfs/dbraw/zinc/30/14/58/240301458.db2.gz DVBPOWMSWAKBJC-JTQLQIEISA-N 0 0 290.319 2.642 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ccccc2[N+](=O)[O-])O1 ZINC000302359168 201437861 /nfs/dbraw/zinc/43/78/61/201437861.db2.gz CTBXWOUICZGJPT-JTQLQIEISA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@@H](O)Cc2ccccc21 ZINC000435047785 240287758 /nfs/dbraw/zinc/28/77/58/240287758.db2.gz DCIBNBAFWWPAKF-INIZCTEOSA-N 0 0 298.342 2.827 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@H](O)C(F)F ZINC000435597207 240328689 /nfs/dbraw/zinc/32/86/89/240328689.db2.gz IKFIJAGAVMYJBG-QMMMGPOBSA-N 0 0 280.658 2.595 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000435920990 240371680 /nfs/dbraw/zinc/37/16/80/240371680.db2.gz BJBLORNLODJLNX-PWSUYJOCSA-N 0 0 277.324 2.583 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000435972084 240375726 /nfs/dbraw/zinc/37/57/26/240375726.db2.gz PGSJURQFHNHURX-SMDDNHRTSA-N 0 0 285.303 2.604 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)ns1 ZINC000436044496 240381476 /nfs/dbraw/zinc/38/14/76/240381476.db2.gz XKLOBPUAGZGDGW-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CC[C@H](C)[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C(F)(F)F ZINC000436121043 240392415 /nfs/dbraw/zinc/39/24/15/240392415.db2.gz XRRKHRBWQCJNTG-RCOVLWMOSA-N 0 0 293.245 2.630 20 5 CFBDRN Cc1nn([C@H](C)C(=O)c2ccccc2)cc1[N+](=O)[O-] ZINC000436475758 240451118 /nfs/dbraw/zinc/45/11/18/240451118.db2.gz VKAWABMHKOMBDP-SNVBAGLBSA-N 0 0 259.265 2.544 20 5 CFBDRN Cc1ccc([C@H](O)CNc2cccc(C)c2[N+](=O)[O-])o1 ZINC000275412073 193026607 /nfs/dbraw/zinc/02/66/07/193026607.db2.gz GKJFUNBROLNSOR-GFCCVEGCSA-N 0 0 276.292 2.950 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@H](C)[C@@H](C)O1 ZINC000437919193 240607205 /nfs/dbraw/zinc/60/72/05/240607205.db2.gz UKCKBMYFGPHAEQ-GMTAPVOTSA-N 0 0 293.323 2.624 20 5 CFBDRN NC(=O)c1ccc(CSCCC2CC2)c([N+](=O)[O-])c1 ZINC000437920523 240607539 /nfs/dbraw/zinc/60/75/39/240607539.db2.gz GPCFDSMBYHVVBR-UHFFFAOYSA-N 0 0 280.349 2.727 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC(C)(C)C(C)(C)O ZINC000275488422 193056385 /nfs/dbraw/zinc/05/63/85/193056385.db2.gz AEPFWLWCOCEMOR-UHFFFAOYSA-N 0 0 295.339 2.574 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCc3nccn3C2)c1 ZINC000275540094 193071688 /nfs/dbraw/zinc/07/16/88/193071688.db2.gz MPEPDGYPQVTEEB-LBPRGKRZSA-N 0 0 286.335 2.774 20 5 CFBDRN CN(Cc1c(Br)cccc1[N+](=O)[O-])C1CC1 ZINC000685948492 541456249 /nfs/dbraw/zinc/45/62/49/541456249.db2.gz PJPSOSRHRDDMOU-UHFFFAOYSA-N 0 0 285.141 2.952 20 5 CFBDRN C[C@H](Nc1nc2sccn2c1[N+](=O)[O-])c1cncs1 ZINC000439133859 240740981 /nfs/dbraw/zinc/74/09/81/240740981.db2.gz UGDSQYQSRIRVMS-LURJTMIESA-N 0 0 295.349 2.934 20 5 CFBDRN C[C@H]1CCCC[C@@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000439130408 240741061 /nfs/dbraw/zinc/74/10/61/240741061.db2.gz FOPVSCWWCQSVHI-ONGXEEELSA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1ccnc(N[C@@H](C)c2cncs2)c1[N+](=O)[O-] ZINC000439131908 240741640 /nfs/dbraw/zinc/74/16/40/240741640.db2.gz OWXIHLVPCIXJDG-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN CN(C(=O)c1ccccc1Cl)c1ccc([N+](=O)[O-])nc1 ZINC000439288092 240757444 /nfs/dbraw/zinc/75/74/44/240757444.db2.gz YZLBKAKGAUQTRW-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000439713702 240789179 /nfs/dbraw/zinc/78/91/79/240789179.db2.gz JHICPUOFJYSISD-GHMZBOCLSA-N 0 0 267.329 2.507 20 5 CFBDRN CO[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CC[C@H]1C ZINC000275826045 193139618 /nfs/dbraw/zinc/13/96/18/193139618.db2.gz KRWJTQZMYWPZFE-QMTHXVAHSA-N 0 0 282.315 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cc3ccccc3[nH]2)s1 ZINC000443505869 241068238 /nfs/dbraw/zinc/06/82/38/241068238.db2.gz CEPGIUXSDJQXRH-UHFFFAOYSA-N 0 0 274.305 2.567 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000443990375 241102337 /nfs/dbraw/zinc/10/23/37/241102337.db2.gz QMWRGNAEXKMKSM-LLVKDONJSA-N 0 0 266.345 2.509 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000443995156 241105566 /nfs/dbraw/zinc/10/55/66/241105566.db2.gz QDEKJYDHKKFOGI-LLVKDONJSA-N 0 0 289.339 2.510 20 5 CFBDRN CCc1ccc(NCc2c([N+](=O)[O-])ncn2C)cc1F ZINC000443449943 241063737 /nfs/dbraw/zinc/06/37/37/241063737.db2.gz BRPHEVCNOYVBEV-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)Cc1ccccc1Cl ZINC000443465895 241065295 /nfs/dbraw/zinc/06/52/95/241065295.db2.gz IAQFRMQYTVEOAN-UHFFFAOYSA-N 0 0 293.710 2.565 20 5 CFBDRN Cc1ccccc1C[C@@H](C)N(C)c1c([N+](=O)[O-])ncn1C ZINC000444863950 241198043 /nfs/dbraw/zinc/19/80/43/241198043.db2.gz GVKRXWPVJHLIJB-GFCCVEGCSA-N 0 0 288.351 2.704 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2csc(Cn3cccn3)n2)cc1 ZINC000445552330 241224520 /nfs/dbraw/zinc/22/45/20/241224520.db2.gz JFTQZIAXDRERKN-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1ncco1 ZINC000446120764 241279230 /nfs/dbraw/zinc/27/92/30/241279230.db2.gz FYZNASZIDAYAFP-UHFFFAOYSA-N 0 0 293.304 2.622 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)c1cn[nH]c1 ZINC000446478912 241300908 /nfs/dbraw/zinc/30/09/08/241300908.db2.gz ABXZGZZWRIVOCU-SECBINFHSA-N 0 0 289.295 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)O[C@H](C)[C@@H]2C)c1 ZINC000446613277 241308831 /nfs/dbraw/zinc/30/88/31/241308831.db2.gz USASBKFXUIQXHV-SDDRHHMPSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@H]1C[C@@H](CSc2ncccc2[N+](=O)[O-])CCO1 ZINC000447303111 241365624 /nfs/dbraw/zinc/36/56/24/241365624.db2.gz HBNIYBPFHNABHL-ZJUUUORDSA-N 0 0 268.338 2.897 20 5 CFBDRN Cc1ccnc(Nc2cccc(N3CCC3=O)c2)c1[N+](=O)[O-] ZINC000447805118 241411176 /nfs/dbraw/zinc/41/11/76/241411176.db2.gz HPXYGOKYCOSYLQ-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN O=C(Nc1ccc2cc[nH]c2c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000447642251 241397139 /nfs/dbraw/zinc/39/71/39/241397139.db2.gz DDVUKFYDSRXKRR-UHFFFAOYSA-N 0 0 270.248 2.657 20 5 CFBDRN C[C@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000376473869 291392236 /nfs/dbraw/zinc/39/22/36/291392236.db2.gz JDHOGDGEBBCRMB-LSDHHAIUSA-N 0 0 291.351 2.794 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CC[C@@H]1CCCO1 ZINC000302702826 201517623 /nfs/dbraw/zinc/51/76/23/201517623.db2.gz ISXKZXWYIRSBLQ-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@](O)(CNc1nccc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000450327747 242358822 /nfs/dbraw/zinc/35/88/22/242358822.db2.gz FARMGPNDTLMVRK-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc(F)ccc1CN(C)c1ncc([N+](=O)[O-])c(C)n1 ZINC000450482968 242445648 /nfs/dbraw/zinc/44/56/48/242445648.db2.gz YLAYQTCHLNOMOR-UHFFFAOYSA-N 0 0 290.298 2.777 20 5 CFBDRN COCCSc1nccc2c1cccc2[N+](=O)[O-] ZINC000450363191 242379475 /nfs/dbraw/zinc/37/94/75/242379475.db2.gz JNVRUTNSODGWKP-UHFFFAOYSA-N 0 0 264.306 2.882 20 5 CFBDRN Cn1cc([C@@H](Nc2ccc([N+](=O)[O-])nc2)C(C)(C)C)cn1 ZINC000450376329 242385276 /nfs/dbraw/zinc/38/52/76/242385276.db2.gz GZOPEDGTTSMIHS-CYBMUJFWSA-N 0 0 289.339 2.923 20 5 CFBDRN C[C@]1(CNc2nccc3cc([N+](=O)[O-])ccc32)CCCO1 ZINC000450382240 242388463 /nfs/dbraw/zinc/38/84/63/242388463.db2.gz WYQYVENPDDOBKQ-OAHLLOKOSA-N 0 0 287.319 2.546 20 5 CFBDRN CC(=O)CCCCCn1nc(C)c([N+](=O)[O-])c1C ZINC000450560825 242480420 /nfs/dbraw/zinc/48/04/20/242480420.db2.gz HUCPSCKETYUNSI-UHFFFAOYSA-N 0 0 253.302 2.558 20 5 CFBDRN CCOc1cc(N2CC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000450642463 242520040 /nfs/dbraw/zinc/52/00/40/242520040.db2.gz IFRNDTAHPHNVCP-GFCCVEGCSA-N 0 0 262.309 2.982 20 5 CFBDRN CC[C@@H]1C[C@H]1CNc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000450727349 242567477 /nfs/dbraw/zinc/56/74/77/242567477.db2.gz YLWHVCHDJRJPMM-NEPJUHHUSA-N 0 0 292.335 2.768 20 5 CFBDRN Cc1cc(NC[C@@H]2CCO[C@H](C(C)C)C2)ncc1[N+](=O)[O-] ZINC000450741952 242575026 /nfs/dbraw/zinc/57/50/26/242575026.db2.gz ZCGQRPQCGWHFBH-OCCSQVGLSA-N 0 0 293.367 2.583 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](CNc2ncccc2[N+](=O)[O-])CCO1 ZINC000450741885 242575480 /nfs/dbraw/zinc/57/54/80/242575480.db2.gz YRZUASPLAUHMJM-AAEUAGOBSA-N 0 0 279.340 2.853 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@H](CCCO)C1 ZINC000450949544 242685448 /nfs/dbraw/zinc/68/54/48/242685448.db2.gz AWVJISGKSACJHG-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN COCCc1nsc(Oc2cccc(C)c2[N+](=O)[O-])n1 ZINC000302800637 201558707 /nfs/dbraw/zinc/55/87/07/201558707.db2.gz CFSNHSLDDXQXBA-UHFFFAOYSA-N 0 0 295.320 2.736 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)C[C@@H](O)C1CC1 ZINC000302839660 201573575 /nfs/dbraw/zinc/57/35/75/201573575.db2.gz ZKRKUYVATVQRAE-GFCCVEGCSA-N 0 0 284.743 2.764 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000451668891 242917413 /nfs/dbraw/zinc/91/74/13/242917413.db2.gz XDQPMOVAEVXFNT-DGCLKSJQSA-N 0 0 276.336 2.642 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000452185936 243077709 /nfs/dbraw/zinc/07/77/09/243077709.db2.gz UGBMWHLUFNELOO-SWPVVBRQSA-N 0 0 284.262 2.673 20 5 CFBDRN C[C@H](C(=O)NC1CC(C)(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000452278799 243109712 /nfs/dbraw/zinc/10/97/12/243109712.db2.gz URXGTJWPTZKRQZ-JUXJKVCFSA-N 0 0 298.289 2.844 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21)C(C)(F)F ZINC000452460874 243180806 /nfs/dbraw/zinc/18/08/06/243180806.db2.gz SGOWXEUOQVYDSZ-ZETCQYMHSA-N 0 0 297.261 2.850 20 5 CFBDRN CCC1(NC(=O)CSc2ccccc2[N+](=O)[O-])CC1 ZINC000452460522 243181238 /nfs/dbraw/zinc/18/12/38/243181238.db2.gz OWAWCBGOYSYVAT-UHFFFAOYSA-N 0 0 280.349 2.746 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000452488217 243192969 /nfs/dbraw/zinc/19/29/69/243192969.db2.gz OIKTXBVDZMAPKV-LKFCYVNXSA-N 0 0 292.310 2.848 20 5 CFBDRN C[C@@]12CN(C(=O)c3ccc([N+](=O)[O-])cc3)C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000452601533 243239014 /nfs/dbraw/zinc/23/90/14/243239014.db2.gz NRQDAUXCQUMLPZ-CXUGXGMPSA-N 0 0 298.342 2.879 20 5 CFBDRN CC/C=C/CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000452618650 243248211 /nfs/dbraw/zinc/24/82/11/243248211.db2.gz WDKWCJNGVNEYKU-ONEGZZNKSA-N 0 0 291.351 2.869 20 5 CFBDRN CCOc1ccc(C(=O)NC2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000452699423 243266429 /nfs/dbraw/zinc/26/64/29/243266429.db2.gz AVKDCHMMSDUWDE-UHFFFAOYSA-N 0 0 296.298 2.614 20 5 CFBDRN O=C(NCC[C@@H]1CCc2ccccc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000452719918 243274064 /nfs/dbraw/zinc/27/40/64/243274064.db2.gz SCXOUKOGKMKSMA-LBPRGKRZSA-N 0 0 299.330 2.773 20 5 CFBDRN CCO[C@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C[C@@H]1C ZINC000452935351 243341095 /nfs/dbraw/zinc/34/10/95/243341095.db2.gz UGDXNBXEVQFQSH-ONGXEEELSA-N 0 0 298.364 2.543 20 5 CFBDRN CC[C@@H](C)c1noc(-c2ccc([N+](=O)[O-])c(NC)n2)n1 ZINC000453058929 243379802 /nfs/dbraw/zinc/37/98/02/243379802.db2.gz HQUDANVHLMFUPD-SSDOTTSWSA-N 0 0 277.284 2.595 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)C(C)C ZINC000330561241 202973746 /nfs/dbraw/zinc/97/37/46/202973746.db2.gz IBVMVFHHKVNPSI-MRVPVSSYSA-N 0 0 256.327 2.821 20 5 CFBDRN CC[C@@H](CC(C)C)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000453161403 243433953 /nfs/dbraw/zinc/43/39/53/243433953.db2.gz IIAXOYLXEVBSDR-VIFPVBQESA-N 0 0 293.327 2.918 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCC[C@@H]1CCCO1 ZINC000330566720 202981273 /nfs/dbraw/zinc/98/12/73/202981273.db2.gz GNMBDRAUQAYQKC-QMMMGPOBSA-N 0 0 272.688 2.591 20 5 CFBDRN O=C(N[C@@H]1CCSC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000266495730 284088199 /nfs/dbraw/zinc/08/81/99/284088199.db2.gz RGLFRWNWYZFNIE-SECBINFHSA-N 0 0 292.316 2.576 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)[C@@H]1CCC[C@@H](C)C1 ZINC000454354835 243693034 /nfs/dbraw/zinc/69/30/34/243693034.db2.gz DMIYHSYCZUWPKX-OUAUKWLOSA-N 0 0 279.340 2.868 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000454963186 243857431 /nfs/dbraw/zinc/85/74/31/243857431.db2.gz RALRLNAJIFGTER-DLOVCJGASA-N 0 0 295.314 2.846 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000330670381 203099073 /nfs/dbraw/zinc/09/90/73/203099073.db2.gz ZEMRKVVBMREAKJ-GFCCVEGCSA-N 0 0 292.335 2.622 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCO[C@H](C)C1 ZINC000330674416 203104569 /nfs/dbraw/zinc/10/45/69/203104569.db2.gz GIOQPWBYVXMHOH-MNOVXSKESA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456193686 244100976 /nfs/dbraw/zinc/10/09/76/244100976.db2.gz TZYLVNASQNHYRG-ZDUSSCGKSA-N 0 0 295.339 2.779 20 5 CFBDRN C[C@H]1N(Cc2ccc([N+](=O)[O-])cc2F)CCOC1(C)C ZINC000330700232 203132858 /nfs/dbraw/zinc/13/28/58/203132858.db2.gz GSLWFLGFSHWCFH-SNVBAGLBSA-N 0 0 282.315 2.733 20 5 CFBDRN COc1cccc(C(=O)NC[C@@H]2C[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000456329057 244141744 /nfs/dbraw/zinc/14/17/44/244141744.db2.gz ZVSKXLLMWHCBJD-JQWIXIFHSA-N 0 0 292.335 2.625 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2C[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000456330069 244142599 /nfs/dbraw/zinc/14/25/99/244142599.db2.gz MKJLXRNPPUVFGP-AAEUAGOBSA-N 0 0 276.336 2.925 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])n1C)C1CCCC1 ZINC000456690394 244259773 /nfs/dbraw/zinc/25/97/73/244259773.db2.gz KPVZVIZVPDBQQE-LLVKDONJSA-N 0 0 279.340 2.632 20 5 CFBDRN COCC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCCC1 ZINC000456851792 244305247 /nfs/dbraw/zinc/30/52/47/244305247.db2.gz CZYSYYLNEMXBQG-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000456877406 244317075 /nfs/dbraw/zinc/31/70/75/244317075.db2.gz JAMNMSLSAKXUNF-JQWIXIFHSA-N 0 0 299.330 2.852 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000456941711 244332550 /nfs/dbraw/zinc/33/25/50/244332550.db2.gz KGEGENSMPSOLPJ-CHWSQXEVSA-N 0 0 292.335 2.591 20 5 CFBDRN COC[C@@H]1CCCCN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000456945657 244333570 /nfs/dbraw/zinc/33/35/70/244333570.db2.gz UHOYYDSWXISHRX-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN COC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000457045449 244369988 /nfs/dbraw/zinc/36/99/88/244369988.db2.gz RNZSYIYJLSTFER-SNVBAGLBSA-N 0 0 298.364 2.545 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CC[C@@H](CC(C)(C)C)C2)c1[N+](=O)[O-] ZINC000457013247 244358308 /nfs/dbraw/zinc/35/83/08/244358308.db2.gz JMZIZZPAVVZGND-JTQLQIEISA-N 0 0 294.355 2.525 20 5 CFBDRN O=C(NCCC1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000457210506 244434430 /nfs/dbraw/zinc/43/44/30/244434430.db2.gz GRMPYUNNWNMASU-UHFFFAOYSA-N 0 0 284.262 2.760 20 5 CFBDRN O=C(N[C@H](C1CC1)C1CCC1)c1ccc([N+](=O)[O-])s1 ZINC000457219301 244441094 /nfs/dbraw/zinc/44/10/94/244441094.db2.gz JQRNBADBHYNTSH-LBPRGKRZSA-N 0 0 280.349 2.965 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)O1 ZINC000457349213 244493111 /nfs/dbraw/zinc/49/31/11/244493111.db2.gz ATCFNJYXDWLBAT-UFBFGSQYSA-N 0 0 298.726 2.546 20 5 CFBDRN CCCC(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000330880039 203346868 /nfs/dbraw/zinc/34/68/68/203346868.db2.gz MRUYFKRGNHBXJT-ZDUSSCGKSA-N 0 0 280.349 2.969 20 5 CFBDRN CCC(O)(CC)CCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000276171482 193232396 /nfs/dbraw/zinc/23/23/96/193232396.db2.gz CQZCTEKKGHGDEL-UHFFFAOYSA-N 0 0 287.747 2.996 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@]1(O)CCSC1 ZINC000276228147 193250976 /nfs/dbraw/zinc/25/09/76/193250976.db2.gz NCROZXFBJNFQQC-LLVKDONJSA-N 0 0 288.756 2.528 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2ncc([N+](=O)[O-])cn2)C1 ZINC000230872999 189811210 /nfs/dbraw/zinc/81/12/10/189811210.db2.gz SGKUSONXGGHTLR-NXEZZACHSA-N 0 0 250.302 2.623 20 5 CFBDRN Cc1cc(N[C@@H]2CSC2(C)C)ncc1[N+](=O)[O-] ZINC000276249685 193257097 /nfs/dbraw/zinc/25/70/97/193257097.db2.gz AXMOTXGQOMBGNN-SECBINFHSA-N 0 0 253.327 2.604 20 5 CFBDRN CCC[C@@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330930390 203397317 /nfs/dbraw/zinc/39/73/17/203397317.db2.gz PIUPTNUGTGWLGE-AWEZNQCLSA-N 0 0 279.340 2.965 20 5 CFBDRN CCCc1[nH]nc(-c2nc(C(C)(C)C)no2)c1[N+](=O)[O-] ZINC000276498909 193357050 /nfs/dbraw/zinc/35/70/50/193357050.db2.gz WTZBWNYIEXWEDH-UHFFFAOYSA-N 0 0 279.300 2.618 20 5 CFBDRN COCCCCCOc1ccc(OC)cc1[N+](=O)[O-] ZINC000116610549 376198144 /nfs/dbraw/zinc/19/81/44/376198144.db2.gz RYQMUGZVUKXVRQ-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN COc1c(C(=O)N2C[C@H](C)CC2(C)C)cccc1[N+](=O)[O-] ZINC000331062689 203507554 /nfs/dbraw/zinc/50/75/54/203507554.db2.gz OKQJEXDHEIZTSV-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000276602845 193397148 /nfs/dbraw/zinc/39/71/48/193397148.db2.gz KQYBQGPJSRPJDU-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc(F)cc([N+](=O)[O-])c1 ZINC000458251172 244813284 /nfs/dbraw/zinc/81/32/84/244813284.db2.gz DYPIXBMBWXDUMA-OXHMUOHRSA-N 0 0 278.283 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCn1cc(Cl)cn1)CC2 ZINC000459404422 245167585 /nfs/dbraw/zinc/16/75/85/245167585.db2.gz LZHZDFRLIVGBJB-UHFFFAOYSA-N 0 0 292.726 2.507 20 5 CFBDRN COC1(c2ccccc2)CN(Cc2cccc([N+](=O)[O-])c2)C1 ZINC000459553642 245216172 /nfs/dbraw/zinc/21/61/72/245216172.db2.gz GTOLTOKVOJGILY-UHFFFAOYSA-N 0 0 298.342 2.952 20 5 CFBDRN C[C@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1ccn(C)n1 ZINC000459773599 245317137 /nfs/dbraw/zinc/31/71/37/245317137.db2.gz CEPFNJBXCFVNCL-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN CCN(C(=O)c1ccccc1[N+](=O)[O-])c1cccnc1C ZINC000439241342 284259113 /nfs/dbraw/zinc/25/91/13/284259113.db2.gz YQNNPAHSURJBKZ-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000461887406 245373239 /nfs/dbraw/zinc/37/32/39/245373239.db2.gz WZOXOLMSNVJFNV-AOOOYVTPSA-N 0 0 268.288 2.656 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000331798139 204174706 /nfs/dbraw/zinc/17/47/06/204174706.db2.gz UTXNPQAEERDWTH-MWLCHTKSSA-N 0 0 287.319 2.995 20 5 CFBDRN CCOC1CC(Sc2ccc([N+](=O)[O-])cn2)C1 ZINC000331868133 204247670 /nfs/dbraw/zinc/24/76/70/204247670.db2.gz ATTPVGMPUYCYMF-UHFFFAOYSA-N 0 0 254.311 2.649 20 5 CFBDRN CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC1 ZINC000331955685 204333813 /nfs/dbraw/zinc/33/38/13/204333813.db2.gz LDIKDKDJRVFXRH-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000332121063 204479381 /nfs/dbraw/zinc/47/93/81/204479381.db2.gz CDCXSYWHEMKLCJ-DOMZBBRYSA-N 0 0 276.336 2.956 20 5 CFBDRN COC(=O)[C@H](CNc1ccc([N+](=O)[O-])cc1F)CC(C)C ZINC000462879953 245394477 /nfs/dbraw/zinc/39/44/77/245394477.db2.gz TUBBWJKCWXBOPC-JTQLQIEISA-N 0 0 298.314 2.981 20 5 CFBDRN CCC[C@@H](C)Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000011607083 371921246 /nfs/dbraw/zinc/92/12/46/371921246.db2.gz FRQYVEKXHNRIGG-SECBINFHSA-N 0 0 286.353 2.599 20 5 CFBDRN Cc1ccc(NC(=O)c2ccccc2O)cc1[N+](=O)[O-] ZINC000011639196 371922423 /nfs/dbraw/zinc/92/24/23/371922423.db2.gz HJFCAUZLWLAJDE-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC2CCCC2)c1 ZINC000016206107 372101355 /nfs/dbraw/zinc/10/13/55/372101355.db2.gz FOYNDSRJFLNBFT-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2ncnc3[nH]cnc32)cc1 ZINC000017147332 372129210 /nfs/dbraw/zinc/12/92/10/372129210.db2.gz UBRDHOJAHBCLCW-UHFFFAOYSA-N 0 0 287.304 2.553 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)Nc2ccccc2)c1 ZINC000021614193 372241796 /nfs/dbraw/zinc/24/17/96/372241796.db2.gz NUGCGAFZKPTJHC-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN COc1ccc(C(=O)NCC2CCCCC2)cc1[N+](=O)[O-] ZINC000023028252 372250844 /nfs/dbraw/zinc/25/08/44/372250844.db2.gz COOYFLPRWBHEHW-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSc1nncs1 ZINC000024221114 372272538 /nfs/dbraw/zinc/27/25/38/372272538.db2.gz VFAJUSVYHOTKNV-UHFFFAOYSA-N 0 0 283.334 2.747 20 5 CFBDRN Cc1cc(OCC(=O)c2cccn2C)ccc1[N+](=O)[O-] ZINC000024521115 372276684 /nfs/dbraw/zinc/27/66/84/372276684.db2.gz WJQUUPKMCANBOF-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN CCCc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000025594493 372304687 /nfs/dbraw/zinc/30/46/87/372304687.db2.gz UUXIWLWDEQBLMG-UHFFFAOYSA-N 0 0 274.280 2.523 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1COc2ccccc2O1 ZINC000025763274 372306019 /nfs/dbraw/zinc/30/60/19/372306019.db2.gz HCHLGZRCSIYEQA-LLVKDONJSA-N 0 0 286.287 2.847 20 5 CFBDRN COc1cc(F)ccc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000026023438 372312945 /nfs/dbraw/zinc/31/29/45/372312945.db2.gz OFTKWJZEJWYSRR-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cccs1 ZINC000031929763 372422432 /nfs/dbraw/zinc/42/24/32/372422432.db2.gz AORHCKCZDJVRFA-UHFFFAOYSA-N 0 0 276.317 2.929 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)Nc1ccccc1O ZINC000033633685 372498371 /nfs/dbraw/zinc/49/83/71/372498371.db2.gz GIXDBIGRSFKQSC-HJWRWDBZSA-N 0 0 284.271 2.952 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1ccccc1[N+](=O)[O-] ZINC000037058832 372643465 /nfs/dbraw/zinc/64/34/65/372643465.db2.gz CUVGLBILMBCMEN-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN COc1ncccc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000037650345 372686518 /nfs/dbraw/zinc/68/65/18/372686518.db2.gz WTSFKFIZKAIXKP-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCOC2)c2ncccc12 ZINC000037988100 372750472 /nfs/dbraw/zinc/75/04/72/372750472.db2.gz ZCQGYWMSGFVAFX-JTQLQIEISA-N 0 0 273.292 2.591 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000479587428 245624671 /nfs/dbraw/zinc/62/46/71/245624671.db2.gz RFYDWUISQILYJD-XYPYZODXSA-N 0 0 291.351 2.876 20 5 CFBDRN C[C@@H](Cn1ccnc1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000042375589 372916128 /nfs/dbraw/zinc/91/61/28/372916128.db2.gz PWDIBVPOVYFKAA-VIFPVBQESA-N 0 0 280.715 2.945 20 5 CFBDRN CCC1(CC)[C@@H](Nc2ccc([N+](=O)[O-])nc2)C[C@@H]1OC ZINC000481815039 245649606 /nfs/dbraw/zinc/64/96/06/245649606.db2.gz MVKGZBSDTMADSH-RYUDHWBXSA-N 0 0 279.340 2.995 20 5 CFBDRN CCN(CC(C)C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000045484097 373019537 /nfs/dbraw/zinc/01/95/37/373019537.db2.gz LSKBREKRNZXXIW-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000485517345 245686883 /nfs/dbraw/zinc/68/68/83/245686883.db2.gz OSMJDPYDIADIMB-ZSBIGDGJSA-N 0 0 261.281 2.515 20 5 CFBDRN Cc1cccc(C)c1NCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000046487595 373054061 /nfs/dbraw/zinc/05/40/61/373054061.db2.gz SRSBODUCAVIRIH-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN Cc1ccc(O)c(C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000046136781 373043077 /nfs/dbraw/zinc/04/30/77/373043077.db2.gz RCKFSASTDRKZFF-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048297683 373158424 /nfs/dbraw/zinc/15/84/24/373158424.db2.gz RMXSUYWRBZGNDE-QMMMGPOBSA-N 0 0 261.281 2.604 20 5 CFBDRN Cc1ccc([C@H](C)Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000048346283 373167568 /nfs/dbraw/zinc/16/75/68/373167568.db2.gz MUWSNDGEMLGQRN-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN CC(C)(C)NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000047832899 373120438 /nfs/dbraw/zinc/12/04/38/373120438.db2.gz MPTUKIPDAZSXKF-UHFFFAOYSA-N 0 0 279.340 2.702 20 5 CFBDRN CCNc1ccc(C(=O)NCC2CCC2)cc1[N+](=O)[O-] ZINC000047873045 373125801 /nfs/dbraw/zinc/12/58/01/373125801.db2.gz URSUPZQFDAVJKI-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCc2cn3ccccc3n2)c1 ZINC000048436816 373182602 /nfs/dbraw/zinc/18/26/02/373182602.db2.gz MNNXFMBHGUBMMR-UHFFFAOYSA-N 0 0 282.303 2.532 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccco1 ZINC000048468793 373186809 /nfs/dbraw/zinc/18/68/09/373186809.db2.gz YEWYWQXHONDXJG-UHFFFAOYSA-N 0 0 275.264 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOc2ccccc2)nc1 ZINC000048936613 373233592 /nfs/dbraw/zinc/23/35/92/373233592.db2.gz PMQRSEMZHFIAHO-UHFFFAOYSA-N 0 0 273.292 2.871 20 5 CFBDRN CN(Cc1ccccn1)c1ccc([N+](=O)[O-])cc1F ZINC000048962502 373239398 /nfs/dbraw/zinc/23/93/98/373239398.db2.gz STGLNZHUAOWESA-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000049094492 373268461 /nfs/dbraw/zinc/26/84/61/373268461.db2.gz LQEHJOVIWYEZNO-IUCAKERBSA-N 0 0 293.323 2.772 20 5 CFBDRN CC(C)Cc1noc(CSc2ncccc2[N+](=O)[O-])n1 ZINC000049418537 373294606 /nfs/dbraw/zinc/29/46/06/373294606.db2.gz PZVPQOTXOBGTGE-UHFFFAOYSA-N 0 0 294.336 2.864 20 5 CFBDRN CCCN(CC)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037443 373341523 /nfs/dbraw/zinc/34/15/23/373341523.db2.gz KBDGEMQNMBGHNW-UHFFFAOYSA-N 0 0 272.251 2.745 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1ccccc1O ZINC000050046696 373342062 /nfs/dbraw/zinc/34/20/62/373342062.db2.gz NTWFTEPFHLVHMZ-UHFFFAOYSA-N 0 0 279.321 2.598 20 5 CFBDRN CCOC(=O)[C@H]1CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000050069938 373349672 /nfs/dbraw/zinc/34/96/72/373349672.db2.gz YEMZLCLPPMLQJQ-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000050069856 373349701 /nfs/dbraw/zinc/34/97/01/373349701.db2.gz QXXQYSMOIATDSC-SNVBAGLBSA-N 0 0 296.298 2.513 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@H]1CCN(C2CC2)C1 ZINC000050352256 373372561 /nfs/dbraw/zinc/37/25/61/373372561.db2.gz ZYCKXSJGFAWQKZ-VIFPVBQESA-N 0 0 281.743 2.897 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc(CO)c2)cc([N+](=O)[O-])c1 ZINC000051482268 373398360 /nfs/dbraw/zinc/39/83/60/373398360.db2.gz AIJYUBNDPBGFHO-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN Cc1ccc(CN2CCO[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000245789690 189920789 /nfs/dbraw/zinc/92/07/89/189920789.db2.gz VXROHZMLUUDJDR-VXGBXAGGSA-N 0 0 264.325 2.512 20 5 CFBDRN CC1CCC(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000054982478 220200545 /nfs/dbraw/zinc/20/05/45/220200545.db2.gz ITDNDXKTTBHTIT-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@H](NC(=O)CCc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000055465088 373553381 /nfs/dbraw/zinc/55/33/81/373553381.db2.gz YJPOLLUHHPVAIY-NSHDSACASA-N 0 0 288.303 2.998 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000055466633 373554265 /nfs/dbraw/zinc/55/42/65/373554265.db2.gz YZHLTWMVRWHRHU-GUOLPTJISA-N 0 0 297.314 2.880 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000057005978 373578560 /nfs/dbraw/zinc/57/85/60/373578560.db2.gz HAPJHDOUVKUHPZ-RISCZKNCSA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@@H]1C[C@@H](CN2CCc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000488318500 245840427 /nfs/dbraw/zinc/84/04/27/245840427.db2.gz KEROPQVWKHGBDH-NEPJUHHUSA-N 0 0 276.336 2.772 20 5 CFBDRN COCCCCCNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000058601184 373664099 /nfs/dbraw/zinc/66/40/99/373664099.db2.gz MNUOUWRQQUXSPF-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2csc(-c3nc[nH]n3)n2)cc1 ZINC000061844258 373731093 /nfs/dbraw/zinc/73/10/93/373731093.db2.gz ODXQJAUVHRBHTI-UHFFFAOYSA-N 0 0 273.277 2.503 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](CO)Cc1ccccc1 ZINC000063218663 373798913 /nfs/dbraw/zinc/79/89/13/373798913.db2.gz LQHYXLCBWUCGSV-ZDUSSCGKSA-N 0 0 272.304 2.610 20 5 CFBDRN CCN(CC)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000066325479 373926038 /nfs/dbraw/zinc/92/60/38/373926038.db2.gz VJEIZONYTGPCAZ-UHFFFAOYSA-N 0 0 268.338 2.555 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCCCC1 ZINC000067018244 373966349 /nfs/dbraw/zinc/96/63/49/373966349.db2.gz YPNDTQOQOPEGSW-UHFFFAOYSA-N 0 0 262.309 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC(=O)N1CCCC[C@@H]1C ZINC000068824112 374039167 /nfs/dbraw/zinc/03/91/67/374039167.db2.gz ARJYNSSTNKEDJB-LBPRGKRZSA-N 0 0 291.351 2.716 20 5 CFBDRN Cc1csc([C@H](C)Nc2ccc([N+](=O)[O-])cn2)n1 ZINC000068907047 374040468 /nfs/dbraw/zinc/04/04/68/374040468.db2.gz LRHYVVIEIWBEFC-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN C[C@@H](CO)N(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000289497245 197377067 /nfs/dbraw/zinc/37/70/67/197377067.db2.gz ZQPMPJSXBBZQGB-ZETCQYMHSA-N 0 0 293.150 2.714 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@H](C)CC2)cc1[N+](=O)[O-] ZINC000070158066 374129925 /nfs/dbraw/zinc/12/99/25/374129925.db2.gz HPXWZFUZYIPQGN-NSHDSACASA-N 0 0 291.351 2.899 20 5 CFBDRN CCCCCOCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000069784223 374097505 /nfs/dbraw/zinc/09/75/05/374097505.db2.gz AERCGYMZGLXURJ-UHFFFAOYSA-N 0 0 266.297 2.740 20 5 CFBDRN CN(C(=O)COc1ccccc1[N+](=O)[O-])C1(C)CCCC1 ZINC000490705853 246020791 /nfs/dbraw/zinc/02/07/91/246020791.db2.gz QAJKOAQLMDGWTN-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1C2CCC1CC2 ZINC000490736352 246022322 /nfs/dbraw/zinc/02/23/22/246022322.db2.gz WAPAGRQCWKTHAW-XCVCLJGOSA-N 0 0 272.304 2.761 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000490887413 246029355 /nfs/dbraw/zinc/02/93/55/246029355.db2.gz PUYKADIEHFNYID-DHHDDZJSSA-N 0 0 274.320 2.865 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000491211203 246050050 /nfs/dbraw/zinc/05/00/50/246050050.db2.gz BGXRDCHBEXGWDA-FJOGCWAESA-N 0 0 297.314 2.880 20 5 CFBDRN CC[C@@H](CO)Nc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000277767312 193753315 /nfs/dbraw/zinc/75/33/15/193753315.db2.gz KTXLUYFBRIFMFA-QMMMGPOBSA-N 0 0 258.705 2.739 20 5 CFBDRN COc1cccnc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491433781 246068402 /nfs/dbraw/zinc/06/84/02/246068402.db2.gz FLNGJXHYQQAFDP-TWGQIWQCSA-N 0 0 299.286 2.650 20 5 CFBDRN COc1cccc(CNc2cc(C)c([N+](=O)[O-])cn2)c1 ZINC000073000282 374315388 /nfs/dbraw/zinc/31/53/88/374315388.db2.gz STLMTIMMBSHMRN-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1ccc(CNc2cc(C)c([N+](=O)[O-])cn2)cc1 ZINC000073000224 374315404 /nfs/dbraw/zinc/31/54/04/374315404.db2.gz PEQUPGKRWZGCDX-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1ccc(C(=O)N2CCCc3ncccc32)cc1[N+](=O)[O-] ZINC000073695236 374369834 /nfs/dbraw/zinc/36/98/34/374369834.db2.gz GSFTYURQTULPKV-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000491995881 246150678 /nfs/dbraw/zinc/15/06/78/246150678.db2.gz CPUHHUCXBPQIKM-FCDMQRSQSA-N 0 0 260.293 2.617 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NCC1(C(F)F)CC1 ZINC000492011950 246155397 /nfs/dbraw/zinc/15/53/97/246155397.db2.gz VAMBAIOMVKKAAJ-WAYWQWQTSA-N 0 0 296.273 2.770 20 5 CFBDRN O=C(CC1CCC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000074234970 374412295 /nfs/dbraw/zinc/41/22/95/374412295.db2.gz VDBHFVYVCPZGSQ-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN CCO[C@H](CCNC(=O)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000074293005 374413140 /nfs/dbraw/zinc/41/31/40/374413140.db2.gz JIHQCZANPAEABN-CQSZACIVSA-N 0 0 294.351 2.776 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])o1)c1cccs1 ZINC000074647122 374431645 /nfs/dbraw/zinc/43/16/45/374431645.db2.gz UALKZKOZQDTUMG-UHFFFAOYSA-N 0 0 294.332 2.957 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC1(C2CC2)CC1 ZINC000492115894 246192885 /nfs/dbraw/zinc/19/28/85/246192885.db2.gz VGSUEQYTLCCMGO-YVMONPNESA-N 0 0 272.304 2.667 20 5 CFBDRN CCC[C@@H](CCO)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000075542381 374492173 /nfs/dbraw/zinc/49/21/73/374492173.db2.gz JNNMXCMTYJMICT-NSHDSACASA-N 0 0 295.339 2.515 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)Oc2cccc([N+](=O)[O-])c2)O1 ZINC000075188900 374468498 /nfs/dbraw/zinc/46/84/98/374468498.db2.gz MSXNMZPELOAESS-ZYHUDNBSSA-N 0 0 279.292 2.848 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000075277988 374471501 /nfs/dbraw/zinc/47/15/01/374471501.db2.gz PCJISIYANCFIRY-RYUDHWBXSA-N 0 0 276.336 2.784 20 5 CFBDRN COc1ccc(C(=O)N2C[C@@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000075277560 374471583 /nfs/dbraw/zinc/47/15/83/374471583.db2.gz DVCLWBLRXZRARF-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CN(C(=O)/C=C\C1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000492197197 246216276 /nfs/dbraw/zinc/21/62/76/246216276.db2.gz DLVPVUBYQUVMEW-WAYWQWQTSA-N 0 0 290.319 2.540 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(C)CC1 ZINC000075943011 374512720 /nfs/dbraw/zinc/51/27/20/374512720.db2.gz QUIRFDLYSZCWOX-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CC[C@@H](CSC)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492362768 246268290 /nfs/dbraw/zinc/26/82/90/246268290.db2.gz DYLXUEDKQYHGAP-PWWFUAJNSA-N 0 0 294.376 2.866 20 5 CFBDRN CC[C@H]1CN(Cc2csc([N+](=O)[O-])c2)CCS1 ZINC000076072764 374530374 /nfs/dbraw/zinc/53/03/74/374530374.db2.gz XRBWPVPEZMIJGG-JTQLQIEISA-N 0 0 272.395 2.984 20 5 CFBDRN Cc1cc(NC(=O)/C=C\c2cccnc2)ccc1[N+](=O)[O-] ZINC000492349100 246265814 /nfs/dbraw/zinc/26/58/14/246265814.db2.gz NEEVONQUHXSFBU-DAXSKMNVSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1ccncc1/C=C\C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000492418837 246286629 /nfs/dbraw/zinc/28/66/29/246286629.db2.gz RAESLZRANLWSHG-SREVYHEPSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1c(NC(=O)/C=C\c2cncc(O)c2)cccc1[N+](=O)[O-] ZINC000492469174 246301554 /nfs/dbraw/zinc/30/15/54/246301554.db2.gz COBQDDVPNACTFF-WAYWQWQTSA-N 0 0 299.286 2.656 20 5 CFBDRN O=C(/C=C\C1CCOCC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000492757945 246376855 /nfs/dbraw/zinc/37/68/55/246376855.db2.gz CSXFILDEHIQRJZ-UPHRSURJSA-N 0 0 294.282 2.655 20 5 CFBDRN O=C(/C=C/C1CC1)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000492616777 246344652 /nfs/dbraw/zinc/34/46/52/246344652.db2.gz LHTUFUURSMVJAA-ZZXKWVIFSA-N 0 0 280.711 2.831 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)Nc1cncc(F)c1 ZINC000492622381 246346089 /nfs/dbraw/zinc/34/60/89/246346089.db2.gz XDOVQKLSANTGGB-SNAWJCMRSA-N 0 0 287.250 2.781 20 5 CFBDRN CC[C@@H](COC)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000079745074 374630306 /nfs/dbraw/zinc/63/03/06/374630306.db2.gz HWRQQQWSTOPASC-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC1(C(F)F)CC1 ZINC000492804401 246392471 /nfs/dbraw/zinc/39/24/71/246392471.db2.gz HSDLNEUAFPELNS-AATRIKPKSA-N 0 0 282.246 2.522 20 5 CFBDRN Cc1cc(N(C)C(C2CC2)C2CC2)ncc1[N+](=O)[O-] ZINC000080600120 374664127 /nfs/dbraw/zinc/66/41/27/374664127.db2.gz SWSGNISQZBCJFF-UHFFFAOYSA-N 0 0 261.325 2.923 20 5 CFBDRN CCC1(C)CN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000492980737 246444528 /nfs/dbraw/zinc/44/45/28/246444528.db2.gz HRNUTSFYGBZSNS-RMKNXTFCSA-N 0 0 274.320 2.867 20 5 CFBDRN CC[C@H](C)CONC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000492887550 246415546 /nfs/dbraw/zinc/41/55/46/246415546.db2.gz GELGKKBYRRTMBM-LAHYYIKRSA-N 0 0 278.308 2.702 20 5 CFBDRN CC[C@](C)(O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000083421441 374744617 /nfs/dbraw/zinc/74/46/17/374744617.db2.gz DBJTYDOGQXVYRE-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1cc(F)ccc1F ZINC000083480440 374748171 /nfs/dbraw/zinc/74/81/71/374748171.db2.gz ZJCGZMGUNYJNDG-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN O=C(/C=C\c1cncs1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000493164708 246504408 /nfs/dbraw/zinc/50/44/08/246504408.db2.gz LTDYCCWWIHYYNF-RQOWECAXSA-N 0 0 293.279 2.842 20 5 CFBDRN C[C@H](CO)CSc1ccc(Cl)cc1[N+](=O)[O-] ZINC000085137830 374797274 /nfs/dbraw/zinc/79/72/74/374797274.db2.gz AYJMSTRXXWRTHH-SSDOTTSWSA-N 0 0 261.730 2.969 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC[C@@H]1CC=CCC1 ZINC000087194145 374808517 /nfs/dbraw/zinc/80/85/17/374808517.db2.gz LLEUVPGLUBPALS-CQSZACIVSA-N 0 0 288.347 3.000 20 5 CFBDRN Cc1ccc([C@H](C)OC(=O)[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000084809884 374790802 /nfs/dbraw/zinc/79/08/02/374790802.db2.gz BYUJHAJLOCNUTR-JQWIXIFHSA-N 0 0 279.292 2.544 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)/C=C/c1ccn(C)c1 ZINC000493375751 246571307 /nfs/dbraw/zinc/57/13/07/246571307.db2.gz ZOBBQSUCAOXZDO-BQYQJAHWSA-N 0 0 299.330 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H]3CCC[C@@H]3O)ccc2c1 ZINC000089167028 374882612 /nfs/dbraw/zinc/88/26/12/374882612.db2.gz OFEDSLNRFCKINH-RISCZKNCSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@H]2O)c2ncccc12 ZINC000089167505 374882856 /nfs/dbraw/zinc/88/28/56/374882856.db2.gz UZJJZTYNKMWDCN-IINYFYTJSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@@H](C)CS(=O)(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000088327181 374842357 /nfs/dbraw/zinc/84/23/57/374842357.db2.gz CJXAZONQGKKABI-SNVBAGLBSA-N 0 0 285.365 2.864 20 5 CFBDRN CCO[C@H](C)c1nccn1Cc1ccccc1[N+](=O)[O-] ZINC000089521800 374917848 /nfs/dbraw/zinc/91/78/48/374917848.db2.gz FRUREVAJKLCOJG-LLVKDONJSA-N 0 0 275.308 2.937 20 5 CFBDRN Cc1cc(N(C)C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)ccn1 ZINC000493501287 246607827 /nfs/dbraw/zinc/60/78/27/246607827.db2.gz VXDZQFBEOQZITM-YVMONPNESA-N 0 0 297.314 2.974 20 5 CFBDRN O=C(CCC1CCC1)NCCc1cccc([N+](=O)[O-])c1 ZINC000089835137 374959978 /nfs/dbraw/zinc/95/99/78/374959978.db2.gz MZDNWPGNJZLLAQ-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cn1cncc1CNc1ccc([N+](=O)[O-])cc1Cl ZINC000090165556 374994945 /nfs/dbraw/zinc/99/49/45/374994945.db2.gz AYXJBKBTBJJSOO-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=C(/C=C/c1ccc(Cl)c([N+](=O)[O-])c1)NC1CCC1 ZINC000493547877 246622826 /nfs/dbraw/zinc/62/28/26/246622826.db2.gz FWPDGNCTIPDDCZ-FNORWQNLSA-N 0 0 280.711 2.930 20 5 CFBDRN CC[C@@H]1COCCN1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000091980646 375076359 /nfs/dbraw/zinc/07/63/59/375076359.db2.gz FEKCQIISOXYTNL-CYBMUJFWSA-N 0 0 264.325 2.514 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1)c1ccc(F)cn1 ZINC000092196131 375094584 /nfs/dbraw/zinc/09/45/84/375094584.db2.gz PQAHDQZULFTGMW-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN CCc1nn(C)c(NCCCc2ccccc2)c1[N+](=O)[O-] ZINC000091560625 375053776 /nfs/dbraw/zinc/05/37/76/375053776.db2.gz CWUTVRMYMKUBAJ-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN CCc1ccc(OCCC(C)(C)O)c([N+](=O)[O-])c1 ZINC000092800879 375157211 /nfs/dbraw/zinc/15/72/11/375157211.db2.gz OJLUBZXEPFTYSR-UHFFFAOYSA-N 0 0 253.298 2.697 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@H]2CCC[C@H]21 ZINC000336280894 296226695 /nfs/dbraw/zinc/22/66/95/296226695.db2.gz JESSHRYYPKPLHD-ZWNOBZJWSA-N 0 0 260.293 2.609 20 5 CFBDRN Cc1ccc(CN2CCO[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000093418016 375233639 /nfs/dbraw/zinc/23/36/39/375233639.db2.gz VXROHZMLUUDJDR-RYUDHWBXSA-N 0 0 264.325 2.512 20 5 CFBDRN CCOCCN(C)Cc1cc([N+](=O)[O-])ccc1OC(C)C ZINC000093314404 375206887 /nfs/dbraw/zinc/20/68/87/375206887.db2.gz GLUOVTZNFYIJOT-UHFFFAOYSA-N 0 0 296.367 2.850 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cncc(C)c1 ZINC000494702385 246806711 /nfs/dbraw/zinc/80/67/11/246806711.db2.gz IKHWDCURAWGLIY-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1ccc(F)cc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000093547561 375265454 /nfs/dbraw/zinc/26/54/54/375265454.db2.gz MEVVZWYKKHZIIS-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1ccc(CN(C)Cc2nccs2)cc1[N+](=O)[O-] ZINC000093582303 375267803 /nfs/dbraw/zinc/26/78/03/375267803.db2.gz XJEPCZQOQZXEJM-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NC(C)C ZINC000093899845 375329809 /nfs/dbraw/zinc/32/98/09/375329809.db2.gz ROFIDSHPZNUTFV-UHFFFAOYSA-N 0 0 250.298 2.658 20 5 CFBDRN CN(Cc1cscn1)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094774703 375377713 /nfs/dbraw/zinc/37/77/13/375377713.db2.gz GXCHQUPUDHMTSS-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094774470 375377930 /nfs/dbraw/zinc/37/79/30/375377930.db2.gz CAWPBBHGYQFUBM-ZYHUDNBSSA-N 0 0 282.315 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCCc3cccnc3)nc2c1 ZINC000094771723 375377991 /nfs/dbraw/zinc/37/79/91/375377991.db2.gz NXHPKAOENMLLHX-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@](C)(O)CCC(C)C ZINC000097596933 375570328 /nfs/dbraw/zinc/57/03/28/375570328.db2.gz NFJLUWSDAOPMGD-CQSZACIVSA-N 0 0 281.356 2.897 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000342990509 284526545 /nfs/dbraw/zinc/52/65/45/284526545.db2.gz DMAIVQUDYHHKKJ-PSASIEDQSA-N 0 0 293.323 2.685 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000342549409 284527151 /nfs/dbraw/zinc/52/71/51/284527151.db2.gz VTAUYPFTGGYYOA-JTQLQIEISA-N 0 0 291.307 2.605 20 5 CFBDRN COC(=O)c1ccc(OCC[C@@H](C)F)c([N+](=O)[O-])c1 ZINC000505443650 247138272 /nfs/dbraw/zinc/13/82/72/247138272.db2.gz LFGISSBPTQAPNK-MRVPVSSYSA-N 0 0 271.244 2.508 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCC[C@@H](C)F ZINC000505526154 247141660 /nfs/dbraw/zinc/14/16/60/247141660.db2.gz LMHXVHCLMQWOOL-MRVPVSSYSA-N 0 0 255.245 2.924 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCC[C@H](C)F ZINC000505526155 247141901 /nfs/dbraw/zinc/14/19/01/247141901.db2.gz LMHXVHCLMQWOOL-QMMMGPOBSA-N 0 0 255.245 2.924 20 5 CFBDRN Cc1ccc(OCc2cnc(C)nc2)cc1[N+](=O)[O-] ZINC000505565575 247142542 /nfs/dbraw/zinc/14/25/42/247142542.db2.gz VYXXPHSIPGGHDD-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN O=C(N[C@H]1CCc2c1cccc2O)c1cccc([N+](=O)[O-])c1 ZINC000102824364 375694960 /nfs/dbraw/zinc/69/49/60/375694960.db2.gz NZYHNDBBCFROME-AWEZNQCLSA-N 0 0 298.298 2.718 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1C1CCC1 ZINC000102875041 375697655 /nfs/dbraw/zinc/69/76/55/375697655.db2.gz BSXPOXFPLINFRZ-AWEZNQCLSA-N 0 0 274.320 3.000 20 5 CFBDRN CC1(C)CCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000102919867 375702500 /nfs/dbraw/zinc/70/25/00/375702500.db2.gz FSZITOALSIRCPG-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1COc1c(F)cccc1Cl ZINC000507369132 247173718 /nfs/dbraw/zinc/17/37/18/247173718.db2.gz RNZUQPHBWHKLBA-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000112181103 375888438 /nfs/dbraw/zinc/88/84/38/375888438.db2.gz INIYKIFHFTUSQL-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H]1CCCCO1 ZINC000112209182 375890480 /nfs/dbraw/zinc/89/04/80/375890480.db2.gz QGMUENPRLYYXSA-NSHDSACASA-N 0 0 250.298 2.884 20 5 CFBDRN COC[C@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000112967438 375979116 /nfs/dbraw/zinc/97/91/16/375979116.db2.gz IUCDUYVEXMODRQ-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000112601567 375934651 /nfs/dbraw/zinc/93/46/51/375934651.db2.gz FRUGLKBDMFKOGD-SNVBAGLBSA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000112609354 375937708 /nfs/dbraw/zinc/93/77/08/375937708.db2.gz ILZXJNVBXBDWIQ-LLVKDONJSA-N 0 0 291.351 2.702 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H](C)Cn1cccn1 ZINC000113648107 376027173 /nfs/dbraw/zinc/02/71/73/376027173.db2.gz MOTPYCYCULCWIO-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN Cc1c(-c2nc(C3CCOCC3)no2)cccc1[N+](=O)[O-] ZINC000113751857 376033241 /nfs/dbraw/zinc/03/32/41/376033241.db2.gz OMKWNXYFOYTBGO-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1nn(C)c(N2CCC[C@]3(CC=CCC3)C2)c1[N+](=O)[O-] ZINC000114451296 376065992 /nfs/dbraw/zinc/06/59/92/376065992.db2.gz QXLQLCRDUCLBPJ-OAHLLOKOSA-N 0 0 290.367 2.963 20 5 CFBDRN CCOCCCCNc1nccc(C)c1[N+](=O)[O-] ZINC000115516035 376134217 /nfs/dbraw/zinc/13/42/17/376134217.db2.gz GIHQQPGFMXTQRD-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN Cc1ccnc(NC[C@H](O)C2CCCCC2)c1[N+](=O)[O-] ZINC000115516825 376134722 /nfs/dbraw/zinc/13/47/22/376134722.db2.gz YXFGLCHDLJOFJO-LBPRGKRZSA-N 0 0 279.340 2.651 20 5 CFBDRN CS[C@H]1CCC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000117218015 376230089 /nfs/dbraw/zinc/23/00/89/376230089.db2.gz VNZOFDCQXLVASK-YPMHNXCESA-N 0 0 294.376 2.999 20 5 CFBDRN CCCCOCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000118194647 376275344 /nfs/dbraw/zinc/27/53/44/376275344.db2.gz BLCQWUBQZFJIAB-UHFFFAOYSA-N 0 0 280.324 2.967 20 5 CFBDRN CCCCOCC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000118211578 376276698 /nfs/dbraw/zinc/27/66/98/376276698.db2.gz AKCUWVIQRFSTGU-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCCCC(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000118723817 376311954 /nfs/dbraw/zinc/31/19/54/376311954.db2.gz NYKPUTXIEUREGJ-UHFFFAOYSA-N 0 0 280.280 2.510 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000119037651 376340273 /nfs/dbraw/zinc/34/02/73/376340273.db2.gz UWONACVGTATWOR-RISCZKNCSA-N 0 0 291.351 2.625 20 5 CFBDRN CCO[C@H](C)C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000118296210 376286242 /nfs/dbraw/zinc/28/62/42/376286242.db2.gz CARWMCZQTFTTLW-MRVPVSSYSA-N 0 0 287.699 2.887 20 5 CFBDRN CC(=O)c1cnc(NC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000118631651 376305351 /nfs/dbraw/zinc/30/53/51/376305351.db2.gz LOKTTXNOWKVOKC-UHFFFAOYSA-N 0 0 291.288 2.506 20 5 CFBDRN COc1cc(NCCSC)c(F)cc1[N+](=O)[O-] ZINC000119126609 376359071 /nfs/dbraw/zinc/35/90/71/376359071.db2.gz RKSOIRGJYSWIRG-UHFFFAOYSA-N 0 0 260.290 2.517 20 5 CFBDRN COCCCCNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000119233180 376376490 /nfs/dbraw/zinc/37/64/90/376376490.db2.gz GLAWLACWULYFFR-UHFFFAOYSA-N 0 0 272.276 2.581 20 5 CFBDRN Cc1ccc(CN2C[C@@H](C)OC(C)(C)C2)cc1[N+](=O)[O-] ZINC000119514226 376428787 /nfs/dbraw/zinc/42/87/87/376428787.db2.gz VYOZTQDGDWKMOD-GFCCVEGCSA-N 0 0 278.352 2.903 20 5 CFBDRN O=C(NCC1(C2CC2)CCC1)c1ccccc1[N+](=O)[O-] ZINC000119881561 376497248 /nfs/dbraw/zinc/49/72/48/376497248.db2.gz JEVRNDJQKOZZLT-UHFFFAOYSA-N 0 0 274.320 2.905 20 5 CFBDRN O=C(NCC1(C2CC2)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000120103174 376547983 /nfs/dbraw/zinc/54/79/83/376547983.db2.gz GVMZGPCQIBDOMV-UHFFFAOYSA-N 0 0 274.320 2.905 20 5 CFBDRN C[C@H]1COC[C@H](C)N1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000120901456 376720200 /nfs/dbraw/zinc/72/02/00/376720200.db2.gz CHVSFAVSRDRUFA-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN COCCCCC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000121179239 376777307 /nfs/dbraw/zinc/77/73/07/376777307.db2.gz PZTGXTNSCVKCQH-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN O=C([C@H]1C[C@H]1c1ccco1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000121399743 376822091 /nfs/dbraw/zinc/82/20/91/376822091.db2.gz XVSSYHQXAZVJQF-OLZOCXBDSA-N 0 0 298.298 2.881 20 5 CFBDRN C[C@@]1(CNc2c(F)cc([N+](=O)[O-])cc2F)CCCO1 ZINC000121762177 376901267 /nfs/dbraw/zinc/90/12/67/376901267.db2.gz MBCLALIAQOICTG-LBPRGKRZSA-N 0 0 272.251 2.854 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000123718935 376970986 /nfs/dbraw/zinc/97/09/86/376970986.db2.gz ZMRZOAFJJKZEKJ-UKRRQHHQSA-N 0 0 276.336 2.690 20 5 CFBDRN O=C(N[C@@H]1CCCc2occc21)c1ccc([N+](=O)[O-])o1 ZINC000124747910 377085589 /nfs/dbraw/zinc/08/55/89/377085589.db2.gz YEZHRXUFPBGZLD-SECBINFHSA-N 0 0 276.248 2.588 20 5 CFBDRN CC[C@@H](C)C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000264266443 383718161 /nfs/dbraw/zinc/71/81/61/383718161.db2.gz VKDRGLQAGDENSY-SECBINFHSA-N 0 0 288.307 2.970 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1C1CCC1 ZINC000102874990 296254651 /nfs/dbraw/zinc/25/46/51/296254651.db2.gz RKKOEDIVMULSRG-CQSZACIVSA-N 0 0 274.320 3.000 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000125601569 377174584 /nfs/dbraw/zinc/17/45/84/377174584.db2.gz RMPRHSJOWCCZLD-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@]1(O)CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000128440021 377336365 /nfs/dbraw/zinc/33/63/65/377336365.db2.gz QEDXCHUOTKNLOR-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000129199303 377398078 /nfs/dbraw/zinc/39/80/78/377398078.db2.gz KGQFHFDEMHEYSQ-PRHODGIISA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1CC[C@H](CNc2c(F)cccc2[N+](=O)[O-])O1 ZINC000128540008 377344897 /nfs/dbraw/zinc/34/48/97/377344897.db2.gz OJIIGKKNXKXGFK-DTWKUNHWSA-N 0 0 254.261 2.713 20 5 CFBDRN COCCC1(CNC(=O)c2cc([N+](=O)[O-])c(C)s2)CC1 ZINC000129652674 377456067 /nfs/dbraw/zinc/45/60/67/377456067.db2.gz RFCNEAGOQTZTCH-UHFFFAOYSA-N 0 0 298.364 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCc1ccco1 ZINC000129768640 377471001 /nfs/dbraw/zinc/47/10/01/377471001.db2.gz JIJOQLZWLOJMGH-UHFFFAOYSA-N 0 0 267.672 2.891 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H]1CCCS1 ZINC000129799438 377474393 /nfs/dbraw/zinc/47/43/93/377474393.db2.gz NQONLWJSYKBXGQ-QMMMGPOBSA-N 0 0 273.745 2.951 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2([C@@H](O)c3ccccc3)CC2)nc1 ZINC000129906855 377487353 /nfs/dbraw/zinc/48/73/53/377487353.db2.gz CGOPWGIGGRCKSQ-HNNXBMFYSA-N 0 0 299.330 2.916 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000130351186 377542753 /nfs/dbraw/zinc/54/27/53/377542753.db2.gz HZTAIESJHGAVSM-SECBINFHSA-N 0 0 268.338 2.837 20 5 CFBDRN CC[C@@H](CCO)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130402552 377552129 /nfs/dbraw/zinc/55/21/29/377552129.db2.gz RIWDUMOGHQMKLV-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@@H]1CCCO1 ZINC000130352431 377543308 /nfs/dbraw/zinc/54/33/08/377543308.db2.gz VNOHDOJBTPTJTH-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN CC(C)(C)NC(=O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000130581992 377579905 /nfs/dbraw/zinc/57/99/05/377579905.db2.gz NVBGUOBLMRCYMP-UHFFFAOYSA-N 0 0 286.715 2.542 20 5 CFBDRN CCCCCNC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000130774409 377609045 /nfs/dbraw/zinc/60/90/45/377609045.db2.gz WLUWZQLLMXBOOZ-UHFFFAOYSA-N 0 0 265.313 2.557 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC2(CCC2)C1 ZINC000157841654 284670845 /nfs/dbraw/zinc/67/08/45/284670845.db2.gz QWOAWYPKQYZHTC-UHFFFAOYSA-N 0 0 266.322 2.673 20 5 CFBDRN CC[C@@H]1CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000131093869 377656308 /nfs/dbraw/zinc/65/63/08/377656308.db2.gz DJHKIJPWMFCSGC-FZKGZDJFSA-N 0 0 274.320 2.867 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000131309173 377692253 /nfs/dbraw/zinc/69/22/53/377692253.db2.gz OMZJFEWYCANEES-NXEZZACHSA-N 0 0 280.299 2.852 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)[C@H](C)C1 ZINC000131449590 377714000 /nfs/dbraw/zinc/71/40/00/377714000.db2.gz RQLKXGIAJDAGTA-WDEREUQCSA-N 0 0 292.335 2.722 20 5 CFBDRN CC[C@@H](C)C[S@](=O)Cc1cc([N+](=O)[O-])ccc1OC ZINC000131641387 377739379 /nfs/dbraw/zinc/73/93/79/377739379.db2.gz KZQJJVZQXUAESF-GIGQVBGESA-N 0 0 285.365 2.898 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@H](C)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000131495679 377717380 /nfs/dbraw/zinc/71/73/80/377717380.db2.gz LLLAZZNTPFSIKM-RYUDHWBXSA-N 0 0 290.363 2.950 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC2)cc1C(F)(F)F ZINC000131630902 377738248 /nfs/dbraw/zinc/73/82/48/377738248.db2.gz VBROWWRJXSFIGQ-MRVPVSSYSA-N 0 0 276.214 2.814 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCCCCC2)cc1[N+](=O)[O-] ZINC000131763734 377757341 /nfs/dbraw/zinc/75/73/41/377757341.db2.gz GSUTZIWLMIFASB-UHFFFAOYSA-N 0 0 292.335 2.685 20 5 CFBDRN CCN(CC)C(=O)CSc1ccc([N+](=O)[O-])cc1F ZINC000132252229 377818580 /nfs/dbraw/zinc/81/85/80/377818580.db2.gz RMOFAIZQMFNTSP-UHFFFAOYSA-N 0 0 286.328 2.694 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCCCC1 ZINC000132569349 377853980 /nfs/dbraw/zinc/85/39/80/377853980.db2.gz JHEZPGDXFBWYDE-UHFFFAOYSA-N 0 0 262.309 2.540 20 5 CFBDRN Cc1ccc(N[C@@H](C)CO[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000133726595 377952732 /nfs/dbraw/zinc/95/27/32/377952732.db2.gz SPKYQBXNPQBHMW-NWDGAFQWSA-N 0 0 280.324 2.509 20 5 CFBDRN C[C@H](O)C[C@H]1CCCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000133165151 377910993 /nfs/dbraw/zinc/91/09/93/377910993.db2.gz KLDCZRSECAWLJJ-DTWKUNHWSA-N 0 0 286.278 2.613 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCC(=O)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000134368556 378006389 /nfs/dbraw/zinc/00/63/89/378006389.db2.gz JDTSIAVKGXYZJA-BXKDBHETSA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCC(=O)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000134368074 378006493 /nfs/dbraw/zinc/00/64/93/378006493.db2.gz YIQZFSBRPJKNRR-GXFFZTMASA-N 0 0 290.319 2.847 20 5 CFBDRN CSCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000134379241 378007523 /nfs/dbraw/zinc/00/75/23/378007523.db2.gz FEONMSOHFGEWPD-SNVBAGLBSA-N 0 0 282.365 2.808 20 5 CFBDRN CSC[C@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000134397086 378008765 /nfs/dbraw/zinc/00/87/65/378008765.db2.gz DEKCEKLOSPRWEY-GFCCVEGCSA-N 0 0 295.364 2.954 20 5 CFBDRN CCC[C@@H](O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000134444610 378016348 /nfs/dbraw/zinc/01/63/48/378016348.db2.gz HVNMMNQHLMZQRV-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CSCCCCC(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000133943769 377969465 /nfs/dbraw/zinc/96/94/65/377969465.db2.gz NCUDRKAFTNIEMD-UHFFFAOYSA-N 0 0 283.353 2.770 20 5 CFBDRN Cn1c(CNc2sccc2[N+](=O)[O-])nnc1C1CCC1 ZINC000133964693 377972138 /nfs/dbraw/zinc/97/21/38/377972138.db2.gz AGGPDVAHMNADSZ-UHFFFAOYSA-N 0 0 293.352 2.664 20 5 CFBDRN Cc1nc(N[C@H](C)C[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000134095452 377982473 /nfs/dbraw/zinc/98/24/73/377982473.db2.gz ZHVGHYGOLJJVGN-MWLCHTKSSA-N 0 0 265.313 2.668 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCCC[C@@H]1O ZINC000134205132 377992125 /nfs/dbraw/zinc/99/21/25/377992125.db2.gz OPHWEFLDUGJAHT-AAEUAGOBSA-N 0 0 284.743 2.988 20 5 CFBDRN Cc1cccc(OS(=O)(=O)CCC(C)C)c1[N+](=O)[O-] ZINC000134199069 377992737 /nfs/dbraw/zinc/99/27/37/377992737.db2.gz BSUMJTCUUIFWPM-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000134222130 377993517 /nfs/dbraw/zinc/99/35/17/377993517.db2.gz GYCWQADJKFMJJL-PSASIEDQSA-N 0 0 275.308 2.547 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000134528366 378027847 /nfs/dbraw/zinc/02/78/47/378027847.db2.gz NFFGVGIWURNCOB-HTQZYQBOSA-N 0 0 268.700 2.634 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1nnc(C2CC2)s1 ZINC000432130067 383724401 /nfs/dbraw/zinc/72/44/01/383724401.db2.gz OZBVOICATGLIKZ-UHFFFAOYSA-N 0 0 290.348 2.960 20 5 CFBDRN CC(C)c1cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)n[nH]1 ZINC000134614342 378037901 /nfs/dbraw/zinc/03/79/01/378037901.db2.gz ARXFMSTYSZTUEJ-UHFFFAOYSA-N 0 0 292.270 2.833 20 5 CFBDRN CSc1ccc(C(=O)NOCC(C)C)cc1[N+](=O)[O-] ZINC000135321552 378117122 /nfs/dbraw/zinc/11/71/22/378117122.db2.gz LYRWRGDGLCQDDM-UHFFFAOYSA-N 0 0 284.337 2.634 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000264311988 383725708 /nfs/dbraw/zinc/72/57/08/383725708.db2.gz MDLOYKNRJDXAMQ-PWSUYJOCSA-N 0 0 277.324 2.583 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000571767523 383725758 /nfs/dbraw/zinc/72/57/58/383725758.db2.gz LMLXTQWOGQQTJH-SKDRFNHKSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@@H]1CCC[N@H+](C[C@H](O)c2cccc([N+](=O)[O-])c2)C1 ZINC000136925981 378213899 /nfs/dbraw/zinc/21/38/99/378213899.db2.gz GMGLAFMWBGMSFL-DOMZBBRYSA-N 0 0 278.352 2.750 20 5 CFBDRN CCc1nn(C)c(NCCCC(F)(F)F)c1[N+](=O)[O-] ZINC000149617516 378266133 /nfs/dbraw/zinc/26/61/33/378266133.db2.gz AKVDBWVNUFEIJH-UHFFFAOYSA-N 0 0 280.250 2.645 20 5 CFBDRN C[C@H]1OCC[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000149964785 378267531 /nfs/dbraw/zinc/26/75/31/378267531.db2.gz WRXYFBFWXUCJTH-SKDRFNHKSA-N 0 0 273.292 2.732 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 ZINC000149218574 378262341 /nfs/dbraw/zinc/26/23/41/378262341.db2.gz LREWJEKNCBODOX-MRVPVSSYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1cscc1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000151321792 378317903 /nfs/dbraw/zinc/31/79/03/378317903.db2.gz SVFRKPSDYYPCPB-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CC[C@H]1CCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000152845723 378450865 /nfs/dbraw/zinc/45/08/65/378450865.db2.gz WAODNSAOTDQGKF-JTQLQIEISA-N 0 0 288.307 2.881 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)C[C@@H]1CCCO1 ZINC000330431005 378553412 /nfs/dbraw/zinc/55/34/12/378553412.db2.gz JGHKOVWLKSCLMX-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)ccn1 ZINC000154485579 378571341 /nfs/dbraw/zinc/57/13/41/378571341.db2.gz QAGUARITWOWOIO-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000331079958 378628197 /nfs/dbraw/zinc/62/81/97/378628197.db2.gz NTFXBNLGKXUJEQ-BDAKNGLRSA-N 0 0 268.338 2.822 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000331085276 378629822 /nfs/dbraw/zinc/62/98/22/378629822.db2.gz QLRUNELFJHAIRE-NXEZZACHSA-N 0 0 294.355 2.607 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CC[C@@H](C)[C@@H]1C ZINC000331122041 378637687 /nfs/dbraw/zinc/63/76/87/378637687.db2.gz PCTPYDFQRPFZLO-PWSUYJOCSA-N 0 0 291.351 2.572 20 5 CFBDRN CC1(C)C[C@H](Nc2ncc([N+](=O)[O-])c(N)n2)c2ccccc21 ZINC000154877163 378599388 /nfs/dbraw/zinc/59/93/88/378599388.db2.gz FPIVHWBCTCFIME-NSHDSACASA-N 0 0 299.334 2.802 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2OC)C1 ZINC000330974827 378606315 /nfs/dbraw/zinc/60/63/15/378606315.db2.gz OUCDMIWEYUVBJQ-OAHLLOKOSA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000330980061 378608288 /nfs/dbraw/zinc/60/82/88/378608288.db2.gz ISSQRQFOBYVILK-CQSZACIVSA-N 0 0 277.324 2.560 20 5 CFBDRN C[C@@]1(NC(=O)Cc2cccc([N+](=O)[O-])c2)CC=CCC1 ZINC000331349196 378675366 /nfs/dbraw/zinc/67/53/66/378675366.db2.gz NHURHXKSXYDIQY-OAHLLOKOSA-N 0 0 274.320 2.752 20 5 CFBDRN CCCC[N@@H+](C/C=C/c1ccccc1[N+](=O)[O-])CCO ZINC000159086796 378685216 /nfs/dbraw/zinc/68/52/16/378685216.db2.gz PGCQPORBFPNMFK-SOFGYWHQSA-N 0 0 278.352 2.702 20 5 CFBDRN CC[C@@H](C)[C@@H](C)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC1 ZINC000331460366 378690249 /nfs/dbraw/zinc/69/02/49/378690249.db2.gz IFPHUSPUJCSHMX-NXEZZACHSA-N 0 0 279.340 2.962 20 5 CFBDRN CC(C)[C@H](C)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC1 ZINC000331494805 378695899 /nfs/dbraw/zinc/69/58/99/378695899.db2.gz NNYSKOWYWDRQKR-VIFPVBQESA-N 0 0 265.313 2.572 20 5 CFBDRN CS[C@H](C)CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000159401002 378707217 /nfs/dbraw/zinc/70/72/17/378707217.db2.gz UHQNRWQQHBUBQI-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000331745898 378714667 /nfs/dbraw/zinc/71/46/67/378714667.db2.gz YTSCKOVGBKJLDI-KOLCDFICSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@@H]1c2ccsc2CCN1Cc1c([N+](=O)[O-])ncn1C ZINC000331931744 378717763 /nfs/dbraw/zinc/71/77/63/378717763.db2.gz VXTBNVXNWLJWNA-SECBINFHSA-N 0 0 292.364 2.509 20 5 CFBDRN Cc1nc(N[C@H]2CCO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000160354059 378765032 /nfs/dbraw/zinc/76/50/32/378765032.db2.gz CTFBEBQRLYCPEU-WCQYABFASA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc(C)nc(NCCc2ccccc2[N+](=O)[O-])n1 ZINC000266188055 190238842 /nfs/dbraw/zinc/23/88/42/190238842.db2.gz BCPTVXZUOQSJSA-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN COc1cc(N[C@H]2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000160662467 378789816 /nfs/dbraw/zinc/78/98/16/378789816.db2.gz LYJLWLYSHOXSEA-NSHDSACASA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332734023 378795860 /nfs/dbraw/zinc/79/58/60/378795860.db2.gz XDQDSCPSYGGBKV-GHMZBOCLSA-N 0 0 291.351 2.557 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cscc2C)c1[N+](=O)[O-] ZINC000278490268 194008042 /nfs/dbraw/zinc/00/80/42/194008042.db2.gz VRUGFKDTZIJIIW-UHFFFAOYSA-N 0 0 280.309 2.503 20 5 CFBDRN Cc1nc(NCCOc2ccccc2)ccc1[N+](=O)[O-] ZINC000161501793 378850840 /nfs/dbraw/zinc/85/08/40/378850840.db2.gz AWVTXTYKCSHEFM-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN COc1cc(C(=O)N(C)CC2CCCC2)ccc1[N+](=O)[O-] ZINC000161562293 378856033 /nfs/dbraw/zinc/85/60/33/378856033.db2.gz CZUQNWUTRZUJSJ-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CC(C)(C)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161985676 378886169 /nfs/dbraw/zinc/88/61/69/378886169.db2.gz CAWFWMAQPDWDIY-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@](C)(F)C2)c1 ZINC000334211429 378931883 /nfs/dbraw/zinc/93/18/83/378931883.db2.gz YWGHUNNPULKDCZ-CYBMUJFWSA-N 0 0 298.339 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@H]1F ZINC000334203687 378920410 /nfs/dbraw/zinc/92/04/10/378920410.db2.gz RDPBLKPNFYKVIX-NEPJUHHUSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C[C@@H](C)C1 ZINC000163008304 378949131 /nfs/dbraw/zinc/94/91/31/378949131.db2.gz IUAPOVJTNMXFCP-MNOVXSKESA-N 0 0 291.351 2.685 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000334234795 378960605 /nfs/dbraw/zinc/96/06/05/378960605.db2.gz GUPCFESKHRPCDH-LBPRGKRZSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@@H]1OCC[C@H]1SCCOc1cccc([N+](=O)[O-])c1 ZINC000163516708 378977843 /nfs/dbraw/zinc/97/78/43/378977843.db2.gz QONRVFXNSSYYRB-GXFFZTMASA-N 0 0 283.349 2.884 20 5 CFBDRN CN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@H]1CCSC1 ZINC000163510964 378977883 /nfs/dbraw/zinc/97/78/83/378977883.db2.gz FBXXNGZSADUEFC-LVDDQXARSA-N 0 0 292.360 2.572 20 5 CFBDRN O=C(c1ccccn1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163748928 378989592 /nfs/dbraw/zinc/98/95/92/378989592.db2.gz VCOPQRYXTZGPLU-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCC(C)(C)O2)cc1[N+](=O)[O-] ZINC000278615611 194069719 /nfs/dbraw/zinc/06/97/19/194069719.db2.gz WOIGKTANYLTIHU-GFCCVEGCSA-N 0 0 278.308 2.799 20 5 CFBDRN Cc1cc(C(=O)N2CCC23CCC3)ccc1[N+](=O)[O-] ZINC000335273238 379004400 /nfs/dbraw/zinc/00/44/00/379004400.db2.gz PQJXQXLFYRCHMT-UHFFFAOYSA-N 0 0 260.293 2.672 20 5 CFBDRN CC(C)n1ncnc1COc1ccc([N+](=O)[O-])cc1Cl ZINC000278627622 194073654 /nfs/dbraw/zinc/07/36/54/194073654.db2.gz HUTHACJRRCQZKN-UHFFFAOYSA-N 0 0 296.714 3.000 20 5 CFBDRN Cc1cc(COC(=O)[C@H]2CCC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000278652590 194084599 /nfs/dbraw/zinc/08/45/99/194084599.db2.gz BEFNVPQQRGQAJP-CYBMUJFWSA-N 0 0 293.319 2.904 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000334295539 379048544 /nfs/dbraw/zinc/04/85/44/379048544.db2.gz WVFIMUSYFNLKRE-UFBFGSQYSA-N 0 0 273.292 2.604 20 5 CFBDRN CC(=O)OCCCSCc1cccc([N+](=O)[O-])c1 ZINC000278678007 194099704 /nfs/dbraw/zinc/09/97/04/194099704.db2.gz OSIXSMXHCKIHLN-UHFFFAOYSA-N 0 0 269.322 2.781 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1cccc(N(C)C)n1 ZINC000166887868 379063758 /nfs/dbraw/zinc/06/37/58/379063758.db2.gz JFYGIZQPXCMEDB-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N(C[C@@H]1CCOC1)C1CC1 ZINC000167546129 379072151 /nfs/dbraw/zinc/07/21/51/379072151.db2.gz MKKXKKFEOCIQJT-JTQLQIEISA-N 0 0 280.299 2.739 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334312023 379072351 /nfs/dbraw/zinc/07/23/51/379072351.db2.gz KOPFAXFCOGUPIU-SECBINFHSA-N 0 0 273.292 2.701 20 5 CFBDRN C[C@@H]1CN(Cc2c(Cl)cccc2[N+](=O)[O-])CCC1=O ZINC000168905874 379099376 /nfs/dbraw/zinc/09/93/76/379099376.db2.gz BKVDEBCBWAHKTG-SECBINFHSA-N 0 0 282.727 2.659 20 5 CFBDRN C[C@@H]1CC[C@]2(CCN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)C1 ZINC000334334130 379111014 /nfs/dbraw/zinc/11/10/14/379111014.db2.gz WPNKDYFGXQPWJI-YGRLFVJLSA-N 0 0 277.324 2.575 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1C[C@@H]1CCCCC1(F)F ZINC000335341187 379124909 /nfs/dbraw/zinc/12/49/09/379124909.db2.gz GFTOUTQEOULKQN-QMMMGPOBSA-N 0 0 290.241 2.721 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335335458 379113756 /nfs/dbraw/zinc/11/37/56/379113756.db2.gz GFWQEPXPFUXBTQ-CABCVRRESA-N 0 0 288.347 2.772 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC2(C1)CCCC2 ZINC000335337148 379115679 /nfs/dbraw/zinc/11/56/79/379115679.db2.gz QJZQVJWODVRWCQ-UHFFFAOYSA-N 0 0 266.322 2.673 20 5 CFBDRN Cn1c(C(=O)N2CC[C@H](c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000334351465 379140311 /nfs/dbraw/zinc/14/03/11/379140311.db2.gz GOCOPIXVONFNPR-ZDUSSCGKSA-N 0 0 299.330 2.563 20 5 CFBDRN CC(C)c1nnc(COc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000170698905 379142936 /nfs/dbraw/zinc/14/29/36/379142936.db2.gz DAPCTLMPHBUTCK-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc(C)n(C)c2=O)c1 ZINC000278768582 194147199 /nfs/dbraw/zinc/14/71/99/194147199.db2.gz OEONHEWFGZGBKZ-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN O=C(c1cncs1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000340560158 296291909 /nfs/dbraw/zinc/29/19/09/296291909.db2.gz UBSJKGCAPPMSSI-UHFFFAOYSA-N 0 0 289.316 2.644 20 5 CFBDRN Cc1cc(C)cc(N(C)C(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000334370361 379175920 /nfs/dbraw/zinc/17/59/20/379175920.db2.gz VXDZCDNTZIGXFK-UHFFFAOYSA-N 0 0 287.319 2.827 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccccc2CC)c1[N+](=O)[O-] ZINC000171369803 379289915 /nfs/dbraw/zinc/28/99/15/379289915.db2.gz BOUUDZPYKJVGRB-UHFFFAOYSA-N 0 0 288.307 2.695 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)CC(C)(C)C1 ZINC000334408356 379247386 /nfs/dbraw/zinc/24/73/86/379247386.db2.gz IYYLONGLBJSTTG-VIFPVBQESA-N 0 0 266.297 2.696 20 5 CFBDRN O=C(N[C@@H]1CC12CC2)c1c(Cl)cccc1[N+](=O)[O-] ZINC000335517367 379437633 /nfs/dbraw/zinc/43/76/33/379437633.db2.gz ZNZAEAKEHMNOGC-SECBINFHSA-N 0 0 266.684 2.531 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000171875659 379427799 /nfs/dbraw/zinc/42/77/99/379427799.db2.gz JNLMJDYMTPHCGV-SNVBAGLBSA-N 0 0 250.298 2.713 20 5 CFBDRN COC[C@@H](C)N(C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000171987332 379459920 /nfs/dbraw/zinc/45/99/20/379459920.db2.gz YLRYUWUDWHDTPY-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN CC(C)(C)c1nnnn1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000172024272 379473759 /nfs/dbraw/zinc/47/37/59/379473759.db2.gz NOKYTWAFXKXJTO-UHFFFAOYSA-N 0 0 295.730 2.581 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)[C@@H]1C ZINC000335548269 379493163 /nfs/dbraw/zinc/49/31/63/379493163.db2.gz AGJCYMSZGZIZJD-MNOVXSKESA-N 0 0 262.309 2.692 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CSC[C@H]1C ZINC000335555516 379504561 /nfs/dbraw/zinc/50/45/61/379504561.db2.gz MDWVXMBNILZPLO-PWSUYJOCSA-N 0 0 294.376 2.693 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000172481747 379578453 /nfs/dbraw/zinc/57/84/53/379578453.db2.gz AZTHYDIECFVUEY-LBPRGKRZSA-N 0 0 260.293 2.742 20 5 CFBDRN C[C@H]1CCC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000334596002 379597212 /nfs/dbraw/zinc/59/72/12/379597212.db2.gz PTDHRSNGSWMXOP-UWVGGRQHSA-N 0 0 265.313 2.574 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000334574872 379558991 /nfs/dbraw/zinc/55/89/91/379558991.db2.gz OQNDWGCCLJHBFT-GFCCVEGCSA-N 0 0 285.250 2.700 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])[C@@H](C)C1 ZINC000342137461 220270526 /nfs/dbraw/zinc/27/05/26/220270526.db2.gz OCHZODPZABQWNT-JFGNBEQYSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1cnc(NCc2ccc([C@@H](C)O)cc2)c([N+](=O)[O-])c1 ZINC000172807709 379651575 /nfs/dbraw/zinc/65/15/75/379651575.db2.gz ULSHRANDSCRQEP-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN C[C@@H]1CSCCN1Cc1csc([N+](=O)[O-])c1 ZINC000172595285 379604646 /nfs/dbraw/zinc/60/46/46/379604646.db2.gz GCCSSNYQVUIYEU-MRVPVSSYSA-N 0 0 258.368 2.594 20 5 CFBDRN Cc1cc(NC(=O)[C@]2(C)C[C@@H]2F)ccc1[N+](=O)[O-] ZINC000335617011 379608878 /nfs/dbraw/zinc/60/88/78/379608878.db2.gz LLDPUADBBVYEOV-CMPLNLGQSA-N 0 0 252.245 2.590 20 5 CFBDRN CCCc1nc(CNc2cccc([N+](=O)[O-])c2)no1 ZINC000172846324 379655369 /nfs/dbraw/zinc/65/53/69/379655369.db2.gz ZEWWRSSCAACGAR-UHFFFAOYSA-N 0 0 262.269 2.542 20 5 CFBDRN C[C@H](c1cccnc1)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172902038 379669008 /nfs/dbraw/zinc/66/90/08/379669008.db2.gz BALHJSKCWDUNSO-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN CCCC[C@@H](Sc1ncccc1[N+](=O)[O-])C(=O)OC ZINC000172919472 379670672 /nfs/dbraw/zinc/67/06/72/379670672.db2.gz LWVJUDQOGKCXAH-SNVBAGLBSA-N 0 0 284.337 2.814 20 5 CFBDRN CC[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000173211021 379712493 /nfs/dbraw/zinc/71/24/93/379712493.db2.gz SFFOBIGIJVMJIA-OAHLLOKOSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@@H](NCc1nc(C2CC2)no1)c1cccc([N+](=O)[O-])c1 ZINC000173388161 379733596 /nfs/dbraw/zinc/73/35/96/379733596.db2.gz XRSFYGLCLRTCEM-SECBINFHSA-N 0 0 288.307 2.706 20 5 CFBDRN CCc1ccc(C(=O)NCCC2CC2)cc1[N+](=O)[O-] ZINC000173483080 379743344 /nfs/dbraw/zinc/74/33/44/379743344.db2.gz RSVNXFADHFBWPG-UHFFFAOYSA-N 0 0 262.309 2.687 20 5 CFBDRN Cc1sc(C(=O)N2CC(C3CCC3)C2)cc1[N+](=O)[O-] ZINC000335729000 379794304 /nfs/dbraw/zinc/79/43/04/379794304.db2.gz IFVIVVJLLJSADG-UHFFFAOYSA-N 0 0 280.349 2.837 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CC[C@H]2CCC[C@H]21 ZINC000334708531 379802734 /nfs/dbraw/zinc/80/27/34/379802734.db2.gz OAIVSAXXXRZXIE-QMTHXVAHSA-N 0 0 292.310 2.677 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC(F)(F)C1 ZINC000335736467 379806599 /nfs/dbraw/zinc/80/65/99/379806599.db2.gz DBGAZMOTDNOVOD-MRVPVSSYSA-N 0 0 270.235 2.969 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335721671 379781882 /nfs/dbraw/zinc/78/18/82/379781882.db2.gz IREGWIKWEKBZBC-DTWKUNHWSA-N 0 0 266.272 2.604 20 5 CFBDRN Cc1cscc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000335723443 379786923 /nfs/dbraw/zinc/78/69/23/379786923.db2.gz YPUFNNRMPJGJDR-UHFFFAOYSA-N 0 0 251.267 2.545 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000335782559 379880351 /nfs/dbraw/zinc/88/03/51/379880351.db2.gz VTSDZGKQWKIQDD-LBPRGKRZSA-N 0 0 262.309 2.713 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000335784266 379882328 /nfs/dbraw/zinc/88/23/28/379882328.db2.gz PPPHSKJYQFQTJC-VIFPVBQESA-N 0 0 280.349 2.799 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000334753987 379888489 /nfs/dbraw/zinc/88/84/89/379888489.db2.gz HBZZANCAELHFLT-VHSXEESVSA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1c(NC(=O)NCCC2CC2)cccc1[N+](=O)[O-] ZINC000174476876 379894104 /nfs/dbraw/zinc/89/41/04/379894104.db2.gz IMUCIRCCTNRGIG-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000174932064 379964933 /nfs/dbraw/zinc/96/49/33/379964933.db2.gz VTENWAWALNVMRC-VIFPVBQESA-N 0 0 268.338 2.965 20 5 CFBDRN CSc1cccc(C(=O)N2CC3(CC3)C2)c1[N+](=O)[O-] ZINC000334873021 379936289 /nfs/dbraw/zinc/93/62/89/379936289.db2.gz PDJYYUGGRLRDPV-UHFFFAOYSA-N 0 0 278.333 2.553 20 5 CFBDRN CO[C@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)(C)C ZINC000174784893 379939757 /nfs/dbraw/zinc/93/97/57/379939757.db2.gz RQIVAOCFUWWYRV-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN C[C@@H]1CCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)[C@@H]1C ZINC000175058167 379986982 /nfs/dbraw/zinc/98/69/82/379986982.db2.gz IAVMPTJGMCZWCR-NXEZZACHSA-N 0 0 289.335 2.714 20 5 CFBDRN CC1(CNC(=O)c2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000175083594 379992672 /nfs/dbraw/zinc/99/26/72/379992672.db2.gz NZHXVQOWDKAMPE-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000335202524 380000419 /nfs/dbraw/zinc/00/04/19/380000419.db2.gz FYDRZOLAEXVCKC-ONGXEEELSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc2nc(COc3ncc(C)cc3[N+](=O)[O-])cn2c1 ZINC000175136791 380002807 /nfs/dbraw/zinc/00/28/07/380002807.db2.gz JVLNXUQPOLMUNN-UHFFFAOYSA-N 0 0 298.302 2.833 20 5 CFBDRN Cc1cnc(OCC(=O)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000175136137 380003725 /nfs/dbraw/zinc/00/37/25/380003725.db2.gz ZSJDQPQHRRHXQX-UHFFFAOYSA-N 0 0 278.308 2.826 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H]3CCC[C@H]32)cc([N+](=O)[O-])c1 ZINC000335858715 380006982 /nfs/dbraw/zinc/00/69/82/380006982.db2.gz YJUFMRALJYNCRB-SMDDNHRTSA-N 0 0 274.320 2.918 20 5 CFBDRN CC(=O)[C@H](C)SCc1c(F)cccc1[N+](=O)[O-] ZINC000175187055 380016377 /nfs/dbraw/zinc/01/63/77/380016377.db2.gz RVFZOPBLSAECNI-QMMMGPOBSA-N 0 0 257.286 2.945 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000175199779 380019378 /nfs/dbraw/zinc/01/93/78/380019378.db2.gz ICBSYJPCZYUPBX-NWDGAFQWSA-N 0 0 276.336 2.784 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC2(CC2)c2ccccc21 ZINC000335240357 380064250 /nfs/dbraw/zinc/06/42/50/380064250.db2.gz MHBPHTACHBGQHC-UHFFFAOYSA-N 0 0 283.287 2.615 20 5 CFBDRN CC(C)(C)C1CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000335897092 380069697 /nfs/dbraw/zinc/06/96/97/380069697.db2.gz GHRPEFHYQPZUIF-UHFFFAOYSA-N 0 0 279.340 2.821 20 5 CFBDRN CC(C)C1(CNC(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000175576664 380091958 /nfs/dbraw/zinc/09/19/58/380091958.db2.gz IQYKTOBOVAECOZ-UHFFFAOYSA-N 0 0 291.351 2.830 20 5 CFBDRN C[C@H](N(C)C(=O)c1cccc([N+](=O)[O-])c1)C1(C)CC1 ZINC000175597284 380095967 /nfs/dbraw/zinc/09/59/67/380095967.db2.gz ZCLLNQLJVMMRAC-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@H](N(C)C(=O)COc1ccccc1[N+](=O)[O-])C1(C)CC1 ZINC000175608142 380098211 /nfs/dbraw/zinc/09/82/11/380098211.db2.gz ZCZHNXZTJHSXFI-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cn(C[C@@H]2CCCC(F)(F)C2)nc1[N+](=O)[O-] ZINC000335923730 380112800 /nfs/dbraw/zinc/11/28/00/380112800.db2.gz STTKBXJVBCBZDZ-SECBINFHSA-N 0 0 259.256 2.925 20 5 CFBDRN CCN(CC)C(=O)CCCNc1ccc([N+](=O)[O-])cc1C ZINC000175719640 380119741 /nfs/dbraw/zinc/11/97/41/380119741.db2.gz PQUFXCRQAIIQKV-UHFFFAOYSA-N 0 0 293.367 2.964 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000175734223 380121965 /nfs/dbraw/zinc/12/19/65/380121965.db2.gz ZKCXUKVFXFNOMA-QWRGUYRKSA-N 0 0 277.324 2.850 20 5 CFBDRN CCC(C)(C)NC(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000176263586 380204982 /nfs/dbraw/zinc/20/49/82/380204982.db2.gz GFADCESANGNOKV-UHFFFAOYSA-N 0 0 295.339 2.591 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000335979225 380189266 /nfs/dbraw/zinc/18/92/66/380189266.db2.gz ZRYJPDWJKOIRRH-QMMMGPOBSA-N 0 0 254.311 2.527 20 5 CFBDRN O=C([C@H]1C[C@H]1C1CC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000176610038 380255651 /nfs/dbraw/zinc/25/56/51/380255651.db2.gz UCNHXCWXEVMINL-STQMWFEESA-N 0 0 272.304 2.530 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178279523 380430567 /nfs/dbraw/zinc/43/05/67/380430567.db2.gz NOOLWSJFJFKXAI-OKILXGFUSA-N 0 0 288.347 2.786 20 5 CFBDRN Cc1c(NC(=O)NC[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000279095983 194281892 /nfs/dbraw/zinc/28/18/92/194281892.db2.gz IWFMZXXUMZSOCM-SNVBAGLBSA-N 0 0 295.364 2.778 20 5 CFBDRN CCS[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000178096574 380408104 /nfs/dbraw/zinc/40/81/04/380408104.db2.gz ZEQOGTQRRKLVNV-CHWSQXEVSA-N 0 0 294.376 2.999 20 5 CFBDRN CCS[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000178096201 380408334 /nfs/dbraw/zinc/40/83/34/380408334.db2.gz XWQYZMRWYVYWDS-PSASIEDQSA-N 0 0 284.337 2.592 20 5 CFBDRN C[C@H]1CCC[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000336592744 380516545 /nfs/dbraw/zinc/51/65/45/380516545.db2.gz JUXSJEIFVQXITF-XHDPSFHLSA-N 0 0 288.347 2.916 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000336560743 380467551 /nfs/dbraw/zinc/46/75/51/380467551.db2.gz PEQFUEPQAQHALJ-NXEZZACHSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@@H](F)C1 ZINC000336560811 380467637 /nfs/dbraw/zinc/46/76/37/380467637.db2.gz IOQMQRKNCFBILS-ZJUUUORDSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1cccc(O)c1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000179561740 380556139 /nfs/dbraw/zinc/55/61/39/380556139.db2.gz VQLRXJXNMPXPTO-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CC2(C1)CCCC2 ZINC000336620489 380562518 /nfs/dbraw/zinc/56/25/18/380562518.db2.gz DIBKHAKCTVITFP-UHFFFAOYSA-N 0 0 292.310 2.679 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000336633646 380580467 /nfs/dbraw/zinc/58/04/67/380580467.db2.gz GIQOJLSGMOQIHH-VHSXEESVSA-N 0 0 280.299 2.533 20 5 CFBDRN CCNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C ZINC000180145324 380624033 /nfs/dbraw/zinc/62/40/33/380624033.db2.gz RWUQGBGQSGLKCU-UHFFFAOYSA-N 0 0 268.338 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCCn1cc(Cl)cn1 ZINC000336809028 380668521 /nfs/dbraw/zinc/66/85/21/380668521.db2.gz DONKYGWQMKCTFQ-UHFFFAOYSA-N 0 0 280.715 2.865 20 5 CFBDRN Cc1ccc(-c2nnc([C@@H](C)n3cc([N+](=O)[O-])cn3)o2)cc1 ZINC000180508862 380669022 /nfs/dbraw/zinc/66/90/22/380669022.db2.gz PHUZGZFXXYBRTL-SNVBAGLBSA-N 0 0 299.290 2.759 20 5 CFBDRN CC[C@@H](C)N(C(=O)Cn1cc([N+](=O)[O-])nc1C)[C@@H](C)CC ZINC000180518417 380670805 /nfs/dbraw/zinc/67/08/05/380670805.db2.gz HQYBEHSJFFDDBL-PHIMTYICSA-N 0 0 296.371 2.525 20 5 CFBDRN COC1(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCC1 ZINC000180873066 380708658 /nfs/dbraw/zinc/70/86/58/380708658.db2.gz VJYQZPPAQFTOHY-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CC[C@@H](CSC)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000180975322 380719847 /nfs/dbraw/zinc/71/98/47/380719847.db2.gz CFSCFKZHRDTNLN-LBPRGKRZSA-N 0 0 296.392 2.737 20 5 CFBDRN CO[C@@H](C)COc1c(Br)cccc1[N+](=O)[O-] ZINC000181036843 380727626 /nfs/dbraw/zinc/72/76/26/380727626.db2.gz ATZYWZSEGVOGDE-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000287347415 380811732 /nfs/dbraw/zinc/81/17/32/380811732.db2.gz ZIWNPGQBUZYIFJ-DGCLKSJQSA-N 0 0 291.351 2.577 20 5 CFBDRN CN(C[C@H]1CCCOC1)c1c(F)cccc1[N+](=O)[O-] ZINC000287364918 380815485 /nfs/dbraw/zinc/81/54/85/380815485.db2.gz NWKQHADXXPWXBF-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000295375995 380816599 /nfs/dbraw/zinc/81/65/99/380816599.db2.gz PCXGSBOXIUDVCY-JOYOIKCWSA-N 0 0 280.349 2.668 20 5 CFBDRN COCC(C)(C)CCCNc1ncccc1[N+](=O)[O-] ZINC000288537144 197106714 /nfs/dbraw/zinc/10/67/14/197106714.db2.gz OPHVTNMPWCTAIZ-UHFFFAOYSA-N 0 0 267.329 2.855 20 5 CFBDRN CC(C)c1nnc(NCc2cccc([N+](=O)[O-])c2)o1 ZINC000181618409 380843239 /nfs/dbraw/zinc/84/32/39/380843239.db2.gz BGLOPKOEJADMGH-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@](C)(F)C1 ZINC000295442860 380857916 /nfs/dbraw/zinc/85/79/16/380857916.db2.gz FPTGCOOOJLWNBC-CQSZACIVSA-N 0 0 280.299 2.867 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@H]1[C@H]2COC[C@H]21 ZINC000367935927 380859385 /nfs/dbraw/zinc/85/93/85/380859385.db2.gz QXUPEJWEOZJIIF-PSVAKVPMSA-N 0 0 268.700 2.553 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C2CC2)C1 ZINC000368108814 380926332 /nfs/dbraw/zinc/92/63/32/380926332.db2.gz AXOPPMZHTFRFJY-GFCCVEGCSA-N 0 0 289.335 2.560 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1C[C@]12CCOC2 ZINC000368106482 380927848 /nfs/dbraw/zinc/92/78/48/380927848.db2.gz GCLVMKRXAOLKQM-NHYWBVRUSA-N 0 0 290.319 2.577 20 5 CFBDRN Cc1nn([C@H](C)c2cccc([N+](=O)[O-])c2)cc1[N+](=O)[O-] ZINC000181817189 380905214 /nfs/dbraw/zinc/90/52/14/380905214.db2.gz CIRNBBRPMJJCSB-SECBINFHSA-N 0 0 276.252 2.617 20 5 CFBDRN Cc1nn([C@H](C)c2ncc(C(C)(C)C)o2)cc1[N+](=O)[O-] ZINC000181832788 380909490 /nfs/dbraw/zinc/90/94/90/380909490.db2.gz KAHSARRWOXSRPS-SECBINFHSA-N 0 0 278.312 2.995 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H](F)C1 ZINC000408107539 380914338 /nfs/dbraw/zinc/91/43/38/380914338.db2.gz ONJCXJLDDVGPCI-QMMMGPOBSA-N 0 0 259.668 2.582 20 5 CFBDRN CN(C)c1cccc(CNC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000347065786 380918103 /nfs/dbraw/zinc/91/81/03/380918103.db2.gz HGPOCPLCVHZTSK-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)Nc1cn[nH]c1 ZINC000353912817 380950651 /nfs/dbraw/zinc/95/06/51/380950651.db2.gz KKWVKIFWJVSGFD-UHFFFAOYSA-N 0 0 275.268 2.524 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000341344182 380950917 /nfs/dbraw/zinc/95/09/17/380950917.db2.gz KECCXFJXHAANDB-ABAIWWIYSA-N 0 0 292.335 2.656 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC[C@@H](C)OC ZINC000353930205 380965702 /nfs/dbraw/zinc/96/57/02/380965702.db2.gz LDNPURZYOIXHEN-SNVBAGLBSA-N 0 0 295.339 2.704 20 5 CFBDRN C[C@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCCS1 ZINC000287891536 380965718 /nfs/dbraw/zinc/96/57/18/380965718.db2.gz OQHUXQOONBUMOB-GFCCVEGCSA-N 0 0 267.354 2.875 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000279288054 194369386 /nfs/dbraw/zinc/36/93/86/194369386.db2.gz XRPKYVVQBBKRCS-PELKAZGASA-N 0 0 295.314 2.625 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)CC(C)C)c([N+](=O)[O-])cc1F ZINC000295621820 380980365 /nfs/dbraw/zinc/98/03/65/380980365.db2.gz ZWPVCGYKBONXFJ-SECBINFHSA-N 0 0 298.314 2.907 20 5 CFBDRN Cc1c(Br)cccc1Cn1cnc([N+](=O)[O-])c1 ZINC000160078459 322332608 /nfs/dbraw/zinc/33/26/08/322332608.db2.gz XVXBYBDJTMMXRE-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000295553278 380936159 /nfs/dbraw/zinc/93/61/59/380936159.db2.gz JCHIXJPYDUSENG-JGVFFNPUSA-N 0 0 268.700 2.729 20 5 CFBDRN C[C@@H](NC(=O)C1CC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000341327390 380937212 /nfs/dbraw/zinc/93/72/12/380937212.db2.gz GOAQIBRQTJESKH-MRVPVSSYSA-N 0 0 284.262 2.817 20 5 CFBDRN C[C@H](c1nc(-c2c([N+](=O)[O-])ncn2C)no1)c1ccccc1 ZINC000347111099 380944098 /nfs/dbraw/zinc/94/40/98/380944098.db2.gz KBVGEZQTQIBBDX-VIFPVBQESA-N 0 0 299.290 2.530 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1nc(C(F)(F)F)ns1 ZINC000347109904 380944233 /nfs/dbraw/zinc/94/42/33/380944233.db2.gz RMAKQQOOCMIYRV-UHFFFAOYSA-N 0 0 292.198 2.652 20 5 CFBDRN COc1cncc(COc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000341336963 380945497 /nfs/dbraw/zinc/94/54/97/380945497.db2.gz JCVSOKUXFJMHAT-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1cccc(N2CC[C@@](CO)(C(C)C)C2)c1[N+](=O)[O-] ZINC000368174166 380948809 /nfs/dbraw/zinc/94/88/09/380948809.db2.gz DKBBXBYCOMHGHF-OAHLLOKOSA-N 0 0 278.352 2.748 20 5 CFBDRN CC[C@H](C)CONc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000295676374 381009777 /nfs/dbraw/zinc/00/97/77/381009777.db2.gz LCOBMTUBYBLQBE-JTQLQIEISA-N 0 0 296.323 2.700 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC2(CC2)CC1 ZINC000368396220 381011932 /nfs/dbraw/zinc/01/19/32/381011932.db2.gz AJTQGDBXGBIHJP-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN Cc1ccc(C(=O)N2CCC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000295681835 381012905 /nfs/dbraw/zinc/01/29/05/381012905.db2.gz YKEBYWOFMAPFKZ-AWEZNQCLSA-N 0 0 280.299 2.867 20 5 CFBDRN CSC[C@H](C)NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347227410 381016311 /nfs/dbraw/zinc/01/63/11/381016311.db2.gz IMBNSSVDJMNBPF-ZETCQYMHSA-N 0 0 287.316 2.607 20 5 CFBDRN CCC1CCC(CNC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000347233532 381017607 /nfs/dbraw/zinc/01/76/07/381017607.db2.gz MARMWKOCTVZDEC-UHFFFAOYSA-N 0 0 293.367 2.880 20 5 CFBDRN Cc1cccnc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000347178042 380986478 /nfs/dbraw/zinc/98/64/78/380986478.db2.gz GRWDXKJKMKFXAV-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1cncc(F)c1)CC2 ZINC000347186111 380989389 /nfs/dbraw/zinc/98/93/89/380989389.db2.gz CVLYMTPLMNDDOV-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN COc1cccc(N2CC[C@H](OC)C(C)(C)C2)c1[N+](=O)[O-] ZINC000295643104 380993095 /nfs/dbraw/zinc/99/30/95/380993095.db2.gz CHXIMAOSSVXXTB-ZDUSSCGKSA-N 0 0 294.351 2.855 20 5 CFBDRN CCN(CCOC)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000295650079 380995942 /nfs/dbraw/zinc/99/59/42/380995942.db2.gz ZETJOWFYAYNOIT-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC(=O)OC2CCCC2)c1 ZINC000295658535 380998793 /nfs/dbraw/zinc/99/87/93/380998793.db2.gz IEWJXDQGBYQYRP-UHFFFAOYSA-N 0 0 279.248 2.865 20 5 CFBDRN CSCCCN(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000341406749 380999630 /nfs/dbraw/zinc/99/96/30/380999630.db2.gz KYUVHSJMQLSENC-UHFFFAOYSA-N 0 0 258.318 2.923 20 5 CFBDRN O=[N+]([O-])c1cc(C[N@H+]2CC[C@@H](O)C23CCCC3)cs1 ZINC000353996648 381008061 /nfs/dbraw/zinc/00/80/61/381008061.db2.gz PCOJYLVYKLYGEY-LLVKDONJSA-N 0 0 282.365 2.536 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@@H](C)c2nccs2)n1 ZINC000182355322 381074465 /nfs/dbraw/zinc/07/44/65/381074465.db2.gz KGKWCXVAXYEXPM-SECBINFHSA-N 0 0 292.364 2.995 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC1CCC(F)(F)CC1 ZINC000341521936 381063974 /nfs/dbraw/zinc/06/39/74/381063974.db2.gz IMEYKYCZHZAIEP-UHFFFAOYSA-N 0 0 298.289 2.831 20 5 CFBDRN Cc1cc(C)cc(CCNC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000295720676 381035522 /nfs/dbraw/zinc/03/55/22/381035522.db2.gz DNZALERAWGMWFE-UHFFFAOYSA-N 0 0 292.379 2.798 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCO1 ZINC000182239852 381037402 /nfs/dbraw/zinc/03/74/02/381037402.db2.gz OQDRPZLDAYSPJF-ZYHUDNBSSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1ncc(NC(=O)c2ccccc2[N+](=O)[O-])c(C2CC2)n1 ZINC000341477046 381037572 /nfs/dbraw/zinc/03/75/72/381037572.db2.gz HKMILEVGSATULA-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347271730 381038659 /nfs/dbraw/zinc/03/86/59/381038659.db2.gz SBERBSFJZMFGLM-SSDOTTSWSA-N 0 0 255.249 2.654 20 5 CFBDRN Cc1cc(F)c(NCc2ncnn2C(C)C)cc1[N+](=O)[O-] ZINC000295813637 381092755 /nfs/dbraw/zinc/09/27/55/381092755.db2.gz AGCXFDIWOXCUNE-UHFFFAOYSA-N 0 0 293.302 2.827 20 5 CFBDRN CCc1ccccc1CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295815578 381094777 /nfs/dbraw/zinc/09/47/77/381094777.db2.gz LKBDJFVBRHSBJA-UHFFFAOYSA-N 0 0 278.352 2.701 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccc(Cl)cn1 ZINC000289724686 381122404 /nfs/dbraw/zinc/12/24/04/381122404.db2.gz SHUYNGRJNIZVFX-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN CN(Cc1ccc(O)cc1)Cc1ccccc1[N+](=O)[O-] ZINC000354130668 381099764 /nfs/dbraw/zinc/09/97/64/381099764.db2.gz BKXVOGXAUGUSLE-UHFFFAOYSA-N 0 0 272.304 2.932 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@H](c2ccco2)C1 ZINC000368679888 381110743 /nfs/dbraw/zinc/11/07/43/381110743.db2.gz HPFGCPWTLUYFIG-SNVBAGLBSA-N 0 0 259.265 2.577 20 5 CFBDRN CCc1nnc([C@@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000341593193 381111245 /nfs/dbraw/zinc/11/12/45/381111245.db2.gz ZADQYUJKRHZPHC-VHSXEESVSA-N 0 0 290.323 2.952 20 5 CFBDRN Cc1sc(C(=O)N2CCc3ccncc32)cc1[N+](=O)[O-] ZINC000354156169 381112105 /nfs/dbraw/zinc/11/21/05/381112105.db2.gz WIBYTQACPAIGCS-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN COC(=O)[C@@H](N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000358835409 381112104 /nfs/dbraw/zinc/11/21/04/381112104.db2.gz PIBCZCJISRWLHW-HZMBPMFUSA-N 0 0 292.335 2.505 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCO[C@@H]1C(C)C ZINC000358888121 381139934 /nfs/dbraw/zinc/13/99/34/381139934.db2.gz RKGYAVGBYUFPOK-DGCLKSJQSA-N 0 0 296.371 2.593 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NC[C@H]3CC=CCC3)c21 ZINC000347428660 381146060 /nfs/dbraw/zinc/14/60/60/381146060.db2.gz LYKHVFQQPKENCQ-NSHDSACASA-N 0 0 284.319 2.728 20 5 CFBDRN CCN(CCO)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000289769013 381147520 /nfs/dbraw/zinc/14/75/20/381147520.db2.gz KGGNQOWHWZJTDW-UHFFFAOYSA-N 0 0 293.150 2.716 20 5 CFBDRN CC(C)(C)OCCN1CCc2ccc([N+](=O)[O-])cc21 ZINC000182756943 381152164 /nfs/dbraw/zinc/15/21/64/381152164.db2.gz PMKGAOJORIOWLG-UHFFFAOYSA-N 0 0 264.325 2.772 20 5 CFBDRN CCN(C(=O)c1ccccc1[N+](=O)[O-])c1ncccc1C ZINC000341666117 381159046 /nfs/dbraw/zinc/15/90/46/381159046.db2.gz NIEACCSADJYXPX-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN C[C@H](NC(=O)C1(CCF)CC1)c1cccc([N+](=O)[O-])c1 ZINC000289799745 381164559 /nfs/dbraw/zinc/16/45/59/381164559.db2.gz MCLGPXABKUQLAN-JTQLQIEISA-N 0 0 280.299 2.912 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000182646250 381128730 /nfs/dbraw/zinc/12/87/30/381128730.db2.gz DNDKZQAZNGSOPX-NEPJUHHUSA-N 0 0 293.367 2.805 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)[C@@H](C)OC ZINC000289747061 381133169 /nfs/dbraw/zinc/13/31/69/381133169.db2.gz JUZWOMJDBXIAII-NXEZZACHSA-N 0 0 295.339 2.702 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cccc2ocnc21 ZINC000341625031 381134251 /nfs/dbraw/zinc/13/42/51/381134251.db2.gz XWALUUHSPHUUFI-UHFFFAOYSA-N 0 0 297.270 2.917 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000412141724 381180650 /nfs/dbraw/zinc/18/06/50/381180650.db2.gz GDHANSRQNQERRL-UONOGXRCSA-N 0 0 274.320 2.709 20 5 CFBDRN CC/C(C)=C/C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000295961704 381184421 /nfs/dbraw/zinc/18/44/21/381184421.db2.gz HTYYWVAEIJBRMC-VAWYXSNFSA-N 0 0 276.336 2.952 20 5 CFBDRN COc1cc(COc2ccc(OC)c([N+](=O)[O-])c2)ccn1 ZINC000358978174 381190158 /nfs/dbraw/zinc/19/01/58/381190158.db2.gz BTNQTDAEKGRSTP-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN Cc1cc(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)on1 ZINC000347505783 381191790 /nfs/dbraw/zinc/19/17/90/381191790.db2.gz IYYSVJXCJGFXNE-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN C[C@@H](CNc1c(F)cccc1[N+](=O)[O-])Cn1cccn1 ZINC000341724927 381192213 /nfs/dbraw/zinc/19/22/13/381192213.db2.gz XRKHTVWEABRZRR-JTQLQIEISA-N 0 0 278.287 2.679 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC(F)(F)F ZINC000289862366 381192270 /nfs/dbraw/zinc/19/22/70/381192270.db2.gz RCYKNANXWYZPFN-UHFFFAOYSA-N 0 0 291.229 2.841 20 5 CFBDRN COc1c(C(=O)N(C)[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000354304449 381195174 /nfs/dbraw/zinc/19/51/74/381195174.db2.gz WKYJCEZNCSZGPH-SNVBAGLBSA-N 0 0 280.324 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc(C[NH+]2CCC(n3cccn3)CC2)cc1 ZINC000369118013 381195837 /nfs/dbraw/zinc/19/58/37/381195837.db2.gz RAFNPAVZLZPGKT-UHFFFAOYSA-N 0 0 286.335 2.628 20 5 CFBDRN CC[C@H](C)CN(CC)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000358995501 381201377 /nfs/dbraw/zinc/20/13/77/381201377.db2.gz ZJTDQQAUACXHQN-NSHDSACASA-N 0 0 293.367 2.827 20 5 CFBDRN Cn1ccc(-c2noc(CNc3ccccc3[N+](=O)[O-])n2)c1 ZINC000347545134 381214551 /nfs/dbraw/zinc/21/45/51/381214551.db2.gz UFQJHWOMZXCPHK-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@H](CC)CC2)c1[N+](=O)[O-] ZINC000354342861 381215299 /nfs/dbraw/zinc/21/52/99/381215299.db2.gz HGFASICMVZUUQV-NSHDSACASA-N 0 0 280.372 2.907 20 5 CFBDRN CCOC1CC([N@H+](C)Cc2cccc([N+](=O)[O-])c2C)C1 ZINC000347466865 381169063 /nfs/dbraw/zinc/16/90/63/381169063.db2.gz ZFSYQRBKFKIOKI-UHFFFAOYSA-N 0 0 278.352 2.903 20 5 CFBDRN CCc1nnc(NCc2ccc(Cl)c([N+](=O)[O-])c2)o1 ZINC000296026712 381216779 /nfs/dbraw/zinc/21/67/79/381216779.db2.gz PTYSFAPOFGYQOY-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])o1)c1cccs1 ZINC000342291301 381251513 /nfs/dbraw/zinc/25/15/13/381251513.db2.gz XZWAPJHTNVLWEJ-UHFFFAOYSA-N 0 0 280.305 2.914 20 5 CFBDRN COC(=O)[C@H](CC(C)C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359083431 381255085 /nfs/dbraw/zinc/25/50/85/381255085.db2.gz OKHKGJQOVRSPQL-NSHDSACASA-N 0 0 295.339 2.606 20 5 CFBDRN Cc1cnc(CN[C@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC000342295099 381256010 /nfs/dbraw/zinc/25/60/10/381256010.db2.gz HZNVLVMIEANSBF-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN CC1(C)C[C@H](O)CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000296107029 381258876 /nfs/dbraw/zinc/25/88/76/381258876.db2.gz UXJJSDNHFLGEPT-SNVBAGLBSA-N 0 0 282.315 2.721 20 5 CFBDRN CC1(C)C[C@@H](O)CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000296107020 381259028 /nfs/dbraw/zinc/25/90/28/381259028.db2.gz UXJJSDNHFLGEPT-JTQLQIEISA-N 0 0 282.315 2.721 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2ccncc2C)n1 ZINC000359091322 381260165 /nfs/dbraw/zinc/26/01/65/381260165.db2.gz HMDZMHVOPCZFIT-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359094024 381262529 /nfs/dbraw/zinc/26/25/29/381262529.db2.gz KVCAUOIQJWSLIK-BXKDBHETSA-N 0 0 281.356 2.816 20 5 CFBDRN COC[C@@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])c1ccco1 ZINC000359097671 381263427 /nfs/dbraw/zinc/26/34/27/381263427.db2.gz QWFNDFFTEPUPQT-LLVKDONJSA-N 0 0 291.307 2.999 20 5 CFBDRN COC(=O)CCCSc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359100940 381265220 /nfs/dbraw/zinc/26/52/20/381265220.db2.gz QVIFVAIFWUUVKB-UHFFFAOYSA-N 0 0 284.337 2.652 20 5 CFBDRN O=C(NCCCCF)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412356708 381267445 /nfs/dbraw/zinc/26/74/45/381267445.db2.gz MZCYZUUFSDDPSB-QWHCGFSZSA-N 0 0 280.299 2.564 20 5 CFBDRN CC1(C)CN(Cc2cccc([N+](=O)[O-])c2)[C@H]1[C@H]1CCCO1 ZINC000289933030 381222575 /nfs/dbraw/zinc/22/25/75/381222575.db2.gz VHBICFSDSBXKQN-CABCVRRESA-N 0 0 290.363 2.984 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000296056522 381234854 /nfs/dbraw/zinc/23/48/54/381234854.db2.gz BQLZZLRNCJXCID-ZDUSSCGKSA-N 0 0 281.287 2.951 20 5 CFBDRN CC[C@@]1(C)CCCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342261981 381234885 /nfs/dbraw/zinc/23/48/85/381234885.db2.gz BXPFDPYKVBECHN-HNNXBMFYSA-N 0 0 291.351 2.710 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1C[C@H]1C ZINC000289965414 381239870 /nfs/dbraw/zinc/23/98/70/381239870.db2.gz YQSGAZNEMFQJFK-KOLCDFICSA-N 0 0 277.324 2.935 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CNc1ccc(CCO)nc1 ZINC000342275078 381241016 /nfs/dbraw/zinc/24/10/16/381241016.db2.gz ABASYEVDAWZGCT-HYXAFXHYSA-N 0 0 299.330 2.650 20 5 CFBDRN Cc1c(CNC(=O)[C@@H](C)CC(F)F)cccc1[N+](=O)[O-] ZINC000412284266 381243062 /nfs/dbraw/zinc/24/30/62/381243062.db2.gz DOOWTHKAKBEXGR-QMMMGPOBSA-N 0 0 286.278 2.811 20 5 CFBDRN Cc1cnc(OCc2nnc(C(C)C)s2)c([N+](=O)[O-])c1 ZINC000296089266 381250637 /nfs/dbraw/zinc/25/06/37/381250637.db2.gz TXIWDKYADLKVDJ-UHFFFAOYSA-N 0 0 294.336 2.852 20 5 CFBDRN CC(=O)c1cc(NCC[C@@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000342343898 381286860 /nfs/dbraw/zinc/28/68/60/381286860.db2.gz BOWUNRUXYUUQSB-CQSZACIVSA-N 0 0 280.324 2.616 20 5 CFBDRN CC1(c2ccccc2F)CN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000369586441 381292657 /nfs/dbraw/zinc/29/26/57/381292657.db2.gz OCSSIJBVMFSHQX-UHFFFAOYSA-N 0 0 287.294 2.907 20 5 CFBDRN CCC[C@H](CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1)OC ZINC000296217303 381306592 /nfs/dbraw/zinc/30/65/92/381306592.db2.gz OMMNPMKWAFRBCO-GFCCVEGCSA-N 0 0 295.339 2.840 20 5 CFBDRN CC(C)(CF)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000354488395 381301088 /nfs/dbraw/zinc/30/10/88/381301088.db2.gz ZIMKENSLHYXHOT-UHFFFAOYSA-N 0 0 279.271 2.554 20 5 CFBDRN COCCN(Cc1ccco1)c1ccc(F)cc1[N+](=O)[O-] ZINC000347702740 381304280 /nfs/dbraw/zinc/30/42/80/381304280.db2.gz UQEPBYLJOGACOT-UHFFFAOYSA-N 0 0 294.282 2.980 20 5 CFBDRN C[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1)c1nccs1 ZINC000183519156 381281617 /nfs/dbraw/zinc/28/16/17/381281617.db2.gz VOUBDNPISZITLL-VIFPVBQESA-N 0 0 291.332 2.585 20 5 CFBDRN O=[N+]([O-])c1cnc(Sc2ccc(F)cc2F)nc1 ZINC000354526293 381321917 /nfs/dbraw/zinc/32/19/17/381321917.db2.gz MFJLMGFCIJZGEE-UHFFFAOYSA-N 0 0 269.232 2.814 20 5 CFBDRN Cc1cccnc1/C=C/C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000183824268 381326726 /nfs/dbraw/zinc/32/67/26/381326726.db2.gz ZIOSHVRTKQWALN-CMDGGOBGSA-N 0 0 283.287 2.950 20 5 CFBDRN CCc1nn(C)c(N2CCC(CC)(CC)C2)c1[N+](=O)[O-] ZINC000354535746 381327195 /nfs/dbraw/zinc/32/71/95/381327195.db2.gz FYANFJVLQUODMQ-UHFFFAOYSA-N 0 0 280.372 2.907 20 5 CFBDRN C[C@H](Cn1cc(Cl)cc([N+](=O)[O-])c1=O)C(F)(F)F ZINC000347745947 381329249 /nfs/dbraw/zinc/32/92/49/381329249.db2.gz KTMBDTHFAAZJSR-RXMQYKEDSA-N 0 0 284.621 2.608 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H](CO)CC1CCCC1 ZINC000359290650 381330787 /nfs/dbraw/zinc/33/07/87/381330787.db2.gz LNLLMCIGWARNME-LBPRGKRZSA-N 0 0 279.340 2.591 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cn1)c1c(F)cccc1F ZINC000354505988 381307512 /nfs/dbraw/zinc/30/75/12/381307512.db2.gz KPHBRUDFTFTREA-ZETCQYMHSA-N 0 0 280.234 2.836 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCc1ccccc1F ZINC000184039678 381358915 /nfs/dbraw/zinc/35/89/15/381358915.db2.gz QBXJWOZFMYUULH-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@H](CCO)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354596415 381359516 /nfs/dbraw/zinc/35/95/16/381359516.db2.gz OHHNGEWDERFWPM-MRVPVSSYSA-N 0 0 290.266 2.627 20 5 CFBDRN CO[C@@](C)([C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000412616124 381368766 /nfs/dbraw/zinc/36/87/66/381368766.db2.gz RTWRJZOWXPPYCG-BMIGLBTASA-N 0 0 292.335 2.528 20 5 CFBDRN CCOc1cccc(N[C@@H](C)CCCCO)c1[N+](=O)[O-] ZINC000296383024 381371745 /nfs/dbraw/zinc/37/17/45/381371745.db2.gz UHOJMOHKFLCOHY-NSHDSACASA-N 0 0 282.340 2.957 20 5 CFBDRN C[C@H](NC(=O)[C@H]1[C@H](C)C1(F)F)c1ccccc1[N+](=O)[O-] ZINC000359373842 381379459 /nfs/dbraw/zinc/37/94/59/381379459.db2.gz WQNVUSGMJWHFTG-DKCNOQQISA-N 0 0 284.262 2.673 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)Cc2ccncc2)c1[N+](=O)[O-] ZINC000342524997 381375113 /nfs/dbraw/zinc/37/51/13/381375113.db2.gz WFJPYTIDIOYAMX-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1cc(O)cc(F)c1 ZINC000184141959 381376503 /nfs/dbraw/zinc/37/65/03/381376503.db2.gz XSWCPPJZKONUHH-UHFFFAOYSA-N 0 0 276.267 2.729 20 5 CFBDRN CCn1cc(CCOc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000347919131 381415407 /nfs/dbraw/zinc/41/54/07/381415407.db2.gz IQYJOZHCGLRGRQ-UHFFFAOYSA-N 0 0 279.271 2.572 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])C[C@H]1O ZINC000342534545 381380020 /nfs/dbraw/zinc/38/00/20/381380020.db2.gz CGTZHHVSBNSETA-LHLIQPBNSA-N 0 0 291.134 2.719 20 5 CFBDRN COc1cc(CN2CCC(C)CC2)c([N+](=O)[O-])cc1F ZINC000184234274 381390301 /nfs/dbraw/zinc/39/03/01/381390301.db2.gz GKNHOXKXTHKPEJ-UHFFFAOYSA-N 0 0 282.315 2.974 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1CC[C@@H](CO)C1 ZINC000296430845 381392082 /nfs/dbraw/zinc/39/20/82/381392082.db2.gz YHWDATUQKBEYNQ-PWSUYJOCSA-N 0 0 287.319 2.716 20 5 CFBDRN COCC1CCC(NC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000412684314 381400915 /nfs/dbraw/zinc/40/09/15/381400915.db2.gz HHZWLDPFMARTFP-UHFFFAOYSA-N 0 0 298.364 2.591 20 5 CFBDRN O=C1CCC(CSc2ncccc2[N+](=O)[O-])CC1 ZINC000347894045 381402161 /nfs/dbraw/zinc/40/21/61/381402161.db2.gz DPQQEJXUHUTXSN-UHFFFAOYSA-N 0 0 266.322 2.841 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2C[C@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000412687665 381402705 /nfs/dbraw/zinc/40/27/05/381402705.db2.gz OBNPHPFCZAUVPD-NRPADANISA-N 0 0 298.364 2.508 20 5 CFBDRN O=C(CCc1ccoc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347946474 381427516 /nfs/dbraw/zinc/42/75/16/381427516.db2.gz CNVPTKQIEWCGGN-UHFFFAOYSA-N 0 0 278.239 2.898 20 5 CFBDRN COc1cccc(F)c1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000184539617 381428092 /nfs/dbraw/zinc/42/80/92/381428092.db2.gz RYCCLBCXQLKOFS-UHFFFAOYSA-N 0 0 280.211 2.588 20 5 CFBDRN COCCCCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000296556996 381446983 /nfs/dbraw/zinc/44/69/83/381446983.db2.gz PHTBGIQUXHRSOK-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000184807340 381479467 /nfs/dbraw/zinc/47/94/67/381479467.db2.gz KLNUDICJALLVTF-OGOUPESXSA-N 0 0 280.324 2.733 20 5 CFBDRN CCc1cnccc1CNc1c([N+](=O)[O-])c(C)nn1CC ZINC000359579389 381483898 /nfs/dbraw/zinc/48/38/98/381483898.db2.gz QQOSVQCICAKXKV-UHFFFAOYSA-N 0 0 289.339 2.689 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NS(=O)(=O)CCC1CC1 ZINC000290574281 381484092 /nfs/dbraw/zinc/48/40/92/381484092.db2.gz UTSKOQLOLNAAPJ-UHFFFAOYSA-N 0 0 298.364 2.699 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@H]1CCCCS1 ZINC000342646705 381455164 /nfs/dbraw/zinc/45/51/64/381455164.db2.gz DBELZYKLHOEXOJ-LBPRGKRZSA-N 0 0 294.376 2.539 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@H]2C(C)(C)C)c1[N+](=O)[O-] ZINC000412808046 381455666 /nfs/dbraw/zinc/45/56/66/381455666.db2.gz GKLBTBLRFOXJLM-NXEZZACHSA-N 0 0 294.355 2.571 20 5 CFBDRN CS[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000296580245 381459564 /nfs/dbraw/zinc/45/95/64/381459564.db2.gz FJXXUCRHWZJGLE-NSHDSACASA-N 0 0 295.364 2.872 20 5 CFBDRN CCCn1c(C)nnc1COc1c(F)cccc1[N+](=O)[O-] ZINC000185042697 381520489 /nfs/dbraw/zinc/52/04/89/381520489.db2.gz BQBQEFKKVUUOTQ-UHFFFAOYSA-N 0 0 294.286 2.623 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC(C)C ZINC000184996295 381507671 /nfs/dbraw/zinc/50/76/71/381507671.db2.gz OLVRHXKJGOCPGX-ZDUSSCGKSA-N 0 0 278.352 2.936 20 5 CFBDRN CCCNC(=O)[C@@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000185003085 381509453 /nfs/dbraw/zinc/50/94/53/381509453.db2.gz POZYSCXTMRAWLV-LBPRGKRZSA-N 0 0 264.325 2.690 20 5 CFBDRN O=C(COc1c(F)cccc1[N+](=O)[O-])Nc1ccccc1 ZINC000185018938 381513184 /nfs/dbraw/zinc/51/31/84/381513184.db2.gz UPHQBZDQJIYFFX-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN CC(C)c1noc(CNc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000359621286 381513968 /nfs/dbraw/zinc/51/39/68/381513968.db2.gz OYFDWBCJQZUZDQ-UHFFFAOYSA-N 0 0 280.259 2.852 20 5 CFBDRN Cc1nc(NCCc2cccc(F)c2F)ccc1[N+](=O)[O-] ZINC000348335712 381515261 /nfs/dbraw/zinc/51/52/61/381515261.db2.gz CEVOUTMXJIOHLG-UHFFFAOYSA-N 0 0 293.273 2.653 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)OC1CCCC1 ZINC000296717209 381517377 /nfs/dbraw/zinc/51/73/77/381517377.db2.gz MQBDTDKUNNGLEX-UHFFFAOYSA-N 0 0 278.308 2.806 20 5 CFBDRN CCN(Cc1cccs1)c1ncc([N+](=O)[O-])c(C)n1 ZINC000296644108 381487711 /nfs/dbraw/zinc/48/77/11/381487711.db2.gz WVMDGYDMNWEBKE-UHFFFAOYSA-N 0 0 278.337 2.781 20 5 CFBDRN CCc1ccc(C(=O)N2CCC[C@H]2CF)cc1[N+](=O)[O-] ZINC000359585789 381489238 /nfs/dbraw/zinc/48/92/38/381489238.db2.gz BZEZNQZETGEPFV-LBPRGKRZSA-N 0 0 280.299 2.731 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2noc(C(C)C)n2)n1 ZINC000359588292 381490981 /nfs/dbraw/zinc/49/09/81/381490981.db2.gz PLWGRNLIMNJVJP-UHFFFAOYSA-N 0 0 292.295 2.692 20 5 CFBDRN CC(C)(CCC(=O)N1CCc2c(F)cccc2C1)[N+](=O)[O-] ZINC000296666020 381499887 /nfs/dbraw/zinc/49/98/87/381499887.db2.gz YVWDPVWDRSSVQA-UHFFFAOYSA-N 0 0 294.326 2.546 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)ccc1C1CC1 ZINC000354874636 381500394 /nfs/dbraw/zinc/50/03/94/381500394.db2.gz AIEZCVJZXHBTLB-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CC1(C)C(NC(=O)c2csc([N+](=O)[O-])c2)C1(C)C ZINC000359658630 381537934 /nfs/dbraw/zinc/53/79/34/381537934.db2.gz DKXQISJAUJIBOK-UHFFFAOYSA-N 0 0 268.338 2.821 20 5 CFBDRN CC1(C)C(NC(=O)Cc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000359658064 381538203 /nfs/dbraw/zinc/53/82/03/381538203.db2.gz AUWYZUMWUYLPTD-UHFFFAOYSA-N 0 0 276.336 2.688 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C)N(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413269932 381538638 /nfs/dbraw/zinc/53/86/38/381538638.db2.gz URKRRNFKCSBPHQ-BBBLOLIVSA-N 0 0 267.304 3.000 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@H](CC2CC2)C1 ZINC000359681536 381552457 /nfs/dbraw/zinc/55/24/57/381552457.db2.gz SQOYHSDXWVQGQM-SNVBAGLBSA-N 0 0 280.349 2.919 20 5 CFBDRN CCc1nnc(CNc2ccc([N+](=O)[O-])cc2C)s1 ZINC000290765169 381543655 /nfs/dbraw/zinc/54/36/55/381543655.db2.gz UURRWACUBFEPTK-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN CC1(C)C(NC(=O)c2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000359637843 381526865 /nfs/dbraw/zinc/52/68/65/381526865.db2.gz JBSCTBJTRMFPJF-UHFFFAOYSA-N 0 0 262.309 2.759 20 5 CFBDRN COc1cc(N[C@H](C)[C@@H](C)OC)c(F)cc1[N+](=O)[O-] ZINC000290716037 381528943 /nfs/dbraw/zinc/52/89/43/381528943.db2.gz OYDYYJVRDQEQDQ-HTQZYQBOSA-N 0 0 272.276 2.578 20 5 CFBDRN COc1cccc(N(C)CCc2ccccn2)c1[N+](=O)[O-] ZINC000185083593 381531805 /nfs/dbraw/zinc/53/18/05/381531805.db2.gz SVOVPYKMZPJDFO-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000342779457 381534208 /nfs/dbraw/zinc/53/42/08/381534208.db2.gz MWTATVARAHPHPE-WDEREUQCSA-N 0 0 280.299 2.597 20 5 CFBDRN CC(=O)c1cc(NCc2ncc(C)o2)ccc1[N+](=O)[O-] ZINC000342779144 381534708 /nfs/dbraw/zinc/53/47/08/381534708.db2.gz DGGHLKODMHZZLE-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@H](CC2CC2)C1 ZINC000359724697 381580353 /nfs/dbraw/zinc/58/03/53/381580353.db2.gz FMGVIXYRBQDDRN-GFCCVEGCSA-N 0 0 289.335 2.560 20 5 CFBDRN COc1cccc(N(C)CCc2ccncc2)c1[N+](=O)[O-] ZINC000185270090 381582230 /nfs/dbraw/zinc/58/22/30/381582230.db2.gz LKALALCFXSFKLL-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN Cc1cccc(OS(=O)(=O)CCC2CCC2)c1[N+](=O)[O-] ZINC000290808123 381558166 /nfs/dbraw/zinc/55/81/66/381558166.db2.gz RZPJQJUICVBMSM-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@H](CC2CC2)C1 ZINC000359701073 381565327 /nfs/dbraw/zinc/56/53/27/381565327.db2.gz BAFQLQYRCXINBO-GFCCVEGCSA-N 0 0 274.320 2.857 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)C2CCCC2)c(F)c1 ZINC000290926643 381600159 /nfs/dbraw/zinc/60/01/59/381600159.db2.gz YGOUUZJBQWZFCK-ZDUSSCGKSA-N 0 0 268.288 2.697 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000342891149 381601633 /nfs/dbraw/zinc/60/16/33/381601633.db2.gz YNLNNAABOYFRDJ-VSQXVHSFSA-N 0 0 278.308 2.536 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC12CCC(CC1)C2 ZINC000371324309 381590532 /nfs/dbraw/zinc/59/05/32/381590532.db2.gz WEDBZJSQZKICCR-UHFFFAOYSA-N 0 0 289.335 2.608 20 5 CFBDRN COc1ccc(C[N@@H+]2CC[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000342862371 381591209 /nfs/dbraw/zinc/59/12/09/381591209.db2.gz SIXDOTYIBYSEDG-GFCCVEGCSA-N 0 0 264.325 2.834 20 5 CFBDRN CC(C)(C)C(C)(C)CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000348490737 381593968 /nfs/dbraw/zinc/59/39/68/381593968.db2.gz LDHVUQVOAWZRPA-UHFFFAOYSA-N 0 0 293.367 2.979 20 5 CFBDRN C[C@H]1CC(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000355068107 381594867 /nfs/dbraw/zinc/59/48/67/381594867.db2.gz LFKHQEPXQBNWSM-IUCAKERBSA-N 0 0 296.298 2.876 20 5 CFBDRN CC[C@@H](C)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000348496360 381595630 /nfs/dbraw/zinc/59/56/30/381595630.db2.gz QOAVZDVUBWNTRK-ZYHUDNBSSA-N 0 0 279.340 2.964 20 5 CFBDRN CC[C@@H](C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342868139 381595908 /nfs/dbraw/zinc/59/59/08/381595908.db2.gz JTPAISVHVFEPAV-MRVPVSSYSA-N 0 0 261.281 2.604 20 5 CFBDRN Cc1noc(CCCOc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000359753448 381597377 /nfs/dbraw/zinc/59/73/77/381597377.db2.gz KCTVHHSOJUJLMN-UHFFFAOYSA-N 0 0 277.280 2.606 20 5 CFBDRN COc1cccc(N2CCS[C@H](C)C2)c1[N+](=O)[O-] ZINC000185354940 381609792 /nfs/dbraw/zinc/60/97/92/381609792.db2.gz JRLXGXVEIZCOAR-SECBINFHSA-N 0 0 268.338 2.545 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342918845 381615144 /nfs/dbraw/zinc/61/51/44/381615144.db2.gz FHMXHQAJQAHLGR-SECBINFHSA-N 0 0 287.319 2.852 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1c(F)cccc1[N+](=O)[O-] ZINC000342928289 381620588 /nfs/dbraw/zinc/62/05/88/381620588.db2.gz BXQORSOIUFGGHR-SKDRFNHKSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1nnc(N(Cc2cccc([N+](=O)[O-])c2)C2CC2)nc1C ZINC000359809784 381621705 /nfs/dbraw/zinc/62/17/05/381621705.db2.gz UOUCIPLYNUYCIQ-UHFFFAOYSA-N 0 0 299.334 2.566 20 5 CFBDRN CNc1cccnc1CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000359831298 381631502 /nfs/dbraw/zinc/63/15/02/381631502.db2.gz MCABCWSFVNCQIZ-UHFFFAOYSA-N 0 0 272.308 2.952 20 5 CFBDRN CCC1(C)CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000291014495 381631971 /nfs/dbraw/zinc/63/19/71/381631971.db2.gz DQJOJKHGYZYYBH-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCCOC(C)C)c1 ZINC000359834622 381632998 /nfs/dbraw/zinc/63/29/98/381632998.db2.gz PIOGKTDCAAZGTE-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN Cc1ccccc1Cc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000291050366 381647788 /nfs/dbraw/zinc/64/77/88/381647788.db2.gz IFDUMUFFNUHHDD-UHFFFAOYSA-N 0 0 289.335 2.957 20 5 CFBDRN C[C@H]1CCC[C@@H](Cn2cc([N+](=O)[O-])ccc2=O)C1 ZINC000185479953 381646458 /nfs/dbraw/zinc/64/64/58/381646458.db2.gz HDDCDMSCXIEUDQ-WDEREUQCSA-N 0 0 250.298 2.583 20 5 CFBDRN COc1c(C(=O)NC[C@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000355167684 381655067 /nfs/dbraw/zinc/65/50/67/381655067.db2.gz RNAXRFJCLROAOO-NSHDSACASA-N 0 0 290.319 2.690 20 5 CFBDRN Cc1nccn1CCCOc1cccc([N+](=O)[O-])c1 ZINC000298515902 381656944 /nfs/dbraw/zinc/65/69/44/381656944.db2.gz PUIYQBKVNXGJRW-UHFFFAOYSA-N 0 0 261.281 2.569 20 5 CFBDRN CC1CCC(Cn2cccc([N+](=O)[O-])c2=O)CC1 ZINC000185527348 381657978 /nfs/dbraw/zinc/65/79/78/381657978.db2.gz SWDZEBVSCYHGMJ-UHFFFAOYSA-N 0 0 250.298 2.583 20 5 CFBDRN CCOc1cc(NCc2ncccc2O)ccc1[N+](=O)[O-] ZINC000359895171 381663766 /nfs/dbraw/zinc/66/37/66/381663766.db2.gz DTWCPMIIYDWUCS-UHFFFAOYSA-N 0 0 289.291 2.706 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@H]2C2CC2)cc([N+](=O)[O-])c1 ZINC000371531930 381651534 /nfs/dbraw/zinc/65/15/34/381651534.db2.gz LWFARZVCYGBIJE-AWEZNQCLSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1nn(C)c(Oc2ccc3cccnc3c2)c1[N+](=O)[O-] ZINC000359876880 381653084 /nfs/dbraw/zinc/65/30/84/381653084.db2.gz NKOQMFMWZSNNEA-UHFFFAOYSA-N 0 0 284.275 2.977 20 5 CFBDRN CCN(Cc1csc([N+](=O)[O-])c1)C[C@@H](C)OC ZINC000291067328 381654498 /nfs/dbraw/zinc/65/44/98/381654498.db2.gz KXDNBFVECZPQEQ-SECBINFHSA-N 0 0 258.343 2.513 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000185726615 381716349 /nfs/dbraw/zinc/71/63/49/381716349.db2.gz ACNFPNVVAZGQEI-NXEZZACHSA-N 0 0 288.307 2.702 20 5 CFBDRN O=C(NC12CCC(CC1)C2)c1cccc([N+](=O)[O-])c1 ZINC000371709160 381684535 /nfs/dbraw/zinc/68/45/35/381684535.db2.gz IQRRUXXXQZASTP-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN COc1ccc(NC(=O)c2cccc(C)n2)cc1[N+](=O)[O-] ZINC000359962084 381700979 /nfs/dbraw/zinc/70/09/79/381700979.db2.gz ZGWCDBZNTNKSPN-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC[C@H](O)C(F)(F)F ZINC000185683339 381704254 /nfs/dbraw/zinc/70/42/54/381704254.db2.gz IZEXFSFYVFSKAT-ZETCQYMHSA-N 0 0 285.605 2.550 20 5 CFBDRN CCC[C@H]1C[C@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000360025271 381735289 /nfs/dbraw/zinc/73/52/89/381735289.db2.gz QKHFBXVCDYQQNV-GXFFZTMASA-N 0 0 292.335 2.656 20 5 CFBDRN CCN(CC(F)F)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000185803236 381738702 /nfs/dbraw/zinc/73/87/02/381738702.db2.gz SRLYTTHMKXISKO-UHFFFAOYSA-N 0 0 286.278 2.641 20 5 CFBDRN CCC1(C)CN(C(=O)Nc2cc([N+](=O)[O-])ccc2OC)C1 ZINC000291351404 381757634 /nfs/dbraw/zinc/75/76/34/381757634.db2.gz XXDTZCOLSNMEDX-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN COc1ccc(NC(=O)CCOCC(C)C)cc1[N+](=O)[O-] ZINC000360004599 381722323 /nfs/dbraw/zinc/72/23/23/381722323.db2.gz ORQXCFRVSNLKJO-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN CO[C@@](C)(CNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000292317491 381800345 /nfs/dbraw/zinc/80/03/45/381800345.db2.gz MEBYRUOIMOFUBU-ZDUSSCGKSA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@@H]1C[C@H](c2cccnc2)N(c2ccncc2[N+](=O)[O-])C1 ZINC000361045975 381800764 /nfs/dbraw/zinc/80/07/64/381800764.db2.gz NDLHMVRNRLDIIE-BXUZGUMPSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@H]1C[C@@H](O)CCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000292219786 381796840 /nfs/dbraw/zinc/79/68/40/381796840.db2.gz IBQZALILWVJVCL-WPRPVWTQSA-N 0 0 270.716 2.598 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@H]2CCCC[C@@H]21 ZINC000298834423 381761184 /nfs/dbraw/zinc/76/11/84/381761184.db2.gz BPUUFEVJXGEQMG-PWSUYJOCSA-N 0 0 277.324 2.718 20 5 CFBDRN CCN(C(=O)CCOc1ccccc1[N+](=O)[O-])C(C)C ZINC000298839948 381761708 /nfs/dbraw/zinc/76/17/08/381761708.db2.gz AKCCHCXJVZIUNG-UHFFFAOYSA-N 0 0 280.324 2.621 20 5 CFBDRN C[C@@H](c1cnn(C)c1)N(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000348925706 381768456 /nfs/dbraw/zinc/76/84/56/381768456.db2.gz DTSJSSMEAFUHKS-JTQLQIEISA-N 0 0 292.314 2.660 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000298902783 381778907 /nfs/dbraw/zinc/77/89/07/381778907.db2.gz BWNKSFSTHYACMX-GWCFXTLKSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1ncc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000343531724 381779466 /nfs/dbraw/zinc/77/94/66/381779466.db2.gz BOCGXRYXWGEMJG-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1cccc(F)c1[N+](=O)[O-] ZINC000292768926 381827029 /nfs/dbraw/zinc/82/70/29/381827029.db2.gz OVBCIWAFMJPABD-JTQLQIEISA-N 0 0 297.330 2.698 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N(CC(F)(F)F)C1CC1 ZINC000299120265 381830593 /nfs/dbraw/zinc/83/05/93/381830593.db2.gz GLKVJUNTZCGXMK-UHFFFAOYSA-N 0 0 294.254 2.823 20 5 CFBDRN CC[C@H]1CCN1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000292780178 381832377 /nfs/dbraw/zinc/83/23/77/381832377.db2.gz LVXSOPLTUHEQFI-NSHDSACASA-N 0 0 263.297 2.919 20 5 CFBDRN COc1c(C(=O)N2C[C@H](C)CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000356225604 381832325 /nfs/dbraw/zinc/83/23/25/381832325.db2.gz PSVGMKCUTUPBQR-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN COCC(C)(C)[N@H+](C)Cc1ccc([N+](=O)[O-])cc1C ZINC000292791577 381836411 /nfs/dbraw/zinc/83/64/11/381836411.db2.gz MMQNOHJRFNDOLR-UHFFFAOYSA-N 0 0 266.341 2.760 20 5 CFBDRN Cc1nc(C(C)C)ccc1Cn1cc([N+](=O)[O-])cn1 ZINC000292639739 381814389 /nfs/dbraw/zinc/81/43/89/381814389.db2.gz LKISEZIWEYLFFJ-UHFFFAOYSA-N 0 0 260.297 2.666 20 5 CFBDRN CO[C@@H](CNc1ccc(C(C)=O)cc1[N+](=O)[O-])C1CC1 ZINC000292647216 381816941 /nfs/dbraw/zinc/81/69/41/381816941.db2.gz BJYNYHNAZZTPTP-AWEZNQCLSA-N 0 0 278.308 2.634 20 5 CFBDRN Cc1cc(N2CC[C@H](O)C(C)(C)C2)c(F)cc1[N+](=O)[O-] ZINC000292662033 381816979 /nfs/dbraw/zinc/81/69/79/381816979.db2.gz PWMHKXAQHWNNCG-ZDUSSCGKSA-N 0 0 282.315 2.640 20 5 CFBDRN O=C(NCC(F)F)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000349202365 381845807 /nfs/dbraw/zinc/84/58/07/381845807.db2.gz QAFCVQJECSOVPY-UHFFFAOYSA-N 0 0 298.167 2.609 20 5 CFBDRN CCN(Cc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000361597375 381849237 /nfs/dbraw/zinc/84/92/37/381849237.db2.gz YHJPASSIDCSXEA-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C1=C(C)CCC1 ZINC000343642788 381863378 /nfs/dbraw/zinc/86/33/78/381863378.db2.gz ABBQPQIWTHVVIV-UHFFFAOYSA-N 0 0 290.319 2.720 20 5 CFBDRN O=c1cc(CNc2ccc(Cl)cc2[N+](=O)[O-])cc[nH]1 ZINC000349249649 381865383 /nfs/dbraw/zinc/86/53/83/381865383.db2.gz DWFBBPIXYDNNTK-UHFFFAOYSA-N 0 0 279.683 2.961 20 5 CFBDRN Cc1c(CO[C@@H](C)Cc2ncc[nH]2)cccc1[N+](=O)[O-] ZINC000343652420 381869868 /nfs/dbraw/zinc/86/98/68/381869868.db2.gz RXNPIPSHOZGXGM-JTQLQIEISA-N 0 0 275.308 2.774 20 5 CFBDRN CCc1ccc(OC[C@@H](O)CCOC(C)C)c([N+](=O)[O-])c1 ZINC000349262356 381870954 /nfs/dbraw/zinc/87/09/54/381870954.db2.gz BMJNEYSXTIIJKU-ZDUSSCGKSA-N 0 0 297.351 2.712 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1cccnc1 ZINC000292864738 381873426 /nfs/dbraw/zinc/87/34/26/381873426.db2.gz UCPAEFDJXNAHDZ-SECBINFHSA-N 0 0 275.312 2.688 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)NCc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000416700349 381894478 /nfs/dbraw/zinc/89/44/78/381894478.db2.gz YYHXUDCXINNATQ-UTUOFQBUSA-N 0 0 291.351 2.781 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000299323786 381896382 /nfs/dbraw/zinc/89/63/82/381896382.db2.gz VYHWLGSQFHXQMI-CYBMUJFWSA-N 0 0 291.351 2.654 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCC12CCOCC2 ZINC000372964484 381898431 /nfs/dbraw/zinc/89/84/31/381898431.db2.gz IDMZAHPVURMDRG-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN Cc1c(CNC(=O)NC[C@H]2CC23CC3)cccc1[N+](=O)[O-] ZINC000416711183 381899300 /nfs/dbraw/zinc/89/93/00/381899300.db2.gz KBJJEALPPUWXBE-GFCCVEGCSA-N 0 0 289.335 2.503 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416740809 381913558 /nfs/dbraw/zinc/91/35/58/381913558.db2.gz XFWOFVLFHNIFJB-JTQLQIEISA-N 0 0 275.308 2.825 20 5 CFBDRN CCn1cnc2c1ncnc2Oc1ccccc1[N+](=O)[O-] ZINC000356958778 381925669 /nfs/dbraw/zinc/92/56/69/381925669.db2.gz NPWSELCDFSCTRJ-UHFFFAOYSA-N 0 0 285.263 2.547 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)CC(F)(F)F)cccc1[N+](=O)[O-] ZINC000362062615 381893022 /nfs/dbraw/zinc/89/30/22/381893022.db2.gz UGTPASCBCMSFPA-ZETCQYMHSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1nn(C)c(N2CC[C@H](c3ccccc3)C2)c1[N+](=O)[O-] ZINC000299318504 381894133 /nfs/dbraw/zinc/89/41/33/381894133.db2.gz JZHDRUJZPNWDQJ-ZDUSSCGKSA-N 0 0 286.335 2.631 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCCCCF ZINC000362214863 381963563 /nfs/dbraw/zinc/96/35/63/381963563.db2.gz RTIZFJBJMXVXOS-UHFFFAOYSA-N 0 0 282.315 3.000 20 5 CFBDRN Cc1cnc(OCc2ccc(C)nc2C)c([N+](=O)[O-])c1 ZINC000186627344 381970169 /nfs/dbraw/zinc/97/01/69/381970169.db2.gz WOKHETVSRBJAQO-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN C[C@H](N[C@H](CCO)c1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000186531019 381936976 /nfs/dbraw/zinc/93/69/76/381936976.db2.gz YPUIBPSPDOOGGM-SMDDNHRTSA-N 0 0 290.319 2.962 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000186526300 381936995 /nfs/dbraw/zinc/93/69/95/381936995.db2.gz OSLDGVMBQZXUGU-GDBMZVCRSA-N 0 0 290.363 2.936 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000299429441 381939448 /nfs/dbraw/zinc/93/94/48/381939448.db2.gz CCOVAGPMIHYBIK-JTQLQIEISA-N 0 0 282.344 2.573 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000343783471 381941335 /nfs/dbraw/zinc/94/13/35/381941335.db2.gz CXSNRLSCAZOETK-JTQLQIEISA-N 0 0 293.323 2.767 20 5 CFBDRN CCn1cnc2c1ncnc2Oc1ccc([N+](=O)[O-])c(C)c1 ZINC000356985287 381943972 /nfs/dbraw/zinc/94/39/72/381943972.db2.gz QAUPRSUGCGJOBY-UHFFFAOYSA-N 0 0 299.290 2.855 20 5 CFBDRN Cc1n[nH]c(C(=O)N(C)[C@@H](C)c2cccs2)c1[N+](=O)[O-] ZINC000299447805 381946934 /nfs/dbraw/zinc/94/69/34/381946934.db2.gz OOTPZIXVDIKUFU-QMMMGPOBSA-N 0 0 294.336 2.521 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]2C(F)F)c(F)cc1[N+](=O)[O-] ZINC000343805648 381953383 /nfs/dbraw/zinc/95/33/83/381953383.db2.gz HTUYEFODNWYJCG-IYSWYEEDSA-N 0 0 276.214 2.808 20 5 CFBDRN COc1ccc(COc2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000362303990 381999315 /nfs/dbraw/zinc/99/93/15/381999315.db2.gz COLQDPSYDFAGMH-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN1CCNc2ccccc21 ZINC000357084166 382003237 /nfs/dbraw/zinc/00/32/37/382003237.db2.gz ZAGKZQMHYGYGFR-UHFFFAOYSA-N 0 0 299.330 2.906 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCN2CCNc3ccccc32)c1 ZINC000357084724 382003450 /nfs/dbraw/zinc/00/34/50/382003450.db2.gz JSLZXFWZGYVRHE-UHFFFAOYSA-N 0 0 299.330 2.906 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSc1nc2cncnc2[nH]1 ZINC000186768060 382008128 /nfs/dbraw/zinc/00/81/28/382008128.db2.gz DZVAWQVIVZNVLC-UHFFFAOYSA-N 0 0 287.304 2.553 20 5 CFBDRN Cc1cc(CCNc2ccc(F)cc2[N+](=O)[O-])on1 ZINC000343908157 382012955 /nfs/dbraw/zinc/01/29/55/382012955.db2.gz HZUWRGKVJQWKER-UHFFFAOYSA-N 0 0 265.244 2.685 20 5 CFBDRN Cc1cc(CCNc2ccc([N+](=O)[O-])cc2F)on1 ZINC000343905076 382014042 /nfs/dbraw/zinc/01/40/42/382014042.db2.gz BCLFYKHBVXDFST-UHFFFAOYSA-N 0 0 265.244 2.685 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@@H]2C(F)F)c(Cl)c1 ZINC000343843519 381977379 /nfs/dbraw/zinc/97/73/79/381977379.db2.gz ILVJTUGCDWCWNO-FSPLSTOPSA-N 0 0 263.631 2.709 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCc1ccccc1F ZINC000357032320 381977671 /nfs/dbraw/zinc/97/76/71/381977671.db2.gz XQLJOPQJTSLVGP-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCC[C@@H]1CF ZINC000293084509 381982655 /nfs/dbraw/zinc/98/26/55/381982655.db2.gz PCOLLYIFNPDNEV-CYBMUJFWSA-N 0 0 282.315 2.927 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(F)cn2)c(Cl)c1 ZINC000357188081 382046316 /nfs/dbraw/zinc/04/63/16/382046316.db2.gz ZSEWWKSFCZLALW-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC[C@H]2CC(F)F)c1 ZINC000343920558 382021404 /nfs/dbraw/zinc/02/14/04/382021404.db2.gz TTXYNZOEPWMERQ-SNVBAGLBSA-N 0 0 286.278 2.764 20 5 CFBDRN COC1(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)CCCCC1 ZINC000186840671 382028095 /nfs/dbraw/zinc/02/80/95/382028095.db2.gz JSHRULMHSWWEFL-UHFFFAOYSA-N 0 0 293.323 2.586 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]2C2CC2)c(Cl)c1 ZINC000362373727 382033679 /nfs/dbraw/zinc/03/36/79/382033679.db2.gz OLJGZPURHDRQSN-WCBMZHEXSA-N 0 0 253.689 2.854 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)C2)c1 ZINC000299724854 382035193 /nfs/dbraw/zinc/03/51/93/382035193.db2.gz PMHDKKFKHSXQPQ-SECBINFHSA-N 0 0 280.349 2.799 20 5 CFBDRN CC1(CO)CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000186891072 382038197 /nfs/dbraw/zinc/03/81/97/382038197.db2.gz PGKVWSXVTPEYEP-UHFFFAOYSA-N 0 0 298.770 2.843 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](n3cccn3)C2)cc1 ZINC000301189308 382102314 /nfs/dbraw/zinc/10/23/14/382102314.db2.gz QYWZILJWWUPZQS-CQSZACIVSA-N 0 0 272.308 2.633 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@H](n2cccn2)C1 ZINC000301200456 382105172 /nfs/dbraw/zinc/10/51/72/382105172.db2.gz WFOUUXOZHIMLMJ-ZDUSSCGKSA-N 0 0 286.335 2.941 20 5 CFBDRN CC(C)NC(=O)c1ccc(NC[C@@H]2C[C@@H]2C)c([N+](=O)[O-])c1 ZINC000357328278 382110253 /nfs/dbraw/zinc/11/02/53/382110253.db2.gz XBWCKGVFFZEAKA-JQWIXIFHSA-N 0 0 291.351 2.801 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357333414 382113990 /nfs/dbraw/zinc/11/39/90/382113990.db2.gz MULYZLQDQUQRDX-RISCZKNCSA-N 0 0 288.307 2.867 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2C[C@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000301234095 382114744 /nfs/dbraw/zinc/11/47/44/382114744.db2.gz GUSMZNLCGNCKRV-OLZOCXBDSA-N 0 0 286.335 2.852 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1ccc2nccnc2n1 ZINC000301266199 382128454 /nfs/dbraw/zinc/12/84/54/382128454.db2.gz WGUZFCWRMJGWGL-UHFFFAOYSA-N 0 0 298.258 2.734 20 5 CFBDRN Cc1nn(C)c(NC/C=C/c2ccccc2)c1[N+](=O)[O-] ZINC000301243487 382119021 /nfs/dbraw/zinc/11/90/21/382119021.db2.gz PCDLFWBMFVKCBG-RMKNXTFCSA-N 0 0 272.308 2.762 20 5 CFBDRN Cc1nn(C)c(Nc2ccc3[nH]ccc3c2)c1[N+](=O)[O-] ZINC000301251258 382122312 /nfs/dbraw/zinc/12/23/12/382122312.db2.gz DDVZRRDEUUAMDG-UHFFFAOYSA-N 0 0 271.280 2.862 20 5 CFBDRN CC(=O)c1ccc(NC[C@H](O)CC(C)C)c([N+](=O)[O-])c1 ZINC000301075631 382074104 /nfs/dbraw/zinc/07/41/04/382074104.db2.gz CXQNUGPEOGUINC-GFCCVEGCSA-N 0 0 280.324 2.616 20 5 CFBDRN COc1ccc(CNc2ncc([N+](=O)[O-])cc2C)cc1O ZINC000301259597 382126480 /nfs/dbraw/zinc/12/64/80/382126480.db2.gz OIWJGUIQHLLVIJ-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(Oc2ncccc2[N+](=O)[O-])c1 ZINC000301127870 382088202 /nfs/dbraw/zinc/08/82/02/382088202.db2.gz VOSCYEJDNJYIKD-UHFFFAOYSA-N 0 0 289.203 2.503 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@H](C)OC(C)(C)C1 ZINC000301150918 382092487 /nfs/dbraw/zinc/09/24/87/382092487.db2.gz DCXCPGHMOKGLTJ-NSHDSACASA-N 0 0 264.325 2.907 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCc3ccc(O)cc3C2)cs1 ZINC000351960781 382093989 /nfs/dbraw/zinc/09/39/89/382093989.db2.gz WGZLFRYOUPOGKQ-UHFFFAOYSA-N 0 0 290.344 2.920 20 5 CFBDRN CC(=O)Nc1nnc(Sc2ccccc2[N+](=O)[O-])s1 ZINC000301182337 382100182 /nfs/dbraw/zinc/10/01/82/382100182.db2.gz IEGVZRJYCMQDOC-UHFFFAOYSA-N 0 0 296.333 2.556 20 5 CFBDRN CC1(CCNC(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000344061803 382100598 /nfs/dbraw/zinc/10/05/98/382100598.db2.gz JLRRWHOBFJNTRU-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN Cc1c(NC(=O)N[C@@H](C)c2ccon2)cccc1[N+](=O)[O-] ZINC000357443518 382173627 /nfs/dbraw/zinc/17/36/27/382173627.db2.gz NTEQTPGJBSUWHB-VIFPVBQESA-N 0 0 290.279 2.774 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2nc(C3CC3)no2)c(Cl)c1 ZINC000301286237 382137426 /nfs/dbraw/zinc/13/74/26/382137426.db2.gz QTOUKFIFUNEINZ-UHFFFAOYSA-N 0 0 295.686 2.516 20 5 CFBDRN C[S@](=O)Cc1cccc(Nc2ncccc2[N+](=O)[O-])c1 ZINC000301295098 382140172 /nfs/dbraw/zinc/14/01/72/382140172.db2.gz OXLLNECHZJVOGP-FQEVSTJZSA-N 0 0 291.332 2.612 20 5 CFBDRN CC(C)CC1(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000362558751 382144212 /nfs/dbraw/zinc/14/42/12/382144212.db2.gz AXYYBRNGVRSOCS-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN COC(=O)CCN(CC(C)C)c1ccccc1[N+](=O)[O-] ZINC000352053448 382150962 /nfs/dbraw/zinc/15/09/62/382150962.db2.gz TWOIJGGBIKRIEX-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN Cc1c(NC(=O)N[C@@]2(C)CCCOC2)cccc1[N+](=O)[O-] ZINC000357399132 382153026 /nfs/dbraw/zinc/15/30/26/382153026.db2.gz CDDYPCQCAUMCTJ-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CCO)C2CC2)c(Cl)c1 ZINC000301357647 382157503 /nfs/dbraw/zinc/15/75/03/382157503.db2.gz NRNLANMMAHARLB-LLVKDONJSA-N 0 0 270.716 2.821 20 5 CFBDRN C[C@@]1(CO)CCC[C@@H]1Nc1ccccc1[N+](=O)[O-] ZINC000301359670 382158110 /nfs/dbraw/zinc/15/81/10/382158110.db2.gz NOPNAJPOVMSETC-STQMWFEESA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCn2cccn2)cc1OC(F)F ZINC000301366091 382159805 /nfs/dbraw/zinc/15/98/05/382159805.db2.gz NROGRODSYXYMCZ-UHFFFAOYSA-N 0 0 298.249 2.505 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cccc2ocnc21 ZINC000357423137 382164418 /nfs/dbraw/zinc/16/44/18/382164418.db2.gz HUXCAIYXHOQONJ-UHFFFAOYSA-N 0 0 297.270 2.917 20 5 CFBDRN CCN(CCC1CC1)c1ccc([N+](=O)[O-])c(N)c1F ZINC000357439938 382172780 /nfs/dbraw/zinc/17/27/80/382172780.db2.gz KESDXGFQGYWWTP-UHFFFAOYSA-N 0 0 267.304 2.943 20 5 CFBDRN CCC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000301853555 382197385 /nfs/dbraw/zinc/19/73/85/382197385.db2.gz XXUDXWJKGUDFJX-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN COc1cc(N2CCC[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000301857842 382197480 /nfs/dbraw/zinc/19/74/80/382197480.db2.gz JJKFLOWYDUJFJE-SECBINFHSA-N 0 0 268.288 2.979 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1C[C@@H](CO)c2ccccc21 ZINC000376991492 382200095 /nfs/dbraw/zinc/20/00/95/382200095.db2.gz KPTRTDMJXNWBAZ-NHYWBVRUSA-N 0 0 299.330 2.931 20 5 CFBDRN Cc1cccc(NC(=O)c2c[nH]c(=O)c([N+](=O)[O-])c2)c1C ZINC000187538315 382205269 /nfs/dbraw/zinc/20/52/69/382205269.db2.gz ICDODFFRVBBULL-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CC[C@@H](O)CCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000357523097 382205722 /nfs/dbraw/zinc/20/57/22/382205722.db2.gz GUDJZKXRZGZANR-CYBMUJFWSA-N 0 0 289.335 2.528 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cn1)[C@@H]1CC1(C)C ZINC000418987177 382207965 /nfs/dbraw/zinc/20/79/65/382207965.db2.gz HHLGGPZUTYZING-VHSXEESVSA-N 0 0 250.302 2.621 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1c[nH]c(C2CC2)n1 ZINC000352177364 382209393 /nfs/dbraw/zinc/20/93/93/382209393.db2.gz AVWDYWXIEBSSOI-UHFFFAOYSA-N 0 0 290.254 2.587 20 5 CFBDRN CN(c1c([N+](=O)[O-])ncn1C)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000418996176 382211263 /nfs/dbraw/zinc/21/12/63/382211263.db2.gz JSIZNXGTRPNEKM-QWRGUYRKSA-N 0 0 280.372 2.979 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN(C)[C@H]1CCCOC1 ZINC000352187353 382213093 /nfs/dbraw/zinc/21/30/93/382213093.db2.gz RFHJTMNRKASBMN-AWEZNQCLSA-N 0 0 294.351 2.604 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000187618445 382226538 /nfs/dbraw/zinc/22/65/38/382226538.db2.gz BTMHFQYQAQPWNH-UHFFFAOYSA-N 0 0 278.352 2.936 20 5 CFBDRN CCCN(CCC)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344249348 382229928 /nfs/dbraw/zinc/22/99/28/382229928.db2.gz SHQHCQVJLYFFLD-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN COc1cc(N2CC[C@@H]3OCCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000301708493 382188345 /nfs/dbraw/zinc/18/83/45/382188345.db2.gz HCZBNHACATYKNY-FZMZJTMJSA-N 0 0 292.335 2.609 20 5 CFBDRN C[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000301777451 382192151 /nfs/dbraw/zinc/19/21/51/382192151.db2.gz IACVCTRFDQLDDK-OCAPTIKFSA-N 0 0 256.252 2.965 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@@H]([C@H](C)O)C1 ZINC000301789175 382192490 /nfs/dbraw/zinc/19/24/90/382192490.db2.gz QPJRWYCYJDIMIR-NWDGAFQWSA-N 0 0 264.325 2.500 20 5 CFBDRN Cn1ccnc1Sc1c(F)cc([N+](=O)[O-])cc1F ZINC000301810236 382193857 /nfs/dbraw/zinc/19/38/57/382193857.db2.gz XOSNPJKXFJUUSE-UHFFFAOYSA-N 0 0 271.248 2.758 20 5 CFBDRN COc1cc(N(C)CCCSC)c([N+](=O)[O-])cc1F ZINC000357684950 382276771 /nfs/dbraw/zinc/27/67/71/382276771.db2.gz MPKRRVOOKBKFDM-UHFFFAOYSA-N 0 0 288.344 2.932 20 5 CFBDRN CCOC(=O)c1cc(N2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000357689625 382280370 /nfs/dbraw/zinc/28/03/70/382280370.db2.gz XLERPSWMXGRACB-SNVBAGLBSA-N 0 0 296.298 2.710 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCc1cc[nH]n1 ZINC000302634538 382280540 /nfs/dbraw/zinc/28/05/40/382280540.db2.gz CTLDMMFMRUNLQP-UHFFFAOYSA-N 0 0 266.688 2.892 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1ncncc1Cl ZINC000302637078 382280748 /nfs/dbraw/zinc/28/07/48/382280748.db2.gz KOCWHUGTKXHEJA-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN CC(C)=CCCNc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000302658519 382285242 /nfs/dbraw/zinc/28/52/42/382285242.db2.gz DMNGEIOTNDTLEK-UHFFFAOYSA-N 0 0 291.307 2.694 20 5 CFBDRN Cc1ccc(CN(C)c2ccccc2[N+](=O)[O-])nc1 ZINC000302676931 382288928 /nfs/dbraw/zinc/28/89/28/382288928.db2.gz NEIMUINBANFTFU-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCc3nc[nH]c3C2)c1 ZINC000357583541 382239502 /nfs/dbraw/zinc/23/95/02/382239502.db2.gz QOXOMBDULPXPBS-JTQLQIEISA-N 0 0 272.308 2.596 20 5 CFBDRN Cn1cncc1CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000357589873 382240942 /nfs/dbraw/zinc/24/09/42/382240942.db2.gz BKTDIUXVZMZQOW-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000352233905 382242557 /nfs/dbraw/zinc/24/25/57/382242557.db2.gz ZXCAIIRLSFTYDX-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(CCC2CC2)no1 ZINC000357621100 382253774 /nfs/dbraw/zinc/25/37/74/382253774.db2.gz KDHBTQQLOJWWQB-UHFFFAOYSA-N 0 0 274.280 2.691 20 5 CFBDRN COc1cccc(N2C[C@H]3CCCC[C@H]32)c1[N+](=O)[O-] ZINC000377351298 382255831 /nfs/dbraw/zinc/25/58/31/382255831.db2.gz XSCVMJAVLJHKMW-GHMZBOCLSA-N 0 0 262.309 2.982 20 5 CFBDRN CC[C@@]1(C)CN(c2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000302680652 382290753 /nfs/dbraw/zinc/29/07/53/382290753.db2.gz RSWITXOXYLKNSQ-ZDUSSCGKSA-N 0 0 286.278 2.878 20 5 CFBDRN Cc1cc(N(C)[C@@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000302487490 382256795 /nfs/dbraw/zinc/25/67/95/382256795.db2.gz ZFDLPJYLLUVHTF-CYBMUJFWSA-N 0 0 266.341 2.746 20 5 CFBDRN CC1(C)CCC[C@@](O)(CNc2ncccc2[N+](=O)[O-])C1 ZINC000302501235 382258471 /nfs/dbraw/zinc/25/84/71/382258471.db2.gz ONVFVDYMTHYYHB-AWEZNQCLSA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000352289259 382263582 /nfs/dbraw/zinc/26/35/82/382263582.db2.gz HNVJSVXYMXZZCM-QMMMGPOBSA-N 0 0 268.338 2.741 20 5 CFBDRN CCC[C@@H](CC)Sc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000357657100 382268847 /nfs/dbraw/zinc/26/88/47/382268847.db2.gz FKIAFSBWOAHXNN-MRVPVSSYSA-N 0 0 283.353 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(C)CCO[C@@H]2C2CC2)n1 ZINC000364140047 382271541 /nfs/dbraw/zinc/27/15/41/382271541.db2.gz PAGCKPIDDRRCRZ-UKRRQHHQSA-N 0 0 291.351 2.915 20 5 CFBDRN CCN(Cc1ccccc1)c1ccc2ncc([N+](=O)[O-])n2n1 ZINC000302728199 382304742 /nfs/dbraw/zinc/30/47/42/382304742.db2.gz KQEAQFKLYLHLIA-UHFFFAOYSA-N 0 0 297.318 2.664 20 5 CFBDRN Nc1c(C(=O)N2C[C@H]3CCCCC[C@H]32)cccc1[N+](=O)[O-] ZINC000364336367 382309771 /nfs/dbraw/zinc/30/97/71/382309771.db2.gz DEOKJSIRSPMCME-ZYHUDNBSSA-N 0 0 289.335 2.582 20 5 CFBDRN CCO[C@@H]1C[C@](O)(CNc2ccccc2[N+](=O)[O-])C1(C)C ZINC000302748687 382310301 /nfs/dbraw/zinc/31/03/01/382310301.db2.gz GKWWACXMJVLKRV-HIFRSBDPSA-N 0 0 294.351 2.573 20 5 CFBDRN COC(=O)c1cnc(N[C@H]2CC[C@H](C)CC2)c([N+](=O)[O-])c1 ZINC000302779753 382316334 /nfs/dbraw/zinc/31/63/34/382316334.db2.gz QYEIMPXYCFIONK-HOMQSWHASA-N 0 0 293.323 2.767 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@H](OC)C2)c([N+](=O)[O-])cc1F ZINC000302784905 382317224 /nfs/dbraw/zinc/31/72/24/382317224.db2.gz UYYWRHGPDLCNOW-BDAKNGLRSA-N 0 0 284.287 2.722 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000302793551 382320484 /nfs/dbraw/zinc/32/04/84/382320484.db2.gz ZWRLERBSJNBTHP-VXGBXAGGSA-N 0 0 278.308 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)C2CC2)c2ncccc12 ZINC000357791518 382323837 /nfs/dbraw/zinc/32/38/37/382323837.db2.gz ZEXZGZUBYVUODE-NSHDSACASA-N 0 0 287.319 2.573 20 5 CFBDRN Cc1cc(N2CCCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000377711033 382326835 /nfs/dbraw/zinc/32/68/35/382326835.db2.gz XMZGXOJOGOZFAJ-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN CC(C)CC[C@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000352427189 382327405 /nfs/dbraw/zinc/32/74/05/382327405.db2.gz CNABIDBZAUBZKS-MNOVXSKESA-N 0 0 294.355 2.653 20 5 CFBDRN CC(C)CC[C@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000352427188 382327443 /nfs/dbraw/zinc/32/74/43/382327443.db2.gz CNABIDBZAUBZKS-GHMZBOCLSA-N 0 0 294.355 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2C[C@@H](C)[C@H]2C)c1 ZINC000293915609 382335036 /nfs/dbraw/zinc/33/50/36/382335036.db2.gz CSAAZDQQLOTTFG-VXGBXAGGSA-N 0 0 292.335 2.539 20 5 CFBDRN CN(c1nc2sccn2c1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000302859049 382336004 /nfs/dbraw/zinc/33/60/04/382336004.db2.gz NXTRXQQLNWZYGV-SSDOTTSWSA-N 0 0 266.326 2.539 20 5 CFBDRN Cc1cnc(NCC2(c3ccccn3)CC2)c([N+](=O)[O-])c1 ZINC000302866134 382337714 /nfs/dbraw/zinc/33/77/14/382337714.db2.gz YLVKZDFNCRTCKI-UHFFFAOYSA-N 0 0 284.319 2.837 20 5 CFBDRN CC[C@]1(C)CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000302693690 382293834 /nfs/dbraw/zinc/29/38/34/382293834.db2.gz VVNZTPPURXHJSG-CYBMUJFWSA-N 0 0 268.288 2.739 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])cc2Cl)OCCCO1 ZINC000302698787 382295023 /nfs/dbraw/zinc/29/50/23/382295023.db2.gz FEKXYBOWUYOXOZ-UHFFFAOYSA-N 0 0 286.715 2.813 20 5 CFBDRN COc1cc(N(C)Cc2ccc(C)cn2)ccc1[N+](=O)[O-] ZINC000302712372 382299740 /nfs/dbraw/zinc/29/97/40/382299740.db2.gz XFTRGYILCMOOHG-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@@H](c2ccco2)C1 ZINC000377604150 382300008 /nfs/dbraw/zinc/30/00/08/382300008.db2.gz CSTJMYSTMHYYGR-LLVKDONJSA-N 0 0 286.287 2.818 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@H](c2ccco2)C1 ZINC000377604151 382300177 /nfs/dbraw/zinc/30/01/77/382300177.db2.gz CSTJMYSTMHYYGR-NSHDSACASA-N 0 0 286.287 2.818 20 5 CFBDRN Cc1ccc(CN(C)c2ncc(Cl)cc2[N+](=O)[O-])nc1 ZINC000302718244 382301245 /nfs/dbraw/zinc/30/12/45/382301245.db2.gz YYXUIMWUBYVHIW-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN Cc1ccc(OC[C@H]2CC3(CCC3)C(=O)O2)cc1[N+](=O)[O-] ZINC000188130747 382351189 /nfs/dbraw/zinc/35/11/89/382351189.db2.gz MHTRSPVCKJIUMN-GFCCVEGCSA-N 0 0 291.303 2.768 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1cc(F)cc(F)c1 ZINC000188143933 382355536 /nfs/dbraw/zinc/35/55/36/382355536.db2.gz VRPXCFUSVYUNIF-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN C[C@@H](CCC(C)(C)C)Nc1c([N+](=O)[O-])ncn1C ZINC000346424263 382357101 /nfs/dbraw/zinc/35/71/01/382357101.db2.gz PLUAKDMASLXQQS-VIFPVBQESA-N 0 0 254.334 2.955 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1C[C@@H]2CCCCC[C@@H]21 ZINC000378092170 382397579 /nfs/dbraw/zinc/39/75/79/382397579.db2.gz WECBGMBAJGYYRO-KBPBESRZSA-N 0 0 288.347 2.928 20 5 CFBDRN O=C(NCCCc1ccccc1F)c1ccc([N+](=O)[O-])o1 ZINC000358046629 382427577 /nfs/dbraw/zinc/42/75/77/382427577.db2.gz VKWVSNZVEWVYLB-UHFFFAOYSA-N 0 0 292.266 2.690 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCCCC(C)(C)C ZINC000352640699 382428875 /nfs/dbraw/zinc/42/88/75/382428875.db2.gz RUYZQIGTOKTZNH-UHFFFAOYSA-N 0 0 254.334 2.957 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C[C@@H](C)C1 ZINC000188526524 382430413 /nfs/dbraw/zinc/43/04/13/382430413.db2.gz DJWXQYPSDHUEOR-QWRGUYRKSA-N 0 0 291.351 2.685 20 5 CFBDRN CCOC(=O)c1ccnc(NC[C@@H](C)CC)c1[N+](=O)[O-] ZINC000420657197 382448041 /nfs/dbraw/zinc/44/80/41/382448041.db2.gz WJJFGEYSNDMNIY-VIFPVBQESA-N 0 0 281.312 2.625 20 5 CFBDRN CCc1ccc(OCC2(C)COC2)c([N+](=O)[O-])c1 ZINC000358059306 382433665 /nfs/dbraw/zinc/43/36/65/382433665.db2.gz DDWMAWLMNFFWDJ-UHFFFAOYSA-N 0 0 251.282 2.573 20 5 CFBDRN CSCCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294151117 382436058 /nfs/dbraw/zinc/43/60/58/382436058.db2.gz QCSOQJWLCNJROK-UHFFFAOYSA-N 0 0 282.365 2.699 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1N)[C@@H]1CCC(C)(C)C1 ZINC000358070459 382438842 /nfs/dbraw/zinc/43/88/42/382438842.db2.gz HCTREASUFZAEMB-SNVBAGLBSA-N 0 0 291.351 2.828 20 5 CFBDRN CC[C@@H]1CN(c2c([N+](=O)[O-])nc(C)n2CC)C[C@@H](CC)O1 ZINC000420638268 382440007 /nfs/dbraw/zinc/44/00/07/382440007.db2.gz WXBPNSHZRJDQDG-VXGBXAGGSA-N 0 0 296.371 2.513 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000294163675 382440341 /nfs/dbraw/zinc/44/03/41/382440341.db2.gz BTZABUOGTWIVTP-GFCCVEGCSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@H]1CCC[C@]1(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000294059882 382399923 /nfs/dbraw/zinc/39/99/23/382399923.db2.gz MQRZJMSEEWTSPO-UFBFGSQYSA-N 0 0 285.731 2.606 20 5 CFBDRN COC1(CCNC(=O)c2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000294173740 382446356 /nfs/dbraw/zinc/44/63/56/382446356.db2.gz ZGMQAKOBCOPRIO-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000346543987 382404533 /nfs/dbraw/zinc/40/45/33/382404533.db2.gz OXZXJTYBLSDHQD-QMMMGPOBSA-N 0 0 268.338 2.965 20 5 CFBDRN CC[C@@H](C)CC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000188392146 382404752 /nfs/dbraw/zinc/40/47/52/382404752.db2.gz AICWBRWYHJJCLT-LLVKDONJSA-N 0 0 264.325 2.989 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(C(C)C)c1 ZINC000188415701 382410009 /nfs/dbraw/zinc/41/00/09/382410009.db2.gz FLKMFQFPBYETJS-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCCC1CCCC1 ZINC000420581523 382410645 /nfs/dbraw/zinc/41/06/45/382410645.db2.gz FLLZCPUFPKXWCB-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN CCC[C@H](CC)Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420584324 382411815 /nfs/dbraw/zinc/41/18/15/382411815.db2.gz MPDABIUJTNLIAV-VIFPVBQESA-N 0 0 265.313 2.684 20 5 CFBDRN CCC[C@@H](CC)Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420584322 382412399 /nfs/dbraw/zinc/41/23/99/382412399.db2.gz MPDABIUJTNLIAV-SECBINFHSA-N 0 0 265.313 2.684 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2N)C1 ZINC000294096965 382414358 /nfs/dbraw/zinc/41/43/58/382414358.db2.gz ITZNQYCNDUNSBQ-UHFFFAOYSA-N 0 0 291.351 2.748 20 5 CFBDRN Cc1nc(N2CCC[C@@](CO)(C(C)C)C2)ccc1[N+](=O)[O-] ZINC000294101982 382415071 /nfs/dbraw/zinc/41/50/71/382415071.db2.gz OTKIYQRVQNGOPE-OAHLLOKOSA-N 0 0 293.367 2.533 20 5 CFBDRN C[C@H]1C[C@H](NCc2ccc([N+](=O)[O-])cc2)c2nccn21 ZINC000294101470 382415564 /nfs/dbraw/zinc/41/55/64/382415564.db2.gz MTNOLDGICNYUBK-GWCFXTLKSA-N 0 0 272.308 2.587 20 5 CFBDRN C[C@H]1CCC[C@H](Nc2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420591660 382416259 /nfs/dbraw/zinc/41/62/59/382416259.db2.gz XNAUCZXEEKUEJL-UWVGGRQHSA-N 0 0 277.324 2.684 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1(C)C ZINC000294113186 382419776 /nfs/dbraw/zinc/41/97/76/382419776.db2.gz YXAHPXBILWQNHN-CYBMUJFWSA-N 0 0 291.351 2.684 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420603246 382420985 /nfs/dbraw/zinc/42/09/85/382420985.db2.gz PISOGNGXYFMGER-BDAKNGLRSA-N 0 0 265.313 2.540 20 5 CFBDRN Cc1ccc(NC(=O)N(C)Cc2ccon2)cc1[N+](=O)[O-] ZINC000352757209 382470165 /nfs/dbraw/zinc/47/01/65/382470165.db2.gz GEGVABLVNBCIKS-UHFFFAOYSA-N 0 0 290.279 2.555 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N(C)Cc1nccn1C ZINC000352780310 382470563 /nfs/dbraw/zinc/47/05/63/382470563.db2.gz TVPYGGBRHPIRHH-LBPRGKRZSA-N 0 0 288.351 2.830 20 5 CFBDRN CC(C)(NC(=O)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000294184776 382449925 /nfs/dbraw/zinc/44/99/25/382449925.db2.gz SASSYZWDFIOUJF-UHFFFAOYSA-N 0 0 262.309 2.746 20 5 CFBDRN C[C@H]1CSC[C@@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000306102913 382451564 /nfs/dbraw/zinc/45/15/64/382451564.db2.gz QJYGIONKCDCFEZ-CPCISQLKSA-N 0 0 256.302 2.897 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC2CCC1CC2 ZINC000378388842 382452504 /nfs/dbraw/zinc/45/25/04/382452504.db2.gz PIEHPOXAABNRDI-UHFFFAOYSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1cc(N2CCC[C@@H]2CF)c(F)cc1[N+](=O)[O-] ZINC000294201609 382456556 /nfs/dbraw/zinc/45/65/56/382456556.db2.gz UFSOIIYWOHHMOU-MRVPVSSYSA-N 0 0 272.251 2.681 20 5 CFBDRN CC[C@@H](C)OCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294217792 382464421 /nfs/dbraw/zinc/46/44/21/382464421.db2.gz URSCQYIWNLIPKC-LLVKDONJSA-N 0 0 294.351 2.761 20 5 CFBDRN CC(C)CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294225347 382466922 /nfs/dbraw/zinc/46/69/22/382466922.db2.gz VDUGAOMEEPDRIT-UHFFFAOYSA-N 0 0 264.325 2.992 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H](C)[C@H]2C)cc([N+](=O)[O-])c1C ZINC000188862322 382494084 /nfs/dbraw/zinc/49/40/84/382494084.db2.gz OAHMNUAYAPSVGM-MWLCHTKSSA-N 0 0 292.335 2.782 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1C[C@]12CCOC2 ZINC000366016033 382473361 /nfs/dbraw/zinc/47/33/61/382473361.db2.gz DSPYDCXQLGYEBB-SDBXPKJASA-N 0 0 296.710 2.613 20 5 CFBDRN CC[C@H](C)CNC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000420856131 382478650 /nfs/dbraw/zinc/47/86/50/382478650.db2.gz XIDAXFJJALYEET-LBPRGKRZSA-N 0 0 293.367 2.825 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000188807592 382480818 /nfs/dbraw/zinc/48/08/18/382480818.db2.gz PYOYHHOGNGBNQL-NXEZZACHSA-N 0 0 266.297 2.886 20 5 CFBDRN COc1nn(C)cc1N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000352917239 382483428 /nfs/dbraw/zinc/48/34/28/382483428.db2.gz SRYFUMWZNXHOPM-VIFPVBQESA-N 0 0 276.296 2.510 20 5 CFBDRN CCN(CC1CCC1)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000358180335 382490336 /nfs/dbraw/zinc/49/03/36/382490336.db2.gz JUFGIKBYRCTBJQ-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN COC(=O)c1coc(/C=C\c2ccc([N+](=O)[O-])cn2)c1 ZINC000358238378 382521038 /nfs/dbraw/zinc/52/10/38/382521038.db2.gz PPNZVVNCYJZNPR-HYXAFXHYSA-N 0 0 274.232 2.540 20 5 CFBDRN COc1c(-c2nc([C@H]3CCCO3)no2)cccc1[N+](=O)[O-] ZINC000346805544 382521206 /nfs/dbraw/zinc/52/12/06/382521206.db2.gz ZDLYBETXZXLDMH-SNVBAGLBSA-N 0 0 291.263 2.505 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2cc(Cl)ccc2F)c1 ZINC000188930157 382507411 /nfs/dbraw/zinc/50/74/11/382507411.db2.gz MFPSUJRHCNYEPX-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCCC[C@@H]1c1nc[nH]n1 ZINC000295028451 382563597 /nfs/dbraw/zinc/56/35/97/382563597.db2.gz VILJNRUEDJCOOK-LLVKDONJSA-N 0 0 291.286 2.584 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])NC1CCC1 ZINC000279687985 194527477 /nfs/dbraw/zinc/52/74/77/194527477.db2.gz BNTJGMZQXYLFFT-UHFFFAOYSA-N 0 0 286.690 2.599 20 5 CFBDRN CC(C)(C)CCNC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358341083 382577370 /nfs/dbraw/zinc/57/73/70/382577370.db2.gz HFYUHGMWOFMXJS-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000346913936 382569355 /nfs/dbraw/zinc/56/93/55/382569355.db2.gz HWWXSEAPAWSUHK-SNVBAGLBSA-N 0 0 290.241 2.912 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CSc1cccnn1 ZINC000358269448 382537847 /nfs/dbraw/zinc/53/78/47/382537847.db2.gz ZFRMTWFFHOYYMJ-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN CC[C@@](C)(CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C)OC ZINC000421569877 382584253 /nfs/dbraw/zinc/58/42/53/382584253.db2.gz OJTLMEBWMWATMY-HNNXBMFYSA-N 0 0 294.351 2.757 20 5 CFBDRN CC[C@@H]1[C@H](CO)CCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000386241523 382584572 /nfs/dbraw/zinc/58/45/72/382584572.db2.gz HYSNTQUCWYUZDQ-NWDGAFQWSA-N 0 0 264.325 2.500 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000421577854 382587497 /nfs/dbraw/zinc/58/74/97/382587497.db2.gz GKRXALSFIWJLKB-SECBINFHSA-N 0 0 250.298 2.679 20 5 CFBDRN Cc1c(C[N@H+]2C[C@H](C(=O)[O-])CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000397021685 382632508 /nfs/dbraw/zinc/63/25/08/382632508.db2.gz RSPAUSCFKLVSKK-GXFFZTMASA-N 0 0 292.335 2.588 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000346960797 382588456 /nfs/dbraw/zinc/58/84/56/382588456.db2.gz PTTSVAXLXMKLLE-YPMHNXCESA-N 0 0 276.336 2.784 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1CC12CCOCC2 ZINC000387092086 382595324 /nfs/dbraw/zinc/59/53/24/382595324.db2.gz FWTVWDUWTQMZKI-AWEZNQCLSA-N 0 0 299.330 2.546 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000358389645 382597749 /nfs/dbraw/zinc/59/77/49/382597749.db2.gz GKEUNOTXJJXHDU-LLVKDONJSA-N 0 0 294.326 2.925 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OC[C@H](O)CC(F)(F)F ZINC000189409657 382604676 /nfs/dbraw/zinc/60/46/76/382604676.db2.gz UZODDTBYYVOXMS-SSDOTTSWSA-N 0 0 299.632 2.940 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NCC1CCCC1 ZINC000358424862 382607199 /nfs/dbraw/zinc/60/71/99/382607199.db2.gz QMQPSICFTYXTEN-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN CC[C@H]1CCC[C@@H]1Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000388641446 382608696 /nfs/dbraw/zinc/60/86/96/382608696.db2.gz OSEDIENRPFOCQB-JQWIXIFHSA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@H](NC(=O)CC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000295310733 382613938 /nfs/dbraw/zinc/61/39/38/382613938.db2.gz KEGVXBUKTFVXCN-RYUDHWBXSA-N 0 0 292.335 2.589 20 5 CFBDRN CCC[C@H](CNC(=O)c1cc([N+](=O)[O-])c(C)s1)OC ZINC000295311021 382614051 /nfs/dbraw/zinc/61/40/51/382614051.db2.gz CKVBMDGFBNVMCX-SECBINFHSA-N 0 0 286.353 2.510 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)OC ZINC000295318822 382615192 /nfs/dbraw/zinc/61/51/92/382615192.db2.gz AVNGNVXRWOBLIO-QMTHXVAHSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1cc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])cc(C)n1 ZINC000421558137 382579545 /nfs/dbraw/zinc/57/95/45/382579545.db2.gz MKJQWZAPCFOCDK-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H]1CC[C@@H](C)O1 ZINC000421562895 382581484 /nfs/dbraw/zinc/58/14/84/382581484.db2.gz YIIVSSSSDAONOV-VXGBXAGGSA-N 0 0 292.335 2.509 20 5 CFBDRN COC[C@H](C)n1ccc(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000189884014 382644050 /nfs/dbraw/zinc/64/40/50/382644050.db2.gz ITDLSDQCPPXABH-NSHDSACASA-N 0 0 290.323 2.611 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCO1 ZINC000190043363 382651515 /nfs/dbraw/zinc/65/15/15/382651515.db2.gz HWDRQUJGUPKHPV-ZHACJKMWSA-N 0 0 276.292 2.552 20 5 CFBDRN CCC[C@H](CC)Nc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000190170631 382655703 /nfs/dbraw/zinc/65/57/03/382655703.db2.gz NKTUJFWZGYJOBQ-JTQLQIEISA-N 0 0 281.312 2.767 20 5 CFBDRN C[C@H](CNc1ccc(F)cc1[N+](=O)[O-])N1CCCCC1=O ZINC000190293060 382660886 /nfs/dbraw/zinc/66/08/86/382660886.db2.gz WQTXAISEWYZIOR-SNVBAGLBSA-N 0 0 295.314 2.547 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CCCO)c2ccccc2)s1 ZINC000190330107 382662262 /nfs/dbraw/zinc/66/22/62/382662262.db2.gz XPZGZBPHGQSDGV-LLVKDONJSA-N 0 0 293.348 2.977 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)[C@@H](O)c1ccc(F)cc1 ZINC000190328432 382662419 /nfs/dbraw/zinc/66/24/19/382662419.db2.gz WIYGHSDNCGUYFR-RDDDGLTNSA-N 0 0 297.311 2.724 20 5 CFBDRN C[C@H]1CSCC[N@H+](CCOc2cccc([N+](=O)[O-])c2)C1 ZINC000190421852 382667216 /nfs/dbraw/zinc/66/72/16/382667216.db2.gz DGQYORVSVQMMGV-GFCCVEGCSA-N 0 0 296.392 2.659 20 5 CFBDRN Cc1ccc(OC[C@@H]2CC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000190678044 382677409 /nfs/dbraw/zinc/67/74/09/382677409.db2.gz CZNPGCFNSVUTBN-PWSUYJOCSA-N 0 0 251.282 2.850 20 5 CFBDRN CC[C@@H](C)CC(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000190851306 382682581 /nfs/dbraw/zinc/68/25/81/382682581.db2.gz VHFVJAJPLOWFRD-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NC2(C)CCC(C)CC2)n1 ZINC000191586880 382701479 /nfs/dbraw/zinc/70/14/79/382701479.db2.gz UMPZNSLLMUBLEM-UHFFFAOYSA-N 0 0 294.355 2.510 20 5 CFBDRN CO[C@@H]1CC[C@@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000191746084 382702254 /nfs/dbraw/zinc/70/22/54/382702254.db2.gz UJGURNJOGZAYSY-NXEZZACHSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCCC2(CC2)C1 ZINC000192515348 382709763 /nfs/dbraw/zinc/70/97/63/382709763.db2.gz HBTZVYIJBJOJIC-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CCCN(C(=O)C[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000194127936 382735990 /nfs/dbraw/zinc/73/59/90/382735990.db2.gz WHDZWPLYSRGTAB-CQSZACIVSA-N 0 0 292.335 2.907 20 5 CFBDRN C[C@@H](CN(C)c1cc(N)ccc1[N+](=O)[O-])c1nccs1 ZINC000193498331 382720488 /nfs/dbraw/zinc/72/04/88/382720488.db2.gz JBLUMHNIUQYSCX-VIFPVBQESA-N 0 0 292.364 2.873 20 5 CFBDRN C[C@@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000193591291 382721255 /nfs/dbraw/zinc/72/12/55/382721255.db2.gz JMZWDKVWBBOIKW-SNVBAGLBSA-N 0 0 279.296 2.735 20 5 CFBDRN C[C@@H](NC(=O)NC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000193668868 382722317 /nfs/dbraw/zinc/72/23/17/382722317.db2.gz VSBJLYXVPJIOBA-SECBINFHSA-N 0 0 265.313 2.754 20 5 CFBDRN CCOC[C@H](O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000193825779 382726261 /nfs/dbraw/zinc/72/62/61/382726261.db2.gz LZCJPYNDVWUTHB-NSHDSACASA-N 0 0 291.303 2.524 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCOC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000193869072 382727719 /nfs/dbraw/zinc/72/77/19/382727719.db2.gz HXVRAERYPILTKE-ONGXEEELSA-N 0 0 278.308 2.514 20 5 CFBDRN COc1cccc(C(=O)N(C)C2CCCC2)c1[N+](=O)[O-] ZINC000193906621 382729564 /nfs/dbraw/zinc/72/95/64/382729564.db2.gz WJRBSPDQSMXJFM-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1c(NCc2cn(C(C)C)nn2)cccc1[N+](=O)[O-] ZINC000336841749 382732151 /nfs/dbraw/zinc/73/21/51/382732151.db2.gz SHDSJYSKDOZOHM-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000575240567 382732558 /nfs/dbraw/zinc/73/25/58/382732558.db2.gz UGYOLSDRWQCSEZ-ZJUUUORDSA-N 0 0 250.298 2.613 20 5 CFBDRN CCC[C@@H](CCO)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000195266481 382765731 /nfs/dbraw/zinc/76/57/31/382765731.db2.gz NATXOKGKWOVYTH-NSHDSACASA-N 0 0 293.367 2.773 20 5 CFBDRN CCOC(=O)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000196037167 382770942 /nfs/dbraw/zinc/77/09/42/382770942.db2.gz SIRYUKGFXNSSNP-UHFFFAOYSA-N 0 0 267.284 2.858 20 5 CFBDRN COc1ncc(COc2ccc(C)c([N+](=O)[O-])c2)c(C)n1 ZINC000337224591 382780392 /nfs/dbraw/zinc/78/03/92/382780392.db2.gz NNXUSCJEEAURPZ-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)Nc1cncc(F)c1 ZINC000337258977 382787999 /nfs/dbraw/zinc/78/79/99/382787999.db2.gz VMYPRRWDRSIFQE-UHFFFAOYSA-N 0 0 276.227 2.773 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1ccc(Cl)nc1 ZINC000218172576 382789324 /nfs/dbraw/zinc/78/93/24/382789324.db2.gz SGQMWDCSOQOVHQ-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCO1 ZINC000220155591 382795459 /nfs/dbraw/zinc/79/54/59/382795459.db2.gz ANWDKDKNMSXUBW-WCBMZHEXSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1cc(NC[C@@H]2CCCC[C@H]2O)c(F)cc1[N+](=O)[O-] ZINC000218862332 382791017 /nfs/dbraw/zinc/79/10/17/382791017.db2.gz MBGCXQJGQASPPV-TVQRCGJNSA-N 0 0 298.314 2.706 20 5 CFBDRN COCC[C@H](C)Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000218852405 382791032 /nfs/dbraw/zinc/79/10/32/382791032.db2.gz XHYSPBAASGICJE-QMMMGPOBSA-N 0 0 272.276 2.579 20 5 CFBDRN CC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000194272257 382738975 /nfs/dbraw/zinc/73/89/75/382738975.db2.gz LKTWAUAMSOBHAX-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@H]2OC(F)F)ncc1[N+](=O)[O-] ZINC000336903406 382739433 /nfs/dbraw/zinc/73/94/33/382739433.db2.gz RXZBMNNKSNELSE-PSASIEDQSA-N 0 0 287.266 2.870 20 5 CFBDRN Cc1nc(NCCc2cccc3[nH]ccc32)ccc1[N+](=O)[O-] ZINC000336905207 382739933 /nfs/dbraw/zinc/73/99/33/382739933.db2.gz STDRQHXKRUQSNX-UHFFFAOYSA-N 0 0 296.330 2.856 20 5 CFBDRN COCCOCCCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000219037384 382791998 /nfs/dbraw/zinc/79/19/98/382791998.db2.gz XZUUCJBNTPSJBA-UHFFFAOYSA-N 0 0 288.731 2.713 20 5 CFBDRN CC[C@H](C)c1nnc([C@H](C)Nc2ncc([N+](=O)[O-])s2)[nH]1 ZINC000336937446 382743892 /nfs/dbraw/zinc/74/38/92/382743892.db2.gz VKYYMOGEDSFQPS-BQBZGAKWSA-N 0 0 296.356 2.856 20 5 CFBDRN Cc1c(Nc2ncc([N+](=O)[O-])s2)cnn1CC(C)C ZINC000336971130 382747060 /nfs/dbraw/zinc/74/70/60/382747060.db2.gz KPRSKKBNGAAMNQ-UHFFFAOYSA-N 0 0 281.341 2.956 20 5 CFBDRN CSC1(CNC(=O)/C=C/c2ccccc2[N+](=O)[O-])CC1 ZINC000194557776 382748133 /nfs/dbraw/zinc/74/81/33/382748133.db2.gz CERWVUPWWFPSAR-VOTSOKGWSA-N 0 0 292.360 2.620 20 5 CFBDRN CSC1(CNC(=O)c2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000194625959 382750337 /nfs/dbraw/zinc/75/03/37/382750337.db2.gz HTGOHUQWSGXLND-UHFFFAOYSA-N 0 0 298.339 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCO[C@@H]2CCCCO2)c(F)c1 ZINC000194712832 382752617 /nfs/dbraw/zinc/75/26/17/382752617.db2.gz XONRCDOWKNENEP-CYBMUJFWSA-N 0 0 285.271 2.656 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCO[C@@H]1CCCCO1 ZINC000194719764 382753184 /nfs/dbraw/zinc/75/31/84/382753184.db2.gz DKBIXEYGJUQTRL-CQSZACIVSA-N 0 0 281.308 2.825 20 5 CFBDRN CC(C)(CCCO)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000221187881 382797808 /nfs/dbraw/zinc/79/78/08/382797808.db2.gz QMPZWBGULIHGPU-UHFFFAOYSA-N 0 0 298.368 2.515 20 5 CFBDRN Cc1cc(NC2CCC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000337316153 382800026 /nfs/dbraw/zinc/80/00/26/382800026.db2.gz DEVDCERCJQJODA-UHFFFAOYSA-N 0 0 284.319 2.747 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCS2)c(Cl)c1 ZINC000229057855 382850205 /nfs/dbraw/zinc/85/02/05/382850205.db2.gz NHFZRHLOQQACPA-QMMMGPOBSA-N 0 0 273.745 2.951 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)NC1CCC1 ZINC000223985912 382808503 /nfs/dbraw/zinc/80/85/03/382808503.db2.gz NUNLRXULCLWWND-UHFFFAOYSA-N 0 0 269.688 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCCCCO)c1 ZINC000224476392 382810977 /nfs/dbraw/zinc/81/09/77/382810977.db2.gz CEXXGDZCBPSHTF-UHFFFAOYSA-N 0 0 252.314 2.868 20 5 CFBDRN Cc1nc(CCNc2ncc([N+](=O)[O-])cc2C)cs1 ZINC000224502817 382811377 /nfs/dbraw/zinc/81/13/77/382811377.db2.gz NJWRGYWCJVYQSR-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@@](C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000576213909 382814637 /nfs/dbraw/zinc/81/46/37/382814637.db2.gz OKAOOXPOYKKAKN-QMTHXVAHSA-N 0 0 280.299 2.659 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000226147196 382824871 /nfs/dbraw/zinc/82/48/71/382824871.db2.gz HCNURCMHWMBGCV-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000577191410 382851413 /nfs/dbraw/zinc/85/14/13/382851413.db2.gz KCIWVGWSGFPGRI-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN CCCCN(CC)C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000226600734 382830051 /nfs/dbraw/zinc/83/00/51/382830051.db2.gz GMTZUMLPPQQVAZ-UHFFFAOYSA-N 0 0 283.303 2.578 20 5 CFBDRN CCc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1F ZINC000337495297 382831794 /nfs/dbraw/zinc/83/17/94/382831794.db2.gz HZZWGKBEVUKKCY-UHFFFAOYSA-N 0 0 277.255 2.877 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@H]1c1ccccc1 ZINC000337530524 382838048 /nfs/dbraw/zinc/83/80/48/382838048.db2.gz CTYSSDNWFJEZSN-GJZGRUSLSA-N 0 0 297.314 2.584 20 5 CFBDRN C[C@@H](O)CCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000227685915 382839510 /nfs/dbraw/zinc/83/95/10/382839510.db2.gz WIOUSNFSPHSRTI-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H](O)CC(C)C ZINC000227759225 382840315 /nfs/dbraw/zinc/84/03/15/382840315.db2.gz CKFCCETZBWXOAZ-LLVKDONJSA-N 0 0 252.314 2.722 20 5 CFBDRN CSC1(CNc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000227991151 382843413 /nfs/dbraw/zinc/84/34/13/382843413.db2.gz NZOLTEFIYVOGMZ-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN CSC1(CNc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000227992282 382843492 /nfs/dbraw/zinc/84/34/92/382843492.db2.gz SQYWBYVEJIQDDX-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2(SC)CC2)c1 ZINC000227993107 382843506 /nfs/dbraw/zinc/84/35/06/382843506.db2.gz KAYVAQCWWPEAKT-UHFFFAOYSA-N 0 0 296.348 2.689 20 5 CFBDRN Cc1ccc(NCCC[C@H](C)CO)c([N+](=O)[O-])c1 ZINC000230146626 382856411 /nfs/dbraw/zinc/85/64/11/382856411.db2.gz SHFVSDAQYMPHGB-NSHDSACASA-N 0 0 252.314 2.724 20 5 CFBDRN C[C@@H](CO)CCC[NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000230161279 382856747 /nfs/dbraw/zinc/85/67/47/382856747.db2.gz XHFPWNGJQMBVAK-SNVBAGLBSA-N 0 0 286.759 2.746 20 5 CFBDRN Cc1nc(NC[C@@H](O)c2ccsc2)ccc1[N+](=O)[O-] ZINC000230185266 382857171 /nfs/dbraw/zinc/85/71/71/382857171.db2.gz JVOJZGCKXXCMJT-LLVKDONJSA-N 0 0 279.321 2.505 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)C1CCOCC1 ZINC000230217941 382857398 /nfs/dbraw/zinc/85/73/98/382857398.db2.gz HZELGEIFHCCLOY-QMMMGPOBSA-N 0 0 285.731 2.870 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000230269338 382858419 /nfs/dbraw/zinc/85/84/19/382858419.db2.gz GGWGFKBSWYCCBE-OAHLLOKOSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1cc(N2CCC[C@@](C)(O)CC2)ccc1[N+](=O)[O-] ZINC000230373043 382860359 /nfs/dbraw/zinc/86/03/59/382860359.db2.gz NAEKUCXNKCJQLT-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000337749525 382861385 /nfs/dbraw/zinc/86/13/85/382861385.db2.gz PIGLGOMRTNTNRH-KBPBESRZSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cnc(CNCc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000230686317 382862053 /nfs/dbraw/zinc/86/20/53/382862053.db2.gz NYQIQABZBJENNU-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN C[C@H](O)CCCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000231452651 382864825 /nfs/dbraw/zinc/86/48/25/382864825.db2.gz KEEDHUMZUWNQHO-QMMMGPOBSA-N 0 0 259.689 2.788 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])sc2ncn1CC1CCCC1 ZINC000577728607 382868680 /nfs/dbraw/zinc/86/86/80/382868680.db2.gz LQBGULUNDZASPO-UHFFFAOYSA-N 0 0 279.321 2.556 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2C[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000337818542 382868742 /nfs/dbraw/zinc/86/87/42/382868742.db2.gz OBFWRWXZCMJHKL-DTWKUNHWSA-N 0 0 270.235 2.743 20 5 CFBDRN Cc1nccc(CSc2cccc([N+](=O)[O-])c2)n1 ZINC000337933825 382873891 /nfs/dbraw/zinc/87/38/91/382873891.db2.gz AKFGVFNFZMDRBD-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN Cc1cn([C@H](C)c2nnc(-c3ccccc3)o2)nc1[N+](=O)[O-] ZINC000337941198 382875137 /nfs/dbraw/zinc/87/51/37/382875137.db2.gz INKPMUHTFMGKTP-SNVBAGLBSA-N 0 0 299.290 2.759 20 5 CFBDRN COC[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000234864554 382875430 /nfs/dbraw/zinc/87/54/30/382875430.db2.gz FRVZRXCHFSCZTB-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN CCO/C=C\C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000493705710 382879276 /nfs/dbraw/zinc/87/92/76/382879276.db2.gz KNAFGENYVBJSOF-SKVAFPRGSA-N 0 0 278.308 2.631 20 5 CFBDRN Cc1cccc(C(=O)NCCc2ccsc2)c1[N+](=O)[O-] ZINC000337969488 382882833 /nfs/dbraw/zinc/88/28/33/382882833.db2.gz VHSRYVIYGJOING-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CCC[C@H](C)[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000533184624 382885362 /nfs/dbraw/zinc/88/53/62/382885362.db2.gz MCNFBPXXBNQYKQ-WCQYABFASA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1csc(NC(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000338046749 382911011 /nfs/dbraw/zinc/91/10/11/382911011.db2.gz QZASFMWJUXANMN-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1cn(C(C)(C)C)nn1 ZINC000235767643 382887755 /nfs/dbraw/zinc/88/77/55/382887755.db2.gz SHWNELKGNOSRDM-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000421667065 382890169 /nfs/dbraw/zinc/89/01/69/382890169.db2.gz WQNFDXFODCIBHB-CHWSQXEVSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCCOCC(F)F ZINC000236140205 382891355 /nfs/dbraw/zinc/89/13/55/382891355.db2.gz ZNCLTEJLBAELDU-UHFFFAOYSA-N 0 0 280.658 2.942 20 5 CFBDRN Cc1cnc(NC(=O)c2ccc([N+](=O)[O-])cc2C)s1 ZINC000338048035 382911403 /nfs/dbraw/zinc/91/14/03/382911403.db2.gz TWJIAXOBULRFNL-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1nnc([C@H](C)Nc2c(F)cc([N+](=O)[O-])cc2F)o1 ZINC000533529406 382902835 /nfs/dbraw/zinc/90/28/35/382902835.db2.gz MVRWJPPMNVXKPL-YFKPBYRVSA-N 0 0 284.222 2.738 20 5 CFBDRN Cc1cc[nH]c1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000338165386 382939534 /nfs/dbraw/zinc/93/95/34/382939534.db2.gz HMVVUISKBCUOHT-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN CC(C)(NC(=O)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000535030029 382944146 /nfs/dbraw/zinc/94/41/46/382944146.db2.gz HDDUNESAPHYSFK-UHFFFAOYSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccn(C(C)C)n2)c1 ZINC000280236511 194761420 /nfs/dbraw/zinc/76/14/20/194761420.db2.gz MVPRUEKKNZKTNP-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN C[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccncc1F ZINC000338190479 382948308 /nfs/dbraw/zinc/94/83/08/382948308.db2.gz HZXAKVHIDKYAJB-ZETCQYMHSA-N 0 0 295.295 2.681 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H]1C[C@H]1C ZINC000421748460 382913784 /nfs/dbraw/zinc/91/37/84/382913784.db2.gz FKSGAECFHSYKPP-LDYMZIIASA-N 0 0 262.309 2.597 20 5 CFBDRN CCc1nocc1COc1ccc([N+](=O)[O-])cc1F ZINC000578421041 382919377 /nfs/dbraw/zinc/91/93/77/382919377.db2.gz HQSVTWDPBWSFGJ-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@]1(C)CCCOC1 ZINC000421795543 382926748 /nfs/dbraw/zinc/92/67/48/382926748.db2.gz IVWFYOROGSGNTR-OAHLLOKOSA-N 0 0 292.335 2.511 20 5 CFBDRN CC[C@H](C(=O)[O-])[N@@H+]1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000578524750 382929300 /nfs/dbraw/zinc/92/93/00/382929300.db2.gz QEJXEXHJWWNLAL-TZMCWYRMSA-N 0 0 292.335 2.637 20 5 CFBDRN Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2C)cs1 ZINC000338134486 382929735 /nfs/dbraw/zinc/92/97/35/382929735.db2.gz CKRRDZBDCGRQES-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccccc1 ZINC000338148506 382935187 /nfs/dbraw/zinc/93/51/87/382935187.db2.gz PUIACGIVASOWQJ-UHFFFAOYSA-N 0 0 284.315 2.910 20 5 CFBDRN CCOC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000444369638 382973817 /nfs/dbraw/zinc/97/38/17/382973817.db2.gz TYBITPCTUURHNZ-UHFFFAOYSA-N 0 0 267.284 2.858 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@@](C)(OC)C1 ZINC000579004202 382975010 /nfs/dbraw/zinc/97/50/10/382975010.db2.gz GEYVGMUEDUITOV-CQSZACIVSA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)c1cccc([O-])c1[N+](=O)[O-] ZINC000457186305 382982093 /nfs/dbraw/zinc/98/20/93/382982093.db2.gz CUJIGBOWMVEDOE-VIFPVBQESA-N 0 0 280.324 2.855 20 5 CFBDRN Cc1ccc(NCC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC000338298225 382982239 /nfs/dbraw/zinc/98/22/39/382982239.db2.gz ZUGFCNWXGXYOJX-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN CCC(CC)[C@@H](CCNc1c([N+](=O)[O-])c(C)nn1C)OC ZINC000446883336 382992286 /nfs/dbraw/zinc/99/22/86/382992286.db2.gz GFWSLUQTSHHPAT-GFCCVEGCSA-N 0 0 298.387 2.890 20 5 CFBDRN Cc1nnc(CN[C@H]2CCc3c2cccc3[N+](=O)[O-])s1 ZINC000579258743 382998755 /nfs/dbraw/zinc/99/87/55/382998755.db2.gz YBVRIRLFFGCDOF-NSHDSACASA-N 0 0 290.348 2.532 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000579327326 383003979 /nfs/dbraw/zinc/00/39/79/383003979.db2.gz BOSYZKSXQKDBGP-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])C[C@H]1CCCC[C@H]1O ZINC000245550976 383006347 /nfs/dbraw/zinc/00/63/47/383006347.db2.gz PEDSAHCEQIOEDB-IAQYHMDHSA-N 0 0 296.342 2.717 20 5 CFBDRN CCC1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000457273141 383008299 /nfs/dbraw/zinc/00/82/99/383008299.db2.gz IGFQAHBPAXHFJV-UHFFFAOYSA-N 0 0 276.336 2.741 20 5 CFBDRN Cc1cc(OCC(=O)NCCCC2CC2)ccc1[N+](=O)[O-] ZINC000578947164 382966967 /nfs/dbraw/zinc/96/69/67/382966967.db2.gz DNFXOVXHAGGXKN-UHFFFAOYSA-N 0 0 292.335 2.588 20 5 CFBDRN CC1=C(C)CN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000280328924 194800936 /nfs/dbraw/zinc/80/09/36/194800936.db2.gz ZLYPLYLPYBLHRP-UHFFFAOYSA-N 0 0 260.293 2.777 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H]2C(F)F)c1 ZINC000338725908 383030745 /nfs/dbraw/zinc/03/07/45/383030745.db2.gz LXOKQVZBUPNQSX-YUMQZZPRSA-N 0 0 258.224 2.669 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1cccc(C)c1[N+](=O)[O-] ZINC000338730880 383031930 /nfs/dbraw/zinc/03/19/30/383031930.db2.gz WQLFRUNVUACFAA-GFCCVEGCSA-N 0 0 293.367 2.868 20 5 CFBDRN Cc1cc(N[C@@H](C)c2cc[nH]c(=O)c2)ncc1[N+](=O)[O-] ZINC000338733833 383032814 /nfs/dbraw/zinc/03/28/14/383032814.db2.gz NHADBLHYNIVHPS-VIFPVBQESA-N 0 0 274.280 2.572 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@]2(O)CCCC2(C)C)n1 ZINC000338735080 383033845 /nfs/dbraw/zinc/03/38/45/383033845.db2.gz ZTADWLZHQCDCGE-HNNXBMFYSA-N 0 0 293.367 2.960 20 5 CFBDRN O=C1CCN1c1cccc(NCc2ccc([N+](=O)[O-])cc2)c1 ZINC000447971724 383035461 /nfs/dbraw/zinc/03/54/61/383035461.db2.gz MGCLTHCMZNNIPL-UHFFFAOYSA-N 0 0 297.314 2.944 20 5 CFBDRN Cc1ccncc1CSc1ccc([N+](=O)[O-])cn1 ZINC000448053932 383042555 /nfs/dbraw/zinc/04/25/55/383042555.db2.gz KYUXHEHXJUJCLW-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN COCCN(CC(C)C)c1ccccc1[N+](=O)[O-] ZINC000448130796 383046117 /nfs/dbraw/zinc/04/61/17/383046117.db2.gz SXTQZOCKXBAPEE-UHFFFAOYSA-N 0 0 252.314 2.704 20 5 CFBDRN CCc1cncc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)c1 ZINC000448184627 383047775 /nfs/dbraw/zinc/04/77/75/383047775.db2.gz GYGJHVDJIGODMM-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](CC2CC2)C1 ZINC000338805915 383057136 /nfs/dbraw/zinc/05/71/36/383057136.db2.gz VGBBVIYIWHMVPH-LBPRGKRZSA-N 0 0 278.356 2.746 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@@H](c2ccncc2)C1 ZINC000552132557 383073433 /nfs/dbraw/zinc/07/34/33/383073433.db2.gz ARAMPQUQLCOJFT-CYBMUJFWSA-N 0 0 297.314 2.620 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000592004785 383122141 /nfs/dbraw/zinc/12/21/41/383122141.db2.gz ZAUZRGSCVYDZDY-WCBMZHEXSA-N 0 0 279.365 2.869 20 5 CFBDRN C[C@@H](CO)[C@H]1CCCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000596435885 383126429 /nfs/dbraw/zinc/12/64/29/383126429.db2.gz OYQVGKRTWGTULZ-JOYOIKCWSA-N 0 0 299.758 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@H](C2CC2)C1 ZINC000339060098 383135874 /nfs/dbraw/zinc/13/58/74/383135874.db2.gz SPIADOKBYACCAI-NSHDSACASA-N 0 0 262.309 2.532 20 5 CFBDRN COc1ccc(CNc2ncccc2OC)cc1[N+](=O)[O-] ZINC000611199190 383135995 /nfs/dbraw/zinc/13/59/95/383135995.db2.gz HBPJOFYUGWCKOA-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN C[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1cncs1 ZINC000338921056 383086315 /nfs/dbraw/zinc/08/63/15/383086315.db2.gz SPLNLUIVZISUGP-LURJTMIESA-N 0 0 283.334 2.604 20 5 CFBDRN C[C@H]1CCCN(CC(=O)Nc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000246653279 383089486 /nfs/dbraw/zinc/08/94/86/383089486.db2.gz IQTHMAXBRRMRQN-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000458259110 383144047 /nfs/dbraw/zinc/14/40/47/383144047.db2.gz OBPXQVRRPXXBEM-USWWRNFRSA-N 0 0 299.330 2.995 20 5 CFBDRN C[C@]1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCCCO1 ZINC000457775874 383096217 /nfs/dbraw/zinc/09/62/17/383096217.db2.gz OWWBZWCJYYDSQY-CYBMUJFWSA-N 0 0 282.271 2.632 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@H](C)[C@H](C)C1 ZINC000584421255 383101860 /nfs/dbraw/zinc/10/18/60/383101860.db2.gz JJFVPNDXZVIGPA-AOOOYVTPSA-N 0 0 250.298 2.696 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000448918057 383106649 /nfs/dbraw/zinc/10/66/49/383106649.db2.gz OUXHXTLUHTVNJH-KCJUWKMLSA-N 0 0 296.298 2.661 20 5 CFBDRN CO[C@@H](Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)C1CC1 ZINC000424091653 383178548 /nfs/dbraw/zinc/17/85/48/383178548.db2.gz NAKOFSXTTAYLTQ-HNNXBMFYSA-N 0 0 287.319 2.883 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]1C1CCC1 ZINC000424108831 383180813 /nfs/dbraw/zinc/18/08/13/383180813.db2.gz SRJUHBJSVHHBIX-QWHCGFSZSA-N 0 0 275.308 2.905 20 5 CFBDRN C[C@H]1C[C@H]1c1ccc(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)o1 ZINC000517457589 383182914 /nfs/dbraw/zinc/18/29/14/383182914.db2.gz FRWLMMBFZNNKFU-WCBMZHEXSA-N 0 0 289.291 2.569 20 5 CFBDRN CC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000247704522 383193025 /nfs/dbraw/zinc/19/30/25/383193025.db2.gz RQQHDFKSHJBTMS-RISCZKNCSA-N 0 0 264.325 2.594 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2C[C@H]2c2cccnc2)n1 ZINC000339098606 383148059 /nfs/dbraw/zinc/14/80/59/383148059.db2.gz RYNQZYNTLLEIHV-STQMWFEESA-N 0 0 284.319 2.970 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccsc1 ZINC000619757944 383148297 /nfs/dbraw/zinc/14/82/97/383148297.db2.gz KJVFJTCVKDFZDR-ZETCQYMHSA-N 0 0 266.278 2.740 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2ccccc2[N+](=O)[O-])n1 ZINC000339116923 383155546 /nfs/dbraw/zinc/15/55/46/383155546.db2.gz RCPWTGBRYJFIQG-VOTSOKGWSA-N 0 0 257.249 2.569 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000339155634 383170052 /nfs/dbraw/zinc/17/00/52/383170052.db2.gz CHWVLOGWWAUICG-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN Cc1cccc2nc(-c3ccc([N+](=O)[O-])cc3)[nH]c(=O)c12 ZINC000339572034 383246709 /nfs/dbraw/zinc/24/67/09/383246709.db2.gz SCBCJNRJSOVFDK-UHFFFAOYSA-N 0 0 281.271 2.807 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@H]1CCC[C@@H](F)C1 ZINC000424745727 383248719 /nfs/dbraw/zinc/24/87/19/383248719.db2.gz CYNCUNQVRWKWDK-QWHCGFSZSA-N 0 0 294.326 2.782 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CC[C@@H](F)C1 ZINC000449387199 383254950 /nfs/dbraw/zinc/25/49/50/383254950.db2.gz KVWDYOLJBKNBOL-OGOUPESXSA-N 0 0 250.273 2.652 20 5 CFBDRN CC[C@@H](NC(=O)COc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000520636087 383268494 /nfs/dbraw/zinc/26/84/94/383268494.db2.gz LQDHMSAPXDYRLA-CYBMUJFWSA-N 0 0 294.351 2.915 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000458628852 383218261 /nfs/dbraw/zinc/21/82/61/383218261.db2.gz XUJSUJXMNCSMLS-ZWNOBZJWSA-N 0 0 289.335 2.850 20 5 CFBDRN Cc1ccnc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)n1 ZINC000339662609 383279107 /nfs/dbraw/zinc/27/91/07/383279107.db2.gz KGHDEJLBHFXIHA-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN COc1cc(C(=O)NC[C@H]2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000339503219 383221062 /nfs/dbraw/zinc/22/10/62/383221062.db2.gz GFRZXILATZFYBT-CMPLNLGQSA-N 0 0 292.335 2.769 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)C[C@@H]1F)c1ccc([N+](=O)[O-])cc1 ZINC000424849944 383279558 /nfs/dbraw/zinc/27/95/58/383279558.db2.gz JWHAKLXOWIYSBM-QWRKXWFKSA-N 0 0 266.272 2.520 20 5 CFBDRN C=Cc1ccc(CCNC(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000519737202 383232892 /nfs/dbraw/zinc/23/28/92/383232892.db2.gz CAOBZYNIWGYCAL-UHFFFAOYSA-N 0 0 299.330 2.549 20 5 CFBDRN C[C@H](C(=O)NCc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000567104323 383241169 /nfs/dbraw/zinc/24/11/69/383241169.db2.gz SQJIBZKPZCKJKF-JTQLQIEISA-N 0 0 274.276 2.608 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](C)C[C@@H](C)C1 ZINC000248673543 383280695 /nfs/dbraw/zinc/28/06/95/383280695.db2.gz VXBDCYLKMWLSCI-WDEREUQCSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCc1ccc(C)o1 ZINC000567139194 383246292 /nfs/dbraw/zinc/24/62/92/383246292.db2.gz HLDMXEORUNWMGH-UHFFFAOYSA-N 0 0 278.312 2.676 20 5 CFBDRN CCO[C@@H]1CC[N@H+](Cc2cccc([N+](=O)[O-])c2)C[C@@H]1C ZINC000449489745 383327217 /nfs/dbraw/zinc/32/72/17/383327217.db2.gz AWKAIBYDCQHMPB-SWLSCSKDSA-N 0 0 278.352 2.842 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000425158427 383334622 /nfs/dbraw/zinc/33/46/22/383334622.db2.gz PEDSEDIUPATKGT-JGPRNRPPSA-N 0 0 290.319 2.522 20 5 CFBDRN CCN(Cc1ccc(C(C)C)c([N+](=O)[O-])c1)C1COC1 ZINC000449424975 383284565 /nfs/dbraw/zinc/28/45/65/383284565.db2.gz LIJRDQPCSYPHCV-UHFFFAOYSA-N 0 0 278.352 2.939 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@H]1C1CCC1 ZINC000424985262 383305498 /nfs/dbraw/zinc/30/54/98/383305498.db2.gz VCNBYLNKMCNEJX-UONOGXRCSA-N 0 0 274.320 2.822 20 5 CFBDRN Cn1nc(C2CC2)nc1CSc1ccc([N+](=O)[O-])cc1 ZINC000521876277 383313263 /nfs/dbraw/zinc/31/32/63/383313263.db2.gz VBTZHGZHZITHCH-UHFFFAOYSA-N 0 0 290.348 2.893 20 5 CFBDRN CO[C@H](C)CN[C@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000521885070 383313774 /nfs/dbraw/zinc/31/37/74/383313774.db2.gz NARKDYDBHFLHOU-LDYMZIIASA-N 0 0 292.257 2.823 20 5 CFBDRN Cc1cnc(NCc2ccc(CO)c(F)c2)c([N+](=O)[O-])c1 ZINC000339784513 383316678 /nfs/dbraw/zinc/31/66/78/383316678.db2.gz DSQQKILRVGEMPI-UHFFFAOYSA-N 0 0 291.282 2.542 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]3CCC[C@H]32)s1 ZINC000249023576 383318413 /nfs/dbraw/zinc/31/84/13/383318413.db2.gz KOAGQKVLFKGVQF-DTWKUNHWSA-N 0 0 253.327 2.820 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000340251625 383392418 /nfs/dbraw/zinc/39/24/18/383392418.db2.gz HFNOARBEMBNRGV-UHFFFAOYSA-N 0 0 267.266 2.513 20 5 CFBDRN CC(=O)c1ccc(N2CCc3ccc([N+](=O)[O-])cc3C2)nc1 ZINC000340256684 383393573 /nfs/dbraw/zinc/39/35/73/383393573.db2.gz QHUWDRLTWKQPLS-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(N(CC(F)F)CC2CCC2)cn1 ZINC000450408652 383395897 /nfs/dbraw/zinc/39/58/97/383395897.db2.gz JNIKOQLAYOYALY-UHFFFAOYSA-N 0 0 271.267 2.861 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000479559076 383407669 /nfs/dbraw/zinc/40/76/69/383407669.db2.gz BFPPFGAXMZNSMO-XYPYZODXSA-N 0 0 291.351 2.876 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000340298424 383409424 /nfs/dbraw/zinc/40/94/24/383409424.db2.gz UGPYXYGELOPJHJ-LLVKDONJSA-N 0 0 279.340 2.577 20 5 CFBDRN COc1ccc(O)c(NC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000340312099 383412387 /nfs/dbraw/zinc/41/23/87/383412387.db2.gz MOVZPJYEPHDJSY-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000340084747 383350883 /nfs/dbraw/zinc/35/08/83/383350883.db2.gz PGTVMFXCVKOMIR-RYUDHWBXSA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000340087513 383351671 /nfs/dbraw/zinc/35/16/71/383351671.db2.gz OECKCQRUNJMJLO-VXGBXAGGSA-N 0 0 292.335 2.526 20 5 CFBDRN COc1ccc2nc(-c3cccc([N+](=O)[O-])c3)[nH]c2n1 ZINC000340088848 383352204 /nfs/dbraw/zinc/35/22/04/383352204.db2.gz ZNSOKRVMNUSUSM-UHFFFAOYSA-N 0 0 270.248 2.542 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@H](F)C2)n1 ZINC000408107514 291824952 /nfs/dbraw/zinc/82/49/52/291824952.db2.gz OIFXXFWZUSTXEZ-SNVBAGLBSA-N 0 0 253.277 2.545 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CC23CC3)c(C(F)(F)F)c1 ZINC000425272508 383356215 /nfs/dbraw/zinc/35/62/15/383356215.db2.gz LDRQOFVYDSTCGI-QMMMGPOBSA-N 0 0 273.214 2.973 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC(CC(F)F)C2)n1 ZINC000425290030 383360471 /nfs/dbraw/zinc/36/04/71/383360471.db2.gz WNGGCCDMCVMHJB-UHFFFAOYSA-N 0 0 271.267 2.698 20 5 CFBDRN C[C@@H]1CCC[C@H](CCNC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000523731841 383361229 /nfs/dbraw/zinc/36/12/29/383361229.db2.gz DARUVMWDFQHZLE-VXGBXAGGSA-N 0 0 293.367 2.880 20 5 CFBDRN CC(C)(C)c1nc(Sc2ccncc2[N+](=O)[O-])n[nH]1 ZINC000340153917 383363906 /nfs/dbraw/zinc/36/39/06/383363906.db2.gz WVKXXNMNZIQUQS-UHFFFAOYSA-N 0 0 279.325 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2C[C@@H]3OCCC[C@H]23)c1 ZINC000450475310 383426017 /nfs/dbraw/zinc/42/60/17/383426017.db2.gz WYOUJWSLAGZKIW-KFWWJZLASA-N 0 0 299.330 2.544 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000450664630 383480992 /nfs/dbraw/zinc/48/09/92/383480992.db2.gz UWJCOELWNZBBIC-QWRGUYRKSA-N 0 0 266.345 2.875 20 5 CFBDRN CCC1(CC)[C@H](Nc2ccc([N+](=O)[O-])nc2)C[C@@H]1OC ZINC000481815036 383430108 /nfs/dbraw/zinc/43/01/08/383430108.db2.gz MVKGZBSDTMADSH-NEPJUHHUSA-N 0 0 279.340 2.995 20 5 CFBDRN CCC1(CC)[C@H](OC)C[C@@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000481815037 383430168 /nfs/dbraw/zinc/43/01/68/383430168.db2.gz MVKGZBSDTMADSH-NWDGAFQWSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)(C)C ZINC000450505176 383441903 /nfs/dbraw/zinc/44/19/03/383441903.db2.gz XYOMYVNMXQCUEG-MRVPVSSYSA-N 0 0 284.337 2.812 20 5 CFBDRN CC1(F)CC(Nc2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000450581470 383470000 /nfs/dbraw/zinc/47/00/00/383470000.db2.gz QEBVGQVOCMNBEL-UHFFFAOYSA-N 0 0 259.668 2.946 20 5 CFBDRN Cc1ccc(CNc2ccc(C(N)=O)c(C)c2)cc1[N+](=O)[O-] ZINC000340560412 383471256 /nfs/dbraw/zinc/47/12/56/383471256.db2.gz GQETYJMXFZFCLI-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(Cc2ncccc2O)C2CC2)c1 ZINC000569154044 383472678 /nfs/dbraw/zinc/47/26/78/383472678.db2.gz CVUNYFLLRYCCFB-UHFFFAOYSA-N 0 0 299.330 2.860 20 5 CFBDRN Cc1cncc(CNc2ncc(C)cc2[N+](=O)[O-])c1 ZINC000340570935 383474284 /nfs/dbraw/zinc/47/42/84/383474284.db2.gz GBRCJAMNRCDHHC-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cncc(CNc2nccc(C)c2[N+](=O)[O-])c1 ZINC000340572289 383474559 /nfs/dbraw/zinc/47/45/59/383474559.db2.gz KXCSEDJVVKWMOZ-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@H]1CN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C[C@@H]1C ZINC000486938650 383476018 /nfs/dbraw/zinc/47/60/18/383476018.db2.gz IYORRZYPOUIJTK-UWVGGRQHSA-N 0 0 288.307 2.737 20 5 CFBDRN Cc1cnc([C@H](C)N(C)Cc2ccccc2[N+](=O)[O-])cn1 ZINC000428454447 383476286 /nfs/dbraw/zinc/47/62/86/383476286.db2.gz AYDHMBLQUUEJEE-LBPRGKRZSA-N 0 0 286.335 2.886 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000340587277 383480225 /nfs/dbraw/zinc/48/02/25/383480225.db2.gz PJPOOKQNMJWHDS-NSHDSACASA-N 0 0 280.324 2.904 20 5 CFBDRN CSCCCn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000568631928 383421454 /nfs/dbraw/zinc/42/14/54/383421454.db2.gz DQBKZJOULSOSRO-UHFFFAOYSA-N 0 0 278.333 2.663 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000450466580 383422246 /nfs/dbraw/zinc/42/22/46/383422246.db2.gz JJQTUMXVFUCVSH-BXKDBHETSA-N 0 0 296.754 2.953 20 5 CFBDRN CCn1nnc(C)c1COc1cc(C)c([N+](=O)[O-])cc1F ZINC000450905788 383544918 /nfs/dbraw/zinc/54/49/18/383544918.db2.gz CEZNYYOLIZJCTJ-UHFFFAOYSA-N 0 0 294.286 2.541 20 5 CFBDRN CCOc1cc(OCc2cn(C)nc2C)ccc1[N+](=O)[O-] ZINC000450911680 383546630 /nfs/dbraw/zinc/54/66/30/383546630.db2.gz FBFLRBFWKRUYAD-UHFFFAOYSA-N 0 0 291.307 2.614 20 5 CFBDRN COc1cccc(C(=O)NCC2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000569302615 383484789 /nfs/dbraw/zinc/48/47/89/383484789.db2.gz HFQOGQLVXWZZJS-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CC[C@@H]1CN(C/C=C\c2ccccc2[N+](=O)[O-])CCO1 ZINC000255632762 383485334 /nfs/dbraw/zinc/48/53/34/383485334.db2.gz AXEFDWSDDWZFFN-BRLPQQTGSA-N 0 0 276.336 2.719 20 5 CFBDRN Cc1nc(N[C@@H]([C@@H](CO)C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000450781208 383489091 /nfs/dbraw/zinc/48/90/91/383489091.db2.gz RMNQCCMQAJBOSX-SWLSCSKDSA-N 0 0 291.351 2.507 20 5 CFBDRN C[C@H]1COCCN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000488083499 383494658 /nfs/dbraw/zinc/49/46/58/383494658.db2.gz FGFSSHPLPGWSNN-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN O=c1cc(CNc2c(F)cc([N+](=O)[O-])cc2F)cc[nH]1 ZINC000340817381 383496210 /nfs/dbraw/zinc/49/62/10/383496210.db2.gz DPJRWKQGIBKHQH-UHFFFAOYSA-N 0 0 281.218 2.586 20 5 CFBDRN C[C@H]1CCC(=O)[C@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC000450807826 383498114 /nfs/dbraw/zinc/49/81/14/383498114.db2.gz HIRSHXBCKGQMPU-ISVAXAHUSA-N 0 0 267.256 2.870 20 5 CFBDRN CCCCNc1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000340907917 383499471 /nfs/dbraw/zinc/49/94/71/383499471.db2.gz QYDYFMZLBNBOQF-UHFFFAOYSA-N 0 0 266.326 2.680 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CC[C@H](F)C2)nc2sccn21 ZINC000340905758 383499941 /nfs/dbraw/zinc/49/99/41/383499941.db2.gz CTBNMUFLPLNSRH-NKWVEPMBSA-N 0 0 270.289 2.607 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H]2C[C@H](O)CC[C@H]2C1 ZINC000450816613 383501595 /nfs/dbraw/zinc/50/15/95/383501595.db2.gz WJYCPOSZSFDSGF-GRYCIOLGSA-N 0 0 294.326 2.721 20 5 CFBDRN CCn1ccnc1[C@H](C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000450823045 383505069 /nfs/dbraw/zinc/50/50/69/383505069.db2.gz BUGSLDXFWIWIMC-JTQLQIEISA-N 0 0 275.312 2.688 20 5 CFBDRN CCC(=O)c1ccc(NCC2(O)CCCC2)c([N+](=O)[O-])c1 ZINC000280812266 194984283 /nfs/dbraw/zinc/98/42/83/194984283.db2.gz XCCXRXKGYSHRGE-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN Nc1c(F)c(NC[C@H]2CCC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000340958290 383511235 /nfs/dbraw/zinc/51/12/35/383511235.db2.gz CHUQLNYZIYTJJK-UWVGGRQHSA-N 0 0 297.330 2.527 20 5 CFBDRN C[C@H](Cc1cnn(C)c1)Nc1ccc([N+](=O)[O-])cc1F ZINC000450840612 383516342 /nfs/dbraw/zinc/51/63/42/383516342.db2.gz JQNLXCCSWHLYNT-SECBINFHSA-N 0 0 278.287 2.511 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000450867128 383529185 /nfs/dbraw/zinc/52/91/85/383529185.db2.gz YBGDHYYSNRXBCO-SMDDNHRTSA-N 0 0 280.324 2.759 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H](C2CC2)C1 ZINC000341025054 383534889 /nfs/dbraw/zinc/53/48/89/383534889.db2.gz BAZVPFRPSNNQNE-GFCCVEGCSA-N 0 0 278.356 2.746 20 5 CFBDRN CC(C)Oc1nc(N2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000569823832 383542241 /nfs/dbraw/zinc/54/22/41/383542241.db2.gz AZQFYKDOFOUFMM-UHFFFAOYSA-N 0 0 287.266 2.622 20 5 CFBDRN Cc1ccc(OCC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000450902591 383543701 /nfs/dbraw/zinc/54/37/01/383543701.db2.gz YLKNLGBWLCAGDS-NSHDSACASA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1cnc(Sc2nc3c([nH]2)CCC3)c([N+](=O)[O-])c1 ZINC000488751452 383573784 /nfs/dbraw/zinc/57/37/84/383573784.db2.gz WGLABVIRMKQUBY-UHFFFAOYSA-N 0 0 276.321 2.661 20 5 CFBDRN CCOc1cc(OC[C@@H](OC)C2CC2)ccc1[N+](=O)[O-] ZINC000570161678 383577921 /nfs/dbraw/zinc/57/79/21/383577921.db2.gz YVZKBIQMEZOHRA-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN CCCc1nc(C)c(CNc2ccc([N+](=O)[O-])nc2)o1 ZINC000488790789 383578573 /nfs/dbraw/zinc/57/85/73/383578573.db2.gz XNMQYGWMVNHPRU-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@H](C)CC(C)C)ccc1[N+](=O)[O-] ZINC000430826375 383604558 /nfs/dbraw/zinc/60/45/58/383604558.db2.gz GYHJIZZKTXNISU-LBPRGKRZSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1cc(OCC(=O)N(C)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000570453277 383604757 /nfs/dbraw/zinc/60/47/57/383604757.db2.gz RNOWGZXQJTWXGR-UHFFFAOYSA-N 0 0 280.324 2.539 20 5 CFBDRN Cc1c(CC(=O)N(C)[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000489347550 383609701 /nfs/dbraw/zinc/60/97/01/383609701.db2.gz GYBFWEUONAMFLZ-CYBMUJFWSA-N 0 0 276.336 2.703 20 5 CFBDRN CCOc1ccc(C(=O)N(C)[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000489350710 383609717 /nfs/dbraw/zinc/60/97/17/383609717.db2.gz NWMNIVQTWAAARD-ZDUSSCGKSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cccc(N(C)Cc2cccc(C)n2)c1[N+](=O)[O-] ZINC000450930461 383555803 /nfs/dbraw/zinc/55/58/03/383555803.db2.gz MVAWNGSKYFBEHB-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CC1(CC(F)(F)F)CN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000450953854 383566339 /nfs/dbraw/zinc/56/63/39/383566339.db2.gz MAHCOVJCNQQDFS-UHFFFAOYSA-N 0 0 275.230 2.769 20 5 CFBDRN COCC(C)(C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000488703815 383567905 /nfs/dbraw/zinc/56/79/05/383567905.db2.gz QJFMGXGDSXBVLL-UHFFFAOYSA-N 0 0 289.335 2.649 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CNc1cccc(CO)c1 ZINC000429798195 383569945 /nfs/dbraw/zinc/56/99/45/383569945.db2.gz ZJXYGDGNEMOAFN-UHFFFAOYSA-N 0 0 288.303 2.708 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000450965666 383570577 /nfs/dbraw/zinc/57/05/77/383570577.db2.gz FWGAJUMUQIKUDZ-BDAKNGLRSA-N 0 0 296.298 2.661 20 5 CFBDRN Cc1cc(N2CC[C@H](CCCO)C2)c(F)cc1[N+](=O)[O-] ZINC000450968745 383572077 /nfs/dbraw/zinc/57/20/77/383572077.db2.gz YZIANXGZSMXAPG-NSHDSACASA-N 0 0 282.315 2.641 20 5 CFBDRN Cc1cc(=O)[nH]c(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000431248583 383641374 /nfs/dbraw/zinc/64/13/74/383641374.db2.gz ILIGVRNQAZXAEQ-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CCC(CC)(C[NH2+]Cc1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000263043452 383650939 /nfs/dbraw/zinc/65/09/39/383650939.db2.gz JISGNHBFQHSVKH-UHFFFAOYSA-N 0 0 294.351 2.884 20 5 CFBDRN Cc1cc(N2CCC3(CCO3)CC2)c(F)cc1[N+](=O)[O-] ZINC000570562268 383614221 /nfs/dbraw/zinc/61/42/21/383614221.db2.gz DINYJZFNYUDXHB-UHFFFAOYSA-N 0 0 280.299 2.802 20 5 CFBDRN O=[N+]([O-])c1cccc(Oc2ncnc3c2ncn3C2CC2)c1 ZINC000431026353 383624437 /nfs/dbraw/zinc/62/44/37/383624437.db2.gz WRLIAPFMJQMNQG-UHFFFAOYSA-N 0 0 297.274 2.862 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H]1C[C@H]1C1CC1 ZINC000431133563 383633047 /nfs/dbraw/zinc/63/30/47/383633047.db2.gz BVBIKZICUONYPY-WDEREUQCSA-N 0 0 292.360 2.602 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000431145599 383634287 /nfs/dbraw/zinc/63/42/87/383634287.db2.gz YVTSHMJKOYKBQZ-NWDGAFQWSA-N 0 0 280.711 2.777 20 5 CFBDRN COc1ccncc1CNc1ccccc1[N+](=O)[O-] ZINC000431479805 383676471 /nfs/dbraw/zinc/67/64/71/383676471.db2.gz XVVMFKPUGMTQPW-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCOc3ccccc32)nc1 ZINC000264533930 383751571 /nfs/dbraw/zinc/75/15/71/383751571.db2.gz FGYPMDUGCBTXGN-LLVKDONJSA-N 0 0 285.303 2.968 20 5 CFBDRN CC(C)c1noc(OCc2cccc([N+](=O)[O-])c2)n1 ZINC000264548423 383753479 /nfs/dbraw/zinc/75/34/79/383753479.db2.gz SVWKJRSTBBNBIR-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC[C@H]3CCCO3)n2)cc1 ZINC000264575583 383757391 /nfs/dbraw/zinc/75/73/91/383757391.db2.gz BBOQODGODFYJGP-GFCCVEGCSA-N 0 0 289.291 2.756 20 5 CFBDRN CCO[C@@H](C)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264603145 383761249 /nfs/dbraw/zinc/76/12/49/383761249.db2.gz IHRNGVRZFOJZNG-QMMMGPOBSA-N 0 0 263.253 2.742 20 5 CFBDRN Cc1cnc(C)nc1N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000571060159 383663046 /nfs/dbraw/zinc/66/30/46/383663046.db2.gz AOGNWJSBCCRINW-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC2(CCC2)[C@H]2COC[C@H]21 ZINC000571144933 383667208 /nfs/dbraw/zinc/66/72/08/383667208.db2.gz ANBCOGAIXZIVIK-GXFFZTMASA-N 0 0 292.310 2.739 20 5 CFBDRN CC(C)C[C@H]([NH2+]C/C=C/c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000263451834 383668059 /nfs/dbraw/zinc/66/80/59/383668059.db2.gz ZYVNSKBCACACAV-IYNGYCSASA-N 0 0 292.335 2.697 20 5 CFBDRN CC(C)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000264993566 383813702 /nfs/dbraw/zinc/81/37/02/383813702.db2.gz KRCNLKCEHOBVKT-UHFFFAOYSA-N 0 0 263.253 2.570 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccnn2)c(OC(F)F)c1 ZINC000436421442 383816010 /nfs/dbraw/zinc/81/60/10/383816010.db2.gz ITOBIPABMGVSGL-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN COc1ccc(CNc2ccc(C)cc2[N+](=O)[O-])nc1 ZINC000436434951 383817610 /nfs/dbraw/zinc/81/76/10/383817610.db2.gz SBJADZHVUUQMSD-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCCN(C)Cc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000453254387 383818029 /nfs/dbraw/zinc/81/80/29/383818029.db2.gz KREZDEOWSLHWBR-UHFFFAOYSA-N 0 0 290.323 2.795 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1CC12CCCC2 ZINC000531095588 383818121 /nfs/dbraw/zinc/81/81/21/383818121.db2.gz STPYVROIOHJIAB-ZDUSSCGKSA-N 0 0 274.320 2.791 20 5 CFBDRN O=C(CCC1CCC1)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000530675412 383783835 /nfs/dbraw/zinc/78/38/35/383783835.db2.gz XTMJQOZLVLVINC-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN COc1cc(N2CCC(OC(C)C)CC2)ccc1[N+](=O)[O-] ZINC000530676046 383783971 /nfs/dbraw/zinc/78/39/71/383783971.db2.gz ONKFIXMTKIFNCP-UHFFFAOYSA-N 0 0 294.351 2.997 20 5 CFBDRN CCc1ccccc1CCn1c(C)ncc1[N+](=O)[O-] ZINC000436199436 383787334 /nfs/dbraw/zinc/78/73/34/383787334.db2.gz TXSIQJKEDUALJN-UHFFFAOYSA-N 0 0 259.309 2.905 20 5 CFBDRN CCc1noc(C)c1Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000436198396 383787532 /nfs/dbraw/zinc/78/75/32/383787532.db2.gz OHPJXOMZNZNKQV-UHFFFAOYSA-N 0 0 276.296 2.576 20 5 CFBDRN CCn1ccnc1[C@@H](C)NCc1cccc([N+](=O)[O-])c1C ZINC000453201304 383800806 /nfs/dbraw/zinc/80/08/06/383800806.db2.gz BRDFDVAFSNTQTF-GFCCVEGCSA-N 0 0 288.351 2.970 20 5 CFBDRN Cc1cc(=O)n(C[C@H](C)CC(C)C)cc1[N+](=O)[O-] ZINC000281013198 195069144 /nfs/dbraw/zinc/06/91/44/195069144.db2.gz OVFKUSAFKJGNOP-SNVBAGLBSA-N 0 0 252.314 2.747 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCC[C@@H]1CCCO1 ZINC000280990531 195060613 /nfs/dbraw/zinc/06/06/13/195060613.db2.gz JBTLPFLPSIFOJL-LBPRGKRZSA-N 0 0 280.324 2.975 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(-c3ccc(O)cc3)no2)c1 ZINC000436534702 383831082 /nfs/dbraw/zinc/83/10/82/383831082.db2.gz PECKXZMGNXKJBD-UHFFFAOYSA-N 0 0 297.270 2.941 20 5 CFBDRN CC[C@](C)(CNC(=O)Nc1cccc([N+](=O)[O-])c1)OC ZINC000454139202 383910858 /nfs/dbraw/zinc/91/08/58/383910858.db2.gz DMHHBLGREUXPCQ-CYBMUJFWSA-N 0 0 281.312 2.531 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000265432844 383913783 /nfs/dbraw/zinc/91/37/83/383913783.db2.gz CREOXBFQHWJMKJ-UHFFFAOYSA-N 0 0 268.288 2.995 20 5 CFBDRN Cc1ccc(NC(=O)NC2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000454142701 383914883 /nfs/dbraw/zinc/91/48/83/383914883.db2.gz IRPYRDMIVGYPAW-UHFFFAOYSA-N 0 0 281.287 2.915 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCCC(C)(C)C ZINC000437924104 383921825 /nfs/dbraw/zinc/92/18/25/383921825.db2.gz CFMCJNALKAVIOT-UHFFFAOYSA-N 0 0 257.359 2.998 20 5 CFBDRN COC(C)(C)CN(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000531817026 383926320 /nfs/dbraw/zinc/92/63/20/383926320.db2.gz QJOGDCSUAKMQDR-UHFFFAOYSA-N 0 0 295.339 2.792 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H](O)CCC(C)C)c1 ZINC000573445069 383931048 /nfs/dbraw/zinc/93/10/48/383931048.db2.gz OCSWOPPXKJAYRI-NSHDSACASA-N 0 0 283.324 2.779 20 5 CFBDRN CCCNC(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000454188308 383932851 /nfs/dbraw/zinc/93/28/51/383932851.db2.gz FMNWUIIKBLSLPS-ZDUSSCGKSA-N 0 0 291.351 2.894 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000531628840 383899855 /nfs/dbraw/zinc/89/98/55/383899855.db2.gz RKVRYQRGSCINRD-NEPJUHHUSA-N 0 0 291.351 2.936 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000531633034 383900332 /nfs/dbraw/zinc/90/03/32/383900332.db2.gz GFQWIEVHFHUHAS-LLVKDONJSA-N 0 0 277.324 2.642 20 5 CFBDRN O=C(N[C@H](c1ccccc1)C1CC1)c1ccc([N+](=O)[O-])cn1 ZINC000531633437 383902587 /nfs/dbraw/zinc/90/25/87/383902587.db2.gz XXPNFRLNVQNPMC-OAHLLOKOSA-N 0 0 297.314 2.871 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000454286017 383978271 /nfs/dbraw/zinc/97/82/71/383978271.db2.gz ZBEQJPSUEOYHHU-MRVPVSSYSA-N 0 0 291.229 2.899 20 5 CFBDRN COc1ccccc1-c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000532139335 383982066 /nfs/dbraw/zinc/98/20/66/383982066.db2.gz RURFLYUUWNZAJW-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000532137643 383982231 /nfs/dbraw/zinc/98/22/31/383982231.db2.gz NLNOGYGLHOJXGC-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN O=C(NC1CCC1)c1ccc([N+](=O)[O-])cc1Br ZINC000265794350 384003097 /nfs/dbraw/zinc/00/30/97/384003097.db2.gz MIUZLUVWCMZMTH-UHFFFAOYSA-N 0 0 299.124 2.640 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531880885 383937676 /nfs/dbraw/zinc/93/76/76/383937676.db2.gz UHDAYJJPLQKBGH-QWRGUYRKSA-N 0 0 277.324 2.546 20 5 CFBDRN CCC(C)(C)N(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000265523982 383939166 /nfs/dbraw/zinc/93/91/66/383939166.db2.gz NMLHWCAEIWGLPE-UHFFFAOYSA-N 0 0 298.314 2.760 20 5 CFBDRN COC[C@@H]1CCCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000454345680 384001097 /nfs/dbraw/zinc/00/10/97/384001097.db2.gz GBAAHZKDJFUBJR-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1nc(N[C@H](C)c2cnn(C(C)C)c2)ccc1[N+](=O)[O-] ZINC000532110239 383975923 /nfs/dbraw/zinc/97/59/23/383975923.db2.gz GRGLILOOIGWKCN-SNVBAGLBSA-N 0 0 289.339 2.671 20 5 CFBDRN CCCC[C@H](C(=O)[O-])[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000573855663 384001801 /nfs/dbraw/zinc/00/18/01/384001801.db2.gz QUDRSLLELQIBLN-CYBMUJFWSA-N 0 0 280.324 2.670 20 5 CFBDRN C[C@@H]1Cc2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532392914 384023093 /nfs/dbraw/zinc/02/30/93/384023093.db2.gz HCFUDLNQBQMGSZ-LLVKDONJSA-N 0 0 297.314 2.577 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2ccc([N+](=O)[O-])cn2)c(C)n1 ZINC000532391443 384023122 /nfs/dbraw/zinc/02/31/22/384023122.db2.gz FULZMDPUQWBTGX-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CCc1ccc(OCc2snnc2C)c([N+](=O)[O-])c1 ZINC000574116433 384046134 /nfs/dbraw/zinc/04/61/34/384046134.db2.gz VFDQEWMVBSLEDC-UHFFFAOYSA-N 0 0 279.321 2.896 20 5 CFBDRN COc1ccc(CNc2c([N+](=O)[O-])c(C)nn2C)c(C)c1 ZINC000532488723 384036642 /nfs/dbraw/zinc/03/66/42/384036642.db2.gz LSACXLBAZUBHSF-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN Cc1c(CNC(=O)N2CCC[C@@H]2CF)cccc1[N+](=O)[O-] ZINC000454852106 384056265 /nfs/dbraw/zinc/05/62/65/384056265.db2.gz IQWMOYHZLJYOOW-GFCCVEGCSA-N 0 0 295.314 2.547 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)NCCc1ccccc1[N+](=O)[O-] ZINC000266043713 384062740 /nfs/dbraw/zinc/06/27/40/384062740.db2.gz LZVZNUIOOJLDJU-GFCCVEGCSA-N 0 0 274.320 2.610 20 5 CFBDRN CO[C@H]1CCCC[C@H]1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000266078420 384072050 /nfs/dbraw/zinc/07/20/50/384072050.db2.gz LZTKQYHNHDNVML-OCCSQVGLSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](CCO)C(C)C)c([N+](=O)[O-])c1 ZINC000266105378 384079336 /nfs/dbraw/zinc/07/93/36/384079336.db2.gz IQRYKTTVIYZCAY-LBPRGKRZSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC(CF)CF ZINC000454929216 384080422 /nfs/dbraw/zinc/08/04/22/384080422.db2.gz PYAGQHGGYZXAJZ-UHFFFAOYSA-N 0 0 287.266 2.641 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(CC2CC2)C(C)C)c1[N+](=O)[O-] ZINC000532913407 384081898 /nfs/dbraw/zinc/08/18/98/384081898.db2.gz IGEGLCLTZPSCKX-UHFFFAOYSA-N 0 0 294.355 2.702 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000574488884 384104608 /nfs/dbraw/zinc/10/46/08/384104608.db2.gz DHGOEIYHGKPJQF-DTWKUNHWSA-N 0 0 286.278 2.858 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCC(=O)C1 ZINC000574520260 384109770 /nfs/dbraw/zinc/10/97/70/384109770.db2.gz APEJLMGLLXNINW-JTQLQIEISA-N 0 0 276.292 2.519 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@](C)(O)c1ccco1 ZINC000266277670 384134519 /nfs/dbraw/zinc/13/45/19/384134519.db2.gz SMEJDEGRXAAATE-CQSZACIVSA-N 0 0 276.292 2.816 20 5 CFBDRN CC(C)C[C@H](C(=O)[O-])[N@H+](C)CCc1cccc([N+](=O)[O-])c1 ZINC000574697314 384135945 /nfs/dbraw/zinc/13/59/45/384135945.db2.gz OGCGTXFXUUGBPE-CQSZACIVSA-N 0 0 294.351 2.568 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000487770367 285081042 /nfs/dbraw/zinc/08/10/42/285081042.db2.gz VUDIDFZJVCVGRP-WDAIWFPHSA-N 0 0 269.304 2.775 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)c([N+](=O)[O-])c1 ZINC000266226023 384121277 /nfs/dbraw/zinc/12/12/77/384121277.db2.gz RQYSLOQASASCEU-MVWJERBFSA-N 0 0 277.324 2.524 20 5 CFBDRN C[C@H]1C[C@@H]1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000266331702 384150917 /nfs/dbraw/zinc/15/09/17/384150917.db2.gz YUURZBWOKNGJSF-RCOVLWMOSA-N 0 0 288.225 2.752 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]1c1ccccc1 ZINC000266310862 384143753 /nfs/dbraw/zinc/14/37/53/384143753.db2.gz NDZXGPPWTRDVMJ-YGRLFVJLSA-N 0 0 285.303 2.756 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]1c1ccccc1 ZINC000266310847 384144054 /nfs/dbraw/zinc/14/40/54/384144054.db2.gz NDZXGPPWTRDVMJ-HZMBPMFUSA-N 0 0 285.303 2.756 20 5 CFBDRN COC(C)(C)CN(C)c1c(F)cccc1[N+](=O)[O-] ZINC000574780289 384145006 /nfs/dbraw/zinc/14/50/06/384145006.db2.gz NFTADZXKDQLNAB-UHFFFAOYSA-N 0 0 256.277 2.595 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCC[C@@H](C)F ZINC000455174154 384159206 /nfs/dbraw/zinc/15/92/06/384159206.db2.gz UZYYWSGEDUCILW-SECBINFHSA-N 0 0 269.276 2.773 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@H]1C1CC1 ZINC000455173611 384159848 /nfs/dbraw/zinc/15/98/48/384159848.db2.gz TWZGYUISOHNFOS-LBPRGKRZSA-N 0 0 291.307 2.620 20 5 CFBDRN COc1cc(N[C@H]2C[C@@H](OC)C23CCC3)ccc1[N+](=O)[O-] ZINC000413432116 533041132 /nfs/dbraw/zinc/04/11/32/533041132.db2.gz BDBPSJKVPPTEFW-UONOGXRCSA-N 0 0 292.335 2.973 20 5 CFBDRN CN(C(=O)CCC1CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000281111947 195108844 /nfs/dbraw/zinc/10/88/44/195108844.db2.gz HCQRUXSPSBBGHM-UHFFFAOYSA-N 0 0 277.324 2.923 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC3(C2)CCOCC3)c1 ZINC000266421507 384171334 /nfs/dbraw/zinc/17/13/34/384171334.db2.gz ASTAAIBQDUTRFK-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@]2(CCOC2)C1 ZINC000301617988 285090414 /nfs/dbraw/zinc/09/04/14/285090414.db2.gz WKVBAZYCAZEYLA-ZDUSSCGKSA-N 0 0 282.727 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(-c2nc(CC3CC3)no2)cc([N+](=O)[O-])c1 ZINC000266572453 384201689 /nfs/dbraw/zinc/20/16/89/384201689.db2.gz LKQCBHPRIUPTQL-UHFFFAOYSA-N 0 0 290.235 2.506 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCS1 ZINC000266611327 384207642 /nfs/dbraw/zinc/20/76/42/384207642.db2.gz CIEQKCKMFPLXLO-SNVBAGLBSA-N 0 0 270.285 2.568 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc(-c3cccnc3)no2)c1 ZINC000349882952 285094374 /nfs/dbraw/zinc/09/43/74/285094374.db2.gz YPOLKIZRZVIFJZ-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@@H]1CCCS1 ZINC000266665048 384218018 /nfs/dbraw/zinc/21/80/18/384218018.db2.gz NBOKWVKJRHXJQG-JTQLQIEISA-N 0 0 288.275 2.707 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCCSCC2)s1 ZINC000286476547 285099100 /nfs/dbraw/zinc/09/91/00/285099100.db2.gz UEFYMNQDEAMPGK-UHFFFAOYSA-N 0 0 296.377 2.543 20 5 CFBDRN CCc1ccc(C(=O)Nc2nc(C)ns2)cc1[N+](=O)[O-] ZINC000266906159 384259480 /nfs/dbraw/zinc/25/94/80/384259480.db2.gz YXDHVMMAUNCWMH-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC[C@@H](C3CC3)C2)s1 ZINC000376451979 285099603 /nfs/dbraw/zinc/09/96/03/285099603.db2.gz XQBDUZMBQQGSHE-SECBINFHSA-N 0 0 290.348 2.836 20 5 CFBDRN CCc1cnc(CN(C)c2ncccc2[N+](=O)[O-])s1 ZINC000266998713 384275242 /nfs/dbraw/zinc/27/52/42/384275242.db2.gz CEEPGUIUCCJCRZ-UHFFFAOYSA-N 0 0 278.337 2.645 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC1CCC2(CC2)CC1 ZINC000277446210 384304727 /nfs/dbraw/zinc/30/47/27/384304727.db2.gz NQQPZQBOWMVTKF-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN CCOC[C@@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000267138080 384306549 /nfs/dbraw/zinc/30/65/49/384306549.db2.gz XFFJYTXBMPXXKD-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CCNC(=O)C1(CNc2cc(C)ccc2[N+](=O)[O-])CCC1 ZINC000277491672 384318145 /nfs/dbraw/zinc/31/81/45/384318145.db2.gz UHPQEDQZWMATAE-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1CCCSC1 ZINC000352354864 285101481 /nfs/dbraw/zinc/10/14/81/285101481.db2.gz FIYJKDGMQCYEJG-NSHDSACASA-N 0 0 289.360 2.872 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)C[C@@H](C)O1 ZINC000267017877 384279598 /nfs/dbraw/zinc/27/95/98/384279598.db2.gz JSMBQHUVYUUVPX-ZWNOBZJWSA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@H](O)[C@@H]1CCCC[N@@H+]1Cc1ccc([N+](=O)[O-])cc1F ZINC000267063481 384291073 /nfs/dbraw/zinc/29/10/73/384291073.db2.gz UGERMZIOVZDIHQ-GJZGRUSLSA-N 0 0 296.342 2.859 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC2CCC2)s1 ZINC000310400207 285100221 /nfs/dbraw/zinc/10/02/21/285100221.db2.gz IVZDDEYFFGAVHU-UHFFFAOYSA-N 0 0 250.283 2.564 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2C[C@@H]3CCC[C@H]3C2)s1 ZINC000408103310 285100231 /nfs/dbraw/zinc/10/02/31/285100231.db2.gz MFNUIPVNQKYVPB-IUCAKERBSA-N 0 0 290.348 2.836 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@H](CC(F)(F)F)C1 ZINC000277556992 384336615 /nfs/dbraw/zinc/33/66/15/384336615.db2.gz YHJBUJODNFKIKQ-MRVPVSSYSA-N 0 0 275.230 2.769 20 5 CFBDRN Cc1cnc(NC[C@H]2CCO[C@@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000267271170 384342643 /nfs/dbraw/zinc/34/26/43/384342643.db2.gz ZQAMUIMUZRKVFT-DGCLKSJQSA-N 0 0 279.340 2.771 20 5 CFBDRN Cc1ccc(N[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000277620514 384355584 /nfs/dbraw/zinc/35/55/84/384355584.db2.gz MIKWXJSCJLSQCS-QWRGUYRKSA-N 0 0 250.298 2.740 20 5 CFBDRN CCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277625263 384357580 /nfs/dbraw/zinc/35/75/80/384357580.db2.gz RMJIGUFHTKLKEC-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN CCC(CC)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277625152 384358036 /nfs/dbraw/zinc/35/80/36/384358036.db2.gz RJPHYIDCSJJYDD-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN Cc1cc(NC[C@@H]2CCO[C@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000267213694 384326242 /nfs/dbraw/zinc/32/62/42/384326242.db2.gz MJLOVHHJEVNEDH-FZMZJTMJSA-N 0 0 279.340 2.771 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCC[N@@H+]2C2CC2)ccc1[N+](=O)[O-] ZINC000277542834 384332418 /nfs/dbraw/zinc/33/24/18/384332418.db2.gz WZNWNCQTXWJBNL-ZDUSSCGKSA-N 0 0 290.367 2.727 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCc1nncn1C1CC1 ZINC000267510998 384406142 /nfs/dbraw/zinc/40/61/42/384406142.db2.gz IRAVSVMXSRBYAR-UHFFFAOYSA-N 0 0 293.714 2.787 20 5 CFBDRN Cc1cc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)ccc1F ZINC000267573119 384416244 /nfs/dbraw/zinc/41/62/44/384416244.db2.gz AMKDSRQRJAFHJI-SECBINFHSA-N 0 0 278.287 2.949 20 5 CFBDRN CCS[C@@H]1CCCC[C@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000267388926 384376566 /nfs/dbraw/zinc/37/65/66/384376566.db2.gz NFWHALGYEUHYDC-NXEZZACHSA-N 0 0 284.385 2.805 20 5 CFBDRN CCS[C@H]1CCCC[C@@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000267388929 384377155 /nfs/dbraw/zinc/37/71/55/384377155.db2.gz NFWHALGYEUHYDC-UWVGGRQHSA-N 0 0 284.385 2.805 20 5 CFBDRN CCOc1cc(Cn2cccc(C)c2=O)ccc1[N+](=O)[O-] ZINC000277963809 384449541 /nfs/dbraw/zinc/44/95/41/384449541.db2.gz WYUYSAZJNIOREZ-UHFFFAOYSA-N 0 0 288.303 2.512 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](C)[C@H]1C ZINC000267722202 384451671 /nfs/dbraw/zinc/45/16/71/384451671.db2.gz UYNDZPAELVJNEV-WDEREUQCSA-N 0 0 264.325 2.976 20 5 CFBDRN Cn1c(C(=O)N[C@H]2CCCC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000343953727 220280126 /nfs/dbraw/zinc/28/01/26/220280126.db2.gz ACAMLYSRIHVRNO-JTQLQIEISA-N 0 0 279.340 2.632 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](C)[C@@H](C)C1 ZINC000267800542 384467818 /nfs/dbraw/zinc/46/78/18/384467818.db2.gz XIEUNOAFTMLOJR-UWVGGRQHSA-N 0 0 293.323 2.723 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000352289834 220301543 /nfs/dbraw/zinc/30/15/43/220301543.db2.gz MCEAQQHZZLHKHF-NSHDSACASA-N 0 0 292.335 2.526 20 5 CFBDRN CCCN(CC)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000268106370 384534106 /nfs/dbraw/zinc/53/41/06/384534106.db2.gz GZJQDUJTSRPPMM-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN COCCOC(=O)CS[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000278272702 384513426 /nfs/dbraw/zinc/51/34/26/384513426.db2.gz FEQKOGMMOIJFTA-JTQLQIEISA-N 0 0 299.348 2.579 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(C(F)(F)F)c1)C1CC(O)C1 ZINC000353279436 220305162 /nfs/dbraw/zinc/30/51/62/220305162.db2.gz ZGFLCIAJKBLBPY-UHFFFAOYSA-N 0 0 290.241 2.573 20 5 CFBDRN CC[C@@H](C)N(CC(=O)OC)Cc1ccc([N+](=O)[O-])cc1F ZINC000281140759 195121757 /nfs/dbraw/zinc/12/17/57/195121757.db2.gz DRTYPWKBQYCKIH-SNVBAGLBSA-N 0 0 298.314 2.507 20 5 CFBDRN CCN(C)C(=O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000268065121 384521687 /nfs/dbraw/zinc/52/16/87/384521687.db2.gz BRLIPHATCVLYEX-UHFFFAOYSA-N 0 0 288.303 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC([C@H]3CCOC3)C2)c2ccncc21 ZINC000366479283 285120448 /nfs/dbraw/zinc/12/04/48/285120448.db2.gz PGUKRIWFKMAJQA-NSHDSACASA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1ccc(CNc2c3c(ccc2[N+](=O)[O-])NCC3)cn1 ZINC000278304052 384522805 /nfs/dbraw/zinc/52/28/05/384522805.db2.gz GVXAGPJVMUIQSM-UHFFFAOYSA-N 0 0 284.319 2.878 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCC(C)(C)CC1 ZINC000268095583 384530623 /nfs/dbraw/zinc/53/06/23/384530623.db2.gz VROOFXUXBYNVEH-UHFFFAOYSA-N 0 0 279.340 2.964 20 5 CFBDRN CC[C@H](OC)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278334221 384531297 /nfs/dbraw/zinc/53/12/97/384531297.db2.gz ZVSJDPCSYARCLI-LURJTMIESA-N 0 0 269.282 2.804 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000268146560 384544734 /nfs/dbraw/zinc/54/47/34/384544734.db2.gz SUGCLZAMYPQKQQ-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@H]1CCN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000268167916 384551888 /nfs/dbraw/zinc/55/18/88/384551888.db2.gz SAPXCPBFAOLZSS-VIFPVBQESA-N 0 0 297.742 2.800 20 5 CFBDRN COc1nc(C)cc(Oc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000359707835 220315895 /nfs/dbraw/zinc/31/58/95/220315895.db2.gz TVJJCEGZYGDRHB-UHFFFAOYSA-N 0 0 293.254 2.942 20 5 CFBDRN COc1cc(NC[C@@H](C)C[C@H](C)O)c([N+](=O)[O-])cc1F ZINC000268131354 384538532 /nfs/dbraw/zinc/53/85/32/384538532.db2.gz ASODFIRJSARSDC-IUCAKERBSA-N 0 0 286.303 2.561 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)C[C@H](C2CC2)O1 ZINC000279382846 384619968 /nfs/dbraw/zinc/61/99/68/384619968.db2.gz SXBVOTRRNDVWMF-MEBBXXQBSA-N 0 0 294.326 2.733 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C[C@H](C2CC2)O1 ZINC000279385990 384621717 /nfs/dbraw/zinc/62/17/17/384621717.db2.gz TYBPNRXPJBCYBO-IAQYHMDHSA-N 0 0 276.336 2.594 20 5 CFBDRN CO[C@@]1(C)CCCN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000279389509 384622067 /nfs/dbraw/zinc/62/20/67/384622067.db2.gz UFOQBCRXVGDIJM-AWEZNQCLSA-N 0 0 282.315 2.735 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2C)O1 ZINC000268326444 384602060 /nfs/dbraw/zinc/60/20/60/384602060.db2.gz SOPRDFTWYLZFKT-ZWNOBZJWSA-N 0 0 279.292 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H](C)C2CC2)c1 ZINC000281150892 195125653 /nfs/dbraw/zinc/12/56/53/195125653.db2.gz HKKWSRVDFNYPMO-LLVKDONJSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(CCOC3)C2)c2ncccc12 ZINC000301620504 285131395 /nfs/dbraw/zinc/13/13/95/285131395.db2.gz XQCMWCGRTHZDJR-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN Cc1cccnc1N(C)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000279497786 384657550 /nfs/dbraw/zinc/65/75/50/384657550.db2.gz PLMQIPKYJPVQBF-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCOC2)c(Cl)c1 ZINC000110445203 285134730 /nfs/dbraw/zinc/13/47/30/285134730.db2.gz IWFDRDPDAKEXFV-MRVPVSSYSA-N 0 0 256.689 2.839 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1CCSC1 ZINC000279605667 384693318 /nfs/dbraw/zinc/69/33/18/384693318.db2.gz RLFRUIREAZYSFV-JTQLQIEISA-N 0 0 295.364 2.778 20 5 CFBDRN CC1(CCNC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)CC1 ZINC000279621374 384696438 /nfs/dbraw/zinc/69/64/38/384696438.db2.gz CLJJBYSXWRCHQI-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3CCC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000268186061 285134410 /nfs/dbraw/zinc/13/44/10/285134410.db2.gz DDQPPPLKJMFZAE-NXEZZACHSA-N 0 0 277.280 2.739 20 5 CFBDRN CCCN(CC)C(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000279704235 384728766 /nfs/dbraw/zinc/72/87/66/384728766.db2.gz KAISQAWFMKRNTL-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCC[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000269497878 384767814 /nfs/dbraw/zinc/76/78/14/384767814.db2.gz MQHSXSSBMLOUHT-NXEZZACHSA-N 0 0 294.355 2.702 20 5 CFBDRN C[C@@H](CNc1c(F)cc([N+](=O)[O-])cc1F)C[C@H](C)O ZINC000269643583 384817648 /nfs/dbraw/zinc/81/76/48/384817648.db2.gz OTNBPANVHPXVDQ-SFYZADRCSA-N 0 0 274.267 2.692 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000269579950 384794582 /nfs/dbraw/zinc/79/45/82/384794582.db2.gz PMENNMSQICHXIK-SNVBAGLBSA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000269586643 384796128 /nfs/dbraw/zinc/79/61/28/384796128.db2.gz BJBLLLJODLRRMH-PHIMTYICSA-N 0 0 276.336 2.560 20 5 CFBDRN C[C@H](CNc1c(F)cc([N+](=O)[O-])cc1F)Cn1ccnc1 ZINC000269601655 384800056 /nfs/dbraw/zinc/80/00/56/384800056.db2.gz AQUQCNRDKGDPNE-SECBINFHSA-N 0 0 296.277 2.818 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000269832272 384876110 /nfs/dbraw/zinc/87/61/10/384876110.db2.gz TTWOLQCOEWZXPD-UHFFFAOYSA-N 0 0 299.330 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]cc(-c3nc(-c4ncc[nH]4)no3)c2c1 ZINC000349756813 285141422 /nfs/dbraw/zinc/14/14/22/285141422.db2.gz OUBDCLLKJIWUII-UHFFFAOYSA-N 0 0 296.246 2.516 20 5 CFBDRN CCC(C)(C)C(=O)COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000289292193 197286084 /nfs/dbraw/zinc/28/60/84/197286084.db2.gz BFMQBWXCLLXVBV-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN COc1cc(OCCc2csc(C)n2)ccc1[N+](=O)[O-] ZINC000280000173 384845211 /nfs/dbraw/zinc/84/52/11/384845211.db2.gz RXSPYLMXISFPKJ-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N(C)CCC1CC1 ZINC000280022389 384852922 /nfs/dbraw/zinc/85/29/22/384852922.db2.gz KLNSOKRQWOTCGF-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)CCC2CCCC2)cc1 ZINC000280031345 384856451 /nfs/dbraw/zinc/85/64/51/384856451.db2.gz VXOSQRDMLRFMOY-UHFFFAOYSA-N 0 0 283.349 2.949 20 5 CFBDRN COCC(C)(C)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000270036072 384952865 /nfs/dbraw/zinc/95/28/65/384952865.db2.gz MWMNQYCVAZEBOD-UHFFFAOYSA-N 0 0 277.280 2.569 20 5 CFBDRN CC1(CCNC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000280126525 384894500 /nfs/dbraw/zinc/89/45/00/384894500.db2.gz UZGYCXRDFHLABM-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN Cc1ccc(N[C@H]2CCN(c3ccccn3)C2)c([N+](=O)[O-])c1 ZINC000269891993 384896558 /nfs/dbraw/zinc/89/65/58/384896558.db2.gz WGDKQBJZAFJVEB-ZDUSSCGKSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1cccc(CCNc2nccc(C)c2[N+](=O)[O-])n1 ZINC000280150885 384906004 /nfs/dbraw/zinc/90/60/04/384906004.db2.gz CJRRXRWRSIQXCJ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC=C(C)CC2)c1 ZINC000270053649 384959835 /nfs/dbraw/zinc/95/98/35/384959835.db2.gz FHUHWNSSQAIVKZ-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H](O)CCC(C)C ZINC000280285765 384960039 /nfs/dbraw/zinc/96/00/39/384960039.db2.gz MEFWRZOGPVDPCB-LBPRGKRZSA-N 0 0 283.324 2.779 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC=CCC1 ZINC000280249052 384944032 /nfs/dbraw/zinc/94/40/32/384944032.db2.gz BXBSOEPXXRXQFZ-NSHDSACASA-N 0 0 290.319 2.832 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC=CCC1 ZINC000280249040 384944577 /nfs/dbraw/zinc/94/45/77/384944577.db2.gz BXBSOEPXXRXQFZ-LLVKDONJSA-N 0 0 290.319 2.832 20 5 CFBDRN CC(C)CSCCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000270226410 385021327 /nfs/dbraw/zinc/02/13/27/385021327.db2.gz PLGBWUCIRIDCSR-UHFFFAOYSA-N 0 0 296.392 2.643 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCCc2ccc(F)cc21 ZINC000280317473 384971887 /nfs/dbraw/zinc/97/18/87/384971887.db2.gz SUIYZZZNMBCZCW-LBPRGKRZSA-N 0 0 290.298 2.957 20 5 CFBDRN COc1cc(OC[C@@H](O)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000280324653 384974776 /nfs/dbraw/zinc/97/47/76/384974776.db2.gz PRTOZYRELYKWMG-CQSZACIVSA-N 0 0 295.335 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CCOC3(CCC3)C2)c1 ZINC000297515390 285147360 /nfs/dbraw/zinc/14/73/60/285147360.db2.gz OCHPTLJNKCEZPH-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN C[C@H]([NH2+]Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CCC1 ZINC000280564552 385063832 /nfs/dbraw/zinc/06/38/32/385063832.db2.gz LEIZBTULZJCVAF-VIFPVBQESA-N 0 0 274.324 2.749 20 5 CFBDRN CC1=CCN([C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000270367092 385074347 /nfs/dbraw/zinc/07/43/47/385074347.db2.gz SRUFXHXMYRQECO-LBPRGKRZSA-N 0 0 289.335 2.574 20 5 CFBDRN CC1=CCN([C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])CC1 ZINC000270372997 385076573 /nfs/dbraw/zinc/07/65/73/385076573.db2.gz UOQNLQDRGSBJTQ-GFCCVEGCSA-N 0 0 289.335 2.574 20 5 CFBDRN CC[C@@](C)(OC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000280601920 385079021 /nfs/dbraw/zinc/07/90/21/385079021.db2.gz VGFHQEIFJMKWNG-CYBMUJFWSA-N 0 0 266.297 2.657 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H]2CCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000280750636 385140192 /nfs/dbraw/zinc/14/01/92/385140192.db2.gz PMMGPENQRUAYCD-ABAIWWIYSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(=O)N1CCC[C@H](CNc2cccc(C)c2[N+](=O)[O-])C1 ZINC000280631803 385091667 /nfs/dbraw/zinc/09/16/67/385091667.db2.gz ZZCXCFFEBGYPAL-CYBMUJFWSA-N 0 0 291.351 2.574 20 5 CFBDRN CN(C)c1ccccc1CN(C)c1ncccc1[N+](=O)[O-] ZINC000289165730 197250721 /nfs/dbraw/zinc/25/07/21/197250721.db2.gz USMRGCGJWLNTCJ-UHFFFAOYSA-N 0 0 286.335 2.692 20 5 CFBDRN CCc1nnc([C@@H](C)Nc2c(F)cc([N+](=O)[O-])cc2F)[nH]1 ZINC000270701133 385197079 /nfs/dbraw/zinc/19/70/79/385197079.db2.gz IMDAJVKTDMSKGA-ZCFIWIBFSA-N 0 0 297.265 2.727 20 5 CFBDRN CO[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000281682473 385200372 /nfs/dbraw/zinc/20/03/72/385200372.db2.gz QYUKGNLBRHVYTL-PSASIEDQSA-N 0 0 285.731 2.647 20 5 CFBDRN CC1=CCCN([C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000280824119 385168364 /nfs/dbraw/zinc/16/83/64/385168364.db2.gz NUAOSCKIGNHCCO-LBPRGKRZSA-N 0 0 289.335 2.574 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@@H](N2CC=CC2)C1 ZINC000292524369 285155053 /nfs/dbraw/zinc/15/50/53/285155053.db2.gz PHXUJXHWNVFJLX-LLVKDONJSA-N 0 0 293.754 2.699 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@H]1CCC(F)(F)C1 ZINC000280842243 385175527 /nfs/dbraw/zinc/17/55/27/385175527.db2.gz YYPHIGGICZSDRA-JTQLQIEISA-N 0 0 298.289 2.689 20 5 CFBDRN CC(C)[C@@H](C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289132110 197242264 /nfs/dbraw/zinc/24/22/64/197242264.db2.gz VJQSMQYPYXXFIR-GFCCVEGCSA-N 0 0 278.352 2.888 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000270797468 385229474 /nfs/dbraw/zinc/22/94/74/385229474.db2.gz SWNOTPQQSQPDHY-PWSUYJOCSA-N 0 0 279.340 2.995 20 5 CFBDRN CC[C@](C)(OC)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000289108830 197236855 /nfs/dbraw/zinc/23/68/55/197236855.db2.gz PHCVFFDOQLGDJC-NSHDSACASA-N 0 0 283.309 2.978 20 5 CFBDRN COc1ccc(Cn2cc(C)c([N+](=O)[O-])n2)cc1Cl ZINC000282178119 385238067 /nfs/dbraw/zinc/23/80/67/385238067.db2.gz FVUCTOCTSHYYHS-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN C[C@H](NC(=O)[C@H]1O[C@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000282194973 385244306 /nfs/dbraw/zinc/24/43/06/385244306.db2.gz PMFQVWOFSXOFSW-MIJXAVMKSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@H]1C[C@@H](CO)CCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000289097285 197234449 /nfs/dbraw/zinc/23/44/49/197234449.db2.gz VABJUFUOJQECIP-QWRGUYRKSA-N 0 0 298.770 2.841 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000270884862 385257991 /nfs/dbraw/zinc/25/79/91/385257991.db2.gz PMQOQOTYUDHQNB-SNVBAGLBSA-N 0 0 266.297 2.705 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)/C=C/C1CC1 ZINC000282232104 385255666 /nfs/dbraw/zinc/25/56/66/385255666.db2.gz HWKJZKARNDQZOG-QPJJXVBHSA-N 0 0 264.256 2.947 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CC1CCOCC1 ZINC000282440792 385311107 /nfs/dbraw/zinc/31/11/07/385311107.db2.gz UXZBMANIFSHJFE-UHFFFAOYSA-N 0 0 296.298 2.798 20 5 CFBDRN CCC(CC)NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000282442595 385312658 /nfs/dbraw/zinc/31/26/58/385312658.db2.gz NDRDRQUPAJXTJV-UHFFFAOYSA-N 0 0 293.367 2.967 20 5 CFBDRN CCC[C@]1(CO)CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000289076929 197228815 /nfs/dbraw/zinc/22/88/15/197228815.db2.gz NXSBPIRJLOANGZ-AWEZNQCLSA-N 0 0 282.315 2.723 20 5 CFBDRN COc1cc(NC[C@@H](C)C[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000271058892 385324385 /nfs/dbraw/zinc/32/43/85/385324385.db2.gz BNXQFLZGBQGRSK-DTWKUNHWSA-N 0 0 286.303 2.561 20 5 CFBDRN COC1(CC(=O)Nc2cc([N+](=O)[O-])c(C)cc2F)CCC1 ZINC000282466156 385318620 /nfs/dbraw/zinc/31/86/20/385318620.db2.gz OXCMVNNPNVQISA-UHFFFAOYSA-N 0 0 296.298 2.940 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000282526993 385334238 /nfs/dbraw/zinc/33/42/38/385334238.db2.gz LLMOMGSSTRXVDJ-GBIKHYSHSA-N 0 0 278.308 2.655 20 5 CFBDRN Cc1cc(F)c(NC(=O)/C=C/C2CC2)cc1[N+](=O)[O-] ZINC000282545895 385338681 /nfs/dbraw/zinc/33/86/81/385338681.db2.gz WSOBMLYDRMDWMK-SNAWJCMRSA-N 0 0 264.256 2.947 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCO[C@H]2CCC[C@@H]21 ZINC000271120309 385340694 /nfs/dbraw/zinc/34/06/94/385340694.db2.gz JJQQAJIBJSZXMM-IRJXADNDSA-N 0 0 288.347 2.861 20 5 CFBDRN C[C@@]1(CCCO)CCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000271138118 385346679 /nfs/dbraw/zinc/34/66/79/385346679.db2.gz BBDXIEZEBFHTRQ-ZDUSSCGKSA-N 0 0 299.758 2.775 20 5 CFBDRN CCSCCCNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000282596022 385349023 /nfs/dbraw/zinc/34/90/23/385349023.db2.gz IDKTZXVWDNQGQY-UHFFFAOYSA-N 0 0 296.392 2.705 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CSC1(C)C ZINC000282673419 385367466 /nfs/dbraw/zinc/36/74/66/385367466.db2.gz PESJPENGBSEFDB-NSHDSACASA-N 0 0 295.364 2.919 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)CC)c1 ZINC000282694527 385372593 /nfs/dbraw/zinc/37/25/93/385372593.db2.gz RXMCUYGZHCUCDV-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CSC2(C)C)cccc1[N+](=O)[O-] ZINC000282694652 385372692 /nfs/dbraw/zinc/37/26/92/385372692.db2.gz SAYWMRQEVITXCP-NSHDSACASA-N 0 0 295.364 2.919 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000282764601 385384760 /nfs/dbraw/zinc/38/47/60/385384760.db2.gz VQCWDOWVFZDWKD-UWVGGRQHSA-N 0 0 299.710 2.973 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1ccc([N+](=O)[O-])cc1 ZINC000271572674 385385777 /nfs/dbraw/zinc/38/57/77/385385777.db2.gz CBOIVSKMQMTDAP-QWRGUYRKSA-N 0 0 250.298 2.599 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H]2C)c1 ZINC000282889762 385405595 /nfs/dbraw/zinc/40/55/95/385405595.db2.gz SFOVRNPEGOGKMP-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000272015384 385425736 /nfs/dbraw/zinc/42/57/36/385425736.db2.gz RAKLGDTWCURIBR-ZWNOBZJWSA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1cccc(OC[C@@H](O)C(C)(C)C)c1[N+](=O)[O-] ZINC000282946286 385414615 /nfs/dbraw/zinc/41/46/15/385414615.db2.gz HRKUCYNUYLZYIT-LLVKDONJSA-N 0 0 253.298 2.689 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000272107122 385449536 /nfs/dbraw/zinc/44/95/36/385449536.db2.gz IDRFZFNALHZCQC-FZMZJTMJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cn1ccc(C[N@H+](C)CCc2cccc([N+](=O)[O-])c2)c1 ZINC000283068466 385431373 /nfs/dbraw/zinc/43/13/73/385431373.db2.gz QBMZJYPKDLZTFM-UHFFFAOYSA-N 0 0 273.336 2.608 20 5 CFBDRN C[C@H]1CCC[C@@H]1CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288921733 197192881 /nfs/dbraw/zinc/19/28/81/197192881.db2.gz VBLBESBPLBUGDQ-WDEREUQCSA-N 0 0 277.324 2.779 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])C1CCC1 ZINC000272172590 385466048 /nfs/dbraw/zinc/46/60/48/385466048.db2.gz QIIBQFANAWNKRY-UHFFFAOYSA-N 0 0 282.727 2.707 20 5 CFBDRN CCCN(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272201213 385472423 /nfs/dbraw/zinc/47/24/23/385472423.db2.gz CYUGELLXMLPTRB-UHFFFAOYSA-N 0 0 299.758 2.842 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Sc1cn[nH]n1 ZINC000272200355 385473077 /nfs/dbraw/zinc/47/30/77/385473077.db2.gz MJPMPOYUDOPOOU-MRVPVSSYSA-N 0 0 264.310 2.875 20 5 CFBDRN CC(C)CC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272208174 385474968 /nfs/dbraw/zinc/47/49/68/385474968.db2.gz ZUUWXIMQCPVRNW-UHFFFAOYSA-N 0 0 284.743 2.953 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC=C(c3cccs3)CC2)nc1 ZINC000302145650 285171893 /nfs/dbraw/zinc/17/18/93/285171893.db2.gz HRNSEVDJTCPEHL-UHFFFAOYSA-N 0 0 288.332 2.740 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC=C(C(F)(F)F)CC2)s1 ZINC000337008855 285171917 /nfs/dbraw/zinc/17/19/17/285171917.db2.gz DDOQXJJPSYJLKC-UHFFFAOYSA-N 0 0 279.243 2.750 20 5 CFBDRN COCCC[C@@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000272223809 385478944 /nfs/dbraw/zinc/47/89/44/385478944.db2.gz NXQADZMFVSVEKX-VXGBXAGGSA-N 0 0 294.351 2.835 20 5 CFBDRN Cc1c(NCc2ncnn2CC(C)C)cccc1[N+](=O)[O-] ZINC000272225375 385480134 /nfs/dbraw/zinc/48/01/34/385480134.db2.gz SSVNFUZMSYSTCD-UHFFFAOYSA-N 0 0 289.339 2.763 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000283681108 385487335 /nfs/dbraw/zinc/48/73/35/385487335.db2.gz ZEJNCTYREKEZKW-UHIISALHSA-N 0 0 293.319 2.760 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1ccc([N+](=O)[O-])cc1 ZINC000283389349 385461013 /nfs/dbraw/zinc/46/10/13/385461013.db2.gz DTPJSVLIVGHVOM-UHFFFAOYSA-N 0 0 275.312 2.553 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000272319040 385509048 /nfs/dbraw/zinc/50/90/48/385509048.db2.gz KMXUZGDTYINQJE-GHMZBOCLSA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283962609 385518151 /nfs/dbraw/zinc/51/81/51/385518151.db2.gz LWCPITGBQDXIHV-IUCAKERBSA-N 0 0 295.314 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC23CCOCC3)nc1 ZINC000283688118 385489222 /nfs/dbraw/zinc/48/92/22/385489222.db2.gz ZZCPBIBRHSATOZ-LBPRGKRZSA-N 0 0 277.324 2.751 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3cccc(F)c3C2)s1 ZINC000281864046 285178384 /nfs/dbraw/zinc/17/83/84/285178384.db2.gz KKECMVWSXNKPLW-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2[C@@H]3CCc4ccccc4[C@H]23)nc1 ZINC000363885207 285180271 /nfs/dbraw/zinc/18/02/71/285180271.db2.gz HZZDYQXWMWESNP-ZNMIVQPWSA-N 0 0 296.330 2.773 20 5 CFBDRN CO[C@@H](C)CN(C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000285584793 385556165 /nfs/dbraw/zinc/55/61/65/385556165.db2.gz IICZMMKWGFXXNM-VIFPVBQESA-N 0 0 272.732 2.715 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@H]2F)c(Cl)c1 ZINC000338840835 285182484 /nfs/dbraw/zinc/18/24/84/285182484.db2.gz DYXVPHVQIIFDDW-BDAKNGLRSA-N 0 0 259.668 2.946 20 5 CFBDRN C[N@@H+](Cc1ccc([N+](=O)[O-])cc1F)C[C@H]1CCCC[C@H]1O ZINC000272537179 385560462 /nfs/dbraw/zinc/56/04/62/385560462.db2.gz NDTFEWJQFVZWEU-IUODEOHRSA-N 0 0 296.342 2.717 20 5 CFBDRN C[N@@H+](Cc1ccc([N+](=O)[O-])cc1F)C[C@H]1CCCC[C@@H]1O ZINC000272537174 385560756 /nfs/dbraw/zinc/56/07/56/385560756.db2.gz NDTFEWJQFVZWEU-DOMZBBRYSA-N 0 0 296.342 2.717 20 5 CFBDRN COC(=O)c1cc(C)nc(N(C)[C@H](C)C(C)C)c1[N+](=O)[O-] ZINC000286123893 385562073 /nfs/dbraw/zinc/56/20/73/385562073.db2.gz MNEUEONPTVHXLI-SNVBAGLBSA-N 0 0 295.339 2.566 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1CCCOC1 ZINC000130384654 285187296 /nfs/dbraw/zinc/18/72/96/285187296.db2.gz MZZKITLWAMMZFV-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CCOC[C@H]1C ZINC000286264619 385579986 /nfs/dbraw/zinc/57/99/86/385579986.db2.gz FTQLRFVWLVPFJO-VXGBXAGGSA-N 0 0 264.325 2.988 20 5 CFBDRN COCCCCCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286273454 385581373 /nfs/dbraw/zinc/58/13/73/385581373.db2.gz NVLXQBPLDCMLBK-UHFFFAOYSA-N 0 0 296.352 2.828 20 5 CFBDRN CC1(C)CC[C@@H]1Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000286282322 385582200 /nfs/dbraw/zinc/58/22/00/385582200.db2.gz DZXKQRRPFALUOI-LBPRGKRZSA-N 0 0 298.364 2.599 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272650012 385582504 /nfs/dbraw/zinc/58/25/04/385582504.db2.gz DYHXVYZQXVUJHG-ZJUUUORDSA-N 0 0 278.312 2.785 20 5 CFBDRN COc1ccc(NC(=O)C[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000272785901 385614158 /nfs/dbraw/zinc/61/41/58/385614158.db2.gz IKQABXBKDYJBQA-LLVKDONJSA-N 0 0 294.307 2.501 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)C[C@@H](C)O1 ZINC000286431634 385619067 /nfs/dbraw/zinc/61/90/67/385619067.db2.gz BQGRQSOBGFIJCZ-ZWNOBZJWSA-N 0 0 292.335 2.801 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000286445515 385622397 /nfs/dbraw/zinc/62/23/97/385622397.db2.gz RPJAMVRQDAJRHW-PWSUYJOCSA-N 0 0 292.335 2.882 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000288713868 197150771 /nfs/dbraw/zinc/15/07/71/197150771.db2.gz CQJAFNZKAKRILM-CQSZACIVSA-N 0 0 282.315 2.723 20 5 CFBDRN O=C(CCNc1c(F)cccc1[N+](=O)[O-])N1CCCCC1 ZINC000286451571 385624503 /nfs/dbraw/zinc/62/45/03/385624503.db2.gz ROQXXMCEQQMYFC-UHFFFAOYSA-N 0 0 295.314 2.548 20 5 CFBDRN CCC(=O)c1ccc(N(C)[C@@H]2CCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000286406465 385611093 /nfs/dbraw/zinc/61/10/93/385611093.db2.gz PYWDXVGOMPIHDZ-IUODEOHRSA-N 0 0 292.335 2.537 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CCC[C@H]1O ZINC000286523462 385640786 /nfs/dbraw/zinc/64/07/86/385640786.db2.gz ZWDWBCWZFFEMRW-VXGBXAGGSA-N 0 0 270.716 2.598 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CCCCS2)c([N+](=O)[O-])c1 ZINC000272946653 385663692 /nfs/dbraw/zinc/66/36/92/385663692.db2.gz JUKCNXALRSPQSR-GFCCVEGCSA-N 0 0 296.348 2.828 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CCCCS2)c([N+](=O)[O-])c1 ZINC000272946658 385663919 /nfs/dbraw/zinc/66/39/19/385663919.db2.gz JUKCNXALRSPQSR-LBPRGKRZSA-N 0 0 296.348 2.828 20 5 CFBDRN CCOc1cccc(N2C[C@@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000273129565 385671802 /nfs/dbraw/zinc/67/18/02/385671802.db2.gz BGRTUQNRTIQIMM-PHIMTYICSA-N 0 0 280.324 2.607 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000286640447 385674261 /nfs/dbraw/zinc/67/42/61/385674261.db2.gz HUSHHWFPFPKLSQ-ZDUSSCGKSA-N 0 0 291.351 2.625 20 5 CFBDRN CO[C@H](C)CN(C)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000286692843 385687166 /nfs/dbraw/zinc/68/71/66/385687166.db2.gz ZDPXHQMMJBHUOA-MRVPVSSYSA-N 0 0 290.266 2.667 20 5 CFBDRN Cc1c(CC(=O)N[C@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000288644225 197135793 /nfs/dbraw/zinc/13/57/93/197135793.db2.gz QSGJRRPSHYFINZ-MRVPVSSYSA-N 0 0 290.241 2.513 20 5 CFBDRN CCOCC(C)(C)CNc1ncc([N+](=O)[O-])s1 ZINC000288844053 197176947 /nfs/dbraw/zinc/17/69/47/197176947.db2.gz JHLRNNGFIKMANF-UHFFFAOYSA-N 0 0 259.331 2.526 20 5 CFBDRN C[C@@H]1[C@H](Cc2ccccc2)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000273646402 385692434 /nfs/dbraw/zinc/69/24/34/385692434.db2.gz TVOHLZSGRQFZQV-OCCSQVGLSA-N 0 0 298.346 2.842 20 5 CFBDRN Cc1ccc(NCCC2(O)CCC2)c([N+](=O)[O-])c1 ZINC000286735555 385697720 /nfs/dbraw/zinc/69/77/20/385697720.db2.gz NAUUCDYZJZCMSE-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1CC2(C1)CCCCC2 ZINC000274070442 385713472 /nfs/dbraw/zinc/71/34/72/385713472.db2.gz VAIZOQGVEOPIFD-UHFFFAOYSA-N 0 0 290.323 2.788 20 5 CFBDRN CCOC1CC(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000286624725 385668821 /nfs/dbraw/zinc/66/88/21/385668821.db2.gz JHSZQTJGEPQCQA-PWQPVHBWSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])CC1(C)C ZINC000274178379 385734401 /nfs/dbraw/zinc/73/44/01/385734401.db2.gz JHOIKOUDFZPGHY-SNVBAGLBSA-N 0 0 291.351 2.685 20 5 CFBDRN CO[C@@H](C)CCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000274237365 385745594 /nfs/dbraw/zinc/74/55/94/385745594.db2.gz FTJJRMMIFFXYOM-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN CC(C)(C(=O)NCCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000286956769 385749103 /nfs/dbraw/zinc/74/91/03/385749103.db2.gz DBQAICRNYBUMRT-UHFFFAOYSA-N 0 0 291.351 2.559 20 5 CFBDRN COCC(C)(C)CCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000287070355 385773896 /nfs/dbraw/zinc/77/38/96/385773896.db2.gz VGIICNJAIVXVNA-UHFFFAOYSA-N 0 0 294.351 2.777 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000287091192 385779732 /nfs/dbraw/zinc/77/97/32/385779732.db2.gz YQPPIJIADYVACV-TZMCWYRMSA-N 0 0 278.308 2.513 20 5 CFBDRN CCc1nn(C)c(Nc2ccc(OC)cc2)c1[N+](=O)[O-] ZINC000288612786 197127743 /nfs/dbraw/zinc/12/77/43/197127743.db2.gz PBJABJGTFAKLSC-UHFFFAOYSA-N 0 0 276.296 2.643 20 5 CFBDRN CCC(CC)c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000274103999 385719552 /nfs/dbraw/zinc/71/95/52/385719552.db2.gz ZNWYHNMURUJQID-UHFFFAOYSA-N 0 0 250.258 2.877 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000287182016 385798651 /nfs/dbraw/zinc/79/86/51/385798651.db2.gz OPRJSIZVGCWBPZ-LLVKDONJSA-N 0 0 298.289 2.991 20 5 CFBDRN COC[C@@H](C)N(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000171987546 285203912 /nfs/dbraw/zinc/20/39/12/285203912.db2.gz HFRXNJOTBCRQRS-MRVPVSSYSA-N 0 0 258.705 2.719 20 5 CFBDRN COc1cccc(N2CCOC3(CCCC3)C2)c1[N+](=O)[O-] ZINC000274711958 385855447 /nfs/dbraw/zinc/85/54/47/385855447.db2.gz UVXFJSUOYAYLPT-UHFFFAOYSA-N 0 0 292.335 2.753 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H](CCO)c2ccco2)c(F)c1 ZINC000274424554 385795118 /nfs/dbraw/zinc/79/51/18/385795118.db2.gz QZBLJFRFKDXJKC-CYBMUJFWSA-N 0 0 294.282 2.540 20 5 CFBDRN COc1cc(N[C@H]2CCC[C@H]2CCO)c([N+](=O)[O-])cc1F ZINC000275000159 385909356 /nfs/dbraw/zinc/90/93/56/385909356.db2.gz GKYXEZNSLOLHBM-ONGXEEELSA-N 0 0 298.314 2.706 20 5 CFBDRN CN(CC1(O)CCCCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000275027648 385914888 /nfs/dbraw/zinc/91/48/88/385914888.db2.gz NNMDCBIHODCFNE-UHFFFAOYSA-N 0 0 279.340 2.511 20 5 CFBDRN COC(=O)C1(CNc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000275031639 385916478 /nfs/dbraw/zinc/91/64/78/385916478.db2.gz WITBEJHUBWTRSE-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1CCC[C@H]1CCO ZINC000275068090 385925762 /nfs/dbraw/zinc/92/57/62/385925762.db2.gz YKNCBUVMCSMGSU-ONGXEEELSA-N 0 0 268.288 2.697 20 5 CFBDRN CNC(=O)c1ccc(N2CCCC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000274795837 385873254 /nfs/dbraw/zinc/87/32/54/385873254.db2.gz ZOHYWKZGAVNGNG-NSHDSACASA-N 0 0 291.351 2.581 20 5 CFBDRN Cc1cc(NC(=O)NOC2CCCC2)ccc1[N+](=O)[O-] ZINC000274825807 385879373 /nfs/dbraw/zinc/87/93/73/385879373.db2.gz PSLFRLYPGUUFIC-UHFFFAOYSA-N 0 0 279.296 2.899 20 5 CFBDRN C[C@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])CCC1=O ZINC000276491718 385989771 /nfs/dbraw/zinc/98/97/71/385989771.db2.gz AUASLLZFQDHACL-QMMMGPOBSA-N 0 0 268.700 2.664 20 5 CFBDRN C[C@H](CO)Sc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276655036 386004520 /nfs/dbraw/zinc/00/45/20/386004520.db2.gz RERQZNRAIXCEMF-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN C[C@H](CCCO)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276663924 386007544 /nfs/dbraw/zinc/00/75/44/386007544.db2.gz SIMOZRHPEGDIBT-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CC[C@H](C)N(CCO)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000288481340 197089749 /nfs/dbraw/zinc/08/97/49/197089749.db2.gz LGGUNBLRTKVKRR-NSHDSACASA-N 0 0 289.335 2.740 20 5 CFBDRN CCOc1cc(CN2[C@@H](C)COC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000276990812 386100665 /nfs/dbraw/zinc/10/06/65/386100665.db2.gz ZZVZNKFUSKEVBL-RYUDHWBXSA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1ccnc(SC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000276890589 386071946 /nfs/dbraw/zinc/07/19/46/386071946.db2.gz KDKDWVLYXYAWRK-VIFPVBQESA-N 0 0 254.311 2.569 20 5 CFBDRN CCOc1cc(CN2CCOCC2(C)C)ccc1[N+](=O)[O-] ZINC000276910470 386077386 /nfs/dbraw/zinc/07/73/86/386077386.db2.gz NGAJURBMZIBGPK-UHFFFAOYSA-N 0 0 294.351 2.604 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCSC1 ZINC000288351204 197057600 /nfs/dbraw/zinc/05/76/00/197057600.db2.gz KEXLICCOOJWUDK-SECBINFHSA-N 0 0 266.322 2.715 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCC(F)(F)F ZINC000288284458 197043606 /nfs/dbraw/zinc/04/36/06/197043606.db2.gz BISGXYYOBKQPGX-UHFFFAOYSA-N 0 0 280.250 2.874 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1ccccn1 ZINC000288239595 197034494 /nfs/dbraw/zinc/03/44/94/197034494.db2.gz WWTGPSPKXHFEIQ-SECBINFHSA-N 0 0 275.312 2.688 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1S[C@@H](C)[C@@H](C)O ZINC000288196456 197024535 /nfs/dbraw/zinc/02/45/35/197024535.db2.gz CGRDXAGYFKLNEX-BDAKNGLRSA-N 0 0 299.348 2.551 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCOc1ccccc1 ZINC000288133949 197011620 /nfs/dbraw/zinc/01/16/20/197011620.db2.gz MVHCHTCJAKWCQD-UHFFFAOYSA-N 0 0 290.323 2.611 20 5 CFBDRN C[C@@H](NC(=O)NC[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000416691518 533174843 /nfs/dbraw/zinc/17/48/43/533174843.db2.gz SAQRTOYKUFYPBS-PWSUYJOCSA-N 0 0 289.335 2.755 20 5 CFBDRN COC[C@@H]1CCCN(c2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000450951076 285274365 /nfs/dbraw/zinc/27/43/65/285274365.db2.gz XBTYOZNRXJQQCO-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN CCCN(CC)C(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000281386410 195220904 /nfs/dbraw/zinc/22/09/04/195220904.db2.gz XNPDWLRTTTWAFX-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1Cn1cnc(Cl)n1 ZINC000286970657 196748189 /nfs/dbraw/zinc/74/81/89/196748189.db2.gz CAFUFQYCHVWJBK-UHFFFAOYSA-N 0 0 296.714 2.675 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000287006508 196756563 /nfs/dbraw/zinc/75/65/63/196756563.db2.gz QCKTYMCFLMNEFK-TXEJJXNPSA-N 0 0 293.323 2.544 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CC1(C)CC1 ZINC000428191753 533223837 /nfs/dbraw/zinc/22/38/37/533223837.db2.gz KWDRZDMQEJJSHR-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CC1(C)C ZINC000286660513 196669626 /nfs/dbraw/zinc/66/96/26/196669626.db2.gz OWOAUJSABYHFLR-CYBMUJFWSA-N 0 0 291.351 2.577 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000517745563 260017870 /nfs/dbraw/zinc/01/78/70/260017870.db2.gz FPGNDMIZKDETBY-QWRGUYRKSA-N 0 0 296.298 2.614 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])[C@H]1C ZINC000248730275 296440421 /nfs/dbraw/zinc/44/04/21/296440421.db2.gz JFXCYUHGYPGMPG-JFGNBEQYSA-N 0 0 291.351 2.732 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC1(C)C ZINC000286643148 196665431 /nfs/dbraw/zinc/66/54/31/196665431.db2.gz HWWPGBJFMNXCAM-LBPRGKRZSA-N 0 0 278.308 2.522 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1cc(C)no1 ZINC000080588856 177677326 /nfs/dbraw/zinc/67/73/26/177677326.db2.gz CDPBORHGQXLOPI-UHFFFAOYSA-N 0 0 277.280 2.650 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCc1cscn1 ZINC000418820225 533229312 /nfs/dbraw/zinc/22/93/12/533229312.db2.gz KULPPXSLMHUHBA-UHFFFAOYSA-N 0 0 280.305 2.681 20 5 CFBDRN C/C=C/C=C\C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000212857667 260038030 /nfs/dbraw/zinc/03/80/30/260038030.db2.gz OMSUWXAGPMXYCQ-IAROGAJJSA-N 0 0 250.229 2.805 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CCCC1(F)F ZINC000390917143 262363699 /nfs/dbraw/zinc/36/36/99/262363699.db2.gz FFXGDRKZHWCECN-MRVPVSSYSA-N 0 0 257.240 2.837 20 5 CFBDRN C[C@@H](O)[C@@H](C)Oc1ccc([N+](=O)[O-])c2ccccc12 ZINC000094654939 184835389 /nfs/dbraw/zinc/83/53/89/184835389.db2.gz PKHXBFLUIGIDKQ-NXEZZACHSA-N 0 0 261.277 2.896 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NC2(C)CCC2)c1 ZINC000281570196 195292843 /nfs/dbraw/zinc/29/28/43/195292843.db2.gz MDXUYHAESFAYSB-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN CO[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000281659757 195326168 /nfs/dbraw/zinc/32/61/68/195326168.db2.gz KSBSPGYOVCWPRY-GXSJLCMTSA-N 0 0 270.354 2.656 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000281718170 195349259 /nfs/dbraw/zinc/34/92/59/195349259.db2.gz FAEWTKCDLKZJAU-PSASIEDQSA-N 0 0 280.349 2.845 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CCCC(F)(F)C1 ZINC000281756858 195367264 /nfs/dbraw/zinc/36/72/64/195367264.db2.gz CHYXYDDMXMVWOV-QMMMGPOBSA-N 0 0 274.271 2.566 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000281794732 195384975 /nfs/dbraw/zinc/38/49/75/195384975.db2.gz LIYAQNWJYDIBTH-SMDDNHRTSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CCC(F)(F)C1 ZINC000281889640 195422178 /nfs/dbraw/zinc/42/21/78/195422178.db2.gz DMOKSIWAFNAQJK-MRVPVSSYSA-N 0 0 257.240 2.837 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000282335930 195579610 /nfs/dbraw/zinc/57/96/10/195579610.db2.gz GDKXGUOKWZFLRZ-CYBMUJFWSA-N 0 0 282.271 2.550 20 5 CFBDRN CON(Cc1ccc([N+](=O)[O-])c(F)c1)CC1CCOCC1 ZINC000282525433 195636418 /nfs/dbraw/zinc/63/64/18/195636418.db2.gz RAPUPBVRZVEQDS-UHFFFAOYSA-N 0 0 298.314 2.524 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000282689350 195681550 /nfs/dbraw/zinc/68/15/50/195681550.db2.gz PEAMHSHUYOETNZ-GHMZBOCLSA-N 0 0 279.292 2.628 20 5 CFBDRN O=c1[nH]c(-c2ccncn2)nc2cc3ccccc3cc21 ZINC000345307544 285399294 /nfs/dbraw/zinc/39/92/94/285399294.db2.gz FVBVDZOILDOQIV-UHFFFAOYSA-N 0 0 274.283 2.946 20 5 CFBDRN COC(=O)[C@]1(C)CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000413585428 291970943 /nfs/dbraw/zinc/97/09/43/291970943.db2.gz FJSLHJCWYNZPDM-OAHLLOKOSA-N 0 0 292.335 2.683 20 5 CFBDRN CO[C@@H](C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)C1CC1 ZINC000414785098 533320617 /nfs/dbraw/zinc/32/06/17/533320617.db2.gz ISLYACQHVUTPKW-CYBMUJFWSA-N 0 0 278.308 2.575 20 5 CFBDRN CSCCN(C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000176335259 533577721 /nfs/dbraw/zinc/57/77/21/533577721.db2.gz PLKVIOCRKVHDCY-JTQLQIEISA-N 0 0 297.380 2.660 20 5 CFBDRN COc1cccc(NC[C@@H]2C[C@@H](C)O[C@H]2C)c1[N+](=O)[O-] ZINC000413542670 533618440 /nfs/dbraw/zinc/61/84/40/533618440.db2.gz XDCIJICQNSCFKY-VWYCJHECSA-N 0 0 280.324 2.829 20 5 CFBDRN COc1cccc(N[C@H]2C[C@@H](OC(C)C)C2)c1[N+](=O)[O-] ZINC000413378394 533620483 /nfs/dbraw/zinc/62/04/83/533620483.db2.gz FOZFKQHSVCXWGF-PHIMTYICSA-N 0 0 280.324 2.971 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cn3c(n2)SCC3)cc1 ZINC000341600917 129905409 /nfs/dbraw/zinc/90/54/09/129905409.db2.gz CETSJRCVWVGAEV-UHFFFAOYSA-N 0 0 290.348 2.552 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC12CCC2 ZINC000366752504 130114986 /nfs/dbraw/zinc/11/49/86/130114986.db2.gz UMVRHEXVFBGDCS-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1F)c1ccon1 ZINC000341924284 130133800 /nfs/dbraw/zinc/13/38/00/130133800.db2.gz DYOCZYOBBHPQCY-ZETCQYMHSA-N 0 0 251.217 2.895 20 5 CFBDRN COCC[C@@H]1CCCCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000341949235 130155609 /nfs/dbraw/zinc/15/56/09/130155609.db2.gz MLVZAABWYQQOBG-LBPRGKRZSA-N 0 0 279.340 2.633 20 5 CFBDRN C[C@@H]1OCCN(c2ccc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000301721972 288878102 /nfs/dbraw/zinc/87/81/02/288878102.db2.gz RDHFZPBQGOIAQK-IUCAKERBSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000342016685 130201108 /nfs/dbraw/zinc/20/11/08/130201108.db2.gz LLHBOYQXABPVTQ-KOLCDFICSA-N 0 0 298.364 2.510 20 5 CFBDRN COc1cccc(N[C@H](C)C[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000355411757 130300458 /nfs/dbraw/zinc/30/04/58/130300458.db2.gz KCDWFQVHIYQPOD-GHMZBOCLSA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])C1CC1 ZINC000342175751 130310791 /nfs/dbraw/zinc/31/07/91/130310791.db2.gz IRJIBWYBVYMMHH-VIFPVBQESA-N 0 0 289.335 2.697 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(Cc3ccco3)n2)c1 ZINC000355543371 130312746 /nfs/dbraw/zinc/31/27/46/130312746.db2.gz FOYCEIANKFDCMS-UHFFFAOYSA-N 0 0 271.232 2.829 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H](C)[C@@H](C)OC)ccc1[N+](=O)[O-] ZINC000191902139 130326114 /nfs/dbraw/zinc/32/61/14/130326114.db2.gz WXZSTSSLXIBWCB-VHSXEESVSA-N 0 0 296.323 2.607 20 5 CFBDRN CO[C@@H](C)CCc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355684525 130334212 /nfs/dbraw/zinc/33/42/12/130334212.db2.gz ZCBYIUHODQJCKC-JTQLQIEISA-N 0 0 291.307 2.536 20 5 CFBDRN Cc1cnc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000355837512 130345884 /nfs/dbraw/zinc/34/58/84/130345884.db2.gz VAFOQAQUXNDCJM-SECBINFHSA-N 0 0 290.279 2.774 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CCO[C@H]2C)cc1[N+](=O)[O-] ZINC000355945263 130357530 /nfs/dbraw/zinc/35/75/30/130357530.db2.gz OQXSRUIJELTMQQ-GMXVVIOVSA-N 0 0 292.335 2.505 20 5 CFBDRN CCc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)n[nH]1 ZINC000356113239 130375644 /nfs/dbraw/zinc/37/56/44/130375644.db2.gz MTARSEHSDZVTKS-UHFFFAOYSA-N 0 0 285.263 2.597 20 5 CFBDRN Cc1ncsc1CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000356215188 130386579 /nfs/dbraw/zinc/38/65/79/130386579.db2.gz LPAUFIQJVRWGDR-UHFFFAOYSA-N 0 0 282.300 2.693 20 5 CFBDRN CC1CCC(c2noc(Cn3ccc([N+](=O)[O-])n3)n2)CC1 ZINC000356214817 130386636 /nfs/dbraw/zinc/38/66/36/130386636.db2.gz IFSOQHUZTMYJQO-UHFFFAOYSA-N 0 0 291.311 2.516 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000356554819 130390009 /nfs/dbraw/zinc/39/00/09/130390009.db2.gz VOWLAXRPLDCQNP-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000356555943 130390136 /nfs/dbraw/zinc/39/01/36/130390136.db2.gz ABVSOQZMMFWSNX-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCSc1cccc(F)c1 ZINC000342215730 130390249 /nfs/dbraw/zinc/39/02/49/130390249.db2.gz QCHZOTPTOHTLJK-UHFFFAOYSA-N 0 0 294.307 2.688 20 5 CFBDRN Cc1c(Cc2nc(-c3ccon3)no2)cccc1[N+](=O)[O-] ZINC000357386116 130458568 /nfs/dbraw/zinc/45/85/68/130458568.db2.gz YLWZLLDFSVINKD-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN C[C@@H]1C[C@H](c2noc(-c3ccc([N+](=O)[O-])s3)n2)CCO1 ZINC000357463214 130475764 /nfs/dbraw/zinc/47/57/64/130475764.db2.gz DIRSXUGQPVUWAP-HTQZYQBOSA-N 0 0 295.320 2.989 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)NC1CCCCC1 ZINC000357485548 130478090 /nfs/dbraw/zinc/47/80/90/130478090.db2.gz OUXLMMBHUJKFOO-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN CCOc1cccc(NCCC[C@@H](O)CC)c1[N+](=O)[O-] ZINC000357508370 130482998 /nfs/dbraw/zinc/48/29/98/130482998.db2.gz HDEJQMDCENYASK-NSHDSACASA-N 0 0 282.340 2.957 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc([C@@H](C)C2CC2)no1 ZINC000357546043 130491828 /nfs/dbraw/zinc/49/18/28/130491828.db2.gz KCSBNNOLSSLSIS-ZETCQYMHSA-N 0 0 274.280 2.862 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC(F)(F)C1 ZINC000359323793 130508119 /nfs/dbraw/zinc/50/81/19/130508119.db2.gz RCNMTUKVYFXCME-LLVKDONJSA-N 0 0 298.289 2.689 20 5 CFBDRN O=C(Nc1nc(C2CC2)c[nH]1)c1csc([N+](=O)[O-])c1 ZINC000359373144 130511697 /nfs/dbraw/zinc/51/16/97/130511697.db2.gz YHCROYZWHWUBRD-UHFFFAOYSA-N 0 0 278.293 2.509 20 5 CFBDRN CCC(=O)c1ccc(NC2CCC(O)CC2)c([N+](=O)[O-])c1 ZINC000359592203 130523858 /nfs/dbraw/zinc/52/38/58/130523858.db2.gz RIWRZCZGMFMGGQ-UHFFFAOYSA-N 0 0 292.335 2.903 20 5 CFBDRN O=C(CNc1cc(F)cc([N+](=O)[O-])c1)NC1CCCCC1 ZINC000359623274 130526205 /nfs/dbraw/zinc/52/62/05/130526205.db2.gz UNSBDIBULTXMPB-UHFFFAOYSA-N 0 0 295.314 2.595 20 5 CFBDRN CC(C)(C)CCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000359703879 130532213 /nfs/dbraw/zinc/53/22/13/130532213.db2.gz BBFCOLGESYTBHE-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1cc(N2C[C@H](C)O[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000359714290 130533201 /nfs/dbraw/zinc/53/32/01/130533201.db2.gz JCYUGIGYLCFJSG-XHDPSFHLSA-N 0 0 276.336 2.907 20 5 CFBDRN O=C(Nc1nccc2sccc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000359722924 130534032 /nfs/dbraw/zinc/53/40/32/130534032.db2.gz VZGSBDFHTYYKRD-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1n[nH]cc1Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000359892808 130559644 /nfs/dbraw/zinc/55/96/44/130559644.db2.gz BIVNIKUYEDQJOB-UHFFFAOYSA-N 0 0 250.233 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCO[C@H]1CCCCO1)CC2 ZINC000193118704 130584909 /nfs/dbraw/zinc/58/49/09/130584909.db2.gz BBALVMVIMNYVSZ-HNNXBMFYSA-N 0 0 292.335 2.501 20 5 CFBDRN CC(C)C[C@@H](CCO)CNc1cc(N)ccc1[N+](=O)[O-] ZINC000193461558 130624682 /nfs/dbraw/zinc/62/46/82/130624682.db2.gz YIAMMTLJSMEGFV-LLVKDONJSA-N 0 0 281.356 2.634 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCO[C@@H]1CCCCO1 ZINC000194710040 130710707 /nfs/dbraw/zinc/71/07/07/130710707.db2.gz YXUWISIOVNGHMU-CYBMUJFWSA-N 0 0 267.281 2.517 20 5 CFBDRN COc1cc(NC[C@H]2CCCC[C@H]2O)c(F)cc1[N+](=O)[O-] ZINC000218862406 130770779 /nfs/dbraw/zinc/77/07/79/130770779.db2.gz MBGCXQJGQASPPV-NOZJJQNGSA-N 0 0 298.314 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOCC2CC2)c(F)c1 ZINC000221671332 130784156 /nfs/dbraw/zinc/78/41/56/130784156.db2.gz XANCDNXENGRCCP-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN Cc1ccnc(NCCCOCC(C)C)c1[N+](=O)[O-] ZINC000227115033 130837336 /nfs/dbraw/zinc/83/73/36/130837336.db2.gz LZSVRKHWTROBDZ-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN CCCN(CCC)C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000227420020 130845036 /nfs/dbraw/zinc/84/50/36/130845036.db2.gz JRLBYUOIXSSKQX-UHFFFAOYSA-N 0 0 283.303 2.578 20 5 CFBDRN COCCC(C)(C)CNc1nccc(C)c1[N+](=O)[O-] ZINC000230837407 130908299 /nfs/dbraw/zinc/90/82/99/130908299.db2.gz DYJRCIWUDSBJQS-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN CCC[C@@H](CCO)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000231149248 130913186 /nfs/dbraw/zinc/91/31/86/130913186.db2.gz KDHITLFPRLNETB-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H](C)[C@H](C)C1 ZINC000267654667 291995740 /nfs/dbraw/zinc/99/57/40/291995740.db2.gz MMOPQVVZDOBPKK-GHMZBOCLSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H]2CCC[C@H]21 ZINC000335893094 291995718 /nfs/dbraw/zinc/99/57/18/291995718.db2.gz WUIKRQSHRNWDKX-BXUZGUMPSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC2(CCO2)CC1 ZINC000377119117 291996207 /nfs/dbraw/zinc/99/62/07/291996207.db2.gz GZJCXMCUMIDDDR-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN COC[C@]1(C)CCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000295070250 285481774 /nfs/dbraw/zinc/48/17/74/285481774.db2.gz ZRVAOXFQMNMOKK-CQSZACIVSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)[C@H](C)C1 ZINC000267654671 291995903 /nfs/dbraw/zinc/99/59/03/291995903.db2.gz MMOPQVVZDOBPKK-PHIMTYICSA-N 0 0 262.309 2.631 20 5 CFBDRN CC/C(C)=C/C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000253457299 131143196 /nfs/dbraw/zinc/14/31/96/131143196.db2.gz BYICMQKDIUHEAD-VQHVLOKHSA-N 0 0 264.281 2.898 20 5 CFBDRN CN(CC(C)(C)C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000263773127 131327620 /nfs/dbraw/zinc/32/76/20/131327620.db2.gz MQUXVYXORNFLCG-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN CC[C@@H]1CN(c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000263796021 131332920 /nfs/dbraw/zinc/33/29/20/131332920.db2.gz ZEGBKLWBWBRSGM-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN COC(=O)/C=C\c1ccc(NC2CCC2)c([N+](=O)[O-])c1 ZINC000264544392 131467334 /nfs/dbraw/zinc/46/73/34/131467334.db2.gz QOJREGGGRSEGPR-VURMDHGXSA-N 0 0 276.292 2.745 20 5 CFBDRN CCO[C@H]1C[C@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000264588096 131472895 /nfs/dbraw/zinc/47/28/95/131472895.db2.gz DXMFBXXMJURRAX-MNOVXSKESA-N 0 0 275.264 2.537 20 5 CFBDRN CC1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000266137868 131689281 /nfs/dbraw/zinc/68/92/81/131689281.db2.gz GAUNXLGVPVAPHD-UHFFFAOYSA-N 0 0 265.313 2.575 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000267305954 131851982 /nfs/dbraw/zinc/85/19/82/131851982.db2.gz VZJZNAJXJIZTMU-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000267637145 131895112 /nfs/dbraw/zinc/89/51/12/131895112.db2.gz AAHYRJXADPTIRP-VIFPVBQESA-N 0 0 273.292 2.701 20 5 CFBDRN COC(=O)CCCSc1ncc([N+](=O)[O-])cc1Cl ZINC000268021290 131946828 /nfs/dbraw/zinc/94/68/28/131946828.db2.gz HYOYRHCLIJONGU-UHFFFAOYSA-N 0 0 290.728 2.689 20 5 CFBDRN Cc1nc(N(C)Cc2cccs2)ccc1[N+](=O)[O-] ZINC000268425809 132007005 /nfs/dbraw/zinc/00/70/05/132007005.db2.gz AFLXUHMFEXTNMW-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN COCc1nc(S[C@H](C)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000268507147 132019140 /nfs/dbraw/zinc/01/91/40/132019140.db2.gz VBGKJRSYUCKNIZ-MRVPVSSYSA-N 0 0 294.336 2.713 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)c1ccc(C)o1 ZINC000268515338 132020143 /nfs/dbraw/zinc/02/01/43/132020143.db2.gz TUYIURURDIMDMD-NSHDSACASA-N 0 0 291.307 2.999 20 5 CFBDRN Cc1nc(NC[C@](C)(O)c2ccsc2)ccc1[N+](=O)[O-] ZINC000268533346 132023229 /nfs/dbraw/zinc/02/32/29/132023229.db2.gz XSBBPPNUVDWBIA-ZDUSSCGKSA-N 0 0 293.348 2.679 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCO[C@@H]2C(C)C)n1 ZINC000268793032 132066993 /nfs/dbraw/zinc/06/69/93/132066993.db2.gz GZVIPUQPHOYJAG-WCQYABFASA-N 0 0 279.340 2.771 20 5 CFBDRN CCCc1nnc(Sc2ncc(C)cc2[N+](=O)[O-])o1 ZINC000268849147 132076844 /nfs/dbraw/zinc/07/68/44/132076844.db2.gz QCZRVIPFEHYGAR-UHFFFAOYSA-N 0 0 280.309 2.785 20 5 CFBDRN C[C@H]1OCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])[C@@H]1C ZINC000269056327 132105203 /nfs/dbraw/zinc/10/52/03/132105203.db2.gz SDZWFJQFPKEHES-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000269076533 132108488 /nfs/dbraw/zinc/10/84/88/132108488.db2.gz HBPMWKZEPDZGBS-LBPRGKRZSA-N 0 0 294.376 2.871 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](OCc2ccccn2)C1 ZINC000269165864 132123388 /nfs/dbraw/zinc/12/33/88/132123388.db2.gz PYUFTJFIVZZQKM-AWEZNQCLSA-N 0 0 299.330 2.785 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1cccc(F)c1[N+](=O)[O-] ZINC000269297979 132151343 /nfs/dbraw/zinc/15/13/43/132151343.db2.gz SKJWCTNNXVWESA-UHFFFAOYSA-N 0 0 264.260 2.828 20 5 CFBDRN CC(C)OCCCN(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000269348740 132158887 /nfs/dbraw/zinc/15/88/87/132158887.db2.gz FBFDNCNLSQEJFA-UHFFFAOYSA-N 0 0 287.747 2.895 20 5 CFBDRN CCCc1nc(Cn2c(C)ccc([N+](=O)[O-])c2=O)cs1 ZINC000269379507 132162782 /nfs/dbraw/zinc/16/27/82/132162782.db2.gz RQDKXJPFBBLCIH-UHFFFAOYSA-N 0 0 293.348 2.522 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CC23CCCCC3)c1[N+](=O)[O-] ZINC000181264907 413055134 /nfs/dbraw/zinc/05/51/34/413055134.db2.gz NWBNPGUCARSJKT-JTQLQIEISA-N 0 0 264.329 2.771 20 5 CFBDRN Cc1ccnc(NCCCc2ccccn2)c1[N+](=O)[O-] ZINC000269405011 132166642 /nfs/dbraw/zinc/16/66/42/132166642.db2.gz VSVLUBLURAAUEM-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000298744819 393457702 /nfs/dbraw/zinc/45/77/02/393457702.db2.gz DHXQTZMDBNTLKR-PSASIEDQSA-N 0 0 274.276 2.727 20 5 CFBDRN CCc1nn(C)cc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000179694427 393469530 /nfs/dbraw/zinc/46/95/30/393469530.db2.gz QUNCOFHOQYWSNJ-UHFFFAOYSA-N 0 0 288.351 2.523 20 5 CFBDRN CC(C)Cn1ncnc1CSc1ncccc1[N+](=O)[O-] ZINC000180040193 393475553 /nfs/dbraw/zinc/47/55/53/393475553.db2.gz RKEPOISFZVPNGZ-UHFFFAOYSA-N 0 0 293.352 2.530 20 5 CFBDRN CC1(C)CCC(Cn2ncc([N+](=O)[O-])c2N)CC1 ZINC000180837347 393486029 /nfs/dbraw/zinc/48/60/29/393486029.db2.gz XYVYEBZRWYIGLB-UHFFFAOYSA-N 0 0 252.318 2.590 20 5 CFBDRN Cc1cccc(N2C[C@H](C)OC(C)(C)C2)c1[N+](=O)[O-] ZINC000302517692 393492736 /nfs/dbraw/zinc/49/27/36/393492736.db2.gz WCLLHERKZKEETH-NSHDSACASA-N 0 0 264.325 2.907 20 5 CFBDRN CC[C@@]1(C)CN(c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000302686476 393493495 /nfs/dbraw/zinc/49/34/95/393493495.db2.gz UBMBIXXSWGYDEB-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN O=C(NCc1ccc(Cl)cn1)c1cccc([N+](=O)[O-])c1 ZINC000181679498 393499414 /nfs/dbraw/zinc/49/94/14/393499414.db2.gz QUMBELXVIBFHRT-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CO[C@H](C)CCC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000181780990 393502813 /nfs/dbraw/zinc/50/28/13/393502813.db2.gz QTWVXPXVAYKOBR-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1nc(COc2ccc([N+](=O)[O-])cc2Cl)no1 ZINC000030508318 393506604 /nfs/dbraw/zinc/50/66/04/393506604.db2.gz QAGGOMWXLNGTEC-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN C[C@H]1OCCN(Cc2ccc([N+](=O)[O-])cc2Cl)[C@@H]1C ZINC000182236680 393507918 /nfs/dbraw/zinc/50/79/18/393507918.db2.gz LKSUNXJULSVKDQ-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C/c1ccncn1 ZINC000182532224 393511673 /nfs/dbraw/zinc/51/16/73/393511673.db2.gz TXNICBOQGLKLPL-GQCTYLIASA-N 0 0 298.302 2.654 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000311922402 393520913 /nfs/dbraw/zinc/52/09/13/393520913.db2.gz FDXPXVSLBUYSDN-MWLCHTKSSA-N 0 0 268.288 2.879 20 5 CFBDRN CCOc1cc(N[C@@H]2CCC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000313790562 393524142 /nfs/dbraw/zinc/52/41/42/393524142.db2.gz JOTOSACUHLVGEU-VXGBXAGGSA-N 0 0 294.351 2.957 20 5 CFBDRN CCc1nc(SCc2cccc([N+](=O)[O-])c2C)n[nH]1 ZINC000042154901 393550189 /nfs/dbraw/zinc/55/01/89/393550189.db2.gz QTUVCGPSHCDWFO-UHFFFAOYSA-N 0 0 278.337 2.876 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2cnns2)cc1[N+](=O)[O-] ZINC000188231311 393562786 /nfs/dbraw/zinc/56/27/86/393562786.db2.gz ZGGFXRCXRGMNGU-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN O=C(NCc1ccoc1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000045784311 393573586 /nfs/dbraw/zinc/57/35/86/393573586.db2.gz QUCRWKMJBAUTNY-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CCCCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000048704393 393595817 /nfs/dbraw/zinc/59/58/17/393595817.db2.gz FNZNFWHJSMTRGH-SNVBAGLBSA-N 0 0 250.298 2.962 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1cc(-c2cccs2)on1 ZINC000048706075 393596280 /nfs/dbraw/zinc/59/62/80/393596280.db2.gz CCQNDGABUHGSTO-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN CCC(CC)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000048892832 393606966 /nfs/dbraw/zinc/60/69/66/393606966.db2.gz NEUFSRXITSIVSO-UHFFFAOYSA-N 0 0 265.313 2.555 20 5 CFBDRN CCNc1ccc(C(=O)N2CCCCC2)cc1[N+](=O)[O-] ZINC000048931128 393611319 /nfs/dbraw/zinc/61/13/19/393611319.db2.gz ZHCVQITYEJZNNG-UHFFFAOYSA-N 0 0 277.324 2.653 20 5 CFBDRN Cc1cc(N2C[C@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000049177156 393611726 /nfs/dbraw/zinc/61/17/26/393611726.db2.gz AZIJIMBFWQSYJS-NSHDSACASA-N 0 0 264.325 2.907 20 5 CFBDRN COC(=O)[C@@H](C)N(Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000192380730 393622466 /nfs/dbraw/zinc/62/24/66/393622466.db2.gz KOCFIWHOKNABTN-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN CS[C@@H]1CCCCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000192501841 393624896 /nfs/dbraw/zinc/62/48/96/393624896.db2.gz XGFIIQMMJLIIBZ-MRVPVSSYSA-N 0 0 273.383 2.773 20 5 CFBDRN CC[C@H](C)NC(=O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000192541915 393625568 /nfs/dbraw/zinc/62/55/68/393625568.db2.gz PUVZRMUXODBHHX-JTQLQIEISA-N 0 0 282.365 2.743 20 5 CFBDRN Cc1cc(NC(=O)C2(CF)CCOCC2)ccc1[N+](=O)[O-] ZINC000410670286 393634542 /nfs/dbraw/zinc/63/45/42/393634542.db2.gz FAOQHZKAPVYBAK-UHFFFAOYSA-N 0 0 296.298 2.608 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CSC1CC1 ZINC000410819036 393641507 /nfs/dbraw/zinc/64/15/07/393641507.db2.gz RRQDAZLHYPPMJH-UHFFFAOYSA-N 0 0 296.348 2.828 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CCC[C@@H](C)C1 ZINC000193287437 393647984 /nfs/dbraw/zinc/64/79/84/393647984.db2.gz FEBULYBLWUWQPS-PWSUYJOCSA-N 0 0 291.351 2.949 20 5 CFBDRN COc1ncccc1CN1CCc2c1cccc2[N+](=O)[O-] ZINC000193448946 393651956 /nfs/dbraw/zinc/65/19/56/393651956.db2.gz BWYNHOPBGWGWMN-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000193502610 393653111 /nfs/dbraw/zinc/65/31/11/393653111.db2.gz IKTDZXAKHXITSA-UHFFFAOYSA-N 0 0 255.270 2.805 20 5 CFBDRN CCN(C(=O)CSc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000193542604 393654031 /nfs/dbraw/zinc/65/40/31/393654031.db2.gz HBDPUFHZPZGJOS-UHFFFAOYSA-N 0 0 282.365 2.944 20 5 CFBDRN CCn1ncnc1CSc1ccc([N+](=O)[O-])cc1F ZINC000193509874 393654248 /nfs/dbraw/zinc/65/42/48/393654248.db2.gz UODQSYOQDJXENV-UHFFFAOYSA-N 0 0 282.300 2.638 20 5 CFBDRN CSC1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2C)CC1 ZINC000193972255 393669559 /nfs/dbraw/zinc/66/95/59/393669559.db2.gz KWKMLNUAULJCIG-UHFFFAOYSA-N 0 0 295.364 2.920 20 5 CFBDRN COc1cccnc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000075301316 393671779 /nfs/dbraw/zinc/67/17/79/393671779.db2.gz FLNGJXHYQQAFDP-RMKNXTFCSA-N 0 0 299.286 2.650 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000336382257 393732021 /nfs/dbraw/zinc/73/20/21/393732021.db2.gz GBYXUJZRADEQQV-ZDUSSCGKSA-N 0 0 274.320 2.775 20 5 CFBDRN CC(=O)[C@@H](C)SCCc1ccc([N+](=O)[O-])cc1 ZINC000083123405 393742179 /nfs/dbraw/zinc/74/21/79/393742179.db2.gz XNTNKYNAIWGKRU-SNVBAGLBSA-N 0 0 253.323 2.848 20 5 CFBDRN Cc1noc2ncnc(Oc3ccccc3[N+](=O)[O-])c12 ZINC000195505178 393742497 /nfs/dbraw/zinc/74/24/97/393742497.db2.gz VKTFSOKGODDQSD-UHFFFAOYSA-N 0 0 272.220 2.627 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000336846272 393751667 /nfs/dbraw/zinc/75/16/67/393751667.db2.gz MDDFBRHMWZQDCA-JQWIXIFHSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccccn1 ZINC000086167549 393753385 /nfs/dbraw/zinc/75/33/85/393753385.db2.gz RMAROOGQHMJQFT-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@H](O)C1CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000222271958 393762915 /nfs/dbraw/zinc/76/29/15/393762915.db2.gz DWCIALXWFQGDQD-JTQLQIEISA-N 0 0 298.770 2.841 20 5 CFBDRN CC(C)Oc1cc(C(=O)NCC2CC2)ccc1[N+](=O)[O-] ZINC000411276638 393693545 /nfs/dbraw/zinc/69/35/45/393693545.db2.gz UVTHVDUEKYFULL-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087319855 393758704 /nfs/dbraw/zinc/75/87/04/393758704.db2.gz FIVVJIJTEFZNBU-GFCCVEGCSA-N 0 0 278.352 2.936 20 5 CFBDRN COc1cccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c1 ZINC000078148802 393704680 /nfs/dbraw/zinc/70/46/80/393704680.db2.gz GDGBEHINMADTAH-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411399815 393708425 /nfs/dbraw/zinc/70/84/25/393708425.db2.gz MMYHYWQNSIMHMU-NZVBXONLSA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1c(C(=O)NC2CCSCC2)cccc1[N+](=O)[O-] ZINC000194809195 393718192 /nfs/dbraw/zinc/71/81/92/393718192.db2.gz QTLZEKBNBOUODT-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000336176624 393721834 /nfs/dbraw/zinc/72/18/34/393721834.db2.gz VYJYTQQIHGIORM-VIFPVBQESA-N 0 0 268.338 2.774 20 5 CFBDRN CCc1onc(C)c1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000089394486 393766881 /nfs/dbraw/zinc/76/68/81/393766881.db2.gz JDCHQKCQZBVQNV-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN C[C@@H](NCc1ccccc1[N+](=O)[O-])c1cncc(F)c1 ZINC000089511917 393767593 /nfs/dbraw/zinc/76/75/93/393767593.db2.gz COQWTCNKKSKKPL-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CC[C@@H](C)C(=O)COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000089692558 393769082 /nfs/dbraw/zinc/76/90/82/393769082.db2.gz YDMBCADNHHNBST-SECBINFHSA-N 0 0 279.292 2.791 20 5 CFBDRN CC1(C)C(C(=O)NCCc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000089835127 393771429 /nfs/dbraw/zinc/77/14/29/393771429.db2.gz NUYXNHIGURHACY-UHFFFAOYSA-N 0 0 290.363 2.936 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000091575848 393783933 /nfs/dbraw/zinc/78/39/33/393783933.db2.gz HIAAZKHFDXLZKW-NXEZZACHSA-N 0 0 266.345 2.881 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CS[C@H](C)C2)n1 ZINC000413522006 393785326 /nfs/dbraw/zinc/78/53/26/393785326.db2.gz RMFUVVJDYKILSW-NXEZZACHSA-N 0 0 267.354 2.913 20 5 CFBDRN Cc1cnc(N[C@@H]2CCCC[C@H]2F)c([N+](=O)[O-])c1 ZINC000413534787 393785389 /nfs/dbraw/zinc/78/53/89/393785389.db2.gz RXWXVTHUQKTFCC-NXEZZACHSA-N 0 0 253.277 2.991 20 5 CFBDRN CC1(C)CCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000338960358 393807494 /nfs/dbraw/zinc/80/74/94/393807494.db2.gz FTACJYACWFHMMH-UHFFFAOYSA-N 0 0 250.298 2.675 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000457986808 393817741 /nfs/dbraw/zinc/81/77/41/393817741.db2.gz GXFSELDTYADKGF-DGCLKSJQSA-N 0 0 291.351 2.558 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)nc1C ZINC000457988101 393817843 /nfs/dbraw/zinc/81/78/43/393817843.db2.gz FZVCQILETBNGFE-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000458019289 393827728 /nfs/dbraw/zinc/82/77/28/393827728.db2.gz RLFHTAXJKUQXTR-CHWSQXEVSA-N 0 0 276.336 2.688 20 5 CFBDRN Cn1ccnc1[C@@H](N[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000424323366 393828593 /nfs/dbraw/zinc/82/85/93/393828593.db2.gz MANHBXPJYPFNBX-KBPBESRZSA-N 0 0 298.346 2.560 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000416072427 393863737 /nfs/dbraw/zinc/86/37/37/393863737.db2.gz NGXOGSVLHOMSDT-JTQLQIEISA-N 0 0 286.287 2.871 20 5 CFBDRN C[C@H]1CCc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000342888553 393873190 /nfs/dbraw/zinc/87/31/90/393873190.db2.gz UZYYAUMBFNXZSM-ZUZCIYMTSA-N 0 0 299.330 2.976 20 5 CFBDRN CC(C)(C)c1noc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)n1 ZINC000109522379 393878571 /nfs/dbraw/zinc/87/85/71/393878571.db2.gz QMMPTJRWCSZVBO-UHFFFAOYSA-N 0 0 292.251 2.851 20 5 CFBDRN C[C@H](O)[C@@H]1CCCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119002758 393912832 /nfs/dbraw/zinc/91/28/32/393912832.db2.gz MDFXCFKZXDBKRZ-GWCFXTLKSA-N 0 0 298.770 2.984 20 5 CFBDRN CN(C(=O)[C@@H]1CCC(C)(C)C1)c1ccc([N+](=O)[O-])nc1 ZINC000344228238 393896912 /nfs/dbraw/zinc/89/69/12/393896912.db2.gz UXPBDYNXBGYSAY-SNVBAGLBSA-N 0 0 277.324 2.779 20 5 CFBDRN CCc1ccc(CCNc2cc(C)c([N+](=O)[O-])cn2)cc1 ZINC000470872801 393902140 /nfs/dbraw/zinc/90/21/40/393902140.db2.gz VLSBUKLCYWNBRZ-UHFFFAOYSA-N 0 0 285.347 2.937 20 5 CFBDRN CNC(=O)c1ccc(N(C)[C@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000428666243 393904565 /nfs/dbraw/zinc/90/45/65/393904565.db2.gz HEDLKCXWKKAYHP-PWSUYJOCSA-N 0 0 291.351 2.579 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1c1ccncc1[N+](=O)[O-] ZINC000340898113 393847807 /nfs/dbraw/zinc/84/78/07/393847807.db2.gz IPRQIXNRLMYRLN-YUMQZZPRSA-N 0 0 275.230 2.767 20 5 CFBDRN Cc1noc([C@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000340909637 393847893 /nfs/dbraw/zinc/84/78/93/393847893.db2.gz ZYFKWJPHWDFFBP-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN CO[C@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])CC(C)C ZINC000416047723 393854680 /nfs/dbraw/zinc/85/46/80/393854680.db2.gz VJSYVBKVVILTLW-LBPRGKRZSA-N 0 0 294.351 2.694 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)[C@@H]1CC1(C)C ZINC000416051053 393855303 /nfs/dbraw/zinc/85/53/03/393855303.db2.gz AODKGCAOGUARJB-IUCAKERBSA-N 0 0 266.297 2.742 20 5 CFBDRN CC(C)(C(=O)NC[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000416061176 393859129 /nfs/dbraw/zinc/85/91/29/393859129.db2.gz CZMLXZYEEYWKFN-GFCCVEGCSA-N 0 0 288.347 2.789 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000458153698 393859938 /nfs/dbraw/zinc/85/99/38/393859938.db2.gz RWZGWEPDYCCSAR-OCCSQVGLSA-N 0 0 262.309 2.759 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119357876 393918731 /nfs/dbraw/zinc/91/87/31/393918731.db2.gz YTARTKIDPIWRBU-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN O=C(NCCCF)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000120742542 393939444 /nfs/dbraw/zinc/93/94/44/393939444.db2.gz IJYFAJFLQCCAEU-UHFFFAOYSA-N 0 0 297.330 2.576 20 5 CFBDRN CC[C@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])C(F)(F)F ZINC000348096337 393947842 /nfs/dbraw/zinc/94/78/42/393947842.db2.gz SNCLHRPPLKMDSF-VIFPVBQESA-N 0 0 290.241 2.974 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000475187238 393952220 /nfs/dbraw/zinc/95/22/20/393952220.db2.gz WZLIIRIZNQWSMZ-VHSXEESVSA-N 0 0 280.324 2.768 20 5 CFBDRN CC(C)(NCC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000429261374 393949424 /nfs/dbraw/zinc/94/94/24/393949424.db2.gz AVHBGOMSQYDNQM-UHFFFAOYSA-N 0 0 262.231 2.982 20 5 CFBDRN Cc1cc(N2CCO[C@@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000271313709 394018538 /nfs/dbraw/zinc/01/85/38/394018538.db2.gz JDOKIYOYZYMCDO-ZIAGYGMSSA-N 0 0 262.309 2.661 20 5 CFBDRN CCCCOC(=O)[C@@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271701895 394021749 /nfs/dbraw/zinc/02/17/49/394021749.db2.gz HPPQPNYPMJUHET-VXGBXAGGSA-N 0 0 294.351 2.977 20 5 CFBDRN CC(C)c1cccc(CNc2ncc([N+](=O)[O-])cn2)c1 ZINC000475735076 393957005 /nfs/dbraw/zinc/95/70/05/393957005.db2.gz BNMKTCQQJIVVKE-UHFFFAOYSA-N 0 0 272.308 2.542 20 5 CFBDRN CCCc1nc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000271704112 394022699 /nfs/dbraw/zinc/02/26/99/394022699.db2.gz HWPJDUCCFYMAPE-JTQLQIEISA-N 0 0 290.323 2.781 20 5 CFBDRN Cc1cnccc1NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000121712523 393959275 /nfs/dbraw/zinc/95/92/75/393959275.db2.gz VZUJMZKXFGUYND-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC/C=C\c1ccccc1 ZINC000477010061 393962845 /nfs/dbraw/zinc/96/28/45/393962845.db2.gz JNSFOPNRQFBCBL-YVMONPNESA-N 0 0 269.304 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc(Cl)cn2)c(F)c1 ZINC000349159435 393963666 /nfs/dbraw/zinc/96/36/66/393963666.db2.gz MAVHFXJISZADMO-UHFFFAOYSA-N 0 0 281.674 2.816 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000122259422 393967216 /nfs/dbraw/zinc/96/72/16/393967216.db2.gz ZDUYLODYHFVLFV-LBPRGKRZSA-N 0 0 293.367 2.582 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCSC(C)(C)C1 ZINC000430205632 393968075 /nfs/dbraw/zinc/96/80/75/393968075.db2.gz UQKMEWGEZBWDDX-UHFFFAOYSA-N 0 0 296.392 2.931 20 5 CFBDRN O=C(N[C@H]1CC12CCCC2)c1ccc([N+](=O)[O-])cc1 ZINC000430223040 393969857 /nfs/dbraw/zinc/96/98/57/393969857.db2.gz WUKFOOCNOJCIMB-LBPRGKRZSA-N 0 0 260.293 2.657 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@H](C(C)C)C2)cc1[N+](=O)[O-] ZINC000122595162 393970622 /nfs/dbraw/zinc/97/06/22/393970622.db2.gz UTYCLYOIFWFSMJ-LBPRGKRZSA-N 0 0 291.351 2.755 20 5 CFBDRN COc1ccc(CNC(=O)/C=C/C(C)(C)C)cc1[N+](=O)[O-] ZINC000265116792 393972565 /nfs/dbraw/zinc/97/25/65/393972565.db2.gz ZGCDMLZPOZZPAG-BQYQJAHWSA-N 0 0 292.335 2.822 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(C)[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000430475113 393989148 /nfs/dbraw/zinc/98/91/48/393989148.db2.gz YSWBAESLWHEMNI-NXEZZACHSA-N 0 0 294.355 2.531 20 5 CFBDRN Cc1nsc(N(Cc2cccc([N+](=O)[O-])c2)C2CC2)n1 ZINC000269089909 394002372 /nfs/dbraw/zinc/00/23/72/394002372.db2.gz KAFBLAHUTLXIHQ-UHFFFAOYSA-N 0 0 290.348 2.924 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@H](C)CC[C@H]2C)c1[N+](=O)[O-] ZINC000269332186 394003948 /nfs/dbraw/zinc/00/39/48/394003948.db2.gz APESMSBSICHFNL-NXEZZACHSA-N 0 0 294.355 2.702 20 5 CFBDRN Cc1noc(C)c1CCOc1ccccc1[N+](=O)[O-] ZINC000126373683 394026694 /nfs/dbraw/zinc/02/66/94/394026694.db2.gz BQFBWJOXZJGFAZ-UHFFFAOYSA-N 0 0 262.265 2.821 20 5 CFBDRN CCOCC(=O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000275018415 394045357 /nfs/dbraw/zinc/04/53/57/394045357.db2.gz SCAUGLHHQCMITJ-UHFFFAOYSA-N 0 0 289.287 2.732 20 5 CFBDRN Cc1c([C@@H]2C[C@H]2Nc2ccc([N+](=O)[O-])cc2)cnn1C ZINC000439124334 394100835 /nfs/dbraw/zinc/10/08/35/394100835.db2.gz ONFRBUXSLIEJOP-GXTWGEPZSA-N 0 0 272.308 2.605 20 5 CFBDRN CSc1ccccc1Cn1c(C)ncc1[N+](=O)[O-] ZINC000358268627 394101013 /nfs/dbraw/zinc/10/10/13/394101013.db2.gz APTKJDKWUVFOIQ-UHFFFAOYSA-N 0 0 263.322 2.870 20 5 CFBDRN Cc1c([C@@H]2C[C@H]2Nc2ccccc2[N+](=O)[O-])cnn1C ZINC000439136136 394102115 /nfs/dbraw/zinc/10/21/15/394102115.db2.gz RJDMDLRUIYNIPQ-GXFFZTMASA-N 0 0 272.308 2.605 20 5 CFBDRN COc1cc(C(=O)NCCCC2CC2)ccc1[N+](=O)[O-] ZINC000128138942 394063865 /nfs/dbraw/zinc/06/38/65/394063865.db2.gz BHKIKFVLHSGMMC-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H]1C=CCCC1 ZINC000492160977 394064858 /nfs/dbraw/zinc/06/48/58/394064858.db2.gz HFOBNZHEDDPSSP-ZWXCPPHNSA-N 0 0 272.304 2.833 20 5 CFBDRN Cc1cc(=O)[nH]cc1-c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000355450381 394065999 /nfs/dbraw/zinc/06/59/99/394065999.db2.gz FJACFKJSVHGQTA-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@H]1C=CCCC1 ZINC000128272759 394066724 /nfs/dbraw/zinc/06/67/24/394066724.db2.gz ZTVMNWIOIBMXPH-ZDUSSCGKSA-N 0 0 289.335 2.545 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCO1 ZINC000128696528 394075018 /nfs/dbraw/zinc/07/50/18/394075018.db2.gz JXUVKMFPLJSEPT-OLZOCXBDSA-N 0 0 293.323 2.674 20 5 CFBDRN CCc1ncsc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000437817389 394077535 /nfs/dbraw/zinc/07/75/35/394077535.db2.gz SZHMMMGXXKQJSC-UHFFFAOYSA-N 0 0 283.334 2.928 20 5 CFBDRN C[C@H]1CCCC[C@H]1c1noc(-c2c([N+](=O)[O-])cnn2C)n1 ZINC000356600485 394078760 /nfs/dbraw/zinc/07/87/60/394078760.db2.gz WZNLGBLCKUELJP-DTWKUNHWSA-N 0 0 291.311 2.672 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCC(F)(F)C1 ZINC000281910664 394091997 /nfs/dbraw/zinc/09/19/97/394091997.db2.gz GHFQJNHYGBUCNB-VIFPVBQESA-N 0 0 288.298 2.967 20 5 CFBDRN CC(C)(NC(=O)/C=C\C1CC1)c1ccccc1[N+](=O)[O-] ZINC000492897399 394092149 /nfs/dbraw/zinc/09/21/49/394092149.db2.gz SCXJTQUUYHBXFN-KTKRTIGZSA-N 0 0 274.320 2.912 20 5 CFBDRN CS[C@H](C)CNC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000129896748 394097015 /nfs/dbraw/zinc/09/70/15/394097015.db2.gz HYMDFFWRAHDBLE-OTQAPUNGSA-N 0 0 298.339 2.615 20 5 CFBDRN CC[C@@H]1CCN1C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492917411 394097081 /nfs/dbraw/zinc/09/70/81/394097081.db2.gz CFHJRZYUGLULHY-ZHRWSRJISA-N 0 0 260.293 2.619 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000129947560 394097891 /nfs/dbraw/zinc/09/78/91/394097891.db2.gz XMQBSSCPADUZTM-VIFPVBQESA-N 0 0 268.288 2.852 20 5 CFBDRN CC(C)C(C)(C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000439108171 394099081 /nfs/dbraw/zinc/09/90/81/394099081.db2.gz CHZRAGOHXJEJFB-UHFFFAOYSA-N 0 0 293.367 2.805 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)Cc1ccncc1 ZINC000487883965 394027948 /nfs/dbraw/zinc/02/79/48/394027948.db2.gz TUQKHLZTMREPQC-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1c([C@@H]2C[C@H]2Nc2ccc([N+](=O)[O-])c(C)c2)cnn1C ZINC000439117890 394099859 /nfs/dbraw/zinc/09/98/59/394099859.db2.gz ITRBSMJDNKUAMV-GXTWGEPZSA-N 0 0 286.335 2.913 20 5 CFBDRN Cc1cc(N2CCCO2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000273177002 394033822 /nfs/dbraw/zinc/03/38/22/394033822.db2.gz AMOBZWYDSCUMDP-UHFFFAOYSA-N 0 0 286.291 2.502 20 5 CFBDRN CCC[C@H](OC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000448741697 394177995 /nfs/dbraw/zinc/17/79/95/394177995.db2.gz XEBSBYGZWWIDBS-GWCFXTLKSA-N 0 0 280.324 2.587 20 5 CFBDRN COc1ccc(OCc2nn(C)cc2Cl)c([N+](=O)[O-])c1 ZINC000421177560 394178008 /nfs/dbraw/zinc/17/80/08/394178008.db2.gz ANHIISWFTDBELV-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC[C@@H](O)C1 ZINC000439244700 394106226 /nfs/dbraw/zinc/10/62/26/394106226.db2.gz HIJZHWPGYODYQU-VXGBXAGGSA-N 0 0 292.335 2.701 20 5 CFBDRN C/C=C/C=C/C(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000492974417 394113472 /nfs/dbraw/zinc/11/34/72/394113472.db2.gz RCILTBIDJVVTRH-MQQKCMAXSA-N 0 0 299.330 2.867 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CCC[C@H](F)C1 ZINC000493208088 394179527 /nfs/dbraw/zinc/17/95/27/394179527.db2.gz ZVLAVXWFXUQIGN-KRZKBDHCSA-N 0 0 278.283 2.569 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1)c1nc(C2CC2)no1 ZINC000130612849 394115188 /nfs/dbraw/zinc/11/51/88/394115188.db2.gz JCJMVSPLMHFYAR-QMMMGPOBSA-N 0 0 275.264 2.995 20 5 CFBDRN C[C@H]1C[C@@H](CCNc2ncc([N+](=O)[O-])s2)CCO1 ZINC000282050534 394116051 /nfs/dbraw/zinc/11/60/51/394116051.db2.gz XTZSVXKBLAIPJZ-IUCAKERBSA-N 0 0 271.342 2.668 20 5 CFBDRN CC(C)[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])s2)CCO1 ZINC000282053179 394116761 /nfs/dbraw/zinc/11/67/61/394116761.db2.gz XYJGDCYDKGPGIH-BDAKNGLRSA-N 0 0 271.342 2.667 20 5 CFBDRN Cc1ccnc(CNC(=O)/C=C\c2cccc([N+](=O)[O-])c2)c1 ZINC000492989088 394117639 /nfs/dbraw/zinc/11/76/39/394117639.db2.gz FDNBFMUQGSAHKN-WAYWQWQTSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1cc(NC(=O)/C=C/c2ccn(C)c2)ccc1[N+](=O)[O-] ZINC000492991244 394117926 /nfs/dbraw/zinc/11/79/26/394117926.db2.gz WVNQAKFWSCBTFL-ZZXKWVIFSA-N 0 0 285.303 2.894 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC[C@@H]1CCCS1 ZINC000130856274 394120291 /nfs/dbraw/zinc/12/02/91/394120291.db2.gz ITXNXSKTLOIMRE-YBJDMEARSA-N 0 0 292.360 2.620 20 5 CFBDRN CON1CCC(Nc2c(C)cc([N+](=O)[O-])cc2Cl)CC1 ZINC000420631205 394121577 /nfs/dbraw/zinc/12/15/77/394121577.db2.gz GJYSRPOXJSCDQL-UHFFFAOYSA-N 0 0 299.758 2.994 20 5 CFBDRN CSc1ccc(C(=O)Nc2nc(C)c[nH]2)cc1[N+](=O)[O-] ZINC000130939945 394122528 /nfs/dbraw/zinc/12/25/28/394122528.db2.gz FLFQVPBZOPECBI-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC[C@H]1CC12CC2 ZINC000493015428 394124087 /nfs/dbraw/zinc/12/40/87/394124087.db2.gz FTWXEVMGOLBISR-QMAVJUDZSA-N 0 0 272.304 2.524 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000493020834 394126149 /nfs/dbraw/zinc/12/61/49/394126149.db2.gz IHBLWRZMWJEELW-GQCTYLIASA-N 0 0 297.314 2.521 20 5 CFBDRN Cn1cnc2cc(Nc3ccc([N+](=O)[O-])cn3)ccc21 ZINC000439704628 394127968 /nfs/dbraw/zinc/12/79/68/394127968.db2.gz KWQDPBBJZWGVFX-UHFFFAOYSA-N 0 0 269.264 2.620 20 5 CFBDRN CN(CCF)C(=O)/C=C\c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000493025824 394128588 /nfs/dbraw/zinc/12/85/88/394128588.db2.gz PCXUTKDUFHIMMF-HYXAFXHYSA-N 0 0 286.690 2.689 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCCC1=CCCC1 ZINC000131348848 394130602 /nfs/dbraw/zinc/13/06/02/394130602.db2.gz FCMATTQPSAQZEK-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN Cc1nnc(COc2cccc(C)c2[N+](=O)[O-])n1C1CC1 ZINC000131353549 394131038 /nfs/dbraw/zinc/13/10/38/394131038.db2.gz NSHBHNKBPLEGAV-UHFFFAOYSA-N 0 0 288.307 2.717 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)nc1 ZINC000131437581 394133505 /nfs/dbraw/zinc/13/35/05/394133505.db2.gz TZUOJGBGZZDOGK-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC[C@H]1CCCS1 ZINC000131518441 394135698 /nfs/dbraw/zinc/13/56/98/394135698.db2.gz CGMRHCLIROUFNF-ABZNLYFFSA-N 0 0 292.360 2.620 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC(C(F)F)CC1 ZINC000359596424 394139305 /nfs/dbraw/zinc/13/93/05/394139305.db2.gz XQRABTVHNCLVFP-UHFFFAOYSA-N 0 0 288.298 2.601 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1c1ccc([N+](=O)[O-])cn1 ZINC000359867818 394141713 /nfs/dbraw/zinc/14/17/13/394141713.db2.gz BKDZBSOXMRIUQM-CBAPKCEASA-N 0 0 275.230 2.767 20 5 CFBDRN Cc1c(C(=O)Nc2ccncc2F)cccc1[N+](=O)[O-] ZINC000132031365 394146761 /nfs/dbraw/zinc/14/67/61/394146761.db2.gz RRQLRTUMDSPADA-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2CC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000360367268 394149901 /nfs/dbraw/zinc/14/99/01/394149901.db2.gz WUACASWLINTAHE-BDAKNGLRSA-N 0 0 298.339 2.937 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@H](O)CC12CCC2 ZINC000421001479 394151687 /nfs/dbraw/zinc/15/16/87/394151687.db2.gz WZUQUIILXVDXSL-NSHDSACASA-N 0 0 294.326 2.613 20 5 CFBDRN CC[C@@](C)(CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000493185595 394172467 /nfs/dbraw/zinc/17/24/67/394172467.db2.gz GFUXHYSPPSZXQW-XMELGKRDSA-N 0 0 292.335 2.539 20 5 CFBDRN CN(C(=O)/C=C/c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000493191523 394174999 /nfs/dbraw/zinc/17/49/99/394174999.db2.gz UPKBSDFHXXXIAQ-CMDGGOBGSA-N 0 0 262.309 2.865 20 5 CFBDRN Cc1ccc(N(C)C(=O)/C=C\c2cccc([N+](=O)[O-])c2)nc1 ZINC000493297856 394203325 /nfs/dbraw/zinc/20/33/25/394203325.db2.gz TWVCQIUYJJVSQA-CLFYSBASSA-N 0 0 297.314 2.974 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](CO)c3ccccc32)ncc1[N+](=O)[O-] ZINC000364443522 394213588 /nfs/dbraw/zinc/21/35/88/394213588.db2.gz UHPFVIWLDLHOLB-SMDDNHRTSA-N 0 0 299.330 2.931 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1Cc2ccccc2C1 ZINC000288790517 394214028 /nfs/dbraw/zinc/21/40/28/394214028.db2.gz FVSUCCRANJJRLI-UHFFFAOYSA-N 0 0 272.308 2.640 20 5 CFBDRN CC1(C)CN(Cc2ccccc2[N+](=O)[O-])[C@@H]1[C@@H]1CCCO1 ZINC000289689793 394220976 /nfs/dbraw/zinc/22/09/76/394220976.db2.gz IBPZCYFVCLHUJA-LSDHHAIUSA-N 0 0 290.363 2.984 20 5 CFBDRN CN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000493420451 394237982 /nfs/dbraw/zinc/23/79/82/394237982.db2.gz NTOPIECNGIKAPR-JXMROGBWSA-N 0 0 262.309 2.865 20 5 CFBDRN CN(C(=O)/C=C/c1ccccc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000493428405 394240515 /nfs/dbraw/zinc/24/05/15/394240515.db2.gz GXFIRBAZJUQABI-MMQHEFTJSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1sc(C(=O)N(C(C)C)[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000291641136 394251597 /nfs/dbraw/zinc/25/15/97/394251597.db2.gz KUHLCMPRVWLTRB-SNVBAGLBSA-N 0 0 298.364 2.604 20 5 CFBDRN CC(C)NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000133953581 394185947 /nfs/dbraw/zinc/18/59/47/394185947.db2.gz ZUINCIQLJSMHKK-UHFFFAOYSA-N 0 0 277.324 2.677 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@H](C)S1 ZINC000134422805 394196784 /nfs/dbraw/zinc/19/67/84/394196784.db2.gz RIJXQIDAEMTUDX-IUCAKERBSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000151554618 394262836 /nfs/dbraw/zinc/26/28/36/394262836.db2.gz UMJFOIRFJRXERY-CPCISQLKSA-N 0 0 284.262 2.791 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)CS1 ZINC000493526741 394268902 /nfs/dbraw/zinc/26/89/02/394268902.db2.gz VYOASGTWSZVAGF-SXGMEYSMSA-N 0 0 292.360 2.618 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCO[C@H](C(C)C)C1 ZINC000450741753 394331570 /nfs/dbraw/zinc/33/15/70/394331570.db2.gz XZWQQTSGRFEXCJ-JSGCOSHPSA-N 0 0 293.367 2.583 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])c(C)n1)C(C)(C)C ZINC000450313111 394290100 /nfs/dbraw/zinc/29/01/00/394290100.db2.gz PBHIULSDMKLMLA-SNVBAGLBSA-N 0 0 252.318 2.930 20 5 CFBDRN Cc1cc(NC(=O)N(C[C@H](C)O)C(C)C)ccc1[N+](=O)[O-] ZINC000153765657 394291673 /nfs/dbraw/zinc/29/16/73/394291673.db2.gz HYHLLCJKAAWHKI-NSHDSACASA-N 0 0 295.339 2.526 20 5 CFBDRN Cc1nc(N(C)[C@@H]2CCC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000450496040 394293716 /nfs/dbraw/zinc/29/37/16/394293716.db2.gz XHQVNBOZCFZARX-SNVBAGLBSA-N 0 0 264.329 2.708 20 5 CFBDRN Cc1ccc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cn1 ZINC000154679941 394299843 /nfs/dbraw/zinc/29/98/43/394299843.db2.gz OXVVGRNWTIJVFZ-WEVVVXLNSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1nc(N[C@H]2c3ccccc3O[C@H]2C)ncc1[N+](=O)[O-] ZINC000295695768 394302849 /nfs/dbraw/zinc/30/28/49/394302849.db2.gz PERPRDPVAANOFR-TVQRCGJNSA-N 0 0 286.291 2.627 20 5 CFBDRN C[C@@H](NCc1ncccc1F)c1ccc([N+](=O)[O-])cc1 ZINC000154884957 394304387 /nfs/dbraw/zinc/30/43/87/394304387.db2.gz AMCLWMVQPWPXPD-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])o2)CCCC1 ZINC000295906020 394304663 /nfs/dbraw/zinc/30/46/63/394304663.db2.gz GUHMHRBIESMFHR-UHFFFAOYSA-N 0 0 252.270 2.640 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CC[C@@H]1C1CC1 ZINC000493695346 394311756 /nfs/dbraw/zinc/31/17/56/394311756.db2.gz SMALXXQWOAJNBQ-SMDADKPZSA-N 0 0 272.304 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCOC3(CCC3)C2)cn1 ZINC000450719325 394324765 /nfs/dbraw/zinc/32/47/65/394324765.db2.gz PTORDCSUOSFYRJ-LLVKDONJSA-N 0 0 277.324 2.751 20 5 CFBDRN Cc1cc(N[C@H]([C@H](CO)C2CC2)C2CC2)ncc1[N+](=O)[O-] ZINC000450775361 394339309 /nfs/dbraw/zinc/33/93/09/394339309.db2.gz KIIQPEWOWUUYJH-DOMZBBRYSA-N 0 0 291.351 2.507 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)c2nccn21 ZINC000450822940 394340725 /nfs/dbraw/zinc/34/07/25/394340725.db2.gz BMYYXLSUXZEYPY-PRHODGIISA-N 0 0 298.306 2.786 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])N[C@@H]1C=CCCC1 ZINC000157652453 394348442 /nfs/dbraw/zinc/34/84/42/394348442.db2.gz JUJRERNJYBXXEE-GFCCVEGCSA-N 0 0 289.335 2.622 20 5 CFBDRN CCc1ccc(-c2noc(-c3c([N+](=O)[O-])cnn3C)n2)cc1 ZINC000158336052 394357217 /nfs/dbraw/zinc/35/72/17/394357217.db2.gz RMYYIDCYUWWRLJ-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN O=C(NC1CCC1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000494562903 394358143 /nfs/dbraw/zinc/35/81/43/394358143.db2.gz HPWSDMBRPFAPLX-UHFFFAOYSA-N 0 0 289.335 2.821 20 5 CFBDRN Cc1ccsc1[C@@H](CO)NCc1ccccc1[N+](=O)[O-] ZINC000494634790 394361287 /nfs/dbraw/zinc/36/12/87/394361287.db2.gz IJZPAGQTWJIQNO-GFCCVEGCSA-N 0 0 292.360 2.788 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(F)cc1 ZINC000494641947 394361649 /nfs/dbraw/zinc/36/16/49/394361649.db2.gz HQTMEHSKDVGNET-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1cnc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000519741331 394377212 /nfs/dbraw/zinc/37/72/12/394377212.db2.gz YJMBMAAKDQMQGY-SECBINFHSA-N 0 0 275.264 2.633 20 5 CFBDRN C[C@H]1Cc2ccccc2N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000178156691 394386291 /nfs/dbraw/zinc/38/62/91/394386291.db2.gz HKQQNNOXLUZQKI-JTQLQIEISA-N 0 0 297.314 2.768 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])O1 ZINC000457459452 394461441 /nfs/dbraw/zinc/46/14/41/394461441.db2.gz WAIJIOYPWDRHTQ-PSASIEDQSA-N 0 0 298.726 2.546 20 5 CFBDRN Cn1ccc2cccc(NC(=O)c3cc([N+](=O)[O-])cn3C)c21 ZINC000530415077 394515408 /nfs/dbraw/zinc/51/54/08/394515408.db2.gz FTVWPYWCRFUULE-UHFFFAOYSA-N 0 0 298.302 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1ncccc1F)CCN2 ZINC000530375488 394509512 /nfs/dbraw/zinc/50/95/12/394509512.db2.gz SKCONKDRMBHPNK-UHFFFAOYSA-N 0 0 288.282 2.709 20 5 CFBDRN Cc1ccc(NC(=O)[C@@]2(C)CCCCO2)cc1[N+](=O)[O-] ZINC000457365305 394441758 /nfs/dbraw/zinc/44/17/58/394441758.db2.gz DZIQRRRZOMUABB-CQSZACIVSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1cccc(F)c1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000537338782 394580664 /nfs/dbraw/zinc/58/06/64/394580664.db2.gz KVMHYUXGELLATJ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@H]2CCCC[C@@H]2O)c1 ZINC000537570195 394585472 /nfs/dbraw/zinc/58/54/72/394585472.db2.gz VXUCGHRPQDOOSK-DOMZBBRYSA-N 0 0 278.352 2.891 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H]1CCCOC1 ZINC000535365021 394534342 /nfs/dbraw/zinc/53/43/42/394534342.db2.gz YLBFUHXDEUZKFK-NSHDSACASA-N 0 0 279.292 2.603 20 5 CFBDRN Cc1cc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)c(C)cn1 ZINC000535749187 394541846 /nfs/dbraw/zinc/54/18/46/394541846.db2.gz QKJOQSKLXGEVOT-UHFFFAOYSA-N 0 0 299.330 2.518 20 5 CFBDRN Cc1cccc(OC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000535892756 394550098 /nfs/dbraw/zinc/55/00/98/394550098.db2.gz RXPXTALVTYSSPL-NSHDSACASA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1cc(NCCCc2cccs2)ncc1[N+](=O)[O-] ZINC000539865899 394637581 /nfs/dbraw/zinc/63/75/81/394637581.db2.gz JDVDTCAOAMKHKC-UHFFFAOYSA-N 0 0 277.349 2.826 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2[C@@H](C)C[C@@H]2C)c1 ZINC000540687789 394651422 /nfs/dbraw/zinc/65/14/22/394651422.db2.gz MYNNRLZGEJPNLW-RYUDHWBXSA-N 0 0 292.335 2.681 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@@H](C)S[C@H](C)C2)n1 ZINC000543791645 394743961 /nfs/dbraw/zinc/74/39/61/394743961.db2.gz QBZKEUWBVNSREA-GHMZBOCLSA-N 0 0 281.381 2.937 20 5 CFBDRN Cc1ccc([C@@H]2CCN(C(=O)c3cc([N+](=O)[O-])c[nH]3)C2)cc1 ZINC000541508885 394674545 /nfs/dbraw/zinc/67/45/45/394674545.db2.gz TTYCBGKCMLOWKA-CYBMUJFWSA-N 0 0 299.330 2.861 20 5 CFBDRN CCCCC[C@@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000544847386 394772136 /nfs/dbraw/zinc/77/21/36/394772136.db2.gz VRPMMQZLLRUYIW-LLVKDONJSA-N 0 0 264.329 2.934 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)ncn1 ZINC000545575074 394797880 /nfs/dbraw/zinc/79/78/80/394797880.db2.gz JOKYOQSYYMSBRV-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(CC2CC2)n1 ZINC000546403628 394825543 /nfs/dbraw/zinc/82/55/43/394825543.db2.gz PERZGMUHKPSWGG-UHFFFAOYSA-N 0 0 259.265 2.906 20 5 CFBDRN Cc1cc(=O)n(Cc2ccc3ncccc3c2)cc1[N+](=O)[O-] ZINC000546021202 394815817 /nfs/dbraw/zinc/81/58/17/394815817.db2.gz ZLBZSIRWCRIHBE-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(CCNc2ccccc2[N+](=O)[O-])n1 ZINC000546482771 394828160 /nfs/dbraw/zinc/82/81/60/394828160.db2.gz FAUWRDISBPQDAN-VHSXEESVSA-N 0 0 288.307 2.756 20 5 CFBDRN CCc1cnccc1-c1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000547153309 394853120 /nfs/dbraw/zinc/85/31/20/394853120.db2.gz WVERQVAAVHEHRA-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000547180071 394853547 /nfs/dbraw/zinc/85/35/47/394853547.db2.gz GVBKHNFVNUCJDG-KWQFWETISA-N 0 0 259.265 2.692 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+][C@H]1C[C@@H](O)C1(C)C ZINC000547979659 394900619 /nfs/dbraw/zinc/90/06/19/394900619.db2.gz TZSXLKKSIAAXRF-LEWSCRJBSA-N 0 0 278.352 2.713 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cn1)C1CCC(F)(F)CC1 ZINC000554662200 394955043 /nfs/dbraw/zinc/95/50/43/394955043.db2.gz IQEVPDWFAFVBIT-UHFFFAOYSA-N 0 0 299.277 2.640 20 5 CFBDRN CSc1cccc(C(=O)NC2(C3CC3)CC2)c1[N+](=O)[O-] ZINC000548274165 394919556 /nfs/dbraw/zinc/91/95/56/394919556.db2.gz RYLYMHJRWAGKFY-UHFFFAOYSA-N 0 0 292.360 2.989 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000548754422 394951469 /nfs/dbraw/zinc/95/14/69/394951469.db2.gz IIOHSWDCNAODEC-RKDXNWHRSA-N 0 0 297.742 2.669 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)[C@H]1CCC[C@H](C)C1 ZINC000556693464 395009174 /nfs/dbraw/zinc/00/91/74/395009174.db2.gz ANLNRLKLOIMBAI-SRVKXCTJSA-N 0 0 291.351 2.934 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000554741382 394957909 /nfs/dbraw/zinc/95/79/09/394957909.db2.gz OVAANTCMSWTSDQ-UHFFFAOYSA-N 0 0 296.270 2.975 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1C[C@@H]2CCC[C@H]2C1 ZINC000153941649 296500704 /nfs/dbraw/zinc/50/07/04/296500704.db2.gz HCRXKDPCJLRWGM-IUCAKERBSA-N 0 0 267.716 2.880 20 5 CFBDRN CCCC[C@@H](COC)Nc1ccc([N+](=O)[O-])nc1 ZINC000558326471 395057406 /nfs/dbraw/zinc/05/74/06/395057406.db2.gz RHKXCTNAIHCGKD-NSHDSACASA-N 0 0 253.302 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(S[C@H]2CCOC2)c(F)c1 ZINC000335389313 296501137 /nfs/dbraw/zinc/50/11/37/296501137.db2.gz JONRXWUYMZXKNN-ZETCQYMHSA-N 0 0 261.249 2.754 20 5 CFBDRN C[C@H]1C[C@@H]1Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000558520454 395062786 /nfs/dbraw/zinc/06/27/86/395062786.db2.gz UAOWAIQTRCAWBE-NKWVEPMBSA-N 0 0 265.294 2.905 20 5 CFBDRN Cc1cc(N(C)C2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000558643642 395068109 /nfs/dbraw/zinc/06/81/09/395068109.db2.gz OLGZDUKMXRRTRI-UHFFFAOYSA-N 0 0 268.288 2.658 20 5 CFBDRN CC(C)C1CCC(CNC(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000558764327 395075903 /nfs/dbraw/zinc/07/59/03/395075903.db2.gz PAMZEXGPLRWCSI-UHFFFAOYSA-N 0 0 294.355 2.510 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOCC2(CCC2)C1 ZINC000364005802 296501415 /nfs/dbraw/zinc/50/14/15/296501415.db2.gz YDMUGSOMZWRTLT-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCC[C@H]4OCC[C@H]43)ccc2c1 ZINC000376669406 296510306 /nfs/dbraw/zinc/51/03/06/296510306.db2.gz CCDGFTRYHRDYPP-HUUCEWRRSA-N 0 0 299.330 2.901 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000281533179 285721619 /nfs/dbraw/zinc/72/16/19/285721619.db2.gz AMNTZXBDKSJMFL-ZWNOBZJWSA-N 0 0 292.335 2.801 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CCS1 ZINC000191949872 296538800 /nfs/dbraw/zinc/53/88/00/296538800.db2.gz HREQHKUYLCFZHM-SECBINFHSA-N 0 0 298.339 2.702 20 5 CFBDRN C/C=C/C=C/C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000103930509 397595691 /nfs/dbraw/zinc/59/56/91/397595691.db2.gz JLFDANBHNHZZIQ-MQQKCMAXSA-N 0 0 298.302 2.851 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])C[C@@H]1CCC[C@@H]1O ZINC000119547258 397600809 /nfs/dbraw/zinc/60/08/09/397600809.db2.gz PEZGPRAUXHLDFX-HZMBPMFUSA-N 0 0 298.770 2.841 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])C[C@H]1CCC[C@@H]1O ZINC000119547415 397600918 /nfs/dbraw/zinc/60/09/18/397600918.db2.gz PEZGPRAUXHLDFX-YGRLFVJLSA-N 0 0 298.770 2.841 20 5 CFBDRN COc1ncccc1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000148035988 397604628 /nfs/dbraw/zinc/60/46/28/397604628.db2.gz NXECSYFILLNEKC-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H]1CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])CC1(C)C ZINC000342832231 296564740 /nfs/dbraw/zinc/56/47/40/296564740.db2.gz RNQAOXHMQXLJJB-SNVBAGLBSA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1nc(N(C)CCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000301664074 397638947 /nfs/dbraw/zinc/63/89/47/397638947.db2.gz VSCSWZBXGYFSBQ-UHFFFAOYSA-N 0 0 263.219 2.687 20 5 CFBDRN CO[C@@]1(C)CCCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000280200076 285918875 /nfs/dbraw/zinc/91/88/75/285918875.db2.gz GADBPJURHZGGPM-ZDUSSCGKSA-N 0 0 286.278 2.878 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2ncccc2[N+](=O)[O-])C1(C)C ZINC000301438993 285928853 /nfs/dbraw/zinc/92/88/53/285928853.db2.gz UFFKVLSPGRLICC-GWCFXTLKSA-N 0 0 265.313 2.605 20 5 CFBDRN Cc1ccc(-c2noc(-c3cc([N+](=O)[O-])cnc3C)n2)cn1 ZINC000355989622 155137073 /nfs/dbraw/zinc/13/70/73/155137073.db2.gz WLJCKBMGZXGMTR-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1ccc(-c2noc([C@@]3(C)CCOC3)n2)cc1[N+](=O)[O-] ZINC000355988239 155137714 /nfs/dbraw/zinc/13/77/14/155137714.db2.gz SDOJLQGOAZXJSS-AWEZNQCLSA-N 0 0 289.291 2.631 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000353872990 285953202 /nfs/dbraw/zinc/95/32/02/285953202.db2.gz GYFZAUVSMHGKDD-GFCCVEGCSA-N 0 0 294.351 2.789 20 5 CFBDRN COc1ncccc1-c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000356269312 155203257 /nfs/dbraw/zinc/20/32/57/155203257.db2.gz YUWKABCYBHQPMV-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CO[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])CC[C@H]1C ZINC000286708045 286074210 /nfs/dbraw/zinc/07/42/10/286074210.db2.gz OUIPOOYRVKQRQP-SKDRFNHKSA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2c1ccc([N+](=O)[O-])cc1F ZINC000286179254 286121713 /nfs/dbraw/zinc/12/17/13/286121713.db2.gz AJFZUNWQHVDMPJ-UBSPAHDZSA-N 0 0 292.310 2.594 20 5 CFBDRN CO[C@](C)(CNc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000292183241 286146785 /nfs/dbraw/zinc/14/67/85/286146785.db2.gz BBQOVRGRHLUXKW-CYBMUJFWSA-N 0 0 268.288 2.961 20 5 CFBDRN CO[C@](C)([C@H](C)NC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000412622925 286150611 /nfs/dbraw/zinc/15/06/11/286150611.db2.gz WZQSNIPVRHELFZ-ISVAXAHUSA-N 0 0 298.364 2.590 20 5 CFBDRN CCC[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])OC ZINC000356418063 155259821 /nfs/dbraw/zinc/25/98/21/155259821.db2.gz RIEGBWHWTFRNQG-JTQLQIEISA-N 0 0 256.277 2.961 20 5 CFBDRN COc1cc(C(=O)N2[C@@H](C)CC[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000189289530 286200335 /nfs/dbraw/zinc/20/03/35/286200335.db2.gz QHCYWKURNPQQJN-UWVGGRQHSA-N 0 0 292.335 2.925 20 5 CFBDRN COc1cc(C(=O)NC2(C(C)C)CC2)c([N+](=O)[O-])cc1F ZINC000297829120 286200966 /nfs/dbraw/zinc/20/09/66/286200966.db2.gz QMHNXDSPDVSSRU-UHFFFAOYSA-N 0 0 296.298 2.661 20 5 CFBDRN COc1cc(N2CCC3(CCO3)CC2)c([N+](=O)[O-])cc1F ZINC000374408609 286228676 /nfs/dbraw/zinc/22/86/76/286228676.db2.gz IUJBFIUQHUIBDQ-UHFFFAOYSA-N 0 0 296.298 2.502 20 5 CFBDRN COc1cc(N2CCC[C@](C)(OC)C2)c([N+](=O)[O-])cc1F ZINC000280137024 286229467 /nfs/dbraw/zinc/22/94/67/286229467.db2.gz BPTOUUAGXMLPFI-AWEZNQCLSA-N 0 0 298.314 2.748 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H]2C)c1 ZINC000356719454 155339335 /nfs/dbraw/zinc/33/93/35/155339335.db2.gz BGWIXKTZAYZTNF-LDYMZIIASA-N 0 0 280.349 2.845 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C/c2nc(Cc3ccon3)no2)c1 ZINC000356755152 155356381 /nfs/dbraw/zinc/35/63/81/155356381.db2.gz PRUDBIXASPBCKM-SNAWJCMRSA-N 0 0 298.258 2.727 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000356756602 155355706 /nfs/dbraw/zinc/35/57/06/155355706.db2.gz ODCYVZVHJKTSCI-MWLCHTKSSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cccc(CN(C)c2ncc([N+](=O)[O-])cc2C)n1 ZINC000356801326 155373658 /nfs/dbraw/zinc/37/36/58/155373658.db2.gz GHIUCNCLKGYRBV-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN O=C(Nc1cccc2[nH]c(=O)oc21)c1cccc([N+](=O)[O-])c1 ZINC000356810406 155377154 /nfs/dbraw/zinc/37/71/54/155377154.db2.gz CUUXYXAEBPPDJO-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(Cc2ccon2)no1 ZINC000356810784 155377330 /nfs/dbraw/zinc/37/73/30/155377330.db2.gz WJSNVEUTYVQJMS-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1CCC(F)(F)C1 ZINC000334968598 292281865 /nfs/dbraw/zinc/28/18/65/292281865.db2.gz SWYRERSLRXQISQ-SECBINFHSA-N 0 0 272.251 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCc3ncccc32)c1 ZINC000298075406 292282326 /nfs/dbraw/zinc/28/23/26/292282326.db2.gz MGGSCSQZGCPVCV-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)C(F)(F)F)c1 ZINC000297627866 292283258 /nfs/dbraw/zinc/28/32/58/292283258.db2.gz AOFSFCNDHFMDIG-UHFFFAOYSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@]3(CCOC3)C2)c1 ZINC000450882727 292286093 /nfs/dbraw/zinc/28/60/93/292286093.db2.gz OKCLMLGIKFRATR-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H]3CCC[C@H]32)c1 ZINC000268052402 292287677 /nfs/dbraw/zinc/28/76/77/292287677.db2.gz UICUYTRBJQIQHC-OCCSQVGLSA-N 0 0 262.309 2.661 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCN(C)c3ccccc3C2)n1 ZINC000268827077 292286940 /nfs/dbraw/zinc/28/69/40/292286940.db2.gz RDEWOFRJWZUWNJ-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC[C@H](C3CCC3)C2)n1 ZINC000292367656 292287302 /nfs/dbraw/zinc/28/73/02/292287302.db2.gz RTFCBEZQBZMLOO-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCc3ncsc3C2)c1 ZINC000338716096 286383466 /nfs/dbraw/zinc/38/34/66/286383466.db2.gz VQULVOVPCJCAIN-UHFFFAOYSA-N 0 0 291.332 2.623 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]3OCC[C@H]32)n1 ZINC000247051708 292289949 /nfs/dbraw/zinc/28/99/49/292289949.db2.gz OXPRCALEAHKZQB-LOWVWBTDSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H]3C[C@@H](O)CC[C@H]3C2)c1 ZINC000450816914 292288088 /nfs/dbraw/zinc/28/80/88/292288088.db2.gz WZIWSLYZWWXBRQ-RDBSUJKOSA-N 0 0 290.363 2.891 20 5 CFBDRN CCOc1cc(N2C[C@H](C)OC[C@H]2CC)ccc1[N+](=O)[O-] ZINC000356846176 155393330 /nfs/dbraw/zinc/39/33/30/155393330.db2.gz YZISMXZWDXDPCM-NWDGAFQWSA-N 0 0 294.351 2.997 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC2CCCCC2)c1 ZINC000049027296 286381591 /nfs/dbraw/zinc/38/15/91/286381591.db2.gz LYDBDACDDLWJHJ-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@H](C)C2)c1 ZINC000123960184 292290354 /nfs/dbraw/zinc/29/03/54/292290354.db2.gz QKEKNHKPBKDRLZ-MNOVXSKESA-N 0 0 250.298 2.883 20 5 CFBDRN COc1ccc2c(c1)CN(c1nccc(C)c1[N+](=O)[O-])CC2 ZINC000301310335 286399884 /nfs/dbraw/zinc/39/98/84/286399884.db2.gz ZHSZRGLAVNUHGJ-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN NC(=O)c1cccc(NC[C@@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000343074383 154104421 /nfs/dbraw/zinc/10/44/21/154104421.db2.gz WCKLFDKAKPNPSH-MRVPVSSYSA-N 0 0 299.277 2.541 20 5 CFBDRN Cc1cc(CNc2c(F)cccc2[N+](=O)[O-])nc(C)n1 ZINC000343143851 154124230 /nfs/dbraw/zinc/12/42/30/154124230.db2.gz XUGFTQBMADZPGV-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1ccc(Cl)cn1 ZINC000343221405 154145466 /nfs/dbraw/zinc/14/54/66/154145466.db2.gz ANERSUYLGQLEIM-SSDOTTSWSA-N 0 0 279.687 2.606 20 5 CFBDRN CCCN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000343219739 154146153 /nfs/dbraw/zinc/14/61/53/154146153.db2.gz WJCKSHZXXWAMLC-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN CSc1cccc(F)c1CNc1ncc([N+](=O)[O-])s1 ZINC000343233237 154150732 /nfs/dbraw/zinc/15/07/32/154150732.db2.gz HYFKAFISMUQKKI-UHFFFAOYSA-N 0 0 299.352 2.946 20 5 CFBDRN COCCN(C)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000343247339 154153174 /nfs/dbraw/zinc/15/31/74/154153174.db2.gz QQIGDKQMBFJFBR-UHFFFAOYSA-N 0 0 282.340 2.855 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC(F)C1 ZINC000345562246 154205974 /nfs/dbraw/zinc/20/59/74/154205974.db2.gz VFPOHRAZWILXRD-UHFFFAOYSA-N 0 0 298.339 2.891 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000345577304 154210045 /nfs/dbraw/zinc/21/00/45/154210045.db2.gz AVQAHXBPVWIYFN-GHMZBOCLSA-N 0 0 295.314 2.647 20 5 CFBDRN C[C@@H]1[C@@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)C1(F)F ZINC000345580042 154211176 /nfs/dbraw/zinc/21/11/76/154211176.db2.gz XNLIBUWNHGCYPM-HQJQHLMTSA-N 0 0 295.245 2.937 20 5 CFBDRN CCc1csc(CC(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000345623955 154222355 /nfs/dbraw/zinc/22/23/55/154222355.db2.gz FDKBPYHFRAQEDL-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN Cc1ccc(NC(=O)C(C)(C)c2c[nH]cn2)cc1[N+](=O)[O-] ZINC000345639787 154228747 /nfs/dbraw/zinc/22/87/47/154228747.db2.gz LZKQKKHBEJFXEV-UHFFFAOYSA-N 0 0 288.307 2.543 20 5 CFBDRN CO[C@H](c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1)C(C)C ZINC000345644666 154229936 /nfs/dbraw/zinc/22/99/36/154229936.db2.gz JAMTVQAWTYJCRE-NSHDSACASA-N 0 0 292.295 2.571 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](Oc3ccncc3)C2)c1 ZINC000345695466 154242743 /nfs/dbraw/zinc/24/27/43/154242743.db2.gz PUWKDJUMCFNSFY-AWEZNQCLSA-N 0 0 299.330 2.956 20 5 CFBDRN CC1(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1 ZINC000345700217 154244313 /nfs/dbraw/zinc/24/43/13/154244313.db2.gz VOEVTFWXUGXFOQ-UHFFFAOYSA-N 0 0 259.265 2.987 20 5 CFBDRN COc1c(C(=O)NCCC2(F)CCC2)cccc1[N+](=O)[O-] ZINC000345714041 154248735 /nfs/dbraw/zinc/24/87/35/154248735.db2.gz QDAIJDURZVVEQU-UHFFFAOYSA-N 0 0 296.298 2.616 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCCC1(F)CCC1 ZINC000345725391 154250591 /nfs/dbraw/zinc/25/05/91/154250591.db2.gz HXINPYDXVRIXBO-UHFFFAOYSA-N 0 0 296.298 2.616 20 5 CFBDRN CC(C)(NC(=O)c1ccco1)c1ccccc1[N+](=O)[O-] ZINC000345791991 154268640 /nfs/dbraw/zinc/26/86/40/154268640.db2.gz ZSZZSTGCPIAHIH-UHFFFAOYSA-N 0 0 274.276 2.853 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1c1ccccc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000348076452 154308072 /nfs/dbraw/zinc/30/80/72/154308072.db2.gz DDEVLNCWXYLUNN-ZIAGYGMSSA-N 0 0 299.330 2.989 20 5 CFBDRN Cc1noc([C@@H](C)NCc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000348093503 154317253 /nfs/dbraw/zinc/31/72/53/154317253.db2.gz AMMHTLZFMHMXBF-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCC1(C)C ZINC000348138617 154335929 /nfs/dbraw/zinc/33/59/29/154335929.db2.gz IVYOENWFVAMKLM-LBPRGKRZSA-N 0 0 293.323 2.914 20 5 CFBDRN CCOC(=O)c1ccnc(NC[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000348175963 154350948 /nfs/dbraw/zinc/35/09/48/154350948.db2.gz QEINQJVDJFICQQ-SECBINFHSA-N 0 0 293.323 2.625 20 5 CFBDRN CCOC(=O)C[C@H](C)Sc1cc(C)c([N+](=O)[O-])cn1 ZINC000348180782 154351094 /nfs/dbraw/zinc/35/10/94/154351094.db2.gz LUNBQAGKUHOSER-VIFPVBQESA-N 0 0 284.337 2.732 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2C[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000348176275 154351145 /nfs/dbraw/zinc/35/11/45/154351145.db2.gz XNFZNEAJCQPTGJ-DTWKUNHWSA-N 0 0 285.250 2.678 20 5 CFBDRN C[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000348238750 154374549 /nfs/dbraw/zinc/37/45/49/154374549.db2.gz ZGGXAOFNVUOWKB-BONVTDFDSA-N 0 0 294.326 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCc1cccnc1)CC2 ZINC000348255704 154382430 /nfs/dbraw/zinc/38/24/30/154382430.db2.gz SJTJLHYVKCWXNF-UHFFFAOYSA-N 0 0 269.304 2.595 20 5 CFBDRN COc1cc(CN2CCc3ccc([N+](=O)[O-])cc32)ccn1 ZINC000348259646 154384666 /nfs/dbraw/zinc/38/46/66/154384666.db2.gz GYTLHPFQQFQZQL-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2cncs2)ccc1[N+](=O)[O-] ZINC000348270037 154388234 /nfs/dbraw/zinc/38/82/34/154388234.db2.gz STPMIJIQHWVXPV-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)[nH]1 ZINC000352102651 154470149 /nfs/dbraw/zinc/47/01/49/154470149.db2.gz PPULHEQAGLQKKK-UHFFFAOYSA-N 0 0 291.238 2.517 20 5 CFBDRN C[C@@H](Nc1nc2ccccc2cc1[N+](=O)[O-])c1ccon1 ZINC000352367070 154544590 /nfs/dbraw/zinc/54/45/90/154544590.db2.gz LHMJOLLKHMPTMK-SECBINFHSA-N 0 0 284.275 2.726 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)[C@H](C)c1ccccc1 ZINC000352379312 154549403 /nfs/dbraw/zinc/54/94/03/154549403.db2.gz FMQULBWXYATROL-WDEREUQCSA-N 0 0 287.319 2.845 20 5 CFBDRN Cc1cc(C)cc(CCNc2c([N+](=O)[O-])ncn2C)c1 ZINC000354322981 154675383 /nfs/dbraw/zinc/67/53/83/154675383.db2.gz ADWHERFUONSJRU-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN CC(C)(CF)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000354434763 154707021 /nfs/dbraw/zinc/70/70/21/154707021.db2.gz JKSVNXBDPMPQGS-UHFFFAOYSA-N 0 0 288.706 2.655 20 5 CFBDRN COc1cccc(N2CCC3(C2)CCOCC3)c1[N+](=O)[O-] ZINC000274683405 286456473 /nfs/dbraw/zinc/45/64/73/286456473.db2.gz JFOZVOMMOGMFDN-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2cccc(C)c2[N+](=O)[O-])n1 ZINC000355408753 155001851 /nfs/dbraw/zinc/00/18/51/155001851.db2.gz IRGCVMKJJQVADO-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Nc1ccc(-c2noc(/C=C\c3ccco3)n2)cc1[N+](=O)[O-] ZINC000355424189 155008154 /nfs/dbraw/zinc/00/81/54/155008154.db2.gz FRYHWZDXKXLVCN-XQRVVYSFSA-N 0 0 298.258 2.990 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000355599361 155051115 /nfs/dbraw/zinc/05/11/15/155051115.db2.gz CNXSKIKRPZAKQY-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1cccnc1-c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355688826 155062877 /nfs/dbraw/zinc/06/28/77/155062877.db2.gz JLQDIYUZSGDJIP-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@H]2CCCCO2)n1 ZINC000355689107 155063719 /nfs/dbraw/zinc/06/37/19/155063719.db2.gz KJYWPYVPXOMXHR-GFCCVEGCSA-N 0 0 289.291 2.810 20 5 CFBDRN CCCCOCc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355689466 155063736 /nfs/dbraw/zinc/06/37/36/155063736.db2.gz LWTPZUMBSFNBDA-UHFFFAOYSA-N 0 0 291.307 2.885 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(CCc2ccoc2)n1 ZINC000355692005 155063978 /nfs/dbraw/zinc/06/39/78/155063978.db2.gz WOJDUFBRSGZIOT-UHFFFAOYSA-N 0 0 299.286 2.947 20 5 CFBDRN Cc1ccnc(NC[C@@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000355776339 155081776 /nfs/dbraw/zinc/08/17/76/155081776.db2.gz ABLYSFZFCBYNTB-SSDOTTSWSA-N 0 0 263.219 2.909 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(Cc3ccncc3)no2)c1 ZINC000355816417 155095932 /nfs/dbraw/zinc/09/59/32/155095932.db2.gz YZWIAOMUBNWQIZ-UHFFFAOYSA-N 0 0 296.286 2.554 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ccc([N+](=O)[O-])nc1)CCO2 ZINC000343641352 292327899 /nfs/dbraw/zinc/32/78/99/292327899.db2.gz ZILNXRMQBMYRAE-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN Cc1ccc(CNC(=O)Cc2cccc([N+](=O)[O-])c2)s1 ZINC000358385497 155745068 /nfs/dbraw/zinc/74/50/68/155745068.db2.gz DKFJDSQQUSEBSF-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000358389659 155746345 /nfs/dbraw/zinc/74/63/45/155746345.db2.gz GQMZFJHJLHXELD-HNNXBMFYSA-N 0 0 294.326 2.925 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358387559 155747317 /nfs/dbraw/zinc/74/73/17/155747317.db2.gz CJSJTHXMINSLRI-LLVKDONJSA-N 0 0 280.299 2.677 20 5 CFBDRN CS[C@@H](C)CCC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000358491602 155781249 /nfs/dbraw/zinc/78/12/49/155781249.db2.gz OGHYULLZVREGDH-NSHDSACASA-N 0 0 296.392 2.785 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC[C@@H](O)C(F)(F)F ZINC000358514580 155788859 /nfs/dbraw/zinc/78/88/59/155788859.db2.gz ZWXIVEKTYYSQKH-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN CC[C@@H](C)C(=O)COc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000358852974 155897960 /nfs/dbraw/zinc/89/79/60/155897960.db2.gz HTMZQCFEJZCSCS-MRVPVSSYSA-N 0 0 266.297 2.601 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCN1CCCCC1=O ZINC000358901479 155909775 /nfs/dbraw/zinc/90/97/75/155909775.db2.gz BOVDGIVEFCDAQQ-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN CCN(CC)C(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000358961387 155930624 /nfs/dbraw/zinc/93/06/24/155930624.db2.gz WHDRPXIEHDVATP-UHFFFAOYSA-N 0 0 288.250 2.678 20 5 CFBDRN C[C@H](NC(=O)[C@H]1[C@H](C)C1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000359031147 155959886 /nfs/dbraw/zinc/95/98/86/155959886.db2.gz NTVRYQJRTJKNFW-DKCNOQQISA-N 0 0 284.262 2.673 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2C[C@@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359154783 156007691 /nfs/dbraw/zinc/00/76/91/156007691.db2.gz AUKIETCVASZNDP-ZIAGYGMSSA-N 0 0 284.315 2.869 20 5 CFBDRN O=c1ccn(C[C@H]2C[C@@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359172070 156012839 /nfs/dbraw/zinc/01/28/39/156012839.db2.gz XCBOOIMEEDONSS-CHWSQXEVSA-N 0 0 270.288 2.560 20 5 CFBDRN COc1cccc(F)c1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000360184897 156045594 /nfs/dbraw/zinc/04/55/94/156045594.db2.gz VGIGFVITQIKLAV-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COC(=O)c1cc(NCC(C)C)c(C)c([N+](=O)[O-])c1 ZINC000362751657 156079869 /nfs/dbraw/zinc/07/98/69/156079869.db2.gz IQOSSPLOMNBQAA-UHFFFAOYSA-N 0 0 266.297 2.758 20 5 CFBDRN CSc1cccc(C(=O)NC[C@@H]2C[C@H]2C)c1[N+](=O)[O-] ZINC000362842502 156097152 /nfs/dbraw/zinc/09/71/52/156097152.db2.gz ZBOPTLKOBIDVTE-BDAKNGLRSA-N 0 0 280.349 2.703 20 5 CFBDRN COc1ccc(CNC(=O)CCC2CCC2)cc1[N+](=O)[O-] ZINC000362906821 156111934 /nfs/dbraw/zinc/11/19/34/156111934.db2.gz YKEABEMAALFITA-UHFFFAOYSA-N 0 0 292.335 2.800 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000362939356 156122185 /nfs/dbraw/zinc/12/21/85/156122185.db2.gz ZHGMZEGQNFBWAX-UHFFFAOYSA-N 0 0 279.340 2.965 20 5 CFBDRN CC[C@H](C)[C@H](CNc1ncc(C)cc1[N+](=O)[O-])OC ZINC000362975902 156133790 /nfs/dbraw/zinc/13/37/90/156133790.db2.gz HKGJXHWLADMQSM-JQWIXIFHSA-N 0 0 267.329 2.771 20 5 CFBDRN CO[C@H](CNc1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000362977369 156134236 /nfs/dbraw/zinc/13/42/36/156134236.db2.gz QMWDPHOKYIHJTC-CYBMUJFWSA-N 0 0 252.314 2.986 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1c([N+](=O)[O-])c(C)nn1CC)OC ZINC000362977091 156134613 /nfs/dbraw/zinc/13/46/13/156134613.db2.gz ONUSZWJVOQUVKI-MWLCHTKSSA-N 0 0 284.360 2.593 20 5 CFBDRN CO[C@@H](CNc1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000362977370 156134646 /nfs/dbraw/zinc/13/46/46/156134646.db2.gz QMWDPHOKYIHJTC-ZDUSSCGKSA-N 0 0 252.314 2.986 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@H]1C1CC1 ZINC000363385685 156175972 /nfs/dbraw/zinc/17/59/72/156175972.db2.gz CJOLWMXVEFNKRD-JTQLQIEISA-N 0 0 266.322 2.671 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@@H](Nc2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000367154221 156289244 /nfs/dbraw/zinc/28/92/44/156289244.db2.gz RZRRFMHDFMTGKC-FXBDTBDDSA-N 0 0 283.353 2.667 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@H](c3ccco3)C2)c1 ZINC000367175161 156292812 /nfs/dbraw/zinc/29/28/12/156292812.db2.gz ZAQHOXOLTZRCPT-JTQLQIEISA-N 0 0 273.292 2.764 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC2(CCC2)CC1 ZINC000367641256 156370686 /nfs/dbraw/zinc/37/06/86/156370686.db2.gz BPRZTWMZBZJWEI-UHFFFAOYSA-N 0 0 264.281 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](OCC3CC3)C2)cc1 ZINC000373593958 157011849 /nfs/dbraw/zinc/01/18/49/157011849.db2.gz FIRSVJJCCCWLHO-OAHLLOKOSA-N 0 0 276.336 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H](CO)c3ccccc32)nc1 ZINC000373637897 157018094 /nfs/dbraw/zinc/01/80/94/157018094.db2.gz JUOPZQLTQHVZSX-IINYFYTJSA-N 0 0 285.303 2.623 20 5 CFBDRN CO[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000374712831 157153549 /nfs/dbraw/zinc/15/35/49/157153549.db2.gz DFRFZBFOMZGDJD-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@H]3OCC[C@H]32)ccc1[N+](=O)[O-] ZINC000376397466 157350891 /nfs/dbraw/zinc/35/08/91/157350891.db2.gz UABRIMGXPCSHOK-HUUCEWRRSA-N 0 0 290.319 2.555 20 5 CFBDRN Nc1ccc(N[C@@H]2C[C@@H](CO)c3ccccc32)c([N+](=O)[O-])c1 ZINC000376435902 157354563 /nfs/dbraw/zinc/35/45/63/157354563.db2.gz PKAZSONAKWOMCW-ZUZCIYMTSA-N 0 0 299.330 2.810 20 5 CFBDRN Nc1ccc(N[C@H]2C[C@H](CO)c3ccccc32)c([N+](=O)[O-])c1 ZINC000376435899 157355240 /nfs/dbraw/zinc/35/52/40/157355240.db2.gz PKAZSONAKWOMCW-BMIGLBTASA-N 0 0 299.330 2.810 20 5 CFBDRN C[C@@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000376473867 157359765 /nfs/dbraw/zinc/35/97/65/157359765.db2.gz JDHOGDGEBBCRMB-GJZGRUSLSA-N 0 0 291.351 2.794 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)C(C)(C)C1 ZINC000408217412 157387596 /nfs/dbraw/zinc/38/75/96/157387596.db2.gz YYNMOIJLEFJYBE-JTQLQIEISA-N 0 0 291.351 2.806 20 5 CFBDRN CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1N)c1ccccc1 ZINC000048244215 161501451 /nfs/dbraw/zinc/50/14/51/161501451.db2.gz YBUTVZQXVDEIOZ-UHFFFAOYSA-N 0 0 289.266 2.593 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)C1CCCCC1 ZINC000076505433 161506959 /nfs/dbraw/zinc/50/69/59/161506959.db2.gz FMZOUGOVJKECLA-SECBINFHSA-N 0 0 266.297 2.886 20 5 CFBDRN O=c1cc(CNc2ccc([N+](=O)[O-])c3cccnc23)cc[nH]1 ZINC000340836266 161601291 /nfs/dbraw/zinc/60/12/91/161601291.db2.gz STJBHNAXADXBQV-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN C[C@@H](CNc1c(F)cccc1[N+](=O)[O-])N1CCCCC1=O ZINC000342962060 161744493 /nfs/dbraw/zinc/74/44/93/161744493.db2.gz RTSYRZFMWJYVDJ-JTQLQIEISA-N 0 0 295.314 2.547 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H](COC(F)F)C1 ZINC000343502545 161784735 /nfs/dbraw/zinc/78/47/35/161784735.db2.gz DDSSOZWOJZHMBX-QMMMGPOBSA-N 0 0 290.241 2.799 20 5 CFBDRN Cc1cc(N2CC[C@@H](COC(F)F)C2)ccc1[N+](=O)[O-] ZINC000343512144 161789300 /nfs/dbraw/zinc/78/93/00/161789300.db2.gz XZZFHSMYXLLJJG-SNVBAGLBSA-N 0 0 286.278 2.969 20 5 CFBDRN COc1cc(NC[C@H]2CCOC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000343551805 161800983 /nfs/dbraw/zinc/80/09/83/161800983.db2.gz UWZHMCJPNBJFTG-NXEZZACHSA-N 0 0 298.314 2.827 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3C[C@@H]3C(F)F)ccc2c1 ZINC000343760362 161854937 /nfs/dbraw/zinc/85/49/37/161854937.db2.gz IHSPVEOTJGTFRU-GXSJLCMTSA-N 0 0 279.246 2.630 20 5 CFBDRN CO[C@H](C)CN(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000343807580 161866869 /nfs/dbraw/zinc/86/68/69/161866869.db2.gz LRRKBBHWUMWISU-MRVPVSSYSA-N 0 0 258.705 2.719 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000343962981 161901451 /nfs/dbraw/zinc/90/14/51/161901451.db2.gz PMJKEVHIABIFPW-TVQRCGJNSA-N 0 0 294.326 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2cccnc2)cn1 ZINC000344428960 162042267 /nfs/dbraw/zinc/04/22/67/162042267.db2.gz IBFNELLVKPHXKR-CYBMUJFWSA-N 0 0 270.292 2.726 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@@H](CCO)c2ccccc2)cs1 ZINC000344454606 162045727 /nfs/dbraw/zinc/04/57/27/162045727.db2.gz DLSNMLKCVAERRS-ZDUSSCGKSA-N 0 0 292.360 2.870 20 5 CFBDRN Cc1csc(CNc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000344610451 162079716 /nfs/dbraw/zinc/07/97/16/162079716.db2.gz AHXTZUVTFSJNHY-UHFFFAOYSA-N 0 0 263.322 2.702 20 5 CFBDRN CC(C)c1nnc(CNCc2cccc([N+](=O)[O-])c2)s1 ZINC000344638906 162087589 /nfs/dbraw/zinc/08/75/89/162087589.db2.gz JMIPUQJZFCCUOY-UHFFFAOYSA-N 0 0 292.364 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc(F)cn2)c(F)c1 ZINC000344651224 162089433 /nfs/dbraw/zinc/08/94/33/162089433.db2.gz ZFNUCLHAIXRKIN-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN O=C(NCCC1CCCCC1)c1ccc([N+](=O)[O-])o1 ZINC000344841080 162131726 /nfs/dbraw/zinc/13/17/26/162131726.db2.gz CSUDMMHMPOJJDY-UHFFFAOYSA-N 0 0 266.297 2.888 20 5 CFBDRN Cc1nc(C)c(NC(=O)c2cccc([N+](=O)[O-])c2N)s1 ZINC000345037814 162167921 /nfs/dbraw/zinc/16/79/21/162167921.db2.gz QXPQUUSBMLALNY-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN CSc1ccc(NC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000345209149 162203045 /nfs/dbraw/zinc/20/30/45/162203045.db2.gz PCECGAPPYCIILV-UHFFFAOYSA-N 0 0 291.332 2.908 20 5 CFBDRN CSC1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 ZINC000345521227 162263063 /nfs/dbraw/zinc/26/30/63/162263063.db2.gz DAOSCEFYYWYLTI-UHFFFAOYSA-N 0 0 277.305 2.997 20 5 CFBDRN CC1(Cc2nc(Cc3ccccc3[N+](=O)[O-])no2)CC1 ZINC000345522142 162263504 /nfs/dbraw/zinc/26/35/04/162263504.db2.gz AHVKGKOMOGMCID-UHFFFAOYSA-N 0 0 273.292 2.911 20 5 CFBDRN Cc1ccc(-c2noc([C@H]3CO[C@@H](C)C3)n2)cc1[N+](=O)[O-] ZINC000345970530 162288620 /nfs/dbraw/zinc/28/86/20/162288620.db2.gz CIOYWPHQIFPLCB-GXSJLCMTSA-N 0 0 289.291 2.846 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000345994317 162292831 /nfs/dbraw/zinc/29/28/31/162292831.db2.gz KDLQGQDFTWDXPX-LLVKDONJSA-N 0 0 291.351 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nc(-c3ncccn3)no2)cc1 ZINC000346041913 162303827 /nfs/dbraw/zinc/30/38/27/162303827.db2.gz BTWKHEJVSKTRJY-QPJJXVBHSA-N 0 0 295.258 2.605 20 5 CFBDRN Cc1c(-c2nc(Cc3ccccn3)no2)cccc1[N+](=O)[O-] ZINC000346040678 162304355 /nfs/dbraw/zinc/30/43/55/162304355.db2.gz AZSWAEYHWMVEPK-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C/c2nc(-c3ncccn3)no2)c1 ZINC000346057357 162308665 /nfs/dbraw/zinc/30/86/65/162308665.db2.gz MPONAQIHBVQQMQ-AATRIKPKSA-N 0 0 295.258 2.605 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000346057370 162309316 /nfs/dbraw/zinc/30/93/16/162309316.db2.gz DXCRHXPATAEVRL-UHFFFAOYSA-N 0 0 268.288 2.995 20 5 CFBDRN CC(C)c1cc(Oc2cccnc2[N+](=O)[O-])ncn1 ZINC000346611597 162463756 /nfs/dbraw/zinc/46/37/56/162463756.db2.gz NUEQWZZWGXAKGW-UHFFFAOYSA-N 0 0 260.253 2.696 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000346986457 162575082 /nfs/dbraw/zinc/57/50/82/162575082.db2.gz PTVLDNQAWDGMAC-CQSZACIVSA-N 0 0 296.298 2.568 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000347163999 162615311 /nfs/dbraw/zinc/61/53/11/162615311.db2.gz XZTRWBBCUYWQQA-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(C)OCCCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347292053 162646520 /nfs/dbraw/zinc/64/65/20/162646520.db2.gz VHYQNXPSHVUBOM-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C)CCC2CC2)ccc1[N+](=O)[O-] ZINC000347506644 162707781 /nfs/dbraw/zinc/70/77/81/162707781.db2.gz ZIFMFGYGWBLEMJ-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(F)cc1 ZINC000347504810 162708807 /nfs/dbraw/zinc/70/88/07/162708807.db2.gz AYMZAOJBDOCXEI-UHFFFAOYSA-N 0 0 288.234 2.555 20 5 CFBDRN COc1ncc(COc2ccc(C)cc2[N+](=O)[O-])c(C)n1 ZINC000347668124 162752308 /nfs/dbraw/zinc/75/23/08/162752308.db2.gz UWMIZAGSEOVTNF-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347975173 162811605 /nfs/dbraw/zinc/81/16/05/162811605.db2.gz HKBUTXBGIMVDML-NEPJUHHUSA-N 0 0 266.272 2.965 20 5 CFBDRN CC[C@H](C)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000348496357 162862414 /nfs/dbraw/zinc/86/24/14/162862414.db2.gz QOAVZDVUBWNTRK-CMPLNLGQSA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2C[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000349675809 163001829 /nfs/dbraw/zinc/00/18/29/163001829.db2.gz BJVVANCNRVAYAA-CHWSQXEVSA-N 0 0 274.320 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nc(-c3ncc[nH]3)no2)cc1 ZINC000349761258 163011491 /nfs/dbraw/zinc/01/14/91/163011491.db2.gz SBROAMBVHIJBIN-ZZXKWVIFSA-N 0 0 283.247 2.538 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1cnc(C)nc1 ZINC000349788823 163016070 /nfs/dbraw/zinc/01/60/70/163016070.db2.gz VGGBDDBADZPDKO-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CO[C@H](C)COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000349832006 163022054 /nfs/dbraw/zinc/02/20/54/163022054.db2.gz MHOQTQNJGWBPOL-MRVPVSSYSA-N 0 0 259.689 2.970 20 5 CFBDRN CSCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000349862646 163027798 /nfs/dbraw/zinc/02/77/98/163027798.db2.gz SSUYPDCIYXXMAY-UHFFFAOYSA-N 0 0 251.267 2.508 20 5 CFBDRN Cc1c(CNC(=O)NCCC2(C)CC2)cccc1[N+](=O)[O-] ZINC000349907947 163034829 /nfs/dbraw/zinc/03/48/29/163034829.db2.gz IXXGCKBUPKPHDK-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCCC(F)(F)F ZINC000349910689 163035154 /nfs/dbraw/zinc/03/51/54/163035154.db2.gz HMUFSAYJBCIKRR-UHFFFAOYSA-N 0 0 270.594 2.974 20 5 CFBDRN O=C(COc1c(Cl)cncc1[N+](=O)[O-])c1ccccc1 ZINC000349921857 163036459 /nfs/dbraw/zinc/03/64/59/163036459.db2.gz VHWIKNOBILPWOG-UHFFFAOYSA-N 0 0 292.678 2.905 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCC[C@H]1CCCCO1 ZINC000349922656 163037356 /nfs/dbraw/zinc/03/73/56/163037356.db2.gz YUVHQUIEYHFVGY-SECBINFHSA-N 0 0 286.715 2.981 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CC[C@@](C)(F)C1 ZINC000349958279 163042688 /nfs/dbraw/zinc/04/26/88/163042688.db2.gz AWWOCPXNILTMSF-CYBMUJFWSA-N 0 0 252.289 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCC(F)(F)C1 ZINC000350047803 163054084 /nfs/dbraw/zinc/05/40/84/163054084.db2.gz JRBBWPDPOCGEGC-UHFFFAOYSA-N 0 0 256.252 2.744 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1conc1C1CC1 ZINC000350768448 163106012 /nfs/dbraw/zinc/10/60/12/163106012.db2.gz XPRLUVQEVUDTKA-UHFFFAOYSA-N 0 0 273.248 2.713 20 5 CFBDRN COC1CC(N(C)C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000350788544 163106452 /nfs/dbraw/zinc/10/64/52/163106452.db2.gz VEESOTPUNZVVFZ-UHFFFAOYSA-N 0 0 293.323 2.544 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000350789300 163106586 /nfs/dbraw/zinc/10/65/86/163106586.db2.gz XNSDOGRLXKYSSX-MWLCHTKSSA-N 0 0 295.364 2.776 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2cccn2C)c1 ZINC000351141254 163120542 /nfs/dbraw/zinc/12/05/42/163120542.db2.gz MEVYVIJURLPFMY-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3C[C@H]4CC[C@@H]3O4)n2)c1 ZINC000351189334 163120675 /nfs/dbraw/zinc/12/06/75/163120675.db2.gz UVMCEJQSLFQJDW-UTUOFQBUSA-N 0 0 287.275 2.680 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC(C)(C)C1 ZINC000351551248 163145078 /nfs/dbraw/zinc/14/50/78/163145078.db2.gz TXQHCLSCACVUCM-SNVBAGLBSA-N 0 0 277.324 2.607 20 5 CFBDRN CN(Cc1ccoc1)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351649496 163166906 /nfs/dbraw/zinc/16/69/06/163166906.db2.gz MVMCCRKGMUSREC-UHFFFAOYSA-N 0 0 293.254 2.991 20 5 CFBDRN Cc1ncsc1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000351679078 163171210 /nfs/dbraw/zinc/17/12/10/163171210.db2.gz LOBLDCWDYJTMBQ-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCCCCO1 ZINC000351692592 163176643 /nfs/dbraw/zinc/17/66/43/163176643.db2.gz MKJOHQYLJSHJOU-UHFFFAOYSA-N 0 0 298.726 2.735 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000351724599 163182013 /nfs/dbraw/zinc/18/20/13/163182013.db2.gz OTIPUKMKEBHQRC-RDDDGLTNSA-N 0 0 267.260 2.654 20 5 CFBDRN NC(=O)c1cccc(SCc2cccc([N+](=O)[O-])c2)c1 ZINC000351727705 163182642 /nfs/dbraw/zinc/18/26/42/163182642.db2.gz QETFKIGUSLLSFT-UHFFFAOYSA-N 0 0 288.328 2.986 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@@H]1C[C@H]1C(F)F ZINC000351727118 163183034 /nfs/dbraw/zinc/18/30/34/163183034.db2.gz ZBZHFERCFWTKTQ-RKDXNWHRSA-N 0 0 289.213 2.509 20 5 CFBDRN CN(Cc1cc[nH]n1)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000351829746 163200556 /nfs/dbraw/zinc/20/05/56/163200556.db2.gz ONBVBJFAYMTMFT-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@@H]1CCC[C@H]1O ZINC000352689930 163223435 /nfs/dbraw/zinc/22/34/35/163223435.db2.gz DUPHLWYCKFJWRB-CHWSQXEVSA-N 0 0 284.743 2.593 20 5 CFBDRN CCCCN(CCCC)C(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000352880009 163259684 /nfs/dbraw/zinc/25/96/84/163259684.db2.gz BIHRFENWVWMZLA-UHFFFAOYSA-N 0 0 296.371 2.679 20 5 CFBDRN Cn1ccnc1[C@H](NCc1csc([N+](=O)[O-])c1)C1CC1 ZINC000353036706 163293659 /nfs/dbraw/zinc/29/36/59/163293659.db2.gz HNJLNDSZQMUOSZ-GFCCVEGCSA-N 0 0 292.364 2.631 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000353410260 163358341 /nfs/dbraw/zinc/35/83/41/163358341.db2.gz BWJSOENANGTYPD-YPMHNXCESA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCN1CCCCC1=O ZINC000353451977 163367572 /nfs/dbraw/zinc/36/75/72/163367572.db2.gz UGHSLVGHPIHZBH-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCNc1ccccn1 ZINC000353450493 163368261 /nfs/dbraw/zinc/36/82/61/163368261.db2.gz UNRFIAYPEPNHGP-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN COc1cc(CN2CCc3c2cccc3[N+](=O)[O-])ccn1 ZINC000353550915 163393183 /nfs/dbraw/zinc/39/31/83/163393183.db2.gz SMVLZLIJOGDWLE-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1csc([N+](=O)[O-])c1)C(C)C ZINC000353916168 163481984 /nfs/dbraw/zinc/48/19/84/163481984.db2.gz WNYJCODHULQHTG-VIFPVBQESA-N 0 0 286.353 2.542 20 5 CFBDRN C[C@@H](O)C1CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000235331950 287077264 /nfs/dbraw/zinc/07/72/64/287077264.db2.gz FRPQBEJDMWHIFC-SECBINFHSA-N 0 0 284.743 2.845 20 5 CFBDRN Cc1cccc(OS(=O)(=O)c2ccccc2[N+](=O)[O-])c1 ZINC000001728590 169751502 /nfs/dbraw/zinc/75/15/02/169751502.db2.gz YVVSCTYZFAVFIV-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN CC[C@@H]1CN(c2c(C)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000110598524 287211921 /nfs/dbraw/zinc/21/19/21/287211921.db2.gz VGAVJMQFZKBXMR-NWDGAFQWSA-N 0 0 264.325 2.907 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000005672450 170126244 /nfs/dbraw/zinc/12/62/44/170126244.db2.gz GFPSILJWKMIWML-LLVKDONJSA-N 0 0 262.309 2.538 20 5 CFBDRN CCCCC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000005711524 170132274 /nfs/dbraw/zinc/13/22/74/170132274.db2.gz PXCUPKILSYETTA-UHFFFAOYSA-N 0 0 252.270 2.732 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H]1CCCO1 ZINC000005816267 170143067 /nfs/dbraw/zinc/14/30/67/170143067.db2.gz HKPCMJNCIPOCHZ-VIFPVBQESA-N 0 0 256.689 2.839 20 5 CFBDRN O=C(COc1cccnc1[N+](=O)[O-])c1cc(F)ccc1F ZINC000005971109 170164397 /nfs/dbraw/zinc/16/43/97/170164397.db2.gz QLEPVOGCIDIJPE-UHFFFAOYSA-N 0 0 294.213 2.530 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284305564 196025791 /nfs/dbraw/zinc/02/57/91/196025791.db2.gz GWEZZCUIRADKGQ-LBPRGKRZSA-N 0 0 295.314 2.625 20 5 CFBDRN COc1ccc(CCn2nc(C)c([N+](=O)[O-])c2C)cc1 ZINC000007391535 170282577 /nfs/dbraw/zinc/28/25/77/170282577.db2.gz RGVUIROFBRJIRY-UHFFFAOYSA-N 0 0 275.308 2.659 20 5 CFBDRN CC(C)[C@H](C)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000008318642 170330525 /nfs/dbraw/zinc/33/05/25/170330525.db2.gz NNZDNTZJISSNHC-ZETCQYMHSA-N 0 0 254.315 2.760 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCCCCC1 ZINC000009721986 170384949 /nfs/dbraw/zinc/38/49/49/170384949.db2.gz OUOPVWFHBIVHME-UHFFFAOYSA-N 0 0 254.311 2.673 20 5 CFBDRN Cc1cc(NC(=O)c2ccccc2[N+](=O)[O-])ccn1 ZINC000010162997 170399826 /nfs/dbraw/zinc/39/98/26/170399826.db2.gz UXSXDQZDPZGYFG-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN COc1ccc(CNc2ccncc2[N+](=O)[O-])cc1 ZINC000020370262 171167447 /nfs/dbraw/zinc/16/74/47/171167447.db2.gz JIDDARUMMKMHFD-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CCCCOCCOc1ccc([N+](=O)[O-])cc1C=O ZINC000020517122 171232572 /nfs/dbraw/zinc/23/25/72/171232572.db2.gz AKDJMIPAWGZHBG-UHFFFAOYSA-N 0 0 267.281 2.603 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1F ZINC000020897223 171278153 /nfs/dbraw/zinc/27/81/53/171278153.db2.gz AZMLINBKBRHGAS-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COc1ccc(OCC2CCC(=O)CC2)c([N+](=O)[O-])c1 ZINC000284773248 196129319 /nfs/dbraw/zinc/12/93/19/196129319.db2.gz AFLOMKQQXPWXSJ-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN Nc1ccc(C(=O)Nc2ccc(F)cc2F)cc1[N+](=O)[O-] ZINC000022928139 171403989 /nfs/dbraw/zinc/40/39/89/171403989.db2.gz PTHHGTKHTDQWCR-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN Cc1cccc(N2CCOC3(CCC3)C2)c1[N+](=O)[O-] ZINC000275453120 292560234 /nfs/dbraw/zinc/56/02/34/292560234.db2.gz LTJPCHTXSFMPLQ-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccccn1 ZINC000028781702 171575128 /nfs/dbraw/zinc/57/51/28/171575128.db2.gz BZFPKRAIJKHWSF-QMMMGPOBSA-N 0 0 277.305 2.542 20 5 CFBDRN CC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 ZINC000034220258 171835038 /nfs/dbraw/zinc/83/50/38/171835038.db2.gz DBPIOPPMPQGYSU-UHFFFAOYSA-N 0 0 277.305 2.985 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000035134430 172000800 /nfs/dbraw/zinc/00/08/00/172000800.db2.gz JLEMAFKDOXKWGJ-VMPITWQZSA-N 0 0 286.291 2.587 20 5 CFBDRN Cc1ncsc1CCSCCn1cc([N+](=O)[O-])cn1 ZINC000285076760 196191456 /nfs/dbraw/zinc/19/14/56/196191456.db2.gz MCSCEHRVKVDYEV-UHFFFAOYSA-N 0 0 298.393 2.532 20 5 CFBDRN CCOC(=O)CSCc1c(F)cc([N+](=O)[O-])cc1F ZINC000285198045 196218559 /nfs/dbraw/zinc/21/85/59/196218559.db2.gz OVXZPUHURJELGR-UHFFFAOYSA-N 0 0 291.275 2.669 20 5 CFBDRN CCOC1CC(CN2CCc3cc([N+](=O)[O-])ccc32)C1 ZINC000285221247 196224442 /nfs/dbraw/zinc/22/44/42/196224442.db2.gz PWZDBBQCMMBALR-UHFFFAOYSA-N 0 0 276.336 2.772 20 5 CFBDRN CC[C@@H](C)NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000285257578 196234874 /nfs/dbraw/zinc/23/48/74/196234874.db2.gz ZPJRMHSXQXKILW-LLVKDONJSA-N 0 0 279.340 2.577 20 5 CFBDRN CCOC1CC(COc2ccc([N+](=O)[O-])cc2)C1 ZINC000285327722 196251535 /nfs/dbraw/zinc/25/15/35/196251535.db2.gz XYGUDOORTXIINS-UHFFFAOYSA-N 0 0 251.282 2.789 20 5 CFBDRN COc1ccc(CN[C@H](C)c2ccccn2)cc1[N+](=O)[O-] ZINC000035694777 172363605 /nfs/dbraw/zinc/36/36/05/172363605.db2.gz NOCZWYLHMOIGAD-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000035741128 172394901 /nfs/dbraw/zinc/39/49/01/172394901.db2.gz QNVMHJLTCFJFHG-MRVPVSSYSA-N 0 0 255.295 2.639 20 5 CFBDRN CCC(CC)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000036110313 172443174 /nfs/dbraw/zinc/44/31/74/172443174.db2.gz PVUXZHRZCRFWEH-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCCSCC2)c(F)c1 ZINC000285699540 196370550 /nfs/dbraw/zinc/37/05/50/196370550.db2.gz ROFXATYIPIOAJP-UHFFFAOYSA-N 0 0 288.319 2.812 20 5 CFBDRN O=C(NCC1CCCC1)c1cc([N+](=O)[O-])ccc1F ZINC000037286246 173092694 /nfs/dbraw/zinc/09/26/94/173092694.db2.gz PQDPLWJMCYVXQP-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN COC(=O)CCCOc1ccc([N+](=O)[O-])cc1Cl ZINC000037286784 173093204 /nfs/dbraw/zinc/09/32/04/173093204.db2.gz QXAQQOUETQRJPF-UHFFFAOYSA-N 0 0 273.672 2.580 20 5 CFBDRN COCc1cccc(CNc2ncccc2[N+](=O)[O-])c1 ZINC000037998977 173961661 /nfs/dbraw/zinc/96/16/61/173961661.db2.gz NZTLBZXTTXCKLL-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CCCCCCC2)nc1 ZINC000040454396 174267172 /nfs/dbraw/zinc/26/71/72/174267172.db2.gz YKRKJGOTVSDISF-UHFFFAOYSA-N 0 0 250.302 2.910 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2CCCCC2)nc1 ZINC000040454734 174267286 /nfs/dbraw/zinc/26/72/86/174267286.db2.gz JXAIHYKCLRJMTL-UHFFFAOYSA-N 0 0 250.302 2.767 20 5 CFBDRN Cc1ccc(OC[C@H](C)Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000040499997 174296354 /nfs/dbraw/zinc/29/63/54/174296354.db2.gz FTVSYDXCXFNENW-NSHDSACASA-N 0 0 288.307 2.573 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCc2cccc3c2OCO3)c1 ZINC000040667071 174366586 /nfs/dbraw/zinc/36/65/86/174366586.db2.gz WZDIWKKBNKZQDB-UHFFFAOYSA-N 0 0 286.287 2.613 20 5 CFBDRN CCCCCOC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000040750596 174395504 /nfs/dbraw/zinc/39/55/04/174395504.db2.gz BKQQHCFPWBAEEX-UHFFFAOYSA-N 0 0 252.270 2.524 20 5 CFBDRN C[C@H](NCc1nccs1)c1ccccc1[N+](=O)[O-] ZINC000041012436 174450051 /nfs/dbraw/zinc/45/00/51/174450051.db2.gz HTTNCAXXPIEENA-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN CC(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000042918770 174916736 /nfs/dbraw/zinc/91/67/36/174916736.db2.gz HIKQYBDVPNQZGC-UHFFFAOYSA-N 0 0 274.223 2.791 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000043127244 174978541 /nfs/dbraw/zinc/97/85/41/174978541.db2.gz NHVDUKSULVSANB-MRVPVSSYSA-N 0 0 267.285 2.523 20 5 CFBDRN COC(=O)[C@H](CC(C)C)NCc1cccc([N+](=O)[O-])c1C ZINC000043435173 175044336 /nfs/dbraw/zinc/04/43/36/175044336.db2.gz OGJCEAKYTWQIGZ-ZDUSSCGKSA-N 0 0 294.351 2.581 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])c1cnn(C)c1 ZINC000044496653 175183742 /nfs/dbraw/zinc/18/37/42/175183742.db2.gz YWVVEEVQAQLBDG-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1cc([N+](=O)[O-])ccc1F ZINC000044569231 175208954 /nfs/dbraw/zinc/20/89/54/175208954.db2.gz DQFKHINPMFFGJZ-SNVBAGLBSA-N 0 0 278.283 2.820 20 5 CFBDRN Cc1c(NC(=O)c2cc(C3CC3)[nH]n2)cccc1[N+](=O)[O-] ZINC000044848073 175265038 /nfs/dbraw/zinc/26/50/38/175265038.db2.gz PJEFAMSRURDPRR-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)on1 ZINC000044986062 175284378 /nfs/dbraw/zinc/28/43/78/175284378.db2.gz MIMXUTJATUNABR-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCCCC1 ZINC000046462458 175396555 /nfs/dbraw/zinc/39/65/55/175396555.db2.gz QXIUUAROTSPBSU-TWGQIWQCSA-N 0 0 260.293 2.621 20 5 CFBDRN COc1ccccc1CNc1ncc([N+](=O)[O-])cc1C ZINC000052812188 175538733 /nfs/dbraw/zinc/53/87/33/175538733.db2.gz MVZFLMNJSXPOHG-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1ncsc1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000071213280 176084965 /nfs/dbraw/zinc/08/49/65/176084965.db2.gz YWHAXVXYQSVUMA-UHFFFAOYSA-N 0 0 294.292 2.880 20 5 CFBDRN CC(C)(C)CC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000286445172 196606320 /nfs/dbraw/zinc/60/63/20/196606320.db2.gz FJVSGOHKCNQNMK-UHFFFAOYSA-N 0 0 251.282 2.979 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000286454888 196609761 /nfs/dbraw/zinc/60/97/61/196609761.db2.gz JVQBUKWAHUXYTC-UHFFFAOYSA-N 0 0 284.287 2.784 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000073319690 176466429 /nfs/dbraw/zinc/46/64/29/176466429.db2.gz FKZWOFUQYKOQGL-TXEJJXNPSA-N 0 0 291.351 2.796 20 5 CFBDRN COc1ccc(NC(=O)CCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000074234759 176514125 /nfs/dbraw/zinc/51/41/25/176514125.db2.gz ROKXGLMZXPRRJO-UHFFFAOYSA-N 0 0 292.213 2.884 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCC1(C)C ZINC000286475322 196615213 /nfs/dbraw/zinc/61/52/13/196615213.db2.gz QKLNGOGQIDBLNA-VIFPVBQESA-N 0 0 252.318 2.720 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000075124977 176662573 /nfs/dbraw/zinc/66/25/73/176662573.db2.gz ONWZUBCMBGRBJY-OAHLLOKOSA-N 0 0 294.351 2.512 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CCC(F)(F)F ZINC000076819596 177108187 /nfs/dbraw/zinc/10/81/87/177108187.db2.gz JRFHMNZIBGWVBG-UHFFFAOYSA-N 0 0 293.197 2.851 20 5 CFBDRN CC(C)CCN(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077492834 177274850 /nfs/dbraw/zinc/27/48/50/177274850.db2.gz FTXRESJYFNELLF-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000078339561 177333979 /nfs/dbraw/zinc/33/39/79/177333979.db2.gz ITRMCIQZQNEWTQ-VXGBXAGGSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@H](O)CCN(C)c1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000078417738 177339741 /nfs/dbraw/zinc/33/97/41/177339741.db2.gz RAYQCKUDRFMWHJ-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN CCCN(CC1CC1)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000078434403 177341127 /nfs/dbraw/zinc/34/11/27/177341127.db2.gz WYAAHHHTUXNIDN-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN Cc1nn(CCOc2ccc(F)cc2)c(C)c1[N+](=O)[O-] ZINC000078538458 177352007 /nfs/dbraw/zinc/35/20/07/177352007.db2.gz ZGAPRJPHYAUGFS-UHFFFAOYSA-N 0 0 279.271 2.626 20 5 CFBDRN CC(C)C[C@@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000078571824 177355418 /nfs/dbraw/zinc/35/54/18/177355418.db2.gz YZKBNDAXVIFPBG-JTQLQIEISA-N 0 0 256.277 2.553 20 5 CFBDRN CC(C)(CCO)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000078688957 177371616 /nfs/dbraw/zinc/37/16/16/177371616.db2.gz TXFTWJIKJDOAFF-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN COC(=O)[C@H](C)CSCc1cccc([N+](=O)[O-])c1 ZINC000078826880 177394925 /nfs/dbraw/zinc/39/49/25/177394925.db2.gz WQMPUSUIKSDUFX-SECBINFHSA-N 0 0 269.322 2.637 20 5 CFBDRN CCc1noc(CSc2ccc([N+](=O)[O-])cc2)n1 ZINC000079365197 177472428 /nfs/dbraw/zinc/47/24/28/177472428.db2.gz IDCIOOMIIPLOTF-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCC[C@@H](C)CO ZINC000286612943 196656677 /nfs/dbraw/zinc/65/66/77/196656677.db2.gz VMCNEMHYGYUFJY-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@@H]1CF ZINC000335978613 304479919 /nfs/dbraw/zinc/47/99/19/304479919.db2.gz OERAJNFOBHHHOJ-SECBINFHSA-N 0 0 291.282 2.650 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000275032346 287436521 /nfs/dbraw/zinc/43/65/21/287436521.db2.gz ONRAYQJROVMUDT-CQSZACIVSA-N 0 0 282.315 2.723 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NC(CC)(CC)CC)n1 ZINC000191635011 227082101 /nfs/dbraw/zinc/08/21/01/227082101.db2.gz RAACYDYDBMEEPP-UHFFFAOYSA-N 0 0 282.344 2.510 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])[C@@H]1C ZINC000175832396 287564875 /nfs/dbraw/zinc/56/48/75/287564875.db2.gz OOKRQORRJJKUIN-HTQZYQBOSA-N 0 0 284.262 2.744 20 5 CFBDRN O=C(Nc1cccc(Cl)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000007071706 420500707 /nfs/dbraw/zinc/50/07/07/420500707.db2.gz FHYQLRSUECTPPB-UHFFFAOYSA-N 0 0 265.656 2.829 20 5 CFBDRN CCCCNc1nc(SC)nc(Cl)c1[N+](=O)[O-] ZINC001154827880 782031143 /nfs/dbraw/zinc/03/11/43/782031143.db2.gz UJNYUVCXIQMGFV-UHFFFAOYSA-N 0 0 276.749 2.972 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000517745561 260017911 /nfs/dbraw/zinc/01/79/11/260017911.db2.gz FPGNDMIZKDETBY-GHMZBOCLSA-N 0 0 296.298 2.614 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cccnc1 ZINC000086964280 260222639 /nfs/dbraw/zinc/22/26/39/260222639.db2.gz CMSOFXKBSFFAMO-UHFFFAOYSA-N 0 0 271.276 2.561 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H](C)[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000516903995 260241906 /nfs/dbraw/zinc/24/19/06/260241906.db2.gz AHTRSWHCZGECOX-SDDRHHMPSA-N 0 0 276.336 2.955 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3ncccc23)CO1 ZINC000402194587 260245717 /nfs/dbraw/zinc/24/57/17/260245717.db2.gz FUAGMUFLEKKZEE-NXEZZACHSA-N 0 0 273.292 2.732 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000313106664 260248534 /nfs/dbraw/zinc/24/85/34/260248534.db2.gz JQKYBYNDUNIAQP-BXKDBHETSA-N 0 0 286.303 2.704 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCOCC1CCCC1 ZINC000313475366 260297729 /nfs/dbraw/zinc/29/77/29/260297729.db2.gz ZPSMADNIKSYCRX-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN COc1ccc(COc2cc([N+](=O)[O-])ccc2C)cn1 ZINC000085251027 260371905 /nfs/dbraw/zinc/37/19/05/260371905.db2.gz GTTYNYVDRDLSOM-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1ccnc(NCCOCC2CCC2)c1[N+](=O)[O-] ZINC000518637821 260475587 /nfs/dbraw/zinc/47/55/87/260475587.db2.gz MJWWYAKSNVVRTL-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1cccc(N2CC3(CCC3)[C@@H]3COC[C@H]32)c1[N+](=O)[O-] ZINC000518723163 260513743 /nfs/dbraw/zinc/51/37/43/260513743.db2.gz PRIRFGXWBFLCKC-TZMCWYRMSA-N 0 0 288.347 2.909 20 5 CFBDRN CCC(CC)(CC)NC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000152688979 261067845 /nfs/dbraw/zinc/06/78/45/261067845.db2.gz XJOLNNYCTVWIKD-UHFFFAOYSA-N 0 0 296.371 2.575 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000052933522 261160922 /nfs/dbraw/zinc/16/09/22/261160922.db2.gz LRDBJLUKCTWQHJ-PKFCDNJMSA-N 0 0 291.351 2.853 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC(F)(F)C(F)F ZINC000192666936 262208480 /nfs/dbraw/zinc/20/84/80/262208480.db2.gz ZSPLLUZHNBYFHJ-UHFFFAOYSA-N 0 0 294.204 2.533 20 5 CFBDRN CCCC[C@@H](CCC)NC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000185243001 262303819 /nfs/dbraw/zinc/30/38/19/262303819.db2.gz XEEFEVOMYBWBPV-SNVBAGLBSA-N 0 0 294.355 2.661 20 5 CFBDRN COc1cccc(NCC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000185695925 262307116 /nfs/dbraw/zinc/30/71/16/262307116.db2.gz VTMZSAJXGHUWFZ-LLVKDONJSA-N 0 0 280.324 2.975 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000521440026 262374869 /nfs/dbraw/zinc/37/48/69/262374869.db2.gz QKGVVNYGAIOSGU-JFGNBEQYSA-N 0 0 291.351 2.732 20 5 CFBDRN CSCC(C)(C)C(=O)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000282578720 263077696 /nfs/dbraw/zinc/07/76/96/263077696.db2.gz UPWMPRQCKSATDA-UHFFFAOYSA-N 0 0 284.337 2.628 20 5 CFBDRN Cc1cccc(C(=O)NCCOCCC(C)C)c1[N+](=O)[O-] ZINC000195094798 270250108 /nfs/dbraw/zinc/25/01/08/270250108.db2.gz OUNBIQFJQRBLKK-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN COc1cc(C(=O)Nc2ccc(C)cn2)ccc1[N+](=O)[O-] ZINC000096561118 265374626 /nfs/dbraw/zinc/37/46/26/265374626.db2.gz PQNKEBAOWUGKTD-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC(C)O[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000519597975 265487851 /nfs/dbraw/zinc/48/78/51/265487851.db2.gz CGAZITVHMIUAKF-CYBMUJFWSA-N 0 0 293.323 2.626 20 5 CFBDRN CC1(NS(=O)(=O)c2ccccc2[N+](=O)[O-])CCCCC1 ZINC000193824181 270240366 /nfs/dbraw/zinc/24/03/66/270240366.db2.gz AAEQZNUJXGYYBW-UHFFFAOYSA-N 0 0 298.364 2.596 20 5 CFBDRN Cc1c(C(=O)NCCOC2CCCC2)cccc1[N+](=O)[O-] ZINC000194296220 270244109 /nfs/dbraw/zinc/24/41/09/270244109.db2.gz GKEDJVIBXLPORD-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCC[C@@H](CC)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000194897855 270248088 /nfs/dbraw/zinc/24/80/88/270248088.db2.gz DIRZHPRSDXXWDR-GFCCVEGCSA-N 0 0 264.325 2.832 20 5 CFBDRN CCC[C@H](CC)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000194901792 270248130 /nfs/dbraw/zinc/24/81/30/270248130.db2.gz ANDIAFYPEJFWFK-NSHDSACASA-N 0 0 280.324 2.669 20 5 CFBDRN Cc1ccccc1CCNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000194935849 270248636 /nfs/dbraw/zinc/24/86/36/270248636.db2.gz IDMKGGWEYQQJTM-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN COc1cc(N[C@H]2C[C@H](OC)C2(C)C)ccc1[N+](=O)[O-] ZINC000227821216 270258157 /nfs/dbraw/zinc/25/81/57/270258157.db2.gz BRYTZHXMZIPKSP-STQMWFEESA-N 0 0 280.324 2.829 20 5 CFBDRN CC[C@@](C)(CNc1cc(OC)ccc1[N+](=O)[O-])OC ZINC000322932787 270297916 /nfs/dbraw/zinc/29/79/16/270297916.db2.gz NTYBKTJIWAWIAJ-ZDUSSCGKSA-N 0 0 268.313 2.830 20 5 CFBDRN CCc1nn(C)c(N[C@H]2C[C@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000397283122 270317614 /nfs/dbraw/zinc/31/76/14/270317614.db2.gz NKSYHIQGSHESSI-GXFFZTMASA-N 0 0 266.345 2.737 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CS[C@@H](C)C2)c1 ZINC000397754861 270319273 /nfs/dbraw/zinc/31/92/73/270319273.db2.gz FUOPXOTZGGMQRP-WPRPVWTQSA-N 0 0 296.348 2.687 20 5 CFBDRN O=[N+]([O-])c1c(NCC2=CCOCC2)ccc2ncccc21 ZINC000397865330 270320004 /nfs/dbraw/zinc/32/00/04/270320004.db2.gz GWVZBJLAWLTVJX-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN C[C@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1csnn1 ZINC000398476866 270322493 /nfs/dbraw/zinc/32/24/93/270322493.db2.gz QDJZOVKVRJAXEE-ZETCQYMHSA-N 0 0 298.755 2.951 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C2CCC1CC2 ZINC000514511703 270347376 /nfs/dbraw/zinc/34/73/76/270347376.db2.gz WGLUGOXMNSOPPD-UHFFFAOYSA-N 0 0 291.307 2.762 20 5 CFBDRN CSCCN(C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000515963247 270375995 /nfs/dbraw/zinc/37/59/95/270375995.db2.gz AVSHMRCHNXYJLK-SNVBAGLBSA-N 0 0 282.365 2.520 20 5 CFBDRN CC(C)[C@H]1OCC[C@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000516549676 270383753 /nfs/dbraw/zinc/38/37/53/270383753.db2.gz WTLOVEYDAIGFFY-JOYOIKCWSA-N 0 0 283.303 2.602 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2cc([N+](=O)[O-])cn2C)[C@@H]1C ZINC000516613276 270385063 /nfs/dbraw/zinc/38/50/63/270385063.db2.gz JOYOWCZMDADDCW-IJLUTSLNSA-N 0 0 293.367 2.735 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CC[C@H](C2CCCC2)C1 ZINC000516641865 270386344 /nfs/dbraw/zinc/38/63/44/270386344.db2.gz OXCQPMBJSAYPFR-LBPRGKRZSA-N 0 0 289.335 2.642 20 5 CFBDRN CCc1nc(CN(C)c2ncc(C)cc2[N+](=O)[O-])cs1 ZINC000525190561 270473379 /nfs/dbraw/zinc/47/33/79/270473379.db2.gz OINKHQUQFJECAQ-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN Cc1cnc(N[C@H](CO)c2ccccc2C)c([N+](=O)[O-])c1 ZINC000530857075 270812011 /nfs/dbraw/zinc/81/20/11/270812011.db2.gz CQVIKYHIVTUYKI-CYBMUJFWSA-N 0 0 287.319 2.752 20 5 CFBDRN COc1cc(Cn2cnc(C)c2C)c([N+](=O)[O-])cc1F ZINC000183866563 274095446 /nfs/dbraw/zinc/09/54/46/274095446.db2.gz FGWKFGCVDLNXOA-UHFFFAOYSA-N 0 0 279.271 2.604 20 5 CFBDRN Cc1nc(N2c3ccccc3C[C@H]2C)ncc1[N+](=O)[O-] ZINC000296524136 274843462 /nfs/dbraw/zinc/84/34/62/274843462.db2.gz MYBPPAKBQICKKR-SECBINFHSA-N 0 0 270.292 2.776 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCN(C3CC3)C2)c(F)c1 ZINC000227888935 275033710 /nfs/dbraw/zinc/03/37/10/275033710.db2.gz OUUPNBBYGSBBMQ-QMMMGPOBSA-N 0 0 283.278 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccc(F)cc3)no2)[nH]1 ZINC000349844331 275034698 /nfs/dbraw/zinc/03/46/98/275034698.db2.gz QSJRYOBMJYKOIZ-UHFFFAOYSA-N 0 0 274.211 2.779 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000279512644 275533808 /nfs/dbraw/zinc/53/38/08/275533808.db2.gz QGEXMTBRLVOGBR-LLVKDONJSA-N 0 0 299.758 2.895 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000187636477 275734856 /nfs/dbraw/zinc/73/48/56/275734856.db2.gz HEPIHUXFRGEYID-LBPRGKRZSA-N 0 0 292.335 2.627 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC=C(C)C1 ZINC000230813778 275803110 /nfs/dbraw/zinc/80/31/10/275803110.db2.gz ICPZMLIJSMPWGC-UHFFFAOYSA-N 0 0 260.293 2.954 20 5 CFBDRN CC1=CCN(c2ncc([N+](=O)[O-])cc2Br)CC1 ZINC000270056676 275917382 /nfs/dbraw/zinc/91/73/82/275917382.db2.gz NWVRODYBIHUQFR-UHFFFAOYSA-N 0 0 298.140 2.909 20 5 CFBDRN CC1CCN(c2ncnc3sc([N+](=O)[O-])cc32)CC1 ZINC000286870907 275942870 /nfs/dbraw/zinc/94/28/70/275942870.db2.gz HWDVDCRYMYXBSQ-UHFFFAOYSA-N 0 0 278.337 2.836 20 5 CFBDRN CC(C)[C@H]1CCN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413188887 276028045 /nfs/dbraw/zinc/02/80/45/276028045.db2.gz VHZCXGUEGVGXDD-VIFPVBQESA-N 0 0 253.277 2.611 20 5 CFBDRN CCC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000270312662 276031490 /nfs/dbraw/zinc/03/14/90/276031490.db2.gz ZLAOALYXCHTRPK-LLVKDONJSA-N 0 0 295.295 2.508 20 5 CFBDRN CC1(C)CCC(NC(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000157349469 276102607 /nfs/dbraw/zinc/10/26/07/276102607.db2.gz GGHZCKXQIRQVCM-UHFFFAOYSA-N 0 0 291.351 2.876 20 5 CFBDRN CC1=C(C(=O)N2CCc3c2cccc3[N+](=O)[O-])CCCO1 ZINC000178940699 276174740 /nfs/dbraw/zinc/17/47/40/276174740.db2.gz GOBBTPGSFWJRJX-UHFFFAOYSA-N 0 0 288.303 2.568 20 5 CFBDRN CC1=C[C@@H](C)CN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000362376588 276183960 /nfs/dbraw/zinc/18/39/60/276183960.db2.gz SEHPIBITGSBWTL-MRVPVSSYSA-N 0 0 278.337 2.706 20 5 CFBDRN CC1CCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000266840685 276199812 /nfs/dbraw/zinc/19/98/12/276199812.db2.gz HCAUMMKOJWFXNG-UHFFFAOYSA-N 0 0 253.277 2.552 20 5 CFBDRN CCOC1(C)CN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000450581288 276308671 /nfs/dbraw/zinc/30/86/71/276308671.db2.gz OOUNHFJHUQVDSN-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cn2)C1(CC)CC ZINC000302156398 276482742 /nfs/dbraw/zinc/48/27/42/276482742.db2.gz MVMQUSXPZOSVSZ-NWDGAFQWSA-N 0 0 294.355 2.781 20 5 CFBDRN CCS[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000331146334 276510710 /nfs/dbraw/zinc/51/07/10/276510710.db2.gz ZJTXVLJSKFAEKN-MWLCHTKSSA-N 0 0 297.380 2.717 20 5 CFBDRN CC[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000301079513 276576550 /nfs/dbraw/zinc/57/65/50/276576550.db2.gz PKRPZHZGVWKVKF-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2F)C[C@@H](CC)O1 ZINC000420627919 276577739 /nfs/dbraw/zinc/57/77/39/276577739.db2.gz NSSJYVBZFYSMFM-GHMZBOCLSA-N 0 0 283.303 2.523 20 5 CFBDRN CC[C@@]1(C)CN(c2cc(OC)cc(F)c2[N+](=O)[O-])CCO1 ZINC000302681601 276604506 /nfs/dbraw/zinc/60/45/06/276604506.db2.gz SFJNEVRWQLJNKU-AWEZNQCLSA-N 0 0 298.314 2.748 20 5 CFBDRN CCc1ccc(C(=O)Nc2ncc(C)o2)cc1[N+](=O)[O-] ZINC000443929689 276688217 /nfs/dbraw/zinc/68/82/17/276688217.db2.gz QCNXRGWEEUFQFN-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1C=CCCC1 ZINC000192681801 276718442 /nfs/dbraw/zinc/71/84/42/276718442.db2.gz WLGLIJCYJBTPMB-JTQLQIEISA-N 0 0 250.302 2.640 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000276978590 277092789 /nfs/dbraw/zinc/09/27/89/277092789.db2.gz URSVQVAXQFEAHC-NWDGAFQWSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420613316 277237998 /nfs/dbraw/zinc/23/79/98/277237998.db2.gz RIDDNCUYUQCENT-SNVBAGLBSA-N 0 0 291.351 2.566 20 5 CFBDRN COCC[C@H](C)CNc1c(C)cccc1[N+](=O)[O-] ZINC000413492639 277238582 /nfs/dbraw/zinc/23/85/82/277238582.db2.gz MJPGYIKNZSCXLX-JTQLQIEISA-N 0 0 252.314 2.988 20 5 CFBDRN CC(C)(C)[C@@H]1NC(=O)CC[C@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000286208047 277246351 /nfs/dbraw/zinc/24/63/51/277246351.db2.gz BBQWQGZWIASZRA-TZMCWYRMSA-N 0 0 291.351 2.700 20 5 CFBDRN CC(C)(C)[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000447824659 277260497 /nfs/dbraw/zinc/26/04/97/277260497.db2.gz AGXQKZBQTVEALK-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN CO[C@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000363670354 277337451 /nfs/dbraw/zinc/33/74/51/277337451.db2.gz GXBOERWMARHWCJ-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000280380643 277359156 /nfs/dbraw/zinc/35/91/56/277359156.db2.gz ZGIQGAWUQFRONB-CQSZACIVSA-N 0 0 297.330 2.712 20 5 CFBDRN COc1cc(C(=O)N[C@H]2C=CCCC2)c([N+](=O)[O-])cc1F ZINC000450105142 277370779 /nfs/dbraw/zinc/37/07/79/277370779.db2.gz KHVISBBFORETKR-VIFPVBQESA-N 0 0 294.282 2.581 20 5 CFBDRN COc1cc(N2CCC(C)(C)C2)c([N+](=O)[O-])cc1F ZINC000301643897 277381351 /nfs/dbraw/zinc/38/13/51/277381351.db2.gz OMRFOWLQFWZOAB-UHFFFAOYSA-N 0 0 268.288 2.979 20 5 CFBDRN COC(=O)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C[C@H]1C ZINC000276946176 277396916 /nfs/dbraw/zinc/39/69/16/277396916.db2.gz QXRMGCRNQZHEQE-YPMHNXCESA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000268284123 277427338 /nfs/dbraw/zinc/42/73/38/277427338.db2.gz RGTBKHLGFBABCB-NSHDSACASA-N 0 0 282.315 2.985 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2c(C)nn(C)c2C)c1 ZINC000090794733 277431607 /nfs/dbraw/zinc/43/16/07/277431607.db2.gz NUMMKKSUFAEOOK-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN CC(C)C[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000342132004 277494272 /nfs/dbraw/zinc/49/42/72/277494272.db2.gz OKBOEIHSXJUYTJ-GFCCVEGCSA-N 0 0 293.367 2.974 20 5 CFBDRN CO[C@@H]1CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C[C@@H]1C ZINC000450771226 277658728 /nfs/dbraw/zinc/65/87/28/277658728.db2.gz YJHYEMMXCHUFGT-ZUZCIYMTSA-N 0 0 292.335 2.659 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000302883198 277660482 /nfs/dbraw/zinc/66/04/82/277660482.db2.gz KSCXEXVOHHQMKP-NXEZZACHSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@@H]1CC(Nc2ncc([N+](=O)[O-])cn2)C[C@@H](C)C1 ZINC000084976575 277667029 /nfs/dbraw/zinc/66/70/29/277667029.db2.gz QDRPVLOUVMYNAG-IUCAKERBSA-N 0 0 250.302 2.621 20 5 CFBDRN C[C@@H]1CCN(c2cc(C(F)(F)F)ncc2[N+](=O)[O-])C1 ZINC000278301010 277757259 /nfs/dbraw/zinc/75/72/59/277757259.db2.gz GSJFFWOYEXEDJS-SSDOTTSWSA-N 0 0 275.230 2.855 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000263701747 277758043 /nfs/dbraw/zinc/75/80/43/277758043.db2.gz LOMRKJGKDJJPFZ-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN CC(C)[C@@]1(C)CC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C1=O ZINC000116874326 277852487 /nfs/dbraw/zinc/85/24/87/277852487.db2.gz MPDGZTMGSXNTFB-OAHLLOKOSA-N 0 0 290.319 2.516 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1C ZINC000301734178 277922185 /nfs/dbraw/zinc/92/21/85/277922185.db2.gz GKIVZYCTJSJRCU-HTQZYQBOSA-N 0 0 255.705 2.735 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000266921041 277945215 /nfs/dbraw/zinc/94/52/15/277945215.db2.gz OZZUZMHHFYGYGG-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000220119274 277987241 /nfs/dbraw/zinc/98/72/41/277987241.db2.gz VQCREHVPLBWJMX-SFYZADRCSA-N 0 0 271.704 2.623 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153801328 278095125 /nfs/dbraw/zinc/09/51/25/278095125.db2.gz GRIDSJPJABVZJR-SFYZADRCSA-N 0 0 287.772 2.973 20 5 CFBDRN C[C@@]12CCN(c3ncc([N+](=O)[O-])s3)C[C@@H]1C2(F)F ZINC000291760235 278131566 /nfs/dbraw/zinc/13/15/66/278131566.db2.gz AYVMZBPOGXOIGZ-IMTBSYHQSA-N 0 0 275.280 2.533 20 5 CFBDRN CC1(C)CCC[C@@H](Oc2cccnc2[N+](=O)[O-])C1=O ZINC000412985903 278228462 /nfs/dbraw/zinc/22/84/62/278228462.db2.gz UXHVQSCNYSFRGZ-SECBINFHSA-N 0 0 264.281 2.516 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1C[C@@H](C)OC(C)(C)C1 ZINC000061570002 278244450 /nfs/dbraw/zinc/24/44/50/278244450.db2.gz FTFMMUXYHLQSQJ-SNVBAGLBSA-N 0 0 292.335 2.801 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@]23CCOC3)c([N+](=O)[O-])c1 ZINC000314632193 278247121 /nfs/dbraw/zinc/24/71/21/278247121.db2.gz TVEQYIQRMYZPQF-OAHLLOKOSA-N 0 0 290.319 2.557 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000346198746 278284274 /nfs/dbraw/zinc/28/42/74/278284274.db2.gz RGRZMUMSLDUMQH-GFCCVEGCSA-N 0 0 287.319 2.995 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000287045767 278284306 /nfs/dbraw/zinc/28/43/06/278284306.db2.gz JASMMBFJOXBZTF-LLVKDONJSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H](c1ccccn1)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352673692 278313261 /nfs/dbraw/zinc/31/32/61/278313261.db2.gz ASLAEDVWIUMYNM-GFCCVEGCSA-N 0 0 299.330 2.945 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000360992402 278323821 /nfs/dbraw/zinc/32/38/21/278323821.db2.gz CUERYJMUEPITGW-UWVGGRQHSA-N 0 0 279.340 2.868 20 5 CFBDRN C[C@H]1CCCN1c1ccc([N+](=O)[O-])c(N2CCC[C@@H]2C)n1 ZINC000277321447 278346825 /nfs/dbraw/zinc/34/68/25/278346825.db2.gz DGEXWGZEEIVQSQ-RYUDHWBXSA-N 0 0 290.367 2.967 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)C[C@H]1C ZINC000271020549 288035559 /nfs/dbraw/zinc/03/55/59/288035559.db2.gz UXODLQNRXYOHNM-GHMZBOCLSA-N 0 0 299.330 2.871 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C(C)(C)O1 ZINC000286411467 278370126 /nfs/dbraw/zinc/37/01/26/278370126.db2.gz GRZKNVXULFJDGK-GFCCVEGCSA-N 0 0 279.340 2.935 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])c3ncccc23)C1 ZINC000413108420 278370318 /nfs/dbraw/zinc/37/03/18/278370318.db2.gz LXKDCSNSLAEOCA-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN CC1(C)[C@H](Nc2ncccc2[N+](=O)[O-])[C@H]2CCCO[C@H]21 ZINC000376491422 278447144 /nfs/dbraw/zinc/44/71/44/278447144.db2.gz KYFFNZZBRJEENU-YUSALJHKSA-N 0 0 277.324 2.605 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000277769066 278461176 /nfs/dbraw/zinc/46/11/76/278461176.db2.gz VYTLUUVNNISWLY-CMPLNLGQSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@H]1Nc1ncccc1[N+](=O)[O-] ZINC000377194892 278530428 /nfs/dbraw/zinc/53/04/28/278530428.db2.gz SXCYLGFWQMMEBL-RNCFNFMXSA-N 0 0 271.276 2.924 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1c1ccc([N+](=O)[O-])cn1 ZINC000359867820 278539872 /nfs/dbraw/zinc/53/98/72/278539872.db2.gz BKDZBSOXMRIUQM-IONNQARKSA-N 0 0 275.230 2.767 20 5 CFBDRN C[C@@H]1CCSCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000191498528 278574248 /nfs/dbraw/zinc/57/42/48/278574248.db2.gz ONZZYTWDPCVVRE-SNVBAGLBSA-N 0 0 295.364 2.954 20 5 CFBDRN Cc1c(C(=O)N2CCn3cccc3[C@@H]2C)cccc1[N+](=O)[O-] ZINC000030266706 278588253 /nfs/dbraw/zinc/58/82/53/278588253.db2.gz FWQLQVOVWSIBBR-LBPRGKRZSA-N 0 0 299.330 2.922 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ncc([N+](=O)[O-])cn2)C[C@@H]1C ZINC000085057552 278613130 /nfs/dbraw/zinc/61/31/30/278613130.db2.gz CSVSBELSUDJCHA-UTLUCORTSA-N 0 0 250.302 2.621 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000267821340 278641684 /nfs/dbraw/zinc/64/16/84/278641684.db2.gz XVWAMSXECFNYBR-GHMZBOCLSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)n1 ZINC000408171420 278665581 /nfs/dbraw/zinc/66/55/81/278665581.db2.gz JEWXIKXVUFJOFU-UPJWGTAASA-N 0 0 291.351 2.976 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1C ZINC000335543150 278665768 /nfs/dbraw/zinc/66/57/68/278665768.db2.gz HSAUNIDHQCJKTR-LLVKDONJSA-N 0 0 262.309 2.836 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCO[C@@H](C)C2)n1 ZINC000359098376 278665858 /nfs/dbraw/zinc/66/58/58/278665858.db2.gz SKDRVXLTJWTUIH-QWRGUYRKSA-N 0 0 265.313 2.586 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)c2ccccc21 ZINC000335815715 278686691 /nfs/dbraw/zinc/68/66/91/278686691.db2.gz QDYUCEYSLWJYGX-SNVBAGLBSA-N 0 0 285.303 2.697 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)c2ccccc21 ZINC000335721016 278686742 /nfs/dbraw/zinc/68/67/42/278686742.db2.gz IZGVHBICFVGFMS-SECBINFHSA-N 0 0 271.276 2.687 20 5 CFBDRN Cc1cc(N2C[C@H](C)O[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000408441828 278718977 /nfs/dbraw/zinc/71/89/77/278718977.db2.gz GOJZYMDRQFTDLZ-QJPTWQEYSA-N 0 0 264.325 2.905 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCOCC[C@@H]1C ZINC000163045941 278746666 /nfs/dbraw/zinc/74/66/66/278746666.db2.gz CRPBVSVOEXNMJU-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CC(C)CN(CC(C)(C)C)c1ncc([N+](=O)[O-])cn1 ZINC000302224020 278840298 /nfs/dbraw/zinc/84/02/98/278840298.db2.gz NVGQNECWIUDGHU-UHFFFAOYSA-N 0 0 266.345 2.893 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(Cc3ccccc3)C2)n1 ZINC000371499345 278863677 /nfs/dbraw/zinc/86/36/77/278863677.db2.gz DPVUHUXMKFMGAU-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H]3C[C@H](O)CC[C@@H]3C2)c1 ZINC000450816913 278864744 /nfs/dbraw/zinc/86/47/44/278864744.db2.gz WZIWSLYZWWXBRQ-MGPQQGTHSA-N 0 0 290.363 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2Cc3cccc(O)c3C2)n1 ZINC000428663869 278865185 /nfs/dbraw/zinc/86/51/85/278865185.db2.gz HTZVTTYBKIRCLI-NSHDSACASA-N 0 0 285.303 2.583 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000220078793 279000083 /nfs/dbraw/zinc/00/00/83/279000083.db2.gz WBWPFSAITOQGGS-VXNVDRBHSA-N 0 0 271.704 2.623 20 5 CFBDRN Cc1cnc(N2Cc3ccccc3CO2)c([N+](=O)[O-])c1 ZINC000340906066 279017093 /nfs/dbraw/zinc/01/70/93/279017093.db2.gz WJSYIDBULVDJOB-UHFFFAOYSA-N 0 0 271.276 2.750 20 5 CFBDRN CCN(C)C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] ZINC000339179778 279029508 /nfs/dbraw/zinc/02/95/08/279029508.db2.gz LFMUPECTRXYCOG-UHFFFAOYSA-N 0 0 285.303 2.749 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000312498753 279054874 /nfs/dbraw/zinc/05/48/74/279054874.db2.gz XLMKYFWSMQPAIB-MADCSZMMSA-N 0 0 294.336 2.579 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000291311517 279061404 /nfs/dbraw/zinc/06/14/04/279061404.db2.gz XURFBJKOHCHDLH-UHFFFAOYSA-N 0 0 296.371 2.950 20 5 CFBDRN Cc1nc(N2CC[C@@]3(CC[C@@H](C)C3)C2)ncc1[N+](=O)[O-] ZINC000450434455 279093223 /nfs/dbraw/zinc/09/32/23/279093223.db2.gz MZKLANAXVWUULF-QMTHXVAHSA-N 0 0 276.340 2.710 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000276628882 279107129 /nfs/dbraw/zinc/10/71/29/279107129.db2.gz NXVVKXNLWHSUDM-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1c2ccccc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000273604750 279135053 /nfs/dbraw/zinc/13/50/53/279135053.db2.gz KDPOXVRZXXLKSE-SNVBAGLBSA-N 0 0 270.292 2.509 20 5 CFBDRN Cc1nn(C)c(N2CC[C@@]3(CC[C@H](C)C3)C2)c1[N+](=O)[O-] ZINC000302073380 279199382 /nfs/dbraw/zinc/19/93/82/279199382.db2.gz ZXVBMXNRKGVLCG-IINYFYTJSA-N 0 0 278.356 2.653 20 5 CFBDRN Cc1sc(C(=O)N2[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000335693426 279282850 /nfs/dbraw/zinc/28/28/50/279282850.db2.gz ZZTUYPMJPIPDGA-RNFRBKRXSA-N 0 0 254.311 2.588 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000336835600 279762186 /nfs/dbraw/zinc/76/21/86/279762186.db2.gz JPQDOPFVLSLISG-WCBMZHEXSA-N 0 0 275.308 2.690 20 5 CFBDRN CCO[C@@H]1CCN(c2cccc(OC)c2[N+](=O)[O-])C[C@@H]1C ZINC000450746340 279783482 /nfs/dbraw/zinc/78/34/82/279783482.db2.gz RBCDBWDWAIDMCT-WCQYABFASA-N 0 0 294.351 2.855 20 5 CFBDRN CCO[C@@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])C[C@@H]1C ZINC000450744339 279783624 /nfs/dbraw/zinc/78/36/24/279783624.db2.gz MFIHEVMVZUCAFD-WCQYABFASA-N 0 0 293.367 2.858 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCC2(CCC2)C1 ZINC000119371776 279866763 /nfs/dbraw/zinc/86/67/63/279866763.db2.gz OTAKBPRPZYPTLZ-UHFFFAOYSA-N 0 0 289.335 2.680 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](C2CC2)C1)c1ccc([N+](=O)[O-])o1 ZINC000157316815 279887232 /nfs/dbraw/zinc/88/72/32/279887232.db2.gz RWAGWSPZHHFQDV-WDEREUQCSA-N 0 0 278.308 2.886 20 5 CFBDRN C[C@H]1C[C@H](C)CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000408371828 279988196 /nfs/dbraw/zinc/98/81/96/279988196.db2.gz FMCJDDNDWIDEJA-UWVGGRQHSA-N 0 0 274.324 2.953 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)c1ccn(C)c1 ZINC000334314743 280023625 /nfs/dbraw/zinc/02/36/25/280023625.db2.gz MNPZJGCCCFPYDB-JTQLQIEISA-N 0 0 285.303 2.525 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000347112423 280035148 /nfs/dbraw/zinc/03/51/48/280035148.db2.gz ZNDDGJWFDXKHCN-BONVTDFDSA-N 0 0 299.330 2.597 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@@H]2CCC[C@H]2C1 ZINC000152757448 280192287 /nfs/dbraw/zinc/19/22/87/280192287.db2.gz HPXILDBMOHWZQJ-IUCAKERBSA-N 0 0 266.322 2.528 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)[C@@H](C)[C@H](C)O1 ZINC000438364404 288214509 /nfs/dbraw/zinc/21/45/09/288214509.db2.gz NVJMUTZHAQVUNY-VWYCJHECSA-N 0 0 282.315 2.732 20 5 CFBDRN Cc1c(NCc2ncnn2C(C)(C)C)cccc1[N+](=O)[O-] ZINC000282142161 280249717 /nfs/dbraw/zinc/24/97/17/280249717.db2.gz AYHKMEJVCQUNBL-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N3CCC=C(F)C3)cc2N1 ZINC000274292319 280261525 /nfs/dbraw/zinc/26/15/25/280261525.db2.gz KYQYLWNEMRDXNQ-UHFFFAOYSA-N 0 0 291.282 2.543 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)no1 ZINC000445194730 280285493 /nfs/dbraw/zinc/28/54/93/280285493.db2.gz UXNGCZZOBWVYLK-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN Cc1cc(C(=O)NC2(C(C)C)CC2)cc([N+](=O)[O-])c1 ZINC000293891516 280346875 /nfs/dbraw/zinc/34/68/75/280346875.db2.gz JLCYDYNGCPPEME-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCC(F)(F)C1 ZINC000345086112 280354933 /nfs/dbraw/zinc/35/49/33/280354933.db2.gz ZMSOOHSSWRYEBQ-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1C[C@@H](F)[C@@H](F)C1 ZINC000294879479 280355204 /nfs/dbraw/zinc/35/52/04/280355204.db2.gz BWGUOSFIQUTMRP-AOOOYVTPSA-N 0 0 279.246 2.639 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@H]2C)cc([N+](=O)[O-])c1 ZINC000104620223 280359440 /nfs/dbraw/zinc/35/94/40/280359440.db2.gz UYKZDMJEFFKIGQ-MFKMUULPSA-N 0 0 262.309 2.822 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H]2c2ccco2)cc1 ZINC000362000388 280366821 /nfs/dbraw/zinc/36/68/21/280366821.db2.gz CLVRLPUPYSULOQ-CYBMUJFWSA-N 0 0 274.276 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H](C3CCC3)C2)cc1 ZINC000292421402 280366988 /nfs/dbraw/zinc/36/69/88/280366988.db2.gz WLKUKSHEVUVBJQ-CYBMUJFWSA-N 0 0 276.336 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOc3ccc(F)cc3C2)nc1 ZINC000362844176 280367364 /nfs/dbraw/zinc/36/73/64/280367364.db2.gz UVTKZTVOOUVKQR-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(C2)CCCOC3)cc1 ZINC000376488707 280367667 /nfs/dbraw/zinc/36/76/67/280367667.db2.gz SINGUEQHOIKFCG-AWEZNQCLSA-N 0 0 262.309 2.602 20 5 CFBDRN O=[N+]([O-])c1ccc(N2Cc3ccc(O)cc3C3(CC3)C2)cn1 ZINC000360238289 280368556 /nfs/dbraw/zinc/36/85/56/280368556.db2.gz MJPXHFAKIHJHIJ-UHFFFAOYSA-N 0 0 297.314 2.747 20 5 CFBDRN O=[N+]([O-])c1ccc(S[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000272468363 280369491 /nfs/dbraw/zinc/36/94/91/280369491.db2.gz MOTRPNWRSOSOPM-VIFPVBQESA-N 0 0 284.293 2.774 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@@H]2C2CC2)s1 ZINC000290741900 280378121 /nfs/dbraw/zinc/37/81/21/280378121.db2.gz RNFQNXXGNHBNJF-JTQLQIEISA-N 0 0 265.338 2.820 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(C2)CCCCC3)nc1 ZINC000273225875 280378899 /nfs/dbraw/zinc/37/88/99/280378899.db2.gz VZUDXHFUZAALTN-UHFFFAOYSA-N 0 0 262.313 2.545 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]([C@@H]3CCOC3)C2)c(Cl)c1 ZINC000371008203 280380518 /nfs/dbraw/zinc/38/05/18/280380518.db2.gz XMLLUHRDIZKFIQ-NXEZZACHSA-N 0 0 297.742 2.506 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCS[C@H]3CCCC[C@@H]32)c(F)c1 ZINC000413190129 280380611 /nfs/dbraw/zinc/38/06/11/280380611.db2.gz WYQUSHQXHIKYJM-RYUDHWBXSA-N 0 0 297.355 2.993 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H](C3CC3)[C@H]2C2CC2)s1 ZINC000450801836 280381413 /nfs/dbraw/zinc/38/14/13/280381413.db2.gz XLKCDOFJZFLMRK-MWLCHTKSSA-N 0 0 265.338 2.676 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CCO[C@@H](C2CC2)C1 ZINC000487752006 280382921 /nfs/dbraw/zinc/38/29/21/280382921.db2.gz OVHYTGRRSGRQOI-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1[C@H]1C=CCCC1 ZINC000268662150 280389852 /nfs/dbraw/zinc/38/98/52/280389852.db2.gz YTJFMGDACVUHNE-QMMMGPOBSA-N 0 0 254.673 2.691 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccoc1 ZINC000047088383 280426765 /nfs/dbraw/zinc/42/67/65/280426765.db2.gz YOLSCUGRETXZJO-UHFFFAOYSA-N 0 0 289.291 2.529 20 5 CFBDRN O=C(NCc1cccs1)NCc1cccc([N+](=O)[O-])c1 ZINC000049371344 280438091 /nfs/dbraw/zinc/43/80/91/280438091.db2.gz RGMIHFLWQHQZPO-UHFFFAOYSA-N 0 0 291.332 2.656 20 5 CFBDRN CC[C@@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCS1 ZINC000302872521 280442791 /nfs/dbraw/zinc/44/27/91/280442791.db2.gz CKUFQKBCIRJYRH-SNVBAGLBSA-N 0 0 293.392 2.895 20 5 CFBDRN CC[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CCCO1 ZINC000281814390 280444343 /nfs/dbraw/zinc/44/43/43/280444343.db2.gz JIPDNHNXRFPILV-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)c1cccnc1 ZINC000052812316 280445122 /nfs/dbraw/zinc/44/51/22/280445122.db2.gz FQPYDAYFCWPMFP-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN CC[C@H](C)N(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000054646652 280449274 /nfs/dbraw/zinc/44/92/74/280449274.db2.gz AESWSMRBQWKIIW-NSHDSACASA-N 0 0 279.340 2.577 20 5 CFBDRN O=C(Nc1ccc(O)cc1)c1ccc([N+](=O)[O-])s1 ZINC000060635306 280459225 /nfs/dbraw/zinc/45/92/25/280459225.db2.gz WWFGMKBRIVOLLO-UHFFFAOYSA-N 0 0 264.262 2.614 20 5 CFBDRN O=C(NCCCC1CC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000077346491 280523968 /nfs/dbraw/zinc/52/39/68/280523968.db2.gz OXGMIQUONXTAEF-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN Cc1cc(N2CCCOCC2)c2cccc([N+](=O)[O-])c2n1 ZINC000362038692 280550352 /nfs/dbraw/zinc/55/03/52/280550352.db2.gz LKUUHYIIGQKHNW-UHFFFAOYSA-N 0 0 287.319 2.678 20 5 CFBDRN O=C(Cc1cccs1)NCCc1cccc([N+](=O)[O-])c1 ZINC000089835921 280565826 /nfs/dbraw/zinc/56/58/26/280565826.db2.gz BIZKCVJSIOHMGP-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@@H]3CCOC3)C2)ccc1[N+](=O)[O-] ZINC000371005404 280577391 /nfs/dbraw/zinc/57/73/91/280577391.db2.gz OPAOZBHXWYGLPO-QWHCGFSZSA-N 0 0 276.336 2.766 20 5 CFBDRN COc1cccnc1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000096557304 280579537 /nfs/dbraw/zinc/57/95/37/280579537.db2.gz NNLHAZWTRSHKLP-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cnccc1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000107289926 280587114 /nfs/dbraw/zinc/58/71/14/280587114.db2.gz MNXDMNMOWFZILU-AATRIKPKSA-N 0 0 283.287 2.950 20 5 CFBDRN CN(Cc1nccn1C)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119560631 280608286 /nfs/dbraw/zinc/60/82/86/280608286.db2.gz CKDBOLZSNVPJKD-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCOC[C@H]1C1CC1 ZINC000119581473 280609172 /nfs/dbraw/zinc/60/91/72/280609172.db2.gz GKPAZWGZPKHWTJ-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN Cc1cccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c1O ZINC000122065569 280625884 /nfs/dbraw/zinc/62/58/84/280625884.db2.gz NPVOWVZBWGSXTD-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN O=C(Cc1ccsc1)NCCc1cccc([N+](=O)[O-])c1 ZINC000125904726 280647160 /nfs/dbraw/zinc/64/71/60/280647160.db2.gz UDSNOCWZYJBODD-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1cccc2c1CNC2=O ZINC000342068099 280661125 /nfs/dbraw/zinc/66/11/25/280661125.db2.gz FOFDMZDBQUKMBK-UHFFFAOYSA-N 0 0 297.314 2.759 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NCc1ccc(O)cc1 ZINC000127217370 280661694 /nfs/dbraw/zinc/66/16/94/280661694.db2.gz SAPQRTNJYCLSIT-JXMROGBWSA-N 0 0 298.298 2.630 20 5 CFBDRN O=[N+]([O-])c1cn(CCOc2ccccc2Cl)cn1 ZINC000128134420 280673444 /nfs/dbraw/zinc/67/34/44/280673444.db2.gz QQRYRHXSSTZPBV-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1cccc2c1OCO2 ZINC000129336534 280689245 /nfs/dbraw/zinc/68/92/45/280689245.db2.gz FYQRANCQBXTRAA-UHFFFAOYSA-N 0 0 286.243 2.576 20 5 CFBDRN COCCCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129843724 280695773 /nfs/dbraw/zinc/69/57/73/280695773.db2.gz DINDMLKWNRJFJI-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN COC(=O)c1occc1COc1ccc(C)c([N+](=O)[O-])c1 ZINC000131778940 280722615 /nfs/dbraw/zinc/72/26/15/280722615.db2.gz SUQNUMFUAVOOMS-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)nn1C ZINC000133929254 280751255 /nfs/dbraw/zinc/75/12/55/280751255.db2.gz YQKGUZXIHNOYGM-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc(COc2cc(F)cc([N+](=O)[O-])c2)no1 ZINC000135357940 280771614 /nfs/dbraw/zinc/77/16/14/280771614.db2.gz SURLVBSONTYAHA-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN CC[C@@]1(C)CN(Cc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000135605876 280775255 /nfs/dbraw/zinc/77/52/55/280775255.db2.gz QUMJUWVBJVANHE-AWEZNQCLSA-N 0 0 282.315 2.735 20 5 CFBDRN COc1ccc(Cn2nccc2[N+](=O)[O-])cc1Cl ZINC000179030546 280815431 /nfs/dbraw/zinc/81/54/31/280815431.db2.gz IDEWWHPEYUHEDT-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000184020317 280824685 /nfs/dbraw/zinc/82/46/85/280824685.db2.gz MEDUAYHXTUHYIA-SECBINFHSA-N 0 0 299.710 2.623 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)C1=CCCC1 ZINC000186338148 280845142 /nfs/dbraw/zinc/84/51/42/280845142.db2.gz DSDSFKXGPHLSGY-UHFFFAOYSA-N 0 0 280.711 2.975 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])CCCO1 ZINC000281016581 288274178 /nfs/dbraw/zinc/27/41/78/288274178.db2.gz ABKCHACMSNCIDZ-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCOC(F)F ZINC000193613801 280915191 /nfs/dbraw/zinc/91/51/91/280915191.db2.gz GOWFBLMAZIPUGM-UHFFFAOYSA-N 0 0 260.240 2.944 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCS1 ZINC000153705150 288278486 /nfs/dbraw/zinc/27/84/86/288278486.db2.gz IHMYNBSEKGTNDA-SSDOTTSWSA-N 0 0 273.745 2.585 20 5 CFBDRN CCC[C@H](CC)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000194878880 280928464 /nfs/dbraw/zinc/92/84/64/280928464.db2.gz LPQHRFONALSCCT-NSHDSACASA-N 0 0 280.324 2.669 20 5 CFBDRN CCCCOCCNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000195126502 280929886 /nfs/dbraw/zinc/92/98/86/280929886.db2.gz JKVOAPBRLQXIGV-BQYQJAHWSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@H](CC(N)=O)Nc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000228852605 280936847 /nfs/dbraw/zinc/93/68/47/280936847.db2.gz PTIPIRVXNWLWPG-YFKPBYRVSA-N 0 0 292.122 2.577 20 5 CFBDRN Cc1cnc(CNCc2cccc([N+](=O)[O-])c2)s1 ZINC000230686717 280938321 /nfs/dbraw/zinc/93/83/21/280938321.db2.gz UQJQKAGLAULKNI-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN CC[C@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000336572069 281040301 /nfs/dbraw/zinc/04/03/01/281040301.db2.gz AFJAUFFXMNMWCM-JTQLQIEISA-N 0 0 265.313 2.718 20 5 CFBDRN CC[C@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000336633259 281040601 /nfs/dbraw/zinc/04/06/01/281040601.db2.gz HJEIHKFOHJLMIL-NSHDSACASA-N 0 0 279.340 2.728 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2Nc1ncc([N+](=O)[O-])cn1 ZINC000273674821 281064160 /nfs/dbraw/zinc/06/41/60/281064160.db2.gz YCVZVLVKOVFLHX-CYBMUJFWSA-N 0 0 270.292 2.793 20 5 CFBDRN Cc1ncccc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000254986583 281083076 /nfs/dbraw/zinc/08/30/76/281083076.db2.gz VGYHQUVHAGJOKY-TWGQIWQCSA-N 0 0 283.287 2.950 20 5 CFBDRN O=c1[nH]c(C=Cc2cccc([N+](=O)[O-])c2)nc2cnccc12 ZINC000255142370 281089230 /nfs/dbraw/zinc/08/92/30/281089230.db2.gz CCZQAMBVQKZYFS-PLNGDYQASA-N 0 0 294.270 2.809 20 5 CFBDRN Cc1cccc(N(C)C(=O)/C=C\c2cccc([N+](=O)[O-])c2)n1 ZINC000255172977 281090309 /nfs/dbraw/zinc/09/03/09/281090309.db2.gz BPIGCXLWYFRQJE-KTKRTIGZSA-N 0 0 297.314 2.974 20 5 CFBDRN CN(C(=O)/C=C\c1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000255286337 281091868 /nfs/dbraw/zinc/09/18/68/281091868.db2.gz XIMSHVJCACTQMD-CLTKARDFSA-N 0 0 280.711 2.882 20 5 CFBDRN CN(C(=O)/C=C\c1ccccc1[N+](=O)[O-])[C@H]1CCSC1 ZINC000255414888 281097222 /nfs/dbraw/zinc/09/72/22/281097222.db2.gz KWTGOGVIIWMGLY-DGMVEKRQSA-N 0 0 292.360 2.572 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC/C=C\c1ccccc1 ZINC000255485809 281098733 /nfs/dbraw/zinc/09/87/33/281098733.db2.gz FKYIJWALDJBPNG-UITAMQMPSA-N 0 0 296.326 2.967 20 5 CFBDRN O=c1[nH]c(C=Cc2ccc([N+](=O)[O-])cc2)nc2cnccc12 ZINC000255624249 281103956 /nfs/dbraw/zinc/10/39/56/281103956.db2.gz IJIYPSZWMHMDSK-UTCJRWHESA-N 0 0 294.270 2.809 20 5 CFBDRN CC[C@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@@H](C)O1 ZINC000278407743 288299481 /nfs/dbraw/zinc/29/94/81/288299481.db2.gz NKBVXIZWSYHSIS-MNOVXSKESA-N 0 0 291.351 2.567 20 5 CFBDRN CN(C(=O)/C=C\c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000255674482 281105009 /nfs/dbraw/zinc/10/50/09/281105009.db2.gz XFEFBAVVGZWBGW-KTKRTIGZSA-N 0 0 260.293 2.619 20 5 CFBDRN CCN(C(=O)/C=C\c1ccccc1[N+](=O)[O-])C(C)C ZINC000255863344 281108706 /nfs/dbraw/zinc/10/87/06/281108706.db2.gz MXZLXGRQXMJKRJ-KTKRTIGZSA-N 0 0 262.309 2.865 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCCCS1 ZINC000272996883 281123115 /nfs/dbraw/zinc/12/31/15/281123115.db2.gz RQBRBTALNBIMKJ-NSHDSACASA-N 0 0 266.322 2.819 20 5 CFBDRN CC(C)[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)(C)O ZINC000277334581 281127339 /nfs/dbraw/zinc/12/73/39/281127339.db2.gz VVKYCTGDFMNYPH-LBPRGKRZSA-N 0 0 295.339 2.512 20 5 CFBDRN Cn1cc2c(n1)CCC[C@@H]2Nc1ccc([N+](=O)[O-])cc1 ZINC000282312647 281131369 /nfs/dbraw/zinc/13/13/69/281131369.db2.gz SQCJTNUWRFBVLJ-ZDUSSCGKSA-N 0 0 272.308 2.818 20 5 CFBDRN CC[C@H]1CN(c2ccccc2[N+](=O)[O-])CCCO1 ZINC000281846756 288301009 /nfs/dbraw/zinc/30/10/09/288301009.db2.gz LSDQDRGFRYJMGC-NSHDSACASA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000345646697 281172533 /nfs/dbraw/zinc/17/25/33/281172533.db2.gz UJUJBHOEQZWNMS-AEJSXWLSSA-N 0 0 298.289 2.844 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000347212202 281173846 /nfs/dbraw/zinc/17/38/46/281173846.db2.gz ZWFDXVGBWUCAMX-RYUDHWBXSA-N 0 0 291.351 2.830 20 5 CFBDRN CC[C@H]1CN(c2nc(C)ccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420635429 281180206 /nfs/dbraw/zinc/18/02/06/281180206.db2.gz URCKDEHLHYIBKW-RYUDHWBXSA-N 0 0 279.340 2.692 20 5 CFBDRN COCCN(C[C@H]1CC=CCC1)c1ncccc1[N+](=O)[O-] ZINC000358024002 281181518 /nfs/dbraw/zinc/18/15/18/281181518.db2.gz HVPQAJIQFDPYPS-ZDUSSCGKSA-N 0 0 291.351 2.799 20 5 CFBDRN CC[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCS1 ZINC000153867737 281181648 /nfs/dbraw/zinc/18/16/48/281181648.db2.gz GZVIKWQYRBWOBQ-VIFPVBQESA-N 0 0 287.772 2.975 20 5 CFBDRN CC[C@H]1CN(c2nccc(C)c2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420637712 281182177 /nfs/dbraw/zinc/18/21/77/281182177.db2.gz WLONZUPJWDQIJN-RYUDHWBXSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1ccnc(N[C@H]2CN(C)Cc3ccccc32)c1[N+](=O)[O-] ZINC000374342207 281190793 /nfs/dbraw/zinc/19/07/93/281190793.db2.gz SPAOXOAGIRDTFW-AWEZNQCLSA-N 0 0 298.346 2.897 20 5 CFBDRN COc1cccc(NCc2nn(C)cc2Cl)c1[N+](=O)[O-] ZINC000393346080 281193762 /nfs/dbraw/zinc/19/37/62/281193762.db2.gz CZLFWFDDMLHZJB-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN CC1(C)SC[C@H]1Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000393765205 281193899 /nfs/dbraw/zinc/19/38/99/281193899.db2.gz GLDCSEHSLAXJIK-GFCCVEGCSA-N 0 0 289.360 2.871 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCCC1(O)CCC1 ZINC000396782527 281197141 /nfs/dbraw/zinc/19/71/41/281197141.db2.gz KHAYISORSFZNLM-UHFFFAOYSA-N 0 0 271.700 2.932 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC1(C2(C)CC2)CC1 ZINC000398219102 281205413 /nfs/dbraw/zinc/20/54/13/281205413.db2.gz NPEWFSONYSOLDI-UHFFFAOYSA-N 0 0 264.329 2.864 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)C[C@@H](C)O1 ZINC000430238756 281232620 /nfs/dbraw/zinc/23/26/20/281232620.db2.gz RFEJYNQGWFSDAN-BXUZGUMPSA-N 0 0 294.351 2.603 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H]1C[C@H]1C1CC1 ZINC000433436429 281234447 /nfs/dbraw/zinc/23/44/47/281234447.db2.gz KVRHRZUAIVVMPS-UWVGGRQHSA-N 0 0 264.256 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2ccc3c(c2)OCCO3)nc1 ZINC000439534180 281238508 /nfs/dbraw/zinc/23/85/08/281238508.db2.gz ZKASTKGHWSYHFN-IWQZZHSRSA-N 0 0 284.271 2.931 20 5 CFBDRN CCCc1ncc(CNc2nccc(C)c2[N+](=O)[O-])o1 ZINC000450847780 281245263 /nfs/dbraw/zinc/24/52/63/281245263.db2.gz PRDYNCCBIDJXIJ-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@@H]1F ZINC000335667007 281257797 /nfs/dbraw/zinc/25/77/97/281257797.db2.gz TWOISQGGTBYVBJ-VHSXEESVSA-N 0 0 266.272 2.524 20 5 CFBDRN CC1(C)CN(c2cc(F)ccc2[N+](=O)[O-])CCCO1 ZINC000370032401 281266334 /nfs/dbraw/zinc/26/63/34/281266334.db2.gz UQTUTZLKUJBQAY-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN COc1cc(C(=O)NC2(CF)CCC2)cc([N+](=O)[O-])c1C ZINC000515386916 281292502 /nfs/dbraw/zinc/29/25/02/281292502.db2.gz UWOUQXHFPHOGRJ-UHFFFAOYSA-N 0 0 296.298 2.534 20 5 CFBDRN C[C@H](C(=O)N(C)CC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000517067649 281306717 /nfs/dbraw/zinc/30/67/17/281306717.db2.gz FEXQJABWTLDYLI-QMMMGPOBSA-N 0 0 290.241 2.719 20 5 CFBDRN CCC(CC)CNC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000520520901 281310086 /nfs/dbraw/zinc/31/00/86/281310086.db2.gz ZBQJWOIIROZWPT-UHFFFAOYSA-N 0 0 294.351 2.698 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000527180350 281325763 /nfs/dbraw/zinc/32/57/63/281325763.db2.gz RLOUBQHPHRUVKS-NXEZZACHSA-N 0 0 285.303 2.766 20 5 CFBDRN CC(C)C(C)(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000527372749 281330482 /nfs/dbraw/zinc/33/04/82/281330482.db2.gz PZFPUWNHLANVNC-UHFFFAOYSA-N 0 0 264.325 2.893 20 5 CFBDRN C[C@H](NC(=O)N1[C@@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000527456720 281331479 /nfs/dbraw/zinc/33/14/79/281331479.db2.gz PLRVAMBUGVYBEW-DCAQKATOSA-N 0 0 277.324 2.848 20 5 CFBDRN O=C(Nc1ccc(F)cc1F)c1ccc([N+](=O)[O-])cn1 ZINC000531627502 281436057 /nfs/dbraw/zinc/43/60/57/281436057.db2.gz BVTMOZCBFLSGIW-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CCc1nc(C(C)(C)NCc2ccccc2[N+](=O)[O-])no1 ZINC000441226599 281491887 /nfs/dbraw/zinc/49/18/87/281491887.db2.gz GOHAQIKXDDCWRZ-UHFFFAOYSA-N 0 0 290.323 2.565 20 5 CFBDRN Cc1ccc(NC(=O)c2cnc3ccccn23)cc1[N+](=O)[O-] ZINC000535025779 281493975 /nfs/dbraw/zinc/49/39/75/281493975.db2.gz FEZMZCIYBBPRQO-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1cn2c(n1)CN(c1ccc([N+](=O)[O-])cc1Cl)CC2 ZINC000371703212 281546154 /nfs/dbraw/zinc/54/61/54/281546154.db2.gz JRRFGISUCHBYJH-UHFFFAOYSA-N 0 0 292.726 2.773 20 5 CFBDRN CC(C)[C@H](C)CNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000543435806 281646610 /nfs/dbraw/zinc/64/66/10/281646610.db2.gz ZWCNPJAFJTTWFA-SNVBAGLBSA-N 0 0 274.324 2.664 20 5 CFBDRN COc1ccc(NC(=O)N2C[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000543891967 281658587 /nfs/dbraw/zinc/65/85/87/281658587.db2.gz MAAXMZQOUIQWFO-NXEZZACHSA-N 0 0 293.323 2.866 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccnc(C2CC2)c1 ZINC000544008503 281659839 /nfs/dbraw/zinc/65/98/39/281659839.db2.gz UXMCQSGQSSIWEP-UHFFFAOYSA-N 0 0 297.314 2.797 20 5 CFBDRN CC(C)SCc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000546257479 281707215 /nfs/dbraw/zinc/70/72/15/281707215.db2.gz CJYZFEDYVINDNR-UHFFFAOYSA-N 0 0 294.336 2.869 20 5 CFBDRN COC1(c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)CCC1 ZINC000546398478 281708448 /nfs/dbraw/zinc/70/84/48/281708448.db2.gz IFXIDJXIBOAXFM-UHFFFAOYSA-N 0 0 289.291 2.979 20 5 CFBDRN Cc1csc2c1CN(c1ncc([N+](=O)[O-])s1)CC2 ZINC000374492429 281743492 /nfs/dbraw/zinc/74/34/92/281743492.db2.gz OCSAYJXLJXFXRR-UHFFFAOYSA-N 0 0 281.362 2.984 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCC(C)(C)O2)c1 ZINC000547960349 281761754 /nfs/dbraw/zinc/76/17/54/281761754.db2.gz IRQXJRDHCPDOPT-LLVKDONJSA-N 0 0 280.324 2.973 20 5 CFBDRN CC(C)CCN(C(=O)c1c[nH]nc1[N+](=O)[O-])C1CCCC1 ZINC000549223775 281813519 /nfs/dbraw/zinc/81/35/19/281813519.db2.gz MVSKAVJRJGFDRS-UHFFFAOYSA-N 0 0 294.355 2.749 20 5 CFBDRN Cc1cc(N2CC[C@H](OC(F)F)C2)ccc1[N+](=O)[O-] ZINC000549260812 281814057 /nfs/dbraw/zinc/81/40/57/281814057.db2.gz JMGGHWZNXSVTOM-JTQLQIEISA-N 0 0 272.251 2.721 20 5 CFBDRN CC(C)C(C)(C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000551306017 281835116 /nfs/dbraw/zinc/83/51/16/281835116.db2.gz ZVESSWLOXWJFHP-UHFFFAOYSA-N 0 0 280.324 2.524 20 5 CFBDRN CC(C)(C)c1ccc(N[C@@H]2CCCNC2=O)cc1[N+](=O)[O-] ZINC000556287768 281931613 /nfs/dbraw/zinc/93/16/13/281931613.db2.gz OWPDXUGCZCZKQF-GFCCVEGCSA-N 0 0 291.351 2.583 20 5 CFBDRN Cc1nc(N2CCOC[C@@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000292348403 281932964 /nfs/dbraw/zinc/93/29/64/281932964.db2.gz QOWQLDXQVFZOJI-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN CC(F)(F)CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000556643693 281938821 /nfs/dbraw/zinc/93/88/21/281938821.db2.gz BLMHLIIAAXEOOB-UHFFFAOYSA-N 0 0 277.202 2.511 20 5 CFBDRN Cc1noc([C@H]2CCN(c3ccc([N+](=O)[O-])c(C)c3)C2)n1 ZINC000556692080 281941082 /nfs/dbraw/zinc/94/10/82/281941082.db2.gz MBWLFMPAOASGPC-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN Cc1nc(N2CCc3ccccc3[C@@H]2C)ncc1[N+](=O)[O-] ZINC000297590289 281941845 /nfs/dbraw/zinc/94/18/45/281941845.db2.gz UCENLAHZPJTXTG-NSHDSACASA-N 0 0 284.319 2.817 20 5 CFBDRN Cc1nc(N2C[C@H](C)C[C@@H](C)[C@H]2C)ncc1[N+](=O)[O-] ZINC000450476162 281944976 /nfs/dbraw/zinc/94/49/76/281944976.db2.gz NKYISWVHHGVJLU-FXPVBKGRSA-N 0 0 264.329 2.564 20 5 CFBDRN C[C@@H]1COC[C@@H](C)N1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000120901610 288375563 /nfs/dbraw/zinc/37/55/63/288375563.db2.gz CHVSFAVSRDRUFA-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1nc(N[C@H]2C[C@@H](C)n3ccnc32)ccc1[N+](=O)[O-] ZINC000450831725 281981117 /nfs/dbraw/zinc/98/11/17/281981117.db2.gz PVRIHSXDAISEIW-SCZZXKLOSA-N 0 0 273.296 2.613 20 5 CFBDRN CCCC[C@H](C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000558607412 281986448 /nfs/dbraw/zinc/98/64/48/281986448.db2.gz DQBOSLVMKITFQX-LBPRGKRZSA-N 0 0 294.351 2.916 20 5 CFBDRN CC(C)(CNC(=O)c1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000558703589 281992830 /nfs/dbraw/zinc/99/28/30/281992830.db2.gz ZGWKOWUNQXDNCD-UHFFFAOYSA-N 0 0 296.270 2.975 20 5 CFBDRN CC[C@@H](Nc1ncccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000560839137 282043024 /nfs/dbraw/zinc/04/30/24/282043024.db2.gz LYMCNCSHBVFLNY-SECBINFHSA-N 0 0 281.312 2.522 20 5 CFBDRN CC1(C)CCCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000561195383 282049598 /nfs/dbraw/zinc/04/95/98/282049598.db2.gz ZWQTUINMIAYCQF-UHFFFAOYSA-N 0 0 278.337 2.978 20 5 CFBDRN C[C@H](c1nc(-c2cnn(C)c2)no1)c1cccc([N+](=O)[O-])c1 ZINC000561915722 282062339 /nfs/dbraw/zinc/06/23/39/282062339.db2.gz DQVFULJJUKXJHE-VIFPVBQESA-N 0 0 299.290 2.530 20 5 CFBDRN CC[C@@H](NCc1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC000561982458 282064230 /nfs/dbraw/zinc/06/42/30/282064230.db2.gz OIMWITCKWDACLF-CYBMUJFWSA-N 0 0 294.351 2.805 20 5 CFBDRN C[C@@H](C(=O)N1CCSC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000562300125 282073186 /nfs/dbraw/zinc/07/31/86/282073186.db2.gz GRBCIUUKBJFEEN-GHMZBOCLSA-N 0 0 294.376 2.662 20 5 CFBDRN C[C@@]1(F)CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000408406421 289186764 /nfs/dbraw/zinc/18/67/64/289186764.db2.gz QTXMXXYSNYPNFB-CYBMUJFWSA-N 0 0 265.288 2.501 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000062927684 289824332 /nfs/dbraw/zinc/82/43/32/289824332.db2.gz OUKVCDPBZIJCBN-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN CCCOCC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152336220 289844907 /nfs/dbraw/zinc/84/49/07/289844907.db2.gz NVQBIGHZTXSMJG-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CC(F)(F)F ZINC000154123934 289886210 /nfs/dbraw/zinc/88/62/10/289886210.db2.gz LRYBCTIZEMULFN-UHFFFAOYSA-N 0 0 276.214 2.538 20 5 CFBDRN CCc1nc(C(=O)Nc2cccc([N+](=O)[O-])c2C)co1 ZINC000154588108 289898630 /nfs/dbraw/zinc/89/86/30/289898630.db2.gz SSLBWZUYGQLVAX-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN COc1ccc(-c2nc3cc[nH]cc-3n2)cc1[N+](=O)[O-] ZINC000154626984 289899966 /nfs/dbraw/zinc/89/99/66/289899966.db2.gz PEIIBDMMBQQXCV-UHFFFAOYSA-N 0 0 270.248 2.542 20 5 CFBDRN CCNc1ccc(C(=O)NC(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000227507541 289995024 /nfs/dbraw/zinc/99/50/24/289995024.db2.gz LWHSVGZBQWOXPU-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN Cn1ccc(C(=O)CSc2cccc([N+](=O)[O-])c2)c1 ZINC000313555001 290018104 /nfs/dbraw/zinc/01/81/04/290018104.db2.gz XLLFKIIXMULHCQ-UHFFFAOYSA-N 0 0 276.317 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC(CCO)CC2)c(Cl)c1 ZINC000400956006 290025114 /nfs/dbraw/zinc/02/51/14/290025114.db2.gz DSPZCFVLYKRHJK-UHFFFAOYSA-N 0 0 298.770 2.843 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@H]2CCCC[C@H]12 ZINC000530917116 290033384 /nfs/dbraw/zinc/03/33/84/290033384.db2.gz NODUFUKLGAOPQW-UTUOFQBUSA-N 0 0 278.356 2.733 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC(C)(F)F ZINC000562607020 290059385 /nfs/dbraw/zinc/05/93/85/290059385.db2.gz ZGESSSLMDBLQCZ-UHFFFAOYSA-N 0 0 287.266 2.934 20 5 CFBDRN CC(C)(C)C1CN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000562661309 290064046 /nfs/dbraw/zinc/06/40/46/290064046.db2.gz YYQQBQXEXUSNAL-UHFFFAOYSA-N 0 0 291.351 2.782 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@H]1C(C)(C)C ZINC000562732822 290071667 /nfs/dbraw/zinc/07/16/67/290071667.db2.gz OZCLVXASAXNVIX-NEPJUHHUSA-N 0 0 293.367 2.878 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@@H](F)C1 ZINC000563035778 290096039 /nfs/dbraw/zinc/09/60/39/290096039.db2.gz QRBICUSJOORRQF-VXGBXAGGSA-N 0 0 295.314 2.675 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](c2cccnc2)C1 ZINC000563048603 290097756 /nfs/dbraw/zinc/09/77/56/290097756.db2.gz LFKYYTUAJLRMIP-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC[C@@H]2C2CCC2)c1 ZINC000563052953 290098355 /nfs/dbraw/zinc/09/83/55/290098355.db2.gz SBGHOALRBYSVHJ-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC[C@H]2C2CCC2)c1 ZINC000563052952 290098412 /nfs/dbraw/zinc/09/84/12/290098412.db2.gz SBGHOALRBYSVHJ-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000563245082 290122947 /nfs/dbraw/zinc/12/29/47/290122947.db2.gz JSNSUENBIXNBEE-QWRGUYRKSA-N 0 0 267.329 2.507 20 5 CFBDRN C[C@H](c1cc(F)ccc1F)N(C)c1c([N+](=O)[O-])ncn1C ZINC000354047941 290215807 /nfs/dbraw/zinc/21/58/07/290215807.db2.gz NSQINZGCTDALLE-MRVPVSSYSA-N 0 0 296.277 2.804 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCOC(C)(C)C ZINC000564649333 290220572 /nfs/dbraw/zinc/22/05/72/290220572.db2.gz ZDGYLXWOTJOXIA-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000565053585 290263793 /nfs/dbraw/zinc/26/37/93/290263793.db2.gz BQDVOIIQOWYFMM-SNVBAGLBSA-N 0 0 286.353 2.549 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](F)C1 ZINC000565144362 290271547 /nfs/dbraw/zinc/27/15/47/290271547.db2.gz YWXPDKUYMUMUKI-KWCYVHTRSA-N 0 0 292.310 2.659 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCC(=O)C2CCCC2)c1C ZINC000565241743 290288250 /nfs/dbraw/zinc/28/82/50/290288250.db2.gz IOMOJRAVOGTZRW-UHFFFAOYSA-N 0 0 278.308 2.745 20 5 CFBDRN O=C1CCN(Cc2ccc([N+](=O)[O-])cc2)C2(CCC2)C1 ZINC000565434974 290301790 /nfs/dbraw/zinc/30/17/90/290301790.db2.gz ZGEYBKJQBLSMRL-UHFFFAOYSA-N 0 0 274.320 2.682 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1[C@@H]1CCCOC1 ZINC000565889217 290332458 /nfs/dbraw/zinc/33/24/58/290332458.db2.gz GOCRJTCAZMPIFC-CHWSQXEVSA-N 0 0 276.336 2.990 20 5 CFBDRN CC(C)Oc1nc(N[C@]2(C)CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000565960944 290337972 /nfs/dbraw/zinc/33/79/72/290337972.db2.gz HIHFKVAFEGZNLA-QMTHXVAHSA-N 0 0 295.339 2.756 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])sc2ncn1CCCCCF ZINC000566210336 290358019 /nfs/dbraw/zinc/35/80/19/290358019.db2.gz RGQILSLHXABOEA-UHFFFAOYSA-N 0 0 285.300 2.506 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc([C@H]2C[C@H]2C)no1 ZINC000566482542 290393070 /nfs/dbraw/zinc/39/30/70/290393070.db2.gz UYUGVOJJLGOWLP-APPZFPTMSA-N 0 0 275.264 2.777 20 5 CFBDRN C[C@H]1CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])CC1(C)C ZINC000273476258 290591237 /nfs/dbraw/zinc/59/12/37/290591237.db2.gz JJINZSMDQLIOQT-JTQLQIEISA-N 0 0 291.351 2.566 20 5 CFBDRN COC[C@H](C)N(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000171987545 293017009 /nfs/dbraw/zinc/01/70/09/293017009.db2.gz HFRXNJOTBCRQRS-QMMMGPOBSA-N 0 0 258.705 2.719 20 5 CFBDRN COC[C@H]1CCCCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000375377968 293195883 /nfs/dbraw/zinc/19/58/83/293195883.db2.gz OFUPJRDCNMQZQY-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN Cc1nc(NC(=O)CCC(C)(C)[N+](=O)[O-])sc1C ZINC000294433183 293311635 /nfs/dbraw/zinc/31/16/35/293311635.db2.gz LBSSBNMHXNFARV-UHFFFAOYSA-N 0 0 271.342 2.534 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000353900557 293426911 /nfs/dbraw/zinc/42/69/11/293426911.db2.gz UCFOSYWIRYCAAS-LBPRGKRZSA-N 0 0 294.351 2.789 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000280538533 294134137 /nfs/dbraw/zinc/13/41/37/294134137.db2.gz SEAGSOQIAKNALV-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCCc2ccccc21 ZINC000335797464 294310731 /nfs/dbraw/zinc/31/07/31/294310731.db2.gz DRCFQRCDJAVAFX-UHFFFAOYSA-N 0 0 285.303 2.526 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000426256902 533693900 /nfs/dbraw/zinc/69/39/00/533693900.db2.gz QCHOPYBFWSHPJP-PQFRYHKHSA-N 0 0 289.335 2.754 20 5 CFBDRN COc1c(C(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000416119268 294886885 /nfs/dbraw/zinc/88/68/85/294886885.db2.gz SERBLUGVJZMGMZ-AXFHLTTASA-N 0 0 292.335 2.720 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@@]3(CCOC3)C2)c1 ZINC000450883882 295253933 /nfs/dbraw/zinc/25/39/33/295253933.db2.gz QWIZZSJCFJEUFT-OAHLLOKOSA-N 0 0 292.335 2.610 20 5 CFBDRN O=C(CC1CC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000120972913 295464292 /nfs/dbraw/zinc/46/42/92/295464292.db2.gz KEFOTAHFRZSZJK-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN O=C(NC1(c2ccc(F)cc2)CC1)c1ccc([N+](=O)[O-])o1 ZINC000354984943 295594612 /nfs/dbraw/zinc/59/46/12/295594612.db2.gz PHHGSNSWSCENRN-UHFFFAOYSA-N 0 0 290.250 2.746 20 5 CFBDRN CSC[C@@H](C)NC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271703586 295611188 /nfs/dbraw/zinc/61/11/88/295611188.db2.gz OBILXJZNVCBIGA-NXEZZACHSA-N 0 0 297.380 2.707 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CC(C2CCC2)C1 ZINC000335103183 304522743 /nfs/dbraw/zinc/52/27/43/304522743.db2.gz YMMXCCRSZVUEKZ-UHFFFAOYSA-N 0 0 278.283 2.606 20 5 CFBDRN C[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000280524337 300297468 /nfs/dbraw/zinc/29/74/68/300297468.db2.gz QZUNXEGYVYYCJC-XPTSAGLGSA-N 0 0 280.299 2.738 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCC[C@H]2C[C@H](C)O)n1 ZINC000447815577 301203232 /nfs/dbraw/zinc/20/32/32/301203232.db2.gz GMPJNPPMLCIRHI-STQMWFEESA-N 0 0 293.367 2.736 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@H]3OCC[C@H]32)n1 ZINC000408171422 301205720 /nfs/dbraw/zinc/20/57/20/301205720.db2.gz JEWXIKXVUFJOFU-YNEHKIRRSA-N 0 0 291.351 2.976 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@H](C)O[C@@H](C)[C@@H]2C)n1 ZINC000408444130 301205911 /nfs/dbraw/zinc/20/59/11/301205911.db2.gz REORSCYOMWSUFX-SRVKXCTJSA-N 0 0 279.340 2.609 20 5 CFBDRN Cc1cc(N2CCOCC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000375195005 301338868 /nfs/dbraw/zinc/33/88/68/301338868.db2.gz BFDQLKNDGPETPX-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCO[C@H](C)C2)c1 ZINC000176496599 301851934 /nfs/dbraw/zinc/85/19/34/301851934.db2.gz WCVJGQZTQMGYJW-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](CO)[C@H]2C)c1 ZINC000413594160 301851995 /nfs/dbraw/zinc/85/19/95/301851995.db2.gz MKIGIVSUCKVQKP-NEPJUHHUSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)C[C@@H]1C ZINC000336013130 301862980 /nfs/dbraw/zinc/86/29/80/301862980.db2.gz YBXAVDHTRCPYIK-KOLCDFICSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H](C)[C@H](C)C1 ZINC000277976954 302038754 /nfs/dbraw/zinc/03/87/54/302038754.db2.gz MBJLROYTYVONCE-GHMZBOCLSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@H](C(C)C)C1 ZINC000349866526 302040803 /nfs/dbraw/zinc/04/08/03/302040803.db2.gz FXGIVATYZPYZRL-ZDUSSCGKSA-N 0 0 264.325 2.764 20 5 CFBDRN Cc1ccnc(N2CCC[C@](CO)(C(C)C)C2)c1[N+](=O)[O-] ZINC000293957295 302271870 /nfs/dbraw/zinc/27/18/70/302271870.db2.gz GYFIXGFHJLWIEG-HNNXBMFYSA-N 0 0 293.367 2.533 20 5 CFBDRN Cc1cnc(N2CC(C)(C)[C@H]2[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000291218967 302347809 /nfs/dbraw/zinc/34/78/09/302347809.db2.gz VTKZNLLIWROBRK-QWHCGFSZSA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1cnc(N2CCC[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000288343031 302350252 /nfs/dbraw/zinc/35/02/52/302350252.db2.gz WLHXBZFWYQUUAO-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cnc(N2CCS[C@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000266189376 302351981 /nfs/dbraw/zinc/35/19/81/302351981.db2.gz FSBCKQHTFHYHLN-NXEZZACHSA-N 0 0 267.354 2.628 20 5 CFBDRN Cc1nc(C)c(N(C)Cc2ccccc2[N+](=O)[O-])nc1C ZINC000413048020 302574492 /nfs/dbraw/zinc/57/44/92/302574492.db2.gz HKLKIOVLRDUJIY-UHFFFAOYSA-N 0 0 286.335 2.946 20 5 CFBDRN Cc1nn(C)c(N2C[C@@H](C)c3ccccc32)c1[N+](=O)[O-] ZINC000301272212 302786477 /nfs/dbraw/zinc/78/64/77/302786477.db2.gz JVQPHZHYQOQVDS-SECBINFHSA-N 0 0 272.308 2.892 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@]2(CC=CCC2)C1 ZINC000408165711 303020670 /nfs/dbraw/zinc/02/06/70/303020670.db2.gz UGABRBJOOAQDFR-AWEZNQCLSA-N 0 0 276.340 2.655 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000193367770 303497926 /nfs/dbraw/zinc/49/79/26/303497926.db2.gz LQGYPMZCQCKKOQ-MRVPVSSYSA-N 0 0 289.257 2.956 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCC1(C(F)F)CC1 ZINC000491995800 303522048 /nfs/dbraw/zinc/52/20/48/303522048.db2.gz XFYSIVZEMHWQRW-UTCJRWHESA-N 0 0 296.273 2.770 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCOCC2(CCC2)C1 ZINC000353261460 304800024 /nfs/dbraw/zinc/80/00/24/304800024.db2.gz GVSYNLWBGSZEGP-UHFFFAOYSA-N 0 0 297.742 2.650 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCCCC[C@@H]1CCO ZINC000343059741 304800435 /nfs/dbraw/zinc/80/04/35/304800435.db2.gz HOZXJFAJZXJCPQ-GFCCVEGCSA-N 0 0 282.315 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC3(CCC3)C2)c(F)c1 ZINC000375206104 304803228 /nfs/dbraw/zinc/80/32/28/304803228.db2.gz HCTZSRAMPMHPJM-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOc2cc(O)ccc2C1 ZINC000370737597 304808077 /nfs/dbraw/zinc/80/80/77/304808077.db2.gz GYPJHJSFVHJVSS-UHFFFAOYSA-N 0 0 286.287 2.699 20 5 CFBDRN CN(CCC1CC1)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935011282 649864998 /nfs/dbraw/zinc/86/49/98/649864998.db2.gz OTNDUQTVZAVHMP-HUUCEWRRSA-N 0 0 288.347 2.957 20 5 CFBDRN CCCC[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)OC ZINC000159659604 322326123 /nfs/dbraw/zinc/32/61/23/322326123.db2.gz XHYWMMLVRQLWEG-JTQLQIEISA-N 0 0 284.337 2.814 20 5 CFBDRN CCCCC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161374335 322350772 /nfs/dbraw/zinc/35/07/72/322350772.db2.gz KTKGBKAVIBTUIS-UHFFFAOYSA-N 0 0 262.309 2.670 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000161522816 322352966 /nfs/dbraw/zinc/35/29/66/322352966.db2.gz UREJSJWCWTZNCT-VXGBXAGGSA-N 0 0 276.336 2.927 20 5 CFBDRN CS(=O)(=O)c1cccc(NCCCC2CC2)c1[N+](=O)[O-] ZINC000161556544 322353354 /nfs/dbraw/zinc/35/33/54/322353354.db2.gz JBFNYLBETXLPDC-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN O=C(CC(F)(F)F)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162082343 322360534 /nfs/dbraw/zinc/36/05/34/322360534.db2.gz SMWIFZAKQVTHCR-UHFFFAOYSA-N 0 0 288.225 2.826 20 5 CFBDRN O=C(c1ccoc1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162159252 322361672 /nfs/dbraw/zinc/36/16/72/322361672.db2.gz MEWVZFVAYOKMKG-UHFFFAOYSA-N 0 0 272.260 2.781 20 5 CFBDRN Cc1sc(C(=O)N2[C@H](C)CC[C@H]2C)cc1[N+](=O)[O-] ZINC000162377111 322364276 /nfs/dbraw/zinc/36/42/76/322364276.db2.gz LFMOQTRQDVDEOS-HTQZYQBOSA-N 0 0 268.338 2.978 20 5 CFBDRN Cc1cccc(CNc2nc(C)ccc2[N+](=O)[O-])n1 ZINC000162619010 322366794 /nfs/dbraw/zinc/36/67/94/322366794.db2.gz JUHSJNNDZNPTGE-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn(C(C)C)c2)n1 ZINC000162621698 322366959 /nfs/dbraw/zinc/36/69/59/322366959.db2.gz XEXZHFOWESVBPY-UHFFFAOYSA-N 0 0 261.285 2.819 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)c1ccn(C)c1 ZINC000168998902 322389728 /nfs/dbraw/zinc/38/97/28/322389728.db2.gz QPASERFMBSOABY-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN COC[C@H]1CCN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000432170175 322455444 /nfs/dbraw/zinc/45/54/44/322455444.db2.gz QFGDXIUMYURFNC-CYBMUJFWSA-N 0 0 299.330 2.882 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000569323503 322464351 /nfs/dbraw/zinc/46/43/51/322464351.db2.gz DGAZCJQNWJUAFP-DDTOSNHZSA-N 0 0 276.336 2.859 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@H](F)C1 ZINC000569608684 322485087 /nfs/dbraw/zinc/48/50/87/322485087.db2.gz FRAZPEADGLAPQT-QMMMGPOBSA-N 0 0 285.250 2.700 20 5 CFBDRN CC[C@@H](C)CCC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000570066165 322512701 /nfs/dbraw/zinc/51/27/01/322512701.db2.gz PXVNTHVVEBOMLO-GFCCVEGCSA-N 0 0 294.351 2.916 20 5 CFBDRN CC(C)Oc1nc(N2CCC[C@@H]2CF)ccc1[N+](=O)[O-] ZINC000570462253 322534238 /nfs/dbraw/zinc/53/42/38/322534238.db2.gz CCHBCGQTDDUNHS-SNVBAGLBSA-N 0 0 283.303 2.715 20 5 CFBDRN CCCCN(C(=O)c1c[nH]nc1[N+](=O)[O-])c1ccccc1 ZINC000570499634 322535700 /nfs/dbraw/zinc/53/57/00/322535700.db2.gz DXOLPRFPYIHZHV-UHFFFAOYSA-N 0 0 288.307 2.765 20 5 CFBDRN CCc1ccc(C(=O)N2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000570856310 322555911 /nfs/dbraw/zinc/55/59/11/322555911.db2.gz HPYBYJOIWZLQRB-LBPRGKRZSA-N 0 0 280.299 2.731 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@H](F)C1 ZINC000571167639 322572894 /nfs/dbraw/zinc/57/28/94/322572894.db2.gz SKIPOHINWQJZEN-NWDGAFQWSA-N 0 0 280.299 2.534 20 5 CFBDRN CNc1ccc(C(=O)NC[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000571639026 322590438 /nfs/dbraw/zinc/59/04/38/322590438.db2.gz WFRVGKSMNCUHBL-RYUDHWBXSA-N 0 0 291.351 2.658 20 5 CFBDRN Cc1nc(C(F)(F)F)nn1Cc1cccc([N+](=O)[O-])c1 ZINC000573459775 322657466 /nfs/dbraw/zinc/65/74/66/322657466.db2.gz OCLYADPVVAJJHZ-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCCC(C)(C)CO ZINC000573740878 322667334 /nfs/dbraw/zinc/66/73/34/322667334.db2.gz CZWQDTWIAUESMR-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN CO[C@H]1C[C@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C12CCC2 ZINC000573773551 322668096 /nfs/dbraw/zinc/66/80/96/322668096.db2.gz XRZQGRZAJJMITL-STQMWFEESA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1nnsc1COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000573904100 322672919 /nfs/dbraw/zinc/67/29/19/322672919.db2.gz JROOWWSLUHFOEH-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CCO[C@@H]1C[C@H]1NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000574266112 322686724 /nfs/dbraw/zinc/68/67/24/322686724.db2.gz QXVIDDZACBVTAI-DGCLKSJQSA-N 0 0 293.323 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC2(CCO)CCCC2)c(F)c1 ZINC000574484644 322694479 /nfs/dbraw/zinc/69/44/79/322694479.db2.gz PKZSQAWDEKGQNH-UHFFFAOYSA-N 0 0 286.278 2.980 20 5 CFBDRN COC1(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)CCC1 ZINC000575799058 322729804 /nfs/dbraw/zinc/72/98/04/322729804.db2.gz PTFMQPHUZKMBMG-UHFFFAOYSA-N 0 0 291.351 2.850 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2ccc(C)nc2)c1 ZINC000173669369 323635279 /nfs/dbraw/zinc/63/52/79/323635279.db2.gz YEGWIROJIFNNJE-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C(C1CC1)C1CC1 ZINC000178852039 323725810 /nfs/dbraw/zinc/72/58/10/323725810.db2.gz OWXWONWSTYHRRK-UHFFFAOYSA-N 0 0 274.320 2.647 20 5 CFBDRN Cc1cccc(NCCCOC[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000188383137 324014226 /nfs/dbraw/zinc/01/42/26/324014226.db2.gz MGKSMGXXQIMSJP-CYBMUJFWSA-N 0 0 294.351 2.758 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(F)c(Cl)c2)cn1 ZINC000188917291 324022238 /nfs/dbraw/zinc/02/22/38/324022238.db2.gz AMUOKUFUYSQHAD-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC(C)C ZINC000193592098 324074353 /nfs/dbraw/zinc/07/43/53/324074353.db2.gz IEDJPEODALWBJL-UHFFFAOYSA-N 0 0 251.286 2.742 20 5 CFBDRN Cc1cc(NC(=O)N(C)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000193676207 324075759 /nfs/dbraw/zinc/07/57/59/324075759.db2.gz URXQOKVJCMSJEI-UHFFFAOYSA-N 0 0 291.229 2.929 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc([C@H]2CCCOC2)no1 ZINC000350850428 324268308 /nfs/dbraw/zinc/26/83/08/324268308.db2.gz BPKKQESZHWWYSQ-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(CCCC(C)(C)C)n1 ZINC000350891541 324279330 /nfs/dbraw/zinc/27/93/30/324279330.db2.gz UJIGMKRBTWTJGH-UHFFFAOYSA-N 0 0 293.327 2.747 20 5 CFBDRN CCC(=Cc1nc(-c2c([N+](=O)[O-])ncn2C)no1)CC ZINC000350891786 324279430 /nfs/dbraw/zinc/27/94/30/324279430.db2.gz RCVOEAAWVUAPEH-UHFFFAOYSA-N 0 0 277.284 2.582 20 5 CFBDRN CC[C@@H](Cc1nc(-c2c([N+](=O)[O-])ncn2C)no1)C(C)C ZINC000350905631 324280466 /nfs/dbraw/zinc/28/04/66/324280466.db2.gz WFQLFAZGTWLHOJ-VIFPVBQESA-N 0 0 293.327 2.603 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@H]3CC=CCC3)n2)n1C ZINC000350923390 324283161 /nfs/dbraw/zinc/28/31/61/324283161.db2.gz HDADTPWZVPLBHC-VIFPVBQESA-N 0 0 289.295 2.510 20 5 CFBDRN CCCC1(c2nc(-c3c([N+](=O)[O-])nc(C)n3C)no2)CC1 ZINC000350973170 324290042 /nfs/dbraw/zinc/29/00/42/324290042.db2.gz SBVWWXOUTCCRDG-UHFFFAOYSA-N 0 0 291.311 2.518 20 5 CFBDRN Cc1cc(-c2noc([C@@H]3CCO[C@@H]3C)n2)cc([N+](=O)[O-])c1 ZINC000351142727 324325968 /nfs/dbraw/zinc/32/59/68/324325968.db2.gz FGLVJTVBBJORSM-BXKDBHETSA-N 0 0 289.291 2.846 20 5 CFBDRN CC[C@H](C)Cc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351142249 324326146 /nfs/dbraw/zinc/32/61/46/324326146.db2.gz DRUOCESSTRYILF-ZETCQYMHSA-N 0 0 251.242 2.826 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351144268 324326878 /nfs/dbraw/zinc/32/68/78/324326878.db2.gz HQPBWWKJDOSJDK-RKDXNWHRSA-N 0 0 293.279 2.910 20 5 CFBDRN CCO[C@@H](Cc1nc(-c2ccc([N+](=O)[O-])o2)no1)C(C)C ZINC000351143753 324327109 /nfs/dbraw/zinc/32/71/09/324327109.db2.gz GPVGGPINRAKNIN-JTQLQIEISA-N 0 0 295.295 2.841 20 5 CFBDRN CC[C@H]1CC[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)O1 ZINC000351147296 324327506 /nfs/dbraw/zinc/32/75/06/324327506.db2.gz NZYQOTQASLRHIF-IONNQARKSA-N 0 0 279.252 2.868 20 5 CFBDRN COC(C)(C)Cc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351147835 324328362 /nfs/dbraw/zinc/32/83/62/324328362.db2.gz PDSJNJAEYPRAMI-UHFFFAOYSA-N 0 0 291.307 2.921 20 5 CFBDRN CS[C@H](C)Cc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351147370 324328421 /nfs/dbraw/zinc/32/84/21/324328421.db2.gz OOUFGXHWVHPERS-ZCFIWIBFSA-N 0 0 269.282 2.532 20 5 CFBDRN CCO[C@H]1C[C@H]1c1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351149295 324328947 /nfs/dbraw/zinc/32/89/47/324328947.db2.gz RHRVWIQXKRLJPV-NEPJUHHUSA-N 0 0 289.291 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCS3)n2)o1 ZINC000351151219 324330218 /nfs/dbraw/zinc/33/02/18/324330218.db2.gz UXOUEJCHEMONTJ-ZETCQYMHSA-N 0 0 267.266 2.806 20 5 CFBDRN Cc1c(-c2noc(CN(C)C(C)C)n2)cccc1[N+](=O)[O-] ZINC000351152869 324330540 /nfs/dbraw/zinc/33/05/40/324330540.db2.gz XHHOBPALQOGAHH-UHFFFAOYSA-N 0 0 290.323 2.793 20 5 CFBDRN COc1c(-c2nc(C3(C)CC3)no2)cccc1[N+](=O)[O-] ZINC000351174198 324338128 /nfs/dbraw/zinc/33/81/28/324338128.db2.gz LOCJVYAQLYHKHP-UHFFFAOYSA-N 0 0 275.264 2.705 20 5 CFBDRN C[C@@H](CC(F)F)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000351277480 324368890 /nfs/dbraw/zinc/36/88/90/324368890.db2.gz NDHVYDLJRAORRH-ZETCQYMHSA-N 0 0 298.249 2.722 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@H]3CC34CC4)n2)c1 ZINC000351279337 324369729 /nfs/dbraw/zinc/36/97/29/324369729.db2.gz DIWWWONQSLQMLQ-SNVBAGLBSA-N 0 0 257.249 2.912 20 5 CFBDRN C[C@]1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)C[C@@H]1F ZINC000351287259 324371825 /nfs/dbraw/zinc/37/18/25/324371825.db2.gz HFOFQQMJIVRSHW-CABZTGNLSA-N 0 0 263.228 2.644 20 5 CFBDRN CC(C)n1cc(CNc2c(F)cccc2[N+](=O)[O-])nn1 ZINC000380640288 324411526 /nfs/dbraw/zinc/41/15/26/324411526.db2.gz WHRGQSZSTRWLNY-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN CCOc1cc(N2CC[C@H](CO)[C@H]2CC)ccc1[N+](=O)[O-] ZINC000385593007 324462877 /nfs/dbraw/zinc/46/28/77/324462877.db2.gz BIMXBUNVFBRGRN-DGCLKSJQSA-N 0 0 294.351 2.591 20 5 CFBDRN COc1cc(NCCC(C)(C)CO)c(F)cc1[N+](=O)[O-] ZINC000386207905 324474567 /nfs/dbraw/zinc/47/45/67/324474567.db2.gz CZSAKLULYHWTNV-UHFFFAOYSA-N 0 0 286.303 2.563 20 5 CFBDRN COc1cc(N[C@H](C)[C@@H](OC)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000388370845 324505493 /nfs/dbraw/zinc/50/54/93/324505493.db2.gz XFOMKQVYYLNZHA-XLKFXECMSA-N 0 0 298.314 2.968 20 5 CFBDRN Cc1nnc(CNc2cc(C)c([N+](=O)[O-])cc2F)s1 ZINC000391957807 324527564 /nfs/dbraw/zinc/52/75/64/324527564.db2.gz JUPTVSCRGLZKJL-UHFFFAOYSA-N 0 0 282.300 2.814 20 5 CFBDRN C[C@@H](F)CCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000576373034 324609849 /nfs/dbraw/zinc/60/98/49/324609849.db2.gz GRRUBQPCMOLZAU-ZJUUUORDSA-N 0 0 268.288 2.563 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NCC(C1CC1)C1CC1 ZINC000576383934 324610831 /nfs/dbraw/zinc/61/08/31/324610831.db2.gz KGAGBDQTYLRMKC-UHFFFAOYSA-N 0 0 289.335 2.542 20 5 CFBDRN Cc1cccc(CCCn2cc([N+](=O)[O-])cc(F)c2=O)c1 ZINC000578069589 324812892 /nfs/dbraw/zinc/81/28/92/324812892.db2.gz KSPXJMYNWRRJLU-UHFFFAOYSA-N 0 0 290.294 2.837 20 5 CFBDRN Cc1nc(N[C@@H]2CC[C@H](C)C[C@H]2C)ncc1[N+](=O)[O-] ZINC000578428201 324850391 /nfs/dbraw/zinc/85/03/91/324850391.db2.gz HNCDOGUEPGAAIZ-IQJOONFLSA-N 0 0 264.329 2.930 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000578466169 324854827 /nfs/dbraw/zinc/85/48/27/324854827.db2.gz ROBTXZSNOJDWNW-UHFFFAOYSA-N 0 0 267.329 2.584 20 5 CFBDRN Cc1ccc(Cn2c(=O)c([N+](=O)[O-])cc3c2CCCC3)cc1 ZINC000578531921 324862294 /nfs/dbraw/zinc/86/22/94/324862294.db2.gz UBVRBMMNUSKFTN-UHFFFAOYSA-N 0 0 298.342 2.992 20 5 CFBDRN C[C@H](CC(C)(C)O)Nc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000579347433 324942562 /nfs/dbraw/zinc/94/25/62/324942562.db2.gz MDPUOEFAKQZHFU-SNVBAGLBSA-N 0 0 293.367 2.830 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@@H]1CCSC1)CCCC2 ZINC000579586704 324962769 /nfs/dbraw/zinc/96/27/69/324962769.db2.gz GQZWHQZWBQDIAR-SNVBAGLBSA-N 0 0 279.365 2.786 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000580047103 325001538 /nfs/dbraw/zinc/00/15/38/325001538.db2.gz XJTLFPRISYWBPF-XYHOJCAOSA-N 0 0 274.320 2.708 20 5 CFBDRN CC(C)[C@@H]1N(c2ncc([N+](=O)[O-])s2)CC12CCOCC2 ZINC000580168093 325012553 /nfs/dbraw/zinc/01/25/53/325012553.db2.gz MJWYPKULPVGVFQ-NSHDSACASA-N 0 0 297.380 2.693 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@H](F)C1 ZINC000580716260 325058445 /nfs/dbraw/zinc/05/84/45/325058445.db2.gz IROLQUWOWYEDKK-RYUDHWBXSA-N 0 0 280.299 2.534 20 5 CFBDRN CC(C)c1ncsc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000580758111 325061101 /nfs/dbraw/zinc/06/11/01/325061101.db2.gz AKSKXJXRGOJSJQ-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2C[C@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000580770230 325062014 /nfs/dbraw/zinc/06/20/14/325062014.db2.gz ZTWKKMPLASMYIQ-WCQYABFASA-N 0 0 289.335 2.555 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000580879718 325071405 /nfs/dbraw/zinc/07/14/05/325071405.db2.gz CHCPZGUSNFGVSL-NEPJUHHUSA-N 0 0 297.330 2.769 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc2ccoc2c1 ZINC000580986098 325079493 /nfs/dbraw/zinc/07/94/93/325079493.db2.gz OXFPKRAOMVVUDB-UHFFFAOYSA-N 0 0 285.259 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@]2(C)C[C@H]2F)n1 ZINC000581125949 325092578 /nfs/dbraw/zinc/09/25/78/325092578.db2.gz PJQFZWXTRAEQJG-ZWNOBZJWSA-N 0 0 277.255 2.953 20 5 CFBDRN C[C@@H](C1CC1)N(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000581692345 325143485 /nfs/dbraw/zinc/14/34/85/325143485.db2.gz UXXGEVIJFVFQIC-ZETCQYMHSA-N 0 0 255.705 2.878 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCO[C@@H](C3CCC3)C2)c(Cl)c1 ZINC000581772872 325149561 /nfs/dbraw/zinc/14/95/61/325149561.db2.gz NQGBWWIOJZMXIQ-GFCCVEGCSA-N 0 0 297.742 2.649 20 5 CFBDRN CNc1ccc(C(=O)N(C)[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000581980170 325165205 /nfs/dbraw/zinc/16/52/05/325165205.db2.gz BHLLHUJOBMFTFM-JQWIXIFHSA-N 0 0 291.351 2.897 20 5 CFBDRN CC[C@@H](C)C[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(=O)OC ZINC000582000611 325167983 /nfs/dbraw/zinc/16/79/83/325167983.db2.gz TUWTXIBQMGTROH-KOLCDFICSA-N 0 0 295.339 2.688 20 5 CFBDRN CCOC(C)(C)CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000582040010 325171223 /nfs/dbraw/zinc/17/12/23/325171223.db2.gz KILUTICGNJCXPL-NSHDSACASA-N 0 0 294.351 2.630 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ccn(C(C)C)n2)c1 ZINC000582289072 325192546 /nfs/dbraw/zinc/19/25/46/325192546.db2.gz XAAZPZHELZCGAJ-UHFFFAOYSA-N 0 0 290.323 2.993 20 5 CFBDRN Cc1cnc(NCc2ccc3cnn(C)c3c2)c([N+](=O)[O-])c1 ZINC000582402753 325201671 /nfs/dbraw/zinc/20/16/71/325201671.db2.gz DXNULFOQIJCGDJ-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CCN(Cc1occc1C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000583011246 325252248 /nfs/dbraw/zinc/25/22/48/325252248.db2.gz WUCNQTSGGXPWRR-UHFFFAOYSA-N 0 0 289.291 2.554 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CS[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000583070857 325257626 /nfs/dbraw/zinc/25/76/26/325257626.db2.gz ZXRIRCJUCDMZGV-JFGNBEQYSA-N 0 0 294.376 2.709 20 5 CFBDRN CC[C@@H](O)CCCNc1c(OC)cccc1[N+](=O)[O-] ZINC000583094914 325260314 /nfs/dbraw/zinc/26/03/14/325260314.db2.gz RMVHMEGVYTZHJV-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN COCC1(Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)CC1 ZINC000583354915 325279916 /nfs/dbraw/zinc/27/99/16/325279916.db2.gz PSYZHQOMYLRFOJ-UHFFFAOYSA-N 0 0 288.250 2.787 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000583568293 325296806 /nfs/dbraw/zinc/29/68/06/325296806.db2.gz FIQCZYRYGSFEIC-GZMMTYOYSA-N 0 0 287.747 2.852 20 5 CFBDRN CC(C)C(C)(C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000583786798 325310065 /nfs/dbraw/zinc/31/00/65/325310065.db2.gz LGITYBPHYVETGQ-UHFFFAOYSA-N 0 0 293.367 2.871 20 5 CFBDRN Cc1cccnc1COc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000583882984 325317609 /nfs/dbraw/zinc/31/76/09/325317609.db2.gz ASYDXIGKFGXDSK-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC2(CCC2)C1 ZINC000584252970 325341341 /nfs/dbraw/zinc/34/13/41/325341341.db2.gz FVQLGENCWAENNB-UHFFFAOYSA-N 0 0 264.329 2.500 20 5 CFBDRN COC(=O)[C@H](CNc1ccc([N+](=O)[O-])cc1)CC1CC1 ZINC000584355184 328734977 /nfs/dbraw/zinc/73/49/77/328734977.db2.gz MSWXAONQLYCMSS-NSHDSACASA-N 0 0 278.308 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(c3ncc(C4CC4)cn3)C2)cc1 ZINC000829048861 782119709 /nfs/dbraw/zinc/11/97/09/782119709.db2.gz HUTPJLDAEAKHAI-UHFFFAOYSA-N 0 0 296.330 2.866 20 5 CFBDRN CC(=O)c1cc(NCc2cc(C)ncn2)ccc1[N+](=O)[O-] ZINC000588007582 500590210 /nfs/dbraw/zinc/59/02/10/500590210.db2.gz MPURXSCOLSYNTO-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN COC1([C@H](C)NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCC1 ZINC000416031034 500621473 /nfs/dbraw/zinc/62/14/73/500621473.db2.gz RYJBWVYEMMATDB-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN CCCN(C)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000357833140 500662982 /nfs/dbraw/zinc/66/29/82/500662982.db2.gz CKCMJGPEMWOJKU-UHFFFAOYSA-N 0 0 282.315 2.880 20 5 CFBDRN CO[C@@H](C)CCC(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181984738 500825595 /nfs/dbraw/zinc/82/55/95/500825595.db2.gz ADTMYGNTXILRQX-NSHDSACASA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000334619760 500951580 /nfs/dbraw/zinc/95/15/80/500951580.db2.gz FIAJJELEEPBUNN-VIFPVBQESA-N 0 0 272.251 2.500 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CSC[C@H]1C ZINC000335555517 500954771 /nfs/dbraw/zinc/95/47/71/500954771.db2.gz MDWVXMBNILZPLO-ZYHUDNBSSA-N 0 0 294.376 2.693 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C=CCCC1 ZINC000158152238 500965016 /nfs/dbraw/zinc/96/50/16/500965016.db2.gz CAJFMCZSISLMFH-LLVKDONJSA-N 0 0 260.293 2.742 20 5 CFBDRN O=[N+]([O-])c1cn(CCOc2ccc(F)cc2)nc1C1CC1 ZINC000185165927 501083270 /nfs/dbraw/zinc/08/32/70/501083270.db2.gz MJWXJOZETCPUDC-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN CCOC(=O)[C@@H](C)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000049370484 521029305 /nfs/dbraw/zinc/02/93/05/521029305.db2.gz FWGLNMSONKWRTR-GHMZBOCLSA-N 0 0 280.324 2.505 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000361481143 521877986 /nfs/dbraw/zinc/87/79/86/521877986.db2.gz JXLKXPVNAFZTOA-GXFFZTMASA-N 0 0 280.324 2.619 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2F)[C@@H](C)O1 ZINC000413540608 533876260 /nfs/dbraw/zinc/87/62/60/533876260.db2.gz VDSMBLJFBVQCBZ-OPRDCNLKSA-N 0 0 268.288 2.959 20 5 CFBDRN C[C@@H]1C[C@H](CNc2c(F)cccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000413542838 533876482 /nfs/dbraw/zinc/87/64/82/533876482.db2.gz XJJYBNZNCAFATI-OPRDCNLKSA-N 0 0 268.288 2.959 20 5 CFBDRN COc1cccc2c1C[C@H](Nc1ccc([N+](=O)[O-])cn1)C2 ZINC000413428920 533893907 /nfs/dbraw/zinc/89/39/07/533893907.db2.gz QVVRZZZVZQFKCP-LLVKDONJSA-N 0 0 285.303 2.578 20 5 CFBDRN Cc1cc(NCc2nnc(C(C)(C)C)[nH]2)ccc1[N+](=O)[O-] ZINC000413413167 534001092 /nfs/dbraw/zinc/00/10/92/534001092.db2.gz LZNDSEMBUHRBKS-UHFFFAOYSA-N 0 0 289.339 2.931 20 5 CFBDRN C[C@H]1COCCN1CCSCc1ccc([N+](=O)[O-])cc1 ZINC000413004873 534029109 /nfs/dbraw/zinc/02/91/09/534029109.db2.gz YBISHPBGQXBYRD-LBPRGKRZSA-N 0 0 296.392 2.549 20 5 CFBDRN CSc1ccc(C(=O)NCc2ccc[nH]2)cc1[N+](=O)[O-] ZINC000173382575 534185159 /nfs/dbraw/zinc/18/51/59/534185159.db2.gz GHAWCPQEQLCNAO-UHFFFAOYSA-N 0 0 291.332 2.575 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2N)cc1F ZINC000157275920 534191396 /nfs/dbraw/zinc/19/13/96/534191396.db2.gz ROWYZZZRGARQDV-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CC(C)(C(=O)N1CC[C@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000452998469 534316686 /nfs/dbraw/zinc/31/66/86/534316686.db2.gz WARXVUTXCLBNKF-ZDUSSCGKSA-N 0 0 288.347 2.883 20 5 CFBDRN CC(=O)c1cc(N(C)Cc2ccncc2)ccc1[N+](=O)[O-] ZINC000228257461 518289138 /nfs/dbraw/zinc/28/91/38/518289138.db2.gz NBSMUBVRORIRNU-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCO[C@H](C(C)C)C1 ZINC000361045037 518411327 /nfs/dbraw/zinc/41/13/27/518411327.db2.gz KKEHLQKJGVEQQL-HNNXBMFYSA-N 0 0 292.335 2.659 20 5 CFBDRN CC(C)(C(=O)NC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000340038501 518518633 /nfs/dbraw/zinc/51/86/33/518518633.db2.gz POXSNFOZSTUCOB-UHFFFAOYSA-N 0 0 262.309 2.541 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1ccccc1[N+](=O)[O-] ZINC000227357352 518683273 /nfs/dbraw/zinc/68/32/73/518683273.db2.gz HKRHKRXFEZJAOJ-SNVBAGLBSA-N 0 0 252.314 2.804 20 5 CFBDRN CC(C)(C)n1cc(/C=C\c2ccc([N+](=O)[O-])cn2)nn1 ZINC000358659763 519146500 /nfs/dbraw/zinc/14/65/00/519146500.db2.gz KJAIWNXVQBHZDU-PLNGDYQASA-N 0 0 273.296 2.507 20 5 CFBDRN CC(C)(C)n1cc(Nc2ccc([N+](=O)[O-])cn2)cn1 ZINC000049441693 519165557 /nfs/dbraw/zinc/16/55/57/519165557.db2.gz WDGHGCYKTPLVKG-UHFFFAOYSA-N 0 0 261.285 2.685 20 5 CFBDRN C=Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000360535445 519314315 /nfs/dbraw/zinc/31/43/15/519314315.db2.gz PMGLWDVHRVGDBK-UHFFFAOYSA-N 0 0 286.287 2.803 20 5 CFBDRN CC(C)(O)CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000081676324 519349214 /nfs/dbraw/zinc/34/92/14/519349214.db2.gz KHFLXUOXBUHIMR-UHFFFAOYSA-N 0 0 259.689 2.788 20 5 CFBDRN CC(C)C1(C)CCN(C(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000335813113 519549154 /nfs/dbraw/zinc/54/91/54/519549154.db2.gz CUUUGILMTHZCQD-UHFFFAOYSA-N 0 0 293.367 2.832 20 5 CFBDRN CC(C)CC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000341309126 519601596 /nfs/dbraw/zinc/60/15/96/519601596.db2.gz UTLXITGQNSXNPP-SNVBAGLBSA-N 0 0 250.298 2.818 20 5 CFBDRN CC(C)CC[C@@H](O)COc1cc(N(C)C)ccc1[N+](=O)[O-] ZINC000360690499 519684820 /nfs/dbraw/zinc/68/48/20/519684820.db2.gz NQEGNPXOAANESV-CYBMUJFWSA-N 0 0 296.367 2.837 20 5 CFBDRN CC(C)O[C@@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])C1(C)C ZINC000361608272 519777081 /nfs/dbraw/zinc/77/70/81/519777081.db2.gz FNBVALMQGICIQM-NWDGAFQWSA-N 0 0 279.340 2.994 20 5 CFBDRN CC(C)Oc1cccc(Cn2nccc2[N+](=O)[O-])c1 ZINC000194188542 519783278 /nfs/dbraw/zinc/78/32/78/519783278.db2.gz AEILSBLUSFKPCU-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN CC(C)[C@H](C)CC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000067815808 519810862 /nfs/dbraw/zinc/81/08/62/519810862.db2.gz LLDNLOLXDJNPLV-LLVKDONJSA-N 0 0 264.325 2.893 20 5 CFBDRN CC(C)[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000336462565 519824907 /nfs/dbraw/zinc/82/49/07/519824907.db2.gz RHUZSQKUCQXZPJ-JTQLQIEISA-N 0 0 266.297 2.696 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334448104 519825543 /nfs/dbraw/zinc/82/55/43/519825543.db2.gz INJDYKNDSAOFIN-GFCCVEGCSA-N 0 0 287.319 2.947 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC12CCC2 ZINC000334674180 519828193 /nfs/dbraw/zinc/82/81/93/519828193.db2.gz ANKSFDALKBNHOL-GFCCVEGCSA-N 0 0 277.324 2.574 20 5 CFBDRN CCOCCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213992422 519971102 /nfs/dbraw/zinc/97/11/02/519971102.db2.gz NJBODXHBHGXBQN-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN CCO[C@@H](CCNc1c([N+](=O)[O-])nc(C)n1CC)C(C)C ZINC000361002119 520304490 /nfs/dbraw/zinc/30/44/90/520304490.db2.gz LJTYTZBIUAEDHO-LBPRGKRZSA-N 0 0 298.387 2.983 20 5 CFBDRN CC1(C)CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000336466384 520375481 /nfs/dbraw/zinc/37/54/81/520375481.db2.gz YQQKRPATRAXHAN-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000162628881 520390851 /nfs/dbraw/zinc/39/08/51/520390851.db2.gz VHMGCPAAMBELCK-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN CCCCN(C)c1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000071817747 520433961 /nfs/dbraw/zinc/43/39/61/520433961.db2.gz NTCOFLBARMDRJO-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN CC1(C)CN(c2ncccc2[N+](=O)[O-])[C@H]1c1cccnc1 ZINC000366108775 520496705 /nfs/dbraw/zinc/49/67/05/520496705.db2.gz BJVRLSYJUZTLER-ZDUSSCGKSA-N 0 0 284.319 2.972 20 5 CFBDRN CCC[C@@H](C)NC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000124831818 520659577 /nfs/dbraw/zinc/65/95/77/520659577.db2.gz HSGKWFCJXPZLRB-GHMZBOCLSA-N 0 0 280.324 2.667 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050694191 520664203 /nfs/dbraw/zinc/66/42/03/520664203.db2.gz DWDQGGFEXBYPRL-SSDOTTSWSA-N 0 0 285.731 2.749 20 5 CFBDRN CCCCOCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000049396391 520778157 /nfs/dbraw/zinc/77/81/57/520778157.db2.gz GPUIBKAWPZSYAW-UHFFFAOYSA-N 0 0 281.312 2.533 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1cccc(C)c1[N+](=O)[O-] ZINC000338686351 520819351 /nfs/dbraw/zinc/81/93/51/520819351.db2.gz GJAJPCLTCPNWKW-SNVBAGLBSA-N 0 0 280.324 2.904 20 5 CFBDRN CCC[C@@](C)(CO)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000304750183 520913234 /nfs/dbraw/zinc/91/32/34/520913234.db2.gz WXRBPJIBMPKGDF-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000226232883 520939262 /nfs/dbraw/zinc/93/92/62/520939262.db2.gz LRIQALCCMXYXEE-GFCCVEGCSA-N 0 0 256.277 2.697 20 5 CFBDRN CCN(CCC1CC1)c1c([N+](=O)[O-])nc(C)n1CC ZINC000361006454 520999133 /nfs/dbraw/zinc/99/91/33/520999133.db2.gz GCSQAJRYNFABAM-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN CC1CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 ZINC000336373121 521054530 /nfs/dbraw/zinc/05/45/30/521054530.db2.gz XKIGGPKDCOLDTQ-UHFFFAOYSA-N 0 0 276.317 2.901 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CC2(C)C)c1 ZINC000338541732 521280342 /nfs/dbraw/zinc/28/03/42/521280342.db2.gz YXNHCFVOOGDRAD-JTQLQIEISA-N 0 0 292.335 2.769 20 5 CFBDRN CCC(CC)CNC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000163196586 521533013 /nfs/dbraw/zinc/53/30/13/521533013.db2.gz YGHDJDZSOYPBRI-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN CCC(CC)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000048688213 521581556 /nfs/dbraw/zinc/58/15/56/521581556.db2.gz YIJKPFDEWVPJHZ-UHFFFAOYSA-N 0 0 265.313 2.583 20 5 CFBDRN CCOC1CC(N(C)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000340945752 521651115 /nfs/dbraw/zinc/65/11/15/521651115.db2.gz GZAJOHXFEJUILV-UHFFFAOYSA-N 0 0 264.325 2.907 20 5 CFBDRN CCOCC(C)(C)CNc1c([N+](=O)[O-])c(C)nn1CC ZINC000313365481 521669008 /nfs/dbraw/zinc/66/90/08/521669008.db2.gz OVCNJKOERJWXOJ-UHFFFAOYSA-N 0 0 284.360 2.594 20 5 CFBDRN CCOCCC1(CNc2c([N+](=O)[O-])c(C)nn2CC)CC1 ZINC000192711947 521691772 /nfs/dbraw/zinc/69/17/72/521691772.db2.gz DWMAHOOBSYBXKF-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN CCOCCC1(CNc2c([N+](=O)[O-])c(C)nn2C)CCC1 ZINC000360886051 521691961 /nfs/dbraw/zinc/69/19/61/521691961.db2.gz UKWCKGXYXJCQAM-UHFFFAOYSA-N 0 0 296.371 2.646 20 5 CFBDRN CCSc1nnc(-c2ccc([N+](=O)[O-])cc2)n1CC ZINC000157060080 521791703 /nfs/dbraw/zinc/79/17/03/521791703.db2.gz GTQABJNYCVAGJY-UHFFFAOYSA-N 0 0 278.337 2.985 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000361481101 521888108 /nfs/dbraw/zinc/88/81/08/521888108.db2.gz JOWJKVMLCQARQU-JOYOIKCWSA-N 0 0 266.297 2.594 20 5 CFBDRN CCc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050212676 521906185 /nfs/dbraw/zinc/90/61/85/521906185.db2.gz WFNRVVHDWZHCBH-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000150833552 521925555 /nfs/dbraw/zinc/92/55/55/521925555.db2.gz XUDPMQVOEXVCHK-UWVGGRQHSA-N 0 0 282.315 2.827 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000057301179 521933747 /nfs/dbraw/zinc/93/37/47/521933747.db2.gz HTYYKLDYJLNSCV-UWVGGRQHSA-N 0 0 280.324 2.768 20 5 CFBDRN COCC[C@H](COC)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000311952798 521935169 /nfs/dbraw/zinc/93/51/69/521935169.db2.gz LXWXVPYZKGAIMG-SECBINFHSA-N 0 0 288.731 2.712 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CC[C@@H]1C1CC1 ZINC000412377431 534607138 /nfs/dbraw/zinc/60/71/38/534607138.db2.gz BXOBQBRCGGIYAS-RRFJBIMHSA-N 0 0 286.331 2.709 20 5 CFBDRN CCc1csc(NC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000078512236 522109354 /nfs/dbraw/zinc/10/93/54/522109354.db2.gz FHJWLWHUASXHAV-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H]2CC[C@H](C)C2)n1 ZINC000230429731 522175917 /nfs/dbraw/zinc/17/59/17/522175917.db2.gz VZDLNKPIYLMSMK-UWVGGRQHSA-N 0 0 293.323 2.625 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H]2CCSC2)c1 ZINC000228579677 522175960 /nfs/dbraw/zinc/17/59/60/522175960.db2.gz XQVHNMMYDOAXRM-VIFPVBQESA-N 0 0 296.348 2.546 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H]2CC[C@@H](C)C2)n1 ZINC000230429721 522176936 /nfs/dbraw/zinc/17/69/36/522176936.db2.gz VZDLNKPIYLMSMK-NXEZZACHSA-N 0 0 293.323 2.625 20 5 CFBDRN Cc1cnc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000177943434 534618763 /nfs/dbraw/zinc/61/87/63/534618763.db2.gz MTWLXVLRBXYDSM-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN CN(C)c1ccc(NCc2ccccc2[N+](=O)[O-])nc1 ZINC000150899100 522235649 /nfs/dbraw/zinc/23/56/49/522235649.db2.gz QVUVCFLTDUPBKS-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1c([N+](=O)[O-])c(C)nn1CC ZINC000091559002 522362317 /nfs/dbraw/zinc/36/23/17/522362317.db2.gz FKKFIKLPFZWMSJ-BDAKNGLRSA-N 0 0 254.334 2.966 20 5 CFBDRN CC[C@@H](C)n1ncc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1C ZINC000361302337 522459135 /nfs/dbraw/zinc/45/91/35/522459135.db2.gz WJMPSVTWHVAYKH-MRVPVSSYSA-N 0 0 291.311 2.651 20 5 CFBDRN CNc1c(C(=O)N2C[C@H](C)C[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000161422039 522538387 /nfs/dbraw/zinc/53/83/87/522538387.db2.gz LDCTZYHXZXDYKD-GHMZBOCLSA-N 0 0 291.351 2.755 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000161420787 522542346 /nfs/dbraw/zinc/54/23/46/522542346.db2.gz NCDIXYXWVBPOND-PWSUYJOCSA-N 0 0 291.351 2.945 20 5 CFBDRN CC[C@H](NC(=O)c1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000158071156 522544336 /nfs/dbraw/zinc/54/43/36/522544336.db2.gz XSYYZXBQPZASRZ-ZDUSSCGKSA-N 0 0 285.303 2.871 20 5 CFBDRN COC[C@H](C)CNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000234711731 522582961 /nfs/dbraw/zinc/58/29/61/522582961.db2.gz BSQLJUCGYNPUTH-SSDOTTSWSA-N 0 0 293.245 2.703 20 5 CFBDRN CNc1ccc(C(=O)N[C@H](C)CC(C)C)cc1[N+](=O)[O-] ZINC000050749758 522594375 /nfs/dbraw/zinc/59/43/75/522594375.db2.gz FHAVOBLDDDWRAE-SNVBAGLBSA-N 0 0 279.340 2.801 20 5 CFBDRN CC[C@@H](Cc1ccccc1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000360250037 522629117 /nfs/dbraw/zinc/62/91/17/522629117.db2.gz GOXDYJGDJPVRAJ-ZDUSSCGKSA-N 0 0 288.351 2.786 20 5 CFBDRN CCc1nn(C)c(NCC2(CC)CCOCC2)c1[N+](=O)[O-] ZINC000313870918 522667123 /nfs/dbraw/zinc/66/71/23/522667123.db2.gz LOGQOUPIMAANJA-UHFFFAOYSA-N 0 0 296.371 2.509 20 5 CFBDRN COc1cc(NC[C@@]2(C)CCCO2)c(F)cc1[N+](=O)[O-] ZINC000218869460 522745627 /nfs/dbraw/zinc/74/56/27/522745627.db2.gz QACQYNKYHWLHBI-CYBMUJFWSA-N 0 0 284.287 2.724 20 5 CFBDRN COC[C@H]1CCCCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000338700628 522820646 /nfs/dbraw/zinc/82/06/46/522820646.db2.gz QNSPMFXLKUIOKG-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000428080653 534672165 /nfs/dbraw/zinc/67/21/65/534672165.db2.gz QDZHPXJDDMEOOU-DABQJJPHSA-N 0 0 292.360 2.602 20 5 CFBDRN COc1cc([C@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)ccn1 ZINC000340884411 522949876 /nfs/dbraw/zinc/94/98/76/522949876.db2.gz BYVCFRPMDVTYSX-JTQLQIEISA-N 0 0 288.307 2.875 20 5 CFBDRN CN(CCc1ccccc1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000046499550 522951866 /nfs/dbraw/zinc/95/18/66/522951866.db2.gz RPQXKBWETLFZKS-UHFFFAOYSA-N 0 0 290.344 2.971 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1noc(C(C)C)n1 ZINC000067099442 522983275 /nfs/dbraw/zinc/98/32/75/522983275.db2.gz AJRZVAPZTTXAAW-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000336176744 523084418 /nfs/dbraw/zinc/08/44/18/523084418.db2.gz KXOMWUZGPHRPGQ-NSHDSACASA-N 0 0 279.340 2.586 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000334083740 523133378 /nfs/dbraw/zinc/13/33/78/523133378.db2.gz OATFCTQZCPSWAD-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN COCCCCCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000058554443 523134474 /nfs/dbraw/zinc/13/44/74/523134474.db2.gz AOGZAYSTWYRWDE-UHFFFAOYSA-N 0 0 283.284 2.732 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000157347941 523140700 /nfs/dbraw/zinc/14/07/00/523140700.db2.gz IFIFOPKNTJYLNB-QWRGUYRKSA-N 0 0 291.351 2.876 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCC(C)C ZINC000311016592 523142936 /nfs/dbraw/zinc/14/29/36/523142936.db2.gz BIOQHKLGRXCGJK-UHFFFAOYSA-N 0 0 254.334 2.968 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H](CCO)CC(C)C ZINC000360993459 523145562 /nfs/dbraw/zinc/14/55/62/523145562.db2.gz BOBOPHUSRYWCFL-GFCCVEGCSA-N 0 0 298.387 2.576 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCOC2(CCC2)C1 ZINC000361043430 523146827 /nfs/dbraw/zinc/14/68/27/523146827.db2.gz OYBJKOMGZDFGBX-LLVKDONJSA-N 0 0 294.355 2.633 20 5 CFBDRN COCCCCc1noc(-c2cccc([N+](=O)[O-])c2C)n1 ZINC000356339865 523190249 /nfs/dbraw/zinc/19/02/49/523190249.db2.gz ODFVWRHQJZOSKV-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334169499 523241389 /nfs/dbraw/zinc/24/13/89/523241389.db2.gz AGPVOCNFKYWYEO-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000156202158 523318224 /nfs/dbraw/zinc/31/82/24/523318224.db2.gz NMRXKZUHZBWUTR-ZWNOBZJWSA-N 0 0 262.309 2.903 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182414881 523371036 /nfs/dbraw/zinc/37/10/36/523371036.db2.gz CBEKPWDDZZBZRS-JSGCOSHPSA-N 0 0 293.319 2.843 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc(Cl)c[nH]1 ZINC000046361855 523391258 /nfs/dbraw/zinc/39/12/58/523391258.db2.gz ZVUFJBNKCIVDLY-UHFFFAOYSA-N 0 0 293.710 2.849 20 5 CFBDRN CN(Cc1ccco1)Cc1ccc([N+](=O)[O-])cc1F ZINC000311694990 523436091 /nfs/dbraw/zinc/43/60/91/523436091.db2.gz LKNIAVUCGTURQC-UHFFFAOYSA-N 0 0 264.256 2.959 20 5 CFBDRN CO[C@@H](C)c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000340642232 523478462 /nfs/dbraw/zinc/47/84/62/523478462.db2.gz MQFYGSDXLADUDL-VIFPVBQESA-N 0 0 277.280 2.584 20 5 CFBDRN CO[C@@H](C)c1noc(CSc2cccc([N+](=O)[O-])c2)n1 ZINC000337915174 523489234 /nfs/dbraw/zinc/48/92/34/523489234.db2.gz OIZVFBIRZBIYRL-QMMMGPOBSA-N 0 0 295.320 2.978 20 5 CFBDRN CC[C@](C)(OC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000361844755 523515388 /nfs/dbraw/zinc/51/53/88/523515388.db2.gz XLVQIOBPOQUMNS-ZDUSSCGKSA-N 0 0 266.297 2.657 20 5 CFBDRN COC(=O)[C@@H](C)CSc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129748623 523521206 /nfs/dbraw/zinc/52/12/06/523521206.db2.gz WXMBQKDLKOUFGN-LURJTMIESA-N 0 0 290.728 2.544 20 5 CFBDRN CO[C@@H]1CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000172020612 523598821 /nfs/dbraw/zinc/59/88/21/523598821.db2.gz QKRQXHLIBQWKSI-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN CN(c1ccccc1[N+](=O)[O-])[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000156755755 523657347 /nfs/dbraw/zinc/65/73/47/523657347.db2.gz YWKKRIJEAKZIBU-GDLCADMTSA-N 0 0 276.336 2.845 20 5 CFBDRN CCc1n[nH]c(C(=O)NCCC2=CCCCC2)c1[N+](=O)[O-] ZINC000171669445 523668460 /nfs/dbraw/zinc/66/84/60/523668460.db2.gz MSMQCNRKESODIK-UHFFFAOYSA-N 0 0 292.339 2.501 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000192692577 523669315 /nfs/dbraw/zinc/66/93/15/523669315.db2.gz LDMWDQZHXJFTAL-HZSPNIEDSA-N 0 0 298.346 2.870 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000231682835 523721251 /nfs/dbraw/zinc/72/12/51/523721251.db2.gz QIUDVBFPAZUARY-CMPLNLGQSA-N 0 0 250.298 2.883 20 5 CFBDRN CCn1nccc1CN(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000360065777 523723770 /nfs/dbraw/zinc/72/37/70/523723770.db2.gz KZDOEHDOUDHCKV-UHFFFAOYSA-N 0 0 292.314 2.895 20 5 CFBDRN CC(C)(C)OC(=O)CCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000191910769 534733556 /nfs/dbraw/zinc/73/35/56/534733556.db2.gz VIVQRPPAEJRZNF-UHFFFAOYSA-N 0 0 294.307 2.655 20 5 CFBDRN CCc1cc(NCCc2ccc([N+](=O)[O-])cc2)nc(C)n1 ZINC000088760229 523821308 /nfs/dbraw/zinc/82/13/08/523821308.db2.gz LWUVQZNXWVJGGQ-UHFFFAOYSA-N 0 0 286.335 2.910 20 5 CFBDRN CC(=O)C[C@H](C)NC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000446230173 534737637 /nfs/dbraw/zinc/73/76/37/534737637.db2.gz XZAKHCOCFWSBOK-QMMMGPOBSA-N 0 0 298.726 2.654 20 5 CFBDRN CO[C@H](C)[C@H](C)NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191402515 523895318 /nfs/dbraw/zinc/89/53/18/523895318.db2.gz CIEULCIUEHUXKC-WDEREUQCSA-N 0 0 295.339 2.757 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)c1ccc(F)cc1 ZINC000055750080 523937717 /nfs/dbraw/zinc/93/77/17/523937717.db2.gz WXNQAQIZGWDSBG-UHFFFAOYSA-N 0 0 264.212 2.604 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](F)C1 ZINC000335176523 524057367 /nfs/dbraw/zinc/05/73/67/524057367.db2.gz GAPUSUBQSKGBBW-JTQLQIEISA-N 0 0 281.287 2.733 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000157262338 524133260 /nfs/dbraw/zinc/13/32/60/524133260.db2.gz UPNUKIDSHNTLIT-JOYOIKCWSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CSC2(C)C)cc([N+](=O)[O-])c1 ZINC000338495647 524155204 /nfs/dbraw/zinc/15/52/04/524155204.db2.gz XJWJMUZNBDUUPZ-NSHDSACASA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000157249967 524208323 /nfs/dbraw/zinc/20/83/23/524208323.db2.gz JBLZDOBSSJEZTK-MWLCHTKSSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H](CCO)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213957550 524209431 /nfs/dbraw/zinc/20/94/31/524209431.db2.gz FJFJXGHDRVGTFU-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1cccc(C(=O)OC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000057969539 524225298 /nfs/dbraw/zinc/22/52/98/524225298.db2.gz XBFQSTGXYSIGOC-LLVKDONJSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1cccc(C)c1CCNc1c([N+](=O)[O-])ncn1C ZINC000360785877 524259526 /nfs/dbraw/zinc/25/95/26/524259526.db2.gz CZHQBWUUADNAAJ-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCC[C@@H](CO)C2)n1 ZINC000340983202 524335679 /nfs/dbraw/zinc/33/56/79/524335679.db2.gz CJBLHDJGJBVPLZ-QWHCGFSZSA-N 0 0 293.367 2.817 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@]2(O)CCC[C@H]2C)n1 ZINC000338814891 524336560 /nfs/dbraw/zinc/33/65/60/524336560.db2.gz XKNQXAGJSRGNHC-QMTHXVAHSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC12CC2 ZINC000335105723 524339948 /nfs/dbraw/zinc/33/99/48/524339948.db2.gz SWQXEENAGGTIGH-GFCCVEGCSA-N 0 0 275.308 2.886 20 5 CFBDRN Cc1cccc(CCNC(=O)Cc2ccccc2[N+](=O)[O-])c1 ZINC000338660744 524355115 /nfs/dbraw/zinc/35/51/15/524355115.db2.gz KXQGDZLDRWPNBX-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000336121457 524360866 /nfs/dbraw/zinc/36/08/66/524360866.db2.gz DXJQQGLGBKTHSL-GXFFZTMASA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1cccc(CCNc2ccc([N+](=O)[O-])nc2)c1 ZINC000053297776 524364185 /nfs/dbraw/zinc/36/41/85/524364185.db2.gz RZGZDWAGEMZZCG-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1ccc(CN(C)Cc2ccccc2[N+](=O)[O-])cn1 ZINC000360986133 524393772 /nfs/dbraw/zinc/39/37/72/524393772.db2.gz ZKOIUWZSBFRGOG-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000230695566 524422100 /nfs/dbraw/zinc/42/21/00/524422100.db2.gz KZZTWWYIPCARND-KOLCDFICSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1cccc(CNC(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000047323055 524424237 /nfs/dbraw/zinc/42/42/37/524424237.db2.gz YJVDKXTXMQKSBD-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1ccc(CNc2cc(C)c([N+](=O)[O-])cn2)cn1 ZINC000092027197 524611764 /nfs/dbraw/zinc/61/17/64/524611764.db2.gz WVHGFHQSHQVJKV-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)C1 ZINC000336480139 524628732 /nfs/dbraw/zinc/62/87/32/524628732.db2.gz OZCKTBYHMVRXIL-MNOVXSKESA-N 0 0 262.309 2.994 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000054127212 524659588 /nfs/dbraw/zinc/65/95/88/524659588.db2.gz LCOOJWXTYYOWPE-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cccc(NCC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000218831656 524675257 /nfs/dbraw/zinc/67/52/57/524675257.db2.gz SLRXSGFPWHRWHZ-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN C[C@H](Cn1ccc(=O)c([N+](=O)[O-])c1)C1CCCCC1 ZINC000338550483 524769789 /nfs/dbraw/zinc/76/97/89/524769789.db2.gz CMPYDTMDJUWIRH-LLVKDONJSA-N 0 0 264.325 2.973 20 5 CFBDRN Cc1cc(CNc2c(F)cccc2[N+](=O)[O-])on1 ZINC000310978781 524785765 /nfs/dbraw/zinc/78/57/65/524785765.db2.gz IRDRGSLPCFOFIC-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN Cc1cc(COC(=O)C[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000181282222 524798542 /nfs/dbraw/zinc/79/85/42/524798542.db2.gz VRWOHEOZKWJWOK-CYBMUJFWSA-N 0 0 293.319 2.906 20 5 CFBDRN Cc1cccc([C@@H]2CCCN2c2c([N+](=O)[O-])ncn2C)c1 ZINC000054837376 524854383 /nfs/dbraw/zinc/85/43/83/524854383.db2.gz RIWOVMGGVOEKGD-ZDUSSCGKSA-N 0 0 286.335 2.978 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334252487 524879487 /nfs/dbraw/zinc/87/94/87/524879487.db2.gz BSSYHXHSXQOKPP-UWVGGRQHSA-N 0 0 280.299 2.852 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@H]1CCC[C@H]1O ZINC000269945244 524906771 /nfs/dbraw/zinc/90/67/71/524906771.db2.gz GGIFHIQXWDABRK-DGCLKSJQSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000361338150 524937453 /nfs/dbraw/zinc/93/74/53/524937453.db2.gz CKHBKNXHEOWQCS-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@@H](Cc1ncc[nH]1)OCc1csc([N+](=O)[O-])c1 ZINC000360961047 524946081 /nfs/dbraw/zinc/94/60/81/524946081.db2.gz NNCLBPRHCVPRHY-QMMMGPOBSA-N 0 0 267.310 2.527 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000334864907 524946422 /nfs/dbraw/zinc/94/64/22/524946422.db2.gz ROSNQFWTOXGSMH-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN Cc1cccc2nc(COc3cccnc3[N+](=O)[O-])cn21 ZINC000074267977 524990787 /nfs/dbraw/zinc/99/07/87/524990787.db2.gz RAOKUQPHLKUDNW-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN C[C@@H](NC(=O)C1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000049212585 525067899 /nfs/dbraw/zinc/06/78/99/525067899.db2.gz AUUPGVCDQCVYJX-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN Cc1cc(N2CC[C@@H](c3ccco3)C2)ncc1[N+](=O)[O-] ZINC000364885346 525091905 /nfs/dbraw/zinc/09/19/05/525091905.db2.gz CJFTTZRHQWZPLJ-LLVKDONJSA-N 0 0 273.292 2.885 20 5 CFBDRN COc1nn(C)cc1NCc1ccc([N+](=O)[O-])cc1Cl ZINC000353205243 525094546 /nfs/dbraw/zinc/09/45/46/525094546.db2.gz IKLUSHIVIVPUGZ-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H](C)C2CCOCC2)cc1[N+](=O)[O-] ZINC000157378174 525189308 /nfs/dbraw/zinc/18/93/08/525189308.db2.gz FMKTVBAPVONQEU-NSHDSACASA-N 0 0 292.335 2.904 20 5 CFBDRN C[C@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000360668566 525195484 /nfs/dbraw/zinc/19/54/84/525195484.db2.gz DMCMOPMDFOQPHY-YFKPBYRVSA-N 0 0 296.632 2.929 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1C ZINC000340428473 525240315 /nfs/dbraw/zinc/24/03/15/525240315.db2.gz SOHIRQSVMSVSCY-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)c(O)c1 ZINC000340034194 525257931 /nfs/dbraw/zinc/25/79/31/525257931.db2.gz VCBNZMBPBCTQAR-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000070350378 525275007 /nfs/dbraw/zinc/27/50/07/525275007.db2.gz PBJSVDFSTCHCHB-SCZZXKLOSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1cc(NC[C@]2(C)CCOC2)c(F)cc1[N+](=O)[O-] ZINC000360085923 525278452 /nfs/dbraw/zinc/27/84/52/525278452.db2.gz BGKLDJDBCZMZFC-ZDUSSCGKSA-N 0 0 268.288 2.881 20 5 CFBDRN Cc1cc(N[C@@H](CC(N)=O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000338780084 525294494 /nfs/dbraw/zinc/29/44/94/525294494.db2.gz APDINHMEFPYSAS-AWEZNQCLSA-N 0 0 299.330 2.932 20 5 CFBDRN Cc1cc(N[C@@H](CO)CC(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000360065560 525294787 /nfs/dbraw/zinc/29/47/87/525294787.db2.gz JJFDGQLLYNTQIP-SSDOTTSWSA-N 0 0 296.220 2.768 20 5 CFBDRN Cc1cc(N[C@@]2(C)CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000230100322 525297862 /nfs/dbraw/zinc/29/78/62/525297862.db2.gz DUPWGAXKLWIZRQ-GWCFXTLKSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc(N[C@@H](CO)CC2CCC2)c([N+](=O)[O-])c1 ZINC000360117167 525312354 /nfs/dbraw/zinc/31/23/54/525312354.db2.gz WDJWKHCOMLUINO-GFCCVEGCSA-N 0 0 264.325 2.866 20 5 CFBDRN CSCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000048512936 525354429 /nfs/dbraw/zinc/35/44/29/525354429.db2.gz KYYJKSVWXYUSND-UHFFFAOYSA-N 0 0 260.702 2.550 20 5 CFBDRN Cc1cc(OCC2(O)CCCC2)ccc1[N+](=O)[O-] ZINC000087464038 525370260 /nfs/dbraw/zinc/37/02/60/525370260.db2.gz WHQNFCRTXODNKK-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN Cc1cc(Oc2c([N+](=O)[O-])ncn2C)ccc1F ZINC000336100376 525383817 /nfs/dbraw/zinc/38/38/17/525383817.db2.gz GPTMDDRMZOVRPT-UHFFFAOYSA-N 0 0 251.217 2.568 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000336395007 525389787 /nfs/dbraw/zinc/38/97/87/525389787.db2.gz USQSWQWQYZVQIX-DTWKUNHWSA-N 0 0 281.287 2.996 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000336540251 525394852 /nfs/dbraw/zinc/39/48/52/525394852.db2.gz LOYXNHZJGUFVSX-OCAPTIKFSA-N 0 0 267.260 2.749 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC(C)(C)C1 ZINC000162643746 525457907 /nfs/dbraw/zinc/45/79/07/525457907.db2.gz GYNWDSRRRYYKLJ-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCC[C@@H]1C ZINC000337457467 525468222 /nfs/dbraw/zinc/46/82/22/525468222.db2.gz PQKFOLKAKYJKNT-ONGXEEELSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCOCC(F)(F)F ZINC000172845108 525470178 /nfs/dbraw/zinc/47/01/78/525470178.db2.gz RNLYTJGISZSBEY-UHFFFAOYSA-N 0 0 294.229 2.594 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1CC[C@@H](C)O1 ZINC000190616713 525471993 /nfs/dbraw/zinc/47/19/93/525471993.db2.gz YPRGQBAEMBWXTI-KOLCDFICSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCOC1CCCC1 ZINC000360432711 525472768 /nfs/dbraw/zinc/47/27/68/525472768.db2.gz ZRGXLEZKPPKXST-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN CSCCN(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000151142162 525495576 /nfs/dbraw/zinc/49/55/76/525495576.db2.gz HCCJNPXYUMBCSC-UHFFFAOYSA-N 0 0 258.318 2.529 20 5 CFBDRN CSCCNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000151981441 525511897 /nfs/dbraw/zinc/51/18/97/525511897.db2.gz BKIZONNTFSGLDT-UHFFFAOYSA-N 0 0 278.280 2.971 20 5 CFBDRN Cc1c(-c2nc(Cc3cccnc3)no2)cccc1[N+](=O)[O-] ZINC000340651123 525512827 /nfs/dbraw/zinc/51/28/27/525512827.db2.gz LNPJOZOEDOCFMB-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)Cc1cccn1C ZINC000160893288 525558695 /nfs/dbraw/zinc/55/86/95/525558695.db2.gz MTDOLANGKZUYPA-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC1(CO)CCCC1 ZINC000158326767 525571138 /nfs/dbraw/zinc/57/11/38/525571138.db2.gz DYACGSHHAMRIDH-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN Cc1ccn(C[C@H]2CCCC(F)(F)C2)c(=O)c1[N+](=O)[O-] ZINC000335050438 525603349 /nfs/dbraw/zinc/60/33/49/525603349.db2.gz NEWQBIYWMTXZGL-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1ccnc(N[C@@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC000070495878 525699902 /nfs/dbraw/zinc/69/99/02/525699902.db2.gz YKOGZZNBZVWNRR-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1c(CNC(=O)Cc2cccs2)cccc1[N+](=O)[O-] ZINC000338886728 525714544 /nfs/dbraw/zinc/71/45/44/525714544.db2.gz GMEHQKNLVCDYLX-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN C[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1cn[nH]c1 ZINC000229871624 525728595 /nfs/dbraw/zinc/72/85/95/525728595.db2.gz QYARUDTUFPVZLF-ZCFIWIBFSA-N 0 0 267.676 2.539 20 5 CFBDRN C[C@@](O)(CNc1c2ccccc2ncc1[N+](=O)[O-])C1CC1 ZINC000314129345 525775607 /nfs/dbraw/zinc/77/56/07/525775607.db2.gz FCOIVRWMXUFBTD-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCCC3(CO)CC3)c2c1 ZINC000413309897 534884762 /nfs/dbraw/zinc/88/47/62/534884762.db2.gz BZNLNIOPWBCXDY-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@@H]3CCCO3)c2c1 ZINC000413023014 534884893 /nfs/dbraw/zinc/88/48/93/534884893.db2.gz HRXMZCKQPXCBNT-LBPRGKRZSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CSC[C@H]2C)cccc1[N+](=O)[O-] ZINC000334272396 525849285 /nfs/dbraw/zinc/84/92/85/525849285.db2.gz IPFLLDSQRHMALS-LDYMZIIASA-N 0 0 295.364 2.776 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CSC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000334272392 525850870 /nfs/dbraw/zinc/85/08/70/525850870.db2.gz IPFLLDSQRHMALS-KWQFWETISA-N 0 0 295.364 2.776 20 5 CFBDRN CS[C@H](C)CNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000341083053 525878672 /nfs/dbraw/zinc/87/86/72/525878672.db2.gz BNRLPJVJGBNLAG-ZJUUUORDSA-N 0 0 297.380 2.707 20 5 CFBDRN Cc1c(OC(=O)COC(C)(C)C)cccc1[N+](=O)[O-] ZINC000182535830 525881043 /nfs/dbraw/zinc/88/10/43/525881043.db2.gz ZUSGEVPZMLXEMG-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN COc1cccc(CCNc2ccc([N+](=O)[O-])nc2)c1 ZINC000225729046 525902530 /nfs/dbraw/zinc/90/25/30/525902530.db2.gz GADYIOUIHWIPIQ-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN Cc1c([C@@H](C)Nc2ccc([N+](=O)[O-])cc2)cnn1C ZINC000192570273 525905239 /nfs/dbraw/zinc/90/52/39/525905239.db2.gz WZGYJLGLJWIPGJ-SECBINFHSA-N 0 0 260.297 2.810 20 5 CFBDRN Cc1cn(C[C@@H]2CCCCC2(F)F)nc1[N+](=O)[O-] ZINC000334949921 525909586 /nfs/dbraw/zinc/90/95/86/525909586.db2.gz GQNIDIOBTFTGPT-VIFPVBQESA-N 0 0 259.256 2.925 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1Cc1ccccc1Cl ZINC000071526632 525928407 /nfs/dbraw/zinc/92/84/07/525928407.db2.gz YYAODXVBVRRSLI-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN C[C@@H](SCc1c(F)cccc1[N+](=O)[O-])[C@H](C)O ZINC000079070245 525976367 /nfs/dbraw/zinc/97/63/67/525976367.db2.gz XCYUNAWSOQZMRE-JGVFFNPUSA-N 0 0 259.302 2.736 20 5 CFBDRN COc1cccc(COc2cc(C=O)ccc2[N+](=O)[O-])c1 ZINC000050517596 526060450 /nfs/dbraw/zinc/06/04/50/526060450.db2.gz UWJLVCMLKFSELH-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N(C)Cc1cnn(C)c1 ZINC000182596872 526088020 /nfs/dbraw/zinc/08/80/20/526088020.db2.gz ILZIPQRKIPEZQN-GFCCVEGCSA-N 0 0 288.351 2.830 20 5 CFBDRN Cc1cnc(NCCc2ccccc2O)c([N+](=O)[O-])c1 ZINC000361267822 526089994 /nfs/dbraw/zinc/08/99/94/526089994.db2.gz ZXDDCJNNJQMESI-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2CCC[C@@H]2F)c1[N+](=O)[O-] ZINC000340856195 526092213 /nfs/dbraw/zinc/09/22/13/526092213.db2.gz UVXNDCZTVHQNIM-UWVGGRQHSA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1cnc(NCc2cn3ccsc3n2)c([N+](=O)[O-])c1 ZINC000361272053 526092485 /nfs/dbraw/zinc/09/24/85/526092485.db2.gz MPCIGLGQRFTCEZ-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H](C3CC3)C2)cc1[N+](=O)[O-] ZINC000335934947 526122858 /nfs/dbraw/zinc/12/28/58/526122858.db2.gz LIDQGIWJHZBSMT-CYBMUJFWSA-N 0 0 274.320 2.775 20 5 CFBDRN COc1cccc(N(C)Cc2cccnc2)c1[N+](=O)[O-] ZINC000185097253 526131638 /nfs/dbraw/zinc/13/16/38/526131638.db2.gz FTIVKVGMZLHHQM-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN Cc1cc(=O)c(OCc2cccc([N+](=O)[O-])c2C)co1 ZINC000186565906 526134906 /nfs/dbraw/zinc/13/49/06/526134906.db2.gz GFIMDBWWNGSBEO-UHFFFAOYSA-N 0 0 275.260 2.744 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000335044583 526140272 /nfs/dbraw/zinc/14/02/72/526140272.db2.gz KVVWSVWFXLHCHX-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1ccc(C(=O)NC2Cc3ccccc3C2)cc1[N+](=O)[O-] ZINC000185716511 526153210 /nfs/dbraw/zinc/15/32/10/526153210.db2.gz BAIJNILISWRLNO-UHFFFAOYSA-N 0 0 296.326 2.800 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)CO2 ZINC000155930323 526188886 /nfs/dbraw/zinc/18/88/86/526188886.db2.gz ACOXXSQIVCNFBV-AWEZNQCLSA-N 0 0 298.298 2.767 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000340341632 526201656 /nfs/dbraw/zinc/20/16/56/526201656.db2.gz DUZJOBOTYCOYAE-SECBINFHSA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1cc(C(=O)N(C(C)C)[C@H]2CCOC2)cc([N+](=O)[O-])c1 ZINC000362246433 526217379 /nfs/dbraw/zinc/21/73/79/526217379.db2.gz QPPMRZHAYQZPBK-ZDUSSCGKSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(C(=O)N2CC(C(F)(F)F)C2)cc([N+](=O)[O-])c1 ZINC000334190320 526233926 /nfs/dbraw/zinc/23/39/26/526233926.db2.gz HWZTVKKWZUMQIT-UHFFFAOYSA-N 0 0 288.225 2.538 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)C[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000334796672 526261542 /nfs/dbraw/zinc/26/15/42/526261542.db2.gz ZYWIYMNGZHKVBG-QWRGUYRKSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)S[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000334380179 526262480 /nfs/dbraw/zinc/26/24/80/526262480.db2.gz IKDCBPQCDZNWBJ-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1nn(CCCc2ccsc2)cc1[N+](=O)[O-] ZINC000360984323 526280362 /nfs/dbraw/zinc/28/03/62/526280362.db2.gz JHDNPGPCSCVAKF-UHFFFAOYSA-N 0 0 251.311 2.794 20 5 CFBDRN Cc1nn(Cc2cccc(Cl)c2)cc1[N+](=O)[O-] ZINC000181809563 526293806 /nfs/dbraw/zinc/29/38/06/526293806.db2.gz PGYFYILMNMBFAU-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN O=C(CC1CC(F)(F)C1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000336220320 526340274 /nfs/dbraw/zinc/34/02/74/526340274.db2.gz MCMKKWCGYJNSMT-UHFFFAOYSA-N 0 0 296.273 2.919 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@H]1Cc2ccccc21 ZINC000340381725 526420971 /nfs/dbraw/zinc/42/09/71/526420971.db2.gz NGNOGCAVFLGUNM-INIZCTEOSA-N 0 0 296.326 2.593 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000049295118 526502090 /nfs/dbraw/zinc/50/20/90/526502090.db2.gz GQZIUAJUQFWLKR-SNVBAGLBSA-N 0 0 278.283 2.820 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccco1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000121432558 526512504 /nfs/dbraw/zinc/51/25/04/526512504.db2.gz LGCIQOVHMCXBEC-CHWSQXEVSA-N 0 0 298.298 2.881 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@@H](c2ccccc2)C1 ZINC000335795630 526628569 /nfs/dbraw/zinc/62/85/69/526628569.db2.gz LPCPKXGWMDWZOZ-GFCCVEGCSA-N 0 0 285.303 2.553 20 5 CFBDRN Cc1noc(CSc2cccc([N+](=O)[O-])c2)n1 ZINC000337915384 526629626 /nfs/dbraw/zinc/62/96/26/526629626.db2.gz OUUSHWXEDKLOAX-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@@H]1CF ZINC000336034533 526636853 /nfs/dbraw/zinc/63/68/53/526636853.db2.gz QPEHXFPOBMDVOD-LLVKDONJSA-N 0 0 292.266 2.915 20 5 CFBDRN Cc1noc([C@@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000049240935 526649125 /nfs/dbraw/zinc/64/91/25/526649125.db2.gz YNWBKPKFMHGYBT-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN O=C(NCc1ccc[nH]1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000174338016 526706860 /nfs/dbraw/zinc/70/68/60/526706860.db2.gz ILHHYHAHGFFHJA-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN CC(C)(C)c1ccncc1CNc1ccc([N+](=O)[O-])cn1 ZINC000413507543 526806591 /nfs/dbraw/zinc/80/65/91/526806591.db2.gz GBFSJOAPOAPLJU-UHFFFAOYSA-N 0 0 286.335 2.716 20 5 CFBDRN CC(C)(C)c1nnc(CNc2c(F)cccc2[N+](=O)[O-])[nH]1 ZINC000413405918 526847896 /nfs/dbraw/zinc/84/78/96/526847896.db2.gz FXTFSLGPQDDMJQ-UHFFFAOYSA-N 0 0 293.302 2.762 20 5 CFBDRN Cc1nc(CNc2ccc(Cl)cc2[N+](=O)[O-])no1 ZINC000078263632 526897459 /nfs/dbraw/zinc/89/74/59/526897459.db2.gz PQWDQTBLPAWQFO-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC(C(F)F)CC1 ZINC000336087154 526907784 /nfs/dbraw/zinc/90/77/84/526907784.db2.gz HRMYAKYXUIHJRY-UHFFFAOYSA-N 0 0 290.291 2.774 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H]1F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000340791668 526909532 /nfs/dbraw/zinc/90/95/32/526909532.db2.gz ONLQZSRYCUFZAL-GHMZBOCLSA-N 0 0 286.690 2.869 20 5 CFBDRN COC(C)(C)c1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000268227994 536499322 /nfs/dbraw/zinc/49/93/22/536499322.db2.gz YBEGFUAMKNSHMC-UHFFFAOYSA-N 0 0 281.243 2.665 20 5 CFBDRN Cc1nc(NC[C@@H]2CCC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000312705821 526997767 /nfs/dbraw/zinc/99/77/67/526997767.db2.gz SEKYWRKFMDDXJE-VXGBXAGGSA-N 0 0 279.340 2.509 20 5 CFBDRN O=C(COc1ccsc1)Nc1cccc([N+](=O)[O-])c1 ZINC000337220454 527002398 /nfs/dbraw/zinc/00/23/98/527002398.db2.gz KMPALDMVRFYNTL-UHFFFAOYSA-N 0 0 278.289 2.674 20 5 CFBDRN Cn1c(C(=O)N2CC(C)(C)CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000341490516 527041272 /nfs/dbraw/zinc/04/12/72/527041272.db2.gz KARLPTLZEHHGAV-UHFFFAOYSA-N 0 0 293.367 2.832 20 5 CFBDRN Cn1c(C(=O)N2CC[C@@H](C3CCCC3)C2)ccc1[N+](=O)[O-] ZINC000335029359 527041367 /nfs/dbraw/zinc/04/13/67/527041367.db2.gz KOKNIZFOYOPFBF-GFCCVEGCSA-N 0 0 291.351 2.586 20 5 CFBDRN Cn1c(C(=O)N2CCC=Cc3ccccc32)ccc1[N+](=O)[O-] ZINC000336377622 527042192 /nfs/dbraw/zinc/04/21/92/527042192.db2.gz DGTSTKNIOCSQQF-UHFFFAOYSA-N 0 0 297.314 2.997 20 5 CFBDRN Cn1c(C(=O)Nc2ccc(F)c(Cl)c2)ccc1[N+](=O)[O-] ZINC000340648568 527043744 /nfs/dbraw/zinc/04/37/44/527043744.db2.gz CJYKSHVZCHKNBB-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H]1CC[C@@H](F)C1 ZINC000360356095 527044772 /nfs/dbraw/zinc/04/47/72/527044772.db2.gz UKWRXMWNOFJFIR-NXEZZACHSA-N 0 0 298.339 2.694 20 5 CFBDRN NC(=O)c1cccc(N[C@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC000341463183 527087058 /nfs/dbraw/zinc/08/70/58/527087058.db2.gz HBRQDMZOWOHXAR-ZDUSSCGKSA-N 0 0 297.314 2.793 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC2(C1)CCCCC2 ZINC000336247525 527243807 /nfs/dbraw/zinc/24/38/07/527243807.db2.gz SXUJDLUOZHNUMY-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1CC(F)(F)C1 ZINC000336168757 527260041 /nfs/dbraw/zinc/26/00/41/527260041.db2.gz NIZBSKVIHLLESJ-UHFFFAOYSA-N 0 0 256.208 2.579 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1CC12CC2 ZINC000335058401 527262124 /nfs/dbraw/zinc/26/21/24/527262124.db2.gz SQBNDFVJOYHAFN-SNVBAGLBSA-N 0 0 281.699 2.922 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1C[C@H]2CCCC[C@H]21 ZINC000365761041 527270494 /nfs/dbraw/zinc/27/04/94/527270494.db2.gz FNHCGTJMXJGWMK-TZMCWYRMSA-N 0 0 274.320 2.538 20 5 CFBDRN O=C(Nc1ccc2c[nH]nc2c1)c1csc([N+](=O)[O-])c1 ZINC000048823871 527297117 /nfs/dbraw/zinc/29/71/17/527297117.db2.gz BVRSTVQFPJEYML-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCC[C@@H](C)C1 ZINC000055483262 527313024 /nfs/dbraw/zinc/31/30/24/527313024.db2.gz JSFRTTRWBGWPLP-PWSUYJOCSA-N 0 0 291.351 2.854 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc2scnc2c1 ZINC000340862323 527324395 /nfs/dbraw/zinc/32/43/95/527324395.db2.gz VHZNOTXOAYJGSE-UHFFFAOYSA-N 0 0 272.289 2.765 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1c(F)cc(F)cc1F ZINC000336432553 527327899 /nfs/dbraw/zinc/32/78/99/527327899.db2.gz QNROTMWLSFFUEV-UHFFFAOYSA-N 0 0 299.208 2.603 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N[C@@H]1C[C@@H]1C1CC1 ZINC000362375011 527328193 /nfs/dbraw/zinc/32/81/93/527328193.db2.gz FGZFRKOQQGKPOZ-RKDXNWHRSA-N 0 0 298.140 2.963 20 5 CFBDRN CC(C)(O)CN(Cc1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC000426778778 527340887 /nfs/dbraw/zinc/34/08/87/527340887.db2.gz ATHNZKOEXKKXFN-UHFFFAOYSA-N 0 0 298.770 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC2(C1)CCOCC2 ZINC000365101479 527357553 /nfs/dbraw/zinc/35/75/53/527357553.db2.gz RVXLUEQVIMQSQM-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3cc[nH]n3)C2)c(F)c1 ZINC000193847136 527361354 /nfs/dbraw/zinc/36/13/54/527361354.db2.gz LTKFCEUYCQPQND-SNVBAGLBSA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(c3ccccc3F)C2)nc1 ZINC000360106130 527361365 /nfs/dbraw/zinc/36/13/65/527361365.db2.gz WQNZYCSXJKMQBF-UHFFFAOYSA-N 0 0 273.267 2.733 20 5 CFBDRN Cc1ncccc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000073349490 527365439 /nfs/dbraw/zinc/36/54/39/527365439.db2.gz AERHXUPHFYCRSF-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2[C@@H]3COC[C@@H]32)cc1C(F)(F)F ZINC000360117540 527368820 /nfs/dbraw/zinc/36/88/20/527368820.db2.gz XPILHXALBALVQO-VROVMSAKSA-N 0 0 288.225 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCO)c(Cc2ccccc2)c1 ZINC000072624205 527371022 /nfs/dbraw/zinc/37/10/22/527371022.db2.gz GUPHXRISCHCSTP-UHFFFAOYSA-N 0 0 287.315 2.947 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1C(F)F ZINC000334802830 527373394 /nfs/dbraw/zinc/37/33/94/527373394.db2.gz URADAMQKHICDIL-SNVBAGLBSA-N 0 0 285.250 2.856 20 5 CFBDRN Cn1ccc2ccc(NC(=O)c3ccc([N+](=O)[O-])o3)cc21 ZINC000172606946 527374875 /nfs/dbraw/zinc/37/48/75/527374875.db2.gz QBAJAXQCFMIDGC-UHFFFAOYSA-N 0 0 285.259 2.932 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1ccncc1)CC2 ZINC000156804410 527378609 /nfs/dbraw/zinc/37/86/09/527378609.db2.gz FSISAHPOSRCUMN-UHFFFAOYSA-N 0 0 269.304 2.548 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCC1(O)CCC1 ZINC000339323302 527388996 /nfs/dbraw/zinc/38/89/96/527388996.db2.gz JVEFAQFWPOJXES-UHFFFAOYSA-N 0 0 257.673 2.542 20 5 CFBDRN O=[N+]([O-])c1cccc(S(=O)(=O)CC2CCCC2)c1 ZINC000337887447 527392710 /nfs/dbraw/zinc/39/27/10/527392710.db2.gz FEPXMGDDMIUFJU-UHFFFAOYSA-N 0 0 269.322 2.559 20 5 CFBDRN O=[N+]([O-])c1cccc(SCc2cn3cccnc3n2)c1 ZINC000340702980 527394899 /nfs/dbraw/zinc/39/48/99/527394899.db2.gz FZDNMPVMDNUPRL-UHFFFAOYSA-N 0 0 286.316 2.930 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(Br)s2)cn1 ZINC000067006956 527408235 /nfs/dbraw/zinc/40/82/35/527408235.db2.gz VDOZSMHBXISQOS-UHFFFAOYSA-N 0 0 288.126 2.664 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccc(Br)cc1 ZINC000047169514 527408380 /nfs/dbraw/zinc/40/83/80/527408380.db2.gz QRSMDCUMCROBQJ-UHFFFAOYSA-N 0 0 282.097 2.602 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc3ccccc3c2)cn1 ZINC000128745346 527408647 /nfs/dbraw/zinc/40/86/47/527408647.db2.gz HZHWOCIIHGSFOH-UHFFFAOYSA-N 0 0 253.261 2.993 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@H]2OC(F)F)s1 ZINC000336902403 527411492 /nfs/dbraw/zinc/41/14/92/527411492.db2.gz RHXJQELNUHXTDU-PHDIDXHHSA-N 0 0 279.268 2.624 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCC[C@H]1CCOC1 ZINC000236411779 527412499 /nfs/dbraw/zinc/41/24/99/527412499.db2.gz MSSDLWRGSUHKKY-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2cc(F)cc3cccnc32)c1 ZINC000073698738 527416184 /nfs/dbraw/zinc/41/61/84/527416184.db2.gz ODXUDLFCMSPADS-UHFFFAOYSA-N 0 0 272.239 2.527 20 5 CFBDRN Nc1ccc(C(=O)N2CCC23CCCCC3)cc1[N+](=O)[O-] ZINC000365512771 527466275 /nfs/dbraw/zinc/46/62/75/527466275.db2.gz MAJUPJVQLSNKFH-UHFFFAOYSA-N 0 0 289.335 2.726 20 5 CFBDRN Nc1ccc(C(=O)N2CCCCCCC2)cc1[N+](=O)[O-] ZINC000049932739 527467488 /nfs/dbraw/zinc/46/74/88/527467488.db2.gz WLYNMZJJYSJLLX-UHFFFAOYSA-N 0 0 277.324 2.583 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCC1Cc2ccccc2C1 ZINC000339362354 527491133 /nfs/dbraw/zinc/49/11/33/527491133.db2.gz PAGVVTCCFUDRQI-UHFFFAOYSA-N 0 0 286.335 2.545 20 5 CFBDRN Nc1nccnc1SCc1c(Cl)cccc1[N+](=O)[O-] ZINC000236354569 527572291 /nfs/dbraw/zinc/57/22/91/527572291.db2.gz BKNCHFXJRKTOPN-UHFFFAOYSA-N 0 0 296.739 2.913 20 5 CFBDRN Nc1nccnc1SCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000236355146 527573059 /nfs/dbraw/zinc/57/30/59/527573059.db2.gz FPGQBVXDFSETJB-UHFFFAOYSA-N 0 0 296.739 2.913 20 5 CFBDRN O=C(NCC12CCC(CC1)C2)c1ccc([N+](=O)[O-])cc1 ZINC000339853712 527586376 /nfs/dbraw/zinc/58/63/76/527586376.db2.gz JOMBWPDVTNRTOX-UHFFFAOYSA-N 0 0 274.320 2.905 20 5 CFBDRN O=C([O-])CC[C@@H]1CCCC[N@@H+]1Cc1ccccc1[N+](=O)[O-] ZINC000050925121 527596448 /nfs/dbraw/zinc/59/64/48/527596448.db2.gz HKXRPNDKYARSPJ-ZDUSSCGKSA-N 0 0 292.335 2.814 20 5 CFBDRN O=C(NCCC1CC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000174689981 527628160 /nfs/dbraw/zinc/62/81/60/527628160.db2.gz BWPSEQTWNRHBGK-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CC(C)(C)CC[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000426757549 527675555 /nfs/dbraw/zinc/67/55/55/527675555.db2.gz DEAOWAUBNGRDAP-CYBMUJFWSA-N 0 0 294.351 2.964 20 5 CFBDRN CC(C)CCCn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000428087136 527706498 /nfs/dbraw/zinc/70/64/98/527706498.db2.gz COQJGJKICUKXFY-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN CCC[C@@](C)(CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000162583854 527890094 /nfs/dbraw/zinc/89/00/94/527890094.db2.gz RKLULXLBAJTTQI-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN CC(C)OCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000413999747 527904075 /nfs/dbraw/zinc/90/40/75/527904075.db2.gz MGEMBTJVACFDOG-UHFFFAOYSA-N 0 0 298.314 2.667 20 5 CFBDRN CC(C)[C@](C)(O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413145060 527967388 /nfs/dbraw/zinc/96/73/88/527967388.db2.gz NDHIKDWFDQKHRC-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN CC1(CNc2ccc3ncc([N+](=O)[O-])n3n2)CCCC1 ZINC000301893505 527989742 /nfs/dbraw/zinc/98/97/42/527989742.db2.gz APKWYVLHTOSQJO-UHFFFAOYSA-N 0 0 275.312 2.630 20 5 CFBDRN CC1(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000125871562 528019340 /nfs/dbraw/zinc/01/93/40/528019340.db2.gz WOZDNYUFJINKJY-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000413387560 528105743 /nfs/dbraw/zinc/10/57/43/528105743.db2.gz OPHCPVRKDURWTB-PHIMTYICSA-N 0 0 250.298 2.883 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN(C)[C@@H]1CCOC1 ZINC000106885755 528210197 /nfs/dbraw/zinc/21/01/97/528210197.db2.gz PSQNTKLXHFIBRE-CQSZACIVSA-N 0 0 294.351 2.603 20 5 CFBDRN CCOC(=O)c1csc(-c2ccccc2[N+](=O)[O-])n1 ZINC000147441810 528331876 /nfs/dbraw/zinc/33/18/76/528331876.db2.gz DQWUUSPOUUOXEO-UHFFFAOYSA-N 0 0 278.289 2.895 20 5 CFBDRN CCNc1ccc(C(=O)NCC[C@H](C)F)cc1[N+](=O)[O-] ZINC000410966708 528428938 /nfs/dbraw/zinc/42/89/38/528428938.db2.gz JRVXMWXJTATUOI-VIFPVBQESA-N 0 0 283.303 2.505 20 5 CFBDRN CCOC1(C)CCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000413300745 528450859 /nfs/dbraw/zinc/45/08/59/528450859.db2.gz JTCODYXCWXMMFG-UHFFFAOYSA-N 0 0 283.303 2.524 20 5 CFBDRN CCC(C)(C)CNC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430832487 528513342 /nfs/dbraw/zinc/51/33/42/528513342.db2.gz ZFKQYQOUGOTNHF-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN CCC(CC)(CO)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000178972734 528707624 /nfs/dbraw/zinc/70/76/24/528707624.db2.gz OUYYHPNNYMVDML-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN CCCc1ncc(CNc2ncc([N+](=O)[O-])cc2F)o1 ZINC000413325987 528767356 /nfs/dbraw/zinc/76/73/56/528767356.db2.gz WAGFQOGLCSGPTF-UHFFFAOYSA-N 0 0 280.259 2.682 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2ccc3cc[nH]c3c2)n1 ZINC000194845755 529154490 /nfs/dbraw/zinc/15/44/90/529154490.db2.gz DJZFPUAYRLFGJM-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN CCSCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000173260941 529194596 /nfs/dbraw/zinc/19/45/96/529194596.db2.gz CSFLORSIWROREO-JTQLQIEISA-N 0 0 282.365 2.856 20 5 CFBDRN CC[C@@H](Nc1ccccc1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413543424 529212039 /nfs/dbraw/zinc/21/20/39/529212039.db2.gz PJZFQRAAYKQUGT-MFKMUULPSA-N 0 0 252.314 2.802 20 5 CFBDRN CC[C@@H](O)COc1ccc([N+](=O)[O-])cc1Br ZINC000157029324 529233407 /nfs/dbraw/zinc/23/34/07/529233407.db2.gz GJUCBEULQJMYGF-MRVPVSSYSA-N 0 0 290.113 2.507 20 5 CFBDRN CC[C@H]1CC[C@H](Nc2c([N+](=O)[O-])ncn2C)CC1 ZINC000431481176 529258216 /nfs/dbraw/zinc/25/82/16/529258216.db2.gz FTCUDWMJTMDACJ-MGCOHNPYSA-N 0 0 252.318 2.709 20 5 CFBDRN CC[C@@H](C)CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000420882643 529321894 /nfs/dbraw/zinc/32/18/94/529321894.db2.gz RXJCQMNMDGYDCC-LLVKDONJSA-N 0 0 279.340 2.782 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000421667066 529328713 /nfs/dbraw/zinc/32/87/13/529328713.db2.gz WQNFDXFODCIBHB-OLZOCXBDSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H](C)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000096474113 529371764 /nfs/dbraw/zinc/37/17/64/529371764.db2.gz SDMDAZGHMURZDI-SSDOTTSWSA-N 0 0 256.689 2.777 20 5 CFBDRN CC(C)CN(C)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491280561 535015123 /nfs/dbraw/zinc/01/51/23/535015123.db2.gz WJVQNFPPQXOHJD-HJWRWDBZSA-N 0 0 262.309 2.722 20 5 CFBDRN CCCCCOC1CN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000444089807 535086892 /nfs/dbraw/zinc/08/68/92/535086892.db2.gz LLPHEQGRWXNFJL-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN CCCCN(C)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000490734647 535193102 /nfs/dbraw/zinc/19/31/02/535193102.db2.gz OZFMIYLRDVEXBQ-KTKRTIGZSA-N 0 0 262.309 2.867 20 5 CFBDRN CCCN(C(=O)CSC)c1cccc([N+](=O)[O-])c1 ZINC000194137831 535207610 /nfs/dbraw/zinc/20/76/10/535207610.db2.gz PUHIYQJGWCAKEH-UHFFFAOYSA-N 0 0 268.338 2.701 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492800318 535328735 /nfs/dbraw/zinc/32/87/35/535328735.db2.gz OOXWJCJJANPJME-MEJMFZKBSA-N 0 0 274.320 2.913 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC(C)(C)CF ZINC000439877279 535429254 /nfs/dbraw/zinc/42/92/54/535429254.db2.gz JACIMOHLGUJELP-UHFFFAOYSA-N 0 0 299.302 2.863 20 5 CFBDRN CCC[C@@H]1[C@H](C)CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000266294073 535508055 /nfs/dbraw/zinc/50/80/55/535508055.db2.gz BFTGIYCSEAHMNH-ZYHUDNBSSA-N 0 0 279.340 2.964 20 5 CFBDRN CCOc1cc(Oc2ccc([N+](=O)[O-])c(OC)c2)ncn1 ZINC000359889259 535688319 /nfs/dbraw/zinc/68/83/19/535688319.db2.gz XVPWDWMZGMNRDB-UHFFFAOYSA-N 0 0 291.263 2.584 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000439711737 535769528 /nfs/dbraw/zinc/76/95/28/535769528.db2.gz QTGFMOZAZRUYSO-ONGXEEELSA-N 0 0 270.304 2.943 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000269345459 535770076 /nfs/dbraw/zinc/77/00/76/535770076.db2.gz DEKDCMCAMMXSQT-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@](C)(CNc1cc(OC)c(F)cc1[N+](=O)[O-])OC ZINC000450718578 535827824 /nfs/dbraw/zinc/82/78/24/535827824.db2.gz TXMQZNTVJDXPCF-CYBMUJFWSA-N 0 0 286.303 2.970 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1ccccc1[N+](=O)[O-] ZINC000268500156 535844115 /nfs/dbraw/zinc/84/41/15/535844115.db2.gz HNRXUBJPXAUUMJ-WCQYABFASA-N 0 0 264.325 2.594 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(F)F ZINC000452241408 535864092 /nfs/dbraw/zinc/86/40/92/535864092.db2.gz HAWSDLLFWRCXMC-JTQLQIEISA-N 0 0 272.251 2.677 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000452768271 535916316 /nfs/dbraw/zinc/91/63/16/535916316.db2.gz WSSIQRMYHGKSOU-ZJUUUORDSA-N 0 0 287.319 2.852 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)OC ZINC000452425892 535947639 /nfs/dbraw/zinc/94/76/39/535947639.db2.gz ZZRNPDMSKXTHKM-FZMZJTMJSA-N 0 0 294.351 2.694 20 5 CFBDRN CCn1cccc(CNc2c(C)cccc2[N+](=O)[O-])c1=O ZINC000357682463 536099207 /nfs/dbraw/zinc/09/92/07/536099207.db2.gz RPEOMKULUKOTEL-UHFFFAOYSA-N 0 0 287.319 2.697 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1C[C@H]1C ZINC000462909234 536134547 /nfs/dbraw/zinc/13/45/47/536134547.db2.gz DOHPLAPYMYNYMA-BNOWGMLFSA-N 0 0 290.363 2.936 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000269198320 536146053 /nfs/dbraw/zinc/14/60/53/536146053.db2.gz LHONHXZPENKIAQ-VIFPVBQESA-N 0 0 266.297 2.705 20 5 CFBDRN CN(CCCCCO)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000227108571 536333790 /nfs/dbraw/zinc/33/37/90/536333790.db2.gz OWYQIXKIZQTUNC-UHFFFAOYSA-N 0 0 272.732 2.847 20 5 CFBDRN CN(CCCCCO)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000432923967 536334092 /nfs/dbraw/zinc/33/40/92/536334092.db2.gz VPERWTBPZXNRJN-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN COC[C@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000457032538 536521845 /nfs/dbraw/zinc/52/18/45/536521845.db2.gz JLYSJGMKNOONBO-JTQLQIEISA-N 0 0 298.364 2.545 20 5 CFBDRN COc1cc(C(=O)N[C@H]2C[C@@]2(C)C(C)C)ccc1[N+](=O)[O-] ZINC000458115321 536524099 /nfs/dbraw/zinc/52/40/99/536524099.db2.gz RUGSJWPWWDUHAJ-ZFWWWQNUSA-N 0 0 292.335 2.768 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000459898661 536627874 /nfs/dbraw/zinc/62/78/74/536627874.db2.gz ZPKJQYJQVHBAHI-GXFFZTMASA-N 0 0 278.308 2.739 20 5 CFBDRN COC(=O)[C@H](C)SCc1c(F)cc([N+](=O)[O-])cc1F ZINC000505659265 536639747 /nfs/dbraw/zinc/63/97/47/536639747.db2.gz ISUOZEAUMGHNKS-LURJTMIESA-N 0 0 291.275 2.668 20 5 CFBDRN COc1ccc(C(=O)NC(C)(C)C2CCC2)cc1[N+](=O)[O-] ZINC000456149753 536734845 /nfs/dbraw/zinc/73/48/45/536734845.db2.gz RYXSUEMQZKNXBK-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN COCCCCC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000121067484 536870966 /nfs/dbraw/zinc/87/09/66/536870966.db2.gz AWWGETVVNDTFEL-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)C2CC(C)(C)C2)c1 ZINC000458220417 536949026 /nfs/dbraw/zinc/94/90/26/536949026.db2.gz YTRCTXLOZZSKOL-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935251598 649891914 /nfs/dbraw/zinc/89/19/14/649891914.db2.gz LNWUWAOXLRZZQB-ILXRZTDVSA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1ccncc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000741882222 574057366 /nfs/dbraw/zinc/05/73/66/574057366.db2.gz XMZKFSFWRIHFNN-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])OCC1CCC1 ZINC000744199303 574060677 /nfs/dbraw/zinc/06/06/77/574060677.db2.gz SHOPRUYZBVJWJA-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)OCCC1CC1 ZINC000744282780 574064043 /nfs/dbraw/zinc/06/40/43/574064043.db2.gz OSGJJVMZGWCXRZ-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CO[C@@H](C)CCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000744308451 574065701 /nfs/dbraw/zinc/06/57/01/574065701.db2.gz NZOJQWDESYMXOD-NSHDSACASA-N 0 0 295.335 2.841 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCCCCCCO)c21 ZINC000754064952 574068173 /nfs/dbraw/zinc/06/81/73/574068173.db2.gz HDOWOFVLWMKVLW-UHFFFAOYSA-N 0 0 290.323 2.503 20 5 CFBDRN O=C(COC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C1CCC1 ZINC000754079464 574069468 /nfs/dbraw/zinc/06/94/68/574069468.db2.gz UVAOSOPNLJRCFU-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCOC(C)C)CC2 ZINC000754108345 574073568 /nfs/dbraw/zinc/07/35/68/574073568.db2.gz BRSRHUHVSWGPBO-UHFFFAOYSA-N 0 0 264.325 2.691 20 5 CFBDRN CSCCN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000754115846 574074392 /nfs/dbraw/zinc/07/43/92/574074392.db2.gz UZKRFUUDAVEDLD-UHFFFAOYSA-N 0 0 252.339 2.629 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000727955299 574074651 /nfs/dbraw/zinc/07/46/51/574074651.db2.gz BXDSTPPOMBPDAB-QWRGUYRKSA-N 0 0 279.292 2.626 20 5 CFBDRN CCOCCCOC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000744480372 574076987 /nfs/dbraw/zinc/07/69/87/574076987.db2.gz XOPXTMOPAGPGLJ-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCS1 ZINC000750908986 574081794 /nfs/dbraw/zinc/08/17/94/574081794.db2.gz YMDUAAVHILOQJK-NSHDSACASA-N 0 0 285.296 2.673 20 5 CFBDRN O=C(O[C@H]1CCCCC1=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000736866092 574082717 /nfs/dbraw/zinc/08/27/17/574082717.db2.gz JGMYEGXPCOHDQJ-LBPRGKRZSA-N 0 0 297.694 2.917 20 5 CFBDRN CC[C@H](OC)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000744635016 574082935 /nfs/dbraw/zinc/08/29/35/574082935.db2.gz KRFDXDIUKVLMHO-SKDRFNHKSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc(CC(=O)Nc2cc([N+](=O)[O-])ccc2F)o1 ZINC000754260812 574085087 /nfs/dbraw/zinc/08/50/87/574085087.db2.gz MQJOCYBBVFZUPF-UHFFFAOYSA-N 0 0 278.239 2.817 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc[nH]1 ZINC000754325351 574088422 /nfs/dbraw/zinc/08/84/22/574088422.db2.gz MPWZASIJNVUWNV-ZRDIBKRKSA-N 0 0 299.330 2.985 20 5 CFBDRN O=C(OCCOCC1CC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000744982304 574096011 /nfs/dbraw/zinc/09/60/11/574096011.db2.gz DFFFUKDGKWXIJL-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN Cc1ccc(CC(=O)OCc2ccc([N+](=O)[O-])cc2)o1 ZINC000754260865 574097127 /nfs/dbraw/zinc/09/71/27/574097127.db2.gz OOVZPTQVSXXTTM-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN COCC[C@H](C)OC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000754584439 574105657 /nfs/dbraw/zinc/10/56/57/574105657.db2.gz NWPXUQIFADTSGM-VIFPVBQESA-N 0 0 292.291 2.658 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000754608979 574108917 /nfs/dbraw/zinc/10/89/17/574108917.db2.gz LZNUYFGICSNWNB-SECBINFHSA-N 0 0 292.291 2.658 20 5 CFBDRN C[Si](C)(C)Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000731158370 574111654 /nfs/dbraw/zinc/11/16/54/574111654.db2.gz GZZJBTGEXPJJFV-UHFFFAOYSA-N 0 0 276.372 2.731 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)C2CCCC2)c1 ZINC000745389614 574112489 /nfs/dbraw/zinc/11/24/89/574112489.db2.gz MPXILNUHAUTQGK-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN CC[C@H](CCOC)OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000754581137 574114120 /nfs/dbraw/zinc/11/41/20/574114120.db2.gz ZXDAPIKCROTVNW-VIIAAUOXSA-N 0 0 293.319 2.966 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCCCF)c1 ZINC000741444662 574115163 /nfs/dbraw/zinc/11/51/63/574115163.db2.gz MHPOYDAIVQRRCY-UHFFFAOYSA-N 0 0 273.285 2.833 20 5 CFBDRN O=C(OCCCF)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000741446228 574115629 /nfs/dbraw/zinc/11/56/29/574115629.db2.gz WSSOGSFTNOGUDW-UHFFFAOYSA-N 0 0 296.298 2.711 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000754588726 574115849 /nfs/dbraw/zinc/11/58/49/574115849.db2.gz MNHFIHFIRBZZKW-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])s1 ZINC000754749268 574118800 /nfs/dbraw/zinc/11/88/00/574118800.db2.gz RHFWLEUDKNIKHI-VIFPVBQESA-N 0 0 285.321 2.772 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1F ZINC000754785115 574120503 /nfs/dbraw/zinc/12/05/03/574120503.db2.gz RWUINZUWLXXXQD-LLVKDONJSA-N 0 0 297.282 2.850 20 5 CFBDRN C[C@H](C(=O)OCCc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000745624541 574120680 /nfs/dbraw/zinc/12/06/80/574120680.db2.gz YCBYKZUZLPDCEF-MRVPVSSYSA-N 0 0 291.225 2.879 20 5 CFBDRN C[C@@H](C(=O)OCCc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000745624542 574120754 /nfs/dbraw/zinc/12/07/54/574120754.db2.gz YCBYKZUZLPDCEF-QMMMGPOBSA-N 0 0 291.225 2.879 20 5 CFBDRN Cc1ncc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)s1 ZINC000731460338 574121224 /nfs/dbraw/zinc/12/12/24/574121224.db2.gz UBMMMCSQUXPXEA-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN O=C(Cc1cccnc1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000731843154 574130498 /nfs/dbraw/zinc/13/04/98/574130498.db2.gz XUWUJSXUHOEESI-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755010099 574132033 /nfs/dbraw/zinc/13/20/33/574132033.db2.gz IBVBLTGWLZIVBL-PBQZMEPESA-N 0 0 291.303 2.909 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])C1=COCC1 ZINC000746075518 574132565 /nfs/dbraw/zinc/13/25/65/574132565.db2.gz PHIWAHQNKHWDPV-UHFFFAOYSA-N 0 0 283.667 2.596 20 5 CFBDRN Cc1cc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])on1 ZINC000746064520 574132591 /nfs/dbraw/zinc/13/25/91/574132591.db2.gz VIPODVURZZOYFD-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CC[C@@H](C)CN(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000732049427 574140977 /nfs/dbraw/zinc/14/09/77/574140977.db2.gz WGJFPHWQNAVFKZ-MRVPVSSYSA-N 0 0 264.285 2.613 20 5 CFBDRN Cc1cc(F)ccc1OC(=O)c1ccc([N+](=O)[O-])[n-]1 ZINC000755131617 574141044 /nfs/dbraw/zinc/14/10/44/574141044.db2.gz DPWGTTPVOMUIDC-UHFFFAOYSA-N 0 0 264.212 2.590 20 5 CFBDRN O=C(NCCC1CCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000755137115 574141715 /nfs/dbraw/zinc/14/17/15/574141715.db2.gz SHYGPFWDDVAPGI-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN CCC1(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)COC1 ZINC000755158372 574143241 /nfs/dbraw/zinc/14/32/41/574143241.db2.gz KBTKLSSKXAAJKU-UHFFFAOYSA-N 0 0 278.308 2.577 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728994120 574144526 /nfs/dbraw/zinc/14/45/26/574144526.db2.gz JBCAXIPQRZXNHS-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN COC[C@H](C)CC(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000755224693 574148036 /nfs/dbraw/zinc/14/80/36/574148036.db2.gz XZASHQGKSDCKFS-GHMZBOCLSA-N 0 0 281.308 2.872 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000729082083 574171025 /nfs/dbraw/zinc/17/10/25/574171025.db2.gz PRWFMEYJYZAGKM-VIFPVBQESA-N 0 0 254.286 2.525 20 5 CFBDRN CS/C=C/C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000746932880 574171341 /nfs/dbraw/zinc/17/13/41/574171341.db2.gz LZTKHAUHTOQDIB-CMDGGOBGSA-N 0 0 292.360 2.963 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000729088144 574172556 /nfs/dbraw/zinc/17/25/56/574172556.db2.gz QDXBNNWTKZWDJP-WCBMZHEXSA-N 0 0 274.276 2.889 20 5 CFBDRN C[C@@H](OC(=O)[C@@]1(C)CCOC1)c1ccccc1[N+](=O)[O-] ZINC000755628555 574173071 /nfs/dbraw/zinc/17/30/71/574173071.db2.gz FJZQOXBYQOGIDQ-YGRLFVJLSA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000729090227 574173712 /nfs/dbraw/zinc/17/37/12/574173712.db2.gz ZIKQRMSXDIGEHX-PSASIEDQSA-N 0 0 274.276 2.889 20 5 CFBDRN CCc1ccc(C(=O)OCC2CCOCC2)cc1[N+](=O)[O-] ZINC000747010038 574175150 /nfs/dbraw/zinc/17/51/50/574175150.db2.gz DIRMJFLMPXGCOG-UHFFFAOYSA-N 0 0 293.319 2.741 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000747010784 574175363 /nfs/dbraw/zinc/17/53/63/574175363.db2.gz MQYDDJPZNMWRPF-UHFFFAOYSA-N 0 0 293.319 2.929 20 5 CFBDRN CC[C@H](C)OC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000738608943 574175670 /nfs/dbraw/zinc/17/56/70/574175670.db2.gz CCFMSHRTCSZMAO-JTQLQIEISA-N 0 0 267.281 2.705 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755679805 574177567 /nfs/dbraw/zinc/17/75/67/574177567.db2.gz YNAUPKBDPYCIIM-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN C[C@H]1CC(OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000756064075 574207011 /nfs/dbraw/zinc/20/70/11/574207011.db2.gz XGKCNBJOFLKDAM-IUCAKERBSA-N 0 0 297.282 2.847 20 5 CFBDRN CCC[C@H](C)N(C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000733186702 574208376 /nfs/dbraw/zinc/20/83/76/574208376.db2.gz DIHWWNBOQMLEGG-JTQLQIEISA-N 0 0 262.313 2.867 20 5 CFBDRN NC(=NOCc1ccc([N+](=O)[O-])cc1F)c1ccccc1 ZINC000747822154 574214489 /nfs/dbraw/zinc/21/44/89/574214489.db2.gz ZJXIKUHOCYMDEO-UHFFFAOYSA-N 0 0 289.266 2.781 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(F)n2)c([N+](=O)[O-])c1 ZINC000747846664 574215114 /nfs/dbraw/zinc/21/51/14/574215114.db2.gz KBCQUTKYBPBIPN-UHFFFAOYSA-N 0 0 292.226 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]([C@H]3CCOC3)C2)cc1 ZINC000747842894 574215146 /nfs/dbraw/zinc/21/51/46/574215146.db2.gz CAHMZFLPVHVAAL-STQMWFEESA-N 0 0 276.336 2.848 20 5 CFBDRN CCc1ocnc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000739498784 574215373 /nfs/dbraw/zinc/21/53/73/574215373.db2.gz POTPCZJYBCEZIR-UHFFFAOYSA-N 0 0 297.217 2.676 20 5 CFBDRN O=C(C[C@H]1CCOC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000739487998 574215406 /nfs/dbraw/zinc/21/54/06/574215406.db2.gz FFCBBRSHRIKIEB-MRVPVSSYSA-N 0 0 284.699 2.613 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)C[C@@H]1CCOC1 ZINC000739577136 574219733 /nfs/dbraw/zinc/21/97/33/574219733.db2.gz ODPMVYWEELWQJW-VIFPVBQESA-N 0 0 299.710 2.889 20 5 CFBDRN COc1cc(COc2cc(F)ccc2[N+](=O)[O-])ccn1 ZINC000756263789 574219825 /nfs/dbraw/zinc/21/98/25/574219825.db2.gz IBRMQCHRCDBWSB-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN COC[C@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000756299672 574222619 /nfs/dbraw/zinc/22/26/19/574222619.db2.gz JIFURFXEILNKSN-AWEZNQCLSA-N 0 0 295.335 2.742 20 5 CFBDRN Cc1ccc(OC(=O)C2CCC(=O)CC2)cc1[N+](=O)[O-] ZINC000755250394 574224579 /nfs/dbraw/zinc/22/45/79/574224579.db2.gz FUFIGZRWHCYLOT-UHFFFAOYSA-N 0 0 277.276 2.568 20 5 CFBDRN CC[C@@H](C)COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000756337581 574226107 /nfs/dbraw/zinc/22/61/07/574226107.db2.gz SVMXBKHHMRPCHT-SNVBAGLBSA-N 0 0 251.282 2.727 20 5 CFBDRN CC(C)(C)[C@@H](CC(=O)[O-])[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000756361933 574229426 /nfs/dbraw/zinc/22/94/26/574229426.db2.gz MAALIDHAQMJFOA-GFCCVEGCSA-N 0 0 280.324 2.574 20 5 CFBDRN COc1ccc(COC(=O)[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000748144207 574232077 /nfs/dbraw/zinc/23/20/77/574232077.db2.gz SKWSHBRIXFOEOY-VIFPVBQESA-N 0 0 279.292 2.693 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000756390203 574232780 /nfs/dbraw/zinc/23/27/80/574232780.db2.gz IAKILGKDNPXWNB-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@H]2CC2(Cl)Cl)c1 ZINC000748154045 574232848 /nfs/dbraw/zinc/23/28/48/574232848.db2.gz HDCMZBMSZMACAK-MRVPVSSYSA-N 0 0 290.102 2.980 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000756396750 574233551 /nfs/dbraw/zinc/23/35/51/574233551.db2.gz KSMAAEIPBCWXJA-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1cccnc1 ZINC000733422844 574233687 /nfs/dbraw/zinc/23/36/87/574233687.db2.gz BMKHRCQJZKVZGP-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000729895188 574236006 /nfs/dbraw/zinc/23/60/06/574236006.db2.gz HLDZPXBXUIXGOK-BDAKNGLRSA-N 0 0 274.276 2.889 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000733493975 574241896 /nfs/dbraw/zinc/24/18/96/574241896.db2.gz DUVLNTAIBYTKEC-GFCCVEGCSA-N 0 0 265.240 2.999 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)O[C@@H]1CCCCC1=O ZINC000756526345 574243472 /nfs/dbraw/zinc/24/34/72/574243472.db2.gz IXWOKZSPGBBBDO-CQSZACIVSA-N 0 0 291.303 2.582 20 5 CFBDRN Cc1cc(N[C@H]2CCCN(C)C2=O)c(Cl)cc1[N+](=O)[O-] ZINC000748281432 574245127 /nfs/dbraw/zinc/24/51/27/574245127.db2.gz FJZHCYDNCSVHGH-JTQLQIEISA-N 0 0 297.742 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3C=C[C@H](CO)C3)sc2c1 ZINC000748280401 574245181 /nfs/dbraw/zinc/24/51/81/574245181.db2.gz KDYZVTLDFOPKBL-DTWKUNHWSA-N 0 0 291.332 2.553 20 5 CFBDRN C[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1N)C(F)(F)F ZINC000748308184 574246647 /nfs/dbraw/zinc/24/66/47/574246647.db2.gz TWEFCXSDTYCMGH-LURJTMIESA-N 0 0 292.213 2.532 20 5 CFBDRN O=C(C[C@@H]1CCOC1)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000740386948 574249092 /nfs/dbraw/zinc/24/90/92/574249092.db2.gz VHXWVCPTBBRTGQ-VIFPVBQESA-N 0 0 299.710 2.718 20 5 CFBDRN Cc1c(CC(=O)OCc2cscn2)cccc1[N+](=O)[O-] ZINC000748472416 574253733 /nfs/dbraw/zinc/25/37/33/574253733.db2.gz SSZKUFXJLCLCLN-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN Cc1c(CC(=O)OC/C=C/Cl)cccc1[N+](=O)[O-] ZINC000748473626 574253941 /nfs/dbraw/zinc/25/39/41/574253941.db2.gz FBGTVSMDAHRJKU-ZZXKWVIFSA-N 0 0 269.684 2.741 20 5 CFBDRN Cn1c(=O)oc2cc(/C=C/c3ccc([N+](=O)[O-])cn3)ccc21 ZINC000756632790 574254063 /nfs/dbraw/zinc/25/40/63/574254063.db2.gz QCFLQZDSWCYTMB-DUXPYHPUSA-N 0 0 297.270 2.605 20 5 CFBDRN CC[C@H](C)OC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000748465726 574254071 /nfs/dbraw/zinc/25/40/71/574254071.db2.gz CZRXLJQNVBIUCW-VIFPVBQESA-N 0 0 251.282 2.787 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H]1CCCS1 ZINC000745959765 574254947 /nfs/dbraw/zinc/25/49/47/574254947.db2.gz FIDGDUMPRPXBHB-LLVKDONJSA-N 0 0 281.333 2.956 20 5 CFBDRN CC(C)c1cnc(Cn2cc([N+](=O)[O-])cc(Cl)c2=O)o1 ZINC000748646798 574261461 /nfs/dbraw/zinc/26/14/61/574261461.db2.gz MWDOPUQMKXVFLJ-UHFFFAOYSA-N 0 0 297.698 2.570 20 5 CFBDRN Cc1cnc(OCc2nnc(C(C)(C)C)o2)c([N+](=O)[O-])c1 ZINC000748646622 574261773 /nfs/dbraw/zinc/26/17/73/574261773.db2.gz KSFYBWMLXRISKE-UHFFFAOYSA-N 0 0 292.295 2.558 20 5 CFBDRN CNc1ccc(C(=O)OC[Si](C)(C)C)cc1[N+](=O)[O-] ZINC000740944499 574274407 /nfs/dbraw/zinc/27/44/07/574274407.db2.gz FOPCTRQQTTYWHO-UHFFFAOYSA-N 0 0 282.372 2.671 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@H]2CCCSC2)cc1 ZINC000749000960 574276057 /nfs/dbraw/zinc/27/60/57/574276057.db2.gz FDVRBPCMJTZCJQ-JTQLQIEISA-N 0 0 297.405 2.777 20 5 CFBDRN COc1cc(C(=O)OC[Si](C)(C)C)ccc1[N+](=O)[O-] ZINC000740958193 574276048 /nfs/dbraw/zinc/27/60/48/574276048.db2.gz UOHCMTDJHMUVSR-UHFFFAOYSA-N 0 0 283.356 2.638 20 5 CFBDRN CCN1CCCC[C@@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000741149688 574281934 /nfs/dbraw/zinc/28/19/34/574281934.db2.gz TWTJVXRSESWOKQ-CQSZACIVSA-N 0 0 292.335 2.512 20 5 CFBDRN CC1(O)CCC(Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000749281301 574287902 /nfs/dbraw/zinc/28/79/02/574287902.db2.gz FXFZQWKWMORDET-UHFFFAOYSA-N 0 0 295.295 2.609 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])c2nonc21)c1ccncc1 ZINC000749285513 574287934 /nfs/dbraw/zinc/28/79/34/574287934.db2.gz GIQDWPHUCZOYKT-VIFPVBQESA-N 0 0 299.290 2.742 20 5 CFBDRN Cc1ccnc(NC[C@@H]2COc3ccccc3C2)c1[N+](=O)[O-] ZINC000734481311 574288273 /nfs/dbraw/zinc/28/82/73/574288273.db2.gz OTGTWVPEJFKVJZ-GFCCVEGCSA-N 0 0 299.330 2.961 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734780307 574301693 /nfs/dbraw/zinc/30/16/93/574301693.db2.gz QRGXUMYFGVSIMU-CMPLNLGQSA-N 0 0 299.710 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cnccc1C ZINC000741903455 574309409 /nfs/dbraw/zinc/30/94/09/574309409.db2.gz NMRPCHIGLWYTNG-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1nc(COC(=O)Cc2cccc([N+](=O)[O-])c2)cs1 ZINC000741895029 574309513 /nfs/dbraw/zinc/30/95/13/574309513.db2.gz OHTPKQTUTVIQPO-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN Cc1cc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])ncn1 ZINC000741957307 574311454 /nfs/dbraw/zinc/31/14/54/574311454.db2.gz LFJYEOAPJWGVLF-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCC/C(C)=C\C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000742007068 574313772 /nfs/dbraw/zinc/31/37/72/574313772.db2.gz CHURNNNDWUXHLZ-QXMHVHEDSA-N 0 0 276.336 3.000 20 5 CFBDRN CCc1nnc([C@H](C)Oc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000742348339 574323033 /nfs/dbraw/zinc/32/30/33/574323033.db2.gz BRRKWKCTFYMQBR-ZETCQYMHSA-N 0 0 281.243 2.819 20 5 CFBDRN CC(=O)N(C)c1ccc(Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000735487401 574326532 /nfs/dbraw/zinc/32/65/32/574326532.db2.gz WXYYSLFBJLWCBB-UHFFFAOYSA-N 0 0 286.291 2.716 20 5 CFBDRN CCCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000750128184 574326570 /nfs/dbraw/zinc/32/65/70/574326570.db2.gz GKXZQAKXNRITRQ-UHFFFAOYSA-N 0 0 299.330 2.976 20 5 CFBDRN CN(CCNc1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC000742400313 574328203 /nfs/dbraw/zinc/32/82/03/574328203.db2.gz SJTBRCDNNRDGGA-UHFFFAOYSA-N 0 0 295.339 2.874 20 5 CFBDRN Cc1cnc(NC[C@H]2COc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000742428654 574329908 /nfs/dbraw/zinc/32/99/08/574329908.db2.gz GPQYKADLFASDFN-LBPRGKRZSA-N 0 0 299.330 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccnc2Cl)cn1 ZINC000735669070 574332330 /nfs/dbraw/zinc/33/23/30/574332330.db2.gz VATGJLMLJMCOKE-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735800116 574336279 /nfs/dbraw/zinc/33/62/79/574336279.db2.gz AWNMTRUAOBKKKM-IONNQARKSA-N 0 0 269.684 2.947 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000735800117 574336357 /nfs/dbraw/zinc/33/63/57/574336357.db2.gz AWNMTRUAOBKKKM-VXNVDRBHSA-N 0 0 269.684 2.947 20 5 CFBDRN O=C(/C=C\C1CC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000742695161 574340083 /nfs/dbraw/zinc/34/00/83/574340083.db2.gz VOVDBJRXVHHACG-YVMONPNESA-N 0 0 272.304 2.840 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000742746669 574342824 /nfs/dbraw/zinc/34/28/24/574342824.db2.gz ZADYYJOEMCGIDR-WCQYABFASA-N 0 0 294.376 2.917 20 5 CFBDRN O=C(OCc1ccon1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000735963224 574344448 /nfs/dbraw/zinc/34/44/48/574344448.db2.gz UFCVLCQFDWXFTN-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN COc1ccc(COC(=O)c2cc[nH]c2C)cc1[N+](=O)[O-] ZINC000750526368 574345683 /nfs/dbraw/zinc/34/56/83/574345683.db2.gz MYAIMQNDLQMEJK-UHFFFAOYSA-N 0 0 290.275 2.597 20 5 CFBDRN Cc1[nH]ccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000750529832 574345998 /nfs/dbraw/zinc/34/59/98/574345998.db2.gz LGYUOWUCKNQBLG-UHFFFAOYSA-N 0 0 260.249 2.588 20 5 CFBDRN CC1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000750533760 574346570 /nfs/dbraw/zinc/34/65/70/574346570.db2.gz SZUYEBANLMYGKT-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN Cc1cc(COC(=O)c2cccc(Cl)c2[N+](=O)[O-])on1 ZINC000750533746 574346770 /nfs/dbraw/zinc/34/67/70/574346770.db2.gz ALVWJJVBCXSYIF-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000736054625 574349185 /nfs/dbraw/zinc/34/91/85/574349185.db2.gz GSJVXVNMXMMFGZ-SSDOTTSWSA-N 0 0 274.367 2.528 20 5 CFBDRN CC[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000736159485 574352883 /nfs/dbraw/zinc/35/28/83/574352883.db2.gz VFNWWWNGZPRJFP-LLVKDONJSA-N 0 0 286.715 2.920 20 5 CFBDRN O=C(OC1CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000750738398 574352985 /nfs/dbraw/zinc/35/29/85/574352985.db2.gz NJIPWXVXPQEHIL-UHFFFAOYSA-N 0 0 260.249 2.785 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCOC2CCC2)c1 ZINC000750774369 574354956 /nfs/dbraw/zinc/35/49/56/574354956.db2.gz LCXZEKCRDRKYFZ-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@@H]1CCCS1 ZINC000750888297 574359215 /nfs/dbraw/zinc/35/92/15/574359215.db2.gz AXHJUZXSYHXUTL-NSHDSACASA-N 0 0 285.296 2.673 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CC=CCC2)nc2ccccn21 ZINC000736299344 574360711 /nfs/dbraw/zinc/36/07/11/574360711.db2.gz RMWMKJCLKSIEHR-JTQLQIEISA-N 0 0 258.281 2.763 20 5 CFBDRN C/C=C(\C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000750904386 574361513 /nfs/dbraw/zinc/36/15/13/574361513.db2.gz IYKYPQNMBOJEPE-FPYGCLRLSA-N 0 0 253.229 2.743 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000743461184 574365777 /nfs/dbraw/zinc/36/57/77/574365777.db2.gz VTKCSVLYDZTNNX-ZJUUUORDSA-N 0 0 270.304 2.943 20 5 CFBDRN CCCCCC[C@@H](C)NC(=O)Cn1c(C)ncc1[N+](=O)[O-] ZINC000743544564 574368503 /nfs/dbraw/zinc/36/85/03/574368503.db2.gz BVQVOROKIZKGDF-LLVKDONJSA-N 0 0 296.371 2.575 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2cnccn2)cc([N+](=O)[O-])c1 ZINC000751160452 574370628 /nfs/dbraw/zinc/37/06/28/574370628.db2.gz UMAYUPXLJDKAJK-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN O=C(OCc1ccccn1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000725935762 574372054 /nfs/dbraw/zinc/37/20/54/574372054.db2.gz YEKRCRNCLCSPFM-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CS/C=C\C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000751563854 574379173 /nfs/dbraw/zinc/37/91/73/574379173.db2.gz CVHXWTTXNYYXOD-ATJFRQLMSA-N 0 0 266.322 2.649 20 5 CFBDRN Cc1cccc(C(=O)OCC(=O)CC(C)C)c1[N+](=O)[O-] ZINC000751624344 574380225 /nfs/dbraw/zinc/38/02/25/574380225.db2.gz VCWLHJSBEDBZRV-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CC[C@H](C)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751637789 574380481 /nfs/dbraw/zinc/38/04/81/574380481.db2.gz OKBYGXFVMKANSK-QMMMGPOBSA-N 0 0 278.264 2.825 20 5 CFBDRN Cn1c(C(=O)OCCCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000751653213 574380602 /nfs/dbraw/zinc/38/06/02/574380602.db2.gz OJAJJEZKSKPPKE-UHFFFAOYSA-N 0 0 294.229 2.823 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])[C@@H]1C[C@@H]1C1CC1 ZINC000751747033 574382139 /nfs/dbraw/zinc/38/21/39/574382139.db2.gz SOFVBOHEOTYVMN-VXGBXAGGSA-N 0 0 291.303 2.563 20 5 CFBDRN Cc1c(COC(=O)[C@H]2C[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000751749273 574382194 /nfs/dbraw/zinc/38/21/94/574382194.db2.gz ZCXDAHAWQJVLGQ-OLZOCXBDSA-N 0 0 275.304 2.993 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751796838 574383043 /nfs/dbraw/zinc/38/30/43/574383043.db2.gz NLAWCUAQEMODNW-SECBINFHSA-N 0 0 290.275 2.825 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)c1ccc(F)cn1 ZINC000751827871 574383426 /nfs/dbraw/zinc/38/34/26/574383426.db2.gz OZDOEDYFWVWLCT-UHFFFAOYSA-N 0 0 290.250 2.528 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCC2CCOCC2)c1[N+](=O)[O-] ZINC000752558666 574392705 /nfs/dbraw/zinc/39/27/05/574392705.db2.gz WXXFDWNHOFCIRN-UHFFFAOYSA-N 0 0 297.282 2.626 20 5 CFBDRN C/C=C\COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000752556044 574392745 /nfs/dbraw/zinc/39/27/45/574392745.db2.gz WDYGRGMFXQAAOL-ARJAWSKDSA-N 0 0 253.229 2.775 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCC(=O)CC(C)C)c1[N+](=O)[O-] ZINC000752556554 574392759 /nfs/dbraw/zinc/39/27/59/574392759.db2.gz BWYADNSSGAIUBU-UHFFFAOYSA-N 0 0 297.282 2.814 20 5 CFBDRN Cn1c(Cl)cnc1/C=C\c1ccc([N+](=O)[O-])cn1 ZINC000752885824 574396180 /nfs/dbraw/zinc/39/61/80/574396180.db2.gz INUBIPHVKODFCU-HYXAFXHYSA-N 0 0 264.672 2.547 20 5 CFBDRN C[C@H]1COC(C)(C)CN1c1cccc(F)c1[N+](=O)[O-] ZINC000752977394 574397135 /nfs/dbraw/zinc/39/71/35/574397135.db2.gz GDTFZCGKQDVTTB-VIFPVBQESA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1ccc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])nc1 ZINC000753479039 574402867 /nfs/dbraw/zinc/40/28/67/574402867.db2.gz DNAALLQEIPDTQY-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1c(OC(=O)c2ccc3cccnn32)cccc1[N+](=O)[O-] ZINC000753487778 574403156 /nfs/dbraw/zinc/40/31/56/574403156.db2.gz OIUQPMOIIZNDDS-UHFFFAOYSA-N 0 0 297.270 2.770 20 5 CFBDRN COC1(C(=O)OCc2cccc([N+](=O)[O-])c2C)CCCC1 ZINC000753501996 574403387 /nfs/dbraw/zinc/40/33/87/574403387.db2.gz FNVBHVDRKAOYCI-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN Cc1cc(COC(=O)c2cccc(F)n2)ccc1[N+](=O)[O-] ZINC000753504710 574403557 /nfs/dbraw/zinc/40/35/57/574403557.db2.gz FSXOIBBJMGITII-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1c(OC(=O)C2CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000753630472 574407154 /nfs/dbraw/zinc/40/71/54/574407154.db2.gz QLUSJIZILIDBOU-UHFFFAOYSA-N 0 0 271.219 2.854 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC3(CC(F)C3)C2)c1[N+](=O)[O-] ZINC000753667522 574407730 /nfs/dbraw/zinc/40/77/30/574407730.db2.gz CMBBIXOEZHGXJC-UHFFFAOYSA-N 0 0 296.273 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CC[C@H](O)CC3)sc2c1 ZINC000753820459 574410816 /nfs/dbraw/zinc/41/08/16/574410816.db2.gz NLALTESXHZEWGQ-CZMCAQCFSA-N 0 0 293.348 2.920 20 5 CFBDRN COc1c(C(=O)OCC2CC(C)C2)cccc1[N+](=O)[O-] ZINC000851464193 634377149 /nfs/dbraw/zinc/37/71/49/634377149.db2.gz RJRDHDAUBLXFKC-UHFFFAOYSA-N 0 0 279.292 2.806 20 5 CFBDRN CCC(CC)(CC(=O)[O-])[NH2+]Cc1c(F)cccc1[N+](=O)[O-] ZINC000828799753 601633634 /nfs/dbraw/zinc/63/36/34/601633634.db2.gz JYNBMTXRNYAEAK-UHFFFAOYSA-N 0 0 298.314 2.857 20 5 CFBDRN CCN(CCC[NH2+][C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000827130028 603622433 /nfs/dbraw/zinc/62/24/33/603622433.db2.gz PFJUPZCNKWBXSQ-LLVKDONJSA-N 0 0 295.339 2.635 20 5 CFBDRN C/C(=C(\F)C(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000900402035 620670650 /nfs/dbraw/zinc/67/06/50/620670650.db2.gz HNLDIAKNULBGAV-UKTHLTGXSA-N 0 0 278.283 2.865 20 5 CFBDRN CCN(CC[NH2+][C@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000827150209 604955441 /nfs/dbraw/zinc/95/54/41/604955441.db2.gz BKRUEJCCIZWNGE-LLVKDONJSA-N 0 0 295.339 2.554 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCn1cc(C2CCC2)nn1 ZINC000900526415 620683820 /nfs/dbraw/zinc/68/38/20/620683820.db2.gz YUKGYWRVSYBLKR-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Cn1cc(C2CCC2)nn1 ZINC000900559918 620691884 /nfs/dbraw/zinc/69/18/84/620691884.db2.gz IBTZTVAGMQUZKN-UHFFFAOYSA-N 0 0 288.307 2.511 20 5 CFBDRN CC[C@H](CSCc1cccnc1[N+](=O)[O-])OC ZINC000902411452 621057844 /nfs/dbraw/zinc/05/78/44/621057844.db2.gz VMUKVEBETNSIAO-SNVBAGLBSA-N 0 0 256.327 2.648 20 5 CFBDRN Nc1ccc(NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)cc1[N+](=O)[O-] ZINC000936024890 649976063 /nfs/dbraw/zinc/97/60/63/649976063.db2.gz RBYRYCUVFZJCKJ-JGPRNRPPSA-N 0 0 289.335 2.942 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822122684 623042580 /nfs/dbraw/zinc/04/25/80/623042580.db2.gz WNKLVAFBEVYSBT-NSHDSACASA-N 0 0 256.346 2.613 20 5 CFBDRN CCOC1CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000891952694 617854229 /nfs/dbraw/zinc/85/42/29/617854229.db2.gz PDWBVNWJYRPFDE-UHFFFAOYSA-N 0 0 295.295 2.508 20 5 CFBDRN CCC(=O)c1ccc(N(C)[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000804779414 617878312 /nfs/dbraw/zinc/87/83/12/617878312.db2.gz BWYCMJFZSNVFHA-GFCCVEGCSA-N 0 0 292.335 2.803 20 5 CFBDRN CSCC(C)(C)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000890877907 617930376 /nfs/dbraw/zinc/93/03/76/617930376.db2.gz SVXIOSPRRUIFBQ-RMKNXTFCSA-N 0 0 294.376 2.866 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H]1CCC[C@H](O)C1 ZINC000807982475 618029581 /nfs/dbraw/zinc/02/95/81/618029581.db2.gz BQKYKIJWZSRION-YPMHNXCESA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC/C=C\Cl)c1C ZINC000891195925 618033781 /nfs/dbraw/zinc/03/37/81/618033781.db2.gz MKWFTPJZZHNRGB-UTCJRWHESA-N 0 0 268.700 2.694 20 5 CFBDRN CC[C@H](C)CS(=O)(=O)Oc1ccc([N+](=O)[O-])cc1C ZINC000161334310 618069875 /nfs/dbraw/zinc/06/98/75/618069875.db2.gz DUSFAGKEWIJIOQ-VIFPVBQESA-N 0 0 287.337 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCS[C@H]2C)c1C ZINC000892298084 618098148 /nfs/dbraw/zinc/09/81/48/618098148.db2.gz DJHPOLABIAKIMP-QWRGUYRKSA-N 0 0 294.376 2.835 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc([N+](=O)[O-])cc2N)c1 ZINC000023394707 617632023 /nfs/dbraw/zinc/63/20/23/617632023.db2.gz MLZGWQJMOXWZET-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN COc1ccc(C(=O)OC2(C)CCC2)cc1[N+](=O)[O-] ZINC000126850925 617640054 /nfs/dbraw/zinc/64/00/54/617640054.db2.gz DDQKYHUQUCRTIC-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCc1cccc(Cl)c1 ZINC000891533129 617652483 /nfs/dbraw/zinc/65/24/83/617652483.db2.gz SISWVHDREWJWMC-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CS[C@@H](C)C2)c1C ZINC000891604603 617683886 /nfs/dbraw/zinc/68/38/86/617683886.db2.gz ZGUOLMRICBWZJE-ONGXEEELSA-N 0 0 294.376 2.835 20 5 CFBDRN CCCCCOC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000001703931 617693700 /nfs/dbraw/zinc/69/37/00/617693700.db2.gz KVRKVNNGYVWNGH-UHFFFAOYSA-N 0 0 282.252 2.850 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000134798824 617760614 /nfs/dbraw/zinc/76/06/14/617760614.db2.gz IUYFIUYGCJSHEE-QMMMGPOBSA-N 0 0 286.328 2.605 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000891824719 617771371 /nfs/dbraw/zinc/77/13/71/617771371.db2.gz DXWRERUEOPXXEM-ZJUUUORDSA-N 0 0 287.319 2.515 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000891827364 617774394 /nfs/dbraw/zinc/77/43/94/617774394.db2.gz WXAMAWXJGNHPKD-SFYZADRCSA-N 0 0 251.242 2.571 20 5 CFBDRN COCC1(C)CN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000804675126 617795508 /nfs/dbraw/zinc/79/55/08/617795508.db2.gz KCOPCJLJPQEYLF-UHFFFAOYSA-N 0 0 270.716 2.721 20 5 CFBDRN O=C(OCc1cccnc1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000901182254 620758587 /nfs/dbraw/zinc/75/85/87/620758587.db2.gz IWBXVLGPBJNBMW-GJZGRUSLSA-N 0 0 298.298 2.837 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@@H](F)C2)c(F)c1 ZINC000804685698 617810631 /nfs/dbraw/zinc/81/06/31/617810631.db2.gz KBNFIBRSVVDOKS-SSDOTTSWSA-N 0 0 260.215 2.811 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@H](F)C2)c(F)c1 ZINC000804685700 617810866 /nfs/dbraw/zinc/81/08/66/617810866.db2.gz KBNFIBRSVVDOKS-ZETCQYMHSA-N 0 0 260.215 2.811 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])cnn1C)c1c(F)cccc1F ZINC000891915114 617823767 /nfs/dbraw/zinc/82/37/67/617823767.db2.gz FPMDOLSDZRCMSI-ZETCQYMHSA-N 0 0 282.250 2.780 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCOC1CCCCC1 ZINC000891949490 617847788 /nfs/dbraw/zinc/84/77/88/617847788.db2.gz SOZJIKBKAPCIRY-UHFFFAOYSA-N 0 0 295.339 2.760 20 5 CFBDRN CCN(C[C@H](C)OC)c1c(F)cc([N+](=O)[O-])cc1F ZINC000812487600 617859603 /nfs/dbraw/zinc/85/96/03/617859603.db2.gz DCKASMBYIRZKOW-QMMMGPOBSA-N 0 0 274.267 2.734 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CC=CC[C@H]2C)cc1[N+](=O)[O-] ZINC000890771865 617869406 /nfs/dbraw/zinc/86/94/06/617869406.db2.gz MKTNRVRCAQBEAK-ZYHUDNBSSA-N 0 0 289.335 2.721 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCC(C)(C)C)c1[N+](=O)[O-] ZINC000176207128 617889580 /nfs/dbraw/zinc/88/95/80/617889580.db2.gz REURWACKBZHIFA-UHFFFAOYSA-N 0 0 268.288 2.818 20 5 CFBDRN C[C@@H](O)c1cc(NC(=O)c2ccc([N+](=O)[O-])o2)ccc1F ZINC000804803962 617890196 /nfs/dbraw/zinc/89/01/96/617890196.db2.gz VBBBNJRVDGBGLX-SSDOTTSWSA-N 0 0 294.238 2.633 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2Nc1c([N+](=O)[O-])cnn1C ZINC000891977338 617898027 /nfs/dbraw/zinc/89/80/27/617898027.db2.gz VSWUPCOYLLWNLR-GFCCVEGCSA-N 0 0 272.308 2.736 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)OC1CCCCC1 ZINC000071812785 617922794 /nfs/dbraw/zinc/92/27/94/617922794.db2.gz ZSNJAAPOMZJFAX-UHFFFAOYSA-N 0 0 279.292 2.850 20 5 CFBDRN Cc1oc2ccccc2c1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813103884 617925159 /nfs/dbraw/zinc/92/51/59/617925159.db2.gz HSKZOWQRJPVCHU-QMMMGPOBSA-N 0 0 263.249 2.563 20 5 CFBDRN CSCC(C)(C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000890876370 617933463 /nfs/dbraw/zinc/93/34/63/617933463.db2.gz NUIFHBHVUVJHME-UHFFFAOYSA-N 0 0 274.367 2.528 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804840750 617937004 /nfs/dbraw/zinc/93/70/04/617937004.db2.gz PCUWPBNGEPMOJM-JGVFFNPUSA-N 0 0 251.242 2.713 20 5 CFBDRN CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813110723 617937085 /nfs/dbraw/zinc/93/70/85/617937085.db2.gz ICVWSXDREMDDSH-LOWDOPEQSA-N 0 0 287.356 2.569 20 5 CFBDRN CC(C)(CCn1nc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC000848438034 617943759 /nfs/dbraw/zinc/94/37/59/617943759.db2.gz GYGSUHRRJLEMCC-UHFFFAOYSA-N 0 0 268.273 2.526 20 5 CFBDRN C[C@H](COC(=O)[C@H](OC(C)(C)C)c1ccccc1)[N+](=O)[O-] ZINC000813115422 617953718 /nfs/dbraw/zinc/95/37/18/617953718.db2.gz RJINYAZZAKAPJR-DGCLKSJQSA-N 0 0 295.335 2.751 20 5 CFBDRN C/C=C\COC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000255092342 617958998 /nfs/dbraw/zinc/95/89/98/617958998.db2.gz NDOQLCATBGMAHU-IHWYPQMZSA-N 0 0 278.308 2.906 20 5 CFBDRN C[C@H]1CSCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000934303330 617993538 /nfs/dbraw/zinc/99/35/38/617993538.db2.gz LPXINFJWLXIRSL-VIFPVBQESA-N 0 0 272.395 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CSCCCO)c1 ZINC000085162483 617999570 /nfs/dbraw/zinc/99/95/70/617999570.db2.gz SJWBVJZKWQBGFR-UHFFFAOYSA-N 0 0 261.730 2.864 20 5 CFBDRN COC1(C)CN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000845511364 618011131 /nfs/dbraw/zinc/01/11/31/618011131.db2.gz KOJCOOHXOXCHEB-UHFFFAOYSA-N 0 0 274.679 2.612 20 5 CFBDRN CC[C@H]1CCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000934459460 618039535 /nfs/dbraw/zinc/03/95/35/618039535.db2.gz CLNCTOBIQIMKCT-NSHDSACASA-N 0 0 294.326 2.925 20 5 CFBDRN C[C@@H]1CCN(Cn2nccc2[N+](=O)[O-])c2ccccc21 ZINC000158349580 618052640 /nfs/dbraw/zinc/05/26/40/618052640.db2.gz XIHLYOGUYQJBNQ-LLVKDONJSA-N 0 0 272.308 2.763 20 5 CFBDRN COC(=O)C[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000050085097 618054124 /nfs/dbraw/zinc/05/41/24/618054124.db2.gz XBTAAHYFFFEYFX-JTQLQIEISA-N 0 0 296.298 2.656 20 5 CFBDRN COC(=O)C[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000050085069 618054211 /nfs/dbraw/zinc/05/42/11/618054211.db2.gz QJENWQSTCTZYER-ZDUSSCGKSA-N 0 0 278.308 2.517 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000176678675 618056533 /nfs/dbraw/zinc/05/65/33/618056533.db2.gz UGUOHPYYZDXERW-MRVPVSSYSA-N 0 0 254.261 2.571 20 5 CFBDRN C[C@H](CO)Sc1cccc(Br)c1[N+](=O)[O-] ZINC000309109324 618056928 /nfs/dbraw/zinc/05/69/28/618056928.db2.gz NNGHKLDZDLMBIQ-ZCFIWIBFSA-N 0 0 292.154 2.830 20 5 CFBDRN O=[N+]([O-])c1cc(CNCc2ccccc2[N+](=O)[O-])cs1 ZINC000050015625 618060723 /nfs/dbraw/zinc/06/07/23/618060723.db2.gz DDMBYRSGHLVQEZ-UHFFFAOYSA-N 0 0 293.304 2.854 20 5 CFBDRN CCCCN(C)C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000176698766 618071161 /nfs/dbraw/zinc/07/11/61/618071161.db2.gz PJMUAASSAFJQIS-UHFFFAOYSA-N 0 0 268.288 2.914 20 5 CFBDRN CCC1(CC(=O)OCc2cc(F)ccc2[N+](=O)[O-])COC1 ZINC000924344937 618080211 /nfs/dbraw/zinc/08/02/11/618080211.db2.gz XNGMTHUPVJGYKM-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1cncc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])c1 ZINC000176729610 618083969 /nfs/dbraw/zinc/08/39/69/618083969.db2.gz JMXDPLRETQRXNP-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1 ZINC000050212557 618093960 /nfs/dbraw/zinc/09/39/60/618093960.db2.gz MCZWMDBEAHNLAH-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000177778427 618106552 /nfs/dbraw/zinc/10/65/52/618106552.db2.gz MSHVTIPGVPWTEG-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000176750150 618109727 /nfs/dbraw/zinc/10/97/27/618109727.db2.gz YMNHQIBMGDSOJA-NWDGAFQWSA-N 0 0 261.277 2.855 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Oc1cccc(F)c1 ZINC000061192778 618109993 /nfs/dbraw/zinc/10/99/93/618109993.db2.gz MCHKJCOIYHHKPD-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN CC(C)CNC(=S)Nc1cccc([N+](=O)[O-])c1 ZINC000013791023 618112995 /nfs/dbraw/zinc/11/29/95/618112995.db2.gz SUSNWHPVDAUOTK-UHFFFAOYSA-N 0 0 253.327 2.537 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235472172 618117351 /nfs/dbraw/zinc/11/73/51/618117351.db2.gz JSELYMPUZBCKJX-RQJHMYQMSA-N 0 0 276.695 2.816 20 5 CFBDRN O=C(Cc1noc2ccccc12)Oc1cccc([N+](=O)[O-])c1 ZINC000061441762 618120598 /nfs/dbraw/zinc/12/05/98/618120598.db2.gz AWIRSNLWNCDQGE-UHFFFAOYSA-N 0 0 298.254 2.884 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=S)Nc2ccc([N+](=O)[O-])cc2)CS1 ZINC000840858638 618133910 /nfs/dbraw/zinc/13/39/10/618133910.db2.gz SPJLMWCMMSEVNU-PSASIEDQSA-N 0 0 297.405 2.775 20 5 CFBDRN C[C@@H]1C[C@H](NC(=S)Nc2ccc([N+](=O)[O-])cc2)CS1 ZINC000840858641 618133923 /nfs/dbraw/zinc/13/39/23/618133923.db2.gz SPJLMWCMMSEVNU-SCZZXKLOSA-N 0 0 297.405 2.775 20 5 CFBDRN CCCCC[C@H](C)CC(=O)Nc1c([N+](=O)[O-])ncn1C ZINC000891346650 618141498 /nfs/dbraw/zinc/14/14/98/618141498.db2.gz BEWMIUPOJVNCGS-JTQLQIEISA-N 0 0 282.344 2.873 20 5 CFBDRN CC[C@@H](CC1CCCC1)C(=O)Nc1c([N+](=O)[O-])ncn1C ZINC000891348400 618142500 /nfs/dbraw/zinc/14/25/00/618142500.db2.gz NVGALURWIMOMDC-NSHDSACASA-N 0 0 294.355 2.873 20 5 CFBDRN C[C@H](CNc1ccc(C(N)=O)cc1[N+](=O)[O-])C(C)(C)C ZINC000096609803 618156389 /nfs/dbraw/zinc/15/63/89/618156389.db2.gz CAWLTRXIFZLALG-SECBINFHSA-N 0 0 279.340 2.788 20 5 CFBDRN CC/C=C\COC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000255278651 618158779 /nfs/dbraw/zinc/15/87/79/618158779.db2.gz NBOKBVNWKUMURY-PLNGDYQASA-N 0 0 265.265 2.726 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2C[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000176786303 618164912 /nfs/dbraw/zinc/16/49/12/618164912.db2.gz ZALRDJKDVMTFCJ-GHMZBOCLSA-N 0 0 261.277 2.855 20 5 CFBDRN Cc1c(CN2CCOC[C@H]2CC2CC2)cccc1[N+](=O)[O-] ZINC000933214121 618170684 /nfs/dbraw/zinc/17/06/84/618170684.db2.gz VBUKRBAGIGIHMU-OAHLLOKOSA-N 0 0 290.363 2.904 20 5 CFBDRN O=C(CCc1cscn1)OCc1ccc([N+](=O)[O-])cc1 ZINC000070774637 618180160 /nfs/dbraw/zinc/18/01/60/618180160.db2.gz GJIXMZLSJPXSPR-UHFFFAOYSA-N 0 0 292.316 2.727 20 5 CFBDRN CC/C=C(/C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000255306688 618185030 /nfs/dbraw/zinc/18/50/30/618185030.db2.gz VKHYQLSXNDEOPV-XFFZJAGNSA-N 0 0 262.309 2.910 20 5 CFBDRN Nc1c(C(=O)NC2CCC2)cc2ccccc2c1[N+](=O)[O-] ZINC000891410140 618189301 /nfs/dbraw/zinc/18/93/01/618189301.db2.gz MMNUDNCXBFQBKT-UHFFFAOYSA-N 0 0 285.303 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CC[C@H](C)O2)c1C ZINC000891421629 618192531 /nfs/dbraw/zinc/19/25/31/618192531.db2.gz PXFMYUQFSIBYGQ-JQWIXIFHSA-N 0 0 292.335 2.509 20 5 CFBDRN CCOc1ccc(Oc2c([N+](=O)[O-])cnn2C)cc1 ZINC000891446493 618209327 /nfs/dbraw/zinc/20/93/27/618209327.db2.gz ZEDJNLYTZZJDGH-UHFFFAOYSA-N 0 0 263.253 2.519 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CNC1([C@H]2CCCCO2)CC1 ZINC000933377572 618215156 /nfs/dbraw/zinc/21/51/56/618215156.db2.gz KWWIKLBVDNKLOX-CQSZACIVSA-N 0 0 294.326 2.925 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000901329912 620786736 /nfs/dbraw/zinc/78/67/36/620786736.db2.gz NXOMYKHXBTXBCL-JTQLQIEISA-N 0 0 292.335 2.777 20 5 CFBDRN Cc1cc(N[C@H](C)c2ccnn2C)ccc1[N+](=O)[O-] ZINC000235261701 618221584 /nfs/dbraw/zinc/22/15/84/618221584.db2.gz WCWYQASKPMYUKO-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN COc1ccc(COc2cc(Cl)ccc2[N+](=O)[O-])nn1 ZINC000312423991 618264374 /nfs/dbraw/zinc/26/43/74/618264374.db2.gz ZDXRSZQZLYXKCR-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000219942547 618273978 /nfs/dbraw/zinc/27/39/78/618273978.db2.gz RPCFAYWQHSCINM-PSASIEDQSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H](CNC(=O)NCCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000893298004 618274923 /nfs/dbraw/zinc/27/49/23/618274923.db2.gz RDAGPAVLSDRBMO-NSHDSACASA-N 0 0 291.351 2.798 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H]1CCCC1(F)F ZINC000894060840 618299106 /nfs/dbraw/zinc/29/91/06/618299106.db2.gz ZQQUGSHCNMZPQJ-MRVPVSSYSA-N 0 0 287.266 2.846 20 5 CFBDRN COC/C(C)=C\CNc1cccc([N+](=O)[O-])c1C ZINC000901354896 620793598 /nfs/dbraw/zinc/79/35/98/620793598.db2.gz YTEXOLIFPUZLEC-YFHOEESVSA-N 0 0 250.298 2.908 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000312529597 618315722 /nfs/dbraw/zinc/31/57/22/618315722.db2.gz OECDQNYTPBHMSP-UHFFFAOYSA-N 0 0 284.287 2.784 20 5 CFBDRN Cc1nn(CC[C@@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000882170200 618339438 /nfs/dbraw/zinc/33/94/38/618339438.db2.gz LNDJCGITVVXPCH-VIFPVBQESA-N 0 0 259.256 2.925 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000893329233 618372197 /nfs/dbraw/zinc/37/21/97/618372197.db2.gz IUZVUJRCMPECPT-SECBINFHSA-N 0 0 280.711 2.944 20 5 CFBDRN CCNc1ccc(C(=O)NC[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000893329337 618372564 /nfs/dbraw/zinc/37/25/64/618372564.db2.gz JWLAXQNJDDGBRT-LLVKDONJSA-N 0 0 289.335 2.723 20 5 CFBDRN CN(C[C@@H](O)c1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000062351381 618374636 /nfs/dbraw/zinc/37/46/36/618374636.db2.gz HSOLDEMRWBFGIC-OAHLLOKOSA-N 0 0 272.304 2.765 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N[C@H]1CCCSC1 ZINC000804533545 618395088 /nfs/dbraw/zinc/39/50/88/618395088.db2.gz CMCDMOUOQWKQAC-QMMMGPOBSA-N 0 0 283.309 2.811 20 5 CFBDRN CON(CC(C)(C)C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000804585455 618411246 /nfs/dbraw/zinc/41/12/46/618411246.db2.gz MZPRWLYGXUCOJK-UHFFFAOYSA-N 0 0 280.324 2.573 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)[C@@H]2C[C@@H]2C)c1C ZINC000909491831 618418654 /nfs/dbraw/zinc/41/86/54/618418654.db2.gz IUIYCDABSAQEGM-GXSJLCMTSA-N 0 0 291.303 2.594 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867500245 618421618 /nfs/dbraw/zinc/42/16/18/618421618.db2.gz UVJIZZOIYYFXIQ-GXFFZTMASA-N 0 0 294.326 2.923 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CCCOCC2)c1 ZINC000776299211 618429832 /nfs/dbraw/zinc/42/98/32/618429832.db2.gz MERZERYISDVAOZ-SECBINFHSA-N 0 0 284.287 2.724 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc2sccc2c1 ZINC000814037000 618438496 /nfs/dbraw/zinc/43/84/96/618438496.db2.gz OYUZXWBFDWMPME-QMMMGPOBSA-N 0 0 265.290 2.723 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C(C)(C)c1cccc(Cl)c1 ZINC000814039103 618439654 /nfs/dbraw/zinc/43/96/54/618439654.db2.gz XLYJBLACHZCDKV-VIFPVBQESA-N 0 0 285.727 2.826 20 5 CFBDRN C/C(=C\c1ccc(C)cc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814042394 618441620 /nfs/dbraw/zinc/44/16/20/618441620.db2.gz JOELNGDGBAXBGS-OBIHZWKSSA-N 0 0 263.293 2.607 20 5 CFBDRN Cc1cc(C)c(/C=C/C(=O)O[C@H](C)C[N+](=O)[O-])cc1C ZINC000814043087 618441814 /nfs/dbraw/zinc/44/18/14/618441814.db2.gz AUKCXXOPSMQCCG-URWSZGRFSA-N 0 0 277.320 2.833 20 5 CFBDRN Cc1ccc(CCC(=O)O[C@@H](C)C[N+](=O)[O-])cc1Cl ZINC000814046655 618449428 /nfs/dbraw/zinc/44/94/28/618449428.db2.gz MWXRYVUKFCCBGG-JTQLQIEISA-N 0 0 285.727 2.789 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCc2ccoc2)c1F ZINC000235882852 618456205 /nfs/dbraw/zinc/45/62/05/618456205.db2.gz WLOBUZCDPSLRJG-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)NC1CCC=CCC1 ZINC000895206394 618471092 /nfs/dbraw/zinc/47/10/92/618471092.db2.gz BETPQBSPXOXBKB-UHFFFAOYSA-N 0 0 290.319 2.589 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC1CCC=CCC1 ZINC000895203920 618473663 /nfs/dbraw/zinc/47/36/63/618473663.db2.gz BGULIOIBRGNEFI-UHFFFAOYSA-N 0 0 274.320 2.752 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(OC(C)C)cc1 ZINC000726431002 618474711 /nfs/dbraw/zinc/47/47/11/618474711.db2.gz IXQOUDUEOVBYIQ-UHFFFAOYSA-N 0 0 275.308 2.935 20 5 CFBDRN CC(C)(CCc1noc([C@]2(C)C=CCC2)n1)[N+](=O)[O-] ZINC000924078170 618478495 /nfs/dbraw/zinc/47/84/95/618478495.db2.gz GVGPBKCPRGRUTI-CYBMUJFWSA-N 0 0 265.313 2.665 20 5 CFBDRN Cc1cn(C)nc1CNc1c(C)c([N+](=O)[O-])ccc1F ZINC000901412793 620810790 /nfs/dbraw/zinc/81/07/90/620810790.db2.gz KADHWEBAJJHACL-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN CN(CCCF)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000895289942 618512625 /nfs/dbraw/zinc/51/26/25/618512625.db2.gz ANARNJKJBSYGOP-UHFFFAOYSA-N 0 0 282.315 2.690 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCCF ZINC000895292460 618514815 /nfs/dbraw/zinc/51/48/15/618514815.db2.gz CBGYXZKGELXUQB-ZHACJKMWSA-N 0 0 280.299 2.816 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC/C=C(\C)COC ZINC000901422028 620813938 /nfs/dbraw/zinc/81/39/38/620813938.db2.gz CSUYVZLOSMNHAG-UXBLZVDNSA-N 0 0 265.313 2.641 20 5 CFBDRN Cc1ccc(C(=O)NC2(C3CC3)CCC2)cc1[N+](=O)[O-] ZINC000895364274 618550908 /nfs/dbraw/zinc/55/09/08/618550908.db2.gz BWKBMHHZWXJTFX-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC1(C2CC2)CCC1 ZINC000895366969 618552035 /nfs/dbraw/zinc/55/20/35/618552035.db2.gz ZXNYTYFGMGKGAM-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN O=C(NC1(C2CC2)CCC1)c1ccc([N+](=O)[O-])s1 ZINC000895366523 618552186 /nfs/dbraw/zinc/55/21/86/618552186.db2.gz XLPLSYHOVVVPBT-UHFFFAOYSA-N 0 0 266.322 2.719 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCc1nc2c(s1)CCC2 ZINC000895461050 618597194 /nfs/dbraw/zinc/59/71/94/618597194.db2.gz AKQHSKYNMXIKLB-UHFFFAOYSA-N 0 0 294.311 2.686 20 5 CFBDRN Nc1ccc(NCc2nc3c(s2)CCC3)c([N+](=O)[O-])c1 ZINC000895461275 618597844 /nfs/dbraw/zinc/59/78/44/618597844.db2.gz WCFZFORMLDMFGL-UHFFFAOYSA-N 0 0 290.348 2.734 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000177742709 618604917 /nfs/dbraw/zinc/60/49/17/618604917.db2.gz WKGCYFNIYIMZGO-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Oc1cccc(F)c1 ZINC000066326880 618606494 /nfs/dbraw/zinc/60/64/94/618606494.db2.gz XASFDPYEZLNWBQ-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000310689454 618606719 /nfs/dbraw/zinc/60/67/19/618606719.db2.gz NJGGSSGSAOTCBZ-CPCISQLKSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C2=CCCCO2)cc1[N+](=O)[O-] ZINC000177862881 618610159 /nfs/dbraw/zinc/61/01/59/618610159.db2.gz AUIAPSRQFCCFMZ-NSHDSACASA-N 0 0 290.319 2.775 20 5 CFBDRN CCC(C)(C)COC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000744331742 618615129 /nfs/dbraw/zinc/61/51/29/618615129.db2.gz CHTCRQYJODFUQQ-UHFFFAOYSA-N 0 0 295.291 2.917 20 5 CFBDRN C[C@@H](O)CCCOc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000310767605 618636807 /nfs/dbraw/zinc/63/68/07/618636807.db2.gz OGCWUBBYKICGLN-SSDOTTSWSA-N 0 0 277.679 2.927 20 5 CFBDRN COC(=O)C[C@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000310762161 618637164 /nfs/dbraw/zinc/63/71/64/618637164.db2.gz PRLANPALCTUGOL-ZETCQYMHSA-N 0 0 272.688 2.612 20 5 CFBDRN C[C@@H](NCc1nccn1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000892622971 618658496 /nfs/dbraw/zinc/65/84/96/618658496.db2.gz SJJJOHWUAUUMOH-LLVKDONJSA-N 0 0 286.335 2.977 20 5 CFBDRN CC[C@H](C)N(CCO)c1ccc([N+](=O)[O-])cc1Cl ZINC000310951893 618660735 /nfs/dbraw/zinc/66/07/35/618660735.db2.gz RGZVTWCEAOHTNB-VIFPVBQESA-N 0 0 272.732 2.845 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCCCCCO ZINC000311027830 618673684 /nfs/dbraw/zinc/67/36/84/618673684.db2.gz WOIZWIFYDUHKRC-UHFFFAOYSA-N 0 0 256.277 2.699 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892651763 618675181 /nfs/dbraw/zinc/67/51/81/618675181.db2.gz HAQVIBNMOFHKFP-KWQFWETISA-N 0 0 271.276 2.738 20 5 CFBDRN CC(C)c1cccc(C(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000851037355 618678418 /nfs/dbraw/zinc/67/84/18/618678418.db2.gz UHHPGTWBMVXGQU-JTQLQIEISA-N 0 0 251.282 2.632 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NOC2CCC2)cc1[N+](=O)[O-] ZINC000832332578 625284286 /nfs/dbraw/zinc/28/42/86/625284286.db2.gz KUXTUWGNQWGQTL-UHFFFAOYSA-N 0 0 284.699 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(=O)OC2CCCCC2)n1 ZINC000178245401 618707045 /nfs/dbraw/zinc/70/70/45/618707045.db2.gz QAJGWBIYYJBZFW-UHFFFAOYSA-N 0 0 293.323 2.586 20 5 CFBDRN COC(=O)/C(C)=C\COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000311634119 618717478 /nfs/dbraw/zinc/71/74/78/618717478.db2.gz ZWZAHFVODPBOJT-YVMONPNESA-N 0 0 285.683 2.746 20 5 CFBDRN CC(C)SCCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000311611466 618718186 /nfs/dbraw/zinc/71/81/86/618718186.db2.gz JKRFRLSNASCWRV-UHFFFAOYSA-N 0 0 282.325 2.685 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)[C@H](C)SC ZINC000103336272 618719577 /nfs/dbraw/zinc/71/95/77/618719577.db2.gz AFIISTRRFIERLS-VIFPVBQESA-N 0 0 299.348 2.788 20 5 CFBDRN CCCCNC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000783692153 618720973 /nfs/dbraw/zinc/72/09/73/618720973.db2.gz AATQNIPUSSJEDW-ZHACJKMWSA-N 0 0 262.309 2.914 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000935974684 618723688 /nfs/dbraw/zinc/72/36/88/618723688.db2.gz NPCOCRVPTCWWJN-ZDUSSCGKSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1nccn1CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000311815977 618723937 /nfs/dbraw/zinc/72/39/37/618723937.db2.gz WFAQHYMCKYRYSG-UHFFFAOYSA-N 0 0 280.715 2.865 20 5 CFBDRN CN(C)c1ccc(N(C)C(=O)c2ccccc2[N+](=O)[O-])cc1 ZINC000103415561 618725844 /nfs/dbraw/zinc/72/58/44/618725844.db2.gz QLYWLGIQBDEPNR-UHFFFAOYSA-N 0 0 299.330 2.937 20 5 CFBDRN C[C@@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C[C@H]1C ZINC000892737350 618736287 /nfs/dbraw/zinc/73/62/87/618736287.db2.gz BQVLDBXGLYYITA-RKDXNWHRSA-N 0 0 265.269 2.595 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000892743107 618737413 /nfs/dbraw/zinc/73/74/13/618737413.db2.gz XPJRCGKNYLEVNW-LBPRGKRZSA-N 0 0 296.323 2.747 20 5 CFBDRN C/C=C(\C)C(=O)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000892747752 618739346 /nfs/dbraw/zinc/73/93/46/618739346.db2.gz ZADISKUMLKEAKR-RUDMXATFSA-N 0 0 264.281 2.898 20 5 CFBDRN CO[C@@H]1CCN(c2c3cc(F)ccc3ncc2[N+](=O)[O-])C1 ZINC000892808541 618749422 /nfs/dbraw/zinc/74/94/22/618749422.db2.gz AVVSBKQBVVGIAI-SNVBAGLBSA-N 0 0 291.282 2.507 20 5 CFBDRN CC(C)CC1(CNc2c([N+](=O)[O-])cnn2C)CC1 ZINC000892886845 618763604 /nfs/dbraw/zinc/76/36/04/618763604.db2.gz YHGCHJRNZVYZQI-UHFFFAOYSA-N 0 0 252.318 2.567 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000892899431 618765306 /nfs/dbraw/zinc/76/53/06/618765306.db2.gz LGNLLDIRTODBQD-RKDXNWHRSA-N 0 0 265.269 2.880 20 5 CFBDRN C[C@@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C1CCOCC1 ZINC000119078671 618798696 /nfs/dbraw/zinc/79/86/96/618798696.db2.gz UPMASUIQIFHCHF-SECBINFHSA-N 0 0 297.282 2.702 20 5 CFBDRN CC(C)n1nccc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000119529380 618804410 /nfs/dbraw/zinc/80/44/10/618804410.db2.gz QGWXEXSIVHCEST-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN Cc1noc(COc2cnc(Cl)cc2C)c1[N+](=O)[O-] ZINC000853681654 618856790 /nfs/dbraw/zinc/85/67/90/618856790.db2.gz UNGYVDYYYGMMIU-UHFFFAOYSA-N 0 0 283.671 2.827 20 5 CFBDRN CC(C)C[C@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000035663903 618866235 /nfs/dbraw/zinc/86/62/35/618866235.db2.gz KPSNULLMDJBZQZ-QMMMGPOBSA-N 0 0 264.285 2.978 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000893199666 618880108 /nfs/dbraw/zinc/88/01/08/618880108.db2.gz DJHQABKRXUISQZ-CHWSQXEVSA-N 0 0 293.319 2.936 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ccc(Cl)nc1 ZINC000014825495 618886647 /nfs/dbraw/zinc/88/66/47/618886647.db2.gz YKZJJFVSKSBQCF-UHFFFAOYSA-N 0 0 278.651 2.862 20 5 CFBDRN CCO/C=C\C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000840585281 618902804 /nfs/dbraw/zinc/90/28/04/618902804.db2.gz CQBKGVFGLXWDLC-WAYWQWQTSA-N 0 0 285.683 2.842 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CO)cc1 ZINC000070331231 625302140 /nfs/dbraw/zinc/30/21/40/625302140.db2.gz PCDXGMVPMZMWIR-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN CC1(CC(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])COC1 ZINC000924115547 618909551 /nfs/dbraw/zinc/90/95/51/618909551.db2.gz BJGVBVPNAJGPKL-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN C=C/C=C/CCNC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000893396360 618962794 /nfs/dbraw/zinc/96/27/94/618962794.db2.gz UVTPDANNQOGZMA-AATRIKPKSA-N 0 0 290.319 2.856 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC(F)CC1 ZINC000893419468 618985266 /nfs/dbraw/zinc/98/52/66/618985266.db2.gz GILGVGWFRSVDEV-UHFFFAOYSA-N 0 0 296.298 2.568 20 5 CFBDRN C/C(=C\C(=O)N1CCC(F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000893420328 618985549 /nfs/dbraw/zinc/98/55/49/618985549.db2.gz WGUQNIGNOIJCMW-ZHACJKMWSA-N 0 0 292.310 2.959 20 5 CFBDRN Nc1ccc(C(=O)OCC2CCCC2)cc1[N+](=O)[O-] ZINC000108498987 618996543 /nfs/dbraw/zinc/99/65/43/618996543.db2.gz HAIIHGQAYWVJIL-UHFFFAOYSA-N 0 0 264.281 2.524 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)Cc1ccco1 ZINC000313583697 618996917 /nfs/dbraw/zinc/99/69/17/618996917.db2.gz YAPRRNODUYLMAR-VIFPVBQESA-N 0 0 278.312 2.756 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CCCC2(CCC2)O1)[N+](=O)[O-] ZINC000909357008 618997634 /nfs/dbraw/zinc/99/76/34/618997634.db2.gz GQEJEFGEVUNJPG-GFCCVEGCSA-N 0 0 299.367 2.857 20 5 CFBDRN COC[C@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000893455722 618999770 /nfs/dbraw/zinc/99/97/70/618999770.db2.gz SRLVLBDYKJSCDC-CYBMUJFWSA-N 0 0 295.335 2.599 20 5 CFBDRN C[C@@H](OC(=O)C1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000066877529 619003273 /nfs/dbraw/zinc/00/32/73/619003273.db2.gz AMGIKKKLJXXTRB-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@H](OC(=O)COCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000066877234 619003630 /nfs/dbraw/zinc/00/36/30/619003630.db2.gz FWSQKPSFYAHXCW-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN O=[N+]([O-])c1c(NCc2ccon2)ccc2ncccc21 ZINC000093297678 619005155 /nfs/dbraw/zinc/00/51/55/619005155.db2.gz MXAISINXBGRFJR-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN C[C@@H]1CSCCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000893471561 619010306 /nfs/dbraw/zinc/01/03/06/619010306.db2.gz DBNNEZOPOZJVAX-VIFPVBQESA-N 0 0 297.336 2.692 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000104609355 619012455 /nfs/dbraw/zinc/01/24/55/619012455.db2.gz GDKJQENTDSSOTJ-OVQZSQTJSA-N 0 0 274.320 2.913 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CC[C@H](CF)C1 ZINC000893487574 619016485 /nfs/dbraw/zinc/01/64/85/619016485.db2.gz INVAZAHXLLZOSU-MRVPVSSYSA-N 0 0 286.690 2.680 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCCc1ccccc1F ZINC000893630327 619121855 /nfs/dbraw/zinc/12/18/55/619121855.db2.gz HYNQSJUTZOOKLJ-UHFFFAOYSA-N 0 0 278.287 2.512 20 5 CFBDRN CSc1cccc(C(=O)OCCOC2CC2)c1[N+](=O)[O-] ZINC000893678731 619154818 /nfs/dbraw/zinc/15/48/18/619154818.db2.gz NSBJYVLCVUXGQU-UHFFFAOYSA-N 0 0 297.332 2.653 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)c1csnn1 ZINC000840969671 619181081 /nfs/dbraw/zinc/18/10/81/619181081.db2.gz IFWFSPXXELIZSX-SSDOTTSWSA-N 0 0 298.755 2.951 20 5 CFBDRN C/C=C\COC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000924243901 619182226 /nfs/dbraw/zinc/18/22/26/619182226.db2.gz TYUODMYXZVHWKL-IHWYPQMZSA-N 0 0 269.684 2.910 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2ncc([N+](=O)[O-])s2)C1 ZINC000235147135 619190211 /nfs/dbraw/zinc/19/02/11/619190211.db2.gz BTFPWQBYHWKBHG-BQBZGAKWSA-N 0 0 259.356 2.747 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCC[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000893799857 619220796 /nfs/dbraw/zinc/22/07/96/619220796.db2.gz UEENJHDXCTXXJH-HOSYDEDBSA-N 0 0 278.308 2.526 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])cnn1C)c1ccc(Cl)cn1 ZINC000893814932 619222855 /nfs/dbraw/zinc/22/28/55/619222855.db2.gz YOUXSYSYKJNICW-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC2CCCC2)c1 ZINC000067295220 619262007 /nfs/dbraw/zinc/26/20/07/619262007.db2.gz FTNOCKJZSRABFX-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN CC(C)(COC(=O)Cn1cc([N+](=O)[O-])cn1)C1CCCC1 ZINC000178893398 619276323 /nfs/dbraw/zinc/27/63/23/619276323.db2.gz QDZJOFKEVHBASJ-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN Nc1ccc(OCc2cccc([N+](=O)[O-])c2)cc1[N+](=O)[O-] ZINC000204914545 619283317 /nfs/dbraw/zinc/28/33/17/619283317.db2.gz LUNHZGOOCLHZNJ-UHFFFAOYSA-N 0 0 289.247 2.664 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2c[nH]nc2[N+](=O)[O-])cc1Cl ZINC000791363015 619302669 /nfs/dbraw/zinc/30/26/69/619302669.db2.gz FGJNGSOZBDTBGN-UHFFFAOYSA-N 0 0 294.698 2.556 20 5 CFBDRN CC[C@@H](C)CC(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000791496635 619329763 /nfs/dbraw/zinc/32/97/63/619329763.db2.gz CWNFHGJZDXSCJZ-SNVBAGLBSA-N 0 0 279.292 2.757 20 5 CFBDRN CC[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)cc1F ZINC000840672919 619330130 /nfs/dbraw/zinc/33/01/30/619330130.db2.gz FVYUYIPRKGLVHS-JLLWLGSASA-N 0 0 285.246 2.624 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889602350 619342671 /nfs/dbraw/zinc/34/26/71/619342671.db2.gz CVHFPIQERRIKRB-UFGOTCBOSA-N 0 0 290.319 2.522 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000894363741 619352175 /nfs/dbraw/zinc/35/21/75/619352175.db2.gz NOKXJWLOVMUFCJ-HQJQHLMTSA-N 0 0 283.309 2.809 20 5 CFBDRN C[C@H](NCc1nccn1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000894656442 619437518 /nfs/dbraw/zinc/43/75/18/619437518.db2.gz BNUPRHCXHCUNBV-NSHDSACASA-N 0 0 286.335 2.977 20 5 CFBDRN Cc1nnc([C@H](C)NCc2ccc([N+](=O)[O-])cc2Cl)[nH]1 ZINC000894772978 619460326 /nfs/dbraw/zinc/46/03/26/619460326.db2.gz SVAHBVJBDDZBSC-ZETCQYMHSA-N 0 0 295.730 2.526 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCCC2(CC2)CC1 ZINC000894817258 619472489 /nfs/dbraw/zinc/47/24/89/619472489.db2.gz OMPRMGPYILTGPQ-UHFFFAOYSA-N 0 0 277.324 2.769 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000048805862 619473317 /nfs/dbraw/zinc/47/33/17/619473317.db2.gz JCCUQCLVSCLILO-HTQZYQBOSA-N 0 0 264.285 2.978 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC(OC(F)F)C1 ZINC000894832353 619477168 /nfs/dbraw/zinc/47/71/68/619477168.db2.gz GBURAWHVFUZCDE-UHFFFAOYSA-N 0 0 292.669 2.672 20 5 CFBDRN Cn1c(=O)c([N+](=O)[O-])c(NCC(C)(C)F)c2ccccc21 ZINC000894856928 619485333 /nfs/dbraw/zinc/48/53/33/619485333.db2.gz QTFKISRUDJPHIJ-UHFFFAOYSA-N 0 0 293.298 2.607 20 5 CFBDRN Cc1ccc(N2CC(c3nc(C)cc(C)n3)C2)c([N+](=O)[O-])c1 ZINC000894897319 619502843 /nfs/dbraw/zinc/50/28/43/619502843.db2.gz QGXSZOSMBXEPJY-UHFFFAOYSA-N 0 0 298.346 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCc2ccoc2)c1 ZINC000049055103 619507041 /nfs/dbraw/zinc/50/70/41/619507041.db2.gz QMCIWTDAHUBZEY-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN Cc1cc(N2CC(OC(C)C)C2)c([N+](=O)[O-])s1 ZINC000894971019 619519327 /nfs/dbraw/zinc/51/93/27/619519327.db2.gz GYYAHDYIOSUWSU-UHFFFAOYSA-N 0 0 256.327 2.578 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1cc([N+](=O)[O-])ccc1F ZINC000889603920 619527397 /nfs/dbraw/zinc/52/73/97/619527397.db2.gz AQERHFBGXMWJSE-ZDSQKVDBSA-N 0 0 278.283 2.652 20 5 CFBDRN C[C@@H]1CCC[C@@H](C2CN(c3c([N+](=O)[O-])cnn3C)C2)C1 ZINC000895034986 619529786 /nfs/dbraw/zinc/52/97/86/619529786.db2.gz SOYIPAAWINYQOZ-GHMZBOCLSA-N 0 0 278.356 2.591 20 5 CFBDRN C[C@@H](CNC(=O)NCC[C@H](C)F)c1ccccc1[N+](=O)[O-] ZINC000895049991 619534690 /nfs/dbraw/zinc/53/46/90/619534690.db2.gz HSTLAUNICQBTGB-QWRGUYRKSA-N 0 0 297.330 2.746 20 5 CFBDRN Cc1nc(Cl)cc(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000726965592 619536492 /nfs/dbraw/zinc/53/64/92/619536492.db2.gz ASFAKPOGLYRGIS-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN CN(C)Cc1csc(CNc2sccc2[N+](=O)[O-])n1 ZINC000895484194 619541696 /nfs/dbraw/zinc/54/16/96/619541696.db2.gz GHILKMUUSOTMOP-UHFFFAOYSA-N 0 0 298.393 2.787 20 5 CFBDRN CCOc1cccc(NC/C=C(/C)C(=O)OC)c1[N+](=O)[O-] ZINC000895513485 619545277 /nfs/dbraw/zinc/54/52/77/619545277.db2.gz GVLKFNMPXUQSHM-NTMALXAHSA-N 0 0 294.307 2.525 20 5 CFBDRN CC(C)(C)OC[C@H]1CCCN1c1ncc([N+](=O)[O-])s1 ZINC000895656712 619576854 /nfs/dbraw/zinc/57/68/54/619576854.db2.gz OIYNEWBCXZWDIZ-SECBINFHSA-N 0 0 285.369 2.835 20 5 CFBDRN CCSCCOC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000727626486 619581087 /nfs/dbraw/zinc/58/10/87/619581087.db2.gz KRAYRVAIXCARDJ-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN C[C@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000895762244 619601811 /nfs/dbraw/zinc/60/18/11/619601811.db2.gz QHIXGAMNIGKQQM-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN COc1c(O)ccc(CN2CCC(C)(F)CC2)c1[N+](=O)[O-] ZINC000895778126 619604879 /nfs/dbraw/zinc/60/48/79/619604879.db2.gz RZBSGBPRIXSHQR-UHFFFAOYSA-N 0 0 298.314 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](c2ccccn2)C2CC2)nc1 ZINC000895796145 619610487 /nfs/dbraw/zinc/61/04/87/619610487.db2.gz YTMBYXAZSAPGIS-AWEZNQCLSA-N 0 0 270.292 2.948 20 5 CFBDRN C[C@@H]1COC[C@@H]1Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000895904632 619631164 /nfs/dbraw/zinc/63/11/64/619631164.db2.gz GDBJCWXYZGHWDY-APPZFPTMSA-N 0 0 288.250 2.643 20 5 CFBDRN C[C@H]1COC[C@@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000895908982 619632848 /nfs/dbraw/zinc/63/28/48/619632848.db2.gz KOYAQIKPPSNUGQ-XPTSAGLGSA-N 0 0 273.292 2.590 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]2c2ncccn2)s1 ZINC000895975828 619644719 /nfs/dbraw/zinc/64/47/19/619644719.db2.gz HFSIFCZKTGLMEJ-SECBINFHSA-N 0 0 291.336 2.573 20 5 CFBDRN Cc1cc(CCNc2cc(C)c([N+](=O)[O-])cc2F)nn1C ZINC000896026015 619656066 /nfs/dbraw/zinc/65/60/66/619656066.db2.gz WJOIKHJUHZHYBR-UHFFFAOYSA-N 0 0 292.314 2.739 20 5 CFBDRN Cc1cc(CCNc2ccc([N+](=O)[O-])c(C)c2)nn1C ZINC000896027454 619658173 /nfs/dbraw/zinc/65/81/73/619658173.db2.gz XSKDMFLZFXSYQD-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC000896077509 619666934 /nfs/dbraw/zinc/66/69/34/619666934.db2.gz KXEGBPBJWPGITJ-NGZCFLSTSA-N 0 0 295.726 2.646 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC000896077278 619666957 /nfs/dbraw/zinc/66/69/57/619666957.db2.gz HRBCKNASNBXTBN-AEJSXWLSSA-N 0 0 266.322 2.659 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1C[C@H]1C(F)(F)F ZINC000896087696 619667630 /nfs/dbraw/zinc/66/76/30/619667630.db2.gz KUKOQCNXFMOYCK-NTSWFWBYSA-N 0 0 279.193 2.739 20 5 CFBDRN C[C@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCCCO1 ZINC000896180370 619689110 /nfs/dbraw/zinc/68/91/10/619689110.db2.gz IBUGBLDGYDEPLH-CYBMUJFWSA-N 0 0 265.313 2.548 20 5 CFBDRN CCC[C@@H](O)CCNc1cccc(OC)c1[N+](=O)[O-] ZINC000896187528 619690384 /nfs/dbraw/zinc/69/03/84/619690384.db2.gz PSGWEWANPGEVCY-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN CCC[C@@H](O)CCNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000896187774 619690537 /nfs/dbraw/zinc/69/05/37/619690537.db2.gz ULYJKCSDHWHLOW-SECBINFHSA-N 0 0 286.303 2.706 20 5 CFBDRN CSCC1CCN(c2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000896210482 619694640 /nfs/dbraw/zinc/69/46/40/619694640.db2.gz HHRJCHLPSFFVBU-UHFFFAOYSA-N 0 0 281.381 2.756 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)CCS1 ZINC000896244932 619700051 /nfs/dbraw/zinc/70/00/51/619700051.db2.gz KKVLSCJYBQPECS-IUCAKERBSA-N 0 0 253.327 2.686 20 5 CFBDRN Cc1cc(N[C@H]2CCS[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000896245796 619700257 /nfs/dbraw/zinc/70/02/57/619700257.db2.gz ODOGASRDVLBBQE-UWVGGRQHSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000896247600 619701364 /nfs/dbraw/zinc/70/13/64/619701364.db2.gz IBCIIJTYUYREJC-SCZZXKLOSA-N 0 0 267.354 2.873 20 5 CFBDRN C[C@H]1C[C@@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCS1 ZINC000896249163 619705114 /nfs/dbraw/zinc/70/51/14/619705114.db2.gz MMNXHSMKJIXTHN-YUMQZZPRSA-N 0 0 287.772 2.761 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCS1 ZINC000896249156 619705236 /nfs/dbraw/zinc/70/52/36/619705236.db2.gz MMNXHSMKJIXTHN-HTQZYQBOSA-N 0 0 287.772 2.761 20 5 CFBDRN CC1(C)O[C@@H]2C[C@@H](Nc3ccsc3[N+](=O)[O-])C[C@@H]2O1 ZINC000896348345 619722397 /nfs/dbraw/zinc/72/23/97/619722397.db2.gz XLFJUISCFKUQIF-SLGCYQGISA-N 0 0 284.337 2.751 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]2OC(C)(C)O[C@H]2C1 ZINC000896357984 619724068 /nfs/dbraw/zinc/72/40/68/619724068.db2.gz AVUZIWSMKWUMJX-OJNYZHBWSA-N 0 0 292.335 2.998 20 5 CFBDRN CC(C)[C@@H](Nc1ncccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000896425135 619735186 /nfs/dbraw/zinc/73/51/86/619735186.db2.gz AZZIOAMUEUZEBK-GFCCVEGCSA-N 0 0 275.312 2.533 20 5 CFBDRN Cc1ccnc(N[C@H](c2cnn(C)c2)C(C)C)c1[N+](=O)[O-] ZINC000896426613 619735426 /nfs/dbraw/zinc/73/54/26/619735426.db2.gz KWCDBVMYJYFWOR-LBPRGKRZSA-N 0 0 289.339 2.841 20 5 CFBDRN CC(C)[C@@H](Nc1ccc(N)cc1[N+](=O)[O-])c1cnn(C)c1 ZINC000896426026 619735605 /nfs/dbraw/zinc/73/56/05/619735605.db2.gz JHRRUGNRYRWEKL-CQSZACIVSA-N 0 0 289.339 2.720 20 5 CFBDRN Cc1ccc(NCCNc2ncc(C)cc2[N+](=O)[O-])nc1 ZINC000896455396 619741418 /nfs/dbraw/zinc/74/14/18/619741418.db2.gz GFVDBQOTZNAVCA-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cn1nc(C2CC2)cc1CNc1ccsc1[N+](=O)[O-] ZINC000896509644 619747027 /nfs/dbraw/zinc/74/70/27/619747027.db2.gz GVUHJFNWWJYXKU-UHFFFAOYSA-N 0 0 278.337 2.879 20 5 CFBDRN COC[C@@H](CNc1cc(C)c([N+](=O)[O-])cn1)C(C)C ZINC000896505008 619752321 /nfs/dbraw/zinc/75/23/21/619752321.db2.gz JMNCMLDMRBTPIL-LLVKDONJSA-N 0 0 267.329 2.629 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC[C@@H]1CC1CC1 ZINC000896636860 619780107 /nfs/dbraw/zinc/78/01/07/619780107.db2.gz FTWKIPGOULWJSR-LBPRGKRZSA-N 0 0 262.309 2.600 20 5 CFBDRN Cc1cnc(N[C@H]2c3ccccc3CC[C@H]2O)c([N+](=O)[O-])c1 ZINC000896671688 619785475 /nfs/dbraw/zinc/78/54/75/619785475.db2.gz MAZFHBOYIXPMLC-CABCVRRESA-N 0 0 299.330 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cnn(CC3CCC3)c2)cn1 ZINC000896782484 619813459 /nfs/dbraw/zinc/81/34/59/619813459.db2.gz DAFROIUAFNSQRH-UHFFFAOYSA-N 0 0 287.323 2.599 20 5 CFBDRN CC(C)(CNc1ccc(C(N)=O)cc1[N+](=O)[O-])C1CC1 ZINC000896785948 619815416 /nfs/dbraw/zinc/81/54/16/619815416.db2.gz MPKOOKXGRSMVMV-UHFFFAOYSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1ccc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])nn1 ZINC000896794892 619820365 /nfs/dbraw/zinc/82/03/65/619820365.db2.gz XMSOXLPTRSMCLZ-SNVBAGLBSA-N 0 0 272.308 2.909 20 5 CFBDRN Cc1nc(NCC[C@@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000896813039 619826490 /nfs/dbraw/zinc/82/64/90/619826490.db2.gz VOSPSHKUCQHQSU-AAEUAGOBSA-N 0 0 279.340 2.651 20 5 CFBDRN C[C@]12CN(c3ccc([N+](=O)[O-])cn3)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000896899700 619870946 /nfs/dbraw/zinc/87/09/46/619870946.db2.gz WYGWGRSQUPLUTO-YODMDTAWSA-N 0 0 271.320 2.638 20 5 CFBDRN O=[N+]([O-])c1c(SC2COC2)ccc2cnccc21 ZINC000896961614 619905369 /nfs/dbraw/zinc/90/53/69/619905369.db2.gz ASTDMPGJTDJYPE-UHFFFAOYSA-N 0 0 262.290 2.634 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(SC3COC3)c2c1 ZINC000896961799 619905408 /nfs/dbraw/zinc/90/54/08/619905408.db2.gz CYTIFKHIEBJYCV-UHFFFAOYSA-N 0 0 292.316 2.643 20 5 CFBDRN COC(=O)[C@@H]1C[C@@H]2C[C@H](Nc3ccc([N+](=O)[O-])s3)[C@@H]2C1 ZINC000897011263 619914200 /nfs/dbraw/zinc/91/42/00/619914200.db2.gz ZNEBOHGNNJPRJF-KYXWUPHJSA-N 0 0 296.348 2.656 20 5 CFBDRN C[C@H](CNC(=O)N1C[C@H](C)[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897059618 619921858 /nfs/dbraw/zinc/92/18/58/619921858.db2.gz CFYCJPWUXFKXPL-GRYCIOLGSA-N 0 0 291.351 2.748 20 5 CFBDRN C[C@@H](CNC(=O)NC/C=C/Cl)c1ccccc1[N+](=O)[O-] ZINC000897051129 619922879 /nfs/dbraw/zinc/92/28/79/619922879.db2.gz TXGWBNAGZLJASF-QBBOHKLWSA-N 0 0 297.742 2.750 20 5 CFBDRN C[C@H](CNC(=O)NC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000897068675 619924938 /nfs/dbraw/zinc/92/49/38/619924938.db2.gz XCJANGLEKDZEJT-SNVBAGLBSA-N 0 0 279.340 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3CC[C@@]32c2cccnc2)cn1 ZINC000897071676 619925738 /nfs/dbraw/zinc/92/57/38/619925738.db2.gz VKISOMAPEWMTFO-LRDDRELGSA-N 0 0 296.330 2.900 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000897148175 619939876 /nfs/dbraw/zinc/93/98/76/619939876.db2.gz DEKPLOYMAJDVIL-GHMZBOCLSA-N 0 0 293.348 2.818 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000897148909 619940108 /nfs/dbraw/zinc/94/01/08/619940108.db2.gz KVYCOMOVKYHENI-KGLIPLIRSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000897145643 619940941 /nfs/dbraw/zinc/94/09/41/619940941.db2.gz SWAOZWSXRPPMBN-JSGCOSHPSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccc(C)cc1[N+](=O)[O-] ZINC000897147445 619941564 /nfs/dbraw/zinc/94/15/64/619941564.db2.gz MGJCZIZOKPRPRM-WCQYABFASA-N 0 0 250.298 2.517 20 5 CFBDRN CC(C)(C)c1coc(CNc2ccc([N+](=O)[O-])nc2)n1 ZINC000897172795 619944375 /nfs/dbraw/zinc/94/43/75/619944375.db2.gz WNCWTIGYOHETPQ-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897177389 619944838 /nfs/dbraw/zinc/94/48/38/619944838.db2.gz OUNVHLGXMADRBG-DVVUODLYSA-N 0 0 263.297 2.599 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCCC(C)(C)O1 ZINC000897253568 619959937 /nfs/dbraw/zinc/95/99/37/619959937.db2.gz DHWNZQLRTGGPRG-NSHDSACASA-N 0 0 296.371 2.879 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OCCOC(C)C ZINC000909591787 619966981 /nfs/dbraw/zinc/96/69/81/619966981.db2.gz ARILGQIMJJQUDT-UHFFFAOYSA-N 0 0 299.348 2.899 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000088312443 619980875 /nfs/dbraw/zinc/98/08/75/619980875.db2.gz ZDJLWLUVSTUSLX-ZJUUUORDSA-N 0 0 279.340 2.732 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCOC12CCCC2 ZINC000897322303 619990380 /nfs/dbraw/zinc/99/03/80/619990380.db2.gz BSSWEWKBISFYCQ-LLVKDONJSA-N 0 0 263.297 2.503 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC23CCCC3)ncc1[N+](=O)[O-] ZINC000897322279 619990382 /nfs/dbraw/zinc/99/03/82/619990382.db2.gz BICYLSPXOGPHAA-GFCCVEGCSA-N 0 0 277.324 2.812 20 5 CFBDRN Cc1nc(N[C@@H]2CCOC23CCCC3)ccc1[N+](=O)[O-] ZINC000897322394 619991237 /nfs/dbraw/zinc/99/12/37/619991237.db2.gz FCTRTEJJURCWBZ-GFCCVEGCSA-N 0 0 277.324 2.812 20 5 CFBDRN C[C@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)C(C)(C)O ZINC000897330147 619996769 /nfs/dbraw/zinc/99/67/69/619996769.db2.gz IFWKQXNDPYITPQ-ZETCQYMHSA-N 0 0 281.337 2.776 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC(Cc2ccoc2)C1 ZINC000897350442 620013208 /nfs/dbraw/zinc/01/32/08/620013208.db2.gz BMCVBQLMFXRKKO-UHFFFAOYSA-N 0 0 264.306 2.928 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000797909526 620033684 /nfs/dbraw/zinc/03/36/84/620033684.db2.gz RMBSTZUFUJFIRA-UHFFFAOYSA-N 0 0 274.276 2.631 20 5 CFBDRN O=C(/C=C/c1ccco1)Oc1cccc([N+](=O)[O-])c1 ZINC000006270709 620069274 /nfs/dbraw/zinc/06/92/74/620069274.db2.gz RZZUHYMZNFMWQT-VOTSOKGWSA-N 0 0 259.217 2.807 20 5 CFBDRN Cc1nn(Cc2cc(C)nc(Cl)c2)c(C)c1[N+](=O)[O-] ZINC000321018315 620081040 /nfs/dbraw/zinc/08/10/40/620081040.db2.gz SMBUKNGUOTZJBR-UHFFFAOYSA-N 0 0 280.715 2.813 20 5 CFBDRN O=C([O-])[C@@H]1CCCC[N@@H+]1Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000263422951 620142525 /nfs/dbraw/zinc/14/25/25/620142525.db2.gz PMBZDVOKSSOQND-LBPRGKRZSA-N 0 0 298.726 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COC[C@@H]2C2CC2)c2ccncc21 ZINC000897442319 620172400 /nfs/dbraw/zinc/17/24/00/620172400.db2.gz UFFZEKQULNLFAW-HIFRSBDPSA-N 0 0 299.330 2.980 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1COC[C@H]1C1CC1 ZINC000897452187 620174217 /nfs/dbraw/zinc/17/42/17/620174217.db2.gz UCGHIKSMKXXASG-JSGCOSHPSA-N 0 0 299.330 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COC[C@H]2C2CC2)c(F)c1 ZINC000897446221 620174603 /nfs/dbraw/zinc/17/46/03/620174603.db2.gz SBWDZFBPXZITLH-GWCFXTLKSA-N 0 0 266.272 2.571 20 5 CFBDRN Cc1cnc(OCC2(Br)CC2)c([N+](=O)[O-])c1 ZINC000863309147 620176267 /nfs/dbraw/zinc/17/62/67/620176267.db2.gz QKCNHFOLAXRVQO-UHFFFAOYSA-N 0 0 287.113 2.605 20 5 CFBDRN COc1cccc(N2C[C@@H]3CSC[C@]3(C)C2)c1[N+](=O)[O-] ZINC000897491697 620189322 /nfs/dbraw/zinc/18/93/22/620189322.db2.gz HRRZCPYJLTXWFG-YGRLFVJLSA-N 0 0 294.376 2.793 20 5 CFBDRN C[C@]12CSC[C@H]1CN(c1ccccc1[N+](=O)[O-])C2 ZINC000897498211 620191341 /nfs/dbraw/zinc/19/13/41/620191341.db2.gz LGBGTZWXONGLBW-MFKMUULPSA-N 0 0 264.350 2.784 20 5 CFBDRN O=C1OCCN1c1cc([N+](=O)[O-])ccc1NC/C=C\Cl ZINC000897505980 620195801 /nfs/dbraw/zinc/19/58/01/620195801.db2.gz SBDQSPHVUIRJKY-RJRFIUFISA-N 0 0 297.698 2.716 20 5 CFBDRN Cc1cc(C)nc(C(=O)OCc2cccc([N+](=O)[O-])c2)c1 ZINC000800869095 620199217 /nfs/dbraw/zinc/19/92/17/620199217.db2.gz YPGQNZXWSCRUGO-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1nc(NCCOC2CCSCC2)ccc1[N+](=O)[O-] ZINC000897511949 620199872 /nfs/dbraw/zinc/19/98/72/620199872.db2.gz RYVRJCSSDMGFLW-UHFFFAOYSA-N 0 0 297.380 2.622 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)/C=C/C(C)C ZINC000785048533 620208427 /nfs/dbraw/zinc/20/84/27/620208427.db2.gz AFMQQGUXIDGPHB-QPJJXVBHSA-N 0 0 279.292 2.859 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2cccc(C)c2[N+](=O)[O-])o1 ZINC000067739235 620219736 /nfs/dbraw/zinc/21/97/36/620219736.db2.gz HYOCZSIOEMALDJ-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN COC(=O)C[C@@H]1CC[C@H](Nc2cccc(F)c2[N+](=O)[O-])C1 ZINC000897544270 620236662 /nfs/dbraw/zinc/23/66/62/620236662.db2.gz LXECSVHNQWPAFD-ZJUUUORDSA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2nonc21)c1ccccn1 ZINC000035122249 620237660 /nfs/dbraw/zinc/23/76/60/620237660.db2.gz BLEFMFZQERKZQV-MRVPVSSYSA-N 0 0 285.263 2.699 20 5 CFBDRN C[C@@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000786528154 620253212 /nfs/dbraw/zinc/25/32/12/620253212.db2.gz YSTQAGXILMHPQT-RXLGXGPVSA-N 0 0 271.219 2.805 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc3ccccc3c([N+](=O)[O-])c2N)[C@@H]1C ZINC000897852251 620294219 /nfs/dbraw/zinc/29/42/19/620294219.db2.gz BZEFVEWNFOOEOM-NXEZZACHSA-N 0 0 299.330 2.811 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(Cc3ccoc3)C2)cc1 ZINC000898162650 620341212 /nfs/dbraw/zinc/34/12/12/620341212.db2.gz SNVVOHITFDWRTH-UHFFFAOYSA-N 0 0 272.304 2.862 20 5 CFBDRN CON1CCC(Nc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000323047368 620399791 /nfs/dbraw/zinc/39/97/91/620399791.db2.gz FHSVNCXPMISSMW-UHFFFAOYSA-N 0 0 285.731 2.686 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889596642 620412558 /nfs/dbraw/zinc/41/25/58/620412558.db2.gz MPVSOLWHKJPREH-NWANDNLSSA-N 0 0 288.347 2.832 20 5 CFBDRN Cc1cc(C(=O)OCC(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000000560594 620413508 /nfs/dbraw/zinc/41/35/08/620413508.db2.gz SZLNWBCEJDONLY-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC1CCCCC1 ZINC000192799004 620430204 /nfs/dbraw/zinc/43/02/04/620430204.db2.gz YJHAPSQBLTVNFH-UHFFFAOYSA-N 0 0 264.281 2.788 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H]3C[C@H]3C2)c1C ZINC000898976724 620511122 /nfs/dbraw/zinc/51/11/22/620511122.db2.gz YZVNXEPAHHCNMQ-RYUDHWBXSA-N 0 0 274.320 2.694 20 5 CFBDRN CCC(=O)c1cc(Cl)ccc1OCC[N+](=O)[O-] ZINC000899232271 620534098 /nfs/dbraw/zinc/53/40/98/620534098.db2.gz XRHPRDZXTMPKAJ-UHFFFAOYSA-N 0 0 257.673 2.588 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000899419460 620562557 /nfs/dbraw/zinc/56/25/57/620562557.db2.gz YPNQDDJZCXUPSZ-OJDJGZDQSA-N 0 0 274.320 2.617 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000899417583 620566930 /nfs/dbraw/zinc/56/69/30/620566930.db2.gz LDOFJJGFJFZQAF-MWQHPAIMSA-N 0 0 288.347 2.925 20 5 CFBDRN CCCNC(=S)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621939 620586808 /nfs/dbraw/zinc/58/68/08/620586808.db2.gz WUMYEXVDNPJECX-SNVBAGLBSA-N 0 0 281.381 2.572 20 5 CFBDRN CN(CCCF)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000899817307 620604078 /nfs/dbraw/zinc/60/40/78/620604078.db2.gz ODCRRMFQJOLRFA-UHFFFAOYSA-N 0 0 279.271 2.508 20 5 CFBDRN O=[N+]([O-])CCSc1ccc(Br)cc1 ZINC000899860102 620606237 /nfs/dbraw/zinc/60/62/37/620606237.db2.gz HYDYDADKTKRDAI-UHFFFAOYSA-N 0 0 262.128 2.818 20 5 CFBDRN Cc1nnc(SCC[N+](=O)[O-])n1[C@@H]1CCC[C@H](C)C1 ZINC000899881556 620608851 /nfs/dbraw/zinc/60/88/51/620608851.db2.gz KZZHESLVJOJOTR-GXSJLCMTSA-N 0 0 284.385 2.707 20 5 CFBDRN CC(C)C[C@@](C)(C[NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000900051644 620629526 /nfs/dbraw/zinc/62/95/26/620629526.db2.gz FKTIVFAERDILIO-HNNXBMFYSA-N 0 0 294.351 2.821 20 5 CFBDRN Cc1cn(C)nc1COc1ccc([N+](=O)[O-])cc1C ZINC000901530427 620847194 /nfs/dbraw/zinc/84/71/94/620847194.db2.gz RWECQZCHTNJMBG-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN O=[N+]([O-])c1cn(CCOC2CCSCC2)nc1C1CC1 ZINC000901537069 620849765 /nfs/dbraw/zinc/84/97/65/620849765.db2.gz ZEDQQZYIQZERFU-UHFFFAOYSA-N 0 0 297.380 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[N@@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000901512452 620851797 /nfs/dbraw/zinc/85/17/97/620851797.db2.gz GPYPPXGMYHNONK-HNNXBMFYSA-N 0 0 292.335 2.508 20 5 CFBDRN Cc1nn(C[C@H]2CCC[C@@H](C(F)(F)F)O2)cc1[N+](=O)[O-] ZINC000901548507 620861329 /nfs/dbraw/zinc/86/13/29/620861329.db2.gz MPQZUCKZWJIUFJ-SCZZXKLOSA-N 0 0 293.245 2.600 20 5 CFBDRN O=[N+]([O-])c1c(OCCF)cccc1C(F)(F)F ZINC000901655395 620883870 /nfs/dbraw/zinc/88/38/70/620883870.db2.gz SQVMJWCCNZHBDW-UHFFFAOYSA-N 0 0 253.151 2.962 20 5 CFBDRN O=C([O-])C1=CC[C@H]([NH2+]Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000901729961 620902446 /nfs/dbraw/zinc/90/24/46/620902446.db2.gz GEOWTHNZXGLESD-NSHDSACASA-N 0 0 296.710 2.511 20 5 CFBDRN CCn1cc(CSCCc2ccc([N+](=O)[O-])cc2)nn1 ZINC000901864461 620929063 /nfs/dbraw/zinc/92/90/63/620929063.db2.gz VVSTXHUBJCPDOX-UHFFFAOYSA-N 0 0 292.364 2.682 20 5 CFBDRN CCn1cc(CSCc2ccc(C)c([N+](=O)[O-])c2)nn1 ZINC000901867068 620929334 /nfs/dbraw/zinc/92/93/34/620929334.db2.gz HXQIGAHUJSVLER-UHFFFAOYSA-N 0 0 292.364 2.948 20 5 CFBDRN Cc1nnc(CCSCc2ccccc2[N+](=O)[O-])o1 ZINC000901892152 620932112 /nfs/dbraw/zinc/93/21/12/620932112.db2.gz NVTIQAJOEMMBFQ-UHFFFAOYSA-N 0 0 279.321 2.762 20 5 CFBDRN COC/C(C)=C\CSCc1onc(C)c1[N+](=O)[O-] ZINC000901881727 620933639 /nfs/dbraw/zinc/93/36/39/620933639.db2.gz HBYJUYUMZYHXMO-YWEYNIOJSA-N 0 0 272.326 2.717 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCC1CC(C)C1 ZINC000901883160 620934042 /nfs/dbraw/zinc/93/40/42/620934042.db2.gz NVBFHLDEQUDTPW-UHFFFAOYSA-N 0 0 269.370 2.879 20 5 CFBDRN CC1CC(CSCc2cn(C)nc2[N+](=O)[O-])C1 ZINC000901884845 620934249 /nfs/dbraw/zinc/93/42/49/620934249.db2.gz YYPJTWSYSSIRBB-UHFFFAOYSA-N 0 0 255.343 2.608 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)n2)c1 ZINC000901937081 620939537 /nfs/dbraw/zinc/93/95/37/620939537.db2.gz QAKXJDLLBAKLQU-FHUSYTEZSA-N 0 0 299.286 2.536 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCc1ccns1 ZINC000901924115 620942929 /nfs/dbraw/zinc/94/29/29/620942929.db2.gz QQVWGTGJUDGHBW-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN CC(=O)NCCCCCNc1c(C)c([N+](=O)[O-])ccc1F ZINC000902048167 620964139 /nfs/dbraw/zinc/96/41/39/620964139.db2.gz IPGVQGBGWLYBHV-UHFFFAOYSA-N 0 0 297.330 2.761 20 5 CFBDRN CCn1cc(NCc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000902069480 620967382 /nfs/dbraw/zinc/96/73/82/620967382.db2.gz FQTXLWRPJBMBFU-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@@]23CCOC3)cc1F ZINC000902227589 621002244 /nfs/dbraw/zinc/00/22/44/621002244.db2.gz SYPVNYIPXQBLRB-TVQRCGJNSA-N 0 0 267.256 2.539 20 5 CFBDRN CC(C)COCCSCc1cccnc1[N+](=O)[O-] ZINC000902381224 621058692 /nfs/dbraw/zinc/05/86/92/621058692.db2.gz MIFKAVLPVXTRLZ-UHFFFAOYSA-N 0 0 270.354 2.896 20 5 CFBDRN Cn1cc(CSCCC2CCC2)c([N+](=O)[O-])n1 ZINC000902425564 621074903 /nfs/dbraw/zinc/07/49/03/621074903.db2.gz WOBNSUJRVGTGEG-UHFFFAOYSA-N 0 0 255.343 2.752 20 5 CFBDRN CC[C@@H]1C[C@H]1Cn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000902426351 621075131 /nfs/dbraw/zinc/07/51/31/621075131.db2.gz XSDBATFABVPEDF-PWSUYJOCSA-N 0 0 272.304 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSCc2nncs2)cc1 ZINC000902556565 621121781 /nfs/dbraw/zinc/12/17/81/621121781.db2.gz LRNVWBUUKFMLRZ-UHFFFAOYSA-N 0 0 281.362 2.922 20 5 CFBDRN CC[C@@H]1C[C@H]1CSCc1cn(C)nc1[N+](=O)[O-] ZINC000902577922 621128961 /nfs/dbraw/zinc/12/89/61/621128961.db2.gz OHICCJQZQXSGSC-BDAKNGLRSA-N 0 0 255.343 2.608 20 5 CFBDRN CC[C@@H]1c2ccccc2CN1Cn1cc([N+](=O)[O-])cn1 ZINC000902666695 621147727 /nfs/dbraw/zinc/14/77/27/621147727.db2.gz SUDHXSVGCQGGPL-CQSZACIVSA-N 0 0 272.308 2.716 20 5 CFBDRN CC[C@@H]1c2ccccc2CN1Cn1nccc1[N+](=O)[O-] ZINC000902666964 621147812 /nfs/dbraw/zinc/14/78/12/621147812.db2.gz ZLXXDESXRADAOP-CYBMUJFWSA-N 0 0 272.308 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@H](F)C1 ZINC000902997964 621223868 /nfs/dbraw/zinc/22/38/68/621223868.db2.gz CNQUFFSMQGHJGP-VIFPVBQESA-N 0 0 256.252 2.668 20 5 CFBDRN C[C@H]1CCC(C)(C)N1S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000903148956 621272937 /nfs/dbraw/zinc/27/29/37/621272937.db2.gz MJZMTGGIVYCWCO-JTQLQIEISA-N 0 0 298.364 2.546 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000903148957 621273019 /nfs/dbraw/zinc/27/30/19/621273019.db2.gz MJZMTGGIVYCWCO-SNVBAGLBSA-N 0 0 298.364 2.546 20 5 CFBDRN CCO[C@H]1[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)[C@@H]1C ZINC000903317409 621330705 /nfs/dbraw/zinc/33/07/05/621330705.db2.gz KGVYCNGPMIOETJ-XXILOJSOSA-N 0 0 289.291 2.783 20 5 CFBDRN C[C@@H](c1noc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1CCCO1 ZINC000903792974 621439417 /nfs/dbraw/zinc/43/94/17/621439417.db2.gz VPBBDJHKYGSRQH-SKDRFNHKSA-N 0 0 289.291 2.927 20 5 CFBDRN C[C@H](c1noc(-c2cccc([N+](=O)[O-])c2)n1)[C@H]1CCCO1 ZINC000903792972 621439644 /nfs/dbraw/zinc/43/96/44/621439644.db2.gz VPBBDJHKYGSRQH-JOYOIKCWSA-N 0 0 289.291 2.927 20 5 CFBDRN CSCCCCc1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000903787291 621442265 /nfs/dbraw/zinc/44/22/65/621442265.db2.gz MNEIONKOSRZURP-UHFFFAOYSA-N 0 0 282.325 2.659 20 5 CFBDRN CCCC[C@H](C(=O)[O-])[N@@H+]1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000903962721 621481595 /nfs/dbraw/zinc/48/15/95/621481595.db2.gz KQIFUUCBVHXXPD-CQSZACIVSA-N 0 0 292.335 2.596 20 5 CFBDRN CCCC[C@@H](C(=O)[O-])[N@@H+]1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000903962718 621481855 /nfs/dbraw/zinc/48/18/55/621481855.db2.gz KQIFUUCBVHXXPD-AWEZNQCLSA-N 0 0 292.335 2.596 20 5 CFBDRN C[C@]1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)C=CCC1 ZINC000904003186 621504570 /nfs/dbraw/zinc/50/45/70/621504570.db2.gz CYEGCDQTRCWFIX-ZDUSSCGKSA-N 0 0 265.240 2.996 20 5 CFBDRN CNc1cccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000904120975 621527449 /nfs/dbraw/zinc/52/74/49/621527449.db2.gz HKFFVCSOISAARU-UHFFFAOYSA-N 0 0 290.323 2.766 20 5 CFBDRN COc1c(Cl)cccc1-c1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000904184817 621538282 /nfs/dbraw/zinc/53/82/82/621538282.db2.gz IVIAPWAZJYCKMN-VXNVDRBHSA-N 0 0 295.682 2.531 20 5 CFBDRN Cc1cc(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)no1 ZINC000904578211 621607734 /nfs/dbraw/zinc/60/77/34/621607734.db2.gz BNEAIORQOHXHSJ-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN C[C@H](c1nc(-c2ccn(C)n2)no1)c1cccc([N+](=O)[O-])c1 ZINC000904877120 621668648 /nfs/dbraw/zinc/66/86/48/621668648.db2.gz CAJLYTGHQJDQSX-VIFPVBQESA-N 0 0 299.290 2.530 20 5 CFBDRN CC1=NO[C@](C)(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)C1 ZINC000905187352 621713692 /nfs/dbraw/zinc/71/36/92/621713692.db2.gz DXJWPNWFRRNWLC-ZDUSSCGKSA-N 0 0 295.270 2.536 20 5 CFBDRN CC1(CC(=O)Nc2cccc([N+](=O)[O-])c2Cl)COC1 ZINC000905228055 621720028 /nfs/dbraw/zinc/72/00/28/621720028.db2.gz FLVHSAJYODEVDJ-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN CC1(C)CN(Cc2cc(F)ccc2[N+](=O)[O-])[C@@H]2COC[C@@H]21 ZINC000832769191 625510246 /nfs/dbraw/zinc/51/02/46/625510246.db2.gz ZFLXGSQJLHULEX-GXTWGEPZSA-N 0 0 294.326 2.591 20 5 CFBDRN CCCOc1ccc(NCC[N+](=O)[O-])cc1Cl ZINC000905308663 621747014 /nfs/dbraw/zinc/74/70/14/621747014.db2.gz OVMVQFGVLOGBKP-UHFFFAOYSA-N 0 0 258.705 2.817 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)CC(C)=NO1 ZINC000905709676 621825365 /nfs/dbraw/zinc/82/53/65/621825365.db2.gz OOACZIILFHWYQV-AWEZNQCLSA-N 0 0 291.307 2.651 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)[C@H]1CCCO1 ZINC000905709303 621825403 /nfs/dbraw/zinc/82/54/03/621825403.db2.gz JSSKNBWADRBLGH-QMTHXVAHSA-N 0 0 292.335 2.911 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000906318182 621971471 /nfs/dbraw/zinc/97/14/71/621971471.db2.gz VKZZDBSIQXMCCL-SUNKGSAMSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1ccc(C(=O)Nc2nccnc2C2CC2)cc1[N+](=O)[O-] ZINC000906410907 621983726 /nfs/dbraw/zinc/98/37/26/621983726.db2.gz KYALEXYJPCEUBT-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000832950346 625540707 /nfs/dbraw/zinc/54/07/07/625540707.db2.gz XXFSMMVBDNQDAH-BXUZGUMPSA-N 0 0 294.351 2.665 20 5 CFBDRN CC(C)(C)OC(=O)Oc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000907927243 622174455 /nfs/dbraw/zinc/17/44/55/622174455.db2.gz XSRFTYLXDZQYBI-UHFFFAOYSA-N 0 0 297.263 2.680 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC[C@@H]1CC[C@H]2C[C@H]21 ZINC000927487850 622231507 /nfs/dbraw/zinc/23/15/07/622231507.db2.gz ZCZBNHWFUMQKHH-YDHLFZDLSA-N 0 0 288.347 2.690 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000909038144 622300098 /nfs/dbraw/zinc/30/00/98/622300098.db2.gz IRUJEOYUUIMBGK-JOYOIKCWSA-N 0 0 291.303 2.539 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000909083074 622308108 /nfs/dbraw/zinc/30/81/08/622308108.db2.gz TVJBQVBRSJSDJK-UHFFFAOYSA-N 0 0 292.335 2.782 20 5 CFBDRN Cn1cc(COC(=O)C(C)(C)CC(C)(C)C)c([N+](=O)[O-])n1 ZINC000909736589 622348940 /nfs/dbraw/zinc/34/89/40/622348940.db2.gz UTZQNMPKYBAPBL-UHFFFAOYSA-N 0 0 297.355 2.834 20 5 CFBDRN CC(C)OCCOC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027386 622388033 /nfs/dbraw/zinc/38/80/33/622388033.db2.gz KKWPHAKLSUYSQC-KGLIPLIRSA-N 0 0 293.319 2.667 20 5 CFBDRN COCCCCOC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026410 622388069 /nfs/dbraw/zinc/38/80/69/622388069.db2.gz CMRIUARSMPTTTK-UONOGXRCSA-N 0 0 293.319 2.668 20 5 CFBDRN O=C(OCCCCF)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028708 622388551 /nfs/dbraw/zinc/38/85/51/622388551.db2.gz VBGNGQAFJBTRLI-OLZOCXBDSA-N 0 0 281.283 2.991 20 5 CFBDRN CSCCCOC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910029159 622388650 /nfs/dbraw/zinc/38/86/50/622388650.db2.gz XMUMLNDOSFJJPL-CHWSQXEVSA-N 0 0 295.360 2.995 20 5 CFBDRN C[C@@H]1C[C@@H]2C[C@@H]2C[C@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000910082291 622397670 /nfs/dbraw/zinc/39/76/70/622397670.db2.gz NWDNMKVXYBQBKS-OJAKKHQRSA-N 0 0 290.319 2.715 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)OC[C@@H]1CC=CCC1 ZINC000071812814 625563446 /nfs/dbraw/zinc/56/34/46/625563446.db2.gz GIYWRCJBHMFUFK-GFCCVEGCSA-N 0 0 291.303 2.873 20 5 CFBDRN COCC[C@H](C)OC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923961140 622415672 /nfs/dbraw/zinc/41/56/72/622415672.db2.gz HIJGXKWOXWBRCX-JTQLQIEISA-N 0 0 299.298 2.635 20 5 CFBDRN COc1ccc(OC(=O)c2cccc(C)n2)c([N+](=O)[O-])c1 ZINC000071840810 625567888 /nfs/dbraw/zinc/56/78/88/625567888.db2.gz FLFACQJDTBPFOW-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CC[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000911131049 622506946 /nfs/dbraw/zinc/50/69/46/622506946.db2.gz IDMYREVZDPQSNS-CQSZACIVSA-N 0 0 299.330 2.800 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000028425421 622507788 /nfs/dbraw/zinc/50/77/88/622507788.db2.gz JXWPOMICAONVPP-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CC/C=C(/F)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911473700 622522263 /nfs/dbraw/zinc/52/22/63/622522263.db2.gz GMLZCGRDJYURQE-FPYGCLRLSA-N 0 0 288.225 2.753 20 5 CFBDRN CC(C)CCC(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911480430 622522836 /nfs/dbraw/zinc/52/28/36/622522836.db2.gz ZPPFGUYLQOQZSG-UHFFFAOYSA-N 0 0 286.278 2.925 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)C[Si](C)(C)C ZINC000911726065 622541548 /nfs/dbraw/zinc/54/15/48/622541548.db2.gz DOAOURRUBWBKTN-UHFFFAOYSA-N 0 0 296.399 2.553 20 5 CFBDRN Cc1ccc(C(=O)N(C)C[Si](C)(C)C)cc1[N+](=O)[O-] ZINC000911738731 622546129 /nfs/dbraw/zinc/54/61/29/622546129.db2.gz YSPXREYZBFNNEZ-UHFFFAOYSA-N 0 0 280.400 2.853 20 5 CFBDRN Nc1ccc(NC(=O)C=C(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000819899435 622568140 /nfs/dbraw/zinc/56/81/40/622568140.db2.gz NSEGRPOYXDJHCW-UHFFFAOYSA-N 0 0 287.319 2.862 20 5 CFBDRN Nc1ccc(NC(=O)c2ccc(F)c(F)c2)cc1[N+](=O)[O-] ZINC000819893995 622568300 /nfs/dbraw/zinc/56/83/00/622568300.db2.gz YNCAEZTZLCTVKN-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN Cc1ccc(N2CC(CC(F)F)C2)c([N+](=O)[O-])c1 ZINC000819951024 622581386 /nfs/dbraw/zinc/58/13/86/622581386.db2.gz YGCXTUSLGNKJCW-UHFFFAOYSA-N 0 0 256.252 2.995 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc[nH]c1C ZINC000819999692 622594611 /nfs/dbraw/zinc/59/46/11/622594611.db2.gz VGOVTWSBHQDZJF-UHFFFAOYSA-N 0 0 289.291 2.882 20 5 CFBDRN O=C(OCC[C@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])o1 ZINC000820127849 622615081 /nfs/dbraw/zinc/61/50/81/622615081.db2.gz UCJDRPLVDQGNIP-LURJTMIESA-N 0 0 294.090 2.929 20 5 CFBDRN CC(F)(F)C(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000833908472 625582309 /nfs/dbraw/zinc/58/23/09/625582309.db2.gz JXAOGLLXBDULCI-NSHDSACASA-N 0 0 298.289 2.956 20 5 CFBDRN C[C@H]1CCCCN1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000912157093 622638573 /nfs/dbraw/zinc/63/85/73/622638573.db2.gz SJMUIWPXCMQGMX-VIFPVBQESA-N 0 0 297.742 2.767 20 5 CFBDRN C[C@H]1CCCCN1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000912154666 622653025 /nfs/dbraw/zinc/65/30/25/622653025.db2.gz BBVXAZCJXNIJBK-PMDBQALLSA-N 0 0 289.335 2.514 20 5 CFBDRN CC(C)(O)CCOc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912358698 622693643 /nfs/dbraw/zinc/69/36/43/622693643.db2.gz FCMISMCJWHLYJC-UHFFFAOYSA-N 0 0 277.679 2.927 20 5 CFBDRN O=C(OCOc1c(F)cc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000912365913 622700211 /nfs/dbraw/zinc/70/02/11/622700211.db2.gz YADXDKLVWGHXKX-UHFFFAOYSA-N 0 0 289.646 2.677 20 5 CFBDRN C[C@@H]1CN(c2cc(Cl)c(F)cc2[N+](=O)[O-])CCO1 ZINC000820617225 622717578 /nfs/dbraw/zinc/71/75/78/622717578.db2.gz QDDDPEREZQRLKE-SSDOTTSWSA-N 0 0 274.679 2.612 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nnn([C@@H]2C=CCCC2)n1 ZINC000912458336 622719939 /nfs/dbraw/zinc/71/99/39/622719939.db2.gz HBMPREKVCZUHFN-SNVBAGLBSA-N 0 0 271.280 2.530 20 5 CFBDRN C[C@H](F)CCNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000820631498 622720326 /nfs/dbraw/zinc/72/03/26/622720326.db2.gz PXAQKLMNBLZJPV-TVYUQYBPSA-N 0 0 280.299 2.563 20 5 CFBDRN NC(=NOCc1ccc([N+](=O)[O-])c(F)c1)c1ccsc1 ZINC000820701548 622740007 /nfs/dbraw/zinc/74/00/07/622740007.db2.gz SGVRLTXMSSFIJM-UHFFFAOYSA-N 0 0 295.295 2.842 20 5 CFBDRN Cc1ncoc1-c1noc(-c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000820927613 622794617 /nfs/dbraw/zinc/79/46/17/622794617.db2.gz YOHSHKQGEUMINW-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CC[C@H]1C1CC1 ZINC000821141839 622853320 /nfs/dbraw/zinc/85/33/20/622853320.db2.gz ACAHJWXJVRLSGI-ZDUSSCGKSA-N 0 0 292.310 2.677 20 5 CFBDRN Cc1cccc2c(C(=O)OC[C@@H](C)[N+](=O)[O-])coc21 ZINC000821166965 622859201 /nfs/dbraw/zinc/85/92/01/622859201.db2.gz LBQLHJTUCBATFN-SECBINFHSA-N 0 0 263.249 2.563 20 5 CFBDRN CSCCCC(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151190184 622859798 /nfs/dbraw/zinc/85/97/98/622859798.db2.gz JWPDWSLWXZOHSY-UHFFFAOYSA-N 0 0 283.349 2.824 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NOC1CCC1 ZINC000821221744 622873303 /nfs/dbraw/zinc/87/33/03/622873303.db2.gz MCOIYERCDXEYHO-UHFFFAOYSA-N 0 0 282.321 2.531 20 5 CFBDRN O=C(Nc1cc(Cl)c(F)c(Cl)c1)[C@@H]1CC1[N+](=O)[O-] ZINC000840436540 622874355 /nfs/dbraw/zinc/87/43/55/622874355.db2.gz BEYZKSKHPGBPSC-SVGQVSJJSA-N 0 0 293.081 2.736 20 5 CFBDRN CC(C)[C@@H]1C[N@H+](C)CCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000821332237 622896495 /nfs/dbraw/zinc/89/64/95/622896495.db2.gz MFDBNSLAORASHP-HNNXBMFYSA-N 0 0 295.358 2.506 20 5 CFBDRN Cc1cncc(CNC(=O)c2c(C)ccc([N+](=O)[O-])c2C)c1 ZINC000821383166 622910843 /nfs/dbraw/zinc/91/08/43/622910843.db2.gz ABCIFGLLXMYULW-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(/C=C\[C@@H]1CCCO1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000821409420 622917225 /nfs/dbraw/zinc/91/72/25/622917225.db2.gz GAYAMJORMNEPAG-GFVADAIESA-N 0 0 295.266 2.512 20 5 CFBDRN CC(C)(CCC(=O)NCCC[C@@H]1C=CCC1)[N+](=O)[O-] ZINC000834322179 625605645 /nfs/dbraw/zinc/60/56/45/625605645.db2.gz MSQQZAHSGGLTIN-GFCCVEGCSA-N 0 0 268.357 2.685 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C[C@H](C)SC ZINC000156300304 622918180 /nfs/dbraw/zinc/91/81/80/622918180.db2.gz HHRYFMPQGNBOLI-QMMMGPOBSA-N 0 0 285.321 2.650 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000821428273 622921021 /nfs/dbraw/zinc/92/10/21/622921021.db2.gz HJFTVTCRRQQPMR-DZGBDDFRSA-N 0 0 288.347 2.861 20 5 CFBDRN Cc1ccc(NC(=O)N2C[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000821508348 622936446 /nfs/dbraw/zinc/93/64/46/622936446.db2.gz TVZSHDOUIQBDTN-ZJUUUORDSA-N 0 0 263.297 2.775 20 5 CFBDRN Cc1c(CC(=O)O[C@H](C)CC(C)(C)O)cccc1[N+](=O)[O-] ZINC000821591794 622950543 /nfs/dbraw/zinc/95/05/43/622950543.db2.gz OPEHGOYWJXILOY-SNVBAGLBSA-N 0 0 295.335 2.538 20 5 CFBDRN Cc1cccc(C(=O)Nc2cnnc(Cl)c2)c1[N+](=O)[O-] ZINC000821660797 622960491 /nfs/dbraw/zinc/96/04/91/622960491.db2.gz GDUPCFZLGIZBGT-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NCCC[C@H]1C=CCC1 ZINC000834326608 625609681 /nfs/dbraw/zinc/60/96/81/625609681.db2.gz HYNKIEBKUUEVKD-NSHDSACASA-N 0 0 289.335 2.653 20 5 CFBDRN Cc1ccc(OC(=O)[C@H](C)C2CCOCC2)c([N+](=O)[O-])c1 ZINC000165119219 622980942 /nfs/dbraw/zinc/98/09/42/622980942.db2.gz ZIFUEXDCLDGLIX-LLVKDONJSA-N 0 0 293.319 2.871 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1CC1 ZINC000323805311 622987565 /nfs/dbraw/zinc/98/75/65/622987565.db2.gz HPLMAYSNKZVODC-SNVBAGLBSA-N 0 0 297.742 2.749 20 5 CFBDRN CC(C)OC(=O)c1ccc(Br)c([N+](=O)[O-])c1 ZINC000057475557 623002076 /nfs/dbraw/zinc/00/20/76/623002076.db2.gz PCTRPXMUHYCQFR-UHFFFAOYSA-N 0 0 288.097 2.923 20 5 CFBDRN CO[C@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)CC(C)C ZINC000842080853 623019848 /nfs/dbraw/zinc/01/98/48/623019848.db2.gz JFYSCPOZDAQUCN-AWEZNQCLSA-N 0 0 295.335 2.742 20 5 CFBDRN O=C(OC[C@@H]1CCCO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000057973509 623022616 /nfs/dbraw/zinc/02/26/16/623022616.db2.gz OIEZDNLRCDNGTP-VIFPVBQESA-N 0 0 285.683 2.584 20 5 CFBDRN Cc1cccc(C(=O)OC[C@]2(C)C[C@H]2F)c1[N+](=O)[O-] ZINC000822092498 623033598 /nfs/dbraw/zinc/03/35/98/623033598.db2.gz WCHBYSZKKLYLLL-MFKMUULPSA-N 0 0 267.256 2.808 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@]2(C)C[C@@H]2F)c1[N+](=O)[O-] ZINC000822092496 623033962 /nfs/dbraw/zinc/03/39/62/623033962.db2.gz WCHBYSZKKLYLLL-GXFFZTMASA-N 0 0 267.256 2.808 20 5 CFBDRN Nc1cccc(-c2noc(-c3ccc([N+](=O)[O-])s3)n2)c1 ZINC000225268303 623034506 /nfs/dbraw/zinc/03/45/06/623034506.db2.gz CRWTVPOJSYFULC-UHFFFAOYSA-N 0 0 288.288 2.956 20 5 CFBDRN Cc1cc(C(=O)OC[C@@]2(C)C[C@H]2F)cc([N+](=O)[O-])c1 ZINC000822098517 623036278 /nfs/dbraw/zinc/03/62/78/623036278.db2.gz HOVIWDSICAMUCF-DGCLKSJQSA-N 0 0 267.256 2.808 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H](CCO)C2)ccc1Cl ZINC000225381185 623037115 /nfs/dbraw/zinc/03/71/15/623037115.db2.gz VQTHWAPWJOAQGR-LLVKDONJSA-N 0 0 298.770 2.843 20 5 CFBDRN CC(C)(CCC(=O)NCC1CCCCC1)[N+](=O)[O-] ZINC000822141346 623048767 /nfs/dbraw/zinc/04/87/67/623048767.db2.gz BOLHLLLXRUHDED-UHFFFAOYSA-N 0 0 256.346 2.518 20 5 CFBDRN CNc1c(C(=O)OC[C@]2(C)C[C@@H]2F)cccc1[N+](=O)[O-] ZINC000822131347 623045931 /nfs/dbraw/zinc/04/59/31/623045931.db2.gz VKPZJFBFEBIRSY-GWCFXTLKSA-N 0 0 282.271 2.541 20 5 CFBDRN CC1(C)OC[C@H](CNc2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000225873959 623051349 /nfs/dbraw/zinc/05/13/49/623051349.db2.gz KQKIKRQQIIKRMR-VIFPVBQESA-N 0 0 286.715 2.812 20 5 CFBDRN CC1(C)OC[C@@H](CNc2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000225873945 623051587 /nfs/dbraw/zinc/05/15/87/623051587.db2.gz KQKIKRQQIIKRMR-SECBINFHSA-N 0 0 286.715 2.812 20 5 CFBDRN C[C@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])Cc2ccccc21 ZINC000822219560 623059200 /nfs/dbraw/zinc/05/92/00/623059200.db2.gz JSAKKTLQISMPSV-LBPRGKRZSA-N 0 0 290.363 2.968 20 5 CFBDRN CCOc1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000822241607 623061693 /nfs/dbraw/zinc/06/16/93/623061693.db2.gz CFHPMCTWTCGAEF-UHFFFAOYSA-N 0 0 281.308 2.826 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@@H]1c1cccnc1)[N+](=O)[O-] ZINC000822282225 623070301 /nfs/dbraw/zinc/07/03/01/623070301.db2.gz WEIOJONYKDOBOK-CYBMUJFWSA-N 0 0 291.351 2.581 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822415773 623098694 /nfs/dbraw/zinc/09/86/94/623098694.db2.gz FTOHFJYWUXROQO-WDEREUQCSA-N 0 0 256.346 2.517 20 5 CFBDRN Cc1cc(Cl)c(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc1C ZINC000840472586 623135281 /nfs/dbraw/zinc/13/52/81/623135281.db2.gz MYPYIDAMJXLTGG-LDYMZIIASA-N 0 0 268.700 2.561 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C(F)=C1CCC1 ZINC000822640311 623140957 /nfs/dbraw/zinc/14/09/57/623140957.db2.gz SHYPWLOFGQCLFQ-UHFFFAOYSA-N 0 0 280.255 2.949 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NOCC1CCC1 ZINC000822651249 623143174 /nfs/dbraw/zinc/14/31/74/623143174.db2.gz WFYNWAXNZFKFCI-UHFFFAOYSA-N 0 0 279.296 2.756 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000060797243 623157344 /nfs/dbraw/zinc/15/73/44/623157344.db2.gz HFIMYMAOFGPTHQ-UHFFFAOYSA-N 0 0 261.233 2.587 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1CN1CC[C@H]2C[C@H]21 ZINC000822790539 623175404 /nfs/dbraw/zinc/17/54/04/623175404.db2.gz HZRBHIIBBLKYCT-GZMMTYOYSA-N 0 0 297.152 2.952 20 5 CFBDRN O=C(OCc1cccnc1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000170785348 623183410 /nfs/dbraw/zinc/18/34/10/623183410.db2.gz GGSPOZOAIJCPSM-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCC[C@H]2C[C@H]21 ZINC000822856765 623189798 /nfs/dbraw/zinc/18/97/98/623189798.db2.gz KMTMDIMQBHYBQO-WCQYABFASA-N 0 0 274.320 2.836 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(N)c([N+](=O)[O-])c1)c1ccsc1 ZINC000061373905 623206358 /nfs/dbraw/zinc/20/63/58/623206358.db2.gz RXBYFNDVLRTJAG-MRVPVSSYSA-N 0 0 291.332 2.730 20 5 CFBDRN C[C@H](CCNc1cc(Cl)ccc1[N+](=O)[O-])[S@@](C)=O ZINC000229824700 623226383 /nfs/dbraw/zinc/22/63/83/623226383.db2.gz LTHMJUIKGCYSBM-NTCNTBNZSA-N 0 0 290.772 2.817 20 5 CFBDRN CCOCCN(CC)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000171635343 623236159 /nfs/dbraw/zinc/23/61/59/623236159.db2.gz KFIQMFJWZWJQIO-UHFFFAOYSA-N 0 0 270.304 2.592 20 5 CFBDRN O=Cc1c[nH]nc1C=Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000172680751 623297984 /nfs/dbraw/zinc/29/79/84/623297984.db2.gz ZFYHZIOIZKYCHH-HNQUOIGGSA-N 0 0 277.667 2.954 20 5 CFBDRN Cn1nccc1CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000230789384 623298274 /nfs/dbraw/zinc/29/82/74/623298274.db2.gz HHYXRHWDHNCCOL-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN Cc1nn(C)cc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000172758942 623306359 /nfs/dbraw/zinc/30/63/59/623306359.db2.gz ADTZLTDBGOZTBT-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000230917809 623309858 /nfs/dbraw/zinc/30/98/58/623309858.db2.gz GYLMJIMHMDGLEC-HTQZYQBOSA-N 0 0 294.336 2.827 20 5 CFBDRN C[C@@H](SCc1cc(F)ccc1[N+](=O)[O-])[C@H](C)O ZINC000231168374 623330095 /nfs/dbraw/zinc/33/00/95/623330095.db2.gz RFXROPCRNYUPER-JGVFFNPUSA-N 0 0 259.302 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cnc(Cl)cn2)c(F)c1 ZINC000231290092 623337205 /nfs/dbraw/zinc/33/72/05/623337205.db2.gz SHRFVBUPDJROLK-UHFFFAOYSA-N 0 0 283.646 2.756 20 5 CFBDRN Cc1cnc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000231639293 623361500 /nfs/dbraw/zinc/36/15/00/623361500.db2.gz YZZXHTAQSOWBKB-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN C[C@H](C(=O)Oc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000173719890 623363956 /nfs/dbraw/zinc/36/39/56/623363956.db2.gz CCFICWOWLMJKSM-JTQLQIEISA-N 0 0 272.260 2.699 20 5 CFBDRN CC1(C)OC[C@H](CNc2ccc(Cl)cc2[N+](=O)[O-])O1 ZINC000231676884 623364942 /nfs/dbraw/zinc/36/49/42/623364942.db2.gz SQTRWDKEYZEBGJ-VIFPVBQESA-N 0 0 286.715 2.812 20 5 CFBDRN CN(C(=O)CCc1cc(F)ccc1[N+](=O)[O-])C(C)(C)C ZINC000823659100 623371569 /nfs/dbraw/zinc/37/15/69/623371569.db2.gz MAIPRARHXBRIJH-UHFFFAOYSA-N 0 0 282.315 2.923 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)c1cccnc1 ZINC000174022869 623381376 /nfs/dbraw/zinc/38/13/76/623381376.db2.gz KVJFCSZIOHNDSC-SECBINFHSA-N 0 0 289.266 2.871 20 5 CFBDRN CC(C)(C)OCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000063894748 623399761 /nfs/dbraw/zinc/39/97/61/623399761.db2.gz KZAJCGCKIYDMQG-UHFFFAOYSA-N 0 0 267.281 2.567 20 5 CFBDRN Cc1cnc(COC(=O)c2cc(Cl)ccc2[N+](=O)[O-])o1 ZINC000174272198 623404409 /nfs/dbraw/zinc/40/44/09/623404409.db2.gz QYESGWSDWAAEFK-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1cnc(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000174262660 623406450 /nfs/dbraw/zinc/40/64/50/623406450.db2.gz MZKUYTJWMGUZAS-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CC(C)[C@H](NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000823913899 623417869 /nfs/dbraw/zinc/41/78/69/623417869.db2.gz HNSZZRDRCXNCKI-ZDUSSCGKSA-N 0 0 292.339 2.733 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)[C@H](C)C1 ZINC000823921165 623419731 /nfs/dbraw/zinc/41/97/31/623419731.db2.gz PMDJLRJCPHQXGV-NXEZZACHSA-N 0 0 292.339 2.829 20 5 CFBDRN C[C@@]1(NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC=CCC1 ZINC000823927316 623420905 /nfs/dbraw/zinc/42/09/05/623420905.db2.gz SLEOQRFXTDXTTO-CQSZACIVSA-N 0 0 290.323 2.797 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823968748 623427530 /nfs/dbraw/zinc/42/75/30/623427530.db2.gz ZUDOLVJGWFPFSU-GXSJLCMTSA-N 0 0 292.339 2.972 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC[C@@H]1C ZINC000823973752 623428234 /nfs/dbraw/zinc/42/82/34/623428234.db2.gz LGJMCKCUFDVCNL-UWVGGRQHSA-N 0 0 292.339 2.687 20 5 CFBDRN Nc1ccc(NC(=O)NCCC2=CCCC2)cc1[N+](=O)[O-] ZINC000823973701 623428272 /nfs/dbraw/zinc/42/82/72/623428272.db2.gz KLABGSHMZXQZHQ-UHFFFAOYSA-N 0 0 290.323 2.799 20 5 CFBDRN CCCC1(CNC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000823981530 623428858 /nfs/dbraw/zinc/42/88/58/623428858.db2.gz ZIOWPIGPBYFXOV-UHFFFAOYSA-N 0 0 292.339 2.879 20 5 CFBDRN CCN(CC(C)C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824002874 623430597 /nfs/dbraw/zinc/43/05/97/623430597.db2.gz HZXCETHTGJTLCG-UHFFFAOYSA-N 0 0 280.328 2.687 20 5 CFBDRN CC(C)CCN(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824003264 623430814 /nfs/dbraw/zinc/43/08/14/623430814.db2.gz VMOSKZMRBRVKEA-UHFFFAOYSA-N 0 0 280.328 2.687 20 5 CFBDRN CCCN(CCC)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824008619 623431442 /nfs/dbraw/zinc/43/14/42/623431442.db2.gz RTJRLQIZEQMAOF-UHFFFAOYSA-N 0 0 280.328 2.831 20 5 CFBDRN CCn1cc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000035239317 623434740 /nfs/dbraw/zinc/43/47/40/623434740.db2.gz DPGDTYFRISFYQP-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN Cc1cnc(CCCC(=O)Oc2cccc([N+](=O)[O-])c2)o1 ZINC000824066503 623444515 /nfs/dbraw/zinc/44/45/15/623444515.db2.gz TVAJCIMNMJAQNB-UHFFFAOYSA-N 0 0 290.275 2.820 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000088312439 623450338 /nfs/dbraw/zinc/45/03/38/623450338.db2.gz ZDJLWLUVSTUSLX-NXEZZACHSA-N 0 0 279.340 2.732 20 5 CFBDRN Nc1ccc(C(=O)OCc2ccsc2)cc1[N+](=O)[O-] ZINC000174641621 623453301 /nfs/dbraw/zinc/45/33/01/623453301.db2.gz XOQIVHLJHUQQQW-UHFFFAOYSA-N 0 0 278.289 2.596 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CCC[C@H](F)C1 ZINC000824151847 623461494 /nfs/dbraw/zinc/46/14/94/623461494.db2.gz KFDOMQKQEQRKGM-LBPRGKRZSA-N 0 0 298.289 2.627 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2cnnn2-c2ccccc2)c1 ZINC000808013019 623475471 /nfs/dbraw/zinc/47/54/71/623475471.db2.gz WMIFVOSZUOXLER-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN CC1(NC(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000824253463 623477246 /nfs/dbraw/zinc/47/72/46/623477246.db2.gz CPELUKQLDGURKJ-KGLIPLIRSA-N 0 0 286.331 2.923 20 5 CFBDRN C[C@H]1CCN(C(=O)CCC(C)(C)[N+](=O)[O-])CC1(C)C ZINC000828460946 623493230 /nfs/dbraw/zinc/49/32/30/623493230.db2.gz FVSXYWDLHBLSRT-NSHDSACASA-N 0 0 270.373 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1COC(C)(C)C1 ZINC000824364984 623495770 /nfs/dbraw/zinc/49/57/70/623495770.db2.gz DEPDVABLIUCEQK-NSHDSACASA-N 0 0 292.335 2.509 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCC(F)(F)F ZINC000088805238 623506265 /nfs/dbraw/zinc/50/62/65/623506265.db2.gz CKFULOCILCVTIN-UHFFFAOYSA-N 0 0 277.198 2.633 20 5 CFBDRN COc1cccc(OCc2ccccc2[N+](=O)[O-])c1C=O ZINC000913609019 623507792 /nfs/dbraw/zinc/50/77/92/623507792.db2.gz UTBSSCVHAYFGGH-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN COc1ccc(COC(=O)CC2(C)CC2)cc1[N+](=O)[O-] ZINC000758828273 623516032 /nfs/dbraw/zinc/51/60/32/623516032.db2.gz MASHVUQPMLPXGZ-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN[C@@H]1CCn2ccnc21 ZINC000824526004 623516185 /nfs/dbraw/zinc/51/61/85/623516185.db2.gz HAPPNJOHONLUAI-LLVKDONJSA-N 0 0 292.726 2.679 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N[C@@H]1C=CCC1 ZINC000824964856 623595834 /nfs/dbraw/zinc/59/58/34/623595834.db2.gz GLOLKZXSGMPELH-GFCCVEGCSA-N 0 0 278.283 2.501 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)n1C ZINC000030794768 623658517 /nfs/dbraw/zinc/65/85/17/623658517.db2.gz MGDMPZNLHYCARV-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN CC(C(=O)NCc1ccc([N+](=O)[O-])cc1)=C1CCC1 ZINC000825367807 623680825 /nfs/dbraw/zinc/68/08/25/623680825.db2.gz DQJRYMDLYNISIG-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN CC/C=C(\F)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825641083 623729093 /nfs/dbraw/zinc/72/90/93/623729093.db2.gz QFKYJOMIISPNOJ-WCIBSUBMSA-N 0 0 266.272 2.783 20 5 CFBDRN CSC(C)(C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825640866 623729415 /nfs/dbraw/zinc/72/94/15/623729415.db2.gz MJQUOBVSFLCLKI-UHFFFAOYSA-N 0 0 282.365 2.661 20 5 CFBDRN Cc1ccc(CNC(=O)CCC2CC2)cc1[N+](=O)[O-] ZINC000825648354 623730364 /nfs/dbraw/zinc/73/03/64/623730364.db2.gz QMFLYQAEPSMFAA-UHFFFAOYSA-N 0 0 262.309 2.710 20 5 CFBDRN Cc1ccc(CNC(=O)CCCOC(C)C)cc1[N+](=O)[O-] ZINC000825648662 623731391 /nfs/dbraw/zinc/73/13/91/623731391.db2.gz XAQTYTORPBESDB-UHFFFAOYSA-N 0 0 294.351 2.725 20 5 CFBDRN O=C(NCCc1ccco1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152691747 623757283 /nfs/dbraw/zinc/75/72/83/623757283.db2.gz YLLFKRCBVARVPW-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN O=C(NCc1cscn1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152742137 623761181 /nfs/dbraw/zinc/76/11/81/623761181.db2.gz LALCXENDHICESP-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCCO1 ZINC000152757769 623764561 /nfs/dbraw/zinc/76/45/61/623764561.db2.gz VLLKNNZHKDELSQ-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CSc2ccccc21)[N+](=O)[O-] ZINC000825915730 623769045 /nfs/dbraw/zinc/76/90/45/623769045.db2.gz YKBBUAFWISUOJV-LLVKDONJSA-N 0 0 294.376 2.785 20 5 CFBDRN C[C@@H]1CCC[C@H](C)CN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826071425 623790479 /nfs/dbraw/zinc/79/04/79/623790479.db2.gz GHYAIQKKOQWCNB-NWDGAFQWSA-N 0 0 270.373 2.859 20 5 CFBDRN Cc1ccc(CC[C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])o1 ZINC000826137292 623797037 /nfs/dbraw/zinc/79/70/37/623797037.db2.gz OTKYFVBRMJRUNF-LLVKDONJSA-N 0 0 296.367 2.861 20 5 CFBDRN CC(C)C[C@@H]1CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826548905 623810916 /nfs/dbraw/zinc/81/09/16/623810916.db2.gz ZPIORFGWYVJHMT-LBPRGKRZSA-N 0 0 270.373 2.717 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)O[C@@H]1COc2ccccc21 ZINC000153128266 623855716 /nfs/dbraw/zinc/85/57/16/623855716.db2.gz FLQOKBHIBXGMMY-OAHLLOKOSA-N 0 0 299.282 2.814 20 5 CFBDRN C[C@@H]1CC2(CN1c1ccc(N)cc1[N+](=O)[O-])CCOCC2 ZINC000826768099 623869484 /nfs/dbraw/zinc/86/94/84/623869484.db2.gz YUGDTWZBZUHTGB-LLVKDONJSA-N 0 0 291.351 2.572 20 5 CFBDRN C[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])CC2(CCC2)O1 ZINC000826790903 623877431 /nfs/dbraw/zinc/87/74/31/623877431.db2.gz JEEFHDGOIROIGR-SNVBAGLBSA-N 0 0 280.299 2.882 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2CC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000762168141 623880166 /nfs/dbraw/zinc/88/01/66/623880166.db2.gz AJDUPWDVKWSVIV-IONNQARKSA-N 0 0 285.321 2.689 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000826810617 623882761 /nfs/dbraw/zinc/88/27/61/623882761.db2.gz ZKJOMXOIMCIEET-BDAKNGLRSA-N 0 0 278.337 2.548 20 5 CFBDRN COc1cc(C(=O)NCCC2CCC2)c([N+](=O)[O-])cc1F ZINC000826818005 623883700 /nfs/dbraw/zinc/88/37/00/623883700.db2.gz IVFWGOPRGWUMMI-UHFFFAOYSA-N 0 0 296.298 2.663 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](C)OC3(CCCC3)C2)n1 ZINC000826816697 623884378 /nfs/dbraw/zinc/88/43/78/623884378.db2.gz HWVKZBBQSBNCMC-GFCCVEGCSA-N 0 0 291.351 2.836 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826860346 623896737 /nfs/dbraw/zinc/89/67/37/623896737.db2.gz CBJHKYLHNCXRFI-MNOVXSKESA-N 0 0 256.346 2.517 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCCCC1(C)C)[N+](=O)[O-] ZINC000826870582 623900033 /nfs/dbraw/zinc/90/00/33/623900033.db2.gz UHKLKPNYBJVLIR-LLVKDONJSA-N 0 0 270.373 2.907 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)CSC(C)C ZINC000914590354 623905379 /nfs/dbraw/zinc/90/53/79/623905379.db2.gz LJQJXWMYTZBXKM-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NCC1CC=CC1 ZINC000827077754 623935835 /nfs/dbraw/zinc/93/58/35/623935835.db2.gz FBMUFSLIPACDDZ-UHFFFAOYSA-N 0 0 292.310 2.749 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000763553683 623959913 /nfs/dbraw/zinc/95/99/13/623959913.db2.gz NIENIKXCDMMJAI-LLVKDONJSA-N 0 0 280.324 2.985 20 5 CFBDRN COc1cc(C(=O)OC2CC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000835728169 624029443 /nfs/dbraw/zinc/02/94/43/624029443.db2.gz HCGSIBOPXNHTKB-UHFFFAOYSA-N 0 0 277.276 2.703 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)N1CCC[C@H](CF)C1 ZINC000888278324 624053281 /nfs/dbraw/zinc/05/32/81/624053281.db2.gz QBPPVUYPSSCHHS-SECBINFHSA-N 0 0 299.277 2.947 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)N1CC[C@@H](CF)C1 ZINC000888278235 624053549 /nfs/dbraw/zinc/05/35/49/624053549.db2.gz NIKMIBJBBXNENM-QMMMGPOBSA-N 0 0 285.250 2.557 20 5 CFBDRN CC/C=C(\C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000193591831 624058360 /nfs/dbraw/zinc/05/83/60/624058360.db2.gz FWXMXBWKGBLOIZ-BJMVGYQFSA-N 0 0 278.308 2.576 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCCCCCC1 ZINC000836923586 624074364 /nfs/dbraw/zinc/07/43/64/624074364.db2.gz ADIQVBKLTNJPSE-UHFFFAOYSA-N 0 0 265.313 2.719 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2CC[C@H](C3CC3)O2)c1 ZINC000915602536 624083078 /nfs/dbraw/zinc/08/30/78/624083078.db2.gz AQPUQJZKJIJOLB-ZIAGYGMSSA-N 0 0 263.293 2.931 20 5 CFBDRN O=C1c2ccc([N+](=O)[O-])cc2C(=O)N1C1CC2(CCC2)C1 ZINC000915664155 624089809 /nfs/dbraw/zinc/08/98/09/624089809.db2.gz YIHXPOHGAKQBPD-UHFFFAOYSA-N 0 0 286.287 2.524 20 5 CFBDRN CC(F)(F)CCC(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000915801241 624111573 /nfs/dbraw/zinc/11/15/73/624111573.db2.gz RXNNGJKOXWDTMD-UHFFFAOYSA-N 0 0 259.208 2.936 20 5 CFBDRN CC[C@H](C)n1nc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1C ZINC000827851544 624111875 /nfs/dbraw/zinc/11/18/75/624111875.db2.gz DXUCVLQFKOYPTK-JTQLQIEISA-N 0 0 296.371 2.937 20 5 CFBDRN CCn1nccc1CNCc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000827955175 624138384 /nfs/dbraw/zinc/13/83/84/624138384.db2.gz AHLPBRPCJOYVAA-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN Cc1ncsc1COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000111956304 624178612 /nfs/dbraw/zinc/17/86/12/624178612.db2.gz SNSYRFBKVIKJRZ-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN CCCC[C@H](CC)C(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000072679465 625707124 /nfs/dbraw/zinc/70/71/24/625707124.db2.gz BRIDREMXSNYSNW-LBPRGKRZSA-N 0 0 297.355 2.859 20 5 CFBDRN CCCONC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000828301721 624225131 /nfs/dbraw/zinc/22/51/31/624225131.db2.gz MVQFDWUJIQUXRP-UHFFFAOYSA-N 0 0 293.323 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)c2ccc(F)cc2)c(F)c1 ZINC000153907308 624229297 /nfs/dbraw/zinc/22/92/97/624229297.db2.gz VOWUBGZCLQSWEW-ZDUSSCGKSA-N 0 0 295.241 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)c2ccc(F)cc2)c(F)c1 ZINC000153907191 624229340 /nfs/dbraw/zinc/22/93/40/624229340.db2.gz VOWUBGZCLQSWEW-CYBMUJFWSA-N 0 0 295.241 2.985 20 5 CFBDRN CCC[C@@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])OC ZINC000828385168 624236258 /nfs/dbraw/zinc/23/62/58/624236258.db2.gz WIARWLKLOUABJU-VIFPVBQESA-N 0 0 283.284 2.730 20 5 CFBDRN COC[C@@]1(C)CCN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000828461045 624250617 /nfs/dbraw/zinc/25/06/17/624250617.db2.gz IPUISLNFJZZRCQ-AWEZNQCLSA-N 0 0 298.314 2.605 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cccc(C)c1[N+](=O)[O-])C(C)C ZINC000828487922 624255776 /nfs/dbraw/zinc/25/57/76/624255776.db2.gz NFJQPSZKUOMYKT-ZDUSSCGKSA-N 0 0 279.292 2.674 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000916616477 624264753 /nfs/dbraw/zinc/26/47/53/624264753.db2.gz LWCAHJCCEORGAR-IUCAKERBSA-N 0 0 283.353 2.564 20 5 CFBDRN Cc1c(C(=O)OCCC[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000828542319 624266102 /nfs/dbraw/zinc/26/61/02/624266102.db2.gz LKMUGQVTTFSROU-GFCCVEGCSA-N 0 0 293.319 2.877 20 5 CFBDRN CCN(CC)C(=O)OCOc1ccc([N+](=O)[O-])cc1F ZINC000916701058 624279135 /nfs/dbraw/zinc/27/91/35/624279135.db2.gz TUNNPGWRRFAUAJ-UHFFFAOYSA-N 0 0 286.259 2.549 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCc2cnc(C3CC3)o2)c1 ZINC000916820001 624286677 /nfs/dbraw/zinc/28/66/77/624286677.db2.gz QOCPUURRUXBLQA-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN COC(=O)/C=C\c1ccc(N[C@@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000828693197 624287352 /nfs/dbraw/zinc/28/73/52/624287352.db2.gz OVMISOOJOFCFMX-PENHIPQLSA-N 0 0 290.319 2.991 20 5 CFBDRN CCc1ncc(COc2ccc([N+](=O)[O-])cc2OC)o1 ZINC000916841136 624287698 /nfs/dbraw/zinc/28/76/98/624287698.db2.gz BGOUIEKKGBXDML-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H](C)CC(C)(C)O ZINC000828711449 624289639 /nfs/dbraw/zinc/28/96/39/624289639.db2.gz DYGYLLCPDVEOIS-SECBINFHSA-N 0 0 280.324 2.759 20 5 CFBDRN CC(C)(C)n1cnnc1SCc1cccnc1[N+](=O)[O-] ZINC000916883636 624290246 /nfs/dbraw/zinc/29/02/46/624290246.db2.gz RABZPYRQFWMHIW-UHFFFAOYSA-N 0 0 293.352 2.629 20 5 CFBDRN CC(C)CNC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000916986496 624296474 /nfs/dbraw/zinc/29/64/74/624296474.db2.gz ZNCVMOMMJDBNMM-UHFFFAOYSA-N 0 0 255.249 2.511 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000828766779 624297702 /nfs/dbraw/zinc/29/77/02/624297702.db2.gz LBSYDUDZLNLREG-JTQLQIEISA-N 0 0 297.282 2.707 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000828842270 624311492 /nfs/dbraw/zinc/31/14/92/624311492.db2.gz PZRJAODWKAFLMX-SNVBAGLBSA-N 0 0 297.282 2.707 20 5 CFBDRN CC(C)(CO)CSCc1ccc([N+](=O)[O-])cc1 ZINC000917350157 624334720 /nfs/dbraw/zinc/33/47/20/624334720.db2.gz BYUKLWUVIDGDFC-UHFFFAOYSA-N 0 0 255.339 2.847 20 5 CFBDRN O=C(OCOc1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC000917218031 624324408 /nfs/dbraw/zinc/32/44/08/624324408.db2.gz QFTFZCWDWUBQKD-UHFFFAOYSA-N 0 0 271.656 2.538 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](OC)[C@H](C)C1 ZINC000828903324 624325846 /nfs/dbraw/zinc/32/58/46/624325846.db2.gz GSLDGLKGKNUTCV-IAQYHMDHSA-N 0 0 294.351 2.602 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C[C@H]1C ZINC000828905007 624326877 /nfs/dbraw/zinc/32/68/77/624326877.db2.gz SDXCARCGWKHXFG-BXUZGUMPSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000828904457 624327042 /nfs/dbraw/zinc/32/70/42/624327042.db2.gz OIOIFHRGGULSIG-ZANVPECISA-N 0 0 268.288 2.595 20 5 CFBDRN O=C(OC[C@@H]1CCCO1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000917263367 624327739 /nfs/dbraw/zinc/32/77/39/624327739.db2.gz CIAXNABVFLHRKI-NSHDSACASA-N 0 0 291.259 2.677 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])C(C)C ZINC000828910392 624328885 /nfs/dbraw/zinc/32/88/85/624328885.db2.gz MPRXXODGMUXNKE-ZDUSSCGKSA-N 0 0 297.282 2.813 20 5 CFBDRN CC(=O)[C@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000828918096 624330372 /nfs/dbraw/zinc/33/03/72/624330372.db2.gz LSMXZOGWRGOJGE-OAHLLOKOSA-N 0 0 293.319 2.684 20 5 CFBDRN Cc1nc2c(cnn2C)c(Oc2cc([N+](=O)[O-])ccc2C)n1 ZINC000917388420 624338070 /nfs/dbraw/zinc/33/80/70/624338070.db2.gz DHQZITNOTOIGPU-UHFFFAOYSA-N 0 0 299.290 2.681 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@H]2CC[C@@H](C3CC3)O2)n1 ZINC000917441917 624343266 /nfs/dbraw/zinc/34/32/66/624343266.db2.gz LSKFOTKENNPTNV-OLZOCXBDSA-N 0 0 292.335 2.943 20 5 CFBDRN Cc1nn(CN(C)Cc2cccc(C)c2)c(C)c1[N+](=O)[O-] ZINC000917482594 624346381 /nfs/dbraw/zinc/34/63/81/624346381.db2.gz KHUPJQSZEBYLDZ-UHFFFAOYSA-N 0 0 288.351 2.806 20 5 CFBDRN O=C1N[C@@H](c2cccc([N+](=O)[O-])c2)Nc2cc(F)ccc21 ZINC000917499630 624347183 /nfs/dbraw/zinc/34/71/83/624347183.db2.gz KIMAQLPIYVXVQZ-ZDUSSCGKSA-N 0 0 287.250 2.588 20 5 CFBDRN CC(=O)[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000829050689 624360188 /nfs/dbraw/zinc/36/01/88/624360188.db2.gz ABWSCWQBSGZQRW-QMTHXVAHSA-N 0 0 293.319 2.855 20 5 CFBDRN C[C@H]1CCC[C@@H](C2CN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)C1 ZINC000829139625 624384204 /nfs/dbraw/zinc/38/42/04/624384204.db2.gz REOUCCCFXVDPFZ-WDEREUQCSA-N 0 0 291.351 2.821 20 5 CFBDRN O=C(C=C1CCCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000917792525 624384995 /nfs/dbraw/zinc/38/49/95/624384995.db2.gz AEQNEMZITSGQBR-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@H]2CC[C@H](C3CC3)O2)c1C ZINC000917836673 624387642 /nfs/dbraw/zinc/38/76/42/624387642.db2.gz JJTTWXODIMWLJX-TZMCWYRMSA-N 0 0 292.335 2.943 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1)[C@@H](CO)CC(C)(C)C ZINC000829159783 624389444 /nfs/dbraw/zinc/38/94/44/624389444.db2.gz XPFASPITXVVXQT-CYBMUJFWSA-N 0 0 266.341 2.828 20 5 CFBDRN CN(c1cccc(F)c1[N+](=O)[O-])[C@H](CO)CC(C)(C)C ZINC000829159690 624389480 /nfs/dbraw/zinc/38/94/80/624389480.db2.gz WNGQTILIVGXPML-JTQLQIEISA-N 0 0 284.331 2.967 20 5 CFBDRN COc1ccc(CNC(=O)C=C2CCCC2)cc1[N+](=O)[O-] ZINC000917847619 624390213 /nfs/dbraw/zinc/39/02/13/624390213.db2.gz UOOOCHXUSYMVQU-UHFFFAOYSA-N 0 0 290.319 2.720 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])c(F)cc1F)C1(Cl)CC1 ZINC000917830522 624390289 /nfs/dbraw/zinc/39/02/89/624390289.db2.gz ZUNSPUGWPYWYSD-UHFFFAOYSA-N 0 0 291.637 2.592 20 5 CFBDRN CCCc1ncc(CNc2cc(N)ccc2[N+](=O)[O-])o1 ZINC000829219429 624402690 /nfs/dbraw/zinc/40/26/90/624402690.db2.gz NLGULBJJMXOVSS-UHFFFAOYSA-N 0 0 276.296 2.730 20 5 CFBDRN CC(F)(F)CCCn1cc([N+](=O)[O-])nc1Br ZINC000918087842 624414896 /nfs/dbraw/zinc/41/48/96/624414896.db2.gz NPODDPPDNHSDJS-UHFFFAOYSA-N 0 0 298.087 2.989 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCC[C@H]2CCOC2)c1 ZINC000829346169 624423359 /nfs/dbraw/zinc/42/33/59/624423359.db2.gz ZTZBDQBOMBZVLA-JTQLQIEISA-N 0 0 298.314 2.971 20 5 CFBDRN O=C(Cn1ccnc1[N+](=O)[O-])C12CC3CC(CC(C3)C1)C2 ZINC000918335309 624431850 /nfs/dbraw/zinc/43/18/50/624431850.db2.gz KMJXRXJKAUGPNQ-UHFFFAOYSA-N 0 0 289.335 2.577 20 5 CFBDRN CC(C)Oc1ccc(Cn2ccnc2[N+](=O)[O-])cc1 ZINC000918359748 624433841 /nfs/dbraw/zinc/43/38/41/624433841.db2.gz IKNCRFRCYSGMQB-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN O=[N+]([O-])c1nccn1CCOC1CCCCCC1 ZINC000918369017 624433844 /nfs/dbraw/zinc/43/38/44/624433844.db2.gz OUKLHCAJPBCMLA-UHFFFAOYSA-N 0 0 253.302 2.531 20 5 CFBDRN O=[N+]([O-])c1nccn1CCCc1c(F)cccc1F ZINC000918388289 624435287 /nfs/dbraw/zinc/43/52/87/624435287.db2.gz ZIMUDUNIBYYASN-UHFFFAOYSA-N 0 0 267.235 2.702 20 5 CFBDRN CC[C@@H](OCCn1ccnc1[N+](=O)[O-])c1ccccc1 ZINC000918386128 624435407 /nfs/dbraw/zinc/43/54/07/624435407.db2.gz IHJHQRDPJCXHFI-CYBMUJFWSA-N 0 0 275.308 2.959 20 5 CFBDRN CCSCCOC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000918489566 624438377 /nfs/dbraw/zinc/43/83/77/624438377.db2.gz BKEIZTXUONTQRY-UHFFFAOYSA-N 0 0 284.337 2.546 20 5 CFBDRN CC/C=C/CCOC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000918507922 624444336 /nfs/dbraw/zinc/44/43/36/624444336.db2.gz GKZYEZJWHOXLJV-ONEGZZNKSA-N 0 0 279.292 2.873 20 5 CFBDRN Cc1ccccc1CCCn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829842880 624564178 /nfs/dbraw/zinc/56/41/78/624564178.db2.gz YZAHNUQXOQSBNU-UHFFFAOYSA-N 0 0 290.279 2.641 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000919425756 624572814 /nfs/dbraw/zinc/57/28/14/624572814.db2.gz GGAJEBCGXVNHKX-TVYUQYBPSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)c1noc(COc2ccc([N+](=O)[O-])c(F)c2F)n1 ZINC000829886604 624577502 /nfs/dbraw/zinc/57/75/02/624577502.db2.gz APIFORLHDCQSLT-UHFFFAOYSA-N 0 0 299.233 2.958 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H]1CCOC1 ZINC000155070527 624607769 /nfs/dbraw/zinc/60/77/69/624607769.db2.gz KXDJKDSRWJXMOO-JTQLQIEISA-N 0 0 297.332 2.653 20 5 CFBDRN CCC(F)(F)COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000851492187 634395520 /nfs/dbraw/zinc/39/55/20/634395520.db2.gz WHFGVQYIAVBWOT-UHFFFAOYSA-N 0 0 273.235 2.726 20 5 CFBDRN CC1(C)CN(Cn2nccc2[N+](=O)[O-])[C@@H]1c1ccccc1 ZINC000919948975 624643802 /nfs/dbraw/zinc/64/38/02/624643802.db2.gz MQKAMPWHGYBMJI-CQSZACIVSA-N 0 0 286.335 2.832 20 5 CFBDRN CC(C)(C)CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000920075190 624669756 /nfs/dbraw/zinc/66/97/56/624669756.db2.gz BMHNCPBUNHLACH-UHFFFAOYSA-N 0 0 267.354 2.927 20 5 CFBDRN CCc1cc(O)ccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000830292530 624701499 /nfs/dbraw/zinc/70/14/99/624701499.db2.gz NMVHUZGIBJZCPK-UHFFFAOYSA-N 0 0 280.324 2.729 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@H]1CCCCO1 ZINC000830360943 624722166 /nfs/dbraw/zinc/72/21/66/624722166.db2.gz XLYNSLGWHLXRCU-SNVBAGLBSA-N 0 0 297.282 2.768 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830365587 624723322 /nfs/dbraw/zinc/72/33/22/624723322.db2.gz GHZXIVRBZNGEOG-MRVPVSSYSA-N 0 0 283.255 2.567 20 5 CFBDRN CCCC(=O)COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830365915 624723384 /nfs/dbraw/zinc/72/33/84/624723384.db2.gz UZHACPYSIPSHLR-UHFFFAOYSA-N 0 0 283.255 2.568 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCCOC1CCC1 ZINC000830370439 624724017 /nfs/dbraw/zinc/72/40/17/624724017.db2.gz ZROZIYBQDWCXHY-UHFFFAOYSA-N 0 0 297.282 2.768 20 5 CFBDRN CC[C@H](COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])OC ZINC000830369580 624724102 /nfs/dbraw/zinc/72/41/02/624724102.db2.gz NDBQMWRGWGYFCX-SECBINFHSA-N 0 0 285.271 2.624 20 5 CFBDRN CSc1cc(O)ccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000830376331 624726118 /nfs/dbraw/zinc/72/61/18/624726118.db2.gz WWJKMSSVZPFIBP-UHFFFAOYSA-N 0 0 298.364 2.888 20 5 CFBDRN CC(C)(C)CCOC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000920602855 624741556 /nfs/dbraw/zinc/74/15/56/624741556.db2.gz BOZNTBJVVIXXAV-UHFFFAOYSA-N 0 0 280.324 2.986 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@H](CC(F)(F)F)C1)[N+](=O)[O-] ZINC000830520134 624770804 /nfs/dbraw/zinc/77/08/04/624770804.db2.gz QJZVZHZYBSJMOD-SECBINFHSA-N 0 0 296.289 2.623 20 5 CFBDRN Cc1cc(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)on1 ZINC000920899461 624777296 /nfs/dbraw/zinc/77/72/96/624777296.db2.gz CEJSYQNYGRDZTB-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CCCCO[C@@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000920917694 624783067 /nfs/dbraw/zinc/78/30/67/624783067.db2.gz WQRRBJMYWDNRGR-JTQLQIEISA-N 0 0 299.298 2.982 20 5 CFBDRN CO[C@@H](C)CC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000195493859 624808001 /nfs/dbraw/zinc/80/80/01/624808001.db2.gz YKWUZLFCBTULMZ-ZETCQYMHSA-N 0 0 273.672 2.579 20 5 CFBDRN Cc1ccc(OC(=O)OC2CCC2)cc1[N+](=O)[O-] ZINC000830950183 624937867 /nfs/dbraw/zinc/93/78/67/624937867.db2.gz FTLYKWJSGOMIKK-UHFFFAOYSA-N 0 0 251.238 2.971 20 5 CFBDRN Cc1cc(C(=O)NCC2(C(C)C)CC2)c(N)c([N+](=O)[O-])c1 ZINC000175477435 624953417 /nfs/dbraw/zinc/95/34/17/624953417.db2.gz GPGRYPBHIDJVRQ-UHFFFAOYSA-N 0 0 291.351 2.651 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1C1CC1 ZINC000175570546 624958465 /nfs/dbraw/zinc/95/84/65/624958465.db2.gz AVQGIEFBGUNFHC-UONOGXRCSA-N 0 0 275.304 2.727 20 5 CFBDRN Cc1nc(NC(=O)/C=C/c2ccco2)ccc1[N+](=O)[O-] ZINC000175667431 624960368 /nfs/dbraw/zinc/96/03/68/624960368.db2.gz AMFQTWZYMFQVFW-QPJJXVBHSA-N 0 0 273.248 2.543 20 5 CFBDRN O=C(NCCC1CC=CC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000831442236 625021657 /nfs/dbraw/zinc/02/16/57/625021657.db2.gz NMLQVZCENVTLRH-UHFFFAOYSA-N 0 0 296.273 2.959 20 5 CFBDRN CCON(CC)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000831470621 625031310 /nfs/dbraw/zinc/03/13/10/625031310.db2.gz CZZICMFEHLPLQJ-UHFFFAOYSA-N 0 0 289.291 2.557 20 5 CFBDRN O=C(OCC1CCOCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000112152465 625070251 /nfs/dbraw/zinc/07/02/51/625070251.db2.gz XBCDLXFKCKLBMX-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN CCCONC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000831672338 625091348 /nfs/dbraw/zinc/09/13/48/625091348.db2.gz CQLLMIMRKWKUAT-UHFFFAOYSA-N 0 0 267.285 2.675 20 5 CFBDRN O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CC(C2CC2)C1 ZINC000832292034 625264295 /nfs/dbraw/zinc/26/42/95/625264295.db2.gz XXPWOAVVDOBJMP-UHFFFAOYSA-N 0 0 280.711 2.730 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCc1nccn1C ZINC000834645715 625751690 /nfs/dbraw/zinc/75/16/90/625751690.db2.gz JVJNLBDUORATEM-UHFFFAOYSA-N 0 0 289.339 2.761 20 5 CFBDRN CO[C@H]1CCC[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000073071051 625768581 /nfs/dbraw/zinc/76/85/81/625768581.db2.gz XUEZCPJKAOAEPN-STQMWFEESA-N 0 0 279.292 2.709 20 5 CFBDRN C/C=C/COC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000073089959 625772956 /nfs/dbraw/zinc/77/29/56/625772956.db2.gz OHVWPWYHEKAFES-NSCUHMNNSA-N 0 0 267.306 2.806 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCS[C@H](C)C1 ZINC000834676327 625778537 /nfs/dbraw/zinc/77/85/37/625778537.db2.gz FXYQKQQIOVDDCR-MNOVXSKESA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1c2sccc2CCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000921504230 625786097 /nfs/dbraw/zinc/78/60/97/625786097.db2.gz FTBDNURNQBRLNQ-QMMMGPOBSA-N 0 0 291.332 2.744 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000834704820 625793008 /nfs/dbraw/zinc/79/30/08/625793008.db2.gz HITFAAIXHPVEPF-JQWIXIFHSA-N 0 0 294.376 2.538 20 5 CFBDRN Cc1ccc(C(=O)OCCc2cccnc2)cc1[N+](=O)[O-] ZINC000073300117 625821842 /nfs/dbraw/zinc/82/18/42/625821842.db2.gz TYRNGIBMPNYKOJ-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@H](N[C@H]1CCn3ccnc31)CCC2 ZINC000834831439 625891356 /nfs/dbraw/zinc/89/13/56/625891356.db2.gz JMBAKXPGQOPLQG-CABCVRRESA-N 0 0 298.346 2.903 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000768898918 625909684 /nfs/dbraw/zinc/90/96/84/625909684.db2.gz QUXQSJOUWYPFAK-PFEDMVJOSA-N 0 0 278.308 2.797 20 5 CFBDRN CCc1nc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000834898945 625914631 /nfs/dbraw/zinc/91/46/31/625914631.db2.gz COPVKYOULQHIEG-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN C[C@H](C(=O)OCc1csc([N+](=O)[O-])c1)C(C)(F)F ZINC000815487519 625933696 /nfs/dbraw/zinc/93/36/96/625933696.db2.gz HZLSKKBUFNSDSJ-ZCFIWIBFSA-N 0 0 279.264 2.991 20 5 CFBDRN Cc1cc(N2C[C@H](O)C[C@@H]2C)c(Cl)cc1[N+](=O)[O-] ZINC000788076638 625977125 /nfs/dbraw/zinc/97/71/25/625977125.db2.gz DTBLUJKAFCVIQA-DTWKUNHWSA-N 0 0 270.716 2.516 20 5 CFBDRN CS[C@H](C)CNC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000921613954 625993819 /nfs/dbraw/zinc/99/38/19/625993819.db2.gz JTYHNBVNQFPWED-NXEZZACHSA-N 0 0 297.380 2.707 20 5 CFBDRN O=C(NC1C[C@H]2CC[C@@H](C1)S2)c1cccc([N+](=O)[O-])c1 ZINC000888352975 626000452 /nfs/dbraw/zinc/00/04/52/626000452.db2.gz NCPFEUKFNBTXAZ-VGPLMAKISA-N 0 0 292.360 2.751 20 5 CFBDRN COC[C@H](COC(=O)c1ccc([N+](=O)[O-])s1)C(C)C ZINC000922157333 626220517 /nfs/dbraw/zinc/22/05/17/626220517.db2.gz SEFPJYMNCCBHIJ-SECBINFHSA-N 0 0 287.337 2.732 20 5 CFBDRN Cc1ccc(C(=O)OCC(F)(F)F)cc1[N+](=O)[O-] ZINC000078756144 626222481 /nfs/dbraw/zinc/22/24/81/626222481.db2.gz PQMGEKADXIBNSY-UHFFFAOYSA-N 0 0 263.171 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC(F)(F)F)cc1F ZINC000067009913 626224727 /nfs/dbraw/zinc/22/47/27/626224727.db2.gz LXZUCARAVWYRQK-UHFFFAOYSA-N 0 0 283.177 2.692 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000922236709 626248323 /nfs/dbraw/zinc/24/83/23/626248323.db2.gz KBIXYTYWNJZMPP-WBMYTEFPSA-N 0 0 289.335 2.754 20 5 CFBDRN O=[N+]([O-])c1cc(CSC2COC2)ccc1Cl ZINC000086194796 626258597 /nfs/dbraw/zinc/25/85/97/626258597.db2.gz MOKVFRWTMRYSAJ-UHFFFAOYSA-N 0 0 259.714 2.880 20 5 CFBDRN C[C@@H](NCc1ccc(CO)o1)c1ccc([N+](=O)[O-])cc1 ZINC000922537434 626304467 /nfs/dbraw/zinc/30/44/67/626304467.db2.gz FWVPNUYSTXQKLA-SNVBAGLBSA-N 0 0 276.292 2.531 20 5 CFBDRN CN(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)c1ccccn1 ZINC000154754650 626318812 /nfs/dbraw/zinc/31/88/12/626318812.db2.gz JLQKDYGHLMQPFW-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN CCCc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000073752607 626367624 /nfs/dbraw/zinc/36/76/24/626367624.db2.gz XUPYYUCZPSZCBB-UHFFFAOYSA-N 0 0 289.291 2.627 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000922876667 626384135 /nfs/dbraw/zinc/38/41/35/626384135.db2.gz YVOXVJHERCVGFK-SKDRFNHKSA-N 0 0 277.324 2.754 20 5 CFBDRN CN(CC(F)F)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000156410451 626388188 /nfs/dbraw/zinc/38/81/88/626388188.db2.gz AITIXRPJBFSSEB-UHFFFAOYSA-N 0 0 278.642 2.585 20 5 CFBDRN COc1ccccc1COc1ccc([N+](=O)[O-])cc1C=O ZINC000022030890 626393679 /nfs/dbraw/zinc/39/36/79/626393679.db2.gz QVGMNOSTABFAPS-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCC1(O)CCCC1 ZINC000162061149 626398319 /nfs/dbraw/zinc/39/83/19/626398319.db2.gz JSWQRCVEKCEABT-UHFFFAOYSA-N 0 0 270.716 2.965 20 5 CFBDRN Cc1cc(CC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)no1 ZINC000074040975 626408212 /nfs/dbraw/zinc/40/82/12/626408212.db2.gz VISZYHYXZBSDSJ-UHFFFAOYSA-N 0 0 295.682 2.726 20 5 CFBDRN CC(C)[C@H](NCc1csc([N+](=O)[O-])c1)c1cnn(C)c1 ZINC000922894362 626416191 /nfs/dbraw/zinc/41/61/91/626416191.db2.gz KAQISTYRXINNBM-ZDUSSCGKSA-N 0 0 294.380 2.877 20 5 CFBDRN CC(C)[C@@H](NCc1csc([N+](=O)[O-])c1)c1cnn(C)c1 ZINC000922894359 626416243 /nfs/dbraw/zinc/41/62/43/626416243.db2.gz KAQISTYRXINNBM-CYBMUJFWSA-N 0 0 294.380 2.877 20 5 CFBDRN C[C@@H](C(=O)OCc1csc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000923053509 626446785 /nfs/dbraw/zinc/44/67/85/626446785.db2.gz YIQRLUAXJQCLLA-PSASIEDQSA-N 0 0 285.321 2.515 20 5 CFBDRN Cc1ncccc1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000074239192 626480290 /nfs/dbraw/zinc/48/02/90/626480290.db2.gz XIEADVYQHZORPS-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCC1(NC(=S)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000840784193 626481104 /nfs/dbraw/zinc/48/11/04/626481104.db2.gz IRPVPFRJGDMJDU-UHFFFAOYSA-N 0 0 265.338 2.824 20 5 CFBDRN CC/C(C)=C/C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000838037515 626484084 /nfs/dbraw/zinc/48/40/84/626484084.db2.gz LKSMSDPOGGFBLH-CSKARUKUSA-N 0 0 277.276 2.677 20 5 CFBDRN C[C@@H](C(=O)OCCOc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000838387321 626495025 /nfs/dbraw/zinc/49/50/25/626495025.db2.gz CXOFVZCZGHWOLW-LLVKDONJSA-N 0 0 293.319 2.953 20 5 CFBDRN CCC(C)(C)OCC(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000838411733 626496353 /nfs/dbraw/zinc/49/63/53/626496353.db2.gz WUQYRUXZNCDLHH-UHFFFAOYSA-N 0 0 295.335 2.886 20 5 CFBDRN Cc1c(COC(=O)[C@]2(C)CCCCO2)cccc1[N+](=O)[O-] ZINC000838435666 626497477 /nfs/dbraw/zinc/49/74/77/626497477.db2.gz CDQBJXGALBKLDE-HNNXBMFYSA-N 0 0 293.319 2.906 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCCCO1 ZINC000838438375 626497838 /nfs/dbraw/zinc/49/78/38/626497838.db2.gz YKWQVYDTXMJKIP-AWEZNQCLSA-N 0 0 297.282 2.736 20 5 CFBDRN COCC1(C(=O)OCc2ccccc2[N+](=O)[O-])CCCC1 ZINC000838440227 626497937 /nfs/dbraw/zinc/49/79/37/626497937.db2.gz WANNHHNERKARRO-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN COCC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000838443041 626498094 /nfs/dbraw/zinc/49/80/94/626498094.db2.gz VSJKAJRWQIBXFR-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)C1CCC1 ZINC000838785189 626506591 /nfs/dbraw/zinc/50/65/91/626506591.db2.gz DKUYATWMALKJRR-VIFPVBQESA-N 0 0 279.292 2.945 20 5 CFBDRN CC(C)(CCC(=O)OCc1cnccc1Cl)[N+](=O)[O-] ZINC000838927760 626515927 /nfs/dbraw/zinc/51/59/27/626515927.db2.gz HENSNKIFTOSBGI-UHFFFAOYSA-N 0 0 286.715 2.614 20 5 CFBDRN CC(C)c1cccc(CC(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000838963856 626517191 /nfs/dbraw/zinc/51/71/91/626517191.db2.gz CZHXQSPNBSGGAU-LLVKDONJSA-N 0 0 265.309 2.561 20 5 CFBDRN CC[C@@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1ccncc1 ZINC000839003166 626519729 /nfs/dbraw/zinc/51/97/29/626519729.db2.gz CKOGALSDWFSPBH-GFCCVEGCSA-N 0 0 289.291 2.636 20 5 CFBDRN CC[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1ccncc1 ZINC000839006757 626519900 /nfs/dbraw/zinc/51/99/00/626519900.db2.gz BXLMONZOOUBXLC-GFCCVEGCSA-N 0 0 280.324 2.911 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CC(O)(C(C)C)C1 ZINC000839132453 626527964 /nfs/dbraw/zinc/52/79/64/626527964.db2.gz ACJPVGLNDDIGQN-QPEQYQDCSA-N 0 0 290.363 2.701 20 5 CFBDRN COC[C@@H](C)OC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000867007454 626531956 /nfs/dbraw/zinc/53/19/56/626531956.db2.gz SJBIJTCJSITTCR-SNVBAGLBSA-N 0 0 294.307 2.519 20 5 CFBDRN CC(C)(CCC(=O)N[C@](C)(C1CC1)C(F)(F)F)[N+](=O)[O-] ZINC000839294583 626533097 /nfs/dbraw/zinc/53/30/97/626533097.db2.gz OPQXXOPESJZDKZ-LLVKDONJSA-N 0 0 296.289 2.669 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ccc(Cl)cn1 ZINC000839276979 626533327 /nfs/dbraw/zinc/53/33/27/626533327.db2.gz ARLCKROFHBLZPD-UHFFFAOYSA-N 0 0 285.731 2.533 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2CCC(C)(C)O2)cc1[N+](=O)[O-] ZINC000839396646 626535387 /nfs/dbraw/zinc/53/53/87/626535387.db2.gz RBRGIYTWZAXXMJ-ZDUSSCGKSA-N 0 0 293.319 2.904 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1C[C@@H]2C[C@H]1CS2 ZINC000839453423 626537360 /nfs/dbraw/zinc/53/73/60/626537360.db2.gz QDVUGURZKPTRCQ-IUCAKERBSA-N 0 0 298.751 2.578 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000839452927 626537381 /nfs/dbraw/zinc/53/73/81/626537381.db2.gz ICJOQVUWIQHLGR-PWSUYJOCSA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCCSC2)nc2ccccn21 ZINC000808096591 626539022 /nfs/dbraw/zinc/53/90/22/626539022.db2.gz PRZRFEYOCBKSMA-JTQLQIEISA-N 0 0 292.364 2.798 20 5 CFBDRN Cc1c2ccccc2oc1[C@@H](C)NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839548181 626546654 /nfs/dbraw/zinc/54/66/54/626546654.db2.gz NKZCCGXUWGKZFY-YUSALJHKSA-N 0 0 288.303 2.584 20 5 CFBDRN CC(C)C[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccs1 ZINC000839568636 626546888 /nfs/dbraw/zinc/54/68/88/626546888.db2.gz ZTCRZMQLGZQQQF-OUAUKWLOSA-N 0 0 282.365 2.617 20 5 CFBDRN CC(C)(C)[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000839563798 626547345 /nfs/dbraw/zinc/54/73/45/626547345.db2.gz OCPOPNKSTOGASQ-JHJVBQTASA-N 0 0 276.336 2.555 20 5 CFBDRN Cc1cnoc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000757362654 626549319 /nfs/dbraw/zinc/54/93/19/626549319.db2.gz VIIIHKVUIDPCMO-SECBINFHSA-N 0 0 276.248 2.809 20 5 CFBDRN CC(C)(C)[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(F)cc1 ZINC000839698926 626551435 /nfs/dbraw/zinc/55/14/35/626551435.db2.gz IUNMVIQPZYPHRW-UPJWGTAASA-N 0 0 294.326 2.694 20 5 CFBDRN C[C@H](CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C1CC1 ZINC000757606326 626556310 /nfs/dbraw/zinc/55/63/10/626556310.db2.gz OIYJUXCQCUEQAF-LLVKDONJSA-N 0 0 288.347 2.916 20 5 CFBDRN O=C([C@H]1CC12CCC2)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757613280 626556453 /nfs/dbraw/zinc/55/64/53/626556453.db2.gz DLAHGLMBIUTNHA-CYBMUJFWSA-N 0 0 286.331 2.670 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000757723410 626560958 /nfs/dbraw/zinc/56/09/58/626560958.db2.gz CONXRNWNBVOKNQ-MRVPVSSYSA-N 0 0 291.300 2.631 20 5 CFBDRN CC1(OC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000757918335 626568139 /nfs/dbraw/zinc/56/81/39/626568139.db2.gz BZCIQPHYGYSECV-UHFFFAOYSA-N 0 0 253.229 2.833 20 5 CFBDRN CCN(CC(F)F)C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000757937587 626568933 /nfs/dbraw/zinc/56/89/33/626568933.db2.gz PPSCTXBWHXJHMA-UHFFFAOYSA-N 0 0 289.307 2.879 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)O[C@H]1C=CCCC1 ZINC000758076835 626575677 /nfs/dbraw/zinc/57/56/77/626575677.db2.gz PNQOUAMQNMLDOS-QMMMGPOBSA-N 0 0 280.255 2.582 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000758116280 626577234 /nfs/dbraw/zinc/57/72/34/626577234.db2.gz WIHAFFMEGWFCIG-SNVBAGLBSA-N 0 0 265.240 2.999 20 5 CFBDRN Cc1cc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])no1 ZINC000758380350 626590458 /nfs/dbraw/zinc/59/04/58/626590458.db2.gz LRTXFRZVODRALJ-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN Cn1ncnc1SCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000758426037 626593596 /nfs/dbraw/zinc/59/35/96/626593596.db2.gz JGMNPKQKPWJKAN-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCCOC(C)(C)C)c1[N+](=O)[O-] ZINC000758460503 626594763 /nfs/dbraw/zinc/59/47/63/626594763.db2.gz JYEDOBKZJUTYBU-UHFFFAOYSA-N 0 0 298.314 2.587 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCCCF ZINC000758738914 626605895 /nfs/dbraw/zinc/60/58/95/626605895.db2.gz KQNBZGYYSMTABK-UHFFFAOYSA-N 0 0 280.255 2.521 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000759503987 626665681 /nfs/dbraw/zinc/66/56/81/626665681.db2.gz KYEOQLFDWUEUKV-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC2CC=CC2)cc1 ZINC000759671109 626681912 /nfs/dbraw/zinc/68/19/12/626681912.db2.gz UPPUFMJAZLBCCN-UHFFFAOYSA-N 0 0 263.322 2.600 20 5 CFBDRN CCC/C=C/C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000759793626 626691138 /nfs/dbraw/zinc/69/11/38/626691138.db2.gz ZQMMTUMVPXSQKE-SNAWJCMRSA-N 0 0 274.280 2.766 20 5 CFBDRN O=C(O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)C1CCC1 ZINC000759935684 626703125 /nfs/dbraw/zinc/70/31/25/626703125.db2.gz YUGVTAKHPMFBOB-NEPJUHHUSA-N 0 0 293.323 2.618 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)COc1ccccc1 ZINC000760018514 626709700 /nfs/dbraw/zinc/70/97/00/626709700.db2.gz RKJPWHBFNHYOQB-UHFFFAOYSA-N 0 0 287.271 2.888 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCc2ncc(C3CC3)o2)c1 ZINC000760313897 626733112 /nfs/dbraw/zinc/73/31/12/626733112.db2.gz PZHMKIUHAQVJGY-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)c1ccc[nH]1 ZINC000760421083 626744059 /nfs/dbraw/zinc/74/40/59/626744059.db2.gz YOSHMMOFBQPWRV-OALRPVAKSA-N 0 0 285.303 2.814 20 5 CFBDRN O=C(OCc1ncc(C2CC2)o1)c1ccccc1[N+](=O)[O-] ZINC000760457240 626747813 /nfs/dbraw/zinc/74/78/13/626747813.db2.gz HHXZPZRXRJPDRP-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000760563325 626756258 /nfs/dbraw/zinc/75/62/58/626756258.db2.gz WWLXCAMEOAGGHS-GWCFXTLKSA-N 0 0 291.303 2.643 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)OCC1(C)COC1 ZINC000760780944 626772540 /nfs/dbraw/zinc/77/25/40/626772540.db2.gz SRSWPIHNTIVCCN-UHFFFAOYSA-N 0 0 299.710 2.750 20 5 CFBDRN Cc1c(CN(C)Cc2cccn2C)cccc1[N+](=O)[O-] ZINC000760939312 626786333 /nfs/dbraw/zinc/78/63/33/626786333.db2.gz INYJZNRUXZYATH-UHFFFAOYSA-N 0 0 273.336 2.874 20 5 CFBDRN CC(C)CC1CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000760946439 626786948 /nfs/dbraw/zinc/78/69/48/626786948.db2.gz WVEXTJYCIXSGQW-UHFFFAOYSA-N 0 0 279.340 2.821 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)c1ccc[nH]1 ZINC000760962874 626788720 /nfs/dbraw/zinc/78/87/20/626788720.db2.gz XUBZAZRCTSMPKE-ZETCQYMHSA-N 0 0 295.245 2.692 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000761108857 626805850 /nfs/dbraw/zinc/80/58/50/626805850.db2.gz FFVNEXYRAMAIBJ-AWEZNQCLSA-N 0 0 282.315 2.735 20 5 CFBDRN CC1(COC(=O)CCn2cc([N+](=O)[O-])cn2)CCCCC1 ZINC000761294948 626821808 /nfs/dbraw/zinc/82/18/08/626821808.db2.gz JJWIYNLNFNXGMY-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN O=C(O[C@H]1CCCOC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000761322147 626823604 /nfs/dbraw/zinc/82/36/04/626823604.db2.gz PNMWBOPEPDGNQI-NSHDSACASA-N 0 0 285.683 2.584 20 5 CFBDRN Cc1noc(CN2CCOc3cc(C)ccc32)c1[N+](=O)[O-] ZINC000851783045 634527340 /nfs/dbraw/zinc/52/73/40/634527340.db2.gz HWGFTKUYWLUSSB-UHFFFAOYSA-N 0 0 289.291 2.599 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C1=CCCC1 ZINC000761562309 626846737 /nfs/dbraw/zinc/84/67/37/626846737.db2.gz GJJZTODCRFMYFW-UHFFFAOYSA-N 0 0 265.240 2.887 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cn2)s1 ZINC000762060471 626885481 /nfs/dbraw/zinc/88/54/81/626885481.db2.gz KNICNHAWZMDFNQ-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H]1CCSC1 ZINC000762146613 626897379 /nfs/dbraw/zinc/89/73/79/626897379.db2.gz HTEHCKXZSGQNDG-JTQLQIEISA-N 0 0 281.333 2.813 20 5 CFBDRN COc1cc(C(=O)OC[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000762144780 626897386 /nfs/dbraw/zinc/89/73/86/626897386.db2.gz FTPDRYWKZGQTKN-VIFPVBQESA-N 0 0 297.332 2.513 20 5 CFBDRN C[C@@H]1CC[C@H](COC(=O)c2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000762182767 626901617 /nfs/dbraw/zinc/90/16/17/626901617.db2.gz REJVGFYFRXJEFI-PSASIEDQSA-N 0 0 299.710 2.973 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000762189214 626902187 /nfs/dbraw/zinc/90/21/87/626902187.db2.gz QLHQAEWHJZWNBC-GHMZBOCLSA-N 0 0 287.319 2.904 20 5 CFBDRN Cc1nc(NC(=O)C[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000762336282 626910981 /nfs/dbraw/zinc/91/09/81/626910981.db2.gz AGROMJOYCMHJIX-MRVPVSSYSA-N 0 0 263.297 2.673 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCC1=O ZINC000762430077 626915715 /nfs/dbraw/zinc/91/57/15/626915715.db2.gz ARURPNZQHQXYJV-BDAKNGLRSA-N 0 0 294.282 2.678 20 5 CFBDRN CC(=O)CCCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000762825572 626941413 /nfs/dbraw/zinc/94/14/13/626941413.db2.gz VQMGCQVGZCZMJD-JXMROGBWSA-N 0 0 291.303 2.911 20 5 CFBDRN CC(=O)CCCCOC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000762882008 626946984 /nfs/dbraw/zinc/94/69/84/626946984.db2.gz ZHXZHLXYXCNCIN-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CC(C)C(C)(C)SCCn1cc([N+](=O)[O-])ccc1=O ZINC000762972575 626953500 /nfs/dbraw/zinc/95/35/00/626953500.db2.gz LMKPDKZASIYMJJ-UHFFFAOYSA-N 0 0 284.381 2.924 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCC(F)(F)C2)nc2ccccn21 ZINC000763390172 626984878 /nfs/dbraw/zinc/98/48/78/626984878.db2.gz AXMOZIULKSNCSK-QMMMGPOBSA-N 0 0 282.250 2.842 20 5 CFBDRN C[C@H](OC(=O)c1ccn(C)c1)c1ccc([N+](=O)[O-])cc1 ZINC000763494075 626991583 /nfs/dbraw/zinc/99/15/83/626991583.db2.gz YKSLFABQLBTLSU-JTQLQIEISA-N 0 0 274.276 2.851 20 5 CFBDRN COC(=O)c1ccc(OC/C=C/Cl)cc1[N+](=O)[O-] ZINC000763503537 626992253 /nfs/dbraw/zinc/99/22/53/626992253.db2.gz JYEKGOWXRCVIGT-GORDUTHDSA-N 0 0 271.656 2.513 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000763548542 626997283 /nfs/dbraw/zinc/99/72/83/626997283.db2.gz PDLJDOLFGNASCO-SECBINFHSA-N 0 0 295.291 2.567 20 5 CFBDRN C/C=C/COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000763714152 627007798 /nfs/dbraw/zinc/00/77/98/627007798.db2.gz ARRGVZJIQJLBFR-NSCUHMNNSA-N 0 0 255.657 2.981 20 5 CFBDRN Nc1ccc(C(=O)OC/C(Cl)=C/Cl)cc1[N+](=O)[O-] ZINC000763863957 627018680 /nfs/dbraw/zinc/01/86/80/627018680.db2.gz YKBXQHYDMAOGRQ-DAXSKMNVSA-N 0 0 291.090 2.653 20 5 CFBDRN COC[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000764044968 627033103 /nfs/dbraw/zinc/03/31/03/627033103.db2.gz WWFUDAMYCLRSDB-HZMBPMFUSA-N 0 0 293.319 2.667 20 5 CFBDRN CC1(COC(=O)Cc2ccccc2[N+](=O)[O-])CCC1 ZINC000764100022 627038213 /nfs/dbraw/zinc/03/82/13/627038213.db2.gz JDKJWHCDXBMEID-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN CC1(COC(=O)Cc2cccc([N+](=O)[O-])c2)CCC1 ZINC000764128904 627041744 /nfs/dbraw/zinc/04/17/44/627041744.db2.gz LTZDACVAJLCXGD-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN C[C@H](C[C@H](O)c1ccco1)Nc1ccc([N+](=O)[O-])nc1 ZINC000764167836 627043037 /nfs/dbraw/zinc/04/30/37/627043037.db2.gz ZBOMZBCISJRTQG-KOLCDFICSA-N 0 0 277.280 2.507 20 5 CFBDRN CS/C=C/C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000764230906 627046508 /nfs/dbraw/zinc/04/65/08/627046508.db2.gz ROUOGSXFEOFCML-SNAWJCMRSA-N 0 0 267.306 2.994 20 5 CFBDRN CC1(CCOC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)CC1 ZINC000764232323 627046623 /nfs/dbraw/zinc/04/66/23/627046623.db2.gz KVCDDFGAXHOCNG-UHFFFAOYSA-N 0 0 293.275 2.671 20 5 CFBDRN Cc1cc(Cl)ccc1OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000765109708 627119677 /nfs/dbraw/zinc/11/96/77/627119677.db2.gz HAIPCPCNACCKHB-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN COc1cccc(CNc2nc(C)ccc2[N+](=O)[O-])c1O ZINC000765485094 627141296 /nfs/dbraw/zinc/14/12/96/627141296.db2.gz HZISHZBGLAPTRO-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN CCCCOC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000765511835 627144297 /nfs/dbraw/zinc/14/42/97/627144297.db2.gz DUUAIISJEURWTM-UHFFFAOYSA-N 0 0 252.270 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(C(F)F)CC2)c2nonc21 ZINC000765610650 627150682 /nfs/dbraw/zinc/15/06/82/627150682.db2.gz WTMADTUCAZXVPO-UHFFFAOYSA-N 0 0 298.249 2.613 20 5 CFBDRN CCN1C[C@@H](C)N(c2c(F)cc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000765636626 627151911 /nfs/dbraw/zinc/15/19/11/627151911.db2.gz SJKODPWEIBXCSX-NXEZZACHSA-N 0 0 299.321 2.792 20 5 CFBDRN COCC1(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000765831215 627160250 /nfs/dbraw/zinc/16/02/50/627160250.db2.gz OPASQSNGFASYFU-UHFFFAOYSA-N 0 0 299.714 2.549 20 5 CFBDRN CCc1cc(C)nc(Oc2ccc(C=O)cc2[N+](=O)[O-])n1 ZINC000766043955 627173718 /nfs/dbraw/zinc/17/37/18/627173718.db2.gz JZYCNRFOJRMHRO-UHFFFAOYSA-N 0 0 287.275 2.860 20 5 CFBDRN CN(Cc1cc(Cl)ccc1F)Cn1nccc1[N+](=O)[O-] ZINC000766090126 627177555 /nfs/dbraw/zinc/17/75/55/627177555.db2.gz HWLMOOMCFAAHKY-UHFFFAOYSA-N 0 0 298.705 2.673 20 5 CFBDRN COc1cc(COC(=O)C2(C)CCC2)c([N+](=O)[O-])cc1F ZINC000766213499 627184862 /nfs/dbraw/zinc/18/48/62/627184862.db2.gz INEYAJRYERLPOA-UHFFFAOYSA-N 0 0 297.282 2.976 20 5 CFBDRN COc1cc(COc2ccc(F)nc2)ccc1[N+](=O)[O-] ZINC000766369664 627197152 /nfs/dbraw/zinc/19/71/52/627197152.db2.gz BFYWDRLHYPMIMI-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CS[C@@H](C)CC(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000766915394 627226950 /nfs/dbraw/zinc/22/69/50/627226950.db2.gz HACDKSAECFRJLZ-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)cs1 ZINC000767062775 627233237 /nfs/dbraw/zinc/23/32/37/627233237.db2.gz PTVCEPJCCVLBQB-UHFFFAOYSA-N 0 0 294.292 2.687 20 5 CFBDRN C[C@@H](CNc1ccc2ncc([N+](=O)[O-])n2n1)C(C)(C)C ZINC000767235895 627240472 /nfs/dbraw/zinc/24/04/72/627240472.db2.gz AWSVIGIYSDRSAS-VIFPVBQESA-N 0 0 277.328 2.732 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H](O)c1ccc(F)cc1 ZINC000767377759 627246679 /nfs/dbraw/zinc/24/66/79/627246679.db2.gz IYKVOJQNNYOCJD-NSHDSACASA-N 0 0 282.296 2.941 20 5 CFBDRN CC(C)n1nccc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000767464486 627253777 /nfs/dbraw/zinc/25/37/77/627253777.db2.gz GVMGWTFDEWDCNF-UHFFFAOYSA-N 0 0 295.320 2.791 20 5 CFBDRN CC/C=C/CCOC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000767468371 627254689 /nfs/dbraw/zinc/25/46/89/627254689.db2.gz DJQZTRXZJSACJA-ONEGZZNKSA-N 0 0 264.281 2.690 20 5 CFBDRN Cc1nc(CCOC(=O)c2ccc([N+](=O)[O-])cc2)cs1 ZINC000767545998 627260364 /nfs/dbraw/zinc/26/03/64/627260364.db2.gz AKNHGEKDXXUROF-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CS/C=C/C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000767647540 627267679 /nfs/dbraw/zinc/26/76/79/627267679.db2.gz DPRWZISOFAJMGY-VOTSOKGWSA-N 0 0 253.279 2.515 20 5 CFBDRN COc1ccc(COC(=O)/C=C\SC)cc1[N+](=O)[O-] ZINC000767651224 627268828 /nfs/dbraw/zinc/26/88/28/627268828.db2.gz LWEVAOBEJHICIV-WAYWQWQTSA-N 0 0 283.305 2.523 20 5 CFBDRN Cc1cccc([C@H](O)CNc2ncc(C)cc2[N+](=O)[O-])c1 ZINC000767813530 627288130 /nfs/dbraw/zinc/28/81/30/627288130.db2.gz BJGWKGURMNMKAB-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN CSC[C@H]1CCCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000767820452 627288542 /nfs/dbraw/zinc/28/85/42/627288542.db2.gz RGSTUKKMYDKSSK-SNVBAGLBSA-N 0 0 292.364 2.574 20 5 CFBDRN O=C(OCCc1ccc(F)c(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000768192485 627325113 /nfs/dbraw/zinc/32/51/13/627325113.db2.gz VUZXIGXYYSTAPL-UHFFFAOYSA-N 0 0 297.213 2.866 20 5 CFBDRN CS/C=C/C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000768946028 627388852 /nfs/dbraw/zinc/38/88/52/627388852.db2.gz UTVRKPILFZACPL-BQYQJAHWSA-N 0 0 292.360 2.963 20 5 CFBDRN O=C([O-])C1([NH2+]Cc2cc([N+](=O)[O-])ccc2Cl)CCCC1 ZINC000769754283 627472003 /nfs/dbraw/zinc/47/20/03/627472003.db2.gz ZNCVSBAUTRYBOA-UHFFFAOYSA-N 0 0 298.726 2.735 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CCO[C@@H](C)C2)c1 ZINC000769920107 627487597 /nfs/dbraw/zinc/48/75/97/627487597.db2.gz MHGMNSYPSRTSIN-IUCAKERBSA-N 0 0 284.287 2.722 20 5 CFBDRN Cn1ncc(NCc2csc([N+](=O)[O-])c2)c1C1CC1 ZINC000769927283 627488204 /nfs/dbraw/zinc/48/82/04/627488204.db2.gz ZHVWCEKJSIJGAB-UHFFFAOYSA-N 0 0 278.337 2.879 20 5 CFBDRN Cc1cnccc1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000770022472 627497468 /nfs/dbraw/zinc/49/74/68/627497468.db2.gz UWLBEBDREVUUQB-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])n2C)c(C)c1 ZINC000770112115 627502312 /nfs/dbraw/zinc/50/23/12/627502312.db2.gz ONZMBIFIBOVEGA-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN CCCCCCc1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000771459613 627605734 /nfs/dbraw/zinc/60/57/34/627605734.db2.gz TWZJYTLWWRKJDK-UHFFFAOYSA-N 0 0 293.327 2.810 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771482257 627607593 /nfs/dbraw/zinc/60/75/93/627607593.db2.gz WOYXJIJRMNESSJ-SECBINFHSA-N 0 0 285.271 2.700 20 5 CFBDRN Cc1cncc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000771484705 627608195 /nfs/dbraw/zinc/60/81/95/627608195.db2.gz ZMCSTFGDUVIDCC-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN O=C(/C=C\C1CCOCC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771487119 627608331 /nfs/dbraw/zinc/60/83/31/627608331.db2.gz UVDSLCRNWGVVFX-UPHRSURJSA-N 0 0 295.266 2.622 20 5 CFBDRN CC(C)SCC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771488916 627608342 /nfs/dbraw/zinc/60/83/42/627608342.db2.gz OJQNWCGZOBDJKS-UHFFFAOYSA-N 0 0 273.285 2.781 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])C1(F)CCCC1 ZINC000772106416 627672972 /nfs/dbraw/zinc/67/29/72/627672972.db2.gz RNSRKPKJZJYOPZ-UHFFFAOYSA-N 0 0 280.299 2.536 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000772258807 627693263 /nfs/dbraw/zinc/69/32/63/627693263.db2.gz UNKJNNMRQYQHSM-GHMZBOCLSA-N 0 0 274.324 2.867 20 5 CFBDRN Cc1ccc(COC(=O)c2cc(C)ccc2[N+](=O)[O-])nc1 ZINC000772278942 627696156 /nfs/dbraw/zinc/69/61/56/627696156.db2.gz VUPFEQOVRCGWDV-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000772490035 627713377 /nfs/dbraw/zinc/71/33/77/627713377.db2.gz KOHUUQNCCIUODN-OLZOCXBDSA-N 0 0 291.303 2.613 20 5 CFBDRN O=C(Cc1ccoc1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000772577600 627723567 /nfs/dbraw/zinc/72/35/67/627723567.db2.gz IJUWYUBFHVRQLT-UHFFFAOYSA-N 0 0 279.223 2.613 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NOC1CCCCC1 ZINC000772615297 627728031 /nfs/dbraw/zinc/72/80/31/627728031.db2.gz PAFCTPCZOXPJFJ-UHFFFAOYSA-N 0 0 278.308 2.897 20 5 CFBDRN CCc1ccc(OC(=O)Cc2ccco2)c([N+](=O)[O-])c1 ZINC000772668224 627733429 /nfs/dbraw/zinc/73/34/29/627733429.db2.gz UMAFLSUFYXVUGD-UHFFFAOYSA-N 0 0 275.260 2.898 20 5 CFBDRN CCC(CC)OC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000772672851 627733991 /nfs/dbraw/zinc/73/39/91/627733991.db2.gz GJHWHBWJMSYDOW-UHFFFAOYSA-N 0 0 280.324 2.763 20 5 CFBDRN O=C(COC(=O)C1(F)CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000772786838 627745230 /nfs/dbraw/zinc/74/52/30/627745230.db2.gz JPQNIFTWGOSRKM-UHFFFAOYSA-N 0 0 295.266 2.603 20 5 CFBDRN CC1(OCC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCC1 ZINC000772972646 627763675 /nfs/dbraw/zinc/76/36/75/627763675.db2.gz VCHISOYHDUHGLD-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1nccs1 ZINC000773512712 627831359 /nfs/dbraw/zinc/83/13/59/627831359.db2.gz QRIOXVJWIGQUHG-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CC[C@@H](C)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773984152 627875936 /nfs/dbraw/zinc/87/59/36/627875936.db2.gz AHTOGDIVQYJNRI-SECBINFHSA-N 0 0 262.309 2.838 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccccc1O)CC2 ZINC000773989447 627876709 /nfs/dbraw/zinc/87/67/09/627876709.db2.gz INLUAVNDKUIFNO-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN Cc1c[nH]cc1C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773990754 627876835 /nfs/dbraw/zinc/87/68/35/627876835.db2.gz GBKMOAYBAAHVHH-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN CCC(C)(C)NC(=S)NNc1cccc([N+](=O)[O-])c1 ZINC000774138779 627892988 /nfs/dbraw/zinc/89/29/88/627892988.db2.gz PQLCVXJBSNYEMA-UHFFFAOYSA-N 0 0 282.369 2.574 20 5 CFBDRN CCO[C@H](CC)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000774223650 627905288 /nfs/dbraw/zinc/90/52/88/627905288.db2.gz SXWYXQSSLFTWIL-CQSZACIVSA-N 0 0 292.335 2.607 20 5 CFBDRN CCO[C@@H]1C[C@@H]1COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000774313425 627914929 /nfs/dbraw/zinc/91/49/29/627914929.db2.gz IFBXHUVZBLCSHF-ZKYQVNSYSA-N 0 0 293.319 2.667 20 5 CFBDRN CCc1cc(CNc2c(C)cccc2[N+](=O)[O-])[nH]n1 ZINC000774528304 627935097 /nfs/dbraw/zinc/93/50/97/627935097.db2.gz CFWIAYIMYXRWAD-UHFFFAOYSA-N 0 0 260.297 2.801 20 5 CFBDRN C[C@H](NC(=S)NC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000774578289 627939165 /nfs/dbraw/zinc/93/91/65/627939165.db2.gz DEDDICITWIIVAM-VIFPVBQESA-N 0 0 281.381 2.918 20 5 CFBDRN C[C@H](Nc1nccc(N(C)C)n1)c1ccccc1[N+](=O)[O-] ZINC000774732044 627953580 /nfs/dbraw/zinc/95/35/80/627953580.db2.gz XPRLUMSPUJNSON-JTQLQIEISA-N 0 0 287.323 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C[C@@H]1CCOC1 ZINC000774744871 627957490 /nfs/dbraw/zinc/95/74/90/627957490.db2.gz KZIVAXXUNWLPLP-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[N@H+](C[C@@H]1CCC=CO1)C2 ZINC000774885189 627976727 /nfs/dbraw/zinc/97/67/27/627976727.db2.gz QCFPYSPGNCTFTI-ZDUSSCGKSA-N 0 0 274.320 2.646 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@@H]1F ZINC000775058650 627988868 /nfs/dbraw/zinc/98/88/68/627988868.db2.gz VWCDTKJFSJJJIG-SKDRFNHKSA-N 0 0 297.286 2.683 20 5 CFBDRN Cc1cc(OC[C@H]2COC(C)(C)O2)c(F)cc1[N+](=O)[O-] ZINC000775225990 628000849 /nfs/dbraw/zinc/00/08/49/628000849.db2.gz LCTRJTKKRBAMQY-VIFPVBQESA-N 0 0 285.271 2.573 20 5 CFBDRN COC1(C)CN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000775515456 628033299 /nfs/dbraw/zinc/03/32/99/628033299.db2.gz HBLQLPKXSHLODX-UHFFFAOYSA-N 0 0 299.714 2.501 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H](O)c1cccc(F)c1 ZINC000775669280 628052012 /nfs/dbraw/zinc/05/20/12/628052012.db2.gz JDGYBVBPXYLYCA-NSHDSACASA-N 0 0 282.296 2.941 20 5 CFBDRN CON(Cc1ccc(F)cc1[N+](=O)[O-])CC1CCOCC1 ZINC000852017670 634627647 /nfs/dbraw/zinc/62/76/47/634627647.db2.gz CNICRHQWSCOWCF-UHFFFAOYSA-N 0 0 298.314 2.524 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000775977160 628089279 /nfs/dbraw/zinc/08/92/79/628089279.db2.gz JSELEDSVQLANPF-LBPRGKRZSA-N 0 0 280.299 2.913 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccn(C(F)F)n1 ZINC000776216519 628117984 /nfs/dbraw/zinc/11/79/84/628117984.db2.gz TUIIKLHSEMAEEQ-UHFFFAOYSA-N 0 0 297.217 2.714 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCO[C@H](C)C1 ZINC000776304746 628128877 /nfs/dbraw/zinc/12/88/77/628128877.db2.gz YUFPTLCULZAZOX-GHMZBOCLSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000776409483 628145024 /nfs/dbraw/zinc/14/50/24/628145024.db2.gz QZESNTJEMBJDJA-PWSUYJOCSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)OCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000776420798 628147924 /nfs/dbraw/zinc/14/79/24/628147924.db2.gz CSGSDGHKUKJEGL-NEPJUHHUSA-N 0 0 293.319 2.953 20 5 CFBDRN Cc1cccc(OCCNC(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC000776432776 628150555 /nfs/dbraw/zinc/15/05/55/628150555.db2.gz CFQLVFFBIPLJCF-UHFFFAOYSA-N 0 0 296.323 2.807 20 5 CFBDRN Cc1ccc2c(c1)N(C[C@H](O)c1ccc([N+](=O)[O-])cc1)CC2 ZINC000776589026 628162304 /nfs/dbraw/zinc/16/23/04/628162304.db2.gz YXYLXNPKRDWDAH-KRWDZBQOSA-N 0 0 298.342 2.999 20 5 CFBDRN CCCCSc1ccc([N+](=O)[O-])cc1C(=O)OCCO ZINC000777231162 628210496 /nfs/dbraw/zinc/21/04/96/628210496.db2.gz IOGKYAMSAJDBGH-UHFFFAOYSA-N 0 0 299.348 2.636 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@@H]1CCCS1 ZINC000777327805 628214730 /nfs/dbraw/zinc/21/47/30/628214730.db2.gz RNQIBYUYZPKXCH-LBPRGKRZSA-N 0 0 281.333 2.576 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CCC=CO1)c1ccc([N+](=O)[O-])cc1 ZINC000777868707 628259869 /nfs/dbraw/zinc/25/98/69/628259869.db2.gz ZULOUAVDDKUODU-ZDUSSCGKSA-N 0 0 290.319 2.639 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](C)[C@@H](F)C2)c1 ZINC000777998527 628271453 /nfs/dbraw/zinc/27/14/53/628271453.db2.gz TWKUJQJENRWVHJ-KWQFWETISA-N 0 0 286.278 2.927 20 5 CFBDRN O=C(NCCCC1CC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778006353 628272041 /nfs/dbraw/zinc/27/20/41/628272041.db2.gz UHGVGEKTWCNNPP-UHFFFAOYSA-N 0 0 298.289 2.993 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@H](C(C)C)C1 ZINC000778009146 628272440 /nfs/dbraw/zinc/27/24/40/628272440.db2.gz LPODECVOIVCUGD-AWEZNQCLSA-N 0 0 264.325 2.764 20 5 CFBDRN CSCCOC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778170874 628283122 /nfs/dbraw/zinc/28/31/22/628283122.db2.gz ZGXFIKWWKANESU-UHFFFAOYSA-N 0 0 291.275 2.593 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000778357832 628298608 /nfs/dbraw/zinc/29/86/08/628298608.db2.gz LAQDCXTUMNQUCQ-BDAKNGLRSA-N 0 0 285.321 2.628 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000778376665 628302168 /nfs/dbraw/zinc/30/21/68/628302168.db2.gz PWHKTSAOHFNLJQ-UWVGGRQHSA-N 0 0 297.282 2.706 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000778374189 628302182 /nfs/dbraw/zinc/30/21/82/628302182.db2.gz VQZWHKUWZKRVPS-WDEREUQCSA-N 0 0 279.292 2.567 20 5 CFBDRN CC[C@@]1(O)CCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000778399190 628304555 /nfs/dbraw/zinc/30/45/55/628304555.db2.gz WJOCWAHRPIPBJL-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000778473651 628309763 /nfs/dbraw/zinc/30/97/63/628309763.db2.gz ZQKDMRMRNLZTNU-FKULILBMSA-N 0 0 292.310 2.815 20 5 CFBDRN O=C(OCc1ccc(CO)cc1)c1ccc([N+](=O)[O-])s1 ZINC000778743970 628329335 /nfs/dbraw/zinc/32/93/35/628329335.db2.gz UDEBPMSPDJIFFT-UHFFFAOYSA-N 0 0 293.300 2.506 20 5 CFBDRN CCC[C@@H](C)[C@@H](C)OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000778747836 628329655 /nfs/dbraw/zinc/32/96/55/628329655.db2.gz IMVNHMZWTQFMJW-GHMZBOCLSA-N 0 0 297.355 2.894 20 5 CFBDRN CCC[C@@H](C)[C@H](C)OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000778747837 628329888 /nfs/dbraw/zinc/32/98/88/628329888.db2.gz IMVNHMZWTQFMJW-MNOVXSKESA-N 0 0 297.355 2.894 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1CCOC1 ZINC000778787704 628331427 /nfs/dbraw/zinc/33/14/27/628331427.db2.gz MHWYSEXKWIGTOG-QWRGUYRKSA-N 0 0 279.292 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1CCCOC1 ZINC000778796535 628332178 /nfs/dbraw/zinc/33/21/78/628332178.db2.gz YAGZJOOYUZQYAG-NWDGAFQWSA-N 0 0 293.319 2.934 20 5 CFBDRN CO[C@@H](C)CC(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778792644 628332315 /nfs/dbraw/zinc/33/23/15/628332315.db2.gz JXOMPKXREKYESY-QWRGUYRKSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)CC1(CO)CC1 ZINC000778820275 628334631 /nfs/dbraw/zinc/33/46/31/628334631.db2.gz PTBACNJYXVANSZ-LLVKDONJSA-N 0 0 293.319 2.670 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000779261660 628365698 /nfs/dbraw/zinc/36/56/98/628365698.db2.gz GSEIMCMXJHHDLU-GWCFXTLKSA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000779263638 628366225 /nfs/dbraw/zinc/36/62/25/628366225.db2.gz XUBMPJIYIIQABI-QWRGUYRKSA-N 0 0 278.308 2.739 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000779266990 628367038 /nfs/dbraw/zinc/36/70/38/628367038.db2.gz XGRALROTLOIYJA-MFKMUULPSA-N 0 0 263.293 2.869 20 5 CFBDRN C[C@@H]1CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])n2C)[C@H]1C ZINC000779273529 628368500 /nfs/dbraw/zinc/36/85/00/628368500.db2.gz HQOOQPQSLYJERS-SCVCMEIPSA-N 0 0 280.324 2.915 20 5 CFBDRN O=C(OC1CCCC1)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000779456117 628383425 /nfs/dbraw/zinc/38/34/25/628383425.db2.gz JIKGKOULHVCTMY-UHFFFAOYSA-N 0 0 293.304 2.699 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000779470281 628384503 /nfs/dbraw/zinc/38/45/03/628384503.db2.gz STVYAUKRRXYHKS-MFKMUULPSA-N 0 0 263.293 2.869 20 5 CFBDRN Cc1cnc(C(=O)OCc2cccc([N+](=O)[O-])c2)c(C)c1 ZINC000779880202 628416038 /nfs/dbraw/zinc/41/60/38/628416038.db2.gz XEVNWISJCMCRLH-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000779907918 628418058 /nfs/dbraw/zinc/41/80/58/628418058.db2.gz WIWCPRLQQXVDIO-GGZOMVNGSA-N 0 0 296.710 2.612 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1=CCC1)CC2 ZINC000780032507 628428431 /nfs/dbraw/zinc/42/84/31/628428431.db2.gz UDORIGSPTSWXOG-UHFFFAOYSA-N 0 0 258.277 2.513 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@H]1C=CCC1 ZINC000780550591 628473886 /nfs/dbraw/zinc/47/38/86/628473886.db2.gz AENKKYCSOHMWPC-NSHDSACASA-N 0 0 279.317 2.949 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)O[C@@H]1C=CCC1 ZINC000780560272 628477033 /nfs/dbraw/zinc/47/70/33/628477033.db2.gz OVBZFBIRUWXUPD-CYBMUJFWSA-N 0 0 286.287 2.811 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])O[C@H]1C=CCC1 ZINC000780587844 628480101 /nfs/dbraw/zinc/48/01/01/628480101.db2.gz VBDYOROYVCVIEQ-NSHDSACASA-N 0 0 276.292 2.659 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)[C@@H](C)C1CC1 ZINC000780634796 628483987 /nfs/dbraw/zinc/48/39/87/628483987.db2.gz CTMLMODVTIKLQN-NSHDSACASA-N 0 0 293.319 2.871 20 5 CFBDRN CCC1(COC(=O)c2ccccc2[N+](=O)[O-])CCOCC1 ZINC000780645299 628484728 /nfs/dbraw/zinc/48/47/28/628484728.db2.gz LQGTXUHXABVNNU-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN Cn1c(C(=O)OCCCC(C)(C)C)ccc1[N+](=O)[O-] ZINC000781153697 628526641 /nfs/dbraw/zinc/52/66/41/628526641.db2.gz WDCWCNIRHWQXKT-UHFFFAOYSA-N 0 0 268.313 2.916 20 5 CFBDRN Cc1ccncc1C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000781171830 628528827 /nfs/dbraw/zinc/52/88/27/628528827.db2.gz QVTKRMHYRLHHKQ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC(C)(C)CCCOC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000781176910 628529391 /nfs/dbraw/zinc/52/93/91/628529391.db2.gz RVAAGNYMVLTYPR-UHFFFAOYSA-N 0 0 297.355 2.896 20 5 CFBDRN Cc1c(COC(=O)[C@@]2(C)CCCOC2)cccc1[N+](=O)[O-] ZINC000781290157 628538586 /nfs/dbraw/zinc/53/85/86/628538586.db2.gz ZTEOAQPKSLRVJD-HNNXBMFYSA-N 0 0 293.319 2.763 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H](OC(F)F)C1 ZINC000781395563 628545732 /nfs/dbraw/zinc/54/57/32/628545732.db2.gz CYEKCTJXCYHNDK-MRVPVSSYSA-N 0 0 290.241 2.942 20 5 CFBDRN COC1(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])CCC1 ZINC000781789501 628580876 /nfs/dbraw/zinc/58/08/76/628580876.db2.gz ZCYGDOZAHJFCJF-SNVBAGLBSA-N 0 0 279.292 2.768 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000782006086 628596611 /nfs/dbraw/zinc/59/66/11/628596611.db2.gz HGWJJURRYXPBCJ-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN O=C(COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)CC1CC1 ZINC000782007824 628596631 /nfs/dbraw/zinc/59/66/31/628596631.db2.gz YICAJNWJBBGPPG-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])cc1Cl)CC1CC1 ZINC000782007691 628596670 /nfs/dbraw/zinc/59/66/70/628596670.db2.gz GLVZFZHYCFJKMG-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN Cc1c(OCc2ccnc(N(C)C)c2)cccc1[N+](=O)[O-] ZINC000782112443 628605752 /nfs/dbraw/zinc/60/57/52/628605752.db2.gz QXJDKDMGWORFCU-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN O=C1N[C@H](c2ccc([N+](=O)[O-])s2)Nc2cc(F)ccc21 ZINC000782193773 628612919 /nfs/dbraw/zinc/61/29/19/628612919.db2.gz HXCJCXAEPNYYIU-LLVKDONJSA-N 0 0 293.279 2.650 20 5 CFBDRN CCCCNC(=S)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000782207613 628614673 /nfs/dbraw/zinc/61/46/73/628614673.db2.gz OKHXUKVTLRJKCR-UHFFFAOYSA-N 0 0 283.353 2.690 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)c1cnccn1 ZINC000782215351 628615885 /nfs/dbraw/zinc/61/58/85/628615885.db2.gz QZDVGQGDGPCGBD-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)OCc1ccc([N+](=O)[O-])cc1F ZINC000782327242 628628751 /nfs/dbraw/zinc/62/87/51/628628751.db2.gz AVTCTHUHAWRMSG-XQRVVYSFSA-N 0 0 290.250 2.819 20 5 CFBDRN Cc1ccc(N2C[C@H](C)O[C@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000782410900 628636172 /nfs/dbraw/zinc/63/61/72/628636172.db2.gz VMTBOOLBZWFLCZ-SDDRHHMPSA-N 0 0 264.325 2.905 20 5 CFBDRN CC(C)(C)C[C@H](O)CC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000805730354 628656029 /nfs/dbraw/zinc/65/60/29/628656029.db2.gz XEEZMRAZALARPU-CYBMUJFWSA-N 0 0 295.335 2.825 20 5 CFBDRN C[C@@H]1C[C@H](COc2ccc([N+](=O)[O-])cc2C=O)CCO1 ZINC000783007978 628669200 /nfs/dbraw/zinc/66/92/00/628669200.db2.gz PVQZFLOAWKUCMN-GHMZBOCLSA-N 0 0 279.292 2.601 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OC1CC1 ZINC000783186552 628682760 /nfs/dbraw/zinc/68/27/60/628682760.db2.gz MADZJPNWUDIZOJ-LLVKDONJSA-N 0 0 263.293 2.869 20 5 CFBDRN C[C@H]1C[C@H](CN2CCc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000783193680 628684287 /nfs/dbraw/zinc/68/42/87/628684287.db2.gz MKRGWHKGNZIOPC-NWDGAFQWSA-N 0 0 276.336 2.772 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CCCCS2)c([N+](=O)[O-])c1 ZINC000783354645 628701105 /nfs/dbraw/zinc/70/11/05/628701105.db2.gz FRRLJVLSZHDXHX-GFCCVEGCSA-N 0 0 297.332 2.795 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)OCCC1CCC1 ZINC000783442939 628708772 /nfs/dbraw/zinc/70/87/72/628708772.db2.gz BUFABOXHNJSTLJ-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CCOC1CCC1)CC2 ZINC000805747076 628717176 /nfs/dbraw/zinc/71/71/76/628717176.db2.gz RFIFZNGSQRVROP-UHFFFAOYSA-N 0 0 276.336 2.835 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000783553180 628719672 /nfs/dbraw/zinc/71/96/72/628719672.db2.gz OBVQSMHBPRKPTJ-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN CN(Cc1ccon1)Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000783569089 628721286 /nfs/dbraw/zinc/72/12/86/628721286.db2.gz BKHKNOMOPLGGNK-UHFFFAOYSA-N 0 0 281.699 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3c[nH]nc3C2)cc1Cl ZINC000783568790 628721494 /nfs/dbraw/zinc/72/14/94/628721494.db2.gz BPJIQXALVJEKLZ-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN C[C@H](OC(=O)/C=C\c1cnccn1)c1ccccc1[N+](=O)[O-] ZINC000783654035 628730809 /nfs/dbraw/zinc/73/08/09/628730809.db2.gz JUJBVYYTWLNKKQ-ZADCQDASSA-N 0 0 299.286 2.702 20 5 CFBDRN Cc1cc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)ncn1 ZINC000783651867 628731055 /nfs/dbraw/zinc/73/10/55/628731055.db2.gz XONGUGQYDCKFLR-UHFFFAOYSA-N 0 0 292.726 2.636 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)/C=C\c1ccc[nH]1)CC2 ZINC000783696702 628735811 /nfs/dbraw/zinc/73/58/11/628735811.db2.gz UOJFSNYLPRXGHQ-PLNGDYQASA-N 0 0 297.314 2.834 20 5 CFBDRN O=C(/C=C/C1CC1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783909214 628755090 /nfs/dbraw/zinc/75/50/90/628755090.db2.gz GMZNEBDSMDRDGE-GQCTYLIASA-N 0 0 265.240 2.743 20 5 CFBDRN CC(C)OCCCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000783937993 628756448 /nfs/dbraw/zinc/75/64/48/628756448.db2.gz FYYCBUOTGFQEDG-UHFFFAOYSA-N 0 0 299.298 2.982 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C1CC1 ZINC000783981704 628759243 /nfs/dbraw/zinc/75/92/43/628759243.db2.gz QVGQULPQJQMUTN-MRVPVSSYSA-N 0 0 267.256 2.823 20 5 CFBDRN C/C(=C/C(=O)OCc1cnccn1)c1ccc([N+](=O)[O-])cc1 ZINC000784020894 628764458 /nfs/dbraw/zinc/76/44/58/628764458.db2.gz JLBRWROVZNLTCP-FLIBITNWSA-N 0 0 299.286 2.532 20 5 CFBDRN Cc1ccc(NC(=O)[C@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000784025101 628765479 /nfs/dbraw/zinc/76/54/79/628765479.db2.gz YCSLGWHJKRPCNP-LLVKDONJSA-N 0 0 254.261 2.836 20 5 CFBDRN COC(C)(C)CNC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784049639 628768478 /nfs/dbraw/zinc/76/84/78/628768478.db2.gz JXWGIXIOLXPERR-PKNBQFBNSA-N 0 0 292.335 2.539 20 5 CFBDRN C/C(=C/C(=O)N[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000784051534 628769129 /nfs/dbraw/zinc/76/91/29/628769129.db2.gz ZSYKDASXYTXJCO-VPUINMBXSA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000852175835 634681417 /nfs/dbraw/zinc/68/14/17/634681417.db2.gz MATXYIWZBBTKMI-WCQYABFASA-N 0 0 289.335 2.982 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000784310368 628803900 /nfs/dbraw/zinc/80/39/00/628803900.db2.gz LTCLMZTZKGCFAG-LBPRGKRZSA-N 0 0 285.271 2.511 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N[C@H]1C=CCC1 ZINC000852199604 634686022 /nfs/dbraw/zinc/68/60/22/634686022.db2.gz NRCLJUOMENRNSF-JTQLQIEISA-N 0 0 295.726 2.766 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1nccs1 ZINC000784448997 628817751 /nfs/dbraw/zinc/81/77/51/628817751.db2.gz WBWWGJZDRIOUML-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1ccc(N(C)C)c([N+](=O)[O-])c1 ZINC000784494443 628821835 /nfs/dbraw/zinc/82/18/35/628821835.db2.gz MIWPYWZGVUVHPP-DEQVHDEQSA-N 0 0 290.319 2.836 20 5 CFBDRN C/C(=C\C(=O)O[C@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000784887033 628849319 /nfs/dbraw/zinc/84/93/19/628849319.db2.gz LHYKQEVBQDEQRA-MARXPDLDSA-N 0 0 291.303 2.720 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCC[C@@H]1CCCCO1 ZINC000784944123 628854136 /nfs/dbraw/zinc/85/41/36/628854136.db2.gz MEYOJHIYGMJAQS-ZDUSSCGKSA-N 0 0 293.319 2.640 20 5 CFBDRN CCc1ccc(OC[C@H]2COC(C)(C)O2)c([N+](=O)[O-])c1 ZINC000785038458 628861455 /nfs/dbraw/zinc/86/14/55/628861455.db2.gz SBQPDORLBPDMOB-NSHDSACASA-N 0 0 281.308 2.688 20 5 CFBDRN CC(C)/C=C/C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000785037545 628861537 /nfs/dbraw/zinc/86/15/37/628861537.db2.gz YHBLORGYTNAVGQ-ZZXKWVIFSA-N 0 0 290.275 2.991 20 5 CFBDRN COc1ccc(COC(=O)/C=C\C(C)C)cc1[N+](=O)[O-] ZINC000785057583 628863132 /nfs/dbraw/zinc/86/31/32/628863132.db2.gz BLMVXFJWPLPKKM-DAXSKMNVSA-N 0 0 279.292 2.859 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])cc1)Cc1ccccc1 ZINC000785136611 628867974 /nfs/dbraw/zinc/86/79/74/628867974.db2.gz YVRWZOLTPPJTQJ-UHFFFAOYSA-N 0 0 299.282 2.563 20 5 CFBDRN COc1ccc(OC(=O)C2(SC)CCC2)cc1[N+](=O)[O-] ZINC000785133254 628868155 /nfs/dbraw/zinc/86/81/55/628868155.db2.gz OYXGCVILHGCHHV-UHFFFAOYSA-N 0 0 297.332 2.795 20 5 CFBDRN C[C@@H](O)[C@H]1C[C@H](C)CC[N@@H+]1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000785546595 628892722 /nfs/dbraw/zinc/89/27/22/628892722.db2.gz QRVSWDGCIPEBPQ-UEKVPHQBSA-N 0 0 296.342 2.715 20 5 CFBDRN C/C=C/C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000785655605 628901982 /nfs/dbraw/zinc/90/19/82/628901982.db2.gz ISEAUAISYWNITK-NSCUHMNNSA-N 0 0 288.225 2.806 20 5 CFBDRN Cc1cncc(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1 ZINC000785667400 628902268 /nfs/dbraw/zinc/90/22/68/628902268.db2.gz KSYLCVMUTUCLET-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1COc2ccccc21 ZINC000785765529 628911971 /nfs/dbraw/zinc/91/19/71/628911971.db2.gz FQTAEEZDAWGRTI-ZDUSSCGKSA-N 0 0 299.282 2.814 20 5 CFBDRN C/C(=C\C(=O)N1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000785861210 628917757 /nfs/dbraw/zinc/91/77/57/628917757.db2.gz PYOSUMOPDURLBS-ZHACJKMWSA-N 0 0 276.292 2.552 20 5 CFBDRN CC(C)(F)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000785923967 628923623 /nfs/dbraw/zinc/92/36/23/628923623.db2.gz MNDFNJKPTMKZFB-VMPITWQZSA-N 0 0 267.256 2.899 20 5 CFBDRN CC(C)(F)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000785927156 628924004 /nfs/dbraw/zinc/92/40/04/628924004.db2.gz SVZHAPDYBIIDHL-UHFFFAOYSA-N 0 0 259.208 2.639 20 5 CFBDRN CC(C)(F)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000785928260 628924480 /nfs/dbraw/zinc/92/44/80/628924480.db2.gz VWLOXAYZOCFMLU-UHFFFAOYSA-N 0 0 269.272 2.819 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCCCF ZINC000785953878 628926225 /nfs/dbraw/zinc/92/62/25/628926225.db2.gz YOFMZJXMOFETPU-UHFFFAOYSA-N 0 0 275.663 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)Cc1ccon1 ZINC000786002470 628929453 /nfs/dbraw/zinc/92/94/53/628929453.db2.gz IVYMCDSPTBFHFC-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN C[C@@H](OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C(F)F ZINC000786528441 628966450 /nfs/dbraw/zinc/96/64/50/628966450.db2.gz ZEPYPCJAJGGGLO-SSDOTTSWSA-N 0 0 298.245 2.815 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCCc2nn(C)cc21 ZINC000786555437 628968405 /nfs/dbraw/zinc/96/84/05/628968405.db2.gz RZNJNFWCXYHVFQ-LBPRGKRZSA-N 0 0 287.323 2.521 20 5 CFBDRN CO[C@H](C)[C@H](C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000786677732 628973222 /nfs/dbraw/zinc/97/32/22/628973222.db2.gz OSZRUJMOFGXZQL-QMZLHQMTSA-N 0 0 292.335 2.538 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1C2CCCC1CCC2 ZINC000787059094 628990593 /nfs/dbraw/zinc/99/05/93/628990593.db2.gz IUUYUDZAVWVEGA-UHFFFAOYSA-N 0 0 289.335 2.724 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc(F)cn2)ccc1[N+](=O)[O-] ZINC000787572191 629019495 /nfs/dbraw/zinc/01/94/95/629019495.db2.gz QNYIOZBRFUGDMM-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCC[C@@H]1CCCO1 ZINC000787595002 629021177 /nfs/dbraw/zinc/02/11/77/629021177.db2.gz RGGXADXELZVURO-NSHDSACASA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc(F)cn2)cc([N+](=O)[O-])c1 ZINC000787668693 629024460 /nfs/dbraw/zinc/02/44/60/629024460.db2.gz DTFPEOIOSGAUFY-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(OCC[C@H]1CCCO1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000787733867 629030681 /nfs/dbraw/zinc/03/06/81/629030681.db2.gz YHIOXYGWYBUXFT-SECBINFHSA-N 0 0 299.710 2.974 20 5 CFBDRN CC(C)n1cc(C(=O)OCc2csc([N+](=O)[O-])c2)cn1 ZINC000787809762 629037786 /nfs/dbraw/zinc/03/77/86/629037786.db2.gz CDBGTJCOEVQXNE-UHFFFAOYSA-N 0 0 295.320 2.791 20 5 CFBDRN O=C(OCC(F)(F)F)Oc1ccccc1[N+](=O)[O-] ZINC000787915035 629042341 /nfs/dbraw/zinc/04/23/41/629042341.db2.gz QBZGOLLROSKHNS-UHFFFAOYSA-N 0 0 265.143 2.673 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccncc2)cc1[N+](=O)[O-] ZINC000787973094 629045356 /nfs/dbraw/zinc/04/53/56/629045356.db2.gz UYKAEOUJIJLIPO-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2CCOCO2)c(F)c1 ZINC000788503566 629075793 /nfs/dbraw/zinc/07/57/93/629075793.db2.gz HVBHIJITPDLSOJ-SECBINFHSA-N 0 0 273.285 2.589 20 5 CFBDRN CC(=O)OCCCSc1ccc([N+](=O)[O-])c(C)c1 ZINC000788581334 629083479 /nfs/dbraw/zinc/08/34/79/629083479.db2.gz UVRCHAWMCUFRFL-UHFFFAOYSA-N 0 0 269.322 2.949 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1ccon1 ZINC000788847338 629104603 /nfs/dbraw/zinc/10/46/03/629104603.db2.gz FJOIKKVMNPGFIM-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])o1)C1CCCCCC1 ZINC000788880718 629107038 /nfs/dbraw/zinc/10/70/38/629107038.db2.gz MXCRHFYVVFSYAZ-UHFFFAOYSA-N 0 0 295.291 2.884 20 5 CFBDRN C/C=C(/CC)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000788931484 629109640 /nfs/dbraw/zinc/10/96/40/629109640.db2.gz DRCMUALKSKMDRO-JYOAFUTRSA-N 0 0 274.320 2.836 20 5 CFBDRN C[C@H]1CC[C@@H](COC(=O)c2cc([N+](=O)[O-])ccc2Cl)O1 ZINC000789002153 629115985 /nfs/dbraw/zinc/11/59/85/629115985.db2.gz UNTFFBCZGZLYML-WPRPVWTQSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1ccc(NC(=S)NC2(C)CC2)cc1[N+](=O)[O-] ZINC000789156903 629129992 /nfs/dbraw/zinc/12/99/92/629129992.db2.gz GOHMGWKLQAPNNQ-UHFFFAOYSA-N 0 0 265.338 2.742 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C1(SC)CC1 ZINC000789199392 629134174 /nfs/dbraw/zinc/13/41/74/629134174.db2.gz MSYDNHVLUYOQTC-UHFFFAOYSA-N 0 0 297.332 2.542 20 5 CFBDRN CC1(NC(=S)NCc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000789271733 629142882 /nfs/dbraw/zinc/14/28/82/629142882.db2.gz UHISONVDMDMYES-UHFFFAOYSA-N 0 0 299.783 2.765 20 5 CFBDRN Cc1cnc(COC(=O)C2(C)CCC2)c(C)c1[N+](=O)[O-] ZINC000789432112 629156582 /nfs/dbraw/zinc/15/65/82/629156582.db2.gz OEAZMHRIWZTCKO-UHFFFAOYSA-N 0 0 278.308 2.840 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCC1(F)CC1 ZINC000789588119 629169687 /nfs/dbraw/zinc/16/96/87/629169687.db2.gz XZCZKFODKYLOPS-UHFFFAOYSA-N 0 0 292.266 2.664 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H](C)C1CC1 ZINC000789610795 629173657 /nfs/dbraw/zinc/17/36/57/629173657.db2.gz ZDAQMOQWFJORAH-VIFPVBQESA-N 0 0 279.292 2.806 20 5 CFBDRN C[C@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000789613208 629174348 /nfs/dbraw/zinc/17/43/48/629174348.db2.gz MGQKZCOLCHTASR-SNVBAGLBSA-N 0 0 263.293 2.727 20 5 CFBDRN COc1cc(C(=O)OC[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000789616549 629174875 /nfs/dbraw/zinc/17/48/75/629174875.db2.gz ZSNSBJGHJYWHRW-VIFPVBQESA-N 0 0 279.292 2.806 20 5 CFBDRN CC(C)(O)c1cccc(NC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000789933583 629198669 /nfs/dbraw/zinc/19/86/69/629198669.db2.gz CSHORUUUBLETJO-UHFFFAOYSA-N 0 0 290.275 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/Sc2nccn3ccnc23)o1 ZINC000789968065 629200808 /nfs/dbraw/zinc/20/08/08/629200808.db2.gz NOXULITZHCQZOL-FPYGCLRLSA-N 0 0 288.288 2.994 20 5 CFBDRN COc1ccc(OC(=O)OCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000790116323 629211111 /nfs/dbraw/zinc/21/11/11/629211111.db2.gz VJMKPZZISOZORT-UHFFFAOYSA-N 0 0 295.169 2.681 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCSC2)c1 ZINC000790285488 629225632 /nfs/dbraw/zinc/22/56/32/629225632.db2.gz RJXUDEKPGQLRJQ-MRVPVSSYSA-N 0 0 286.328 2.908 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])OCCCF ZINC000790739739 629259202 /nfs/dbraw/zinc/25/92/02/629259202.db2.gz GAIVEHNGAHWGLO-UHFFFAOYSA-N 0 0 293.653 2.833 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC1(C)CC1 ZINC000790810536 629265741 /nfs/dbraw/zinc/26/57/41/629265741.db2.gz MIVBNJFSQJYOTF-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC1=CCCC1 ZINC000790828129 629267940 /nfs/dbraw/zinc/26/79/40/629267940.db2.gz WHXIUTACJWCTNY-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1Cl ZINC000790974992 629275879 /nfs/dbraw/zinc/27/58/79/629275879.db2.gz SJLXUHNWMAHUFJ-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2cccc([N+](=O)[O-])c2)c1Cl ZINC000790993094 629278734 /nfs/dbraw/zinc/27/87/34/629278734.db2.gz JTJSXWFBHJKFFQ-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2C)nc1 ZINC000791015114 629281048 /nfs/dbraw/zinc/28/10/48/629281048.db2.gz BZMQXFORXAHTCW-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1ccnc(COC(=O)c2cccc([N+](=O)[O-])c2C)c1 ZINC000791274095 629296497 /nfs/dbraw/zinc/29/64/97/629296497.db2.gz DTWRPPCQYOGBDA-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CO[C@@H](C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C)C1CC1 ZINC000791599477 629337968 /nfs/dbraw/zinc/33/79/68/629337968.db2.gz RWHZITLUIZYEED-GFCCVEGCSA-N 0 0 298.726 2.920 20 5 CFBDRN CC(C)(C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C(F)F ZINC000791669595 629344366 /nfs/dbraw/zinc/34/43/66/629344366.db2.gz CHSYSIQUWLLCMF-UHFFFAOYSA-N 0 0 298.289 2.771 20 5 CFBDRN COc1cc(C(=O)OC[C@H]2C[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000791788064 629357178 /nfs/dbraw/zinc/35/71/78/629357178.db2.gz PRMUHBBAXUVEAR-GZMMTYOYSA-N 0 0 279.292 2.725 20 5 CFBDRN CC1(CCNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1 ZINC000791810425 629360688 /nfs/dbraw/zinc/36/06/88/629360688.db2.gz ZRKIYEWUQNYFCH-QPJJXVBHSA-N 0 0 274.320 2.914 20 5 CFBDRN O=C(Oc1ccc2cccnc2c1)c1ccc([N+](=O)[O-])o1 ZINC000791854842 629367500 /nfs/dbraw/zinc/36/75/00/629367500.db2.gz HFHGVWFLXISMSC-UHFFFAOYSA-N 0 0 284.227 2.955 20 5 CFBDRN COc1cc(C(=O)OCC(C)(C)F)cc([N+](=O)[O-])c1C ZINC000791889001 629371485 /nfs/dbraw/zinc/37/14/85/629371485.db2.gz QJFARFGCSUMFCD-UHFFFAOYSA-N 0 0 285.271 2.817 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1ccnn1C ZINC000791917618 629376636 /nfs/dbraw/zinc/37/66/36/629376636.db2.gz DTXMLQVBNQTBSN-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)c1ccnn1C ZINC000791927798 629378340 /nfs/dbraw/zinc/37/83/40/629378340.db2.gz ZEOVZOCILFOHDC-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CCC1(C(=O)OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000791994018 629385705 /nfs/dbraw/zinc/38/57/05/629385705.db2.gz IBGHUQZJNIWFCS-UHFFFAOYSA-N 0 0 294.263 2.736 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)OCC(C)C)cc1[N+](=O)[O-] ZINC000792125778 629400266 /nfs/dbraw/zinc/40/02/66/629400266.db2.gz VVWSJKOCRBKMNC-JTQLQIEISA-N 0 0 297.307 2.570 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000835103871 629407788 /nfs/dbraw/zinc/40/77/88/629407788.db2.gz NGDVXTSYLDJYEV-KZQRZKTQSA-N 0 0 291.303 2.792 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835109335 629414953 /nfs/dbraw/zinc/41/49/53/629414953.db2.gz OMHSYJAUJAZGOA-ZYHUDNBSSA-N 0 0 291.303 2.757 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835117651 629423769 /nfs/dbraw/zinc/42/37/69/629423769.db2.gz KGGZUKJOWNAKIT-VXGBXAGGSA-N 0 0 269.341 2.967 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCC(=O)C(C)(C)C ZINC000792684783 629444191 /nfs/dbraw/zinc/44/41/91/629444191.db2.gz CZICQBCMZVBLJS-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CC(C)(CCC(=O)OCc1nc2ccccc2o1)[N+](=O)[O-] ZINC000835135929 629447590 /nfs/dbraw/zinc/44/75/90/629447590.db2.gz JIDXBENVAXCMLF-UHFFFAOYSA-N 0 0 292.291 2.707 20 5 CFBDRN CCc1ccc(OC(=O)/C=C\[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000835167426 629482392 /nfs/dbraw/zinc/48/23/92/629482392.db2.gz AWDIYTMZIMEEHO-TXNVCOODSA-N 0 0 291.303 2.655 20 5 CFBDRN CC(C)(CCC(=O)OCC1(C(F)(F)F)CC1)[N+](=O)[O-] ZINC000835175040 629488737 /nfs/dbraw/zinc/48/87/37/629488737.db2.gz RGTBPULNCRGXIA-UHFFFAOYSA-N 0 0 283.246 2.708 20 5 CFBDRN CNc1c(C(=O)O[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000793127079 629490654 /nfs/dbraw/zinc/49/06/54/629490654.db2.gz FQKJEQPDJYNMEL-MRVPVSSYSA-N 0 0 264.281 2.592 20 5 CFBDRN CC(C)(CCC(=O)OCc1c(F)cccc1F)[N+](=O)[O-] ZINC000835279008 629590450 /nfs/dbraw/zinc/59/04/50/629590450.db2.gz RRBQOASHMYQNEJ-UHFFFAOYSA-N 0 0 287.262 2.844 20 5 CFBDRN C[C@@H](NC(=O)C[C@@H]1CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000793773740 629593662 /nfs/dbraw/zinc/59/36/62/629593662.db2.gz VURXPZDJRMEFBU-NEPJUHHUSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc(CN2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)ncn1 ZINC000793859203 629601597 /nfs/dbraw/zinc/60/15/97/629601597.db2.gz RITZLPIZUJXZSL-NSHDSACASA-N 0 0 284.319 2.644 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1ccc(N(C)C)c([N+](=O)[O-])c1 ZINC000835297633 629604867 /nfs/dbraw/zinc/60/48/67/629604867.db2.gz MIYSVQLVAVANRC-VXGBXAGGSA-N 0 0 292.335 2.750 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NOC/C=C/Cl ZINC000794291317 629632102 /nfs/dbraw/zinc/63/21/02/629632102.db2.gz RYBUAFNIVVBAAQ-GHFJCLKKSA-N 0 0 296.710 2.798 20 5 CFBDRN CC/C(C)=C\C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000835347883 629647457 /nfs/dbraw/zinc/64/74/57/629647457.db2.gz FKRSMAYGIAYJRV-CLFYSBASSA-N 0 0 265.265 2.865 20 5 CFBDRN COCCN(CCF)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000852481383 634756714 /nfs/dbraw/zinc/75/67/14/634756714.db2.gz MIPVKIRCZUTYRR-UHFFFAOYSA-N 0 0 290.722 2.666 20 5 CFBDRN CC[C@H](C)CONC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000794854704 629695346 /nfs/dbraw/zinc/69/53/46/629695346.db2.gz WFVUPESYANZBCL-WDEREUQCSA-N 0 0 280.324 2.792 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CCCSC1)[N+](=O)[O-] ZINC000835435140 629719815 /nfs/dbraw/zinc/71/98/15/629719815.db2.gz PTUQZIQUBVEBIL-SNVBAGLBSA-N 0 0 275.370 2.508 20 5 CFBDRN C[C@@H](COC(=O)C1(Cc2cccc(Cl)c2)CC1)[N+](=O)[O-] ZINC000835453533 629737453 /nfs/dbraw/zinc/73/74/53/629737453.db2.gz CNAJECUVAQBBNR-JTQLQIEISA-N 0 0 297.738 2.871 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1cccnc1F ZINC000795225623 629748039 /nfs/dbraw/zinc/74/80/39/629748039.db2.gz NANDQCJVDLLOMB-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000795252364 629752890 /nfs/dbraw/zinc/75/28/90/629752890.db2.gz PFUIJUIARCAWQR-AAEUAGOBSA-N 0 0 293.319 2.611 20 5 CFBDRN COc1ccc([C@@H]2C[C@H]2Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000795259056 629753704 /nfs/dbraw/zinc/75/37/04/629753704.db2.gz SIXPXJQCZLIXOZ-UONOGXRCSA-N 0 0 285.303 2.966 20 5 CFBDRN C[C@H](O)CCCOC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000795343725 629763973 /nfs/dbraw/zinc/76/39/73/629763973.db2.gz XODZEKIIGYDOJT-QMMMGPOBSA-N 0 0 287.699 2.566 20 5 CFBDRN Cc1ccc(COC(=O)COC(C)(C)C)cc1[N+](=O)[O-] ZINC000835478534 629765583 /nfs/dbraw/zinc/76/55/83/629765583.db2.gz DKPLSUWHHLOITH-UHFFFAOYSA-N 0 0 281.308 2.762 20 5 CFBDRN CC[C@@](C)(OC)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835479814 629767406 /nfs/dbraw/zinc/76/74/06/629767406.db2.gz KGOIZLFJTDGDEN-CQSZACIVSA-N 0 0 281.308 2.762 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835480330 629769211 /nfs/dbraw/zinc/76/92/11/629769211.db2.gz IEJMXTYDPJZTGC-VXGBXAGGSA-N 0 0 295.335 2.865 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000835481032 629769924 /nfs/dbraw/zinc/76/99/24/629769924.db2.gz SNJQUEJUMXLOPN-COPLHBTASA-N 0 0 293.319 2.760 20 5 CFBDRN C[C@@H](O)CCCOC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000795407066 629772440 /nfs/dbraw/zinc/77/24/40/629772440.db2.gz AKGRCOWYNNWVBH-MRVPVSSYSA-N 0 0 287.699 2.566 20 5 CFBDRN COC[C@@H](C)CC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835483361 629775344 /nfs/dbraw/zinc/77/53/44/629775344.db2.gz HTALYYIVTAJGRE-JTQLQIEISA-N 0 0 281.308 2.619 20 5 CFBDRN Cc1ccc(COC(=O)CC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000835483140 629775437 /nfs/dbraw/zinc/77/54/37/629775437.db2.gz BZURUHOMZMOFDH-ZDUSSCGKSA-N 0 0 293.319 2.906 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000835491237 629782203 /nfs/dbraw/zinc/78/22/03/629782203.db2.gz IEYKIZMJSHNNLS-UHFFFAOYSA-N 0 0 271.219 2.797 20 5 CFBDRN CCC[C@H](COC(=O)c1cc(OC)ccc1[N+](=O)[O-])OC ZINC000835539258 629822448 /nfs/dbraw/zinc/82/24/48/629822448.db2.gz YHFLNOPQZBGRNA-LLVKDONJSA-N 0 0 297.307 2.575 20 5 CFBDRN CCC[C@H](COC(=O)c1ccc(NC)c([N+](=O)[O-])c1)OC ZINC000835539382 629823013 /nfs/dbraw/zinc/82/30/13/629823013.db2.gz WZAQIMNTDWSZNE-LLVKDONJSA-N 0 0 296.323 2.608 20 5 CFBDRN C[C@H]1C[C@H](c2ccccc2)N(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000795745446 629828669 /nfs/dbraw/zinc/82/86/69/629828669.db2.gz PGROMFWSOJGEGX-SWLSCSKDSA-N 0 0 286.335 2.832 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000795991083 629858894 /nfs/dbraw/zinc/85/88/94/629858894.db2.gz OQVGSZJKGUOMMD-ZWNOBZJWSA-N 0 0 281.308 2.699 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000795998378 629860627 /nfs/dbraw/zinc/86/06/27/629860627.db2.gz GLDLNGGLGNHYPZ-SMDDNHRTSA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000796000569 629861589 /nfs/dbraw/zinc/86/15/89/629861589.db2.gz KLFZQJLCRJRDPD-RNCFNFMXSA-N 0 0 299.298 2.838 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)O[C@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000796255383 629896189 /nfs/dbraw/zinc/89/61/89/629896189.db2.gz QQMUACXBMVBLNY-VIFPVBQESA-N 0 0 279.296 2.707 20 5 CFBDRN COc1c(C(=O)OCCC(C)C)cccc1[N+](=O)[O-] ZINC000796309025 629902113 /nfs/dbraw/zinc/90/21/13/629902113.db2.gz NIZMSYTYFHEXDS-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN COc1c(C(=O)OC2CCCC2)cccc1[N+](=O)[O-] ZINC000796312548 629902118 /nfs/dbraw/zinc/90/21/18/629902118.db2.gz POCJVDVZCLCPEC-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN COc1c(C(=O)OC/C=C/Cl)cccc1[N+](=O)[O-] ZINC000796312533 629902501 /nfs/dbraw/zinc/90/25/01/629902501.db2.gz OZOFGHISLYFKMS-ZZXKWVIFSA-N 0 0 271.656 2.513 20 5 CFBDRN COc1c(C(=O)OCCCOC(C)C)cccc1[N+](=O)[O-] ZINC000796311352 629902719 /nfs/dbraw/zinc/90/27/19/629902719.db2.gz USDNUOUWIHMOEK-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CCC[C@](C)(CC)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000796326801 629904651 /nfs/dbraw/zinc/90/46/51/629904651.db2.gz SBPBCKRVORUFSW-ZDUSSCGKSA-N 0 0 283.328 2.551 20 5 CFBDRN CC[C@](C)(OC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000796394547 629912979 /nfs/dbraw/zinc/91/29/79/629912979.db2.gz AXXQVHXUMRJVRV-NSHDSACASA-N 0 0 273.310 2.515 20 5 CFBDRN CS[C@H](C)CCOC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000835661780 629923670 /nfs/dbraw/zinc/92/36/70/629923670.db2.gz QKBNZDHMSFVMLL-SNVBAGLBSA-N 0 0 283.349 2.822 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000796526345 629930742 /nfs/dbraw/zinc/93/07/42/629930742.db2.gz VIIMUIAJPPAVBB-ZANVPECISA-N 0 0 297.307 2.570 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000796535126 629931126 /nfs/dbraw/zinc/93/11/26/629931126.db2.gz HZXGHEJPZRKCHE-ZANVPECISA-N 0 0 297.307 2.570 20 5 CFBDRN COc1ccc(C(=O)OC[C@@H](C)CSC)cc1[N+](=O)[O-] ZINC000835673796 629935640 /nfs/dbraw/zinc/93/56/40/629935640.db2.gz SUMCEHIPRSQSOI-SECBINFHSA-N 0 0 299.348 2.759 20 5 CFBDRN CS[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000835682260 629947853 /nfs/dbraw/zinc/94/78/53/629947853.db2.gz YNPAXIMQXXQZGJ-VIFPVBQESA-N 0 0 269.322 2.812 20 5 CFBDRN CCc1ccc(OC(=O)[C@](C)(CC)OC)c([N+](=O)[O-])c1 ZINC000796715968 629954417 /nfs/dbraw/zinc/95/44/17/629954417.db2.gz JRGTWTKFEXBKGW-AWEZNQCLSA-N 0 0 281.308 2.878 20 5 CFBDRN CC1(C)CO[C@@H](CC(=O)OCc2cccc([N+](=O)[O-])c2)C1 ZINC000885623310 634779659 /nfs/dbraw/zinc/77/96/59/634779659.db2.gz NCGVECICONRIOQ-ZDUSSCGKSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@H](O)[C@H]1CCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000852558462 634779993 /nfs/dbraw/zinc/77/99/93/634779993.db2.gz ALZDVTSAXAHJON-JOYOIKCWSA-N 0 0 284.743 2.593 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCC(C1CC1)C1CC1 ZINC000797108087 630018928 /nfs/dbraw/zinc/01/89/28/630018928.db2.gz ZOSIJJIXZDZZNP-UHFFFAOYSA-N 0 0 278.308 2.526 20 5 CFBDRN CCc1ccc(C(=O)O[C@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC000835776468 630028502 /nfs/dbraw/zinc/02/85/02/630028502.db2.gz GILKHDMNQLEVLZ-YGRLFVJLSA-N 0 0 293.319 2.739 20 5 CFBDRN CCc1ccc(C(=O)O[C@@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC000835776464 630029776 /nfs/dbraw/zinc/02/97/76/630029776.db2.gz GILKHDMNQLEVLZ-IINYFYTJSA-N 0 0 293.319 2.739 20 5 CFBDRN CS[C@H](C)[C@H](C)OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000797188952 630034817 /nfs/dbraw/zinc/03/48/17/630034817.db2.gz ACAIDGBBLJEOKW-VHSXEESVSA-N 0 0 283.349 2.821 20 5 CFBDRN COc1c(C(=O)O[C@@H](C)[C@H](C)SC)cccc1[N+](=O)[O-] ZINC000797188212 630035242 /nfs/dbraw/zinc/03/52/42/630035242.db2.gz CKGGQEYRGMCPFA-IUCAKERBSA-N 0 0 299.348 2.900 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1ccc([N+](=O)[O-])o1)c1ccccc1 ZINC000797206296 630037594 /nfs/dbraw/zinc/03/75/94/630037594.db2.gz QYUJDRMQWQYZKX-CYBMUJFWSA-N 0 0 289.243 2.675 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)[C@@H](C)SC ZINC000797221674 630042887 /nfs/dbraw/zinc/04/28/87/630042887.db2.gz LARAAKNDFZACHF-RKDXNWHRSA-N 0 0 299.348 2.900 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)c1ccoc1 ZINC000797471027 630070910 /nfs/dbraw/zinc/07/09/10/630070910.db2.gz CATOIOFZXYNLMM-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H]1CCCS1 ZINC000797474884 630071142 /nfs/dbraw/zinc/07/11/42/630071142.db2.gz SNMQNBXFLQHOOH-GFCCVEGCSA-N 0 0 297.332 2.542 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)CC1(C)CC1 ZINC000797476953 630071436 /nfs/dbraw/zinc/07/14/36/630071436.db2.gz AVYRTOAQCJPJLQ-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H]1C[C@@H]1C(C)C ZINC000797476632 630071449 /nfs/dbraw/zinc/07/14/49/630071449.db2.gz WVLJYSFHCVLCMK-OLZOCXBDSA-N 0 0 293.319 2.939 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)c1coc(C)c1 ZINC000797477612 630071657 /nfs/dbraw/zinc/07/16/57/630071657.db2.gz SGWGIBCRMJCQBN-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)CC(C)(F)F ZINC000797476948 630071726 /nfs/dbraw/zinc/07/17/26/630071726.db2.gz ASSRFKIZPHYWTR-UHFFFAOYSA-N 0 0 289.234 2.692 20 5 CFBDRN CCSCCOC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000797493393 630074080 /nfs/dbraw/zinc/07/40/80/630074080.db2.gz IGVAIHYTPFTNFR-UHFFFAOYSA-N 0 0 299.348 2.578 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000797909807 630123318 /nfs/dbraw/zinc/12/33/18/630123318.db2.gz ZTVSNNAJSGGUSL-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000835911983 630153012 /nfs/dbraw/zinc/15/30/12/630153012.db2.gz CIEASFXXPXZJOZ-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000835915498 630154633 /nfs/dbraw/zinc/15/46/33/630154633.db2.gz OJRHHHHGGLMQBM-TWGQIWQCSA-N 0 0 291.303 2.766 20 5 CFBDRN Cc1cc([C@H](C)OC(=O)c2csc([N+](=O)[O-])c2)no1 ZINC000798238654 630171805 /nfs/dbraw/zinc/17/18/05/630171805.db2.gz FOZXCMXEHBBHQW-ZETCQYMHSA-N 0 0 282.277 2.871 20 5 CFBDRN CC[C@](C)(COC(=O)c1cc([N+](=O)[O-])ccc1C)OC ZINC000835962507 630185926 /nfs/dbraw/zinc/18/59/26/630185926.db2.gz URVRFWOABIJKSW-CQSZACIVSA-N 0 0 281.308 2.875 20 5 CFBDRN CC[C@](C)(COC(=O)c1cccc([N+](=O)[O-])c1OC)OC ZINC000835962083 630186307 /nfs/dbraw/zinc/18/63/07/630186307.db2.gz HZAXZBVLVBAPGU-CQSZACIVSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1ccnc(N2CC[C@H](c3ccccn3)C2)c1[N+](=O)[O-] ZINC000798415707 630189140 /nfs/dbraw/zinc/18/91/40/630189140.db2.gz JHGYLYHWKDCDIT-LBPRGKRZSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1cnc(N2CC[C@H](c3ccccn3)C2)c([N+](=O)[O-])c1 ZINC000798416314 630189490 /nfs/dbraw/zinc/18/94/90/630189490.db2.gz NRMFOIMHPVZXAV-LBPRGKRZSA-N 0 0 284.319 2.687 20 5 CFBDRN CC(C)C[C@@H](C)COC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000798609565 630214745 /nfs/dbraw/zinc/21/47/45/630214745.db2.gz LGNMIMABTCGCBY-LLVKDONJSA-N 0 0 297.355 2.752 20 5 CFBDRN CCC(CC)COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000798893685 630256504 /nfs/dbraw/zinc/25/65/04/630256504.db2.gz QCAWPHZFUZBKPB-UHFFFAOYSA-N 0 0 252.270 2.583 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000867024377 630268826 /nfs/dbraw/zinc/26/88/26/630268826.db2.gz DJXZKAKXXSTGOY-XGEHTFHBSA-N 0 0 275.264 2.997 20 5 CFBDRN Cc1ccc(OC[C@H](O)c2ccc(F)cn2)cc1[N+](=O)[O-] ZINC000799616578 630324299 /nfs/dbraw/zinc/32/42/99/630324299.db2.gz JSXNHBWJXQOHFW-AWEZNQCLSA-N 0 0 292.266 2.550 20 5 CFBDRN Cc1ccc(OC[C@H](O)c2ccc(F)cn2)c([N+](=O)[O-])c1 ZINC000799617098 630325032 /nfs/dbraw/zinc/32/50/32/630325032.db2.gz OBKMUYUATSBCBX-ZDUSSCGKSA-N 0 0 292.266 2.550 20 5 CFBDRN CSC1(COC(=O)c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000799685547 630335144 /nfs/dbraw/zinc/33/51/44/630335144.db2.gz CHLAJVVIQUVSPU-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)c([N+](=O)[O-])c1 ZINC000799861856 630348951 /nfs/dbraw/zinc/34/89/51/630348951.db2.gz LXKCLFVTDQZSNP-GBIKHYSHSA-N 0 0 279.292 2.622 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1O[C@@H](C)C[C@H]1C ZINC000799901810 630352957 /nfs/dbraw/zinc/35/29/57/630352957.db2.gz YKBZGCZOCJIOLR-GBIKHYSHSA-N 0 0 279.292 2.622 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)c2csnn2)cc1[N+](=O)[O-] ZINC000836099251 630360207 /nfs/dbraw/zinc/36/02/07/630360207.db2.gz GRDIQHXOYBEYRA-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCC12CCC(CC1)C2 ZINC000800459576 630387885 /nfs/dbraw/zinc/38/78/85/630387885.db2.gz UWOBIXIVBNPRDX-UHFFFAOYSA-N 0 0 278.308 2.670 20 5 CFBDRN O=C1CCCCN1CSc1cccc([N+](=O)[O-])c1 ZINC000800839196 630409847 /nfs/dbraw/zinc/40/98/47/630409847.db2.gz YZHYMBIGHQZNOZ-UHFFFAOYSA-N 0 0 266.322 2.657 20 5 CFBDRN O=C1OCC[C@@H]1CCSc1cccc([N+](=O)[O-])c1 ZINC000800838824 630410027 /nfs/dbraw/zinc/41/00/27/630410027.db2.gz MZRWNMLPOLKUOQ-SECBINFHSA-N 0 0 267.306 2.640 20 5 CFBDRN Cc1nonc1CSc1cccc([N+](=O)[O-])c1 ZINC000800838208 630410061 /nfs/dbraw/zinc/41/00/61/630410061.db2.gz XNYQCVCPJXKBDV-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN Cc1ccc2nc(CNc3cc(N)ccc3[N+](=O)[O-])cn2c1 ZINC000800847882 630411012 /nfs/dbraw/zinc/41/10/12/630411012.db2.gz NJKZLXLQSBAHSW-UHFFFAOYSA-N 0 0 297.318 2.745 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000800854456 630411650 /nfs/dbraw/zinc/41/16/50/630411650.db2.gz GNLHMOKVHOWEHN-NXEZZACHSA-N 0 0 267.354 2.873 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCc3cccc(F)c3C2)c1 ZINC000800861165 630412050 /nfs/dbraw/zinc/41/20/50/630412050.db2.gz FBCYTCLTWFPIEG-UHFFFAOYSA-N 0 0 287.294 2.879 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCC[C@H]2CCCC[C@@H]2O)c1 ZINC000800860678 630412301 /nfs/dbraw/zinc/41/23/01/630412301.db2.gz WLXQQXGOLPUNJV-ABAIWWIYSA-N 0 0 293.367 2.920 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)Cn1cc(Cl)cn1 ZINC000800865893 630412703 /nfs/dbraw/zinc/41/27/03/630412703.db2.gz NENBXBSCTXFLAC-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN Cc1cc(C)nc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c1 ZINC000800896573 630415049 /nfs/dbraw/zinc/41/50/49/630415049.db2.gz NAGLQYUOWTWIJR-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1cc(C)nc(C(=O)OCc2ccccc2[N+](=O)[O-])c1 ZINC000801447027 630447745 /nfs/dbraw/zinc/44/77/45/630447745.db2.gz HGCLFIXYERHAGS-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801972564 630496628 /nfs/dbraw/zinc/49/66/28/630496628.db2.gz UZKZPCRCIICSIO-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000802115901 630504024 /nfs/dbraw/zinc/50/40/24/630504024.db2.gz JOGCIZSHKKRLPA-ARJAWSKDSA-N 0 0 276.223 2.681 20 5 CFBDRN COCC[C@H](OC(=O)c1ccc([N+](=O)[O-])n1C)C(C)(C)C ZINC000802283659 630523361 /nfs/dbraw/zinc/52/33/61/630523361.db2.gz QUHDHEPXAXJZBZ-NSHDSACASA-N 0 0 298.339 2.541 20 5 CFBDRN CC(C)SCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000803225595 630591904 /nfs/dbraw/zinc/59/19/04/630591904.db2.gz MQTYEYPZGWXOSF-UHFFFAOYSA-N 0 0 269.322 2.893 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000839997142 630600664 /nfs/dbraw/zinc/60/06/64/630600664.db2.gz LRJKLLSQOKBPSP-UHFFFAOYSA-N 0 0 293.319 2.686 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)c2cc(F)ccc2[N+](=O)[O-])O1 ZINC000803319931 630601742 /nfs/dbraw/zinc/60/17/42/630601742.db2.gz RMGJLVGWHBKHBM-SNVBAGLBSA-N 0 0 297.282 2.848 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)c2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000803336959 630603310 /nfs/dbraw/zinc/60/33/10/630603310.db2.gz LPGFPCGBOPJJCH-JTQLQIEISA-N 0 0 297.282 2.848 20 5 CFBDRN CCOC1CC(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000803336738 630603318 /nfs/dbraw/zinc/60/33/18/630603318.db2.gz HRZWDCFUZKDIEW-UHFFFAOYSA-N 0 0 297.282 2.706 20 5 CFBDRN C/C(=C/C(=O)OCCCCCO)c1ccc([N+](=O)[O-])cc1 ZINC000803430594 630617412 /nfs/dbraw/zinc/61/74/12/630617412.db2.gz HRLZAMSOCNPHFO-QXMHVHEDSA-N 0 0 293.319 2.704 20 5 CFBDRN O=C(Cc1ccoc1)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803439622 630618042 /nfs/dbraw/zinc/61/80/42/630618042.db2.gz FESHZMTVDUTACF-UHFFFAOYSA-N 0 0 297.213 2.752 20 5 CFBDRN CC(=O)CCCCOC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803462116 630620265 /nfs/dbraw/zinc/62/02/65/630620265.db2.gz DNZMCQRTVMRNFO-UHFFFAOYSA-N 0 0 297.282 2.958 20 5 CFBDRN O=C(OCCC1(O)CCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000840007986 630630056 /nfs/dbraw/zinc/63/00/56/630630056.db2.gz BCIBEGKEIOVVGX-UHFFFAOYSA-N 0 0 299.710 2.710 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1=O ZINC000840009040 630630757 /nfs/dbraw/zinc/63/07/57/630630757.db2.gz MHYTXBFQOPMQBA-NSHDSACASA-N 0 0 277.276 2.509 20 5 CFBDRN Nc1ccc(NC[C@@H]2COc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000804330904 630672709 /nfs/dbraw/zinc/67/27/09/630672709.db2.gz GHGZJGDCKLHTFH-LLVKDONJSA-N 0 0 299.330 2.840 20 5 CFBDRN CN(CCNc1ccc(N)cc1[N+](=O)[O-])c1ccccc1 ZINC000804335773 630673354 /nfs/dbraw/zinc/67/33/54/630673354.db2.gz DUCPWHZJZZKWOP-UHFFFAOYSA-N 0 0 286.335 2.725 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCc1cccnc1 ZINC000804341091 630673871 /nfs/dbraw/zinc/67/38/71/630673871.db2.gz XLWMNMUMCJYLQF-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCCNc1ncccn1 ZINC000804349699 630674385 /nfs/dbraw/zinc/67/43/85/630674385.db2.gz ZHJMRQJYPCVLEF-UHFFFAOYSA-N 0 0 293.714 2.562 20 5 CFBDRN Nc1ccc(NCc2cccc(F)c2)c([N+](=O)[O-])c1 ZINC000804346572 630674468 /nfs/dbraw/zinc/67/44/68/630674468.db2.gz NXXRODPRERQEGQ-UHFFFAOYSA-N 0 0 261.256 2.928 20 5 CFBDRN Nc1ccc(NC[C@@H]2Cc3ccccc32)c([N+](=O)[O-])c1 ZINC000804365797 630675959 /nfs/dbraw/zinc/67/59/59/630675959.db2.gz HIIAWDNDBRPWFC-NSHDSACASA-N 0 0 269.304 2.929 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CCCOC1 ZINC000804366260 630676205 /nfs/dbraw/zinc/67/62/05/630676205.db2.gz UXFDOVGOPHPNPV-LLVKDONJSA-N 0 0 278.308 2.636 20 5 CFBDRN CCOC1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000804375838 630677102 /nfs/dbraw/zinc/67/71/02/630677102.db2.gz UIVMBWARNZMNGE-UHFFFAOYSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1noc(C)c1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804377387 630677196 /nfs/dbraw/zinc/67/71/96/630677196.db2.gz DUOUHPOYPMNKAR-UHFFFAOYSA-N 0 0 292.251 2.720 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC1CCSCC1 ZINC000804401125 630679561 /nfs/dbraw/zinc/67/95/61/630679561.db2.gz POYXTGXPMGRVDV-UHFFFAOYSA-N 0 0 283.309 2.811 20 5 CFBDRN CO[C@H](CNc1c(F)cccc1[N+](=O)[O-])C(F)(F)F ZINC000804457478 630683638 /nfs/dbraw/zinc/68/36/38/630683638.db2.gz XRXWPKKQMBZMCC-MRVPVSSYSA-N 0 0 282.193 2.723 20 5 CFBDRN CCn1cnnc1CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804463737 630684192 /nfs/dbraw/zinc/68/41/92/630684192.db2.gz XPNSPAQVWSWWOF-UHFFFAOYSA-N 0 0 295.730 2.514 20 5 CFBDRN COC1CCC(CNc2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000804534811 630690736 /nfs/dbraw/zinc/69/07/36/630690736.db2.gz FOLKCFFLVRJOMI-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN CCC(CC)(CO)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804571610 630757325 /nfs/dbraw/zinc/75/73/25/630757325.db2.gz NGOGTDPIUSVWLF-UHFFFAOYSA-N 0 0 297.311 2.714 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CC(=O)O[C@@H]1CCCC(C)(C)C1 ZINC000836163459 630758015 /nfs/dbraw/zinc/75/80/15/630758015.db2.gz BTKULTVJUHYXJX-LLVKDONJSA-N 0 0 295.339 2.612 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000804589201 630761717 /nfs/dbraw/zinc/76/17/17/630761717.db2.gz IAHPRPSSFLSPKA-ZJUUUORDSA-N 0 0 295.295 2.730 20 5 CFBDRN CO[C@@H]1CCCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000804610099 630763528 /nfs/dbraw/zinc/76/35/28/630763528.db2.gz UNUIGEIJADGGEC-SNVBAGLBSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1ccc(Oc2cc(Cl)ccc2[N+](=O)[O-])c[n+]1[O-] ZINC000804618102 630765781 /nfs/dbraw/zinc/76/57/81/630765781.db2.gz OOPHWWSPACBWLU-UHFFFAOYSA-N 0 0 280.667 2.982 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC=CO1 ZINC000804631191 630768103 /nfs/dbraw/zinc/76/81/03/630768103.db2.gz PEOKHGCKHDGVKN-LBPRGKRZSA-N 0 0 276.292 2.902 20 5 CFBDRN CC(C)=CCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804648487 630769232 /nfs/dbraw/zinc/76/92/32/630769232.db2.gz FGEZMENTLXEHMP-UHFFFAOYSA-N 0 0 251.242 2.881 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)NC(CF)CF ZINC000836203789 630771144 /nfs/dbraw/zinc/77/11/44/630771144.db2.gz UDBQWKRXXQORMO-UHFFFAOYSA-N 0 0 293.657 2.677 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(-c2nc(C(C)(C)CC)no2)n1 ZINC000867172658 630785658 /nfs/dbraw/zinc/78/56/58/630785658.db2.gz ZMAGVOFBWDJQPU-UHFFFAOYSA-N 0 0 279.300 2.549 20 5 CFBDRN Cc1cnc(OCc2csc(Cl)n2)c([N+](=O)[O-])c1 ZINC000806589349 630817975 /nfs/dbraw/zinc/81/79/75/630817975.db2.gz DPSKYXNORNJHJA-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H](O)c2cccc(F)c2)nc1C1CC1 ZINC000806630646 630825453 /nfs/dbraw/zinc/82/54/53/630825453.db2.gz HSIVUPMPFMHMSP-CYBMUJFWSA-N 0 0 291.282 2.541 20 5 CFBDRN CC[C@H](C)CONC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000836468971 630828853 /nfs/dbraw/zinc/82/88/53/630828853.db2.gz XVHAAGPIUZEXMV-QWRGUYRKSA-N 0 0 295.339 2.933 20 5 CFBDRN CCCCCOC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000102505625 630857136 /nfs/dbraw/zinc/85/71/36/630857136.db2.gz TXMVVEOBQFNWOX-UHFFFAOYSA-N 0 0 283.328 2.532 20 5 CFBDRN CC(=O)CCN(C)C(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000836780247 630881697 /nfs/dbraw/zinc/88/16/97/630881697.db2.gz PHSYEESUWSENKK-UHFFFAOYSA-N 0 0 298.726 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(=S)n(CN3CC[C@@H](F)C3)c2c1 ZINC000840102800 630892320 /nfs/dbraw/zinc/89/23/20/630892320.db2.gz DQOQGLZAZUPLLN-MRVPVSSYSA-N 0 0 297.311 2.873 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc([N+](=O)[O-])o2)CC1(Cl)Cl ZINC000836831466 630896095 /nfs/dbraw/zinc/89/60/95/630896095.db2.gz QQJYSGMEHJNMBH-VIFPVBQESA-N 0 0 293.106 2.502 20 5 CFBDRN CC(C)CCOC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000807583531 630897462 /nfs/dbraw/zinc/89/74/62/630897462.db2.gz BTKNKBNTQOGEQJ-UHFFFAOYSA-N 0 0 295.291 2.569 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC/C=C/Cl ZINC000807632355 630900900 /nfs/dbraw/zinc/90/09/00/630900900.db2.gz ZGOICWKRMFLJQB-ZZXKWVIFSA-N 0 0 255.657 2.813 20 5 CFBDRN CCC(O)(CC)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807947964 630925714 /nfs/dbraw/zinc/92/57/14/630925714.db2.gz POYOSUXRRMKWED-UHFFFAOYSA-N 0 0 280.324 2.760 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@]1(C)CCCO1 ZINC000807993862 630933803 /nfs/dbraw/zinc/93/38/03/630933803.db2.gz HMOUQHKEXPSZGW-AWEZNQCLSA-N 0 0 278.308 2.778 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CCO[C@H](C)C1 ZINC000808011392 630941708 /nfs/dbraw/zinc/94/17/08/630941708.db2.gz NDQLMCQDJKQJQI-KOLCDFICSA-N 0 0 278.308 2.777 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ocnc1C1CC1 ZINC000808013320 630942650 /nfs/dbraw/zinc/94/26/50/630942650.db2.gz XNUMPIUTTUBCQQ-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCC1(O)CCC1 ZINC000808068337 630947613 /nfs/dbraw/zinc/94/76/13/630947613.db2.gz UWGPSEHBBNCGKY-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCc2nccc(C(F)F)n2)c1 ZINC000808113262 630952836 /nfs/dbraw/zinc/95/28/36/630952836.db2.gz CIRQCNGCKOOFJF-UHFFFAOYSA-N 0 0 295.249 2.517 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@H](O)c1ccccc1 ZINC000808130438 630953509 /nfs/dbraw/zinc/95/35/09/630953509.db2.gz NBHBPJAXJWURBJ-YGRLFVJLSA-N 0 0 273.292 2.524 20 5 CFBDRN Nc1ccc(NC[C@H]2COc3ccccc32)c([N+](=O)[O-])c1 ZINC000808155783 630959236 /nfs/dbraw/zinc/95/92/36/630959236.db2.gz RWAVAPWNOAMCJU-JTQLQIEISA-N 0 0 285.303 2.765 20 5 CFBDRN CC1(C)CN(Cn2cc([N+](=O)[O-])cn2)[C@H]1c1cccs1 ZINC000808221628 630968400 /nfs/dbraw/zinc/96/84/00/630968400.db2.gz BQCHEUFFXGRXGW-LBPRGKRZSA-N 0 0 292.364 2.893 20 5 CFBDRN O=C(Nc1cccc2c1COC2=O)c1ccc([N+](=O)[O-])cc1 ZINC000808475376 630999463 /nfs/dbraw/zinc/99/94/63/630999463.db2.gz JTNLFRFKEIBYRM-UHFFFAOYSA-N 0 0 298.254 2.518 20 5 CFBDRN Cc1c(C(=O)Oc2c(C)cccc2[N+](=O)[O-])ccn1C ZINC000808644458 631022128 /nfs/dbraw/zinc/02/21/28/631022128.db2.gz MRKZLIWWOJEPIR-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867230474 631025174 /nfs/dbraw/zinc/02/51/74/631025174.db2.gz SQYTUGIBQGRQJG-LBPRGKRZSA-N 0 0 280.299 2.533 20 5 CFBDRN CC/C(C)=C(/C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000808794190 631049342 /nfs/dbraw/zinc/04/93/42/631049342.db2.gz CJHLXWGDHVJXHY-KTKRTIGZSA-N 0 0 263.297 2.699 20 5 CFBDRN O=C1OCc2ccc(NCCc3ccc([N+](=O)[O-])cc3)cc21 ZINC000809198039 631095783 /nfs/dbraw/zinc/09/57/83/631095783.db2.gz ALNWWTMNEHRTRN-UHFFFAOYSA-N 0 0 298.298 2.920 20 5 CFBDRN COC1(COC(=O)c2cc([N+](=O)[O-])cc(C)c2F)CCC1 ZINC000837660376 631100376 /nfs/dbraw/zinc/10/03/76/631100376.db2.gz AJGWKSZYNJXJEU-UHFFFAOYSA-N 0 0 297.282 2.768 20 5 CFBDRN Cc1cc(C(=O)OCCCOC(C)C)cc([N+](=O)[O-])c1 ZINC000113480503 635597349 /nfs/dbraw/zinc/59/73/49/635597349.db2.gz VOSKNKKNDIGEQB-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN CCCc1[nH]nc(C(=O)O[C@H](C)CC(C)C)c1[N+](=O)[O-] ZINC000837700918 631111706 /nfs/dbraw/zinc/11/17/06/631111706.db2.gz XZBCICWCXJNOLK-SECBINFHSA-N 0 0 283.328 2.862 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000837729282 631117971 /nfs/dbraw/zinc/11/79/71/631117971.db2.gz GGKITXNXBQNKNW-AOOOYVTPSA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(CCC(=O)c1cccc([N+](=O)[O-])c1)OCCCCF ZINC000837779369 631127955 /nfs/dbraw/zinc/12/79/55/631127955.db2.gz BLPVLGNJBDPVIA-UHFFFAOYSA-N 0 0 297.282 2.851 20 5 CFBDRN CC[C@H](O)CC(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000837807406 631132277 /nfs/dbraw/zinc/13/22/77/631132277.db2.gz DLXJNSOSIUIECN-JQWIXIFHSA-N 0 0 281.308 2.668 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]2CC(C)C)c(N)c([N+](=O)[O-])c1 ZINC000837901776 631149561 /nfs/dbraw/zinc/14/95/61/631149561.db2.gz VNPAWQWBGXUYRS-ZYHUDNBSSA-N 0 0 291.351 2.650 20 5 CFBDRN CC(C)Oc1cc(C(=O)OCCF)ccc1[N+](=O)[O-] ZINC000840647944 631173048 /nfs/dbraw/zinc/17/30/48/631173048.db2.gz HLYZTVWNXYYZQX-UHFFFAOYSA-N 0 0 271.244 2.508 20 5 CFBDRN CCCCNC(=S)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000840763984 631220584 /nfs/dbraw/zinc/22/05/84/631220584.db2.gz MVCDQKYBCXRWMH-JTQLQIEISA-N 0 0 281.381 2.920 20 5 CFBDRN CCCCCOc1ccc(OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000841003955 631301467 /nfs/dbraw/zinc/30/14/67/631301467.db2.gz IRCZJAPPNBONLY-ZIAGYGMSSA-N 0 0 293.319 2.826 20 5 CFBDRN CC(C)(C)c1cccc(OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000841007572 631303784 /nfs/dbraw/zinc/30/37/84/631303784.db2.gz ZCHZHRQGCDCDNU-VXGBXAGGSA-N 0 0 263.293 2.555 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000841015931 631306409 /nfs/dbraw/zinc/30/64/09/631306409.db2.gz PRIYLTDUXLGMCU-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN COCC(C)(C)CC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000841154216 631349041 /nfs/dbraw/zinc/34/90/41/631349041.db2.gz FCXRMNFQHUWMPB-UHFFFAOYSA-N 0 0 285.271 2.702 20 5 CFBDRN CSc1ccc([C@H](C)OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000841218047 631360648 /nfs/dbraw/zinc/36/06/48/631360648.db2.gz LKQTYXWYQIOPNZ-XXILOJSOSA-N 0 0 281.333 2.678 20 5 CFBDRN Cc1sc(C(=O)O[C@@H]2CCC(C)(C)C2=O)cc1[N+](=O)[O-] ZINC000840200195 631362893 /nfs/dbraw/zinc/36/28/93/631362893.db2.gz NUIFFJZUADOKKA-SECBINFHSA-N 0 0 297.332 2.879 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1CCCSC1 ZINC000810537900 631383810 /nfs/dbraw/zinc/38/38/10/631383810.db2.gz LSOZDQSRVMKKIE-QMMMGPOBSA-N 0 0 284.312 2.816 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1C=Cc1n[nH]cc1C=O ZINC000121508184 631408117 /nfs/dbraw/zinc/40/81/17/631408117.db2.gz SNDLGMRYMYOQLA-HWKANZROSA-N 0 0 287.275 2.700 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C1(F)CCCCC1 ZINC000810652584 631417859 /nfs/dbraw/zinc/41/78/59/631417859.db2.gz HPTYULVMCAVDOP-UHFFFAOYSA-N 0 0 294.326 2.926 20 5 CFBDRN COc1cc(COC(=O)C2(CCF)CC2)ccc1[N+](=O)[O-] ZINC000810704452 631430329 /nfs/dbraw/zinc/43/03/29/631430329.db2.gz RAAAHHFKJFHCTP-UHFFFAOYSA-N 0 0 297.282 2.786 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000810717298 631433763 /nfs/dbraw/zinc/43/37/63/631433763.db2.gz UHRKKJIDTNEZRM-VIFPVBQESA-N 0 0 297.332 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2cccnc2C)c1 ZINC000810887169 631469031 /nfs/dbraw/zinc/46/90/31/631469031.db2.gz HAWFQVORRZDVQD-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ncccc1COC(=O)c1csc([N+](=O)[O-])c1 ZINC000810887673 631469530 /nfs/dbraw/zinc/46/95/30/631469530.db2.gz AUDOVKQCJRQERB-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN COCC1(COC(=O)c2cc(C)ccc2[N+](=O)[O-])CCC1 ZINC000811061154 631509536 /nfs/dbraw/zinc/50/95/36/631509536.db2.gz HLKAXNDMJCCIED-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN C[C@@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000842048857 631513129 /nfs/dbraw/zinc/51/31/29/631513129.db2.gz LNMUWXQFEZZSEU-UTLUCORTSA-N 0 0 279.296 2.984 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000842048855 631513495 /nfs/dbraw/zinc/51/34/95/631513495.db2.gz LNMUWXQFEZZSEU-KXUCPTDWSA-N 0 0 279.296 2.984 20 5 CFBDRN C[C@@H]1[C@H](C)CN(c2nc3ccccn3c2[N+](=O)[O-])[C@H]1C ZINC000842049465 631513565 /nfs/dbraw/zinc/51/35/65/631513565.db2.gz UNRQVMARCDZKBA-MXWKQRLJSA-N 0 0 274.324 2.723 20 5 CFBDRN CC(C)CCOC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000842054362 631515150 /nfs/dbraw/zinc/51/51/50/631515150.db2.gz LOQZDIUFBMXDCH-UHFFFAOYSA-N 0 0 280.324 2.986 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCc1cncs1 ZINC000811125250 631522223 /nfs/dbraw/zinc/52/22/23/631522223.db2.gz NPJISWISDURQPZ-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCOCC1CCC1 ZINC000842072670 631525038 /nfs/dbraw/zinc/52/50/38/631525038.db2.gz ULBIRBMBAILPPX-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN CC(C)n1cnc(C(=O)OCc2csc([N+](=O)[O-])c2)c1 ZINC000811217255 631536799 /nfs/dbraw/zinc/53/67/99/631536799.db2.gz XZZYCNLHNBPWCM-UHFFFAOYSA-N 0 0 295.320 2.791 20 5 CFBDRN CC[C@@H](COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)OC ZINC000842103000 631542063 /nfs/dbraw/zinc/54/20/63/631542063.db2.gz BORMVRMVUCYXBA-MELADBBJSA-N 0 0 293.319 2.667 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)c1conc1C1CC1 ZINC000811319367 631551055 /nfs/dbraw/zinc/55/10/55/631551055.db2.gz OGWHWXLGDYKXJQ-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CNc1ccc(CO)cn1 ZINC000811501584 631572665 /nfs/dbraw/zinc/57/26/65/631572665.db2.gz OVDYOQNFAKVBMM-UHFFFAOYSA-N 0 0 293.710 2.748 20 5 CFBDRN CC(C)S[C@H]1CCCC[C@@H]1OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842332702 631595659 /nfs/dbraw/zinc/59/56/59/631595659.db2.gz RLWPVTWFODWMMO-WYUUTHIRSA-N 0 0 287.381 2.648 20 5 CFBDRN C[C@@H](COC(=O)[C@H]1CC(C)(C)Oc2ccccc21)[N+](=O)[O-] ZINC000842363536 631606682 /nfs/dbraw/zinc/60/66/82/631606682.db2.gz KIVBDLGUMKPTCO-JQWIXIFHSA-N 0 0 293.319 2.540 20 5 CFBDRN Nc1ccc(NCCn2cnc3ccccc32)c([N+](=O)[O-])c1 ZINC000811782554 631622557 /nfs/dbraw/zinc/62/25/57/631622557.db2.gz FMHNPSBJJLSTQE-UHFFFAOYSA-N 0 0 297.318 2.639 20 5 CFBDRN Cc1nn(CN2CCC(C)(C3CC3)CC2)c(C)c1[N+](=O)[O-] ZINC000811784953 631623267 /nfs/dbraw/zinc/62/32/67/631623267.db2.gz PJUUYWNWIYNBED-UHFFFAOYSA-N 0 0 292.383 2.878 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000811807424 631624937 /nfs/dbraw/zinc/62/49/37/631624937.db2.gz VRGLAZGMGLGQBB-JTQLQIEISA-N 0 0 290.266 2.625 20 5 CFBDRN CON(C[C@@H]1CCC[C@H](C)C1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000811812990 631626454 /nfs/dbraw/zinc/62/64/54/631626454.db2.gz GNSGWJUABXOQMZ-WDEREUQCSA-N 0 0 295.339 2.753 20 5 CFBDRN COc1cnc(NCc2ccc([N+](=O)[O-])c(Cl)c2)nc1 ZINC000811837155 631630023 /nfs/dbraw/zinc/63/00/23/631630023.db2.gz IRSXSOZUAJJLOK-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN C[C@H](NC(=O)NOC/C=C/Cl)c1cccc([N+](=O)[O-])c1 ZINC000811865036 631633854 /nfs/dbraw/zinc/63/38/54/631633854.db2.gz SEQQFGJDCUPHDN-SWTNXBIASA-N 0 0 299.714 2.639 20 5 CFBDRN Cc1ccc(-c2noc([C@@](C)(O)C(C)C)n2)cc1[N+](=O)[O-] ZINC000842488450 631642974 /nfs/dbraw/zinc/64/29/74/631642974.db2.gz QRTYKMFKPIPGID-AWEZNQCLSA-N 0 0 291.307 2.817 20 5 CFBDRN C[C@H](c1noc([C@@H]2CC2[N+](=O)[O-])n1)c1c(F)cccc1F ZINC000842497024 631645984 /nfs/dbraw/zinc/64/59/84/631645984.db2.gz YSAWKBRKXFMSAM-NYNCVSEMSA-N 0 0 295.245 2.632 20 5 CFBDRN CCC[C@](C)(O)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000842498425 631646726 /nfs/dbraw/zinc/64/67/26/631646726.db2.gz ZCOZJWADMNDDEY-NSHDSACASA-N 0 0 283.309 2.714 20 5 CFBDRN COC[C@H](C)OC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000867299862 631659264 /nfs/dbraw/zinc/65/92/64/631659264.db2.gz YONDPLQUGHMIPW-JTQLQIEISA-N 0 0 296.323 2.591 20 5 CFBDRN CCN(C[C@H](C)OC)C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000812264909 631681608 /nfs/dbraw/zinc/68/16/08/631681608.db2.gz MOEMQROEEWKVBK-JTQLQIEISA-N 0 0 297.380 2.648 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1-c1nc([C@H]2CCC[NH2+]2)no1 ZINC000842644542 631690248 /nfs/dbraw/zinc/69/02/48/631690248.db2.gz GRHFLQNLUHPOOC-SECBINFHSA-N 0 0 294.698 2.723 20 5 CFBDRN Cn1nc(C2CC2)nc1Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000842648715 631692167 /nfs/dbraw/zinc/69/21/67/631692167.db2.gz ZKQBECSADXYRKO-UHFFFAOYSA-N 0 0 297.318 2.604 20 5 CFBDRN CCOCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842660415 631695659 /nfs/dbraw/zinc/69/56/59/631695659.db2.gz HSGNFBJZEAPCHZ-UHFFFAOYSA-N 0 0 269.150 2.524 20 5 CFBDRN O=C(NOC/C=C\Cl)c1ccc([N+](=O)[O-])cc1Cl ZINC000812397863 631701330 /nfs/dbraw/zinc/70/13/30/631701330.db2.gz SLDRBSHSQHJEKW-RJRFIUFISA-N 0 0 291.090 2.662 20 5 CFBDRN O=C(NOC/C=C\Cl)c1cc([N+](=O)[O-])ccc1Cl ZINC000812407076 631705884 /nfs/dbraw/zinc/70/58/84/631705884.db2.gz JHXVUIZATKAMLT-RJRFIUFISA-N 0 0 291.090 2.662 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCCCF ZINC000812479531 631724080 /nfs/dbraw/zinc/72/40/80/631724080.db2.gz GISPCKYSYVDYOR-UHFFFAOYSA-N 0 0 257.221 2.665 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC(c3ccccc3F)C2)c1 ZINC000812485342 631724874 /nfs/dbraw/zinc/72/48/74/631724874.db2.gz HPSLWGNRVIZWEN-UHFFFAOYSA-N 0 0 287.294 2.920 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])cc1Cl)[C@H]1CCOC1 ZINC000812484527 631724956 /nfs/dbraw/zinc/72/49/56/631724956.db2.gz JODYHECJLYASJG-JTQLQIEISA-N 0 0 270.716 2.863 20 5 CFBDRN CC(C)(CCc1noc(CCC2CCC2)n1)[N+](=O)[O-] ZINC000812812473 631788658 /nfs/dbraw/zinc/78/86/58/631788658.db2.gz IXKWIMXKCVTSCA-UHFFFAOYSA-N 0 0 267.329 2.790 20 5 CFBDRN CC(C)CO[C@H](C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812815769 631789712 /nfs/dbraw/zinc/78/97/12/631789712.db2.gz YGKZJISZZINUDU-SNVBAGLBSA-N 0 0 285.344 2.791 20 5 CFBDRN CCC1(c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCOCC1 ZINC000812814731 631789793 /nfs/dbraw/zinc/78/97/93/631789793.db2.gz OATQUTLMDSIQMM-UHFFFAOYSA-N 0 0 297.355 2.516 20 5 CFBDRN Cc1ccc(Cc2nc(CCC(C)(C)[N+](=O)[O-])no2)o1 ZINC000812815833 631789893 /nfs/dbraw/zinc/78/98/93/631789893.db2.gz BBNPKMAWDVJFNT-UHFFFAOYSA-N 0 0 279.296 2.550 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CCO)c2cccs2)c(F)c1 ZINC000840269110 631789913 /nfs/dbraw/zinc/78/99/13/631789913.db2.gz XJCAFIYDXREJHT-JTQLQIEISA-N 0 0 297.311 2.726 20 5 CFBDRN CC(C)(CCc1noc(C(C2CC2)C2CC2)n1)[N+](=O)[O-] ZINC000812816207 631790325 /nfs/dbraw/zinc/79/03/25/631790325.db2.gz MNEIRPZOGQKJGC-UHFFFAOYSA-N 0 0 279.340 2.961 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCCC2(O)CCC2)c1[N+](=O)[O-] ZINC000840269914 631790791 /nfs/dbraw/zinc/79/07/91/631790791.db2.gz PZRUUYCRONOROC-UHFFFAOYSA-N 0 0 297.282 2.504 20 5 CFBDRN C[C@H](COC(=O)c1ccc(-c2ccccc2)n1C)[N+](=O)[O-] ZINC000813103049 631838085 /nfs/dbraw/zinc/83/80/85/631838085.db2.gz MJMBLPQQUYMPQD-LLVKDONJSA-N 0 0 288.303 2.514 20 5 CFBDRN CC(C)COc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813105031 631840055 /nfs/dbraw/zinc/84/00/55/631840055.db2.gz UFOYPRNUPFYZCF-NSHDSACASA-N 0 0 281.308 2.543 20 5 CFBDRN Cc1c(C(=O)OC[C@H](C)[N+](=O)[O-])cnn1C1CCCCC1 ZINC000813104167 631840120 /nfs/dbraw/zinc/84/01/20/631840120.db2.gz XIABWXRFKXSKBG-JTQLQIEISA-N 0 0 295.339 2.519 20 5 CFBDRN C[C@H](COC(=O)[C@H]1CCC[C@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000813104447 631840236 /nfs/dbraw/zinc/84/02/36/631840236.db2.gz CUYUTTRUEYEELY-VGMNWLOBSA-N 0 0 283.246 2.564 20 5 CFBDRN C[C@H](COC(=O)c1ccc(Cl)c2ncccc12)[N+](=O)[O-] ZINC000813103444 631840340 /nfs/dbraw/zinc/84/03/40/631840340.db2.gz AUFODHBJJCSMKL-MRVPVSSYSA-N 0 0 294.694 2.710 20 5 CFBDRN Cc1ccc(C(=O)CCCC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813105138 631840422 /nfs/dbraw/zinc/84/04/22/631840422.db2.gz JUZVPYFPGAGDAO-GFCCVEGCSA-N 0 0 293.319 2.556 20 5 CFBDRN C[C@H](COC(=O)CC1CCC(C)(C)CC1)[N+](=O)[O-] ZINC000813106273 631841593 /nfs/dbraw/zinc/84/15/93/631841593.db2.gz BWHPYZALCUFYEB-SNVBAGLBSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@@H](COC(=O)C1(c2ccccc2)CCCC1)[N+](=O)[O-] ZINC000813109436 631842944 /nfs/dbraw/zinc/84/29/44/631842944.db2.gz SHCIQCASSZMVKP-LBPRGKRZSA-N 0 0 277.320 2.707 20 5 CFBDRN CC(C)Cc1ccccc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813109977 631843355 /nfs/dbraw/zinc/84/33/55/631843355.db2.gz XZPFJRSJIZLRRO-NSHDSACASA-N 0 0 265.309 2.707 20 5 CFBDRN C[C@H](C(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(Cl)s1 ZINC000813110086 631843804 /nfs/dbraw/zinc/84/38/04/631843804.db2.gz ZGMNZADVPHSPQI-BQBZGAKWSA-N 0 0 277.729 2.713 20 5 CFBDRN C/C(=C\C(=O)OC[C@@H](C)[N+](=O)[O-])c1ccccc1Cl ZINC000813111533 631844357 /nfs/dbraw/zinc/84/43/57/631844357.db2.gz UHVHHTGUTKXNOB-TTZKWOQHSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@H](COC(=O)c1cccc(C(F)(F)F)c1)[N+](=O)[O-] ZINC000813112979 631844728 /nfs/dbraw/zinc/84/47/28/631844728.db2.gz NAZNPWGGFYAJNG-SSDOTTSWSA-N 0 0 277.198 2.527 20 5 CFBDRN C[C@@H](COC(=O)/C=C\c1cnc(C(C)(C)C)s1)[N+](=O)[O-] ZINC000813114232 631846093 /nfs/dbraw/zinc/84/60/93/631846093.db2.gz OCSZCIIACOYNBN-UDIARPCQSA-N 0 0 298.364 2.662 20 5 CFBDRN C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1Cl)n1cccn1 ZINC000813242832 631890701 /nfs/dbraw/zinc/89/07/01/631890701.db2.gz WMRAUPTYUJXGEL-QMMMGPOBSA-N 0 0 294.698 2.644 20 5 CFBDRN C[C@]1(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCOC1 ZINC000813247188 631891250 /nfs/dbraw/zinc/89/12/50/631891250.db2.gz ZIHWTTMDBNGPJV-LBPRGKRZSA-N 0 0 284.699 2.613 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2ccc(F)cc2[N+](=O)[O-])C1=O ZINC000840286235 631895101 /nfs/dbraw/zinc/89/51/01/631895101.db2.gz IZFNAACRBSUZMT-NSHDSACASA-N 0 0 295.266 2.648 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000813320745 631899905 /nfs/dbraw/zinc/89/99/05/631899905.db2.gz XVMHNBRNLADPPC-JTQLQIEISA-N 0 0 298.314 2.539 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCC1CC(F)(F)C1 ZINC000813608805 631940923 /nfs/dbraw/zinc/94/09/23/631940923.db2.gz JXTLQYYAISCKAP-UHFFFAOYSA-N 0 0 287.222 2.960 20 5 CFBDRN O=[N+]([O-])c1c(NCC2CC(F)(F)C2)nc2ccccn21 ZINC000813608621 631940947 /nfs/dbraw/zinc/94/09/47/631940947.db2.gz ITOBYLYLYUCVGM-UHFFFAOYSA-N 0 0 282.250 2.700 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC(C3CC3)CC2)nc2ccccn21 ZINC000813619198 631941977 /nfs/dbraw/zinc/94/19/77/631941977.db2.gz UDJHEJYDLXJBBG-UHFFFAOYSA-N 0 0 286.335 2.869 20 5 CFBDRN CC[C@H](C)CONc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000813622338 631943187 /nfs/dbraw/zinc/94/31/87/631943187.db2.gz POYLCHMVGJKYBO-VIFPVBQESA-N 0 0 282.296 2.771 20 5 CFBDRN CCCN(C)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000813756270 631956586 /nfs/dbraw/zinc/95/65/86/631956586.db2.gz CCDFBVUXWHOEAN-UHFFFAOYSA-N 0 0 279.340 2.881 20 5 CFBDRN COCC(C)(C)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000813996729 631989172 /nfs/dbraw/zinc/98/91/72/631989172.db2.gz BIUYUWACHFXXOQ-LLVKDONJSA-N 0 0 295.335 2.914 20 5 CFBDRN CC(C)CC1(C(=O)O[C@H](C)C[N+](=O)[O-])CCCC1 ZINC000814033434 631997144 /nfs/dbraw/zinc/99/71/44/631997144.db2.gz AEWMEGCEGATQIU-LLVKDONJSA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)[C@H]1CC[C@H](C(=O)O[C@H](C)C[N+](=O)[O-])CC1 ZINC000814034609 631997236 /nfs/dbraw/zinc/99/72/36/631997236.db2.gz IVFGUGSDWFCVBA-IJLUTSLNSA-N 0 0 257.330 2.657 20 5 CFBDRN Cc1ccc(OCCCC(=O)O[C@@H](C)C[N+](=O)[O-])cc1C ZINC000814035872 631997402 /nfs/dbraw/zinc/99/74/02/631997402.db2.gz QDVAJUVDOQGDTN-ZDUSSCGKSA-N 0 0 295.335 2.671 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc2ccccc2s1 ZINC000814037813 631997699 /nfs/dbraw/zinc/99/76/99/631997699.db2.gz GZASOSLVRHTIEV-MRVPVSSYSA-N 0 0 265.290 2.723 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1CCC(C(F)(F)F)CC1 ZINC000814036369 631997720 /nfs/dbraw/zinc/99/77/20/631997720.db2.gz WKNUIROKGDHZMI-AFPNSQJFSA-N 0 0 283.246 2.564 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccnc(SC(C)(C)C)c1 ZINC000814036777 631998111 /nfs/dbraw/zinc/99/81/11/631998111.db2.gz KSWNCSLBQJDBQM-SECBINFHSA-N 0 0 298.364 2.794 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@H](C)CSCc1ccccc1 ZINC000814037621 631998119 /nfs/dbraw/zinc/99/81/19/631998119.db2.gz ZUXSREVSKACDNH-NEPJUHHUSA-N 0 0 297.376 2.764 20 5 CFBDRN CSCc1cc(C(=O)O[C@H](C)C[N+](=O)[O-])ccc1F ZINC000814038103 631998589 /nfs/dbraw/zinc/99/85/89/631998589.db2.gz MFFQILADXBVGDG-MRVPVSSYSA-N 0 0 287.312 2.511 20 5 CFBDRN Cc1ccsc1CCCC(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814038627 631998818 /nfs/dbraw/zinc/99/88/18/631998818.db2.gz FOMKQXBFEYSGJB-SNVBAGLBSA-N 0 0 271.338 2.588 20 5 CFBDRN COc1ccc2oc(C(=O)O[C@@H](C)C[N+](=O)[O-])c(C)c2c1 ZINC000814038435 631998912 /nfs/dbraw/zinc/99/89/12/631998912.db2.gz PXMLQOVRXZGLKI-QMMMGPOBSA-N 0 0 293.275 2.572 20 5 CFBDRN Cc1ccc(C(=O)CCCC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814038742 631998950 /nfs/dbraw/zinc/99/89/50/631998950.db2.gz GYGQTGNBPJILCL-GFCCVEGCSA-N 0 0 293.319 2.556 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C(C)(C)c1ccc(Cl)cc1 ZINC000814042950 631999548 /nfs/dbraw/zinc/99/95/48/631999548.db2.gz RAOXHTPXFKDURJ-SECBINFHSA-N 0 0 285.727 2.826 20 5 CFBDRN CC[C@H](C)Oc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814041083 631999691 /nfs/dbraw/zinc/99/96/91/631999691.db2.gz NBQHLFKWOBVZGH-QWRGUYRKSA-N 0 0 281.308 2.686 20 5 CFBDRN CCC[C@@H](C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814040754 631999714 /nfs/dbraw/zinc/99/97/14/631999714.db2.gz KTFFJYZLXOETRL-WCQYABFASA-N 0 0 265.309 2.779 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)C[N+](=O)[O-])c(C)cc1Cl ZINC000814044152 632000109 /nfs/dbraw/zinc/00/01/09/632000109.db2.gz BWSDZCGQZHGGCZ-SECBINFHSA-N 0 0 271.700 2.779 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)COc1ccccc1C(C)(C)C ZINC000814044601 632000224 /nfs/dbraw/zinc/00/02/24/632000224.db2.gz RNCQNOCWRUXRBF-NSHDSACASA-N 0 0 295.335 2.571 20 5 CFBDRN CC(C)COc1ccccc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814045531 632000919 /nfs/dbraw/zinc/00/09/19/632000919.db2.gz UODZJORPABUSBQ-LLVKDONJSA-N 0 0 281.308 2.543 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cncc(-c2ccccc2)c1 ZINC000814045522 632000965 /nfs/dbraw/zinc/00/09/65/632000965.db2.gz UKAYJUUHJOQNRD-NSHDSACASA-N 0 0 286.287 2.571 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1ccc(Cl)cc1Cl ZINC000814047755 632001176 /nfs/dbraw/zinc/00/11/76/632001176.db2.gz XHUJCMZLUNWIFQ-ZETCQYMHSA-N 0 0 292.118 2.744 20 5 CFBDRN CCN(c1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1)C(C)C ZINC000814049137 632001927 /nfs/dbraw/zinc/00/19/27/632001927.db2.gz ZJBZZZHSHDNQTK-LBPRGKRZSA-N 0 0 294.351 2.743 20 5 CFBDRN Cc1ncoc1COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000814096763 632011523 /nfs/dbraw/zinc/01/15/23/632011523.db2.gz ILFAAFSQIDONNK-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CC[C@@H](C)NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843749514 632048773 /nfs/dbraw/zinc/04/87/73/632048773.db2.gz KFVBQSJQZDAHNY-SSDOTTSWSA-N 0 0 254.261 2.571 20 5 CFBDRN Cc1nc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c(C)o1 ZINC000814308445 632051266 /nfs/dbraw/zinc/05/12/66/632051266.db2.gz KXVYBARGKZGPBX-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2)C1 ZINC000814384101 632058560 /nfs/dbraw/zinc/05/85/60/632058560.db2.gz BOTGPUWKABBHBV-PWSUYJOCSA-N 0 0 279.292 2.705 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC000814404675 632059458 /nfs/dbraw/zinc/05/94/58/632059458.db2.gz BTRXCKPISZBKRN-ONGXEEELSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N(C)C1CCC1 ZINC000843808303 632059745 /nfs/dbraw/zinc/05/97/45/632059745.db2.gz OCXYJEHAJKAASL-UHFFFAOYSA-N 0 0 266.272 2.667 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H]1C ZINC000843809945 632060702 /nfs/dbraw/zinc/06/07/02/632060702.db2.gz BFZULDSURDWPOX-QMMMGPOBSA-N 0 0 266.272 2.667 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCC1CCOCC1 ZINC000814452428 632066416 /nfs/dbraw/zinc/06/64/16/632066416.db2.gz PYTZKNOAKJQRGN-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCCCCO1 ZINC000843866047 632068731 /nfs/dbraw/zinc/06/87/31/632068731.db2.gz JHMSILJTNIGUGR-UHFFFAOYSA-N 0 0 282.271 2.600 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@H]1C ZINC000843884582 632070733 /nfs/dbraw/zinc/07/07/33/632070733.db2.gz DSNIEKSTIPZYOH-GMSGAONNSA-N 0 0 266.272 2.571 20 5 CFBDRN CC[C@H](C)CONC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843893527 632072633 /nfs/dbraw/zinc/07/26/33/632072633.db2.gz OPYCHBDQMCINFZ-QMMMGPOBSA-N 0 0 284.287 2.750 20 5 CFBDRN CC/C=C\CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843900101 632074612 /nfs/dbraw/zinc/07/46/12/632074612.db2.gz WIDRSVFFIHZHIF-PLNGDYQASA-N 0 0 266.272 2.738 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843901718 632074887 /nfs/dbraw/zinc/07/48/87/632074887.db2.gz UTXWGMSMZVNDKI-ZJUUUORDSA-N 0 0 280.299 2.818 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C1(F)CCCC1 ZINC000843968446 632085985 /nfs/dbraw/zinc/08/59/85/632085985.db2.gz UKRDAMNBLKPGMD-UHFFFAOYSA-N 0 0 281.287 2.857 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)OCc2cccc([N+](=O)[O-])c2)C1 ZINC000814557833 632091364 /nfs/dbraw/zinc/09/13/64/632091364.db2.gz QBLVZJQZBHUZPK-JSGCOSHPSA-N 0 0 293.319 2.843 20 5 CFBDRN CCON(C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C(C)C ZINC000844076973 632108594 /nfs/dbraw/zinc/10/85/94/632108594.db2.gz CLJSOJXAXQFVKY-UHFFFAOYSA-N 0 0 291.307 2.878 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OCCn1cccn1 ZINC000814774145 632120631 /nfs/dbraw/zinc/12/06/31/632120631.db2.gz KHDGPXDIIDKCCE-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN O=C(COc1cccc(Cl)c1[N+](=O)[O-])c1nccs1 ZINC000814775456 632121951 /nfs/dbraw/zinc/12/19/51/632121951.db2.gz MEIDIPXLCWTQMW-UHFFFAOYSA-N 0 0 298.707 2.966 20 5 CFBDRN O=C(COc1cccc(Cl)c1[N+](=O)[O-])c1ccc[nH]1 ZINC000814774684 632121988 /nfs/dbraw/zinc/12/19/88/632121988.db2.gz YXLUUDOOJCEBIU-UHFFFAOYSA-N 0 0 280.667 2.838 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCc2nc3ccccc3[nH]2)c1 ZINC000814816270 632131739 /nfs/dbraw/zinc/13/17/39/632131739.db2.gz MGHFWPWIFVACMB-UHFFFAOYSA-N 0 0 297.318 2.708 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000840327105 632153919 /nfs/dbraw/zinc/15/39/19/632153919.db2.gz OEVFVOZBDKCHDE-PHIMTYICSA-N 0 0 290.323 2.610 20 5 CFBDRN COC/C(C)=C\C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000844298214 632160607 /nfs/dbraw/zinc/16/06/07/632160607.db2.gz IVSHGFIABAEIOY-YVMONPNESA-N 0 0 284.699 2.779 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC[C@@H](C)F)c1 ZINC000814914867 632162620 /nfs/dbraw/zinc/16/26/20/632162620.db2.gz PVWPVOKHMDOHMK-SSDOTTSWSA-N 0 0 260.240 2.903 20 5 CFBDRN C[C@@H](NCc1cnn(C)c1Cl)c1ccccc1[N+](=O)[O-] ZINC000815136083 632214059 /nfs/dbraw/zinc/21/40/59/632214059.db2.gz YGXRDUHDPXAGBV-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN CCOc1cc(CN2C[C@H]3[C@@H](C2)C3(F)F)ccc1[N+](=O)[O-] ZINC000844455691 632218466 /nfs/dbraw/zinc/21/84/66/632218466.db2.gz BSNZQVIJZNNUMN-PHIMTYICSA-N 0 0 298.289 2.690 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000844552582 632244885 /nfs/dbraw/zinc/24/48/85/632244885.db2.gz RWEZHDDVMYDMIC-CQSZACIVSA-N 0 0 298.289 2.627 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ncoc1C1CC1 ZINC000815327367 632251685 /nfs/dbraw/zinc/25/16/85/632251685.db2.gz ZQPUZOHXVFQMSE-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN Cc1c[nH]nc1COCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000844770307 632304059 /nfs/dbraw/zinc/30/40/59/632304059.db2.gz DAQNNWBBBHQGTE-UHFFFAOYSA-N 0 0 281.699 2.997 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@@]1(C)C[C@]1(F)Cl)[N+](=O)[O-] ZINC000844799545 632310381 /nfs/dbraw/zinc/31/03/81/632310381.db2.gz CRWSGPXWQUNTBA-NWDGAFQWSA-N 0 0 294.754 2.643 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])[N@@H+]1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000844836368 632320986 /nfs/dbraw/zinc/32/09/86/632320986.db2.gz ATCHWYMSBDCFQM-PRHODGIISA-N 0 0 298.726 2.686 20 5 CFBDRN C[C@@H](C(=O)OCCc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000815982849 632346665 /nfs/dbraw/zinc/34/66/65/632346665.db2.gz JDQBJKNLDINJFG-VIFPVBQESA-N 0 0 287.262 2.972 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000815988907 632348211 /nfs/dbraw/zinc/34/82/11/632348211.db2.gz ZVORBPPRFPZSKI-JOYOIKCWSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1ocnc1C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000105763651 632370365 /nfs/dbraw/zinc/37/03/65/632370365.db2.gz DXULWZOEVQLDTG-QMMMGPOBSA-N 0 0 276.248 2.809 20 5 CFBDRN CCC1(COC(=O)c2cc([N+](=O)[O-])ccc2OC)CC1 ZINC000816185204 632389625 /nfs/dbraw/zinc/38/96/25/632389625.db2.gz FJSBBCUHHPAGLW-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CCc1ccc(C(=O)OC[C@@H](OC)C(C)C)cc1[N+](=O)[O-] ZINC000816348312 632421642 /nfs/dbraw/zinc/42/16/42/632421642.db2.gz PWBBXCXRXLOREI-CQSZACIVSA-N 0 0 295.335 2.985 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000816355263 632426232 /nfs/dbraw/zinc/42/62/32/632426232.db2.gz FIEPLNLWLRKZDR-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN COc1cc(C(=O)OCc2ccc(C)o2)ccc1[N+](=O)[O-] ZINC000816426658 632454073 /nfs/dbraw/zinc/45/40/73/632454073.db2.gz QUJNUSIOKLUGOL-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CC[C@@]1(O)CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000845520473 632456007 /nfs/dbraw/zinc/45/60/07/632456007.db2.gz QWFWWGLNGHVWOG-GFCCVEGCSA-N 0 0 288.706 2.739 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)[C@H](CC)CO1 ZINC000845650623 632505307 /nfs/dbraw/zinc/50/53/07/632505307.db2.gz OJBQYZIMRVVBFG-BXUZGUMPSA-N 0 0 264.325 2.989 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)[C@](C)(O)c1ccccc1 ZINC000845657041 632508325 /nfs/dbraw/zinc/50/83/25/632508325.db2.gz QKVOWMBMAIBXEU-ZANVPECISA-N 0 0 293.348 2.759 20 5 CFBDRN C[C@H](CO)C1CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000845707280 632529384 /nfs/dbraw/zinc/52/93/84/632529384.db2.gz GKNCXKSONSKYJR-SNVBAGLBSA-N 0 0 282.315 2.579 20 5 CFBDRN Nc1ccc(N2CCC[C@@]3(CC3(F)F)C2)c([N+](=O)[O-])c1 ZINC000845742107 632548075 /nfs/dbraw/zinc/54/80/75/632548075.db2.gz JUTPCRZDTNHTBC-GFCCVEGCSA-N 0 0 283.278 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@]3(CC3(F)F)C2)cn1 ZINC000845743859 632548937 /nfs/dbraw/zinc/54/89/37/632548937.db2.gz YSJGKCUDXZKEKM-LLVKDONJSA-N 0 0 269.251 2.615 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCC[C@@H]1CC1(Cl)Cl ZINC000845744801 632549704 /nfs/dbraw/zinc/54/97/04/632549704.db2.gz NCWPPWUGDFWVCV-SSDOTTSWSA-N 0 0 293.154 2.714 20 5 CFBDRN Cc1cc(N(C)[C@H](C)CCO)c(Cl)cc1[N+](=O)[O-] ZINC000845751837 632551886 /nfs/dbraw/zinc/55/18/86/632551886.db2.gz HZBKAUMLKYQVCZ-SECBINFHSA-N 0 0 272.732 2.764 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000817126477 632561709 /nfs/dbraw/zinc/56/17/09/632561709.db2.gz VOUHOZYQESDGRZ-GXSJLCMTSA-N 0 0 295.295 2.873 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@@H](O)CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000817124594 632561816 /nfs/dbraw/zinc/56/18/16/632561816.db2.gz KPEFFPZKSODUFK-PWSUYJOCSA-N 0 0 292.335 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](CCO)CC2)cc1 ZINC000817130656 632562597 /nfs/dbraw/zinc/56/25/97/632562597.db2.gz PRZBCPSSAFKAFE-GFCCVEGCSA-N 0 0 264.325 2.584 20 5 CFBDRN COc1cc(COC(=O)[C@H]2CC23CC3)c([N+](=O)[O-])cc1F ZINC000817232441 632576913 /nfs/dbraw/zinc/57/69/13/632576913.db2.gz JDJQSRYGMBKQBD-SECBINFHSA-N 0 0 295.266 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCCO1 ZINC000817240047 632581658 /nfs/dbraw/zinc/58/16/58/632581658.db2.gz TWNHELCBTJYORU-NSHDSACASA-N 0 0 279.292 2.547 20 5 CFBDRN C[C@@H]1CC[C@@H](O)CN1Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000817414027 632625670 /nfs/dbraw/zinc/62/56/70/632625670.db2.gz DPJIUIAVUGYQCS-MWLCHTKSSA-N 0 0 284.743 2.593 20 5 CFBDRN C[C@]1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CC1(F)F ZINC000817423596 632629203 /nfs/dbraw/zinc/62/92/03/632629203.db2.gz OLQFMWMMQWJMLA-SNVBAGLBSA-N 0 0 274.198 2.718 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000817423634 632629215 /nfs/dbraw/zinc/62/92/15/632629215.db2.gz PAGVERFRRQYSAK-GMTAPVOTSA-N 0 0 291.303 2.945 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1CC12CC2 ZINC000817438400 632634589 /nfs/dbraw/zinc/63/45/89/632634589.db2.gz FRBHHTPMBHNGGG-JTQLQIEISA-N 0 0 280.711 2.665 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000817449542 632638698 /nfs/dbraw/zinc/63/86/98/632638698.db2.gz KJAZVAWDZXENIF-VXGBXAGGSA-N 0 0 293.319 2.936 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000817449539 632638923 /nfs/dbraw/zinc/63/89/23/632638923.db2.gz KJAZVAWDZXENIF-NEPJUHHUSA-N 0 0 293.319 2.936 20 5 CFBDRN CC(C)N(C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])[C@@H](C)c1ccsc1 ZINC000817485832 632648714 /nfs/dbraw/zinc/64/87/14/632648714.db2.gz PUFYAZYVVIIDCG-MVWJERBFSA-N 0 0 282.365 2.711 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817607400 632675513 /nfs/dbraw/zinc/67/55/13/632675513.db2.gz SPVFFCYZWQAXPC-VIFPVBQESA-N 0 0 280.324 2.919 20 5 CFBDRN CCc1ccc(OC(=O)[C@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000817717641 632691193 /nfs/dbraw/zinc/69/11/93/632691193.db2.gz RSBLHHGHZJNKBT-SNVBAGLBSA-N 0 0 261.277 2.863 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@H]1CCO[C@@H]1C ZINC000817726879 632695276 /nfs/dbraw/zinc/69/52/76/632695276.db2.gz JDDXQZNMKBQISZ-MFKMUULPSA-N 0 0 279.292 2.546 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@@H]1CCOC[C@H]1C ZINC000817743591 632701633 /nfs/dbraw/zinc/70/16/33/632701633.db2.gz VHGFYBFJJBRPIZ-BXUZGUMPSA-N 0 0 293.319 2.793 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@@H]1CCOC[C@@H]1C ZINC000817743596 632701673 /nfs/dbraw/zinc/70/16/73/632701673.db2.gz VHGFYBFJJBRPIZ-SMDDNHRTSA-N 0 0 293.319 2.793 20 5 CFBDRN C[C@@H](COC(=O)c1cc([N+](=O)[O-])cn1C)C1CCC1 ZINC000817752707 632705066 /nfs/dbraw/zinc/70/50/66/632705066.db2.gz ROQLIOOOBHOWNS-VIFPVBQESA-N 0 0 266.297 2.526 20 5 CFBDRN C[C@H](COC(=O)CCC(C)(C)[N+](=O)[O-])C1CCC1 ZINC000817755783 632707071 /nfs/dbraw/zinc/70/70/71/632707071.db2.gz PNNIPEWYIUGZNT-SNVBAGLBSA-N 0 0 257.330 2.801 20 5 CFBDRN C/C(=C\C(=O)N1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000846629982 632762632 /nfs/dbraw/zinc/76/26/32/632762632.db2.gz IPMLXCUOUJLYCH-STRFDMGBSA-N 0 0 292.310 2.959 20 5 CFBDRN Cc1ccc(N2CCO[C@@H](C3CCC3)C2)c([N+](=O)[O-])c1 ZINC000840356921 632824697 /nfs/dbraw/zinc/82/46/97/632824697.db2.gz DHWCSSRKWLTHBP-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2C[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000818466114 632847976 /nfs/dbraw/zinc/84/79/76/632847976.db2.gz JIWPZCLZGHUYHM-IUCAKERBSA-N 0 0 266.272 2.523 20 5 CFBDRN CC(C)(CNc1ccc(N)cc1[N+](=O)[O-])OCC1CC1 ZINC000840367275 632896847 /nfs/dbraw/zinc/89/68/47/632896847.db2.gz NPSBNYVOWCUOEU-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CC2(Cl)Cl)nc1 ZINC000856785862 635860067 /nfs/dbraw/zinc/86/00/67/635860067.db2.gz MWIJMBJUPCNDJN-ZETCQYMHSA-N 0 0 276.123 2.986 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000840370596 632924987 /nfs/dbraw/zinc/92/49/87/632924987.db2.gz XWZRCLPYVQYZLR-UTLUCORTSA-N 0 0 298.314 2.968 20 5 CFBDRN Cc1ccc(NC(=O)/C=C/[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000818996859 632926137 /nfs/dbraw/zinc/92/61/37/632926137.db2.gz XRYQHSSPGRKLIY-NNNHXZLVSA-N 0 0 276.292 2.577 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000818996861 632926152 /nfs/dbraw/zinc/92/61/52/632926152.db2.gz XRYQHSSPGRKLIY-ZHRWSRJISA-N 0 0 276.292 2.577 20 5 CFBDRN CC(C)(C)N1CC[C@H](Nc2cc([N+](=O)[O-])ccc2F)C1=O ZINC000819066742 632949317 /nfs/dbraw/zinc/94/93/17/632949317.db2.gz FJZOLQPVNLVPSY-NSHDSACASA-N 0 0 295.314 2.545 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC(=O)CC1=CCCCC1 ZINC000106986066 633008383 /nfs/dbraw/zinc/00/83/83/633008383.db2.gz UXQQSAPGJXOVOI-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN Cn1cc(COC(=O)CCCC2CCCC2)c([N+](=O)[O-])n1 ZINC000847783841 633075997 /nfs/dbraw/zinc/07/59/97/633075997.db2.gz LMRCBPITZPBGGY-UHFFFAOYSA-N 0 0 295.339 2.732 20 5 CFBDRN CC(C)CCCCCC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847784757 633076179 /nfs/dbraw/zinc/07/61/79/633076179.db2.gz WOPGMWROFFHDME-UHFFFAOYSA-N 0 0 297.355 2.978 20 5 CFBDRN Cn1cc(COC(=O)CC2(C)CCCCC2)c([N+](=O)[O-])n1 ZINC000847783407 633076470 /nfs/dbraw/zinc/07/64/70/633076470.db2.gz DRIKZRUJSOGZAY-UHFFFAOYSA-N 0 0 295.339 2.732 20 5 CFBDRN CCc1ccc(C(=O)OCC[C@H](C)COC)cc1[N+](=O)[O-] ZINC000847874334 633122021 /nfs/dbraw/zinc/12/20/21/633122021.db2.gz GIWICSXLJHWALD-NSHDSACASA-N 0 0 295.335 2.987 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000847924009 633148928 /nfs/dbraw/zinc/14/89/28/633148928.db2.gz AOJGAVOTJYIRMI-HAQNSBGRSA-N 0 0 293.319 2.936 20 5 CFBDRN C[C@H]1C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])CC(C)(C)C1=O ZINC000847944742 633159029 /nfs/dbraw/zinc/15/90/29/633159029.db2.gz WZLPIBCQZWNGSM-QWRGUYRKSA-N 0 0 299.367 2.759 20 5 CFBDRN Cc1nn(Cc2cnc(Cl)c(F)c2)c(C)c1[N+](=O)[O-] ZINC000848423404 633257404 /nfs/dbraw/zinc/25/74/04/633257404.db2.gz RDUMLCZKGFMTAJ-UHFFFAOYSA-N 0 0 284.678 2.644 20 5 CFBDRN CCN1C[C@@H](CSCc2ccc([N+](=O)[O-])cc2)OC1=O ZINC000848434838 633259436 /nfs/dbraw/zinc/25/94/36/633259436.db2.gz MIZFHJPHMZJJCR-LBPRGKRZSA-N 0 0 296.348 2.669 20 5 CFBDRN C[C@H]1C[C@H]1C(N)=NOCc1ccc([N+](=O)[O-])cc1Cl ZINC000848518976 633273114 /nfs/dbraw/zinc/27/31/14/633273114.db2.gz KGKUPHGHZHGBAI-OIBJUYFYSA-N 0 0 283.715 2.903 20 5 CFBDRN C[C@@H]1C[C@@H]1C(N)=NOCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000848520905 633275141 /nfs/dbraw/zinc/27/51/41/633275141.db2.gz RXWADYXIMJTXQS-XCBNKYQSSA-N 0 0 283.715 2.903 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@](C)(O)c1ccco1 ZINC000848668077 633314283 /nfs/dbraw/zinc/31/42/83/633314283.db2.gz NRVUBHXJOXIDDJ-AWEZNQCLSA-N 0 0 292.291 2.516 20 5 CFBDRN CCc1nocc1COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000848685466 633317198 /nfs/dbraw/zinc/31/71/98/633317198.db2.gz KLJOAMNPYLRDJF-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN C/C(=C/C(=O)N[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000819627340 633402364 /nfs/dbraw/zinc/40/23/64/633402364.db2.gz VBMYLUUSRJWCMF-KJJQSCHISA-N 0 0 272.304 2.667 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC12CC2 ZINC000819627169 633402695 /nfs/dbraw/zinc/40/26/95/633402695.db2.gz OYCBTVYMEYDJMO-AORWBKJGSA-N 0 0 272.304 2.667 20 5 CFBDRN O=C(Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)OC1CCCC1 ZINC000849417011 633632708 /nfs/dbraw/zinc/63/27/08/633632708.db2.gz HACLPMRPJRIBAI-UHFFFAOYSA-N 0 0 296.235 2.961 20 5 CFBDRN O=C(OCc1cc(C2CC2)no1)c1cccc([N+](=O)[O-])c1 ZINC000849628087 633645472 /nfs/dbraw/zinc/64/54/72/633645472.db2.gz KXBWLCCQMPAVAK-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN CO[C@@H](COC(=O)c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000849628667 633646394 /nfs/dbraw/zinc/64/63/94/633646394.db2.gz NELCUPGZNJTUBR-ZDUSSCGKSA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@@H](O)CCOC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000849663285 633662169 /nfs/dbraw/zinc/66/21/69/633662169.db2.gz RSQLKKCULAJQMR-SNVBAGLBSA-N 0 0 295.335 2.820 20 5 CFBDRN CCOCCCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849689874 633674456 /nfs/dbraw/zinc/67/44/56/633674456.db2.gz KHCBWTOFWFAJID-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(C)ccc1[N+](=O)[O-])C1CCC1 ZINC000849734589 633694484 /nfs/dbraw/zinc/69/44/84/633694484.db2.gz LSMVCCNMSKSUIC-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN COCCC[C@@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849754340 633704393 /nfs/dbraw/zinc/70/43/93/633704393.db2.gz PXDSKKABFJKONH-SNVBAGLBSA-N 0 0 299.298 2.840 20 5 CFBDRN CCOC1CC(C(=O)OCc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000849776059 633713530 /nfs/dbraw/zinc/71/35/30/633713530.db2.gz ANOBQAPWQRHNCK-UHFFFAOYSA-N 0 0 297.282 2.592 20 5 CFBDRN CO[C@H](COc1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000849857539 633744747 /nfs/dbraw/zinc/74/47/47/633744747.db2.gz QCUYATUTFJSZDD-CYBMUJFWSA-N 0 0 269.272 2.928 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCc2cc(C3CC3)no2)c1 ZINC000849880985 633751663 /nfs/dbraw/zinc/75/16/63/633751663.db2.gz JBYPEDOABNITIM-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN CC(C)O[C@]1(COc2ccc([N+](=O)[O-])c(F)c2)CCOC1 ZINC000849880915 633751846 /nfs/dbraw/zinc/75/18/46/633751846.db2.gz UTJFPSLOCGEIMO-CQSZACIVSA-N 0 0 299.298 2.697 20 5 CFBDRN CC1(C)OC[C@H](CCSc2ccc([N+](=O)[O-])cn2)O1 ZINC000849888782 633753257 /nfs/dbraw/zinc/75/32/57/633753257.db2.gz SCWLDODIHRLNDT-JTQLQIEISA-N 0 0 284.337 2.624 20 5 CFBDRN CC(C)(C)OCCOc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849956720 633774924 /nfs/dbraw/zinc/77/49/24/633774924.db2.gz RBIBTMVKDIOMEB-UHFFFAOYSA-N 0 0 284.268 2.697 20 5 CFBDRN O=C1CCC(COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000849957004 633775225 /nfs/dbraw/zinc/77/52/25/633775225.db2.gz XCEPLMUVHMAWJF-UHFFFAOYSA-N 0 0 294.263 2.641 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2C=CCCC2)cc([N+](=O)[O-])c1 ZINC000849956311 633775332 /nfs/dbraw/zinc/77/53/32/633775332.db2.gz KRJWQNBVIATJCY-NSHDSACASA-N 0 0 264.237 2.991 20 5 CFBDRN CC[C@H](C)COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849955871 633775355 /nfs/dbraw/zinc/77/53/55/633775355.db2.gz AHVLPWMLMYMENR-QMMMGPOBSA-N 0 0 254.242 2.928 20 5 CFBDRN CC(C)CCCn1cc([N+](=O)[O-])nc1Br ZINC000849992676 633786257 /nfs/dbraw/zinc/78/62/57/633786257.db2.gz GANZLQSRHURXSD-UHFFFAOYSA-N 0 0 276.134 2.990 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000850066277 633816446 /nfs/dbraw/zinc/81/64/46/633816446.db2.gz JUXGQGJZJKTRKR-GFCCVEGCSA-N 0 0 291.303 2.757 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000850104647 633842066 /nfs/dbraw/zinc/84/20/66/633842066.db2.gz RCKHKETZCFBOGX-CHWSQXEVSA-N 0 0 293.319 2.842 20 5 CFBDRN CO[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000850176070 633872477 /nfs/dbraw/zinc/87/24/77/633872477.db2.gz JHGBNEHTVZHGAS-ZDUSSCGKSA-N 0 0 297.282 2.592 20 5 CFBDRN CCCCCCNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000007489531 634062433 /nfs/dbraw/zinc/06/24/33/634062433.db2.gz RKLCAEQGDBIROW-UHFFFAOYSA-N 0 0 280.324 2.670 20 5 CFBDRN CCCn1nccc1C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000850766305 634082635 /nfs/dbraw/zinc/08/26/35/634082635.db2.gz GBJDFNIVKXLFQK-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN Cc1ccc(OC(=O)C2CC3(CC3)C2)c([N+](=O)[O-])c1 ZINC000850766476 634082841 /nfs/dbraw/zinc/08/28/41/634082841.db2.gz KUDPFUSPVSCPLO-UHFFFAOYSA-N 0 0 261.277 2.999 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CCC2(C)C)c([N+](=O)[O-])c1 ZINC000850763482 634082926 /nfs/dbraw/zinc/08/29/26/634082926.db2.gz AUQHWNCOGLYNBY-SNVBAGLBSA-N 0 0 279.292 2.945 20 5 CFBDRN CCCn1nccc1C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000850910638 634134739 /nfs/dbraw/zinc/13/47/39/634134739.db2.gz GJSZWBPJGGLNFN-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN CC(C)c1ccccc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000851036271 634189201 /nfs/dbraw/zinc/18/92/01/634189201.db2.gz GHZNZPMYNXYFFM-SNVBAGLBSA-N 0 0 251.282 2.632 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(C(F)(F)F)CCCCC1 ZINC000851044110 634193330 /nfs/dbraw/zinc/19/33/30/634193330.db2.gz BFHOIUPQMKBRAP-QMMMGPOBSA-N 0 0 283.246 2.708 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCC[C@@H]1Cc1ccccc1 ZINC000851046322 634194382 /nfs/dbraw/zinc/19/43/82/634194382.db2.gz PKIZYAMGXFTXOG-BPLDGKMQSA-N 0 0 291.347 2.854 20 5 CFBDRN CC(C)(COC(=O)c1csc2c1CCCC2)[N+](=O)[O-] ZINC000851122646 634234212 /nfs/dbraw/zinc/23/42/12/634234212.db2.gz HBPJQHOSMNOCBK-UHFFFAOYSA-N 0 0 283.349 2.839 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCCc2ccccc21)[N+](=O)[O-] ZINC000851124525 634235543 /nfs/dbraw/zinc/23/55/43/634235543.db2.gz ZEYRXKDMFPGOIE-CYBMUJFWSA-N 0 0 277.320 2.705 20 5 CFBDRN CC(C)(COC(=O)CCCOc1ccc(F)cc1)[N+](=O)[O-] ZINC000851124625 634235710 /nfs/dbraw/zinc/23/57/10/634235710.db2.gz FKLJPJVSPRGJMJ-UHFFFAOYSA-N 0 0 299.298 2.583 20 5 CFBDRN CC[C@H](Oc1ccccc1F)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125313 634235926 /nfs/dbraw/zinc/23/59/26/634235926.db2.gz BHTIULRMLQZUJS-NSHDSACASA-N 0 0 299.298 2.582 20 5 CFBDRN Cc1cc(Cl)cc(C(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851126910 634236531 /nfs/dbraw/zinc/23/65/31/634236531.db2.gz QMJKEMBQVRXAMX-UHFFFAOYSA-N 0 0 271.700 2.861 20 5 CFBDRN Cc1cccc(CC(=O)OCC(C)(C)[N+](=O)[O-])c1Cl ZINC000851128508 634237258 /nfs/dbraw/zinc/23/72/58/634237258.db2.gz YZSZJYDBCFKENV-UHFFFAOYSA-N 0 0 285.727 2.789 20 5 CFBDRN C[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1c(F)cccc1F ZINC000851127655 634237636 /nfs/dbraw/zinc/23/76/36/634237636.db2.gz QXVNKAYMRQUWRV-QMMMGPOBSA-N 0 0 287.262 2.667 20 5 CFBDRN CSc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])ccc1F ZINC000851127511 634237786 /nfs/dbraw/zinc/23/77/86/634237786.db2.gz OCMVNPKDHUMCID-UHFFFAOYSA-N 0 0 287.312 2.760 20 5 CFBDRN COc1ccc([C@@H](C)CC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851127444 634237841 /nfs/dbraw/zinc/23/78/41/634237841.db2.gz XWHNYZDZEKWNSQ-NSHDSACASA-N 0 0 295.335 2.787 20 5 CFBDRN CC(C)(COC(=O)c1csc(Cl)c1)[N+](=O)[O-] ZINC000851127948 634237894 /nfs/dbraw/zinc/23/78/94/634237894.db2.gz BTRLAFAZPOIZRF-UHFFFAOYSA-N 0 0 263.702 2.614 20 5 CFBDRN C/C(=C\C(=O)OCC(C)(C)[N+](=O)[O-])c1cccc(F)c1 ZINC000851127449 634237953 /nfs/dbraw/zinc/23/79/53/634237953.db2.gz XXMIRLJECZREFX-JXMROGBWSA-N 0 0 281.283 2.828 20 5 CFBDRN CC(C)(COC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21)[N+](=O)[O-] ZINC000851129279 634238732 /nfs/dbraw/zinc/23/87/32/634238732.db2.gz GTOVCMVGYNEZQM-GDNZZTSVSA-N 0 0 269.341 2.801 20 5 CFBDRN CC(C)(C)C1CC(C(=O)OCC(C)(C)[N+](=O)[O-])C1 ZINC000851129691 634238868 /nfs/dbraw/zinc/23/88/68/634238868.db2.gz QTBSPMAHVSETGO-UHFFFAOYSA-N 0 0 257.330 2.657 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000851226821 634279691 /nfs/dbraw/zinc/27/96/91/634279691.db2.gz NCKRSOVEYPXXGB-ZSBIGDGJSA-N 0 0 290.319 2.596 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC/C=C\C(F)(F)F ZINC000851283486 634304862 /nfs/dbraw/zinc/30/48/62/634304862.db2.gz FYTYKBDKPYTDPU-BHQIHCQQSA-N 0 0 289.209 2.799 20 5 CFBDRN O=C(OC[C@H]1CCC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000851312960 634318927 /nfs/dbraw/zinc/31/89/27/634318927.db2.gz JDXQZTXJSBQYCQ-MRVPVSSYSA-N 0 0 271.219 2.797 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@H]1CCC1(F)F ZINC000851320032 634322998 /nfs/dbraw/zinc/32/29/98/634322998.db2.gz RNBWXCINKUWYEX-SNVBAGLBSA-N 0 0 285.246 2.726 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCC[C@@H]1CC1(F)F ZINC000851376804 634346432 /nfs/dbraw/zinc/34/64/32/634346432.db2.gz ZBOQTSVSVAWDFX-SNVBAGLBSA-N 0 0 285.246 2.726 20 5 CFBDRN O=C(OCC[C@@H]1CC1(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000851380829 634348169 /nfs/dbraw/zinc/34/81/69/634348169.db2.gz KCDAWMGYCWCZED-MRVPVSSYSA-N 0 0 289.209 2.936 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OC[C@H]1C[C@H]2C[C@H]2C1 ZINC000851411885 634356634 /nfs/dbraw/zinc/35/66/34/634356634.db2.gz GJYBXHOXWVCVEX-CNDDSTCGSA-N 0 0 291.303 2.563 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@H]1C[C@H]2C[C@H]2C1 ZINC000851417048 634359728 /nfs/dbraw/zinc/35/97/28/634359728.db2.gz PMKFUEDMJAUYIE-RTCCRHLQSA-N 0 0 291.303 2.806 20 5 CFBDRN CCC[C@H]1CCCC[C@H]1OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000851453775 634369960 /nfs/dbraw/zinc/36/99/60/634369960.db2.gz TVFVSYVRYGXOIK-WCQYABFASA-N 0 0 295.339 2.693 20 5 CFBDRN CC1CC(COC(=O)COc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000851461854 634374393 /nfs/dbraw/zinc/37/43/93/634374393.db2.gz MYIOTGZJTBJZTH-UHFFFAOYSA-N 0 0 297.282 2.702 20 5 CFBDRN Cc1noc(CNc2cc3c(cc2C)OCO3)c1[N+](=O)[O-] ZINC000853060870 634893260 /nfs/dbraw/zinc/89/32/60/634893260.db2.gz LQTQSOIORHFWHI-UHFFFAOYSA-N 0 0 291.263 2.540 20 5 CFBDRN C/C(=C\C(=O)O[C@@H]1COC[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000853197856 634932672 /nfs/dbraw/zinc/93/26/72/634932672.db2.gz YWWYHRRLVWFMGB-OVQZSQTJSA-N 0 0 291.303 2.576 20 5 CFBDRN Cc1cc(NCCOC2CCC2)c([N+](=O)[O-])s1 ZINC000853389716 634990684 /nfs/dbraw/zinc/99/06/84/634990684.db2.gz VFHAFUYJUIUSPV-UHFFFAOYSA-N 0 0 256.327 2.946 20 5 CFBDRN COc1cc(N2CCC[C@@H]([C@@H](C)O)C2)c([N+](=O)[O-])cc1C ZINC000853454947 635004217 /nfs/dbraw/zinc/00/42/17/635004217.db2.gz MMTYINODJHVWJN-VXGBXAGGSA-N 0 0 294.351 2.509 20 5 CFBDRN COc1cc(N(C)C[C@@H]2CCC[C@H]2O)c([N+](=O)[O-])cc1C ZINC000853457372 635004626 /nfs/dbraw/zinc/00/46/26/635004626.db2.gz IOJCKTVKFDJISG-SMDDNHRTSA-N 0 0 294.351 2.509 20 5 CFBDRN COc1cc(N2CCC[C@H](OC)CC2)c([N+](=O)[O-])cc1C ZINC000853475272 635010786 /nfs/dbraw/zinc/01/07/86/635010786.db2.gz GSANRKIKTDNYCC-LBPRGKRZSA-N 0 0 294.351 2.917 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1N1CC[C@H]2C[C@H]21 ZINC000853568920 635037808 /nfs/dbraw/zinc/03/78/08/635037808.db2.gz CTMMJSHBYFUWRR-OIBJUYFYSA-N 0 0 283.125 2.956 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2C[C@H](C)[C@H]2C)c1 ZINC000853649733 635060619 /nfs/dbraw/zinc/06/06/19/635060619.db2.gz BYUPDOGYQNXDRX-JGVFFNPUSA-N 0 0 254.261 2.587 20 5 CFBDRN Cn1cncc1CCOc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000853658224 635063738 /nfs/dbraw/zinc/06/37/38/635063738.db2.gz DUQPGNVJGTWSDD-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC=C(c3cccnc3)C2)n1 ZINC000853659215 635064841 /nfs/dbraw/zinc/06/48/41/635064841.db2.gz UKQHPWWISZJWIN-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN CCOc1cc(NC[C@@H]2CC(C)=NO2)ccc1[N+](=O)[O-] ZINC000853660465 635065154 /nfs/dbraw/zinc/06/51/54/635065154.db2.gz GMJZVFIKAFEPCR-NSHDSACASA-N 0 0 279.296 2.570 20 5 CFBDRN Cc1cc(NC[C@@H]2CC(C)=NO2)c([N+](=O)[O-])s1 ZINC000853661137 635065455 /nfs/dbraw/zinc/06/54/55/635065455.db2.gz JRZSPNNEBJPGSG-QMMMGPOBSA-N 0 0 255.299 2.541 20 5 CFBDRN CC1=NO[C@H](CNc2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000853661126 635066024 /nfs/dbraw/zinc/06/60/24/635066024.db2.gz PTWWCZXFZKTSFR-VIFPVBQESA-N 0 0 292.320 2.781 20 5 CFBDRN Nc1ccc(OCCC2SCCS2)cc1[N+](=O)[O-] ZINC000853662536 635066620 /nfs/dbraw/zinc/06/66/20/635066620.db2.gz JBBPYGQBYFLIMZ-UHFFFAOYSA-N 0 0 286.378 2.752 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1CCO[C@H](CF)C1 ZINC000853689673 635075186 /nfs/dbraw/zinc/07/51/86/635075186.db2.gz VIBDYPFOSIAWSY-SECBINFHSA-N 0 0 290.241 2.707 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1c1cccc(F)c1[N+](=O)[O-] ZINC000853808055 635112493 /nfs/dbraw/zinc/11/24/93/635112493.db2.gz BXEXBXUFGYXXOE-JTQLQIEISA-N 0 0 280.299 2.882 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1c1ccc([N+](=O)[O-])cc1 ZINC000853807890 635113245 /nfs/dbraw/zinc/11/32/45/635113245.db2.gz CHQNUHNJNCWHGN-NSHDSACASA-N 0 0 262.309 2.743 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1COC2(CCC2)C1 ZINC000853828105 635120318 /nfs/dbraw/zinc/12/03/18/635120318.db2.gz HSFPAMBDHHACGX-LLVKDONJSA-N 0 0 290.319 2.921 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2ccc(N)cc2[N+](=O)[O-])O1 ZINC000853849047 635131142 /nfs/dbraw/zinc/13/11/42/635131142.db2.gz FXBZGTHQQNFCPB-KOLCDFICSA-N 0 0 265.313 2.547 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](CCF)C2)c2nonc21 ZINC000853854211 635132740 /nfs/dbraw/zinc/13/27/40/635132740.db2.gz FYVJNCCAPYJYTA-VIFPVBQESA-N 0 0 294.286 2.707 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2nc3ccccn3c2[N+](=O)[O-])O1 ZINC000853850852 635132925 /nfs/dbraw/zinc/13/29/25/635132925.db2.gz WSQUZILTGWJFDN-QWRGUYRKSA-N 0 0 290.323 2.612 20 5 CFBDRN O=C(NCC1SCCS1)Nc1ccc([N+](=O)[O-])cc1 ZINC000853863029 635137905 /nfs/dbraw/zinc/13/79/05/635137905.db2.gz VVOCMJYWXBOTJH-UHFFFAOYSA-N 0 0 299.377 2.522 20 5 CFBDRN Cc1noc(COC(=O)c2ccc(C)c(C)c2)c1[N+](=O)[O-] ZINC000853883916 635146246 /nfs/dbraw/zinc/14/62/46/635146246.db2.gz RJBHFABZCWOTHL-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CCC[C@@H](C)CC(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853894732 635149447 /nfs/dbraw/zinc/14/94/47/635149447.db2.gz ARFCYJYJVBDHGH-MRVPVSSYSA-N 0 0 270.285 2.761 20 5 CFBDRN Cc1noc(COC(=O)c2c(C)cccc2C)c1[N+](=O)[O-] ZINC000853898774 635150623 /nfs/dbraw/zinc/15/06/23/635150623.db2.gz HAIVYPVXAIFIGV-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H]3C[C@@H]3C2)c1 ZINC000853902802 635154023 /nfs/dbraw/zinc/15/40/23/635154023.db2.gz UGNRBFDLWBNBHH-RKDXNWHRSA-N 0 0 266.272 2.589 20 5 CFBDRN CCCC1(C(=O)OCc2onc(C)c2[N+](=O)[O-])CCC1 ZINC000853904575 635155198 /nfs/dbraw/zinc/15/51/98/635155198.db2.gz SSISUVIGWUGPJV-UHFFFAOYSA-N 0 0 282.296 2.905 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853912505 635159967 /nfs/dbraw/zinc/15/99/67/635159967.db2.gz YQYBQABAJNOOFW-JGVFFNPUSA-N 0 0 270.285 2.617 20 5 CFBDRN Cc1noc(COC(=O)C[C@@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000853912185 635160261 /nfs/dbraw/zinc/16/02/61/635160261.db2.gz XNMITXFSHWAQEP-SCZZXKLOSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)CC2(C)CCCC2)c1[N+](=O)[O-] ZINC000853918622 635162349 /nfs/dbraw/zinc/16/23/49/635162349.db2.gz PLZUAINCDNUVGK-UHFFFAOYSA-N 0 0 282.296 2.905 20 5 CFBDRN Cc1noc(COC(=O)C2(C3CCC3)CCC2)c1[N+](=O)[O-] ZINC000853922767 635166096 /nfs/dbraw/zinc/16/60/96/635166096.db2.gz XCTGKISRGFKXRR-UHFFFAOYSA-N 0 0 294.307 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3COC[C@@H]3C2)c(Cl)c1 ZINC000853933523 635169238 /nfs/dbraw/zinc/16/92/38/635169238.db2.gz FPKOLCXHLNHAHQ-ZJUUUORDSA-N 0 0 282.727 2.721 20 5 CFBDRN CN(CC1=CCSC1)c1ccc([N+](=O)[O-])cc1F ZINC000853980570 635182836 /nfs/dbraw/zinc/18/28/36/635182836.db2.gz IFPOFDNWIBBUGZ-UHFFFAOYSA-N 0 0 268.313 2.843 20 5 CFBDRN Cc1nnc(CN(C)c2ccc([N+](=O)[O-])cc2C)s1 ZINC000853980713 635183196 /nfs/dbraw/zinc/18/31/96/635183196.db2.gz YUTLZLIIVRACOC-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000854161342 635235666 /nfs/dbraw/zinc/23/56/66/635235666.db2.gz WUPPDSLQZFIMRT-SNVBAGLBSA-N 0 0 261.281 2.743 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000111968139 635238606 /nfs/dbraw/zinc/23/86/06/635238606.db2.gz VSJPJDKJQIHXAP-CHWSQXEVSA-N 0 0 279.292 2.628 20 5 CFBDRN O=C([O-])C1(C[NH2+]Cc2ccc([N+](=O)[O-])c(Cl)c2)CCC1 ZINC000854244446 635270528 /nfs/dbraw/zinc/27/05/28/635270528.db2.gz GLJIASFJZZMDHX-UHFFFAOYSA-N 0 0 298.726 2.593 20 5 CFBDRN C[C@H]1CCC[C@@]1(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854494430 635316735 /nfs/dbraw/zinc/31/67/35/635316735.db2.gz BWIISWCOVVLLRM-LKFCYVNXSA-N 0 0 277.324 2.942 20 5 CFBDRN CC[C@H]1CCC[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854494445 635316854 /nfs/dbraw/zinc/31/68/54/635316854.db2.gz CKQPPHCEPCOXOM-GXSJLCMTSA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@H]1CCC[C@H]1CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496393 635317393 /nfs/dbraw/zinc/31/73/93/635317393.db2.gz FMBIZMALIBUXSD-UWVGGRQHSA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@H](NC(=O)C1CC2(CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000854678580 635363615 /nfs/dbraw/zinc/36/36/15/635363615.db2.gz GAFGPCUGSXJOJW-JTQLQIEISA-N 0 0 274.320 2.962 20 5 CFBDRN C[C@@H]1CCC(C)(C)N1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000854898132 635417385 /nfs/dbraw/zinc/41/73/85/635417385.db2.gz QLIUZYVBOYZDNR-MRVPVSSYSA-N 0 0 295.314 2.719 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000855365805 635520859 /nfs/dbraw/zinc/52/08/59/635520859.db2.gz QTCGKVXLPOGEJS-JTQLQIEISA-N 0 0 258.362 2.763 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000855427877 635541091 /nfs/dbraw/zinc/54/10/91/635541091.db2.gz LKUWMJMDRKLLGB-GFCCVEGCSA-N 0 0 274.320 2.707 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2C=CCC2)c1[N+](=O)[O-] ZINC000855430284 635542583 /nfs/dbraw/zinc/54/25/83/635542583.db2.gz CJSRSCOBODKFOI-VIFPVBQESA-N 0 0 278.333 2.765 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000855435918 635548230 /nfs/dbraw/zinc/54/82/30/635548230.db2.gz QPWIOHRKUAPOOB-GFCCVEGCSA-N 0 0 290.319 2.830 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCCOC(C)C ZINC000113483032 635598021 /nfs/dbraw/zinc/59/80/21/635598021.db2.gz SMQJMDCFLAOBJF-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]2C[C@H]21)c1cc([N+](=O)[O-])ccc1F ZINC000855773987 635640219 /nfs/dbraw/zinc/64/02/19/635640219.db2.gz RMXWIMZKUMMOKL-JBLDHEPKSA-N 0 0 292.310 2.900 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]2C[C@H]21)c1cc(F)ccc1[N+](=O)[O-] ZINC000855774291 635640286 /nfs/dbraw/zinc/64/02/86/635640286.db2.gz IAGXUKFNAFXRHR-JBLDHEPKSA-N 0 0 292.310 2.900 20 5 CFBDRN CC[C@@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000855855808 635671348 /nfs/dbraw/zinc/67/13/48/635671348.db2.gz GSVPDLOYRRZSMD-XTXQVDMPSA-N 0 0 274.320 2.913 20 5 CFBDRN O=C(CCCF)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000857572287 635985507 /nfs/dbraw/zinc/98/55/07/635985507.db2.gz XDWRVVYOHDMGCY-UHFFFAOYSA-N 0 0 260.652 2.936 20 5 CFBDRN CCc1ccc(OC(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000857828040 636028457 /nfs/dbraw/zinc/02/84/57/636028457.db2.gz MVDSWMOEZINGJW-UHFFFAOYSA-N 0 0 286.287 2.959 20 5 CFBDRN O=C(NCCSC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000047388025 650233212 /nfs/dbraw/zinc/23/32/12/650233212.db2.gz FZJUUJVEENJPPT-UHFFFAOYSA-N 0 0 294.254 2.578 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000858187429 636151855 /nfs/dbraw/zinc/15/18/55/636151855.db2.gz GTHBKYPJAMJJJP-QISWUMQESA-N 0 0 279.292 2.560 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NCc1cc([N+](=O)[O-])ccc1Cl ZINC000858257849 636167647 /nfs/dbraw/zinc/16/76/47/636167647.db2.gz VNLYBVMVWYGGNB-OLZOCXBDSA-N 0 0 284.743 2.905 20 5 CFBDRN CCC(F)(F)CN[C@H](C)c1ccc(N)c([N+](=O)[O-])c1 ZINC000858507187 636277141 /nfs/dbraw/zinc/27/71/41/636277141.db2.gz NAGYOYHBVGEBBD-MRVPVSSYSA-N 0 0 273.283 2.873 20 5 CFBDRN Cc1nn(C)c(NCc2cc(C)nc(Cl)c2)c1[N+](=O)[O-] ZINC000858594725 636303914 /nfs/dbraw/zinc/30/39/14/636303914.db2.gz TXEUXPGGVVJHMA-UHFFFAOYSA-N 0 0 295.730 2.606 20 5 CFBDRN CCn1nnc(C)c1CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000858597894 636305113 /nfs/dbraw/zinc/30/51/13/636305113.db2.gz ZGFXKNUTFUUYFP-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](c3ccncc3)C2)n1 ZINC000858607672 636307193 /nfs/dbraw/zinc/30/71/93/636307193.db2.gz HGZXEPBUHOLUML-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCC(F)(F)C(F)F ZINC000858645561 636316390 /nfs/dbraw/zinc/31/63/90/636316390.db2.gz VHWQUXUQGMFWBW-UHFFFAOYSA-N 0 0 297.164 2.815 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCC[C@H]1CCCOC1 ZINC000858652918 636318209 /nfs/dbraw/zinc/31/82/09/636318209.db2.gz FVZPNJKSSFJBRJ-SNVBAGLBSA-N 0 0 268.288 2.963 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000858690728 636325745 /nfs/dbraw/zinc/32/57/45/636325745.db2.gz CCDVOGZYWYGLDO-JTQLQIEISA-N 0 0 296.710 2.922 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000858690904 636327300 /nfs/dbraw/zinc/32/73/00/636327300.db2.gz XDEXDIJDPKCLJA-SNVBAGLBSA-N 0 0 296.710 2.922 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000858736183 636336910 /nfs/dbraw/zinc/33/69/10/636336910.db2.gz MAJHZENINLHQSR-GFCCVEGCSA-N 0 0 290.319 2.967 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3ccc(O)cc3)C2)c1 ZINC000858836562 636353073 /nfs/dbraw/zinc/35/30/73/636353073.db2.gz CRQSBFXYJHBSHQ-GFCCVEGCSA-N 0 0 299.330 2.877 20 5 CFBDRN CN(OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000858869824 636365377 /nfs/dbraw/zinc/36/53/77/636365377.db2.gz OGFYEXATYRFKSH-UHFFFAOYSA-N 0 0 268.166 2.664 20 5 CFBDRN CCCOCCOC(=O)Oc1ccccc1[N+](=O)[O-] ZINC000858874150 636365939 /nfs/dbraw/zinc/36/59/39/636365939.db2.gz KREHYXXDKRBXFM-UHFFFAOYSA-N 0 0 269.253 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H](O)c2ccccc2F)nc1 ZINC000159195922 650250621 /nfs/dbraw/zinc/25/06/21/650250621.db2.gz QDPLDTGJHURSAU-GFCCVEGCSA-N 0 0 294.307 2.955 20 5 CFBDRN CCCOCCOC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000859128434 636467785 /nfs/dbraw/zinc/46/77/85/636467785.db2.gz LPSWEMFQNFLTPA-UHFFFAOYSA-N 0 0 296.323 2.556 20 5 CFBDRN CCCCCCNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000048422390 650265396 /nfs/dbraw/zinc/26/53/96/650265396.db2.gz IHWIRXIMGZDIOJ-UHFFFAOYSA-N 0 0 265.313 2.608 20 5 CFBDRN CCO[C@H](COC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000859448704 636567651 /nfs/dbraw/zinc/56/76/51/636567651.db2.gz RXJINGQWZAHLHG-CYBMUJFWSA-N 0 0 279.292 2.567 20 5 CFBDRN CCO[C@@H](COC(=O)c1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000859449925 636568041 /nfs/dbraw/zinc/56/80/41/636568041.db2.gz LEIISMCZHZYOGW-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000859505607 636583762 /nfs/dbraw/zinc/58/37/62/636583762.db2.gz BIBWOANXLKGKEA-JGVFFNPUSA-N 0 0 253.229 2.547 20 5 CFBDRN CO[C@]1(C)C[C@H](OC(=O)c2ccccc2[N+](=O)[O-])C1(C)C ZINC000159576890 650267975 /nfs/dbraw/zinc/26/79/75/650267975.db2.gz OIRDGSSLZWKXNA-SWLSCSKDSA-N 0 0 293.319 2.955 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000859527207 636589607 /nfs/dbraw/zinc/58/96/07/636589607.db2.gz PIBRKFVTUPFULR-HTQZYQBOSA-N 0 0 253.229 2.547 20 5 CFBDRN C[C@@H](CO)COC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859810963 636702628 /nfs/dbraw/zinc/70/26/28/636702628.db2.gz FDRIHSIJPKFKFJ-JTQLQIEISA-N 0 0 289.287 2.533 20 5 CFBDRN O=C(COC(=O)c1cc([N+](=O)[O-])cc2ccccc21)C1CC1 ZINC000859811811 636703527 /nfs/dbraw/zinc/70/35/27/636703527.db2.gz VUGHKPUOEBNZFT-UHFFFAOYSA-N 0 0 299.282 2.884 20 5 CFBDRN O=C(OCCCCO)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859811776 636704039 /nfs/dbraw/zinc/70/40/39/636704039.db2.gz UJACPFUYBPYWFM-UHFFFAOYSA-N 0 0 289.287 2.677 20 5 CFBDRN CCO[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000859868173 636732218 /nfs/dbraw/zinc/73/22/18/636732218.db2.gz WBXHJQUURBVMBX-CYBMUJFWSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1c(NC(=O)c2ccc(NC=O)cc2)cccc1[N+](=O)[O-] ZINC000081223947 636771566 /nfs/dbraw/zinc/77/15/66/636771566.db2.gz ULXFFVQHFLIALP-UHFFFAOYSA-N 0 0 299.286 2.724 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000860136080 636797427 /nfs/dbraw/zinc/79/74/27/636797427.db2.gz WELWKKNTOXSPMC-FBKDDSFISA-N 0 0 293.319 2.698 20 5 CFBDRN Cc1cocc1C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000860696010 636949012 /nfs/dbraw/zinc/94/90/12/636949012.db2.gz QDNUYIUBXWWSMS-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(OS(=O)(=O)c2ccccc2)cc1F ZINC000049393915 650295079 /nfs/dbraw/zinc/29/50/79/650295079.db2.gz UBEWVDCNQCFBCN-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC(C1CCC1)C1CCC1 ZINC000860787633 636972076 /nfs/dbraw/zinc/97/20/76/636972076.db2.gz MOYRNJDTJTYNNQ-JTQLQIEISA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc(C2CC2)[nH]c1C1CC1 ZINC000860786477 636972163 /nfs/dbraw/zinc/97/21/63/636972163.db2.gz CGJKBGDPURTLID-QMMMGPOBSA-N 0 0 278.308 2.592 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1cc(Cl)cc(Cl)c1 ZINC000860787467 636972268 /nfs/dbraw/zinc/97/22/68/636972268.db2.gz MGOGKJPQZFAZKW-ZETCQYMHSA-N 0 0 292.118 2.744 20 5 CFBDRN Cc1cc(C(=O)OCCC[N+](=O)[O-])c(C)n1C(C)C ZINC000860912199 637014468 /nfs/dbraw/zinc/01/44/68/637014468.db2.gz HUQMQTUEALKBGU-UHFFFAOYSA-N 0 0 268.313 2.509 20 5 CFBDRN Cc1cc(C)c(/C=C\C(=O)OCCC[N+](=O)[O-])cc1C ZINC000860914047 637015054 /nfs/dbraw/zinc/01/50/54/637015054.db2.gz NHHZFQCDXSRSFI-WAYWQWQTSA-N 0 0 277.320 2.835 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(c2ccccc2F)CCCC1 ZINC000860913276 637015329 /nfs/dbraw/zinc/01/53/29/637015329.db2.gz WPYNVKSSFQPMBU-UHFFFAOYSA-N 0 0 295.310 2.848 20 5 CFBDRN CCSc1ccccc1C(=O)OCCC[N+](=O)[O-] ZINC000860914160 637015479 /nfs/dbraw/zinc/01/54/79/637015479.db2.gz QIUZIWXKWXCTKB-UHFFFAOYSA-N 0 0 269.322 2.622 20 5 CFBDRN O=C(CCCc1ccc(Cl)cc1)OCCC[N+](=O)[O-] ZINC000860917034 637016081 /nfs/dbraw/zinc/01/60/81/637016081.db2.gz ZKABSXMIBLTRIU-UHFFFAOYSA-N 0 0 285.727 2.873 20 5 CFBDRN O=C(CCc1ccc2ccccc2c1)OCCC[N+](=O)[O-] ZINC000860916010 637016247 /nfs/dbraw/zinc/01/62/47/637016247.db2.gz LQLCSDJGMZWQGN-UHFFFAOYSA-N 0 0 287.315 2.982 20 5 CFBDRN CC(C)[C@@H](C(=O)OCCC[N+](=O)[O-])c1ccc(F)cc1 ZINC000860917534 637016269 /nfs/dbraw/zinc/01/62/69/637016269.db2.gz MUNUWUYIAPIWCK-CYBMUJFWSA-N 0 0 283.299 2.775 20 5 CFBDRN Cc1ccccc1C1(C(=O)OCCC[N+](=O)[O-])CCC1 ZINC000860921444 637018381 /nfs/dbraw/zinc/01/83/81/637018381.db2.gz RXFPXENSLZKSJX-UHFFFAOYSA-N 0 0 277.320 2.627 20 5 CFBDRN Cc1conc1COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000861196908 637111774 /nfs/dbraw/zinc/11/17/74/637111774.db2.gz POQCGFRMXJUGEC-SNVBAGLBSA-N 0 0 290.275 2.738 20 5 CFBDRN Cc1conc1COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000861198669 637113354 /nfs/dbraw/zinc/11/33/54/637113354.db2.gz HGJYCWVFBULWHO-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(C)(F)F)c1 ZINC000861223893 637122264 /nfs/dbraw/zinc/12/22/64/637122264.db2.gz IBEPPUZBOVSBJI-UHFFFAOYSA-N 0 0 259.208 2.715 20 5 CFBDRN CC(F)(F)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000861229021 637125567 /nfs/dbraw/zinc/12/55/67/637125567.db2.gz CICLZADVNFQNLM-QPJJXVBHSA-N 0 0 271.219 2.806 20 5 CFBDRN CCOCCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000118150767 637139664 /nfs/dbraw/zinc/13/96/64/637139664.db2.gz BTXJXIWFTUBAGB-RMKNXTFCSA-N 0 0 279.292 2.578 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000861301596 637156274 /nfs/dbraw/zinc/15/62/74/637156274.db2.gz RHURBROPUBROJN-XYPYZODXSA-N 0 0 291.259 2.675 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])C1 ZINC000861306042 637159087 /nfs/dbraw/zinc/15/90/87/637159087.db2.gz GLHJIIRMILWOIM-XYPYZODXSA-N 0 0 293.319 2.593 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000861436190 637195627 /nfs/dbraw/zinc/19/56/27/637195627.db2.gz AMMMFCLTQKFNJE-YUMQZZPRSA-N 0 0 268.700 2.871 20 5 CFBDRN CO[C@@H](C)CC(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000118296613 637201282 /nfs/dbraw/zinc/20/12/82/637201282.db2.gz BDTKMETWHINQRF-QMMMGPOBSA-N 0 0 287.699 2.887 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1ccnn1C ZINC000118296140 637201348 /nfs/dbraw/zinc/20/13/48/637201348.db2.gz VKPYRPSRXGCLOC-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1Cl ZINC000862442448 637382429 /nfs/dbraw/zinc/38/24/29/637382429.db2.gz CDISHNFGCUFTKD-AOOOYVTPSA-N 0 0 252.701 2.700 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000075505960 650334019 /nfs/dbraw/zinc/33/40/19/650334019.db2.gz FYKVMRMZTOPEHZ-QMMMGPOBSA-N 0 0 295.295 2.682 20 5 CFBDRN C/C=C\CNC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000863114465 637560841 /nfs/dbraw/zinc/56/08/41/637560841.db2.gz KMNCTOPAJAHZEH-IHWYPQMZSA-N 0 0 286.690 2.622 20 5 CFBDRN CCn1ncc(CSc2ccc([N+](=O)[O-])cc2F)n1 ZINC000863323005 637626491 /nfs/dbraw/zinc/62/64/91/637626491.db2.gz YGDRKIYFRYCTEH-UHFFFAOYSA-N 0 0 282.300 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC(F)=C(F)F)cc1CO ZINC000863324763 637627522 /nfs/dbraw/zinc/62/75/22/637627522.db2.gz JPYVEWPBXJHSBE-UHFFFAOYSA-N 0 0 277.198 2.934 20 5 CFBDRN CCO[C@@H](COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CC1 ZINC000863347450 637634617 /nfs/dbraw/zinc/63/46/17/637634617.db2.gz OPHSCNLFJHPTSF-ZDUSSCGKSA-N 0 0 296.279 2.697 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2cc(Cl)n(C)n2)c1 ZINC000863348938 637635286 /nfs/dbraw/zinc/63/52/86/637635286.db2.gz VGGYBSXSGYKJMF-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN COC1(C(N)=NOCc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000863478140 637673805 /nfs/dbraw/zinc/67/38/05/637673805.db2.gz CIGFKADWNRJAFN-UHFFFAOYSA-N 0 0 293.323 2.552 20 5 CFBDRN CCOC1CC2(C[C@@H]2C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000864404798 637963550 /nfs/dbraw/zinc/96/35/50/637963550.db2.gz PAXULFLOVRYZTN-JVWICGRDSA-N 0 0 290.319 2.739 20 5 CFBDRN CC(C)CCCNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864807410 638096548 /nfs/dbraw/zinc/09/65/48/638096548.db2.gz RPDGPEYLAMNEAK-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000864979975 638146138 /nfs/dbraw/zinc/14/61/38/638146138.db2.gz XEVRXSAMJSPPSB-KJEVXHAQSA-N 0 0 288.347 2.546 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000865041746 638169580 /nfs/dbraw/zinc/16/95/80/638169580.db2.gz FMNPLEQVTYAUKJ-LLVKDONJSA-N 0 0 294.326 2.925 20 5 CFBDRN CC1(C)CCCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865152030 638208095 /nfs/dbraw/zinc/20/80/95/638208095.db2.gz GVMPEKIOQNSMIV-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN C[C@@H](Cn1cnc2cc([N+](=O)[O-])ccc2c1=O)C(C)(C)C ZINC000865338521 638272434 /nfs/dbraw/zinc/27/24/34/638272434.db2.gz BGXGLAWHZYUEAK-JTQLQIEISA-N 0 0 289.335 2.987 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCCCCCC1 ZINC000865402634 638312535 /nfs/dbraw/zinc/31/25/35/638312535.db2.gz XOWQKYUWDSRSSB-UHFFFAOYSA-N 0 0 253.277 2.899 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3n[nH]cc3C2)c(C(F)F)c1 ZINC000865432186 638331491 /nfs/dbraw/zinc/33/14/91/638331491.db2.gz YFQYGCOUGUNGMM-UHFFFAOYSA-N 0 0 294.261 2.818 20 5 CFBDRN C[C@@H]1CCC[C@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865511676 638387439 /nfs/dbraw/zinc/38/74/39/638387439.db2.gz JGYQDHWVHUENMN-LDYMZIIASA-N 0 0 268.288 2.827 20 5 CFBDRN C[C@@]1(O)CCN(c2c(Cl)c(Cl)ccc2[N+](=O)[O-])C1 ZINC000865583928 638438851 /nfs/dbraw/zinc/43/88/51/638438851.db2.gz INJKNAQGIWPQAM-LLVKDONJSA-N 0 0 291.134 2.863 20 5 CFBDRN Cc1cccc2c1N[C@H](c1ccc([N+](=O)[O-])cc1)NC2=O ZINC000077428550 650424380 /nfs/dbraw/zinc/42/43/80/650424380.db2.gz QNADJONDDVDQJW-AWEZNQCLSA-N 0 0 283.287 2.757 20 5 CFBDRN CC(C)(O)CONc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865732323 638541625 /nfs/dbraw/zinc/54/16/25/638541625.db2.gz JNTKFOMPVYGWAN-UHFFFAOYSA-N 0 0 276.239 2.647 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CC(C3CCCC3)C2)cc1F ZINC000865746613 638549779 /nfs/dbraw/zinc/54/97/79/638549779.db2.gz IYQDPOOYMCPTLR-UHFFFAOYSA-N 0 0 294.326 2.853 20 5 CFBDRN CC(C)C[C@H]1CCCN(Cn2nccc2[N+](=O)[O-])C1 ZINC000120735123 638570530 /nfs/dbraw/zinc/57/05/30/638570530.db2.gz NTPRVDLNTXPXPP-GFCCVEGCSA-N 0 0 266.345 2.507 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CCC[C@H](C3CC3)C2)cc1F ZINC000865812670 638588801 /nfs/dbraw/zinc/58/88/01/638588801.db2.gz UPHDTWKJBNXBPI-NSHDSACASA-N 0 0 294.326 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCOC3)c(C(F)F)c1 ZINC000865838556 638603589 /nfs/dbraw/zinc/60/35/89/638603589.db2.gz XWJBERBYJSOIQG-UHFFFAOYSA-N 0 0 284.262 2.759 20 5 CFBDRN C[C@H]1CC(C)(C)CN1c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865882096 638640925 /nfs/dbraw/zinc/64/09/25/638640925.db2.gz QOTZXYSPZUFMHA-VIFPVBQESA-N 0 0 282.315 2.851 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CCF)c(C(F)F)c1 ZINC000865911263 638653617 /nfs/dbraw/zinc/65/36/17/638653617.db2.gz QJZHAERENAKSQA-ZETCQYMHSA-N 0 0 278.230 2.665 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)C(OC)OC ZINC000865924321 638659965 /nfs/dbraw/zinc/65/99/65/638659965.db2.gz LPPJOZFVISXHQX-LLVKDONJSA-N 0 0 268.313 2.713 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000865979130 638685691 /nfs/dbraw/zinc/68/56/91/638685691.db2.gz PTOCQENTUBRZEP-GWNIPJSYSA-N 0 0 286.331 2.776 20 5 CFBDRN Nc1ccc(NCC[C@@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000865983917 638686721 /nfs/dbraw/zinc/68/67/21/638686721.db2.gz LNHDZFPRHCJQBE-SECBINFHSA-N 0 0 267.354 2.732 20 5 CFBDRN CCCCOC(=O)CSc1ncc(F)cc1[N+](=O)[O-] ZINC000866031177 638705537 /nfs/dbraw/zinc/70/55/37/638705537.db2.gz ZVRSFWWKAIWHFU-UHFFFAOYSA-N 0 0 288.300 2.564 20 5 CFBDRN CCC[C@H](O)CCCSc1c([N+](=O)[O-])c(C)nn1C ZINC000866033481 638705654 /nfs/dbraw/zinc/70/56/54/638705654.db2.gz OFTFDSDFYXIKDH-JTQLQIEISA-N 0 0 287.385 2.670 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000866041615 638707444 /nfs/dbraw/zinc/70/74/44/638707444.db2.gz WYQWBYLQLQNFOX-PJCOJXEDSA-N 0 0 278.308 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@H](O)c2ccccc2)cn1 ZINC000866051650 638713646 /nfs/dbraw/zinc/71/36/46/638713646.db2.gz MWEGNOIYQKHUJT-GDBMZVCRSA-N 0 0 299.330 2.692 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])[C@H]1C ZINC000866054596 638715529 /nfs/dbraw/zinc/71/55/29/638715529.db2.gz GTBRDSLSGMCGNP-MNOVXSKESA-N 0 0 294.326 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C(F)F)CC2)c(F)c1 ZINC000866064827 638719338 /nfs/dbraw/zinc/71/93/38/638719338.db2.gz YPEFBQZXEWEKGB-LLVKDONJSA-N 0 0 290.241 2.594 20 5 CFBDRN CC[C@]1(O)CCCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000866067244 638721095 /nfs/dbraw/zinc/72/10/95/638721095.db2.gz USNAVDWVOFEAGI-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000866069115 638721577 /nfs/dbraw/zinc/72/15/77/638721577.db2.gz BMOUETKOHBXHBA-WCQYABFASA-N 0 0 264.325 2.907 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000866069479 638722482 /nfs/dbraw/zinc/72/24/82/638722482.db2.gz DXUAVSNVCIYJQP-WCBMZHEXSA-N 0 0 286.278 2.877 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000866072061 638723706 /nfs/dbraw/zinc/72/37/06/638723706.db2.gz SGLMJVHGSBAAFB-PSASIEDQSA-N 0 0 285.731 2.647 20 5 CFBDRN CC1(F)CCN(c2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000866100222 638737165 /nfs/dbraw/zinc/73/71/65/638737165.db2.gz MSXKPEPLBUJWMQ-UHFFFAOYSA-N 0 0 253.277 2.505 20 5 CFBDRN COc1cc(NCCC(C)(C)OC)c([N+](=O)[O-])cc1OC ZINC000866133181 638752823 /nfs/dbraw/zinc/75/28/23/638752823.db2.gz MYXPWHVUKVEMGA-UHFFFAOYSA-N 0 0 298.339 2.839 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C2CCC2)[C@H]2CCOC2)n1 ZINC000866141696 638755766 /nfs/dbraw/zinc/75/57/66/638755766.db2.gz MIQBNJSRAMUOAR-JSGCOSHPSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cnc(N[C@@H](C2CCC2)[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000866142249 638755959 /nfs/dbraw/zinc/75/59/59/638755959.db2.gz AQNPIWIJIKICCL-JSGCOSHPSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cnc(N[C@@H](C2CCC2)[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000866142250 638756662 /nfs/dbraw/zinc/75/66/62/638756662.db2.gz AQNPIWIJIKICCL-OCCSQVGLSA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](OC3CCC3)CC2)cn1 ZINC000866145637 638757201 /nfs/dbraw/zinc/75/72/01/638757201.db2.gz OSORDZCQIJRXOQ-CQSZACIVSA-N 0 0 291.351 2.918 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](OC3CCC3)CC2)s1 ZINC000866146697 638758017 /nfs/dbraw/zinc/75/80/17/638758017.db2.gz ODKSFPRMFMPABS-NSHDSACASA-N 0 0 297.380 2.979 20 5 CFBDRN Nc1ccc(NC[C@H]2CCC23CCOCC3)c([N+](=O)[O-])c1 ZINC000866173444 638763454 /nfs/dbraw/zinc/76/34/54/638763454.db2.gz RRUPEPJHLBDFHE-LLVKDONJSA-N 0 0 291.351 2.796 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2CCC23CCOCC3)c1 ZINC000866174325 638763767 /nfs/dbraw/zinc/76/37/67/638763767.db2.gz IPPQYIXJOXDCOV-LLVKDONJSA-N 0 0 291.351 2.796 20 5 CFBDRN CCc1nsc(NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000866182344 638764839 /nfs/dbraw/zinc/76/48/39/638764839.db2.gz WPYIXWQVVFKMPH-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN CC1(C)CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866183893 638765292 /nfs/dbraw/zinc/76/52/92/638765292.db2.gz IMJDVZIAVZAIPI-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN Cn1cc(C(F)F)c(CNc2ccccc2[N+](=O)[O-])n1 ZINC000866192380 638766867 /nfs/dbraw/zinc/76/68/67/638766867.db2.gz AJJPXAPWRQENAU-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H]2CSC[C@H]2C1 ZINC000866201458 638768873 /nfs/dbraw/zinc/76/88/73/638768873.db2.gz NMMWSXBFGBPOJF-WDEREUQCSA-N 0 0 294.376 2.793 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866233059 638776272 /nfs/dbraw/zinc/77/62/72/638776272.db2.gz QYUSXUFJHQAGCM-GHMZBOCLSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1cc(N[C@H](C)c2ccnn2C)c(F)cc1[N+](=O)[O-] ZINC000866252491 638780563 /nfs/dbraw/zinc/78/05/63/638780563.db2.gz KNHIXJWRTDWSMI-SECBINFHSA-N 0 0 278.287 2.949 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000866301393 638792137 /nfs/dbraw/zinc/79/21/37/638792137.db2.gz KPQSVSTVWCQDJG-GXSJLCMTSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000866303211 638792613 /nfs/dbraw/zinc/79/26/13/638792613.db2.gz JOJCVCUKJSJFOC-WDEREUQCSA-N 0 0 297.355 2.738 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000866303988 638793022 /nfs/dbraw/zinc/79/30/22/638793022.db2.gz SJCDBAKYNHVRGJ-QWRGUYRKSA-N 0 0 289.335 2.526 20 5 CFBDRN CCC(CC)C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000866486422 638829652 /nfs/dbraw/zinc/82/96/52/638829652.db2.gz HCVJSMKGQIBBJK-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN Cc1ccc(CNC(=O)N(C)CC(C)C)cc1[N+](=O)[O-] ZINC000867785642 638897590 /nfs/dbraw/zinc/89/75/90/638897590.db2.gz SEENFZQWTJAHHX-UHFFFAOYSA-N 0 0 279.340 2.701 20 5 CFBDRN CN(Cc1cncnc1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000121871246 638900429 /nfs/dbraw/zinc/90/04/29/638900429.db2.gz IQUZYYSBMMCHNH-UHFFFAOYSA-N 0 0 292.726 2.670 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC[C@H]1C(F)F ZINC000868600653 638960505 /nfs/dbraw/zinc/96/05/05/638960505.db2.gz ZQHWLPYXNNKANF-VIFPVBQESA-N 0 0 290.653 2.728 20 5 CFBDRN CCOC(C)(C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000868609258 638961360 /nfs/dbraw/zinc/96/13/60/638961360.db2.gz UVMPOWYUMNNUJP-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000868610713 638961578 /nfs/dbraw/zinc/96/15/78/638961578.db2.gz IMCGZCKZSWYTDY-CYBMUJFWSA-N 0 0 284.262 2.698 20 5 CFBDRN CCCCC(=O)COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000869313612 639163209 /nfs/dbraw/zinc/16/32/09/639163209.db2.gz HHUHJNVYNRQUQT-UHFFFAOYSA-N 0 0 265.265 2.511 20 5 CFBDRN C[C@H]1OCC[C@H]1COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000869314726 639165917 /nfs/dbraw/zinc/16/59/17/639165917.db2.gz VWRDDHRYWRDLLO-BDAKNGLRSA-N 0 0 299.710 2.830 20 5 CFBDRN CCCCC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000869353191 639191320 /nfs/dbraw/zinc/19/13/20/639191320.db2.gz PELCECNQEJKGIM-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OC[C@H](C)C(C)(C)C ZINC000869368723 639198069 /nfs/dbraw/zinc/19/80/69/639198069.db2.gz NUPSNQPTVGWQEO-JTQLQIEISA-N 0 0 297.355 2.715 20 5 CFBDRN C[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(=O)C(C)(C)C ZINC000869393788 639208737 /nfs/dbraw/zinc/20/87/37/639208737.db2.gz YCJCHCLUQXSLKH-MRVPVSSYSA-N 0 0 297.282 2.894 20 5 CFBDRN C[C@@H](COC(=O)c1c([N+](=O)[O-])cnn1C)CC(C)(C)C ZINC000869458523 639240539 /nfs/dbraw/zinc/24/05/39/639240539.db2.gz SPXNAKPGIFDYTF-SECBINFHSA-N 0 0 283.328 2.557 20 5 CFBDRN C[C@@H](COC(=O)c1nn(C)cc1[N+](=O)[O-])CC(C)(C)C ZINC000869463571 639241767 /nfs/dbraw/zinc/24/17/67/639241767.db2.gz DYUBTFSZNAGJQO-SECBINFHSA-N 0 0 283.328 2.557 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@@H]2CCO[C@H]2C)c1F ZINC000869483906 639254038 /nfs/dbraw/zinc/25/40/38/639254038.db2.gz DHFBOMJPGAHYSQ-UWVGGRQHSA-N 0 0 297.282 2.624 20 5 CFBDRN O=C(NCC[C@H]1CCSC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000869603633 639317574 /nfs/dbraw/zinc/31/75/74/639317574.db2.gz CRPYSVMCPVXHTC-VIFPVBQESA-N 0 0 298.339 2.607 20 5 CFBDRN CC(C)(F)CNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000869629425 639329984 /nfs/dbraw/zinc/32/99/84/639329984.db2.gz MDVKMSGRXJCREP-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)[C@H](C)O1 ZINC000869653646 639341571 /nfs/dbraw/zinc/34/15/71/639341571.db2.gz LXDJEWZNTOXULG-KHQFGBGNSA-N 0 0 285.321 2.513 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)[C@@H](C)O1 ZINC000869654935 639343193 /nfs/dbraw/zinc/34/31/93/639343193.db2.gz MMXJVQVSEOBIOL-KBVBSXBZSA-N 0 0 297.282 2.591 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@H]1CCCC12CC2 ZINC000869750804 639382939 /nfs/dbraw/zinc/38/29/39/639382939.db2.gz IFQYRFZQNWVQHG-LBPRGKRZSA-N 0 0 292.310 2.725 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2c(F)cccc2[N+](=O)[O-])C1=O ZINC000869896378 639457457 /nfs/dbraw/zinc/45/74/57/639457457.db2.gz AOMZDUDXTIWDOP-SNVBAGLBSA-N 0 0 295.266 2.648 20 5 CFBDRN CC[C@H](C)COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869896584 639457574 /nfs/dbraw/zinc/45/75/74/639457574.db2.gz DYAFGDCCNZOFCF-QMMMGPOBSA-N 0 0 255.245 2.937 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897484 639458490 /nfs/dbraw/zinc/45/84/90/639458490.db2.gz VVHYXLXXXYCZBT-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN CCC(=O)CCCOC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897016 639458721 /nfs/dbraw/zinc/45/87/21/639458721.db2.gz LATVNUJIJWCOGV-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000869896792 639458920 /nfs/dbraw/zinc/45/89/20/639458920.db2.gz HOXZMWCPHOHCBF-GFCCVEGCSA-N 0 0 283.255 2.504 20 5 CFBDRN CCCc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])s1 ZINC000870377587 639615990 /nfs/dbraw/zinc/61/59/90/639615990.db2.gz QZBTYYGHCPQPPC-QMMMGPOBSA-N 0 0 257.311 2.523 20 5 CFBDRN CC[C@H](CC(=O)OCc1cn(C)nc1[N+](=O)[O-])C(C)(C)C ZINC000870459312 639648654 /nfs/dbraw/zinc/64/86/54/639648654.db2.gz OHPYFCLRVBWVAK-LLVKDONJSA-N 0 0 297.355 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C1CCOCC1 ZINC000870699818 639778035 /nfs/dbraw/zinc/77/80/35/639778035.db2.gz JIAOHHBOLKMPHH-UHFFFAOYSA-N 0 0 293.319 2.681 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1ncc(Cl)n1C ZINC000018362289 639802513 /nfs/dbraw/zinc/80/25/13/639802513.db2.gz NFWKHXLZQNFHNT-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN CC(C)CCOCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000079388039 650586973 /nfs/dbraw/zinc/58/69/73/650586973.db2.gz SPWLRYYYIDERFP-UHFFFAOYSA-N 0 0 281.308 2.814 20 5 CFBDRN O=Cc1cccc(OCCOc2cccc([N+](=O)[O-])c2)c1 ZINC000192755042 650592456 /nfs/dbraw/zinc/59/24/56/650592456.db2.gz WQKGCMQTWNLNHK-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN CC(C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000192866648 650604033 /nfs/dbraw/zinc/60/40/33/650604033.db2.gz UFZUMDWOKHCNPA-UHFFFAOYSA-N 0 0 291.351 2.675 20 5 CFBDRN COC(OC)[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000079614574 650608600 /nfs/dbraw/zinc/60/86/00/650608600.db2.gz MFCCZIQLKINQKU-ZETCQYMHSA-N 0 0 274.704 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCCOCC(F)(F)F ZINC000079704073 650614030 /nfs/dbraw/zinc/61/40/30/650614030.db2.gz HCKAXOKUQLXDFL-UHFFFAOYSA-N 0 0 283.177 2.692 20 5 CFBDRN CC[C@H](C)CCC(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870828551 641091017 /nfs/dbraw/zinc/09/10/17/641091017.db2.gz ROKJUEXAXWUMBD-ZBOXLXRLSA-N 0 0 295.339 2.864 20 5 CFBDRN Cc1cc(Oc2ccc(C=O)cc2[N+](=O)[O-])nc(C)n1 ZINC000193206468 650632937 /nfs/dbraw/zinc/63/29/37/650632937.db2.gz DUVXRCBGGJEAQX-UHFFFAOYSA-N 0 0 273.248 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@H]2CCCS2)c1 ZINC000871031823 641164091 /nfs/dbraw/zinc/16/40/91/641164091.db2.gz FRPPIPJPIWXOCC-LLVKDONJSA-N 0 0 294.376 2.919 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000871087753 641194731 /nfs/dbraw/zinc/19/47/31/641194731.db2.gz JSZKZTLFYMUWGK-SNVBAGLBSA-N 0 0 294.326 2.971 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000871087977 641194796 /nfs/dbraw/zinc/19/47/96/641194796.db2.gz QFYXCBGUMQOTGG-CYFBHIGMSA-N 0 0 292.360 2.618 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])s1)[C@H]1CCCCO1 ZINC000871162275 641224845 /nfs/dbraw/zinc/22/48/45/641224845.db2.gz AFUKUVDCQKBCLB-SNVBAGLBSA-N 0 0 298.364 2.734 20 5 CFBDRN COc1ncccc1C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000193305369 650642747 /nfs/dbraw/zinc/64/27/47/650642747.db2.gz UMWFTSBPOYXICR-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CCCCC[C@H](Nc1ncccc1[N+](=O)[O-])C(=O)OC ZINC000080233036 650653014 /nfs/dbraw/zinc/65/30/14/650653014.db2.gz DEZWVBSZONIVLF-JTQLQIEISA-N 0 0 281.312 2.524 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000123713720 641367196 /nfs/dbraw/zinc/36/71/96/641367196.db2.gz JPVBLDCMRSWKQY-MRVPVSSYSA-N 0 0 255.245 2.935 20 5 CFBDRN Cc1csc(SCCn2c(C)ncc2[N+](=O)[O-])n1 ZINC000871637510 641376913 /nfs/dbraw/zinc/37/69/13/641376913.db2.gz KNMBEDQNYKSWFU-UHFFFAOYSA-N 0 0 284.366 2.657 20 5 CFBDRN Cc1cc(OCc2nccn2CC(F)F)ccc1[N+](=O)[O-] ZINC000871638688 641377950 /nfs/dbraw/zinc/37/79/50/641377950.db2.gz CXRHTKHZYSENAV-UHFFFAOYSA-N 0 0 297.261 2.944 20 5 CFBDRN Cc1nc(SCCn2c(C)ncc2[N+](=O)[O-])sc1C ZINC000871654612 641384755 /nfs/dbraw/zinc/38/47/55/641384755.db2.gz GOURXIAPGSQJBH-UHFFFAOYSA-N 0 0 298.393 2.965 20 5 CFBDRN CCOc1cc(OC[C@H]2C[C@@H](OC)C2)ccc1[N+](=O)[O-] ZINC000871669314 641393219 /nfs/dbraw/zinc/39/32/19/641393219.db2.gz LEEJBXZWPJZTTF-KLPPZKSPSA-N 0 0 281.308 2.797 20 5 CFBDRN CC(C)(C)SCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000871670366 641393643 /nfs/dbraw/zinc/39/36/43/641393643.db2.gz TULFNKFFRULOGD-UHFFFAOYSA-N 0 0 289.378 2.858 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CS[C@H]1CCOC1 ZINC000871709866 641417825 /nfs/dbraw/zinc/41/78/25/641417825.db2.gz IJLKKDDMYWEVDB-NSHDSACASA-N 0 0 269.322 2.626 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1CC12CCC2 ZINC000871762627 641444684 /nfs/dbraw/zinc/44/46/84/641444684.db2.gz PWNVKHPIVZMMKG-NSHDSACASA-N 0 0 261.281 2.659 20 5 CFBDRN C[C@H](ON=C(N)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000871764953 641448169 /nfs/dbraw/zinc/44/81/69/641448169.db2.gz NUVSCQJCMYKGAE-VIFPVBQESA-N 0 0 263.297 2.954 20 5 CFBDRN NC(=NOCc1ccc([N+](=O)[O-])c(F)c1)C1CCC1 ZINC000871766189 641448890 /nfs/dbraw/zinc/44/88/90/641448890.db2.gz ZDWNMDHQFANCCT-UHFFFAOYSA-N 0 0 267.260 2.532 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2nc(CC3CC3)no2)ccc1F ZINC000871803435 641466626 /nfs/dbraw/zinc/46/66/26/641466626.db2.gz BYYBZPJFCGNJDB-UHFFFAOYSA-N 0 0 292.270 2.682 20 5 CFBDRN O=C([O-])[C@@H](CC1CC1)[NH2+]Cc1ccc([N+](=O)[O-])cc1Cl ZINC000871882491 641498254 /nfs/dbraw/zinc/49/82/54/641498254.db2.gz KKXMGKOZKCAORJ-GFCCVEGCSA-N 0 0 298.726 2.591 20 5 CFBDRN CCC1=NN(c2ccc([N+](=O)[O-])cc2Cl)C(=O)[C@H]1F ZINC000871951295 641525201 /nfs/dbraw/zinc/52/52/01/641525201.db2.gz PAAPKTSVXRZKPD-JTQLQIEISA-N 0 0 285.662 2.699 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1[C@H](C)NCCF ZINC000872068591 641599804 /nfs/dbraw/zinc/59/98/04/641599804.db2.gz HOOUEWRNZYQJGX-QMMMGPOBSA-N 0 0 258.318 2.937 20 5 CFBDRN CSc1ccc(C(=O)OCC2(C)COC2)cc1[N+](=O)[O-] ZINC000193651995 650677657 /nfs/dbraw/zinc/67/76/57/650677657.db2.gz KNRVTMRIINTVEY-UHFFFAOYSA-N 0 0 297.332 2.510 20 5 CFBDRN Cc1ccc(C[N@@H+]2CCC[C@@](C)(C(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000872160826 641682515 /nfs/dbraw/zinc/68/25/15/641682515.db2.gz NBKQGFFKNYVQOL-OAHLLOKOSA-N 0 0 292.335 2.590 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H]3CCC[C@H]3O2)c1 ZINC000872696411 641899982 /nfs/dbraw/zinc/89/99/82/641899982.db2.gz RWDXJRPRDHRCKU-IFUGULHKSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1cc(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)ncc1[N+](=O)[O-] ZINC000872697342 641900878 /nfs/dbraw/zinc/90/08/78/641900878.db2.gz KHMZBGWWRHWJDA-GMXVVIOVSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)n1 ZINC000872697396 641901187 /nfs/dbraw/zinc/90/11/87/641901187.db2.gz LBXYYZFMYBCJOA-GMXVVIOVSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cnc(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)c([N+](=O)[O-])c1 ZINC000872697821 641902113 /nfs/dbraw/zinc/90/21/13/641902113.db2.gz AHSXDXXVKAWVEF-MDZLAQPJSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)cc1 ZINC000872699511 641903734 /nfs/dbraw/zinc/90/37/34/641903734.db2.gz NSBJPWSAUAPAHM-BPNCWPANSA-N 0 0 262.309 2.964 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000872699674 641903896 /nfs/dbraw/zinc/90/38/96/641903896.db2.gz OMAAFVQOBDIJEN-MJVIPROJSA-N 0 0 262.309 2.964 20 5 CFBDRN CC(C)OC1(CNc2ncccc2[N+](=O)[O-])CCC1 ZINC000872707281 641906601 /nfs/dbraw/zinc/90/66/01/641906601.db2.gz HAKFOVRFJCLLBE-UHFFFAOYSA-N 0 0 265.313 2.749 20 5 CFBDRN C[C@@]1(C(F)(F)F)CCN(c2cccnc2[N+](=O)[O-])C1 ZINC000872728339 641914161 /nfs/dbraw/zinc/91/41/61/641914161.db2.gz HDVZAJYMNMQCTI-SNVBAGLBSA-N 0 0 275.230 2.769 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2cccc(OC)c2[N+](=O)[O-])C1 ZINC000872775892 641929641 /nfs/dbraw/zinc/92/96/41/641929641.db2.gz IYYMYYWENYTPPD-IQYSWEBNSA-N 0 0 292.335 2.973 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@H](O)c1ccccc1 ZINC000872776229 641930255 /nfs/dbraw/zinc/93/02/55/641930255.db2.gz WSJFEECPTQYJGF-UKRRQHHQSA-N 0 0 287.319 2.914 20 5 CFBDRN COC(=O)[C@]12CCC[C@H]1N(c1ccccc1[N+](=O)[O-])CC2 ZINC000872787412 641939224 /nfs/dbraw/zinc/93/92/24/641939224.db2.gz GUEQPGVJMYURRD-HIFRSBDPSA-N 0 0 290.319 2.517 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000872846180 641950873 /nfs/dbraw/zinc/95/08/73/641950873.db2.gz SNMYZYINVHICDY-ZWNOBZJWSA-N 0 0 289.335 2.898 20 5 CFBDRN CSCC[C@@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000124285357 641954035 /nfs/dbraw/zinc/95/40/35/641954035.db2.gz JMKPVPMXSACQTJ-SNVBAGLBSA-N 0 0 296.392 2.704 20 5 CFBDRN CCn1cc(NCc2cccc([N+](=O)[O-])c2C)cn1 ZINC000873097398 642013052 /nfs/dbraw/zinc/01/30/52/642013052.db2.gz PPYPLUMRZPZNCO-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN[C@H]1CCn2ccnc21 ZINC000873129394 642022333 /nfs/dbraw/zinc/02/23/33/642022333.db2.gz OUVHHSHDCUGCLN-ZDUSSCGKSA-N 0 0 286.335 2.643 20 5 CFBDRN CN(C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1ccccn1 ZINC000019813884 642025729 /nfs/dbraw/zinc/02/57/29/642025729.db2.gz YEHBYRAJXHPQHT-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNc1cnn(CCF)c1 ZINC000873141802 642028632 /nfs/dbraw/zinc/02/86/32/642028632.db2.gz KUXBEWZKAMDDHS-UHFFFAOYSA-N 0 0 292.314 2.990 20 5 CFBDRN Cc1nccnc1[C@H](C)NCc1ccc([N+](=O)[O-])cc1F ZINC000873186497 642054534 /nfs/dbraw/zinc/05/45/34/642054534.db2.gz BTSBMMSXYPYUBF-JTQLQIEISA-N 0 0 290.298 2.683 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1ccc([N+](=O)[O-])s1 ZINC000873316083 642132926 /nfs/dbraw/zinc/13/29/26/642132926.db2.gz FSLPIDPWGYLBMU-UTLUCORTSA-N 0 0 297.332 2.771 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000873318545 642134626 /nfs/dbraw/zinc/13/46/26/642134626.db2.gz CTCVQWPPOSVLGI-MAHJZGARSA-N 0 0 291.303 2.576 20 5 CFBDRN O=C(OC[C@H]1CC2(CCC2)CO1)c1csc([N+](=O)[O-])c1 ZINC000873347612 642145129 /nfs/dbraw/zinc/14/51/29/642145129.db2.gz AZNPFDCHICFNEA-SNVBAGLBSA-N 0 0 297.332 2.772 20 5 CFBDRN CC[C@@H](OC(=O)c1cc([N+](=O)[O-])cc(C)c1F)C(C)=O ZINC000873382739 642170520 /nfs/dbraw/zinc/17/05/20/642170520.db2.gz IPSJPKHOENEJMX-LLVKDONJSA-N 0 0 283.255 2.567 20 5 CFBDRN C[C@@H](NC(=O)c1c2ccccc2cc2ccccc21)C(N)=O ZINC000081824743 650728389 /nfs/dbraw/zinc/72/83/89/650728389.db2.gz AVOYTOLKEROOEK-LLVKDONJSA-N 0 0 292.338 2.597 20 5 CFBDRN Cc1noc(COC(=O)C2CCC=CCC2)c1[N+](=O)[O-] ZINC000873430931 642202944 /nfs/dbraw/zinc/20/29/44/642202944.db2.gz YSPLSZMLNKOSIK-UHFFFAOYSA-N 0 0 280.280 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCCCCCCO ZINC000873437982 642204891 /nfs/dbraw/zinc/20/48/91/642204891.db2.gz CBDSEPWZXCLIJQ-UHFFFAOYSA-N 0 0 295.335 2.921 20 5 CFBDRN CNc1c(C(=O)OC2CCSCC2)cccc1[N+](=O)[O-] ZINC000873557205 642248716 /nfs/dbraw/zinc/24/87/16/642248716.db2.gz IARICPKOJSUFCF-UHFFFAOYSA-N 0 0 296.348 2.689 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])[C@H]1CC12CC2 ZINC000873630513 642280513 /nfs/dbraw/zinc/28/05/13/642280513.db2.gz HCKGWALFLXRTJJ-ZYHUDNBSSA-N 0 0 275.304 2.869 20 5 CFBDRN C[C@H]1Cc2ccccc2N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000195131013 650739255 /nfs/dbraw/zinc/73/92/55/650739255.db2.gz XQMWOEYYCLJJHV-JTQLQIEISA-N 0 0 297.314 2.768 20 5 CFBDRN CCCNC(=O)CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124767174 642320179 /nfs/dbraw/zinc/32/01/79/642320179.db2.gz WQFYSHRTRXJKOW-UHFFFAOYSA-N 0 0 285.731 2.576 20 5 CFBDRN Cc1ccc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)nc1 ZINC000124772288 642320315 /nfs/dbraw/zinc/32/03/15/642320315.db2.gz NQLSSBDJYYRXGR-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@@H]1CCC[C@H]1O ZINC000124793076 642321108 /nfs/dbraw/zinc/32/11/08/642321108.db2.gz NOVCEKSYWNUIOJ-QPUJVOFHSA-N 0 0 270.716 2.821 20 5 CFBDRN CC(C)OC1(C(=O)NCc2ccccc2[N+](=O)[O-])CCC1 ZINC000874071908 642333900 /nfs/dbraw/zinc/33/39/00/642333900.db2.gz OJHZUVXRNWRSEB-UHFFFAOYSA-N 0 0 292.335 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCc1ccon1 ZINC000124804002 642336264 /nfs/dbraw/zinc/33/62/64/642336264.db2.gz QJNUGXDKFXANTN-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC[C@H](C)C1 ZINC000874268890 642362207 /nfs/dbraw/zinc/36/22/07/642362207.db2.gz RXBGFNROSQLQLJ-VIFPVBQESA-N 0 0 262.309 2.694 20 5 CFBDRN O=C(Nc1ccc2c(n1)CCCC2)c1ccc([N+](=O)[O-])o1 ZINC000874672376 642448614 /nfs/dbraw/zinc/44/86/14/642448614.db2.gz GEKXFNKGFFDNHV-UHFFFAOYSA-N 0 0 287.275 2.714 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC23CCC3)c1 ZINC000874782526 642483055 /nfs/dbraw/zinc/48/30/55/642483055.db2.gz CCACWEACRNZIPY-LBPRGKRZSA-N 0 0 260.293 2.576 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2C[C@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000875060892 642582441 /nfs/dbraw/zinc/58/24/41/642582441.db2.gz GTZWWHLGMRAWLS-SDDRHHMPSA-N 0 0 293.319 2.931 20 5 CFBDRN Cc1nc(NC(=O)C2(C)CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000875424055 642620692 /nfs/dbraw/zinc/62/06/92/642620692.db2.gz SZOKTYBVTTUEAF-UHFFFAOYSA-N 0 0 285.250 2.672 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CCOC1(C)C ZINC000875769053 642684358 /nfs/dbraw/zinc/68/43/58/642684358.db2.gz WTSZYJLDAAFQMU-LBPRGKRZSA-N 0 0 292.335 2.509 20 5 CFBDRN O=C(Nc1cccc2cnccc21)c1ccc([N+](=O)[O-])o1 ZINC000875869283 642704002 /nfs/dbraw/zinc/70/40/02/642704002.db2.gz KTYFGZUHIBOWPB-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCCF ZINC000125712854 642740855 /nfs/dbraw/zinc/74/08/55/642740855.db2.gz BXGHRYBLAQSBQL-UHFFFAOYSA-N 0 0 299.301 2.667 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000876062836 642763410 /nfs/dbraw/zinc/76/34/10/642763410.db2.gz GINBNTIQBAINSZ-XYPYZODXSA-N 0 0 295.364 2.919 20 5 CFBDRN COC(C)(C)CCNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000876080969 642769091 /nfs/dbraw/zinc/76/90/91/642769091.db2.gz XHNHAYHMLNHVMU-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1ccc(CNC(=O)NC(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000876168927 642784823 /nfs/dbraw/zinc/78/48/23/642784823.db2.gz FYZAYFQAPYZROK-UHFFFAOYSA-N 0 0 291.351 2.891 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCCCCC2)cc1[N+](=O)[O-] ZINC000876171139 642786970 /nfs/dbraw/zinc/78/69/70/642786970.db2.gz JYCIPCRRDHTCCK-UHFFFAOYSA-N 0 0 291.351 2.989 20 5 CFBDRN CC(C)(NC(=O)c1ccccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000876312791 642819981 /nfs/dbraw/zinc/81/99/81/642819981.db2.gz IFPJXQQHAYBKQL-CYBMUJFWSA-N 0 0 292.335 2.672 20 5 CFBDRN COCCC(=O)Nc1cc([N+](=O)[O-])ccc1C(C)(C)C ZINC000876340976 642835887 /nfs/dbraw/zinc/83/58/87/642835887.db2.gz VKEAVGUKRCRRPE-UHFFFAOYSA-N 0 0 280.324 2.867 20 5 CFBDRN C[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1N)C(C)(C)C ZINC000125958632 642872277 /nfs/dbraw/zinc/87/22/77/642872277.db2.gz ZJXWIWUABJTHEI-SECBINFHSA-N 0 0 279.340 2.589 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCO[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000876468046 642883178 /nfs/dbraw/zinc/88/31/78/642883178.db2.gz CGDXSQZLEWVOIS-UMNHJUIQSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1CCOC(C)(C)C1 ZINC000876613484 642944611 /nfs/dbraw/zinc/94/46/11/642944611.db2.gz PTULYDJVNYGAMG-UHFFFAOYSA-N 0 0 278.352 2.822 20 5 CFBDRN COc1cccc(NC2CC(n3cc([N+](=O)[O-])cn3)C2)c1 ZINC000876819941 643025213 /nfs/dbraw/zinc/02/52/13/643025213.db2.gz OTYARWGSQDPXBG-UHFFFAOYSA-N 0 0 288.307 2.616 20 5 CFBDRN CC(C)(O)C1CCN(Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000877754096 643532109 /nfs/dbraw/zinc/53/21/09/643532109.db2.gz WBDZKNKMNLULBR-UHFFFAOYSA-N 0 0 296.342 2.717 20 5 CFBDRN Cn1cc(CNc2ccc(C3CC3)cc2F)c([N+](=O)[O-])n1 ZINC000877760556 643540280 /nfs/dbraw/zinc/54/02/80/643540280.db2.gz IOKPJARKLKTDND-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN CC1CC(Cn2c3cc([N+](=O)[O-])ccc3oc2=O)C1 ZINC000877872741 643614507 /nfs/dbraw/zinc/61/45/07/643614507.db2.gz OYGVWUKKJMWYGA-UHFFFAOYSA-N 0 0 262.265 2.549 20 5 CFBDRN CCOCCOCCOc1ccc([N+](=O)[O-])cc1Cl ZINC000877874817 643615499 /nfs/dbraw/zinc/61/54/99/643615499.db2.gz PZIHZUTUNDCLLF-UHFFFAOYSA-N 0 0 289.715 2.680 20 5 CFBDRN CC(C)(C)c1nc(COc2cccnc2[N+](=O)[O-])co1 ZINC000877888292 643625263 /nfs/dbraw/zinc/62/52/63/643625263.db2.gz QBGIVKCVFUKAMG-UHFFFAOYSA-N 0 0 277.280 2.854 20 5 CFBDRN Cc1ccc(NC(=O)N2CCC(F)CC2)cc1[N+](=O)[O-] ZINC000877903462 643632862 /nfs/dbraw/zinc/63/28/62/643632862.db2.gz SZKQSTLINPHQDU-UHFFFAOYSA-N 0 0 281.287 2.869 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)C(C)C)c([N+](=O)[O-])cc1OC ZINC000127132010 643907040 /nfs/dbraw/zinc/90/70/40/643907040.db2.gz XKROMOBDZNMGDB-SECBINFHSA-N 0 0 297.307 2.813 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCC=C(Cl)C3)nc2c1 ZINC000878791036 644027450 /nfs/dbraw/zinc/02/74/50/644027450.db2.gz BTTFBRPMWQAJFG-UHFFFAOYSA-N 0 0 292.726 2.800 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cccc(F)n1 ZINC000127389484 644056991 /nfs/dbraw/zinc/05/69/91/644056991.db2.gz XLRJBBBPKDPZAX-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN Cc1n[nH]cc1CN(C)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000879188857 644170390 /nfs/dbraw/zinc/17/03/90/644170390.db2.gz QYZHXRDQMKUMHA-UHFFFAOYSA-N 0 0 294.742 2.912 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](CF)C1 ZINC000879608744 644346812 /nfs/dbraw/zinc/34/68/12/644346812.db2.gz WOIBXGKVMYQXOX-SNVBAGLBSA-N 0 0 295.314 2.981 20 5 CFBDRN Cc1cc(N(C)Cc2cc([N+](=O)[O-])ccc2Cl)n[nH]1 ZINC000879747550 644408411 /nfs/dbraw/zinc/40/84/11/644408411.db2.gz YSDYPQBUKSYGEU-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1OC2CCC1CC2 ZINC000879800514 644430281 /nfs/dbraw/zinc/43/02/81/644430281.db2.gz SUMKQXNGEBDFLO-UHFFFAOYSA-N 0 0 266.272 2.792 20 5 CFBDRN CC(C)N(C(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000248024499 650921896 /nfs/dbraw/zinc/92/18/96/650921896.db2.gz VWNSPGULBYXMHV-UHFFFAOYSA-N 0 0 266.272 2.747 20 5 CFBDRN COc1ccc(OC(=O)CCCSC)c([N+](=O)[O-])c1 ZINC000128113543 644471944 /nfs/dbraw/zinc/47/19/44/644471944.db2.gz JUOOOVQCDZABSI-UHFFFAOYSA-N 0 0 285.321 2.652 20 5 CFBDRN C[C@H]1CC[N@H+](Cc2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000248369650 650931965 /nfs/dbraw/zinc/93/19/65/650931965.db2.gz WMZKNBSRYNTKOP-UWVGGRQHSA-N 0 0 252.289 2.964 20 5 CFBDRN CCOc1cc(NC(=O)N2C[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000888830755 644811426 /nfs/dbraw/zinc/81/14/26/644811426.db2.gz BBKLEJNHULNUNQ-VHSXEESVSA-N 0 0 293.323 2.866 20 5 CFBDRN CCOc1cc(NC(=O)N2CCCCO2)ccc1[N+](=O)[O-] ZINC000888832110 644815683 /nfs/dbraw/zinc/81/56/83/644815683.db2.gz UAVDFXLONWSMHU-UHFFFAOYSA-N 0 0 295.295 2.553 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CSC1 ZINC000880732684 644819950 /nfs/dbraw/zinc/81/99/50/644819950.db2.gz OJEHIJKEOLSHBS-UHFFFAOYSA-N 0 0 298.389 2.552 20 5 CFBDRN Cc1c(C(=O)NC[C@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000881490177 644989807 /nfs/dbraw/zinc/98/98/07/644989807.db2.gz IVOQKYCIHQIVHS-NSHDSACASA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)NC[C@H]1C=CCC1 ZINC000881493095 644992905 /nfs/dbraw/zinc/99/29/05/644992905.db2.gz PGXRJOLJDWCPRW-LBPRGKRZSA-N 0 0 299.330 2.632 20 5 CFBDRN O=C(NCC1(CC2CC2)CC1)c1ccc([N+](=O)[O-])s1 ZINC000881516696 645011886 /nfs/dbraw/zinc/01/18/86/645011886.db2.gz DMNBHWUQGCXSMP-UHFFFAOYSA-N 0 0 280.349 2.966 20 5 CFBDRN CC1(C)C[C@]1(C)CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000881540804 645032462 /nfs/dbraw/zinc/03/24/62/645032462.db2.gz SDFSAIVWNFYJDZ-CQSZACIVSA-N 0 0 262.309 2.761 20 5 CFBDRN O=C(NCC[C@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])cn1 ZINC000881584199 645058583 /nfs/dbraw/zinc/05/85/83/645058583.db2.gz UYTPNGFEUGUKHF-SECBINFHSA-N 0 0 299.277 2.545 20 5 CFBDRN CC(C)(CCC(=O)NCC[C@@H]1CCCC1(F)F)[N+](=O)[O-] ZINC000881587416 645061410 /nfs/dbraw/zinc/06/14/10/645061410.db2.gz FCEJVFOKEYPICB-JTQLQIEISA-N 0 0 292.326 2.764 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H](CF)C1 ZINC000881595580 645067694 /nfs/dbraw/zinc/06/76/94/645067694.db2.gz MZNYSGSDPBNMGW-SECBINFHSA-N 0 0 284.262 2.556 20 5 CFBDRN C[C@H](C(=O)N1CCC(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000881610806 645080324 /nfs/dbraw/zinc/08/03/24/645080324.db2.gz ASINYWXMBIBGKK-JTQLQIEISA-N 0 0 280.299 2.659 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@H](CF)C1 ZINC000881662854 645092070 /nfs/dbraw/zinc/09/20/70/645092070.db2.gz KGOTVGSIZCWXNL-MRVPVSSYSA-N 0 0 286.690 2.680 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@@H](CF)C2)cc1[N+](=O)[O-] ZINC000881670457 645097499 /nfs/dbraw/zinc/09/74/99/645097499.db2.gz KDFSCXKONBNDII-JTQLQIEISA-N 0 0 280.299 2.589 20 5 CFBDRN Cc1ncnc(N(C)Cc2ccccc2[N+](=O)[O-])c1C ZINC000881795197 645129493 /nfs/dbraw/zinc/12/94/93/645129493.db2.gz BINOYTLWJKTWCM-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCCOC(C)(C)C1 ZINC000881993290 645170110 /nfs/dbraw/zinc/17/01/10/645170110.db2.gz XCJVNGQXKLGIDU-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(=O)c1c(NC[C@H](C)C[C@H](C)O)cccc1[N+](=O)[O-] ZINC000882007260 645174807 /nfs/dbraw/zinc/17/48/07/645174807.db2.gz HOEPINADZKTNCX-ZJUUUORDSA-N 0 0 280.324 2.616 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccnc(F)c2[N+](=O)[O-])C12CCC2 ZINC000882017073 645176945 /nfs/dbraw/zinc/17/69/45/645176945.db2.gz QKRJGVAHPKRLSQ-GHMZBOCLSA-N 0 0 295.314 2.889 20 5 CFBDRN CN(CCc1cccs1)c1ccnc(F)c1[N+](=O)[O-] ZINC000882031329 645182094 /nfs/dbraw/zinc/18/20/94/645182094.db2.gz DHUXQSZCKPIIBK-UHFFFAOYSA-N 0 0 281.312 2.869 20 5 CFBDRN Cc1nc(CCNc2ccnc(F)c2[N+](=O)[O-])sc1C ZINC000882096146 645200389 /nfs/dbraw/zinc/20/03/89/645200389.db2.gz MORFMMATQVSVBB-UHFFFAOYSA-N 0 0 296.327 2.857 20 5 CFBDRN CCC(F)(F)Cn1c(C)nc([N+](=O)[O-])c1Br ZINC000882109254 645205838 /nfs/dbraw/zinc/20/58/38/645205838.db2.gz JLYQEKBYEMEVSR-UHFFFAOYSA-N 0 0 298.087 2.908 20 5 CFBDRN CC(C)[C@H]1C[C@@H](Nc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882156200 645222939 /nfs/dbraw/zinc/22/29/39/645222939.db2.gz MWPKFFHDUFBIJV-GXSJLCMTSA-N 0 0 283.303 2.744 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC[C@H]1CCCCO1 ZINC000882170232 645228238 /nfs/dbraw/zinc/22/82/38/645228238.db2.gz MRGMNJQGJDDDRR-SECBINFHSA-N 0 0 269.276 2.500 20 5 CFBDRN C[C@@H]1C[C@H](CCNc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882177271 645232827 /nfs/dbraw/zinc/23/28/27/645232827.db2.gz NQCSHNUHUQVEKX-NXEZZACHSA-N 0 0 283.303 2.746 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@](C)(O)CC1 ZINC000882286673 645263691 /nfs/dbraw/zinc/26/36/91/645263691.db2.gz KWSJBEPQZTZFEM-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H]1CCC(F)(F)C1 ZINC000882296421 645267622 /nfs/dbraw/zinc/26/76/22/645267622.db2.gz KPBYIHMEYCABNS-ZETCQYMHSA-N 0 0 275.230 2.976 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC[C@@H](c2ccccn2)C1 ZINC000882308512 645275334 /nfs/dbraw/zinc/27/53/34/645275334.db2.gz BKSWLZINIMIYBI-SNVBAGLBSA-N 0 0 288.282 2.518 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC2(CC2)C1 ZINC000882311973 645277279 /nfs/dbraw/zinc/27/72/79/645277279.db2.gz VPHRTJFKOPMLMW-UHFFFAOYSA-N 0 0 260.293 2.788 20 5 CFBDRN CC(C)SCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882363050 645291558 /nfs/dbraw/zinc/29/15/58/645291558.db2.gz USAAZEFULVUEHN-UHFFFAOYSA-N 0 0 259.306 2.682 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H]1CCCSC1 ZINC000882376040 645293208 /nfs/dbraw/zinc/29/32/08/645293208.db2.gz RQEKMPVDWJJSRY-MRVPVSSYSA-N 0 0 271.317 2.684 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2Cl)C1 ZINC000129605458 645293293 /nfs/dbraw/zinc/29/32/93/645293293.db2.gz YBWXBJCVBLVODZ-MRVPVSSYSA-N 0 0 268.700 2.730 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1CCCSC1 ZINC000882376042 645293858 /nfs/dbraw/zinc/29/38/58/645293858.db2.gz RQEKMPVDWJJSRY-QMMMGPOBSA-N 0 0 271.317 2.684 20 5 CFBDRN CS[C@H]1CCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882411860 645303500 /nfs/dbraw/zinc/30/35/00/645303500.db2.gz QWEPGTNFZHOJFS-JTQLQIEISA-N 0 0 280.349 2.739 20 5 CFBDRN C[C@@]12CN(c3ccnc(F)c3[N+](=O)[O-])C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000882413910 645303971 /nfs/dbraw/zinc/30/39/71/645303971.db2.gz UKSVURAVZMZXEU-RSQPEXBXSA-N 0 0 289.310 2.777 20 5 CFBDRN CCCc1ncc(CNc2ccnc(F)c2[N+](=O)[O-])o1 ZINC000882428368 645309231 /nfs/dbraw/zinc/30/92/31/645309231.db2.gz HRJWTKCVYUTFCD-UHFFFAOYSA-N 0 0 280.259 2.682 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@]2(CC2(F)F)C1 ZINC000882532112 645355697 /nfs/dbraw/zinc/35/56/97/645355697.db2.gz WZOAPVFINSZJCZ-NSHDSACASA-N 0 0 287.241 2.755 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000025348675 645371988 /nfs/dbraw/zinc/37/19/88/645371988.db2.gz LDOPUKHEYQAWHB-JTQLQIEISA-N 0 0 279.340 2.732 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@H](CCF)C1 ZINC000882621211 645390790 /nfs/dbraw/zinc/39/07/90/645390790.db2.gz OVJOGRFCUAYITM-SECBINFHSA-N 0 0 271.267 2.705 20 5 CFBDRN CC1(C)CCC(O)(CNc2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000882627839 645395618 /nfs/dbraw/zinc/39/56/18/645395618.db2.gz CJYPJCHHAAUQJA-UHFFFAOYSA-N 0 0 297.330 2.872 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCCc1ccco1 ZINC000882635192 645397420 /nfs/dbraw/zinc/39/74/20/645397420.db2.gz QRNFAGMCYBPFDP-UHFFFAOYSA-N 0 0 265.244 2.767 20 5 CFBDRN C[C@H]1CN(c2ccnc(F)c2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000882650415 645401010 /nfs/dbraw/zinc/40/10/10/645401010.db2.gz YDZDGNNIWCKNNA-YUMQZZPRSA-N 0 0 289.257 2.856 20 5 CFBDRN CC(=O)c1c(N[C@H]2CO[C@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000882663264 645404774 /nfs/dbraw/zinc/40/47/74/645404774.db2.gz LSNKRJDFIIQIMQ-RISCZKNCSA-N 0 0 290.319 2.777 20 5 CFBDRN CC(=O)c1c(N[C@@H]2C[C@@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000882681247 645408911 /nfs/dbraw/zinc/40/89/11/645408911.db2.gz MEXMWCDMLHRXGK-UBHAPETDSA-N 0 0 278.308 2.775 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H](C1CCC1)[C@H]1CCOC1 ZINC000882691927 645411719 /nfs/dbraw/zinc/41/17/19/645411719.db2.gz CODVIYZKEXIRAZ-JQWIXIFHSA-N 0 0 295.314 2.746 20 5 CFBDRN Cc1cccc(COC(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000129946613 645434375 /nfs/dbraw/zinc/43/43/75/645434375.db2.gz YMZFGBUGPXPDQY-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@H](O)CSc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000882738088 645437324 /nfs/dbraw/zinc/43/73/24/645437324.db2.gz ITDIXLUCCKJCMD-LURJTMIESA-N 0 0 279.264 2.669 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NCC3(CC4CC4)CC3)nn21 ZINC000882773946 645451242 /nfs/dbraw/zinc/45/12/42/645451242.db2.gz NBPKOQZLVSRWBY-UHFFFAOYSA-N 0 0 287.323 2.630 20 5 CFBDRN CCC[C@@H](C)CCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000882777972 645453477 /nfs/dbraw/zinc/45/34/77/645453477.db2.gz YGAYJJACXCYYSJ-SECBINFHSA-N 0 0 253.302 2.934 20 5 CFBDRN COCC[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000130099435 645454326 /nfs/dbraw/zinc/45/43/26/645454326.db2.gz YNVMDYOJQJNLPP-ZDUSSCGKSA-N 0 0 281.308 2.813 20 5 CFBDRN C=C/C=C/CCNc1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000882795008 645457611 /nfs/dbraw/zinc/45/76/11/645457611.db2.gz CNUMSXCRYYMUBQ-AATRIKPKSA-N 0 0 291.307 2.629 20 5 CFBDRN COc1cc(N2CCC[C@H](CF)C2)ccc1[N+](=O)[O-] ZINC000882801626 645462557 /nfs/dbraw/zinc/46/25/57/645462557.db2.gz XAZZYTZCJYPPAL-SNVBAGLBSA-N 0 0 268.288 2.789 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@@H](CF)C1 ZINC000882802667 645464114 /nfs/dbraw/zinc/46/41/14/645464114.db2.gz LHOIQOXZPUDEIW-JTQLQIEISA-N 0 0 268.288 2.789 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC[C@@H](CF)C2)s1 ZINC000882802700 645464299 /nfs/dbraw/zinc/46/42/99/645464299.db2.gz MZCNQPLBSKAQSL-QMMMGPOBSA-N 0 0 296.327 2.785 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC(F)CC2)s1 ZINC000882805651 645464850 /nfs/dbraw/zinc/46/48/50/645464850.db2.gz OYVVMGBFKMIXPI-UHFFFAOYSA-N 0 0 282.300 2.538 20 5 CFBDRN CCOC(=O)c1cc(N2CC[C@H](CF)C2)ccc1[N+](=O)[O-] ZINC000882840995 645475892 /nfs/dbraw/zinc/47/58/92/645475892.db2.gz JWHASXHMGKHLDU-SNVBAGLBSA-N 0 0 296.298 2.567 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H](CO)Cc1ccoc1 ZINC000882866388 645481850 /nfs/dbraw/zinc/48/18/50/645481850.db2.gz LKPRZTIABYFTJM-SNVBAGLBSA-N 0 0 282.321 2.512 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H](CO)Cc1ccoc1 ZINC000882867113 645482787 /nfs/dbraw/zinc/48/27/87/645482787.db2.gz IRNYDIAURLFOOW-NSHDSACASA-N 0 0 294.282 2.590 20 5 CFBDRN C[C@]1(CNc2ncccc2[N+](=O)[O-])OCCc2ccccc21 ZINC000882928984 645514986 /nfs/dbraw/zinc/51/49/86/645514986.db2.gz WTTGLMJAFQNWTE-MRXNPFEDSA-N 0 0 299.330 2.890 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC2(CCCCO2)CC1 ZINC000882949480 645523725 /nfs/dbraw/zinc/52/37/25/645523725.db2.gz RYQIARJXBDVQRZ-UHFFFAOYSA-N 0 0 295.314 2.668 20 5 CFBDRN CC[N@@H+]1CC[C@H]1CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000882958760 645529076 /nfs/dbraw/zinc/52/90/76/645529076.db2.gz JQFGHGMOGDADGR-ZDUSSCGKSA-N 0 0 286.335 2.649 20 5 CFBDRN Nc1ccc(NC[C@@H]2CCC3(CCC3)O2)c([N+](=O)[O-])c1 ZINC000882973032 645535684 /nfs/dbraw/zinc/53/56/84/645535684.db2.gz FVCMGSNYSRTUPN-NSHDSACASA-N 0 0 277.324 2.691 20 5 CFBDRN Cc1cn(CC[C@@H]2CCCC2(F)F)nc1[N+](=O)[O-] ZINC000882990106 645547214 /nfs/dbraw/zinc/54/72/14/645547214.db2.gz WJMNSXYQIWEQOY-VIFPVBQESA-N 0 0 259.256 2.925 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1c2ccccc2CC[C@H]1F ZINC000882991145 645548460 /nfs/dbraw/zinc/54/84/60/645548460.db2.gz NKPVDZZBMBUBJO-NEPJUHHUSA-N 0 0 290.298 2.766 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2c3ccccc3CC[C@@H]2F)nc1 ZINC000882991954 645548709 /nfs/dbraw/zinc/54/87/09/645548709.db2.gz LTSLGJVALRCYDS-QWHCGFSZSA-N 0 0 288.282 2.822 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC1CCC2(COC2)CC1 ZINC000882994604 645551543 /nfs/dbraw/zinc/55/15/43/645551543.db2.gz MYYQNEZUTLGSGF-UHFFFAOYSA-N 0 0 281.287 2.500 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000130784623 645570341 /nfs/dbraw/zinc/57/03/41/645570341.db2.gz DRFUCYQHNGJNCF-MRVPVSSYSA-N 0 0 266.272 2.652 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000131741138 645800494 /nfs/dbraw/zinc/80/04/94/645800494.db2.gz KCBVDSXXYGWJMY-LLVKDONJSA-N 0 0 269.322 2.780 20 5 CFBDRN Cc1c(CNC(=O)NC[C@@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000883598725 645903555 /nfs/dbraw/zinc/90/35/55/645903555.db2.gz NFPSHDOIDDEAHE-GFCCVEGCSA-N 0 0 289.335 2.669 20 5 CFBDRN Cc1ccc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000132401498 645915065 /nfs/dbraw/zinc/91/50/65/645915065.db2.gz AMJYEDFDPSBQOE-UHFFFAOYSA-N 0 0 265.196 2.855 20 5 CFBDRN C[C@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000132587010 645936996 /nfs/dbraw/zinc/93/69/96/645936996.db2.gz IHUFJTYSARERFR-ZETCQYMHSA-N 0 0 253.229 2.685 20 5 CFBDRN CCc1ccc(OC/C=C(\C)C(=O)OC)c([N+](=O)[O-])c1 ZINC000214703003 645965555 /nfs/dbraw/zinc/96/55/55/645965555.db2.gz IMRQLUQFFCGCMO-JXMROGBWSA-N 0 0 279.292 2.655 20 5 CFBDRN CC1(C)C[C@H](COC(=O)c2ccc([N+](=O)[O-])o2)C(C)(C)O1 ZINC000883938673 646055959 /nfs/dbraw/zinc/05/59/59/646055959.db2.gz PRXGYIPRVPJDFK-SECBINFHSA-N 0 0 297.307 2.938 20 5 CFBDRN CC(=O)CCC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000800090501 646135679 /nfs/dbraw/zinc/13/56/79/646135679.db2.gz FCRJHMRNIGDQBK-JTQLQIEISA-N 0 0 265.265 2.568 20 5 CFBDRN COC[C@H](C)CC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800145138 646156245 /nfs/dbraw/zinc/15/62/45/646156245.db2.gz DJCVUCHZUMXQAS-MRVPVSSYSA-N 0 0 284.287 2.653 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])NC1CCC=CCC1 ZINC000884061479 646183601 /nfs/dbraw/zinc/18/36/01/646183601.db2.gz IVEOYNFTYCAAER-UHFFFAOYSA-N 0 0 289.335 2.893 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@@H]1C ZINC000134766147 646382085 /nfs/dbraw/zinc/38/20/85/646382085.db2.gz MVGGGYRHAXTVSP-RKDXNWHRSA-N 0 0 298.339 2.700 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N3CC(C)(F)C3)c2c1 ZINC000884492121 646397670 /nfs/dbraw/zinc/39/76/70/646397670.db2.gz NIHFXPJNTSEZBC-UHFFFAOYSA-N 0 0 291.282 2.700 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCOC2)c2ccccc21 ZINC000884509798 646409438 /nfs/dbraw/zinc/40/94/38/646409438.db2.gz PQQMXIYHWPKPAB-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]2C(F)F)c2ccccc21 ZINC000884517835 646415420 /nfs/dbraw/zinc/41/54/20/646415420.db2.gz XOJXZWBOVMDQKX-SNVBAGLBSA-N 0 0 279.246 2.987 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N3CC4(CC4)C3)c2c1 ZINC000884518798 646415909 /nfs/dbraw/zinc/41/59/09/646415909.db2.gz ZYFPNPWCFCTTKK-UHFFFAOYSA-N 0 0 285.303 2.752 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H](O)C2CCC2)c2ccccc21 ZINC000884525326 646421378 /nfs/dbraw/zinc/42/13/78/646421378.db2.gz RYPVYQBDVHAJQC-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@]1(C(=O)OCc2cccnc2[N+](=O)[O-])CC=CCC1 ZINC000884540122 646432474 /nfs/dbraw/zinc/43/24/74/646432474.db2.gz SZPRKPBPUDZXGI-CQSZACIVSA-N 0 0 276.292 2.779 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H](CO)CCF)cccc1C(F)(F)F ZINC000884546508 646439761 /nfs/dbraw/zinc/43/97/61/646439761.db2.gz MJQZMZHGMCTPBI-SSDOTTSWSA-N 0 0 296.220 2.746 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3C[C@H]3C2)c2ccccc21 ZINC000884559571 646449538 /nfs/dbraw/zinc/44/95/38/646449538.db2.gz YHYPLOSIFQLZGG-QWRGUYRKSA-N 0 0 269.304 2.989 20 5 CFBDRN O=C(/C=C/C1CCCC1)OCc1cccnc1[N+](=O)[O-] ZINC000884564125 646455001 /nfs/dbraw/zinc/45/50/01/646455001.db2.gz YGAFDLVQOFBHQL-BQYQJAHWSA-N 0 0 276.292 2.779 20 5 CFBDRN CCCC(C)(C)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884567574 646457624 /nfs/dbraw/zinc/45/76/24/646457624.db2.gz MLHMEOSWOGLZQY-UHFFFAOYSA-N 0 0 266.297 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3C[C@@H]3C2)c(OC(F)F)c1 ZINC000884590586 646474059 /nfs/dbraw/zinc/47/40/59/646474059.db2.gz ZARKJTQMFRZSKT-OCAPTIKFSA-N 0 0 270.235 2.652 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCc1cc(C2CC2)no1 ZINC000884637107 646497169 /nfs/dbraw/zinc/49/71/69/646497169.db2.gz AXEADMSMTAHCPZ-UHFFFAOYSA-N 0 0 294.698 2.543 20 5 CFBDRN O=[N+]([O-])c1c(NCc2cc(C3CC3)no2)nc2ccccn21 ZINC000884638766 646499165 /nfs/dbraw/zinc/49/91/65/646499165.db2.gz HZTHZTZYAFOYMS-UHFFFAOYSA-N 0 0 299.290 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc(CCOc2ccc(F)nc2)cc1 ZINC000135327917 646518081 /nfs/dbraw/zinc/51/80/81/646518081.db2.gz JZCUOZXJZSXNFT-UHFFFAOYSA-N 0 0 262.240 2.750 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H]([C@H]2CCCO2)C1 ZINC000884731358 646544243 /nfs/dbraw/zinc/54/42/43/646544243.db2.gz XITBDEVIZNUHTO-IINYFYTJSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@@H]3CCCO3)C2)ccc1[N+](=O)[O-] ZINC000884731140 646544368 /nfs/dbraw/zinc/54/43/68/646544368.db2.gz TZHUYBZXLPQINK-WFASDCNBSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000884732709 646545998 /nfs/dbraw/zinc/54/59/98/646545998.db2.gz SCIIPCZIZMJULI-DOMZBBRYSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H]([C@H]2CCCO2)C1 ZINC000884732711 646546259 /nfs/dbraw/zinc/54/62/59/646546259.db2.gz SCIIPCZIZMJULI-SWLSCSKDSA-N 0 0 276.336 2.909 20 5 CFBDRN COC[C@H](Nc1cc(C)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000884790976 646551010 /nfs/dbraw/zinc/55/10/10/646551010.db2.gz CYPNNPPOVCQWJY-JSGCOSHPSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1ccnc(NCC2(C3(O)CCC3)CCC2)c1[N+](=O)[O-] ZINC000884807536 646553898 /nfs/dbraw/zinc/55/38/98/646553898.db2.gz CEVBFBPBGUXVSX-UHFFFAOYSA-N 0 0 291.351 2.795 20 5 CFBDRN Cc1ccc(N[C@H](CCO)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000884837992 646557823 /nfs/dbraw/zinc/55/78/23/646557823.db2.gz OBEBKBUEBPSMEQ-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000885424171 646684886 /nfs/dbraw/zinc/68/48/86/646684886.db2.gz MGNNRUIAAXIEAJ-TXEJJXNPSA-N 0 0 293.319 2.793 20 5 CFBDRN CC[C@H](COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])OC ZINC000885423991 646684969 /nfs/dbraw/zinc/68/49/69/646684969.db2.gz IRYNGLRKJIYPCR-LLVKDONJSA-N 0 0 281.308 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCCO2)c1C ZINC000885427358 646685421 /nfs/dbraw/zinc/68/54/21/646685421.db2.gz WMYFHELHBOIJKH-NSHDSACASA-N 0 0 279.292 2.547 20 5 CFBDRN C[C@]1(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCCO1 ZINC000136344168 646764943 /nfs/dbraw/zinc/76/49/43/646764943.db2.gz PALTWGJIXFHGAV-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN COc1ccc2c(c1)CN(Cc1cccnc1[N+](=O)[O-])CC2 ZINC000885964480 646786570 /nfs/dbraw/zinc/78/65/70/646786570.db2.gz BCNAYBAPDPODKM-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC[C@]12C[C@H]1CCC2 ZINC000886001098 646805809 /nfs/dbraw/zinc/80/58/09/646805809.db2.gz REQRGONITCYXQS-CJNGLKHVSA-N 0 0 288.347 2.834 20 5 CFBDRN C[C@H]1Cc2ccccc2CN1Cc1cccnc1[N+](=O)[O-] ZINC000886021184 646823420 /nfs/dbraw/zinc/82/34/20/646823420.db2.gz QRPRJTYRHRMRDB-LBPRGKRZSA-N 0 0 283.331 2.937 20 5 CFBDRN O=[N+]([O-])c1ncccc1C[N@@H+]1CCC2(CCCC2)C1 ZINC000886025206 646825802 /nfs/dbraw/zinc/82/58/02/646825802.db2.gz DRORFAZAUWYOKG-UHFFFAOYSA-N 0 0 261.325 2.756 20 5 CFBDRN CCc1ncsc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000136583175 646867812 /nfs/dbraw/zinc/86/78/12/646867812.db2.gz AQXYRRIJCYVISL-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN CC(C)n1cc([C@H](C)NCc2cccnc2[N+](=O)[O-])cn1 ZINC000886129777 646886686 /nfs/dbraw/zinc/88/66/86/646886686.db2.gz NTPYBVGQAUIENC-NSHDSACASA-N 0 0 289.339 2.618 20 5 CFBDRN Cc1[nH]nc(NCc2cccc([N+](=O)[O-])c2C)c1C ZINC000886197950 646916106 /nfs/dbraw/zinc/91/61/06/646916106.db2.gz RGRGYBGTBSSLIU-UHFFFAOYSA-N 0 0 260.297 2.855 20 5 CFBDRN C[C@H]1C[C@H](C)CN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000137629875 646964638 /nfs/dbraw/zinc/96/46/38/646964638.db2.gz WEJLPQHXMDGLBR-QWRGUYRKSA-N 0 0 274.324 2.725 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000886478397 647014064 /nfs/dbraw/zinc/01/40/64/647014064.db2.gz VUHKZALAZHNGCF-QWRGUYRKSA-N 0 0 298.364 2.546 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCC1 ZINC000139644686 647190870 /nfs/dbraw/zinc/19/08/70/647190870.db2.gz OFZMBDILLHBOSU-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000887043730 647196708 /nfs/dbraw/zinc/19/67/08/647196708.db2.gz HODDKKNECDIFRG-XKVFNRALSA-N 0 0 286.331 2.757 20 5 CFBDRN C[C@@H](CNC(=O)[C@]1(C)CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000887400591 647302840 /nfs/dbraw/zinc/30/28/40/647302840.db2.gz FHTYLVFRFWSIPL-ZANVPECISA-N 0 0 298.289 2.860 20 5 CFBDRN C[C@@H](CNC(=O)[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000887401500 647303246 /nfs/dbraw/zinc/30/32/46/647303246.db2.gz XICDUAFYOWYZIG-JQWIXIFHSA-N 0 0 274.320 2.615 20 5 CFBDRN CO[C@H](C)CCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403094 647303600 /nfs/dbraw/zinc/30/36/00/647303600.db2.gz OOCYLEJEMQJIEY-VXGBXAGGSA-N 0 0 294.351 2.630 20 5 CFBDRN CC[C@@H](C)OCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403206 647303940 /nfs/dbraw/zinc/30/39/40/647303940.db2.gz RGQOCAIURGRTSQ-VXGBXAGGSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@@H](CNC(=O)C(C)(C)F)c1ccccc1[N+](=O)[O-] ZINC000887403426 647304340 /nfs/dbraw/zinc/30/43/40/647304340.db2.gz WGDNCRTVEMMHIZ-VIFPVBQESA-N 0 0 268.288 2.563 20 5 CFBDRN CCO[C@H](CC)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404927 647304503 /nfs/dbraw/zinc/30/45/03/647304503.db2.gz PATPVTBIWUSPJJ-SMDDNHRTSA-N 0 0 294.351 2.630 20 5 CFBDRN CC[C@@H](C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404653 647304748 /nfs/dbraw/zinc/30/47/48/647304748.db2.gz JGOCZMGRGSSPFM-MNOVXSKESA-N 0 0 264.325 2.861 20 5 CFBDRN CS[C@@H](C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404415 647304819 /nfs/dbraw/zinc/30/48/19/647304819.db2.gz DQYUBMCKXBYILY-ZJUUUORDSA-N 0 0 282.365 2.566 20 5 CFBDRN CCCSCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404942 647305283 /nfs/dbraw/zinc/30/52/83/647305283.db2.gz PNLLLWYCDRSKQP-LLVKDONJSA-N 0 0 296.392 2.958 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2nc(C)ccc2[N+](=O)[O-])C1 ZINC000223001795 647339652 /nfs/dbraw/zinc/33/96/52/647339652.db2.gz HMYMXLZVCALKSV-ZJUUUORDSA-N 0 0 267.354 2.994 20 5 CFBDRN CN(C(=O)CC(F)(F)C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000887821534 647418523 /nfs/dbraw/zinc/41/85/23/647418523.db2.gz AKIYOUVVQHIGAH-UHFFFAOYSA-N 0 0 294.204 2.848 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000887862221 647423969 /nfs/dbraw/zinc/42/39/69/647423969.db2.gz HMRUCGIOIDZQGB-JSGCOSHPSA-N 0 0 286.331 2.920 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C3CCC2CC3)c1C ZINC000887896848 647433803 /nfs/dbraw/zinc/43/38/03/647433803.db2.gz PLXVQZRWLSMQJW-UHFFFAOYSA-N 0 0 274.320 2.979 20 5 CFBDRN COc1ccc(C(=O)Oc2ccccc2F)cc1[N+](=O)[O-] ZINC000006153215 647441152 /nfs/dbraw/zinc/44/11/52/647441152.db2.gz ZIWAZMJTSLEEQL-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@H](C)[C@H]1C ZINC000887984395 647456588 /nfs/dbraw/zinc/45/65/88/647456588.db2.gz JKJXIQDAHUCULX-VHSXEESVSA-N 0 0 263.297 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@H](C)[C@@H]1C ZINC000887984393 647456896 /nfs/dbraw/zinc/45/68/96/647456896.db2.gz JKJXIQDAHUCULX-UWVGGRQHSA-N 0 0 263.297 2.775 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000889577814 647602793 /nfs/dbraw/zinc/60/27/93/647602793.db2.gz CHIFJBONJRGJMC-RAIGVLPGSA-N 0 0 260.293 2.513 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1ccccc1[N+](=O)[O-] ZINC000889584800 647605423 /nfs/dbraw/zinc/60/54/23/647605423.db2.gz OQGZAVJUFGQADM-CKYFFXLPSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000889590906 647607620 /nfs/dbraw/zinc/60/76/20/647607620.db2.gz MTCVSMPTFYJGCJ-LERXQTSPSA-N 0 0 274.320 2.822 20 5 CFBDRN CC1(C)CO[C@@H](CC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000889639724 647629856 /nfs/dbraw/zinc/62/98/56/647629856.db2.gz OLVOFNACVKCQNS-LBPRGKRZSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)Cc2cccnc2)cccc1[N+](=O)[O-] ZINC000889654019 647632532 /nfs/dbraw/zinc/63/25/32/647632532.db2.gz VSJKHDRJMGTICR-LLVKDONJSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)Cc2cccnc2)c1 ZINC000889663197 647635236 /nfs/dbraw/zinc/63/52/36/647635236.db2.gz FUKXNUMGHJTFHD-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN C[C@@H]1CSCCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000889912576 647699287 /nfs/dbraw/zinc/69/92/87/647699287.db2.gz GBAODHKZMDUCBN-MRVPVSSYSA-N 0 0 286.378 2.624 20 5 CFBDRN CC1(C)CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])s2)O1 ZINC000890139971 647757437 /nfs/dbraw/zinc/75/74/37/647757437.db2.gz OHUWRPWMLOHPIG-VIFPVBQESA-N 0 0 298.364 2.734 20 5 CFBDRN O=C(Nc1ccnn1C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000890349358 647782289 /nfs/dbraw/zinc/78/22/89/647782289.db2.gz VTBZIKAZQMTBQT-UHFFFAOYSA-N 0 0 286.291 2.769 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])Oc1ccccc1 ZINC000007657730 647868036 /nfs/dbraw/zinc/86/80/36/647868036.db2.gz YCQPXJVJZBVTGM-UHFFFAOYSA-N 0 0 273.244 2.579 20 5 CFBDRN CCC1(Cc2nc(-c3ccc([N+](=O)[O-])s3)no2)COC1 ZINC000924007677 647912566 /nfs/dbraw/zinc/91/25/66/647912566.db2.gz WBENUJMZBFAYON-UHFFFAOYSA-N 0 0 295.320 2.675 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000925486084 648181419 /nfs/dbraw/zinc/18/14/19/648181419.db2.gz QQQXIEOQBBCNGC-JTQLQIEISA-N 0 0 295.339 2.838 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000925484686 648181513 /nfs/dbraw/zinc/18/15/13/648181513.db2.gz IBWSWZOEWKNHJN-JTQLQIEISA-N 0 0 295.339 2.838 20 5 CFBDRN Cc1c(C(=O)N=[S@](C)(=O)C(C)C)cccc1[N+](=O)[O-] ZINC000926078479 648400302 /nfs/dbraw/zinc/40/03/02/648400302.db2.gz ROIIDRWMMQPLAN-LJQANCHMSA-N 0 0 284.337 2.550 20 5 CFBDRN O=C(NCC[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])s1 ZINC000927425236 648774621 /nfs/dbraw/zinc/77/46/21/648774621.db2.gz WARCIZSFORIHPX-ZJUUUORDSA-N 0 0 280.349 2.822 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000928042544 648848718 /nfs/dbraw/zinc/84/87/18/648848718.db2.gz OTXDPMLITACTJK-WBMYTEFPSA-N 0 0 288.347 2.606 20 5 CFBDRN C[C@@H](NC(=O)C1(CCF)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928244672 648887004 /nfs/dbraw/zinc/88/70/04/648887004.db2.gz CFEIAJIEPQRAQB-SNVBAGLBSA-N 0 0 280.299 2.912 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000928245723 648887473 /nfs/dbraw/zinc/88/74/73/648887473.db2.gz WFVJGBFHWHSQDU-YPMHNXCESA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000928248645 648888669 /nfs/dbraw/zinc/88/86/69/648888669.db2.gz LPOWLNKTZHZWKQ-HTQZYQBOSA-N 0 0 290.241 2.970 20 5 CFBDRN CC[C@@H](SC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247984 648888710 /nfs/dbraw/zinc/88/87/10/648888710.db2.gz GBRIXVMNMADTAQ-BXKDBHETSA-N 0 0 282.365 2.914 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000928247568 648888777 /nfs/dbraw/zinc/88/87/77/648888777.db2.gz XWWDFHSZRUIVLV-BXKDBHETSA-N 0 0 260.293 2.572 20 5 CFBDRN O=C(N[C@H](c1ccccn1)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928607644 648932371 /nfs/dbraw/zinc/93/23/71/648932371.db2.gz PYFDUAUOVUWBQO-HNNXBMFYSA-N 0 0 297.314 2.871 20 5 CFBDRN O=C(NCc1ccco1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929092531 648977063 /nfs/dbraw/zinc/97/70/63/648977063.db2.gz STUJKEUIMJSQMJ-ZIAGYGMSSA-N 0 0 286.287 2.608 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])N1CCCC1 ZINC000929116734 648982979 /nfs/dbraw/zinc/98/29/79/648982979.db2.gz UZFJPSIBMAVQDL-UHFFFAOYSA-N 0 0 282.727 2.803 20 5 CFBDRN CCCCNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929150880 648990728 /nfs/dbraw/zinc/99/07/28/648990728.db2.gz WAMNUGSYBBHLRP-CHWSQXEVSA-N 0 0 262.309 2.615 20 5 CFBDRN Cc1nc(C)c(CN(C)Cc2ccccc2[N+](=O)[O-])[nH]1 ZINC000929163758 648993096 /nfs/dbraw/zinc/99/30/96/648993096.db2.gz BYUHALSJKCNFQH-UHFFFAOYSA-N 0 0 274.324 2.567 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@@H](c2nc3ccccc3s2)C1 ZINC000929860726 649141446 /nfs/dbraw/zinc/14/14/46/649141446.db2.gz FFSJWVLUPGMWJT-LLVKDONJSA-N 0 0 291.376 2.752 20 5 CFBDRN CCN(C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000929863657 649142139 /nfs/dbraw/zinc/14/21/39/649142139.db2.gz OANLVWRFQKTAEG-ZIAGYGMSSA-N 0 0 274.320 2.709 20 5 CFBDRN CC(C)c1ccc(C(C)(C)[NH2+]CC[N+](=O)[O-])cc1 ZINC000929970390 649157871 /nfs/dbraw/zinc/15/78/71/649157871.db2.gz WJIPVEFIKMZQLA-UHFFFAOYSA-N 0 0 250.342 2.911 20 5 CFBDRN COC/C(C)=C\CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000930099240 649184501 /nfs/dbraw/zinc/18/45/01/649184501.db2.gz KBPQYUCZUJKQBK-SDQBBNPISA-N 0 0 276.336 2.546 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ncccn1)c1cccc([N+](=O)[O-])c1 ZINC000930207594 649206330 /nfs/dbraw/zinc/20/63/30/649206330.db2.gz BFRMQTSUFKYEOD-MNOVXSKESA-N 0 0 272.308 2.797 20 5 CFBDRN O=[N+]([O-])CCN[C@@H](COc1ccccc1)c1ccccc1 ZINC000930219147 649208729 /nfs/dbraw/zinc/20/87/29/649208729.db2.gz GKIMAFMONXUFEF-INIZCTEOSA-N 0 0 286.331 2.673 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ncccn1)c1ccccc1[N+](=O)[O-] ZINC000930235872 649211264 /nfs/dbraw/zinc/21/12/64/649211264.db2.gz ROZLSRLRMDJMHY-MNOVXSKESA-N 0 0 272.308 2.797 20 5 CFBDRN O=[N+]([O-])CCN1CCC([C@H](F)c2ccccc2F)CC1 ZINC000930444817 649248704 /nfs/dbraw/zinc/24/87/04/649248704.db2.gz CSWAUPXIMFZESP-AWEZNQCLSA-N 0 0 284.306 2.825 20 5 CFBDRN CC1(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000930493731 649260568 /nfs/dbraw/zinc/26/05/68/649260568.db2.gz RGSOZWANGPHFDL-UHFFFAOYSA-N 0 0 274.320 2.610 20 5 CFBDRN Cc1ccc(CN2Cc3c[nH]nc3[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000931467508 649434576 /nfs/dbraw/zinc/43/45/76/649434576.db2.gz OILJUDIYWMEYAQ-NSHDSACASA-N 0 0 286.335 2.746 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000931608635 649461995 /nfs/dbraw/zinc/46/19/95/649461995.db2.gz WMHHYIKEVVMSKL-QMMMGPOBSA-N 0 0 299.302 2.669 20 5 CFBDRN Cc1oncc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000932177318 649559908 /nfs/dbraw/zinc/55/99/08/649559908.db2.gz MLTQNYNDHMJZQU-SECBINFHSA-N 0 0 261.281 2.742 20 5 CFBDRN CC(C)c1noc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000932182711 649560117 /nfs/dbraw/zinc/56/01/17/649560117.db2.gz MXPFUINMGWEZIG-SNVBAGLBSA-N 0 0 290.323 2.952 20 5 CFBDRN Cn1nccc1[C@H]1CCCN1Cc1ccccc1[N+](=O)[O-] ZINC000932262964 649570782 /nfs/dbraw/zinc/57/07/82/649570782.db2.gz YNYYBPWQWKQJND-OAHLLOKOSA-N 0 0 286.335 2.665 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCn3nc(C4CC4)cc3C2)cc1 ZINC000932608389 649628283 /nfs/dbraw/zinc/62/82/83/649628283.db2.gz ZRYIZNGZCBUUCU-UHFFFAOYSA-N 0 0 298.346 2.685 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCn3nc(C4CC4)cc3C2)c1 ZINC000932609484 649628537 /nfs/dbraw/zinc/62/85/37/649628537.db2.gz YSBXDJBISONJRO-UHFFFAOYSA-N 0 0 298.346 2.685 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCOC[C@@H]1CC1CC1 ZINC000933214806 649680379 /nfs/dbraw/zinc/68/03/79/649680379.db2.gz NEYFOKIRUKOQSW-AWEZNQCLSA-N 0 0 294.326 2.735 20 5 CFBDRN O=C(c1ccccc1Cl)C1CCN(CC[N+](=O)[O-])CC1 ZINC000934419247 649784820 /nfs/dbraw/zinc/78/48/20/649784820.db2.gz YIKXZWYACRRDKK-UHFFFAOYSA-N 0 0 296.754 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2ccc(CO)cc2)ccc1F ZINC000305789373 651078600 /nfs/dbraw/zinc/07/86/00/651078600.db2.gz AQYNWPXQLDANLH-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN Cc1c(NC(=O)c2ccc([N+](=O)[O-])o2)cccc1[N+](=O)[O-] ZINC000020448623 651299059 /nfs/dbraw/zinc/29/90/59/651299059.db2.gz QIDDVIXYHICLLT-UHFFFAOYSA-N 0 0 291.219 2.657 20 5 CFBDRN Cc1ccc2c(c1)CCN2C(=O)c1cc([N+](=O)[O-])cc(C)n1 ZINC001271488747 777876686 /nfs/dbraw/zinc/87/66/86/777876686.db2.gz PUOXRTMBDBTSFT-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCCF)c(C(F)F)c1 ZINC000702635745 780939613 /nfs/dbraw/zinc/93/96/13/780939613.db2.gz YGZKNTKSSTYCPI-UHFFFAOYSA-N 0 0 278.230 2.930 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC[C@@H](CF)C1 ZINC000711506597 781449421 /nfs/dbraw/zinc/44/94/21/781449421.db2.gz FLUMSDLIUSHOFU-QMMMGPOBSA-N 0 0 286.690 2.680 20 5 CFBDRN Cc1c(OC(=O)c2c(F)ccnc2F)cccc1[N+](=O)[O-] ZINC001125296181 769912898 /nfs/dbraw/zinc/91/28/98/769912898.db2.gz AJYSTVZDFOQDJK-UHFFFAOYSA-N 0 0 294.213 2.796 20 5 CFBDRN CN(C[C@H]1CC1(C)C)c1ncc(F)cc1[N+](=O)[O-] ZINC001167093263 769979215 /nfs/dbraw/zinc/97/92/15/769979215.db2.gz ZBUUSMWDPPYELY-MRVPVSSYSA-N 0 0 253.277 2.611 20 5 CFBDRN C[C@@H]1CO[C@@H]2CN(c3ncc([N+](=O)[O-])c4ccccc43)C[C@H]12 ZINC001167169962 770119496 /nfs/dbraw/zinc/11/94/96/770119496.db2.gz MFOFPISMEIWZFN-WDBKCZKBSA-N 0 0 299.330 2.614 20 5 CFBDRN O=[N+]([O-])c1cnc(Cl)nc1N1CC2(C1)CCCCC2 ZINC001167181420 770128525 /nfs/dbraw/zinc/12/85/25/770128525.db2.gz ANKWPLQRPVXIFZ-UHFFFAOYSA-N 0 0 282.731 2.809 20 5 CFBDRN O=[N+]([O-])c1cc[n+]([O-])c(Cl)c1N1CC2(C1)CCCCC2 ZINC001167181378 770129000 /nfs/dbraw/zinc/12/90/00/770129000.db2.gz PDGSQNCNPGRTIV-UHFFFAOYSA-N 0 0 297.742 2.652 20 5 CFBDRN Nc1ccc(F)c2ccn(-c3ccc(N)c([N+](=O)[O-])c3)c21 ZINC001209938821 770167325 /nfs/dbraw/zinc/16/73/25/770167325.db2.gz AQLKVQDSNOYXJA-UHFFFAOYSA-N 0 0 286.266 2.842 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCc3cncnc3)ccnc21 ZINC001167266123 770190922 /nfs/dbraw/zinc/19/09/22/770190922.db2.gz KWCCBEUEZBLZMD-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN Cc1nc2ccc(N)cc2n1-c1cccc([N+](=O)[O-])c1F ZINC001210379594 770274749 /nfs/dbraw/zinc/27/47/49/770274749.db2.gz DHAQGDLWYGPTRF-UHFFFAOYSA-N 0 0 286.266 2.963 20 5 CFBDRN Nc1ccc2cn(-c3cccc([N+](=O)[O-])c3F)nc2c1 ZINC001210379779 770274845 /nfs/dbraw/zinc/27/48/45/770274845.db2.gz IUORZWWDIXWNCX-UHFFFAOYSA-N 0 0 272.239 2.655 20 5 CFBDRN CCn1cc(Nc2cc([N+](=O)[O-])ccc2OC)c(C)n1 ZINC001210443340 770284970 /nfs/dbraw/zinc/28/49/70/770284970.db2.gz CCIHOVMNNVNTNA-UHFFFAOYSA-N 0 0 276.296 2.872 20 5 CFBDRN Cc1cc(F)ncc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC001125406907 770320414 /nfs/dbraw/zinc/32/04/14/770320414.db2.gz LABQGVQJMQQBOX-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CC(C)(C)[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc(F)c1 ZINC001125429458 770380502 /nfs/dbraw/zinc/38/05/02/770380502.db2.gz WDQXLIACBHBRLO-JHJVBQTASA-N 0 0 294.326 2.694 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Nc1cnc(Cl)nc1 ZINC001210950473 770404107 /nfs/dbraw/zinc/40/41/07/770404107.db2.gz WIBQFHBXFKRTHQ-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN C[C@H](NC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1(C)CC1 ZINC000706565218 770421577 /nfs/dbraw/zinc/42/15/77/770421577.db2.gz JHTXLRQHNPSOBK-ZETCQYMHSA-N 0 0 297.742 2.749 20 5 CFBDRN C[C@]1(CO)CCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000723014028 770446104 /nfs/dbraw/zinc/44/61/04/770446104.db2.gz CWZTZFDDHDKCOR-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1nc(Cl)nc(N2CCC23CCCC3)c1[N+](=O)[O-] ZINC000707172396 770610744 /nfs/dbraw/zinc/61/07/44/770610744.db2.gz UWQXAJCUCKZMEY-UHFFFAOYSA-N 0 0 282.731 2.870 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](C1CC1)[C@@H]1CCCOC1 ZINC000723846933 770682881 /nfs/dbraw/zinc/68/28/81/770682881.db2.gz QZBYCAAOJXSDDZ-DGCLKSJQSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@H](Nc1nc(C)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723870679 770696783 /nfs/dbraw/zinc/69/67/83/770696783.db2.gz JRFHXHIGPYEAOF-VXGBXAGGSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@H]1CCCOC1 ZINC000723871970 770698014 /nfs/dbraw/zinc/69/80/14/770698014.db2.gz YTTGQFPTTSGGQS-RYUDHWBXSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@H]1CCCOC1 ZINC000723871969 770698043 /nfs/dbraw/zinc/69/80/43/770698043.db2.gz YTTGQFPTTSGGQS-NWDGAFQWSA-N 0 0 279.340 2.915 20 5 CFBDRN COc1ccc(Nc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC001216481383 770944177 /nfs/dbraw/zinc/94/41/77/770944177.db2.gz XNSVHDYONJYFOB-UHFFFAOYSA-N 0 0 263.228 2.881 20 5 CFBDRN Nc1cc(CNc2ccc([N+](=O)[O-])c3cnccc23)ccn1 ZINC001167617573 770995458 /nfs/dbraw/zinc/99/54/58/770995458.db2.gz PURRNQJHKOITBY-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN COc1ccc([C@H](C)OCc2ccc([N+](=O)[O-])nc2)cn1 ZINC001224108332 775102302 /nfs/dbraw/zinc/10/23/02/775102302.db2.gz FYCRPOIKJSHGHR-JTQLQIEISA-N 0 0 289.291 2.671 20 5 CFBDRN Cc1cnc(CO[C@H]2CCOC[C@@H]2C)c(C)c1[N+](=O)[O-] ZINC001224181540 775121023 /nfs/dbraw/zinc/12/10/23/775121023.db2.gz LQKNMEFQVHPXJP-GWCFXTLKSA-N 0 0 280.324 2.548 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000721535441 775129319 /nfs/dbraw/zinc/12/93/19/775129319.db2.gz ZVMBADJOEGHRDF-WPRPVWTQSA-N 0 0 264.281 2.522 20 5 CFBDRN CCCCOC(=O)[C@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224330932 775158890 /nfs/dbraw/zinc/15/88/90/775158890.db2.gz MCMBSQPWAKTBBE-LBPRGKRZSA-N 0 0 295.335 2.886 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@@H](F)C2)ccc1Cl ZINC000689861970 775194361 /nfs/dbraw/zinc/19/43/61/775194361.db2.gz RQGWVWOWXVVHGM-SECBINFHSA-N 0 0 258.680 2.792 20 5 CFBDRN C[C@H](OCC1CC2(C1)OCCO2)c1ccccc1[N+](=O)[O-] ZINC001224631559 775233095 /nfs/dbraw/zinc/23/30/95/775233095.db2.gz ICSSOLABTRFZPD-NSHDSACASA-N 0 0 293.319 2.826 20 5 CFBDRN CC(C)=CCCO[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001224717172 775256980 /nfs/dbraw/zinc/25/69/80/775256980.db2.gz TZPXXNOYWKCNRJ-CYBMUJFWSA-N 0 0 250.298 2.772 20 5 CFBDRN COC1CCC(OCCOc2ccc([N+](=O)[O-])cc2)CC1 ZINC001224776811 775271073 /nfs/dbraw/zinc/27/10/73/775271073.db2.gz CYBJNBXLGNSAIW-UHFFFAOYSA-N 0 0 295.335 2.948 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC[C@H]2CCCCO2)cc1 ZINC001224777266 775271705 /nfs/dbraw/zinc/27/17/05/775271705.db2.gz QRORWMWBXSQSOQ-CQSZACIVSA-N 0 0 281.308 2.559 20 5 CFBDRN C[C@@H](OCCOc1ccc([N+](=O)[O-])cc1)c1cncnc1 ZINC001224779486 775271803 /nfs/dbraw/zinc/27/18/03/775271803.db2.gz SMTBLMDGUDQCSK-LLVKDONJSA-N 0 0 289.291 2.541 20 5 CFBDRN Cc1ccccc1CCO[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001224869679 775295058 /nfs/dbraw/zinc/29/50/58/775295058.db2.gz GXTNTLQXHGRWHX-INIZCTEOSA-N 0 0 286.331 2.967 20 5 CFBDRN CCC[C@H](C)OCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC001224987978 775326970 /nfs/dbraw/zinc/32/69/70/775326970.db2.gz XOCXSIDVINABSX-NSHDSACASA-N 0 0 281.308 2.843 20 5 CFBDRN C[C@H](COCc1ccccc1)CO[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225003711 775331047 /nfs/dbraw/zinc/33/10/47/775331047.db2.gz KPGCRLKYWLXGGV-CJNGLKHVSA-N 0 0 293.363 2.911 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H]3COC[C@@H]32)ccc1Cl ZINC000690779848 775344160 /nfs/dbraw/zinc/34/41/60/775344160.db2.gz ORPBZQPNSIAOMH-RISCZKNCSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(F)cc(F)c1)c1cccnc1 ZINC001225226369 775370821 /nfs/dbraw/zinc/37/08/21/775370821.db2.gz ODGYFUBXVFBSDX-ZDUSSCGKSA-N 0 0 280.230 2.757 20 5 CFBDRN CN(C)c1cccc(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001225235110 775373174 /nfs/dbraw/zinc/37/31/74/775373174.db2.gz PNBPKSBSKCZDOA-OAHLLOKOSA-N 0 0 287.319 2.544 20 5 CFBDRN COc1cccc(O[C@H](C)Cn2c(C)ncc2[N+](=O)[O-])c1 ZINC001225236511 775375439 /nfs/dbraw/zinc/37/54/39/775375439.db2.gz ZCQJWXIVRFCHTM-SNVBAGLBSA-N 0 0 291.307 2.576 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccc(F)cc1 ZINC001225247890 775378079 /nfs/dbraw/zinc/37/80/79/775378079.db2.gz ARDOVGGQZAIBRC-SECBINFHSA-N 0 0 279.271 2.706 20 5 CFBDRN Cc1ccc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cc1 ZINC001225258489 775382377 /nfs/dbraw/zinc/38/23/77/775382377.db2.gz BWYUKJGYLQVKBV-AWEZNQCLSA-N 0 0 258.277 2.787 20 5 CFBDRN C[C@@H](Oc1ccc(F)c([N+](=O)[O-])c1)c1ncccn1 ZINC001225382845 775436784 /nfs/dbraw/zinc/43/67/84/775436784.db2.gz UBLJFGYJXUSHRN-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382167 775436830 /nfs/dbraw/zinc/43/68/30/775436830.db2.gz MHKBFHSJNDFTGW-VIFPVBQESA-N 0 0 257.261 2.928 20 5 CFBDRN Cc1c[nH]c2cccc(O[C@@H](C[N+](=O)[O-])C3CC3)c12 ZINC001225394668 775441668 /nfs/dbraw/zinc/44/16/68/775441668.db2.gz UOXNYQAXRDOICA-ZDUSSCGKSA-N 0 0 260.293 2.910 20 5 CFBDRN Cc1ccncc1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001225409148 775445845 /nfs/dbraw/zinc/44/58/45/775445845.db2.gz JODQUUIYCVIPKH-CQSZACIVSA-N 0 0 270.288 2.844 20 5 CFBDRN C[C@H](Oc1c(Cl)nccc1[N+](=O)[O-])C(F)(F)F ZINC001225430318 775454076 /nfs/dbraw/zinc/45/40/76/775454076.db2.gz AEGOFVODBUMJLV-BYPYZUCNSA-N 0 0 270.594 2.973 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1OC[C@H]1CCCCO1 ZINC001225430519 775454125 /nfs/dbraw/zinc/45/41/25/775454125.db2.gz CVSWBYRPSXLGGO-MRVPVSSYSA-N 0 0 272.688 2.591 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2c(Cl)nccc2[N+](=O)[O-])=C1C ZINC001225431231 775454280 /nfs/dbraw/zinc/45/42/80/775454280.db2.gz NTXGHDNUYXDTBR-MRVPVSSYSA-N 0 0 298.682 2.631 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H]1CCC[C@@H]1C ZINC001225448158 775461458 /nfs/dbraw/zinc/46/14/58/775461458.db2.gz PCMRFPBHOLMVNP-JOYOIKCWSA-N 0 0 279.292 2.949 20 5 CFBDRN CCC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1C(=O)OC ZINC001225448705 775461704 /nfs/dbraw/zinc/46/17/04/775461704.db2.gz QVMJOPRRFPJCHS-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN Cc1ccnc2c(O[C@@H](C[N+](=O)[O-])C3CC3)cccc12 ZINC001225496958 775482109 /nfs/dbraw/zinc/48/21/09/775482109.db2.gz XBWJNJAASYHLCV-AWEZNQCLSA-N 0 0 272.304 2.977 20 5 CFBDRN O=[N+]([O-])c1cncc(-c2nc(-c3ccccc3F)no2)c1 ZINC001213821991 775490303 /nfs/dbraw/zinc/49/03/03/775490303.db2.gz OUPFRGBOTNEIML-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H](Oc2cc(F)ccc2[N+](=O)[O-])C1 ZINC001225577069 775507478 /nfs/dbraw/zinc/50/74/78/775507478.db2.gz FDIQPFFYUOGHCU-MWLCHTKSSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H](Oc1cc(F)ccc1[N+](=O)[O-])c1ncccn1 ZINC001225575130 775508150 /nfs/dbraw/zinc/50/81/50/775508150.db2.gz KXDKPNKQEDJLHF-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1O[C@@H]1COc2cnccc21 ZINC001225579111 775509579 /nfs/dbraw/zinc/50/95/79/775509579.db2.gz RLYXIUQQJMHOMP-CYBMUJFWSA-N 0 0 276.223 2.641 20 5 CFBDRN O=[N+]([O-])c1cccnc1OC1CCC(F)(F)CC1 ZINC001225593778 775516918 /nfs/dbraw/zinc/51/69/18/775516918.db2.gz YJQQZMUXUFUCKG-UHFFFAOYSA-N 0 0 258.224 2.947 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001225594680 775517059 /nfs/dbraw/zinc/51/70/59/775517059.db2.gz UWFAXNAAEXJZMK-CABZTGNLSA-N 0 0 296.279 2.615 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1OC[C@@H]1CCO1 ZINC001225607588 775522269 /nfs/dbraw/zinc/52/22/69/775522269.db2.gz SWJUKVDNZABBPA-ZETCQYMHSA-N 0 0 288.097 2.525 20 5 CFBDRN O=[N+]([O-])c1ncccc1O[C@H]1CCCc2cccnc21 ZINC001225628122 775530418 /nfs/dbraw/zinc/53/04/18/775530418.db2.gz CYHMNBIVMZMPHL-NSHDSACASA-N 0 0 271.276 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOc3ccccc32)nc1 ZINC001225629853 775531560 /nfs/dbraw/zinc/53/15/60/775531560.db2.gz CECUZOFOHSFTDO-CYBMUJFWSA-N 0 0 272.260 2.892 20 5 CFBDRN CC(C)[C@H](Oc1cccnc1[N+](=O)[O-])C(F)(F)F ZINC001225628822 775531580 /nfs/dbraw/zinc/53/15/80/775531580.db2.gz WSYQNAOAVGYMNG-QMMMGPOBSA-N 0 0 264.203 2.956 20 5 CFBDRN CCCCOC[C@H](C)Oc1cccnc1[N+](=O)[O-] ZINC001225629543 775531819 /nfs/dbraw/zinc/53/18/19/775531819.db2.gz OVHITWUFSSGFJW-JTQLQIEISA-N 0 0 254.286 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCC(F)(F)CC2)nc1 ZINC001225629838 775531892 /nfs/dbraw/zinc/53/18/92/775531892.db2.gz CAVXMTBADGBGQU-UHFFFAOYSA-N 0 0 258.224 2.947 20 5 CFBDRN CC(C)[C@@H](Oc1cccnc1[N+](=O)[O-])C(F)(F)F ZINC001225628821 775532324 /nfs/dbraw/zinc/53/23/24/775532324.db2.gz WSYQNAOAVGYMNG-MRVPVSSYSA-N 0 0 264.203 2.956 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC001225634316 775532957 /nfs/dbraw/zinc/53/29/57/775532957.db2.gz URZCOYWKTPIEEJ-ZDUSSCGKSA-N 0 0 274.276 2.756 20 5 CFBDRN C[C@H](COCc1ccccc1)Oc1ccc([N+](=O)[O-])cn1 ZINC001225633786 775533002 /nfs/dbraw/zinc/53/30/02/775533002.db2.gz SMTYLDWHDMPWME-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1cccc(C)c1[N+](=O)[O-] ZINC001225635390 775534598 /nfs/dbraw/zinc/53/45/98/775534598.db2.gz GVZNAJZGLIEUKI-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2cccc(C)c2[N+](=O)[O-])C1(C)C ZINC001225635691 775534673 /nfs/dbraw/zinc/53/46/73/775534673.db2.gz LWMSZZXZUCFALO-JQWIXIFHSA-N 0 0 293.319 2.870 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2cccnc2c1)C1CC1 ZINC001225647934 775540767 /nfs/dbraw/zinc/54/07/67/775540767.db2.gz VYSLWDGIUSYTPR-AWEZNQCLSA-N 0 0 258.277 2.669 20 5 CFBDRN Cc1cc(O[C@H](C)Cc2ccco2)ncc1[N+](=O)[O-] ZINC001225664049 775547371 /nfs/dbraw/zinc/54/73/71/775547371.db2.gz XDLHIHSIRHOTNE-SNVBAGLBSA-N 0 0 262.265 2.901 20 5 CFBDRN COC(=O)C1CCC(Oc2cccc(F)c2[N+](=O)[O-])CC1 ZINC001225664301 775547675 /nfs/dbraw/zinc/54/76/75/775547675.db2.gz MNLHAJUJKKZDSO-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN O=c1cc[nH]c(O[C@H]2CCOc3ccccc32)c1[N+](=O)[O-] ZINC001225666711 775548938 /nfs/dbraw/zinc/54/89/38/775548938.db2.gz LEYWRILYZQAQQV-LBPRGKRZSA-N 0 0 288.259 2.598 20 5 CFBDRN CC1CCC(Oc2[nH]ccc(=O)c2[N+](=O)[O-])CC1 ZINC001225667260 775549260 /nfs/dbraw/zinc/54/92/60/775549260.db2.gz QUOOKVQSVGJIRI-UHFFFAOYSA-N 0 0 252.270 2.653 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1O[C@@H]1COc2cnccc21 ZINC001225670219 775550259 /nfs/dbraw/zinc/55/02/59/775550259.db2.gz BKMFDGUUESPQLV-GFCCVEGCSA-N 0 0 276.223 2.641 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)c2cncnc2)c1 ZINC001225684459 775558318 /nfs/dbraw/zinc/55/83/18/775558318.db2.gz ZUWIRWKJFHTIFY-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC[N@@H+]1CCC[C@@H](Oc2cccc([N+](=O)[O-])c2C)C1 ZINC001225689097 775559604 /nfs/dbraw/zinc/55/96/04/775559604.db2.gz BHDCYPLIGNCEIS-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN C[C@@H](O)CCCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC001225714114 775571181 /nfs/dbraw/zinc/57/11/81/775571181.db2.gz ZCQWYMOLBUREPR-MRVPVSSYSA-N 0 0 287.699 2.705 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2CCO2)c1Br ZINC001225758660 775584023 /nfs/dbraw/zinc/58/40/23/775584023.db2.gz JSIJSLRCZDVNJV-SSDOTTSWSA-N 0 0 288.097 2.525 20 5 CFBDRN COc1ccc(O[C@@H](C)c2cnn(C)c2C)c([N+](=O)[O-])c1 ZINC001225767499 775588006 /nfs/dbraw/zinc/58/80/06/775588006.db2.gz NVSZWJSDGXSBMQ-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc(OC)cc2[N+](=O)[O-])=C1C ZINC001225770232 775587935 /nfs/dbraw/zinc/58/79/35/775587935.db2.gz UCCPRCZPRPVMBZ-LLVKDONJSA-N 0 0 293.275 2.592 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(F)c(F)c(F)c(F)c1F)C1CC1 ZINC001225787497 775593206 /nfs/dbraw/zinc/59/32/06/775593206.db2.gz FAMWMNQHLGHMJS-YFKPBYRVSA-N 0 0 297.179 2.816 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2COc3cnccc32)c1F ZINC001225795104 775595882 /nfs/dbraw/zinc/59/58/82/775595882.db2.gz CTEBMZCZUJAZDG-GFCCVEGCSA-N 0 0 276.223 2.641 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cccc([N+](=O)[O-])c1F ZINC001225796465 775596060 /nfs/dbraw/zinc/59/60/60/775596060.db2.gz LHIFBLPDXUDJIA-JTQLQIEISA-N 0 0 255.245 2.870 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@@H](C)c2ncccn2)c1 ZINC001225802599 775598465 /nfs/dbraw/zinc/59/84/65/775598465.db2.gz STXHZRUFJPDXFI-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2cccc(O)c21)C1CC1 ZINC001225826584 775606535 /nfs/dbraw/zinc/60/65/35/775606535.db2.gz ILPVVUXBUWGUGO-CQSZACIVSA-N 0 0 273.288 2.980 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1c([N+](=O)[O-])cc(F)cc1[N+](=O)[O-] ZINC001225894433 775625483 /nfs/dbraw/zinc/62/54/83/775625483.db2.gz IQZJGYGEZDYJAI-NSHDSACASA-N 0 0 298.226 2.533 20 5 CFBDRN Cc1c([C@@H](C)Oc2ccc(F)cc2[N+](=O)[O-])cnn1C ZINC001225904064 775629308 /nfs/dbraw/zinc/62/93/08/775629308.db2.gz HTCSUGVAHNLPON-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225904351 775629433 /nfs/dbraw/zinc/62/94/33/775629433.db2.gz BTNCMDXFFWBCRF-CMPLNLGQSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H](Oc1ccc(F)cc1[N+](=O)[O-])C1CCOCC1 ZINC001225904969 775630085 /nfs/dbraw/zinc/63/00/85/775630085.db2.gz MRFJFNILKADRDP-SECBINFHSA-N 0 0 269.272 2.928 20 5 CFBDRN CCOC(=O)CC1CC(Oc2ccc(F)cc2[N+](=O)[O-])C1 ZINC001225904977 775630093 /nfs/dbraw/zinc/63/00/93/775630093.db2.gz MVEFETUHNUKWIU-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc([N+](=O)[O-])c(F)c1)OC ZINC001225913923 775633793 /nfs/dbraw/zinc/63/37/93/775633793.db2.gz YLPPJQJBWFCNSB-MRVPVSSYSA-N 0 0 273.260 2.510 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1ccc([N+](=O)[O-])cc1F ZINC001225941225 775642473 /nfs/dbraw/zinc/64/24/73/775642473.db2.gz DTTGVRXZCMAVOO-CMPLNLGQSA-N 0 0 297.282 2.845 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1F ZINC001225942678 775642526 /nfs/dbraw/zinc/64/25/26/775642526.db2.gz SXJGXLOFYOVNLO-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2COc3cnccc32)c(F)c1 ZINC001225945401 775643525 /nfs/dbraw/zinc/64/35/25/775643525.db2.gz FGWBRGBFWRHLTJ-CYBMUJFWSA-N 0 0 276.223 2.641 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225963467 775649359 /nfs/dbraw/zinc/64/93/59/775649359.db2.gz SGIAETNDLAMXTD-OIBJUYFYSA-N 0 0 273.235 2.677 20 5 CFBDRN C[C@H]1C[C@H](Oc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC001226010561 775667912 /nfs/dbraw/zinc/66/79/12/775667912.db2.gz UIFQFSFIDOPWIA-DTWKUNHWSA-N 0 0 255.245 2.680 20 5 CFBDRN O=C1CCCc2c(O[C@@H](C[N+](=O)[O-])C3CC3)cccc21 ZINC001226041517 775677565 /nfs/dbraw/zinc/67/75/65/775677565.db2.gz ZHTGDPIWGDYCJX-HNNXBMFYSA-N 0 0 275.304 2.640 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)C1CCC1 ZINC001226068523 775687725 /nfs/dbraw/zinc/68/77/25/775687725.db2.gz SUDKRHJJXZDEIW-VIFPVBQESA-N 0 0 299.348 2.566 20 5 CFBDRN Cc1ccnc(O[C@H]2CC(F)(F)C2(F)F)c1[N+](=O)[O-] ZINC001226121456 775706806 /nfs/dbraw/zinc/70/68/06/775706806.db2.gz NTPYBTKNYPMLGZ-LURJTMIESA-N 0 0 280.177 2.720 20 5 CFBDRN Cc1ccnc(O[C@H](C)C(=O)OCCC(C)C)c1[N+](=O)[O-] ZINC001226120348 775707352 /nfs/dbraw/zinc/70/73/52/775707352.db2.gz UKJYNDGRXDVXLN-LLVKDONJSA-N 0 0 296.323 2.655 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cc(O)ccc1F)C2 ZINC001226122265 775709074 /nfs/dbraw/zinc/70/90/74/775709074.db2.gz KXTYGGMYMVWQPX-CYBMUJFWSA-N 0 0 289.262 2.986 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2COCO2)c(Cl)cc1Cl ZINC001226205245 775737344 /nfs/dbraw/zinc/73/73/44/775737344.db2.gz RSNCQZZKFKOIAK-LURJTMIESA-N 0 0 294.090 2.653 20 5 CFBDRN COC(=O)Cc1ccc(O[C@@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001226215518 775739691 /nfs/dbraw/zinc/73/96/91/775739691.db2.gz XTIVAVMPCGPIJL-ZYHUDNBSSA-N 0 0 293.319 2.878 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ncc([N+](=O)[O-])cc1Cl ZINC001226258450 775759248 /nfs/dbraw/zinc/75/92/48/775759248.db2.gz NORKKXJKGGDGGD-JTQLQIEISA-N 0 0 270.672 2.534 20 5 CFBDRN CCCOC[C@H](C)Oc1cc([N+](=O)[O-])ccc1F ZINC001226265190 775761960 /nfs/dbraw/zinc/76/19/60/775761960.db2.gz FEONNRADFHJZAG-VIFPVBQESA-N 0 0 257.261 2.928 20 5 CFBDRN CC(C)(C)N1CC(Oc2cc([N+](=O)[O-])ccc2F)C1 ZINC001226266472 775761972 /nfs/dbraw/zinc/76/19/72/775761972.db2.gz UHNCYKBNAUUIGB-UHFFFAOYSA-N 0 0 268.288 2.595 20 5 CFBDRN O=C1CCC(Oc2cc([N+](=O)[O-])ccc2F)CC1 ZINC001226265215 775762592 /nfs/dbraw/zinc/76/25/92/775762592.db2.gz FSQAFJOFOGPVPF-UHFFFAOYSA-N 0 0 253.229 2.624 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2CCCCC2=O)c([N+](=O)[O-])c1 ZINC001226294416 775770135 /nfs/dbraw/zinc/77/01/35/775770135.db2.gz INWORSCGFCQORZ-CQSZACIVSA-N 0 0 277.276 2.688 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@@H]1CCCC[C@@H]1F ZINC001226332535 775782035 /nfs/dbraw/zinc/78/20/35/775782035.db2.gz BRAXDTWVRGPZIE-GZMMTYOYSA-N 0 0 255.245 2.960 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226333721 775782842 /nfs/dbraw/zinc/78/28/42/775782842.db2.gz NIFXEUNKJQVBJI-LBPRGKRZSA-N 0 0 297.307 2.657 20 5 CFBDRN C[C@H](Oc1c(O)cccc1[N+](=O)[O-])C(F)(F)F ZINC001226337550 775784019 /nfs/dbraw/zinc/78/40/19/775784019.db2.gz YQMUEUZPCXWUQP-YFKPBYRVSA-N 0 0 251.160 2.630 20 5 CFBDRN CCC[C@@H](C)Oc1c(OC)cc(C(=O)OC)cc1[N+](=O)[O-] ZINC001226362789 775791144 /nfs/dbraw/zinc/79/11/44/775791144.db2.gz ZPMIQHZVTOJAJA-SECBINFHSA-N 0 0 297.307 2.957 20 5 CFBDRN C[C@@H](Oc1nc(Cl)ccc1[N+](=O)[O-])c1ncccn1 ZINC001226366390 775792184 /nfs/dbraw/zinc/79/21/84/775792184.db2.gz KUTOJUHWEFSOFE-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CCc1nc(C)ccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001226412076 775810992 /nfs/dbraw/zinc/81/09/92/775810992.db2.gz KYDMIZHBSGNREU-HNNXBMFYSA-N 0 0 287.319 2.744 20 5 CFBDRN CCC(CC)Oc1cc(C(=O)OC)c([N+](=O)[O-])cc1OC ZINC001226440254 775822520 /nfs/dbraw/zinc/82/25/20/775822520.db2.gz VFMGNRKKJOAYNN-UHFFFAOYSA-N 0 0 297.307 2.957 20 5 CFBDRN CCCOC[C@H](C)Oc1cc(OC)ccc1[N+](=O)[O-] ZINC001226444532 775824267 /nfs/dbraw/zinc/82/42/67/775824267.db2.gz ARRDUWHLXAWDME-JTQLQIEISA-N 0 0 269.297 2.797 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2cn(C)nc2C)c1 ZINC001226443502 775824637 /nfs/dbraw/zinc/82/46/37/775824637.db2.gz WZQXDTJBQPNPJB-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H](C)C1CCOCC1 ZINC001226529828 775860493 /nfs/dbraw/zinc/86/04/93/775860493.db2.gz NFWHGJUIWQLRIE-NSHDSACASA-N 0 0 293.319 2.991 20 5 CFBDRN COc1cc(O[C@H](C)c2nccs2)ncc1[N+](=O)[O-] ZINC001226580113 775881473 /nfs/dbraw/zinc/88/14/73/775881473.db2.gz XWFNJLZNIDVIIN-SSDOTTSWSA-N 0 0 281.293 2.595 20 5 CFBDRN COc1ccc2nc(O[C@@H](C[N+](=O)[O-])C3CC3)sc2c1 ZINC001226646207 775901717 /nfs/dbraw/zinc/90/17/17/775901717.db2.gz SLUSAAXLSHVJKU-NSHDSACASA-N 0 0 294.332 2.739 20 5 CFBDRN Cc1cnc(F)c([C@@H](C)Oc2ccc([N+](=O)[O-])nc2)c1 ZINC001226711789 775934192 /nfs/dbraw/zinc/93/41/92/775934192.db2.gz GMERXCOKLJIAOX-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN CC(=O)[C@H](Oc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC001226712511 775934699 /nfs/dbraw/zinc/93/46/99/775934699.db2.gz OCLHIZKRLKZSSY-AWEZNQCLSA-N 0 0 272.260 2.699 20 5 CFBDRN CC(C)(CCO)Nc1ccc2cc(F)cc([N+](=O)[O-])c2n1 ZINC001167739917 775939659 /nfs/dbraw/zinc/93/96/59/775939659.db2.gz ZFISRPHAAAMHKH-UHFFFAOYSA-N 0 0 293.298 2.855 20 5 CFBDRN C[C@H]1CC(Oc2ncc([N+](=O)[O-])cn2)C[C@H](C)C1 ZINC001226729127 775940362 /nfs/dbraw/zinc/94/03/62/775940362.db2.gz ANDOMLFXANYILA-RKDXNWHRSA-N 0 0 251.286 2.588 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cn1)c1cccnc1Cl ZINC001226730928 775941759 /nfs/dbraw/zinc/94/17/59/775941759.db2.gz JKWMRAZBHJXFPK-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2Oc1ncc([N+](=O)[O-])cn1 ZINC001226732815 775941936 /nfs/dbraw/zinc/94/19/36/775941936.db2.gz ZQJCWYJJDWFQKC-CYBMUJFWSA-N 0 0 271.276 2.760 20 5 CFBDRN CC[C@@H](C)CC[C@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733365 775941962 /nfs/dbraw/zinc/94/19/62/775941962.db2.gz NPBPWNFYKGWVRU-ZJUUUORDSA-N 0 0 253.302 2.978 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2c(F)cc([N+](=O)[O-])cc2F)=C1C ZINC001226743291 775943867 /nfs/dbraw/zinc/94/38/67/775943867.db2.gz BNXQJNALMKXFSP-SNVBAGLBSA-N 0 0 299.229 2.861 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(O[C@H]2COc3cnccc32)c(F)c1 ZINC001226748246 775945155 /nfs/dbraw/zinc/94/51/55/775945155.db2.gz IZQRFMQIDHYKFT-LBPRGKRZSA-N 0 0 294.213 2.781 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cncc2ccccc21)C1CC1 ZINC001226745521 775945191 /nfs/dbraw/zinc/94/51/91/775945191.db2.gz FDRZXSBQURWDBU-AWEZNQCLSA-N 0 0 258.277 2.669 20 5 CFBDRN C[C@@H](Oc1ncc(F)cc1[N+](=O)[O-])c1nccs1 ZINC001226751591 775946706 /nfs/dbraw/zinc/94/67/06/775946706.db2.gz MJHUVRWHRGRFNZ-ZCFIWIBFSA-N 0 0 269.257 2.725 20 5 CFBDRN CC1(C)CC(=O)CC[C@@H]1Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226752200 775948683 /nfs/dbraw/zinc/94/86/83/775948683.db2.gz XSNJDHCYTBNMOH-NSHDSACASA-N 0 0 282.271 2.656 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1OC[C@H]1Cc2ccccc2O1 ZINC001226757057 775949384 /nfs/dbraw/zinc/94/93/84/775949384.db2.gz VTMLXCRPDVUFDA-LLVKDONJSA-N 0 0 290.250 2.511 20 5 CFBDRN CCC[C@H](CC(=O)OCC)Oc1ncc([N+](=O)[O-])cc1C ZINC001226762709 775952260 /nfs/dbraw/zinc/95/22/60/775952260.db2.gz YZVLIARVMWBMRI-GFCCVEGCSA-N 0 0 296.323 2.799 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1ccc([N+](=O)[O-])cc1Cl ZINC001226762406 775952333 /nfs/dbraw/zinc/95/23/33/775952333.db2.gz SICFDRFXERLBOL-QMMMGPOBSA-N 0 0 285.683 2.745 20 5 CFBDRN CCC[C@@H](CC(=O)OCC)Oc1ncc([N+](=O)[O-])cc1C ZINC001226762710 775952777 /nfs/dbraw/zinc/95/27/77/775952777.db2.gz YZVLIARVMWBMRI-LBPRGKRZSA-N 0 0 296.323 2.799 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2Cc3ccccc3O2)c1 ZINC001226765606 775953755 /nfs/dbraw/zinc/95/37/55/775953755.db2.gz TUVWQYHCNJWFKI-AWEZNQCLSA-N 0 0 271.272 2.977 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H]1CCCC[C@@H]1F ZINC001226765727 775954346 /nfs/dbraw/zinc/95/43/46/775954346.db2.gz USZPFNHARWHMLX-WDEREUQCSA-N 0 0 254.261 2.958 20 5 CFBDRN COC1=CC[C@H](Oc2ncc([N+](=O)[O-])cc2C)CC1 ZINC001226765777 775954697 /nfs/dbraw/zinc/95/46/97/775954697.db2.gz WFAZMWGBQDTJKS-LBPRGKRZSA-N 0 0 264.281 2.760 20 5 CFBDRN Cc1cc(O[C@H](C)c2ncccn2)ccc1[N+](=O)[O-] ZINC001226842297 775987452 /nfs/dbraw/zinc/98/74/52/775987452.db2.gz TVKCIROCFHEJDG-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1OC[C@H]1CCCO1 ZINC001226909565 776013893 /nfs/dbraw/zinc/01/38/93/776013893.db2.gz YJEACBJHKQELQV-SSDOTTSWSA-N 0 0 275.663 2.945 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC001226950921 776031797 /nfs/dbraw/zinc/03/17/97/776031797.db2.gz QQAYAOBNUFTIPJ-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc(O[C@@H]2CCCC3(C2)OCCO3)cc1[N+](=O)[O-] ZINC001226951399 776032603 /nfs/dbraw/zinc/03/26/03/776032603.db2.gz AEWLWBDPGWPSBO-CYBMUJFWSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC001226952597 776032903 /nfs/dbraw/zinc/03/29/03/776032903.db2.gz GVSOPYQLBWINJD-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1nnc(CO[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC001222250568 776045772 /nfs/dbraw/zinc/04/57/72/776045772.db2.gz KBDLQBDPIUHZBK-MRVPVSSYSA-N 0 0 263.253 2.564 20 5 CFBDRN C[C@H](O)C(=O)Oc1nc2ccccc2c2ccccc21 ZINC001226991595 776051213 /nfs/dbraw/zinc/05/12/13/776051213.db2.gz CDOYJNYZZROJFK-JTQLQIEISA-N 0 0 267.284 2.674 20 5 CFBDRN CC1=C(Oc2cc([N+](=O)[O-])ccc2C)C(=O)O[C@H]1C ZINC001226994974 776054814 /nfs/dbraw/zinc/05/48/14/776054814.db2.gz JCCWRAIHGAGLMU-VIFPVBQESA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)c2ccncc2F)n1 ZINC001227063974 776079705 /nfs/dbraw/zinc/07/97/05/776079705.db2.gz JPEARDSHTDNFJU-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN O=c1nc2ccc([N+](=O)[O-])cc2c(O[C@H]2C[C@H]3C=C[C@@H]2C3)[nH]1 ZINC001227097004 776094406 /nfs/dbraw/zinc/09/44/06/776094406.db2.gz VYRWFLQMKRHWEE-RWEMILLDSA-N 0 0 299.286 2.587 20 5 CFBDRN CC(C)C[C@@H](C)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227108738 776099955 /nfs/dbraw/zinc/09/99/55/776099955.db2.gz OUFWEIUNHSNBHO-MRVPVSSYSA-N 0 0 269.257 2.711 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1noc2cc(Cl)ccc21)C1CC1 ZINC001227189313 776129266 /nfs/dbraw/zinc/12/92/66/776129266.db2.gz GSBZXOGISJSJEI-NSHDSACASA-N 0 0 282.683 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc2ccccc2c1O[C@@H]1CCOC1 ZINC001227212115 776139619 /nfs/dbraw/zinc/13/96/19/776139619.db2.gz WGGUXYCGJQZXTJ-LLVKDONJSA-N 0 0 259.261 2.916 20 5 CFBDRN CCO[C@@H](C)COc1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC001227219501 776142057 /nfs/dbraw/zinc/14/20/57/776142057.db2.gz HQZKOPCRWYSQHF-VIFPVBQESA-N 0 0 292.291 2.652 20 5 CFBDRN Cc1ccc(O[C@H]2Cc3ccncc3[C@H]2C)c([N+](=O)[O-])n1 ZINC001227223525 776143548 /nfs/dbraw/zinc/14/35/48/776143548.db2.gz MYHYITCPFSOTIH-YGRLFVJLSA-N 0 0 285.303 2.800 20 5 CFBDRN CO[C@H](C)CCOc1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC001227222311 776143936 /nfs/dbraw/zinc/14/39/36/776143936.db2.gz ZPRIGBVJGSOZOV-SECBINFHSA-N 0 0 292.291 2.652 20 5 CFBDRN CC[C@@H](COc1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21)OC ZINC001227222175 776144252 /nfs/dbraw/zinc/14/42/52/776144252.db2.gz XOMRFBZCXFFFBJ-JTQLQIEISA-N 0 0 292.291 2.652 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2cccnc2F)c([N+](=O)[O-])n1 ZINC001227223896 776144279 /nfs/dbraw/zinc/14/42/79/776144279.db2.gz QVBPFDGLDMRJSX-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN CC[NH+]1CCC(Oc2nc3ccc([N+](=O)[O-])cc3o2)CC1 ZINC001227233695 776148285 /nfs/dbraw/zinc/14/82/85/776148285.db2.gz FAMGLSQJAQQKMA-UHFFFAOYSA-N 0 0 291.307 2.599 20 5 CFBDRN Cc1cnc(O[C@@H](C)c2ncccc2F)c([N+](=O)[O-])c1 ZINC001227236565 776150649 /nfs/dbraw/zinc/15/06/49/776150649.db2.gz HEXRZZDXFXCFIG-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)nc1O[C@@H]1CCCOC1 ZINC001227244403 776154176 /nfs/dbraw/zinc/15/41/76/776154176.db2.gz ZENORPRPTHOBBF-SSDOTTSWSA-N 0 0 292.213 2.566 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cc(C)cc(C)n1 ZINC001227248611 776157473 /nfs/dbraw/zinc/15/74/73/776157473.db2.gz YLFMLEMQPAJKHC-NSHDSACASA-N 0 0 290.323 2.579 20 5 CFBDRN CCOC(=O)c1ccc(O[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC001227296984 776174050 /nfs/dbraw/zinc/17/40/50/776174050.db2.gz JHAATJLZIWGCQM-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN Cc1nc(Cl)nc(OC2CCSCC2)c1[N+](=O)[O-] ZINC001227334942 776178670 /nfs/dbraw/zinc/17/86/70/776178670.db2.gz PLLHWMAGRDRSGV-UHFFFAOYSA-N 0 0 289.744 2.621 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c1[N+](=O)[O-] ZINC001227339924 776178697 /nfs/dbraw/zinc/17/86/97/776178697.db2.gz LXRUSGWCRWIQEZ-DJLDLDEBSA-N 0 0 281.699 2.690 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2ccc(O)cc2c1)C1CC1 ZINC001227455281 776207779 /nfs/dbraw/zinc/20/77/79/776207779.db2.gz MDPRKBOEVOPNJF-OAHLLOKOSA-N 0 0 273.288 2.980 20 5 CFBDRN COC1CCC(Oc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC001227465218 776208552 /nfs/dbraw/zinc/20/85/52/776208552.db2.gz AAFCXEVQFAKULV-UHFFFAOYSA-N 0 0 296.279 2.839 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2ccccc2[N+](=O)[O-])CC1 ZINC001227479224 776210760 /nfs/dbraw/zinc/21/07/60/776210760.db2.gz FDLWSQLXBAAZGZ-XYPYZODXSA-N 0 0 279.292 2.705 20 5 CFBDRN CCOC(=O)N1CCC[C@@H](Oc2ccccc2[N+](=O)[O-])C1 ZINC001227480338 776210786 /nfs/dbraw/zinc/21/07/86/776210786.db2.gz LRYKOFUQPDNHRQ-LLVKDONJSA-N 0 0 294.307 2.595 20 5 CFBDRN O=C(O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1)c1ccccc1O ZINC001227483718 776212084 /nfs/dbraw/zinc/21/20/84/776212084.db2.gz CSUBBMCLRVEGKL-ZDUSSCGKSA-N 0 0 299.282 2.625 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])C1CCOCC1 ZINC000124841095 776214899 /nfs/dbraw/zinc/21/48/99/776214899.db2.gz BXFLIXOXXJSTLO-UHFFFAOYSA-N 0 0 285.683 2.580 20 5 CFBDRN CC(C)OCCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124788258 776216191 /nfs/dbraw/zinc/21/61/91/776216191.db2.gz JBHSUODMFKREQE-UHFFFAOYSA-N 0 0 287.699 2.969 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124793719 776216368 /nfs/dbraw/zinc/21/63/68/776216368.db2.gz RJQDOQHXYUSZSV-SVRRBLITSA-N 0 0 255.657 2.810 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001227541552 776224493 /nfs/dbraw/zinc/22/44/93/776224493.db2.gz INEWYNPYDGSJOU-DJLDLDEBSA-N 0 0 295.316 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cccc(O)c1)C2 ZINC001227552655 776226907 /nfs/dbraw/zinc/22/69/07/776226907.db2.gz ONOVRQSVKVSWJL-OAHLLOKOSA-N 0 0 271.272 2.847 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccncc1 ZINC000125137586 776253630 /nfs/dbraw/zinc/25/36/30/776253630.db2.gz YJCTUMBWKRAHHM-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2CCc3ccccc32)c2[nH]cnc21 ZINC001227692172 776259359 /nfs/dbraw/zinc/25/93/59/776259359.db2.gz UXPGUKUHDINMSH-GFCCVEGCSA-N 0 0 296.286 2.932 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CC12CCC2 ZINC000721263446 776262094 /nfs/dbraw/zinc/26/20/94/776262094.db2.gz QOAYSSAEZQYOJQ-VIFPVBQESA-N 0 0 253.689 2.998 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H](C)C(=O)OC(C)(C)C ZINC001227702964 776263938 /nfs/dbraw/zinc/26/39/38/776263938.db2.gz ZZWVRYPRRYNIMJ-SECBINFHSA-N 0 0 297.307 2.712 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(O[C@@H]3C[C@H]4C=C[C@@H]3C4)nc2c1 ZINC001227744729 776277286 /nfs/dbraw/zinc/27/72/86/776277286.db2.gz IODBQJYJWHFCPX-IGJMFERPSA-N 0 0 271.276 2.815 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COCc1cccc([N+](=O)[O-])c1 ZINC001222769984 776296621 /nfs/dbraw/zinc/29/66/21/776296621.db2.gz ALEUYXUTEYBNCT-VXGBXAGGSA-N 0 0 283.324 2.551 20 5 CFBDRN COC(=O)c1cccc(O[C@H](C)C(C)C)c1[N+](=O)[O-] ZINC001227895534 776320440 /nfs/dbraw/zinc/32/04/40/776320440.db2.gz ZESBFOAFVXYKSR-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN CC[C@H](C)Oc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC001227894772 776320960 /nfs/dbraw/zinc/32/09/60/776320960.db2.gz ORQCYBSDWQZKAC-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN C[C@@H](Oc1cncc([N+](=O)[O-])c1)c1cnc2ccccn21 ZINC001227984266 776350284 /nfs/dbraw/zinc/35/02/84/776350284.db2.gz JUPNLSGDBRJXRH-SNVBAGLBSA-N 0 0 284.275 2.778 20 5 CFBDRN CC1(C)CC(=O)CC[C@H]1Oc1cncc([N+](=O)[O-])c1 ZINC001227987919 776351288 /nfs/dbraw/zinc/35/12/88/776351288.db2.gz MMQQKJWCHXQCMK-GFCCVEGCSA-N 0 0 264.281 2.516 20 5 CFBDRN CC(C)Oc1cc(COc2cncc([N+](=O)[O-])c2)ccn1 ZINC001227990057 776352058 /nfs/dbraw/zinc/35/20/58/776352058.db2.gz FFOGADFGJBRZRY-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228002548 776355874 /nfs/dbraw/zinc/35/58/74/776355874.db2.gz NWCNZZASVJMHBF-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN COC(=O)C1(C)CC(Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228001420 776355990 /nfs/dbraw/zinc/35/59/90/776355990.db2.gz YFPGFBLQGFWHNF-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cc([N+](=O)[O-])cc(F)c2F)CCO1 ZINC001228016926 776364022 /nfs/dbraw/zinc/36/40/22/776364022.db2.gz RPULUFSSGWYQJS-VXNVDRBHSA-N 0 0 273.235 2.819 20 5 CFBDRN C/C(=C\c1ccncc1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC001126173310 776370256 /nfs/dbraw/zinc/37/02/56/776370256.db2.gz YDYDHSHXXXKDRX-FMIVXFBMSA-N 0 0 297.314 2.710 20 5 CFBDRN CC1(C)CC[C@@H](COc2ccnc(Cl)c2[N+](=O)[O-])O1 ZINC001228053702 776378581 /nfs/dbraw/zinc/37/85/81/776378581.db2.gz FQZZNFNKTHERTL-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nccc1O[C@H]1COc2cnccc21 ZINC001228060051 776381363 /nfs/dbraw/zinc/38/13/63/776381363.db2.gz BMRXHRRGBQSWML-JTQLQIEISA-N 0 0 293.666 2.551 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ccnc(Cl)c1[N+](=O)[O-] ZINC001228060147 776382033 /nfs/dbraw/zinc/38/20/33/776382033.db2.gz CMIASESEJIIBDV-QMMMGPOBSA-N 0 0 270.672 2.534 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cc(O)ccc1C ZINC001228069809 776384461 /nfs/dbraw/zinc/38/44/61/776384461.db2.gz ZGALRNNXEWIUDB-JTQLQIEISA-N 0 0 291.307 2.581 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228139718 776407010 /nfs/dbraw/zinc/40/70/10/776407010.db2.gz XJFJZGMGVGOGFX-ZWNOBZJWSA-N 0 0 288.303 2.947 20 5 CFBDRN CC[N@@H+]1CC[C@@H](Oc2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC001228209520 776422481 /nfs/dbraw/zinc/42/24/81/776422481.db2.gz SZMOIWPXLGMMSQ-SNVBAGLBSA-N 0 0 293.348 2.678 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC001228229551 776428432 /nfs/dbraw/zinc/42/84/32/776428432.db2.gz IQEXNKOSLWIBLN-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cc(Cl)cc([N+](=O)[O-])c1)C1CC1 ZINC001228257109 776435732 /nfs/dbraw/zinc/43/57/32/776435732.db2.gz FXIVKXNVYPMHTC-LBPRGKRZSA-N 0 0 299.710 2.969 20 5 CFBDRN CC(C)c1cccc(O[C@H](C[N+](=O)[O-])c2cccnc2)n1 ZINC001228317694 776457115 /nfs/dbraw/zinc/45/71/15/776457115.db2.gz APWRQBWBMAUINY-CQSZACIVSA-N 0 0 287.319 2.997 20 5 CFBDRN Cc1nc(NC[C@H]2CC[C@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000722333190 776479188 /nfs/dbraw/zinc/47/91/88/776479188.db2.gz KYMPTQWKQLCZTH-DGCLKSJQSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CC[C@@H](C3CC3)O2)n1 ZINC000722335158 776481853 /nfs/dbraw/zinc/48/18/53/776481853.db2.gz XORVFMYIWHZQFO-YPMHNXCESA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccnc(NC[C@H]2CC[C@@H](C3CC3)O2)c1[N+](=O)[O-] ZINC000722335131 776482458 /nfs/dbraw/zinc/48/24/58/776482458.db2.gz XTOZNUOLENQGQI-NEPJUHHUSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@H](C3CC3)O2)cc1 ZINC000722335539 776483603 /nfs/dbraw/zinc/48/36/03/776483603.db2.gz YXEKRKXAGXYWAI-ZIAGYGMSSA-N 0 0 262.309 2.964 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2c(C)cc([N+](=O)[O-])cc2C)C1 ZINC001228572139 776526027 /nfs/dbraw/zinc/52/60/27/776526027.db2.gz XMHXFKFQZHWIJD-KLPPZKSPSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1cnc(F)c(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001228582418 776528704 /nfs/dbraw/zinc/52/87/04/776528704.db2.gz ZMTLHCAXHZHHJP-ZDUSSCGKSA-N 0 0 288.278 2.984 20 5 CFBDRN C[C@H]1CC[C@H](Oc2nc(C3CC3)[n-]c(=O)c2[N+](=O)[O-])C1 ZINC001228604049 776536848 /nfs/dbraw/zinc/53/68/48/776536848.db2.gz OLNIIFOYMNAUME-CBAPKCEASA-N 0 0 279.296 2.535 20 5 CFBDRN CCC[C@H](C)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604654 776537379 /nfs/dbraw/zinc/53/73/79/776537379.db2.gz WJYRYMVRCVJWMH-ZETCQYMHSA-N 0 0 267.285 2.535 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2nc(C3CC3)[n-]c(=O)c2[N+](=O)[O-])C1 ZINC001228604051 776537607 /nfs/dbraw/zinc/53/76/07/776537607.db2.gz OLNIIFOYMNAUME-IONNQARKSA-N 0 0 279.296 2.535 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cc(F)cc(F)c2[N+](=O)[O-])CCO1 ZINC001228610869 776538458 /nfs/dbraw/zinc/53/84/58/776538458.db2.gz ADJTVQCBEVYFMA-VXNVDRBHSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@@H](Oc1cccc(C(N)=O)c1)c1ccccc1[N+](=O)[O-] ZINC001228612495 776539508 /nfs/dbraw/zinc/53/95/08/776539508.db2.gz HBBGXWQMDURZEO-SNVBAGLBSA-N 0 0 286.287 2.834 20 5 CFBDRN CC(C)C[C@@H](Oc1ncncc1[N+](=O)[O-])C(C)C ZINC001228654085 776553260 /nfs/dbraw/zinc/55/32/60/776553260.db2.gz GALOABNZOBKQKE-LLVKDONJSA-N 0 0 253.302 2.834 20 5 CFBDRN CC[C@H](C)C[C@H](CC)Oc1ncncc1[N+](=O)[O-] ZINC001228653729 776553786 /nfs/dbraw/zinc/55/37/86/776553786.db2.gz AYMRNMGHAZNDFX-UWVGGRQHSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2C[C@H](C)C[C@H](C)C2)n1 ZINC001126293785 776553952 /nfs/dbraw/zinc/55/39/52/776553952.db2.gz IPMTXIXQVVAAOJ-IAZYJMLFSA-N 0 0 291.351 2.853 20 5 CFBDRN C[C@H]1C[C@H](Oc2ncncc2[N+](=O)[O-])C[C@@H](C)C1 ZINC001228655600 776555188 /nfs/dbraw/zinc/55/51/88/776555188.db2.gz SOPXQGIKNWORDK-MYJAWHEDSA-N 0 0 251.286 2.588 20 5 CFBDRN CC[C@@H](COc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1)OC ZINC001228676185 776564226 /nfs/dbraw/zinc/56/42/26/776564226.db2.gz WWGMBPLKMQOLOO-VIFPVBQESA-N 0 0 279.296 2.583 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC001228686551 776567309 /nfs/dbraw/zinc/56/73/09/776567309.db2.gz OHNYTCUOOIPATI-VQXHTEKXSA-N 0 0 278.308 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)c2cccnc2F)c1[N+](=O)[O-] ZINC001228690300 776569542 /nfs/dbraw/zinc/56/95/42/776569542.db2.gz ZREHNANVTKNDQU-MRVPVSSYSA-N 0 0 293.254 2.678 20 5 CFBDRN C[C@@H](O)CCOc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000690689052 776597156 /nfs/dbraw/zinc/59/71/56/776597156.db2.gz BOTHBBCLNFTJDP-ZCFIWIBFSA-N 0 0 263.652 2.537 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC001228756430 776599220 /nfs/dbraw/zinc/59/92/20/776599220.db2.gz NAMPGXVBOWCSRX-OTSSQURYSA-N 0 0 285.683 2.579 20 5 CFBDRN CC(C)N1CC(Oc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC001228755959 776599311 /nfs/dbraw/zinc/59/93/11/776599311.db2.gz LBELGZYKEHWRMW-UHFFFAOYSA-N 0 0 270.716 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCc3nccn3C2)cc1Cl ZINC001228758485 776600106 /nfs/dbraw/zinc/60/01/06/776600106.db2.gz GSNMGDUCTGFYCV-SNVBAGLBSA-N 0 0 293.710 2.839 20 5 CFBDRN CC1(C)OC[C@H](COc2ccc([N+](=O)[O-])c(Cl)c2)O1 ZINC001228756990 776600179 /nfs/dbraw/zinc/60/01/79/776600179.db2.gz RAVADLDAWMPZDS-VIFPVBQESA-N 0 0 287.699 2.779 20 5 CFBDRN COC(=O)c1cc(OC2CC(F)(F)C2)cc([N+](=O)[O-])c1 ZINC001228768394 776603269 /nfs/dbraw/zinc/60/32/69/776603269.db2.gz JEDKGPHPGLHULW-UHFFFAOYSA-N 0 0 287.218 2.558 20 5 CFBDRN COC(=O)c1cc(OC2CCSCC2)cc([N+](=O)[O-])c1 ZINC001228767368 776603641 /nfs/dbraw/zinc/60/36/41/776603641.db2.gz AZGLFMWWPBXHFD-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(Cl)n1)C(F)(F)F ZINC001228934041 776661728 /nfs/dbraw/zinc/66/17/28/776661728.db2.gz KUWNRZVJYZNPMB-BYPYZUCNSA-N 0 0 270.594 2.973 20 5 CFBDRN Cc1cc(O[C@H](C)c2ncccn2)cc([N+](=O)[O-])c1 ZINC001228833481 776625527 /nfs/dbraw/zinc/62/55/27/776625527.db2.gz LUDJALGDZYWXJN-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228837668 776627243 /nfs/dbraw/zinc/62/72/43/776627243.db2.gz KCERVGWSIHBBOT-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN C[C@H](Oc1ncc(F)cn1)c1cccc([N+](=O)[O-])c1 ZINC001228849806 776633615 /nfs/dbraw/zinc/63/36/15/776633615.db2.gz QVGFMDGBFWUETG-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1ccncc1OC[C@H]1CCc2ccccc2O1 ZINC001228879503 776644229 /nfs/dbraw/zinc/64/42/29/776644229.db2.gz HHHWWRYQWZAYNF-GFCCVEGCSA-N 0 0 286.287 2.762 20 5 CFBDRN Cc1cnc(F)c([C@H](C)Oc2cnccc2[N+](=O)[O-])c1 ZINC001228883168 776645375 /nfs/dbraw/zinc/64/53/75/776645375.db2.gz UKGDARZVXQVOLO-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN CCOC(=O)C(F)(F)CNc1cccc(C)c1[N+](=O)[O-] ZINC001167852103 776645648 /nfs/dbraw/zinc/64/56/48/776645648.db2.gz SALUUEBIWIXGMN-UHFFFAOYSA-N 0 0 288.250 2.514 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCCO2)nc1Cl ZINC001228934273 776661490 /nfs/dbraw/zinc/66/14/90/776661490.db2.gz NRSVYKYVZXBTAE-QMMMGPOBSA-N 0 0 272.688 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC[NH+](C3CC3)CC2)nc1Cl ZINC001228934284 776662168 /nfs/dbraw/zinc/66/21/68/776662168.db2.gz NYHOAAWQQJJLQZ-UHFFFAOYSA-N 0 0 297.742 2.649 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(Cl)n1)C1CCOCC1 ZINC001228936560 776662178 /nfs/dbraw/zinc/66/21/78/776662178.db2.gz VABKGOLRRUEZSB-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN O=[N+]([O-])c1c([O-])cc(O)cc1O[C@H]1CC2CCC1CC2 ZINC001228970175 776672770 /nfs/dbraw/zinc/67/27/70/776672770.db2.gz GPNIOVCELYKCNC-KWPJZBAWSA-N 0 0 279.292 2.964 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1OC[C@@H]1CCO[C@@H]1C ZINC001228974225 776674384 /nfs/dbraw/zinc/67/43/84/776674384.db2.gz SADDDGZYLATWNJ-MNOVXSKESA-N 0 0 279.292 2.601 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@H](C)c1ncccn1 ZINC001228973108 776674537 /nfs/dbraw/zinc/67/45/37/776674537.db2.gz FWBSPEQQBFJHBD-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CCO[C@@H](C)COc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228977732 776676148 /nfs/dbraw/zinc/67/61/48/776676148.db2.gz QRMRBNNODLQIRK-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN CC(=O)c1c(C)cccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001228992285 776681134 /nfs/dbraw/zinc/68/11/34/776681134.db2.gz IPIWVICYIZHOJL-CYBMUJFWSA-N 0 0 263.293 2.632 20 5 CFBDRN CC(=O)c1ccc(O[C@H]2Cc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC001229009745 776687385 /nfs/dbraw/zinc/68/73/85/776687385.db2.gz MCARJERHTIHODQ-HNNXBMFYSA-N 0 0 298.298 2.739 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ncccc1C1CC1)c1cccnc1 ZINC001229014179 776689515 /nfs/dbraw/zinc/68/95/15/776689515.db2.gz BDKGUOYNRJSYEN-CQSZACIVSA-N 0 0 285.303 2.751 20 5 CFBDRN COC(=O)c1c(O[C@H]2C[C@H]3C=C[C@@H]2C3)cccc1[N+](=O)[O-] ZINC001229044229 776698732 /nfs/dbraw/zinc/69/87/32/776698732.db2.gz FMSSZCPNTRKFCE-CWSCBRNRSA-N 0 0 289.287 2.725 20 5 CFBDRN COC(=O)c1c(O[C@@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC001229044254 776699007 /nfs/dbraw/zinc/69/90/07/776699007.db2.gz FPBBNEZEXIETJY-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN COC(=O)c1c(O[C@@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC001229044054 776699066 /nfs/dbraw/zinc/69/90/66/776699066.db2.gz DAEMLVUMELWAAA-SNVBAGLBSA-N 0 0 277.276 2.869 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1CO[C@H]1CCOC[C@@H]1C ZINC001223539316 776714941 /nfs/dbraw/zinc/71/49/41/776714941.db2.gz PIQARECENZVESK-FZMZJTMJSA-N 0 0 265.309 2.845 20 5 CFBDRN CC(=O)c1cccc(O[C@H](C)c2cncnc2)c1[N+](=O)[O-] ZINC001229139135 776729928 /nfs/dbraw/zinc/72/99/28/776729928.db2.gz UREQEMVVXPICMF-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CC(=O)c1cccc(O[C@@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC001229138963 776730410 /nfs/dbraw/zinc/73/04/10/776730410.db2.gz RRFBZBSOQRHDLA-GXSJLCMTSA-N 0 0 279.292 2.744 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2n[nH]cc2c1Cl)C1CC1 ZINC001229177243 776743607 /nfs/dbraw/zinc/74/36/07/776743607.db2.gz QRKBFFNTPNYFEJ-NSHDSACASA-N 0 0 281.699 2.650 20 5 CFBDRN COc1ccc(COCCOC(C)C)cc1[N+](=O)[O-] ZINC001223650940 776744217 /nfs/dbraw/zinc/74/42/17/776744217.db2.gz PVQPZYZTRKTBMP-UHFFFAOYSA-N 0 0 269.297 2.545 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC1CC2(COC2)C1 ZINC001229197114 776749235 /nfs/dbraw/zinc/74/92/35/776749235.db2.gz BMNMSJRTWXUQQQ-UHFFFAOYSA-N 0 0 267.256 2.600 20 5 CFBDRN Cc1cc(=O)oc2ccc(O[C@H](C[N+](=O)[O-])C3CC3)cc12 ZINC001229195725 776749949 /nfs/dbraw/zinc/74/99/49/776749949.db2.gz LYABDOLUFIHRQW-CQSZACIVSA-N 0 0 289.287 2.536 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1CCc2nccn2C1 ZINC001229202475 776750757 /nfs/dbraw/zinc/75/07/57/776750757.db2.gz WWELKDMJQIHKNX-LLVKDONJSA-N 0 0 291.282 2.633 20 5 CFBDRN CCO[C@@H](C)COc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229200358 776750925 /nfs/dbraw/zinc/75/09/25/776750925.db2.gz DOSKCFRKNUCUIA-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H](C)c1cnccn1 ZINC001229202120 776750968 /nfs/dbraw/zinc/75/09/68/776750968.db2.gz VKHUWMZHGZYHFQ-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN CCC[C@H](C)Oc1n[nH]c(=O)c2cccc([N+](=O)[O-])c21 ZINC001229367381 776792576 /nfs/dbraw/zinc/79/25/76/776792576.db2.gz LHWGNPUCRWEWKO-QMMMGPOBSA-N 0 0 277.280 2.811 20 5 CFBDRN CC1=C[C@H](Oc2ccc(Cl)cc2[N+](=O)[O-])CC(=O)O1 ZINC001229378598 776795431 /nfs/dbraw/zinc/79/54/31/776795431.db2.gz YOLPIQAZEVSODD-VIFPVBQESA-N 0 0 283.667 2.846 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1O[C@H]1CCCOC1 ZINC001229378419 776795535 /nfs/dbraw/zinc/79/55/35/776795535.db2.gz WJOHZIUYHARONJ-VIFPVBQESA-N 0 0 257.673 2.806 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC001229381118 776797135 /nfs/dbraw/zinc/79/71/35/776797135.db2.gz QKCLSPGGZPIAMB-LLVKDONJSA-N 0 0 286.671 2.682 20 5 CFBDRN CCCC(CCC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452234 776817495 /nfs/dbraw/zinc/81/74/95/776817495.db2.gz NNJZTIYNWTWZLN-UHFFFAOYSA-N 0 0 254.286 2.576 20 5 CFBDRN CCC[C@@H]1CCCC[C@@H]1Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229453911 776819077 /nfs/dbraw/zinc/81/90/77/776819077.db2.gz BSDNIXKTOVTFLI-YPMHNXCESA-N 0 0 280.324 2.966 20 5 CFBDRN Cc1nn(C)c(NC(=O)c2cccc([N+](=O)[O-])c2)c1Cl ZINC001277389593 776819997 /nfs/dbraw/zinc/81/99/97/776819997.db2.gz XBKFETTUIXDTEJ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC(=O)N1CCC(Oc2cc(C)c([N+](=O)[O-])c(C)c2)CC1 ZINC001229466916 776821870 /nfs/dbraw/zinc/82/18/70/776821870.db2.gz YNZGBJBVXZMBMX-UHFFFAOYSA-N 0 0 292.335 2.601 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(OC3CC(F)(F)C3)nc2c1 ZINC001229488123 776827982 /nfs/dbraw/zinc/82/79/82/776827982.db2.gz VLTOXRPPCGXQRH-UHFFFAOYSA-N 0 0 270.191 2.913 20 5 CFBDRN C[C@H]1C[C@H](Oc2nc3cc([N+](=O)[O-])ccc3o2)CCO1 ZINC001229491329 776828034 /nfs/dbraw/zinc/82/80/34/776828034.db2.gz PVCGBQUVEQUYSC-WCBMZHEXSA-N 0 0 278.264 2.682 20 5 CFBDRN CC[C@@H](C)Oc1cc(Br)cnc1[N+](=O)[O-] ZINC001229560604 776848356 /nfs/dbraw/zinc/84/83/56/776848356.db2.gz OSUCMYCWKABDHZ-ZCFIWIBFSA-N 0 0 275.102 2.930 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccccc1Br)C1CC1 ZINC001225197450 776861186 /nfs/dbraw/zinc/86/11/86/776861186.db2.gz MMRHBZKBXPSUCQ-NSHDSACASA-N 0 0 286.125 2.883 20 5 CFBDRN CCCOC[C@H](C)Oc1cc([N+](=O)[O-])c(C)cc1O ZINC001229751164 776887301 /nfs/dbraw/zinc/88/73/01/776887301.db2.gz RJGNNHIFWWCZOF-JTQLQIEISA-N 0 0 269.297 2.803 20 5 CFBDRN Cc1cc(O)c(O[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC001229754800 776889347 /nfs/dbraw/zinc/88/93/47/776889347.db2.gz RFOIQJJCVNJGBK-NXEZZACHSA-N 0 0 267.281 2.555 20 5 CFBDRN CC[C@@H](C)Oc1c([N+](=O)[O-])cc(C)c(OC)c1[N+](=O)[O-] ZINC001229868915 776908188 /nfs/dbraw/zinc/90/81/88/776908188.db2.gz PGNANKRZFDJQNG-MRVPVSSYSA-N 0 0 284.268 2.997 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2ccc([N+](=O)[O-])c(C)c2C)C1 ZINC001229883769 776910849 /nfs/dbraw/zinc/91/08/49/776910849.db2.gz XCAUWDBQOSNGHC-ZDUSSCGKSA-N 0 0 292.335 2.601 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229895067 776912553 /nfs/dbraw/zinc/91/25/53/776912553.db2.gz OMOGXMHJDRAZAZ-SECBINFHSA-N 0 0 285.271 2.763 20 5 CFBDRN CO[C@H](C)CCOc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229894879 776912728 /nfs/dbraw/zinc/91/27/28/776912728.db2.gz LQKHRHPDOURYJQ-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ccc([N+](=O)[O-])nc1Cl ZINC001229914782 776916883 /nfs/dbraw/zinc/91/68/83/776916883.db2.gz GLZXGBBBVSBRED-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN CC1(C)C[C@H](Oc2ccc([N+](=O)[O-])nc2Cl)CCO1 ZINC001229918726 776918809 /nfs/dbraw/zinc/91/88/09/776918809.db2.gz GMXQMPBARCHMBC-MRVPVSSYSA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])nc1Cl)c1cnccn1 ZINC001229920057 776918816 /nfs/dbraw/zinc/91/88/16/776918816.db2.gz QDCRMBOIBIODPE-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCn3ccnc32)ccc1Cl ZINC001229961831 776925927 /nfs/dbraw/zinc/92/59/27/776925927.db2.gz CYGZWBOUUZMBIZ-NSHDSACASA-N 0 0 279.683 2.969 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1O[C@H]1C=CCC1 ZINC001229960225 776926023 /nfs/dbraw/zinc/92/60/23/776926023.db2.gz JDUHHLGTZSOGQZ-JTQLQIEISA-N 0 0 277.276 2.787 20 5 CFBDRN CCOC(=O)[C@H](Oc1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC001229963847 776926304 /nfs/dbraw/zinc/92/63/04/776926304.db2.gz MSRDSQSPSUYCNL-GFCCVEGCSA-N 0 0 299.710 2.969 20 5 CFBDRN Cc1cc(O[C@H]2CO[C@H]3OCC[C@@H]23)c([N+](=O)[O-])cc1Cl ZINC001229974633 776929014 /nfs/dbraw/zinc/92/90/14/776929014.db2.gz HMZJZKGAGFABBX-AQUOVQTQSA-N 0 0 299.710 2.697 20 5 CFBDRN Cc1cc(OC[C@@H]2CCO2)c([N+](=O)[O-])cc1Cl ZINC001229979729 776931606 /nfs/dbraw/zinc/93/16/06/776931606.db2.gz VTAHFAZNRIRTDT-QMMMGPOBSA-N 0 0 257.673 2.724 20 5 CFBDRN Cc1ncnc(O[C@@H](C)c2ccccc2[N+](=O)[O-])c1F ZINC001230019279 776941166 /nfs/dbraw/zinc/94/11/66/776941166.db2.gz IOMYMGJWWAEQKK-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1ccc(C)cc1[N+](=O)[O-] ZINC001230146787 776955123 /nfs/dbraw/zinc/95/51/23/776955123.db2.gz WTCQAFPIKONKFS-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1ccc(O[C@@H]2CCCC3(C2)OCCO3)c([N+](=O)[O-])c1 ZINC001230146236 776955382 /nfs/dbraw/zinc/95/53/82/776955382.db2.gz MQLQHWLJVNMJOB-GFCCVEGCSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1ccc(O[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001230146400 776955813 /nfs/dbraw/zinc/95/58/13/776955813.db2.gz OGGQVWPGUOGVCC-WDEREUQCSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])c2cccnc2)ccc1F ZINC001225322159 776971421 /nfs/dbraw/zinc/97/14/21/776971421.db2.gz UBYDAAXLTBQCTB-AWEZNQCLSA-N 0 0 276.267 2.926 20 5 CFBDRN Cc1cc(O[C@H]2CCn3ccnc32)cc([N+](=O)[O-])c1C ZINC001230471865 776995880 /nfs/dbraw/zinc/99/58/80/776995880.db2.gz TVHMKRGXKLMWAX-ZDUSSCGKSA-N 0 0 273.292 2.932 20 5 CFBDRN Cc1cc(OC[C@H]2COC(C)(C)O2)cc([N+](=O)[O-])c1C ZINC001230471511 776996112 /nfs/dbraw/zinc/99/61/12/776996112.db2.gz JBRLFTAZVKKUAE-LBPRGKRZSA-N 0 0 281.308 2.742 20 5 CFBDRN Cc1cc(O[C@@H]2CCOC[C@H]2F)cc([N+](=O)[O-])c1C ZINC001230477938 776997323 /nfs/dbraw/zinc/99/73/23/776997323.db2.gz QLRBJNRDYOOCLX-DGCLKSJQSA-N 0 0 269.272 2.717 20 5 CFBDRN Cc1ccc(OC2CCC2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230485296 776998706 /nfs/dbraw/zinc/99/87/06/776998706.db2.gz PZCBCUFWPMPCEN-UHFFFAOYSA-N 0 0 252.226 2.743 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC001230493524 776999669 /nfs/dbraw/zinc/99/96/69/776999669.db2.gz DQVGHBPFOPUFQA-SECBINFHSA-N 0 0 264.237 2.909 20 5 CFBDRN CC[C@@H](COc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-])OC ZINC001230492198 776999549 /nfs/dbraw/zinc/99/95/49/776999549.db2.gz KYDKWPCEGKTZSZ-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC001230491586 776999696 /nfs/dbraw/zinc/99/96/96/776999696.db2.gz XBXMKSMGWCNTBM-MRVPVSSYSA-N 0 0 266.253 2.989 20 5 CFBDRN CCOc1cccc(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001230555239 777012236 /nfs/dbraw/zinc/01/22/36/777012236.db2.gz JBSDHAMWBDSQKF-CYBMUJFWSA-N 0 0 251.282 2.519 20 5 CFBDRN CCC(CC)Oc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000721554975 777015051 /nfs/dbraw/zinc/01/50/51/777015051.db2.gz KHVVNOLSRWCQOA-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cc(C)c([N+](=O)[O-])cc2C)C1 ZINC001230723761 777050525 /nfs/dbraw/zinc/05/05/25/777050525.db2.gz NUKCXHUYOFUJCD-NEPJUHHUSA-N 0 0 293.319 2.932 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cc(C)c([N+](=O)[O-])cc2C)C1 ZINC001230724207 777051621 /nfs/dbraw/zinc/05/16/21/777051621.db2.gz UMALSIVQRXJMBF-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN CC1=C(Oc2cc(C)c([N+](=O)[O-])cc2C)C(=O)O[C@@H]1C ZINC001230724566 777052392 /nfs/dbraw/zinc/05/23/92/777052392.db2.gz XZYQBEVPRUGLBZ-SNVBAGLBSA-N 0 0 277.276 2.810 20 5 CFBDRN C[C@H](COc1ccccc1)Oc1ncccc1[N+](=O)[O-] ZINC001225593652 777065812 /nfs/dbraw/zinc/06/58/12/777065812.db2.gz UNUODRAIJZDMOW-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN CC(=O)Cc1c(F)c(OC(C)C)ccc1[N+](=O)[O-] ZINC001230793933 777066646 /nfs/dbraw/zinc/06/66/46/777066646.db2.gz GUPBSGBJYVSKOX-UHFFFAOYSA-N 0 0 255.245 2.653 20 5 CFBDRN C[C@@H](COc1ccccc1)Oc1ncccc1[N+](=O)[O-] ZINC001225593653 777066794 /nfs/dbraw/zinc/06/67/94/777066794.db2.gz UNUODRAIJZDMOW-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc2c[nH]nc21 ZINC001230903159 777089712 /nfs/dbraw/zinc/08/97/12/777089712.db2.gz BBUFDNNKLHDUKB-CQSZACIVSA-N 0 0 298.302 2.663 20 5 CFBDRN CCOC(=O)c1ccc(OCCOC(C)C)cc1[N+](=O)[O-] ZINC001230912635 777091759 /nfs/dbraw/zinc/09/17/59/777091759.db2.gz IIEGTTSDZUNZBH-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CC(=O)c1cc(Cl)cc([N+](=O)[O-])c1OC1CC(=O)C1 ZINC001230931017 777096727 /nfs/dbraw/zinc/09/67/27/777096727.db2.gz UOAGNWNLHSXREQ-UHFFFAOYSA-N 0 0 283.667 2.561 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@@H]2CC[C@@H](C)C2)c1 ZINC000721575236 777109982 /nfs/dbraw/zinc/10/99/82/777109982.db2.gz IJGCXJKDKHXFIT-MWLCHTKSSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1OC[C@H]1CCCO1 ZINC001231059933 777128580 /nfs/dbraw/zinc/12/85/80/777128580.db2.gz MCJWZGKDOWXSDX-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@H](C)[C@H]2C)n1 ZINC001126405739 777152841 /nfs/dbraw/zinc/15/28/41/777152841.db2.gz AGYHVAMBDYLYHO-GXSJLCMTSA-N 0 0 277.324 2.559 20 5 CFBDRN CCC[C@@H](CC(=O)OCC)Oc1cc(C)c([N+](=O)[O-])cn1 ZINC001225663954 777153965 /nfs/dbraw/zinc/15/39/65/777153965.db2.gz VKFKKLCPDZYIRJ-NSHDSACASA-N 0 0 296.323 2.799 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc2cc(F)c(F)cc2c1)C1CC1 ZINC001231143419 777155672 /nfs/dbraw/zinc/15/56/72/777155672.db2.gz ZVCHYGVGBQKPSM-CQSZACIVSA-N 0 0 294.257 2.947 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225666535 777155683 /nfs/dbraw/zinc/15/56/83/777155683.db2.gz JYKDRBNWOBKWGF-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@@H](CON)Oc1ccc(-c2ccccc2[N+](=O)[O-])cc1 ZINC001231254412 777179095 /nfs/dbraw/zinc/17/90/95/777179095.db2.gz WNEWYJJZGCMCNM-NSHDSACASA-N 0 0 288.303 2.919 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccc(N=O)cc1 ZINC001231288306 777185665 /nfs/dbraw/zinc/18/56/65/777185665.db2.gz GAMCJDKIWLYJFV-SECBINFHSA-N 0 0 290.279 2.965 20 5 CFBDRN CC[C@H](NC(=O)N1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC001231412269 777211841 /nfs/dbraw/zinc/21/18/41/777211841.db2.gz WXFQYTMIEVXKQY-NSHDSACASA-N 0 0 299.277 2.706 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)/C(F)=C\C1CCCCC1 ZINC001269827157 777255128 /nfs/dbraw/zinc/25/51/28/777255128.db2.gz DMRBMHOVWGZKDT-JXMROGBWSA-N 0 0 296.302 2.701 20 5 CFBDRN CCOC(=O)c1ccc(NCC(F)(F)F)c([N+](=O)[O-])c1 ZINC001161632332 777314944 /nfs/dbraw/zinc/31/49/44/777314944.db2.gz CJMRXZVQAPHTFV-UHFFFAOYSA-N 0 0 292.213 2.746 20 5 CFBDRN Cn1cccc1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000434944002 777427615 /nfs/dbraw/zinc/42/76/15/777427615.db2.gz SZNCPQQYMWHQOQ-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=C(NCCCc1ccco1)c1ccc([N+](=O)[O-])cc1F ZINC001167980689 777449205 /nfs/dbraw/zinc/44/92/05/777449205.db2.gz QUEKNUFMMMPUJK-UHFFFAOYSA-N 0 0 292.266 2.690 20 5 CFBDRN c1cnc2c(c1)ccc1ccc(CN3CCO[C@H]4C[C@H]43)nc12 ZINC001232224254 777480535 /nfs/dbraw/zinc/48/05/35/777480535.db2.gz GINQUAXWVRYYFE-CVEARBPZSA-N 0 0 291.354 2.756 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2nc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC001226363940 777517078 /nfs/dbraw/zinc/51/70/78/777517078.db2.gz AOJAEGDYTRLILU-SFYZADRCSA-N 0 0 272.688 2.590 20 5 CFBDRN CC[C@@H](C)Nc1ccc(CC(=O)OC)c([N+](=O)[O-])c1 ZINC001167998124 777546172 /nfs/dbraw/zinc/54/61/72/777546172.db2.gz AXLIRKHBKJSXRD-SECBINFHSA-N 0 0 266.297 2.521 20 5 CFBDRN C[C@@H](Cc1ccccc1)Oc1ccc([N+](=O)[O-])nc1 ZINC001226711043 777615353 /nfs/dbraw/zinc/61/53/53/777615353.db2.gz XUXLDVAWSANHED-NSHDSACASA-N 0 0 258.277 3.000 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC001226762340 777635495 /nfs/dbraw/zinc/63/54/95/777635495.db2.gz QTSBDCFFIYYWRG-NSHDSACASA-N 0 0 286.671 2.682 20 5 CFBDRN C[C@H](Oc1cc(Cl)c([N+](=O)[O-])cn1)c1cnccn1 ZINC001226915702 777696452 /nfs/dbraw/zinc/69/64/52/777696452.db2.gz NXKPUQXIDHDVOH-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@@H](Oc1cc(Cl)c([N+](=O)[O-])cn1)c1cnccn1 ZINC001226915701 777696960 /nfs/dbraw/zinc/69/69/60/777696960.db2.gz NXKPUQXIDHDVOH-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@@H](CO)[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000696780508 777786275 /nfs/dbraw/zinc/78/62/75/777786275.db2.gz SQMQTZFTBZVMFY-SMDDNHRTSA-N 0 0 264.325 2.582 20 5 CFBDRN CC(C)C[C@H](C)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227692769 777864514 /nfs/dbraw/zinc/86/45/14/777864514.db2.gz CJZZDGHZTFMLPM-QMMMGPOBSA-N 0 0 264.285 2.680 20 5 CFBDRN CCOc1cc(NC2CC(CCO)C2)ccc1[N+](=O)[O-] ZINC000897235140 777864828 /nfs/dbraw/zinc/86/48/28/777864828.db2.gz WNVKMCFNCOVKSP-UHFFFAOYSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1ccc(NC(=O)c2c(F)cccc2[N+](=O)[O-])nc1 ZINC000714050511 777897506 /nfs/dbraw/zinc/89/75/06/777897506.db2.gz CRZLYYVZJGDIIP-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1OCCOC1CCCC1 ZINC001233554802 777989476 /nfs/dbraw/zinc/98/94/76/777989476.db2.gz BSTGQSVZEWBRQJ-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN C[C@H]1C[C@@H](Oc2cc(F)c([N+](=O)[O-])cc2F)CCO1 ZINC001233601956 778007784 /nfs/dbraw/zinc/00/77/84/778007784.db2.gz ZJFUZQRGPMSMGD-YUMQZZPRSA-N 0 0 273.235 2.819 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(OC(F)F)cc1)C1CC1 ZINC001233643752 778021931 /nfs/dbraw/zinc/02/19/31/778021931.db2.gz NKEHOCWNSMVJBH-NSHDSACASA-N 0 0 273.235 2.722 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC001228230550 778026788 /nfs/dbraw/zinc/02/67/88/778026788.db2.gz RTCZSUZLAFKFCG-XYPYZODXSA-N 0 0 279.292 2.542 20 5 CFBDRN CO[C@@H](C)CCOc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233698655 778044949 /nfs/dbraw/zinc/04/49/49/778044949.db2.gz NKVCPEIDYAZRIS-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@H](Oc1cc(Cl)cnc1[N+](=O)[O-])c1cncnc1 ZINC001233714290 778052368 /nfs/dbraw/zinc/05/23/68/778052368.db2.gz AJNMTSAGAUVIQQ-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN CCOC[C@H](C)Oc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC001233745344 778061682 /nfs/dbraw/zinc/06/16/82/778061682.db2.gz LVRITVRHCOUYLY-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN CC(C)(C)N1CC(Oc2cc(F)c([N+](=O)[O-])c(F)c2)C1 ZINC001233750112 778062921 /nfs/dbraw/zinc/06/29/21/778062921.db2.gz IQDGNCKLJQBYBQ-UHFFFAOYSA-N 0 0 286.278 2.735 20 5 CFBDRN CCOC[C@H](C)Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233752187 778064527 /nfs/dbraw/zinc/06/45/27/778064527.db2.gz AJVQNOBPRDRFMR-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCC=CO2)c(F)c1F ZINC001233765417 778069284 /nfs/dbraw/zinc/06/92/84/778069284.db2.gz VODDBSSHTVBRQJ-QMMMGPOBSA-N 0 0 271.219 2.945 20 5 CFBDRN CCO[C@H](C)COc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233783988 778076113 /nfs/dbraw/zinc/07/61/13/778076113.db2.gz BMMSDFPWUWPDOV-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1O[C@H]1CCOC[C@@H]1F ZINC001233785813 778077151 /nfs/dbraw/zinc/07/71/51/778077151.db2.gz KLBAEJUELUMTHT-GWCFXTLKSA-N 0 0 292.266 2.649 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233786452 778077452 /nfs/dbraw/zinc/07/74/52/778077452.db2.gz QAKRKCHSRFOMMZ-HZMBPMFUSA-N 0 0 288.303 2.947 20 5 CFBDRN CC[C@H](COc1c2cccnc2ccc1[N+](=O)[O-])OC ZINC001233786987 778077707 /nfs/dbraw/zinc/07/77/07/778077707.db2.gz TWRBFCDYEZDCAF-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CCO[C@H](C)COc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233835826 778090218 /nfs/dbraw/zinc/09/02/18/778090218.db2.gz DDRKDHFIUFNJPC-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2CCCc3cccnc32)ccn1 ZINC001233854454 778095392 /nfs/dbraw/zinc/09/53/92/778095392.db2.gz FQNAJJGROFIUMJ-LBPRGKRZSA-N 0 0 271.276 2.841 20 5 CFBDRN Cc1cc2c(cc1F)ncnc2O[C@H](C[N+](=O)[O-])C1CC1 ZINC001233851525 778096455 /nfs/dbraw/zinc/09/64/55/778096455.db2.gz SDLVJQLBGACFJG-CYBMUJFWSA-N 0 0 291.282 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCc3ccccc3O2)ccn1 ZINC001233855577 778097414 /nfs/dbraw/zinc/09/74/14/778097414.db2.gz UKCQRSRVHDBPCV-CYBMUJFWSA-N 0 0 286.287 2.762 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])c(OC2CC2)c2ncccc12 ZINC001233904472 778109341 /nfs/dbraw/zinc/10/93/41/778109341.db2.gz INUSMXGCQYURFO-UHFFFAOYSA-N 0 0 275.220 2.592 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc(CC[N+](=O)[O-])cc1OC ZINC001233988212 778131054 /nfs/dbraw/zinc/13/10/54/778131054.db2.gz VJTPKWWAJLYAPN-GFCCVEGCSA-N 0 0 297.351 2.708 20 5 CFBDRN COc1ccc(N=O)c(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234017483 778143598 /nfs/dbraw/zinc/14/35/98/778143598.db2.gz ODZWIKFHANSLHB-GFCCVEGCSA-N 0 0 266.253 2.527 20 5 CFBDRN COC(=O)c1ccc(O[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC001234049470 778153026 /nfs/dbraw/zinc/15/30/26/778153026.db2.gz WNZNTDMLILHRBO-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC001234056121 778153887 /nfs/dbraw/zinc/15/38/87/778153887.db2.gz HGEXYPPNQJCTES-SECBINFHSA-N 0 0 255.245 2.600 20 5 CFBDRN CCOC[C@H](C)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234057900 778154280 /nfs/dbraw/zinc/15/42/80/778154280.db2.gz BGNDHEAXWGEIAG-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc(F)c(OC2CCC(=O)CC2)cc1[N+](=O)[O-] ZINC001234057670 778155308 /nfs/dbraw/zinc/15/53/08/778155308.db2.gz XDIOIYRMGJZQJR-UHFFFAOYSA-N 0 0 267.256 2.933 20 5 CFBDRN O=C1CC[C@@H](COc2ccnc3c2ccc2cccnc23)O1 ZINC001234088715 778162598 /nfs/dbraw/zinc/16/25/98/778162598.db2.gz YJZBGROMZSRJRO-LBPRGKRZSA-N 0 0 294.310 2.867 20 5 CFBDRN C[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2)[C@H]1CCO ZINC000697226315 778167208 /nfs/dbraw/zinc/16/72/08/778167208.db2.gz DWMBEUISAFIYBQ-RISCZKNCSA-N 0 0 264.325 2.582 20 5 CFBDRN O=[N+]([O-])c1cncnc1OC1CCCCCCC1 ZINC001228655016 778178966 /nfs/dbraw/zinc/17/89/66/778178966.db2.gz OQHSMNKSVVHVFR-UHFFFAOYSA-N 0 0 251.286 2.877 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc([N+](=O)[O-])c(Cl)c1)C1CC1 ZINC001228758929 778193535 /nfs/dbraw/zinc/19/35/35/778193535.db2.gz ODYQKWLHRLAJMP-LLVKDONJSA-N 0 0 286.671 2.682 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H](C(C)(C)O)C1 ZINC000721849221 778199162 /nfs/dbraw/zinc/19/91/62/778199162.db2.gz WERWLWXEZKVSMG-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1cccc([N+](=O)[O-])c1Cl ZINC000697387370 778204902 /nfs/dbraw/zinc/20/49/02/778204902.db2.gz NTMLRRSUMAZRIX-UHFFFAOYSA-N 0 0 280.711 2.921 20 5 CFBDRN O=C1CC(Oc2cc(N3CCCCC3)ccc2[N+](=O)[O-])C1 ZINC001234368374 778214589 /nfs/dbraw/zinc/21/45/89/778214589.db2.gz LLZGHCLJDDABRH-UHFFFAOYSA-N 0 0 290.319 2.695 20 5 CFBDRN CC(=O)[C@@H](C)Oc1cc(N2CCCCC2)ccc1[N+](=O)[O-] ZINC001234369099 778215527 /nfs/dbraw/zinc/21/55/27/778215527.db2.gz QBDMQNIGTZIACQ-GFCCVEGCSA-N 0 0 292.335 2.941 20 5 CFBDRN COc1cccc2nc(O[C@H](C[N+](=O)[O-])C3CC3)ccc21 ZINC001234574411 778255230 /nfs/dbraw/zinc/25/52/30/778255230.db2.gz UOLGGPMRPXGEAE-CQSZACIVSA-N 0 0 288.303 2.678 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H]2C[C@H](F)C2)c1 ZINC001168240739 778266666 /nfs/dbraw/zinc/26/66/66/778266666.db2.gz VMGWSZYAIPGJBQ-CZMCAQCFSA-N 0 0 282.271 2.541 20 5 CFBDRN COc1cc2c(cnn2-c2ccc(C)cc2[N+](=O)[O-])cc1N ZINC001214174531 778311703 /nfs/dbraw/zinc/31/17/03/778311703.db2.gz ZUHYVVRLPGOBNO-UHFFFAOYSA-N 0 0 298.302 2.833 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2ccncc2F)n1 ZINC001234880603 778319739 /nfs/dbraw/zinc/31/97/39/778319739.db2.gz DWJNQIWOAUVGEQ-MRVPVSSYSA-N 0 0 293.254 2.673 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1OC1CCSCC1 ZINC001234903602 778324919 /nfs/dbraw/zinc/32/49/19/778324919.db2.gz OOHPUWHXSSVBIF-UHFFFAOYSA-N 0 0 254.311 2.573 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H](C)c1ccncc1 ZINC001234903557 778324928 /nfs/dbraw/zinc/32/49/28/778324928.db2.gz NUOHHSQOZGGCML-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H]1CCCC(=O)C1(C)C ZINC001234902205 778325091 /nfs/dbraw/zinc/32/50/91/778325091.db2.gz YOADPJIFYFIACX-LBPRGKRZSA-N 0 0 278.308 2.825 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)C(F)(F)F ZINC001234903175 778325376 /nfs/dbraw/zinc/32/53/76/778325376.db2.gz JYCXZULWKPBHTF-LURJTMIESA-N 0 0 250.176 2.628 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H]1CCC(=O)CC1(C)C ZINC001234900263 778325522 /nfs/dbraw/zinc/32/55/22/778325522.db2.gz HFIMFEMIUPNIMP-LBPRGKRZSA-N 0 0 278.308 2.825 20 5 CFBDRN COc1c(C)ccc(F)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001234948246 778338270 /nfs/dbraw/zinc/33/82/70/778338270.db2.gz MQQQWBAEPYMWME-LLVKDONJSA-N 0 0 269.272 2.577 20 5 CFBDRN CCOC[C@H](C)OCc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC001223911151 778363791 /nfs/dbraw/zinc/36/37/91/778363791.db2.gz YSSNYMNEIHJVSN-JTQLQIEISA-N 0 0 299.323 2.554 20 5 CFBDRN COc1cc(NCC(C)(F)F)c([N+](=O)[O-])cc1OC ZINC000697577508 778388464 /nfs/dbraw/zinc/38/84/64/778388464.db2.gz LNMMFNQMVWRQLT-UHFFFAOYSA-N 0 0 276.239 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H]3[C@H](C2)C3(F)F)c(F)c1 ZINC001168309925 778421618 /nfs/dbraw/zinc/42/16/18/778421618.db2.gz QEMFKXVCNGABRR-MNOVXSKESA-N 0 0 286.253 2.821 20 5 CFBDRN CCOc1cc(NCCOC2CCC2)ccc1[N+](=O)[O-] ZINC000690987333 778453504 /nfs/dbraw/zinc/45/35/04/778453504.db2.gz RHUXICTWCHIHQJ-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN C[C@H](N(C)C(=O)c1ccc(N)c([N+](=O)[O-])c1)C(C)(C)C ZINC000030424759 778464691 /nfs/dbraw/zinc/46/46/91/778464691.db2.gz FDZQCQMLWWGNHK-VIFPVBQESA-N 0 0 279.340 2.684 20 5 CFBDRN Cc1cnn(Cc2ccc(Br)c([N+](=O)[O-])c2)c1 ZINC000159438584 778568089 /nfs/dbraw/zinc/56/80/89/778568089.db2.gz LVPNZPOJSSDDTR-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(F)nc1 ZINC001126951471 778613877 /nfs/dbraw/zinc/61/38/77/778613877.db2.gz ZCQBJIGMJGGYRB-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC[C@H](C)CO[C@@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001224665968 778619769 /nfs/dbraw/zinc/61/97/69/778619769.db2.gz YOFVBZFAYOEJQT-UWVGGRQHSA-N 0 0 255.318 2.551 20 5 CFBDRN C[C@@H](OCCOc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC001224777282 778681878 /nfs/dbraw/zinc/68/18/78/778681878.db2.gz QYZXJOWSZWAYQK-SNVBAGLBSA-N 0 0 251.282 2.789 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC/C=C/c1ccccc1 ZINC000349892465 778864166 /nfs/dbraw/zinc/86/41/66/778864166.db2.gz JGLBYQLTCZGBFL-QPJJXVBHSA-N 0 0 255.277 2.537 20 5 CFBDRN CCC[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC001120712351 778962591 /nfs/dbraw/zinc/96/25/91/778962591.db2.gz NUGDTVCMBWPNLB-VIFPVBQESA-N 0 0 295.314 2.721 20 5 CFBDRN CCC[C@H]1CCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC001120790779 778980718 /nfs/dbraw/zinc/98/07/18/778980718.db2.gz IFNKHJWRDNQDMN-ZDUSSCGKSA-N 0 0 276.336 2.928 20 5 CFBDRN CC1CC(CNC(=O)c2cc(F)c([N+](=O)[O-])cc2F)C1 ZINC000691063780 779012461 /nfs/dbraw/zinc/01/24/61/779012461.db2.gz YNPZVJJGYHWHCA-UHFFFAOYSA-N 0 0 284.262 2.649 20 5 CFBDRN C=C/C=C\CCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC001288433686 779020731 /nfs/dbraw/zinc/02/07/31/779020731.db2.gz UBVPDZRVUVMPPG-MLQKXRJWSA-N 0 0 272.304 2.857 20 5 CFBDRN C[C@H](Oc1cc(Cl)cnc1[N+](=O)[O-])c1ncccn1 ZINC001233710978 779075628 /nfs/dbraw/zinc/07/56/28/779075628.db2.gz ALGZULIMUHDEME-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@H](COc1ccccc1)Oc1ccnc([N+](=O)[O-])c1 ZINC001233857129 779096142 /nfs/dbraw/zinc/09/61/42/779096142.db2.gz JYDPHEZUSHOPEU-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN COc1c(F)cc(NC[C@H]2CC(C)(C)O2)cc1[N+](=O)[O-] ZINC001168456200 779183678 /nfs/dbraw/zinc/18/36/78/779183678.db2.gz KYLODCUJOLBOIS-SECBINFHSA-N 0 0 284.287 2.722 20 5 CFBDRN O=C1CCc2cc(O[C@@H](C[N+](=O)[O-])C3CC3)cc(Cl)c21 ZINC001234845539 779269910 /nfs/dbraw/zinc/26/99/10/779269910.db2.gz KPJLGAWSPQZPLR-ZDUSSCGKSA-N 0 0 295.722 2.903 20 5 CFBDRN CCCC(=O)N(CC)CCNc1ccc(C)cc1[N+](=O)[O-] ZINC001106659388 779334060 /nfs/dbraw/zinc/33/40/60/779334060.db2.gz CRAXRWIKZOSOKE-UHFFFAOYSA-N 0 0 293.367 2.964 20 5 CFBDRN O=C(OCC1CSC1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC001123131929 779349639 /nfs/dbraw/zinc/34/96/39/779349639.db2.gz HLLCVCNNTSCUOF-CHWSQXEVSA-N 0 0 293.344 2.605 20 5 CFBDRN C/C=C(/C)C(=O)N(CC)CCNc1ccccc1[N+](=O)[O-] ZINC001106732465 779356856 /nfs/dbraw/zinc/35/68/56/779356856.db2.gz JCENGAWSWRLPDL-QCDXTXTGSA-N 0 0 291.351 2.821 20 5 CFBDRN COCCC[C@H](C)OC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC001123219127 779359502 /nfs/dbraw/zinc/35/95/02/779359502.db2.gz GHGWMWZSFVVKQC-NSHDSACASA-N 0 0 281.308 2.875 20 5 CFBDRN CC[C@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1cccc(OC)c1 ZINC001123254516 779367726 /nfs/dbraw/zinc/36/77/26/779367726.db2.gz BQKGXYKMOHYOGP-WCQYABFASA-N 0 0 295.335 2.787 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)C[C@@H](C)c1cccc(OC)c1 ZINC001123254517 779368032 /nfs/dbraw/zinc/36/80/32/779368032.db2.gz BQKGXYKMOHYOGP-YPMHNXCESA-N 0 0 295.335 2.787 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OCC2(O)CCC2)cc1F ZINC000691198680 779389990 /nfs/dbraw/zinc/38/99/90/779389990.db2.gz WWZWZEOMWKGBCH-UHFFFAOYSA-N 0 0 275.663 2.681 20 5 CFBDRN CC(C)=CC(=O)NC/C=C/CNc1ccccc1[N+](=O)[O-] ZINC001107018680 779739998 /nfs/dbraw/zinc/73/99/98/779739998.db2.gz SUSQYLDOOUYHHX-AATRIKPKSA-N 0 0 289.335 2.645 20 5 CFBDRN COc1cc([N+](=O)[O-])c(N[C@@H](CO)C(C)(C)C)cc1F ZINC001168558254 779966623 /nfs/dbraw/zinc/96/66/23/779966623.db2.gz YUGNDFARUTUPAM-LBPRGKRZSA-N 0 0 286.303 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCNc3ccccc32)nc1 ZINC001168638925 780160078 /nfs/dbraw/zinc/16/00/78/780160078.db2.gz MPVVNNYDUPYCKY-CYBMUJFWSA-N 0 0 270.292 2.959 20 5 CFBDRN C[C@H](Nc1ncnc2[nH]cc([N+](=O)[O-])c21)C(C)(C)C ZINC001168683428 780318744 /nfs/dbraw/zinc/31/87/44/780318744.db2.gz JNFHIAIRBIPLBK-ZETCQYMHSA-N 0 0 263.301 2.713 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1nc(OC)ncc1[N+](=O)[O-] ZINC001168690858 780341109 /nfs/dbraw/zinc/34/11/09/780341109.db2.gz AIUWFLYWCUXLJD-DTWKUNHWSA-N 0 0 268.317 2.630 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H](C)CCCC(C)(C)O)n1 ZINC001168695687 780355516 /nfs/dbraw/zinc/35/55/16/780355516.db2.gz GGDGBTQMSGLJPD-SNVBAGLBSA-N 0 0 297.355 2.740 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2ccc(OC)c([N+](=O)[O-])c2)[C@H]1C ZINC001128282397 780376704 /nfs/dbraw/zinc/37/67/04/780376704.db2.gz WMFPHVNSVNJZSU-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)c1nnc(-c2ccn(-c3cccc([N+](=O)[O-])c3)n2)o1 ZINC001117186807 780387119 /nfs/dbraw/zinc/38/71/19/780387119.db2.gz IWUIAWIFOBVYIR-UHFFFAOYSA-N 0 0 299.290 2.954 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@H]3CC34CCC4)c2c1 ZINC000721298038 780462560 /nfs/dbraw/zinc/46/25/60/780462560.db2.gz JTZWKBHHYSGRFM-LBPRGKRZSA-N 0 0 270.292 2.893 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1NCc1nccn1C ZINC001161915438 780561345 /nfs/dbraw/zinc/56/13/45/780561345.db2.gz YQZGNGKJEBGSOO-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN CSCCCC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132696261 780592872 /nfs/dbraw/zinc/59/28/72/780592872.db2.gz MADMMRTWJHXVOY-UHFFFAOYSA-N 0 0 273.285 2.783 20 5 CFBDRN CCOc1cnc(NC(C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC001168742917 780597628 /nfs/dbraw/zinc/59/76/28/780597628.db2.gz SENZPNYUFYERKH-UHFFFAOYSA-N 0 0 277.324 2.989 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nc(C2CC2)nc1NC1CC(F)C1 ZINC001162207905 780616910 /nfs/dbraw/zinc/61/69/10/780616910.db2.gz XSSKNHYJALUVRW-UHFFFAOYSA-N 0 0 286.694 2.828 20 5 CFBDRN CN(C)c1ccc(NCC(C)(F)F)c([N+](=O)[O-])c1 ZINC001162233233 780635547 /nfs/dbraw/zinc/63/55/47/780635547.db2.gz SHABXVDPVWUTQJ-UHFFFAOYSA-N 0 0 259.256 2.728 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@H](F)C1 ZINC000701650810 780821308 /nfs/dbraw/zinc/82/13/08/780821308.db2.gz PWJODOGCTWOTDT-JTQLQIEISA-N 0 0 266.272 2.736 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000702569153 780933229 /nfs/dbraw/zinc/93/32/29/780933229.db2.gz GARHORIBKWKTJW-SSDOTTSWSA-N 0 0 274.679 2.726 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@@H]2CCSC2)nc2sccn21 ZINC000704768158 781115304 /nfs/dbraw/zinc/11/53/04/781115304.db2.gz JDGNCMAPOSOWMK-MRVPVSSYSA-N 0 0 298.393 2.859 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000706925870 781216390 /nfs/dbraw/zinc/21/63/90/781216390.db2.gz GUMBGXVMRDMCPB-JGVFFNPUSA-N 0 0 268.700 2.729 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC[C@H](C(F)F)C1 ZINC000707147594 781231240 /nfs/dbraw/zinc/23/12/40/781231240.db2.gz OFXITVFQXMDBEQ-VIFPVBQESA-N 0 0 284.262 2.889 20 5 CFBDRN CC[N@H+](Cc1cc(Cl)ccc1[N+](=O)[O-])[C@H](C)C(=O)[O-] ZINC000707971343 781260447 /nfs/dbraw/zinc/26/04/47/781260447.db2.gz AIGXSYGXTZDBNF-MRVPVSSYSA-N 0 0 286.715 2.543 20 5 CFBDRN CC1(C)CO[C@@H](COc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000708396103 781271404 /nfs/dbraw/zinc/27/14/04/781271404.db2.gz JJHPLPYFJWJKQE-SNVBAGLBSA-N 0 0 269.272 2.928 20 5 CFBDRN CC1(C)CO[C@@H](COc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000708395057 781271859 /nfs/dbraw/zinc/27/18/59/781271859.db2.gz CKQVQBXVFZIWAN-SNVBAGLBSA-N 0 0 269.272 2.928 20 5 CFBDRN COc1cc(NCCc2ccns2)c(F)cc1[N+](=O)[O-] ZINC000709955126 781324650 /nfs/dbraw/zinc/32/46/50/781324650.db2.gz WNYXQLFCLLWMLZ-UHFFFAOYSA-N 0 0 297.311 2.854 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)c1cc(F)c([N+](=O)[O-])cc1F ZINC000710362636 781333217 /nfs/dbraw/zinc/33/32/17/781333217.db2.gz UWEMFDPHNSMPCV-FUXBKTLASA-N 0 0 282.246 2.545 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CCC2(CCC2)O1 ZINC000711497247 781446632 /nfs/dbraw/zinc/44/66/32/781446632.db2.gz USBDJFSDHAMNSZ-JTQLQIEISA-N 0 0 263.297 2.503 20 5 CFBDRN COC(C)(C)CCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000711847123 781477490 /nfs/dbraw/zinc/47/74/90/781477490.db2.gz FWFRHEKKZSYHKL-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1c1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001163541333 781503468 /nfs/dbraw/zinc/50/34/68/781503468.db2.gz SJKHZPYXDUNYBS-IUCAKERBSA-N 0 0 280.284 2.665 20 5 CFBDRN CN(CC(F)(F)F)c1ncc([N+](=O)[O-])cc1Cl ZINC001163705553 781556908 /nfs/dbraw/zinc/55/69/08/781556908.db2.gz AFSCVNAEAVTXQP-UHFFFAOYSA-N 0 0 269.610 2.642 20 5 CFBDRN O=[N+]([O-])c1cccc(N2CC[C@@H](F)C(F)(F)CC2)n1 ZINC001163780204 781576396 /nfs/dbraw/zinc/57/63/96/781576396.db2.gz MXYDDPJVXOTUJD-MRVPVSSYSA-N 0 0 275.230 2.563 20 5 CFBDRN C[C@H]1CCCC[C@H]1Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001118416991 781627248 /nfs/dbraw/zinc/62/72/48/781627248.db2.gz RHDNSCIQIDGFKU-IUCAKERBSA-N 0 0 268.273 2.526 20 5 CFBDRN Cc1nonc1CNc1cc([N+](=O)[O-])ccc1Cl ZINC001164059431 781650222 /nfs/dbraw/zinc/65/02/22/781650222.db2.gz RMTSAIXVXRQIOD-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN C[C@H]1C[C@@H](CF)N(c2nccc(Cl)c2[N+](=O)[O-])C1 ZINC001164258824 781702733 /nfs/dbraw/zinc/70/27/33/781702733.db2.gz LSKGYDHMTFRKDN-YUMQZZPRSA-N 0 0 273.695 2.828 20 5 CFBDRN O=C(NC1CC2(CC2(F)F)C1)c1ccccc1[N+](=O)[O-] ZINC001118730299 781705989 /nfs/dbraw/zinc/70/59/89/781705989.db2.gz AAZORBCMUAJSRM-UHFFFAOYSA-N 0 0 282.246 2.512 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H](F)C1)c1cc([N+](=O)[O-])ccc1F ZINC001118765230 781716896 /nfs/dbraw/zinc/71/68/96/781716896.db2.gz XBSLNNMGJPSXFQ-BDAKNGLRSA-N 0 0 284.262 2.744 20 5 CFBDRN COc1nc(N(CC2CC2)C(C)C)ccc1[N+](=O)[O-] ZINC001166618557 781770582 /nfs/dbraw/zinc/77/05/82/781770582.db2.gz DNNJVGATVWKTOA-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN CCOCCCCNC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC001119386238 781791039 /nfs/dbraw/zinc/79/10/39/781791039.db2.gz VUMSYAGCWAPMGX-UHFFFAOYSA-N 0 0 299.302 2.672 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@H]1CCOC[C@@H]1F ZINC001230727347 781869485 /nfs/dbraw/zinc/86/94/85/781869485.db2.gz BYSRRHYGRUURNG-JQWIXIFHSA-N 0 0 269.272 2.717 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CCC1CC1 ZINC000594567831 349449232 /nfs/dbraw/zinc/44/92/32/349449232.db2.gz GWWXWTQYDKYXMO-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@]2(C1)CCCOC2 ZINC000594579332 349450937 /nfs/dbraw/zinc/45/09/37/349450937.db2.gz RACQHTCSGVBOCV-OAHLLOKOSA-N 0 0 292.335 2.610 20 5 CFBDRN CC(C)Oc1nc(N2CC=CCC2)ccc1[N+](=O)[O-] ZINC000594577345 349450968 /nfs/dbraw/zinc/45/09/68/349450968.db2.gz MRJULCAQKDUHEU-UHFFFAOYSA-N 0 0 263.297 2.543 20 5 CFBDRN CC[C@@]1(Nc2ccc([N+](=O)[O-])c(OC(C)C)n2)CCOC1 ZINC000594582966 349451814 /nfs/dbraw/zinc/45/18/14/349451814.db2.gz ISWUDXPUSDPSMN-CQSZACIVSA-N 0 0 295.339 2.758 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1c(OC)cccc1[N+](=O)[O-] ZINC000594585610 349452139 /nfs/dbraw/zinc/45/21/39/349452139.db2.gz FCPXNPZUASQPOP-GHMZBOCLSA-N 0 0 282.340 2.812 20 5 CFBDRN C[C@H]1CN(c2ccncc2[N+](=O)[O-])CC2(CCCCC2)O1 ZINC000594602617 349459224 /nfs/dbraw/zinc/45/92/24/349459224.db2.gz YOMQHVWIBDOQQK-LBPRGKRZSA-N 0 0 291.351 2.918 20 5 CFBDRN CCC[N@H+](Cc1cc2c(cc1[N+](=O)[O-])OCCO2)C(C)C ZINC000594612700 349463235 /nfs/dbraw/zinc/46/32/35/349463235.db2.gz GJKPIZISZQQNSB-UHFFFAOYSA-N 0 0 294.351 2.986 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1cn(C2CCCC2)nn1 ZINC000594656913 349469343 /nfs/dbraw/zinc/46/93/43/349469343.db2.gz JCABKDOWIMGOKU-UHFFFAOYSA-N 0 0 288.307 2.880 20 5 CFBDRN CC(C)(C)n1cc(COc2cccc([N+](=O)[O-])c2)nn1 ZINC000594878471 349491393 /nfs/dbraw/zinc/49/13/93/349491393.db2.gz ATTQTBLXJRWZCW-UHFFFAOYSA-N 0 0 276.296 2.520 20 5 CFBDRN Cc1ccc(OC[C@@]2(OC(C)C)CCOC2)c([N+](=O)[O-])c1 ZINC000594881359 349492480 /nfs/dbraw/zinc/49/24/80/349492480.db2.gz WOAORGBYLLUMOS-OAHLLOKOSA-N 0 0 295.335 2.866 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000594886508 349493516 /nfs/dbraw/zinc/49/35/16/349493516.db2.gz VDAUJTOHPLUWKI-ZDUSSCGKSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@H](O)CCNc1cc(Br)cc([N+](=O)[O-])c1 ZINC000594708405 349477584 /nfs/dbraw/zinc/47/75/84/349477584.db2.gz VXFVBWFDSRQAIP-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN CO[C@H](Cn1cc([N+](=O)[O-])cc(C)c1=O)C1CCCCC1 ZINC000594893295 349496182 /nfs/dbraw/zinc/49/61/82/349496182.db2.gz WUWHXSSOGWVXCM-CQSZACIVSA-N 0 0 294.351 2.660 20 5 CFBDRN Cc1ccc(OC[C@@]2(OC(C)C)CCOC2)cc1[N+](=O)[O-] ZINC000594895244 349496947 /nfs/dbraw/zinc/49/69/47/349496947.db2.gz ZRPJYAQAODWNOL-OAHLLOKOSA-N 0 0 295.335 2.866 20 5 CFBDRN CC(C)=CCCn1nc(-c2ccc([N+](=O)[O-])cc2)oc1=O ZINC000594894989 349496991 /nfs/dbraw/zinc/49/69/91/349496991.db2.gz XTXKQMRUDAKFRK-UHFFFAOYSA-N 0 0 289.291 2.768 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000067704977 346815390 /nfs/dbraw/zinc/81/53/90/346815390.db2.gz KJMBJEIVCDAOLZ-NEPJUHHUSA-N 0 0 291.351 2.702 20 5 CFBDRN CC(C)(C)n1cc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)cn1 ZINC000594895478 349497616 /nfs/dbraw/zinc/49/76/16/349497616.db2.gz JSBKKXQRJZQWCR-UHFFFAOYSA-N 0 0 289.339 2.669 20 5 CFBDRN CC(C)(C)n1cc(COc2c(F)cccc2[N+](=O)[O-])nn1 ZINC000594895988 349497842 /nfs/dbraw/zinc/49/78/42/349497842.db2.gz REXHAUFAGFJIEP-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN Cc1cnc(OCCOCc2ccccc2)c([N+](=O)[O-])c1 ZINC000594895413 349497848 /nfs/dbraw/zinc/49/78/48/349497848.db2.gz KGCCZZNEDQLCNV-UHFFFAOYSA-N 0 0 288.303 2.894 20 5 CFBDRN CC[C@@H](C)CS(=O)(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000127651684 187324073 /nfs/dbraw/zinc/32/40/73/187324073.db2.gz KLYRXSLOOVKSDP-SNVBAGLBSA-N 0 0 271.338 2.556 20 5 CFBDRN CCCCN(CC)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067725371 346817251 /nfs/dbraw/zinc/81/72/51/346817251.db2.gz WUXJHQJDCGPLMK-UHFFFAOYSA-N 0 0 279.340 2.926 20 5 CFBDRN CC(C)n1ccnc1CNCc1ccccc1[N+](=O)[O-] ZINC000594927156 349512288 /nfs/dbraw/zinc/51/22/88/349512288.db2.gz YMKDGDBDUGWSPZ-UHFFFAOYSA-N 0 0 274.324 2.662 20 5 CFBDRN C[C@H]1CCSCCN1c1ccccc1[N+](=O)[O-] ZINC000276927325 290614089 /nfs/dbraw/zinc/61/40/89/290614089.db2.gz ZRQADDKNIXHMJK-JTQLQIEISA-N 0 0 252.339 2.927 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)C[C@@H]1CCCCO1 ZINC000125019777 187166236 /nfs/dbraw/zinc/16/62/36/187166236.db2.gz AIJFZGNPIRODLY-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CCC(CC)(CNc1ccccc1[N+](=O)[O-])C(=O)OC ZINC000443890095 282122986 /nfs/dbraw/zinc/12/29/86/282122986.db2.gz SYSXCBIFLDHVKQ-UHFFFAOYSA-N 0 0 280.324 2.986 20 5 CFBDRN CCC(C)(C)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000290100409 197596298 /nfs/dbraw/zinc/59/62/98/197596298.db2.gz WRJWYYUAYIVCLE-UHFFFAOYSA-N 0 0 251.282 2.979 20 5 CFBDRN Cn1ncc(C2CC2)c1CNCc1csc([N+](=O)[O-])c1 ZINC000594985775 349538961 /nfs/dbraw/zinc/53/89/61/349538961.db2.gz PGNJMSLYVZDVCG-UHFFFAOYSA-N 0 0 292.364 2.557 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)NCc1cccnc1 ZINC000595008434 349547321 /nfs/dbraw/zinc/54/73/21/349547321.db2.gz SMMPGPUQYJBKOV-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN C[C@@H](O)[C@@H](NCc1csc([N+](=O)[O-])c1)c1ccccc1 ZINC000595008455 349547635 /nfs/dbraw/zinc/54/76/35/349547635.db2.gz SYSHQXJPZKNTBA-QMTHXVAHSA-N 0 0 292.360 2.868 20 5 CFBDRN C[C@@H](N[C@@H]1CCCn2nccc21)c1ccccc1[N+](=O)[O-] ZINC000595025998 349555063 /nfs/dbraw/zinc/55/50/63/349555063.db2.gz MCUNCZKMBQIQAW-DGCLKSJQSA-N 0 0 286.335 2.977 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@@H](C)Nc1cnn(C)c1C ZINC000595027417 349555983 /nfs/dbraw/zinc/55/59/83/349555983.db2.gz NCDGDVROBACUBV-SECBINFHSA-N 0 0 290.323 2.818 20 5 CFBDRN CCC[N@H+](C)CC(C)(C)NCc1cc([O-])ccc1[N+](=O)[O-] ZINC000594999787 349543373 /nfs/dbraw/zinc/54/33/73/349543373.db2.gz DXGSKVYUQIWFQS-UHFFFAOYSA-N 0 0 295.383 2.510 20 5 CFBDRN CCOc1ccc(NCc2cccc([N+](=O)[O-])c2)nc1C ZINC000595001328 349544311 /nfs/dbraw/zinc/54/43/11/349544311.db2.gz SBHJTOZQOGSRQA-UHFFFAOYSA-N 0 0 287.319 2.731 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCOC(C)(C)C)c1 ZINC000308527816 290012055 /nfs/dbraw/zinc/01/20/55/290012055.db2.gz KSUBAXCGWXSCOH-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H](O)COc1ccccc1 ZINC000067912434 346827836 /nfs/dbraw/zinc/82/78/36/346827836.db2.gz SQPSVUCRBNLEAY-SNVBAGLBSA-N 0 0 294.332 2.508 20 5 CFBDRN O=C1CC[C@H](COc2ccc([N+](=O)[O-])cc2Cl)CCN1 ZINC000294970174 199274952 /nfs/dbraw/zinc/27/49/52/199274952.db2.gz NZMKCVPAYHFDBK-VIFPVBQESA-N 0 0 298.726 2.543 20 5 CFBDRN C[C@H](c1ccccn1)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000067999587 346831115 /nfs/dbraw/zinc/83/11/15/346831115.db2.gz YEOAABUWPRBFLG-SECBINFHSA-N 0 0 291.332 2.885 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000125322656 187179358 /nfs/dbraw/zinc/17/93/58/187179358.db2.gz XKSWPUVLRVUBMW-SNVBAGLBSA-N 0 0 254.311 2.675 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000595316143 349599975 /nfs/dbraw/zinc/59/99/75/349599975.db2.gz CNGGDWJDVHZXOW-MFKMUULPSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1noc(CN2CCC(C(F)(F)F)CC2)c1[N+](=O)[O-] ZINC000595330013 349603505 /nfs/dbraw/zinc/60/35/05/349603505.db2.gz GRCQYSSJLNWFAX-UHFFFAOYSA-N 0 0 293.245 2.666 20 5 CFBDRN Cc1noc(CN(C)CCCc2ccccc2)c1[N+](=O)[O-] ZINC000595294781 349593216 /nfs/dbraw/zinc/59/32/16/349593216.db2.gz FSQDMEAFAUKOFE-UHFFFAOYSA-N 0 0 289.335 2.956 20 5 CFBDRN Cc1noc(CN(C)Cc2ccc(F)cc2F)c1[N+](=O)[O-] ZINC000595293917 349593982 /nfs/dbraw/zinc/59/39/82/349593982.db2.gz CMDGXMVSOOLLAD-UHFFFAOYSA-N 0 0 297.261 2.801 20 5 CFBDRN CCCc1n[nH]c(C(=O)NCC2CCCCC2)c1[N+](=O)[O-] ZINC000068418638 346851874 /nfs/dbraw/zinc/85/18/74/346851874.db2.gz LFAQZWAGHYKBJO-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN CCCN(Cc1onc(C)c1[N+](=O)[O-])C[C@H]1CCCCO1 ZINC000595365402 349610630 /nfs/dbraw/zinc/61/06/30/349610630.db2.gz ASJOHTDVUPBUHV-GFCCVEGCSA-N 0 0 297.355 2.672 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@@H](CC)O1 ZINC000421956630 529381357 /nfs/dbraw/zinc/38/13/57/529381357.db2.gz QONJYHZQXPNQSL-ZIAGYGMSSA-N 0 0 292.335 2.624 20 5 CFBDRN Cc1noc(CN2CCC[C@@H]2c2cccnc2)c1[N+](=O)[O-] ZINC000595398540 349615945 /nfs/dbraw/zinc/61/59/45/349615945.db2.gz RWUIXPKUXHNDLB-GFCCVEGCSA-N 0 0 288.307 2.623 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])C[C@H]1F ZINC000595425233 349621596 /nfs/dbraw/zinc/62/15/96/349621596.db2.gz ZUHGVYCPCQCOCB-BXKDBHETSA-N 0 0 270.279 2.914 20 5 CFBDRN Cc1ccnc(NCC[C@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000273097375 192042804 /nfs/dbraw/zinc/04/28/04/192042804.db2.gz DPKKNSCERQHRME-NEPJUHHUSA-N 0 0 279.340 2.915 20 5 CFBDRN CCC(CC)(NCc1ccc(F)cc1[N+](=O)[O-])C(=O)OC ZINC000595437733 349625972 /nfs/dbraw/zinc/62/59/72/349625972.db2.gz QUMGVRHLSWFTNH-UHFFFAOYSA-N 0 0 298.314 2.555 20 5 CFBDRN CC[C@H](CSC)N(C)c1ncc([N+](=O)[O-])cc1F ZINC000413237004 529410208 /nfs/dbraw/zinc/41/02/08/529410208.db2.gz XPUPQUXGQQCCKX-MRVPVSSYSA-N 0 0 273.333 2.707 20 5 CFBDRN CC[C@H](NC(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000595471053 349636556 /nfs/dbraw/zinc/63/65/56/349636556.db2.gz ZUKMCZFDHLHKPP-AWEZNQCLSA-N 0 0 291.351 2.625 20 5 CFBDRN CCOCCC1(CNc2ncc([N+](=O)[O-])cc2C)CC1 ZINC000269749460 190546798 /nfs/dbraw/zinc/54/67/98/190546798.db2.gz PXOCNWZPKBBFMV-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000421670895 529446390 /nfs/dbraw/zinc/44/63/90/529446390.db2.gz OZECUPLHMBKUQD-VXGBXAGGSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1noc(CN2CCSC[C@@H]2C2CCC2)c1[N+](=O)[O-] ZINC000595548834 349657218 /nfs/dbraw/zinc/65/72/18/349657218.db2.gz ABOSXCHCWZPCHP-LLVKDONJSA-N 0 0 297.380 2.609 20 5 CFBDRN COc1ccc(OC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000069199025 346885919 /nfs/dbraw/zinc/88/59/19/346885919.db2.gz PIAJVAOEOJLANW-LLVKDONJSA-N 0 0 267.281 2.551 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)C(C)C)c1[N+](=O)[O-] ZINC000069675184 346898383 /nfs/dbraw/zinc/89/83/83/346898383.db2.gz OJVGHYFUGDXIQV-SNVBAGLBSA-N 0 0 250.298 2.678 20 5 CFBDRN Cc1c(CN2c3ccccc3C[C@H]2CO)cccc1[N+](=O)[O-] ZINC000595658898 349692201 /nfs/dbraw/zinc/69/22/01/349692201.db2.gz XQDFVHCHZYZQGI-HNNXBMFYSA-N 0 0 298.342 2.827 20 5 CFBDRN C[C@H](NCCOc1ccccc1[N+](=O)[O-])c1cscn1 ZINC000595666571 349693830 /nfs/dbraw/zinc/69/38/30/349693830.db2.gz BPYJJDRCKCVSGH-JTQLQIEISA-N 0 0 293.348 2.781 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCOCC2)c2ncccc12 ZINC000070160904 346906560 /nfs/dbraw/zinc/90/65/60/346906560.db2.gz GOZHLQNKYXDXEG-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H](O)C(C)C ZINC000414406882 529530319 /nfs/dbraw/zinc/53/03/19/529530319.db2.gz DPMSUZCLXZKKBF-QWHCGFSZSA-N 0 0 295.339 2.512 20 5 CFBDRN CC[C@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000194954713 529555589 /nfs/dbraw/zinc/55/55/89/529555589.db2.gz BFBBKFDHERLBKD-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@@H](C)C1=O ZINC000595715125 349714777 /nfs/dbraw/zinc/71/47/77/349714777.db2.gz RECBSFXPABYVHO-NXEZZACHSA-N 0 0 296.754 2.905 20 5 CFBDRN CCCCC(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000070747535 346913188 /nfs/dbraw/zinc/91/31/88/346913188.db2.gz JWRYASFHEZZSCZ-UHFFFAOYSA-N 0 0 253.254 2.699 20 5 CFBDRN CC(=O)c1ccc(NCCC(=O)OC(C)C)c([N+](=O)[O-])c1 ZINC000070792638 346914242 /nfs/dbraw/zinc/91/42/42/346914242.db2.gz UTXSEDBXDKDFOR-UHFFFAOYSA-N 0 0 294.307 2.551 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000595780287 349731600 /nfs/dbraw/zinc/73/16/00/349731600.db2.gz PKRVQFXOYJAJHC-MNOVXSKESA-N 0 0 282.315 2.733 20 5 CFBDRN CN(CC1=CCSC1)Cc1ccc([N+](=O)[O-])cc1F ZINC000595887552 349761723 /nfs/dbraw/zinc/76/17/23/349761723.db2.gz FOHAZMXAXUZJJY-UHFFFAOYSA-N 0 0 282.340 2.839 20 5 CFBDRN CCc1ccc(C(=O)Nc2ccncc2)cc1[N+](=O)[O-] ZINC000174025157 529611038 /nfs/dbraw/zinc/61/10/38/529611038.db2.gz RSHRHPHNOISAHJ-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000596024428 349777119 /nfs/dbraw/zinc/77/71/19/349777119.db2.gz RPHDOHFJWGPSEK-ILWJIGKKSA-N 0 0 274.276 2.549 20 5 CFBDRN Cc1noc(CNc2ccc(C)c(F)c2)c1[N+](=O)[O-] ZINC000596027561 349777709 /nfs/dbraw/zinc/77/77/09/349777709.db2.gz DYIIQGQIXYPTEU-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1noc(CNc2ccc(F)cc2C)c1[N+](=O)[O-] ZINC000596028624 349777822 /nfs/dbraw/zinc/77/78/22/349777822.db2.gz KQIBKICZATYNQU-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])[C@H]1C ZINC000596056569 349783925 /nfs/dbraw/zinc/78/39/25/349783925.db2.gz YMVLSMLPVPZRSW-UTLUCORTSA-N 0 0 265.313 2.932 20 5 CFBDRN Cc1cc(N2C[C@@H](C)O[C@@H](C)C2)c([N+](=O)[O-])s1 ZINC000596051615 349781994 /nfs/dbraw/zinc/78/19/94/349781994.db2.gz SFSQIWFMSKJHSJ-OCAPTIKFSA-N 0 0 256.327 2.578 20 5 CFBDRN NC(=O)c1cc(NCC2CCCCC2)ccc1[N+](=O)[O-] ZINC000596051944 349782038 /nfs/dbraw/zinc/78/20/38/349782038.db2.gz TYKVILSJNDOHSE-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN NC(=O)c1cc(NCCC2=CCCCC2)ccc1[N+](=O)[O-] ZINC000596056124 349783333 /nfs/dbraw/zinc/78/33/33/349783333.db2.gz QTGQVTLHYNBKMG-UHFFFAOYSA-N 0 0 289.335 2.996 20 5 CFBDRN CC(C)(C)CCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596075579 349788092 /nfs/dbraw/zinc/78/80/92/349788092.db2.gz HTMOQCPASHUTCE-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN Cc1cc(N[C@H](C)Cn2ccnc2)c([N+](=O)[O-])s1 ZINC000596078920 349788857 /nfs/dbraw/zinc/78/88/57/349788857.db2.gz FJRBJSHZMAXVNP-MRVPVSSYSA-N 0 0 266.326 2.662 20 5 CFBDRN Cc1cc(NC[C@@H](C)Cn2ccnc2)c([N+](=O)[O-])s1 ZINC000596081447 349789258 /nfs/dbraw/zinc/78/92/58/349789258.db2.gz XFBUTEILEFCYKK-SECBINFHSA-N 0 0 280.353 2.909 20 5 CFBDRN CCO[C@@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596087703 349791296 /nfs/dbraw/zinc/79/12/96/349791296.db2.gz KEZDYLCVEKDRRJ-SECBINFHSA-N 0 0 285.731 2.649 20 5 CFBDRN COC[C@H](C)CNc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596090768 349792073 /nfs/dbraw/zinc/79/20/73/349792073.db2.gz VVJLRWGRFINYAT-MRVPVSSYSA-N 0 0 288.731 2.951 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2CCc3ccccc3C2)c1[N+](=O)[O-] ZINC000596060526 349784440 /nfs/dbraw/zinc/78/44/40/349784440.db2.gz UQRDQDJRXGKHJX-GFCCVEGCSA-N 0 0 285.303 2.665 20 5 CFBDRN CC[C@H]1CN(c2cc(C)sc2[N+](=O)[O-])CCO1 ZINC000596070618 349786161 /nfs/dbraw/zinc/78/61/61/349786161.db2.gz GTKQYHTTYGLKCC-VIFPVBQESA-N 0 0 256.327 2.580 20 5 CFBDRN C[C@H]1CCCC[C@@H]1N(C)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596073451 349787179 /nfs/dbraw/zinc/78/71/79/349787179.db2.gz PRKTUIYNCRNRTC-GWCFXTLKSA-N 0 0 291.351 2.709 20 5 CFBDRN CCc1ccc(C(=O)N2CC=C(C)CC2)cc1[N+](=O)[O-] ZINC000270024764 190587614 /nfs/dbraw/zinc/58/76/14/190587614.db2.gz AEVHWKVABOXQNK-UHFFFAOYSA-N 0 0 274.320 2.949 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1F)[C@H](O)C(C)C ZINC000413550695 529676585 /nfs/dbraw/zinc/67/65/85/529676585.db2.gz XDRIGFXZTQSBIN-WCQYABFASA-N 0 0 270.304 2.941 20 5 CFBDRN CCOc1cc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)ncn1 ZINC000294978228 199280023 /nfs/dbraw/zinc/28/00/23/199280023.db2.gz PBLKKRZOEKBGFA-JTQLQIEISA-N 0 0 288.307 2.957 20 5 CFBDRN CC[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413557168 529681288 /nfs/dbraw/zinc/68/12/88/529681288.db2.gz UAUWQBATTIQYSV-ONGXEEELSA-N 0 0 287.747 2.851 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)[C@@H](O)C(C)C ZINC000413537625 529681298 /nfs/dbraw/zinc/68/12/98/529681298.db2.gz ICJBACPHWHETDA-QWRGUYRKSA-N 0 0 287.747 2.851 20 5 CFBDRN Cc1cc(N2CCC[C@H](CCO)C2)c([N+](=O)[O-])s1 ZINC000596113675 349796874 /nfs/dbraw/zinc/79/68/74/349796874.db2.gz WEUBONRUJOLZHS-SNVBAGLBSA-N 0 0 270.354 2.564 20 5 CFBDRN C[C@H]1CC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596120553 349798620 /nfs/dbraw/zinc/79/86/20/349798620.db2.gz UJMVHFIZTZLJOP-KYZUINATSA-N 0 0 251.286 2.686 20 5 CFBDRN CC[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H](C)O1 ZINC000596118988 349798797 /nfs/dbraw/zinc/79/87/97/349798797.db2.gz HPPXWFPIRGMJOS-DTWKUNHWSA-N 0 0 285.731 2.647 20 5 CFBDRN Cc1ccnc(NC[C@@]2(C)CCCS2)c1[N+](=O)[O-] ZINC000126415998 187238084 /nfs/dbraw/zinc/23/80/84/187238084.db2.gz FOAGHEYGYONVCS-GFCCVEGCSA-N 0 0 267.354 2.996 20 5 CFBDRN CC[C@H]1CN(c2cc(C)sc2[N+](=O)[O-])C[C@H](C)O1 ZINC000596121837 349799925 /nfs/dbraw/zinc/79/99/25/349799925.db2.gz YAYPUIBKKKLKMH-WPRPVWTQSA-N 0 0 270.354 2.968 20 5 CFBDRN C[C@H](CN(C)c1ccc([N+](=O)[O-])cn1)c1nccs1 ZINC000273155882 192060716 /nfs/dbraw/zinc/06/07/16/192060716.db2.gz MTBGZVYIWJHLGJ-SECBINFHSA-N 0 0 278.337 2.686 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596123058 349799659 /nfs/dbraw/zinc/79/96/59/349799659.db2.gz MBCVHQBUTATFNB-XCBNKYQSSA-N 0 0 258.343 2.784 20 5 CFBDRN COC[C@H]1CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596126608 349800427 /nfs/dbraw/zinc/80/04/27/349800427.db2.gz MTLJPWPEKUTJGW-JTQLQIEISA-N 0 0 270.354 2.828 20 5 CFBDRN CC(C)OCCCSc1ncccc1[N+](=O)[O-] ZINC000126536926 187246535 /nfs/dbraw/zinc/24/65/35/187246535.db2.gz MGMYZHWMCYBEKG-UHFFFAOYSA-N 0 0 256.327 2.897 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)C2CC2)c([N+](=O)[O-])s1 ZINC000596126468 349800513 /nfs/dbraw/zinc/80/05/13/349800513.db2.gz TZEVQHJRXDRSPK-LLVKDONJSA-N 0 0 256.327 2.538 20 5 CFBDRN CC(C)c1cc(CNc2c(Cl)cncc2[N+](=O)[O-])on1 ZINC000596127760 349801255 /nfs/dbraw/zinc/80/12/55/349801255.db2.gz DREYQPQMSHJPGC-UHFFFAOYSA-N 0 0 296.714 2.789 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccccc2)CN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596129963 349802210 /nfs/dbraw/zinc/80/22/10/349802210.db2.gz WOSSKGMLIXKGIZ-DGCLKSJQSA-N 0 0 298.346 2.954 20 5 CFBDRN Cc1ncsc1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000355975683 282235463 /nfs/dbraw/zinc/23/54/63/282235463.db2.gz YAALCSSCXWKVFQ-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CC(C)[C@H]1CCCCN1c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596130372 349802322 /nfs/dbraw/zinc/80/23/22/349802322.db2.gz DBSFMDWXLFCUTJ-CYBMUJFWSA-N 0 0 291.351 2.709 20 5 CFBDRN CC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC1 ZINC000596133240 349803177 /nfs/dbraw/zinc/80/31/77/349803177.db2.gz WLDOKVMROPRGDR-NSHDSACASA-N 0 0 291.351 2.710 20 5 CFBDRN CCOCCCNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000127864018 187337247 /nfs/dbraw/zinc/33/72/47/187337247.db2.gz FPMKBEKIGOFMKN-UHFFFAOYSA-N 0 0 295.339 2.760 20 5 CFBDRN CC(C)c1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)on1 ZINC000072647023 346934422 /nfs/dbraw/zinc/93/44/22/346934422.db2.gz DFESVKKOMPGCCF-UHFFFAOYSA-N 0 0 275.264 2.959 20 5 CFBDRN CC(C)C[C@@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596144436 349807381 /nfs/dbraw/zinc/80/73/81/349807381.db2.gz FZNRTXGVXAVYSM-JTQLQIEISA-N 0 0 265.313 2.568 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCC[C@H]2O)c([N+](=O)[O-])s1 ZINC000596147876 349808989 /nfs/dbraw/zinc/80/89/89/349808989.db2.gz WOOXCTAQGDOGJB-GXSJLCMTSA-N 0 0 270.354 2.928 20 5 CFBDRN C[C@@]1(CNC(=O)c2cc(F)ccc2[N+](=O)[O-])CCCS1 ZINC000127913507 187340644 /nfs/dbraw/zinc/34/06/44/187340644.db2.gz KXWFYQPCXYGOEA-ZDUSSCGKSA-N 0 0 298.339 2.749 20 5 CFBDRN C[C@H](CCCO)CNc1c(F)cccc1[N+](=O)[O-] ZINC000294995751 199290969 /nfs/dbraw/zinc/29/09/69/199290969.db2.gz QYDWAABGHWOKJJ-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596153987 349810937 /nfs/dbraw/zinc/81/09/37/349810937.db2.gz ZHEDODLSTHBNEF-ZETCQYMHSA-N 0 0 272.326 2.576 20 5 CFBDRN Cc1cc(NCC[C@@H](C)[S@](C)=O)c([N+](=O)[O-])s1 ZINC000596165471 349814584 /nfs/dbraw/zinc/81/45/84/349814584.db2.gz LANRTBVAMXTVMB-RBHXEPJQSA-N 0 0 276.383 2.534 20 5 CFBDRN Cc1cc(N2CCC[C@H]([C@H](C)O)C2)c([N+](=O)[O-])s1 ZINC000596169112 349815191 /nfs/dbraw/zinc/81/51/91/349815191.db2.gz FMRVTMIAAWZPBG-UWVGGRQHSA-N 0 0 270.354 2.562 20 5 CFBDRN COc1cccc(N[C@H](C)CC(C)(C)O)c1[N+](=O)[O-] ZINC000294999161 199292861 /nfs/dbraw/zinc/29/28/61/199292861.db2.gz NXXXPLRYSLWZQB-SECBINFHSA-N 0 0 268.313 2.565 20 5 CFBDRN Cc1cc(N[C@@H](C)c2nnc(C)o2)c([N+](=O)[O-])s1 ZINC000596158064 349812799 /nfs/dbraw/zinc/81/27/99/349812799.db2.gz OPIXGYNYFYTBGO-LURJTMIESA-N 0 0 268.298 2.829 20 5 CFBDRN Cc1cccc([C@@H]2CCCN2c2ccc(N)c([N+](=O)[O-])n2)n1 ZINC000596167381 349815472 /nfs/dbraw/zinc/81/54/72/349815472.db2.gz CJUIVRKCQZPNIZ-ZDUSSCGKSA-N 0 0 299.334 2.617 20 5 CFBDRN Cc1cc(NC[C@]2(C)CCCO2)c([N+](=O)[O-])s1 ZINC000596166444 349815665 /nfs/dbraw/zinc/81/56/65/349815665.db2.gz ZCDYRBXVIFEBTF-NSHDSACASA-N 0 0 256.327 2.946 20 5 CFBDRN CCC(CC)[C@H](CCNc1cc[nH]c(=O)c1[N+](=O)[O-])OC ZINC000596170001 349816085 /nfs/dbraw/zinc/81/60/85/349816085.db2.gz NRKYVTQPCRBKPN-LBPRGKRZSA-N 0 0 297.355 2.949 20 5 CFBDRN Cc1cccc(NC[C@@H](C)CCCO)c1[N+](=O)[O-] ZINC000295032349 199310416 /nfs/dbraw/zinc/31/04/16/199310416.db2.gz VUZANAKDHGXBLX-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN CCc1ncsc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000157515510 529764381 /nfs/dbraw/zinc/76/43/81/529764381.db2.gz YEXVZRQRXOACKR-UHFFFAOYSA-N 0 0 283.334 2.928 20 5 CFBDRN CCc1nnc(NCc2cccc([N+](=O)[O-])c2)nc1CC ZINC000097837302 529769688 /nfs/dbraw/zinc/76/96/88/529769688.db2.gz KRLGUDAVAPNBPL-UHFFFAOYSA-N 0 0 287.323 2.517 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCC[C@@H]2O)c([N+](=O)[O-])s1 ZINC000596187146 349821154 /nfs/dbraw/zinc/82/11/54/349821154.db2.gz OFLSQPKKBNAHSG-PWSUYJOCSA-N 0 0 284.381 2.952 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596188284 349821653 /nfs/dbraw/zinc/82/16/53/349821653.db2.gz VLSRMGKPQPVVAH-JOYOIKCWSA-N 0 0 299.758 2.631 20 5 CFBDRN Cc1cc(N2CCOCC3(CCC3)C2)c([N+](=O)[O-])s1 ZINC000596191756 349822267 /nfs/dbraw/zinc/82/22/67/349822267.db2.gz FDAIJZQTAJMMQO-UHFFFAOYSA-N 0 0 282.365 2.972 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C)N(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596207436 349826977 /nfs/dbraw/zinc/82/69/77/349826977.db2.gz QPOJOZJCESXVQV-GMTAPVOTSA-N 0 0 291.351 2.565 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cn1)Oc1ccccc1 ZINC000072945772 346951782 /nfs/dbraw/zinc/95/17/82/346951782.db2.gz OGDRQVMVQOIVFG-NSHDSACASA-N 0 0 273.292 2.869 20 5 CFBDRN C[C@H]1C[C@H](c2cccnc2)N(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596199300 349824664 /nfs/dbraw/zinc/82/46/64/349824664.db2.gz RVRYCTAMYJKNKI-GXFFZTMASA-N 0 0 299.334 2.555 20 5 CFBDRN COCC1=CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CC1 ZINC000167754743 136421042 /nfs/dbraw/zinc/42/10/42/136421042.db2.gz ONWJEHUMFSCPAZ-UHFFFAOYSA-N 0 0 290.319 2.580 20 5 CFBDRN Cc1cc(NCCC[C@@H](C)CO)c([N+](=O)[O-])s1 ZINC000596181221 349819376 /nfs/dbraw/zinc/81/93/76/349819376.db2.gz YQASOEVXNIIFOM-MRVPVSSYSA-N 0 0 258.343 2.785 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@H](C)O1 ZINC000301289237 136428790 /nfs/dbraw/zinc/42/87/90/136428790.db2.gz WAVDGAMKQZDPKS-WCQYABFASA-N 0 0 264.325 2.907 20 5 CFBDRN CC(C)c1nnc(CNc2c(F)cc([N+](=O)[O-])cc2F)[nH]1 ZINC000295066628 199327363 /nfs/dbraw/zinc/32/73/63/199327363.db2.gz ZFLUOXKHDTZJBX-UHFFFAOYSA-N 0 0 297.265 2.727 20 5 CFBDRN Cc1cc(N[C@H]2CCc3cncn3C2)c([N+](=O)[O-])s1 ZINC000596229433 349833797 /nfs/dbraw/zinc/83/37/97/349833797.db2.gz BEKZXEGKQAQVTR-VIFPVBQESA-N 0 0 278.337 2.588 20 5 CFBDRN COc1cc(N[C@H]2CCC[C@@H]2CCO)c([N+](=O)[O-])cc1C ZINC000596208955 349827796 /nfs/dbraw/zinc/82/77/96/349827796.db2.gz LAJHJURNLVKPSZ-NEPJUHHUSA-N 0 0 294.351 2.875 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1NCCF ZINC000596216696 349829463 /nfs/dbraw/zinc/82/94/63/349829463.db2.gz RATUAWSCTXXGQZ-UHFFFAOYSA-N 0 0 263.066 2.739 20 5 CFBDRN C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000127974505 187344645 /nfs/dbraw/zinc/34/46/45/187344645.db2.gz VTKLJFIYKVKSOM-JTQLQIEISA-N 0 0 293.323 2.531 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC[C@@H](F)C1 ZINC000596220637 349831128 /nfs/dbraw/zinc/83/11/28/349831128.db2.gz QCZLHTSSFDOKKO-SSDOTTSWSA-N 0 0 259.668 2.582 20 5 CFBDRN Cc1cc(N2CCC[C@@](C)(O)CC2)c([N+](=O)[O-])s1 ZINC000596223237 349832092 /nfs/dbraw/zinc/83/20/92/349832092.db2.gz PIXBVHKRZRAYKN-GFCCVEGCSA-N 0 0 270.354 2.706 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@H](C(F)F)C1 ZINC000596221599 349832166 /nfs/dbraw/zinc/83/21/66/349832166.db2.gz ZPFYPFQTLTTZIC-LURJTMIESA-N 0 0 277.658 2.735 20 5 CFBDRN CCOC[C@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000072946470 346952330 /nfs/dbraw/zinc/95/23/30/346952330.db2.gz WMZYGLLNDLJDND-LBPRGKRZSA-N 0 0 264.325 2.848 20 5 CFBDRN O=c1[nH]ccc(N2CCCc3ccccc3CC2)c1[N+](=O)[O-] ZINC000596256383 349842852 /nfs/dbraw/zinc/84/28/52/349842852.db2.gz AFWZLKXZBMWXBG-UHFFFAOYSA-N 0 0 299.330 2.691 20 5 CFBDRN Cc1cc(Nc2cc(C3CC3)n(C)n2)c([N+](=O)[O-])s1 ZINC000596236014 349835662 /nfs/dbraw/zinc/83/56/62/349835662.db2.gz FDWRCGDXWMSUBR-UHFFFAOYSA-N 0 0 278.337 2.741 20 5 CFBDRN Cc1cc(NCc2cn(C(C)C)nn2)c([N+](=O)[O-])s1 ZINC000596240302 349837361 /nfs/dbraw/zinc/83/73/61/349837361.db2.gz VAMZJIBZCQEKAA-UHFFFAOYSA-N 0 0 281.341 2.749 20 5 CFBDRN C[C@H]1COCCN1c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596257441 349843714 /nfs/dbraw/zinc/84/37/14/349843714.db2.gz JYVAKMZRHQPDEG-QMMMGPOBSA-N 0 0 290.241 2.839 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H]1COC(C)(C)C1 ZINC000596241897 349837931 /nfs/dbraw/zinc/83/79/31/349837931.db2.gz JLKYTOKFJKISSC-JTQLQIEISA-N 0 0 267.281 2.550 20 5 CFBDRN CCc1noc(C)c1CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000596246493 349838938 /nfs/dbraw/zinc/83/89/38/349838938.db2.gz WEYALTIRRJYCRU-UHFFFAOYSA-N 0 0 296.714 2.536 20 5 CFBDRN CC(C)[C@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCCO1 ZINC000596245816 349839016 /nfs/dbraw/zinc/83/90/16/349839016.db2.gz ANFNMXCVYSISMJ-GFCCVEGCSA-N 0 0 299.758 2.895 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000128022968 187346867 /nfs/dbraw/zinc/34/68/67/187346867.db2.gz ZJYOUAKNAQJLTO-PWSUYJOCSA-N 0 0 276.336 2.703 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]2C(F)F)c2ncccc12 ZINC000596250881 349840530 /nfs/dbraw/zinc/84/05/30/349840530.db2.gz VBCIDOYIZNPJLR-NSHDSACASA-N 0 0 279.246 2.987 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CCC[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000596270758 349848476 /nfs/dbraw/zinc/84/84/76/349848476.db2.gz ROSQCLKUVNVDMN-QWRGUYRKSA-N 0 0 277.324 2.934 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1CCCC[C@H]1C ZINC000596269525 349848996 /nfs/dbraw/zinc/84/89/96/349848996.db2.gz WBWHGYFHASAKOZ-PWSUYJOCSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1cc(NCc2ncc(C)cn2)c([N+](=O)[O-])s1 ZINC000596272877 349850231 /nfs/dbraw/zinc/85/02/31/349850231.db2.gz VREVOXBWQHJXLF-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC[C@@H](CCO)CC1 ZINC000596279720 349851732 /nfs/dbraw/zinc/85/17/32/349851732.db2.gz UJAPZUKBOASNFH-SNVBAGLBSA-N 0 0 299.758 2.632 20 5 CFBDRN Cc1cc(N[C@H]2CCN(CC(F)F)C2)c([N+](=O)[O-])s1 ZINC000596278195 349850831 /nfs/dbraw/zinc/85/08/31/349850831.db2.gz MYUSITBLGCARMZ-QMMMGPOBSA-N 0 0 291.323 2.716 20 5 CFBDRN COc1ccc(OC[C@H](OC)C2CCC2)cc1[N+](=O)[O-] ZINC000596280196 349851432 /nfs/dbraw/zinc/85/14/32/349851432.db2.gz WBSNMUOSJAXHKD-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN Cc1cc(NCCc2cnc(C)cn2)c([N+](=O)[O-])s1 ZINC000596260260 349845800 /nfs/dbraw/zinc/84/58/00/349845800.db2.gz CZIHCQRQHMXMKY-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN COc1ccc(OCc2nccn2C(F)F)c([N+](=O)[O-])c1 ZINC000073121877 346962029 /nfs/dbraw/zinc/96/20/29/346962029.db2.gz WAVJJLWRQQKREI-UHFFFAOYSA-N 0 0 299.233 2.774 20 5 CFBDRN CC(C)c1ncn(Cc2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000596306145 349858472 /nfs/dbraw/zinc/85/84/72/349858472.db2.gz ZKZUMIHNTDVODJ-UHFFFAOYSA-N 0 0 282.250 2.636 20 5 CFBDRN CC1(C)C[C@@H](Nc2ncnc3cccc([N+](=O)[O-])c32)CO1 ZINC000596310711 349860283 /nfs/dbraw/zinc/86/02/83/349860283.db2.gz JUUMTPFJWNDPKE-SECBINFHSA-N 0 0 288.307 2.517 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H](C)c1ccccn1 ZINC000596311997 349860583 /nfs/dbraw/zinc/86/05/83/349860583.db2.gz IIPTXAVLOBRDQK-SNVBAGLBSA-N 0 0 289.339 2.730 20 5 CFBDRN CC(C)=CCC[C@H](C)NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000295477020 199527335 /nfs/dbraw/zinc/52/73/35/199527335.db2.gz AOJTUXHMWCOKQU-JTQLQIEISA-N 0 0 293.323 2.560 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC1CC2(CCC2)C1 ZINC000596294749 349855380 /nfs/dbraw/zinc/85/53/80/349855380.db2.gz KWPGUEPNWGTFSP-UHFFFAOYSA-N 0 0 267.716 2.810 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CCC3(CCCC3)O2)c1[N+](=O)[O-] ZINC000596296032 349855429 /nfs/dbraw/zinc/85/54/29/349855429.db2.gz DPOIHNZHYPTLMY-SNVBAGLBSA-N 0 0 293.323 2.599 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cn1cc(C2CC2)cn1 ZINC000596303385 349857082 /nfs/dbraw/zinc/85/70/82/349857082.db2.gz ALRBXIFLUMZTKP-UHFFFAOYSA-N 0 0 261.256 2.856 20 5 CFBDRN Cc1c[nH]nc1COCc1c(F)cc([N+](=O)[O-])cc1F ZINC000596303443 349857123 /nfs/dbraw/zinc/85/71/23/349857123.db2.gz BVXAZHOUIBBXGQ-UHFFFAOYSA-N 0 0 283.234 2.621 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@]23C[C@H]2CCC3)s1 ZINC000596315345 349861588 /nfs/dbraw/zinc/86/15/88/349861588.db2.gz BTLHCRHYLYYGQQ-JMCQJSRRSA-N 0 0 276.321 2.954 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@]34C[C@@H]3CCC4)nc2c1 ZINC000596314933 349861633 /nfs/dbraw/zinc/86/16/33/349861633.db2.gz AICYCBJQCWDQOU-SDBXPKJASA-N 0 0 258.281 2.826 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@@]34C[C@@H]3CCC4)c2c1 ZINC000596318201 349862218 /nfs/dbraw/zinc/86/22/18/349862218.db2.gz ZNJJEQDXCXDVFL-XPTSAGLGSA-N 0 0 270.292 2.893 20 5 CFBDRN Cc1cc(N[C@@H](CO)C2CCC2)c(F)cc1[N+](=O)[O-] ZINC000596323708 349863313 /nfs/dbraw/zinc/86/33/13/349863313.db2.gz PSSLNBZQOVKZAT-LBPRGKRZSA-N 0 0 268.288 2.615 20 5 CFBDRN CC[C@H](COCC1CC1)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000596325604 349863994 /nfs/dbraw/zinc/86/39/94/349863994.db2.gz BWBFOPRQPIYUPP-GFCCVEGCSA-N 0 0 279.340 2.915 20 5 CFBDRN COC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])C1CCOCC1 ZINC000596328505 349865444 /nfs/dbraw/zinc/86/54/44/349865444.db2.gz DHBNGKIQZVTPIR-ZDUSSCGKSA-N 0 0 298.314 2.587 20 5 CFBDRN COC[C@H](CCNc1cc(C)c([N+](=O)[O-])cc1F)OC ZINC000596330365 349866143 /nfs/dbraw/zinc/86/61/43/349866143.db2.gz OZBGTCJSALQGTR-JTQLQIEISA-N 0 0 286.303 2.506 20 5 CFBDRN Cc1cc(C)nc(NCCc2cccc([N+](=O)[O-])c2)n1 ZINC000270331313 190649710 /nfs/dbraw/zinc/64/97/10/190649710.db2.gz XJCFRZUTRHUBBI-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000295491347 199533176 /nfs/dbraw/zinc/53/31/76/199533176.db2.gz JLBOIGNEFFCKQN-CQSZACIVSA-N 0 0 280.299 2.867 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCO[C@](C)(C3CC3)C2)c1 ZINC000596336203 349868279 /nfs/dbraw/zinc/86/82/79/349868279.db2.gz VIZWOLFZCCPICN-HNNXBMFYSA-N 0 0 292.335 2.609 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC(C)(C)C1CCC1 ZINC000295081046 199335693 /nfs/dbraw/zinc/33/56/93/199335693.db2.gz XTDMXRYPOHGAPZ-UHFFFAOYSA-N 0 0 252.318 2.567 20 5 CFBDRN Cc1ccc(CN2C[C@@H](C)O[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000126973197 187274002 /nfs/dbraw/zinc/27/40/02/187274002.db2.gz CVWBOLOFHNXZNZ-TXEJJXNPSA-N 0 0 264.325 2.512 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1C[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000596354998 349875065 /nfs/dbraw/zinc/87/50/65/349875065.db2.gz POZKFUCNCOOVCG-OUAUKWLOSA-N 0 0 296.754 2.845 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H](C)n2cccn2)c([N+](=O)[O-])c1 ZINC000074123639 346998261 /nfs/dbraw/zinc/99/82/61/346998261.db2.gz YKFRRAVHBXOGAR-NSHDSACASA-N 0 0 289.291 2.575 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]1CC(C)C ZINC000413414678 529933004 /nfs/dbraw/zinc/93/30/04/529933004.db2.gz VMHNKLYQXZUWTJ-QMTHXVAHSA-N 0 0 291.351 2.801 20 5 CFBDRN Cc1sc(C(=O)NCCc2ccco2)cc1[N+](=O)[O-] ZINC000074343957 347009788 /nfs/dbraw/zinc/00/97/88/347009788.db2.gz FJXZFHORTFSOFE-UHFFFAOYSA-N 0 0 280.305 2.530 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCc2cc(C3CC3)no2)c1 ZINC000596404314 349890493 /nfs/dbraw/zinc/89/04/93/349890493.db2.gz ZECPNKQSJOUGDK-UHFFFAOYSA-N 0 0 294.336 2.590 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)c1ncc([N+](=O)[O-])cc1F ZINC000413241946 529945257 /nfs/dbraw/zinc/94/52/57/529945257.db2.gz WKEBEXLRAIYNKA-SNVBAGLBSA-N 0 0 265.288 2.921 20 5 CFBDRN C[C@H](c1ccco1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000074519186 347019270 /nfs/dbraw/zinc/01/92/70/347019270.db2.gz FOFPYXQYCYEYKC-LLVKDONJSA-N 0 0 288.303 2.950 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000074658716 347025343 /nfs/dbraw/zinc/02/53/43/347025343.db2.gz OQWLFSABUMHFBZ-NSHDSACASA-N 0 0 262.309 3.000 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2ccc(N)nc2)c1 ZINC000127118689 187283483 /nfs/dbraw/zinc/28/34/83/187283483.db2.gz LDLWAQXWKSIBBB-UHFFFAOYSA-N 0 0 272.308 2.517 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000074661206 347025040 /nfs/dbraw/zinc/02/50/40/347025040.db2.gz KSOHEGKQPGCINE-GFCCVEGCSA-N 0 0 276.336 2.928 20 5 CFBDRN CC(C)c1cc(CNC(=O)c2cccc([N+](=O)[O-])c2)on1 ZINC000074670573 347026481 /nfs/dbraw/zinc/02/64/81/347026481.db2.gz POTOBGIZJGFSPV-UHFFFAOYSA-N 0 0 289.291 2.636 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1c1ccc([N+](=O)[O-])s1 ZINC000596436630 349902302 /nfs/dbraw/zinc/90/23/02/349902302.db2.gz QRFIAYPSETXWRA-VIFPVBQESA-N 0 0 268.338 2.804 20 5 CFBDRN CCOc1ncccc1CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074739542 347029744 /nfs/dbraw/zinc/02/97/44/347029744.db2.gz FQSRKIWGQULKLR-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1cc(N2CC[C@H](Oc3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000074763496 347031531 /nfs/dbraw/zinc/03/15/31/347031531.db2.gz MMDBYKWEZUWTQE-AWEZNQCLSA-N 0 0 299.330 2.956 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(c1ccc([N+](=O)[O-])s1)C2 ZINC000596441455 349903758 /nfs/dbraw/zinc/90/37/58/349903758.db2.gz GYZOXTLLQZRPFM-TXEJJXNPSA-N 0 0 268.338 2.519 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCO[C@H]2CC2(F)F)c1 ZINC000596447484 349905665 /nfs/dbraw/zinc/90/56/65/349905665.db2.gz MTDTWPGXXXHSCE-NSHDSACASA-N 0 0 272.251 2.739 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cn1)C1CCOCC1 ZINC000074774123 347032207 /nfs/dbraw/zinc/03/22/07/347032207.db2.gz XJOWRKKMYFBPIC-LBPRGKRZSA-N 0 0 265.313 2.607 20 5 CFBDRN CCO[C@H](CCNc1ccc([N+](=O)[O-])nc1)C(C)C ZINC000074772281 347032444 /nfs/dbraw/zinc/03/24/44/347032444.db2.gz QTGCERWVUYNOAA-GFCCVEGCSA-N 0 0 267.329 2.853 20 5 CFBDRN COCCN(CCF)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000596448099 349906124 /nfs/dbraw/zinc/90/61/24/349906124.db2.gz ZSUYHBJTERLATP-UHFFFAOYSA-N 0 0 276.695 2.671 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])C1CCOCC1 ZINC000074775308 347033536 /nfs/dbraw/zinc/03/35/36/347033536.db2.gz JJQLCIWRNNENMO-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN COc1ccnc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000273188040 192069491 /nfs/dbraw/zinc/06/94/91/192069491.db2.gz QZJDGOBZTJNVLS-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1C ZINC000596461778 349908220 /nfs/dbraw/zinc/90/82/20/349908220.db2.gz LFCGNZGCKHBOAL-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN Cc1cc(NCCO[C@@H]2CC2(F)F)ccc1[N+](=O)[O-] ZINC000596447991 349906396 /nfs/dbraw/zinc/90/63/96/349906396.db2.gz PLJVPCYJQZYFTC-LLVKDONJSA-N 0 0 272.251 2.739 20 5 CFBDRN CCc1nnc(CNc2c(C)cccc2[N+](=O)[O-])s1 ZINC000290651968 197777274 /nfs/dbraw/zinc/77/72/74/197777274.db2.gz IVFUOTMXGZVVRU-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN Cc1nn(C)c(NCCc2ccc(C)c(C)c2)c1[N+](=O)[O-] ZINC000596453856 349906893 /nfs/dbraw/zinc/90/68/93/349906893.db2.gz PJOUNSZSUSYTDA-UHFFFAOYSA-N 0 0 288.351 2.908 20 5 CFBDRN CO[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000074933115 347044239 /nfs/dbraw/zinc/04/42/39/347044239.db2.gz RAEXGHRTVXIXFO-ZDUSSCGKSA-N 0 0 295.339 2.696 20 5 CFBDRN COc1cc(N(C)CC2=CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000596465544 349909120 /nfs/dbraw/zinc/90/91/20/349909120.db2.gz JNVKWIRCBYMHMC-UHFFFAOYSA-N 0 0 296.298 2.525 20 5 CFBDRN COCc1nc(C)cc(Oc2cc([N+](=O)[O-])ccc2C)n1 ZINC000075046803 347050589 /nfs/dbraw/zinc/05/05/89/347050589.db2.gz QTEPBPAINOSYBG-UHFFFAOYSA-N 0 0 289.291 2.940 20 5 CFBDRN CC(C)(F)CCNc1nc2sccn2c1[N+](=O)[O-] ZINC000596469498 349909917 /nfs/dbraw/zinc/90/99/17/349909917.db2.gz ZDYNURWPCJSKLD-UHFFFAOYSA-N 0 0 272.305 2.854 20 5 CFBDRN C[C@@H](CNc1cccc(F)c1[N+](=O)[O-])CC1(C)OCCO1 ZINC000075053745 347051302 /nfs/dbraw/zinc/05/13/02/347051302.db2.gz WZHCNLNGJUWXCM-SNVBAGLBSA-N 0 0 298.314 2.935 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)C ZINC000075062712 347052829 /nfs/dbraw/zinc/05/28/29/347052829.db2.gz BLAMOXYHJWJKON-LBPRGKRZSA-N 0 0 283.284 2.586 20 5 CFBDRN CCC(CC)(CCO)CNc1ccc([N+](=O)[O-])nc1 ZINC000075065593 347053449 /nfs/dbraw/zinc/05/34/49/347053449.db2.gz GINFXKAVPSNDCM-UHFFFAOYSA-N 0 0 267.329 2.591 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1c1nc2sccn2c1[N+](=O)[O-] ZINC000075065876 347053503 /nfs/dbraw/zinc/05/35/03/347053503.db2.gz KMTXXEDCGQPIDA-RKDXNWHRSA-N 0 0 280.353 2.929 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)O1 ZINC000596475916 349912515 /nfs/dbraw/zinc/91/25/15/349912515.db2.gz VWCDEJUMIAEYSR-GHMZBOCLSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@@]1(CCNc2ccc(C(N)=O)cc2[N+](=O)[O-])CC1(F)F ZINC000596475026 349912572 /nfs/dbraw/zinc/91/25/72/349912572.db2.gz FJRCMRZZKRQOJM-GFCCVEGCSA-N 0 0 299.277 2.541 20 5 CFBDRN Cc1ccnc(N2CCC[C@@H](CCF)C2)c1[N+](=O)[O-] ZINC000596478566 349913629 /nfs/dbraw/zinc/91/36/29/349913629.db2.gz HDUNRODMVRNMED-NSHDSACASA-N 0 0 267.304 2.874 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2COc3cc(F)ccc3C2)s1 ZINC000596476671 349913240 /nfs/dbraw/zinc/91/32/40/349913240.db2.gz FPMHEPFTMLFTKA-SECBINFHSA-N 0 0 295.295 2.606 20 5 CFBDRN Cc1cnc(N2CCC[C@H](CCF)C2)c([N+](=O)[O-])c1 ZINC000596479823 349914645 /nfs/dbraw/zinc/91/46/45/349914645.db2.gz KZXPQOLYXCSBHC-LLVKDONJSA-N 0 0 267.304 2.874 20 5 CFBDRN O=C(NCC1=CCCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000596483553 349915676 /nfs/dbraw/zinc/91/56/76/349915676.db2.gz GHOZEYHNJBAFFJ-UHFFFAOYSA-N 0 0 279.271 2.966 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ccncc1[N+](=O)[O-])C2 ZINC000596483608 349915780 /nfs/dbraw/zinc/91/57/80/349915780.db2.gz GVFAMGNWWFOMGX-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1ccnc3c1cccc3[N+](=O)[O-])C2 ZINC000596481282 349915236 /nfs/dbraw/zinc/91/52/36/349915236.db2.gz WBLDJGHRPPWEOV-BZNIZROVSA-N 0 0 299.330 2.616 20 5 CFBDRN CN(Cc1ncc[nH]1)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000428107060 530026347 /nfs/dbraw/zinc/02/63/47/530026347.db2.gz JIFARENVRGEMJE-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN CCCC[C@H](C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000499976837 534966018 /nfs/dbraw/zinc/96/60/18/534966018.db2.gz DUPIVBFFQHKHEU-LBPRGKRZSA-N 0 0 293.367 2.949 20 5 CFBDRN COCCN(CC(C)C)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000075433210 347077056 /nfs/dbraw/zinc/07/70/56/347077056.db2.gz IGKKIFZJJCJLJQ-UHFFFAOYSA-N 0 0 294.351 2.648 20 5 CFBDRN COC(=O)C[C@H](CNc1ccc([N+](=O)[O-])s1)C1CC1 ZINC000596488983 349918227 /nfs/dbraw/zinc/91/82/27/349918227.db2.gz ASKOWZWDRXASCO-SECBINFHSA-N 0 0 284.337 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](C)O[C@@H](C)C2)c1 ZINC000596492992 349918852 /nfs/dbraw/zinc/91/88/52/349918852.db2.gz FHXWJEBZOMHWHX-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](CO)CC1CC1 ZINC000596492663 349918938 /nfs/dbraw/zinc/91/89/38/349918938.db2.gz LVVXQNZBTAHEGF-LBPRGKRZSA-N 0 0 264.325 2.724 20 5 CFBDRN C[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@H](C)O1 ZINC000596494447 349919461 /nfs/dbraw/zinc/91/94/61/349919461.db2.gz PJKSSCNMBSKIKL-BDAKNGLRSA-N 0 0 256.327 2.660 20 5 CFBDRN Cc1ccc(NC[C@@H](CO)CC2CC2)c([N+](=O)[O-])c1 ZINC000596493601 349919514 /nfs/dbraw/zinc/91/95/14/349919514.db2.gz XECLBNYRQDLVLC-LBPRGKRZSA-N 0 0 264.325 2.724 20 5 CFBDRN Cc1cc(NCC(O)(C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000596494269 349919624 /nfs/dbraw/zinc/91/96/24/349919624.db2.gz SKDBVYFJLBPSJA-UHFFFAOYSA-N 0 0 276.336 2.866 20 5 CFBDRN CCc1nnc(CNc2ccc([N+](=O)[O-])c(C)c2)s1 ZINC000290669563 197783090 /nfs/dbraw/zinc/78/30/90/197783090.db2.gz KJLYBBSVZWBVDI-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]([C@H]3CCCCO3)C2)nc1 ZINC000596501101 349921737 /nfs/dbraw/zinc/92/17/37/349921737.db2.gz BGVQKLCIDKAWJK-TZMCWYRMSA-N 0 0 291.351 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H](O)C23CCC3)c(Cl)c1 ZINC000596500238 349921768 /nfs/dbraw/zinc/92/17/68/349921768.db2.gz AUUWPXCKDAGNAL-RYUDHWBXSA-N 0 0 282.727 2.964 20 5 CFBDRN Cn1ncc(C2CCC2)c1CNc1cccnc1[N+](=O)[O-] ZINC000596502233 349922566 /nfs/dbraw/zinc/92/25/66/349922566.db2.gz LCQDMJCQRNFZIQ-UHFFFAOYSA-N 0 0 287.323 2.603 20 5 CFBDRN C[N@H+](CCOc1ccc([N+](=O)[O-])cc1)Cc1ccccn1 ZINC000295100914 199344734 /nfs/dbraw/zinc/34/47/34/199344734.db2.gz GIUJOUKYLMJKIL-UHFFFAOYSA-N 0 0 287.319 2.501 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@@H]2C[C@@H]2C1 ZINC000596510478 349925010 /nfs/dbraw/zinc/92/50/10/349925010.db2.gz LTRCFRHMJXYNMX-ZYHUDNBSSA-N 0 0 269.304 2.989 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@H]2C[C@H]2C1 ZINC000596509265 349923800 /nfs/dbraw/zinc/92/38/00/349923800.db2.gz HTMXUCAYOOOQQL-QWRGUYRKSA-N 0 0 269.304 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@@H]3CCCCO3)CC2)nc1 ZINC000596507592 349923838 /nfs/dbraw/zinc/92/38/38/349923838.db2.gz XQZZBSKVAPBYSS-AWEZNQCLSA-N 0 0 291.351 2.775 20 5 CFBDRN COC(=O)c1cc(N2CC[C@@H]3C[C@@H]3C2)cc(C)c1[N+](=O)[O-] ZINC000596508772 349923883 /nfs/dbraw/zinc/92/38/83/349923883.db2.gz FOTDSAQMVIEDES-GHMZBOCLSA-N 0 0 290.319 2.536 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000596507383 349924068 /nfs/dbraw/zinc/92/40/68/349924068.db2.gz XNLPTXXSDNHEHA-OCCSQVGLSA-N 0 0 291.351 2.775 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@H]2C[C@H]2C1 ZINC000596512072 349925463 /nfs/dbraw/zinc/92/54/63/349925463.db2.gz TUORCSQHOXXZID-QWRGUYRKSA-N 0 0 269.304 2.989 20 5 CFBDRN CCSC1(CNc2ncc([N+](=O)[O-])cc2F)CC1 ZINC000596511994 349925491 /nfs/dbraw/zinc/92/54/91/349925491.db2.gz YTIUUIKSIXYCJP-UHFFFAOYSA-N 0 0 271.317 2.827 20 5 CFBDRN CCn1cc([C@@H](C)Nc2ccc([N+](=O)[O-])cc2)cn1 ZINC000044497401 232174889 /nfs/dbraw/zinc/17/48/89/232174889.db2.gz PRFBUUCNINQFOK-SNVBAGLBSA-N 0 0 260.297 2.984 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCOc1ccccc1 ZINC000096553111 232179323 /nfs/dbraw/zinc/17/93/23/232179323.db2.gz ASUZFGWCSPTTDR-UHFFFAOYSA-N 0 0 288.303 2.534 20 5 CFBDRN Cc1cc(=O)n(CCCc2ccccc2)cc1[N+](=O)[O-] ZINC000096548099 232179668 /nfs/dbraw/zinc/17/96/68/232179668.db2.gz ZGJKWFOOEQJCMR-UHFFFAOYSA-N 0 0 272.304 2.698 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000004077615 232171822 /nfs/dbraw/zinc/17/18/22/232171822.db2.gz GKHBCLMKQVHTTL-IUCAKERBSA-N 0 0 268.338 2.774 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@H]2COC[C@H]2C1 ZINC000596526258 349929891 /nfs/dbraw/zinc/92/98/91/349929891.db2.gz CSEGRFJDSSPUMT-VHSXEESVSA-N 0 0 282.727 2.721 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@@H]2COC[C@@H]2C1 ZINC000596526260 349929956 /nfs/dbraw/zinc/92/99/56/349929956.db2.gz CSEGRFJDSSPUMT-ZJUUUORDSA-N 0 0 282.727 2.721 20 5 CFBDRN CC(C)[C@@H](Nc1c(C(N)=O)cccc1[N+](=O)[O-])C1CC1 ZINC000596523492 349930146 /nfs/dbraw/zinc/93/01/46/349930146.db2.gz WDASABGPHJDCNL-GFCCVEGCSA-N 0 0 277.324 2.540 20 5 CFBDRN C[C@H](CN(C)c1ncccc1[N+](=O)[O-])c1nccs1 ZINC000273253404 192089060 /nfs/dbraw/zinc/08/90/60/192089060.db2.gz YNBASECUYNFEFT-SECBINFHSA-N 0 0 278.337 2.686 20 5 CFBDRN CS[C@@H](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000130113103 232205388 /nfs/dbraw/zinc/20/53/88/232205388.db2.gz AFNOIZIMQYWHKQ-QMMMGPOBSA-N 0 0 254.311 2.675 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1ccccc1[N+](=O)[O-] ZINC000596541862 349935574 /nfs/dbraw/zinc/93/55/74/349935574.db2.gz GAXXAOUUZUFMLN-JTQLQIEISA-N 0 0 250.298 2.822 20 5 CFBDRN CO[C@@H](CNc1cc[nH]c(=O)c1[N+](=O)[O-])C1CCCCC1 ZINC000596540390 349935716 /nfs/dbraw/zinc/93/57/16/349935716.db2.gz LJZOHWMFUMBUSN-LBPRGKRZSA-N 0 0 295.339 2.703 20 5 CFBDRN CO[C@@H](CNc1cccnc1[N+](=O)[O-])C1CCCCC1 ZINC000596541435 349935826 /nfs/dbraw/zinc/93/58/26/349935826.db2.gz MSXXJSJWPDUNMK-ZDUSSCGKSA-N 0 0 279.340 2.997 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000154679702 232226635 /nfs/dbraw/zinc/22/66/35/232226635.db2.gz HMNAQFAIPQZDJD-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1cc(N[C@@H](C)c2nccn2C)c([N+](=O)[O-])s1 ZINC000596542221 349936477 /nfs/dbraw/zinc/93/64/77/349936477.db2.gz LPTUYAMMHANRFD-QMMMGPOBSA-N 0 0 266.326 2.871 20 5 CFBDRN Nc1c(C(=O)Nc2cccc(F)c2)cccc1[N+](=O)[O-] ZINC000157271781 232236532 /nfs/dbraw/zinc/23/65/32/232236532.db2.gz QWUWBLGLVPOWDN-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN Cc1cccc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)n1 ZINC000161837721 232253106 /nfs/dbraw/zinc/25/31/06/232253106.db2.gz QVVDCTUCIJBJIC-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN CCC[C@](C)(O)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000127508365 187311838 /nfs/dbraw/zinc/31/18/38/187311838.db2.gz BHLSAABIEZXNFV-ABAIWWIYSA-N 0 0 294.351 2.632 20 5 CFBDRN CN(C(=O)CCC1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000175084844 232305389 /nfs/dbraw/zinc/30/53/89/232305389.db2.gz UEEWJGSJDSOLFG-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1c(Nc2ccc3ncccc3c2[N+](=O)[O-])cnn1C ZINC000176808132 232342919 /nfs/dbraw/zinc/34/29/19/232342919.db2.gz HHEUBGGLMJCELD-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1C[C@]23COC[C@@]2(C1)CCCC3 ZINC000596562015 349941851 /nfs/dbraw/zinc/94/18/51/349941851.db2.gz KCMVZCHJSHTZCK-IYBDPMFKSA-N 0 0 288.347 2.992 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000005322144 346211190 /nfs/dbraw/zinc/21/11/90/346211190.db2.gz UREZFWULABWVAO-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCO[C@H](CC(F)(F)F)C1 ZINC000596558655 349941979 /nfs/dbraw/zinc/94/19/79/349941979.db2.gz SSOJWMZBQMICJK-SSDOTTSWSA-N 0 0 296.270 2.814 20 5 CFBDRN Cc1cc(N[C@H](c2ccccc2)[C@H](C)O)ncc1[N+](=O)[O-] ZINC000596564052 349942280 /nfs/dbraw/zinc/94/22/80/349942280.db2.gz AYAPNCJBQNREHV-NHYWBVRUSA-N 0 0 287.319 2.832 20 5 CFBDRN CC(C)OC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000011225175 346229058 /nfs/dbraw/zinc/22/90/58/346229058.db2.gz IAUFJAYKUTUBAZ-UHFFFAOYSA-N 0 0 264.281 2.734 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)C[C@H]1CC1(C)C ZINC000596568337 349943608 /nfs/dbraw/zinc/94/36/08/349943608.db2.gz ULQDVYHFKJWOMT-SNVBAGLBSA-N 0 0 266.345 2.602 20 5 CFBDRN Cc1ccccc1CCNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000007270847 346217072 /nfs/dbraw/zinc/21/70/72/346217072.db2.gz WMVAWBLXPAOSEQ-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC(C)CC1 ZINC000007447157 346218344 /nfs/dbraw/zinc/21/83/44/346218344.db2.gz HQBROMYBHOOLMB-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N(Cc1cccnc1)C1CC1 ZINC000007909674 346220269 /nfs/dbraw/zinc/22/02/69/346220269.db2.gz JIKMHZPXTCMKST-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Sc1ccc(F)cc1F ZINC000008338305 346224226 /nfs/dbraw/zinc/22/42/26/346224226.db2.gz HJWRIIGSTZHHTE-UHFFFAOYSA-N 0 0 271.248 2.758 20 5 CFBDRN COc1ccc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000016199943 346237559 /nfs/dbraw/zinc/23/75/59/346237559.db2.gz SSOQSKPPQLEKFS-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC12CC(C1)CN2c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000596573909 349945481 /nfs/dbraw/zinc/94/54/81/349945481.db2.gz AQMHRRHDAKEABU-UHFFFAOYSA-N 0 0 270.292 2.527 20 5 CFBDRN CCNc1ccc(C(=O)NCc2ccccc2)cc1[N+](=O)[O-] ZINC000016025427 346237773 /nfs/dbraw/zinc/23/77/73/346237773.db2.gz HYAWQFULIAUGIR-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1COc2ccccc2C1 ZINC000016959390 346239167 /nfs/dbraw/zinc/23/91/67/346239167.db2.gz CVGBAODWPWMQHF-GFCCVEGCSA-N 0 0 298.298 2.785 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000127581245 187317777 /nfs/dbraw/zinc/31/77/77/187317777.db2.gz ZEULPCWGNGTBIC-YGRLFVJLSA-N 0 0 291.303 2.643 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])o1 ZINC000020448698 346244115 /nfs/dbraw/zinc/24/41/15/346244115.db2.gz TXPIRZUGARZIAT-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN COC(=O)c1occc1COc1ccc([N+](=O)[O-])c(C)c1 ZINC000021481625 346246592 /nfs/dbraw/zinc/24/65/92/346246592.db2.gz SULJYYOARYRFAG-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1c(CNC(=O)N2CC3CC2(C)C3)cccc1[N+](=O)[O-] ZINC000596578831 349946296 /nfs/dbraw/zinc/94/62/96/349946296.db2.gz JMCKUTWYGVCHBE-UHFFFAOYSA-N 0 0 289.335 2.597 20 5 CFBDRN Cc1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000024472600 346255415 /nfs/dbraw/zinc/25/54/15/346255415.db2.gz JFZJQNNOWOJGKK-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN COC(=O)c1cccc(COc2cccc([N+](=O)[O-])c2)c1 ZINC000025393999 346259169 /nfs/dbraw/zinc/25/91/69/346259169.db2.gz RRFDBPZOEPCALG-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN Cc1ccsc1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000025997581 346262793 /nfs/dbraw/zinc/26/27/93/346262793.db2.gz IGJPRWYMSLALQN-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000127581456 187318026 /nfs/dbraw/zinc/31/80/26/187318026.db2.gz ZEULPCWGNGTBIC-HZMBPMFUSA-N 0 0 291.303 2.643 20 5 CFBDRN CNC(=O)c1cccc(CNc2ccccc2[N+](=O)[O-])c1 ZINC000026729033 346266031 /nfs/dbraw/zinc/26/60/31/346266031.db2.gz QHKNIEWMOBHQEU-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@H]1CCc2ccccc21 ZINC000027825890 346272282 /nfs/dbraw/zinc/27/22/82/346272282.db2.gz SPLLMLMTOHSJHO-AWEZNQCLSA-N 0 0 299.330 2.693 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000029164858 346278719 /nfs/dbraw/zinc/27/87/19/346278719.db2.gz PVRJXFRIMDKPRU-UHFFFAOYSA-N 0 0 264.260 2.828 20 5 CFBDRN C[C@H]1c2cccn2CCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000029378792 346280689 /nfs/dbraw/zinc/28/06/89/346280689.db2.gz FKAAQECCVJGFDY-NSHDSACASA-N 0 0 285.303 2.613 20 5 CFBDRN CCCc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)n[nH]1 ZINC000029602201 346282223 /nfs/dbraw/zinc/28/22/23/346282223.db2.gz JUNXFRBYOAFPAR-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CCCn1nccc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000031770556 346296850 /nfs/dbraw/zinc/29/68/50/346296850.db2.gz BXHWRGBSECWKIQ-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN CC(C)(C)CCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000031760346 346297085 /nfs/dbraw/zinc/29/70/85/346297085.db2.gz HTLIGOJLVIQCDR-UHFFFAOYSA-N 0 0 256.327 2.822 20 5 CFBDRN C[C@@H]1c2cccn2CCN1Cc1ccccc1[N+](=O)[O-] ZINC000032489140 346305609 /nfs/dbraw/zinc/30/56/09/346305609.db2.gz VXXWVXZINZKWPK-GFCCVEGCSA-N 0 0 271.320 2.973 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000075649526 347090350 /nfs/dbraw/zinc/09/03/50/347090350.db2.gz UETBZMYNJRTAAL-KOLCDFICSA-N 0 0 265.313 2.622 20 5 CFBDRN CC[C@H]1CN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)CCO1 ZINC000043149427 346379976 /nfs/dbraw/zinc/37/99/76/346379976.db2.gz WLAVWXQPLWVRAX-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN COCc1ccc(CNc2ncccc2[N+](=O)[O-])cc1 ZINC000043782642 346386882 /nfs/dbraw/zinc/38/68/82/346386882.db2.gz ZIPNTYQEPOZSHG-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCc1cccc(F)c1 ZINC000045362287 346407147 /nfs/dbraw/zinc/40/71/47/346407147.db2.gz YVSMHCWTXKBWIN-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN O=C(NC[C@H]1CCCc2ccccc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000044623195 346396638 /nfs/dbraw/zinc/39/66/38/346396638.db2.gz JGJYDVVZSZNODH-GFCCVEGCSA-N 0 0 299.330 2.773 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C)nc1 ZINC000045772997 346413744 /nfs/dbraw/zinc/41/37/44/346413744.db2.gz VJJYJUPGAINDBH-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H](C1CC1)N(C(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000046058692 346418679 /nfs/dbraw/zinc/41/86/79/346418679.db2.gz IVVHSOJVVAFTQH-LLVKDONJSA-N 0 0 288.347 2.927 20 5 CFBDRN CN(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21)c1ccccc1 ZINC000046304900 346425018 /nfs/dbraw/zinc/42/50/18/346425018.db2.gz CVAICRFIIMHMCY-UHFFFAOYSA-N 0 0 296.286 2.748 20 5 CFBDRN COCCN(Cc1ccco1)Cc1ccc([N+](=O)[O-])cc1 ZINC000046600852 346429726 /nfs/dbraw/zinc/42/97/26/346429726.db2.gz GNFGMOAWOHZCJO-UHFFFAOYSA-N 0 0 290.319 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccco2)c2ccncc21 ZINC000075668770 347091314 /nfs/dbraw/zinc/09/13/14/347091314.db2.gz QVZNJPGLTLFDSR-AWEZNQCLSA-N 0 0 299.286 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccco2)c2ncccc12 ZINC000075668606 347091368 /nfs/dbraw/zinc/09/13/68/347091368.db2.gz YBVVYGIUNCMYJO-CYBMUJFWSA-N 0 0 299.286 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@]12C[C@@H]1CCC2 ZINC000596639784 349965372 /nfs/dbraw/zinc/96/53/72/349965372.db2.gz ZFCWYXCVGUCWEL-HZMBPMFUSA-N 0 0 275.308 2.967 20 5 CFBDRN C[C@H](CO)c1ccc(-c2cc([N+](=O)[O-])ccc2CO)cc1 ZINC000596634796 349963609 /nfs/dbraw/zinc/96/36/09/349963609.db2.gz QCYXQCPYMAHVKU-LLVKDONJSA-N 0 0 287.315 2.850 20 5 CFBDRN C[C@@H](CO)c1ccc(-c2cc([N+](=O)[O-])ccc2CO)cc1 ZINC000596634797 349963635 /nfs/dbraw/zinc/96/36/35/349963635.db2.gz QCYXQCPYMAHVKU-NSHDSACASA-N 0 0 287.315 2.850 20 5 CFBDRN COc1ccccc1N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000048656424 346476687 /nfs/dbraw/zinc/47/66/87/346476687.db2.gz IFZAFXLQWVNIRB-UHFFFAOYSA-N 0 0 292.316 2.942 20 5 CFBDRN Cc1ccccc1CN(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051527884 346505361 /nfs/dbraw/zinc/50/53/61/346505361.db2.gz CDUYKLQUFQPEAE-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccc(F)cc1F ZINC000051869120 346507719 /nfs/dbraw/zinc/50/77/19/346507719.db2.gz QZGXXRVEGNSYAJ-ZETCQYMHSA-N 0 0 295.245 2.692 20 5 CFBDRN Cc1cc(NCc2ccc(N(C)C)nc2)ccc1[N+](=O)[O-] ZINC000051914387 346508322 /nfs/dbraw/zinc/50/83/22/346508322.db2.gz QMVYTUWKQKJSCG-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN COC(=O)Nc1ccc(Nc2ncccc2[N+](=O)[O-])cc1 ZINC000052428373 346520898 /nfs/dbraw/zinc/52/08/98/346520898.db2.gz FLSHXGRXVXMXMP-UHFFFAOYSA-N 0 0 288.263 2.912 20 5 CFBDRN CN(C)C(=O)Cc1ccc(Nc2ccccc2[N+](=O)[O-])cc1 ZINC000052358404 346521059 /nfs/dbraw/zinc/52/10/59/346521059.db2.gz POVLPLVVYHXWMV-UHFFFAOYSA-N 0 0 299.330 2.969 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2c(F)cccc2F)s1 ZINC000195509765 232520086 /nfs/dbraw/zinc/52/00/86/232520086.db2.gz FFNCBFIMIFKNBX-UHFFFAOYSA-N 0 0 285.275 2.984 20 5 CFBDRN CN(Cc1ccccn1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000049999188 346489308 /nfs/dbraw/zinc/48/93/08/346489308.db2.gz UCCYFXKUVPWUOT-UHFFFAOYSA-N 0 0 286.291 2.654 20 5 CFBDRN CN(Cc1ccoc1)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000050121539 346490195 /nfs/dbraw/zinc/49/01/95/346490195.db2.gz HYESNANDSWGYMM-UHFFFAOYSA-N 0 0 289.291 2.529 20 5 CFBDRN Cc1cc(-c2cc([N+](=O)[O-])ccc2CCO)sn1 ZINC000596647849 349967816 /nfs/dbraw/zinc/96/78/16/349967816.db2.gz WUJDFMJXWHOOAU-UHFFFAOYSA-N 0 0 264.306 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nc(C3CC3)no2)c(F)c1 ZINC000052473427 346522997 /nfs/dbraw/zinc/52/29/97/346522997.db2.gz MAWIHJGMUHRRJW-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN O=C(Nc1ccc(F)c(Cl)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000051201753 346501127 /nfs/dbraw/zinc/50/11/27/346501127.db2.gz SZTJRSPQTGFKRI-UHFFFAOYSA-N 0 0 283.646 2.968 20 5 CFBDRN O=C(Nc1nccs1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052604007 346525480 /nfs/dbraw/zinc/52/54/80/346525480.db2.gz RBQMPZGCGGHAPO-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1nnc(Sc2nc3sccn3c2[N+](=O)[O-])s1 ZINC000052712893 346527847 /nfs/dbraw/zinc/52/78/47/346527847.db2.gz DZOIDMVYYPYQLU-UHFFFAOYSA-N 0 0 299.362 2.615 20 5 CFBDRN C[C@H](c1cccs1)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000053315208 346542547 /nfs/dbraw/zinc/54/25/47/346542547.db2.gz YKWBUCILRBCPKA-MRVPVSSYSA-N 0 0 279.321 2.818 20 5 CFBDRN CCN(C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000085635283 179109469 /nfs/dbraw/zinc/10/94/69/179109469.db2.gz CFEZNBMYEILEFY-UHFFFAOYSA-N 0 0 251.286 2.695 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCc3c2cccc3F)c1[N+](=O)[O-] ZINC000057142209 346619943 /nfs/dbraw/zinc/61/99/43/346619943.db2.gz WQMKJWKGKCRMDO-LBPRGKRZSA-N 0 0 290.298 2.875 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1 ZINC000055750211 346596001 /nfs/dbraw/zinc/59/60/01/346596001.db2.gz NZRNEICLSXPIIV-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN COc1ccccc1N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000055744254 346596015 /nfs/dbraw/zinc/59/60/15/346596015.db2.gz KUIWLODTLZVBRF-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN CCO[C@@H](CC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000057226422 346622860 /nfs/dbraw/zinc/62/28/60/346622860.db2.gz ZUBABNGFEWDTCJ-GWCFXTLKSA-N 0 0 280.324 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C[C@H]1C=CCC1 ZINC000060957470 346673654 /nfs/dbraw/zinc/67/36/54/346673654.db2.gz GXPXGFCTIOXXBF-JTQLQIEISA-N 0 0 277.276 2.865 20 5 CFBDRN COc1ccc([C@H]2CCN(c3ncccc3[N+](=O)[O-])C2)cc1 ZINC000060338980 346662236 /nfs/dbraw/zinc/66/22/36/346662236.db2.gz GSYBOHWKEKAVMN-ZDUSSCGKSA-N 0 0 299.330 2.992 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCN(CC(F)(F)F)C1 ZINC000057855728 346638569 /nfs/dbraw/zinc/63/85/69/346638569.db2.gz DZHVAXQUVNRPJK-SECBINFHSA-N 0 0 289.257 2.643 20 5 CFBDRN O=[N+]([O-])c1sccc1NC1CCN(CC(F)F)CC1 ZINC000057857282 346638666 /nfs/dbraw/zinc/63/86/66/346638666.db2.gz MFXQFSRKBDFKTH-UHFFFAOYSA-N 0 0 291.323 2.798 20 5 CFBDRN CCCCN(CC)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000058164330 346642336 /nfs/dbraw/zinc/64/23/36/346642336.db2.gz ARSGCSKFQLSYQN-UHFFFAOYSA-N 0 0 256.327 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCOC(C)(C)C ZINC000063510615 346703253 /nfs/dbraw/zinc/70/32/53/346703253.db2.gz ICHDEUYADTVPMJ-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC1CCCC1 ZINC000063704072 346707512 /nfs/dbraw/zinc/70/75/12/346707512.db2.gz MIYYFIXEMZWILK-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2cccc(Cl)c2)c1[N+](=O)[O-] ZINC000064334323 346717685 /nfs/dbraw/zinc/71/76/85/346717685.db2.gz XCQYZMIESYUNIQ-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000064468644 346721610 /nfs/dbraw/zinc/72/16/10/346721610.db2.gz UXNSDZUKTMIRAM-GHMZBOCLSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1ccsc1 ZINC000061364493 346677910 /nfs/dbraw/zinc/67/79/10/346677910.db2.gz PHKVXPMSACFRFI-SSDOTTSWSA-N 0 0 250.283 2.619 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000062926714 346693388 /nfs/dbraw/zinc/69/33/88/346693388.db2.gz WNNQUOLQKMSNFE-NSHDSACASA-N 0 0 262.309 2.857 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H]1COc2ccccc2O1 ZINC000063256087 346698708 /nfs/dbraw/zinc/69/87/08/346698708.db2.gz FGNRXRLEQDUYRL-SECBINFHSA-N 0 0 292.316 2.908 20 5 CFBDRN COCCCN(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000066372002 346756229 /nfs/dbraw/zinc/75/62/29/346756229.db2.gz HASZONVJAVJMCG-UHFFFAOYSA-N 0 0 258.705 2.721 20 5 CFBDRN COCCCN(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000066372004 346756475 /nfs/dbraw/zinc/75/64/75/346756475.db2.gz BYGVFLQQDKMMPT-UHFFFAOYSA-N 0 0 258.705 2.721 20 5 CFBDRN CC(C)n1cc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cn1 ZINC000066386524 346756885 /nfs/dbraw/zinc/75/68/85/346756885.db2.gz NPFYGNAOHFZGPH-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN Cc1ccc2nc(CNc3ccncc3[N+](=O)[O-])cn2c1 ZINC000066810102 346780848 /nfs/dbraw/zinc/78/08/48/346780848.db2.gz QZTPUMKLBLBICZ-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1nc([C@@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000273296991 192104315 /nfs/dbraw/zinc/10/43/15/192104315.db2.gz JQILIQRVQNHSNV-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN COCC1=CCN(c2ccc([N+](=O)[O-])c3cccnc32)CC1 ZINC000274681285 136629921 /nfs/dbraw/zinc/62/99/21/136629921.db2.gz HJORYDQLXJIPNZ-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CN(CCOc1ccccc1)c1ccncc1[N+](=O)[O-] ZINC000066796433 346779806 /nfs/dbraw/zinc/77/98/06/346779806.db2.gz VJZDEMGTMJBVDS-UHFFFAOYSA-N 0 0 273.292 2.505 20 5 CFBDRN CCC(CC)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000067020541 346789552 /nfs/dbraw/zinc/78/95/52/346789552.db2.gz RSCQIHXVTJTDII-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN Cc1nc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)cs1 ZINC000067204801 346794799 /nfs/dbraw/zinc/79/47/99/346794799.db2.gz RSWAPFBUJGQKJR-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN C[C@@H](COCC(F)(F)F)Nc1ccc([N+](=O)[O-])cc1 ZINC000067383487 346800696 /nfs/dbraw/zinc/80/06/96/346800696.db2.gz QLTUCMKSYMXMAY-QMMMGPOBSA-N 0 0 278.230 2.974 20 5 CFBDRN CN(C)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000076014950 347114751 /nfs/dbraw/zinc/11/47/51/347114751.db2.gz LIMQGKYVKVOUPY-UHFFFAOYSA-N 0 0 291.332 2.975 20 5 CFBDRN CCc1cc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])n[nH]1 ZINC000076428346 347138888 /nfs/dbraw/zinc/13/88/88/347138888.db2.gz SJXBUZDSBPSIOW-UHFFFAOYSA-N 0 0 294.698 2.786 20 5 CFBDRN Cc1cc(Oc2ncnc3c2CCC3)ccc1[N+](=O)[O-] ZINC000076920092 347160088 /nfs/dbraw/zinc/16/00/88/347160088.db2.gz OWKURWZRLUNGAX-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN CCc1cc(Oc2ncc([N+](=O)[O-])c(N)n2)ccc1Cl ZINC000076267065 347131350 /nfs/dbraw/zinc/13/13/50/347131350.db2.gz FMEHVPIHMDTURK-UHFFFAOYSA-N 0 0 294.698 2.975 20 5 CFBDRN C[C@@H](Sc1ncc([N+](=O)[O-])c(N)n1)c1ccc(F)cc1 ZINC000076269299 347131933 /nfs/dbraw/zinc/13/19/33/347131933.db2.gz XJGCOIFZBXLPCL-SSDOTTSWSA-N 0 0 294.311 2.959 20 5 CFBDRN CCC[C@@H]1CCCC[C@H]1NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000077447945 347193269 /nfs/dbraw/zinc/19/32/69/347193269.db2.gz NWUJAOBQNNLEFE-GHMZBOCLSA-N 0 0 294.355 2.715 20 5 CFBDRN CC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@H](O)C(F)(F)F ZINC000270750592 190799272 /nfs/dbraw/zinc/79/92/72/190799272.db2.gz AJSBBJFECNBCKK-XCBNKYQSSA-N 0 0 296.220 2.848 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H](O)c1ccccc1Cl ZINC000077231116 347178227 /nfs/dbraw/zinc/17/82/27/347178227.db2.gz QSQFNAYEHKGXIF-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN CC(C)CC[C@](C)(O)CNc1ccncc1[N+](=O)[O-] ZINC000077235162 347179019 /nfs/dbraw/zinc/17/90/19/347179019.db2.gz PHGNYVXKVMWFSS-ZDUSSCGKSA-N 0 0 267.329 2.589 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNc1ccc([N+](=O)[O-])nc1 ZINC000077235245 347179446 /nfs/dbraw/zinc/17/94/46/347179446.db2.gz FQNYNAJSKMZDBY-CYBMUJFWSA-N 0 0 267.329 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CCO)c2ccccc2)nc1 ZINC000077238249 347179922 /nfs/dbraw/zinc/17/99/22/347179922.db2.gz PRHAMMMDDIFJSA-CYBMUJFWSA-N 0 0 273.292 2.525 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](CCO)c1ccccc1 ZINC000077238180 347180161 /nfs/dbraw/zinc/18/01/61/347180161.db2.gz ILUBWPMRCARGHO-GFCCVEGCSA-N 0 0 273.292 2.525 20 5 CFBDRN Cc1nn(C)c(N2CCC3(CCCC3)CC2)c1[N+](=O)[O-] ZINC000078022293 347225107 /nfs/dbraw/zinc/22/51/07/347225107.db2.gz YRLNCMGOQGDKHG-UHFFFAOYSA-N 0 0 278.356 2.797 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)c1ccc2c(c1)OCO2 ZINC000078432674 347252800 /nfs/dbraw/zinc/25/28/00/347252800.db2.gz ULGBDIBSOTZSHW-VIFPVBQESA-N 0 0 287.275 2.892 20 5 CFBDRN COC1CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000078288143 347237940 /nfs/dbraw/zinc/23/79/40/347237940.db2.gz YPOOASWBWPTAPZ-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000078331319 347241960 /nfs/dbraw/zinc/24/19/60/347241960.db2.gz IXHUFXSLOLMYME-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN Cc1ccccc1[C@H]1CN(c2ncccc2[N+](=O)[O-])CCO1 ZINC000078366817 347246705 /nfs/dbraw/zinc/24/67/05/347246705.db2.gz CQZIENNQAKTKBA-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCOC(C)(C)CC ZINC000308514179 232645734 /nfs/dbraw/zinc/64/57/34/232645734.db2.gz RNEXVTLHGPEHMY-UHFFFAOYSA-N 0 0 284.360 2.737 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@@H]1c1ccc(Cl)cc1 ZINC000078461832 347254661 /nfs/dbraw/zinc/25/46/61/347254661.db2.gz VEAKRWPWAPMRHN-LLVKDONJSA-N 0 0 292.726 2.933 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@H](C)S1 ZINC000078495083 347257363 /nfs/dbraw/zinc/25/73/63/347257363.db2.gz ICCICJBJLUUPLE-YUMQZZPRSA-N 0 0 258.368 2.987 20 5 CFBDRN CCC[C@H](C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000078517027 347258736 /nfs/dbraw/zinc/25/87/36/347258736.db2.gz RBVJTVPSSLEGAK-NSHDSACASA-N 0 0 279.340 2.702 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)CNc1ccccc1[N+](=O)[O-] ZINC000078510892 347259030 /nfs/dbraw/zinc/25/90/30/347259030.db2.gz CHLIMWZXSQSXQM-JTQLQIEISA-N 0 0 266.297 2.594 20 5 CFBDRN CC[C@@H]1CN(c2nccc(C)c2[N+](=O)[O-])CCS1 ZINC000078590352 347264422 /nfs/dbraw/zinc/26/44/22/347264422.db2.gz WXVKBHFJEBJPAM-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1cnc(N(C)C(C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC000078656115 347267176 /nfs/dbraw/zinc/26/71/76/347267176.db2.gz PQYHPSOGXGIYIR-UHFFFAOYSA-N 0 0 261.325 2.923 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](O)Cc1ccccc1 ZINC000078641428 347267288 /nfs/dbraw/zinc/26/72/88/347267288.db2.gz OBSLSUQNRHGYAU-OAHLLOKOSA-N 0 0 286.331 2.919 20 5 CFBDRN Cc1cnc(NC[C@H](O)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000078658729 347268278 /nfs/dbraw/zinc/26/82/78/347268278.db2.gz SQHLKSDEBZNFPZ-ZDUSSCGKSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1cnc(N2CCOC3(CCCCC3)C2)c([N+](=O)[O-])c1 ZINC000078669650 347268975 /nfs/dbraw/zinc/26/89/75/347268975.db2.gz RKNVYNUAHWTJLD-UHFFFAOYSA-N 0 0 291.351 2.838 20 5 CFBDRN CCCC[C@H](COC)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078665260 347268980 /nfs/dbraw/zinc/26/89/80/347268980.db2.gz PWRAUJFYDQFYCN-LLVKDONJSA-N 0 0 267.329 2.915 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000078663497 347269016 /nfs/dbraw/zinc/26/90/16/347269016.db2.gz NUMDFJHLPVECMH-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN CC1CC(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000080050331 347340422 /nfs/dbraw/zinc/34/04/22/347340422.db2.gz NEDAFUODDLWTMP-UHFFFAOYSA-N 0 0 282.727 2.705 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1C/C=C/Cl ZINC000102202274 185772078 /nfs/dbraw/zinc/77/20/78/185772078.db2.gz RAQBMJKZPOXOFI-NSCUHMNNSA-N 0 0 280.509 2.615 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000079543210 347311027 /nfs/dbraw/zinc/31/10/27/347311027.db2.gz VMTJYXZKJUGAQR-LBPRGKRZSA-N 0 0 293.367 2.678 20 5 CFBDRN NC(=O)c1cnc(Oc2ccc([N+](=O)[O-])cc2)c(Cl)c1 ZINC000079543629 347311559 /nfs/dbraw/zinc/31/15/59/347311559.db2.gz YMWBSPNAJWPFGH-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN Cc1ccc(NC(=O)N2CCCSCC2)cc1[N+](=O)[O-] ZINC000079625469 347317287 /nfs/dbraw/zinc/31/72/87/347317287.db2.gz XLWDASNBCUQCGN-UHFFFAOYSA-N 0 0 295.364 2.874 20 5 CFBDRN CC[C@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000081322447 347413223 /nfs/dbraw/zinc/41/32/23/347413223.db2.gz AWGJDWQVSWXCAW-CYBMUJFWSA-N 0 0 265.313 2.575 20 5 CFBDRN CC[C@@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000081321139 347413448 /nfs/dbraw/zinc/41/34/48/347413448.db2.gz WZGSNOYGWAKJQX-ZDUSSCGKSA-N 0 0 266.297 2.840 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000080542208 347365457 /nfs/dbraw/zinc/36/54/57/347365457.db2.gz DXVVOKSLCKIMNY-VIFPVBQESA-N 0 0 270.716 2.782 20 5 CFBDRN CO[C@H]1CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000080611627 347369513 /nfs/dbraw/zinc/36/95/13/347369513.db2.gz SUPNDHZGYWYPFA-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSc2cnccn2)cc1 ZINC000080616944 347370473 /nfs/dbraw/zinc/37/04/73/347370473.db2.gz KMNDNGOSRBCMFX-UHFFFAOYSA-N 0 0 277.305 2.556 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCOCC1CC1 ZINC000080635807 347370943 /nfs/dbraw/zinc/37/09/43/347370943.db2.gz UWVYBMQXDQDTEM-UHFFFAOYSA-N 0 0 280.324 2.580 20 5 CFBDRN Cc1cc(NCC[C@@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000080640441 347371505 /nfs/dbraw/zinc/37/15/05/347371505.db2.gz SZHVPUNKHCUUAA-MRVPVSSYSA-N 0 0 258.705 2.739 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000080638603 347371627 /nfs/dbraw/zinc/37/16/27/347371627.db2.gz IMXNQSZYVPCDBN-GXFFZTMASA-N 0 0 252.314 2.722 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080638635 347371838 /nfs/dbraw/zinc/37/18/38/347371838.db2.gz OHEGAHPMUUZTQE-YGRLFVJLSA-N 0 0 282.340 2.560 20 5 CFBDRN Cc1ccc(NCCOC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000080640821 347371882 /nfs/dbraw/zinc/37/18/82/347371882.db2.gz QOYQBSAMPQUTJE-LBPRGKRZSA-N 0 0 280.324 2.511 20 5 CFBDRN CCC1(O)CCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000080651485 347372560 /nfs/dbraw/zinc/37/25/60/347372560.db2.gz GORZMJIVCQDWGU-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN CO[C@H](CNc1ccc(C)cc1[N+](=O)[O-])C(C)C ZINC000080669085 347373509 /nfs/dbraw/zinc/37/35/09/347373509.db2.gz JOJZGFPWBFLTHY-CYBMUJFWSA-N 0 0 252.314 2.986 20 5 CFBDRN COC[C@@H](Cc1ccccc1)Nc1ccc([N+](=O)[O-])nc1 ZINC000080683860 347374782 /nfs/dbraw/zinc/37/47/82/347374782.db2.gz VAIJCRPVDRIMKF-CQSZACIVSA-N 0 0 287.319 2.659 20 5 CFBDRN C[C@H]1CN(c2nc3sccn3c2[N+](=O)[O-])C(C)(C)C1 ZINC000080684271 347374824 /nfs/dbraw/zinc/37/48/24/347374824.db2.gz IEVDBRALAVWNGP-MRVPVSSYSA-N 0 0 280.353 2.929 20 5 CFBDRN CN(C)c1nc(CNc2ccc([N+](=O)[O-])cc2)cs1 ZINC000080686409 347375718 /nfs/dbraw/zinc/37/57/18/347375718.db2.gz XYCSXWTZFROWBR-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN Cc1nc(COc2ccc(F)cc2[N+](=O)[O-])oc1C ZINC000102599424 185782518 /nfs/dbraw/zinc/78/25/18/185782518.db2.gz WZFHFNSXSVXAIE-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000080947160 347387026 /nfs/dbraw/zinc/38/70/26/347387026.db2.gz QOOXESHGOYHMCG-UHFFFAOYSA-N 0 0 289.291 2.785 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@@H](C)S[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000080953326 347387737 /nfs/dbraw/zinc/38/77/37/347387737.db2.gz YEWBQDANBVTBTM-PHIMTYICSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000080957372 347388982 /nfs/dbraw/zinc/38/89/82/347388982.db2.gz MTNAXEVNUVAWIQ-UWVGGRQHSA-N 0 0 280.349 2.561 20 5 CFBDRN Cc1ccc(NC(=O)CCn2cccc2)cc1[N+](=O)[O-] ZINC000080976031 347391855 /nfs/dbraw/zinc/39/18/55/347391855.db2.gz MGRCQEJZNAQPFE-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@H](O)[C@H]1CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000301779427 290166645 /nfs/dbraw/zinc/16/66/45/290166645.db2.gz MSIDLVRNPAWGTG-UWVGGRQHSA-N 0 0 284.743 2.845 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC23CCC3)cc1[N+](=O)[O-] ZINC000081416836 347417608 /nfs/dbraw/zinc/41/76/08/347417608.db2.gz GOXWMYJTRFFREZ-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC12CCC2 ZINC000081416108 347417791 /nfs/dbraw/zinc/41/77/91/347417791.db2.gz YXKMMPCNNYURMN-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN Cn1ccc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1 ZINC000081446836 347419158 /nfs/dbraw/zinc/41/91/58/347419158.db2.gz RVOAMWHJHSGFFQ-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000083062200 347447875 /nfs/dbraw/zinc/44/78/75/347447875.db2.gz ZDLOYYHOGHKYLO-UHFFFAOYSA-N 0 0 274.280 2.587 20 5 CFBDRN CCOc1cc(NC[C@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000086015248 347484017 /nfs/dbraw/zinc/48/40/17/347484017.db2.gz RNAGWPOTFWORCO-BXUZGUMPSA-N 0 0 294.351 2.957 20 5 CFBDRN CC1CC(C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)C1 ZINC000088231164 347499628 /nfs/dbraw/zinc/49/96/28/347499628.db2.gz WGMVDTJCYYGSHW-UHFFFAOYSA-N 0 0 274.320 2.526 20 5 CFBDRN O=[N+]([O-])c1c(Oc2cccnc2F)nc2sccn21 ZINC000084927842 347476325 /nfs/dbraw/zinc/47/63/25/347476325.db2.gz GQQPKHVZTDPSFC-UHFFFAOYSA-N 0 0 280.240 2.630 20 5 CFBDRN CNC(=O)C1CCC(Nc2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000088715958 347507817 /nfs/dbraw/zinc/50/78/17/347507817.db2.gz MKDDUKJWARAPHW-UHFFFAOYSA-N 0 0 291.351 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](O)C(C)(C)C)c1 ZINC000088722791 347507888 /nfs/dbraw/zinc/50/78/88/347507888.db2.gz RGORMTGJNSKOEH-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@@H]2CCCO2)c1 ZINC000088718385 347508016 /nfs/dbraw/zinc/50/80/16/347508016.db2.gz VLCYMCRAEQFDKN-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CCc1noc(CC)c1CNc1ncccc1[N+](=O)[O-] ZINC000088741861 347508983 /nfs/dbraw/zinc/50/89/83/347508983.db2.gz SCWLPGFFLQFNFZ-UHFFFAOYSA-N 0 0 276.296 2.715 20 5 CFBDRN COc1ccc(CN(C)c2ccccc2[N+](=O)[O-])cc1O ZINC000089636008 347524270 /nfs/dbraw/zinc/52/42/70/347524270.db2.gz BXYYJFSXKCIUMF-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2ccc(O)cc2)n1 ZINC000089635137 347524506 /nfs/dbraw/zinc/52/45/06/347524506.db2.gz IDEHAVUQYFPGIW-UHFFFAOYSA-N 0 0 273.292 2.640 20 5 CFBDRN Cc1cc(N(C)Cc2ccc(O)cc2)ncc1[N+](=O)[O-] ZINC000089635310 347524513 /nfs/dbraw/zinc/52/45/13/347524513.db2.gz JWDUIYSOEVVMSN-UHFFFAOYSA-N 0 0 273.292 2.640 20 5 CFBDRN CCc1nn(C)cc1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000091046925 347568867 /nfs/dbraw/zinc/56/88/67/347568867.db2.gz CKDWLQZPSVSBRB-UHFFFAOYSA-N 0 0 289.295 2.535 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(C)CCCC[C@@H]2O)n1 ZINC000128586880 187378846 /nfs/dbraw/zinc/37/88/46/187378846.db2.gz LEICQFMZDVYQQA-JSGCOSHPSA-N 0 0 279.340 2.651 20 5 CFBDRN CN(C)c1nnc(Sc2ccc([N+](=O)[O-])cc2)s1 ZINC000091483114 347590021 /nfs/dbraw/zinc/59/00/21/347590021.db2.gz UFXURABSIIYPLT-UHFFFAOYSA-N 0 0 282.350 2.664 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000091486176 347590578 /nfs/dbraw/zinc/59/05/78/347590578.db2.gz MLYVTFKBPAIWDZ-VIFPVBQESA-N 0 0 260.297 2.669 20 5 CFBDRN CC(C)C[C@H]1COCCN1c1ccc([N+](=O)[O-])cc1 ZINC000091485368 347590763 /nfs/dbraw/zinc/59/07/63/347590763.db2.gz AESIPPQIPDOYOW-AWEZNQCLSA-N 0 0 264.325 2.846 20 5 CFBDRN CCc1nn(C)c(N(C)CCc2cccs2)c1[N+](=O)[O-] ZINC000091728006 347596129 /nfs/dbraw/zinc/59/61/29/347596129.db2.gz KGGAQCWAQXUQPS-UHFFFAOYSA-N 0 0 294.380 2.631 20 5 CFBDRN CCc1nsc(Oc2ccc([N+](=O)[O-])cc2)n1 ZINC000092581429 347632436 /nfs/dbraw/zinc/63/24/36/347632436.db2.gz AQARQOQISQEHOQ-UHFFFAOYSA-N 0 0 251.267 2.801 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1ccc2n[nH]cc2c1 ZINC000092583316 347633148 /nfs/dbraw/zinc/63/31/48/347633148.db2.gz NMNYZRGAOVSPID-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NC1CC=CC1 ZINC000092601971 347633880 /nfs/dbraw/zinc/63/38/80/347633880.db2.gz UYFPJFXTZURXGN-UHFFFAOYSA-N 0 0 274.320 2.968 20 5 CFBDRN CCc1nsc(Oc2ccc([N+](=O)[O-])cc2OC)n1 ZINC000092640005 347636032 /nfs/dbraw/zinc/63/60/32/347636032.db2.gz DJZIPJMCACPVPG-UHFFFAOYSA-N 0 0 281.293 2.810 20 5 CFBDRN CC[C@H]1COCCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000092655055 347637030 /nfs/dbraw/zinc/63/70/30/347637030.db2.gz YTSVNEVHTHIDKB-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CCCn1cc(Nc2ncc([N+](=O)[O-])cc2C)cn1 ZINC000092658090 347637724 /nfs/dbraw/zinc/63/77/24/347637724.db2.gz QCSXOTSNEDIYKC-UHFFFAOYSA-N 0 0 261.285 2.648 20 5 CFBDRN Cc1cc(NC[C@@H](C)C(=O)OC(C)C)ccc1[N+](=O)[O-] ZINC000092658264 347637882 /nfs/dbraw/zinc/63/78/82/347637882.db2.gz DFZVBNHTDVGUCJ-LLVKDONJSA-N 0 0 280.324 2.903 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(S[C@H](C)[C@@H](C)O)c1 ZINC000092658828 347638543 /nfs/dbraw/zinc/63/85/43/347638543.db2.gz FRMGJEVDZYVMHI-RNFRBKRXSA-N 0 0 275.301 2.604 20 5 CFBDRN CCN(CC)C(=O)CSc1ccc([N+](=O)[O-])c(C)c1 ZINC000092687939 347641037 /nfs/dbraw/zinc/64/10/37/347641037.db2.gz QATIUNSLJMCZIF-UHFFFAOYSA-N 0 0 282.365 2.864 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1ncoc1C ZINC000092692352 347641585 /nfs/dbraw/zinc/64/15/85/347641585.db2.gz DRCRNMRNNHIGQQ-UHFFFAOYSA-N 0 0 277.280 2.650 20 5 CFBDRN CC(C)(F)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000092871695 347649338 /nfs/dbraw/zinc/64/93/38/347649338.db2.gz XCXNBACOKNLPQU-UHFFFAOYSA-N 0 0 266.272 2.622 20 5 CFBDRN C[C@H](Nc1ccccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000092941765 347652873 /nfs/dbraw/zinc/65/28/73/347652873.db2.gz ZZHNUXPUKBILPS-VIFPVBQESA-N 0 0 266.297 2.737 20 5 CFBDRN CCc1nn(C)c(NCCCOC2CCCC2)c1[N+](=O)[O-] ZINC000094151863 347693089 /nfs/dbraw/zinc/69/30/89/347693089.db2.gz YAVIJRCOLYTMPP-UHFFFAOYSA-N 0 0 296.371 2.652 20 5 CFBDRN CCc1nn(C)c(NCc2cc3ccccc3[nH]2)c1[N+](=O)[O-] ZINC000094151634 347693132 /nfs/dbraw/zinc/69/31/32/347693132.db2.gz MUKLQSSZXNEKLU-UHFFFAOYSA-N 0 0 299.334 2.984 20 5 CFBDRN Cc1ncsc1CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000093443807 347674152 /nfs/dbraw/zinc/67/41/52/347674152.db2.gz BFCQGWNQZSTKRZ-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)C[C@@H]1CCCO1 ZINC000108349657 347741134 /nfs/dbraw/zinc/74/11/34/347741134.db2.gz RQCMSDWKKKWXPZ-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@H](O)[C@H]1CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000119510389 347802253 /nfs/dbraw/zinc/80/22/53/347802253.db2.gz JRSFSULTTGCVIJ-QWRGUYRKSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@H](NC(=O)NCCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000121606036 347812405 /nfs/dbraw/zinc/81/24/05/347812405.db2.gz LIVIAFHWUCHWON-NSHDSACASA-N 0 0 291.351 2.625 20 5 CFBDRN CSCCCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000125924325 347831140 /nfs/dbraw/zinc/83/11/40/347831140.db2.gz IDPDLSINPLEPJV-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN CN(CC(F)F)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000103399836 185837170 /nfs/dbraw/zinc/83/71/70/185837170.db2.gz JIYMUFMBLZCXRJ-UHFFFAOYSA-N 0 0 299.277 2.506 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CCCCS1 ZINC000128111649 347848024 /nfs/dbraw/zinc/84/80/24/347848024.db2.gz BEXUJWKNKZQGMD-VIFPVBQESA-N 0 0 253.327 2.687 20 5 CFBDRN CC(C)Cc1ccc(C(=O)Cn2cc([N+](=O)[O-])cn2)cc1 ZINC000128315460 347850115 /nfs/dbraw/zinc/85/01/15/347850115.db2.gz MLMNAWYXYFPCDN-UHFFFAOYSA-N 0 0 287.319 2.873 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000130275958 347869361 /nfs/dbraw/zinc/86/93/61/347869361.db2.gz MIFSGZHBWYLACY-SSDOTTSWSA-N 0 0 268.338 2.883 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000538441867 539587801 /nfs/dbraw/zinc/58/78/01/539587801.db2.gz MYDVFYLKDNHRHY-ZYHUDNBSSA-N 0 0 262.309 2.647 20 5 CFBDRN C[C@H]1OCC[C@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000134266901 347896291 /nfs/dbraw/zinc/89/62/91/347896291.db2.gz LGOSNMFYIJEHBA-MWLCHTKSSA-N 0 0 273.292 2.732 20 5 CFBDRN COC(=O)C1CCC(Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000134598538 347900227 /nfs/dbraw/zinc/90/02/27/347900227.db2.gz LHWDYYWRGYAFHR-UHFFFAOYSA-N 0 0 296.298 2.878 20 5 CFBDRN O=C(NCc1nccs1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000134617058 347900549 /nfs/dbraw/zinc/90/05/49/347900549.db2.gz SRZMVWYLLQMBLJ-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1C ZINC000134708415 347901100 /nfs/dbraw/zinc/90/11/00/347901100.db2.gz SONRKFUTUZMHJT-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN COc1cccc(NCc2cc(C)on2)c1[N+](=O)[O-] ZINC000134914636 347902353 /nfs/dbraw/zinc/90/23/53/347902353.db2.gz KZRJQJOMIIKMKT-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C)C2CCC2)ccc1[N+](=O)[O-] ZINC000132045789 347882262 /nfs/dbraw/zinc/88/22/62/347882262.db2.gz OBIDXXFUNAHSBX-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000144189261 347940048 /nfs/dbraw/zinc/94/00/48/347940048.db2.gz HAHUVZIWMQJWNF-XYPYZODXSA-N 0 0 262.309 2.903 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000138957014 347917896 /nfs/dbraw/zinc/91/78/96/347917896.db2.gz KIKGQMFGEXBPKI-CYBMUJFWSA-N 0 0 291.351 2.925 20 5 CFBDRN CC(C)OC(=O)c1ccc(C(=O)OC(C)C)c([N+](=O)[O-])c1 ZINC000139071444 347918708 /nfs/dbraw/zinc/91/87/08/347918708.db2.gz WGRYDTFDVJDMCG-UHFFFAOYSA-N 0 0 295.291 2.725 20 5 CFBDRN Cc1nnc(CSc2ccc([N+](=O)[O-])cc2)o1 ZINC000149511032 347952641 /nfs/dbraw/zinc/95/26/41/347952641.db2.gz FKRRZGGUXNZMGO-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCc2ccccc21 ZINC000151599476 347963395 /nfs/dbraw/zinc/96/33/95/347963395.db2.gz RFGRHCHUQLADPD-UHFFFAOYSA-N 0 0 282.299 2.727 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)Cc2ccccc2)c(F)c1 ZINC000153906962 347975410 /nfs/dbraw/zinc/97/54/10/347975410.db2.gz PGVLJXKEJSATET-ZDUSSCGKSA-N 0 0 291.278 2.716 20 5 CFBDRN Cc1cc(C(=O)NCc2ccc(C)nc2)cc([N+](=O)[O-])c1 ZINC000148043639 347949416 /nfs/dbraw/zinc/94/94/16/347949416.db2.gz ZNEUPJNLDLMVOI-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1ccc(CCn2cc([N+](=O)[O-])c(C)cc2=O)cc1 ZINC000128683811 187384130 /nfs/dbraw/zinc/38/41/30/187384130.db2.gz QSJHOLXRPZOZGN-UHFFFAOYSA-N 0 0 272.304 2.616 20 5 CFBDRN CCO[C@H](CC)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162026061 348028184 /nfs/dbraw/zinc/02/81/84/348028184.db2.gz GBJLPFVEEUYNES-CQSZACIVSA-N 0 0 292.335 2.689 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])o2)C[C@@H](C)C1 ZINC000158051074 348004111 /nfs/dbraw/zinc/00/41/11/348004111.db2.gz DPMRGQKVHFCECA-ZJUUUORDSA-N 0 0 266.297 2.696 20 5 CFBDRN CN(C(=O)c1ccncc1Cl)c1cccc([N+](=O)[O-])c1 ZINC000158361235 348006385 /nfs/dbraw/zinc/00/63/85/348006385.db2.gz UASKISJCNCUQMD-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])c1ncc[nH]1 ZINC000160236501 348017263 /nfs/dbraw/zinc/01/72/63/348017263.db2.gz IPKKODMQTDSBRG-SSDOTTSWSA-N 0 0 250.233 2.630 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H](C)C2CC2)c1 ZINC000167324366 348044938 /nfs/dbraw/zinc/04/49/38/348044938.db2.gz MPHQDFXTROVKQU-SECBINFHSA-N 0 0 278.308 2.839 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000167222004 348045380 /nfs/dbraw/zinc/04/53/80/348045380.db2.gz AYPQRAHQOLHFLF-DTWKUNHWSA-N 0 0 258.281 2.606 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000412121473 232849103 /nfs/dbraw/zinc/84/91/03/232849103.db2.gz ITGFYJSLOCZPIH-LWWSYDQCSA-N 0 0 288.347 2.955 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000171875091 348083128 /nfs/dbraw/zinc/08/31/28/348083128.db2.gz LPLGYZFJJJRXKX-SNVBAGLBSA-N 0 0 250.298 2.713 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)cn1 ZINC000172415265 348093727 /nfs/dbraw/zinc/09/37/27/348093727.db2.gz KKEZTZVCTHOVRL-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000128754073 187387577 /nfs/dbraw/zinc/38/75/77/187387577.db2.gz XGISDUOGVYZXJD-KWQFWETISA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC1C[C@H](C)O[C@@H](C)C1 ZINC000195043100 348145350 /nfs/dbraw/zinc/14/53/50/348145350.db2.gz JSJDZYXMZDPYHL-QWRGUYRKSA-N 0 0 292.335 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2c3ccccc3C[C@@H]2O)c(F)c1 ZINC000209062300 348157360 /nfs/dbraw/zinc/15/73/60/348157360.db2.gz LMPDGWSATDDLSZ-GJZGRUSLSA-N 0 0 288.278 2.804 20 5 CFBDRN COc1cc(N[C@H]2C[C@H](OC)C2(C)C)c(F)cc1[N+](=O)[O-] ZINC000218868885 348167895 /nfs/dbraw/zinc/16/78/95/348167895.db2.gz YDLRWOKPXISVAC-STQMWFEESA-N 0 0 298.314 2.968 20 5 CFBDRN CC(=O)c1cc(N(C)CC2CCOCC2)ccc1[N+](=O)[O-] ZINC000228260538 348194982 /nfs/dbraw/zinc/19/49/82/348194982.db2.gz SKTICBVVVKMQOD-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H]2CCOC2)c1 ZINC000230104680 348204496 /nfs/dbraw/zinc/20/44/96/348204496.db2.gz VRHDPUMCKBYYCR-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@@H]2C2CC2)c1 ZINC000230308989 348204968 /nfs/dbraw/zinc/20/49/68/348204968.db2.gz BKOPNWDSMVBJFE-SMDDNHRTSA-N 0 0 262.309 2.883 20 5 CFBDRN CCOc1cc(N[C@H]2CCO[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230307374 348205241 /nfs/dbraw/zinc/20/52/41/348205241.db2.gz JXHYJAJMNJWUMP-SWLSCSKDSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1nc(NCC(F)(F)C(F)F)ccc1[N+](=O)[O-] ZINC000230713304 348207103 /nfs/dbraw/zinc/20/71/03/348207103.db2.gz KWEYWSQBTYVLBB-UHFFFAOYSA-N 0 0 267.182 2.611 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000273332166 192115656 /nfs/dbraw/zinc/11/56/56/192115656.db2.gz URCDXJURAWCKAX-UHFFFAOYSA-N 0 0 292.669 2.975 20 5 CFBDRN C[C@H]1C[C@H](Nc2c([N+](=O)[O-])ncn2C)C[C@@H](C)C1 ZINC000251679672 348293186 /nfs/dbraw/zinc/29/31/86/348293186.db2.gz AKKRTOKEYPNGMW-MYJAWHEDSA-N 0 0 252.318 2.565 20 5 CFBDRN CC(C)(O)CNc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000087029529 179382608 /nfs/dbraw/zinc/38/26/08/179382608.db2.gz POXGLDOJFXKCGY-UHFFFAOYSA-N 0 0 278.230 2.796 20 5 CFBDRN C[C@H](CC[S@@](C)=O)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000128841670 187392930 /nfs/dbraw/zinc/39/29/30/187392930.db2.gz SAALFULCWIQCII-CVJBHZAOSA-N 0 0 290.772 2.817 20 5 CFBDRN O=C(C=C1CCC1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000087084693 179393235 /nfs/dbraw/zinc/39/32/35/179393235.db2.gz ZIDDYJFPQNEPNZ-UHFFFAOYSA-N 0 0 268.219 2.922 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(CCC2CC2)no1 ZINC000289753530 348350617 /nfs/dbraw/zinc/35/06/17/348350617.db2.gz OSJBJYVPSBZSMA-UHFFFAOYSA-N 0 0 289.291 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C[C@H]1CCCCO1 ZINC000087167512 179398792 /nfs/dbraw/zinc/39/87/92/179398792.db2.gz KQKGCQMGDFOEOI-GFCCVEGCSA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@H](O)[C@H](C)Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000304333624 348379462 /nfs/dbraw/zinc/37/94/62/348379462.db2.gz HYPRKRXLHBZFTJ-WDSKDSINSA-N 0 0 262.718 2.505 20 5 CFBDRN CNc1nnc(Sc2sccc2[N+](=O)[O-])s1 ZINC000309656839 348406964 /nfs/dbraw/zinc/40/69/64/348406964.db2.gz QATAAWWRTKCSSC-UHFFFAOYSA-N 0 0 274.352 2.701 20 5 CFBDRN COc1cccc(N[C@H]2CCS[C@@H]2C)c1[N+](=O)[O-] ZINC000310842717 348411094 /nfs/dbraw/zinc/41/10/94/348411094.db2.gz KWVSZWMVDQRISJ-BDAKNGLRSA-N 0 0 268.338 2.909 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000311021938 348411430 /nfs/dbraw/zinc/41/14/30/348411430.db2.gz UWUGBGUSNRSPDE-HTQZYQBOSA-N 0 0 271.704 2.623 20 5 CFBDRN Nc1ccc(N2CCC[C@H]2C2CCCC2)nc1[N+](=O)[O-] ZINC000312917962 348421411 /nfs/dbraw/zinc/42/14/11/348421411.db2.gz CIGRBRJGRKMVRB-LBPRGKRZSA-N 0 0 276.340 2.731 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000270978625 190891435 /nfs/dbraw/zinc/89/14/35/190891435.db2.gz RIHMPLHUGHRPNN-VXGBXAGGSA-N 0 0 276.336 2.642 20 5 CFBDRN COC[C@@H](C)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413080943 233015544 /nfs/dbraw/zinc/01/55/44/233015544.db2.gz GFTPAEPRDOSBMD-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN C[C@@]1(CNc2ncnc3sc([N+](=O)[O-])cc32)CCCO1 ZINC000312667228 348420391 /nfs/dbraw/zinc/42/03/91/348420391.db2.gz WVJXJHHWDXMOMD-LBPRGKRZSA-N 0 0 294.336 2.581 20 5 CFBDRN CC(C)(C)OCCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000308536219 348404268 /nfs/dbraw/zinc/40/42/68/348404268.db2.gz ZTMDCYBOVAARJP-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN Cc1csc([C@@H](C)CNc2ccc([N+](=O)[O-])cn2)n1 ZINC000273373158 192131020 /nfs/dbraw/zinc/13/10/20/192131020.db2.gz XXGORHQEXQFQDE-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN C[C@H](Sc1ncnn1C)c1ccccc1[N+](=O)[O-] ZINC000273378727 192131981 /nfs/dbraw/zinc/13/19/81/192131981.db2.gz GHIMKKKXLIYTLZ-QMMMGPOBSA-N 0 0 264.310 2.577 20 5 CFBDRN COc1ccnc(CN2CCc3ccc([N+](=O)[O-])cc32)c1 ZINC000273417349 192142943 /nfs/dbraw/zinc/14/29/43/192142943.db2.gz QCIACMBEBWHJAQ-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000596840874 349995914 /nfs/dbraw/zinc/99/59/14/349995914.db2.gz DOAWZGMYKYSZON-MPKXVKKWSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1ccncc1CC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000596841699 349996114 /nfs/dbraw/zinc/99/61/14/349996114.db2.gz KENPNWIQMWWHTL-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@]12C[C@@H]1CCC2 ZINC000596845427 349996908 /nfs/dbraw/zinc/99/69/08/349996908.db2.gz UIPRAWZAIRPNQK-XPTSAGLGSA-N 0 0 291.307 2.668 20 5 CFBDRN CC[C@@H](O)CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000394155412 348547710 /nfs/dbraw/zinc/54/77/10/348547710.db2.gz QDSYLHGREWXUMX-MRVPVSSYSA-N 0 0 260.240 2.715 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(Cc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000351427359 348496007 /nfs/dbraw/zinc/49/60/07/348496007.db2.gz QSIILALWNSXKRL-XVKPBYJWSA-N 0 0 277.255 2.831 20 5 CFBDRN CSCC1(Cc2nc(-c3ccc([N+](=O)[O-])o3)no2)CC1 ZINC000351441839 348496078 /nfs/dbraw/zinc/49/60/78/348496078.db2.gz CEVJGKAEGOLMPT-UHFFFAOYSA-N 0 0 295.320 2.924 20 5 CFBDRN COC[C@@H](C)Cc1noc(-c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000351468860 348496843 /nfs/dbraw/zinc/49/68/43/348496843.db2.gz UPKYNEHJDCKLFR-JTQLQIEISA-N 0 0 291.307 2.778 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1ncc([N+](=O)[O-])cc1F ZINC000413206287 233034376 /nfs/dbraw/zinc/03/43/76/233034376.db2.gz PNQJHURTZZMRLX-SSDOTTSWSA-N 0 0 294.286 2.949 20 5 CFBDRN COC[C@@H]1CCN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000413159506 233025365 /nfs/dbraw/zinc/02/53/65/233025365.db2.gz WXWFAGGILSWTKJ-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413157173 233025883 /nfs/dbraw/zinc/02/58/83/233025883.db2.gz TXUYDRQETZTPLS-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@H]1CCC(F)(F)C1 ZINC000401126325 348577103 /nfs/dbraw/zinc/57/71/03/348577103.db2.gz MFPYCFSUESYGTO-ZETCQYMHSA-N 0 0 261.203 2.729 20 5 CFBDRN CC(C)COCCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000401164695 348578239 /nfs/dbraw/zinc/57/82/39/348578239.db2.gz NQEXDYLRHFXCTB-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN Cc1nc(NC[C@@H]2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000403479240 348585237 /nfs/dbraw/zinc/58/52/37/348585237.db2.gz RFNHDAIAYQIWMD-GRYCIOLGSA-N 0 0 261.325 2.568 20 5 CFBDRN CN(Cc1ccns1)Cc1ccc([N+](=O)[O-])cc1F ZINC000404510240 348592129 /nfs/dbraw/zinc/59/21/29/348592129.db2.gz QCENNZJTIBJBSY-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273445040 192153380 /nfs/dbraw/zinc/15/33/80/192153380.db2.gz JZYMXRSJNZXLKN-DTWKUNHWSA-N 0 0 281.287 2.996 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])cc2F)CCO[C@@H]1C1CC1 ZINC000413236477 233039162 /nfs/dbraw/zinc/03/91/62/233039162.db2.gz RFRDJURUEVJLDB-OCCSQVGLSA-N 0 0 295.314 2.746 20 5 CFBDRN CC(=O)c1cc(N2CCC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000400338386 348572769 /nfs/dbraw/zinc/57/27/69/348572769.db2.gz MIBYOECBIHCQHT-UHFFFAOYSA-N 0 0 260.293 2.788 20 5 CFBDRN O=C(NCCC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000088455746 179607841 /nfs/dbraw/zinc/60/78/41/179607841.db2.gz QMRSOAQDFUVTHB-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1SCCCSC ZINC000413311464 233051340 /nfs/dbraw/zinc/05/13/40/233051340.db2.gz DPGQRFQVQDTIHC-UHFFFAOYSA-N 0 0 275.399 2.965 20 5 CFBDRN Cc1cnc(N[C@H]2C[C@@H](OC(C)C)C2)c([N+](=O)[O-])c1 ZINC000413384375 233064310 /nfs/dbraw/zinc/06/43/10/233064310.db2.gz DWIIOOULTGRRGV-PHIMTYICSA-N 0 0 265.313 2.666 20 5 CFBDRN CSC[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273474278 192165467 /nfs/dbraw/zinc/16/54/67/192165467.db2.gz PGNQALQPAQDNEN-SSDOTTSWSA-N 0 0 287.316 2.607 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC1(C2CCC2)CCC1 ZINC000413342062 233056771 /nfs/dbraw/zinc/05/67/71/233056771.db2.gz RTMQDSFDNMAZID-UHFFFAOYSA-N 0 0 264.329 2.711 20 5 CFBDRN CC(C)C(C)(C)CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000588027517 348767324 /nfs/dbraw/zinc/76/73/24/348767324.db2.gz QTYPTFHFWAOSJG-UHFFFAOYSA-N 0 0 281.356 2.763 20 5 CFBDRN Cc1cc(N2CCC3(CCOC3)CC2)ccc1[N+](=O)[O-] ZINC000588040204 348767813 /nfs/dbraw/zinc/76/78/13/348767813.db2.gz SFLAIMYMAKLLNX-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H](C(F)F)C1 ZINC000588040604 348768159 /nfs/dbraw/zinc/76/81/59/348768159.db2.gz GCWZSWGOGWKLEG-ZETCQYMHSA-N 0 0 260.215 2.825 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1cc2c(nn1)CCC2 ZINC000588063409 348769300 /nfs/dbraw/zinc/76/93/00/348769300.db2.gz CQJYWKTXBGUIDO-UHFFFAOYSA-N 0 0 298.346 2.552 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000588065798 348769374 /nfs/dbraw/zinc/76/93/74/348769374.db2.gz CALVMAUGEQNJQZ-IINYFYTJSA-N 0 0 260.293 2.786 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000588180610 348778367 /nfs/dbraw/zinc/77/83/67/348778367.db2.gz FKSOYZHSBVTMFX-LLVKDONJSA-N 0 0 284.262 2.821 20 5 CFBDRN COC1CCC(Nc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000413424118 233070138 /nfs/dbraw/zinc/07/01/38/233070138.db2.gz WJZGDQASXDORCD-UHFFFAOYSA-N 0 0 265.313 2.668 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H](c2ccco2)C1 ZINC000588816599 348796887 /nfs/dbraw/zinc/79/68/87/348796887.db2.gz ICROPMYBBYSCTO-NSHDSACASA-N 0 0 290.323 2.707 20 5 CFBDRN Cc1nc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)co1 ZINC000588824599 348797496 /nfs/dbraw/zinc/79/74/96/348797496.db2.gz AWCILISQUUTOGO-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)CC(C)(C)C1 ZINC000588827553 348797613 /nfs/dbraw/zinc/79/76/13/348797613.db2.gz DEHIJRZTCWFODB-SNVBAGLBSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1C[C@H]1C(C)C ZINC000413432993 233072481 /nfs/dbraw/zinc/07/24/81/233072481.db2.gz ISBLRRAJVSBNBK-VHSXEESVSA-N 0 0 252.318 2.576 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)C12CCC2 ZINC000413439511 233072754 /nfs/dbraw/zinc/07/27/54/233072754.db2.gz KBUGMCLWPKXCDB-VXGBXAGGSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc(C(=O)NCc2ccccc2[N+](=O)[O-])cs1 ZINC000586512458 348746839 /nfs/dbraw/zinc/74/68/39/348746839.db2.gz OIPZRAQOYRAZBJ-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc([N+](=O)[O-])c2)cs1 ZINC000586756760 348748456 /nfs/dbraw/zinc/74/84/56/348748456.db2.gz VIHNOAPCYLRMSI-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2sccc2[N+](=O)[O-])C1 ZINC000588862702 348799789 /nfs/dbraw/zinc/79/97/89/348799789.db2.gz CGBRZABHNOJHIT-GFCCVEGCSA-N 0 0 270.354 2.645 20 5 CFBDRN CSc1ccc(C(=O)N2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000586890110 348759386 /nfs/dbraw/zinc/75/93/86/348759386.db2.gz KJKRZQDGXDRCAG-KOLCDFICSA-N 0 0 292.360 2.941 20 5 CFBDRN COC1(c2noc(-c3ccc([N+](=O)[O-])cc3)n2)CCC1 ZINC000273951045 192375997 /nfs/dbraw/zinc/37/59/97/192375997.db2.gz IWJGMIXKGVDOJD-UHFFFAOYSA-N 0 0 275.264 2.670 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000586898540 348760001 /nfs/dbraw/zinc/76/00/01/348760001.db2.gz NVFXEVHKXIOESJ-RISCZKNCSA-N 0 0 288.347 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H]3C[C@H]32)c1 ZINC000586897568 348760021 /nfs/dbraw/zinc/76/00/21/348760021.db2.gz CRHCDVAPKRLFIZ-GXFFZTMASA-N 0 0 260.293 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cc3cc[nH]c3cn2)cc1 ZINC000587368611 348761998 /nfs/dbraw/zinc/76/19/98/348761998.db2.gz BNJNUVCZJVSGCQ-UHFFFAOYSA-N 0 0 268.276 2.505 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587446726 348762088 /nfs/dbraw/zinc/76/20/88/348762088.db2.gz YSQNZELVOIUTHY-QWRGUYRKSA-N 0 0 293.367 2.908 20 5 CFBDRN CCO[C@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000587483549 348762758 /nfs/dbraw/zinc/76/27/58/348762758.db2.gz FNWYITBIWBGAFU-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN C[C@H]1C[C@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C[C@@H](C)C1 ZINC000587982726 348764157 /nfs/dbraw/zinc/76/41/57/348764157.db2.gz SAXUWMJECYNRJL-IAZYJMLFSA-N 0 0 293.367 2.906 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC([C@H]2CCOC2)CC1 ZINC000588015609 348766529 /nfs/dbraw/zinc/76/65/29/348766529.db2.gz QLXHSRHBEDVMDS-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN C[C@@H](C(=O)N(C)c1ccc([N+](=O)[O-])nc1)C1CCCC1 ZINC000588984650 348807315 /nfs/dbraw/zinc/80/73/15/348807315.db2.gz IFKMDLWEMCPEOS-SNVBAGLBSA-N 0 0 277.324 2.779 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CCC[C@@H]1C1CC1 ZINC000413476809 233079924 /nfs/dbraw/zinc/07/99/24/233079924.db2.gz FPSAHNKOOWNCDK-GHMZBOCLSA-N 0 0 264.329 2.567 20 5 CFBDRN COC1([C@@H](C)Nc2nc3sccn3c2[N+](=O)[O-])CCC1 ZINC000413566397 233096597 /nfs/dbraw/zinc/09/65/97/233096597.db2.gz XBGQHFGMAIHJKX-MRVPVSSYSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CO[C@@H](C)C2)n1 ZINC000589127234 348812419 /nfs/dbraw/zinc/81/24/19/348812419.db2.gz RIKIIENGEBPHKX-UWVGGRQHSA-N 0 0 289.291 2.846 20 5 CFBDRN C[C@@H](c1nc(-c2cncn2C)no1)c1cccc([N+](=O)[O-])c1 ZINC000589147346 348813266 /nfs/dbraw/zinc/81/32/66/348813266.db2.gz FENNDVCWLKDWRQ-SECBINFHSA-N 0 0 299.290 2.530 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc([C@H]3C[C@@H]3C)n2)c1 ZINC000589148491 348813314 /nfs/dbraw/zinc/81/33/14/348813314.db2.gz BHSYFQCIBWHGIZ-KWQFWETISA-N 0 0 289.291 2.701 20 5 CFBDRN COCC[C@H](C)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000413498085 233084037 /nfs/dbraw/zinc/08/40/37/233084037.db2.gz WWGJUUNSANDTJT-NSHDSACASA-N 0 0 252.314 2.988 20 5 CFBDRN COCC[C@@H](C)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000413498084 233084832 /nfs/dbraw/zinc/08/48/32/233084832.db2.gz WWGJUUNSANDTJT-LLVKDONJSA-N 0 0 252.314 2.988 20 5 CFBDRN COC1([C@H](C)Nc2nc3sccn3c2[N+](=O)[O-])CCC1 ZINC000413566399 233096990 /nfs/dbraw/zinc/09/69/90/233096990.db2.gz XBGQHFGMAIHJKX-QMMMGPOBSA-N 0 0 296.352 2.674 20 5 CFBDRN COC1([C@@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)CCC1 ZINC000413559621 233095952 /nfs/dbraw/zinc/09/59/52/233095952.db2.gz JXKOAEYAWGNPCL-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc(CC(N)=O)cc2)c1 ZINC000589601118 348841858 /nfs/dbraw/zinc/84/18/58/348841858.db2.gz VPZRODUHADLMGS-UHFFFAOYSA-N 0 0 299.330 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@@H](C(F)F)C3)nc2c1 ZINC000589600364 348841931 /nfs/dbraw/zinc/84/19/31/348841931.db2.gz YFPAAQSULIQDKG-SSDOTTSWSA-N 0 0 282.250 2.563 20 5 CFBDRN COc1ccc(CNc2ccsc2[N+](=O)[O-])c(OC)n1 ZINC000589608614 348842630 /nfs/dbraw/zinc/84/26/30/348842630.db2.gz RXSACUDFZCCFOH-UHFFFAOYSA-N 0 0 295.320 2.681 20 5 CFBDRN CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CC=CCC1 ZINC000589635382 348843890 /nfs/dbraw/zinc/84/38/90/348843890.db2.gz FBIBWVZKXLILNX-UHFFFAOYSA-N 0 0 299.334 2.640 20 5 CFBDRN Cc1cc(N2CC[C@]3(CCOC3)C2)c(F)cc1[N+](=O)[O-] ZINC000589688867 348850016 /nfs/dbraw/zinc/85/00/16/348850016.db2.gz SLGPUJXCVPTICM-AWEZNQCLSA-N 0 0 280.299 2.659 20 5 CFBDRN C[C@H](NC(=O)N1CC(C2CC2)C1)c1ccccc1[N+](=O)[O-] ZINC000589791328 348856956 /nfs/dbraw/zinc/85/69/56/348856956.db2.gz AAYCGIAJGSPFGV-JTQLQIEISA-N 0 0 289.335 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCc2cccnc21 ZINC000589829502 348858783 /nfs/dbraw/zinc/85/87/83/348858783.db2.gz NYXYRMDWJOMEGI-CYBMUJFWSA-N 0 0 297.314 2.967 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])s2)CCOCC1 ZINC000589869696 348862563 /nfs/dbraw/zinc/86/25/63/348862563.db2.gz SFPWNKCOCDBVMH-UHFFFAOYSA-N 0 0 286.353 2.511 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC(F)(c2ccccc2F)C1 ZINC000589872945 348862738 /nfs/dbraw/zinc/86/27/38/348862738.db2.gz JCCUUJPNVARJOW-UHFFFAOYSA-N 0 0 291.257 2.814 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1sccc1[N+](=O)[O-] ZINC000590062792 348872590 /nfs/dbraw/zinc/87/25/90/348872590.db2.gz DYAWMCJPVHIDRZ-SECBINFHSA-N 0 0 285.369 2.621 20 5 CFBDRN CCc1nn(C)c(N2CC(C3CCCCC3)C2)c1[N+](=O)[O-] ZINC000590146862 348878365 /nfs/dbraw/zinc/87/83/65/348878365.db2.gz JKDDXUURJCNVKY-UHFFFAOYSA-N 0 0 292.383 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@@H]2CCC[C@H]2O)s1 ZINC000590714993 348934439 /nfs/dbraw/zinc/93/44/39/348934439.db2.gz CLTHCGNLKPCIFN-HBNTYKKESA-N 0 0 282.365 2.786 20 5 CFBDRN CO[C@@H]1CCN(c2sccc2[N+](=O)[O-])C[C@H]1C ZINC000590717611 348934806 /nfs/dbraw/zinc/93/48/06/348934806.db2.gz OKBJWMDZRMXJCO-PSASIEDQSA-N 0 0 256.327 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]([C@H](CO)C2CC2)C2CC2)s1 ZINC000590719270 348935870 /nfs/dbraw/zinc/93/58/70/348935870.db2.gz PXFJUAPDIKMXHJ-MFKMUULPSA-N 0 0 282.365 2.865 20 5 CFBDRN COc1cccc2c1CN(c1ncc(C)cc1[N+](=O)[O-])CC2 ZINC000590723235 348936938 /nfs/dbraw/zinc/93/69/38/348936938.db2.gz ZFHPLPNOLJWGLT-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN C[C@@H]1C[C@H](Nc2sccc2[N+](=O)[O-])c2nccn21 ZINC000590723724 348937196 /nfs/dbraw/zinc/93/71/96/348937196.db2.gz NPQBSXOCWHEZLB-SFYZADRCSA-N 0 0 264.310 2.971 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H]1CCCC[C@@H]1O ZINC000590724239 348937268 /nfs/dbraw/zinc/93/72/68/348937268.db2.gz MMRGJUUXZQFSLU-SCZZXKLOSA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@]3(CCOC3)C2)s1 ZINC000590730207 348938805 /nfs/dbraw/zinc/93/88/05/348938805.db2.gz JXFSPLMPBREFQI-GFCCVEGCSA-N 0 0 268.338 2.663 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1sccc1[N+](=O)[O-] ZINC000590736678 348939432 /nfs/dbraw/zinc/93/94/32/348939432.db2.gz RDDWNGDLFMXUGG-UHFFFAOYSA-N 0 0 258.343 2.865 20 5 CFBDRN CC1(CC(F)(F)F)CN(c2cccnc2[N+](=O)[O-])C1 ZINC000590739237 348940080 /nfs/dbraw/zinc/94/00/80/348940080.db2.gz LPNGHLIPJJCKSY-UHFFFAOYSA-N 0 0 275.230 2.769 20 5 CFBDRN COC[C@H]1CCCN(c2sccc2[N+](=O)[O-])CC1 ZINC000590738628 348940107 /nfs/dbraw/zinc/94/01/07/348940107.db2.gz WXSVIZSMLZLXOB-JTQLQIEISA-N 0 0 270.354 2.909 20 5 CFBDRN Cc1c(C(=O)N2CC[C@H]2C(F)(F)F)cccc1[N+](=O)[O-] ZINC000590377297 348897421 /nfs/dbraw/zinc/89/74/21/348897421.db2.gz GXEPEUNMZFKPPH-JTQLQIEISA-N 0 0 288.225 2.680 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000590455884 348901726 /nfs/dbraw/zinc/90/17/26/348901726.db2.gz QARFKGXJCOCJER-VHSXEESVSA-N 0 0 262.309 2.602 20 5 CFBDRN CC(=O)CCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000590550043 348908639 /nfs/dbraw/zinc/90/86/39/348908639.db2.gz KMAZRLKUYCTYDR-UHFFFAOYSA-N 0 0 264.281 2.519 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])nc1)c1ccncc1 ZINC000590696403 348930224 /nfs/dbraw/zinc/93/02/24/348930224.db2.gz UKYQJYVNWGSDAK-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(C(F)F)CCCC2)cn1 ZINC000590703156 348930919 /nfs/dbraw/zinc/93/09/19/348930919.db2.gz YYGNETOMNAEFLL-UHFFFAOYSA-N 0 0 257.240 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(SC[C@H]3CCCO3)nc2c1 ZINC000590699533 348930967 /nfs/dbraw/zinc/93/09/67/348930967.db2.gz WCYBEUNUTRXLDC-SECBINFHSA-N 0 0 279.321 2.742 20 5 CFBDRN CC(C)C1CN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000591071345 348984619 /nfs/dbraw/zinc/98/46/19/348984619.db2.gz FCFCOUGYQGESRN-LSDHHAIUSA-N 0 0 288.347 2.813 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CC(C)(F)C2)ccc1[N+](=O)[O-] ZINC000591079461 348985200 /nfs/dbraw/zinc/98/52/00/348985200.db2.gz KMZWHPGSLYDRJV-UHFFFAOYSA-N 0 0 296.298 2.566 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@H](C2CCC2)C1 ZINC000591127458 348990283 /nfs/dbraw/zinc/99/02/83/348990283.db2.gz UCMQIOYVXMEYRL-LBPRGKRZSA-N 0 0 274.320 2.857 20 5 CFBDRN CC(C)[C@H](O)CSc1ccc([N+](=O)[O-])cc1F ZINC000591128927 348991239 /nfs/dbraw/zinc/99/12/39/348991239.db2.gz YUBOEAPHPHLHDT-SNVBAGLBSA-N 0 0 259.302 2.843 20 5 CFBDRN C[C@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)C[C@H](C)O1 ZINC000591136817 348991687 /nfs/dbraw/zinc/99/16/87/348991687.db2.gz OXPULJYSHLAMKJ-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2F)C12CCC2 ZINC000591147729 348992277 /nfs/dbraw/zinc/99/22/77/348992277.db2.gz GRTWXSICFGKFLJ-NEPJUHHUSA-N 0 0 295.314 2.889 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2F)C12CCC2 ZINC000591147731 348992423 /nfs/dbraw/zinc/99/24/23/348992423.db2.gz GRTWXSICFGKFLJ-RYUDHWBXSA-N 0 0 295.314 2.889 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1F)C1CCOCC1 ZINC000591150948 348993526 /nfs/dbraw/zinc/99/35/26/348993526.db2.gz YJRDEJJLOWCPAU-LBPRGKRZSA-N 0 0 283.303 2.746 20 5 CFBDRN CO[C@]1(C)CCCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000591159707 348994896 /nfs/dbraw/zinc/99/48/96/348994896.db2.gz OOCGQKNLAIAAHF-CYBMUJFWSA-N 0 0 283.303 2.524 20 5 CFBDRN CCOc1cc(N2CCC[C@@H]3COC[C@@H]32)ccc1[N+](=O)[O-] ZINC000591183149 348997773 /nfs/dbraw/zinc/99/77/73/348997773.db2.gz DUBKIVUNGOJQBC-RISCZKNCSA-N 0 0 292.335 2.609 20 5 CFBDRN CCc1nn(C)c(N2CC(C)(C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000591197178 348999164 /nfs/dbraw/zinc/99/91/64/348999164.db2.gz JXZNUEHMEUWSOL-VIFPVBQESA-N 0 0 266.345 2.516 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CCC[C@H](CO)C1 ZINC000591201031 348999760 /nfs/dbraw/zinc/99/97/60/348999760.db2.gz QKHZGCRZCINEKN-IUCAKERBSA-N 0 0 256.327 2.619 20 5 CFBDRN CN(Cc1noc(C2CC2)n1)c1sccc1[N+](=O)[O-] ZINC000591201805 348999839 /nfs/dbraw/zinc/99/98/39/348999839.db2.gz CKAVIPSOBHWOCJ-UHFFFAOYSA-N 0 0 280.309 2.553 20 5 CFBDRN COc1cc(C(=O)NC2(C3(C)CC3)CC2)ccc1[N+](=O)[O-] ZINC000590883479 348961753 /nfs/dbraw/zinc/96/17/53/348961753.db2.gz WKIAIMIYOIOHDV-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CC[C@]1(C(C)C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000590893976 348963416 /nfs/dbraw/zinc/96/34/16/348963416.db2.gz FMKDSJLJTCBJSE-TZMCWYRMSA-N 0 0 277.324 2.544 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@@H](C)C[C@H]1C ZINC000591830357 349049261 /nfs/dbraw/zinc/04/92/61/349049261.db2.gz JCUIBQOOUGTWFH-NXEZZACHSA-N 0 0 266.345 2.744 20 5 CFBDRN Cc1sc(-c2nc([C@@H]3CCCOC3)no2)cc1[N+](=O)[O-] ZINC000591652138 349035985 /nfs/dbraw/zinc/03/59/85/349035985.db2.gz VZSIWACVWDOPGD-MRVPVSSYSA-N 0 0 295.320 2.909 20 5 CFBDRN CCOC(=O)Cc1ccc(NC[C@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000591897264 349056624 /nfs/dbraw/zinc/05/66/24/349056624.db2.gz YNYSVTWMGJVQFL-ZYHUDNBSSA-N 0 0 292.335 2.768 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1COC ZINC000591981680 349069311 /nfs/dbraw/zinc/06/93/11/349069311.db2.gz MYQZBVOEIGVZDT-YPMHNXCESA-N 0 0 296.367 2.950 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000591984107 349069710 /nfs/dbraw/zinc/06/97/10/349069710.db2.gz XKMZFEPZNRDWHP-BDAKNGLRSA-N 0 0 258.343 2.865 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000591984160 349069853 /nfs/dbraw/zinc/06/98/53/349069853.db2.gz YMJVTVZTZBHYLT-PSASIEDQSA-N 0 0 287.747 2.852 20 5 CFBDRN Cc1ccnc(N2CC3(C[C@@H]2C)CCOCC3)c1[N+](=O)[O-] ZINC000591993960 349071799 /nfs/dbraw/zinc/07/17/99/349071799.db2.gz ZTQOJGJGFHFSRA-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@H](C)OC3(CCC3)C2)n1 ZINC000591995003 349071937 /nfs/dbraw/zinc/07/19/37/349071937.db2.gz KUIMOMKPXVGIPL-LBPRGKRZSA-N 0 0 291.351 2.754 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NC3(CF)CCC3)nc2c1 ZINC000591997711 349072560 /nfs/dbraw/zinc/07/25/60/349072560.db2.gz QKEITDNEMXOZNO-UHFFFAOYSA-N 0 0 264.260 2.775 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000592120666 349085978 /nfs/dbraw/zinc/08/59/78/349085978.db2.gz JAYXJYXCIULDOB-CKYFFXLPSA-N 0 0 275.308 2.905 20 5 CFBDRN C[C@H]1SCC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000592120751 349086218 /nfs/dbraw/zinc/08/62/18/349086218.db2.gz AFFGLUCDAGPPND-KCJUWKMLSA-N 0 0 281.337 2.610 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000592120670 349086268 /nfs/dbraw/zinc/08/62/68/349086268.db2.gz JAYXJYXCIULDOB-JBLDHEPKSA-N 0 0 275.308 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCS[C@@H]1C ZINC000592122993 349087009 /nfs/dbraw/zinc/08/70/09/349087009.db2.gz OURGKFKSRFSZGU-KOLCDFICSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCS[C@@H]1C ZINC000592122994 349087352 /nfs/dbraw/zinc/08/73/52/349087352.db2.gz OURGKFKSRFSZGU-MWLCHTKSSA-N 0 0 295.364 2.919 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCOC[C@H]1C1CCC1 ZINC000592156343 349092886 /nfs/dbraw/zinc/09/28/86/349092886.db2.gz KQUDYKOPWVFZHJ-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN C[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000592187017 349096300 /nfs/dbraw/zinc/09/63/00/349096300.db2.gz YTVLEXPWHTUKST-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN C[C@@H](F)CCn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000592357526 349108619 /nfs/dbraw/zinc/10/86/19/349108619.db2.gz KAEYVAXYSPWKTF-SECBINFHSA-N 0 0 264.256 2.658 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CC1=CCCC1 ZINC000592347597 349106202 /nfs/dbraw/zinc/10/62/02/349106202.db2.gz JSQQPISDAJIGDG-UHFFFAOYSA-N 0 0 254.673 2.520 20 5 CFBDRN CC(C)(C)OCCCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592347975 349106316 /nfs/dbraw/zinc/10/63/16/349106316.db2.gz BDTXJTKXTCGRMZ-UHFFFAOYSA-N 0 0 294.307 2.708 20 5 CFBDRN CCC1CN(c2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000591947970 349064213 /nfs/dbraw/zinc/06/42/13/349064213.db2.gz CHHPRDKOPUJRQQ-UHFFFAOYSA-N 0 0 261.325 2.715 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCC1(COC)CC1 ZINC000591961775 349065494 /nfs/dbraw/zinc/06/54/94/349065494.db2.gz RCSCAYUXDUCGRK-UHFFFAOYSA-N 0 0 294.351 2.970 20 5 CFBDRN C[C@@H](CNc1ncnc2ccc([N+](=O)[O-])cc21)CC(F)F ZINC000591966350 349066137 /nfs/dbraw/zinc/06/61/37/349066137.db2.gz XQZASJDUGOQYGF-MRVPVSSYSA-N 0 0 296.277 2.663 20 5 CFBDRN CC1(CC(F)F)CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000591971061 349066944 /nfs/dbraw/zinc/06/69/44/349066944.db2.gz GWJLHYHOMBBGCE-UHFFFAOYSA-N 0 0 296.277 2.953 20 5 CFBDRN Cc1ccccc1C1(NC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000592671382 349148740 /nfs/dbraw/zinc/14/87/40/349148740.db2.gz IRZMFBPUHFEZHN-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC12CC=CC2 ZINC000592462993 349126093 /nfs/dbraw/zinc/12/60/93/349126093.db2.gz KKQSFJWVSXETFJ-ZDUSSCGKSA-N 0 0 289.335 2.740 20 5 CFBDRN CCC[C@H](CC)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593039666 349192416 /nfs/dbraw/zinc/19/24/16/349192416.db2.gz UOKDNQOENBEATP-LBPRGKRZSA-N 0 0 282.315 2.971 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc(C[C@@H]3CCCO3)n2)c1 ZINC000592996212 349182916 /nfs/dbraw/zinc/18/29/16/349182916.db2.gz UCWPIAYUZXPPKB-VIFPVBQESA-N 0 0 279.321 2.768 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000592696522 349152802 /nfs/dbraw/zinc/15/28/02/349152802.db2.gz CTSUOZYCXZRWIY-AOOOYVTPSA-N 0 0 298.364 2.732 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000592732261 349157896 /nfs/dbraw/zinc/15/78/96/349157896.db2.gz BYXXSXWCLVQZBP-YUSALJHKSA-N 0 0 260.293 2.513 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000592732263 349158123 /nfs/dbraw/zinc/15/81/23/349158123.db2.gz BYXXSXWCLVQZBP-ZMLRMANQSA-N 0 0 260.293 2.513 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000592734868 349159291 /nfs/dbraw/zinc/15/92/91/349159291.db2.gz KWLPHRFDVNRAFO-GMTAPVOTSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCS[C@H]2C)c1 ZINC000592735843 349159706 /nfs/dbraw/zinc/15/97/06/349159706.db2.gz NXUIWRGRUZKDOE-GXSJLCMTSA-N 0 0 280.349 2.527 20 5 CFBDRN CCN(CCSC)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000592738729 349161168 /nfs/dbraw/zinc/16/11/68/349161168.db2.gz CUEGYSMOFJMFGL-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2NC)O1 ZINC000592828610 349169322 /nfs/dbraw/zinc/16/93/22/349169322.db2.gz ZLYHWJCZHIIUIV-GXFFZTMASA-N 0 0 293.323 2.533 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2NC)O1 ZINC000592828611 349169565 /nfs/dbraw/zinc/16/95/65/349169565.db2.gz ZLYHWJCZHIIUIV-MFKMUULPSA-N 0 0 293.323 2.533 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@@]2(CC2(F)F)C1 ZINC000593114450 349212332 /nfs/dbraw/zinc/21/23/32/349212332.db2.gz BPNPCCKFAVIBTR-GFCCVEGCSA-N 0 0 286.253 2.965 20 5 CFBDRN CCN(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000593132817 349218217 /nfs/dbraw/zinc/21/82/17/349218217.db2.gz ZFPIDLBMTAIFKI-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])[C@H]1C ZINC000593174665 349223066 /nfs/dbraw/zinc/22/30/66/349223066.db2.gz KQBJCAGWQJSCGL-MXWKQRLJSA-N 0 0 294.326 2.779 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593174666 349223108 /nfs/dbraw/zinc/22/31/08/349223108.db2.gz KQBJCAGWQJSCGL-OUAUKWLOSA-N 0 0 294.326 2.779 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1cc2n(n1)CCCC2 ZINC000593235111 349232521 /nfs/dbraw/zinc/23/25/21/349232521.db2.gz CZCAGACXOZIDOX-UHFFFAOYSA-N 0 0 291.282 2.846 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCc1cc2n(n1)CCC2 ZINC000593233233 349232588 /nfs/dbraw/zinc/23/25/88/349232588.db2.gz MVPBAEWEPSKDNM-UHFFFAOYSA-N 0 0 293.710 2.970 20 5 CFBDRN Cc1cccc(OCc2cc3n(n2)CCC3)c1[N+](=O)[O-] ZINC000593236678 349233253 /nfs/dbraw/zinc/23/32/53/349233253.db2.gz VMRYZARWPAFXPZ-UHFFFAOYSA-N 0 0 273.292 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1cc2n(n1)CCC2 ZINC000593236309 349233351 /nfs/dbraw/zinc/23/33/51/349233351.db2.gz BMOBKOUXEPVWLP-UHFFFAOYSA-N 0 0 273.292 2.625 20 5 CFBDRN O=C(CN1CCC12CCCC2)Nc1ccccc1[N+](=O)[O-] ZINC000593039571 349192455 /nfs/dbraw/zinc/19/24/55/349192455.db2.gz RKPQXXOGOBTAPE-UHFFFAOYSA-N 0 0 289.335 2.552 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593039361 349192659 /nfs/dbraw/zinc/19/26/59/349192659.db2.gz KBZRFLIIXKDFJB-UWVGGRQHSA-N 0 0 282.315 2.827 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1Cc1cccc([N+](=O)[O-])c1 ZINC000593056213 349195936 /nfs/dbraw/zinc/19/59/36/349195936.db2.gz BGZGUMZVDSVSSM-CYBMUJFWSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1Cc1ccc([N+](=O)[O-])cc1 ZINC000593056747 349196310 /nfs/dbraw/zinc/19/63/10/349196310.db2.gz OLXDCYGIPKPYPL-ZDUSSCGKSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@@H]1CO[C@@H](CC)CN1Cc1ccc([N+](=O)[O-])cc1 ZINC000593057254 349197136 /nfs/dbraw/zinc/19/71/36/349197136.db2.gz DURSYOOLSLXPHN-HIFRSBDPSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593062581 349199235 /nfs/dbraw/zinc/19/92/35/349199235.db2.gz IRPOQRVRUZGQQT-WDEREUQCSA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)[C@@H](C)CO1 ZINC000593064495 349199255 /nfs/dbraw/zinc/19/92/55/349199255.db2.gz ZHQKNLCAEZDNOH-SMDDNHRTSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)[C@H](C)CO1 ZINC000593064492 349199505 /nfs/dbraw/zinc/19/95/05/349199505.db2.gz ZHQKNLCAEZDNOH-BXUZGUMPSA-N 0 0 294.351 2.603 20 5 CFBDRN CCOc1cc(NCCC2(CO)CCC2)ccc1[N+](=O)[O-] ZINC000593513305 349287878 /nfs/dbraw/zinc/28/78/78/349287878.db2.gz YZFMQPUPNXXFPS-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN CC(=O)c1ccc(NCCC2(CO)CCC2)c([N+](=O)[O-])c1 ZINC000593512022 349287936 /nfs/dbraw/zinc/28/79/36/349287936.db2.gz QWMKIHZEHYNQHM-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN Cc1cc(N2CC[C@@]3(CC3(F)F)C2)ncc1[N+](=O)[O-] ZINC000593523327 349290192 /nfs/dbraw/zinc/29/01/92/349290192.db2.gz XHYOYCPGURZERU-LLVKDONJSA-N 0 0 269.251 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(CC3(F)F)C2)s1 ZINC000593523285 349290208 /nfs/dbraw/zinc/29/02/08/349290208.db2.gz WNNOPDGCHNRNEP-SECBINFHSA-N 0 0 260.265 2.892 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CC[C@]3(CC3(F)F)C1)CCN2 ZINC000593523775 349290363 /nfs/dbraw/zinc/29/03/63/349290363.db2.gz ZPOWGAXSWMORTK-ZDUSSCGKSA-N 0 0 295.289 2.798 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000593570567 349293778 /nfs/dbraw/zinc/29/37/78/349293778.db2.gz YRQUHKLNYGUXSP-WDEREUQCSA-N 0 0 294.326 2.781 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593283587 349241722 /nfs/dbraw/zinc/24/17/22/349241722.db2.gz JBADIEOJYIVTTL-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCCC(F)(F)C1 ZINC000593316476 349246903 /nfs/dbraw/zinc/24/69/03/349246903.db2.gz SDJYNYHUSPTSDO-UHFFFAOYSA-N 0 0 299.277 2.536 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)COCC2CC2)cc1[N+](=O)[O-] ZINC000593333884 349249756 /nfs/dbraw/zinc/24/97/56/349249756.db2.gz XVDDNZYBWOVGEO-MRVPVSSYSA-N 0 0 298.364 2.510 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cc3n(n2)CCC3)c1 ZINC000593418446 349265884 /nfs/dbraw/zinc/26/58/84/349265884.db2.gz GVGCEDLSUKVNCX-UHFFFAOYSA-N 0 0 273.292 2.625 20 5 CFBDRN C[C@@H]1CCN(c2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000593462015 349275685 /nfs/dbraw/zinc/27/56/85/349275685.db2.gz QICISKRLWUZOEX-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN COC[C@H]1CCN(c2ccnc3cc([N+](=O)[O-])ccc32)C1 ZINC000593461985 349275713 /nfs/dbraw/zinc/27/57/13/349275713.db2.gz QYWHHUPOOFNQJS-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@]2(CCOC2)C1 ZINC000593465049 349276043 /nfs/dbraw/zinc/27/60/43/349276043.db2.gz NQGLXOHSJWDDGJ-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN CN(C[C@H]1CCCO1)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593462420 349276154 /nfs/dbraw/zinc/27/61/54/349276154.db2.gz TXJMNMRPIGVIJX-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)[C@H](CO)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593473126 349277441 /nfs/dbraw/zinc/27/74/41/349277441.db2.gz NJZFIUWTKNQPED-NSHDSACASA-N 0 0 289.335 2.819 20 5 CFBDRN CO[C@H](C)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593475837 349277866 /nfs/dbraw/zinc/27/78/66/349277866.db2.gz LUDAHHPLGZVKSG-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(C3(O)CC3)CC2)c1 ZINC000593481095 349279856 /nfs/dbraw/zinc/27/98/56/349279856.db2.gz CRWIPXJTYWYYMV-UHFFFAOYSA-N 0 0 276.336 2.645 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC(C2(O)CC2)CC1 ZINC000593482307 349280520 /nfs/dbraw/zinc/28/05/20/349280520.db2.gz QUECTJHMVXYMBF-UHFFFAOYSA-N 0 0 276.336 2.645 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CC2)C2CCOCC2)s1 ZINC000593492212 349283660 /nfs/dbraw/zinc/28/36/60/349283660.db2.gz SXYPNOVPUYJDPD-NSHDSACASA-N 0 0 283.353 2.668 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(SCc2cnn(C)c2)n1 ZINC000593505365 349286534 /nfs/dbraw/zinc/28/65/34/349286534.db2.gz NPYRLMFTTQZLJR-UHFFFAOYSA-N 0 0 278.337 2.632 20 5 CFBDRN C[C@@H](COCC1CC1)Nc1ccccc1[N+](=O)[O-] ZINC000593506111 349286619 /nfs/dbraw/zinc/28/66/19/349286619.db2.gz NTTNHESSABDAHE-JTQLQIEISA-N 0 0 250.298 2.822 20 5 CFBDRN Cc1cccc(N2CCC([C@H](C)CO)CC2)c1[N+](=O)[O-] ZINC000593505803 349286649 /nfs/dbraw/zinc/28/66/49/349286649.db2.gz OQDJSNQONXDRJI-GFCCVEGCSA-N 0 0 278.352 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CCF)c(C(F)(F)F)c1 ZINC000593507650 349287019 /nfs/dbraw/zinc/28/70/19/349287019.db2.gz BEXZJBPPPAXMHW-ZETCQYMHSA-N 0 0 296.220 2.746 20 5 CFBDRN COc1ccc(C(=O)NC2CC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000593885259 349332768 /nfs/dbraw/zinc/33/27/68/349332768.db2.gz PAUCYMRNVLOXMH-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CC[C@H]1C[C@H](C)C[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593738708 349317483 /nfs/dbraw/zinc/31/74/83/349317483.db2.gz KBBAWMJBDGTUCH-GWCFXTLKSA-N 0 0 292.335 2.913 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[NH+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593744281 349318753 /nfs/dbraw/zinc/31/87/53/349318753.db2.gz OWWDVJLWQZNQRA-NXEZZACHSA-N 0 0 278.308 2.666 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)[C@H]1CCCCO1 ZINC000594189223 349392079 /nfs/dbraw/zinc/39/20/79/349392079.db2.gz UTWTXZIFBZKEGT-NXEZZACHSA-N 0 0 298.364 2.734 20 5 CFBDRN Cc1noc([C@@H](C)N(C)Cc2c(F)cccc2[N+](=O)[O-])n1 ZINC000594037596 349359298 /nfs/dbraw/zinc/35/92/98/349359298.db2.gz NBZUOHFRFWNBFA-MRVPVSSYSA-N 0 0 294.286 2.618 20 5 CFBDRN CC(C)(NC(=O)c1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000594062326 349367288 /nfs/dbraw/zinc/36/72/88/349367288.db2.gz HKVYTDRCLGHUBP-UHFFFAOYSA-N 0 0 272.251 2.758 20 5 CFBDRN CC(C)(NC(=O)Cc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000594063667 349367975 /nfs/dbraw/zinc/36/79/75/349367975.db2.gz XZDPDFIQPUXPNJ-UHFFFAOYSA-N 0 0 286.278 2.687 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCc2cccnc21 ZINC000594070636 349368614 /nfs/dbraw/zinc/36/86/14/349368614.db2.gz MBCJGRDGLAKDDV-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC1(C)CC=CC1 ZINC000594093546 349375662 /nfs/dbraw/zinc/37/56/62/349375662.db2.gz CBOZNTLXVGFFPT-UHFFFAOYSA-N 0 0 291.307 2.834 20 5 CFBDRN CCCN(C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000594095687 349376446 /nfs/dbraw/zinc/37/64/46/349376446.db2.gz BSQZKIBZDVFIRP-UHFFFAOYSA-N 0 0 282.315 2.923 20 5 CFBDRN COc1ccc(C(C)C)cc1Cn1cnc([N+](=O)[O-])c1 ZINC000594443803 349423906 /nfs/dbraw/zinc/42/39/06/349423906.db2.gz VBVCQHUHTPIQQH-UHFFFAOYSA-N 0 0 275.308 2.972 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCCc1c(F)cccc1F ZINC000594453183 349425998 /nfs/dbraw/zinc/42/59/98/349425998.db2.gz LNEOBOKPGXOLAW-UHFFFAOYSA-N 0 0 267.235 2.702 20 5 CFBDRN CCc1nocc1COc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000594488575 349428166 /nfs/dbraw/zinc/42/81/66/349428166.db2.gz MXLZRAXLWOFZGC-UHFFFAOYSA-N 0 0 290.275 2.927 20 5 CFBDRN CCc1ccc(C(=O)N2CC3(CC3(F)F)C2)cc1[N+](=O)[O-] ZINC000594379976 349412687 /nfs/dbraw/zinc/41/26/87/349412687.db2.gz NGUQVXOMDFQJSL-UHFFFAOYSA-N 0 0 296.273 2.638 20 5 CFBDRN Cc1ccoc1CC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000597476529 350101850 /nfs/dbraw/zinc/10/18/50/350101850.db2.gz NCNBGMGJLBVCFE-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN COc1ccc(-c2nc([C@@H]3CCCO3)no2)cc1[N+](=O)[O-] ZINC000274090416 192434988 /nfs/dbraw/zinc/43/49/88/192434988.db2.gz HBISOKRNMJMRDW-NSHDSACASA-N 0 0 291.263 2.505 20 5 CFBDRN COc1ccc(CNc2ncc(C)cc2[N+](=O)[O-])cc1 ZINC000090691957 180024585 /nfs/dbraw/zinc/02/45/85/180024585.db2.gz AERJFBVWPKAUJH-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000597451112 350098999 /nfs/dbraw/zinc/09/89/99/350098999.db2.gz UTSWMXKUUBFOMF-YUELXQCFSA-N 0 0 288.347 2.690 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@@]12C[C@@H]1CCC2 ZINC000597882792 350148088 /nfs/dbraw/zinc/14/80/88/350148088.db2.gz JGUKKUXSKXERHC-HZMBPMFUSA-N 0 0 292.360 2.746 20 5 CFBDRN Cc1c(CC(=O)N[C@]23C[C@H]2CCC3)cccc1[N+](=O)[O-] ZINC000597886925 350148546 /nfs/dbraw/zinc/14/85/46/350148546.db2.gz CWXAREKJQWMKRA-IUODEOHRSA-N 0 0 274.320 2.505 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@]12C[C@H]1CCC2 ZINC000597889568 350149059 /nfs/dbraw/zinc/14/90/59/350149059.db2.gz MXXCYCJNLSCZOB-IUODEOHRSA-N 0 0 274.320 2.586 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@]12C[C@H]1CCC2 ZINC000597889277 350149245 /nfs/dbraw/zinc/14/92/45/350149245.db2.gz LLEWKJTUACYUAB-BDJLRTHQSA-N 0 0 299.330 2.677 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2[C@@H](C)CCC2(C)C)c1[N+](=O)[O-] ZINC000597752575 350131123 /nfs/dbraw/zinc/13/11/23/350131123.db2.gz CXNKLCYKYZAMNX-VIFPVBQESA-N 0 0 294.355 2.844 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000104612755 186010230 /nfs/dbraw/zinc/01/02/30/186010230.db2.gz JQWCJSDKEZXCAH-PWSUYJOCSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@H](C(=O)N[C@@]12C[C@@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000597890340 350149997 /nfs/dbraw/zinc/14/99/97/350149997.db2.gz RNGHYKQEKKMRIJ-WBIUFABUSA-N 0 0 274.320 2.757 20 5 CFBDRN CCC[C@H](C)c1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)n[nH]1 ZINC000597798583 350136907 /nfs/dbraw/zinc/13/69/07/350136907.db2.gz GKYAUCRSCWAENJ-QMMMGPOBSA-N 0 0 291.311 2.802 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000104621107 186011343 /nfs/dbraw/zinc/01/13/43/186011343.db2.gz JBULJKFXVAXZCJ-RNCFNFMXSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000104621111 186011344 /nfs/dbraw/zinc/01/13/44/186011344.db2.gz JBULJKFXVAXZCJ-ZANVPECISA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@@H]1C[C@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000271249377 191028496 /nfs/dbraw/zinc/02/84/96/191028496.db2.gz HGOBEXBBECKZKP-GHMZBOCLSA-N 0 0 293.323 2.531 20 5 CFBDRN COc1ccc(C(=O)N2C[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000597831251 350141601 /nfs/dbraw/zinc/14/16/01/350141601.db2.gz XXUKUBXZLHOORN-RYUDHWBXSA-N 0 0 290.319 2.618 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000597853943 350142949 /nfs/dbraw/zinc/14/29/49/350142949.db2.gz FESHJDIZKGKIAB-NSHDSACASA-N 0 0 280.324 2.621 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000597856901 350143718 /nfs/dbraw/zinc/14/37/18/350143718.db2.gz QJTSEAPIBABQQG-VIFPVBQESA-N 0 0 294.307 2.584 20 5 CFBDRN COC1CC(CCNC(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000597861804 350144549 /nfs/dbraw/zinc/14/45/49/350144549.db2.gz OGWSKOBRFGIXOR-UHFFFAOYSA-N 0 0 298.364 2.510 20 5 CFBDRN CC[C@@H](COCC1CC1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000597918738 350158314 /nfs/dbraw/zinc/15/83/14/350158314.db2.gz IBPDIRAWWQUOCM-ZDUSSCGKSA-N 0 0 292.335 2.530 20 5 CFBDRN C[C@H]1C[C@H](CNc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000271275690 191043923 /nfs/dbraw/zinc/04/39/23/191043923.db2.gz BJEBJQICQIEFRI-VHSXEESVSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@H]1CC[C@@](C)(CNC(=O)c2[nH]ncc2[N+](=O)[O-])C1(C)C ZINC000597977827 350170148 /nfs/dbraw/zinc/17/01/48/350170148.db2.gz CUDXJJVGXPNHJQ-XPTSAGLGSA-N 0 0 294.355 2.510 20 5 CFBDRN C[C@H]1CC[C@@](C)(CNC(=O)c2c[nH]nc2[N+](=O)[O-])C1(C)C ZINC000597979556 350170878 /nfs/dbraw/zinc/17/08/78/350170878.db2.gz NSZVTRYEROCSGB-XPTSAGLGSA-N 0 0 294.355 2.510 20 5 CFBDRN CC(C)[C@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000271293531 191054934 /nfs/dbraw/zinc/05/49/34/191054934.db2.gz APKTWGAULPDEBR-ZYHUDNBSSA-N 0 0 265.313 2.605 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000597974978 350168435 /nfs/dbraw/zinc/16/84/35/350168435.db2.gz FOWPIRZQTNLYAG-JTQLQIEISA-N 0 0 250.298 2.761 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000597976672 350169528 /nfs/dbraw/zinc/16/95/28/350169528.db2.gz WASIVHZGPDNPEF-JTQLQIEISA-N 0 0 250.298 2.761 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])o2)CC12CCC2 ZINC000271317420 191070380 /nfs/dbraw/zinc/07/03/80/191070380.db2.gz JSSITMSPZJJOOI-JTQLQIEISA-N 0 0 278.308 2.840 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000091562060 180192036 /nfs/dbraw/zinc/19/20/36/180192036.db2.gz VYXRTKORRYLDTG-GXSJLCMTSA-N 0 0 266.345 2.881 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000091562059 180192119 /nfs/dbraw/zinc/19/21/19/180192119.db2.gz MSWWCNWUGAVNKU-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000598233169 350221723 /nfs/dbraw/zinc/22/17/23/350221723.db2.gz LMHUHGYGZYPTHM-CYBMUJFWSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000598235553 350222363 /nfs/dbraw/zinc/22/23/63/350222363.db2.gz YLHUCRUMFCVKCE-CYBMUJFWSA-N 0 0 287.319 2.995 20 5 CFBDRN CC(C)(F)CCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000598196967 350211909 /nfs/dbraw/zinc/21/19/09/350211909.db2.gz PHGSBRQLVVRIMD-UHFFFAOYSA-N 0 0 260.290 2.524 20 5 CFBDRN CNc1ccc(C(=O)NCCC(C)(C)F)cc1[N+](=O)[O-] ZINC000598197151 350211949 /nfs/dbraw/zinc/21/19/49/350211949.db2.gz WTQLTBFPFYFCLJ-UHFFFAOYSA-N 0 0 283.303 2.505 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC(C)(C)F)c1 ZINC000598198337 350212575 /nfs/dbraw/zinc/21/25/75/350212575.db2.gz KFOOBBVPJIQNFV-UHFFFAOYSA-N 0 0 268.288 2.771 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)O[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000598298666 350229084 /nfs/dbraw/zinc/22/90/84/350229084.db2.gz LKSYEOPJQFCBCK-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000271339998 191083589 /nfs/dbraw/zinc/08/35/89/191083589.db2.gz FULFSOYXPFLGNC-MWLCHTKSSA-N 0 0 293.323 2.592 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@@H]2C[C@@H]2C1 ZINC000598328743 350236166 /nfs/dbraw/zinc/23/61/66/350236166.db2.gz HIMSOWYSDYSRNZ-NXEZZACHSA-N 0 0 285.303 2.558 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@H]2C[C@H]2C1 ZINC000598329270 350236214 /nfs/dbraw/zinc/23/62/14/350236214.db2.gz KDCJVELEPFBCBK-UWVGGRQHSA-N 0 0 285.303 2.558 20 5 CFBDRN CC1(C)[C@H](CNC(=O)Cc2cccc([N+](=O)[O-])c2)C1(F)F ZINC000598340310 350240860 /nfs/dbraw/zinc/24/08/60/350240860.db2.gz IZWSWCJETRGVBI-NSHDSACASA-N 0 0 298.289 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1C(C)(C)C1(F)F ZINC000598340337 350241065 /nfs/dbraw/zinc/24/10/65/350241065.db2.gz JIVQRXHTXVQBHE-LLVKDONJSA-N 0 0 298.289 2.924 20 5 CFBDRN CC[C@](C)(NC(=O)c1ccc(NC)c([N+](=O)[O-])c1)C1CC1 ZINC000598248370 350223770 /nfs/dbraw/zinc/22/37/70/350223770.db2.gz AYNHOYMLZAOAFX-HNNXBMFYSA-N 0 0 291.351 2.945 20 5 CFBDRN CO[C@H](CNC(=O)c1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000598396908 350256608 /nfs/dbraw/zinc/25/66/08/350256608.db2.gz ZNAMUAUTCXKAPU-CQSZACIVSA-N 0 0 292.335 2.530 20 5 CFBDRN CCC(CC)CCCN(C)C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000598397387 350256728 /nfs/dbraw/zinc/25/67/28/350256728.db2.gz UYPUBDKORQBUJP-UHFFFAOYSA-N 0 0 282.344 2.606 20 5 CFBDRN CC(C)[C@@H](NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000598382261 350253653 /nfs/dbraw/zinc/25/36/53/350253653.db2.gz XBPJGIFTZOPARS-OAHLLOKOSA-N 0 0 294.326 2.827 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000598381852 350253785 /nfs/dbraw/zinc/25/37/85/350253785.db2.gz QRMJYVZOOAEIGY-LLVKDONJSA-N 0 0 268.338 2.821 20 5 CFBDRN CC(C)[C@@H](NC(=O)COc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598381708 350253907 /nfs/dbraw/zinc/25/39/07/350253907.db2.gz RSRWTXDQAWEVJD-OAHLLOKOSA-N 0 0 292.335 2.524 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000598386294 350255260 /nfs/dbraw/zinc/25/52/60/350255260.db2.gz NCRMCFKDDNTOKL-NSHDSACASA-N 0 0 254.311 2.575 20 5 CFBDRN COc1cccc(C(=O)N(C)C[C@@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000598549842 350281307 /nfs/dbraw/zinc/28/13/07/350281307.db2.gz FUQCEOODZAYCLJ-JTQLQIEISA-N 0 0 292.335 2.722 20 5 CFBDRN CNc1ccc(C(=O)N(C)C[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000598566399 350283680 /nfs/dbraw/zinc/28/36/80/350283680.db2.gz VQBQRBCTNUZFDL-LLVKDONJSA-N 0 0 291.351 2.755 20 5 CFBDRN CSc1cccc(C(=O)N2CC3CC2(C)C3)c1[N+](=O)[O-] ZINC000598572179 350284145 /nfs/dbraw/zinc/28/41/45/350284145.db2.gz RJJHTFPWMLHTSR-UHFFFAOYSA-N 0 0 292.360 2.941 20 5 CFBDRN C[C@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000006901370 290893196 /nfs/dbraw/zinc/89/31/96/290893196.db2.gz MRPLQTSEIFVIEK-IUCAKERBSA-N 0 0 270.716 2.862 20 5 CFBDRN Cc1nc(CSCc2ccccc2[N+](=O)[O-])no1 ZINC000105629551 186065621 /nfs/dbraw/zinc/06/56/21/186065621.db2.gz WBOBQAVTCIKLSW-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N(CCC1CC1)CC1CC1 ZINC000598465638 350272003 /nfs/dbraw/zinc/27/20/03/350272003.db2.gz GZWFQXOZTHVHCS-UHFFFAOYSA-N 0 0 277.324 2.575 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1ccc(C(F)F)cc1 ZINC000274150940 192463032 /nfs/dbraw/zinc/46/30/32/192463032.db2.gz KVUVSWHUIOJFMT-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN COC(=O)c1ccnc(NC[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000598625683 350296665 /nfs/dbraw/zinc/29/66/65/350296665.db2.gz RWJICUMXPNFYBT-UWVGGRQHSA-N 0 0 293.323 2.625 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@H]1CC1(F)F ZINC000598637235 350299149 /nfs/dbraw/zinc/29/91/49/350299149.db2.gz KZCQDUKQMBFJES-QMMMGPOBSA-N 0 0 274.271 2.577 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1CC(C)C1 ZINC000598642137 350299712 /nfs/dbraw/zinc/29/97/12/350299712.db2.gz BNNBZLATKNFGQE-UHFFFAOYSA-N 0 0 252.318 2.578 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@H]1CC1(F)F ZINC000598640180 350299932 /nfs/dbraw/zinc/29/99/32/350299932.db2.gz VRTHFVPNSPGSMS-QMMMGPOBSA-N 0 0 274.271 2.577 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC(CC3CC3)C2)n1 ZINC000598643816 350300747 /nfs/dbraw/zinc/30/07/47/350300747.db2.gz UOFAGJRPOJJWJE-UHFFFAOYSA-N 0 0 261.325 2.843 20 5 CFBDRN CC1(C)CC[C@H](CO)N(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000598648202 350301136 /nfs/dbraw/zinc/30/11/36/350301136.db2.gz ORFOICURPROHOV-LLVKDONJSA-N 0 0 296.342 2.717 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC(CC2CC2)C1 ZINC000598647849 350301704 /nfs/dbraw/zinc/30/17/04/350301704.db2.gz LZJQTTXDSCVHMG-UHFFFAOYSA-N 0 0 275.308 2.859 20 5 CFBDRN CC1CC(CNC(=O)CSc2ccccc2[N+](=O)[O-])C1 ZINC000598778138 350327816 /nfs/dbraw/zinc/32/78/16/350327816.db2.gz LBRRLTYQWKBQHN-UHFFFAOYSA-N 0 0 294.376 2.849 20 5 CFBDRN C[C@@H](C(=O)NCC1CC(C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000598778067 350327894 /nfs/dbraw/zinc/32/78/94/350327894.db2.gz IPQRGABXZGFCAG-HSOILSAZSA-N 0 0 294.326 3.000 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC[C@@H]1CC1(F)F ZINC000598777946 350327997 /nfs/dbraw/zinc/32/79/97/350327997.db2.gz ZPCHELVJCGAJNS-SNVBAGLBSA-N 0 0 298.289 2.987 20 5 CFBDRN COC(=O)c1ccnc(S[C@H](C)C(C)C)c1[N+](=O)[O-] ZINC000598599978 350291265 /nfs/dbraw/zinc/29/12/65/350291265.db2.gz LRWCUNJYEFKWKJ-MRVPVSSYSA-N 0 0 284.337 2.913 20 5 CFBDRN CC[C@@H](C)CSc1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC000598611291 350293420 /nfs/dbraw/zinc/29/34/20/350293420.db2.gz HEPSNRMKUSPAEN-MRVPVSSYSA-N 0 0 284.337 2.915 20 5 CFBDRN Cc1cc(CN2CCc3ccc([N+](=O)[O-])cc32)ccn1 ZINC000598613778 350293937 /nfs/dbraw/zinc/29/39/37/350293937.db2.gz XNMQROBPCAYTNX-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CCC2(C)C)ccc1[N+](=O)[O-] ZINC000598822777 350334645 /nfs/dbraw/zinc/33/46/45/350334645.db2.gz HDQRDHJMJTVXRM-VIFPVBQESA-N 0 0 263.297 2.673 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000598828211 350335662 /nfs/dbraw/zinc/33/56/62/350335662.db2.gz MPBJNRWFYUERTQ-PWSUYJOCSA-N 0 0 278.308 2.657 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CCCF ZINC000598846616 350338780 /nfs/dbraw/zinc/33/87/80/350338780.db2.gz XCDVXDNKXQHCQE-UHFFFAOYSA-N 0 0 254.261 2.845 20 5 CFBDRN CSc1cccc(C(=O)N[C@@]23C[C@@H]2CCC3)c1[N+](=O)[O-] ZINC000598858345 350341905 /nfs/dbraw/zinc/34/19/05/350341905.db2.gz VELYPWOLRMEHHG-XPTSAGLGSA-N 0 0 292.360 2.989 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC2(CC(C)C)CC2)c1 ZINC000598879246 350343953 /nfs/dbraw/zinc/34/39/53/350343953.db2.gz NNJNRKWFXKYYDB-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(c1ccccn1)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598883660 350344357 /nfs/dbraw/zinc/34/43/57/350344357.db2.gz PAEWLXTVBVJKFM-UHFFFAOYSA-N 0 0 297.314 2.973 20 5 CFBDRN CCO[C@@H](C)C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598885436 350345071 /nfs/dbraw/zinc/34/50/71/350345071.db2.gz SNEYAVCPQOSNRQ-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1cc(C)cc([N+](=O)[O-])c1)CC2 ZINC000598938529 350347705 /nfs/dbraw/zinc/34/77/05/350347705.db2.gz DUFGQOVJMNGSCW-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1c(NC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000598958462 350349075 /nfs/dbraw/zinc/34/90/75/350349075.db2.gz PUBMVEBWFKGPNR-AEHQLWAISA-N 0 0 292.335 2.901 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC(F)F ZINC000091991082 180299909 /nfs/dbraw/zinc/29/99/09/180299909.db2.gz BJPQQSBVBZAKHR-UHFFFAOYSA-N 0 0 272.251 2.515 20 5 CFBDRN O=[N+]([O-])c1c(NCC2(O)CCCC2)ccc2cnccc21 ZINC000599025946 350361405 /nfs/dbraw/zinc/36/14/05/350361405.db2.gz FRPJDSYBOINTNN-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN COC(C)(C)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599049807 350366107 /nfs/dbraw/zinc/36/61/07/350366107.db2.gz RUWUGMJXOGMZCA-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1ccc(C(=O)NCC[C@@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000598781508 350329006 /nfs/dbraw/zinc/32/90/06/350329006.db2.gz JHBKKOCHFHDWOX-SNVBAGLBSA-N 0 0 284.262 2.678 20 5 CFBDRN CO[C@@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000598802804 350330583 /nfs/dbraw/zinc/33/05/83/350330583.db2.gz FZSOCTBWOVKDSB-CQSZACIVSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCO1 ZINC000598807104 350331792 /nfs/dbraw/zinc/33/17/92/350331792.db2.gz DPYBWSMWNVDRLT-NOZJJQNGSA-N 0 0 296.298 2.878 20 5 CFBDRN CO[C@H](C)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599068758 350370210 /nfs/dbraw/zinc/37/02/10/350370210.db2.gz ODZMKXDZPQJXOP-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN C[C@@H](CCCO)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599084210 350373357 /nfs/dbraw/zinc/37/33/57/350373357.db2.gz UMSJNHYRFPFMKA-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@H](CC(=O)NCc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000599084918 350373523 /nfs/dbraw/zinc/37/35/23/350373523.db2.gz ZITMBNOBBNMKNZ-NSHDSACASA-N 0 0 288.303 2.998 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC2(C1)CCCOC2 ZINC000599086259 350373613 /nfs/dbraw/zinc/37/36/13/350373613.db2.gz ZQMZGWPAEDBTTC-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@@H]2OCC[C@@H]2C1 ZINC000599095011 350374947 /nfs/dbraw/zinc/37/49/47/350374947.db2.gz OIVDFOIIQRPTNM-DOMZBBRYSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H]1c1cn[nH]c1 ZINC000599098109 350375877 /nfs/dbraw/zinc/37/58/77/350375877.db2.gz BXRIPXSFTANQFJ-SNVBAGLBSA-N 0 0 293.714 2.708 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC[C@H]2CCCOC2)n1 ZINC000599117136 350378093 /nfs/dbraw/zinc/37/80/93/350378093.db2.gz OAZRZGDTXITMNP-GFCCVEGCSA-N 0 0 279.340 2.835 20 5 CFBDRN CCC[C@H](CNc1c([N+](=O)[O-])c(CC)nn1C)OCC ZINC000599118536 350378467 /nfs/dbraw/zinc/37/84/67/350378467.db2.gz JCUAZCUHVFCUBB-SNVBAGLBSA-N 0 0 284.360 2.508 20 5 CFBDRN CCC[C@H](CNc1nc2sccn2c1[N+](=O)[O-])OCC ZINC000599118917 350379146 /nfs/dbraw/zinc/37/91/46/350379146.db2.gz MADIZEQWZBITII-SECBINFHSA-N 0 0 298.368 2.921 20 5 CFBDRN CCC[C@@H](CNc1ncc([N+](=O)[O-])cc1C)OCC ZINC000599119302 350379220 /nfs/dbraw/zinc/37/92/20/350379220.db2.gz PPWWCDSTKXBIAT-LBPRGKRZSA-N 0 0 267.329 2.915 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2F)C[C@H](C)C1(F)F ZINC000599119542 350379311 /nfs/dbraw/zinc/37/93/11/350379311.db2.gz SOOWXIWGEXONLT-OCAPTIKFSA-N 0 0 289.257 2.856 20 5 CFBDRN NC(=O)c1cc(SC[C@@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000599125494 350380261 /nfs/dbraw/zinc/38/02/61/350380261.db2.gz GNUAVVGMTSXKHX-ILWJIGKKSA-N 0 0 292.360 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCN2CC(F)F)cc1 ZINC000599133429 350381477 /nfs/dbraw/zinc/38/14/77/350381477.db2.gz OPRWMRWYFLJZIU-LBPRGKRZSA-N 0 0 285.294 2.736 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCC(F)(F)CC1 ZINC000599134480 350381599 /nfs/dbraw/zinc/38/15/99/350381599.db2.gz VJDRSMIFNFPMMW-UHFFFAOYSA-N 0 0 257.240 2.615 20 5 CFBDRN CN(CC1(C)COC1)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000599144328 350384071 /nfs/dbraw/zinc/38/40/71/350384071.db2.gz FOVABKGGMCOHAH-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN CN(CC1(C)COC1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000599145377 350384277 /nfs/dbraw/zinc/38/42/77/350384277.db2.gz STRXUARYFQYGNH-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC4(C3)CCCO4)c2c1 ZINC000599144636 350384395 /nfs/dbraw/zinc/38/43/95/350384395.db2.gz KWIHAILWLGLRAF-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC(Cc2ccco2)C1 ZINC000599152426 350386083 /nfs/dbraw/zinc/38/60/83/350386083.db2.gz ZTQRPSSASPDHHV-UHFFFAOYSA-N 0 0 293.710 2.915 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000599165504 350387538 /nfs/dbraw/zinc/38/75/38/350387538.db2.gz SQJMPKSIAPTVCQ-FBSDJGSXSA-N 0 0 293.279 2.765 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1ccccc1[N+](=O)[O-] ZINC000599164789 350387634 /nfs/dbraw/zinc/38/76/34/350387634.db2.gz NKIFHEGJKWBBNW-BSTOTGJRSA-N 0 0 250.298 2.819 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000599166524 350388052 /nfs/dbraw/zinc/38/80/52/350388052.db2.gz YZSUVKYJVJTHEU-COMAGPEQSA-N 0 0 250.298 2.819 20 5 CFBDRN C[C@@H]1CC[C@H](c2noc(Cc3cccc([N+](=O)[O-])c3)n2)O1 ZINC000599177129 350389857 /nfs/dbraw/zinc/38/98/57/350389857.db2.gz KLSMJBSUXLGFPS-BXKDBHETSA-N 0 0 289.291 2.809 20 5 CFBDRN Cc1cccc(N[C@H]2CO[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599179019 350390283 /nfs/dbraw/zinc/39/02/83/350390283.db2.gz DNHQGLRMGHLEMO-YPMHNXCESA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000599179337 350390310 /nfs/dbraw/zinc/39/03/10/350390310.db2.gz DPQSKNIMOVFHBW-WPRPVWTQSA-N 0 0 254.311 2.636 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179007 350390482 /nfs/dbraw/zinc/39/04/82/350390482.db2.gz DJWBBIJMTXQYJA-DOMZBBRYSA-N 0 0 290.319 2.777 20 5 CFBDRN Cc1nnc(COc2cc([N+](=O)[O-])ccc2C)s1 ZINC000271366223 191099261 /nfs/dbraw/zinc/09/92/61/191099261.db2.gz JSPGVNBQPJINAO-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@@H](C3CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000599181951 350391249 /nfs/dbraw/zinc/39/12/49/350391249.db2.gz VKIRFWASKZWZPR-TVQRCGJNSA-N 0 0 296.298 2.722 20 5 CFBDRN COc1cccc(N[C@@H]2CO[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000599181743 350391596 /nfs/dbraw/zinc/39/15/96/350391596.db2.gz UBONVBZMXMXTNX-GWCFXTLKSA-N 0 0 278.308 2.583 20 5 CFBDRN Cc1ccc(N[C@H]2CO[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000599181987 350391674 /nfs/dbraw/zinc/39/16/74/350391674.db2.gz VWPSLAJZSLURML-BXUZGUMPSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000599182405 350391724 /nfs/dbraw/zinc/39/17/24/350391724.db2.gz YBUIGLRRCHZKQS-WFASDCNBSA-N 0 0 299.330 2.544 20 5 CFBDRN Cc1cc(N2CCc3c(O)cccc3C2)ncc1[N+](=O)[O-] ZINC000599182872 350392028 /nfs/dbraw/zinc/39/20/28/350392028.db2.gz NVQXCVCSMXRZMX-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@H](c2ccc(O)cc2)C1 ZINC000599190270 350392894 /nfs/dbraw/zinc/39/28/94/350392894.db2.gz BMJVDCPBQFMDOY-LBPRGKRZSA-N 0 0 285.303 2.689 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2OC(F)F)C1 ZINC000599189873 350392927 /nfs/dbraw/zinc/39/29/27/350392927.db2.gz OSSVPMWSIQLKHF-XWEPSHTISA-N 0 0 288.250 2.786 20 5 CFBDRN CCC(O)(CC)CN(C)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000599191353 350393717 /nfs/dbraw/zinc/39/37/17/350393717.db2.gz NLPPNZNMGDIKML-UHFFFAOYSA-N 0 0 293.367 2.550 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000599196276 350394245 /nfs/dbraw/zinc/39/42/45/350394245.db2.gz XMTGNEYQJAIQLK-FKQCQYRASA-N 0 0 291.229 2.598 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC(Cc2cccs2)C1 ZINC000599197220 350394297 /nfs/dbraw/zinc/39/42/97/350394297.db2.gz ZEAIXOIPWNLZLT-UHFFFAOYSA-N 0 0 275.333 2.730 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000599200542 350394935 /nfs/dbraw/zinc/39/49/35/350394935.db2.gz ANZLMYOXUTYTBC-OTDNITJGSA-N 0 0 262.313 2.567 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000599200710 350395146 /nfs/dbraw/zinc/39/51/46/350395146.db2.gz WSNVDLXOVASGAU-OTDNITJGSA-N 0 0 277.324 2.878 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1C[C@H](C)[C@@H](C)C1 ZINC000092085707 180320342 /nfs/dbraw/zinc/32/03/42/180320342.db2.gz NVLFDBHJZLJEJZ-UWVGGRQHSA-N 0 0 262.309 2.890 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3nc[nH]c3C2)c(Cl)c1 ZINC000599423578 350433945 /nfs/dbraw/zinc/43/39/45/350433945.db2.gz ZPTZHDZRBZWURW-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN C[C@H](NC(=O)C12CC(C1)C2)c1ccccc1[N+](=O)[O-] ZINC000599744180 350489775 /nfs/dbraw/zinc/48/97/75/350489775.db2.gz PBDPZIQFPUVLFK-IPWFMCSPSA-N 0 0 260.293 2.572 20 5 CFBDRN Cc1ncn(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1C ZINC000106439979 186117409 /nfs/dbraw/zinc/11/74/09/186117409.db2.gz UYCOLDGUFJPZBG-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=C(NCC[C@@H]1CCCOC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000599777332 350492652 /nfs/dbraw/zinc/49/26/52/350492652.db2.gz BTUWHFHJRJYXQD-NSHDSACASA-N 0 0 293.323 2.533 20 5 CFBDRN O=C(N(Cc1cccc([N+](=O)[O-])c1)C1CC1)C12CC(C1)C2 ZINC000599697690 350486275 /nfs/dbraw/zinc/48/62/75/350486275.db2.gz RKODDZKPQJIQTE-UHFFFAOYSA-N 0 0 286.331 2.886 20 5 CFBDRN CC(=O)c1ccc(N(C)CCc2ccncc2)c([N+](=O)[O-])c1 ZINC000107279102 186166105 /nfs/dbraw/zinc/16/61/05/186166105.db2.gz JISCUEOUNRPMAD-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN C[C@H]1C[C@@H]1N(C(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000415891979 233513067 /nfs/dbraw/zinc/51/30/67/233513067.db2.gz NDENKCZWGFKFRG-FZMZJTMJSA-N 0 0 299.330 2.989 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cc(C)cc([N+](=O)[O-])c1)OCC ZINC000600173845 350530033 /nfs/dbraw/zinc/53/00/33/350530033.db2.gz HFTXXPINCWELLI-AWEZNQCLSA-N 0 0 294.351 2.838 20 5 CFBDRN CCC[C@@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])OCC ZINC000600173200 350530100 /nfs/dbraw/zinc/53/01/00/350530100.db2.gz ANYCAIQYUNACID-LBPRGKRZSA-N 0 0 294.351 2.838 20 5 CFBDRN CCC[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)OCC ZINC000600173224 350530187 /nfs/dbraw/zinc/53/01/87/350530187.db2.gz ASGHCWMCYDTMST-CYBMUJFWSA-N 0 0 294.351 2.838 20 5 CFBDRN CCC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)OCC ZINC000600173189 350530247 /nfs/dbraw/zinc/53/02/47/350530247.db2.gz AKMCVEGCKDHRPZ-NSHDSACASA-N 0 0 298.314 2.669 20 5 CFBDRN CCC[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)OCC ZINC000600173245 350530260 /nfs/dbraw/zinc/53/02/60/350530260.db2.gz BCGBKFYWDWZMGG-GFCCVEGCSA-N 0 0 294.351 2.838 20 5 CFBDRN CCC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])s1)OCC ZINC000600174610 350531061 /nfs/dbraw/zinc/53/10/61/350531061.db2.gz LOAUURNMZLPPNJ-VIFPVBQESA-N 0 0 286.353 2.591 20 5 CFBDRN CCC[C@H](CNC(=O)c1ccccc1[N+](=O)[O-])OCC ZINC000600175588 350531105 /nfs/dbraw/zinc/53/11/05/350531105.db2.gz ROQQUZRRXLOLDC-LLVKDONJSA-N 0 0 280.324 2.530 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000600160416 350524012 /nfs/dbraw/zinc/52/40/12/350524012.db2.gz CNVQCDRMMPYLFL-MBNYWOFBSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@@H]1CCCOC1 ZINC000107674708 186184971 /nfs/dbraw/zinc/18/49/71/186184971.db2.gz UGFRDWCKEQQRPS-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1nn([C@@H]2CCO[C@H](c3cccnc3)C2)cc1[N+](=O)[O-] ZINC000600545429 350568773 /nfs/dbraw/zinc/56/87/73/350568773.db2.gz NKBFSLDOXLHLHU-OCCSQVGLSA-N 0 0 288.307 2.588 20 5 CFBDRN CCO[C@@H](COc1c(Cl)cncc1[N+](=O)[O-])C1CC1 ZINC000600551896 350569326 /nfs/dbraw/zinc/56/93/26/350569326.db2.gz JRMRERORVMIRNR-NSHDSACASA-N 0 0 286.715 2.837 20 5 CFBDRN O=C(NCC1CC=CC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000600223828 350542883 /nfs/dbraw/zinc/54/28/83/350542883.db2.gz COBZLWVSJKWOMN-UHFFFAOYSA-N 0 0 280.711 2.944 20 5 CFBDRN C[C@@H](C(=O)NCC1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000600223757 350542909 /nfs/dbraw/zinc/54/29/09/350542909.db2.gz AQYABUIWBBQWLE-LLVKDONJSA-N 0 0 274.320 2.781 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC1CC=CC1 ZINC000600228949 350543540 /nfs/dbraw/zinc/54/35/40/350543540.db2.gz IBWUTXKZPMNBTP-UHFFFAOYSA-N 0 0 260.293 2.599 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CC(C)(C)CCC2(C)C)c1[N+](=O)[O-] ZINC000600226191 350543589 /nfs/dbraw/zinc/54/35/89/350543589.db2.gz HYDYHUZKNXYYLQ-UHFFFAOYSA-N 0 0 294.355 2.667 20 5 CFBDRN Cc1cc(C(=O)NCC2CC=CC2)cc([N+](=O)[O-])c1 ZINC000600229531 350544368 /nfs/dbraw/zinc/54/43/68/350544368.db2.gz LKPCIDNLGLMWSJ-UHFFFAOYSA-N 0 0 260.293 2.599 20 5 CFBDRN Cc1c(CC(=O)N(C2CC2)C2CC2)cccc1[N+](=O)[O-] ZINC000600359669 350549736 /nfs/dbraw/zinc/54/97/36/350549736.db2.gz LFMVCKBTOQXJRL-UHFFFAOYSA-N 0 0 274.320 2.599 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N(C1CC1)C1CC1 ZINC000600358650 350549815 /nfs/dbraw/zinc/54/98/15/350549815.db2.gz FODUTHCPEJTXTM-UHFFFAOYSA-N 0 0 292.360 2.840 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000600367579 350551343 /nfs/dbraw/zinc/55/13/43/350551343.db2.gz RWWPTRYKLGMNMQ-HIFRSBDPSA-N 0 0 292.335 2.509 20 5 CFBDRN CCC(F)(F)CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000600380111 350552254 /nfs/dbraw/zinc/55/22/54/350552254.db2.gz VFMXPFPBCFRAGM-UHFFFAOYSA-N 0 0 297.261 2.851 20 5 CFBDRN C[C@H](CN(C)C(=O)c1n[nH]cc1[N+](=O)[O-])C1CCCCC1 ZINC000600477607 350558067 /nfs/dbraw/zinc/55/80/67/350558067.db2.gz JYOYSBWEZWIDSA-SNVBAGLBSA-N 0 0 294.355 2.606 20 5 CFBDRN C/C=C\CNC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000600491389 350558973 /nfs/dbraw/zinc/55/89/73/350558973.db2.gz OKPFWEZIENNFOA-IHWYPQMZSA-N 0 0 288.225 2.920 20 5 CFBDRN C/C=C/CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000600491360 350559074 /nfs/dbraw/zinc/55/90/74/350559074.db2.gz NUZHCVDYGQBNPC-SNAWJCMRSA-N 0 0 280.299 2.704 20 5 CFBDRN C/C=C/CNC(=O)[C@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000600493205 350559133 /nfs/dbraw/zinc/55/91/33/350559133.db2.gz SUXRJCOSTHIYTP-MASHWEEQSA-N 0 0 276.336 2.856 20 5 CFBDRN C/C=C\CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000600494779 350559286 /nfs/dbraw/zinc/55/92/86/350559286.db2.gz XTVOTEDALQZTHJ-TYRPZCRBSA-N 0 0 266.272 2.530 20 5 CFBDRN C/C=C/CNC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000600495667 350559676 /nfs/dbraw/zinc/55/96/76/350559676.db2.gz WPEISOKKDKNQER-NWALNABHSA-N 0 0 298.726 2.708 20 5 CFBDRN CCO[C@@H](COc1cc([N+](=O)[O-])ccc1OC)C1CC1 ZINC000600508991 350560003 /nfs/dbraw/zinc/56/00/03/350560003.db2.gz PJFCTVYDSLZZRO-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1C[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC000600508784 350560309 /nfs/dbraw/zinc/56/03/09/350560309.db2.gz GAOFDIAUURREIY-TUAOUCFPSA-N 0 0 297.314 2.517 20 5 CFBDRN CC1(C)CC(Cn2cnc3cc([N+](=O)[O-])ccc3c2=O)C1 ZINC000600509405 350561182 /nfs/dbraw/zinc/56/11/82/350561182.db2.gz IVFQWSWTMAMQNY-UHFFFAOYSA-N 0 0 287.319 2.741 20 5 CFBDRN CC(C)SCn1cc(Br)c([N+](=O)[O-])n1 ZINC000600519345 350562364 /nfs/dbraw/zinc/56/23/64/350562364.db2.gz BTNAUUWNZKPRNA-UHFFFAOYSA-N 0 0 280.147 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCCCc1cnoc1 ZINC000600520173 350562573 /nfs/dbraw/zinc/56/25/73/350562573.db2.gz NVSZHRIQLUFTKP-UHFFFAOYSA-N 0 0 262.265 2.903 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccccc1OC1CC1 ZINC000600520649 350563253 /nfs/dbraw/zinc/56/32/53/350563253.db2.gz LMZQTTCISYNJFS-UHFFFAOYSA-N 0 0 273.292 2.689 20 5 CFBDRN CCc1ccc(OCc2cc(OC)no2)c([N+](=O)[O-])c1 ZINC000600530159 350565119 /nfs/dbraw/zinc/56/51/19/350565119.db2.gz OCGSZUQUAXGNNF-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN Cc1nc(COc2nc(C)cc(C)c2[N+](=O)[O-])c(C)o1 ZINC000600536396 350567109 /nfs/dbraw/zinc/56/71/09/350567109.db2.gz ULCUGMLFTMFSRV-UHFFFAOYSA-N 0 0 277.280 2.790 20 5 CFBDRN CC(C)SCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000600537958 350567481 /nfs/dbraw/zinc/56/74/81/350567481.db2.gz UYXKKYPSDVNRIL-UHFFFAOYSA-N 0 0 262.718 2.509 20 5 CFBDRN C[C@H]1C[C@@H]1Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000600538412 350567545 /nfs/dbraw/zinc/56/75/45/350567545.db2.gz SPIJSVPXBLEWDQ-GXSJLCMTSA-N 0 0 258.281 2.509 20 5 CFBDRN CO[C@H](Cn1cc(C)c([N+](=O)[O-])n1)c1ccc(F)cc1 ZINC000600673097 350594022 /nfs/dbraw/zinc/59/40/22/350594022.db2.gz CMABNUIEKIIBEE-GFCCVEGCSA-N 0 0 279.271 2.627 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)[O-])C[C@H](C)[N@H+]1Cc1csc([N+](=O)[O-])c1 ZINC000600676480 350595834 /nfs/dbraw/zinc/59/58/34/350595834.db2.gz XNSFRQPJDJZMQZ-DOFRTFSJSA-N 0 0 298.364 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCOC2)c2ccncc21 ZINC000109548135 186261955 /nfs/dbraw/zinc/26/19/55/186261955.db2.gz YGDFSTKPCJHKQU-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CCO[C@@H](C)C1 ZINC000271393868 191113219 /nfs/dbraw/zinc/11/32/19/191113219.db2.gz MLLMWUWTSNKTRU-JQWIXIFHSA-N 0 0 280.324 2.721 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC1CSC1 ZINC000600884633 350623034 /nfs/dbraw/zinc/62/30/34/350623034.db2.gz XMOMGGZLRVDMHW-UHFFFAOYSA-N 0 0 266.322 2.595 20 5 CFBDRN CC(C)Cc1noc(CCNc2ccccc2[N+](=O)[O-])n1 ZINC000109561014 186262591 /nfs/dbraw/zinc/26/25/91/186262591.db2.gz SEZXDGUSKJFKRI-UHFFFAOYSA-N 0 0 290.323 2.831 20 5 CFBDRN COc1ccc(-c2nc(CC(C)C)no2)cc1[N+](=O)[O-] ZINC000109561688 186262773 /nfs/dbraw/zinc/26/27/73/186262773.db2.gz KYURHFVWWXTHHM-UHFFFAOYSA-N 0 0 277.280 2.852 20 5 CFBDRN CCCc1n[nH]c(C(=O)NCC2(C)CCCC2)c1[N+](=O)[O-] ZINC000109689380 186268474 /nfs/dbraw/zinc/26/84/74/186268474.db2.gz QMCNFJHUAFIHEG-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CCO[C@H](C)C1 ZINC000271393871 191113792 /nfs/dbraw/zinc/11/37/92/191113792.db2.gz MLLMWUWTSNKTRU-PWSUYJOCSA-N 0 0 280.324 2.721 20 5 CFBDRN COCCN(C)c1ccc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000600787014 350611424 /nfs/dbraw/zinc/61/14/24/350611424.db2.gz WVWIWVCMEGVYMT-UHFFFAOYSA-N 0 0 287.319 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)C2CC2)c(C(F)(F)F)c1 ZINC000109385025 186250674 /nfs/dbraw/zinc/25/06/74/186250674.db2.gz SAOQSMLAAAFHME-LLVKDONJSA-N 0 0 290.241 2.796 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1ccncc1 ZINC000600985938 350641901 /nfs/dbraw/zinc/64/19/01/350641901.db2.gz WVJWIXWQSSWGPG-LLVKDONJSA-N 0 0 297.314 2.683 20 5 CFBDRN C[C@H](NC(=O)CC1CSC1)c1cccc([N+](=O)[O-])c1 ZINC000601003774 350644205 /nfs/dbraw/zinc/64/42/05/350644205.db2.gz RFEGCDKOSNMVMI-VIFPVBQESA-N 0 0 280.349 2.525 20 5 CFBDRN CC(C)(C)CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601011862 350645576 /nfs/dbraw/zinc/64/55/76/350645576.db2.gz UZKJIDCBUVDVBZ-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601016177 350646007 /nfs/dbraw/zinc/64/60/07/350646007.db2.gz UYFMCCJJUIKMPN-RKDXNWHRSA-N 0 0 268.288 2.898 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000110327766 186291735 /nfs/dbraw/zinc/29/17/35/186291735.db2.gz TXXTVPRTCYZBLX-NSHDSACASA-N 0 0 264.325 2.642 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000110333188 186292640 /nfs/dbraw/zinc/29/26/40/186292640.db2.gz JALWIRPLZZTDOD-MRVPVSSYSA-N 0 0 256.327 2.774 20 5 CFBDRN O=C(NC[C@@H]1CCc2ccccc21)c1ccc([N+](=O)[O-])o1 ZINC000110377362 186294532 /nfs/dbraw/zinc/29/45/32/186294532.db2.gz CRJZYUOGEZWTAB-NSHDSACASA-N 0 0 286.287 2.648 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2c3ccccc3C[C@H]2O)c(F)c1 ZINC000110457945 186297370 /nfs/dbraw/zinc/29/73/70/186297370.db2.gz LMPDGWSATDDLSZ-CABCVRRESA-N 0 0 288.278 2.804 20 5 CFBDRN Cc1cc(C)c(COc2cccnc2[N+](=O)[O-])c(C)n1 ZINC000601072057 350658438 /nfs/dbraw/zinc/65/84/38/350658438.db2.gz DLJJUQHKPOTALX-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN CCCCC(=O)COc1cc([N+](=O)[O-])ccc1OC ZINC000601071069 350658585 /nfs/dbraw/zinc/65/85/85/350658585.db2.gz SYNYFRDJPLIOFW-UHFFFAOYSA-N 0 0 267.281 2.742 20 5 CFBDRN CCc1ccc(OC[C@H]2CCO[C@H]2C)c([N+](=O)[O-])c1 ZINC000601077182 350660205 /nfs/dbraw/zinc/66/02/05/350660205.db2.gz OHNUGRZEQKAERD-CMPLNLGQSA-N 0 0 265.309 2.961 20 5 CFBDRN CCCCC(=O)Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000601076562 350660335 /nfs/dbraw/zinc/66/03/35/350660335.db2.gz OJMYTKQVDMYCGN-UHFFFAOYSA-N 0 0 288.307 2.613 20 5 CFBDRN C[C@@H]1OCC[C@H]1COc1c(F)cccc1[N+](=O)[O-] ZINC000601082152 350662415 /nfs/dbraw/zinc/66/24/15/350662415.db2.gz YFPZUZWTRIQCKI-IUCAKERBSA-N 0 0 255.245 2.538 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2nc(C3CCC3)no2)nc1 ZINC000601080953 350662423 /nfs/dbraw/zinc/66/24/23/350662423.db2.gz QVUUUOCILNGURP-UHFFFAOYSA-N 0 0 292.320 2.933 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NCC[C@H]3CCCO3)c2c1 ZINC000601101358 350668341 /nfs/dbraw/zinc/66/83/41/350668341.db2.gz LVXSLUBPAZFZFB-GFCCVEGCSA-N 0 0 287.319 2.546 20 5 CFBDRN CCN(CCOC)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601105545 350669239 /nfs/dbraw/zinc/66/92/39/350669239.db2.gz BGNWRIGCPKDCNV-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NCc1ccoc1 ZINC000601109683 350670545 /nfs/dbraw/zinc/67/05/45/350670545.db2.gz WVEPENQSWCCQCD-UHFFFAOYSA-N 0 0 290.201 2.552 20 5 CFBDRN CCC(O)(CC)CNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601109772 350670623 /nfs/dbraw/zinc/67/06/23/350670623.db2.gz YTLCFTCVTBJPPH-UHFFFAOYSA-N 0 0 289.335 2.528 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)[C@H](C)O1 ZINC000601224117 350702595 /nfs/dbraw/zinc/70/25/95/350702595.db2.gz ZYQMRBQBDHTWBH-VDDIYKPWSA-N 0 0 277.324 2.541 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C(F)F)CC2)s1 ZINC000601230995 350704322 /nfs/dbraw/zinc/70/43/22/350704322.db2.gz HJAPRIKXCOXYMR-ZETCQYMHSA-N 0 0 278.280 2.517 20 5 CFBDRN CCOc1cccc(N2CCC[C@@](O)(CC)C2)c1[N+](=O)[O-] ZINC000601232691 350704650 /nfs/dbraw/zinc/70/46/50/350704650.db2.gz GACDDZOZHVKHEA-HNNXBMFYSA-N 0 0 294.351 2.735 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@H](C(F)F)CC1 ZINC000601231938 350704908 /nfs/dbraw/zinc/70/49/08/350704908.db2.gz OUJQMNAGQUTMGV-NSHDSACASA-N 0 0 286.278 2.764 20 5 CFBDRN CC[C@]1(O)CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000601234006 350705462 /nfs/dbraw/zinc/70/54/62/350705462.db2.gz QIJVGJILSUDIEN-LBPRGKRZSA-N 0 0 270.354 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)[C@@H](O)C(F)(F)F)c1 ZINC000601238421 350707088 /nfs/dbraw/zinc/70/70/88/350707088.db2.gz WDABDRLFJGKOCH-GMSGAONNSA-N 0 0 278.230 2.627 20 5 CFBDRN C[C@H](Nc1ccc(Cl)cc1[N+](=O)[O-])[C@@H](O)C(F)(F)F ZINC000601237649 350707243 /nfs/dbraw/zinc/70/72/43/350707243.db2.gz JQVCLOGHCZXVPM-SSDLBLMSSA-N 0 0 298.648 2.972 20 5 CFBDRN Cc1cc(N[C@H](C)[C@H](O)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000601237700 350707273 /nfs/dbraw/zinc/70/72/73/350707273.db2.gz KMFYNNNBAJBBFI-XCBNKYQSSA-N 0 0 278.230 2.627 20 5 CFBDRN CCOc1cc(N[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000111050447 186348611 /nfs/dbraw/zinc/34/86/11/186348611.db2.gz YGMKASIAIXQORL-LLVKDONJSA-N 0 0 266.297 2.584 20 5 CFBDRN COc1cc(NC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000111048743 186348706 /nfs/dbraw/zinc/34/87/06/186348706.db2.gz NRMWFVZHAOBGTK-LLVKDONJSA-N 0 0 266.297 2.584 20 5 CFBDRN CCOc1cc(N[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000111049599 186348724 /nfs/dbraw/zinc/34/87/24/186348724.db2.gz JMYDZDIQXZOQBL-DGCLKSJQSA-N 0 0 280.324 2.709 20 5 CFBDRN C[C@H](CC1CCC1)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000601246908 350708448 /nfs/dbraw/zinc/70/84/48/350708448.db2.gz UUBWRHAFOHYETE-SECBINFHSA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1nc(N2CCC(C)(F)CC2)ccc1[N+](=O)[O-] ZINC000601246285 350708515 /nfs/dbraw/zinc/70/85/15/350708515.db2.gz MYLBOWIKCWWJEO-UHFFFAOYSA-N 0 0 253.277 2.627 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])C1(C(F)F)CCC1 ZINC000601250048 350709068 /nfs/dbraw/zinc/70/90/68/350709068.db2.gz JNVFSKWZBCKSRN-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000601258019 350710738 /nfs/dbraw/zinc/71/07/38/350710738.db2.gz SWMFAKVMNHCWCH-DTORHVGOSA-N 0 0 298.339 2.700 20 5 CFBDRN Cn1nc(Nc2ccccc2[N+](=O)[O-])cc1C1CC1 ZINC000291156572 197935701 /nfs/dbraw/zinc/93/57/01/197935701.db2.gz PNDBOKVOJJIHKC-UHFFFAOYSA-N 0 0 258.281 2.949 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601126201 350675937 /nfs/dbraw/zinc/67/59/37/350675937.db2.gz UZLSGJMBZMDVGY-NKWVEPMBSA-N 0 0 292.261 2.582 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC(Cc2ccccc2)C1 ZINC000601139475 350679509 /nfs/dbraw/zinc/67/95/09/350679509.db2.gz DAHQHZQWDCBHST-UHFFFAOYSA-N 0 0 287.294 2.808 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1nc(C(F)(F)F)no1 ZINC000601141748 350680581 /nfs/dbraw/zinc/68/05/81/350680581.db2.gz POZJPZXQKAAQNK-UHFFFAOYSA-N 0 0 294.214 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CCO[C@H](C4CC4)C3)c2c1 ZINC000601147468 350682043 /nfs/dbraw/zinc/68/20/43/350682043.db2.gz OQWYFQAUHLADSX-INIZCTEOSA-N 0 0 299.330 2.758 20 5 CFBDRN CCC[C@H](C)C[NH2+][C@](C)(CO)c1cccc([N+](=O)[O-])c1 ZINC000601150344 350682800 /nfs/dbraw/zinc/68/28/00/350682800.db2.gz WBBZFALEMUWEQT-SWLSCSKDSA-N 0 0 280.368 2.828 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000601156721 350684288 /nfs/dbraw/zinc/68/42/88/350684288.db2.gz XTBVKILHZDMNBY-ZMONIFLSSA-N 0 0 290.245 2.558 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@@H]1C ZINC000601277154 350715314 /nfs/dbraw/zinc/71/53/14/350715314.db2.gz TYPUTOJENWPUTC-RKDXNWHRSA-N 0 0 266.272 2.604 20 5 CFBDRN CC1(CC(F)(F)F)CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601172710 350688813 /nfs/dbraw/zinc/68/88/13/350688813.db2.gz GXSCILDQKDMBQA-UHFFFAOYSA-N 0 0 293.220 2.908 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000601173957 350689023 /nfs/dbraw/zinc/68/90/23/350689023.db2.gz NFWYVJXQIUANNZ-FKTZTGRPSA-N 0 0 251.261 2.729 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC(c2ncco2)CC1 ZINC000601183543 350690908 /nfs/dbraw/zinc/69/09/08/350690908.db2.gz FNVOIZVJACAICB-UHFFFAOYSA-N 0 0 292.270 2.501 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(c3ncco3)CC2)c(F)c1 ZINC000601185959 350691747 /nfs/dbraw/zinc/69/17/47/350691747.db2.gz ZLARRUDXBBMRAB-UHFFFAOYSA-N 0 0 292.270 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@@H]3C(F)(F)F)c2c1 ZINC000601188031 350692306 /nfs/dbraw/zinc/69/23/06/350692306.db2.gz AULDHRRVSYLZDN-SNVBAGLBSA-N 0 0 298.224 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C[C@H]2c2cccnc2)cn1 ZINC000601192297 350693132 /nfs/dbraw/zinc/69/31/32/350693132.db2.gz UNSAZZWMNFLMBY-AAEUAGOBSA-N 0 0 270.292 2.600 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)CO1 ZINC000601191818 350693312 /nfs/dbraw/zinc/69/33/12/350693312.db2.gz QQISTLKDFKNKBZ-SNVBAGLBSA-N 0 0 287.319 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@H]4COC[C@@H]4C3)c2c1 ZINC000601195738 350694227 /nfs/dbraw/zinc/69/42/27/350694227.db2.gz BCUYCBIJRXFBOQ-RYUDHWBXSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC(C)(C)n2cccn2)n1 ZINC000601198228 350695141 /nfs/dbraw/zinc/69/51/41/350695141.db2.gz ROGXKZSOIMKBLR-UHFFFAOYSA-N 0 0 289.339 2.650 20 5 CFBDRN CCC[C@H](CNc1ncc(F)cc1[N+](=O)[O-])OCC ZINC000601202216 350696004 /nfs/dbraw/zinc/69/60/04/350696004.db2.gz YWFWATKQQXHWKK-SNVBAGLBSA-N 0 0 271.292 2.746 20 5 CFBDRN COc1cc(NCC(C)(C)F)c([N+](=O)[O-])cc1F ZINC000601205748 350696855 /nfs/dbraw/zinc/69/68/55/350696855.db2.gz FTOPALYDHBKTSW-UHFFFAOYSA-N 0 0 260.240 2.903 20 5 CFBDRN CCC[C@@H](CCO)Nc1ccc([N+](=O)[O-])cc1F ZINC000601211493 350698050 /nfs/dbraw/zinc/69/80/50/350698050.db2.gz DCRZIQZNSOLXOJ-VIFPVBQESA-N 0 0 256.277 2.697 20 5 CFBDRN COC(=O)c1ccnc(N[C@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000601210968 350698411 /nfs/dbraw/zinc/69/84/11/350698411.db2.gz UYQCFFFBSKEZRC-JTQLQIEISA-N 0 0 291.307 2.521 20 5 CFBDRN CCC[C@H](CCO)Nc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000601212439 350698888 /nfs/dbraw/zinc/69/88/88/350698888.db2.gz LLYCANMHZFGEKB-SECBINFHSA-N 0 0 286.303 2.706 20 5 CFBDRN CCC[C@H](CCO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000601213210 350699488 /nfs/dbraw/zinc/69/94/88/350699488.db2.gz ZBRLHVNFNRHYNL-SECBINFHSA-N 0 0 273.720 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3cccnc3)C2)cn1 ZINC000601217062 350700202 /nfs/dbraw/zinc/70/02/02/350700202.db2.gz VWEYJKJAIACTDM-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC(CCF)CC2)nc2sccn21 ZINC000601217941 350700817 /nfs/dbraw/zinc/70/08/17/350700817.db2.gz PVKGICHEQZMTGC-UHFFFAOYSA-N 0 0 298.343 2.880 20 5 CFBDRN CSC1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000601220444 350701107 /nfs/dbraw/zinc/70/11/07/350701107.db2.gz QJNVPLZCAHUVIA-UHFFFAOYSA-N 0 0 295.364 2.954 20 5 CFBDRN CCOC(=O)CCSc1ncc(Cl)cc1[N+](=O)[O-] ZINC000601221566 350701509 /nfs/dbraw/zinc/70/15/09/350701509.db2.gz OYLWJTVKVOMCQH-UHFFFAOYSA-N 0 0 290.728 2.689 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1NCC[C@@H](O)C(F)F ZINC000601223030 350701734 /nfs/dbraw/zinc/70/17/34/350701734.db2.gz VIMIPKYLNNLTQD-SECBINFHSA-N 0 0 296.220 2.960 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601629900 350750824 /nfs/dbraw/zinc/75/08/24/350750824.db2.gz UTDZGIPQGMLFFV-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN CN(C(=O)c1n[nH]cc1[N+](=O)[O-])[C@H]1CCC[C@@H]1C(C)(C)C ZINC000416296113 233566511 /nfs/dbraw/zinc/56/65/11/233566511.db2.gz UYNMWNKYTAYIIB-UWVGGRQHSA-N 0 0 294.355 2.605 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1c(F)cccc1[N+](=O)[O-] ZINC000601647454 350752816 /nfs/dbraw/zinc/75/28/16/350752816.db2.gz BLUNISYZPHFMHS-OPRDCNLKSA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)(F)CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000601687637 350759231 /nfs/dbraw/zinc/75/92/31/350759231.db2.gz HJCJJRHQMIMSHX-UHFFFAOYSA-N 0 0 282.315 2.737 20 5 CFBDRN CC(C)(F)CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000601688140 350760019 /nfs/dbraw/zinc/76/00/19/350760019.db2.gz IFNALSCKUHCFSK-UHFFFAOYSA-N 0 0 295.314 2.647 20 5 CFBDRN CC(C)(F)CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000601688789 350760103 /nfs/dbraw/zinc/76/01/03/350760103.db2.gz WGYSQRIQZNNUOU-UHFFFAOYSA-N 0 0 282.315 2.737 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601303788 350719956 /nfs/dbraw/zinc/71/99/56/350719956.db2.gz IJVXJEQSASJZMM-SECBINFHSA-N 0 0 266.272 2.606 20 5 CFBDRN CSC1CCN(C(=O)c2cccc([N+](=O)[O-])c2C)CC1 ZINC000601697193 350763071 /nfs/dbraw/zinc/76/30/71/350763071.db2.gz XSOXCLPNHCTENA-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)[C@H](C)O1 ZINC000601354732 350725837 /nfs/dbraw/zinc/72/58/37/350725837.db2.gz UYAWSGNGGQDGTP-VDDIYKPWSA-N 0 0 289.291 2.926 20 5 CFBDRN CN(C)c1noc(C2(c3ccc([N+](=O)[O-])cc3)CCC2)n1 ZINC000601370246 350727750 /nfs/dbraw/zinc/72/77/50/350727750.db2.gz NWYLZLQBGVKBTE-UHFFFAOYSA-N 0 0 288.307 2.514 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000416252779 233558789 /nfs/dbraw/zinc/55/87/89/233558789.db2.gz BGKCPKLZFQSVLK-VIFPVBQESA-N 0 0 285.303 2.606 20 5 CFBDRN CN(CC1CCC1)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000130014789 187466472 /nfs/dbraw/zinc/46/64/72/187466472.db2.gz LJAHXGWNXFEZBN-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CCOC[C@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000601527066 350736509 /nfs/dbraw/zinc/73/65/09/350736509.db2.gz RTLHZZGZVAIFHI-NSHDSACASA-N 0 0 298.314 2.525 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CCCC12CC2 ZINC000601554189 350739221 /nfs/dbraw/zinc/73/92/21/350739221.db2.gz CORIGEAWVGDNGZ-CYBMUJFWSA-N 0 0 289.335 2.727 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC12CC2 ZINC000601567715 350741202 /nfs/dbraw/zinc/74/12/02/350741202.db2.gz UWMRDGIUQAOCDR-CYBMUJFWSA-N 0 0 289.335 2.727 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(COC2CCC2)no1 ZINC000601966130 350820951 /nfs/dbraw/zinc/82/09/51/350820951.db2.gz WQAIYFUAGJTQFD-UHFFFAOYSA-N 0 0 275.264 2.714 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC12CC2 ZINC000601706400 350765662 /nfs/dbraw/zinc/76/56/62/350765662.db2.gz UBTAPVUBKZYZQQ-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1ccc(F)cc1[N+](=O)[O-] ZINC000601706013 350765704 /nfs/dbraw/zinc/76/57/04/350765704.db2.gz QSTCMJJYMLBFAH-LBPRGKRZSA-N 0 0 278.283 2.796 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1cc(F)cc([N+](=O)[O-])c1 ZINC000601707216 350765832 /nfs/dbraw/zinc/76/58/32/350765832.db2.gz ZMJIRXPTPRJDJN-GFCCVEGCSA-N 0 0 278.283 2.796 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@]12C[C@@H]1CCCC2 ZINC000601768334 350773628 /nfs/dbraw/zinc/77/36/28/350773628.db2.gz ASFMPCHTUHZVFV-BONVTDFDSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@]12C[C@@H]1CCCC2 ZINC000601779977 350775797 /nfs/dbraw/zinc/77/57/97/350775797.db2.gz ZGADIKRWYQYUNO-WFASDCNBSA-N 0 0 274.320 2.586 20 5 CFBDRN CC(=O)c1ccc(N(C)CC2CCOCC2)c([N+](=O)[O-])c1 ZINC000111164230 186363640 /nfs/dbraw/zinc/36/36/40/186363640.db2.gz ZRXBMSXHTCAZLM-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1c(C(=O)N2CCC(C)(F)CC2)cccc1[N+](=O)[O-] ZINC000601869315 350795113 /nfs/dbraw/zinc/79/51/13/350795113.db2.gz BGTSAZINLPFNLI-UHFFFAOYSA-N 0 0 280.299 2.867 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCC(C)(C)C ZINC000601913213 350800573 /nfs/dbraw/zinc/80/05/73/350800573.db2.gz QHPGJQBGKACVNA-UHFFFAOYSA-N 0 0 257.359 2.879 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSC[C@H]1CCO[C@H](C)C1 ZINC000601917360 350801344 /nfs/dbraw/zinc/80/13/44/350801344.db2.gz IAUDAUDLRRSBHH-PWSUYJOCSA-N 0 0 299.396 2.648 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1ncc(C)cc1C ZINC000601917228 350801504 /nfs/dbraw/zinc/80/15/04/350801504.db2.gz GYUGYGVVERRGHO-UHFFFAOYSA-N 0 0 292.364 2.904 20 5 CFBDRN Cn1nccc1CCSCc1cccc([N+](=O)[O-])c1 ZINC000601918113 350801758 /nfs/dbraw/zinc/80/17/58/350801758.db2.gz OFLKKOLTEZKIQX-UHFFFAOYSA-N 0 0 277.349 2.804 20 5 CFBDRN CCc1nocc1CSCCn1c(C)ncc1[N+](=O)[O-] ZINC000601918095 350802144 /nfs/dbraw/zinc/80/21/44/350802144.db2.gz RQRBIHDMBVXOJZ-UHFFFAOYSA-N 0 0 296.352 2.584 20 5 CFBDRN Cc1ncc(CSCCn2c(C)ncc2[N+](=O)[O-])s1 ZINC000601918211 350802286 /nfs/dbraw/zinc/80/22/86/350802286.db2.gz XUKVNJFXFPPEQI-UHFFFAOYSA-N 0 0 298.393 2.798 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2Cl)C(=O)[C@@H]2C[C@H]12 ZINC000601938077 350809355 /nfs/dbraw/zinc/80/93/55/350809355.db2.gz GSDPHCKIQBZKRQ-RKDXNWHRSA-N 0 0 279.683 2.607 20 5 CFBDRN COc1ccc(CNC(=O)CC(C)=C(C)C)cc1[N+](=O)[O-] ZINC000602079382 350837415 /nfs/dbraw/zinc/83/74/15/350837415.db2.gz GKLRBWSHAGTUHW-UHFFFAOYSA-N 0 0 292.335 2.966 20 5 CFBDRN C[C@H](CN(C)C(=O)c1ccc([N+](=O)[O-])n1C)C(C)(C)C ZINC000602219192 350853190 /nfs/dbraw/zinc/85/31/90/350853190.db2.gz XWBRNIRWEAYMCJ-SNVBAGLBSA-N 0 0 281.356 2.688 20 5 CFBDRN CCO[C@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])C(C)C ZINC000602270023 350857681 /nfs/dbraw/zinc/85/76/81/350857681.db2.gz QWFAWMSPPADTOI-AWEZNQCLSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CCCC23CC3)ccc1[N+](=O)[O-] ZINC000602284540 350858744 /nfs/dbraw/zinc/85/87/44/350858744.db2.gz DMCGNBYLIARLEJ-JTQLQIEISA-N 0 0 275.308 2.817 20 5 CFBDRN C[C@@H](NC(=O)N(C1CC1)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000602293579 350860485 /nfs/dbraw/zinc/86/04/85/350860485.db2.gz RFJRUKDFTFUCQB-SNVBAGLBSA-N 0 0 289.335 2.992 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)ccc1[N+](=O)[O-] ZINC000602302874 350861214 /nfs/dbraw/zinc/86/12/14/350861214.db2.gz PJBBBCAMOBFDEY-HOSYDEDBSA-N 0 0 278.308 2.655 20 5 CFBDRN CC(C)[C@H]1CCN1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602504595 350907881 /nfs/dbraw/zinc/90/78/81/350907881.db2.gz HSEMJHXIQGJIFF-GFCCVEGCSA-N 0 0 275.308 2.966 20 5 CFBDRN Cc1ccc2c(c1)N(Cc1cn(C)nc1[N+](=O)[O-])CCC2 ZINC000602600925 350940548 /nfs/dbraw/zinc/94/05/48/350940548.db2.gz XFLJUHBTVMWPJE-UHFFFAOYSA-N 0 0 286.335 2.590 20 5 CFBDRN Cc1c(C(=O)Nc2nccc(C3CC3)n2)cccc1[N+](=O)[O-] ZINC000602383637 350872276 /nfs/dbraw/zinc/87/22/76/350872276.db2.gz PQBKFHYRTHPRNJ-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000602398771 350874099 /nfs/dbraw/zinc/87/40/99/350874099.db2.gz ZRGVADYHADILGD-GBIKHYSHSA-N 0 0 293.323 2.591 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1C2CCC1CC2 ZINC000602456171 350889008 /nfs/dbraw/zinc/88/90/08/350889008.db2.gz VOIHZFYVSMYNLT-UHFFFAOYSA-N 0 0 292.360 2.840 20 5 CFBDRN CCN(CC1CC1)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000112200330 186474313 /nfs/dbraw/zinc/47/43/13/186474313.db2.gz PLQAQXDMRJOPFW-UHFFFAOYSA-N 0 0 289.335 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2cccc(CCO)c2)cc1 ZINC000602687431 350979317 /nfs/dbraw/zinc/97/93/17/350979317.db2.gz VWZHAUFEJJVELK-UHFFFAOYSA-N 0 0 286.331 2.784 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1cc(C)ccc1C ZINC000602769708 351019247 /nfs/dbraw/zinc/01/92/47/351019247.db2.gz VYTBGCZVUKKEOW-UHFFFAOYSA-N 0 0 288.351 2.853 20 5 CFBDRN C[C@@H]1C[C@H]1N(Cc1cn(C)nc1[N+](=O)[O-])c1ccccc1 ZINC000602841144 351043288 /nfs/dbraw/zinc/04/32/88/351043288.db2.gz RLXRBPPHCFSANC-BXUZGUMPSA-N 0 0 286.335 2.743 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@H](c2ccccc2)C1 ZINC000431501946 383678658 /nfs/dbraw/zinc/67/86/58/383678658.db2.gz IKOVNTXMMANMQK-ZDUSSCGKSA-N 0 0 286.335 2.712 20 5 CFBDRN CCC(C)(C)c1cn(Cc2cccc([N+](=O)[O-])c2)nn1 ZINC000603165311 351114018 /nfs/dbraw/zinc/11/40/18/351114018.db2.gz YCQCULBURZREQZ-UHFFFAOYSA-N 0 0 274.324 2.922 20 5 CFBDRN CC(C)/C=C/c1cn(Cc2ccccc2[N+](=O)[O-])nn1 ZINC000603187295 351115963 /nfs/dbraw/zinc/11/59/63/351115963.db2.gz QPJZVMLQFXRSAC-BQYQJAHWSA-N 0 0 272.308 2.904 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602951844 351071497 /nfs/dbraw/zinc/07/14/97/351071497.db2.gz JMUVLPZNTYXDLX-MNOVXSKESA-N 0 0 292.339 2.515 20 5 CFBDRN C[C@](CO)([NH2+]CC1=CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000602962086 351072709 /nfs/dbraw/zinc/07/27/09/351072709.db2.gz GRMIWXLOWPPHLK-OAHLLOKOSA-N 0 0 276.336 2.502 20 5 CFBDRN COc1ccc(CN2CCCCCO2)cc1[N+](=O)[O-] ZINC000603009417 351089975 /nfs/dbraw/zinc/08/99/75/351089975.db2.gz LZGPIMRBOZOFCO-UHFFFAOYSA-N 0 0 266.297 2.521 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCCCCO1 ZINC000603009205 351090389 /nfs/dbraw/zinc/09/03/89/351090389.db2.gz DHJIXGGDIAJQHS-UHFFFAOYSA-N 0 0 254.261 2.651 20 5 CFBDRN O=[N+]([O-])c1cc(CN2OC3CCC2CC3)cs1 ZINC000603033867 351093344 /nfs/dbraw/zinc/09/33/44/351093344.db2.gz URERVNUNZRUEFF-UHFFFAOYSA-N 0 0 254.311 2.715 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(C[C@H]2CCC[C@H](C)C2)c1=O ZINC000603358442 351146675 /nfs/dbraw/zinc/14/66/75/351146675.db2.gz JIBYSPKZVQJPRS-QWRGUYRKSA-N 0 0 280.324 2.591 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(CCC2=CCCCC2)c1=O ZINC000603358506 351146725 /nfs/dbraw/zinc/14/67/25/351146725.db2.gz KQKGYYKKAYSRPF-UHFFFAOYSA-N 0 0 278.308 2.656 20 5 CFBDRN CCc1nnc(SCc2cc([N+](=O)[O-])ccc2OC)o1 ZINC000603370178 351148555 /nfs/dbraw/zinc/14/85/55/351148555.db2.gz KWJBBTMFGXFVJK-UHFFFAOYSA-N 0 0 295.320 2.841 20 5 CFBDRN CCc1nnc(SCc2csc([N+](=O)[O-])c2)o1 ZINC000603371053 351148917 /nfs/dbraw/zinc/14/89/17/351148917.db2.gz PGASMRMBVZALGM-UHFFFAOYSA-N 0 0 271.323 2.894 20 5 CFBDRN Cc1ccc(NCCCOc2ncccn2)c([N+](=O)[O-])c1 ZINC000603403880 351157667 /nfs/dbraw/zinc/15/76/67/351157667.db2.gz WJKYAVPMSNPXAY-UHFFFAOYSA-N 0 0 288.307 2.574 20 5 CFBDRN CC(C)COC1CCN(c2cccnc2[N+](=O)[O-])CC1 ZINC000603932147 351193003 /nfs/dbraw/zinc/19/30/03/351193003.db2.gz PRIXPQOHGFRHBH-UHFFFAOYSA-N 0 0 279.340 2.631 20 5 CFBDRN COCc1cc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000603428517 351162275 /nfs/dbraw/zinc/16/22/75/351162275.db2.gz UWOPWBGEFUCHHW-JTQLQIEISA-N 0 0 291.307 2.580 20 5 CFBDRN Cc1n[nH]cc1CCCNc1ccc([N+](=O)[O-])s1 ZINC000603937061 351193109 /nfs/dbraw/zinc/19/31/09/351193109.db2.gz BPPZFBOZMXLMEO-UHFFFAOYSA-N 0 0 266.326 2.733 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@H]1C(F)F ZINC000603429366 351162952 /nfs/dbraw/zinc/16/29/52/351162952.db2.gz OKKMJFNQAOGFCZ-VIFPVBQESA-N 0 0 289.213 2.605 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000603517520 351171650 /nfs/dbraw/zinc/17/16/50/351171650.db2.gz CLOLOYUZYXGQNF-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000603623897 351176395 /nfs/dbraw/zinc/17/63/95/351176395.db2.gz FMESZXOCAXHWSP-SECBINFHSA-N 0 0 294.307 2.584 20 5 CFBDRN CC(=O)Nc1ccc(Nc2cccnc2[N+](=O)[O-])cc1 ZINC000603838450 351184533 /nfs/dbraw/zinc/18/45/33/351184533.db2.gz MQQOUSAIHRIUGF-UHFFFAOYSA-N 0 0 272.264 2.692 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCCc1nc2ccccc2[nH]1 ZINC000603845369 351185464 /nfs/dbraw/zinc/18/54/64/351185464.db2.gz OHOCYEDAQUKQAZ-UHFFFAOYSA-N 0 0 297.318 2.911 20 5 CFBDRN CCc1cc2c(nc(C)nc2-n2cc([N+](=O)[O-])cn2)s1 ZINC000603271809 351127860 /nfs/dbraw/zinc/12/78/60/351127860.db2.gz KFMSCBPLIRACTK-UHFFFAOYSA-N 0 0 289.320 2.656 20 5 CFBDRN Cc1ccc(C)c(OCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000603299462 351133681 /nfs/dbraw/zinc/13/36/81/351133681.db2.gz HOVXTMOUHAVOQH-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN COc1cccc(CSCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000603318739 351138073 /nfs/dbraw/zinc/13/80/73/351138073.db2.gz MKZBNJPFFHIQAH-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN Cc1nn(C[C@@H]2C[C@H]3C[C@H]3C2)c2ncc([N+](=O)[O-])cc12 ZINC000603317736 351138164 /nfs/dbraw/zinc/13/81/64/351138164.db2.gz BVWCHNVWUYSQRZ-JGPRNRPPSA-N 0 0 272.308 2.694 20 5 CFBDRN CC(C)CCCSCc1cn(C)nc1[N+](=O)[O-] ZINC000603321848 351138864 /nfs/dbraw/zinc/13/88/64/351138864.db2.gz DUIQMWKJTGYHQV-UHFFFAOYSA-N 0 0 257.359 2.998 20 5 CFBDRN C[C@H](Nc1ccc2c(n1)C(=O)CC2)c1ccccc1[N+](=O)[O-] ZINC000603328088 351140175 /nfs/dbraw/zinc/14/01/75/351140175.db2.gz FLUFRFXKOXOBOO-JTQLQIEISA-N 0 0 297.314 2.714 20 5 CFBDRN COc1cc(CNc2cccnc2[N+](=O)[O-])ccc1C ZINC000604304612 351205279 /nfs/dbraw/zinc/20/52/79/351205279.db2.gz OAIGVRWWXSOWDB-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC000604396241 351210985 /nfs/dbraw/zinc/21/09/85/351210985.db2.gz ROQYWRKISSNZCR-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN C[C@@H](CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000604547309 351218453 /nfs/dbraw/zinc/21/84/53/351218453.db2.gz CSIZKVHSGQEBSK-VIFPVBQESA-N 0 0 287.319 2.852 20 5 CFBDRN CSc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000116238383 186701463 /nfs/dbraw/zinc/70/14/63/186701463.db2.gz GNTCRJDHKCGKOZ-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN CS[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000117220013 186740915 /nfs/dbraw/zinc/74/09/15/186740915.db2.gz FRIAUDFBNLZFHV-RKDXNWHRSA-N 0 0 284.337 2.592 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000118624162 186778271 /nfs/dbraw/zinc/77/82/71/186778271.db2.gz ICKOROPZEMIUQO-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C(C)C)[C@H]1CCOC1 ZINC000291389314 198007760 /nfs/dbraw/zinc/00/77/60/198007760.db2.gz CVLOFTSKHBFQMU-ZDUSSCGKSA-N 0 0 292.335 2.543 20 5 CFBDRN CCC[C@@H]1CCC(=O)N(Cc2ccccc2[N+](=O)[O-])C1=O ZINC000118967895 186791366 /nfs/dbraw/zinc/79/13/66/186791366.db2.gz RMEWNRJGVJEOLK-LLVKDONJSA-N 0 0 290.319 2.660 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1CCCO1 ZINC000291367449 198000594 /nfs/dbraw/zinc/00/05/94/198000594.db2.gz CNQAEUUBUXCKJQ-GFCCVEGCSA-N 0 0 278.308 2.665 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCCO[C@@H](c2ccccc2)C1 ZINC000609232753 351492499 /nfs/dbraw/zinc/49/24/99/351492499.db2.gz ICZKKZZHRWLBHW-OAHLLOKOSA-N 0 0 299.330 2.958 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCCO[C@H](c2ccccc2)C1 ZINC000609232864 351492521 /nfs/dbraw/zinc/49/25/21/351492521.db2.gz JIOCXVYIPDOTTH-INIZCTEOSA-N 0 0 299.330 2.958 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2)C(C)(C)CO1 ZINC000609646094 351514075 /nfs/dbraw/zinc/51/40/75/351514075.db2.gz BWIISTPHHGWSSM-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN Cn1ccc(CSc2ccc([N+](=O)[O-])cc2)cc1=O ZINC000609390468 351501149 /nfs/dbraw/zinc/50/11/49/351501149.db2.gz MELKLHWLTJCXPX-UHFFFAOYSA-N 0 0 276.317 2.586 20 5 CFBDRN Cn1ccc(CSCc2ccc([N+](=O)[O-])cc2)cc1=O ZINC000609390781 351501356 /nfs/dbraw/zinc/50/13/56/351501356.db2.gz UAGOLZNCOCRBEW-UHFFFAOYSA-N 0 0 290.344 2.727 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609510974 351504204 /nfs/dbraw/zinc/50/42/04/351504204.db2.gz WNNVVLADCYNRIN-ZUZCIYMTSA-N 0 0 299.330 2.597 20 5 CFBDRN CC(C)n1cc([C@H](C)Nc2ccc([N+](=O)[O-])cn2)cn1 ZINC000609571305 351509084 /nfs/dbraw/zinc/50/90/84/351509084.db2.gz WOYMLUCWLSSSTB-JTQLQIEISA-N 0 0 275.312 2.940 20 5 CFBDRN CC(C)[C@H](C)N(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000609586090 351510007 /nfs/dbraw/zinc/51/00/07/351510007.db2.gz BOILWVVWGCHDIO-QMMMGPOBSA-N 0 0 268.342 2.785 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000291419220 198016626 /nfs/dbraw/zinc/01/66/26/198016626.db2.gz KDRYVEAUUKEMDW-VIFPVBQESA-N 0 0 266.297 2.521 20 5 CFBDRN CC(C)c1cc(CNc2cccnc2[N+](=O)[O-])on1 ZINC000609625311 351511842 /nfs/dbraw/zinc/51/18/42/351511842.db2.gz AAQUUNTXYKFKSI-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN Cc1cccc2c1CCCN2C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000609625012 351512179 /nfs/dbraw/zinc/51/21/79/351512179.db2.gz XRDPUIZSJBRGAN-UHFFFAOYSA-N 0 0 285.303 2.824 20 5 CFBDRN Cc1noc(C)c1[C@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000609606084 351512264 /nfs/dbraw/zinc/51/22/64/351512264.db2.gz XVFUCSFVMQADKJ-LURJTMIESA-N 0 0 295.320 2.752 20 5 CFBDRN Cc1c(C(=O)Nc2ccc3nccn3c2)cccc1[N+](=O)[O-] ZINC000609618986 351512306 /nfs/dbraw/zinc/51/23/06/351512306.db2.gz WAODSCJQUNCUKX-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1C1CC1 ZINC000609134986 351486610 /nfs/dbraw/zinc/48/66/10/351486610.db2.gz JZSIYXFYRCPAJO-AWEZNQCLSA-N 0 0 289.335 2.679 20 5 CFBDRN CCCCOCCNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000119417279 186827007 /nfs/dbraw/zinc/82/70/07/186827007.db2.gz LOQRRNVSBWYONC-UHFFFAOYSA-N 0 0 286.303 2.971 20 5 CFBDRN Cc1c(C(=O)N(C(C)C)[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000291401671 198011787 /nfs/dbraw/zinc/01/17/87/198011787.db2.gz DQLBKSRYDMAMAJ-GFCCVEGCSA-N 0 0 292.335 2.543 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCCO1 ZINC000291400079 198011965 /nfs/dbraw/zinc/01/19/65/198011965.db2.gz HQTHBTCVLOAINQ-CYBMUJFWSA-N 0 0 278.308 2.665 20 5 CFBDRN CCC[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccn1 ZINC000609180074 351490474 /nfs/dbraw/zinc/49/04/74/351490474.db2.gz ZSOQVBWCMHDNDH-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000609189653 351490734 /nfs/dbraw/zinc/49/07/34/351490734.db2.gz DJLOJUCPQPHAAO-ZDUSSCGKSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609189583 351490771 /nfs/dbraw/zinc/49/07/71/351490771.db2.gz ASTCMXXTTXJCPI-AWEZNQCLSA-N 0 0 299.330 2.659 20 5 CFBDRN O=c1[nH]c(Cc2ccccc2[N+](=O)[O-])nc2cccc(F)c12 ZINC000609224136 351491752 /nfs/dbraw/zinc/49/17/52/351491752.db2.gz JBGULGGNPNWLTN-UHFFFAOYSA-N 0 0 299.261 2.974 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2OCCc3ccccc32)cn1 ZINC000609797375 351519712 /nfs/dbraw/zinc/51/97/12/351519712.db2.gz RUYGNNWQVFQABM-AWEZNQCLSA-N 0 0 285.303 2.716 20 5 CFBDRN CC(C)(CNc1ccncc1[N+](=O)[O-])c1cccc(F)c1 ZINC000609823289 351521797 /nfs/dbraw/zinc/52/17/97/351521797.db2.gz QICFABBQCALQGS-UHFFFAOYSA-N 0 0 289.310 2.941 20 5 CFBDRN O=C(NCCCC1CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000609890470 351526261 /nfs/dbraw/zinc/52/62/61/351526261.db2.gz FMKRZWRLRRWELC-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000609880864 351526410 /nfs/dbraw/zinc/52/64/10/351526410.db2.gz YURVSCPLLHWAFL-WZRBSPASSA-N 0 0 291.351 2.727 20 5 CFBDRN Cc1cccc([C@@H](C)CNc2ccncc2[N+](=O)[O-])c1 ZINC000610160900 351535317 /nfs/dbraw/zinc/53/53/17/351535317.db2.gz UWHDJJVTCCCRTE-LBPRGKRZSA-N 0 0 271.320 2.936 20 5 CFBDRN C[C@@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)c1ccco1 ZINC000610169485 351536541 /nfs/dbraw/zinc/53/65/41/351536541.db2.gz DVPPCQTVOINRDX-SNVBAGLBSA-N 0 0 286.287 2.881 20 5 CFBDRN C[C@H](C(=O)NCc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000610172311 351536881 /nfs/dbraw/zinc/53/68/81/351536881.db2.gz OXTHIOVGWRSSIH-JTQLQIEISA-N 0 0 274.276 2.608 20 5 CFBDRN C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1ccco1 ZINC000610170202 351536889 /nfs/dbraw/zinc/53/68/89/351536889.db2.gz GCWXLESMQVRXDB-JTQLQIEISA-N 0 0 286.287 2.881 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000610185592 351537659 /nfs/dbraw/zinc/53/76/59/351537659.db2.gz BSSYNZWZTRZEOD-UHFFFAOYSA-N 0 0 267.329 2.632 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@H](C)C[C@@H]1C ZINC000291427636 198019486 /nfs/dbraw/zinc/01/94/86/198019486.db2.gz LIWZOKGZNWJZLD-IMSIIYSGSA-N 0 0 292.335 2.909 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSc1cnccn1 ZINC000610247597 351544126 /nfs/dbraw/zinc/54/41/26/351544126.db2.gz GSQDGHIJVGBBQH-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCSc2cnccn2)cc1 ZINC000610247615 351544207 /nfs/dbraw/zinc/54/42/07/351544207.db2.gz LEZLJQHSRNYXLZ-UHFFFAOYSA-N 0 0 291.332 2.946 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC[C@H]2CCCO2)s1 ZINC000610272649 351545355 /nfs/dbraw/zinc/54/53/55/351545355.db2.gz NHAGYJIFLDEATE-SNVBAGLBSA-N 0 0 286.353 2.654 20 5 CFBDRN Cc1cnc(N2CC[C@@H](c3ccco3)C2)c([N+](=O)[O-])c1 ZINC000610283990 351549053 /nfs/dbraw/zinc/54/90/53/351549053.db2.gz NVDFAOXKPOFIBN-LLVKDONJSA-N 0 0 273.292 2.885 20 5 CFBDRN Cc1ccnc(NCCN2Cc3ccccc3C2)c1[N+](=O)[O-] ZINC000609669872 351515414 /nfs/dbraw/zinc/51/54/14/351515414.db2.gz ZPPPCBSLODPXIL-UHFFFAOYSA-N 0 0 298.346 2.726 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](OC)C1CC1 ZINC000291436357 198020961 /nfs/dbraw/zinc/02/09/61/198020961.db2.gz MGVOTIIWRFRYDF-ZDUSSCGKSA-N 0 0 278.308 2.521 20 5 CFBDRN CCOCCN(CC)c1cc(OC)c([N+](=O)[O-])cc1F ZINC000119754197 186849631 /nfs/dbraw/zinc/84/96/31/186849631.db2.gz MIYIBVATTJOXAG-UHFFFAOYSA-N 0 0 286.303 2.605 20 5 CFBDRN CCCCO[C@@H]1C[C@@H](Nc2ccncc2[N+](=O)[O-])C1(C)C ZINC000610510540 351574406 /nfs/dbraw/zinc/57/44/06/351574406.db2.gz SDBLJAABNFGKGU-ZIAGYGMSSA-N 0 0 293.367 2.807 20 5 CFBDRN CN(CCc1ccncc1)c1ccsc1[N+](=O)[O-] ZINC000610517327 351576502 /nfs/dbraw/zinc/57/65/02/351576502.db2.gz UVMQDKXFDQTJIA-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN CC[C@H](C)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000119783510 186851835 /nfs/dbraw/zinc/85/18/35/186851835.db2.gz SMNOADUGUDZFDR-QMMMGPOBSA-N 0 0 261.281 2.604 20 5 CFBDRN CC(C)[C@H]1OCCC[C@@H]1CNc1cccnc1[N+](=O)[O-] ZINC000610588044 351585005 /nfs/dbraw/zinc/58/50/05/351585005.db2.gz XWWNURLHFJSGSO-DGCLKSJQSA-N 0 0 279.340 2.853 20 5 CFBDRN C[C@H](Nc1ccncc1[N+](=O)[O-])[C@H]1Oc2ccccc2[C@@H]1C ZINC000610647757 351587467 /nfs/dbraw/zinc/58/74/67/351587467.db2.gz LOZBJURUKIEQBF-MMPTUQATSA-N 0 0 299.330 2.777 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1C[C@H](OC)C1(C)C ZINC000610651857 351588218 /nfs/dbraw/zinc/58/82/18/351588218.db2.gz BJYLRTIYYAVGMR-KBPBESRZSA-N 0 0 294.351 2.967 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])s2)C1(C)C ZINC000610651936 351588451 /nfs/dbraw/zinc/58/84/51/351588451.db2.gz RFEARQVARBDSIS-SFYZADRCSA-N 0 0 256.327 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCc3n[nH]cc3C2)c1 ZINC000610677559 351591014 /nfs/dbraw/zinc/59/10/14/351591014.db2.gz IJRDZHJOOWTOBF-NSHDSACASA-N 0 0 272.308 2.596 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000610726235 351598734 /nfs/dbraw/zinc/59/87/34/351598734.db2.gz DPJKQSQTVBMMNA-JTQLQIEISA-N 0 0 270.354 2.908 20 5 CFBDRN Cc1ccnc(N2CCN(c3cccc([N+](=O)[O-])c3)CC2)c1 ZINC000610734349 351599158 /nfs/dbraw/zinc/59/91/58/351599158.db2.gz NXBRUPNFLSFZBK-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@H]2CCC[C@@H]21 ZINC000610762737 351605762 /nfs/dbraw/zinc/60/57/62/351605762.db2.gz RPUOZSSIDFGOJQ-MFKMUULPSA-N 0 0 262.309 2.588 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000610856244 351614018 /nfs/dbraw/zinc/61/40/18/351614018.db2.gz KEPHGXRDDBWBJS-MRVPVSSYSA-N 0 0 274.271 2.627 20 5 CFBDRN Cc1nc(NC(=O)C2(C(F)(F)F)CC2)ccc1[N+](=O)[O-] ZINC000610774688 351607597 /nfs/dbraw/zinc/60/75/97/351607597.db2.gz PFFSEXHQRWPELC-UHFFFAOYSA-N 0 0 289.213 2.579 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)C[C@@H]2CCCO2)n1 ZINC000610806849 351610395 /nfs/dbraw/zinc/61/03/95/351610395.db2.gz XAHQSKZEBCYTMK-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC(C2CCCC2)CC1 ZINC000610300047 351553187 /nfs/dbraw/zinc/55/31/87/351553187.db2.gz WUAZVXGHIZTUQC-UHFFFAOYSA-N 0 0 291.351 2.965 20 5 CFBDRN CCC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000120391214 186896934 /nfs/dbraw/zinc/89/69/34/186896934.db2.gz ICVFPLULFTZODU-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC2(CC(F)C2)C1 ZINC000611077257 351637711 /nfs/dbraw/zinc/63/77/11/351637711.db2.gz BRTBSORESIATQC-UHFFFAOYSA-N 0 0 298.701 2.822 20 5 CFBDRN Cc1cccc(C(=O)N2CC(C(C)C)C2)c1[N+](=O)[O-] ZINC000611079062 351638383 /nfs/dbraw/zinc/63/83/83/351638383.db2.gz IOUVRUCRQPLTGN-UHFFFAOYSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1ccc(CC(=O)Nc2cc([N+](=O)[O-])ccc2C)o1 ZINC000611080523 351638412 /nfs/dbraw/zinc/63/84/12/351638412.db2.gz UGXUXQSRDQLUPO-UHFFFAOYSA-N 0 0 274.276 2.986 20 5 CFBDRN CN(C)c1cccc(CNc2ccsc2[N+](=O)[O-])n1 ZINC000611104628 351640137 /nfs/dbraw/zinc/64/01/37/351640137.db2.gz XEQZWLDNAIFINE-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN C[C@@H](O)CN(Cc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000611103848 351640317 /nfs/dbraw/zinc/64/03/17/351640317.db2.gz FITRFCAQEDCGPO-CYBMUJFWSA-N 0 0 286.331 2.982 20 5 CFBDRN C[C@@H](NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000611121786 351642719 /nfs/dbraw/zinc/64/27/19/351642719.db2.gz RSVOBEURZWQEFL-SNVBAGLBSA-N 0 0 277.324 2.583 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CCC1 ZINC000611121824 351643022 /nfs/dbraw/zinc/64/30/22/351643022.db2.gz AHCWROWJUYHIQR-SECBINFHSA-N 0 0 287.319 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCO[C@@H]2C2CC2)s1 ZINC000611166636 351646229 /nfs/dbraw/zinc/64/62/29/351646229.db2.gz IEYVLWNIZSWDOC-LDYMZIIASA-N 0 0 254.311 2.636 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC[C@H](C(F)F)C1 ZINC000611179472 351648948 /nfs/dbraw/zinc/64/89/48/351648948.db2.gz CUPBVWXZLFIZGP-VIFPVBQESA-N 0 0 286.278 2.690 20 5 CFBDRN CC[N@@H+]1C[C@H](C)N(c2ccc([N+](=O)[O-])s2)C[C@H]1C ZINC000611222026 351652370 /nfs/dbraw/zinc/65/23/70/351652370.db2.gz HMAXENQELHFMEW-ZJUUUORDSA-N 0 0 269.370 2.575 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H](CO)CC1CCCC1 ZINC000611222221 351652458 /nfs/dbraw/zinc/65/24/58/351652458.db2.gz IDEKZKXRZOGDBO-LLVKDONJSA-N 0 0 299.758 2.996 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC(c2cccnc2)CC1 ZINC000611256650 351658581 /nfs/dbraw/zinc/65/85/81/351658581.db2.gz KZSXUASEUWMCPD-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN CCc1ocnc1C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000291510920 198040268 /nfs/dbraw/zinc/04/02/68/198040268.db2.gz WNOAYPYCXLNBKJ-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NCCCC(C)(C)C)c1[N+](=O)[O-] ZINC000610923306 351621697 /nfs/dbraw/zinc/62/16/97/351621697.db2.gz YVYWUCREQRBGJX-UHFFFAOYSA-N 0 0 296.371 2.997 20 5 CFBDRN CCC[C@@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000610968482 351626836 /nfs/dbraw/zinc/62/68/36/351626836.db2.gz KXGABTVCIGNSIW-SNVBAGLBSA-N 0 0 264.325 2.751 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1ncc(C)cc1[N+](=O)[O-] ZINC000611373604 351677166 /nfs/dbraw/zinc/67/71/66/351677166.db2.gz JPIRXXNATTXXPC-JQWIXIFHSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@@H](NC(=O)CCn1cccc1)c1ccccc1[N+](=O)[O-] ZINC000611401753 351683199 /nfs/dbraw/zinc/68/31/99/351683199.db2.gz GUWOTQYKJBNZAU-GFCCVEGCSA-N 0 0 287.319 2.664 20 5 CFBDRN Cc1ccc(NC(=O)c2ccn(C(F)F)n2)cc1[N+](=O)[O-] ZINC000611410143 351684975 /nfs/dbraw/zinc/68/49/75/351684975.db2.gz QWAZQCAHFCNBNG-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2sccc2[N+](=O)[O-])C1(C)C ZINC000611413297 351685919 /nfs/dbraw/zinc/68/59/19/351685919.db2.gz DSYDKYDIRPGYIE-BDAKNGLRSA-N 0 0 256.327 2.882 20 5 CFBDRN CCC[C@H](CCO)CNc1sccc1[N+](=O)[O-] ZINC000611412847 351686094 /nfs/dbraw/zinc/68/60/94/351686094.db2.gz NQWUQKHVJVYXDP-SECBINFHSA-N 0 0 258.343 2.867 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000611413576 351686840 /nfs/dbraw/zinc/68/68/40/351686840.db2.gz FGZJFRNSWRSIFD-QWRGUYRKSA-N 0 0 282.365 2.909 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1sccc1[N+](=O)[O-] ZINC000611414350 351686977 /nfs/dbraw/zinc/68/69/77/351686977.db2.gz VOXRMCHTAHKZOT-WCBMZHEXSA-N 0 0 256.327 2.883 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1CCN(c2ccccn2)C1 ZINC000611414579 351687774 /nfs/dbraw/zinc/68/77/74/351687774.db2.gz KIGQSVCTSQOVPM-SNVBAGLBSA-N 0 0 290.348 2.742 20 5 CFBDRN Cc1cnc(N2CCC[C@H]2C2CCOCC2)c([N+](=O)[O-])c1 ZINC000611467959 351693132 /nfs/dbraw/zinc/69/31/32/351693132.db2.gz XUHYYEGFRIZPPC-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2C2CCOCC2)ncc1[N+](=O)[O-] ZINC000611467794 351693485 /nfs/dbraw/zinc/69/34/85/351693485.db2.gz VYYJSEGWYASHBJ-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc(NC(=O)C2(C)CCOCC2)ccc1[N+](=O)[O-] ZINC000611475386 351694744 /nfs/dbraw/zinc/69/47/44/351694744.db2.gz CTNNPNXCBHOBJY-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@H](F)C2)c(F)cc1[N+](=O)[O-] ZINC000611494155 351696844 /nfs/dbraw/zinc/69/68/44/351696844.db2.gz FBFLGXHXGMBOQK-PSASIEDQSA-N 0 0 286.278 2.927 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@@H](F)C2)c([N+](=O)[O-])cc1F ZINC000611494618 351697237 /nfs/dbraw/zinc/69/72/37/351697237.db2.gz VUOOKXHIJBMTNZ-WPRPVWTQSA-N 0 0 286.278 2.927 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000611495368 351697414 /nfs/dbraw/zinc/69/74/14/351697414.db2.gz SVEAEYYBHGGCBW-GZMMTYOYSA-N 0 0 282.727 2.563 20 5 CFBDRN COc1cc(N2CCO[C@H](C(C)C)C2)c([N+](=O)[O-])cc1F ZINC000611494808 351697569 /nfs/dbraw/zinc/69/75/69/351697569.db2.gz VSQQMEMXFBNIRY-AWEZNQCLSA-N 0 0 298.314 2.604 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)[C@@H](F)C2)cc([N+](=O)[O-])c1 ZINC000611507015 351700904 /nfs/dbraw/zinc/70/09/04/351700904.db2.gz VXLNJRZQSKFBLR-MFKMUULPSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@@H](C)[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000611506541 351700945 /nfs/dbraw/zinc/70/09/45/351700945.db2.gz OJHPOMWOEJDWFC-MFKMUULPSA-N 0 0 294.326 2.652 20 5 CFBDRN Cc1cnc(N2CC(Cc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000611510205 351701862 /nfs/dbraw/zinc/70/18/62/351701862.db2.gz GJKJTOFFTGRQTC-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC=CCC1 ZINC000611513420 351702831 /nfs/dbraw/zinc/70/28/31/351702831.db2.gz AGZPXDDYXBBMMZ-UHFFFAOYSA-N 0 0 283.287 2.545 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1ccc2c(c1)OCO2 ZINC000611289807 351664026 /nfs/dbraw/zinc/66/40/26/351664026.db2.gz NDHDJAMQGNNXBS-UHFFFAOYSA-N 0 0 278.289 2.997 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(CC(F)(F)F)C1 ZINC000611298089 351665379 /nfs/dbraw/zinc/66/53/79/351665379.db2.gz QECZPJJPNVPINK-UHFFFAOYSA-N 0 0 275.230 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(C(F)F)C2)cc1OC(F)F ZINC000611303769 351667105 /nfs/dbraw/zinc/66/71/05/351667105.db2.gz TXCQCTTZVQLFRP-UHFFFAOYSA-N 0 0 294.204 2.898 20 5 CFBDRN COCC1(C)CCN(c2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000611338944 351670884 /nfs/dbraw/zinc/67/08/84/351670884.db2.gz BKTBIBOSTKPTGG-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN COCC1(C)CCN(c2nccc(C)c2[N+](=O)[O-])CC1 ZINC000611338973 351670995 /nfs/dbraw/zinc/67/09/95/351670995.db2.gz LUUUICYCEIGAOU-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1cnn(C)c1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000291670563 198058105 /nfs/dbraw/zinc/05/81/05/198058105.db2.gz GIZOGFCTJQEXDB-UHFFFAOYSA-N 0 0 288.351 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3C[C@@H]4CCC[C@@H]4C3)c2c1 ZINC000611708443 351726844 /nfs/dbraw/zinc/72/68/44/351726844.db2.gz OHHJSCURGXHFJT-PHIMTYICSA-N 0 0 284.319 2.774 20 5 CFBDRN CC[C@@H]1CCCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611708322 351726911 /nfs/dbraw/zinc/72/69/11/351726911.db2.gz BYIBFEMGWYCDHC-SNVBAGLBSA-N 0 0 272.308 2.917 20 5 CFBDRN C[C@H]1CN(c2ncnc3ccc([N+](=O)[O-])cc32)C[C@@H]1C ZINC000611705897 351727033 /nfs/dbraw/zinc/72/70/33/351727033.db2.gz IDVQXBRXMVQGIL-UWVGGRQHSA-N 0 0 272.308 2.630 20 5 CFBDRN C[C@H]1CCN(c2ncnc3ccc([N+](=O)[O-])cc32)[C@H]1C ZINC000611709893 351727577 /nfs/dbraw/zinc/72/75/77/351727577.db2.gz UWMDXMDJAKBYAQ-UWVGGRQHSA-N 0 0 272.308 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@@H](C4CC4)C3)c2c1 ZINC000611712965 351727726 /nfs/dbraw/zinc/72/77/26/351727726.db2.gz ULGXCIUQTZMZCX-LLVKDONJSA-N 0 0 284.319 2.774 20 5 CFBDRN COC(=O)[C@@H](CC(C)(C)C)NCc1ccc([N+](=O)[O-])cc1 ZINC000611727732 351730871 /nfs/dbraw/zinc/73/08/71/351730871.db2.gz ZBYBWYONIRLRIV-CYBMUJFWSA-N 0 0 294.351 2.662 20 5 CFBDRN CO[C@H]1CCCN(c2sccc2[N+](=O)[O-])CC1 ZINC000611931191 351752047 /nfs/dbraw/zinc/75/20/47/351752047.db2.gz INYJYDSTHXBWBK-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN COc1ccc(NC(=O)N(C)[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000611828200 351744236 /nfs/dbraw/zinc/74/42/36/351744236.db2.gz GPZADSGVOWNGCM-VIFPVBQESA-N 0 0 293.323 2.866 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)C[C@@H]2CCOC2)n1 ZINC000611617313 351715147 /nfs/dbraw/zinc/71/51/47/351715147.db2.gz WTZQKOSXNAQZEP-NEPJUHHUSA-N 0 0 279.340 2.834 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](CO)CC(C)(C)C)n1 ZINC000611617451 351715595 /nfs/dbraw/zinc/71/55/95/351715595.db2.gz WDZAEKSWTLBXKM-LLVKDONJSA-N 0 0 281.356 2.816 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC3(CC(F)C3)C2)n1 ZINC000611617275 351715627 /nfs/dbraw/zinc/71/56/27/351715627.db2.gz BMECMWQBNAMCTI-UHFFFAOYSA-N 0 0 265.288 2.545 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000121620735 186985546 /nfs/dbraw/zinc/98/55/46/186985546.db2.gz SSMRMWQLTHAAJI-LLVKDONJSA-N 0 0 262.309 2.857 20 5 CFBDRN CCc1nnc(COc2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000612024021 351762898 /nfs/dbraw/zinc/76/28/98/351762898.db2.gz MZZGBSOWRWYOHZ-UHFFFAOYSA-N 0 0 279.321 2.896 20 5 CFBDRN COc1ccc2c(c1)CC[C@@H](Nc1cccnc1[N+](=O)[O-])C2 ZINC000612098690 351774235 /nfs/dbraw/zinc/77/42/35/351774235.db2.gz ZNDPMPADDSZPDH-CYBMUJFWSA-N 0 0 299.330 2.968 20 5 CFBDRN COc1ccc2c(c1)CC[C@H](Nc1ccc([N+](=O)[O-])cn1)C2 ZINC000612098286 351774421 /nfs/dbraw/zinc/77/44/21/351774421.db2.gz JEKLYSVFHUXPKE-ZDUSSCGKSA-N 0 0 299.330 2.968 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCCCC3)c21 ZINC000612438250 351821709 /nfs/dbraw/zinc/82/17/09/351821709.db2.gz RSBKISXEQPPRMW-UHFFFAOYSA-N 0 0 258.281 2.528 20 5 CFBDRN C[C@H](c1ccncc1)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000612468221 351824772 /nfs/dbraw/zinc/82/47/72/351824772.db2.gz XJDSMBAJLCYUHD-LLVKDONJSA-N 0 0 284.319 2.504 20 5 CFBDRN CCOc1ccc(CN2CCc3ccc([N+](=O)[O-])cc32)nc1 ZINC000612500024 351828515 /nfs/dbraw/zinc/82/85/15/351828515.db2.gz UZEZFNUUQYOZLK-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN CCOC(=O)c1cc(NCCCCOC)ccc1[N+](=O)[O-] ZINC000122043252 187012038 /nfs/dbraw/zinc/01/20/38/187012038.db2.gz XYRXATIHSQLJRH-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN Cc1cc(C(=O)N(C)CCC(F)(F)F)cc([N+](=O)[O-])c1 ZINC000122151628 187017635 /nfs/dbraw/zinc/01/76/35/187017635.db2.gz GQIVCXLCLSWPBW-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN Cc1ccccc1CN(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000612566057 351836731 /nfs/dbraw/zinc/83/67/31/351836731.db2.gz RGJPTCFTCQJAPE-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])[nH]2)CCC(F)(F)CC1 ZINC000612335449 351805518 /nfs/dbraw/zinc/80/55/18/351805518.db2.gz QYKNZNABBUDAFH-UHFFFAOYSA-N 0 0 287.266 2.621 20 5 CFBDRN CSC[C@H](C)Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000123143893 187074006 /nfs/dbraw/zinc/07/40/06/187074006.db2.gz UIJACAZLXBOWIU-QMMMGPOBSA-N 0 0 295.364 2.643 20 5 CFBDRN C[C@@H](O)CN(C)c1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000122324159 187027191 /nfs/dbraw/zinc/02/71/91/187027191.db2.gz SZGVHWZUDUMJCO-ZCFIWIBFSA-N 0 0 279.123 2.719 20 5 CFBDRN COCC1(COc2ccc(OC)c([N+](=O)[O-])c2)CCC1 ZINC000291773669 198087728 /nfs/dbraw/zinc/08/77/28/198087728.db2.gz DXNBOCAYGSEVRJ-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CSCCS1 ZINC000122377868 187029049 /nfs/dbraw/zinc/02/90/49/187029049.db2.gz DYMDUGTXJKOIEI-SNVBAGLBSA-N 0 0 285.394 2.559 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1CSCCS1 ZINC000122392234 187030219 /nfs/dbraw/zinc/03/02/19/187030219.db2.gz CBCBWMGZCOQUPW-SECBINFHSA-N 0 0 270.379 2.855 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1CSCCS1 ZINC000122394938 187030376 /nfs/dbraw/zinc/03/03/76/187030376.db2.gz PQHCYCYWHMGFSP-SECBINFHSA-N 0 0 288.369 2.994 20 5 CFBDRN Cc1ncc(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)s1 ZINC000122531498 187037207 /nfs/dbraw/zinc/03/72/07/187037207.db2.gz HCFCXRHLARCRBV-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCc1ccc(C(=O)NCC(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000122578544 187038857 /nfs/dbraw/zinc/03/88/57/187038857.db2.gz XMGZHQBQIYTWCW-UHFFFAOYSA-N 0 0 292.335 2.502 20 5 CFBDRN CCOc1cccc(NCc2ccc([N+](=O)[O-])cc2C)n1 ZINC000612625046 351846105 /nfs/dbraw/zinc/84/61/05/351846105.db2.gz GWUXEURUXQAANR-UHFFFAOYSA-N 0 0 287.319 2.731 20 5 CFBDRN CN(C[C@H]1CCCO1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000291752386 198080379 /nfs/dbraw/zinc/08/03/79/198080379.db2.gz AHVROBAHKZJYMF-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc(NC[C@@H]2CSCCS2)ncc1[N+](=O)[O-] ZINC000122916759 187059635 /nfs/dbraw/zinc/05/96/35/187059635.db2.gz SKKCUWLQLGVRGL-SECBINFHSA-N 0 0 285.394 2.559 20 5 CFBDRN Cc1nn(C)c(N2CC[C@H](C)C(C)(C)C2)c1[N+](=O)[O-] ZINC000612666817 351849696 /nfs/dbraw/zinc/84/96/96/351849696.db2.gz OZXGKURYABNWMS-VIFPVBQESA-N 0 0 266.345 2.509 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1[C@H](C)CC[C@H]1C ZINC000123195602 187077108 /nfs/dbraw/zinc/07/71/08/187077108.db2.gz ALDVYAPTNXYSDX-VXGBXAGGSA-N 0 0 291.351 2.715 20 5 CFBDRN CCOc1cc(Oc2ccc([N+](=O)[O-])cc2OC)ncn1 ZINC000123338190 187086026 /nfs/dbraw/zinc/08/60/26/187086026.db2.gz KCBHWHKKUNTQPC-UHFFFAOYSA-N 0 0 291.263 2.584 20 5 CFBDRN Cc1nn(C)c(C)c1OCc1ccc([N+](=O)[O-])cc1 ZINC000123360901 187087433 /nfs/dbraw/zinc/08/74/33/187087433.db2.gz MOYUWDURCDUPJH-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN COC[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000123509121 187095930 /nfs/dbraw/zinc/09/59/30/187095930.db2.gz WXNQLWBQNXJAQH-GFCCVEGCSA-N 0 0 250.298 2.740 20 5 CFBDRN CO[C@](C)(CNC(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000291961716 198117490 /nfs/dbraw/zinc/11/74/90/198117490.db2.gz RJZNVDMKCPCVFV-CQSZACIVSA-N 0 0 293.323 2.531 20 5 CFBDRN CS[C@H](C)CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000131308200 187556682 /nfs/dbraw/zinc/55/66/82/187556682.db2.gz CAQUXPNOHPAIPI-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@@H](C)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000131495893 187569080 /nfs/dbraw/zinc/56/90/80/187569080.db2.gz LLLAZZNTPFSIKM-NEPJUHHUSA-N 0 0 290.363 2.950 20 5 CFBDRN C[C@@]1(CNc2cccnc2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000613433960 351958942 /nfs/dbraw/zinc/95/89/42/351958942.db2.gz FWBOXEDISOOLLG-JSGCOSHPSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1cc(COc2cc(C)c([N+](=O)[O-])cc2F)nn1C ZINC000614071700 352042740 /nfs/dbraw/zinc/04/27/40/352042740.db2.gz WWQLLPCKBJXYEQ-UHFFFAOYSA-N 0 0 279.271 2.663 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000131740176 187584557 /nfs/dbraw/zinc/58/45/57/187584557.db2.gz QDIYLXPABBVSOH-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN CC(C)=C[C@@H]1[C@@H](C(=O)Nc2c([N+](=O)[O-])cnn2C)C1(C)C ZINC000613849116 352017015 /nfs/dbraw/zinc/01/70/15/352017015.db2.gz FTDUDMJLDLVIOK-KOLCDFICSA-N 0 0 292.339 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H]2CCO)s1 ZINC000614534258 352102700 /nfs/dbraw/zinc/10/27/00/352102700.db2.gz ZIYKJJKDDNNLLY-BDAKNGLRSA-N 0 0 256.327 2.619 20 5 CFBDRN CC[C@]1(C)CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000615498627 352199066 /nfs/dbraw/zinc/19/90/66/352199066.db2.gz YKBAJFKTPGYSDC-LLVKDONJSA-N 0 0 256.327 2.662 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000615496977 352199223 /nfs/dbraw/zinc/19/92/23/352199223.db2.gz NMJMBXIWFSHZEW-NSHDSACASA-N 0 0 256.327 2.662 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)[C@@H](C)[C@H](C)O1 ZINC000614980293 352139209 /nfs/dbraw/zinc/13/92/09/352139209.db2.gz MIQPFRDJGGJPJD-VGMNWLOBSA-N 0 0 256.327 2.658 20 5 CFBDRN COc1ccc(NCC(C)=Cc2cccc([N+](=O)[O-])c2)nc1 ZINC000615721938 352235903 /nfs/dbraw/zinc/23/59/03/352235903.db2.gz BWNYPHXCYFYMOS-WQLSENKSSA-N 0 0 299.330 2.936 20 5 CFBDRN Cc1cc(CNc2ccc(Cl)cc2[N+](=O)[O-])[nH]n1 ZINC000615942872 352265219 /nfs/dbraw/zinc/26/52/19/352265219.db2.gz JUYSSQBUNPHIGZ-UHFFFAOYSA-N 0 0 266.688 2.892 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000615949452 352266323 /nfs/dbraw/zinc/26/63/23/352266323.db2.gz TVWKBQRMKRYWBZ-VHSXEESVSA-N 0 0 288.307 2.517 20 5 CFBDRN C[C@@H]1COCCCN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000616062546 352280408 /nfs/dbraw/zinc/28/04/08/352280408.db2.gz UMMCZMJDBIGEBA-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC1CC=CC1 ZINC000616062236 352280466 /nfs/dbraw/zinc/28/04/66/352280466.db2.gz YZRNUEPYPZEKEQ-UHFFFAOYSA-N 0 0 255.277 2.695 20 5 CFBDRN CCOC1CC(CCNc2cccnc2[N+](=O)[O-])C1 ZINC000616064964 352280844 /nfs/dbraw/zinc/28/08/44/352280844.db2.gz AXDBAQACYJZOCL-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN CCCc1nnc(Sc2ccc([N+](=O)[O-])c(C)n2)o1 ZINC000133193715 187670885 /nfs/dbraw/zinc/67/08/85/187670885.db2.gz XTLGUIODJUYWFA-UHFFFAOYSA-N 0 0 280.309 2.785 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000616491214 352359148 /nfs/dbraw/zinc/35/91/48/352359148.db2.gz QMWPHVKJFQPXRM-GFCCVEGCSA-N 0 0 274.320 2.710 20 5 CFBDRN Cc1c(CNC(=O)[C@@H](C)c2ccco2)cccc1[N+](=O)[O-] ZINC000616491174 352359159 /nfs/dbraw/zinc/35/91/59/352359159.db2.gz FUHQMHRBFYMXJG-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN C[C@H]1COC(C)(C)CN1c1ccc([N+](=O)[O-])s1 ZINC000617004880 352459547 /nfs/dbraw/zinc/45/95/47/352459547.db2.gz CHYNDPPMQDFJMH-QMMMGPOBSA-N 0 0 256.327 2.660 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(CC)CC(C)(C)C)c1[N+](=O)[O-] ZINC000292082211 198155964 /nfs/dbraw/zinc/15/59/64/198155964.db2.gz JZISEWLJTDZMBN-UHFFFAOYSA-N 0 0 296.371 2.779 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(CC1CC1)C(C)C ZINC000617368447 352551450 /nfs/dbraw/zinc/55/14/50/352551450.db2.gz XJBYNCLOJWWZEQ-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCC1CC(C)(C)C1 ZINC000617286750 352529748 /nfs/dbraw/zinc/52/97/48/352529748.db2.gz PJXVISDMJHDFRP-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CSCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000134402556 187746338 /nfs/dbraw/zinc/74/63/38/187746338.db2.gz KGEFDVVETMCELW-MRVPVSSYSA-N 0 0 288.394 2.870 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1ccc([N+](=O)[O-])s1 ZINC000617458940 352566507 /nfs/dbraw/zinc/56/65/07/352566507.db2.gz ZHCCZCQNVJMZFI-QMMMGPOBSA-N 0 0 272.326 2.658 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC3CC(F)(F)C3)c2c1 ZINC000617487455 352572441 /nfs/dbraw/zinc/57/24/41/352572441.db2.gz XGWFOCVXZQBVOU-UHFFFAOYSA-N 0 0 280.234 2.748 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000617742282 352631192 /nfs/dbraw/zinc/63/11/92/352631192.db2.gz DJAIAAJUFCJWQC-MNOVXSKESA-N 0 0 262.309 2.709 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC1(c2ccccn2)CC1 ZINC000617966068 352686360 /nfs/dbraw/zinc/68/63/60/352686360.db2.gz ATOPVHQTTXHCHM-UHFFFAOYSA-N 0 0 270.292 2.529 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@]2(CNc3ccccc32)C1 ZINC000618166249 352729340 /nfs/dbraw/zinc/72/93/40/352729340.db2.gz GVHJWWUOGPRJJT-INIZCTEOSA-N 0 0 296.330 2.563 20 5 CFBDRN COCCCC[NH2+]C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000292146342 198181416 /nfs/dbraw/zinc/18/14/16/198181416.db2.gz NCGXTSWHHCHYBG-UHFFFAOYSA-N 0 0 266.341 2.846 20 5 CFBDRN COC1(C)CCN(C(=O)c2cccc([N+](=O)[O-])c2C)CC1 ZINC000271629204 191247815 /nfs/dbraw/zinc/24/78/15/191247815.db2.gz DAXJJMVFQSYMFI-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CNc1ccc(-c2nc(-c3ccoc3)no2)cc1[N+](=O)[O-] ZINC000618407703 352768836 /nfs/dbraw/zinc/76/88/36/352768836.db2.gz NAMYWKCBOVQVIT-UHFFFAOYSA-N 0 0 286.247 2.947 20 5 CFBDRN C[C@@H](CN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1)c1ccncc1 ZINC000618584958 352821672 /nfs/dbraw/zinc/82/16/72/352821672.db2.gz BIFGRWUROMMBHC-JTQLQIEISA-N 0 0 297.318 2.504 20 5 CFBDRN COC1(C)CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000271630680 191250480 /nfs/dbraw/zinc/25/04/80/191250480.db2.gz PASONYHRSPXTTF-UHFFFAOYSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC(C(C)C)C1 ZINC000618468307 352780331 /nfs/dbraw/zinc/78/03/31/352780331.db2.gz RQBZANNCTCDGCD-UHFFFAOYSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2nccn2c1 ZINC000618460530 352780732 /nfs/dbraw/zinc/78/07/32/352780732.db2.gz YUBNNRCHLPXZNU-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000271635127 191252248 /nfs/dbraw/zinc/25/22/48/191252248.db2.gz QBKPYYIHDLUQNV-VHSXEESVSA-N 0 0 277.324 2.754 20 5 CFBDRN Cc1nc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)sc1C ZINC000618674269 352857349 /nfs/dbraw/zinc/85/73/49/352857349.db2.gz LNYGZJIARAPBJY-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1cc(C)ncn1 ZINC000292202165 198201542 /nfs/dbraw/zinc/20/15/42/198201542.db2.gz ZYVISEYSVMEWEA-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN CCc1ccc(C(=O)NOC2CCCC2)cc1[N+](=O)[O-] ZINC000271651628 191259752 /nfs/dbraw/zinc/25/97/52/191259752.db2.gz FERMPCJADTXKFA-UHFFFAOYSA-N 0 0 278.308 2.761 20 5 CFBDRN C[C@@H]1OCC[C@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000149964585 187923378 /nfs/dbraw/zinc/92/33/78/187923378.db2.gz WRXYFBFWXUCJTH-JOYOIKCWSA-N 0 0 273.292 2.732 20 5 CFBDRN O=C(CCC(F)F)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000619425926 353020936 /nfs/dbraw/zinc/02/09/36/353020936.db2.gz CKPJLVWZWKVLHP-UHFFFAOYSA-N 0 0 270.235 2.529 20 5 CFBDRN Cc1c(CC(=O)N2CCC(F)(F)CC2)cccc1[N+](=O)[O-] ZINC000619457152 353026563 /nfs/dbraw/zinc/02/65/63/353026563.db2.gz AAMGNKPYWVRBPH-UHFFFAOYSA-N 0 0 298.289 2.703 20 5 CFBDRN CCC(=O)c1ccc(NCC(C)(C)CO)c([N+](=O)[O-])c1 ZINC000619486125 353029047 /nfs/dbraw/zinc/02/90/47/353029047.db2.gz PXKOMLJIJVMVGI-UHFFFAOYSA-N 0 0 280.324 2.618 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCO[C@H](C(C)C)C1 ZINC000619487913 353029150 /nfs/dbraw/zinc/02/91/50/353029150.db2.gz FATHNRSFKKPIOL-NEPJUHHUSA-N 0 0 296.371 2.735 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC[C@@H](C)[C@H](F)C1 ZINC000619531737 353033228 /nfs/dbraw/zinc/03/32/28/353033228.db2.gz XABXAFJSFYYXLL-ZWNOBZJWSA-N 0 0 282.315 2.783 20 5 CFBDRN CCO[C@@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2OC)C1 ZINC000619531625 353033373 /nfs/dbraw/zinc/03/33/73/353033373.db2.gz RLLTXDJBZIQGPY-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC000619548795 353035192 /nfs/dbraw/zinc/03/51/92/353035192.db2.gz XAIAQLSJWOLSHP-AULYBMBSSA-N 0 0 287.294 2.909 20 5 CFBDRN CC[C@H](C)CONC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000292253898 198219470 /nfs/dbraw/zinc/21/94/70/198219470.db2.gz JWNVHNVFEGPMOR-QWRGUYRKSA-N 0 0 295.339 2.933 20 5 CFBDRN Cc1cc(NCCO[C@H]2CCCCO2)c(F)cc1[N+](=O)[O-] ZINC000292224133 198208726 /nfs/dbraw/zinc/20/87/26/198208726.db2.gz FFGOXJHOZMCWMT-AWEZNQCLSA-N 0 0 298.314 2.997 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCCc2sccc21 ZINC000619557508 353036200 /nfs/dbraw/zinc/03/62/00/353036200.db2.gz FYQLXPLXGNFJGM-UHFFFAOYSA-N 0 0 291.332 2.968 20 5 CFBDRN Cc1ccoc1CN(C)c1ncc(C)cc1[N+](=O)[O-] ZINC000292231025 198210778 /nfs/dbraw/zinc/21/07/78/198210778.db2.gz IUHXMSUEEDEFSZ-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCc3ccccc3C2)s1 ZINC000619696329 353051862 /nfs/dbraw/zinc/05/18/62/353051862.db2.gz DGZIIXRCOXTZHS-JTQLQIEISA-N 0 0 289.360 2.690 20 5 CFBDRN C[C@@H](c1ccccc1)[C@@H](CO)Nc1ncc([N+](=O)[O-])s1 ZINC000619695558 353051874 /nfs/dbraw/zinc/05/18/74/353051874.db2.gz LBIUYTNYCKEILB-GXSJLCMTSA-N 0 0 293.348 2.628 20 5 CFBDRN Cc1cnc(N[C@@H]2C[C@H]2C(F)(F)F)c([N+](=O)[O-])c1 ZINC000619698209 353053098 /nfs/dbraw/zinc/05/30/98/353053098.db2.gz JXTYJGLVNWWQGA-RNFRBKRXSA-N 0 0 261.203 2.661 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000152206289 188001993 /nfs/dbraw/zinc/00/19/93/188001993.db2.gz MPGXXHNMCSTQCY-OKILXGFUSA-N 0 0 288.347 2.786 20 5 CFBDRN CC(C)CNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152516371 188025227 /nfs/dbraw/zinc/02/52/27/188025227.db2.gz XEWNSBRRWLZYTC-UHFFFAOYSA-N 0 0 256.689 2.634 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000292314593 198241053 /nfs/dbraw/zinc/24/10/53/198241053.db2.gz VMSNUENMDNSLRN-MRVPVSSYSA-N 0 0 286.715 2.956 20 5 CFBDRN CC[C@H](C)Cn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000152957930 188056246 /nfs/dbraw/zinc/05/62/46/188056246.db2.gz OOGMAVLHIWZCHQ-QMMMGPOBSA-N 0 0 250.254 2.549 20 5 CFBDRN CC[C@H](C)Cn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O ZINC000153448382 188082918 /nfs/dbraw/zinc/08/29/18/188082918.db2.gz UPCCBUCHYMSUIJ-NSHDSACASA-N 0 0 287.319 2.865 20 5 CFBDRN Cc1cc(NC(=O)NC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000153613142 188093384 /nfs/dbraw/zinc/09/33/84/188093384.db2.gz VCEGLXACZMNEBP-LBPRGKRZSA-N 0 0 293.323 2.594 20 5 CFBDRN COC(=O)c1ccc(OCCCSC)c([N+](=O)[O-])c1 ZINC000153737611 188099646 /nfs/dbraw/zinc/09/96/46/188099646.db2.gz BPZBVXZLXJHAEY-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1OC(F)F)C1CC1 ZINC000153799059 188104260 /nfs/dbraw/zinc/10/42/60/188104260.db2.gz FZWXHUSRCLUCHZ-UHFFFAOYSA-N 0 0 272.251 2.790 20 5 CFBDRN COC1(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCC1 ZINC000292332871 198247861 /nfs/dbraw/zinc/24/78/61/198247861.db2.gz OKFSUSKNRJYYQM-UHFFFAOYSA-N 0 0 284.699 2.756 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCO[C@H]1CCCCO1 ZINC000292363155 198259628 /nfs/dbraw/zinc/25/96/28/198259628.db2.gz OVTVONJEIFFOBZ-ZDUSSCGKSA-N 0 0 266.297 2.550 20 5 CFBDRN CCOC[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153895986 188110684 /nfs/dbraw/zinc/11/06/84/188110684.db2.gz GGIMEPSGCSXUDN-SECBINFHSA-N 0 0 285.731 2.506 20 5 CFBDRN C[C@H]1CCCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000153929781 188112901 /nfs/dbraw/zinc/11/29/01/188112901.db2.gz ZZJXAOKSCXPQFF-NSHDSACASA-N 0 0 262.309 2.538 20 5 CFBDRN CCc1nc(C)c(CNc2nc(C)ccc2[N+](=O)[O-])o1 ZINC000292379205 198265889 /nfs/dbraw/zinc/26/58/89/198265889.db2.gz SQEXRDJSDXPENR-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccc([N+](=O)[O-])s1)OC ZINC000292390595 198270163 /nfs/dbraw/zinc/27/01/63/198270163.db2.gz SGCZKENKONPIKY-UHFFFAOYSA-N 0 0 286.353 2.591 20 5 CFBDRN C[C@@H](C(=O)OC(C)(C)C)N(C)Cc1ccc([N+](=O)[O-])cc1 ZINC000154483675 188144866 /nfs/dbraw/zinc/14/48/66/188144866.db2.gz SJMKDAXBMPWWNK-NSHDSACASA-N 0 0 294.351 2.757 20 5 CFBDRN CC(F)(F)CCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000450970500 535207517 /nfs/dbraw/zinc/20/75/17/535207517.db2.gz QVZUFEBOYRFZBH-UHFFFAOYSA-N 0 0 282.246 2.955 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])n[nH]1 ZINC000154181460 188127606 /nfs/dbraw/zinc/12/76/06/188127606.db2.gz CCQHJZYUBVGKBU-ZETCQYMHSA-N 0 0 295.730 2.718 20 5 CFBDRN Cc1n[nH]cc1CN(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000154300064 188134092 /nfs/dbraw/zinc/13/40/92/188134092.db2.gz JDDGFJXYPHOGHN-UHFFFAOYSA-N 0 0 286.335 2.791 20 5 CFBDRN CC(C)CCC1CCN(C(=O)c2n[nH]cc2[N+](=O)[O-])CC1 ZINC000154609763 188153809 /nfs/dbraw/zinc/15/38/09/188153809.db2.gz DKKJGQZGNAPZEU-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN CC[C@H](C)OCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000154670567 188156448 /nfs/dbraw/zinc/15/64/48/188156448.db2.gz UXOXTWAHWZOCII-JTQLQIEISA-N 0 0 280.324 2.965 20 5 CFBDRN CN(C)C(=O)CCCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000292444275 198292117 /nfs/dbraw/zinc/29/21/17/198292117.db2.gz HYSSWRWZZIENJX-UHFFFAOYSA-N 0 0 285.731 2.529 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](n3cccn3)C2)c(Cl)c1 ZINC000292457240 198295747 /nfs/dbraw/zinc/29/57/47/198295747.db2.gz XKVNXIBYTFHNLB-NSHDSACASA-N 0 0 292.726 2.896 20 5 CFBDRN CC1CCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000007049293 539988485 /nfs/dbraw/zinc/98/84/85/539988485.db2.gz FIDUERZQEUYCMZ-UHFFFAOYSA-N 0 0 274.324 2.703 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)Cc1ncc(Cl)n1C ZINC000155955776 188237175 /nfs/dbraw/zinc/23/71/75/188237175.db2.gz SYHBRJSQJJEDDN-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000155970983 188238275 /nfs/dbraw/zinc/23/82/75/188238275.db2.gz GQWBUXUDMSNMCU-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1cnn(CCN2CCc3c2cccc3Cl)c1 ZINC000156029491 188239995 /nfs/dbraw/zinc/23/99/95/188239995.db2.gz IRSOMQJKICQKLU-UHFFFAOYSA-N 0 0 292.726 2.507 20 5 CFBDRN CN(CCC1CC1)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000156185553 188248098 /nfs/dbraw/zinc/24/80/98/188248098.db2.gz ABUGBVMFVGMOBF-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1nnc(C(F)F)o1 ZINC000292476672 198302381 /nfs/dbraw/zinc/30/23/81/198302381.db2.gz LNEHQRVPTJAPFX-UHFFFAOYSA-N 0 0 284.222 2.570 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000156787636 188281545 /nfs/dbraw/zinc/28/15/45/188281545.db2.gz GBMYUKOCULKOFC-NWDGAFQWSA-N 0 0 279.340 2.630 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC[C@H]2O)c(F)cc1[N+](=O)[O-] ZINC000292521473 198318499 /nfs/dbraw/zinc/31/84/99/198318499.db2.gz HCHPFOYQTYTZHA-TVQRCGJNSA-N 0 0 268.288 2.615 20 5 CFBDRN CC(C)N(C)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000157218872 188304328 /nfs/dbraw/zinc/30/43/28/188304328.db2.gz OOBWVUCLGVFUQB-UHFFFAOYSA-N 0 0 273.292 2.623 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157268633 188307211 /nfs/dbraw/zinc/30/72/11/188307211.db2.gz VTGRJVUEJSFOCZ-JTQLQIEISA-N 0 0 277.324 2.582 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000156394660 188260077 /nfs/dbraw/zinc/26/00/77/188260077.db2.gz ATGKNVHLYQTWHZ-GXTWGEPZSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000157489328 188321190 /nfs/dbraw/zinc/32/11/90/188321190.db2.gz DGIPLEZAMBUAFR-AAEUAGOBSA-N 0 0 291.351 2.973 20 5 CFBDRN CC(C)(O)CCOc1cccc(Cl)c1[N+](=O)[O-] ZINC000292542994 198326613 /nfs/dbraw/zinc/32/66/13/198326613.db2.gz BJVWTPRKPACGRO-UHFFFAOYSA-N 0 0 259.689 2.788 20 5 CFBDRN CCC1(C)CCN(C(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000157326929 188310007 /nfs/dbraw/zinc/31/00/07/188310007.db2.gz GVZHCNKPVJOWRD-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN CC(C)(F)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000157377963 188313905 /nfs/dbraw/zinc/31/39/05/188313905.db2.gz VTAJDFJVCXNXIL-UHFFFAOYSA-N 0 0 282.315 2.782 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000157482129 188319522 /nfs/dbraw/zinc/31/95/22/188319522.db2.gz BORHQFUMBUSVQQ-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC12CCC2 ZINC000292595794 198345613 /nfs/dbraw/zinc/34/56/13/198345613.db2.gz ZMTUOCGKGVGWPU-LBPRGKRZSA-N 0 0 277.324 2.574 20 5 CFBDRN CCOC1(CNc2ncc([N+](=O)[O-])s2)CCCC1 ZINC000292637877 198359600 /nfs/dbraw/zinc/35/96/00/198359600.db2.gz ASVBDMIHWQKYNW-UHFFFAOYSA-N 0 0 271.342 2.813 20 5 CFBDRN CCOC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000292654807 198363325 /nfs/dbraw/zinc/36/33/25/198363325.db2.gz BODQWQMVHUBBAZ-UHFFFAOYSA-N 0 0 298.364 2.688 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157952319 188349039 /nfs/dbraw/zinc/34/90/39/188349039.db2.gz RNJWVUOOIGWODP-VHSXEESVSA-N 0 0 277.324 2.580 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000271683726 191276130 /nfs/dbraw/zinc/27/61/30/191276130.db2.gz CJAZAAFJKMMZRH-MNOVXSKESA-N 0 0 277.324 2.707 20 5 CFBDRN CC1(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCOCC1 ZINC000158022112 188352641 /nfs/dbraw/zinc/35/26/41/188352641.db2.gz PJJBVQRHBQGWQJ-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](OCc3ccncc3)C2)cc1 ZINC000271682503 191276419 /nfs/dbraw/zinc/27/64/19/191276419.db2.gz ZMTSONYANBILHI-INIZCTEOSA-N 0 0 299.330 2.785 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOC3(CCCC3)C2)c1 ZINC000158054941 188355170 /nfs/dbraw/zinc/35/51/70/188355170.db2.gz YPTOAAGQUQOHSI-UHFFFAOYSA-N 0 0 276.336 2.740 20 5 CFBDRN CCCSCC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158255087 188366139 /nfs/dbraw/zinc/36/61/39/188366139.db2.gz IJKQTFTZLNSURU-UHFFFAOYSA-N 0 0 268.338 2.701 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000292667321 198368690 /nfs/dbraw/zinc/36/86/90/198368690.db2.gz CVNJRLOENWQYRH-LBPRGKRZSA-N 0 0 254.261 2.571 20 5 CFBDRN Cc1nnc(CCNc2cc(C)c([N+](=O)[O-])cc2F)s1 ZINC000292678932 198372839 /nfs/dbraw/zinc/37/28/39/198372839.db2.gz QXIFAFVZYWZRRW-UHFFFAOYSA-N 0 0 296.327 2.857 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OC[C@H](O)C(F)(F)F)c1 ZINC000158437465 188375754 /nfs/dbraw/zinc/37/57/54/188375754.db2.gz NKAMBIKMIZZRCV-QMMMGPOBSA-N 0 0 285.605 2.550 20 5 CFBDRN COc1cc(NC[C@@H](OC)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000292699789 198380878 /nfs/dbraw/zinc/38/08/78/198380878.db2.gz FZMVVBTZVROBOR-CYBMUJFWSA-N 0 0 284.287 2.579 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2C[C@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000292712327 198384779 /nfs/dbraw/zinc/38/47/79/198384779.db2.gz GUSMZNLCGNCKRV-STQMWFEESA-N 0 0 286.335 2.852 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC2(CCC2)C1 ZINC000158822725 188395441 /nfs/dbraw/zinc/39/54/41/188395441.db2.gz FESMXZHDDCBQOU-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN CC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])C(C)(C)O ZINC000292730426 198392361 /nfs/dbraw/zinc/39/23/61/198392361.db2.gz IDDVTPWTXYUBKQ-LLVKDONJSA-N 0 0 256.277 2.695 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H](CO)C2CCCCC2)s1 ZINC000292742130 198396112 /nfs/dbraw/zinc/39/61/12/198396112.db2.gz IXDHJMZIPYLBMX-SNVBAGLBSA-N 0 0 285.369 2.652 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)CCC1(F)F ZINC000292716514 198387327 /nfs/dbraw/zinc/38/73/27/198387327.db2.gz OQNOJBJNUUFBFT-SNVBAGLBSA-N 0 0 298.289 2.641 20 5 CFBDRN COc1ccc(C(=O)NCC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000160239800 188461412 /nfs/dbraw/zinc/46/14/12/188461412.db2.gz BOEPOLLMEUNIAY-UHFFFAOYSA-N 0 0 290.319 2.523 20 5 CFBDRN C[C@@H](NC(=O)OCC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000271704826 191284100 /nfs/dbraw/zinc/28/41/00/191284100.db2.gz OIBJKHLVQGVUDQ-SSDOTTSWSA-N 0 0 292.213 2.944 20 5 CFBDRN COC1(CCNc2ncc(C)cc2[N+](=O)[O-])CCC1 ZINC000292936315 198467073 /nfs/dbraw/zinc/46/70/73/198467073.db2.gz ZXFPFFGKOZFFEJ-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN C[C@@H](NCc1ccc(CO)o1)c1ccccc1[N+](=O)[O-] ZINC000271714394 191287097 /nfs/dbraw/zinc/28/70/97/191287097.db2.gz VRKWXMXENQOWQR-SNVBAGLBSA-N 0 0 276.292 2.531 20 5 CFBDRN C[C@H](NC(=O)C1(CCF)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000295236093 199416141 /nfs/dbraw/zinc/41/61/41/199416141.db2.gz CFEIAJIEPQRAQB-JTQLQIEISA-N 0 0 280.299 2.912 20 5 CFBDRN Cc1cccnc1CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000161547635 188534462 /nfs/dbraw/zinc/53/44/62/188534462.db2.gz IJZBEIZUUIQUNA-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000271725434 191292861 /nfs/dbraw/zinc/29/28/61/191292861.db2.gz ULWQASRRFXHVCX-QWRGUYRKSA-N 0 0 298.364 2.590 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000271750381 191304649 /nfs/dbraw/zinc/30/46/49/191304649.db2.gz KMXMJOQAMXTSOA-JOYOIKCWSA-N 0 0 280.349 2.668 20 5 CFBDRN Cc1ncsc1NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000362783778 293681660 /nfs/dbraw/zinc/68/16/60/293681660.db2.gz XOPRRDAADBYFCU-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1nc(NCC2([C@@H](C)CCO)CCC2)ccc1[N+](=O)[O-] ZINC000271781985 191322022 /nfs/dbraw/zinc/32/20/22/191322022.db2.gz HSPXYBWOEKHBEH-NSHDSACASA-N 0 0 293.367 2.899 20 5 CFBDRN Cc1nc(NCC2([C@H](C)CCO)CCC2)ccc1[N+](=O)[O-] ZINC000271781982 191322557 /nfs/dbraw/zinc/32/25/57/191322557.db2.gz HSPXYBWOEKHBEH-LLVKDONJSA-N 0 0 293.367 2.899 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000172372186 188798177 /nfs/dbraw/zinc/79/81/77/188798177.db2.gz OJAICRIQCRLNFF-JTQLQIEISA-N 0 0 291.307 2.687 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000172649610 188818941 /nfs/dbraw/zinc/81/89/41/188818941.db2.gz ZRLPFQLNWXOSMT-MNOVXSKESA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172735604 188823296 /nfs/dbraw/zinc/82/32/96/188823296.db2.gz BZYVZMBSZLDOQF-TXEJJXNPSA-N 0 0 276.336 2.927 20 5 CFBDRN Cc1ncc(CN2CCc3cc([N+](=O)[O-])ccc32)s1 ZINC000172856086 188830382 /nfs/dbraw/zinc/83/03/82/188830382.db2.gz NBULEVHHZFZGPE-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173241686 188847457 /nfs/dbraw/zinc/84/74/57/188847457.db2.gz SBDRZLMGDUXOBO-NWDGAFQWSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H](NC(=O)/C=C/C1CC1)c1ccccc1[N+](=O)[O-] ZINC000271794024 191332021 /nfs/dbraw/zinc/33/20/21/191332021.db2.gz KQXBQYXFLPCNSJ-AAXQSMANSA-N 0 0 260.293 2.738 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCO[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000271799679 191333271 /nfs/dbraw/zinc/33/32/71/191333271.db2.gz ABCPJKSMGRLRKS-MDZLAQPJSA-N 0 0 292.335 2.587 20 5 CFBDRN CC[C@H](C)OCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271789236 191329032 /nfs/dbraw/zinc/32/90/32/191329032.db2.gz QGGPQNYQZQTOBC-WDEREUQCSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)[C@H](O)C1(CNc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000271815443 191345174 /nfs/dbraw/zinc/34/51/74/191345174.db2.gz MRMHRWYEJMTENP-ZDUSSCGKSA-N 0 0 282.315 2.943 20 5 CFBDRN CSC[C@@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271849539 191365773 /nfs/dbraw/zinc/36/57/73/191365773.db2.gz WCLVGLCFQUDIBY-NXEZZACHSA-N 0 0 282.365 2.771 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCO1 ZINC000271870274 191380407 /nfs/dbraw/zinc/38/04/07/191380407.db2.gz KGOKQUMHHBJLPK-KOLCDFICSA-N 0 0 289.291 2.927 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272150218 191542311 /nfs/dbraw/zinc/54/23/11/191542311.db2.gz NPWDQDYKAGUHBZ-FZMZJTMJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000272242334 191604181 /nfs/dbraw/zinc/60/41/81/191604181.db2.gz YPSMMTALBSIMNB-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1C[C@H](CCNc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000273025307 192022963 /nfs/dbraw/zinc/02/29/63/192022963.db2.gz CLRYNEKWOJIKQO-GHMZBOCLSA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1c(CC(=O)N2CCC=C(F)C2)cccc1[N+](=O)[O-] ZINC000273007251 192015532 /nfs/dbraw/zinc/01/55/32/192015532.db2.gz ANUXAEQFBFVXSF-UHFFFAOYSA-N 0 0 278.283 2.531 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCC[C@](C)(CC)C2)c1[N+](=O)[O-] ZINC000174752913 188916506 /nfs/dbraw/zinc/91/65/06/188916506.db2.gz KCWYQKHHPFFHLW-AWEZNQCLSA-N 0 0 294.355 2.533 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000174786270 188917884 /nfs/dbraw/zinc/91/78/84/188917884.db2.gz CQVSTFAYYNSFNE-GFCCVEGCSA-N 0 0 280.349 2.562 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)[C@@]12CCCO2 ZINC000273537827 192192929 /nfs/dbraw/zinc/19/29/29/192192929.db2.gz WBXHBACZSCDMKH-KFWWJZLASA-N 0 0 292.335 2.733 20 5 CFBDRN C[C@H]1C[C@H](CCNC(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000273583122 192215712 /nfs/dbraw/zinc/21/57/12/192215712.db2.gz PMVBLSZXUHTKPW-VHSXEESVSA-N 0 0 298.364 2.591 20 5 CFBDRN C[C@@H]1C[C@H](CCNC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000273593786 192221098 /nfs/dbraw/zinc/22/10/98/192221098.db2.gz QOBVNEYPIARLIA-VXGBXAGGSA-N 0 0 292.335 2.530 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC1(C)CCC1 ZINC000175079828 188931982 /nfs/dbraw/zinc/93/19/82/188931982.db2.gz CQDCHMZIAMLQOH-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CCN(CCc1cccs1)c1ncc([N+](=O)[O-])cn1 ZINC000273678380 192258974 /nfs/dbraw/zinc/25/89/74/192258974.db2.gz YTUICEXBKFTTFL-UHFFFAOYSA-N 0 0 278.337 2.515 20 5 CFBDRN Cc1ccc([C@@H](C)N(C)c2ncc([N+](=O)[O-])cn2)cc1 ZINC000273664484 192253067 /nfs/dbraw/zinc/25/30/67/192253067.db2.gz WSRIBEADOLODIF-LLVKDONJSA-N 0 0 272.308 2.891 20 5 CFBDRN CO[C@@H](C)c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273757642 192295141 /nfs/dbraw/zinc/29/51/41/192295141.db2.gz NAFZGESKQAWFPV-QMMMGPOBSA-N 0 0 263.253 2.661 20 5 CFBDRN Cc1cc(Cc2noc([C@H]3CCCO3)n2)ccc1[N+](=O)[O-] ZINC000273790101 192309582 /nfs/dbraw/zinc/30/95/82/192309582.db2.gz ARUXZUQJNVCQIZ-GFCCVEGCSA-N 0 0 289.291 2.729 20 5 CFBDRN Cc1ccc(NC(=O)C[C@@H](C)n2ccnc2)cc1[N+](=O)[O-] ZINC000567986443 290511538 /nfs/dbraw/zinc/51/15/38/290511538.db2.gz SAUFWGDLUAMDGM-LLVKDONJSA-N 0 0 288.307 2.690 20 5 CFBDRN Cc1ccc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cn1 ZINC000293896667 198816676 /nfs/dbraw/zinc/81/66/76/198816676.db2.gz GXVNELSGSMIRIV-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN CCOCC(C)(C)NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000293898577 198817460 /nfs/dbraw/zinc/81/74/60/198817460.db2.gz RAZSUMPTWQKXRA-UHFFFAOYSA-N 0 0 298.314 2.587 20 5 CFBDRN CCC1(CC)CN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000294140188 198924166 /nfs/dbraw/zinc/92/41/66/198924166.db2.gz LDYWUTAFFCIHOX-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)[C@@H]2C)c1 ZINC000294196063 198946595 /nfs/dbraw/zinc/94/65/95/198946595.db2.gz QLEPVBXZGCZOJL-BDAKNGLRSA-N 0 0 280.349 2.797 20 5 CFBDRN CCCc1nc(Cn2c(C)c([N+](=O)[O-])ccc2=O)cs1 ZINC000294401163 199026755 /nfs/dbraw/zinc/02/67/55/199026755.db2.gz NHGMXRQKMAMCEA-UHFFFAOYSA-N 0 0 293.348 2.522 20 5 CFBDRN CC(C)c1ccc(CN2CC(C)(CO)C2)cc1[N+](=O)[O-] ZINC000459617784 535371193 /nfs/dbraw/zinc/37/11/93/535371193.db2.gz CREWNTCELLIRPO-UHFFFAOYSA-N 0 0 278.352 2.532 20 5 CFBDRN C[C@@H](CCc1ccco1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294457347 199047522 /nfs/dbraw/zinc/04/75/22/199047522.db2.gz UAUQGFMCXAOJST-NSHDSACASA-N 0 0 282.340 2.552 20 5 CFBDRN C[C@@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000294489473 199059600 /nfs/dbraw/zinc/05/96/00/199059600.db2.gz JTJBTPMWPSQOQF-NSHDSACASA-N 0 0 296.342 2.881 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294515946 199069707 /nfs/dbraw/zinc/06/97/07/199069707.db2.gz LFKHSGARHPLYRB-AWEZNQCLSA-N 0 0 290.363 2.934 20 5 CFBDRN Cc1cc2c[nH]nc2cc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294542180 199079342 /nfs/dbraw/zinc/07/93/42/199079342.db2.gz JMJXLTMDVYTOJG-UHFFFAOYSA-N 0 0 290.323 2.645 20 5 CFBDRN C=Cc1ccc(CCNC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000296106798 199784683 /nfs/dbraw/zinc/78/46/83/199784683.db2.gz QGESYUAWWILUIC-UHFFFAOYSA-N 0 0 290.363 2.824 20 5 CFBDRN CCC1(CC)CN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000294578916 199093832 /nfs/dbraw/zinc/09/38/32/199093832.db2.gz XXRKTPUZHVSQAI-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN CC/C(C)=C/C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000294600746 199103419 /nfs/dbraw/zinc/10/34/19/199103419.db2.gz WJWUAUBFEPGVJZ-JXMROGBWSA-N 0 0 278.308 2.576 20 5 CFBDRN CC(F)(F)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000294709963 199145562 /nfs/dbraw/zinc/14/55/62/199145562.db2.gz WMAVDGIHMUXELD-UHFFFAOYSA-N 0 0 284.262 2.741 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NC[C@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000294742477 199160967 /nfs/dbraw/zinc/16/09/67/199160967.db2.gz CKVJTRBPLQXIGL-IONNQARKSA-N 0 0 282.727 2.942 20 5 CFBDRN CCc1nc(C)c(C(=O)Oc2cccc([N+](=O)[O-])c2C)o1 ZINC000294758609 199168710 /nfs/dbraw/zinc/16/87/10/199168710.db2.gz ZNSGDFHFKQMZSJ-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN C[C@@H](CCCO)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000294845554 199210177 /nfs/dbraw/zinc/21/01/77/199210177.db2.gz AJUZEVSPJXYSJK-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1nn([C@H](C)C(=O)C2(c3ccccc3)CC2)cc1[N+](=O)[O-] ZINC000294887225 199233209 /nfs/dbraw/zinc/23/32/09/199233209.db2.gz ARSVNJVWXKGMGE-GFCCVEGCSA-N 0 0 299.330 2.962 20 5 CFBDRN CC(C)(CNc1ccc(N)cc1[N+](=O)[O-])c1ccccn1 ZINC000294906269 199241078 /nfs/dbraw/zinc/24/10/78/199241078.db2.gz HTUJMVYWLPVWIC-UHFFFAOYSA-N 0 0 286.335 2.962 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1ccc(N)cc1[N+](=O)[O-])OC ZINC000294907513 199242745 /nfs/dbraw/zinc/24/27/45/199242745.db2.gz HWMALMFRASFAIH-RNCFNFMXSA-N 0 0 267.329 2.650 20 5 CFBDRN COC(=O)C[C@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000178851145 291159525 /nfs/dbraw/zinc/15/95/25/291159525.db2.gz RTYCCYUHORSOCD-SNVBAGLBSA-N 0 0 298.726 2.780 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCC1CC1 ZINC000421473542 236821021 /nfs/dbraw/zinc/82/10/21/236821021.db2.gz GZFMRPQJFSDDRY-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCc1cccnc1 ZINC000421744575 236895284 /nfs/dbraw/zinc/89/52/84/236895284.db2.gz OVYVRXFNEHTQKM-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC(C)(CCC(=O)Oc1cc(F)cc(F)c1)[N+](=O)[O-] ZINC000296577594 199938694 /nfs/dbraw/zinc/93/86/94/199938694.db2.gz PFEQSFYJWMBEGZ-UHFFFAOYSA-N 0 0 273.235 2.706 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000274796629 192779898 /nfs/dbraw/zinc/77/98/98/192779898.db2.gz JBYZFBNDLUBXNO-SECBINFHSA-N 0 0 263.297 2.777 20 5 CFBDRN Cc1cc(F)c(NC(=O)NCC(F)(F)F)cc1[N+](=O)[O-] ZINC000296849751 200015446 /nfs/dbraw/zinc/01/54/46/200015446.db2.gz UPSSUISTZWFLFW-UHFFFAOYSA-N 0 0 295.192 2.726 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C(C)(C)O ZINC000296861626 200017853 /nfs/dbraw/zinc/01/78/53/200017853.db2.gz PAXLGKPNMXDVRN-LBPRGKRZSA-N 0 0 295.339 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCCC2(O)CCC2)c1 ZINC000296891438 200026792 /nfs/dbraw/zinc/02/67/92/200026792.db2.gz XYVCCGJKCGFLDK-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN Cc1cc(COC(=O)[C@H]2CC2(F)F)ccc1[N+](=O)[O-] ZINC000296970011 200049705 /nfs/dbraw/zinc/04/97/05/200049705.db2.gz OQNLTTGNJNCACV-SECBINFHSA-N 0 0 271.219 2.602 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@@H]2CCO)c(Cl)c1 ZINC000275014342 192877570 /nfs/dbraw/zinc/87/75/70/192877570.db2.gz KCSBXEWINBTYGN-KCJUWKMLSA-N 0 0 285.731 2.606 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CCC(CF)CC1 ZINC000296986397 200054816 /nfs/dbraw/zinc/05/48/16/200054816.db2.gz QUFUDPFCJCTDBP-AATRIKPKSA-N 0 0 292.310 2.816 20 5 CFBDRN CC[C@@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000176298775 188988190 /nfs/dbraw/zinc/98/81/90/188988190.db2.gz GTMQPRBVUDDXLE-LLVKDONJSA-N 0 0 277.324 2.536 20 5 CFBDRN Cc1ccc(CCNc2ncc([N+](=O)[O-])c(C)n2)cc1 ZINC000297086039 200080561 /nfs/dbraw/zinc/08/05/61/200080561.db2.gz KEGBGIHBXDVWNQ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CC(C)ONC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000297267209 200130489 /nfs/dbraw/zinc/13/04/89/200130489.db2.gz RRIFROLXVUPDQI-UHFFFAOYSA-N 0 0 280.324 2.962 20 5 CFBDRN CCN(CCSC)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000592000506 540619593 /nfs/dbraw/zinc/61/95/93/540619593.db2.gz RSSFZBVYECBZGF-UHFFFAOYSA-N 0 0 298.393 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)c(CN2CCCC2)c1 ZINC000675056161 540640940 /nfs/dbraw/zinc/64/09/40/540640940.db2.gz JZQSLALBFWKFTH-UHFFFAOYSA-N 0 0 285.141 2.953 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000297425337 200185168 /nfs/dbraw/zinc/18/51/68/200185168.db2.gz HOAVWSWJZYWVIB-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@H](NC(=O)CSC(F)F)c1ccccc1[N+](=O)[O-] ZINC000297427287 200186489 /nfs/dbraw/zinc/18/64/89/200186489.db2.gz HQOXCRRTPYCVMY-ZETCQYMHSA-N 0 0 290.291 2.728 20 5 CFBDRN C[C@@H](NC(=O)CSC(F)F)c1ccccc1[N+](=O)[O-] ZINC000297427286 200186540 /nfs/dbraw/zinc/18/65/40/200186540.db2.gz HQOXCRRTPYCVMY-SSDOTTSWSA-N 0 0 290.291 2.728 20 5 CFBDRN C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)C(C)(F)F ZINC000297441676 200191209 /nfs/dbraw/zinc/19/12/09/200191209.db2.gz CULWXZQDLPOFFH-ZCFIWIBFSA-N 0 0 276.214 2.964 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NN1CCCCC1 ZINC000297441734 200191556 /nfs/dbraw/zinc/19/15/56/200191556.db2.gz PSOZFOBEVRZRHK-UHFFFAOYSA-N 0 0 292.339 2.680 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC2(CC)CC2)c1 ZINC000297810668 200284893 /nfs/dbraw/zinc/28/48/93/200284893.db2.gz TYZLVYKSAPPSMH-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN CC(C)(CCC(=O)Nc1cc(F)ccc1F)[N+](=O)[O-] ZINC000297834197 200288483 /nfs/dbraw/zinc/28/84/83/200288483.db2.gz LGZMXTNLLLLRQD-UHFFFAOYSA-N 0 0 272.251 2.739 20 5 CFBDRN CCC1(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])CC1 ZINC000297760435 200277215 /nfs/dbraw/zinc/27/72/15/200277215.db2.gz OYUOLDHUSUYWJG-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@@H]2CF)c1F ZINC000297777501 200279585 /nfs/dbraw/zinc/27/95/85/200279585.db2.gz NBZIYRZNOYGWAW-SECBINFHSA-N 0 0 284.262 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CC1(F)F ZINC000298032849 200320110 /nfs/dbraw/zinc/32/01/10/200320110.db2.gz YSQYGUDJZBWUIX-QMMMGPOBSA-N 0 0 270.235 2.805 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1nc2ccccc2o1 ZINC000297976849 200311792 /nfs/dbraw/zinc/31/17/92/200311792.db2.gz GWPGKDFVWVWUBV-UHFFFAOYSA-N 0 0 291.307 2.626 20 5 CFBDRN Cc1c(OC(=O)C2=C(C)OCCS2)cccc1[N+](=O)[O-] ZINC000298266801 200377539 /nfs/dbraw/zinc/37/75/39/200377539.db2.gz GOPRSOBCOMQAPK-UHFFFAOYSA-N 0 0 295.316 2.804 20 5 CFBDRN CC[C@H]1CN([C@H](C)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000298276179 200380697 /nfs/dbraw/zinc/38/06/97/200380697.db2.gz ABWNTHIVYGGXQE-RISCZKNCSA-N 0 0 264.325 2.767 20 5 CFBDRN CC[C@@]1(C)CCCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000176958511 189016793 /nfs/dbraw/zinc/01/67/93/189016793.db2.gz NZNZIPDOMXURBA-HNNXBMFYSA-N 0 0 291.351 2.829 20 5 CFBDRN CC/C=C/CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000298335443 200397821 /nfs/dbraw/zinc/39/78/21/200397821.db2.gz GBCLBEFJYBKDCQ-QPJJXVBHSA-N 0 0 277.324 2.702 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000176976532 189018295 /nfs/dbraw/zinc/01/82/95/189018295.db2.gz GUJDAHMBPUUJFE-JGVFFNPUSA-N 0 0 254.311 2.527 20 5 CFBDRN Cc1ccc(C(=O)N(C)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000298384873 200414209 /nfs/dbraw/zinc/41/42/09/200414209.db2.gz IBIQDWXJXMJVAV-UHFFFAOYSA-N 0 0 276.214 2.538 20 5 CFBDRN CC(C)N(Cc1cncn1C)Cc1ccc([N+](=O)[O-])cc1 ZINC000177021641 189021008 /nfs/dbraw/zinc/02/10/08/189021008.db2.gz HCBKNTXMUQYAFV-UHFFFAOYSA-N 0 0 288.351 2.739 20 5 CFBDRN CO[C@@H](C)c1noc(COc2cc([N+](=O)[O-])ccc2C)n1 ZINC000177253164 189039934 /nfs/dbraw/zinc/03/99/34/189039934.db2.gz IAIOENGDPKGPJF-VIFPVBQESA-N 0 0 293.279 2.573 20 5 CFBDRN Cc1nccn1CCCOc1ccccc1[N+](=O)[O-] ZINC000298518314 200457027 /nfs/dbraw/zinc/45/70/27/200457027.db2.gz RHVAIIIBEXHRNH-UHFFFAOYSA-N 0 0 261.281 2.569 20 5 CFBDRN CCC(=CC(=O)NCc1ccc([N+](=O)[O-])cc1)CC ZINC000177647147 189061070 /nfs/dbraw/zinc/06/10/70/189061070.db2.gz CRSHAQHQPACHBM-UHFFFAOYSA-N 0 0 262.309 2.957 20 5 CFBDRN CCCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177858553 189074857 /nfs/dbraw/zinc/07/48/57/189074857.db2.gz BPJXEUNLNTWEJN-JTQLQIEISA-N 0 0 250.298 2.881 20 5 CFBDRN COC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])c(C)c1 ZINC000298716884 200513476 /nfs/dbraw/zinc/51/34/76/200513476.db2.gz MXHVQQRFNIFSMT-MRVPVSSYSA-N 0 0 255.295 2.557 20 5 CFBDRN CC(=O)CCCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000177885335 189076838 /nfs/dbraw/zinc/07/68/38/189076838.db2.gz VQSXKQIDDKXNDV-UHFFFAOYSA-N 0 0 264.281 2.601 20 5 CFBDRN CC(=O)c1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c(C)c1 ZINC000298727446 200516719 /nfs/dbraw/zinc/51/67/19/200516719.db2.gz ORRUAXNYKTVSBQ-UHFFFAOYSA-N 0 0 293.319 2.939 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)c1c(F)cccc1F ZINC000298735480 200520610 /nfs/dbraw/zinc/52/06/10/200520610.db2.gz OTCWPOHIZFTXTN-ZETCQYMHSA-N 0 0 282.250 2.780 20 5 CFBDRN Cc1c([C@@H](C)Nc2ccc([N+](=O)[O-])c(C)n2)cnn1C ZINC000178015930 189085697 /nfs/dbraw/zinc/08/56/97/189085697.db2.gz BKWXIYCZKLDJRS-MRVPVSSYSA-N 0 0 275.312 2.513 20 5 CFBDRN Cc1nc(NCCSC(F)(F)F)ccc1[N+](=O)[O-] ZINC000178018665 189086728 /nfs/dbraw/zinc/08/67/28/189086728.db2.gz RSJHQTIDKVVYAX-UHFFFAOYSA-N 0 0 281.259 2.963 20 5 CFBDRN COc1ccc(CNC(=O)C[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000298839558 200545486 /nfs/dbraw/zinc/54/54/86/200545486.db2.gz GIXLAIAWXSSUCH-LLVKDONJSA-N 0 0 290.319 2.576 20 5 CFBDRN C[C@H]1CCN(C(=O)CCOc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000178160051 189094096 /nfs/dbraw/zinc/09/40/96/189094096.db2.gz NELUEXGVHZLRDA-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN CCC1(CNC(=O)c2cccc([N+](=O)[O-])c2C)CC1 ZINC000178198246 189097092 /nfs/dbraw/zinc/09/70/92/189097092.db2.gz IPYGYKPGYFBNRV-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1cnc(OCc2ccn(C(C)C)n2)c([N+](=O)[O-])c1 ZINC000178279410 189102542 /nfs/dbraw/zinc/10/25/42/189102542.db2.gz YVVYHKQVNDWMTD-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCCc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000178594671 189129538 /nfs/dbraw/zinc/12/95/38/189129538.db2.gz OVYRMXCIGHYBAD-NEPJUHHUSA-N 0 0 291.351 2.577 20 5 CFBDRN CC[C@H](SC)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000178600809 189130389 /nfs/dbraw/zinc/13/03/89/189130389.db2.gz SEUWTVWBCDHESM-LBPRGKRZSA-N 0 0 280.349 2.626 20 5 CFBDRN CCC1CCN(C(=O)CNc2ccccc2[N+](=O)[O-])CC1 ZINC000299280800 200660481 /nfs/dbraw/zinc/66/04/81/200660481.db2.gz BOFSNZXAJGGERI-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN CN(CCC1CC1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000179039888 189164016 /nfs/dbraw/zinc/16/40/16/189164016.db2.gz JWZQQUNDPLFZSM-UHFFFAOYSA-N 0 0 263.297 2.859 20 5 CFBDRN CCOCCC1(CNC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000179238910 189180253 /nfs/dbraw/zinc/18/02/53/189180253.db2.gz YQUSNHKWZBKTGM-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1N)C1CCCC1 ZINC000179327220 189185963 /nfs/dbraw/zinc/18/59/63/189185963.db2.gz BLPYIIHQRVQYJN-MRVPVSSYSA-N 0 0 295.314 2.625 20 5 CFBDRN Cc1nnc([C@H](C)Oc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000299522059 200762420 /nfs/dbraw/zinc/76/24/20/200762420.db2.gz LLIVPRZEEDCAHC-LURJTMIESA-N 0 0 267.216 2.565 20 5 CFBDRN C[C@H](NC(=O)NC1(C)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000179533588 189200160 /nfs/dbraw/zinc/20/01/60/189200160.db2.gz MWAZGWHYUOUHCL-JTQLQIEISA-N 0 0 277.324 2.898 20 5 CFBDRN Cc1cc(OCc2ncnn2CC(C)C)ccc1[N+](=O)[O-] ZINC000180006126 189232019 /nfs/dbraw/zinc/23/20/19/189232019.db2.gz HGSZLXDBDNNQIL-UHFFFAOYSA-N 0 0 290.323 2.730 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000377381618 291250818 /nfs/dbraw/zinc/25/08/18/291250818.db2.gz JCGNFCNQXPAMOW-MEBBXXQBSA-N 0 0 298.298 2.847 20 5 CFBDRN CCCCOC(=O)[C@H](C)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180446058 189255714 /nfs/dbraw/zinc/25/57/14/189255714.db2.gz GHALEFQEJLUTHQ-NEPJUHHUSA-N 0 0 294.351 2.977 20 5 CFBDRN CCOCC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000180467581 189256954 /nfs/dbraw/zinc/25/69/54/189256954.db2.gz JFKWAYNBUIJSRB-UHFFFAOYSA-N 0 0 292.213 2.589 20 5 CFBDRN CC[C@H](CSC)NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000180565529 189262385 /nfs/dbraw/zinc/26/23/85/189262385.db2.gz AHVZGQFVLYZWNN-IDVQTMNDSA-N 0 0 294.376 2.866 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCc1cccs1 ZINC000301062538 200904493 /nfs/dbraw/zinc/90/44/93/200904493.db2.gz AIBGBCNJALMXDT-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN CCc1cccc(Nc2c([N+](=O)[O-])c(C)nn2C)c1 ZINC000301267430 200957631 /nfs/dbraw/zinc/95/76/31/200957631.db2.gz IRVNXDOAYFYEOK-UHFFFAOYSA-N 0 0 260.297 2.943 20 5 CFBDRN COc1cccc([C@H](O)CNc2ccc([N+](=O)[O-])cc2)c1 ZINC000301267563 200957691 /nfs/dbraw/zinc/95/76/91/200957691.db2.gz PXCMDBXXHBCWEV-OAHLLOKOSA-N 0 0 288.303 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(-c3nc[nH]n3)c2)cc1 ZINC000301298586 200969637 /nfs/dbraw/zinc/96/96/37/200969637.db2.gz QBJCJHHPIOHYQS-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCc1nnc2ccccn21 ZINC000301430692 201007022 /nfs/dbraw/zinc/00/70/22/201007022.db2.gz GKUFVNATNYLVHU-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN Cc1cc(N(C)CCC(F)(F)F)ncc1[N+](=O)[O-] ZINC000301431542 201007268 /nfs/dbraw/zinc/00/72/68/201007268.db2.gz JXBNPHGHDWDFEQ-UHFFFAOYSA-N 0 0 263.219 2.687 20 5 CFBDRN COC[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000301455481 201014110 /nfs/dbraw/zinc/01/41/10/201014110.db2.gz WGCZMVJPDLMKNL-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1cc(N(C)CC[C@@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000301488103 201025773 /nfs/dbraw/zinc/02/57/73/201025773.db2.gz ZJSJSCOOVFHOGW-SECBINFHSA-N 0 0 272.732 2.764 20 5 CFBDRN COc1cc(C=O)ccc1Oc1ncc(C)cc1[N+](=O)[O-] ZINC000301438150 201008687 /nfs/dbraw/zinc/00/86/87/201008687.db2.gz LIICGYPRXAXEAK-UHFFFAOYSA-N 0 0 288.259 2.912 20 5 CFBDRN CCOc1cc(NC[C@@](C)(O)C(C)C)ccc1[N+](=O)[O-] ZINC000301508049 201038188 /nfs/dbraw/zinc/03/81/88/201038188.db2.gz DKCSGWCGQCTXFU-CQSZACIVSA-N 0 0 282.340 2.812 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])cc2C(=O)NC)CCC1 ZINC000301549550 201062888 /nfs/dbraw/zinc/06/28/88/201062888.db2.gz GDQPEDLVUVAKIG-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN Cc1cc(NC(=O)N(C)C[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000182767927 189334460 /nfs/dbraw/zinc/33/44/60/189334460.db2.gz IJMWECRDOUBELS-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000301580233 201079376 /nfs/dbraw/zinc/07/93/76/201079376.db2.gz SMARMWHPAWSMPI-SNVBAGLBSA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1nn(C)c(NCC2(CC(C)C)CC2)c1[N+](=O)[O-] ZINC000301589181 201083175 /nfs/dbraw/zinc/08/31/75/201083175.db2.gz CFTRFWPTFFZIDZ-UHFFFAOYSA-N 0 0 266.345 2.875 20 5 CFBDRN CCN(Cc1ccoc1)c1ccc([N+](=O)[O-])c(C)n1 ZINC000301643519 201111485 /nfs/dbraw/zinc/11/14/85/201111485.db2.gz MXYPDASACBKEHI-UHFFFAOYSA-N 0 0 261.281 2.918 20 5 CFBDRN Cc1cnc(N[C@H](C)C[C@@H](O)c2ccco2)c([N+](=O)[O-])c1 ZINC000301624836 201100640 /nfs/dbraw/zinc/10/06/40/201100640.db2.gz GBHPOCIULAAWIU-ZYHUDNBSSA-N 0 0 291.307 2.815 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@@H](O)C2)c([N+](=O)[O-])cc1F ZINC000301650318 201115554 /nfs/dbraw/zinc/11/55/54/201115554.db2.gz RSPBOZXFFPEMIX-NXEZZACHSA-N 0 0 298.314 2.706 20 5 CFBDRN Cc1cccc2nc(CNc3ncccc3[N+](=O)[O-])n(C)c21 ZINC000301650539 201116185 /nfs/dbraw/zinc/11/61/85/201116185.db2.gz GGXXBSXERQAAOO-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@H](O)C2)c([N+](=O)[O-])cc1F ZINC000301650320 201117090 /nfs/dbraw/zinc/11/70/90/201117090.db2.gz RSPBOZXFFPEMIX-UWVGGRQHSA-N 0 0 298.314 2.706 20 5 CFBDRN Cc1nc(CCNc2ncccc2[N+](=O)[O-])sc1C ZINC000301659845 201121222 /nfs/dbraw/zinc/12/12/22/201121222.db2.gz JMLVZDXBAVVEDR-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CO[C@@]1(C)C[C@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000301673925 201127888 /nfs/dbraw/zinc/12/78/88/201127888.db2.gz RNJVGCXKANSNCV-WFASDCNBSA-N 0 0 293.367 2.938 20 5 CFBDRN Cn1nccc1CSCCc1ccc([N+](=O)[O-])cc1 ZINC000183294277 189353960 /nfs/dbraw/zinc/35/39/60/189353960.db2.gz CKAZCMNCKCYURB-UHFFFAOYSA-N 0 0 277.349 2.804 20 5 CFBDRN CCSCC[C@@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183583506 189363063 /nfs/dbraw/zinc/36/30/63/189363063.db2.gz XDXPRBHVHKKVLD-SECBINFHSA-N 0 0 298.368 2.643 20 5 CFBDRN COc1cc(Cn2nc(C)cc2C)c([N+](=O)[O-])cc1F ZINC000183967407 189372854 /nfs/dbraw/zinc/37/28/54/189372854.db2.gz BCZSMCFVUWUURG-UHFFFAOYSA-N 0 0 279.271 2.604 20 5 CFBDRN CC[C@H](COC)NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000184110409 189376449 /nfs/dbraw/zinc/37/64/49/189376449.db2.gz YCMDCLIRHWBSHX-YGNAEDSMSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000424911514 238902929 /nfs/dbraw/zinc/90/29/29/238902929.db2.gz XYBGRRHSXVSYBY-GWCFXTLKSA-N 0 0 292.310 2.900 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CCO)c2ccco2)c(Cl)c1 ZINC000184345967 189383464 /nfs/dbraw/zinc/38/34/64/189383464.db2.gz ZYKLGEKXKODVDM-JTQLQIEISA-N 0 0 297.698 2.772 20 5 CFBDRN Cc1cc(C(=O)N2CC(CC(F)F)C2)ccc1[N+](=O)[O-] ZINC000425093275 238940151 /nfs/dbraw/zinc/94/01/51/238940151.db2.gz PYWYOQHHDAROKH-UHFFFAOYSA-N 0 0 284.262 2.630 20 5 CFBDRN C[C@](O)(CNc1c(F)cc([N+](=O)[O-])cc1F)c1ccco1 ZINC000301779087 201191634 /nfs/dbraw/zinc/19/16/34/201191634.db2.gz IRWOWEKBDPVGRT-ZDUSSCGKSA-N 0 0 298.245 2.786 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1ccc([N+](=O)[O-])s1 ZINC000424986497 238916276 /nfs/dbraw/zinc/91/62/76/238916276.db2.gz YDFSNYIINPAPGY-DTWKUNHWSA-N 0 0 266.322 2.575 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H](O)c1ccncc1 ZINC000301784212 201195199 /nfs/dbraw/zinc/19/51/99/201195199.db2.gz KQOQKVMAYUCZAN-CYBMUJFWSA-N 0 0 293.710 2.789 20 5 CFBDRN CCC[C@H](NCC(F)(F)CO)c1cccc([N+](=O)[O-])c1 ZINC000184716071 189393535 /nfs/dbraw/zinc/39/35/35/189393535.db2.gz JBOVDCMUIXXEFO-LBPRGKRZSA-N 0 0 288.294 2.653 20 5 CFBDRN CN(C[C@@H]1CCC[C@@H]1O)c1ccc([N+](=O)[O-])cc1Cl ZINC000301817060 201214414 /nfs/dbraw/zinc/21/44/14/201214414.db2.gz FAZNGSAAEQUILA-ZANVPECISA-N 0 0 284.743 2.845 20 5 CFBDRN Cc1ccc(CNc2ccc3ncc([N+](=O)[O-])n3n2)cc1 ZINC000301826912 201220884 /nfs/dbraw/zinc/22/08/84/201220884.db2.gz FJBGPWNWYPSYQB-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CCOc1cc(N(C)C[C@@H]2CCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000301881243 201245855 /nfs/dbraw/zinc/24/58/55/201245855.db2.gz ZWGPPYYYDRKEPM-FZMZJTMJSA-N 0 0 294.351 2.591 20 5 CFBDRN CCc1nsc(N2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000301840897 201226980 /nfs/dbraw/zinc/22/69/80/201226980.db2.gz YMIXKTQAEJBYOT-UHFFFAOYSA-N 0 0 290.348 2.571 20 5 CFBDRN CC[C@@H](Nc1ccccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000301844347 201230318 /nfs/dbraw/zinc/23/03/18/201230318.db2.gz LLNLSXVXNADVJZ-ZWNOBZJWSA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1cnccc1CCNc1ccccc1[N+](=O)[O-] ZINC000301848418 201232785 /nfs/dbraw/zinc/23/27/85/201232785.db2.gz ODMPLTWQYHOPGR-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN C[C@@H](O)CCNc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301855437 201234487 /nfs/dbraw/zinc/23/44/87/201234487.db2.gz ATOIALKBIGSSEM-SNVBAGLBSA-N 0 0 282.340 2.955 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000301859595 201235245 /nfs/dbraw/zinc/23/52/45/201235245.db2.gz SSDKZOPXXIJSTD-GWCFXTLKSA-N 0 0 295.295 2.873 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C)C[C@@H](O)c1ccco1 ZINC000301860559 201235834 /nfs/dbraw/zinc/23/58/34/201235834.db2.gz CCUVHZWDGXRHPI-ZYHUDNBSSA-N 0 0 291.307 2.815 20 5 CFBDRN Nc1nc(NCc2cccc([N+](=O)[O-])c2)nc2ccccc12 ZINC000301898765 201252779 /nfs/dbraw/zinc/25/27/79/201252779.db2.gz XEGJEVDYRXYDKF-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN C[C@@H](CO)N(C)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301920790 201264420 /nfs/dbraw/zinc/26/44/20/201264420.db2.gz XEHUPKIXQJRNRR-JTQLQIEISA-N 0 0 282.340 2.589 20 5 CFBDRN COC[C@@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000301927699 201267243 /nfs/dbraw/zinc/26/72/43/201267243.db2.gz IKJWQRNVQRNAGS-SECBINFHSA-N 0 0 256.327 2.519 20 5 CFBDRN CN(CC1CCOCC1)c1sccc1[N+](=O)[O-] ZINC000302025343 201305305 /nfs/dbraw/zinc/30/53/05/201305305.db2.gz PVPVAIUTSUQGMA-UHFFFAOYSA-N 0 0 256.327 2.519 20 5 CFBDRN CCCN(c1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC000302027529 201306121 /nfs/dbraw/zinc/30/61/21/201306121.db2.gz LRVMCKADQXOAND-UHFFFAOYSA-N 0 0 265.269 2.882 20 5 CFBDRN Cc1cc(NCC[C@@H](C)O)c2cccc([N+](=O)[O-])c2n1 ZINC000302031138 201308782 /nfs/dbraw/zinc/30/87/82/201308782.db2.gz SCOCGNKHZZKOOE-SNVBAGLBSA-N 0 0 275.308 2.634 20 5 CFBDRN CC(C)(C)OC(=O)N1CCCN1c1ccc([N+](=O)[O-])cc1 ZINC000302007479 201294180 /nfs/dbraw/zinc/29/41/80/201294180.db2.gz AUZLCTCPBVIUSM-UHFFFAOYSA-N 0 0 293.323 2.957 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2(OC)CCC2)c1 ZINC000302049471 201319673 /nfs/dbraw/zinc/31/96/73/201319673.db2.gz WPFRWWVHXJFYJY-UHFFFAOYSA-N 0 0 284.287 2.724 20 5 CFBDRN Cc1nnc(CNc2ccc(F)cc2[N+](=O)[O-])n1C1CC1 ZINC000302034361 201311055 /nfs/dbraw/zinc/31/10/55/201311055.db2.gz OPYXZDLHBWCDTJ-UHFFFAOYSA-N 0 0 291.286 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cccc(CCCO)c2)nc1 ZINC000302044810 201317447 /nfs/dbraw/zinc/31/74/47/201317447.db2.gz JZEBOCAVSLCLGM-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cn1)Sc1ccccc1 ZINC000302137038 201360221 /nfs/dbraw/zinc/36/02/21/201360221.db2.gz BRLFRLDYMCNHAL-JTQLQIEISA-N 0 0 290.348 2.978 20 5 CFBDRN C[C@@H](c1ccccc1F)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302159553 201373433 /nfs/dbraw/zinc/37/34/33/201373433.db2.gz OODKGKIRRLJRDE-VIFPVBQESA-N 0 0 276.271 2.721 20 5 CFBDRN Cc1ccc(CCNc2ncc([N+](=O)[O-])cn2)c(C)c1 ZINC000302162667 201374051 /nfs/dbraw/zinc/37/40/51/201374051.db2.gz PZADQZNFPQJDBI-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CCc1ccc(Oc2ncc([N+](=O)[O-])cn2)c([N+](=O)[O-])c1 ZINC000302175685 201383419 /nfs/dbraw/zinc/38/34/19/201383419.db2.gz WYMCTCWHWYBUAG-UHFFFAOYSA-N 0 0 290.235 2.648 20 5 CFBDRN C[C@@]1(C(=O)[O-])CCCC[C@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000426721152 239253143 /nfs/dbraw/zinc/25/31/43/239253143.db2.gz RHRWBMQNPINXRJ-UKRRQHHQSA-N 0 0 292.335 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC3(CO)CCC3)ccc2c1 ZINC000302183241 201386650 /nfs/dbraw/zinc/38/66/50/201386650.db2.gz SJYMMZXTZAWAFH-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN CC[C@H]1CCCC[C@H]1N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302205530 201395856 /nfs/dbraw/zinc/39/58/56/201395856.db2.gz DCCJWWIUMNOOCV-CMPLNLGQSA-N 0 0 264.329 2.790 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccsc1 ZINC000427593639 239322087 /nfs/dbraw/zinc/32/20/87/239322087.db2.gz LRAFGEHXAKQULM-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN CCc1ccc(Oc2ncc([N+](=O)[O-])cn2)c(OC)c1 ZINC000302206607 201396882 /nfs/dbraw/zinc/39/68/82/201396882.db2.gz DTBXSNRXBQLBPW-UHFFFAOYSA-N 0 0 275.264 2.748 20 5 CFBDRN CCOC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000189532732 189537309 /nfs/dbraw/zinc/53/73/09/189537309.db2.gz JGRTUIZQEWOYLB-ZDUSSCGKSA-N 0 0 298.314 2.525 20 5 CFBDRN Cc1cc(OC[C@@H](O)CC(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000189428756 189533811 /nfs/dbraw/zinc/53/38/11/189533811.db2.gz NSCLONJOMPRQAD-ZETCQYMHSA-N 0 0 297.204 2.734 20 5 CFBDRN O=C(C1CCCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172423823 283756283 /nfs/dbraw/zinc/75/62/83/283756283.db2.gz SKQNNVFVLUSARI-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCO[C@H]1C ZINC000190433691 189564235 /nfs/dbraw/zinc/56/42/35/189564235.db2.gz ADYLEFMJHHJTEN-NWDGAFQWSA-N 0 0 292.335 2.965 20 5 CFBDRN COc1cc(C(=O)N2CCCC[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000190435193 189564711 /nfs/dbraw/zinc/56/47/11/189564711.db2.gz RJUSOQQOKKYSAE-JTQLQIEISA-N 0 0 292.335 2.926 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCc1ccnn1C ZINC000190314629 189560625 /nfs/dbraw/zinc/56/06/25/189560625.db2.gz CBOCBYOWXMMBRX-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC(C)[C@@H]1CN(c2ncc([N+](=O)[O-])s2)CCS1 ZINC000190331895 189561272 /nfs/dbraw/zinc/56/12/72/189561272.db2.gz RYVFIWBBTIOTNV-QMMMGPOBSA-N 0 0 273.383 2.629 20 5 CFBDRN CCOC[C@@H](NC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000190966291 189578714 /nfs/dbraw/zinc/57/87/14/189578714.db2.gz MHGQXNAPDQSPHB-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1cc(C(=O)N2CCSC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000191301655 189591084 /nfs/dbraw/zinc/59/10/84/189591084.db2.gz YXRJSWLPUCTRDJ-JTQLQIEISA-N 0 0 294.376 2.728 20 5 CFBDRN CCOC(=O)N1CC[C@@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000302242150 201419098 /nfs/dbraw/zinc/41/90/98/201419098.db2.gz ITPKSBOXROBNQI-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN COc1ccc(OC[C@@H](O)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000191362406 189593097 /nfs/dbraw/zinc/59/30/97/189593097.db2.gz PMQYVJJBUVDSKM-CQSZACIVSA-N 0 0 295.335 2.923 20 5 CFBDRN O=C1NCC[C@H]1Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] ZINC000431243571 239863901 /nfs/dbraw/zinc/86/39/01/239863901.db2.gz UQWBGVDZMQCUBY-OAHLLOKOSA-N 0 0 298.298 2.529 20 5 CFBDRN O=[N+]([O-])c1cn(CCOC2CCCC2)nc1C1CC1 ZINC000431926226 239946102 /nfs/dbraw/zinc/94/61/02/239946102.db2.gz MTJDCWONVVIMKY-UHFFFAOYSA-N 0 0 265.313 2.628 20 5 CFBDRN C[C@H]1c2ccsc2CCN1Cc1c([N+](=O)[O-])ncn1C ZINC000331931747 291326475 /nfs/dbraw/zinc/32/64/75/291326475.db2.gz VXTBNVXNWLJWNA-VIFPVBQESA-N 0 0 292.364 2.509 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@H]1CCCC(=O)N1 ZINC000432921394 240068766 /nfs/dbraw/zinc/06/87/66/240068766.db2.gz BLRVSDXBRWDMNY-SECBINFHSA-N 0 0 297.742 2.637 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCC(=O)c2cccn21 ZINC000433533792 240159022 /nfs/dbraw/zinc/15/90/22/240159022.db2.gz MQCFXBMCUQPZEE-ZDUSSCGKSA-N 0 0 299.286 2.553 20 5 CFBDRN Cc1cc(C)cc(CN(C)c2ncc([N+](=O)[O-])cn2)c1 ZINC000433801416 240176506 /nfs/dbraw/zinc/17/65/06/240176506.db2.gz ZTDACCSPDXUNQO-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000434942299 240280178 /nfs/dbraw/zinc/28/01/78/240280178.db2.gz JEPSUHVOPNGXBN-SCZZXKLOSA-N 0 0 287.747 2.852 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@H](O)Cc2ccccc21 ZINC000435047787 240287537 /nfs/dbraw/zinc/28/75/37/240287537.db2.gz DCIBNBAFWWPAKF-MRXNPFEDSA-N 0 0 298.342 2.827 20 5 CFBDRN O=C(NCC1(Cc2ccccc2)CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000435146330 240291797 /nfs/dbraw/zinc/29/17/97/240291797.db2.gz YEYASYYBYQAUTO-UHFFFAOYSA-N 0 0 299.330 2.676 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])ns1 ZINC000435535314 240321064 /nfs/dbraw/zinc/32/10/64/240321064.db2.gz QBMHTLZREJFLMA-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CCOC(=O)c1cc(N2CCC=C(C)C2)ccc1[N+](=O)[O-] ZINC000435590177 240329483 /nfs/dbraw/zinc/32/94/83/240329483.db2.gz XOQJWYOAEOFUCZ-UHFFFAOYSA-N 0 0 290.319 2.928 20 5 CFBDRN C[C@@H](NC(=O)NCC[C@H](C)F)c1ccccc1[N+](=O)[O-] ZINC000454768383 296080739 /nfs/dbraw/zinc/08/07/39/296080739.db2.gz SUNRRVFLDYIWMN-VHSXEESVSA-N 0 0 283.303 2.703 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])c1cncs1 ZINC000439136324 240740922 /nfs/dbraw/zinc/74/09/22/240740922.db2.gz ZVXYZTLQRALRTQ-ZETCQYMHSA-N 0 0 250.283 2.619 20 5 CFBDRN NC(=O)c1cccc(NC2CCCCCC2)c1[N+](=O)[O-] ZINC000439132446 240741558 /nfs/dbraw/zinc/74/15/58/240741558.db2.gz QFNDBXWQPZDBBF-UHFFFAOYSA-N 0 0 277.324 2.828 20 5 CFBDRN Cc1cc(F)ccc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000439294813 240759094 /nfs/dbraw/zinc/75/90/94/240759094.db2.gz JRMKCDQRHQMEML-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN CC[C@H](F)Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000440602456 240861439 /nfs/dbraw/zinc/86/14/39/240861439.db2.gz YPEYWCIPBJZQHK-JTQLQIEISA-N 0 0 264.260 2.601 20 5 CFBDRN CO[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000275819266 193137747 /nfs/dbraw/zinc/13/77/47/193137747.db2.gz WFHZKJCDPDVADE-CYBMUJFWSA-N 0 0 295.339 2.696 20 5 CFBDRN Cc1cnc(CNC(=O)Nc2cc([N+](=O)[O-])ccc2C)o1 ZINC000441390981 240938074 /nfs/dbraw/zinc/93/80/74/240938074.db2.gz ZPQGLQFCSGYTCR-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN CC1(C)CCC[C@@H](NC(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000443601765 241077616 /nfs/dbraw/zinc/07/76/16/241077616.db2.gz GKQXMGSWGDQHAC-SNVBAGLBSA-N 0 0 292.339 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2c[nH]c3ncccc23)cn1 ZINC000443874280 241092906 /nfs/dbraw/zinc/09/29/06/241092906.db2.gz AXDNOYBDRSCNHP-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN Cc1cnc(NCCc2c[nH]c3ncccc23)c([N+](=O)[O-])c1 ZINC000443889189 241093636 /nfs/dbraw/zinc/09/36/36/241093636.db2.gz OBLQTAFZBMOMMZ-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Nc1cnn(C)c1 ZINC000443975302 241100668 /nfs/dbraw/zinc/10/06/68/241100668.db2.gz ZBIGCKXLMCIGHN-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000444143142 241117962 /nfs/dbraw/zinc/11/79/62/241117962.db2.gz LPLYGJVYBKPYLN-DGCLKSJQSA-N 0 0 291.351 2.925 20 5 CFBDRN COc1ccc(CSCCC2(O)CC2)cc1[N+](=O)[O-] ZINC000443133514 241041628 /nfs/dbraw/zinc/04/16/28/241041628.db2.gz NXRPGUBNTSCAIN-UHFFFAOYSA-N 0 0 283.349 2.752 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc(-c3nnco3)cc2)s1 ZINC000443406902 241058524 /nfs/dbraw/zinc/05/85/24/241058524.db2.gz GMIHFZMIPMBANO-UHFFFAOYSA-N 0 0 290.260 2.894 20 5 CFBDRN CN(Cc1ccc(F)cc1F)c1ncc([N+](=O)[O-])s1 ZINC000443436193 241061869 /nfs/dbraw/zinc/06/18/69/241061869.db2.gz LHTABMNTTGUIAY-UHFFFAOYSA-N 0 0 285.275 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCCC4(CC4)C3)nc2c1 ZINC000444685328 241165870 /nfs/dbraw/zinc/16/58/70/241165870.db2.gz NWSJRYOCGWSWHE-UHFFFAOYSA-N 0 0 286.335 2.847 20 5 CFBDRN O=[N+]([O-])c1cnc(Sc2ncco2)c(Cl)c1 ZINC000444868674 241198027 /nfs/dbraw/zinc/19/80/27/241198027.db2.gz CLLBNDRIHIVCGU-UHFFFAOYSA-N 0 0 257.658 2.782 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(/C=C\c2ccccc2)n1 ZINC000445579127 241223006 /nfs/dbraw/zinc/22/30/06/241223006.db2.gz LCBSYYHCDLNZIB-FPLPWBNLSA-N 0 0 297.274 2.549 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)Cc1ccccn1 ZINC000446098033 241277284 /nfs/dbraw/zinc/27/72/84/241277284.db2.gz BJZPDNJYPWZUQG-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)O[C@@H](C)[C@H]2C)c1 ZINC000446613282 241307993 /nfs/dbraw/zinc/30/79/93/241307993.db2.gz USASBKFXUIQXHV-TUAOUCFPSA-N 0 0 292.335 2.541 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000302573667 201483447 /nfs/dbraw/zinc/48/34/47/201483447.db2.gz REPCDGVNTKLSJB-XXILOJSOSA-N 0 0 266.272 2.713 20 5 CFBDRN C[C@H]1C[C@H](CSc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000447117042 241354858 /nfs/dbraw/zinc/35/48/58/241354858.db2.gz AAOUQAPAOWOZPX-VHSXEESVSA-N 0 0 268.338 2.897 20 5 CFBDRN C[C@H]1C[C@H](COc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000447289499 241361718 /nfs/dbraw/zinc/36/17/18/241361718.db2.gz APPRJXMEPMRMDQ-VHSXEESVSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@@H]1C[C@H](COc2ccccc2[N+](=O)[O-])CCO1 ZINC000447295221 241363356 /nfs/dbraw/zinc/36/33/56/241363356.db2.gz JUKNMSSBVJYNBD-GHMZBOCLSA-N 0 0 251.282 2.789 20 5 CFBDRN C[C@H]1C[C@@H](CSc2ncccc2[N+](=O)[O-])CCO1 ZINC000447303109 241365378 /nfs/dbraw/zinc/36/53/78/241365378.db2.gz HBNIYBPFHNABHL-UWVGGRQHSA-N 0 0 268.338 2.897 20 5 CFBDRN CSCCN(C)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000446845105 241331548 /nfs/dbraw/zinc/33/15/48/241331548.db2.gz YAEBMPQNLWAJMP-UHFFFAOYSA-N 0 0 292.307 2.995 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCOc1ncccc1F ZINC000446883314 241333668 /nfs/dbraw/zinc/33/36/68/241333668.db2.gz FUUGGALUYURGGM-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN COC(=O)c1cc(N(C)CCC2CC2)cc(C)c1[N+](=O)[O-] ZINC000446882258 241334448 /nfs/dbraw/zinc/33/44/48/241334448.db2.gz BOKLVRMUAAVVMB-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN Cc1c(CNC(=O)C(C)(C)OC(C)C)cccc1[N+](=O)[O-] ZINC000448547570 241468875 /nfs/dbraw/zinc/46/88/75/241468875.db2.gz GJBSINWMYBEDAL-UHFFFAOYSA-N 0 0 294.351 2.723 20 5 CFBDRN CC(C)(C(=O)NCc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000448745065 241537679 /nfs/dbraw/zinc/53/76/79/241537679.db2.gz CPEGCVRVHZYSEA-UHFFFAOYSA-N 0 0 286.278 2.892 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C[C@@H]1CC[C@H]2C[C@H]21 ZINC000448674087 241509971 /nfs/dbraw/zinc/50/99/71/241509971.db2.gz DOOIIZIDDXPDEC-SGMGOOAPSA-N 0 0 288.347 2.989 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCCOCC1)c1ccccc1[N+](=O)[O-] ZINC000362722336 296096627 /nfs/dbraw/zinc/09/66/27/296096627.db2.gz FXWKNAIKYBERRB-VXGBXAGGSA-N 0 0 292.335 2.589 20 5 CFBDRN CCOCCNc1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450263807 242331425 /nfs/dbraw/zinc/33/14/25/242331425.db2.gz YIENTWDCWMIGRM-UHFFFAOYSA-N 0 0 293.348 2.589 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)NCCc1cccc([N+](=O)[O-])c1 ZINC000450274107 242334729 /nfs/dbraw/zinc/33/47/29/242334729.db2.gz FXYYIVYNRBAJDU-KCQAQPDRSA-N 0 0 288.347 2.690 20 5 CFBDRN CCOC(=O)CCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450278640 242336679 /nfs/dbraw/zinc/33/66/79/242336679.db2.gz QPUICNQZOKZKAW-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@H]1CCOC1 ZINC000450277601 242337762 /nfs/dbraw/zinc/33/77/62/242337762.db2.gz RWYPMISHMLMEEB-SNVBAGLBSA-N 0 0 273.292 2.591 20 5 CFBDRN CC(C)[C@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450346413 242369673 /nfs/dbraw/zinc/36/96/73/242369673.db2.gz HBTQOHSEMFPQTC-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN Cc1ccsc1CN(C)c1ncc([N+](=O)[O-])c(C)n1 ZINC000450224042 242313940 /nfs/dbraw/zinc/31/39/40/242313940.db2.gz RKKGLKUYJONXSQ-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1ccc(CN(C)c2nccc(C)c2[N+](=O)[O-])nc1 ZINC000302704293 201518495 /nfs/dbraw/zinc/51/84/95/201518495.db2.gz VSRRWAGTSBGTLR-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN CCn1nc(C)c(Nc2ccc([N+](=O)[O-])nc2)c1C ZINC000450356526 242375408 /nfs/dbraw/zinc/37/54/08/242375408.db2.gz HLBXUXGYKUHVKM-UHFFFAOYSA-N 0 0 261.285 2.567 20 5 CFBDRN Cc1nc(N2CC[C@](C)(c3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000450377031 242384597 /nfs/dbraw/zinc/38/45/97/242384597.db2.gz IAXUKBPYYHMDRU-INIZCTEOSA-N 0 0 298.346 2.861 20 5 CFBDRN CCC1(CC)[C@H](Nc2ncc([N+](=O)[O-])c(C)n2)C[C@H]1OC ZINC000450394491 242394861 /nfs/dbraw/zinc/39/48/61/242394861.db2.gz RQIPDFWTSWZPSU-VXGBXAGGSA-N 0 0 294.355 2.699 20 5 CFBDRN C[C@H](CCCO)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450631922 242514012 /nfs/dbraw/zinc/51/40/12/242514012.db2.gz IYBIVYFHWSFDPS-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CC(C)[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1ncc[nH]1 ZINC000450511693 242460441 /nfs/dbraw/zinc/46/04/41/242460441.db2.gz QREAYAKQOJTXDA-LLVKDONJSA-N 0 0 261.285 2.522 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CC[C@H](F)C2)c([N+](=O)[O-])c1 ZINC000450535546 242468364 /nfs/dbraw/zinc/46/83/64/242468364.db2.gz OARKQAMRUSGQJN-WDEREUQCSA-N 0 0 296.298 2.613 20 5 CFBDRN CC[C@@](C)(CNc1ccc([N+](=O)[O-])c(N)c1F)OC ZINC000450708882 242553938 /nfs/dbraw/zinc/55/39/38/242553938.db2.gz DIDOCBDAWABACJ-LBPRGKRZSA-N 0 0 271.292 2.543 20 5 CFBDRN Cc1nn(C)cc1COc1cc(C)ccc1[N+](=O)[O-] ZINC000450718036 242559213 /nfs/dbraw/zinc/55/92/13/242559213.db2.gz SBDCUYDGZFCHAG-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CC(=O)Nc1ccccc1 ZINC000302739319 201535646 /nfs/dbraw/zinc/53/56/46/201535646.db2.gz QCKVMMHYLCNTPH-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN CO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H]1C ZINC000450769561 242594732 /nfs/dbraw/zinc/59/47/32/242594732.db2.gz WLFDEMSVSQTLPZ-KWQFWETISA-N 0 0 285.731 2.504 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C(C)C)[C@H](CO)C(C)C ZINC000450778198 242601100 /nfs/dbraw/zinc/60/11/00/242601100.db2.gz ZBPWAONGMHVCIG-KGLIPLIRSA-N 0 0 295.383 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@@H]2CCOC2)c(F)c1 ZINC000450804935 242612938 /nfs/dbraw/zinc/61/29/38/242612938.db2.gz BPOTWFBWPLBKSS-VIFPVBQESA-N 0 0 255.245 2.539 20 5 CFBDRN CCc1ncc(CNc2c(F)cccc2[N+](=O)[O-])o1 ZINC000450850180 242637858 /nfs/dbraw/zinc/63/78/58/242637858.db2.gz WNGRHYCQWJWQSD-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000302774433 201547186 /nfs/dbraw/zinc/54/71/86/201547186.db2.gz OFYQBMSBYXHYSS-IUCAKERBSA-N 0 0 281.312 2.623 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@]2(CCSC2)C1 ZINC000450902428 242664115 /nfs/dbraw/zinc/66/41/15/242664115.db2.gz KTSAQFOXXPIZOH-ZDUSSCGKSA-N 0 0 279.365 2.713 20 5 CFBDRN Cc1ccnc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000451104173 242731472 /nfs/dbraw/zinc/73/14/72/242731472.db2.gz PXZXQKFYQKGWHA-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN CC(C)c1ccc(C(=O)NC(C)(C)[C@@H](C)O)cc1[N+](=O)[O-] ZINC000275981152 193179985 /nfs/dbraw/zinc/17/99/85/193179985.db2.gz FJAKWPSWVGBSKH-SNVBAGLBSA-N 0 0 294.351 2.607 20 5 CFBDRN C[C@H](NC(=O)CC(C)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000452182030 243077721 /nfs/dbraw/zinc/07/77/21/243077721.db2.gz FOSJOCJUUYYGOC-QMMMGPOBSA-N 0 0 272.251 2.817 20 5 CFBDRN C[C@H](NC(=O)C[C@H]1C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000452182729 243078012 /nfs/dbraw/zinc/07/80/12/243078012.db2.gz IRJNCFFCROQXAZ-JBLDHEPKSA-N 0 0 262.309 2.818 20 5 CFBDRN C[C@H](NC(=O)CC1(C)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000452182401 243078242 /nfs/dbraw/zinc/07/82/42/243078242.db2.gz HCXBGOWKUYOCIV-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN CC1(F)CC(NC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000452284144 243113680 /nfs/dbraw/zinc/11/36/80/243113680.db2.gz ZCGFHGCNNYAPEA-UHFFFAOYSA-N 0 0 280.299 2.534 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000452521295 243210037 /nfs/dbraw/zinc/21/00/37/243210037.db2.gz RHDLCQJHMHWXKL-RYUDHWBXSA-N 0 0 291.351 2.854 20 5 CFBDRN CC/C=C\CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000452618210 243247551 /nfs/dbraw/zinc/24/75/51/243247551.db2.gz VGOBBMMLEKNKDM-ARJAWSKDSA-N 0 0 268.700 2.944 20 5 CFBDRN Cc1ncccc1N(C)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000452636801 243251175 /nfs/dbraw/zinc/25/11/75/243251175.db2.gz GWYQJDILYNTMDF-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN CCC[C@H](NC(=O)c1cc([N+](=O)[O-])cnc1N)C(C)(C)C ZINC000452666274 243256117 /nfs/dbraw/zinc/25/61/17/243256117.db2.gz FFKVUJXKOQUDHR-NSHDSACASA-N 0 0 294.355 2.517 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000452762443 243289298 /nfs/dbraw/zinc/28/92/98/243289298.db2.gz ADPGRZZWVXLDQT-NEPJUHHUSA-N 0 0 291.351 2.559 20 5 CFBDRN CC[C@](C)(CNC(=O)c1cc([N+](=O)[O-])c(C)s1)OC ZINC000452712746 243271881 /nfs/dbraw/zinc/27/18/81/243271881.db2.gz HNOSWWCZZGNILR-GFCCVEGCSA-N 0 0 286.353 2.510 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCC(=O)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000330518740 202914999 /nfs/dbraw/zinc/91/49/99/202914999.db2.gz JVHKZNBJMYRHSL-QWRGUYRKSA-N 0 0 290.319 2.847 20 5 CFBDRN C[C@H](Cc1ccccc1[N+](=O)[O-])NC1(C(F)F)CC1 ZINC000453208892 243455771 /nfs/dbraw/zinc/45/57/71/243455771.db2.gz HTMIREFSKSEVKU-SECBINFHSA-N 0 0 270.279 2.913 20 5 CFBDRN O=C([C@H]1CCSC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000336059970 296128139 /nfs/dbraw/zinc/12/81/39/296128139.db2.gz UKORXAHGNJVNFB-NSHDSACASA-N 0 0 292.360 2.627 20 5 CFBDRN C[C@@H](NC(=O)NC1CC(C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000454364386 243696490 /nfs/dbraw/zinc/69/64/90/243696490.db2.gz OXUBRANEURORBJ-FDMSEYEVSA-N 0 0 295.314 2.846 20 5 CFBDRN COc1cc(C(=O)NC(C)(C)C2CCC2)ccc1[N+](=O)[O-] ZINC000456149387 244089146 /nfs/dbraw/zinc/08/91/46/244089146.db2.gz RQJGGZZLLMBGIX-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC(=O)CCC(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456174644 244096146 /nfs/dbraw/zinc/09/61/46/244096146.db2.gz HHNBROZRXZAIOY-UHFFFAOYSA-N 0 0 293.323 2.723 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000456270427 244122863 /nfs/dbraw/zinc/12/28/63/244122863.db2.gz GAGXLODKMOUFOI-HIFRSBDPSA-N 0 0 286.331 2.855 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)O1 ZINC000330722839 203154100 /nfs/dbraw/zinc/15/41/00/203154100.db2.gz YLUCVCFRZLUEGD-AAEUAGOBSA-N 0 0 278.308 2.799 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000456962821 244338327 /nfs/dbraw/zinc/33/83/27/244338327.db2.gz PCPRDJLWHMTHMD-ZIAGYGMSSA-N 0 0 292.335 2.672 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000456882698 244318939 /nfs/dbraw/zinc/31/89/39/244318939.db2.gz PITOHFDWDWPVPU-CABZTGNLSA-N 0 0 278.283 2.510 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000456935797 244331623 /nfs/dbraw/zinc/33/16/23/244331623.db2.gz CCMVTQDUQFIPBJ-ZIAGYGMSSA-N 0 0 292.335 2.672 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCCCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000266151886 284142734 /nfs/dbraw/zinc/14/27/34/284142734.db2.gz KYBVBMCNDIJLJN-CMPLNLGQSA-N 0 0 277.324 2.622 20 5 CFBDRN CCOc1ccc(C(=O)N2C[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000330855535 203317897 /nfs/dbraw/zinc/31/78/97/203317897.db2.gz HWZBCYJJSXDPEN-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])cc2Cl)no1 ZINC000227633193 189786691 /nfs/dbraw/zinc/78/66/91/189786691.db2.gz XJEFHNNIECVMIY-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN COc1cc(CNc2c(F)cc([N+](=O)[O-])cc2F)ccn1 ZINC000227887854 189789193 /nfs/dbraw/zinc/78/91/93/189789193.db2.gz SPIGJXGXVGJUKR-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN CCC1CN(C(=O)CCOc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000457307394 244475355 /nfs/dbraw/zinc/47/53/55/244475355.db2.gz QLQNQDBORDZBIZ-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN COc1cccc(C(=O)N2CC(C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000457313395 244477318 /nfs/dbraw/zinc/47/73/18/244477318.db2.gz AFEDUZNSKQIMCM-UHFFFAOYSA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1nn(C)c(NCC(C(C)C)C(C)C)c1[N+](=O)[O-] ZINC000229702364 189801999 /nfs/dbraw/zinc/80/19/99/189801999.db2.gz UCSXLLWVYORJTQ-UHFFFAOYSA-N 0 0 268.361 2.977 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000330870212 203334198 /nfs/dbraw/zinc/33/41/98/203334198.db2.gz QMKHTNHNLSDYSW-MNOVXSKESA-N 0 0 294.376 2.944 20 5 CFBDRN COCc1noc(-c2ccc(C(C)C)c([N+](=O)[O-])c2)n1 ZINC000276107131 193215936 /nfs/dbraw/zinc/21/59/36/193215936.db2.gz XGGMNUZZZDZGFF-UHFFFAOYSA-N 0 0 277.280 2.915 20 5 CFBDRN C[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000276138460 193223779 /nfs/dbraw/zinc/22/37/79/193223779.db2.gz CCEOUNXWCOKBFP-MWLCHTKSSA-N 0 0 298.364 2.599 20 5 CFBDRN CCC(O)(CC)CCNc1ncc([N+](=O)[O-])cc1Cl ZINC000276216560 193246172 /nfs/dbraw/zinc/24/61/72/193246172.db2.gz LBLFIPFSRKHOSY-UHFFFAOYSA-N 0 0 287.747 2.996 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H]1CCC[C@@H](O)C1 ZINC000230908874 189811898 /nfs/dbraw/zinc/81/18/98/189811898.db2.gz KNCVOXOAOYNEQB-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H]1CCC[C@H](O)C1 ZINC000230908884 189811999 /nfs/dbraw/zinc/81/19/99/189811999.db2.gz KNCVOXOAOYNEQB-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN CCC(O)(CC)CCNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000276276331 193267850 /nfs/dbraw/zinc/26/78/50/193267850.db2.gz KNQYGVCZNFYEAY-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN CCS[C@H]1CC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330927974 203395912 /nfs/dbraw/zinc/39/59/12/203395912.db2.gz KZKUQPJHGNTNNZ-ONGXEEELSA-N 0 0 297.380 2.669 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NOCC(C)C ZINC000276383677 193308652 /nfs/dbraw/zinc/30/86/52/193308652.db2.gz GYJLBSVYRGWVBG-UHFFFAOYSA-N 0 0 281.312 2.921 20 5 CFBDRN CC(C)C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330972805 203430934 /nfs/dbraw/zinc/43/09/34/203430934.db2.gz UJHREBIFIVBSLQ-NSHDSACASA-N 0 0 279.340 2.821 20 5 CFBDRN CCOc1cc(OCc2ccno2)ccc1[N+](=O)[O-] ZINC000276577943 193386895 /nfs/dbraw/zinc/38/68/95/193386895.db2.gz MSTYEAPIHWFLOH-UHFFFAOYSA-N 0 0 264.237 2.561 20 5 CFBDRN CCOc1cc(Oc2nc(C)ns2)ccc1[N+](=O)[O-] ZINC000276587937 193391137 /nfs/dbraw/zinc/39/11/37/193391137.db2.gz BOIUTICAKCYIRJ-UHFFFAOYSA-N 0 0 281.293 2.946 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2C[C@@H](OC(C)C)C2)ccc1[N+](=O)[O-] ZINC000457862990 244697243 /nfs/dbraw/zinc/69/72/43/244697243.db2.gz WJSMOAWSDNTLPB-BETUJISGSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1nc(CCN2CCc3cc([N+](=O)[O-])ccc32)cs1 ZINC000276590587 193392046 /nfs/dbraw/zinc/39/20/46/193392046.db2.gz BBPMRQGRNKMAMJ-UHFFFAOYSA-N 0 0 289.360 2.965 20 5 CFBDRN COC(=O)C[C@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276569228 193384416 /nfs/dbraw/zinc/38/44/16/193384416.db2.gz HFLKHQFKLWXCJG-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000458126021 244774112 /nfs/dbraw/zinc/77/41/12/244774112.db2.gz FHLMYFPMBKWOGX-DTWKUNHWSA-N 0 0 268.338 2.739 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000458136744 244777277 /nfs/dbraw/zinc/77/72/77/244777277.db2.gz JFGFPYCRVILEFP-DZGCQCFKSA-N 0 0 276.336 2.688 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000458284304 244830014 /nfs/dbraw/zinc/83/00/14/244830014.db2.gz RUMKQLFZNAMGBP-LQJRIPTKSA-N 0 0 299.330 2.995 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CCCCS2)cc1[N+](=O)[O-] ZINC000276772665 193456500 /nfs/dbraw/zinc/45/65/00/193456500.db2.gz PDSYUHRMCRVXDW-LBPRGKRZSA-N 0 0 297.332 2.795 20 5 CFBDRN COC1(CC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000276855469 193481293 /nfs/dbraw/zinc/48/12/93/193481293.db2.gz LQEDWPXFAPBWKL-UHFFFAOYSA-N 0 0 283.255 2.599 20 5 CFBDRN Cc1c(CNC(=O)NC2(C(C)C)CC2)cccc1[N+](=O)[O-] ZINC000458689911 244939670 /nfs/dbraw/zinc/93/96/70/244939670.db2.gz KTRATBJJQUREMF-UHFFFAOYSA-N 0 0 291.351 2.891 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000459324798 245141330 /nfs/dbraw/zinc/14/13/30/245141330.db2.gz WQAQKWYGYNVHCF-JIMOISOXSA-N 0 0 275.308 2.905 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@H]1C(C)C ZINC000459501346 245202487 /nfs/dbraw/zinc/20/24/87/245202487.db2.gz DCJBRWKYGFGHET-CMPLNLGQSA-N 0 0 293.323 2.769 20 5 CFBDRN CCOC1(C)CN(Cc2ccc([N+](=O)[O-])cc2C)C1 ZINC000459615381 245241389 /nfs/dbraw/zinc/24/13/89/245241389.db2.gz FJJGKCITECPHNH-UHFFFAOYSA-N 0 0 264.325 2.514 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000459908036 245342827 /nfs/dbraw/zinc/34/28/27/245342827.db2.gz WKWUAWHDFQBKEY-NXEZZACHSA-N 0 0 288.225 2.756 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CSC1CC1 ZINC000459985404 245346805 /nfs/dbraw/zinc/34/68/05/245346805.db2.gz JITQDEFHEDBHCU-SECBINFHSA-N 0 0 292.360 2.768 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2[C@H](C)C2(F)F)cc1[N+](=O)[O-] ZINC000461025405 245364581 /nfs/dbraw/zinc/36/45/81/245364581.db2.gz QJNYKIKXSOJISY-AUTRQRHGSA-N 0 0 298.289 2.982 20 5 CFBDRN CC(C)N(CC1CCC1)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000332001093 204377614 /nfs/dbraw/zinc/37/76/14/204377614.db2.gz IOMWQKBCTKFQLT-UHFFFAOYSA-N 0 0 279.340 2.584 20 5 CFBDRN O=c1[nH]c(Cn2cccn2)nc2cc3ccccc3cc21 ZINC000677413030 541853827 /nfs/dbraw/zinc/85/38/27/541853827.db2.gz HQXDSJSAXPMCNR-UHFFFAOYSA-N 0 0 276.299 2.733 20 5 CFBDRN O=C(Nc1cc2c(cn1)CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000359768305 284287736 /nfs/dbraw/zinc/28/77/36/284287736.db2.gz VXFYHLFZYRBDCO-UHFFFAOYSA-N 0 0 283.287 2.731 20 5 CFBDRN CCC(CC)C(=O)NCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000277527137 193681507 /nfs/dbraw/zinc/68/15/07/193681507.db2.gz ACEMQKMOFICHBX-UHFFFAOYSA-N 0 0 290.323 2.524 20 5 CFBDRN COc1ccc(NC(=O)c2ccc(C)nc2)c([N+](=O)[O-])c1 ZINC000003966289 371825771 /nfs/dbraw/zinc/82/57/71/371825771.db2.gz OSUBBDWMNXPXDK-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000010413614 371907768 /nfs/dbraw/zinc/90/77/68/371907768.db2.gz YPXGNBDYLRGDBA-JQWIXIFHSA-N 0 0 262.309 2.903 20 5 CFBDRN CCC(CC)NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000015084016 372078896 /nfs/dbraw/zinc/07/88/96/372078896.db2.gz IBPRQCBIOLJDEC-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN Cc1c(NCC(=O)N(C)c2ccccc2)cccc1[N+](=O)[O-] ZINC000015124410 372080412 /nfs/dbraw/zinc/08/04/12/372080412.db2.gz NAKGRTIFUQDAGA-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN CCN(Cc1ccccc1)c1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000015208640 372082417 /nfs/dbraw/zinc/08/24/17/372082417.db2.gz QTHJVZYUQAAMMN-UHFFFAOYSA-N 0 0 299.330 2.720 20 5 CFBDRN CCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000018185283 372143242 /nfs/dbraw/zinc/14/32/42/372143242.db2.gz LNLWGBNMROFHAJ-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN O=C(Cc1cccc(F)c1)Nc1ccc([N+](=O)[O-])cc1 ZINC000019161302 372149143 /nfs/dbraw/zinc/14/91/43/372149143.db2.gz XRDPGKKCJRUZFM-UHFFFAOYSA-N 0 0 274.251 2.915 20 5 CFBDRN COc1ccc(NC(=O)c2cccc(C)c2[N+](=O)[O-])cn1 ZINC000017296384 372131126 /nfs/dbraw/zinc/13/11/26/372131126.db2.gz SSTOBBABFLTSOM-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCOC(=O)N1CCC(Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000019984361 372220480 /nfs/dbraw/zinc/22/04/80/372220480.db2.gz IRSPZKZAGMFHAO-UHFFFAOYSA-N 0 0 293.323 2.628 20 5 CFBDRN COc1ccc(C(=O)NCCCC(C)C)cc1[N+](=O)[O-] ZINC000025313888 372295617 /nfs/dbraw/zinc/29/56/17/372295617.db2.gz ZJAWDDVYTOIMFX-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1c(NC(=O)[C@@H](C)N2CCCCC2)cccc1[N+](=O)[O-] ZINC000025404042 372299428 /nfs/dbraw/zinc/29/94/28/372299428.db2.gz MQCNBSJRFBVEMH-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN Cc1ccccc1CN(C)c1ncccc1[N+](=O)[O-] ZINC000024106990 372270567 /nfs/dbraw/zinc/27/05/67/372270567.db2.gz JLOQHIRGWBYOOY-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN O=C(Cc1noc2ccccc12)Nc1ccc([N+](=O)[O-])cc1 ZINC000028240098 372361990 /nfs/dbraw/zinc/36/19/90/372361990.db2.gz KBHCBQHHIXCVPG-UHFFFAOYSA-N 0 0 297.270 2.917 20 5 CFBDRN O=C(C1CCCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029250708 372376497 /nfs/dbraw/zinc/37/64/97/372376497.db2.gz DHVQTWRNXVQEJG-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029890083 372387477 /nfs/dbraw/zinc/38/74/77/372387477.db2.gz ZFBCIZUQYBEXGM-LLVKDONJSA-N 0 0 272.304 2.840 20 5 CFBDRN Cc1ccoc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000031930716 372422405 /nfs/dbraw/zinc/42/24/05/372422405.db2.gz UXRLJEGLHFEOGN-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN CC[C@@H](CO)Nc1ccc(Br)cc1[N+](=O)[O-] ZINC000035101795 372538725 /nfs/dbraw/zinc/53/87/25/372538725.db2.gz OOKZDJCDDQPDJT-QMMMGPOBSA-N 0 0 289.129 2.540 20 5 CFBDRN COC(=O)[C@H]1CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000133953934 284332323 /nfs/dbraw/zinc/33/23/23/284332323.db2.gz IINGIUHTHLZIAD-VIFPVBQESA-N 0 0 298.726 2.638 20 5 CFBDRN CC[C@H](C)[N@H+](CC(=O)[O-])Cc1cccc([N+](=O)[O-])c1C ZINC000037598612 372669782 /nfs/dbraw/zinc/66/97/82/372669782.db2.gz AUYDBFMXZOKCAC-JTQLQIEISA-N 0 0 280.324 2.588 20 5 CFBDRN Cc1cc(NCCc2cccnc2)ccc1[N+](=O)[O-] ZINC000037718620 372693654 /nfs/dbraw/zinc/69/36/54/372693654.db2.gz OVZIDRIWHFARDR-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277594259 193703329 /nfs/dbraw/zinc/70/33/29/193703329.db2.gz NVLUSFHTFZMDHK-ZJUUUORDSA-N 0 0 280.324 2.768 20 5 CFBDRN CCC[C@H](NCc1cc[nH]n1)c1cccc([N+](=O)[O-])c1 ZINC000041014806 372802277 /nfs/dbraw/zinc/80/22/77/372802277.db2.gz ZJKUZPACHMJBKL-AWEZNQCLSA-N 0 0 274.324 2.949 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCN1c1c(Cl)cccc1[N+](=O)[O-] ZINC000293105809 296192181 /nfs/dbraw/zinc/19/21/81/296192181.db2.gz PFXBFNFUTLNZGU-ZJUUUORDSA-N 0 0 284.743 2.988 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000279534191 296191646 /nfs/dbraw/zinc/19/16/46/296191646.db2.gz RROZLWGRQWFSBU-KOLCDFICSA-N 0 0 299.758 2.773 20 5 CFBDRN Cc1ccc(NC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000042822978 372960627 /nfs/dbraw/zinc/96/06/27/372960627.db2.gz SSUCBRSOJKVMHQ-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1ccc(NC(=O)NCc2ccncc2)cc1[N+](=O)[O-] ZINC000043148680 372979415 /nfs/dbraw/zinc/97/94/15/372979415.db2.gz VGALKUFLLAHUNQ-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000042804915 372956929 /nfs/dbraw/zinc/95/69/29/372956929.db2.gz KMFCWRUKPHLNKV-GXSJLCMTSA-N 0 0 266.345 2.875 20 5 CFBDRN C[C@@H](Sc1nc[nH]n1)c1cccc([N+](=O)[O-])c1 ZINC000045645405 373025691 /nfs/dbraw/zinc/02/56/91/373025691.db2.gz UEJPWSOZDRLUFQ-SSDOTTSWSA-N 0 0 250.283 2.566 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000045624380 373023023 /nfs/dbraw/zinc/02/30/23/373023023.db2.gz ZMRABULHPOEOSP-AAEUAGOBSA-N 0 0 291.351 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2COc3ccccc3O2)cc1 ZINC000046229680 373048026 /nfs/dbraw/zinc/04/80/26/373048026.db2.gz GMJPWMQSGWYRSL-CYBMUJFWSA-N 0 0 286.287 2.847 20 5 CFBDRN O=C(Nc1ccccn1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000340561754 284373561 /nfs/dbraw/zinc/37/35/61/284373561.db2.gz MBYDUUJJGKJDFS-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccsc1 ZINC000046147091 373045261 /nfs/dbraw/zinc/04/52/61/373045261.db2.gz SOPMXBNVNJAYHA-UHFFFAOYSA-N 0 0 262.290 2.586 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000047565451 373104812 /nfs/dbraw/zinc/10/48/12/373104812.db2.gz QOYPRLNPEQULSN-SNVBAGLBSA-N 0 0 286.291 2.873 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000048321730 373161566 /nfs/dbraw/zinc/16/15/66/373161566.db2.gz DOMAZQVOBPGQLB-PSASIEDQSA-N 0 0 295.314 2.625 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000048321728 373161767 /nfs/dbraw/zinc/16/17/67/373161767.db2.gz DOMAZQVOBPGQLB-SCZZXKLOSA-N 0 0 295.314 2.625 20 5 CFBDRN COCc1cccc(CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000048336963 373165717 /nfs/dbraw/zinc/16/57/17/373165717.db2.gz JIORGUACOHAOAH-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN Cc1nn(C)c(NC[C@H](C)c2ccccc2)c1[N+](=O)[O-] ZINC000048545414 373197015 /nfs/dbraw/zinc/19/70/15/373197015.db2.gz XRSQVAQOJAXYOK-JTQLQIEISA-N 0 0 274.324 2.852 20 5 CFBDRN CC(C)(C)CCNC(=O)CSc1ncccc1[N+](=O)[O-] ZINC000049418445 373294639 /nfs/dbraw/zinc/29/46/39/373294639.db2.gz PKNLRGRSWQDLOF-UHFFFAOYSA-N 0 0 297.380 2.634 20 5 CFBDRN CCC[C@H](CC)Nc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000050164948 373359391 /nfs/dbraw/zinc/35/93/91/373359391.db2.gz ZVGWPLMPZDLKSX-VIFPVBQESA-N 0 0 290.323 2.822 20 5 CFBDRN Cc1nn(C)c(NCc2ccc(F)cc2F)c1[N+](=O)[O-] ZINC000050197735 373363830 /nfs/dbraw/zinc/36/38/30/373363830.db2.gz BYHUKPGZBMNOER-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN Cc1ccsc1[C@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000487625525 245772006 /nfs/dbraw/zinc/77/20/06/245772006.db2.gz VLXWAHPLVKRZDN-JTQLQIEISA-N 0 0 293.348 2.814 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000051751946 373408655 /nfs/dbraw/zinc/40/86/55/373408655.db2.gz FLCIJPDQOYHPSJ-GHMZBOCLSA-N 0 0 291.351 2.897 20 5 CFBDRN Cn1ccnc1CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000051921969 373419112 /nfs/dbraw/zinc/41/91/12/373419112.db2.gz WLPWZFXJWJYPPW-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1C[C@@H]1C(F)F ZINC000488011638 245804359 /nfs/dbraw/zinc/80/43/59/245804359.db2.gz RSMUQABWSVKVKB-WPRPVWTQSA-N 0 0 279.246 2.630 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000053559268 373482082 /nfs/dbraw/zinc/48/20/82/373482082.db2.gz VGWFMORWHQELKQ-JQWIXIFHSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1c(C[N@@H+]2CCC[C@H](CC(=O)[O-])C2)cccc1[N+](=O)[O-] ZINC000054298626 373513875 /nfs/dbraw/zinc/51/38/75/373513875.db2.gz DZIXRECXGOEQCU-GFCCVEGCSA-N 0 0 292.335 2.590 20 5 CFBDRN Cc1cc(OCCn2cnc([N+](=O)[O-])n2)ccc1C(C)C ZINC000053835182 373488628 /nfs/dbraw/zinc/48/86/28/373488628.db2.gz PHRPIMUXHKMPQI-UHFFFAOYSA-N 0 0 290.323 2.697 20 5 CFBDRN Cc1noc(C)c1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000055466204 373554340 /nfs/dbraw/zinc/55/43/40/373554340.db2.gz CGCPGUOCILGFAW-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN O=[N+]([O-])c1cn(CCN2CCc3ccccc32)nc1C1CC1 ZINC000488297874 245834049 /nfs/dbraw/zinc/83/40/49/245834049.db2.gz UBLQHHBDWZCZAH-UHFFFAOYSA-N 0 0 298.346 2.731 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000334888572 220235440 /nfs/dbraw/zinc/23/54/40/220235440.db2.gz QKOJIVLDDISKKK-VIFPVBQESA-N 0 0 266.322 2.589 20 5 CFBDRN COc1cc(CNc2ccc(C)cc2[N+](=O)[O-])ccn1 ZINC000062055060 373745228 /nfs/dbraw/zinc/74/52/28/373745228.db2.gz HDCMFVXPCFAWFB-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1ccc(OCC(=O)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000488868514 245907933 /nfs/dbraw/zinc/90/79/33/245907933.db2.gz OOQJQVZWKOSACR-UHFFFAOYSA-N 0 0 272.260 2.560 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H](CSC)C1 ZINC000488931293 245911161 /nfs/dbraw/zinc/91/11/61/245911161.db2.gz JVJIXAFXCPMDJX-LLVKDONJSA-N 0 0 298.412 2.699 20 5 CFBDRN CCCCN(CC)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000065511365 373883027 /nfs/dbraw/zinc/88/30/27/373883027.db2.gz RMWKWDBKKGHNOD-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000065897795 373902017 /nfs/dbraw/zinc/90/20/17/373902017.db2.gz VYHWLGSQFHXQMI-ZDUSSCGKSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000431775592 383695541 /nfs/dbraw/zinc/69/55/41/383695541.db2.gz YBMGIIZFXZFHIP-MNOVXSKESA-N 0 0 291.351 2.785 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCCCO1 ZINC000067021214 373969297 /nfs/dbraw/zinc/96/92/97/373969297.db2.gz GLCPCYFDKQMSFI-GFCCVEGCSA-N 0 0 279.292 2.629 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@H](C)OC(C)(C)C1 ZINC000068477815 374030493 /nfs/dbraw/zinc/03/04/93/374030493.db2.gz OEBUJHRIXXPKEZ-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN CCc1ccccc1CCn1c(C)ccc([N+](=O)[O-])c1=O ZINC000277752703 193748656 /nfs/dbraw/zinc/74/86/56/193748656.db2.gz ZKVKACBRMKNXDF-UHFFFAOYSA-N 0 0 286.331 2.870 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000070350377 374168559 /nfs/dbraw/zinc/16/85/59/374168559.db2.gz PBJSVDFSTCHCHB-WCBMZHEXSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)C1(C)CCCC1 ZINC000490595469 246014316 /nfs/dbraw/zinc/01/43/16/246014316.db2.gz SXFIDGFDVRVKMP-UHFFFAOYSA-N 0 0 277.324 2.703 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1F)c1nccn1C ZINC000070445346 374177986 /nfs/dbraw/zinc/17/79/86/374177986.db2.gz VBIULBVCBHQANK-QMMMGPOBSA-N 0 0 264.260 2.641 20 5 CFBDRN O=C(/C=C\c1cscn1)Nc1cccc([N+](=O)[O-])c1 ZINC000491389035 246066319 /nfs/dbraw/zinc/06/63/19/246066319.db2.gz UYSHUYPEQDFKKC-PLNGDYQASA-N 0 0 275.289 2.703 20 5 CFBDRN C[C@]1(C(=O)NCCc2cccc([N+](=O)[O-])c2)C[C@H]2C[C@H]2C1 ZINC000491208794 246049757 /nfs/dbraw/zinc/04/97/57/246049757.db2.gz WFRMFZBDEQBUSF-VIKVFOODSA-N 0 0 288.347 2.690 20 5 CFBDRN Cc1c(NC(=O)CC2(O)CCCCC2)cccc1[N+](=O)[O-] ZINC000072794988 374287944 /nfs/dbraw/zinc/28/79/44/374287944.db2.gz QZZSYWCQVBOJER-UHFFFAOYSA-N 0 0 292.335 2.927 20 5 CFBDRN Cc1c(Cc2nc3cccnc3c(=O)[nH]2)cccc1[N+](=O)[O-] ZINC000491608535 246087479 /nfs/dbraw/zinc/08/74/79/246087479.db2.gz YOBWSPFOTDUOTA-UHFFFAOYSA-N 0 0 296.286 2.538 20 5 CFBDRN CSC[C@@H](C)NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491880774 246109640 /nfs/dbraw/zinc/10/96/40/246109640.db2.gz FDRRVAMMHMVQLX-XTULLQBASA-N 0 0 298.339 2.615 20 5 CFBDRN O=C1CCc2cc(Oc3ncccc3[N+](=O)[O-])ccc2N1 ZINC000073448530 374343707 /nfs/dbraw/zinc/34/37/07/374343707.db2.gz LEGUHWNVENQWCZ-UHFFFAOYSA-N 0 0 285.259 2.667 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCS1 ZINC000073852129 374393086 /nfs/dbraw/zinc/39/30/86/374393086.db2.gz XZABMDXNERYHGD-NSHDSACASA-N 0 0 267.306 2.534 20 5 CFBDRN C[C@H](C1CC1)N(C(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000073736671 374376769 /nfs/dbraw/zinc/37/67/69/374376769.db2.gz YEGKYZUXBIKUCR-SNVBAGLBSA-N 0 0 274.320 2.998 20 5 CFBDRN Cc1ccc(C(=O)N(C)[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000073786050 374383888 /nfs/dbraw/zinc/38/38/88/374383888.db2.gz AFDFHVBCGHHRAE-SNVBAGLBSA-N 0 0 262.309 2.774 20 5 CFBDRN O=C([C@@H]1CC1(F)F)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000297963977 284457525 /nfs/dbraw/zinc/45/75/25/284457525.db2.gz WYGKLFTTZRXYJT-VIFPVBQESA-N 0 0 282.246 2.529 20 5 CFBDRN CCO[C@@H](CCNC(=O)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000074293003 374413790 /nfs/dbraw/zinc/41/37/90/374413790.db2.gz JIHQCZANPAEABN-AWEZNQCLSA-N 0 0 294.351 2.776 20 5 CFBDRN Cc1cc(=O)[nH]cc1NC(=O)C=Cc1ccccc1[N+](=O)[O-] ZINC000492093895 246184815 /nfs/dbraw/zinc/18/48/15/246184815.db2.gz XKFCCYHEKZVMFA-VOTSOKGWSA-N 0 0 299.286 2.656 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000075505970 374485282 /nfs/dbraw/zinc/48/52/82/374485282.db2.gz KCZKTTZUMVKFQY-SECBINFHSA-N 0 0 250.298 2.774 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000075285039 374473820 /nfs/dbraw/zinc/47/38/20/374473820.db2.gz VUCKGARDVGMRFM-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN CS[C@@H](C)C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000075881915 374511012 /nfs/dbraw/zinc/51/10/12/374511012.db2.gz PZLMPRPVGNDAJH-VIFPVBQESA-N 0 0 269.322 2.869 20 5 CFBDRN Cc1nn(CC(=O)C(C)(C)C)c2ccc([N+](=O)[O-])cc12 ZINC000492259583 246236530 /nfs/dbraw/zinc/23/65/30/246236530.db2.gz QHURETQJXQRCMU-UHFFFAOYSA-N 0 0 275.308 2.868 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000492282159 246244264 /nfs/dbraw/zinc/24/42/64/246244264.db2.gz GYRFEDJJWLXCGF-GEXIGZQTSA-N 0 0 278.283 2.569 20 5 CFBDRN CC(C)(NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000492316407 246256060 /nfs/dbraw/zinc/25/60/60/246256060.db2.gz HGAWKONLZFAMCC-BJMVGYQFSA-N 0 0 274.320 2.913 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC=C(F)C1 ZINC000492352374 246265680 /nfs/dbraw/zinc/26/56/80/246265680.db2.gz WKWREBONFQXVGP-VMPITWQZSA-N 0 0 276.267 2.694 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCc2ccncc21 ZINC000492432870 246290941 /nfs/dbraw/zinc/29/09/41/246290941.db2.gz ARSHPUQZQZSXLM-ZZXKWVIFSA-N 0 0 295.298 2.592 20 5 CFBDRN Cc1cccnc1/C=C\C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000492471638 246302926 /nfs/dbraw/zinc/30/29/26/246302926.db2.gz JJJWPQYYFZJUFF-KTKRTIGZSA-N 0 0 297.314 2.974 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000076514876 374555028 /nfs/dbraw/zinc/55/50/28/374555028.db2.gz MKBXKGUSMPMARH-STQMWFEESA-N 0 0 293.323 2.674 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CCC=C(F)C1 ZINC000492395168 246279360 /nfs/dbraw/zinc/27/93/60/246279360.db2.gz UPFRLXUJCVBVMG-FPLPWBNLSA-N 0 0 276.267 2.694 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\C1CC1 ZINC000492597167 246337482 /nfs/dbraw/zinc/33/74/82/246337482.db2.gz QHOIUTZRJLQFCB-YVMONPNESA-N 0 0 276.292 2.898 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NOC1CCCCC1 ZINC000492746280 246374777 /nfs/dbraw/zinc/37/47/77/246374777.db2.gz BKMNHMDDENIRGX-KTKRTIGZSA-N 0 0 290.319 2.989 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@@H]1CCCSC1 ZINC000492798044 246388365 /nfs/dbraw/zinc/38/83/65/246388365.db2.gz SMJVHGQIIWIWPS-QDZRJHCZSA-N 0 0 292.360 2.620 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NCC1CC(F)(F)C1 ZINC000492797618 246389085 /nfs/dbraw/zinc/38/90/85/246389085.db2.gz RYAZWFUTGPNZHL-PLNGDYQASA-N 0 0 296.273 2.770 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000080154986 374642059 /nfs/dbraw/zinc/64/20/59/374642059.db2.gz VTNQUBMQZDVWJI-VIFPVBQESA-N 0 0 266.272 2.749 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1nccs1 ZINC000080305704 374651029 /nfs/dbraw/zinc/65/10/29/374651029.db2.gz LSHCRZGRTAANAB-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCC1(CO)CC1 ZINC000080687134 374669091 /nfs/dbraw/zinc/66/90/91/374669091.db2.gz RCASIWNDKRMGJO-UHFFFAOYSA-N 0 0 290.241 2.798 20 5 CFBDRN COc1ccccc1CNc1cccnc1[N+](=O)[O-] ZINC000083431491 374745012 /nfs/dbraw/zinc/74/50/12/374745012.db2.gz VEAVHDANVQUXOT-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CCOC(=O)CCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000083924083 374759576 /nfs/dbraw/zinc/75/95/76/374759576.db2.gz FPIXZVXWCNHAFP-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CCC(CF)CC1 ZINC000493064640 246475446 /nfs/dbraw/zinc/47/54/46/246475446.db2.gz OJNXUZQVALQKFO-SNAWJCMRSA-N 0 0 292.310 2.816 20 5 CFBDRN COc1cc(-c2nc(C(C)(C)OC)no2)ccc1[N+](=O)[O-] ZINC000085237276 374799842 /nfs/dbraw/zinc/79/98/42/374799842.db2.gz FEQFTSCFWOBMLE-UHFFFAOYSA-N 0 0 293.279 2.535 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C[C@@H]1CCCCO1 ZINC000087086472 374808922 /nfs/dbraw/zinc/80/89/22/374808922.db2.gz OZQHIAXJKPUQSS-ZDUSSCGKSA-N 0 0 292.335 2.512 20 5 CFBDRN Cc1ccc([C@H](C)OC(=O)COCC2CC2)cc1[N+](=O)[O-] ZINC000084808069 374789353 /nfs/dbraw/zinc/78/93/53/374789353.db2.gz JIPYHKWVXBWKCR-NSHDSACASA-N 0 0 293.319 2.934 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@H]2O)c2ccncc21 ZINC000089167521 374882214 /nfs/dbraw/zinc/88/22/14/374882214.db2.gz ZJDMQQDLBVCHKP-ZUZCIYMTSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)(C)/C=C/C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089306375 374896615 /nfs/dbraw/zinc/89/66/15/374896615.db2.gz OORQECJXLPNPLC-VQHVLOKHSA-N 0 0 276.336 2.856 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000493357715 246565231 /nfs/dbraw/zinc/56/52/31/246565231.db2.gz SPWYRCRNHHRIEN-ZUYFITGHSA-N 0 0 260.293 2.523 20 5 CFBDRN CCc1cc(NCc2ccc([N+](=O)[O-])cc2)nc(C)n1 ZINC000088760161 374862413 /nfs/dbraw/zinc/86/24/13/374862413.db2.gz BSCFAKQCZCKUQH-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N1CC[C@H]1C1CC1 ZINC000493524484 246614268 /nfs/dbraw/zinc/61/42/68/246614268.db2.gz NSQLPSWINUUKRE-BPJJOFIESA-N 0 0 290.294 2.758 20 5 CFBDRN CN(C(=O)/C=C\c1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000493423448 246584184 /nfs/dbraw/zinc/58/41/84/246584184.db2.gz NLLKFWMEUQFFMF-KTKRTIGZSA-N 0 0 262.309 2.865 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ccnc(OC)c2)c1 ZINC000090790193 375027654 /nfs/dbraw/zinc/02/76/54/375027654.db2.gz UUSSMTOMLOBXEU-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1ncncc1Br ZINC000090782989 375027837 /nfs/dbraw/zinc/02/78/37/375027837.db2.gz HAXCKXMJBNPXBO-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2nccs2)c1 ZINC000090794682 375029919 /nfs/dbraw/zinc/02/99/19/375029919.db2.gz BJWJKFHGJXJSPG-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC2(CCC2)[C@@H]1C1CC1 ZINC000291185921 296225968 /nfs/dbraw/zinc/22/59/68/296225968.db2.gz SUXYZRLUJJVNGV-AWEZNQCLSA-N 0 0 286.331 3.000 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1c([N+](=O)[O-])c(C)nn1CC ZINC000091558999 375052698 /nfs/dbraw/zinc/05/26/98/375052698.db2.gz FKKFIKLPFZWMSJ-DTWKUNHWSA-N 0 0 254.334 2.966 20 5 CFBDRN C[C@H]1CC(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000091847343 375066581 /nfs/dbraw/zinc/06/65/81/375066581.db2.gz QRFIKUWSKADMBI-QWRGUYRKSA-N 0 0 292.335 2.761 20 5 CFBDRN CC(C)(O)CCOc1cc([N+](=O)[O-])ccc1Cl ZINC000092800872 375157170 /nfs/dbraw/zinc/15/71/70/375157170.db2.gz MMHMELIQDMCXFX-UHFFFAOYSA-N 0 0 259.689 2.788 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])ccc1Cl)N1CCCCC1 ZINC000093307884 375205301 /nfs/dbraw/zinc/20/53/01/375205301.db2.gz SPIRMACOUGRPAH-UHFFFAOYSA-N 0 0 298.726 2.640 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H]2CCC[C@H]21 ZINC000174315979 296227648 /nfs/dbraw/zinc/22/76/48/296227648.db2.gz AKZOSIXZZWARQI-VHSXEESVSA-N 0 0 264.281 2.593 20 5 CFBDRN C[C@@H]1CC(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000093900341 375329962 /nfs/dbraw/zinc/32/99/62/375329962.db2.gz UVWDDGHQTPXFFW-NXEZZACHSA-N 0 0 278.308 2.737 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc([N+](=O)[O-])cc1C ZINC000094957585 375397663 /nfs/dbraw/zinc/39/76/63/375397663.db2.gz LTNUKLAXAYLMNZ-NSHDSACASA-N 0 0 252.314 2.724 20 5 CFBDRN O=[N+]([O-])c1cccc(CS(=O)(=O)c2cccc(F)c2)c1 ZINC000094626433 375369975 /nfs/dbraw/zinc/36/99/75/375369975.db2.gz WEJVYMWCLRNNBW-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CC(C)(C)O1 ZINC000094774716 375377908 /nfs/dbraw/zinc/37/79/08/375377908.db2.gz NMHFYCVUXOXDJR-SNVBAGLBSA-N 0 0 282.315 2.733 20 5 CFBDRN O=C(Nc1cn[nH]c1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000098023735 375591475 /nfs/dbraw/zinc/59/14/75/375591475.db2.gz ZROIQJCYDSHWDX-UHFFFAOYSA-N 0 0 281.659 2.615 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)COc1cc(F)ccc1[N+](=O)[O-] ZINC000097603922 375573817 /nfs/dbraw/zinc/57/38/17/375573817.db2.gz JWMQVSRCDJTQQI-SNVBAGLBSA-N 0 0 296.298 2.514 20 5 CFBDRN C[C@H]1CC[N@H+](CCOc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000501791720 247107192 /nfs/dbraw/zinc/10/71/92/247107192.db2.gz BPKJAHWXCMDABL-QWHCGFSZSA-N 0 0 278.352 2.952 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNCc1ccco1 ZINC000101588734 375645151 /nfs/dbraw/zinc/64/51/51/375645151.db2.gz QULFHGBIVIIPAB-UHFFFAOYSA-N 0 0 276.292 2.876 20 5 CFBDRN O=C(COc1ccc(F)cc1[N+](=O)[O-])N1CCCCCC1 ZINC000102599989 375682034 /nfs/dbraw/zinc/68/20/34/375682034.db2.gz KPXLSCYOGMARAL-UHFFFAOYSA-N 0 0 296.298 2.515 20 5 CFBDRN COc1cc(N[C@@H](CO)CC2CCCC2)ccc1[N+](=O)[O-] ZINC000509532469 247189065 /nfs/dbraw/zinc/18/90/65/247189065.db2.gz KMGSATYRSASDIF-CYBMUJFWSA-N 0 0 294.351 2.957 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H]1C1CCC1 ZINC000102874987 375697500 /nfs/dbraw/zinc/69/75/00/375697500.db2.gz GKDKOKVMLAYQBO-JTQLQIEISA-N 0 0 264.281 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2ccnc2-c2ccccn2)cc1 ZINC000103918397 375760355 /nfs/dbraw/zinc/76/03/55/375760355.db2.gz MAWWVYFDGNPSTJ-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN Cc1ccc(NCCOCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000111639654 375860252 /nfs/dbraw/zinc/86/02/52/375860252.db2.gz VSFJQXVWMCCPSA-UHFFFAOYSA-N 0 0 278.230 2.894 20 5 CFBDRN COC(=O)[C@H](C)Sc1ccc2ncccc2c1[N+](=O)[O-] ZINC000112073673 375881372 /nfs/dbraw/zinc/88/13/72/375881372.db2.gz HFSMMAGLAWLHFJ-QMMMGPOBSA-N 0 0 292.316 2.797 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)Cc1ccccn1 ZINC000112076119 375882628 /nfs/dbraw/zinc/88/26/28/375882628.db2.gz WHGBEMVFAOWNJF-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000112179741 375887256 /nfs/dbraw/zinc/88/72/56/375887256.db2.gz YMQHUXBGOHERIS-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CCN(CCOc1cccc([N+](=O)[O-])c1)CC(F)(F)F ZINC000112343741 375909618 /nfs/dbraw/zinc/90/96/18/375909618.db2.gz GXYGNQQZHDRQAK-UHFFFAOYSA-N 0 0 292.257 2.858 20 5 CFBDRN CN(C)[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000112851319 375970374 /nfs/dbraw/zinc/97/03/74/375970374.db2.gz WHUIXADNADZWQK-HNNXBMFYSA-N 0 0 299.330 2.836 20 5 CFBDRN COCC1CCN(Cc2csc([N+](=O)[O-])c2)CC1 ZINC000112354612 375913106 /nfs/dbraw/zinc/91/31/06/375913106.db2.gz HEODRHWHDPRBOP-UHFFFAOYSA-N 0 0 270.354 2.515 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000112603005 375934424 /nfs/dbraw/zinc/93/44/24/375934424.db2.gz PQGAGKXBANTEDM-QMMMGPOBSA-N 0 0 266.272 2.652 20 5 CFBDRN CCO[C@H](C)c1noc(COc2ccccc2[N+](=O)[O-])n1 ZINC000115952257 376155107 /nfs/dbraw/zinc/15/51/07/376155107.db2.gz XKEWWCGGUPRLQA-SECBINFHSA-N 0 0 293.279 2.654 20 5 CFBDRN Cc1n[nH]c(C(=O)N(CC(C)C)C2CCCC2)c1[N+](=O)[O-] ZINC000264222965 383712354 /nfs/dbraw/zinc/71/23/54/383712354.db2.gz UUFCDLIETVKUEW-UHFFFAOYSA-N 0 0 294.355 2.667 20 5 CFBDRN Cc1ccc(NC(=O)CC2CCOCC2)cc1[N+](=O)[O-] ZINC000117124596 376221033 /nfs/dbraw/zinc/22/10/33/376221033.db2.gz YYXQIQCVVYWARW-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CS[C@@H]1CCC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000117218014 376229949 /nfs/dbraw/zinc/22/99/49/376229949.db2.gz VNZOFDCQXLVASK-DGCLKSJQSA-N 0 0 294.376 2.999 20 5 CFBDRN CC(C)(C)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000117562296 376248863 /nfs/dbraw/zinc/24/88/63/376248863.db2.gz JGKMIXYNSJPUJQ-UHFFFAOYSA-N 0 0 270.716 2.705 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCS[C@H](C)[C@H]1C ZINC000118283293 376283199 /nfs/dbraw/zinc/28/31/99/376283199.db2.gz WUHXYRMIXJIIEP-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN CCC[C@H]1SCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000118651516 376307444 /nfs/dbraw/zinc/30/74/44/376307444.db2.gz UFOZLLNNWDUWJU-GFCCVEGCSA-N 0 0 280.349 2.910 20 5 CFBDRN O=C1CCN(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2N1 ZINC000118845901 376320162 /nfs/dbraw/zinc/32/01/62/376320162.db2.gz UKKLHOQUYCBSSL-UHFFFAOYSA-N 0 0 297.314 2.944 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000118974793 376329270 /nfs/dbraw/zinc/32/92/70/376329270.db2.gz ZISUQNORANHEPN-IAQYHMDHSA-N 0 0 292.335 2.650 20 5 CFBDRN CCN(C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C(C)C ZINC000119106251 376355663 /nfs/dbraw/zinc/35/56/63/376355663.db2.gz BUQNMAUNPQYQAU-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc[nH]n1 ZINC000119139552 376360164 /nfs/dbraw/zinc/36/01/64/376360164.db2.gz JJEFHRCJRJXLDA-UHFFFAOYSA-N 0 0 292.320 2.682 20 5 CFBDRN CC(C)(NC(=O)[C@@]1(C)CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000119340268 376397278 /nfs/dbraw/zinc/39/72/78/376397278.db2.gz ZFHIXLVOJYEUIZ-OAHLLOKOSA-N 0 0 292.335 2.515 20 5 CFBDRN CCc1ccc(-c2nc([C@H]3CCOC3)no2)cc1[N+](=O)[O-] ZINC000119550688 376432789 /nfs/dbraw/zinc/43/27/89/376432789.db2.gz QJSYKVHIUQYDHX-NSHDSACASA-N 0 0 289.291 2.711 20 5 CFBDRN CC(C)Oc1ccccc1Cn1nccc1[N+](=O)[O-] ZINC000119700047 376453272 /nfs/dbraw/zinc/45/32/72/376453272.db2.gz SSZZGVIZQHVLLO-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCN(c3ccccc3)CC2)n1 ZINC000119718656 376458608 /nfs/dbraw/zinc/45/86/08/376458608.db2.gz WDMDHRMUAPQYEG-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCSCC1 ZINC000119921346 376506614 /nfs/dbraw/zinc/50/66/14/376506614.db2.gz BMUAQYXAWYNTBY-UHFFFAOYSA-N 0 0 295.364 2.792 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])[C@@H]1CCSC1 ZINC000120060339 376538230 /nfs/dbraw/zinc/53/82/30/376538230.db2.gz KELIRFISKFZNFE-SECBINFHSA-N 0 0 270.329 2.671 20 5 CFBDRN C[N@H+](Cc1ccc([N+](=O)[O-])cc1)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000120069452 376541012 /nfs/dbraw/zinc/54/10/12/376541012.db2.gz BVKCVDKOJVMTCG-ZNMIVQPWSA-N 0 0 290.363 2.840 20 5 CFBDRN Cc1c(CC(=O)N2CCCC2(C)C)cccc1[N+](=O)[O-] ZINC000120103164 376547747 /nfs/dbraw/zinc/54/77/47/376547747.db2.gz WYZJIGLKLPKVNX-UHFFFAOYSA-N 0 0 276.336 2.847 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000120247660 376577491 /nfs/dbraw/zinc/57/74/91/376577491.db2.gz HOEAUBGLZYBVTM-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cc1ccoc1 ZINC000120573101 376643526 /nfs/dbraw/zinc/64/35/26/376643526.db2.gz UUDDJFHMRBYYSX-UHFFFAOYSA-N 0 0 274.276 2.986 20 5 CFBDRN COCCCCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000120948041 376729827 /nfs/dbraw/zinc/72/98/27/376729827.db2.gz SHDKVNOMDFKMCZ-UHFFFAOYSA-N 0 0 288.250 2.628 20 5 CFBDRN CCC(CC)(CO)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000120888574 376715467 /nfs/dbraw/zinc/71/54/67/376715467.db2.gz ADDFXWOSJFBTDU-UHFFFAOYSA-N 0 0 295.339 2.515 20 5 CFBDRN C[C@@H](CO)Nc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000121172417 376774912 /nfs/dbraw/zinc/77/49/12/376774912.db2.gz TTWNOOWLSYMYAF-YFKPBYRVSA-N 0 0 265.096 2.694 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000121332284 376808641 /nfs/dbraw/zinc/80/86/41/376808641.db2.gz KMLPCWFQDBRMEL-JTQLQIEISA-N 0 0 250.298 2.759 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000121412976 376826219 /nfs/dbraw/zinc/82/62/19/376826219.db2.gz PTFVPEALPAHUKL-LBPRGKRZSA-N 0 0 294.351 2.915 20 5 CFBDRN C[C@]1(CNc2c(F)cc([N+](=O)[O-])cc2F)CCCO1 ZINC000121762031 376901355 /nfs/dbraw/zinc/90/13/55/376901355.db2.gz MBCLALIAQOICTG-GFCCVEGCSA-N 0 0 272.251 2.854 20 5 CFBDRN CC[C@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000121714008 376889025 /nfs/dbraw/zinc/88/90/25/376889025.db2.gz XZUAMHPIEVHOFF-JTQLQIEISA-N 0 0 280.299 2.535 20 5 CFBDRN CC1(CNC(=O)c2ccccc2[N+](=O)[O-])CCCC1 ZINC000264680742 190128294 /nfs/dbraw/zinc/12/82/94/190128294.db2.gz ZWANGXZXFPKTER-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN CC(C)c1nccn1CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000123616073 376962384 /nfs/dbraw/zinc/96/23/84/376962384.db2.gz KVIHWPFGSOANOK-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN Cc1nc(NC[C@H]2CCCCS2)ccc1[N+](=O)[O-] ZINC000124034483 377009015 /nfs/dbraw/zinc/00/90/15/377009015.db2.gz GRUMAGXYEHKVAM-SNVBAGLBSA-N 0 0 267.354 2.996 20 5 CFBDRN Cc1cncc(CNC(=O)c2cccc([N+](=O)[O-])c2C)c1 ZINC000124525078 377059154 /nfs/dbraw/zinc/05/91/54/377059154.db2.gz KPFNJYDCBMHKBW-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1C[C@H]2CCC[C@@H]2C1 ZINC000124631061 377072066 /nfs/dbraw/zinc/07/20/66/377072066.db2.gz ZBCKGFHVTRVUSZ-VXGBXAGGSA-N 0 0 292.310 2.535 20 5 CFBDRN CN(Cc1ccccc1)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124648733 377075698 /nfs/dbraw/zinc/07/56/98/377075698.db2.gz COUVUKNEOGPDER-UHFFFAOYSA-N 0 0 286.291 2.654 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCOc2ccccc21 ZINC000030578590 296254850 /nfs/dbraw/zinc/25/48/50/296254850.db2.gz IYPSTVKOQPYEDS-UHFFFAOYSA-N 0 0 284.271 2.634 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000128978679 377367458 /nfs/dbraw/zinc/36/74/58/377367458.db2.gz PDYCVAZDFWMDGV-QWHCGFSZSA-N 0 0 287.319 2.714 20 5 CFBDRN CC[C@@H]1CCN(c2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000128973616 377367546 /nfs/dbraw/zinc/36/75/46/377367546.db2.gz KUHGWJPUPCPTEA-SECBINFHSA-N 0 0 268.288 2.979 20 5 CFBDRN CCC(C)(C)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000129263693 377404986 /nfs/dbraw/zinc/40/49/86/377404986.db2.gz LMVDUMZDOZMKKF-UHFFFAOYSA-N 0 0 289.335 2.923 20 5 CFBDRN CCC[C@@H]1SCCN1C(=O)c1ccc([N+](=O)[O-])c([O-])c1 ZINC000130276482 377532334 /nfs/dbraw/zinc/53/23/34/377532334.db2.gz JTJVAKGGLVDOAP-LBPRGKRZSA-N 0 0 296.348 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OCc1ccon1 ZINC000130721586 377601452 /nfs/dbraw/zinc/60/14/52/377601452.db2.gz MZLWCZGWFBTMEP-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@H](CC)C2)cc1[N+](=O)[O-] ZINC000131286682 377689583 /nfs/dbraw/zinc/68/95/83/377689583.db2.gz YRMTZCKQMRSJJB-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CCC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2C)CCO1 ZINC000131269691 377686071 /nfs/dbraw/zinc/68/60/71/377686071.db2.gz WZEISVRYYGMROG-CQSZACIVSA-N 0 0 278.352 2.904 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CCCS1 ZINC000131492724 377717274 /nfs/dbraw/zinc/71/72/74/377717274.db2.gz KKYCEZGGLWODIK-LLVKDONJSA-N 0 0 280.349 2.529 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000131432911 377711078 /nfs/dbraw/zinc/71/10/78/377711078.db2.gz FKRACVHHNBDVDS-VIFPVBQESA-N 0 0 266.272 2.606 20 5 CFBDRN CCOc1cccc(N(C)CC2(O)CCCC2)c1[N+](=O)[O-] ZINC000132170637 377811014 /nfs/dbraw/zinc/81/10/14/377811014.db2.gz RVQOKLJYIAXMJH-UHFFFAOYSA-N 0 0 294.351 2.735 20 5 CFBDRN CCCCCn1cc(Br)c(=O)c([N+](=O)[O-])c1 ZINC000132494629 377845754 /nfs/dbraw/zinc/84/57/54/377845754.db2.gz OILOFGGGBBPRNL-UHFFFAOYSA-N 0 0 289.129 2.709 20 5 CFBDRN O=C(CCC1CC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132569921 377853333 /nfs/dbraw/zinc/85/33/33/377853333.db2.gz VMQXNOXFZRBQJG-UHFFFAOYSA-N 0 0 253.229 2.830 20 5 CFBDRN Cc1nc(NCCc2ccccc2O)ccc1[N+](=O)[O-] ZINC000133316391 377920174 /nfs/dbraw/zinc/92/01/74/377920174.db2.gz FRYMVKOQTYNNCH-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN CCOCCCCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000133328582 377921025 /nfs/dbraw/zinc/92/10/25/377921025.db2.gz DLGGEOJWLWTHGI-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN CC[C@@H](C)C(=O)COc1ccc(C)cc1[N+](=O)[O-] ZINC000133107171 377905053 /nfs/dbraw/zinc/90/50/53/377905053.db2.gz CZIUTMYQQHJWLY-SNVBAGLBSA-N 0 0 251.282 2.897 20 5 CFBDRN O=C(CCNc1cccc(F)c1[N+](=O)[O-])N1CCCCC1 ZINC000134385005 378007999 /nfs/dbraw/zinc/00/79/99/378007999.db2.gz BHPGUBHMFKXLOV-UHFFFAOYSA-N 0 0 295.314 2.548 20 5 CFBDRN CN(CC(F)(F)F)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134043448 377978552 /nfs/dbraw/zinc/97/85/52/377978552.db2.gz USPFZPFMYXWWDR-UHFFFAOYSA-N 0 0 298.167 2.507 20 5 CFBDRN CCC(CC)CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000134355073 378004779 /nfs/dbraw/zinc/00/47/79/378004779.db2.gz SRMUEXJKZPDXIB-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000134528407 378027954 /nfs/dbraw/zinc/02/79/54/378027954.db2.gz NFFGVGIWURNCOB-SFYZADRCSA-N 0 0 268.700 2.634 20 5 CFBDRN CCOc1cccc(NC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000134913605 378061910 /nfs/dbraw/zinc/06/19/10/378061910.db2.gz NHIPLQRQNXQMMF-LLVKDONJSA-N 0 0 280.324 2.975 20 5 CFBDRN COc1cccc(NCCCc2c[nH]nc2C)c1[N+](=O)[O-] ZINC000134915602 378064272 /nfs/dbraw/zinc/06/42/72/378064272.db2.gz PMIZLJXMQOTATC-UHFFFAOYSA-N 0 0 290.323 2.680 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000134962911 378069734 /nfs/dbraw/zinc/06/97/34/378069734.db2.gz LEUOZMWHOUJFNX-AWEZNQCLSA-N 0 0 275.308 2.572 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432133346 383724706 /nfs/dbraw/zinc/72/47/06/383724706.db2.gz LOEPKHRVJQNAQO-NXEZZACHSA-N 0 0 275.308 2.978 20 5 CFBDRN COc1cc(N[C@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000135660643 378154638 /nfs/dbraw/zinc/15/46/38/378154638.db2.gz WZQBKBTZIBHKNA-JTQLQIEISA-N 0 0 268.338 2.911 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(C[C@@H]1CCCO1)C1CC1 ZINC000135225997 378100330 /nfs/dbraw/zinc/10/03/30/378100330.db2.gz RUSLPHXTGGZOCF-AWEZNQCLSA-N 0 0 276.336 2.738 20 5 CFBDRN CC[C@@H](C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C(F)(F)F ZINC000278283282 193898793 /nfs/dbraw/zinc/89/87/93/193898793.db2.gz ZWORPKJJHPPZRQ-HZGVNTEJSA-N 0 0 293.245 2.630 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NCCC3CC3)cc2N1 ZINC000135263334 378107157 /nfs/dbraw/zinc/10/71/57/378107157.db2.gz SSFDVZRLPZZVIJ-UHFFFAOYSA-N 0 0 275.308 2.692 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H]2CCCS2)c1 ZINC000136307689 378194567 /nfs/dbraw/zinc/19/45/67/378194567.db2.gz SVPSEIIJXIUOBS-JTQLQIEISA-N 0 0 296.348 2.689 20 5 CFBDRN CSCCCCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000150727812 378268579 /nfs/dbraw/zinc/26/85/79/378268579.db2.gz HEKJZWSQBVIYTL-UHFFFAOYSA-N 0 0 282.365 2.744 20 5 CFBDRN Cc1cc(C(=O)N2CCn3cccc3[C@H]2C)cc([N+](=O)[O-])c1 ZINC000150152322 378269086 /nfs/dbraw/zinc/26/90/86/378269086.db2.gz SXFVTAKGEDHWDN-GFCCVEGCSA-N 0 0 299.330 2.922 20 5 CFBDRN Cc1nc(NC[C@@]2(C)CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000151005830 378286061 /nfs/dbraw/zinc/28/60/61/378286061.db2.gz AYJXQIAYHFCWRG-GXTWGEPZSA-N 0 0 279.340 2.651 20 5 CFBDRN CC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])c1ncc[nH]1 ZINC000139798212 378237126 /nfs/dbraw/zinc/23/71/26/378237126.db2.gz SZXZGJONIFJXQW-VIFPVBQESA-N 0 0 261.285 2.585 20 5 CFBDRN Cc1nc(NCCc2ccc(O)cc2)ccc1[N+](=O)[O-] ZINC000141351735 378242311 /nfs/dbraw/zinc/24/23/11/378242311.db2.gz GLFDBRNPBBGUHT-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN CCCCNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149084039 378262079 /nfs/dbraw/zinc/26/20/79/378262079.db2.gz IFUKQMAWBUNBTD-UHFFFAOYSA-N 0 0 256.689 2.778 20 5 CFBDRN CCN(CC1CC1)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000151284805 378313869 /nfs/dbraw/zinc/31/38/69/378313869.db2.gz GUUFDKZPNBCIIS-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC(C)C)c1 ZINC000151528438 378335007 /nfs/dbraw/zinc/33/50/07/378335007.db2.gz LGPAOXNUPRTSSS-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN CCCC1(CNC(=O)c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000152110987 378394991 /nfs/dbraw/zinc/39/49/91/378394991.db2.gz MPKGJPLSCYIYFY-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(Cc1cncnc1)C1CC1 ZINC000154301388 378556057 /nfs/dbraw/zinc/55/60/57/378556057.db2.gz OEUSRAGYKANVPO-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1CC(C)C ZINC000330449508 378558596 /nfs/dbraw/zinc/55/85/96/378558596.db2.gz IZXXLHIYYVILQV-GFCCVEGCSA-N 0 0 291.351 2.949 20 5 CFBDRN CC[C@@H]1C[C@@H](C)C[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330456462 378561118 /nfs/dbraw/zinc/56/11/18/378561118.db2.gz PDMCQCPKCKCWAM-ZYHUDNBSSA-N 0 0 264.325 2.921 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000264352894 383729926 /nfs/dbraw/zinc/72/99/26/383729926.db2.gz ZLDSJLUANBDBEN-SKDRFNHKSA-N 0 0 263.297 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC(=O)[C@@H](C)C1 ZINC000330522920 378577305 /nfs/dbraw/zinc/57/73/05/378577305.db2.gz MRADJIRUYMKWIE-WDEREUQCSA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1cscc1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000154556008 378579440 /nfs/dbraw/zinc/57/94/40/378579440.db2.gz GRNCJBAFBZPCKX-UHFFFAOYSA-N 0 0 292.316 2.982 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000330936063 378598962 /nfs/dbraw/zinc/59/89/62/378598962.db2.gz QKIYSKNLUCQZIB-YPMHNXCESA-N 0 0 276.336 2.784 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000330967691 378605148 /nfs/dbraw/zinc/60/51/48/378605148.db2.gz DLOCOFDTRYTZOX-HNNXBMFYSA-N 0 0 276.336 2.786 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000330968235 378606980 /nfs/dbraw/zinc/60/69/80/378606980.db2.gz DTNRAJIXIYIRDP-RYUDHWBXSA-N 0 0 293.367 2.974 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000330977730 378608319 /nfs/dbraw/zinc/60/83/19/378608319.db2.gz HUGPUCUCQGPCOD-OAHLLOKOSA-N 0 0 276.336 2.786 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2OC)C1 ZINC000331038737 378619149 /nfs/dbraw/zinc/61/91/49/378619149.db2.gz PCKNCFKDEMXDNQ-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000331045751 378620327 /nfs/dbraw/zinc/62/03/27/378620327.db2.gz UJRWUEOASRLFDM-JQWIXIFHSA-N 0 0 294.376 2.834 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCOC1 ZINC000331312091 378668281 /nfs/dbraw/zinc/66/82/81/378668281.db2.gz CCEOAQROKKGSBG-UHFFFAOYSA-N 0 0 296.348 2.527 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000158963450 378678387 /nfs/dbraw/zinc/67/83/87/378678387.db2.gz QLNILYBBFOTJCY-SECBINFHSA-N 0 0 266.272 2.749 20 5 CFBDRN C[C@@]1(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CCOC1 ZINC000159450310 378710491 /nfs/dbraw/zinc/71/04/91/378710491.db2.gz NHIDNQFNPBAURW-GFCCVEGCSA-N 0 0 299.714 2.549 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H]2C(C)C)c1 ZINC000332040080 378720574 /nfs/dbraw/zinc/72/05/74/378720574.db2.gz XOVYHFJOFUXOFJ-ZDUSSCGKSA-N 0 0 292.335 2.864 20 5 CFBDRN C[N@@H+](Cc1cc([O-])ccc1[N+](=O)[O-])CC1CCC1 ZINC000332442787 378763267 /nfs/dbraw/zinc/76/32/67/378763267.db2.gz CSFCLWZQJTVPPU-UHFFFAOYSA-N 0 0 250.298 2.532 20 5 CFBDRN C[C@@H](C(=O)NC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000332445562 378763383 /nfs/dbraw/zinc/76/33/83/378763383.db2.gz DLIMBEQYEUFWPN-MRVPVSSYSA-N 0 0 266.272 2.506 20 5 CFBDRN C[C@@H](C(=O)NC1CC(C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000332440883 378763547 /nfs/dbraw/zinc/76/35/47/378763547.db2.gz CIVVTSOVZZHVOP-HWOCKDDLSA-N 0 0 280.299 2.752 20 5 CFBDRN Cc1cc(OCC(=O)N2CC[C@@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000332476890 378768353 /nfs/dbraw/zinc/76/83/53/378768353.db2.gz OYBBOARQDMQKHX-CYBMUJFWSA-N 0 0 292.335 2.539 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000160716150 378792841 /nfs/dbraw/zinc/79/28/41/378792841.db2.gz JRVYYDOMFRDCQY-JTQLQIEISA-N 0 0 291.307 2.687 20 5 CFBDRN CCc1ccc([C@@H](CO)Nc2ncccc2[N+](=O)[O-])cc1 ZINC000160894747 378807257 /nfs/dbraw/zinc/80/72/57/378807257.db2.gz MJOXPHCEBNICPJ-CYBMUJFWSA-N 0 0 287.319 2.698 20 5 CFBDRN Cc1noc(C)c1CCNc1ncc(C)cc1[N+](=O)[O-] ZINC000266232084 190241929 /nfs/dbraw/zinc/24/19/29/190241929.db2.gz UVDHCRZMEVREQB-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN CCNC(=O)C(C)(C)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000161347560 378840917 /nfs/dbraw/zinc/84/09/17/378840917.db2.gz FBKCKLXWMHYGRI-UHFFFAOYSA-N 0 0 299.758 2.822 20 5 CFBDRN CC(C)(C)C[C@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000161427148 378846761 /nfs/dbraw/zinc/84/67/61/378846761.db2.gz OPEYWUIPHVYPRF-SNVBAGLBSA-N 0 0 252.314 2.804 20 5 CFBDRN Cc1nc(N[C@@H](CO)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000161443632 378847389 /nfs/dbraw/zinc/84/73/89/378847389.db2.gz JMEKZCMOKJRTID-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCOCC1 ZINC000161441810 378848307 /nfs/dbraw/zinc/84/83/07/378848307.db2.gz OXWCIZIVVXUARG-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(NCC(F)(F)C(F)F)Nc1cccc([N+](=O)[O-])c1 ZINC000278520480 194025340 /nfs/dbraw/zinc/02/53/40/194025340.db2.gz CLAHOIVHYIXOSY-UHFFFAOYSA-N 0 0 295.192 2.617 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C(F)F ZINC000278509556 194019888 /nfs/dbraw/zinc/01/98/88/194019888.db2.gz WPDQKRUNPQVQBN-UHFFFAOYSA-N 0 0 272.251 2.597 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000162827892 378935625 /nfs/dbraw/zinc/93/56/25/378935625.db2.gz JYRVXEMVXMRNPE-OCCSQVGLSA-N 0 0 276.336 2.832 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1Cc2cccc(Cl)c2C1 ZINC000334194070 378907157 /nfs/dbraw/zinc/90/71/57/378907157.db2.gz IUPTXRCTQBYSGI-UHFFFAOYSA-N 0 0 291.694 2.732 20 5 CFBDRN CCc1noc(C)c1CSCCn1cc([N+](=O)[O-])cn1 ZINC000162371577 378910087 /nfs/dbraw/zinc/91/00/87/378910087.db2.gz CDHLVUAYHVNEQK-UHFFFAOYSA-N 0 0 296.352 2.584 20 5 CFBDRN Cc1ccc(C)c(N(C)C(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000334196943 378911807 /nfs/dbraw/zinc/91/18/07/378911807.db2.gz KOXONGMIQHTITG-UHFFFAOYSA-N 0 0 287.319 2.827 20 5 CFBDRN Cc1cccc(C(=O)N2CCC=C(F)C2)c1[N+](=O)[O-] ZINC000334244469 378974193 /nfs/dbraw/zinc/97/41/93/378974193.db2.gz ZRGXTEKNFUZRES-UHFFFAOYSA-N 0 0 264.256 2.603 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000163008128 378949245 /nfs/dbraw/zinc/94/92/45/378949245.db2.gz IUAPOVJTNMXFCP-GHMZBOCLSA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCS[C@H](C)C1 ZINC000163099845 378954164 /nfs/dbraw/zinc/95/41/64/378954164.db2.gz XVMAIWBXWOEWOX-SNVBAGLBSA-N 0 0 295.364 2.872 20 5 CFBDRN Cc1cc(C(=O)N2CC(C)=C[C@H](C)C2)cc([N+](=O)[O-])c1 ZINC000335275262 379009092 /nfs/dbraw/zinc/00/90/92/379009092.db2.gz UVYDNMPTSCUPFU-NSHDSACASA-N 0 0 274.320 2.941 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1c1ccccc1 ZINC000334269540 379009544 /nfs/dbraw/zinc/00/95/44/379009544.db2.gz PSLWCFNGFDDBLD-CYBMUJFWSA-N 0 0 285.303 2.521 20 5 CFBDRN O=C(c1cccnc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163873342 378995883 /nfs/dbraw/zinc/99/58/83/378995883.db2.gz NXLMJDAPDDFJLU-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2F)CS1 ZINC000335271002 378999305 /nfs/dbraw/zinc/99/93/05/378999305.db2.gz XGYZHPZTXUGURA-YUMQZZPRSA-N 0 0 299.327 2.749 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2C[C@H]1CCCOC1 ZINC000335283061 379024209 /nfs/dbraw/zinc/02/42/09/379024209.db2.gz UNQAETLQTZBEGG-LLVKDONJSA-N 0 0 260.293 2.976 20 5 CFBDRN CC(C)CCN(CCC(C)C)C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000164260024 379011291 /nfs/dbraw/zinc/01/12/91/379011291.db2.gz NLVWPYKKFRHKQD-UHFFFAOYSA-N 0 0 296.371 2.852 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCSC1 ZINC000334308489 379067804 /nfs/dbraw/zinc/06/78/04/379067804.db2.gz IQKGDTYJZDITKZ-JTQLQIEISA-N 0 0 280.349 2.985 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000335315184 379071569 /nfs/dbraw/zinc/07/15/69/379071569.db2.gz BCHOBDVDRNTULP-GHMZBOCLSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000335316597 379075045 /nfs/dbraw/zinc/07/50/45/379075045.db2.gz GJTQRCJJVRKDGZ-CYBMUJFWSA-N 0 0 281.287 2.869 20 5 CFBDRN Cn1c(C(=O)Nc2cc(F)c(F)cc2F)ccc1[N+](=O)[O-] ZINC000334303039 379061160 /nfs/dbraw/zinc/06/11/60/379061160.db2.gz CTGYPGBIXRQEJB-UHFFFAOYSA-N 0 0 299.208 2.603 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1nnc(C2CC2)o1 ZINC000278688002 194103218 /nfs/dbraw/zinc/10/32/18/194103218.db2.gz HGAREXJQPSMSJO-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1ccc(C)n(C)c1=O ZINC000278709893 194115354 /nfs/dbraw/zinc/11/53/54/194115354.db2.gz JCZCBURTRATCCZ-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC(=O)C2CCCCCC2)c1=O ZINC000278731639 194128092 /nfs/dbraw/zinc/12/80/92/194128092.db2.gz PRMHYWOHNWVDJB-UHFFFAOYSA-N 0 0 292.335 2.604 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCCC12CCC2 ZINC000335338687 379119174 /nfs/dbraw/zinc/11/91/74/379119174.db2.gz MDCDZAUZUMKGBC-UHFFFAOYSA-N 0 0 266.322 2.815 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000334362212 379160027 /nfs/dbraw/zinc/16/00/27/379160027.db2.gz KESILMPZICDDRD-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN O=C(Nc1cc(F)c(F)cc1F)c1cc([N+](=O)[O-])c[nH]1 ZINC000334362027 379160740 /nfs/dbraw/zinc/16/07/40/379160740.db2.gz JYBFJLMQPVGUDU-UHFFFAOYSA-N 0 0 285.181 2.593 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSC[C@H]2CCCO2)c1 ZINC000170874245 379180587 /nfs/dbraw/zinc/18/05/87/379180587.db2.gz QFDZKWNXNXBVQY-CYBMUJFWSA-N 0 0 283.349 2.886 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000335431519 379285059 /nfs/dbraw/zinc/28/50/59/379285059.db2.gz YKLLKEYCCHOBRJ-GHMZBOCLSA-N 0 0 266.272 2.605 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000171483402 379320179 /nfs/dbraw/zinc/32/01/79/379320179.db2.gz AJSXZDOQQNZPRC-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000171579687 379345536 /nfs/dbraw/zinc/34/55/36/379345536.db2.gz UJELYMFSAYTRFT-TZOMUSMUSA-N 0 0 292.360 2.572 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@@H]2C(C)(C)C)ccc1[N+](=O)[O-] ZINC000334443138 379308801 /nfs/dbraw/zinc/30/88/01/379308801.db2.gz CRPBTCCRNGDXJJ-LLVKDONJSA-N 0 0 279.340 2.584 20 5 CFBDRN Cc1ncsc1CN(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000171690006 379372627 /nfs/dbraw/zinc/37/26/27/379372627.db2.gz LGZCLSJUJMKGRZ-UHFFFAOYSA-N 0 0 297.361 2.693 20 5 CFBDRN C[C@@H]1c2ccccc2CCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000334476180 379366088 /nfs/dbraw/zinc/36/60/88/379366088.db2.gz NCTGRYCZOKSJBP-SNVBAGLBSA-N 0 0 285.303 2.682 20 5 CFBDRN O=C(N[C@H]1CC12CC2)c1c(Cl)cccc1[N+](=O)[O-] ZINC000335517369 379437296 /nfs/dbraw/zinc/43/72/96/379437296.db2.gz ZNZAEAKEHMNOGC-VIFPVBQESA-N 0 0 266.684 2.531 20 5 CFBDRN CC(C)[C@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000171924688 379438941 /nfs/dbraw/zinc/43/89/41/379438941.db2.gz VFOASSZYWVVGHW-JTQLQIEISA-N 0 0 250.298 2.503 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@](C)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000334518369 379439349 /nfs/dbraw/zinc/43/93/49/379439349.db2.gz JMDFAZPOCUGSIR-HZMBPMFUSA-N 0 0 295.314 2.799 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCC1CCC1 ZINC000172051366 379479118 /nfs/dbraw/zinc/47/91/18/379479118.db2.gz XCMMNPQXALNUTD-UHFFFAOYSA-N 0 0 282.727 2.707 20 5 CFBDRN O=C(c1cc(Cl)c[nH]1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172361785 379548646 /nfs/dbraw/zinc/54/86/46/379548646.db2.gz SPOIACQNZDZRNS-UHFFFAOYSA-N 0 0 291.694 2.779 20 5 CFBDRN C[C@@H]1SCCN(C(=O)c2csc([N+](=O)[O-])c2)[C@H]1C ZINC000172355171 379548868 /nfs/dbraw/zinc/54/88/68/379548868.db2.gz INLSDKYRTKLWOI-YUMQZZPRSA-N 0 0 286.378 2.622 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000335554750 379502498 /nfs/dbraw/zinc/50/24/98/379502498.db2.gz FVDGOCCTCUNJGT-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC1CC(C)(F)C1 ZINC000335557104 379507869 /nfs/dbraw/zinc/50/78/69/379507869.db2.gz GIPGHYVBNQENGT-UHFFFAOYSA-N 0 0 280.299 2.832 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)C(C)C ZINC000335564235 379519113 /nfs/dbraw/zinc/51/91/13/379519113.db2.gz YTRJPXHKDQEJKZ-UHFFFAOYSA-N 0 0 250.298 2.692 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000172496726 379583285 /nfs/dbraw/zinc/58/32/85/379583285.db2.gz WFERIYLSDNRCEG-BXUZGUMPSA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1cnc(N[C@H](C)[C@@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000288097890 197004452 /nfs/dbraw/zinc/00/44/52/197004452.db2.gz APXGLDGRJGCASL-BXUZGUMPSA-N 0 0 287.319 2.832 20 5 CFBDRN CCCCN(C)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000172747694 379639718 /nfs/dbraw/zinc/63/97/18/379639718.db2.gz OMKCDRAHOWGWEC-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN CN(C(=O)c1ccc(F)cc1[N+](=O)[O-])C1CC(C)(C)C1 ZINC000334624073 379646754 /nfs/dbraw/zinc/64/67/54/379646754.db2.gz YIEJRZQUNYXFDD-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cc(NC(=O)[C@@]2(C)C[C@@H]2F)ccc1[N+](=O)[O-] ZINC000335617012 379608491 /nfs/dbraw/zinc/60/84/91/379608491.db2.gz LLDPUADBBVYEOV-JQWIXIFHSA-N 0 0 252.245 2.590 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000172655036 379617010 /nfs/dbraw/zinc/61/70/10/379617010.db2.gz BMHDMRIPAZGBHD-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)[C@@H]1C ZINC000172658442 379618084 /nfs/dbraw/zinc/61/80/84/379618084.db2.gz QNOFLJOOOUBHCD-RKDXNWHRSA-N 0 0 268.338 2.917 20 5 CFBDRN O=C(CCC(F)(F)F)Nc1cccc([N+](=O)[O-])c1 ZINC000173018317 379687638 /nfs/dbraw/zinc/68/76/38/379687638.db2.gz OUXJEZZXSHKONX-UHFFFAOYSA-N 0 0 262.187 2.876 20 5 CFBDRN C[C@@H]1CCCN(CC(=O)Nc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000172860471 379658527 /nfs/dbraw/zinc/65/85/27/379658527.db2.gz IQTHMAXBRRMRQN-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN CC(=O)OCCCSc1ccc(F)cc1[N+](=O)[O-] ZINC000279007521 194248601 /nfs/dbraw/zinc/24/86/01/194248601.db2.gz GNEFRJVSJAFNGC-UHFFFAOYSA-N 0 0 273.285 2.779 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)OC(C)(C)C2)c1 ZINC000173265318 379716657 /nfs/dbraw/zinc/71/66/57/379716657.db2.gz ZKCGXJJBZAVMOZ-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CC[C@@H]1C1CC1 ZINC000334670159 379740884 /nfs/dbraw/zinc/74/08/84/379740884.db2.gz GPQUASWQHADDIE-SNVBAGLBSA-N 0 0 280.711 2.873 20 5 CFBDRN COC(=O)c1cc(NC(=O)CC2CCC2)cc([N+](=O)[O-])c1 ZINC000173585253 379756615 /nfs/dbraw/zinc/75/66/15/379756615.db2.gz HIOBCKZHFYQLRU-UHFFFAOYSA-N 0 0 292.291 2.510 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000173666893 379762057 /nfs/dbraw/zinc/76/20/57/379762057.db2.gz OQCXWLYCMGIEFD-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H](F)C1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334684549 379763119 /nfs/dbraw/zinc/76/31/19/379763119.db2.gz REWOSJYWKYLCHI-RKDXNWHRSA-N 0 0 291.282 2.697 20 5 CFBDRN CCC1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000334686153 379766713 /nfs/dbraw/zinc/76/67/13/379766713.db2.gz CVCZVPWQFGCLJJ-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN COCCSc1ccc2ncccc2c1[N+](=O)[O-] ZINC000173785357 379779480 /nfs/dbraw/zinc/77/94/80/379779480.db2.gz ALIZWKXIXTXFAY-UHFFFAOYSA-N 0 0 264.306 2.882 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCS1 ZINC000335724293 379786376 /nfs/dbraw/zinc/78/63/76/379786376.db2.gz VZXRTXKDKOPRSB-QMMMGPOBSA-N 0 0 299.327 2.703 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC(C2CCCC2)C1 ZINC000335755215 379835765 /nfs/dbraw/zinc/83/57/65/379835765.db2.gz IKYDHVGEKCHVLH-UHFFFAOYSA-N 0 0 274.320 2.857 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)CCC2 ZINC000335800103 379909949 /nfs/dbraw/zinc/90/99/49/379909949.db2.gz ZVNZEIXIFVLXFL-UHFFFAOYSA-N 0 0 285.303 2.824 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1F)[C@H]1CC1(C)C ZINC000335789240 379890041 /nfs/dbraw/zinc/89/00/41/379890041.db2.gz SNBIDJRWISMNGK-NSHDSACASA-N 0 0 266.272 2.604 20 5 CFBDRN CN(C(=O)c1csc([N+](=O)[O-])c1)[C@H]1CC1(C)C ZINC000335788637 379890300 /nfs/dbraw/zinc/89/03/00/379890300.db2.gz LAOIEPJKMDDEHB-QMMMGPOBSA-N 0 0 254.311 2.527 20 5 CFBDRN Cc1c(NC(=O)N(C)C2CCC2)cccc1[N+](=O)[O-] ZINC000174475846 379893850 /nfs/dbraw/zinc/89/38/50/379893850.db2.gz QDBXBBACPCVCHH-UHFFFAOYSA-N 0 0 263.297 2.919 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000174920585 379963361 /nfs/dbraw/zinc/96/33/61/379963361.db2.gz XZXXVFKVQSBDAV-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCCC2(C)C)cc1[N+](=O)[O-] ZINC000174931729 379965080 /nfs/dbraw/zinc/96/50/80/379965080.db2.gz FAYYDQVAXBRBHX-CYBMUJFWSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000335198856 379996223 /nfs/dbraw/zinc/99/62/23/379996223.db2.gz PTQUJERHDDIWLM-MRVPVSSYSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000335202521 380000454 /nfs/dbraw/zinc/00/04/54/380000454.db2.gz FYDRZOLAEXVCKC-GXSJLCMTSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cccc(C(=O)Nc2cccnc2C)c1[N+](=O)[O-] ZINC000175187957 380016580 /nfs/dbraw/zinc/01/65/80/380016580.db2.gz GTUFJYFSZQAJRI-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC[C@H](C)NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000279028627 194256239 /nfs/dbraw/zinc/25/62/39/194256239.db2.gz BCXAAHPOZQZCEV-ZETCQYMHSA-N 0 0 288.706 2.845 20 5 CFBDRN CN1Cc2cc(Oc3ccc([N+](=O)[O-])cc3)ccc2C1=O ZINC000335949579 380146724 /nfs/dbraw/zinc/14/67/24/380146724.db2.gz XWDQUHLNLYFXQN-UHFFFAOYSA-N 0 0 284.271 2.973 20 5 CFBDRN C[C@H](N(C)C(=O)c1ccc([N+](=O)[O-])cc1F)C1(C)CC1 ZINC000175626053 380101895 /nfs/dbraw/zinc/10/18/95/380101895.db2.gz MFZKINAWDARVCM-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN CCCCCC(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000175681754 380111710 /nfs/dbraw/zinc/11/17/10/380111710.db2.gz JIGNWTQOLUPQRT-UHFFFAOYSA-N 0 0 251.286 2.817 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000335980726 380190870 /nfs/dbraw/zinc/19/08/70/380190870.db2.gz USXONOQBRHRKMV-GFCCVEGCSA-N 0 0 274.320 2.775 20 5 CFBDRN C[C@@H](O)CN(C)C(=O)c1cc2ccccc2c2cccnc12 ZINC000176537677 380252130 /nfs/dbraw/zinc/25/21/30/380252130.db2.gz WYJMNIJPHPIYBW-GFCCVEGCSA-N 0 0 294.354 2.841 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000176635080 380259401 /nfs/dbraw/zinc/25/94/01/380259401.db2.gz LDGLIFJVMRNERL-LBPRGKRZSA-N 0 0 294.351 2.868 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@](C)(F)C2)c1[N+](=O)[O-] ZINC000336084406 380263609 /nfs/dbraw/zinc/26/36/09/380263609.db2.gz QWBPBQQZQVRLSW-ZDUSSCGKSA-N 0 0 298.339 2.891 20 5 CFBDRN Cc1cc(C(=O)N2CCSC(C)(C)C2)cc([N+](=O)[O-])c1 ZINC000176473122 380241661 /nfs/dbraw/zinc/24/16/61/380241661.db2.gz XMHVIJGBNFHDMN-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N(CC(F)F)C1CC1 ZINC000264476727 383744742 /nfs/dbraw/zinc/74/47/42/383744742.db2.gz YWAXHSOICGDBII-UHFFFAOYSA-N 0 0 276.264 2.526 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3cccc(Cl)c3[N+](=O)[O-])C[C@@H]21 ZINC000177197048 380315092 /nfs/dbraw/zinc/31/50/92/380315092.db2.gz LSAIUNHMJIPGOE-AOOOYVTPSA-N 0 0 294.738 2.976 20 5 CFBDRN C[C@H]1CC[C@]2(CCN(C(=O)c3cc([N+](=O)[O-])c[nH]3)C2)C1 ZINC000336507327 380382535 /nfs/dbraw/zinc/38/25/35/380382535.db2.gz KSIHPCDYRNIAAB-HZMBPMFUSA-N 0 0 277.324 2.575 20 5 CFBDRN CC[C@@H](C)C[N@H+](CC)CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000177853823 380385438 /nfs/dbraw/zinc/38/54/38/380385438.db2.gz GAPOCPVFVBNANS-GFCCVEGCSA-N 0 0 293.367 2.901 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@H]2C1 ZINC000178353749 380441859 /nfs/dbraw/zinc/44/18/59/380441859.db2.gz DHTYHNDLTOOFIA-RYUDHWBXSA-N 0 0 274.320 2.857 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178372832 380444081 /nfs/dbraw/zinc/44/40/81/380444081.db2.gz ZIDXIYDOFMNBKW-BETUJISGSA-N 0 0 274.320 2.857 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cccc(-n3ccnn3)c2)n1 ZINC000178375054 380444993 /nfs/dbraw/zinc/44/49/93/380444993.db2.gz LBBKTFCQBDWQPI-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN CCCCN(C)C(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000279096816 194281764 /nfs/dbraw/zinc/28/17/64/194281764.db2.gz ACXYSQSKKKNVEQ-UHFFFAOYSA-N 0 0 297.330 2.672 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000177980936 380400256 /nfs/dbraw/zinc/40/02/56/380400256.db2.gz GAEJVWSLTLMKAE-UHFFFAOYSA-N 0 0 277.324 2.560 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2ccc3c(c2)OCCO3)n1 ZINC000178146479 380413720 /nfs/dbraw/zinc/41/37/20/380413720.db2.gz VAWMGCLUQZWILO-UHFFFAOYSA-N 0 0 287.275 2.813 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1cnc2ccccn12 ZINC000178951716 380494620 /nfs/dbraw/zinc/49/46/20/380494620.db2.gz FVXJCWNBKCUAEO-UHFFFAOYSA-N 0 0 294.314 2.805 20 5 CFBDRN CN(C(=O)[C@@H]1Cc2ccccc2O1)c1cccc([N+](=O)[O-])c1 ZINC000179025128 380501575 /nfs/dbraw/zinc/50/15/75/380501575.db2.gz MKYQUPVAILVBLG-HNNXBMFYSA-N 0 0 298.298 2.561 20 5 CFBDRN C[C@H](O)C[C@H]1CCCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000279534188 299520325 /nfs/dbraw/zinc/52/03/25/299520325.db2.gz RROZLWGRQWFSBU-GXSJLCMTSA-N 0 0 299.758 2.773 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@H](F)C1 ZINC000336560810 380467171 /nfs/dbraw/zinc/46/71/71/380467171.db2.gz IOQMQRKNCFBILS-VHSXEESVSA-N 0 0 266.272 2.524 20 5 CFBDRN CC1(C)CN(Cc2c(F)cccc2[N+](=O)[O-])[C@@H]2COC[C@@H]21 ZINC000452110140 383746906 /nfs/dbraw/zinc/74/69/06/383746906.db2.gz QCINCOLRABPUIQ-SMDDNHRTSA-N 0 0 294.326 2.591 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])n2C)c1F ZINC000336596117 380521959 /nfs/dbraw/zinc/52/19/59/380521959.db2.gz NRSPXGIDDPHHNL-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000336604539 380534323 /nfs/dbraw/zinc/53/43/23/380534323.db2.gz PIUFIGWAHRNFJZ-ZJUUUORDSA-N 0 0 263.297 2.857 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000336607481 380538281 /nfs/dbraw/zinc/53/82/81/380538281.db2.gz ZHRHYJDRCOTWQL-LLVKDONJSA-N 0 0 274.320 2.562 20 5 CFBDRN C[C@H]1c2ccccc2CCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000336621920 380563291 /nfs/dbraw/zinc/56/32/91/380563291.db2.gz STEGJAMTGLHMCY-NSHDSACASA-N 0 0 299.330 2.693 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ncc(Cl)cc1Cl ZINC000179798299 380581362 /nfs/dbraw/zinc/58/13/62/380581362.db2.gz CHXBZCUECNEWGX-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1c([N+](=O)[O-])nc(C)n1CC ZINC000336794043 380641176 /nfs/dbraw/zinc/64/11/76/380641176.db2.gz ZKMHRIOSLXIUJP-IUCAKERBSA-N 0 0 254.334 2.966 20 5 CFBDRN CCc1ccnc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)c1 ZINC000180264361 380642138 /nfs/dbraw/zinc/64/21/38/380642138.db2.gz MFVXWLMXQPHGRF-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H]1CCC[C@H](O)C1 ZINC000336808145 380667132 /nfs/dbraw/zinc/66/71/32/380667132.db2.gz BAFBIEJYONPKBZ-UWVGGRQHSA-N 0 0 268.288 2.697 20 5 CFBDRN CCOc1cc(NCC[C@@H](C)OC)ccc1[N+](=O)[O-] ZINC000336813445 380675192 /nfs/dbraw/zinc/67/51/92/380675192.db2.gz CMSPAMDXDXWBOR-SNVBAGLBSA-N 0 0 268.313 2.830 20 5 CFBDRN CCc1ccc(NC(=O)c2c(N)cccc2[N+](=O)[O-])cc1 ZINC000180588224 380679206 /nfs/dbraw/zinc/67/92/06/380679206.db2.gz JZJAFKUFECLZGG-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN Cc1ccc(NC(=O)c2c(C)cc[nH]c2=O)cc1[N+](=O)[O-] ZINC000180582840 380679442 /nfs/dbraw/zinc/67/94/42/380679442.db2.gz XQJIYADGISKUSN-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1c(F)cccc1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180837681 380704000 /nfs/dbraw/zinc/70/40/00/380704000.db2.gz HPGHSKCRGMMDQY-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1c([C@@H](C)Nc2ncc([N+](=O)[O-])cc2C)cnn1C ZINC000180974491 380720138 /nfs/dbraw/zinc/72/01/38/380720138.db2.gz LDQRUAXLJIJYPX-SECBINFHSA-N 0 0 275.312 2.513 20 5 CFBDRN CC(=O)c1ccc(NCc2ccccc2[N+](=O)[O-])nc1 ZINC000180982248 380720986 /nfs/dbraw/zinc/72/09/86/380720986.db2.gz YSUUVMPNJHHDCX-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CCCCCNC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000279164873 194312529 /nfs/dbraw/zinc/31/25/29/194312529.db2.gz INJIOPJFSXPEFW-UHFFFAOYSA-N 0 0 297.330 2.720 20 5 CFBDRN Cc1cc(N[C@@H]2Cc3ccccc3[C@H]2O)ccc1[N+](=O)[O-] ZINC000181068115 380733243 /nfs/dbraw/zinc/73/32/43/380733243.db2.gz PFIRIWRFOKPVBS-GDBMZVCRSA-N 0 0 284.315 2.973 20 5 CFBDRN CO[C@@H](C)CCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000181101632 380739767 /nfs/dbraw/zinc/73/97/67/380739767.db2.gz GHJNUZBBGNHRDV-WDEREUQCSA-N 0 0 280.324 2.587 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC12CCCCC2 ZINC000181307587 380773184 /nfs/dbraw/zinc/77/31/84/380773184.db2.gz KMBIRDXLHLYZKB-LBPRGKRZSA-N 0 0 289.335 2.630 20 5 CFBDRN Cc1cc(C(=O)NCC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000181550547 380823363 /nfs/dbraw/zinc/82/33/63/380823363.db2.gz UCDATXRJZXBTIP-CYBMUJFWSA-N 0 0 292.335 2.592 20 5 CFBDRN CCc1nc(CN[C@@H](CC)c2cccc([N+](=O)[O-])c2)no1 ZINC000181547146 380823475 /nfs/dbraw/zinc/82/34/75/380823475.db2.gz ZQGPPIRACIWPFJ-LBPRGKRZSA-N 0 0 290.323 2.781 20 5 CFBDRN CC(=O)c1cc(N[C@H](C)[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000287305349 380798595 /nfs/dbraw/zinc/79/85/95/380798595.db2.gz MYGYWQFSJGTQPI-KOLCDFICSA-N 0 0 278.308 2.634 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000287324641 380804570 /nfs/dbraw/zinc/80/45/70/380804570.db2.gz VDGKBJXRDQBWKU-GFCCVEGCSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287330613 380806800 /nfs/dbraw/zinc/80/68/00/380806800.db2.gz OIPCFGUPCXPVOP-DTWKUNHWSA-N 0 0 256.277 2.553 20 5 CFBDRN CO[C@H](C)CN(C)C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000287352830 380811758 /nfs/dbraw/zinc/81/17/58/380811758.db2.gz PZFUSCHQNZXLLA-LLVKDONJSA-N 0 0 295.339 2.710 20 5 CFBDRN CN(CCn1cc([N+](=O)[O-])cn1)c1ccc(F)c(Cl)c1 ZINC000181513750 380813086 /nfs/dbraw/zinc/81/30/86/380813086.db2.gz GUUWHQYEMMOHRT-UHFFFAOYSA-N 0 0 298.705 2.720 20 5 CFBDRN CO[C@@H](C)COc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000295378313 380818310 /nfs/dbraw/zinc/81/83/10/380818310.db2.gz PVXLNWDYXVPEPZ-LURJTMIESA-N 0 0 263.652 2.801 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000287562586 380869166 /nfs/dbraw/zinc/86/91/66/380869166.db2.gz MPGUFRMHGAXKCO-LLVKDONJSA-N 0 0 263.297 2.905 20 5 CFBDRN Cc1nnc(NCCCCc2ccc([N+](=O)[O-])cc2)o1 ZINC000287416277 380828275 /nfs/dbraw/zinc/82/82/75/380828275.db2.gz TVDNDUSWRIKDOA-UHFFFAOYSA-N 0 0 276.296 2.721 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@@H](C)c1cccnc1 ZINC000295400810 380830519 /nfs/dbraw/zinc/83/05/19/380830519.db2.gz ZKQZTNGUCNTYBG-LBPRGKRZSA-N 0 0 293.367 2.827 20 5 CFBDRN Nc1ccc(N2CCC[C@@]3(CCCCO3)C2)c([N+](=O)[O-])c1 ZINC000367829812 380841347 /nfs/dbraw/zinc/84/13/47/380841347.db2.gz XVJVUKDNIZJWHN-HNNXBMFYSA-N 0 0 291.351 2.717 20 5 CFBDRN CCOC(=O)[C@H](NCc1cccc([N+](=O)[O-])c1)[C@H](C)CC ZINC000181652762 380854629 /nfs/dbraw/zinc/85/46/29/380854629.db2.gz GXEDWZGPARPYSW-BXUZGUMPSA-N 0 0 294.351 2.662 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000367936611 380860387 /nfs/dbraw/zinc/86/03/87/380860387.db2.gz YEIWTJGLPNWBSE-YUELXQCFSA-N 0 0 290.319 2.777 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CCC[C@@H](C)C1 ZINC000408465985 380928474 /nfs/dbraw/zinc/92/84/74/380928474.db2.gz ICPYFPZSLVUSLW-ZYHUDNBSSA-N 0 0 264.325 2.891 20 5 CFBDRN COc1cccc(NCCC[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000402141911 380883128 /nfs/dbraw/zinc/88/31/28/380883128.db2.gz MAUUUDAIKCTKLX-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN CC[C@H](CNc1c([N+](=O)[O-])ncn1C)CC(F)(F)F ZINC000402651446 380889614 /nfs/dbraw/zinc/88/96/14/380889614.db2.gz GZJBDOFJGADEMR-ZETCQYMHSA-N 0 0 280.250 2.719 20 5 CFBDRN CCO[C@H](C)c1nc(Cn2cc([N+](=O)[O-])c(C)n2)cs1 ZINC000181818888 380905930 /nfs/dbraw/zinc/90/59/30/380905930.db2.gz ZYVSWQMRKQHHCV-SECBINFHSA-N 0 0 296.352 2.702 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCCC23CCCCC3)c1[N+](=O)[O-] ZINC000408009680 380911876 /nfs/dbraw/zinc/91/18/76/380911876.db2.gz SQRFNXXVSCIMGD-UHFFFAOYSA-N 0 0 292.339 2.565 20 5 CFBDRN COc1ccc(CN2CCOC(C)(C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000353871920 380916656 /nfs/dbraw/zinc/91/66/56/380916656.db2.gz UWWXYAZPMAEOBR-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN CCc1nn(C)c(Oc2ccc(C(C)=O)cc2)c1[N+](=O)[O-] ZINC000288556521 197111285 /nfs/dbraw/zinc/11/12/85/197111285.db2.gz KKWJTOJVNPBEGO-UHFFFAOYSA-N 0 0 289.291 2.886 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@]2(CCCOC2)C1 ZINC000408299850 380919487 /nfs/dbraw/zinc/91/94/87/380919487.db2.gz DYEJRXRPYFQATI-HNNXBMFYSA-N 0 0 291.351 2.695 20 5 CFBDRN C[C@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@H]1C ZINC000408406393 380924500 /nfs/dbraw/zinc/92/45/00/380924500.db2.gz QNHQYOOZGRSKBP-AOOOYVTPSA-N 0 0 261.325 2.655 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)[C@H](C)OC ZINC000353912122 380950490 /nfs/dbraw/zinc/95/04/90/380950490.db2.gz JUZWOMJDBXIAII-UWVGGRQHSA-N 0 0 295.339 2.702 20 5 CFBDRN CCCC[C@H](C)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000347127170 380957543 /nfs/dbraw/zinc/95/75/43/380957543.db2.gz XMPQSUBLRXFTCS-QMMMGPOBSA-N 0 0 293.327 2.980 20 5 CFBDRN CC(F)(F)CCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000295587839 380958799 /nfs/dbraw/zinc/95/87/99/380958799.db2.gz HMZYKTGURIFYEU-UHFFFAOYSA-N 0 0 290.241 2.899 20 5 CFBDRN C[C@H]1[C@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)CCC[C@@H]1C ZINC000182007792 380962287 /nfs/dbraw/zinc/96/22/87/380962287.db2.gz WURXCGSTGBGRRQ-DMDPSCGWSA-N 0 0 295.339 2.549 20 5 CFBDRN CC(C)C[C@@H](C)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347135279 380963344 /nfs/dbraw/zinc/96/33/44/380963344.db2.gz NBOAJNAVVUGXBU-MRVPVSSYSA-N 0 0 279.300 2.528 20 5 CFBDRN CC(F)(F)CCCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000295630087 380985646 /nfs/dbraw/zinc/98/56/46/380985646.db2.gz SAIFLQVOCAOPEH-UHFFFAOYSA-N 0 0 286.278 2.689 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCC(F)(F)C2)ncc1[N+](=O)[O-] ZINC000409642229 380968209 /nfs/dbraw/zinc/96/82/09/380968209.db2.gz RVWNFDXVXMTOHC-SNVBAGLBSA-N 0 0 285.294 2.958 20 5 CFBDRN COc1ccc(CN2CCC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000295609931 380972754 /nfs/dbraw/zinc/97/27/54/380972754.db2.gz DRCLFLDGZVBUFZ-CQSZACIVSA-N 0 0 282.315 2.927 20 5 CFBDRN COC[C@@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000347157809 380975362 /nfs/dbraw/zinc/97/53/62/380975362.db2.gz DSWKYPYONAGIIR-VIFPVBQESA-N 0 0 266.297 2.514 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(F)(F)F ZINC000288570188 197115157 /nfs/dbraw/zinc/11/51/57/197115157.db2.gz JUSBHLSSVSXWGQ-RXMQYKEDSA-N 0 0 296.632 2.929 20 5 CFBDRN COCCCN(C)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000295564454 380944231 /nfs/dbraw/zinc/94/42/31/380944231.db2.gz QOJWVZAVPZMZLJ-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1ccc([N+](=O)[O-])s1)C(C)C ZINC000353905477 380944985 /nfs/dbraw/zinc/94/49/85/380944985.db2.gz MPBIACNCLOHZEE-VIFPVBQESA-N 0 0 286.353 2.542 20 5 CFBDRN COCCC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000181958881 380947482 /nfs/dbraw/zinc/94/74/82/380947482.db2.gz UCICSPSMGDXRAO-UHFFFAOYSA-N 0 0 292.213 2.589 20 5 CFBDRN COc1ccncc1COc1ccc([N+](=O)[O-])cc1C ZINC000341341969 380948967 /nfs/dbraw/zinc/94/89/67/380948967.db2.gz QAJZRRMPUSVTRE-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000358702317 381022402 /nfs/dbraw/zinc/02/24/02/381022402.db2.gz JGTLSOJTTPOWCM-SECBINFHSA-N 0 0 298.314 2.667 20 5 CFBDRN CC(C)CCO[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000341458074 381024678 /nfs/dbraw/zinc/02/46/78/381024678.db2.gz HXJXPYSAYPJDHC-LLVKDONJSA-N 0 0 280.324 2.985 20 5 CFBDRN COc1ccc(CN(C)Cc2ccc[nH]2)cc1[N+](=O)[O-] ZINC000354026466 381029847 /nfs/dbraw/zinc/02/98/47/381029847.db2.gz UJZIHDCVLSUIFP-UHFFFAOYSA-N 0 0 275.308 2.564 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@H]2CCCOC2)c1 ZINC000295634765 380986849 /nfs/dbraw/zinc/98/68/49/380986849.db2.gz XTJZURIWKIQYGS-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000288053770 381001777 /nfs/dbraw/zinc/00/17/77/381001777.db2.gz ARTHOGSVKPPXJK-BFHYXJOUSA-N 0 0 298.346 2.870 20 5 CFBDRN CCC[C@]1(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCOC1 ZINC000288073767 381004849 /nfs/dbraw/zinc/00/48/49/381004849.db2.gz YWIBPBYTNGJVST-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@H](O)[C@@H]1CCCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182155766 381005512 /nfs/dbraw/zinc/00/55/12/381005512.db2.gz HOZPQYNEONUUHQ-HZMBPMFUSA-N 0 0 298.770 2.984 20 5 CFBDRN C[C@@H]1CCCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000288203082 381006820 /nfs/dbraw/zinc/00/68/20/381006820.db2.gz CQZUTVRCTXUWOV-SSDOTTSWSA-N 0 0 264.310 2.588 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCCC[C@H](CO)C1 ZINC000368388561 381008289 /nfs/dbraw/zinc/00/82/89/381008289.db2.gz RMXWCQPTXJGKQY-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN Cc1cc(Br)ccc1Cn1cc([N+](=O)[O-])cn1 ZINC000182280005 381048343 /nfs/dbraw/zinc/04/83/43/381048343.db2.gz MZVRIMQIZTWPSH-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN CS[C@@H](C)CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347294413 381053012 /nfs/dbraw/zinc/05/30/12/381053012.db2.gz XBYVOEDPWUGBJR-ZETCQYMHSA-N 0 0 287.316 2.607 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1COc1ccc(Cl)c(F)c1 ZINC000341514665 381059374 /nfs/dbraw/zinc/05/93/74/381059374.db2.gz RKCRMOFOOICLPU-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN CCCOc1cccc(Cn2nccc2[N+](=O)[O-])c1 ZINC000295759575 381059807 /nfs/dbraw/zinc/05/98/07/381059807.db2.gz OUJJRWZRUWEUFB-UHFFFAOYSA-N 0 0 261.281 2.628 20 5 CFBDRN O=C(NCc1ccco1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347260378 381034890 /nfs/dbraw/zinc/03/48/90/381034890.db2.gz FBXWGXMUYAXJET-UHFFFAOYSA-N 0 0 279.227 2.649 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000182239762 381036428 /nfs/dbraw/zinc/03/64/28/381036428.db2.gz HXQYUOAXYBJFLH-JTQLQIEISA-N 0 0 266.297 2.596 20 5 CFBDRN C[C@@H]1CCOCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000368483281 381039767 /nfs/dbraw/zinc/03/97/67/381039767.db2.gz RTXLSBMDSVHEFT-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ccc(NC(=O)NCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000341548680 381079778 /nfs/dbraw/zinc/07/97/78/381079778.db2.gz JUXQLRCKDZNPRI-UHFFFAOYSA-N 0 0 291.229 2.977 20 5 CFBDRN Cc1c(NC(=O)NCc2cccn2C)cccc1[N+](=O)[O-] ZINC000341635238 381142120 /nfs/dbraw/zinc/14/21/20/381142120.db2.gz QVYFTYNZLXDWRC-UHFFFAOYSA-N 0 0 288.307 2.563 20 5 CFBDRN CCC(=O)c1ccc(NCc2cccnn2)c([N+](=O)[O-])c1 ZINC000358914483 381152455 /nfs/dbraw/zinc/15/24/55/381152455.db2.gz JHLITDCELTXWCI-UHFFFAOYSA-N 0 0 286.291 2.590 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])N[C@@H]1C=CCCC1 ZINC000341654920 381153424 /nfs/dbraw/zinc/15/34/24/381153424.db2.gz RRODGTDAGQVEJK-CYBMUJFWSA-N 0 0 289.335 2.545 20 5 CFBDRN C[C@@H](NC(=O)C1(CCF)CC1)c1cccc([N+](=O)[O-])c1 ZINC000289799746 381163329 /nfs/dbraw/zinc/16/33/29/381163329.db2.gz MCLGPXABKUQLAN-SNVBAGLBSA-N 0 0 280.299 2.912 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCSC1 ZINC000341618505 381128915 /nfs/dbraw/zinc/12/89/15/381128915.db2.gz SLLCCOGITJUALS-VIFPVBQESA-N 0 0 296.348 2.685 20 5 CFBDRN COCc1nc(CSCc2ccccc2[N+](=O)[O-])no1 ZINC000182875080 381177825 /nfs/dbraw/zinc/17/78/25/381177825.db2.gz RRTPLYUSTYYJJQ-UHFFFAOYSA-N 0 0 295.320 2.558 20 5 CFBDRN Cn1nc([N+](=O)[O-])cc1-c1nc(CC2(C)CCCC2)no1 ZINC000347477867 381177939 /nfs/dbraw/zinc/17/79/39/381177939.db2.gz ANSDCTYEQRIXTJ-UHFFFAOYSA-N 0 0 291.311 2.501 20 5 CFBDRN CC/C=C/CNC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000295958016 381180656 /nfs/dbraw/zinc/18/06/56/381180656.db2.gz MRTPBTFNTKHZQA-ONEGZZNKSA-N 0 0 270.235 2.569 20 5 CFBDRN COc1ccc(COc2ccc(OC)c([N+](=O)[O-])c2)cn1 ZINC000358966300 381181963 /nfs/dbraw/zinc/18/19/63/381181963.db2.gz QULKQCLVTBZNBD-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2ncc3ccccn32)cn1 ZINC000341711101 381184702 /nfs/dbraw/zinc/18/47/02/381184702.db2.gz IBUBYDOJCGNHCP-UHFFFAOYSA-N 0 0 272.289 2.789 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000412161960 381188985 /nfs/dbraw/zinc/18/89/85/381188985.db2.gz KQOXJCFUMGWXHO-FDRIWYBQSA-N 0 0 288.347 2.955 20 5 CFBDRN CC(C)Oc1cc(C(=O)NCC2(C)CC2)ccc1[N+](=O)[O-] ZINC000412163388 381191716 /nfs/dbraw/zinc/19/17/16/381191716.db2.gz LRLIEPYQEJXYLD-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1c(C(=O)Nc2ccc(C)cn2)cccc1[N+](=O)[O-] ZINC000354309329 381195237 /nfs/dbraw/zinc/19/52/37/381195237.db2.gz AWDYMQJFWFGMFT-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1nn(C)c(NCC2(C(F)(F)F)CCC2)c1[N+](=O)[O-] ZINC000343954608 220278428 /nfs/dbraw/zinc/27/84/28/220278428.db2.gz PIQXBDKQGBYICT-UHFFFAOYSA-N 0 0 292.261 2.781 20 5 CFBDRN CC[C@H](C)N(C)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412183663 381200295 /nfs/dbraw/zinc/20/02/95/381200295.db2.gz UKNSGWDAEGXPJD-LEWSCRJBSA-N 0 0 276.336 2.955 20 5 CFBDRN CS[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412201983 381209664 /nfs/dbraw/zinc/20/96/64/381209664.db2.gz DAXOAZMCRPDTGU-TVYUQYBPSA-N 0 0 294.376 2.566 20 5 CFBDRN CC/C=C/CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000296028635 381219129 /nfs/dbraw/zinc/21/91/29/381219129.db2.gz VRODCVICQHMZSX-ONEGZZNKSA-N 0 0 268.700 2.944 20 5 CFBDRN COc1cc(NCc2cn3ccccc3n2)ccc1[N+](=O)[O-] ZINC000359081972 381253229 /nfs/dbraw/zinc/25/32/29/381253229.db2.gz AJHKVOHYFYHPCI-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN CC[C@](C)(OC)c1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000289994589 381254055 /nfs/dbraw/zinc/25/40/55/381254055.db2.gz CCZAEXFQWLOEOV-AWEZNQCLSA-N 0 0 291.307 2.840 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2ccc(O)cc2)n1 ZINC000359084333 381255999 /nfs/dbraw/zinc/25/59/99/381255999.db2.gz QHUUUAYSYPINDS-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CCn1cc(Nc2nc(C)cc(C)c2[N+](=O)[O-])cn1 ZINC000359086533 381257010 /nfs/dbraw/zinc/25/70/10/381257010.db2.gz VIBIDPXQJCDQDI-UHFFFAOYSA-N 0 0 261.285 2.567 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@@H]2CC)cc1[N+](=O)[O-] ZINC000347556410 381220093 /nfs/dbraw/zinc/22/00/93/381220093.db2.gz HXCGECTZGCKTRY-NSHDSACASA-N 0 0 278.308 2.618 20 5 CFBDRN CO[C@H](C)[C@@H](C)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000290030441 381269089 /nfs/dbraw/zinc/26/90/89/381269089.db2.gz RTUIEKWQOKFWCS-GHMZBOCLSA-N 0 0 295.339 2.757 20 5 CFBDRN O=C1CC[C@H](COc2cc([N+](=O)[O-])ccc2Cl)CCN1 ZINC000296177937 381288001 /nfs/dbraw/zinc/28/80/01/381288001.db2.gz ZVLIJYURYDDDQH-VIFPVBQESA-N 0 0 298.726 2.543 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288758227 197159589 /nfs/dbraw/zinc/15/95/89/197159589.db2.gz IOVPOLGCBDEJIN-SNVBAGLBSA-N 0 0 265.313 2.635 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCOCC(F)(F)F ZINC000183621849 381295175 /nfs/dbraw/zinc/29/51/75/381295175.db2.gz SLZVXOQALLMFIF-UHFFFAOYSA-N 0 0 290.241 2.536 20 5 CFBDRN CCC1CN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000412429658 381298344 /nfs/dbraw/zinc/29/83/44/381298344.db2.gz SPYVLFRZADNXSF-UONOGXRCSA-N 0 0 274.320 2.567 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2C[C@@H](O)Cc1ccccc1 ZINC000183632269 381299193 /nfs/dbraw/zinc/29/91/93/381299193.db2.gz BQRBNBIRBRFDLX-AWEZNQCLSA-N 0 0 298.342 2.561 20 5 CFBDRN CCc1nnc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000290099478 381299419 /nfs/dbraw/zinc/29/94/19/381299419.db2.gz HXPGQXYHAKGELM-SECBINFHSA-N 0 0 292.364 2.860 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc(F)cc1 ZINC000347693196 381300775 /nfs/dbraw/zinc/30/07/75/381300775.db2.gz WYURWYPCWVGDJW-VIFPVBQESA-N 0 0 291.282 2.596 20 5 CFBDRN C[C@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(F)(F)F ZINC000290106890 381301996 /nfs/dbraw/zinc/30/19/96/381301996.db2.gz MOFNKTUFKJOPPT-YFKPBYRVSA-N 0 0 296.632 2.929 20 5 CFBDRN C[C@H]1CC(Nc2cccc(C(N)=O)c2[N+](=O)[O-])C[C@H](C)C1 ZINC000342375069 381303404 /nfs/dbraw/zinc/30/34/04/381303404.db2.gz KWAYOYTXQWCQNZ-NXEZZACHSA-N 0 0 291.351 2.930 20 5 CFBDRN COc1ncc(COc2ccc([N+](=O)[O-])c(C)c2)c(C)n1 ZINC000347645614 381273205 /nfs/dbraw/zinc/27/32/05/381273205.db2.gz FUPPWKLBXHVCJI-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN CCCCCN(C(=O)c1cc([N+](=O)[O-])cnc1N)C(C)C ZINC000183528984 381283214 /nfs/dbraw/zinc/28/32/14/381283214.db2.gz ZCTKYNBRQLWNQC-UHFFFAOYSA-N 0 0 294.355 2.613 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000290157540 381323644 /nfs/dbraw/zinc/32/36/44/381323644.db2.gz PANQRLNWQRMPBM-LLVKDONJSA-N 0 0 284.262 2.791 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H](C)CCCCO ZINC000296263677 381325304 /nfs/dbraw/zinc/32/53/04/381325304.db2.gz JSHPNYZBLLQCSP-LLVKDONJSA-N 0 0 252.314 2.866 20 5 CFBDRN COc1cc(CSc2ncccn2)c([N+](=O)[O-])cc1F ZINC000183825633 381326964 /nfs/dbraw/zinc/32/69/64/381326964.db2.gz GVTXZGZDKLUUGV-UHFFFAOYSA-N 0 0 295.295 2.825 20 5 CFBDRN O=C(N[C@@H](C1CC1)C(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000290170778 381327361 /nfs/dbraw/zinc/32/73/61/381327361.db2.gz RKYCKASWUNTQQF-JTQLQIEISA-N 0 0 288.225 2.666 20 5 CFBDRN CCc1ccc(NCc2c([N+](=O)[O-])ncn2C)cc1 ZINC000347763459 381337793 /nfs/dbraw/zinc/33/77/93/381337793.db2.gz FNUHAAIAQBHCKL-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN Cc1ccc(NCc2c([N+](=O)[O-])ncn2C)cc1Cl ZINC000347762279 381338006 /nfs/dbraw/zinc/33/80/06/381338006.db2.gz BGSIRTRMVQXFII-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H](C)CCCCO ZINC000296302917 381339231 /nfs/dbraw/zinc/33/92/31/381339231.db2.gz MWBLOFXNMVHMME-NSHDSACASA-N 0 0 282.340 2.704 20 5 CFBDRN Cc1nsc(C)c1-c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000296312311 381342705 /nfs/dbraw/zinc/34/27/05/381342705.db2.gz FFAVNBDKSSBAQW-UHFFFAOYSA-N 0 0 296.352 2.798 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CC2(C)C)c1 ZINC000359309894 381340230 /nfs/dbraw/zinc/34/02/30/381340230.db2.gz NCWLIXJXYDBWES-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN CC[N@@H+]1CCC[C@H]1CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000296226605 381310025 /nfs/dbraw/zinc/31/00/25/381310025.db2.gz DRGKOGJLLBLAGF-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1ccccc1CN(c1ncc([N+](=O)[O-])cn1)C1CC1 ZINC000354523148 381318145 /nfs/dbraw/zinc/31/81/45/381318145.db2.gz POZLFILBRKDLHF-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN C[C@@H](CCCCO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000296317461 381344753 /nfs/dbraw/zinc/34/47/53/381344753.db2.gz OCGPKYUSWIHHDI-VIFPVBQESA-N 0 0 256.277 2.697 20 5 CFBDRN Cc1ccc(C(=O)N(C)CC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000342476741 381352155 /nfs/dbraw/zinc/35/21/55/381352155.db2.gz PMLQLTGQPJDDCS-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H](C)CCCCO ZINC000296366237 381364384 /nfs/dbraw/zinc/36/43/84/381364384.db2.gz SHEDDFFDGBSEHV-LLVKDONJSA-N 0 0 252.314 2.866 20 5 CFBDRN COc1ccc(NC(=O)C2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000354624119 381370045 /nfs/dbraw/zinc/37/00/45/381370045.db2.gz MVTKJQHZGDRHST-UHFFFAOYSA-N 0 0 278.308 2.978 20 5 CFBDRN Cc1nn(C[C@H]2C[C@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359366419 381375459 /nfs/dbraw/zinc/37/54/59/381375459.db2.gz IOTRFGCXJFFCFQ-OLZOCXBDSA-N 0 0 257.293 2.903 20 5 CFBDRN O=C(NCc1ccc(F)c(F)c1)c1ccc([N+](=O)[O-])s1 ZINC000347845793 381375569 /nfs/dbraw/zinc/37/55/69/381375569.db2.gz WDOCDDPPANAEPR-UHFFFAOYSA-N 0 0 298.270 2.865 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000354712411 381416890 /nfs/dbraw/zinc/41/68/90/381416890.db2.gz QMIZKSGMQKVYQP-WDMOLILDSA-N 0 0 294.351 2.833 20 5 CFBDRN CS[C@@H]1CCN(Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000296408650 381381747 /nfs/dbraw/zinc/38/17/47/381381747.db2.gz UFFNFBYSLWAXQO-SECBINFHSA-N 0 0 288.319 2.810 20 5 CFBDRN Cc1cn(C[C@@H]2C[C@@H]2c2ccccc2)nc1[N+](=O)[O-] ZINC000359380079 381385204 /nfs/dbraw/zinc/38/52/04/381385204.db2.gz CXGWDHLMVJFIBL-QWHCGFSZSA-N 0 0 257.293 2.903 20 5 CFBDRN COc1cccc(F)c1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000184476046 381420731 /nfs/dbraw/zinc/42/07/31/381420731.db2.gz KVPGRFNFLCWSDL-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CC1(C)CC(NC(=O)c2cc([N+](=O)[O-])n[nH]2)CC(C)(C)C1 ZINC000354729416 381426106 /nfs/dbraw/zinc/42/61/06/381426106.db2.gz MEGPTCHMANCMTA-UHFFFAOYSA-N 0 0 294.355 2.653 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000347947849 381429163 /nfs/dbraw/zinc/42/91/63/381429163.db2.gz FQSBIAGJJDAABP-ILWJIGKKSA-N 0 0 296.298 2.876 20 5 CFBDRN CC(C)n1ncnc1CNc1cc([N+](=O)[O-])ccc1F ZINC000290455034 381434900 /nfs/dbraw/zinc/43/49/00/381434900.db2.gz DPMJIJWRQHBWHE-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)C[C@H]1C ZINC000412774333 381436616 /nfs/dbraw/zinc/43/66/16/381436616.db2.gz CZBOYTUCDOGEEM-SECBINFHSA-N 0 0 277.324 2.559 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)ncn1 ZINC000296535479 381437431 /nfs/dbraw/zinc/43/74/31/381437431.db2.gz DOBDHZUQPOGFAG-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN COc1ccc(NC(=O)CC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000342587287 381417618 /nfs/dbraw/zinc/41/76/18/381417618.db2.gz XAAHFIJLBMRJLJ-SNVBAGLBSA-N 0 0 294.307 2.501 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@@H]1CF)c1cccc([N+](=O)[O-])c1 ZINC000296490807 381419138 /nfs/dbraw/zinc/41/91/38/381419138.db2.gz XZICIPPLLTWKBR-ZWNOBZJWSA-N 0 0 295.314 2.799 20 5 CFBDRN COc1cc(CNc2ncccc2C)c([N+](=O)[O-])cc1O ZINC000184827990 381483415 /nfs/dbraw/zinc/48/34/15/381483415.db2.gz LTUUYTFOSHEAKZ-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1CCC(OC)CC1 ZINC000359542074 381458664 /nfs/dbraw/zinc/45/86/64/381458664.db2.gz KYZRTPVOEMWNKJ-UHFFFAOYSA-N 0 0 296.371 2.737 20 5 CFBDRN COCc1nc(CNc2nc(C)ccc2[N+](=O)[O-])cs1 ZINC000290576630 381485474 /nfs/dbraw/zinc/48/54/74/381485474.db2.gz BPEWAWJWWLGENM-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN C[C@H](CCC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000184699950 381463432 /nfs/dbraw/zinc/46/34/32/381463432.db2.gz FTYDYYLGYZTDFX-SECBINFHSA-N 0 0 294.355 2.517 20 5 CFBDRN O=C(NC[C@H]1CCCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000342660088 381463504 /nfs/dbraw/zinc/46/35/04/381463504.db2.gz NGLMHBNLLPQVKD-GFCCVEGCSA-N 0 0 280.349 2.610 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCCCS2)cc([N+](=O)[O-])c1 ZINC000342674581 381470738 /nfs/dbraw/zinc/47/07/38/381470738.db2.gz WNNTYFCCKKOLEC-CYBMUJFWSA-N 0 0 294.376 2.919 20 5 CFBDRN CCCc1nc(CNc2cc([N+](=O)[O-])c(C)cc2F)no1 ZINC000296681801 381504175 /nfs/dbraw/zinc/50/41/75/381504175.db2.gz GOWQZRNVYDKFNB-UHFFFAOYSA-N 0 0 294.286 2.990 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000290646492 381509447 /nfs/dbraw/zinc/50/94/47/381509447.db2.gz IJDUGLUXBTVARA-SCZZXKLOSA-N 0 0 266.297 2.633 20 5 CFBDRN Cc1nc(NC[C@H]2CCC(F)(F)C2)ncc1[N+](=O)[O-] ZINC000296697744 381510255 /nfs/dbraw/zinc/51/02/55/381510255.db2.gz DSBMWFWFQBHBPQ-QMMMGPOBSA-N 0 0 272.255 2.541 20 5 CFBDRN Cc1cc(F)c(NC(=O)N[C@H](C)CF)cc1[N+](=O)[O-] ZINC000296698766 381510933 /nfs/dbraw/zinc/51/09/33/381510933.db2.gz KHQVOBHZCZBNHE-SSDOTTSWSA-N 0 0 273.239 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H]1CCC(C)(C)C1=O ZINC000412977418 381514533 /nfs/dbraw/zinc/51/45/33/381514533.db2.gz KTRLIKCIQFNXMV-NSHDSACASA-N 0 0 279.292 2.740 20 5 CFBDRN CC[C@@H](CNc1c(F)cc([N+](=O)[O-])cc1F)OC ZINC000290598473 381496159 /nfs/dbraw/zinc/49/61/59/381496159.db2.gz DPBRIHYDZRBEPT-QMMMGPOBSA-N 0 0 260.240 2.710 20 5 CFBDRN CCCn1c(C)nnc1CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000359597841 381496492 /nfs/dbraw/zinc/49/64/92/381496492.db2.gz LCUUEDCUVYWWKG-UHFFFAOYSA-N 0 0 293.302 2.656 20 5 CFBDRN Cc1nc(N[C@H]2CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000296662446 381496664 /nfs/dbraw/zinc/49/66/64/381496664.db2.gz YNTDPTIGJOKIGX-LBPRGKRZSA-N 0 0 270.292 2.793 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(-c2nc(CC3(C)CCCC3)no2)n1 ZINC000289004365 197211293 /nfs/dbraw/zinc/21/12/93/197211293.db2.gz DNKRKOUVMUZGDF-UHFFFAOYSA-N 0 0 291.311 2.501 20 5 CFBDRN CC/C=C/CCN1C(=O)c2cccc([N+](=O)[O-])c2C1=O ZINC000185124960 381541135 /nfs/dbraw/zinc/54/11/35/381541135.db2.gz BBTNBTBXSAVJGC-ONEGZZNKSA-N 0 0 274.276 2.547 20 5 CFBDRN COC(=O)C(C)(C)CCNc1ccc([N+](=O)[O-])cc1 ZINC000286696817 196681156 /nfs/dbraw/zinc/68/11/56/196681156.db2.gz YAXJGIHBUYJPBG-UHFFFAOYSA-N 0 0 266.297 2.596 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])n2C)c(C)c1O ZINC000348394299 381548192 /nfs/dbraw/zinc/54/81/92/381548192.db2.gz GJZCOQKQWJLRTK-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN COc1cccc(N[C@@H](C)c2cnn(C)c2C)c1[N+](=O)[O-] ZINC000185170292 381552808 /nfs/dbraw/zinc/55/28/08/381552808.db2.gz HSPYTNZNVBFHHW-VIFPVBQESA-N 0 0 290.323 2.818 20 5 CFBDRN CC1(C)CC[C@H](Oc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000412987061 381522467 /nfs/dbraw/zinc/52/24/67/381522467.db2.gz AHYRCBFAZCYUQQ-NSHDSACASA-N 0 0 267.256 2.870 20 5 CFBDRN Cc1cc(CNc2c(C)c([N+](=O)[O-])ccc2F)on1 ZINC000290719319 381530797 /nfs/dbraw/zinc/53/07/97/381530797.db2.gz YQCQLWCSNVICPW-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN O=C([C@H]1CC1(F)F)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000297963976 381575570 /nfs/dbraw/zinc/57/55/70/381575570.db2.gz WYGKLFTTZRXYJT-SECBINFHSA-N 0 0 282.246 2.529 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC1(F)F ZINC000297933602 381575798 /nfs/dbraw/zinc/57/57/98/381575798.db2.gz YQNLPKZNRFBDGX-SECBINFHSA-N 0 0 270.235 2.751 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000297934691 381575974 /nfs/dbraw/zinc/57/59/74/381575974.db2.gz VEJMWQXUAHCCHL-ZIAGYGMSSA-N 0 0 292.335 2.763 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(C)o2)c1 ZINC000348477135 381583935 /nfs/dbraw/zinc/58/39/35/381583935.db2.gz PXQFXAIMGQXWJL-UHFFFAOYSA-N 0 0 293.304 2.865 20 5 CFBDRN COc1cc(N[C@@H](CO)C2CCCC2)ccc1[N+](=O)[O-] ZINC000290877110 381582615 /nfs/dbraw/zinc/58/26/15/381582615.db2.gz RYWIREFRQVBESB-LBPRGKRZSA-N 0 0 280.324 2.566 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCO[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000371136878 381554404 /nfs/dbraw/zinc/55/44/04/381554404.db2.gz QCVXWVYFXXBOCR-UKRRQHHQSA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1nc(C2CC2)no1 ZINC000185184362 381555370 /nfs/dbraw/zinc/55/53/70/381555370.db2.gz MMJNARHCDYRVSS-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN CC[C@H](CNc1ccc(C(C)=O)cc1[N+](=O)[O-])OC ZINC000290804838 381556730 /nfs/dbraw/zinc/55/67/30/381556730.db2.gz ZANJHZIOZLRRHY-LLVKDONJSA-N 0 0 266.297 2.634 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000342809303 381557217 /nfs/dbraw/zinc/55/72/17/381557217.db2.gz SYRUBPUCHIMPQN-NSHDSACASA-N 0 0 286.335 2.852 20 5 CFBDRN CCO[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000348417810 381561145 /nfs/dbraw/zinc/56/11/45/381561145.db2.gz FMEVRTNCJCQWTD-CYBMUJFWSA-N 0 0 280.324 2.985 20 5 CFBDRN C[C@@]1(O)CCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 ZINC000342823937 381569429 /nfs/dbraw/zinc/56/94/29/381569429.db2.gz JZKMGTXBSYRUEB-LLVKDONJSA-N 0 0 291.134 2.863 20 5 CFBDRN CCc1ccccc1CCn1c(C)c([N+](=O)[O-])ccc1=O ZINC000298375822 381598850 /nfs/dbraw/zinc/59/88/50/381598850.db2.gz UUABQQDSYLRAFI-UHFFFAOYSA-N 0 0 286.331 2.870 20 5 CFBDRN Cc1noc(OCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000359765712 381603097 /nfs/dbraw/zinc/60/30/97/381603097.db2.gz OHEKJLPZZOQUJP-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN CCOCC(C)(C)CNc1ncc(C)cc1[N+](=O)[O-] ZINC000289137343 197244046 /nfs/dbraw/zinc/24/40/46/197244046.db2.gz RWUSSFGSMWAPMQ-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCCC2(CCC2)C1 ZINC000359739396 381589706 /nfs/dbraw/zinc/58/97/06/381589706.db2.gz KYAZTSGOSVPAPP-UHFFFAOYSA-N 0 0 278.356 2.890 20 5 CFBDRN CC[C@H](C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342868140 381595149 /nfs/dbraw/zinc/59/51/49/381595149.db2.gz JTPAISVHVFEPAV-QMMMGPOBSA-N 0 0 261.281 2.604 20 5 CFBDRN O=C(NCC1CCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342871465 381597049 /nfs/dbraw/zinc/59/70/49/381597049.db2.gz GTMZWWSLBKORHS-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN Cc1cc(N[C@H](C)C(=O)NC(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000290920433 381597435 /nfs/dbraw/zinc/59/74/35/381597435.db2.gz HMEFZHPADOHDIN-SECBINFHSA-N 0 0 297.330 2.757 20 5 CFBDRN CCCCn1nccc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000298411156 381613837 /nfs/dbraw/zinc/61/38/37/381613837.db2.gz NTTLBVGZHNFREC-UHFFFAOYSA-N 0 0 294.336 2.905 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])cc1F)C[C@H](C)OC ZINC000291007842 381629015 /nfs/dbraw/zinc/62/90/15/381629015.db2.gz AKZFAJCWQFBVPX-JTQLQIEISA-N 0 0 270.304 2.591 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000185418320 381626462 /nfs/dbraw/zinc/62/64/62/381626462.db2.gz UMJWJXUCPOYXIZ-IUCAKERBSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1cc(NCCCn2cccn2)c(F)cc1[N+](=O)[O-] ZINC000291024481 381636108 /nfs/dbraw/zinc/63/61/08/381636108.db2.gz WLPOWBCRAPZMAU-UHFFFAOYSA-N 0 0 278.287 2.741 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@@H]1c1cccnc1 ZINC000371476317 381638007 /nfs/dbraw/zinc/63/80/07/381638007.db2.gz YGPFCLQVOQBEGO-ZIAGYGMSSA-N 0 0 284.271 2.699 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000342942888 381630407 /nfs/dbraw/zinc/63/04/07/381630407.db2.gz CXAVEJWKDVBQDY-MKPLZMMCSA-N 0 0 266.272 2.713 20 5 CFBDRN O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CC2CCC1CC2 ZINC000371447174 381630717 /nfs/dbraw/zinc/63/07/17/381630717.db2.gz JLLWFBFGYPREHI-UHFFFAOYSA-N 0 0 296.273 2.888 20 5 CFBDRN CSC[C@@H]1CCCN1c1ccccc1[N+](=O)[O-] ZINC000133326282 295615258 /nfs/dbraw/zinc/61/52/58/295615258.db2.gz KPSBLTJOOUICQP-JTQLQIEISA-N 0 0 252.339 2.927 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(C(F)F)CC2)n1 ZINC000359847972 381640112 /nfs/dbraw/zinc/64/01/12/381640112.db2.gz QPYUBSYVXMARJH-UHFFFAOYSA-N 0 0 257.240 2.755 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000342990515 381641332 /nfs/dbraw/zinc/64/13/32/381641332.db2.gz DMAIVQUDYHHKKJ-WPRPVWTQSA-N 0 0 293.323 2.685 20 5 CFBDRN COc1cccc(NCC(C)(C)C[C@H](C)O)c1[N+](=O)[O-] ZINC000185475279 381643833 /nfs/dbraw/zinc/64/38/33/381643833.db2.gz DNELEFDCMYLTMI-JTQLQIEISA-N 0 0 282.340 2.812 20 5 CFBDRN CC(C)(CCc1noc(-c2cccc(F)c2)n1)[N+](=O)[O-] ZINC000291073747 381657765 /nfs/dbraw/zinc/65/77/65/381657765.db2.gz MFEBLACVTFCKRO-UHFFFAOYSA-N 0 0 279.271 2.864 20 5 CFBDRN COC(=O)[C@@H]1CCCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000371576358 381660294 /nfs/dbraw/zinc/66/02/94/381660294.db2.gz WDRFSDOFFYLGTM-NSHDSACASA-N 0 0 298.364 2.574 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCCCC[C@H]1CCO ZINC000343061722 381661989 /nfs/dbraw/zinc/66/19/89/381661989.db2.gz LYPMDGIGDWFMLG-LBPRGKRZSA-N 0 0 264.325 2.726 20 5 CFBDRN CC(C)c1cc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)no1 ZINC000291111465 381671795 /nfs/dbraw/zinc/67/17/95/381671795.db2.gz SSRWKYCNXWKJGU-UHFFFAOYSA-N 0 0 294.311 2.836 20 5 CFBDRN CCC[C@@H](CCO)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000185500314 381651228 /nfs/dbraw/zinc/65/12/28/381651228.db2.gz LEAXFJZBIHCVQQ-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN Cc1nc([C@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000348773865 381708107 /nfs/dbraw/zinc/70/81/07/381708107.db2.gz JGIGZPNOYFLZJC-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN CC(C)(CCc1noc(-c2ccc(F)cc2)n1)[N+](=O)[O-] ZINC000291134298 381678587 /nfs/dbraw/zinc/67/85/87/381678587.db2.gz WXKUAUOXBXQOFY-UHFFFAOYSA-N 0 0 279.271 2.864 20 5 CFBDRN C[C@H](NC(=O)NC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000298696412 381716313 /nfs/dbraw/zinc/71/63/13/381716313.db2.gz CRGSTICXVDJNSQ-VIFPVBQESA-N 0 0 265.313 2.754 20 5 CFBDRN Cc1c(CC(=O)N2CCC[C@@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000343395486 381684779 /nfs/dbraw/zinc/68/47/79/381684779.db2.gz HZJOVHUFKNMNFA-GFCCVEGCSA-N 0 0 298.289 2.702 20 5 CFBDRN COc1ccccc1CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000348720391 381685864 /nfs/dbraw/zinc/68/58/64/381685864.db2.gz BLCMOVCQWGWEOC-UHFFFAOYSA-N 0 0 291.282 2.937 20 5 CFBDRN COc1cccc(N(C)CCc2nccs2)c1[N+](=O)[O-] ZINC000185625261 381686223 /nfs/dbraw/zinc/68/62/23/381686223.db2.gz YERNJLCRKUKDNJ-UHFFFAOYSA-N 0 0 293.348 2.739 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCC[C@H](CO)C2)c(Cl)c1 ZINC000298600874 381686351 /nfs/dbraw/zinc/68/63/51/381686351.db2.gz NCAIUYSWILIPPX-DTWKUNHWSA-N 0 0 285.731 2.606 20 5 CFBDRN CC(=O)N1CCC[C@H](CNc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000359938582 381690865 /nfs/dbraw/zinc/69/08/65/381690865.db2.gz YUNZJVPKMDVPIX-CYBMUJFWSA-N 0 0 291.351 2.574 20 5 CFBDRN COC1CCC(C)(CNC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000348750819 381694396 /nfs/dbraw/zinc/69/43/96/381694396.db2.gz ZNKMOASXTPYUNP-UHFFFAOYSA-N 0 0 296.323 2.513 20 5 CFBDRN CCOc1cc(N(CC)[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000359968643 381703943 /nfs/dbraw/zinc/70/39/43/381703943.db2.gz QRRQETQFPPLKTO-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN O=C(NCC1(C(F)F)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000348772905 381705921 /nfs/dbraw/zinc/70/59/21/381705921.db2.gz WCBVZFGLPUHWGP-UHFFFAOYSA-N 0 0 288.225 2.509 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1C[C@@]12CCOC2 ZINC000372015945 381735904 /nfs/dbraw/zinc/73/59/04/381735904.db2.gz PEDRXZPFSFLYBB-TVQRCGJNSA-N 0 0 296.710 2.613 20 5 CFBDRN Cc1c(CNC(=O)C2(CCF)CC2)cccc1[N+](=O)[O-] ZINC000355302209 381738274 /nfs/dbraw/zinc/73/82/74/381738274.db2.gz NZNZOQAIJAKZRO-UHFFFAOYSA-N 0 0 280.299 2.659 20 5 CFBDRN CCCN(C(=O)[C@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000298763890 381740466 /nfs/dbraw/zinc/74/04/66/381740466.db2.gz VLDVTHALLZPLHZ-LLVKDONJSA-N 0 0 284.262 2.993 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2cc(C)c([N+](=O)[O-])cc2F)[C@@H]1OC ZINC000360044920 381746992 /nfs/dbraw/zinc/74/69/92/381746992.db2.gz AUWBMGBLXHFHHW-YUTCNCBUSA-N 0 0 298.314 2.647 20 5 CFBDRN COc1ccc(COc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000348813929 381721932 /nfs/dbraw/zinc/72/19/32/381721932.db2.gz JIRBKPYUTGWUIZ-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CO[C@@](C)(CNc1ncc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000292242298 381797013 /nfs/dbraw/zinc/79/70/13/381797013.db2.gz GNSIFJAZEPOAFJ-LBPRGKRZSA-N 0 0 285.731 2.870 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@H]3CCCC[C@H]32)cs1 ZINC000298842678 381763535 /nfs/dbraw/zinc/76/35/35/381763535.db2.gz BMEJWEAHYNHPNH-NEPJUHHUSA-N 0 0 282.365 2.800 20 5 CFBDRN CCOC1(C)CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000291668106 381768101 /nfs/dbraw/zinc/76/81/01/381768101.db2.gz DFYCPFFPDUIZIZ-UHFFFAOYSA-N 0 0 264.325 2.990 20 5 CFBDRN C=Cn1cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])cn1 ZINC000291695224 381770230 /nfs/dbraw/zinc/77/02/30/381770230.db2.gz JMDYGUGALWHICF-UHFFFAOYSA-N 0 0 286.335 2.917 20 5 CFBDRN CSc1cccc(C(=O)NCc2ccco2)c1[N+](=O)[O-] ZINC000185920131 381778070 /nfs/dbraw/zinc/77/80/70/381778070.db2.gz LXZPSHOWOQPFQS-UHFFFAOYSA-N 0 0 292.316 2.840 20 5 CFBDRN CO[C@@H](CNc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000292761557 381823326 /nfs/dbraw/zinc/82/33/26/381823326.db2.gz KKQVQHUTKUPPNA-NSHDSACASA-N 0 0 254.261 2.571 20 5 CFBDRN CC[C@@H](C)N(CC(=O)OC)Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000343596837 381825700 /nfs/dbraw/zinc/82/57/00/381825700.db2.gz DKGKXCXYBIDYGE-GFCCVEGCSA-N 0 0 294.351 2.677 20 5 CFBDRN Cc1ccnc(NC[C@]2(O)CCCC2(C)C)c1[N+](=O)[O-] ZINC000292807506 381843685 /nfs/dbraw/zinc/84/36/85/381843685.db2.gz NTNBFFPSEZJUHX-CQSZACIVSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1cc(Nc2c([N+](=O)[O-])ncn2C)ccc1F ZINC000343581924 381813369 /nfs/dbraw/zinc/81/33/69/381813369.db2.gz NKVJGSICGYKHLU-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H](C)C1CC1 ZINC000299278383 381880634 /nfs/dbraw/zinc/88/06/34/381880634.db2.gz ATTGDJXYHGRKHY-SNVBAGLBSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccoc1CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000343620464 381845879 /nfs/dbraw/zinc/84/58/79/381845879.db2.gz YRPKRNVJFFRFER-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN COc1cc(NCc2cnn3ccccc23)ccc1[N+](=O)[O-] ZINC000343631103 381855711 /nfs/dbraw/zinc/85/57/11/381855711.db2.gz MVXKWCWQADDQER-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccon1 ZINC000349237086 381857604 /nfs/dbraw/zinc/85/76/04/381857604.db2.gz IDXATWAEKJGERJ-NXEZZACHSA-N 0 0 261.281 2.995 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H]2CCCCC[C@H]21 ZINC000372738949 381859207 /nfs/dbraw/zinc/85/92/07/381859207.db2.gz KMLQFOXCBSTCHG-QMTHXVAHSA-N 0 0 289.335 2.582 20 5 CFBDRN C[C@@H](F)CCNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000356713655 381863219 /nfs/dbraw/zinc/86/32/19/381863219.db2.gz XCNBAKFFDAZQHD-SNVBAGLBSA-N 0 0 282.315 2.737 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000356828553 381868693 /nfs/dbraw/zinc/86/86/93/381868693.db2.gz UHAFOTUOJXKIAW-RYUDHWBXSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1cc(NC[C@@]2(O)CCCC2(C)C)ncc1[N+](=O)[O-] ZINC000292907766 381897729 /nfs/dbraw/zinc/89/77/29/381897729.db2.gz XDQJLGYMSCTPOU-AWEZNQCLSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1(C)CCCC1 ZINC000299336869 381901758 /nfs/dbraw/zinc/90/17/58/381901758.db2.gz IZOJHIHBGSBKCV-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CC12CC2 ZINC000416735011 381910593 /nfs/dbraw/zinc/91/05/93/381910593.db2.gz RBPVVLPDYDPNGS-SNVBAGLBSA-N 0 0 275.308 2.825 20 5 CFBDRN Cc1noc([C@@H](C)NC/C=C\c2ccccc2[N+](=O)[O-])n1 ZINC000356933492 381911605 /nfs/dbraw/zinc/91/16/05/381911605.db2.gz ZZLBDIJFHOUMCZ-ONRRBMGISA-N 0 0 288.307 2.650 20 5 CFBDRN Cc1noc(C)c1C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000186440489 381911893 /nfs/dbraw/zinc/91/18/93/381911893.db2.gz FQXICBSTROIEFO-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN Cc1c(C(=O)N(C)CCc2ccncc2)cccc1[N+](=O)[O-] ZINC000299373147 381914544 /nfs/dbraw/zinc/91/45/44/381914544.db2.gz VBXOYJADAABFLD-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CCC[C@H](CC)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000299412664 381930668 /nfs/dbraw/zinc/93/06/68/381930668.db2.gz DAVVRKOIQUALEK-JTQLQIEISA-N 0 0 298.314 2.808 20 5 CFBDRN C/C=C\C[C@H](CO)[NH2+][C@@H](CC)c1cccc([N+](=O)[O-])c1 ZINC000349383533 381919884 /nfs/dbraw/zinc/91/98/84/381919884.db2.gz VFERCPILQUENJU-QLGVYERUSA-N 0 0 278.352 2.963 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@@H](c2ccccc2)C1 ZINC000299385555 381919962 /nfs/dbraw/zinc/91/99/62/381919962.db2.gz PFIHDUONACZRKF-GFCCVEGCSA-N 0 0 285.303 2.553 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1nnc(C2CC2)n1C ZINC000349406243 381923673 /nfs/dbraw/zinc/92/36/73/381923673.db2.gz BYYRHEVRMRCBNB-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1nccc(Oc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000186356861 381888600 /nfs/dbraw/zinc/88/86/00/381888600.db2.gz INKCSRUJXZSLEN-UHFFFAOYSA-N 0 0 263.228 2.933 20 5 CFBDRN CSCCCCCNc1c([N+](=O)[O-])c(C)nn1C ZINC000186359809 381891170 /nfs/dbraw/zinc/89/11/70/381891170.db2.gz ITYGCPUOJOEZFM-UHFFFAOYSA-N 0 0 272.374 2.582 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2C[C@H]2C(F)F)c([N+](=O)[O-])c1 ZINC000343816815 381960538 /nfs/dbraw/zinc/96/05/38/381960538.db2.gz UHAKXUYTCGJXES-SCZZXKLOSA-N 0 0 270.235 2.863 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCCCCF ZINC000362218006 381963678 /nfs/dbraw/zinc/96/36/78/381963678.db2.gz WWSKRHNQUJASLI-UHFFFAOYSA-N 0 0 288.706 2.657 20 5 CFBDRN CC/C=C\CCn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000362226304 381965299 /nfs/dbraw/zinc/96/52/99/381965299.db2.gz CAYAGQTZGMOPNB-ARJAWSKDSA-N 0 0 273.292 2.661 20 5 CFBDRN CC/C=C\CCN1C(=O)c2ccc([N+](=O)[O-])cc2C1=O ZINC000362227010 381966058 /nfs/dbraw/zinc/96/60/58/381966058.db2.gz QLYZKEPSCZSUFX-ARJAWSKDSA-N 0 0 274.276 2.547 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000299510119 381967021 /nfs/dbraw/zinc/96/70/21/381967021.db2.gz GCBJCIUMXTUZKQ-GFCCVEGCSA-N 0 0 273.292 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2CF)c(F)c1 ZINC000293054323 381969303 /nfs/dbraw/zinc/96/93/03/381969303.db2.gz NEBOPXNIWYYTLW-NSHDSACASA-N 0 0 256.252 2.668 20 5 CFBDRN C[C@H](N[C@@H](CCO)c1ccco1)c1ccc([N+](=O)[O-])cc1 ZINC000186530983 381937450 /nfs/dbraw/zinc/93/74/50/381937450.db2.gz YPUIBPSPDOOGGM-FZMZJTMJSA-N 0 0 290.319 2.962 20 5 CFBDRN CC(C)NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000292995895 381941250 /nfs/dbraw/zinc/94/12/50/381941250.db2.gz SMXZPJYWGKDNRP-UHFFFAOYSA-N 0 0 265.313 2.538 20 5 CFBDRN CCn1cnc2c1ncnc2Oc1cc(C)ccc1[N+](=O)[O-] ZINC000356985095 381943146 /nfs/dbraw/zinc/94/31/46/381943146.db2.gz PIEMJQZZWZJHIS-UHFFFAOYSA-N 0 0 299.290 2.855 20 5 CFBDRN COC[C@H]1CCN1c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000293000274 381943331 /nfs/dbraw/zinc/94/33/31/381943331.db2.gz JEKAKIINXFGHHK-SECBINFHSA-N 0 0 290.241 2.839 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000343789928 381945350 /nfs/dbraw/zinc/94/53/50/381945350.db2.gz BZYUCUCOLHCJHW-JTQLQIEISA-N 0 0 289.339 2.510 20 5 CFBDRN CCCN(CC(F)F)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000299464249 381952291 /nfs/dbraw/zinc/95/22/91/381952291.db2.gz DOAAHZXAIVMYGS-UHFFFAOYSA-N 0 0 272.251 2.712 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3C[C@@H]3C(F)F)cc2N1 ZINC000343806246 381953667 /nfs/dbraw/zinc/95/36/67/381953667.db2.gz KLRGYZUZEMSZRW-IONNQARKSA-N 0 0 297.261 2.545 20 5 CFBDRN Cc1c(F)c(F)ccc1NCc1c([N+](=O)[O-])ncn1C ZINC000417069152 381996499 /nfs/dbraw/zinc/99/64/99/381996499.db2.gz FLSPOTQGHSZUAX-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@]1(O)CCSC1 ZINC000293128235 381999167 /nfs/dbraw/zinc/99/91/67/381999167.db2.gz QKQMDYJWEJOICV-NSHDSACASA-N 0 0 288.756 2.528 20 5 CFBDRN Cn1c(-c2nc([C@@H]3CCCS3)no2)ccc1[N+](=O)[O-] ZINC000357088766 382003036 /nfs/dbraw/zinc/00/30/36/382003036.db2.gz OXNJTCAJHOQUJX-QMMMGPOBSA-N 0 0 280.309 2.551 20 5 CFBDRN Cc1nn(Cc2ccc(Cl)cc2F)cc1[N+](=O)[O-] ZINC000186781608 382012504 /nfs/dbraw/zinc/01/25/04/382012504.db2.gz STMCKLMWRGODQN-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSc1ncccn1 ZINC000362338955 382014283 /nfs/dbraw/zinc/01/42/83/382014283.db2.gz HPYRALMIMIEMDW-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN CCOc1cccc(NCCc2cc(C)no2)c1[N+](=O)[O-] ZINC000343908974 382014880 /nfs/dbraw/zinc/01/48/80/382014880.db2.gz AXXANYUXIVJGQM-UHFFFAOYSA-N 0 0 291.307 2.945 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSc1cccnn1 ZINC000362340179 382015099 /nfs/dbraw/zinc/01/50/99/382015099.db2.gz QIEQCMKFPSBVIT-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ncc[nH]3)CC2)c(F)c1 ZINC000299592874 381994773 /nfs/dbraw/zinc/99/47/73/381994773.db2.gz WOFIMPWZBUAZIV-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCC(C)C ZINC000299592245 381994978 /nfs/dbraw/zinc/99/49/78/381994978.db2.gz FYPXCWVUIUSSCI-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000362408471 382054823 /nfs/dbraw/zinc/05/48/23/382054823.db2.gz ABGILVPOFYGQLR-GDGBQDQQSA-N 0 0 279.271 2.654 20 5 CFBDRN NC(=O)c1ccnc(SCC2CCCCC2)c1[N+](=O)[O-] ZINC000357215526 382061293 /nfs/dbraw/zinc/06/12/93/382061293.db2.gz DCMKIOABVFPKLV-UHFFFAOYSA-N 0 0 295.364 2.761 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1C[C@H]2CC[C@@H](C1)O2 ZINC000374913429 382061927 /nfs/dbraw/zinc/06/19/27/382061927.db2.gz LJJKNTFVSQWESA-BNOWGMLFSA-N 0 0 276.336 2.827 20 5 CFBDRN CCn1cc(CNc2c(F)cc([N+](=O)[O-])cc2F)cn1 ZINC000343916649 382018765 /nfs/dbraw/zinc/01/87/65/382018765.db2.gz HLGVXDWFYXVFHA-UHFFFAOYSA-N 0 0 282.250 2.702 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1cncs1 ZINC000362346826 382019468 /nfs/dbraw/zinc/01/94/68/382019468.db2.gz YNRFENCRWJCDHD-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN Cc1nn(C)c(C)c1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000299668628 382021536 /nfs/dbraw/zinc/02/15/36/382021536.db2.gz SBPLOKPYNHUECN-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1ccc(N[C@@H]2CCO[C@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000362361863 382025283 /nfs/dbraw/zinc/02/52/83/382025283.db2.gz SCFXMEDOTILXBZ-IUODEOHRSA-N 0 0 292.335 2.653 20 5 CFBDRN Cc1ccc(N[C@H]2CCO[C@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000362361864 382026057 /nfs/dbraw/zinc/02/60/57/382026057.db2.gz SCFXMEDOTILXBZ-SWLSCSKDSA-N 0 0 292.335 2.653 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1C[C@H]1C1CC1 ZINC000362365689 382027281 /nfs/dbraw/zinc/02/72/81/382027281.db2.gz PODFFLDCPHTAES-DTWKUNHWSA-N 0 0 253.689 2.854 20 5 CFBDRN CCOc1ccc(C(=O)NC2(C(C)C)CC2)cc1[N+](=O)[O-] ZINC000357153031 382030361 /nfs/dbraw/zinc/03/03/61/382030361.db2.gz FPIMNYZQJHVKIE-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1F ZINC000301220192 382109180 /nfs/dbraw/zinc/10/91/80/382109180.db2.gz ATUAYSJACUNEBP-UFBFGSQYSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@H]1CC[C@H](c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)C1 ZINC000357330264 382112909 /nfs/dbraw/zinc/11/29/09/382112909.db2.gz GIPXVACAYMBUQH-UWVGGRQHSA-N 0 0 288.307 2.867 20 5 CFBDRN CC[C@]1(C)C[C@@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357332888 382113631 /nfs/dbraw/zinc/11/36/31/382113631.db2.gz MULYZLQDQUQRDX-BXUZGUMPSA-N 0 0 288.307 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@H](C3CC3)C2)c(Cl)c1 ZINC000362527363 382128390 /nfs/dbraw/zinc/12/83/90/382128390.db2.gz AHDVYGYWROGYPL-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc3nccnc3n2)cc1 ZINC000301264141 382128466 /nfs/dbraw/zinc/12/84/66/382128466.db2.gz PDACLYYFFJUHRZ-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN CCCC1(c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)CC1 ZINC000357336007 382115723 /nfs/dbraw/zinc/11/57/23/382115723.db2.gz ZGXBFCHVWBIOAD-UHFFFAOYSA-N 0 0 288.307 2.795 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CC[C@H]1CCCO1 ZINC000362517207 382117585 /nfs/dbraw/zinc/11/75/85/382117585.db2.gz XHPGLFJUKSRQEN-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN Cn1cc(CCNc2ccc([N+](=O)[O-])c3cccnc23)cn1 ZINC000301242641 382119007 /nfs/dbraw/zinc/11/90/07/382119007.db2.gz OWZVMQVMDHZUOY-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301246643 382120112 /nfs/dbraw/zinc/12/01/12/382120112.db2.gz QEQCEFCAFHOVFO-TVQRCGJNSA-N 0 0 297.311 2.712 20 5 CFBDRN CCOc1ncccc1CNc1nccc(C)c1[N+](=O)[O-] ZINC000301258401 382126282 /nfs/dbraw/zinc/12/62/82/382126282.db2.gz DYCMMXHPPJJLSD-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1nn(C)c(NCc2cccc3cccnc32)c1[N+](=O)[O-] ZINC000301099866 382081643 /nfs/dbraw/zinc/08/16/43/382081643.db2.gz NTODNYNOLRLQMB-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CCO1 ZINC000301102548 382083199 /nfs/dbraw/zinc/08/31/99/382083199.db2.gz OZPSEJRYNOQLCP-MRVPVSSYSA-N 0 0 290.241 2.839 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ccc(F)cc1[N+](=O)[O-] ZINC000301113358 382084876 /nfs/dbraw/zinc/08/48/76/382084876.db2.gz LFVMRVMBWWWNBE-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(n3cccn3)CC2)c(F)c1 ZINC000301115348 382085110 /nfs/dbraw/zinc/08/51/10/382085110.db2.gz UGXDCTVTKNQOQC-UHFFFAOYSA-N 0 0 290.298 2.772 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCc1nnc2ccccn21 ZINC000301135565 382089891 /nfs/dbraw/zinc/08/98/91/382089891.db2.gz ZVZGPZYJNJURPD-UHFFFAOYSA-N 0 0 297.318 2.682 20 5 CFBDRN CCC[C@@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000362482182 382094553 /nfs/dbraw/zinc/09/45/53/382094553.db2.gz BRFXGAHNAFTZRX-HNNXBMFYSA-N 0 0 293.367 2.976 20 5 CFBDRN Cc1c(Cc2noc([C@@H]3CCCO3)n2)cccc1[N+](=O)[O-] ZINC000357302613 382096175 /nfs/dbraw/zinc/09/61/75/382096175.db2.gz NSTRITBLNACEHF-LBPRGKRZSA-N 0 0 289.291 2.729 20 5 CFBDRN Cc1ccccc1CSc1ncc([N+](=O)[O-])c(N)n1 ZINC000301169188 382096556 /nfs/dbraw/zinc/09/65/56/382096556.db2.gz SXCHAIJNIUQEHR-UHFFFAOYSA-N 0 0 276.321 2.568 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000187404954 382174879 /nfs/dbraw/zinc/17/48/79/382174879.db2.gz KBINETRDAJRCHW-PWSUYJOCSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC2CCC1CC2 ZINC000362622669 382175595 /nfs/dbraw/zinc/17/55/95/382175595.db2.gz OPGFOXBMHSEKSL-UHFFFAOYSA-N 0 0 278.283 2.749 20 5 CFBDRN CNc1c(C(=O)NCCC2(C)CC2)cccc1[N+](=O)[O-] ZINC000352112873 382176878 /nfs/dbraw/zinc/17/68/78/382176878.db2.gz WZGJOEFYMZNSQI-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN COc1cccc([C@@H](O)CNc2ccc([N+](=O)[O-])cc2)c1 ZINC000301267561 382129938 /nfs/dbraw/zinc/12/99/38/382129938.db2.gz PXCMDBXXHBCWEV-HNNXBMFYSA-N 0 0 288.303 2.749 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1cccc(-c2nc[nH]n2)c1 ZINC000301286934 382137104 /nfs/dbraw/zinc/13/71/04/382137104.db2.gz LZUZAPHGJNWSCN-UHFFFAOYSA-N 0 0 295.302 2.992 20 5 CFBDRN Cc1ccc(-c2nc(-c3ccon3)no2)cc1[N+](=O)[O-] ZINC000357373254 382138581 /nfs/dbraw/zinc/13/85/81/382138581.db2.gz QQOKFZHKNGNZQM-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@]2(C1)CCCOC2 ZINC000376481610 382138623 /nfs/dbraw/zinc/13/86/23/382138623.db2.gz XFUYMUUDMLIQOF-AWEZNQCLSA-N 0 0 262.309 2.602 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@H](C)O1 ZINC000301289235 382138913 /nfs/dbraw/zinc/13/89/13/382138913.db2.gz WAVDGAMKQZDPKS-AAEUAGOBSA-N 0 0 264.325 2.907 20 5 CFBDRN CCn1cnnc1CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000301305412 382143885 /nfs/dbraw/zinc/14/38/85/382143885.db2.gz XYXSGYSGVBGMCW-UHFFFAOYSA-N 0 0 295.730 2.514 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2cnn(CC(F)(F)F)c2)nc1 ZINC000357384748 382144892 /nfs/dbraw/zinc/14/48/92/382144892.db2.gz UBRZMFRFOIJVTC-UPHRSURJSA-N 0 0 298.224 2.919 20 5 CFBDRN Cc1cccc([C@@H](C)Nc2ncc([N+](=O)[O-])c(N)n2)c1C ZINC000301310456 382145174 /nfs/dbraw/zinc/14/51/74/382145174.db2.gz ZPESKCKYVOAKGB-SNVBAGLBSA-N 0 0 287.323 2.757 20 5 CFBDRN Cc1cc(Cc2noc(C3(C)CC3)n2)ccc1[N+](=O)[O-] ZINC000357385095 382145406 /nfs/dbraw/zinc/14/54/06/382145406.db2.gz IMMLKJUUIBSNFW-UHFFFAOYSA-N 0 0 273.292 2.929 20 5 CFBDRN Cc1c(Nc2ccc([N+](=O)[O-])cc2F)cnn1C ZINC000362561677 382145687 /nfs/dbraw/zinc/14/56/87/382145687.db2.gz SNWPFBUSXQRPSV-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN CN(Cc1c(F)cc([N+](=O)[O-])cc1F)CC(F)F ZINC000352050580 382150053 /nfs/dbraw/zinc/15/00/53/382150053.db2.gz SCGIHFBXXIPRRF-UHFFFAOYSA-N 0 0 266.194 2.570 20 5 CFBDRN CCC(C)(C)CC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000362568022 382150641 /nfs/dbraw/zinc/15/06/41/382150641.db2.gz PNZXWQFORKSGIU-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN Cn1ccc2ccc(NC(=O)c3ccc([N+](=O)[O-])[nH]3)cc21 ZINC000362568672 382150732 /nfs/dbraw/zinc/15/07/32/382150732.db2.gz IDCBRKWLXVPZOJ-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN O=C(Nc1cccc2ocnc21)c1ccc([N+](=O)[O-])cc1 ZINC000357403602 382154182 /nfs/dbraw/zinc/15/41/82/382154182.db2.gz CKXBAIRVOKYORW-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@@]2(C)CO)ccc1[N+](=O)[O-] ZINC000301370514 382161489 /nfs/dbraw/zinc/16/14/89/382161489.db2.gz SKIQWBCAWDZDJN-KBPBESRZSA-N 0 0 264.325 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cccc(O)c2)cc1 ZINC000301397335 382167405 /nfs/dbraw/zinc/16/74/05/382167405.db2.gz GZWJMZZVSXHIFR-UHFFFAOYSA-N 0 0 258.277 2.955 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000357429679 382168250 /nfs/dbraw/zinc/16/82/50/382168250.db2.gz ZDPKUXIJJMMIFA-VIFPVBQESA-N 0 0 253.302 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CC[C@@H]3CCOC3)c2)cc1 ZINC000418871418 382185385 /nfs/dbraw/zinc/18/53/85/382185385.db2.gz QSYSJLWVTLORFS-GFCCVEGCSA-N 0 0 287.319 2.885 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000187398658 382172354 /nfs/dbraw/zinc/17/23/54/382172354.db2.gz BIMPMVTXWMIQPC-RNCFNFMXSA-N 0 0 277.324 2.559 20 5 CFBDRN Cc1cncc(COc2nc(C)cc(C)c2[N+](=O)[O-])c1 ZINC000418942986 382197559 /nfs/dbraw/zinc/19/75/59/382197559.db2.gz YYDZAHZGWRMNPY-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC[C@@H]1C ZINC000301908687 382198288 /nfs/dbraw/zinc/19/82/88/382198288.db2.gz FDEYUVBNISKTEK-UWVGGRQHSA-N 0 0 279.296 2.985 20 5 CFBDRN CC[C@]1(CO)CCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000187538576 382205602 /nfs/dbraw/zinc/20/56/02/382205602.db2.gz ODZSDVYXKKVXBP-HNNXBMFYSA-N 0 0 296.342 2.718 20 5 CFBDRN CC(=O)CCCC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000187539048 382205760 /nfs/dbraw/zinc/20/57/60/382205760.db2.gz PJIJIMUKIUNMIW-UHFFFAOYSA-N 0 0 298.726 2.624 20 5 CFBDRN COC(=O)Cc1ccc(NC[C@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000418981887 382206049 /nfs/dbraw/zinc/20/60/49/382206049.db2.gz QWADKENYRGDJDN-LLVKDONJSA-N 0 0 290.319 2.522 20 5 CFBDRN CC(C)(C)CC(C)(C)NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000187550930 382207912 /nfs/dbraw/zinc/20/79/12/382207912.db2.gz SIDAYNRQXVVJHC-UHFFFAOYSA-N 0 0 295.339 2.640 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CC23CC3)c(Cl)c1 ZINC000418994394 382211225 /nfs/dbraw/zinc/21/12/25/382211225.db2.gz QHAGOZINQWEJKX-ZETCQYMHSA-N 0 0 253.689 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)c2ccsc2)cc1 ZINC000302299995 382237102 /nfs/dbraw/zinc/23/71/02/382237102.db2.gz YLKBGZYVPONZOT-GFCCVEGCSA-N 0 0 264.306 2.802 20 5 CFBDRN CC[C@@H](O)CCCNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000357536254 382213756 /nfs/dbraw/zinc/21/37/56/382213756.db2.gz UIUVUCDZGOVCPP-GFCCVEGCSA-N 0 0 280.324 2.760 20 5 CFBDRN Cc1ccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])c(=O)[nH]1 ZINC000362728102 382215220 /nfs/dbraw/zinc/21/52/20/382215220.db2.gz YEQZUODCOSWLGZ-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1ccc2nc(CNc3ncc(C)cc3[N+](=O)[O-])cn2c1 ZINC000357538654 382215930 /nfs/dbraw/zinc/21/59/30/382215930.db2.gz VHPKWRYJCLAFDF-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Oc2cccnc2F)c1 ZINC000302213776 382216276 /nfs/dbraw/zinc/21/62/76/382216276.db2.gz ZHOKRNXUMQEGLG-UHFFFAOYSA-N 0 0 292.222 2.708 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC2CCCCC2)nc1 ZINC000419021653 382219554 /nfs/dbraw/zinc/21/95/54/382219554.db2.gz KMUFTBWAWQRENN-GFCCVEGCSA-N 0 0 279.340 2.733 20 5 CFBDRN CC(C)(C)c1ncn(Cc2c(F)cccc2[N+](=O)[O-])n1 ZINC000419046747 382225104 /nfs/dbraw/zinc/22/51/04/382225104.db2.gz QTBUPPNFYCHKBG-UHFFFAOYSA-N 0 0 278.287 2.671 20 5 CFBDRN CCC[C@H]1CCCCN1c1ncc([N+](=O)[O-])cn1 ZINC000302234252 382225193 /nfs/dbraw/zinc/22/51/93/382225193.db2.gz UBCVTXDIGORSFW-JTQLQIEISA-N 0 0 250.302 2.544 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOc2ccccc2Cl)nc1 ZINC000302233652 382225377 /nfs/dbraw/zinc/22/53/77/382225377.db2.gz SZRAQOGWGPYXDY-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN COc1ccccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344242899 382225850 /nfs/dbraw/zinc/22/58/50/382225850.db2.gz PGRJWIVOIMHQTR-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000187625584 382229580 /nfs/dbraw/zinc/22/95/80/382229580.db2.gz AXEDVTOFSFLLHF-UHFFFAOYSA-N 0 0 294.351 2.772 20 5 CFBDRN COc1cccc(NCc2cn3ccccc3n2)c1[N+](=O)[O-] ZINC000357575390 382235123 /nfs/dbraw/zinc/23/51/23/382235123.db2.gz KGYOLIPJOWPDDN-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2Cc1cn(CC2CC2)nn1 ZINC000418887901 382187379 /nfs/dbraw/zinc/18/73/79/382187379.db2.gz FBGZBJKYXPMMQK-UHFFFAOYSA-N 0 0 297.318 2.599 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(CCC3CC3)no2)c1 ZINC000357677045 382275885 /nfs/dbraw/zinc/27/58/85/382275885.db2.gz VBHQQUOAVHQEPJ-UHFFFAOYSA-N 0 0 259.265 2.987 20 5 CFBDRN Cc1cc(N2CCO[C@@H](COC(C)C)C2)ccc1[N+](=O)[O-] ZINC000364201554 382281435 /nfs/dbraw/zinc/28/14/35/382281435.db2.gz CXDHKYBGECOPJE-CQSZACIVSA-N 0 0 294.351 2.533 20 5 CFBDRN Cc1cc(N[C@H](C)Cn2cncn2)c(Cl)cc1[N+](=O)[O-] ZINC000302655303 382283330 /nfs/dbraw/zinc/28/33/30/382283330.db2.gz KQFNSHRNZUACDH-SECBINFHSA-N 0 0 295.730 2.649 20 5 CFBDRN Cc1cn2c(n1)CC[C@@H](Nc1ccc([N+](=O)[O-])cc1C)C2 ZINC000302689309 382292272 /nfs/dbraw/zinc/29/22/72/382292272.db2.gz UVRWPDAQNVFQNX-GFCCVEGCSA-N 0 0 286.335 2.835 20 5 CFBDRN CC(C)OC(=O)[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1F ZINC000302684770 382292288 /nfs/dbraw/zinc/29/22/88/382292288.db2.gz AVDKCBSGAMBEBP-ZDUSSCGKSA-N 0 0 296.298 2.654 20 5 CFBDRN COC1(CNc2nc3ccccc3cc2[N+](=O)[O-])CCC1 ZINC000352356708 382286899 /nfs/dbraw/zinc/28/68/99/382286899.db2.gz NYJAJOUSBDBRMB-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN Cc1cnccc1CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352360699 382288160 /nfs/dbraw/zinc/28/81/60/382288160.db2.gz OJBQOSZCZBLDQX-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)CC[C@@H](C)O ZINC000302675767 382289523 /nfs/dbraw/zinc/28/95/23/382289523.db2.gz MRNNBMBWYPURAC-SECBINFHSA-N 0 0 272.732 2.764 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000187669171 382241002 /nfs/dbraw/zinc/24/10/02/382241002.db2.gz CLTGRAPJUMESEA-SNVBAGLBSA-N 0 0 278.312 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3CCCC[C@H]32)c([N+](=O)[O-])c1 ZINC000377350081 382255540 /nfs/dbraw/zinc/25/55/40/382255540.db2.gz IPXRBMDTKLUFFB-MWLCHTKSSA-N 0 0 277.280 2.882 20 5 CFBDRN COc1cccc(NC[C@H]2CCC(C)(C)O2)c1[N+](=O)[O-] ZINC000302491649 382258463 /nfs/dbraw/zinc/25/84/63/382258463.db2.gz ZVFKSNFQKBPVLV-SNVBAGLBSA-N 0 0 280.324 2.973 20 5 CFBDRN COc1cccc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c1[N+](=O)[O-] ZINC000302583361 382272494 /nfs/dbraw/zinc/27/24/94/382272494.db2.gz UNZNRFUFHVUPAZ-NDMJEZRESA-N 0 0 278.308 2.583 20 5 CFBDRN Cc1ccccc1[C@@H](Nc1ncc([N+](=O)[O-])c(N)n1)C1CC1 ZINC000352323525 382273040 /nfs/dbraw/zinc/27/30/40/382273040.db2.gz BUVBBZFOQPBAPL-ZDUSSCGKSA-N 0 0 299.334 2.669 20 5 CFBDRN Cc1ccccc1[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)C1CC1 ZINC000352323524 382273558 /nfs/dbraw/zinc/27/35/58/382273558.db2.gz BUVBBZFOQPBAPL-CYBMUJFWSA-N 0 0 299.334 2.669 20 5 CFBDRN COC[C@H]1CCCCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000364153227 382274585 /nfs/dbraw/zinc/27/45/85/382274585.db2.gz MJNDOKSMKJQBND-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1C[C@H]1C1CCCCC1 ZINC000357679422 382275338 /nfs/dbraw/zinc/27/53/38/382275338.db2.gz JBSXHBGIPNNCIQ-QWRGUYRKSA-N 0 0 264.329 2.709 20 5 CFBDRN COCC[C@@H]1CCCCN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000364157269 382275413 /nfs/dbraw/zinc/27/54/13/382275413.db2.gz YJNYTRYXTSUZQF-ZDUSSCGKSA-N 0 0 293.367 2.941 20 5 CFBDRN Cc1coc(Sc2nc3sccn3c2[N+](=O)[O-])n1 ZINC000302736143 382307516 /nfs/dbraw/zinc/30/75/16/382307516.db2.gz WUORUKWLSZJDMP-UHFFFAOYSA-N 0 0 282.306 2.752 20 5 CFBDRN COCCCC[N@H+](C)Cc1cccc([N+](=O)[O-])c1C ZINC000419382320 382307782 /nfs/dbraw/zinc/30/77/82/382307782.db2.gz JBTKHFABUCDHRL-UHFFFAOYSA-N 0 0 266.341 2.762 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000293868998 382314557 /nfs/dbraw/zinc/31/45/57/382314557.db2.gz CURXLNDMJWNZLJ-GFCCVEGCSA-N 0 0 287.319 2.560 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000302781089 382316662 /nfs/dbraw/zinc/31/66/62/382316662.db2.gz RSANIIWBCZZUER-BDAKNGLRSA-N 0 0 299.758 2.963 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)C2CC2)c2ccncc21 ZINC000357783496 382319045 /nfs/dbraw/zinc/31/90/45/382319045.db2.gz OYMOVQXGFCLXNP-NSHDSACASA-N 0 0 287.319 2.573 20 5 CFBDRN CC(C)[C@H](CNc1ccc([N+](=O)[O-])cn1)c1ccnn1C ZINC000302792831 382320518 /nfs/dbraw/zinc/32/05/18/382320518.db2.gz ZJCLLWJJYQLVPG-LBPRGKRZSA-N 0 0 289.339 2.575 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)CC1(CO)CC1 ZINC000302809055 382323077 /nfs/dbraw/zinc/32/30/77/382323077.db2.gz GNRFWBNXBGCEBV-UHFFFAOYSA-N 0 0 284.743 2.765 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@@H]1c2ccccc2C[C@H]1O ZINC000302822297 382326931 /nfs/dbraw/zinc/32/69/31/382326931.db2.gz SGEIPYASMXDROX-HUUCEWRRSA-N 0 0 288.278 2.804 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCCO[C@H](C(C)C)C1 ZINC000293902034 382329393 /nfs/dbraw/zinc/32/93/93/382329393.db2.gz CPVSXVVUMIETEH-ZDUSSCGKSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H]1CCOC1 ZINC000302828397 382329958 /nfs/dbraw/zinc/32/99/58/382329958.db2.gz OECDJKQFLSOUTM-MRVPVSSYSA-N 0 0 256.689 2.757 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)c1ccc2cc[nH]c2c1 ZINC000352440596 382334434 /nfs/dbraw/zinc/33/44/34/382334434.db2.gz XWVUSZDPUBBBFZ-UHFFFAOYSA-N 0 0 285.259 2.946 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])[C@H]1C ZINC000293917949 382336043 /nfs/dbraw/zinc/33/60/43/382336043.db2.gz CWKVBJHYPIZTMJ-SFYZADRCSA-N 0 0 268.700 2.729 20 5 CFBDRN COc1cccc(N2CC[C@](C)(F)C2)c1[N+](=O)[O-] ZINC000346243328 382337811 /nfs/dbraw/zinc/33/78/11/382337811.db2.gz HFYQTSHQVIWCDU-LBPRGKRZSA-N 0 0 254.261 2.542 20 5 CFBDRN Cc1cccc(OC[C@@H]2CC3(CCC3)C(=O)O2)c1[N+](=O)[O-] ZINC000188129684 382351164 /nfs/dbraw/zinc/35/11/64/382351164.db2.gz LKFCQZUYGXBNPJ-NSHDSACASA-N 0 0 291.303 2.768 20 5 CFBDRN CCc1cccnc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000346414851 382351744 /nfs/dbraw/zinc/35/17/44/382351744.db2.gz POLJWOBVHOBFEB-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC(c2cn[nH]c2)CC1 ZINC000377838467 382355076 /nfs/dbraw/zinc/35/50/76/382355076.db2.gz ZDQIPTHFJZZEAL-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000357867032 382356465 /nfs/dbraw/zinc/35/64/65/382356465.db2.gz NQXAVHCYGZLIOQ-SNVBAGLBSA-N 0 0 294.376 2.944 20 5 CFBDRN CC(C)C1(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)CC1 ZINC000293968789 382358786 /nfs/dbraw/zinc/35/87/86/382358786.db2.gz QLKOAMNCCGZWRM-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1C[C@]2(CCCO2)[C@H]1C1CC1 ZINC000293969998 382360087 /nfs/dbraw/zinc/36/00/87/382360087.db2.gz HRUIIWVFXVCCKB-HUUCEWRRSA-N 0 0 274.320 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCOC(C)(C)[C@@H]1C ZINC000357919772 382374869 /nfs/dbraw/zinc/37/48/69/382374869.db2.gz CTXZDLYEHOCWFK-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(N2CC[C@@](CO)(C(C)C)C2)ccc1[N+](=O)[O-] ZINC000377967639 382375990 /nfs/dbraw/zinc/37/59/90/382375990.db2.gz IGIHUAGADKDSBF-OAHLLOKOSA-N 0 0 278.352 2.748 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000357981916 382398021 /nfs/dbraw/zinc/39/80/21/382398021.db2.gz CDJHFBUZJSYGNW-VXGBXAGGSA-N 0 0 292.335 2.526 20 5 CFBDRN CCOCC(C)(C)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000294024266 382383622 /nfs/dbraw/zinc/38/36/22/382383622.db2.gz ZOBMBEAYILXIJG-JXMROGBWSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1cc(C[N@@H+]2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000352539899 382385998 /nfs/dbraw/zinc/38/59/98/382385998.db2.gz DTRYSSLTEJSWAO-JTQLQIEISA-N 0 0 250.298 2.588 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCCOC(C)(C)C)c1=O ZINC000352541972 382388067 /nfs/dbraw/zinc/38/80/67/382388067.db2.gz GQRRSKXRHQKLQA-UHFFFAOYSA-N 0 0 282.340 2.660 20 5 CFBDRN COC[C@H](C)CNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000188110384 382346844 /nfs/dbraw/zinc/34/68/44/382346844.db2.gz QGXLJCPTAXSYSE-LLVKDONJSA-N 0 0 294.351 2.731 20 5 CFBDRN CCc1ccc(C(=O)N2C[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000293942225 382346999 /nfs/dbraw/zinc/34/69/99/382346999.db2.gz FGELURLDPNZTKS-UWVGGRQHSA-N 0 0 262.309 2.638 20 5 CFBDRN O=C(Nc1ccc2[nH]cnc2c1)c1ccccc1[N+](=O)[O-] ZINC000352625661 382422201 /nfs/dbraw/zinc/42/22/01/382422201.db2.gz IEOYVAQEUFNDJR-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN C[C@H]1CC[C@H](COc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000188533358 382430544 /nfs/dbraw/zinc/43/05/44/382430544.db2.gz DKPWKFNKKHLSCU-WCBMZHEXSA-N 0 0 255.245 2.680 20 5 CFBDRN COc1cc(NCCCC2(O)CCC2)c([N+](=O)[O-])cc1F ZINC000420637550 382437651 /nfs/dbraw/zinc/43/76/51/382437651.db2.gz OETBLWONAPQCOB-UHFFFAOYSA-N 0 0 298.314 2.850 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420645835 382442560 /nfs/dbraw/zinc/44/25/60/382442560.db2.gz ZLYHZBQYSDAQGG-RISCZKNCSA-N 0 0 277.324 2.540 20 5 CFBDRN CCOc1cc(OCC(=O)C(C)C)ccc1[N+](=O)[O-] ZINC000188605813 382443244 /nfs/dbraw/zinc/44/32/44/382443244.db2.gz HBRSTFSMVFKTSH-UHFFFAOYSA-N 0 0 267.281 2.597 20 5 CFBDRN Cc1nc(NC[C@H]2CC23CCSCC3)ccc1[N+](=O)[O-] ZINC000420649188 382445703 /nfs/dbraw/zinc/44/57/03/382445703.db2.gz FKZWHQLPTSDYBM-LLVKDONJSA-N 0 0 293.392 2.665 20 5 CFBDRN C[C@H]1CCC[C@@]1(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000294059877 382399547 /nfs/dbraw/zinc/39/95/47/382399547.db2.gz MQRZJMSEEWTSPO-QPUJVOFHSA-N 0 0 285.731 2.606 20 5 CFBDRN CSC[C@@H](C)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294067177 382402363 /nfs/dbraw/zinc/40/23/63/382402363.db2.gz KOZZMUJJOJIKGD-SNVBAGLBSA-N 0 0 296.392 2.945 20 5 CFBDRN CC[C@@H](C)CNc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000420653539 382446449 /nfs/dbraw/zinc/44/64/49/382446449.db2.gz OLXGCMOTKKCXBC-SNVBAGLBSA-N 0 0 280.324 2.768 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CC23CCSCC3)c1[N+](=O)[O-] ZINC000420656923 382446891 /nfs/dbraw/zinc/44/68/91/382446891.db2.gz VRSCISVCXJJKKS-JTQLQIEISA-N 0 0 296.396 2.582 20 5 CFBDRN COc1cc(C(=O)N2CCC(C)(C)C2)cc([N+](=O)[O-])c1C ZINC000188430878 382412349 /nfs/dbraw/zinc/41/23/49/382412349.db2.gz CDMPOPIMFKJBOH-UHFFFAOYSA-N 0 0 292.335 2.784 20 5 CFBDRN Cc1ccncc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000358021776 382414344 /nfs/dbraw/zinc/41/43/44/382414344.db2.gz OJNQGFQNZAZXGP-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN CC[C@H](C)CNc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000420653538 382447148 /nfs/dbraw/zinc/44/71/48/382447148.db2.gz OLXGCMOTKKCXBC-JTQLQIEISA-N 0 0 280.324 2.768 20 5 CFBDRN CC[C@@H]1CCN1C(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000294178670 382447378 /nfs/dbraw/zinc/44/73/78/382447378.db2.gz WRMVPGYKKCBYRU-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc2[nH]cnc2c1 ZINC000352619529 382420891 /nfs/dbraw/zinc/42/08/91/382420891.db2.gz UOQYNLDKBMVQAB-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN COc1ccc(OC[C@H](O)CC2CCCC2)c([N+](=O)[O-])c1 ZINC000188647799 382449142 /nfs/dbraw/zinc/44/91/42/382449142.db2.gz XIOOTUNSZINALX-GFCCVEGCSA-N 0 0 295.335 2.923 20 5 CFBDRN CC(=O)c1cccc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000294183966 382450659 /nfs/dbraw/zinc/45/06/59/382450659.db2.gz FHWCWBVNQUBTCV-UHFFFAOYSA-N 0 0 278.308 2.663 20 5 CFBDRN COc1cc(C(=O)N2CC3CCC2CC3)ccc1[N+](=O)[O-] ZINC000378387757 382452669 /nfs/dbraw/zinc/45/26/69/382452669.db2.gz FKXWARHHUYGAKD-UHFFFAOYSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC[C@@H]1CCCO1 ZINC000188726726 382464743 /nfs/dbraw/zinc/46/47/43/382464743.db2.gz WJPPHDGTRCDGLD-NSHDSACASA-N 0 0 267.281 2.551 20 5 CFBDRN O=C(NC1CC1)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000294284658 382492949 /nfs/dbraw/zinc/49/29/49/382492949.db2.gz UDUDHSDOFMHSBK-UHFFFAOYSA-N 0 0 274.198 2.506 20 5 CFBDRN Cc1nn(C)cc1[C@H]1CCCN1c1ccccc1[N+](=O)[O-] ZINC000366093865 382474333 /nfs/dbraw/zinc/47/43/33/382474333.db2.gz HXQKCAXRWYOPCU-CYBMUJFWSA-N 0 0 286.335 2.978 20 5 CFBDRN CC[C@H](C)[C@H](CNc1ccc([N+](=O)[O-])c(C)n1)OC ZINC000294245406 382476176 /nfs/dbraw/zinc/47/61/76/382476176.db2.gz POAHASXBFFZZCH-CABZTGNLSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1c(Nc2ccc([N+](=O)[O-])cn2)cnn1CC(C)C ZINC000346696291 382480458 /nfs/dbraw/zinc/48/04/58/382480458.db2.gz NXIUUMUEKSXBSH-UHFFFAOYSA-N 0 0 275.312 2.894 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000188807554 382480885 /nfs/dbraw/zinc/48/08/85/382480885.db2.gz PYOYHHOGNGBNQL-ZJUUUORDSA-N 0 0 266.297 2.886 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2ccc([N+](=O)[O-])c(C)n2)no1 ZINC000366183135 382482969 /nfs/dbraw/zinc/48/29/69/382482969.db2.gz YYWOLOOOTHLJNG-CYBMUJFWSA-N 0 0 288.307 2.936 20 5 CFBDRN CCOc1cc(F)ccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294265789 382484691 /nfs/dbraw/zinc/48/46/91/382484691.db2.gz AOVCZCQNOCHVLA-UHFFFAOYSA-N 0 0 298.314 2.998 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000358240966 382522213 /nfs/dbraw/zinc/52/22/13/382522213.db2.gz HZJMYIZEOURXEH-MWLCHTKSSA-N 0 0 294.355 2.573 20 5 CFBDRN CCC1(C)CN(C(=O)c2ccc(NC)c([N+](=O)[O-])c2)C1 ZINC000381854288 382525733 /nfs/dbraw/zinc/52/57/33/382525733.db2.gz HARNWRHUVMOVQJ-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN CCN(CC)C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421418399 382529333 /nfs/dbraw/zinc/52/93/33/382529333.db2.gz QGODIGWZPMVUKX-UHFFFAOYSA-N 0 0 250.298 2.694 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2C[C@H](CO)Cc3ccccc32)c1 ZINC000366388067 382502998 /nfs/dbraw/zinc/50/29/98/382502998.db2.gz RNAZACYXSGONRM-CQSZACIVSA-N 0 0 298.342 2.766 20 5 CFBDRN CC[C@H](CNc1c2ccccc2ncc1[N+](=O)[O-])OC ZINC000312810532 382517738 /nfs/dbraw/zinc/51/77/38/382517738.db2.gz LMWMKQIHOABBSB-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCCO[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000188992042 382519812 /nfs/dbraw/zinc/51/98/12/382519812.db2.gz XBFNKGMTVMYRMQ-COPLHBTASA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H](NC(=O)[C@@]1(C)CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000421447386 382540747 /nfs/dbraw/zinc/54/07/47/382540747.db2.gz NANVMLZKRRHYGW-PRHODGIISA-N 0 0 284.262 2.817 20 5 CFBDRN CO[C@@H](C)CNC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000189102275 382542740 /nfs/dbraw/zinc/54/27/40/382542740.db2.gz XKINTHFSSDVJFB-JTQLQIEISA-N 0 0 294.351 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OCCOCC(F)F ZINC000189258868 382576623 /nfs/dbraw/zinc/57/66/23/382576623.db2.gz NFVLEMORYDQUIB-UHFFFAOYSA-N 0 0 281.642 2.909 20 5 CFBDRN Cc1ccc(NC[C@@H](C)CCCO)c([N+](=O)[O-])c1 ZINC000294965530 382555693 /nfs/dbraw/zinc/55/56/93/382555693.db2.gz NLAJNRXMMABPNM-NSHDSACASA-N 0 0 252.314 2.724 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294964557 382555754 /nfs/dbraw/zinc/55/57/54/382555754.db2.gz LGZCMZVCOQJMCW-CHWSQXEVSA-N 0 0 287.319 2.714 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)Nc1cccc(F)n1 ZINC000384812915 382558425 /nfs/dbraw/zinc/55/84/25/382558425.db2.gz DQPXZEBEGYDOIQ-FPLPWBNLSA-N 0 0 287.250 2.781 20 5 CFBDRN COc1cc(NCC[C@H](C)CCO)c([N+](=O)[O-])cc1F ZINC000295051089 382567775 /nfs/dbraw/zinc/56/77/75/382567775.db2.gz YAWMZWULKGMORY-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN CCn1ccnc1CNCc1ccc([N+](=O)[O-])cc1Cl ZINC000385229937 382568250 /nfs/dbraw/zinc/56/82/50/382568250.db2.gz SKXXXPLARLZTRX-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccon1 ZINC000421526950 382569541 /nfs/dbraw/zinc/56/95/41/382569541.db2.gz XTAJBRCLAVELBE-JTQLQIEISA-N 0 0 289.291 2.691 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421531017 382571259 /nfs/dbraw/zinc/57/12/59/382571259.db2.gz VPGXEUKEUCHXIA-JTQLQIEISA-N 0 0 282.365 2.693 20 5 CFBDRN Cc1ccc(NCC[C@H](C)CCO)c([N+](=O)[O-])c1 ZINC000295058793 382571660 /nfs/dbraw/zinc/57/16/60/382571660.db2.gz YVSATTOAUYEUIK-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN Cc1nc(N2C[C@H](C)[C@@H]3CCCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000294871513 382535442 /nfs/dbraw/zinc/53/54/42/382535442.db2.gz DVSDDDNIDOTPLZ-DLOVCJGASA-N 0 0 276.340 2.708 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCC(C)(F)F ZINC000421567263 382583640 /nfs/dbraw/zinc/58/36/40/382583640.db2.gz COFLTBGSAVANFU-UHFFFAOYSA-N 0 0 286.278 2.987 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCOCC2(CCC2)C1 ZINC000353643571 382592739 /nfs/dbraw/zinc/59/27/39/382592739.db2.gz XACONVZTJWIWJN-UHFFFAOYSA-N 0 0 294.326 2.736 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358388332 382597483 /nfs/dbraw/zinc/59/74/83/382597483.db2.gz CYRJBUJHVBKDDQ-WDEREUQCSA-N 0 0 294.326 2.923 20 5 CFBDRN CCOc1cc(OCC(=O)C2(C)CC2)ccc1[N+](=O)[O-] ZINC000279812615 194582679 /nfs/dbraw/zinc/58/26/79/194582679.db2.gz ABSIXTQMWZSQPY-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN Cc1cc(OC[C@@H](O)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000189410627 382605472 /nfs/dbraw/zinc/60/54/72/382605472.db2.gz SAWHADMJKISSLM-QMMMGPOBSA-N 0 0 279.214 2.595 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1ncc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000388304881 382605455 /nfs/dbraw/zinc/60/54/55/382605455.db2.gz PDORJUDKJKTQSU-HQJQHLMTSA-N 0 0 285.731 2.869 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1ncc(C)cc1[N+](=O)[O-])C1CC1 ZINC000388364658 382606666 /nfs/dbraw/zinc/60/66/66/382606666.db2.gz UVFHBRXBULDVOP-BXKDBHETSA-N 0 0 265.313 2.524 20 5 CFBDRN C[C@H](NC(=O)CC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000295294615 382609721 /nfs/dbraw/zinc/60/97/21/382609721.db2.gz IWLQUKZMIVMFOW-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN O=C(Nc1cccc(C2CC2)n1)c1ccc([N+](=O)[O-])o1 ZINC000353732347 382620276 /nfs/dbraw/zinc/62/02/76/382620276.db2.gz XKWVQELCCHUSDB-UHFFFAOYSA-N 0 0 273.248 2.713 20 5 CFBDRN CCOC[C@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000189530203 382620550 /nfs/dbraw/zinc/62/05/50/382620550.db2.gz RYDHQMXUMLCOSF-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN COc1cc(N[C@@H](C)c2cn(C)nc2C)ccc1[N+](=O)[O-] ZINC000189598190 382628520 /nfs/dbraw/zinc/62/85/20/382628520.db2.gz ILLIUJDTRZXEIE-VIFPVBQESA-N 0 0 290.323 2.818 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H](CO)C1CCCC1 ZINC000395426624 382630118 /nfs/dbraw/zinc/63/01/18/382630118.db2.gz OMUHBEZMTGJLJF-GFCCVEGCSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@@H]1[C@H](CO)CCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000385908118 382579750 /nfs/dbraw/zinc/57/97/50/382579750.db2.gz HESUYKIWVZDXMJ-GXSJLCMTSA-N 0 0 284.743 2.845 20 5 CFBDRN Cc1ccc(N[C@H](CO)C2CCCC2)c([N+](=O)[O-])c1 ZINC000385972744 382580728 /nfs/dbraw/zinc/58/07/28/382580728.db2.gz HAGUIMSGBBICBG-CYBMUJFWSA-N 0 0 264.325 2.866 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421568787 382583363 /nfs/dbraw/zinc/58/33/63/382583363.db2.gz IRHCUSVDIBWABL-NEPJUHHUSA-N 0 0 276.336 2.988 20 5 CFBDRN COc1cc(Cl)ccc1Cn1cc([N+](=O)[O-])c(C)n1 ZINC000279911522 194623260 /nfs/dbraw/zinc/62/32/60/194623260.db2.gz RURRUNTUKXHYOX-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN CCOC(=O)CCC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000190192617 382656455 /nfs/dbraw/zinc/65/64/55/382656455.db2.gz SVUMXOYOZZLTPM-UHFFFAOYSA-N 0 0 291.259 2.867 20 5 CFBDRN CCOCCOCC[N@H+](C)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000190255299 382659331 /nfs/dbraw/zinc/65/93/31/382659331.db2.gz HECKLRUIZPNKSB-ZDUSSCGKSA-N 0 0 296.367 2.641 20 5 CFBDRN CN(CCOc1ccccc1)c1ncc([N+](=O)[O-])s1 ZINC000190301298 382661450 /nfs/dbraw/zinc/66/14/50/382661450.db2.gz KEQALKKEPXHBEE-UHFFFAOYSA-N 0 0 279.321 2.567 20 5 CFBDRN COCc1ccc(CNc2ncc([N+](=O)[O-])s2)cc1 ZINC000190315159 382661617 /nfs/dbraw/zinc/66/16/17/382661617.db2.gz KCGDVPCYBJEPTG-UHFFFAOYSA-N 0 0 279.321 2.810 20 5 CFBDRN CCCNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190389110 382665433 /nfs/dbraw/zinc/66/54/33/382665433.db2.gz FQFQGKGGECIAPA-UHFFFAOYSA-N 0 0 250.298 2.858 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC[C@H](C)O1 ZINC000190650380 382676330 /nfs/dbraw/zinc/67/63/30/382676330.db2.gz FCEUPKKGNDFFDD-ONGXEEELSA-N 0 0 267.281 2.550 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2CC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000190676872 382677473 /nfs/dbraw/zinc/67/74/73/382677473.db2.gz NTMKCAVCTNURPF-BXKDBHETSA-N 0 0 279.292 2.744 20 5 CFBDRN CCC[C@H](C)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191017892 382688559 /nfs/dbraw/zinc/68/85/59/382688559.db2.gz LPMUWPJHGBWTHU-VIFPVBQESA-N 0 0 267.281 2.945 20 5 CFBDRN CCOC(=O)[C@@H](C)N(Cc1csc([N+](=O)[O-])c1)C1CC1 ZINC000190841418 382682203 /nfs/dbraw/zinc/68/22/03/382682203.db2.gz OFEBQRUWZJZUIE-SECBINFHSA-N 0 0 298.364 2.572 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000191019815 382688656 /nfs/dbraw/zinc/68/86/56/382688656.db2.gz RYERGHZINQCNMZ-QWRGUYRKSA-N 0 0 279.292 2.801 20 5 CFBDRN CC[C@H](C)CC(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191023128 382688734 /nfs/dbraw/zinc/68/87/34/382688734.db2.gz VHCQMPQTNXCRSY-VIFPVBQESA-N 0 0 267.281 2.945 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@H]2CC[C@@H](C)O2)c1 ZINC000191024982 382688843 /nfs/dbraw/zinc/68/88/43/382688843.db2.gz NKPOXAHEEWUIGC-GHMZBOCLSA-N 0 0 251.282 2.850 20 5 CFBDRN COc1ccc(OC(=O)CCC(F)(F)F)cc1[N+](=O)[O-] ZINC000191027294 382688872 /nfs/dbraw/zinc/68/88/72/382688872.db2.gz RBSJARPNHBELOD-UHFFFAOYSA-N 0 0 293.197 2.851 20 5 CFBDRN Cc1nc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000191292922 382697882 /nfs/dbraw/zinc/69/78/82/382697882.db2.gz YUNAZEQRPQDGSV-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H](CCO)c2ccccc2)s1 ZINC000192462233 382709098 /nfs/dbraw/zinc/70/90/98/382709098.db2.gz KOVIUPZWXMTUOD-NSHDSACASA-N 0 0 293.348 2.629 20 5 CFBDRN COc1ccccc1CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000336853866 382735609 /nfs/dbraw/zinc/73/56/09/382735609.db2.gz KRRIADXQZSKOMB-UHFFFAOYSA-N 0 0 298.302 2.514 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@H]1CCCO1 ZINC000192504880 382709511 /nfs/dbraw/zinc/70/95/11/382709511.db2.gz PKYYJQZZERZTEP-LLVKDONJSA-N 0 0 278.308 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCCO)cc1OC(F)F ZINC000192583535 382710368 /nfs/dbraw/zinc/71/03/68/382710368.db2.gz INNZXQZEKVOGKD-UHFFFAOYSA-N 0 0 290.266 2.771 20 5 CFBDRN CCC[C@H]1CCCCN1C(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000192896564 382713510 /nfs/dbraw/zinc/71/35/10/382713510.db2.gz DSPBQBPBEMGAOA-JTQLQIEISA-N 0 0 294.355 2.675 20 5 CFBDRN C[C@H](O)COc1ccc([N+](=O)[O-])cc1Cc1ccccc1 ZINC000193079361 382715277 /nfs/dbraw/zinc/71/52/77/382715277.db2.gz JLVBBONKMADFPA-LBPRGKRZSA-N 0 0 287.315 2.945 20 5 CFBDRN CCc1cnc(CN(C)c2cc(N)ccc2[N+](=O)[O-])s1 ZINC000193463794 382720068 /nfs/dbraw/zinc/72/00/68/382720068.db2.gz RXCBPINCQAKOFG-UHFFFAOYSA-N 0 0 292.364 2.832 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000193511028 382720611 /nfs/dbraw/zinc/72/06/11/382720611.db2.gz RWQBBDLBHJXRNT-SECBINFHSA-N 0 0 251.286 2.823 20 5 CFBDRN CC[N@@H+](Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])CC(C)C ZINC000193698830 382722953 /nfs/dbraw/zinc/72/29/53/382722953.db2.gz NEUNUDSXKRQANR-UHFFFAOYSA-N 0 0 281.312 2.981 20 5 CFBDRN CC[C@@H](C)C[C@H](Nc1ncc(C)cc1[N+](=O)[O-])C(=O)OC ZINC000575042697 382724511 /nfs/dbraw/zinc/72/45/11/382724511.db2.gz RFORCMNAEFEASV-KOLCDFICSA-N 0 0 295.339 2.688 20 5 CFBDRN CCOC[C@@H](Nc1ncc([N+](=O)[O-])s1)C(C)C ZINC000193823864 382726355 /nfs/dbraw/zinc/72/63/55/382726355.db2.gz XYWAIXRBRWKXNZ-MRVPVSSYSA-N 0 0 259.331 2.524 20 5 CFBDRN C[C@H](C(=O)NC1(C)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000575143036 382729428 /nfs/dbraw/zinc/72/94/28/382729428.db2.gz OLHQBXZSUSOHCE-JTQLQIEISA-N 0 0 262.309 2.757 20 5 CFBDRN CCOCC(C)(C)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000336837604 382730004 /nfs/dbraw/zinc/73/00/04/382730004.db2.gz LYWSJTBSNCWJMO-UHFFFAOYSA-N 0 0 298.368 2.779 20 5 CFBDRN CCOc1cc(OC[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000575402044 382769850 /nfs/dbraw/zinc/76/98/50/382769850.db2.gz QFEPKKGAWOPFFO-LLVKDONJSA-N 0 0 281.308 2.799 20 5 CFBDRN CC(=O)OCCCSc1ccc([N+](=O)[O-])cc1 ZINC000196346638 382771033 /nfs/dbraw/zinc/77/10/33/382771033.db2.gz SFMFIVOWLHOURJ-UHFFFAOYSA-N 0 0 255.295 2.640 20 5 CFBDRN C[C@@H]1CCC[C@@]1(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000336893330 382738530 /nfs/dbraw/zinc/73/85/30/382738530.db2.gz KQFROVZPOGLSSW-NOZJJQNGSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1nc(N[C@H]2CCC[C@@H]2OC(F)F)ccc1[N+](=O)[O-] ZINC000336908893 382741096 /nfs/dbraw/zinc/74/10/96/382741096.db2.gz VULYHIBCSZAJLW-WPRPVWTQSA-N 0 0 287.266 2.870 20 5 CFBDRN CSC1(CNC(=O)c2csc([N+](=O)[O-])c2)CCC1 ZINC000194468087 382744702 /nfs/dbraw/zinc/74/47/02/382744702.db2.gz CIMZWQXGUUCJMZ-UHFFFAOYSA-N 0 0 286.378 2.672 20 5 CFBDRN CSC1(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCC1 ZINC000194472901 382745131 /nfs/dbraw/zinc/74/51/31/382745131.db2.gz YUAZWHBQQWMULE-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000336959215 382746181 /nfs/dbraw/zinc/74/61/81/382746181.db2.gz ZCUMNOBVVNZOIT-GWCFXTLKSA-N 0 0 291.351 2.891 20 5 CFBDRN COCCN(c1ncc([N+](=O)[O-])s1)[C@H]1CC[C@@H](C)C1 ZINC000336997059 382750203 /nfs/dbraw/zinc/75/02/03/382750203.db2.gz OWCWAOFTGYAZNE-ZJUUUORDSA-N 0 0 285.369 2.693 20 5 CFBDRN CCN(CC1CC1)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194697023 382752257 /nfs/dbraw/zinc/75/22/57/382752257.db2.gz OBYNPJKFIWQDPT-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCO[C@H]2CCCCO2)c(F)c1 ZINC000194712845 382752634 /nfs/dbraw/zinc/75/26/34/382752634.db2.gz XONRCDOWKNENEP-ZDUSSCGKSA-N 0 0 285.271 2.656 20 5 CFBDRN C[C@H]1CN(C(=O)CSc2ccccc2[N+](=O)[O-])C[C@@H]1C ZINC000337035919 382754532 /nfs/dbraw/zinc/75/45/32/382754532.db2.gz ITONIGQTDOWNJF-QWRGUYRKSA-N 0 0 294.376 2.801 20 5 CFBDRN CC(C)CN(C(=O)COc1cccc([N+](=O)[O-])c1)C(C)C ZINC000337622644 382849126 /nfs/dbraw/zinc/84/91/26/382849126.db2.gz BIVCUGCZEDCLNQ-UHFFFAOYSA-N 0 0 294.351 2.867 20 5 CFBDRN COc1cccc(N[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000228853739 382849342 /nfs/dbraw/zinc/84/93/42/382849342.db2.gz CWMMSOVSZTWVTN-QMMMGPOBSA-N 0 0 254.311 2.521 20 5 CFBDRN COc1cccc(N[C@@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000228860324 382849371 /nfs/dbraw/zinc/84/93/71/382849371.db2.gz USNFFNNBDJPKKZ-NXEZZACHSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1cccc(N[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000228853729 382849434 /nfs/dbraw/zinc/84/94/34/382849434.db2.gz CWMMSOVSZTWVTN-MRVPVSSYSA-N 0 0 254.311 2.521 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN(CCO)C2CCC2)c1 ZINC000221164203 382797968 /nfs/dbraw/zinc/79/79/68/382797968.db2.gz KVLMSINMENXHNP-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN CC(C)(CCCO)C[NH2+]Cc1csc([N+](=O)[O-])c1 ZINC000221374612 382798296 /nfs/dbraw/zinc/79/82/96/382798296.db2.gz HOZFITNAMWUSTC-UHFFFAOYSA-N 0 0 272.370 2.545 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CC[C@@H](C)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000221430727 382798631 /nfs/dbraw/zinc/79/86/31/382798631.db2.gz XKSUYBMYFWLGDO-WCABBAIRSA-N 0 0 266.345 2.873 20 5 CFBDRN CC(C)C[C@@H](O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000224287665 382809945 /nfs/dbraw/zinc/80/99/45/382809945.db2.gz DHUQXPAJBUGWFK-LLVKDONJSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCOCC3CC3)ccc2c1 ZINC000224510727 382811335 /nfs/dbraw/zinc/81/13/35/382811335.db2.gz ZWQRQEMYCAFYMW-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN COCCC1(CNc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000225364934 382814695 /nfs/dbraw/zinc/81/46/95/382814695.db2.gz JNBOHHHHICIWBZ-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN CSC[C@@H](C)Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000229373306 382851270 /nfs/dbraw/zinc/85/12/70/382851270.db2.gz PMDDCPOFXIMKRB-SSDOTTSWSA-N 0 0 266.326 2.635 20 5 CFBDRN Cc1nc(NCC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000227315922 382835150 /nfs/dbraw/zinc/83/51/50/382835150.db2.gz IJXUCIZMKDTRCE-LLVKDONJSA-N 0 0 265.313 2.669 20 5 CFBDRN C[C@H](O)[C@H](C)Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000227286247 382835255 /nfs/dbraw/zinc/83/52/55/382835255.db2.gz DOPWDFAZFVKWJZ-WDSKDSINSA-N 0 0 262.718 2.505 20 5 CFBDRN O=[N+]([O-])c1ccccc1C[NH2+]CC[C@@H]1CCCCO1 ZINC000227336870 382835515 /nfs/dbraw/zinc/83/55/15/382835515.db2.gz UMMMCISBDVAVNN-ZDUSSCGKSA-N 0 0 264.325 2.644 20 5 CFBDRN Cc1nc(CC[NH2+]Cc2ccccc2[N+](=O)[O-])cs1 ZINC000227436758 382837167 /nfs/dbraw/zinc/83/71/67/382837167.db2.gz UNDXEOOZDFAWHD-UHFFFAOYSA-N 0 0 277.349 2.692 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(O)CCCCC2)c(Cl)c1 ZINC000227650940 382838908 /nfs/dbraw/zinc/83/89/08/382838908.db2.gz CXIJZWXNUMGJMM-UHFFFAOYSA-N 0 0 285.731 2.750 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cc1Cl)[C@H]1CCCO1 ZINC000227648979 382839030 /nfs/dbraw/zinc/83/90/30/382839030.db2.gz MQKBVRGRCAZGEV-OIBJUYFYSA-N 0 0 271.704 2.623 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@H]1CC[C@@H](O)C1 ZINC000227670698 382839161 /nfs/dbraw/zinc/83/91/61/382839161.db2.gz DGIXJHZZGVYAIW-WDEREUQCSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@@H]1CC[C@H](O)C1 ZINC000227670704 382839287 /nfs/dbraw/zinc/83/92/87/382839287.db2.gz DGIXJHZZGVYAIW-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN CCc1ccc(OC[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000576878290 382839852 /nfs/dbraw/zinc/83/98/52/382839852.db2.gz LZRGYCKULLDODG-GFCCVEGCSA-N 0 0 265.309 2.963 20 5 CFBDRN Cc1cnc(NCC2(C)CCOCC2)c([N+](=O)[O-])c1 ZINC000227824571 382841165 /nfs/dbraw/zinc/84/11/65/382841165.db2.gz HVOSPUVGNIFIRG-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccco1 ZINC000229561379 382852276 /nfs/dbraw/zinc/85/22/76/382852276.db2.gz FBYYHEOPFJZYOD-UHFFFAOYSA-N 0 0 250.185 2.579 20 5 CFBDRN COCC[C@H](C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227889523 382842236 /nfs/dbraw/zinc/84/22/36/382842236.db2.gz WBWLTZNOSWWASO-ZETCQYMHSA-N 0 0 260.240 2.710 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000227953975 382842359 /nfs/dbraw/zinc/84/23/59/382842359.db2.gz RGNYSFISERRTSR-PSASIEDQSA-N 0 0 264.281 2.592 20 5 CFBDRN CCC(=O)c1ccc(S[C@H](C)C(=O)OC)c([N+](=O)[O-])c1 ZINC000280124211 194712897 /nfs/dbraw/zinc/71/28/97/194712897.db2.gz XBKPPBOTULCLQF-MRVPVSSYSA-N 0 0 297.332 2.841 20 5 CFBDRN CC(=O)c1cc(N2C[C@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000228261177 382845911 /nfs/dbraw/zinc/84/59/11/382845911.db2.gz FXRPLCMKJWXRQS-AOOOYVTPSA-N 0 0 262.309 2.890 20 5 CFBDRN CC(C)CONC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000228305505 382845914 /nfs/dbraw/zinc/84/59/14/382845914.db2.gz LUTGQMWPBXKPFK-UHFFFAOYSA-N 0 0 272.688 2.566 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCC[C@H]1CCO ZINC000577232306 382853037 /nfs/dbraw/zinc/85/30/37/382853037.db2.gz ZHDRBUOECCUWSI-WDEREUQCSA-N 0 0 280.324 2.566 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000337701604 382856939 /nfs/dbraw/zinc/85/69/39/382856939.db2.gz UMRWEILZFPZDDS-UHFFFAOYSA-N 0 0 295.245 2.604 20 5 CFBDRN COc1cc(N2CCO[C@@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000413482019 532959229 /nfs/dbraw/zinc/95/92/29/532959229.db2.gz VBEGKIHPPQDWAB-OAHLLOKOSA-N 0 0 292.335 2.609 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])c2cnccc21)[C@H]1CCOC1 ZINC000577451151 382859264 /nfs/dbraw/zinc/85/92/64/382859264.db2.gz PXCURSQYGGZKKX-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN COCCCOc1cc([N+](=O)[O-])ccc1Br ZINC000230401862 382860897 /nfs/dbraw/zinc/86/08/97/382860897.db2.gz UAQMOELUQMSLDX-UHFFFAOYSA-N 0 0 290.113 2.773 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2N[C@@H]1CCCc2c[nH]nc21 ZINC000577529393 382862677 /nfs/dbraw/zinc/86/26/77/382862677.db2.gz SROMMFGGEHMQNO-ZIAGYGMSSA-N 0 0 298.346 2.972 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2C[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000337778791 382864220 /nfs/dbraw/zinc/86/42/20/382864220.db2.gz ZQAJMIOAQAPEPG-DTWKUNHWSA-N 0 0 270.235 2.743 20 5 CFBDRN COC[C@@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000231789421 382866958 /nfs/dbraw/zinc/86/69/58/382866958.db2.gz PPAMARORYRBMJZ-GFCCVEGCSA-N 0 0 282.315 2.592 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000232854389 382869902 /nfs/dbraw/zinc/86/99/02/382869902.db2.gz TYSLLQIUICTWFM-UHFFFAOYSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1ccc(OCCNc2ncc([N+](=O)[O-])s2)c(C)c1 ZINC000577813235 382871035 /nfs/dbraw/zinc/87/10/35/382871035.db2.gz UPDGIHLFRGHOKT-UHFFFAOYSA-N 0 0 293.348 2.581 20 5 CFBDRN CC(C)=CCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000577810253 382871252 /nfs/dbraw/zinc/87/12/52/382871252.db2.gz XHEDCWLMFNOQTE-NSHDSACASA-N 0 0 262.309 2.781 20 5 CFBDRN CCOC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)C(C)C ZINC000233764941 382871785 /nfs/dbraw/zinc/87/17/85/382871785.db2.gz LPUJIRBDNNUCEN-LLVKDONJSA-N 0 0 267.329 2.771 20 5 CFBDRN CCOc1cc(NC[C@H]2CC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000233798290 382871984 /nfs/dbraw/zinc/87/19/84/382871984.db2.gz UXOWOMBZMUCIIW-JQWIXIFHSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1cn([C@@H](C)C(=O)Nc2cccc(C)c2)nc1[N+](=O)[O-] ZINC000337937104 382874372 /nfs/dbraw/zinc/87/43/72/382874372.db2.gz QHJRAMUSIXTZND-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN CCc1nnc(CSc2cccc([N+](=O)[O-])c2)[nH]1 ZINC000337937335 382874747 /nfs/dbraw/zinc/87/47/47/382874747.db2.gz QXSALUMVDVFOFG-UHFFFAOYSA-N 0 0 264.310 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nccc(C(F)F)n2)cc1 ZINC000337937334 382874832 /nfs/dbraw/zinc/87/48/32/382874832.db2.gz QXFABQXZSVBYHD-UHFFFAOYSA-N 0 0 280.234 2.935 20 5 CFBDRN C[C@H](NCc1cccc([N+](=O)[O-])c1)c1ccncc1F ZINC000337952637 382878648 /nfs/dbraw/zinc/87/86/48/382878648.db2.gz ZMFPNGIWKWMKMB-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000421660061 382887237 /nfs/dbraw/zinc/88/72/37/382887237.db2.gz ADUXOIGGKXDDSG-OLZOCXBDSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\c1ccn(C)c1 ZINC000493729428 382887665 /nfs/dbraw/zinc/88/76/65/382887665.db2.gz ULLVFVPFGKJFCP-XQRVVYSFSA-N 0 0 285.303 2.894 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)c(C)c1O ZINC000533499742 382901467 /nfs/dbraw/zinc/90/14/67/382901467.db2.gz IEVVASGUUKPHNG-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccc(N3CCCC3)cn2)c1 ZINC000338156161 382937367 /nfs/dbraw/zinc/93/73/67/382937367.db2.gz RYPCZTZTDHUXFE-UHFFFAOYSA-N 0 0 298.346 2.624 20 5 CFBDRN CNC(=O)CCS[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000578633684 382938657 /nfs/dbraw/zinc/93/86/57/382938657.db2.gz ZOEDTFCPPLTUHL-VIFPVBQESA-N 0 0 268.338 2.525 20 5 CFBDRN Cc1c[nH]cc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000338167333 382939381 /nfs/dbraw/zinc/93/93/81/382939381.db2.gz XSAAHROTONFIOF-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000456273244 382955643 /nfs/dbraw/zinc/95/56/43/382955643.db2.gz HTZIYEMGDVNPCI-CABCVRRESA-N 0 0 286.331 2.855 20 5 CFBDRN CCN(C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000578431854 382920229 /nfs/dbraw/zinc/92/02/29/382920229.db2.gz WHFYIHNTCFRQRW-SNVBAGLBSA-N 0 0 262.309 2.709 20 5 CFBDRN COCCCCCn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000578506482 382927273 /nfs/dbraw/zinc/92/72/73/382927273.db2.gz LTGKBVNGEDTXIY-UHFFFAOYSA-N 0 0 290.319 2.726 20 5 CFBDRN Cc1nc(N(C)Cc2cccc(O)c2)ccc1[N+](=O)[O-] ZINC000534342184 382927913 /nfs/dbraw/zinc/92/79/13/382927913.db2.gz RDEPJGYAFPQXOR-UHFFFAOYSA-N 0 0 273.292 2.640 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000579106104 382982912 /nfs/dbraw/zinc/98/29/12/382982912.db2.gz ODYLFJGIARTCMD-YGRLFVJLSA-N 0 0 293.367 2.688 20 5 CFBDRN CCc1nn(C)c(NCC(C)(C)C(F)(F)F)c1[N+](=O)[O-] ZINC000548872716 382989667 /nfs/dbraw/zinc/98/96/67/382989667.db2.gz GTGHMNBVZCRUGU-UHFFFAOYSA-N 0 0 294.277 2.891 20 5 CFBDRN C[C@@H](C(=O)NCC1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000579190618 382990476 /nfs/dbraw/zinc/99/04/76/382990476.db2.gz DKQCRNFTQPZXHT-SNVBAGLBSA-N 0 0 262.309 2.615 20 5 CFBDRN O=C(N[C@@H](C1CC1)C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000457218433 382994161 /nfs/dbraw/zinc/99/41/61/382994161.db2.gz ISSIHDCBMSZRHL-CQSZACIVSA-N 0 0 274.320 2.903 20 5 CFBDRN O=C(Nc1ccc2c(c1)OCO2)c1csc([N+](=O)[O-])c1 ZINC000338348202 382998787 /nfs/dbraw/zinc/99/87/87/382998787.db2.gz MXYAJEOHGNMWNA-UHFFFAOYSA-N 0 0 292.272 2.637 20 5 CFBDRN CN(CCC1CC1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000447671355 382999367 /nfs/dbraw/zinc/99/93/67/382999367.db2.gz MEJFGRSQKGVVIG-UHFFFAOYSA-N 0 0 260.297 2.707 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000457239493 383000352 /nfs/dbraw/zinc/00/03/52/383000352.db2.gz WGQFIFRHVJLGHE-NSHDSACASA-N 0 0 293.367 2.948 20 5 CFBDRN CC(C)CC[C@H]1CCC[C@H]1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000549185053 383001424 /nfs/dbraw/zinc/00/14/24/383001424.db2.gz SXYRQCCTFHQQKW-ZYHUDNBSSA-N 0 0 294.355 2.653 20 5 CFBDRN C[C@H]1CCCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000457266354 383006558 /nfs/dbraw/zinc/00/65/58/383006558.db2.gz QYVJFJXSRRUIMI-HZMBPMFUSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@H]1CCCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000457266356 383006918 /nfs/dbraw/zinc/00/69/18/383006918.db2.gz QYVJFJXSRRUIMI-IINYFYTJSA-N 0 0 279.340 2.632 20 5 CFBDRN CCc1ccc(C(=O)N2CC(CC)C2)cc1[N+](=O)[O-] ZINC000457268970 383007899 /nfs/dbraw/zinc/00/78/99/383007899.db2.gz DHJVJJYBAWJKSP-UHFFFAOYSA-N 0 0 262.309 2.639 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CC[C@H](F)C1 ZINC000579373679 383009403 /nfs/dbraw/zinc/00/94/03/383009403.db2.gz BSTHGROQPGWMSV-IUCAKERBSA-N 0 0 254.261 2.906 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cccc(N2CCC2=O)c1 ZINC000447809018 383009707 /nfs/dbraw/zinc/00/97/07/383009707.db2.gz AFSPNGNQWNJPFH-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CC[C@@H](F)C1 ZINC000579373677 383009854 /nfs/dbraw/zinc/00/98/54/383009854.db2.gz BSTHGROQPGWMSV-BDAKNGLRSA-N 0 0 254.261 2.906 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCSC2)n1 ZINC000447818752 383012883 /nfs/dbraw/zinc/01/28/83/383012883.db2.gz YQBKBBOGKATLLC-JTQLQIEISA-N 0 0 267.354 2.772 20 5 CFBDRN COc1cc(C(=O)N2CC(C(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000457345494 383029028 /nfs/dbraw/zinc/02/90/28/383029028.db2.gz RUXPQZLQIFSCDM-UHFFFAOYSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@H](C(=O)NC[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000579569946 383029571 /nfs/dbraw/zinc/02/95/71/383029571.db2.gz QMXRWNDVZNQISM-CMPLNLGQSA-N 0 0 274.320 2.615 20 5 CFBDRN CCOC(=O)c1cc(NCC[C@H](C)F)ccc1[N+](=O)[O-] ZINC000338750386 383038040 /nfs/dbraw/zinc/03/80/40/383038040.db2.gz LXOLGRQQXJCDIW-VIFPVBQESA-N 0 0 284.287 2.932 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](CC(N)=O)c2ccccc2)c1 ZINC000338782521 383049853 /nfs/dbraw/zinc/04/98/53/383049853.db2.gz KYMXDQZUNOUYDX-CYBMUJFWSA-N 0 0 299.330 2.932 20 5 CFBDRN CCc1cncc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000448257708 383052325 /nfs/dbraw/zinc/05/23/25/383052325.db2.gz WHYYVCKKSRDUOO-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000457626575 383055608 /nfs/dbraw/zinc/05/56/08/383055608.db2.gz PWXBCWTWAKTSEK-CHWSQXEVSA-N 0 0 291.351 2.829 20 5 CFBDRN CCOc1cc(N2CCC[C@H]2CF)ccc1[N+](=O)[O-] ZINC000338807519 383058304 /nfs/dbraw/zinc/05/83/04/383058304.db2.gz LIWMTBQLFGBVRZ-NSHDSACASA-N 0 0 268.288 2.932 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1C[C@@H](C)[C@@](C)(C(=O)[O-])C1 ZINC000579843977 383059157 /nfs/dbraw/zinc/05/91/57/383059157.db2.gz DBKKNXTXDCMHTO-ZETOZRRWSA-N 0 0 292.335 2.698 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1ncn(-c2ccccc2)n1 ZINC000338821575 383061408 /nfs/dbraw/zinc/06/14/08/383061408.db2.gz MHAGKFWFHRQLMG-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cc1cc(COc2ccc(F)cc2[N+](=O)[O-])on1 ZINC000338841549 383065897 /nfs/dbraw/zinc/06/58/97/383065897.db2.gz RFOBSZOUBPGLCP-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1C ZINC000246199599 383067049 /nfs/dbraw/zinc/06/70/49/383067049.db2.gz LFZHSDDJUKWMNU-VDDIYKPWSA-N 0 0 280.349 2.600 20 5 CFBDRN C[C@@H](C(=O)NCCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000457697370 383076646 /nfs/dbraw/zinc/07/66/46/383076646.db2.gz YAHIJJWBXQBODQ-LLVKDONJSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)(C)[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000447852113 383019596 /nfs/dbraw/zinc/01/95/96/383019596.db2.gz YFBKDDSWWNVPTA-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN CCC(=O)c1ccc(Nc2c[nH]cn2)c([N+](=O)[O-])c1 ZINC000550346528 383024949 /nfs/dbraw/zinc/02/49/49/383024949.db2.gz DZLPGWMKWVIVDQ-UHFFFAOYSA-N 0 0 260.253 2.654 20 5 CFBDRN C[C@@H](CO)[C@@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000596436122 383126649 /nfs/dbraw/zinc/12/66/49/383126649.db2.gz QYLVZXJKHHGEGS-JQWIXIFHSA-N 0 0 282.315 2.721 20 5 CFBDRN CN(CC1=CCCOC1)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000596464545 383127218 /nfs/dbraw/zinc/12/72/18/383127218.db2.gz CYXMNRMSRVZBHV-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CN(CC1=CCCOC1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000596464607 383128016 /nfs/dbraw/zinc/12/80/16/383128016.db2.gz GACNWXDQQIXCHA-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCOC(C)(C)C ZINC000596464712 383128049 /nfs/dbraw/zinc/12/80/49/383128049.db2.gz KLAQIIBIBLRFKM-UHFFFAOYSA-N 0 0 284.360 2.737 20 5 CFBDRN CN(C)C(=O)[C@@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000609631404 383134482 /nfs/dbraw/zinc/13/44/82/383134482.db2.gz TTWHDFHAXXQTSD-NXEZZACHSA-N 0 0 297.380 2.715 20 5 CFBDRN CCSCCCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000554523326 383139454 /nfs/dbraw/zinc/13/94/54/383139454.db2.gz UECCEZBCIUDCIR-UHFFFAOYSA-N 0 0 297.380 2.537 20 5 CFBDRN CC(C)(C(=O)NCCOc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000554560474 383143043 /nfs/dbraw/zinc/14/30/43/383143043.db2.gz UKWSAXBUUJRDLD-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN CC(C)SCCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000553704621 383116354 /nfs/dbraw/zinc/11/63/54/383116354.db2.gz GRHVOJSVNTXWOT-LLVKDONJSA-N 0 0 296.392 2.956 20 5 CFBDRN COC(=O)c1ccoc1COc1cccc(C)c1[N+](=O)[O-] ZINC000339180695 383178120 /nfs/dbraw/zinc/17/81/20/383178120.db2.gz DZDDEIOMGGMTDC-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000518695640 383207303 /nfs/dbraw/zinc/20/73/03/383207303.db2.gz WKSOGLOLIYMSMK-QWRGUYRKSA-N 0 0 277.324 2.546 20 5 CFBDRN CC[C@H](C)[C@H](C)N(C(=O)c1cc([N+](=O)[O-])cn1C)C1CC1 ZINC000519115877 383210567 /nfs/dbraw/zinc/21/05/67/383210567.db2.gz VBDMZHSOHDCCGT-QWRGUYRKSA-N 0 0 293.367 2.973 20 5 CFBDRN CC[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000247237919 383154621 /nfs/dbraw/zinc/15/46/21/383154621.db2.gz AJBRENDIWOJNMB-MWLCHTKSSA-N 0 0 270.354 2.656 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000339116008 383154685 /nfs/dbraw/zinc/15/46/85/383154685.db2.gz JYNYKERREZAORL-QPJJXVBHSA-N 0 0 257.249 2.569 20 5 CFBDRN C[C@@]1(NC(=O)COc2cccc([N+](=O)[O-])c2)CC=CCC1 ZINC000458300645 383157311 /nfs/dbraw/zinc/15/73/11/383157311.db2.gz AJZTXHUYDIPFBA-OAHLLOKOSA-N 0 0 290.319 2.589 20 5 CFBDRN C[C@]1(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC=CCC1 ZINC000458318237 383161891 /nfs/dbraw/zinc/16/18/91/383161891.db2.gz RHMMFHOAPWHSBF-AWEZNQCLSA-N 0 0 278.283 2.963 20 5 CFBDRN C[C@@]1(NC(=O)CNc2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000458322946 383163465 /nfs/dbraw/zinc/16/34/65/383163465.db2.gz WQNBDAGFVLDVLL-OAHLLOKOSA-N 0 0 289.335 2.622 20 5 CFBDRN CCC[C@@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])C1CCCC1 ZINC000458334050 383167220 /nfs/dbraw/zinc/16/72/20/383167220.db2.gz HWFKQWVGJGKTTN-LLVKDONJSA-N 0 0 294.355 2.715 20 5 CFBDRN O=C(NC[C@@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])s1 ZINC000280513320 194865714 /nfs/dbraw/zinc/86/57/14/194865714.db2.gz FQMFAORQCBZVOH-SSDOTTSWSA-N 0 0 290.291 2.822 20 5 CFBDRN Cc1noc([C@H](C)N(C)Cc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000519540695 383223250 /nfs/dbraw/zinc/22/32/50/383223250.db2.gz CEKQTGAHGPKPJQ-JTQLQIEISA-N 0 0 290.323 2.788 20 5 CFBDRN COc1ccc(C(=O)NC[C@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000339519539 383229892 /nfs/dbraw/zinc/22/98/92/383229892.db2.gz SMNDDXMKVFEMOX-ZYHUDNBSSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1ccc(NC(=O)NCCOC(C)(C)C)cc1[N+](=O)[O-] ZINC000519917004 383245207 /nfs/dbraw/zinc/24/52/07/383245207.db2.gz UVJOIRLPYZHGNZ-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)CCCOC ZINC000567901674 383328526 /nfs/dbraw/zinc/32/85/26/383328526.db2.gz QXGMLQVPQGYRSU-SNVBAGLBSA-N 0 0 295.339 2.638 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])[C@H](C)[N@@H+]1Cc1ccc([N+](=O)[O-])cc1 ZINC000567917404 383331289 /nfs/dbraw/zinc/33/12/89/383331289.db2.gz LITRHNMIMCALLC-SUNKGSAMSA-N 0 0 292.335 2.668 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CCNC(=O)c1cc([N+](=O)[O-])cn1C ZINC000522249965 383334667 /nfs/dbraw/zinc/33/46/67/383334667.db2.gz ANLAYBHPFRDSRG-VXGBXAGGSA-N 0 0 293.367 2.880 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@H](CC2CC2)C1 ZINC000339679843 383286218 /nfs/dbraw/zinc/28/62/18/383286218.db2.gz NEZWUCOQQCCOPL-GFCCVEGCSA-N 0 0 276.336 2.922 20 5 CFBDRN CCN(C(=O)c1n[nH]c(C)c1[N+](=O)[O-])c1ccccc1C ZINC000521473463 383297840 /nfs/dbraw/zinc/29/78/40/383297840.db2.gz DJWCCFOCWKWRRH-UHFFFAOYSA-N 0 0 288.307 2.601 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000424970437 383300369 /nfs/dbraw/zinc/30/03/69/383300369.db2.gz MPZFIEIGMDJKLI-GFCCVEGCSA-N 0 0 274.320 2.541 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@H]1CCC[C@H](F)C1 ZINC000567685665 383302475 /nfs/dbraw/zinc/30/24/75/383302475.db2.gz HRFJFGFSDKMKTM-RYUDHWBXSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCC[C@@H](CO)CC1 ZINC000339746039 383304732 /nfs/dbraw/zinc/30/47/32/383304732.db2.gz MLDQIYMRXDMQFX-LLVKDONJSA-N 0 0 298.770 2.843 20 5 CFBDRN Cc1nc(NCc2cccc(C(C)C)c2)ncc1[N+](=O)[O-] ZINC000450451496 383415235 /nfs/dbraw/zinc/41/52/35/383415235.db2.gz FZWXGPZVAYPMSG-UHFFFAOYSA-N 0 0 286.335 2.851 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2c(Cl)cccc2[N+](=O)[O-])o1 ZINC000568582092 383415590 /nfs/dbraw/zinc/41/55/90/383415590.db2.gz GDGJQTOMCQQXGX-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC(CF)CC2)n1 ZINC000450691286 291824613 /nfs/dbraw/zinc/82/46/13/291824613.db2.gz XKMNCFWEFBLSHV-UHFFFAOYSA-N 0 0 267.304 2.793 20 5 CFBDRN CC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2C)C[C@@H](C)O1 ZINC000252443105 383408422 /nfs/dbraw/zinc/40/84/22/383408422.db2.gz PJGZETOIVFOVJW-RISCZKNCSA-N 0 0 278.352 2.903 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000479588894 383409502 /nfs/dbraw/zinc/40/95/02/383409502.db2.gz JTKTVMUILLDTNA-MGCOHNPYSA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1nc(C)c(CNc2ccc([N+](=O)[O-])c(N)c2F)o1 ZINC000425259389 383352373 /nfs/dbraw/zinc/35/23/73/383352373.db2.gz YEVFXKLLGNTOOZ-UHFFFAOYSA-N 0 0 280.259 2.533 20 5 CFBDRN Cc1cc(N[C@H]2CC23CC3)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000425273945 383356583 /nfs/dbraw/zinc/35/65/83/383356583.db2.gz PTTJYXLPHDIJPG-ZDUSSCGKSA-N 0 0 296.330 2.747 20 5 CFBDRN O=C(NC[C@@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])cn1 ZINC000568093853 383357948 /nfs/dbraw/zinc/35/79/48/383357948.db2.gz PWPDUCBDIDBBTP-MCIGGMRASA-N 0 0 289.335 2.546 20 5 CFBDRN CN(Cc1cccc(F)c1)c1ccncc1[N+](=O)[O-] ZINC000340147172 383362801 /nfs/dbraw/zinc/36/28/01/383362801.db2.gz CVTRAZKODKDWNB-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3C[C@H]4C[C@H]4C3)c21 ZINC000425305200 383363709 /nfs/dbraw/zinc/36/37/09/383363709.db2.gz QYEMLKWHSNDBBQ-ILWJIGKKSA-N 0 0 270.292 2.748 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2ccc(F)cc21 ZINC000340153666 383364244 /nfs/dbraw/zinc/36/42/44/383364244.db2.gz VDTPDJCRJDLZLX-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN CCOc1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)ccc1C ZINC000340160314 383364851 /nfs/dbraw/zinc/36/48/51/383364851.db2.gz ADDOGMQBTCBRQY-UHFFFAOYSA-N 0 0 289.291 2.882 20 5 CFBDRN CC(C)CCN(CCC(C)C)c1ncc([N+](=O)[O-])c(N)n1 ZINC000524123533 383366233 /nfs/dbraw/zinc/36/62/33/383366233.db2.gz LZUQMXDUDRXZNZ-UHFFFAOYSA-N 0 0 295.387 2.696 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCS[C@H](C)CC2)n1 ZINC000493472030 291825563 /nfs/dbraw/zinc/82/55/63/291825563.db2.gz IDFHBXWYJOWBMN-LLVKDONJSA-N 0 0 281.381 2.938 20 5 CFBDRN Cc1nc(N[C@H](C)CCC2CC2)ncc1[N+](=O)[O-] ZINC000450447025 383414730 /nfs/dbraw/zinc/41/47/30/383414730.db2.gz SCYIXFWORPENTO-MRVPVSSYSA-N 0 0 250.302 2.684 20 5 CFBDRN O=C(NCCF)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000280739477 194953049 /nfs/dbraw/zinc/95/30/49/194953049.db2.gz RWRSEUDHVCZQCP-UHFFFAOYSA-N 0 0 295.192 2.705 20 5 CFBDRN CCCC[C@@H](Sc1ncc([N+](=O)[O-])c(C)n1)C(=O)OC ZINC000450494106 383437056 /nfs/dbraw/zinc/43/70/56/383437056.db2.gz JAEVBQOILYIYSS-SNVBAGLBSA-N 0 0 299.352 2.517 20 5 CFBDRN CCC(=O)c1ccc(N(C)[C@H](C)COC)c([N+](=O)[O-])c1 ZINC000280748755 194956100 /nfs/dbraw/zinc/95/61/00/194956100.db2.gz PIFXJDJMIJKNQZ-SNVBAGLBSA-N 0 0 280.324 2.659 20 5 CFBDRN Cc1nc(NCC2(C(F)(F)F)CCC2)ncc1[N+](=O)[O-] ZINC000450536605 383450565 /nfs/dbraw/zinc/45/05/65/383450565.db2.gz VAZGSFUJWBARLA-UHFFFAOYSA-N 0 0 290.245 2.838 20 5 CFBDRN COc1cc([C@H](C)NCc2ccccc2[N+](=O)[O-])ccn1 ZINC000340520228 383454421 /nfs/dbraw/zinc/45/44/21/383454421.db2.gz PFUAXOCYYCTEHM-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN CC(=O)c1cc(NCC[C@@H](C)CCO)ccc1[N+](=O)[O-] ZINC000450558174 383460263 /nfs/dbraw/zinc/46/02/63/383460263.db2.gz FCMXDASDOZTWAG-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN Cc1nn(Cc2cc(C)ccc2F)c(C)c1[N+](=O)[O-] ZINC000450570746 383465486 /nfs/dbraw/zinc/46/54/86/383465486.db2.gz XMNRRXOGJKWDHF-UHFFFAOYSA-N 0 0 263.272 2.904 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CSc3ccccc32)s1 ZINC000450570658 383465792 /nfs/dbraw/zinc/46/57/92/383465792.db2.gz YVPFULGKKKAKLY-MRVPVSSYSA-N 0 0 293.373 2.775 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1CC1=CCCCC1 ZINC000450584859 383471384 /nfs/dbraw/zinc/47/13/84/383471384.db2.gz ORFHDPJVNSHNOG-UHFFFAOYSA-N 0 0 285.303 2.805 20 5 CFBDRN C[C@@H](c1ccccc1)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340596875 383483370 /nfs/dbraw/zinc/48/33/70/383483370.db2.gz YCFZCNXZIFPJJJ-JTQLQIEISA-N 0 0 273.292 2.756 20 5 CFBDRN CC(C)[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000487076724 383477212 /nfs/dbraw/zinc/47/72/12/383477212.db2.gz SRZFKWKDEMFEEQ-MNOVXSKESA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@](C)(F)C2)n1 ZINC000408170054 291826337 /nfs/dbraw/zinc/82/63/37/291826337.db2.gz BVMHJWPEPYNTHT-LBPRGKRZSA-N 0 0 253.277 2.545 20 5 CFBDRN CC(C)C[C@H]1OCCC[C@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000480524914 383417937 /nfs/dbraw/zinc/41/79/37/383417937.db2.gz JVJUEQPGLNXVDN-CHWSQXEVSA-N 0 0 279.340 2.995 20 5 CFBDRN CC(F)(F)CC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000428527645 383487687 /nfs/dbraw/zinc/48/76/87/383487687.db2.gz XZSNNRQKHMISJX-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]([C@@H](CO)C2CC2)C2CC2)n1 ZINC000450783989 383489866 /nfs/dbraw/zinc/48/98/66/383489866.db2.gz YWZQKOZZVCEWKY-JSGCOSHPSA-N 0 0 291.351 2.507 20 5 CFBDRN Cc1nc(N2C[C@H](C(C)C)[C@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000450801615 383494871 /nfs/dbraw/zinc/49/48/71/383494871.db2.gz FGIPJVJEQOADIP-DGCLKSJQSA-N 0 0 278.356 2.810 20 5 CFBDRN Cc1ccncc1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000450801788 383496324 /nfs/dbraw/zinc/49/63/24/383496324.db2.gz STSCKGLJQGJSKI-UHFFFAOYSA-N 0 0 284.319 2.878 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCC(C)(F)F)c1 ZINC000450815560 383501300 /nfs/dbraw/zinc/50/13/00/383501300.db2.gz SYDVTTBEDPQSRR-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1ccncc1F ZINC000340917939 383504489 /nfs/dbraw/zinc/50/44/89/383504489.db2.gz ZDEGWABQZLQDRP-QMMMGPOBSA-N 0 0 293.302 2.827 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1ccncc1F ZINC000340917938 383504587 /nfs/dbraw/zinc/50/45/87/383504587.db2.gz ZDEGWABQZLQDRP-MRVPVSSYSA-N 0 0 293.302 2.827 20 5 CFBDRN CSc1cccc(NCc2c([N+](=O)[O-])ncn2C)c1C ZINC000340949980 383508058 /nfs/dbraw/zinc/50/80/58/383508058.db2.gz MDVFQVQTKRCHDU-UHFFFAOYSA-N 0 0 292.364 2.971 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@H](C)n3ccnc32)n1 ZINC000450833383 383512251 /nfs/dbraw/zinc/51/22/51/383512251.db2.gz SRSDJEIDVAONPR-UWVGGRQHSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1nnsc1COc1ccc(C)c([N+](=O)[O-])c1 ZINC000569582312 383514741 /nfs/dbraw/zinc/51/47/41/383514741.db2.gz RAFBNWARNHNQPB-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN CCOc1cc(NCC2(CC)COC2)ccc1[N+](=O)[O-] ZINC000450842449 383517515 /nfs/dbraw/zinc/51/75/15/383517515.db2.gz FYXWJAYNBWGHKL-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1nc(C(C)C)oc1Cn1ccc(C)c([N+](=O)[O-])c1=O ZINC000450865726 383527429 /nfs/dbraw/zinc/52/74/29/383527429.db2.gz DOYHOJPBIWTZIR-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2([S@@](C)=O)CCC2)c1 ZINC000280806856 194981529 /nfs/dbraw/zinc/98/15/29/194981529.db2.gz SQRAYQGYBZZQBW-LJQANCHMSA-N 0 0 282.365 2.616 20 5 CFBDRN CCc1ccc(C(=O)Cn2cc([N+](=O)[O-])c(C)n2)cc1 ZINC000450966739 383572333 /nfs/dbraw/zinc/57/23/33/383572333.db2.gz ZDNBBYFNQXAJRD-UHFFFAOYSA-N 0 0 273.292 2.545 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341126970 383575181 /nfs/dbraw/zinc/57/51/81/383575181.db2.gz MUKPJFHHWJCURT-NSHDSACASA-N 0 0 291.351 2.625 20 5 CFBDRN COc1cc(Cn2cnc3c2CCCC3)ccc1[N+](=O)[O-] ZINC000450981844 383576725 /nfs/dbraw/zinc/57/67/25/383576725.db2.gz ZGKYHQBYAPQCKZ-UHFFFAOYSA-N 0 0 287.319 2.727 20 5 CFBDRN CC(C)(C(=O)NCc1cccnc1)c1ccccc1[N+](=O)[O-] ZINC000429910725 383577305 /nfs/dbraw/zinc/57/73/05/383577305.db2.gz PSZYDTZJEPNYOR-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])nc2)Cc2ccccc2O1 ZINC000488781889 383577549 /nfs/dbraw/zinc/57/75/49/383577549.db2.gz IUYXVTXROCGWPB-LLVKDONJSA-N 0 0 285.303 2.777 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCS[C@H](C)[C@@H]1C ZINC000430214469 383590824 /nfs/dbraw/zinc/59/08/24/383590824.db2.gz ZJVHOBNQSQXMNP-WDEREUQCSA-N 0 0 296.392 2.929 20 5 CFBDRN CC1=CCCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000280833807 194993244 /nfs/dbraw/zinc/99/32/44/194993244.db2.gz XGKPKXUILQPQKX-UHFFFAOYSA-N 0 0 285.303 2.868 20 5 CFBDRN COc1cccnc1CN[C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000570409496 383602040 /nfs/dbraw/zinc/60/20/40/383602040.db2.gz AEJCGXYYDKBDHK-ZDUSSCGKSA-N 0 0 299.330 2.776 20 5 CFBDRN COc1cc(C(=O)N(C)[C@H]2CC2(C)C)cc([N+](=O)[O-])c1C ZINC000489329037 383607469 /nfs/dbraw/zinc/60/74/69/383607469.db2.gz NIZDSODVBCNNPD-ZDUSSCGKSA-N 0 0 292.335 2.782 20 5 CFBDRN COC[C@@H]1CCCCN1c1ccccc1[N+](=O)[O-] ZINC000450933386 383556391 /nfs/dbraw/zinc/55/63/91/383556391.db2.gz DJRVUKQYHOWPLU-NSHDSACASA-N 0 0 250.298 2.600 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000429814876 383570875 /nfs/dbraw/zinc/57/08/75/383570875.db2.gz XRDMSZAZESDCFJ-GFCCVEGCSA-N 0 0 276.336 2.787 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1cccc(CO)c1 ZINC000429805960 383570913 /nfs/dbraw/zinc/57/09/13/383570913.db2.gz JCRKCRKGZSTARY-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000570894056 383645220 /nfs/dbraw/zinc/64/52/20/383645220.db2.gz MVJXOFWNZUSSBH-WCQYABFASA-N 0 0 273.292 2.732 20 5 CFBDRN COc1cc(CN(C)[C@@H]2CC2(C)C)c([N+](=O)[O-])cc1F ZINC000489516555 383625955 /nfs/dbraw/zinc/62/59/55/383625955.db2.gz QCZQQOVHQYASKZ-CYBMUJFWSA-N 0 0 282.315 2.973 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000431163418 383636044 /nfs/dbraw/zinc/63/60/44/383636044.db2.gz XKBLEMXZYRJHHM-WCBMZHEXSA-N 0 0 280.711 2.777 20 5 CFBDRN CC(C)c1noc(OCCOc2cccc([N+](=O)[O-])c2)n1 ZINC000264553706 383754232 /nfs/dbraw/zinc/75/42/32/383754232.db2.gz UYYDNUSBLBAZAO-UHFFFAOYSA-N 0 0 293.279 2.559 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000264627808 383766189 /nfs/dbraw/zinc/76/61/89/383766189.db2.gz XJTLRENNLWRNQQ-ZJUUUORDSA-N 0 0 294.355 2.579 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCC[C@H]1CCOC1 ZINC000453114397 383771602 /nfs/dbraw/zinc/77/16/02/383771602.db2.gz FKVVTPROVPEEAK-NSHDSACASA-N 0 0 264.325 2.996 20 5 CFBDRN CCCNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 ZINC000435817664 383780234 /nfs/dbraw/zinc/78/02/34/383780234.db2.gz JRNFCMGXWDORRA-UHFFFAOYSA-N 0 0 298.317 2.960 20 5 CFBDRN CCCCN(C(=O)c1[nH]nc(C)c1[N+](=O)[O-])[C@H](C)CC ZINC000264735805 383778459 /nfs/dbraw/zinc/77/84/59/383778459.db2.gz IUTINBBIZYLPSK-SECBINFHSA-N 0 0 282.344 2.667 20 5 CFBDRN Cc1cccc(N2CCO[C@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000571221443 383674561 /nfs/dbraw/zinc/67/45/61/383674561.db2.gz MLKQGBOHLYEHKD-AWEZNQCLSA-N 0 0 276.336 2.909 20 5 CFBDRN CC(C)Oc1nc(N2[C@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000572781577 383817480 /nfs/dbraw/zinc/81/74/80/383817480.db2.gz VCNIKXNIBZTVDP-AOOOYVTPSA-N 0 0 265.313 2.764 20 5 CFBDRN Cc1nn(CC(=O)c2ccc(Cl)cc2)cc1[N+](=O)[O-] ZINC000436473624 383821253 /nfs/dbraw/zinc/82/12/53/383821253.db2.gz JKZWOLRZOYZNMH-UHFFFAOYSA-N 0 0 279.683 2.636 20 5 CFBDRN Cc1nn([C@@H](C)C(=O)c2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000436472833 383821419 /nfs/dbraw/zinc/82/14/19/383821419.db2.gz AMIQQLFWGYWTSM-VIFPVBQESA-N 0 0 277.255 2.683 20 5 CFBDRN CN(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C1CC1 ZINC000436079256 383783413 /nfs/dbraw/zinc/78/34/13/383783413.db2.gz BKOYKCRVARSSIU-UHFFFAOYSA-N 0 0 292.338 2.916 20 5 CFBDRN C[C@H](NC(=O)C1CCSCC1)c1cccc([N+](=O)[O-])c1 ZINC000264816446 383786231 /nfs/dbraw/zinc/78/62/31/383786231.db2.gz DHRVCVMGFGANLF-JTQLQIEISA-N 0 0 294.376 2.915 20 5 CFBDRN CCc1ccccc1CCn1cc([N+](=O)[O-])c(C)cc1=O ZINC000436192053 383786545 /nfs/dbraw/zinc/78/65/45/383786545.db2.gz AEAYKWBBBFFXGD-UHFFFAOYSA-N 0 0 286.331 2.870 20 5 CFBDRN C[C@H](Cn1cc([N+](=O)[O-])c(C2CC2)n1)C(F)(F)F ZINC000436209959 383788597 /nfs/dbraw/zinc/78/85/97/383788597.db2.gz MMDGFURVBPNHQY-ZCFIWIBFSA-N 0 0 263.219 2.867 20 5 CFBDRN CC(C)Oc1nc(N2C[C@@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000572541643 383791416 /nfs/dbraw/zinc/79/14/16/383791416.db2.gz DTERGBYFEBORCY-GHMZBOCLSA-N 0 0 279.340 2.869 20 5 CFBDRN Cc1c(NC(=O)C2CCSCC2)cccc1[N+](=O)[O-] ZINC000264858565 383792170 /nfs/dbraw/zinc/79/21/70/383792170.db2.gz PHJWXJAHNMFXDR-UHFFFAOYSA-N 0 0 280.349 2.985 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1CCSCC1 ZINC000264869582 383793742 /nfs/dbraw/zinc/79/37/42/383793742.db2.gz TXDSZAFBTANPQO-UHFFFAOYSA-N 0 0 266.322 2.677 20 5 CFBDRN C[C@@H]1CC[N@H+](CCn2cc([N+](=O)[O-])cn2)[C@@H]2CCCC[C@@H]12 ZINC000530865470 383798374 /nfs/dbraw/zinc/79/83/74/383798374.db2.gz PWJWOPZCGGDZBJ-VHDGCEQUSA-N 0 0 292.383 2.692 20 5 CFBDRN C[C@@H]1CC[N@H+](CCn2cc([N+](=O)[O-])cn2)[C@H]2CCCC[C@H]12 ZINC000530865471 383798429 /nfs/dbraw/zinc/79/84/29/383798429.db2.gz PWJWOPZCGGDZBJ-YUELXQCFSA-N 0 0 292.383 2.692 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@@H]1C ZINC000265124882 383838644 /nfs/dbraw/zinc/83/86/44/383838644.db2.gz LAHZJKIPKJNUIN-NWDGAFQWSA-N 0 0 291.351 2.796 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@H]1C ZINC000265124888 383839016 /nfs/dbraw/zinc/83/90/16/383839016.db2.gz LAHZJKIPKJNUIN-VXGBXAGGSA-N 0 0 291.351 2.796 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]1C(C)C ZINC000572963451 383848298 /nfs/dbraw/zinc/84/82/98/383848298.db2.gz CRVUOFIQFZTEGQ-QWRGUYRKSA-N 0 0 277.324 2.867 20 5 CFBDRN COC(=O)[C@H](C)CSc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000265188201 383854687 /nfs/dbraw/zinc/85/46/87/383854687.db2.gz GSDGEYJVTIJDPJ-MRVPVSSYSA-N 0 0 297.332 2.699 20 5 CFBDRN CCOc1ccc(Nc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000265203832 383858040 /nfs/dbraw/zinc/85/80/40/383858040.db2.gz DSRYYZQJQNJLRL-UHFFFAOYSA-N 0 0 276.296 2.779 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[N@@H+](C)C[C@H](C)C(=O)[O-] ZINC000573098279 383870822 /nfs/dbraw/zinc/87/08/22/383870822.db2.gz VRFIEGBGBFDAQE-WDEREUQCSA-N 0 0 280.324 2.617 20 5 CFBDRN CC[C@@](C)(CNC(=O)Nc1cccc([N+](=O)[O-])c1)OC ZINC000454139208 383911153 /nfs/dbraw/zinc/91/11/53/383911153.db2.gz DMHHBLGREUXPCQ-ZDUSSCGKSA-N 0 0 281.312 2.531 20 5 CFBDRN CC[C@H](O)[C@H](CC)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000454142611 383914302 /nfs/dbraw/zinc/91/43/02/383914302.db2.gz IAZSGVBLYOEVHF-AAEUAGOBSA-N 0 0 295.339 2.574 20 5 CFBDRN CC(C)C1(NC(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000454157802 383920969 /nfs/dbraw/zinc/92/09/69/383920969.db2.gz YVNAHMSGUBEXLP-UHFFFAOYSA-N 0 0 263.297 2.905 20 5 CFBDRN Cc1nc(NCCOc2ccc([N+](=O)[O-])cc2)sc1C ZINC000531797465 383922015 /nfs/dbraw/zinc/92/20/15/383922015.db2.gz HXQSNSONSJFNHQ-UHFFFAOYSA-N 0 0 293.348 2.581 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC(c3ncc[nH]3)CC2)cs1 ZINC000265487621 383930678 /nfs/dbraw/zinc/93/06/78/383930678.db2.gz MEIZXBSNZOQWPM-UHFFFAOYSA-N 0 0 292.364 2.759 20 5 CFBDRN C[C@H](O)[C@@H]1CCCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000265496662 383932347 /nfs/dbraw/zinc/93/23/47/383932347.db2.gz WVWXLOZFYZUSNG-HZMBPMFUSA-N 0 0 298.770 2.984 20 5 CFBDRN Cc1ccnc(N[C@H](C)COCC(F)(F)F)c1[N+](=O)[O-] ZINC000265299561 383889850 /nfs/dbraw/zinc/88/98/50/383889850.db2.gz ZRCNPHXVJNXABI-MRVPVSSYSA-N 0 0 293.245 2.678 20 5 CFBDRN COc1ccc(CNC(=O)[C@H](C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000265324570 383895579 /nfs/dbraw/zinc/89/55/79/383895579.db2.gz VLRKBHMDNZHFOK-JTQLQIEISA-N 0 0 294.351 2.902 20 5 CFBDRN CC(C)(C(=O)NCc1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000265695341 383980020 /nfs/dbraw/zinc/98/00/20/383980020.db2.gz HYGRIDYMADUBSN-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532138083 383981921 /nfs/dbraw/zinc/98/19/21/383981921.db2.gz IBJJHAXRBUYIQW-WDEREUQCSA-N 0 0 277.324 2.688 20 5 CFBDRN C[C@H](CN(C)C(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000532138375 383982204 /nfs/dbraw/zinc/98/22/04/383982204.db2.gz HARLCBIKIGWANU-GFCCVEGCSA-N 0 0 299.330 2.866 20 5 CFBDRN CC(C)C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532144319 383984255 /nfs/dbraw/zinc/98/42/55/383984255.db2.gz OIMIFHJTAIZAED-GFCCVEGCSA-N 0 0 291.351 2.888 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)[C@@H](C)[C@@H](C)O1 ZINC000438359928 383992546 /nfs/dbraw/zinc/99/25/46/383992546.db2.gz RKVLHWFIIQLPRM-KXUCPTDWSA-N 0 0 270.354 2.654 20 5 CFBDRN COC[C@H]1CCN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000265777164 383998221 /nfs/dbraw/zinc/99/82/21/383998221.db2.gz FBKPTEARMYSZBC-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1ccc(OC[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000531876187 383935867 /nfs/dbraw/zinc/93/58/67/383935867.db2.gz SURYPJCTMCPJNQ-NSHDSACASA-N 0 0 251.282 2.709 20 5 CFBDRN CCN(CCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531878125 383936137 /nfs/dbraw/zinc/93/61/37/383936137.db2.gz DWNLEFFMKFOOPW-UHFFFAOYSA-N 0 0 299.330 2.695 20 5 CFBDRN CC(C)[N@@H+]1CC[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000265522314 383939037 /nfs/dbraw/zinc/93/90/37/383939037.db2.gz MCIFQFOXUAJZKM-SECBINFHSA-N 0 0 284.747 2.538 20 5 CFBDRN CCCC1(C(=O)NCCOc2ccc([N+](=O)[O-])cc2)CC1 ZINC000532109102 383975982 /nfs/dbraw/zinc/97/59/82/383975982.db2.gz NIBOLLAHAACLJM-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CC(C)[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532392166 384023289 /nfs/dbraw/zinc/02/32/89/384023289.db2.gz ICIKQFPNLJRRGH-NEPJUHHUSA-N 0 0 291.351 2.934 20 5 CFBDRN C[C@@H]1CC(NC(=O)c2ccc([N+](=O)[O-])cn2)C[C@@H](C)C1 ZINC000532392627 384023467 /nfs/dbraw/zinc/02/34/67/384023467.db2.gz AWUNEQPNIKFGTC-UWVGGRQHSA-N 0 0 277.324 2.544 20 5 CFBDRN Cc1cc(C(=O)N(C)CCCOC(C)C)ccc1[N+](=O)[O-] ZINC000265812820 384006476 /nfs/dbraw/zinc/00/64/76/384006476.db2.gz UVNNEKFNOLJPRV-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]2c2ncon2)c1 ZINC000532296755 384006504 /nfs/dbraw/zinc/00/65/04/384006504.db2.gz NKIIOMOULAGLBH-LLVKDONJSA-N 0 0 274.280 2.628 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CCC[C@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000454366808 384010431 /nfs/dbraw/zinc/01/04/31/384010431.db2.gz QJHQWEASCKHBKW-BIMULSAOSA-N 0 0 295.314 2.846 20 5 CFBDRN COc1cc(NCCOC(C)(C)C)c([N+](=O)[O-])cc1F ZINC000532334270 384011882 /nfs/dbraw/zinc/01/18/82/384011882.db2.gz FFFRDRVAFQZJAS-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN C[C@@H]1CC=C(C(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000454800618 384037961 /nfs/dbraw/zinc/03/79/61/384037961.db2.gz LNRMXEQZQNOAAV-LLVKDONJSA-N 0 0 274.320 2.957 20 5 CFBDRN O=C(CCc1ccoc1)Nc1ccc([N+](=O)[O-])cc1F ZINC000439816634 384040530 /nfs/dbraw/zinc/04/05/30/384040530.db2.gz YQUOQNGVCNUHCQ-UHFFFAOYSA-N 0 0 278.239 2.898 20 5 CFBDRN CC(C)(C)SCC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266012567 384054488 /nfs/dbraw/zinc/05/44/88/384054488.db2.gz DEIBZAUAHQMYCD-UHFFFAOYSA-N 0 0 296.392 2.785 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)C[C@H]2CCCCO2)c1 ZINC000266069281 384068565 /nfs/dbraw/zinc/06/85/65/384068565.db2.gz LQTMKJPMCOUAMD-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@@H]2OCC[C@@H]2C1 ZINC000574273307 384071272 /nfs/dbraw/zinc/07/12/72/384071272.db2.gz MSHAQVMEWCOSQY-ABAIWWIYSA-N 0 0 299.330 2.758 20 5 CFBDRN COCCCCN(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000574502549 384105638 /nfs/dbraw/zinc/10/56/38/384105638.db2.gz CUPBEPUQAYDXMM-LBPRGKRZSA-N 0 0 294.351 2.583 20 5 CFBDRN Cc1cnc(NC[C@H](c2ccco2)[NH+](C)C)c([N+](=O)[O-])c1 ZINC000266233730 384123566 /nfs/dbraw/zinc/12/35/66/384123566.db2.gz VCZXOSVCJVZLSB-GFCCVEGCSA-N 0 0 290.323 2.606 20 5 CFBDRN COc1cc(NC[C@@H]2CC[C@H](C)O2)c([N+](=O)[O-])cc1F ZINC000413380741 533035719 /nfs/dbraw/zinc/03/57/19/533035719.db2.gz VEQKRSUETJYINI-IUCAKERBSA-N 0 0 284.287 2.722 20 5 CFBDRN COc1cc(NC[C@H]2CC[C@H](C)O2)c([N+](=O)[O-])cc1F ZINC000413380739 533036155 /nfs/dbraw/zinc/03/61/55/533036155.db2.gz VEQKRSUETJYINI-DTWKUNHWSA-N 0 0 284.287 2.722 20 5 CFBDRN CC[C@@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000266317356 384145259 /nfs/dbraw/zinc/14/52/59/384145259.db2.gz QYGSYWIMSHQWEN-ZDUSSCGKSA-N 0 0 265.313 2.575 20 5 CFBDRN COCCOC1CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000120609442 285084777 /nfs/dbraw/zinc/08/47/77/285084777.db2.gz QOLMYONJPXLQIC-UHFFFAOYSA-N 0 0 294.351 2.535 20 5 CFBDRN CCOC[C@@H]1CCCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000266374989 384160614 /nfs/dbraw/zinc/16/06/14/384160614.db2.gz MHZYBVCILNSWCW-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1CC[C@H](C)O1 ZINC000455184329 384162634 /nfs/dbraw/zinc/16/26/34/384162634.db2.gz LJEIMNLJNJPWGP-JQWIXIFHSA-N 0 0 293.323 2.592 20 5 CFBDRN CNC(=O)c1ccc(N2C[C@H](C)CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000266485821 384184920 /nfs/dbraw/zinc/18/49/20/384184920.db2.gz RFGOQMGMYQWUKW-MNOVXSKESA-N 0 0 291.351 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCc2n[nH]cc2C1 ZINC000332594321 285089051 /nfs/dbraw/zinc/08/90/51/285089051.db2.gz OLLJIZZQKFCNBT-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCCC(F)(F)F ZINC000266464814 384180702 /nfs/dbraw/zinc/18/07/02/384180702.db2.gz AORCDYOIWLPPQE-UHFFFAOYSA-N 0 0 290.241 2.976 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC1CCSCC1 ZINC000157827506 285092557 /nfs/dbraw/zinc/09/25/57/285092557.db2.gz CKGJJCBNNXPVLZ-UHFFFAOYSA-N 0 0 273.745 2.951 20 5 CFBDRN O=C(NCCCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000266553489 384197791 /nfs/dbraw/zinc/19/77/91/384197791.db2.gz PFGBDQAFPFEKNM-UHFFFAOYSA-N 0 0 276.214 2.667 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2C[C@@H]3C[C@H]2CS3)c(F)c1 ZINC000335583266 285093194 /nfs/dbraw/zinc/09/31/94/285093194.db2.gz AGZSRZXNIINORX-IUCAKERBSA-N 0 0 286.303 2.563 20 5 CFBDRN COc1ccc(CN[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000277204155 384230998 /nfs/dbraw/zinc/23/09/98/384230998.db2.gz VXZBPZHPLHBLFM-ZETCQYMHSA-N 0 0 278.230 2.644 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cnn(C)c1)c1ccccc1[N+](=O)[O-] ZINC000266774798 384235702 /nfs/dbraw/zinc/23/57/02/384235702.db2.gz KVVFDYAYQGUXFU-WDEREUQCSA-N 0 0 274.324 2.740 20 5 CFBDRN CCNC(=O)C1(CNc2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000277155120 384216199 /nfs/dbraw/zinc/21/61/99/384216199.db2.gz GCJGGJTUTIEIMQ-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000277151183 384216824 /nfs/dbraw/zinc/21/68/24/384216824.db2.gz RMGMMMKVYRGFRJ-ZJUUUORDSA-N 0 0 291.307 2.619 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC23CCC3)s1 ZINC000342823856 285099162 /nfs/dbraw/zinc/09/91/62/285099162.db2.gz JMNYRNLWLFWPHT-UHFFFAOYSA-N 0 0 276.321 2.732 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H](C)[C@@H]1C ZINC000277357589 384278068 /nfs/dbraw/zinc/27/80/68/384278068.db2.gz CBDHVBMORAXINU-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN CCc1cnc(CN(C)c2ncc([N+](=O)[O-])cc2C)s1 ZINC000266994540 384275245 /nfs/dbraw/zinc/27/52/45/384275245.db2.gz BHQKKROYHSEHHK-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN CC(=O)NC1CCC(Nc2ccc([N+](=O)[O-])cc2C)CC1 ZINC000266993095 384275432 /nfs/dbraw/zinc/27/54/32/384275432.db2.gz WAUYMGPEEDHDPP-UHFFFAOYSA-N 0 0 291.351 2.762 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2CC[C@@H](F)C2)s1 ZINC000340850282 285100861 /nfs/dbraw/zinc/10/08/61/285100861.db2.gz MIZMOVGZKHPRBL-RQJHMYQMSA-N 0 0 282.300 2.902 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000267164363 384311738 /nfs/dbraw/zinc/31/17/38/384311738.db2.gz AWAYNVZAWIZYAQ-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H]1CSCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000277509484 384322911 /nfs/dbraw/zinc/32/29/11/384322911.db2.gz WGYZFLRGBHRCLC-JTQLQIEISA-N 0 0 252.339 2.784 20 5 CFBDRN CCOC(=O)CSCc1ccc([N+](=O)[O-])cc1F ZINC000267042700 384286196 /nfs/dbraw/zinc/28/61/96/384286196.db2.gz NOXJQXQODGADOM-UHFFFAOYSA-N 0 0 273.285 2.530 20 5 CFBDRN C[C@@H]1CSCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000277394867 384290326 /nfs/dbraw/zinc/29/03/26/384290326.db2.gz JXNDOBRQDKITDB-QMMMGPOBSA-N 0 0 287.772 2.833 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277558184 384337884 /nfs/dbraw/zinc/33/78/84/384337884.db2.gz JRKSTNKPHZZYKO-JTQLQIEISA-N 0 0 280.324 2.722 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000277620540 384356500 /nfs/dbraw/zinc/35/65/00/384356500.db2.gz MIQPYOZAJPUBAA-RDDDGLTNSA-N 0 0 272.251 2.710 20 5 CFBDRN CCC(O)(CC)CCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000277674743 384371486 /nfs/dbraw/zinc/37/14/86/384371486.db2.gz CFAOYIJWKQIHLZ-UHFFFAOYSA-N 0 0 293.367 2.916 20 5 CFBDRN COC(C)(C)c1noc(-c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000267233303 384331221 /nfs/dbraw/zinc/33/12/21/384331221.db2.gz WWGTWKJCBLHQCV-UHFFFAOYSA-N 0 0 277.280 2.835 20 5 CFBDRN C[C@@H]1[C@H](c2ccccc2)CCN1c1c([N+](=O)[O-])ncn1C ZINC000267525420 384407456 /nfs/dbraw/zinc/40/74/56/384407456.db2.gz HXDVVJVMTRMJDN-DGCLKSJQSA-N 0 0 286.335 2.711 20 5 CFBDRN C[C@@H]1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000267603742 384423303 /nfs/dbraw/zinc/42/33/03/384423303.db2.gz FTJLAXQGIXEARV-VXGBXAGGSA-N 0 0 290.363 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3CCOCC3)n2)cc1 ZINC000264635974 285106319 /nfs/dbraw/zinc/10/63/19/285106319.db2.gz PKMNZCAYSSGVDM-UHFFFAOYSA-N 0 0 275.264 2.539 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C[C@H]1C ZINC000267606810 384424488 /nfs/dbraw/zinc/42/44/88/384424488.db2.gz GGGYVXWTFPSNSS-AOOOYVTPSA-N 0 0 287.319 2.804 20 5 CFBDRN CC1CC(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000267726130 384452702 /nfs/dbraw/zinc/45/27/02/384452702.db2.gz FQMDPBBVKUGCAH-UHFFFAOYSA-N 0 0 273.292 2.604 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCc1cc(C)no1 ZINC000343954484 220279853 /nfs/dbraw/zinc/27/98/53/220279853.db2.gz ORNLZNBURBYYFQ-UHFFFAOYSA-N 0 0 291.307 2.692 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C[C@H]1C ZINC000267658394 384435804 /nfs/dbraw/zinc/43/58/04/384435804.db2.gz MXDYZJVZZLUFFM-NXEZZACHSA-N 0 0 287.319 2.804 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCCSC1 ZINC000277896617 384436225 /nfs/dbraw/zinc/43/62/25/384436225.db2.gz DSVHGEHJABGMEC-JTQLQIEISA-N 0 0 280.349 2.529 20 5 CFBDRN C[C@H]1CN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C[C@H]1C ZINC000267658393 384436490 /nfs/dbraw/zinc/43/64/90/384436490.db2.gz MXDYZJVZZLUFFM-AOOOYVTPSA-N 0 0 287.319 2.804 20 5 CFBDRN Cc1ocnc1C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000267681311 384441509 /nfs/dbraw/zinc/44/15/09/384441509.db2.gz BRZIOEAPCMAWON-VIFPVBQESA-N 0 0 289.291 2.691 20 5 CFBDRN Cc1c(Nc2c([N+](=O)[O-])ncn2C)cnn1C1CCCC1 ZINC000278053255 384467397 /nfs/dbraw/zinc/46/73/97/384467397.db2.gz RPPFFXDLOXNIAS-UHFFFAOYSA-N 0 0 290.327 2.692 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2ncco2)c2c(c1)COCO2 ZINC000278074861 384470216 /nfs/dbraw/zinc/47/02/16/384470216.db2.gz WGMNECBMBQGTCC-UHFFFAOYSA-N 0 0 294.288 2.742 20 5 CFBDRN COc1cc(OCc2scnc2C)ccc1[N+](=O)[O-] ZINC000289416234 197336375 /nfs/dbraw/zinc/33/63/75/197336375.db2.gz VOHHZEGURSGPOV-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN COC(C)(C)c1noc(-c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000267895060 384485006 /nfs/dbraw/zinc/48/50/06/384485006.db2.gz WCFIJZLCTAHDAL-UHFFFAOYSA-N 0 0 277.280 2.835 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@H]1C[C@H]1C ZINC000267956942 384497562 /nfs/dbraw/zinc/49/75/62/384497562.db2.gz KLSXUVLVARTYFC-MWLCHTKSSA-N 0 0 277.324 2.989 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000352289518 220301253 /nfs/dbraw/zinc/30/12/53/220301253.db2.gz JNLKYDWAXWXRKO-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@H]1c1ncon1 ZINC000376227196 285117528 /nfs/dbraw/zinc/11/75/28/285117528.db2.gz ILDJHGVGNYSUDY-JTQLQIEISA-N 0 0 294.698 2.973 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000268098974 384531724 /nfs/dbraw/zinc/53/17/24/384531724.db2.gz FERUGSSZGXVBDV-TXEJJXNPSA-N 0 0 299.330 2.948 20 5 CFBDRN CCN(C(=O)NCc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000268153952 384548508 /nfs/dbraw/zinc/54/85/08/384548508.db2.gz PGMAATAKJTVLTJ-UHFFFAOYSA-N 0 0 297.742 2.942 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(F)(F)C2)c2ccncc21 ZINC000345108186 285121211 /nfs/dbraw/zinc/12/12/11/285121211.db2.gz UXVWXDSHADPFQN-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN C[C@H](C[C@@H](O)c1ccccc1)Nc1ccc([N+](=O)[O-])cn1 ZINC000268132930 384539273 /nfs/dbraw/zinc/53/92/73/384539273.db2.gz AXHHKRXYBAIBAG-BXUZGUMPSA-N 0 0 287.319 2.914 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1C[C@@H]2CCC[C@H]2C1 ZINC000268137053 384540291 /nfs/dbraw/zinc/54/02/91/384540291.db2.gz OVRILZMVMNPGPM-QWRGUYRKSA-N 0 0 299.330 2.948 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)[C@H]1CCCCO1 ZINC000278438966 384573112 /nfs/dbraw/zinc/57/31/12/384573112.db2.gz DXGLQQSNICLXGE-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]3OCC[C@H]32)c2ccncc21 ZINC000366508919 285124745 /nfs/dbraw/zinc/12/47/45/285124745.db2.gz YVLAPKSBHCVVKT-HZPDHXFCSA-N 0 0 299.330 2.901 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000414723119 533077298 /nfs/dbraw/zinc/07/72/98/533077298.db2.gz BFDHAYDQBZVKHA-UHFFFAOYSA-N 0 0 266.297 2.596 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)O1 ZINC000268251049 384580371 /nfs/dbraw/zinc/58/03/71/384580371.db2.gz PKLATPJJKNLFTD-CABZTGNLSA-N 0 0 282.271 2.630 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC3(CCC3)C2)c2cccnc21 ZINC000413217439 285126315 /nfs/dbraw/zinc/12/63/15/285126315.db2.gz ZJFASWLONDXRPM-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN C[C@@H]1CCCCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278513395 384588457 /nfs/dbraw/zinc/58/84/57/384588457.db2.gz RQGRWSROHDEUKS-SECBINFHSA-N 0 0 260.297 2.850 20 5 CFBDRN Cc1ccc(C(=O)Nc2nc(C3CC3)c[nH]2)cc1[N+](=O)[O-] ZINC000289324356 197297668 /nfs/dbraw/zinc/29/76/68/197297668.db2.gz IQLVPEHGVQZVCT-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CCC(O)(CC)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000268204329 384564988 /nfs/dbraw/zinc/56/49/88/384564988.db2.gz ZRADKZKDJMVZHL-UHFFFAOYSA-N 0 0 286.303 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3ncc[nH]3)C2)c(F)c1 ZINC000263923114 285123758 /nfs/dbraw/zinc/12/37/58/285123758.db2.gz WQPVKYWPWKBPPJ-SNVBAGLBSA-N 0 0 290.298 2.841 20 5 CFBDRN COc1cc(N2CCO[C@H](C(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000279055525 384605787 /nfs/dbraw/zinc/60/57/87/384605787.db2.gz AKCZLGABVVOPHG-AWEZNQCLSA-N 0 0 294.351 2.855 20 5 CFBDRN C[C@H]1CN(Cc2csc([N+](=O)[O-])c2)C[C@H](C2CC2)O1 ZINC000279368345 384616379 /nfs/dbraw/zinc/61/63/79/384616379.db2.gz RNQDVSWCGXKPCF-JOYOIKCWSA-N 0 0 282.365 2.656 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000268385214 384616786 /nfs/dbraw/zinc/61/67/86/384616786.db2.gz KQGIHYNNKBIVLT-GYSYKLTISA-N 0 0 262.309 2.964 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000268297103 384592824 /nfs/dbraw/zinc/59/28/24/384592824.db2.gz WTUVPVKCDZZPKI-LLVKDONJSA-N 0 0 299.758 2.895 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC=C(F)C2)c1 ZINC000278832882 384599197 /nfs/dbraw/zinc/59/91/97/384599197.db2.gz VFGQJDSYHDDYKT-UHFFFAOYSA-N 0 0 252.245 2.667 20 5 CFBDRN O=[N+]([O-])c1cc(CN2C[C@H](O)Cc3ccccc32)cs1 ZINC000278931664 384600995 /nfs/dbraw/zinc/60/09/95/384600995.db2.gz SRDJDEOEAURECL-GFCCVEGCSA-N 0 0 290.344 2.580 20 5 CFBDRN C[C@@](O)(COc1ccc([N+](=O)[O-])cc1Cl)C(F)(F)F ZINC000281152259 195125851 /nfs/dbraw/zinc/12/58/51/195125851.db2.gz AZASRCOKEBPMGC-SECBINFHSA-N 0 0 299.632 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCC3(CCOCC3)C2)n1 ZINC000268817261 384669235 /nfs/dbraw/zinc/66/92/35/384669235.db2.gz OAHKGNKSVYAEHH-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(CCOC3)C2)c(Cl)c1 ZINC000301624150 285129630 /nfs/dbraw/zinc/12/96/30/285129630.db2.gz ZFPBJTGKSGJDCJ-CYBMUJFWSA-N 0 0 282.727 2.865 20 5 CFBDRN CC1(C(=O)Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)CC1 ZINC000279834000 384779038 /nfs/dbraw/zinc/77/90/38/384779038.db2.gz CEZABRCSWPZVGN-UHFFFAOYSA-N 0 0 285.303 2.828 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269505229 384771572 /nfs/dbraw/zinc/77/15/72/384771572.db2.gz NSQVURBPBQJFKG-SNVBAGLBSA-N 0 0 296.371 2.950 20 5 CFBDRN COc1cc(OCc2nc(C)cs2)ccc1[N+](=O)[O-] ZINC000279933364 384818361 /nfs/dbraw/zinc/81/83/61/384818361.db2.gz LOIZKFQAEWTETB-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN CC(=O)c1ccc(OCC(=O)C2CCCC2)c([N+](=O)[O-])c1 ZINC000269678796 384830909 /nfs/dbraw/zinc/83/09/09/384830909.db2.gz QNFZXOQFGVWRLF-UHFFFAOYSA-N 0 0 291.303 2.936 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000269676490 384830991 /nfs/dbraw/zinc/83/09/91/384830991.db2.gz YVIVGXWMBUPREU-UHFFFAOYSA-N 0 0 282.250 2.967 20 5 CFBDRN CC1(C(=O)COc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000279837835 384781230 /nfs/dbraw/zinc/78/12/30/384781230.db2.gz CQKZQTHSEOFBBJ-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN Cc1c(Cc2nc(CC3CC3)no2)cccc1[N+](=O)[O-] ZINC000269579842 384794317 /nfs/dbraw/zinc/79/43/17/384794317.db2.gz AQTXGBIGTMARBK-UHFFFAOYSA-N 0 0 273.292 2.830 20 5 CFBDRN CC/C=C/CCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000280034528 384858844 /nfs/dbraw/zinc/85/88/44/384858844.db2.gz WEUUPVDOJVAIDC-ONEGZZNKSA-N 0 0 269.322 2.725 20 5 CFBDRN CC[C@@](C)(OC)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000280271204 384955269 /nfs/dbraw/zinc/95/52/69/384955269.db2.gz KTAUAJONFDZQSQ-CYBMUJFWSA-N 0 0 277.280 2.916 20 5 CFBDRN CC(C)CC[C@H](O)COc1c(F)cccc1[N+](=O)[O-] ZINC000280276589 384956812 /nfs/dbraw/zinc/95/68/12/384956812.db2.gz LGWWTVFRORNJHX-JTQLQIEISA-N 0 0 271.288 2.910 20 5 CFBDRN CN(c1ncc(Cl)cc1[N+](=O)[O-])[C@H]1CCSC1 ZINC000270050763 384957884 /nfs/dbraw/zinc/95/78/84/384957884.db2.gz CBNWWMXFYXQXHC-QMMMGPOBSA-N 0 0 273.745 2.585 20 5 CFBDRN Cc1nc(S[C@@H]2CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000269895174 384898013 /nfs/dbraw/zinc/89/80/13/384898013.db2.gz DIZBLAFYRFFRRA-PSASIEDQSA-N 0 0 254.311 2.568 20 5 CFBDRN Cc1cc(N2CCC[C@H]2C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000269910702 384901802 /nfs/dbraw/zinc/90/18/02/384901802.db2.gz VVRMDHDLQKAOFP-RYUDHWBXSA-N 0 0 264.325 2.643 20 5 CFBDRN COc1cccc(N2CCC[C@@](C)(OC)CC2)c1[N+](=O)[O-] ZINC000280174116 384914892 /nfs/dbraw/zinc/91/48/92/384914892.db2.gz HISXUEKLNSQOSW-OAHLLOKOSA-N 0 0 294.351 2.999 20 5 CFBDRN Cc1ccnc(NCC(C)(C)[C@@H](O)C(C)C)c1[N+](=O)[O-] ZINC000269979730 384929451 /nfs/dbraw/zinc/92/94/51/384929451.db2.gz ZWPYRYONIJVTBG-LBPRGKRZSA-N 0 0 281.356 2.753 20 5 CFBDRN COc1cc(OC[C@@H](O)CCC(C)C)ccc1[N+](=O)[O-] ZINC000280211346 384929581 /nfs/dbraw/zinc/92/95/81/384929581.db2.gz FORDZNKGCSZGPP-NSHDSACASA-N 0 0 283.324 2.779 20 5 CFBDRN Cc1cnccc1CCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000270012963 384940762 /nfs/dbraw/zinc/94/07/62/384940762.db2.gz UVDOAVZLULXMOQ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CC(C)n1ccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)n1 ZINC000270018521 384945276 /nfs/dbraw/zinc/94/52/76/384945276.db2.gz UQNVTVHMZHETOJ-UHFFFAOYSA-N 0 0 288.307 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@@H](F)C2)c1 ZINC000450605980 285147332 /nfs/dbraw/zinc/14/73/32/285147332.db2.gz RWJOZWMFCJAACX-SNVBAGLBSA-N 0 0 261.256 2.691 20 5 CFBDRN CC1=CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)CC1 ZINC000270060612 384961703 /nfs/dbraw/zinc/96/17/03/384961703.db2.gz GHQCLYZCWIRUCC-UHFFFAOYSA-N 0 0 292.360 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@H]4OCC[C@H]4C3)ccc2c1 ZINC000366799590 285150444 /nfs/dbraw/zinc/15/04/44/285150444.db2.gz UNRIPMQSTYZTAQ-SWLSCSKDSA-N 0 0 299.330 2.758 20 5 CFBDRN O=C(N[C@H]1C[C@H](c2ccccc2)C1)c1ccc([N+](=O)[O-])[nH]1 ZINC000280755108 385141970 /nfs/dbraw/zinc/14/19/70/385141970.db2.gz UCVVBENAXSPNFZ-HAQNSBGRSA-N 0 0 285.303 2.599 20 5 CFBDRN CCOCC(C)(C)CNc1nccc(C)c1[N+](=O)[O-] ZINC000289197118 197260059 /nfs/dbraw/zinc/26/00/59/197260059.db2.gz XESUKIVGECSNQV-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN CCC(=O)c1ccc(N(C)C[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000280735159 385134673 /nfs/dbraw/zinc/13/46/73/385134673.db2.gz NRKJVTMVHHXOMQ-GFCCVEGCSA-N 0 0 292.335 2.803 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1ncc(C)c(C)n1 ZINC000270666316 385182710 /nfs/dbraw/zinc/18/27/10/385182710.db2.gz DQJIBVMJRNVCBD-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CO[C@@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)[C@@H](C)C1 ZINC000281592888 385197554 /nfs/dbraw/zinc/19/75/54/385197554.db2.gz HDCDPVXGWRFHDA-WCBMZHEXSA-N 0 0 286.278 2.877 20 5 CFBDRN CCCN(C(=O)NCCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000270566590 385149160 /nfs/dbraw/zinc/14/91/60/385149160.db2.gz UNDGQCGFBMASCE-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN CCC(=O)c1ccc(S[C@@H](C)CO)c([N+](=O)[O-])c1 ZINC000280780964 385150729 /nfs/dbraw/zinc/15/07/29/385150729.db2.gz SUXVZMDVLMXIQW-QMMMGPOBSA-N 0 0 269.322 2.660 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCOCC12CCCC2 ZINC000270600998 385161225 /nfs/dbraw/zinc/16/12/25/385161225.db2.gz GVLKKUSWILXLQW-UHFFFAOYSA-N 0 0 276.336 2.740 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H](O)C(C)C)c([N+](=O)[O-])c1 ZINC000280831585 385171627 /nfs/dbraw/zinc/17/16/27/385171627.db2.gz ZEGZVNIUGXBBPX-CQSZACIVSA-N 0 0 280.324 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCN(C2CCC2)CC1 ZINC000432336517 285155124 /nfs/dbraw/zinc/15/51/24/285155124.db2.gz PWMSVXWVCPYSES-UHFFFAOYSA-N 0 0 295.770 2.923 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CCOC4(CCC4)C3)ccnc21 ZINC000432346900 285156984 /nfs/dbraw/zinc/15/69/84/285156984.db2.gz MHVMPQBJLRLZMB-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN C/C=C/C=C/C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289081622 197229993 /nfs/dbraw/zinc/22/99/93/197229993.db2.gz PSDPUBAQLKPLRU-COBAKMAKSA-N 0 0 274.320 2.728 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000270894132 385260093 /nfs/dbraw/zinc/26/00/93/385260093.db2.gz QUZODWCNCKSINW-SNVBAGLBSA-N 0 0 280.324 2.634 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H]2CCOC3(CCC3)C2)c1 ZINC000282256812 385262573 /nfs/dbraw/zinc/26/25/73/385262573.db2.gz RRWOYWRNEOCCDB-NSHDSACASA-N 0 0 277.324 2.691 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H]1c1ccncc1 ZINC000270911820 385268039 /nfs/dbraw/zinc/26/80/39/385268039.db2.gz HIWLERCKDZGICM-LBPRGKRZSA-N 0 0 270.292 2.726 20 5 CFBDRN Cc1cc(F)c(NC(=O)CC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000282346804 385289102 /nfs/dbraw/zinc/28/91/02/385289102.db2.gz GWHZBIGUIXHUBC-SNVBAGLBSA-N 0 0 296.298 2.940 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CC[C@H]1CCOC1 ZINC000282359890 385291985 /nfs/dbraw/zinc/29/19/85/385291985.db2.gz PVBRZEHOSORUTM-JTQLQIEISA-N 0 0 296.298 2.798 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])c(C)cc2F)O1 ZINC000282550766 385339388 /nfs/dbraw/zinc/33/93/88/385339388.db2.gz XFYITQIYBHFVOI-TVQRCGJNSA-N 0 0 296.298 2.938 20 5 CFBDRN COc1cc(N2C[C@@H]3CCC[C@@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000271123381 385342479 /nfs/dbraw/zinc/34/24/79/385342479.db2.gz YSQWNRGWGKOAOR-AOOOYVTPSA-N 0 0 280.299 2.979 20 5 CFBDRN CCSCCCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000282698367 385372192 /nfs/dbraw/zinc/37/21/92/385372192.db2.gz PVTXAFJPEZJLKJ-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN CC1(C)SC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000282721982 385376919 /nfs/dbraw/zinc/37/69/19/385376919.db2.gz WGZKERQPHUQUMP-SNVBAGLBSA-N 0 0 281.337 2.610 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1CCCSC1 ZINC000288953433 197200134 /nfs/dbraw/zinc/20/01/34/197200134.db2.gz KPKVDVZTNJUMKJ-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN CCc1ccc(C(=O)N2CCC=C(F)C2)cc1[N+](=O)[O-] ZINC000272108131 385449389 /nfs/dbraw/zinc/44/93/89/385449389.db2.gz OBWLYYYUCGYDKN-UHFFFAOYSA-N 0 0 278.283 2.857 20 5 CFBDRN C[C@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000272114567 385451679 /nfs/dbraw/zinc/45/16/79/385451679.db2.gz PRPARXQQSKICDR-QMMMGPOBSA-N 0 0 284.262 2.601 20 5 CFBDRN CS[C@H](C)CC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283042065 385427104 /nfs/dbraw/zinc/42/71/04/385427104.db2.gz BFRLYNJXEWDNLY-LLVKDONJSA-N 0 0 296.392 2.737 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCO[C@@H]1c1cccnc1 ZINC000283061960 385431035 /nfs/dbraw/zinc/43/10/35/385431035.db2.gz RYWQFUUQCVDLTA-DZGCQCFKSA-N 0 0 285.303 2.932 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@H]1CC=CCC1 ZINC000283069270 385431291 /nfs/dbraw/zinc/43/12/91/385431291.db2.gz CXXPEOXJLYJKRV-AWEZNQCLSA-N 0 0 288.347 2.952 20 5 CFBDRN Cc1cc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)co1 ZINC000283590447 385478180 /nfs/dbraw/zinc/47/81/80/385478180.db2.gz LVKXRJAOICNGBM-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC23CCOCC3)nc1 ZINC000283688110 385488432 /nfs/dbraw/zinc/48/84/32/385488432.db2.gz ZZCPBIBRHSATOZ-GFCCVEGCSA-N 0 0 277.324 2.751 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)CC(F)(F)F ZINC000283579458 385478535 /nfs/dbraw/zinc/47/85/35/385478535.db2.gz KZNPDBCPBLQDLC-UHFFFAOYSA-N 0 0 290.241 2.548 20 5 CFBDRN CC1(C)CCCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000283668603 385486388 /nfs/dbraw/zinc/48/63/88/385486388.db2.gz FHSQFFJZXWIPED-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000288868962 197182537 /nfs/dbraw/zinc/18/25/37/197182537.db2.gz LEOOGYVWAPZMNR-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN C[C@H](COCC(F)(F)F)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000283769245 385498010 /nfs/dbraw/zinc/49/80/10/385498010.db2.gz OMDZIAKRIGILDM-SSDOTTSWSA-N 0 0 293.245 2.556 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCc3occc3C2)c(F)c1 ZINC000413299078 285174503 /nfs/dbraw/zinc/17/45/03/285174503.db2.gz LJORFFGJZRNSAK-UHFFFAOYSA-N 0 0 277.255 2.675 20 5 CFBDRN CCOC1(C(=O)Oc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000283854438 385508098 /nfs/dbraw/zinc/50/80/98/385508098.db2.gz MLIPTKWQOZZCEB-UHFFFAOYSA-N 0 0 279.292 2.850 20 5 CFBDRN Cc1cc(F)c(NC(=O)N[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000283938296 385516468 /nfs/dbraw/zinc/51/64/68/385516468.db2.gz KUOPNZUJUFLZNM-MUWHJKNJSA-N 0 0 267.260 2.572 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3ccc(F)cc3C2)s1 ZINC000192501021 285178296 /nfs/dbraw/zinc/17/82/96/285178296.db2.gz RJBWDPBYLPJSSR-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000272404622 385529548 /nfs/dbraw/zinc/52/95/48/385529548.db2.gz BHOVLYVZUDYMIP-CABZTGNLSA-N 0 0 297.282 2.845 20 5 CFBDRN CCC[C@]1(CO)CCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000288827410 197174327 /nfs/dbraw/zinc/17/43/27/197174327.db2.gz HXMGUGQOLDSRJB-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CCC[C@@H]3C2)c(Cl)c1 ZINC000268209869 285179607 /nfs/dbraw/zinc/17/96/07/285179607.db2.gz IBQDYKGXJVZLEN-DTORHVGOSA-N 0 0 267.716 2.880 20 5 CFBDRN CCOC1(C(=O)NCc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000284194314 385545822 /nfs/dbraw/zinc/54/58/22/385545822.db2.gz HHQLCPCMQZUTFY-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC1(CCO)CCCC1 ZINC000288824766 197173955 /nfs/dbraw/zinc/17/39/55/197173955.db2.gz BBAUMKCYMVLYJZ-UHFFFAOYSA-N 0 0 268.288 2.841 20 5 CFBDRN Cc1nn(CCC(=O)O[C@@H]2CC[C@H](C)C2)c(C)c1[N+](=O)[O-] ZINC000272371490 385521271 /nfs/dbraw/zinc/52/12/71/385521271.db2.gz URGJYXIHZALEJW-JOYOIKCWSA-N 0 0 295.339 2.530 20 5 CFBDRN Cc1cc(F)c(NC(=O)NCCOC(C)C)cc1[N+](=O)[O-] ZINC000284038483 385527726 /nfs/dbraw/zinc/52/77/26/385527726.db2.gz PCHBUXFGGVVFEX-UHFFFAOYSA-N 0 0 299.302 2.589 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCSC2)c(Cl)c1 ZINC000230919300 285181481 /nfs/dbraw/zinc/18/14/81/285181481.db2.gz MBZBLUNCHLITDN-ZCFIWIBFSA-N 0 0 259.718 2.561 20 5 CFBDRN CCc1ccc([C@H](O)CNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000286370123 385600335 /nfs/dbraw/zinc/60/03/35/385600335.db2.gz FAFTWVZAVWTSHZ-CQSZACIVSA-N 0 0 287.319 2.698 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)O1 ZINC000272625270 385578315 /nfs/dbraw/zinc/57/83/15/385578315.db2.gz APLCXVFKEHGDOZ-JSGCOSHPSA-N 0 0 293.319 2.904 20 5 CFBDRN CC[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])C[C@@H](C)[S@]1=O ZINC000286269136 385580640 /nfs/dbraw/zinc/58/06/40/385580640.db2.gz HEYAHVIOYIXLSR-FKANQGBASA-N 0 0 296.392 2.639 20 5 CFBDRN C[C@@H](NC(=O)[C@]1(C)CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000272649862 385582842 /nfs/dbraw/zinc/58/28/42/385582842.db2.gz PNZHLPUSSVIHLP-IAQYHMDHSA-N 0 0 292.335 2.589 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCCOC(C)C ZINC000281195923 195144701 /nfs/dbraw/zinc/14/47/01/195144701.db2.gz MXIIGIAKCNKHSJ-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000286306552 385586453 /nfs/dbraw/zinc/58/64/53/385586453.db2.gz IROIYRTWJSWKKQ-RKDXNWHRSA-N 0 0 286.278 2.958 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000286306547 385586527 /nfs/dbraw/zinc/58/65/27/385586527.db2.gz IROIYRTWJSWKKQ-DTWKUNHWSA-N 0 0 286.278 2.958 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000286443920 385622536 /nfs/dbraw/zinc/62/25/36/385622536.db2.gz IXMXCXIGLXIKHP-UHFFFAOYSA-N 0 0 266.297 2.645 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1c(F)cccc1[N+](=O)[O-] ZINC000286479611 385630962 /nfs/dbraw/zinc/63/09/62/385630962.db2.gz UXGBUBVZEPIHCV-ZJUUUORDSA-N 0 0 268.288 2.818 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000286498147 385635358 /nfs/dbraw/zinc/63/53/58/385635358.db2.gz WHMWMVOIQIAURC-UWVGGRQHSA-N 0 0 290.323 2.556 20 5 CFBDRN CCC[C@]1(CO)CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000288713855 197150345 /nfs/dbraw/zinc/15/03/45/197150345.db2.gz CQJAFNZKAKRILM-AWEZNQCLSA-N 0 0 282.315 2.723 20 5 CFBDRN C[C@@H]1C[C@H](CNc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000286544484 385644699 /nfs/dbraw/zinc/64/46/99/385644699.db2.gz PSNSJRKNPYWPFK-NXEZZACHSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000272908478 385648861 /nfs/dbraw/zinc/64/88/61/385648861.db2.gz DOEMBXMSNNLXOW-NHYWBVRUSA-N 0 0 292.335 2.589 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000286560574 385649698 /nfs/dbraw/zinc/64/96/98/385649698.db2.gz UUQKXKPDVCGRKS-UHFFFAOYSA-N 0 0 293.302 2.693 20 5 CFBDRN CCOCCN(CC)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000286564712 385651254 /nfs/dbraw/zinc/65/12/54/385651254.db2.gz VPQLMAFACPFAGI-UHFFFAOYSA-N 0 0 288.294 2.731 20 5 CFBDRN Cc1c(OC(=O)OC[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000286614355 385665787 /nfs/dbraw/zinc/66/57/87/385665787.db2.gz MBKQXPDKNUAFTF-JTQLQIEISA-N 0 0 281.264 2.598 20 5 CFBDRN C[C@@H]1COc2ccccc2CN1c1ccc([N+](=O)[O-])cn1 ZINC000272945092 385661670 /nfs/dbraw/zinc/66/16/70/385661670.db2.gz WHXLAPUVVIGOAI-LLVKDONJSA-N 0 0 285.303 2.777 20 5 CFBDRN CCc1ccc([C@@H](O)CNc2ncc([N+](=O)[O-])s2)cc1 ZINC000286639630 385674184 /nfs/dbraw/zinc/67/41/84/385674184.db2.gz SYINDWGHCGOORR-NSHDSACASA-N 0 0 293.348 2.759 20 5 CFBDRN Cc1nc(N2CC[C@H](C(C)(C)C)[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000273385718 385680694 /nfs/dbraw/zinc/68/06/94/385680694.db2.gz ZKCCKFHHLZQHIF-AAEUAGOBSA-N 0 0 293.367 2.532 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000286679050 385685079 /nfs/dbraw/zinc/68/50/79/385685079.db2.gz YRIYDYGCACZFIH-LBPRGKRZSA-N 0 0 277.324 2.583 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nc(CCC2CC2)no1 ZINC000274072794 385713061 /nfs/dbraw/zinc/71/30/61/385713061.db2.gz QTOXDNDSQUWDQI-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc([C@H]2CCCO2)no1 ZINC000274223966 385742849 /nfs/dbraw/zinc/74/28/49/385742849.db2.gz UOOUSFPDYJNVIE-LLVKDONJSA-N 0 0 275.264 2.805 20 5 CFBDRN CCc1ccc(C(=O)N[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000288633798 197132072 /nfs/dbraw/zinc/13/20/72/197132072.db2.gz PRFGQPYZGUPWBV-SSDOTTSWSA-N 0 0 290.241 2.838 20 5 CFBDRN CC(=O)c1cc(N(C)CCc2ccccn2)ccc1[N+](=O)[O-] ZINC000287053621 385770862 /nfs/dbraw/zinc/77/08/62/385770862.db2.gz SAKQDTUZCNQQIZ-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN CCCCN(CC)c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000287106767 385782392 /nfs/dbraw/zinc/78/23/92/385782392.db2.gz VRDVKKNOSYBWFQ-UHFFFAOYSA-N 0 0 290.323 2.870 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC[C@H]2CCCCO2)s1 ZINC000288599535 197124215 /nfs/dbraw/zinc/12/42/15/197124215.db2.gz WGZCUIGVHNBZME-MRVPVSSYSA-N 0 0 294.336 2.581 20 5 CFBDRN CCN(CC1CC1)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000287264083 385815790 /nfs/dbraw/zinc/81/57/90/385815790.db2.gz KECNYSDGYSPCDE-UHFFFAOYSA-N 0 0 278.337 2.836 20 5 CFBDRN Cc1nnc(COc2cc(C)c([N+](=O)[O-])cc2F)s1 ZINC000274721440 385857698 /nfs/dbraw/zinc/85/76/98/385857698.db2.gz IIIBTNOEUDVKLQ-UHFFFAOYSA-N 0 0 283.284 2.781 20 5 CFBDRN Cc1cn(CCOc2cccc(Cl)c2)nc1[N+](=O)[O-] ZINC000281201443 195147058 /nfs/dbraw/zinc/14/70/58/195147058.db2.gz PIXMWAYQFOBNLD-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000274757004 385865078 /nfs/dbraw/zinc/86/50/78/385865078.db2.gz PSPRLYAHKBKWJD-JTQLQIEISA-N 0 0 294.355 2.704 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000274779347 385869604 /nfs/dbraw/zinc/86/96/04/385869604.db2.gz WBAHOLIJKKVWOT-UONOGXRCSA-N 0 0 276.336 2.546 20 5 CFBDRN CCC[C@H](CCO)CNc1c(F)cccc1[N+](=O)[O-] ZINC000288522913 197102344 /nfs/dbraw/zinc/10/23/44/197102344.db2.gz UAXIHULFDQPQMW-SNVBAGLBSA-N 0 0 270.304 2.945 20 5 CFBDRN CCOCCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276655199 386004315 /nfs/dbraw/zinc/00/43/15/386004315.db2.gz RGFSQIAOOSEQME-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@H]1CCCOC1 ZINC000276652780 386004830 /nfs/dbraw/zinc/00/48/30/386004830.db2.gz QYZLVYRXGORKCR-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H](CNc1nc2ccccc2cc1[N+](=O)[O-])C[C@H](C)O ZINC000276681942 386015006 /nfs/dbraw/zinc/01/50/06/386015006.db2.gz VCZLQXPHVAGFIE-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN O=C1OCC[C@@H]1CCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288503143 197096405 /nfs/dbraw/zinc/09/64/05/197096405.db2.gz UMHOQMOPJWBNOU-QMMMGPOBSA-N 0 0 285.683 2.580 20 5 CFBDRN CC(C)=CCCNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000276766445 386038247 /nfs/dbraw/zinc/03/82/47/386038247.db2.gz AURBZUPPLAARQD-UHFFFAOYSA-N 0 0 291.351 2.793 20 5 CFBDRN CC(=O)c1cc(NCC(C)(C)CCO)ccc1[N+](=O)[O-] ZINC000288466751 197086525 /nfs/dbraw/zinc/08/65/25/197086525.db2.gz QFXGUQSTRLNBQQ-UHFFFAOYSA-N 0 0 280.324 2.618 20 5 CFBDRN Cc1nc(SC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000276900837 386073802 /nfs/dbraw/zinc/07/38/02/386073802.db2.gz LUKNMDBLEZGFAW-VIFPVBQESA-N 0 0 254.311 2.569 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000276981589 386099279 /nfs/dbraw/zinc/09/92/79/386099279.db2.gz HFWBIQYNJFQDEJ-UHFFFAOYSA-N 0 0 296.229 2.869 20 5 CFBDRN COC(=O)/C=C/c1ccc(N[C@H](C)CF)c([N+](=O)[O-])c1 ZINC000288377116 197062673 /nfs/dbraw/zinc/06/26/73/197062673.db2.gz YZWHDCZNGKRFKU-OTQAPUNGSA-N 0 0 282.271 2.551 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1nccc(C(F)F)n1 ZINC000288317857 197050316 /nfs/dbraw/zinc/05/03/16/197050316.db2.gz UOBGAAXPHYJSIJ-UHFFFAOYSA-N 0 0 295.249 2.638 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC(C)(C)CCO ZINC000288200578 197025466 /nfs/dbraw/zinc/02/54/66/197025466.db2.gz CMXSRAYZRURXMK-UHFFFAOYSA-N 0 0 280.324 2.618 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCc2ccccc21 ZINC000288091612 197002396 /nfs/dbraw/zinc/00/23/96/197002396.db2.gz IXSKXIJTFQUIRC-UHFFFAOYSA-N 0 0 272.308 2.814 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CCC1(C)C ZINC000287546432 196881277 /nfs/dbraw/zinc/88/12/77/196881277.db2.gz YFTYIBQCQZQKLQ-CYBMUJFWSA-N 0 0 291.351 2.925 20 5 CFBDRN CCC[C@H](NC(=O)c1cc([N+](=O)[O-])cn1C)C(C)(C)C ZINC000575006415 322714971 /nfs/dbraw/zinc/71/49/71/322714971.db2.gz DNGDTADHYKAELY-LBPRGKRZSA-N 0 0 281.356 2.878 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)F ZINC000281288582 195182627 /nfs/dbraw/zinc/18/26/27/195182627.db2.gz IDPYAJQDVNXJIR-UHFFFAOYSA-N 0 0 270.260 2.680 20 5 CFBDRN COC[C@@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000112967440 285273734 /nfs/dbraw/zinc/27/37/34/285273734.db2.gz IUCDUYVEXMODRQ-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CO[C@@H](C)CN(C)C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000287352837 196839585 /nfs/dbraw/zinc/83/95/85/196839585.db2.gz PZFUSCHQNZXLLA-NSHDSACASA-N 0 0 295.339 2.710 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)COC ZINC000281426157 195236885 /nfs/dbraw/zinc/23/68/85/195236885.db2.gz QJILMBPZSUNGEU-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN Cc1nnc(NCCCNc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000287261004 196816680 /nfs/dbraw/zinc/81/66/80/196816680.db2.gz KSYHNSIGVTVLQG-UHFFFAOYSA-N 0 0 291.311 2.509 20 5 CFBDRN CSC[C@H](C)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000287242652 196812885 /nfs/dbraw/zinc/81/28/85/196812885.db2.gz JUTIAZNJFUXKGL-LURJTMIESA-N 0 0 284.366 2.763 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000287088630 196777107 /nfs/dbraw/zinc/77/71/07/196777107.db2.gz YNLNNAABOYFRDJ-AAOUONPWSA-N 0 0 278.308 2.536 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC1(C)C ZINC000286962195 196746215 /nfs/dbraw/zinc/74/62/15/196746215.db2.gz FZKDQXFFADSGLZ-LBPRGKRZSA-N 0 0 292.335 2.912 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1CCCO1 ZINC000281489714 195262014 /nfs/dbraw/zinc/26/20/14/195262014.db2.gz VGNNEZFPMPIORG-LLVKDONJSA-N 0 0 294.307 2.501 20 5 CFBDRN CCc1ccc(C(=O)N[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000081822248 177865254 /nfs/dbraw/zinc/86/52/54/177865254.db2.gz BCNPVOKZKATJLO-SECBINFHSA-N 0 0 262.309 2.686 20 5 CFBDRN CCCCOCCNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000094771761 184857653 /nfs/dbraw/zinc/85/76/53/184857653.db2.gz BYHYNQYSJHZIFA-UHFFFAOYSA-N 0 0 278.312 2.700 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C1(OC)CCC1 ZINC000281539432 195280539 /nfs/dbraw/zinc/28/05/39/195280539.db2.gz YGQQAERLZXKEGX-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN CO[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000281661148 195325888 /nfs/dbraw/zinc/32/58/88/195325888.db2.gz KSBSPGYOVCWPRY-MWLCHTKSSA-N 0 0 270.354 2.656 20 5 CFBDRN Cc1cccc(OC[C@@](C)(O)C(F)(F)F)c1[N+](=O)[O-] ZINC000281762728 195370435 /nfs/dbraw/zinc/37/04/35/195370435.db2.gz GGIRDIBAHHISPD-SNVBAGLBSA-N 0 0 279.214 2.595 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000281766030 195372389 /nfs/dbraw/zinc/37/23/89/195372389.db2.gz FXKZFMRDZZFCLD-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000281775726 195376919 /nfs/dbraw/zinc/37/69/19/195376919.db2.gz JZYHNTGEUZWVGU-GMSGAONNSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@H]2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000281976032 195458469 /nfs/dbraw/zinc/45/84/69/195458469.db2.gz MBAVVMAJYFCURP-ZWNOBZJWSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1ccc(OC(=O)C(C)(C)CSC)cc1[N+](=O)[O-] ZINC000283478278 195865989 /nfs/dbraw/zinc/86/59/89/195865989.db2.gz KDQNEQMQRSZQAG-UHFFFAOYSA-N 0 0 299.348 2.898 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)o1 ZINC000283581017 195881360 /nfs/dbraw/zinc/88/13/60/195881360.db2.gz LEANXCYRQBPBKM-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN CO[C@](C)([C@@H](C)Nc1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000413522151 533348308 /nfs/dbraw/zinc/34/83/08/533348308.db2.gz RUNPCSVDOBUEQC-NOZJJQNGSA-N 0 0 265.313 2.605 20 5 CFBDRN COc1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000175674719 533420045 /nfs/dbraw/zinc/42/00/45/533420045.db2.gz LDPSKVHNUBNYBP-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CO[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000191634227 533439302 /nfs/dbraw/zinc/43/93/02/533439302.db2.gz KSYPJTGFHLZDQZ-WCBMZHEXSA-N 0 0 298.726 2.546 20 5 CFBDRN COC(=O)[C@]1(C)CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000413591252 533591028 /nfs/dbraw/zinc/59/10/28/533591028.db2.gz KNRTWHNVKJLZGV-OAHLLOKOSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@@H](O)CCCNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413273733 533597746 /nfs/dbraw/zinc/59/77/46/533597746.db2.gz VQYHPDQSIXPIIO-SSDOTTSWSA-N 0 0 293.245 2.582 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1csc(-c2ccoc2)n1 ZINC000341729108 130001460 /nfs/dbraw/zinc/00/14/60/130001460.db2.gz LFPDEKSIIGCBMR-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000341740809 130014361 /nfs/dbraw/zinc/01/43/61/130014361.db2.gz IFCVANCTYDWXLT-ZJUUUORDSA-N 0 0 277.324 2.684 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)C(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000341779325 130041669 /nfs/dbraw/zinc/04/16/69/130041669.db2.gz TWDNIRAKRBUKLZ-HTQZYQBOSA-N 0 0 290.241 2.970 20 5 CFBDRN CCC[C@@H](CC)Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341926010 130157013 /nfs/dbraw/zinc/15/70/13/130157013.db2.gz IRNBIWOUWBWCKA-SECBINFHSA-N 0 0 265.313 2.684 20 5 CFBDRN CCCO[C@H]1CCCN(c2ccncc2[N+](=O)[O-])CC1 ZINC000341927648 130157622 /nfs/dbraw/zinc/15/76/22/130157622.db2.gz DHOUYHAOFMWAHF-LBPRGKRZSA-N 0 0 279.340 2.775 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000342008187 130195197 /nfs/dbraw/zinc/19/51/97/130195197.db2.gz QKZLBFSMMRPLQO-SCZZXKLOSA-N 0 0 297.742 2.703 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCOCC1 ZINC000342052332 130223003 /nfs/dbraw/zinc/22/30/03/130223003.db2.gz GDYLMWTWPBZPIM-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNc1nocc1C ZINC000191560949 130254644 /nfs/dbraw/zinc/25/46/44/130254644.db2.gz MYMWBURSUHPPTC-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@@H](n2cccn2)C1 ZINC000342112002 130269327 /nfs/dbraw/zinc/26/93/27/130269327.db2.gz MPYSCEPEKDLKNX-LLVKDONJSA-N 0 0 290.298 2.772 20 5 CFBDRN COC[C@H]1CCCN(c2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000450944753 285448299 /nfs/dbraw/zinc/44/82/99/285448299.db2.gz JSNMTXMCFIKDOU-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN CC(=O)CC(C)(C)CC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000191771299 130298885 /nfs/dbraw/zinc/29/88/85/130298885.db2.gz COTYICZTLJMNNC-UHFFFAOYSA-N 0 0 292.335 2.606 20 5 CFBDRN C[C@H]1CCSCCN1Cc1csc([N+](=O)[O-])c1 ZINC000191821164 130311768 /nfs/dbraw/zinc/31/17/68/130311768.db2.gz UWOQBZNEIPLCKT-VIFPVBQESA-N 0 0 272.395 2.984 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@H](COc2ccccc2)C1 ZINC000355570224 130315353 /nfs/dbraw/zinc/31/53/53/130315353.db2.gz AJQFTCQUTNNYAM-ZDUSSCGKSA-N 0 0 299.330 2.895 20 5 CFBDRN Cc1cccc([C@@H](C)CNC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000355682122 130334323 /nfs/dbraw/zinc/33/43/23/130334323.db2.gz VZDZSKNSUCSNIS-NSHDSACASA-N 0 0 287.319 2.765 20 5 CFBDRN Cc1ocnc1-c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355682198 130335162 /nfs/dbraw/zinc/33/51/62/130335162.db2.gz WKJDXHHOGGZKCJ-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN Cc1cccnc1-c1noc(-c2cc([N+](=O)[O-])cnc2C)n1 ZINC000355848854 130348818 /nfs/dbraw/zinc/34/88/18/130348818.db2.gz VGKFPENCXMEENJ-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)CCS1 ZINC000356178615 130381871 /nfs/dbraw/zinc/38/18/71/130381871.db2.gz YZASVRRQIXMSDG-LLVKDONJSA-N 0 0 299.371 2.894 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)CCS1 ZINC000356178617 130382493 /nfs/dbraw/zinc/38/24/93/130382493.db2.gz YZASVRRQIXMSDG-NSHDSACASA-N 0 0 299.371 2.894 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@@H](C)C1CCC1 ZINC000356557713 130389397 /nfs/dbraw/zinc/38/93/97/130389397.db2.gz CMOUFZACOACPRK-JTQLQIEISA-N 0 0 277.324 2.559 20 5 CFBDRN Cc1cc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000342218990 130391564 /nfs/dbraw/zinc/39/15/64/130391564.db2.gz AAGXREFOLPJTII-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN CCC(C)(C)CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000192198474 130393535 /nfs/dbraw/zinc/39/35/35/130393535.db2.gz DJTUQHYFRNGPNZ-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN CCC(C)(C)CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000192199861 130393742 /nfs/dbraw/zinc/39/37/42/130393742.db2.gz NJQIFIGZAGMIDI-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN Cc1cnc(-c2noc(-c3cc(C)cc([N+](=O)[O-])c3)n2)nc1 ZINC000357179818 130412182 /nfs/dbraw/zinc/41/21/82/130412182.db2.gz AKSWCRZEIZBNFG-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CCCN1C(=O)N(c2cccc([N+](=O)[O-])c2)C(=O)[C@@H]1CC ZINC000192402876 130441519 /nfs/dbraw/zinc/44/15/19/130441519.db2.gz GSGHRCITFJEKCE-LBPRGKRZSA-N 0 0 291.307 2.552 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccc3c(c2)CCO3)s1 ZINC000192455582 130451973 /nfs/dbraw/zinc/45/19/73/130451973.db2.gz RIDXJQBWYYEGDG-UHFFFAOYSA-N 0 0 291.332 2.641 20 5 CFBDRN C[C@H]1CN(C(=O)CCCNc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000357482725 130478511 /nfs/dbraw/zinc/47/85/11/130478511.db2.gz JPDVRNQDPLZEMQ-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](CO)CC2CCCC2)n1 ZINC000359302897 130506535 /nfs/dbraw/zinc/50/65/35/130506535.db2.gz ULKJHGLKSMRVJB-CYBMUJFWSA-N 0 0 293.367 2.899 20 5 CFBDRN Cc1cc(Oc2ccc(C(N)=O)cc2)c(F)cc1[N+](=O)[O-] ZINC000359834172 130550212 /nfs/dbraw/zinc/55/02/12/130550212.db2.gz BCIUNHYPQIVADC-UHFFFAOYSA-N 0 0 290.250 2.934 20 5 CFBDRN CSC1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000193695926 130646317 /nfs/dbraw/zinc/64/63/17/130646317.db2.gz RWZDPEPZIYTPEB-UHFFFAOYSA-N 0 0 281.337 2.612 20 5 CFBDRN CSC1(CNC(=O)Cc2ccccc2[N+](=O)[O-])CCC1 ZINC000194471029 130696936 /nfs/dbraw/zinc/69/69/36/130696936.db2.gz YADWTRHFTLQNNG-UHFFFAOYSA-N 0 0 294.376 2.539 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)Cc1ccccc1 ZINC000195328737 130741173 /nfs/dbraw/zinc/74/11/73/130741173.db2.gz ICLRVIVVCPCKLN-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN CSC[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000217506315 130761258 /nfs/dbraw/zinc/76/12/58/130761258.db2.gz LUOROMQLDKYSGF-LLVKDONJSA-N 0 0 290.772 2.774 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000220152976 130779301 /nfs/dbraw/zinc/77/93/01/130779301.db2.gz KOGDBFAVCKCWHS-IUCAKERBSA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCC(F)(F)F)s1 ZINC000226775200 130831937 /nfs/dbraw/zinc/83/19/37/130831937.db2.gz CGEBQNMYSADFMD-UHFFFAOYSA-N 0 0 255.221 2.806 20 5 CFBDRN CSC1(CNc2nccc(C)c2[N+](=O)[O-])CC1 ZINC000227991192 130858933 /nfs/dbraw/zinc/85/89/33/130858933.db2.gz FOTPULJFGVRDBH-UHFFFAOYSA-N 0 0 253.327 2.606 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H]2CCC[C@@H]21 ZINC000335893095 291995394 /nfs/dbraw/zinc/99/53/94/291995394.db2.gz WUIKRQSHRNWDKX-FZMZJTMJSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)C[C@@H]1C ZINC000336014367 291996118 /nfs/dbraw/zinc/99/61/18/291996118.db2.gz VEKVBHAVRPCDFX-KOLCDFICSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1CCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)[C@H]1C ZINC000246757814 131097123 /nfs/dbraw/zinc/09/71/23/131097123.db2.gz IAVMPTJGMCZWCR-ZJUUUORDSA-N 0 0 289.335 2.714 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCCCCC2)c([N+](=O)[O-])c1 ZINC000248014138 131109983 /nfs/dbraw/zinc/10/99/83/131109983.db2.gz KBCXTTOZRKSTEQ-UHFFFAOYSA-N 0 0 292.335 2.685 20 5 CFBDRN Cc1c(C(=O)N(C)[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000248006586 131110166 /nfs/dbraw/zinc/11/01/66/131110166.db2.gz WAQBXAGKEIDPSD-SNVBAGLBSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000263655235 131303343 /nfs/dbraw/zinc/30/33/43/131303343.db2.gz DBQQZIPWTVMVQY-WDEREUQCSA-N 0 0 277.324 2.707 20 5 CFBDRN Cn1cc(CCCNc2cccc(F)c2[N+](=O)[O-])cn1 ZINC000263728385 131317027 /nfs/dbraw/zinc/31/70/27/131317027.db2.gz LEFZOGZLVROCJS-UHFFFAOYSA-N 0 0 278.287 2.512 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000263738380 131319074 /nfs/dbraw/zinc/31/90/74/131319074.db2.gz GKNDXHVNMWEMEX-UHFFFAOYSA-N 0 0 295.295 2.621 20 5 CFBDRN CO[C@H](C)CCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000263902735 131356062 /nfs/dbraw/zinc/35/60/62/131356062.db2.gz QJVVLVUXKDLEBG-SECBINFHSA-N 0 0 266.297 2.634 20 5 CFBDRN C[C@H](Nc1ccccc1[N+](=O)[O-])C(=O)N1CCCC[C@@H]1C ZINC000263909252 131358772 /nfs/dbraw/zinc/35/87/72/131358772.db2.gz RSLZGTAHMXWJJQ-RYUDHWBXSA-N 0 0 291.351 2.796 20 5 CFBDRN C[C@@H](O)CN(Cc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000264073134 131390284 /nfs/dbraw/zinc/39/02/84/131390284.db2.gz LGTDCOGKUGRTTB-CYBMUJFWSA-N 0 0 286.331 2.982 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000264109005 131395914 /nfs/dbraw/zinc/39/59/14/131395914.db2.gz IUMDXCJWIBNUCJ-WDEREUQCSA-N 0 0 294.355 2.571 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1CCC1 ZINC000264516323 131464190 /nfs/dbraw/zinc/46/41/90/131464190.db2.gz LFXWHIRLEOVFET-VIFPVBQESA-N 0 0 280.349 2.744 20 5 CFBDRN Cc1ccnc(NCCCOC(C)C)c1[N+](=O)[O-] ZINC000265147403 131553963 /nfs/dbraw/zinc/55/39/63/131553963.db2.gz ALWWTDNILGXBNT-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ncc([N+](=O)[O-])cc2Cl)o1 ZINC000265910792 131656169 /nfs/dbraw/zinc/65/61/69/131656169.db2.gz ATAYDUNGRQHETB-JTQLQIEISA-N 0 0 297.698 2.690 20 5 CFBDRN C[C@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000265966271 131663785 /nfs/dbraw/zinc/66/37/85/131663785.db2.gz ZKBJIYWADYKODH-RYUDHWBXSA-N 0 0 291.351 2.925 20 5 CFBDRN O=C(C[C@H]1C=CCC1)NCCc1ccccc1[N+](=O)[O-] ZINC000266043716 131675613 /nfs/dbraw/zinc/67/56/13/131675613.db2.gz LZVZNUIOOJLDJU-LBPRGKRZSA-N 0 0 274.320 2.610 20 5 CFBDRN C[C@@H](CCO)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000266182805 131696468 /nfs/dbraw/zinc/69/64/68/131696468.db2.gz CVGWLEWUHSAUAF-QMMMGPOBSA-N 0 0 290.266 2.627 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000266436625 131731660 /nfs/dbraw/zinc/73/16/60/131731660.db2.gz ZEICXHRCNPRXEU-SECBINFHSA-N 0 0 281.337 2.530 20 5 CFBDRN O=C(NCCCC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000266519772 131744815 /nfs/dbraw/zinc/74/48/15/131744815.db2.gz IGNFHUURLNECMD-UHFFFAOYSA-N 0 0 276.214 2.667 20 5 CFBDRN C[C@H]1CN(C(=O)COc2ccc([N+](=O)[O-])cc2)C(C)(C)C1 ZINC000266880220 131792009 /nfs/dbraw/zinc/79/20/09/131792009.db2.gz AFJJKLXMGKVOTP-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1ccc(N(C)Cc2cscn2)c([N+](=O)[O-])c1 ZINC000266963321 131804482 /nfs/dbraw/zinc/80/44/82/131804482.db2.gz IPKBEJUULHVZAX-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1nc(C)c(COc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000267048700 131813682 /nfs/dbraw/zinc/81/36/82/131813682.db2.gz JKLVLGDLYVPRJX-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN Cc1sc(C(=O)NCC2(C)CCOCC2)cc1[N+](=O)[O-] ZINC000267795861 131917759 /nfs/dbraw/zinc/91/77/59/131917759.db2.gz NPJYIGQLBQENGE-UHFFFAOYSA-N 0 0 298.364 2.511 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268134983 131964729 /nfs/dbraw/zinc/96/47/29/131964729.db2.gz MVSFQFKAYNUHGL-DTWKUNHWSA-N 0 0 297.742 2.703 20 5 CFBDRN Cc1cc(C)c(CNc2ccc([N+](=O)[O-])c(C)n2)c(=O)[nH]1 ZINC000268491639 132016841 /nfs/dbraw/zinc/01/68/41/132016841.db2.gz NUISXHKRSNMHPD-UHFFFAOYSA-N 0 0 288.307 2.628 20 5 CFBDRN COCc1nc(S[C@@H](C)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000268507149 132019039 /nfs/dbraw/zinc/01/90/39/132019039.db2.gz VBGKJRSYUCKNIZ-QMMMGPOBSA-N 0 0 294.336 2.713 20 5 CFBDRN CCc1c[nH]c(Sc2ncc(C)cc2[N+](=O)[O-])n1 ZINC000268619201 132036322 /nfs/dbraw/zinc/03/63/22/132036322.db2.gz ZXTNEAMZNFSIDR-UHFFFAOYSA-N 0 0 264.310 2.735 20 5 CFBDRN Cc1noc(CCCOc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000268634539 132039601 /nfs/dbraw/zinc/03/96/01/132039601.db2.gz QVNJXOIHDSUWBF-UHFFFAOYSA-N 0 0 297.698 2.951 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1ccn(C2CCCC2)n1 ZINC000268657950 132043849 /nfs/dbraw/zinc/04/38/49/132043849.db2.gz XLZJAZYRTMZNMO-UHFFFAOYSA-N 0 0 288.307 2.880 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2cc(C)ccc2[N+](=O)[O-])n[nH]1 ZINC000268935248 132090770 /nfs/dbraw/zinc/09/07/70/132090770.db2.gz DDPMFZULKUKFAP-SNVBAGLBSA-N 0 0 274.324 2.978 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCOC2(CCC2)C1 ZINC000268947760 132092035 /nfs/dbraw/zinc/09/20/35/132092035.db2.gz HHWBMRGVFQWYPF-NSHDSACASA-N 0 0 277.324 2.812 20 5 CFBDRN CCC[C@@H](C)[C@H]1CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000268999550 132098398 /nfs/dbraw/zinc/09/83/98/132098398.db2.gz TXYBKJZKMJPHIM-MWLCHTKSSA-N 0 0 294.355 2.667 20 5 CFBDRN CCC1(CNC(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])CCC1 ZINC000269339872 132157450 /nfs/dbraw/zinc/15/74/50/132157450.db2.gz BYUDBVGBHJTHQR-UHFFFAOYSA-N 0 0 294.355 2.751 20 5 CFBDRN CCCN(Cc1ccccc1)c1c([N+](=O)[O-])ncn1C ZINC000269381156 132162542 /nfs/dbraw/zinc/16/25/42/132162542.db2.gz SFGJJMREQMLRAG-UHFFFAOYSA-N 0 0 274.324 2.745 20 5 CFBDRN CON(CC(C)(C)C)c1nc(C)ccc1[N+](=O)[O-] ZINC000286264652 285526818 /nfs/dbraw/zinc/52/68/18/285526818.db2.gz FUHXQINBTYYTBQ-UHFFFAOYSA-N 0 0 253.302 2.712 20 5 CFBDRN COC(=O)c1ccc(N2C[C@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000022797041 292013544 /nfs/dbraw/zinc/01/35/44/292013544.db2.gz MWVYRXPTYBDZHQ-PHIMTYICSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ncccc1Cl ZINC000179952435 393473459 /nfs/dbraw/zinc/47/34/59/393473459.db2.gz OBVOCINYBBVHBM-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN O=C(N[C@H]1CCCOCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000180037431 393475315 /nfs/dbraw/zinc/47/53/15/393475315.db2.gz HEEARHGQDBBVGQ-JTQLQIEISA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H]2OCCC[C@H]2C1 ZINC000301699514 393476021 /nfs/dbraw/zinc/47/60/21/393476021.db2.gz CSPLFNTXGUGDIE-SWLSCSKDSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(F)cn1 ZINC000181629246 393498724 /nfs/dbraw/zinc/49/87/24/393498724.db2.gz HDNWVZMSNGWUDO-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1cc(N2CCC[C@@]23CCOC3)ccc1[N+](=O)[O-] ZINC000376422265 393519083 /nfs/dbraw/zinc/51/90/83/393519083.db2.gz BPSXOQYLGXMHSW-AWEZNQCLSA-N 0 0 262.309 2.663 20 5 CFBDRN CCOc1cc(N[C@@H]2CCC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000313790558 393524537 /nfs/dbraw/zinc/52/45/37/393524537.db2.gz JOTOSACUHLVGEU-NWDGAFQWSA-N 0 0 294.351 2.957 20 5 CFBDRN COC[C@@H](NCc1c(F)cccc1[N+](=O)[O-])c1ccco1 ZINC000184589846 393528445 /nfs/dbraw/zinc/52/84/45/393528445.db2.gz ZFWQAHKIAGQJNT-GFCCVEGCSA-N 0 0 294.282 2.804 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000377148983 393528776 /nfs/dbraw/zinc/52/87/76/393528776.db2.gz FZEIOSLOKHUHRJ-CQSZACIVSA-N 0 0 282.315 2.723 20 5 CFBDRN C[C@H](Sc1ncccc1[N+](=O)[O-])c1cnccn1 ZINC000186042387 393539425 /nfs/dbraw/zinc/53/94/25/393539425.db2.gz XHUNWGIUDMPFKY-QMMMGPOBSA-N 0 0 262.294 2.633 20 5 CFBDRN Cc1nn(Cc2cccc(Cl)c2F)cc1[N+](=O)[O-] ZINC000187853759 393558436 /nfs/dbraw/zinc/55/84/36/393558436.db2.gz KJRBPIJRIZQAFP-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCCC1 ZINC000043343315 393559704 /nfs/dbraw/zinc/55/97/04/393559704.db2.gz SICZMXKETOQZPV-UHFFFAOYSA-N 0 0 269.688 2.876 20 5 CFBDRN C[C@H]1CCCCN(C(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000187669193 393555892 /nfs/dbraw/zinc/55/58/92/393555892.db2.gz CLTGRAPJUMESEA-JTQLQIEISA-N 0 0 278.312 2.644 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC(CF)CC1 ZINC000295005187 296495361 /nfs/dbraw/zinc/49/53/61/296495361.db2.gz OQIOZTWWSFLWNJ-UHFFFAOYSA-N 0 0 256.252 2.920 20 5 CFBDRN CCc1ccc(CNC(=O)c2ccc([N+](=O)[O-])o2)s1 ZINC000046620608 393579704 /nfs/dbraw/zinc/57/97/04/393579704.db2.gz IXHALNKLMZYULZ-UHFFFAOYSA-N 0 0 280.305 2.742 20 5 CFBDRN CC[C@]1(C)CN(Cc2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000191152259 393589611 /nfs/dbraw/zinc/58/96/11/393589611.db2.gz SDTBLMCUSWKILO-OAHLLOKOSA-N 0 0 278.352 2.904 20 5 CFBDRN CC[C@@]1(C)CN(Cc2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000191152275 393590140 /nfs/dbraw/zinc/59/01/40/393590140.db2.gz SDTBLMCUSWKILO-HNNXBMFYSA-N 0 0 278.352 2.904 20 5 CFBDRN CC1(C)COCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000048927625 393611544 /nfs/dbraw/zinc/61/15/44/393611544.db2.gz IVGKMJCTIOLSCM-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CCC[N@H+](CC(=O)[O-])Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000050444730 393616255 /nfs/dbraw/zinc/61/62/55/393616255.db2.gz NZDQCXNDXKGPHT-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN COCC1(CNc2cc(OC)c([N+](=O)[O-])cc2F)CCC1 ZINC000282045771 413072220 /nfs/dbraw/zinc/07/22/20/413072220.db2.gz XOHIJNAUMUUKIT-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(C(C)C)CC1 ZINC000192673153 393629759 /nfs/dbraw/zinc/62/97/59/393629759.db2.gz BHZPHLJZSUOZRX-UHFFFAOYSA-N 0 0 266.345 2.968 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H](C)C1CC1 ZINC000193243061 393646692 /nfs/dbraw/zinc/64/66/92/393646692.db2.gz MKBIJLPBWKGNEO-VIFPVBQESA-N 0 0 293.323 2.866 20 5 CFBDRN CC(C)CCN(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000193330118 393648047 /nfs/dbraw/zinc/64/80/47/393648047.db2.gz TXDYFYFFXFKVLN-UHFFFAOYSA-N 0 0 256.327 2.774 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000335600780 393683168 /nfs/dbraw/zinc/68/31/68/393683168.db2.gz QRKQWPLYVYRVDI-LLVKDONJSA-N 0 0 262.309 2.836 20 5 CFBDRN CN(C)C(=O)CCCSc1ccc([N+](=O)[O-])cc1F ZINC000193501901 393653423 /nfs/dbraw/zinc/65/34/23/393653423.db2.gz XEKPBTZXDMQBRI-UHFFFAOYSA-N 0 0 286.328 2.694 20 5 CFBDRN CSc1ccc(C(=O)N2CCSCC2)cc1[N+](=O)[O-] ZINC000193806388 393664851 /nfs/dbraw/zinc/66/48/51/393664851.db2.gz RUSUCSIKTXXJTC-UHFFFAOYSA-N 0 0 298.389 2.506 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000193969872 393669731 /nfs/dbraw/zinc/66/97/31/393669731.db2.gz XUVMNVSAXZSVAT-QWRGUYRKSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411136974 393672897 /nfs/dbraw/zinc/67/28/97/393672897.db2.gz JJLQCILIRNEGLU-TVYUQYBPSA-N 0 0 262.309 2.613 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2Cc3ccccc32)cc1[N+](=O)[O-] ZINC000194049655 393673158 /nfs/dbraw/zinc/67/31/58/393673158.db2.gz HXPGZFXBAZTGIF-AWEZNQCLSA-N 0 0 296.326 2.973 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@H]1Cc2ccccc21 ZINC000194059320 393674520 /nfs/dbraw/zinc/67/45/20/393674520.db2.gz JEPKRZHUYVPFFH-CQSZACIVSA-N 0 0 296.326 2.593 20 5 CFBDRN Cc1cnc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)s1 ZINC000075502677 393675277 /nfs/dbraw/zinc/67/52/77/393675277.db2.gz VSRCGLOTVQIEJO-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN CC(=O)[C@H](C)SCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000083123781 393742087 /nfs/dbraw/zinc/74/20/87/393742087.db2.gz JRZDMEMTXQSNIB-JTQLQIEISA-N 0 0 296.348 2.552 20 5 CFBDRN COc1ccc(Nc2ncc([N+](=O)[O-])s2)c(OC)c1 ZINC000195505283 393742445 /nfs/dbraw/zinc/74/24/45/393742445.db2.gz IBAKSTFRYFNIDV-UHFFFAOYSA-N 0 0 281.293 2.812 20 5 CFBDRN COc1ccc(OCCNc2ncc([N+](=O)[O-])s2)cc1 ZINC000195506637 393743038 /nfs/dbraw/zinc/74/30/38/393743038.db2.gz XFXIGMZHNVNOEO-UHFFFAOYSA-N 0 0 295.320 2.551 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2cnn(-c3ccccc3)c2)s1 ZINC000195511151 393743061 /nfs/dbraw/zinc/74/30/61/393743061.db2.gz OFMASUDNBJCXIH-UHFFFAOYSA-N 0 0 287.304 2.981 20 5 CFBDRN CCC1(CNC(=O)Cc2cccc([N+](=O)[O-])c2)CCC1 ZINC000084253584 393747334 /nfs/dbraw/zinc/74/73/34/393747334.db2.gz BWXAUNGWBZYGAW-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C)N(c2ncc([N+](=O)[O-])s2)C1 ZINC000336954607 393753025 /nfs/dbraw/zinc/75/30/25/393753025.db2.gz UEVYWIIJEMOQRA-HRDYMLBCSA-N 0 0 255.343 2.922 20 5 CFBDRN CCC(CC)CNC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000194300392 393688835 /nfs/dbraw/zinc/68/88/35/393688835.db2.gz GUUYBMCNUPLHBA-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1cc(NC(=O)N2CC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000335731263 393696200 /nfs/dbraw/zinc/69/62/00/393696200.db2.gz IKHFDZYZXDUNPA-CYBMUJFWSA-N 0 0 281.287 2.869 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000194469831 393697964 /nfs/dbraw/zinc/69/79/64/393697964.db2.gz GVNYXBMUPHOQTA-MNOVXSKESA-N 0 0 279.340 2.829 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C)CC1 ZINC000194460884 393698130 /nfs/dbraw/zinc/69/81/30/393698130.db2.gz BZUNANLBTVUUIX-JTQLQIEISA-N 0 0 277.324 2.560 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335758482 393698200 /nfs/dbraw/zinc/69/82/00/393698200.db2.gz WACHDPOQQQBFMD-NOZJJQNGSA-N 0 0 280.299 2.995 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087319854 393758889 /nfs/dbraw/zinc/75/88/89/393758889.db2.gz FIVVJIJTEFZNBU-LBPRGKRZSA-N 0 0 278.352 2.936 20 5 CFBDRN Cc1ccc(OCCOCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000077541668 393699767 /nfs/dbraw/zinc/69/97/67/393699767.db2.gz YUWYNCKYZNRXRM-UHFFFAOYSA-N 0 0 279.214 2.861 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/c1cccnc1 ZINC000078708752 393710549 /nfs/dbraw/zinc/71/05/49/393710549.db2.gz NSFUHRHMNVVIBF-QPJJXVBHSA-N 0 0 299.286 2.650 20 5 CFBDRN O=C(/C=C/c1cccnc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000078767297 393711964 /nfs/dbraw/zinc/71/19/64/393711964.db2.gz RPAQGHVUUAAGFQ-FPYGCLRLSA-N 0 0 269.260 2.642 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC[C@H](F)C1 ZINC000335965834 393712034 /nfs/dbraw/zinc/71/20/34/393712034.db2.gz KJTNRUOREHIEKN-UWVGGRQHSA-N 0 0 281.287 2.915 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@H]1Cc2ccccc21 ZINC000194670209 393712907 /nfs/dbraw/zinc/71/29/07/393712907.db2.gz AFGDZKVOWWGQBN-AWEZNQCLSA-N 0 0 296.326 2.593 20 5 CFBDRN COc1cccc(C(=O)Nc2ccc(C)nc2)c1[N+](=O)[O-] ZINC000194730729 393714881 /nfs/dbraw/zinc/71/48/81/393714881.db2.gz WOGZVLAEWIOAEZ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000194831997 393719200 /nfs/dbraw/zinc/71/92/00/393719200.db2.gz RCUDMSFOYZEWAJ-JQWIXIFHSA-N 0 0 278.308 2.514 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000079735630 393721253 /nfs/dbraw/zinc/72/12/53/393721253.db2.gz XKQJGDDNAFWEAI-NWDGAFQWSA-N 0 0 293.367 2.582 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000194886339 393721438 /nfs/dbraw/zinc/72/14/38/393721438.db2.gz BQJISIKYTZRSFE-LLVKDONJSA-N 0 0 250.298 2.903 20 5 CFBDRN C[C@H](NC(=O)Nc1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000080054235 393724180 /nfs/dbraw/zinc/72/41/80/393724180.db2.gz OFTWJCMNOPFPCB-JTQLQIEISA-N 0 0 286.291 2.873 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cnc1C)[C@H](C)C(C)C ZINC000194980905 393726193 /nfs/dbraw/zinc/72/61/93/393726193.db2.gz HNQMCZAHXVKMCD-LLVKDONJSA-N 0 0 279.340 2.805 20 5 CFBDRN CCOc1cc(N[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000220091313 393760954 /nfs/dbraw/zinc/76/09/54/393760954.db2.gz NEEYXIMJTZOAKN-PWSUYJOCSA-N 0 0 280.324 2.973 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2c(F)cccc2F)nc1C1CC1 ZINC000195040387 393728879 /nfs/dbraw/zinc/72/88/79/393728879.db2.gz XNASMSQTHDUZJF-UHFFFAOYSA-N 0 0 279.246 2.995 20 5 CFBDRN Cc1cnn(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 ZINC000089738510 393769780 /nfs/dbraw/zinc/76/97/80/393769780.db2.gz DEMPTLDQXYPELT-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN Cc1ccccc1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089835916 393771080 /nfs/dbraw/zinc/77/10/80/393771080.db2.gz SVIVQXIWKGNNMZ-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ncc([N+](=O)[O-])cn2)CC1 ZINC000227539880 393772704 /nfs/dbraw/zinc/77/27/04/393772704.db2.gz RIUGNBFIACNLRG-ZJUUUORDSA-N 0 0 250.302 2.766 20 5 CFBDRN Cc1cc(OCCOCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000338044657 393773263 /nfs/dbraw/zinc/77/32/63/393773263.db2.gz DFTQSHWRJGVDFX-UHFFFAOYSA-N 0 0 279.214 2.861 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCSC2)c1 ZINC000228583620 393775181 /nfs/dbraw/zinc/77/51/81/393775181.db2.gz RVXGQEVREFHTHB-SECBINFHSA-N 0 0 268.338 2.768 20 5 CFBDRN CNc1ccc(C(=O)NC[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000230546932 393779087 /nfs/dbraw/zinc/77/90/87/393779087.db2.gz OTQMXGFNEXCCRK-WDEREUQCSA-N 0 0 291.351 2.803 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000091527614 393783225 /nfs/dbraw/zinc/78/32/25/393783225.db2.gz KZWLYSPRKZAMDP-HTQZYQBOSA-N 0 0 284.262 2.601 20 5 CFBDRN CCOC[C@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000458048751 393833460 /nfs/dbraw/zinc/83/34/60/393833460.db2.gz AJLGCQTYHSOPJB-CQSZACIVSA-N 0 0 292.335 2.626 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccccc2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000416075516 393865193 /nfs/dbraw/zinc/86/51/93/393865193.db2.gz FPEDDHCDDFBAED-WOPDTQHZSA-N 0 0 276.336 2.640 20 5 CFBDRN CCOc1cc(N[C@@H]2CCC[C@H]2OC)ccc1[N+](=O)[O-] ZINC000107039967 393869043 /nfs/dbraw/zinc/86/90/43/393869043.db2.gz MUMTVUFAGCTWTO-DGCLKSJQSA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)[C@@H](C)[C@H]1C ZINC000416083310 393869329 /nfs/dbraw/zinc/86/93/29/393869329.db2.gz XZSCDSWOJYBLDC-VWYCJHECSA-N 0 0 294.326 2.779 20 5 CFBDRN C[C@H]1CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])C[C@@H](C)C1 ZINC000342779596 393870316 /nfs/dbraw/zinc/87/03/16/393870316.db2.gz KWIWBZFPBKFSNU-QWRGUYRKSA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@H]1CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])CC1(C)C ZINC000342832230 393871740 /nfs/dbraw/zinc/87/17/40/393871740.db2.gz RNQAOXHMQXLJJB-JTQLQIEISA-N 0 0 291.351 2.566 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000112250446 393890904 /nfs/dbraw/zinc/89/09/04/393890904.db2.gz XEPKGTMVPIYWFM-SECBINFHSA-N 0 0 280.324 2.768 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000428659204 393903522 /nfs/dbraw/zinc/90/35/22/393903522.db2.gz GOUAHVMUYFPVNJ-RKDXNWHRSA-N 0 0 280.353 2.929 20 5 CFBDRN CCOC[C@H]1CCCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000458073749 393840691 /nfs/dbraw/zinc/84/06/91/393840691.db2.gz MBFJRMAGGHRDJO-LLVKDONJSA-N 0 0 298.364 2.688 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+]1CCC[C@H](C)[C@H]1C(=O)[O-] ZINC000424412834 393843935 /nfs/dbraw/zinc/84/39/35/393843935.db2.gz DSTYQEAZQIGTBK-HZMBPMFUSA-N 0 0 292.335 2.588 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000458096300 393846183 /nfs/dbraw/zinc/84/61/83/393846183.db2.gz INEUEXVTRGEAGQ-UKRRQHHQSA-N 0 0 291.351 2.558 20 5 CFBDRN Cc1c(NC(=O)C[C@H](C)n2cccn2)cccc1[N+](=O)[O-] ZINC000424535904 393850721 /nfs/dbraw/zinc/85/07/21/393850721.db2.gz OGILRWDXYVYCOG-JTQLQIEISA-N 0 0 288.307 2.690 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000458119340 393851186 /nfs/dbraw/zinc/85/11/86/393851186.db2.gz CVWNGLKGYFBYRC-QWHCGFSZSA-N 0 0 294.376 2.848 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)COC(C)C)c1 ZINC000416022964 393851496 /nfs/dbraw/zinc/85/14/96/393851496.db2.gz ZZFFTEQIGMUVNU-UHFFFAOYSA-N 0 0 294.351 2.837 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000458122884 393852990 /nfs/dbraw/zinc/85/29/90/393852990.db2.gz AUSCZXSNHVXVEL-SKDRFNHKSA-N 0 0 268.338 2.821 20 5 CFBDRN CC(C)(C(=O)NC[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000416045101 393853697 /nfs/dbraw/zinc/85/36/97/393853697.db2.gz JMORNMGFGSZAQT-NSHDSACASA-N 0 0 288.347 2.789 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccccc1C(F)F ZINC000424566827 393856009 /nfs/dbraw/zinc/85/60/09/393856009.db2.gz KBCAGCNTCPVHAT-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CC12CC2 ZINC000416056738 393856877 /nfs/dbraw/zinc/85/68/77/393856877.db2.gz ZHXDZSVTNPKVOU-JTQLQIEISA-N 0 0 290.319 2.523 20 5 CFBDRN O=C(NC1CCCC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000119889832 393926589 /nfs/dbraw/zinc/92/65/89/393926589.db2.gz UUOXVSGAYQYHEO-UHFFFAOYSA-N 0 0 285.303 2.815 20 5 CFBDRN CO[C@H](C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000472325470 393930104 /nfs/dbraw/zinc/93/01/04/393930104.db2.gz KSEWUSCCODLCHU-FZMZJTMJSA-N 0 0 294.351 2.751 20 5 CFBDRN CC(C)NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] ZINC000346801606 393933104 /nfs/dbraw/zinc/93/31/04/393933104.db2.gz GSRKZCRUHCQVAI-UHFFFAOYSA-N 0 0 285.303 2.795 20 5 CFBDRN CSC[C@H](C)NC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271703591 394022591 /nfs/dbraw/zinc/02/25/91/394022591.db2.gz OBILXJZNVCBIGA-VHSXEESVSA-N 0 0 297.380 2.707 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CCCc3cn[nH]c3)c2)c1 ZINC000349157593 393964028 /nfs/dbraw/zinc/96/40/28/393964028.db2.gz HKTJOORRROUAER-UHFFFAOYSA-N 0 0 297.318 2.814 20 5 CFBDRN CC(C)c1cc(=NCc2ccc([N+](=O)[O-])cc2F)[nH]o1 ZINC000349158345 393964353 /nfs/dbraw/zinc/96/43/53/393964353.db2.gz IVUHIUXQPTXQRT-UHFFFAOYSA-N 0 0 279.271 2.879 20 5 CFBDRN O=[N+]([O-])c1ccccc1C[NH2+]C/C=C\c1ccncc1 ZINC000486943747 393973462 /nfs/dbraw/zinc/97/34/62/393973462.db2.gz ONBCDJOALHBKNI-ARJAWSKDSA-N 0 0 269.304 2.793 20 5 CFBDRN CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000265807332 393977333 /nfs/dbraw/zinc/97/73/33/393977333.db2.gz WYEPBGGKTKNLST-UHFFFAOYSA-N 0 0 299.330 2.930 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000430449295 393987856 /nfs/dbraw/zinc/98/78/56/393987856.db2.gz JKCOFTFMSVCZGC-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000267678085 393987966 /nfs/dbraw/zinc/98/79/66/393987966.db2.gz DJPDDCRVECFZAV-VIFPVBQESA-N 0 0 274.276 2.966 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+]C(C)(C)C[C@@H](C)C(=O)[O-] ZINC000417638421 393988341 /nfs/dbraw/zinc/98/83/41/393988341.db2.gz PFCUIHIEDSUCED-LLVKDONJSA-N 0 0 294.351 2.882 20 5 CFBDRN Cc1cc(N[C@@H]2CCCc3c[nH]nc32)ncc1[N+](=O)[O-] ZINC000268882728 393995746 /nfs/dbraw/zinc/99/57/46/393995746.db2.gz WSNSLEHIKYZTPB-SNVBAGLBSA-N 0 0 273.296 2.511 20 5 CFBDRN CC[C@@H]1CCN(CC(=O)Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000125185688 394002898 /nfs/dbraw/zinc/00/28/98/394002898.db2.gz HVDAWCMPRMXMQR-GFCCVEGCSA-N 0 0 291.351 2.574 20 5 CFBDRN CO[C@H](C)CN(C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432140119 394011119 /nfs/dbraw/zinc/01/11/19/394011119.db2.gz OWYDQMVXMHMLSW-MRVPVSSYSA-N 0 0 258.705 2.719 20 5 CFBDRN CSCCCCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000125893452 394015534 /nfs/dbraw/zinc/01/55/34/394015534.db2.gz VZAORYVKXRKMBP-RMKNXTFCSA-N 0 0 294.376 2.867 20 5 CFBDRN O=C(N[C@H]1CC(=O)c2ccccc21)c1ccccc1[N+](=O)[O-] ZINC000354112682 394041366 /nfs/dbraw/zinc/04/13/66/394041366.db2.gz LPLAKUPEQJYAHO-ZDUSSCGKSA-N 0 0 296.282 2.652 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1ccc(O)cc1 ZINC000436998845 394041488 /nfs/dbraw/zinc/04/14/88/394041488.db2.gz KAUFLTMEDNWHBW-UHFFFAOYSA-N 0 0 295.298 2.883 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(-c2ccccc2O)n1 ZINC000436995114 394041719 /nfs/dbraw/zinc/04/17/19/394041719.db2.gz HAURSCAXMHSLHL-UHFFFAOYSA-N 0 0 297.270 2.941 20 5 CFBDRN Cc1cc(N2CC(C)(C)OC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000276039699 394048762 /nfs/dbraw/zinc/04/87/62/394048762.db2.gz NDNJZIIPJQZJNH-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN C[C@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000276566820 394050343 /nfs/dbraw/zinc/05/03/43/394050343.db2.gz GVUWIIXBKDGUSK-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1Cc1cc(Cl)ccc1Cl ZINC000127536576 394051736 /nfs/dbraw/zinc/05/17/36/394051736.db2.gz GLMOQIINWBUISQ-UHFFFAOYSA-N 0 0 287.106 2.729 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCc2cc[nH]c(=O)c2C1 ZINC000276836604 394052278 /nfs/dbraw/zinc/05/22/78/394052278.db2.gz BRTUOTPXBQYNMX-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN Cc1ccccc1CC(=O)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000127803600 394056536 /nfs/dbraw/zinc/05/65/36/394056536.db2.gz WJMMWSOUIIAMDW-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN NC(=O)c1ccc(NC/C=C/c2ccccc2[N+](=O)[O-])cc1 ZINC000127853805 394057963 /nfs/dbraw/zinc/05/79/63/394057963.db2.gz OANPODIZXCLXDO-HWKANZROSA-N 0 0 297.314 2.819 20 5 CFBDRN Cc1ccc(F)cc1Cn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000437603525 394063566 /nfs/dbraw/zinc/06/35/66/394063566.db2.gz ONPMQLDCTFFBAS-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)C[C@H](C2CC2)O1 ZINC000279367032 394066876 /nfs/dbraw/zinc/06/68/76/394066876.db2.gz RNQDVSWCGXKPCF-BXKDBHETSA-N 0 0 282.365 2.656 20 5 CFBDRN Cc1ccc(-c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)cc1 ZINC000356073575 394074192 /nfs/dbraw/zinc/07/41/92/394074192.db2.gz NCHBUKQWYYFSIF-UHFFFAOYSA-N 0 0 270.248 2.948 20 5 CFBDRN Cc1ccoc1CN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000356524366 394077907 /nfs/dbraw/zinc/07/79/07/394077907.db2.gz KVSSGIGCNAANQH-UHFFFAOYSA-N 0 0 288.303 2.891 20 5 CFBDRN CC[C@H]1CCN1C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000492854249 394082883 /nfs/dbraw/zinc/08/28/83/394082883.db2.gz QEGFAUBTRPLZBE-FUEXJSSKSA-N 0 0 278.283 2.758 20 5 CFBDRN Cc1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)n(C)n1 ZINC000129193506 394083624 /nfs/dbraw/zinc/08/36/24/394083624.db2.gz FVURNRYPWINKLZ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@@H]3OCCC[C@@H]23)n1 ZINC000438884074 394085981 /nfs/dbraw/zinc/08/59/81/394085981.db2.gz PCFRIGPHJKLHPW-TUAOUCFPSA-N 0 0 277.324 2.586 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000281883435 394088220 /nfs/dbraw/zinc/08/82/20/394088220.db2.gz VTYFZPLVXYIELU-BXKDBHETSA-N 0 0 285.303 2.671 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000281890028 394089665 /nfs/dbraw/zinc/08/96/65/394089665.db2.gz WDXDZHVJVAFNIW-OPQQBVKSSA-N 0 0 294.376 2.990 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCCC(F)(F)C2)nc1 ZINC000281910887 394092310 /nfs/dbraw/zinc/09/23/10/394092310.db2.gz NIGXPDIQIFNRJI-MRVPVSSYSA-N 0 0 272.255 2.622 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)N(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000129634601 394092424 /nfs/dbraw/zinc/09/24/24/394092424.db2.gz TZPFNEMPKIWTPL-SNVBAGLBSA-N 0 0 298.314 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2c2cc[nH]n2)c(F)c1 ZINC000286001744 394177330 /nfs/dbraw/zinc/17/73/30/394177330.db2.gz GJYPKLNMPQBIOP-CQSZACIVSA-N 0 0 290.298 2.794 20 5 CFBDRN CN(C(=O)CCc1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000439287947 394110136 /nfs/dbraw/zinc/11/01/36/394110136.db2.gz RZTIEBXKXPHOFR-UHFFFAOYSA-N 0 0 285.303 2.585 20 5 CFBDRN Cc1nc(COc2c(Cl)cccc2[N+](=O)[O-])no1 ZINC000130482591 394112177 /nfs/dbraw/zinc/11/21/77/394112177.db2.gz OEOZRRIWYLJJKS-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cc1nnc(COc2c(Cl)cccc2[N+](=O)[O-])o1 ZINC000130723516 394118464 /nfs/dbraw/zinc/11/84/64/394118464.db2.gz ODPIYKQDQGDAFB-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cc1cc(C)c(C(=O)NCCc2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000130816858 394119677 /nfs/dbraw/zinc/11/96/77/394119677.db2.gz RUOYOGSDVUYVPT-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN CCOCC(C)(C)NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492998018 394120168 /nfs/dbraw/zinc/12/01/68/394120168.db2.gz OMCCQXHARDZRBX-KTKRTIGZSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1nc(N[C@@H]2CCCc3nn(C)cc32)ccc1[N+](=O)[O-] ZINC000282224580 394122720 /nfs/dbraw/zinc/12/27/20/394122720.db2.gz KLUAPMNBMGYFSS-LLVKDONJSA-N 0 0 287.323 2.521 20 5 CFBDRN CC[C@@H]1CCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000131065566 394125315 /nfs/dbraw/zinc/12/53/15/394125315.db2.gz NDDRBDWCMJUQNB-NNNHXZLVSA-N 0 0 274.320 2.867 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000439711735 394127937 /nfs/dbraw/zinc/12/79/37/394127937.db2.gz QTGFMOZAZRUYSO-KOLCDFICSA-N 0 0 270.304 2.943 20 5 CFBDRN CC1(C)SC[C@H]1Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000282952232 394128020 /nfs/dbraw/zinc/12/80/20/394128020.db2.gz ZEZRWKBFFISALC-LLVKDONJSA-N 0 0 279.365 2.869 20 5 CFBDRN Cc1cccc(OCc2nccs2)c1[N+](=O)[O-] ZINC000131267125 394128753 /nfs/dbraw/zinc/12/87/53/394128753.db2.gz FVCGDZRDFWBWDD-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000493214809 394180695 /nfs/dbraw/zinc/18/06/95/394180695.db2.gz GPXHZAQXVKPTGE-WHXYTISESA-N 0 0 284.262 2.768 20 5 CFBDRN CN(Cc1ccon1)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000493211845 394180872 /nfs/dbraw/zinc/18/08/72/394180872.db2.gz TVLVFIQXRRWYQB-UHFFFAOYSA-N 0 0 281.699 2.868 20 5 CFBDRN O=C(/C=C\[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000493056542 394135910 /nfs/dbraw/zinc/13/59/10/394135910.db2.gz ULYHLLVZKUNXGK-LVXRCVOFSA-N 0 0 296.710 2.779 20 5 CFBDRN CC[C@@H]1COCCN1c1cc(C)c([N+](=O)[O-])cc1F ZINC000360069515 394145539 /nfs/dbraw/zinc/14/55/39/394145539.db2.gz FACKEMXQTRDXBO-SNVBAGLBSA-N 0 0 268.288 2.658 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSC[C@H]1C[C@@H](O)C1 ZINC000421221512 394183081 /nfs/dbraw/zinc/18/30/81/394183081.db2.gz VMHJRLCPANBGBY-JGZJWPJOSA-N 0 0 253.323 2.599 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ccccn2)cc([N+](=O)[O-])c1 ZINC000134550416 394200211 /nfs/dbraw/zinc/20/02/11/394200211.db2.gz BHIXCKQDBNLFJJ-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN C[C@H]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)[C@H]1C ZINC000287982094 394210616 /nfs/dbraw/zinc/21/06/16/394210616.db2.gz AMYVSMLPMKZCKT-YUMQZZPRSA-N 0 0 278.337 2.834 20 5 CFBDRN CCOCCN(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000135222610 394213200 /nfs/dbraw/zinc/21/32/00/394213200.db2.gz PXJZJLNQZXGYFG-UHFFFAOYSA-N 0 0 264.325 2.596 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](CO)c3ccccc32)ncc1[N+](=O)[O-] ZINC000364443520 394213366 /nfs/dbraw/zinc/21/33/66/394213366.db2.gz UHPFVIWLDLHOLB-FZMZJTMJSA-N 0 0 299.330 2.931 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2nnsc2Cl)c1 ZINC000135347458 394218301 /nfs/dbraw/zinc/21/83/01/394218301.db2.gz YKWRTONILYMVJL-UHFFFAOYSA-N 0 0 289.675 2.818 20 5 CFBDRN O=C(/C=C/C1CC1)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000493374370 394225645 /nfs/dbraw/zinc/22/56/45/394225645.db2.gz WTDHGIVOKVLBRS-ZZXKWVIFSA-N 0 0 299.330 2.701 20 5 CFBDRN CCCn1ncnc1CNc1cc([N+](=O)[O-])ccc1CC ZINC000290639694 394232872 /nfs/dbraw/zinc/23/28/72/394232872.db2.gz NLEVPXYWZVRVIA-UHFFFAOYSA-N 0 0 289.339 2.771 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3ccc(C)cc3[N+](=O)[O-])C2)c1 ZINC000290686673 394233247 /nfs/dbraw/zinc/23/32/47/394233247.db2.gz JITVSTZSGFHUIM-ZDUSSCGKSA-N 0 0 286.335 2.860 20 5 CFBDRN CN(C(=O)/C=C\c1ccccc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000493428404 394240917 /nfs/dbraw/zinc/24/09/17/394240917.db2.gz GXFIRBAZJUQABI-LJTDUEICSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1cc(NC(=O)C2=C(C)OCCS2)ccc1[N+](=O)[O-] ZINC000291534885 394241864 /nfs/dbraw/zinc/24/18/64/394241864.db2.gz UIWZYAPPNHVSGF-UHFFFAOYSA-N 0 0 294.332 2.837 20 5 CFBDRN COc1ccc(NC(=O)CSCC2CC2)c([N+](=O)[O-])c1 ZINC000291569394 394246865 /nfs/dbraw/zinc/24/68/65/394246865.db2.gz OFGLUZFRMNLQSK-UHFFFAOYSA-N 0 0 296.348 2.685 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000150745382 394251022 /nfs/dbraw/zinc/25/10/22/394251022.db2.gz FRUVFVRNSXBKQS-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN COCC1(CNc2c(F)cccc2[N+](=O)[O-])CCOCC1 ZINC000291640766 394251839 /nfs/dbraw/zinc/25/18/39/394251839.db2.gz BBZRCUFKHSSMSY-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN CCn1cc(N[C@@H](C)Cc2ccccc2[N+](=O)[O-])cn1 ZINC000449216561 394254691 /nfs/dbraw/zinc/25/46/91/394254691.db2.gz TUROFUSGXSXJRE-NSHDSACASA-N 0 0 274.324 2.854 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/[C@H]1CCOC1 ZINC000493263463 394194569 /nfs/dbraw/zinc/19/45/69/394194569.db2.gz FCGMWSLQZSWNDT-GQOHGMTASA-N 0 0 290.319 2.688 20 5 CFBDRN Cc1cc(N2CCC(C3CC3)CC2)ncc1[N+](=O)[O-] ZINC000368027630 394265076 /nfs/dbraw/zinc/26/50/76/394265076.db2.gz XACVTIAWWKTZJS-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN CCC[C@@H](CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000493535593 394272343 /nfs/dbraw/zinc/27/23/43/394272343.db2.gz ZQJXWEADQZXTBL-RNVIBTMRSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@@H](Nc1ncnc2sc([N+](=O)[O-])cc21)C(C)(C)O ZINC000292777481 394276639 /nfs/dbraw/zinc/27/66/39/394276639.db2.gz LNEIMFINOIHLQZ-MRVPVSSYSA-N 0 0 296.352 2.561 20 5 CFBDRN Cc1nnc(Sc2ncc(Cl)cc2[N+](=O)[O-])s1 ZINC000153511155 394285877 /nfs/dbraw/zinc/28/58/77/394285877.db2.gz CWYNSDNUWCYYHC-UHFFFAOYSA-N 0 0 288.741 2.954 20 5 CFBDRN CCO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(OC)c2)C[C@@H]1C ZINC000450744397 394332949 /nfs/dbraw/zinc/33/29/49/394332949.db2.gz MLURSXYFZFUNBQ-SMDDNHRTSA-N 0 0 294.351 2.855 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccn(C)c1)c1cccc([N+](=O)[O-])c1 ZINC000493610222 394289482 /nfs/dbraw/zinc/28/94/82/394289482.db2.gz FVIHHXCSYUEYFQ-DGMVEKRQSA-N 0 0 299.330 2.824 20 5 CFBDRN CCN(CC1CC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153754763 394290941 /nfs/dbraw/zinc/29/09/41/394290941.db2.gz APJOMYAVVKYMKZ-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN CC1(C)CCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000493866102 394333532 /nfs/dbraw/zinc/33/35/32/394333532.db2.gz AREXSZGBSNHYGF-VMPITWQZSA-N 0 0 260.293 2.619 20 5 CFBDRN CCOc1cccc(N2CC(C)(OCC)C2)c1[N+](=O)[O-] ZINC000450577803 394295436 /nfs/dbraw/zinc/29/54/36/394295436.db2.gz HPFHTIBSRDVMFS-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)/C=C\[C@@H]1CCOC1 ZINC000493653318 394300576 /nfs/dbraw/zinc/30/05/76/394300576.db2.gz OFERZZQUXZIWAV-XJIUSYNZSA-N 0 0 294.282 2.574 20 5 CFBDRN Cc1cccc(/C=C\C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000493660117 394302647 /nfs/dbraw/zinc/30/26/47/394302647.db2.gz NHQGSABDDWTLKZ-TWGQIWQCSA-N 0 0 297.314 2.974 20 5 CFBDRN CC(C)[C@@H](CO)[C@@H](Nc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000450756085 394335087 /nfs/dbraw/zinc/33/50/87/394335087.db2.gz BRKRBDLXQFOOPC-OCCSQVGLSA-N 0 0 281.356 2.691 20 5 CFBDRN Cc1cc(N2C[C@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)ncc1[N+](=O)[O-] ZINC000450684897 394317472 /nfs/dbraw/zinc/31/74/72/394317472.db2.gz ANHVIYNDTWNEPE-DVZHBHJUSA-N 0 0 285.347 2.947 20 5 CFBDRN COc1cc(N2CCC(CF)CC2)c(F)cc1[N+](=O)[O-] ZINC000450690671 394318541 /nfs/dbraw/zinc/31/85/41/394318541.db2.gz WMNHWSKAAOSXIS-UHFFFAOYSA-N 0 0 286.278 2.928 20 5 CFBDRN CCc1ncc(NC(=O)c2cccc(C)c2[N+](=O)[O-])cn1 ZINC000155685783 394321479 /nfs/dbraw/zinc/32/14/79/394321479.db2.gz FRXZJAZGVAQVRJ-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156586171 394335880 /nfs/dbraw/zinc/33/58/80/394335880.db2.gz IZLWIWMGIXOYFY-GFCCVEGCSA-N 0 0 286.331 2.836 20 5 CFBDRN CC(C)[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@@H](CO)C(C)C ZINC000450764987 394337260 /nfs/dbraw/zinc/33/72/60/394337260.db2.gz IMECAYDANWNRTH-GXTWGEPZSA-N 0 0 281.356 2.691 20 5 CFBDRN Cc1nc(N[C@@H](C(C)C)[C@H](CO)C(C)C)ccc1[N+](=O)[O-] ZINC000450765109 394337304 /nfs/dbraw/zinc/33/73/04/394337304.db2.gz IXEHWRKRGDESPV-DOMZBBRYSA-N 0 0 295.383 2.999 20 5 CFBDRN CCCc1ncc(CNc2c([N+](=O)[O-])c(C)nn2CC)o1 ZINC000450852370 394341642 /nfs/dbraw/zinc/34/16/42/394341642.db2.gz CVMUYGSZOHOUQK-UHFFFAOYSA-N 0 0 293.327 2.672 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2COCC2(C)C)ccc1[N+](=O)[O-] ZINC000450852453 394341908 /nfs/dbraw/zinc/34/19/08/394341908.db2.gz FPKCDJBLFKPFNL-CYBMUJFWSA-N 0 0 278.308 2.634 20 5 CFBDRN Cc1nc(C)c(C(=O)N(C)c2cccc([N+](=O)[O-])c2)s1 ZINC000158242338 394356424 /nfs/dbraw/zinc/35/64/24/394356424.db2.gz IUMAKMDIPXWDGV-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN CN(C(=O)Cc1ccc(F)cc1)c1cccc([N+](=O)[O-])c1 ZINC000158327211 394356849 /nfs/dbraw/zinc/35/68/49/394356849.db2.gz FQCNFZUCQUMCEF-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN CN(C(=O)Cc1ccccc1F)c1cccc([N+](=O)[O-])c1 ZINC000158513243 394357899 /nfs/dbraw/zinc/35/78/99/394357899.db2.gz IINRBYOSVHAGER-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CN3CC[C@H](F)C3)co2)cc1 ZINC000451201321 394367913 /nfs/dbraw/zinc/36/79/13/394367913.db2.gz UREXRORZCPGDMC-NSHDSACASA-N 0 0 291.282 2.794 20 5 CFBDRN C[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)[C@H]1C ZINC000518721826 394371565 /nfs/dbraw/zinc/37/15/65/394371565.db2.gz DAZLRFBWPHDYOJ-IUCAKERBSA-N 0 0 253.277 2.754 20 5 CFBDRN CC[C@@H](C)Cn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000519659734 394374379 /nfs/dbraw/zinc/37/43/79/394374379.db2.gz XUQVQEUYWNWSDJ-SNVBAGLBSA-N 0 0 260.293 2.956 20 5 CFBDRN C[C@H]1CCCN1c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000519707319 394376110 /nfs/dbraw/zinc/37/61/10/394376110.db2.gz JMGVKRUBLBKUNI-JTQLQIEISA-N 0 0 261.325 2.857 20 5 CFBDRN COCCCN(C)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000174703872 394379587 /nfs/dbraw/zinc/37/95/87/394379587.db2.gz YJONLWJXHIQKPC-UHFFFAOYSA-N 0 0 290.266 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@@H]3CCCO3)CC2)cc1 ZINC000276014395 135117532 /nfs/dbraw/zinc/11/75/32/135117532.db2.gz MOGCKSQKVRRNHJ-HNNXBMFYSA-N 0 0 276.336 2.990 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCC1CCC(C)(C)CC1 ZINC000535464380 394535858 /nfs/dbraw/zinc/53/58/58/394535858.db2.gz QDBWKCCFAJAOMC-UHFFFAOYSA-N 0 0 293.367 2.880 20 5 CFBDRN Cc1ccnc(N(C)Cc2cccc(O)c2)c1[N+](=O)[O-] ZINC000536430792 394556806 /nfs/dbraw/zinc/55/68/06/394556806.db2.gz WWFIEZUPLWIFHI-UHFFFAOYSA-N 0 0 273.292 2.640 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)C1 ZINC000538443948 394603983 /nfs/dbraw/zinc/60/39/83/394603983.db2.gz UHNXGXWVCLEFHC-VXGBXAGGSA-N 0 0 288.347 2.916 20 5 CFBDRN CCCCNC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000539806963 394634051 /nfs/dbraw/zinc/63/40/51/394634051.db2.gz ARCPEDZJAXQEKM-UHFFFAOYSA-N 0 0 299.758 2.890 20 5 CFBDRN Cc1nn(C[C@H]2CCCCO2)c2ccc([N+](=O)[O-])cc12 ZINC000543718048 394739693 /nfs/dbraw/zinc/73/96/93/394739693.db2.gz XHRXIKPWVXXUSR-GFCCVEGCSA-N 0 0 275.308 2.822 20 5 CFBDRN O=[N+]([O-])c1ccc(C[NH2+][C@H]2CC[C@H](CO)CC2)c(Cl)c1 ZINC000541555367 394675511 /nfs/dbraw/zinc/67/55/11/394675511.db2.gz APNHFEQFHRJNCI-UMSPYCQHSA-N 0 0 298.770 2.889 20 5 CFBDRN COc1cc(CSC[C@H](C)OC)ccc1[N+](=O)[O-] ZINC000543861330 394745881 /nfs/dbraw/zinc/74/58/81/394745881.db2.gz IVGLGOJUDIYELO-VIFPVBQESA-N 0 0 271.338 2.872 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1nnsc1Cl)CC2 ZINC000542587352 394707488 /nfs/dbraw/zinc/70/74/88/394707488.db2.gz PUMJWGLZMGFKIS-UHFFFAOYSA-N 0 0 296.739 2.662 20 5 CFBDRN COc1cc(-c2nc([C@H]3C[C@H]3C)no2)ccc1[N+](=O)[O-] ZINC000545068096 394778951 /nfs/dbraw/zinc/77/89/51/394778951.db2.gz GFDNGLSBNUMKPW-APPZFPTMSA-N 0 0 275.264 2.777 20 5 CFBDRN Cc1cc(NC(=O)NC2(C3CC3)CC2)ccc1[N+](=O)[O-] ZINC000545330157 394791069 /nfs/dbraw/zinc/79/10/69/394791069.db2.gz NKMBBRHFKPDFQP-UHFFFAOYSA-N 0 0 275.308 2.967 20 5 CFBDRN COCC1(c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)CC1 ZINC000545690460 394799597 /nfs/dbraw/zinc/79/95/97/394799597.db2.gz FZUSJCJXXSYGNE-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H](C)n2cccn2)n1 ZINC000545692704 394799795 /nfs/dbraw/zinc/79/97/95/394799795.db2.gz AWJRXVZPHLJLDW-SNVBAGLBSA-N 0 0 299.290 2.759 20 5 CFBDRN Cc1ccncc1N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000546630172 394835080 /nfs/dbraw/zinc/83/50/80/394835080.db2.gz IFOYGQYCZCFBRW-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CNc1ccc(-c2nc([C@H]3C[C@@H]3C)no2)cc1[N+](=O)[O-] ZINC000547181960 394853527 /nfs/dbraw/zinc/85/35/27/394853527.db2.gz HYZGTPNLZWNOMV-CBAPKCEASA-N 0 0 274.280 2.810 20 5 CFBDRN CNc1ccc(-c2nc([C@H]3C[C@H]3C)no2)cc1[N+](=O)[O-] ZINC000547181959 394854043 /nfs/dbraw/zinc/85/40/43/394854043.db2.gz HYZGTPNLZWNOMV-APPZFPTMSA-N 0 0 274.280 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3CCCCS3)no2)nc1 ZINC000547224068 394855476 /nfs/dbraw/zinc/85/54/76/394855476.db2.gz SOTPEBMRALZVIL-SNVBAGLBSA-N 0 0 292.320 2.998 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@]2(CCOC2)C1 ZINC000450887050 296499936 /nfs/dbraw/zinc/49/99/36/296499936.db2.gz XWIYQDVYHKCATM-CYBMUJFWSA-N 0 0 297.742 2.650 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCCCC1CC1 ZINC000548408865 394928593 /nfs/dbraw/zinc/92/85/93/394928593.db2.gz MQNIYZGGELEYAO-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000408423657 296500483 /nfs/dbraw/zinc/50/04/83/296500483.db2.gz AUIDDGRSHZRMGV-VHSXEESVSA-N 0 0 297.742 2.506 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H](F)C1 ZINC000408107537 296499998 /nfs/dbraw/zinc/49/99/98/296499998.db2.gz ONJCXJLDDVGPCI-MRVPVSSYSA-N 0 0 259.668 2.582 20 5 CFBDRN O=C(NC[C@@H]1CCCC(F)(F)C1)c1ccc([N+](=O)[O-])cn1 ZINC000558594012 395065325 /nfs/dbraw/zinc/06/53/25/395065325.db2.gz RENWVHFXEJZRLT-SECBINFHSA-N 0 0 299.277 2.545 20 5 CFBDRN CCCCn1nnnc1CSc1cccc([N+](=O)[O-])c1 ZINC000558687483 395070683 /nfs/dbraw/zinc/07/06/83/395070683.db2.gz OPKIGRHSCRTVJR-UHFFFAOYSA-N 0 0 293.352 2.674 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N(C)CCC1CC1 ZINC000557646957 395042820 /nfs/dbraw/zinc/04/28/20/395042820.db2.gz XFJMTFZINDIWEJ-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@H]2CCCO2)cc1 ZINC000286322985 296506326 /nfs/dbraw/zinc/50/63/26/296506326.db2.gz FVMNXXIJRJGOFL-ZIAGYGMSSA-N 0 0 262.309 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H]3CCC[C@H]32)c2ccncc21 ZINC000267218627 296507025 /nfs/dbraw/zinc/50/70/25/296507025.db2.gz CNWDXXMUJRWQSF-CVEARBPZSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]2c2ccccc2)cn1 ZINC000344419850 296507351 /nfs/dbraw/zinc/50/73/51/296507351.db2.gz NUFPKBVSIRSLMB-ZDUSSCGKSA-N 0 0 255.277 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCOCC2(CC2)C1 ZINC000376393816 304802439 /nfs/dbraw/zinc/80/24/39/304802439.db2.gz DAWBVNBDBYRVTB-UHFFFAOYSA-N 0 0 282.727 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC4(CCC4(F)F)C3)nc2c1 ZINC000450532245 296510317 /nfs/dbraw/zinc/51/03/17/296510317.db2.gz NXJWIWBRTFJQEL-UHFFFAOYSA-N 0 0 294.261 2.707 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@]2(C1)OCc1ccccc12 ZINC000302275014 296517635 /nfs/dbraw/zinc/51/76/35/296517635.db2.gz STPPEJMAZBMEKH-INIZCTEOSA-N 0 0 297.314 2.626 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CCCCC[C@@H]32)s1 ZINC000374413410 296520428 /nfs/dbraw/zinc/52/04/28/296520428.db2.gz CFZLSKWRPXTCBC-IUCAKERBSA-N 0 0 253.327 2.820 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2cc(F)ccc21 ZINC000340880917 296522147 /nfs/dbraw/zinc/52/21/47/296522147.db2.gz ULCTUDDTHQEVLP-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN CO[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])CC[C@@H]1C ZINC000221022797 285775940 /nfs/dbraw/zinc/77/59/40/285775940.db2.gz JUYMYCQUBLBPJD-JOYOIKCWSA-N 0 0 268.288 2.595 20 5 CFBDRN C[C@@]1(CCNc2ncc(F)cc2[N+](=O)[O-])CC1(F)F ZINC000601195260 397587410 /nfs/dbraw/zinc/58/74/10/397587410.db2.gz IULQNOLWWYQLLL-SNVBAGLBSA-N 0 0 275.230 2.976 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2nccc(C)c2[N+](=O)[O-])C12CCC2 ZINC000413437856 285823310 /nfs/dbraw/zinc/82/33/10/285823310.db2.gz IGOHHTZXXMURAP-GHMZBOCLSA-N 0 0 277.324 2.668 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C)C1CC1 ZINC000601195262 397587378 /nfs/dbraw/zinc/58/73/78/397587378.db2.gz IWPZNDFKPBIALY-SSDOTTSWSA-N 0 0 292.261 2.948 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccn1 ZINC000048348569 397589600 /nfs/dbraw/zinc/58/96/00/397589600.db2.gz XZGCPDUUYNHHNI-UHFFFAOYSA-N 0 0 286.291 2.654 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000125362792 397601945 /nfs/dbraw/zinc/60/19/45/397601945.db2.gz LUWDILLYNNIKHQ-GXTWGEPZSA-N 0 0 262.309 2.647 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000345361461 397655395 /nfs/dbraw/zinc/65/53/95/397655395.db2.gz UBRPBXFIGWPZFP-GHMZBOCLSA-N 0 0 279.340 2.632 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000177558930 397609203 /nfs/dbraw/zinc/60/92/03/397609203.db2.gz SLEZDGCACOFMLE-UONOGXRCSA-N 0 0 288.347 2.992 20 5 CFBDRN CC(C)CCC1CCN(c2ncc([N+](=O)[O-])c(N)n2)CC1 ZINC000301665793 397638959 /nfs/dbraw/zinc/63/89/59/397638959.db2.gz WMNLZAKAFCPOTK-UHFFFAOYSA-N 0 0 293.371 2.620 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000286935729 285868692 /nfs/dbraw/zinc/86/86/92/285868692.db2.gz LVJJXMDVGWTXIP-SNVBAGLBSA-N 0 0 296.298 2.513 20 5 CFBDRN Cc1ccc(N2CC[C@@](CO)(C(C)C)C2)c([N+](=O)[O-])c1 ZINC000377979243 292137419 /nfs/dbraw/zinc/13/74/19/292137419.db2.gz WQFGCPPUUOGAKK-OAHLLOKOSA-N 0 0 278.352 2.748 20 5 CFBDRN Cc1nccc(COc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000356044326 155148012 /nfs/dbraw/zinc/14/80/12/155148012.db2.gz NHBSIIRVFJMVED-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CCOC(=O)c1ccnc(N2CCC[C@H]2CC)c1[N+](=O)[O-] ZINC000348174473 285968792 /nfs/dbraw/zinc/96/87/92/285968792.db2.gz LGUGQLUCENCGHX-SNVBAGLBSA-N 0 0 293.323 2.545 20 5 CFBDRN CCOC1CC2(C1)CCN(c1cc(N)ccc1[N+](=O)[O-])C2 ZINC000286338936 286018930 /nfs/dbraw/zinc/01/89/30/286018930.db2.gz KRMQDFVTOJTVRY-UHFFFAOYSA-N 0 0 291.351 2.572 20 5 CFBDRN COCC(C)(C)CC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000412236946 292192503 /nfs/dbraw/zinc/19/25/03/292192503.db2.gz XADCPLGQBYWEJW-LLVKDONJSA-N 0 0 294.351 2.835 20 5 CFBDRN CO[C@H]1CCN(c2nc(C)cc(C)c2[N+](=O)[O-])[C@H](C)C1 ZINC000408422156 286063962 /nfs/dbraw/zinc/06/39/62/286063962.db2.gz FRBITINZUAVTGY-NEPJUHHUSA-N 0 0 279.340 2.610 20 5 CFBDRN CO[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H]1C ZINC000450755948 286064673 /nfs/dbraw/zinc/06/46/73/286064673.db2.gz JTAJEOGTVRDLHS-KWQFWETISA-N 0 0 285.731 2.504 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC1 ZINC000356317628 155223980 /nfs/dbraw/zinc/22/39/80/155223980.db2.gz VUKHJDSRHANHIA-UHFFFAOYSA-N 0 0 280.349 2.943 20 5 CFBDRN COCCCCc1noc(-c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000356340746 155232899 /nfs/dbraw/zinc/23/28/99/155232899.db2.gz LYMJNVQKLNTGPU-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN C[C@@H](CNC(=O)c1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000356357079 155238055 /nfs/dbraw/zinc/23/80/55/155238055.db2.gz DIYXAHHQXRKRGF-YFKPBYRVSA-N 0 0 282.243 2.585 20 5 CFBDRN COc1c(C(=O)N2CC[C@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000331041465 286176497 /nfs/dbraw/zinc/17/64/97/286176497.db2.gz DOGQQINRXWXFSB-WDEREUQCSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1cc(N2CCCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000267231498 286228668 /nfs/dbraw/zinc/22/86/68/286228668.db2.gz PZQNMXAVFUOXAW-JTQLQIEISA-N 0 0 250.298 2.982 20 5 CFBDRN Cc1cc(C)cc(-c2noc(Cn3cc([N+](=O)[O-])cn3)n2)c1 ZINC000356560285 155302002 /nfs/dbraw/zinc/30/20/02/155302002.db2.gz MOUKSJKOTXUAQK-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000356552321 155302190 /nfs/dbraw/zinc/30/21/90/155302190.db2.gz SOLGUXMAYLHYMB-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN COc1c(C(=O)NCCC2=CCCC2)cccc1[N+](=O)[O-] ZINC000356563930 155303351 /nfs/dbraw/zinc/30/33/51/155303351.db2.gz PRZRTSPJWPOXCZ-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC(C)(C)C1 ZINC000277693944 286249004 /nfs/dbraw/zinc/24/90/04/286249004.db2.gz ZYDGRPHPZCYKNY-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@](C)(F)C1 ZINC000295597555 286249071 /nfs/dbraw/zinc/24/90/71/286249071.db2.gz OUJVPMBBZLSZID-CQSZACIVSA-N 0 0 296.298 2.568 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1ccc(F)cc1 ZINC000356564221 155303605 /nfs/dbraw/zinc/30/36/05/155303605.db2.gz JENJQUNSQWZJPH-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1cc(F)ccc1F ZINC000356569673 155305532 /nfs/dbraw/zinc/30/55/32/155305532.db2.gz WYKRPJGRMKZYKM-UHFFFAOYSA-N 0 0 285.275 2.899 20 5 CFBDRN C[C@H]1CCCC[C@@H]1c1noc(-c2c([N+](=O)[O-])cnn2C)n1 ZINC000356600486 155313587 /nfs/dbraw/zinc/31/35/87/155313587.db2.gz WZNLGBLCKUELJP-IUCAKERBSA-N 0 0 291.311 2.672 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1ccc2ccccc2n1 ZINC000356609301 155316195 /nfs/dbraw/zinc/31/61/95/155316195.db2.gz OAVHQLNACNOGSQ-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN C[C@H]1C[C@H](CNc2cccc([N+](=O)[O-])c2)CCO1 ZINC000356799406 155373435 /nfs/dbraw/zinc/37/34/35/155373435.db2.gz SLTYVAZYZAEVFG-WDEREUQCSA-N 0 0 250.298 2.822 20 5 CFBDRN CNC(=O)c1ccc(N(C)[C@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC000356798556 155372046 /nfs/dbraw/zinc/37/20/46/155372046.db2.gz VVPYCGLBXFIQSG-SNVBAGLBSA-N 0 0 291.351 2.579 20 5 CFBDRN COCCC(C)(C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000273665944 292278769 /nfs/dbraw/zinc/27/87/69/292278769.db2.gz YRVIVASLWAXWHW-NSHDSACASA-N 0 0 294.351 2.835 20 5 CFBDRN COCCC(C)(C)NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492577817 292282210 /nfs/dbraw/zinc/28/22/10/292282210.db2.gz VRLLZVLYSCEXAZ-FPLPWBNLSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H]2C)c1 ZINC000265993093 292283630 /nfs/dbraw/zinc/28/36/30/292283630.db2.gz DQVBPKNRIOUGLQ-PWSUYJOCSA-N 0 0 262.309 2.822 20 5 CFBDRN CCCC[C@@H](CC)CNc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000356846593 155392347 /nfs/dbraw/zinc/39/23/47/155392347.db2.gz UEPCOVQTDYCAFB-SNVBAGLBSA-N 0 0 294.355 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H](C)[C@H]2C)c1 ZINC000268784094 292287305 /nfs/dbraw/zinc/28/73/05/292287305.db2.gz DGMGBAQKZSOQLQ-MNOVXSKESA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](C)OC[C@H]2C)c1 ZINC000268197507 292289269 /nfs/dbraw/zinc/28/92/69/292289269.db2.gz YHZYXGDHUHJCSQ-GHMZBOCLSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3ccsc3C2)n1 ZINC000132425741 292289311 /nfs/dbraw/zinc/28/93/11/292289311.db2.gz YJNMYYCKZSYGRQ-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](O)C(C)(C)C2)c1 ZINC000275465033 292288077 /nfs/dbraw/zinc/28/80/77/292288077.db2.gz NGLBLXYHCNTFSI-CYBMUJFWSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H]([C@@H]3CCOC3)C2)c1 ZINC000408425990 292288097 /nfs/dbraw/zinc/28/80/97/292288097.db2.gz QBXOMGKRQTUWGH-CHWSQXEVSA-N 0 0 276.336 2.766 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H]2C)c1 ZINC000105382330 286381812 /nfs/dbraw/zinc/38/18/12/286381812.db2.gz RIQPZAREKJOHHM-CABZTGNLSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@@H](C)C1 ZINC000267721601 292292831 /nfs/dbraw/zinc/29/28/31/292292831.db2.gz JGUOPGDPQSUDCT-QWRGUYRKSA-N 0 0 262.309 2.631 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccnc(OC(F)F)c1 ZINC000343143333 154124495 /nfs/dbraw/zinc/12/44/95/154124495.db2.gz WFLGZOSNLCQIIB-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN Cc1ccnc(NC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000343200143 154140442 /nfs/dbraw/zinc/14/04/42/154140442.db2.gz BLKCSQUKHAGPJN-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CCc1nc(C)cc(Oc2ccc([N+](=O)[O-])c(CO)c2)n1 ZINC000343204750 154141540 /nfs/dbraw/zinc/14/15/40/154141540.db2.gz KKRZQMJMAHRRGO-UHFFFAOYSA-N 0 0 289.291 2.540 20 5 CFBDRN Cc1nc(N[C@H](c2nccn2C)C2CC2)ccc1[N+](=O)[O-] ZINC000343262165 154159111 /nfs/dbraw/zinc/15/91/11/154159111.db2.gz GPRMDMUYTQNSDI-ZDUSSCGKSA-N 0 0 287.323 2.595 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c2cccnc21)[C@H]1CCCOC1 ZINC000343269176 154162703 /nfs/dbraw/zinc/16/27/03/154162703.db2.gz JQFVUHUHORTGDQ-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCC(CC)CC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000345530517 154196682 /nfs/dbraw/zinc/19/66/82/154196682.db2.gz XYIFWFZOICTEPR-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN Cc1cnccc1-c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000345530577 154196776 /nfs/dbraw/zinc/19/67/76/154196776.db2.gz GAIOQHPTOSBYSQ-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=C(Nc1cc(C2CCCC2)[nH]n1)c1ccc([N+](=O)[O-])o1 ZINC000345571418 154208478 /nfs/dbraw/zinc/20/84/78/154208478.db2.gz PJVVWXVKKLEYCX-UHFFFAOYSA-N 0 0 290.279 2.821 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC[C@H](F)C2)cc([N+](=O)[O-])c1C ZINC000345653208 154232500 /nfs/dbraw/zinc/23/25/00/154232500.db2.gz XMMFODWZDJRLAV-WDEREUQCSA-N 0 0 296.298 2.532 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000345653329 154232678 /nfs/dbraw/zinc/23/26/78/154232678.db2.gz BSBGUDBPKZKPDT-JTQLQIEISA-N 0 0 299.330 2.919 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC[C@@H](F)C2)cc([N+](=O)[O-])c1C ZINC000345653206 154232725 /nfs/dbraw/zinc/23/27/25/154232725.db2.gz XMMFODWZDJRLAV-MNOVXSKESA-N 0 0 296.298 2.532 20 5 CFBDRN Nc1ccc(-c2noc([C@H]3C[C@@H]3C(F)F)n2)cc1[N+](=O)[O-] ZINC000345676399 154237507 /nfs/dbraw/zinc/23/75/07/154237507.db2.gz XSUSUWLCGDHNCK-BQBZGAKWSA-N 0 0 296.233 2.596 20 5 CFBDRN Cc1c(C(=O)NCCC2(F)CCC2)cccc1[N+](=O)[O-] ZINC000345705852 154246023 /nfs/dbraw/zinc/24/60/23/154246023.db2.gz FODKZVMJVBIUOZ-UHFFFAOYSA-N 0 0 280.299 2.915 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000345732707 154252831 /nfs/dbraw/zinc/25/28/31/154252831.db2.gz BOHILHVDHIVQRV-LLVKDONJSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC000348065756 154304060 /nfs/dbraw/zinc/30/40/60/154304060.db2.gz ACPOKFYRLBCNFN-JFGNBEQYSA-N 0 0 291.351 2.853 20 5 CFBDRN CCOC(=O)c1ccnc(NCC2CCCC2)c1[N+](=O)[O-] ZINC000348171460 154347846 /nfs/dbraw/zinc/34/78/46/154347846.db2.gz FRNBXQCVAHUUMY-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CC[C@H](c1ccncc1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000348219684 154365607 /nfs/dbraw/zinc/36/56/07/154365607.db2.gz DLBVTAGDGOEXTH-CYBMUJFWSA-N 0 0 272.308 2.972 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1cncs1 ZINC000348234343 154372584 /nfs/dbraw/zinc/37/25/84/154372584.db2.gz BFASFHTVRBDNHG-ZCFIWIBFSA-N 0 0 283.334 2.604 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])c(OC)c1)CC(F)(F)F ZINC000352406578 154559315 /nfs/dbraw/zinc/55/93/15/154559315.db2.gz ROSYWJUGPHOZOR-UHFFFAOYSA-N 0 0 292.257 2.988 20 5 CFBDRN CCc1cnccc1CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000352572163 154615236 /nfs/dbraw/zinc/61/52/36/154615236.db2.gz DNSRZHLXNNRQTC-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN Cc1cc(C)nc(-c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)c1 ZINC000356960401 155441525 /nfs/dbraw/zinc/44/15/25/155441525.db2.gz JAFLGXYWKWZMOO-UHFFFAOYSA-N 0 0 285.263 2.652 20 5 CFBDRN CCN(CCC1CC1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000354877110 154843979 /nfs/dbraw/zinc/84/39/79/154843979.db2.gz FQAJWMMCFKYCPD-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(CC2CCC2)n1 ZINC000355690639 155063809 /nfs/dbraw/zinc/06/38/09/155063809.db2.gz QBLPEXWOWLIGQM-UHFFFAOYSA-N 0 0 273.292 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3cscn3)no2)s1 ZINC000355785311 155086932 /nfs/dbraw/zinc/08/69/32/155086932.db2.gz ZQJDFVMDFRKRRD-UHFFFAOYSA-N 0 0 294.317 2.754 20 5 CFBDRN CCc1ccc(CN(C)Cc2c([N+](=O)[O-])ncn2C)cc1 ZINC000355807572 155091909 /nfs/dbraw/zinc/09/19/09/155091909.db2.gz ZWGNIRLRFFOIOW-UHFFFAOYSA-N 0 0 288.351 2.523 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cc([N+](=O)[O-])ccc2OC)C1 ZINC000355874517 155105307 /nfs/dbraw/zinc/10/53/07/155105307.db2.gz WNZXVNNNUUTSII-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CCNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000358248580 155689399 /nfs/dbraw/zinc/68/93/99/155689399.db2.gz XQOJIPGGDNTZQZ-WDEREUQCSA-N 0 0 279.340 2.869 20 5 CFBDRN CCOc1cccc(NCC[C@@H](O)C(C)C)c1[N+](=O)[O-] ZINC000358278969 155702762 /nfs/dbraw/zinc/70/27/62/155702762.db2.gz NXEWOANTCCVLLK-GFCCVEGCSA-N 0 0 282.340 2.812 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NCCC1CCCC1 ZINC000358332476 155725521 /nfs/dbraw/zinc/72/55/21/155725521.db2.gz SRVNDURCKPAYDQ-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H](O)C(F)(F)F)c1 ZINC000358494268 155782715 /nfs/dbraw/zinc/78/27/15/155782715.db2.gz HNSPSPMMRHJUEG-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN CCOc1cc(OCc2nccc(C)n2)ccc1[N+](=O)[O-] ZINC000358512597 155786357 /nfs/dbraw/zinc/78/63/57/155786357.db2.gz HIGCLWFQLCBOTB-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccncc2[N+](=O)[O-])c2ccccc21 ZINC000359031336 155960175 /nfs/dbraw/zinc/96/01/75/155960175.db2.gz YBHPYNXNVAYJJB-SNVBAGLBSA-N 0 0 298.302 2.567 20 5 CFBDRN COc1cc(NCCNc2ccccn2)ccc1[N+](=O)[O-] ZINC000360225869 156058556 /nfs/dbraw/zinc/05/85/56/156058556.db2.gz SMEYELDNAUTQMO-UHFFFAOYSA-N 0 0 288.307 2.522 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)Cc1ccc[nH]1 ZINC000362750967 156079738 /nfs/dbraw/zinc/07/97/38/156079738.db2.gz ALTMDVIRNRZVFK-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000362843449 156097565 /nfs/dbraw/zinc/09/75/65/156097565.db2.gz PWGDMNPVCBKXRS-SNVBAGLBSA-N 0 0 280.349 2.845 20 5 CFBDRN Cc1ccc2c(c1)N(c1ncccc1[N+](=O)[O-])CC2 ZINC000301125100 292336015 /nfs/dbraw/zinc/33/60/15/292336015.db2.gz SMZOUYAWIKRULF-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(CCO)CCC2)c1 ZINC000362872033 156103330 /nfs/dbraw/zinc/10/33/30/156103330.db2.gz BRAVQCLBJDXSIX-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](Oc3ccccc3)C2)nc1 ZINC000362948571 156123586 /nfs/dbraw/zinc/12/35/86/156123586.db2.gz TZBDQRUSPZHAOX-AWEZNQCLSA-N 0 0 285.303 2.648 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1cnc2c(c1)COCC2 ZINC000363866767 156201383 /nfs/dbraw/zinc/20/13/83/156201383.db2.gz JBAPUBFSESQRSI-UHFFFAOYSA-N 0 0 299.330 2.983 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2ncc([N+](=O)[O-])s2)no1 ZINC000367154337 156289710 /nfs/dbraw/zinc/28/97/10/156289710.db2.gz SWRDGBNPFDAERX-VIFPVBQESA-N 0 0 280.309 2.689 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H]3COC[C@H]32)ccc1Cl ZINC000367231426 156300663 /nfs/dbraw/zinc/30/06/63/156300663.db2.gz ORPBZQPNSIAOMH-SMDDNHRTSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H]1[C@H]2COC[C@H]21 ZINC000367734302 156383202 /nfs/dbraw/zinc/38/32/02/156383202.db2.gz NPOXKQPVNQQWMK-OWUUHHOZSA-N 0 0 268.700 2.553 20 5 CFBDRN COc1cccc(C2=CCN(c3ccc([N+](=O)[O-])cn3)C2)c1 ZINC000367739498 156385040 /nfs/dbraw/zinc/38/50/40/156385040.db2.gz GFSSMXQTDXFPSZ-UHFFFAOYSA-N 0 0 297.314 2.902 20 5 CFBDRN Cc1cc(C(=O)NC23CCC(CC2)C3)ccc1[N+](=O)[O-] ZINC000368253151 156457018 /nfs/dbraw/zinc/45/70/18/156457018.db2.gz KUWUVUYHOLNZOI-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC12CCC2 ZINC000370610321 156704644 /nfs/dbraw/zinc/70/46/44/156704644.db2.gz LMAFPHZTWUBKIR-NSHDSACASA-N 0 0 289.335 2.642 20 5 CFBDRN CCOc1cccc(N2CC[C@H]3OCC[C@H]3C2)c1[N+](=O)[O-] ZINC000369691763 286573534 /nfs/dbraw/zinc/57/35/34/286573534.db2.gz KKCYAPOOPMESDO-WCQYABFASA-N 0 0 292.335 2.609 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc(F)c1F)N1CC[C@@H](C2CC2)C1 ZINC000374285693 157093248 /nfs/dbraw/zinc/09/32/48/157093248.db2.gz CWHMXOJMROFXPF-SECBINFHSA-N 0 0 296.273 2.745 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000374518644 157124458 /nfs/dbraw/zinc/12/44/58/157124458.db2.gz CSYZEXNRXHIMAD-ZFWWWQNUSA-N 0 0 292.335 2.653 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000374717625 157153860 /nfs/dbraw/zinc/15/38/60/157153860.db2.gz RJBFSDNAFUKUAM-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN COC[C@H]1CCCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000375142647 157199633 /nfs/dbraw/zinc/19/96/33/157199633.db2.gz OQTFEXWENGYBPR-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN Cc1c(CN2CCO[C@@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000375331708 157229051 /nfs/dbraw/zinc/22/90/51/157229051.db2.gz LPCJNBYOIACQSG-OAHLLOKOSA-N 0 0 276.336 2.514 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCO[C@@H](C2CC2)C1 ZINC000375332345 157229308 /nfs/dbraw/zinc/22/93/08/157229308.db2.gz OQLFKVWMFCAHSC-LLCTXKFZSA-N 0 0 288.347 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@H]1CCc2n[nH]cc2C1 ZINC000376172118 157330896 /nfs/dbraw/zinc/33/08/96/157330896.db2.gz LWQBKVMYPCQKQL-JTQLQIEISA-N 0 0 292.726 2.941 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC2(CCC2)CC1 ZINC000376422256 157353493 /nfs/dbraw/zinc/35/34/93/157353493.db2.gz SGWZJDDFSQPAHR-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@]3(CCOC3)C2)c1 ZINC000408091426 157378768 /nfs/dbraw/zinc/37/87/68/157378768.db2.gz WZDUTZZIPQCTIR-IUODEOHRSA-N 0 0 292.335 2.653 20 5 CFBDRN CS[C@H]1CCCCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000286401085 286716075 /nfs/dbraw/zinc/71/60/75/286716075.db2.gz ZOFMQCOJSAYGSH-NSHDSACASA-N 0 0 281.381 2.899 20 5 CFBDRN Nc1c(C(=O)N2CCC3(CCC3)CC2)cccc1[N+](=O)[O-] ZINC000308696887 161552043 /nfs/dbraw/zinc/55/20/43/161552043.db2.gz ARLORPZRLJNZQW-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC[C@H](CO)C2)c(Cl)c1 ZINC000340954975 161603900 /nfs/dbraw/zinc/60/39/00/161603900.db2.gz AFEALRSDZMRUNL-ZJUUUORDSA-N 0 0 299.758 2.854 20 5 CFBDRN CN(CCC1CC1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342942320 161742003 /nfs/dbraw/zinc/74/20/03/161742003.db2.gz YDQSEWDUECQWRZ-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN CCOC[C@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000343039106 161753082 /nfs/dbraw/zinc/75/30/82/161753082.db2.gz UZMIIWXUBLBGJP-VIFPVBQESA-N 0 0 266.297 2.634 20 5 CFBDRN O=C(Nc1cccc(-c2cnco2)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000343652366 161830689 /nfs/dbraw/zinc/83/06/89/161830689.db2.gz BZXHMQRGOZVWSU-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2C[C@@H]2C(F)F)n1 ZINC000343816563 161869168 /nfs/dbraw/zinc/86/91/68/161869168.db2.gz XIRGILIDFBKJPJ-YUMQZZPRSA-N 0 0 257.240 2.672 20 5 CFBDRN COc1cc(N[C@H]2C[C@H]2C(F)F)c([N+](=O)[O-])cc1F ZINC000343863640 161880502 /nfs/dbraw/zinc/88/05/02/161880502.db2.gz PYLVFJUOKFLVIW-VDTYLAMSSA-N 0 0 276.214 2.808 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344165801 161959828 /nfs/dbraw/zinc/95/98/28/161959828.db2.gz BKATVOSVIVPENZ-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN Cc1ccccc1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000344192559 161970413 /nfs/dbraw/zinc/97/04/13/161970413.db2.gz INSPXVNTEUDMJS-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344206698 161972480 /nfs/dbraw/zinc/97/24/80/161972480.db2.gz FKBFJRYZNGUCQZ-UHFFFAOYSA-N 0 0 294.204 2.758 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC[C@@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000344348881 162018972 /nfs/dbraw/zinc/01/89/72/162018972.db2.gz IFPCSJJZBQVPPA-WCQGTBRESA-N 0 0 295.314 2.846 20 5 CFBDRN CC(C)(C)c1nc(Sc2ccc([N+](=O)[O-])nc2)n[nH]1 ZINC000344427512 162042108 /nfs/dbraw/zinc/04/21/08/162042108.db2.gz FLMSSJIHBAMPRF-UHFFFAOYSA-N 0 0 279.325 2.557 20 5 CFBDRN C[S@@](=O)Cc1cccc(Nc2ccc([N+](=O)[O-])nc2)c1 ZINC000344427175 162042371 /nfs/dbraw/zinc/04/23/71/162042371.db2.gz ZRBHNOBQUBQKMY-HXUWFJFHSA-N 0 0 291.332 2.612 20 5 CFBDRN C[C@H](CC(=O)OC(C)(C)C)Nc1ncccc1[N+](=O)[O-] ZINC000344445536 162047067 /nfs/dbraw/zinc/04/70/67/162047067.db2.gz PUYRRSKRBBNMNM-SECBINFHSA-N 0 0 281.312 2.522 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)CC(C)(C)C1 ZINC000344514232 162057782 /nfs/dbraw/zinc/05/77/82/162057782.db2.gz DJCOSEJOBLLTFB-SNVBAGLBSA-N 0 0 291.351 2.806 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc(-c2cncnc2)cc1 ZINC000344595110 162075993 /nfs/dbraw/zinc/07/59/93/162075993.db2.gz GJCWQVMPESGBLI-UHFFFAOYSA-N 0 0 296.290 2.529 20 5 CFBDRN Cc1csc(CNc2c([N+](=O)[O-])c(C)nn2C)c1 ZINC000344612863 162080098 /nfs/dbraw/zinc/08/00/98/162080098.db2.gz CXOXPEPWMCBVSY-UHFFFAOYSA-N 0 0 266.326 2.619 20 5 CFBDRN Cc1nc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])cs1 ZINC000344729929 162109285 /nfs/dbraw/zinc/10/92/85/162109285.db2.gz KSPNVYBEEZFXCV-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1nc(NC(=O)CCc2cccc([N+](=O)[O-])c2)cs1 ZINC000344741268 162111783 /nfs/dbraw/zinc/11/17/83/162111783.db2.gz RDNOTWNLQNSIDT-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@]1(C)CCO[C@H]1C ZINC000344920768 162146458 /nfs/dbraw/zinc/14/64/58/162146458.db2.gz SGWAQPPDWIRIJO-IINYFYTJSA-N 0 0 293.323 2.592 20 5 CFBDRN CC(C)CC(C)(C)CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000345043928 162167351 /nfs/dbraw/zinc/16/73/51/162167351.db2.gz KVNNBLWXTDUGLO-UHFFFAOYSA-N 0 0 293.367 2.979 20 5 CFBDRN CO[C@@H](CCNc1ncc(C)cc1[N+](=O)[O-])C(F)(F)F ZINC000345039299 162168081 /nfs/dbraw/zinc/16/80/81/162168081.db2.gz SXEIGDMADPARNZ-VIFPVBQESA-N 0 0 293.245 2.678 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000345177713 162194010 /nfs/dbraw/zinc/19/40/10/162194010.db2.gz SBCQZKCQWAGCDR-QWRGUYRKSA-N 0 0 262.309 2.647 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000345177712 162194087 /nfs/dbraw/zinc/19/40/87/162194087.db2.gz SBCQZKCQWAGCDR-MNOVXSKESA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1csc(N(C)C(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000345188708 162196421 /nfs/dbraw/zinc/19/64/21/162196421.db2.gz MUIVIAIVLBSMIP-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000345347547 162231765 /nfs/dbraw/zinc/23/17/65/162231765.db2.gz LKTSCXVJLUGITN-YPMHNXCESA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccccc2[N+](=O)[O-])c2nccn21 ZINC000345352515 162233437 /nfs/dbraw/zinc/23/34/37/162233437.db2.gz JPHGRCBRRMYMOD-ONGXEEELSA-N 0 0 258.281 2.909 20 5 CFBDRN CCC(CC)(CC)CNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000345405378 162240939 /nfs/dbraw/zinc/24/09/39/162240939.db2.gz OZVBTGZJXHLBBI-UHFFFAOYSA-N 0 0 267.329 2.869 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1C[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000345870064 162266528 /nfs/dbraw/zinc/26/65/28/162266528.db2.gz LXRDCIDKWWSLDO-WDEREUQCSA-N 0 0 298.289 2.642 20 5 CFBDRN CC(C)(C(=O)N[C@H]1C[C@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000345870062 162266581 /nfs/dbraw/zinc/26/65/81/162266581.db2.gz LXRDCIDKWWSLDO-MNOVXSKESA-N 0 0 298.289 2.642 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C1Cc2ccccc2C1 ZINC000345876806 162269005 /nfs/dbraw/zinc/26/90/05/162269005.db2.gz XQVZQYYNKFDWGJ-UHFFFAOYSA-N 0 0 296.326 2.626 20 5 CFBDRN O=C(NC[C@@H]1CCCSC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000345893663 162272594 /nfs/dbraw/zinc/27/25/94/162272594.db2.gz YFHLSHVLFSXDAW-VIFPVBQESA-N 0 0 298.339 2.607 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2cccc(C)c2[N+](=O)[O-])n1 ZINC000345929321 162278513 /nfs/dbraw/zinc/27/85/13/162278513.db2.gz ONDQRDKRGIJYGM-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000346110884 162320844 /nfs/dbraw/zinc/32/08/44/162320844.db2.gz ACHNKNSWNOYGFM-CYBMUJFWSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cccc(C)c2[N+](=O)[O-])nc1 ZINC000346166972 162337223 /nfs/dbraw/zinc/33/72/23/162337223.db2.gz JKZAOEWKSFGPMF-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn2ccccc12 ZINC000346372737 162395898 /nfs/dbraw/zinc/39/58/98/162395898.db2.gz IYDKQOZELVMFJP-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CCCN(C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347233700 162632158 /nfs/dbraw/zinc/63/21/58/162632158.db2.gz NKMYROSDNXEYQY-UHFFFAOYSA-N 0 0 255.249 2.608 20 5 CFBDRN Cc1ncccc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347945732 162807700 /nfs/dbraw/zinc/80/77/00/162807700.db2.gz DPVGOBOFMYXYJF-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CC[C@@H](F)C1 ZINC000347962971 162810185 /nfs/dbraw/zinc/81/01/85/162810185.db2.gz IMNDKXJWEQUJCM-NEPJUHHUSA-N 0 0 295.314 2.627 20 5 CFBDRN Cc1cccnc1N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000348811905 162905379 /nfs/dbraw/zinc/90/53/79/162905379.db2.gz FHDNGJAFPXCRQE-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)cc1 ZINC000349035752 162931420 /nfs/dbraw/zinc/93/14/20/162931420.db2.gz KCGZAVWTXNXEAE-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN Cc1cc(OC[C@H]2CCOC2)c(Cl)cc1[N+](=O)[O-] ZINC000349839053 163023120 /nfs/dbraw/zinc/02/31/20/163023120.db2.gz JRQARFVBLIOZDR-VIFPVBQESA-N 0 0 271.700 2.972 20 5 CFBDRN Cn1c(-c2nc(-c3cccc(F)c3)no2)ccc1[N+](=O)[O-] ZINC000349862273 163027604 /nfs/dbraw/zinc/02/76/04/163027604.db2.gz YJNWVLKSHNHFEZ-UHFFFAOYSA-N 0 0 288.238 2.789 20 5 CFBDRN Cc1ccc(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)cn1 ZINC000349863617 163028542 /nfs/dbraw/zinc/02/85/42/163028542.db2.gz MGEJHNIOOIEGHV-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc(-c3ccccn3)no2)c1 ZINC000349864540 163028718 /nfs/dbraw/zinc/02/87/18/163028718.db2.gz DJIBXXDQFQDERA-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN NC(=O)c1ccc(NC/C=C\c2ccccc2)c([N+](=O)[O-])c1 ZINC000349869975 163029241 /nfs/dbraw/zinc/02/92/41/163029241.db2.gz UGPRGMNRESTYCS-DAXSKMNVSA-N 0 0 297.314 2.819 20 5 CFBDRN Cn1c(-c2nc(Cc3ccccc3)no2)ccc1[N+](=O)[O-] ZINC000349866683 163030125 /nfs/dbraw/zinc/03/01/25/163030125.db2.gz OURONXDDPLQKGV-UHFFFAOYSA-N 0 0 284.275 2.574 20 5 CFBDRN COc1cc(CNc2ccc([N+](=O)[O-])nc2)ccc1C ZINC000350281559 163069546 /nfs/dbraw/zinc/06/95/46/163069546.db2.gz ZJMDZIOJLFYMBQ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CC[C@](C)(OC)c1noc(-c2cc([N+](=O)[O-])cnc2C)n1 ZINC000350426844 163079602 /nfs/dbraw/zinc/07/96/02/163079602.db2.gz BLURNDWGNPMGGR-ZDUSSCGKSA-N 0 0 292.295 2.620 20 5 CFBDRN CCC(CC)(OC)c1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000350442057 163081737 /nfs/dbraw/zinc/08/17/37/163081737.db2.gz XOVNHTAAIYLYAQ-UHFFFAOYSA-N 0 0 280.284 2.635 20 5 CFBDRN CO[C@](C)(c1noc(-c2ccc([N+](=O)[O-])s2)n1)C1CC1 ZINC000350471172 163084741 /nfs/dbraw/zinc/08/47/41/163084741.db2.gz KSGBCDRJCATQIX-LBPRGKRZSA-N 0 0 295.320 2.978 20 5 CFBDRN CCc1ccnc(-c2noc(-c3ccc([N+](=O)[O-])n3C)n2)c1 ZINC000350504007 163088691 /nfs/dbraw/zinc/08/86/91/163088691.db2.gz UGHRUNDRONWDRL-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC[C@@H]1C ZINC000351146068 163121003 /nfs/dbraw/zinc/12/10/03/163121003.db2.gz PDBPODGKRFVLDC-WPRPVWTQSA-N 0 0 279.296 2.523 20 5 CFBDRN COc1cc(NCc2ccc(F)cn2)c(F)cc1[N+](=O)[O-] ZINC000351478410 163131866 /nfs/dbraw/zinc/13/18/66/163131866.db2.gz DXKQKQYTBSQLTC-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN C[C@@H](NC(=O)NOC/C=C/Cl)c1ccccc1[N+](=O)[O-] ZINC000292335012 286976442 /nfs/dbraw/zinc/97/64/42/286976442.db2.gz JNLKLOZSTKMPLO-ILFKPUCNSA-N 0 0 299.714 2.639 20 5 CFBDRN CCc1noc([C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000351562251 163146646 /nfs/dbraw/zinc/14/66/46/163146646.db2.gz KFGDTPAYWDHHGZ-ZETCQYMHSA-N 0 0 265.244 2.831 20 5 CFBDRN COc1ncccc1NCc1ccc([N+](=O)[O-])cc1C ZINC000351566459 163149288 /nfs/dbraw/zinc/14/92/88/163149288.db2.gz CXOCHNURNFWHDV-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCc1cc(NC(=O)c2n[nH]c(C)c2[N+](=O)[O-])ccc1C ZINC000351603172 163156285 /nfs/dbraw/zinc/15/62/85/163156285.db2.gz VSQGPOLOMGOSLM-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN O=[N+]([O-])c1ncn(Cc2cc(Cl)cc(Cl)c2)n1 ZINC000351674530 163171971 /nfs/dbraw/zinc/17/19/71/163171971.db2.gz LRMBQWIMWAFICA-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN O=C(N[C@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])s1 ZINC000351682998 163172943 /nfs/dbraw/zinc/17/29/43/163172943.db2.gz NAXQXSBGZYVMNM-RTBKNWGFSA-N 0 0 280.349 2.965 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC[C@@H]1CCCCO1 ZINC000351698905 163178312 /nfs/dbraw/zinc/17/83/12/163178312.db2.gz ZFGAEFXJAOSUPH-LBPRGKRZSA-N 0 0 281.308 2.941 20 5 CFBDRN COc1cc(CS[C@H](C)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000351828747 163200107 /nfs/dbraw/zinc/20/01/07/163200107.db2.gz ICPSXZDPJOEITD-RKDXNWHRSA-N 0 0 271.338 2.606 20 5 CFBDRN COc1cc(CS[C@@H](C)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000351828746 163200292 /nfs/dbraw/zinc/20/02/92/163200292.db2.gz ICPSXZDPJOEITD-IUCAKERBSA-N 0 0 271.338 2.606 20 5 CFBDRN C/C=C\CS(=O)(=O)[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000351877326 163210558 /nfs/dbraw/zinc/21/05/58/163210558.db2.gz CEKFNUYSKNDIHW-WYGGZMRJSA-N 0 0 283.349 2.955 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1ccc([N+](=O)[O-])c(OC)c1 ZINC000352778635 163233339 /nfs/dbraw/zinc/23/33/39/163233339.db2.gz LMHFLWKDACHMFF-HNNXBMFYSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000352882465 163259217 /nfs/dbraw/zinc/25/92/17/163259217.db2.gz JFZAXJRGIORQNA-LLVKDONJSA-N 0 0 263.297 2.823 20 5 CFBDRN CC[C@H](O)CCCNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000352880144 163260569 /nfs/dbraw/zinc/26/05/69/163260569.db2.gz ZOFYMXISYSSYCD-LBPRGKRZSA-N 0 0 295.339 2.576 20 5 CFBDRN COc1cccc(NC(=O)c2ccccc2[N+](=O)[O-])c1O ZINC000352892565 163265152 /nfs/dbraw/zinc/26/51/52/163265152.db2.gz RRXLOBSNJBSYGP-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN C[C@H](NC(=O)NC(C)(C)CF)c1cccc([N+](=O)[O-])c1 ZINC000353055966 163298159 /nfs/dbraw/zinc/29/81/59/163298159.db2.gz PDZIHAPLYHZTLC-VIFPVBQESA-N 0 0 283.303 2.703 20 5 CFBDRN C[C@@H](NC(=O)NC(C)(C)CF)c1ccccc1[N+](=O)[O-] ZINC000353203619 163316441 /nfs/dbraw/zinc/31/64/41/163316441.db2.gz AHZPGKVNIMZKQY-SECBINFHSA-N 0 0 283.303 2.703 20 5 CFBDRN CCO[C@@H]1COCC[C@H]1Nc1ccc(C)cc1[N+](=O)[O-] ZINC000353267492 163332377 /nfs/dbraw/zinc/33/23/77/163332377.db2.gz PQVHJEHMYZZRGD-TZMCWYRMSA-N 0 0 280.324 2.509 20 5 CFBDRN COc1nn(C)cc1N[C@H](C)c1cccc([N+](=O)[O-])c1C ZINC000353306565 163341574 /nfs/dbraw/zinc/34/15/74/163341574.db2.gz QMIPXUWIWOWQDL-SNVBAGLBSA-N 0 0 290.323 2.818 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000353410256 163358817 /nfs/dbraw/zinc/35/88/17/163358817.db2.gz BWJSOENANGTYPD-DGCLKSJQSA-N 0 0 276.336 2.832 20 5 CFBDRN CCCCN[C@@H](c1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000353452558 163368660 /nfs/dbraw/zinc/36/86/60/163368660.db2.gz ZXTHEGVUFNLSIE-CQSZACIVSA-N 0 0 288.351 2.807 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000266774793 287051889 /nfs/dbraw/zinc/05/18/89/287051889.db2.gz KVVFDYAYQGUXFU-MNOVXSKESA-N 0 0 274.324 2.740 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1c1c([N+](=O)[O-])nc(C)n1CC ZINC000361045777 287119627 /nfs/dbraw/zinc/11/96/27/287119627.db2.gz YNJYTMYXVFHKBE-GXSJLCMTSA-N 0 0 266.345 2.887 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc([N+](=O)[O-])c2)nc1 ZINC000001695804 169744193 /nfs/dbraw/zinc/74/41/93/169744193.db2.gz XBTCAPPEKXOYGP-UHFFFAOYSA-N 0 0 261.193 2.690 20 5 CFBDRN C[C@@H](c1cccnc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352707915 287155563 /nfs/dbraw/zinc/15/55/63/287155563.db2.gz DGTBGVUDKUJOQD-LBPRGKRZSA-N 0 0 299.330 2.945 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CCCO1 ZINC000281464461 287172547 /nfs/dbraw/zinc/17/25/47/287172547.db2.gz GAFNLDSXVXPJEV-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ccccc1F ZINC000005635182 170122605 /nfs/dbraw/zinc/12/26/05/170122605.db2.gz QYSDWNSJVBESHM-UHFFFAOYSA-N 0 0 261.208 2.953 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000005656739 170124413 /nfs/dbraw/zinc/12/44/13/170124413.db2.gz AECGLBRUAKGWAD-LBPRGKRZSA-N 0 0 292.335 2.765 20 5 CFBDRN COc1ccccc1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000005864154 170148077 /nfs/dbraw/zinc/14/80/77/170148077.db2.gz PLCZMVPFBUPDBH-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN CC1CCC(NC(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000006061248 170173181 /nfs/dbraw/zinc/17/31/81/170173181.db2.gz LRDRSLCFZQHHQA-UHFFFAOYSA-N 0 0 292.335 2.669 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1csc([N+](=O)[O-])c1 ZINC000006092551 170175888 /nfs/dbraw/zinc/17/58/88/170175888.db2.gz YWHOUKGQMYSICD-UHFFFAOYSA-N 0 0 293.260 2.817 20 5 CFBDRN Cn1c(SCc2ccccc2[N+](=O)[O-])nnc1C1CC1 ZINC000007235211 170272729 /nfs/dbraw/zinc/27/27/29/170272729.db2.gz GIOLTOVFKRDTLS-UHFFFAOYSA-N 0 0 290.348 2.893 20 5 CFBDRN Cc1ccccc1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000007272205 170275140 /nfs/dbraw/zinc/27/51/40/170275140.db2.gz CLBRDKJPOWLQKT-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2ccccn2)ccc1[N+](=O)[O-] ZINC000007597444 170292243 /nfs/dbraw/zinc/29/22/43/170292243.db2.gz ILWYMRHGCGFHCO-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN CC(=O)c1csc(NC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000010509698 170408687 /nfs/dbraw/zinc/40/86/87/170408687.db2.gz SNFFXFISPHNRQT-UHFFFAOYSA-N 0 0 291.288 2.506 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284498780 196070455 /nfs/dbraw/zinc/07/04/55/196070455.db2.gz UWLJIFFEEQCWHY-DTWKUNHWSA-N 0 0 295.314 2.719 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000356828552 287249145 /nfs/dbraw/zinc/24/91/45/287249145.db2.gz UHAFOTUOJXKIAW-NWDGAFQWSA-N 0 0 264.325 2.907 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000020886604 171278135 /nfs/dbraw/zinc/27/81/35/171278135.db2.gz NJURUYSGBJBDGK-LLVKDONJSA-N 0 0 291.351 2.723 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)NC1CCCC1 ZINC000020902966 171278430 /nfs/dbraw/zinc/27/84/30/171278430.db2.gz ODZURZFRHGJZOX-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN Cc1ccccc1CCNc1ccc([N+](=O)[O-])cn1 ZINC000022805728 171399178 /nfs/dbraw/zinc/39/91/78/171399178.db2.gz DRLQFCJPNBNPGU-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1cccc(N2CC[C@@H]3OCCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000302488910 292560941 /nfs/dbraw/zinc/56/09/41/292560941.db2.gz JJUMJXSXODWGJY-OCCSQVGLSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cccc(N2CC[C@@H]3C[C@H](O)CC[C@H]3C2)c1[N+](=O)[O-] ZINC000450811961 292561127 /nfs/dbraw/zinc/56/11/27/292561127.db2.gz MRWHONZGWVWRGZ-HZSPNIEDSA-N 0 0 290.363 2.891 20 5 CFBDRN CC(=O)N1CCC(Nc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000035014127 171931079 /nfs/dbraw/zinc/93/10/79/171931079.db2.gz OJQZHYKXYJRSRY-UHFFFAOYSA-N 0 0 297.742 2.671 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCCC2)c2nonc21 ZINC000035234437 172074765 /nfs/dbraw/zinc/07/47/65/172074765.db2.gz GMTINGPXSXNWPS-UHFFFAOYSA-N 0 0 262.269 2.733 20 5 CFBDRN CCOC(=O)CCSc1ccccc1[N+](=O)[O-] ZINC000035286621 172104217 /nfs/dbraw/zinc/10/42/17/172104217.db2.gz JSBNXOHLDZXELQ-UHFFFAOYSA-N 0 0 255.295 2.640 20 5 CFBDRN CC(C)c1[nH]ccc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000285259059 196234676 /nfs/dbraw/zinc/23/46/76/196234676.db2.gz XJGCMAVRAGNVNT-UHFFFAOYSA-N 0 0 287.319 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CC[C@H](C)[C@@H]2C)c1F ZINC000285309903 196247634 /nfs/dbraw/zinc/24/76/34/196247634.db2.gz HIYCGXWWAXZEAI-WPRPVWTQSA-N 0 0 280.299 2.913 20 5 CFBDRN C[C@H](NCc1cc(F)ccc1[N+](=O)[O-])c1ccccn1 ZINC000035694736 172363610 /nfs/dbraw/zinc/36/36/10/172363610.db2.gz UFHQTCCUYZKWJS-JTQLQIEISA-N 0 0 275.283 2.980 20 5 CFBDRN Cc1nn(C)c(NCc2ccc(C)cc2)c1[N+](=O)[O-] ZINC000035732528 172384036 /nfs/dbraw/zinc/38/40/36/172384036.db2.gz SQBKHLPJQSZXKQ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CCn1cc(N[C@@H](C)c2ccccc2[N+](=O)[O-])cn1 ZINC000035779857 172413958 /nfs/dbraw/zinc/41/39/58/172413958.db2.gz YAPWRQUWMMLPIG-JTQLQIEISA-N 0 0 260.297 2.984 20 5 CFBDRN Cc1nn(C)c(C)c1N[C@@H](C)c1ccc(N)c([N+](=O)[O-])c1 ZINC000285438848 196284856 /nfs/dbraw/zinc/28/48/56/196284856.db2.gz YYEHAEKNHYKLCW-QMMMGPOBSA-N 0 0 289.339 2.700 20 5 CFBDRN Cc1nocc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000339183969 270301344 /nfs/dbraw/zinc/30/13/44/270301344.db2.gz GFYYRDGXXUSALT-VIFPVBQESA-N 0 0 261.281 2.742 20 5 CFBDRN COCC1(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCC1 ZINC000285559546 196327335 /nfs/dbraw/zinc/32/73/35/196327335.db2.gz YUXPYWJXSJYGMY-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1NCc1cc[nH]n1 ZINC000037140093 172979013 /nfs/dbraw/zinc/97/90/13/172979013.db2.gz LASVVYQTBGOFGY-UHFFFAOYSA-N 0 0 297.112 2.693 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1F)C(C)C ZINC000037286182 173092616 /nfs/dbraw/zinc/09/26/16/173092616.db2.gz ZZIYYEBUVPNQRN-UHFFFAOYSA-N 0 0 254.261 2.604 20 5 CFBDRN CCCN(CCC)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037285967 173092693 /nfs/dbraw/zinc/09/26/93/173092693.db2.gz RYZCXCWOIDGTDX-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN O=C(NCc1cccs1)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669631 173610978 /nfs/dbraw/zinc/61/09/78/173610978.db2.gz IZKTUPGOZAOOHD-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN COc1ccc(Oc2cncc(Cl)n2)c([N+](=O)[O-])c1 ZINC000037979819 173938754 /nfs/dbraw/zinc/93/87/54/173938754.db2.gz LTIXGQARBZWMCJ-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN CN(C(=O)c1cc(F)ccc1[N+](=O)[O-])C1CCCC1 ZINC000037995990 173957902 /nfs/dbraw/zinc/95/79/02/173957902.db2.gz GCPOFJFHEPXJAB-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H]1CCCOC1 ZINC000038046338 174054350 /nfs/dbraw/zinc/05/43/50/174054350.db2.gz UAYXQZMPDLCROF-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCOC2)c2ncccc12 ZINC000038046355 174054411 /nfs/dbraw/zinc/05/44/11/174054411.db2.gz QDAWPZTYMYHHQR-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCCOC1 ZINC000038046450 174055332 /nfs/dbraw/zinc/05/53/32/174055332.db2.gz LLOWCEBUDDPZEC-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)Cc1ccccc1 ZINC000038080285 174090074 /nfs/dbraw/zinc/09/00/74/174090074.db2.gz KVVNDIUHHZKPSR-UHFFFAOYSA-N 0 0 271.272 2.785 20 5 CFBDRN Cc1csc(CNCc2csc([N+](=O)[O-])c2)n1 ZINC000038091154 174104697 /nfs/dbraw/zinc/10/46/97/174104697.db2.gz QPOQUBUNGVRZSE-UHFFFAOYSA-N 0 0 269.351 2.711 20 5 CFBDRN NC(=O)C1CCC(Nc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000038092125 174106346 /nfs/dbraw/zinc/10/63/46/174106346.db2.gz STLYWTHNWKKHHL-UHFFFAOYSA-N 0 0 297.742 2.704 20 5 CFBDRN Cc1c([C@@H](C)Nc2c(F)cccc2[N+](=O)[O-])cnn1C ZINC000286080365 196491206 /nfs/dbraw/zinc/49/12/06/196491206.db2.gz JROBSPAQPLYKSN-MRVPVSSYSA-N 0 0 278.287 2.949 20 5 CFBDRN CCN(CC)C(=O)CCCNc1c(F)cccc1[N+](=O)[O-] ZINC000286135334 196507954 /nfs/dbraw/zinc/50/79/54/196507954.db2.gz NFQAOUHGQHETTN-UHFFFAOYSA-N 0 0 297.330 2.794 20 5 CFBDRN CC(C)CCn1cc(Br)c([N+](=O)[O-])n1 ZINC000040445585 174265126 /nfs/dbraw/zinc/26/51/26/174265126.db2.gz XQZXUNAMLSXBPQ-UHFFFAOYSA-N 0 0 262.107 2.600 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(Cl)cc2)nc1 ZINC000040454296 174266873 /nfs/dbraw/zinc/26/68/73/174266873.db2.gz RSTAXEPWHOLPJJ-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccc(CCO)cc2)c1 ZINC000040738486 174390209 /nfs/dbraw/zinc/39/02/09/174390209.db2.gz XYNKUHUZRNGGEV-UHFFFAOYSA-N 0 0 272.304 2.742 20 5 CFBDRN Cc1cc2c([nH]c(-c3ccc([N+](=O)[O-])cc3)nc2=O)s1 ZINC000041627367 174585637 /nfs/dbraw/zinc/58/56/37/174585637.db2.gz LURSTLMFDCGVNL-UHFFFAOYSA-N 0 0 287.300 2.868 20 5 CFBDRN Cc1c(NC(=O)NC[C@@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000043346545 175017401 /nfs/dbraw/zinc/01/74/01/175017401.db2.gz FIQHNVHHXHSDLH-WPRPVWTQSA-N 0 0 263.297 2.681 20 5 CFBDRN Cc1ccc([C@@H](C)[NH2+][C@H](C(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000043430247 175042547 /nfs/dbraw/zinc/04/25/47/175042547.db2.gz BULJLUKINGYNJY-MFKMUULPSA-N 0 0 280.324 2.663 20 5 CFBDRN Cc1ccc([C@H](C)[NH2+][C@H](C(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000043430248 175042550 /nfs/dbraw/zinc/04/25/50/175042550.db2.gz BULJLUKINGYNJY-GWCFXTLKSA-N 0 0 280.324 2.663 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)c1ccccc1 ZINC000043473184 175053666 /nfs/dbraw/zinc/05/36/66/175053666.db2.gz QKERGXFXFCOVEN-UHFFFAOYSA-N 0 0 275.235 2.996 20 5 CFBDRN CC(C)(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000043473198 175053676 /nfs/dbraw/zinc/05/36/76/175053676.db2.gz FCEQCCAYGJPUDQ-UHFFFAOYSA-N 0 0 255.245 2.728 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CC=CCC1 ZINC000043544384 175064139 /nfs/dbraw/zinc/06/41/39/175064139.db2.gz HCWFKJSFFSYARS-SNVBAGLBSA-N 0 0 277.276 2.865 20 5 CFBDRN CC[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1Br ZINC000043596489 175076704 /nfs/dbraw/zinc/07/67/04/175076704.db2.gz SGFXZHIBBOSVOU-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc(F)c2)ccc1[N+](=O)[O-] ZINC000043739623 175104911 /nfs/dbraw/zinc/10/49/11/175104911.db2.gz STCPMKOPWFZRMY-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CCC(O)(CC)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286296601 196557856 /nfs/dbraw/zinc/55/78/56/196557856.db2.gz OXXZDIYEQRXXLN-UHFFFAOYSA-N 0 0 296.352 2.563 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000044365455 175167644 /nfs/dbraw/zinc/16/76/44/175167644.db2.gz RHDMZKGSUYACPN-JTQLQIEISA-N 0 0 268.700 2.777 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H]2c2ccccc2)nc1 ZINC000044416667 175173203 /nfs/dbraw/zinc/17/32/03/175173203.db2.gz PTWOYGQVKOLRFT-STQMWFEESA-N 0 0 255.277 2.958 20 5 CFBDRN COC[C@H](C)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000044477544 175178833 /nfs/dbraw/zinc/17/88/33/175178833.db2.gz XSYFKYVHCVBQPF-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN C[C@@H](CCc1ccccc1)Nc1ncc([N+](=O)[O-])cn1 ZINC000044482807 175180095 /nfs/dbraw/zinc/18/00/95/175180095.db2.gz DSECYKGYOPECJU-NSHDSACASA-N 0 0 272.308 2.818 20 5 CFBDRN CCOC(=O)CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000044572582 175209855 /nfs/dbraw/zinc/20/98/55/175209855.db2.gz ZPNOTWVBMIZSRA-UHFFFAOYSA-N 0 0 272.688 2.613 20 5 CFBDRN Cc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000044692596 175233791 /nfs/dbraw/zinc/23/37/91/175233791.db2.gz RHWAYMSEWAFESP-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)on1 ZINC000044986318 175284611 /nfs/dbraw/zinc/28/46/11/175284611.db2.gz LJCBIKYESLKLEK-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccccc2F)cn1 ZINC000047948221 175416971 /nfs/dbraw/zinc/41/69/71/175416971.db2.gz MBZYBRSKKXSRDI-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])Nc1ccncc1 ZINC000058255759 175613826 /nfs/dbraw/zinc/61/38/26/175613826.db2.gz FXPJIANTFWZAFW-DUXPYHPUSA-N 0 0 287.250 2.781 20 5 CFBDRN O=C(CC[C@H]1CCCO1)Nc1cc([N+](=O)[O-])ccc1F ZINC000059742065 175633303 /nfs/dbraw/zinc/63/33/03/175633303.db2.gz YLRNUCLGRNSGBA-SNVBAGLBSA-N 0 0 282.271 2.632 20 5 CFBDRN Cc1cccc(Oc2ncccc2[N+](=O)[O-])c1[N+](=O)[O-] ZINC000301868329 292573464 /nfs/dbraw/zinc/57/34/64/292573464.db2.gz VYDCTIGIMCDKLQ-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN CC(C)CCNC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000286397472 196590487 /nfs/dbraw/zinc/59/04/87/196590487.db2.gz LLKYWJIAYZTILY-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN COc1ccc(CNCc2ncccc2C)cc1[N+](=O)[O-] ZINC000071340186 176129734 /nfs/dbraw/zinc/12/97/34/176129734.db2.gz UEDNQUDDFWPNIQ-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1cnn2ccccc12 ZINC000071372953 176138347 /nfs/dbraw/zinc/13/83/47/176138347.db2.gz UKFXWCMPBVUFJV-UHFFFAOYSA-N 0 0 268.276 2.855 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCC(F)(F)F ZINC000071858012 176279537 /nfs/dbraw/zinc/27/95/37/176279537.db2.gz IYGWOMMBYCDVQB-UHFFFAOYSA-N 0 0 265.187 2.935 20 5 CFBDRN CC(C)c1nnc(COc2ccc([N+](=O)[O-])cc2)o1 ZINC000072086432 176313901 /nfs/dbraw/zinc/31/39/01/176313901.db2.gz FEUJMSJHGHROBR-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN Cc1ccccc1Cn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000072105672 176316565 /nfs/dbraw/zinc/31/65/65/176316565.db2.gz IEZOKKFDRYGYBX-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN C[C@@H]1CCCC[C@]1(C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000454386162 287320594 /nfs/dbraw/zinc/32/05/94/287320594.db2.gz XENCBVHSZGULJG-RNCFNFMXSA-N 0 0 265.313 2.622 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000074767630 176611797 /nfs/dbraw/zinc/61/17/97/176611797.db2.gz QXUWRXVPQXIRBP-ZJUUUORDSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1cnc(CNc2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000074767104 176611988 /nfs/dbraw/zinc/61/19/88/176611988.db2.gz QCNJTJMTUCXLRM-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CSC(C)(C)CNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000075362857 176689370 /nfs/dbraw/zinc/68/93/70/176689370.db2.gz GNQJXUKHPLOMKG-CMDGGOBGSA-N 0 0 294.376 2.866 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000075506594 176722939 /nfs/dbraw/zinc/72/29/39/176722939.db2.gz CAHPIZJTZXCNFH-JTQLQIEISA-N 0 0 250.298 2.774 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H]1COC2(CCCC2)O1 ZINC000286496403 196621985 /nfs/dbraw/zinc/62/19/85/196621985.db2.gz MOBDXHRFXXABNL-JTQLQIEISA-N 0 0 296.298 2.832 20 5 CFBDRN CCO[C@H](C)C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000075881907 176812263 /nfs/dbraw/zinc/81/22/63/176812263.db2.gz WSPDURFTUGOACD-SNVBAGLBSA-N 0 0 267.281 2.542 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H]1CCCC[C@H]1O ZINC000077208356 177234911 /nfs/dbraw/zinc/23/49/11/177234911.db2.gz HQYOGUFWKUPLOZ-JOYOIKCWSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1ccc(C(=O)N2CCCC2(C)C)cc1[N+](=O)[O-] ZINC000077352277 177255576 /nfs/dbraw/zinc/25/55/76/177255576.db2.gz RKHJUDFQFXKQLS-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN COc1cc(OCC(=O)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000286580682 196647841 /nfs/dbraw/zinc/64/78/41/196647841.db2.gz RHUGPTJGGUIBQY-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN CCC(=O)COc1ccc([N+](=O)[O-])cc1Br ZINC000077939713 177312015 /nfs/dbraw/zinc/31/20/15/177312015.db2.gz MIWIFIUDQTWDKS-UHFFFAOYSA-N 0 0 288.097 2.715 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000078006240 177316897 /nfs/dbraw/zinc/31/68/97/177316897.db2.gz ZBZVCGHWQCMGOI-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H]1CCN(C(=O)COc2cccc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000078008797 177317916 /nfs/dbraw/zinc/31/79/16/177317916.db2.gz OXNUSZHLJJDFTA-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000078245355 177328043 /nfs/dbraw/zinc/32/80/43/177328043.db2.gz FAQDGFRJBMKYSK-ZDUSSCGKSA-N 0 0 291.351 2.798 20 5 CFBDRN CC(C)N(C(=O)COc1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000078401557 177338051 /nfs/dbraw/zinc/33/80/51/177338051.db2.gz RNFNGRGSXHLXCU-UHFFFAOYSA-N 0 0 298.314 2.758 20 5 CFBDRN CCOC(=O)CCCOc1cccc([N+](=O)[O-])c1C ZINC000078526530 177349949 /nfs/dbraw/zinc/34/99/49/177349949.db2.gz WOSLZSWOOBQPBE-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])[C@@H](O)c1ccc(F)cc1 ZINC000078620041 177360951 /nfs/dbraw/zinc/36/09/51/177360951.db2.gz KTHODQXDYZCZRB-NOZJJQNGSA-N 0 0 291.282 2.663 20 5 CFBDRN Cc1cnc(NCCCOCC(C)C)c([N+](=O)[O-])c1 ZINC000078626966 177362279 /nfs/dbraw/zinc/36/22/79/177362279.db2.gz QUWMDSUWUIZCQJ-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN Cc1nn(C)c(Oc2ccc3ncccc3c2)c1[N+](=O)[O-] ZINC000078727487 177377457 /nfs/dbraw/zinc/37/74/57/177377457.db2.gz OWWMLNVLOXNYKE-UHFFFAOYSA-N 0 0 284.275 2.977 20 5 CFBDRN CC(C)N(C)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000078728481 177377691 /nfs/dbraw/zinc/37/76/91/177377691.db2.gz IRMLTFXEFWAZRX-UHFFFAOYSA-N 0 0 291.351 2.675 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1cc(F)ccc1[N+](=O)[O-] ZINC000078829638 177395196 /nfs/dbraw/zinc/39/51/96/177395196.db2.gz RBVBAEQATHNKFA-QMMMGPOBSA-N 0 0 287.312 2.776 20 5 CFBDRN CCCCN(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000078887528 177401706 /nfs/dbraw/zinc/40/17/06/177401706.db2.gz FKLPTIDPFMDBPR-UHFFFAOYSA-N 0 0 294.229 2.992 20 5 CFBDRN Cc1noc([C@@H]2CCCN2c2cc(C)ccc2[N+](=O)[O-])n1 ZINC000286605668 196654363 /nfs/dbraw/zinc/65/43/63/196654363.db2.gz SVSNBAWKHUYSOS-LBPRGKRZSA-N 0 0 288.307 2.936 20 5 CFBDRN Cc1c(OC(=O)OC[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000286614358 196657239 /nfs/dbraw/zinc/65/72/39/196657239.db2.gz MBKQXPDKNUAFTF-SNVBAGLBSA-N 0 0 281.264 2.598 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000450814257 292585883 /nfs/dbraw/zinc/58/58/83/292585883.db2.gz QBWKVOIGQDIRCH-MGPQQGTHSA-N 0 0 290.363 2.891 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000425536004 533681502 /nfs/dbraw/zinc/68/15/02/533681502.db2.gz DTOLWKBSXOBRAY-SYEHKZFSSA-N 0 0 289.335 2.754 20 5 CFBDRN CC[C@@]1(C)CCCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000078559146 287416561 /nfs/dbraw/zinc/41/65/61/287416561.db2.gz AXCFPZZHDHKJIB-HNNXBMFYSA-N 0 0 291.351 2.710 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H](C)CC2)n1 ZINC000191720622 227136029 /nfs/dbraw/zinc/13/60/29/227136029.db2.gz PIUOMTSRTDYENS-MNOVXSKESA-N 0 0 294.355 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc([N-]S(=O)(=O)c2cccc(F)c2)cc1 ZINC000192713848 227270022 /nfs/dbraw/zinc/27/00/22/227270022.db2.gz PCWBTYXMNZSRKM-UHFFFAOYSA-N 0 0 296.279 2.535 20 5 CFBDRN Cc1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000007069009 420500709 /nfs/dbraw/zinc/50/07/09/420500709.db2.gz FBJPMLDBRGSQLL-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN CC(C)(C)OC(=O)CCSc1ncccc1[N+](=O)[O-] ZINC000195262067 270251822 /nfs/dbraw/zinc/25/18/22/270251822.db2.gz FACHAWJCRWRJRL-UHFFFAOYSA-N 0 0 284.337 2.814 20 5 CFBDRN CCCCNc1ncnc2cc(OC)c([N+](=O)[O-])cc21 ZINC001154828261 782030638 /nfs/dbraw/zinc/03/06/38/782030638.db2.gz UFUGBBKLNRGISF-UHFFFAOYSA-N 0 0 276.296 2.759 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1csnn1 ZINC000398493795 270322507 /nfs/dbraw/zinc/32/25/07/270322507.db2.gz XBNVDVJHQZOCNO-SSDOTTSWSA-N 0 0 298.755 2.951 20 5 CFBDRN Cc1cc(N2CC[S@@](=O)C(C)(C)CC2)ccc1[N+](=O)[O-] ZINC000516848330 260184595 /nfs/dbraw/zinc/18/45/95/260184595.db2.gz VINYAXJGVVCEMI-HXUWFJFHSA-N 0 0 296.392 2.641 20 5 CFBDRN COC(=O)CCCCCNc1c(F)cccc1[N+](=O)[O-] ZINC000312766461 260198597 /nfs/dbraw/zinc/19/85/97/260198597.db2.gz MMYNIPAWKRNUEF-UHFFFAOYSA-N 0 0 284.287 2.879 20 5 CFBDRN COCC1(CCNc2cc(OC)c(F)cc2[N+](=O)[O-])CC1 ZINC000521867451 260222154 /nfs/dbraw/zinc/22/21/54/260222154.db2.gz KBWSJCOMIITLQM-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN COc1cc(N[C@H]2COCC2(C)C)c(F)cc1[N+](=O)[O-] ZINC000313054937 260241665 /nfs/dbraw/zinc/24/16/65/260241665.db2.gz IJOFXZBALMPODV-LBPRGKRZSA-N 0 0 284.287 2.579 20 5 CFBDRN CC[C@@H](Nc1cc(OC)c([N+](=O)[O-])cc1F)[C@@H](O)CC ZINC000313106678 260248571 /nfs/dbraw/zinc/24/85/71/260248571.db2.gz JQKYBYNDUNIAQP-SKDRFNHKSA-N 0 0 286.303 2.704 20 5 CFBDRN C[C@@H](CCNC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000517760286 260252209 /nfs/dbraw/zinc/25/22/09/260252209.db2.gz NFOJUTRKGMOWJR-LBPRGKRZSA-N 0 0 299.330 2.913 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2C)o1 ZINC000520013211 260367579 /nfs/dbraw/zinc/36/75/79/260367579.db2.gz IBESEOIVGUQOMJ-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN Cc1nocc1COc1ccc([N+](=O)[O-])cc1F ZINC000520953127 260518275 /nfs/dbraw/zinc/51/82/75/260518275.db2.gz VFCMRITXQIGRLJ-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000521440027 262374982 /nfs/dbraw/zinc/37/49/82/262374982.db2.gz QKGVVNYGAIOSGU-SCVCMEIPSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1nc(NC[C@@H]2CCCCC2(F)F)ccc1[N+](=O)[O-] ZINC000390998017 262375589 /nfs/dbraw/zinc/37/55/89/262375589.db2.gz FBGQQMXQMXUZGO-JTQLQIEISA-N 0 0 285.294 2.958 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC[C@H]1F ZINC000413787149 262786870 /nfs/dbraw/zinc/78/68/70/262786870.db2.gz SRVOJCSSVYNDHS-VXGBXAGGSA-N 0 0 281.287 2.997 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2CC(F)(F)C2)c1 ZINC000293687207 263147005 /nfs/dbraw/zinc/14/70/05/263147005.db2.gz PHFKOZXIWKJXCY-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN CN(CC1CCCCC1)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000514528808 265173401 /nfs/dbraw/zinc/17/34/01/265173401.db2.gz GCYGATUGRYYGJU-UHFFFAOYSA-N 0 0 279.340 2.586 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@H](C)C(C)(C)C ZINC000518973563 265266266 /nfs/dbraw/zinc/26/62/66/265266266.db2.gz FSAHTUIOIBAVBJ-SNVBAGLBSA-N 0 0 279.340 2.805 20 5 CFBDRN C[C@@H]1CCN(CC[NH2+][C@@H]2CCc3c2cccc3[N+](=O)[O-])C1 ZINC000518999643 265290977 /nfs/dbraw/zinc/29/09/77/265290977.db2.gz IQGLWTBOSSWHIJ-IUODEOHRSA-N 0 0 289.379 2.514 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(CCOCC2CC2)c1 ZINC000518999472 265291196 /nfs/dbraw/zinc/29/11/96/265291196.db2.gz CEMRLJYCRBXONL-UHFFFAOYSA-N 0 0 287.319 2.885 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NC(C)(C)c1ccccc1 ZINC000519092948 265318809 /nfs/dbraw/zinc/31/88/09/265318809.db2.gz NCIATWUNXYPWNH-UHFFFAOYSA-N 0 0 287.319 2.598 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSCCC(C)(C)O ZINC000519180384 265358461 /nfs/dbraw/zinc/35/84/61/265358461.db2.gz QYSYRRSQZYHOHY-UHFFFAOYSA-N 0 0 285.365 2.998 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2c(F)cccc2Cl)c1=O ZINC000096778349 265386606 /nfs/dbraw/zinc/38/66/06/265386606.db2.gz PPBKBVDRNCMYKQ-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCCCCO1 ZINC000520235165 265700375 /nfs/dbraw/zinc/70/03/75/265700375.db2.gz RDFXORBGYLSVCC-UHFFFAOYSA-N 0 0 283.259 2.683 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NCC(C)(F)F ZINC000514624676 266021699 /nfs/dbraw/zinc/02/16/99/266021699.db2.gz SMDATNIONACPLU-UHFFFAOYSA-N 0 0 292.669 2.942 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H](C)C[C@H](C)C2)cc1[N+](=O)[O-] ZINC000028199366 270224222 /nfs/dbraw/zinc/22/42/22/270224222.db2.gz WVMMPMOOJFCWKM-PHIMTYICSA-N 0 0 291.351 2.755 20 5 CFBDRN CN(Cc1cccn1C)Cc1ccccc1[N+](=O)[O-] ZINC000192637000 270232923 /nfs/dbraw/zinc/23/29/23/270232923.db2.gz NTFBZIVWTQVGNB-UHFFFAOYSA-N 0 0 259.309 2.565 20 5 CFBDRN CCN(CC(C)C)c1nc2sccn2c1[N+](=O)[O-] ZINC000192807229 270234566 /nfs/dbraw/zinc/23/45/66/270234566.db2.gz LMDSHXQFFPESLJ-UHFFFAOYSA-N 0 0 268.342 2.786 20 5 CFBDRN CCC(CC)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000193789558 270239898 /nfs/dbraw/zinc/23/98/98/270239898.db2.gz VKPWPFMJIVESRU-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1CCSCC1 ZINC000194851962 270247522 /nfs/dbraw/zinc/24/75/22/270247522.db2.gz ABMHPYFRVASQRY-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN CC1(NC(=O)Cc2ccccc2[N+](=O)[O-])CCCCC1 ZINC000194844197 270247794 /nfs/dbraw/zinc/24/77/94/270247794.db2.gz BAWDCTLPQHTGOK-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000195331220 270252624 /nfs/dbraw/zinc/25/26/24/270252624.db2.gz VTCJPTOQHCHSQD-LLVKDONJSA-N 0 0 280.324 2.912 20 5 CFBDRN CC[C@@](C)(CNc1nccc(C)c1[N+](=O)[O-])OC ZINC000322604727 270296299 /nfs/dbraw/zinc/29/62/99/270296299.db2.gz JCSZSAQDDJERLU-LBPRGKRZSA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCOC2(C)C)c1 ZINC000328400339 270299637 /nfs/dbraw/zinc/29/96/37/270299637.db2.gz RIUJIIJEMXQXPW-GFCCVEGCSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC(C)(C)C(C)(C)O ZINC000393560396 270307250 /nfs/dbraw/zinc/30/72/50/270307250.db2.gz FWOYMZZKXPUDJI-UHFFFAOYSA-N 0 0 267.329 2.507 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000393541045 270307397 /nfs/dbraw/zinc/30/73/97/270307397.db2.gz IWGZUTLGLNMFFS-UHFFFAOYSA-N 0 0 270.304 2.943 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2C[C@H]2CC(C)C)cccc1[N+](=O)[O-] ZINC000395406204 270313020 /nfs/dbraw/zinc/31/30/20/270313020.db2.gz UPFMOUPVSHVRBZ-ZYHUDNBSSA-N 0 0 291.351 2.801 20 5 CFBDRN Cc1c(CN[C@H](C)c2ccncn2)cccc1[N+](=O)[O-] ZINC000395874830 270313448 /nfs/dbraw/zinc/31/34/48/270313448.db2.gz ALAJDCIBXMETQC-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccncn1 ZINC000396022168 270314586 /nfs/dbraw/zinc/31/45/86/270314586.db2.gz LFYGGDJEPGIKBB-WDEREUQCSA-N 0 0 272.308 2.797 20 5 CFBDRN C[C@]1(CNc2ccnc3c2cccc3[N+](=O)[O-])CCOC1 ZINC000397204711 270316507 /nfs/dbraw/zinc/31/65/07/270316507.db2.gz YKSLGEKTJROXSP-OAHLLOKOSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1cccc(NC[C@]2(C)CCOC2)c1[N+](=O)[O-] ZINC000397213102 270316894 /nfs/dbraw/zinc/31/68/94/270316894.db2.gz ZNJCMMZMWGZFIL-ZDUSSCGKSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H]1CC[C@@H](C)O1 ZINC000397865012 270319913 /nfs/dbraw/zinc/31/99/13/270319913.db2.gz FXOYVBVXJXZSSL-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@H]1C[C@H](Nc2c3ccccc3ncc2[N+](=O)[O-])CS1 ZINC000397996144 270321055 /nfs/dbraw/zinc/32/10/55/270321055.db2.gz QHFJRNJUGXOWNJ-UWVGGRQHSA-N 0 0 289.360 2.871 20 5 CFBDRN COc1cc(N[C@@H](C)c2csnn2)c(F)cc1[N+](=O)[O-] ZINC000398356745 270322105 /nfs/dbraw/zinc/32/21/05/270322105.db2.gz KQYSHKYRTKMPPN-LURJTMIESA-N 0 0 298.299 2.767 20 5 CFBDRN CC[C@@H](O)CCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000398490950 270322616 /nfs/dbraw/zinc/32/26/16/270322616.db2.gz DGGAHYYWVFVSSR-LLVKDONJSA-N 0 0 289.335 2.528 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000399163499 270326707 /nfs/dbraw/zinc/32/67/07/270326707.db2.gz MNBCBWDROIKECP-CMPLNLGQSA-N 0 0 291.351 2.897 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H](C)[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000399587394 270329485 /nfs/dbraw/zinc/32/94/85/270329485.db2.gz DPWOKOIKTLFZTM-GARJFASQSA-N 0 0 291.351 2.753 20 5 CFBDRN CC[C@@]1(O)CCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000432166055 270333683 /nfs/dbraw/zinc/33/36/83/270333683.db2.gz JMDCQOGSNRIVQN-GFCCVEGCSA-N 0 0 270.716 2.599 20 5 CFBDRN Cc1csc(COc2c(C)c(C)ncc2[N+](=O)[O-])n1 ZINC000514799675 270354851 /nfs/dbraw/zinc/35/48/51/270354851.db2.gz VDCFJJAUNFBMHI-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN CC(C)SCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000515682872 270373997 /nfs/dbraw/zinc/37/39/97/270373997.db2.gz TXLLYGZCAFCZNK-JTQLQIEISA-N 0 0 282.365 2.914 20 5 CFBDRN C[C@H](C(=O)N1CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000516612819 270384955 /nfs/dbraw/zinc/38/49/55/270384955.db2.gz YGEFZZUKPZUGNW-NSHDSACASA-N 0 0 262.309 2.711 20 5 CFBDRN Cc1cc(N2CC[C@@H](C)C(F)(F)C2)ncc1[N+](=O)[O-] ZINC000517006148 270394654 /nfs/dbraw/zinc/39/46/54/270394654.db2.gz OWRQBMWFMWYVSP-SECBINFHSA-N 0 0 271.267 2.780 20 5 CFBDRN COCC1(Nc2cc(C)c([N+](=O)[O-])cc2F)CC1 ZINC000519496393 270414238 /nfs/dbraw/zinc/41/42/38/270414238.db2.gz VSSITQFZMCOQTK-UHFFFAOYSA-N 0 0 254.261 2.633 20 5 CFBDRN C[C@H]1CCCC[C@H]1CCNC(=O)c1cc([N+](=O)[O-])cn1C ZINC000522249964 270446697 /nfs/dbraw/zinc/44/66/97/270446697.db2.gz ANLAYBHPFRDSRG-RYUDHWBXSA-N 0 0 293.367 2.880 20 5 CFBDRN CCC[C@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000525226596 270474922 /nfs/dbraw/zinc/47/49/22/270474922.db2.gz AYPLTFRCIVTFSH-OAHLLOKOSA-N 0 0 293.367 2.976 20 5 CFBDRN C[C@H]1C[C@H](C)N1c1ncc([N+](=O)[O-])cc1Br ZINC000530431907 270792914 /nfs/dbraw/zinc/79/29/14/270792914.db2.gz GPOOVGYZURDCHT-BQBZGAKWSA-N 0 0 286.129 2.739 20 5 CFBDRN COc1cc([N+](=O)[O-])c(N2CCCCCO2)cc1OC ZINC000530518160 270797318 /nfs/dbraw/zinc/79/73/18/270797318.db2.gz XWWRTHWNRMIGAY-UHFFFAOYSA-N 0 0 282.296 2.534 20 5 CFBDRN CC1(C)CCN1C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000530848228 270812023 /nfs/dbraw/zinc/81/20/23/270812023.db2.gz FGWKVCURKOZFQN-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN Cc1ccc(CCNc2ccc([N+](=O)[O-])cc2)cn1 ZINC000530956324 270816056 /nfs/dbraw/zinc/81/60/56/270816056.db2.gz RGZRPTVLCGVARM-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN CCC(CC)N(C(=O)c1cccc([N+](=O)[O-])c1N)C1CC1 ZINC000531392243 270834545 /nfs/dbraw/zinc/83/45/45/270834545.db2.gz ASLOLQZPLUBPNA-UHFFFAOYSA-N 0 0 291.351 2.970 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000532134357 270853665 /nfs/dbraw/zinc/85/36/65/270853665.db2.gz OSGJYZPWFHQNLS-UHFFFAOYSA-N 0 0 271.276 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@H]3CCCC[C@H]32)c([N+](=O)[O-])c1 ZINC000368693415 275035718 /nfs/dbraw/zinc/03/57/18/275035718.db2.gz RYJBCKDPAWTRDU-ZWNOBZJWSA-N 0 0 291.307 2.877 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCO[C@@H](C2CCC2)C1 ZINC000411839871 275039825 /nfs/dbraw/zinc/03/98/25/275039825.db2.gz CTUKVSNEVZKCGI-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN CC(C)[C@H]1CCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000450803197 275709112 /nfs/dbraw/zinc/70/91/12/275709112.db2.gz ALJVEAIREANLGI-SNVBAGLBSA-N 0 0 255.705 2.878 20 5 CFBDRN CC1(C)COC[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000450853445 275854589 /nfs/dbraw/zinc/85/45/89/275854589.db2.gz HZECQLNLNXXPMR-ZDUSSCGKSA-N 0 0 287.319 2.980 20 5 CFBDRN CC(C)[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000485256926 276025973 /nfs/dbraw/zinc/02/59/73/276025973.db2.gz MEUHSISXVBTKHR-VXGBXAGGSA-N 0 0 293.367 2.878 20 5 CFBDRN CC(C)c1ccc(CN2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC000091814907 276052985 /nfs/dbraw/zinc/05/29/85/276052985.db2.gz PVFFAGJADQBIEQ-LBPRGKRZSA-N 0 0 278.352 2.939 20 5 CFBDRN CC1(C(=O)N2CCOc3ccc([N+](=O)[O-])cc3C2)CCC1 ZINC000359633797 276097186 /nfs/dbraw/zinc/09/71/86/276097186.db2.gz KHZKKHNNMVQVJS-UHFFFAOYSA-N 0 0 290.319 2.506 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2)[C@H]1[C@H]1CCCO1 ZINC000291077588 276135781 /nfs/dbraw/zinc/13/57/81/276135781.db2.gz HRYVSJISSIFVKP-KGLIPLIRSA-N 0 0 276.336 2.989 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cn2)[C@@H]1c1cccnc1 ZINC000291927832 276135910 /nfs/dbraw/zinc/13/59/10/276135910.db2.gz RXUJBARKZWLGRN-CQSZACIVSA-N 0 0 284.319 2.972 20 5 CFBDRN CC1=C(C)CN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000360272136 276176939 /nfs/dbraw/zinc/17/69/39/276176939.db2.gz BCNRBSTWVRCZMC-UHFFFAOYSA-N 0 0 265.288 2.863 20 5 CFBDRN CCC[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330877972 276288766 /nfs/dbraw/zinc/28/87/66/276288766.db2.gz XSZMQGLIHOTODY-JTQLQIEISA-N 0 0 265.313 2.718 20 5 CFBDRN CCOC1(C)CCN(c2ncc([N+](=O)[O-])cc2C)CC1 ZINC000291942923 276308294 /nfs/dbraw/zinc/30/82/94/276308294.db2.gz TUARPZCIIFTIIT-UHFFFAOYSA-N 0 0 279.340 2.694 20 5 CFBDRN CC[C@@H]1CCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000338677251 276470556 /nfs/dbraw/zinc/47/05/56/276470556.db2.gz MBQMOQWTSGVDIT-SNVBAGLBSA-N 0 0 250.298 2.840 20 5 CFBDRN CC[C@]1(C)COCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000330625015 276679169 /nfs/dbraw/zinc/67/91/69/276679169.db2.gz GYOGCNCUIOIXJN-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1F)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000301382043 276869957 /nfs/dbraw/zinc/86/99/57/276869957.db2.gz ZAWJPYHJLSKAHN-LERXQTSPSA-N 0 0 294.326 2.984 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cc1Cl)[C@@H]1CCSC1 ZINC000270056764 277004511 /nfs/dbraw/zinc/00/45/11/277004511.db2.gz DLRJCFHBIUKNSW-SSDOTTSWSA-N 0 0 273.745 2.585 20 5 CFBDRN CC(=O)c1ccc(N2CCc3ncccc3C2)c([N+](=O)[O-])c1 ZINC000371008579 277112056 /nfs/dbraw/zinc/11/20/56/277112056.db2.gz YPPUVPRLEMGIFJ-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CC(C)(C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C1CC1 ZINC000335108801 277123673 /nfs/dbraw/zinc/12/36/73/277123673.db2.gz PFZKQXZHLHCGTD-UHFFFAOYSA-N 0 0 288.347 2.916 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000267190946 277231952 /nfs/dbraw/zinc/23/19/52/277231952.db2.gz BVVMAACHAWUZFE-LBPRGKRZSA-N 0 0 291.351 2.828 20 5 CFBDRN COC[C@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000450949480 277266346 /nfs/dbraw/zinc/26/63/46/277266346.db2.gz SPJBKVBGALRHRL-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000132481488 277363408 /nfs/dbraw/zinc/36/34/08/277363408.db2.gz MRVDGWUAUXMKEJ-QWRGUYRKSA-N 0 0 294.355 2.653 20 5 CFBDRN CC(C)CN(C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])C(C)C ZINC000269388878 277373536 /nfs/dbraw/zinc/37/35/36/277373536.db2.gz LHEQWCUONHSLNL-UHFFFAOYSA-N 0 0 296.371 2.948 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000301913673 277382328 /nfs/dbraw/zinc/38/23/28/277382328.db2.gz FAVKSHHRGQLWAU-IUCAKERBSA-N 0 0 268.288 2.977 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H]1C ZINC000105383905 277431853 /nfs/dbraw/zinc/43/18/53/277431853.db2.gz CZOPHGYTOAXFEV-JOYOIKCWSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341794866 277680767 /nfs/dbraw/zinc/68/07/67/277680767.db2.gz DLZKXRVFAZGYMU-GHMZBOCLSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000408466429 277759745 /nfs/dbraw/zinc/75/97/45/277759745.db2.gz JBKVFEZAXVICJF-RKDXNWHRSA-N 0 0 253.277 2.611 20 5 CFBDRN CO[C@H]1c2ccccc2C[C@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000413493036 277772526 /nfs/dbraw/zinc/77/25/26/277772526.db2.gz DWHPQOPMRVIEQJ-HIFRSBDPSA-N 0 0 285.303 2.714 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])cn2)[C@@H](C)C1 ZINC000226190805 277781693 /nfs/dbraw/zinc/78/16/93/277781693.db2.gz PZVFNAPVDGJDAI-WCABBAIRSA-N 0 0 250.302 2.621 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCOC3(CCC3)C2)c1 ZINC000301898710 277822751 /nfs/dbraw/zinc/82/27/51/277822751.db2.gz SLHOSZLKLOLNMF-UHFFFAOYSA-N 0 0 296.298 2.502 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC2CCCCCC2)c1[N+](=O)[O-] ZINC000269357556 278001625 /nfs/dbraw/zinc/00/16/25/278001625.db2.gz UODQVXQJHNZMFS-UHFFFAOYSA-N 0 0 294.355 2.894 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CCCOC2)cc1[N+](=O)[O-] ZINC000288493777 278023185 /nfs/dbraw/zinc/02/31/85/278023185.db2.gz SXFICNSXRKXVJO-UHFFFAOYSA-N 0 0 278.308 2.538 20 5 CFBDRN C[C@@H]1C[C@H](c2cccnc2)N(c2ccc([N+](=O)[O-])cn2)C1 ZINC000302139648 278033898 /nfs/dbraw/zinc/03/38/98/278033898.db2.gz OJPPHKZIVHLCJQ-BXUZGUMPSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1CC1(F)F ZINC000334512101 278038509 /nfs/dbraw/zinc/03/85/09/278038509.db2.gz RADZQQYOLQEVSC-XCBNKYQSSA-N 0 0 282.246 2.528 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1CC12CC2 ZINC000335558756 278038517 /nfs/dbraw/zinc/03/85/17/278038517.db2.gz KVKVALUVXFBABW-BXKDBHETSA-N 0 0 272.304 2.673 20 5 CFBDRN C[C@@H]1c2cccn2CCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000015415654 278110735 /nfs/dbraw/zinc/11/07/35/278110735.db2.gz WZGUPMPNGQHSQJ-GFCCVEGCSA-N 0 0 271.320 2.973 20 5 CFBDRN CS[C@@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000295078028 278146591 /nfs/dbraw/zinc/14/65/91/278146591.db2.gz XJSVZTMONLQFDB-SECBINFHSA-N 0 0 279.365 2.505 20 5 CFBDRN CC1(C)CCC[C@@H]1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000338815744 278232010 /nfs/dbraw/zinc/23/20/10/278232010.db2.gz VSYXXHOYMJQFQH-LLVKDONJSA-N 0 0 291.351 2.932 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000175003517 278247758 /nfs/dbraw/zinc/24/77/58/278247758.db2.gz YBSNEGCRKCTILJ-GFCCVEGCSA-N 0 0 262.309 2.903 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C(C)(C)C1 ZINC000266908966 288035621 /nfs/dbraw/zinc/03/56/21/288035621.db2.gz KRVUGJXALWIOFK-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN CC1(C)C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])C(C)(C)O1 ZINC000193495413 278370190 /nfs/dbraw/zinc/37/01/90/278370190.db2.gz CQIXWYBKOKXBBI-GFCCVEGCSA-N 0 0 279.340 2.935 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000132344674 278371210 /nfs/dbraw/zinc/37/12/10/278371210.db2.gz JBDDILJIOWGZAV-GFCCVEGCSA-N 0 0 274.320 2.920 20 5 CFBDRN CC1(C)OCC[C@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000297858455 278416753 /nfs/dbraw/zinc/41/67/53/278416753.db2.gz YHHXQWNLDNHVPY-SNVBAGLBSA-N 0 0 298.726 2.546 20 5 CFBDRN CC1(C)[C@H](Nc2cccc(F)c2[N+](=O)[O-])[C@@H]2CCO[C@@H]21 ZINC000265953077 278446935 /nfs/dbraw/zinc/44/69/35/278446935.db2.gz HRGPKURFGNDDQN-CKLFPEKLSA-N 0 0 280.299 2.959 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2F)CC[C@@H]1C ZINC000408466428 278447202 /nfs/dbraw/zinc/44/72/02/278447202.db2.gz JBKVFEZAXVICJF-IUCAKERBSA-N 0 0 253.277 2.611 20 5 CFBDRN CC1(CNc2cccc(F)c2[N+](=O)[O-])CCOCC1 ZINC000227824554 278463871 /nfs/dbraw/zinc/46/38/71/278463871.db2.gz AFWNRILXIGYGSY-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000335873706 278507120 /nfs/dbraw/zinc/50/71/20/278507120.db2.gz TXUAFJKHMUEVPQ-JTQLQIEISA-N 0 0 260.293 2.633 20 5 CFBDRN C[C@@H]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)[C@@H]1C ZINC000287982081 278560156 /nfs/dbraw/zinc/56/01/56/278560156.db2.gz AMYVSMLPMKZCKT-HTQZYQBOSA-N 0 0 278.337 2.834 20 5 CFBDRN CCC(CC)[C@H](CCNc1ncc([N+](=O)[O-])cc1C)OC ZINC000446886284 278608287 /nfs/dbraw/zinc/60/82/87/278608287.db2.gz YFUSHTKRSJIFBW-AWEZNQCLSA-N 0 0 295.383 2.973 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CCc2ccccc2C1 ZINC000332557732 278609777 /nfs/dbraw/zinc/60/97/77/278609777.db2.gz UMBPUDPZDYAXOH-CYBMUJFWSA-N 0 0 298.342 2.870 20 5 CFBDRN CCC1(CC)CCCN(c2ncc([N+](=O)[O-])c(N)n2)CC1 ZINC000302741403 278626182 /nfs/dbraw/zinc/62/61/82/278626182.db2.gz CYCMYLLUTWOFRA-UHFFFAOYSA-N 0 0 293.371 2.764 20 5 CFBDRN CC(C)C1(NC(=O)CSc2ccccc2[N+](=O)[O-])CC1 ZINC000357191610 278701799 /nfs/dbraw/zinc/70/17/99/278701799.db2.gz KHMAGMGQCVUKFX-UHFFFAOYSA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)C[C@H](C2CC2)O1 ZINC000280156537 278803877 /nfs/dbraw/zinc/80/38/77/278803877.db2.gz CPAVBYOEYGGGDP-YMTOWFKASA-N 0 0 280.299 2.738 20 5 CFBDRN Cc1cccc(N2CCC[C@@H]2C[C@H](C)O)c1[N+](=O)[O-] ZINC000275510888 278922023 /nfs/dbraw/zinc/92/20/23/278922023.db2.gz UUVCWIRUHYUHHB-NWDGAFQWSA-N 0 0 264.325 2.643 20 5 CFBDRN C[C@@H]1C[C@H](C)N(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000408102279 278955894 /nfs/dbraw/zinc/95/58/94/278955894.db2.gz DBMHZVJINDQZEI-SFYZADRCSA-N 0 0 278.337 2.834 20 5 CFBDRN Cc1cncc(CN2CCOc3ccc([N+](=O)[O-])cc3C2)c1 ZINC000352706883 279024503 /nfs/dbraw/zinc/02/45/03/279024503.db2.gz PHVFUEAADHHBKA-UHFFFAOYSA-N 0 0 299.330 2.693 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3cc(C)ccc3[N+](=O)[O-])C2)c1 ZINC000290804804 279034667 /nfs/dbraw/zinc/03/46/67/279034667.db2.gz PPWFGSJXTAQKCL-CYBMUJFWSA-N 0 0 286.335 2.860 20 5 CFBDRN CC(C)C[C@@H]1COCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000072703347 279041297 /nfs/dbraw/zinc/04/12/97/279041297.db2.gz QSNDZNSXMGKYHE-LLVKDONJSA-N 0 0 298.364 2.543 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Sc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000297380201 279046336 /nfs/dbraw/zinc/04/63/36/279046336.db2.gz DINWVGVSOIEREP-CPCISQLKSA-N 0 0 279.321 2.741 20 5 CFBDRN C[C@@H]1OCC[C@H]1Sc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000269902707 279053519 /nfs/dbraw/zinc/05/35/19/279053519.db2.gz GANLWSAOGOWHJE-OIBJUYFYSA-N 0 0 284.293 2.773 20 5 CFBDRN Cc1nc(N(C)[C@H]2CCCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC000296288477 279088489 /nfs/dbraw/zinc/08/84/89/279088489.db2.gz HROFXLPVFKUWKG-ONGXEEELSA-N 0 0 264.329 2.708 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@H]2[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000291067637 279088729 /nfs/dbraw/zinc/08/87/29/279088729.db2.gz GRGPVMJZHCTTNF-TZMCWYRMSA-N 0 0 291.351 2.692 20 5 CFBDRN C[C@@H]1[C@@H](c2ccccc2)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000274001169 279095461 /nfs/dbraw/zinc/09/54/61/279095461.db2.gz SXMPBOYMJTVMAI-RISCZKNCSA-N 0 0 284.319 2.767 20 5 CFBDRN C[C@@H]1[C@H](c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)C1(F)F ZINC000345650192 279127543 /nfs/dbraw/zinc/12/75/43/279127543.db2.gz XDGGIKVXMWZUNE-MLUIRONXSA-N 0 0 296.233 2.596 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000042677805 279385495 /nfs/dbraw/zinc/38/54/95/279385495.db2.gz RIBPTJBHEMXOQG-JGVFFNPUSA-N 0 0 292.261 2.861 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cc1Br)C1CC1 ZINC000086482036 279556389 /nfs/dbraw/zinc/55/63/89/279556389.db2.gz SFTMSHBJUNEHGO-LURJTMIESA-N 0 0 286.129 2.963 20 5 CFBDRN C[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000265529247 279673086 /nfs/dbraw/zinc/67/30/86/279673086.db2.gz NFQWJZUIZFUMPP-QMMMGPOBSA-N 0 0 255.705 2.880 20 5 CFBDRN CCOCCC1(CNc2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000286052003 279684601 /nfs/dbraw/zinc/68/46/01/279684601.db2.gz AXONQDREKVOKFN-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN C[C@H]1CC[C@H](Nc2cc3c(cc2[N+](=O)[O-])OCC(=O)N3)C1 ZINC000133363451 279761412 /nfs/dbraw/zinc/76/14/12/279761412.db2.gz CZMVLKRLCFNGFE-IUCAKERBSA-N 0 0 291.307 2.526 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H]1C ZINC000267633585 279890757 /nfs/dbraw/zinc/89/07/57/279890757.db2.gz JXLBZKOAQJRPIT-YUMQZZPRSA-N 0 0 255.705 2.735 20 5 CFBDRN C[C@H]1CSC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000343609092 279933635 /nfs/dbraw/zinc/93/36/35/279933635.db2.gz MFHVNOVXYDXLEV-ZANVPECISA-N 0 0 289.360 2.728 20 5 CFBDRN CCOc1cccc(N2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000273181638 279972778 /nfs/dbraw/zinc/97/27/78/279972778.db2.gz OHWIJSGXYIGRGZ-JTQLQIEISA-N 0 0 250.298 2.840 20 5 CFBDRN CCOc1cccc(N[C@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC000277315828 279973707 /nfs/dbraw/zinc/97/37/07/279973707.db2.gz BPTTXWALMIQREA-QWRGUYRKSA-N 0 0 280.324 2.830 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000283906222 280016307 /nfs/dbraw/zinc/01/63/07/280016307.db2.gz WKDVTLUAQQUEMM-ZDUSSCGKSA-N 0 0 281.381 2.755 20 5 CFBDRN C[C@H]1OCCN(c2ccnc3c2cccc3[N+](=O)[O-])[C@H]1C ZINC000432336201 280056953 /nfs/dbraw/zinc/05/69/53/280056953.db2.gz ORZHJDZOHUCVRO-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN O=C(Nc1nc2c(s1)CCCC2)c1ccc([N+](=O)[O-])[nH]1 ZINC000298851842 280057306 /nfs/dbraw/zinc/05/73/06/280057306.db2.gz DBPVIQHQYWFLEX-UHFFFAOYSA-N 0 0 292.320 2.511 20 5 CFBDRN C[C@H]1OCC[C@H]1Sc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000269902705 280069170 /nfs/dbraw/zinc/06/91/70/280069170.db2.gz GANLWSAOGOWHJE-GMSGAONNSA-N 0 0 284.293 2.773 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000367407594 280083683 /nfs/dbraw/zinc/08/36/83/280083683.db2.gz HVWJOIJBJNETBW-MEBBXXQBSA-N 0 0 298.298 2.847 20 5 CFBDRN C[C@]1(c2ccccc2)CCCN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000273676589 280172211 /nfs/dbraw/zinc/17/22/11/280172211.db2.gz YLSDTDWUCLREET-INIZCTEOSA-N 0 0 298.346 2.943 20 5 CFBDRN CC[C@@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])C(F)(F)F ZINC000362518288 280181625 /nfs/dbraw/zinc/18/16/25/280181625.db2.gz HMUPAWFPRYGQDW-SECBINFHSA-N 0 0 290.241 2.974 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCSC[C@H]1C1CC1 ZINC000334977873 280192359 /nfs/dbraw/zinc/19/23/59/280192359.db2.gz ILJWLOWXSCWPHI-VIFPVBQESA-N 0 0 298.389 2.624 20 5 CFBDRN O=C(c1cncs1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000338226167 280233045 /nfs/dbraw/zinc/23/30/45/280233045.db2.gz MHTMTLNDVTVVLL-UHFFFAOYSA-N 0 0 289.316 2.644 20 5 CFBDRN CC[C@@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000334981703 280237632 /nfs/dbraw/zinc/23/76/32/280237632.db2.gz RPVACROMLMHDKM-SNVBAGLBSA-N 0 0 266.297 2.983 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000334460629 280283874 /nfs/dbraw/zinc/28/38/74/280283874.db2.gz NPAITTSDQBXRRB-LLVKDONJSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)no1 ZINC000345708206 280285878 /nfs/dbraw/zinc/28/58/78/280285878.db2.gz OCCMBXSLQJTRIM-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2CCc3ccccc32)cc1[N+](=O)[O-] ZINC000335972905 280305344 /nfs/dbraw/zinc/30/53/44/280305344.db2.gz PXEXEGGVIMMCKC-CYBMUJFWSA-N 0 0 284.315 2.795 20 5 CFBDRN O=[N+]([O-])c1c(N2CCCC3(CC3)C2)nc2sccn21 ZINC000274522926 280354015 /nfs/dbraw/zinc/35/40/15/280354015.db2.gz WZGPHLXTYCECKW-UHFFFAOYSA-N 0 0 278.337 2.684 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@]2(C1)CCCOC2 ZINC000343137281 280358882 /nfs/dbraw/zinc/35/88/82/280358882.db2.gz CWNZUPFJQZLUNH-ZDUSSCGKSA-N 0 0 297.742 2.650 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCO[C@@H]3CCC[C@@H]32)c(F)c1 ZINC000269654788 280359553 /nfs/dbraw/zinc/35/95/53/280359553.db2.gz RJAZGVGFKYOKMM-NWDGAFQWSA-N 0 0 284.262 2.631 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC=C(F)C2)c(F)c1 ZINC000272501111 280359559 /nfs/dbraw/zinc/35/95/59/280359559.db2.gz LIGFZNXXRCCQNO-UHFFFAOYSA-N 0 0 258.199 2.937 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCS[C@@H]3CCCC[C@H]32)nc1 ZINC000267746038 280367338 /nfs/dbraw/zinc/36/73/38/280367338.db2.gz GVLVHLBIGFCWNI-VXGBXAGGSA-N 0 0 279.365 2.854 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CC(CC(F)F)C3)c21 ZINC000425283767 280373445 /nfs/dbraw/zinc/37/34/45/280373445.db2.gz MTBBPVRUIFEAIL-UHFFFAOYSA-N 0 0 294.261 2.629 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CCO[C@@H]4CCC[C@@H]43)ccnc21 ZINC000432144377 280373490 /nfs/dbraw/zinc/37/34/90/280373490.db2.gz XJSVZXMDWFWJKU-DZGCQCFKSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC=C(c2ccccc2)C1 ZINC000378441858 280375798 /nfs/dbraw/zinc/37/57/98/280375798.db2.gz IUHRXBCICNFHOS-UHFFFAOYSA-N 0 0 267.288 2.893 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(C3CCC3)C2)c(Cl)c1 ZINC000343265305 280378132 /nfs/dbraw/zinc/37/81/32/280378132.db2.gz BHUWFNDJLVAJOR-UHFFFAOYSA-N 0 0 267.716 2.880 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2C2CCC2)s1 ZINC000192450198 280379600 /nfs/dbraw/zinc/37/96/00/280379600.db2.gz NZEDDRYVXYLWKO-VIFPVBQESA-N 0 0 253.327 2.820 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCSC2)c(Cl)c1 ZINC000226260627 280382178 /nfs/dbraw/zinc/38/21/78/280382178.db2.gz VKOSVUYCLHNXCS-ZETCQYMHSA-N 0 0 273.745 2.951 20 5 CFBDRN O=c1c(Br)cn([C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000178102717 280389514 /nfs/dbraw/zinc/38/95/14/280389514.db2.gz KAWCEFCAMSZCDK-MRVPVSSYSA-N 0 0 299.124 2.800 20 5 CFBDRN CC[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCS1 ZINC000153867637 280449076 /nfs/dbraw/zinc/44/90/76/280449076.db2.gz GZVIKWQYRBWOBQ-SECBINFHSA-N 0 0 287.772 2.975 20 5 CFBDRN CCCN(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000058411792 280455757 /nfs/dbraw/zinc/45/57/57/280455757.db2.gz PZGICIBQDSPVTA-UHFFFAOYSA-N 0 0 251.286 2.777 20 5 CFBDRN Cc1cnc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000061320426 280460414 /nfs/dbraw/zinc/46/04/14/280460414.db2.gz NVEYJQLWRHRJRB-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)c2cccnc2)c1[N+](=O)[O-] ZINC000070900815 280485154 /nfs/dbraw/zinc/48/51/54/280485154.db2.gz APCBJTSHWOLAFX-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CSc3ncc[nH]3)nc2c1 ZINC000083098818 280548084 /nfs/dbraw/zinc/54/80/84/280548084.db2.gz DXBOVKRQCNSWTJ-UHFFFAOYSA-N 0 0 276.277 2.751 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000271506098 288244678 /nfs/dbraw/zinc/24/46/78/288244678.db2.gz XXTZIAOPSWRVQF-IUCAKERBSA-N 0 0 252.270 2.591 20 5 CFBDRN CC(=O)COc1c(C)cc(Br)cc1[N+](=O)[O-] ZINC000086854257 280555399 /nfs/dbraw/zinc/55/53/99/280555399.db2.gz OQRXVNQLCNCQLR-UHFFFAOYSA-N 0 0 288.097 2.634 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCc1cccs1 ZINC000086988171 280555404 /nfs/dbraw/zinc/55/54/04/280555404.db2.gz FIEGTDGVLJLWNH-UHFFFAOYSA-N 0 0 290.344 2.905 20 5 CFBDRN Cc1cccnc1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087060828 280556464 /nfs/dbraw/zinc/55/64/64/280556464.db2.gz GNDYKTKHLOFXIJ-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN CCc1onc(C)c1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000089392594 280562294 /nfs/dbraw/zinc/56/22/94/280562294.db2.gz BAYZOUIQYUSTRW-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CC[C@@H]1OCCC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000277240990 280578942 /nfs/dbraw/zinc/57/89/42/280578942.db2.gz OLGCUPAGNXMRNU-STQMWFEESA-N 0 0 278.308 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2cccc(Cl)c2)c1=O ZINC000096778344 280579146 /nfs/dbraw/zinc/57/91/46/280579146.db2.gz WICACJZXRFXNHW-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1c(COc2nnc3ccccn32)cccc1[N+](=O)[O-] ZINC000103462885 280581756 /nfs/dbraw/zinc/58/17/56/280581756.db2.gz MQAXMCBHJBJXJV-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN O=C1[C@H](Nc2cccc([N+](=O)[O-])c2)CCN1c1ccccc1 ZINC000109321963 280591084 /nfs/dbraw/zinc/59/10/84/280591084.db2.gz YQADFUBMAZFNJV-OAHLLOKOSA-N 0 0 297.314 2.812 20 5 CFBDRN O=C(/C=C/C1CCOCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000112164299 280595323 /nfs/dbraw/zinc/59/53/23/280595323.db2.gz XTWRITKSSHPSRF-LZCJLJQNSA-N 0 0 276.292 2.516 20 5 CFBDRN Cc1cc(N[C@@H]2COc3ccccc32)ncc1[N+](=O)[O-] ZINC000092027280 280630936 /nfs/dbraw/zinc/63/09/36/280630936.db2.gz WCBTXHXLCGYABG-LLVKDONJSA-N 0 0 271.276 2.844 20 5 CFBDRN CC(C)OC(=O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000127555981 280665728 /nfs/dbraw/zinc/66/57/28/280665728.db2.gz ZLZJPXXNQQVIJV-UHFFFAOYSA-N 0 0 269.322 2.780 20 5 CFBDRN Cc1nn(C)c(C(=O)N[C@H](C)CCCC(C)C)c1[N+](=O)[O-] ZINC000127611876 280666507 /nfs/dbraw/zinc/66/65/07/280666507.db2.gz JRSBLMTXCFXTBV-SNVBAGLBSA-N 0 0 296.371 2.581 20 5 CFBDRN Cc1nnc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)s1 ZINC000128093444 280672948 /nfs/dbraw/zinc/67/29/48/280672948.db2.gz CZMGONGKLWYQAU-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN Cc1ncc(CNC(=O)c2cccc([N+](=O)[O-])c2C)s1 ZINC000128391102 280676827 /nfs/dbraw/zinc/67/68/27/280676827.db2.gz RRYBFGQTWMKIAR-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=C(Nc1cccc(O)c1F)c1ccc([N+](=O)[O-])cc1F ZINC000128401480 280676898 /nfs/dbraw/zinc/67/68/98/280676898.db2.gz HQCYEYYLQQUBFY-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1Cc2ccccc2C1 ZINC000128855663 280684046 /nfs/dbraw/zinc/68/40/46/280684046.db2.gz COMIATONMKULKR-UHFFFAOYSA-N 0 0 286.262 2.890 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cccnc1 ZINC000129340752 280689616 /nfs/dbraw/zinc/68/96/16/280689616.db2.gz KXHYTVLPCCWLKI-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000129490189 280692132 /nfs/dbraw/zinc/69/21/32/280692132.db2.gz BUYNFFRUFHOVEF-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN Cc1nnc(CSc2ncccc2[N+](=O)[O-])n1C1CC1 ZINC000130652958 280706691 /nfs/dbraw/zinc/70/66/91/280706691.db2.gz XAUIHFVUZLQDEF-UHFFFAOYSA-N 0 0 291.336 2.517 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccc(O)cc1 ZINC000130987374 280711612 /nfs/dbraw/zinc/71/16/12/280711612.db2.gz OQBREBSWVFNXGO-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN CCOC(=O)CCCOc1ccc([N+](=O)[O-])cc1C ZINC000131402014 280717833 /nfs/dbraw/zinc/71/78/33/280717833.db2.gz UEGLLUFATSGIKJ-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1ccc(C(N)=O)cc1 ZINC000131440465 280718585 /nfs/dbraw/zinc/71/85/85/280718585.db2.gz HMLIZILOLMUXHI-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)nc1 ZINC000131548811 280720100 /nfs/dbraw/zinc/72/01/00/280720100.db2.gz PYLQFHYHDYKNGB-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN Cc1ccc(-c2noc([C@]3(C)C[C@@H]3F)n2)cc1[N+](=O)[O-] ZINC000424331953 280738710 /nfs/dbraw/zinc/73/87/10/280738710.db2.gz BKUZQCFBLGCFTA-GXFFZTMASA-N 0 0 277.255 2.953 20 5 CFBDRN Cc1csc(N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000133570740 280745223 /nfs/dbraw/zinc/74/52/23/280745223.db2.gz HVVMDDISIDSIRH-UHFFFAOYSA-N 0 0 291.332 2.565 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cnccc32)CCCO1 ZINC000171047871 288262498 /nfs/dbraw/zinc/26/24/98/288262498.db2.gz GYSKZQJJEDGXQM-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN COCCOCCCCNc1ccc([N+](=O)[O-])cc1F ZINC000133954671 280751631 /nfs/dbraw/zinc/75/16/31/280751631.db2.gz GEVAPZBVOXUTHF-UHFFFAOYSA-N 0 0 286.303 2.589 20 5 CFBDRN Cc1cccc(CNC(=O)/C=C\c2ccccc2[N+](=O)[O-])n1 ZINC000134120493 280753586 /nfs/dbraw/zinc/75/35/86/280753586.db2.gz ARLQCHXWVMBECD-KTKRTIGZSA-N 0 0 297.314 2.628 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1CCCC[C@H]1O ZINC000134966958 280765348 /nfs/dbraw/zinc/76/53/48/280765348.db2.gz CSHRXNCRBVBFGZ-TVQRCGJNSA-N 0 0 268.288 2.697 20 5 CFBDRN O=C(COc1cc(F)cc([N+](=O)[O-])c1)c1ccccc1 ZINC000135356471 280771513 /nfs/dbraw/zinc/77/15/13/280771513.db2.gz RXFBVKXJCXAEHK-UHFFFAOYSA-N 0 0 275.235 2.996 20 5 CFBDRN CC(C)CC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186343160 280845298 /nfs/dbraw/zinc/84/52/98/280845298.db2.gz IYNJCIAPVKQMPA-UHFFFAOYSA-N 0 0 270.716 2.911 20 5 CFBDRN C/C=C/C=C/C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186343649 280845689 /nfs/dbraw/zinc/84/56/89/280845689.db2.gz HHOYGRUUSNFBJH-MQQKCMAXSA-N 0 0 280.711 2.997 20 5 CFBDRN Cn1ccc2cccc(NC(=O)c3ccc([N+](=O)[O-])o3)c21 ZINC000191582492 280900713 /nfs/dbraw/zinc/90/07/13/280900713.db2.gz OGYFWJJKDXDPKD-UHFFFAOYSA-N 0 0 285.259 2.932 20 5 CFBDRN CCc1ccc(C(=O)NCC=C(C)C)cc1[N+](=O)[O-] ZINC000191820681 280906279 /nfs/dbraw/zinc/90/62/79/280906279.db2.gz BXMLMWJNURXFDR-UHFFFAOYSA-N 0 0 262.309 2.853 20 5 CFBDRN CC(C)N(CCc1nccs1)c1ncc([N+](=O)[O-])s1 ZINC000192532186 280909628 /nfs/dbraw/zinc/90/96/28/280909628.db2.gz ZYONAASMBXYRQW-UHFFFAOYSA-N 0 0 298.393 2.965 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCOC(F)F ZINC000193614688 280915308 /nfs/dbraw/zinc/91/53/08/280915308.db2.gz IWNMZTOAQJWGJS-UHFFFAOYSA-N 0 0 260.240 2.944 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCCOC(F)F ZINC000193616089 280915439 /nfs/dbraw/zinc/91/54/39/280915439.db2.gz PSHQDJAIIJDQJO-UHFFFAOYSA-N 0 0 281.646 2.684 20 5 CFBDRN Cc1cccc(CCNC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000194653817 280924454 /nfs/dbraw/zinc/92/44/54/280924454.db2.gz FDCSXNDZGHWUNW-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])C(F)(F)F ZINC000362518289 280924525 /nfs/dbraw/zinc/92/45/25/280924525.db2.gz HMUPAWFPRYGQDW-VIFPVBQESA-N 0 0 290.241 2.974 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)C(C)(C)C ZINC000357212301 280926654 /nfs/dbraw/zinc/92/66/54/280926654.db2.gz PVXGPOVAJLGREP-VIFPVBQESA-N 0 0 254.286 2.742 20 5 CFBDRN O=C([C@H]1[C@@H]2CCC[C@@H]21)N1CCc2c1cccc2[N+](=O)[O-] ZINC000246518729 280989580 /nfs/dbraw/zinc/98/95/80/280989580.db2.gz VJASAVFXNRUFLM-MSRIBSCDSA-N 0 0 272.304 2.530 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000246877663 281000208 /nfs/dbraw/zinc/00/02/08/281000208.db2.gz LRDBJLUKCTWQHJ-GPCCPHFNSA-N 0 0 291.351 2.853 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC=C(c3ccnn3C)CC2)c1 ZINC000343250939 281009573 /nfs/dbraw/zinc/00/95/73/281009573.db2.gz OTSDGPGQWNFEJF-UHFFFAOYSA-N 0 0 298.346 2.930 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]2C(=O)OC(C)C)c1 ZINC000302718100 281011794 /nfs/dbraw/zinc/01/17/94/281011794.db2.gz KCXGLZVRDXEFMI-ZDUSSCGKSA-N 0 0 292.335 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCc3c[nH]nc3C2)c1 ZINC000467434983 281015255 /nfs/dbraw/zinc/01/52/55/281015255.db2.gz GSVYKVDOCONRNI-NSHDSACASA-N 0 0 272.308 2.596 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000037669771 281072437 /nfs/dbraw/zinc/07/24/37/281072437.db2.gz GCLRIMNISKFBOL-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)CCC2 ZINC000264826289 281076913 /nfs/dbraw/zinc/07/69/13/281076913.db2.gz YJDUWOREUQLACZ-UHFFFAOYSA-N 0 0 285.303 2.824 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000334422583 281079491 /nfs/dbraw/zinc/07/94/91/281079491.db2.gz URRIKCAIDWRWFD-NSHDSACASA-N 0 0 262.309 2.918 20 5 CFBDRN CC1(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)CCC1 ZINC000254925456 281081526 /nfs/dbraw/zinc/08/15/26/281081526.db2.gz SQKWICUVTYRPPR-SREVYHEPSA-N 0 0 260.293 2.667 20 5 CFBDRN CN(C(=O)/C=C\c1cccc([N+](=O)[O-])c1)[C@H]1CCSC1 ZINC000255084985 281087243 /nfs/dbraw/zinc/08/72/43/281087243.db2.gz RUMRKKCBWMCJDS-SZZPACECSA-N 0 0 292.360 2.572 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)CO2 ZINC000155830023 281089153 /nfs/dbraw/zinc/08/91/53/281089153.db2.gz HSQQBYXXXOMLTN-AWEZNQCLSA-N 0 0 298.298 2.767 20 5 CFBDRN Cc1cncc(CNC(=O)/C=C\c2ccccc2[N+](=O)[O-])c1 ZINC000255168101 281089386 /nfs/dbraw/zinc/08/93/86/281089386.db2.gz PHZYXCDBGOVCAB-SREVYHEPSA-N 0 0 297.314 2.628 20 5 CFBDRN CN(CC(F)(F)F)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255252684 281092162 /nfs/dbraw/zinc/09/21/62/281092162.db2.gz TVZPUNBLBICUGA-WAYWQWQTSA-N 0 0 288.225 2.629 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000255375664 281096491 /nfs/dbraw/zinc/09/64/91/281096491.db2.gz QFAVYAAYLGRTJY-WZIXFXTNSA-N 0 0 274.320 2.865 20 5 CFBDRN O=C(/C=C\c1ccccc1)NCc1cccc([N+](=O)[O-])c1 ZINC000255441811 281098610 /nfs/dbraw/zinc/09/86/10/281098610.db2.gz DTHDLBDVFMPQHI-KTKRTIGZSA-N 0 0 282.299 2.924 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H]1CC=CCC1 ZINC000255636127 281104463 /nfs/dbraw/zinc/10/44/63/281104463.db2.gz WHCRYNPGFIUHBM-ZWXCPPHNSA-N 0 0 272.304 2.833 20 5 CFBDRN O=C(/C=C\c1cccnc1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000255671527 281105320 /nfs/dbraw/zinc/10/53/20/281105320.db2.gz WEDBEMFYDSWKLV-UTCJRWHESA-N 0 0 287.250 2.781 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)CCC2 ZINC000340998914 281127561 /nfs/dbraw/zinc/12/75/61/281127561.db2.gz SJJSSCBNPXSFDS-GFCCVEGCSA-N 0 0 299.334 2.595 20 5 CFBDRN CC[C@H]1CN(c2ccccc2[N+](=O)[O-])CCN1CC(F)F ZINC000301902248 288301064 /nfs/dbraw/zinc/30/10/64/288301064.db2.gz WHBHINYLDNZECS-NSHDSACASA-N 0 0 299.321 2.761 20 5 CFBDRN CC[C@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CCCO1 ZINC000281943352 281176933 /nfs/dbraw/zinc/17/69/33/281176933.db2.gz SLCNUZWGEUIDHE-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN CC[C@H]1CN(c2ccc(C)cc2[N+](=O)[O-])CCCO1 ZINC000281927617 281177150 /nfs/dbraw/zinc/17/71/50/281177150.db2.gz RHCXVWKCCUTNQQ-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H](C)CC(F)(F)F ZINC000396935140 281199058 /nfs/dbraw/zinc/19/90/58/281199058.db2.gz WJIWCSJGCIRCEK-SSDOTTSWSA-N 0 0 277.246 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(O)CCC2)c2cccnc21 ZINC000397659341 281201202 /nfs/dbraw/zinc/20/12/02/281201202.db2.gz ZMSDAGOOCNOVAD-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000397739385 281201575 /nfs/dbraw/zinc/20/15/75/281201575.db2.gz JLUVWWUUTCSGCL-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]3C[C@H]3C2)c(Cl)c1 ZINC000397793833 281202659 /nfs/dbraw/zinc/20/26/59/281202659.db2.gz BYOLSFPDQXZQOY-RNLVFQAGSA-N 0 0 253.689 2.854 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CS[C@@H](C)C1 ZINC000397975481 281203115 /nfs/dbraw/zinc/20/31/15/281203115.db2.gz ONTGSUZIORDKHC-IUCAKERBSA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1cc(N[C@@H]2CS[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000397985399 281203466 /nfs/dbraw/zinc/20/34/66/281203466.db2.gz UIJKYZXRFNKDKO-BDAKNGLRSA-N 0 0 253.327 2.604 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000398093704 281203523 /nfs/dbraw/zinc/20/35/23/281203523.db2.gz ZCLUPXXPXBIPNK-WCBMZHEXSA-N 0 0 298.726 2.546 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1ccc([N+](=O)[O-])c(OC)c1 ZINC000351970641 281221126 /nfs/dbraw/zinc/22/11/26/281221126.db2.gz GOOYAMXHKCKSCR-YPMHNXCESA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1ccc([N+](=O)[O-])cc1 ZINC000271572672 281221500 /nfs/dbraw/zinc/22/15/00/281221500.db2.gz CBOIVSKMQMTDAP-MNOVXSKESA-N 0 0 250.298 2.599 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1CC12CCCC2 ZINC000430239608 281232672 /nfs/dbraw/zinc/23/26/72/281232672.db2.gz BFSIAQSKEJSEKP-ZDUSSCGKSA-N 0 0 274.320 2.586 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)C[C@H](C)O1 ZINC000430238762 281232690 /nfs/dbraw/zinc/23/26/90/281232690.db2.gz RFEJYNQGWFSDAN-SMDDNHRTSA-N 0 0 294.351 2.603 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Oc2ncnc3[nH]ccc32)c1 ZINC000433427232 281234480 /nfs/dbraw/zinc/23/44/80/281234480.db2.gz ISJXYAPVGODZAH-UHFFFAOYSA-N 0 0 274.211 2.798 20 5 CFBDRN CCc1ncc(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)o1 ZINC000450845982 281245222 /nfs/dbraw/zinc/24/52/22/281245222.db2.gz LNXXQHMKNIUPBQ-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN CCN(Cc1ccc(C)cc1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000515796651 281297644 /nfs/dbraw/zinc/29/76/44/281297644.db2.gz FXQRAOJFGGPTJS-UHFFFAOYSA-N 0 0 287.319 2.894 20 5 CFBDRN COc1c(C(=O)NC(C)(C)C2CC2)cccc1[N+](=O)[O-] ZINC000527003023 281323965 /nfs/dbraw/zinc/32/39/65/281323965.db2.gz OQQURASJFWZBLO-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cc(C(=O)N2[C@H](C)C[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000527182140 281325851 /nfs/dbraw/zinc/32/58/51/281325851.db2.gz QPZKVZBRZOWSAO-DTORHVGOSA-N 0 0 278.308 2.535 20 5 CFBDRN CC1(C)CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000527486842 281333159 /nfs/dbraw/zinc/33/31/59/281333159.db2.gz RAYGHUIALGHOTF-SNVBAGLBSA-N 0 0 277.324 2.688 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000531510319 281431155 /nfs/dbraw/zinc/43/11/55/281431155.db2.gz SZSIRYJCBSKSIQ-UHFFFAOYSA-N 0 0 279.340 2.586 20 5 CFBDRN CCC(CC)CN(CC)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531624454 281436014 /nfs/dbraw/zinc/43/60/14/281436014.db2.gz KUZSWKCVQYEFLX-UHFFFAOYSA-N 0 0 279.340 2.888 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531624664 281436020 /nfs/dbraw/zinc/43/60/20/281436020.db2.gz ZEALJYRNTYUCTC-PWSUYJOCSA-N 0 0 277.324 2.688 20 5 CFBDRN CCCC1(CNC(=O)c2cc([N+](=O)[O-])cn2C)CCC1 ZINC000532082782 281445334 /nfs/dbraw/zinc/44/53/34/281445334.db2.gz BLDFJYZUBQEZNL-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN Cc1ccnc(N2CC(COc3ccccc3)C2)c1[N+](=O)[O-] ZINC000288206686 281453169 /nfs/dbraw/zinc/45/31/69/281453169.db2.gz LESPNPFBSJEFQS-UHFFFAOYSA-N 0 0 299.330 2.813 20 5 CFBDRN C[C@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])[C@@H](C)CO1 ZINC000535008637 281493244 /nfs/dbraw/zinc/49/32/44/281493244.db2.gz LEPWQDFZVFYYHN-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000535050835 281495440 /nfs/dbraw/zinc/49/54/40/281495440.db2.gz LSYHMCVVISYKIV-JGPRNRPPSA-N 0 0 280.324 2.971 20 5 CFBDRN Cc1cccc(OC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000535892746 281513288 /nfs/dbraw/zinc/51/32/88/281513288.db2.gz RXPXTALVTYSSPL-LLVKDONJSA-N 0 0 251.282 2.851 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1ncc([N+](=O)[O-])cc1Cl ZINC000268061263 288335707 /nfs/dbraw/zinc/33/57/07/288335707.db2.gz YRRAEALYRLCTBS-BDAKNGLRSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000269391587 288335880 /nfs/dbraw/zinc/33/58/80/288335880.db2.gz SCMNMXIYJFMUBA-SCZZXKLOSA-N 0 0 285.731 2.647 20 5 CFBDRN CCC[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000541636630 281608680 /nfs/dbraw/zinc/60/86/80/281608680.db2.gz BNOQDTDMADSEAG-NSHDSACASA-N 0 0 279.340 2.728 20 5 CFBDRN CC[C@@H](NCc1ccccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000541732512 281610700 /nfs/dbraw/zinc/61/07/00/281610700.db2.gz NFCKUTFDMBFCRP-GFCCVEGCSA-N 0 0 274.324 2.569 20 5 CFBDRN CCN(CC(F)F)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000541751864 281611446 /nfs/dbraw/zinc/61/14/46/281611446.db2.gz CBZWMIFZIXLBIC-UHFFFAOYSA-N 0 0 297.261 2.803 20 5 CFBDRN COc1ccc(CN2[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000548097653 281768355 /nfs/dbraw/zinc/76/83/55/281768355.db2.gz OSEYAUJSFHHFGB-UWVGGRQHSA-N 0 0 250.298 2.586 20 5 CFBDRN Cc1cc(OC[C@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000548149398 281772206 /nfs/dbraw/zinc/77/22/06/281772206.db2.gz SCQYVQKNCAVTIK-JTQLQIEISA-N 0 0 269.272 2.848 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CSC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000549032445 281809848 /nfs/dbraw/zinc/80/98/48/281809848.db2.gz GUMWQROPIRKUFS-JOYOIKCWSA-N 0 0 294.376 2.709 20 5 CFBDRN CC(C)n1cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000553447043 281876822 /nfs/dbraw/zinc/87/68/22/281876822.db2.gz AGSDSZCECMQIDE-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cnn1 ZINC000554808834 281904315 /nfs/dbraw/zinc/90/43/15/281904315.db2.gz WAZGWGLHZQKEFG-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC[C@@H](C)[C@H]1C ZINC000557630663 281967676 /nfs/dbraw/zinc/96/76/76/281967676.db2.gz OZCSQOAEKLUHFQ-MWLCHTKSSA-N 0 0 292.335 2.925 20 5 CFBDRN CCN(CC(F)F)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000559390260 282006167 /nfs/dbraw/zinc/00/61/67/282006167.db2.gz HFFQCUYTXLDTSP-VIFPVBQESA-N 0 0 286.278 2.812 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2cnccn2)cc1[N+](=O)[O-] ZINC000560604731 282036200 /nfs/dbraw/zinc/03/62/00/282036200.db2.gz ULASWJMENGCGHD-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182021863 288383284 /nfs/dbraw/zinc/38/32/84/288383284.db2.gz NGVFDIFSYGOQQJ-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN CC(F)(F)CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000561534325 282056975 /nfs/dbraw/zinc/05/69/75/282056975.db2.gz NTXRDEVNMFHNBF-UHFFFAOYSA-N 0 0 292.669 2.562 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1cncc(F)c1)C2 ZINC000561865177 282062205 /nfs/dbraw/zinc/06/22/05/282062205.db2.gz JDMGWTGPWCQGCX-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc3cnn(C)c3c2)n1 ZINC000562272594 282070201 /nfs/dbraw/zinc/07/02/01/282070201.db2.gz OSMDEPRJBBFFPH-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN C[C@H](C(=O)N1CCSC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000562300127 282072832 /nfs/dbraw/zinc/07/28/32/282072832.db2.gz GRBCIUUKBJFEEN-QWRGUYRKSA-N 0 0 294.376 2.662 20 5 CFBDRN COC1(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CCCC1 ZINC000562355989 282077121 /nfs/dbraw/zinc/07/71/21/282077121.db2.gz SKGKKBNCCJWSGB-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN C[C@@H]1CSCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000191291424 288414319 /nfs/dbraw/zinc/41/43/19/288414319.db2.gz HCCFCMMPYJVGRJ-VIFPVBQESA-N 0 0 298.339 2.559 20 5 CFBDRN C[C@@H]1CSCCN1Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000352064383 288421690 /nfs/dbraw/zinc/42/16/90/288421690.db2.gz XOWQJZXYEIBYPI-MRVPVSSYSA-N 0 0 288.319 2.810 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CS1 ZINC000493110616 288720217 /nfs/dbraw/zinc/72/02/17/288720217.db2.gz BDKKIOJWXYTUNF-BABHQGDISA-N 0 0 292.360 2.618 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1c1ccncc1[N+](=O)[O-] ZINC000340898108 289006835 /nfs/dbraw/zinc/00/68/35/289006835.db2.gz IPRQIXNRLMYRLN-HTQZYQBOSA-N 0 0 275.230 2.767 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000419002644 289214384 /nfs/dbraw/zinc/21/43/84/289214384.db2.gz PUKKUVLKCUSRNP-DGFRNANFSA-N 0 0 256.689 2.574 20 5 CFBDRN O=C(c1ccncc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152316499 289844305 /nfs/dbraw/zinc/84/43/05/289844305.db2.gz TYMKQQCLRMLZPC-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN CSCCN(C)C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000152504664 289848999 /nfs/dbraw/zinc/84/89/99/289848999.db2.gz SQRSERGUTPETKG-GQCTYLIASA-N 0 0 298.339 2.569 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CCOCC1CC1 ZINC000154061269 289884640 /nfs/dbraw/zinc/88/46/40/289884640.db2.gz YPVQOMCGDOUKOF-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN O=C(N[C@@H]1C=CCCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000158351776 289974258 /nfs/dbraw/zinc/97/42/58/289974258.db2.gz BPAAICRZXNBGEN-SNVBAGLBSA-N 0 0 264.256 2.572 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000562390281 290040000 /nfs/dbraw/zinc/04/00/00/290040000.db2.gz OKRZQVXORYPJQP-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000562532277 290051960 /nfs/dbraw/zinc/05/19/60/290051960.db2.gz RKYZAJALMOFMQY-AAEUAGOBSA-N 0 0 273.292 2.732 20 5 CFBDRN COC(C)(C)CN(C)C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000562947424 290084497 /nfs/dbraw/zinc/08/44/97/290084497.db2.gz ZHLNZJVIPKTBHW-UHFFFAOYSA-N 0 0 294.351 2.709 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@@H](F)C1 ZINC000563035773 290096193 /nfs/dbraw/zinc/09/61/93/290096193.db2.gz QRBICUSJOORRQF-NEPJUHHUSA-N 0 0 295.314 2.675 20 5 CFBDRN CCCc1ccc(Cn2cc([N+](=O)[O-])cc(F)c2=O)cc1 ZINC000563128036 290106078 /nfs/dbraw/zinc/10/60/78/290106078.db2.gz AZOAQXRUNNGJSO-UHFFFAOYSA-N 0 0 290.294 2.896 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1CC1CCCCCC1 ZINC000563128620 290106179 /nfs/dbraw/zinc/10/61/79/290106179.db2.gz SIIOPPVGCBATIA-UHFFFAOYSA-N 0 0 268.288 2.866 20 5 CFBDRN CC(C)(O)CN[C@@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000563265571 290125842 /nfs/dbraw/zinc/12/58/42/290125842.db2.gz YUJXJDJPTNGYMA-JTQLQIEISA-N 0 0 292.257 2.559 20 5 CFBDRN Cc1cc(CC[NH2+][C@H]2CCc3c2cccc3[N+](=O)[O-])on1 ZINC000563415700 290145561 /nfs/dbraw/zinc/14/55/61/290145561.db2.gz FKTSPSXMKBNYSI-AWEZNQCLSA-N 0 0 287.319 2.711 20 5 CFBDRN O=C(CC1CC1)Cn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000563896500 290182687 /nfs/dbraw/zinc/18/26/87/290182687.db2.gz CLZUUILFVNFEHJ-UHFFFAOYSA-N 0 0 285.303 2.828 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000564036261 290189601 /nfs/dbraw/zinc/18/96/01/290189601.db2.gz NGJIFHRUHUIKGD-WCQYABFASA-N 0 0 273.292 2.732 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1ccccn1 ZINC000564239636 290199150 /nfs/dbraw/zinc/19/91/50/290199150.db2.gz KIIPPJBOWJERTO-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CC(C)CCn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000564328177 290203752 /nfs/dbraw/zinc/20/37/52/290203752.db2.gz DBWUBMXPHKJQHL-UHFFFAOYSA-N 0 0 260.293 2.956 20 5 CFBDRN Cc1cc(N2CCC[C@@H]([C@H](C)O)C2)c(F)cc1[N+](=O)[O-] ZINC000564637905 290219605 /nfs/dbraw/zinc/21/96/05/290219605.db2.gz FKUMYFZDVJVREH-WDEREUQCSA-N 0 0 282.315 2.640 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@H](F)C1 ZINC000565227970 290285857 /nfs/dbraw/zinc/28/58/57/290285857.db2.gz CKZSRLSKOBMVOY-VIFPVBQESA-N 0 0 254.261 2.542 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCCOCC1CC1 ZINC000565566907 290310134 /nfs/dbraw/zinc/31/01/34/290310134.db2.gz QFHDADWMDHNOFQ-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCO[C@H](C2CC2)C1 ZINC000565903628 290334100 /nfs/dbraw/zinc/33/41/00/290334100.db2.gz KOCRTJHWGLZYGE-RISCZKNCSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000372890294 290360596 /nfs/dbraw/zinc/36/05/96/290360596.db2.gz XAAZGOMSCFCEPX-JTQLQIEISA-N 0 0 289.335 2.582 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000187097839 290375528 /nfs/dbraw/zinc/37/55/28/290375528.db2.gz QXFZMSSHYIAXLX-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1nc(CN[C@H](C)c2ccccc2[N+](=O)[O-])[nH]c1C ZINC000566496141 290395031 /nfs/dbraw/zinc/39/50/31/290395031.db2.gz BUJKYLDSDDGTKO-LLVKDONJSA-N 0 0 274.324 2.786 20 5 CFBDRN Cc1cc(COc2ccc(C)c([N+](=O)[O-])c2)n(C)n1 ZINC000566498230 290395335 /nfs/dbraw/zinc/39/53/35/290395335.db2.gz WTBZUWQPUFVOQJ-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1conc1C ZINC000566572772 290402366 /nfs/dbraw/zinc/40/23/66/290402366.db2.gz SQFJCDLBYJHATC-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN C[C@H](C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C1CCC1 ZINC000566663408 290407905 /nfs/dbraw/zinc/40/79/05/290407905.db2.gz PSHLVNMQCLZQMB-NSHDSACASA-N 0 0 288.347 2.916 20 5 CFBDRN CC(C)C(C)(C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000566988462 290431683 /nfs/dbraw/zinc/43/16/83/290431683.db2.gz WDOYOGWNPKDEEF-UHFFFAOYSA-N 0 0 293.367 2.871 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1(CF)CCC1 ZINC000567271849 290451776 /nfs/dbraw/zinc/45/17/76/290451776.db2.gz BFYMAHPLAZUNFV-UHFFFAOYSA-N 0 0 252.245 2.673 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2ccon2)n1 ZINC000567602943 290475934 /nfs/dbraw/zinc/47/59/34/290475934.db2.gz XVEIKALVKHPISI-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN COC[C@@H]1CCCN(c2c(OC)cccc2[N+](=O)[O-])CC1 ZINC000567627368 290479302 /nfs/dbraw/zinc/47/93/02/290479302.db2.gz OGZXPPXFBLHVAL-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000568356087 290548828 /nfs/dbraw/zinc/54/88/28/290548828.db2.gz VNVKQRVSMNYJRR-PHIMTYICSA-N 0 0 290.319 2.567 20 5 CFBDRN CC(C)(C)C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000568721408 290567087 /nfs/dbraw/zinc/56/70/87/290567087.db2.gz LICFNZRUHSGXSB-NSHDSACASA-N 0 0 291.351 2.888 20 5 CFBDRN Cc1nc(N2CCS[C@H](C)CC2)ccc1[N+](=O)[O-] ZINC000276989281 293300662 /nfs/dbraw/zinc/30/06/62/293300662.db2.gz ZTWUWSLMDPCDBM-SECBINFHSA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1nn(C)c(N2CCC(C(C)(C)C)CC2)c1[N+](=O)[O-] ZINC000301255533 293740778 /nfs/dbraw/zinc/74/07/78/293740778.db2.gz AAJLGIFTXMVARU-UHFFFAOYSA-N 0 0 280.372 2.899 20 5 CFBDRN Cc1nn(C)c2ncc(/C=C\c3ccc([N+](=O)[O-])cn3)cc12 ZINC000439510685 293768678 /nfs/dbraw/zinc/76/86/78/293768678.db2.gz HJWKUUAAWIKWPK-ARJAWSKDSA-N 0 0 295.302 2.750 20 5 CFBDRN CO[C@@]1(C)CCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000280579708 294134016 /nfs/dbraw/zinc/13/40/16/294134016.db2.gz WBYRVAPFGZIGRS-HNNXBMFYSA-N 0 0 291.351 2.568 20 5 CFBDRN C[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CC(C)(C)O1 ZINC000065280761 297078721 /nfs/dbraw/zinc/07/87/21/297078721.db2.gz AIEKCAAGXCBFSM-SECBINFHSA-N 0 0 268.288 2.738 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000415393238 294365573 /nfs/dbraw/zinc/36/55/73/294365573.db2.gz OUJZSKZVMQBSBA-BXUZGUMPSA-N 0 0 294.351 2.833 20 5 CFBDRN COc1cccc(C(=O)N2[C@@H](C)CC[C@@H]2C)c1[N+](=O)[O-] ZINC000194682882 295287583 /nfs/dbraw/zinc/28/75/83/295287583.db2.gz WIUDYGGQZFHNEY-UWVGGRQHSA-N 0 0 278.308 2.616 20 5 CFBDRN Nc1ccc(N2CCC(C(F)(F)F)CC2)c([N+](=O)[O-])c1 ZINC000288503275 295352923 /nfs/dbraw/zinc/35/29/23/295352923.db2.gz SGDGNUOBESELQD-UHFFFAOYSA-N 0 0 289.257 2.956 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCCCC1 ZINC000035065029 304547026 /nfs/dbraw/zinc/54/70/26/304547026.db2.gz ALWPHRDJGHWCIL-UHFFFAOYSA-N 0 0 268.700 2.874 20 5 CFBDRN C[C@@]1(F)CCCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000295055966 297906138 /nfs/dbraw/zinc/90/61/38/297906138.db2.gz YQNGAEHIBRAZJK-GFCCVEGCSA-N 0 0 253.277 2.505 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178353732 304568975 /nfs/dbraw/zinc/56/89/75/304568975.db2.gz DHTYHNDLTOOFIA-TXEJJXNPSA-N 0 0 274.320 2.857 20 5 CFBDRN C[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000280112110 300298992 /nfs/dbraw/zinc/29/89/92/300298992.db2.gz ABSSLINOTCFMAU-ZANVPECISA-N 0 0 280.299 2.738 20 5 CFBDRN Cc1conc1NC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000934997505 649863681 /nfs/dbraw/zinc/86/36/81/649863681.db2.gz RCQYNWVJFHPNQX-NEPJUHHUSA-N 0 0 287.275 2.633 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@H]2CCC(C)(C)C[C@H]2C)c1[N+](=O)[O-] ZINC000408344965 300899183 /nfs/dbraw/zinc/89/91/83/300899183.db2.gz CTTTZHVKCNVTLR-SCZZXKLOSA-N 0 0 294.355 2.571 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1S(=O)(=O)NC1CCCCC1 ZINC000027932293 301014170 /nfs/dbraw/zinc/01/41/70/301014170.db2.gz HHODNILMCPPWDJ-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCOCC3(CCC3)C2)n1 ZINC000353264246 301204075 /nfs/dbraw/zinc/20/40/75/301204075.db2.gz KQORZVMJBKHVDR-UHFFFAOYSA-N 0 0 291.351 2.614 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCO[C@H](C3CCC3)C2)n1 ZINC000413480181 301204356 /nfs/dbraw/zinc/20/43/56/301204356.db2.gz RBLZWTZYRPGHGE-ZDUSSCGKSA-N 0 0 291.351 2.612 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CC(C)C1 ZINC000334522457 301286622 /nfs/dbraw/zinc/28/66/22/301286622.db2.gz GXXAUTGDBIJNKD-UHFFFAOYSA-N 0 0 268.700 2.649 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@H](C)OC(C)(C)C2)c1 ZINC000151950692 301856028 /nfs/dbraw/zinc/85/60/28/301856028.db2.gz YQSCRHUELGNQMI-NSHDSACASA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1ccnc(N2CCC[C@H]([C@@H]3CCOC3)C2)c1[N+](=O)[O-] ZINC000439085241 302271555 /nfs/dbraw/zinc/27/15/55/302271555.db2.gz LWZXLADLKVXQJB-QWHCGFSZSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1c[nH]nc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000134690827 302328820 /nfs/dbraw/zinc/32/88/20/302328820.db2.gz SWYMPIOBGUNWDC-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cnc(N2CCCC[C@H]2c2cc[nH]n2)c([N+](=O)[O-])c1 ZINC000295750362 302348899 /nfs/dbraw/zinc/34/88/99/302348899.db2.gz ZZDPRJBMSJZSPN-LBPRGKRZSA-N 0 0 287.323 2.753 20 5 CFBDRN Cc1cnc(N2CCC[C@@H]2C(F)F)c([N+](=O)[O-])c1 ZINC000343944623 302349396 /nfs/dbraw/zinc/34/93/96/302349396.db2.gz KNVSVYWYKRMUKN-MRVPVSSYSA-N 0 0 257.240 2.532 20 5 CFBDRN Cc1cnc(N2C[C@H](C3CC3)[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000450800646 302354602 /nfs/dbraw/zinc/35/46/02/302354602.db2.gz VIINCXGZRKKUSP-TZMCWYRMSA-N 0 0 273.336 2.923 20 5 CFBDRN Cc1nn(C)c(N2CCCc3ccccc3C2)c1[N+](=O)[O-] ZINC000106489587 302786034 /nfs/dbraw/zinc/78/60/34/302786034.db2.gz CBKCNSRLEBSBNB-UHFFFAOYSA-N 0 0 286.335 2.590 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@]2(CC=CCC2)C1 ZINC000408165712 303020614 /nfs/dbraw/zinc/02/06/14/303020614.db2.gz UGABRBJOOAQDFR-CQSZACIVSA-N 0 0 276.340 2.655 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H]1[C@H]1CCCO1 ZINC000286479936 304800015 /nfs/dbraw/zinc/80/00/15/304800015.db2.gz OZUMLJNPUPDVEH-CMPLNLGQSA-N 0 0 297.742 2.791 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000450698414 304800552 /nfs/dbraw/zinc/80/05/52/304800552.db2.gz BBCOMTJKIFPPPU-ZOWXZIJZSA-N 0 0 294.326 2.864 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@]2(CCOC2)C1 ZINC000450887064 304800724 /nfs/dbraw/zinc/80/07/24/304800724.db2.gz XZANJEGIDBOCQE-AWEZNQCLSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000290783579 304810462 /nfs/dbraw/zinc/81/04/62/304810462.db2.gz WSDJROSPOMOZMJ-QWHCGFSZSA-N 0 0 289.335 2.528 20 5 CFBDRN CN(CCC1CC1)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935011281 649865064 /nfs/dbraw/zinc/86/50/64/649865064.db2.gz OTNDUQTVZAVHMP-GJZGRUSLSA-N 0 0 288.347 2.957 20 5 CFBDRN CCOC(=O)CCCCSc1ccc([N+](=O)[O-])cn1 ZINC000159623219 322325168 /nfs/dbraw/zinc/32/51/68/322325168.db2.gz DYMUUKNNEODPGL-UHFFFAOYSA-N 0 0 284.337 2.815 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000159860299 322329095 /nfs/dbraw/zinc/32/90/95/322329095.db2.gz XLIKJFUDIZNCOW-YGRLFVJLSA-N 0 0 278.308 2.978 20 5 CFBDRN CCc1nnc([C@H](C)Sc2ccc([N+](=O)[O-])cn2)o1 ZINC000160146833 322333431 /nfs/dbraw/zinc/33/34/31/322333431.db2.gz CBXMYRWHCFLTDW-ZETCQYMHSA-N 0 0 280.309 2.789 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCc2c(F)cccc2C1 ZINC000160849807 322344435 /nfs/dbraw/zinc/34/44/35/322344435.db2.gz WUTJWKDLZQKYIC-UHFFFAOYSA-N 0 0 290.250 2.525 20 5 CFBDRN C[C@@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@H]1CCOC1 ZINC000161414206 322351507 /nfs/dbraw/zinc/35/15/07/322351507.db2.gz KKWFLRAPMYBCQJ-MNOVXSKESA-N 0 0 287.319 2.980 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2[C@@H](C)CC[C@@H]2C)c1 ZINC000161574364 322353881 /nfs/dbraw/zinc/35/38/81/322353881.db2.gz WNTWQUDPGADEIY-UWVGGRQHSA-N 0 0 278.308 2.616 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000161702729 322355919 /nfs/dbraw/zinc/35/59/19/322355919.db2.gz ZCKIPUZCKJGQGS-GHMZBOCLSA-N 0 0 262.309 2.537 20 5 CFBDRN CCCc1ccccc1NC(=O)c1c([N+](=O)[O-])cnn1C ZINC000161776542 322356641 /nfs/dbraw/zinc/35/66/41/322356641.db2.gz ZKTIXJWTOQDMHI-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN O=C(CC1CC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162222444 322362026 /nfs/dbraw/zinc/36/20/26/322362026.db2.gz DFIJIFTWHIFAGO-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN Cc1ccc(OCc2nccs2)c([N+](=O)[O-])c1 ZINC000162741454 322367871 /nfs/dbraw/zinc/36/78/71/322367871.db2.gz VWMOUDSORCCSHV-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN CC[C@H]1CN(Cc2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000163308798 322375089 /nfs/dbraw/zinc/37/50/89/322375089.db2.gz ZAAOYOQNXHIUAG-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN O=c1c2ccoc2ccn1Cc1ccc([N+](=O)[O-])cc1 ZINC000170870409 322395305 /nfs/dbraw/zinc/39/53/05/322395305.db2.gz OMTKDHQLKYTXJX-UHFFFAOYSA-N 0 0 270.244 2.551 20 5 CFBDRN C[C@H]1c2ccccc2CCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000170957469 322396273 /nfs/dbraw/zinc/39/62/73/322396273.db2.gz LOZHDMWPQMHOGC-JTQLQIEISA-N 0 0 286.287 2.947 20 5 CFBDRN Cc1ncccc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000170988191 322397686 /nfs/dbraw/zinc/39/76/86/322397686.db2.gz MVLKMSCOWBPXOI-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CCC[C@H](CC)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000171202609 322402316 /nfs/dbraw/zinc/40/23/16/322402316.db2.gz HCEUNDSPBOPDBH-LBPRGKRZSA-N 0 0 279.340 2.973 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H](C)CC(C)(C)O ZINC000569355680 322467437 /nfs/dbraw/zinc/46/74/37/322467437.db2.gz UYAWNBBYFVRJDI-VIFPVBQESA-N 0 0 268.313 2.565 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@@H](F)C1 ZINC000569858350 322500887 /nfs/dbraw/zinc/50/08/87/322500887.db2.gz HJVDIMLSRAOYRL-SECBINFHSA-N 0 0 291.282 2.650 20 5 CFBDRN C[C@@H](O)[C@@H]1CCCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000570026821 322509847 /nfs/dbraw/zinc/50/98/47/322509847.db2.gz BOWMBYGCRIZYHL-NXEZZACHSA-N 0 0 284.743 2.845 20 5 CFBDRN COc1cccnc1CN[C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000570409495 322531747 /nfs/dbraw/zinc/53/17/47/322531747.db2.gz AEJCGXYYDKBDHK-CYBMUJFWSA-N 0 0 299.330 2.776 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCSC3(CCC3)CC2)nc1 ZINC000570686085 322545888 /nfs/dbraw/zinc/54/58/88/322545888.db2.gz CPZDKPZCDRXUAS-UHFFFAOYSA-N 0 0 279.365 2.856 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1(CF)CCC1 ZINC000572119265 322607998 /nfs/dbraw/zinc/60/79/98/322607998.db2.gz YUZVBLPRJBWORN-UHFFFAOYSA-N 0 0 281.287 2.917 20 5 CFBDRN C[C@@H]1CN(c2nc3c(cc2[N+](=O)[O-])CCCC3)CCS1 ZINC000572597840 322624823 /nfs/dbraw/zinc/62/48/23/322624823.db2.gz QAKOXJRFTCXGOQ-SNVBAGLBSA-N 0 0 293.392 2.810 20 5 CFBDRN Cc1cnc(N2CC[C@H](C)C(F)(F)C2)c([N+](=O)[O-])c1 ZINC000572672356 322627900 /nfs/dbraw/zinc/62/79/00/322627900.db2.gz XIHCHEWRKPXMAO-VIFPVBQESA-N 0 0 271.267 2.780 20 5 CFBDRN CC(C)Oc1nc(N[C@@H]2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000572974921 322639478 /nfs/dbraw/zinc/63/94/78/322639478.db2.gz DLTKABUYLVMOMS-NXEZZACHSA-N 0 0 283.303 2.501 20 5 CFBDRN CC(C)c1nc(Cn2cc([N+](=O)[O-])cc(F)c2=O)cs1 ZINC000574081538 322680285 /nfs/dbraw/zinc/68/02/85/322680285.db2.gz CYAWDURXBBVUMR-UHFFFAOYSA-N 0 0 297.311 2.524 20 5 CFBDRN C[C@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])c1ccn(C)n1 ZINC000575072397 322717425 /nfs/dbraw/zinc/71/74/25/322717425.db2.gz ZSQIEWOCYHCABK-IINYFYTJSA-N 0 0 286.335 2.666 20 5 CFBDRN C[C@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@H](C)S1 ZINC000575075999 322717596 /nfs/dbraw/zinc/71/75/96/322717596.db2.gz VDSFSPNYENIBSK-UWVGGRQHSA-N 0 0 293.392 2.893 20 5 CFBDRN C[C@@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@H](C)S1 ZINC000575075997 322717627 /nfs/dbraw/zinc/71/76/27/322717627.db2.gz VDSFSPNYENIBSK-AOOOYVTPSA-N 0 0 293.392 2.893 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000175973448 323671274 /nfs/dbraw/zinc/67/12/74/323671274.db2.gz DFVIFLNGCYEXQX-NWDGAFQWSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@@H](N(C)C(=O)NCc1cccc([N+](=O)[O-])c1)C1(C)CC1 ZINC000176459212 323678478 /nfs/dbraw/zinc/67/84/78/323678478.db2.gz XUWSKLGNZRPJPZ-LLVKDONJSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1ccc(CCCn2ccc(=O)c([N+](=O)[O-])c2)cc1 ZINC000182067320 323840800 /nfs/dbraw/zinc/84/08/00/323840800.db2.gz DHFODYMGTGOAPI-UHFFFAOYSA-N 0 0 272.304 2.698 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182154406 323845279 /nfs/dbraw/zinc/84/52/79/323845279.db2.gz JBFCCHRUALIZNP-RKDXNWHRSA-N 0 0 268.288 2.752 20 5 CFBDRN Cc1ccnc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000182626367 323873013 /nfs/dbraw/zinc/87/30/13/323873013.db2.gz BRVFRQRSJUOPIO-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@@H](C(=O)N(C)CC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000182944617 323889851 /nfs/dbraw/zinc/88/98/51/323889851.db2.gz IVEYPGXCOJMPIA-SECBINFHSA-N 0 0 280.299 2.706 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000185280351 323962606 /nfs/dbraw/zinc/96/26/06/323962606.db2.gz XSWLDOLDHOGTFC-LLVKDONJSA-N 0 0 264.325 2.893 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2cnccn2)cc1[N+](=O)[O-] ZINC000190502082 324041127 /nfs/dbraw/zinc/04/11/27/324041127.db2.gz JZMUJUXBHVTVBQ-UHFFFAOYSA-N 0 0 286.291 2.761 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H](O)Cc2ccccc2)c1 ZINC000192481897 324060369 /nfs/dbraw/zinc/06/03/69/324060369.db2.gz IHIFKJMMCHHQTG-AWEZNQCLSA-N 0 0 287.315 2.886 20 5 CFBDRN Cc1cccc(OCc2cn3cccnc3n2)c1[N+](=O)[O-] ZINC000192797879 324065153 /nfs/dbraw/zinc/06/51/53/324065153.db2.gz BOUAASAAGIEDRQ-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN CCCCN(C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000193401693 324072368 /nfs/dbraw/zinc/07/23/68/324072368.db2.gz SPHAJURCSLMMLY-UHFFFAOYSA-N 0 0 295.339 2.545 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C[C@H]1C=CCC1 ZINC000193586881 324074372 /nfs/dbraw/zinc/07/43/72/324074372.db2.gz KQARPCOHMSIFFB-NSHDSACASA-N 0 0 290.319 2.576 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCc2ccc(F)cc21 ZINC000194604735 324091639 /nfs/dbraw/zinc/09/16/39/324091639.db2.gz LUAKOQFLAUVSIH-UHFFFAOYSA-N 0 0 290.250 2.920 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1C/C=C\c1ccc(Cl)cc1 ZINC000344449068 324132260 /nfs/dbraw/zinc/13/22/60/324132260.db2.gz NUOJZEAZVVSGIT-UPHRSURJSA-N 0 0 278.699 2.740 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(-c2cccc(F)c2)no1 ZINC000349861093 324189838 /nfs/dbraw/zinc/18/98/38/324189838.db2.gz PDXOQKUMUXXTLF-UHFFFAOYSA-N 0 0 288.238 2.789 20 5 CFBDRN COc1cccc(-c2nc(C(C)C)no2)c1[N+](=O)[O-] ZINC000349891508 324190882 /nfs/dbraw/zinc/19/08/82/324190882.db2.gz LZBHLHNOBMDTLG-UHFFFAOYSA-N 0 0 263.253 2.777 20 5 CFBDRN C[C@H]1COCC[C@H]1c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000350592951 324240257 /nfs/dbraw/zinc/24/02/57/324240257.db2.gz INMIKYRRPGEHLD-VHSXEESVSA-N 0 0 289.291 2.785 20 5 CFBDRN Cc1ccc(-c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)o1 ZINC000350793742 324257758 /nfs/dbraw/zinc/25/77/58/324257758.db2.gz HYGNMBCBTIUNAH-UHFFFAOYSA-N 0 0 260.209 2.541 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CCCOC1 ZINC000350826460 324264326 /nfs/dbraw/zinc/26/43/26/324264326.db2.gz WVZXTSNYNHBJDW-GFCCVEGCSA-N 0 0 295.320 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(Cc3cccnc3)n2)s1 ZINC000350824856 324264414 /nfs/dbraw/zinc/26/44/14/324264414.db2.gz QYOXMSXZBKMCCT-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN Cc1c(Cc2nc(-c3cocn3)no2)cccc1[N+](=O)[O-] ZINC000350876032 324273842 /nfs/dbraw/zinc/27/38/42/324273842.db2.gz YYOZMWKFRQYGAB-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@@H](C)C(C)(C)C)n2)n1C ZINC000350905986 324280357 /nfs/dbraw/zinc/28/03/57/324280357.db2.gz DECWIVJTNQMBPE-SSDOTTSWSA-N 0 0 293.327 2.836 20 5 CFBDRN C/C(=C\c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1)C1CC1 ZINC000350924426 324283506 /nfs/dbraw/zinc/28/35/06/324283506.db2.gz LJXIOKXLAMCDHJ-VOTSOKGWSA-N 0 0 289.295 2.500 20 5 CFBDRN CC[C@H](SC)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350929655 324285271 /nfs/dbraw/zinc/28/52/71/324285271.db2.gz SWELLWGPFDGIFP-ZETCQYMHSA-N 0 0 297.340 2.501 20 5 CFBDRN Cc1ocnc1-c1noc(-c2ccc([N+](=O)[O-])cc2C)n1 ZINC000351037958 324297651 /nfs/dbraw/zinc/29/76/51/324297651.db2.gz GANBVFDHQIFADL-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN CC(C)CO[C@@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351143192 324326094 /nfs/dbraw/zinc/32/60/94/324326094.db2.gz FRJAXERARHOSTI-QMMMGPOBSA-N 0 0 281.268 2.971 20 5 CFBDRN CO[C@H](C)c1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351144368 324327001 /nfs/dbraw/zinc/32/70/01/324327001.db2.gz IFKSVOZLSOQJSH-MRVPVSSYSA-N 0 0 263.253 2.661 20 5 CFBDRN Cc1cc(-c2noc(COC(C)C)n2)cc([N+](=O)[O-])c1 ZINC000351147307 324327365 /nfs/dbraw/zinc/32/73/65/324327365.db2.gz OBGGEHWLKASPDL-UHFFFAOYSA-N 0 0 277.280 2.878 20 5 CFBDRN O=C(CCCc1nc(-c2ccc([N+](=O)[O-])o2)no1)C1CC1 ZINC000351146673 324327430 /nfs/dbraw/zinc/32/74/30/324327430.db2.gz MVMBRBVWASDUAA-UHFFFAOYSA-N 0 0 291.263 2.540 20 5 CFBDRN C[C@H]1[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1(F)F ZINC000351147802 324328466 /nfs/dbraw/zinc/32/84/66/324328466.db2.gz OXJAEDJPBBQZEC-MHTLYPKNSA-N 0 0 271.179 2.606 20 5 CFBDRN CC[C@@H](C)OCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351148672 324328524 /nfs/dbraw/zinc/32/85/24/324328524.db2.gz QGKJHROGCGUBIA-SSDOTTSWSA-N 0 0 267.241 2.553 20 5 CFBDRN CCOCCc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351151778 324330117 /nfs/dbraw/zinc/33/01/17/324330117.db2.gz VIROGQFGEXGXDW-UHFFFAOYSA-N 0 0 277.280 2.532 20 5 CFBDRN C[C@]1(c2nc(Cc3ccccc3[N+](=O)[O-])no2)C[C@H]1F ZINC000351281668 324370208 /nfs/dbraw/zinc/37/02/08/324370208.db2.gz BELKBTDXDALARP-MFKMUULPSA-N 0 0 277.255 2.568 20 5 CFBDRN C[C@@]1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)C[C@H]1F ZINC000351287244 324371478 /nfs/dbraw/zinc/37/14/78/324371478.db2.gz HFOFQQMJIVRSHW-BXKDBHETSA-N 0 0 263.228 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@]34C[C@@H]3CCC4)n2)o1 ZINC000351305065 324378350 /nfs/dbraw/zinc/37/83/50/324378350.db2.gz SZGXKQHDZWRBKZ-MADCSZMMSA-N 0 0 261.237 2.679 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)C[C@H]1F ZINC000351334140 324386756 /nfs/dbraw/zinc/38/67/56/324386756.db2.gz WZROCKPQNXTGTN-LDWIPMOCSA-N 0 0 269.257 2.706 20 5 CFBDRN Cc1ccc(NCc2cn(C(C)C)nn2)c([N+](=O)[O-])c1 ZINC000380479433 324410721 /nfs/dbraw/zinc/41/07/21/324410721.db2.gz KQMVKFIMBXLYMT-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Nc1cnn(C(C)C)c1 ZINC000381104277 324415079 /nfs/dbraw/zinc/41/50/79/324415079.db2.gz CQXIYRYLXGCCLO-UHFFFAOYSA-N 0 0 278.316 2.641 20 5 CFBDRN CC[C@H](Nc1ccnc2c1cccc2[N+](=O)[O-])[C@H](C)O ZINC000386796161 324482383 /nfs/dbraw/zinc/48/23/83/324482383.db2.gz AWQDFAHFEUVGGY-ONGXEEELSA-N 0 0 275.308 2.714 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1ncc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000388304884 324503256 /nfs/dbraw/zinc/50/32/56/324503256.db2.gz PDORJUDKJKTQSU-RDDDGLTNSA-N 0 0 285.731 2.869 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1F)C(C)(F)F ZINC000389448455 324517154 /nfs/dbraw/zinc/51/71/54/324517154.db2.gz QBAGWOQFPBQTLU-SSDOTTSWSA-N 0 0 262.231 2.867 20 5 CFBDRN COc1cc(N[C@H]2CSC2(C)C)ccc1[N+](=O)[O-] ZINC000393750593 324531059 /nfs/dbraw/zinc/53/10/59/324531059.db2.gz RDLAPWQIIHLLCO-NSHDSACASA-N 0 0 268.338 2.909 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC2(CCC2)[C@@H]2COC[C@H]21 ZINC000575969262 324561383 /nfs/dbraw/zinc/56/13/83/324561383.db2.gz VYGMEFJISLXAIX-TZMCWYRMSA-N 0 0 288.347 2.909 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC2(CCC2)[C@H]2COC[C@@H]21 ZINC000575969260 324561440 /nfs/dbraw/zinc/56/14/40/324561440.db2.gz VYGMEFJISLXAIX-JSGCOSHPSA-N 0 0 288.347 2.909 20 5 CFBDRN CC1(C2(NC(=O)c3csc([N+](=O)[O-])c3)CC2)CC1 ZINC000576203863 324589543 /nfs/dbraw/zinc/58/95/43/324589543.db2.gz JQCTXTCQCLOTKL-UHFFFAOYSA-N 0 0 266.322 2.719 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC2CCC(=O)CC2)c1 ZINC000576278522 324598283 /nfs/dbraw/zinc/59/82/83/324598283.db2.gz GIQHPVWXLHVNRE-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN Cc1cccnc1CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000577158189 324703324 /nfs/dbraw/zinc/70/33/24/324703324.db2.gz XKZFPZOGCBMFJA-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN COCCCCCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000577318237 324722453 /nfs/dbraw/zinc/72/24/53/324722453.db2.gz WOJYRYLKBGMXMO-GFCCVEGCSA-N 0 0 294.351 2.631 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@H](c3cccnc3)C2)n1 ZINC000577369258 324728967 /nfs/dbraw/zinc/72/89/67/324728967.db2.gz ZLDLQHWXAUKKKH-AWEZNQCLSA-N 0 0 298.346 2.996 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1c1ncc([N+](=O)[O-])cc1F ZINC000577587811 324754316 /nfs/dbraw/zinc/75/43/16/324754316.db2.gz NUCJDVCERHEHIS-DTWKUNHWSA-N 0 0 253.277 2.896 20 5 CFBDRN Cc1c(CN(C)c2c(Cl)cccc2[N+](=O)[O-])cnn1C ZINC000577598717 324756158 /nfs/dbraw/zinc/75/61/58/324756158.db2.gz BELSKQHKCUWXKB-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](CO)Cc1ccco1 ZINC000577809389 324781142 /nfs/dbraw/zinc/78/11/42/324781142.db2.gz JLEIQCDCLYTWMJ-GFCCVEGCSA-N 0 0 290.319 2.759 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000578697087 324878422 /nfs/dbraw/zinc/87/84/22/324878422.db2.gz PYKDJCUGCUTSIB-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN C[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000580438740 325035638 /nfs/dbraw/zinc/03/56/38/325035638.db2.gz BRAYTLPXRSQBOD-SNVBAGLBSA-N 0 0 280.299 2.882 20 5 CFBDRN C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000580452642 325038473 /nfs/dbraw/zinc/03/84/73/325038473.db2.gz BJFNQDCUOBHOPF-SECBINFHSA-N 0 0 250.298 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(C3CC(F)(F)C3)no2)nc1 ZINC000580524910 325043160 /nfs/dbraw/zinc/04/31/60/325043160.db2.gz BJGMRBJYEATWRY-UHFFFAOYSA-N 0 0 282.206 2.553 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCCSC)c1 ZINC000580647607 325053079 /nfs/dbraw/zinc/05/30/79/325053079.db2.gz ZSTYUFPGNFTBJM-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCCC12CCC2 ZINC000580693738 325055697 /nfs/dbraw/zinc/05/56/97/325055697.db2.gz LGBJDSCDNVNRAE-UHFFFAOYSA-N 0 0 289.335 2.823 20 5 CFBDRN CC(F)(F)CCn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000580715166 325058189 /nfs/dbraw/zinc/05/81/89/325058189.db2.gz QPIBYJSHILQEBC-UHFFFAOYSA-N 0 0 282.246 2.955 20 5 CFBDRN CC1(Cn2nc(-c3ccc([N+](=O)[O-])cc3)oc2=O)CCC1 ZINC000581529694 325128857 /nfs/dbraw/zinc/12/88/57/325128857.db2.gz DNZZIKXNDMMALJ-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](C)C(F)(F)C1 ZINC000581920178 325159519 /nfs/dbraw/zinc/15/95/19/325159519.db2.gz FIJIQAPFCPGZBH-VIFPVBQESA-N 0 0 271.267 2.780 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1C[C@@H](C)[C@](C)(C(=O)[O-])C1 ZINC000582009251 325168511 /nfs/dbraw/zinc/16/85/11/325168511.db2.gz XRINEDXLXUYQON-UEKVPHQBSA-N 0 0 292.335 2.698 20 5 CFBDRN Cc1ccc(CCCn2cc([N+](=O)[O-])cc(F)c2=O)cc1 ZINC000582062307 325173199 /nfs/dbraw/zinc/17/31/99/325173199.db2.gz CZYASJWHHMHSKK-UHFFFAOYSA-N 0 0 290.294 2.837 20 5 CFBDRN CN(c1nccc2c1cccc2[N+](=O)[O-])C1CCOCC1 ZINC000582527601 325211972 /nfs/dbraw/zinc/21/19/72/325211972.db2.gz QJHIFSPOAONVRG-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1c[nH]c(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)n1 ZINC000582673980 325224834 /nfs/dbraw/zinc/22/48/34/325224834.db2.gz CCHCDCYJYCSVCY-UHFFFAOYSA-N 0 0 288.307 2.804 20 5 CFBDRN CCC[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C(C)(C)C ZINC000583125522 325262007 /nfs/dbraw/zinc/26/20/07/325262007.db2.gz IDQMZQVJVXVAJU-LBPRGKRZSA-N 0 0 279.340 2.934 20 5 CFBDRN Cc1cc(N2CC[C@H](O)CC23CCC3)ccc1[N+](=O)[O-] ZINC000583197160 325267703 /nfs/dbraw/zinc/26/77/03/325267703.db2.gz NEOBAAJWQDWQCW-ZDUSSCGKSA-N 0 0 276.336 2.787 20 5 CFBDRN Cc1cc(NC(=O)C[C@H](C)n2ccnc2)ccc1[N+](=O)[O-] ZINC000583206316 325269007 /nfs/dbraw/zinc/26/90/07/325269007.db2.gz IESLKWURGZVZOE-NSHDSACASA-N 0 0 288.307 2.690 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2C2CCOCC2)c(F)c1 ZINC000583486561 325290933 /nfs/dbraw/zinc/29/09/33/325290933.db2.gz JMGOGJUWLJMIEU-ZDUSSCGKSA-N 0 0 295.314 2.524 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CC[C@@H]2OCC[C@@H]2C1 ZINC000583628712 325299940 /nfs/dbraw/zinc/29/99/40/325299940.db2.gz RSJHZDIKAMNQEY-YGRLFVJLSA-N 0 0 296.754 2.859 20 5 CFBDRN CC(C)=CCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000583675402 325302999 /nfs/dbraw/zinc/30/29/99/325302999.db2.gz KBLNGLPWHUKUOF-NSHDSACASA-N 0 0 277.324 2.855 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000583739327 325307094 /nfs/dbraw/zinc/30/70/94/325307094.db2.gz LFFMNAGDAGDBKM-VIFPVBQESA-N 0 0 298.289 2.771 20 5 CFBDRN C[C@@H](C(=O)N(C)CCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000583789559 325310337 /nfs/dbraw/zinc/31/03/37/325310337.db2.gz KFSCMTXAMIWFKC-LLVKDONJSA-N 0 0 276.336 2.957 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](c3cccnc3)C2)c1 ZINC000583809481 325311940 /nfs/dbraw/zinc/31/19/40/325311940.db2.gz SAXASPLWLHDZQH-ZDUSSCGKSA-N 0 0 299.330 2.992 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC3(C2)CCCCCC3)cn1 ZINC000583969260 325321544 /nfs/dbraw/zinc/32/15/44/325321544.db2.gz LFZJSVYJMNVVLV-UHFFFAOYSA-N 0 0 291.351 2.919 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CCC[C@H](F)C1 ZINC000584233402 325339729 /nfs/dbraw/zinc/33/97/29/325339729.db2.gz VBZZTTSGHZSMHK-NWDGAFQWSA-N 0 0 280.299 2.534 20 5 CFBDRN CCOC[C@@H]1CCCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000584242079 325340740 /nfs/dbraw/zinc/34/07/40/325340740.db2.gz GGPXYAWWGMHLJA-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN COc1cncc(CN2CCc3c(cccc3[N+](=O)[O-])C2)c1 ZINC000584373836 329299890 /nfs/dbraw/zinc/29/98/90/329299890.db2.gz FKJJUAYYPVTSIR-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN C[C@H]1COC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000829437418 782130330 /nfs/dbraw/zinc/13/03/30/782130330.db2.gz GLGLIDISXTXZLD-ZANVPECISA-N 0 0 273.292 2.590 20 5 CFBDRN Cn1cccc1[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000072649767 501023239 /nfs/dbraw/zinc/02/32/39/501023239.db2.gz IGUVDAMGXSVYRR-HNNXBMFYSA-N 0 0 299.330 2.911 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CC[C@@H]2CCC[C@@H]21 ZINC000334708521 501048114 /nfs/dbraw/zinc/04/81/14/501048114.db2.gz OAIVSAXXXRZXIE-HZMBPMFUSA-N 0 0 292.310 2.677 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2nccn2C(F)F)c1 ZINC000078641121 501083665 /nfs/dbraw/zinc/08/36/65/501083665.db2.gz ONAUYPOVTAFAOG-UHFFFAOYSA-N 0 0 268.223 2.799 20 5 CFBDRN CCC[C@@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000362015926 520863584 /nfs/dbraw/zinc/86/35/84/520863584.db2.gz SAUKQYWLEJLSTB-ZJUUUORDSA-N 0 0 263.297 2.673 20 5 CFBDRN CCCc1nnc(NCc2ccc([N+](=O)[O-])cc2)o1 ZINC000181685847 521565054 /nfs/dbraw/zinc/56/50/54/521565054.db2.gz HETHSJALRKDVSQ-UHFFFAOYSA-N 0 0 262.269 2.542 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413230184 533729847 /nfs/dbraw/zinc/72/98/47/533729847.db2.gz ADPUFPMFSQHPIO-NXEZZACHSA-N 0 0 275.308 2.714 20 5 CFBDRN Cc1cc(CSc2ccc([N+](=O)[O-])cc2)ncn1 ZINC000427687786 533751745 /nfs/dbraw/zinc/75/17/45/533751745.db2.gz KCAGSJCWCXGMDT-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN Cc1c(C(=O)NC(C)(C)COC(C)C)cccc1[N+](=O)[O-] ZINC000414003202 533773547 /nfs/dbraw/zinc/77/35/47/533773547.db2.gz DNBOUCVLQPKVKR-UHFFFAOYSA-N 0 0 294.351 2.837 20 5 CFBDRN Cc1ccc(CCNc2c3c(ccc2[N+](=O)[O-])NCC3)nc1 ZINC000413360110 533807932 /nfs/dbraw/zinc/80/79/32/533807932.db2.gz YSLLTEKZQRCQIU-UHFFFAOYSA-N 0 0 298.346 2.921 20 5 CFBDRN Cc1c(CC(=O)NCc2ccccc2)cccc1[N+](=O)[O-] ZINC000158108778 533832855 /nfs/dbraw/zinc/83/28/55/533832855.db2.gz VUDDPCSOEGSZGF-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2F)[C@H](C)O1 ZINC000413540605 533876591 /nfs/dbraw/zinc/87/65/91/533876591.db2.gz VDSMBLJFBVQCBZ-KXUCPTDWSA-N 0 0 268.288 2.959 20 5 CFBDRN COc1cccc2c1C[C@H](Nc1nc(C)ccc1[N+](=O)[O-])C2 ZINC000413431163 533893607 /nfs/dbraw/zinc/89/36/07/533893607.db2.gz VKBQBXMXXULISM-GFCCVEGCSA-N 0 0 299.330 2.886 20 5 CFBDRN Cc1cc(N2C[C@@H](O)C[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000413501799 533916672 /nfs/dbraw/zinc/91/66/72/533916672.db2.gz MBRZYOLIQGGKIT-KBPBESRZSA-N 0 0 299.330 2.611 20 5 CFBDRN C[C@@H](SC[C@H]1COCCO1)c1cccc([N+](=O)[O-])c1 ZINC000419555736 533995033 /nfs/dbraw/zinc/99/50/33/533995033.db2.gz HSSVMBDRPWWFRJ-ZWNOBZJWSA-N 0 0 283.349 2.804 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1cnn(C)c1 ZINC000027214858 534093842 /nfs/dbraw/zinc/09/38/42/534093842.db2.gz UDXMPXVHWOSENG-NSHDSACASA-N 0 0 274.324 2.521 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)CCc2cccc([N+](=O)[O-])c2)CS1 ZINC000412575531 534101005 /nfs/dbraw/zinc/10/10/05/534101005.db2.gz ASVMCACHYXNGPL-CMPLNLGQSA-N 0 0 294.376 2.538 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)CC(F)F)c([N+](=O)[O-])c1 ZINC000413698508 534143166 /nfs/dbraw/zinc/14/31/66/534143166.db2.gz HVLSWTWSIRXLQD-ZETCQYMHSA-N 0 0 288.250 2.833 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413157171 534148615 /nfs/dbraw/zinc/14/86/15/534148615.db2.gz TXUYDRQETZTPLS-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413257904 534176121 /nfs/dbraw/zinc/17/61/21/534176121.db2.gz GFGIHQNEWQXXIY-RKDXNWHRSA-N 0 0 253.277 2.754 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2noc(C3CC3)n2)c1 ZINC000413602564 534324787 /nfs/dbraw/zinc/32/47/87/534324787.db2.gz FPYZYDHNAFOJHL-UHFFFAOYSA-N 0 0 288.307 2.800 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC[C@H]1F ZINC000412686322 534334734 /nfs/dbraw/zinc/33/47/34/534334734.db2.gz CQLMNDBNOMSTJX-CHWSQXEVSA-N 0 0 280.299 2.914 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC[C@@H]1C[C@H](C)O ZINC000361076348 518411523 /nfs/dbraw/zinc/41/15/23/518411523.db2.gz XVHGPMAGAIYJKW-CMPLNLGQSA-N 0 0 292.335 2.537 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360050553 518564276 /nfs/dbraw/zinc/56/42/76/518564276.db2.gz LEVOHNPVMDMUJW-UMBAGQNISA-N 0 0 268.288 2.781 20 5 CFBDRN CC(C)(C)C1CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000335095295 518610993 /nfs/dbraw/zinc/61/09/93/518610993.db2.gz GPIHXEAJTVMPQF-UHFFFAOYSA-N 0 0 280.299 2.852 20 5 CFBDRN CC(C)(C)CCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000311537644 518654187 /nfs/dbraw/zinc/65/41/87/518654187.db2.gz SNBYGWQTLOZLHT-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CC(C)(C)OC(=O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000057648804 518795875 /nfs/dbraw/zinc/79/58/75/518795875.db2.gz GTTNINBHTSIYLW-UHFFFAOYSA-N 0 0 287.699 2.969 20 5 CFBDRN CC(C)CCC[C@@H](C)NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000186848543 519645318 /nfs/dbraw/zinc/64/53/18/519645318.db2.gz YBXKUACVJRDXDB-SNVBAGLBSA-N 0 0 295.339 2.640 20 5 CFBDRN CC(C)OC(=O)CCCNc1ccc([N+](=O)[O-])cc1F ZINC000160260276 519744793 /nfs/dbraw/zinc/74/47/93/519744793.db2.gz DFZLZGZQBABUMH-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN CC(C)Oc1cccc(Cn2cc([N+](=O)[O-])cn2)c1 ZINC000078937389 519783282 /nfs/dbraw/zinc/78/32/82/519783282.db2.gz WFASALHKOLVMES-UHFFFAOYSA-N 0 0 261.281 2.627 20 5 CFBDRN CC(C)[C@@H](CNc1ccncc1[N+](=O)[O-])c1cccnc1 ZINC000360989839 519794323 /nfs/dbraw/zinc/79/43/23/519794323.db2.gz HCQUAFVMPRZNMS-CYBMUJFWSA-N 0 0 286.335 2.658 20 5 CFBDRN CC(C)[C@](C)(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000310851875 519829713 /nfs/dbraw/zinc/82/97/13/519829713.db2.gz PQZJCJYPWHGGJN-GFCCVEGCSA-N 0 0 256.277 2.553 20 5 CFBDRN CC(C)[C@]1(CO)CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000377974547 519830334 /nfs/dbraw/zinc/83/03/34/519830334.db2.gz DNYPMUMTSQHZPD-CQSZACIVSA-N 0 0 282.315 2.579 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000334838523 519834894 /nfs/dbraw/zinc/83/48/94/519834894.db2.gz WLRADUVBFATLQH-NSHDSACASA-N 0 0 280.299 2.902 20 5 CFBDRN CC(C)c1ccc(C[NH2+]C(C)(C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000340679792 519836143 /nfs/dbraw/zinc/83/61/43/519836143.db2.gz VQDDHIVUMSNFHW-UHFFFAOYSA-N 0 0 280.324 2.671 20 5 CFBDRN CCC1(NS(=O)(=O)c2cccc([N+](=O)[O-])c2C)CCC1 ZINC000177539428 519845973 /nfs/dbraw/zinc/84/59/73/519845973.db2.gz KNEFLZZXQKVRIW-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN CCCCC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231599 519908499 /nfs/dbraw/zinc/90/84/99/519908499.db2.gz LAMLQNZPJZONNC-UHFFFAOYSA-N 0 0 262.309 2.670 20 5 CFBDRN CCCCCN(CCO)c1ccccc1[N+](=O)[O-] ZINC000176734964 520175588 /nfs/dbraw/zinc/17/55/88/520175588.db2.gz WUDSELFPLRKYCH-UHFFFAOYSA-N 0 0 252.314 2.584 20 5 CFBDRN CCCCCNC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000078595938 520218614 /nfs/dbraw/zinc/21/86/14/520218614.db2.gz PDVXQVMTZBLLAL-NSHDSACASA-N 0 0 280.324 2.669 20 5 CFBDRN CCCCCNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000049155928 520222090 /nfs/dbraw/zinc/22/20/90/520222090.db2.gz LUAOFBOODGBUOT-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2Cc3ccccc32)ccc1[N+](=O)[O-] ZINC000355224715 534478754 /nfs/dbraw/zinc/47/87/54/534478754.db2.gz NAGNQODNXCLNPZ-GFCCVEGCSA-N 0 0 283.287 2.577 20 5 CFBDRN CCNc1ccc(C(=O)N(C)[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000053674254 520280134 /nfs/dbraw/zinc/28/01/34/520280134.db2.gz PQKDWCCXHYRMDI-SNVBAGLBSA-N 0 0 291.351 2.897 20 5 CFBDRN CC1(C)CCCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000335821857 520306438 /nfs/dbraw/zinc/30/64/38/520306438.db2.gz RPSOAUXIYVEVME-UHFFFAOYSA-N 0 0 265.313 2.575 20 5 CFBDRN Cc1nc(NC(=O)c2ccccc2F)ccc1[N+](=O)[O-] ZINC000175681729 534485205 /nfs/dbraw/zinc/48/52/05/534485205.db2.gz XJIDTTJTIGPSJB-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCCCN(C(=O)Cn1cc([N+](=O)[O-])nc1C)[C@@H](C)CC ZINC000067828150 520346773 /nfs/dbraw/zinc/34/67/73/520346773.db2.gz WAQJCHWVYLAXHK-NSHDSACASA-N 0 0 296.371 2.527 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000150895554 520348451 /nfs/dbraw/zinc/34/84/51/520348451.db2.gz RKHLPHUPRVIGKH-CHWSQXEVSA-N 0 0 293.367 2.938 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000219045900 520394239 /nfs/dbraw/zinc/39/42/39/520394239.db2.gz KXMTUABTQCZVAH-SECBINFHSA-N 0 0 295.314 2.625 20 5 CFBDRN CCO[C@H](C)c1nccn1Cc1csc([N+](=O)[O-])c1 ZINC000155481371 520486908 /nfs/dbraw/zinc/48/69/08/520486908.db2.gz IKKJCZDLEJRRRR-SECBINFHSA-N 0 0 281.337 2.999 20 5 CFBDRN NC(=O)c1cccc(N[C@H](C2CC2)C2CCC2)c1[N+](=O)[O-] ZINC000413356725 534498646 /nfs/dbraw/zinc/49/86/46/534498646.db2.gz IYILVVVITWOQNT-ZDUSSCGKSA-N 0 0 289.335 2.684 20 5 CFBDRN Cc1cn([C@H](C)c2ncc(C(C)(C)C)o2)nc1[N+](=O)[O-] ZINC000281218332 534501766 /nfs/dbraw/zinc/50/17/66/534501766.db2.gz QYDDQAGJIJRBAK-SECBINFHSA-N 0 0 278.312 2.995 20 5 CFBDRN CCOc1cc(C)ccc1CNc1ccncc1[N+](=O)[O-] ZINC000361043073 520672749 /nfs/dbraw/zinc/67/27/49/520672749.db2.gz NHAKNZCUHKKZKP-UHFFFAOYSA-N 0 0 287.319 2.731 20 5 CFBDRN CCOc1cc(N(C)CC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000341528084 520747600 /nfs/dbraw/zinc/74/76/00/520747600.db2.gz KRGIBKUPLOBDMO-ZDUSSCGKSA-N 0 0 294.351 2.999 20 5 CFBDRN CCOc1cc(NCC(C)(C)CCO)ccc1[N+](=O)[O-] ZINC000226571939 520758757 /nfs/dbraw/zinc/75/87/57/520758757.db2.gz XTTJIUFFXGWXQU-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN O=C([O-])[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)c1ccsc1 ZINC000426781637 534516285 /nfs/dbraw/zinc/51/62/85/534516285.db2.gz BMDMAFQPTXSBRJ-LBPRGKRZSA-N 0 0 292.316 2.572 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000194555866 520811125 /nfs/dbraw/zinc/81/11/25/520811125.db2.gz BILWODWPVNSFGP-LBPRGKRZSA-N 0 0 294.351 2.758 20 5 CFBDRN CCOC(=O)C[C@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360066089 520882004 /nfs/dbraw/zinc/88/20/04/520882004.db2.gz NFPNDATWJDTWEI-VIFPVBQESA-N 0 0 284.287 2.796 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CCC[C@H]2F)cc1[N+](=O)[O-] ZINC000340778699 520916154 /nfs/dbraw/zinc/91/61/54/520916154.db2.gz PRPBPRZEFCBCQH-MNOVXSKESA-N 0 0 296.298 2.614 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000358143816 520973966 /nfs/dbraw/zinc/97/39/66/520973966.db2.gz CUZBBQQRLWHFEU-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CC[N@H+](CCC(=O)[O-])Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000050444732 520987400 /nfs/dbraw/zinc/98/74/00/520987400.db2.gz NEUYWVWZSVUDTO-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN CCN(C[C@@H](C)OC)c1ccc([N+](=O)[O-])c(C)c1 ZINC000360239598 521215169 /nfs/dbraw/zinc/21/51/69/521215169.db2.gz RIVCZQNZZMXJHY-LLVKDONJSA-N 0 0 252.314 2.764 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C=CCCC2)c1 ZINC000338456225 521279364 /nfs/dbraw/zinc/27/93/64/521279364.db2.gz ZRHZDPSGEQCBOV-LLVKDONJSA-N 0 0 290.319 2.832 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@H]2C2CC2)c1 ZINC000338465248 521281775 /nfs/dbraw/zinc/28/17/75/521281775.db2.gz QGUUBGQTVYANAI-AAEUAGOBSA-N 0 0 290.319 2.522 20 5 CFBDRN CCOC(=O)[C@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000084726795 521303596 /nfs/dbraw/zinc/30/35/96/521303596.db2.gz KJFINTPLUGWXNO-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H](C)C[C@H](C)CC)c1[N+](=O)[O-] ZINC000080679856 521326317 /nfs/dbraw/zinc/32/63/17/521326317.db2.gz VRUIDESXKFTERQ-ZJUUUORDSA-N 0 0 296.371 2.825 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H](C)C[C@H](C)CC)c1[N+](=O)[O-] ZINC000080679861 521328771 /nfs/dbraw/zinc/32/87/71/521328771.db2.gz VRUIDESXKFTERQ-NXEZZACHSA-N 0 0 296.371 2.825 20 5 CFBDRN CCOc1cccc(NCC(C)(C)OC)c1[N+](=O)[O-] ZINC000169287019 521398244 /nfs/dbraw/zinc/39/82/44/521398244.db2.gz AATVZUIHIHTLQO-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN CCC(CC)NC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000078595956 521591957 /nfs/dbraw/zinc/59/19/57/521591957.db2.gz SZFOSOBZJRLOPC-JTQLQIEISA-N 0 0 280.324 2.667 20 5 CFBDRN CCC(CC)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000072752334 521593915 /nfs/dbraw/zinc/59/39/15/521593915.db2.gz DQZZVEVLUDZOBZ-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN CCC(CC)NC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000130783406 521595102 /nfs/dbraw/zinc/59/51/02/521595102.db2.gz GUGPFZPPJIIWPH-UHFFFAOYSA-N 0 0 265.313 2.555 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000230905011 521610216 /nfs/dbraw/zinc/61/02/16/521610216.db2.gz VBHXILOLFQVQFE-AWEZNQCLSA-N 0 0 282.340 2.812 20 5 CFBDRN CCCN(CCC)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000067544722 521640775 /nfs/dbraw/zinc/64/07/75/521640775.db2.gz DPCCGVPDXUMFLU-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CCS[C@@H]1CCC[C@H](Nc2ccncc2[N+](=O)[O-])C1 ZINC000360990957 521725684 /nfs/dbraw/zinc/72/56/84/521725684.db2.gz PDVFGGUTYTZVBK-WDEREUQCSA-N 0 0 281.381 2.888 20 5 CFBDRN COc1c(C(=O)NCc2ccccc2)cccc1[N+](=O)[O-] ZINC000309608442 521783226 /nfs/dbraw/zinc/78/32/26/521783226.db2.gz KBOAZVLGMKCHDS-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN COCC[C@@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000081716910 521800842 /nfs/dbraw/zinc/80/08/42/521800842.db2.gz UMPZZUXVOFBANS-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CNC(=O)c1ccc(COc2ccccc2[N+](=O)[O-])cc1 ZINC000066746906 521822872 /nfs/dbraw/zinc/82/28/72/521822872.db2.gz FLFSIGGSIZDGDO-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN CNC(=O)c1ccc(NC[C@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000230696548 521848529 /nfs/dbraw/zinc/84/85/29/521848529.db2.gz JMMLFTLUNRHXAT-CMPLNLGQSA-N 0 0 291.351 2.803 20 5 CFBDRN CC[C@@H](C(=O)[O-])[N@H+](C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000050444758 521882546 /nfs/dbraw/zinc/88/25/46/521882546.db2.gz ADNYTKFHKUQGQG-JTQLQIEISA-N 0 0 286.715 2.543 20 5 CFBDRN Cc1cnc(OC2CC(OC(C)C)C2)c([N+](=O)[O-])c1 ZINC000413004182 534597362 /nfs/dbraw/zinc/59/73/62/534597362.db2.gz YTHPAPUPRHQYIV-UHFFFAOYSA-N 0 0 266.297 2.633 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000048866328 521933758 /nfs/dbraw/zinc/93/37/58/521933758.db2.gz OAGOAPKXPUZTTD-UWVGGRQHSA-N 0 0 279.340 2.801 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000057301066 521934503 /nfs/dbraw/zinc/93/45/03/521934503.db2.gz MKDKZQWUHJQJLX-UWVGGRQHSA-N 0 0 250.298 2.759 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000361481142 521999633 /nfs/dbraw/zinc/99/96/33/521999633.db2.gz JXLKXPVNAFZTOA-GWCFXTLKSA-N 0 0 280.324 2.619 20 5 CFBDRN CC[C@@H](C)CSc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000189348370 522012806 /nfs/dbraw/zinc/01/28/06/522012806.db2.gz QPYUKJUYSSKWIV-MRVPVSSYSA-N 0 0 284.337 2.915 20 5 CFBDRN COC(=O)c1ccc(COc2ccc([N+](=O)[O-])cc2F)o1 ZINC000071912230 522046390 /nfs/dbraw/zinc/04/63/90/522046390.db2.gz ZOAHWMJSSALYGJ-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000172763306 522047751 /nfs/dbraw/zinc/04/77/51/522047751.db2.gz MRMAMNGEPMWAJG-SNVBAGLBSA-N 0 0 250.298 2.774 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000057307559 522357742 /nfs/dbraw/zinc/35/77/42/522357742.db2.gz LINWHSPXKUNJRJ-BDAKNGLRSA-N 0 0 268.288 2.898 20 5 CFBDRN COC(C)(C)c1noc(-c2ccc([N+](=O)[O-])cc2C)n1 ZINC000085236537 522524561 /nfs/dbraw/zinc/52/45/61/522524561.db2.gz IWSBAHRFRDEQEU-UHFFFAOYSA-N 0 0 277.280 2.835 20 5 CFBDRN CN(CC(C)(C)C)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000361655928 522536119 /nfs/dbraw/zinc/53/61/19/522536119.db2.gz AXXOTMHKEBVXID-UHFFFAOYSA-N 0 0 293.367 2.536 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000161420931 522539680 /nfs/dbraw/zinc/53/96/80/522539680.db2.gz NCDIXYXWVBPOND-JQWIXIFHSA-N 0 0 291.351 2.945 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000163207741 522540844 /nfs/dbraw/zinc/54/08/44/522540844.db2.gz IAOWYHTXYQURCJ-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000163208765 522613966 /nfs/dbraw/zinc/61/39/66/522613966.db2.gz MHSVXDMEOOBMKZ-VIFPVBQESA-N 0 0 297.380 2.508 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000360710206 522661040 /nfs/dbraw/zinc/66/10/40/522661040.db2.gz BATBMYTWBWWEDX-IUCAKERBSA-N 0 0 284.287 2.591 20 5 CFBDRN CCc1nn(C)c(NC2C(C)(C)C2(C)C)c1[N+](=O)[O-] ZINC000092817648 522667730 /nfs/dbraw/zinc/66/77/30/522667730.db2.gz FNVLDVWEBACXKN-UHFFFAOYSA-N 0 0 266.345 2.737 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000230431481 522669209 /nfs/dbraw/zinc/66/92/09/522669209.db2.gz ZJGRARSSXQJSLW-NXEZZACHSA-N 0 0 266.345 2.739 20 5 CFBDRN CC[C@H](NCc1ncccn1)c1cccc([N+](=O)[O-])c1 ZINC000192161696 522670012 /nfs/dbraw/zinc/67/00/12/522670012.db2.gz FMCMNRDIIWYESM-ZDUSSCGKSA-N 0 0 272.308 2.626 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CCCCS2)c1[N+](=O)[O-] ZINC000158332623 522669937 /nfs/dbraw/zinc/66/99/37/522669937.db2.gz BSQIWYCPWLIKRG-VIFPVBQESA-N 0 0 284.385 2.588 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000078304844 522744316 /nfs/dbraw/zinc/74/43/16/522744316.db2.gz IZKLCRAUXLXVOI-CMPLNLGQSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cc(NCC[C@H](C)F)c([N+](=O)[O-])cc1F ZINC000338752450 522744465 /nfs/dbraw/zinc/74/44/65/522744465.db2.gz QRAUPRJINXPBGJ-ZETCQYMHSA-N 0 0 260.240 2.903 20 5 CFBDRN COc1cc(NCCc2nc(C)oc2C)ccc1[N+](=O)[O-] ZINC000340745047 522744577 /nfs/dbraw/zinc/74/45/77/522744577.db2.gz QBQLOFAISMORJS-UHFFFAOYSA-N 0 0 291.307 2.863 20 5 CFBDRN COc1cc(NC[C@H]2CCCCO2)c(F)cc1[N+](=O)[O-] ZINC000218847580 522746193 /nfs/dbraw/zinc/74/61/93/522746193.db2.gz XEZAYCWSNIETIV-SECBINFHSA-N 0 0 284.287 2.724 20 5 CFBDRN CCc1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2C)s1 ZINC000049377086 522776238 /nfs/dbraw/zinc/77/62/38/522776238.db2.gz NUDDDSZRJKEPBU-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN COc1cc(OCC2(O)CCC(C)CC2)ccc1[N+](=O)[O-] ZINC000360686729 522893002 /nfs/dbraw/zinc/89/30/02/522893002.db2.gz ZVBUATDJKVPPRK-UHFFFAOYSA-N 0 0 295.335 2.923 20 5 CFBDRN COC(=O)CC1CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000079032082 522938679 /nfs/dbraw/zinc/93/86/79/522938679.db2.gz FVQXZBSEOWSKDB-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN CN(CC[C@H]1CCCO1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000341380791 522938999 /nfs/dbraw/zinc/93/89/99/522938999.db2.gz VGWFFMLRFZLAEV-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSc1ncco1 ZINC000362338042 522977273 /nfs/dbraw/zinc/97/72/73/522977273.db2.gz ACADJFLETCPPRU-UHFFFAOYSA-N 0 0 266.278 2.884 20 5 CFBDRN COCCCCCNc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000227952397 523135013 /nfs/dbraw/zinc/13/50/13/523135013.db2.gz WOPYRDINPRKVOE-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1ccc(F)cc1 ZINC000360841048 523141430 /nfs/dbraw/zinc/14/14/30/523141430.db2.gz FEMTWYHTBZQRQZ-UHFFFAOYSA-N 0 0 292.314 2.895 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(C(C)C)CC1 ZINC000360983777 523141521 /nfs/dbraw/zinc/14/15/21/523141521.db2.gz GYQIDJBPKPQTAM-UHFFFAOYSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@@H]1CCO[C@@H](C)C1 ZINC000361059540 523143403 /nfs/dbraw/zinc/14/34/03/523143403.db2.gz GNHXNTMQBWYHCU-CMPLNLGQSA-N 0 0 296.371 2.737 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC[C@H]1CCO[C@H](C)C1 ZINC000361059542 523144484 /nfs/dbraw/zinc/14/44/84/523144484.db2.gz GNHXNTMQBWYHCU-PWSUYJOCSA-N 0 0 296.371 2.737 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC(C1CC1)C1CC1 ZINC000311860820 523144693 /nfs/dbraw/zinc/14/46/93/523144693.db2.gz KCQATAJWOARGEI-UHFFFAOYSA-N 0 0 264.329 2.720 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H](C)C(F)(F)F ZINC000361007802 523147261 /nfs/dbraw/zinc/14/72/61/523147261.db2.gz SNGODDCFOPPERV-LURJTMIESA-N 0 0 280.250 2.730 20 5 CFBDRN COCc1cnc(NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000340806129 523152369 /nfs/dbraw/zinc/15/23/69/523152369.db2.gz JWXWRNVBHPDOSM-UHFFFAOYSA-N 0 0 299.333 2.512 20 5 CFBDRN CCn1c(C)nnc1SCc1csc([N+](=O)[O-])c1 ZINC000338636399 523163672 /nfs/dbraw/zinc/16/36/72/523163672.db2.gz IVFPWGMCXKJOLU-UHFFFAOYSA-N 0 0 284.366 2.868 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1c1c([N+](=O)[O-])nc(C)n1CC ZINC000361045780 523205033 /nfs/dbraw/zinc/20/50/33/523205033.db2.gz YNJYTMYXVFHKBE-ONGXEEELSA-N 0 0 266.345 2.887 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000335988368 523243906 /nfs/dbraw/zinc/24/39/06/523243906.db2.gz HZFPTPCUJNARTK-SNVBAGLBSA-N 0 0 265.313 2.575 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000336353169 523339567 /nfs/dbraw/zinc/33/95/67/523339567.db2.gz NSXGMIVIOYEMJH-SNVBAGLBSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1cccc(CN(C)c2ccncc2[N+](=O)[O-])c1 ZINC000340157916 534703827 /nfs/dbraw/zinc/70/38/27/534703827.db2.gz IWXXGFHMLWEPQY-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN COCCCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213992330 523378832 /nfs/dbraw/zinc/37/88/32/523378832.db2.gz GDQGXKLSKSIQNY-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN CO[C@@H](C)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000224352323 523421091 /nfs/dbraw/zinc/42/10/91/523421091.db2.gz AYOOGMLLYOFPKV-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN CCn1cc(Nc2ncc([N+](=O)[O-])cc2Cl)cn1 ZINC000227649683 523441742 /nfs/dbraw/zinc/44/17/42/523441742.db2.gz MFSOGJTXIDRZSA-UHFFFAOYSA-N 0 0 267.676 2.603 20 5 CFBDRN CN(Cc1ccncc1)Cc1ccc([N+](=O)[O-])cc1F ZINC000313586888 523462417 /nfs/dbraw/zinc/46/24/17/523462417.db2.gz YRLLOXONHBOMJU-UHFFFAOYSA-N 0 0 275.283 2.761 20 5 CFBDRN CN(c1ccc(F)cc1[N+](=O)[O-])[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000156758514 523638535 /nfs/dbraw/zinc/63/85/35/523638535.db2.gz XYSJQTAZXHFBNR-GDLCADMTSA-N 0 0 294.326 2.984 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@](C)(O)CCC(C)C ZINC000192618762 523668792 /nfs/dbraw/zinc/66/87/92/523668792.db2.gz SLMKQUAGFCDXTL-CQSZACIVSA-N 0 0 298.387 2.719 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000361153320 523720656 /nfs/dbraw/zinc/72/06/56/523720656.db2.gz JMFURECLHXHBDV-HNNXBMFYSA-N 0 0 278.352 2.904 20 5 CFBDRN CCc1c[nH]c(SCC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000129045717 523734036 /nfs/dbraw/zinc/73/40/36/523734036.db2.gz KYGMBYAOCJDLBK-UHFFFAOYSA-N 0 0 291.332 2.855 20 5 CFBDRN COc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)nc1 ZINC000361329191 523745745 /nfs/dbraw/zinc/74/57/45/523745745.db2.gz XBKUCRIBYYDNOG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2nccc(C)c2[N+](=O)[O-])C1(C)C ZINC000227822939 523762428 /nfs/dbraw/zinc/76/24/28/523762428.db2.gz UEVNKFXGXATSNK-NXEZZACHSA-N 0 0 265.313 2.524 20 5 CFBDRN CCc1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)ccc1F ZINC000340755906 523818550 /nfs/dbraw/zinc/81/85/50/523818550.db2.gz VKAWEMLENGLTHW-UHFFFAOYSA-N 0 0 277.255 2.877 20 5 CFBDRN CC[C@H](C)C(=O)NCCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000156954707 523832248 /nfs/dbraw/zinc/83/22/48/523832248.db2.gz YUOBAXMSMCDLID-LBPRGKRZSA-N 0 0 293.367 2.868 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CC1(C)C ZINC000362418381 523886283 /nfs/dbraw/zinc/88/62/83/523886283.db2.gz LOTUMTNSWQLWTN-NSHDSACASA-N 0 0 281.287 2.996 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000361837793 523897214 /nfs/dbraw/zinc/89/72/14/523897214.db2.gz XWSHBMZRILPDID-VHSXEESVSA-N 0 0 279.340 2.853 20 5 CFBDRN CN(C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)[C@@H]1CC1(C)C ZINC000336110106 523916166 /nfs/dbraw/zinc/91/61/66/523916166.db2.gz NYYLGKTYYQRKTJ-CYBMUJFWSA-N 0 0 287.319 2.947 20 5 CFBDRN CO[C@H](C)c1nsc(Oc2ccc([N+](=O)[O-])cc2)n1 ZINC000337914247 523928678 /nfs/dbraw/zinc/92/86/78/523928678.db2.gz MPGBWFDJWPGODE-SSDOTTSWSA-N 0 0 281.293 2.946 20 5 CFBDRN COCCOC[C@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360089570 524025906 /nfs/dbraw/zinc/02/59/06/524025906.db2.gz MJZISUAFPDEODX-JTQLQIEISA-N 0 0 286.303 2.506 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccsc2)cc([N+](=O)[O-])c1 ZINC000049175449 524085756 /nfs/dbraw/zinc/08/57/56/524085756.db2.gz MNFXAUDRVJOOPL-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN COc1ccc(CNc2cccc(CO)c2)cc1[N+](=O)[O-] ZINC000191944002 524103313 /nfs/dbraw/zinc/10/33/13/524103313.db2.gz OBOAKDXHXXZPBV-UHFFFAOYSA-N 0 0 288.303 2.708 20 5 CFBDRN COc1ccc(CNc2nc(C)ccc2[N+](=O)[O-])cc1 ZINC000162715121 524106217 /nfs/dbraw/zinc/10/62/17/524106217.db2.gz HLSLQNLLORMIAV-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1cc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)co1 ZINC000335738346 524162430 /nfs/dbraw/zinc/16/24/30/524162430.db2.gz HECKLEVZVXIKMJ-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN C[C@H]1CCC[C@H](CCNC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000360372236 524194680 /nfs/dbraw/zinc/19/46/80/524194680.db2.gz MGBUWNLGGOTVAH-WDEREUQCSA-N 0 0 279.340 2.869 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@@H]1C ZINC000050565158 524206536 /nfs/dbraw/zinc/20/65/36/524206536.db2.gz BWNASQTWNCXVJT-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@@H]1C ZINC000050565150 524206736 /nfs/dbraw/zinc/20/67/36/524206736.db2.gz QSJQXSYWQZKCHG-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H]1CCC[C@@H](CO)N1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000181388025 524295964 /nfs/dbraw/zinc/29/59/64/524295964.db2.gz JTZYVMLCZGPWPZ-MFKMUULPSA-N 0 0 298.770 2.984 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000340031472 524327672 /nfs/dbraw/zinc/32/76/72/524327672.db2.gz CEINUPDIXIBXKW-DGCLKSJQSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000334082585 524366889 /nfs/dbraw/zinc/36/68/89/524366889.db2.gz LBGLVMSQXBBFOE-WCQYABFASA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000056265907 524382466 /nfs/dbraw/zinc/38/24/66/524382466.db2.gz HSCCBFAILZVETN-ZJUUUORDSA-N 0 0 266.297 2.744 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000157285739 524422148 /nfs/dbraw/zinc/42/21/48/524422148.db2.gz ZJPLTXOLLWUPPL-YUMQZZPRSA-N 0 0 254.311 2.575 20 5 CFBDRN Cc1cccc(CNC(=O)c2ccc([N+](=O)[O-])cc2F)c1 ZINC000054708649 524428330 /nfs/dbraw/zinc/42/83/30/524428330.db2.gz TURMGRKBXADDDL-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2N)c1 ZINC000050707975 524514758 /nfs/dbraw/zinc/51/47/58/524514758.db2.gz INCVAVMUEFAKTF-UHFFFAOYSA-N 0 0 285.303 2.762 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000339166214 524585484 /nfs/dbraw/zinc/58/54/84/524585484.db2.gz DERBDFNGQBDBMM-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1ccc(CNc2nccc(C)c2[N+](=O)[O-])cn1 ZINC000070496354 524622794 /nfs/dbraw/zinc/62/27/94/524622794.db2.gz TYOQYACOUOZOMR-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000336141701 524623264 /nfs/dbraw/zinc/62/32/64/524623264.db2.gz JTCUBJUNYJKEBK-XCBNKYQSSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)C1 ZINC000334780950 524632501 /nfs/dbraw/zinc/63/25/01/524632501.db2.gz BNFCBDRKBJZYFC-YPMHNXCESA-N 0 0 288.347 2.916 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000228129527 524639929 /nfs/dbraw/zinc/63/99/29/524639929.db2.gz BYLMNEYNFYLLJD-ZJUUUORDSA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000075288137 524650034 /nfs/dbraw/zinc/65/00/34/524650034.db2.gz QWQHKLPEPOOBEW-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000049376797 524657595 /nfs/dbraw/zinc/65/75/95/524657595.db2.gz QINUBZCZSJFSLG-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C[C@@H]1C ZINC000068582746 524706883 /nfs/dbraw/zinc/70/68/83/524706883.db2.gz AXDDCJUMRMODGD-SCVCMEIPSA-N 0 0 291.351 2.930 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000361961188 524841429 /nfs/dbraw/zinc/84/14/29/524841429.db2.gz GDZGBZRHTUMIDZ-WDEREUQCSA-N 0 0 274.320 2.920 20 5 CFBDRN C[C@@H](C[C@@H]1CCCO1)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000338715950 524847063 /nfs/dbraw/zinc/84/70/63/524847063.db2.gz JCTDRBCMWUMFPT-GWCFXTLKSA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@@H]1CC12CC2 ZINC000335107392 524876694 /nfs/dbraw/zinc/87/66/94/524876694.db2.gz DIIOIGSHFBAVMV-SNVBAGLBSA-N 0 0 280.711 2.839 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000428093009 534816171 /nfs/dbraw/zinc/81/61/71/534816171.db2.gz COYJSUYNQROWNM-GDNZZTSVSA-N 0 0 280.711 2.777 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000336163423 524890952 /nfs/dbraw/zinc/89/09/52/524890952.db2.gz YNAZUHIAPWAPPH-QWRGUYRKSA-N 0 0 276.336 2.882 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000336410710 524891261 /nfs/dbraw/zinc/89/12/61/524891261.db2.gz BHXWZPLFZDVSJX-IUCAKERBSA-N 0 0 282.727 2.800 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC1(C)C ZINC000335966314 524904044 /nfs/dbraw/zinc/90/40/44/524904044.db2.gz TUJYTHJRXNLJLQ-NSHDSACASA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CCCCS1 ZINC000362723133 524904743 /nfs/dbraw/zinc/90/47/43/524904743.db2.gz JFFXHEFIUCULTJ-NSHDSACASA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@](CO)(C(C)C)C1 ZINC000377976678 524905463 /nfs/dbraw/zinc/90/54/63/524905463.db2.gz KVNUSMXEGQWREQ-HNNXBMFYSA-N 0 0 278.352 2.748 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Nc2ccncc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000248397283 524915470 /nfs/dbraw/zinc/91/54/70/524915470.db2.gz HTXZGACCCFOYQJ-URLYPYJESA-N 0 0 292.339 2.936 20 5 CFBDRN Cc1ccc(NC(=O)C2CCSCC2)cc1[N+](=O)[O-] ZINC000334177531 525028957 /nfs/dbraw/zinc/02/89/57/525028957.db2.gz ASTLLCVJGHZTCK-UHFFFAOYSA-N 0 0 280.349 2.985 20 5 CFBDRN C[C@H]1OCC[C@]1(C)Nc1ccc([N+](=O)[O-])cc1F ZINC000230098254 525053639 /nfs/dbraw/zinc/05/36/39/525053639.db2.gz AHLJGYCGJWUZHK-PELKAZGASA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1ccc(NC(=O)COc2ccsc2)cc1[N+](=O)[O-] ZINC000337225746 525072589 /nfs/dbraw/zinc/07/25/89/525072589.db2.gz ORVIGGDGMBUJPI-UHFFFAOYSA-N 0 0 292.316 2.982 20 5 CFBDRN Cc1ccccc1C1=CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000336535046 525084370 /nfs/dbraw/zinc/08/43/70/525084370.db2.gz IOJACXRNBHGVIY-UHFFFAOYSA-N 0 0 297.314 2.771 20 5 CFBDRN Cc1cc(NC(=O)C2CC2)c2cc([N+](=O)[O-])ccc2n1 ZINC000161722953 525116106 /nfs/dbraw/zinc/11/61/06/525116106.db2.gz NFKWNBAHFXUAPM-UHFFFAOYSA-N 0 0 271.276 2.800 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000337875034 525149693 /nfs/dbraw/zinc/14/96/93/525149693.db2.gz QBGDQOYAWUWMID-BKDNQFJXSA-N 0 0 284.262 2.673 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CCC(=O)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000182432307 525216257 /nfs/dbraw/zinc/21/62/57/525216257.db2.gz KTMUSKNQDWAMRP-NOZJJQNGSA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2OC[C@@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000366052069 525222087 /nfs/dbraw/zinc/22/20/87/525222087.db2.gz YUWKXTKSSSZUNU-ZKYQVNSYSA-N 0 0 290.319 2.657 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])n2C)c(F)c1 ZINC000336230016 525240412 /nfs/dbraw/zinc/24/04/12/525240412.db2.gz HRQJRVLKKJMADR-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN C[C@@H](NC(=O)OCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000049211999 525256921 /nfs/dbraw/zinc/25/69/21/525256921.db2.gz QDGDVXDDNUCAKX-SSDOTTSWSA-N 0 0 292.213 2.944 20 5 CFBDRN C[C@]1(CNc2ncccc2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000364917303 525272008 /nfs/dbraw/zinc/27/20/08/525272008.db2.gz SRGTVSWRCDQJFM-TZMCWYRMSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000128444447 525274951 /nfs/dbraw/zinc/27/49/51/525274951.db2.gz KRJWAKKCCMNLPW-JQWIXIFHSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cc(N[C@H](CO)CC(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000360065561 525302393 /nfs/dbraw/zinc/30/23/93/525302393.db2.gz JJFDGQLLYNTQIP-ZETCQYMHSA-N 0 0 296.220 2.768 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000336448498 525307672 /nfs/dbraw/zinc/30/76/72/525307672.db2.gz WHHANHVCFRQTAL-MWLCHTKSSA-N 0 0 260.293 2.530 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000361717881 525309443 /nfs/dbraw/zinc/30/94/43/525309443.db2.gz RMXZGKXKQUMEGV-HTQZYQBOSA-N 0 0 252.245 2.719 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])n[nH]1)[C@@H](C)C1CCCCC1 ZINC000353570072 525313641 /nfs/dbraw/zinc/31/36/41/525313641.db2.gz WRZJMCGZWFYTDX-NXEZZACHSA-N 0 0 294.355 2.653 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000060706474 525380450 /nfs/dbraw/zinc/38/04/50/525380450.db2.gz JECXAQMGYGFKFE-GFCCVEGCSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336064912 525394098 /nfs/dbraw/zinc/39/40/98/525394098.db2.gz PQILRHZQUFTHJG-DTORHVGOSA-N 0 0 273.292 2.699 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCC[C@@H]2C)c1 ZINC000340032337 525447945 /nfs/dbraw/zinc/44/79/45/525447945.db2.gz JKHSLJDMZNVYOV-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC[C@@H](C)C2)c1 ZINC000334070714 525448740 /nfs/dbraw/zinc/44/87/40/525448740.db2.gz ODVVIHJBHFDDFS-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H](C)C[C@H]2CCCO2)c1 ZINC000338661443 525452232 /nfs/dbraw/zinc/45/22/32/525452232.db2.gz GWTYPRBLCDGTES-ZYHUDNBSSA-N 0 0 280.324 2.973 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cc(C)ccn2)c1 ZINC000328226883 525452580 /nfs/dbraw/zinc/45/25/80/525452580.db2.gz BRBPNBWFSOVPPZ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC(C)(C)C1 ZINC000162643850 525454919 /nfs/dbraw/zinc/45/49/19/525454919.db2.gz GYNWDSRRRYYKLJ-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1ccn(C(C)C)n1 ZINC000313889370 525463748 /nfs/dbraw/zinc/46/37/48/525463748.db2.gz QYFWAXUEZDQTHX-UHFFFAOYSA-N 0 0 290.323 2.993 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@H](C)C1 ZINC000334104476 525467636 /nfs/dbraw/zinc/46/76/36/525467636.db2.gz YRWAVVWNGMSOSD-UWVGGRQHSA-N 0 0 293.323 2.914 20 5 CFBDRN CSCCN(C)c1cc([N+](=O)[O-])ccc1C(C)=O ZINC000361047532 525498541 /nfs/dbraw/zinc/49/85/41/525498541.db2.gz YWLVUJFXGYFJFT-UHFFFAOYSA-N 0 0 268.338 2.597 20 5 CFBDRN Cc1n[nH]cc1CCCNc1ccc([N+](=O)[O-])cc1C ZINC000050182986 525498945 /nfs/dbraw/zinc/49/89/45/525498945.db2.gz LWHCBMYMQSCQEG-UHFFFAOYSA-N 0 0 274.324 2.979 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1CC12CC2 ZINC000335132436 525554619 /nfs/dbraw/zinc/55/46/19/525554619.db2.gz OADRQODXMCWDMY-LBPRGKRZSA-N 0 0 275.308 2.886 20 5 CFBDRN Cc1ccc(S(=O)(=O)NC2(C)CCCC2)cc1[N+](=O)[O-] ZINC000049239942 525560702 /nfs/dbraw/zinc/56/07/02/525560702.db2.gz XHTXLPMWIHMHOT-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN Cc1ccn(Cc2ccc(F)c(Cl)c2)c(=O)c1[N+](=O)[O-] ZINC000336047060 525605315 /nfs/dbraw/zinc/60/53/15/525605315.db2.gz TWYLXUZXOHGSCB-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000377382366 525620692 /nfs/dbraw/zinc/62/06/92/525620692.db2.gz LFFUPPNYVVYPDN-BONVTDFDSA-N 0 0 298.298 2.847 20 5 CFBDRN CC(=O)c1ccc(OCC[C@H](C)F)c([N+](=O)[O-])c1 ZINC000505581250 534869802 /nfs/dbraw/zinc/86/98/02/534869802.db2.gz GJXARKRGRKQJLS-QMMMGPOBSA-N 0 0 255.245 2.924 20 5 CFBDRN Cc1cc2c[nH]nc2cc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000340656267 525634420 /nfs/dbraw/zinc/63/44/20/525634420.db2.gz HNGLHAXFSBECIQ-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000447284837 534870625 /nfs/dbraw/zinc/87/06/25/534870625.db2.gz LMKYKOWACWXTAR-CMPLNLGQSA-N 0 0 293.319 2.991 20 5 CFBDRN C[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)c1cn[nH]c1 ZINC000229871549 525694448 /nfs/dbraw/zinc/69/44/48/525694448.db2.gz YBPJEADUNROFDY-ZCFIWIBFSA-N 0 0 268.223 2.769 20 5 CFBDRN Cc1ccnc(NCCCSCC(C)C)c1[N+](=O)[O-] ZINC000339361478 525701860 /nfs/dbraw/zinc/70/18/60/525701860.db2.gz XLWZWTZBGXCWGC-UHFFFAOYSA-N 0 0 283.397 2.911 20 5 CFBDRN Cc1c(CNC(=O)N2[C@@H](C)CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000334074304 525713165 /nfs/dbraw/zinc/71/31/65/525713165.db2.gz MBSRODNSJWTKQQ-QWRGUYRKSA-N 0 0 291.351 2.986 20 5 CFBDRN Cc1ccncc1CN(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000360099570 525738408 /nfs/dbraw/zinc/73/84/08/525738408.db2.gz AYRLYSSAUQZPBW-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN Cc1ccncc1CN(C)c1nccc(C)c1[N+](=O)[O-] ZINC000360092758 525739218 /nfs/dbraw/zinc/73/92/18/525739218.db2.gz GKZKGVRKBSROPM-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN C[C@@](NC(=O)c1ccc([N+](=O)[O-])o1)(C1CC1)C(F)(F)F ZINC000361228993 525755821 /nfs/dbraw/zinc/75/58/21/525755821.db2.gz LLVLVZCCHXULMQ-SNVBAGLBSA-N 0 0 292.213 2.649 20 5 CFBDRN C[C@@H](O)CN(C)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000360103888 525784311 /nfs/dbraw/zinc/78/43/11/525784311.db2.gz JRDBNGMIHZJKFF-ZCFIWIBFSA-N 0 0 279.123 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC(OC(F)F)C3)c2c1 ZINC000413242649 534884420 /nfs/dbraw/zinc/88/44/20/534884420.db2.gz HVYUWQOQIUZAEX-UHFFFAOYSA-N 0 0 295.245 2.571 20 5 CFBDRN COc1cccc(C(=O)NC[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000334070208 525821984 /nfs/dbraw/zinc/82/19/84/525821984.db2.gz DOMDUCWMBNDSTD-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1c(NC(=O)N2CC[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000336308057 525844151 /nfs/dbraw/zinc/84/41/51/525844151.db2.gz SWGMHSAHCBVKNI-ZDUSSCGKSA-N 0 0 275.308 2.919 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CS[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000334823195 525851812 /nfs/dbraw/zinc/85/18/12/525851812.db2.gz UUKOPJGDEFUMLH-WCBMZHEXSA-N 0 0 295.364 2.919 20 5 CFBDRN CS[C@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049217489 525857012 /nfs/dbraw/zinc/85/70/12/525857012.db2.gz OAHHSEBDRQQLLL-RKDXNWHRSA-N 0 0 268.338 2.524 20 5 CFBDRN Cc1c(NC(=O)[C@]2(C)C[C@H]2F)cccc1[N+](=O)[O-] ZINC000335176935 525858540 /nfs/dbraw/zinc/85/85/40/525858540.db2.gz RJBANRVEQJGBNZ-ZYHUDNBSSA-N 0 0 252.245 2.590 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(C(F)(F)F)CC2)c(F)c1 ZINC000413275819 534888869 /nfs/dbraw/zinc/88/88/69/534888869.db2.gz BSNUNGBNONGZJR-UHFFFAOYSA-N 0 0 279.193 2.883 20 5 CFBDRN Cc1cn(CC2CCC(F)(F)CC2)nc1[N+](=O)[O-] ZINC000334951241 525909725 /nfs/dbraw/zinc/90/97/25/525909725.db2.gz KRNGWTOFRNQZOD-UHFFFAOYSA-N 0 0 259.256 2.925 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1Cc1c(F)cccc1Cl ZINC000071526641 525928292 /nfs/dbraw/zinc/92/82/92/525928292.db2.gz CDCQLNLSKZJHRL-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN Cc1cn2nc(NCc3ccccc3[N+](=O)[O-])sc2n1 ZINC000048562435 525956234 /nfs/dbraw/zinc/95/62/34/525956234.db2.gz WOWJPMVJCTWSGO-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2cncc(C)c2)c1 ZINC000340706485 526054178 /nfs/dbraw/zinc/05/41/78/526054178.db2.gz MGYIGFZCTLADIG-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C[C@@H]1CCCO1 ZINC000086167394 526056202 /nfs/dbraw/zinc/05/62/02/526056202.db2.gz AHJXDRGGNUSMQD-NSHDSACASA-N 0 0 278.308 2.719 20 5 CFBDRN Cc1cnc(COc2c(Cl)cccc2[N+](=O)[O-])nc1 ZINC000361016199 526057589 /nfs/dbraw/zinc/05/75/89/526057589.db2.gz YEWGYAXJPYKEQO-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CC12CC2 ZINC000335135293 526058036 /nfs/dbraw/zinc/05/80/36/526058036.db2.gz BVSSMGQKOGCHER-NSHDSACASA-N 0 0 275.308 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCCC[C@H]2CO)c1 ZINC000088724870 526063772 /nfs/dbraw/zinc/06/37/72/526063772.db2.gz WEBFGYSORAXLDP-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](CO)CC2CCC2)c1 ZINC000360109528 526063784 /nfs/dbraw/zinc/06/37/84/526063784.db2.gz XVNOKUCBSASASL-LBPRGKRZSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ccc3c[nH]nc3c2)n1 ZINC000360874709 526065529 /nfs/dbraw/zinc/06/55/29/526065529.db2.gz UVCSTZRXNADJQA-UHFFFAOYSA-N 0 0 270.248 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]2CCC[C@H]21 ZINC000336111343 526072681 /nfs/dbraw/zinc/07/26/81/526072681.db2.gz PLHPJNYEEFQGGC-SMDDNHRTSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1cn2cccnc2n1 ZINC000340670822 526084576 /nfs/dbraw/zinc/08/45/76/526084576.db2.gz ZYWBAFUZXPHSRW-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN Cc1cnc(NCCC2(F)CCC2)c([N+](=O)[O-])c1 ZINC000340882400 526090801 /nfs/dbraw/zinc/09/08/01/526090801.db2.gz SPZAGTPUCFCTDO-UHFFFAOYSA-N 0 0 253.277 2.992 20 5 CFBDRN Cc1cc(=O)n(CCO[C@H]2CCCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000128507672 526140173 /nfs/dbraw/zinc/14/01/73/526140173.db2.gz ZXWISSFLAGDQOU-FZMZJTMJSA-N 0 0 294.351 2.660 20 5 CFBDRN Cc1cncc(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000124353826 526201970 /nfs/dbraw/zinc/20/19/70/526201970.db2.gz RYNCTTLNNXOCGA-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN COc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)c1 ZINC000054057024 526203251 /nfs/dbraw/zinc/20/32/51/526203251.db2.gz OYARCSLBRANPCW-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COc1cccc(N[C@@H]2CC[C@@H](OC)C2)c1[N+](=O)[O-] ZINC000231683038 526211797 /nfs/dbraw/zinc/21/17/97/526211797.db2.gz HFYBGOYQNKQLSN-NXEZZACHSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@H]1CC(CCNc2ccc([N+](=O)[O-])nc2)C[C@H](C)O1 ZINC000338484426 526226304 /nfs/dbraw/zinc/22/63/04/526226304.db2.gz KLZNZPVZIFWXPY-QWRGUYRKSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ccnc(C)c2)cc([N+](=O)[O-])c1 ZINC000361945588 526230077 /nfs/dbraw/zinc/23/00/77/526230077.db2.gz DMXAEFKNFZBFOI-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN C[C@H]1CC=CC[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000340890687 526237205 /nfs/dbraw/zinc/23/72/05/526237205.db2.gz RFCYFUNTDXWTFG-SMDDNHRTSA-N 0 0 274.320 2.813 20 5 CFBDRN O=C([O-])[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC000050509155 526313633 /nfs/dbraw/zinc/31/36/33/526313633.db2.gz GNIDRHUWYCCBMQ-CQSZACIVSA-N 0 0 286.287 2.510 20 5 CFBDRN Cc1nnc(CNc2c(C)cccc2[N+](=O)[O-])n1C1CC1 ZINC000361044404 526345724 /nfs/dbraw/zinc/34/57/24/526345724.db2.gz IDMLGYKDPOVSRN-UHFFFAOYSA-N 0 0 287.323 2.750 20 5 CFBDRN O=C(CCCC(F)(F)F)NCc1ccccc1[N+](=O)[O-] ZINC000355977483 526416791 /nfs/dbraw/zinc/41/67/91/526416791.db2.gz GBEMUJXGTZWQQU-UHFFFAOYSA-N 0 0 290.241 2.944 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@@H]1c1ccco1 ZINC000049416961 526446299 /nfs/dbraw/zinc/44/62/99/526446299.db2.gz PGIYYMXNIMPKAT-NWDGAFQWSA-N 0 0 273.244 2.897 20 5 CFBDRN Cc1n[nH]cc1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000049516799 526557798 /nfs/dbraw/zinc/55/77/98/526557798.db2.gz ZCCHLIWHCQTAEA-UHFFFAOYSA-N 0 0 295.686 2.924 20 5 CFBDRN Cc1n[nH]cc1NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191341092 526558383 /nfs/dbraw/zinc/55/83/83/526558383.db2.gz IYXOSBSUUITVNX-UHFFFAOYSA-N 0 0 289.295 2.887 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000049295115 526586411 /nfs/dbraw/zinc/58/64/11/526586411.db2.gz GQZIUAJUQFWLKR-JTQLQIEISA-N 0 0 278.283 2.820 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@@H]1F ZINC000340799845 526605116 /nfs/dbraw/zinc/60/51/16/526605116.db2.gz PDXDAQZRRAVEFE-STQMWFEESA-N 0 0 280.299 2.534 20 5 CFBDRN CC(C)(C)[C@@H](CCO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000139600060 526614290 /nfs/dbraw/zinc/61/42/90/526614290.db2.gz PUSLFWJLKKMJBX-GFCCVEGCSA-N 0 0 295.339 2.513 20 5 CFBDRN O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CC2CCC1CC2 ZINC000313956773 526616631 /nfs/dbraw/zinc/61/66/31/526616631.db2.gz HVGZZAJCZGFCMT-UHFFFAOYSA-N 0 0 296.273 2.888 20 5 CFBDRN CN(Cc1cc(F)cc(F)c1)c1ccc([N+](=O)[O-])cn1 ZINC000433793900 536460005 /nfs/dbraw/zinc/46/00/05/536460005.db2.gz QJNMFTYTWYELHN-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@@H]2CCC[C@H]21 ZINC000336280892 526714942 /nfs/dbraw/zinc/71/49/42/526714942.db2.gz JESSHRYYPKPLHD-GXFFZTMASA-N 0 0 260.293 2.609 20 5 CFBDRN O=C(NCc1ccsc1)Nc1cccc([N+](=O)[O-])c1 ZINC000043146162 526802367 /nfs/dbraw/zinc/80/23/67/526802367.db2.gz IAZKEDYYODELFH-UHFFFAOYSA-N 0 0 277.305 2.978 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC2(C1)CCCC2 ZINC000336348856 526811606 /nfs/dbraw/zinc/81/16/06/526811606.db2.gz RUFQKJSKCXVECR-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN Cc1occc1CN(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000049253652 526823607 /nfs/dbraw/zinc/82/36/07/526823607.db2.gz PHCQRYWDVVGGCX-UHFFFAOYSA-N 0 0 280.305 2.830 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H](F)C1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000334332500 526948949 /nfs/dbraw/zinc/94/89/49/526948949.db2.gz QGFKRSOSZPQIMM-NXEZZACHSA-N 0 0 286.690 2.869 20 5 CFBDRN O=C(N[C@H](c1cccnc1)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000340394759 527009429 /nfs/dbraw/zinc/00/94/29/527009429.db2.gz NVVKBOLQFUKZNX-HNNXBMFYSA-N 0 0 297.314 2.871 20 5 CFBDRN O=C(N[C@H]1CC[C@H](F)C1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336392774 527066239 /nfs/dbraw/zinc/06/62/39/527066239.db2.gz YWZBRMGHHNGIFZ-UWVGGRQHSA-N 0 0 291.282 2.697 20 5 CFBDRN O=C(N[C@H]1CC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1Cl ZINC000336442782 527066465 /nfs/dbraw/zinc/06/64/65/527066465.db2.gz PVSJIODGFAFVMQ-YUMQZZPRSA-N 0 0 286.690 2.869 20 5 CFBDRN O=C(Nc1cc(F)cc(F)c1F)c1cc([N+](=O)[O-])c[nH]1 ZINC000334203096 527122878 /nfs/dbraw/zinc/12/28/78/527122878.db2.gz PKOLKSYJUWUXOA-UHFFFAOYSA-N 0 0 285.181 2.593 20 5 CFBDRN O=C(Nc1ccc(Cl)cc1F)c1ccc([N+](=O)[O-])[nH]1 ZINC000336029440 527186281 /nfs/dbraw/zinc/18/62/81/527186281.db2.gz CSWVJHWXIXABGR-UHFFFAOYSA-N 0 0 283.646 2.968 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000413382679 527215503 /nfs/dbraw/zinc/21/55/03/527215503.db2.gz ZHXPTZFKZSKNOF-CABZTGNLSA-N 0 0 278.308 2.777 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CCC[C@@H]1F ZINC000334632741 527261490 /nfs/dbraw/zinc/26/14/90/527261490.db2.gz VIDHGLFAQGOFKK-WCBMZHEXSA-N 0 0 285.250 2.746 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@H]1c1ccccc1 ZINC000339203995 527313506 /nfs/dbraw/zinc/31/35/06/527313506.db2.gz XLVFPGHPAZAYHG-HNNXBMFYSA-N 0 0 297.314 2.885 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H](O)c1ccsc1 ZINC000218922963 527319851 /nfs/dbraw/zinc/31/98/51/527319851.db2.gz LSTKOXXQNXCTIY-LLVKDONJSA-N 0 0 282.296 2.941 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCc1ccncc1 ZINC000048262647 527320421 /nfs/dbraw/zinc/32/04/21/527320421.db2.gz RLHDXXTXJCWGKR-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc(N3CCSCC3)n2)c1 ZINC000338419599 527323162 /nfs/dbraw/zinc/32/31/62/527323162.db2.gz ALTSHRPPEKMOOM-UHFFFAOYSA-N 0 0 296.377 2.600 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1C[C@H]1C1CCCCC1 ZINC000340926023 527324807 /nfs/dbraw/zinc/32/48/07/527324807.db2.gz OOEDMDOBRBNOEA-WCQYABFASA-N 0 0 261.325 2.792 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1C[C@H]1c1c(F)cccc1F ZINC000340892654 527324996 /nfs/dbraw/zinc/32/49/96/527324996.db2.gz FXBCGJOMVMMCGF-PELKAZGASA-N 0 0 291.257 2.658 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ccc(C(F)F)cc1 ZINC000361003094 527325360 /nfs/dbraw/zinc/32/53/60/527325360.db2.gz RMRAKDDUHQJELV-UHFFFAOYSA-N 0 0 279.246 2.961 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1C[C@H]1C1CC1 ZINC000362365692 527335739 /nfs/dbraw/zinc/33/57/39/527335739.db2.gz PODFFLDCPHTAES-IUCAKERBSA-N 0 0 253.689 2.854 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1Cc2ccccc2[C@H]1O ZINC000170982471 527338258 /nfs/dbraw/zinc/33/82/58/527338258.db2.gz AOMIIEBSWVEVTF-DZGCQCFKSA-N 0 0 288.278 2.804 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1ccc(CO)cc1 ZINC000168691868 527338629 /nfs/dbraw/zinc/33/86/29/527338629.db2.gz PDWBTKGBTUZDKV-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCCCCF)s1 ZINC000311121033 527341616 /nfs/dbraw/zinc/34/16/16/527341616.db2.gz ORAUENZHXITWDG-UHFFFAOYSA-N 0 0 270.289 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nc(-c3cnccn3)no2)cc1 ZINC000084509788 527343946 /nfs/dbraw/zinc/34/39/46/527343946.db2.gz ATVFPXXMCUZEJF-ZZXKWVIFSA-N 0 0 295.258 2.605 20 5 CFBDRN CC(C)(O)CNc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000096474698 527352080 /nfs/dbraw/zinc/35/20/80/527352080.db2.gz WBNUNSXJQWHSBU-UHFFFAOYSA-N 0 0 278.230 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@H]1CCO[C@H]1C1CC1 ZINC000228723308 527358078 /nfs/dbraw/zinc/35/80/78/527358078.db2.gz BVGWGMKCPZNKJY-GWCFXTLKSA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(N(C[C@H]2CCOC2)C2CC2)cc1 ZINC000167538986 527358503 /nfs/dbraw/zinc/35/85/03/527358503.db2.gz ITEILVXNZJZYEP-LLVKDONJSA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2CCCCO2)nc1 ZINC000159767203 527376982 /nfs/dbraw/zinc/37/69/82/527376982.db2.gz WNXTZEVXNLJVCY-SNVBAGLBSA-N 0 0 254.311 2.651 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@H](CO)c3ccccc3)nc2c1 ZINC000316945041 527379234 /nfs/dbraw/zinc/37/92/34/527379234.db2.gz GELHVZSVUMLGHZ-AWEZNQCLSA-N 0 0 298.302 2.617 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(-c3ccco3)no2)c1 ZINC000340661677 527383669 /nfs/dbraw/zinc/38/36/69/527383669.db2.gz XENYWMLRFBWDCZ-UHFFFAOYSA-N 0 0 257.205 2.905 20 5 CFBDRN O=[N+]([O-])c1cccc(CCc2nc(-c3ccccn3)no2)c1 ZINC000128995503 527385710 /nfs/dbraw/zinc/38/57/10/527385710.db2.gz OGKPCPKNOULENN-UHFFFAOYSA-N 0 0 296.286 2.825 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000364721155 527386122 /nfs/dbraw/zinc/38/61/22/527386122.db2.gz GQCJKJGCILRMFC-CYBMUJFWSA-N 0 0 272.308 2.655 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN(CCO)C1CCC1 ZINC000221168197 527388135 /nfs/dbraw/zinc/38/81/35/527388135.db2.gz SJGGZHCMNXQPKO-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1cccc(COc2ccccc2CCO)c1 ZINC000227835697 527388565 /nfs/dbraw/zinc/38/85/65/527388565.db2.gz KMBZUOIMFVLCGD-UHFFFAOYSA-N 0 0 273.288 2.709 20 5 CFBDRN O=[N+]([O-])c1cccc(S(=O)(=O)Cc2ccc(F)cc2)c1 ZINC000337886822 527393213 /nfs/dbraw/zinc/39/32/13/527393213.db2.gz DRURMKWIDIJHGH-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3CC[C@H](F)C3)c21 ZINC000340942254 527395415 /nfs/dbraw/zinc/39/54/15/527395415.db2.gz DHEFMQGHSHTLOR-IUCAKERBSA-N 0 0 276.271 2.841 20 5 CFBDRN Cn1cccc1CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000075356094 527397617 /nfs/dbraw/zinc/39/76/17/527397617.db2.gz MOVXWCSKTCGRFI-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2CCc3ccccc3C2)cn1 ZINC000336366352 527408160 /nfs/dbraw/zinc/40/81/60/527408160.db2.gz DINHDKKQHBKMQX-NSHDSACASA-N 0 0 257.293 2.596 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1cccc(F)c1Cl ZINC000338547457 527408480 /nfs/dbraw/zinc/40/84/80/527408480.db2.gz UZXYZTKPCYXYOU-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCO[C@H]2C2CC2)c(Cl)c1 ZINC000230307870 527412690 /nfs/dbraw/zinc/41/26/90/527412690.db2.gz BEDMFXDJIWATDB-QWRGUYRKSA-N 0 0 283.715 2.623 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@H]1CCC(F)(F)C1 ZINC000336254329 527454666 /nfs/dbraw/zinc/45/46/66/527454666.db2.gz XQLLBEHMJGIBGI-ZETCQYMHSA-N 0 0 292.669 2.845 20 5 CFBDRN O=C(NC1(C(F)F)CCCCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000353346011 527470931 /nfs/dbraw/zinc/47/09/31/527470931.db2.gz ACUJODNDHDSARC-UHFFFAOYSA-N 0 0 287.266 2.621 20 5 CFBDRN Cc1ncsc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360524154 527480765 /nfs/dbraw/zinc/48/07/65/527480765.db2.gz FWAOZODCUFROIM-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@@H]1CF)c1ccc([N+](=O)[O-])cc1 ZINC000294123688 527507067 /nfs/dbraw/zinc/50/70/67/527507067.db2.gz KITZYPDRSCSBMS-CYBMUJFWSA-N 0 0 294.326 2.833 20 5 CFBDRN O=C(NCCCCF)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000360510723 527648735 /nfs/dbraw/zinc/64/87/35/527648735.db2.gz XMARUHVXXARVOA-UHFFFAOYSA-N 0 0 274.679 2.728 20 5 CFBDRN Cc1nn(C)c(NCCC2C[C@@H](C)O[C@H](C)C2)c1[N+](=O)[O-] ZINC000338487214 527678497 /nfs/dbraw/zinc/67/84/97/527678497.db2.gz JSFXJZYPFKWATR-NXEZZACHSA-N 0 0 296.371 2.642 20 5 CFBDRN Cc1nn(C)c(NCCO[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000052280823 527678841 /nfs/dbraw/zinc/67/88/41/527678841.db2.gz OJYYRYOVCLYBOM-JQWIXIFHSA-N 0 0 296.371 2.644 20 5 CFBDRN Cc1nn(C)c(NCc2cccc(C)c2)c1[N+](=O)[O-] ZINC000048039081 527678910 /nfs/dbraw/zinc/67/89/10/527678910.db2.gz ABQUUFAMNZGHTK-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1nn(C)c(NCc2ccc(Cl)s2)c1[N+](=O)[O-] ZINC000049320816 527678985 /nfs/dbraw/zinc/67/89/85/527678985.db2.gz ULOPRFKHUWRHGY-UHFFFAOYSA-N 0 0 286.744 2.964 20 5 CFBDRN CC(C)[C@H](O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413149370 527742713 /nfs/dbraw/zinc/74/27/13/527742713.db2.gz BKEAITCTMLSUBF-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN CCC1(NC(=O)CCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000154854200 527777891 /nfs/dbraw/zinc/77/78/91/527777891.db2.gz OWQBQPZXGQNDPN-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN CCOC(=O)[C@@H](CC)Sc1ncc([N+](=O)[O-])cc1F ZINC000413184022 527779595 /nfs/dbraw/zinc/77/95/95/527779595.db2.gz MZAIUYUZLQTCSS-SECBINFHSA-N 0 0 288.300 2.563 20 5 CFBDRN CC(C)OC(=O)c1cc(Br)cc([N+](=O)[O-])c1 ZINC000153366899 527865131 /nfs/dbraw/zinc/86/51/31/527865131.db2.gz HAWWWPUQCVDQNJ-UHFFFAOYSA-N 0 0 288.097 2.923 20 5 CFBDRN CC(C)OCCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413239829 527978908 /nfs/dbraw/zinc/97/89/08/527978908.db2.gz UHUBZYGTKBASOG-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN CCCC1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2N)CC1 ZINC000160499434 528059691 /nfs/dbraw/zinc/05/96/91/528059691.db2.gz QRBGVKOZNXUKAR-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000413389738 528087552 /nfs/dbraw/zinc/08/75/52/528087552.db2.gz VTBPXDLWHWBJQC-DTORHVGOSA-N 0 0 283.303 2.684 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000413382800 528087632 /nfs/dbraw/zinc/08/76/32/528087632.db2.gz AENIZLVOCXQYLH-PHIMTYICSA-N 0 0 250.298 2.963 20 5 CFBDRN CC(C)Oc1cc(C(=O)N(C)CC2CC2)ccc1[N+](=O)[O-] ZINC000411507869 528098058 /nfs/dbraw/zinc/09/80/58/528098058.db2.gz JFQSYGOGAZSZFF-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000413389168 528105514 /nfs/dbraw/zinc/10/55/14/528105514.db2.gz SZMWRFHKRQUSCZ-TXEJJXNPSA-N 0 0 278.308 2.777 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000413385557 528105985 /nfs/dbraw/zinc/10/59/85/528105985.db2.gz IFWSSPPBXNNWMJ-PHIMTYICSA-N 0 0 266.297 2.583 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000413385832 528106634 /nfs/dbraw/zinc/10/66/34/528106634.db2.gz ITBFJJSLHRPBKQ-PHIMTYICSA-N 0 0 250.298 2.883 20 5 CFBDRN CCCCCOC1CN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000428144123 528222745 /nfs/dbraw/zinc/22/27/45/528222745.db2.gz GNHVBEFIBMSXJM-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN CCOc1cc(NCCOC(C)C)ccc1[N+](=O)[O-] ZINC000168654771 528326822 /nfs/dbraw/zinc/32/68/22/528326822.db2.gz AWNIKSXZZVAASN-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CC23CC3)c1 ZINC000416041563 528611826 /nfs/dbraw/zinc/61/18/26/528611826.db2.gz DRWVSFCYSUDWOB-JTQLQIEISA-N 0 0 290.319 2.523 20 5 CFBDRN CCOCCCCNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421440119 528654700 /nfs/dbraw/zinc/65/47/00/528654700.db2.gz AAUJVCCJIXZAAF-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN CCCC[C@@H](C(=O)OC)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000173200170 528747657 /nfs/dbraw/zinc/74/76/57/528747657.db2.gz UYEZBQVARPEKLO-AWEZNQCLSA-N 0 0 294.351 2.758 20 5 CFBDRN CCC(CC)CNC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000104552643 528761271 /nfs/dbraw/zinc/76/12/71/528761271.db2.gz HOOIYPBXKWQCAD-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CCOC[C@@H]1CCCCN1c1ncc([N+](=O)[O-])cc1F ZINC000413419929 528918389 /nfs/dbraw/zinc/91/83/89/528918389.db2.gz HXRMGGOQZAEGGH-JTQLQIEISA-N 0 0 283.303 2.524 20 5 CFBDRN CCC(O)(CC)COc1ccc([N+](=O)[O-])cc1F ZINC000165226921 528978740 /nfs/dbraw/zinc/97/87/40/528978740.db2.gz RCGMYINWGJMYJB-UHFFFAOYSA-N 0 0 257.261 2.664 20 5 CFBDRN CC1(C)C[C@@H](CNc2ccc([N+](=O)[O-])c(N)c2F)CCO1 ZINC000413342725 529136346 /nfs/dbraw/zinc/13/63/46/529136346.db2.gz WDFYMLYHVDCWPL-VIFPVBQESA-N 0 0 297.330 2.933 20 5 CFBDRN CCSCC[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000173292423 529188225 /nfs/dbraw/zinc/18/82/25/529188225.db2.gz FWOZCAPVOQBNQQ-SNVBAGLBSA-N 0 0 282.365 2.856 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@H](O)C(C)C ZINC000413542983 529210041 /nfs/dbraw/zinc/21/00/41/529210041.db2.gz FIXXDYHFGKBDRT-ZWNOBZJWSA-N 0 0 267.329 2.506 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2nn(CC)cc2[N+](=O)[O-])C1 ZINC000191630634 529231351 /nfs/dbraw/zinc/23/13/51/529231351.db2.gz OUDUQWNESSXALT-QWRGUYRKSA-N 0 0 294.355 2.510 20 5 CFBDRN CC[C@H]1COCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000174188422 529307929 /nfs/dbraw/zinc/30/79/29/529307929.db2.gz WODQKBCLOWMASE-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCO1 ZINC000421672907 529319954 /nfs/dbraw/zinc/31/99/54/529319954.db2.gz VXLHQIPTORAAOM-JSGCOSHPSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H](C)[N@H+](C/C=C/c1ccccc1[N+](=O)[O-])CC(=O)[O-] ZINC000414682412 529345763 /nfs/dbraw/zinc/34/57/63/529345763.db2.gz NXFVJIHYYYWPEA-WAFBPQNNSA-N 0 0 292.335 2.793 20 5 CFBDRN CC[C@H](CNc1ncc([N+](=O)[O-])cc1C(F)(F)F)OC ZINC000413307049 529373864 /nfs/dbraw/zinc/37/38/64/529373864.db2.gz GNKYVBICSVHTAX-MRVPVSSYSA-N 0 0 293.245 2.846 20 5 CFBDRN CC1(C)Cc2cccc(Cn3nccc3[N+](=O)[O-])c2O1 ZINC000450808201 534956430 /nfs/dbraw/zinc/95/64/30/534956430.db2.gz HZXDCPPEGNBJFP-UHFFFAOYSA-N 0 0 273.292 2.553 20 5 CFBDRN CO[C@@H]([C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000454330420 536549162 /nfs/dbraw/zinc/54/91/62/536549162.db2.gz IVYNIXALXJASTA-ZANVPECISA-N 0 0 293.323 2.530 20 5 CFBDRN CC1(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1 ZINC000492071809 535122501 /nfs/dbraw/zinc/12/25/01/535122501.db2.gz YMZCRXJOBMXABP-QPJJXVBHSA-N 0 0 260.293 2.524 20 5 CFBDRN CC(C)[C@H]1CCC[C@@H](Nc2c([N+](=O)[O-])ncn2C)C1 ZINC000505582947 535186097 /nfs/dbraw/zinc/18/60/97/535186097.db2.gz XLUHDMMSBFSINB-WDEREUQCSA-N 0 0 266.345 2.955 20 5 CFBDRN CC1(C)CC(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000507477231 535281397 /nfs/dbraw/zinc/28/13/97/535281397.db2.gz IOAOWIPYZAYCCC-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN CC(C)OC(=O)CC[C@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000359905373 535300662 /nfs/dbraw/zinc/30/06/62/535300662.db2.gz UJJMCOFEKFXXCE-JTQLQIEISA-N 0 0 281.312 2.522 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)CC2CCCC2)c1[N+](=O)[O-] ZINC000269388819 535319467 /nfs/dbraw/zinc/31/94/67/535319467.db2.gz LFVWKRNAHPHVEW-UHFFFAOYSA-N 0 0 294.355 2.704 20 5 CFBDRN CCC(=O)c1cccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000434461647 535347238 /nfs/dbraw/zinc/34/72/38/535347238.db2.gz ZGVXONBLIJYCSH-UHFFFAOYSA-N 0 0 287.275 2.768 20 5 CFBDRN CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000249695889 535673580 /nfs/dbraw/zinc/67/35/80/535673580.db2.gz RTYYKYPAXOQULK-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])n1C)C1CCCC1 ZINC000456690397 535880364 /nfs/dbraw/zinc/88/03/64/535880364.db2.gz KPVZVIZVPDBQQE-NSHDSACASA-N 0 0 279.340 2.632 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000435826848 536046990 /nfs/dbraw/zinc/04/69/90/536046990.db2.gz NDVGCOQPJXGAQO-HNNXBMFYSA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)N(C)Cc2ccccc2[N+](=O)[O-])O1 ZINC000268370531 536088295 /nfs/dbraw/zinc/08/82/95/536088295.db2.gz VZMGFTADCRPHKC-JSGCOSHPSA-N 0 0 292.335 2.511 20 5 CFBDRN CC[C@H](C)CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000191857062 536115542 /nfs/dbraw/zinc/11/55/42/536115542.db2.gz YGKXVHBTGWMJSA-JTQLQIEISA-N 0 0 262.309 2.920 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000459971055 536143777 /nfs/dbraw/zinc/14/37/77/536143777.db2.gz WQCIGHDQJZNTCJ-QMMMGPOBSA-N 0 0 284.287 2.613 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)C[C@@H](C)O1 ZINC000286431633 536161538 /nfs/dbraw/zinc/16/15/38/536161538.db2.gz BQGRQSOBGFIJCZ-MFKMUULPSA-N 0 0 292.335 2.801 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@](C)(CC)OC)cc1[N+](=O)[O-] ZINC000452725516 536165493 /nfs/dbraw/zinc/16/54/93/536165493.db2.gz KMNMPUZZKUSYRY-OAHLLOKOSA-N 0 0 294.351 2.702 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000465574020 536174673 /nfs/dbraw/zinc/17/46/73/536174673.db2.gz OUCYSRZQLAIYMU-AAEUAGOBSA-N 0 0 291.351 2.925 20 5 CFBDRN COC(=O)[C@H](C)S[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000267102267 536639403 /nfs/dbraw/zinc/63/94/03/536639403.db2.gz ZKYYGRSYSQRJLQ-BDAKNGLRSA-N 0 0 269.322 2.951 20 5 CFBDRN COC[C@]1(C)CCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000450607125 536676516 /nfs/dbraw/zinc/67/65/16/536676516.db2.gz BBAPVXZOFRCUCP-CQSZACIVSA-N 0 0 282.315 2.905 20 5 CFBDRN COc1ccc(NC(=O)/C=C\c2cccnc2)cc1[N+](=O)[O-] ZINC000492456216 536716514 /nfs/dbraw/zinc/71/65/14/536716514.db2.gz LQQFTNKFAQXZJP-DAXSKMNVSA-N 0 0 299.286 2.650 20 5 CFBDRN COC[C@@]1(C)CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000450612698 536824776 /nfs/dbraw/zinc/82/47/76/536824776.db2.gz KONIGYOJAXYSIL-HNNXBMFYSA-N 0 0 292.335 2.660 20 5 CFBDRN COc1ccncc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000491368863 537120970 /nfs/dbraw/zinc/12/09/70/537120970.db2.gz SLPVLCOAXBXXHK-QPJJXVBHSA-N 0 0 299.286 2.650 20 5 CFBDRN CC(C)C1CN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935063354 649870604 /nfs/dbraw/zinc/87/06/04/649870604.db2.gz CABMLUCSJJFQOD-HUUCEWRRSA-N 0 0 288.347 2.813 20 5 CFBDRN O=C([C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCC(F)(F)C1 ZINC000935257656 649892565 /nfs/dbraw/zinc/89/25/65/649892565.db2.gz UVNXWPAQMJSCAN-NEPJUHHUSA-N 0 0 296.273 2.566 20 5 CFBDRN CCN(CC(F)F)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935370533 649902167 /nfs/dbraw/zinc/90/21/67/649902167.db2.gz PQGMSPQEAVJMJK-RYUDHWBXSA-N 0 0 298.289 2.812 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC(F)(F)C(F)F ZINC000736438466 574053873 /nfs/dbraw/zinc/05/38/73/574053873.db2.gz RTKRRTIVWYIHMP-UHFFFAOYSA-N 0 0 283.177 2.883 20 5 CFBDRN CCC[C@H](O)CC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000740977811 574065916 /nfs/dbraw/zinc/06/59/16/574065916.db2.gz CQDQUZVYEVMYHQ-MFKMUULPSA-N 0 0 281.308 2.750 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@H]1O ZINC000754063943 574067978 /nfs/dbraw/zinc/06/79/78/574067978.db2.gz DIECBPWQKDMVLE-ZWNOBZJWSA-N 0 0 296.342 2.967 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@H]1O ZINC000754063939 574068185 /nfs/dbraw/zinc/06/81/85/574068185.db2.gz DIECBPWQKDMVLE-GXFFZTMASA-N 0 0 296.342 2.967 20 5 CFBDRN O=C(OCCC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000756065613 574073138 /nfs/dbraw/zinc/07/31/38/574073138.db2.gz GUXMTICWKJPDPB-ZDUSSCGKSA-N 0 0 279.292 2.711 20 5 CFBDRN CC/C=C\COC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000727954645 574074735 /nfs/dbraw/zinc/07/47/35/574074735.db2.gz CIVLRZADZQTMKF-PLNGDYQASA-N 0 0 279.292 2.655 20 5 CFBDRN CC(C)C(C)(C)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000728004651 574077304 /nfs/dbraw/zinc/07/73/04/574077304.db2.gz OKYAPTFOHQYSSV-UHFFFAOYSA-N 0 0 298.314 2.664 20 5 CFBDRN CSCCCOC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000744530768 574079380 /nfs/dbraw/zinc/07/93/80/574079380.db2.gz WWMNRVGZZZBWCE-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN O=C(OCCOCC1CC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000744622131 574082180 /nfs/dbraw/zinc/08/21/80/574082180.db2.gz NVXWWBTUIDMRKM-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN CSCCCOC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000744626051 574082597 /nfs/dbraw/zinc/08/25/97/574082597.db2.gz PEUVXSWJXXZBOB-UHFFFAOYSA-N 0 0 298.364 2.571 20 5 CFBDRN CS[C@@H](C)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000728167845 574083422 /nfs/dbraw/zinc/08/34/22/574083422.db2.gz VRTYFWHHCMUWCD-IUCAKERBSA-N 0 0 269.322 2.951 20 5 CFBDRN C[C@H]1OCC[C@H]1Sc1nc2ccccn2c1[N+](=O)[O-] ZINC000754075736 574088231 /nfs/dbraw/zinc/08/82/31/574088231.db2.gz NINMMNFEYYTJRI-RKDXNWHRSA-N 0 0 279.321 2.512 20 5 CFBDRN O=C(COC(=O)c1csc([N+](=O)[O-])c1)C1CCCC1 ZINC000744795378 574088442 /nfs/dbraw/zinc/08/84/42/574088442.db2.gz DUPPWHCIZZDJCY-UHFFFAOYSA-N 0 0 283.305 2.572 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000756357021 574097519 /nfs/dbraw/zinc/09/75/19/574097519.db2.gz ABJVFHOOAPRDBQ-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1nnsc1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000754548307 574102342 /nfs/dbraw/zinc/10/23/42/574102342.db2.gz QCGOPSKHIJZHNV-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN COCC[C@H](C)OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000754585236 574105696 /nfs/dbraw/zinc/10/56/96/574105696.db2.gz QWZHDGDEOLLCLS-OFSNVTPPSA-N 0 0 279.292 2.576 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000754594218 574106880 /nfs/dbraw/zinc/10/68/80/574106880.db2.gz AOWDDLLURZNZRL-VIFPVBQESA-N 0 0 299.348 2.899 20 5 CFBDRN CN(Cc1cn[nH]c1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000754657716 574111175 /nfs/dbraw/zinc/11/11/75/574111175.db2.gz DNRNRKOKXJMGCH-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN COc1ccc(COC(=O)CC2CCC2)cc1[N+](=O)[O-] ZINC000745570132 574118555 /nfs/dbraw/zinc/11/85/55/574118555.db2.gz QROVWBWUOAXRGW-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN O=C(OC/C=C/Cl)c1ccc([N+](=O)[O-])c(F)c1 ZINC000731519167 574122392 /nfs/dbraw/zinc/12/23/92/574122392.db2.gz QQJPDNNAXXIXPS-DAFODLJHSA-N 0 0 259.620 2.643 20 5 CFBDRN C[C@@H](OC(=O)c1ccncc1)c1cccc([N+](=O)[O-])c1 ZINC000728857398 574123133 /nfs/dbraw/zinc/12/31/33/574123133.db2.gz ODRZQLMYVGSHQH-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN CCC(CC)OC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000754876641 574126602 /nfs/dbraw/zinc/12/66/02/574126602.db2.gz ADNSHDAOJYRDSF-UHFFFAOYSA-N 0 0 252.270 2.522 20 5 CFBDRN Nc1ccc(C(=O)OCc2csc([N+](=O)[O-])c2)c(F)c1 ZINC000754952259 574129319 /nfs/dbraw/zinc/12/93/19/574129319.db2.gz DIZDJFNPIVMRKL-UHFFFAOYSA-N 0 0 296.279 2.735 20 5 CFBDRN O=C(OCC(F)F)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754983969 574130970 /nfs/dbraw/zinc/13/09/70/574130970.db2.gz PHESQCCFULGMEX-UHFFFAOYSA-N 0 0 283.589 2.809 20 5 CFBDRN CC(C)CN(Cc1ccccc1)Cn1nccc1[N+](=O)[O-] ZINC000746061602 574132112 /nfs/dbraw/zinc/13/21/12/574132112.db2.gz GTHPZCKICNPXTJ-UHFFFAOYSA-N 0 0 288.351 2.907 20 5 CFBDRN CC(C)OCC(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746072436 574132722 /nfs/dbraw/zinc/13/27/22/574132722.db2.gz IDUVVJDDRDWDHS-UHFFFAOYSA-N 0 0 287.699 2.716 20 5 CFBDRN Cc1cc(NC[C@H]2CC2(Cl)Cl)ncc1[N+](=O)[O-] ZINC000746389985 574147308 /nfs/dbraw/zinc/14/73/08/574147308.db2.gz YKMLBMOXMGVUOK-SSDOTTSWSA-N 0 0 276.123 2.904 20 5 CFBDRN COc1ccnc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000755251381 574150317 /nfs/dbraw/zinc/15/03/17/574150317.db2.gz VUJHTRLLKJKYMD-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCCC1CCC1 ZINC000755266216 574150618 /nfs/dbraw/zinc/15/06/18/574150618.db2.gz PGUYTIKKNBIUSJ-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN C[C@@H](OC(=O)c1cncc(O)c1)c1cccc([N+](=O)[O-])c1 ZINC000732394304 574154394 /nfs/dbraw/zinc/15/43/94/574154394.db2.gz KLYVVHZMYDWNDB-SECBINFHSA-N 0 0 288.259 2.613 20 5 CFBDRN CCCCCCNC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755340862 574155042 /nfs/dbraw/zinc/15/50/42/574155042.db2.gz XJJNYTZIWYBFNY-UHFFFAOYSA-N 0 0 280.324 2.914 20 5 CFBDRN COCCCCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000746784033 574166224 /nfs/dbraw/zinc/16/62/24/574166224.db2.gz AEIIONOCZMXETN-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000732797733 574172267 /nfs/dbraw/zinc/17/22/67/574172267.db2.gz SOFUPIMNASINFK-VVEJJEBESA-N 0 0 274.320 2.770 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000732797732 574172374 /nfs/dbraw/zinc/17/23/74/574172374.db2.gz SOFUPIMNASINFK-JECSTDCCSA-N 0 0 274.320 2.770 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C(C)C)C2)c(N)c([N+](=O)[O-])c1 ZINC000755624922 574172738 /nfs/dbraw/zinc/17/27/38/574172738.db2.gz YTUNGROTSHPRJX-LLVKDONJSA-N 0 0 291.351 2.604 20 5 CFBDRN Cc1ncsc1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746961996 574172989 /nfs/dbraw/zinc/17/29/89/574172989.db2.gz RRBHJVOIPOFEDC-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746964832 574173607 /nfs/dbraw/zinc/17/36/07/574173607.db2.gz RDHWFFOJSDXDCP-JTQLQIEISA-N 0 0 299.298 2.838 20 5 CFBDRN O=C(/C=C/C1CC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000746992041 574174902 /nfs/dbraw/zinc/17/49/02/574174902.db2.gz FKAXXSJXNBKJTO-ZZXKWVIFSA-N 0 0 265.240 2.743 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000746992364 574174938 /nfs/dbraw/zinc/17/49/38/574174938.db2.gz BDZQHYMVTJRAEQ-ZCFIWIBFSA-N 0 0 295.188 2.976 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCC(C)(C)OC ZINC000755659673 574176371 /nfs/dbraw/zinc/17/63/71/574176371.db2.gz ZCGKIFLMOLWFOO-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCCF ZINC000755694096 574178410 /nfs/dbraw/zinc/17/84/10/574178410.db2.gz VVOAQHWUMJPVBR-CMDGGOBGSA-N 0 0 253.229 2.511 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccon1 ZINC000755756822 574184819 /nfs/dbraw/zinc/18/48/19/574184819.db2.gz RPYWHPZXXNWWSD-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)C1CC1 ZINC000755763060 574185249 /nfs/dbraw/zinc/18/52/49/574185249.db2.gz OVWSEUGAXJGYSC-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN CC(C)n1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2)n1 ZINC000733087810 574188719 /nfs/dbraw/zinc/18/87/19/574188719.db2.gz DLHUWRMCVMQKGU-UHFFFAOYSA-N 0 0 275.264 2.591 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cocn1 ZINC000729307667 574196638 /nfs/dbraw/zinc/19/66/38/574196638.db2.gz VBKRJBKVPBDPIP-UHFFFAOYSA-N 0 0 295.682 2.508 20 5 CFBDRN O=Cc1ccc(Oc2cccnc2[N+](=O)[O-])c(F)c1 ZINC000755929386 574199061 /nfs/dbraw/zinc/19/90/61/574199061.db2.gz VHLMGLWXPBPNJS-UHFFFAOYSA-N 0 0 262.196 2.734 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000747600156 574202917 /nfs/dbraw/zinc/20/29/17/574202917.db2.gz RAAZBDBWGIWMJB-LLVKDONJSA-N 0 0 269.322 2.780 20 5 CFBDRN C[C@H]1CC(OC(=O)Cc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000756039069 574206047 /nfs/dbraw/zinc/20/60/47/574206047.db2.gz QSIKNBSBAZFHHZ-QWRGUYRKSA-N 0 0 293.319 2.637 20 5 CFBDRN CC(C)[C@H](C)N(C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000733188047 574209248 /nfs/dbraw/zinc/20/92/48/574209248.db2.gz XZDNVQRCCCRZME-JTQLQIEISA-N 0 0 262.313 2.723 20 5 CFBDRN Cc1ncoc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000747819718 574214484 /nfs/dbraw/zinc/21/44/84/574214484.db2.gz IWRKWUSRLHDZPQ-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN Cc1c(Cn2ccc(=O)cc2Cl)cccc1[N+](=O)[O-] ZINC000747856793 574216121 /nfs/dbraw/zinc/21/61/21/574216121.db2.gz CFGNFNZYCLJSAU-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN CSCCC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000729473613 574218661 /nfs/dbraw/zinc/21/86/61/574218661.db2.gz NKFKXQWRHORLNQ-SECBINFHSA-N 0 0 269.322 2.952 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000739629491 574220857 /nfs/dbraw/zinc/22/08/57/574220857.db2.gz CIXVLRMEXWXCSU-JTQLQIEISA-N 0 0 298.314 2.521 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1ccc(C)cc1 ZINC000747960272 574222123 /nfs/dbraw/zinc/22/21/23/574222123.db2.gz YOOQPIPDQIKGRK-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000756341277 574226640 /nfs/dbraw/zinc/22/66/40/574226640.db2.gz OHXTXERBACMDBV-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN Cn1ccc(CNCc2cc([N+](=O)[O-])ccc2Cl)c1 ZINC000748098885 574229246 /nfs/dbraw/zinc/22/92/46/574229246.db2.gz QVBRETKQQXYICJ-UHFFFAOYSA-N 0 0 279.727 2.877 20 5 CFBDRN CCC[C@H](C)OC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000748102923 574229428 /nfs/dbraw/zinc/22/94/28/574229428.db2.gz UHQYULRNZBNJHJ-JTQLQIEISA-N 0 0 267.281 2.705 20 5 CFBDRN C[C@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000748139794 574231578 /nfs/dbraw/zinc/23/15/78/574231578.db2.gz CTYSBRHYHRMKON-QMMMGPOBSA-N 0 0 267.256 2.823 20 5 CFBDRN CC[C@H](C)COC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000756394614 574233620 /nfs/dbraw/zinc/23/36/20/574233620.db2.gz WYSQFBOYWTVQCN-VIFPVBQESA-N 0 0 285.271 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC[C@H]1CCCC1=O ZINC000748181318 574235695 /nfs/dbraw/zinc/23/56/95/574235695.db2.gz GHALIJJGNPWYLZ-LLVKDONJSA-N 0 0 291.303 2.819 20 5 CFBDRN COc1ccc(CC(=O)O[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000733482570 574243213 /nfs/dbraw/zinc/24/32/13/574243213.db2.gz XWJRCSNJBNTKBF-LBPRGKRZSA-N 0 0 291.303 2.798 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)O[C@H]1CCCCC1=O ZINC000756526342 574243831 /nfs/dbraw/zinc/24/38/31/574243831.db2.gz IXWOKZSPGBBBDO-AWEZNQCLSA-N 0 0 291.303 2.582 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000733577900 574246472 /nfs/dbraw/zinc/24/64/72/574246472.db2.gz KRNBBKUUYUZTIP-LLVKDONJSA-N 0 0 281.308 2.809 20 5 CFBDRN COC[C@@H](C)OC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000733708573 574253300 /nfs/dbraw/zinc/25/33/00/574253300.db2.gz OPTOTTPRSFJAQF-MRVPVSSYSA-N 0 0 285.321 2.508 20 5 CFBDRN COCCCCCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000748474062 574253792 /nfs/dbraw/zinc/25/37/92/574253792.db2.gz JOZBYNQWDSXROU-UHFFFAOYSA-N 0 0 295.335 2.806 20 5 CFBDRN Cc1c(CC(=O)OC[Si](C)(C)C)cccc1[N+](=O)[O-] ZINC000748470894 574254106 /nfs/dbraw/zinc/25/41/06/574254106.db2.gz JWNABQQYROYXNM-UHFFFAOYSA-N 0 0 281.384 2.866 20 5 CFBDRN C[C@H](OC(=O)C[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000740541375 574254686 /nfs/dbraw/zinc/25/46/86/574254686.db2.gz HYFRLJKGADAPBF-QWRGUYRKSA-N 0 0 279.292 2.626 20 5 CFBDRN Cc1nc(SCCn2ccc([N+](=O)[O-])n2)sc1C ZINC000748627953 574261528 /nfs/dbraw/zinc/26/15/28/574261528.db2.gz NAJREFKJTSVNTA-UHFFFAOYSA-N 0 0 284.366 2.657 20 5 CFBDRN O=C(NCc1ccnc2ccccc12)c1ccc([N+](=O)[O-])o1 ZINC000748724378 574263591 /nfs/dbraw/zinc/26/35/91/574263591.db2.gz FMGBQFYMOBFRAQ-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN C[C@](O)(CNc1ccsc1[N+](=O)[O-])c1ccccc1 ZINC000733993597 574267821 /nfs/dbraw/zinc/26/78/21/574267821.db2.gz ZVCSDNDWQRGQFZ-ZDUSSCGKSA-N 0 0 278.333 2.976 20 5 CFBDRN CCc1ccc(CCOC(=O)c2ccc([N+](=O)[O-])[n-]2)cc1 ZINC000734289732 574281159 /nfs/dbraw/zinc/28/11/59/574281159.db2.gz OVQHVCXSCGJYLY-UHFFFAOYSA-N 0 0 288.303 2.885 20 5 CFBDRN O=C(CCn1cccc1)OCc1cccc([N+](=O)[O-])c1 ZINC000749134437 574281698 /nfs/dbraw/zinc/28/16/98/574281698.db2.gz CSCFCSCRCUAPNF-UHFFFAOYSA-N 0 0 274.276 2.530 20 5 CFBDRN CC(=O)c1ccc(N(CCO)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000749177220 574283724 /nfs/dbraw/zinc/28/37/24/574283724.db2.gz QMUKJUQVHPHLHM-UHFFFAOYSA-N 0 0 294.351 2.642 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H](CCO)C2CC2)ccc2ncccc21 ZINC000741243337 574284381 /nfs/dbraw/zinc/28/43/81/574284381.db2.gz XPHNRXONXKXXKI-LBPRGKRZSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc(NC(=O)NCc2ccccc2[N+](=O)[O-])sn1 ZINC000749231595 574285491 /nfs/dbraw/zinc/28/54/91/574285491.db2.gz BYGHFWKKQKJERG-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2nccc(C)c2[N+](=O)[O-])no1 ZINC000734480443 574288299 /nfs/dbraw/zinc/28/82/99/574288299.db2.gz GSMDVAONCDRGCF-GFCCVEGCSA-N 0 0 288.307 2.936 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734780310 574301835 /nfs/dbraw/zinc/30/18/35/574301835.db2.gz QRGXUMYFGVSIMU-ZYHUDNBSSA-N 0 0 299.710 2.716 20 5 CFBDRN CC[C@@H](OC)C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734779300 574302562 /nfs/dbraw/zinc/30/25/62/574302562.db2.gz DZXARTWYOBZPSN-LLVKDONJSA-N 0 0 287.699 2.716 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734883786 574306810 /nfs/dbraw/zinc/30/68/10/574306810.db2.gz QMBAWYIBOYKWHF-OIBJUYFYSA-N 0 0 269.684 2.947 20 5 CFBDRN CC[C@@H](C)NC(=S)Nc1cc([N+](=O)[O-])ccc1C ZINC000749752433 574307308 /nfs/dbraw/zinc/30/73/08/574307308.db2.gz QQOGDOXHVGLJNX-SECBINFHSA-N 0 0 267.354 2.988 20 5 CFBDRN C[C@@H]1CCN(CC(=O)Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000725803370 574311538 /nfs/dbraw/zinc/31/15/38/574311538.db2.gz JRTJIBDLMMJWEL-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN CC(C)n1ccc(COC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000735123286 574313340 /nfs/dbraw/zinc/31/33/40/574313340.db2.gz AYTMVNMSDPEILQ-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN Cc1ccsc1S(=O)(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000742268272 574322356 /nfs/dbraw/zinc/32/23/56/574322356.db2.gz WADUKLUICUJZRT-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN Cn1c(C(=O)Oc2cc(Cl)ccc2F)ccc1[N+](=O)[O-] ZINC000735456409 574326223 /nfs/dbraw/zinc/32/62/23/574326223.db2.gz SKEAPPIPIZMCPS-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN CC1(C)CCCN1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000742401177 574328206 /nfs/dbraw/zinc/32/82/06/574328206.db2.gz PUCAXRPGQCDGKV-UHFFFAOYSA-N 0 0 289.335 2.858 20 5 CFBDRN Cc1cnc(Oc2ccc(C=O)cc2)c([N+](=O)[O-])c1 ZINC000742428794 574329928 /nfs/dbraw/zinc/32/99/28/574329928.db2.gz OWYRLHCCKYYGGP-UHFFFAOYSA-N 0 0 258.233 2.903 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])OCC1CC=CC1 ZINC000750379756 574336186 /nfs/dbraw/zinc/33/61/86/574336186.db2.gz JTQFGDPSFGYTIM-UHFFFAOYSA-N 0 0 290.319 2.906 20 5 CFBDRN O=C(OCC1CC=CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000750373966 574336368 /nfs/dbraw/zinc/33/63/68/574336368.db2.gz UIMKRICENBLPPA-UHFFFAOYSA-N 0 0 265.240 2.857 20 5 CFBDRN C[C@H](Cc1ccccc1)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000750410835 574338622 /nfs/dbraw/zinc/33/86/22/574338622.db2.gz JDIPNUIKVXTNOF-LLVKDONJSA-N 0 0 288.303 2.721 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000742739295 574341975 /nfs/dbraw/zinc/34/19/75/574341975.db2.gz CCFZDZRXEHXVRY-PWSUYJOCSA-N 0 0 298.339 2.748 20 5 CFBDRN CCC1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000750488031 574342942 /nfs/dbraw/zinc/34/29/42/574342942.db2.gz PPWYRZRPKPBROQ-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCNc1ccccc1 ZINC000735929974 574343324 /nfs/dbraw/zinc/34/33/24/574343324.db2.gz AAAVQFZSNIUORR-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])o1)c1ccncc1 ZINC000735962739 574344387 /nfs/dbraw/zinc/34/43/87/574344387.db2.gz QHJYGPBCQYVCPA-MRVPVSSYSA-N 0 0 262.221 2.501 20 5 CFBDRN CSC[C@@H](C)NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000735988170 574346627 /nfs/dbraw/zinc/34/66/27/574346627.db2.gz ZVIYKWAESQWVSJ-MRVPVSSYSA-N 0 0 285.394 2.633 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000743460142 574365650 /nfs/dbraw/zinc/36/56/50/574365650.db2.gz AWZGPBBUBAUIIL-UWVGGRQHSA-N 0 0 297.311 2.712 20 5 CFBDRN O=[N+]([O-])c1c(NCCCC(F)(F)F)nc2ccccn21 ZINC000743459654 574365796 /nfs/dbraw/zinc/36/57/96/574365796.db2.gz KIDOSUUPQLBTFP-UHFFFAOYSA-N 0 0 288.229 2.997 20 5 CFBDRN Cn1cccc1CNCc1cc([N+](=O)[O-])ccc1Cl ZINC000743498695 574367045 /nfs/dbraw/zinc/36/70/45/574367045.db2.gz QVTCJZXZOWOXPN-UHFFFAOYSA-N 0 0 279.727 2.877 20 5 CFBDRN C/C=C/COC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000725935059 574371308 /nfs/dbraw/zinc/37/13/08/574371308.db2.gz DEUCINZTTDVTPJ-NSCUHMNNSA-N 0 0 257.192 2.606 20 5 CFBDRN CC(C)CC(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751622491 574380260 /nfs/dbraw/zinc/38/02/60/574380260.db2.gz DXTWBXXYQBMYMG-UHFFFAOYSA-N 0 0 278.264 2.825 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1C[C@@H]1C1CC1 ZINC000751733377 574381611 /nfs/dbraw/zinc/38/16/11/574381611.db2.gz BPMKMPAGGWNPQU-NXEZZACHSA-N 0 0 267.306 2.746 20 5 CFBDRN CS/C=C\C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000751894517 574384407 /nfs/dbraw/zinc/38/44/07/574384407.db2.gz UDYVFQXAKDPXMP-PLNGDYQASA-N 0 0 256.258 2.549 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCCOC(C)C)c1[N+](=O)[O-] ZINC000752558270 574392779 /nfs/dbraw/zinc/39/27/79/574392779.db2.gz NWTUWQOHBKXUEY-UHFFFAOYSA-N 0 0 285.271 2.624 20 5 CFBDRN O=C(O[C@@H]1CCCCC1=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752560278 574392880 /nfs/dbraw/zinc/39/28/80/574392880.db2.gz WQKPQPLHZDZZKR-SNVBAGLBSA-N 0 0 299.229 2.542 20 5 CFBDRN CS[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000752974303 574397067 /nfs/dbraw/zinc/39/70/67/574397067.db2.gz BEOCZYUCSSLYMT-ZCFIWIBFSA-N 0 0 274.729 2.938 20 5 CFBDRN Cc1ccoc1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000753604123 574406199 /nfs/dbraw/zinc/40/61/99/574406199.db2.gz TWPQVBSQZHCUTH-UHFFFAOYSA-N 0 0 289.243 2.536 20 5 CFBDRN CC(=O)c1ccc(N2CC3(CC(F)C3)C2)c([N+](=O)[O-])c1 ZINC000753816105 574410743 /nfs/dbraw/zinc/41/07/43/574410743.db2.gz QPZNUMYUVWPXGG-UHFFFAOYSA-N 0 0 278.283 2.736 20 5 CFBDRN CCOc1ccc([C@H](C)[NH2+][C@H](CC)C(=O)[O-])cc1[N+](=O)[O-] ZINC000820387447 598094516 /nfs/dbraw/zinc/09/45/16/598094516.db2.gz RATVYYGGHLLCIZ-GXSJLCMTSA-N 0 0 296.323 2.507 20 5 CFBDRN C[C@H]([NH2+]CCCCC(=O)[O-])c1ccc([N+](=O)[O-])cc1 ZINC000820141653 598171129 /nfs/dbraw/zinc/17/11/29/598171129.db2.gz GYQISFCDUMJQIO-JTQLQIEISA-N 0 0 266.297 2.500 20 5 CFBDRN CC[C@@](C)(CC(=O)[O-])[NH2+]Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000828664749 601432295 /nfs/dbraw/zinc/43/22/95/601432295.db2.gz HLTUTHSRDDFAFM-AWEZNQCLSA-N 0 0 280.324 2.636 20 5 CFBDRN O=C(NCC1CCC1)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000900177381 620649913 /nfs/dbraw/zinc/64/99/13/620649913.db2.gz ONVXRMQLVFZTDG-UHFFFAOYSA-N 0 0 286.690 2.917 20 5 CFBDRN C[C@@]1(C(=O)[O-])CC[N@H+](Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000062935036 601913167 /nfs/dbraw/zinc/91/31/67/601913167.db2.gz XYSJJPABGFAIPH-CYBMUJFWSA-N 0 0 298.726 2.545 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C)(F)C1 ZINC000935737949 649936493 /nfs/dbraw/zinc/93/64/93/649936493.db2.gz UQIMBQDFXIIMOI-UHFFFAOYSA-N 0 0 284.312 2.501 20 5 CFBDRN CC[C@H](C)CSc1ccc(-c2nn[nH]n2)cc1[N+](=O)[O-] ZINC000820869208 606798946 /nfs/dbraw/zinc/79/89/46/606798946.db2.gz DVNYYJOQUOEVBE-QMMMGPOBSA-N 0 0 293.352 2.913 20 5 CFBDRN O=[N+]([O-])c1ccccc1Sc1cccc(-c2nn[nH]n2)c1 ZINC000823648425 606945622 /nfs/dbraw/zinc/94/56/22/606945622.db2.gz WVXMAQJHLBWLQL-UHFFFAOYSA-N 0 0 299.315 2.926 20 5 CFBDRN C/C(=C(/F)C(=O)NCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000900858317 620722556 /nfs/dbraw/zinc/72/25/56/620722556.db2.gz QNEMAAPHRUOSSA-UVTDQMKNSA-N 0 0 292.310 2.907 20 5 CFBDRN CC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(OC(C)C)c1 ZINC000840649411 617882741 /nfs/dbraw/zinc/88/27/41/617882741.db2.gz QVVKFNWWBJLKTD-JTQLQIEISA-N 0 0 295.291 2.516 20 5 CFBDRN CCCONC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000844088698 617906416 /nfs/dbraw/zinc/90/64/16/617906416.db2.gz YQJMOVLSJPZSKR-UHFFFAOYSA-N 0 0 272.688 2.628 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NC/C=C\Cl ZINC000891196024 618033427 /nfs/dbraw/zinc/03/34/27/618033427.db2.gz OUGWUVJPSLSCSD-BHQIHCQQSA-N 0 0 286.690 2.535 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCCCCCC1 ZINC000050201580 618086576 /nfs/dbraw/zinc/08/65/76/618086576.db2.gz YBSDHJQYEHFKHV-UHFFFAOYSA-N 0 0 277.324 2.583 20 5 CFBDRN CN(Cc1c(F)cccc1Cl)c1c([N+](=O)[O-])cnn1C ZINC000891481900 617631427 /nfs/dbraw/zinc/63/14/27/617631427.db2.gz IRAUSEASWUKTGZ-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H]3C[C@H]2CS3)c1C ZINC000891532144 617653103 /nfs/dbraw/zinc/65/31/03/617653103.db2.gz FFQSWXALBHYAAL-QWRGUYRKSA-N 0 0 292.360 2.542 20 5 CFBDRN CCCOC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000859054031 617659544 /nfs/dbraw/zinc/65/95/44/617659544.db2.gz JJFUUOTWALUGGC-UHFFFAOYSA-N 0 0 266.297 2.966 20 5 CFBDRN CC[N@@H+](Cc1ccc(O)c(OC)c1[N+](=O)[O-])CC(C)C ZINC000891619676 617687983 /nfs/dbraw/zinc/68/79/83/617687983.db2.gz HJDDSBRLHYTJNI-UHFFFAOYSA-N 0 0 282.340 2.787 20 5 CFBDRN CC(=O)c1ccc(OC/C=C\Cl)c([N+](=O)[O-])c1 ZINC000254975434 617706452 /nfs/dbraw/zinc/70/64/52/617706452.db2.gz UANAOLOJKOFDOE-DJWKRKHSSA-N 0 0 255.657 2.929 20 5 CFBDRN CNc1ccc(C(=O)N[C@H](C)CCSC)cc1[N+](=O)[O-] ZINC000134824823 617764792 /nfs/dbraw/zinc/76/47/92/617764792.db2.gz FSISAPLUCGJVKQ-SECBINFHSA-N 0 0 297.380 2.508 20 5 CFBDRN C[C@H]1CN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C[C@H]1C ZINC000933817311 617782262 /nfs/dbraw/zinc/78/22/62/617782262.db2.gz QKXBDVINRODIBQ-PHIMTYICSA-N 0 0 294.326 2.781 20 5 CFBDRN Nc1ccc(N2CC[C@@H](c3ccccn3)C2)c([N+](=O)[O-])c1 ZINC000804685589 617809495 /nfs/dbraw/zinc/80/94/95/617809495.db2.gz KJBNHSZBNUFUNF-LLVKDONJSA-N 0 0 284.319 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3C[C@@H]32)c(Br)c1 ZINC000804688611 617812398 /nfs/dbraw/zinc/81/23/98/617812398.db2.gz PBUZZULPRHKZJX-HQJQHLMTSA-N 0 0 283.125 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](C(F)F)C2)c(F)c1 ZINC000804688087 617812514 /nfs/dbraw/zinc/81/25/14/617812514.db2.gz FZDVNXDMHSQIRS-ZETCQYMHSA-N 0 0 260.215 2.825 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 ZINC000121638600 617843470 /nfs/dbraw/zinc/84/34/70/617843470.db2.gz WTPGLOHCKOTOFR-SNVBAGLBSA-N 0 0 289.291 2.736 20 5 CFBDRN CCOC(=O)/C=C/COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000094936378 617860101 /nfs/dbraw/zinc/86/01/01/617860101.db2.gz WPONZIWIPAKLLE-ONEGZZNKSA-N 0 0 285.683 2.746 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC=CC[C@H]1C ZINC000890771340 617869721 /nfs/dbraw/zinc/86/97/21/617869721.db2.gz PJJHFGSXBRXKDV-QMTHXVAHSA-N 0 0 274.320 2.988 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1cncc(Cl)n1 ZINC000804775300 617875872 /nfs/dbraw/zinc/87/58/72/617875872.db2.gz COSMRQZVLBBSTK-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN CN(c1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000804785049 617880617 /nfs/dbraw/zinc/88/06/17/617880617.db2.gz XRUHKNALRQXWSV-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1ccc([C@@H](O)[C@@H](C)Nc2ncccc2[N+](=O)[O-])cc1 ZINC000804809398 617891715 /nfs/dbraw/zinc/89/17/15/617891715.db2.gz AGLMFVNFQCAEAP-RISCZKNCSA-N 0 0 287.319 2.832 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCc1ccccn1 ZINC000101706902 617892106 /nfs/dbraw/zinc/89/21/06/617892106.db2.gz FPPLPKXTGOFJHA-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Nc1ccc(NCC2(C(F)(F)F)CC2)c([N+](=O)[O-])c1 ZINC000804815853 617896551 /nfs/dbraw/zinc/89/65/51/617896551.db2.gz VRGJGWZNEXHXSM-UHFFFAOYSA-N 0 0 275.230 2.931 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804816554 617896899 /nfs/dbraw/zinc/89/68/99/617896899.db2.gz CCBJZXFSAOXHMQ-ZJUUUORDSA-N 0 0 295.295 2.588 20 5 CFBDRN CC(C)(C)OCCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000065233930 617902072 /nfs/dbraw/zinc/90/20/72/617902072.db2.gz FCUKVWZVIYZSGO-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN C[C@@H](COC(=O)c1ncsc1-c1ccccc1)[N+](=O)[O-] ZINC000813103438 617924980 /nfs/dbraw/zinc/92/49/80/617924980.db2.gz ANJCCQUESGRKEJ-VIFPVBQESA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@]1(C(=O)OCc2ccccc2[N+](=O)[O-])C=CCC1 ZINC000924402195 617927331 /nfs/dbraw/zinc/92/73/31/617927331.db2.gz SRPCUAZTJJTOSS-AWEZNQCLSA-N 0 0 261.277 2.994 20 5 CFBDRN CC(C)COc1cccc(C(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000813107233 617931765 /nfs/dbraw/zinc/93/17/65/617931765.db2.gz NHFSJULZYLVDMG-NSHDSACASA-N 0 0 281.308 2.543 20 5 CFBDRN C[C@@H](SCc1ccccc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813111122 617936483 /nfs/dbraw/zinc/93/64/83/617936483.db2.gz OFJVJCHPFYDANF-GHMZBOCLSA-N 0 0 283.349 2.517 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CC[C@@H]2C)c1 ZINC000804840546 617937126 /nfs/dbraw/zinc/93/71/26/617937126.db2.gz MRRAMAXZKZRVIM-OIBJUYFYSA-N 0 0 254.261 2.953 20 5 CFBDRN C[C@H](Cc1cccc(Cl)c1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813112158 617940582 /nfs/dbraw/zinc/94/05/82/617940582.db2.gz CTLZAPDXMYZASI-NXEZZACHSA-N 0 0 285.727 2.727 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCC1CCC1 ZINC000255083383 617953811 /nfs/dbraw/zinc/95/38/11/617953811.db2.gz YMFQMAHKWHPBEV-TWGQIWQCSA-N 0 0 261.277 2.951 20 5 CFBDRN C[C@@H](NC(=O)NC/C=C\Cl)c1cccc([N+](=O)[O-])c1 ZINC000892110826 617956688 /nfs/dbraw/zinc/95/66/88/617956688.db2.gz GOTLVXBEXYBJMY-JVBZPZKUSA-N 0 0 283.715 2.708 20 5 CFBDRN CO[C@@](C)(COC(=O)c1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000813189138 617979143 /nfs/dbraw/zinc/97/91/43/617979143.db2.gz AMXZHZDDAFVCKP-HNNXBMFYSA-N 0 0 293.319 2.875 20 5 CFBDRN C/C(Cl)=C/C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000901219251 620767121 /nfs/dbraw/zinc/76/71/21/620767121.db2.gz YGRGWQMXQJCICI-CLFYSBASSA-N 0 0 280.711 2.622 20 5 CFBDRN O=c1sccn1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000135498258 617987089 /nfs/dbraw/zinc/98/70/89/617987089.db2.gz CPOJMELTXNXAIB-UHFFFAOYSA-N 0 0 270.697 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC(=O)C(C)(C)C)c1C ZINC000901240185 620769896 /nfs/dbraw/zinc/76/98/96/620769896.db2.gz DRFIBJZJIRHOFH-UHFFFAOYSA-N 0 0 292.335 2.557 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000003317815 618044789 /nfs/dbraw/zinc/04/47/89/618044789.db2.gz ILCJDXMYZJZIFH-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)NC/C=C\Cl ZINC000891218388 618050053 /nfs/dbraw/zinc/05/00/53/618050053.db2.gz URNLXQKSHGQTEN-WAPJZHGLSA-N 0 0 297.742 2.656 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)NC/C=C/Cl ZINC000891218387 618050189 /nfs/dbraw/zinc/05/01/89/618050189.db2.gz URNLXQKSHGQTEN-KRXBUXKQSA-N 0 0 297.742 2.656 20 5 CFBDRN COC(=O)C[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000050085098 618053923 /nfs/dbraw/zinc/05/39/23/618053923.db2.gz XBTAAHYFFFEYFX-SNVBAGLBSA-N 0 0 296.298 2.656 20 5 CFBDRN O=C([O-])[C@@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000049964235 618054496 /nfs/dbraw/zinc/05/44/96/618054496.db2.gz OTGZSHCKTQPWQI-SNVBAGLBSA-N 0 0 298.726 2.545 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000050079168 618054610 /nfs/dbraw/zinc/05/46/10/618054610.db2.gz CDKCBXSZBQWKEO-UHFFFAOYSA-N 0 0 299.286 2.632 20 5 CFBDRN Cc1noc(C)c1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000050503732 618072025 /nfs/dbraw/zinc/07/20/25/618072025.db2.gz RRAWOHJREVHBMU-UHFFFAOYSA-N 0 0 290.275 2.599 20 5 CFBDRN C[C@@H](CCO)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235095948 618093034 /nfs/dbraw/zinc/09/30/34/618093034.db2.gz VDKGRVLXKMFVSE-LURJTMIESA-N 0 0 262.668 2.570 20 5 CFBDRN CCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2N)c1 ZINC000050212662 618093992 /nfs/dbraw/zinc/09/39/92/618093992.db2.gz YUJRQZWENKDXDW-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN CCOc1ccc(C(=O)O[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000780552140 618101538 /nfs/dbraw/zinc/10/15/38/618101538.db2.gz NDJAISKVSHESIL-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN CNc1ccc(C(=O)O[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000780555120 618104549 /nfs/dbraw/zinc/10/45/49/618104549.db2.gz JMHACHJWGPGRKM-SNVBAGLBSA-N 0 0 262.265 2.512 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NOC1CCCCC1 ZINC000779320609 618134788 /nfs/dbraw/zinc/13/47/88/618134788.db2.gz OQQBSHHKGSLCLX-UHFFFAOYSA-N 0 0 278.308 2.518 20 5 CFBDRN C[C@H](CC(=O)Nc1c([N+](=O)[O-])ncn1C)C1CCCCC1 ZINC000891346931 618141946 /nfs/dbraw/zinc/14/19/46/618141946.db2.gz CYYIWCCDNYAOSM-SNVBAGLBSA-N 0 0 294.355 2.873 20 5 CFBDRN CC(=O)c1ccc(N2C[C@@H](C)OC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000044477607 618149616 /nfs/dbraw/zinc/14/96/16/618149616.db2.gz ZSMXOBGEZJELCI-SNVBAGLBSA-N 0 0 292.335 2.801 20 5 CFBDRN Cc1c(Cl)cnn1CN1CCc2c1cccc2[N+](=O)[O-] ZINC000178919063 618165170 /nfs/dbraw/zinc/16/51/70/618165170.db2.gz BIFLDVACLJDZOI-UHFFFAOYSA-N 0 0 292.726 2.773 20 5 CFBDRN CCN(Cc1cccc([N+](=O)[O-])c1C)C(C)(C)C(=O)OC ZINC000933189584 618167954 /nfs/dbraw/zinc/16/79/54/618167954.db2.gz BVUVCFQAUHSUFI-UHFFFAOYSA-N 0 0 294.351 2.677 20 5 CFBDRN C[C@H](CNC(=O)NC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000891399005 618185603 /nfs/dbraw/zinc/18/56/03/618185603.db2.gz HYYKPCXQHYSYJG-LLVKDONJSA-N 0 0 291.351 2.940 20 5 CFBDRN CC(C)COCCCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000052721998 618191354 /nfs/dbraw/zinc/19/13/54/618191354.db2.gz WFDIABNCTGGIHW-UHFFFAOYSA-N 0 0 292.339 2.717 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CC[C@@H](C)O2)c1C ZINC000891421630 618192545 /nfs/dbraw/zinc/19/25/45/618192545.db2.gz PXFMYUQFSIBYGQ-PWSUYJOCSA-N 0 0 292.335 2.509 20 5 CFBDRN CCCCNC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000891424220 618193396 /nfs/dbraw/zinc/19/33/96/618193396.db2.gz VOEBXCGEFHRVAH-NSHDSACASA-N 0 0 279.340 2.798 20 5 CFBDRN CCCOCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255586086 618198104 /nfs/dbraw/zinc/19/81/04/618198104.db2.gz REQKRLCVPDGVFC-YVMONPNESA-N 0 0 279.292 2.578 20 5 CFBDRN CC(=O)O[C@H](C)Sc1ccc([N+](=O)[O-])cc1F ZINC000849892640 618198873 /nfs/dbraw/zinc/19/88/73/618198873.db2.gz QHQCDDYSXWKEOW-ZETCQYMHSA-N 0 0 259.258 2.735 20 5 CFBDRN CC1(C[S@](=O)CCn2cc([N+](=O)[O-])cn2)CCCCC1 ZINC000840872270 618198578 /nfs/dbraw/zinc/19/85/78/618198578.db2.gz JGDVTDYJQZNNCA-HXUWFJFHSA-N 0 0 299.396 2.510 20 5 CFBDRN CC1(C)CN(C/C=C\c2ccccc2[N+](=O)[O-])CCO1 ZINC000255630107 618235895 /nfs/dbraw/zinc/23/58/95/618235895.db2.gz ORYMCKGLZHNNDP-ALCCZGGFSA-N 0 0 276.336 2.719 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N(C)Cc1occc1C ZINC000893960849 618247622 /nfs/dbraw/zinc/24/76/22/618247622.db2.gz YOTZYMQLYQMQOZ-UHFFFAOYSA-N 0 0 277.280 2.536 20 5 CFBDRN CCC(=CC(=O)NCCNc1ccccc1[N+](=O)[O-])CC ZINC000164500852 618247861 /nfs/dbraw/zinc/24/78/61/618247861.db2.gz MHWSIRBNJXNLRN-UHFFFAOYSA-N 0 0 291.351 2.869 20 5 CFBDRN O=C(Nc1ccc(=O)[nH]c1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000312359045 618249150 /nfs/dbraw/zinc/24/91/50/618249150.db2.gz KYDIKXBUQPUEOM-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCc3nc[nH]c3C23CCC3)c1 ZINC000895068197 618253167 /nfs/dbraw/zinc/25/31/67/618253167.db2.gz MZXQBDKGVVABAQ-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3nc[nH]c3C23CCC3)cc1 ZINC000895068406 618253933 /nfs/dbraw/zinc/25/39/33/618253933.db2.gz XWKCSHSSAXAAHB-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN COc1ccccc1OC(=O)c1ccc([N+](=O)[O-])s1 ZINC000014472645 618255235 /nfs/dbraw/zinc/25/52/35/618255235.db2.gz PXNMKQKQDXVRRG-UHFFFAOYSA-N 0 0 279.273 2.884 20 5 CFBDRN C[C@H](F)CCNc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000893982709 618256955 /nfs/dbraw/zinc/25/69/55/618256955.db2.gz XXOOCKCJRYRNHR-VIFPVBQESA-N 0 0 293.298 2.607 20 5 CFBDRN C[C@@H]1OCC[C@H]1COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000793420767 618275560 /nfs/dbraw/zinc/27/55/60/618275560.db2.gz NXVKMUOYLFGGGG-IUCAKERBSA-N 0 0 299.710 2.830 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CCc1ccoc1 ZINC000177066508 618276674 /nfs/dbraw/zinc/27/66/74/618276674.db2.gz DHVCQYOWQGWAJC-UHFFFAOYSA-N 0 0 291.259 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCOC2)ccc1Cl ZINC000310329422 618282693 /nfs/dbraw/zinc/28/26/93/618282693.db2.gz JSCHFNKSDWCBDS-QMMMGPOBSA-N 0 0 257.673 2.664 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000894042127 618285525 /nfs/dbraw/zinc/28/55/25/618285525.db2.gz IROQYBPOYZKZKX-NKWVEPMBSA-N 0 0 290.241 2.607 20 5 CFBDRN Cc1nnc(CCNc2cc([N+](=O)[O-])c(C)cc2C)o1 ZINC000901354176 620792944 /nfs/dbraw/zinc/79/29/44/620792944.db2.gz SAKVVISZTIMQPD-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN COC/C(C)=C\CN1CCc2cc([N+](=O)[O-])ccc21 ZINC000901354609 620793497 /nfs/dbraw/zinc/79/34/97/620793497.db2.gz XTOCUQGPZDTSPV-WZUFQYTHSA-N 0 0 262.309 2.550 20 5 CFBDRN O=C(Nc1ccc(=O)[nH]c1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000312587898 618337064 /nfs/dbraw/zinc/33/70/64/618337064.db2.gz CQGLDACKFGMZKZ-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN Cc1ccc(N2CCC[C@H](CCO)C2)c([N+](=O)[O-])c1 ZINC000051895072 618348353 /nfs/dbraw/zinc/34/83/53/618348353.db2.gz RLACRZNUKRYYML-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H]1CCC(C)(C)CO1 ZINC000895130077 618353619 /nfs/dbraw/zinc/35/36/19/618353619.db2.gz JAJHFMYCIODDSF-LLVKDONJSA-N 0 0 295.339 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@]1(C)CCCO1 ZINC000177242926 618382466 /nfs/dbraw/zinc/38/24/66/618382466.db2.gz VASQPBBLFLOXOZ-CQSZACIVSA-N 0 0 279.292 2.629 20 5 CFBDRN CCC(C)(C)OCCNc1ncc([N+](=O)[O-])cc1OC ZINC000894147713 618399735 /nfs/dbraw/zinc/39/97/35/618399735.db2.gz CKUBULYYAILBGC-UHFFFAOYSA-N 0 0 283.328 2.616 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1OC)[C@@H]1CCCOC1 ZINC000895161063 618407990 /nfs/dbraw/zinc/40/79/90/618407990.db2.gz QCFZZOGEMFYRTL-PWSUYJOCSA-N 0 0 295.339 2.616 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867500247 618422763 /nfs/dbraw/zinc/42/27/63/618422763.db2.gz UVJIZZOIYYFXIQ-ZWNOBZJWSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC000776342254 618433274 /nfs/dbraw/zinc/43/32/74/618433274.db2.gz DJKFTMIHVPEBTR-WDEREUQCSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H](Cc1cccc(Cl)c1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814035826 618434287 /nfs/dbraw/zinc/43/42/87/618434287.db2.gz PUUWLHZUZYSMNL-ZJUUUORDSA-N 0 0 285.727 2.727 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1cccc(Cl)n1 ZINC000177494995 618434721 /nfs/dbraw/zinc/43/47/21/618434721.db2.gz YCGROEIUWJLMMY-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cnc(-c2ccccc2)s1 ZINC000814037546 618437394 /nfs/dbraw/zinc/43/73/94/618437394.db2.gz BUSBOLQUUAPCJE-VIFPVBQESA-N 0 0 292.316 2.632 20 5 CFBDRN Cc1oc2ccccc2c1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814039030 618438290 /nfs/dbraw/zinc/43/82/90/618438290.db2.gz LGVWFQXLRFFOHG-MRVPVSSYSA-N 0 0 263.249 2.563 20 5 CFBDRN C/C(=C/c1ccccc1Cl)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814040511 618441738 /nfs/dbraw/zinc/44/17/38/618441738.db2.gz HYQSOKRLMUFNEL-RNKPRXRFSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCC(=O)c1ccc(Cl)cc1 ZINC000814043030 618443045 /nfs/dbraw/zinc/44/30/45/618443045.db2.gz RWYBGICYJOFXNX-VIFPVBQESA-N 0 0 299.710 2.511 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C\c1ccccc1Cl ZINC000814045069 618444130 /nfs/dbraw/zinc/44/41/30/618444130.db2.gz MJUUIGCKPHFAHO-ATJFRQLMSA-N 0 0 269.684 2.562 20 5 CFBDRN CSCc1cc(-c2cc3c(cc2[N+](=O)[O-])OCO3)no1 ZINC000901398156 620806130 /nfs/dbraw/zinc/80/61/30/620806130.db2.gz KMQBMASTMCCVAT-UHFFFAOYSA-N 0 0 294.288 2.842 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCCc1ccsc1 ZINC000726339893 618463754 /nfs/dbraw/zinc/46/37/54/618463754.db2.gz LQEXOWAOQNZHJX-UHFFFAOYSA-N 0 0 291.328 2.985 20 5 CFBDRN CC(C)=CCNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935610611 618475614 /nfs/dbraw/zinc/47/56/14/618475614.db2.gz MJRWPVSYTNCGGQ-UONOGXRCSA-N 0 0 274.320 2.781 20 5 CFBDRN O=C1OC(c2cccc([N+](=O)[O-])c2)=N/C1=C\c1ccoc1 ZINC000727291963 618486420 /nfs/dbraw/zinc/48/64/20/618486420.db2.gz ZXPXGTRLGANNLD-SDQBBNPISA-N 0 0 284.227 2.532 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC[C@@H]1CC1(F)F ZINC000882645857 618487252 /nfs/dbraw/zinc/48/72/52/618487252.db2.gz QSAFKGDKKTYXFB-ZCFIWIBFSA-N 0 0 261.203 2.586 20 5 CFBDRN C[C@@H](O)CSc1ccc([N+](=O)[O-])c2cccnc12 ZINC000882737896 618492132 /nfs/dbraw/zinc/49/21/32/618492132.db2.gz AXZMKKUGOSDDCD-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCCF ZINC000895292459 618515115 /nfs/dbraw/zinc/51/51/15/618515115.db2.gz CBGYXZKGELXUQB-KHPPLWFESA-N 0 0 280.299 2.816 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC/C=C(/C)COC ZINC000901422027 620813869 /nfs/dbraw/zinc/81/38/69/620813869.db2.gz CSUYVZLOSMNHAG-POHAHGRESA-N 0 0 265.313 2.641 20 5 CFBDRN O=C(NC1(C2CC2)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000895366737 618552023 /nfs/dbraw/zinc/55/20/23/618552023.db2.gz UEBCFIPAIFJGOX-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN CC(C)(C)OC(=O)C1(CCn2cnc([N+](=O)[O-])c2)CCC1 ZINC000901450863 620816824 /nfs/dbraw/zinc/81/68/24/620816824.db2.gz BZAOLFWCCBMSSU-UHFFFAOYSA-N 0 0 295.339 2.693 20 5 CFBDRN O=[N+]([O-])CCSc1ccccc1Br ZINC000901433170 620818974 /nfs/dbraw/zinc/81/89/74/620818974.db2.gz HRCSELOQFJLVRY-UHFFFAOYSA-N 0 0 262.128 2.818 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1Cn1cc(Cl)cn1 ZINC000066350265 618607259 /nfs/dbraw/zinc/60/72/59/618607259.db2.gz BTEHDPXQUXCQIQ-SECBINFHSA-N 0 0 292.726 2.853 20 5 CFBDRN Cc1noc(C)c1CN(C)c1nc(C)ccc1[N+](=O)[O-] ZINC000178168153 618629629 /nfs/dbraw/zinc/62/96/29/618629629.db2.gz VMEKTXXBLCUYMD-UHFFFAOYSA-N 0 0 276.296 2.539 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1[C@H](C)C[C@H]1C ZINC000935735445 618630981 /nfs/dbraw/zinc/63/09/81/618630981.db2.gz BICIEVFQKOXRHW-RKDXNWHRSA-N 0 0 280.349 2.940 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000935737541 618632982 /nfs/dbraw/zinc/63/29/82/618632982.db2.gz SMOAEQMGTGMWSL-AOOOYVTPSA-N 0 0 280.299 2.676 20 5 CFBDRN CC/C=C\CCOC(=O)COc1ccccc1[N+](=O)[O-] ZINC000255895249 618640602 /nfs/dbraw/zinc/64/06/02/618640602.db2.gz HHIJLFWSHRDSBY-ARJAWSKDSA-N 0 0 279.292 2.873 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC(F)(F)C2)n1 ZINC000310917470 618656479 /nfs/dbraw/zinc/65/64/79/618656479.db2.gz FKFPFWPPCXXCRO-MRVPVSSYSA-N 0 0 257.240 2.898 20 5 CFBDRN CCCOCC(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892635168 618665994 /nfs/dbraw/zinc/66/59/94/618665994.db2.gz LJUCMDQNIVAKDY-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN CC[C@@H](OC)C(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892653099 618675423 /nfs/dbraw/zinc/67/54/23/618675423.db2.gz LANXPZPAHFSXSG-GFCCVEGCSA-N 0 0 289.291 2.507 20 5 CFBDRN CC[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000851124428 618687231 /nfs/dbraw/zinc/68/72/31/618687231.db2.gz WIJNLECMUIUANX-GFCCVEGCSA-N 0 0 265.309 2.779 20 5 CFBDRN CC(C)(COC(=O)c1cnc2ccsc2c1)[N+](=O)[O-] ZINC000851127154 618688666 /nfs/dbraw/zinc/68/86/66/618688666.db2.gz UMGIBYROFZXGGS-UHFFFAOYSA-N 0 0 280.305 2.508 20 5 CFBDRN CCC(CC)c1cc(CNc2c([N+](=O)[O-])cnn2C)on1 ZINC000892679123 618700885 /nfs/dbraw/zinc/70/08/85/618700885.db2.gz NCCNIEWKXUORBU-UHFFFAOYSA-N 0 0 293.327 2.832 20 5 CFBDRN Cn1c(Cl)cnc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000311360076 618706296 /nfs/dbraw/zinc/70/62/96/618706296.db2.gz AHZXNPNYMGSPTM-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])[C@@H]1CCCCS1 ZINC000783406454 618712843 /nfs/dbraw/zinc/71/28/43/618712843.db2.gz PDGHKZKKKIMXGN-ZDUSSCGKSA-N 0 0 295.360 2.966 20 5 CFBDRN Cn1ccnc1COc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000311524259 618714283 /nfs/dbraw/zinc/71/42/83/618714283.db2.gz FQEAOWIZQBLRBN-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1CC[C@@H](SC)C1 ZINC000892696361 618715667 /nfs/dbraw/zinc/71/56/67/618715667.db2.gz IAAAFNIJJAJMAZ-WCBMZHEXSA-N 0 0 283.353 2.694 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC(C2CCCC2)CC1 ZINC000892703016 618720985 /nfs/dbraw/zinc/72/09/85/618720985.db2.gz IBBXKSFZAGHTDQ-UHFFFAOYSA-N 0 0 278.356 2.735 20 5 CFBDRN CN(CC(F)F)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000103399347 618723296 /nfs/dbraw/zinc/72/32/96/618723296.db2.gz QGKULWCUGNEEJU-UHFFFAOYSA-N 0 0 278.642 2.585 20 5 CFBDRN CCOc1cc(NC(=O)/C=C(/C)COC)ccc1[N+](=O)[O-] ZINC000892739709 618737700 /nfs/dbraw/zinc/73/77/00/618737700.db2.gz DIILFDSINXVYJS-YFHOEESVSA-N 0 0 294.307 2.525 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1NCCCC(F)(F)F ZINC000892740659 618737971 /nfs/dbraw/zinc/73/79/71/618737971.db2.gz KTEFCTCNXDPOAG-UHFFFAOYSA-N 0 0 290.201 2.885 20 5 CFBDRN CCOc1cc(NC(=O)[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000892746869 618740048 /nfs/dbraw/zinc/74/00/48/618740048.db2.gz ODQPWIZCXLIYQX-GFCCVEGCSA-N 0 0 294.307 2.501 20 5 CFBDRN CCO[C@H](C)C(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892861577 618759068 /nfs/dbraw/zinc/75/90/68/618759068.db2.gz UIYUMOLJAVWVMD-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN CCc1ccc(OCCOC(F)F)c([N+](=O)[O-])c1 ZINC000853017445 618788365 /nfs/dbraw/zinc/78/83/65/618788365.db2.gz IIQIDVCCBXNIRH-UHFFFAOYSA-N 0 0 261.224 2.775 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(CCOC(F)F)c2c(Cl)c1 ZINC000853019314 618789076 /nfs/dbraw/zinc/78/90/76/618789076.db2.gz NWMWKXZMBANKAU-UHFFFAOYSA-N 0 0 291.641 2.837 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CC[C@H](C3CC3)C2)c1 ZINC000893046523 618814825 /nfs/dbraw/zinc/81/48/25/618814825.db2.gz ICGPDLICPYYFSR-JTQLQIEISA-N 0 0 277.280 2.739 20 5 CFBDRN COC1(C)CN(c2c3cc(F)ccc3ncc2[N+](=O)[O-])C1 ZINC000893057824 618815909 /nfs/dbraw/zinc/81/59/09/618815909.db2.gz COCHXQUITIPMIX-UHFFFAOYSA-N 0 0 291.282 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@@H]2CC2(F)F)c1C ZINC000893070121 618819786 /nfs/dbraw/zinc/81/97/86/618819786.db2.gz HPHVFOAIINALNU-SNVBAGLBSA-N 0 0 298.289 2.987 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@H]1C[C@H](C)CO1 ZINC000893157042 618851154 /nfs/dbraw/zinc/85/11/54/618851154.db2.gz ITHZPRRQJJHNQK-XPTSAGLGSA-N 0 0 293.319 2.680 20 5 CFBDRN CCn1ccnc1Sc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000014322093 618916982 /nfs/dbraw/zinc/91/69/82/618916982.db2.gz AVNJRMHUQXVDCX-UHFFFAOYSA-N 0 0 294.292 2.871 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)CC1(C)COC1 ZINC000924116887 618917982 /nfs/dbraw/zinc/91/79/82/618917982.db2.gz YMLJKWKAAPKAMI-LLVKDONJSA-N 0 0 293.319 2.934 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000931424463 618968052 /nfs/dbraw/zinc/96/80/52/618968052.db2.gz HFBMVMPXYTZDSI-LERXQTSPSA-N 0 0 274.320 2.567 20 5 CFBDRN CC1(C)COC[C@H]1Nc1cccc(F)c1[N+](=O)[O-] ZINC000313042431 618973736 /nfs/dbraw/zinc/97/37/36/618973736.db2.gz SSNGBWBGSGEWGN-SNVBAGLBSA-N 0 0 254.261 2.571 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC[C@H](CF)C1 ZINC000893415498 618982963 /nfs/dbraw/zinc/98/29/63/618982963.db2.gz HUULPASCXRSVPS-SNVBAGLBSA-N 0 0 298.289 2.864 20 5 CFBDRN Cn1cnc(CCNc2cc(Cl)c(F)cc2[N+](=O)[O-])c1 ZINC000313465296 618990168 /nfs/dbraw/zinc/99/01/68/618990168.db2.gz BLXNVWKKJOAANT-UHFFFAOYSA-N 0 0 298.705 2.775 20 5 CFBDRN CN(Cc1cccc(Cl)c1)Cn1cc([N+](=O)[O-])cn1 ZINC000048441354 619035015 /nfs/dbraw/zinc/03/50/15/619035015.db2.gz HJLFXKZMNVCPGV-UHFFFAOYSA-N 0 0 280.715 2.534 20 5 CFBDRN Cc1c(NC(=O)[C@@H](O)c2ccccc2)cccc1[N+](=O)[O-] ZINC000072795072 619038035 /nfs/dbraw/zinc/03/80/35/619038035.db2.gz SCHBGKBWYKNPAF-AWEZNQCLSA-N 0 0 286.287 2.575 20 5 CFBDRN CCO[C@@H](CNc1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000893531907 619040423 /nfs/dbraw/zinc/04/04/23/619040423.db2.gz NJPAEDZCDJYXJG-ZDUSSCGKSA-N 0 0 290.323 2.518 20 5 CFBDRN Cn1c2ccccc2c(N[C@@H]2[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])c1=O ZINC000893551680 619067118 /nfs/dbraw/zinc/06/71/18/619067118.db2.gz XEBXLUAVXMHYQG-DDFAGTSDSA-N 0 0 299.330 2.657 20 5 CFBDRN Cn1nccc1CCOc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000230876052 619072854 /nfs/dbraw/zinc/07/28/54/619072854.db2.gz JGGYEVQLOGABBK-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN CC(C)(C)COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000220676006 619078662 /nfs/dbraw/zinc/07/86/62/619078662.db2.gz DPCXCXCHAIWKMY-UHFFFAOYSA-N 0 0 251.282 2.727 20 5 CFBDRN COc1ccc(OC(=O)c2ccsc2)c([N+](=O)[O-])c1 ZINC000069835092 619122692 /nfs/dbraw/zinc/12/26/92/619122692.db2.gz VDDGOPRCTLPGLL-UHFFFAOYSA-N 0 0 279.273 2.884 20 5 CFBDRN CN(Cc1ccco1)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094774289 619136952 /nfs/dbraw/zinc/13/69/52/619136952.db2.gz RWIARKJCTBVGRH-UHFFFAOYSA-N 0 0 264.256 2.959 20 5 CFBDRN COCC1CC(OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000893640871 619137670 /nfs/dbraw/zinc/13/76/70/619137670.db2.gz UNAIELQWHXMPOQ-IFQILLTASA-N 0 0 293.319 2.667 20 5 CFBDRN O=C(NCCC(F)(F)F)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000063100726 619162283 /nfs/dbraw/zinc/16/22/83/619162283.db2.gz HKRDVFFZDWBMEH-UHFFFAOYSA-N 0 0 298.167 2.555 20 5 CFBDRN CC[S@](C)(=O)=NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000925833864 619164844 /nfs/dbraw/zinc/16/48/44/619164844.db2.gz LBTDAXIKSCIXAU-FQEVSTJZSA-N 0 0 298.364 2.778 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000893698387 619166305 /nfs/dbraw/zinc/16/63/05/619166305.db2.gz ZPNZTWJRCVZXIP-AEGPPILISA-N 0 0 288.347 2.832 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H]1C1CCCC1 ZINC000889405250 619179048 /nfs/dbraw/zinc/17/90/48/619179048.db2.gz MDPZLBPSYBZWLV-GFCCVEGCSA-N 0 0 289.335 2.582 20 5 CFBDRN Cc1c(OC(=O)C2(C)CCOCC2)cccc1[N+](=O)[O-] ZINC000726754720 619260223 /nfs/dbraw/zinc/26/02/23/619260223.db2.gz QBFDGCNKQIBWTB-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CCC(C)(C)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000726830244 619265004 /nfs/dbraw/zinc/26/50/04/619265004.db2.gz CLIKQJVKSMDBAR-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCCc3cccc(Cl)c32)c1 ZINC000158876814 619271478 /nfs/dbraw/zinc/27/14/78/619271478.db2.gz HYKMLRZGCXFJIP-UHFFFAOYSA-N 0 0 292.726 2.855 20 5 CFBDRN O=[N+]([O-])CCN(Cc1cccc(Br)c1)C1CC1 ZINC000791053633 619274789 /nfs/dbraw/zinc/27/47/89/619274789.db2.gz UVUCKQPNYZSFFZ-UHFFFAOYSA-N 0 0 299.168 2.690 20 5 CFBDRN CC[C@@H](C)CC(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000791506113 619333321 /nfs/dbraw/zinc/33/33/21/619333321.db2.gz XKGZAGRGGRCUHM-LLVKDONJSA-N 0 0 281.308 2.953 20 5 CFBDRN O=C(O[C@H]1CCc2c1cccc2Cl)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840673374 619334214 /nfs/dbraw/zinc/33/42/14/619334214.db2.gz MLCMRVYHUXXQAW-JLLWLGSASA-N 0 0 281.695 2.536 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CC[C@@H](C2CCCCC2)C1 ZINC000894537037 619413025 /nfs/dbraw/zinc/41/30/25/619413025.db2.gz QSAYREJMSOECEU-GFCCVEGCSA-N 0 0 278.356 2.735 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1NCC[C@@H]1CC1(F)F ZINC000894673494 619439056 /nfs/dbraw/zinc/43/90/56/619439056.db2.gz OANICCUZDNWLEE-ZCFIWIBFSA-N 0 0 284.222 2.588 20 5 CFBDRN COc1c(O)ccc(C[NH2+][C@@H]2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000894865074 619489615 /nfs/dbraw/zinc/48/96/15/619489615.db2.gz FBGHOHDZRUQFGY-ADEWGFFLSA-N 0 0 292.335 2.587 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000894938836 619516206 /nfs/dbraw/zinc/51/62/06/619516206.db2.gz FCDPXSRLWUEDDC-TVQRCGJNSA-N 0 0 295.295 2.507 20 5 CFBDRN CC(C)OC1CN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000894971374 619519310 /nfs/dbraw/zinc/51/93/10/619519310.db2.gz KHYYRKKWUGTHOK-UHFFFAOYSA-N 0 0 287.319 2.757 20 5 CFBDRN CC1(F)CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CC1 ZINC000894982318 619521592 /nfs/dbraw/zinc/52/15/92/619521592.db2.gz LPKFBGZRSUDWSU-UHFFFAOYSA-N 0 0 283.259 2.831 20 5 CFBDRN C[C@H](F)CCNC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000895049990 619534521 /nfs/dbraw/zinc/53/45/21/619534521.db2.gz HSTLAUNICQBTGB-MNOVXSKESA-N 0 0 297.330 2.746 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000895541733 619550882 /nfs/dbraw/zinc/55/08/82/619550882.db2.gz XRJLWFSGOTUFPO-CZULRBLNSA-N 0 0 264.329 2.576 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cc(C(F)F)on2)n1 ZINC000895607444 619567075 /nfs/dbraw/zinc/56/70/75/619567075.db2.gz IYWUMUXUOQIOMX-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN Cn1ccc(C2CCN(c3ccc([N+](=O)[O-])cc3)CC2)n1 ZINC000895649495 619573246 /nfs/dbraw/zinc/57/32/46/619573246.db2.gz MKMLWOLINQTOPJ-UHFFFAOYSA-N 0 0 286.335 2.712 20 5 CFBDRN CC(C)(C)OC[C@@H]1CCCN1c1ccc([N+](=O)[O-])nc1 ZINC000895660591 619578164 /nfs/dbraw/zinc/57/81/64/619578164.db2.gz OTADYOCRMORHOS-LBPRGKRZSA-N 0 0 279.340 2.774 20 5 CFBDRN Cc1nnc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)nc1C ZINC000895667613 619581391 /nfs/dbraw/zinc/58/13/91/619581391.db2.gz RLNRURCGNVLJBF-SNVBAGLBSA-N 0 0 273.296 2.570 20 5 CFBDRN COc1nc(C)cc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000895667301 619581575 /nfs/dbraw/zinc/58/15/75/619581575.db2.gz HBRQMPLULPNBMH-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN C[C@@H]1CCN(c2ccnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000895765952 619598599 /nfs/dbraw/zinc/59/85/99/619598599.db2.gz SBWUSZOYYTVSBP-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccc(N2CCO[C@H](C)CC2)c([N+](=O)[O-])c1 ZINC000895759142 619601001 /nfs/dbraw/zinc/60/10/01/619601001.db2.gz XOHRKMSHKYPNLE-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cc(N2CCO[C@H](C)CC2)c(F)cc1[N+](=O)[O-] ZINC000895762215 619601762 /nfs/dbraw/zinc/60/17/62/619601762.db2.gz PXURTLCLNOSETF-SNVBAGLBSA-N 0 0 268.288 2.658 20 5 CFBDRN Cc1cc(N[C@@H](c2ncccn2)C2CC2)ncc1[N+](=O)[O-] ZINC000895777341 619604569 /nfs/dbraw/zinc/60/45/69/619604569.db2.gz JQGMWQLKEGTSQC-CYBMUJFWSA-N 0 0 285.307 2.651 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](c1ccccn1)C1CC1 ZINC000895794864 619610164 /nfs/dbraw/zinc/61/01/64/619610164.db2.gz RAEVMJZFZZNGQW-CYBMUJFWSA-N 0 0 270.292 2.948 20 5 CFBDRN COc1ccc(CNCc2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000895831724 619619078 /nfs/dbraw/zinc/61/90/78/619619078.db2.gz AIHALIMJJLNGMG-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN CC(C)O[C@]1(CNc2ccc(F)cc2[N+](=O)[O-])CCOC1 ZINC000895871006 619624815 /nfs/dbraw/zinc/62/48/15/619624815.db2.gz KHTJRVVXOIAGDN-AWEZNQCLSA-N 0 0 298.314 2.730 20 5 CFBDRN C[C@H]1COC[C@H]1Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000895905213 619630162 /nfs/dbraw/zinc/63/01/62/619630162.db2.gz JVYNSOBCNZLQAA-OIBJUYFYSA-N 0 0 279.321 2.651 20 5 CFBDRN C[C@@H]1COC[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000895907136 619631939 /nfs/dbraw/zinc/63/19/39/619631939.db2.gz AQDWKJMEKFRFFS-SKDRFNHKSA-N 0 0 273.292 2.590 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C=C[C@H]3C[C@@H]2CO3)c1 ZINC000896077133 619666935 /nfs/dbraw/zinc/66/69/35/619666935.db2.gz GMJPLVZIHMHNEH-XQQFMLRXSA-N 0 0 274.320 2.906 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(COC2CC2)n1 ZINC000896088782 619668070 /nfs/dbraw/zinc/66/80/70/619668070.db2.gz VYNJUWNKYDEBBS-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2C[C@H]2C(F)(F)F)s1 ZINC000896091592 619671460 /nfs/dbraw/zinc/67/14/60/619671460.db2.gz YXEMVVWNOAIXOB-CRCLSJGQSA-N 0 0 267.232 2.662 20 5 CFBDRN Cc1nc(NC[C@@H]2C[C@H]2C(F)(F)F)ccc1[N+](=O)[O-] ZINC000896093012 619672108 /nfs/dbraw/zinc/67/21/08/619672108.db2.gz VDGMJBPLWZYVMA-JGVFFNPUSA-N 0 0 275.230 2.909 20 5 CFBDRN CN(C)[C@@H](CCNc1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000896109320 619676001 /nfs/dbraw/zinc/67/60/01/619676001.db2.gz MDWLABGWJWALOM-ZETCQYMHSA-N 0 0 297.302 2.951 20 5 CFBDRN CCC[C@@H](O)CCNc1ccc([N+](=O)[O-])cc1COC ZINC000896187382 619690493 /nfs/dbraw/zinc/69/04/93/619690493.db2.gz OMPHILVHXIAADF-CYBMUJFWSA-N 0 0 282.340 2.704 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2CCSC3(CCC3)C2)c1[N+](=O)[O-] ZINC000896250433 619705695 /nfs/dbraw/zinc/70/56/95/619705695.db2.gz PAWRZQNZCRIULH-SECBINFHSA-N 0 0 295.364 2.926 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2OC[C@@H]3CCC[C@@H]32)n1)[N+](=O)[O-] ZINC000896315698 619716743 /nfs/dbraw/zinc/71/67/43/619716743.db2.gz SNGMVTLLHFFVEF-JBLDHEPKSA-N 0 0 295.339 2.545 20 5 CFBDRN CCC(=O)c1ccc(N2CCCC(=O)[C@H]2C)c([N+](=O)[O-])c1 ZINC000896339182 619719327 /nfs/dbraw/zinc/71/93/27/619719327.db2.gz MYAOCEWZNUQPSZ-SNVBAGLBSA-N 0 0 290.319 2.745 20 5 CFBDRN CC1(C)O[C@@H]2C[C@@H](Nc3ccc([N+](=O)[O-])s3)C[C@@H]2O1 ZINC000896369885 619725104 /nfs/dbraw/zinc/72/51/04/619725104.db2.gz OYRAYXBHTAABDN-PSVAKVPMSA-N 0 0 284.337 2.751 20 5 CFBDRN CCO[C@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000896405574 619730128 /nfs/dbraw/zinc/73/01/28/619730128.db2.gz DWJXNYOKYOHRHX-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CCO[C@H]1CCN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000896405922 619730787 /nfs/dbraw/zinc/73/07/87/619730787.db2.gz RQDYEPMSWFURSZ-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cnc(N[C@H](C2CC2)[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000896436547 619738648 /nfs/dbraw/zinc/73/86/48/619738648.db2.gz JAZYGBPIRNSUBI-TZMCWYRMSA-N 0 0 291.351 2.915 20 5 CFBDRN CCOCCOCCNc1ccc([N+](=O)[O-])cc1Cl ZINC000896485715 619743422 /nfs/dbraw/zinc/74/34/22/619743422.db2.gz YOMNXLPNGXFODQ-UHFFFAOYSA-N 0 0 288.731 2.713 20 5 CFBDRN COC[C@H](CNc1nccc(C)c1[N+](=O)[O-])C(C)C ZINC000896505745 619752697 /nfs/dbraw/zinc/75/26/97/619752697.db2.gz PSHJSGDORPQGPI-NSHDSACASA-N 0 0 267.329 2.629 20 5 CFBDRN COC[C@@H](CNc1nc(C)ccc1[N+](=O)[O-])C(C)C ZINC000896506432 619752958 /nfs/dbraw/zinc/75/29/58/619752958.db2.gz VOBXAGLWCFVKOQ-LLVKDONJSA-N 0 0 267.329 2.629 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CC(C)(C)OC2(C)C)c1[N+](=O)[O-] ZINC000896516943 619754934 /nfs/dbraw/zinc/75/49/34/619754934.db2.gz TXIQLSXEAGWIHS-JTQLQIEISA-N 0 0 296.371 2.642 20 5 CFBDRN Cc1ccnc(NCc2cc(C3CC3)n(C)n2)c1[N+](=O)[O-] ZINC000896534351 619756520 /nfs/dbraw/zinc/75/65/20/619756520.db2.gz GTRDTHOFBFBNKP-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOC[C@@H]1CC1CC1 ZINC000896636973 619780051 /nfs/dbraw/zinc/78/00/51/619780051.db2.gz HHHRAWKDZSCELU-LBPRGKRZSA-N 0 0 280.299 2.739 20 5 CFBDRN CCC1(CC)CNC(=O)[C@H]1Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000896674374 619785148 /nfs/dbraw/zinc/78/51/48/619785148.db2.gz NMKLOXUBBJLKQJ-CYBMUJFWSA-N 0 0 291.351 2.620 20 5 CFBDRN COc1cc(NC[C@@H]2CC[C@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000896803949 619817354 /nfs/dbraw/zinc/81/73/54/619817354.db2.gz LNTPCKCGWRJVKL-GXTWGEPZSA-N 0 0 292.335 2.973 20 5 CFBDRN COc1cccc(NC[C@H]2CC[C@H](C3CC3)O2)c1[N+](=O)[O-] ZINC000896802139 619822522 /nfs/dbraw/zinc/82/25/22/619822522.db2.gz BOULONKOTAHGFN-DGCLKSJQSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1nn(C)c(N2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@]3(C)C2)c1[N+](=O)[O-] ZINC000896904811 619876547 /nfs/dbraw/zinc/87/65/47/619876547.db2.gz UUXHFFSQNDOEGV-ZAZJYDDPSA-N 0 0 290.367 2.509 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCOC23CCCCC3)s1 ZINC000896991024 619909092 /nfs/dbraw/zinc/90/90/92/619909092.db2.gz WCDFRUNLZQCVQV-VIFPVBQESA-N 0 0 283.353 2.955 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2C2OCCO2)c(Cl)c1 ZINC000897005517 619911662 /nfs/dbraw/zinc/91/16/62/619911662.db2.gz APMKHCTUFXRDIA-GFCCVEGCSA-N 0 0 298.726 2.590 20 5 CFBDRN COC(=O)[C@@H]1C[C@@H]2C[C@H](Nc3ccc([N+](=O)[O-])cc3)[C@@H]2C1 ZINC000897012056 619912792 /nfs/dbraw/zinc/91/27/92/619912792.db2.gz ZJIZFOISRRFPGZ-MHWZDGSBSA-N 0 0 290.319 2.594 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(N[C@@H]3CCCC4(CC4)C3)nn21 ZINC000897044034 619918037 /nfs/dbraw/zinc/91/80/37/619918037.db2.gz YKQQRHWTWIWZCU-SNVBAGLBSA-N 0 0 287.323 2.772 20 5 CFBDRN C[C@H]1CC2(CC(Nc3cc(N)ccc3[N+](=O)[O-])C2)CO1 ZINC000897052578 619920763 /nfs/dbraw/zinc/92/07/63/619920763.db2.gz ODBZAOLETFWDPL-VOIZVOFFSA-N 0 0 277.324 2.547 20 5 CFBDRN Cc1nc(NC2CC3(C2)CO[C@@H](C)C3)ccc1[N+](=O)[O-] ZINC000897053303 619921140 /nfs/dbraw/zinc/92/11/40/619921140.db2.gz UUHIZXMEUXVQPZ-VOIZVOFFSA-N 0 0 277.324 2.668 20 5 CFBDRN CC(C)N(C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000897067911 619924362 /nfs/dbraw/zinc/92/43/62/619924362.db2.gz QSTHOKHCOCOLIH-NSHDSACASA-N 0 0 279.340 2.748 20 5 CFBDRN C[C@H](CNC(=O)N(C)CC1CC1)c1ccccc1[N+](=O)[O-] ZINC000897071357 619925786 /nfs/dbraw/zinc/92/57/86/619925786.db2.gz BBQJKULYJVZXOW-LLVKDONJSA-N 0 0 291.351 2.750 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000897072100 619926021 /nfs/dbraw/zinc/92/60/21/619926021.db2.gz DPKLRQJDAXOHFP-MIBAYGRRSA-N 0 0 297.314 2.731 20 5 CFBDRN CN(c1cc[nH]c(=O)c1[N+](=O)[O-])[C@H]1CCc2ccccc2C1 ZINC000897128488 619937893 /nfs/dbraw/zinc/93/78/93/619937893.db2.gz JKHPYGAYVYGLLX-ZDUSSCGKSA-N 0 0 299.330 2.689 20 5 CFBDRN COc1cc(N(C)[C@H]2CC[C@@H]2OC)c([N+](=O)[O-])cc1C ZINC000897145614 619940840 /nfs/dbraw/zinc/94/08/40/619940840.db2.gz SPGIFJSSZDRILJ-GWCFXTLKSA-N 0 0 280.324 2.525 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(CCCCF)no1 ZINC000897215202 619951797 /nfs/dbraw/zinc/95/17/97/619951797.db2.gz NPQZDNGBOXYUCR-UHFFFAOYSA-N 0 0 280.259 2.640 20 5 CFBDRN CC1(C)CC2(CC(Nc3ncccc3[N+](=O)[O-])C2)CO1 ZINC000897216722 619952610 /nfs/dbraw/zinc/95/26/10/619952610.db2.gz VOHIQSSJSFFVDB-UHFFFAOYSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC1CC(CCO)C1 ZINC000897233125 619955842 /nfs/dbraw/zinc/95/58/42/619955842.db2.gz DRFFMYNFIWYOTN-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CC(CCO)C2)c2ccccc21 ZINC000897236860 619956605 /nfs/dbraw/zinc/95/66/05/619956605.db2.gz WTQAGKCBDPRACA-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN COc1c(O)ccc(C[NH2+]C[C@]23C[C@H]2CCC3)c1[N+](=O)[O-] ZINC000897242463 619957525 /nfs/dbraw/zinc/95/75/25/619957525.db2.gz WFMLVEOVSWPASJ-ABAIWWIYSA-N 0 0 292.335 2.589 20 5 CFBDRN CC1(NC(=S)NCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000840717275 619959308 /nfs/dbraw/zinc/95/93/08/619959308.db2.gz SWSDUYHLLQMWSD-UHFFFAOYSA-N 0 0 279.365 2.502 20 5 CFBDRN CC1(NC(=S)NCc2ccccc2[N+](=O)[O-])CCC1 ZINC000840717919 619961394 /nfs/dbraw/zinc/96/13/94/619961394.db2.gz KACXXBIPYVWTSZ-UHFFFAOYSA-N 0 0 279.365 2.502 20 5 CFBDRN COc1ccc(C(=O)O[C@H](C)[C@H](C)SC)cc1[N+](=O)[O-] ZINC000797198436 619976194 /nfs/dbraw/zinc/97/61/94/619976194.db2.gz UMEZBJVVAZJESE-BDAKNGLRSA-N 0 0 299.348 2.900 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)[C@@H](C)SC ZINC000797209741 619979369 /nfs/dbraw/zinc/97/93/69/619979369.db2.gz XGOMVVMXVKSRIY-RKDXNWHRSA-N 0 0 299.348 2.900 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC23CCCC3)nc1 ZINC000897322501 619991241 /nfs/dbraw/zinc/99/12/41/619991241.db2.gz HXKPTYMCRXDDPB-LLVKDONJSA-N 0 0 263.297 2.503 20 5 CFBDRN Cc1ccc(S(=O)(=O)Oc2ccccc2)cc1[N+](=O)[O-] ZINC000016089956 620000638 /nfs/dbraw/zinc/00/06/38/620000638.db2.gz KBDNBAXBBDIDFI-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1cccnc1C ZINC000897406584 620105064 /nfs/dbraw/zinc/10/50/64/620105064.db2.gz ZLNYSTMYXRSDGJ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=C(OCc1cccnc1Cl)c1ccc([N+](=O)[O-])o1 ZINC000729193766 620115770 /nfs/dbraw/zinc/11/57/70/620115770.db2.gz LAZJRLQPYHKVEX-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN Cc1cccc(C(=O)Nc2cccc3nonc32)c1[N+](=O)[O-] ZINC000752927360 620116093 /nfs/dbraw/zinc/11/60/93/620116093.db2.gz ACWDSSAGXOXBOP-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000889577878 620126554 /nfs/dbraw/zinc/12/65/54/620126554.db2.gz DPPNEEZDPXRSFZ-RAIGVLPGSA-N 0 0 274.320 2.822 20 5 CFBDRN CC[C@@H](C)NC(=O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000109744406 620146296 /nfs/dbraw/zinc/14/62/96/620146296.db2.gz LTBJIMNZZOYXBI-MRVPVSSYSA-N 0 0 286.715 2.542 20 5 CFBDRN CCC[C@@H]([NH2+][C@@H](C)CCc1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000263449713 620146399 /nfs/dbraw/zinc/14/63/99/620146399.db2.gz ZBWIVELKKSRJGA-WCQYABFASA-N 0 0 294.351 2.759 20 5 CFBDRN CC[C@H](C)NC(=O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000109744409 620146458 /nfs/dbraw/zinc/14/64/58/620146458.db2.gz LTBJIMNZZOYXBI-QMMMGPOBSA-N 0 0 286.715 2.542 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)cc1[N+](=O)[O-] ZINC000889581255 620149312 /nfs/dbraw/zinc/14/93/12/620149312.db2.gz OZGGZJSKIVXKIN-UFGOTCBOSA-N 0 0 289.335 2.555 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000888177162 620165493 /nfs/dbraw/zinc/16/54/93/620165493.db2.gz CYKRVSNOYZBCSK-PHIMTYICSA-N 0 0 275.308 2.641 20 5 CFBDRN COc1cc(N[C@H]2COC[C@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000897446344 620175204 /nfs/dbraw/zinc/17/52/04/620175204.db2.gz FLTQGLUWXNZZOB-CABZTGNLSA-N 0 0 296.298 2.579 20 5 CFBDRN O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1NC/C=C\Cl ZINC000897502983 620193850 /nfs/dbraw/zinc/19/38/50/620193850.db2.gz JHBJHMCPECHWIG-BHQIHCQQSA-N 0 0 295.726 2.651 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCOC1CCSCC1 ZINC000897509937 620198820 /nfs/dbraw/zinc/19/88/20/620198820.db2.gz GHUBCLOIDAEULT-UHFFFAOYSA-N 0 0 288.394 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOC2CCSCC2)s1 ZINC000897515464 620200812 /nfs/dbraw/zinc/20/08/12/620200812.db2.gz RTWNLFXMHPSDCQ-UHFFFAOYSA-N 0 0 288.394 2.980 20 5 CFBDRN CCC(CC)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000035121063 620234904 /nfs/dbraw/zinc/23/49/04/620234904.db2.gz ZQJRIZZNTYZHKD-UHFFFAOYSA-N 0 0 250.258 2.732 20 5 CFBDRN Cc1cnc(OCOC2CCCCC2)c([N+](=O)[O-])c1 ZINC000865358863 620240625 /nfs/dbraw/zinc/24/06/25/620240625.db2.gz PREVAMJWNHFPEO-UHFFFAOYSA-N 0 0 266.297 2.984 20 5 CFBDRN CC(C)n1cc([C@H](C)NCc2ccccc2[N+](=O)[O-])nn1 ZINC000925310587 620243067 /nfs/dbraw/zinc/24/30/67/620243067.db2.gz NHVICUUYSILBIV-NSHDSACASA-N 0 0 289.339 2.618 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1cc(F)cc([N+](=O)[O-])c1 ZINC000889594652 620255868 /nfs/dbraw/zinc/25/58/68/620255868.db2.gz XJYYGUOCQMGVOS-ZEGGKSINSA-N 0 0 278.283 2.652 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1cc(F)cc([N+](=O)[O-])c1 ZINC000889594647 620256002 /nfs/dbraw/zinc/25/60/02/620256002.db2.gz XJYYGUOCQMGVOS-BZHVJNSISA-N 0 0 278.283 2.652 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc3ccccc3c([N+](=O)[O-])c2N)[C@H]1C ZINC000897852257 620294333 /nfs/dbraw/zinc/29/43/33/620294333.db2.gz BZEFVEWNFOOEOM-ZJUUUORDSA-N 0 0 299.330 2.811 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1C[NH2+][C@@H]1COC[C@H]1C1CC1 ZINC000898373987 620375825 /nfs/dbraw/zinc/37/58/25/620375825.db2.gz ICZPSLSEYAAXSO-QWHCGFSZSA-N 0 0 296.754 2.763 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889596640 620412932 /nfs/dbraw/zinc/41/29/32/620412932.db2.gz MPVSOLWHKJPREH-AEGPPILISA-N 0 0 288.347 2.832 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(CC2(C)COC2)n1 ZINC000923886481 620425395 /nfs/dbraw/zinc/42/53/95/620425395.db2.gz OMZSQEJAYPXFCL-UHFFFAOYSA-N 0 0 289.291 2.532 20 5 CFBDRN Cn1cc(CCOc2cccc(Cl)c2[N+](=O)[O-])cn1 ZINC000842060686 620442412 /nfs/dbraw/zinc/44/24/12/620442412.db2.gz APVNRUHZEZPAKD-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN C[C@H]1CCN1C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000899199839 620530490 /nfs/dbraw/zinc/53/04/90/620530490.db2.gz JCGGBHABAPQFDQ-VIFPVBQESA-N 0 0 285.303 2.565 20 5 CFBDRN O=[N+]([O-])CCOc1ccc(OCC2CC2)c(Cl)c1 ZINC000899232729 620534259 /nfs/dbraw/zinc/53/42/59/620534259.db2.gz UBYLPPDLOVDUGA-UHFFFAOYSA-N 0 0 271.700 2.784 20 5 CFBDRN CC1=NN(c2cc([N+](=O)[O-])ccc2C)C(=O)[C@@H]1C(C)C ZINC000899349625 620549711 /nfs/dbraw/zinc/54/97/11/620549711.db2.gz HSMOTDGYUGQHST-CYBMUJFWSA-N 0 0 275.308 2.898 20 5 CFBDRN Cn1cc(/C=C/c2ccc([N+](=O)[O-])cn2)c(C2CC2)n1 ZINC000899362657 620553731 /nfs/dbraw/zinc/55/37/31/620553731.db2.gz ZDQVXQLSNKAHMF-SNAWJCMRSA-N 0 0 270.292 2.771 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000899419462 620562496 /nfs/dbraw/zinc/56/24/96/620562496.db2.gz YPNQDDJZCXUPSZ-RCCPXBDUSA-N 0 0 274.320 2.617 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)c1 ZINC000899416830 620566934 /nfs/dbraw/zinc/56/69/34/620566934.db2.gz SIGWTYZFOIPGBC-VOAKCMCISA-N 0 0 288.347 2.925 20 5 CFBDRN CCCNC(=S)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000899621938 620586880 /nfs/dbraw/zinc/58/68/80/620586880.db2.gz WUMYEXVDNPJECX-JTQLQIEISA-N 0 0 281.381 2.572 20 5 CFBDRN CN(CCCF)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000899816985 620604238 /nfs/dbraw/zinc/60/42/38/620604238.db2.gz IZLNBPKQFLKQKY-UHFFFAOYSA-N 0 0 279.271 2.508 20 5 CFBDRN Cc1nnc(SCC[N+](=O)[O-])n1[C@H]1CCC[C@@H](C)C1 ZINC000899881557 620609012 /nfs/dbraw/zinc/60/90/12/620609012.db2.gz KZZHESLVJOJOTR-KOLCDFICSA-N 0 0 284.385 2.707 20 5 CFBDRN CCC/C=C/[C@H](O)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000899911325 620612193 /nfs/dbraw/zinc/61/21/93/620612193.db2.gz PIFKGFGJEYNTOS-GFUIURDCSA-N 0 0 278.308 2.559 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCN1C(C)(C)C ZINC000899911446 620612351 /nfs/dbraw/zinc/61/23/51/620612351.db2.gz REWAHKBGHNABKZ-ZDUSSCGKSA-N 0 0 291.351 2.715 20 5 CFBDRN CC[C@@H](O)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000899937654 620615802 /nfs/dbraw/zinc/61/58/02/620615802.db2.gz RGPMJTLWVXKSSB-GXFFZTMASA-N 0 0 280.324 2.557 20 5 CFBDRN O=C(NCC1CC1)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000900082858 620635285 /nfs/dbraw/zinc/63/52/85/620635285.db2.gz YIZRNQTZSZORHJ-UHFFFAOYSA-N 0 0 272.663 2.527 20 5 CFBDRN Cc1noc(CNc2ccc3c(c2)C[C@H](C)O3)c1[N+](=O)[O-] ZINC000901445739 620822948 /nfs/dbraw/zinc/82/29/48/620822948.db2.gz DKAWFHCUIFIRRR-QMMMGPOBSA-N 0 0 289.291 2.827 20 5 CFBDRN Cc1cn(C)nc1COc1cc([N+](=O)[O-])ccc1C ZINC000901471405 620832761 /nfs/dbraw/zinc/83/27/61/620832761.db2.gz KJLUCUOEZMDDCB-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN COC/C(C)=C\Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000901558341 620856889 /nfs/dbraw/zinc/85/68/89/620856889.db2.gz ZOGUXSHZFPNZFN-XFFZJAGNSA-N 0 0 288.303 2.502 20 5 CFBDRN CCC[N@H+](CC(=O)[O-])C/C(C)=C/c1cccc([N+](=O)[O-])c1 ZINC000901605137 620871885 /nfs/dbraw/zinc/87/18/85/620871885.db2.gz ISUCAHKGLWXGRU-XYOKQWHBSA-N 0 0 292.335 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CSC1COC1 ZINC000901641372 620878376 /nfs/dbraw/zinc/87/83/76/620878376.db2.gz AAHORDZKMHFXIM-UHFFFAOYSA-N 0 0 259.714 2.880 20 5 CFBDRN CC[C@@H](C)C[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000901680507 620892095 /nfs/dbraw/zinc/89/20/95/620892095.db2.gz GOROJKVBZNRTEO-MFKMUULPSA-N 0 0 280.324 2.574 20 5 CFBDRN Cn1cc(CSCc2ccsc2)c([N+](=O)[O-])n1 ZINC000901840344 620926157 /nfs/dbraw/zinc/92/61/57/620926157.db2.gz QUVNBJORGODBJO-UHFFFAOYSA-N 0 0 269.351 2.823 20 5 CFBDRN COCC(COC)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000901853769 620931037 /nfs/dbraw/zinc/93/10/37/620931037.db2.gz BWKWZDZYUWTVIT-UHFFFAOYSA-N 0 0 289.328 2.629 20 5 CFBDRN COc1c(Cl)cccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000901970203 620947594 /nfs/dbraw/zinc/94/75/94/620947594.db2.gz IBIMIHPPIUTCAF-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1nncs1 ZINC000902008451 620956866 /nfs/dbraw/zinc/95/68/66/620956866.db2.gz VHYLTTOADXAVOW-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC[C@@H]1C[C@]12CCOC2 ZINC000902047238 620963623 /nfs/dbraw/zinc/96/36/23/620963623.db2.gz YFRWOUKKRIPIEL-NHYWBVRUSA-N 0 0 292.335 2.832 20 5 CFBDRN CCn1cc(NCc2cc(OC)c(F)cc2[N+](=O)[O-])cn1 ZINC000902069734 620967421 /nfs/dbraw/zinc/96/74/21/620967421.db2.gz HYQUTVIHYUMBOK-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1cc(C)nnc1C ZINC000902089570 620976226 /nfs/dbraw/zinc/97/62/26/620976226.db2.gz WFPVFQADIRQWJA-UHFFFAOYSA-N 0 0 287.323 2.655 20 5 CFBDRN C[C@@H]1C[C@H]([NH2+]Cc2ccccc2[N+](=O)[O-])CC[C@H]1C(=O)[O-] ZINC000902097288 620978769 /nfs/dbraw/zinc/97/87/69/620978769.db2.gz XBAPJPNPUFONLQ-RAIGVLPGSA-N 0 0 292.335 2.574 20 5 CFBDRN C[C@@H](NC(=O)NC[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000923994165 625457341 /nfs/dbraw/zinc/45/73/41/625457341.db2.gz OHOZBGVVNOIYFU-NEPJUHHUSA-N 0 0 289.335 2.921 20 5 CFBDRN Cn1cc(NCc2cc(F)ccc2[N+](=O)[O-])c(C2CC2)n1 ZINC000902210985 620993582 /nfs/dbraw/zinc/99/35/82/620993582.db2.gz NANBDPJUMSZOML-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cnc(CC3CC3)nc2)c1 ZINC000902260221 621008418 /nfs/dbraw/zinc/00/84/18/621008418.db2.gz DIUVBVNFPSDVDS-UHFFFAOYSA-N 0 0 284.319 2.949 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSC[C@H]1CCCCO1 ZINC000902287067 621015262 /nfs/dbraw/zinc/01/52/62/621015262.db2.gz RUPMFZKIDBEUNB-LLVKDONJSA-N 0 0 268.338 2.792 20 5 CFBDRN Cc1nn(C[C@H]2C[C@@]23CCOC3)c2ccc([N+](=O)[O-])cc12 ZINC000902295493 621023968 /nfs/dbraw/zinc/02/39/68/621023968.db2.gz HOGWFIYFVCIVEY-IAQYHMDHSA-N 0 0 287.319 2.680 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@]12CCOC2 ZINC000902308537 621028918 /nfs/dbraw/zinc/02/89/18/621028918.db2.gz OOHFWJQLHMKENY-NHYWBVRUSA-N 0 0 291.303 2.603 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H]1C[C@]12CCOC2 ZINC000902309594 621029496 /nfs/dbraw/zinc/02/94/96/621029496.db2.gz QWAIADGQDFIRMD-RISCZKNCSA-N 0 0 263.293 2.709 20 5 CFBDRN CCn1cnc(CNCc2cc([N+](=O)[O-])ccc2Cl)c1 ZINC000902311016 621029754 /nfs/dbraw/zinc/02/97/54/621029754.db2.gz IRAAKXMREKWWHM-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(C[C@H]3C[C@]34CCOC4)c2)c1 ZINC000902316372 621031439 /nfs/dbraw/zinc/03/14/39/621031439.db2.gz QPGXOHORYICBFF-ZBFHGGJFSA-N 0 0 299.330 2.885 20 5 CFBDRN Cn1cc(CSCC2CCCC2)c([N+](=O)[O-])n1 ZINC000902363623 621053877 /nfs/dbraw/zinc/05/38/77/621053877.db2.gz CLQIWPLFUBQASO-UHFFFAOYSA-N 0 0 255.343 2.752 20 5 CFBDRN Cc1cc(CSCc2cccnc2[N+](=O)[O-])nc(C)n1 ZINC000902417996 621071545 /nfs/dbraw/zinc/07/15/45/621071545.db2.gz MVXWCJMPIDHLJB-UHFFFAOYSA-N 0 0 290.348 2.830 20 5 CFBDRN CO[C@@H](CSCc1cn(C)nc1[N+](=O)[O-])C1CCCC1 ZINC000902422742 621074002 /nfs/dbraw/zinc/07/40/02/621074002.db2.gz NJLFFJOBDWLFAE-LBPRGKRZSA-N 0 0 299.396 2.767 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCc1cc[nH]n1 ZINC000902437628 621079978 /nfs/dbraw/zinc/07/99/78/621079978.db2.gz GNFGKDVHEPLMSE-UHFFFAOYSA-N 0 0 279.321 2.760 20 5 CFBDRN Cn1cc(CSC[C@@H]2CCCC(C)(C)O2)c([N+](=O)[O-])n1 ZINC000902474961 621086387 /nfs/dbraw/zinc/08/63/87/621086387.db2.gz BMQFFGBPZLUBDH-NSHDSACASA-N 0 0 299.396 2.909 20 5 CFBDRN Cc1nonc1CSCc1ccc(F)cc1[N+](=O)[O-] ZINC000902530458 621118988 /nfs/dbraw/zinc/11/89/88/621118988.db2.gz RUMRSANYFYWVKT-UHFFFAOYSA-N 0 0 283.284 2.859 20 5 CFBDRN CC[C@@H]1C[C@H]1CSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000902578631 621129343 /nfs/dbraw/zinc/12/93/43/621129343.db2.gz CPTIDHSQBPZPSQ-SKDRFNHKSA-N 0 0 294.376 2.973 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000902693533 621152603 /nfs/dbraw/zinc/15/26/03/621152603.db2.gz HIKQUKPZNVBABR-UONOGXRCSA-N 0 0 297.314 2.756 20 5 CFBDRN CCc1ncc(COc2ccc([N+](=O)[O-])cc2C(C)=O)o1 ZINC000902724083 621158494 /nfs/dbraw/zinc/15/84/94/621158494.db2.gz RBLXBHRKENGAEI-UHFFFAOYSA-N 0 0 290.275 2.927 20 5 CFBDRN COC/C(C)=C\Cn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000902749887 621164118 /nfs/dbraw/zinc/16/41/18/621164118.db2.gz YUFBRZFSXBGVGY-WZUFQYTHSA-N 0 0 288.303 2.502 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000902899186 621203541 /nfs/dbraw/zinc/20/35/41/621203541.db2.gz GCCVCSHFTMVNCK-WRSRJMLGSA-N 0 0 275.308 2.761 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCC[C@@H](F)C2)c(F)c1 ZINC000902991827 621219316 /nfs/dbraw/zinc/21/93/16/621219316.db2.gz CJBKDTFIKYJZKI-MRVPVSSYSA-N 0 0 274.242 2.807 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCC[C@H](F)C1 ZINC000902996804 621223412 /nfs/dbraw/zinc/22/34/12/621223412.db2.gz WYLVFBMMXWUSPN-NSHDSACASA-N 0 0 268.288 2.537 20 5 CFBDRN CC1(CC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)COC1 ZINC000903099743 621252244 /nfs/dbraw/zinc/25/22/44/621252244.db2.gz MFSJQQAAFYPRNF-UHFFFAOYSA-N 0 0 284.699 2.613 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000903317405 621330467 /nfs/dbraw/zinc/33/04/67/621330467.db2.gz KGVYCNGPMIOETJ-GGZOMVNGSA-N 0 0 289.291 2.783 20 5 CFBDRN CC[C@H](C)[C@H](C(=O)[O-])[N@H+](C)CCc1ccccc1[N+](=O)[O-] ZINC000903608425 621401978 /nfs/dbraw/zinc/40/19/78/621401978.db2.gz HQJHPAZFZLGGHT-SMDDNHRTSA-N 0 0 294.351 2.568 20 5 CFBDRN CCO[C@H]1[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)[C@@H]1C ZINC000903669444 621413534 /nfs/dbraw/zinc/41/35/34/621413534.db2.gz CFWFWVDDAAQAOR-WQGWLQIFSA-N 0 0 295.320 2.845 20 5 CFBDRN C[C@H](c1noc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1CCCO1 ZINC000903792970 621439575 /nfs/dbraw/zinc/43/95/75/621439575.db2.gz VPBBDJHKYGSRQH-CABZTGNLSA-N 0 0 289.291 2.927 20 5 CFBDRN CSCCCCc1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000903786827 621442302 /nfs/dbraw/zinc/44/23/02/621442302.db2.gz DTTKQVKCTHNJPH-UHFFFAOYSA-N 0 0 296.352 2.669 20 5 CFBDRN C[C@H](c1noc(-c2ccccc2[N+](=O)[O-])n1)[C@H]1CCCO1 ZINC000903809895 621446195 /nfs/dbraw/zinc/44/61/95/621446195.db2.gz WAQMRYPFPMYZIP-JOYOIKCWSA-N 0 0 289.291 2.927 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC[C@@H]1C[C@H]1C1CC1 ZINC000927415625 621581310 /nfs/dbraw/zinc/58/13/10/621581310.db2.gz WNVSIRXTWCHSCP-HIFRSBDPSA-N 0 0 288.347 2.690 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc(-c2ccncc2)no1 ZINC000904581366 621608783 /nfs/dbraw/zinc/60/87/83/621608783.db2.gz ZVUQLZDNXNNHDF-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CN(C(=O)C[C@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])nc1 ZINC000905024377 621688016 /nfs/dbraw/zinc/68/80/16/621688016.db2.gz MOLZBILNGVTMTQ-SECBINFHSA-N 0 0 299.277 2.778 20 5 CFBDRN C[C@@H](C[NH2+]CC[N+](=O)[O-])c1c(Cl)cccc1Cl ZINC000905090001 621694280 /nfs/dbraw/zinc/69/42/80/621694280.db2.gz QXWKWAZBUYVQHP-QMMMGPOBSA-N 0 0 277.151 2.963 20 5 CFBDRN CC[C@@H](C)Oc1cc(C)ccc1CNCC[N+](=O)[O-] ZINC000905158038 621706122 /nfs/dbraw/zinc/70/61/22/621706122.db2.gz DBHFPVSKVBVWPY-GFCCVEGCSA-N 0 0 266.341 2.539 20 5 CFBDRN CCCCNC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905143049 621709865 /nfs/dbraw/zinc/70/98/65/621709865.db2.gz UPNHXAZWNOOBTJ-SNVBAGLBSA-N 0 0 265.313 2.755 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000832763518 625509517 /nfs/dbraw/zinc/50/95/17/625509517.db2.gz OFSPWRUYTRTXGN-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CC(C)COC(=O)Nc1cccc(NCC[N+](=O)[O-])c1 ZINC000905312495 621738926 /nfs/dbraw/zinc/73/89/26/621738926.db2.gz HPTQTDZAAZSWGA-UHFFFAOYSA-N 0 0 281.312 2.580 20 5 CFBDRN Cn1c2ccc(NCC[N+](=O)[O-])cc2nc1C(C)(C)C ZINC000905330854 621749188 /nfs/dbraw/zinc/74/91/88/621749188.db2.gz UJZKWQFBCBFFAK-UHFFFAOYSA-N 0 0 276.340 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N2CCC[C@@H](CF)C2)cc1 ZINC000905522724 621789898 /nfs/dbraw/zinc/78/98/98/621789898.db2.gz WNGIJEGVASWYBM-JTQLQIEISA-N 0 0 297.355 2.973 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000905654177 621809414 /nfs/dbraw/zinc/80/94/14/621809414.db2.gz QWZRVZGCXLNICW-SECBINFHSA-N 0 0 297.380 2.695 20 5 CFBDRN CN(C(=O)CCc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000906084647 621926326 /nfs/dbraw/zinc/92/63/26/621926326.db2.gz ILKUFBNWBOJZTQ-UHFFFAOYSA-N 0 0 282.727 2.802 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1)C1(C(F)(F)F)CC1 ZINC000906228466 621961947 /nfs/dbraw/zinc/96/19/47/621961947.db2.gz MZOIHJJYYLESEV-UHFFFAOYSA-N 0 0 288.225 2.762 20 5 CFBDRN O=C(Nc1ccncc1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149112266 621962932 /nfs/dbraw/zinc/96/29/32/621962932.db2.gz CDARLUMQCIVYPP-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN O=C(Nc1ccccn1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149120546 621966813 /nfs/dbraw/zinc/96/68/13/621966813.db2.gz ASJTVYMCQPPPJG-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN COc1cccc(C(=O)NC(C)(C)/C=C\Cl)c1[N+](=O)[O-] ZINC000906289770 621970246 /nfs/dbraw/zinc/97/02/46/621970246.db2.gz OYPSOCVPMHLIOT-FPLPWBNLSA-N 0 0 298.726 2.864 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)NC1CCC1 ZINC000906610452 622021922 /nfs/dbraw/zinc/02/19/22/622021922.db2.gz MZFWNDIHFVXFAN-UHFFFAOYSA-N 0 0 282.727 2.850 20 5 CFBDRN O=C(NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1ccc(F)cc1[N+](=O)[O-] ZINC000927484532 622202540 /nfs/dbraw/zinc/20/25/40/622202540.db2.gz PWILOHLBSKUWDM-OPQQBVKSSA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1c(Cl)cncc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000833142898 625548884 /nfs/dbraw/zinc/54/88/84/625548884.db2.gz YBDHEEOJLKNHSD-UHFFFAOYSA-N 0 0 285.731 2.817 20 5 CFBDRN CC(F)(F)CNC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000908423873 622228705 /nfs/dbraw/zinc/22/87/05/622228705.db2.gz MWCOGTDTDAHHRB-UHFFFAOYSA-N 0 0 296.632 2.772 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000908693453 622261599 /nfs/dbraw/zinc/26/15/99/622261599.db2.gz LXCAETMETVGJAI-GDNZZTSVSA-N 0 0 293.319 2.955 20 5 CFBDRN COC/C(C)=C\COC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000908764031 622273003 /nfs/dbraw/zinc/27/30/03/622273003.db2.gz PJNWUACQYATEMP-YFHOEESVSA-N 0 0 279.292 2.653 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000909048075 622303265 /nfs/dbraw/zinc/30/32/65/622303265.db2.gz CNMFWZQKUSRKEW-IONNQARKSA-N 0 0 297.694 2.630 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000909113976 622314610 /nfs/dbraw/zinc/31/46/10/622314610.db2.gz RSCSGRXALKVGCZ-VXNVDRBHSA-N 0 0 297.694 2.630 20 5 CFBDRN COC/C(C)=C\COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000909233836 622330393 /nfs/dbraw/zinc/33/03/93/622330393.db2.gz SQPRRNBSXXTKFV-SEVUFMINSA-N 0 0 293.319 2.834 20 5 CFBDRN CC[C@H](OC(=O)CCc1cc(F)ccc1[N+](=O)[O-])C(C)=O ZINC000923960816 622382378 /nfs/dbraw/zinc/38/23/78/622382378.db2.gz FLYVQTGIMHFYCY-ZDUSSCGKSA-N 0 0 297.282 2.577 20 5 CFBDRN CC[C@H](COC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)OC ZINC000910027142 622388266 /nfs/dbraw/zinc/38/82/66/622388266.db2.gz IDQUKEWOQRBONV-MCIONIFRSA-N 0 0 293.319 2.667 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CC=CC1 ZINC000910012582 622391551 /nfs/dbraw/zinc/39/15/51/622391551.db2.gz RKTIZJULNFHBCQ-UHFFFAOYSA-N 0 0 266.684 2.569 20 5 CFBDRN O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CC=CC1 ZINC000910015254 622391867 /nfs/dbraw/zinc/39/18/67/622391867.db2.gz WWGNNNYILXPMLD-UHFFFAOYSA-N 0 0 298.751 2.739 20 5 CFBDRN Cc1ccc(N2C[C@H](C)OC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000175046619 622485093 /nfs/dbraw/zinc/48/50/93/622485093.db2.gz WQJJIHIEYQRENP-NSHDSACASA-N 0 0 264.325 2.907 20 5 CFBDRN CC(C)(C)CC(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911478455 622524438 /nfs/dbraw/zinc/52/44/38/622524438.db2.gz PXQPQYNVKSMDKH-UHFFFAOYSA-N 0 0 286.278 2.925 20 5 CFBDRN CN(C)c1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2)cc1 ZINC000911855780 622565410 /nfs/dbraw/zinc/56/54/10/622565410.db2.gz LOFZVORUYPRVMJ-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN CCc1oc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)cc1C ZINC000819909736 622569195 /nfs/dbraw/zinc/56/91/95/622569195.db2.gz GUSVABSWJBLYFT-UHFFFAOYSA-N 0 0 289.291 2.893 20 5 CFBDRN CCCC[C@@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819915626 622570449 /nfs/dbraw/zinc/57/04/49/622570449.db2.gz AZRZBTADROMDEM-SECBINFHSA-N 0 0 265.313 2.942 20 5 CFBDRN CCc1ccc(CC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000819915664 622570495 /nfs/dbraw/zinc/57/04/95/622570495.db2.gz BNMIJQFHZBMPSV-UHFFFAOYSA-N 0 0 299.330 2.921 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCNc2ccc(Cl)nn2)cc1 ZINC000819918318 622571273 /nfs/dbraw/zinc/57/12/73/622571273.db2.gz WTXXWDKROGFLPR-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819920114 622572077 /nfs/dbraw/zinc/57/20/77/622572077.db2.gz LKRWJHXVIVADHM-RKDXNWHRSA-N 0 0 265.313 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(CC(F)F)C2)c([N+](=O)[O-])c1 ZINC000819950041 622581253 /nfs/dbraw/zinc/58/12/53/622581253.db2.gz NDZIEGIFPILQIN-UHFFFAOYSA-N 0 0 287.222 2.594 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000819964725 622586797 /nfs/dbraw/zinc/58/67/97/622586797.db2.gz WHIJVQUTTAFLHU-WMEIFKOLSA-N 0 0 291.303 2.934 20 5 CFBDRN C[C@@H]1CCCCN1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000912157092 622638904 /nfs/dbraw/zinc/63/89/04/622638904.db2.gz SJMUIWPXCMQGMX-SECBINFHSA-N 0 0 297.742 2.767 20 5 CFBDRN CC[C@H](C)CONC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000820279190 622640575 /nfs/dbraw/zinc/64/05/75/622640575.db2.gz KKICTOMLXGVODQ-GDLCADMTSA-N 0 0 292.335 2.792 20 5 CFBDRN CC(C)(C(=O)O[C@@H]1CCC(C)(C)C1)n1cc([N+](=O)[O-])cn1 ZINC000820331114 622651164 /nfs/dbraw/zinc/65/11/64/622651164.db2.gz DMMYDGIMASTXQX-LLVKDONJSA-N 0 0 295.339 2.648 20 5 CFBDRN C[C@H]1CCCCN1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000912154667 622652804 /nfs/dbraw/zinc/65/28/04/622652804.db2.gz BBVXAZCJXNIJBK-UMAGTOLTSA-N 0 0 289.335 2.514 20 5 CFBDRN Cc1ccc(OC(=O)/C=C/[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000820382520 622660267 /nfs/dbraw/zinc/66/02/67/622660267.db2.gz ZUCIXTSOXIKUPF-MLRMMBSGSA-N 0 0 277.276 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OCCC2(O)CC2)c(Cl)c1 ZINC000912363667 622700032 /nfs/dbraw/zinc/70/00/32/622700032.db2.gz BKWQYAFUCNNWNS-UHFFFAOYSA-N 0 0 275.663 2.681 20 5 CFBDRN Cc1cc(COC(=O)/C=C\[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000820555854 622701501 /nfs/dbraw/zinc/70/15/01/622701501.db2.gz ALZHCQILZFUJNE-SCXUMTSISA-N 0 0 291.303 2.682 20 5 CFBDRN C=C=CCNc1ncc([N+](=O)[O-])cc1Br ZINC000820586864 622708956 /nfs/dbraw/zinc/70/89/56/622708956.db2.gz UUKRGEVHZPXEGV-UHFFFAOYSA-N 0 0 270.086 2.505 20 5 CFBDRN C=C=CCNc1cc(CC)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000820585709 622709203 /nfs/dbraw/zinc/70/92/03/622709203.db2.gz AKTHOYTVOCKBPH-UHFFFAOYSA-N 0 0 296.330 2.789 20 5 CFBDRN COc1cc(NCc2ocnc2C)c([N+](=O)[O-])cc1OC ZINC000820592207 622711167 /nfs/dbraw/zinc/71/11/67/622711167.db2.gz YPPKHLFFQXHAIJ-UHFFFAOYSA-N 0 0 293.279 2.521 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC(F)(F)C2)c(Cl)c1 ZINC000820595599 622712162 /nfs/dbraw/zinc/71/21/62/622712162.db2.gz NNTIFIGFFPENNF-UHFFFAOYSA-N 0 0 278.642 2.678 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])cnn1C)c1ccc(F)cc1 ZINC000912451127 622718846 /nfs/dbraw/zinc/71/88/46/622718846.db2.gz NZRWUNUPWYEXMD-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN COC(=O)CC1(CNc2cccnc2[N+](=O)[O-])CCCC1 ZINC000912458226 622719873 /nfs/dbraw/zinc/71/98/73/622719873.db2.gz FERLLRBKTYCCPW-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN COC(=O)CC1(CNc2ccc([N+](=O)[O-])cn2)CCCC1 ZINC000912457777 622719964 /nfs/dbraw/zinc/71/99/64/622719964.db2.gz AHBZTGRFJMNKAI-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN CC(C)=CCCn1nnc(-c2ccccc2[N+](=O)[O-])n1 ZINC000912455568 622720208 /nfs/dbraw/zinc/72/02/08/622720208.db2.gz WBXRSDROGQXOQK-UHFFFAOYSA-N 0 0 273.296 2.605 20 5 CFBDRN CC(C)(CCC(=O)N1CC=CC12CCCCC2)[N+](=O)[O-] ZINC000912472066 622721494 /nfs/dbraw/zinc/72/14/94/622721494.db2.gz HWDQYEQYWXKHKA-UHFFFAOYSA-N 0 0 280.368 2.923 20 5 CFBDRN CC(C)(NC(=O)N[C@@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000821061911 622836309 /nfs/dbraw/zinc/83/63/09/622836309.db2.gz WMWXVWYMVUSVPI-LLVKDONJSA-N 0 0 289.335 2.848 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C1CC1 ZINC000821149956 622855483 /nfs/dbraw/zinc/85/54/83/622855483.db2.gz STQONWBXAYAJCU-RRFJBIMHSA-N 0 0 286.331 2.709 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])NOC1CCC1 ZINC000821220757 622872007 /nfs/dbraw/zinc/87/20/07/622872007.db2.gz DOTHZMURZGKMGY-UHFFFAOYSA-N 0 0 298.726 2.781 20 5 CFBDRN CC/C=C/CNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000821309673 622891087 /nfs/dbraw/zinc/89/10/87/622891087.db2.gz URVRNOASQQCZOL-LSMGEYOZSA-N 0 0 274.320 2.781 20 5 CFBDRN CC(F)(F)C(=O)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913386451 622892263 /nfs/dbraw/zinc/89/22/63/622892263.db2.gz VNKMGODQQQRPKZ-UHFFFAOYSA-N 0 0 296.632 2.659 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cl)nc1 ZINC000221693305 622923384 /nfs/dbraw/zinc/92/33/84/622923384.db2.gz HSCXJTXIPYBVBW-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN COc1ccc(OC(=O)C[C@H](C)SC)c([N+](=O)[O-])c1 ZINC000156373241 622936204 /nfs/dbraw/zinc/93/62/04/622936204.db2.gz JYOWYJNEVJTEFV-QMMMGPOBSA-N 0 0 285.321 2.650 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000164255141 622943921 /nfs/dbraw/zinc/94/39/21/622943921.db2.gz DZVPDVOTISXQOC-RKDXNWHRSA-N 0 0 266.272 2.604 20 5 CFBDRN CC1(C)CC[C@@H]1OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000821832946 622987620 /nfs/dbraw/zinc/98/76/20/622987620.db2.gz KGEGYXXEFRZBSM-LBPRGKRZSA-N 0 0 263.293 2.869 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H]1CCC1(C)C ZINC000821834166 622988494 /nfs/dbraw/zinc/98/84/94/622988494.db2.gz UZQYQADSSXAJMO-GFCCVEGCSA-N 0 0 279.292 2.949 20 5 CFBDRN CC1(C)CC[C@H]1OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000821852688 622994427 /nfs/dbraw/zinc/99/44/27/622994427.db2.gz VCZXCJIMTWSRGV-GFCCVEGCSA-N 0 0 263.293 2.869 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000057303962 622997785 /nfs/dbraw/zinc/99/77/85/622997785.db2.gz ISIABEVSMPLUPR-RKDXNWHRSA-N 0 0 295.295 2.667 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000057303964 622998011 /nfs/dbraw/zinc/99/80/11/622998011.db2.gz ISIABEVSMPLUPR-DTWKUNHWSA-N 0 0 295.295 2.667 20 5 CFBDRN CCN(CC(F)F)C(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000821893043 623003169 /nfs/dbraw/zinc/00/31/69/623003169.db2.gz CJCPEPLYEBJRJC-UHFFFAOYSA-N 0 0 286.278 2.939 20 5 CFBDRN C[C@]1(COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000822080353 623030266 /nfs/dbraw/zinc/03/02/66/623030266.db2.gz UAMFRHZVSYYRRL-LAHFUMORSA-N 0 0 279.267 2.899 20 5 CFBDRN Cc1ccc(C(=O)OC[C@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000822080511 623030642 /nfs/dbraw/zinc/03/06/42/623030642.db2.gz UKZNZVBNKBUUER-AAEUAGOBSA-N 0 0 267.256 2.808 20 5 CFBDRN Cc1cc(C(=O)OC[C@]2(C)C[C@@H]2F)cc([N+](=O)[O-])c1 ZINC000822098516 623035747 /nfs/dbraw/zinc/03/57/47/623035747.db2.gz HOVIWDSICAMUCF-AAEUAGOBSA-N 0 0 267.256 2.808 20 5 CFBDRN Cc1cccc(F)c1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000157531175 623037674 /nfs/dbraw/zinc/03/76/74/623037674.db2.gz ADPJGSOOEBBVTE-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1c(CC(=O)OC[C@@]2(C)C[C@H]2F)cccc1[N+](=O)[O-] ZINC000822141794 623049148 /nfs/dbraw/zinc/04/91/48/623049148.db2.gz YVEKJLOVKHFUGY-TZMCWYRMSA-N 0 0 281.283 2.737 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@]1(C)C[C@H]1F ZINC000822141054 623049437 /nfs/dbraw/zinc/04/94/37/623049437.db2.gz WRBPDNQWDZJDST-YPMHNXCESA-N 0 0 283.255 2.508 20 5 CFBDRN CC1CCC(N(C)C(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000822236650 623060893 /nfs/dbraw/zinc/06/08/93/623060893.db2.gz IWQJMGLBBYDSKO-UHFFFAOYSA-N 0 0 270.373 2.859 20 5 CFBDRN CCCCOC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 ZINC000058776134 623063094 /nfs/dbraw/zinc/06/30/94/623063094.db2.gz BAROBDLHXHRWCU-UHFFFAOYSA-N 0 0 289.291 2.737 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC=C(C)CC1 ZINC000167751200 623063679 /nfs/dbraw/zinc/06/36/79/623063679.db2.gz AIPOLECOHKDNPQ-UHFFFAOYSA-N 0 0 260.293 2.954 20 5 CFBDRN CC(C)COC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 ZINC000058815157 623065602 /nfs/dbraw/zinc/06/56/02/623065602.db2.gz FMLBDHSSHZYYPS-UHFFFAOYSA-N 0 0 289.291 2.593 20 5 CFBDRN O=[N+]([O-])c1cc(CNc2ccc(F)nc2)cs1 ZINC000226550636 623082767 /nfs/dbraw/zinc/08/27/67/623082767.db2.gz KCULECXDUFARLT-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN C[C@H](OC(=O)CCn1cc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000077941916 623088581 /nfs/dbraw/zinc/08/85/81/623088581.db2.gz CAWCUQQUUWLPEA-NSHDSACASA-N 0 0 295.339 2.693 20 5 CFBDRN CCCCC[C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822394343 623095040 /nfs/dbraw/zinc/09/50/40/623095040.db2.gz OAXDKSLIJZFJCP-NSHDSACASA-N 0 0 258.362 2.907 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])C1CC2(CC2(F)F)C1 ZINC000822427865 623101423 /nfs/dbraw/zinc/10/14/23/623101423.db2.gz GVFPJVQHUCKTFI-UHFFFAOYSA-N 0 0 296.273 2.646 20 5 CFBDRN CC(C)(NC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000227507791 623110967 /nfs/dbraw/zinc/11/09/67/623110967.db2.gz ZEVJHECXZIANIF-UHFFFAOYSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H](C[S@](C)=O)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000169028801 623111121 /nfs/dbraw/zinc/11/11/21/623111121.db2.gz GPVBDEPQZQPHTK-QOLSBQFWSA-N 0 0 292.307 2.711 20 5 CFBDRN O=C(COc1ccccc1)OCc1cccc([N+](=O)[O-])c1 ZINC000060502623 623134464 /nfs/dbraw/zinc/13/44/64/623134464.db2.gz DMNBGGZFKAXXJC-UHFFFAOYSA-N 0 0 287.271 2.717 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCC[C@H]1C=CCC1 ZINC000834342153 625624449 /nfs/dbraw/zinc/62/44/49/625624449.db2.gz PFCFTAABFKTDAW-ZDUSSCGKSA-N 0 0 288.347 3.000 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@@H]1c1ccccn1)[N+](=O)[O-] ZINC000822730765 623161786 /nfs/dbraw/zinc/16/17/86/623161786.db2.gz NJZGPCOJDPVNTR-CYBMUJFWSA-N 0 0 291.351 2.581 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000170787937 623182859 /nfs/dbraw/zinc/18/28/59/623182859.db2.gz VVACZFNZGHWQKF-QMMMGPOBSA-N 0 0 284.699 2.613 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC1CCCC1 ZINC000061191812 623189984 /nfs/dbraw/zinc/18/99/84/623189984.db2.gz AHLMBJWZZPNPCW-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN CCCCCCNC(=O)COc1cc(F)ccc1[N+](=O)[O-] ZINC000061290678 623199985 /nfs/dbraw/zinc/19/99/85/623199985.db2.gz OLHWMWYLUVPLIN-UHFFFAOYSA-N 0 0 298.314 2.809 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H](C)C(F)(F)F ZINC000822963831 623210659 /nfs/dbraw/zinc/21/06/59/623210659.db2.gz JSORKJYKFSSHER-MRVPVSSYSA-N 0 0 290.241 2.892 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCCCF ZINC000823056591 623224245 /nfs/dbraw/zinc/22/42/45/623224245.db2.gz JIZXSRPZPWBTRI-UHFFFAOYSA-N 0 0 268.288 2.691 20 5 CFBDRN CCCCCC[C@H](C)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000171491310 623224684 /nfs/dbraw/zinc/22/46/84/623224684.db2.gz WBNKYFWWLMBPKV-NSHDSACASA-N 0 0 283.328 2.693 20 5 CFBDRN CCCCCC[C@@H](C)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000171491184 623224872 /nfs/dbraw/zinc/22/48/72/623224872.db2.gz UBMJHQMUANBNJJ-LLVKDONJSA-N 0 0 283.328 2.693 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)CC1 ZINC000061820516 623235556 /nfs/dbraw/zinc/23/55/56/623235556.db2.gz MZTPQXXZGKRHNS-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@H](Nc1cc(Cl)ccc1[N+](=O)[O-])c1nc[nH]n1 ZINC000230199002 623261233 /nfs/dbraw/zinc/26/12/33/623261233.db2.gz UZCKPXJEGUQQDS-LURJTMIESA-N 0 0 267.676 2.539 20 5 CFBDRN CCc1ccc(OC(=O)C[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000172192180 623268637 /nfs/dbraw/zinc/26/86/37/623268637.db2.gz GIVRTFPRGGMIGM-LLVKDONJSA-N 0 0 279.292 2.632 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000172186040 623268894 /nfs/dbraw/zinc/26/88/94/623268894.db2.gz XVLRPNJIDIICCY-LLVKDONJSA-N 0 0 265.309 2.973 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC12CC2 ZINC000823227728 623270214 /nfs/dbraw/zinc/27/02/14/623270214.db2.gz ULJLOFGTSLJYBM-NSHDSACASA-N 0 0 278.333 2.599 20 5 CFBDRN CCc1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000172361083 623278024 /nfs/dbraw/zinc/27/80/24/623278024.db2.gz WTVMFBZIOMBAEO-UHFFFAOYSA-N 0 0 299.330 2.669 20 5 CFBDRN CC/C=C(\C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172421007 623281032 /nfs/dbraw/zinc/28/10/32/623281032.db2.gz IHLRDKIEKZITJF-BJMVGYQFSA-N 0 0 260.293 2.840 20 5 CFBDRN CC(C)(CCC(=O)NC/C=C\c1ccccc1)[N+](=O)[O-] ZINC000823290656 623285588 /nfs/dbraw/zinc/28/55/88/623285588.db2.gz SDDGVGKKTMZCTQ-TWGQIWQCSA-N 0 0 276.336 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H](C)C1CC1 ZINC000823296902 623287448 /nfs/dbraw/zinc/28/74/48/623287448.db2.gz NTHUNKYGEKPGOJ-SNVBAGLBSA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1cnc(Oc2ccccc2[N+](=O)[O-])c([N+](=O)[O-])c1 ZINC000172807325 623311451 /nfs/dbraw/zinc/31/14/51/623311451.db2.gz FUXYGTNFVMXSHH-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN O=Cc1ccc(OCCCCCCCO)c([N+](=O)[O-])c1 ZINC000172905338 623317052 /nfs/dbraw/zinc/31/70/52/623317052.db2.gz DWOAOPFJLDMDCC-UHFFFAOYSA-N 0 0 281.308 2.729 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCCCCCCO ZINC000172921693 623317076 /nfs/dbraw/zinc/31/70/76/623317076.db2.gz IPXAEWMCAXFQRL-UHFFFAOYSA-N 0 0 283.324 2.925 20 5 CFBDRN CCC1CN(C(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000823498815 623334626 /nfs/dbraw/zinc/33/46/26/623334626.db2.gz BFKLIVOESXAJPW-UHFFFAOYSA-N 0 0 262.309 2.694 20 5 CFBDRN CCC[C@@]1(C)CCCN(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000173537676 623354058 /nfs/dbraw/zinc/35/40/58/623354058.db2.gz WHMYOWADVQDXEA-ZDUSSCGKSA-N 0 0 266.345 2.651 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000174020323 623379993 /nfs/dbraw/zinc/37/99/93/623379993.db2.gz SBXBAVDYDHPOEJ-LLVKDONJSA-N 0 0 286.287 2.837 20 5 CFBDRN Cc1cnc(COC(=O)c2cc([N+](=O)[O-])ccc2Cl)o1 ZINC000174256967 623405469 /nfs/dbraw/zinc/40/54/69/623405469.db2.gz NSWRVCOZDLZRKC-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\[C@@H]1CCCO1 ZINC000823899286 623417076 /nfs/dbraw/zinc/41/70/76/623417076.db2.gz QPTLKZZUXRIKSG-WSROAFLRSA-N 0 0 290.319 2.831 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823926777 623419653 /nfs/dbraw/zinc/41/96/53/623419653.db2.gz GWNWBLPWQOGEAY-JSGCOSHPSA-N 0 0 292.339 2.733 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CCCC1 ZINC000823932546 623420941 /nfs/dbraw/zinc/42/09/41/623420941.db2.gz ALCJXKODKCQPQJ-VIFPVBQESA-N 0 0 292.339 2.877 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823944596 623422626 /nfs/dbraw/zinc/42/26/26/623422626.db2.gz AFMZNRPYKUWSMB-VIFPVBQESA-N 0 0 290.323 2.607 20 5 CFBDRN Nc1ccc(NC(=O)N2CC3(C2)CCCC3)cc1[N+](=O)[O-] ZINC000823954944 623425427 /nfs/dbraw/zinc/42/54/27/623425427.db2.gz BDJQQZGWDAACHM-UHFFFAOYSA-N 0 0 290.323 2.585 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823961513 623426206 /nfs/dbraw/zinc/42/62/06/623426206.db2.gz GHVGOYAFKZKKGP-CABZTGNLSA-N 0 0 292.339 2.829 20 5 CFBDRN CCOCCCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000174561651 623427664 /nfs/dbraw/zinc/42/76/64/623427664.db2.gz AVBSOVSPKRLIJF-UHFFFAOYSA-N 0 0 267.281 2.568 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000823993307 623429668 /nfs/dbraw/zinc/42/96/68/623429668.db2.gz CTRSGSPWXRUDPY-SNVBAGLBSA-N 0 0 292.339 2.831 20 5 CFBDRN CC(C)CCCNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823998526 623430839 /nfs/dbraw/zinc/43/08/39/623430839.db2.gz PPRGFDBVMDQXNZ-UHFFFAOYSA-N 0 0 280.328 2.735 20 5 CFBDRN CC(C)(C)CCNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824003029 623431013 /nfs/dbraw/zinc/43/10/13/623431013.db2.gz MCEDWYRLSADQGC-UHFFFAOYSA-N 0 0 280.328 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1(C2CC2)CC1 ZINC000888261117 623450477 /nfs/dbraw/zinc/45/04/77/623450477.db2.gz DIFBDOVZUOHPII-UHFFFAOYSA-N 0 0 261.277 2.999 20 5 CFBDRN CC(C)[C@@H](O)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000232689028 623463029 /nfs/dbraw/zinc/46/30/29/623463029.db2.gz WDNUEPBILHIJJA-NSHDSACASA-N 0 0 276.695 2.816 20 5 CFBDRN CS[C@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])C(C)(C)C ZINC000828264158 623490571 /nfs/dbraw/zinc/49/05/71/623490571.db2.gz ZENWCVOWJDXDNT-SNVBAGLBSA-N 0 0 290.429 2.716 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN[C@H]1CCn2ccnc21 ZINC000824522580 623515213 /nfs/dbraw/zinc/51/52/13/623515213.db2.gz XEKLMFUEWAYVKO-LQPUYASZSA-N 0 0 284.319 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC3(CC3)C2)c1 ZINC000824533918 623519813 /nfs/dbraw/zinc/51/98/13/623519813.db2.gz SKPLQHYBMYOITL-UHFFFAOYSA-N 0 0 252.701 2.844 20 5 CFBDRN Nc1ccc(C(=O)Oc2cccc3[nH]ccc32)cc1[N+](=O)[O-] ZINC000174726393 623531607 /nfs/dbraw/zinc/53/16/07/623531607.db2.gz DJKVKYGOLCMGOK-UHFFFAOYSA-N 0 0 297.270 2.878 20 5 CFBDRN CCCN(CC(C)C)C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000824750057 623557752 /nfs/dbraw/zinc/55/77/52/623557752.db2.gz BWMOPOCNIXHGAZ-AWEZNQCLSA-N 0 0 294.351 2.523 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000324119002 623573952 /nfs/dbraw/zinc/57/39/52/623573952.db2.gz NCTXIGFFXHQQAZ-CYBMUJFWSA-N 0 0 280.299 2.898 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000824957441 623594639 /nfs/dbraw/zinc/59/46/39/623594639.db2.gz NLRUHHWYIZLIRK-XBFCOCLRSA-N 0 0 272.304 2.533 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@H]2C[C@H]2C1 ZINC000825605733 623722470 /nfs/dbraw/zinc/72/24/70/623722470.db2.gz NRYLCMZNJBCUPY-OBCWZRDOSA-N 0 0 286.331 2.567 20 5 CFBDRN Cn1c(Cl)cnc1CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000097058577 623723792 /nfs/dbraw/zinc/72/37/92/623723792.db2.gz BCHVFTLIHNJPIH-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN Cc1ccc(CNC(=O)C[C@@H]2CC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000825640332 623728431 /nfs/dbraw/zinc/72/84/31/623728431.db2.gz CZVSQIGHSMXBDM-MELADBBJSA-N 0 0 288.347 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000825655169 623730833 /nfs/dbraw/zinc/73/08/33/623730833.db2.gz KHJXEVMXEVYZQN-CYBMUJFWSA-N 0 0 274.320 2.876 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000825648594 623730937 /nfs/dbraw/zinc/73/09/37/623730937.db2.gz VFFJDZDVRXOOFG-MFKMUULPSA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2cncc(F)c2)c([N+](=O)[O-])c1 ZINC000152540702 623732029 /nfs/dbraw/zinc/73/20/29/623732029.db2.gz ZLLMTLRWAXQREW-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN CC[C@@H](NC(=O)O[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000914227200 623742034 /nfs/dbraw/zinc/74/20/34/623742034.db2.gz LFYMADNYAWYIEU-QWHCGFSZSA-N 0 0 294.307 2.561 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCCCC1CC1 ZINC000825696658 623742954 /nfs/dbraw/zinc/74/29/54/623742954.db2.gz ZKVBBMQYEHWCPO-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000825839347 623759848 /nfs/dbraw/zinc/75/98/48/623759848.db2.gz KYYKNVRVONHKKU-LLVKDONJSA-N 0 0 256.346 2.613 20 5 CFBDRN CCC[C@@H](C)CCNC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000914362619 623771893 /nfs/dbraw/zinc/77/18/93/623771893.db2.gz CHDPUKRMLISHTC-BXUZGUMPSA-N 0 0 294.351 2.571 20 5 CFBDRN CCC[C@@H](C)CCNC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000914362621 623771920 /nfs/dbraw/zinc/77/19/20/623771920.db2.gz CHDPUKRMLISHTC-RISCZKNCSA-N 0 0 294.351 2.571 20 5 CFBDRN CC(C)(C)[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000826584488 623818308 /nfs/dbraw/zinc/81/83/08/623818308.db2.gz HMQZCNBOFQZRIA-SECBINFHSA-N 0 0 298.305 2.915 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000826670314 623836165 /nfs/dbraw/zinc/83/61/65/623836165.db2.gz LDBMNDAKDQYQPL-NXEZZACHSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000826670084 623836184 /nfs/dbraw/zinc/83/61/84/623836184.db2.gz DQVOXAMEXYBKLG-UWVGGRQHSA-N 0 0 297.311 2.712 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCCC1(C)C)[N+](=O)[O-] ZINC000826716337 623854241 /nfs/dbraw/zinc/85/42/41/623854241.db2.gz ZASWXXWCAVNNML-JTQLQIEISA-N 0 0 256.346 2.517 20 5 CFBDRN Cc1sc(C(=O)OC/C=C\Cl)cc1[N+](=O)[O-] ZINC000914585446 623902386 /nfs/dbraw/zinc/90/23/86/623902386.db2.gz RURXRCWMZCXOED-IHWYPQMZSA-N 0 0 261.686 2.874 20 5 CFBDRN CC(C)SCC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000914591309 623905714 /nfs/dbraw/zinc/90/57/14/623905714.db2.gz SEBWXSKVBWOEBC-UHFFFAOYSA-N 0 0 269.322 2.780 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC/C=C/CO ZINC000826943748 623913065 /nfs/dbraw/zinc/91/30/65/623913065.db2.gz ZSJQYAVROPILDP-OWOJBTEDSA-N 0 0 291.134 2.540 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1CC1(F)F ZINC000835166193 623948005 /nfs/dbraw/zinc/94/80/05/623948005.db2.gz AABCCNJKEHHIRS-QMMMGPOBSA-N 0 0 291.637 2.947 20 5 CFBDRN CS[C@@H]1CCCCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000827131355 623949332 /nfs/dbraw/zinc/94/93/32/623949332.db2.gz OTAODABIYLCFAT-LLVKDONJSA-N 0 0 288.413 2.566 20 5 CFBDRN CC(C)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 ZINC000763548994 623958227 /nfs/dbraw/zinc/95/82/27/623958227.db2.gz RYNQJLZPCFYQPJ-VIFPVBQESA-N 0 0 295.291 2.567 20 5 CFBDRN CC(C)(CCC(=O)OCC12CCC(CC1)C2)[N+](=O)[O-] ZINC000835402267 623973004 /nfs/dbraw/zinc/97/30/04/623973004.db2.gz VFNLZTOIJALSIF-UHFFFAOYSA-N 0 0 269.341 2.945 20 5 CFBDRN CCC(F)(F)CNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000827278740 623980454 /nfs/dbraw/zinc/98/04/54/623980454.db2.gz KEKCKDVWYUCZBV-RYUDHWBXSA-N 0 0 298.289 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2cccc(Cl)c2)cn1 ZINC000915212559 623997001 /nfs/dbraw/zinc/99/70/01/623997001.db2.gz HNRQECNURDXISX-LBPRGKRZSA-N 0 0 293.710 2.789 20 5 CFBDRN CC(C)(CCC(=O)NCC12CCC(CC1)C2)[N+](=O)[O-] ZINC000827391085 623997290 /nfs/dbraw/zinc/99/72/90/623997290.db2.gz WODINJQYBMZHIL-UHFFFAOYSA-N 0 0 268.357 2.518 20 5 CFBDRN CS[C@@H](C)CCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835664084 624005493 /nfs/dbraw/zinc/00/54/93/624005493.db2.gz UVJSIVRFIYPWPA-VIFPVBQESA-N 0 0 269.322 2.893 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CCOCC(C)C ZINC000915281691 624015009 /nfs/dbraw/zinc/01/50/09/624015009.db2.gz TVZPKHGSTDIQGM-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN C/C=C/CNC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000827492260 624015808 /nfs/dbraw/zinc/01/58/08/624015808.db2.gz AYIAYPZVWLOCIY-NSCUHMNNSA-N 0 0 282.727 2.873 20 5 CFBDRN O=C(CCOc1cccc([N+](=O)[O-])c1)OC/C=C\Cl ZINC000915449001 624044942 /nfs/dbraw/zinc/04/49/42/624044942.db2.gz VGIISMZUDKKCFY-KXFIGUGUSA-N 0 0 285.683 2.659 20 5 CFBDRN CCC[C@@H](C(=O)OCCn1c(C)ncc1[N+](=O)[O-])C(C)C ZINC000153647475 624079698 /nfs/dbraw/zinc/07/96/98/624079698.db2.gz FDRSHIWQBIYPLE-GFCCVEGCSA-N 0 0 297.355 2.715 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C1CCOCC1 ZINC000153644246 624080427 /nfs/dbraw/zinc/08/04/27/624080427.db2.gz FGHXAZFQPAAKGV-SECBINFHSA-N 0 0 296.298 2.735 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCOC(=O)CC(C)C ZINC000915588004 624082385 /nfs/dbraw/zinc/08/23/85/624082385.db2.gz MFZMUDXOMQTPJK-UHFFFAOYSA-N 0 0 283.280 2.529 20 5 CFBDRN Cc1ccsc1CCNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827778342 624090530 /nfs/dbraw/zinc/09/05/30/624090530.db2.gz VCYZHLVARWIRSS-UHFFFAOYSA-N 0 0 284.381 2.551 20 5 CFBDRN CC(C)(F)CNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000827805529 624098155 /nfs/dbraw/zinc/09/81/55/624098155.db2.gz FIKPXSPNIQHMRP-NEPJUHHUSA-N 0 0 280.299 2.563 20 5 CFBDRN CC(C)(F)CNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000827805530 624098178 /nfs/dbraw/zinc/09/81/78/624098178.db2.gz FIKPXSPNIQHMRP-NWDGAFQWSA-N 0 0 280.299 2.563 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cncc(F)c1 ZINC000153711417 624102017 /nfs/dbraw/zinc/10/20/17/624102017.db2.gz WXSZFDVDNJFSEW-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCCC(C)(C)O ZINC000765510621 624102040 /nfs/dbraw/zinc/10/20/40/624102040.db2.gz XXUUDFQEZCBVSJ-KHPPLWFESA-N 0 0 293.319 2.702 20 5 CFBDRN Cc1nc(CN(C)Cc2ccc([N+](=O)[O-])c(Cl)c2)no1 ZINC000827892320 624123277 /nfs/dbraw/zinc/12/32/77/624123277.db2.gz VVWZEXNLMVKUFC-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC[C@@H](C2CC2)O1 ZINC000915930202 624190714 /nfs/dbraw/zinc/19/07/14/624190714.db2.gz TZUDUPRMAWZOHY-ZFWWWQNUSA-N 0 0 291.303 2.744 20 5 CFBDRN C[C@H]1CC[C@@]2(CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C2)C1 ZINC000828183910 624196400 /nfs/dbraw/zinc/19/64/00/624196400.db2.gz NACZBVBZLFZBNS-SWLSCSKDSA-N 0 0 282.384 2.861 20 5 CFBDRN C[C@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])CC(C)(C)C1 ZINC000828281339 624221232 /nfs/dbraw/zinc/22/12/32/624221232.db2.gz RRYIANMTWMMNLD-LLVKDONJSA-N 0 0 270.373 2.717 20 5 CFBDRN CCOc1cc(N[C@@H]2CCn3ccnc32)ccc1[N+](=O)[O-] ZINC000828357252 624233056 /nfs/dbraw/zinc/23/30/56/624233056.db2.gz BQNLVDVHGKRULD-LLVKDONJSA-N 0 0 288.307 2.747 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1CC2(CC2)C1 ZINC000828365052 624234412 /nfs/dbraw/zinc/23/44/12/624234412.db2.gz OLVFFOFYGDVBOX-UHFFFAOYSA-N 0 0 296.710 2.635 20 5 CFBDRN Cc1cccc(C(=O)OCCC[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000828487365 624255640 /nfs/dbraw/zinc/25/56/40/624255640.db2.gz KTENDKGRXCUMPQ-GFCCVEGCSA-N 0 0 293.319 2.877 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H](C)CCCO ZINC000828525199 624261754 /nfs/dbraw/zinc/26/17/54/624261754.db2.gz ZKQALHBMCDTCAS-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN COc1ccc(Oc2nc(C)cnc2C)c([N+](=O)[O-])c1 ZINC000916608968 624263417 /nfs/dbraw/zinc/26/34/17/624263417.db2.gz ZVWPYAXIANKFMJ-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN CCNc1ccc(C(=O)OCCSC)cc1[N+](=O)[O-] ZINC000916615897 624265130 /nfs/dbraw/zinc/26/51/30/624265130.db2.gz HTPGFZPBNIRZJV-UHFFFAOYSA-N 0 0 284.337 2.546 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000916616478 624265135 /nfs/dbraw/zinc/26/51/35/624265135.db2.gz LWCAHJCCEORGAR-RKDXNWHRSA-N 0 0 283.353 2.564 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000828541855 624265998 /nfs/dbraw/zinc/26/59/98/624265998.db2.gz KBWULKSLSVNMKS-ZDUSSCGKSA-N 0 0 279.292 2.674 20 5 CFBDRN CCc1onc(C)c1COc1cc(C=O)ccc1[N+](=O)[O-] ZINC000916820689 624286745 /nfs/dbraw/zinc/28/67/45/624286745.db2.gz XXEGGACOYVWPQC-UHFFFAOYSA-N 0 0 290.275 2.845 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H]1CC[C@@H](C2CC2)O1 ZINC000916843264 624287707 /nfs/dbraw/zinc/28/77/07/624287707.db2.gz UONJBIDRACLLTO-OLZOCXBDSA-N 0 0 293.319 2.940 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H](C)CC(C)(C)O ZINC000828711450 624289656 /nfs/dbraw/zinc/28/96/56/624289656.db2.gz DYGYLLCPDVEOIS-VIFPVBQESA-N 0 0 280.324 2.759 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H]1[C@H]1CCC[C@H]1O ZINC000828750120 624295016 /nfs/dbraw/zinc/29/50/16/624295016.db2.gz NVUNUVOYGBEGEE-MPKXVKKWSA-N 0 0 294.326 2.864 20 5 CFBDRN O=C(OCCC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000828766781 624297628 /nfs/dbraw/zinc/29/76/28/624297628.db2.gz LBSYDUDZLNLREG-SNVBAGLBSA-N 0 0 297.282 2.707 20 5 CFBDRN Cc1c[nH]cc1C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000917049197 624303238 /nfs/dbraw/zinc/30/32/38/624303238.db2.gz PIUCOEXUTAEVEB-UHFFFAOYSA-N 0 0 260.249 2.759 20 5 CFBDRN CCOc1cc(OCc2cnc(C)o2)ccc1[N+](=O)[O-] ZINC000917551158 624358744 /nfs/dbraw/zinc/35/87/44/624358744.db2.gz CFJWMVYNPIZLEX-UHFFFAOYSA-N 0 0 278.264 2.869 20 5 CFBDRN C[C@@]1(CCNc2ncc([N+](=O)[O-])cn2)CC1(Cl)Cl ZINC000829059179 624363083 /nfs/dbraw/zinc/36/30/83/624363083.db2.gz PRPHXMFKQAKGDX-SECBINFHSA-N 0 0 291.138 2.771 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c(C)[nH]1 ZINC000917609013 624372059 /nfs/dbraw/zinc/37/20/59/624372059.db2.gz KQSDBICCRMZWQL-UHFFFAOYSA-N 0 0 277.255 2.931 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@@H]1CC[C@@H](C2CC2)O1 ZINC000917710895 624378480 /nfs/dbraw/zinc/37/84/80/624378480.db2.gz PVYQFCRTQXUKPF-CABZTGNLSA-N 0 0 298.726 2.980 20 5 CFBDRN C[C@H]1C[C@H](Nc2nc3ccccn3c2[N+](=O)[O-])c2nccn21 ZINC000829126621 624378793 /nfs/dbraw/zinc/37/87/93/624378793.db2.gz IKNPOVDGZPGRMH-UWVGGRQHSA-N 0 0 298.306 2.557 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@@H]2CC[C@H](C3CC3)O2)c1C ZINC000917836670 624387901 /nfs/dbraw/zinc/38/79/01/624387901.db2.gz JJTTWXODIMWLJX-GXTWGEPZSA-N 0 0 292.335 2.943 20 5 CFBDRN CCc1ncc(CNc2ccc([N+](=O)[O-])cc2C(C)=O)o1 ZINC000829220294 624402601 /nfs/dbraw/zinc/40/26/01/624402601.db2.gz YEROMKLDPRHZGF-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN CCc1ncc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])o1 ZINC000829219073 624402650 /nfs/dbraw/zinc/40/26/50/624402650.db2.gz LJEMWSXQYGBRPF-UHFFFAOYSA-N 0 0 292.251 2.666 20 5 CFBDRN Cc1c[nH]nc1CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000918158541 624424247 /nfs/dbraw/zinc/42/42/47/624424247.db2.gz CINPUKPSWOYKLN-UHFFFAOYSA-N 0 0 293.348 2.939 20 5 CFBDRN O=[N+]([O-])c1nccn1C[C@@H]1CCCc2ccccc21 ZINC000918370091 624433818 /nfs/dbraw/zinc/43/38/18/624433818.db2.gz VIPVTULRDDJYID-LBPRGKRZSA-N 0 0 257.293 2.911 20 5 CFBDRN Nc1ccc(N2CCC[C@]3(CCSC3)C2)c([N+](=O)[O-])c1 ZINC000829453324 624436173 /nfs/dbraw/zinc/43/61/73/624436173.db2.gz BGMPHCORYPIKSU-AWEZNQCLSA-N 0 0 293.392 2.901 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H](O)C3CCC3)sc2c1 ZINC000829473935 624440432 /nfs/dbraw/zinc/44/04/32/624440432.db2.gz KMRFWYLHCDRTPZ-NSHDSACASA-N 0 0 293.348 2.777 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000918869082 624505500 /nfs/dbraw/zinc/50/55/00/624505500.db2.gz XZTBAKYFIUGXSS-IAROGAJJSA-N 0 0 253.279 2.832 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000918868394 624505960 /nfs/dbraw/zinc/50/59/60/624505960.db2.gz DZZXGQOJRAXTPZ-IAROGAJJSA-N 0 0 265.240 2.909 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n(CCC2=CCCCC2)n1 ZINC000829834653 624561168 /nfs/dbraw/zinc/56/11/68/624561168.db2.gz YCOSTWASKSXIEH-UHFFFAOYSA-N 0 0 266.257 2.590 20 5 CFBDRN C[C@H]1CCC[C@@H](Cn2nc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000829837816 624562698 /nfs/dbraw/zinc/56/26/98/624562698.db2.gz MFCGGEDWEFGOLH-DTWKUNHWSA-N 0 0 268.273 2.526 20 5 CFBDRN CC1CCC(Cn2nc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000829838446 624563318 /nfs/dbraw/zinc/56/33/18/624563318.db2.gz ZALXKQDOOILAFL-UHFFFAOYSA-N 0 0 268.273 2.526 20 5 CFBDRN CSC[C@@H](C)NC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000919472019 624578267 /nfs/dbraw/zinc/57/82/67/624578267.db2.gz WKHMSCJMEHDASU-NXEZZACHSA-N 0 0 297.380 2.707 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1scnc1Cl ZINC000919695377 624598949 /nfs/dbraw/zinc/59/89/49/624598949.db2.gz MYINDRZNAWFQKA-UHFFFAOYSA-N 0 0 284.680 2.924 20 5 CFBDRN CCC1(CC(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)COC1 ZINC000830070966 624615120 /nfs/dbraw/zinc/61/51/20/624615120.db2.gz LOWSAOMDCPTJSF-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ncc(C(=O)Oc2cccc([N+](=O)[O-])c2)s1 ZINC000195207651 624653882 /nfs/dbraw/zinc/65/38/82/624653882.db2.gz IUWGVKSMJQPKAO-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C)C(C)C ZINC000920028962 624659643 /nfs/dbraw/zinc/65/96/43/624659643.db2.gz HFZKDNOPKWJKMT-CYBMUJFWSA-N 0 0 293.367 2.825 20 5 CFBDRN C[C@@H](CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000920028722 624659710 /nfs/dbraw/zinc/65/97/10/624659710.db2.gz COVGDHQWOGAIOF-VKJYCEFSSA-N 0 0 274.320 2.770 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000924026021 624678095 /nfs/dbraw/zinc/67/80/95/624678095.db2.gz UPLCAGMKQJTZTH-VPOLOUISSA-N 0 0 292.310 2.756 20 5 CFBDRN CCC/C(C)=C\C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000920287103 624708652 /nfs/dbraw/zinc/70/86/52/624708652.db2.gz CPPDZIMQNROPBO-QXMHVHEDSA-N 0 0 291.351 2.869 20 5 CFBDRN C[C@@H](C(=O)OCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000174898844 624709704 /nfs/dbraw/zinc/70/97/04/624709704.db2.gz VQEJWCCWJBGIAS-SNVBAGLBSA-N 0 0 263.293 2.727 20 5 CFBDRN CO[C@@H](C)Cn1nc(-c2cccc([N+](=O)[O-])c2)nc1Cl ZINC000920412112 624719638 /nfs/dbraw/zinc/71/96/38/624719638.db2.gz MMUCAYUSZBEUTA-QMMMGPOBSA-N 0 0 296.714 2.542 20 5 CFBDRN CCC/C=C\C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000920444258 624723273 /nfs/dbraw/zinc/72/32/73/624723273.db2.gz CJPGAAMFXIDPON-PLNGDYQASA-N 0 0 260.293 2.840 20 5 CFBDRN CSCCCOC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830365703 624723294 /nfs/dbraw/zinc/72/32/94/624723294.db2.gz LDXMBVJDGWVJLI-UHFFFAOYSA-N 0 0 287.312 2.952 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCCC1CC1 ZINC000830365593 624723424 /nfs/dbraw/zinc/72/34/24/624723424.db2.gz GKMDVLUSHPODKW-UHFFFAOYSA-N 0 0 267.256 2.999 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCC(=O)CC(C)C ZINC000830369090 624724577 /nfs/dbraw/zinc/72/45/77/624724577.db2.gz HCCHWVPHJYGDLT-UHFFFAOYSA-N 0 0 297.282 2.814 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])OCC1CCCC1 ZINC000920604421 624741097 /nfs/dbraw/zinc/74/10/97/624741097.db2.gz GDOLVYKALNXRIQ-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN Cc1cc(C(=O)N2CC(OC(C)(C)C)C2)cc([N+](=O)[O-])c1 ZINC000830541701 624776049 /nfs/dbraw/zinc/77/60/49/624776049.db2.gz BKDKGQVBUXSOGR-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(C(=O)OC/C=C\Cl)cc([N+](=O)[O-])c1 ZINC000920900033 624776505 /nfs/dbraw/zinc/77/65/05/624776505.db2.gz LSKMPOHRJNDKBH-IHWYPQMZSA-N 0 0 255.657 2.813 20 5 CFBDRN Cc1c(C(=O)N2CC(OC(C)(C)C)C2)cccc1[N+](=O)[O-] ZINC000830555902 624778258 /nfs/dbraw/zinc/77/82/58/624778258.db2.gz DCVPVPPORSWEOJ-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN CCCCOCCNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000921271623 624834055 /nfs/dbraw/zinc/83/40/55/624834055.db2.gz IRQUHDZXFVDNMH-UHFFFAOYSA-N 0 0 297.380 2.698 20 5 CFBDRN C[C@H](OC(=O)C1(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000830768878 624855489 /nfs/dbraw/zinc/85/54/89/624855489.db2.gz NSHKDLZJJKZYLM-QMMMGPOBSA-N 0 0 253.229 2.701 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000830897866 624924219 /nfs/dbraw/zinc/92/42/19/624924219.db2.gz SOMRLFBVNUMULW-DTWKUNHWSA-N 0 0 296.289 2.621 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCC(=O)C1 ZINC000830901445 624924944 /nfs/dbraw/zinc/92/49/44/624924944.db2.gz UIOHQVLRTSWFRS-QMMMGPOBSA-N 0 0 297.694 2.661 20 5 CFBDRN Cc1cnc(OCC(=O)c2ccccc2C)c([N+](=O)[O-])c1 ZINC000175139948 624928759 /nfs/dbraw/zinc/92/87/59/624928759.db2.gz QSZCGCUDAUZUPK-UHFFFAOYSA-N 0 0 286.287 2.868 20 5 CFBDRN Cc1ncccc1[C@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000851540965 634420319 /nfs/dbraw/zinc/42/03/19/634420319.db2.gz FENXPJPQKSHGHS-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1cnc(COC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])o1 ZINC000175397819 624950493 /nfs/dbraw/zinc/95/04/93/624950493.db2.gz MZJJAQVXNZMAFS-UHFFFAOYSA-N 0 0 298.201 2.526 20 5 CFBDRN CC(C)=CC(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000064711818 624957848 /nfs/dbraw/zinc/95/78/48/624957848.db2.gz LEGSBOSAJNOLFK-UHFFFAOYSA-N 0 0 278.308 2.540 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)o1 ZINC000175619882 624959072 /nfs/dbraw/zinc/95/90/72/624959072.db2.gz VAHLCOOKLKTHEM-UHFFFAOYSA-N 0 0 297.217 2.730 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000067900610 624963670 /nfs/dbraw/zinc/96/36/70/624963670.db2.gz BEWMWQNNTFFLFV-GHMZBOCLSA-N 0 0 278.308 2.596 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc2nccn2c1 ZINC000170869536 624984419 /nfs/dbraw/zinc/98/44/19/624984419.db2.gz FCARZDJHYNOAFH-UHFFFAOYSA-N 0 0 297.270 2.770 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC(C)(C)O1 ZINC000068463527 624999330 /nfs/dbraw/zinc/99/93/30/624999330.db2.gz ZQKZFRXBANWOBO-VIFPVBQESA-N 0 0 295.295 2.507 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ccccc32)c(N)c([N+](=O)[O-])c1 ZINC000068511926 625004733 /nfs/dbraw/zinc/00/47/33/625004733.db2.gz PHDUSPRJUUYWDM-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN CCCCN(CCOC)c1cccc(F)c1[N+](=O)[O-] ZINC000068704189 625020857 /nfs/dbraw/zinc/02/08/57/625020857.db2.gz DRXYCHMAZGYAQZ-UHFFFAOYSA-N 0 0 270.304 2.987 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCCC2CC=CC2)c1 ZINC000831449302 625024220 /nfs/dbraw/zinc/02/42/20/625024220.db2.gz HLKBUMFGSXNGFO-UHFFFAOYSA-N 0 0 290.319 2.690 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2OC3CCC2CC3)c1[N+](=O)[O-] ZINC000831509358 625038445 /nfs/dbraw/zinc/03/84/45/625038445.db2.gz IFDXMSITTZEZLW-UHFFFAOYSA-N 0 0 294.282 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2cccc(F)c2)cc1 ZINC000068998964 625044742 /nfs/dbraw/zinc/04/47/42/625044742.db2.gz XRRZVVNYINEAEF-AWEZNQCLSA-N 0 0 276.267 2.879 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(F)nc1)c1cccc([N+](=O)[O-])c1 ZINC000070082043 625266074 /nfs/dbraw/zinc/26/60/74/625266074.db2.gz SVIXPWQCLGYALU-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN CCCOC(=O)c1cc([N+](=O)[O-])ccc1Br ZINC000082367997 625721506 /nfs/dbraw/zinc/72/15/06/625721506.db2.gz CBQCXTDLDXAQGE-UHFFFAOYSA-N 0 0 288.097 2.924 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC(F)(C2CC2)CC1 ZINC000834594807 625731147 /nfs/dbraw/zinc/73/11/47/625731147.db2.gz DRQSPIIAIWCTGR-UHFFFAOYSA-N 0 0 282.271 2.542 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCCC(C)(C)O ZINC000834646091 625753861 /nfs/dbraw/zinc/75/38/61/625753861.db2.gz XFUPCLPCJAOCJV-UHFFFAOYSA-N 0 0 281.356 2.988 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCCC1(O)CC1 ZINC000834646185 625753986 /nfs/dbraw/zinc/75/39/86/625753986.db2.gz ZUZDTUHZEFUPQK-UHFFFAOYSA-N 0 0 279.340 2.742 20 5 CFBDRN CO[C@H]1CCC[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000073071053 625769629 /nfs/dbraw/zinc/76/96/29/625769629.db2.gz XUEZCPJKAOAEPN-QWHCGFSZSA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCS[C@H](C)C2)c1[N+](=O)[O-] ZINC000834695838 625789798 /nfs/dbraw/zinc/78/97/98/625789798.db2.gz OFBFSJZZTRWFHA-MNOVXSKESA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)CCS1 ZINC000834704819 625793689 /nfs/dbraw/zinc/79/36/89/625793689.db2.gz HITFAAIXHPVEPF-CMPLNLGQSA-N 0 0 294.376 2.538 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000834706998 625795191 /nfs/dbraw/zinc/79/51/91/625795191.db2.gz SDDSTZGCTRNGOG-GXSJLCMTSA-N 0 0 280.349 2.609 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCCO2)c1 ZINC000073194188 625800603 /nfs/dbraw/zinc/80/06/03/625800603.db2.gz WZLHHARCFRZLTM-SECBINFHSA-N 0 0 297.332 2.653 20 5 CFBDRN CCc1noc(C)c1-c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000834726973 625808968 /nfs/dbraw/zinc/80/89/68/625808968.db2.gz WZVHHWWLHHZYTD-UHFFFAOYSA-N 0 0 294.311 2.583 20 5 CFBDRN CC(C)(CCc1noc(C(C)(C)C(C)(F)F)n1)[N+](=O)[O-] ZINC000834743737 625824131 /nfs/dbraw/zinc/82/41/31/625824131.db2.gz GKNFGIYEWXUDKC-UHFFFAOYSA-N 0 0 291.298 2.990 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000073323504 625829348 /nfs/dbraw/zinc/82/93/48/625829348.db2.gz UERIUVRHVLKUFD-ONGXEEELSA-N 0 0 280.299 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CC3(Cl)Cl)n2)o1 ZINC000834841502 625898189 /nfs/dbraw/zinc/89/81/89/625898189.db2.gz VALRCMNSDZSSOS-SCSAIBSYSA-N 0 0 290.062 2.899 20 5 CFBDRN CCc1ccc(C(=O)N(OC)[C@H](C)CC)cc1[N+](=O)[O-] ZINC000768901227 625908671 /nfs/dbraw/zinc/90/86/71/625908671.db2.gz XKWBLYVSBFVEBS-SNVBAGLBSA-N 0 0 280.324 2.959 20 5 CFBDRN CCc1ncc(CN[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)o1 ZINC000834898486 625914730 /nfs/dbraw/zinc/91/47/30/625914730.db2.gz DSUBZIZVZLORFZ-VIFPVBQESA-N 0 0 290.323 2.578 20 5 CFBDRN CCOc1cc(NOCC(C)C)ccc1[N+](=O)[O-] ZINC000788076624 625976760 /nfs/dbraw/zinc/97/67/60/625976760.db2.gz PLQRZSMIKZUREC-UHFFFAOYSA-N 0 0 254.286 2.993 20 5 CFBDRN Cc1c(NC(=O)N2CCO[C@H](C)CC2)cccc1[N+](=O)[O-] ZINC000929221391 625978518 /nfs/dbraw/zinc/97/85/18/625978518.db2.gz OJIYMGNQMNHFCK-SNVBAGLBSA-N 0 0 293.323 2.546 20 5 CFBDRN O=C(NC1C[C@H]2CC[C@@H](C1)S2)c1ccccc1[N+](=O)[O-] ZINC000888353751 626000711 /nfs/dbraw/zinc/00/07/11/626000711.db2.gz GUBACIHWCJBYJU-FGWVZKOKSA-N 0 0 292.360 2.751 20 5 CFBDRN CCC1(COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)COC1 ZINC000084850267 626028163 /nfs/dbraw/zinc/02/81/63/626028163.db2.gz GVGVCPQWBUBLHL-VMPITWQZSA-N 0 0 291.303 2.578 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])C1(F)CCCC1 ZINC000772794479 626088839 /nfs/dbraw/zinc/08/88/39/626088839.db2.gz PDPWDFAOCONYNY-UHFFFAOYSA-N 0 0 297.282 2.799 20 5 CFBDRN COc1ccc(OC(=O)c2ccoc2C)c([N+](=O)[O-])c1 ZINC000072704161 626110084 /nfs/dbraw/zinc/11/00/84/626110084.db2.gz AACBKZKYUYGWNJ-UHFFFAOYSA-N 0 0 277.232 2.724 20 5 CFBDRN Cn1cc(CCOc2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000077491309 626197793 /nfs/dbraw/zinc/19/77/93/626197793.db2.gz GXUQNVVNLASSBB-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN CCC(=O)OCc1cc([N+](=O)[O-])ccc1Br ZINC000922176269 626231002 /nfs/dbraw/zinc/23/10/02/626231002.db2.gz BJJGEAIWXXRVNQ-UHFFFAOYSA-N 0 0 288.097 2.811 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000922308885 626264983 /nfs/dbraw/zinc/26/49/83/626264983.db2.gz SNSHUSGURKYYNG-BIGNPOOSSA-N 0 0 289.335 2.754 20 5 CFBDRN CC(C)CCN(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000154770237 626319339 /nfs/dbraw/zinc/31/93/39/626319339.db2.gz DFTAQKMLXJGFMJ-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN CC/C=C\CNC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000922698147 626344465 /nfs/dbraw/zinc/34/44/65/626344465.db2.gz YONKOJPNABMODD-DOGVGXBMSA-N 0 0 277.324 2.921 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccncc1 ZINC000073906565 626399201 /nfs/dbraw/zinc/39/92/01/626399201.db2.gz XQEJLSQAALAAFP-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC(C)(NC(=O)c1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000227507600 626403421 /nfs/dbraw/zinc/40/34/21/626403421.db2.gz YLNYKJANVYXWQI-UHFFFAOYSA-N 0 0 266.272 2.652 20 5 CFBDRN Cc1nc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000228675457 626408421 /nfs/dbraw/zinc/40/84/21/626408421.db2.gz CKIUJEVKWPVJKL-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC/C=C\COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000788081619 626418934 /nfs/dbraw/zinc/41/89/34/626418934.db2.gz QMCVCLVRXXDDTK-PLNGDYQASA-N 0 0 263.293 2.955 20 5 CFBDRN CC[C@@H]1C[C@H]1COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000922918626 626424520 /nfs/dbraw/zinc/42/45/20/626424520.db2.gz WUTNCWIYPAOZAD-BDAKNGLRSA-N 0 0 267.256 2.937 20 5 CFBDRN Cn1cc(COC(=O)[C@H]2CCC=CCCC2)c([N+](=O)[O-])n1 ZINC000923034583 626445454 /nfs/dbraw/zinc/44/54/54/626445454.db2.gz CLINWESCZVAWOP-NSHDSACASA-N 0 0 293.323 2.508 20 5 CFBDRN Cc1c(COC(=O)[C@@H](C)[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000923047885 626446408 /nfs/dbraw/zinc/44/64/08/626446408.db2.gz HFFFHXZHWJJYKV-SMDDNHRTSA-N 0 0 293.319 2.762 20 5 CFBDRN O=c1ccnc(C=Cc2cc([N+](=O)[O-])ccc2Cl)[nH]1 ZINC000742221154 626481293 /nfs/dbraw/zinc/48/12/93/626481293.db2.gz FFJGCHKAHXEEKW-DAFODLJHSA-N 0 0 277.667 2.914 20 5 CFBDRN O=c1ccnc(C=Cc2cc([N+](=O)[O-])ccc2Cl)[nH]1 ZINC000742221155 626481307 /nfs/dbraw/zinc/48/13/07/626481307.db2.gz FFJGCHKAHXEEKW-RJRFIUFISA-N 0 0 277.667 2.914 20 5 CFBDRN O=C(Nc1ccc(F)cn1)c1cc(F)ccc1[N+](=O)[O-] ZINC000103013115 626490145 /nfs/dbraw/zinc/49/01/45/626490145.db2.gz HNGWKROBHOJCJI-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC(C)C[C@H](C)COC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838286069 626491923 /nfs/dbraw/zinc/49/19/23/626491923.db2.gz SHKGDSMODLNNEO-SMDDNHRTSA-N 0 0 295.335 2.854 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)OC[C@H]2CCCO2)cc1Cl ZINC000838346404 626492841 /nfs/dbraw/zinc/49/28/41/626492841.db2.gz UVBSMUCFFBSGPP-SECBINFHSA-N 0 0 299.710 2.892 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)OCCC2(O)CC2)cc1Cl ZINC000838344967 626492887 /nfs/dbraw/zinc/49/28/87/626492887.db2.gz KYBCQRSWJIIHNV-UHFFFAOYSA-N 0 0 299.710 2.628 20 5 CFBDRN CCOCCOC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000838344984 626492894 /nfs/dbraw/zinc/49/28/94/626492894.db2.gz LGQCTOVBECOXHT-UHFFFAOYSA-N 0 0 287.699 2.750 20 5 CFBDRN C[C@H](C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000838395028 626495526 /nfs/dbraw/zinc/49/55/26/626495526.db2.gz PZRZTJDOAUOJRN-JTQLQIEISA-N 0 0 291.303 2.757 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)cccc1[N+](=O)[O-] ZINC000838419644 626496687 /nfs/dbraw/zinc/49/66/87/626496687.db2.gz MCYHKRLQEDORJQ-BZPMIXESSA-N 0 0 291.303 2.514 20 5 CFBDRN C[C@@]1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCCCO1 ZINC000838436795 626497636 /nfs/dbraw/zinc/49/76/36/626497636.db2.gz JVUJCCRNDKYXOC-AWEZNQCLSA-N 0 0 297.282 2.736 20 5 CFBDRN C[C@@]1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCCCO1 ZINC000838441147 626497957 /nfs/dbraw/zinc/49/79/57/626497957.db2.gz YXVUVZYSWQITRB-AWEZNQCLSA-N 0 0 279.292 2.597 20 5 CFBDRN C[C@]1(C(=O)OCCc2ccc([N+](=O)[O-])cc2)CCCCO1 ZINC000838441237 626497967 /nfs/dbraw/zinc/49/79/67/626497967.db2.gz ZVHQHRLGKNYTJR-OAHLLOKOSA-N 0 0 293.319 2.640 20 5 CFBDRN C[C@H](NC(=O)N1CC(C)(C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000838625493 626502135 /nfs/dbraw/zinc/50/21/35/626502135.db2.gz FBKNRIIBZLWHAQ-JTQLQIEISA-N 0 0 277.324 2.707 20 5 CFBDRN C[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccn(C)n1 ZINC000839192501 626531020 /nfs/dbraw/zinc/53/10/20/626531020.db2.gz UZMPHUWWLKABMK-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@]1(C)CCCCO1 ZINC000839254526 626532507 /nfs/dbraw/zinc/53/25/07/626532507.db2.gz ZCKLCFBOYOBYIR-AWEZNQCLSA-N 0 0 279.292 2.768 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@@H](C)C(C)C ZINC000839265577 626532612 /nfs/dbraw/zinc/53/26/12/626532612.db2.gz WCGNGQZMMXTSIH-NSHDSACASA-N 0 0 258.362 2.715 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@H](C)C(C)C ZINC000839265575 626532694 /nfs/dbraw/zinc/53/26/94/626532694.db2.gz WCGNGQZMMXTSIH-LLVKDONJSA-N 0 0 258.362 2.715 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H](F)C(F)(F)F ZINC000839312247 626533530 /nfs/dbraw/zinc/53/35/30/626533530.db2.gz VOESDOSXROBKSD-MRVPVSSYSA-N 0 0 281.161 2.709 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])c1cccnc1C ZINC000839348892 626534395 /nfs/dbraw/zinc/53/43/95/626534395.db2.gz NUQWAQLVDUYEMR-UHFFFAOYSA-N 0 0 279.340 2.578 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000839379904 626534762 /nfs/dbraw/zinc/53/47/62/626534762.db2.gz TYAWBYNGFFBJGP-VIFPVBQESA-N 0 0 268.700 2.873 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000839453339 626537407 /nfs/dbraw/zinc/53/74/07/626537407.db2.gz ZDVFPWRWVCCGLA-RGNHYFCHSA-N 0 0 296.273 2.791 20 5 CFBDRN Cc1cc(CNCc2cc(Cl)ccc2[N+](=O)[O-])ncn1 ZINC000756991541 626543179 /nfs/dbraw/zinc/54/31/79/626543179.db2.gz VFTLZPOMRBLMLE-UHFFFAOYSA-N 0 0 292.726 2.636 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756996754 626543241 /nfs/dbraw/zinc/54/32/41/626543241.db2.gz DFDWPKJNVQUQJM-XCBNKYQSSA-N 0 0 268.700 2.520 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])O1 ZINC000757137148 626545768 /nfs/dbraw/zinc/54/57/68/626545768.db2.gz PJIVVIYZDWUNIZ-RNCFNFMXSA-N 0 0 297.282 2.735 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)OCc2cccc([N+](=O)[O-])c2)O1 ZINC000757137724 626545795 /nfs/dbraw/zinc/54/57/95/626545795.db2.gz UPCNIIDUSOIKCF-OLZOCXBDSA-N 0 0 279.292 2.596 20 5 CFBDRN O=C(NC1CCC2(CCCCC2)CC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839733727 626552313 /nfs/dbraw/zinc/55/23/13/626552313.db2.gz NNEULGOBWRPPSI-CHWSQXEVSA-N 0 0 280.368 2.661 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCCC2(CCCCC2)CC1 ZINC000839732770 626552346 /nfs/dbraw/zinc/55/23/46/626552346.db2.gz GARVZBFVKUSZIZ-CHWSQXEVSA-N 0 0 280.368 2.615 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000839737532 626552403 /nfs/dbraw/zinc/55/24/03/626552403.db2.gz XTFSEUBHKVDGOU-NXEZZACHSA-N 0 0 288.225 2.618 20 5 CFBDRN CCSCc1ccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000839787447 626555514 /nfs/dbraw/zinc/55/55/14/626555514.db2.gz ONPUWHBDXMALDI-VXGBXAGGSA-N 0 0 280.349 2.543 20 5 CFBDRN CON(C(=O)c1csc([N+](=O)[O-])c1)C1CCCC1 ZINC000757631830 626556870 /nfs/dbraw/zinc/55/68/70/626556870.db2.gz TVAMVIANUHCTSE-UHFFFAOYSA-N 0 0 270.310 2.603 20 5 CFBDRN CON(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CCCC1 ZINC000757641223 626557018 /nfs/dbraw/zinc/55/70/18/626557018.db2.gz ZCQJMXSDHSPFQS-UHFFFAOYSA-N 0 0 282.271 2.680 20 5 CFBDRN CCOC(=O)CN(Cc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000851745167 634506951 /nfs/dbraw/zinc/50/69/51/634506951.db2.gz WPXZJXPXFCUVDZ-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN CC1(CC(=O)OCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000758152769 626579466 /nfs/dbraw/zinc/57/94/66/626579466.db2.gz FLUJESHBUXWTCK-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCc1ccn(C)n1 ZINC000758170768 626580160 /nfs/dbraw/zinc/58/01/60/626580160.db2.gz MSZFXKZGOZSRJT-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN Cc1nc(C)c(C)c(Oc2ccc([N+](=O)[O-])c(CO)c2)n1 ZINC000758174401 626580555 /nfs/dbraw/zinc/58/05/55/626580555.db2.gz UMIDYAOALFHJDU-UHFFFAOYSA-N 0 0 289.291 2.595 20 5 CFBDRN Cc1ccc(COC(=O)c2cc([N+](=O)[O-])cn2C)cc1C ZINC000758385269 626591088 /nfs/dbraw/zinc/59/10/88/626591088.db2.gz XKJFBHCGHKCXAV-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000758395380 626591959 /nfs/dbraw/zinc/59/19/59/626591959.db2.gz LGOUFMXGVLUGJV-SECBINFHSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1c(COC(=O)CC(C)(F)F)cccc1[N+](=O)[O-] ZINC000758733678 626605747 /nfs/dbraw/zinc/60/57/47/626605747.db2.gz TZGUUSFSWGXAGB-UHFFFAOYSA-N 0 0 273.235 2.992 20 5 CFBDRN COCCC(C)(C)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000758833290 626611806 /nfs/dbraw/zinc/61/18/06/626611806.db2.gz HBYYMXMPJPBINJ-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN CN(CC1(O)CCC1)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000758932011 626618877 /nfs/dbraw/zinc/61/88/77/626618877.db2.gz QPRQYASYADNTMW-UHFFFAOYSA-N 0 0 293.348 2.556 20 5 CFBDRN C[C@@H](C[C@@H](O)c1ccco1)Nc1cccnc1[N+](=O)[O-] ZINC000759246675 626639224 /nfs/dbraw/zinc/63/92/24/626639224.db2.gz MQBHNQYRZZAENV-GXSJLCMTSA-N 0 0 277.280 2.507 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000759460045 626661437 /nfs/dbraw/zinc/66/14/37/626661437.db2.gz ZDUJZDBOZOKRKO-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000759506260 626665193 /nfs/dbraw/zinc/66/51/93/626665193.db2.gz WDGJUCIKYLMKKG-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CC1(CC(=O)OCCc2ccccc2[N+](=O)[O-])CC1 ZINC000759582668 626673560 /nfs/dbraw/zinc/67/35/60/626673560.db2.gz YQMHZJPHGAPECM-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN Nc1ncc([N+](=O)[O-])cc1C(=O)OCC1CCCCCC1 ZINC000759591481 626674337 /nfs/dbraw/zinc/67/43/37/626674337.db2.gz BYAFYRJVHBCLRW-UHFFFAOYSA-N 0 0 293.323 2.699 20 5 CFBDRN COC(=O)/C=C\c1ccc(NC2CC=CC2)c([N+](=O)[O-])c1 ZINC000759716606 626687106 /nfs/dbraw/zinc/68/71/06/626687106.db2.gz AUOGDRNKRRQPFD-CLFYSBASSA-N 0 0 288.303 2.912 20 5 CFBDRN CCC/C=C\C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000759793625 626690952 /nfs/dbraw/zinc/69/09/52/626690952.db2.gz ZQMMTUMVPXSQKE-PLNGDYQASA-N 0 0 274.280 2.766 20 5 CFBDRN Cc1ccc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])cn1 ZINC000760016936 626709551 /nfs/dbraw/zinc/70/95/51/626709551.db2.gz KOCMHMHJMIBMKY-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)C[C@H]1CCCCO1 ZINC000760018635 626709664 /nfs/dbraw/zinc/70/96/64/626709664.db2.gz QNJMWJFAYHEXBQ-LLVKDONJSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ccncc1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760014866 626709761 /nfs/dbraw/zinc/70/97/61/626709761.db2.gz DGMGENVIIPYNHC-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN COCCCCC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760023578 626710256 /nfs/dbraw/zinc/71/02/56/626710256.db2.gz VZQJOFFGDJYTKT-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CSC[C@@H](C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760023071 626710397 /nfs/dbraw/zinc/71/03/97/626710397.db2.gz UGIUEQFGONYDGB-SECBINFHSA-N 0 0 269.322 2.808 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c2nonc21)c1ccc[nH]1 ZINC000760274820 626727988 /nfs/dbraw/zinc/72/79/88/626727988.db2.gz VZLUKFAWLCWIOA-ZETCQYMHSA-N 0 0 273.252 2.632 20 5 CFBDRN C[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1ccc[nH]1 ZINC000760411137 626742991 /nfs/dbraw/zinc/74/29/91/626742991.db2.gz WHDWFZQGWWLUIH-QMMMGPOBSA-N 0 0 277.255 2.553 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@@H]2Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000760461851 626747762 /nfs/dbraw/zinc/74/77/62/626747762.db2.gz FSBKSHZAQNTLDX-ZDUSSCGKSA-N 0 0 299.334 2.886 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1ccccc1OC ZINC000760478549 626749392 /nfs/dbraw/zinc/74/93/92/626749392.db2.gz ZBCTWOOZYOBFFR-GFCCVEGCSA-N 0 0 288.307 2.957 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cncnc1 ZINC000760602562 626758439 /nfs/dbraw/zinc/75/84/39/626758439.db2.gz AFDAUVQNBYGBNR-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCOC(=O)[C@@H](NCc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000760916423 626784289 /nfs/dbraw/zinc/78/42/89/626784289.db2.gz HCFYPXKYTIUSBM-CYBMUJFWSA-N 0 0 294.351 2.662 20 5 CFBDRN CSc1ccc(C(=O)O[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000761351215 626826891 /nfs/dbraw/zinc/82/68/91/626826891.db2.gz ZRHUKIYWULAQMT-JTQLQIEISA-N 0 0 297.332 2.653 20 5 CFBDRN CCC(CC)(CC)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000761481599 626839046 /nfs/dbraw/zinc/83/90/46/626839046.db2.gz XMFSUDIYONUHLA-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN CCC[C@@H](C)c1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000761851769 626863970 /nfs/dbraw/zinc/86/39/70/626863970.db2.gz PFDCCIRCLKOICP-MRVPVSSYSA-N 0 0 264.285 2.887 20 5 CFBDRN CSCC[C@@H](C)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000761931174 626872887 /nfs/dbraw/zinc/87/28/87/626872887.db2.gz PKPMKMCHTZZVGW-MNOVXSKESA-N 0 0 296.392 2.956 20 5 CFBDRN O=C(OCc1cc(F)ccc1F)c1ccc([N+](=O)[O-])cn1 ZINC000761977838 626876667 /nfs/dbraw/zinc/87/66/67/626876667.db2.gz YOHKQGXXAPSHMO-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(CC2CCOCC2)no1 ZINC000761980827 626876932 /nfs/dbraw/zinc/87/69/32/626876932.db2.gz TYDFYHQIEOFHMU-UHFFFAOYSA-N 0 0 289.291 2.614 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000761986439 626877454 /nfs/dbraw/zinc/87/74/54/626877454.db2.gz MKZNDJJCYZOUAL-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@@H]1CCSC1 ZINC000762025735 626882310 /nfs/dbraw/zinc/88/23/10/626882310.db2.gz NJXZLXIXSAKFET-MRVPVSSYSA-N 0 0 285.296 2.530 20 5 CFBDRN Cc1nn(CN2CC3(CCC3)C[C@@H]2C)c(C)c1[N+](=O)[O-] ZINC000762138805 626895847 /nfs/dbraw/zinc/89/58/47/626895847.db2.gz MRJWGKSWRKBYSF-JTQLQIEISA-N 0 0 278.356 2.630 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000762149804 626897760 /nfs/dbraw/zinc/89/77/60/626897760.db2.gz OTBXNBROOAIKCV-SNVBAGLBSA-N 0 0 281.333 2.813 20 5 CFBDRN C[C@H]1CC[C@H](COC(=O)c2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000762182769 626901742 /nfs/dbraw/zinc/90/17/42/626901742.db2.gz REJVGFYFRXJEFI-WCBMZHEXSA-N 0 0 299.710 2.973 20 5 CFBDRN O=C(Oc1cccc2ncccc21)c1ccc([N+](=O)[O-])cn1 ZINC000762277247 626908854 /nfs/dbraw/zinc/90/88/54/626908854.db2.gz XUCKURLBCPHAAP-UHFFFAOYSA-N 0 0 295.254 2.757 20 5 CFBDRN COc1cc(COc2ccc([N+](=O)[O-])c(F)c2)ccn1 ZINC000762462520 626917355 /nfs/dbraw/zinc/91/73/55/626917355.db2.gz MSYAPIXISWQESG-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC[C@H]3SCCS[C@H]23)nc1 ZINC000762566788 626924176 /nfs/dbraw/zinc/92/41/76/626924176.db2.gz YADBECIKHTVYDE-CKYFFXLPSA-N 0 0 297.405 2.781 20 5 CFBDRN O=C(/C=C\C1CC1)OCCc1ccccc1[N+](=O)[O-] ZINC000762689629 626930512 /nfs/dbraw/zinc/93/05/12/626930512.db2.gz BKZCSFQSVVIAHU-FPLPWBNLSA-N 0 0 261.277 2.647 20 5 CFBDRN C[C@H](OC(=O)c1cc[nH]c(=O)c1)c1cccc([N+](=O)[O-])c1 ZINC000762849233 626944179 /nfs/dbraw/zinc/94/41/79/626944179.db2.gz QTQKZNYLHQGMNY-VIFPVBQESA-N 0 0 288.259 2.613 20 5 CFBDRN O=C(OC/C=C/Cl)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000762973490 626953348 /nfs/dbraw/zinc/95/33/48/626953348.db2.gz CBILMRUJIPEYDS-OWOJBTEDSA-N 0 0 299.695 2.509 20 5 CFBDRN CCC(=O)COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000762998003 626954459 /nfs/dbraw/zinc/95/44/59/626954459.db2.gz IVXACVNQSGPWOX-UHFFFAOYSA-N 0 0 297.282 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccccn2)c(Cl)c1 ZINC000763203433 626970250 /nfs/dbraw/zinc/97/02/50/626970250.db2.gz DBFJFUQUEBOJSU-CYBMUJFWSA-N 0 0 293.710 2.789 20 5 CFBDRN C[C@@]1(c2noc(-c3cccc([N+](=O)[O-])c3)n2)CCCO1 ZINC000763321225 626979245 /nfs/dbraw/zinc/97/92/45/626979245.db2.gz WYSKEPLBIBZJLY-ZDUSSCGKSA-N 0 0 275.264 2.670 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc([C@]2(C)CCCO2)no1 ZINC000763330938 626980051 /nfs/dbraw/zinc/98/00/51/626980051.db2.gz CYNLKTIVJLHKGR-AWEZNQCLSA-N 0 0 289.291 2.979 20 5 CFBDRN C[C@H](OC(=O)[C@H]1C[C@]12CCOC2)c1cccc([N+](=O)[O-])c1 ZINC000763403298 626985716 /nfs/dbraw/zinc/98/57/16/626985716.db2.gz LDZMMWIRNSRFLQ-ZBINZKHDSA-N 0 0 291.303 2.626 20 5 CFBDRN C[C@@H](C(=O)OC[C@@H]1CC[C@@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000763400526 626985725 /nfs/dbraw/zinc/98/57/25/626985725.db2.gz BWTHTMFZGLGDQT-GYSYKLTISA-N 0 0 293.319 2.809 20 5 CFBDRN C[C@H](C(=O)O[C@H]1CCCCC1=O)c1cccc([N+](=O)[O-])c1 ZINC000763404931 626985861 /nfs/dbraw/zinc/98/58/61/626985861.db2.gz QHUONAAVARPFEX-HZMBPMFUSA-N 0 0 291.303 2.753 20 5 CFBDRN C[C@@H](C(=O)OC[C@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000763421300 626987970 /nfs/dbraw/zinc/98/79/70/626987970.db2.gz TTWYOQSRDBDBRF-NEPJUHHUSA-N 0 0 293.319 2.668 20 5 CFBDRN Cn1ccc(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 ZINC000763509302 626993177 /nfs/dbraw/zinc/99/31/77/626993177.db2.gz BOMLXBSBLJUBAP-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN COc1ccc(CC(=O)O[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000763550895 626997125 /nfs/dbraw/zinc/99/71/25/626997125.db2.gz OMSVLLHAAGLHJZ-SNVBAGLBSA-N 0 0 281.308 2.734 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(C)=O ZINC000763806082 627014975 /nfs/dbraw/zinc/01/49/75/627014975.db2.gz LUXMJCQZBLBCFC-SECBINFHSA-N 0 0 297.332 2.841 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H]1CCC(F)(F)C1 ZINC000763834426 627016307 /nfs/dbraw/zinc/01/63/07/627016307.db2.gz UPKMNWAOOOSZDZ-UUSYTGMJSA-N 0 0 296.273 2.912 20 5 CFBDRN CO[C@H](C)CCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764014079 627031853 /nfs/dbraw/zinc/03/18/53/627031853.db2.gz GCOGJVOWWLZWMY-GHMZBOCLSA-N 0 0 281.308 2.667 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC2(C)CCC2)c1 ZINC000764128271 627041235 /nfs/dbraw/zinc/04/12/35/627041235.db2.gz DRUQHZWMWSABMG-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](C3CC3)C2)c(F)c1 ZINC000764185004 627043602 /nfs/dbraw/zinc/04/36/02/627043602.db2.gz CRQUCZJLOHMCNQ-JTQLQIEISA-N 0 0 250.273 2.970 20 5 CFBDRN Cc1c(CC(=O)O[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000764391480 627056372 /nfs/dbraw/zinc/05/63/72/627056372.db2.gz QWBWPBLLIDDMBY-JTQLQIEISA-N 0 0 263.293 2.787 20 5 CFBDRN COC(=O)CCCC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764594361 627074623 /nfs/dbraw/zinc/07/46/23/627074623.db2.gz GCVMFQDHWOREQZ-SNVBAGLBSA-N 0 0 295.291 2.542 20 5 CFBDRN CC(C)(C(=O)OC1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000764771669 627092913 /nfs/dbraw/zinc/09/29/13/627092913.db2.gz WTNVWRQUFYROBW-UHFFFAOYSA-N 0 0 293.319 2.595 20 5 CFBDRN C[C@@H](OC(=O)c1ccc(N)c([N+](=O)[O-])c1)c1ccco1 ZINC000764802736 627096335 /nfs/dbraw/zinc/09/63/35/627096335.db2.gz QAICRVJFJGWBQT-MRVPVSSYSA-N 0 0 276.248 2.688 20 5 CFBDRN C[C@H](OC(=O)c1ccc(N)c([N+](=O)[O-])c1)c1ccco1 ZINC000764813637 627097528 /nfs/dbraw/zinc/09/75/28/627097528.db2.gz QAICRVJFJGWBQT-QMMMGPOBSA-N 0 0 276.248 2.688 20 5 CFBDRN Cc1cc(NC(=O)C=CC2CC2)c2cc([N+](=O)[O-])ccc2n1 ZINC000765139480 627121899 /nfs/dbraw/zinc/12/18/99/627121899.db2.gz ZHRXFYXFFPRROZ-QPJJXVBHSA-N 0 0 297.314 2.778 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCNc3ccccc3C2)n1 ZINC000765470215 627140754 /nfs/dbraw/zinc/14/07/54/627140754.db2.gz WWOVITLMNPJKJN-UHFFFAOYSA-N 0 0 284.319 2.730 20 5 CFBDRN C/C(=C\C(=O)OCCC(C)(C)O)c1ccc([N+](=O)[O-])cc1 ZINC000765509289 627144021 /nfs/dbraw/zinc/14/40/21/627144021.db2.gz SBMTVAJSGXZKQW-ZHACJKMWSA-N 0 0 293.319 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)C[C@H]2CCOC2)n1 ZINC000765525505 627145193 /nfs/dbraw/zinc/14/51/93/627145193.db2.gz IJVWQIIAXFMNJW-WDEREUQCSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@@H]([NH2+]CCOCC1CCC1)c1cc([N+](=O)[O-])ccc1[O-] ZINC000765808284 627158970 /nfs/dbraw/zinc/15/89/70/627158970.db2.gz IJSHDLOKJLTQCV-LLVKDONJSA-N 0 0 294.351 2.768 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c3nonc32)CC[C@@H]1C ZINC000766443161 627203559 /nfs/dbraw/zinc/20/35/59/627203559.db2.gz KKAYYUIHWMYSFE-IUCAKERBSA-N 0 0 276.296 2.613 20 5 CFBDRN CS[C@@H](C)CC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000766914131 627226634 /nfs/dbraw/zinc/22/66/34/627226634.db2.gz ASNOQPJAOVEAIB-QMMMGPOBSA-N 0 0 287.312 2.919 20 5 CFBDRN O=[N+]([O-])c1cn(CC(F)(F)C(F)F)nc1C1CC1 ZINC000767057130 627232975 /nfs/dbraw/zinc/23/29/75/627232975.db2.gz FOFPBFPTLUKIQP-UHFFFAOYSA-N 0 0 267.182 2.569 20 5 CFBDRN O=[N+]([O-])c1c(N2CCCC23CCC3)nc2ccccn21 ZINC000767439294 627251969 /nfs/dbraw/zinc/25/19/69/627251969.db2.gz HQYMXJQQHYJGIA-UHFFFAOYSA-N 0 0 272.308 2.765 20 5 CFBDRN CS/C=C\C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000767654244 627268985 /nfs/dbraw/zinc/26/89/85/627268985.db2.gz UGEMKYSUONDPIP-YFHOEESVSA-N 0 0 297.332 2.784 20 5 CFBDRN CC[N@H+](Cc1cc2c(cc1[N+](=O)[O-])OCCO2)C(C)C ZINC000768237328 627327790 /nfs/dbraw/zinc/32/77/90/627327790.db2.gz OTXMZUOIFLTNGJ-UHFFFAOYSA-N 0 0 280.324 2.596 20 5 CFBDRN O=c1c([N+](=O)[O-])cn(CCC2CCCCC2)cc1[N+](=O)[O-] ZINC000768464276 627345164 /nfs/dbraw/zinc/34/51/64/627345164.db2.gz XDFUKQCFLYPPFL-UHFFFAOYSA-N 0 0 295.295 2.635 20 5 CFBDRN CC(=O)CC1(C(=O)OCc2ccccc2[N+](=O)[O-])CCC1 ZINC000769236375 627414322 /nfs/dbraw/zinc/41/43/22/627414322.db2.gz PIAPPLVYBVRDPH-UHFFFAOYSA-N 0 0 291.303 2.787 20 5 CFBDRN COc1cccc(C(=O)OCCCCC(C)=O)c1[N+](=O)[O-] ZINC000769604694 627460932 /nfs/dbraw/zinc/46/09/32/627460932.db2.gz QWNJXQUIQKKDFE-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN Cc1cnccc1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000769869404 627482407 /nfs/dbraw/zinc/48/24/07/627482407.db2.gz PAEYIXRFZZKBMX-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN O=C(NCc1cocn1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000770004740 627496319 /nfs/dbraw/zinc/49/63/19/627496319.db2.gz VUBDHXCIQLJAIB-UHFFFAOYSA-N 0 0 296.670 2.558 20 5 CFBDRN CC[C@H]1CN(c2c(C)cc([N+](=O)[O-])cc2Cl)C[C@@H]1O ZINC000770132720 627503879 /nfs/dbraw/zinc/50/38/79/627503879.db2.gz VJRXBIVOFQFWRV-CABZTGNLSA-N 0 0 284.743 2.764 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3ncccc32)cc1[N+](=O)[O-] ZINC000770216337 627507937 /nfs/dbraw/zinc/50/79/37/627507937.db2.gz AJDSGKLHCUTEOE-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN O=C(OCc1cccc2nccn21)c1ccc([N+](=O)[O-])cc1 ZINC000770734075 627544635 /nfs/dbraw/zinc/54/46/35/627544635.db2.gz QTUSPTSCXNFDGI-UHFFFAOYSA-N 0 0 297.270 2.600 20 5 CFBDRN CO[C@@H](C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-])C(C)C ZINC000770843239 627550742 /nfs/dbraw/zinc/55/07/42/627550742.db2.gz QABYANQBSOMKLL-ZWNOBZJWSA-N 0 0 281.308 2.870 20 5 CFBDRN C[C@H]1CCC[C@H](COC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000770960836 627564735 /nfs/dbraw/zinc/56/47/35/627564735.db2.gz GUMPIGKMYVDANZ-RYUDHWBXSA-N 0 0 295.339 2.551 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)Cc2cccc([N+](=O)[O-])c2)o1 ZINC000770983902 627566351 /nfs/dbraw/zinc/56/63/51/627566351.db2.gz GHNIBRQFAXUQIY-SNVBAGLBSA-N 0 0 290.275 2.738 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H](C)C[C@H]2CCOC2)c1 ZINC000771234560 627586816 /nfs/dbraw/zinc/58/68/16/627586816.db2.gz FYMRMYYBARUEEV-NXEZZACHSA-N 0 0 298.314 2.970 20 5 CFBDRN O=C(CCc1cscn1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771480417 627607857 /nfs/dbraw/zinc/60/78/57/627607857.db2.gz KHOUJQZMLTVVLW-UHFFFAOYSA-N 0 0 296.279 2.729 20 5 CFBDRN CCCCCNC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000771499992 627610123 /nfs/dbraw/zinc/61/01/23/627610123.db2.gz GNOYFKDSDKFGPD-UHFFFAOYSA-N 0 0 294.336 2.520 20 5 CFBDRN CCC1(CC)NC(=O)N(c2ccc(C)c([N+](=O)[O-])c2)C1=O ZINC000771792205 627630452 /nfs/dbraw/zinc/63/04/52/627630452.db2.gz PRNVXRONWIGOSX-UHFFFAOYSA-N 0 0 291.307 2.518 20 5 CFBDRN C[C@@H](C[C@@H](O)c1ccccc1)Nc1ccc([N+](=O)[O-])nc1 ZINC000771926225 627649134 /nfs/dbraw/zinc/64/91/34/627649134.db2.gz MFUQMVTVSCVNDQ-SMDDNHRTSA-N 0 0 287.319 2.914 20 5 CFBDRN COc1ccc(CNC(=O)C2(F)CCCC2)cc1[N+](=O)[O-] ZINC000771937021 627650679 /nfs/dbraw/zinc/65/06/79/627650679.db2.gz FDNCHUIKWKUNHM-UHFFFAOYSA-N 0 0 296.298 2.502 20 5 CFBDRN Cc1cnc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)s1 ZINC000771959933 627654670 /nfs/dbraw/zinc/65/46/70/627654670.db2.gz SJYXECIUAOMZSM-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1ccc(COC(=O)Cc2cccc([N+](=O)[O-])c2)nc1 ZINC000772277653 627696417 /nfs/dbraw/zinc/69/64/17/627696417.db2.gz PHKHCHWVZNIFHH-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000772495730 627713985 /nfs/dbraw/zinc/71/39/85/627713985.db2.gz ACBBBXWCCLVHHV-NWDGAFQWSA-N 0 0 293.319 2.809 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000772496060 627714758 /nfs/dbraw/zinc/71/47/58/627714758.db2.gz VYTOQFDSENIUKX-ZIAGYGMSSA-N 0 0 277.320 2.973 20 5 CFBDRN COc1ccc(COC(=O)[C@@H]2C[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000772497566 627714820 /nfs/dbraw/zinc/71/48/20/627714820.db2.gz ZYDRSRZGWWOVJC-VXGBXAGGSA-N 0 0 293.319 2.939 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000772505763 627716084 /nfs/dbraw/zinc/71/60/84/627716084.db2.gz QKBPNZZWOGZGFH-KGLIPLIRSA-N 0 0 293.319 2.809 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1c(O)cccc1F ZINC000772581841 627723819 /nfs/dbraw/zinc/72/38/19/627723819.db2.gz LJMCNMPOOHZFKE-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN CC/C=C\CCOC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000772646063 627730827 /nfs/dbraw/zinc/73/08/27/627730827.db2.gz UYOYSKGMHRUSJQ-PLNGDYQASA-N 0 0 292.335 2.931 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000772659552 627732278 /nfs/dbraw/zinc/73/22/78/627732278.db2.gz RWXQYHIHBSVJDT-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCCCCCO)nc1 ZINC000772848411 627751566 /nfs/dbraw/zinc/75/15/66/627751566.db2.gz MNEMYZDBZKYXAV-UHFFFAOYSA-N 0 0 256.327 2.635 20 5 CFBDRN Cc1ccc(S(=O)(=O)Oc2cc([N+](=O)[O-])ccc2C)o1 ZINC000773282816 627801907 /nfs/dbraw/zinc/80/19/07/627801907.db2.gz ARILZFDFCVKICE-UHFFFAOYSA-N 0 0 297.288 2.572 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cncs1)CC2 ZINC000773985741 627876253 /nfs/dbraw/zinc/87/62/53/627876253.db2.gz OPTVTPVIEVATQH-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN CC/C=C\COC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000774052024 627882047 /nfs/dbraw/zinc/88/20/47/627882047.db2.gz IXDTXIMUXAGANE-PLNGDYQASA-N 0 0 265.265 2.726 20 5 CFBDRN C[C@H](OC(=O)c1nccs1)c1ccccc1[N+](=O)[O-] ZINC000774064256 627883560 /nfs/dbraw/zinc/88/35/60/627883560.db2.gz MHVGFRQFXBUEOR-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN C[C@@H](NC(=S)NC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000774578288 627938950 /nfs/dbraw/zinc/93/89/50/627938950.db2.gz DEDDICITWIIVAM-SECBINFHSA-N 0 0 281.381 2.918 20 5 CFBDRN CC[C@@H](C)NC(=S)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000774581236 627939009 /nfs/dbraw/zinc/93/90/09/627939009.db2.gz MIXFNNPVXLZXOV-NXEZZACHSA-N 0 0 281.381 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)C[C@@H]1CCOC1 ZINC000774757809 627959320 /nfs/dbraw/zinc/95/93/20/627959320.db2.gz XILVKWRIQJDOCS-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)C[C@H]1CCOC1 ZINC000774757810 627959348 /nfs/dbraw/zinc/95/93/48/627959348.db2.gz XILVKWRIQJDOCS-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(C)[C@H]1CC[N@H+](Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000774914830 627979398 /nfs/dbraw/zinc/97/93/98/627979398.db2.gz RTKGTERKOIGGPE-NSHDSACASA-N 0 0 293.323 2.981 20 5 CFBDRN C[C@H]1CCOCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000775568602 628038930 /nfs/dbraw/zinc/03/89/30/628038930.db2.gz HAZNUDJZPWRRQW-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ccc([C@H](O)CNc2sccc2[N+](=O)[O-])o1 ZINC000775674660 628052812 /nfs/dbraw/zinc/05/28/12/628052812.db2.gz HYYDLKJHORUCHZ-SECBINFHSA-N 0 0 268.294 2.703 20 5 CFBDRN CC1(C2CCN(c3sccc3[N+](=O)[O-])CC2)OCCO1 ZINC000775676169 628054089 /nfs/dbraw/zinc/05/40/89/628054089.db2.gz NMUYRSBYCCSDJA-UHFFFAOYSA-N 0 0 298.364 2.636 20 5 CFBDRN COc1ccc(OC[C@H](O)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000776279532 628125693 /nfs/dbraw/zinc/12/56/93/628125693.db2.gz WWYZWFKNAGWPIP-HNNXBMFYSA-N 0 0 289.287 2.716 20 5 CFBDRN COc1ccc(OC[C@@H](O)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000776279534 628126011 /nfs/dbraw/zinc/12/60/11/628126011.db2.gz WWYZWFKNAGWPIP-OAHLLOKOSA-N 0 0 289.287 2.716 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000776417321 628147164 /nfs/dbraw/zinc/14/71/64/628147164.db2.gz RUOCFUHIPLVQNM-ZYHUDNBSSA-N 0 0 291.303 2.757 20 5 CFBDRN COc1cc(C(=O)OCC2(C)CC2)c([N+](=O)[O-])cc1OC ZINC000790803920 628155637 /nfs/dbraw/zinc/15/56/37/628155637.db2.gz DLCAKTQLWLDNEE-UHFFFAOYSA-N 0 0 295.291 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@](C)(O)C1CC1 ZINC000805581446 628210315 /nfs/dbraw/zinc/21/03/15/628210315.db2.gz XPBGNALRWIQJTH-BONVTDFDSA-N 0 0 293.319 2.668 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000777369348 628217279 /nfs/dbraw/zinc/21/72/79/628217279.db2.gz GLDAEKMQWPAMAO-JSGCOSHPSA-N 0 0 277.320 2.973 20 5 CFBDRN CC[C@@]1(O)CCN(c2cc(C)c([N+](=O)[O-])cc2Cl)C1 ZINC000777840126 628255444 /nfs/dbraw/zinc/25/54/44/628255444.db2.gz OYXONMWHKGBSOH-CYBMUJFWSA-N 0 0 284.743 2.908 20 5 CFBDRN CCC[C@H](C)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777880779 628260690 /nfs/dbraw/zinc/26/06/90/628260690.db2.gz INAZTJKGJLXOEX-VIFPVBQESA-N 0 0 286.278 2.991 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NOC1CCCCC1 ZINC000777966103 628268656 /nfs/dbraw/zinc/26/86/56/628268656.db2.gz ZQWMECJQLQYQDH-UHFFFAOYSA-N 0 0 292.335 2.908 20 5 CFBDRN C[C@@H]1CCN(Cn2ncc3ccc([N+](=O)[O-])cc32)C[C@H]1F ZINC000777968720 628269270 /nfs/dbraw/zinc/26/92/70/628269270.db2.gz OTZXHZRRMMZCLT-ZWNOBZJWSA-N 0 0 292.314 2.582 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](C)[C@H](F)C2)c1 ZINC000777998525 628271709 /nfs/dbraw/zinc/27/17/09/628271709.db2.gz TWKUJQJENRWVHJ-GZMMTYOYSA-N 0 0 286.278 2.927 20 5 CFBDRN C[C@@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@H]1F ZINC000778002358 628271982 /nfs/dbraw/zinc/27/19/82/628271982.db2.gz ZNNSLSPKXYLBTR-PSASIEDQSA-N 0 0 256.252 2.918 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCO[C@H](C(C)C)C1 ZINC000778008421 628272595 /nfs/dbraw/zinc/27/25/95/628272595.db2.gz GIKZINLAUPFUBK-HNNXBMFYSA-N 0 0 294.351 2.602 20 5 CFBDRN COCCC[C@@H](C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000778054137 628275531 /nfs/dbraw/zinc/27/55/31/628275531.db2.gz YKSKTZRDRRIHHT-LLVKDONJSA-N 0 0 281.308 2.871 20 5 CFBDRN CC[C@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000778093183 628277044 /nfs/dbraw/zinc/27/70/44/628277044.db2.gz QBUSVTPOOOJTPU-NSHDSACASA-N 0 0 287.699 2.716 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)OC/C(Cl)=C\Cl ZINC000778145245 628280377 /nfs/dbraw/zinc/28/03/77/628280377.db2.gz KDUTWSKTNYWJEZ-GQCTYLIASA-N 0 0 291.090 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1ccncn1 ZINC000778450549 628307799 /nfs/dbraw/zinc/30/77/99/628307799.db2.gz YHTNDVXBOHKXDO-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000778473654 628310144 /nfs/dbraw/zinc/31/01/44/628310144.db2.gz ZQKDMRMRNLZTNU-QDDUCLRZSA-N 0 0 292.310 2.815 20 5 CFBDRN COc1cc(COC(=O)c2occc2C)ccc1[N+](=O)[O-] ZINC000778756239 628330284 /nfs/dbraw/zinc/33/02/84/628330284.db2.gz KMJFVGGFGOFHCK-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)O[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778779863 628331010 /nfs/dbraw/zinc/33/10/10/628331010.db2.gz DIDUNPUKNOMEQK-ZLKJLUDKSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)C(C)(C)CO ZINC000778794631 628332184 /nfs/dbraw/zinc/33/21/84/628332184.db2.gz DIULUKSXLYUEIH-JTQLQIEISA-N 0 0 281.308 2.526 20 5 CFBDRN COCC1(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000778875600 628337965 /nfs/dbraw/zinc/33/79/65/628337965.db2.gz POBGUXDXCJEOGM-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000779260331 628365201 /nfs/dbraw/zinc/36/52/01/628365201.db2.gz BNPPLGPZXPVGGY-GXFFZTMASA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@@H]1CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]1C ZINC000779269887 628367357 /nfs/dbraw/zinc/36/73/57/628367357.db2.gz JHZHBVGYDXXYNB-GIPNMCIBSA-N 0 0 278.308 2.971 20 5 CFBDRN C[C@@H]1CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]1C ZINC000779269885 628367576 /nfs/dbraw/zinc/36/75/76/628367576.db2.gz JHZHBVGYDXXYNB-BREBYQMCSA-N 0 0 278.308 2.971 20 5 CFBDRN O=C(NOC1CCCCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000779323224 628373861 /nfs/dbraw/zinc/37/38/61/628373861.db2.gz KHVYMUXBRKJTKQ-UHFFFAOYSA-N 0 0 282.271 2.728 20 5 CFBDRN COCC1(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)CC1 ZINC000779353983 628376595 /nfs/dbraw/zinc/37/65/95/628376595.db2.gz HTZXUCDBUIHIJV-UHFFFAOYSA-N 0 0 298.726 2.922 20 5 CFBDRN Cc1nn(C[N@@H+]2CCC[C@H]2CC(C)(C)C)cc1[N+](=O)[O-] ZINC000779860123 628414694 /nfs/dbraw/zinc/41/46/94/628414694.db2.gz TVOJYWKKULCEAP-LBPRGKRZSA-N 0 0 280.372 2.958 20 5 CFBDRN CCC1(CC)CCN(Cn2cc([N+](=O)[O-])c(C)n2)C1 ZINC000779864516 628415463 /nfs/dbraw/zinc/41/54/63/628415463.db2.gz CLAPNHWTZSIWEX-UHFFFAOYSA-N 0 0 266.345 2.569 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@@H]1/C=C\c1ccccc1 ZINC000779889304 628416202 /nfs/dbraw/zinc/41/62/02/628416202.db2.gz PLMCTRRNNMVLRL-WHLNPGIBSA-N 0 0 298.346 2.927 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@H]2/C=C/c2ccccc2)c1 ZINC000779888502 628416233 /nfs/dbraw/zinc/41/62/33/628416233.db2.gz MAGQGRMLYWODQG-HVHJFMEUSA-N 0 0 298.346 2.927 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)C1=CCC1 ZINC000779984909 628424607 /nfs/dbraw/zinc/42/46/07/628424607.db2.gz XKJMYZJTDFEIJQ-UHFFFAOYSA-N 0 0 266.684 2.585 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)OCc2ccccc2[N+](=O)[O-])CCO1 ZINC000780146771 628435212 /nfs/dbraw/zinc/43/52/12/628435212.db2.gz QYBMRSQYCBMLFL-YPMHNXCESA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000780333414 628455843 /nfs/dbraw/zinc/45/58/43/628455843.db2.gz MOSACQVBDMUSQL-AXTRIDKLSA-N 0 0 297.694 2.579 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)OCC(C)(C)C2CCCC2)n1 ZINC000780459578 628466547 /nfs/dbraw/zinc/46/65/47/628466547.db2.gz DGIZRHICSFNZBF-UHFFFAOYSA-N 0 0 295.339 2.702 20 5 CFBDRN O=C(O[C@@H]1C=CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000780553041 628474797 /nfs/dbraw/zinc/47/47/97/628474797.db2.gz VFTPXWNULILGSN-SNVBAGLBSA-N 0 0 272.260 2.952 20 5 CFBDRN C/C=C(/C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1C ZINC000780635484 628483576 /nfs/dbraw/zinc/48/35/76/628483576.db2.gz LAYMQMMWFCVRJF-WMZJFQQLSA-N 0 0 279.292 2.791 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)[C@H](C)C1CC1 ZINC000780634795 628483904 /nfs/dbraw/zinc/48/39/04/628483904.db2.gz CTMLMODVTIKLQN-LLVKDONJSA-N 0 0 293.319 2.871 20 5 CFBDRN Cc1cc(COC(=O)[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000781172736 628528627 /nfs/dbraw/zinc/52/86/27/628528627.db2.gz PNVYRCOTUSIVML-NSHDSACASA-N 0 0 281.333 2.700 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H](O)c2ccccc2)n1 ZINC000781658650 628569980 /nfs/dbraw/zinc/56/99/80/628569980.db2.gz MRNMGCKUMYGBSE-ZDUSSCGKSA-N 0 0 287.319 2.752 20 5 CFBDRN O=C(OC[C@H]1CCC2(CCCC2)O1)c1ccc([N+](=O)[O-])o1 ZINC000781987028 628595353 /nfs/dbraw/zinc/59/53/53/628595353.db2.gz IWVZZJFMFHYBRM-SNVBAGLBSA-N 0 0 295.291 2.836 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1(C)COC1 ZINC000782304356 628627061 /nfs/dbraw/zinc/62/70/61/628627061.db2.gz GCNLCLRFPGTNRO-UHFFFAOYSA-N 0 0 284.699 2.532 20 5 CFBDRN CO[C@H](C)COC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000782352369 628631555 /nfs/dbraw/zinc/63/15/55/628631555.db2.gz OOHZSJGRZPWWOB-SNVBAGLBSA-N 0 0 281.308 2.712 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@@H](C)[C@H](C)O1 ZINC000782410213 628636207 /nfs/dbraw/zinc/63/62/07/628636207.db2.gz PGSINWIAYMJHDO-UTLUCORTSA-N 0 0 295.295 2.505 20 5 CFBDRN C[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])[C@H](C)[C@H](C)O1 ZINC000782410051 628636312 /nfs/dbraw/zinc/63/63/12/628636312.db2.gz NLXCCFUFEVDAEW-BBBLOLIVSA-N 0 0 268.288 2.736 20 5 CFBDRN COc1ccc(NC(=O)c2ccc(C)cn2)cc1[N+](=O)[O-] ZINC000782467493 628640104 /nfs/dbraw/zinc/64/01/04/628640104.db2.gz DTVCQHNEGOVFKJ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOCC3(CC3)C2)ccc1Cl ZINC000782533774 628643815 /nfs/dbraw/zinc/64/38/15/628643815.db2.gz XKCABXHQVUEFGX-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN C[C@@H]1C[C@@H](COc2ccc([N+](=O)[O-])cc2C=O)CCO1 ZINC000783007979 628669364 /nfs/dbraw/zinc/66/93/64/628669364.db2.gz PVQZFLOAWKUCMN-MNOVXSKESA-N 0 0 279.292 2.601 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])ccc1Cl)c1nccs1 ZINC000783149920 628678478 /nfs/dbraw/zinc/67/84/78/628678478.db2.gz UPOZMWFGYCHQIK-UHFFFAOYSA-N 0 0 298.707 2.966 20 5 CFBDRN C[C@@H](C(=O)OC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000783189853 628683604 /nfs/dbraw/zinc/68/36/04/628683604.db2.gz TYCTYULUOCILEN-SSDOTTSWSA-N 0 0 253.229 2.543 20 5 CFBDRN COCCSc1ccc([N+](=O)[O-])cc1C(=O)OC1CC1 ZINC000783189930 628683644 /nfs/dbraw/zinc/68/36/44/628683644.db2.gz UQDNPZKHDCYHCD-UHFFFAOYSA-N 0 0 297.332 2.653 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1CCCCS1 ZINC000783231901 628689806 /nfs/dbraw/zinc/68/98/06/628689806.db2.gz UMJOKZMSABWJSD-VIFPVBQESA-N 0 0 287.362 2.985 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OCCC1CCC1 ZINC000783446822 628709164 /nfs/dbraw/zinc/70/91/64/628709164.db2.gz HQZCLDSKJDFQPW-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN Cc1nscc1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000783505730 628714652 /nfs/dbraw/zinc/71/46/52/628714652.db2.gz JMUCOBAMTSHABO-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN C[C@@H](OC(=O)/C=C/c1cnccn1)c1ccccc1[N+](=O)[O-] ZINC000783654034 628731256 /nfs/dbraw/zinc/73/12/56/628731256.db2.gz JUJBVYYTWLNKKQ-XUIVZRPNSA-N 0 0 299.286 2.702 20 5 CFBDRN C/C(=C/C(=O)NCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000783897729 628754025 /nfs/dbraw/zinc/75/40/25/628754025.db2.gz DKIIOYOHUKKBQI-LUAWRHEFSA-N 0 0 274.320 2.914 20 5 CFBDRN C/C(=C/C(=O)NCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000783921623 628755272 /nfs/dbraw/zinc/75/52/72/628755272.db2.gz IDXXBUFWULIAOT-NTMALXAHSA-N 0 0 260.293 2.524 20 5 CFBDRN CSC[C@@H](C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784013819 628763383 /nfs/dbraw/zinc/76/33/83/628763383.db2.gz PAINWAWBLSQXCM-RJCSOLBVSA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1cccc([C@@H]2CCN(Cn3cc([N+](=O)[O-])cn3)C2)c1 ZINC000784024213 628765095 /nfs/dbraw/zinc/76/50/95/628765095.db2.gz CYRWVNMLGLPVME-CQSZACIVSA-N 0 0 286.335 2.547 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](F)C(C)C)c([N+](=O)[O-])c1 ZINC000784023435 628765114 /nfs/dbraw/zinc/76/51/14/628765114.db2.gz CRRAXMMZLZQOOX-NSHDSACASA-N 0 0 270.260 2.536 20 5 CFBDRN Cc1cccc([C@@H]2CCN(Cn3nccc3[N+](=O)[O-])C2)c1 ZINC000784028986 628766132 /nfs/dbraw/zinc/76/61/32/628766132.db2.gz YYCFKELLRPCHSY-CQSZACIVSA-N 0 0 286.335 2.547 20 5 CFBDRN CC(C)[C@H](F)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000784036479 628767760 /nfs/dbraw/zinc/76/77/60/628767760.db2.gz CDQFJQIZDCRZOU-JTQLQIEISA-N 0 0 276.214 2.806 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000784114542 628778677 /nfs/dbraw/zinc/77/86/77/628778677.db2.gz ZESMYZYKTOWSLD-CYBMUJFWSA-N 0 0 280.299 2.868 20 5 CFBDRN Cc1cc(F)ccc1COC(=O)c1ccc([N+](=O)[O-])o1 ZINC000784266861 628796251 /nfs/dbraw/zinc/79/62/51/628796251.db2.gz ZOAWFZGJXOXRKE-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN Cc1ccn(C)c1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000784295647 628801424 /nfs/dbraw/zinc/80/14/24/628801424.db2.gz IMVGQIQRVVOJGJ-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN C[C@H](OC(=O)[C@H](O)CC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000784340533 628807340 /nfs/dbraw/zinc/80/73/40/628807340.db2.gz SIDIZIUUZCDYJC-GXFFZTMASA-N 0 0 295.335 2.996 20 5 CFBDRN C[C@@H](OC(=O)C[C@@](C)(O)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000784363491 628810798 /nfs/dbraw/zinc/81/07/98/628810798.db2.gz AVRNNMHWPVLBGH-MEBBXXQBSA-N 0 0 293.319 2.750 20 5 CFBDRN CC1=C(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCCO1 ZINC000784379763 628812979 /nfs/dbraw/zinc/81/29/79/628812979.db2.gz KKICBOCRURKLQB-UHFFFAOYSA-N 0 0 295.266 2.862 20 5 CFBDRN CS[C@@H](C)CC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784386620 628813668 /nfs/dbraw/zinc/81/36/68/628813668.db2.gz SPWPZWHOVFXASY-QMMMGPOBSA-N 0 0 287.312 2.919 20 5 CFBDRN CN(C)c1ccc(COC(=O)[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000784502615 628822374 /nfs/dbraw/zinc/82/23/74/628822374.db2.gz YIOZEJJKGCRYDV-LLVKDONJSA-N 0 0 292.335 2.750 20 5 CFBDRN Cc1nscc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784613347 628831317 /nfs/dbraw/zinc/83/13/17/628831317.db2.gz BLQUCHHIAWTWKB-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN COc1cc(N[C@H]2[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])cc1OC ZINC000784730124 628839565 /nfs/dbraw/zinc/83/95/65/628839565.db2.gz RJRMHEQEQBYIHO-QRJZZWFUSA-N 0 0 278.308 2.822 20 5 CFBDRN C/C(=C/C(=O)OC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000784841440 628845132 /nfs/dbraw/zinc/84/51/32/628845132.db2.gz JPRIMTRJSAENEJ-NXIHDVOMSA-N 0 0 291.303 2.578 20 5 CFBDRN C[C@H](OC(=O)Cc1cncs1)c1ccccc1[N+](=O)[O-] ZINC000784855559 628846313 /nfs/dbraw/zinc/84/63/13/628846313.db2.gz MQCWVTDNCVCNKS-VIFPVBQESA-N 0 0 292.316 2.898 20 5 CFBDRN CC[C@@H](OC)C(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784937491 628853285 /nfs/dbraw/zinc/85/32/85/628853285.db2.gz IQVMPOZCRYZKGY-GFCCVEGCSA-N 0 0 299.348 2.655 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1F ZINC000784945379 628853830 /nfs/dbraw/zinc/85/38/30/628853830.db2.gz QCUGZRGITRDHID-LLVKDONJSA-N 0 0 297.282 2.850 20 5 CFBDRN CC(C)/C=C/C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000785036168 628861552 /nfs/dbraw/zinc/86/15/52/628861552.db2.gz PWZHVMYKGLJHMN-ZZXKWVIFSA-N 0 0 267.256 2.989 20 5 CFBDRN CCc1n[nH]cc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000785172041 628869869 /nfs/dbraw/zinc/86/98/69/628869869.db2.gz CNVJTDMHBYBQND-VIFPVBQESA-N 0 0 289.291 2.798 20 5 CFBDRN Cc1noc(CN2CCC[C@@]3(CC3(F)F)C2)c1[N+](=O)[O-] ZINC000852214086 634692375 /nfs/dbraw/zinc/69/23/75/634692375.db2.gz SIISYWIDNRVIBF-LLVKDONJSA-N 0 0 287.266 2.512 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000785736769 628909508 /nfs/dbraw/zinc/90/95/08/628909508.db2.gz XKWOCWSECROTQS-VXGBXAGGSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1cc(N2CC[C@@H](C)[C@H]2CO)c(Cl)cc1[N+](=O)[O-] ZINC000785842445 628916741 /nfs/dbraw/zinc/91/67/41/628916741.db2.gz ARBQKUFFTGMVLF-AMIZOPFISA-N 0 0 284.743 2.764 20 5 CFBDRN CNc1ccc(C(=O)OCC(C)(C)F)cc1[N+](=O)[O-] ZINC000785929727 628924538 /nfs/dbraw/zinc/92/45/38/628924538.db2.gz KAYXXCIDDDQJAK-UHFFFAOYSA-N 0 0 270.260 2.541 20 5 CFBDRN Cc1ccc(C(=O)OCC(C)(C)F)cc1[N+](=O)[O-] ZINC000785928123 628924565 /nfs/dbraw/zinc/92/45/65/628924565.db2.gz ZVZYDLNJNXTMPE-UHFFFAOYSA-N 0 0 255.245 2.808 20 5 CFBDRN Cc1cc(C(=O)OCC(C)(C)F)cc([N+](=O)[O-])c1 ZINC000785936887 628925512 /nfs/dbraw/zinc/92/55/12/628925512.db2.gz SWXCRAUJAROYSA-UHFFFAOYSA-N 0 0 255.245 2.808 20 5 CFBDRN CN(CCOc1ccccc1)c1ccc(N)cc1[N+](=O)[O-] ZINC000786563149 628968903 /nfs/dbraw/zinc/96/89/03/628968903.db2.gz ZCGUVWIVHZOOFM-UHFFFAOYSA-N 0 0 287.319 2.692 20 5 CFBDRN CN(Cc1ccccc1F)c1ccc(N)cc1[N+](=O)[O-] ZINC000786583097 628970036 /nfs/dbraw/zinc/97/00/36/628970036.db2.gz LXEOCBKCVYIICO-UHFFFAOYSA-N 0 0 275.283 2.953 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)[C@H]1CCC=CO1 ZINC000787040064 628989754 /nfs/dbraw/zinc/98/97/54/628989754.db2.gz JJGJEODHUAMXCL-GFCCVEGCSA-N 0 0 297.694 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1Cc2cccnc2C1 ZINC000787671699 629024952 /nfs/dbraw/zinc/02/49/52/629024952.db2.gz PTHOIHHLMHNEGS-UHFFFAOYSA-N 0 0 273.267 2.645 20 5 CFBDRN CNc1c(C(=O)OCCC(C)(C)OC)cccc1[N+](=O)[O-] ZINC000787793592 629036201 /nfs/dbraw/zinc/03/62/01/629036201.db2.gz LMMZIYJQEYHFMO-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN O=C(NCCCF)Nc1cccc([N+](=O)[O-])c1Cl ZINC000787810053 629037889 /nfs/dbraw/zinc/03/78/89/629037889.db2.gz CEBCPFNAQOJJSI-UHFFFAOYSA-N 0 0 275.667 2.729 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCc2cccnc2)c1F ZINC000787941958 629043568 /nfs/dbraw/zinc/04/35/68/629043568.db2.gz ACXJWJAQBSPNEE-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCc2ccccn2)c1F ZINC000787939944 629043580 /nfs/dbraw/zinc/04/35/80/629043580.db2.gz QEBNHMMXEKCMBL-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(OCCCCCO)c1cc([N+](=O)[O-])ccc1Cl ZINC000787974757 629045326 /nfs/dbraw/zinc/04/53/26/629045326.db2.gz UAJCNSTTWCAZCZ-UHFFFAOYSA-N 0 0 287.699 2.568 20 5 CFBDRN Cc1cc(CN(C)Cc2ccc([N+](=O)[O-])c(F)c2)no1 ZINC000788473942 629072399 /nfs/dbraw/zinc/07/23/99/629072399.db2.gz CMFUSIKWGCLURQ-UHFFFAOYSA-N 0 0 279.271 2.662 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCCCCO1 ZINC000788485720 629073699 /nfs/dbraw/zinc/07/36/99/629073699.db2.gz DKUCDPXVAZMZNR-UHFFFAOYSA-N 0 0 284.699 2.806 20 5 CFBDRN CSC1(CNc2nc3ccccn3c2[N+](=O)[O-])CCC1 ZINC000788557121 629081774 /nfs/dbraw/zinc/08/17/74/629081774.db2.gz OQMGLZJRNRNJRA-UHFFFAOYSA-N 0 0 292.364 2.940 20 5 CFBDRN CN(CCCCCO)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000788565535 629082752 /nfs/dbraw/zinc/08/27/52/629082752.db2.gz LFFZHFYFLLKSTP-UHFFFAOYSA-N 0 0 295.364 2.803 20 5 CFBDRN Cc1cc(C(=O)OCc2cccc([N+](=O)[O-])c2C)ccn1 ZINC000789026752 629118326 /nfs/dbraw/zinc/11/83/26/629118326.db2.gz DVONUTNRFLYMSG-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@@H]1CC[C@H](COC(=O)c2c(Cl)cccc2[N+](=O)[O-])O1 ZINC000789052407 629120504 /nfs/dbraw/zinc/12/05/04/629120504.db2.gz UCZGJYLFIVAZLR-RKDXNWHRSA-N 0 0 299.710 2.973 20 5 CFBDRN C/C=C(/CC)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000789230535 629138384 /nfs/dbraw/zinc/13/83/84/629138384.db2.gz USKUNOCZOXHRLS-JYOAFUTRSA-N 0 0 279.292 2.873 20 5 CFBDRN COc1nn(C)cc1NCc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000789587067 629169463 /nfs/dbraw/zinc/16/94/63/629169463.db2.gz VUECORNVJUPVGJ-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC2(F)CC2)c1 ZINC000789588067 629169699 /nfs/dbraw/zinc/16/96/99/629169699.db2.gz WPRSJKOTWDBSBV-UHFFFAOYSA-N 0 0 253.229 2.562 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)OCC1(F)CC1 ZINC000789591225 629170149 /nfs/dbraw/zinc/17/01/49/629170149.db2.gz NYHLPFCWWPGHJB-UHFFFAOYSA-N 0 0 292.266 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)ON=C(N)[C@H]1CCCO1 ZINC000789610580 629173778 /nfs/dbraw/zinc/17/37/78/629173778.db2.gz PXQORPSUSGCOAV-GXFFZTMASA-N 0 0 293.323 2.642 20 5 CFBDRN C[C@@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000789613207 629174136 /nfs/dbraw/zinc/17/41/36/629174136.db2.gz MGQKZCOLCHTASR-JTQLQIEISA-N 0 0 263.293 2.727 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc([N+](=O)[O-])n2C)cc1F ZINC000789655206 629178363 /nfs/dbraw/zinc/17/83/63/629178363.db2.gz MQVHBDKNGHJSAF-UHFFFAOYSA-N 0 0 278.239 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\Sc2nnc3ccccn32)o1 ZINC000789727667 629184451 /nfs/dbraw/zinc/18/44/51/629184451.db2.gz RMQPFIZQVXQWNL-VURMDHGXSA-N 0 0 288.288 2.994 20 5 CFBDRN CCc1[nH]nc(C(=O)OCc2ccc(C)cc2)c1[N+](=O)[O-] ZINC000790074489 629208507 /nfs/dbraw/zinc/20/85/07/629208507.db2.gz TXZFKCWSBGUDGQ-UHFFFAOYSA-N 0 0 289.291 2.546 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)(C)C1 ZINC000790230317 629221411 /nfs/dbraw/zinc/22/14/11/629221411.db2.gz GPGLRDRLCQESOZ-FLIBITNWSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1ccnc(COc2cc(C=O)ccc2[N+](=O)[O-])c1 ZINC000790259839 629223560 /nfs/dbraw/zinc/22/35/60/629223560.db2.gz KAGHBKUJIZNQOR-UHFFFAOYSA-N 0 0 272.260 2.690 20 5 CFBDRN Cc1c(CN(C)c2ccc(C)cc2[N+](=O)[O-])cnn1C ZINC000790295679 629226497 /nfs/dbraw/zinc/22/64/97/629226497.db2.gz NGVHSAPGZGSAOR-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN O=[N+]([O-])c1cccc(NNC(=S)Nc2ccsc2)c1 ZINC000790301726 629228532 /nfs/dbraw/zinc/22/85/32/629228532.db2.gz HQCBWMSMYGLLGA-UHFFFAOYSA-N 0 0 294.361 2.970 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCCCC1CCOCC1 ZINC000790311781 629230964 /nfs/dbraw/zinc/23/09/64/629230964.db2.gz QDGTZYWPTRQXMN-UHFFFAOYSA-N 0 0 293.319 2.993 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCCC1 ZINC000790462289 629240880 /nfs/dbraw/zinc/24/08/80/629240880.db2.gz MJIJCYBHBZTPSJ-UHFFFAOYSA-N 0 0 286.690 2.552 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CC=CCC1 ZINC000790564112 629248300 /nfs/dbraw/zinc/24/83/00/629248300.db2.gz MLFFUMSKSDUABB-UHFFFAOYSA-N 0 0 298.701 2.718 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSCc1ncccn1 ZINC000790573962 629249724 /nfs/dbraw/zinc/24/97/24/629249724.db2.gz RGGKDCAERTYCTF-UHFFFAOYSA-N 0 0 261.306 2.818 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])OCC1CC1 ZINC000790739840 629259462 /nfs/dbraw/zinc/25/94/62/629259462.db2.gz GMNWJYVSBKQAMZ-UHFFFAOYSA-N 0 0 287.674 2.883 20 5 CFBDRN C[C@H]1CCSCCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000790816851 629266000 /nfs/dbraw/zinc/26/60/00/629266000.db2.gz KDPKCRWVNMJTOI-JTQLQIEISA-N 0 0 292.364 2.574 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC1=CCCC1 ZINC000790831528 629268255 /nfs/dbraw/zinc/26/82/55/629268255.db2.gz YAXMFOHAJHMIFE-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@H]1c1nc2ccccc2s1 ZINC000791053704 629284038 /nfs/dbraw/zinc/28/40/38/629284038.db2.gz MVOJUJAPBISEIG-NSHDSACASA-N 0 0 277.349 2.710 20 5 CFBDRN C[C@H]1C[C@@H]1c1ccc(CN(CC[N+](=O)[O-])C2CC2)o1 ZINC000791054416 629284398 /nfs/dbraw/zinc/28/43/98/629284398.db2.gz UYHYEILWLJWMBS-GWCFXTLKSA-N 0 0 264.325 2.644 20 5 CFBDRN Cc1nc(C(C)C)sc1[C@@H](C)N(C)CC[N+](=O)[O-] ZINC000791055804 629284515 /nfs/dbraw/zinc/28/45/15/629284515.db2.gz YOXBBPWJCAETQU-SNVBAGLBSA-N 0 0 271.386 2.844 20 5 CFBDRN COC1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCCC1 ZINC000791378531 629306291 /nfs/dbraw/zinc/30/62/91/629306291.db2.gz UDRDAAWVXBXTEF-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN COC1(CC(=O)OCc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000791513616 629325406 /nfs/dbraw/zinc/32/54/06/629325406.db2.gz CNDXQGGNAUABGK-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN CO[C@H](C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000791520628 629326028 /nfs/dbraw/zinc/32/60/28/629326028.db2.gz IGJMXOCVZCZDJW-RNCFNFMXSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)CCC(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791570856 629334513 /nfs/dbraw/zinc/33/45/13/629334513.db2.gz QCWJPPHKHULJMA-UHFFFAOYSA-N 0 0 296.279 2.982 20 5 CFBDRN C[C@@H]1C[C@H](C)N1CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000791571672 629335516 /nfs/dbraw/zinc/33/55/16/629335516.db2.gz AZYIKTLTUXPIPC-DTORHVGOSA-N 0 0 297.742 2.669 20 5 CFBDRN CC(C)(C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C(F)F ZINC000791654565 629343470 /nfs/dbraw/zinc/34/34/70/629343470.db2.gz LNOHXOCIWKSWMA-UHFFFAOYSA-N 0 0 277.198 2.931 20 5 CFBDRN CCc1cccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000835050935 629352329 /nfs/dbraw/zinc/35/23/29/629352329.db2.gz TZMCFLQHYLJITE-UHFFFAOYSA-N 0 0 265.309 2.990 20 5 CFBDRN CC(C)(CCC(=O)OCCc1ccccc1)[N+](=O)[O-] ZINC000835079419 629375474 /nfs/dbraw/zinc/37/54/74/629375474.db2.gz AVFUAZDMGHGMCW-UHFFFAOYSA-N 0 0 265.309 2.608 20 5 CFBDRN CC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCC(=O)CC1 ZINC000835087455 629386999 /nfs/dbraw/zinc/38/69/99/629386999.db2.gz OESWWHUEHSFOEG-UHFFFAOYSA-N 0 0 291.303 2.787 20 5 CFBDRN COc1ccc(OC(=O)C2(F)CCCC2)cc1[N+](=O)[O-] ZINC000792114483 629398581 /nfs/dbraw/zinc/39/85/81/629398581.db2.gz XFELZNOUAIRDDQ-UHFFFAOYSA-N 0 0 283.255 2.791 20 5 CFBDRN O=C(OCCCCCF)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000792112517 629398754 /nfs/dbraw/zinc/39/87/54/629398754.db2.gz SZFWRLKNDXBUQW-UHFFFAOYSA-N 0 0 299.254 2.620 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000835106329 629412179 /nfs/dbraw/zinc/41/21/79/629412179.db2.gz JMDVJVBPXKRXTJ-XVKPBYJWSA-N 0 0 271.219 2.854 20 5 CFBDRN COc1ccc(OC(=O)c2ccncc2C)cc1[N+](=O)[O-] ZINC000792589017 629436461 /nfs/dbraw/zinc/43/64/61/629436461.db2.gz COZKUKXVPURLRY-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1Cc2ccc(F)cc21 ZINC000792867985 629459898 /nfs/dbraw/zinc/45/98/98/629459898.db2.gz QJDOAAPFDUNKBS-CQSZACIVSA-N 0 0 287.246 2.979 20 5 CFBDRN COc1cc(COC(=O)CCC(C)(C)[N+](=O)[O-])ccc1C ZINC000835177353 629495343 /nfs/dbraw/zinc/49/53/43/629495343.db2.gz AUUPCUDBDIYKJT-UHFFFAOYSA-N 0 0 295.335 2.882 20 5 CFBDRN CC[C@@H]1CCN1C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000793343074 629528824 /nfs/dbraw/zinc/52/88/24/629528824.db2.gz FVBORRGNOHHGLN-SNVBAGLBSA-N 0 0 265.338 2.776 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000835228565 629541506 /nfs/dbraw/zinc/54/15/06/629541506.db2.gz WKNGEXIYCQTHRM-ZYHUDNBSSA-N 0 0 263.293 2.727 20 5 CFBDRN Cc1ccc(OC(=O)CCCC(=O)C2CC2)cc1[N+](=O)[O-] ZINC000835247725 629560897 /nfs/dbraw/zinc/56/08/97/629560897.db2.gz AMJKWCOVFCSQQS-UHFFFAOYSA-N 0 0 291.303 2.958 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000835268736 629578705 /nfs/dbraw/zinc/57/87/05/629578705.db2.gz CIFADRCUYIPGHN-QMMMGPOBSA-N 0 0 285.271 2.945 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000852441127 634747603 /nfs/dbraw/zinc/74/76/03/634747603.db2.gz KOORKMOHFXIPMS-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN COc1c(C(=O)NOC2CCCCC2)cccc1[N+](=O)[O-] ZINC000793883668 629603413 /nfs/dbraw/zinc/60/34/13/629603413.db2.gz HFOCAOBTTPNWQX-UHFFFAOYSA-N 0 0 294.307 2.598 20 5 CFBDRN CC(C)(F)COC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835336571 629639332 /nfs/dbraw/zinc/63/93/32/629639332.db2.gz UGXQHSPHYVFFED-UHFFFAOYSA-N 0 0 297.282 2.849 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000835342873 629643492 /nfs/dbraw/zinc/64/34/92/629643492.db2.gz UQAUEVWRHCGAAT-GHMZBOCLSA-N 0 0 278.308 2.696 20 5 CFBDRN CC(C)(C)[C@@H]1CCC[N@H+](Cn2cc([N+](=O)[O-])cn2)C1 ZINC000794704970 629677291 /nfs/dbraw/zinc/67/72/91/629677291.db2.gz HKCNORMWWOFICJ-LLVKDONJSA-N 0 0 266.345 2.507 20 5 CFBDRN CC(C)(C)[C@@H]1CCC[N@H+](Cn2nccc2[N+](=O)[O-])C1 ZINC000794705553 629677650 /nfs/dbraw/zinc/67/76/50/629677650.db2.gz KZXXTMFCYFRFMN-LLVKDONJSA-N 0 0 266.345 2.507 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(Cl)cc1OCC1OCCO1 ZINC000794729633 629680650 /nfs/dbraw/zinc/68/06/50/629680650.db2.gz HBCSSHNMVSFOIC-UHFFFAOYSA-N 0 0 294.090 2.653 20 5 CFBDRN CC[C@@H](C)CONC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000794850963 629694393 /nfs/dbraw/zinc/69/43/93/629694393.db2.gz JZSBXIIXTCHJLH-ZJUUUORDSA-N 0 0 298.314 2.931 20 5 CFBDRN C[C@@H](CC(C)(C)O)OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000835427491 629713466 /nfs/dbraw/zinc/71/34/66/629713466.db2.gz YDJZPSBRLALXRC-LAHYYIKRSA-N 0 0 293.319 2.701 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000795164717 629741302 /nfs/dbraw/zinc/74/13/02/629741302.db2.gz KGBMTUGUFKZEKO-GZMMTYOYSA-N 0 0 265.338 2.680 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(COc2ccc(F)nc2)c(F)c1 ZINC000795228760 629748518 /nfs/dbraw/zinc/74/85/18/629748518.db2.gz BFHVPINPTGVACP-UHFFFAOYSA-N 0 0 284.193 2.986 20 5 CFBDRN CC1(COc2cc(F)c([N+](=O)[O-])cc2Cl)COC1 ZINC000795246487 629750729 /nfs/dbraw/zinc/75/07/29/629750729.db2.gz JRVDBVDEIQBKAY-UHFFFAOYSA-N 0 0 275.663 2.803 20 5 CFBDRN Cc1ncc(CN2c3ccc([N+](=O)[O-])cc3C[C@H]2C)cn1 ZINC000795248746 629751013 /nfs/dbraw/zinc/75/10/13/629751013.db2.gz GZFVLEFIVDCTBG-SNVBAGLBSA-N 0 0 284.319 2.644 20 5 CFBDRN O=C(COc1cc(F)c([N+](=O)[O-])cc1Cl)C(F)F ZINC000795261030 629753366 /nfs/dbraw/zinc/75/33/66/629753366.db2.gz KTGHHORKSGASRP-UHFFFAOYSA-N 0 0 283.589 2.600 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@]1(C)C[C@H]2C[C@H]2C1)[N+](=O)[O-] ZINC000835470444 629759451 /nfs/dbraw/zinc/75/94/51/629759451.db2.gz VKFJKWKTIGUHFU-YABSGUDNSA-N 0 0 269.341 2.801 20 5 CFBDRN CC(C)(C)OCCCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000795382468 629769500 /nfs/dbraw/zinc/76/95/00/629769500.db2.gz FEPWAJVVUTVFMI-UHFFFAOYSA-N 0 0 294.351 2.806 20 5 CFBDRN Cc1ccc(COC(=O)/C=C/C2CC2)cc1[N+](=O)[O-] ZINC000835482992 629770684 /nfs/dbraw/zinc/77/06/84/629770684.db2.gz AQVMQLOHGPCZIX-VOTSOKGWSA-N 0 0 261.277 2.913 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CCCC1(F)F)[N+](=O)[O-] ZINC000835482645 629771168 /nfs/dbraw/zinc/77/11/68/629771168.db2.gz VQLNLUZIGSLSMN-VIFPVBQESA-N 0 0 279.283 2.801 20 5 CFBDRN Cc1ccc(COC(=O)C2=COCCC2)cc1[N+](=O)[O-] ZINC000835483276 629775507 /nfs/dbraw/zinc/77/55/07/629775507.db2.gz FXRQLFVJFBZPRF-UHFFFAOYSA-N 0 0 277.276 2.641 20 5 CFBDRN Cc1ccc(COC(=O)[C@H](C)OCC2CC2)cc1[N+](=O)[O-] ZINC000835486510 629776201 /nfs/dbraw/zinc/77/62/01/629776201.db2.gz VJXSWLKZPYGAMP-NSHDSACASA-N 0 0 293.319 2.762 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCC[C@@H](O)C2)c1 ZINC000795432255 629776641 /nfs/dbraw/zinc/77/66/41/629776641.db2.gz HFCRVAWVUVHHEB-NWDGAFQWSA-N 0 0 293.319 2.611 20 5 CFBDRN C[C@H](O)CCCOC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000795537669 629796675 /nfs/dbraw/zinc/79/66/75/629796675.db2.gz IFRVSZTUDNXHBM-QMMMGPOBSA-N 0 0 287.699 2.566 20 5 CFBDRN CCc1[nH]nc(C(=O)OCCC(C)(C)CC)c1[N+](=O)[O-] ZINC000795614013 629812332 /nfs/dbraw/zinc/81/23/32/629812332.db2.gz BSEQRFJWRGPWMZ-UHFFFAOYSA-N 0 0 283.328 2.863 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCCCC(C)=O ZINC000795711132 629825394 /nfs/dbraw/zinc/82/53/94/629825394.db2.gz BYSFBXMOKHRQQR-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OS(=O)(=O)c1ccsc1 ZINC000795796739 629834721 /nfs/dbraw/zinc/83/47/21/629834721.db2.gz DNQGSBFGUTXLGY-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN O=C(C[C@@H]1CCCOC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000795920391 629850365 /nfs/dbraw/zinc/85/03/65/629850365.db2.gz VNMCBPPRTZRJKK-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(C[C@@H]1CCCC[C@H]1O)OCc1ccc([N+](=O)[O-])cc1 ZINC000796134743 629881177 /nfs/dbraw/zinc/88/11/77/629881177.db2.gz FRFCEIRGVGNZGJ-GXTWGEPZSA-N 0 0 293.319 2.579 20 5 CFBDRN CC[C@H]1CCCC[C@H]1C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000796324879 629904306 /nfs/dbraw/zinc/90/43/06/629904306.db2.gz NIPDGALLLAOJSY-NWDGAFQWSA-N 0 0 295.339 2.551 20 5 CFBDRN CNc1ccc(C(=O)OC[C@@H](C)CSC)cc1[N+](=O)[O-] ZINC000835667889 629930140 /nfs/dbraw/zinc/93/01/40/629930140.db2.gz MRZRCIIUIRWNPJ-SECBINFHSA-N 0 0 298.364 2.792 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CCC(F)F ZINC000796537351 629931850 /nfs/dbraw/zinc/93/18/50/629931850.db2.gz ZYWKNHFFWOGVRI-UHFFFAOYSA-N 0 0 275.207 2.554 20 5 CFBDRN CS[C@H](C)COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835677377 629940402 /nfs/dbraw/zinc/94/04/02/629940402.db2.gz OOZSUVODWVUGQY-MRVPVSSYSA-N 0 0 255.295 2.503 20 5 CFBDRN CS[C@H](C)COC(=O)c1ccccc1[N+](=O)[O-] ZINC000835676371 629940734 /nfs/dbraw/zinc/94/07/34/629940734.db2.gz JDVORVKIWDYDQI-MRVPVSSYSA-N 0 0 255.295 2.503 20 5 CFBDRN CNc1ccc(C(=O)OC[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000835677585 629942186 /nfs/dbraw/zinc/94/21/86/629942186.db2.gz FELVXYVZOTUFDG-MRVPVSSYSA-N 0 0 284.337 2.545 20 5 CFBDRN COc1cccc(C(=O)OC[C@@H](C)CSC)c1[N+](=O)[O-] ZINC000835680972 629947075 /nfs/dbraw/zinc/94/70/75/629947075.db2.gz HXQVUFNHNDEOPP-SECBINFHSA-N 0 0 299.348 2.759 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000796820527 629972177 /nfs/dbraw/zinc/97/21/77/629972177.db2.gz RDAIYNKYKTVADB-NEPJUHHUSA-N 0 0 279.292 2.624 20 5 CFBDRN CC1(C)CO[C@H](CC(=O)OCc2cccc([N+](=O)[O-])c2)C1 ZINC000885623309 634779733 /nfs/dbraw/zinc/77/97/33/634779733.db2.gz NCGVECICONRIOQ-CYBMUJFWSA-N 0 0 293.319 2.843 20 5 CFBDRN Cc1c(C(=O)OCCc2nccs2)cccc1[N+](=O)[O-] ZINC000796954547 629995294 /nfs/dbraw/zinc/99/52/94/629995294.db2.gz PKKZCLICOXEVFY-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CC[C@H](C)Cc1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000867136695 630005218 /nfs/dbraw/zinc/00/52/18/630005218.db2.gz NNEFLZWLNYGDPM-VIFPVBQESA-N 0 0 255.318 2.646 20 5 CFBDRN CC(C)COC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000797136352 630024790 /nfs/dbraw/zinc/02/47/90/630024790.db2.gz IKRRGZVABWQDKV-UHFFFAOYSA-N 0 0 269.322 2.886 20 5 CFBDRN O=C(OCCOc1ccc(F)cc1)c1ccc([N+](=O)[O-])o1 ZINC000797156161 630029802 /nfs/dbraw/zinc/02/98/02/630029802.db2.gz BSBJWWMMQPKKAH-UHFFFAOYSA-N 0 0 295.222 2.563 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)[C@H](C)SC)c1 ZINC000797200099 630036797 /nfs/dbraw/zinc/03/67/97/630036797.db2.gz XFEUZGRKPOPZCD-BDAKNGLRSA-N 0 0 299.348 2.900 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1ccc([N+](=O)[O-])o1)c1ccccc1 ZINC000797206297 630037438 /nfs/dbraw/zinc/03/74/38/630037438.db2.gz QYUJDRMQWQYZKX-ZDUSSCGKSA-N 0 0 289.243 2.675 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)[C@@H](C)SC ZINC000797209737 630038743 /nfs/dbraw/zinc/03/87/43/630038743.db2.gz XGOMVVMXVKSRIY-DTWKUNHWSA-N 0 0 299.348 2.900 20 5 CFBDRN O=C(OCc1ccccc1F)c1ccc([N+](=O)[O-])o1 ZINC000797216937 630041717 /nfs/dbraw/zinc/04/17/17/630041717.db2.gz HMOKASWUMDUSRD-UHFFFAOYSA-N 0 0 265.196 2.684 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1cccc(C=O)c1 ZINC000797421114 630064440 /nfs/dbraw/zinc/06/44/40/630064440.db2.gz AJGLLPPUMMZWPV-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN CC1=C[C@@H](C)CN(c2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000797439130 630066001 /nfs/dbraw/zinc/06/60/01/630066001.db2.gz WLOKBYQIPGLBGS-MRVPVSSYSA-N 0 0 274.280 2.533 20 5 CFBDRN Cc1cc(OCC(=O)O[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000797470606 630071171 /nfs/dbraw/zinc/07/11/71/630071171.db2.gz KQICQJNXIYPBBQ-SNVBAGLBSA-N 0 0 279.292 2.624 20 5 CFBDRN CCc1occc1C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000797509763 630076217 /nfs/dbraw/zinc/07/62/17/630076217.db2.gz XXPFTZRZPZICIU-UHFFFAOYSA-N 0 0 291.259 2.978 20 5 CFBDRN CC1=C[C@@H](C)CN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000797605174 630088571 /nfs/dbraw/zinc/08/85/71/630088571.db2.gz NRCHDMASOIBZHH-SNVBAGLBSA-N 0 0 291.307 2.901 20 5 CFBDRN Cn1c(C(=O)OCC2=CCCCC2)ccc1[N+](=O)[O-] ZINC000835821291 630090723 /nfs/dbraw/zinc/09/07/23/630090723.db2.gz JIRYBGRQEPZTCJ-UHFFFAOYSA-N 0 0 264.281 2.591 20 5 CFBDRN Cc1nn(CN2CCC=C(C)C2)c2cc([N+](=O)[O-])ccc12 ZINC000797822990 630108589 /nfs/dbraw/zinc/10/85/89/630108589.db2.gz IWHPKGCXKVWCHE-UHFFFAOYSA-N 0 0 286.335 2.862 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1ccc[nH]1 ZINC000797858448 630113975 /nfs/dbraw/zinc/11/39/75/630113975.db2.gz PJUSGJMKNCWRRI-UHFFFAOYSA-N 0 0 280.667 2.933 20 5 CFBDRN Cc1c(Cn2ccc3ccncc3c2=O)cccc1[N+](=O)[O-] ZINC000798221372 630168005 /nfs/dbraw/zinc/16/80/05/630168005.db2.gz WCJBLLCUNVWDLJ-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](c3ccccn3)C2)cc1 ZINC000798417861 630189114 /nfs/dbraw/zinc/18/91/14/630189114.db2.gz YNIUNINVOITVKH-LBPRGKRZSA-N 0 0 269.304 2.984 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC1(C)C ZINC000798543289 630204062 /nfs/dbraw/zinc/20/40/62/630204062.db2.gz TVIRFIFTPATSCV-JTQLQIEISA-N 0 0 278.308 2.978 20 5 CFBDRN CC(C)C[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000798585697 630210029 /nfs/dbraw/zinc/21/00/29/630210029.db2.gz AUUQXEQZTYRHAP-SNVBAGLBSA-N 0 0 268.313 2.772 20 5 CFBDRN C[C@@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)C1CC1 ZINC000798657463 630224287 /nfs/dbraw/zinc/22/42/87/630224287.db2.gz ORSUEHPYKPGJQD-VHSXEESVSA-N 0 0 291.303 2.609 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@@H](c2ccccn2)C1 ZINC000798711209 630232647 /nfs/dbraw/zinc/23/26/47/630232647.db2.gz PJGCXTQGOOYDDC-CYBMUJFWSA-N 0 0 297.314 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@@H](c2ccccn2)C1 ZINC000798962159 630264980 /nfs/dbraw/zinc/26/49/80/630264980.db2.gz JJUKGOSKMWIGQM-GFCCVEGCSA-N 0 0 299.330 2.685 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000799919715 630354172 /nfs/dbraw/zinc/35/41/72/630354172.db2.gz UDWZFECOECHYGW-JRKPZEMJSA-N 0 0 297.282 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2COc3ccccc3C2)s1 ZINC000799927209 630354321 /nfs/dbraw/zinc/35/43/21/630354321.db2.gz UGQJNBZOFNFDQV-SECBINFHSA-N 0 0 291.332 2.715 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCC23CCC(CC2)C3)n1 ZINC000800458961 630386800 /nfs/dbraw/zinc/38/68/00/630386800.db2.gz ZWWQBZHAYLKGST-UHFFFAOYSA-N 0 0 293.323 2.548 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000800460829 630387849 /nfs/dbraw/zinc/38/78/49/630387849.db2.gz IOKNMZZSFXXGJZ-VHSXEESVSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2C[C@@H]2C(F)F)c([N+](=O)[O-])c1 ZINC000800803157 630405725 /nfs/dbraw/zinc/40/57/25/630405725.db2.gz NJVBDZJPYVVGPK-YUMQZZPRSA-N 0 0 271.219 2.710 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@]3(CCCOC3)C2)c1 ZINC000800855028 630411532 /nfs/dbraw/zinc/41/15/32/630411532.db2.gz FAINCTGKZLXSGS-HNNXBMFYSA-N 0 0 291.351 2.574 20 5 CFBDRN Cn1cc2c(n1)CCC[C@H]2Nc1ccsc1[N+](=O)[O-] ZINC000800889705 630414591 /nfs/dbraw/zinc/41/45/91/630414591.db2.gz RASOYXFQZSTYMW-SECBINFHSA-N 0 0 278.337 2.879 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@H](C(F)F)C1 ZINC000801566592 630459704 /nfs/dbraw/zinc/45/97/04/630459704.db2.gz CDIFZRBNXKKQHV-CABZTGNLSA-N 0 0 286.278 2.622 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CSC2(C)C)c1[N+](=O)[O-] ZINC000801778231 630469229 /nfs/dbraw/zinc/46/92/29/630469229.db2.gz FUUQOXABQCMBRA-SNVBAGLBSA-N 0 0 298.339 2.666 20 5 CFBDRN COc1ccc(OC(=O)/C=C/c2ccc[nH]2)c([N+](=O)[O-])c1 ZINC000802043998 630499446 /nfs/dbraw/zinc/49/94/46/630499446.db2.gz ABAIGJYLAJYRTF-QPJJXVBHSA-N 0 0 288.259 2.550 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000802719374 630540732 /nfs/dbraw/zinc/54/07/32/630540732.db2.gz PKMZYCHPECFGEP-JTQLQIEISA-N 0 0 297.405 2.775 20 5 CFBDRN C/C(=C/C(=O)O[C@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000802900752 630562410 /nfs/dbraw/zinc/56/24/10/630562410.db2.gz WEWSIXRBSCCHSL-ZHUYAKLQSA-N 0 0 291.303 2.720 20 5 CFBDRN C[C@@]1(OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCOC1 ZINC000802902746 630563243 /nfs/dbraw/zinc/56/32/43/630563243.db2.gz SAHGEAATNJISGI-GFCCVEGCSA-N 0 0 285.683 2.584 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@@H]1CCCCS1 ZINC000802922255 630565048 /nfs/dbraw/zinc/56/50/48/630565048.db2.gz LSUUYSXMNNHBNO-LBPRGKRZSA-N 0 0 295.360 2.966 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC[C@H]1CCCCS1 ZINC000802922254 630565270 /nfs/dbraw/zinc/56/52/70/630565270.db2.gz LSUUYSXMNNHBNO-GFCCVEGCSA-N 0 0 295.360 2.966 20 5 CFBDRN CCOC1CC(COC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000802948172 630570240 /nfs/dbraw/zinc/57/02/40/630570240.db2.gz UCMKQZXKYJOLBE-UHFFFAOYSA-N 0 0 279.292 2.567 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000110839370 634824867 /nfs/dbraw/zinc/82/48/67/634824867.db2.gz HBGCFFHZNVPWFA-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN O=C(OCc1ccncc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000803265237 630596325 /nfs/dbraw/zinc/59/63/25/630596325.db2.gz IZLKYSWYEZBICC-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)c2cc(F)ccc2[N+](=O)[O-])O1 ZINC000803319929 630601193 /nfs/dbraw/zinc/60/11/93/630601193.db2.gz RMGJLVGWHBKHBM-JTQLQIEISA-N 0 0 297.282 2.848 20 5 CFBDRN O=C(/C=C\C1CC1)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803372227 630608235 /nfs/dbraw/zinc/60/82/35/630608235.db2.gz YNVHVFBGHXTDHY-ARJAWSKDSA-N 0 0 283.230 2.882 20 5 CFBDRN Cc1ccc(C(=O)O[C@H]2CCC(C)(C)C2=O)cc1[N+](=O)[O-] ZINC000839999016 630609919 /nfs/dbraw/zinc/60/99/19/630609919.db2.gz JLQHGNMWMIDUPC-LBPRGKRZSA-N 0 0 291.303 2.818 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCCCCF)c1[N+](=O)[O-] ZINC000803387266 630610842 /nfs/dbraw/zinc/61/08/42/630610842.db2.gz ZHYAMVAWYSVKNJ-UHFFFAOYSA-N 0 0 273.235 2.949 20 5 CFBDRN Cc1c(CC(=O)OCCCCF)cccc1[N+](=O)[O-] ZINC000803402924 630612709 /nfs/dbraw/zinc/61/27/09/630612709.db2.gz RYLXUKOZIVJJFQ-UHFFFAOYSA-N 0 0 269.272 2.739 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCCCF ZINC000803464770 630621058 /nfs/dbraw/zinc/62/10/58/630621058.db2.gz LISLONHLNCGZLY-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCCOCC1CCCC1 ZINC000840011225 630632999 /nfs/dbraw/zinc/63/29/99/630632999.db2.gz JGSNVANYPKEBSE-UHFFFAOYSA-N 0 0 293.319 2.993 20 5 CFBDRN C/C(=C/C(=O)N[C@@H]1CC[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000803636170 630633006 /nfs/dbraw/zinc/63/30/06/630633006.db2.gz VMIKZBXGWRERLB-OEHMUFGGSA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)COC(F)F ZINC000803730714 630636863 /nfs/dbraw/zinc/63/68/63/630636863.db2.gz HPKYOMNGVJQQKO-QMMMGPOBSA-N 0 0 289.234 2.747 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]2C[C@H]21 ZINC000804290493 630667475 /nfs/dbraw/zinc/66/74/75/630667475.db2.gz HSVGDEIANCWGDT-GXFFZTMASA-N 0 0 292.360 2.698 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000804292068 630668033 /nfs/dbraw/zinc/66/80/33/630668033.db2.gz VLXASEMXEYACDE-CMPLNLGQSA-N 0 0 290.319 2.618 20 5 CFBDRN CC(C)CCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804317504 630671542 /nfs/dbraw/zinc/67/15/42/630671542.db2.gz GCCFYGAZHXWYBL-UHFFFAOYSA-N 0 0 253.258 2.961 20 5 CFBDRN CC1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000804319277 630671588 /nfs/dbraw/zinc/67/15/88/630671588.db2.gz MVTLEGQUBSNQND-UHFFFAOYSA-N 0 0 265.269 2.739 20 5 CFBDRN Cc1ccc(N2CCC2)c([N+](=O)[O-])c1Br ZINC000804340333 630673525 /nfs/dbraw/zinc/67/35/25/630673525.db2.gz WOLXRNWMQVCMSF-UHFFFAOYSA-N 0 0 271.114 2.876 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H](O)c1ccco1 ZINC000804348411 630674600 /nfs/dbraw/zinc/67/46/00/630674600.db2.gz ZYBSUMHFSIMKQQ-CYBMUJFWSA-N 0 0 290.275 2.536 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCc1cccc(O)c1 ZINC000804366214 630676123 /nfs/dbraw/zinc/67/61/23/630676123.db2.gz TUJSKFQPFYZZAV-UHFFFAOYSA-N 0 0 289.247 2.821 20 5 CFBDRN Cc1ccccc1OCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000804367158 630676140 /nfs/dbraw/zinc/67/61/40/630676140.db2.gz GYJDFWGDMIAFLB-UHFFFAOYSA-N 0 0 287.319 2.976 20 5 CFBDRN Nc1ccc(NCCN2Cc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000804389672 630678846 /nfs/dbraw/zinc/67/88/46/630678846.db2.gz WNJHZEPEAHFCNN-UHFFFAOYSA-N 0 0 298.346 2.605 20 5 CFBDRN Cc1ncsc1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804432331 630681302 /nfs/dbraw/zinc/68/13/02/630681302.db2.gz ZRRWIWLCMQSPNH-UHFFFAOYSA-N 0 0 294.292 2.880 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CSC[C@H]2C)c1[N+](=O)[O-] ZINC000804499664 630688341 /nfs/dbraw/zinc/68/83/41/630688341.db2.gz GKEYLHDDFDOJRN-KCJUWKMLSA-N 0 0 298.339 2.524 20 5 CFBDRN CC(C)Cn1ccnc1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000804501928 630688350 /nfs/dbraw/zinc/68/83/50/630688350.db2.gz HHWVKQLQZQPNDH-UHFFFAOYSA-N 0 0 289.339 2.642 20 5 CFBDRN Nc1ccc(NCC[C@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000804521007 630689214 /nfs/dbraw/zinc/68/92/14/630689214.db2.gz KACYBOZVSOSXPO-HNNXBMFYSA-N 0 0 287.319 2.713 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)cc2[N+](=O)[O-])C[C@H](C)S1 ZINC000804514995 630689318 /nfs/dbraw/zinc/68/93/18/630689318.db2.gz PUMQRUDCOMIPJA-DTORHVGOSA-N 0 0 267.354 2.507 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804533189 630690449 /nfs/dbraw/zinc/69/04/49/630690449.db2.gz YPZJMAIITXSKQB-DTWKUNHWSA-N 0 0 265.269 2.738 20 5 CFBDRN Nc1ccc(N2CCC[C@]3(CCCOC3)C2)c([N+](=O)[O-])c1 ZINC000804532539 630690452 /nfs/dbraw/zinc/69/04/52/630690452.db2.gz ZWLLOUUELXTZAW-HNNXBMFYSA-N 0 0 291.351 2.574 20 5 CFBDRN O=c1[nH]c(NCCC2CCC2)nc2ccc([N+](=O)[O-])cc21 ZINC000804542866 630691445 /nfs/dbraw/zinc/69/14/45/630691445.db2.gz PYBKCWHIBLGDMF-UHFFFAOYSA-N 0 0 288.307 2.846 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804548724 630692279 /nfs/dbraw/zinc/69/22/79/630692279.db2.gz NDTHEEWZCLDIMN-NXEZZACHSA-N 0 0 295.295 2.730 20 5 CFBDRN Nc1ccc(NCCC[C@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000804561553 630693309 /nfs/dbraw/zinc/69/33/09/630693309.db2.gz KOUWUCGRURAKJU-ABAIWWIYSA-N 0 0 293.367 2.920 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000836136204 630750845 /nfs/dbraw/zinc/75/08/45/630750845.db2.gz ZXZMGFAMYWNYAQ-OKILXGFUSA-N 0 0 293.319 2.637 20 5 CFBDRN C[C@H](Nc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1)c1ccc[nH]1 ZINC000804579263 630758451 /nfs/dbraw/zinc/75/84/51/630758451.db2.gz RJBMYMGTIKDYPC-QMMMGPOBSA-N 0 0 299.290 2.745 20 5 CFBDRN C[C@@H]1CC(Nc2ccc(N)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000804587580 630762019 /nfs/dbraw/zinc/76/20/19/630762019.db2.gz TWFYJVKFWSVLBF-RKDXNWHRSA-N 0 0 265.313 2.545 20 5 CFBDRN CNC(=O)[C@@H]1CCC[C@H]1Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804586937 630762137 /nfs/dbraw/zinc/76/21/37/630762137.db2.gz SCXCQMPESROZEI-NXEZZACHSA-N 0 0 297.742 2.575 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC[C@H](C)[C@@H](F)C1 ZINC000804597182 630762983 /nfs/dbraw/zinc/76/29/83/630762983.db2.gz DVZJURUMRMYVGA-ZANVPECISA-N 0 0 280.299 2.982 20 5 CFBDRN Nc1ccc(NCC2(c3ccccn3)CC2)c([N+](=O)[O-])c1 ZINC000804612419 630764791 /nfs/dbraw/zinc/76/47/91/630764791.db2.gz RDCUULWEIQUFGI-UHFFFAOYSA-N 0 0 284.319 2.716 20 5 CFBDRN Cc1ccc(CN(C)c2ccc(N)cc2[N+](=O)[O-])nc1 ZINC000804647266 630768849 /nfs/dbraw/zinc/76/88/49/630768849.db2.gz LJCPPHWZVDWVEX-UHFFFAOYSA-N 0 0 272.308 2.517 20 5 CFBDRN CCC(C)(C)c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000867174669 630810312 /nfs/dbraw/zinc/81/03/12/630810312.db2.gz QYQVNSWOMNDJOW-UHFFFAOYSA-N 0 0 250.258 2.661 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N[C@H]2C[C@@H]2C(F)F)cc1 ZINC000806588710 630817856 /nfs/dbraw/zinc/81/78/56/630817856.db2.gz ZGHNFTGCXWIPTI-IUCAKERBSA-N 0 0 287.291 2.535 20 5 CFBDRN COc1cc(C(=O)OCC(C)(C)C)c([N+](=O)[O-])cc1OC ZINC000103700221 630834137 /nfs/dbraw/zinc/83/41/37/630834137.db2.gz DNEAUQCADDXZAB-UHFFFAOYSA-N 0 0 297.307 2.815 20 5 CFBDRN C/C=C\C(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000836839184 630896514 /nfs/dbraw/zinc/89/65/14/630896514.db2.gz YDHWPGYKPPCATJ-QDOCKXBDSA-N 0 0 274.320 2.877 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NC(=O)C1=COCC1 ZINC000836853714 630899790 /nfs/dbraw/zinc/89/97/90/630899790.db2.gz BDQKEFXJLKZHDH-UHFFFAOYSA-N 0 0 291.307 2.658 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1CCCCCCCC1 ZINC000836924822 630918565 /nfs/dbraw/zinc/91/85/65/630918565.db2.gz IWRFKXBKIOWTRD-UHFFFAOYSA-N 0 0 291.351 2.973 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)OC1CCCC1 ZINC000807917286 630921845 /nfs/dbraw/zinc/92/18/45/630921845.db2.gz SZBNKPKWAZHFQQ-UHFFFAOYSA-N 0 0 285.246 2.901 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCc1cccnc1 ZINC000807924713 630922560 /nfs/dbraw/zinc/92/25/60/630922560.db2.gz SAGUZHNBFHYVJH-UHFFFAOYSA-N 0 0 285.303 2.847 20 5 CFBDRN CO[C@H](CNc1cc([N+](=O)[O-])ccc1C(C)=O)C(C)C ZINC000807987876 630931586 /nfs/dbraw/zinc/93/15/86/630931586.db2.gz IEDDRCFPJUBJPM-CQSZACIVSA-N 0 0 280.324 2.880 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC1CCC(C)(O)CC1 ZINC000807989449 630932405 /nfs/dbraw/zinc/93/24/05/630932405.db2.gz NBNWCIWXCUOLNK-UHFFFAOYSA-N 0 0 292.335 2.903 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1CCSC1 ZINC000808054199 630945605 /nfs/dbraw/zinc/94/56/05/630945605.db2.gz JSZNZJVCZDGQSG-SNVBAGLBSA-N 0 0 280.349 2.962 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1noc2ccccc12 ZINC000808053517 630945711 /nfs/dbraw/zinc/94/57/11/630945711.db2.gz ASFRNNMFTVPIMN-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN O=[N+]([O-])c1c(NCCC2(F)CCC2)nc2ccccn21 ZINC000808076369 630948346 /nfs/dbraw/zinc/94/83/46/630948346.db2.gz SSULSSPVCYWHNW-UHFFFAOYSA-N 0 0 278.287 2.937 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])[C@H](O)c1ccccc1 ZINC000808129989 630953661 /nfs/dbraw/zinc/95/36/61/630953661.db2.gz ICYBXAKRWONMPV-GWCFXTLKSA-N 0 0 273.292 2.524 20 5 CFBDRN CC(C)CC1(C)CCN(Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000808231505 630969571 /nfs/dbraw/zinc/96/95/71/630969571.db2.gz JCDGIMGZFYXTPO-UHFFFAOYSA-N 0 0 280.372 2.897 20 5 CFBDRN Nc1ccc(OCC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000808256475 630972230 /nfs/dbraw/zinc/97/22/30/630972230.db2.gz RMZMESRFVNTJCW-JTQLQIEISA-N 0 0 266.297 2.515 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000837230391 630983293 /nfs/dbraw/zinc/98/32/93/630983293.db2.gz MCPGPWXOASUNRJ-ZWNOBZJWSA-N 0 0 294.351 2.569 20 5 CFBDRN CC(C)(CC(=O)COC(=O)c1ccc([N+](=O)[O-])o1)C1CC1 ZINC000837334169 631003067 /nfs/dbraw/zinc/00/30/67/631003067.db2.gz DCZWRPVZRBRODO-UHFFFAOYSA-N 0 0 295.291 2.740 20 5 CFBDRN CC(C)=CCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000808509483 631005260 /nfs/dbraw/zinc/00/52/60/631005260.db2.gz VNMBKHXJQFMTIR-UHFFFAOYSA-N 0 0 253.229 2.857 20 5 CFBDRN CCC/C(C)=C/C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000808794237 631049178 /nfs/dbraw/zinc/04/91/78/631049178.db2.gz HOUHFIXBEDVNGE-CSKARUKUSA-N 0 0 263.297 2.699 20 5 CFBDRN COC1(COC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCC1 ZINC000837563952 631068562 /nfs/dbraw/zinc/06/85/62/631068562.db2.gz GYQDASJINCKALV-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000809115570 631084966 /nfs/dbraw/zinc/08/49/66/631084966.db2.gz WYZZIBXQLKXUAZ-TXEJJXNPSA-N 0 0 279.292 2.628 20 5 CFBDRN Nc1nc(CCNc2cc(Cl)ccc2[N+](=O)[O-])cs1 ZINC000809338740 631107199 /nfs/dbraw/zinc/10/71/99/631107199.db2.gz JSKXVYPKNAFIDQ-UHFFFAOYSA-N 0 0 298.755 2.942 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(C(F)(F)F)C2)c(F)c1 ZINC000809338266 631108026 /nfs/dbraw/zinc/10/80/26/631108026.db2.gz DXDUVEQOPXVBDZ-UHFFFAOYSA-N 0 0 264.178 2.732 20 5 CFBDRN C=COc1ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000837765773 631125607 /nfs/dbraw/zinc/12/56/07/631125607.db2.gz XSYQUHWGJGJHOP-UHFFFAOYSA-N 0 0 278.308 2.983 20 5 CFBDRN CCC[C@H](OC)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000837804403 631131790 /nfs/dbraw/zinc/13/17/90/631131790.db2.gz JTYUDHOJRQTKCU-ZDUSSCGKSA-N 0 0 281.308 2.762 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@]1(C)CC1(Cl)Cl)[N+](=O)[O-] ZINC000837926343 631151480 /nfs/dbraw/zinc/15/14/80/631151480.db2.gz PADKSTZRCLCPSX-SNVBAGLBSA-N 0 0 298.166 2.949 20 5 CFBDRN Cc1ccc(COC(=O)CCC(C)(C)[N+](=O)[O-])c(C)n1 ZINC000837925039 631151552 /nfs/dbraw/zinc/15/15/52/631151552.db2.gz JLLFKANSWMJQEA-UHFFFAOYSA-N 0 0 280.324 2.577 20 5 CFBDRN CC(C)c1noc([C@@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-])n1 ZINC000837924923 631151561 /nfs/dbraw/zinc/15/15/61/631151561.db2.gz UBOUXQBRWIWGMR-SECBINFHSA-N 0 0 299.327 2.633 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CCC2(CCCC2)O1)[N+](=O)[O-] ZINC000837923706 631151648 /nfs/dbraw/zinc/15/16/48/631151648.db2.gz ATGCBMGMSRUTMF-GFCCVEGCSA-N 0 0 299.367 2.857 20 5 CFBDRN CCO/C=C\C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000840587453 631167230 /nfs/dbraw/zinc/16/72/30/631167230.db2.gz JNHRWSZNGHIZNY-HSTULFTRSA-N 0 0 265.265 2.749 20 5 CFBDRN CCO/C=C/C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000840586801 631167287 /nfs/dbraw/zinc/16/72/87/631167287.db2.gz FQCBZNFEVFWLGZ-AATRIKPKSA-N 0 0 285.683 2.842 20 5 CFBDRN COc1ccc(NC(=S)NC2(C)CCC2)cc1[N+](=O)[O-] ZINC000840744794 631178163 /nfs/dbraw/zinc/17/81/63/631178163.db2.gz XCKNKFIZTWGWLE-UHFFFAOYSA-N 0 0 295.364 2.832 20 5 CFBDRN Cn1ccnc1SCc1cc(F)ccc1[N+](=O)[O-] ZINC000042128241 649994921 /nfs/dbraw/zinc/99/49/21/649994921.db2.gz UPIWTVHDNTYNCZ-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN CC[C@H](C)NC(=S)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000840764368 631220489 /nfs/dbraw/zinc/22/04/89/631220489.db2.gz SURBABGXGVAZAW-UWVGGRQHSA-N 0 0 281.381 2.918 20 5 CFBDRN Cc1c(CN2Cc3ccncc3C2)cccc1[N+](=O)[O-] ZINC000809564018 631234951 /nfs/dbraw/zinc/23/49/51/631234951.db2.gz UUMSJSMMCLOHOG-UHFFFAOYSA-N 0 0 269.304 2.814 20 5 CFBDRN COCC[C@@H](C)CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840852304 631242355 /nfs/dbraw/zinc/24/23/55/631242355.db2.gz GMNWJJJFYDYOLA-SNVBAGLBSA-N 0 0 297.380 2.554 20 5 CFBDRN Cc1ccc(OC(=O)c2cncs2)c([N+](=O)[O-])c1 ZINC000809793717 631292401 /nfs/dbraw/zinc/29/24/01/631292401.db2.gz LSCQTGKVUIQZCT-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN O=C(Oc1c(Cl)cccc1Cl)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841010183 631303626 /nfs/dbraw/zinc/30/36/26/631303626.db2.gz CBWHIGZAWVMUGG-SVGQVSJJSA-N 0 0 276.075 2.564 20 5 CFBDRN CC[C@@H](O)c1nccn1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000810002796 631313015 /nfs/dbraw/zinc/31/30/15/631313015.db2.gz AJDLCDNHNOUUMV-GFCCVEGCSA-N 0 0 295.726 2.936 20 5 CFBDRN CCCNC(=O)CCCNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000841691105 631452962 /nfs/dbraw/zinc/45/29/62/631452962.db2.gz UUMFDRNMSIUHHW-UHFFFAOYSA-N 0 0 299.758 2.967 20 5 CFBDRN Cc1nc([C@@H](C)Oc2cc(F)ccc2[N+](=O)[O-])no1 ZINC000842013796 631499802 /nfs/dbraw/zinc/49/98/02/631499802.db2.gz USMHEAMVONTKFP-ZCFIWIBFSA-N 0 0 267.216 2.565 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)O[C@H]1CCCC[C@@H]1F ZINC000811051217 631504917 /nfs/dbraw/zinc/50/49/17/631504917.db2.gz XCVQRLXXFXSZEP-STQMWFEESA-N 0 0 281.283 2.961 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])O[C@H]1CCCC[C@@H]1F ZINC000811058006 631507930 /nfs/dbraw/zinc/50/79/30/631507930.db2.gz ZEUMTGRUVTYFFP-JQWIXIFHSA-N 0 0 297.282 2.798 20 5 CFBDRN Cc1ccc(C(=O)OCCOCC2CCC2)cc1[N+](=O)[O-] ZINC000842054419 631515221 /nfs/dbraw/zinc/51/52/21/631515221.db2.gz OPNVAZUZQSBAMN-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN CC[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1Cl)OC ZINC000842055503 631516032 /nfs/dbraw/zinc/51/60/32/631516032.db2.gz KVVYNBQDEGZOJT-SECBINFHSA-N 0 0 287.699 2.830 20 5 CFBDRN CCOc1ccc(C(=O)OC[C@@H](CC)OC)cc1[N+](=O)[O-] ZINC000842059914 631518087 /nfs/dbraw/zinc/51/80/87/631518087.db2.gz KWYYDCKBIFNFQQ-LLVKDONJSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1cc(C(=O)OCCc2cncs2)cc([N+](=O)[O-])c1 ZINC000811123934 631521409 /nfs/dbraw/zinc/52/14/09/631521409.db2.gz ONUPXDVRAFVJSI-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CC[C@H](COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)OC ZINC000842066083 631522727 /nfs/dbraw/zinc/52/27/27/631522727.db2.gz DMLGEWYALIJTTR-LLVKDONJSA-N 0 0 293.275 2.923 20 5 CFBDRN CC(=O)COC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000811372976 631556436 /nfs/dbraw/zinc/55/64/36/631556436.db2.gz MSXHAEBKALLUQM-UHFFFAOYSA-N 0 0 292.074 2.647 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNc2ccc(CO)cn2)c1 ZINC000811501560 631572668 /nfs/dbraw/zinc/57/26/68/631572668.db2.gz NWZHCAXXEOQPLM-UHFFFAOYSA-N 0 0 293.710 2.748 20 5 CFBDRN CC[C@@H](C)c1ccc(OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000842283222 631578941 /nfs/dbraw/zinc/57/89/41/631578941.db2.gz VITSBBRZBCGOPJ-OASPWFOLSA-N 0 0 263.293 2.771 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@@H](CCF)C1 ZINC000855824396 635660901 /nfs/dbraw/zinc/66/09/01/635660901.db2.gz AIQLNRFBNGGSOK-JTQLQIEISA-N 0 0 298.289 2.946 20 5 CFBDRN CON(C[C@H]1CCC[C@H](C)C1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000811812989 631626420 /nfs/dbraw/zinc/62/64/20/631626420.db2.gz GNSGWJUABXOQMZ-QWRGUYRKSA-N 0 0 295.339 2.753 20 5 CFBDRN Nc1ccc(NCc2noc3ccccc32)c([N+](=O)[O-])c1 ZINC000811840556 631630523 /nfs/dbraw/zinc/63/05/23/631630523.db2.gz JMGOUQZSLCFJOR-UHFFFAOYSA-N 0 0 284.275 2.930 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C(F)F)C1 ZINC000811843633 631631322 /nfs/dbraw/zinc/63/13/22/631631322.db2.gz WBGRMGIJCZTFFK-SECBINFHSA-N 0 0 256.252 2.995 20 5 CFBDRN CSCc1ccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)cc1 ZINC000842492240 631644637 /nfs/dbraw/zinc/64/46/37/631644637.db2.gz XSZKZZGUUKAELM-GHMZBOCLSA-N 0 0 291.332 2.732 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@](C)(O)C2CC2)n1 ZINC000842494744 631645334 /nfs/dbraw/zinc/64/53/34/631645334.db2.gz YHDBGTVYPGZGPN-AWEZNQCLSA-N 0 0 289.291 2.571 20 5 CFBDRN CC(C)(CCc1noc(-c2[nH]ccc2C2CC2)n1)[N+](=O)[O-] ZINC000842505198 631649298 /nfs/dbraw/zinc/64/92/98/631649298.db2.gz MJKKYSSYBHICAU-UHFFFAOYSA-N 0 0 290.323 2.930 20 5 CFBDRN C[Si](C)(C)Cn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000842653393 631694538 /nfs/dbraw/zinc/69/45/38/631694538.db2.gz FQABVWOGDFQMGA-UHFFFAOYSA-N 0 0 280.400 2.513 20 5 CFBDRN CC(C)C(=O)COc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842663862 631696632 /nfs/dbraw/zinc/69/66/32/631696632.db2.gz LUKFNVCQQIYUCD-UHFFFAOYSA-N 0 0 295.188 2.755 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCC(=O)C1 ZINC000842702882 631712134 /nfs/dbraw/zinc/71/21/34/631712134.db2.gz RJJXWGIPVHNBGG-SSDOTTSWSA-N 0 0 283.667 2.523 20 5 CFBDRN Cc1cc(NC[C@@](C)(O)c2ccco2)c(F)cc1[N+](=O)[O-] ZINC000812459996 631721642 /nfs/dbraw/zinc/72/16/42/631721642.db2.gz JYQQVORICZAOBS-CQSZACIVSA-N 0 0 294.282 2.955 20 5 CFBDRN O=C(N1CC(C2CC2)C1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000812655282 631752345 /nfs/dbraw/zinc/75/23/45/631752345.db2.gz JRONXWLKYHRCJO-UHFFFAOYSA-N 0 0 296.273 2.555 20 5 CFBDRN C/C=C(\C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000842846092 631762013 /nfs/dbraw/zinc/76/20/13/631762013.db2.gz IJAMQRWGNHXSAC-FPYGCLRLSA-N 0 0 253.229 2.857 20 5 CFBDRN CC(C)[C@H]1C[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812167 631788724 /nfs/dbraw/zinc/78/87/24/631788724.db2.gz ZJRNXRUJGZGVCA-NXEZZACHSA-N 0 0 267.329 2.817 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CC2(C)C)n1)[N+](=O)[O-] ZINC000812814406 631789476 /nfs/dbraw/zinc/78/94/76/631789476.db2.gz WTUFKKWMYULYCZ-QMMMGPOBSA-N 0 0 253.302 2.571 20 5 CFBDRN C[C@H]1CC[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)C1 ZINC000812814470 631789855 /nfs/dbraw/zinc/78/98/55/631789855.db2.gz YABFOGSVAFMRLE-UWVGGRQHSA-N 0 0 267.329 2.961 20 5 CFBDRN CCC(CC)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812814463 631789907 /nfs/dbraw/zinc/78/99/07/631789907.db2.gz XVYCKUWDZJYIJI-UHFFFAOYSA-N 0 0 255.318 2.961 20 5 CFBDRN Cc1cccc(Cc2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000812816423 631790514 /nfs/dbraw/zinc/79/05/14/631790514.db2.gz QRNOPEAWVNCBOL-UHFFFAOYSA-N 0 0 289.335 2.957 20 5 CFBDRN CC(C)(CCc1noc([C@H]2CCCS2)n1)[N+](=O)[O-] ZINC000812815941 631790573 /nfs/dbraw/zinc/79/05/73/631790573.db2.gz FUXRDGJYYQVKKQ-MRVPVSSYSA-N 0 0 271.342 2.626 20 5 CFBDRN CC(C)(CCC(=O)Oc1cccc2c1OCCC2)[N+](=O)[O-] ZINC000842947986 631791505 /nfs/dbraw/zinc/79/15/05/631791505.db2.gz XMGWUMWPYNPMCS-UHFFFAOYSA-N 0 0 293.319 2.753 20 5 CFBDRN CO[C@H](C)COC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000155715515 650029928 /nfs/dbraw/zinc/02/99/28/650029928.db2.gz DKUVYUWUJXPGMK-MRVPVSSYSA-N 0 0 285.321 2.508 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000812915157 631806885 /nfs/dbraw/zinc/80/68/85/631806885.db2.gz BUOLJSKXWQRBAM-MNOVXSKESA-N 0 0 281.308 2.727 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000813083875 631829957 /nfs/dbraw/zinc/82/99/57/631829957.db2.gz ZLXKHVFMMOSMMK-NWDGAFQWSA-N 0 0 295.335 2.865 20 5 CFBDRN C[C@@H](COC(=O)Cc1cccc2ccccc21)[N+](=O)[O-] ZINC000813100298 631837009 /nfs/dbraw/zinc/83/70/09/631837009.db2.gz QCEBWRZPFDFULE-NSHDSACASA-N 0 0 273.288 2.591 20 5 CFBDRN Cc1cccc(OCCCCC(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000813102567 631838047 /nfs/dbraw/zinc/83/80/47/631838047.db2.gz RZNQCTDARCUXMK-CYBMUJFWSA-N 0 0 295.335 2.753 20 5 CFBDRN C[C@@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1c[nH]c2ccccc21 ZINC000813102594 631838120 /nfs/dbraw/zinc/83/81/20/631838120.db2.gz STPKZGYLNIYKQX-QWRGUYRKSA-N 0 0 290.319 2.870 20 5 CFBDRN C[C@H](COC(=O)C(C)(C)c1cccc(Cl)c1)[N+](=O)[O-] ZINC000813101591 631838587 /nfs/dbraw/zinc/83/85/87/631838587.db2.gz DUEUKJGTEVKKDY-SECBINFHSA-N 0 0 285.727 2.826 20 5 CFBDRN Cc1cc(C(=O)CCC(=O)OC[C@@H](C)[N+](=O)[O-])c(C)s1 ZINC000813101724 631838826 /nfs/dbraw/zinc/83/88/26/631838826.db2.gz HSENBRLASWZOHL-MRVPVSSYSA-N 0 0 299.348 2.536 20 5 CFBDRN C[C@H](COC(=O)c1ccc(OC2CCCC2)cc1)[N+](=O)[O-] ZINC000813104479 631839697 /nfs/dbraw/zinc/83/96/97/631839697.db2.gz DESMHDRPCACEGP-LLVKDONJSA-N 0 0 293.319 2.830 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCC[C@@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000813104446 631839890 /nfs/dbraw/zinc/83/98/90/631839890.db2.gz CUYUTTRUEYEELY-IWSPIJDZSA-N 0 0 283.246 2.564 20 5 CFBDRN C[C@H](COC(=O)c1ccc(-c2ccccc2)[nH]1)[N+](=O)[O-] ZINC000813106761 631840981 /nfs/dbraw/zinc/84/09/81/631840981.db2.gz HMZSLFWLHOYHCR-SNVBAGLBSA-N 0 0 274.276 2.504 20 5 CFBDRN C[C@H](COC(=O)CCCc1c[nH]c2ccccc12)[N+](=O)[O-] ZINC000813105980 631841045 /nfs/dbraw/zinc/84/10/45/631841045.db2.gz WXIJBJPULOXORS-LLVKDONJSA-N 0 0 290.319 2.699 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(-c2ccccc2)[nH]1)[N+](=O)[O-] ZINC000813106760 631841877 /nfs/dbraw/zinc/84/18/77/631841877.db2.gz HMZSLFWLHOYHCR-JTQLQIEISA-N 0 0 274.276 2.504 20 5 CFBDRN C[C@@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1cccc(F)c1 ZINC000813106859 631841977 /nfs/dbraw/zinc/84/19/77/631841977.db2.gz IVHXUMAQYOBTDJ-UWVGGRQHSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCC[C@H]2CCCC[C@H]21)[N+](=O)[O-] ZINC000813108905 631842860 /nfs/dbraw/zinc/84/28/60/631842860.db2.gz BVTOOQYTZZWKQI-FDYHWXHSSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@H](COC(=O)c1cc(Cl)sc1Cl)[N+](=O)[O-] ZINC000813114884 631845877 /nfs/dbraw/zinc/84/58/77/631845877.db2.gz IKDOEPOMAVUQNJ-SCSAIBSYSA-N 0 0 284.120 2.877 20 5 CFBDRN C[C@H](COC(=O)/C=C/c1cnc(C(C)(C)C)s1)[N+](=O)[O-] ZINC000813114233 631846569 /nfs/dbraw/zinc/84/65/69/631846569.db2.gz OCSZCIIACOYNBN-VUHVRTRXSA-N 0 0 298.364 2.662 20 5 CFBDRN Cc1ccc(-c2noc([C@@H](N)CC(F)F)n2)cc1[N+](=O)[O-] ZINC000843178787 631881083 /nfs/dbraw/zinc/88/10/83/631881083.db2.gz KJWWTGFVTAROOJ-QMMMGPOBSA-N 0 0 298.249 2.608 20 5 CFBDRN CO[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1Cl)C(C)C ZINC000813244826 631890854 /nfs/dbraw/zinc/89/08/54/631890854.db2.gz SIRDHTFSBLTFND-LLVKDONJSA-N 0 0 286.715 2.858 20 5 CFBDRN C[C@H]1OCC[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813246489 631891045 /nfs/dbraw/zinc/89/10/45/631891045.db2.gz DIHRQGAYCOXFOG-SFYZADRCSA-N 0 0 284.699 2.612 20 5 CFBDRN O=C(C[C@@H]1CCOC1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813242291 631891156 /nfs/dbraw/zinc/89/11/56/631891156.db2.gz OJQCYQZXAKBLAO-QMMMGPOBSA-N 0 0 284.699 2.613 20 5 CFBDRN CO[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1Cl)C(C)C ZINC000813244827 631891247 /nfs/dbraw/zinc/89/12/47/631891247.db2.gz SIRDHTFSBLTFND-NSHDSACASA-N 0 0 286.715 2.858 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000867324328 631920156 /nfs/dbraw/zinc/92/01/56/631920156.db2.gz BQRLAQIBIHAJKH-JTLRNRKASA-N 0 0 290.319 2.834 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCC1CCOCC1 ZINC000843300166 631921738 /nfs/dbraw/zinc/92/17/38/631921738.db2.gz HDJQFWJLOMJRDO-UHFFFAOYSA-N 0 0 279.340 2.865 20 5 CFBDRN CC(=Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1n[nH]cc1C ZINC000813538066 631930861 /nfs/dbraw/zinc/93/08/61/631930861.db2.gz AVTAWQCBWOSHFU-CLFYSBASSA-N 0 0 286.291 2.668 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCc1cccc(F)n1 ZINC000813600200 631938716 /nfs/dbraw/zinc/93/87/16/631938716.db2.gz WGPICVJNYJLTDM-UHFFFAOYSA-N 0 0 298.277 2.711 20 5 CFBDRN C[C@@]12CCN(c3cc(N)ccc3[N+](=O)[O-])C[C@@H]1C2(F)F ZINC000813610323 631941252 /nfs/dbraw/zinc/94/12/52/631941252.db2.gz PKSHTXLBVMVIHW-NWDGAFQWSA-N 0 0 283.278 2.659 20 5 CFBDRN CC[C@@H](C)CON=c1nc[nH]c2sc([N+](=O)[O-])cc21 ZINC000813622465 631943183 /nfs/dbraw/zinc/94/31/83/631943183.db2.gz QBOSBBJREUMBRA-SSDOTTSWSA-N 0 0 282.325 2.989 20 5 CFBDRN Cc1ccoc1CN(C)c1cc(N)ccc1[N+](=O)[O-] ZINC000813632878 631943845 /nfs/dbraw/zinc/94/38/45/631943845.db2.gz OBBABRGKCPEGTL-UHFFFAOYSA-N 0 0 261.281 2.715 20 5 CFBDRN CCC/C=C/COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000813907667 631974714 /nfs/dbraw/zinc/97/47/14/631974714.db2.gz QBRXQCGTMOTQNA-QPJJXVBHSA-N 0 0 279.292 2.873 20 5 CFBDRN COCC(C)(C)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000813993071 631987132 /nfs/dbraw/zinc/98/71/32/631987132.db2.gz DSJLKTXKFRQWAD-RMKNXTFCSA-N 0 0 293.319 2.824 20 5 CFBDRN COCC(C)(C)COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000813995931 631989055 /nfs/dbraw/zinc/98/90/55/631989055.db2.gz BKASJRYVJFSCHD-UHFFFAOYSA-N 0 0 281.308 2.733 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@H]1CCCCC1(C)C ZINC000814036716 631997678 /nfs/dbraw/zinc/99/76/78/631997678.db2.gz JRELPDLOCKFSMP-WDEREUQCSA-N 0 0 257.330 2.801 20 5 CFBDRN CC[C@H](CC1CCCC1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814036363 631997717 /nfs/dbraw/zinc/99/77/17/631997717.db2.gz WJTZTZCDGYPJIQ-CMPLNLGQSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C/c1nc2ccccc2s1 ZINC000814037119 631997894 /nfs/dbraw/zinc/99/78/94/631997894.db2.gz RNEWQTQOCWNSMR-UCUJLANTSA-N 0 0 292.316 2.518 20 5 CFBDRN C/C(=C/C(=O)O[C@@H](C)C[N+](=O)[O-])c1cccc(Cl)c1 ZINC000814039571 631998661 /nfs/dbraw/zinc/99/86/61/631998661.db2.gz SNTVABLQVCBOOW-MBACFSSFSA-N 0 0 283.711 2.952 20 5 CFBDRN Cc1ccc(N(C)C(C)C)c(C(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000814040565 631998954 /nfs/dbraw/zinc/99/89/54/631998954.db2.gz JBHWYOYYKNZMBD-LBPRGKRZSA-N 0 0 294.351 2.662 20 5 CFBDRN CC[C@@H](CC(=O)O[C@@H](C)C[N+](=O)[O-])c1ccccc1 ZINC000814042719 631999356 /nfs/dbraw/zinc/99/93/56/631999356.db2.gz MOQBUVASGFEWFP-RYUDHWBXSA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(F)c2ccccc12 ZINC000814041963 631999731 /nfs/dbraw/zinc/99/97/31/631999731.db2.gz UIHBFJFLAQTGQQ-SECBINFHSA-N 0 0 277.251 2.801 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCc1ccc2ccccc2c1 ZINC000814043290 632000071 /nfs/dbraw/zinc/00/00/71/632000071.db2.gz CLGHTZUDQLQABV-GFCCVEGCSA-N 0 0 287.315 2.981 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1c(Cl)c2ccccc2n1C ZINC000814044290 632000209 /nfs/dbraw/zinc/00/02/09/632000209.db2.gz OTPOAMKTHIPRBH-QMMMGPOBSA-N 0 0 296.710 2.654 20 5 CFBDRN C/C(=C\c1cccc(Cl)c1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814044674 632000504 /nfs/dbraw/zinc/00/05/04/632000504.db2.gz IFZLFXITQXJGKS-ZKXNXJMVSA-N 0 0 283.711 2.952 20 5 CFBDRN Cc1ccc2oc(C(=O)O[C@H](C)C[N+](=O)[O-])cc2c1 ZINC000814044937 632000649 /nfs/dbraw/zinc/00/06/49/632000649.db2.gz LQFVEGLFKUMWTO-SECBINFHSA-N 0 0 263.249 2.563 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)COc1ccccc1C(C)(C)C ZINC000814044600 632000683 /nfs/dbraw/zinc/00/06/83/632000683.db2.gz RNCQNOCWRUXRBF-LLVKDONJSA-N 0 0 295.335 2.571 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H](C)CCc1cccc(F)c1 ZINC000814045176 632000915 /nfs/dbraw/zinc/00/09/15/632000915.db2.gz YKCIJZSTAOCVFX-GHMZBOCLSA-N 0 0 283.299 2.603 20 5 CFBDRN CCCCc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814046185 632001175 /nfs/dbraw/zinc/00/11/75/632001175.db2.gz HEVYLOCLLBHFMX-LLVKDONJSA-N 0 0 265.309 2.851 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)C[N+](=O)[O-])sc2nccc(C)c12 ZINC000814045904 632001212 /nfs/dbraw/zinc/00/12/12/632001212.db2.gz CNLLMYVFFMHXHF-QMMMGPOBSA-N 0 0 294.332 2.735 20 5 CFBDRN Cc1ccc(CCCC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814047364 632001357 /nfs/dbraw/zinc/00/13/57/632001357.db2.gz UFCGSLCNKWUDAK-LBPRGKRZSA-N 0 0 265.309 2.526 20 5 CFBDRN Cc1cc(NC[C@]2(C)CCC(=O)N2)c(Cl)cc1[N+](=O)[O-] ZINC000840314187 632019153 /nfs/dbraw/zinc/01/91/53/632019153.db2.gz BUNBSDNIGZJYLJ-ZDUSSCGKSA-N 0 0 297.742 2.637 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2CC(F)(F)C2)c2nonc21 ZINC000840314173 632019480 /nfs/dbraw/zinc/01/94/80/632019480.db2.gz BSLTYHCAOWYYFD-UHFFFAOYSA-N 0 0 298.249 2.978 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CCC(=O)N2)c(Cl)cc1[N+](=O)[O-] ZINC000840314185 632020074 /nfs/dbraw/zinc/02/00/74/632020074.db2.gz BUNBSDNIGZJYLJ-CYBMUJFWSA-N 0 0 297.742 2.637 20 5 CFBDRN O=C(NCC1CCC(F)CC1)c1cc([N+](=O)[O-])ccc1F ZINC000843727254 632040986 /nfs/dbraw/zinc/04/09/86/632040986.db2.gz OXYUBDGRUVMZSH-UHFFFAOYSA-N 0 0 298.289 2.992 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC3(CCO)CC3)sc2c1 ZINC000814261688 632048298 /nfs/dbraw/zinc/04/82/98/632048298.db2.gz FMNXGZXAMOTCRQ-UHFFFAOYSA-N 0 0 279.321 2.531 20 5 CFBDRN C[C@@H]1SCC[C@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000843748148 632048278 /nfs/dbraw/zinc/04/82/78/632048278.db2.gz VAKDTNCCFVHLKN-VNRAKMJVSA-N 0 0 292.360 2.618 20 5 CFBDRN CCN(CCSC)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000843748266 632048567 /nfs/dbraw/zinc/04/85/67/632048567.db2.gz AREVKQUWJCVJAX-TWGQIWQCSA-N 0 0 294.376 2.820 20 5 CFBDRN CCCCN(C)C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843759281 632050316 /nfs/dbraw/zinc/05/03/16/632050316.db2.gz DBNXPPDKJNMCCX-UHFFFAOYSA-N 0 0 268.288 2.914 20 5 CFBDRN CCOCCCCNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843769577 632053198 /nfs/dbraw/zinc/05/31/98/632053198.db2.gz KVTKKZNMKQKRRD-UHFFFAOYSA-N 0 0 298.314 2.589 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@H]1C ZINC000843791633 632057200 /nfs/dbraw/zinc/05/72/00/632057200.db2.gz RVJLYHADBUFMAB-KCJUWKMLSA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC1(C)C ZINC000843805335 632059441 /nfs/dbraw/zinc/05/94/41/632059441.db2.gz PJAGFKVTLNIONX-UHFFFAOYSA-N 0 0 266.272 2.667 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCC(F)(F)F ZINC000843811240 632060592 /nfs/dbraw/zinc/06/05/92/632060592.db2.gz MUXADNSMNMEZFJ-UHFFFAOYSA-N 0 0 294.204 2.725 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1[C@H](C)C[C@@H]1C ZINC000843873704 632069559 /nfs/dbraw/zinc/06/95/59/632069559.db2.gz QPBHRZHWDXTMFZ-OCAPTIKFSA-N 0 0 266.272 2.665 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000843875205 632069585 /nfs/dbraw/zinc/06/95/85/632069585.db2.gz XXQJNBPBGWJYKH-GXSJLCMTSA-N 0 0 278.283 2.571 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CSC[C@@H]1C ZINC000843885422 632071662 /nfs/dbraw/zinc/07/16/62/632071662.db2.gz YAPNDUZHMDSSHR-XVKPBYJWSA-N 0 0 298.339 2.524 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CSC[C@H]1C ZINC000843885416 632072156 /nfs/dbraw/zinc/07/21/56/632072156.db2.gz YAPNDUZHMDSSHR-GMSGAONNSA-N 0 0 298.339 2.524 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@H]1C1CC1 ZINC000843898263 632074681 /nfs/dbraw/zinc/07/46/81/632074681.db2.gz GDRBLJKJWCWFKP-NSHDSACASA-N 0 0 278.283 2.667 20 5 CFBDRN CN(C)c1cc(F)c(C(=O)OCCC2CC2)cc1[N+](=O)[O-] ZINC000814482050 632074827 /nfs/dbraw/zinc/07/48/27/632074827.db2.gz IPJFEIGMRQVMMM-UHFFFAOYSA-N 0 0 296.298 2.757 20 5 CFBDRN Cc1cc(C(=O)N(C)CCC(C)(C)C)c(N)c([N+](=O)[O-])c1 ZINC000844012175 632095883 /nfs/dbraw/zinc/09/58/83/632095883.db2.gz HAWYSTFTYOQZTK-UHFFFAOYSA-N 0 0 293.367 2.994 20 5 CFBDRN CCON(C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000844073056 632105484 /nfs/dbraw/zinc/10/54/84/632105484.db2.gz JJAGCOCJHPJSBK-UONOGXRCSA-N 0 0 292.335 2.887 20 5 CFBDRN CO[C@H]1CCC[C@@H](OC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000844259757 632147411 /nfs/dbraw/zinc/14/74/11/632147411.db2.gz RGJLMBBRNGCHJN-QWHCGFSZSA-N 0 0 293.319 2.638 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000844265112 632148833 /nfs/dbraw/zinc/14/88/33/632148833.db2.gz USJJTOCRESCAHB-GHMZBOCLSA-N 0 0 278.308 2.692 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CC[C@H](C)O2)c1 ZINC000840326935 632152684 /nfs/dbraw/zinc/15/26/84/632152684.db2.gz NANKIIUVVPRFNR-DTWKUNHWSA-N 0 0 284.287 2.722 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1cccc(Cl)c1[N+](=O)[O-] ZINC000814903000 632156803 /nfs/dbraw/zinc/15/68/03/632156803.db2.gz MLDZIOXKPJYOTC-UHFFFAOYSA-N 0 0 298.642 2.682 20 5 CFBDRN COC/C(C)=C/C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000844299859 632160902 /nfs/dbraw/zinc/16/09/02/632160902.db2.gz VGRWHAHBTBDAAV-WEVVVXLNSA-N 0 0 278.308 2.743 20 5 CFBDRN COC/C(C)=C\C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000844338301 632173089 /nfs/dbraw/zinc/17/30/89/632173089.db2.gz ZYGXUEFWLUMZJW-HIJJYWJESA-N 0 0 279.292 2.792 20 5 CFBDRN COC/C(C)=C\C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000844397157 632193941 /nfs/dbraw/zinc/19/39/41/632193941.db2.gz UGXHTZWYOOLGKP-UITAMQMPSA-N 0 0 299.710 2.884 20 5 CFBDRN COC/C(C)=C\C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000844418817 632201853 /nfs/dbraw/zinc/20/18/53/632201853.db2.gz WHJQJVOQAWDDMI-MQGYJPLLSA-N 0 0 292.335 2.673 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1C[C@H]2[C@@H](C1)C2(F)F ZINC000844452844 632217523 /nfs/dbraw/zinc/21/75/23/632217523.db2.gz NYGZFEUAODVZDD-KPXOXKRLSA-N 0 0 268.263 2.853 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000844460438 632219993 /nfs/dbraw/zinc/21/99/93/632219993.db2.gz ZKKMUGVYJUNRKF-MRVPVSSYSA-N 0 0 267.256 2.618 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1C[C@H](C)[C@H]1C ZINC000815164020 632220859 /nfs/dbraw/zinc/22/08/59/632220859.db2.gz UBFPCTPINALPGM-WDEREUQCSA-N 0 0 264.325 2.834 20 5 CFBDRN COc1cc(N)c([N+](=O)[O-])cc1C(=O)O[C@H]1CC[C@@H](C)C1 ZINC000815236688 632236691 /nfs/dbraw/zinc/23/66/91/632236691.db2.gz DQSMXGOQTNBNPU-BDAKNGLRSA-N 0 0 294.307 2.531 20 5 CFBDRN COC/C(C)=C\C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000844548348 632242820 /nfs/dbraw/zinc/24/28/20/632242820.db2.gz QHOHKDUPXYKETP-POHAHGRESA-N 0 0 279.292 2.539 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2cc3n(n2)CCCC3)ccc1F ZINC000844603609 632261136 /nfs/dbraw/zinc/26/11/36/632261136.db2.gz GKZOTNGUBIVBBQ-UHFFFAOYSA-N 0 0 290.298 2.879 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1cc(F)c[nH]1 ZINC000815393430 632267379 /nfs/dbraw/zinc/26/73/79/632267379.db2.gz WTXRYKCEFWYEOK-UHFFFAOYSA-N 0 0 282.202 2.558 20 5 CFBDRN O=C(NC1(c2ccccc2Cl)CCC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000844648135 632274762 /nfs/dbraw/zinc/27/47/62/632274762.db2.gz QRJAJPMUGMVOKC-BXKDBHETSA-N 0 0 294.738 2.501 20 5 CFBDRN Cc1cnc(CN[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)s1 ZINC000815583959 632292336 /nfs/dbraw/zinc/29/23/36/632292336.db2.gz RVFXFMOPZRMEME-VIFPVBQESA-N 0 0 292.364 2.793 20 5 CFBDRN C[C@@H](NCc1cccc(F)n1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000815597917 632295134 /nfs/dbraw/zinc/29/51/34/632295134.db2.gz IVMYVDVGXLTZEA-SECBINFHSA-N 0 0 290.298 2.562 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCCC(F)(F)C1 ZINC000844766159 632302416 /nfs/dbraw/zinc/30/24/16/632302416.db2.gz JIGZMQUWKXEVIB-DAXSKMNVSA-N 0 0 296.273 2.866 20 5 CFBDRN CO[C@H]1CCC[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)C1 ZINC000815697494 632312763 /nfs/dbraw/zinc/31/27/63/632312763.db2.gz CLMZKYSDCDGNFP-MNOVXSKESA-N 0 0 297.355 2.730 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000815988908 632348285 /nfs/dbraw/zinc/34/82/85/632348285.db2.gz ZVORBPPRFPZSKI-SKDRFNHKSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1ccc(OC(=O)c2ncoc2C2CC2)cc1[N+](=O)[O-] ZINC000815994675 632349928 /nfs/dbraw/zinc/34/99/28/632349928.db2.gz GOACXIKTRSLIJN-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN Cc1ccoc1COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000816104574 632373854 /nfs/dbraw/zinc/37/38/54/632373854.db2.gz VUOHMUDQCOXGAB-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN COC/C(C)=C/C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000845241098 632392008 /nfs/dbraw/zinc/39/20/08/632392008.db2.gz BADWJZQVQLRRHD-UQSGXBNBSA-N 0 0 279.292 2.792 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCc1coc(C)c1 ZINC000816243936 632407994 /nfs/dbraw/zinc/40/79/94/632407994.db2.gz NENRCHMDMOQQEM-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CNc1ccc(C(=O)OCc2coc(C)c2)cc1[N+](=O)[O-] ZINC000816246471 632409291 /nfs/dbraw/zinc/40/92/91/632409291.db2.gz LWIQNSMINOLHME-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN CO[C@@H](COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C1CC1 ZINC000816375632 632433319 /nfs/dbraw/zinc/43/33/19/632433319.db2.gz GTJYHPHSQKMLPF-LBPRGKRZSA-N 0 0 299.710 2.830 20 5 CFBDRN CO[C@@H](COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000816387167 632440466 /nfs/dbraw/zinc/44/04/66/632440466.db2.gz YDIFLKKXHTVSAY-YGRLFVJLSA-N 0 0 293.319 2.667 20 5 CFBDRN Cn1c(C(=O)OC[C@H]2CCCC2(F)F)ccc1[N+](=O)[O-] ZINC000816394046 632443088 /nfs/dbraw/zinc/44/30/88/632443088.db2.gz LAMSCLXOSNDYCR-MRVPVSSYSA-N 0 0 288.250 2.526 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CC2(F)F)cc([N+](=O)[O-])c1 ZINC000816415628 632447374 /nfs/dbraw/zinc/44/73/74/632447374.db2.gz YXGFNFIRTUGVRH-VIFPVBQESA-N 0 0 271.219 2.715 20 5 CFBDRN C[C@H]1COCCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000845508156 632452717 /nfs/dbraw/zinc/45/27/17/632452717.db2.gz FNESEHCVSVGDRB-MRVPVSSYSA-N 0 0 288.706 2.860 20 5 CFBDRN CNc1ccc(C(=O)OCc2ccc(C)o2)cc1[N+](=O)[O-] ZINC000816432721 632456490 /nfs/dbraw/zinc/45/64/90/632456490.db2.gz IZWGAUDWEAQFPH-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN C[C@H](COC(=O)c1coc2ccc(Cl)cc21)[N+](=O)[O-] ZINC000845577354 632468527 /nfs/dbraw/zinc/46/85/27/632468527.db2.gz TWMZTCSMVVENCL-SSDOTTSWSA-N 0 0 283.667 2.908 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCCC(F)(F)C2)c1 ZINC000845633138 632495884 /nfs/dbraw/zinc/49/58/84/632495884.db2.gz IHNMQUBVWNMTDN-UHFFFAOYSA-N 0 0 290.241 2.978 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCC(F)(F)C2)n1 ZINC000845633088 632496072 /nfs/dbraw/zinc/49/60/72/632496072.db2.gz HTUNDCOWAIIJEC-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])[C@@](C)(O)c1ccccc1 ZINC000845653574 632506007 /nfs/dbraw/zinc/50/60/07/632506007.db2.gz ASWWYJATFHWIRP-IAQYHMDHSA-N 0 0 287.319 2.698 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000845661885 632510180 /nfs/dbraw/zinc/51/01/80/632510180.db2.gz RTAKGDULUOWFJT-ONGXEEELSA-N 0 0 295.295 2.507 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C(C)(C)C1 ZINC000845697914 632525185 /nfs/dbraw/zinc/52/51/85/632525185.db2.gz IPSJGJIUXRZFHJ-SNVBAGLBSA-N 0 0 294.326 2.923 20 5 CFBDRN CC(C)[C@H](F)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000817038583 632548154 /nfs/dbraw/zinc/54/81/54/632548154.db2.gz UVROCPSPJBAYHY-LBPRGKRZSA-N 0 0 282.315 2.940 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@]3(CC3(F)F)C2)c(F)c1 ZINC000845743119 632549120 /nfs/dbraw/zinc/54/91/20/632549120.db2.gz PFSORXARNIXOTG-LLVKDONJSA-N 0 0 287.241 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3C[C@H]4[C@@H](C3)C4(F)F)sc2c1 ZINC000845753464 632552414 /nfs/dbraw/zinc/55/24/14/632552414.db2.gz NWRUCPWIHBRBKM-OCAPTIKFSA-N 0 0 297.286 2.906 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1C[C@H]2[C@@H](C1)C2(F)F ZINC000845756135 632553410 /nfs/dbraw/zinc/55/34/10/632553410.db2.gz YZSBKHNMLSNLMO-OCAPTIKFSA-N 0 0 274.654 2.950 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000845772933 632560595 /nfs/dbraw/zinc/56/05/95/632560595.db2.gz NSJQNDPDGZFDJI-MXWKQRLJSA-N 0 0 278.308 2.526 20 5 CFBDRN CC[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000817126478 632561837 /nfs/dbraw/zinc/56/18/37/632561837.db2.gz VOUHOZYQESDGRZ-KOLCDFICSA-N 0 0 295.295 2.873 20 5 CFBDRN COC1(COC(=O)c2cc([N+](=O)[O-])c(C)cc2C)CCC1 ZINC000817238561 632580906 /nfs/dbraw/zinc/58/09/06/632580906.db2.gz JVJCVHSJEYPZFT-UHFFFAOYSA-N 0 0 293.319 2.938 20 5 CFBDRN CCOCCC(=O)O[C@@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845873937 632589070 /nfs/dbraw/zinc/58/90/70/632589070.db2.gz FMRUSCBTSUGIBG-IINYFYTJSA-N 0 0 299.298 2.502 20 5 CFBDRN CCCOCC(=O)O[C@@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845873533 632589145 /nfs/dbraw/zinc/58/91/45/632589145.db2.gz DPQDARDTGJZTDK-IINYFYTJSA-N 0 0 299.298 2.502 20 5 CFBDRN C[C@H]([C@H](OC(=O)[C@@H]1C[C@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845883328 632589982 /nfs/dbraw/zinc/58/99/82/632589982.db2.gz RZXPPNLQMPPCTH-MRGUHSIWSA-N 0 0 281.283 2.731 20 5 CFBDRN Cc1ccccc1[C@H](C(C)C)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840341088 632620815 /nfs/dbraw/zinc/62/08/15/632620815.db2.gz KHMPCMSZQTUHBL-KFWWJZLASA-N 0 0 290.363 2.816 20 5 CFBDRN CC(C)[C@H](c1ccccc1)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840342664 632653406 /nfs/dbraw/zinc/65/34/06/632653406.db2.gz PCYFLWQPEDAPQG-MGPQQGTHSA-N 0 0 276.336 2.507 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC1(O)CCCC1 ZINC000817721368 632693018 /nfs/dbraw/zinc/69/30/18/632693018.db2.gz KMZVJISAJSPJJG-UHFFFAOYSA-N 0 0 293.319 2.674 20 5 CFBDRN C[C@]1(C(=O)OCCc2ccccc2[N+](=O)[O-])CC1(F)F ZINC000817722479 632693781 /nfs/dbraw/zinc/69/37/81/632693781.db2.gz PBZUGVBYSBALRA-GFCCVEGCSA-N 0 0 285.246 2.726 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC1(F)CC1 ZINC000817732185 632697370 /nfs/dbraw/zinc/69/73/70/632697370.db2.gz GJZQZHPADCYQOW-UHFFFAOYSA-N 0 0 267.256 2.871 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCC(C)(F)F ZINC000818253224 632819687 /nfs/dbraw/zinc/81/96/87/632819687.db2.gz ZMUZZXRHVDZHPE-VQHVLOKHSA-N 0 0 284.262 2.770 20 5 CFBDRN C[C@@H](F)CCNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000818292183 632823639 /nfs/dbraw/zinc/82/36/39/632823639.db2.gz YIBXSTSGGXEOMM-MRVPVSSYSA-N 0 0 290.241 2.551 20 5 CFBDRN Cc1cc(N2CCO[C@@H](CF)C2)c(Cl)cc1[N+](=O)[O-] ZINC000840356931 632824466 /nfs/dbraw/zinc/82/44/66/632824466.db2.gz FBWXGQYEKIHYJY-VIFPVBQESA-N 0 0 288.706 2.731 20 5 CFBDRN COCC[C@H](C)CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000840358157 632831102 /nfs/dbraw/zinc/83/11/02/632831102.db2.gz NGUGQEOEYUNHTB-JTQLQIEISA-N 0 0 280.324 2.882 20 5 CFBDRN C/C(=C\C(=O)N1C[C@H](C)[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000818468091 632847724 /nfs/dbraw/zinc/84/77/24/632847724.db2.gz XZKRLJFJSJYTCW-IMNITIESSA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@@H]2C[C@H]2C2CC2)c1F ZINC000847008018 632878621 /nfs/dbraw/zinc/87/86/21/632878621.db2.gz DCOBMWXODXZWMD-DTWKUNHWSA-N 0 0 296.273 2.710 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(F)=C1CCC1 ZINC000819089292 632961183 /nfs/dbraw/zinc/96/11/83/632961183.db2.gz MHPRXOPFQRCQFI-UHFFFAOYSA-N 0 0 278.283 2.961 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C(F)=C1CCC1 ZINC000819139307 632982537 /nfs/dbraw/zinc/98/25/37/632982537.db2.gz JUCWDENGKCMDKU-UHFFFAOYSA-N 0 0 294.282 2.627 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC(CO)(c2ccccc2)C1 ZINC000840377284 633028839 /nfs/dbraw/zinc/02/88/39/633028839.db2.gz SMEPAAIPZASIAD-UHFFFAOYSA-N 0 0 298.342 2.654 20 5 CFBDRN Cc1ccc(N2CC(CO)(c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000840377651 633033750 /nfs/dbraw/zinc/03/37/50/633033750.db2.gz YMLHVLINPPTBNB-UHFFFAOYSA-N 0 0 298.342 2.654 20 5 CFBDRN COC[C@H](C)CCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000847868226 633118783 /nfs/dbraw/zinc/11/87/83/633118783.db2.gz AYXBGZUWNYJDHH-FZKGZDJFSA-N 0 0 293.319 2.824 20 5 CFBDRN C[C@H]1C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])CC(C)(C)C1=O ZINC000847944746 633159088 /nfs/dbraw/zinc/15/90/88/633159088.db2.gz WZLPIBCQZWNGSM-WDEREUQCSA-N 0 0 299.367 2.759 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CCC[C@@H](CO)C2)c1 ZINC000840386124 633178526 /nfs/dbraw/zinc/17/85/26/633178526.db2.gz NDUNAWPZVHKDQK-ZJUUUORDSA-N 0 0 298.314 2.706 20 5 CFBDRN O=C(OCc1cc(C2CC2)n[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000848015601 633188079 /nfs/dbraw/zinc/18/80/79/633188079.db2.gz BCGKBBFKUFPHTA-UHFFFAOYSA-N 0 0 287.275 2.552 20 5 CFBDRN C[C@@H]1C[C@H]1C(N)=NOCc1ccc([N+](=O)[O-])cc1Cl ZINC000848518975 633273324 /nfs/dbraw/zinc/27/33/24/633273324.db2.gz KGKUPHGHZHGBAI-GMSGAONNSA-N 0 0 283.715 2.903 20 5 CFBDRN CCOc1cc(CON=C(N)[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000848520196 633274179 /nfs/dbraw/zinc/27/41/79/633274179.db2.gz NZUQTUUJPDQXBS-MWLCHTKSSA-N 0 0 293.323 2.648 20 5 CFBDRN CCOC(CCNc1c(OC)cccc1[N+](=O)[O-])OCC ZINC000848688261 633317793 /nfs/dbraw/zinc/31/77/93/633317793.db2.gz BSIKFEQQPUJACM-UHFFFAOYSA-N 0 0 298.339 2.805 20 5 CFBDRN Cc1nc(COC(=O)c2cc([N+](=O)[O-])c(C)s2)co1 ZINC000848701133 633319937 /nfs/dbraw/zinc/31/99/37/633319937.db2.gz YGEOLAOXVWWPQH-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccccc3[C@H]2CO)cc1 ZINC000848718933 633323422 /nfs/dbraw/zinc/32/34/22/633323422.db2.gz URNNSENHCHWOFN-MRXNPFEDSA-N 0 0 284.315 2.691 20 5 CFBDRN Cc1ccc(N2CCC[C@H](CO)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000840388104 633382130 /nfs/dbraw/zinc/38/21/30/633382130.db2.gz PDAKUQJYXAGQSZ-NWDGAFQWSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1c(NC(=S)NCC2CC2)cccc1[N+](=O)[O-] ZINC000849250715 633591159 /nfs/dbraw/zinc/59/11/59/633591159.db2.gz BSOJGTRWVRVFBI-UHFFFAOYSA-N 0 0 265.338 2.600 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000849627792 633645786 /nfs/dbraw/zinc/64/57/86/633645786.db2.gz NIWGBLXLFGFIAJ-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])c(C)c1)C1CCC1 ZINC000849632700 633648999 /nfs/dbraw/zinc/64/89/99/633648999.db2.gz KKPHJJXYBIDDSV-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000849633955 633649896 /nfs/dbraw/zinc/64/98/96/633649896.db2.gz QUBIDKSQXYVPMT-OFSNVTPPSA-N 0 0 291.303 2.766 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000849714990 633685766 /nfs/dbraw/zinc/68/57/66/633685766.db2.gz VSYVRPSZJDGIJI-SNVBAGLBSA-N 0 0 279.292 2.675 20 5 CFBDRN COCCCCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849721981 633689263 /nfs/dbraw/zinc/68/92/63/633689263.db2.gz RQKLACJCKMIREX-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN CO[C@@H](C)CCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849755396 633704414 /nfs/dbraw/zinc/70/44/14/633704414.db2.gz UDAXDNDHIVFMFJ-VIFPVBQESA-N 0 0 285.271 2.592 20 5 CFBDRN C/C(=C/C(=O)OC[C@@H](O)C(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000849755921 633704809 /nfs/dbraw/zinc/70/48/09/633704809.db2.gz DQPVLVFOZJZQPA-KOTGUFOOSA-N 0 0 293.319 2.558 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849779324 633715138 /nfs/dbraw/zinc/71/51/38/633715138.db2.gz DXZIOJOATCDSSP-VHSXEESVSA-N 0 0 299.298 2.696 20 5 CFBDRN O=C(OCCCC1CCC1)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000849799126 633725171 /nfs/dbraw/zinc/72/51/71/633725171.db2.gz KGSBJYOFVZDLKO-AWEZNQCLSA-N 0 0 293.319 2.752 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000850068670 633820130 /nfs/dbraw/zinc/82/01/30/633820130.db2.gz HNKMSSKFSBMGMA-LLVKDONJSA-N 0 0 293.319 2.953 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])C1CC2(CC2)C1 ZINC000850212486 633894928 /nfs/dbraw/zinc/89/49/28/633894928.db2.gz INXPVKKOCTYNGH-UHFFFAOYSA-N 0 0 261.277 2.828 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1C[C@H]2C[C@H]2C1 ZINC000850262812 633915769 /nfs/dbraw/zinc/91/57/69/633915769.db2.gz DKMBRDRZCPUHLC-MYJAWHEDSA-N 0 0 279.267 2.823 20 5 CFBDRN C[C@H]1CO[C@H](C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000885575173 633918487 /nfs/dbraw/zinc/91/84/87/633918487.db2.gz WOZVXDLNHYHCID-PELKAZGASA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@H]1CO[C@H](C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000885575282 633923702 /nfs/dbraw/zinc/92/37/02/633923702.db2.gz XQFFUEGXEKRLKU-PELKAZGASA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@H](OC(=O)[C@H]1C[C@H](C)CO1)c1ccccc1[N+](=O)[O-] ZINC000885575479 633931430 /nfs/dbraw/zinc/93/14/30/633931430.db2.gz BHDQRRFYBYGOGS-OUJBWJOFSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C1CC2(CC2(F)F)C1 ZINC000850742359 634070738 /nfs/dbraw/zinc/07/07/38/634070738.db2.gz DCJJXKQRQGFIDY-UHFFFAOYSA-N 0 0 283.230 2.936 20 5 CFBDRN CCCn1nccc1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000850770487 634085440 /nfs/dbraw/zinc/08/54/40/634085440.db2.gz UDPDMCQYCVXCPX-UHFFFAOYSA-N 0 0 289.291 2.729 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850770621 634085758 /nfs/dbraw/zinc/08/57/58/634085758.db2.gz XDNWTXBNGNMAJM-URLYPYJESA-N 0 0 261.277 2.855 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000850934367 634144092 /nfs/dbraw/zinc/14/40/92/634144092.db2.gz GLQFDAYCRIBGBF-OLZOCXBDSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@@H](COC(=O)[C@H]1CC[C@H](C2CCC2)CC1)[N+](=O)[O-] ZINC000851036263 634188824 /nfs/dbraw/zinc/18/88/24/634188824.db2.gz GVWHXDAGOXFGCA-DRZSPHRISA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@]1(c2ccccc2)CC1(C)C ZINC000851046506 634195116 /nfs/dbraw/zinc/19/51/16/634195116.db2.gz QXVOOKIUPDNPPN-NHYWBVRUSA-N 0 0 277.320 2.563 20 5 CFBDRN CCC1(C(=O)O[C@@H](C)C[N+](=O)[O-])CCC(F)(F)CC1 ZINC000851047158 634195152 /nfs/dbraw/zinc/19/51/52/634195152.db2.gz VHZASPGMIRWQOR-VIFPVBQESA-N 0 0 279.283 2.801 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000851053880 634198407 /nfs/dbraw/zinc/19/84/07/634198407.db2.gz LVQJKJLISLMCRS-OLZOCXBDSA-N 0 0 293.319 2.762 20 5 CFBDRN CC(C)(COC(=O)CCc1c[nH]c2ccccc12)[N+](=O)[O-] ZINC000851123051 634234950 /nfs/dbraw/zinc/23/49/50/634234950.db2.gz NNGIIQLHYHRUKB-UHFFFAOYSA-N 0 0 290.319 2.699 20 5 CFBDRN Cc1ccsc1[C@@H]1C[C@@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125308 634235560 /nfs/dbraw/zinc/23/55/60/634235560.db2.gz BEFZZQPFJMJFBT-ZJUUUORDSA-N 0 0 283.349 2.759 20 5 CFBDRN CC(C)(COC(=O)[C@H]1C[C@H]1c1cc(F)ccc1F)[N+](=O)[O-] ZINC000851125482 634235764 /nfs/dbraw/zinc/23/57/64/634235764.db2.gz CYYRZCNPQMTKMN-ONGXEEELSA-N 0 0 299.273 2.667 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CCc2c1cccc2Cl)[N+](=O)[O-] ZINC000851127669 634237470 /nfs/dbraw/zinc/23/74/70/634237470.db2.gz RIPDSWGTXJJYNI-NSHDSACASA-N 0 0 297.738 2.968 20 5 CFBDRN CC(C)(COC(=O)C1(Cc2ccccc2)CC1)[N+](=O)[O-] ZINC000851127580 634237596 /nfs/dbraw/zinc/23/75/96/634237596.db2.gz PGVFQNDXHNYDTO-UHFFFAOYSA-N 0 0 277.320 2.608 20 5 CFBDRN CSc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])c(C)o1 ZINC000851128899 634237606 /nfs/dbraw/zinc/23/76/06/634237606.db2.gz CRMCVLVWEPIRTG-UHFFFAOYSA-N 0 0 273.310 2.522 20 5 CFBDRN CC(C)(COC(=O)C1(Cc2ccccc2F)CC1)[N+](=O)[O-] ZINC000851127918 634237674 /nfs/dbraw/zinc/23/76/74/634237674.db2.gz ATWROKGLZFRRFX-UHFFFAOYSA-N 0 0 295.310 2.747 20 5 CFBDRN CC(C)(COC(=O)c1cnc(-c2ccco2)s1)[N+](=O)[O-] ZINC000851127225 634237770 /nfs/dbraw/zinc/23/77/70/634237770.db2.gz ZMMVQYRJFCTXCS-UHFFFAOYSA-N 0 0 296.304 2.615 20 5 CFBDRN CC[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccc(OC)cc1 ZINC000851127155 634237888 /nfs/dbraw/zinc/23/78/88/634237888.db2.gz KUSRHONUUHKEAX-ZDUSSCGKSA-N 0 0 295.335 2.787 20 5 CFBDRN C[C@H]1CC(C(=O)OCC(C)(C)[N+](=O)[O-])C[C@H](C)C1 ZINC000851127264 634237916 /nfs/dbraw/zinc/23/79/16/634237916.db2.gz MLDGLIFIVLFWPZ-NXEZZACHSA-N 0 0 257.330 2.657 20 5 CFBDRN CC(C)(COC(=O)c1ccc2c(c1)C(C)(C)CO2)[N+](=O)[O-] ZINC000851130419 634239485 /nfs/dbraw/zinc/23/94/85/634239485.db2.gz VUSJGXJMLYADBT-UHFFFAOYSA-N 0 0 293.319 2.569 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000851230366 634281971 /nfs/dbraw/zinc/28/19/71/634281971.db2.gz MZHSSCLHJHBOJM-JGPRNRPPSA-N 0 0 291.303 2.806 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC/C=C\C(F)(F)F ZINC000851286891 634306500 /nfs/dbraw/zinc/30/65/00/634306500.db2.gz GUAYUTJIAMVXFR-DJWKRKHSSA-N 0 0 289.209 2.799 20 5 CFBDRN O=C(OC[C@H]1CCC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000851314439 634319571 /nfs/dbraw/zinc/31/95/71/634319571.db2.gz YRLKMBLMIFBLLX-SECBINFHSA-N 0 0 271.219 2.797 20 5 CFBDRN Cn1c(C(=O)OCC(C)(C)C(C)(F)F)ccc1[N+](=O)[O-] ZINC000851338800 634330665 /nfs/dbraw/zinc/33/06/65/634330665.db2.gz ZBNATSSWLXFXQA-UHFFFAOYSA-N 0 0 290.266 2.772 20 5 CFBDRN CC(C)(CCC(=O)Oc1cccc(OC2CC2)c1)[N+](=O)[O-] ZINC000851347634 634333890 /nfs/dbraw/zinc/33/38/90/634333890.db2.gz UMFNQAYVCRSIQM-UHFFFAOYSA-N 0 0 293.319 2.969 20 5 CFBDRN O=C(C[C@H]1C=CCC1)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000851356223 634339897 /nfs/dbraw/zinc/33/98/97/634339897.db2.gz HJUBWQWPEHDHGG-LBPRGKRZSA-N 0 0 291.303 2.873 20 5 CFBDRN O=C(OCC[C@H]1CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000851375748 634346736 /nfs/dbraw/zinc/34/67/36/634346736.db2.gz PGPMJEKEMZTFDR-VIFPVBQESA-N 0 0 271.219 2.797 20 5 CFBDRN Cc1nn(CCC2SCCS2)c(C)c1[N+](=O)[O-] ZINC000853011327 634874081 /nfs/dbraw/zinc/87/40/81/634874081.db2.gz FXJAVMHXPIVIGN-UHFFFAOYSA-N 0 0 273.383 2.604 20 5 CFBDRN Cc1noc(COc2ccc(C)c([N+](=O)[O-])c2)c1[N+](=O)[O-] ZINC000853019003 634877726 /nfs/dbraw/zinc/87/77/26/634877726.db2.gz BKEFFTMSFGPHND-UHFFFAOYSA-N 0 0 293.235 2.687 20 5 CFBDRN CC1(C)CCCC[C@@H]1Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000853021687 634878803 /nfs/dbraw/zinc/87/88/03/634878803.db2.gz VIGDLOQJQWXSAC-SECBINFHSA-N 0 0 282.300 2.916 20 5 CFBDRN COc1cccc(NCc2onc(C)c2[N+](=O)[O-])c1F ZINC000853060667 634893058 /nfs/dbraw/zinc/89/30/58/634893058.db2.gz FOWGRHYECLKQDO-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN COc1cc(F)c(NCc2onc(C)c2[N+](=O)[O-])cc1F ZINC000853059659 634893284 /nfs/dbraw/zinc/89/32/84/634893284.db2.gz HONBYSKQJPGONG-UHFFFAOYSA-N 0 0 299.233 2.790 20 5 CFBDRN COc1cc(N(C)Cc2cccnc2)c([N+](=O)[O-])cc1C ZINC000853086718 634899216 /nfs/dbraw/zinc/89/92/16/634899216.db2.gz PAMLDHWCDQNOQF-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CCO[C@H]1CCCN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000853230544 634946166 /nfs/dbraw/zinc/94/61/66/634946166.db2.gz IWUCCGCEOWIANV-LBPRGKRZSA-N 0 0 294.351 2.917 20 5 CFBDRN CO[C@H]1CCN(c2ccc(C(F)F)cc2[N+](=O)[O-])C1 ZINC000853406710 634996057 /nfs/dbraw/zinc/99/60/57/634996057.db2.gz PWJIMELHMPDHJM-VIFPVBQESA-N 0 0 272.251 2.758 20 5 CFBDRN COC1(C)CN(c2ccc(C(F)F)cc2[N+](=O)[O-])C1 ZINC000853464759 635007326 /nfs/dbraw/zinc/00/73/26/635007326.db2.gz WRMLFPTWPFVFBG-UHFFFAOYSA-N 0 0 272.251 2.758 20 5 CFBDRN CCOC(=O)N1CC[C@@H](Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000853482640 635013331 /nfs/dbraw/zinc/01/33/31/635013331.db2.gz IAHKXQVNNMNBKQ-SECBINFHSA-N 0 0 299.352 2.607 20 5 CFBDRN CN(CC1(CO)CC1)c1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000853516130 635024936 /nfs/dbraw/zinc/02/49/36/635024936.db2.gz SARYKIXQPOSFHS-UHFFFAOYSA-N 0 0 286.278 2.741 20 5 CFBDRN Cc1nn(CN2CCC[C@@H](C3CCC3)C2)cc1[N+](=O)[O-] ZINC000853590379 635043792 /nfs/dbraw/zinc/04/37/92/635043792.db2.gz UUSIYXTXZFSLBE-CYBMUJFWSA-N 0 0 278.356 2.569 20 5 CFBDRN CO[C@@H](COc1ccc([N+](=O)[O-])cc1C=O)C1CCCC1 ZINC000853643468 635057678 /nfs/dbraw/zinc/05/76/78/635057678.db2.gz HQUJPLOZGYANEU-HNNXBMFYSA-N 0 0 293.319 2.991 20 5 CFBDRN CC(C)CC(N)=NOCc1c(F)cc([N+](=O)[O-])cc1F ZINC000853660180 635065070 /nfs/dbraw/zinc/06/50/70/635065070.db2.gz VYASYVSOILDMHV-UHFFFAOYSA-N 0 0 287.266 2.918 20 5 CFBDRN COc1cc(NC[C@@H]2CC(C)=NO2)c(Cl)cc1[N+](=O)[O-] ZINC000853660723 635065177 /nfs/dbraw/zinc/06/51/77/635065177.db2.gz DOGBCZJEPJOGBZ-QMMMGPOBSA-N 0 0 299.714 2.834 20 5 CFBDRN C[C@@]1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CC1(Cl)Cl ZINC000853662682 635066483 /nfs/dbraw/zinc/06/64/83/635066483.db2.gz QYUXXYFGVUQSGG-VIFPVBQESA-N 0 0 292.122 2.691 20 5 CFBDRN Cc1csc(NCCNc2nc(C)ccc2[N+](=O)[O-])n1 ZINC000853676629 635071290 /nfs/dbraw/zinc/07/12/90/635071290.db2.gz GVHXQLSLXUBIER-UHFFFAOYSA-N 0 0 293.352 2.587 20 5 CFBDRN COc1cc(N2CC[C@H](CCCO)C2)c([N+](=O)[O-])cc1C ZINC000853676050 635071854 /nfs/dbraw/zinc/07/18/54/635071854.db2.gz BBYRRTFGQBHJJU-LBPRGKRZSA-N 0 0 294.351 2.511 20 5 CFBDRN COC(OC)[C@@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000853681616 635072935 /nfs/dbraw/zinc/07/29/35/635072935.db2.gz TZQWTBCJNYVWSD-SECBINFHSA-N 0 0 291.307 2.562 20 5 CFBDRN CCCC[C@H](CC)C(=O)NOCc1onc(C)c1[N+](=O)[O-] ZINC000853692727 635077145 /nfs/dbraw/zinc/07/71/45/635077145.db2.gz YPLABOPRAMSQDM-JTQLQIEISA-N 0 0 299.327 2.655 20 5 CFBDRN CC[C@H](O)c1nccn1Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000853694759 635077899 /nfs/dbraw/zinc/07/78/99/635077899.db2.gz NPWDPRGBZQBRAW-LBPRGKRZSA-N 0 0 297.261 2.561 20 5 CFBDRN COc1cc(N2C[C@H]3[C@@H](C2)C3(F)F)c([N+](=O)[O-])cc1C ZINC000853714870 635082388 /nfs/dbraw/zinc/08/23/88/635082388.db2.gz AQMLQDYDXUWDQJ-DTORHVGOSA-N 0 0 284.262 2.613 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1)[C@@H](CO)Cc1ccccc1 ZINC000853743436 635090937 /nfs/dbraw/zinc/09/09/37/635090937.db2.gz PDFGEDZIDFQDJX-MRXNPFEDSA-N 0 0 286.331 2.635 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1Cn1nnc2c1CCCC2 ZINC000853748022 635092886 /nfs/dbraw/zinc/09/28/86/635092886.db2.gz GODKTYQYQAOECK-UHFFFAOYSA-N 0 0 292.726 2.767 20 5 CFBDRN O=C(NC1CC1)c1ccc(N[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000853801310 635111574 /nfs/dbraw/zinc/11/15/74/635111574.db2.gz UTEURGJEPDAIAB-NSHDSACASA-N 0 0 287.319 2.618 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000853810963 635113115 /nfs/dbraw/zinc/11/31/15/635113115.db2.gz OQQCPKUZMZHTMM-UMSPYCQHSA-N 0 0 278.308 2.777 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc2c(c1)[C@@H](O)CCCC2 ZINC000853813451 635116026 /nfs/dbraw/zinc/11/60/26/635116026.db2.gz VPEYCRALOVKEEW-INIZCTEOSA-N 0 0 299.330 2.915 20 5 CFBDRN Cc1nnc(CN(C)c2cc(C)c([N+](=O)[O-])cc2Cl)o1 ZINC000853815725 635116212 /nfs/dbraw/zinc/11/62/12/635116212.db2.gz NKBYWAQVHDMQNW-UHFFFAOYSA-N 0 0 296.714 2.884 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2COC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000853826537 635119468 /nfs/dbraw/zinc/11/94/68/635119468.db2.gz NRPSDHFIZXGNQM-LBPRGKRZSA-N 0 0 290.319 2.921 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2COC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000853828872 635121236 /nfs/dbraw/zinc/12/12/36/635121236.db2.gz QCQSZQIOFWOGLH-LBPRGKRZSA-N 0 0 290.319 2.921 20 5 CFBDRN C[C@]1(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1(F)F ZINC000853849238 635131816 /nfs/dbraw/zinc/13/18/16/635131816.db2.gz IMAQVMUYGBHTPC-SNVBAGLBSA-N 0 0 287.222 2.960 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H](CO)CC1CC1 ZINC000853869395 635140130 /nfs/dbraw/zinc/14/01/30/635140130.db2.gz SNKHPWSXZZOGRE-JTQLQIEISA-N 0 0 268.288 2.554 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H](CO)CC2CC2)c1 ZINC000853869296 635140439 /nfs/dbraw/zinc/14/04/39/635140439.db2.gz PKJFIJJFBJYQLJ-SNVBAGLBSA-N 0 0 298.314 2.563 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H](O)C12CCC2 ZINC000853870418 635141645 /nfs/dbraw/zinc/14/16/45/635141645.db2.gz KXPAOWSCXQHMGM-KGLIPLIRSA-N 0 0 290.319 2.513 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H](O)C12CCC2 ZINC000853870420 635141708 /nfs/dbraw/zinc/14/17/08/635141708.db2.gz KXPAOWSCXQHMGM-ZIAGYGMSSA-N 0 0 290.319 2.513 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)O[C@@H](C)C1 ZINC000853871015 635142582 /nfs/dbraw/zinc/14/25/82/635142582.db2.gz OQZUJVLDAIXGSR-MNOVXSKESA-N 0 0 292.335 2.801 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000853908852 635158105 /nfs/dbraw/zinc/15/81/05/635158105.db2.gz ACZJPOHNQKFUHX-SECBINFHSA-N 0 0 282.296 2.761 20 5 CFBDRN C/C(=C/C(C)(C)C)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853909809 635159307 /nfs/dbraw/zinc/15/93/07/635159307.db2.gz RIQOGEXLRUQQFP-VURMDHGXSA-N 0 0 282.296 2.927 20 5 CFBDRN Cc1noc(COC(=O)[C@H](C)CC(F)(F)F)c1[N+](=O)[O-] ZINC000853921852 635164993 /nfs/dbraw/zinc/16/49/93/635164993.db2.gz PRHRYWDWVPEUQZ-RXMQYKEDSA-N 0 0 296.201 2.523 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2C[C@@H]2C2CCCC2)c1[N+](=O)[O-] ZINC000853924159 635167164 /nfs/dbraw/zinc/16/71/64/635167164.db2.gz LHYMTIIKYIDVHM-GHMZBOCLSA-N 0 0 294.307 2.761 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC1SCCS1 ZINC000853940150 635171973 /nfs/dbraw/zinc/17/19/73/635171973.db2.gz LYANKRVLHFOVOS-UHFFFAOYSA-N 0 0 262.381 2.874 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CC1=CCSC1 ZINC000853982374 635184638 /nfs/dbraw/zinc/18/46/38/635184638.db2.gz YWHSSPVRYZVTQQ-UHFFFAOYSA-N 0 0 294.376 2.851 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@@](C)(C(F)F)C1 ZINC000853996938 635187236 /nfs/dbraw/zinc/18/72/36/635187236.db2.gz ACSXCVYOOOGMSI-CYBMUJFWSA-N 0 0 286.278 2.764 20 5 CFBDRN CC(C)(CCc1noc(-c2ccc(F)s2)n1)[N+](=O)[O-] ZINC000854061133 635201936 /nfs/dbraw/zinc/20/19/36/635201936.db2.gz XNHMYJSYBXWOJT-UHFFFAOYSA-N 0 0 285.300 2.925 20 5 CFBDRN Cc1noc([C@@H](C)OC(=O)c2cccc(C)c2[N+](=O)[O-])n1 ZINC000111987378 635242040 /nfs/dbraw/zinc/24/20/40/635242040.db2.gz BRDYJZSUNYNPQI-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN Nc1ccc(NC(=O)C[C@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000854494424 635316557 /nfs/dbraw/zinc/31/65/57/635316557.db2.gz BNOYYVWXKVOTCE-MRVPVSSYSA-N 0 0 299.277 2.941 20 5 CFBDRN CC1(C)CCC[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496434 635317485 /nfs/dbraw/zinc/31/74/85/635317485.db2.gz FWDLVKLLJJHLAX-JTQLQIEISA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@@H]1CC=C(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000854496563 635317746 /nfs/dbraw/zinc/31/77/46/635317746.db2.gz NGVUXKYBFYEPES-SECBINFHSA-N 0 0 275.308 2.862 20 5 CFBDRN CC1(C)CC(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854495687 635317824 /nfs/dbraw/zinc/31/78/24/635317824.db2.gz QTLRLUJXDQWPDT-UHFFFAOYSA-N 0 0 263.297 2.552 20 5 CFBDRN CCCCCCOCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497631 635318734 /nfs/dbraw/zinc/31/87/34/635318734.db2.gz SXXIMBOQDNTDJG-UHFFFAOYSA-N 0 0 295.339 2.712 20 5 CFBDRN Nc1ccc(NC(=O)CCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000854497455 635318923 /nfs/dbraw/zinc/31/89/23/635318923.db2.gz PBGUIVAZMRZPMJ-UHFFFAOYSA-N 0 0 291.229 2.848 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(C)(F)F ZINC000854510045 635321641 /nfs/dbraw/zinc/32/16/41/635321641.db2.gz FFLFSQGQKPQGNK-UHFFFAOYSA-N 0 0 258.224 2.805 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CC[C@H]1C(F)(F)F ZINC000854519667 635325085 /nfs/dbraw/zinc/32/50/85/635325085.db2.gz IUNSZHTZZJKWQY-VIFPVBQESA-N 0 0 292.188 2.511 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1c(F)c(C)cc([N+](=O)[O-])c1F ZINC000854607991 635349051 /nfs/dbraw/zinc/34/90/51/635349051.db2.gz UGACXAHUFRBSNB-QMMMGPOBSA-N 0 0 284.262 2.806 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000855429855 635542906 /nfs/dbraw/zinc/54/29/06/635542906.db2.gz QGNLVEMTLZQCSH-SECBINFHSA-N 0 0 298.245 2.645 20 5 CFBDRN C[C@H](C(=O)N[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000855432528 635545021 /nfs/dbraw/zinc/54/50/21/635545021.db2.gz KIXWXMLTMJAOHQ-JQWIXIFHSA-N 0 0 260.293 2.533 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCC(C)(C)F ZINC000855747870 635635696 /nfs/dbraw/zinc/63/56/96/635635696.db2.gz ZWMRITYQCJEGFS-UHFFFAOYSA-N 0 0 286.278 2.910 20 5 CFBDRN CC[C@@H](NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C1CC1 ZINC000855856209 635671639 /nfs/dbraw/zinc/67/16/39/635671639.db2.gz QGODQXQIYFKDLW-LLVKDONJSA-N 0 0 280.299 2.961 20 5 CFBDRN CC[C@](C)(NC(=O)CCC(C)(C)[N+](=O)[O-])C1CC1 ZINC000855876496 635679390 /nfs/dbraw/zinc/67/93/90/635679390.db2.gz FFYCNTCJFOTGQA-ZDUSSCGKSA-N 0 0 256.346 2.517 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NOCC1CCC1 ZINC000856344445 635786772 /nfs/dbraw/zinc/78/67/72/635786772.db2.gz ONFQUFMVMNSEGS-UHFFFAOYSA-N 0 0 278.308 2.673 20 5 CFBDRN C[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1N)C(C)(C)C ZINC000157343180 650215716 /nfs/dbraw/zinc/21/57/16/650215716.db2.gz YHMFCBCLYJQFHS-SECBINFHSA-N 0 0 279.340 2.589 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000856510153 635828722 /nfs/dbraw/zinc/82/87/22/635828722.db2.gz JIYKDDCWQPNORI-UHFFFAOYSA-N 0 0 280.711 2.873 20 5 CFBDRN CCCCCCNc1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC000856719566 635840151 /nfs/dbraw/zinc/84/01/51/635840151.db2.gz ZRXOHUHVBIONID-UHFFFAOYSA-N 0 0 281.312 2.769 20 5 CFBDRN C[C@@H]1C[C@@H](OC(=O)c2nn(C)cc2[N+](=O)[O-])CC(C)(C)C1 ZINC000857068369 635923409 /nfs/dbraw/zinc/92/34/09/635923409.db2.gz QWSOKBROEUXXMX-NXEZZACHSA-N 0 0 295.339 2.700 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H]1C[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000575633153 650224926 /nfs/dbraw/zinc/22/49/26/650224926.db2.gz LGJQEZMACHSJBB-DGFSRKRXSA-N 0 0 288.347 2.861 20 5 CFBDRN C[C@H](CC(=O)Nc1cc([N+](=O)[O-])ccc1F)[C@@H]1CCCO1 ZINC000857571845 635985480 /nfs/dbraw/zinc/98/54/80/635985480.db2.gz OAIOPXNMVAQOJR-RNCFNFMXSA-N 0 0 296.298 2.878 20 5 CFBDRN CC[C@H](C)CC(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857636403 635999559 /nfs/dbraw/zinc/99/95/59/635999559.db2.gz KRBGZNXQXXGRLN-QMMMGPOBSA-N 0 0 282.252 2.845 20 5 CFBDRN C[C@H](C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CCC1 ZINC000857636203 635999796 /nfs/dbraw/zinc/99/97/96/635999796.db2.gz HUGNBXJCDDWJGQ-QMMMGPOBSA-N 0 0 294.263 2.845 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OC2CCOCC2)c1 ZINC000158624772 650232629 /nfs/dbraw/zinc/23/26/29/650232629.db2.gz PJTQXCBVJRDYDN-UHFFFAOYSA-N 0 0 297.332 2.653 20 5 CFBDRN COC[C@H](C)NC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000858120391 636132897 /nfs/dbraw/zinc/13/28/97/636132897.db2.gz CMHQGMBJVPMKNZ-JTQLQIEISA-N 0 0 288.303 2.513 20 5 CFBDRN CCc1ccc(OC(=O)CCSC)c([N+](=O)[O-])c1 ZINC000115925981 636152637 /nfs/dbraw/zinc/15/26/37/636152637.db2.gz HQFWPCZNLXEETI-UHFFFAOYSA-N 0 0 269.322 2.816 20 5 CFBDRN Cc1cc(C)c2c(c1)N(Cn1nccc1[N+](=O)[O-])CCC2 ZINC000158957036 650242782 /nfs/dbraw/zinc/24/27/82/650242782.db2.gz ILCQTUABYOBTMC-UHFFFAOYSA-N 0 0 286.335 2.818 20 5 CFBDRN CC(C)OCCONc1ccc2cnccc2c1[N+](=O)[O-] ZINC000858553628 636290001 /nfs/dbraw/zinc/29/00/01/636290001.db2.gz RNWSZFJJKDOPLU-UHFFFAOYSA-N 0 0 291.307 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](c3ccncc3)C2)cc1 ZINC000858607883 636307605 /nfs/dbraw/zinc/30/76/05/636307605.db2.gz JENKZGSTVLBJAU-ZDUSSCGKSA-N 0 0 269.304 2.984 20 5 CFBDRN COCCC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000858660365 636319341 /nfs/dbraw/zinc/31/93/41/636319341.db2.gz AWETUCUSJQHJIT-UHFFFAOYSA-N 0 0 264.325 2.848 20 5 CFBDRN CCC[C@@H](CNc1nc2ccccn2c1[N+](=O)[O-])OCC ZINC000858657055 636319412 /nfs/dbraw/zinc/31/94/12/636319412.db2.gz SBCSUPSOZCIMNC-NSHDSACASA-N 0 0 292.339 2.860 20 5 CFBDRN Cc1cccc2c1C[C@H](Nc1cc(N)ccc1[N+](=O)[O-])CO2 ZINC000858670878 636321087 /nfs/dbraw/zinc/32/10/87/636321087.db2.gz LSKNSYCXHLUPOY-LBPRGKRZSA-N 0 0 299.330 2.901 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(S[C@@H]2COC(C)(C)C2)n1 ZINC000858676526 636322459 /nfs/dbraw/zinc/32/24/59/636322459.db2.gz HMDAZHIJGHITLR-VIFPVBQESA-N 0 0 268.338 2.958 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000858690522 636325695 /nfs/dbraw/zinc/32/56/95/636325695.db2.gz VAQPZHINVXNFBQ-SECBINFHSA-N 0 0 296.710 2.922 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000858689623 636325852 /nfs/dbraw/zinc/32/58/52/636325852.db2.gz HYNLMNMLTYRVOB-GFCCVEGCSA-N 0 0 276.292 2.577 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000858692001 636326812 /nfs/dbraw/zinc/32/68/12/636326812.db2.gz OLCYGNXAVRZRIP-JTQLQIEISA-N 0 0 294.282 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](CCF)C2)c(Cl)c1 ZINC000858797788 636346813 /nfs/dbraw/zinc/34/68/13/636346813.db2.gz TUSNOUGSOQMJDU-SNVBAGLBSA-N 0 0 288.706 2.813 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCCC3(CC3)CC2)c1 ZINC000858836271 636353926 /nfs/dbraw/zinc/35/39/26/636353926.db2.gz YLRNJVNKRPYLOV-UHFFFAOYSA-N 0 0 261.325 2.948 20 5 CFBDRN CO[C@H]1C[C@H](Nc2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000858837259 636354182 /nfs/dbraw/zinc/35/41/82/636354182.db2.gz MJTDWIUQQCOZME-XWEPSHTISA-N 0 0 279.321 2.794 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)OCC(F)(F)F)c1 ZINC000858868997 636364811 /nfs/dbraw/zinc/36/48/11/636364811.db2.gz AWWMJDPKUJLGJI-UHFFFAOYSA-N 0 0 264.203 2.833 20 5 CFBDRN Cc1ccc(F)c2c1CCCN2Cn1cc([N+](=O)[O-])cn1 ZINC000159183514 650250455 /nfs/dbraw/zinc/25/04/55/650250455.db2.gz IWUXRYOVOYSYSM-UHFFFAOYSA-N 0 0 290.298 2.649 20 5 CFBDRN CCCOC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000858885806 636371186 /nfs/dbraw/zinc/37/11/86/636371186.db2.gz RGWJUPGTWJYFPQ-UHFFFAOYSA-N 0 0 250.254 2.504 20 5 CFBDRN CCCOCCOC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000858885368 636371387 /nfs/dbraw/zinc/37/13/87/636371387.db2.gz NGDNRGRGFVOVKP-UHFFFAOYSA-N 0 0 294.307 2.521 20 5 CFBDRN CN(Cc1cc(Cl)n(C)n1)Cc1ccccc1[N+](=O)[O-] ZINC000859057995 636432497 /nfs/dbraw/zinc/43/24/97/636432497.db2.gz NNUFXNLCFSGUKR-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=C(OCCF)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000859075170 636442056 /nfs/dbraw/zinc/44/20/56/636442056.db2.gz SYPKVLQHPHBGAO-UHFFFAOYSA-N 0 0 282.271 2.665 20 5 CFBDRN CC(C)(C)CC(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859451066 636568137 /nfs/dbraw/zinc/56/81/37/636568137.db2.gz ZCGGEXVZKYPAML-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000859499229 636582527 /nfs/dbraw/zinc/58/25/27/636582527.db2.gz PHSUMZUWMFMBGO-WDEREUQCSA-N 0 0 279.292 2.563 20 5 CFBDRN CCO[C@@H](COC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000859551801 636598288 /nfs/dbraw/zinc/59/82/88/636598288.db2.gz GYXYJNZOLKUJCN-ZDUSSCGKSA-N 0 0 297.282 2.706 20 5 CFBDRN CCO[C@@H](COC(=O)c1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000859570533 636604306 /nfs/dbraw/zinc/60/43/06/636604306.db2.gz CLOHTURLXHZSEB-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000859618242 636623954 /nfs/dbraw/zinc/62/39/54/636623954.db2.gz OALGHQXLSLPZKP-ZJUUUORDSA-N 0 0 286.287 2.956 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000859765298 636684081 /nfs/dbraw/zinc/68/40/81/636684081.db2.gz IEKFAHYNKLHZJG-LBPRGKRZSA-N 0 0 299.298 2.574 20 5 CFBDRN CCC[C@H](OCC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000859839974 636719774 /nfs/dbraw/zinc/71/97/74/636719774.db2.gz UGXMFKOTRUUNSX-JTQLQIEISA-N 0 0 287.337 2.905 20 5 CFBDRN COC1CC(C(=O)O[C@@H](C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000859846964 636722868 /nfs/dbraw/zinc/72/28/68/636722868.db2.gz OBIXHFJCQUWARO-FJJSSXBZSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ncc(COC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000194261603 636766220 /nfs/dbraw/zinc/76/62/20/636766220.db2.gz QRJVTMSBTPSCGQ-UHFFFAOYSA-N 0 0 284.318 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1noc(C2CC2)n1 ZINC000048987488 650284690 /nfs/dbraw/zinc/28/46/90/650284690.db2.gz PPUDVECWAKCKRQ-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C12CC(C1)C2 ZINC000860271604 636844678 /nfs/dbraw/zinc/84/46/78/636844678.db2.gz NHEDLJWFAVZZGV-UHFFFAOYSA-N 0 0 265.240 2.577 20 5 CFBDRN CCc1nocc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000860435688 636884032 /nfs/dbraw/zinc/88/40/32/636884032.db2.gz QIOKPFAEPXBLIL-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1ccc(OC(=O)c2cnn(C3CC3)c2)c([N+](=O)[O-])c1 ZINC000860476097 636893497 /nfs/dbraw/zinc/89/34/97/636893497.db2.gz AAURUNLXNUDVSK-UHFFFAOYSA-N 0 0 287.275 2.654 20 5 CFBDRN CCC[C@H](OCC)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000860475921 636893522 /nfs/dbraw/zinc/89/35/22/636893522.db2.gz WGEMFHYZJMGBQR-ZDUSSCGKSA-N 0 0 297.307 2.714 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])C12CC(C1)C2 ZINC000860506888 636899989 /nfs/dbraw/zinc/89/99/89/636899989.db2.gz KKHLUXBMXDVRBV-UHFFFAOYSA-N 0 0 267.668 2.954 20 5 CFBDRN CCc1ccc(OC(=O)C23CC(C2)C3)c([N+](=O)[O-])c1 ZINC000860551583 636908782 /nfs/dbraw/zinc/90/87/82/636908782.db2.gz DNTZDUKNDJKAIB-UHFFFAOYSA-N 0 0 261.277 2.863 20 5 CFBDRN CCO[C@@H](C(=O)OCCc1ccccc1[N+](=O)[O-])C(C)C ZINC000860606768 636925654 /nfs/dbraw/zinc/92/56/54/636925654.db2.gz KBJKQNAMKNQMNM-CQSZACIVSA-N 0 0 295.335 2.742 20 5 CFBDRN C[C@H](COC(=O)c1cc(C2CC2)[nH]c1C1CC1)[N+](=O)[O-] ZINC000860777429 636969938 /nfs/dbraw/zinc/96/99/38/636969938.db2.gz PWWWOVGBWUPJFM-MRVPVSSYSA-N 0 0 278.308 2.592 20 5 CFBDRN CCc1oc2ccccc2c1CC(=O)OC[C@H](C)[N+](=O)[O-] ZINC000860777661 636970027 /nfs/dbraw/zinc/97/00/27/636970027.db2.gz SHDZSWLOQMQYEB-JTQLQIEISA-N 0 0 291.303 2.746 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)Cc1coc2cc(Cl)ccc12 ZINC000860787102 636972107 /nfs/dbraw/zinc/97/21/07/636972107.db2.gz JDMIEWQVVNBWQF-MRVPVSSYSA-N 0 0 297.694 2.837 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCc1ccc(Cl)c(F)c1 ZINC000860786719 636972315 /nfs/dbraw/zinc/97/23/15/636972315.db2.gz FTDRUXXNFIQWFR-QMMMGPOBSA-N 0 0 289.690 2.620 20 5 CFBDRN CCO[C@@H](C(=O)OCc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000860800514 636974961 /nfs/dbraw/zinc/97/49/61/636974961.db2.gz GTZGHVOXUIJMFN-CQSZACIVSA-N 0 0 293.319 2.762 20 5 CFBDRN O=C(/C=C\c1ccccc1Cl)OCCC[N+](=O)[O-] ZINC000860911073 637014602 /nfs/dbraw/zinc/01/46/02/637014602.db2.gz HBODUMZBHHGOLC-SREVYHEPSA-N 0 0 269.684 2.563 20 5 CFBDRN C[C@@H](SCc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860914546 637015298 /nfs/dbraw/zinc/01/52/98/637015298.db2.gz YKDKZMKSZKPRAO-LLVKDONJSA-N 0 0 283.349 2.518 20 5 CFBDRN CC(C)c1cccc(O[C@H](C)C(=O)OCCC[N+](=O)[O-])c1 ZINC000860913478 637015383 /nfs/dbraw/zinc/01/53/83/637015383.db2.gz BZFIUUSCACQZKS-GFCCVEGCSA-N 0 0 295.335 2.787 20 5 CFBDRN O=C(CSc1ccccc1Cl)OCCC[N+](=O)[O-] ZINC000860912412 637015669 /nfs/dbraw/zinc/01/56/69/637015669.db2.gz NHEUIEFSRVMLKF-UHFFFAOYSA-N 0 0 289.740 2.642 20 5 CFBDRN C[C@H](C(=O)OCCC[N+](=O)[O-])c1cccc(Cl)c1 ZINC000860915569 637016029 /nfs/dbraw/zinc/01/60/29/637016029.db2.gz STQVZTAFRSQWKD-VIFPVBQESA-N 0 0 271.700 2.654 20 5 CFBDRN CC[C@@H](C)n1ncc(C(=O)OCCC[N+](=O)[O-])c1C1CC1 ZINC000860916825 637016494 /nfs/dbraw/zinc/01/64/94/637016494.db2.gz AWQWPLJZIOTJTI-SNVBAGLBSA-N 0 0 295.339 2.555 20 5 CFBDRN Cc1c(C(=O)OCCC[N+](=O)[O-])cnn1C1CCCCC1 ZINC000860919000 637016837 /nfs/dbraw/zinc/01/68/37/637016837.db2.gz GUPDFWWSWTXXLT-UHFFFAOYSA-N 0 0 295.339 2.520 20 5 CFBDRN Cc1ccc2[nH]cc(CCC(=O)OCCC[N+](=O)[O-])c2c1 ZINC000860917829 637016925 /nfs/dbraw/zinc/01/69/25/637016925.db2.gz RHWWMRYHTPXJRU-UHFFFAOYSA-N 0 0 290.319 2.619 20 5 CFBDRN CC(C)[C@H](C(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860918117 637017026 /nfs/dbraw/zinc/01/70/26/637017026.db2.gz XTRFIDBIXYQKEK-ZDUSSCGKSA-N 0 0 265.309 2.636 20 5 CFBDRN Cc1ccc(C(=O)OCCC[N+](=O)[O-])c(F)c1Cl ZINC000860921118 637017878 /nfs/dbraw/zinc/01/78/78/637017878.db2.gz OGUKPKBPPXRGPQ-UHFFFAOYSA-N 0 0 275.663 2.611 20 5 CFBDRN O=C(CCc1ccc(Cl)c(F)c1)OCCC[N+](=O)[O-] ZINC000860923042 637018203 /nfs/dbraw/zinc/01/82/03/637018203.db2.gz LTURNBFZXDFLPR-UHFFFAOYSA-N 0 0 289.690 2.622 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1coc(C2CCCCC2)n1 ZINC000860921860 637018210 /nfs/dbraw/zinc/01/82/10/637018210.db2.gz YLEZABZIBSKIRH-UHFFFAOYSA-N 0 0 282.296 2.546 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H](F)CC1CCCCC1 ZINC000860921512 637018296 /nfs/dbraw/zinc/01/82/96/637018296.db2.gz VLLKUCJBPSUSKR-NSHDSACASA-N 0 0 261.293 2.505 20 5 CFBDRN CC1(C)CCCC[C@H]1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000861298006 637153290 /nfs/dbraw/zinc/15/32/90/637153290.db2.gz WWMSYNLVOSFNSV-GFCCVEGCSA-N 0 0 295.339 2.693 20 5 CFBDRN C[C@H](C(=O)OC[C@@H]1CC[C@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000861332175 637167207 /nfs/dbraw/zinc/16/72/07/637167207.db2.gz BWTHTMFZGLGDQT-MJVIPROJSA-N 0 0 293.319 2.809 20 5 CFBDRN CC(C)c1ccc(C(=O)OCCN2CCC2)cc1[N+](=O)[O-] ZINC000861389384 637187529 /nfs/dbraw/zinc/18/75/29/637187529.db2.gz KJDTWTWQTCLFOO-UHFFFAOYSA-N 0 0 292.335 2.581 20 5 CFBDRN CO[C@H](C)CC(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000118296612 637200905 /nfs/dbraw/zinc/20/09/05/637200905.db2.gz BDTKMETWHINQRF-MRVPVSSYSA-N 0 0 287.699 2.887 20 5 CFBDRN O=C(NOCCC1CC1)c1cccc([N+](=O)[O-])c1Cl ZINC000861823859 637239609 /nfs/dbraw/zinc/23/96/09/637239609.db2.gz NIFWIPYHSQMADB-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN CCC[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1F)OCC ZINC000862416246 637368965 /nfs/dbraw/zinc/36/89/65/637368965.db2.gz NFFQYUWDKKCDQC-LLVKDONJSA-N 0 0 298.314 2.669 20 5 CFBDRN CC[C@H](C)Cn1c(C)nc([N+](=O)[O-])c1Br ZINC000118943650 637389525 /nfs/dbraw/zinc/38/95/25/637389525.db2.gz DQELDVKJZNDYFY-LURJTMIESA-N 0 0 276.134 2.908 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2C[C@@H]3C[C@@H]3C2)cc1Cl ZINC000862555151 637424603 /nfs/dbraw/zinc/42/46/03/637424603.db2.gz IBGSPEIESRHWJH-DTORHVGOSA-N 0 0 280.711 2.649 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@H]1c1ccsc1)[N+](=O)[O-] ZINC000863009211 637536794 /nfs/dbraw/zinc/53/67/94/637536794.db2.gz NIKYWQTUJSICAI-WDEREUQCSA-N 0 0 282.365 2.556 20 5 CFBDRN C/C=C/CNC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000863113313 637560754 /nfs/dbraw/zinc/56/07/54/637560754.db2.gz UEGPXOSVDKNMPL-ONEGZZNKSA-N 0 0 268.700 2.863 20 5 CFBDRN CC[C@@H]1CCC[C@@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000119338470 637590495 /nfs/dbraw/zinc/59/04/95/637590495.db2.gz GHDOQSYALVYASV-DGCLKSJQSA-N 0 0 295.339 2.693 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@H]3C=C[C@@H]2C3)c1 ZINC000863295836 637619240 /nfs/dbraw/zinc/61/92/40/637619240.db2.gz GORSHCQGJGTQBH-UHTWSYAYSA-N 0 0 273.288 2.998 20 5 CFBDRN C[C@@H](CN(C)Cn1nccc1[N+](=O)[O-])C1CCCCC1 ZINC000863315322 637624509 /nfs/dbraw/zinc/62/45/09/637624509.db2.gz SEPJBPIZKSFYGF-LBPRGKRZSA-N 0 0 280.372 2.897 20 5 CFBDRN C[C@H](CN(C)Cn1nccc1[N+](=O)[O-])C1CCCCC1 ZINC000863315321 637624650 /nfs/dbraw/zinc/62/46/50/637624650.db2.gz SEPJBPIZKSFYGF-GFCCVEGCSA-N 0 0 280.372 2.897 20 5 CFBDRN CCCOCOc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000863325095 637627530 /nfs/dbraw/zinc/62/75/30/637627530.db2.gz TVNHZAUGOLVBDT-UHFFFAOYSA-N 0 0 255.270 2.756 20 5 CFBDRN CCC[C@H](C)CCCn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000863343613 637633166 /nfs/dbraw/zinc/63/31/66/637633166.db2.gz FKHQQGQTFRRZHD-VIFPVBQESA-N 0 0 270.289 2.916 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC2(CC2)CC1 ZINC000863643032 637724089 /nfs/dbraw/zinc/72/40/89/637724089.db2.gz PDFMZIRLLMDIRD-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN O=[N+]([O-])c1cc(CO)cc(-c2cnc(C3CC3)o2)c1 ZINC000863730115 637765165 /nfs/dbraw/zinc/76/51/65/637765165.db2.gz YIPGUEPEGRIGDZ-UHFFFAOYSA-N 0 0 260.249 2.620 20 5 CFBDRN Cc1cccc(-c2ccc(NC(=O)[C@@H]3CC3[N+](=O)[O-])nc2)c1 ZINC000863935126 637817481 /nfs/dbraw/zinc/81/74/81/637817481.db2.gz QVGNCCFUGJJXJV-ZIAGYGMSSA-N 0 0 297.314 2.661 20 5 CFBDRN CC[C@@H](C)Nc1nnc(-c2cccc([N+](=O)[O-])c2)o1 ZINC000863980441 637831152 /nfs/dbraw/zinc/83/11/52/637831152.db2.gz KWVFOLJDOUFANC-MRVPVSSYSA-N 0 0 262.269 2.855 20 5 CFBDRN C[C@H]1C[C@H]1Nc1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000864367636 637952939 /nfs/dbraw/zinc/95/29/39/637952939.db2.gz DJAAYDOKAIXMQB-POYBYMJQSA-N 0 0 264.310 2.867 20 5 CFBDRN CCCCCNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864388021 637959570 /nfs/dbraw/zinc/95/95/70/637959570.db2.gz YATJNJMGNFQBDX-UHFFFAOYSA-N 0 0 268.288 2.583 20 5 CFBDRN CCCCCNC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000864420934 637971979 /nfs/dbraw/zinc/97/19/79/637971979.db2.gz SWVKMEZICZHQTR-UHFFFAOYSA-N 0 0 279.340 2.893 20 5 CFBDRN C[C@H]1CCCCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864446870 637982557 /nfs/dbraw/zinc/98/25/57/637982557.db2.gz SMOJAQGIKMFLGZ-JTQLQIEISA-N 0 0 280.299 2.677 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1cc(Br)no1 ZINC000189449827 650382421 /nfs/dbraw/zinc/38/24/21/650382421.db2.gz ADNJJPCQHYDZQH-UHFFFAOYSA-N 0 0 299.080 2.924 20 5 CFBDRN O=[N+]([O-])c1ccc(CO)c(-c2ccc(OC3CC3)cn2)c1 ZINC000864540650 638019554 /nfs/dbraw/zinc/01/95/54/638019554.db2.gz NJACINDVIDUJIX-UHFFFAOYSA-N 0 0 286.287 2.690 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864855221 638108766 /nfs/dbraw/zinc/10/87/66/638108766.db2.gz NDGOROOFTCWOHT-UHFFFAOYSA-N 0 0 294.326 2.923 20 5 CFBDRN CCOCCCCCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000864907219 638120970 /nfs/dbraw/zinc/12/09/70/638120970.db2.gz WWMYDAMODRCJMV-UHFFFAOYSA-N 0 0 294.351 2.808 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CCC1CC1 ZINC000120293089 638126455 /nfs/dbraw/zinc/12/64/55/638126455.db2.gz HOVVLMPJXXXCLM-UHFFFAOYSA-N 0 0 264.325 2.978 20 5 CFBDRN CCC[C@@H](CC)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865039693 638168193 /nfs/dbraw/zinc/16/81/93/638168193.db2.gz BVOPZPYGBASUGR-LLVKDONJSA-N 0 0 282.315 2.971 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865139957 638202733 /nfs/dbraw/zinc/20/27/33/638202733.db2.gz AGISTXULUBIKPK-LLVKDONJSA-N 0 0 280.299 2.677 20 5 CFBDRN CCn1cnnc1SCc1ccc(C)c([N+](=O)[O-])c1 ZINC000865349081 638276235 /nfs/dbraw/zinc/27/62/35/638276235.db2.gz NLECTKBQAAPZES-UHFFFAOYSA-N 0 0 278.337 2.807 20 5 CFBDRN Cc1ccc(CSc2nnnn2C2CC2)cc1[N+](=O)[O-] ZINC000865351248 638278299 /nfs/dbraw/zinc/27/82/99/638278299.db2.gz SXNNQNSQCYUWHT-UHFFFAOYSA-N 0 0 291.336 2.517 20 5 CFBDRN CC(C)(C)SCCCn1cccc([N+](=O)[O-])c1=O ZINC000865354213 638279578 /nfs/dbraw/zinc/27/95/78/638279578.db2.gz OOVFILZDTIIROD-UHFFFAOYSA-N 0 0 270.354 2.678 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CCCC1CC1 ZINC000865357246 638280920 /nfs/dbraw/zinc/28/09/20/638280920.db2.gz HUGOCGTVFVASBM-UHFFFAOYSA-N 0 0 256.689 2.600 20 5 CFBDRN Cc1cnc(O[C@H](C)C(=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000865359020 638281636 /nfs/dbraw/zinc/28/16/36/638281636.db2.gz RNFGIFBCFPTPPU-SECBINFHSA-N 0 0 266.297 2.681 20 5 CFBDRN C[C@@H](C(=O)C(C)(C)C)n1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000865361189 638283393 /nfs/dbraw/zinc/28/33/93/638283393.db2.gz QQDIVOXTEQUSCW-ZETCQYMHSA-N 0 0 286.715 2.586 20 5 CFBDRN C[C@@H]1OCC[C@H]1COc1cc([N+](=O)[O-])c(F)cc1F ZINC000865370552 638290153 /nfs/dbraw/zinc/29/01/53/638290153.db2.gz NABRGBAJYHOWCK-YUMQZZPRSA-N 0 0 273.235 2.677 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(O[C@H](C)C(=O)C(C)(C)C)c1C ZINC000865371705 638291076 /nfs/dbraw/zinc/29/10/76/638291076.db2.gz ZXVSMLNBCNQXCV-SNVBAGLBSA-N 0 0 280.324 2.989 20 5 CFBDRN CCc1nc(C)cn1Cc1csc([N+](=O)[O-])c1 ZINC000865393185 638304028 /nfs/dbraw/zinc/30/40/28/638304028.db2.gz WZMAMKKPTJZGQD-UHFFFAOYSA-N 0 0 251.311 2.772 20 5 CFBDRN CCC(CC)Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865400461 638309899 /nfs/dbraw/zinc/30/98/99/638309899.db2.gz FAMZCYIJBCMBDF-UHFFFAOYSA-N 0 0 256.277 2.827 20 5 CFBDRN C[C@@H](O)c1ccc(N(C)Cc2ccccc2[N+](=O)[O-])nc1 ZINC000865435144 638332329 /nfs/dbraw/zinc/33/23/29/638332329.db2.gz COBXJQJZKSUMIB-LLVKDONJSA-N 0 0 287.319 2.680 20 5 CFBDRN C[C@@H](Cn1cncn1)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865481643 638369305 /nfs/dbraw/zinc/36/93/05/638369305.db2.gz RUJQIGASVYKKLM-QMMMGPOBSA-N 0 0 297.265 2.625 20 5 CFBDRN C[C@H]1CCC[C@@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865511675 638387688 /nfs/dbraw/zinc/38/76/88/638387688.db2.gz JGYQDHWVHUENMN-KWQFWETISA-N 0 0 268.288 2.827 20 5 CFBDRN C[C@H]1CC(Nc2cc(F)c([N+](=O)[O-])cc2CO)C[C@H](C)O1 ZINC000865653519 638489820 /nfs/dbraw/zinc/48/98/20/638489820.db2.gz QITWMKHZZGKPFK-IUCAKERBSA-N 0 0 298.314 2.594 20 5 CFBDRN CCSCCSc1ncc(F)cc1[N+](=O)[O-] ZINC000865678462 638507515 /nfs/dbraw/zinc/50/75/15/638507515.db2.gz KBJBJSHFWXIKCQ-UHFFFAOYSA-N 0 0 262.331 2.974 20 5 CFBDRN CN1CC[C@H](Nc2ccc([N+](=O)[O-])cc2C(F)F)CC1=O ZINC000865713275 638530859 /nfs/dbraw/zinc/53/08/59/638530859.db2.gz LKQMUFPTDRFEPO-QMMMGPOBSA-N 0 0 299.277 2.565 20 5 CFBDRN CC1(C)CC[C@@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865806068 638583461 /nfs/dbraw/zinc/58/34/61/638583461.db2.gz MVENBQWVFBKABJ-LBPRGKRZSA-N 0 0 268.288 2.827 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCC2CC(F)(F)C2)cc1F ZINC000865823862 638593694 /nfs/dbraw/zinc/59/36/94/638593694.db2.gz BILFMJXGXIHBAG-UHFFFAOYSA-N 0 0 290.241 2.683 20 5 CFBDRN Cc1n[nH]cc1CN(C)c1c(F)cc([N+](=O)[O-])cc1F ZINC000865901148 638650103 /nfs/dbraw/zinc/65/01/03/638650103.db2.gz MVPCBNPNLFXSGF-UHFFFAOYSA-N 0 0 282.250 2.541 20 5 CFBDRN CCOc1cc(N[C@@H](CC)C(OC)OC)ccc1[N+](=O)[O-] ZINC000865923876 638659226 /nfs/dbraw/zinc/65/92/26/638659226.db2.gz DAAOSPIJBFTEJD-NSHDSACASA-N 0 0 298.339 2.803 20 5 CFBDRN CCOc1cc(N[C@H](CC)C(OC)OC)ccc1[N+](=O)[O-] ZINC000865923875 638659520 /nfs/dbraw/zinc/65/95/20/638659520.db2.gz DAAOSPIJBFTEJD-LLVKDONJSA-N 0 0 298.339 2.803 20 5 CFBDRN CC[C@H](Nc1ccc(C(C)=O)cc1[N+](=O)[O-])C(OC)OC ZINC000865924556 638659543 /nfs/dbraw/zinc/65/95/43/638659543.db2.gz RODMNYOOQXKUCW-NSHDSACASA-N 0 0 296.323 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCCN(CCF)C1 ZINC000865927610 638660250 /nfs/dbraw/zinc/66/02/50/638660250.db2.gz DOQBNKXZHMHXHP-NSHDSACASA-N 0 0 285.294 2.580 20 5 CFBDRN CC(C)(F)CCNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865941306 638668215 /nfs/dbraw/zinc/66/82/15/638668215.db2.gz CIEYXTPOMPQULH-UHFFFAOYSA-N 0 0 274.267 2.776 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N[C@@H]3COC4(CCC4)C3)c2c1 ZINC000865941300 638668492 /nfs/dbraw/zinc/66/84/92/638668492.db2.gz XXFMKHRXHKRMCQ-NSHDSACASA-N 0 0 299.330 2.688 20 5 CFBDRN C[C@@]1(CNc2cc(F)c([N+](=O)[O-])cc2CO)CC1(F)F ZINC000865943048 638669702 /nfs/dbraw/zinc/66/97/02/638669702.db2.gz DRNISHKPJIIUGQ-NSHDSACASA-N 0 0 290.241 2.683 20 5 CFBDRN CC(=O)N1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000865960674 638677564 /nfs/dbraw/zinc/67/75/64/638677564.db2.gz CCIGRHHENRHPPJ-SECBINFHSA-N 0 0 299.277 2.565 20 5 CFBDRN CSC1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000865987644 638688560 /nfs/dbraw/zinc/68/85/60/638688560.db2.gz HIPJTTHLGXWJOI-UHFFFAOYSA-N 0 0 297.336 2.835 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@H]2CCCC23CC3)cc1F ZINC000865995330 638691439 /nfs/dbraw/zinc/69/14/39/638691439.db2.gz XGSUFGOSQOTIQF-ZDUSSCGKSA-N 0 0 280.299 2.971 20 5 CFBDRN CC[C@@]1(O)CCCN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000866066534 638721496 /nfs/dbraw/zinc/72/14/96/638721496.db2.gz OIQDMTBBSWOUJR-OAHLLOKOSA-N 0 0 294.351 2.653 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000866069495 638722433 /nfs/dbraw/zinc/72/24/33/638722433.db2.gz FCUDQQQHDKQZQE-PSASIEDQSA-N 0 0 285.731 2.647 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000866069116 638722756 /nfs/dbraw/zinc/72/27/56/638722756.db2.gz BMOUETKOHBXHBA-YPMHNXCESA-N 0 0 264.325 2.907 20 5 CFBDRN COc1cc(N2C[C@H](OC)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000866072038 638723473 /nfs/dbraw/zinc/72/34/73/638723473.db2.gz RZECHTVPSMQXME-CMPLNLGQSA-N 0 0 280.324 2.607 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000866114117 638743948 /nfs/dbraw/zinc/74/39/48/638743948.db2.gz VSJVLACTXCZOSK-CABNGKKXSA-N 0 0 288.347 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](O)[C@H]3CCCC[C@H]32)cc1 ZINC000866117454 638745414 /nfs/dbraw/zinc/74/54/14/638745414.db2.gz OAUISCAVGIKFOU-ZNMIVQPWSA-N 0 0 276.336 2.725 20 5 CFBDRN Cc1ccc(CNC(=O)NCC2CCCC2)cc1[N+](=O)[O-] ZINC000866140093 638754728 /nfs/dbraw/zinc/75/47/28/638754728.db2.gz GEQDBOZTJNMCCU-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000866163357 638761496 /nfs/dbraw/zinc/76/14/96/638761496.db2.gz UWZLWKLPUZORBY-TXEJJXNPSA-N 0 0 276.340 2.522 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCC23CCOCC3)c1[N+](=O)[O-] ZINC000866176106 638764130 /nfs/dbraw/zinc/76/41/30/638764130.db2.gz ZJUYEXNQFCFESG-GFCCVEGCSA-N 0 0 291.351 2.917 20 5 CFBDRN Cc1cnc(NC[C@H]2CCC23CCOCC3)c([N+](=O)[O-])c1 ZINC000866175171 638764145 /nfs/dbraw/zinc/76/41/45/638764145.db2.gz PQTVYWRSVCSEEH-GFCCVEGCSA-N 0 0 291.351 2.917 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H]2C2CCOCC2)c1 ZINC000866180094 638764621 /nfs/dbraw/zinc/76/46/21/638764621.db2.gz LDRTXJIJTAUPRG-AAEUAGOBSA-N 0 0 291.351 2.652 20 5 CFBDRN COc1c(C)ncnc1NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000866182491 638764878 /nfs/dbraw/zinc/76/48/78/638764878.db2.gz ZRECYZOZGFTQEJ-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CC(C)(CNc1ccc2ncc([N+](=O)[O-])n2n1)C(C)(F)F ZINC000866186144 638766006 /nfs/dbraw/zinc/76/60/06/638766006.db2.gz SWXWOJMDCCRBGS-UHFFFAOYSA-N 0 0 299.281 2.731 20 5 CFBDRN Cn1cc(C(F)F)c(CNc2ccc([N+](=O)[O-])cc2)n1 ZINC000866194614 638767132 /nfs/dbraw/zinc/76/71/32/638767132.db2.gz WNCVYGVCGKXMEI-UHFFFAOYSA-N 0 0 282.250 2.878 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@H]2CSC[C@H]2C1 ZINC000866202020 638769094 /nfs/dbraw/zinc/76/90/94/638769094.db2.gz RBGCYQXEHNXYHC-DTWKUNHWSA-N 0 0 299.783 2.833 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H]2CSC[C@H]2C1 ZINC000866204387 638769779 /nfs/dbraw/zinc/76/97/79/638769779.db2.gz XATNNSUUFYEAGI-DTWKUNHWSA-N 0 0 299.783 2.833 20 5 CFBDRN Cc1cc(N2C[C@@H](O)CC(C)(C)C2)c([N+](=O)[O-])s1 ZINC000866228149 638774785 /nfs/dbraw/zinc/77/47/85/638774785.db2.gz HZXYGKRSKZXTEQ-VIFPVBQESA-N 0 0 270.354 2.562 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1ccnn1C ZINC000866251972 638780740 /nfs/dbraw/zinc/78/07/40/638780740.db2.gz UGCHTLLYLAMTFN-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN C[C@H]1CCC[C@H](C2CN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)C1 ZINC000866254587 638781576 /nfs/dbraw/zinc/78/15/76/638781576.db2.gz SOLBCJFXUPAPLC-QWRGUYRKSA-N 0 0 291.351 2.958 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCN(C)c2ncccc2C1 ZINC000866295194 638790873 /nfs/dbraw/zinc/79/08/73/638790873.db2.gz VQWATGMWCCKRCW-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])cc1COC ZINC000866300188 638791717 /nfs/dbraw/zinc/79/17/17/638791717.db2.gz SIXFMSYTPYDDHP-CMPLNLGQSA-N 0 0 282.340 2.703 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000866301549 638792135 /nfs/dbraw/zinc/79/21/35/638792135.db2.gz OAUZOCPIUVTXFS-WDEREUQCSA-N 0 0 289.335 2.526 20 5 CFBDRN Cc1nc(NCC[C@H](O)C2CCCC2)ccc1[N+](=O)[O-] ZINC000866304595 638793266 /nfs/dbraw/zinc/79/32/66/638793266.db2.gz YXTTUDRSLUVPPG-ZDUSSCGKSA-N 0 0 279.340 2.651 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866507534 638832332 /nfs/dbraw/zinc/83/23/32/638832332.db2.gz DNUJOSAELMUZDZ-MFKMUULPSA-N 0 0 294.326 2.971 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])OCCC(F)(F)F ZINC000866519065 638834750 /nfs/dbraw/zinc/83/47/50/638834750.db2.gz LMBBZPCAPKGCDO-UHFFFAOYSA-N 0 0 292.213 2.773 20 5 CFBDRN Cc1cnc(OCc2cnc(Cl)s2)c([N+](=O)[O-])c1 ZINC000121707994 638886441 /nfs/dbraw/zinc/88/64/41/638886441.db2.gz QRVXVAIKZQELBD-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CC/C=C(\F)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000867732880 638895182 /nfs/dbraw/zinc/89/51/82/638895182.db2.gz FQSLCVNAQICRAV-WZUFQYTHSA-N 0 0 266.272 2.783 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCCC1(F)CCC1 ZINC000868347620 638939805 /nfs/dbraw/zinc/93/98/05/638939805.db2.gz GZCCVYVMYSHAIF-UHFFFAOYSA-N 0 0 298.289 2.675 20 5 CFBDRN CCc1ccccc1CNC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000077902027 650460754 /nfs/dbraw/zinc/46/07/54/650460754.db2.gz LZPXIANZGFRHJL-UHFFFAOYSA-N 0 0 299.330 2.669 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868848843 638986056 /nfs/dbraw/zinc/98/60/56/638986056.db2.gz ZNLHTXZXVDWTFW-WFASDCNBSA-N 0 0 294.326 2.827 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCCC2(C)C)cc1[N+](=O)[O-] ZINC000869053528 639015184 /nfs/dbraw/zinc/01/51/84/639015184.db2.gz BTWMWSYXKXEURG-UHFFFAOYSA-N 0 0 291.351 2.987 20 5 CFBDRN CN(C(=O)Cc1cccc(F)c1[N+](=O)[O-])C(C)(C)C ZINC000869114455 639031205 /nfs/dbraw/zinc/03/12/05/639031205.db2.gz FWFQNNVIILRHTE-UHFFFAOYSA-N 0 0 268.288 2.533 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000869314727 639167004 /nfs/dbraw/zinc/16/70/04/639167004.db2.gz VWRDDHRYWRDLLO-DTWKUNHWSA-N 0 0 299.710 2.830 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)c2ccc([N+](=O)[O-])o2)OC1 ZINC000869315384 639167222 /nfs/dbraw/zinc/16/72/22/639167222.db2.gz YVUDIAHVSDAYSL-SECBINFHSA-N 0 0 283.280 2.550 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000869338223 639183881 /nfs/dbraw/zinc/18/38/81/639183881.db2.gz DMODHNJDGIOHKP-UHFFFAOYSA-N 0 0 265.265 2.511 20 5 CFBDRN CCCCC(=O)COC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000869343660 639187221 /nfs/dbraw/zinc/18/72/21/639187221.db2.gz MNBQPTYGOVGZQM-UHFFFAOYSA-N 0 0 285.321 2.881 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CC(CC2CC2)C1 ZINC000869358318 639194266 /nfs/dbraw/zinc/19/42/66/639194266.db2.gz XXEDCCJLJXVFAU-UHFFFAOYSA-N 0 0 292.310 2.535 20 5 CFBDRN C[C@H](OC(=O)c1cc(F)ccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000869370976 639200461 /nfs/dbraw/zinc/20/04/61/639200461.db2.gz NHGBGLRZPNQIFH-QMMMGPOBSA-N 0 0 297.282 2.894 20 5 CFBDRN C[C@H]1OCC[C@@H]1COC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000869387043 639207283 /nfs/dbraw/zinc/20/72/83/639207283.db2.gz CDJZFPMQMLQQPG-RKDXNWHRSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)C(=O)C(C)(C)C ZINC000869415994 639218725 /nfs/dbraw/zinc/21/87/25/639218725.db2.gz QEZCEUGAULDTDZ-JTQLQIEISA-N 0 0 293.319 2.684 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2C[C@H]2C(C)(C)C)c1[N+](=O)[O-] ZINC000869648798 639338782 /nfs/dbraw/zinc/33/87/82/639338782.db2.gz ICVABVXUYJEDOC-RKDXNWHRSA-N 0 0 282.296 2.617 20 5 CFBDRN C[C@H](CNC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CC1 ZINC000122761326 639402037 /nfs/dbraw/zinc/40/20/37/639402037.db2.gz JKOQCJAYVIMTNN-SSDOTTSWSA-N 0 0 284.262 2.649 20 5 CFBDRN O=C(OCC(F)(F)C(F)F)c1c(F)cccc1[N+](=O)[O-] ZINC000869897414 639458710 /nfs/dbraw/zinc/45/87/10/639458710.db2.gz STIJFNNKDJUACI-UHFFFAOYSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000870155975 639528458 /nfs/dbraw/zinc/52/84/58/639528458.db2.gz FKKSYOGTEMAOLX-OUAUKWLOSA-N 0 0 279.292 2.622 20 5 CFBDRN CCC(C)(C)CCNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000870294293 639585088 /nfs/dbraw/zinc/58/50/88/639585088.db2.gz XOLLWQHKEJJRCK-UHFFFAOYSA-N 0 0 258.362 2.764 20 5 CFBDRN C[C@@H](COC(=O)[C@@H]1CCCC[C@@H]1C(F)(F)F)[N+](=O)[O-] ZINC000870376751 639616037 /nfs/dbraw/zinc/61/60/37/639616037.db2.gz MEBIXBYMJMNLBX-YIZRAAEISA-N 0 0 283.246 2.564 20 5 CFBDRN C[C@H](COC(=O)CC(C)(C)c1ccc(F)cc1)[N+](=O)[O-] ZINC000870377902 639616095 /nfs/dbraw/zinc/61/60/95/639616095.db2.gz SNUGDXFJXXAISB-SNVBAGLBSA-N 0 0 283.299 2.702 20 5 CFBDRN C[C@H](CCc1ccc(F)cc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000870378452 639617028 /nfs/dbraw/zinc/61/70/28/639617028.db2.gz WYVUHAPXNPZIMX-GHMZBOCLSA-N 0 0 283.299 2.603 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(SC(F)(F)F)o1 ZINC000870385296 639619073 /nfs/dbraw/zinc/61/90/73/639619073.db2.gz KOSPQRCGXBPLLP-YFKPBYRVSA-N 0 0 299.226 2.714 20 5 CFBDRN CCCCCCNC(=O)CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000078642180 650520418 /nfs/dbraw/zinc/52/04/18/650520418.db2.gz JZHWNGPWLAEUHT-UHFFFAOYSA-N 0 0 297.330 2.842 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C1=COCCC1 ZINC000870700971 639778885 /nfs/dbraw/zinc/77/88/85/639778885.db2.gz NTXMKBLZEYQONP-UHFFFAOYSA-N 0 0 291.303 2.949 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000870716685 639787353 /nfs/dbraw/zinc/78/73/53/639787353.db2.gz WPUKJAPQXXJQGO-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@H](O)Cc1ccccc1 ZINC000192479157 650563927 /nfs/dbraw/zinc/56/39/27/650563927.db2.gz UOAMZICRFATFQE-CYBMUJFWSA-N 0 0 291.278 2.716 20 5 CFBDRN O=C(CCC(F)(F)F)Oc1cccc([N+](=O)[O-])c1 ZINC000079344960 650583529 /nfs/dbraw/zinc/58/35/29/650583529.db2.gz GIMHGDGFOPUMRS-UHFFFAOYSA-N 0 0 263.171 2.843 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2N)CC1 ZINC000079404395 650588723 /nfs/dbraw/zinc/58/87/23/650588723.db2.gz NDKUJMLQFFEGHR-NSHDSACASA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC[C@H]1CC=CCC1 ZINC000192804731 650597830 /nfs/dbraw/zinc/59/78/30/650597830.db2.gz LLRLDQYTRQYXGL-NSHDSACASA-N 0 0 276.292 2.811 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)cn1 ZINC000079673615 650612152 /nfs/dbraw/zinc/61/21/52/650612152.db2.gz QUMLCLWSWFFJOD-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC2CCC3(CC3)CC2)n1 ZINC000870798694 641075636 /nfs/dbraw/zinc/07/56/36/641075636.db2.gz FLEAKVSLSHEGLS-UHFFFAOYSA-N 0 0 293.323 2.691 20 5 CFBDRN CC(C)CCCC(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870827957 641090726 /nfs/dbraw/zinc/09/07/26/641090726.db2.gz HPDHLJSELXMGEM-UHFFFAOYSA-N 0 0 295.339 2.864 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870827838 641090989 /nfs/dbraw/zinc/09/09/89/641090989.db2.gz GQSMFQVQCUPOEZ-CFGSLIKFSA-N 0 0 295.339 2.720 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])s1)[C@@H]1CCCCO1 ZINC000871162274 641225460 /nfs/dbraw/zinc/22/54/60/641225460.db2.gz AFUKUVDCQKBCLB-JTQLQIEISA-N 0 0 298.364 2.734 20 5 CFBDRN Cc1nnc(NC(=O)c2cccc([N+](=O)[O-])c2Cl)s1 ZINC000123522054 641252334 /nfs/dbraw/zinc/25/23/34/641252334.db2.gz ITXQQOGYCIRQPD-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN CCc1ccc(C(=O)OCC(F)F)cc1[N+](=O)[O-] ZINC000080118385 650644308 /nfs/dbraw/zinc/64/43/08/650644308.db2.gz VUVPBTKUAAVTDU-UHFFFAOYSA-N 0 0 259.208 2.579 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@@H]3CC=CC[C@@H]3C2)cc1[N+](=O)[O-] ZINC000871515861 641337068 /nfs/dbraw/zinc/33/70/68/641337068.db2.gz RRGUVOCQZFAPQM-OKILXGFUSA-N 0 0 286.331 2.941 20 5 CFBDRN CCCCCN1CC(=O)N[C@@H]1c1cccc([N+](=O)[O-])c1C ZINC000871600849 641364695 /nfs/dbraw/zinc/36/46/95/641364695.db2.gz FIYVBXNXPMTLCW-HNNXBMFYSA-N 0 0 291.351 2.524 20 5 CFBDRN CC(C)(C)SCn1cnc2ccc([N+](=O)[O-])cc2c1=O ZINC000871625070 641371298 /nfs/dbraw/zinc/37/12/98/641371298.db2.gz NHHRFOSJYBAJHK-UHFFFAOYSA-N 0 0 293.348 2.794 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000871631016 641374567 /nfs/dbraw/zinc/37/45/67/641374567.db2.gz CKIKEJABVBYCMR-WXHSDQCUSA-N 0 0 293.319 2.940 20 5 CFBDRN COc1ccc(OC[C@@H]2C[C@H]3CCC[C@H]3O2)c([N+](=O)[O-])c1 ZINC000871637878 641376891 /nfs/dbraw/zinc/37/68/91/641376891.db2.gz PZMIOTJYYQFVGY-SCDSUCTJSA-N 0 0 293.319 2.940 20 5 CFBDRN O=Cc1ccc(OC[C@H]2CC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000871636565 641376971 /nfs/dbraw/zinc/37/69/71/641376971.db2.gz MMWQNXCNAAIIAU-GFCCVEGCSA-N 0 0 291.303 2.745 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CSCCS2)cc1[N+](=O)[O-] ZINC000193439250 650654855 /nfs/dbraw/zinc/65/48/55/650654855.db2.gz BRIWKGAOLVZSGS-LLVKDONJSA-N 0 0 298.389 2.690 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1ccc(C)nc1Cl ZINC000871666698 641391468 /nfs/dbraw/zinc/39/14/68/641391468.db2.gz OPRVIMYNUZXOMO-UHFFFAOYSA-N 0 0 296.714 2.536 20 5 CFBDRN CCc1csc(SCCn2c(C)ncc2[N+](=O)[O-])n1 ZINC000871681244 641401322 /nfs/dbraw/zinc/40/13/22/641401322.db2.gz YWBJTTTYVNLPTR-UHFFFAOYSA-N 0 0 298.393 2.911 20 5 CFBDRN CCCOc1ccc(Br)nc1[N+](=O)[O-] ZINC000871693431 641408736 /nfs/dbraw/zinc/40/87/36/641408736.db2.gz OWWAQDFRROBLHA-UHFFFAOYSA-N 0 0 261.075 2.541 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@H]2CCOC2)cc1Cl ZINC000871693536 641408766 /nfs/dbraw/zinc/40/87/66/641408766.db2.gz CTEAIGFCESLCIR-ZETCQYMHSA-N 0 0 275.663 2.803 20 5 CFBDRN CCSCOc1ccc(Br)nc1[N+](=O)[O-] ZINC000871694275 641408924 /nfs/dbraw/zinc/40/89/24/641408924.db2.gz JQTWYHBJQAVXFC-UHFFFAOYSA-N 0 0 293.142 2.842 20 5 CFBDRN Cc1conc1CSCc1onc(C)c1[N+](=O)[O-] ZINC000871714085 641419872 /nfs/dbraw/zinc/41/98/72/641419872.db2.gz KFSITVNYTLGNGN-UHFFFAOYSA-N 0 0 269.282 2.621 20 5 CFBDRN NC(=NOCc1c(F)cc([N+](=O)[O-])cc1F)C1CCC1 ZINC000871765714 641449126 /nfs/dbraw/zinc/44/91/26/641449126.db2.gz JNEHVKIBJQRPDG-UHFFFAOYSA-N 0 0 285.250 2.672 20 5 CFBDRN COc1cc(CON=C(N)C2CCC2)c([N+](=O)[O-])cc1F ZINC000871766655 641449374 /nfs/dbraw/zinc/44/93/74/641449374.db2.gz YKMUWMDWBUNJNC-UHFFFAOYSA-N 0 0 297.286 2.541 20 5 CFBDRN Cn1cncc1CCOc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871801393 641465628 /nfs/dbraw/zinc/46/56/28/641465628.db2.gz RWQQXQCJRYXPKD-UHFFFAOYSA-N 0 0 299.689 2.742 20 5 CFBDRN CCC[C@@H](CC)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000871843787 641478242 /nfs/dbraw/zinc/47/82/42/641478242.db2.gz KIYYJJRTHGYOQB-SECBINFHSA-N 0 0 293.327 2.980 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1-c1nc(C2CCC2)no1 ZINC000872015399 641546979 /nfs/dbraw/zinc/54/69/79/641546979.db2.gz OAZWMYLUHCYIQC-UHFFFAOYSA-N 0 0 288.307 2.978 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1[C@@H](C)NCc1cc[nH]n1 ZINC000872033427 641564662 /nfs/dbraw/zinc/56/46/62/641564662.db2.gz GYEPQASFLJGTIQ-SECBINFHSA-N 0 0 292.364 2.891 20 5 CFBDRN CCn1cc(CN[C@@H](C)c2c(F)cccc2[N+](=O)[O-])cn1 ZINC000872045293 641580356 /nfs/dbraw/zinc/58/03/56/641580356.db2.gz KVCHRLNDWMZREG-JTQLQIEISA-N 0 0 292.314 2.801 20 5 CFBDRN Cc1ccc(C[N@H+]2CC[C@@H](C)C[C@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000872160866 641682214 /nfs/dbraw/zinc/68/22/14/641682214.db2.gz NSCPHYBMWFPMDP-YGRLFVJLSA-N 0 0 292.335 2.588 20 5 CFBDRN Cc1c(F)ncnc1N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000872537006 641846352 /nfs/dbraw/zinc/84/63/52/641846352.db2.gz PASNYFLLTSMQGE-UHFFFAOYSA-N 0 0 290.298 2.511 20 5 CFBDRN COc1cccc(N2CCC[C@@H](C(C)(C)O)C2)c1[N+](=O)[O-] ZINC000872674879 641892955 /nfs/dbraw/zinc/89/29/55/641892955.db2.gz WOTGQQOLXYIXRD-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN COc1cc(N2CCC[C@@H](C(C)(C)O)C2)ccc1[N+](=O)[O-] ZINC000872675716 641894250 /nfs/dbraw/zinc/89/42/50/641894250.db2.gz ICNYNIQAVQPLHK-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@H]3CCC[C@H]3O2)ccc1[N+](=O)[O-] ZINC000872697744 641902038 /nfs/dbraw/zinc/90/20/38/641902038.db2.gz QDNPNOZECWLKTR-SCDSUCTJSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1nc(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)ccc1[N+](=O)[O-] ZINC000872698454 641902533 /nfs/dbraw/zinc/90/25/33/641902533.db2.gz XOYNQAKVBCUYFW-GMXVVIOVSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@]1(C(F)(F)F)CCN(c2ncccc2[N+](=O)[O-])C1 ZINC000872730894 641915277 /nfs/dbraw/zinc/91/52/77/641915277.db2.gz RIDPWTROYUSUNT-JTQLQIEISA-N 0 0 275.230 2.769 20 5 CFBDRN COC(=O)CC[C@@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000872748773 641920009 /nfs/dbraw/zinc/92/00/09/641920009.db2.gz WUDMDHPOBFFWDJ-JTQLQIEISA-N 0 0 298.364 2.826 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000872776292 641930354 /nfs/dbraw/zinc/93/03/54/641930354.db2.gz FTOFMSFLVNLIJT-WPBUFGDCSA-N 0 0 292.335 2.973 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2c(OC)cccc2[N+](=O)[O-])C1 ZINC000872777318 641931561 /nfs/dbraw/zinc/93/15/61/641931561.db2.gz ZLMBKYUAFGBJKH-IQYSWEBNSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@H](O)c1ccccc1 ZINC000124344378 641977547 /nfs/dbraw/zinc/97/75/47/641977547.db2.gz DETYGMMPYPHCFD-ZDUSSCGKSA-N 0 0 277.251 2.846 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@@H](C)[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000873231611 642085756 /nfs/dbraw/zinc/08/57/56/642085756.db2.gz SHZSENLUXZDXGT-IJLUTSLNSA-N 0 0 291.351 2.953 20 5 CFBDRN CC[C@@H](OC(=O)c1cc(SC)ccc1[N+](=O)[O-])C(C)=O ZINC000873329998 642137757 /nfs/dbraw/zinc/13/77/57/642137757.db2.gz IQOQNKSDNKWULW-GFCCVEGCSA-N 0 0 297.332 2.841 20 5 CFBDRN CC[C@@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(C)=O ZINC000873340097 642141436 /nfs/dbraw/zinc/14/14/36/642141436.db2.gz OVZFJEWYFMFHGZ-LLVKDONJSA-N 0 0 285.683 2.773 20 5 CFBDRN CC[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)=O ZINC000873383682 642171154 /nfs/dbraw/zinc/17/11/54/642171154.db2.gz GYADGSCVOTXHLL-RNCFNFMXSA-N 0 0 279.292 2.609 20 5 CFBDRN CCc1ccc(C(=O)OC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000081811516 650728627 /nfs/dbraw/zinc/72/86/27/650728627.db2.gz KQKXCZSJDBRWIA-CYBMUJFWSA-N 0 0 293.319 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC[C@H]1CCOC1 ZINC000873438319 642204714 /nfs/dbraw/zinc/20/47/14/642204714.db2.gz IXJCXDGLSPQLLZ-GFCCVEGCSA-N 0 0 293.319 2.795 20 5 CFBDRN Cc1cnc(COC(=O)c2c(C)ccc([N+](=O)[O-])c2C)o1 ZINC000873438517 642205091 /nfs/dbraw/zinc/20/50/91/642205091.db2.gz PDZJGJJGAHGLLI-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CCCC(=O)COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873438791 642205559 /nfs/dbraw/zinc/20/55/59/642205559.db2.gz YOKNOLUGOZFCEJ-UHFFFAOYSA-N 0 0 279.292 2.738 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@@H]1CCO[C@@H]1C ZINC000873520135 642232625 /nfs/dbraw/zinc/23/26/25/642232625.db2.gz KUGODJMAJGAAQS-ZYHUDNBSSA-N 0 0 279.292 2.546 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC1CCSCC1 ZINC000873557407 642248559 /nfs/dbraw/zinc/24/85/59/642248559.db2.gz QGYIYFCWTXQLTN-UHFFFAOYSA-N 0 0 281.333 2.576 20 5 CFBDRN COc1cccc(C(=O)OC2CCSCC2)c1[N+](=O)[O-] ZINC000873556846 642249030 /nfs/dbraw/zinc/24/90/30/642249030.db2.gz RYSWAYJMMWLWQR-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN CCO[C@H]1C[C@H]1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000873560411 642250995 /nfs/dbraw/zinc/25/09/95/642250995.db2.gz DYWXGCUDZISCNC-NDMJEZRESA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@]12CCOC2)c1ccccc1[N+](=O)[O-] ZINC000873578760 642259335 /nfs/dbraw/zinc/25/93/35/642259335.db2.gz RFCXLNSRDKBEGQ-GMXABZIVSA-N 0 0 291.303 2.626 20 5 CFBDRN C[C@@H](OC(=O)/C=C/[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000873579498 642259629 /nfs/dbraw/zinc/25/96/29/642259629.db2.gz GOYDHGXNLHREBP-SVKHLYGUSA-N 0 0 291.303 2.934 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC[C@H]1CCCO1 ZINC000873930803 642309242 /nfs/dbraw/zinc/30/92/42/642309242.db2.gz BDVNCYKFTOWGRG-GFCCVEGCSA-N 0 0 292.335 2.511 20 5 CFBDRN COC[C@H](C)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124767902 642320290 /nfs/dbraw/zinc/32/02/90/642320290.db2.gz AJSWWRWZZGTRRA-MRVPVSSYSA-N 0 0 258.705 2.943 20 5 CFBDRN CCn1nccc1C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000124800462 642323928 /nfs/dbraw/zinc/32/39/28/642323928.db2.gz GAHUUSWCSVXEOO-JTQLQIEISA-N 0 0 289.291 2.729 20 5 CFBDRN O=C([O-])CCCC[NH2+]Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000874042078 642325014 /nfs/dbraw/zinc/32/50/14/642325014.db2.gz SLYOMCCHGTVCQM-UHFFFAOYSA-N 0 0 286.715 2.593 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)CC1CC1 ZINC000874092604 642336743 /nfs/dbraw/zinc/33/67/43/642336743.db2.gz XSLLQDNCMIUZFA-UHFFFAOYSA-N 0 0 262.309 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCOC(C)(C)C ZINC000874252114 642357849 /nfs/dbraw/zinc/35/78/49/642357849.db2.gz YVWCCSAPSPZAFX-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN COCCCCCNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000874251116 642357997 /nfs/dbraw/zinc/35/79/97/642357997.db2.gz FTWUZUIEBCRSJP-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@@H]2CC23CCC3)c1F ZINC000874778548 642478043 /nfs/dbraw/zinc/47/80/43/642478043.db2.gz PLRGHLLHDAPMOW-SECBINFHSA-N 0 0 296.273 2.854 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC12CCC2 ZINC000874780874 642480865 /nfs/dbraw/zinc/48/08/65/642480865.db2.gz SRWCSIASYWBHFX-GFCCVEGCSA-N 0 0 290.319 2.666 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000874782773 642482920 /nfs/dbraw/zinc/48/29/20/642482920.db2.gz QXDWUYAXNPGKOQ-CYBMUJFWSA-N 0 0 274.320 2.830 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H]1CC12CCC2 ZINC000874784468 642485535 /nfs/dbraw/zinc/48/55/35/642485535.db2.gz JHHPMGSLQVGVGJ-CQSZACIVSA-N 0 0 299.330 2.677 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000874790443 642491126 /nfs/dbraw/zinc/49/11/26/642491126.db2.gz ISFKNTDTIQGAHV-LBPRGKRZSA-N 0 0 260.293 2.576 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@H]1CC12CCC2 ZINC000874791011 642491567 /nfs/dbraw/zinc/49/15/67/642491567.db2.gz QEMPTPNSADFYPD-LBPRGKRZSA-N 0 0 292.360 2.746 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC23CCC3)ccc1[N+](=O)[O-] ZINC000874792461 642492558 /nfs/dbraw/zinc/49/25/58/642492558.db2.gz XFFFNQRSXRCUEC-LBPRGKRZSA-N 0 0 260.293 2.576 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H]1CC12CCC2 ZINC000874792632 642492748 /nfs/dbraw/zinc/49/27/48/642492748.db2.gz YZEIDAGTENFKDF-LVDDQXARSA-N 0 0 272.304 2.667 20 5 CFBDRN CC1(NC(=O)c2cccc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000874858853 642530749 /nfs/dbraw/zinc/53/07/49/642530749.db2.gz QNQIHQFWNWQABA-UHFFFAOYSA-N 0 0 270.235 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@H](CCO)C1 ZINC000125240464 642565262 /nfs/dbraw/zinc/56/52/62/642565262.db2.gz FAWMIAAVSCIUKF-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN CCO[C@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C(C)C ZINC000875054446 642581195 /nfs/dbraw/zinc/58/11/95/642581195.db2.gz SBQVUAUWCWOVCF-LBPRGKRZSA-N 0 0 284.287 2.734 20 5 CFBDRN CCc1nocc1C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000875060924 642582260 /nfs/dbraw/zinc/58/22/60/642582260.db2.gz HFDSQUOYCBVOGW-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CC1(C(=O)N2CCc3c2cccc3[N+](=O)[O-])CC(F)(F)C1 ZINC000875347081 642610953 /nfs/dbraw/zinc/61/09/53/642610953.db2.gz UTTGNJJSRDZWIZ-UHFFFAOYSA-N 0 0 296.273 2.919 20 5 CFBDRN CC(C)(C)OC(=O)NCCNc1c(F)cccc1[N+](=O)[O-] ZINC000125460104 642637433 /nfs/dbraw/zinc/63/74/33/642637433.db2.gz SJJWZCLIBSMQEM-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776360 650781503 /nfs/dbraw/zinc/78/15/03/650781503.db2.gz YQRFJSSRXKGHLP-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN CCN(C(=O)NCc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000876170709 642786650 /nfs/dbraw/zinc/78/66/50/642786650.db2.gz DMKOMQIYIVDECN-UHFFFAOYSA-N 0 0 277.324 2.597 20 5 CFBDRN Cc1ccc(CNC(=O)N2[C@H](C)CC[C@H]2C)cc1[N+](=O)[O-] ZINC000876172075 642787506 /nfs/dbraw/zinc/78/75/06/642787506.db2.gz QNMOLPLQSYLCJK-VXGBXAGGSA-N 0 0 291.351 2.986 20 5 CFBDRN C[C@@H](NC(=O)N1[C@@H](C)C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000876406178 642853804 /nfs/dbraw/zinc/85/38/04/642853804.db2.gz XKMOQETWXLSQMY-GARJFASQSA-N 0 0 277.324 2.848 20 5 CFBDRN Cc1cc(C)cc(NCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000876819969 643025009 /nfs/dbraw/zinc/02/50/09/643025009.db2.gz PRHCHRUJVHPXTK-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1c(CN(C)Cc2ccncc2)cccc1[N+](=O)[O-] ZINC000877318314 643236051 /nfs/dbraw/zinc/23/60/51/643236051.db2.gz WMOKJMDZAMWQDS-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN CCCCN(CCCO)c1ccc([N+](=O)[O-])cc1F ZINC000089265965 650832184 /nfs/dbraw/zinc/83/21/84/650832184.db2.gz KHYFVFGTZZTOAY-UHFFFAOYSA-N 0 0 270.304 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN[C@@H]3C=CCC3)nc2c1 ZINC000877624177 643410300 /nfs/dbraw/zinc/41/03/00/643410300.db2.gz MCGUFMZVHBSFLY-SECBINFHSA-N 0 0 259.265 2.544 20 5 CFBDRN Cc1ccc2c(c1)N[C@H](c1ccc([N+](=O)[O-])s1)NC2=O ZINC000877864120 643612309 /nfs/dbraw/zinc/61/23/09/643612309.db2.gz KKOFXEUAPRATDL-LBPRGKRZSA-N 0 0 289.316 2.819 20 5 CFBDRN Cc1nnc(CCOc2ccc([N+](=O)[O-])c(Cl)c2)o1 ZINC000877876792 643615975 /nfs/dbraw/zinc/61/59/75/643615975.db2.gz CWAZKMUUAOKOAV-UHFFFAOYSA-N 0 0 283.671 2.561 20 5 CFBDRN COC[C@H](Cn1nc(C)c2cc([N+](=O)[O-])cnc21)C(C)C ZINC000877878147 643617232 /nfs/dbraw/zinc/61/72/32/643617232.db2.gz MXWSHECVAQVVGC-NSHDSACASA-N 0 0 292.339 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSC(F)(F)F)cc1CO ZINC000877880490 643619107 /nfs/dbraw/zinc/61/91/07/643619107.db2.gz CJBFMEWNADPWJQ-UHFFFAOYSA-N 0 0 297.254 2.719 20 5 CFBDRN CC1CC(COc2ccc([N+](=O)[O-])c(CO)c2)C1 ZINC000877881800 643620772 /nfs/dbraw/zinc/62/07/72/643620772.db2.gz XMOZGAZXWMEVRI-UHFFFAOYSA-N 0 0 251.282 2.512 20 5 CFBDRN Cc1cc(COc2cccc([N+](=O)[O-])c2C)c(C)nn1 ZINC000877887285 643624203 /nfs/dbraw/zinc/62/42/03/643624203.db2.gz HGGRWOYIVXPFSH-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN COC[C@@H](COc1c(C)c(C)ncc1[N+](=O)[O-])C(C)C ZINC000877890484 643625897 /nfs/dbraw/zinc/62/58/97/643625897.db2.gz KHXZLFGKLADJSQ-LBPRGKRZSA-N 0 0 282.340 2.904 20 5 CFBDRN Cc1cnc(OCCSC(F)(F)F)c([N+](=O)[O-])c1 ZINC000877890210 643626160 /nfs/dbraw/zinc/62/61/60/643626160.db2.gz VXAGWFMGHFPMKA-UHFFFAOYSA-N 0 0 282.243 2.930 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000877902136 643631560 /nfs/dbraw/zinc/63/15/60/643631560.db2.gz GXLQAMSOURJHNS-SNVBAGLBSA-N 0 0 261.281 2.683 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C[C@@H]1CC2(CCC2)CO1 ZINC000877916540 643639956 /nfs/dbraw/zinc/63/99/56/643639956.db2.gz FVVDLHKTQJWLBO-AWEZNQCLSA-N 0 0 290.363 2.986 20 5 CFBDRN Cc1c(NC(=O)N2CC[C@@H](CF)C2)cccc1[N+](=O)[O-] ZINC000877952539 643660460 /nfs/dbraw/zinc/66/04/60/643660460.db2.gz PKYGWMMUFANAMN-JTQLQIEISA-N 0 0 281.287 2.727 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC=C(Cl)C2)cc1 ZINC000878793716 644029276 /nfs/dbraw/zinc/02/92/76/644029276.db2.gz JADIGXNSNRPNLA-UHFFFAOYSA-N 0 0 252.701 2.923 20 5 CFBDRN CCCCC[C@H](C)NC(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000879222481 644180935 /nfs/dbraw/zinc/18/09/35/644180935.db2.gz PEPXGWMAGMBTOL-NSHDSACASA-N 0 0 294.355 2.810 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@H](CF)C1 ZINC000879227512 644181979 /nfs/dbraw/zinc/18/19/79/644181979.db2.gz HKDJAJHMQXONMD-SNVBAGLBSA-N 0 0 281.287 2.808 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@@H](OC)CC[C@H]1C ZINC000879317872 644222658 /nfs/dbraw/zinc/22/26/58/644222658.db2.gz MUQCIZYWHKUVEU-RISCZKNCSA-N 0 0 294.351 2.603 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000879322284 644227136 /nfs/dbraw/zinc/22/71/36/644227136.db2.gz UKWKQHQBMASILZ-GXFFZTMASA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1c(CNC(=O)C[C@@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000879724604 644395280 /nfs/dbraw/zinc/39/52/80/644395280.db2.gz HEQNLMLIBWYIJJ-KBPBESRZSA-N 0 0 288.347 2.956 20 5 CFBDRN CCON(CC)[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000879796729 644428241 /nfs/dbraw/zinc/42/82/41/644428241.db2.gz SQOHYWUZNHPYCX-LLVKDONJSA-N 0 0 295.339 2.504 20 5 CFBDRN Cc1nn(C)c(N2C[C@H]3[C@@H]4CC[C@@H](C4)[C@]3(C)C2)c1[N+](=O)[O-] ZINC000957077933 650935298 /nfs/dbraw/zinc/93/52/98/650935298.db2.gz UUXHFFSQNDOEGV-YXMPFFBPSA-N 0 0 290.367 2.509 20 5 CFBDRN CCOc1cc(NC(=O)N[C@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000888829258 644805624 /nfs/dbraw/zinc/80/56/24/644805624.db2.gz BTEOMLUPCXTGKJ-JTQLQIEISA-N 0 0 291.307 2.834 20 5 CFBDRN O=C(NC1CSC1)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000880739668 644821756 /nfs/dbraw/zinc/82/17/56/644821756.db2.gz PRDRMOVGBRESEM-UHFFFAOYSA-N 0 0 294.357 2.655 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]2CCCC[C@@H]21 ZINC000211207760 644852600 /nfs/dbraw/zinc/85/26/00/644852600.db2.gz IQTYABWPUOCXAQ-HZMBPMFUSA-N 0 0 289.335 2.582 20 5 CFBDRN CC1(C)CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000094332534 650956472 /nfs/dbraw/zinc/95/64/72/650956472.db2.gz MKGUBFBJWNMCET-CYBMUJFWSA-N 0 0 291.351 2.876 20 5 CFBDRN C[C@H](NC(=O)N[C@@H](C)C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000881277226 644941944 /nfs/dbraw/zinc/94/19/44/644941944.db2.gz SCMMCVMNUZVBIR-YUMQZZPRSA-N 0 0 287.266 2.609 20 5 CFBDRN C[C@@H](C(=O)NC[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000881496436 644996434 /nfs/dbraw/zinc/99/64/34/644996434.db2.gz HTPFFZKYCLPMMM-MNOVXSKESA-N 0 0 292.310 2.920 20 5 CFBDRN CC1(C)CC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)OC1 ZINC000881508639 645006455 /nfs/dbraw/zinc/00/64/55/645006455.db2.gz DRRYFGROHCQOSJ-ZDUSSCGKSA-N 0 0 292.335 2.530 20 5 CFBDRN CC(C)(CNC(=O)CCC(C)(C)[N+](=O)[O-])CC(F)F ZINC000881538436 645030826 /nfs/dbraw/zinc/03/08/26/645030826.db2.gz IVMKWAQXHSPEFI-UHFFFAOYSA-N 0 0 280.315 2.620 20 5 CFBDRN C=C/C=C\CCNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000881566281 645045408 /nfs/dbraw/zinc/04/54/08/645045408.db2.gz XEPUMVOLECKMOG-PLNGDYQASA-N 0 0 274.320 2.694 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N1CCC(F)CC1 ZINC000881604819 645074826 /nfs/dbraw/zinc/07/48/26/645074826.db2.gz DGRCUDJGXZCKII-UHFFFAOYSA-N 0 0 298.339 2.647 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](CF)C1 ZINC000881675925 645102899 /nfs/dbraw/zinc/10/28/99/645102899.db2.gz BPJMILIWLGCCED-RUNBWSAHSA-N 0 0 292.310 2.816 20 5 CFBDRN CC(C)COCCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000881775627 645125695 /nfs/dbraw/zinc/12/56/95/645125695.db2.gz YNCXZGIDDVONER-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN CC[C@H](O)CCCNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882015232 645176237 /nfs/dbraw/zinc/17/62/37/645176237.db2.gz RSRAZCSBZJIUMH-NSHDSACASA-N 0 0 280.324 2.760 20 5 CFBDRN CC[C@@H](O)CCCNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882015231 645176349 /nfs/dbraw/zinc/17/63/49/645176349.db2.gz RSRAZCSBZJIUMH-LLVKDONJSA-N 0 0 280.324 2.760 20 5 CFBDRN CC(C)C(=O)N(C)COc1ccc([N+](=O)[O-])cc1Cl ZINC000882035843 645183254 /nfs/dbraw/zinc/18/32/54/645183254.db2.gz QMRVVXFUHYMILK-UHFFFAOYSA-N 0 0 286.715 2.699 20 5 CFBDRN CC(C)C(=O)N(C)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000882060880 645189898 /nfs/dbraw/zinc/18/98/98/645189898.db2.gz XUOSJDIIOMTAAZ-UHFFFAOYSA-N 0 0 286.715 2.699 20 5 CFBDRN CCC[C@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)CCO1 ZINC000882137563 645216274 /nfs/dbraw/zinc/21/62/74/645216274.db2.gz NYGLMICKCQRALQ-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(C)COC(=O)N(C)CSc1ccc([N+](=O)[O-])cn1 ZINC000882146782 645218353 /nfs/dbraw/zinc/21/83/53/645218353.db2.gz CKFNHWXLBPDCOQ-UHFFFAOYSA-N 0 0 299.352 2.764 20 5 CFBDRN O=[N+]([O-])c1cn(CCCOC(F)(F)F)nc1C1CC1 ZINC000882154881 645221627 /nfs/dbraw/zinc/22/16/27/645221627.db2.gz BFGRZHRBQFCZAT-UHFFFAOYSA-N 0 0 279.218 2.595 20 5 CFBDRN CC1(C)C[C@H](Nc2ccnc(F)c2[N+](=O)[O-])C(C)(C)O1 ZINC000882165526 645227083 /nfs/dbraw/zinc/22/70/83/645227083.db2.gz AQSBNBNZLHBBRM-VIFPVBQESA-N 0 0 283.303 2.887 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC=C(F)C1 ZINC000882168284 645228095 /nfs/dbraw/zinc/22/80/95/645228095.db2.gz WPUQMXMYUHAWSZ-UHFFFAOYSA-N 0 0 264.256 2.861 20 5 CFBDRN CC(C)OCCCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882173750 645230001 /nfs/dbraw/zinc/23/00/01/645230001.db2.gz ILMFWWRAOVMPRL-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN C[C@@H](CN(C)c1ccnc(F)c1[N+](=O)[O-])c1nccs1 ZINC000882180656 645234367 /nfs/dbraw/zinc/23/43/67/645234367.db2.gz NXWZAHVBMRPLKI-QMMMGPOBSA-N 0 0 296.327 2.825 20 5 CFBDRN CCn1ccc(CN(C)c2ccnc(F)c2[N+](=O)[O-])c1 ZINC000882246770 645253505 /nfs/dbraw/zinc/25/35/05/645253505.db2.gz PCRYCHCFEBRRFM-UHFFFAOYSA-N 0 0 278.287 2.587 20 5 CFBDRN CSC1(CNc2ccnc(F)c2[N+](=O)[O-])CCC1 ZINC000882277467 645259805 /nfs/dbraw/zinc/25/98/05/645259805.db2.gz LRKHRCVYPIHEIZ-UHFFFAOYSA-N 0 0 271.317 2.827 20 5 CFBDRN O=[N+]([O-])c1c(Br)cc(F)cc1NCCF ZINC000882300347 645269925 /nfs/dbraw/zinc/26/99/25/645269925.db2.gz NYDGHUZYVGSZJL-UHFFFAOYSA-N 0 0 281.056 2.878 20 5 CFBDRN CC(=O)c1c(NC[C@@H]2CCOC[C@H]2C)cccc1[N+](=O)[O-] ZINC000882351835 645289820 /nfs/dbraw/zinc/28/98/20/645289820.db2.gz DCEWRPOECRMVPH-PWSUYJOCSA-N 0 0 292.335 2.882 20 5 CFBDRN C[C@@H](O)CCOc1cccc(Br)c1[N+](=O)[O-] ZINC000882362794 645290835 /nfs/dbraw/zinc/29/08/35/645290835.db2.gz CBQBILZYZLWEAM-SSDOTTSWSA-N 0 0 290.113 2.507 20 5 CFBDRN CCC(=O)COc1cccc(Br)c1[N+](=O)[O-] ZINC000882363756 645291516 /nfs/dbraw/zinc/29/15/16/645291516.db2.gz KACVISUHTRKRJM-UHFFFAOYSA-N 0 0 288.097 2.715 20 5 CFBDRN COC[C@]1(C)CCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882409030 645303579 /nfs/dbraw/zinc/30/35/79/645303579.db2.gz UWZVPHFSHVPVCK-OAHLLOKOSA-N 0 0 292.335 2.660 20 5 CFBDRN CO[C@H]1CCN(c2cccc([N+](=O)[O-])c2C(C)=O)C[C@@H]1C ZINC000882420009 645306228 /nfs/dbraw/zinc/30/62/28/645306228.db2.gz WQUBRWWSCZRDNY-HZMBPMFUSA-N 0 0 292.335 2.659 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@@]2(CCSC2)C1 ZINC000882432098 645311111 /nfs/dbraw/zinc/31/11/11/645311111.db2.gz MXNYFLRURXPBMP-CYBMUJFWSA-N 0 0 297.355 2.852 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1c1ccnc(F)c1[N+](=O)[O-] ZINC000882467115 645330050 /nfs/dbraw/zinc/33/00/50/645330050.db2.gz AYLFAIYVRSFZLX-HRDYMLBCSA-N 0 0 253.277 2.610 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000129988632 645438802 /nfs/dbraw/zinc/43/88/02/645438802.db2.gz FLZZNOAYIZKQOI-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC1(CNc2ncc([N+](=O)[O-])cc2F)CC(F)(F)C1 ZINC000882775331 645451990 /nfs/dbraw/zinc/45/19/90/645451990.db2.gz ZCHWDZPVQFBMHJ-UHFFFAOYSA-N 0 0 275.230 2.976 20 5 CFBDRN C=C/C=C/CCNc1nccc(C(=O)OCC)c1[N+](=O)[O-] ZINC000882796315 645458612 /nfs/dbraw/zinc/45/86/12/645458612.db2.gz QRTVXOGYYYXBAU-AATRIKPKSA-N 0 0 291.307 2.711 20 5 CFBDRN CO[C@H]1C[C@H](CNc2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000882797209 645459284 /nfs/dbraw/zinc/45/92/84/645459284.db2.gz BAQGUCGOXMXZRM-UMSPYCQHSA-N 0 0 278.308 2.634 20 5 CFBDRN CO[C@H]1C[C@H](CNc2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000882797031 645459659 /nfs/dbraw/zinc/45/96/59/645459659.db2.gz NGSPHSGTDHEXNT-MJHDQNEOSA-N 0 0 287.319 2.980 20 5 CFBDRN COc1cccc(N2CCC[C@@H](CF)C2)c1[N+](=O)[O-] ZINC000882802373 645464071 /nfs/dbraw/zinc/46/40/71/645464071.db2.gz ARQHKWAZLGOVMB-JTQLQIEISA-N 0 0 268.288 2.789 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC[C@H](CF)C2)s1 ZINC000882802699 645464212 /nfs/dbraw/zinc/46/42/12/645464212.db2.gz MZCNQPLBSKAQSL-MRVPVSSYSA-N 0 0 296.327 2.785 20 5 CFBDRN COc1cc(N2CCC(F)CC2)ccc1[N+](=O)[O-] ZINC000882806776 645466418 /nfs/dbraw/zinc/46/64/18/645466418.db2.gz RFBZYEGKAVPABA-UHFFFAOYSA-N 0 0 254.261 2.542 20 5 CFBDRN CCOc1cc(N2CC[C@@H](CF)C2)ccc1[N+](=O)[O-] ZINC000882841446 645476330 /nfs/dbraw/zinc/47/63/30/645476330.db2.gz WLPBKOSEFGSJED-JTQLQIEISA-N 0 0 268.288 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](CF)C2)c2ccncc21 ZINC000882842095 645477295 /nfs/dbraw/zinc/47/72/95/645477295.db2.gz MXBTVFNVMGTADM-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H](CF)C2)c(F)c1 ZINC000882841909 645477590 /nfs/dbraw/zinc/47/75/90/645477590.db2.gz IGISMWVGWACUGT-ZETCQYMHSA-N 0 0 260.215 2.669 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](c3nccs3)C2)s1 ZINC000882857648 645480052 /nfs/dbraw/zinc/48/00/52/645480052.db2.gz NVDCVISRDXIUAC-SSDOTTSWSA-N 0 0 282.350 2.502 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](c2nccs2)C1 ZINC000882860634 645480735 /nfs/dbraw/zinc/48/07/35/645480735.db2.gz HFMMECPVWFVWLQ-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN Cc1ccc(NC[C@H](CO)Cc2ccoc2)c([N+](=O)[O-])c1 ZINC000882866146 645482056 /nfs/dbraw/zinc/48/20/56/645482056.db2.gz FPNNLTHIVCHBMU-CYBMUJFWSA-N 0 0 290.319 2.759 20 5 CFBDRN COCC[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000130321134 645484757 /nfs/dbraw/zinc/48/47/57/645484757.db2.gz BRYKUYMFKAKCJU-CYBMUJFWSA-N 0 0 281.308 2.813 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])cn2)OCCc2ccccc21 ZINC000882926963 645514315 /nfs/dbraw/zinc/51/43/15/645514315.db2.gz FDIBCOGQOZRSQT-INIZCTEOSA-N 0 0 299.330 2.890 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])c(C)nn1CC)[C@@H]1CCCOC1 ZINC000882954888 645526560 /nfs/dbraw/zinc/52/65/60/645526560.db2.gz XZBDSYLBRWAMEH-NEPJUHHUSA-N 0 0 296.371 2.737 20 5 CFBDRN Cc1cn(C[C@@H]2CC(C)(C)OC2(C)C)nc1[N+](=O)[O-] ZINC000882989748 645547513 /nfs/dbraw/zinc/54/75/13/645547513.db2.gz GWOQBEALTXJZAS-JTQLQIEISA-N 0 0 267.329 2.693 20 5 CFBDRN COc1cccc(NC2CCC3(COC3)CC2)c1[N+](=O)[O-] ZINC000882995364 645551096 /nfs/dbraw/zinc/55/10/96/645551096.db2.gz UUKZUFGLDGUBIQ-UHFFFAOYSA-N 0 0 292.335 2.975 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC1CCC2(COC2)CC1 ZINC000882995915 645552755 /nfs/dbraw/zinc/55/27/55/645552755.db2.gz RYTZAERJXLAPTI-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN CCC[C@@H](C)[C@H]1CCC[N@@H+]1Cn1cc([N+](=O)[O-])cn1 ZINC000130787358 645570416 /nfs/dbraw/zinc/57/04/16/645570416.db2.gz ILIVNWQHJIYPDE-DGCLKSJQSA-N 0 0 266.345 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc(SC2CCC3(CC2)OCCO3)nc1 ZINC000131113176 645628561 /nfs/dbraw/zinc/62/85/61/645628561.db2.gz RZZSKMBVBQTULP-UHFFFAOYSA-N 0 0 296.348 2.768 20 5 CFBDRN O=C(Cn1ccc([N+](=O)[O-])n1)Nc1ccc2ccccc2c1 ZINC000097464175 651029141 /nfs/dbraw/zinc/02/91/41/651029141.db2.gz RJONCBMBRQVAHV-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000131160269 645638329 /nfs/dbraw/zinc/63/83/29/645638329.db2.gz YQQVDSMHWNRFCK-PELKAZGASA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1noc(C)c1CC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131680493 645780163 /nfs/dbraw/zinc/78/01/63/645780163.db2.gz RGSAWDQWAWSZKM-UHFFFAOYSA-N 0 0 290.275 2.656 20 5 CFBDRN Cc1ccc(OC(=O)CC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000131713497 645792541 /nfs/dbraw/zinc/79/25/41/645792541.db2.gz STISKVLCERDBOZ-NSHDSACASA-N 0 0 279.292 2.625 20 5 CFBDRN CCCSCC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131862967 645839630 /nfs/dbraw/zinc/83/96/30/645839630.db2.gz YBKNHFZFUSROKC-UHFFFAOYSA-N 0 0 269.322 2.952 20 5 CFBDRN C[C@@H](O)[C@@H]1CCCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000305118181 651050412 /nfs/dbraw/zinc/05/04/12/651050412.db2.gz GEGLIJJYHRMNRX-MFKMUULPSA-N 0 0 298.770 2.984 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C1 ZINC000132249618 645900419 /nfs/dbraw/zinc/90/04/19/645900419.db2.gz URHUMDYDFDIHQM-YUMQZZPRSA-N 0 0 284.262 2.791 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@H](C)CO1 ZINC000889053087 645979195 /nfs/dbraw/zinc/97/91/95/645979195.db2.gz DZGTZSJDZORTFR-MADCSZMMSA-N 0 0 299.710 2.887 20 5 CFBDRN CC[C@@H](C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000133108356 646031332 /nfs/dbraw/zinc/03/13/32/646031332.db2.gz UVAOYEQDWDQLNB-MRVPVSSYSA-N 0 0 255.245 2.728 20 5 CFBDRN Cc1cccc(C(=O)OC[C@H](F)C(F)(F)F)c1[N+](=O)[O-] ZINC000800077281 646127495 /nfs/dbraw/zinc/12/74/95/646127495.db2.gz LAHGYRJEWQTIBA-QMMMGPOBSA-N 0 0 295.188 2.960 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000800085197 646131620 /nfs/dbraw/zinc/13/16/20/646131620.db2.gz VXULMOZRCMNYIG-QMMMGPOBSA-N 0 0 281.161 2.652 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NC1CCC=CCC1 ZINC000884101479 646214501 /nfs/dbraw/zinc/21/45/01/646214501.db2.gz ZVMSKUHHRIUCFW-UHFFFAOYSA-N 0 0 289.335 2.893 20 5 CFBDRN COc1cc(C(=O)NCCC2CCC2)ccc1[N+](=O)[O-] ZINC000133754535 646220453 /nfs/dbraw/zinc/22/04/53/646220453.db2.gz AUTDCDCCFFAKPK-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c1 ZINC000134026808 646267198 /nfs/dbraw/zinc/26/71/98/646267198.db2.gz VOEGXIUIVBFZIB-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000134258988 646315487 /nfs/dbraw/zinc/31/54/87/646315487.db2.gz XBYRLVKAXGEOPU-SSDOTTSWSA-N 0 0 288.394 2.836 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@H]1C ZINC000134766171 646383131 /nfs/dbraw/zinc/38/31/31/646383131.db2.gz MVGGGYRHAXTVSP-DTWKUNHWSA-N 0 0 298.339 2.700 20 5 CFBDRN CSCCCCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000134795834 646384646 /nfs/dbraw/zinc/38/46/46/646384646.db2.gz QHQHPNBEWOYSHD-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN C=C/C=C/CCOC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000884486128 646392868 /nfs/dbraw/zinc/39/28/68/646392868.db2.gz OYESIIUENFTRIA-AATRIKPKSA-N 0 0 279.296 2.730 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2(O)CCC2)c2ccccc21 ZINC000884500714 646402285 /nfs/dbraw/zinc/40/22/85/646402285.db2.gz NWVRTPQRKIISRN-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN CC[C@H](CNc1ncc([N+](=O)[O-])c2ccccc21)OC ZINC000884511970 646410462 /nfs/dbraw/zinc/41/04/62/646410462.db2.gz VDEVKADDOGXUAZ-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2=CCOCC2)c2ccccc21 ZINC000884518419 646415406 /nfs/dbraw/zinc/41/54/06/646415406.db2.gz OJUVSAJAWNLVNY-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN CC(C)(C(=O)OCc1cccnc1[N+](=O)[O-])C(C)(F)F ZINC000884536549 646429159 /nfs/dbraw/zinc/42/91/59/646429159.db2.gz CZWOVTMDCBVFTA-UHFFFAOYSA-N 0 0 288.250 2.714 20 5 CFBDRN CCCC[C@@](C)(F)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884575517 646462394 /nfs/dbraw/zinc/46/23/94/646462394.db2.gz MIAVBFVTDHVCSQ-CYBMUJFWSA-N 0 0 284.287 2.951 20 5 CFBDRN Cc1ccc(N2C[C@@H]3CSC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000884645493 646505350 /nfs/dbraw/zinc/50/53/50/646505350.db2.gz ISTFRUKKSITZHU-PHIMTYICSA-N 0 0 264.350 2.702 20 5 CFBDRN CC(C)CONC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000135334598 646518819 /nfs/dbraw/zinc/51/88/19/646518819.db2.gz GKIKFJXFHCQQKA-UHFFFAOYSA-N 0 0 272.688 2.566 20 5 CFBDRN CCC(CC)OC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000135349604 646520882 /nfs/dbraw/zinc/52/08/82/646520882.db2.gz RQMWJMIHCMEVPI-UHFFFAOYSA-N 0 0 267.281 2.705 20 5 CFBDRN C[C@@H]1C[C@]2(CC[C@H](CNc3ccsc3[N+](=O)[O-])O2)CO1 ZINC000884695836 646531543 /nfs/dbraw/zinc/53/15/43/646531543.db2.gz FICGIYVKVRUBHH-GIPNMCIBSA-N 0 0 298.364 2.795 20 5 CFBDRN CCOCCC(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000135499964 646541164 /nfs/dbraw/zinc/54/11/64/646541164.db2.gz IWQMZUFZOALKEJ-UHFFFAOYSA-N 0 0 286.715 2.922 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]([C@@H]3CCCO3)C2)cc1 ZINC000884730669 646543278 /nfs/dbraw/zinc/54/32/78/646543278.db2.gz MHFLWVSLVDQJKV-RISCZKNCSA-N 0 0 262.309 2.600 20 5 CFBDRN Cc1cnc(NCC2(C3(O)CCC3)CCC2)c([N+](=O)[O-])c1 ZINC000884808606 646553900 /nfs/dbraw/zinc/55/39/00/646553900.db2.gz XJOAAFPLHNKQNX-UHFFFAOYSA-N 0 0 291.351 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CCO)C(F)(F)F)c(Cl)c1 ZINC000884838569 646557501 /nfs/dbraw/zinc/55/75/01/646557501.db2.gz VJGKFDIXRZEAFO-SECBINFHSA-N 0 0 298.648 2.973 20 5 CFBDRN CCC(=O)c1ccc(N(C)CCCF)c([N+](=O)[O-])c1 ZINC000884856586 646560562 /nfs/dbraw/zinc/56/05/62/646560562.db2.gz HGFBZIAXYCNACS-UHFFFAOYSA-N 0 0 268.288 2.983 20 5 CFBDRN CC[C@@H]1CCC[C@@]1(C)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000885059120 646594468 /nfs/dbraw/zinc/59/44/68/646594468.db2.gz HYVGGRHQTIZZRM-BXUZGUMPSA-N 0 0 295.339 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CC[C@@H](C)O2)c1C ZINC000885424177 646684636 /nfs/dbraw/zinc/68/46/36/646684636.db2.gz MLVUWTSWPFOOSL-PWSUYJOCSA-N 0 0 293.319 2.936 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(F)(F)F)c1C ZINC000885426113 646685385 /nfs/dbraw/zinc/68/53/85/646685385.db2.gz MKJDEIZVQIVOSF-UHFFFAOYSA-N 0 0 277.198 2.931 20 5 CFBDRN Cc1ccc(CN(C)Cc2cccnc2[N+](=O)[O-])o1 ZINC000885944408 646777648 /nfs/dbraw/zinc/77/76/48/646777648.db2.gz OZIWPAMXLGSTFT-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN CNc1c(C(=O)NC[C@]23C[C@H]2CCC3)cccc1[N+](=O)[O-] ZINC000885997256 646803684 /nfs/dbraw/zinc/80/36/84/646803684.db2.gz PXMPPRBBEXJWMM-BMIGLBTASA-N 0 0 289.335 2.557 20 5 CFBDRN C[C@@H]1CN(Cc2cccnc2[N+](=O)[O-])c2ccccc2O1 ZINC000886005897 646810204 /nfs/dbraw/zinc/81/02/04/646810204.db2.gz KYHPQOJQGFDEOP-LLVKDONJSA-N 0 0 285.303 2.777 20 5 CFBDRN Cc1ccc(C(=O)NC[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000886009549 646812732 /nfs/dbraw/zinc/81/27/32/646812732.db2.gz SOMBYGZORWYUKD-DOMZBBRYSA-N 0 0 274.320 2.823 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CC[C@@H]1c1ccccc1 ZINC000886033512 646830739 /nfs/dbraw/zinc/83/07/39/646830739.db2.gz VWRIYROUZSDNLK-CQSZACIVSA-N 0 0 269.304 2.937 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN(Cc1cccnc1)C1CC1 ZINC000886089694 646861293 /nfs/dbraw/zinc/86/12/93/646861293.db2.gz HLKQDJJUTQOAAC-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN Cc1cnc([C@H](C)NCc2cccnc2[N+](=O)[O-])s1 ZINC000886132079 646887762 /nfs/dbraw/zinc/88/77/62/646887762.db2.gz OIFOUGIEYIQGSQ-VIFPVBQESA-N 0 0 278.337 2.606 20 5 CFBDRN C[C@H]1C[C@H](c2cccnc2)N(Cc2cccnc2[N+](=O)[O-])C1 ZINC000886134475 646888551 /nfs/dbraw/zinc/88/85/51/646888551.db2.gz SSRWLCSNCGWALN-SWLSCSKDSA-N 0 0 298.346 2.968 20 5 CFBDRN COc1cccc2c1CN(Cc1cccnc1[N+](=O)[O-])CC2 ZINC000886234434 646932464 /nfs/dbraw/zinc/93/24/64/646932464.db2.gz OCQSKCGRXYJBCR-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN CCN(Cc1occc1C)Cc1cccnc1[N+](=O)[O-] ZINC000886247405 646937129 /nfs/dbraw/zinc/93/71/29/646937129.db2.gz QGEFNUSNPMGYII-UHFFFAOYSA-N 0 0 275.308 2.913 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CC[C@H](Oc2ccccc2)C1 ZINC000886264455 646939701 /nfs/dbraw/zinc/93/97/01/646939701.db2.gz ZDLHYIPYFPAHHN-HNNXBMFYSA-N 0 0 299.330 2.643 20 5 CFBDRN Cc1c(CN[C@@H](C)c2ncco2)cccc1[N+](=O)[O-] ZINC000886272574 646945205 /nfs/dbraw/zinc/94/52/05/646945205.db2.gz UTWPPEIEHCFZSB-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN COc1cccc(CNc2nc3ccccn3c2[N+](=O)[O-])c1 ZINC000137640691 646965838 /nfs/dbraw/zinc/96/58/38/646965838.db2.gz IBBZWGQICKKOOQ-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN Cc1cc(COC(=O)c2cc(Cl)ccc2[N+](=O)[O-])on1 ZINC000137903742 646997557 /nfs/dbraw/zinc/99/75/57/646997557.db2.gz PHQXIQPYCLTHJM-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NC1CCCCCCC1 ZINC000138202611 647028759 /nfs/dbraw/zinc/02/87/59/647028759.db2.gz YADAVCFIBNORGC-UHFFFAOYSA-N 0 0 279.340 2.776 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ncco1)c1cccc([N+](=O)[O-])c1 ZINC000886528179 647030745 /nfs/dbraw/zinc/03/07/45/647030745.db2.gz IGAXUJWIGKGWPX-ZJUUUORDSA-N 0 0 261.281 2.995 20 5 CFBDRN C[C@H](N[C@@H](CCO)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000886797470 647141210 /nfs/dbraw/zinc/14/12/10/647141210.db2.gz VCZJQRJWOOBJAK-KWQFWETISA-N 0 0 292.257 2.559 20 5 CFBDRN C[C@@H](C(=O)N[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])cc1F ZINC000887034578 647193543 /nfs/dbraw/zinc/19/35/43/647193543.db2.gz AOZNVRHHQPBGEB-IQMDTDKHSA-N 0 0 292.310 2.896 20 5 CFBDRN C/C(Cl)=C\C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887398849 647302243 /nfs/dbraw/zinc/30/22/43/647302243.db2.gz ILTCXGIPPANFDR-YIXGCBLDSA-N 0 0 282.727 2.957 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H](C)c2ccccc2[N+](=O)[O-])nc1 ZINC000887399118 647302267 /nfs/dbraw/zinc/30/22/67/647302267.db2.gz NJPNXSUJLDLPTJ-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@H](CNC(=O)[C@]1(C)CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000887400589 647303137 /nfs/dbraw/zinc/30/31/37/647303137.db2.gz FHTYLVFRFWSIPL-RNCFNFMXSA-N 0 0 298.289 2.860 20 5 CFBDRN Cc1cncc(C(=O)NC[C@@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC000887402623 647303684 /nfs/dbraw/zinc/30/36/84/647303684.db2.gz GNYBNUHNNRFTFZ-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN CCCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404883 647303709 /nfs/dbraw/zinc/30/37/09/647303709.db2.gz OONVATXXQVHVDT-SNVBAGLBSA-N 0 0 250.298 2.615 20 5 CFBDRN C[C@@H](CNC(=O)/C=C/C1CC1)c1ccccc1[N+](=O)[O-] ZINC000887402714 647303882 /nfs/dbraw/zinc/30/38/82/647303882.db2.gz HXBROHNEARFFLR-FBOQAHMBSA-N 0 0 274.320 2.781 20 5 CFBDRN CS[C@H](C)CC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403295 647304439 /nfs/dbraw/zinc/30/44/39/647304439.db2.gz SWVGKHQIQBZOQD-GHMZBOCLSA-N 0 0 296.392 2.956 20 5 CFBDRN C[C@H](CNC(=O)[C@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000887403504 647304564 /nfs/dbraw/zinc/30/45/64/647304564.db2.gz XZUDFVRXGPYITL-ZWNOBZJWSA-N 0 0 294.376 2.710 20 5 CFBDRN CC1(CNC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000223638419 647380556 /nfs/dbraw/zinc/38/05/56/647380556.db2.gz IMNQMZHNRNSGPW-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2C[C@@H](C)CO2)c([N+](=O)[O-])c1 ZINC000887753682 647407169 /nfs/dbraw/zinc/40/71/69/647407169.db2.gz LHYZHPOBNJIWEM-SKDRFNHKSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000889707786 647657927 /nfs/dbraw/zinc/65/79/27/647657927.db2.gz DAMZBHIOQZRPLJ-VHRBIJSZSA-N 0 0 291.303 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCOCC2)c1C ZINC000889876651 647686066 /nfs/dbraw/zinc/68/60/66/647686066.db2.gz GUAOPAGUPHMILP-GFCCVEGCSA-N 0 0 292.335 2.511 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000889900596 647694606 /nfs/dbraw/zinc/69/46/06/647694606.db2.gz HHFUWMMPJKDTDT-JQWIXIFHSA-N 0 0 290.319 2.978 20 5 CFBDRN CC1(C)CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)O1 ZINC000890139637 647757814 /nfs/dbraw/zinc/75/78/14/647757814.db2.gz JTTBBQNFBDXNBB-ZDUSSCGKSA-N 0 0 292.335 2.672 20 5 CFBDRN CCn1cc(OC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])cn1 ZINC000890176325 647761848 /nfs/dbraw/zinc/76/18/48/647761848.db2.gz IJLSWWWPEWETGI-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN CCON(CC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000925252032 648142964 /nfs/dbraw/zinc/14/29/64/648142964.db2.gz IFAZCRKFOHITLG-SNVBAGLBSA-N 0 0 281.312 2.639 20 5 CFBDRN CCS(=O)(CC)=NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000925878930 648353005 /nfs/dbraw/zinc/35/30/05/648353005.db2.gz OUTQJZWAPGBMGJ-SNVBAGLBSA-N 0 0 298.364 2.733 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000925898443 648360969 /nfs/dbraw/zinc/36/09/69/648360969.db2.gz MJWCZVXTWDNQDO-UHFFFAOYSA-N 0 0 284.337 2.551 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ncco1)c1ccc([N+](=O)[O-])cc1 ZINC000926892841 648648638 /nfs/dbraw/zinc/64/86/38/648648638.db2.gz ORGWDUYYFXJEGR-ZJUUUORDSA-N 0 0 261.281 2.995 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCCC2)c1ccc([N+](=O)[O-])cc1 ZINC000927457447 648782852 /nfs/dbraw/zinc/78/28/52/648782852.db2.gz NYHVWQFGJYHTJQ-DOMZBBRYSA-N 0 0 274.320 2.905 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)Nc1ccc([N+](=O)[O-])cc1 ZINC000927697830 648816349 /nfs/dbraw/zinc/81/63/49/648816349.db2.gz ROQJXLWHKLQXQN-YGRLFVJLSA-N 0 0 275.308 2.907 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c1C ZINC000928034318 648845473 /nfs/dbraw/zinc/84/54/73/648845473.db2.gz UKSNRDWJUSJSAV-FOUMNBMASA-N 0 0 288.347 2.986 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000928043320 648848285 /nfs/dbraw/zinc/84/82/85/648848285.db2.gz ZAFPJDGHKSNUOZ-KPQFEUGASA-N 0 0 278.283 2.508 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1O[C@H](C)C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000928244962 648886586 /nfs/dbraw/zinc/88/65/86/648886586.db2.gz HVYYFWXRZLYCID-OXIWPEFWSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCC(C)(C)O1)c1ccc([N+](=O)[O-])cc1 ZINC000928245077 648886817 /nfs/dbraw/zinc/88/68/17/648886817.db2.gz JJMWRBYDCCBSLT-ZWNOBZJWSA-N 0 0 292.335 2.730 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000928245659 648887463 /nfs/dbraw/zinc/88/74/63/648887463.db2.gz TZZIMIUJLFFRLY-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@@H](NC(=O)C1(C)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000928246567 648887791 /nfs/dbraw/zinc/88/77/91/648887791.db2.gz BBPCDYREFBPPMA-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928246503 648887831 /nfs/dbraw/zinc/88/78/31/648887831.db2.gz AKURDLZJFJWDQV-VHSXEESVSA-N 0 0 262.309 2.818 20 5 CFBDRN CCCSCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247202 648888264 /nfs/dbraw/zinc/88/82/64/648888264.db2.gz QMSSEAUFRIMRSU-SNVBAGLBSA-N 0 0 282.365 2.915 20 5 CFBDRN CS[C@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247033 648888347 /nfs/dbraw/zinc/88/83/47/648888347.db2.gz NTZUAKNAAHUOIS-RKDXNWHRSA-N 0 0 268.338 2.524 20 5 CFBDRN COCCC[C@@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928248248 648888487 /nfs/dbraw/zinc/88/84/87/648888487.db2.gz IEQVCBZZYDSCRG-VXGBXAGGSA-N 0 0 294.351 2.835 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000928248251 648888540 /nfs/dbraw/zinc/88/85/40/648888540.db2.gz IIEYMYNBCURYRG-HONMWMINSA-N 0 0 292.335 2.730 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H](C)C(C)(C)OC)cc1[N+](=O)[O-] ZINC000928596884 648931032 /nfs/dbraw/zinc/93/10/32/648931032.db2.gz KIFSMRCJJHVPBT-JTQLQIEISA-N 0 0 294.351 2.701 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000928936749 648968178 /nfs/dbraw/zinc/96/81/78/648968178.db2.gz GJZBBWJIDWTCLO-SREVYHEPSA-N 0 0 268.700 2.856 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@]12CCO[C@@H]1CCCC2 ZINC000929134179 648986831 /nfs/dbraw/zinc/98/68/31/648986831.db2.gz SWMUYYJLSRJEKZ-UKRRQHHQSA-N 0 0 290.319 2.883 20 5 CFBDRN CCN(CC)C(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000929142138 648988738 /nfs/dbraw/zinc/98/87/38/648988738.db2.gz NNRQORXRODYYDG-UHFFFAOYSA-N 0 0 268.338 2.799 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCO1 ZINC000929497818 649061476 /nfs/dbraw/zinc/06/14/76/649061476.db2.gz NCDOGKGSIJHLIX-FZMZJTMJSA-N 0 0 293.319 2.951 20 5 CFBDRN O=C([C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1C2CCC1CC2 ZINC000929675665 649093444 /nfs/dbraw/zinc/09/34/44/649093444.db2.gz GYOVRMWWHZYCCY-FWIFWCIWSA-N 0 0 286.331 2.852 20 5 CFBDRN C[C@@H](CNC(=O)N[C@@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000929921388 649150333 /nfs/dbraw/zinc/15/03/33/649150333.db2.gz PBNNGPAHLWZBEX-QWRGUYRKSA-N 0 0 291.351 2.796 20 5 CFBDRN CC[C@@H](NCC[N+](=O)[O-])c1ccccc1OC(F)F ZINC000930201822 649205829 /nfs/dbraw/zinc/20/58/29/649205829.db2.gz UDOGFWWJAJKMHH-SNVBAGLBSA-N 0 0 274.267 2.605 20 5 CFBDRN C[C@@H](N[C@H](C)c1ncccn1)c1cccc([N+](=O)[O-])c1 ZINC000930207593 649207363 /nfs/dbraw/zinc/20/73/63/649207363.db2.gz BFRMQTSUFKYEOD-GHMZBOCLSA-N 0 0 272.308 2.797 20 5 CFBDRN CC(C)(NCC[N+](=O)[O-])c1ccccc1Br ZINC000930233240 649211443 /nfs/dbraw/zinc/21/14/43/649211443.db2.gz NUALKXPOUXYDPK-UHFFFAOYSA-N 0 0 287.157 2.551 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000930920804 649350121 /nfs/dbraw/zinc/35/01/21/649350121.db2.gz IIPMIZSSZNIQDA-OPTZYUCBSA-N 0 0 286.331 2.503 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C(F)F ZINC000931419014 649420976 /nfs/dbraw/zinc/42/09/76/649420976.db2.gz OGPQULIDGQBIQG-GZMMTYOYSA-N 0 0 256.252 2.995 20 5 CFBDRN Cc1ccc(CN2Cc3c[nH]nc3[C@H](C)C2)cc1[N+](=O)[O-] ZINC000931467507 649435020 /nfs/dbraw/zinc/43/50/20/649435020.db2.gz OILJUDIYWMEYAQ-LLVKDONJSA-N 0 0 286.335 2.746 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCn2ccnc21 ZINC000931521501 649447560 /nfs/dbraw/zinc/44/75/60/649447560.db2.gz KJHVXBDZLBNQEP-NSHDSACASA-N 0 0 272.308 2.681 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCc2nc[nH]c2C12CCC2 ZINC000931679731 649470535 /nfs/dbraw/zinc/47/05/35/649470535.db2.gz DVZBUHNSSSBGJI-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000932060033 649530617 /nfs/dbraw/zinc/53/06/17/649530617.db2.gz YVQOACGSKAWQKA-GWCFXTLKSA-N 0 0 294.326 2.971 20 5 CFBDRN CON(CC[N+](=O)[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000932544276 649616829 /nfs/dbraw/zinc/61/68/29/649616829.db2.gz GSPNAHPVQROGLJ-VIFPVBQESA-N 0 0 292.257 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCOC[C@H]1CC1CC1 ZINC000933213764 649679732 /nfs/dbraw/zinc/67/97/32/649679732.db2.gz QLEDEHQZPXQIGY-CQSZACIVSA-N 0 0 294.326 2.735 20 5 CFBDRN CC(C)(NC(=O)CCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000933470003 649695445 /nfs/dbraw/zinc/69/54/45/649695445.db2.gz XWHDAHAXIHRFTH-UHFFFAOYSA-N 0 0 294.326 2.971 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000933614802 649706476 /nfs/dbraw/zinc/70/64/76/649706476.db2.gz TYOTVLKDPMRXAZ-ZIAGYGMSSA-N 0 0 274.320 2.709 20 5 CFBDRN C[C@H]1CCCN1C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000933813936 649723149 /nfs/dbraw/zinc/72/31/49/649723149.db2.gz LYEDFUFXHQJIME-JTQLQIEISA-N 0 0 280.299 2.677 20 5 CFBDRN C[C@H](NCc1csc([N+](=O)[O-])c1)c1cncs1 ZINC000934197479 649758929 /nfs/dbraw/zinc/75/89/29/649758929.db2.gz DMDGKTOTLLKKJP-ZETCQYMHSA-N 0 0 269.351 2.964 20 5 CFBDRN C[C@]1(C2CC2)COCCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000934479951 649792525 /nfs/dbraw/zinc/79/25/25/649792525.db2.gz DMLDMSYJLQTPQD-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)[C@@H]1C ZINC000017442140 651279302 /nfs/dbraw/zinc/27/93/02/651279302.db2.gz UYVHESQXXCKXQV-GIPNMCIBSA-N 0 0 291.351 2.732 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cnc1NC(C)(C)C1CC1 ZINC001155068505 782195925 /nfs/dbraw/zinc/19/59/25/782195925.db2.gz IAEQRYIEWUSCRS-UHFFFAOYSA-N 0 0 293.323 2.767 20 5 CFBDRN COc1nc(NC[C@H]2CCCC[C@H]2C)ncc1[N+](=O)[O-] ZINC001155072703 782199248 /nfs/dbraw/zinc/19/92/48/782199248.db2.gz MXAUVCVBOLVXKI-NXEZZACHSA-N 0 0 280.328 2.632 20 5 CFBDRN C[C@@H]1CCCN(c2cc(Cl)ncc2[N+](=O)[O-])C1 ZINC001155200643 782247549 /nfs/dbraw/zinc/24/75/49/782247549.db2.gz IXXFMVIBLLYMGW-MRVPVSSYSA-N 0 0 255.705 2.880 20 5 CFBDRN C[C@@H]1CCCN(c2nc(Cl)nc(Cl)c2[N+](=O)[O-])C1 ZINC001155201181 782248312 /nfs/dbraw/zinc/24/83/12/782248312.db2.gz PQYVOVRCBTZFMM-ZCFIWIBFSA-N 0 0 291.138 2.928 20 5 CFBDRN CC1(C)CC[C@H](CO)N(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000701614491 780820923 /nfs/dbraw/zinc/82/09/23/780820923.db2.gz AEDHURLUEGGVRG-SNVBAGLBSA-N 0 0 299.758 2.631 20 5 CFBDRN Cc1cc2c(cn1)CN(c1nccc(Cl)c1[N+](=O)[O-])C2 ZINC001167107491 770029985 /nfs/dbraw/zinc/02/99/85/770029985.db2.gz SVTKSBYTVSGEPF-UHFFFAOYSA-N 0 0 290.710 2.867 20 5 CFBDRN Cc1cc2c(cn1)CN(c1ccc([N+](=O)[O-])c(C)n1)C2 ZINC001167106941 770030237 /nfs/dbraw/zinc/03/02/37/770030237.db2.gz FIDCGRSEUKVRLZ-UHFFFAOYSA-N 0 0 270.292 2.522 20 5 CFBDRN CC[C@@H](c1ccccc1)N(C)c1cc([N+](=O)[O-])cc[n+]1[O-] ZINC001167131020 770076761 /nfs/dbraw/zinc/07/67/61/770076761.db2.gz XGUWMHJXAMLSKW-AWEZNQCLSA-N 0 0 287.319 2.816 20 5 CFBDRN NC(=O)c1cc(Nc2cccc([N+](=O)[O-])c2)ccc1F ZINC001204469969 770160168 /nfs/dbraw/zinc/16/01/68/770160168.db2.gz VZOIEONHTHFULM-UHFFFAOYSA-N 0 0 275.239 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCc3cncnc3)ccc2c1 ZINC001167256383 770179025 /nfs/dbraw/zinc/17/90/25/770179025.db2.gz NTLNSYJTULKSCQ-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN CC(C)(C)c1cnc(NCc2cncnc2)c([N+](=O)[O-])c1 ZINC001167256740 770179666 /nfs/dbraw/zinc/17/96/66/770179666.db2.gz YPUVRNGNAWPZPY-UHFFFAOYSA-N 0 0 287.323 2.689 20 5 CFBDRN O=C1CC(Nc2ccc([N+](=O)[O-])c3ccccc23)C1 ZINC001167344454 770327736 /nfs/dbraw/zinc/32/77/36/770327736.db2.gz LYAAWDDZZKXFSA-UHFFFAOYSA-N 0 0 256.261 2.891 20 5 CFBDRN COc1ccc(Nc2ccc(F)cc2[N+](=O)[O-])c(CO)c1 ZINC001210953968 770404308 /nfs/dbraw/zinc/40/43/08/770404308.db2.gz UXHWXANGTMFPGW-UHFFFAOYSA-N 0 0 292.266 2.978 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Nc1ncncc1Cl ZINC001210949347 770404373 /nfs/dbraw/zinc/40/43/73/770404373.db2.gz JRVXULOAMTUYBD-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN C[C@]1(CO)CCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000723039946 770452049 /nfs/dbraw/zinc/45/20/49/770452049.db2.gz ZQEHBRZFMCTSMA-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN C/C(=C/C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)c1cnccn1 ZINC001266631536 770460153 /nfs/dbraw/zinc/46/01/53/770460153.db2.gz ZRISHVNREFMCEV-NTMALXAHSA-N 0 0 298.302 2.735 20 5 CFBDRN CNC(=O)c1ccc(N[C@H](C)C2(C)CC2)c([N+](=O)[O-])c1 ZINC000706938513 770549652 /nfs/dbraw/zinc/54/96/52/770549652.db2.gz YBUBVKUXMLIOPI-SECBINFHSA-N 0 0 277.324 2.555 20 5 CFBDRN COCCCOC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000127268431 770631340 /nfs/dbraw/zinc/63/13/40/770631340.db2.gz QALRKQNRRFRVDZ-UHFFFAOYSA-N 0 0 285.321 2.510 20 5 CFBDRN COCC(C)(C)NC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588438184 770696128 /nfs/dbraw/zinc/69/61/28/770696128.db2.gz NRSJPRBITAMRQV-LUAWRHEFSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@H](Nc1nccc(C)c1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000723870922 770696991 /nfs/dbraw/zinc/69/69/91/770696991.db2.gz NEEVCAPVBYLROF-NEPJUHHUSA-N 0 0 279.340 2.915 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NN1CCCCC1 ZINC000588447166 770712015 /nfs/dbraw/zinc/71/20/15/770712015.db2.gz FWTMOXKDIBVWJS-QXMHVHEDSA-N 0 0 289.335 2.515 20 5 CFBDRN CC=C(C)C(=O)Nc1cc(C)nc2ccc([N+](=O)[O-])cc21 ZINC000588468720 770783601 /nfs/dbraw/zinc/78/36/01/770783601.db2.gz GOQBGPNSNPNCBR-WTKPLQERSA-N 0 0 285.303 2.778 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000724122877 770796874 /nfs/dbraw/zinc/79/68/74/770796874.db2.gz UWXNEPAYUORBTL-QWHCGFSZSA-N 0 0 284.743 2.857 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@]12C[C@H]1CCC2 ZINC000693542385 770804822 /nfs/dbraw/zinc/80/48/22/770804822.db2.gz MGMFLGAAOFLPAA-MFKMUULPSA-N 0 0 264.329 2.722 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H]1CCO[C@@H]1C ZINC000588492675 770852963 /nfs/dbraw/zinc/85/29/63/770852963.db2.gz BBENJIXEWVSQBT-RHWCBERRSA-N 0 0 291.303 2.719 20 5 CFBDRN COC(=O)c1cc(Nc2cc(F)cc([N+](=O)[O-])c2)cn1C ZINC001216482230 770944678 /nfs/dbraw/zinc/94/46/78/770944678.db2.gz CIGTWPNFXKWKEH-UHFFFAOYSA-N 0 0 293.254 2.603 20 5 CFBDRN COc1ncnc(Cl)c1Nc1cc(F)cc([N+](=O)[O-])c1 ZINC001216481386 770944735 /nfs/dbraw/zinc/94/47/35/770944735.db2.gz XPFAHHBAFHFLDF-UHFFFAOYSA-N 0 0 298.661 2.930 20 5 CFBDRN Nc1cc(CNc2ccc3ncccc3c2[N+](=O)[O-])ccn1 ZINC001167616461 770993624 /nfs/dbraw/zinc/99/36/24/770993624.db2.gz IUMBFBOMAPJENV-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2CCCC[C@@H]2F)cn1 ZINC001224114734 775103730 /nfs/dbraw/zinc/10/37/30/775103730.db2.gz PVDHFTRKJIVZFL-WDEREUQCSA-N 0 0 254.261 2.787 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2COCc3ccccc32)cn1 ZINC001224116226 775105412 /nfs/dbraw/zinc/10/54/12/775105412.db2.gz ZCIMHCYNNSKVNW-CQSZACIVSA-N 0 0 286.287 2.778 20 5 CFBDRN Cc1cccnc1CCNc1ccc([N+](=O)[O-])cc1 ZINC000404602359 775109961 /nfs/dbraw/zinc/10/99/61/775109961.db2.gz YSVGWDUOEPBPDN-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(COC2COC2)c2ccccc21 ZINC001224205024 775128876 /nfs/dbraw/zinc/12/88/76/775128876.db2.gz XIXPZMKLYVTYRN-UHFFFAOYSA-N 0 0 259.261 2.663 20 5 CFBDRN COCCCCO[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001224320118 775156679 /nfs/dbraw/zinc/15/66/79/775156679.db2.gz OKUHTNZUBBKFLM-CQSZACIVSA-N 0 0 265.309 2.505 20 5 CFBDRN CCCOC[C@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224331363 775158997 /nfs/dbraw/zinc/15/89/97/775158997.db2.gz PLLOWKOZKAGHCF-LBPRGKRZSA-N 0 0 267.325 2.969 20 5 CFBDRN CCC[C@@H](OCCc1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC001224332197 775159654 /nfs/dbraw/zinc/15/96/54/775159654.db2.gz WMXFVXONVGNVIY-CQSZACIVSA-N 0 0 295.335 2.886 20 5 CFBDRN Cc1cc(NCCC2SCCS2)ncc1[N+](=O)[O-] ZINC000693836341 775194671 /nfs/dbraw/zinc/19/46/71/775194671.db2.gz BGPNMMIRUGSQMM-UHFFFAOYSA-N 0 0 285.394 2.906 20 5 CFBDRN O=[N+]([O-])C[C@H](OCCc1ccsc1)c1cccnc1 ZINC001224536076 775214215 /nfs/dbraw/zinc/21/42/15/775214215.db2.gz WZLWJJAXDKYMJL-ZDUSSCGKSA-N 0 0 278.333 2.720 20 5 CFBDRN Cc1cnc(N[C@H](CCO)C2CCCC2)c([N+](=O)[O-])c1 ZINC000725038493 775242113 /nfs/dbraw/zinc/24/21/13/775242113.db2.gz VNOFRNIQLCMNOX-GFCCVEGCSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1cc(N[C@@H](CCO)C2CCCC2)ncc1[N+](=O)[O-] ZINC000725038898 775242799 /nfs/dbraw/zinc/24/27/99/775242799.db2.gz ZAFYYEIHYBSIRB-LBPRGKRZSA-N 0 0 279.340 2.651 20 5 CFBDRN COC(C)(CO[C@H](C)c1ccccc1[N+](=O)[O-])OC ZINC001224678139 775245742 /nfs/dbraw/zinc/24/57/42/775245742.db2.gz IKGJCLIGNGWGDN-SNVBAGLBSA-N 0 0 269.297 2.681 20 5 CFBDRN C[C@@H](OCCC1OCCCO1)c1ccccc1[N+](=O)[O-] ZINC001224727431 775260775 /nfs/dbraw/zinc/26/07/75/775260775.db2.gz CQIPLHAQNNIASR-LLVKDONJSA-N 0 0 281.308 2.826 20 5 CFBDRN Cc1cccc(CCO[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001224869053 775293941 /nfs/dbraw/zinc/29/39/41/775293941.db2.gz QDAUHFDMJPQRHD-MRXNPFEDSA-N 0 0 286.331 2.967 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cc(F)cc(F)c1 ZINC001225225717 775370148 /nfs/dbraw/zinc/37/01/48/775370148.db2.gz BKBKCKVHQRFUDQ-QMMMGPOBSA-N 0 0 297.261 2.845 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccc(C)cc1 ZINC001225261008 775383285 /nfs/dbraw/zinc/38/32/85/775383285.db2.gz NCXLWMTXFQUTFB-NSHDSACASA-N 0 0 275.308 2.876 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cncc(Cl)c1)c1cccnc1 ZINC001225286955 775392101 /nfs/dbraw/zinc/39/21/01/775392101.db2.gz MMMCZIXZWLOSIC-GFCCVEGCSA-N 0 0 279.683 2.527 20 5 CFBDRN COc1cc(F)ccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225344556 775419908 /nfs/dbraw/zinc/41/99/08/775419908.db2.gz PORKJNPFCSDPGM-AWEZNQCLSA-N 0 0 292.266 2.626 20 5 CFBDRN Cc1ccc(F)c(O[C@@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001225354392 775424317 /nfs/dbraw/zinc/42/43/17/775424317.db2.gz NNAZUHCNDAYPRR-AWEZNQCLSA-N 0 0 276.267 2.926 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382082 775437382 /nfs/dbraw/zinc/43/73/82/775437382.db2.gz KPPSTROTOHHTTK-BDAKNGLRSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1c[nH]c2cccc(O[C@H](C[N+](=O)[O-])C3CC3)c12 ZINC001225394660 775441168 /nfs/dbraw/zinc/44/11/68/775441168.db2.gz UOXNYQAXRDOICA-CYBMUJFWSA-N 0 0 260.293 2.910 20 5 CFBDRN COc1cc(O[C@@H](C)c2cn(C)nc2C)ccc1[N+](=O)[O-] ZINC001225435612 775455826 /nfs/dbraw/zinc/45/58/26/775455826.db2.gz KAKPQLJMHGYWSH-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN COc1cc(O[C@@H](C)c2cnccn2)ccc1[N+](=O)[O-] ZINC001225441529 775459041 /nfs/dbraw/zinc/45/90/41/775459041.db2.gz QQKLDPKOTLDEIW-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCO2)ccc1Br ZINC001225503916 775485176 /nfs/dbraw/zinc/48/51/76/775485176.db2.gz HVXFQWXQWXPABO-MRVPVSSYSA-N 0 0 288.097 2.525 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2cc(F)ccc2[N+](=O)[O-])C1 ZINC001225577722 775510029 /nfs/dbraw/zinc/51/00/29/775510029.db2.gz IJAPOIVNWZPVGQ-MWLCHTKSSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC(O)C2)c(C(F)(F)F)c1 ZINC001218256895 775513510 /nfs/dbraw/zinc/51/35/10/775513510.db2.gz PGLSVAYRVCFKNW-UHFFFAOYSA-N 0 0 277.198 2.516 20 5 CFBDRN CC/C=C\CCOC(=O)[C@@H](C)Oc1ncccc1[N+](=O)[O-] ZINC001225593498 775515861 /nfs/dbraw/zinc/51/58/61/775515861.db2.gz SKFQTXHBVLQTNX-DOGVGXBMSA-N 0 0 294.307 2.657 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1ncccc1[N+](=O)[O-] ZINC001225594072 775516414 /nfs/dbraw/zinc/51/64/14/775516414.db2.gz AQOIHQLAGSYGBX-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN O=[N+]([O-])c1cccnc1O[C@@H](c1cccnc1)C1CC1 ZINC001225597521 775518114 /nfs/dbraw/zinc/51/81/14/775518114.db2.gz SXUOXVMKZNANFQ-CYBMUJFWSA-N 0 0 271.276 2.915 20 5 CFBDRN C[C@H](COc1ncccc1[N+](=O)[O-])Oc1ccccc1 ZINC001225598571 775518425 /nfs/dbraw/zinc/51/84/25/775518425.db2.gz WGHMBEROTAYUOI-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN CC[C@H](COc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-])OC ZINC001225600851 775518969 /nfs/dbraw/zinc/51/89/69/775518969.db2.gz JUWTYYSBJRKFEN-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H]1CCCC[C@@H]1OC ZINC001225616178 775525157 /nfs/dbraw/zinc/52/51/57/775525157.db2.gz MPXPQUPINUUVSL-AAEUAGOBSA-N 0 0 281.308 2.940 20 5 CFBDRN CC(C)Oc1ccc(COc2cccnc2[N+](=O)[O-])cn1 ZINC001225629141 775532116 /nfs/dbraw/zinc/53/21/16/775532116.db2.gz FPDQWZNHHPGHMW-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN CC(C)[C@H](Oc1ccc([N+](=O)[O-])cn1)C(=O)OC(C)(C)C ZINC001225632247 775533015 /nfs/dbraw/zinc/53/30/15/775533015.db2.gz JPISZBCWMCHYQK-LBPRGKRZSA-N 0 0 296.323 2.735 20 5 CFBDRN C[C@H](COc1ccccc1)Oc1ccc([N+](=O)[O-])cn1 ZINC001225633552 775533185 /nfs/dbraw/zinc/53/31/85/775533185.db2.gz QFFINNRBGKIMLO-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cccc(C)c2[N+](=O)[O-])=C1C ZINC001225631024 775533749 /nfs/dbraw/zinc/53/37/49/775533749.db2.gz CHSGTHLZCBOLHO-JTQLQIEISA-N 0 0 277.276 2.891 20 5 CFBDRN Cc1ccc(O[C@H](C)c2cccc([N+](=O)[O-])c2)nn1 ZINC001225645761 775539339 /nfs/dbraw/zinc/53/93/39/775539339.db2.gz SAPPRIBPQMWDOP-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Nc1ccnc(NCc2nccc3ccccc32)c1[N+](=O)[O-] ZINC001167703449 775542535 /nfs/dbraw/zinc/54/25/35/775542535.db2.gz QTHRLSBFKKDGRY-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN C[C@H](Oc1ncccc1O)c1cccc([N+](=O)[O-])c1 ZINC001225654812 775543456 /nfs/dbraw/zinc/54/34/56/775543456.db2.gz KDGWYMGDSBRQSC-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN COC1=CC[C@H](Oc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC001225658686 775545186 /nfs/dbraw/zinc/54/51/86/775545186.db2.gz JXELLSGQLZFKSX-NSHDSACASA-N 0 0 264.281 2.760 20 5 CFBDRN Cc1cc(OC2CN(Cc3ccccc3)C2)ncc1[N+](=O)[O-] ZINC001225657696 775545382 /nfs/dbraw/zinc/54/53/82/775545382.db2.gz MPRPZDQTAKQZGE-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN COc1ccc([C@H](C)Oc2cc(C)c([N+](=O)[O-])cn2)cn1 ZINC001225661920 775546578 /nfs/dbraw/zinc/54/65/78/775546578.db2.gz MGHHUXPKCRWVAO-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN CCC[C@H](CC(=O)OCC)Oc1cc(C)c([N+](=O)[O-])cn1 ZINC001225663953 775546869 /nfs/dbraw/zinc/54/68/69/775546869.db2.gz VKFKKLCPDZYIRJ-LLVKDONJSA-N 0 0 296.323 2.799 20 5 CFBDRN COc1ccc([C@@H](C)Oc2cc(C)c([N+](=O)[O-])cn2)cn1 ZINC001225661923 775546893 /nfs/dbraw/zinc/54/68/93/775546893.db2.gz MGHHUXPKCRWVAO-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN C[C@@H](Oc1cccc(F)c1[N+](=O)[O-])c1cncnc1 ZINC001225666134 775548686 /nfs/dbraw/zinc/54/86/86/775548686.db2.gz GTSPVZQTRJGWPQ-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1O[C@H]1CCCC2(C1)OCCO2 ZINC001225667160 775548907 /nfs/dbraw/zinc/54/89/07/775548907.db2.gz PQCWFNZSZHVGRF-JTQLQIEISA-N 0 0 297.282 2.798 20 5 CFBDRN CC(C)(C)N1CC(Oc2cccc(F)c2[N+](=O)[O-])C1 ZINC001225664975 775549146 /nfs/dbraw/zinc/54/91/46/775549146.db2.gz VPRBWYGWJDTMRB-UHFFFAOYSA-N 0 0 268.288 2.595 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C1 ZINC001225667232 775549184 /nfs/dbraw/zinc/54/91/84/775549184.db2.gz QBGUUNIMZVSDEX-RKDXNWHRSA-N 0 0 252.270 2.653 20 5 CFBDRN O=c1cc[nH]c(O[C@H]2CCC=CCCC2)c1[N+](=O)[O-] ZINC001225667039 775549541 /nfs/dbraw/zinc/54/95/41/775549541.db2.gz OPXLEPJRNIAZNJ-JTQLQIEISA-N 0 0 264.281 2.963 20 5 CFBDRN C[C@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@]1(C)CO ZINC000694697613 775549761 /nfs/dbraw/zinc/54/97/61/775549761.db2.gz HKEGAULMUZOSKU-IINYFYTJSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000694697616 775550294 /nfs/dbraw/zinc/55/02/94/775550294.db2.gz HKEGAULMUZOSKU-YGRLFVJLSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@@H](Oc1cccc(F)c1[N+](=O)[O-])c1cnccn1 ZINC001225668358 775550625 /nfs/dbraw/zinc/55/06/25/775550625.db2.gz ZAAJFCBRHRRREQ-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1cccc(F)c1[N+](=O)[O-] ZINC001225670226 775550654 /nfs/dbraw/zinc/55/06/54/775550654.db2.gz BLCARKHYUOJVJY-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cccc(F)c1[N+](=O)[O-] ZINC001225670222 775551262 /nfs/dbraw/zinc/55/12/62/775551262.db2.gz BKYRBAXATIYNTC-PSASIEDQSA-N 0 0 255.245 2.538 20 5 CFBDRN CC1=C(Oc2cccc([N+](=O)[O-])c2C)C(=O)O[C@H]1C ZINC001225689455 775559952 /nfs/dbraw/zinc/55/99/52/775559952.db2.gz HIRXGCVOSMJSOD-VIFPVBQESA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1c(OC2CCC3(CC2)OCCO3)cccc1[N+](=O)[O-] ZINC001225691552 775561190 /nfs/dbraw/zinc/56/11/90/775561190.db2.gz UJXUOCXNQYAUBV-UHFFFAOYSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1c(O[C@@H]2COc3cnccc32)cccc1[N+](=O)[O-] ZINC001225692966 775561489 /nfs/dbraw/zinc/56/14/89/775561489.db2.gz JJCODOLNWXBBFR-CQSZACIVSA-N 0 0 272.260 2.811 20 5 CFBDRN Cc1cc(=O)[nH]c(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)c1 ZINC001225707151 775568689 /nfs/dbraw/zinc/56/86/89/775568689.db2.gz TYDWSNJCVPCKLK-CYBMUJFWSA-N 0 0 286.287 2.550 20 5 CFBDRN CC1=C[C@H](Oc2cc(Cl)ccc2[N+](=O)[O-])CC(=O)O1 ZINC001225709962 775569537 /nfs/dbraw/zinc/56/95/37/775569537.db2.gz FLHYWJJFXWBIGW-VIFPVBQESA-N 0 0 283.667 2.846 20 5 CFBDRN O=[N+]([O-])c1cccc(OC2CC3(COC3)C2)c1Cl ZINC001225785334 775592488 /nfs/dbraw/zinc/59/24/88/775592488.db2.gz AHWBHSOKMXFTIG-UHFFFAOYSA-N 0 0 269.684 2.806 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225788394 775593162 /nfs/dbraw/zinc/59/31/62/775593162.db2.gz MKYCREZPSOJMGO-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2CCCC3(C2)OCCO3)c1F ZINC001225795263 775596399 /nfs/dbraw/zinc/59/63/99/775596399.db2.gz FBOYGDRJAMDOQC-JTQLQIEISA-N 0 0 297.282 2.798 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2CCn3ccnc32)c1 ZINC001225801559 775597148 /nfs/dbraw/zinc/59/71/48/775597148.db2.gz CJWWPJOEKZRGKT-LBPRGKRZSA-N 0 0 287.275 2.518 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2CC[N@@H+](C(C)C)C2)c1 ZINC001225803588 775598528 /nfs/dbraw/zinc/59/85/28/775598528.db2.gz FPWYSTDRLOCKNM-ZDUSSCGKSA-N 0 0 292.335 2.659 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(OC[C@@H]2CCC=CO2)c1 ZINC001225804179 775598824 /nfs/dbraw/zinc/59/88/24/775598824.db2.gz PGLYAXKDURPYCN-LBPRGKRZSA-N 0 0 277.276 2.869 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)cnc1OC1CCOCC1 ZINC001225843927 775612381 /nfs/dbraw/zinc/61/23/81/775612381.db2.gz RPRPIABUPNLNRW-UHFFFAOYSA-N 0 0 292.213 2.566 20 5 CFBDRN O=C1CCCC[C@H]1Oc1c([N+](=O)[O-])cc(F)cc1[N+](=O)[O-] ZINC001225894432 775624703 /nfs/dbraw/zinc/62/47/03/775624703.db2.gz IQZJGYGEZDYJAI-LLVKDONJSA-N 0 0 298.226 2.533 20 5 CFBDRN CC(C)OCCOc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC001225893989 775625490 /nfs/dbraw/zinc/62/54/90/775625490.db2.gz AOLVXQNOAPSUEF-UHFFFAOYSA-N 0 0 294.229 2.812 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2ccc(F)cc2[N+](=O)[O-])C1 ZINC001225904414 775629370 /nfs/dbraw/zinc/62/93/70/775629370.db2.gz CVGXNQABGPMURI-KOLCDFICSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC001225915691 775633915 /nfs/dbraw/zinc/63/39/15/775633915.db2.gz LOHDADAWVBJKJC-NXEZZACHSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(C[C@H](C)Oc1ccc([N+](=O)[O-])cc1F)OC ZINC001225943017 775643915 /nfs/dbraw/zinc/64/39/15/775643915.db2.gz WEYCIYHPXQPMFS-QMMMGPOBSA-N 0 0 273.260 2.510 20 5 CFBDRN COC(C[C@@H](C)Oc1c(F)c(F)ccc1[N+](=O)[O-])OC ZINC001225963987 775650704 /nfs/dbraw/zinc/65/07/04/775650704.db2.gz VZAANLCERYLSNO-SSDOTTSWSA-N 0 0 291.250 2.649 20 5 CFBDRN O=C1OCC[C@H]1Oc1ccc(-c2ccc([N+](=O)[O-])cc2)cc1 ZINC001225973786 775653727 /nfs/dbraw/zinc/65/37/27/775653727.db2.gz RSBSGPASUMWJKN-OAHLLOKOSA-N 0 0 299.282 2.956 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC001226009335 775665713 /nfs/dbraw/zinc/66/57/13/775665713.db2.gz KXYUYHBGIOSUAT-ZJUUUORDSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1c(F)cccc1[N+](=O)[O-] ZINC001226010908 775667753 /nfs/dbraw/zinc/66/77/53/775667753.db2.gz XGSLBPDKGCVVTJ-KWQFWETISA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1ccnc(O[C@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC001226115550 775703825 /nfs/dbraw/zinc/70/38/25/775703825.db2.gz DGFBWZRXGICJSN-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1nccc(C)c1[N+](=O)[O-] ZINC001226121093 775707043 /nfs/dbraw/zinc/70/70/43/775707043.db2.gz GUDLTFRABQVPPL-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1ccnc(O[C@H](C)Cc2ccco2)c1[N+](=O)[O-] ZINC001226119560 775707398 /nfs/dbraw/zinc/70/73/98/775707398.db2.gz QGMPKRFRGRBTIP-SNVBAGLBSA-N 0 0 262.265 2.901 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1OC1CCSCC1 ZINC001226129922 775710610 /nfs/dbraw/zinc/71/06/10/775710610.db2.gz IUJLWWICRRGWJM-UHFFFAOYSA-N 0 0 274.729 2.918 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC001226149817 775715833 /nfs/dbraw/zinc/71/58/33/775715833.db2.gz CGKNTLUHAPCMMT-QMMMGPOBSA-N 0 0 292.247 2.509 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226180179 775726423 /nfs/dbraw/zinc/72/64/23/775726423.db2.gz KMAGGYVLIZRYQS-HQJQHLMTSA-N 0 0 273.235 2.677 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226186438 775730058 /nfs/dbraw/zinc/73/00/58/775730058.db2.gz CHHRSGFTIYBTCE-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN CO[C@@H](C)CCOc1cc([N+](=O)[O-])c(F)cc1F ZINC001226188819 775731176 /nfs/dbraw/zinc/73/11/76/775731176.db2.gz GKECOLQFQIHPPW-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCOC2)c(Cl)cc1F ZINC001226194608 775732873 /nfs/dbraw/zinc/73/28/73/775732873.db2.gz DBGGMBVVMOIYLN-ZCFIWIBFSA-N 0 0 261.636 2.555 20 5 CFBDRN CCOC[C@H](C)Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226192512 775733224 /nfs/dbraw/zinc/73/32/24/775733224.db2.gz VZLLGURQJHJPPK-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCO2)c(Cl)cc1F ZINC001226196899 775734729 /nfs/dbraw/zinc/73/47/29/775734729.db2.gz WGNPMTNMQKSMLL-ZCFIWIBFSA-N 0 0 261.636 2.555 20 5 CFBDRN CC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(Cl)c1 ZINC001226232857 775745387 /nfs/dbraw/zinc/74/53/87/775745387.db2.gz LSXKDGYSYXLTIV-CYBMUJFWSA-N 0 0 283.711 2.977 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2COc3cnccc32)c(Cl)c1 ZINC001226256919 775757796 /nfs/dbraw/zinc/75/77/96/775757796.db2.gz ATTQRBYFXDSWNM-LLVKDONJSA-N 0 0 293.666 2.551 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cc([N+](=O)[O-])ccc2F)O1 ZINC001226265879 775762422 /nfs/dbraw/zinc/76/24/22/775762422.db2.gz MPKHGQZSXSIRBK-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN CCOCC(COCC)Oc1cc([N+](=O)[O-])ccc1F ZINC001226265192 775762564 /nfs/dbraw/zinc/76/25/64/775762564.db2.gz FGIVSLIOAVBEHK-UHFFFAOYSA-N 0 0 287.287 2.554 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1cc([N+](=O)[O-])ccc1F ZINC001226266885 775763966 /nfs/dbraw/zinc/76/39/66/775763966.db2.gz BCUAXHMJUXJEPI-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)cc1O[C@@H]1CCOC1 ZINC001226302171 775772724 /nfs/dbraw/zinc/77/27/24/775772724.db2.gz VKZLBKIYISBVOP-MRVPVSSYSA-N 0 0 277.198 2.781 20 5 CFBDRN C[C@@H](CC(=O)OC(C)(C)C)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226333181 775782684 /nfs/dbraw/zinc/78/26/84/775782684.db2.gz HWYYYJYYQDFAFR-VIFPVBQESA-N 0 0 297.307 2.800 20 5 CFBDRN C[C@@H](Cc1ccco1)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226333844 775783099 /nfs/dbraw/zinc/78/30/99/775783099.db2.gz OPWDDNSUDKBZGJ-VIFPVBQESA-N 0 0 263.249 2.903 20 5 CFBDRN C[C@@H](COC(C)(C)C)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226336652 775783889 /nfs/dbraw/zinc/78/38/89/775783889.db2.gz RABJCHXECMPCSV-VIFPVBQESA-N 0 0 269.297 2.883 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226337720 775784246 /nfs/dbraw/zinc/78/42/46/775784246.db2.gz BZABQGQBFWLJBV-VIFPVBQESA-N 0 0 269.297 2.883 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cc1Br)C1CC1 ZINC001226342314 775785054 /nfs/dbraw/zinc/78/50/54/775785054.db2.gz LVLVRHXNVRVYKT-LURJTMIESA-N 0 0 287.113 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1O[C@H]1COc2cnccc21 ZINC001226367992 775792583 /nfs/dbraw/zinc/79/25/83/775792583.db2.gz PWPWGUYKYPYALB-JTQLQIEISA-N 0 0 293.666 2.551 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ncnc2ccc([N+](=O)[O-])cc21 ZINC001226436528 775822430 /nfs/dbraw/zinc/82/24/30/775822430.db2.gz MLZFDFSFVDFIRF-ZDUSSCGKSA-N 0 0 289.291 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(OC[C@@H]3CCC=CO3)c2c1 ZINC001226436320 775823013 /nfs/dbraw/zinc/82/30/13/775823013.db2.gz KEVJKUNBEIUMQY-NSHDSACASA-N 0 0 287.275 2.610 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)C2CCOCC2)c1 ZINC001226443409 775823810 /nfs/dbraw/zinc/82/38/10/775823810.db2.gz UXXHTZRZMKZTKH-JTQLQIEISA-N 0 0 281.308 2.797 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CCO[C@@H](C)C2)c1 ZINC001226445576 775825261 /nfs/dbraw/zinc/82/52/61/775825261.db2.gz HRGAOCLZLCYXPW-GXSJLCMTSA-N 0 0 267.281 2.550 20 5 CFBDRN Cc1nc(O[C@@H](C)c2ccccc2[N+](=O)[O-])cc(=O)[nH]1 ZINC001226510787 775854864 /nfs/dbraw/zinc/85/48/64/775854864.db2.gz PZFFRSBIXHKGMQ-QMMMGPOBSA-N 0 0 275.264 2.539 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCO[C@H](C)C1 ZINC001226532248 775864423 /nfs/dbraw/zinc/86/44/23/775864423.db2.gz SDNKLUDRNUDVCZ-BXKDBHETSA-N 0 0 279.292 2.744 20 5 CFBDRN COc1cc(O[C@@H]2CCCC[C@H]2F)ncc1[N+](=O)[O-] ZINC001226579159 775879851 /nfs/dbraw/zinc/87/98/51/775879851.db2.gz ODPPOIYNCQGBCK-PSASIEDQSA-N 0 0 270.260 2.658 20 5 CFBDRN COc1cc(O[C@@H](C)c2ccccn2)ncc1[N+](=O)[O-] ZINC001226581120 775880944 /nfs/dbraw/zinc/88/09/44/775880944.db2.gz LJEGQEBMQMWOGX-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN COc1cc(O[C@@H](C)c2ccc(C)nc2)ncc1[N+](=O)[O-] ZINC001226581117 775881362 /nfs/dbraw/zinc/88/13/62/775881362.db2.gz LIVDHCQTQUDTHZ-JTQLQIEISA-N 0 0 289.291 2.842 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ccc([N+](=O)[O-])nc2)cn1 ZINC001226709412 775932475 /nfs/dbraw/zinc/93/24/75/775932475.db2.gz IBAUISGUEPXPJG-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1cnc(F)c([C@H](C)Oc2ccc([N+](=O)[O-])nc2)c1 ZINC001226711790 775933168 /nfs/dbraw/zinc/93/31/68/775933168.db2.gz GMERXCOKLJIAOX-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN CC(C)[C@H](Oc1ccc([N+](=O)[O-])nc1)C(F)(F)F ZINC001226710830 775933779 /nfs/dbraw/zinc/93/37/79/775933779.db2.gz WGPQJGHHXCCCKY-VIFPVBQESA-N 0 0 264.203 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCc3ccccc3O2)cn1 ZINC001226710755 775933833 /nfs/dbraw/zinc/93/38/33/775933833.db2.gz UOMXQEHGENHKGB-CYBMUJFWSA-N 0 0 286.287 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2COCc3ccccc32)cn1 ZINC001226711119 775933879 /nfs/dbraw/zinc/93/38/79/775933879.db2.gz ZWJIDOCVJSZSJU-CYBMUJFWSA-N 0 0 272.260 2.640 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CCCCCCC2)nc1 ZINC001226733411 775941305 /nfs/dbraw/zinc/94/13/05/775941305.db2.gz PBDYROZHNBDDID-UHFFFAOYSA-N 0 0 251.286 2.877 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Oc1ncc([N+](=O)[O-])cn1)CC2 ZINC001226730963 775941345 /nfs/dbraw/zinc/94/13/45/775941345.db2.gz KLNCVUGDYRUKJD-ZDUSSCGKSA-N 0 0 271.276 2.760 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CC[C@H](C(F)(F)F)CC2)nc1 ZINC001226734258 775942217 /nfs/dbraw/zinc/94/22/17/775942217.db2.gz WXYDMKXRQIPOJQ-XWEPSHTISA-N 0 0 291.229 2.885 20 5 CFBDRN Cc1ccccc1OC[C@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733678 775942843 /nfs/dbraw/zinc/94/28/43/775942843.db2.gz RQVIMJBNOMBMKD-NSHDSACASA-N 0 0 289.291 2.540 20 5 CFBDRN CCCCOC[C@H](C)Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226751577 775946593 /nfs/dbraw/zinc/94/65/93/775946593.db2.gz MCRUGCDJTOJERV-VIFPVBQESA-N 0 0 272.276 2.713 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)COC(C)(C)C ZINC001226761315 775951212 /nfs/dbraw/zinc/95/12/12/775951212.db2.gz RVQVBBJSPFYTLD-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@H](Oc2cccc([N+](=O)[O-])c2)C1 ZINC001226762834 775952706 /nfs/dbraw/zinc/95/27/06/775952706.db2.gz BOXWKPHTYPWDQS-PWSUYJOCSA-N 0 0 279.292 2.705 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ncc([N+](=O)[O-])cc2C)cn1 ZINC001226762233 775952976 /nfs/dbraw/zinc/95/29/76/775952976.db2.gz RDRITKDITZSPFX-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H](C)c1ccccn1 ZINC001226762018 775953071 /nfs/dbraw/zinc/95/30/71/775953071.db2.gz PPBVUOCGIKQMMM-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H]1CC(F)(F)C1(F)F ZINC001226765830 775953895 /nfs/dbraw/zinc/95/38/95/775953895.db2.gz WOBBLJPNYHFXQO-SSDOTTSWSA-N 0 0 280.177 2.720 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1cccc([N+](=O)[O-])c1 ZINC001226764528 775955064 /nfs/dbraw/zinc/95/50/64/775955064.db2.gz JSJLVONORFNUFU-SNVBAGLBSA-N 0 0 261.281 2.777 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2COc3cscc3O2)c1 ZINC001226768709 775956150 /nfs/dbraw/zinc/95/61/50/775956150.db2.gz QSENGSSPVIBMOE-LLVKDONJSA-N 0 0 293.300 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1OC[C@H]1CCO1 ZINC001226790513 775967540 /nfs/dbraw/zinc/96/75/40/775967540.db2.gz SAANVFWHJOHAPE-MRVPVSSYSA-N 0 0 288.097 2.525 20 5 CFBDRN CC(C)N1CC(Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226809960 775973200 /nfs/dbraw/zinc/97/32/00/775973200.db2.gz IEQREZQSLOKOGB-UHFFFAOYSA-N 0 0 270.716 2.720 20 5 CFBDRN Cc1cc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)n(C)n1 ZINC001226835829 775985513 /nfs/dbraw/zinc/98/55/13/775985513.db2.gz HMJHMLWRZRAFDD-JTQLQIEISA-N 0 0 261.281 2.777 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2cc(O)ccc21)C1CC1 ZINC001226860229 775995432 /nfs/dbraw/zinc/99/54/32/775995432.db2.gz TUXYQBULEJMRDO-HNNXBMFYSA-N 0 0 273.288 2.980 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nc2ccccc2o1)c1cccnc1 ZINC001226907474 776011535 /nfs/dbraw/zinc/01/15/35/776011535.db2.gz VLRJFRUPPKZIEW-ZDUSSCGKSA-N 0 0 285.259 2.620 20 5 CFBDRN CC(C)N1CC(Oc2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC001226908637 776012953 /nfs/dbraw/zinc/01/29/53/776012953.db2.gz LDAQDKOVYHNVPS-UHFFFAOYSA-N 0 0 288.706 2.859 20 5 CFBDRN COC1CCC(Oc2cc(Cl)c([N+](=O)[O-])cn2)CC1 ZINC001226918101 776016143 /nfs/dbraw/zinc/01/61/43/776016143.db2.gz PZHVQWDRDHJMSL-UHFFFAOYSA-N 0 0 286.715 2.980 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCO2)ccc1C(F)(F)F ZINC001226963594 776037045 /nfs/dbraw/zinc/03/70/45/776037045.db2.gz DKRQOXNJFYCPLX-QMMMGPOBSA-N 0 0 277.198 2.781 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@@H]1CCO[C@@H]1C ZINC001226968810 776038659 /nfs/dbraw/zinc/03/86/59/776038659.db2.gz JJQOHNCCVOGPGX-MNOVXSKESA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H]1CC[N@@H+](C(C)C)C1 ZINC001226971462 776039908 /nfs/dbraw/zinc/03/99/08/776039908.db2.gz NIBXALGVPLXUBM-LBPRGKRZSA-N 0 0 264.325 2.765 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H]1COc2cnccc21 ZINC001226972782 776040221 /nfs/dbraw/zinc/04/02/21/776040221.db2.gz ZKTNIQDEHIAHCG-CYBMUJFWSA-N 0 0 272.260 2.811 20 5 CFBDRN CC(C)N(Cc1ccccc1)Cn1nccc1[N+](=O)[O-] ZINC000123113529 776044342 /nfs/dbraw/zinc/04/43/42/776044342.db2.gz KZNVTSFIWFJBBH-UHFFFAOYSA-N 0 0 274.324 2.659 20 5 CFBDRN Cc1nc(=O)[nH]c(O[C@H](C)c2ccccc2[N+](=O)[O-])c1C ZINC001226982660 776048258 /nfs/dbraw/zinc/04/82/58/776048258.db2.gz USAPUFVXQFVVQF-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1OC1CC(=O)C1 ZINC001226990064 776051980 /nfs/dbraw/zinc/05/19/80/776051980.db2.gz QZANVBFWBRNOSV-UHFFFAOYSA-N 0 0 255.657 2.667 20 5 CFBDRN COC1CCC(Oc2nc(C)ccc2[N+](=O)[O-])CC1 ZINC001227062146 776079862 /nfs/dbraw/zinc/07/98/62/776079862.db2.gz GSRZRSGKWHYDQB-UHFFFAOYSA-N 0 0 266.297 2.635 20 5 CFBDRN CCC[C@@H](CC(=O)OCC)Oc1nc(C)ccc1[N+](=O)[O-] ZINC001227062454 776079897 /nfs/dbraw/zinc/07/98/97/776079897.db2.gz NCLKPVQWWUTJRO-NSHDSACASA-N 0 0 296.323 2.799 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCCC[C@H]2F)n1 ZINC001227065694 776081936 /nfs/dbraw/zinc/08/19/36/776081936.db2.gz XLFZUECFUPCBJU-MWLCHTKSSA-N 0 0 254.261 2.958 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCCC[C@H]2F)n1 ZINC001227065693 776081990 /nfs/dbraw/zinc/08/19/90/776081990.db2.gz XLFZUECFUPCBJU-KOLCDFICSA-N 0 0 254.261 2.958 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC2Cc3ccccc3C2)n1 ZINC001227066943 776082359 /nfs/dbraw/zinc/08/23/59/776082359.db2.gz QPCGFUKRRQQFMX-UHFFFAOYSA-N 0 0 270.288 2.844 20 5 CFBDRN CCCC[C@@H](C)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227108752 776099562 /nfs/dbraw/zinc/09/95/62/776099562.db2.gz PBVYMWHUKITTFZ-MRVPVSSYSA-N 0 0 269.257 2.856 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1OC1CCSCC1 ZINC001227121899 776106904 /nfs/dbraw/zinc/10/69/04/776106904.db2.gz HDYTVWWRLRVOTI-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN CCOc1cc(C)ccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001227203339 776136068 /nfs/dbraw/zinc/13/60/68/776136068.db2.gz ZEBWYMSHFSCBOD-CQSZACIVSA-N 0 0 265.309 2.828 20 5 CFBDRN COCC(COC)Oc1c2ccccc2ccc1[N+](=O)[O-] ZINC001227214884 776140732 /nfs/dbraw/zinc/14/07/32/776140732.db2.gz SQZGIUCWFZCEQJ-UHFFFAOYSA-N 0 0 291.303 2.788 20 5 CFBDRN CCOC[C@H](C)Oc1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC001227222334 776144147 /nfs/dbraw/zinc/14/41/47/776144147.db2.gz ZUWYAPLXNXXGDX-VIFPVBQESA-N 0 0 292.291 2.652 20 5 CFBDRN Cc1ccc(O[C@H]2CC(F)(F)C2(F)F)c([N+](=O)[O-])n1 ZINC001227223376 776144323 /nfs/dbraw/zinc/14/43/23/776144323.db2.gz JMRPTPSZPJOQPY-ZETCQYMHSA-N 0 0 280.177 2.720 20 5 CFBDRN C[C@@H](Oc1nc2c(cccc2[N+](=O)[O-])o1)c1cncnc1 ZINC001227236957 776150629 /nfs/dbraw/zinc/15/06/29/776150629.db2.gz CJTFNIJGMUMTHW-MRVPVSSYSA-N 0 0 286.247 2.666 20 5 CFBDRN O=[N+]([O-])c1nc(Br)ccc1OC1CCC1 ZINC001227256700 776159965 /nfs/dbraw/zinc/15/99/65/776159965.db2.gz LKOWAVGVWZOPKO-UHFFFAOYSA-N 0 0 273.086 2.684 20 5 CFBDRN COC(=O)c1ccc(O[C@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC001227295916 776172846 /nfs/dbraw/zinc/17/28/46/776172846.db2.gz RAMTTWRQNSZKOZ-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN CC(=O)[C@@H](C)Oc1ncnc2cc(Cl)c([N+](=O)[O-])cc21 ZINC001227363310 776186276 /nfs/dbraw/zinc/18/62/76/776186276.db2.gz ATVDJUYBEQIMKB-SSDOTTSWSA-N 0 0 295.682 2.548 20 5 CFBDRN COC[C@H](C)Oc1ncnc2cc(Cl)c([N+](=O)[O-])cc21 ZINC001227364562 776186527 /nfs/dbraw/zinc/18/65/27/776186527.db2.gz PSGIPMATHRGZGR-ZETCQYMHSA-N 0 0 297.698 2.605 20 5 CFBDRN C[C@@H](Oc1[nH]c(=S)ncc1F)c1cccc([N+](=O)[O-])c1 ZINC001227386903 776190236 /nfs/dbraw/zinc/19/02/36/776190236.db2.gz QCCNFXSAMZYIKE-SSDOTTSWSA-N 0 0 295.295 2.953 20 5 CFBDRN CCc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(OC)c1 ZINC001227405898 776194798 /nfs/dbraw/zinc/19/47/98/776194798.db2.gz CZCUWPLRGCUNAI-CQSZACIVSA-N 0 0 265.309 2.692 20 5 CFBDRN Cc1cc(NCC2SCCS2)ncc1[N+](=O)[O-] ZINC000692816886 776200592 /nfs/dbraw/zinc/20/05/92/776200592.db2.gz BDSWKSPQZKQRFE-UHFFFAOYSA-N 0 0 271.367 2.516 20 5 CFBDRN Cc1cnc(NCC2SCCS2)c([N+](=O)[O-])c1 ZINC000692817767 776202139 /nfs/dbraw/zinc/20/21/39/776202139.db2.gz LQSHUVBTSDGOHB-UHFFFAOYSA-N 0 0 271.367 2.516 20 5 CFBDRN O=c1ccc2[n+]([O-])c3ccc(OC4CC=CC4)cc3oc-2c1 ZINC001227448768 776205595 /nfs/dbraw/zinc/20/55/95/776205595.db2.gz ICPVTAGOBGEFSV-UHFFFAOYSA-N 0 0 295.294 2.629 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCC=CO2)c([N+](=O)[O-])c1 ZINC001227464456 776208536 /nfs/dbraw/zinc/20/85/36/776208536.db2.gz XYTQIJUBKRMMLU-JTQLQIEISA-N 0 0 280.236 2.575 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC001227464401 776208897 /nfs/dbraw/zinc/20/88/97/776208897.db2.gz XSONUBUJYXFSKQ-SSDOTTSWSA-N 0 0 252.226 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC001227468490 776209662 /nfs/dbraw/zinc/20/96/62/776209662.db2.gz ZMBJSRBZLAWNPX-VIFPVBQESA-N 0 0 250.210 2.600 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2ccccc2[N+](=O)[O-])C1 ZINC001227479788 776210884 /nfs/dbraw/zinc/21/08/84/776210884.db2.gz KKBQTQLSYGIJGG-QWRGUYRKSA-N 0 0 279.292 2.705 20 5 CFBDRN CC(C)CCOC(=O)[C@@H](C)Oc1ccccc1[N+](=O)[O-] ZINC001227484367 776213522 /nfs/dbraw/zinc/21/35/22/776213522.db2.gz NVXWJSFLSQLRHP-LLVKDONJSA-N 0 0 281.308 2.951 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC001227520037 776217585 /nfs/dbraw/zinc/21/75/85/776217585.db2.gz FPRYVYUERNZXMN-STQMWFEESA-N 0 0 279.292 2.705 20 5 CFBDRN CCOC(=O)CC1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC001227521437 776218320 /nfs/dbraw/zinc/21/83/20/776218320.db2.gz QQRUJEYISVJLOR-UHFFFAOYSA-N 0 0 279.292 2.705 20 5 CFBDRN COC(=O)C1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 ZINC001227521261 776218523 /nfs/dbraw/zinc/21/85/23/776218523.db2.gz OIRHNXDEEOLXOU-UHFFFAOYSA-N 0 0 279.292 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2Cc3ccccc3O2)cc1 ZINC001227521516 776218951 /nfs/dbraw/zinc/21/89/51/776218951.db2.gz RTTOBHUCGAPLRE-AWEZNQCLSA-N 0 0 271.272 2.977 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227690950 776259536 /nfs/dbraw/zinc/25/95/36/776259536.db2.gz LGHBZTKOMAQKBA-SCZZXKLOSA-N 0 0 276.296 2.824 20 5 CFBDRN CCC[C@H](Oc1c(OC)cccc1[N+](=O)[O-])C(=O)OCC ZINC001227701981 776262667 /nfs/dbraw/zinc/26/26/67/776262667.db2.gz RJAXMZLLGISYPO-LBPRGKRZSA-N 0 0 297.307 2.714 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1OC[C@@H]1CCCCO1 ZINC001227700823 776263078 /nfs/dbraw/zinc/26/30/78/776263078.db2.gz HVEOZGALTGVNEJ-JTQLQIEISA-N 0 0 267.281 2.551 20 5 CFBDRN Cc1cc(=O)[nH]nc1O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001227798021 776294204 /nfs/dbraw/zinc/29/42/04/776294204.db2.gz KQKRFCCWVCRKRT-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN CCCOC[C@@H](C)Oc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC001227894190 776320460 /nfs/dbraw/zinc/32/04/60/776320460.db2.gz KPKQGQHHTIXQDM-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN C[C@H](Oc1cncc([N+](=O)[O-])c1)c1cnc2ccccn21 ZINC001227984265 776349029 /nfs/dbraw/zinc/34/90/29/776349029.db2.gz JUPNLSGDBRJXRH-JTQLQIEISA-N 0 0 284.275 2.778 20 5 CFBDRN O=[N+]([O-])c1cncc(OC2CCC(F)(F)CC2)c1 ZINC001227987230 776350488 /nfs/dbraw/zinc/35/04/88/776350488.db2.gz GWPMWIQOAIONBJ-UHFFFAOYSA-N 0 0 258.224 2.947 20 5 CFBDRN C[C@H]1C[C@H](Oc2cc([N+](=O)[O-])cc(F)c2F)CCO1 ZINC001228016925 776364335 /nfs/dbraw/zinc/36/43/35/776364335.db2.gz RPULUFSSGWYQJS-IONNQARKSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@H](Oc1nccc(Cl)c1[N+](=O)[O-])c1ncccn1 ZINC001228016009 776364706 /nfs/dbraw/zinc/36/47/06/776364706.db2.gz ZGUMANACAZMGFS-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN CC1(C)C[C@H](Oc2nccc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228018237 776365461 /nfs/dbraw/zinc/36/54/61/776365461.db2.gz XFEHGSDBZGQPED-MRVPVSSYSA-N 0 0 286.715 2.980 20 5 CFBDRN CCCOC[C@@H](C)Oc1nccc(Cl)c1[N+](=O)[O-] ZINC001228017762 776365749 /nfs/dbraw/zinc/36/57/49/776365749.db2.gz RVSGCZXKHUVVGF-MRVPVSSYSA-N 0 0 274.704 2.837 20 5 CFBDRN C[C@@H](Oc1nccc(Cl)c1[N+](=O)[O-])c1cnccn1 ZINC001228017565 776366037 /nfs/dbraw/zinc/36/60/37/776366037.db2.gz PXDQSRZJSHFCSM-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ccnc(Cl)c2[N+](=O)[O-])O1 ZINC001228054041 776379138 /nfs/dbraw/zinc/37/91/38/776379138.db2.gz ILFBGVFQJAHPGV-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN CC(C)N1CC(Oc2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC001228135920 776405425 /nfs/dbraw/zinc/40/54/25/776405425.db2.gz NKCMZESHNYFVRJ-UHFFFAOYSA-N 0 0 287.319 2.614 20 5 CFBDRN C[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1cccc(F)c1F ZINC001228140344 776406926 /nfs/dbraw/zinc/40/69/26/776406926.db2.gz IJUVSVOVWCHLSH-ZCFIWIBFSA-N 0 0 297.217 2.509 20 5 CFBDRN CCC[C@H](C)[C@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228139912 776407391 /nfs/dbraw/zinc/40/73/91/776407391.db2.gz CKYCPJXZJCVWFA-IUCAKERBSA-N 0 0 269.301 2.684 20 5 CFBDRN CCC[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])[C@@H](C)CC ZINC001228142624 776408168 /nfs/dbraw/zinc/40/81/68/776408168.db2.gz FYYYNEHJVWNMHZ-IUCAKERBSA-N 0 0 269.301 2.684 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2nc[nH]c(=O)c2[N+](=O)[O-])CC(C)(C)C1 ZINC001228144517 776409647 /nfs/dbraw/zinc/40/96/47/776409647.db2.gz VFVNEJBNXLTREX-RKDXNWHRSA-N 0 0 281.312 2.684 20 5 CFBDRN O=c1c2ccccc2oc2cc(OC3COCOC3)ccc21 ZINC001228179976 776416603 /nfs/dbraw/zinc/41/66/03/776416603.db2.gz NBHIQBVXFHMHSU-UHFFFAOYSA-N 0 0 298.294 2.698 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC[C@@H]2COCO2)c(Cl)c1 ZINC001228198747 776419643 /nfs/dbraw/zinc/41/96/43/776419643.db2.gz PIXVMZOUPTXVJO-ZETCQYMHSA-N 0 0 294.090 2.653 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC001228236690 776430589 /nfs/dbraw/zinc/43/05/89/776430589.db2.gz BWZROYITGGMOPM-YPMHNXCESA-N 0 0 293.319 2.932 20 5 CFBDRN CC1=C(Oc2c(C)cc(C)cc2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001228237969 776430601 /nfs/dbraw/zinc/43/06/01/776430601.db2.gz RFLCXCLXRGDXBZ-SNVBAGLBSA-N 0 0 277.276 2.810 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(O[C@@H]2CCOC[C@@H]2F)c1 ZINC001228258482 776435461 /nfs/dbraw/zinc/43/54/61/776435461.db2.gz ZOAVHCJPFHPGMJ-WDEREUQCSA-N 0 0 275.663 2.754 20 5 CFBDRN CC(C)N1CC(Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228256294 776435678 /nfs/dbraw/zinc/43/56/78/776435678.db2.gz UYMSKTZMFLTIQK-UHFFFAOYSA-N 0 0 270.716 2.720 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2cc(O)ccc2c1)C1CC1 ZINC001228274886 776441096 /nfs/dbraw/zinc/44/10/96/776441096.db2.gz NCFVDJUYBMZFNS-OAHLLOKOSA-N 0 0 273.288 2.980 20 5 CFBDRN Cc1cnccc1/C=C/C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC001126247291 776487943 /nfs/dbraw/zinc/48/79/43/776487943.db2.gz MZMBHDVICZFAND-AATRIKPKSA-N 0 0 297.314 2.628 20 5 CFBDRN COC[C@H](C)Oc1c(C(C)=O)cc(C)cc1[N+](=O)[O-] ZINC001228451986 776495157 /nfs/dbraw/zinc/49/51/57/776495157.db2.gz DWSMBRIQCWYYBP-VIFPVBQESA-N 0 0 267.281 2.520 20 5 CFBDRN COc1nccc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001228561484 776522691 /nfs/dbraw/zinc/52/26/91/776522691.db2.gz MLUBTMAGULVGOJ-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1OC[C@@H]1CCCO1 ZINC001228570547 776523976 /nfs/dbraw/zinc/52/39/76/776523976.db2.gz DZISUGSIBCFQGO-LBPRGKRZSA-N 0 0 251.282 2.769 20 5 CFBDRN CCCC[C@H](C)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604999 776537589 /nfs/dbraw/zinc/53/75/89/776537589.db2.gz ZMHCYGGIYCWHPA-QMMMGPOBSA-N 0 0 281.312 2.925 20 5 CFBDRN CCO[C@@H](C)COc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228613407 776539844 /nfs/dbraw/zinc/53/98/44/776539844.db2.gz PJSQDOZMONQSFB-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN CC[C@H](C[C@@H](C)CC)Oc1ncncc1[N+](=O)[O-] ZINC001228653730 776553293 /nfs/dbraw/zinc/55/32/93/776553293.db2.gz AYMRNMGHAZNDFX-VHSXEESVSA-N 0 0 253.302 2.978 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1Oc1ncncc1[N+](=O)[O-] ZINC001228656493 776555114 /nfs/dbraw/zinc/55/51/14/776555114.db2.gz FKXJJLRTMXWAEC-ONGXEEELSA-N 0 0 251.286 2.732 20 5 CFBDRN O=[N+]([O-])c1cncnc1OC1CCC(C(F)(F)F)CC1 ZINC001228658589 776555171 /nfs/dbraw/zinc/55/51/71/776555171.db2.gz ZEOMMJHXEGCXPC-UHFFFAOYSA-N 0 0 291.229 2.885 20 5 CFBDRN CC/C=C(\C)[C@@H](CC)Oc1ncncc1[N+](=O)[O-] ZINC001228660131 776555837 /nfs/dbraw/zinc/55/58/37/776555837.db2.gz OYLKNYSGKRDJLI-OALRPVAKSA-N 0 0 251.286 2.899 20 5 CFBDRN COc1ccc([C@H](C)Oc2ncncc2[N+](=O)[O-])c(F)c1 ZINC001228659746 776556308 /nfs/dbraw/zinc/55/63/08/776556308.db2.gz LNAPXGOKNGNWCJ-QMMMGPOBSA-N 0 0 293.254 2.673 20 5 CFBDRN Cc1[nH]c(=O)cc(OC(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC001228687224 776567407 /nfs/dbraw/zinc/56/74/07/776567407.db2.gz UXUVOVTYMSDZCJ-UHFFFAOYSA-N 0 0 264.281 2.571 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC001228687319 776569333 /nfs/dbraw/zinc/56/93/33/776569333.db2.gz VMHQMELKNAGQMD-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1ccnc2cc(O[C@@H](C[N+](=O)[O-])C3CC3)ccc12 ZINC001228736038 776589624 /nfs/dbraw/zinc/58/96/24/776589624.db2.gz HCPHZCJWTUKTNZ-HNNXBMFYSA-N 0 0 272.304 2.977 20 5 CFBDRN C[C@H](O)CCOc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000690689050 776597229 /nfs/dbraw/zinc/59/72/29/776597229.db2.gz BOTHBBCLNFTJDP-LURJTMIESA-N 0 0 263.652 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCc3nccn3C2)cc1Cl ZINC001228758484 776600259 /nfs/dbraw/zinc/60/02/59/776600259.db2.gz GSNMGDUCTGFYCV-JTQLQIEISA-N 0 0 293.710 2.839 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc2c(c1)CCCC2)c1cccnc1 ZINC001228778399 776608093 /nfs/dbraw/zinc/60/80/93/776608093.db2.gz JSSUQPRVMGOTDE-MRXNPFEDSA-N 0 0 299.330 2.752 20 5 CFBDRN O=c1oc2ccccc2c(O[C@@H]2C[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC001228804375 776618184 /nfs/dbraw/zinc/61/81/84/776618184.db2.gz DLJYZMQJRMZHBL-ILWJIGKKSA-N 0 0 287.271 2.879 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC[C@H]1COC(C)(C)O1 ZINC001228915797 776657412 /nfs/dbraw/zinc/65/74/12/776657412.db2.gz KJYDUJQOJHQFBK-LBPRGKRZSA-N 0 0 281.308 2.824 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@H](C)c1ncccn1 ZINC001228915652 776657683 /nfs/dbraw/zinc/65/76/83/776657683.db2.gz ILHAJGCWRPZDRI-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@H]1CCn2ccnc21 ZINC001228915619 776657814 /nfs/dbraw/zinc/65/78/14/776657814.db2.gz HXEGHSQDAVXNAK-LBPRGKRZSA-N 0 0 259.265 2.624 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@@H]1CCOC[C@@H]1C ZINC001228922065 776658771 /nfs/dbraw/zinc/65/87/71/776658771.db2.gz UEWIGHCKXZGYAX-GXFFZTMASA-N 0 0 251.282 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCC=CO2)nc1Cl ZINC001228933556 776661177 /nfs/dbraw/zinc/66/11/77/776661177.db2.gz HIQMXMBOCKPWOS-MRVPVSSYSA-N 0 0 270.672 2.715 20 5 CFBDRN CCCC[C@H](C)Oc1cc(O)cc([O-])c1[N+](=O)[O-] ZINC001228970614 776672241 /nfs/dbraw/zinc/67/22/41/776672241.db2.gz JCOXNPQYZOXZRU-QMMMGPOBSA-N 0 0 255.270 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(OC[C@H]3CCCO3)c2c1 ZINC001229005526 776685644 /nfs/dbraw/zinc/68/56/44/776685644.db2.gz KMXOHILYDDIFJO-GFCCVEGCSA-N 0 0 274.276 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(O[C@@H]3CCOC[C@H]3F)c2c1 ZINC001229008371 776687845 /nfs/dbraw/zinc/68/78/45/776687845.db2.gz MEIUFJUURUQYGO-CHWSQXEVSA-N 0 0 292.266 2.649 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(=O)OC ZINC001229059359 776704363 /nfs/dbraw/zinc/70/43/63/776704363.db2.gz NTZLLBDJQKCXOT-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOC2)cc1Br ZINC001229080122 776709922 /nfs/dbraw/zinc/70/99/22/776709922.db2.gz CUCCVMXRTXCCBP-MRVPVSSYSA-N 0 0 288.097 2.525 20 5 CFBDRN CC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(Br)c1 ZINC001229084830 776712530 /nfs/dbraw/zinc/71/25/30/776712530.db2.gz CMZJTUDLIDGGMN-SSDOTTSWSA-N 0 0 288.097 2.714 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229119795 776725533 /nfs/dbraw/zinc/72/55/33/776725533.db2.gz SCCKEESBQQUWFY-FXSSULRXSA-N 0 0 263.293 2.738 20 5 CFBDRN CC(=O)c1cccc(O[C@@H](C)c2ncccn2)c1[N+](=O)[O-] ZINC001229132287 776728711 /nfs/dbraw/zinc/72/87/11/776728711.db2.gz RKDGZKMNKNAJFN-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN CCCOC[C@H](C)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229137768 776730711 /nfs/dbraw/zinc/73/07/11/776730711.db2.gz LKCXSCNFJGKDDK-JTQLQIEISA-N 0 0 281.308 2.991 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COCc1cccc(C)c1[N+](=O)[O-] ZINC001223665876 776745558 /nfs/dbraw/zinc/74/55/58/776745558.db2.gz ZSXSZJQXLJAIOP-QWHCGFSZSA-N 0 0 297.351 2.860 20 5 CFBDRN COc1ccc(CO[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC001223651620 776745999 /nfs/dbraw/zinc/74/59/99/776745999.db2.gz ZSAUNVMRSVCGQT-ZSBIGDGJSA-N 0 0 263.293 2.919 20 5 CFBDRN CC[C@H](COC)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229198353 776749725 /nfs/dbraw/zinc/74/97/25/776749725.db2.gz KWANRYAENDDYGU-SNVBAGLBSA-N 0 0 257.261 2.846 20 5 CFBDRN CCOC(=O)C1CC(Oc2c(C)cc(F)cc2[N+](=O)[O-])C1 ZINC001229197154 776749890 /nfs/dbraw/zinc/74/98/90/776749890.db2.gz BZYOHUSRPGGZID-UHFFFAOYSA-N 0 0 297.282 2.763 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1CCO[C@@H](C)C1 ZINC001229202572 776751040 /nfs/dbraw/zinc/75/10/40/776751040.db2.gz YNEYSNINANZCCX-ONGXEEELSA-N 0 0 269.272 2.989 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H](C)c1cnccn1 ZINC001229202119 776751308 /nfs/dbraw/zinc/75/13/08/776751308.db2.gz VKHUWMZHGZYHFQ-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])c1cc2cccnc2c(OC2CCOCC2)c1 ZINC001229342224 776785310 /nfs/dbraw/zinc/78/53/10/776785310.db2.gz HNBAZZREGAOFCE-UHFFFAOYSA-N 0 0 274.276 2.701 20 5 CFBDRN C[C@H](Oc1cccc(=O)[nH]1)c1ccccc1[N+](=O)[O-] ZINC001229366481 776792557 /nfs/dbraw/zinc/79/25/57/776792557.db2.gz ZVPWLCSMEOFRCY-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN CC1=C(Oc2ccc(Cl)cc2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001229376185 776795730 /nfs/dbraw/zinc/79/57/30/776795730.db2.gz GGDUPMGQRFNNDZ-SSDOTTSWSA-N 0 0 283.667 2.846 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])ccc1O)c1cccnc1F ZINC001229395885 776799079 /nfs/dbraw/zinc/79/90/79/776799079.db2.gz NAQYDVVJXYWTRV-MRVPVSSYSA-N 0 0 278.239 2.975 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@H]2COCc3ccccc32)c1 ZINC001229396716 776799750 /nfs/dbraw/zinc/79/97/50/776799750.db2.gz XEMAMACFNFKRRF-HNNXBMFYSA-N 0 0 287.271 2.951 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ncnc2cc([N+](=O)[O-])ccc21 ZINC001229401968 776801049 /nfs/dbraw/zinc/80/10/49/776801049.db2.gz HDPFABSBGPZKHO-CYBMUJFWSA-N 0 0 289.291 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)ncnc2O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001229402489 776801412 /nfs/dbraw/zinc/80/14/12/776801412.db2.gz KPTCTMHDRVQCKD-RBZYPMLTSA-N 0 0 283.287 2.881 20 5 CFBDRN Cc1ccccc1[C@@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452518 776817005 /nfs/dbraw/zinc/81/70/05/776817005.db2.gz SUUPCBYBYONLIF-LLVKDONJSA-N 0 0 274.276 2.677 20 5 CFBDRN CCCC/C=C/[C@@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452008 776817152 /nfs/dbraw/zinc/81/71/52/776817152.db2.gz MDNKLSIFKHGEBG-XUIVZRPNSA-N 0 0 266.297 2.742 20 5 CFBDRN CCCC[C@H](CCC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452807 776817801 /nfs/dbraw/zinc/81/78/01/776817801.db2.gz WSQFYDHOVFBEJE-NSHDSACASA-N 0 0 268.313 2.966 20 5 CFBDRN Cc1cc(O[C@@H]2C(=O)OCC2(C)C)cc(C)c1[N+](=O)[O-] ZINC001229465278 776820485 /nfs/dbraw/zinc/82/04/85/776820485.db2.gz BJBBNYBHCIWXRG-GFCCVEGCSA-N 0 0 279.292 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(O[C@@H]3C[C@H]4C[C@H]4C3)nc2c1 ZINC001229487147 776827407 /nfs/dbraw/zinc/82/74/07/776827407.db2.gz LNSBUOMXJNTTOR-DIYOJNKTSA-N 0 0 260.249 2.913 20 5 CFBDRN CC(C)(C)N1CC(Oc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC001229488733 776828938 /nfs/dbraw/zinc/82/89/38/776828938.db2.gz ZKUXYOUGKFOTCE-UHFFFAOYSA-N 0 0 291.307 2.598 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(O[C@@H]3COc4cnccc43)nc2c1 ZINC001229488903 776828958 /nfs/dbraw/zinc/82/89/58/776828958.db2.gz AMDQGEBDUIUPGU-CYBMUJFWSA-N 0 0 299.242 2.644 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccccc1Br)C1CC1 ZINC001225197447 776861507 /nfs/dbraw/zinc/86/15/07/776861507.db2.gz MMRHBZKBXPSUCQ-LLVKDONJSA-N 0 0 286.125 2.883 20 5 CFBDRN CC(C)c1cccc(O)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001229709036 776875839 /nfs/dbraw/zinc/87/58/39/776875839.db2.gz FLWIJGYUJQZCOC-CYBMUJFWSA-N 0 0 265.309 2.950 20 5 CFBDRN CCC[C@H](Oc1cc([N+](=O)[O-])c(C)cc1O)C(=O)OCC ZINC001229750720 776887558 /nfs/dbraw/zinc/88/75/58/776887558.db2.gz QNCXLRNSSHEIPN-LBPRGKRZSA-N 0 0 297.307 2.719 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1cc([N+](=O)[O-])c(C)cc1O ZINC001229754852 776888639 /nfs/dbraw/zinc/88/86/39/776888639.db2.gz UDJGXADHBLWMCJ-SNVBAGLBSA-N 0 0 297.307 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ncc(F)cc1F)C2 ZINC001229859127 776905848 /nfs/dbraw/zinc/90/58/48/776905848.db2.gz YNHQINVVJMLKSI-LBPRGKRZSA-N 0 0 292.241 2.814 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@@H]1CCOC[C@@H]1F ZINC001229881481 776909902 /nfs/dbraw/zinc/90/99/02/776909902.db2.gz XKLFOOJANQRJPP-GXFFZTMASA-N 0 0 269.272 2.717 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cc(F)c(C)cc2[N+](=O)[O-])C1 ZINC001229894114 776913166 /nfs/dbraw/zinc/91/31/66/776913166.db2.gz GEOGQONPINAVSS-ZJUUUORDSA-N 0 0 297.282 2.763 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@H]2COC(C)(C)O2)cc1F ZINC001229896125 776914240 /nfs/dbraw/zinc/91/42/40/776914240.db2.gz ZBXRBXSHKZMQTM-VIFPVBQESA-N 0 0 285.271 2.573 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1cc(C)c(Cl)cc1[N+](=O)[O-] ZINC001229978092 776930744 /nfs/dbraw/zinc/93/07/44/776930744.db2.gz GZKJRKORAHTTAU-MRVPVSSYSA-N 0 0 287.699 2.887 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2COCO2)c(Cl)c1Cl ZINC001230040052 776948572 /nfs/dbraw/zinc/94/85/72/776948572.db2.gz JWVNHDHOCJPYSJ-ZCFIWIBFSA-N 0 0 294.090 2.653 20 5 CFBDRN C[C@H](Oc1ccc2c(c1)CNC2=O)c1cccc([N+](=O)[O-])c1 ZINC001230044689 776949603 /nfs/dbraw/zinc/94/96/03/776949603.db2.gz MKHHHMDIFRLDCU-JTQLQIEISA-N 0 0 298.298 2.978 20 5 CFBDRN Cc1ccc(O[C@@H]2COc3cnccc32)c([N+](=O)[O-])c1 ZINC001230144882 776955794 /nfs/dbraw/zinc/95/57/94/776955794.db2.gz ACRARAWCNDFGHG-CQSZACIVSA-N 0 0 272.260 2.811 20 5 CFBDRN CCCOC[C@H](C)Oc1cc([N+](=O)[O-])ccc1NC(C)=O ZINC001230246783 776965400 /nfs/dbraw/zinc/96/54/00/776965400.db2.gz TXBMDIMHBXETLN-JTQLQIEISA-N 0 0 296.323 2.747 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225383172 776980238 /nfs/dbraw/zinc/98/02/38/776980238.db2.gz VWZABXKWUKXXST-GFCCVEGCSA-N 0 0 255.245 2.870 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385402 776980941 /nfs/dbraw/zinc/98/09/41/776980941.db2.gz RWBKTFNISAJLST-QPUJVOFHSA-N 0 0 255.245 2.538 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1cc(C)c(C)c([N+](=O)[O-])c1 ZINC001230471337 776996277 /nfs/dbraw/zinc/99/62/77/776996277.db2.gz CLGPVOISRPGBEE-SNVBAGLBSA-N 0 0 279.292 2.708 20 5 CFBDRN Cc1cc(OC2CC3(COC3)C2)cc([N+](=O)[O-])c1C ZINC001230471430 776996334 /nfs/dbraw/zinc/99/63/34/776996334.db2.gz GBXZPBKFDCUCLB-UHFFFAOYSA-N 0 0 263.293 2.769 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(C)c(C)c([N+](=O)[O-])c1 ZINC001230471759 776996574 /nfs/dbraw/zinc/99/65/74/776996574.db2.gz PVNDFVUKBDIFDV-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@@H]2CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC001230490830 776998399 /nfs/dbraw/zinc/99/83/99/776998399.db2.gz GFHUKGDIZLBRSZ-ZJUUUORDSA-N 0 0 296.279 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC001230494757 776999417 /nfs/dbraw/zinc/99/94/17/776999417.db2.gz WEUYVZWSJMQYSZ-UWVGGRQHSA-N 0 0 296.279 2.758 20 5 CFBDRN Cc1ccc(OC[C@H]2CCCCO2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492520 776999749 /nfs/dbraw/zinc/99/97/49/776999749.db2.gz QMCCVAIGRIQBNX-SNVBAGLBSA-N 0 0 296.279 2.759 20 5 CFBDRN CCN1CC(Oc2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 ZINC001230768965 777059403 /nfs/dbraw/zinc/05/94/03/777059403.db2.gz WHRHTZFHQAUBME-UHFFFAOYSA-N 0 0 291.134 2.985 20 5 CFBDRN CC(C)[N@@H+]1CC[C@@H](Oc2ccc(Cl)nc2[N+](=O)[O-])C1 ZINC001230878609 777079329 /nfs/dbraw/zinc/07/93/29/777079329.db2.gz GQPBGNBFUOORMR-SECBINFHSA-N 0 0 285.731 2.505 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1OC[C@H]1CCCCO1 ZINC001230878552 777079686 /nfs/dbraw/zinc/07/96/86/777079686.db2.gz GBSWFGIBWZNEBI-MRVPVSSYSA-N 0 0 272.688 2.591 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230914954 777092199 /nfs/dbraw/zinc/09/21/99/777092199.db2.gz MMOFFVNRDOMFDH-VIFPVBQESA-N 0 0 287.699 2.969 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1O[C@@H]1CCOC[C@@H]1F ZINC001230914520 777092415 /nfs/dbraw/zinc/09/24/15/777092415.db2.gz JKKJYWIQVPLNAT-DTWKUNHWSA-N 0 0 275.663 2.754 20 5 CFBDRN CCOC(=O)c1ccc(OC[C@H](CC)OC)cc1[N+](=O)[O-] ZINC001230918263 777092719 /nfs/dbraw/zinc/09/27/19/777092719.db2.gz NIBKZUACGZUAIM-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN CC1(C)C(=O)CCC[C@@H]1Oc1cccnc1[N+](=O)[O-] ZINC001225629234 777099191 /nfs/dbraw/zinc/09/91/91/777099191.db2.gz HPSIMUKHRUNCRE-NSHDSACASA-N 0 0 264.281 2.516 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1OC1CCOCC1 ZINC001231053545 777125893 /nfs/dbraw/zinc/12/58/93/777125893.db2.gz DELLPGNTTZGCNW-UHFFFAOYSA-N 0 0 251.282 2.769 20 5 CFBDRN COc1cccc2c(O[C@H](C[N+](=O)[O-])C3CC3)ccnc12 ZINC001231053640 777125966 /nfs/dbraw/zinc/12/59/66/777125966.db2.gz FKYUFDVLTPTWSZ-CQSZACIVSA-N 0 0 288.303 2.678 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(OC3CCSCC3)c21 ZINC001231053898 777126079 /nfs/dbraw/zinc/12/60/79/777126079.db2.gz WRKJHEUJYILEPX-UHFFFAOYSA-N 0 0 291.332 2.812 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(O[C@@H]3C[C@H]4C[C@H]4C3)c21 ZINC001231053771 777126130 /nfs/dbraw/zinc/12/61/30/777126130.db2.gz TZGITPCOUNHQCQ-ILWJIGKKSA-N 0 0 271.276 2.715 20 5 CFBDRN CCOC(=O)[C@H](Oc1c(C)ccc(C)c1[N+](=O)[O-])C1CC1 ZINC001231058440 777128869 /nfs/dbraw/zinc/12/88/69/777128869.db2.gz YVOJXGNQLDWRAU-CQSZACIVSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1cc(O[C@@H]2CCC(=O)CC2(C)C)ncc1[N+](=O)[O-] ZINC001225663894 777153864 /nfs/dbraw/zinc/15/38/64/777153864.db2.gz UWUUMBOTYOMIQZ-GFCCVEGCSA-N 0 0 278.308 2.825 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(O[C@@H]3CCOC[C@H]3F)cnc21 ZINC001231156365 777159555 /nfs/dbraw/zinc/15/95/55/777159555.db2.gz JOVASISVZSTYQG-DGCLKSJQSA-N 0 0 292.266 2.649 20 5 CFBDRN COc1ncc([N+](=O)[O-])cc1NCc1ccccc1C ZINC001161621004 777162249 /nfs/dbraw/zinc/16/22/49/777162249.db2.gz RSXHUOKOEKXFKF-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(O)ccc2ccccc21)C1CC1 ZINC001231230544 777175960 /nfs/dbraw/zinc/17/59/60/777175960.db2.gz KIAHNQPDOZFLAD-AWEZNQCLSA-N 0 0 273.288 2.980 20 5 CFBDRN CCCC(=O)Nc1c(O[C@@H](C)C(C)=O)cccc1[N+](=O)[O-] ZINC001231360126 777201106 /nfs/dbraw/zinc/20/11/06/777201106.db2.gz WKDIDJFBIVLCLA-JTQLQIEISA-N 0 0 294.307 2.690 20 5 CFBDRN CSCCCCCNC(=O)c1cc([N+](=O)[O-])cc(C)n1 ZINC001269728963 777237787 /nfs/dbraw/zinc/23/77/87/777237787.db2.gz CDPVYOXKTRCXPO-UHFFFAOYSA-N 0 0 297.380 2.561 20 5 CFBDRN COC(=O)c1c(C)c([N+](=O)[O-])ccc1NCC(F)(F)F ZINC001161632862 777319799 /nfs/dbraw/zinc/31/97/99/777319799.db2.gz PYRWAXSJEGOFNL-UHFFFAOYSA-N 0 0 292.213 2.664 20 5 CFBDRN CC(C)[C@@H](O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000302338606 777424020 /nfs/dbraw/zinc/42/40/20/777424020.db2.gz IWBMNNMYEXOPGZ-JTQLQIEISA-N 0 0 259.689 2.644 20 5 CFBDRN c1cnc2c(c1)ccc1ccc(CN3CCOCC3)nc12 ZINC001232218980 777479009 /nfs/dbraw/zinc/47/90/09/777479009.db2.gz JNJUJKVXNQILAD-UHFFFAOYSA-N 0 0 279.343 2.615 20 5 CFBDRN COCC[N@H+](C)Cc1ccc2ccc3cccnc3c2n1 ZINC001232219916 777479223 /nfs/dbraw/zinc/47/92/23/777479223.db2.gz WSALDDXPVHHKNQ-UHFFFAOYSA-N 0 0 281.359 2.861 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2C[C@H]2c2ccccc2)n1 ZINC001270812241 777496781 /nfs/dbraw/zinc/49/67/81/777496781.db2.gz JZYIJEKVIXXCDP-UONOGXRCSA-N 0 0 297.314 2.584 20 5 CFBDRN C[C@@H]1C[C@H](Nc2cc(Cl)cc([N+](=O)[O-])n2)CCO1 ZINC001161690144 777562382 /nfs/dbraw/zinc/56/23/82/777562382.db2.gz DBNYUZZZQGZQSC-VXNVDRBHSA-N 0 0 271.704 2.623 20 5 CFBDRN CCCc1cc(C(=O)OCc2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000029021530 777570055 /nfs/dbraw/zinc/57/00/55/777570055.db2.gz HVVJLVLJKRSUML-UHFFFAOYSA-N 0 0 289.291 2.627 20 5 CFBDRN Cc1cc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)nc(C)n1 ZINC000340615131 777575963 /nfs/dbraw/zinc/57/59/63/777575963.db2.gz DNETWYHDPIZIMV-LLVKDONJSA-N 0 0 286.335 2.852 20 5 CFBDRN CC1(C)C(=O)CCC[C@@H]1Oc1ccc([N+](=O)[O-])nc1 ZINC001226712223 777617769 /nfs/dbraw/zinc/61/77/69/777617769.db2.gz JOJAYZANWOZTTC-NSHDSACASA-N 0 0 264.281 2.516 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1Oc1ncc([N+](=O)[O-])cn1 ZINC001226732519 777626564 /nfs/dbraw/zinc/62/65/64/777626564.db2.gz WJTIOPHUOKGUCM-ONGXEEELSA-N 0 0 251.286 2.732 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1c(C)cccc1[N+](=O)[O-] ZINC001226970970 777713832 /nfs/dbraw/zinc/71/38/32/777713832.db2.gz GLWAVUSEQRYOCJ-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)c2cccnc2)n1 ZINC001227063937 777755105 /nfs/dbraw/zinc/75/51/05/777755105.db2.gz AREPERROZVNNLC-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ncccc1Cl ZINC001227118386 777767097 /nfs/dbraw/zinc/76/70/97/777767097.db2.gz NRZAPLODVADLSX-QMMMGPOBSA-N 0 0 296.714 2.616 20 5 CFBDRN CC[C@@H](COc1nc2ccc([N+](=O)[O-])cc2o1)OC ZINC001227231145 777793464 /nfs/dbraw/zinc/79/34/64/777793464.db2.gz CAJRPQNUBDNXPU-VIFPVBQESA-N 0 0 266.253 2.540 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2ccccc2[N+](=O)[O-])C1 ZINC001227484154 777846697 /nfs/dbraw/zinc/84/66/97/777846697.db2.gz JTFCKBCVCPJCJV-QWRGUYRKSA-N 0 0 279.292 2.705 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H](C)c1ncccn1 ZINC001227696637 777865520 /nfs/dbraw/zinc/86/55/20/777865520.db2.gz MAHFIZPIVXRWHH-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CCO[C@@H](C)COc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233501449 777971078 /nfs/dbraw/zinc/97/10/78/777971078.db2.gz MSXLICOXZPHYSI-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN CC[C@@H](COC)Oc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233497850 777971297 /nfs/dbraw/zinc/97/12/97/777971297.db2.gz IPPRRPLSNQPPPC-ZETCQYMHSA-N 0 0 299.714 2.928 20 5 CFBDRN CC(=O)c1cc(O[C@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC001233502377 777972723 /nfs/dbraw/zinc/97/27/23/777972723.db2.gz PIUJXICRJZLNIL-CABZTGNLSA-N 0 0 279.292 2.744 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H](C)c1ccncc1 ZINC001233549154 777986279 /nfs/dbraw/zinc/98/62/79/777986279.db2.gz CECPLCKOYKJZFC-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228001943 777991947 /nfs/dbraw/zinc/99/19/47/777991947.db2.gz GAKJIWQZSUPANW-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN CO[C@H](C)CCOc1cc(F)c([N+](=O)[O-])cc1F ZINC001233596954 778004155 /nfs/dbraw/zinc/00/41/55/778004155.db2.gz GVMZWRQQECPAIP-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233698353 778044747 /nfs/dbraw/zinc/04/47/47/778044747.db2.gz NVNWJDYNWAEFJQ-RDDDGLTNSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCCC2)cc1[N+](=O)[O-] ZINC001233702664 778048024 /nfs/dbraw/zinc/04/80/24/778048024.db2.gz OGNJDSQPXPOZPS-UHFFFAOYSA-N 0 0 252.226 2.824 20 5 CFBDRN CC1(C)C[C@@H](Oc2cc(Cl)cnc2[N+](=O)[O-])CCO1 ZINC001233716354 778051892 /nfs/dbraw/zinc/05/18/92/778051892.db2.gz NJAPBMVVQMJNRO-VIFPVBQESA-N 0 0 286.715 2.980 20 5 CFBDRN Cc1c([C@@H](C)Oc2cc(Cl)cnc2[N+](=O)[O-])cnn1C ZINC001233713633 778052218 /nfs/dbraw/zinc/05/22/18/778052218.db2.gz UWGGAZGSSWQSAF-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc(Cl)cnc1[N+](=O)[O-] ZINC001233716930 778052551 /nfs/dbraw/zinc/05/25/51/778052551.db2.gz RUVOFUFBRKSRJD-VIFPVBQESA-N 0 0 272.688 2.780 20 5 CFBDRN CO[C@@H](C)CCOc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC001233745914 778062412 /nfs/dbraw/zinc/06/24/12/778062412.db2.gz RLMHYRBDYHWHGO-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN CC(C)OCCOc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233746545 778062709 /nfs/dbraw/zinc/06/27/09/778062709.db2.gz BMMPHNSMWHZAQA-UHFFFAOYSA-N 0 0 261.224 2.677 20 5 CFBDRN CC[C@@H](COC)Oc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC001233745367 778062860 /nfs/dbraw/zinc/06/28/60/778062860.db2.gz MIEDWAPZEVKGPS-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(OC[C@@H]2CCCCO2)cc1F ZINC001233753502 778064647 /nfs/dbraw/zinc/06/46/47/778064647.db2.gz MBSLCWKVJMSANP-QMMMGPOBSA-N 0 0 273.235 2.821 20 5 CFBDRN CC[C@@H](COC)Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233753025 778064929 /nfs/dbraw/zinc/06/49/29/778064929.db2.gz IJPYKBSQFROHEN-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1O[C@@H]1CCOC[C@@H]1F ZINC001233785815 778077275 /nfs/dbraw/zinc/07/72/75/778077275.db2.gz KLBAEJUELUMTHT-GXFFZTMASA-N 0 0 292.266 2.649 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233843824 778092079 /nfs/dbraw/zinc/09/20/79/778092079.db2.gz ZGJLTACJVGWZRV-PWSUYJOCSA-N 0 0 288.303 2.947 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(OC3CCOCC3)nc21 ZINC001233843837 778093243 /nfs/dbraw/zinc/09/32/43/778093243.db2.gz ZIXKNRSRDKXHDM-UHFFFAOYSA-N 0 0 274.276 2.701 20 5 CFBDRN CC(=O)[C@H](Oc1ccnc([N+](=O)[O-])c1)c1ccccc1 ZINC001233858354 778097605 /nfs/dbraw/zinc/09/76/05/778097605.db2.gz VGLQZMWJLCRPRG-AWEZNQCLSA-N 0 0 272.260 2.699 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2COCc3ccccc32)ccn1 ZINC001233856371 778097620 /nfs/dbraw/zinc/09/76/20/778097620.db2.gz CGIDXMFENOUIMM-CYBMUJFWSA-N 0 0 272.260 2.640 20 5 CFBDRN CC[C@H](C)[C@@H](C)Oc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC001233860601 778099050 /nfs/dbraw/zinc/09/90/50/778099050.db2.gz BZKSLTFTJULSBA-DTWKUNHWSA-N 0 0 282.296 2.590 20 5 CFBDRN COC(=O)c1ccc(O[C@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC001234049477 778153172 /nfs/dbraw/zinc/15/31/72/778153172.db2.gz WVCVKVRUNAEWOM-ZANVPECISA-N 0 0 279.292 2.949 20 5 CFBDRN CC[C@H](C)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC001234053057 778154212 /nfs/dbraw/zinc/15/42/12/778154212.db2.gz WJLHCWVGTIZTML-QMMMGPOBSA-N 0 0 253.254 2.559 20 5 CFBDRN CO[C@H](C)CCOc1cc([N+](=O)[O-])c(C)cc1F ZINC001234058095 778155197 /nfs/dbraw/zinc/15/51/97/778155197.db2.gz CUWUQTKMVOORBR-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234058621 778155292 /nfs/dbraw/zinc/15/52/92/778155292.db2.gz GVDZPXNBVILHQY-SECBINFHSA-N 0 0 285.271 2.763 20 5 CFBDRN O=[N+]([O-])c1c[nH]c2nc(NC3(c4ccccn4)CC3)ccc12 ZINC001168146969 778161239 /nfs/dbraw/zinc/16/12/39/778161239.db2.gz QULNPFDWRQUZMH-UHFFFAOYSA-N 0 0 295.302 2.967 20 5 CFBDRN c1cnc2c(c1)ccc1c(OC3COCOC3)ccnc12 ZINC001234086379 778161990 /nfs/dbraw/zinc/16/19/90/778161990.db2.gz FAFCAUZSTPPTFK-UHFFFAOYSA-N 0 0 282.299 2.535 20 5 CFBDRN C[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2)[C@@H]1CCO ZINC000697226313 778166592 /nfs/dbraw/zinc/16/65/92/778166592.db2.gz DWMBEUISAFIYBQ-BXUZGUMPSA-N 0 0 264.325 2.582 20 5 CFBDRN C[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2)[C@@H]1CCO ZINC000697226316 778166689 /nfs/dbraw/zinc/16/66/89/778166689.db2.gz DWMBEUISAFIYBQ-SMDDNHRTSA-N 0 0 264.325 2.582 20 5 CFBDRN CSc1ncc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1C ZINC001234281783 778200487 /nfs/dbraw/zinc/20/04/87/778200487.db2.gz AFZQHVHDFLPQOS-NSHDSACASA-N 0 0 268.338 2.546 20 5 CFBDRN CCOC(=O)Cc1ccc([N+](=O)[O-])c(O[C@@H](C)CC)c1 ZINC001234408517 778219558 /nfs/dbraw/zinc/21/95/58/778219558.db2.gz NIIAGJGWVAILCG-JTQLQIEISA-N 0 0 281.308 2.878 20 5 CFBDRN CC[C@@H](COC)Oc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229008898 778234154 /nfs/dbraw/zinc/23/41/54/778234154.db2.gz OXDVTUCZSKIDAK-LBPRGKRZSA-N 0 0 276.292 2.947 20 5 CFBDRN CC(C)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cn1 ZINC001234493551 778237761 /nfs/dbraw/zinc/23/77/61/778237761.db2.gz AQWVHBUSRWVKCN-CYBMUJFWSA-N 0 0 250.298 2.639 20 5 CFBDRN O=[N+]([O-])c1c[nH]c2nc(NC[C@H]3C[C@H](F)C3)ccc12 ZINC001168233584 778261922 /nfs/dbraw/zinc/26/19/22/778261922.db2.gz MABZPKUEZDFGLJ-ZKCHVHJHSA-N 0 0 264.260 2.631 20 5 CFBDRN COC(=O)c1cc(Cl)cc(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234623987 778268424 /nfs/dbraw/zinc/26/84/24/778268424.db2.gz VOILMNYSMFUBCU-GFCCVEGCSA-N 0 0 299.710 2.561 20 5 CFBDRN CC[C@H](COc1nccc2c1cccc2[N+](=O)[O-])OC ZINC001234676767 778286237 /nfs/dbraw/zinc/28/62/37/778286237.db2.gz OEJVEOCJGCUUQJ-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cc[n+]([O-])cc2[N+](=O)[O-])CC(C)(C)C1 ZINC001229452684 778305833 /nfs/dbraw/zinc/30/58/33/778305833.db2.gz VXNIEGBFUIOCIY-MNOVXSKESA-N 0 0 280.324 2.822 20 5 CFBDRN Cc1cc(OC[C@H]2CCO2)c(C)c(C)c1[N+](=O)[O-] ZINC001234871489 778318009 /nfs/dbraw/zinc/31/80/09/778318009.db2.gz JFKJCHJCJKDSRM-LLVKDONJSA-N 0 0 251.282 2.688 20 5 CFBDRN Cc1cc(O[C@@H]2C(=O)OCC2(C)C)c(C)c(C)c1[N+](=O)[O-] ZINC001234872376 778318527 /nfs/dbraw/zinc/31/85/27/778318527.db2.gz VJONTKWIAQWRJM-CYBMUJFWSA-N 0 0 293.319 2.850 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CC[C@@H](C)C2)n1 ZINC001234878985 778320220 /nfs/dbraw/zinc/32/02/20/778320220.db2.gz KSVIRTMVIDLWNP-BDAKNGLRSA-N 0 0 252.270 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCC[C@@H]2C)n1 ZINC001234880179 778320420 /nfs/dbraw/zinc/32/04/20/778320420.db2.gz YCNGBXRHISDAGA-WPRPVWTQSA-N 0 0 252.270 2.566 20 5 CFBDRN COC1CCC(Oc2c(C)nccc2[N+](=O)[O-])CC1 ZINC001234902092 778325765 /nfs/dbraw/zinc/32/57/65/778325765.db2.gz WAILOCXBPWJLGN-UHFFFAOYSA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H]1CC(F)(F)C1(F)F ZINC001234905581 778326112 /nfs/dbraw/zinc/32/61/12/778326112.db2.gz QZSBAMXTRXJOGJ-SSDOTTSWSA-N 0 0 280.177 2.720 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)c1nccs1 ZINC001234905949 778326482 /nfs/dbraw/zinc/32/64/82/778326482.db2.gz VONSPSYKMATHBL-QMMMGPOBSA-N 0 0 265.294 2.895 20 5 CFBDRN COc1c(Cl)ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c1F ZINC001234964770 778342897 /nfs/dbraw/zinc/34/28/97/778342897.db2.gz RLDJADDQMFPKTR-JTQLQIEISA-N 0 0 289.690 2.922 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)O[C@@H](C)c1nccs1 ZINC001224005238 778385952 /nfs/dbraw/zinc/38/59/52/778385952.db2.gz DGTJMIXJTORIBM-BDAKNGLRSA-N 0 0 296.352 2.723 20 5 CFBDRN Cc1ccc([C@H](C)OC(=O)[C@@]2(F)CCOC2)cc1[N+](=O)[O-] ZINC001126788845 778410586 /nfs/dbraw/zinc/41/05/86/778410586.db2.gz QOJBGPIXFRDPRW-IINYFYTJSA-N 0 0 297.282 2.636 20 5 CFBDRN Cc1ccc2c(ccnc2NC2CSC2)c1[N+](=O)[O-] ZINC001168360402 778482240 /nfs/dbraw/zinc/48/22/40/778482240.db2.gz YKPPNPFZQFLRCT-UHFFFAOYSA-N 0 0 275.333 2.979 20 5 CFBDRN CCCN(CCNC(=O)CC)c1ccc([N+](=O)[O-])c(C)c1 ZINC001101074402 778514065 /nfs/dbraw/zinc/51/40/65/778514065.db2.gz FFGLUGHLKOEVHS-UHFFFAOYSA-N 0 0 293.367 2.646 20 5 CFBDRN C[C@H](OC[C@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC001224478583 778532247 /nfs/dbraw/zinc/53/22/47/778532247.db2.gz CTKILLMSEQATFS-QWRGUYRKSA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000160376028 778602347 /nfs/dbraw/zinc/60/23/47/778602347.db2.gz OYSZQIAIUYVKOL-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN CC1(C)CC(CO[C@@H](C[N+](=O)[O-])c2cccnc2)C1 ZINC001224769283 778675569 /nfs/dbraw/zinc/67/55/69/778675569.db2.gz LDAIJEXUZZTHJZ-ZDUSSCGKSA-N 0 0 264.325 2.852 20 5 CFBDRN CC(=O)OC[C@H](C)CO[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001224798217 778691308 /nfs/dbraw/zinc/69/13/08/778691308.db2.gz IHJCJWYXZGCHJH-MNOVXSKESA-N 0 0 281.308 2.872 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2cc([N+](=O)[O-])cc(C)n2)c1 ZINC001127066012 778726022 /nfs/dbraw/zinc/72/60/22/778726022.db2.gz TZRHFBNRVSAUSP-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1nnc(COc2cc(Cl)ccc2[N+](=O)[O-])s1 ZINC000698251791 778784775 /nfs/dbraw/zinc/78/47/75/778784775.db2.gz XJXWXLMPTSGREJ-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2C=CCC2)c1 ZINC000698252240 778784996 /nfs/dbraw/zinc/78/49/96/778784996.db2.gz ZEGVGMYDPXGDDY-SNVBAGLBSA-N 0 0 262.265 2.512 20 5 CFBDRN O=C(/C=C/c1cccs1)NCc1ccccc1[N+](=O)[O-] ZINC000046148027 778819826 /nfs/dbraw/zinc/81/98/26/778819826.db2.gz TVIHZQMHKDNERV-BQYQJAHWSA-N 0 0 288.328 2.986 20 5 CFBDRN CCCCN(CC)Cn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000129771089 778829266 /nfs/dbraw/zinc/82/92/66/778829266.db2.gz XTJLVGVKFYXRQN-UHFFFAOYSA-N 0 0 293.323 2.582 20 5 CFBDRN C[C@@H](OCCCF)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001225155833 778850350 /nfs/dbraw/zinc/85/03/50/778850350.db2.gz CWWBPIBVIAVNGK-MRVPVSSYSA-N 0 0 271.244 2.761 20 5 CFBDRN CC(C)(C)[C@@H]1CCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC001120765703 778975577 /nfs/dbraw/zinc/97/55/77/778975577.db2.gz OCFXBNFUSWBFLO-LBPRGKRZSA-N 0 0 280.299 2.995 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233699457 779074283 /nfs/dbraw/zinc/07/42/83/779074283.db2.gz POLNGDXUUJVJSF-QMMMGPOBSA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cc(Cl)cnc2[N+](=O)[O-])CCO1 ZINC001233714651 779076630 /nfs/dbraw/zinc/07/66/30/779076630.db2.gz CDDVFYFEGHIFCM-VXNVDRBHSA-N 0 0 272.688 2.590 20 5 CFBDRN CC[C@H](Oc1ncc(C(=O)OC)cc1[N+](=O)[O-])C(C)(C)C ZINC001233863603 779097052 /nfs/dbraw/zinc/09/70/52/779097052.db2.gz XKPMCNFMWMGJRV-NSHDSACASA-N 0 0 296.323 2.980 20 5 CFBDRN CCCOCCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000715055274 779114606 /nfs/dbraw/zinc/11/46/06/779114606.db2.gz JQILXSMFNANMCH-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN COC[C@H](COc1cc(C=O)ccc1[N+](=O)[O-])C(C)C ZINC000721971689 779148562 /nfs/dbraw/zinc/14/85/62/779148562.db2.gz KMOFRTKPJHBMMX-GFCCVEGCSA-N 0 0 281.308 2.705 20 5 CFBDRN CCCO[C@@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001205090308 779153081 /nfs/dbraw/zinc/15/30/81/779153081.db2.gz AMJOPRPRBZKZAB-QMMMGPOBSA-N 0 0 253.254 2.811 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CC1 ZINC000176548288 779227885 /nfs/dbraw/zinc/22/78/85/779227885.db2.gz VVRSSACVHKERCL-VHSXEESVSA-N 0 0 264.256 2.719 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2cccnc2)n1 ZINC001234878097 779279168 /nfs/dbraw/zinc/27/91/68/779279168.db2.gz GPBMBNZFUNVGSP-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CCCC(=O)N(CC)CCNc1cc(C)ccc1[N+](=O)[O-] ZINC001106659982 779333976 /nfs/dbraw/zinc/33/39/76/779333976.db2.gz WHGOIHCEHAXEAG-UHFFFAOYSA-N 0 0 293.367 2.964 20 5 CFBDRN O=C(NCCOC1CCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000691193609 779372410 /nfs/dbraw/zinc/37/24/10/779372410.db2.gz ZPTDCFCBLWRLHZ-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1c(F)cc([N+](=O)[O-])cc1NC1(c2cccnn2)CC1 ZINC001168523353 779453770 /nfs/dbraw/zinc/45/37/70/779453770.db2.gz AIZPHTWONJDBCN-UHFFFAOYSA-N 0 0 288.282 2.934 20 5 CFBDRN CN(C[C@H](O)C1CC1)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000690195748 779502041 /nfs/dbraw/zinc/50/20/41/779502041.db2.gz WRELOLDUKLKMDU-LBPRGKRZSA-N 0 0 286.278 2.740 20 5 CFBDRN Nc1ccc2n(C(=O)C(F)F)c3ccccc3cc-2c1=O ZINC001142789239 779622648 /nfs/dbraw/zinc/62/26/48/779622648.db2.gz LBIXPQFWXNRIEF-UHFFFAOYSA-N 0 0 288.253 2.594 20 5 CFBDRN CC[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(C)c(F)c1 ZINC001127649989 779644676 /nfs/dbraw/zinc/64/46/76/779644676.db2.gz OPZVVZMHAYEFIE-RTXFEEFZSA-N 0 0 281.283 2.794 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1N[C@@H](CO)C(C)(C)C ZINC001168558154 779967616 /nfs/dbraw/zinc/96/76/16/779967616.db2.gz WDLUZQKZGIQDRH-ZDUSSCGKSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1c(F)cc([N+](=O)[O-])cc1NCCOc1cccnc1 ZINC001168621451 780116039 /nfs/dbraw/zinc/11/60/39/780116039.db2.gz XIAYHDGIPMVWKC-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN Cc1cccc2c1N[C@@H](c1cccc([N+](=O)[O-])c1)NC2=O ZINC000027578021 780126926 /nfs/dbraw/zinc/12/69/26/780126926.db2.gz APLOXWZHVRXHSS-CQSZACIVSA-N 0 0 283.287 2.757 20 5 CFBDRN CC(C)OCCCNc1ccc(N(C)C)cc1[N+](=O)[O-] ZINC001168681242 780316455 /nfs/dbraw/zinc/31/64/55/780316455.db2.gz RJIOXTJYQXKQMG-UHFFFAOYSA-N 0 0 281.356 2.888 20 5 CFBDRN COC(=O)c1cc(NCCCOC(C)C)cc([N+](=O)[O-])c1 ZINC001168681042 780316483 /nfs/dbraw/zinc/31/64/83/780316483.db2.gz HLJVYJBQQSSHKW-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN COc1nc(C)c(NCCCOC(C)C)cc1[N+](=O)[O-] ZINC001168681379 780317885 /nfs/dbraw/zinc/31/78/85/780317885.db2.gz YYBMAOBRBHSSOG-UHFFFAOYSA-N 0 0 283.328 2.534 20 5 CFBDRN COc1nc(C)nc(N[C@@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC001168682815 780320531 /nfs/dbraw/zinc/32/05/31/780320531.db2.gz YVMLMPUVFWGFTN-ZETCQYMHSA-N 0 0 268.317 2.548 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1cc([N+](=O)[O-])c(=O)n(C)c1 ZINC001168692091 780345997 /nfs/dbraw/zinc/34/59/97/780345997.db2.gz XHPKLXKILQFBSO-VHSXEESVSA-N 0 0 267.329 2.530 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1nc(C)nc(OC)c1[N+](=O)[O-] ZINC001168693327 780348359 /nfs/dbraw/zinc/34/83/59/780348359.db2.gz JSYWMVNFABTKDA-BDAKNGLRSA-N 0 0 282.344 2.938 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1cc([N+](=O)[O-])c2nncn2c1 ZINC001168695813 780355277 /nfs/dbraw/zinc/35/52/77/780355277.db2.gz VZWIXHPTNFQXSJ-NXEZZACHSA-N 0 0 277.328 2.874 20 5 CFBDRN COc1ccnc(N[C@@H](C)CCCC(C)(C)O)c1[N+](=O)[O-] ZINC001168698203 780368368 /nfs/dbraw/zinc/36/83/68/780368368.db2.gz UKEXBPLCAVTIQK-JTQLQIEISA-N 0 0 297.355 2.740 20 5 CFBDRN CC[C@@H]1CCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@H]1C ZINC001128278819 780377874 /nfs/dbraw/zinc/37/78/74/780377874.db2.gz BSFQIJYOLCMDGW-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000140616562 780522804 /nfs/dbraw/zinc/52/28/04/780522804.db2.gz HNJZSLJHWPYUQU-SNVBAGLBSA-N 0 0 282.315 2.733 20 5 CFBDRN CC(C)(C)c1cnc(NC2CCOCC2)c([N+](=O)[O-])c1 ZINC001161859090 780554937 /nfs/dbraw/zinc/55/49/37/780554937.db2.gz MDENXPYZOIYHQZ-UHFFFAOYSA-N 0 0 279.340 2.878 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1OC[C@H]1CCOC1 ZINC000700632136 780711152 /nfs/dbraw/zinc/71/11/52/780711152.db2.gz WUNHTWSYEAOMTN-ZETCQYMHSA-N 0 0 275.663 2.803 20 5 CFBDRN O=Cc1ccc(OCCOC2CCCC2)c([N+](=O)[O-])c1 ZINC000701328794 780793719 /nfs/dbraw/zinc/79/37/19/780793719.db2.gz HRAHLBYUODXEFZ-UHFFFAOYSA-N 0 0 279.292 2.745 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@H](C)O2)n1 ZINC000701513526 780810445 /nfs/dbraw/zinc/81/04/45/780810445.db2.gz YRXHRVFHZRCZNC-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1nnccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000701979490 780858794 /nfs/dbraw/zinc/85/87/94/780858794.db2.gz BMAMQIKWIMYHFF-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN O=C(NCC[C@@H]1CC1(F)F)c1cc([N+](=O)[O-])ccc1F ZINC000701992871 780859957 /nfs/dbraw/zinc/85/99/57/780859957.db2.gz HKCOHIDDPSEAHH-SSDOTTSWSA-N 0 0 288.225 2.509 20 5 CFBDRN CC(C)(O)COc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000702102929 780878015 /nfs/dbraw/zinc/87/80/15/780878015.db2.gz QQNGWYLIIXZTKZ-UHFFFAOYSA-N 0 0 263.652 2.537 20 5 CFBDRN Cc1ccnc(NCC[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000704836590 781113440 /nfs/dbraw/zinc/11/34/40/781113440.db2.gz DRXCKEJDDYDIJN-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN Cc1nc(NCC[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000704837167 781113545 /nfs/dbraw/zinc/11/35/45/781113545.db2.gz ITZPKSLPVMDVRY-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN O=C(NCC[C@@H]1CCSC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000704940170 781127004 /nfs/dbraw/zinc/12/70/04/781127004.db2.gz JWLBIQHEWYIVPS-SECBINFHSA-N 0 0 298.339 2.607 20 5 CFBDRN CCC[C@@H](CNc1nc(C)ccc1[N+](=O)[O-])OCC ZINC000705381905 781139925 /nfs/dbraw/zinc/13/99/25/781139925.db2.gz JKXFDCAYLBVDLL-NSHDSACASA-N 0 0 267.329 2.915 20 5 CFBDRN CCN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)[C@@H](C)C(C)C ZINC000706420660 781176726 /nfs/dbraw/zinc/17/67/26/781176726.db2.gz RYGHEQDVUBPRPS-JTQLQIEISA-N 0 0 279.340 2.684 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000706476222 781178872 /nfs/dbraw/zinc/17/88/72/781178872.db2.gz FZNBAPMZMHQANC-RKDXNWHRSA-N 0 0 266.272 2.747 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000706476218 781179314 /nfs/dbraw/zinc/17/93/14/781179314.db2.gz FZNBAPMZMHQANC-DTORHVGOSA-N 0 0 266.272 2.747 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC(C(F)F)C1 ZINC000707011200 781220578 /nfs/dbraw/zinc/22/05/78/781220578.db2.gz ZJVDVFJUSJHPNV-UHFFFAOYSA-N 0 0 290.653 2.585 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@H](C(F)F)C2)c([N+](=O)[O-])c1 ZINC000707147359 781230831 /nfs/dbraw/zinc/23/08/31/781230831.db2.gz LXYUACIXRKBAPK-JTQLQIEISA-N 0 0 284.262 2.889 20 5 CFBDRN COC(=O)CCCCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000707519429 781253759 /nfs/dbraw/zinc/25/37/59/781253759.db2.gz RWBCHQDGZBPNFF-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H](CO)C2)c(C(F)F)c1 ZINC000707668019 781261470 /nfs/dbraw/zinc/26/14/70/781261470.db2.gz JXJZKURMIUXCLG-OCAPTIKFSA-N 0 0 272.251 2.715 20 5 CFBDRN CC[C@]1(O)CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000710590220 781345317 /nfs/dbraw/zinc/34/53/17/781345317.db2.gz JHQGEATXUGGDGR-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC[C@@H]2C[C@@H]2C1 ZINC000711091622 781357518 /nfs/dbraw/zinc/35/75/18/781357518.db2.gz IKLGPYYNDAGBKL-RKDXNWHRSA-N 0 0 280.711 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H](CF)C2)c(F)c1 ZINC000711467828 781436688 /nfs/dbraw/zinc/43/66/88/781436688.db2.gz BMWUZMZHFPICAH-JTQLQIEISA-N 0 0 270.279 2.915 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1Cl ZINC000711785715 781472076 /nfs/dbraw/zinc/47/20/76/781472076.db2.gz IVNBMRIDMOIAAJ-SECBINFHSA-N 0 0 280.711 2.944 20 5 CFBDRN CN(C)c1nc2ccccc2c(Cl)c1[N+](=O)[O-] ZINC001163569752 781510003 /nfs/dbraw/zinc/51/00/03/781510003.db2.gz YRQAUKXLAIEFCX-UHFFFAOYSA-N 0 0 251.673 2.862 20 5 CFBDRN Cc1nc(-c2noc(-c3ccc([N+](=O)[O-])o3)n2)ccc1F ZINC001212570878 781510161 /nfs/dbraw/zinc/51/01/61/781510161.db2.gz IVJUUZDSVCESTI-UHFFFAOYSA-N 0 0 290.210 2.747 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cccc4ccnn43)no2)o1 ZINC001212571113 781510168 /nfs/dbraw/zinc/51/01/68/781510168.db2.gz WQBRALLQNITFBD-UHFFFAOYSA-N 0 0 297.230 2.553 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1NCCCSC ZINC001163874535 781600649 /nfs/dbraw/zinc/60/06/49/781600649.db2.gz FKNKQKMSLSLHGU-UHFFFAOYSA-N 0 0 284.337 2.546 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCCSC1 ZINC001118462777 781638263 /nfs/dbraw/zinc/63/82/63/781638263.db2.gz HFPLXDFSUJWNEE-SNVBAGLBSA-N 0 0 299.323 2.920 20 5 CFBDRN Cc1nc(N2CC[C@@H](C)C(F)(F)CC2)ncc1[N+](=O)[O-] ZINC001164118280 781670078 /nfs/dbraw/zinc/67/00/78/781670078.db2.gz QSBDHUYWTAGDLO-MRVPVSSYSA-N 0 0 286.282 2.565 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(Oc2ccc3cnccc3c2)n1 ZINC001164177707 781684045 /nfs/dbraw/zinc/68/40/45/781684045.db2.gz WKXIILLHVHVTES-UHFFFAOYSA-N 0 0 270.248 2.669 20 5 CFBDRN Cc1cnc(N2CC[C@@](C)(F)[C@H](F)C2)c([N+](=O)[O-])c1 ZINC001164327423 781708226 /nfs/dbraw/zinc/70/82/26/781708226.db2.gz OZYQDQOSIKGXRP-ZYHUDNBSSA-N 0 0 271.267 2.575 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(N2CC(F)(F)C[C@H]2CF)n1 ZINC001164521994 781726197 /nfs/dbraw/zinc/72/61/97/781726197.db2.gz RWPZSECBUYUOSM-ZETCQYMHSA-N 0 0 295.648 2.827 20 5 CFBDRN Cc1ncc(CN[C@@H](C)c2c(F)cccc2[N+](=O)[O-])o1 ZINC001119002146 781738093 /nfs/dbraw/zinc/73/80/93/781738093.db2.gz GACYSDKLDURLMH-QMMMGPOBSA-N 0 0 279.271 2.881 20 5 CFBDRN O=C(OC[C@@H]1CC[C@H](C2CC2)O1)c1csc([N+](=O)[O-])c1 ZINC001119394434 781792577 /nfs/dbraw/zinc/79/25/77/781792577.db2.gz WPRCWMWYXHNYEH-WDEREUQCSA-N 0 0 297.332 2.771 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230493255 781857598 /nfs/dbraw/zinc/85/75/98/781857598.db2.gz YAXOKHPLHQBDTD-JTQLQIEISA-N 0 0 296.279 2.948 20 5 CFBDRN C[C@H](N[C@H]1CCCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000594505488 349433641 /nfs/dbraw/zinc/43/36/41/349433641.db2.gz WRYRCMOCIMFPFB-FZMZJTMJSA-N 0 0 286.335 2.977 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc([C@@H]2CCC[C@H]3C[C@H]32)no1 ZINC000594538235 349443443 /nfs/dbraw/zinc/44/34/43/349443443.db2.gz VPJYBIJMWZRGEA-JMJZKYOTSA-N 0 0 288.307 2.887 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCCO[C@@H](C(C)C)C1 ZINC000594581221 349451452 /nfs/dbraw/zinc/45/14/52/349451452.db2.gz XGAYRLSNYFODFH-CQSZACIVSA-N 0 0 294.351 2.855 20 5 CFBDRN CC(C)Oc1nc(N[C@H]2CCS[C@@H]2C)ccc1[N+](=O)[O-] ZINC000594585496 349452454 /nfs/dbraw/zinc/45/24/54/349452454.db2.gz BYKRYQDIYMDZSW-ZJUUUORDSA-N 0 0 297.380 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CC3(F)F)C2)c2ncccc12 ZINC000594589841 349453050 /nfs/dbraw/zinc/45/30/50/349453050.db2.gz QVYKCMFZKKDOSE-UHFFFAOYSA-N 0 0 291.257 2.988 20 5 CFBDRN CC1(Nc2nc3sccn3c2[N+](=O)[O-])CC=CC1 ZINC000594590884 349453792 /nfs/dbraw/zinc/45/37/92/349453792.db2.gz NVKFUQLDIGXQAK-UHFFFAOYSA-N 0 0 264.310 2.825 20 5 CFBDRN COCC1(CNc2cc(OC)c(F)cc2[N+](=O)[O-])CC1 ZINC000594591281 349453898 /nfs/dbraw/zinc/45/38/98/349453898.db2.gz BJBYEQVSQWGGHG-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](COC)CC(C)(C)C ZINC000594597186 349456055 /nfs/dbraw/zinc/45/60/55/349456055.db2.gz FFKYMLKSYPGVRC-LLVKDONJSA-N 0 0 298.387 2.983 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000067519623 346807601 /nfs/dbraw/zinc/80/76/01/346807601.db2.gz TVUQDJNZLVJNBK-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC3(CCCC3)O2)nc1 ZINC000594602620 349458737 /nfs/dbraw/zinc/45/87/37/349458737.db2.gz YOTLOXOLRIWVOE-GFCCVEGCSA-N 0 0 277.324 2.894 20 5 CFBDRN C[C@@H]1CN(c2ccncc2[N+](=O)[O-])CC2(CCCCC2)O1 ZINC000594602614 349459098 /nfs/dbraw/zinc/45/90/98/349459098.db2.gz YOMQHVWIBDOQQK-GFCCVEGCSA-N 0 0 291.351 2.918 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cc1F)C(=O)OC(C)(C)C ZINC000594604206 349459879 /nfs/dbraw/zinc/45/98/79/349459879.db2.gz SWONGXGMOHDBIL-MRVPVSSYSA-N 0 0 299.302 2.519 20 5 CFBDRN COc1ccc([C@@H](C)Cn2cc([N+](=O)[O-])ccc2=O)cc1 ZINC000594879943 349492513 /nfs/dbraw/zinc/49/25/13/349492513.db2.gz CSXNRSACAIZNCM-NSHDSACASA-N 0 0 288.303 2.569 20 5 CFBDRN CO[C@@H]1CC[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000594731887 349483177 /nfs/dbraw/zinc/48/31/77/349483177.db2.gz KHWGNZLYZFPZIZ-ZYHUDNBSSA-N 0 0 289.291 2.927 20 5 CFBDRN CC1(C)C[C@H](Sc2ccncc2[N+](=O)[O-])CO1 ZINC000594888513 349494621 /nfs/dbraw/zinc/49/46/21/349494621.db2.gz ZOMDASLIWJOJNI-QMMMGPOBSA-N 0 0 254.311 2.649 20 5 CFBDRN CO[C@@H](Cn1cc([N+](=O)[O-])c(C)cc1=O)C1CCCCC1 ZINC000594891778 349495139 /nfs/dbraw/zinc/49/51/39/349495139.db2.gz OESMQPMVVGNAHE-AWEZNQCLSA-N 0 0 294.351 2.660 20 5 CFBDRN CCCCN(CC)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000067704972 346815325 /nfs/dbraw/zinc/81/53/25/346815325.db2.gz BHXJEPFGVSUDIC-UHFFFAOYSA-N 0 0 279.340 2.655 20 5 CFBDRN CCOc1cccc(NCC[C@H](C)OC)c1[N+](=O)[O-] ZINC000273826014 192323592 /nfs/dbraw/zinc/32/35/92/192323592.db2.gz DVQKWADXFQTHEX-JTQLQIEISA-N 0 0 268.313 2.830 20 5 CFBDRN CC[C@@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273849799 192333840 /nfs/dbraw/zinc/33/38/40/192333840.db2.gz HHVISCLIUNFTSS-SECBINFHSA-N 0 0 282.315 2.926 20 5 CFBDRN C[C@H](Cn1ccnc1)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124761749 187152353 /nfs/dbraw/zinc/15/23/53/187152353.db2.gz MAVBCLKBEVHBBO-SECBINFHSA-N 0 0 280.715 2.945 20 5 CFBDRN C[C@H](Cn1cccn1)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124757098 187152509 /nfs/dbraw/zinc/15/25/09/187152509.db2.gz YIBFQXLAHNXIAP-SECBINFHSA-N 0 0 280.715 2.945 20 5 CFBDRN CC(C)[C@@H](O)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000125135916 187171031 /nfs/dbraw/zinc/17/10/31/187171031.db2.gz IQSNLUAOEDQBNH-NSHDSACASA-N 0 0 258.705 2.677 20 5 CFBDRN Cc1cnc(C)c(NCCc2cccc([N+](=O)[O-])c2)n1 ZINC000273867717 192340958 /nfs/dbraw/zinc/34/09/58/192340958.db2.gz NOOXOZVKXZHTOL-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CCCO[C@@H]1CCCN(Cc2onc(C)c2[N+](=O)[O-])CC1 ZINC000595312124 349600224 /nfs/dbraw/zinc/60/02/24/349600224.db2.gz LNIQEHGWKYCGJU-GFCCVEGCSA-N 0 0 297.355 2.672 20 5 CFBDRN CCC1CCN(Cc2onc(C)c2[N+](=O)[O-])CC1 ZINC000595293372 349593820 /nfs/dbraw/zinc/59/38/20/349593820.db2.gz LZFCLMJRKPHQGD-UHFFFAOYSA-N 0 0 253.302 2.513 20 5 CFBDRN CC[C@](C)(NCc1onc(C)c1[N+](=O)[O-])c1nccs1 ZINC000595377625 349612057 /nfs/dbraw/zinc/61/20/57/349612057.db2.gz PNRIGNGTMKXLTH-LBPRGKRZSA-N 0 0 296.352 2.763 20 5 CFBDRN CC[C@H](c1ccncc1)N(C)Cc1onc(C)c1[N+](=O)[O-] ZINC000595399640 349617217 /nfs/dbraw/zinc/61/72/17/349617217.db2.gz DYNRLDSORZTJOC-GFCCVEGCSA-N 0 0 290.323 2.869 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC[C@H]1CCCOC1 ZINC000311636677 290015651 /nfs/dbraw/zinc/01/56/51/290015651.db2.gz BCDJTLZTUSQYGP-LLVKDONJSA-N 0 0 264.325 2.996 20 5 CFBDRN COC[C@@]1(C)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000294973137 199277630 /nfs/dbraw/zinc/27/76/30/199277630.db2.gz OJIRSBDDFROJOX-LBPRGKRZSA-N 0 0 285.731 2.506 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413144893 529404511 /nfs/dbraw/zinc/40/45/11/529404511.db2.gz UTDCSRTVDWRZBK-YGRLFVJLSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000068779233 346869111 /nfs/dbraw/zinc/86/91/11/346869111.db2.gz GIZQKWRNVKABHV-VIFPVBQESA-N 0 0 268.338 2.919 20 5 CFBDRN CC[C@H]1CN(C/C=C/c2ccccc2[N+](=O)[O-])CCO1 ZINC000125516779 187189104 /nfs/dbraw/zinc/18/91/04/187189104.db2.gz AXEFDWSDDWZFFN-DYLGSBMWSA-N 0 0 276.336 2.719 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C)CC1 ZINC000068778544 346868488 /nfs/dbraw/zinc/86/84/88/346868488.db2.gz NGQDURHZEWDHPM-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@]1(C)CN(Cc2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000442886852 529407160 /nfs/dbraw/zinc/40/71/60/529407160.db2.gz UVSCSSIHMVTDMT-OAHLLOKOSA-N 0 0 294.351 2.604 20 5 CFBDRN O=[N+]([O-])c1ccccc1SCCCNc1ncccn1 ZINC000068772678 346868725 /nfs/dbraw/zinc/86/87/25/346868725.db2.gz DUNMLNDNTJLECI-UHFFFAOYSA-N 0 0 290.348 2.979 20 5 CFBDRN CC(C)[C@@H](NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000595474788 349637558 /nfs/dbraw/zinc/63/75/58/349637558.db2.gz FDEVPBJJPQRYPD-CQSZACIVSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H]1CCc2ccccc21 ZINC000335972583 282168283 /nfs/dbraw/zinc/16/82/83/282168283.db2.gz FFTYIXCYWZXPKW-GFCCVEGCSA-N 0 0 257.293 2.830 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000068993944 346879031 /nfs/dbraw/zinc/87/90/31/346879031.db2.gz WSGOXMVAGWGABO-JTQLQIEISA-N 0 0 298.314 2.760 20 5 CFBDRN CC1(C)CC(NC(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000595523871 349651351 /nfs/dbraw/zinc/65/13/51/349651351.db2.gz VMKUEFWZQYNXHQ-UHFFFAOYSA-N 0 0 291.351 2.625 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@@H](O)CC12CCC2 ZINC000595544525 349655525 /nfs/dbraw/zinc/65/55/25/349655525.db2.gz ASIBRNHQAKYCPC-CYBMUJFWSA-N 0 0 294.326 2.613 20 5 CFBDRN CC(C)CSCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000069050488 346881395 /nfs/dbraw/zinc/88/13/95/346881395.db2.gz INPNBUZKRHDPKN-UHFFFAOYSA-N 0 0 268.338 2.923 20 5 CFBDRN Cc1noc(CN(C)C2CC(OC(C)(C)C)C2)c1[N+](=O)[O-] ZINC000595545494 349656562 /nfs/dbraw/zinc/65/65/62/349656562.db2.gz IEVAUXCKVVJVDE-UHFFFAOYSA-N 0 0 297.355 2.669 20 5 CFBDRN CC1(C)CC(NC(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000595574981 349663858 /nfs/dbraw/zinc/66/38/58/349663858.db2.gz RUFYGICUYWGETH-UHFFFAOYSA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1nc(COc2ccccc2[N+](=O)[O-])cs1 ZINC000069532877 346894709 /nfs/dbraw/zinc/89/47/09/346894709.db2.gz CTSRIASUANGJIY-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCCOC(C)C ZINC000125768313 187199521 /nfs/dbraw/zinc/19/95/21/187199521.db2.gz YAHKRQVNEHZKCK-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000413385185 529521062 /nfs/dbraw/zinc/52/10/62/529521062.db2.gz RBFDEWYOSHPTES-YPMHNXCESA-N 0 0 264.329 2.930 20 5 CFBDRN CC[C@@H](COc1cc(C)c([N+](=O)[O-])cc1F)OC ZINC000416908272 529522805 /nfs/dbraw/zinc/52/28/05/529522805.db2.gz NZLLXVFWSCMNQO-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000177057987 529534792 /nfs/dbraw/zinc/53/47/92/529534792.db2.gz SOPSFGQEZAMDQQ-ABAIWWIYSA-N 0 0 291.351 2.559 20 5 CFBDRN COc1ncc(Cl)c(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000125963857 187207642 /nfs/dbraw/zinc/20/76/42/187207642.db2.gz ZLWMMSKVHPVLEF-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CC1(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCC1 ZINC000125966212 187207778 /nfs/dbraw/zinc/20/77/78/187207778.db2.gz HQPRLSSMDKPAER-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@H]2C[C@H]2C1 ZINC000595809588 349736588 /nfs/dbraw/zinc/73/65/88/349736588.db2.gz HNFDUCQARIQNAB-ONGXEEELSA-N 0 0 250.273 2.576 20 5 CFBDRN C[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000595782370 349731827 /nfs/dbraw/zinc/73/18/27/349731827.db2.gz ZAWJDRFYIIMOLO-MNOVXSKESA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000595781891 349732001 /nfs/dbraw/zinc/73/20/01/349732001.db2.gz UZLQCPVGQZSWRC-ZJUUUORDSA-N 0 0 270.354 2.656 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H](C)c1nccs1 ZINC000192767272 529601793 /nfs/dbraw/zinc/60/17/93/529601793.db2.gz KDIFSZLHDMLOCO-MRVPVSSYSA-N 0 0 295.368 2.792 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCc2nccnc2C1 ZINC000595862888 349757877 /nfs/dbraw/zinc/75/78/77/349757877.db2.gz IBSCNNBNLGGJEE-LBPRGKRZSA-N 0 0 298.346 2.813 20 5 CFBDRN CC1(C)CCCC[C@H]1Cn1cc([N+](=O)[O-])ccc1=O ZINC000596010303 349775702 /nfs/dbraw/zinc/77/57/02/349775702.db2.gz GDEXQNHBHMUZLG-NSHDSACASA-N 0 0 264.325 2.973 20 5 CFBDRN COc1cc(NC[C@H]2CCCO2)c(Cl)cc1[N+](=O)[O-] ZINC000596050962 349781916 /nfs/dbraw/zinc/78/19/16/349781916.db2.gz IMLWVEHQMWNIDK-MRVPVSSYSA-N 0 0 286.715 2.848 20 5 CFBDRN Cc1cc(N(C)Cc2cccnc2)c([N+](=O)[O-])s1 ZINC000596055135 349782618 /nfs/dbraw/zinc/78/26/18/349782618.db2.gz SOGMXGKZRRUVOS-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596076298 349788419 /nfs/dbraw/zinc/78/84/19/349788419.db2.gz NMHFKFXWNNMEOP-QWRGUYRKSA-N 0 0 291.351 2.709 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H](O)C(C)C ZINC000414404063 529649703 /nfs/dbraw/zinc/64/97/03/529649703.db2.gz AJGFNWKKSVYMEG-OLZOCXBDSA-N 0 0 295.339 2.512 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596086381 349790524 /nfs/dbraw/zinc/79/05/24/349790524.db2.gz YGPKEWAVMCMXSC-QWRGUYRKSA-N 0 0 291.351 2.932 20 5 CFBDRN CC1CCC(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596086006 349790588 /nfs/dbraw/zinc/79/05/88/349790588.db2.gz SDTRWVZFSABGNV-UHFFFAOYSA-N 0 0 265.313 2.934 20 5 CFBDRN O=c1[nH]ccc(N[C@H]2CCc3ccccc3C2)c1[N+](=O)[O-] ZINC000596060528 349784327 /nfs/dbraw/zinc/78/43/27/349784327.db2.gz UQRDQDJRXGKHJX-LBPRGKRZSA-N 0 0 285.303 2.665 20 5 CFBDRN CCO[C@@H]1CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596090648 349792208 /nfs/dbraw/zinc/79/22/08/349792208.db2.gz PWVLGJFUAKJDPP-SNVBAGLBSA-N 0 0 270.354 2.970 20 5 CFBDRN CN(c1ncc(Cl)cc1[N+](=O)[O-])[C@@H]1CCSC1 ZINC000270050761 190590839 /nfs/dbraw/zinc/59/08/39/190590839.db2.gz CBNWWMXFYXQXHC-MRVPVSSYSA-N 0 0 273.745 2.585 20 5 CFBDRN CC[C@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413554792 529680592 /nfs/dbraw/zinc/68/05/92/529680592.db2.gz QNBWKOWCQDVNGF-AAEUAGOBSA-N 0 0 281.356 2.814 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nc(CCC3CC3)no2)c1 ZINC000273894957 192352976 /nfs/dbraw/zinc/35/29/76/192352976.db2.gz FKNGRRWXNFVHKY-UHFFFAOYSA-N 0 0 289.291 2.899 20 5 CFBDRN Cc1cc(N2CCCO[C@H](C)C2)c([N+](=O)[O-])s1 ZINC000596105939 349795523 /nfs/dbraw/zinc/79/55/23/349795523.db2.gz QYGSKMWKZSTCDI-MRVPVSSYSA-N 0 0 256.327 2.580 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCc2nc(C)ncc2C1 ZINC000596110857 349797322 /nfs/dbraw/zinc/79/73/22/349797322.db2.gz VEKHBNORRYAGQL-ZDUSSCGKSA-N 0 0 298.346 2.971 20 5 CFBDRN Cc1cc(N2CCS[C@H](C)C2)c([N+](=O)[O-])s1 ZINC000596108618 349796665 /nfs/dbraw/zinc/79/66/65/349796665.db2.gz VKPYWLGHXQFRPD-MRVPVSSYSA-N 0 0 258.368 2.906 20 5 CFBDRN Cc1cc(NC[C@]2(C)CCCS2)ncc1[N+](=O)[O-] ZINC000126431101 187238990 /nfs/dbraw/zinc/23/89/90/187238990.db2.gz PPOUADXBQMBGIC-LBPRGKRZSA-N 0 0 267.354 2.996 20 5 CFBDRN COc1cc(N[C@@H]2CCCOC2)c(Cl)cc1[N+](=O)[O-] ZINC000596115560 349798107 /nfs/dbraw/zinc/79/81/07/349798107.db2.gz BPSSNVATOCWOFJ-MRVPVSSYSA-N 0 0 286.715 2.848 20 5 CFBDRN CC[C@@H]1CCN(C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000126450376 187239839 /nfs/dbraw/zinc/23/98/39/187239839.db2.gz FFCQZFNWHXKMOZ-GFCCVEGCSA-N 0 0 291.351 2.579 20 5 CFBDRN CCc1ccc(OCC(=O)N2CCCCC2)c([N+](=O)[O-])c1 ZINC000115201219 529693785 /nfs/dbraw/zinc/69/37/85/529693785.db2.gz OBFLZDQQDLOQNL-UHFFFAOYSA-N 0 0 292.335 2.549 20 5 CFBDRN CC[C@H](C)[N@H+](CC(=O)[O-])Cc1ccc([N+](=O)[O-])cc1C ZINC000414679759 529692306 /nfs/dbraw/zinc/69/23/06/529692306.db2.gz KOTCHEWKVFZSDT-NSHDSACASA-N 0 0 280.324 2.588 20 5 CFBDRN CCc1ccc(OCCOC(C)C)c([N+](=O)[O-])c1 ZINC000115200997 529695429 /nfs/dbraw/zinc/69/54/29/529695429.db2.gz MJHUMPYNRWNSJB-UHFFFAOYSA-N 0 0 253.298 2.961 20 5 CFBDRN Cc1cc(CNc2cc(C)sc2[N+](=O)[O-])[nH]n1 ZINC000596126781 349800610 /nfs/dbraw/zinc/80/06/10/349800610.db2.gz QAURXVVAXPQNKG-UHFFFAOYSA-N 0 0 252.299 2.608 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)[C@@H](C)C1 ZINC000596127459 349801234 /nfs/dbraw/zinc/80/12/34/349801234.db2.gz ZVTMCMJRXPCCFP-GBIKHYSHSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC[C@@H](O)C2)c([N+](=O)[O-])s1 ZINC000596137345 349804573 /nfs/dbraw/zinc/80/45/73/349804573.db2.gz SBGPSUUEFUSXNT-NXEZZACHSA-N 0 0 270.354 2.928 20 5 CFBDRN COc1ccc(CNc2nc(C)cnc2C)cc1[N+](=O)[O-] ZINC000273916014 192360596 /nfs/dbraw/zinc/36/05/96/192360596.db2.gz YTIKMPPERGFDBG-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CC[C@@H](C)OCC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000153142299 136394713 /nfs/dbraw/zinc/39/47/13/136394713.db2.gz RUPGAXSJVACEHA-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1cc(NCCn2cccc2)c([N+](=O)[O-])s1 ZINC000596153617 349811083 /nfs/dbraw/zinc/81/10/83/349811083.db2.gz IUADOJVJYKZZES-UHFFFAOYSA-N 0 0 251.311 2.878 20 5 CFBDRN Cc1cc(N2CC[C@]3(CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596156511 349811711 /nfs/dbraw/zinc/81/17/11/349811711.db2.gz JBWZHDKLBSMYFW-LBPRGKRZSA-N 0 0 268.338 2.582 20 5 CFBDRN Cc1cc(NCCCO[C@@H]2CCOC2)c([N+](=O)[O-])s1 ZINC000596156480 349811938 /nfs/dbraw/zinc/81/19/38/349811938.db2.gz IDPMNBORGJLQJD-SNVBAGLBSA-N 0 0 286.353 2.572 20 5 CFBDRN Cc1ccc2c(c1)N(Cc1c([N+](=O)[O-])ncn1C)CCC2 ZINC000331948845 136383048 /nfs/dbraw/zinc/38/30/48/136383048.db2.gz HRVFYMJMKWKKRP-UHFFFAOYSA-N 0 0 286.335 2.590 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000036749341 282275325 /nfs/dbraw/zinc/27/53/25/282275325.db2.gz GTLMBSRFTYEPEM-SCZZXKLOSA-N 0 0 252.318 2.627 20 5 CFBDRN COc1cc(N2CC(C(F)F)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596162599 349813472 /nfs/dbraw/zinc/81/34/72/349813472.db2.gz MSZRHRWPLAANDS-UHFFFAOYSA-N 0 0 292.669 2.958 20 5 CFBDRN Cc1cccc(CC2CN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)c1 ZINC000596162594 349813597 /nfs/dbraw/zinc/81/35/97/349813597.db2.gz NNHWUQZDNAQYFB-UHFFFAOYSA-N 0 0 299.330 2.683 20 5 CFBDRN CC[C@H](O)CCNc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596162297 349813611 /nfs/dbraw/zinc/81/36/11/349813611.db2.gz JUUPCWLJRXHMLV-QMMMGPOBSA-N 0 0 288.731 2.830 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCc3ccccc3C2)c1[N+](=O)[O-] ZINC000048331368 282274541 /nfs/dbraw/zinc/27/45/41/282274541.db2.gz ZTTZZYFWQOKURM-CYBMUJFWSA-N 0 0 286.335 2.606 20 5 CFBDRN C[C@@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C[C@@H](C)C1 ZINC000596172080 349816854 /nfs/dbraw/zinc/81/68/54/349816854.db2.gz JAPPFOROBVRUSG-ZJUUUORDSA-N 0 0 265.313 2.568 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596173268 349816900 /nfs/dbraw/zinc/81/69/00/349816900.db2.gz SVPFIRONHNSHNS-GHMZBOCLSA-N 0 0 291.351 2.566 20 5 CFBDRN CCc1nc(C)c(CNc2ncc([N+](=O)[O-])cc2F)o1 ZINC000413293004 529725972 /nfs/dbraw/zinc/72/59/72/529725972.db2.gz AEEZLRWLGACZFA-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN COc1cc(NCc2cc(C)ncn2)c([N+](=O)[O-])cc1C ZINC000596176134 349817711 /nfs/dbraw/zinc/81/77/11/349817711.db2.gz XZDFRDQHHIKDST-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN COC[C@@]1(C)CCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000295036890 199314080 /nfs/dbraw/zinc/31/40/80/199314080.db2.gz WHKFJCKLJPSYJQ-AWEZNQCLSA-N 0 0 264.325 2.766 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596180273 349818454 /nfs/dbraw/zinc/81/84/54/349818454.db2.gz RGEXNSRUGBBSSX-KOLCDFICSA-N 0 0 295.339 2.701 20 5 CFBDRN CCC1(CO)CCN(c2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596179281 349818717 /nfs/dbraw/zinc/81/87/17/349818717.db2.gz VKPIAIHXNNTGQD-UHFFFAOYSA-N 0 0 284.381 2.954 20 5 CFBDRN CC1(C)CC[C@@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596178404 349818795 /nfs/dbraw/zinc/81/87/95/349818795.db2.gz JIZRMDCIYPPNHH-SNVBAGLBSA-N 0 0 277.324 2.684 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000596188684 349821511 /nfs/dbraw/zinc/82/15/11/349821511.db2.gz ZMXBWFSAYUOVNM-OAHLLOKOSA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C)N(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596207441 349827010 /nfs/dbraw/zinc/82/70/10/349827010.db2.gz QPOJOZJCESXVQV-OUAUKWLOSA-N 0 0 291.351 2.565 20 5 CFBDRN Nc1ccc(N2Cc3ccccc3C3(CC3)C2)nc1[N+](=O)[O-] ZINC000596194499 349823832 /nfs/dbraw/zinc/82/38/32/349823832.db2.gz GHAFSERWKMVERZ-UHFFFAOYSA-N 0 0 296.330 2.624 20 5 CFBDRN CSCCCCCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596197548 349824854 /nfs/dbraw/zinc/82/48/54/349824854.db2.gz YGRKTTXJVOQUSW-UHFFFAOYSA-N 0 0 297.380 2.639 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](O)C2(C)C)c([N+](=O)[O-])s1 ZINC000596200399 349825739 /nfs/dbraw/zinc/82/57/39/349825739.db2.gz LEIRSUCXEIVXKN-BDAKNGLRSA-N 0 0 256.327 2.536 20 5 CFBDRN Cc1cc(N2CCC(n3cccn3)CC2)ccc1[N+](=O)[O-] ZINC000301100094 136422689 /nfs/dbraw/zinc/42/26/89/136422689.db2.gz KFJNKIDIIZVXPN-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN CC(C)C[C@@H]1C[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596182335 349819363 /nfs/dbraw/zinc/81/93/63/349819363.db2.gz AYEWZTQTMJAKPV-WDEREUQCSA-N 0 0 295.339 2.701 20 5 CFBDRN C[C@@H]1CC(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000596226617 349832999 /nfs/dbraw/zinc/83/29/99/349832999.db2.gz LDLMVSBTUHUZNT-NXEZZACHSA-N 0 0 295.339 2.701 20 5 CFBDRN CCn1cc(CNc2cc(C)sc2[N+](=O)[O-])cn1 ZINC000596229617 349834184 /nfs/dbraw/zinc/83/41/84/349834184.db2.gz NSBZTKAIJZIWTL-UHFFFAOYSA-N 0 0 266.326 2.793 20 5 CFBDRN NC(=O)c1cc(NC[C@H]2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000596220051 349831051 /nfs/dbraw/zinc/83/10/51/349831051.db2.gz ZMCKNVIFZUSCGG-QMMMGPOBSA-N 0 0 299.277 2.541 20 5 CFBDRN C[C@H](NC(=O)C1SCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000295448027 199513749 /nfs/dbraw/zinc/51/37/49/199513749.db2.gz WHFJOIMOXLUBCR-QMMMGPOBSA-N 0 0 298.389 2.578 20 5 CFBDRN CC(C)[C@H]1C[C@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596255865 349843119 /nfs/dbraw/zinc/84/31/19/349843119.db2.gz ZUVNIMWIEABTBL-ZYHUDNBSSA-N 0 0 295.339 2.559 20 5 CFBDRN Cc1cc(N[C@H]2CCc3nc[nH]c3C2)c([N+](=O)[O-])s1 ZINC000596239080 349836569 /nfs/dbraw/zinc/83/65/69/349836569.db2.gz WUBATWGUHXLESS-QMMMGPOBSA-N 0 0 278.337 2.657 20 5 CFBDRN Cc1csc(CCNc2cc(C)c([N+](=O)[O-])cn2)n1 ZINC000073000412 346954314 /nfs/dbraw/zinc/95/43/14/346954314.db2.gz GUVHUEDULGKPCF-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN COC[C@@]1(C)CCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596250445 349840458 /nfs/dbraw/zinc/84/04/58/349840458.db2.gz PDBLZNYEMBZGDI-LBPRGKRZSA-N 0 0 270.354 2.828 20 5 CFBDRN Cc1cc(N2CCC=C(c3cccnc3)C2)ncc1[N+](=O)[O-] ZINC000596253181 349840901 /nfs/dbraw/zinc/84/09/01/349840901.db2.gz YRXRHLZPDROUQX-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2Br)[C@H]1C ZINC000596251544 349840937 /nfs/dbraw/zinc/84/09/37/349840937.db2.gz XMJUYVOWOYVCQY-BQBZGAKWSA-N 0 0 286.129 2.597 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c3cccnc32)[C@H]1C ZINC000596251943 349841300 /nfs/dbraw/zinc/84/13/00/349841300.db2.gz ZTZNVMPAHNKJGO-UWVGGRQHSA-N 0 0 257.293 2.988 20 5 CFBDRN C[C@@H](CC(C)(C)O)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000295075937 199331920 /nfs/dbraw/zinc/33/19/20/199331920.db2.gz XAACGPUZYLNEHY-ZETCQYMHSA-N 0 0 296.352 2.561 20 5 CFBDRN COC(=O)[C@@]1(C)CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596275742 349850259 /nfs/dbraw/zinc/85/02/59/349850259.db2.gz AVSBXYWKTGWXBL-ZDUSSCGKSA-N 0 0 298.364 2.744 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1ccc(C)cc1[N+](=O)[O-] ZINC000596260699 349845500 /nfs/dbraw/zinc/84/55/00/349845500.db2.gz KONMQIYGISOUOO-UHFFFAOYSA-N 0 0 274.324 2.898 20 5 CFBDRN C[C@]12CN(c3cc[nH]c(=O)c3[N+](=O)[O-])C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000596260588 349845546 /nfs/dbraw/zinc/84/55/46/349845546.db2.gz LLJQUMYXAISGDA-DZHLUBAWSA-N 0 0 289.335 2.568 20 5 CFBDRN Cc1nn(C)c(C)c1OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000596260463 349845617 /nfs/dbraw/zinc/84/56/17/349845617.db2.gz IRSJKUYTJSGHSH-UHFFFAOYSA-N 0 0 297.261 2.802 20 5 CFBDRN COCC1(CNc2c(Cl)cncc2[N+](=O)[O-])CCCC1 ZINC000596262392 349846575 /nfs/dbraw/zinc/84/65/75/349846575.db2.gz SVZUNMOIVPYEII-UHFFFAOYSA-N 0 0 299.758 2.684 20 5 CFBDRN CC[N@@H+]1CCCN(c2ccccc2[N+](=O)[O-])[C@H](C)C1 ZINC000596268918 349847871 /nfs/dbraw/zinc/84/78/71/349847871.db2.gz NOHBPONPNWWBTC-GFCCVEGCSA-N 0 0 263.341 2.515 20 5 CFBDRN COc1cc(C)cc(CNc2ncccc2[N+](=O)[O-])c1 ZINC000128035959 187347609 /nfs/dbraw/zinc/34/76/09/187347609.db2.gz NVSIRJYRRYHQDE-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1Cn1cc(C2CC2)nn1 ZINC000596306249 349858389 /nfs/dbraw/zinc/85/83/89/349858389.db2.gz BCDAFLMLKJESRR-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3ccccn3)C2)cn1 ZINC000596306866 349858490 /nfs/dbraw/zinc/85/84/90/349858490.db2.gz SSZHBJLNJCOXKY-GFCCVEGCSA-N 0 0 284.319 2.769 20 5 CFBDRN COc1cc(N[C@H]2COC(C)(C)C2)c([N+](=O)[O-])cc1F ZINC000596312256 349860950 /nfs/dbraw/zinc/86/09/50/349860950.db2.gz WMIRCXAPOLDRBE-MRVPVSSYSA-N 0 0 284.287 2.722 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2COC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000596310254 349860027 /nfs/dbraw/zinc/86/00/27/349860027.db2.gz ABRFXGYBTOURKJ-LLVKDONJSA-N 0 0 278.308 2.777 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1COC(C)(C)C1 ZINC000596311155 349860142 /nfs/dbraw/zinc/86/01/42/349860142.db2.gz LDCFFGUVANHLBO-VIFPVBQESA-N 0 0 266.297 2.583 20 5 CFBDRN CC1(Cn2cnc3sc([N+](=O)[O-])cc3c2=O)CCC1 ZINC000596281010 349852129 /nfs/dbraw/zinc/85/21/29/349852129.db2.gz LISSQKQMXSHAJY-UHFFFAOYSA-N 0 0 279.321 2.556 20 5 CFBDRN CC(C)(C)c1ncn(Cc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000596281632 349852238 /nfs/dbraw/zinc/85/22/38/349852238.db2.gz PHXXWROAJXICBH-UHFFFAOYSA-N 0 0 278.287 2.671 20 5 CFBDRN COC(=O)c1c(Cl)c(N[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000596281787 349852346 /nfs/dbraw/zinc/85/23/46/349852346.db2.gz WHKPZMFNOSDJDJ-SECBINFHSA-N 0 0 296.710 2.999 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000596286963 349853403 /nfs/dbraw/zinc/85/34/03/349853403.db2.gz QRYFLROVAIZOJR-ILDUYXDCSA-N 0 0 277.324 2.934 20 5 CFBDRN CN(CCC(C)(C)C)c1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596287444 349853694 /nfs/dbraw/zinc/85/36/94/349853694.db2.gz SPBOJAFXICBZLJ-UHFFFAOYSA-N 0 0 253.302 2.568 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@@]2(CC2(F)F)C1 ZINC000596290694 349853917 /nfs/dbraw/zinc/85/39/17/349853917.db2.gz BMIONXDLLAAGAY-SNVBAGLBSA-N 0 0 289.669 2.879 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596291898 349854699 /nfs/dbraw/zinc/85/46/99/349854699.db2.gz LYQQBTJWPODUDB-SFYZADRCSA-N 0 0 286.715 2.846 20 5 CFBDRN COCC1(CNc2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596300178 349856323 /nfs/dbraw/zinc/85/63/23/349856323.db2.gz VIJBTRWYJPMHKA-UHFFFAOYSA-N 0 0 256.327 2.803 20 5 CFBDRN CO[C@H](CNc1cc(C)c([N+](=O)[O-])cc1F)[C@H]1CCOC1 ZINC000596318575 349862308 /nfs/dbraw/zinc/86/23/08/349862308.db2.gz OMNVRTSWFPDNKX-IINYFYTJSA-N 0 0 298.314 2.506 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H](CO)C2CCC2)ccc2ncccc21 ZINC000596322498 349862917 /nfs/dbraw/zinc/86/29/17/349862917.db2.gz BIZHZTMNQPCQJQ-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3sccc3C2)cn1 ZINC000073350439 346973455 /nfs/dbraw/zinc/97/34/55/346973455.db2.gz JROWTWSYFPXQQI-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN Cc1cc(F)ccc1C[C@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000596326837 349864599 /nfs/dbraw/zinc/86/45/99/349864599.db2.gz AKBYZNOICOOMHJ-JTQLQIEISA-N 0 0 292.314 2.819 20 5 CFBDRN CC[C@@H](COCC1CC1)Nc1c([N+](=O)[O-])nc(C)n1CC ZINC000596327857 349865314 /nfs/dbraw/zinc/86/53/14/349865314.db2.gz VTAVRJVFRQNRJM-LBPRGKRZSA-N 0 0 296.371 2.737 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ccco3)CC2)cn1 ZINC000596331359 349866955 /nfs/dbraw/zinc/86/69/55/349866955.db2.gz YYJKVHAQKSSLRU-UHFFFAOYSA-N 0 0 273.292 2.967 20 5 CFBDRN C[C@@]1(C2CC2)CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000596335883 349868247 /nfs/dbraw/zinc/86/82/47/349868247.db2.gz RTQWNSUVCNCZOS-LBPRGKRZSA-N 0 0 268.338 2.662 20 5 CFBDRN C[C@]1(C2CC2)CN(c2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596334204 349867466 /nfs/dbraw/zinc/86/74/66/349867466.db2.gz HPXXUIMTJHKEPI-CYBMUJFWSA-N 0 0 297.742 2.649 20 5 CFBDRN COCCC1CN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000596366061 349879084 /nfs/dbraw/zinc/87/90/84/349879084.db2.gz OBFXZANNZOBBOI-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@H](c2cc[nH]n2)C1 ZINC000301992120 136445014 /nfs/dbraw/zinc/44/50/14/136445014.db2.gz QBQDCPADTOQJID-JTQLQIEISA-N 0 0 290.298 2.841 20 5 CFBDRN CC(C)CC[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000269068602 534954095 /nfs/dbraw/zinc/95/40/95/534954095.db2.gz DVPDPPHNXFAMNM-LLVKDONJSA-N 0 0 279.340 2.964 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1S[C@@H]1CCc2ccccc2C1 ZINC000596360009 349877300 /nfs/dbraw/zinc/87/73/00/349877300.db2.gz JPDFLYNXPJXLBW-GFCCVEGCSA-N 0 0 289.360 2.978 20 5 CFBDRN CCC(O)(CC)C(C)(C)CNc1c([N+](=O)[O-])c(C)nn1C ZINC000596364602 349878360 /nfs/dbraw/zinc/87/83/60/349878360.db2.gz PLOOTWURELQATC-UHFFFAOYSA-N 0 0 298.387 2.626 20 5 CFBDRN C[S@](=O)[C@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000596376658 349883638 /nfs/dbraw/zinc/88/36/38/349883638.db2.gz WPWHSJZRHWQLMQ-ZMFHDSSTSA-N 0 0 288.394 2.758 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H](C)n2cccn2)c([N+](=O)[O-])c1 ZINC000074123636 346998398 /nfs/dbraw/zinc/99/83/98/346998398.db2.gz YKFRRAVHBXOGAR-LLVKDONJSA-N 0 0 289.291 2.575 20 5 CFBDRN CO[C@H](CSCc1ccccc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000596387075 349886510 /nfs/dbraw/zinc/88/65/10/349886510.db2.gz CFJFTOBPCHEEFQ-BXUZGUMPSA-N 0 0 297.376 2.880 20 5 CFBDRN CO[C@H](CSc1ccc([N+](=O)[O-])cc1)[C@@H]1CCOC1 ZINC000596392952 349887942 /nfs/dbraw/zinc/88/79/42/349887942.db2.gz IULOIYFDPAPKAR-ZWNOBZJWSA-N 0 0 283.349 2.738 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@@]12C[C@@H]1CCC2 ZINC000421544723 529935125 /nfs/dbraw/zinc/93/51/25/529935125.db2.gz RFVWBKRMLMZZLD-BBRMVZONSA-N 0 0 288.347 2.786 20 5 CFBDRN CO[C@@H](CSCc1onc(C)c1[N+](=O)[O-])C1CCC1 ZINC000596416442 349896937 /nfs/dbraw/zinc/89/69/37/349896937.db2.gz BQLKMCRQLNWHJJ-JTQLQIEISA-N 0 0 286.353 2.940 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC/C=C\CO ZINC000596423271 349898984 /nfs/dbraw/zinc/89/89/84/349898984.db2.gz KQGZLYVLMBNOMU-IHWYPQMZSA-N 0 0 256.689 2.517 20 5 CFBDRN CSCC1(CCNc2cccnc2[N+](=O)[O-])CC1 ZINC000596426121 349899994 /nfs/dbraw/zinc/89/99/94/349899994.db2.gz BQEFMCBUSKWMTG-UHFFFAOYSA-N 0 0 267.354 2.935 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000596437119 349902306 /nfs/dbraw/zinc/90/23/06/349902306.db2.gz SZMWRFHKRQUSCZ-HAQNSBGRSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1cc(NCCN(C)c2ccccc2)ncc1[N+](=O)[O-] ZINC000074727458 347029624 /nfs/dbraw/zinc/02/96/24/347029624.db2.gz YKLUVERLBOGOEZ-UHFFFAOYSA-N 0 0 286.335 2.847 20 5 CFBDRN Cc1cc(NCCc2cccc(O)c2)ncc1[N+](=O)[O-] ZINC000074744632 347030456 /nfs/dbraw/zinc/03/04/56/347030456.db2.gz JFDLHTNAZIGLFA-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN Cc1cc(NCc2ccc3c(c2)CCO3)ncc1[N+](=O)[O-] ZINC000074746013 347030580 /nfs/dbraw/zinc/03/05/80/347030580.db2.gz UFSJPTMUPDJQIJ-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN COCC1(C2CCC2)CN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000596441095 349903859 /nfs/dbraw/zinc/90/38/59/349903859.db2.gz NBKJXASBZQBAQA-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cc(NC[C@](C)(O)CCC(C)C)ncc1[N+](=O)[O-] ZINC000074765531 347031231 /nfs/dbraw/zinc/03/12/31/347031231.db2.gz KYAPORRKZKGSRK-CQSZACIVSA-N 0 0 281.356 2.897 20 5 CFBDRN Cc1cc(N2C[C@@H](C)S[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000074767675 347031256 /nfs/dbraw/zinc/03/12/56/347031256.db2.gz HFQOAELIMNEZQS-NXEZZACHSA-N 0 0 267.354 2.628 20 5 CFBDRN C[C@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H]1CCO ZINC000596441451 349903877 /nfs/dbraw/zinc/90/38/77/349903877.db2.gz GXPDOTASWDDKTF-ONGXEEELSA-N 0 0 299.758 2.631 20 5 CFBDRN C[C@@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)C[C@@H](C)C1=O ZINC000596446125 349905911 /nfs/dbraw/zinc/90/59/11/349905911.db2.gz GNMZUWIBGYGMLH-GHMZBOCLSA-N 0 0 299.330 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@H]2n2cccn2)cn1 ZINC000074776493 347033024 /nfs/dbraw/zinc/03/30/24/347033024.db2.gz CBABIQRNPNNPDG-QWHCGFSZSA-N 0 0 287.323 2.782 20 5 CFBDRN O=[N+]([O-])c1cccnc1Sc1nnc(C2CC2)s1 ZINC000074778062 347033036 /nfs/dbraw/zinc/03/30/36/347033036.db2.gz YOJDXZNJEOONQV-UHFFFAOYSA-N 0 0 280.334 2.870 20 5 CFBDRN CN(Cc1nccs1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000074782762 347034301 /nfs/dbraw/zinc/03/43/01/347034301.db2.gz UMWUXBJKIIKEGQ-UHFFFAOYSA-N 0 0 294.292 2.596 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@H](C2CCCCC2)C1 ZINC000596466835 349909444 /nfs/dbraw/zinc/90/94/44/349909444.db2.gz IEEMRLDFLYJBRR-LBPRGKRZSA-N 0 0 291.351 2.965 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCC[C@H](C)O1 ZINC000596472524 349910665 /nfs/dbraw/zinc/91/06/65/349910665.db2.gz COCBWEOPVATXHE-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC000075056168 347051102 /nfs/dbraw/zinc/05/11/02/347051102.db2.gz AXWYMCJSWCBXNL-ZDUSSCGKSA-N 0 0 291.282 2.929 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cc1)CC1(C)OCCO1 ZINC000075053787 347051296 /nfs/dbraw/zinc/05/12/96/347051296.db2.gz LBJFMLRDLSVSFR-NSHDSACASA-N 0 0 280.324 2.796 20 5 CFBDRN CN(Cc1ccc(CO)cc1)Cc1ccccc1[N+](=O)[O-] ZINC000182610631 529984537 /nfs/dbraw/zinc/98/45/37/529984537.db2.gz HUFPQIASOMYQRQ-UHFFFAOYSA-N 0 0 286.331 2.719 20 5 CFBDRN Cc1nn(C)c(N2CC[C@@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000075061301 347052339 /nfs/dbraw/zinc/05/23/39/347052339.db2.gz YLJSXIBVBQCXMW-NSHDSACASA-N 0 0 266.345 2.509 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000075062605 347052832 /nfs/dbraw/zinc/05/28/32/347052832.db2.gz UBKCPYNZMNZHBV-GFCCVEGCSA-N 0 0 256.277 2.817 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000075064550 347052876 /nfs/dbraw/zinc/05/28/76/347052876.db2.gz VSNGTDYHLIURFJ-GWCFXTLKSA-N 0 0 265.313 2.605 20 5 CFBDRN Nc1c(F)c(N2CCC[C@@H](CCF)C2)ccc1[N+](=O)[O-] ZINC000596478560 349913785 /nfs/dbraw/zinc/91/37/85/349913785.db2.gz HCDQUIMOBFIBMY-VIFPVBQESA-N 0 0 285.294 2.892 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1nccc3c1cccc3[N+](=O)[O-])C2 ZINC000596478573 349913930 /nfs/dbraw/zinc/91/39/30/349913930.db2.gz HFNHFUYSOMOVSC-BDJLRTHQSA-N 0 0 299.330 2.616 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000596480592 349915196 /nfs/dbraw/zinc/91/51/96/349915196.db2.gz SOKFOMMPVMZFFH-GHMZBOCLSA-N 0 0 279.340 2.584 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@@H]1CCO[C@H](C)C1 ZINC000273199232 192073250 /nfs/dbraw/zinc/07/32/50/192073250.db2.gz SEMKUTYXCCCFGG-VXGBXAGGSA-N 0 0 279.340 2.915 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCC(F)CC1 ZINC000596481962 349915530 /nfs/dbraw/zinc/91/55/30/349915530.db2.gz BRJBHLFWWMCBEG-XNWIYYODSA-N 0 0 283.303 2.570 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCC(F)CC1 ZINC000596481961 349915845 /nfs/dbraw/zinc/91/58/45/349915845.db2.gz BRJBHLFWWMCBEG-IDKOKCKLSA-N 0 0 283.303 2.570 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(N)c1F)C1CC1 ZINC000596486194 349916572 /nfs/dbraw/zinc/91/65/72/349916572.db2.gz VJEKDGJUNUJCNA-MRVPVSSYSA-N 0 0 253.277 2.917 20 5 CFBDRN CCCCNC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] ZINC000075460651 347077628 /nfs/dbraw/zinc/07/76/28/347077628.db2.gz UZEFCULTMOFOKP-UHFFFAOYSA-N 0 0 298.364 2.855 20 5 CFBDRN COC(=O)C[C@@H](CNc1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000596492303 349918664 /nfs/dbraw/zinc/91/86/64/349918664.db2.gz OYEQCIFVPXQCCI-LBPRGKRZSA-N 0 0 292.335 2.904 20 5 CFBDRN COc1cc(N2CC[C@@H](C)O[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000596494568 349919856 /nfs/dbraw/zinc/91/98/56/349919856.db2.gz QOMOCWVLWQWKFI-ZJUUUORDSA-N 0 0 298.314 2.746 20 5 CFBDRN C[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H](C)O1 ZINC000596493229 349919555 /nfs/dbraw/zinc/91/95/55/349919555.db2.gz JADSCZLVBJOUMN-BDAKNGLRSA-N 0 0 285.731 2.647 20 5 CFBDRN COc1cccc(N2CC[C@@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000596495847 349919699 /nfs/dbraw/zinc/91/96/99/349919699.db2.gz XFKXAXYBTHLMBC-MNOVXSKESA-N 0 0 280.324 2.607 20 5 CFBDRN Cc1ccc(NCC(O)(C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC000596494664 349919775 /nfs/dbraw/zinc/91/97/75/349919775.db2.gz UTSVXYGUBCRHQQ-UHFFFAOYSA-N 0 0 276.336 2.866 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000075573766 347085941 /nfs/dbraw/zinc/08/59/41/347085941.db2.gz PWELKXKLGBQFJB-UHFFFAOYSA-N 0 0 296.270 2.989 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC([C@@H]2CCCCO2)CC1 ZINC000596500947 349921849 /nfs/dbraw/zinc/92/18/49/349921849.db2.gz AEFAMPYRQXHGHD-AWEZNQCLSA-N 0 0 291.351 2.775 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCOC(C)(C)C1 ZINC000075589087 347086136 /nfs/dbraw/zinc/08/61/36/347086136.db2.gz CJPAMCYIJSYGPF-LLVKDONJSA-N 0 0 264.325 2.767 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N[C@H]1C[C@@H](O)C12CCC2 ZINC000596506609 349923383 /nfs/dbraw/zinc/92/33/83/349923383.db2.gz JFCMEBJBCHNXQB-UONOGXRCSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N[C@H]1C[C@H](O)C12CCC2 ZINC000596506607 349923409 /nfs/dbraw/zinc/92/34/09/349923409.db2.gz JFCMEBJBCHNXQB-KBPBESRZSA-N 0 0 299.330 2.858 20 5 CFBDRN CCSC1(CNc2c([N+](=O)[O-])nc(C)n2CC)CC1 ZINC000596511260 349924882 /nfs/dbraw/zinc/92/48/82/349924882.db2.gz LOVRUHHJMNRSBF-UHFFFAOYSA-N 0 0 284.385 2.817 20 5 CFBDRN CCSC1(CNc2nccc(C)c2[N+](=O)[O-])CC1 ZINC000596511722 349925363 /nfs/dbraw/zinc/92/53/63/349925363.db2.gz SSYKQWDDJPOVDQ-UHFFFAOYSA-N 0 0 267.354 2.996 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1C[C@@H](O)C12CCC2 ZINC000596511379 349925480 /nfs/dbraw/zinc/92/54/80/349925480.db2.gz PLPDTDVCWCCPJL-NWDGAFQWSA-N 0 0 282.727 2.964 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@H]2C[C@H]2C1 ZINC000596513085 349926007 /nfs/dbraw/zinc/92/60/07/349926007.db2.gz YUIWXGCQFUEWIY-QWRGUYRKSA-N 0 0 260.293 2.644 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@@H]2C[C@@H]2C1 ZINC000596513083 349926040 /nfs/dbraw/zinc/92/60/40/349926040.db2.gz YUIWXGCQFUEWIY-GHMZBOCLSA-N 0 0 260.293 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H](O)C23CCC3)c2ncccc12 ZINC000596513810 349926851 /nfs/dbraw/zinc/92/68/51/349926851.db2.gz WHGKBOZKLCZIDN-KBPBESRZSA-N 0 0 299.330 2.858 20 5 CFBDRN C[C@H]1COC2(CCCC2)CN1c1ncc([N+](=O)[O-])cc1F ZINC000596514731 349927196 /nfs/dbraw/zinc/92/71/96/349927196.db2.gz JBSTXZJEIIQUIJ-JTQLQIEISA-N 0 0 295.314 2.667 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1cnn(C)c1 ZINC000027214854 232173069 /nfs/dbraw/zinc/17/30/69/232173069.db2.gz UDXMPXVHWOSENG-LLVKDONJSA-N 0 0 274.324 2.521 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000007811808 232171924 /nfs/dbraw/zinc/17/19/24/232171924.db2.gz DCVPVUKTNVTSGL-VXGBXAGGSA-N 0 0 291.351 2.511 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC(C2CC2)(C2CC2)C1 ZINC000596515406 349927377 /nfs/dbraw/zinc/92/73/77/349927377.db2.gz VJVXXGUYQNXOCT-UHFFFAOYSA-N 0 0 288.347 2.990 20 5 CFBDRN C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)[N@H+](C)CC1CCC1 ZINC000111299028 232192387 /nfs/dbraw/zinc/19/23/87/232192387.db2.gz VGNIEELZEXLVOF-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN O=c1[nH]ccc(N2CCC[C@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000596528392 349931381 /nfs/dbraw/zinc/93/13/81/349931381.db2.gz ZPZQWPJFUGTINP-NSHDSACASA-N 0 0 277.324 2.712 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@H]3COC[C@H]3C2)c1 ZINC000596528977 349931459 /nfs/dbraw/zinc/93/14/59/349931459.db2.gz PENKODKKZIYPJP-QWHCGFSZSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@@H]4COC[C@@H]4C3)c2c1 ZINC000596526415 349930634 /nfs/dbraw/zinc/93/06/34/349930634.db2.gz DQKGZHNAJGJQSS-OLZOCXBDSA-N 0 0 299.330 2.616 20 5 CFBDRN COc1c(C(=O)N2CCCCCC2)cccc1[N+](=O)[O-] ZINC000137570082 232212070 /nfs/dbraw/zinc/21/20/70/232212070.db2.gz JBFSNWGBHICREL-UHFFFAOYSA-N 0 0 278.308 2.620 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@@H]2COC[C@H]2C1 ZINC000596529969 349932072 /nfs/dbraw/zinc/93/20/72/349932072.db2.gz SBDZWINQIJLOTI-VXGBXAGGSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC[C@@H]4COC[C@@H]4C3)ccnc21 ZINC000596530017 349932168 /nfs/dbraw/zinc/93/21/68/349932168.db2.gz SVUZAVGIJWXOOU-NEPJUHHUSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@@H]3Cc4cc(F)ccc4[C@@H]32)s1 ZINC000596538866 349934682 /nfs/dbraw/zinc/93/46/82/349934682.db2.gz HWLYNEHAGXKZRJ-ADEWGFFLSA-N 0 0 291.307 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@H]3Cc4cc(F)ccc4[C@@H]23)cn1 ZINC000596543627 349937218 /nfs/dbraw/zinc/93/72/18/349937218.db2.gz MJQGCXHSWBPWHL-NWANDNLSSA-N 0 0 285.278 2.879 20 5 CFBDRN CC(=O)c1ccc(N[C@H](C)c2nccn2C)c([N+](=O)[O-])c1 ZINC000596544811 349937247 /nfs/dbraw/zinc/93/72/47/349937247.db2.gz UCCVBMCIQSCLNC-SECBINFHSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc(C)c2[N+](=O)[O-])cn1 ZINC000154879202 232228359 /nfs/dbraw/zinc/22/83/59/232228359.db2.gz ARGXZQMASSBTRN-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCOC1(C)C ZINC000596544780 349937417 /nfs/dbraw/zinc/93/74/17/349937417.db2.gz QOEMPIXVQXQJOF-SNVBAGLBSA-N 0 0 280.324 2.830 20 5 CFBDRN CCN(CC(C)(C)O)c1cc(C)ccc1[N+](=O)[O-] ZINC000084726784 178921173 /nfs/dbraw/zinc/92/11/73/178921173.db2.gz OWFMPMSUBLYQQO-UHFFFAOYSA-N 0 0 252.314 2.500 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000128287618 187362059 /nfs/dbraw/zinc/36/20/59/187362059.db2.gz HXLREHIAEZFIAL-CQSZACIVSA-N 0 0 293.323 2.594 20 5 CFBDRN CCOC(=O)[C@]1(C)CCC[C@H]1Nc1ncc([N+](=O)[O-])s1 ZINC000596558174 349941376 /nfs/dbraw/zinc/94/13/76/349941376.db2.gz XTVUSCZDLKDMFW-PRHODGIISA-N 0 0 299.352 2.585 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCO[C@H]1CCOC1 ZINC000128310938 187362411 /nfs/dbraw/zinc/36/24/11/187362411.db2.gz OQCJIIWGTVIQHM-LBPRGKRZSA-N 0 0 280.324 2.511 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@H]1C=CCCC1 ZINC000177192216 232352363 /nfs/dbraw/zinc/35/23/63/232352363.db2.gz OOYPAGSAOSLXLC-LBPRGKRZSA-N 0 0 275.308 2.503 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccncc2)cc1[N+](=O)[O-] ZINC000177853122 232370571 /nfs/dbraw/zinc/37/05/71/232370571.db2.gz POIGCYOMBHQNSG-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@]1(C(F)F)CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000596571513 349944411 /nfs/dbraw/zinc/94/44/11/349944411.db2.gz HDATUSNSKFZQFX-SNVBAGLBSA-N 0 0 278.280 2.517 20 5 CFBDRN CCn1nccc1CNc1c(C)cccc1[N+](=O)[O-] ZINC000596572065 349944606 /nfs/dbraw/zinc/94/46/06/349944606.db2.gz KJQMMLGYHWGOBQ-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CN(Cc1ccc(Cl)s1)c1c([N+](=O)[O-])ncn1C ZINC000008318595 346223205 /nfs/dbraw/zinc/22/32/05/346223205.db2.gz KHFDFFSCCKTIQU-UHFFFAOYSA-N 0 0 286.744 2.680 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000008275562 346223310 /nfs/dbraw/zinc/22/33/10/346223310.db2.gz BANZOJWOFATZJK-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc2c(c1)CCC2 ZINC000008318313 346223377 /nfs/dbraw/zinc/22/33/77/346223377.db2.gz KEXQTXSPFHYCKP-UHFFFAOYSA-N 0 0 258.281 2.561 20 5 CFBDRN Cc1cc(N2CCO[C@](C)(C(F)F)C2)c([N+](=O)[O-])s1 ZINC000596573287 349945470 /nfs/dbraw/zinc/94/54/70/349945470.db2.gz KIIYYTSWTZJFFB-NSHDSACASA-N 0 0 292.307 2.825 20 5 CFBDRN C[C@H]1CN(c2sccc2[N+](=O)[O-])C[C@](C)(C(F)F)O1 ZINC000596573017 349945496 /nfs/dbraw/zinc/94/54/96/349945496.db2.gz JLCPZNOAXAJYSU-WRWORJQWSA-N 0 0 292.307 2.905 20 5 CFBDRN Cc1c(NC(=O)CN(C)c2ccccc2)cccc1[N+](=O)[O-] ZINC000016446091 346238266 /nfs/dbraw/zinc/23/82/66/346238266.db2.gz XTKUONBFNIYCKL-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN CCOc1ccc(C(=O)NCCC(C)C)cc1[N+](=O)[O-] ZINC000019005767 346242263 /nfs/dbraw/zinc/24/22/63/346242263.db2.gz SPHNSMGHKHCKEG-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000020501371 346243789 /nfs/dbraw/zinc/24/37/89/346243789.db2.gz KWKFPUKDECVUMQ-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000020538743 346244947 /nfs/dbraw/zinc/24/49/47/346244947.db2.gz NVUSRUSQJLPXKK-JTQLQIEISA-N 0 0 286.291 2.873 20 5 CFBDRN CN(C)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000023152062 346251299 /nfs/dbraw/zinc/25/12/99/346251299.db2.gz XXDFVVKVTOAPEO-UHFFFAOYSA-N 0 0 285.303 2.913 20 5 CFBDRN C[C@H]1CCCN1C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000295134100 199364589 /nfs/dbraw/zinc/36/45/89/199364589.db2.gz IKCSYFIFVRZJCW-NSHDSACASA-N 0 0 290.319 2.569 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])c1ccc2c(c1)CCO2 ZINC000026240487 346263883 /nfs/dbraw/zinc/26/38/83/346263883.db2.gz AGGIZFYLBYVDIR-UHFFFAOYSA-N 0 0 299.282 2.791 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCO2)c1ccc([N+](=O)[O-])s1 ZINC000027131441 346267694 /nfs/dbraw/zinc/26/76/94/346267694.db2.gz ZVLCXSGUYJTZSB-UHFFFAOYSA-N 0 0 290.300 2.844 20 5 CFBDRN CC(C)(CNc1ccncc1[N+](=O)[O-])CC(F)(F)F ZINC000596586748 349948986 /nfs/dbraw/zinc/94/89/86/349948986.db2.gz XJIDNESSPFFYHI-UHFFFAOYSA-N 0 0 277.246 2.802 20 5 CFBDRN O=C(Cc1ccsc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000032517287 346305512 /nfs/dbraw/zinc/30/55/12/346305512.db2.gz JWSHLMZOZDHTMW-UHFFFAOYSA-N 0 0 262.290 2.838 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N(Cc1ccccc1)C1CC1 ZINC000031087402 346291720 /nfs/dbraw/zinc/29/17/20/346291720.db2.gz GGQHCUPCMXJVRF-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2N)CC(C)(C)C1 ZINC000345438026 290781014 /nfs/dbraw/zinc/78/10/14/290781014.db2.gz ZCMSABNHEFGYFI-SNVBAGLBSA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]2CCCC[C@H]12 ZINC000042058613 346359531 /nfs/dbraw/zinc/35/95/31/346359531.db2.gz ZLDPZATVGPKFSI-QKCSRTOESA-N 0 0 291.351 2.964 20 5 CFBDRN COc1ccc([C@H](O)CNc2ccccc2[N+](=O)[O-])cc1 ZINC000042100286 346360862 /nfs/dbraw/zinc/36/08/62/346360862.db2.gz AYFFNODFRFSQMY-OAHLLOKOSA-N 0 0 288.303 2.749 20 5 CFBDRN Cc1nccc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000038037583 346336912 /nfs/dbraw/zinc/33/69/12/346336912.db2.gz BLEDSYDOQRWGSS-JTQLQIEISA-N 0 0 272.308 2.544 20 5 CFBDRN C[C@@H](Cc1nc(-c2ccc([N+](=O)[O-])o2)no1)[C@H]1CCCO1 ZINC000596600785 349953546 /nfs/dbraw/zinc/95/35/46/349953546.db2.gz JQPMHESWNSWKLR-DTWKUNHWSA-N 0 0 293.279 2.595 20 5 CFBDRN CCO[C@H](CC)c1noc(-c2cc([N+](=O)[O-])cnc2C)n1 ZINC000596616444 349956423 /nfs/dbraw/zinc/95/64/23/349956423.db2.gz YSTQATMSBQTRRL-LLVKDONJSA-N 0 0 292.295 2.836 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H](C)[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000043147344 346380166 /nfs/dbraw/zinc/38/01/66/346380166.db2.gz QLQQWPPIQHNABN-MFKMUULPSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1c(NC(=O)N[C@@H](C)[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000043346635 346382322 /nfs/dbraw/zinc/38/23/22/346382322.db2.gz RQYOWCGGIUCRLJ-GWCFXTLKSA-N 0 0 293.323 2.592 20 5 CFBDRN CCO[C@H](C)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000098036199 185676390 /nfs/dbraw/zinc/67/63/90/185676390.db2.gz DCMGEDRYFAGWBT-GFCCVEGCSA-N 0 0 294.351 2.757 20 5 CFBDRN CN(C)C(=O)c1ccc(CNc2ccccc2[N+](=O)[O-])cc1 ZINC000042633193 346368936 /nfs/dbraw/zinc/36/89/36/346368936.db2.gz FSXNZAKDHPQADL-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000044484695 346394109 /nfs/dbraw/zinc/39/41/09/346394109.db2.gz LNGWFYAAEATVPH-PSASIEDQSA-N 0 0 268.338 2.965 20 5 CFBDRN O=C(NCC1CCCC1)c1csc([N+](=O)[O-])c1 ZINC000044492774 346394242 /nfs/dbraw/zinc/39/42/42/346394242.db2.gz FNJBQTJPRMVGPE-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN CO[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000596621270 349959484 /nfs/dbraw/zinc/95/94/84/349959484.db2.gz XSONIUSCBOGHLO-ZDUSSCGKSA-N 0 0 278.308 2.739 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000045819812 346415146 /nfs/dbraw/zinc/41/51/46/346415146.db2.gz MYKCQKWKDQCQOW-VIFPVBQESA-N 0 0 266.297 2.522 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCCSCC1 ZINC000098098849 185687357 /nfs/dbraw/zinc/68/73/57/185687357.db2.gz UAGULQJAKMKDER-QPJJXVBHSA-N 0 0 292.360 2.574 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCC(C)C ZINC000193592159 232494082 /nfs/dbraw/zinc/49/40/82/232494082.db2.gz MYMAILQMHQGJGQ-UHFFFAOYSA-N 0 0 265.313 2.989 20 5 CFBDRN Cc1ccc(CNC(=O)Nc2cccc([N+](=O)[O-])c2)cn1 ZINC000046872080 346436659 /nfs/dbraw/zinc/43/66/59/346436659.db2.gz QUMKBONMKFWLIE-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1ccc2ncn(Cc3ccccc3[N+](=O)[O-])c(=O)c2c1 ZINC000047369283 346449892 /nfs/dbraw/zinc/44/98/92/346449892.db2.gz GEWBMTOIQLPJTD-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN COc1cccc(C(=O)NCCC2=CCCC2)c1[N+](=O)[O-] ZINC000194758174 232510376 /nfs/dbraw/zinc/51/03/76/232510376.db2.gz RNGJSUJEVLHWOK-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000048202085 346469479 /nfs/dbraw/zinc/46/94/79/346469479.db2.gz PLJCQWVYFYKWSJ-GFCCVEGCSA-N 0 0 292.335 2.622 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000049036859 346479105 /nfs/dbraw/zinc/47/91/05/346479105.db2.gz ABFMCGONVIUCJU-SECBINFHSA-N 0 0 266.297 2.522 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)CC(C)(C)O1 ZINC000049177138 346479734 /nfs/dbraw/zinc/47/97/34/346479734.db2.gz MOEOTDDVIQRMNY-MRVPVSSYSA-N 0 0 256.327 2.660 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000049376403 346482117 /nfs/dbraw/zinc/48/21/17/346482117.db2.gz KYDMDHABCRXWPO-SNVBAGLBSA-N 0 0 250.298 2.822 20 5 CFBDRN Cc1cc(NCCNC(=O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000051655222 346506550 /nfs/dbraw/zinc/50/65/50/346506550.db2.gz AIHAFHKBVROJDR-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN CCn1nc(C)cc1-c1ccc(NC(C)=O)c([N+](=O)[O-])c1 ZINC000596644825 349966849 /nfs/dbraw/zinc/96/68/49/349966849.db2.gz QQBRNHXUFAODRQ-UHFFFAOYSA-N 0 0 288.307 2.745 20 5 CFBDRN CN(Cc1ccccn1)c1ccc(F)cc1[N+](=O)[O-] ZINC000049980116 346488017 /nfs/dbraw/zinc/48/80/17/346488017.db2.gz HGPHCKOIYUOGJP-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN CCCC(C)(C)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000050498501 346495570 /nfs/dbraw/zinc/49/55/70/346495570.db2.gz BMBWXONCBVHISG-UHFFFAOYSA-N 0 0 295.295 2.812 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2nccs2)s1 ZINC000050953554 346499233 /nfs/dbraw/zinc/49/92/33/346499233.db2.gz PJNYUCCTZAMYPO-UHFFFAOYSA-N 0 0 255.324 2.767 20 5 CFBDRN O=C(Nc1ccc2ccccc2n1)c1cc([N+](=O)[O-])c[nH]1 ZINC000053638350 346550701 /nfs/dbraw/zinc/55/07/01/346550701.db2.gz PNGAJJAAPYPGRI-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1nc(N2C[C@@H](C)CCC[C@H]2C)ncc1[N+](=O)[O-] ZINC000450347692 293304288 /nfs/dbraw/zinc/30/42/88/293304288.db2.gz IUHFGWSMEMAMHV-VHSXEESVSA-N 0 0 264.329 2.708 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000055528789 346591681 /nfs/dbraw/zinc/59/16/81/346591681.db2.gz HRPNLEZEJPZRNQ-SNVBAGLBSA-N 0 0 287.319 2.948 20 5 CFBDRN O=C(/C=C/c1ccsc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797650 346596929 /nfs/dbraw/zinc/59/69/29/346596929.db2.gz CUPWDJMNTQMCBZ-ZZXKWVIFSA-N 0 0 288.328 2.986 20 5 CFBDRN O=C(Nc1nc(C2CC2)cs1)c1cc([N+](=O)[O-])c[nH]1 ZINC000056136649 346602939 /nfs/dbraw/zinc/60/29/39/346602939.db2.gz FSNIQASXYHEOBK-UHFFFAOYSA-N 0 0 278.293 2.509 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NOCc1ccccc1 ZINC000056696452 346612486 /nfs/dbraw/zinc/61/24/86/346612486.db2.gz HFUKJYXTGNCELY-FLIBITNWSA-N 0 0 298.298 2.856 20 5 CFBDRN CCO[C@H](CC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000057226424 346622799 /nfs/dbraw/zinc/62/27/99/346622799.db2.gz ZUBABNGFEWDTCJ-GXFFZTMASA-N 0 0 280.324 2.587 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ncn(Cc3ccccc3)n2)c1 ZINC000060370943 346663823 /nfs/dbraw/zinc/66/38/23/346663823.db2.gz VNOOPBBKWWOIBX-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cc1F)Cn1ccnc1 ZINC000060380067 346664153 /nfs/dbraw/zinc/66/41/53/346664153.db2.gz GOKVUDXWNRFPFW-JTQLQIEISA-N 0 0 278.287 2.679 20 5 CFBDRN Cc1c(OC[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000060810058 346671754 /nfs/dbraw/zinc/67/17/54/346671754.db2.gz YOVLLUPWGLYIOZ-NSHDSACASA-N 0 0 251.282 2.851 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000057561569 346631358 /nfs/dbraw/zinc/63/13/58/346631358.db2.gz BSLWASQDQUQFPO-SNVBAGLBSA-N 0 0 276.292 2.898 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCC(C)CC2)c([N+](=O)[O-])c1 ZINC000057647875 346633574 /nfs/dbraw/zinc/63/35/74/346633574.db2.gz IDXZUYTWELCNFL-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN CN(C(=O)[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000057682074 346633888 /nfs/dbraw/zinc/63/38/88/346633888.db2.gz AEQZICMKGXUTPM-NSHDSACASA-N 0 0 260.293 2.914 20 5 CFBDRN CCCN(C)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000063602570 346704824 /nfs/dbraw/zinc/70/48/24/346704824.db2.gz RYRKCNBKCKTJTO-UHFFFAOYSA-N 0 0 268.338 2.555 20 5 CFBDRN Cc1cccc(OC[C@H](C)Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000064030162 346713908 /nfs/dbraw/zinc/71/39/08/346713908.db2.gz ZLXGQFRQIOXGHZ-NSHDSACASA-N 0 0 290.323 2.516 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000064322767 346717797 /nfs/dbraw/zinc/71/77/97/346717797.db2.gz AAPVYYIXHJSZLS-AWEZNQCLSA-N 0 0 276.336 2.784 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)Cc1ccco1 ZINC000061783375 346683886 /nfs/dbraw/zinc/68/38/86/346683886.db2.gz NWBWWRFSOPUGMV-UHFFFAOYSA-N 0 0 276.292 2.828 20 5 CFBDRN COc1cccc(CNc2ccncc2[N+](=O)[O-])c1OC ZINC000066809862 346780535 /nfs/dbraw/zinc/78/05/35/346780535.db2.gz QKZQZUBRVBDKEQ-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CN(CCCOc1ccccc1)c1ccncc1[N+](=O)[O-] ZINC000066808536 346780730 /nfs/dbraw/zinc/78/07/30/346780730.db2.gz YZMOYWGVRSBOHO-UHFFFAOYSA-N 0 0 287.319 2.895 20 5 CFBDRN CCc1nc(CN(C)c2ccncc2[N+](=O)[O-])cs1 ZINC000066810652 346780855 /nfs/dbraw/zinc/78/08/55/346780855.db2.gz YWFHCEFPEMOBOW-UHFFFAOYSA-N 0 0 278.337 2.645 20 5 CFBDRN CC(C)(C)SCCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000066739309 346775982 /nfs/dbraw/zinc/77/59/82/346775982.db2.gz ROVMBOCUMHJDNT-UHFFFAOYSA-N 0 0 296.392 2.785 20 5 CFBDRN O=C1CCCN1c1cccc(Nc2ccncc2[N+](=O)[O-])c1 ZINC000066808242 346779976 /nfs/dbraw/zinc/77/99/76/346779976.db2.gz BSKDDNTUOQEPIF-UHFFFAOYSA-N 0 0 298.302 2.860 20 5 CFBDRN COc1ccc(COc2ccc(C)nc2)cc1[N+](=O)[O-] ZINC000101540946 185743055 /nfs/dbraw/zinc/74/30/55/185743055.db2.gz SGTXUBOBQSPPNF-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1nn(C)c(NCc2cc(F)cc(F)c2)c1[N+](=O)[O-] ZINC000076652663 347147105 /nfs/dbraw/zinc/14/71/05/347147105.db2.gz IWQSWMWFUDWTAL-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN CSC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000292267985 136676294 /nfs/dbraw/zinc/67/62/94/136676294.db2.gz LLDVJAWVZKYKQF-NSHDSACASA-N 0 0 281.381 2.878 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000077136114 347169245 /nfs/dbraw/zinc/16/92/45/347169245.db2.gz PUSRQFTYPZDPFE-NXEZZACHSA-N 0 0 265.313 2.622 20 5 CFBDRN COc1cc(N2CC[C@@H](OC)C(C)(C)C2)ccc1[N+](=O)[O-] ZINC000295795981 136689327 /nfs/dbraw/zinc/68/93/27/136689327.db2.gz RZGYETDTCMXUOP-CQSZACIVSA-N 0 0 294.351 2.855 20 5 CFBDRN Nc1nc(Sc2ccc(Cl)cc2)ncc1[N+](=O)[O-] ZINC000076266904 347131131 /nfs/dbraw/zinc/13/11/31/347131131.db2.gz UXZPVLAYCBNMJJ-UHFFFAOYSA-N 0 0 282.712 2.772 20 5 CFBDRN Cc1cccc([C@@H]2CCCN2c2ncc([N+](=O)[O-])c(N)n2)c1 ZINC000076268671 347131950 /nfs/dbraw/zinc/13/19/50/347131950.db2.gz DMLNMJOEAORWOK-LBPRGKRZSA-N 0 0 299.334 2.617 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077445062 347193375 /nfs/dbraw/zinc/19/33/75/347193375.db2.gz NAXCWQXXKIBTJH-MRVPVSSYSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000077228879 347178514 /nfs/dbraw/zinc/17/85/14/347178514.db2.gz ACWDPJJAQNKFQJ-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC[C@@H]2CCOC2)c(F)c1 ZINC000077229750 347178645 /nfs/dbraw/zinc/17/86/45/347178645.db2.gz LQRCZMJWGKAQQY-NSHDSACASA-N 0 0 298.314 2.589 20 5 CFBDRN COc1cc(N[C@@H]2CCCC[C@@H]2OC)ccc1[N+](=O)[O-] ZINC000078427062 347251746 /nfs/dbraw/zinc/25/17/46/347251746.db2.gz IVZLXURNXAXBCZ-YPMHNXCESA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)N1CCC[C@@H](C)C1 ZINC000077910512 347220097 /nfs/dbraw/zinc/22/00/97/347220097.db2.gz MARGXTILSZWQRE-LLVKDONJSA-N 0 0 292.335 2.541 20 5 CFBDRN CCOC(=O)c1ccnc(NC[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC000077933633 347220744 /nfs/dbraw/zinc/22/07/44/347220744.db2.gz KTUAJKKNNORHBM-VIFPVBQESA-N 0 0 293.323 2.625 20 5 CFBDRN C[C@@H](CC(=O)N1CCc2c1cccc2[N+](=O)[O-])n1cccc1 ZINC000078148516 347230757 /nfs/dbraw/zinc/23/07/57/347230757.db2.gz KXXTWRHJVFBLTG-LBPRGKRZSA-N 0 0 299.330 2.937 20 5 CFBDRN COC1CCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000078288140 347237495 /nfs/dbraw/zinc/23/74/95/347237495.db2.gz ZBGVVTNAYXCQTL-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)c2cnn(C)c2)c([N+](=O)[O-])c1 ZINC000078306917 347240097 /nfs/dbraw/zinc/24/00/97/347240097.db2.gz LJMQLGHFBKGKFO-VIFPVBQESA-N 0 0 288.307 2.704 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])s1)c1cnn(C)c1 ZINC000078306952 347240151 /nfs/dbraw/zinc/24/01/51/347240151.db2.gz TYIAOMJZOIVKLJ-SSDOTTSWSA-N 0 0 252.299 2.563 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000078339444 347243376 /nfs/dbraw/zinc/24/33/76/347243376.db2.gz WBYKOJSGFLBIAI-QMMMGPOBSA-N 0 0 287.747 2.852 20 5 CFBDRN Cc1ccccc1[C@@H]1CN(c2ccc([N+](=O)[O-])cn2)CCO1 ZINC000078366555 347246744 /nfs/dbraw/zinc/24/67/44/347246744.db2.gz YMFIOGOMOACVMG-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccccc2[N+](=O)[O-])nc1 ZINC000078454203 347253620 /nfs/dbraw/zinc/25/36/20/347253620.db2.gz HXXXWZXUPYWGDT-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN C[C@](O)(CNc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000078375887 347247597 /nfs/dbraw/zinc/24/75/97/347247597.db2.gz FKWSYNGLQBZHPR-ZDUSSCGKSA-N 0 0 262.265 2.507 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@@]2(C)c2ccccc2)c1[N+](=O)[O-] ZINC000078374095 347247732 /nfs/dbraw/zinc/24/77/32/347247732.db2.gz BZGRVRCVKWEODQ-DOMZBBRYSA-N 0 0 286.335 2.779 20 5 CFBDRN CC[C@@H](Nc1ncccc1[N+](=O)[O-])c1c(C)nn(C)c1C ZINC000078520317 347259791 /nfs/dbraw/zinc/25/97/91/347259791.db2.gz OFVJWRWLVKLACM-LLVKDONJSA-N 0 0 289.339 2.903 20 5 CFBDRN C[C@@H](CNc1c([N+](=O)[O-])ncn1C)c1ccc(F)cc1F ZINC000078579047 347262787 /nfs/dbraw/zinc/26/27/87/347262787.db2.gz WIRIZNYIUXTQOI-QMMMGPOBSA-N 0 0 296.277 2.822 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1)c1nnc2ccccn21 ZINC000078596409 347264194 /nfs/dbraw/zinc/26/41/94/347264194.db2.gz LHCQSTQMETYPCT-SNVBAGLBSA-N 0 0 283.291 2.811 20 5 CFBDRN Cc1cnc(N(C)Cc2ccccc2)c([N+](=O)[O-])c1 ZINC000078624226 347265189 /nfs/dbraw/zinc/26/51/89/347265189.db2.gz AYOVTINLTSAEOY-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1cnc(N2CC[C@H](Nc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000078657996 347268173 /nfs/dbraw/zinc/26/81/73/347268173.db2.gz RWAIQLXQLJGCAG-AWEZNQCLSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078658764 347268193 /nfs/dbraw/zinc/26/81/93/347268193.db2.gz QHDFDRUHTIZVPG-SECBINFHSA-N 0 0 275.312 2.513 20 5 CFBDRN Cc1cnc(NCCCc2nccs2)c([N+](=O)[O-])c1 ZINC000078663268 347269021 /nfs/dbraw/zinc/26/90/21/347269021.db2.gz ALGNMEGDPSVKCV-UHFFFAOYSA-N 0 0 278.337 2.799 20 5 CFBDRN Cc1cc(C)c(CNc2ccc([N+](=O)[O-])c(C)c2)c(=O)[nH]1 ZINC000079832928 347328478 /nfs/dbraw/zinc/32/84/78/347328478.db2.gz VYWMSLQCFWPNGD-UHFFFAOYSA-N 0 0 287.319 2.820 20 5 CFBDRN C[S@](=O)c1ccc(CNc2ccccc2[N+](=O)[O-])cc1 ZINC000080245938 347351116 /nfs/dbraw/zinc/35/11/16/347351116.db2.gz GZOKXDLSQWQCRI-HXUWFJFHSA-N 0 0 290.344 2.944 20 5 CFBDRN C[C@H]1C[C@@H]1c1ccc(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)o1 ZINC000079409348 347302618 /nfs/dbraw/zinc/30/26/18/347302618.db2.gz VSQSGHZWNDQTFW-KWQFWETISA-N 0 0 289.291 2.569 20 5 CFBDRN CC(C)Cn1cc(NC(=O)c2ccc([N+](=O)[O-])s2)cn1 ZINC000081281289 347411757 /nfs/dbraw/zinc/41/17/57/347411757.db2.gz YMCQFXCTLAEIKJ-UHFFFAOYSA-N 0 0 294.336 2.761 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000080496413 347362022 /nfs/dbraw/zinc/36/20/22/347362022.db2.gz DNNNIUUPMLXDNE-AWEZNQCLSA-N 0 0 292.335 2.530 20 5 CFBDRN Cc1ccc(NCc2cccnc2N(C)C)c([N+](=O)[O-])c1 ZINC000080570469 347366043 /nfs/dbraw/zinc/36/60/43/347366043.db2.gz DVMKMBSDWHLRMW-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1c2ccccc2C[C@@H]1O ZINC000080571299 347366476 /nfs/dbraw/zinc/36/64/76/347366476.db2.gz KRSSMZBOQBTZBA-GJZGRUSLSA-N 0 0 288.278 2.804 20 5 CFBDRN Cc1cc(N(C)Cc2nc3ccccc3[nH]2)ncc1[N+](=O)[O-] ZINC000080597711 347368729 /nfs/dbraw/zinc/36/87/29/347368729.db2.gz YRKNNFCUYFSOPK-UHFFFAOYSA-N 0 0 297.318 2.811 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])Nc1ccccc1 ZINC000080598419 347368780 /nfs/dbraw/zinc/36/87/80/347368780.db2.gz HGYAOCPLKGAVGD-UHFFFAOYSA-N 0 0 285.303 2.959 20 5 CFBDRN Cc1ccc(NC[C@@](C)(O)C(C)C)c([N+](=O)[O-])c1 ZINC000080637924 347370940 /nfs/dbraw/zinc/37/09/40/347370940.db2.gz YRHGJYFKNDJKED-CYBMUJFWSA-N 0 0 252.314 2.722 20 5 CFBDRN COCC1CCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000080638446 347371161 /nfs/dbraw/zinc/37/11/61/347371161.db2.gz VJLNHFSBCJMHAM-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1ccc(N(C)Cc2nccs2)c([N+](=O)[O-])c1 ZINC000080669385 347373466 /nfs/dbraw/zinc/37/34/66/347373466.db2.gz BLBUHGCZIUGWOC-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCc2c1cccc2O ZINC000080758020 347378583 /nfs/dbraw/zinc/37/85/83/347378583.db2.gz LEOGKFZCNMWCIX-NSHDSACASA-N 0 0 271.276 2.795 20 5 CFBDRN CCOc1cc(N2CCSCC2)ccc1[N+](=O)[O-] ZINC000080772869 347380336 /nfs/dbraw/zinc/38/03/36/347380336.db2.gz WZFQJWDXIZVQRR-UHFFFAOYSA-N 0 0 268.338 2.547 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)Cc1cccc(O)c1 ZINC000080921822 347385399 /nfs/dbraw/zinc/38/53/99/347385399.db2.gz SEXWBGQLTKBPBS-UHFFFAOYSA-N 0 0 278.333 2.994 20 5 CFBDRN CCc1ccc(C(=O)NCCc2ccco2)cc1[N+](=O)[O-] ZINC000081821146 347437359 /nfs/dbraw/zinc/43/73/59/347437359.db2.gz DMVDBLBPRMACRN-UHFFFAOYSA-N 0 0 288.303 2.723 20 5 CFBDRN Cc1ccc(CCC(=O)NCc2cccc([N+](=O)[O-])c2)o1 ZINC000081932419 347439961 /nfs/dbraw/zinc/43/99/61/347439961.db2.gz BKISULJYPYROQM-UHFFFAOYSA-N 0 0 288.303 2.745 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCC[C@H]1CCOC1 ZINC000402263796 232683627 /nfs/dbraw/zinc/68/36/27/232683627.db2.gz CFIFNWAIRXKFDW-NSHDSACASA-N 0 0 250.298 2.823 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CS[C@H](C)CCO ZINC000088057425 347499023 /nfs/dbraw/zinc/49/90/23/347499023.db2.gz AQJKUNFNNJWSED-SECBINFHSA-N 0 0 271.338 2.608 20 5 CFBDRN CC1(C)CCC(O)(CNc2ccncc2[N+](=O)[O-])CC1 ZINC000087067065 347491471 /nfs/dbraw/zinc/49/14/71/347491471.db2.gz RLETZKGPDZXLTM-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1ccnc(NCC2(O)CCC(C)CC2)c1[N+](=O)[O-] ZINC000087066745 347491687 /nfs/dbraw/zinc/49/16/87/347491687.db2.gz TWZHUWWUOYXBEF-UHFFFAOYSA-N 0 0 279.340 2.651 20 5 CFBDRN CN(C(=O)[C@@]1(C)CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000410613683 232702076 /nfs/dbraw/zinc/70/20/76/232702076.db2.gz GFTVZHIDZKFUTH-CQSZACIVSA-N 0 0 278.308 2.517 20 5 CFBDRN Cc1cc(NC(=O)[C@]2(C)CCCCO2)ccc1[N+](=O)[O-] ZINC000410674312 232703882 /nfs/dbraw/zinc/70/38/82/232703882.db2.gz PASCLJGHLRSQPF-AWEZNQCLSA-N 0 0 278.308 2.801 20 5 CFBDRN CN(C(=O)CC1CC(C)(C)C1)c1ccc([N+](=O)[O-])nc1 ZINC000410804140 232706855 /nfs/dbraw/zinc/70/68/55/232706855.db2.gz DLIVGBBFPCRCOV-UHFFFAOYSA-N 0 0 277.324 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC2)nc1-c1ccccc1 ZINC000090139318 347543683 /nfs/dbraw/zinc/54/36/83/347543683.db2.gz GBSCNKLSDPKKGC-GFCCVEGCSA-N 0 0 285.303 2.858 20 5 CFBDRN CC(=O)N1CCC(CNc2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000088720101 347508138 /nfs/dbraw/zinc/50/81/38/347508138.db2.gz NULHQCSPVZOYGQ-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@@]2(C)CO)c1 ZINC000088725869 347508428 /nfs/dbraw/zinc/50/84/28/347508428.db2.gz PEYYWNGBXQGQPS-KBPBESRZSA-N 0 0 264.325 2.866 20 5 CFBDRN CN(CCOCC1CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000088762121 347510130 /nfs/dbraw/zinc/51/01/30/347510130.db2.gz WZPLVVVMFPCVGE-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN CCC[C@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000089468790 347519222 /nfs/dbraw/zinc/51/92/22/347519222.db2.gz GQZOPRWZSIJUIV-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1ccc([N+](=O)[O-])cc1F ZINC000089650357 347525144 /nfs/dbraw/zinc/52/51/44/347525144.db2.gz KRSRQHVBXPHDBI-VIFPVBQESA-N 0 0 284.287 2.735 20 5 CFBDRN Cc1nn(C)c(N2CCc3cc(C)ccc32)c1[N+](=O)[O-] ZINC000091020452 347566963 /nfs/dbraw/zinc/56/69/63/347566963.db2.gz WFZRYOPZLOPJKA-UHFFFAOYSA-N 0 0 272.308 2.639 20 5 CFBDRN CCOc1cc(S[C@@H](C)CCO)ccc1[N+](=O)[O-] ZINC000091263832 347580525 /nfs/dbraw/zinc/58/05/25/347580525.db2.gz XWHGASYNJSZETD-VIFPVBQESA-N 0 0 271.338 2.857 20 5 CFBDRN CCn1c(C)nnc1Sc1ccc([N+](=O)[O-])cc1 ZINC000091478058 347589362 /nfs/dbraw/zinc/58/93/62/347589362.db2.gz WECZETWNQOUDED-UHFFFAOYSA-N 0 0 264.310 2.666 20 5 CFBDRN COCCCn1ccc(Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000091484501 347590382 /nfs/dbraw/zinc/59/03/82/347590382.db2.gz FVLCSKZLNYRPIJ-UHFFFAOYSA-N 0 0 276.296 2.571 20 5 CFBDRN Cc1cccn2cc(CNc3ccc([N+](=O)[O-])nc3)nc12 ZINC000091628290 347594193 /nfs/dbraw/zinc/59/41/93/347594193.db2.gz LTFDNJVVEQNSEC-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CCc1nn(C)c(NCC2(CCOC)CCC2)c1[N+](=O)[O-] ZINC000091741082 347598080 /nfs/dbraw/zinc/59/80/80/347598080.db2.gz KTXJLLWRSBAHSI-UHFFFAOYSA-N 0 0 296.371 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCO[C@@H]1C ZINC000092309090 347618280 /nfs/dbraw/zinc/61/82/80/347618280.db2.gz XQLNUEUEHZTWHA-GHMZBOCLSA-N 0 0 278.308 2.575 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(Oc2cccnc2)c1 ZINC000092583469 347632734 /nfs/dbraw/zinc/63/27/34/347632734.db2.gz YEHVVCYBHZJQPR-UHFFFAOYSA-N 0 0 264.212 2.930 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1nc(C2CC2)ns1 ZINC000092582279 347633008 /nfs/dbraw/zinc/63/30/08/347633008.db2.gz MWSRPSQCSHDPGY-UHFFFAOYSA-N 0 0 264.266 2.511 20 5 CFBDRN CCOc1cc(N(CC)C[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000092621941 347634867 /nfs/dbraw/zinc/63/48/67/347634867.db2.gz PTSDURPXEDQFDQ-CYBMUJFWSA-N 0 0 294.351 2.999 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1cccc(F)c1[N+](=O)[O-] ZINC000272264633 282549380 /nfs/dbraw/zinc/54/93/80/282549380.db2.gz VOAWGEHOVRFWHU-MFKMUULPSA-N 0 0 282.315 2.721 20 5 CFBDRN CCOc1cc(N(C)CC(F)F)ccc1[N+](=O)[O-] ZINC000092650025 347636522 /nfs/dbraw/zinc/63/65/22/347636522.db2.gz OTLVQNLRWDGLON-UHFFFAOYSA-N 0 0 260.240 2.695 20 5 CFBDRN CCOC(=O)[C@H](CC)Sc1ncc([N+](=O)[O-])cc1C ZINC000092663906 347638553 /nfs/dbraw/zinc/63/85/53/347638553.db2.gz QYEVGHXDUIXDJX-JTQLQIEISA-N 0 0 284.337 2.732 20 5 CFBDRN CCOc1cc(N(CC)C[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000092686881 347641042 /nfs/dbraw/zinc/64/10/42/347641042.db2.gz FJAOMAPEUJMDJS-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000092689600 347641553 /nfs/dbraw/zinc/64/15/53/347641553.db2.gz ARRALWXTAWRDRX-JTQLQIEISA-N 0 0 280.324 2.904 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000092941763 347652581 /nfs/dbraw/zinc/65/25/81/347652581.db2.gz AGCFSMSPNYOGKX-QMMMGPOBSA-N 0 0 284.287 2.876 20 5 CFBDRN CCc1nn(C)c(OC)c1CNc1ccc([N+](=O)[O-])cc1 ZINC000092962443 347655683 /nfs/dbraw/zinc/65/56/83/347655683.db2.gz WXBDBIYHSWDSFL-UHFFFAOYSA-N 0 0 290.323 2.511 20 5 CFBDRN CCOCCN(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000092963244 347655988 /nfs/dbraw/zinc/65/59/88/347655988.db2.gz RKVUFGBJUVCODH-UHFFFAOYSA-N 0 0 258.705 2.721 20 5 CFBDRN CC(C)[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])C1CCOCC1 ZINC000093604678 347679907 /nfs/dbraw/zinc/67/99/07/347679907.db2.gz ODKSQJUDNIJCLV-UHFFFAOYSA-N 0 0 294.351 2.690 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000101998437 347716334 /nfs/dbraw/zinc/71/63/34/347716334.db2.gz ORMPAQYGYXPHMP-WDEREUQCSA-N 0 0 279.340 2.829 20 5 CFBDRN CN(C(=O)CCCc1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000112781361 347764185 /nfs/dbraw/zinc/76/41/85/347764185.db2.gz FSHGYGYZQQVWOI-UHFFFAOYSA-N 0 0 299.330 2.976 20 5 CFBDRN Cc1cc(C(=O)Nc2cnc(C3CC3)nc2)cc([N+](=O)[O-])c1 ZINC000103016349 347721211 /nfs/dbraw/zinc/72/12/11/347721211.db2.gz VYQSBMMCIFARLA-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cccc(C)c2[N+](=O)[O-])cn1 ZINC000111031511 347752169 /nfs/dbraw/zinc/75/21/69/347752169.db2.gz WXGSWFZPOKEWTB-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CNc1ccc(C(=O)Nc2ccncc2C)cc1[N+](=O)[O-] ZINC000111044465 347752251 /nfs/dbraw/zinc/75/22/51/347752251.db2.gz QIRYKCRVGJUVKD-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000112250140 347759411 /nfs/dbraw/zinc/75/94/11/347759411.db2.gz VQXIEZLVQBSAJS-MRVPVSSYSA-N 0 0 268.288 2.898 20 5 CFBDRN C[C@H](O)[C@@H]1CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000119510094 347802164 /nfs/dbraw/zinc/80/21/64/347802164.db2.gz JRSFSULTTGCVIJ-WDEREUQCSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)NC2CC=CC2)cc1[N+](=O)[O-] ZINC000122729793 347816691 /nfs/dbraw/zinc/81/66/91/347816691.db2.gz FESGJYUQBHHESL-LLVKDONJSA-N 0 0 289.335 2.982 20 5 CFBDRN Nc1c(C(=O)Nc2ncc(Cl)s2)cccc1[N+](=O)[O-] ZINC000124076513 347822773 /nfs/dbraw/zinc/82/27/73/347822773.db2.gz FBEXSGBNLZHFHX-UHFFFAOYSA-N 0 0 298.711 2.539 20 5 CFBDRN COc1ccnc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000115978437 347783214 /nfs/dbraw/zinc/78/32/14/347783214.db2.gz MHPHVBGREWYJIH-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(Nc1nccs1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000127755252 347843622 /nfs/dbraw/zinc/84/36/22/347843622.db2.gz WXOIBESDVBGGQD-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN Cc1c(CC(=O)N[C@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000129681718 347863723 /nfs/dbraw/zinc/86/37/23/347863723.db2.gz RXWRKXDNUFQPCV-LLVKDONJSA-N 0 0 276.336 2.751 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000129618533 347863735 /nfs/dbraw/zinc/86/37/35/347863735.db2.gz UCUXLEZGCFTPEX-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H](NC(=O)CCNc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000130171223 347867868 /nfs/dbraw/zinc/86/78/68/347867868.db2.gz ISNPKGJHDGCVHV-NSHDSACASA-N 0 0 291.351 2.702 20 5 CFBDRN C[C@@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000130323118 347869893 /nfs/dbraw/zinc/86/98/93/347869893.db2.gz ZLUAQFDOHUPJGP-LLVKDONJSA-N 0 0 276.336 2.832 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C1CCC1 ZINC000130486477 347871129 /nfs/dbraw/zinc/87/11/29/347871129.db2.gz VTBQSDQQHRCMDK-VIFPVBQESA-N 0 0 287.319 2.995 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000130775077 347874091 /nfs/dbraw/zinc/87/40/91/347874091.db2.gz ATRZAPJLOUZGGT-VIFPVBQESA-N 0 0 265.313 2.555 20 5 CFBDRN C[C@@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000130877700 347874713 /nfs/dbraw/zinc/87/47/13/347874713.db2.gz CVKRTMPANYEHDE-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1nccs1 ZINC000131035030 347876062 /nfs/dbraw/zinc/87/60/62/347876062.db2.gz APFDTTHXTPVWON-LLVKDONJSA-N 0 0 291.332 2.932 20 5 CFBDRN CCCN(CCC)c1nccc(C(=O)OCC)c1[N+](=O)[O-] ZINC000133309443 347890651 /nfs/dbraw/zinc/89/06/51/347890651.db2.gz PHEIEWHTXANIPW-UHFFFAOYSA-N 0 0 295.339 2.793 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)C2CCC2)ccc1[N+](=O)[O-] ZINC000132045975 347882205 /nfs/dbraw/zinc/88/22/05/347882205.db2.gz OBIDXXFUNAHSBX-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCc1cccc2cccnc21 ZINC000142329440 347933851 /nfs/dbraw/zinc/93/38/51/347933851.db2.gz COVXRWQUWCHXCQ-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN CC(C)CC(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000103931268 185863886 /nfs/dbraw/zinc/86/38/86/185863886.db2.gz OLXYHBKTHHENLM-UHFFFAOYSA-N 0 0 288.307 2.765 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(Cl)c1 ZINC000138092618 347914952 /nfs/dbraw/zinc/91/49/52/347914952.db2.gz IRUBBJVBILAVEN-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCc1cccc(Cl)c1 ZINC000138092271 347914955 /nfs/dbraw/zinc/91/49/55/347914955.db2.gz MVHTZTFGWUHCJJ-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cn2C)c(C)c1 ZINC000138080283 347914997 /nfs/dbraw/zinc/91/49/97/347914997.db2.gz YIQMMAWAADONAU-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CCc1ccccc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000138087936 347915033 /nfs/dbraw/zinc/91/50/33/347915033.db2.gz LBBJIYPPLBUYMS-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN O=C(Cc1ccsc1)Nc1cccc([N+](=O)[O-])c1 ZINC000138828440 347918233 /nfs/dbraw/zinc/91/82/33/347918233.db2.gz DKRZFRVSRDDIIE-UHFFFAOYSA-N 0 0 262.290 2.838 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000139733314 347922429 /nfs/dbraw/zinc/92/24/29/347922429.db2.gz MKHUJYHFQQLCFA-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H](O)C1CCCCC1 ZINC000153689924 347974603 /nfs/dbraw/zinc/97/46/03/347974603.db2.gz LHJFJYJALFFCOP-LBPRGKRZSA-N 0 0 299.758 2.996 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H](C)C2)c1 ZINC000146664824 347945817 /nfs/dbraw/zinc/94/58/17/347945817.db2.gz DDKRBVIBOKCDNF-QWRGUYRKSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000158050766 348004551 /nfs/dbraw/zinc/00/45/51/348004551.db2.gz DPMRGQKVHFCECA-NXEZZACHSA-N 0 0 266.297 2.696 20 5 CFBDRN Cc1ccccc1CCNc1cccnc1[N+](=O)[O-] ZINC000159295066 348011618 /nfs/dbraw/zinc/01/16/18/348011618.db2.gz VAIKRHPEYNFGMV-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN CCn1nccc1CNc1ccc([N+](=O)[O-])cc1C ZINC000160048516 348015765 /nfs/dbraw/zinc/01/57/65/348015765.db2.gz MIGHWWMZNFUUBZ-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CSc1ccc(C(=O)NC2CC=CC2)cc1[N+](=O)[O-] ZINC000154130493 347978071 /nfs/dbraw/zinc/97/80/71/347978071.db2.gz HYBLGFUKGCVVTH-UHFFFAOYSA-N 0 0 278.333 2.765 20 5 CFBDRN COC(=O)c1coc(COc2ccc(F)cc2[N+](=O)[O-])c1 ZINC000171149952 348070752 /nfs/dbraw/zinc/07/07/52/348070752.db2.gz YZUWAIBSCRPSME-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccc(C)cc2F)c1[N+](=O)[O-] ZINC000171368840 348074986 /nfs/dbraw/zinc/07/49/86/348074986.db2.gz JLMKJYYOBDHIQK-UHFFFAOYSA-N 0 0 292.270 2.580 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccc(Cl)cc2)c1[N+](=O)[O-] ZINC000171366891 348075015 /nfs/dbraw/zinc/07/50/15/348075015.db2.gz JORBMOBTITTXPT-UHFFFAOYSA-N 0 0 294.698 2.786 20 5 CFBDRN CC(C)CCN(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172762947 348102698 /nfs/dbraw/zinc/10/26/98/348102698.db2.gz JAWITLFLBOMPQB-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN CCOC1CCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000172865654 348103747 /nfs/dbraw/zinc/10/37/47/348103747.db2.gz JBFYJRYQAKBLSJ-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(Nc1cnn(CC2CC2)c1)c1ccc([N+](=O)[O-])s1 ZINC000173362387 348108423 /nfs/dbraw/zinc/10/84/23/348108423.db2.gz ZCRARZDFRYMSDW-UHFFFAOYSA-N 0 0 292.320 2.515 20 5 CFBDRN O=C(NCc1ccoc1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000172424435 348093803 /nfs/dbraw/zinc/09/38/03/348093803.db2.gz LDLULDFCKHJUSS-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000225426843 348177429 /nfs/dbraw/zinc/17/74/29/348177429.db2.gz LFRJLYIMNULYGV-SECBINFHSA-N 0 0 276.214 2.666 20 5 CFBDRN CCc1cc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000234158397 348219682 /nfs/dbraw/zinc/21/96/82/348219682.db2.gz MMLAVZUGTCBGSI-VIFPVBQESA-N 0 0 288.307 2.623 20 5 CFBDRN Cc1ccc(NCC2CCC(O)CC2)c([N+](=O)[O-])c1 ZINC000227705234 348190223 /nfs/dbraw/zinc/19/02/23/348190223.db2.gz KHBOSKRVJFWKCT-UHFFFAOYSA-N 0 0 264.325 2.866 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2C)C1(C)C ZINC000227822834 348190743 /nfs/dbraw/zinc/19/07/43/348190743.db2.gz DJWRRYCSMGDDDT-MNOVXSKESA-N 0 0 265.313 2.524 20 5 CFBDRN CSC1(CNc2ncnc3ccc([N+](=O)[O-])cc32)CC1 ZINC000227992395 348192343 /nfs/dbraw/zinc/19/23/43/348192343.db2.gz BZMZRAJRZPNYAQ-UHFFFAOYSA-N 0 0 290.348 2.846 20 5 CFBDRN CCOC(=O)CCCCOc1ccc([N+](=O)[O-])cc1F ZINC000128772228 187388679 /nfs/dbraw/zinc/38/86/79/187388679.db2.gz FUFCMZNWJMUIRS-UHFFFAOYSA-N 0 0 285.271 2.846 20 5 CFBDRN CS[C@@H](C)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000128830800 187392626 /nfs/dbraw/zinc/39/26/26/187392626.db2.gz NAEJBNQAHPAMOG-ZETCQYMHSA-N 0 0 271.298 2.666 20 5 CFBDRN CCC(O)(CC)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000087029785 179382520 /nfs/dbraw/zinc/38/25/20/179382520.db2.gz UPWWECJGPOIFBK-UHFFFAOYSA-N 0 0 268.313 2.566 20 5 CFBDRN O=C(C[C@@H]1CCCCO1)Nc1cc([N+](=O)[O-])ccc1F ZINC000087060608 179389488 /nfs/dbraw/zinc/38/94/88/179389488.db2.gz OIYWRXMCGBTXQG-JTQLQIEISA-N 0 0 282.271 2.632 20 5 CFBDRN C[C@@H](O)[C@@H](C)Oc1ccc(Br)cc1[N+](=O)[O-] ZINC000087139350 179397369 /nfs/dbraw/zinc/39/73/69/179397369.db2.gz PRBFJELGEZEKKT-RNFRBKRXSA-N 0 0 290.113 2.505 20 5 CFBDRN CC(C)(C(=O)NCCC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000273901430 348334111 /nfs/dbraw/zinc/33/41/11/348334111.db2.gz OGZILLJNFYEINT-UHFFFAOYSA-N 0 0 294.326 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2cccc(C)n2)c1 ZINC000279382908 348339984 /nfs/dbraw/zinc/33/99/84/348339984.db2.gz KJZYHUUHAYUSLP-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@@H](O)[C@H](C)Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000304333623 348379459 /nfs/dbraw/zinc/37/94/59/348379459.db2.gz HYPRKRXLHBZFTJ-RITPCOANSA-N 0 0 262.718 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC(F)F)s1 ZINC000309512663 348406432 /nfs/dbraw/zinc/40/64/32/348406432.db2.gz QBYMUWJGZKRQFY-UHFFFAOYSA-N 0 0 252.242 2.698 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1c(Cl)cncc1[N+](=O)[O-] ZINC000310423126 348409501 /nfs/dbraw/zinc/40/95/01/348409501.db2.gz OZMRPCGRPZKIEJ-XPUUQOCRSA-N 0 0 273.745 2.949 20 5 CFBDRN CCn1ccc(N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000311385524 348412571 /nfs/dbraw/zinc/41/25/71/348412571.db2.gz OTTVJMBXXMGERQ-JTQLQIEISA-N 0 0 260.297 2.984 20 5 CFBDRN CO[C@@](C)(CNc1c(Cl)cncc1[N+](=O)[O-])C1CC1 ZINC000311743342 348414862 /nfs/dbraw/zinc/41/48/62/348414862.db2.gz SYNZUUURTXWKID-LBPRGKRZSA-N 0 0 285.731 2.870 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000311858253 348415679 /nfs/dbraw/zinc/41/56/79/348415679.db2.gz JYPPOMLODXGMHV-HTRCEHHLSA-N 0 0 296.377 2.905 20 5 CFBDRN CC[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000324286762 348439189 /nfs/dbraw/zinc/43/91/89/348439189.db2.gz ILYSSSXAQVPWFJ-MRVPVSSYSA-N 0 0 266.326 2.905 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCC[C@H](CO)CC1 ZINC000339750921 539633794 /nfs/dbraw/zinc/63/37/94/539633794.db2.gz QBLCRWQFGDCPJA-NSHDSACASA-N 0 0 298.770 2.843 20 5 CFBDRN CCc1nsc(NCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000087641060 179461316 /nfs/dbraw/zinc/46/13/16/179461316.db2.gz JSDBODMZVUORTQ-UHFFFAOYSA-N 0 0 278.337 2.663 20 5 CFBDRN CCc1nocc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000596841450 349995719 /nfs/dbraw/zinc/99/57/19/349995719.db2.gz ICHNIQNTBRNWLQ-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN Cc1cc(OCc2nc([C@@H]3C[C@H]3C)no2)ccc1[N+](=O)[O-] ZINC000350144417 348471996 /nfs/dbraw/zinc/47/19/96/348471996.db2.gz RWSBPHFWGJLUID-LDYMZIIASA-N 0 0 289.291 2.989 20 5 CFBDRN CC1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CCOCC1 ZINC000350880422 348480209 /nfs/dbraw/zinc/48/02/09/348480209.db2.gz QIZJIKQAKKVQAI-UHFFFAOYSA-N 0 0 295.320 2.774 20 5 CFBDRN COC[C@@H](C)Cc1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000351467060 348496805 /nfs/dbraw/zinc/49/68/05/348496805.db2.gz QLHOGUDNIRUBIH-QMMMGPOBSA-N 0 0 295.270 2.609 20 5 CFBDRN C[C@H](Cc1ccco1)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000596869479 349999837 /nfs/dbraw/zinc/99/98/37/349999837.db2.gz QUBCGNOPJWBNPZ-LLVKDONJSA-N 0 0 288.303 2.683 20 5 CFBDRN C[C@@H](Cc1ccco1)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000596869480 349999505 /nfs/dbraw/zinc/99/95/05/349999505.db2.gz QUBCGNOPJWBNPZ-NSHDSACASA-N 0 0 288.303 2.683 20 5 CFBDRN Cc1nc(CCNc2ncc(F)cc2[N+](=O)[O-])cs1 ZINC000401174292 348578188 /nfs/dbraw/zinc/57/81/88/348578188.db2.gz YPWVAMCEHRBHCE-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3cccnc23)CO1 ZINC000402233407 348581637 /nfs/dbraw/zinc/58/16/37/348581637.db2.gz VNFNYWWUMSCYJV-ZJUUUORDSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(C)CCCS2)n1 ZINC000128917755 187399228 /nfs/dbraw/zinc/39/92/28/187399228.db2.gz ZLZVPZZTHPHFSX-LBPRGKRZSA-N 0 0 267.354 2.996 20 5 CFBDRN C[C@H](O)CCCNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413273736 233045870 /nfs/dbraw/zinc/04/58/70/233045870.db2.gz VQYHPDQSIXPIIO-ZETCQYMHSA-N 0 0 293.245 2.582 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CC[C@@H](C)O2)n1 ZINC000413378628 233062761 /nfs/dbraw/zinc/06/27/61/233062761.db2.gz PZBQTOUAOQQEHA-MNOVXSKESA-N 0 0 265.313 2.586 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@H](F)C1 ZINC000584727263 348710379 /nfs/dbraw/zinc/71/03/79/348710379.db2.gz SEOIFNRXSYUEMI-JTQLQIEISA-N 0 0 296.298 2.568 20 5 CFBDRN CC(=O)c1cc(N2C[C@H](C)O[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000588027460 348767523 /nfs/dbraw/zinc/76/75/23/348767523.db2.gz CSMIVDLIFVXMLI-HOSYDEDBSA-N 0 0 292.335 2.800 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2C)C12CCC2 ZINC000413439469 233073036 /nfs/dbraw/zinc/07/30/36/233073036.db2.gz JYOKTRGZHRODBX-RYUDHWBXSA-N 0 0 277.324 2.668 20 5 CFBDRN COC(=O)C(C)(C)CCNc1sccc1[N+](=O)[O-] ZINC000588064882 348769438 /nfs/dbraw/zinc/76/94/38/348769438.db2.gz HGYMSULOKXXCJL-UHFFFAOYSA-N 0 0 272.326 2.658 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H]2C[C@H]21 ZINC000588066627 348769974 /nfs/dbraw/zinc/76/99/74/348769974.db2.gz UGFNOVYCMPEXBY-IONNQARKSA-N 0 0 253.689 2.632 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1sccc1[N+](=O)[O-] ZINC000588075380 348770390 /nfs/dbraw/zinc/77/03/90/348770390.db2.gz WMSHRUIJGIETNB-RKDXNWHRSA-N 0 0 256.327 2.741 20 5 CFBDRN COC1CCC(Nc2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000413419324 233070144 /nfs/dbraw/zinc/07/01/44/233070144.db2.gz NHZROVBCGRVRFL-UHFFFAOYSA-N 0 0 296.352 2.674 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@H]1CCCC1(F)F ZINC000588269268 348782164 /nfs/dbraw/zinc/78/21/64/348782164.db2.gz GQBFPUKOJRCRAT-NSHDSACASA-N 0 0 299.277 2.582 20 5 CFBDRN CCc1nn(C)c(N2CCc3ccc(C)cc32)c1[N+](=O)[O-] ZINC000588815988 348796911 /nfs/dbraw/zinc/79/69/11/348796911.db2.gz JKXXMPFVMBHWAN-UHFFFAOYSA-N 0 0 286.335 2.893 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCOCC(F)(F)C1 ZINC000588859027 348799139 /nfs/dbraw/zinc/79/91/39/348799139.db2.gz PPBFXMVVVIDOBB-UHFFFAOYSA-N 0 0 292.669 2.720 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1C[C@@H](OC(C)C)C1 ZINC000413387852 233064920 /nfs/dbraw/zinc/06/49/20/233064920.db2.gz PDWIOHSQDPMGCA-KLPPZKSPSA-N 0 0 265.313 2.666 20 5 CFBDRN Cc1noc([C@@H](C)N(C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000586773248 348750633 /nfs/dbraw/zinc/75/06/33/348750633.db2.gz UGXAEWWMKKFBHX-SNVBAGLBSA-N 0 0 290.323 2.522 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC12CCC2 ZINC000586825197 348756421 /nfs/dbraw/zinc/75/64/21/348756421.db2.gz OOLUWUOSJXNMNI-ZDUSSCGKSA-N 0 0 288.347 2.786 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@@H]2C[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000586890627 348759872 /nfs/dbraw/zinc/75/98/72/348759872.db2.gz LYTLYRLUOREDLP-SUHUHFCYSA-N 0 0 274.320 2.709 20 5 CFBDRN CC(C)CCN(C)c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000587375029 348761963 /nfs/dbraw/zinc/76/19/63/348761963.db2.gz PYRCAZALYQJZTC-UHFFFAOYSA-N 0 0 290.323 2.726 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000588006986 348766121 /nfs/dbraw/zinc/76/61/21/348766121.db2.gz VLHRDKHOVJRUAD-VIFPVBQESA-N 0 0 293.323 2.685 20 5 CFBDRN CO[C@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000588015183 348766641 /nfs/dbraw/zinc/76/66/41/348766641.db2.gz DZKXGJDVXCQDCJ-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN COc1cccc(-c2nc(C3CCC3)no2)c1[N+](=O)[O-] ZINC000589090111 348810145 /nfs/dbraw/zinc/81/01/45/348810145.db2.gz CYIDBJZTRKQJNJ-UHFFFAOYSA-N 0 0 275.264 2.921 20 5 CFBDRN Cc1cc(CN(C)c2c(Cl)cncc2[N+](=O)[O-])no1 ZINC000589105752 348810757 /nfs/dbraw/zinc/81/07/57/348810757.db2.gz URRNUDISSWEKRH-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N[C@@H]1C=C[C@H](CO)C1 ZINC000589112777 348811257 /nfs/dbraw/zinc/81/12/57/348811257.db2.gz IMXIQBBBNMWWSZ-WCBMZHEXSA-N 0 0 284.262 2.881 20 5 CFBDRN COC[C@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000589113039 348811531 /nfs/dbraw/zinc/81/15/31/348811531.db2.gz KTVUZXKPIOYQII-VIFPVBQESA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(COC(F)F)n1 ZINC000589127195 348812146 /nfs/dbraw/zinc/81/21/46/348812146.db2.gz KCIMSVATDDYGTC-UHFFFAOYSA-N 0 0 285.206 2.692 20 5 CFBDRN COCC[C@@H](C)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000413499575 233084453 /nfs/dbraw/zinc/08/44/53/233084453.db2.gz YZMUFWNIOLAXMZ-SECBINFHSA-N 0 0 286.303 2.827 20 5 CFBDRN COCC[C@H](C)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000413500014 233084802 /nfs/dbraw/zinc/08/48/02/233084802.db2.gz ZGZJFNJAJNDJNP-QMMMGPOBSA-N 0 0 273.720 2.728 20 5 CFBDRN CO[C@@](C)([C@H](C)Nc1ccc([N+](=O)[O-])nc1)C1CC1 ZINC000413516995 233087043 /nfs/dbraw/zinc/08/70/43/233087043.db2.gz LUVJMFTYULJYIB-ZANVPECISA-N 0 0 265.313 2.605 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCOCC(F)(F)C1 ZINC000589210503 348820693 /nfs/dbraw/zinc/82/06/93/348820693.db2.gz DXSRAVUSEXVBIZ-HYXAFXHYSA-N 0 0 298.289 2.576 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2C[C@@H](C)O[C@@H]2C)n1 ZINC000413526790 233089372 /nfs/dbraw/zinc/08/93/72/233089372.db2.gz CPOFYYAHGTVOSP-UTUOFQBUSA-N 0 0 279.340 2.832 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2)[C@@H](C)O1 ZINC000413541089 233092174 /nfs/dbraw/zinc/09/21/74/233092174.db2.gz VPAFBFPJBBEHAR-MXWKQRLJSA-N 0 0 250.298 2.820 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2c(F)cccc2[N+](=O)[O-])[C@H](C)O1 ZINC000413542840 233093034 /nfs/dbraw/zinc/09/30/34/233093034.db2.gz XJJYBNZNCAFATI-UTLUCORTSA-N 0 0 268.288 2.959 20 5 CFBDRN CCc1noc(CNc2cc([N+](=O)[O-])ccc2CC)n1 ZINC000589570249 348838853 /nfs/dbraw/zinc/83/88/53/348838853.db2.gz ZTWIOBDNSWNXMG-UHFFFAOYSA-N 0 0 276.296 2.715 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC2(CCOC2)CC1 ZINC000589598211 348841268 /nfs/dbraw/zinc/84/12/68/348841268.db2.gz AKFUHTNTYMZSRV-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)C12CCC2 ZINC000413454283 233076190 /nfs/dbraw/zinc/07/61/90/233076190.db2.gz VRKHAIFUPRPYPP-NEPJUHHUSA-N 0 0 277.324 2.668 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000413458120 233077106 /nfs/dbraw/zinc/07/71/06/233077106.db2.gz MDJYSASQLIHTLB-HRDYMLBCSA-N 0 0 265.288 2.917 20 5 CFBDRN COc1cc(CSCc2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000588923120 348803059 /nfs/dbraw/zinc/80/30/59/348803059.db2.gz OLVDFHNIPTUVSU-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000589670971 348849990 /nfs/dbraw/zinc/84/99/90/348849990.db2.gz YDIKENIDJYLKGB-JTQLQIEISA-N 0 0 265.313 2.641 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCO[C@H]1CCCCO1 ZINC000589692228 348851120 /nfs/dbraw/zinc/85/11/20/348851120.db2.gz GBHIZYDBDFHRKF-JTQLQIEISA-N 0 0 272.326 2.611 20 5 CFBDRN Cc1cc(N2CC([C@H]3CCOC3)C2)c(F)cc1[N+](=O)[O-] ZINC000589692319 348851491 /nfs/dbraw/zinc/85/14/91/348851491.db2.gz OHVDYCSIZZEZQE-JTQLQIEISA-N 0 0 280.299 2.515 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC(C2CC2)C1 ZINC000589695351 348852007 /nfs/dbraw/zinc/85/20/07/348852007.db2.gz DAQDZBQNLYZTNX-UHFFFAOYSA-N 0 0 290.319 2.536 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC(C2CC2)C1 ZINC000589724051 348853389 /nfs/dbraw/zinc/85/33/89/348853389.db2.gz DNOXHXBTFURALE-UHFFFAOYSA-N 0 0 285.303 2.558 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCC[C@@H](CO)C2)n1 ZINC000413606215 233103419 /nfs/dbraw/zinc/10/34/19/233103419.db2.gz KXQLCADVGUFPAJ-VXGBXAGGSA-N 0 0 279.340 2.570 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000589736854 348854778 /nfs/dbraw/zinc/85/47/78/348854778.db2.gz SVTCQJXGUUAZJF-VXGBXAGGSA-N 0 0 294.351 2.582 20 5 CFBDRN Cc1ccc(Cn2cc([N+](=O)[O-])c(=O)c3ccccc32)nc1 ZINC000589857373 348861126 /nfs/dbraw/zinc/86/11/26/348861126.db2.gz JEOTTZRLHHHDCG-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000589930584 348864138 /nfs/dbraw/zinc/86/41/38/348864138.db2.gz WXCWPPKVBKCVDV-MWLCHTKSSA-N 0 0 277.324 2.538 20 5 CFBDRN CCOc1cc(N[C@H](C)c2cnccn2)ccc1[N+](=O)[O-] ZINC000590712366 348934026 /nfs/dbraw/zinc/93/40/26/348934026.db2.gz UNBOQWXGBLXMEB-SNVBAGLBSA-N 0 0 288.307 2.957 20 5 CFBDRN CO[C@](C)(CNc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000590715300 348934794 /nfs/dbraw/zinc/93/47/94/348934794.db2.gz KIQAXQIIJCAUPT-OAHLLOKOSA-N 0 0 287.319 2.964 20 5 CFBDRN CCO[C@@H]1CCN(c2sccc2[N+](=O)[O-])C[C@@H]1C ZINC000590718185 348934989 /nfs/dbraw/zinc/93/49/89/348934989.db2.gz STKMHZUYQDEWNT-GXSJLCMTSA-N 0 0 270.354 2.908 20 5 CFBDRN CCO[C@@H]1CCN(c2sccc2[N+](=O)[O-])C[C@H]1C ZINC000590718187 348935010 /nfs/dbraw/zinc/93/50/10/348935010.db2.gz STKMHZUYQDEWNT-MWLCHTKSSA-N 0 0 270.354 2.908 20 5 CFBDRN CO[C@H]1CCN(c2ccsc2[N+](=O)[O-])CC1(C)C ZINC000590718796 348935578 /nfs/dbraw/zinc/93/55/78/348935578.db2.gz RUBLJHCWGYNJJK-JTQLQIEISA-N 0 0 270.354 2.908 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]([C@H](CO)C1CC1)C1CC1 ZINC000590719233 348935624 /nfs/dbraw/zinc/93/56/24/348935624.db2.gz FBBLSYQPDXHTIZ-ZYHUDNBSSA-N 0 0 282.365 2.865 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@@]2(CCOC2)C1 ZINC000590730200 348938567 /nfs/dbraw/zinc/93/85/67/348938567.db2.gz IXRWJSKVKKSQTR-GFCCVEGCSA-N 0 0 268.338 2.663 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@]2(CCSC2)C1 ZINC000590737187 348939575 /nfs/dbraw/zinc/93/95/75/348939575.db2.gz DNHVXSDLZLHJPX-ZDUSSCGKSA-N 0 0 279.365 2.713 20 5 CFBDRN CCC[C@@H](O)Cc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000590779017 348948046 /nfs/dbraw/zinc/94/80/46/348948046.db2.gz NUCMPWDTNVHSGQ-GFCCVEGCSA-N 0 0 291.307 2.657 20 5 CFBDRN Cc1cc(C(=O)NC/C=C/c2cccnc2)ccc1[N+](=O)[O-] ZINC000590396927 348898129 /nfs/dbraw/zinc/89/81/29/348898129.db2.gz IPHQRDNUUHFKBI-HWKANZROSA-N 0 0 297.314 2.741 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H]2C(F)(F)F)cc([N+](=O)[O-])c1 ZINC000590553195 348908414 /nfs/dbraw/zinc/90/84/14/348908414.db2.gz HOAKFSWPTUDQIY-SNVBAGLBSA-N 0 0 288.225 2.680 20 5 CFBDRN COC(=O)c1ccnc(Sc2ccccc2)c1[N+](=O)[O-] ZINC000590569458 348910083 /nfs/dbraw/zinc/91/00/83/348910083.db2.gz QPYNOLAIJPGWKE-UHFFFAOYSA-N 0 0 290.300 2.928 20 5 CFBDRN Cc1cc(C)n(Cc2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000590587128 348911458 /nfs/dbraw/zinc/91/14/58/348911458.db2.gz GWFQWYNVTVDMHY-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN CCCNC(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000454188307 535083597 /nfs/dbraw/zinc/08/35/97/535083597.db2.gz FMNWUIIKBLSLPS-CYBMUJFWSA-N 0 0 291.351 2.894 20 5 CFBDRN Cc1ccc(C(=O)NC(C)(C)COC(C)C)cc1[N+](=O)[O-] ZINC000414003374 233150126 /nfs/dbraw/zinc/15/01/26/233150126.db2.gz DTHPXUXGAYOKJQ-UHFFFAOYSA-N 0 0 294.351 2.837 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000591036466 348980939 /nfs/dbraw/zinc/98/09/39/348980939.db2.gz XRMPZJYDZVIGLQ-QMMMGPOBSA-N 0 0 272.251 2.502 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(C(F)F)C2)c(C(F)(F)F)c1 ZINC000591151070 348993095 /nfs/dbraw/zinc/99/30/95/348993095.db2.gz CRRVDEVBZKLHJU-UHFFFAOYSA-N 0 0 297.183 2.710 20 5 CFBDRN CC(C)(C)OC1CCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000591149823 348993455 /nfs/dbraw/zinc/99/34/55/348993455.db2.gz IODXQIJQBQUYOL-UHFFFAOYSA-N 0 0 297.330 2.913 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC(C(F)F)C3)c2c1 ZINC000591151074 348993607 /nfs/dbraw/zinc/99/36/07/348993607.db2.gz DFFGTMVSYHBIRZ-UHFFFAOYSA-N 0 0 279.246 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC([C@@H]4CCOC4)C3)c2c1 ZINC000591159709 348994739 /nfs/dbraw/zinc/99/47/39/348994739.db2.gz OSXALQIPOXMPSK-GFCCVEGCSA-N 0 0 299.330 2.616 20 5 CFBDRN CC1(C)C[C@H](CNc2cccnc2[N+](=O)[O-])CCO1 ZINC000591165680 348995386 /nfs/dbraw/zinc/99/53/86/348995386.db2.gz OHMMKQZEMNYIAI-SNVBAGLBSA-N 0 0 265.313 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H](O)C[C@H]2c2ccccc2)s1 ZINC000591189757 348998554 /nfs/dbraw/zinc/99/85/54/348998554.db2.gz USJQVHRJZFHQKS-NEPJUHHUSA-N 0 0 290.344 2.969 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H]1CCNC(=O)CC1 ZINC000591196062 348999126 /nfs/dbraw/zinc/99/91/26/348999126.db2.gz ZDTHBLRYRGIGJP-VIFPVBQESA-N 0 0 297.742 2.637 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@@H](C3CCC3)C2)nc2sccn21 ZINC000591204145 348999765 /nfs/dbraw/zinc/99/97/65/348999765.db2.gz FXMZECBZHSEZGH-SNVBAGLBSA-N 0 0 292.364 2.930 20 5 CFBDRN C[C@H](C(=O)N1Cc2cccnc2C1)c1cccc([N+](=O)[O-])c1 ZINC000591831489 349049377 /nfs/dbraw/zinc/04/93/77/349049377.db2.gz VACQUJPZLAVJET-NSHDSACASA-N 0 0 297.314 2.636 20 5 CFBDRN Cc1cc(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)no1 ZINC000591901998 349057373 /nfs/dbraw/zinc/05/73/73/349057373.db2.gz ZQACZGVXVFTNSW-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN Cc1nn(C)c(N2CC[C@@H](C3CCCC3)C2)c1[N+](=O)[O-] ZINC000591462509 349024801 /nfs/dbraw/zinc/02/48/01/349024801.db2.gz USKSFCQWTNUJPA-GFCCVEGCSA-N 0 0 278.356 2.653 20 5 CFBDRN CC[C@@]1(NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)CCOC1 ZINC000591513575 349028093 /nfs/dbraw/zinc/02/80/93/349028093.db2.gz HTFVNPCKGAJJFS-OAHLLOKOSA-N 0 0 292.335 2.511 20 5 CFBDRN CCCC[C@](C)(CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000591975773 349068565 /nfs/dbraw/zinc/06/85/65/349068565.db2.gz UGMFLSURWWGUNV-GFCCVEGCSA-N 0 0 298.368 2.657 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000591982474 349068986 /nfs/dbraw/zinc/06/89/86/349068986.db2.gz PGTNWGFDLMTAOI-PWSUYJOCSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000591981607 349069041 /nfs/dbraw/zinc/06/90/41/349069041.db2.gz LHFGCHKANFQECI-NXEZZACHSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ccsc1[N+](=O)[O-] ZINC000591982208 349069095 /nfs/dbraw/zinc/06/90/95/349069095.db2.gz NTOMAVMRRSDFCC-BDAKNGLRSA-N 0 0 258.343 2.865 20 5 CFBDRN Cc1cnc(N2CC3(C[C@H]2C)CCOCC3)c([N+](=O)[O-])c1 ZINC000591990859 349071135 /nfs/dbraw/zinc/07/11/35/349071135.db2.gz FDFLMZFZBLGFMG-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN CC(C)[C@H]1N(c2ncc([N+](=O)[O-])cn2)CC12CC=CC2 ZINC000591994723 349071808 /nfs/dbraw/zinc/07/18/08/349071808.db2.gz SPYTWSHOFHDWKL-GFCCVEGCSA-N 0 0 274.324 2.566 20 5 CFBDRN C[C@H]1CN(c2ccsc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000591995617 349072702 /nfs/dbraw/zinc/07/27/02/349072702.db2.gz WFRJVHKGDQVNGR-VIFPVBQESA-N 0 0 268.338 2.804 20 5 CFBDRN C[C@H]1CN(c2cccnc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000591999360 349073269 /nfs/dbraw/zinc/07/32/69/349073269.db2.gz JNZNZSMDBKIQTR-NSHDSACASA-N 0 0 277.324 2.528 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000592001216 349073467 /nfs/dbraw/zinc/07/34/67/349073467.db2.gz QMBQSJYFEGPUPO-BQBZGAKWSA-N 0 0 271.317 2.622 20 5 CFBDRN CCN(CCSC)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000592001992 349073569 /nfs/dbraw/zinc/07/35/69/349073569.db2.gz ZCVBUBWNDDMQQT-UHFFFAOYSA-N 0 0 292.364 2.727 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])nc2)CC2(CCCC2)O1 ZINC000592002756 349073728 /nfs/dbraw/zinc/07/37/28/349073728.db2.gz WATSHZVYWGNEGH-NSHDSACASA-N 0 0 277.324 2.528 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000592187016 349096915 /nfs/dbraw/zinc/09/69/15/349096915.db2.gz YTVLEXPWHTUKST-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN CCC[C@@H](C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089204890 179753474 /nfs/dbraw/zinc/75/34/74/179753474.db2.gz HMXLTDKLBTYMFM-MRVPVSSYSA-N 0 0 276.296 2.846 20 5 CFBDRN CCCC[C@](C)(CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000592211573 349101957 /nfs/dbraw/zinc/10/19/57/349101957.db2.gz TYBIUKUOQOCVQF-CQSZACIVSA-N 0 0 295.339 2.658 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CCS[C@@H]2C)ccc1[N+](=O)[O-] ZINC000592242029 349103538 /nfs/dbraw/zinc/10/35/38/349103538.db2.gz CGYLSNXZAXDEHG-KOLCDFICSA-N 0 0 295.364 2.919 20 5 CFBDRN COC(=O)c1ccc(OCC2=CCCC2)cc1[N+](=O)[O-] ZINC000592348139 349106253 /nfs/dbraw/zinc/10/62/53/349106253.db2.gz IWUKUQYQKDJKFK-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCc1c(F)cccc1F ZINC000592345265 349106289 /nfs/dbraw/zinc/10/62/89/349106289.db2.gz SCWQOVJYZIOMKN-UHFFFAOYSA-N 0 0 267.235 2.621 20 5 CFBDRN C[C@H]1C[C@@H]1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000129426119 187430327 /nfs/dbraw/zinc/43/03/27/187430327.db2.gz UNYYWZVOIXDAAJ-WKEGUHRASA-N 0 0 288.225 2.752 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H](F)C2)c1 ZINC000592664794 349147150 /nfs/dbraw/zinc/14/71/50/349147150.db2.gz ANVULLNSDZSDBU-UWVGGRQHSA-N 0 0 296.298 2.614 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000592664878 349147185 /nfs/dbraw/zinc/14/71/85/349147185.db2.gz KWJIWSRJSFIEGN-UWVGGRQHSA-N 0 0 296.298 2.614 20 5 CFBDRN C[C@H](F)CCn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000592357527 349109010 /nfs/dbraw/zinc/10/90/10/349109010.db2.gz KAEYVAXYSPWKTF-VIFPVBQESA-N 0 0 264.256 2.658 20 5 CFBDRN CCOc1cncc(NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000592459558 349123598 /nfs/dbraw/zinc/12/35/98/349123598.db2.gz RFEWBUVMHUMQAP-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN C[C@@H]1SCC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000592465257 349125596 /nfs/dbraw/zinc/12/55/96/349125596.db2.gz UMWPFHPKXAPSAR-XVKPBYJWSA-N 0 0 299.327 2.749 20 5 CFBDRN COCC1(CC(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000592616422 349143403 /nfs/dbraw/zinc/14/34/03/349143403.db2.gz KNIUDWZCKXSQRO-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000592998817 349183765 /nfs/dbraw/zinc/18/37/65/349183765.db2.gz XIYSUEKIZLVXFS-ZWNOBZJWSA-N 0 0 294.326 2.971 20 5 CFBDRN CC(C)N(C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000593021088 349189009 /nfs/dbraw/zinc/18/90/09/349189009.db2.gz LBRUZADQDKNSSL-UHFFFAOYSA-N 0 0 280.299 2.676 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000592732698 349158505 /nfs/dbraw/zinc/15/85/05/349158505.db2.gz MTAGHRZYORXDAX-LPEHRKFASA-N 0 0 280.349 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCS[C@@H]1C ZINC000592735112 349158958 /nfs/dbraw/zinc/15/89/58/349158958.db2.gz KLQJQOPOICKTMG-SKDRFNHKSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCS[C@H]1C ZINC000592737366 349160487 /nfs/dbraw/zinc/16/04/87/349160487.db2.gz WIOAEJPZQJOYRR-VHSXEESVSA-N 0 0 280.349 2.527 20 5 CFBDRN CCc1ccc(C(=O)N(CC)CCSC)cc1[N+](=O)[O-] ZINC000592740586 349162050 /nfs/dbraw/zinc/16/20/50/349162050.db2.gz FLINDKDRMZVEOO-UHFFFAOYSA-N 0 0 296.392 2.982 20 5 CFBDRN CC1(CC(F)F)CN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000592834151 349169249 /nfs/dbraw/zinc/16/92/49/349169249.db2.gz DAHZGLTXCQJBRP-UHFFFAOYSA-N 0 0 290.291 2.774 20 5 CFBDRN Cc1sc(C(=O)Nc2n[nH]cc2C2CC2)cc1[N+](=O)[O-] ZINC000592828504 349169560 /nfs/dbraw/zinc/16/95/60/349169560.db2.gz RITGVGXVZHAJAX-UHFFFAOYSA-N 0 0 292.320 2.818 20 5 CFBDRN CCCC[C@](C)(CO)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000592855679 349171565 /nfs/dbraw/zinc/17/15/65/349171565.db2.gz USPRUNPQPROMQV-OAHLLOKOSA-N 0 0 294.351 2.574 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2C[C@H]2C2CCC2)cccc1[N+](=O)[O-] ZINC000593139754 349218839 /nfs/dbraw/zinc/21/88/39/349218839.db2.gz PLADESZCTBEWQN-UONOGXRCSA-N 0 0 288.347 2.956 20 5 CFBDRN CCN(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCOC1 ZINC000593182479 349224861 /nfs/dbraw/zinc/22/48/61/349224861.db2.gz PWZHOWVINYVWKQ-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN CS[C@@H]1CCCC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000593228086 349231312 /nfs/dbraw/zinc/23/13/12/349231312.db2.gz FDYYLXXVWFTJJF-DGCLKSJQSA-N 0 0 294.376 2.999 20 5 CFBDRN Cc1c[nH]nc1COCc1ccc([N+](=O)[O-])cc1Cl ZINC000593236809 349233235 /nfs/dbraw/zinc/23/32/35/349233235.db2.gz CRLCWIRRHFAEEG-UHFFFAOYSA-N 0 0 281.699 2.997 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)[C@@H](CC)CO1 ZINC000593256021 349236170 /nfs/dbraw/zinc/23/61/70/349236170.db2.gz BMTDPMYXTBZPLL-WDEREUQCSA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000593259010 349237132 /nfs/dbraw/zinc/23/71/32/349237132.db2.gz XASKKQXBUTZRRQ-GFCCVEGCSA-N 0 0 292.335 2.624 20 5 CFBDRN COc1ccc(CN2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000593048507 349194467 /nfs/dbraw/zinc/19/44/67/349194467.db2.gz LXLZEKNDOGMYGC-UHFFFAOYSA-N 0 0 286.278 2.835 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593062799 349199479 /nfs/dbraw/zinc/19/94/79/349199479.db2.gz JABUAQSEZBCQTK-SMDDNHRTSA-N 0 0 264.325 2.594 20 5 CFBDRN CCN(Cc1csc([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000593069332 349201205 /nfs/dbraw/zinc/20/12/05/349201205.db2.gz QSHDJDNOTBGAHW-LLVKDONJSA-N 0 0 270.354 2.657 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593078599 349203137 /nfs/dbraw/zinc/20/31/37/349203137.db2.gz BPEMOLMZLMKAAW-GHMZBOCLSA-N 0 0 294.326 2.923 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593089567 349205715 /nfs/dbraw/zinc/20/57/15/349205715.db2.gz BWRXZNABIUBZRV-ZWNOBZJWSA-N 0 0 294.326 2.971 20 5 CFBDRN Cc1cc(N(C)[C@@H](C)CCO)c2cccc([N+](=O)[O-])c2n1 ZINC000593515131 349287885 /nfs/dbraw/zinc/28/78/85/349287885.db2.gz BDTCBZXKBGIEQW-NSHDSACASA-N 0 0 289.335 2.659 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@]2(CC2(F)F)C1 ZINC000593521745 349289998 /nfs/dbraw/zinc/28/99/98/349289998.db2.gz PTKJGQNFOQYAOY-NSHDSACASA-N 0 0 269.251 2.534 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@]2(CC2(F)F)C1 ZINC000593522897 349290367 /nfs/dbraw/zinc/29/03/67/349290367.db2.gz VQPPNRZWMRPTJO-LLVKDONJSA-N 0 0 272.226 2.969 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)[C@H](C)CO1 ZINC000593285817 349241570 /nfs/dbraw/zinc/24/15/70/349241570.db2.gz UYLQPLJTZOUSNS-PSASIEDQSA-N 0 0 298.364 2.604 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)[C@@H](C)CO1 ZINC000593285824 349241579 /nfs/dbraw/zinc/24/15/79/349241579.db2.gz UYLQPLJTZOUSNS-WPRPVWTQSA-N 0 0 298.364 2.604 20 5 CFBDRN CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000593294166 349242542 /nfs/dbraw/zinc/24/25/42/349242542.db2.gz HYZCQYNLHJBGTL-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2(C3CCC3)CC2)c1 ZINC000593304168 349243992 /nfs/dbraw/zinc/24/39/92/349243992.db2.gz IHRCNZUNMMDZTI-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@]3(CC3(F)F)C2)c1[N+](=O)[O-] ZINC000593357173 349252095 /nfs/dbraw/zinc/25/20/95/349252095.db2.gz ZVEBDHYMZKMGIJ-ZDUSSCGKSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@]2(CC2(F)F)C1 ZINC000593358844 349252401 /nfs/dbraw/zinc/25/24/01/349252401.db2.gz MBOACYDZSLFTAQ-CYBMUJFWSA-N 0 0 296.273 2.775 20 5 CFBDRN CCOCCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593455529 349273987 /nfs/dbraw/zinc/27/39/87/349273987.db2.gz RPMTVEHOFGKYCE-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@H]1CCCOC1 ZINC000593457746 349274728 /nfs/dbraw/zinc/27/47/28/349274728.db2.gz NWGXICSUCAFMTO-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H]1CCCC[C@H]1O ZINC000593460970 349275279 /nfs/dbraw/zinc/27/52/79/349275279.db2.gz FATJDQPBTUXPFY-UKRRQHHQSA-N 0 0 287.319 2.858 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593467361 349276769 /nfs/dbraw/zinc/27/67/69/349276769.db2.gz LMQYMEOIFWORDD-NXEZZACHSA-N 0 0 275.308 2.714 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593468285 349276852 /nfs/dbraw/zinc/27/68/52/349276852.db2.gz QWOHIYOGYOJGGZ-VUDBWIFFSA-N 0 0 287.319 2.882 20 5 CFBDRN CC1(C)CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593469277 349277263 /nfs/dbraw/zinc/27/72/63/349277263.db2.gz TWOQXMHKLQKURF-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC23CCCC3)nc2sccn21 ZINC000593483678 349280513 /nfs/dbraw/zinc/28/05/13/349280513.db2.gz GZQAHKCJTHSRDZ-UHFFFAOYSA-N 0 0 278.337 2.827 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCCC(F)(F)C1 ZINC000593487151 349281553 /nfs/dbraw/zinc/28/15/53/349281553.db2.gz MNIKMNAJSDQGTL-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC(F)(F)C2)c(Cl)c1 ZINC000593486952 349281777 /nfs/dbraw/zinc/28/17/77/349281777.db2.gz HOZYMDLHYAEIPK-UHFFFAOYSA-N 0 0 277.658 2.879 20 5 CFBDRN COc1cc(N2CCC[C@@H](OC(C)C)C2)ccc1[N+](=O)[O-] ZINC000593486632 349281925 /nfs/dbraw/zinc/28/19/25/349281925.db2.gz DEIJJLVUVIJMRD-CYBMUJFWSA-N 0 0 294.351 2.997 20 5 CFBDRN O=[N+]([O-])c1c(N2CCCC(F)(F)C2)nc2sccn21 ZINC000593487432 349282525 /nfs/dbraw/zinc/28/25/25/349282525.db2.gz YJKMOMVRPFJVLA-UHFFFAOYSA-N 0 0 288.279 2.540 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@H](OC(C)C)C1 ZINC000593487703 349282640 /nfs/dbraw/zinc/28/26/40/349282640.db2.gz VKKAKUOZYVAOQS-ZDUSSCGKSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593491133 349283221 /nfs/dbraw/zinc/28/32/21/349283221.db2.gz GUJBVYZIQQCJNL-WPRPVWTQSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)[C@H](C)CO1 ZINC000593491893 349283964 /nfs/dbraw/zinc/28/39/64/349283964.db2.gz LNGKJYLZIIUBLR-SCZZXKLOSA-N 0 0 285.731 2.647 20 5 CFBDRN CC[C@@H]1CN(c2ncc(C)cc2[N+](=O)[O-])[C@@H](CC)CO1 ZINC000593495460 349284380 /nfs/dbraw/zinc/28/43/80/349284380.db2.gz ZBFDPCUIMVAWEH-NWDGAFQWSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C)n2)[C@@H](CC)CO1 ZINC000593493694 349284414 /nfs/dbraw/zinc/28/44/14/349284414.db2.gz IXGYHBFZWPLROT-RYUDHWBXSA-N 0 0 279.340 2.692 20 5 CFBDRN COC(=O)[C@@H](CNc1ccc([N+](=O)[O-])s1)CC1CC1 ZINC000593497112 349285224 /nfs/dbraw/zinc/28/52/24/349285224.db2.gz OZRBVTMVJYVAFZ-SECBINFHSA-N 0 0 284.337 2.658 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cn2)CCc2ccccc21 ZINC000593503914 349285768 /nfs/dbraw/zinc/28/57/68/349285768.db2.gz MKQYZSXTCISLFV-NSHDSACASA-N 0 0 284.319 2.551 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593499520 349286039 /nfs/dbraw/zinc/28/60/39/349286039.db2.gz VCLGPQZUJIIFGD-GWCFXTLKSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1cc(N[C@@H](C)COCC2CC2)ncc1[N+](=O)[O-] ZINC000593507099 349286361 /nfs/dbraw/zinc/28/63/61/349286361.db2.gz CJZPEEOFBAFRGY-JTQLQIEISA-N 0 0 265.313 2.525 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC1CC2(CCC2)C1 ZINC000593881321 349332141 /nfs/dbraw/zinc/33/21/41/349332141.db2.gz GQMGNJJQOCWYFT-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1ccc(C(=O)NC2CC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000593884368 349332743 /nfs/dbraw/zinc/33/27/43/349332743.db2.gz IFNHYJSFCIWLBJ-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CCC(CC)[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cn2)CCO1 ZINC000274038630 192414162 /nfs/dbraw/zinc/41/41/62/192414162.db2.gz XMGGQEGARDXEKE-YPMHNXCESA-N 0 0 294.355 2.781 20 5 CFBDRN C[C@H]1CCCC[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593732307 349316426 /nfs/dbraw/zinc/31/64/26/349316426.db2.gz KULACFVAZMRNSV-JTQLQIEISA-N 0 0 278.308 2.667 20 5 CFBDRN C[C@H]1C[C@H](C)[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593738085 349317137 /nfs/dbraw/zinc/31/71/37/349317137.db2.gz MNJLAIZHKZQVAF-UWVGGRQHSA-N 0 0 278.308 2.523 20 5 CFBDRN C[C@H]1CC(C)(C)C[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593738515 349317154 /nfs/dbraw/zinc/31/71/54/349317154.db2.gz GLYPYHROQDQVKE-JTQLQIEISA-N 0 0 292.335 2.913 20 5 CFBDRN C[N@H+](CCC1CC1)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593743310 349319147 /nfs/dbraw/zinc/31/91/47/349319147.db2.gz GSJDSXUXBNGGHN-UHFFFAOYSA-N 0 0 278.308 2.525 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC12CCCC2 ZINC000593759941 349322079 /nfs/dbraw/zinc/32/20/79/349322079.db2.gz CBAUNUVOWROBID-UHFFFAOYSA-N 0 0 278.283 2.893 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCc2cccnc21 ZINC000594069492 349368363 /nfs/dbraw/zinc/36/83/63/349368363.db2.gz SSQPYPWCJHFZSP-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN CCc1ccc(C(=O)NC2(C)CC=CC2)cc1[N+](=O)[O-] ZINC000594075781 349369928 /nfs/dbraw/zinc/36/99/28/349369928.db2.gz VMSQYQUIUNXABA-UHFFFAOYSA-N 0 0 274.320 2.996 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC2(CC2(F)F)C1 ZINC000594094791 349376449 /nfs/dbraw/zinc/37/64/49/349376449.db2.gz OJSBDXDEOXQDKZ-UHFFFAOYSA-N 0 0 297.261 2.776 20 5 CFBDRN COC[C@H](CC(C)(C)C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000594139214 349384893 /nfs/dbraw/zinc/38/48/93/349384893.db2.gz CTXPEJPTNXGBLH-LBPRGKRZSA-N 0 0 294.351 2.776 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)Cc1ccccn1 ZINC000594143051 349385701 /nfs/dbraw/zinc/38/57/01/349385701.db2.gz QFKTYGDSOTZIFC-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1cc(CNCc2ccc([N+](=O)[O-])cc2Cl)nn1C ZINC000414438195 233252737 /nfs/dbraw/zinc/25/27/37/233252737.db2.gz UZGVLRGOYNPGDG-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000596972385 350009685 /nfs/dbraw/zinc/00/96/85/350009685.db2.gz XXLYORZVXWPPCT-BNOWGMLFSA-N 0 0 274.320 2.647 20 5 CFBDRN O=C(CCCc1cccnc1)NCc1cccc([N+](=O)[O-])c1 ZINC000596972103 350009725 /nfs/dbraw/zinc/00/97/25/350009725.db2.gz RWOIZWAZRGAPAU-UHFFFAOYSA-N 0 0 299.330 2.629 20 5 CFBDRN Cc1ccc(CN(C)Cc2ccon2)cc1[N+](=O)[O-] ZINC000271208938 191001314 /nfs/dbraw/zinc/00/13/14/191001314.db2.gz TVMLGEBNDXYSOR-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN O=C(c1c[nH]nc1[N+](=O)[O-])N1CCC[C@H]1CC1CCCC1 ZINC000594417956 349416412 /nfs/dbraw/zinc/41/64/12/349416412.db2.gz KXPFAAJELSNVRY-NSHDSACASA-N 0 0 292.339 2.503 20 5 CFBDRN O=C([C@@H]1CCC[C@H]2C[C@H]21)N1CCc2c1cccc2[N+](=O)[O-] ZINC000597274414 350077784 /nfs/dbraw/zinc/07/77/84/350077784.db2.gz WDSWQXCCOGTGHA-DMDPSCGWSA-N 0 0 286.331 2.920 20 5 CFBDRN C[N@H+](C/C=C\c1ccccc1[N+](=O)[O-])CC1=CCCOC1 ZINC000597206603 350055084 /nfs/dbraw/zinc/05/50/84/350055084.db2.gz SKWCHDJRXZYVIL-YWEYNIOJSA-N 0 0 288.347 2.887 20 5 CFBDRN CCOC1(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])CCC1 ZINC000597473353 350101334 /nfs/dbraw/zinc/10/13/34/350101334.db2.gz GNGBGJGTNDHELW-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN C/C=C/C=C\C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000206146304 539750531 /nfs/dbraw/zinc/75/05/31/539750531.db2.gz AFNQSAVGABANQX-ICWBMWKASA-N 0 0 262.265 2.674 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000597364024 350089759 /nfs/dbraw/zinc/08/97/59/350089759.db2.gz HYJNEFPUOPXDFG-GFCCVEGCSA-N 0 0 299.330 2.940 20 5 CFBDRN COC(=O)c1ccc(Oc2ncc(C)cc2[N+](=O)[O-])cc1 ZINC000090692807 180025230 /nfs/dbraw/zinc/02/52/30/180025230.db2.gz SMTVJRLWPUUKGI-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000104619280 186010223 /nfs/dbraw/zinc/01/02/23/186010223.db2.gz FBKJKXXFFWKMRN-UFBFGSQYSA-N 0 0 266.272 2.652 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1C[C@@H]2CCCC[C@@H]21 ZINC000597830461 350141401 /nfs/dbraw/zinc/14/14/01/350141401.db2.gz VYROXBOVPYBPPF-ZANVPECISA-N 0 0 278.283 2.749 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]21 ZINC000597829549 350141460 /nfs/dbraw/zinc/14/14/60/350141460.db2.gz OIFCGBCCGAJGHX-CABZTGNLSA-N 0 0 278.283 2.749 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000597854149 350143092 /nfs/dbraw/zinc/14/30/92/350143092.db2.gz CWHGJWIHZDFDAK-LLVKDONJSA-N 0 0 294.351 2.793 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000597854634 350143240 /nfs/dbraw/zinc/14/32/40/350143240.db2.gz KHLNBKFBPCIOLD-JTQLQIEISA-N 0 0 250.298 2.855 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1C[C@@H]1C ZINC000271253243 191030070 /nfs/dbraw/zinc/03/00/70/191030070.db2.gz LBLJNHLHWISERC-UFBFGSQYSA-N 0 0 276.336 2.906 20 5 CFBDRN Cc1c(C(=O)NC[C@H](C)c2ccccn2)cccc1[N+](=O)[O-] ZINC000597862620 350144817 /nfs/dbraw/zinc/14/48/17/350144817.db2.gz BYSHSGNPYYKIPA-NSHDSACASA-N 0 0 299.330 2.832 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000597952390 350162023 /nfs/dbraw/zinc/16/20/23/350162023.db2.gz RTCSTDARNDSIHY-LLVKDONJSA-N 0 0 294.351 2.915 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000271274716 191043326 /nfs/dbraw/zinc/04/33/26/191043326.db2.gz BGDNJDXCPXXMAT-MNOVXSKESA-N 0 0 250.298 2.822 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000598059633 350183623 /nfs/dbraw/zinc/18/36/23/350183623.db2.gz HTXWLYBEFYSDCY-KYZUINATSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000598211432 350215337 /nfs/dbraw/zinc/21/53/37/350215337.db2.gz FJAQXIKFMYZGIN-YPMHNXCESA-N 0 0 292.335 2.591 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCC[C@H]1C ZINC000091555647 180190510 /nfs/dbraw/zinc/19/05/10/180190510.db2.gz WFOWWDIENVPLHJ-SCZZXKLOSA-N 0 0 252.318 2.720 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCc1cccs1 ZINC000091556606 180190770 /nfs/dbraw/zinc/19/07/70/180190770.db2.gz LTAVPGNVYRIYNF-UHFFFAOYSA-N 0 0 280.353 2.836 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(C)cc2)c1[N+](=O)[O-] ZINC000091559433 180191300 /nfs/dbraw/zinc/19/13/00/180191300.db2.gz VWVCFCJNLMWICO-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CCC1(CC)CCCN(C(=O)c2[nH]nc(C)c2[N+](=O)[O-])C1 ZINC000598214663 350215817 /nfs/dbraw/zinc/21/58/17/350215817.db2.gz CSNPCKHKAWGNOJ-UHFFFAOYSA-N 0 0 294.355 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCC[C@H](C)O2)c1 ZINC000598214654 350215890 /nfs/dbraw/zinc/21/58/90/350215890.db2.gz URVKEEHEPMXIIK-RYUDHWBXSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1c(C(=O)NC[C@H]2CCC[C@H](C)O2)cccc1[N+](=O)[O-] ZINC000598213290 350215900 /nfs/dbraw/zinc/21/59/00/350215900.db2.gz NQVOFTYQUMTDHT-CMPLNLGQSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@]1(CNC(=O)CCc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000598213855 350216158 /nfs/dbraw/zinc/21/61/58/350216158.db2.gz DCKYQRYOHBEEKR-ZDUSSCGKSA-N 0 0 298.289 2.689 20 5 CFBDRN C[C@]1(CCNC(=O)Cc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000598217967 350217693 /nfs/dbraw/zinc/21/76/93/350217693.db2.gz FEGJTXZQFBCXME-ZDUSSCGKSA-N 0 0 298.289 2.689 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](CCF)C1 ZINC000598221756 350219404 /nfs/dbraw/zinc/21/94/04/350219404.db2.gz UQOFHKJCALJHMD-NSHDSACASA-N 0 0 280.299 2.807 20 5 CFBDRN CC[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000598232502 350221540 /nfs/dbraw/zinc/22/15/40/350221540.db2.gz GLSDMQRDDGXEIY-CYBMUJFWSA-N 0 0 276.336 2.751 20 5 CFBDRN CCc1nn(C)c(NCCc2ccsc2)c1[N+](=O)[O-] ZINC000091562309 180192911 /nfs/dbraw/zinc/19/29/11/180192911.db2.gz HNUNWHJYVGGYGV-UHFFFAOYSA-N 0 0 280.353 2.607 20 5 CFBDRN CC(C)(C)OCCCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000598190089 350210955 /nfs/dbraw/zinc/21/09/55/350210955.db2.gz GUHRLMHGSSXSGE-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN CC1(C)CCN(C(=O)c2c[nH]nc2[N+](=O)[O-])CC(C)(C)C1 ZINC000598185120 350211210 /nfs/dbraw/zinc/21/12/10/350211210.db2.gz JZHJJDAPLRRIRN-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN CCOc1ccc(C(=O)NCCC(C)(C)F)cc1[N+](=O)[O-] ZINC000598196682 350211907 /nfs/dbraw/zinc/21/19/07/350211907.db2.gz FFOHXYMJHFRAMY-UHFFFAOYSA-N 0 0 298.314 2.862 20 5 CFBDRN Cc1cccc(C(=O)NCCC(C)(C)F)c1[N+](=O)[O-] ZINC000598197130 350211976 /nfs/dbraw/zinc/21/19/76/350211976.db2.gz VARMMGGUAYGZPL-UHFFFAOYSA-N 0 0 268.288 2.771 20 5 CFBDRN CC(C)(F)CCNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000598199790 350212530 /nfs/dbraw/zinc/21/25/30/350212530.db2.gz WFDWCIRAEINZAV-UHFFFAOYSA-N 0 0 293.298 2.944 20 5 CFBDRN CCn1ncc(C)c1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000598198905 350212702 /nfs/dbraw/zinc/21/27/02/350212702.db2.gz GTZPUAHPCXPUGI-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CCC[C@H](C)O1 ZINC000598211942 350215074 /nfs/dbraw/zinc/21/50/74/350215074.db2.gz IHTCYXNQJUZCFC-WCQYABFASA-N 0 0 292.335 2.591 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1cccc(F)c1 ZINC000091554043 180189640 /nfs/dbraw/zinc/18/96/40/180189640.db2.gz DBWSFFHINJFTRL-UHFFFAOYSA-N 0 0 278.287 2.871 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000598303463 350229863 /nfs/dbraw/zinc/22/98/63/350229863.db2.gz CGBWCJRWNKDFRA-VXGBXAGGSA-N 0 0 285.303 2.599 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC[C@@H]2C[C@@H]2C1 ZINC000598326903 350234573 /nfs/dbraw/zinc/23/45/73/350234573.db2.gz DWEMFIGHTTZOOO-RKDXNWHRSA-N 0 0 280.711 2.730 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000414771444 233347096 /nfs/dbraw/zinc/34/70/96/233347096.db2.gz ZTLDJUCTHLBXHD-AWEZNQCLSA-N 0 0 292.335 2.545 20 5 CFBDRN CCSC1(CNC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000598332809 350237517 /nfs/dbraw/zinc/23/75/17/350237517.db2.gz NNNWKRTWVOYISK-UHFFFAOYSA-N 0 0 286.378 2.672 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@H]2C[C@H]2C1 ZINC000598332967 350237521 /nfs/dbraw/zinc/23/75/21/350237521.db2.gz VQDPRHQBSMEGML-RYUDHWBXSA-N 0 0 274.320 2.694 20 5 CFBDRN CC1(C)[C@H](CNC(=O)c2ccc([N+](=O)[O-])s2)C1(F)F ZINC000598341319 350240989 /nfs/dbraw/zinc/24/09/89/350240989.db2.gz SOWILCGIRFIZTB-ZETCQYMHSA-N 0 0 290.291 2.677 20 5 CFBDRN CC[C@](C)(NC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598248389 350223969 /nfs/dbraw/zinc/22/39/69/350223969.db2.gz BMBWGLWUXCVZDM-HNNXBMFYSA-N 0 0 276.336 2.832 20 5 CFBDRN CCC(CC)CCCN(C)C(=O)c1c([N+](=O)[O-])cnn1C ZINC000598397322 350256660 /nfs/dbraw/zinc/25/66/60/350256660.db2.gz RZDRLHMKIQLTSJ-UHFFFAOYSA-N 0 0 296.371 2.617 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H](C2CCC2)C1 ZINC000598401841 350257391 /nfs/dbraw/zinc/25/73/91/350257391.db2.gz XCEOKUQMWNLXBY-NSHDSACASA-N 0 0 278.308 2.840 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCO[C@H](C)C2)n1 ZINC000271343263 191085947 /nfs/dbraw/zinc/08/59/47/191085947.db2.gz MTKVFQABTCYVTC-GHMZBOCLSA-N 0 0 265.313 2.525 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000290943631 197866937 /nfs/dbraw/zinc/86/69/37/197866937.db2.gz MAVSCZDWIYUFBS-SECBINFHSA-N 0 0 254.286 2.839 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000271347786 191086818 /nfs/dbraw/zinc/08/68/18/191086818.db2.gz NJAZBIOGEUSHSX-BDAKNGLRSA-N 0 0 285.731 2.870 20 5 CFBDRN Cc1nnc(CNc2c(C)ccc([N+](=O)[O-])c2C)s1 ZINC000271348417 191088294 /nfs/dbraw/zinc/08/82/94/191088294.db2.gz FQHCBJCTRKLZKK-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C(C)C)C1CC1 ZINC000598380830 350252773 /nfs/dbraw/zinc/25/27/73/350252773.db2.gz HJEGZHGHHHFGBS-AWEZNQCLSA-N 0 0 292.335 2.768 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H]1COC2(CCCC2)O1 ZINC000271348481 191088482 /nfs/dbraw/zinc/08/84/82/191088482.db2.gz FTDAGGLVRVWWHG-SNVBAGLBSA-N 0 0 296.298 2.832 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000598550961 350282232 /nfs/dbraw/zinc/28/22/32/350282232.db2.gz OPVWKMWEAQTATD-SNVBAGLBSA-N 0 0 280.299 2.852 20 5 CFBDRN Cc1cccc(C(=O)N2CC3CC2(C)C3)c1[N+](=O)[O-] ZINC000598567716 350283560 /nfs/dbraw/zinc/28/35/60/350283560.db2.gz CREILBIQVXBQMH-UHFFFAOYSA-N 0 0 260.293 2.528 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000598570006 350284103 /nfs/dbraw/zinc/28/41/03/350284103.db2.gz DTWUVXYQVMEOGS-UHFFFAOYSA-N 0 0 285.303 2.701 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N1CCCC[C@H]1CC1CCC1 ZINC000598462416 350272181 /nfs/dbraw/zinc/27/21/81/350272181.db2.gz PXGQFBIIBJVKBG-NSHDSACASA-N 0 0 292.339 2.503 20 5 CFBDRN CC1(C)CC(NC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000598505584 350277954 /nfs/dbraw/zinc/27/79/54/350277954.db2.gz TXZLFYVBVFZGKG-UHFFFAOYSA-N 0 0 276.336 2.832 20 5 CFBDRN CC1(C)CC(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000598501446 350278040 /nfs/dbraw/zinc/27/80/40/350278040.db2.gz AZWZVHSCIFMOMZ-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN Nc1c(F)c(NCC[C@@H]2CC2(F)F)ccc1[N+](=O)[O-] ZINC000598634684 350298176 /nfs/dbraw/zinc/29/81/76/350298176.db2.gz BTHPRNKNGUFKDW-ZCFIWIBFSA-N 0 0 275.230 2.773 20 5 CFBDRN Cc1cnc(NCC[C@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000598637967 350299045 /nfs/dbraw/zinc/29/90/45/350299045.db2.gz MJFGQIGPDRLLRL-QMMMGPOBSA-N 0 0 257.240 2.755 20 5 CFBDRN C[C@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@H]1CO ZINC000598639771 350299572 /nfs/dbraw/zinc/29/95/72/350299572.db2.gz UVVFMKUVXSJCLG-UWVGGRQHSA-N 0 0 284.743 2.703 20 5 CFBDRN CC1(C)CC[C@@H](CO)N(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000598648203 350301089 /nfs/dbraw/zinc/30/10/89/350301089.db2.gz ORFOICURPROHOV-NSHDSACASA-N 0 0 296.342 2.717 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCC1CC(C)C1 ZINC000598649034 350302381 /nfs/dbraw/zinc/30/23/81/350302381.db2.gz VSTZOKLQJHWLIY-UHFFFAOYSA-N 0 0 293.323 2.771 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCSC1 ZINC000273493670 192173143 /nfs/dbraw/zinc/17/31/43/192173143.db2.gz TWHXQYIEJZPSTL-VIFPVBQESA-N 0 0 299.327 2.703 20 5 CFBDRN Cc1cc(CN(C)Cc2ccccc2[N+](=O)[O-])ccn1 ZINC000598587701 350286296 /nfs/dbraw/zinc/28/62/96/350286296.db2.gz TVXQWZLDWLDCPO-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000598844377 350338960 /nfs/dbraw/zinc/33/89/60/350338960.db2.gz ZOBPFEVIETUGPR-URLYPYJESA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000598954022 350348443 /nfs/dbraw/zinc/34/84/43/350348443.db2.gz JYSWPKGZCUEWIP-RMIALFOJSA-N 0 0 292.335 2.901 20 5 CFBDRN CCO[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000598954222 350349145 /nfs/dbraw/zinc/34/91/45/350349145.db2.gz PVXVEBUKLOCMPE-ZDUSSCGKSA-N 0 0 278.308 2.657 20 5 CFBDRN CCO[C@H](C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)C1CC1 ZINC000598963135 350349747 /nfs/dbraw/zinc/34/97/47/350349747.db2.gz XNRISBVIUDLXHO-AWEZNQCLSA-N 0 0 292.335 2.965 20 5 CFBDRN C/C=C/CNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000598971738 350351832 /nfs/dbraw/zinc/35/18/32/350351832.db2.gz COLFSWBNEHWTRS-HMDXOVGESA-N 0 0 263.297 2.531 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NCc1ccc([N+](=O)[O-])cc1Cl ZINC000598996581 350356812 /nfs/dbraw/zinc/35/68/12/350356812.db2.gz KUAJMNVVUCGVLH-OLZOCXBDSA-N 0 0 284.743 2.905 20 5 CFBDRN CCC[C@H](O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599044938 350364142 /nfs/dbraw/zinc/36/41/42/350364142.db2.gz VMKXYUZPPVFZGM-NSHDSACASA-N 0 0 275.308 2.716 20 5 CFBDRN CC1CC(CNC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000598778503 350328322 /nfs/dbraw/zinc/32/83/22/350328322.db2.gz SPMWSDPRZZCXTL-UHFFFAOYSA-N 0 0 287.319 2.852 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC(CC2CC2)C1 ZINC000598782382 350329299 /nfs/dbraw/zinc/32/92/99/350329299.db2.gz ZWIZMHMPXXNKIH-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cc(C(=O)NCC[C@H]2CC2(F)F)ccc1[N+](=O)[O-] ZINC000598786023 350329714 /nfs/dbraw/zinc/32/97/14/350329714.db2.gz ZBEXEFZKBCKRJZ-JTQLQIEISA-N 0 0 284.262 2.678 20 5 CFBDRN CO[C@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000598802802 350330591 /nfs/dbraw/zinc/33/05/91/350330591.db2.gz FZSOCTBWOVKDSB-AWEZNQCLSA-N 0 0 292.335 2.763 20 5 CFBDRN CO[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000598807708 350331794 /nfs/dbraw/zinc/33/17/94/350331794.db2.gz IQKALXZEXLYVQO-ZDUSSCGKSA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc3cnccc3c2[N+](=O)[O-])CO1 ZINC000599092952 350374907 /nfs/dbraw/zinc/37/49/07/350374907.db2.gz LDVCTXBXAIUOQU-MWLCHTKSSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000271361571 191097605 /nfs/dbraw/zinc/09/76/05/191097605.db2.gz OTCPNAQQSZPOMC-GHMZBOCLSA-N 0 0 265.313 2.525 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC(F)(F)C(F)F ZINC000599112469 350377377 /nfs/dbraw/zinc/37/73/77/350377377.db2.gz LXLVPEQQRJVAPS-UHFFFAOYSA-N 0 0 258.196 2.969 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC(F)(F)C(F)F)n1 ZINC000599112010 350377379 /nfs/dbraw/zinc/37/73/79/350377379.db2.gz JMYFEBBKMTYLHP-UHFFFAOYSA-N 0 0 281.209 2.919 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@@H]2COC[C@@H]2C1 ZINC000599110345 350377441 /nfs/dbraw/zinc/37/74/41/350377441.db2.gz RPQPOXNHJPSGAP-OLZOCXBDSA-N 0 0 299.330 2.616 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC[C@H]1CCCOC1 ZINC000599117314 350378051 /nfs/dbraw/zinc/37/80/51/350378051.db2.gz RWHXGYWQFRSWQB-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN CCC[C@@H](CNc1ccc([N+](=O)[O-])nc1)OCC ZINC000599118570 350378326 /nfs/dbraw/zinc/37/83/26/350378326.db2.gz KEFQBXKNGQAFQG-NSHDSACASA-N 0 0 253.302 2.607 20 5 CFBDRN CCC[C@H](CNc1ncccc1[N+](=O)[O-])OCC ZINC000599117541 350378695 /nfs/dbraw/zinc/37/86/95/350378695.db2.gz BVZRCLGPLUHHPO-SNVBAGLBSA-N 0 0 253.302 2.607 20 5 CFBDRN CCC[C@@H](CNc1ncc([N+](=O)[O-])cc1F)OCC ZINC000599119958 350379083 /nfs/dbraw/zinc/37/90/83/350379083.db2.gz WSYQMZBJFPRIBQ-JTQLQIEISA-N 0 0 271.292 2.746 20 5 CFBDRN COCCC1CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000599121376 350379668 /nfs/dbraw/zinc/37/96/68/350379668.db2.gz WHJSPOFMFAKCHI-UHFFFAOYSA-N 0 0 299.758 2.896 20 5 CFBDRN COCCC1CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000599120966 350379690 /nfs/dbraw/zinc/37/96/90/350379690.db2.gz NEPPMOUFCHZCKA-UHFFFAOYSA-N 0 0 299.758 2.896 20 5 CFBDRN Cc1cc(S[C@@H]2COC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000599124859 350380609 /nfs/dbraw/zinc/38/06/09/350380609.db2.gz BEVZOBBYEYDSBB-VIFPVBQESA-N 0 0 268.338 2.958 20 5 CFBDRN Cc1cc(N2CCCC(F)(F)CC2)ncc1[N+](=O)[O-] ZINC000599134108 350381774 /nfs/dbraw/zinc/38/17/74/350381774.db2.gz PGRSXLGUFTYRDE-UHFFFAOYSA-N 0 0 271.267 2.924 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H]1CCCN1CC(F)F ZINC000599132911 350381825 /nfs/dbraw/zinc/38/18/25/350381825.db2.gz LAEKDZMSMWZJHF-MRVPVSSYSA-N 0 0 291.323 2.798 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H](c1ccccn1)C1CCC1 ZINC000599138896 350382969 /nfs/dbraw/zinc/38/29/69/350382969.db2.gz IXLQNWOMCNPQAT-LBPRGKRZSA-N 0 0 287.323 2.677 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])cc1COC ZINC000599146907 350384686 /nfs/dbraw/zinc/38/46/86/350384686.db2.gz CLMDOFQDWWBIMB-KGLIPLIRSA-N 0 0 280.324 2.721 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000599147301 350384995 /nfs/dbraw/zinc/38/49/95/350384995.db2.gz JUFSERTWMBITGK-OLZOCXBDSA-N 0 0 284.287 2.722 20 5 CFBDRN Cc1nn(C)c(NCC[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000599155496 350386273 /nfs/dbraw/zinc/38/62/73/350386273.db2.gz BQWWFJBCYXFOPL-LLVKDONJSA-N 0 0 264.329 2.795 20 5 CFBDRN NC(=O)c1cc(NCC[C@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000599157212 350386788 /nfs/dbraw/zinc/38/67/88/350386788.db2.gz VADULXUWXGBVGM-NSHDSACASA-N 0 0 289.335 2.852 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000599163026 350386978 /nfs/dbraw/zinc/38/69/78/350386978.db2.gz DAXLHYUAIHHYMX-MAUMQABQSA-N 0 0 296.352 2.528 20 5 CFBDRN Cc1nc(N2CCC(F)(F)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000599162795 350387004 /nfs/dbraw/zinc/38/70/04/350387004.db2.gz QPQSTGDEXKLXOQ-QMMMGPOBSA-N 0 0 271.267 2.922 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000599163944 350387513 /nfs/dbraw/zinc/38/75/13/350387513.db2.gz IFTYHXQNEUXSAC-LOKDSWTASA-N 0 0 290.323 2.695 20 5 CFBDRN O=C(c1cccnc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129639635 187444932 /nfs/dbraw/zinc/44/49/32/187444932.db2.gz KSSMWFBCCCKCGF-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN C/C=C\CNc1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000599173133 350389290 /nfs/dbraw/zinc/38/92/90/350389290.db2.gz WLXAQVDNNLYLDY-PLNGDYQASA-N 0 0 277.324 2.721 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000599180039 350390474 /nfs/dbraw/zinc/39/04/74/350390474.db2.gz IMVUNOURCAOYJB-WFASDCNBSA-N 0 0 292.335 2.721 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000599181090 350390848 /nfs/dbraw/zinc/39/08/48/350390848.db2.gz PRRFMVJMGOFNSM-BXUZGUMPSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000599181354 350391124 /nfs/dbraw/zinc/39/11/24/350391124.db2.gz RMLWJYOXVWVGKJ-GXFFZTMASA-N 0 0 266.272 2.713 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc([C@@H]2CC[C@H](C)O2)no1 ZINC000599180748 350391157 /nfs/dbraw/zinc/39/11/57/350391157.db2.gz PFPKNEYOXIZMGZ-CPCISQLKSA-N 0 0 290.279 2.588 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(C(F)(F)F)CCCC2)nc1 ZINC000599185393 350392018 /nfs/dbraw/zinc/39/20/18/350392018.db2.gz SGKWKOGTGZVKRL-UHFFFAOYSA-N 0 0 290.245 2.919 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccsc1[N+](=O)[O-] ZINC000599189706 350392755 /nfs/dbraw/zinc/39/27/55/350392755.db2.gz UZPXSRFDLTXHKY-UHFFFAOYSA-N 0 0 258.343 2.644 20 5 CFBDRN CN(CCNc1ccc(Cl)cc1[N+](=O)[O-])CC(F)F ZINC000599201591 350395827 /nfs/dbraw/zinc/39/58/27/350395827.db2.gz FVJKZZFAAUMQKY-UHFFFAOYSA-N 0 0 293.701 2.857 20 5 CFBDRN CCC[C@@H](OCC)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000599537439 350453360 /nfs/dbraw/zinc/45/33/60/350453360.db2.gz LVLOZENFMGMPEZ-CQSZACIVSA-N 0 0 292.335 2.689 20 5 CFBDRN CC(C)(CCc1noc(-c2ccc(Cl)nc2)n1)[N+](=O)[O-] ZINC000291040162 197897568 /nfs/dbraw/zinc/89/75/68/197897568.db2.gz GJYPWNDHJNRQFP-UHFFFAOYSA-N 0 0 296.714 2.773 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(CC(F)F)C1CC1 ZINC000106871075 186143998 /nfs/dbraw/zinc/14/39/98/186143998.db2.gz ICCZSIVLIAINEH-UHFFFAOYSA-N 0 0 286.278 2.833 20 5 CFBDRN C[C@@H](NC(=O)C12CC(C1)C2)c1ccccc1[N+](=O)[O-] ZINC000599744181 350489988 /nfs/dbraw/zinc/48/99/88/350489988.db2.gz PBDPZIQFPUVLFK-NAUIOFCNSA-N 0 0 260.293 2.572 20 5 CFBDRN Cc1nn(C)cc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000230590918 539799459 /nfs/dbraw/zinc/79/94/59/539799459.db2.gz SSYYTXLSLIRYEE-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000599693713 350485301 /nfs/dbraw/zinc/48/53/01/350485301.db2.gz CERZASZISXOHPR-BSTOTGJRSA-N 0 0 293.323 2.528 20 5 CFBDRN Cc1cnccc1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000107293760 186167408 /nfs/dbraw/zinc/16/74/08/186167408.db2.gz VVXWXTSGKUXWFY-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1c[nH]c(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)n1 ZINC000600111116 350511192 /nfs/dbraw/zinc/51/11/92/350511192.db2.gz FBUQVMIGOYYWIL-UHFFFAOYSA-N 0 0 286.335 2.791 20 5 CFBDRN O=C(NCC1CC1)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000599830117 350495676 /nfs/dbraw/zinc/49/56/76/350495676.db2.gz DVSXMLZAJIIFAK-UHFFFAOYSA-N 0 0 286.291 2.675 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2(Cc3ccccc3)CC2)nc1 ZINC000291071053 197907387 /nfs/dbraw/zinc/90/73/87/197907387.db2.gz HAIOETNELCEUTP-UHFFFAOYSA-N 0 0 270.292 2.572 20 5 CFBDRN C[C@@H]1C[C@@H]1N(C(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000415891980 233512689 /nfs/dbraw/zinc/51/26/89/233512689.db2.gz NDENKCZWGFKFRG-RISCZKNCSA-N 0 0 299.330 2.989 20 5 CFBDRN CCC[C@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])OCC ZINC000600174264 350530877 /nfs/dbraw/zinc/53/08/77/350530877.db2.gz KBVRKNNYJQFQCJ-GFCCVEGCSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])C[C@@H](C)C1(F)F ZINC000600187136 350535199 /nfs/dbraw/zinc/53/51/99/350535199.db2.gz ATHZJWCWJNGGDE-NXEZZACHSA-N 0 0 298.289 2.958 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000600159929 350524357 /nfs/dbraw/zinc/52/43/57/350524357.db2.gz KZROYLRWWQYBBG-JTQLQIEISA-N 0 0 294.355 2.573 20 5 CFBDRN Cc1nn([C@@H]2CCO[C@@H](c3cccnc3)C2)cc1[N+](=O)[O-] ZINC000600545431 350568494 /nfs/dbraw/zinc/56/84/94/350568494.db2.gz NKBFSLDOXLHLHU-TZMCWYRMSA-N 0 0 288.307 2.588 20 5 CFBDRN CCO[C@@H](COc1c(C)c(C)ncc1[N+](=O)[O-])C1CC1 ZINC000600546278 350568558 /nfs/dbraw/zinc/56/85/58/350568558.db2.gz CCNOKZCJCFFXIN-ZDUSSCGKSA-N 0 0 280.324 2.801 20 5 CFBDRN Cc1nn([C@H]2CCO[C@@H](c3cccnc3)C2)cc1[N+](=O)[O-] ZINC000600545422 350568863 /nfs/dbraw/zinc/56/88/63/350568863.db2.gz NKBFSLDOXLHLHU-GXTWGEPZSA-N 0 0 288.307 2.588 20 5 CFBDRN Cc1nn(Cc2cccnc2C(F)(F)F)cc1[N+](=O)[O-] ZINC000600548901 350569340 /nfs/dbraw/zinc/56/93/40/350569340.db2.gz UIFIWWHIFYXYAK-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN Cc1nn(Cc2ccccc2OC2CC2)cc1[N+](=O)[O-] ZINC000600549068 350569589 /nfs/dbraw/zinc/56/95/89/350569589.db2.gz VAZLZVXLFFMUHO-UHFFFAOYSA-N 0 0 273.292 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCCCCCO)cc1 ZINC000600567806 350571884 /nfs/dbraw/zinc/57/18/84/350571884.db2.gz DUQJFEYOZUOYOS-UHFFFAOYSA-N 0 0 285.365 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCc2cnns2)cc1 ZINC000600567748 350571966 /nfs/dbraw/zinc/57/19/66/350571966.db2.gz CNXRLJYFJLFGFP-UHFFFAOYSA-N 0 0 297.361 2.759 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)NCC1CC=CC1 ZINC000600230009 350544316 /nfs/dbraw/zinc/54/43/16/350544316.db2.gz NSSXFZQLWVNVJR-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N(C1CC1)C1CC1 ZINC000600360429 350549853 /nfs/dbraw/zinc/54/98/53/350549853.db2.gz PAHMMAZYYYHQFC-LSDHHAIUSA-N 0 0 286.331 2.852 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000600379820 350552453 /nfs/dbraw/zinc/55/24/53/350552453.db2.gz ROSSHXMMDCLDGM-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NCC[C@@H]1CC=CCC1 ZINC000600396609 350553846 /nfs/dbraw/zinc/55/38/46/350553846.db2.gz ZRSKHUKACUUKMC-LLVKDONJSA-N 0 0 289.335 2.653 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000600449041 350556488 /nfs/dbraw/zinc/55/64/88/350556488.db2.gz QZFISCNCAZNEDX-JTQLQIEISA-N 0 0 298.289 2.784 20 5 CFBDRN C/C=C\CNC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000600490172 350558385 /nfs/dbraw/zinc/55/83/85/350558385.db2.gz IIKKTRFIWFSVIM-ARJAWSKDSA-N 0 0 268.700 2.863 20 5 CFBDRN C/C=C/CNC(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000600488642 350558390 /nfs/dbraw/zinc/55/83/90/350558390.db2.gz BCXBAXJNFZHFTN-NSCUHMNNSA-N 0 0 288.225 2.920 20 5 CFBDRN Cc1nn(CC(=O)C2C(C)(C)C2(C)C)c(C)c1[N+](=O)[O-] ZINC000600508374 350560030 /nfs/dbraw/zinc/56/00/30/350560030.db2.gz KAZRYAODSJEUBW-UHFFFAOYSA-N 0 0 279.340 2.659 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1C[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC000600508782 350560316 /nfs/dbraw/zinc/56/03/16/350560316.db2.gz GAOFDIAUURREIY-QJPTWQEYSA-N 0 0 297.314 2.517 20 5 CFBDRN CCC[C@H](C)CCCn1ccc(=O)c([N+](=O)[O-])c1 ZINC000600524087 350562991 /nfs/dbraw/zinc/56/29/91/350562991.db2.gz BTKARAIBCYULRU-NSHDSACASA-N 0 0 252.314 2.973 20 5 CFBDRN CC(C)SCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000600531072 350565595 /nfs/dbraw/zinc/56/55/95/350565595.db2.gz RAYCQSUXNPGWDP-UHFFFAOYSA-N 0 0 262.718 2.509 20 5 CFBDRN CCO[C@H](COc1nc(C)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000600537071 350566824 /nfs/dbraw/zinc/56/68/24/350566824.db2.gz WNGZYMKZSJINPY-GFCCVEGCSA-N 0 0 280.324 2.801 20 5 CFBDRN O=C(Cn1ccnc1C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000600611070 350580444 /nfs/dbraw/zinc/58/04/44/350580444.db2.gz LOGLLZSAVDXIRF-UHFFFAOYSA-N 0 0 289.266 2.691 20 5 CFBDRN O=C(Cn1ccnc1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000600614672 350581121 /nfs/dbraw/zinc/58/11/21/350581121.db2.gz UKNBHTKTBGFROQ-UHFFFAOYSA-N 0 0 271.276 2.552 20 5 CFBDRN C[C@@H]1CC(C(=O)[O-])C[C@@H](C)[NH+]1Cc1cccc([N+](=O)[O-])c1 ZINC000600676699 350595790 /nfs/dbraw/zinc/59/57/90/350595790.db2.gz ZYFBFAUNKINXBV-GHMZBOCLSA-N 0 0 292.335 2.668 20 5 CFBDRN C/C=C/C[S@@](=O)CCCOc1ccc([N+](=O)[O-])cc1 ZINC000600899229 350625555 /nfs/dbraw/zinc/62/55/55/350625555.db2.gz KLPZCYPJCGZEEX-LSTKMXGYSA-N 0 0 283.349 2.689 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)cc1[N+](=O)[O-] ZINC000600884668 350622903 /nfs/dbraw/zinc/62/29/03/350622903.db2.gz YOKLOHMJFMGFJX-CKYFFXLPSA-N 0 0 278.308 2.655 20 5 CFBDRN Cc1c(NC(=O)CC2CSC2)cccc1[N+](=O)[O-] ZINC000600892615 350624204 /nfs/dbraw/zinc/62/42/04/350624204.db2.gz WCCYKHLQIGSWRI-UHFFFAOYSA-N 0 0 266.322 2.595 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCC2(CC2)CC1 ZINC000600768174 350607689 /nfs/dbraw/zinc/60/76/89/350607689.db2.gz DLCKICMMNSIKNQ-UHFFFAOYSA-N 0 0 264.281 2.594 20 5 CFBDRN Cc1ccc(-c2nc(CCO)cs2)cc1[N+](=O)[O-] ZINC000600792525 350613326 /nfs/dbraw/zinc/61/33/26/350613326.db2.gz ZETLXCHUPJODSR-UHFFFAOYSA-N 0 0 264.306 2.562 20 5 CFBDRN CCCc1cc(NC(=O)c2ccccc2[N+](=O)[O-])n[nH]1 ZINC000109913641 186278929 /nfs/dbraw/zinc/27/89/29/186278929.db2.gz DJJGHKUDHCZZMI-UHFFFAOYSA-N 0 0 274.280 2.523 20 5 CFBDRN CC(C)CCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600991526 350642627 /nfs/dbraw/zinc/64/26/27/350642627.db2.gz BTISAOPWGHVNQV-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN O=C(Nc1cccnc1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110190983 186288122 /nfs/dbraw/zinc/28/81/22/186288122.db2.gz VOQPPBIPJZXXSX-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601019789 350646592 /nfs/dbraw/zinc/64/65/92/350646592.db2.gz OZHPGPODXHFGDH-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@@H]1CCN(C(=O)C2(c3ccc([N+](=O)[O-])cc3)CCC2)C1 ZINC000601029231 350647924 /nfs/dbraw/zinc/64/79/24/350647924.db2.gz NIMABZDUATYVGU-GFCCVEGCSA-N 0 0 288.347 2.885 20 5 CFBDRN O=C(NC[C@@H]1C[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000110452454 186297480 /nfs/dbraw/zinc/29/74/80/186297480.db2.gz WXNADHOYCAVIGA-NWDGAFQWSA-N 0 0 286.287 2.721 20 5 CFBDRN C[C@H](NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1)C1CC1 ZINC000600956735 350636263 /nfs/dbraw/zinc/63/62/63/350636263.db2.gz YXFJFJTYTWZXFC-NSHDSACASA-N 0 0 288.347 2.931 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ncc(F)cc2[N+](=O)[O-])C12CCC2 ZINC000601118886 350672795 /nfs/dbraw/zinc/67/27/95/350672795.db2.gz JDFBIAJNZUDMDW-RYUDHWBXSA-N 0 0 295.314 2.889 20 5 CFBDRN CC(C)Cn1ccnc1CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601118581 350673028 /nfs/dbraw/zinc/67/30/28/350673028.db2.gz FPKXIKVSHRMTFA-UHFFFAOYSA-N 0 0 293.302 2.594 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)CNc1ccsc1[N+](=O)[O-] ZINC000601120324 350673942 /nfs/dbraw/zinc/67/39/42/350673942.db2.gz JUJDVOBUOMAFTR-QMMMGPOBSA-N 0 0 272.326 2.656 20 5 CFBDRN Cc1nn(Cc2c(C)cc(C)nc2C)c(C)c1[N+](=O)[O-] ZINC000601071397 350658153 /nfs/dbraw/zinc/65/81/53/350658153.db2.gz WZRGCCGODWDGID-UHFFFAOYSA-N 0 0 274.324 2.777 20 5 CFBDRN Cc1nn(COC2CCCCC2)c(C)c1[N+](=O)[O-] ZINC000601070696 350658401 /nfs/dbraw/zinc/65/84/01/350658401.db2.gz QKHMGOYGRAOGHO-UHFFFAOYSA-N 0 0 253.302 2.715 20 5 CFBDRN Cc1cc(OC[C@@H]2CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000601072821 350658524 /nfs/dbraw/zinc/65/85/24/350658524.db2.gz UTFMVCPHQDYSHU-MNOVXSKESA-N 0 0 251.282 2.707 20 5 CFBDRN COc1cc(COc2cccc([N+](=O)[O-])c2C)sn1 ZINC000601075456 350659756 /nfs/dbraw/zinc/65/97/56/350659756.db2.gz BYALNCCIRHQPAF-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN Cc1cccc(OC[C@@H]2CCO[C@H]2C)c1[N+](=O)[O-] ZINC000601079915 350661720 /nfs/dbraw/zinc/66/17/20/350661720.db2.gz GDOPDOYZHQTNGU-QWRGUYRKSA-N 0 0 251.282 2.707 20 5 CFBDRN CC1(C)CO[C@H](CSc2ccc([N+](=O)[O-])cn2)C1 ZINC000601081294 350662300 /nfs/dbraw/zinc/66/23/00/350662300.db2.gz UWIXFUFCPSZGCM-JTQLQIEISA-N 0 0 268.338 2.897 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NC1CCCC1 ZINC000601098884 350667450 /nfs/dbraw/zinc/66/74/50/350667450.db2.gz ITJRIYIQJWJOHC-UHFFFAOYSA-N 0 0 278.234 2.702 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601099099 350667674 /nfs/dbraw/zinc/66/76/74/350667674.db2.gz SKXKVSZSCXBLLV-DTORHVGOSA-N 0 0 253.277 2.611 20 5 CFBDRN CCCCc1cc(Oc2cccnc2[N+](=O)[O-])ncn1 ZINC000601100077 350668179 /nfs/dbraw/zinc/66/81/79/350668179.db2.gz KEQATEWUYRRDPC-UHFFFAOYSA-N 0 0 274.280 2.915 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCc2sccc2C1 ZINC000601101640 350668645 /nfs/dbraw/zinc/66/86/45/350668645.db2.gz QUAXVCVPAAUCJP-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN CCOCCCCNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601105672 350670052 /nfs/dbraw/zinc/67/00/52/350670052.db2.gz LEHUPSKPWUTZNY-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H](C)O[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000601223561 350702244 /nfs/dbraw/zinc/70/22/44/350702244.db2.gz GEAHXOQZTBTNQG-QXFUBDJGSA-N 0 0 284.287 2.720 20 5 CFBDRN COCC1(C2CC2)CN(c2ccsc2[N+](=O)[O-])C1 ZINC000601225102 350702643 /nfs/dbraw/zinc/70/26/43/350702643.db2.gz CRVPGPLXCPWZMB-UHFFFAOYSA-N 0 0 268.338 2.519 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn3c2CCCC3)cc1 ZINC000601232320 350705034 /nfs/dbraw/zinc/70/50/34/350705034.db2.gz PPVZSWYAJIACKJ-UHFFFAOYSA-N 0 0 258.281 2.871 20 5 CFBDRN CC1(C)CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601258798 350710838 /nfs/dbraw/zinc/71/08/38/350710838.db2.gz NWRRHEXLQBZRTE-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H](CF)c1ccc(F)cc1 ZINC000601265299 350712498 /nfs/dbraw/zinc/71/24/98/350712498.db2.gz NBFKMDXBYGFGOT-JTQLQIEISA-N 0 0 282.250 2.590 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)C[C@@H](C)O1 ZINC000111008842 186342188 /nfs/dbraw/zinc/34/21/88/186342188.db2.gz UXUJLMZVPJEEGC-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)(C)OC(=O)CCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000601124570 350675494 /nfs/dbraw/zinc/67/54/94/350675494.db2.gz ROQIJVBYYOMVQF-UHFFFAOYSA-N 0 0 299.302 2.663 20 5 CFBDRN CO[C@H](CNc1ncc(F)cc1[N+](=O)[O-])C(C)(C)C ZINC000601126031 350676152 /nfs/dbraw/zinc/67/61/52/350676152.db2.gz XWYUBIWFZMWPHS-SNVBAGLBSA-N 0 0 271.292 2.602 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000601130689 350677710 /nfs/dbraw/zinc/67/77/10/350677710.db2.gz NNOHVRWCPGVXBR-IACUBPJLSA-N 0 0 285.278 2.879 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNCC(C)(C)F ZINC000601136673 350679272 /nfs/dbraw/zinc/67/92/72/350679272.db2.gz JFCJEGMUOQKGSO-UHFFFAOYSA-N 0 0 270.304 2.831 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cnn3c2CCCC3)c(F)c1 ZINC000601151225 350683077 /nfs/dbraw/zinc/68/30/77/350683077.db2.gz SWSROEZOGDTHPA-UHFFFAOYSA-N 0 0 290.298 2.879 20 5 CFBDRN CC1=C(C)CN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601160455 350685144 /nfs/dbraw/zinc/68/51/44/350685144.db2.gz XNTCVTGNCZWGEG-UHFFFAOYSA-N 0 0 251.261 2.675 20 5 CFBDRN CC1=CCCN(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000601160493 350685408 /nfs/dbraw/zinc/68/54/08/350685408.db2.gz WNQMOAWGFBEWGN-UHFFFAOYSA-N 0 0 290.245 2.504 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601163782 350685645 /nfs/dbraw/zinc/68/56/45/350685645.db2.gz PMUPDUQMLSGOFU-RITPCOANSA-N 0 0 278.234 2.558 20 5 CFBDRN C[C@H]1CSC[C@H]1Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601165753 350686177 /nfs/dbraw/zinc/68/61/77/350686177.db2.gz URCJGNZRGJLQNU-LKFCYVNXSA-N 0 0 289.360 2.728 20 5 CFBDRN C[C@H]1CN(c2ncc(F)cc2[N+](=O)[O-])CCC1(F)F ZINC000601166198 350686703 /nfs/dbraw/zinc/68/67/03/350686703.db2.gz LBCBQLDRUPXBAC-ZETCQYMHSA-N 0 0 275.230 2.610 20 5 CFBDRN CCc1csc([C@H](C)Nc2c([N+](=O)[O-])c(C)nn2C)n1 ZINC000601181310 350690815 /nfs/dbraw/zinc/69/08/15/350690815.db2.gz FUDVGIWOSVCSCI-QMMMGPOBSA-N 0 0 295.368 2.829 20 5 CFBDRN CC(C)(CNc1c(F)cccc1[N+](=O)[O-])n1cccn1 ZINC000601197953 350694289 /nfs/dbraw/zinc/69/42/89/350694289.db2.gz IQPXXMLMNLDSJV-UHFFFAOYSA-N 0 0 278.287 2.778 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@@H](CCF)C1 ZINC000601195659 350694355 /nfs/dbraw/zinc/69/43/55/350694355.db2.gz RVBVMVHPFQXPGQ-VIFPVBQESA-N 0 0 271.267 2.705 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC(SC)CC1 ZINC000601207166 350697539 /nfs/dbraw/zinc/69/75/39/350697539.db2.gz ZTDKSVZBEADGQY-UHFFFAOYSA-N 0 0 282.365 2.935 20 5 CFBDRN Cc1ccnc(N2CC(c3ccccc3)C2)c1[N+](=O)[O-] ZINC000601209611 350698341 /nfs/dbraw/zinc/69/83/41/350698341.db2.gz GJVQZYCXXUTWOV-UHFFFAOYSA-N 0 0 269.304 2.902 20 5 CFBDRN CCC[C@H](CCO)Nc1cccc(OCC)c1[N+](=O)[O-] ZINC000601213006 350699820 /nfs/dbraw/zinc/69/98/20/350699820.db2.gz VWMGMCMXRPHZSZ-LLVKDONJSA-N 0 0 282.340 2.957 20 5 CFBDRN Cc1nocc1CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000601216412 350700144 /nfs/dbraw/zinc/70/01/44/350700144.db2.gz DJNSSMUOBBJWAU-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H](c2cccnc2)C1 ZINC000601217358 350700386 /nfs/dbraw/zinc/70/03/86/350700386.db2.gz OPNCVLJRJWCNAB-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1cc(N2CCC(CCF)CC2)ncc1[N+](=O)[O-] ZINC000601217494 350700463 /nfs/dbraw/zinc/70/04/63/350700463.db2.gz HWENZNVQRMBHOX-UHFFFAOYSA-N 0 0 267.304 2.874 20 5 CFBDRN CCOc1cc(NCc2cc(C)no2)ccc1[N+](=O)[O-] ZINC000111049079 186347936 /nfs/dbraw/zinc/34/79/36/186347936.db2.gz PIQJLRMCMPHFEL-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN CSC1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000601220050 350700774 /nfs/dbraw/zinc/70/07/74/350700774.db2.gz CKDXRKRYQFLSPE-UHFFFAOYSA-N 0 0 295.364 2.954 20 5 CFBDRN CC(C)(F)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000601220669 350700823 /nfs/dbraw/zinc/70/08/23/350700823.db2.gz BTNMFULPIXULFV-UHFFFAOYSA-N 0 0 273.239 2.604 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCC[C@H](O)C(F)F ZINC000601222751 350701377 /nfs/dbraw/zinc/70/13/77/350701377.db2.gz PCBFAUUKIIPCAH-NSHDSACASA-N 0 0 297.261 2.571 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000601600977 350745329 /nfs/dbraw/zinc/74/53/29/350745329.db2.gz FMFJAGREHKASJA-LDYMZIIASA-N 0 0 284.262 2.564 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601629344 350750683 /nfs/dbraw/zinc/75/06/83/350750683.db2.gz JDIUWKRCBTWNME-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601361968 350726455 /nfs/dbraw/zinc/72/64/55/350726455.db2.gz GRHDOEBCGSLBSJ-VIFPVBQESA-N 0 0 268.288 2.898 20 5 CFBDRN CC1=CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601373707 350727872 /nfs/dbraw/zinc/72/78/72/350727872.db2.gz YDKITKAWMMWWHN-UHFFFAOYSA-N 0 0 264.256 2.526 20 5 CFBDRN CCOC(C)(C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000601411284 350730854 /nfs/dbraw/zinc/73/08/54/350730854.db2.gz QUUROHAERLJOMP-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)SCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601577230 350741732 /nfs/dbraw/zinc/74/17/32/350741732.db2.gz GKBJNQBURORRHS-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1C[C@H](C)O[C@H]1C ZINC000601584013 350742369 /nfs/dbraw/zinc/74/23/69/350742369.db2.gz AXNPXEIOHRLDLD-ZMLRMANQSA-N 0 0 292.335 2.964 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCCC23CC3)cccc1[N+](=O)[O-] ZINC000601703297 350764312 /nfs/dbraw/zinc/76/43/12/350764312.db2.gz KYBPORDGWOZKNY-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN CC(C)(NC(=O)CC1CSC1)c1ccccc1[N+](=O)[O-] ZINC000601596119 350744573 /nfs/dbraw/zinc/74/45/73/350744573.db2.gz OGHAPPMDKFSWML-UHFFFAOYSA-N 0 0 294.376 2.699 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC(CCF)CC1 ZINC000601744421 350770602 /nfs/dbraw/zinc/77/06/02/350770602.db2.gz LORHSHLPJBAXOR-UHFFFAOYSA-N 0 0 280.299 2.807 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC(CCF)CC1 ZINC000601744966 350770737 /nfs/dbraw/zinc/77/07/37/350770737.db2.gz OHDWGLSNZKDWLV-UHFFFAOYSA-N 0 0 280.299 2.807 20 5 CFBDRN Cc1cc(C(=O)N[C@]23C[C@H]2CCCC3)ccc1[N+](=O)[O-] ZINC000601770884 350773377 /nfs/dbraw/zinc/77/33/77/350773377.db2.gz DEWXDGGXXTZLDY-IUODEOHRSA-N 0 0 274.320 2.966 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000601868836 350794282 /nfs/dbraw/zinc/79/42/82/350794282.db2.gz HKKLYLZCXOKCDD-NSHDSACASA-N 0 0 276.336 2.832 20 5 CFBDRN CC1(F)CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000601868414 350794605 /nfs/dbraw/zinc/79/46/05/350794605.db2.gz LRUWEYUQUHGZFF-UHFFFAOYSA-N 0 0 284.262 2.698 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@H]1C(F)(F)F ZINC000601891050 350799130 /nfs/dbraw/zinc/79/91/30/350799130.db2.gz BMOYBXSZXYVIEA-NXEZZACHSA-N 0 0 288.225 2.584 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@H](C2CCC2)CC1 ZINC000601892973 350799290 /nfs/dbraw/zinc/79/92/90/350799290.db2.gz IYROZSIDSFAMJS-LBPRGKRZSA-N 0 0 291.351 2.965 20 5 CFBDRN CCc1ccc(OCCc2ccnn2C)c([N+](=O)[O-])c1 ZINC000601914785 350801652 /nfs/dbraw/zinc/80/16/52/350801652.db2.gz XXRPFZNMTNKPQT-UHFFFAOYSA-N 0 0 275.308 2.512 20 5 CFBDRN COCCCCCSCCn1c(C)ncc1[N+](=O)[O-] ZINC000601918250 350802010 /nfs/dbraw/zinc/80/20/10/350802010.db2.gz ZRWWUDUCHVUCHR-UHFFFAOYSA-N 0 0 287.385 2.650 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(C2CCC=CCC2)n1 ZINC000601923767 350803172 /nfs/dbraw/zinc/80/31/72/350803172.db2.gz MKKZTCBMPMIYBP-UHFFFAOYSA-N 0 0 289.295 2.592 20 5 CFBDRN CCOC(=O)c1cc(CC)n(-c2cccc([N+](=O)[O-])c2)n1 ZINC000601932298 350806388 /nfs/dbraw/zinc/80/63/88/350806388.db2.gz PCYKKCGUFIODJN-UHFFFAOYSA-N 0 0 289.291 2.520 20 5 CFBDRN CCOC(=O)c1cc(C)n(-c2cccc([N+](=O)[O-])c2C)n1 ZINC000601937985 350809304 /nfs/dbraw/zinc/80/93/04/350809304.db2.gz DYVOOZPEBHNQRG-UHFFFAOYSA-N 0 0 289.291 2.574 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1OC2CCC1CC2 ZINC000602211998 350852224 /nfs/dbraw/zinc/85/22/24/350852224.db2.gz KWAZZAFTZUWJJX-UHFFFAOYSA-N 0 0 276.292 2.602 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000602227852 350854588 /nfs/dbraw/zinc/85/45/88/350854588.db2.gz GANKYLQQAJSVPU-XHSYRHOGSA-N 0 0 278.308 2.593 20 5 CFBDRN C[C@H](NC(=O)N(C1CC1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000602238294 350854767 /nfs/dbraw/zinc/85/47/67/350854767.db2.gz KKISHVDGYPXNLY-JTQLQIEISA-N 0 0 289.335 2.992 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C12CC(C1)C2 ZINC000602241864 350855877 /nfs/dbraw/zinc/85/58/77/350855877.db2.gz MDUZIDYTLUWHHU-YLTRJXTNSA-N 0 0 272.304 2.673 20 5 CFBDRN O=C(N1CCc2c1cccc2[N+](=O)[O-])C1(C(F)F)CCC1 ZINC000602272118 350857689 /nfs/dbraw/zinc/85/76/89/350857689.db2.gz ZHTCBWMHXDDPDM-UHFFFAOYSA-N 0 0 296.273 2.919 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@H]1C ZINC000602269360 350857732 /nfs/dbraw/zinc/85/77/32/350857732.db2.gz TUIIRZMCXPGEMJ-ZJUUUORDSA-N 0 0 280.299 2.995 20 5 CFBDRN CN(C(=O)[C@@H]1CCCC12CC2)c1ccc([N+](=O)[O-])nc1 ZINC000602324093 350863832 /nfs/dbraw/zinc/86/38/32/350863832.db2.gz ZUALOCKKJMEBRO-NSHDSACASA-N 0 0 275.308 2.533 20 5 CFBDRN CCC[C@](C)(NCc1c(F)cccc1[N+](=O)[O-])C(=O)OC ZINC000111247356 186375605 /nfs/dbraw/zinc/37/56/05/186375605.db2.gz CFXNMVOTBJTQNK-AWEZNQCLSA-N 0 0 298.314 2.555 20 5 CFBDRN Cn1cc(CN2CC(C)(C)c3ccccc32)c([N+](=O)[O-])n1 ZINC000602598916 350940855 /nfs/dbraw/zinc/94/08/55/350940855.db2.gz LDVPRCYPMDKXEG-UHFFFAOYSA-N 0 0 286.335 2.626 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000602398772 350874386 /nfs/dbraw/zinc/87/43/86/350874386.db2.gz ZRGVADYHADILGD-GIPNMCIBSA-N 0 0 293.323 2.591 20 5 CFBDRN CCNc1ccc(C(=O)NCC(C)(C)F)cc1[N+](=O)[O-] ZINC000602412329 350876106 /nfs/dbraw/zinc/87/61/06/350876106.db2.gz LXOZNHZKVMTKPS-UHFFFAOYSA-N 0 0 283.303 2.505 20 5 CFBDRN CC(C)N(Cc1cccs1)Cc1cn(C)nc1[N+](=O)[O-] ZINC000602438622 350882191 /nfs/dbraw/zinc/88/21/91/350882191.db2.gz GLEWBPRLKYAHMZ-UHFFFAOYSA-N 0 0 294.380 2.800 20 5 CFBDRN CCN(C)Cc1cc(Br)c([N+](=O)[O-])s1 ZINC000602438480 350882545 /nfs/dbraw/zinc/88/25/45/350882545.db2.gz RNTQBJSMANXWAE-UHFFFAOYSA-N 0 0 279.159 2.871 20 5 CFBDRN Cc1ccc(CN(C)Cc2cn(C)nc2[N+](=O)[O-])cc1C ZINC000602685654 350978225 /nfs/dbraw/zinc/97/82/25/350978225.db2.gz JQIMRPOKKOFSGW-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN CCC1CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000602690364 350980367 /nfs/dbraw/zinc/98/03/67/350980367.db2.gz MXDPZBNVFLLTMX-UHFFFAOYSA-N 0 0 261.281 2.578 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCC[C@H](F)C3)nc2c1 ZINC000602706497 350990617 /nfs/dbraw/zinc/99/06/17/350990617.db2.gz QHHKRPYDSAYDPP-VIFPVBQESA-N 0 0 279.271 2.670 20 5 CFBDRN Cc1c(NC(=O)N[C@H](C)C(F)F)cccc1[N+](=O)[O-] ZINC000602762648 351017486 /nfs/dbraw/zinc/01/74/86/351017486.db2.gz PBTBXMBZXDIBTR-SSDOTTSWSA-N 0 0 273.239 2.678 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN1Cc2ccccc2[C@@H]1C ZINC000602781249 351023610 /nfs/dbraw/zinc/02/36/10/351023610.db2.gz IRNJLGRAFPICSN-NSHDSACASA-N 0 0 286.335 2.677 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])c3cnccc23)COC1 ZINC000112695903 186517935 /nfs/dbraw/zinc/51/79/35/186517935.db2.gz IJMAOKDCZXYAIZ-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@@H]1c1cn[nH]c1 ZINC000602882278 351056899 /nfs/dbraw/zinc/05/68/99/351056899.db2.gz UIQALAWDHILTNQ-CYBMUJFWSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)C(F)F ZINC000602758269 351014633 /nfs/dbraw/zinc/01/46/33/351014633.db2.gz YPMHVVNFUBAPMX-ZETCQYMHSA-N 0 0 273.239 2.678 20 5 CFBDRN Cc1noc(Cn2cc(C3CCCCC3)nn2)c1[N+](=O)[O-] ZINC000603258990 351126515 /nfs/dbraw/zinc/12/65/15/351126515.db2.gz RUXJRMKVOSIPOW-UHFFFAOYSA-N 0 0 291.311 2.579 20 5 CFBDRN C[C@H]1C[C@H](CNc2ccccc2[N+](=O)[O-])CCO1 ZINC000271426289 191131060 /nfs/dbraw/zinc/13/10/60/191131060.db2.gz VXIATLQJCXMSHZ-WDEREUQCSA-N 0 0 250.298 2.822 20 5 CFBDRN CCC(C)(C)CCNC(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602948689 351070726 /nfs/dbraw/zinc/07/07/26/351070726.db2.gz RWRYABKFYIBSKF-UHFFFAOYSA-N 0 0 294.355 2.668 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)N1CCCCC[C@H]1C ZINC000602951428 351071826 /nfs/dbraw/zinc/07/18/26/351071826.db2.gz JGUOIFQVISUABF-LLVKDONJSA-N 0 0 292.339 2.517 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)N(C)C1CCCCC1 ZINC000602959704 351072727 /nfs/dbraw/zinc/07/27/27/351072727.db2.gz QZSFKHQAAFEOKJ-UHFFFAOYSA-N 0 0 292.339 2.517 20 5 CFBDRN CC(C)[C@@H](C)n1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000603258509 351126895 /nfs/dbraw/zinc/12/68/95/351126895.db2.gz CIBHETZCPVTBAK-LLVKDONJSA-N 0 0 290.323 2.982 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1cn(C2CCC2)nn1 ZINC000603404690 351157818 /nfs/dbraw/zinc/15/78/18/351157818.db2.gz NPNWWTUVTWELNO-UHFFFAOYSA-N 0 0 279.325 2.585 20 5 CFBDRN CC(=O)c1ccc(NCC2CSC2)c([N+](=O)[O-])c1 ZINC000603414436 351159546 /nfs/dbraw/zinc/15/95/46/351159546.db2.gz SHVJGJMKOQEXLI-UHFFFAOYSA-N 0 0 266.322 2.572 20 5 CFBDRN Cc1cccc(Cl)c1OCc1cn(C)nc1[N+](=O)[O-] ZINC000603420114 351161008 /nfs/dbraw/zinc/16/10/08/351161008.db2.gz HZZDLBORVOKVFV-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN C[C@@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])C(F)F ZINC000603427121 351162430 /nfs/dbraw/zinc/16/24/30/351162430.db2.gz BFGGXQLJHKYSOV-GMSGAONNSA-N 0 0 256.252 2.825 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H](CO)Cc1ccco1 ZINC000603438376 351164602 /nfs/dbraw/zinc/16/46/02/351164602.db2.gz BIQDEYWGSHGCKN-JTQLQIEISA-N 0 0 294.282 2.590 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H](CO)Cc1ccco1 ZINC000603438377 351164669 /nfs/dbraw/zinc/16/46/69/351164669.db2.gz BIQDEYWGSHGCKN-SNVBAGLBSA-N 0 0 294.282 2.590 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)OCC(C)C ZINC000603950971 351193845 /nfs/dbraw/zinc/19/38/45/351193845.db2.gz ZBWDBSBJLTYTIP-SNVBAGLBSA-N 0 0 296.323 2.603 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c(C)[nH]1 ZINC000603577139 351174891 /nfs/dbraw/zinc/17/48/91/351174891.db2.gz MTOVUTXHPDYCQP-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1cc(N2CC(C)(C)[C@@H]2[C@H]2CCCO2)ncc1[N+](=O)[O-] ZINC000291232778 197962566 /nfs/dbraw/zinc/96/25/66/197962566.db2.gz WWTWYQDTHIFWMH-OCCSQVGLSA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1cc2cc(NC(=O)c3cc([N+](=O)[O-])cn3C)ccc2[nH]1 ZINC000603575482 351175160 /nfs/dbraw/zinc/17/51/60/351175160.db2.gz RLCMYFGZUXBLNC-UHFFFAOYSA-N 0 0 298.302 2.975 20 5 CFBDRN O=[N+]([O-])c1sccc1Sc1nnc2ccccn21 ZINC000603600562 351175687 /nfs/dbraw/zinc/17/56/87/351175687.db2.gz WLEMGMIIVWUJFP-UHFFFAOYSA-N 0 0 278.318 2.850 20 5 CFBDRN Cn1c(C(=O)NCc2cc3ccccc3o2)ccc1[N+](=O)[O-] ZINC000603691311 351178300 /nfs/dbraw/zinc/17/83/00/351178300.db2.gz AVRHDLJPNOYOGR-UHFFFAOYSA-N 0 0 299.286 2.610 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H]1CCCCO1 ZINC000603727342 351179070 /nfs/dbraw/zinc/17/90/70/351179070.db2.gz RJPKWQAVKLAYNH-LBPRGKRZSA-N 0 0 251.282 2.851 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1cnn(-c2ccccc2)c1 ZINC000603846883 351185617 /nfs/dbraw/zinc/18/56/17/351185617.db2.gz IKCCOWKIRIKPCZ-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CC(C)Oc1ccc(CNc2cccnc2[N+](=O)[O-])cn1 ZINC000603862321 351187194 /nfs/dbraw/zinc/18/71/94/351187194.db2.gz MSODHCAUMOJHQK-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2cc(F)c(F)cc21 ZINC000603858058 351187511 /nfs/dbraw/zinc/18/75/11/351187511.db2.gz DBAMMQKTLFCALU-UHFFFAOYSA-N 0 0 277.230 2.962 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCCN(c2ccccc2)CC1 ZINC000603872018 351187795 /nfs/dbraw/zinc/18/77/95/351187795.db2.gz OBMPQRYVJJNULM-UHFFFAOYSA-N 0 0 298.346 2.707 20 5 CFBDRN COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H]2C)cc1SC ZINC000603268372 351128007 /nfs/dbraw/zinc/12/80/07/351128007.db2.gz ZLSIAANMQJEUAR-MRVPVSSYSA-N 0 0 296.348 2.560 20 5 CFBDRN CCc1cc2c(nc(C)nc2-n2ccc([N+](=O)[O-])n2)s1 ZINC000603278781 351129799 /nfs/dbraw/zinc/12/97/99/351129799.db2.gz IPYAQFVHOSKFBT-UHFFFAOYSA-N 0 0 289.320 2.656 20 5 CFBDRN CCOC1CCN(C(=O)c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000604255771 351203998 /nfs/dbraw/zinc/20/39/98/351203998.db2.gz SHTUVAOUVPOYQM-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1occc1CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000604311184 351206038 /nfs/dbraw/zinc/20/60/38/351206038.db2.gz YZHTZWOYGSAIHN-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H]1C ZINC000604397950 351210769 /nfs/dbraw/zinc/21/07/69/351210769.db2.gz QBVAZEVTPNCBCD-UWVGGRQHSA-N 0 0 280.349 2.696 20 5 CFBDRN C[C@@H](CNC(=O)CSc1ccccc1[N+](=O)[O-])C1CC1 ZINC000604398269 351210915 /nfs/dbraw/zinc/21/09/15/351210915.db2.gz HJWINXGKTQLNMJ-JTQLQIEISA-N 0 0 294.376 2.849 20 5 CFBDRN Cc1c(C(=O)NC[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000604395883 351210931 /nfs/dbraw/zinc/21/09/31/351210931.db2.gz GYLGVYZWHKKHHP-VIFPVBQESA-N 0 0 262.309 2.679 20 5 CFBDRN CCOc1ccc(C(=O)NC[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000604395841 351210978 /nfs/dbraw/zinc/21/09/78/351210978.db2.gz DPTARJMXERAZBL-SNVBAGLBSA-N 0 0 292.335 2.769 20 5 CFBDRN CCC[C@H](C)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000604432854 351212119 /nfs/dbraw/zinc/21/21/19/351212119.db2.gz UCUZLXBVOXDFHS-JTQLQIEISA-N 0 0 282.365 2.992 20 5 CFBDRN CCC[C@@H](C)NC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000604437657 351213310 /nfs/dbraw/zinc/21/33/10/351213310.db2.gz DMANUSSKMMKLOK-GFCCVEGCSA-N 0 0 294.351 2.977 20 5 CFBDRN O=C(Nc1ccc(-c2c[nH]cn2)cc1)c1ccc([N+](=O)[O-])o1 ZINC000604484755 351214915 /nfs/dbraw/zinc/21/49/15/351214915.db2.gz UOIBCYRMXMQOSQ-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN Cc1ccc2c(c1)N(c1cccnc1[N+](=O)[O-])CC2 ZINC000604502399 351215726 /nfs/dbraw/zinc/21/57/26/351215726.db2.gz UTSLRLRRCWRMCE-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN Cc1occc1CNCc1csc([N+](=O)[O-])c1 ZINC000604533014 351217548 /nfs/dbraw/zinc/21/75/48/351217548.db2.gz QIKGEFJNSYZOCX-UHFFFAOYSA-N 0 0 252.295 2.848 20 5 CFBDRN COc1ccc([C@H]2CCN(c3cccnc3[N+](=O)[O-])C2)cc1 ZINC000604549419 351218588 /nfs/dbraw/zinc/21/85/88/351218588.db2.gz GNBBWHVQPWHACN-ZDUSSCGKSA-N 0 0 299.330 2.992 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc[nH]c1=O ZINC000604571482 351219993 /nfs/dbraw/zinc/21/99/93/351219993.db2.gz COFUVSQAWUYJGI-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN COc1cc(N(C)Cc2ccco2)c(F)cc1[N+](=O)[O-] ZINC000119120531 186803661 /nfs/dbraw/zinc/80/36/61/186803661.db2.gz HGFJDXLREOIRJF-UHFFFAOYSA-N 0 0 280.255 2.972 20 5 CFBDRN CC[C@H](NC(=O)CNc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000609232448 351492868 /nfs/dbraw/zinc/49/28/68/351492868.db2.gz NGCJBPUUKIBBBD-ZDUSSCGKSA-N 0 0 293.367 2.948 20 5 CFBDRN CC[C@H](NC(=O)COc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000609230005 351492990 /nfs/dbraw/zinc/49/29/90/351492990.db2.gz JFUXIOACKDPYRU-ZDUSSCGKSA-N 0 0 294.351 2.915 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCOc1ccc(F)c(F)c1 ZINC000609288307 351494920 /nfs/dbraw/zinc/49/49/20/351494920.db2.gz SAYZLTLZHHZSLC-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN CC(C)OC1CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000609331126 351495698 /nfs/dbraw/zinc/49/56/98/351495698.db2.gz CUFLJALGNDPJCZ-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN COCc1cc(CNc2cccnc2[N+](=O)[O-])ccc1F ZINC000609354316 351497884 /nfs/dbraw/zinc/49/78/84/351497884.db2.gz SVDTYFUXWVYZAH-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H]1C1CC1 ZINC000609447987 351502159 /nfs/dbraw/zinc/50/21/59/351502159.db2.gz JAZPJNCWDDTGIH-ZDUSSCGKSA-N 0 0 278.283 2.749 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609510971 351504461 /nfs/dbraw/zinc/50/44/61/351504461.db2.gz WNNVVLADCYNRIN-BMIGLBTASA-N 0 0 299.330 2.597 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000609514622 351505000 /nfs/dbraw/zinc/50/50/00/351505000.db2.gz QQLKFXOYABGSLT-CABZTGNLSA-N 0 0 272.308 2.674 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ccc([N+](=O)[O-])s1 ZINC000609513755 351505243 /nfs/dbraw/zinc/50/52/43/351505243.db2.gz KQUUJKMJRDRPIY-VIFPVBQESA-N 0 0 258.343 2.865 20 5 CFBDRN CN(CC1CCC1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000609531370 351506093 /nfs/dbraw/zinc/50/60/93/351506093.db2.gz TYCAFAXUGZHAOK-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN C[C@H](CNc1ccsc1[N+](=O)[O-])Cn1cccn1 ZINC000609560483 351507259 /nfs/dbraw/zinc/50/72/59/351507259.db2.gz LVXTZOHNTTZWSC-SECBINFHSA-N 0 0 266.326 2.601 20 5 CFBDRN C[C@@H](C[C@@H]1CCCO1)Nc1ccc([N+](=O)[O-])cc1 ZINC000608831529 351478541 /nfs/dbraw/zinc/47/85/41/351478541.db2.gz JTZPCXFPLXTTCZ-GWCFXTLKSA-N 0 0 250.298 2.964 20 5 CFBDRN CSc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)cn1 ZINC000608900776 351481727 /nfs/dbraw/zinc/48/17/27/351481727.db2.gz ODNLDKOUMDYRJR-UHFFFAOYSA-N 0 0 279.277 2.557 20 5 CFBDRN COc1ccc(NC(=O)c2cc([N+](=O)[O-])cn2C)c(C)c1 ZINC000608898510 351482141 /nfs/dbraw/zinc/48/21/41/351482141.db2.gz FDRPZPPGFMIWGE-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN COc1ccc2c(c1)CCCN(c1ccc([N+](=O)[O-])cn1)C2 ZINC000609132889 351485482 /nfs/dbraw/zinc/48/54/82/351485482.db2.gz PVLRJZMWOUUVCN-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])cn2C)ccc1F ZINC000609127305 351485613 /nfs/dbraw/zinc/48/56/13/351485613.db2.gz DPYKKFQQTPLGEO-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609128318 351485625 /nfs/dbraw/zinc/48/56/25/351485625.db2.gz GCOILGKHEPYRLO-STQMWFEESA-N 0 0 293.367 2.878 20 5 CFBDRN CC(C)[C@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609128315 351485646 /nfs/dbraw/zinc/48/56/46/351485646.db2.gz GCOILGKHEPYRLO-CHWSQXEVSA-N 0 0 293.367 2.878 20 5 CFBDRN CC1(NC(=O)NCc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000609139456 351486911 /nfs/dbraw/zinc/48/69/11/351486911.db2.gz IULMKTHJQQERIN-UHFFFAOYSA-N 0 0 277.324 2.727 20 5 CFBDRN C[C@@H](CC(=O)N1CCc2cc([N+](=O)[O-])ccc21)n1cccc1 ZINC000609853686 351522925 /nfs/dbraw/zinc/52/29/25/351522925.db2.gz HAHCZVYYFSQGJX-LBPRGKRZSA-N 0 0 299.330 2.937 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCCOC[C@@H]1CCOC1 ZINC000610131395 351534761 /nfs/dbraw/zinc/53/47/61/351534761.db2.gz DYNNHXSWMOFBSP-JTQLQIEISA-N 0 0 286.353 2.511 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)c2ccco2)c([N+](=O)[O-])c1 ZINC000610168872 351536696 /nfs/dbraw/zinc/53/66/96/351536696.db2.gz QCKFPTCWWFEWJX-SECBINFHSA-N 0 0 290.275 2.939 20 5 CFBDRN C[C@@]1(c2ccccc2)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000610190119 351537655 /nfs/dbraw/zinc/53/76/55/351537655.db2.gz DZJYEDOMKIAMBV-DOMZBBRYSA-N 0 0 286.287 2.648 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCc1ccc(Cl)o1 ZINC000610238143 351542496 /nfs/dbraw/zinc/54/24/96/351542496.db2.gz SYODKLFMQJFSEV-UHFFFAOYSA-N 0 0 294.694 2.700 20 5 CFBDRN Cc1nc(CNC(=O)c2cc(C)ccc2[N+](=O)[O-])cs1 ZINC000610238791 351542522 /nfs/dbraw/zinc/54/25/22/351542522.db2.gz WJSUUYZMUMEDEN-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1cc(N2CCC[C@H](n3ccnc3)C2)ccc1[N+](=O)[O-] ZINC000610276208 351546757 /nfs/dbraw/zinc/54/67/57/351546757.db2.gz CZKYUZSLHWMKNZ-AWEZNQCLSA-N 0 0 286.335 2.941 20 5 CFBDRN CCc1cnc(CCNc2cccnc2[N+](=O)[O-])s1 ZINC000610283077 351548826 /nfs/dbraw/zinc/54/88/26/351548826.db2.gz SQPGABYUPNXOAM-UHFFFAOYSA-N 0 0 278.337 2.663 20 5 CFBDRN C[C@H](CCNc1ccc([N+](=O)[O-])cc1Cl)[S@@](C)=O ZINC000130320713 187486259 /nfs/dbraw/zinc/48/62/59/187486259.db2.gz LZSJFYSLTBACPT-CVJBHZAOSA-N 0 0 290.772 2.817 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000610282804 351548890 /nfs/dbraw/zinc/54/88/90/351548890.db2.gz NQLBYUXOYAOCQR-HTQZYQBOSA-N 0 0 258.368 2.987 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@H](C(C)(C)C)CC1 ZINC000609704454 351516117 /nfs/dbraw/zinc/51/61/17/351516117.db2.gz RKHKOVNVVCVNCR-NSHDSACASA-N 0 0 280.372 2.981 20 5 CFBDRN Cc1ccc(CCNc2cccnc2[N+](=O)[O-])cc1 ZINC000609704314 351516570 /nfs/dbraw/zinc/51/65/70/351516570.db2.gz MHDLPGOIZHVLDE-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN C[C@H]1CN(c2ccsc2[N+](=O)[O-])C[C@@H](C(F)(F)F)O1 ZINC000610412164 351564948 /nfs/dbraw/zinc/56/49/48/351564948.db2.gz QHIXWMXIBIXWTM-XPUUQOCRSA-N 0 0 296.270 2.812 20 5 CFBDRN O=C(CCn1cccc1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000610428712 351566023 /nfs/dbraw/zinc/56/60/23/351566023.db2.gz WRQVUXRCOYOEKM-UHFFFAOYSA-N 0 0 299.330 2.766 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])s1)c1ccncc1 ZINC000610526267 351579246 /nfs/dbraw/zinc/57/92/46/351579246.db2.gz LHXLYONSKRWMDO-VIFPVBQESA-N 0 0 291.332 2.585 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](C)[C@H]2C)c1 ZINC000610588419 351584993 /nfs/dbraw/zinc/58/49/93/351584993.db2.gz ZHBSPMWIYWJUTN-VHSXEESVSA-N 0 0 250.298 2.838 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cccn1C ZINC000610664673 351590419 /nfs/dbraw/zinc/59/04/19/351590419.db2.gz OBEYSKTXSUDKIX-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1N(C)c1c([N+](=O)[O-])ncn1C ZINC000610709882 351595769 /nfs/dbraw/zinc/59/57/69/351595769.db2.gz ITEWKKLEOQWLIO-MNOVXSKESA-N 0 0 266.345 2.733 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1ccccc1[N+](=O)[O-] ZINC000610734698 351599535 /nfs/dbraw/zinc/59/95/35/351599535.db2.gz GRCFQGLZYPBTGF-QWRGUYRKSA-N 0 0 250.298 2.822 20 5 CFBDRN COCCC1(CNc2cccnc2[N+](=O)[O-])CCCC1 ZINC000610755529 351604168 /nfs/dbraw/zinc/60/41/68/351604168.db2.gz OHOSMDPWROHLQX-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN CC(C)(C)OCCCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000610769278 351606429 /nfs/dbraw/zinc/60/64/29/351606429.db2.gz GQRINOLULWGFKO-UHFFFAOYSA-N 0 0 288.731 2.615 20 5 CFBDRN Cc1cccc2c1CCCN2C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000610773009 351607524 /nfs/dbraw/zinc/60/75/24/351607524.db2.gz FTTSLVRZRJPKLD-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC(F)(F)C1 ZINC000610786098 351608351 /nfs/dbraw/zinc/60/83/51/351608351.db2.gz WROFUFXVNRRFRD-QMMMGPOBSA-N 0 0 299.277 2.554 20 5 CFBDRN CCC1(CC)[C@H](Nc2cccnc2[N+](=O)[O-])C[C@@H]1OC ZINC000610808381 351610426 /nfs/dbraw/zinc/61/04/26/351610426.db2.gz GAGQFMJXZXTPPI-NEPJUHHUSA-N 0 0 279.340 2.995 20 5 CFBDRN CCC[C@H]1[C@@H](C)CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000610299830 351553322 /nfs/dbraw/zinc/55/33/22/351553322.db2.gz JRWAJDAAOLDJKV-AAEUAGOBSA-N 0 0 293.367 2.974 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@@H]1c1cccc(F)c1 ZINC000610304517 351553345 /nfs/dbraw/zinc/55/33/45/351553345.db2.gz AWWROLOICRHTDX-GFCCVEGCSA-N 0 0 289.266 2.649 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(C(C)C)C1 ZINC000611074432 351637491 /nfs/dbraw/zinc/63/74/91/351637491.db2.gz UHRMDNVKMULYNT-UHFFFAOYSA-N 0 0 293.323 2.723 20 5 CFBDRN Cc1cc(C(=O)N2CC(C(C)C)C2)ccc1[N+](=O)[O-] ZINC000611079521 351638581 /nfs/dbraw/zinc/63/85/81/351638581.db2.gz WUJUKTWIYWPKSG-UHFFFAOYSA-N 0 0 262.309 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](C3CCOCC3)C2)s1 ZINC000611104328 351640213 /nfs/dbraw/zinc/64/02/13/351640213.db2.gz MTKTXSHYNCYAQU-NSHDSACASA-N 0 0 282.365 2.909 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@H](C2CCOCC2)C1 ZINC000611104105 351640241 /nfs/dbraw/zinc/64/02/41/351640241.db2.gz AMIVBVCBRNJHHD-NSHDSACASA-N 0 0 282.365 2.909 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(-c2nc([C@H]3CCOC3)no2)c1 ZINC000120527906 186905918 /nfs/dbraw/zinc/90/59/18/186905918.db2.gz WGGGJWZMNSLGEK-ZETCQYMHSA-N 0 0 295.682 2.802 20 5 CFBDRN CCC[C@@H]1CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000611144119 351645254 /nfs/dbraw/zinc/64/52/54/351645254.db2.gz BRUXAFSXGTWFTC-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN CCOC(=O)CC[C@@H](C)Nc1ccsc1[N+](=O)[O-] ZINC000611166514 351645889 /nfs/dbraw/zinc/64/58/89/351645889.db2.gz XGUKWXQFGXZBDS-MRVPVSSYSA-N 0 0 272.326 2.800 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H](C(F)F)C2)c(F)c1 ZINC000611179791 351648959 /nfs/dbraw/zinc/64/89/59/351648959.db2.gz JXRZCSILDUPUSF-SECBINFHSA-N 0 0 274.242 2.821 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])CCO1 ZINC000611204465 351651446 /nfs/dbraw/zinc/65/14/46/351651446.db2.gz NOKKWYKQYZLJJS-JQWIXIFHSA-N 0 0 279.340 2.995 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000611195225 351651684 /nfs/dbraw/zinc/65/16/84/351651684.db2.gz XFRLFADJWYCHHB-SECBINFHSA-N 0 0 275.308 2.995 20 5 CFBDRN CC(C)(CNc1cccnc1[N+](=O)[O-])c1ccncc1 ZINC000611256624 351658963 /nfs/dbraw/zinc/65/89/63/351658963.db2.gz JYOYZPPUWMWNEG-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC(c2cccnc2)CC1 ZINC000611256596 351659299 /nfs/dbraw/zinc/65/92/99/351659299.db2.gz IPTXLBCGWLXNQR-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN CN(CCOC(C)(C)C)Cc1ccc([N+](=O)[O-])cc1F ZINC000610859797 351615781 /nfs/dbraw/zinc/61/57/81/351615781.db2.gz MQQNCPLENZVEJC-UHFFFAOYSA-N 0 0 284.331 2.981 20 5 CFBDRN CN(CCOC(C)(C)C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000610859810 351615866 /nfs/dbraw/zinc/61/58/66/351615866.db2.gz NFFBQSMXNMPZOL-UHFFFAOYSA-N 0 0 284.331 2.981 20 5 CFBDRN Cc1ccc(NC(=O)NCc2ccccc2[N+](=O)[O-])cn1 ZINC000610903806 351619792 /nfs/dbraw/zinc/61/97/92/351619792.db2.gz QIXVLYKSJRGRHG-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN CC(=O)C(C)(C)CCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000610945996 351622636 /nfs/dbraw/zinc/62/26/36/351622636.db2.gz DYXDQNQDQJOVEL-UHFFFAOYSA-N 0 0 278.308 2.929 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000610970062 351626803 /nfs/dbraw/zinc/62/68/03/351626803.db2.gz BDXWOLNKUWZXTL-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])s1 ZINC000611373621 351677121 /nfs/dbraw/zinc/67/71/21/351677121.db2.gz KJWIVRQNMXJPNQ-DTWKUNHWSA-N 0 0 256.327 2.883 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000611377890 351677984 /nfs/dbraw/zinc/67/79/84/351677984.db2.gz ALRFWFOMGRZXTQ-JQWIXIFHSA-N 0 0 274.320 2.962 20 5 CFBDRN COCC1(C)CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000611414219 351686630 /nfs/dbraw/zinc/68/66/30/351686630.db2.gz JYYGXIHVUNRJNI-UHFFFAOYSA-N 0 0 270.354 2.909 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000611413574 351686649 /nfs/dbraw/zinc/68/66/49/351686649.db2.gz FGZJFRNSWRSIFD-GHMZBOCLSA-N 0 0 282.365 2.909 20 5 CFBDRN O=[N+]([O-])c1ccsc1Nc1cccc(-n2ccnn2)c1 ZINC000611413598 351686681 /nfs/dbraw/zinc/68/66/81/351686681.db2.gz HKJVXXKDHYNMHK-UHFFFAOYSA-N 0 0 287.304 2.981 20 5 CFBDRN CC(C)[C@@H]1CCN1Cc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000611419335 351687206 /nfs/dbraw/zinc/68/72/06/351687206.db2.gz PCMYYHZGXLZEJC-LBPRGKRZSA-N 0 0 292.335 2.596 20 5 CFBDRN CC(C)[C@H]1CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000611494803 351697085 /nfs/dbraw/zinc/69/70/85/351697085.db2.gz UXTWCSAJIDATAR-SNVBAGLBSA-N 0 0 256.327 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H](C(C)C)C2)c1 ZINC000611494759 351697345 /nfs/dbraw/zinc/69/73/45/351697345.db2.gz LPGUEZHQLODLRK-AWEZNQCLSA-N 0 0 264.325 2.764 20 5 CFBDRN CC(C)[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000611494707 351697498 /nfs/dbraw/zinc/69/74/98/351697498.db2.gz BNZBIUBJONJGBH-NSHDSACASA-N 0 0 285.731 2.504 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1sccc1[N+](=O)[O-] ZINC000611494847 351697525 /nfs/dbraw/zinc/69/75/25/351697525.db2.gz FPCDHLULGHUULC-GXSJLCMTSA-N 0 0 270.354 2.644 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC[C@H](C)[C@@H](F)C1 ZINC000611501291 351698513 /nfs/dbraw/zinc/69/85/13/351698513.db2.gz AIHPAWNYDUKRFB-GWCFXTLKSA-N 0 0 282.315 2.783 20 5 CFBDRN COc1ccc(CN2CC[C@@H](C)[C@H](F)C2)cc1[N+](=O)[O-] ZINC000611502425 351699185 /nfs/dbraw/zinc/69/91/85/351699185.db2.gz SDMJIRCLNJTFBT-ZYHUDNBSSA-N 0 0 282.315 2.783 20 5 CFBDRN C[C@@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C[C@H]1F ZINC000611502408 351699441 /nfs/dbraw/zinc/69/94/41/351699441.db2.gz RZTWXVRLWZJELG-MWLCHTKSSA-N 0 0 293.298 2.916 20 5 CFBDRN Cc1c(C(=O)N2CC[C@H](C)[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000611505672 351700336 /nfs/dbraw/zinc/70/03/36/351700336.db2.gz LKZIVXKRPQPZNQ-CABZTGNLSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@H](C)[C@H](F)C2)c1[N+](=O)[O-] ZINC000611506633 351701175 /nfs/dbraw/zinc/70/11/75/351701175.db2.gz PHULTZBLPJTUJO-JOYOIKCWSA-N 0 0 280.299 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC=CCC3)ccc2c1 ZINC000611510077 351701617 /nfs/dbraw/zinc/70/16/17/351701617.db2.gz GTCKDLWXBPJHDD-UHFFFAOYSA-N 0 0 255.277 2.909 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H](C)[C@H](F)C2)cc1[N+](=O)[O-] ZINC000611507249 351701936 /nfs/dbraw/zinc/70/19/36/351701936.db2.gz ZIUGTWXHEIUXTB-BXKDBHETSA-N 0 0 280.299 2.723 20 5 CFBDRN C[C@H](NC(=O)N1CC=CCC1)c1ccccc1[N+](=O)[O-] ZINC000611513845 351702644 /nfs/dbraw/zinc/70/26/44/351702644.db2.gz SESIZYLTYJQIJQ-NSHDSACASA-N 0 0 275.308 2.627 20 5 CFBDRN COc1cc(CN2CC3(C2)CC(F)(F)C3)ccc1[N+](=O)[O-] ZINC000611516914 351702807 /nfs/dbraw/zinc/70/28/07/351702807.db2.gz BNRLQSXJOQKSCQ-UHFFFAOYSA-N 0 0 298.289 2.835 20 5 CFBDRN Cc1ccc(NC(=O)N2CC=CCC2)cc1[N+](=O)[O-] ZINC000611518151 351703493 /nfs/dbraw/zinc/70/34/93/351703493.db2.gz MEWQTPJGURBQEJ-UHFFFAOYSA-N 0 0 261.281 2.697 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(Cl)o2)c1[N+](=O)[O-] ZINC000611280637 351663725 /nfs/dbraw/zinc/66/37/25/351663725.db2.gz DVIJVMOJGPZVDD-UHFFFAOYSA-N 0 0 284.703 2.749 20 5 CFBDRN CC(C)(CCCO)CNc1ccc([N+](=O)[O-])s1 ZINC000611307017 351666995 /nfs/dbraw/zinc/66/69/95/351666995.db2.gz SMFCNRJPRYKBOK-UHFFFAOYSA-N 0 0 258.343 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](C[C@H]3CCOC3)C2)cn1 ZINC000611338914 351670851 /nfs/dbraw/zinc/67/08/51/351670851.db2.gz XGCNTSLSJDIFNU-QWHCGFSZSA-N 0 0 291.351 2.633 20 5 CFBDRN COCC1(C)CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000611338942 351671006 /nfs/dbraw/zinc/67/10/06/351671006.db2.gz ADGGTFSFZBQWAL-UHFFFAOYSA-N 0 0 299.758 2.896 20 5 CFBDRN Cc1nnc(CN(C)[C@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC000611346000 351672000 /nfs/dbraw/zinc/67/20/00/351672000.db2.gz DXRANNPXOYNDKW-SECBINFHSA-N 0 0 292.364 2.948 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])s1)[C@H]1CCCOC1 ZINC000611540288 351706116 /nfs/dbraw/zinc/70/61/16/351706116.db2.gz ACJJDQARZOUCRH-BDAKNGLRSA-N 0 0 256.327 2.883 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@H](c2cc[nH]n2)C1 ZINC000611370440 351675623 /nfs/dbraw/zinc/67/56/23/351675623.db2.gz RJDXKBAMOBVTMR-VIFPVBQESA-N 0 0 278.337 2.763 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611617548 351716089 /nfs/dbraw/zinc/71/60/89/351716089.db2.gz KGAQEBIGXOZPOR-VXGBXAGGSA-N 0 0 279.340 2.834 20 5 CFBDRN Cn1c(Sc2sccc2[N+](=O)[O-])nnc1C(F)F ZINC000611932112 351751573 /nfs/dbraw/zinc/75/15/73/351751573.db2.gz NLXFJIASQZGVKW-UHFFFAOYSA-N 0 0 292.292 2.874 20 5 CFBDRN C[C@H]1CCCCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611700292 351725699 /nfs/dbraw/zinc/72/56/99/351725699.db2.gz OIXBLTVJSWVTJD-JTQLQIEISA-N 0 0 272.308 2.917 20 5 CFBDRN CO[C@@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000611931209 351751793 /nfs/dbraw/zinc/75/17/93/351751793.db2.gz JUSLFRNBGRXPER-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3C[C@H]4CCC[C@@H]4C3)c2c1 ZINC000611708442 351726941 /nfs/dbraw/zinc/72/69/41/351726941.db2.gz OHHJSCURGXHFJT-GHMZBOCLSA-N 0 0 284.319 2.774 20 5 CFBDRN Cc1cnc(N2CC[C@H](Cc3ccncc3)C2)c([N+](=O)[O-])c1 ZINC000611763694 351737654 /nfs/dbraw/zinc/73/76/54/351737654.db2.gz AAVHLDMPBYTXGM-CQSZACIVSA-N 0 0 298.346 2.762 20 5 CFBDRN COC[C@@H]1CCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000611784228 351740275 /nfs/dbraw/zinc/74/02/75/351740275.db2.gz BAOHTTUMIJFDLM-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@H](OC(F)F)C1 ZINC000611584221 351711980 /nfs/dbraw/zinc/71/19/80/351711980.db2.gz XYORACSLIYKYKU-SNVBAGLBSA-N 0 0 287.266 2.506 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2(O)CCCCC2)n1 ZINC000611615921 351714296 /nfs/dbraw/zinc/71/42/96/351714296.db2.gz HQRCVLDOSDSSGT-UHFFFAOYSA-N 0 0 279.340 2.714 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@]2(C)CCCC[C@H]2O)n1 ZINC000611616994 351715572 /nfs/dbraw/zinc/71/55/72/351715572.db2.gz UEIFHGZQOFHBHK-IUODEOHRSA-N 0 0 293.367 2.960 20 5 CFBDRN C[C@@H]1C[C@H](CCNc2cccnc2[N+](=O)[O-])CCO1 ZINC000612103669 351775230 /nfs/dbraw/zinc/77/52/30/351775230.db2.gz FZEJWBMURYIWHU-GHMZBOCLSA-N 0 0 265.313 2.607 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC([C@@H]2CCOC2)CC1 ZINC000612099229 351774329 /nfs/dbraw/zinc/77/43/29/351774329.db2.gz VDTNJIPVKBXMAB-LLVKDONJSA-N 0 0 282.365 2.909 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@@H]1O ZINC000612449317 351822505 /nfs/dbraw/zinc/82/25/05/351822505.db2.gz SSSZYGPSYTZQSR-KOLCDFICSA-N 0 0 284.381 2.890 20 5 CFBDRN C[C@H]1OCC[C@@H]1Sc1ncnc2cccc([N+](=O)[O-])c21 ZINC000612451741 351822893 /nfs/dbraw/zinc/82/28/93/351822893.db2.gz FBICCYUTBZIISW-KCJUWKMLSA-N 0 0 291.332 2.808 20 5 CFBDRN CC(C)C1CN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000612451766 351823083 /nfs/dbraw/zinc/82/30/83/351823083.db2.gz IYQKVEBASLHAMU-UHFFFAOYSA-N 0 0 272.308 2.630 20 5 CFBDRN C[C@H]1CCN(c2ncnc3cccc([N+](=O)[O-])c32)C[C@H]1F ZINC000612449936 351823097 /nfs/dbraw/zinc/82/30/97/351823097.db2.gz OHLDOUIUCBTWQM-VHSXEESVSA-N 0 0 290.298 2.722 20 5 CFBDRN COC1CCC(CCNc2cccnc2[N+](=O)[O-])CC1 ZINC000612455711 351823205 /nfs/dbraw/zinc/82/32/05/351823205.db2.gz CCAWUEMOGQDQSB-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@@H](CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000612462463 351823882 /nfs/dbraw/zinc/82/38/82/351823882.db2.gz NLRZAOJLEJPJFZ-UWVGGRQHSA-N 0 0 294.326 3.000 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCSc1cccs1 ZINC000121899742 187003793 /nfs/dbraw/zinc/00/37/93/187003793.db2.gz PMUUGAFYOSUNLS-UHFFFAOYSA-N 0 0 282.346 2.610 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccncc2F)c1 ZINC000123043542 187068316 /nfs/dbraw/zinc/06/83/16/187068316.db2.gz MWSUZDJBMZSCLO-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCc1ccccc1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000122360083 187028716 /nfs/dbraw/zinc/02/87/16/187028716.db2.gz LCNZLNZOCVAYSZ-UHFFFAOYSA-N 0 0 274.276 2.680 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000122384792 187029889 /nfs/dbraw/zinc/02/98/89/187029889.db2.gz COHBQJFIJMGHJL-SNVBAGLBSA-N 0 0 280.299 2.677 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1cccc(F)c1F ZINC000122415001 187031388 /nfs/dbraw/zinc/03/13/88/187031388.db2.gz XEFFJHLLEVERMN-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CCc1noc(C)c1COc1ccc([N+](=O)[O-])cc1C=O ZINC000122474805 187033884 /nfs/dbraw/zinc/03/38/84/187033884.db2.gz DJNDZLCNDATMQH-UHFFFAOYSA-N 0 0 290.275 2.845 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSCc1ccon1 ZINC000122861420 187055883 /nfs/dbraw/zinc/05/58/83/187055883.db2.gz WYKJQGVDILOPAZ-UHFFFAOYSA-N 0 0 280.305 2.895 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000291760064 198082801 /nfs/dbraw/zinc/08/28/01/198082801.db2.gz AXZXQIRBAOMKAZ-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN CN(C[C@H]1CCCOC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000122990333 187064384 /nfs/dbraw/zinc/06/43/84/187064384.db2.gz FBYQSCIMCYVNFT-SECBINFHSA-N 0 0 285.731 2.506 20 5 CFBDRN Nc1ccc(-c2noc([C@H]3CC34CCC4)n2)cc1[N+](=O)[O-] ZINC000612855551 351870138 /nfs/dbraw/zinc/87/01/38/351870138.db2.gz AABSOUJJPOOBBT-SECBINFHSA-N 0 0 286.291 2.885 20 5 CFBDRN COCC(C)(C)CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000291914262 198104242 /nfs/dbraw/zinc/10/42/42/198104242.db2.gz RARPQGAPJRRUKK-UHFFFAOYSA-N 0 0 295.339 2.697 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1nccn1C ZINC000123655850 187102947 /nfs/dbraw/zinc/10/29/47/187102947.db2.gz LNKBSMYZRCFRNQ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CC[C@H](SC)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000123863720 187112150 /nfs/dbraw/zinc/11/21/50/187112150.db2.gz OARPARRQPQLUHQ-JTQLQIEISA-N 0 0 255.295 2.642 20 5 CFBDRN COc1cc(-c2nc(C3CCC3)no2)ccc1[N+](=O)[O-] ZINC000612897006 351875844 /nfs/dbraw/zinc/87/58/44/351875844.db2.gz FHUQUDXUSOFNKN-UHFFFAOYSA-N 0 0 275.264 2.921 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nc(C2CCC2)no1 ZINC000612897189 351876115 /nfs/dbraw/zinc/87/61/15/351876115.db2.gz HHWTYNXHROWQFS-UHFFFAOYSA-N 0 0 274.280 2.857 20 5 CFBDRN C[C@@H]1C[C@@H]1c1nc(CCNc2ccccc2[N+](=O)[O-])no1 ZINC000612928885 351878736 /nfs/dbraw/zinc/87/87/36/351878736.db2.gz XLWOZRLZIVGUMS-ZJUUUORDSA-N 0 0 288.307 2.756 20 5 CFBDRN CNc1c(C(=O)N2CCC3(CC3)CC2)cccc1[N+](=O)[O-] ZINC000613233421 351921068 /nfs/dbraw/zinc/92/10/68/351921068.db2.gz MKRWNSUTJSZUAD-UHFFFAOYSA-N 0 0 289.335 2.653 20 5 CFBDRN COc1cc(CS[C@@H](C)CCO)c([N+](=O)[O-])cc1F ZINC000613262822 351927129 /nfs/dbraw/zinc/92/71/29/351927129.db2.gz DOVAUMMVZDJAPD-QMMMGPOBSA-N 0 0 289.328 2.747 20 5 CFBDRN CC[C@H]1CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000131156524 187545374 /nfs/dbraw/zinc/54/53/74/187545374.db2.gz ATEGASQIPLJKJK-LBPRGKRZSA-N 0 0 276.336 2.786 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1ncc(Cl)s1 ZINC000613179868 351910486 /nfs/dbraw/zinc/91/04/86/351910486.db2.gz QFFFMIMPLAFLSV-UHFFFAOYSA-N 0 0 299.739 2.747 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCO[C@H](C3CC3)C2)n1 ZINC000613185325 351911577 /nfs/dbraw/zinc/91/15/77/351911577.db2.gz UOQUFASGMHEUTH-OLZOCXBDSA-N 0 0 291.351 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)N1CCC[C@H](C)C1 ZINC000131501142 187568730 /nfs/dbraw/zinc/56/87/30/187568730.db2.gz BPZKZPOPQXEHGM-NSHDSACASA-N 0 0 292.335 2.541 20 5 CFBDRN COCC[C@@H]1CCCCN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000613433894 351958981 /nfs/dbraw/zinc/95/89/81/351958981.db2.gz TUMFZHRAJCNJNK-ZDUSSCGKSA-N 0 0 293.367 2.941 20 5 CFBDRN C[C@H]1C[C@H](CNc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000271457980 191148867 /nfs/dbraw/zinc/14/88/67/191148867.db2.gz ZUMXQJRUPMNGKW-VHSXEESVSA-N 0 0 268.288 2.961 20 5 CFBDRN CC[C@H](SC)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000131921196 187595666 /nfs/dbraw/zinc/59/56/66/187595666.db2.gz CNOAPYGHDHUSOH-ZDUSSCGKSA-N 0 0 294.376 2.621 20 5 CFBDRN CCN(CC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000132190147 187613022 /nfs/dbraw/zinc/61/30/22/187613022.db2.gz JBLMPHKVMITUAV-UHFFFAOYSA-N 0 0 251.286 2.777 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1ccc(F)c(F)c1 ZINC000615714914 352235549 /nfs/dbraw/zinc/23/55/49/352235549.db2.gz LZUPGHIDERFQOO-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN CN(Cc1cccc(O)c1)c1ccc([N+](=O)[O-])s1 ZINC000615708409 352233848 /nfs/dbraw/zinc/23/38/48/352233848.db2.gz JOPNVNBKHAHKSF-UHFFFAOYSA-N 0 0 264.306 2.998 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000615949451 352265806 /nfs/dbraw/zinc/26/58/06/352265806.db2.gz TVWKBQRMKRYWBZ-UWVGGRQHSA-N 0 0 288.307 2.517 20 5 CFBDRN CC(=Cc1cccc([N+](=O)[O-])c1)CN1CCc2c[nH]nc2C1 ZINC000615835175 352247175 /nfs/dbraw/zinc/24/71/75/352247175.db2.gz WZHOOLLSQMLUTC-GHXNOFRVSA-N 0 0 298.346 2.780 20 5 CFBDRN CC[C@@H](C)CONC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000292015641 198135886 /nfs/dbraw/zinc/13/58/86/198135886.db2.gz AKCXSZQDGNNZML-LLVKDONJSA-N 0 0 280.324 2.621 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC=CCC1 ZINC000616061864 352280182 /nfs/dbraw/zinc/28/01/82/352280182.db2.gz FNZRPQXRJSYJIO-UHFFFAOYSA-N 0 0 255.277 2.909 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])s2)nc1 ZINC000616113712 352285785 /nfs/dbraw/zinc/28/57/85/352285785.db2.gz FPJHOIQMCPPPNM-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CCC[C@@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000616177027 352295684 /nfs/dbraw/zinc/29/56/84/352295684.db2.gz YFLUBKLMNIZXQL-SNVBAGLBSA-N 0 0 279.340 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCc3n[nH]cc3C2)c1 ZINC000271508057 191182183 /nfs/dbraw/zinc/18/21/83/191182183.db2.gz FBMBWXZFHOODPR-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@H](C(C)C)C2)n1 ZINC000271509417 191182952 /nfs/dbraw/zinc/18/29/52/191182952.db2.gz YLDPSNVLFSIABG-AAEUAGOBSA-N 0 0 279.340 2.914 20 5 CFBDRN CCc1nnc([C@@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)[nH]1 ZINC000271528063 191194208 /nfs/dbraw/zinc/19/42/08/191194208.db2.gz PGGRCLGDSKSXPH-NXEZZACHSA-N 0 0 289.339 2.687 20 5 CFBDRN C[C@H](O)[C@H](C)Oc1c(Br)cccc1[N+](=O)[O-] ZINC000133616350 187696422 /nfs/dbraw/zinc/69/64/22/187696422.db2.gz AFYBYZJRQTXCBK-BQBZGAKWSA-N 0 0 290.113 2.505 20 5 CFBDRN O=C(NCC1CCCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134040777 187722993 /nfs/dbraw/zinc/72/29/93/187722993.db2.gz DYOCEVSJZLNVSM-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000292070659 198151853 /nfs/dbraw/zinc/15/18/53/198151853.db2.gz IJYKRTOEAPRSHL-UHFFFAOYSA-N 0 0 279.340 2.685 20 5 CFBDRN C[C@@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])CCCO1 ZINC000292096743 198161105 /nfs/dbraw/zinc/16/11/05/198161105.db2.gz YZLWLOCKSTXNJA-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000292113716 198168352 /nfs/dbraw/zinc/16/83/52/198168352.db2.gz NTADVZFFNCHRGS-SECBINFHSA-N 0 0 266.297 2.611 20 5 CFBDRN CCc1cc(C)nc(Oc2ccc([N+](=O)[O-])c(CO)c2)n1 ZINC000617485208 352572383 /nfs/dbraw/zinc/57/23/83/352572383.db2.gz VXCHWJAFLQNZJT-UHFFFAOYSA-N 0 0 289.291 2.540 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NC3CC(F)(F)C3)c21 ZINC000617488038 352572524 /nfs/dbraw/zinc/57/25/24/352572524.db2.gz SMYDWXOWQSQHCC-UHFFFAOYSA-N 0 0 280.234 2.748 20 5 CFBDRN CC[C@H](OC(=O)c1nn(C)cc1[N+](=O)[O-])c1ccccc1 ZINC000271588049 191228677 /nfs/dbraw/zinc/22/86/77/191228677.db2.gz WHBFTAHSNUMKIS-LBPRGKRZSA-N 0 0 289.291 2.636 20 5 CFBDRN CCOc1cccc(NC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000134903034 187779876 /nfs/dbraw/zinc/77/98/76/187779876.db2.gz GEHJUGJKYADWEO-SNVBAGLBSA-N 0 0 266.297 2.584 20 5 CFBDRN CCC(=O)Nc1cc(Br)cc([N+](=O)[O-])c1 ZINC000201844276 539919810 /nfs/dbraw/zinc/91/98/10/539919810.db2.gz CAIHKXXFYIAMEL-UHFFFAOYSA-N 0 0 273.086 2.706 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCOC1 ZINC000135251620 187811937 /nfs/dbraw/zinc/81/19/37/187811937.db2.gz BPXTZQCLMOYFDD-DTWKUNHWSA-N 0 0 254.261 2.571 20 5 CFBDRN CC[C@@]1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2C)CCO1 ZINC000135321684 187818976 /nfs/dbraw/zinc/81/89/76/187818976.db2.gz BRFHMGQKTMSVKW-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cc(C(=O)NOC2CCCC2)ccc1[N+](=O)[O-] ZINC000135129344 187798153 /nfs/dbraw/zinc/79/81/53/187798153.db2.gz XOPBPOWMALEGBS-UHFFFAOYSA-N 0 0 264.281 2.507 20 5 CFBDRN CC[C@@H](C)NC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271622642 191245938 /nfs/dbraw/zinc/24/59/38/191245938.db2.gz NATKNJCACHDLOP-ZJUUUORDSA-N 0 0 265.313 2.754 20 5 CFBDRN C[C@@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H]1F ZINC000618304590 352751546 /nfs/dbraw/zinc/75/15/46/352751546.db2.gz YRMQQYYYZAOHAN-MWLCHTKSSA-N 0 0 292.314 2.651 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCNC(=O)OC(C)(C)C ZINC000135565751 187840180 /nfs/dbraw/zinc/84/01/80/187840180.db2.gz UBLDDPKJIPJVKM-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2nccs2)cc1[N+](=O)[O-] ZINC000618382126 352763338 /nfs/dbraw/zinc/76/33/38/352763338.db2.gz HJLGJUIUDFNMBK-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN COCCC(C)(C)CNc1ncc([N+](=O)[O-])cc1C ZINC000230840342 539932085 /nfs/dbraw/zinc/93/20/85/539932085.db2.gz HQIFNTXSLPSSEF-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN Cc1nc(CNC(=O)c2c(C)cccc2[N+](=O)[O-])cs1 ZINC000618445192 352778905 /nfs/dbraw/zinc/77/89/05/352778905.db2.gz BYDPGOUCZFLKNE-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCc1noc([C@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271635067 191250895 /nfs/dbraw/zinc/25/08/95/191250895.db2.gz QAESOWHSLDJEAF-ZJUUUORDSA-N 0 0 290.323 2.952 20 5 CFBDRN CCc1noc([C@@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271635062 191251960 /nfs/dbraw/zinc/25/19/60/191251960.db2.gz QAESOWHSLDJEAF-NXEZZACHSA-N 0 0 290.323 2.952 20 5 CFBDRN Cc1ccoc1CN(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000292155542 198183910 /nfs/dbraw/zinc/18/39/10/198183910.db2.gz IUMOTNLNGHTMTD-UHFFFAOYSA-N 0 0 288.303 2.697 20 5 CFBDRN O=C(c1nccs1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000618682807 352858087 /nfs/dbraw/zinc/85/80/87/352858087.db2.gz PFVWOMLZFYKDAT-UHFFFAOYSA-N 0 0 289.316 2.644 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@@H](Oc2ccncc2)C1 ZINC000618980263 352925998 /nfs/dbraw/zinc/92/59/98/352925998.db2.gz MPBBMYYFXDGTLU-LLVKDONJSA-N 0 0 291.332 2.709 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000619285291 352989623 /nfs/dbraw/zinc/98/96/23/352989623.db2.gz XNGCZBSHUOKEQJ-RKDXNWHRSA-N 0 0 261.281 2.719 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H](C)C1CC1 ZINC000619281282 352989698 /nfs/dbraw/zinc/98/96/98/352989698.db2.gz YACYIMKKAXXXNT-SNVBAGLBSA-N 0 0 292.335 2.769 20 5 CFBDRN CC1(C)CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000619146806 352965786 /nfs/dbraw/zinc/96/57/86/352965786.db2.gz IFSZAIPAZJYBDV-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)(C)C1 ZINC000619147679 352966537 /nfs/dbraw/zinc/96/65/37/352966537.db2.gz OIEOQOKSNUAMNE-JTQLQIEISA-N 0 0 294.376 2.944 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)(F)C1 ZINC000619419401 353019805 /nfs/dbraw/zinc/01/98/05/353019805.db2.gz MFANVJBCFALJIC-SECBINFHSA-N 0 0 298.339 2.646 20 5 CFBDRN O=C(CCC(F)F)N1CCc2c1cccc2[N+](=O)[O-] ZINC000619437204 353023557 /nfs/dbraw/zinc/02/35/57/353023557.db2.gz YHUWZKGGRFKNSE-UHFFFAOYSA-N 0 0 270.235 2.529 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000619487916 353029075 /nfs/dbraw/zinc/02/90/75/353029075.db2.gz FATHNRSFKKPIOL-VXGBXAGGSA-N 0 0 296.371 2.735 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])s2)C[C@H](C2CC2)O1 ZINC000619500015 353029584 /nfs/dbraw/zinc/02/95/84/353029584.db2.gz XFWXZQLKPYORBF-WCBMZHEXSA-N 0 0 268.338 2.660 20 5 CFBDRN C[C@H]1CN(c2ccsc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000619499926 353029601 /nfs/dbraw/zinc/02/96/01/353029601.db2.gz IHVWLJWAVBZPRM-KWQFWETISA-N 0 0 268.338 2.660 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CS[C@H](C)CCO ZINC000619525665 353032206 /nfs/dbraw/zinc/03/22/06/353032206.db2.gz BDEHYXGNPSILJC-SECBINFHSA-N 0 0 271.338 2.608 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2cc(F)ccc21 ZINC000619747629 353062829 /nfs/dbraw/zinc/06/28/29/353062829.db2.gz WLGNKFABIJGKQL-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN CC[C@H]1CN(c2ccsc2[N+](=O)[O-])CCCO1 ZINC000619589988 353038430 /nfs/dbraw/zinc/03/84/30/353038430.db2.gz ICFINRNNUHJMER-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])s2)CCCO1 ZINC000619589903 353038613 /nfs/dbraw/zinc/03/86/13/353038613.db2.gz MZLGYNAZIYTUFO-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]1C ZINC000619607417 353039664 /nfs/dbraw/zinc/03/96/64/353039664.db2.gz IEMDCYNLPIYICU-SCZZXKLOSA-N 0 0 264.281 2.588 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1CCC[C@H]1C ZINC000619608875 353039693 /nfs/dbraw/zinc/03/96/93/353039693.db2.gz YUEFJIPYZWUJIT-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN CCCN(C(=O)c1cocn1)c1cccc([N+](=O)[O-])c1 ZINC000619663090 353046327 /nfs/dbraw/zinc/04/63/27/353046327.db2.gz HXIVGTNKCKXIOC-UHFFFAOYSA-N 0 0 275.264 2.640 20 5 CFBDRN CCCCCN(CCO)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000292263007 198223599 /nfs/dbraw/zinc/22/35/99/198223599.db2.gz TUAJZOQOTGXDDY-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@H](C3CCC3)C2)cs1 ZINC000292272437 198226074 /nfs/dbraw/zinc/22/60/74/198226074.db2.gz ZRGUCDVASCJEFB-CYBMUJFWSA-N 0 0 296.392 2.905 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(c2cnccn2)C2CC2)c1 ZINC000152469751 188021343 /nfs/dbraw/zinc/02/13/43/188021343.db2.gz DMSUBGPIBBFBDE-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@@H]3CCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000152250123 188004462 /nfs/dbraw/zinc/00/44/62/188004462.db2.gz CDLUSCDSZWXVLK-TXEJJXNPSA-N 0 0 274.320 2.775 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCCOC(C)C ZINC000152904083 188051977 /nfs/dbraw/zinc/05/19/77/188051977.db2.gz ZBIIBRAIXSSCHT-UHFFFAOYSA-N 0 0 282.340 2.968 20 5 CFBDRN CC(C)=CC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000153221057 188071241 /nfs/dbraw/zinc/07/12/41/188071241.db2.gz SZUZJLGJAYYYQR-UHFFFAOYSA-N 0 0 276.336 3.000 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCOC(C)(C)C2)cc1[N+](=O)[O-] ZINC000153428659 188081631 /nfs/dbraw/zinc/08/16/31/188081631.db2.gz LGTYNBKVHXEBEY-LBPRGKRZSA-N 0 0 292.335 2.591 20 5 CFBDRN CCCc1noc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271668441 191267766 /nfs/dbraw/zinc/26/77/66/191267766.db2.gz AKKNJSLOXVUMOJ-JTQLQIEISA-N 0 0 290.323 2.781 20 5 CFBDRN Cc1cc(NC(=O)NC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000153613242 188093633 /nfs/dbraw/zinc/09/36/33/188093633.db2.gz VCEGLXACZMNEBP-GFCCVEGCSA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1nccc(N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n1 ZINC000153639712 188094903 /nfs/dbraw/zinc/09/49/03/188094903.db2.gz SEWAKXKZYQGNME-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@](CO)(c3ccccc3)C2)cc1 ZINC000292370094 198261571 /nfs/dbraw/zinc/26/15/71/198261571.db2.gz PKXHMZDVJKSGKK-KRWDZBQOSA-N 0 0 298.342 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCOC(C)(C)C1 ZINC000153918226 188112067 /nfs/dbraw/zinc/11/20/67/188112067.db2.gz IBEAWJRYCWOOBU-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN COC1(C)CCN(C(=O)c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000271669551 191268928 /nfs/dbraw/zinc/26/89/28/191268928.db2.gz AOMIXXIYIWYIGJ-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])cnc1Cl ZINC000295167602 199382321 /nfs/dbraw/zinc/38/23/21/199382321.db2.gz RGPFURLCSJZBFE-UHFFFAOYSA-N 0 0 285.731 2.817 20 5 CFBDRN CS[C@@H](C)CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000154672336 188156832 /nfs/dbraw/zinc/15/68/32/188156832.db2.gz KMYHMODFDBMJOL-QMMMGPOBSA-N 0 0 283.353 2.776 20 5 CFBDRN CCc1nc(C)c(CNc2cc(C)c([N+](=O)[O-])cn2)o1 ZINC000292421614 198282582 /nfs/dbraw/zinc/28/25/82/198282582.db2.gz WOITWXSAVWDLDN-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN C[C@H](NC(=O)C1SCCS1)c1ccccc1[N+](=O)[O-] ZINC000271672479 191270923 /nfs/dbraw/zinc/27/09/23/191270923.db2.gz DLXBNZSHXMZINU-QMMMGPOBSA-N 0 0 298.389 2.578 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000155971038 188237768 /nfs/dbraw/zinc/23/77/68/188237768.db2.gz GQWBUXUDMSNMCU-ZYHUDNBSSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1c(CN2CCO[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000156155725 188246188 /nfs/dbraw/zinc/24/61/88/188246188.db2.gz HWRDDZKKQBQMAO-NWDGAFQWSA-N 0 0 264.325 2.512 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)C(C)(C)C)c1 ZINC000566268913 290365232 /nfs/dbraw/zinc/36/52/32/290365232.db2.gz OFIFFUPNKNTVAM-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H]3CC[C@@H]2O3)c2ccncc21 ZINC000156677962 188276428 /nfs/dbraw/zinc/27/64/28/188276428.db2.gz BTBBCZSPRZFBLT-PKPZWWHKSA-N 0 0 285.303 2.875 20 5 CFBDRN Cc1cc(NCc2nncn2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000292530937 198321536 /nfs/dbraw/zinc/32/15/36/198321536.db2.gz HQJQFVQOWWPUDX-UHFFFAOYSA-N 0 0 291.286 2.581 20 5 CFBDRN Cc1cc(N2CCC[C@](C)(O)CC2)c(F)cc1[N+](=O)[O-] ZINC000292540494 198325414 /nfs/dbraw/zinc/32/54/14/198325414.db2.gz IGKQEGDYGGLEIR-AWEZNQCLSA-N 0 0 282.315 2.784 20 5 CFBDRN CN(CC1CCCCC1)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157308785 188308728 /nfs/dbraw/zinc/30/87/28/188308728.db2.gz AETQSNNDQJGPKD-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1cc(NC(C)(C)[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292568704 198335976 /nfs/dbraw/zinc/33/59/76/198335976.db2.gz KCSFKRAZSYGRJG-MRVPVSSYSA-N 0 0 256.277 2.614 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCC[C@H]2C1 ZINC000157639015 188329579 /nfs/dbraw/zinc/32/95/79/188329579.db2.gz YBSMUTZDLHGZGR-STQMWFEESA-N 0 0 289.335 2.536 20 5 CFBDRN CC[C@H]1CCN1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000292576877 198339647 /nfs/dbraw/zinc/33/96/47/198339647.db2.gz LREYVJVVLGIVGE-JTQLQIEISA-N 0 0 297.742 2.942 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000157814529 188340523 /nfs/dbraw/zinc/34/05/23/188340523.db2.gz QBNGEUKJACFSJB-LBPRGKRZSA-N 0 0 294.376 2.871 20 5 CFBDRN COC[C@H](Nc1cc(C)c([N+](=O)[O-])cc1F)[C@H]1CCCO1 ZINC000292632248 198357556 /nfs/dbraw/zinc/35/75/56/198357556.db2.gz OEGFPLGAZAXVOE-GXTWGEPZSA-N 0 0 298.314 2.648 20 5 CFBDRN COc1cc(C(=O)N2CCC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000158378292 188372345 /nfs/dbraw/zinc/37/23/45/188372345.db2.gz XKZNVYYZZXYCDP-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN CC[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])C(C)(C)O ZINC000292657230 198365140 /nfs/dbraw/zinc/36/51/40/198365140.db2.gz CDRCLJUICCKTHZ-GFCCVEGCSA-N 0 0 252.314 2.865 20 5 CFBDRN CN(C(=O)CC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000158401197 188373998 /nfs/dbraw/zinc/37/39/98/188373998.db2.gz MBNOYWPDOUHQAL-UHFFFAOYSA-N 0 0 262.187 2.510 20 5 CFBDRN CN(C(=O)[C@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000158428692 188374952 /nfs/dbraw/zinc/37/49/52/188374952.db2.gz CRUGNOSUWYXTFA-NSHDSACASA-N 0 0 260.293 2.914 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1C[C@H]1c1ccccc1 ZINC000292688758 198376688 /nfs/dbraw/zinc/37/66/88/198376688.db2.gz FGRSMGNSYWQXKH-RYUDHWBXSA-N 0 0 272.308 2.544 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1F ZINC000292704991 198383248 /nfs/dbraw/zinc/38/32/48/198383248.db2.gz KGFYBAOJAYXMKT-SNVBAGLBSA-N 0 0 297.330 2.698 20 5 CFBDRN CN(C(=O)C[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000158630316 188385888 /nfs/dbraw/zinc/38/58/88/188385888.db2.gz VEVMCUCCSLSRDQ-ZDUSSCGKSA-N 0 0 278.308 2.517 20 5 CFBDRN O=C(COc1cccc(Cl)c1[N+](=O)[O-])CC1CC1 ZINC000292730934 198392288 /nfs/dbraw/zinc/39/22/88/198392288.db2.gz TWOXPSLWIUCUPC-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN CC1(C(=O)COc2cccc(Cl)c2[N+](=O)[O-])CC1 ZINC000292737644 198394429 /nfs/dbraw/zinc/39/44/29/198394429.db2.gz UMIZKWPGTKOGII-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN CO[C@@H](CNc1ccc2cc([N+](=O)[O-])ccc2n1)C1CC1 ZINC000292720010 198387794 /nfs/dbraw/zinc/38/77/94/198387794.db2.gz HIZWNDRQKVTUKA-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN COC1(CCNc2ccc(N)cc2[N+](=O)[O-])CCC1 ZINC000292896650 198451779 /nfs/dbraw/zinc/45/17/79/198451779.db2.gz WKRNRMBLMAFEOO-UHFFFAOYSA-N 0 0 265.313 2.548 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000160330881 188466552 /nfs/dbraw/zinc/46/65/52/188466552.db2.gz ZVNRUVHCUSDVCF-OCCSQVGLSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2c(F)cccc2F)c1[N+](=O)[O-] ZINC000292926219 198463934 /nfs/dbraw/zinc/46/39/34/198463934.db2.gz YVKDTUXMZAYNEK-UHFFFAOYSA-N 0 0 296.277 2.551 20 5 CFBDRN C[C@H](C(=O)NCc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000293093230 198523047 /nfs/dbraw/zinc/52/30/47/198523047.db2.gz NIHFIEQBYFGNFO-MRVPVSSYSA-N 0 0 272.251 2.502 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000161542881 188533502 /nfs/dbraw/zinc/53/35/02/188533502.db2.gz QNKLXYUHCGCHFY-VXNVDRBHSA-N 0 0 268.700 2.634 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000162605138 188580344 /nfs/dbraw/zinc/58/03/44/188580344.db2.gz HVRDZOBONONHPZ-ZWNOBZJWSA-N 0 0 277.324 2.607 20 5 CFBDRN C[C@H]1OCC[C@H]1SCCOc1cccc([N+](=O)[O-])c1 ZINC000163516605 188609809 /nfs/dbraw/zinc/60/98/09/188609809.db2.gz QONRVFXNSSYYRB-ZWNOBZJWSA-N 0 0 283.349 2.884 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC1(C)C ZINC000164285922 188622630 /nfs/dbraw/zinc/62/26/30/188622630.db2.gz YQWDNYQHNWRFDN-SECBINFHSA-N 0 0 264.281 2.588 20 5 CFBDRN CC[C@H](C)[C@@H](C)Nc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000166709932 188639341 /nfs/dbraw/zinc/63/93/41/188639341.db2.gz XMDZUCXROZCUAG-DTWKUNHWSA-N 0 0 281.312 2.623 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1([C@H](O)C(C)C)CC1 ZINC000271776459 191318804 /nfs/dbraw/zinc/31/88/04/191318804.db2.gz HDZIVIAAEBBKEZ-GFCCVEGCSA-N 0 0 279.340 2.507 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H]2CCC[C@H](CC)C2)c1[N+](=O)[O-] ZINC000172717987 188822910 /nfs/dbraw/zinc/82/29/10/188822910.db2.gz GBOFLOKUFPBIOQ-UWVGGRQHSA-N 0 0 294.355 2.579 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](C)C2)c1 ZINC000172917706 188834345 /nfs/dbraw/zinc/83/43/45/188834345.db2.gz WIUWNOKUEMPNPA-NSHDSACASA-N 0 0 262.309 2.775 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271812742 191342929 /nfs/dbraw/zinc/34/29/29/191342929.db2.gz OPIMKMPKELGSJN-NEPJUHHUSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@H](CCO)C1(CNc2ncccc2[N+](=O)[O-])CCC1 ZINC000271888995 191391786 /nfs/dbraw/zinc/39/17/86/191391786.db2.gz WVDZHBQUXGPBSC-LLVKDONJSA-N 0 0 279.340 2.591 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000173851223 188871303 /nfs/dbraw/zinc/87/13/03/188871303.db2.gz WEMWCHQXIMVIGG-JTQLQIEISA-N 0 0 280.324 2.528 20 5 CFBDRN COC[C@@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000173884195 188872419 /nfs/dbraw/zinc/87/24/19/188872419.db2.gz LSZANPJUINZXHY-SNVBAGLBSA-N 0 0 271.338 2.742 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCCO1 ZINC000271967201 191436501 /nfs/dbraw/zinc/43/65/01/191436501.db2.gz HINDSAJSWILGPO-ZWNOBZJWSA-N 0 0 293.323 2.674 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000272000976 191457208 /nfs/dbraw/zinc/45/72/08/191457208.db2.gz RUEZNJDIGFDZQL-KWQFWETISA-N 0 0 294.282 2.678 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@H]2CCCCO2)ncc1[N+](=O)[O-] ZINC000272023001 191470072 /nfs/dbraw/zinc/47/00/72/191470072.db2.gz PUCWQVWRFZHBOF-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272150220 191542873 /nfs/dbraw/zinc/54/28/73/191542873.db2.gz NPWDQDYKAGUHBZ-RISCZKNCSA-N 0 0 292.335 2.591 20 5 CFBDRN COCCC[C@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000272223803 191591466 /nfs/dbraw/zinc/59/14/66/191591466.db2.gz NXQADZMFVSVEKX-NWDGAFQWSA-N 0 0 294.351 2.835 20 5 CFBDRN COc1ccc(NC(=O)/C=C(\C)C2CC2)cc1[N+](=O)[O-] ZINC000272859604 191948795 /nfs/dbraw/zinc/94/87/95/191948795.db2.gz XBYGSIHYJPLAPS-VQHVLOKHSA-N 0 0 276.292 2.898 20 5 CFBDRN COC(=O)CN(Cc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000125435029 291081670 /nfs/dbraw/zinc/08/16/70/291081670.db2.gz JJIICVCRDPRBBW-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCCS1 ZINC000273007250 192015309 /nfs/dbraw/zinc/01/53/09/192015309.db2.gz WMEJIFPQSKRJFO-LBPRGKRZSA-N 0 0 296.348 2.828 20 5 CFBDRN CCS[C@H]1CCCC[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000273570855 192208655 /nfs/dbraw/zinc/20/86/55/192208655.db2.gz AEPDUTWDIIVEHQ-QWRGUYRKSA-N 0 0 282.369 2.861 20 5 CFBDRN CCS[C@H]1CCC[C@@H](Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000273599181 192225618 /nfs/dbraw/zinc/22/56/18/192225618.db2.gz IZOPRVTWUFBOIU-KOLCDFICSA-N 0 0 282.369 2.861 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2C2CCCCC2)nc1 ZINC000273622653 192234438 /nfs/dbraw/zinc/23/44/38/192234438.db2.gz OXTLBAXATYOHFY-ZDUSSCGKSA-N 0 0 276.340 2.934 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2ccccc2[N+](=O)[O-])s1 ZINC000273617860 192233527 /nfs/dbraw/zinc/23/35/27/192233527.db2.gz PWVZTDCAQBNSAT-MRVPVSSYSA-N 0 0 278.337 2.606 20 5 CFBDRN CCCCOCc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273653818 192247163 /nfs/dbraw/zinc/24/71/63/192247163.db2.gz HARYDDCEWIEZTG-UHFFFAOYSA-N 0 0 292.295 2.544 20 5 CFBDRN CCC(=O)N1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000268577044 535310560 /nfs/dbraw/zinc/31/05/60/535310560.db2.gz RIYKDPSTGTXOKV-SECBINFHSA-N 0 0 297.742 2.671 20 5 CFBDRN CC[C@H](C)OCc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273762426 192297030 /nfs/dbraw/zinc/29/70/30/192297030.db2.gz WOINRDVQOARLMU-QMMMGPOBSA-N 0 0 292.295 2.542 20 5 CFBDRN C[C@H]1CC[C@H](COc2ccc([N+](=O)[O-])c(F)c2F)O1 ZINC000296020212 199754463 /nfs/dbraw/zinc/75/44/63/199754463.db2.gz RDNIVOHBSHRSJF-JGVFFNPUSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000293994206 198861997 /nfs/dbraw/zinc/86/19/97/198861997.db2.gz JBEXXJZYODWILN-VIFPVBQESA-N 0 0 286.278 2.845 20 5 CFBDRN Cc1nc(NCC(C)(C)C(F)(F)F)ncc1[N+](=O)[O-] ZINC000568474105 290554985 /nfs/dbraw/zinc/55/49/85/290554985.db2.gz ZMAUFONGRVCTIY-UHFFFAOYSA-N 0 0 278.234 2.694 20 5 CFBDRN C[C@H]1CN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)[C@H]1C ZINC000294033099 198879309 /nfs/dbraw/zinc/87/93/09/198879309.db2.gz JTMHDNGLDCBNAC-IUCAKERBSA-N 0 0 273.292 2.557 20 5 CFBDRN CCC1(CC)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000294129011 198919828 /nfs/dbraw/zinc/91/98/28/198919828.db2.gz KNUWRUNVIJAFDY-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CCC(CC)NC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000294343687 199006010 /nfs/dbraw/zinc/00/60/10/199006010.db2.gz LNUSVDORCGBJEC-UHFFFAOYSA-N 0 0 292.335 2.863 20 5 CFBDRN CN(Cc1ccccc1F)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294364754 199013736 /nfs/dbraw/zinc/01/37/36/199013736.db2.gz MVEVNVPOBFWJCL-UHFFFAOYSA-N 0 0 282.315 2.620 20 5 CFBDRN CCOc1ccccc1CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294402958 199026777 /nfs/dbraw/zinc/02/67/77/199026777.db2.gz DVFYMIWAQCLCJO-UHFFFAOYSA-N 0 0 294.351 2.537 20 5 CFBDRN CS[C@@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000294403783 199027777 /nfs/dbraw/zinc/02/77/77/199027777.db2.gz LMIIZTWJNXUIJY-MRVPVSSYSA-N 0 0 256.302 2.676 20 5 CFBDRN CC(C)(CCC(=O)NCCc1cccc(Cl)c1)[N+](=O)[O-] ZINC000294367326 199014564 /nfs/dbraw/zinc/01/45/64/199014564.db2.gz MZNAPOIULFRFCJ-UHFFFAOYSA-N 0 0 298.770 2.834 20 5 CFBDRN CC(C)(NC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000294377538 199016597 /nfs/dbraw/zinc/01/65/97/199016597.db2.gz HUBNNMFCOPDJEZ-UHFFFAOYSA-N 0 0 276.214 2.666 20 5 CFBDRN CCN(C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000294422117 199033542 /nfs/dbraw/zinc/03/35/42/199033542.db2.gz KNHJVPWTAXWQBO-UHFFFAOYSA-N 0 0 292.335 2.815 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CC3(F)F)n2)cc1 ZINC000296097886 199782394 /nfs/dbraw/zinc/78/23/94/199782394.db2.gz ZRSKAHZODNBBTC-MRVPVSSYSA-N 0 0 267.191 2.767 20 5 CFBDRN CC(C)CC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000434483500 535383820 /nfs/dbraw/zinc/38/38/20/535383820.db2.gz KKMZMADFLCDWEV-UHFFFAOYSA-N 0 0 267.329 2.725 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCc2ccccc21)[N+](=O)[O-] ZINC000294585403 199096499 /nfs/dbraw/zinc/09/64/99/199096499.db2.gz YFQSOTSPRKOTGK-CYBMUJFWSA-N 0 0 276.336 2.626 20 5 CFBDRN CO[C@H](CNc1nc2sccn2c1[N+](=O)[O-])CC(C)C ZINC000418976011 236418302 /nfs/dbraw/zinc/41/83/02/236418302.db2.gz RRUZGQYLNYCAEX-VIFPVBQESA-N 0 0 298.368 2.777 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](CO)CC2CCCCC2)c(F)c1 ZINC000419021380 236427624 /nfs/dbraw/zinc/42/76/24/236427624.db2.gz YITBFKZMZOENRY-NSHDSACASA-N 0 0 297.330 2.872 20 5 CFBDRN Cc1nc(NCC2(c3ccccc3)CC2)ncc1[N+](=O)[O-] ZINC000294782516 199180879 /nfs/dbraw/zinc/18/08/79/199180879.db2.gz ABKJODYTPGEUSV-UHFFFAOYSA-N 0 0 284.319 2.837 20 5 CFBDRN CC(C)(CCC(=O)NCc1cc2ccccc2[nH]1)[N+](=O)[O-] ZINC000294831470 199203340 /nfs/dbraw/zinc/20/33/40/199203340.db2.gz XKQWATCEQPGDOB-UHFFFAOYSA-N 0 0 289.335 2.620 20 5 CFBDRN Cc1ccc(NCc2nnc(C(C)C)[nH]2)c([N+](=O)[O-])c1 ZINC000294864762 199221352 /nfs/dbraw/zinc/22/13/52/199221352.db2.gz COGSKHSSOJHHLQ-UHFFFAOYSA-N 0 0 275.312 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](F)[C@@H](F)C2)cc1OC(F)F ZINC000294867015 199222493 /nfs/dbraw/zinc/22/24/93/199222493.db2.gz ATJHZFJVSZGHLF-OCAPTIKFSA-N 0 0 294.204 2.692 20 5 CFBDRN CC[C@H](COCC(F)(F)F)Nc1ccc([N+](=O)[O-])cn1 ZINC000294896815 199236983 /nfs/dbraw/zinc/23/69/83/199236983.db2.gz GUCGHEWAGSSVKC-MRVPVSSYSA-N 0 0 293.245 2.759 20 5 CFBDRN CCn1ccnc1CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000294899349 199238477 /nfs/dbraw/zinc/23/84/77/199238477.db2.gz DQKYWOPKXNMJGU-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1ccc([N+](=O)[O-])c(N)c1F)OC ZINC000294900021 199238572 /nfs/dbraw/zinc/23/85/72/199238572.db2.gz HAGLPSPAJZXTIH-KCJUWKMLSA-N 0 0 285.319 2.789 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCc1nnc(C2CC2)[nH]1 ZINC000294903258 199240446 /nfs/dbraw/zinc/24/04/46/199240446.db2.gz HKPCIQDDORWZCR-UHFFFAOYSA-N 0 0 293.714 2.856 20 5 CFBDRN CC[C@H](C)[C@H](CNc1ccc(N)cc1[N+](=O)[O-])OC ZINC000294907522 199242133 /nfs/dbraw/zinc/24/21/33/199242133.db2.gz HWMALMFRASFAIH-ZANVPECISA-N 0 0 267.329 2.650 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1ccc(N)cc1[N+](=O)[O-])OC ZINC000294907510 199242360 /nfs/dbraw/zinc/24/23/60/199242360.db2.gz HWMALMFRASFAIH-NOZJJQNGSA-N 0 0 267.329 2.650 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1ccc(N)cc1[N+](=O)[O-])OC ZINC000294907518 199242857 /nfs/dbraw/zinc/24/28/57/199242857.db2.gz HWMALMFRASFAIH-TVQRCGJNSA-N 0 0 267.329 2.650 20 5 CFBDRN CCCN(CC)C(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000294927056 199253647 /nfs/dbraw/zinc/25/36/47/199253647.db2.gz UEDKYVUGMUOAIX-UHFFFAOYSA-N 0 0 297.330 2.672 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OC[C@H](O)C(F)(F)F ZINC000296400522 199880869 /nfs/dbraw/zinc/88/08/69/199880869.db2.gz XBQQOGKPTZNJDQ-ZETCQYMHSA-N 0 0 285.605 2.550 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000110568183 291172977 /nfs/dbraw/zinc/17/29/77/291172977.db2.gz YGWNXPAEZLBQOP-XPTSAGLGSA-N 0 0 286.287 2.851 20 5 CFBDRN CCCn1ncnc1CNc1cc([N+](=O)[O-])c(C)cc1F ZINC000296644166 199957305 /nfs/dbraw/zinc/95/73/05/199957305.db2.gz CXYXQFZLWRMESX-UHFFFAOYSA-N 0 0 293.302 2.656 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H]1C ZINC000421912887 237003550 /nfs/dbraw/zinc/00/35/50/237003550.db2.gz SASGPNAVMZYONB-QPUJVOFHSA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)o1 ZINC000296675431 199965510 /nfs/dbraw/zinc/96/55/10/199965510.db2.gz ZXLYECFGKGOPLL-SECBINFHSA-N 0 0 262.269 2.768 20 5 CFBDRN Cc1nc(S[C@@H](C)C(=O)OC(C)(C)C)ncc1[N+](=O)[O-] ZINC000296835415 200010690 /nfs/dbraw/zinc/01/06/90/200010690.db2.gz SFWVWKSRNLXCNF-QMMMGPOBSA-N 0 0 299.352 2.516 20 5 CFBDRN Cc1cc(F)c(NC(=O)N(C)CC2CC2)cc1[N+](=O)[O-] ZINC000296911913 200031980 /nfs/dbraw/zinc/03/19/80/200031980.db2.gz YOJJMXIFRXCVSM-UHFFFAOYSA-N 0 0 281.287 2.916 20 5 CFBDRN CCCCOC(=O)[C@H](C)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296870317 200021459 /nfs/dbraw/zinc/02/14/59/200021459.db2.gz ZNVYOQBFDLWDAE-RYUDHWBXSA-N 0 0 294.351 2.977 20 5 CFBDRN CCC[C@H](O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000296937660 200040923 /nfs/dbraw/zinc/04/09/23/200040923.db2.gz BLWWWIQXMRUVEV-LBPRGKRZSA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1nc(N(C)[C@@H]2CCc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000297020868 200062925 /nfs/dbraw/zinc/06/29/25/200062925.db2.gz GWUAKZNJLMPNBH-CQSZACIVSA-N 0 0 298.346 2.687 20 5 CFBDRN C[C@H](CCO)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297093976 200083974 /nfs/dbraw/zinc/08/39/74/200083974.db2.gz KRXKCSCCAZKHIW-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN COCCC1(C)CN(Cc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000297506683 200213854 /nfs/dbraw/zinc/21/38/54/200213854.db2.gz WSEZCVZLLZFJCI-UHFFFAOYSA-N 0 0 278.352 2.762 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000297558877 200227565 /nfs/dbraw/zinc/22/75/65/200227565.db2.gz KKYOMMZGXHPJKT-DCAQKATOSA-N 0 0 277.324 2.706 20 5 CFBDRN CC[C@H](CCO)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297610807 200241174 /nfs/dbraw/zinc/24/11/74/200241174.db2.gz VQPCIGXQDFUXBT-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CCOc1ccccc1N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000297618027 200244399 /nfs/dbraw/zinc/24/43/99/200244399.db2.gz WDKNEPZQLYLAEQ-UHFFFAOYSA-N 0 0 294.351 2.884 20 5 CFBDRN Cc1nc(N[C@@H]2CCO[C@@H](C(C)(C)C)C2)ncc1[N+](=O)[O-] ZINC000297576575 200232431 /nfs/dbraw/zinc/23/24/31/200232431.db2.gz SJHGAESPGHXRTE-ZYHUDNBSSA-N 0 0 294.355 2.699 20 5 CFBDRN CC(C)(CNC(=O)Nc1ccncc1[N+](=O)[O-])C1CCC1 ZINC000297644813 200251662 /nfs/dbraw/zinc/25/16/62/200251662.db2.gz DEZSHWWOFFZVEE-UHFFFAOYSA-N 0 0 292.339 2.938 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000297761807 200277443 /nfs/dbraw/zinc/27/74/43/200277443.db2.gz MGTJGZFJAILMID-LLVKDONJSA-N 0 0 284.287 2.796 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CC1(F)F ZINC000297943352 200307836 /nfs/dbraw/zinc/30/78/36/200307836.db2.gz VNAAIVAEHPALDB-ZCFIWIBFSA-N 0 0 276.626 2.842 20 5 CFBDRN COc1cc(C(=O)N(C)[C@H](C)C(C)C)c([N+](=O)[O-])cc1F ZINC000297965485 200310658 /nfs/dbraw/zinc/31/06/58/200310658.db2.gz SXBKVGZNCVOPMU-SECBINFHSA-N 0 0 298.314 2.859 20 5 CFBDRN CCCCc1noc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000298123910 200338653 /nfs/dbraw/zinc/33/86/53/200338653.db2.gz DKJODSLZZTUKPZ-UHFFFAOYSA-N 0 0 277.280 2.899 20 5 CFBDRN CC(C)N(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000298132669 200341259 /nfs/dbraw/zinc/34/12/59/200341259.db2.gz LWFHFRFFPCDFTI-UHFFFAOYSA-N 0 0 261.281 2.557 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H](C)n1cccn1 ZINC000298235492 200367002 /nfs/dbraw/zinc/36/70/02/200367002.db2.gz GODUEZQJMXNHSY-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2noc(C3CC3)n2)ccc1F ZINC000176900387 189013919 /nfs/dbraw/zinc/01/39/19/189013919.db2.gz ZDRKFPOTJDHAEP-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN CCS[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000176709447 189005451 /nfs/dbraw/zinc/00/54/51/189005451.db2.gz YVQRMGOHOQVABL-UWVGGRQHSA-N 0 0 298.364 2.982 20 5 CFBDRN CCN(C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000176737199 189006639 /nfs/dbraw/zinc/00/66/39/189006639.db2.gz FYKOOVPSOHCCCE-JTQLQIEISA-N 0 0 265.313 2.626 20 5 CFBDRN CC/C=C/CNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000298460673 200437450 /nfs/dbraw/zinc/43/74/50/200437450.db2.gz ODWKHOJHGIRZIO-SNAWJCMRSA-N 0 0 291.351 2.745 20 5 CFBDRN CCCC[C@@H](CCC)NC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000177296587 189042748 /nfs/dbraw/zinc/04/27/48/189042748.db2.gz RPANGVVEZMQZLB-GFCCVEGCSA-N 0 0 296.371 2.657 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000298593955 200478877 /nfs/dbraw/zinc/47/88/77/200478877.db2.gz JAEZREJHLKKCIE-PWSUYJOCSA-N 0 0 277.324 2.779 20 5 CFBDRN O=C1OCC[C@H]1CCOc1ccc([N+](=O)[O-])cc1Cl ZINC000177546608 189056584 /nfs/dbraw/zinc/05/65/84/189056584.db2.gz QVLSMIXNTJCTMT-MRVPVSSYSA-N 0 0 285.683 2.580 20 5 CFBDRN COC[C@H](NCc1ccc([N+](=O)[O-])cc1)c1ccc(C)o1 ZINC000177567695 189057632 /nfs/dbraw/zinc/05/76/32/189057632.db2.gz ZDMLTDLYHJJSSC-AWEZNQCLSA-N 0 0 290.319 2.974 20 5 CFBDRN CCOCCCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177846094 189073916 /nfs/dbraw/zinc/07/39/16/189073916.db2.gz YMSUEEMHCHCVMC-LBPRGKRZSA-N 0 0 294.351 2.897 20 5 CFBDRN CCO[C@@H](C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177861178 189075045 /nfs/dbraw/zinc/07/50/45/189075045.db2.gz RTPZEPHLGPLIGD-QWRGUYRKSA-N 0 0 280.324 2.505 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H](C(F)(F)F)C1 ZINC000298802636 200537360 /nfs/dbraw/zinc/53/73/60/200537360.db2.gz LQAAMFOFQWZNMB-SSDOTTSWSA-N 0 0 292.213 2.602 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@H]1Cc1ccccc1 ZINC000298837508 200544650 /nfs/dbraw/zinc/54/46/50/200544650.db2.gz DYCHVRXPIIEYKM-ZDUSSCGKSA-N 0 0 299.330 2.770 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1[C@@H]2CCC[C@H]12 ZINC000178116200 189091694 /nfs/dbraw/zinc/09/16/94/189091694.db2.gz NHHQARWWBSQQIJ-ONXXMXGDSA-N 0 0 274.320 2.599 20 5 CFBDRN COC(=O)CCCCCCNc1nc(C)ccc1[N+](=O)[O-] ZINC000178170927 189094830 /nfs/dbraw/zinc/09/48/30/189094830.db2.gz PKPFUZNLTICDGX-UHFFFAOYSA-N 0 0 295.339 2.834 20 5 CFBDRN CC(C)N(C(=O)c1cccc([N+](=O)[O-])c1N)C1CCCC1 ZINC000178192320 189096553 /nfs/dbraw/zinc/09/65/53/189096553.db2.gz YOGKTINQFDFJCC-UHFFFAOYSA-N 0 0 291.351 2.970 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000178511825 189122928 /nfs/dbraw/zinc/12/29/28/189122928.db2.gz LOBHVINGLPXQDE-GHMZBOCLSA-N 0 0 277.324 2.535 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000299176413 200632659 /nfs/dbraw/zinc/63/26/59/200632659.db2.gz XICKDSDBEHBQAN-LBPRGKRZSA-N 0 0 273.292 2.604 20 5 CFBDRN CCN(CC(C)C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000299288495 200664722 /nfs/dbraw/zinc/66/47/22/200664722.db2.gz HNQROCDDGJADRU-UHFFFAOYSA-N 0 0 279.340 2.511 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000299253480 200650049 /nfs/dbraw/zinc/65/00/49/200650049.db2.gz QTEDAMHPNXPKFY-LBPRGKRZSA-N 0 0 293.323 2.594 20 5 CFBDRN C[N@H+](CCCC(=O)[O-])Cc1cc([N+](=O)[O-])ccc1Cl ZINC000178930871 189155511 /nfs/dbraw/zinc/15/55/11/189155511.db2.gz FHNAGTCUHRWXTQ-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1COc1cnn(C)c1 ZINC000179116063 189170933 /nfs/dbraw/zinc/17/09/33/189170933.db2.gz VXVFXWDAPWCPDR-UHFFFAOYSA-N 0 0 291.307 2.695 20 5 CFBDRN CCC[C@@H](NC(=O)c1[nH]ncc1[N+](=O)[O-])c1ccccc1 ZINC000179244357 189180053 /nfs/dbraw/zinc/18/00/53/189180053.db2.gz IGTZSUHODGBABX-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN CC(C)N(Cc1csnn1)Cc1ccc([N+](=O)[O-])cc1 ZINC000179320565 189185216 /nfs/dbraw/zinc/18/52/16/189185216.db2.gz WVXQQZCESSNZRD-UHFFFAOYSA-N 0 0 292.364 2.857 20 5 CFBDRN CC(C)N(Cc1c[nH]cn1)Cc1ccc([N+](=O)[O-])cc1 ZINC000179320359 189185393 /nfs/dbraw/zinc/18/53/93/189185393.db2.gz YBJDHXLMKSAHOF-UHFFFAOYSA-N 0 0 274.324 2.729 20 5 CFBDRN CCN(C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000179437078 189194587 /nfs/dbraw/zinc/19/45/87/189194587.db2.gz SUXLBAZZJRSAET-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN CC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000179638552 189209102 /nfs/dbraw/zinc/20/91/02/189209102.db2.gz LMYULYWTMJWWLS-UHFFFAOYSA-N 0 0 287.319 2.919 20 5 CFBDRN Cc1n[nH]c(C(=O)NCCCC2CCCCC2)c1[N+](=O)[O-] ZINC000299554102 200775648 /nfs/dbraw/zinc/77/56/48/200775648.db2.gz RLFNXRHMMRFQOV-UHFFFAOYSA-N 0 0 294.355 2.717 20 5 CFBDRN CC(C)CNC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000179892157 189226317 /nfs/dbraw/zinc/22/63/17/189226317.db2.gz VYGWUZQJWKTWBL-UHFFFAOYSA-N 0 0 288.250 2.582 20 5 CFBDRN CC(C)[C@H](C)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000179963680 189229602 /nfs/dbraw/zinc/22/96/02/189229602.db2.gz HJDARACBIAVWDF-QMMMGPOBSA-N 0 0 276.296 2.702 20 5 CFBDRN C[C@@H]1C[C@@H]1CSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000600649871 540950694 /nfs/dbraw/zinc/95/06/94/540950694.db2.gz ZZQVZEHNOIFGKV-LDYMZIIASA-N 0 0 280.349 2.583 20 5 CFBDRN O=C(N[C@@H]1CCCOCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000180037417 189234013 /nfs/dbraw/zinc/23/40/13/189234013.db2.gz HEEARHGQDBBVGQ-SNVBAGLBSA-N 0 0 298.726 2.547 20 5 CFBDRN CCc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1C ZINC000354717452 540997958 /nfs/dbraw/zinc/99/79/58/540997958.db2.gz YPBKSESCMAOCEN-UHFFFAOYSA-N 0 0 260.297 2.943 20 5 CFBDRN CCCOC(=O)[C@H](C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180416732 189254119 /nfs/dbraw/zinc/25/41/19/189254119.db2.gz HYKHHEJDMZLYIC-QWRGUYRKSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cnc(CN(C)Cc2ccccc2[N+](=O)[O-])s1 ZINC000180415897 189254326 /nfs/dbraw/zinc/25/43/26/189254326.db2.gz OXCWUGXBLNJSIL-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN CC(C)c1nnc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000180437334 189255064 /nfs/dbraw/zinc/25/50/64/189255064.db2.gz NIRQGKDIITZENV-JTQLQIEISA-N 0 0 290.323 2.952 20 5 CFBDRN CCCCOC(=O)[C@@H](C)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180446037 189256076 /nfs/dbraw/zinc/25/60/76/189256076.db2.gz GHALEFQEJLUTHQ-VXGBXAGGSA-N 0 0 294.351 2.977 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CC12CCCCC2 ZINC000180639943 189267418 /nfs/dbraw/zinc/26/74/18/189267418.db2.gz CPVIGHSTSABKIT-CQSZACIVSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1cn2c(n1)CC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])C2 ZINC000301132026 200923158 /nfs/dbraw/zinc/92/31/58/200923158.db2.gz SPIAJROTIZHNOL-NSHDSACASA-N 0 0 290.298 2.666 20 5 CFBDRN C[C@@H](CCNc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1 ZINC000301133146 200923863 /nfs/dbraw/zinc/92/38/63/200923863.db2.gz UZBDAAKTCUMQHP-JTQLQIEISA-N 0 0 287.323 2.573 20 5 CFBDRN Cc1noc(C)c1CN(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000301250991 200951391 /nfs/dbraw/zinc/95/13/91/200951391.db2.gz BKEKCAROBOTHMR-UHFFFAOYSA-N 0 0 296.714 2.884 20 5 CFBDRN CC[C@H](C)CN(C)c1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000301236209 200946116 /nfs/dbraw/zinc/94/61/16/200946116.db2.gz HSLJPEUYDRPBOL-VIFPVBQESA-N 0 0 290.323 2.726 20 5 CFBDRN CC(C)[C@](C)(O)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000301236985 200946141 /nfs/dbraw/zinc/94/61/41/200946141.db2.gz IDNUISKMYHQMPC-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cnc(NCc2nccn2C(F)F)c([N+](=O)[O-])c1 ZINC000301438110 201007681 /nfs/dbraw/zinc/00/76/81/201007681.db2.gz LFEUQXFYPLRSOV-UHFFFAOYSA-N 0 0 283.238 2.502 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000301439417 201007866 /nfs/dbraw/zinc/00/78/66/201007866.db2.gz NYVJJHZXQVLWSA-VIFPVBQESA-N 0 0 290.323 2.946 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000301418640 201002268 /nfs/dbraw/zinc/00/22/68/201002268.db2.gz DCYCQTPJGUUVOY-STQMWFEESA-N 0 0 293.367 2.938 20 5 CFBDRN COc1ccccc1CN(C)c1cc(C)c([N+](=O)[O-])cn1 ZINC000301426658 201004599 /nfs/dbraw/zinc/00/45/99/201004599.db2.gz LSIWWZCZKGZXPR-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H](C)C[C@@H](C)O)c1 ZINC000301453913 201014561 /nfs/dbraw/zinc/01/45/61/201014561.db2.gz BBESSSNPMKPOOW-RKDXNWHRSA-N 0 0 286.303 2.561 20 5 CFBDRN Cc1cnc(Oc2ccc(-n3cncn3)cc2)c([N+](=O)[O-])c1 ZINC000301460996 201018671 /nfs/dbraw/zinc/01/86/71/201018671.db2.gz UKEJAMHFVMMHDR-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN COc1ccc(Oc2ncc(Cl)cn2)c([N+](=O)[O-])c1 ZINC000301480361 201023627 /nfs/dbraw/zinc/02/36/27/201023627.db2.gz DKEWPYJGPIIQIY-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN Cc1cc(NC(=O)[C@H](C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC000182418244 189322591 /nfs/dbraw/zinc/32/25/91/189322591.db2.gz FRMRBVIFWBHHLP-LLVKDONJSA-N 0 0 292.335 2.904 20 5 CFBDRN CCOc1cc(N[C@@H]2CCC[C@@]2(C)CO)ccc1[N+](=O)[O-] ZINC000301596903 201086947 /nfs/dbraw/zinc/08/69/47/201086947.db2.gz SOQBTHTUWDLDCF-CABCVRRESA-N 0 0 294.351 2.957 20 5 CFBDRN COc1cc(NC2CC=CC2)c([N+](=O)[O-])cc1F ZINC000301637933 201110921 /nfs/dbraw/zinc/11/09/21/201110921.db2.gz LLHWDKQAQMVBEH-UHFFFAOYSA-N 0 0 252.245 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc(Br)nc2)nc1 ZINC000301610707 201095861 /nfs/dbraw/zinc/09/58/61/201095861.db2.gz CUBMFWXNQVBQNS-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN C[C@H](Cc1ccc(O)cc1)N(C)c1ncccc1[N+](=O)[O-] ZINC000301614990 201096526 /nfs/dbraw/zinc/09/65/26/201096526.db2.gz IOEBAPUZJJRCKA-LLVKDONJSA-N 0 0 287.319 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N(C)Cc1cnccn1 ZINC000182830230 189337089 /nfs/dbraw/zinc/33/70/89/189337089.db2.gz WABARBKVSPHUHX-LBPRGKRZSA-N 0 0 286.335 2.886 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000183258934 189351862 /nfs/dbraw/zinc/35/18/62/189351862.db2.gz IAIGZTNUDBEDHR-QWRGUYRKSA-N 0 0 294.351 2.902 20 5 CFBDRN CCC1(CC)[C@H](OC)C[C@@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000301656584 201118212 /nfs/dbraw/zinc/11/82/12/201118212.db2.gz BBJQLYPMYYZPLN-NWDGAFQWSA-N 0 0 279.340 2.995 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2csc([N+](=O)[O-])c2)C(C)(C)O1 ZINC000183093673 189346059 /nfs/dbraw/zinc/34/60/59/189346059.db2.gz ZLFKWDBZNZXMOG-VIFPVBQESA-N 0 0 298.364 2.732 20 5 CFBDRN Cc1nc(C)c(C)c(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000301682202 201135436 /nfs/dbraw/zinc/13/54/36/201135436.db2.gz KBVZLXAUCJRCSM-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN O=C(NCCC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000183471186 189359577 /nfs/dbraw/zinc/35/95/77/189359577.db2.gz RFNOLVHWQHTECS-CQSZACIVSA-N 0 0 292.335 2.674 20 5 CFBDRN Cc1nc(C)c(C)c(NCCc2ccccc2[N+](=O)[O-])n1 ZINC000301688426 201140538 /nfs/dbraw/zinc/14/05/38/201140538.db2.gz MQEIXFQBNPOWER-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN COCC[C@@H](C)NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000183585410 189363295 /nfs/dbraw/zinc/36/32/95/189363295.db2.gz LMRJGVRZLRRLSV-HCRIHEDKSA-N 0 0 292.335 2.539 20 5 CFBDRN CSCC[C@@H](C)N(C)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183621536 189364019 /nfs/dbraw/zinc/36/40/19/189364019.db2.gz WMQODHFWYFKPCI-SECBINFHSA-N 0 0 298.368 2.595 20 5 CFBDRN CCC[C@H](CC)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183631346 189364636 /nfs/dbraw/zinc/36/46/36/189364636.db2.gz RBVPIMPSGOHPOZ-VIFPVBQESA-N 0 0 266.301 2.690 20 5 CFBDRN CC(=O)c1ccc(NCC2(CCO)CCC2)c([N+](=O)[O-])c1 ZINC000301712315 201155485 /nfs/dbraw/zinc/15/54/85/201155485.db2.gz VMBAMVVSZGBNLN-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1cccc(OCC)c1[N+](=O)[O-] ZINC000184132408 189377002 /nfs/dbraw/zinc/37/70/02/189377002.db2.gz VQKQCALICPMFBM-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN C[C@H]1CCCCN(C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000184367974 189383891 /nfs/dbraw/zinc/38/38/91/189383891.db2.gz BULBWPHVARHDBA-LBPRGKRZSA-N 0 0 292.335 2.622 20 5 CFBDRN C[C@H]1CCCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000184385193 189384591 /nfs/dbraw/zinc/38/45/91/189384591.db2.gz VJIHUNVDKPOQFM-NSHDSACASA-N 0 0 262.309 2.857 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC[C@H](C)C1 ZINC000184385805 189384681 /nfs/dbraw/zinc/38/46/81/189384681.db2.gz JEOMBFQAIKRGOK-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1ccc(NCCC(=O)OC(C)C)c([N+](=O)[O-])c1 ZINC000301779271 201191832 /nfs/dbraw/zinc/19/18/32/201191832.db2.gz QPHPLUUHOUCEJS-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@]1(CO)CCC[C@@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000301780636 201191837 /nfs/dbraw/zinc/19/18/37/201191837.db2.gz JEVORTPMHLNQIH-WCQYABFASA-N 0 0 286.278 2.836 20 5 CFBDRN C[C@@H](C1CC1)N(C(=O)c1cc([N+](=O)[O-])ccc1N)C1CC1 ZINC000184328734 189382860 /nfs/dbraw/zinc/38/28/60/189382860.db2.gz GKDSPWQXOJLWIT-VIFPVBQESA-N 0 0 289.335 2.580 20 5 CFBDRN Cc1ccc(NCc2nc(C3CC3)no2)c([N+](=O)[O-])c1 ZINC000301808341 201210393 /nfs/dbraw/zinc/21/03/93/201210393.db2.gz GDIMMTYACJSSRA-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CN(C[C@@H]1CCC[C@H]1O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000301815478 201214906 /nfs/dbraw/zinc/21/49/06/201214906.db2.gz DCHGNPKAAOHXPX-TVQRCGJNSA-N 0 0 284.743 2.845 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1CC12CC2 ZINC000425275757 238978564 /nfs/dbraw/zinc/97/85/64/238978564.db2.gz UODCCJYPKIYJKO-GFCCVEGCSA-N 0 0 255.277 2.529 20 5 CFBDRN Cc1ccccc1CCNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301869614 201242323 /nfs/dbraw/zinc/24/23/23/201242323.db2.gz CLRFTELRJSTWFM-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN CC[C@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@H]1CCCO1 ZINC000301871081 201242381 /nfs/dbraw/zinc/24/23/81/201242381.db2.gz WRFKTQJPPCTQLE-DTWKUNHWSA-N 0 0 296.352 2.674 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC1 ZINC000184781036 189395493 /nfs/dbraw/zinc/39/54/93/189395493.db2.gz JOATYDHBJOWTEP-ZHACJKMWSA-N 0 0 260.293 2.621 20 5 CFBDRN COc1cc(N[C@H]2CCC[C@@]2(C)CO)c(F)cc1[N+](=O)[O-] ZINC000301897898 201253396 /nfs/dbraw/zinc/25/33/96/201253396.db2.gz WEKARTYXHVMODW-KBPBESRZSA-N 0 0 298.314 2.706 20 5 CFBDRN COc1cc(NCC[C@@H](O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000301922089 201262380 /nfs/dbraw/zinc/26/23/80/201262380.db2.gz JSMURMPPHFCLQX-GFCCVEGCSA-N 0 0 286.303 2.561 20 5 CFBDRN C[C@H]1CN(CCCNc2sccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000301922996 201265455 /nfs/dbraw/zinc/26/54/55/201265455.db2.gz FHEIVJPYFRGAKX-QWRGUYRKSA-N 0 0 299.396 2.568 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]1C1CCC1 ZINC000425424591 239054750 /nfs/dbraw/zinc/05/47/50/239054750.db2.gz XGBLWMBTKUVHQP-UONOGXRCSA-N 0 0 289.335 2.583 20 5 CFBDRN COc1ccc([C@H](O)CNc2sccc2[N+](=O)[O-])cc1 ZINC000301931877 201266139 /nfs/dbraw/zinc/26/61/39/201266139.db2.gz MAUSBFWFLFBPNC-GFCCVEGCSA-N 0 0 294.332 2.810 20 5 CFBDRN COc1cc(N2C[C@H](C)[C@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000301932867 201267963 /nfs/dbraw/zinc/26/79/63/201267963.db2.gz PMEICPPDDMOWBY-DTORHVGOSA-N 0 0 268.288 2.835 20 5 CFBDRN O=C(CCNc1sccc1[N+](=O)[O-])NC1CCCCC1 ZINC000301936943 201268260 /nfs/dbraw/zinc/26/82/60/201268260.db2.gz QTALLAHMBXSUIO-UHFFFAOYSA-N 0 0 297.380 2.907 20 5 CFBDRN CCc1ncnc(Oc2ccc([N+](=O)[O-])cc2OC)c1F ZINC000301954846 201276442 /nfs/dbraw/zinc/27/64/42/201276442.db2.gz DUELSRWAROBYQM-UHFFFAOYSA-N 0 0 293.254 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@H](C)c2cnn(C)c2)c1 ZINC000301984345 201287021 /nfs/dbraw/zinc/28/70/21/201287021.db2.gz AZLFIODKKAUHSV-LLVKDONJSA-N 0 0 274.324 2.834 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000302016682 201299957 /nfs/dbraw/zinc/29/99/57/201299957.db2.gz CJFPHVRNRYFMJU-WFASDCNBSA-N 0 0 278.352 2.891 20 5 CFBDRN CN(Cc1nccs1)c1sccc1[N+](=O)[O-] ZINC000302021278 201302369 /nfs/dbraw/zinc/30/23/69/201302369.db2.gz NXWLEUWPHIWULZ-UHFFFAOYSA-N 0 0 255.324 2.749 20 5 CFBDRN Cc1cc(N(C)[C@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000302024777 201304363 /nfs/dbraw/zinc/30/43/63/201304363.db2.gz HEOKYQCUPIDQCN-LLVKDONJSA-N 0 0 274.324 2.834 20 5 CFBDRN COC[C@@H](C)Nc1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000302030678 201307659 /nfs/dbraw/zinc/30/76/59/201307659.db2.gz RYVHBDHBJSNJJM-SNVBAGLBSA-N 0 0 275.308 2.898 20 5 CFBDRN C[C@](O)(CNc1sccc1[N+](=O)[O-])c1ccco1 ZINC000302029792 201308255 /nfs/dbraw/zinc/30/82/55/201308255.db2.gz RQQBANYVOIRJLP-NSHDSACASA-N 0 0 268.294 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCO2)c(OC(F)F)c1 ZINC000302030841 201309092 /nfs/dbraw/zinc/30/90/92/201309092.db2.gz BXDHFMYZEUSRGV-SECBINFHSA-N 0 0 288.250 2.787 20 5 CFBDRN COc1cccc([C@H](O)CNc2sccc2[N+](=O)[O-])c1 ZINC000302034285 201309833 /nfs/dbraw/zinc/30/98/33/201309833.db2.gz UCFXXOAKNOKXOR-GFCCVEGCSA-N 0 0 294.332 2.810 20 5 CFBDRN CCOC[C@@H]1CCN(c2sccc2[N+](=O)[O-])C1 ZINC000301995536 201292906 /nfs/dbraw/zinc/29/29/06/201292906.db2.gz HHCZZDSEYIJHTC-SECBINFHSA-N 0 0 256.327 2.519 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1cn2ccccc2n1 ZINC000302004989 201294818 /nfs/dbraw/zinc/29/48/18/201294818.db2.gz UFOVIPYADFVDLD-UHFFFAOYSA-N 0 0 274.305 2.916 20 5 CFBDRN CC1CCC(CNc2ccc3ncc([N+](=O)[O-])n3n2)CC1 ZINC000302004338 201294985 /nfs/dbraw/zinc/29/49/85/201294985.db2.gz RWQLBYLKKJMCBK-UHFFFAOYSA-N 0 0 289.339 2.876 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H](O)c1ccsc1 ZINC000302050192 201321118 /nfs/dbraw/zinc/32/11/18/201321118.db2.gz ZZQCADXEQJOBIB-SECBINFHSA-N 0 0 270.335 2.863 20 5 CFBDRN Cc1ccc(N(C)C[C@@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000302063726 201327100 /nfs/dbraw/zinc/32/71/00/201327100.db2.gz RZFPZTNOPGUPPS-SWLSCSKDSA-N 0 0 278.352 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCOCC2)c(OC(F)F)c1 ZINC000302045181 201316047 /nfs/dbraw/zinc/31/60/47/201316047.db2.gz KCUJTSZUBAUVNZ-UHFFFAOYSA-N 0 0 288.250 2.787 20 5 CFBDRN COc1cccc(F)c1[C@@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000302102007 201340997 /nfs/dbraw/zinc/34/09/97/201340997.db2.gz LPJHKJFSLAMCGI-MRVPVSSYSA-N 0 0 292.270 2.706 20 5 CFBDRN COC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCCCC1 ZINC000186333422 189436216 /nfs/dbraw/zinc/43/62/16/189436216.db2.gz MXRVJNXVXRJMOD-UHFFFAOYSA-N 0 0 298.364 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCn2cccn2)c(OC(F)F)c1 ZINC000302072206 201332004 /nfs/dbraw/zinc/33/20/04/201332004.db2.gz ZBRIHIWEYYNCSB-UHFFFAOYSA-N 0 0 298.249 2.505 20 5 CFBDRN Cc1ccc(N2CCC[C@H]2C(C)(C)O)c([N+](=O)[O-])c1 ZINC000302157409 201370732 /nfs/dbraw/zinc/37/07/32/201370732.db2.gz WVTQYUBTPFOQEC-ZDUSSCGKSA-N 0 0 264.325 2.643 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2C=CCCC2)c1 ZINC000302201251 201391957 /nfs/dbraw/zinc/39/19/57/201391957.db2.gz VGOKJKUTRBGCQM-LLVKDONJSA-N 0 0 276.292 2.902 20 5 CFBDRN CC(C)(C)C[C@@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000302162719 201375287 /nfs/dbraw/zinc/37/52/87/201375287.db2.gz QAMJIOLYDHKLMK-JTQLQIEISA-N 0 0 264.329 2.790 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(c3cccc(F)c3)CC2)nc1 ZINC000302168281 201376637 /nfs/dbraw/zinc/37/66/37/201376637.db2.gz SNOYPTQJVXOGPX-UHFFFAOYSA-N 0 0 288.282 2.668 20 5 CFBDRN COc1cc(Cl)ccc1CNc1ncc([N+](=O)[O-])cn1 ZINC000302167491 201377097 /nfs/dbraw/zinc/37/70/97/201377097.db2.gz SBKPPUBAQIUAOV-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cn1)C1CCCCCCC1 ZINC000302171388 201379002 /nfs/dbraw/zinc/37/90/02/201379002.db2.gz UVKPXOIHEGYKIO-UHFFFAOYSA-N 0 0 264.329 2.934 20 5 CFBDRN Cc1ccccc1OCCCNc1ncc([N+](=O)[O-])cn1 ZINC000302177882 201383345 /nfs/dbraw/zinc/38/33/45/201383345.db2.gz XVHHELWCTYGKRN-UHFFFAOYSA-N 0 0 288.307 2.574 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc3c(c2)CCC3)nc1 ZINC000302187838 201386678 /nfs/dbraw/zinc/38/66/78/201386678.db2.gz UUEVOCIXUKWCMI-UHFFFAOYSA-N 0 0 256.265 2.617 20 5 CFBDRN Cc1cc(N(C)Cc2ncc[nH]2)c2cccc([N+](=O)[O-])c2n1 ZINC000428133059 239388693 /nfs/dbraw/zinc/38/86/93/239388693.db2.gz WFOSOERXVZQKKQ-UHFFFAOYSA-N 0 0 297.318 2.811 20 5 CFBDRN Cc1cncc(CCC(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000428016326 239361690 /nfs/dbraw/zinc/36/16/90/239361690.db2.gz WMVIGLZUGDFSGV-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2CCCC2)cc1CO ZINC000428069481 239363501 /nfs/dbraw/zinc/36/35/01/239363501.db2.gz JWOJFPVPSDUCIF-UHFFFAOYSA-N 0 0 251.282 2.656 20 5 CFBDRN COCCCSCCOc1ccc([N+](=O)[O-])cc1 ZINC000187916439 189482229 /nfs/dbraw/zinc/48/22/29/189482229.db2.gz MDCFATXIDSMNGG-UHFFFAOYSA-N 0 0 271.338 2.743 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000428088407 239377174 /nfs/dbraw/zinc/37/71/74/239377174.db2.gz HQKXBEKVRKYZDN-WDAIWFPHSA-N 0 0 285.303 2.604 20 5 CFBDRN Cc1ccccc1COc1ccc([N+](=O)[O-])c(CO)c1 ZINC000428099272 239381507 /nfs/dbraw/zinc/38/15/07/239381507.db2.gz MHAFGPXHIVPJBL-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000428108191 239384062 /nfs/dbraw/zinc/38/40/62/239384062.db2.gz SVWSQILJEGDYDZ-GNXNZQSNSA-N 0 0 285.303 2.604 20 5 CFBDRN COCCN(CC(C)C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000428346564 239435368 /nfs/dbraw/zinc/43/53/68/239435368.db2.gz FVKPUZVROMWERJ-UHFFFAOYSA-N 0 0 292.339 2.580 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCC[C@@H]1CCCO1 ZINC000189138516 189524438 /nfs/dbraw/zinc/52/44/38/189524438.db2.gz URMKZHOZGGIEPQ-VIFPVBQESA-N 0 0 255.245 2.682 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCC[C@@H]1CCCO1 ZINC000189578260 189538196 /nfs/dbraw/zinc/53/81/96/189538196.db2.gz PSKHLJFSXMSNND-NSHDSACASA-N 0 0 266.297 2.584 20 5 CFBDRN CCSCC[C@@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000189690676 189542938 /nfs/dbraw/zinc/54/29/38/189542938.db2.gz QMOXYNTXJIGZIS-SSDOTTSWSA-N 0 0 261.372 2.995 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCCO[C@H]2C)cc1[N+](=O)[O-] ZINC000190264124 189559343 /nfs/dbraw/zinc/55/93/43/189559343.db2.gz OXPHBJFFEDRBNN-CMPLNLGQSA-N 0 0 278.308 2.657 20 5 CFBDRN CC(C)C1CCC(N(C)C(=O)c2n[nH]cc2[N+](=O)[O-])CC1 ZINC000432569011 240021306 /nfs/dbraw/zinc/02/13/06/240021306.db2.gz WDEPSLKNJSCSEI-UHFFFAOYSA-N 0 0 294.355 2.605 20 5 CFBDRN Cc1cc(-c2nc(-c3nccc(C)n3)no2)cc([N+](=O)[O-])c1 ZINC000432684056 240030220 /nfs/dbraw/zinc/03/02/20/240030220.db2.gz ATHFUQMNIANHAS-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1(CO)CC2(CCC2)C1 ZINC000432829589 240052050 /nfs/dbraw/zinc/05/20/50/240052050.db2.gz QMIDGQIARXYPGM-UHFFFAOYSA-N 0 0 276.336 2.950 20 5 CFBDRN C/C=C\C[C@H](NCc1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC000432911128 240066522 /nfs/dbraw/zinc/06/65/22/240066522.db2.gz HMDXZRLWMRVTRZ-MFBWXBCUSA-N 0 0 292.335 2.582 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)c1sccc1C1CC1 ZINC000432991184 240076656 /nfs/dbraw/zinc/07/66/56/240076656.db2.gz DNUGDQFZBGXAOA-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1CCC(=O)c2cccn21 ZINC000433533791 240159342 /nfs/dbraw/zinc/15/93/42/240159342.db2.gz MQCFXBMCUQPZEE-CYBMUJFWSA-N 0 0 299.286 2.553 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC(C)=C[C@H](C)C1 ZINC000435262056 240300182 /nfs/dbraw/zinc/30/01/82/240300182.db2.gz FVOXOJJLWOFJMC-JTQLQIEISA-N 0 0 290.319 2.642 20 5 CFBDRN CC(=O)c1ccc(NCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000194991398 189670521 /nfs/dbraw/zinc/67/05/21/189670521.db2.gz AWQPLWVDNZVZLF-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000435968471 240373447 /nfs/dbraw/zinc/37/34/47/240373447.db2.gz WSLAGEGKZMUWCO-IINYFYTJSA-N 0 0 285.303 2.604 20 5 CFBDRN COc1ccc(C(=O)N2CC(C)=C[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000436000472 240377828 /nfs/dbraw/zinc/37/78/28/240377828.db2.gz LSIONVQTRBBKFL-SNVBAGLBSA-N 0 0 290.319 2.642 20 5 CFBDRN CN(CC[C@H]1CCCO1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000436345372 240435948 /nfs/dbraw/zinc/43/59/48/240435948.db2.gz YRTFTSJHHDOCNX-LLVKDONJSA-N 0 0 291.351 2.568 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)ccn1 ZINC000436274181 240421517 /nfs/dbraw/zinc/42/15/17/240421517.db2.gz LBARIYDZHFBVDQ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1nnc(C2CC2)o1 ZINC000275340685 193004671 /nfs/dbraw/zinc/00/46/71/193004671.db2.gz HNDSYGCOCFSAEW-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN Cc1cccc(NC[C@H](C)C[C@@H](C)O)c1[N+](=O)[O-] ZINC000275429021 193034463 /nfs/dbraw/zinc/03/44/63/193034463.db2.gz INGMMVIDTLIIAH-MWLCHTKSSA-N 0 0 252.314 2.722 20 5 CFBDRN O=C(NCCC(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000437065118 240539101 /nfs/dbraw/zinc/53/91/01/240539101.db2.gz RMINXIMRXDBIKC-UHFFFAOYSA-N 0 0 296.632 2.930 20 5 CFBDRN Cc1cnc(OC[C@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000439041799 240730431 /nfs/dbraw/zinc/73/04/31/240730431.db2.gz IMCQKQJFEDXEKV-ZETCQYMHSA-N 0 0 264.203 2.875 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1ncccn1 ZINC000439135419 240741363 /nfs/dbraw/zinc/74/13/63/240741363.db2.gz COLMOWKQRDAAMO-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CCO[C@H](C)C1 ZINC000275834774 193142241 /nfs/dbraw/zinc/14/22/41/193142241.db2.gz YQYVSAODNQASLL-MNOVXSKESA-N 0 0 293.323 2.592 20 5 CFBDRN O=C1CCN1c1cccc(CNc2ccccc2[N+](=O)[O-])c1 ZINC000440547373 240852402 /nfs/dbraw/zinc/85/24/02/240852402.db2.gz ITMLONDOQIZURI-UHFFFAOYSA-N 0 0 297.314 2.944 20 5 CFBDRN C[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])c1ncn(C)n1 ZINC000275829598 193140203 /nfs/dbraw/zinc/14/02/03/193140203.db2.gz OBKJHRQXHMLIDY-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN C[C@]1(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)CC1(F)F ZINC000335556466 291357825 /nfs/dbraw/zinc/35/78/25/291357825.db2.gz RHOVVHXJUCRLPX-GFCCVEGCSA-N 0 0 282.246 2.529 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2ccc3ccoc3c2)c1[N+](=O)[O-] ZINC000442800081 241001536 /nfs/dbraw/zinc/00/15/36/241001536.db2.gz JTDKIUIVWOIFIE-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN Cc1ccsc1[C@H](CO)Nc1ncc([N+](=O)[O-])s1 ZINC000443508582 241066797 /nfs/dbraw/zinc/06/67/97/241066797.db2.gz FWDRWAATAUGJQB-ZETCQYMHSA-N 0 0 285.350 2.567 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])s1)C1CCOCC1 ZINC000443506140 241067820 /nfs/dbraw/zinc/06/78/20/241067820.db2.gz AZNUMOJKXVFOSY-VIFPVBQESA-N 0 0 271.342 2.668 20 5 CFBDRN Cn1cnc2cc(Nc3ncc([N+](=O)[O-])s3)ccc21 ZINC000443513422 241070644 /nfs/dbraw/zinc/07/06/44/241070644.db2.gz ZMTQQIJXXNXFAS-UHFFFAOYSA-N 0 0 275.293 2.682 20 5 CFBDRN CC1(C)CCC[C@H](NC(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000443601764 241076984 /nfs/dbraw/zinc/07/69/84/241076984.db2.gz GKQXMGSWGDQHAC-JTQLQIEISA-N 0 0 292.339 2.502 20 5 CFBDRN CCc1nc(CNc2ccc([N+](=O)[O-])c(N)c2F)cs1 ZINC000443429920 241062285 /nfs/dbraw/zinc/06/22/85/241062285.db2.gz LQAVEDMWFJLCQB-UHFFFAOYSA-N 0 0 296.327 2.947 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCc1cccnn1 ZINC000444833758 241198149 /nfs/dbraw/zinc/19/81/49/241198149.db2.gz CPFRTUYFVOABGI-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSc2ncco2)cc1 ZINC000446075773 241272462 /nfs/dbraw/zinc/27/24/62/241272462.db2.gz BGBKVOLSMWNPRD-UHFFFAOYSA-N 0 0 266.278 2.754 20 5 CFBDRN Cc1ccc(-c2nc(-c3c([N+](=O)[O-])ncn3C)no2)cc1C ZINC000445582226 241223549 /nfs/dbraw/zinc/22/35/49/241223549.db2.gz GDOMPUVNVWRJQM-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN CCC1(CC)CCN(c2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000446835013 241329023 /nfs/dbraw/zinc/32/90/23/241329023.db2.gz JCOBGEFUYPVNSC-UHFFFAOYSA-N 0 0 266.345 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000263563629 383681384 /nfs/dbraw/zinc/68/13/84/383681384.db2.gz DLNLGTSRLTWPKD-VXGBXAGGSA-N 0 0 292.335 2.761 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000446658774 241312566 /nfs/dbraw/zinc/31/25/66/241312566.db2.gz NYFGCNKOHJHYRO-UHFFFAOYSA-N 0 0 265.313 2.575 20 5 CFBDRN Cc1nc(NC[C@H]2CCC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000302466856 201457119 /nfs/dbraw/zinc/45/71/19/201457119.db2.gz VNSBKEUSSBWKRZ-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@H]1C[C@@H](COc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000447289498 241361917 /nfs/dbraw/zinc/36/19/17/241361917.db2.gz APPRJXMEPMRMDQ-UWVGGRQHSA-N 0 0 269.272 2.928 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1CCO[C@H](C)C1 ZINC000447285312 241362699 /nfs/dbraw/zinc/36/26/99/241362699.db2.gz OMJTZYZNNUERDX-GHMZBOCLSA-N 0 0 281.308 2.797 20 5 CFBDRN C[C@H]1C[C@@H](COc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000447297181 241363447 /nfs/dbraw/zinc/36/34/47/241363447.db2.gz UTRNACKNBIUKBO-QWRGUYRKSA-N 0 0 251.282 2.789 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)o1 ZINC000447346199 241371113 /nfs/dbraw/zinc/37/11/13/241371113.db2.gz CAMHIRYIBASAGT-UHFFFAOYSA-N 0 0 292.222 2.782 20 5 CFBDRN CSC1(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000447349395 241372150 /nfs/dbraw/zinc/37/21/50/241372150.db2.gz WKVIAVLXZSTQPU-UHFFFAOYSA-N 0 0 284.312 2.958 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc(N(C)C)nc2)c1 ZINC000446902552 241335401 /nfs/dbraw/zinc/33/54/01/241335401.db2.gz OJISXIOOQGEEQJ-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CC(C)(C(=O)NCc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000448726101 241534268 /nfs/dbraw/zinc/53/42/68/241534268.db2.gz RRPSGXYMVFKNPJ-UHFFFAOYSA-N 0 0 286.278 2.892 20 5 CFBDRN C[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])[C@@H](CO)CC(C)(C)C ZINC000449582696 242047895 /nfs/dbraw/zinc/04/78/95/242047895.db2.gz GZOWFKIKCCRJAT-GFCCVEGCSA-N 0 0 296.367 2.529 20 5 CFBDRN Cc1nc(NCC[C@H](C)c2ccccc2)ncc1[N+](=O)[O-] ZINC000450297770 242346334 /nfs/dbraw/zinc/34/63/34/242346334.db2.gz JRURDBSZOIBMIV-NSHDSACASA-N 0 0 286.335 2.721 20 5 CFBDRN C[C@@](O)(CNc1nccc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000450327748 242358760 /nfs/dbraw/zinc/35/87/60/242358760.db2.gz FARMGPNDTLMVRK-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@]1(CNc2nccc3c2cccc3[N+](=O)[O-])CCOC1 ZINC000450328944 242359233 /nfs/dbraw/zinc/35/92/33/242359233.db2.gz JAKOKDRQBKUYBT-OAHLLOKOSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H](CNc1nccc2c1cccc2[N+](=O)[O-])C[C@H](C)O ZINC000450348803 242368682 /nfs/dbraw/zinc/36/86/82/242368682.db2.gz NGPMPXILLDMCGE-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1nc(N(C)[C@@H](C)c2ccccc2)ncc1[N+](=O)[O-] ZINC000450223185 242313797 /nfs/dbraw/zinc/31/37/97/242313797.db2.gz JXWVJLRCYGEVAJ-NSHDSACASA-N 0 0 272.308 2.891 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000450485192 242448632 /nfs/dbraw/zinc/44/86/32/242448632.db2.gz OHERGGBKIMSISJ-QHBPRCKVSA-N 0 0 290.319 2.521 20 5 CFBDRN C[C@@H](CO)Sc1nccc2c1cccc2[N+](=O)[O-] ZINC000450361106 242377849 /nfs/dbraw/zinc/37/78/49/242377849.db2.gz MUCWLSTYPPMFBM-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN C[C@H](CCO)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450363171 242378348 /nfs/dbraw/zinc/37/83/48/242378348.db2.gz UFYHVYUGEHKWJM-SNVBAGLBSA-N 0 0 275.308 2.573 20 5 CFBDRN Cc1nc(N[C@@H]2CC3CCC2CC3)ncc1[N+](=O)[O-] ZINC000450385570 242388694 /nfs/dbraw/zinc/38/86/94/242388694.db2.gz CVQROXSNOMISBN-VQXHTEKXSA-N 0 0 262.313 2.684 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336299985 291398143 /nfs/dbraw/zinc/39/81/43/291398143.db2.gz SJBJLIDNVKZOFE-ZDUSSCGKSA-N 0 0 284.262 2.698 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H]2C(C)C)c([N+](=O)[O-])cc1F ZINC000450636090 242514479 /nfs/dbraw/zinc/51/44/79/242514479.db2.gz MGXYAGCSETUDGX-NSHDSACASA-N 0 0 296.298 2.613 20 5 CFBDRN Cc1nn(C)c(Nc2ccc3ccn(C)c3c2)c1[N+](=O)[O-] ZINC000302708921 201521086 /nfs/dbraw/zinc/52/10/86/201521086.db2.gz YYKJXKQAUMUESS-UHFFFAOYSA-N 0 0 285.307 2.872 20 5 CFBDRN Cc1cccc(CN(C)c2ncc([N+](=O)[O-])c(C)n2)c1C ZINC000450539379 242470995 /nfs/dbraw/zinc/47/09/95/242470995.db2.gz KSAJGDWGKFGZMN-UHFFFAOYSA-N 0 0 286.335 2.946 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]2[C@@H]2CCC[C@H]2O)s1 ZINC000450686342 242542778 /nfs/dbraw/zinc/54/27/78/242542778.db2.gz UMYSNOPUEVKWOE-HBNTYKKESA-N 0 0 297.380 2.571 20 5 CFBDRN C[C@H](CC(C)(C)O)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000450689380 242544295 /nfs/dbraw/zinc/54/42/95/242544295.db2.gz GNDANBJYSRZAKF-SECBINFHSA-N 0 0 279.340 2.524 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]([C@@H](CO)C1CC1)C1CC1 ZINC000450782199 242602049 /nfs/dbraw/zinc/60/20/49/242602049.db2.gz UJSVEFXPCBRDJX-SWLSCSKDSA-N 0 0 276.336 2.804 20 5 CFBDRN Cc1cc(N2CC[C@H](CCCO)C2)ccc1[N+](=O)[O-] ZINC000450951627 242686664 /nfs/dbraw/zinc/68/66/64/242686664.db2.gz GNJDKAQZFUYBHC-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN COC(=O)c1cnc(N[C@@H]2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000302788973 201555724 /nfs/dbraw/zinc/55/57/24/201555724.db2.gz XTNABKVBQQMKGA-MWLCHTKSSA-N 0 0 293.323 2.767 20 5 CFBDRN O=C(N[C@@H]1C=CCCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000342617214 284016691 /nfs/dbraw/zinc/01/66/91/284016691.db2.gz IDAAULTWYVTAOL-LLVKDONJSA-N 0 0 285.303 2.915 20 5 CFBDRN CC(C)c1ccc(C(=O)NC(C)(C)[C@H](C)O)cc1[N+](=O)[O-] ZINC000275981147 193180195 /nfs/dbraw/zinc/18/01/95/193180195.db2.gz FJAKWPSWVGBSKH-JTQLQIEISA-N 0 0 294.351 2.607 20 5 CFBDRN C[C@H](NC(=O)C[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000452185182 243077782 /nfs/dbraw/zinc/07/77/82/243077782.db2.gz YSARRGBNZOCQCU-SMDDNHRTSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1cccc(C(=O)NCCC(C)(F)F)c1[N+](=O)[O-] ZINC000452210030 243086412 /nfs/dbraw/zinc/08/64/12/243086412.db2.gz SZROKRYNTXZUGE-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN CC[C@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])C(F)F ZINC000452259081 243101147 /nfs/dbraw/zinc/10/11/47/243101147.db2.gz YGZVBHGDYKCTOI-VIFPVBQESA-N 0 0 272.251 2.677 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](F)C1 ZINC000452363245 243143478 /nfs/dbraw/zinc/14/34/78/243143478.db2.gz AJCFFPKAHXKLCM-SECBINFHSA-N 0 0 298.339 2.891 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000452521296 243210248 /nfs/dbraw/zinc/21/02/48/243210248.db2.gz RHDLCQJHMHWXKL-VXGBXAGGSA-N 0 0 291.351 2.854 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)C[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000330459770 202851663 /nfs/dbraw/zinc/85/16/63/202851663.db2.gz ZTJZLDQBVHAWEW-WCBMZHEXSA-N 0 0 298.364 2.652 20 5 CFBDRN O=C(N[C@@H]1CCCc2sccc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000335245574 284048714 /nfs/dbraw/zinc/04/87/14/284048714.db2.gz MZYVDXPTDRZBLR-SNVBAGLBSA-N 0 0 291.332 2.792 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21)C(C)(F)F ZINC000452460808 243180758 /nfs/dbraw/zinc/18/07/58/243180758.db2.gz SCUJFQQORHDLHJ-SSDOTTSWSA-N 0 0 297.261 2.850 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000452488219 243192533 /nfs/dbraw/zinc/19/25/33/243192533.db2.gz OIKTXBVDZMAPKV-XPTSAGLGSA-N 0 0 292.310 2.848 20 5 CFBDRN CC(C)C(C)(C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000275987929 193182357 /nfs/dbraw/zinc/18/23/57/193182357.db2.gz YVUQJJPVSVMXMC-UHFFFAOYSA-N 0 0 264.325 2.893 20 5 CFBDRN C[C@@]12CN(C(=O)c3ccc([N+](=O)[O-])cc3)C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000452601534 243238105 /nfs/dbraw/zinc/23/81/05/243238105.db2.gz NRQDAUXCQUMLPZ-RMRKRWHISA-N 0 0 298.342 2.879 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000452767183 243291026 /nfs/dbraw/zinc/29/10/26/243291026.db2.gz RCPZAASMFPYPDK-MNOVXSKESA-N 0 0 262.309 2.679 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000452770393 243292891 /nfs/dbraw/zinc/29/28/91/243292891.db2.gz ITAUBZUCGRDVBA-MNOVXSKESA-N 0 0 262.309 2.679 20 5 CFBDRN O=C(NCC[C@H]1CCc2ccccc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000452719916 243274093 /nfs/dbraw/zinc/27/40/93/243274093.db2.gz SCXOUKOGKMKSMA-GFCCVEGCSA-N 0 0 299.330 2.773 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000452981763 243355549 /nfs/dbraw/zinc/35/55/49/243355549.db2.gz ANIHJWIIXMTQKE-GFCCVEGCSA-N 0 0 290.319 2.618 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000330516341 202910876 /nfs/dbraw/zinc/91/08/76/202910876.db2.gz IHMWIPNNJOZHPR-UWVGGRQHSA-N 0 0 276.292 2.539 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C(C)(F)F ZINC000452861817 243324434 /nfs/dbraw/zinc/32/44/34/243324434.db2.gz JTGOCUNSFNLIHF-QMMMGPOBSA-N 0 0 272.251 2.677 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC1CC(C)(F)C1 ZINC000454131607 243636978 /nfs/dbraw/zinc/63/69/78/243636978.db2.gz JNRGRHVVGRTYLR-UHFFFAOYSA-N 0 0 297.286 2.616 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000454953823 243854859 /nfs/dbraw/zinc/85/48/59/243854859.db2.gz HTPXVUAHTLKLIO-GBIKHYSHSA-N 0 0 277.324 2.754 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000330653971 203083256 /nfs/dbraw/zinc/08/32/56/203083256.db2.gz OETCRNVZLLRTKN-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000455782408 244017843 /nfs/dbraw/zinc/01/78/43/244017843.db2.gz HFPYMRCYJDGXHD-NXEZZACHSA-N 0 0 294.355 2.586 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000330668785 203097114 /nfs/dbraw/zinc/09/71/14/203097114.db2.gz YDZBFKSFIQNCDO-NEPJUHHUSA-N 0 0 292.335 2.763 20 5 CFBDRN O=C(COCC1CCCC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000456017428 244049646 /nfs/dbraw/zinc/04/96/46/244049646.db2.gz CUZVJRAYCXUWKO-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN CC(C)(NC(=O)Cc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000456148690 244088706 /nfs/dbraw/zinc/08/87/06/244088706.db2.gz QDTVAGMTYKUBGU-UHFFFAOYSA-N 0 0 276.336 2.832 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000456076039 244067420 /nfs/dbraw/zinc/06/74/20/244067420.db2.gz YRXRTURYAXTKQS-UHFFFAOYSA-N 0 0 294.355 2.540 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC12CCC(CC1)CC2 ZINC000456243942 244114196 /nfs/dbraw/zinc/11/41/96/244114196.db2.gz HYTCJARAWURDPF-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC12CCC(CC1)CC2 ZINC000456249605 244116050 /nfs/dbraw/zinc/11/60/50/244116050.db2.gz NSOPQLJWZWMPRL-UHFFFAOYSA-N 0 0 289.335 2.751 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC12CCC(CC1)CC2 ZINC000456261517 244118340 /nfs/dbraw/zinc/11/83/40/244118340.db2.gz XKTWKBXUXBEDQG-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000456290486 244127682 /nfs/dbraw/zinc/12/76/82/244127682.db2.gz WATPRUIAIBNCSL-HUUCEWRRSA-N 0 0 286.331 2.855 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2C[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000456868480 244312050 /nfs/dbraw/zinc/31/20/50/244312050.db2.gz CJJHDRMPYMWOPE-AAEUAGOBSA-N 0 0 274.320 2.679 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000456956271 244335493 /nfs/dbraw/zinc/33/54/93/244335493.db2.gz GCSAGYHYYKXNQQ-GHMZBOCLSA-N 0 0 298.364 2.734 20 5 CFBDRN C[C@@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)[C@@H]1CO ZINC000330812312 203264723 /nfs/dbraw/zinc/26/47/23/203264723.db2.gz PBHIYSJMUUJERF-QMTHXVAHSA-N 0 0 298.770 2.841 20 5 CFBDRN C[C@@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)[C@H]1CO ZINC000330812313 203265503 /nfs/dbraw/zinc/26/55/03/203265503.db2.gz PBHIYSJMUUJERF-YGRLFVJLSA-N 0 0 298.770 2.841 20 5 CFBDRN CC1(C)C[C@H](CNC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000457161347 244414881 /nfs/dbraw/zinc/41/48/81/244414881.db2.gz QMHAZMGHQBHDMA-SECBINFHSA-N 0 0 298.364 2.591 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC(C)(C)C ZINC000457224197 244443838 /nfs/dbraw/zinc/44/38/38/244443838.db2.gz LLKJPFBGDMXOFY-SECBINFHSA-N 0 0 279.340 2.853 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(S[C@@H]2CCO[C@@H]2C)c1 ZINC000227967107 189789377 /nfs/dbraw/zinc/78/93/77/189789377.db2.gz SYSOVQQQPSHHPW-LDYMZIIASA-N 0 0 297.332 2.651 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000330869747 203334313 /nfs/dbraw/zinc/33/43/13/203334313.db2.gz PYGZTUYFTWLFES-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@@H](CC(F)(F)F)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000457464945 244556727 /nfs/dbraw/zinc/55/67/27/244556727.db2.gz RZUQDVXSIYPGHN-QMMMGPOBSA-N 0 0 290.241 2.800 20 5 CFBDRN Cc1cccc(C)c1Cn1c(C)ccc([N+](=O)[O-])c1=O ZINC000276180751 193236103 /nfs/dbraw/zinc/23/61/03/193236103.db2.gz FELRNSNTPOBADC-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN CCOC(CCNc1cccc(OC)c1[N+](=O)[O-])OCC ZINC000276223230 193247716 /nfs/dbraw/zinc/24/77/16/193247716.db2.gz NELYQKQOMZKWKZ-UHFFFAOYSA-N 0 0 298.339 2.805 20 5 CFBDRN CCC(O)(CC)CCNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000276230748 193250400 /nfs/dbraw/zinc/25/04/00/193250400.db2.gz DMVQRLXMWMLATH-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN CCC(O)(CC)CCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000276209438 193243193 /nfs/dbraw/zinc/24/31/93/193243193.db2.gz ATNSKIUJVGORIJ-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN CCS[C@@H]1CC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330927973 203395390 /nfs/dbraw/zinc/39/53/90/203395390.db2.gz KZKUQPJHGNTNNZ-MWLCHTKSSA-N 0 0 297.380 2.669 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1[nH]ccc1C1CC1 ZINC000457615679 244613874 /nfs/dbraw/zinc/61/38/74/244613874.db2.gz MXQZXMNPSVKHIZ-UHFFFAOYSA-N 0 0 299.330 2.773 20 5 CFBDRN CO[C@H](C)CCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276531013 193369429 /nfs/dbraw/zinc/36/94/29/193369429.db2.gz BYNBNXPFFAHDFJ-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000458140435 244778558 /nfs/dbraw/zinc/77/85/58/244778558.db2.gz LBSGFHLXMCWIAZ-ZFWWWQNUSA-N 0 0 276.336 2.688 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000458140434 244778659 /nfs/dbraw/zinc/77/86/59/244778659.db2.gz LBSGFHLXMCWIAZ-UKRRQHHQSA-N 0 0 276.336 2.688 20 5 CFBDRN CC(C)=CCCNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000276741118 193447358 /nfs/dbraw/zinc/44/73/58/193447358.db2.gz XUXDGLIYWYZXBK-UHFFFAOYSA-N 0 0 262.309 2.989 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2CCCCS2)cccc1[N+](=O)[O-] ZINC000331122606 203556873 /nfs/dbraw/zinc/55/68/73/203556873.db2.gz NKYIVBFGKXKMRO-CYBMUJFWSA-N 0 0 294.376 2.805 20 5 CFBDRN C[C@H](NC(=O)NC1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000276967506 193517381 /nfs/dbraw/zinc/51/73/81/193517381.db2.gz DZNRQPOKTLQRGP-QMMMGPOBSA-N 0 0 299.277 2.753 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277159712 193571454 /nfs/dbraw/zinc/57/14/54/193571454.db2.gz QQWVPIGPNUACPG-MFKMUULPSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ncccc1CN1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000459623355 245247322 /nfs/dbraw/zinc/24/73/22/245247322.db2.gz RGTUYYZFLZEXRG-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2[C@H](C)C2(F)F)cc1[N+](=O)[O-] ZINC000461025408 245364405 /nfs/dbraw/zinc/36/44/05/245364405.db2.gz QJNYKIKXSOJISY-HOTUBEGUSA-N 0 0 298.289 2.982 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3c[nH]nc3C2)c(F)cc1[N+](=O)[O-] ZINC000461937658 245373324 /nfs/dbraw/zinc/37/33/24/245373324.db2.gz QZJNFEMZWNSVBV-SNVBAGLBSA-N 0 0 290.298 2.735 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000428102596 284264812 /nfs/dbraw/zinc/26/48/12/284264812.db2.gz NOSFWYYIKNWOTI-RTUWITSCSA-N 0 0 297.314 2.671 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000331917416 204292194 /nfs/dbraw/zinc/29/21/94/204292194.db2.gz DYTZWCIKPQEUSD-RDDDGLTNSA-N 0 0 268.700 2.777 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000462167335 245379613 /nfs/dbraw/zinc/37/96/13/245379613.db2.gz BGEBAOGGQQHURX-IUODEOHRSA-N 0 0 288.347 2.916 20 5 CFBDRN C[C@]1(NC(=O)c2cc([N+](=O)[O-])c[nH]2)CCCc2ccccc21 ZINC000331927869 204303367 /nfs/dbraw/zinc/30/33/67/204303367.db2.gz JSIWERGJXUQXCT-INIZCTEOSA-N 0 0 299.330 2.904 20 5 CFBDRN CC(C)(C)C1=N/C(=C/c2ccccc2[N+](=O)[O-])C(=O)O1 ZINC000000465107 371621318 /nfs/dbraw/zinc/62/13/18/371621318.db2.gz HDUDSGFPKFEUPF-CSKARUKUSA-N 0 0 274.276 2.937 20 5 CFBDRN CCN(C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000332216349 204532068 /nfs/dbraw/zinc/53/20/68/204532068.db2.gz WYSDQZVPKCECME-UHFFFAOYSA-N 0 0 267.260 2.750 20 5 CFBDRN COC(=O)c1ccccc1COc1ccccc1[N+](=O)[O-] ZINC000003198930 371779266 /nfs/dbraw/zinc/77/92/66/371779266.db2.gz UTBJQCGJSPFUSB-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CC(C)c1nnc(NC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000004645028 371869411 /nfs/dbraw/zinc/86/94/11/371869411.db2.gz MBTVWFGFFMSJFK-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN CCNc1ccc(C(=O)NCCC(C)C)cc1[N+](=O)[O-] ZINC000014831172 372073815 /nfs/dbraw/zinc/07/38/15/372073815.db2.gz GAUJGIMBSCVATO-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN Cc1c(NCC(=O)NCc2ccccc2)cccc1[N+](=O)[O-] ZINC000015124432 372080563 /nfs/dbraw/zinc/08/05/63/372080563.db2.gz GARLVFSTBIYNSC-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN Cc1nn([C@@H](C)C(=O)N(C(C)C)C(C)C)c(C)c1[N+](=O)[O-] ZINC000015369403 372083537 /nfs/dbraw/zinc/08/35/37/372083537.db2.gz JFMHSOSJGZSDHF-LBPRGKRZSA-N 0 0 296.371 2.615 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC=CCC1 ZINC000015628104 372087846 /nfs/dbraw/zinc/08/78/46/372087846.db2.gz PNNYDWMFOAJBDE-JTQLQIEISA-N 0 0 276.292 2.898 20 5 CFBDRN CCCCCNC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000017322220 372132689 /nfs/dbraw/zinc/13/26/89/372132689.db2.gz FFGOLUXSAGUNAZ-UHFFFAOYSA-N 0 0 265.313 2.557 20 5 CFBDRN O=C(NCCc1cccs1)c1ccccc1[N+](=O)[O-] ZINC000017909490 372137929 /nfs/dbraw/zinc/13/79/29/372137929.db2.gz DBTYIJBDDKOCKI-UHFFFAOYSA-N 0 0 276.317 2.629 20 5 CFBDRN COc1ccc(NC(=O)C2(C)CCC2)cc1[N+](=O)[O-] ZINC000332497868 204756677 /nfs/dbraw/zinc/75/66/77/204756677.db2.gz YSQBFFSXNZBVGY-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN CN(CCCNc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC000019992662 372219788 /nfs/dbraw/zinc/21/97/88/372219788.db2.gz UERQGIMXEXBEOB-UHFFFAOYSA-N 0 0 286.335 2.928 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1[C@@H](C)C1(F)F ZINC000464716606 245416969 /nfs/dbraw/zinc/41/69/69/245416969.db2.gz VOMKBXACZYVUCP-RDDDGLTNSA-N 0 0 284.262 2.997 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)Cc2cccc(F)c2)cc1 ZINC000019821900 372191604 /nfs/dbraw/zinc/19/16/04/372191604.db2.gz SCBBATADONBCIS-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN Cc1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2C)n1 ZINC000024933590 372282857 /nfs/dbraw/zinc/28/28/57/372282857.db2.gz GEOFRDAHRBKBMD-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCc1cccs1 ZINC000025695976 372306625 /nfs/dbraw/zinc/30/66/25/372306625.db2.gz ZEXANUSHXAYJKE-UHFFFAOYSA-N 0 0 290.344 2.558 20 5 CFBDRN CC[C@H](C)NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000026977439 372333056 /nfs/dbraw/zinc/33/30/56/372333056.db2.gz APAYXGSUVINHHN-NSHDSACASA-N 0 0 291.351 2.723 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc2c(c1)CCO2 ZINC000027132240 372335548 /nfs/dbraw/zinc/33/55/48/372335548.db2.gz HFIWFPQYDRHMOW-UHFFFAOYSA-N 0 0 298.298 2.711 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000027338699 372338775 /nfs/dbraw/zinc/33/87/75/372338775.db2.gz OMVAYUHEATXWFI-QMMMGPOBSA-N 0 0 268.338 2.845 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccccc2Br)cn1 ZINC000025586461 372303607 /nfs/dbraw/zinc/30/36/07/372303607.db2.gz BGKOLOJTKSGKNT-UHFFFAOYSA-N 0 0 282.097 2.602 20 5 CFBDRN CC(C)c1ccc(NC(=O)Cn2cnc([N+](=O)[O-])c2)cc1 ZINC000029209726 372376728 /nfs/dbraw/zinc/37/67/28/372376728.db2.gz UZTLLLACAUATDM-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN O=C(C[C@H]1C=CCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029890080 372387565 /nfs/dbraw/zinc/38/75/65/372387565.db2.gz ZFBCIZUQYBEXGM-NSHDSACASA-N 0 0 272.304 2.840 20 5 CFBDRN CCCCCNC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000032516371 372443272 /nfs/dbraw/zinc/44/32/72/372443272.db2.gz PPCLIBFTCUSCPU-UHFFFAOYSA-N 0 0 280.324 2.914 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccnc1 ZINC000033308061 372490037 /nfs/dbraw/zinc/49/00/37/372490037.db2.gz PUAOYMSGZGGOJW-YVMONPNESA-N 0 0 269.260 2.642 20 5 CFBDRN COC(=O)c1cccc(NCc2ccccc2[N+](=O)[O-])c1 ZINC000036804691 372597758 /nfs/dbraw/zinc/59/77/58/372597758.db2.gz JBMLHXNZDUFFNA-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN C[C@H](Nc1nc2sccn2c1[N+](=O)[O-])c1cccnc1 ZINC000035124476 372544434 /nfs/dbraw/zinc/54/44/34/372544434.db2.gz XRMONGCLPODADW-QMMMGPOBSA-N 0 0 289.320 2.872 20 5 CFBDRN COC(=O)c1cc(Nc2ccc([N+](=O)[O-])cc2F)cn1C ZINC000471723987 245516549 /nfs/dbraw/zinc/51/65/49/245516549.db2.gz ASDOHJQJTADMON-UHFFFAOYSA-N 0 0 293.254 2.603 20 5 CFBDRN Cc1ccc(C(=O)Nc2cccc(CO)c2)cc1[N+](=O)[O-] ZINC000037974882 372741456 /nfs/dbraw/zinc/74/14/56/372741456.db2.gz GKYBMPRIGRFYDC-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN CCC[C@@H](NCc1cc[nH]n1)c1cccc([N+](=O)[O-])c1 ZINC000041014809 372802952 /nfs/dbraw/zinc/80/29/52/372802952.db2.gz ZJKUZPACHMJBKL-CQSZACIVSA-N 0 0 274.324 2.949 20 5 CFBDRN CC(C)CCSc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000042237069 372890875 /nfs/dbraw/zinc/89/08/75/372890875.db2.gz YLIBJONGXAQOFD-UHFFFAOYSA-N 0 0 268.338 2.832 20 5 CFBDRN CCN1C(=O)c2ccccc2N[C@H]1c1ccc([N+](=O)[O-])o1 ZINC000042186124 372884308 /nfs/dbraw/zinc/88/43/08/372884308.db2.gz QYVTYWIOUZXXGE-CYBMUJFWSA-N 0 0 287.275 2.774 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H]1C[C@@H]1C(F)F ZINC000479496683 245622514 /nfs/dbraw/zinc/62/25/14/245622514.db2.gz DQJYORWHMJDQEG-WPRPVWTQSA-N 0 0 299.277 2.987 20 5 CFBDRN CCCCN(CCO)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000042493231 372929653 /nfs/dbraw/zinc/92/96/53/372929653.db2.gz FPUWMEFNZSGTTG-UHFFFAOYSA-N 0 0 272.732 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCCO2)c(F)c1 ZINC000042822926 372959965 /nfs/dbraw/zinc/95/99/65/372959965.db2.gz VEGGFQOQVUORPG-JTQLQIEISA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)C1CC1 ZINC000043149151 372979304 /nfs/dbraw/zinc/97/93/04/372979304.db2.gz LVIVNFQCAYFCGH-VIFPVBQESA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1CCCC1 ZINC000043149843 372979627 /nfs/dbraw/zinc/97/96/27/372979627.db2.gz KJQXPYXFPPXREN-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCCN1c1ncccc1[N+](=O)[O-] ZINC000336841545 296194973 /nfs/dbraw/zinc/19/49/73/296194973.db2.gz RTTPFZWZEDTZLE-VXGBXAGGSA-N 0 0 279.340 2.510 20 5 CFBDRN O=C(Cn1ccc([N+](=O)[O-])n1)Nc1cccc2ccccc21 ZINC000043268656 372987351 /nfs/dbraw/zinc/98/73/51/372987351.db2.gz LLAUPYBETOGMEL-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000485499339 245684528 /nfs/dbraw/zinc/68/45/28/245684528.db2.gz ABGILVPOFYGQLR-BZBKMWRSSA-N 0 0 279.271 2.654 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000045623530 373023359 /nfs/dbraw/zinc/02/33/59/373023359.db2.gz CDTQXJLCPMALEU-LLVKDONJSA-N 0 0 277.324 2.536 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C[C@@H]1CCCCO1 ZINC000046598893 373056861 /nfs/dbraw/zinc/05/68/61/373056861.db2.gz BYYFHHBZPPDJND-ZDUSSCGKSA-N 0 0 264.325 2.596 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])C(=O)N1CCCCC1 ZINC000047253785 373085034 /nfs/dbraw/zinc/08/50/34/373085034.db2.gz OJDBJFFBVLILKM-JTQLQIEISA-N 0 0 295.314 2.547 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccccn1 ZINC000047221359 373085249 /nfs/dbraw/zinc/08/52/49/373085249.db2.gz YLAQFVUEAIHCEJ-UHFFFAOYSA-N 0 0 289.316 2.721 20 5 CFBDRN CCOc1cc(NC[C@H](O)[C@H](C)CC)ccc1[N+](=O)[O-] ZINC000486921368 245703331 /nfs/dbraw/zinc/70/33/31/245703331.db2.gz BLKBOFDUXHXWAO-MFKMUULPSA-N 0 0 282.340 2.812 20 5 CFBDRN CCC(CC)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048318293 373160433 /nfs/dbraw/zinc/16/04/33/373160433.db2.gz LCJSMTMMFIFLHX-UHFFFAOYSA-N 0 0 275.308 2.995 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000048371788 373172143 /nfs/dbraw/zinc/17/21/43/373172143.db2.gz DXGDFGGYLDWWCI-VIFPVBQESA-N 0 0 277.324 2.555 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccco1 ZINC000047955048 373134630 /nfs/dbraw/zinc/13/46/30/373134630.db2.gz ZNFCPUOXXLVRCA-UHFFFAOYSA-N 0 0 289.291 2.529 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC[C@@H]1C ZINC000048413018 373179746 /nfs/dbraw/zinc/17/97/46/373179746.db2.gz VBELZFUFZYGXMF-TVQRCGJNSA-N 0 0 277.324 2.607 20 5 CFBDRN CCN(C(=O)Nc1cc([N+](=O)[O-])ccc1OC)C1CC1 ZINC000048477078 373188848 /nfs/dbraw/zinc/18/88/48/373188848.db2.gz BZRQYPBRGNFYON-UHFFFAOYSA-N 0 0 279.296 2.620 20 5 CFBDRN Cc1c(NC(=O)NCc2ccco2)cccc1[N+](=O)[O-] ZINC000048473791 373188933 /nfs/dbraw/zinc/18/89/33/373188933.db2.gz GMIOEKJHXAQHPP-UHFFFAOYSA-N 0 0 275.264 2.818 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Oc1ncccc1[N+](=O)[O-] ZINC000048562161 373201251 /nfs/dbraw/zinc/20/12/51/373201251.db2.gz YAHOJECYULUWKK-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN CC[C@H](NC(=O)c1csc([N+](=O)[O-])c1)C(C)C ZINC000048977766 373244147 /nfs/dbraw/zinc/24/41/47/373244147.db2.gz IHFSUBHWAYHFOS-VIFPVBQESA-N 0 0 256.327 2.821 20 5 CFBDRN Cc1c(OCc2nnc(C3CC3)o2)cccc1[N+](=O)[O-] ZINC000048989471 373246738 /nfs/dbraw/zinc/24/67/38/373246738.db2.gz WIQIKGKZTBOIFB-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@@H]1CCN(C2CC2)C1 ZINC000050352254 373373156 /nfs/dbraw/zinc/37/31/56/373373156.db2.gz ZYCKXSJGFAWQKZ-SECBINFHSA-N 0 0 281.743 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CCCC[C@@H]3O)ccc2c1 ZINC000052006937 373429009 /nfs/dbraw/zinc/42/90/09/373429009.db2.gz JGKUACRPSXUXDY-KGLIPLIRSA-N 0 0 287.319 2.858 20 5 CFBDRN CC(C)(NC(=O)NC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000431695709 383691105 /nfs/dbraw/zinc/69/11/05/383691105.db2.gz XTBRSYRKYRWPPM-UHFFFAOYSA-N 0 0 277.324 2.682 20 5 CFBDRN C[C@H]1C[C@@H](CN2CCc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000488318502 245839616 /nfs/dbraw/zinc/83/96/16/245839616.db2.gz KEROPQVWKHGBDH-RYUDHWBXSA-N 0 0 276.336 2.772 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000057005981 373577844 /nfs/dbraw/zinc/57/78/44/373577844.db2.gz HAPJHDOUVKUHPZ-FZMZJTMJSA-N 0 0 291.351 2.973 20 5 CFBDRN CCCN(C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000057616124 373604692 /nfs/dbraw/zinc/60/46/92/373604692.db2.gz XZLJYWSRBIACON-JTQLQIEISA-N 0 0 265.313 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)N2CCCC[C@H]2C)c1 ZINC000057941830 373618309 /nfs/dbraw/zinc/61/83/09/373618309.db2.gz ZDPNAKHMMIUQRG-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000058668168 373666299 /nfs/dbraw/zinc/66/62/99/373666299.db2.gz XWQLOVUCTGKRDG-PELKAZGASA-N 0 0 254.261 2.713 20 5 CFBDRN O=C(CSc1ncccc1[N+](=O)[O-])Nc1ccccc1 ZINC000059114596 373679328 /nfs/dbraw/zinc/67/93/28/373679328.db2.gz ZLXFBHFPASLIRD-UHFFFAOYSA-N 0 0 289.316 2.721 20 5 CFBDRN Cc1cnc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)s1 ZINC000060392290 373695028 /nfs/dbraw/zinc/69/50/28/373695028.db2.gz TZZDALCYEMHLBE-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN Cn1ccc(NCCNc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000289563489 197404384 /nfs/dbraw/zinc/40/43/84/197404384.db2.gz HGBZTYBQBZLSKV-UHFFFAOYSA-N 0 0 295.730 2.506 20 5 CFBDRN CCC(O)(CC)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000063992648 373817780 /nfs/dbraw/zinc/81/77/80/373817780.db2.gz SXSFGSUDVFXNOY-UHFFFAOYSA-N 0 0 252.314 2.866 20 5 CFBDRN COc1ccc(CN(C)CCC(F)(F)F)cc1[N+](=O)[O-] ZINC000064635898 373831428 /nfs/dbraw/zinc/83/14/28/373831428.db2.gz ZZDMZPUKVGOFLH-UHFFFAOYSA-N 0 0 292.257 2.988 20 5 CFBDRN Cc1nc(CN(C)Cc2ccccc2[N+](=O)[O-])cs1 ZINC000065300728 373855071 /nfs/dbraw/zinc/85/50/71/373855071.db2.gz LYCWLGAEYLBXKW-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000066753805 373949330 /nfs/dbraw/zinc/94/93/30/373949330.db2.gz HTVDPZKVXTWQAD-SECBINFHSA-N 0 0 269.322 2.637 20 5 CFBDRN O=[N+]([O-])c1cc2ccoc2c(I)c1 ZINC000066055204 373909391 /nfs/dbraw/zinc/90/93/91/373909391.db2.gz COPAPFRQACLZNK-UHFFFAOYSA-N 0 0 289.028 2.946 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)COC1CCCC1 ZINC000571449259 383695945 /nfs/dbraw/zinc/69/59/45/383695945.db2.gz PAOUTOQYZZZLOK-UHFFFAOYSA-N 0 0 293.323 2.534 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1CC=CCC1 ZINC000066276765 373923641 /nfs/dbraw/zinc/92/36/41/373923641.db2.gz FCZSNLWHDGNJRN-LBPRGKRZSA-N 0 0 261.277 2.994 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000067015890 373966911 /nfs/dbraw/zinc/96/69/11/373966911.db2.gz PPWDLHRJLKMRJU-SNVBAGLBSA-N 0 0 296.298 2.514 20 5 CFBDRN O=[N+]([O-])c1cccc(Oc2ncc(Br)cn2)c1 ZINC000067119745 373969355 /nfs/dbraw/zinc/96/93/55/373969355.db2.gz XBAYGFWJHBQWNQ-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)c1cccnc1 ZINC000068304763 374026519 /nfs/dbraw/zinc/02/65/19/374026519.db2.gz BUPWVJGXKPLEOG-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CCC1(NS(=O)(=O)c2cccc([N+](=O)[O-])c2)CCCC1 ZINC000451747710 383697942 /nfs/dbraw/zinc/69/79/42/383697942.db2.gz ZYQBVBSECZQGHV-UHFFFAOYSA-N 0 0 298.364 2.596 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1C[C@@H]2C[C@H]1CS2 ZINC000334774362 296210634 /nfs/dbraw/zinc/21/06/34/296210634.db2.gz GPZOOORTSPSHRV-IUCAKERBSA-N 0 0 298.751 2.578 20 5 CFBDRN CCOC(=O)CCCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000070287210 374161091 /nfs/dbraw/zinc/16/10/91/374161091.db2.gz VSGIMPXTDYICDK-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN CC1(C)C[C@H](Nc2ccccc2[N+](=O)[O-])CCO1 ZINC000070352662 374168295 /nfs/dbraw/zinc/16/82/95/374168295.db2.gz SQUGZLMTKLYXQH-SNVBAGLBSA-N 0 0 250.298 2.964 20 5 CFBDRN CC1(C)C[C@H](Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000070352860 374168955 /nfs/dbraw/zinc/16/89/55/374168955.db2.gz GZHRIQOXDDAOPG-LLVKDONJSA-N 0 0 250.298 2.964 20 5 CFBDRN CCCN(CC)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000490857179 246028006 /nfs/dbraw/zinc/02/80/06/246028006.db2.gz SIAVVKPEMRNJFH-YFHOEESVSA-N 0 0 262.309 2.867 20 5 CFBDRN O=C(/C=C\c1ccncc1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000490945987 246033229 /nfs/dbraw/zinc/03/32/29/246033229.db2.gz APXOIHGAYZBMJD-RJRFIUFISA-N 0 0 295.298 2.592 20 5 CFBDRN C[C@@H]1CCC[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000071208246 374214415 /nfs/dbraw/zinc/21/44/15/374214415.db2.gz BIRCHYSJFCLZRQ-HTQZYQBOSA-N 0 0 266.326 2.905 20 5 CFBDRN Cc1nn(CCOc2cccc(C)c2)c(C)c1[N+](=O)[O-] ZINC000072807522 374287205 /nfs/dbraw/zinc/28/72/05/374287205.db2.gz QDXLHVFRTQIQGJ-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000072946745 374304856 /nfs/dbraw/zinc/30/48/56/374304856.db2.gz PFDNHGCGBZQAIC-SSDOTTSWSA-N 0 0 262.269 2.768 20 5 CFBDRN CO[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000072930933 374305169 /nfs/dbraw/zinc/30/51/69/374305169.db2.gz KVLDVQWOPZRLGL-LBPRGKRZSA-N 0 0 295.339 2.777 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N[C@H]1CC=CCC1 ZINC000491847793 246098854 /nfs/dbraw/zinc/09/88/54/246098854.db2.gz RSRXEQUXEBCWNF-XOVSCCBYSA-N 0 0 290.294 2.972 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000073219834 374331202 /nfs/dbraw/zinc/33/12/02/374331202.db2.gz OCCVQDOCYAQFLI-GFCCVEGCSA-N 0 0 298.314 2.525 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NCCC(F)(F)F ZINC000491915794 246121620 /nfs/dbraw/zinc/12/16/20/246121620.db2.gz SKLQIIQSKLKTPC-WAYWQWQTSA-N 0 0 288.225 2.677 20 5 CFBDRN O=C(/C=C\C1CCOCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000491889075 246110874 /nfs/dbraw/zinc/11/08/74/246110874.db2.gz XTWRITKSSHPSRF-BHQIHCQQSA-N 0 0 276.292 2.516 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000491985042 246146095 /nfs/dbraw/zinc/14/60/95/246146095.db2.gz SPWXTTZFYBFRFC-NEPGKVPFSA-N 0 0 278.283 2.756 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491985874 246147181 /nfs/dbraw/zinc/14/71/81/246147181.db2.gz YJJRCVMPUSPDRL-YTHBOFTNSA-N 0 0 260.293 2.617 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000491985872 246148086 /nfs/dbraw/zinc/14/80/86/246148086.db2.gz YJJRCVMPUSPDRL-QSNNZYTBSA-N 0 0 260.293 2.617 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491985041 246145493 /nfs/dbraw/zinc/14/54/93/246145493.db2.gz SPWXTTZFYBFRFC-LECIECGFSA-N 0 0 278.283 2.756 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NCC1(C(F)F)CC1 ZINC000492011949 246156302 /nfs/dbraw/zinc/15/63/02/246156302.db2.gz VAMBAIOMVKKAAJ-AATRIKPKSA-N 0 0 296.273 2.770 20 5 CFBDRN Cc1cccnc1N(C)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492015145 246157251 /nfs/dbraw/zinc/15/72/51/246157251.db2.gz JOBWYKWLNOPUAP-YFHOEESVSA-N 0 0 297.314 2.974 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCC1(C(F)F)CC1 ZINC000491995801 246151619 /nfs/dbraw/zinc/15/16/19/246151619.db2.gz XFYSIVZEMHWQRW-ZZXKWVIFSA-N 0 0 296.273 2.770 20 5 CFBDRN C/C=C/C=C\C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000492086763 246181520 /nfs/dbraw/zinc/18/15/20/246181520.db2.gz HMYBJAKOKJZYKM-IAROGAJJSA-N 0 0 272.304 2.612 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000492087850 246181900 /nfs/dbraw/zinc/18/19/00/246181900.db2.gz SAEZPTCENXOVBK-PKJDGDQJSA-N 0 0 274.320 2.722 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492067622 246173909 /nfs/dbraw/zinc/17/39/09/246173909.db2.gz IENZBJPEVNVCLM-FPLPWBNLSA-N 0 0 285.303 2.595 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000492064327 246174826 /nfs/dbraw/zinc/17/48/26/246174826.db2.gz FKYZJESUFUTKES-OBBGCOQJSA-N 0 0 274.320 2.722 20 5 CFBDRN CSC(C)(C)CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000075362053 374476468 /nfs/dbraw/zinc/47/64/68/374476468.db2.gz NMPYZSFTKKBTAZ-RMKNXTFCSA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1cc(NC(=O)C=Cc2ccc([N+](=O)[O-])cc2)c(C)cn1 ZINC000492262047 246236090 /nfs/dbraw/zinc/23/60/90/246236090.db2.gz PCLVIRQJDJKJJR-VMPITWQZSA-N 0 0 297.314 2.680 20 5 CFBDRN CC1=CCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)CC1 ZINC000492281343 246242789 /nfs/dbraw/zinc/24/27/89/246242789.db2.gz CPQUJXOMTBJQAT-WAYWQWQTSA-N 0 0 272.304 2.787 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CC[C@@H](C2CC2)C1 ZINC000492296969 246249633 /nfs/dbraw/zinc/24/96/33/246249633.db2.gz HECRKMZCPXIUNT-WBTMPAOCSA-N 0 0 286.331 2.867 20 5 CFBDRN Cc1ccc(/C=C\C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cn1 ZINC000492422326 246287647 /nfs/dbraw/zinc/28/76/47/246287647.db2.gz BHJXJBZVEZADRA-YVMONPNESA-N 0 0 298.302 2.654 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\c1cncc(O)c1 ZINC000492448859 246296093 /nfs/dbraw/zinc/29/60/93/246296093.db2.gz YIIYMIHMPSOOCU-HYXAFXHYSA-N 0 0 299.286 2.656 20 5 CFBDRN COc1cncc(/C=C/C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000492471763 246303266 /nfs/dbraw/zinc/30/32/66/246303266.db2.gz IXKPZTOKIANGJR-FARCUNLSSA-N 0 0 299.286 2.650 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NCC1CC(F)(F)C1 ZINC000492797619 246389091 /nfs/dbraw/zinc/38/90/91/246389091.db2.gz RYAZWFUTGPNZHL-SNAWJCMRSA-N 0 0 296.273 2.770 20 5 CFBDRN COC[C@H](NCc1ccc(C)c([N+](=O)[O-])c1)c1ccco1 ZINC000080240364 374645624 /nfs/dbraw/zinc/64/56/24/374645624.db2.gz UJMQJMONXRDXMH-ZDUSSCGKSA-N 0 0 290.319 2.974 20 5 CFBDRN C[C@@H](CO)SCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000080617178 374663539 /nfs/dbraw/zinc/66/35/39/374663539.db2.gz ZPKUJEYQEFABJT-ZETCQYMHSA-N 0 0 261.730 2.862 20 5 CFBDRN Cc1cnc([C@@H](C)Oc2ccc([N+](=O)[O-])c(CO)c2)o1 ZINC000431860013 383702516 /nfs/dbraw/zinc/70/25/16/383702516.db2.gz HPFVBRXDYXKZHC-SECBINFHSA-N 0 0 278.264 2.524 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NCCC1CC1 ZINC000081004986 374679434 /nfs/dbraw/zinc/67/94/34/374679434.db2.gz DSMLWRKFHYTTNQ-UHFFFAOYSA-N 0 0 280.349 2.603 20 5 CFBDRN CC[C@@](C)(O)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000083421386 374743850 /nfs/dbraw/zinc/74/38/50/374743850.db2.gz BRJCXDRGGMMODZ-LLVKDONJSA-N 0 0 258.705 2.821 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]2CCC[C@H]21 ZINC000087784469 374831653 /nfs/dbraw/zinc/83/16/53/374831653.db2.gz KWOVIAJMKYROBG-IINYFYTJSA-N 0 0 289.335 2.582 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]2CCC[C@H]21 ZINC000087784468 374831716 /nfs/dbraw/zinc/83/17/16/374831716.db2.gz KWOVIAJMKYROBG-QMTHXVAHSA-N 0 0 289.335 2.582 20 5 CFBDRN CC[C@H](C)[C@@H](C)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CC1 ZINC000264170583 383703741 /nfs/dbraw/zinc/70/37/41/383703741.db2.gz KPHJAQBFEMTADA-VHSXEESVSA-N 0 0 279.340 2.962 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CC=CCC1 ZINC000087315310 374814957 /nfs/dbraw/zinc/81/49/57/374814957.db2.gz YHQUDRIPUMIFGD-ZDUSSCGKSA-N 0 0 274.320 2.752 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC[C@@H]2O)c2cccnc21 ZINC000089167723 374882413 /nfs/dbraw/zinc/88/24/13/374882413.db2.gz PFFSMXHOYNNSDQ-HZMBPMFUSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H]3CCC[C@H]3O)ccc2c1 ZINC000089167030 374882680 /nfs/dbraw/zinc/88/26/80/374882680.db2.gz OFEDSLNRFCKINH-BXUZGUMPSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCC[C@H]2O)ccc2ncccc21 ZINC000089167742 374883141 /nfs/dbraw/zinc/88/31/41/374883141.db2.gz PYFONMKBUYWPIK-QMTHXVAHSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1c([N+](=O)[O-])c(C)nn1C ZINC000088298822 374840719 /nfs/dbraw/zinc/84/07/19/374840719.db2.gz ONRMRZGIJRMKKH-BDAKNGLRSA-N 0 0 254.334 2.873 20 5 CFBDRN O=C(Nc1ccncc1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088564096 374853697 /nfs/dbraw/zinc/85/36/97/374853697.db2.gz HMYDPHBENWEJFB-UHFFFAOYSA-N 0 0 298.302 2.580 20 5 CFBDRN CC(C)CCC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089767549 374948433 /nfs/dbraw/zinc/94/84/33/374948433.db2.gz KEDOOTREKVLGKT-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1cnc(NCCc2ccc(O)cc2)c([N+](=O)[O-])c1 ZINC000090692119 375022946 /nfs/dbraw/zinc/02/29/46/375022946.db2.gz OKBRVPNOCQDDMD-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN Cc1cnc(NCc2cccc3c2OCO3)c([N+](=O)[O-])c1 ZINC000090692115 375023721 /nfs/dbraw/zinc/02/37/21/375023721.db2.gz MHDQUTBWFCPOBM-UHFFFAOYSA-N 0 0 287.275 2.639 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ccc(OC)nc2)c1 ZINC000090789276 375027500 /nfs/dbraw/zinc/02/75/00/375027500.db2.gz JBLUZHHHUFROAR-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]1C1CC1 ZINC000493597190 246638501 /nfs/dbraw/zinc/63/85/01/246638501.db2.gz MHEJNBWMJZKBRJ-IUGWJDQWSA-N 0 0 272.304 2.523 20 5 CFBDRN CCNc1ccc(C(=O)N2C[C@@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000091439198 375051472 /nfs/dbraw/zinc/05/14/72/375051472.db2.gz CHKFXMAUUQHWOR-GHMZBOCLSA-N 0 0 291.351 2.755 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2C[C@@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000091562313 375054895 /nfs/dbraw/zinc/05/48/95/375054895.db2.gz RHTZKYMESZNRRX-DGCLKSJQSA-N 0 0 286.335 2.859 20 5 CFBDRN COC(=O)CCCCCCNc1ncc([N+](=O)[O-])cc1C ZINC000092607155 375129670 /nfs/dbraw/zinc/12/96/70/375129670.db2.gz ONTCOQHGKIYYCY-UHFFFAOYSA-N 0 0 295.339 2.834 20 5 CFBDRN CCOc1cc(N[C@@H]2CCCC[C@H]2CO)ccc1[N+](=O)[O-] ZINC000092656439 375135535 /nfs/dbraw/zinc/13/55/35/375135535.db2.gz OXKXCUVIAKAQAN-WCQYABFASA-N 0 0 294.351 2.957 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC(C2CCC2)C1 ZINC000335106243 296226991 /nfs/dbraw/zinc/22/69/91/296226991.db2.gz QLLPCCVLGPCKEQ-UHFFFAOYSA-N 0 0 278.283 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccon2)c(Cl)c1 ZINC000093297682 375203262 /nfs/dbraw/zinc/20/32/62/375203262.db2.gz NQCPHGCJTBCDOM-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN CCC[C@@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000093508629 375258387 /nfs/dbraw/zinc/25/83/87/375258387.db2.gz FAMQHSFIDCRLBD-CQSZACIVSA-N 0 0 278.352 2.904 20 5 CFBDRN COc1cc(NC[C@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000094804882 375381374 /nfs/dbraw/zinc/38/13/74/375381374.db2.gz PMHGPACGPYUDBD-ZDUSSCGKSA-N 0 0 266.297 2.584 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000094810705 375383379 /nfs/dbraw/zinc/38/33/79/375383379.db2.gz AKWOMLINGVOVLX-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN COc1cc(C(=O)Nc2ccnc(C)c2)ccc1[N+](=O)[O-] ZINC000101631060 375647167 /nfs/dbraw/zinc/64/71/67/375647167.db2.gz MORDVNDERNOJKZ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCOC(=O)c1cc(N2CC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000499684132 247088669 /nfs/dbraw/zinc/08/86/69/247088669.db2.gz XTJQSKCODCPHCF-CQSZACIVSA-N 0 0 296.298 2.710 20 5 CFBDRN CC(=O)Nc1nc(CNc2cccc([N+](=O)[O-])c2)cs1 ZINC000102677872 375688245 /nfs/dbraw/zinc/68/82/45/375688245.db2.gz UADDMNKKSYLKQB-UHFFFAOYSA-N 0 0 292.320 2.622 20 5 CFBDRN O=C(Nc1ccc(F)cn1)c1ccc([N+](=O)[O-])cc1F ZINC000103013657 375707820 /nfs/dbraw/zinc/70/78/20/375707820.db2.gz OMDCMOUDUQQWMX-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@@H]1CCCOC1 ZINC000103964570 375762646 /nfs/dbraw/zinc/76/26/46/375762646.db2.gz HSQWRODPHSQZJP-MRVPVSSYSA-N 0 0 256.689 2.839 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1noc(C(C)C)n1 ZINC000104056090 375765684 /nfs/dbraw/zinc/76/56/84/375765684.db2.gz NYWIKKWERLKRQN-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN CN(C[C@@H]1CCCOC1)c1cccc(F)c1[N+](=O)[O-] ZINC000107066089 375805248 /nfs/dbraw/zinc/80/52/48/375805248.db2.gz RKXYVULRHFYSOZ-JTQLQIEISA-N 0 0 268.288 2.597 20 5 CFBDRN Cc1nccn1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000108657970 375817350 /nfs/dbraw/zinc/81/73/50/375817350.db2.gz DQYCGOAZLSGDRS-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cscn2)c(F)c1 ZINC000111628393 375858502 /nfs/dbraw/zinc/85/85/02/375858502.db2.gz KRDZSUXMNWGMBW-UHFFFAOYSA-N 0 0 267.285 2.845 20 5 CFBDRN CCOC(=O)C(C)(C)N(C)Cc1cccc([N+](=O)[O-])c1C ZINC000112356230 375911961 /nfs/dbraw/zinc/91/19/61/375911961.db2.gz CTMDBIBNWWNTRO-UHFFFAOYSA-N 0 0 294.351 2.677 20 5 CFBDRN CCC(C)(CC)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000112828298 375968681 /nfs/dbraw/zinc/96/86/81/375968681.db2.gz YYDDHYGEMHELPE-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN Cc1cc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC000112552837 375930233 /nfs/dbraw/zinc/93/02/33/375930233.db2.gz GNTYQUIFESDRSE-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1cccc(C)c1OCCCn1cnc([N+](=O)[O-])c1 ZINC000112562287 375932761 /nfs/dbraw/zinc/93/27/61/375932761.db2.gz QYVZUWMSCWQGFC-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2c([N+](=O)[O-])ncn2C)C[C@@H]1C ZINC000113577827 376024872 /nfs/dbraw/zinc/02/48/72/376024872.db2.gz PQWQWJQVMXCNKB-KXUCPTDWSA-N 0 0 252.318 2.565 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1c(F)cccc1[N+](=O)[O-] ZINC000115196068 376114670 /nfs/dbraw/zinc/11/46/70/376114670.db2.gz XXKJOLXVBOUJPS-QMMMGPOBSA-N 0 0 287.312 2.776 20 5 CFBDRN CN(Cc1ccc(Cl)cc1[N+](=O)[O-])C[C@@H]1CCCO1 ZINC000116276210 376174752 /nfs/dbraw/zinc/17/47/52/376174752.db2.gz XOVVWIQGRLKDFB-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1c(OC(=O)CC2CCOCC2)cccc1[N+](=O)[O-] ZINC000117133055 376224934 /nfs/dbraw/zinc/22/49/34/376224934.db2.gz UEKWHSVYVPLTKB-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000118974623 376330233 /nfs/dbraw/zinc/33/02/33/376330233.db2.gz ZISUQNORANHEPN-ABAIWWIYSA-N 0 0 292.335 2.650 20 5 CFBDRN COCCCC(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000118296505 376285909 /nfs/dbraw/zinc/28/59/09/376285909.db2.gz CJPXRDUXGGXIII-UHFFFAOYSA-N 0 0 287.699 2.889 20 5 CFBDRN CCO[C@@H](C)C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000118296208 376286542 /nfs/dbraw/zinc/28/65/42/376286542.db2.gz CARWMCZQTFTTLW-QMMMGPOBSA-N 0 0 287.699 2.887 20 5 CFBDRN COc1ccc(CN2CCS[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000118416264 376296008 /nfs/dbraw/zinc/29/60/08/376296008.db2.gz VVKMAGBASLSQKH-WDEREUQCSA-N 0 0 296.392 2.929 20 5 CFBDRN C[C@@H]1SCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000118460142 376299755 /nfs/dbraw/zinc/29/97/55/376299755.db2.gz WOKYXGYVPZGYMS-UWVGGRQHSA-N 0 0 295.364 2.953 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3ccccc32)n1 ZINC000119733332 376461444 /nfs/dbraw/zinc/46/14/44/376461444.db2.gz CZCZRIOSAURJIM-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000120009424 376525943 /nfs/dbraw/zinc/52/59/43/376525943.db2.gz UCHRQYQYDUXIGR-GXFFZTMASA-N 0 0 292.335 2.514 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000120015754 376529559 /nfs/dbraw/zinc/52/95/59/376529559.db2.gz BGKWCEUDIFWNSH-WDEREUQCSA-N 0 0 262.309 2.855 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])[C@H]1CCSC1 ZINC000120060497 376538030 /nfs/dbraw/zinc/53/80/30/376538030.db2.gz KELIRFISKFZNFE-VIFPVBQESA-N 0 0 270.329 2.671 20 5 CFBDRN CCOCCN(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000120356888 376599159 /nfs/dbraw/zinc/59/91/59/376599159.db2.gz TYEMLXJEZFNWEE-UHFFFAOYSA-N 0 0 295.339 2.712 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000264233965 383714277 /nfs/dbraw/zinc/71/42/77/383714277.db2.gz ISTAPUXWLLSWFN-NWDGAFQWSA-N 0 0 292.335 2.669 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(-c2nc([C@@H]3CCOC3)no2)c1 ZINC000120527747 376633358 /nfs/dbraw/zinc/63/33/58/376633358.db2.gz WGGGJWZMNSLGEK-SSDOTTSWSA-N 0 0 295.682 2.802 20 5 CFBDRN CC1=C(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CCCO1 ZINC000120795053 376693836 /nfs/dbraw/zinc/69/38/36/376693836.db2.gz JVQIYXHRGMDKHW-JTQLQIEISA-N 0 0 290.319 2.856 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000037669491 297355445 /nfs/dbraw/zinc/35/54/45/297355445.db2.gz XXWDBDKXZMNLMG-AOOOYVTPSA-N 0 0 280.299 2.852 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@@H](c3ccco3)C2)cs1 ZINC000121270527 376796317 /nfs/dbraw/zinc/79/63/17/376796317.db2.gz AHEHXRIOYHKSLO-GFCCVEGCSA-N 0 0 294.332 2.823 20 5 CFBDRN Cc1c(C[N@H+](C)CCc2ccncc2)cccc1[N+](=O)[O-] ZINC000121480106 376841548 /nfs/dbraw/zinc/84/15/48/376841548.db2.gz RJQYAIHZZGFZPV-UHFFFAOYSA-N 0 0 285.347 2.973 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000121551338 376856878 /nfs/dbraw/zinc/85/68/78/376856878.db2.gz FSLUMNOFGRXOJK-LLVKDONJSA-N 0 0 280.324 2.524 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000121819846 376913445 /nfs/dbraw/zinc/91/34/45/376913445.db2.gz LDRUFFZCVQQTBV-SNVBAGLBSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cncc(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1 ZINC000123790557 376979063 /nfs/dbraw/zinc/97/90/63/376979063.db2.gz VARPVBVPKPYALL-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=C(NC1CC=CC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000125278102 377135696 /nfs/dbraw/zinc/13/56/96/377135696.db2.gz GADWXTNLTHSUIE-UHFFFAOYSA-N 0 0 266.684 2.697 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1C1CC1 ZINC000370752874 296254586 /nfs/dbraw/zinc/25/45/86/296254586.db2.gz IHSUPXWZFCLIKS-CYBMUJFWSA-N 0 0 260.293 2.609 20 5 CFBDRN O=C(N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12)c1ccc([N+](=O)[O-])cc1 ZINC000125669429 377181602 /nfs/dbraw/zinc/18/16/02/377181602.db2.gz KJQVWLQNNFQAHH-ARFHVFGLSA-N 0 0 294.310 2.663 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2cccc(-n3cncn3)c2)n1 ZINC000126754642 377244806 /nfs/dbraw/zinc/24/48/06/377244806.db2.gz QKFSJBZNOXRANB-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000128279569 377327137 /nfs/dbraw/zinc/32/71/37/377327137.db2.gz WRCPJUNHOPQGIH-VXGBXAGGSA-N 0 0 291.351 2.720 20 5 CFBDRN CCOc1cc(N[C@H]2C[C@H](O)C2(C)C)ccc1[N+](=O)[O-] ZINC000129128103 377387726 /nfs/dbraw/zinc/38/77/26/377387726.db2.gz QZYAFKQUCLPBFX-STQMWFEESA-N 0 0 280.324 2.565 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000129256959 377405371 /nfs/dbraw/zinc/40/53/71/377405371.db2.gz BYNGQCCOXCVBJJ-QWRGUYRKSA-N 0 0 270.716 2.820 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC1([C@@H](O)c2ccccc2)CC1 ZINC000129892977 377486176 /nfs/dbraw/zinc/48/61/76/377486176.db2.gz QRZIZFQWZLUJAK-AWEZNQCLSA-N 0 0 299.330 2.916 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Sc1ccc(CO)cc1 ZINC000130326777 377538853 /nfs/dbraw/zinc/53/88/53/377538853.db2.gz CELHNUBFDQUOKC-UHFFFAOYSA-N 0 0 276.317 2.942 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCc2cccc(F)c21 ZINC000336336887 296263152 /nfs/dbraw/zinc/26/31/52/296263152.db2.gz QTCQTNWNPPARQB-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000130355180 377542578 /nfs/dbraw/zinc/54/25/78/377542578.db2.gz HYFBWVNANMNDGS-UWVGGRQHSA-N 0 0 275.308 2.714 20 5 CFBDRN C[C@@H](CCO)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130399021 377552279 /nfs/dbraw/zinc/55/22/79/377552279.db2.gz FGLWLKJPMTZXHF-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000130663616 377589325 /nfs/dbraw/zinc/58/93/25/377589325.db2.gz YBEXCLCQIUCHNS-LLVKDONJSA-N 0 0 262.309 2.775 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@@H]1c1ccccc1 ZINC000334218649 284666265 /nfs/dbraw/zinc/66/62/65/284666265.db2.gz TYLHWUAGRUJZDK-GFCCVEGCSA-N 0 0 271.276 2.510 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@@H]1c1ccccc1 ZINC000334371133 284669865 /nfs/dbraw/zinc/66/98/65/284669865.db2.gz PLAUEYIKHXSDPR-LLVKDONJSA-N 0 0 272.260 2.775 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCc2cc(F)ccc21 ZINC000336549266 284670717 /nfs/dbraw/zinc/67/07/17/284670717.db2.gz HVMJKYVHHVCHSH-UHFFFAOYSA-N 0 0 276.223 2.530 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C[C@@H]1C ZINC000131329885 377696260 /nfs/dbraw/zinc/69/62/60/377696260.db2.gz JEHKTSRNHAJSDY-NEPJUHHUSA-N 0 0 276.336 2.642 20 5 CFBDRN O=C(NCC(F)F)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000131384256 377704211 /nfs/dbraw/zinc/70/42/11/377704211.db2.gz RTWYKCKHIANWIJ-UHFFFAOYSA-N 0 0 298.167 2.609 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000131197608 377675674 /nfs/dbraw/zinc/67/56/74/377675674.db2.gz ZNSVQRRVUKHFIZ-NSHDSACASA-N 0 0 298.314 2.669 20 5 CFBDRN O=[N+]([O-])c1ncn(CCSc2ccc(Cl)cc2)n1 ZINC000131442521 377713221 /nfs/dbraw/zinc/71/32/21/377713221.db2.gz OHFKWDZEYIRDLZ-UHFFFAOYSA-N 0 0 284.728 2.632 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSC2CCOCC2)c1 ZINC000131518963 377722966 /nfs/dbraw/zinc/72/29/66/377722966.db2.gz OJAXLRUYSBCHHS-UHFFFAOYSA-N 0 0 283.349 2.886 20 5 CFBDRN Cc1sc(C(=O)NC[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000131596326 377733664 /nfs/dbraw/zinc/73/36/64/377733664.db2.gz DPDCHUNFTVQWML-MRVPVSSYSA-N 0 0 286.378 2.590 20 5 CFBDRN COc1cc(C(=O)N(C)c2ccccc2)ccc1[N+](=O)[O-] ZINC000133625329 377944785 /nfs/dbraw/zinc/94/47/85/377944785.db2.gz OGZPZMCIKJYIIK-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@]1(O)CCc2ccccc21 ZINC000133727269 377952980 /nfs/dbraw/zinc/95/29/80/377952980.db2.gz IWHXQCXDBUYABW-MRXNPFEDSA-N 0 0 284.315 2.841 20 5 CFBDRN CCC[C@@H](NC(=O)c1[nH]ncc1[N+](=O)[O-])C1CCCCC1 ZINC000133078991 377899594 /nfs/dbraw/zinc/89/95/94/377899594.db2.gz ZFIODFHYCNSBMO-LLVKDONJSA-N 0 0 294.355 2.797 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000133143468 377907840 /nfs/dbraw/zinc/90/78/40/377907840.db2.gz TXNMEBZIFHUGPW-ZYHUDNBSSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@@H]1CCCO1 ZINC000133163079 377911135 /nfs/dbraw/zinc/91/11/35/377911135.db2.gz YJOTUCXXOUUHNS-JSGCOSHPSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@H]1CC(=O)CC[C@@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000134368356 378006295 /nfs/dbraw/zinc/00/62/95/378006295.db2.gz FEKFQBQOXSOJIG-KWQFWETISA-N 0 0 294.282 2.678 20 5 CFBDRN Cc1cccc(C(=O)N(C)C[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000133907060 377967627 /nfs/dbraw/zinc/96/76/27/377967627.db2.gz IXBGVOHNOZBNAT-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000134106339 377983839 /nfs/dbraw/zinc/98/38/39/377983839.db2.gz FCWSPVKVWIYFOI-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000134150375 377987936 /nfs/dbraw/zinc/98/79/36/377987936.db2.gz BQDVUIZPBJFTFQ-UHFFFAOYSA-N 0 0 272.251 2.791 20 5 CFBDRN CCC(CC)CNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000134360579 378004475 /nfs/dbraw/zinc/00/44/75/378004475.db2.gz VTZWIVPLTOAMGK-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN Cc1ccc(NCCC(=O)NC(C)(C)C)c([N+](=O)[O-])c1 ZINC000134835041 378052615 /nfs/dbraw/zinc/05/26/15/378052615.db2.gz JOJMCADSJLABLD-UHFFFAOYSA-N 0 0 279.340 2.620 20 5 CFBDRN COc1cccc(NCCc2ccc(O)cc2)c1[N+](=O)[O-] ZINC000134909401 378062012 /nfs/dbraw/zinc/06/20/12/378062012.db2.gz PDRTUBOYYYBPNY-UHFFFAOYSA-N 0 0 288.303 2.964 20 5 CFBDRN COc1cccc(NCc2c(C)noc2C)c1[N+](=O)[O-] ZINC000134925971 378065251 /nfs/dbraw/zinc/06/52/51/378065251.db2.gz DUZOPWADCDVNGL-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN COc1cccc(NCC2(CO)CCCC2)c1[N+](=O)[O-] ZINC000134928445 378065495 /nfs/dbraw/zinc/06/54/95/378065495.db2.gz QUAPQMIEOASVKZ-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN O=C(NC1CCCCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000135011268 378073896 /nfs/dbraw/zinc/07/38/96/378073896.db2.gz OAEPXJVEOHAMRV-UHFFFAOYSA-N 0 0 266.272 2.796 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CCS1 ZINC000135318917 378117540 /nfs/dbraw/zinc/11/75/40/378117540.db2.gz MTVSLCDTDNHYLE-JTQLQIEISA-N 0 0 298.339 2.702 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000264324410 383726629 /nfs/dbraw/zinc/72/66/29/383726629.db2.gz VYCDCJPZKVSSDD-GXSJLCMTSA-N 0 0 278.308 2.522 20 5 CFBDRN CSC(C)(C)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000135360678 378123258 /nfs/dbraw/zinc/12/32/58/378123258.db2.gz BDSUDBMWZDFPPI-UHFFFAOYSA-N 0 0 286.382 2.858 20 5 CFBDRN Cc1c(CN2CCOCC23CCC3)cccc1[N+](=O)[O-] ZINC000135537829 378143450 /nfs/dbraw/zinc/14/34/50/378143450.db2.gz IHKGXGAJWNUALG-UHFFFAOYSA-N 0 0 276.336 2.658 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000135657670 378154860 /nfs/dbraw/zinc/15/48/60/378154860.db2.gz DKVNDKQTLLVLSC-QMMMGPOBSA-N 0 0 283.309 2.811 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCC(=O)N1CCCCC1 ZINC000135565570 378144939 /nfs/dbraw/zinc/14/49/39/378144939.db2.gz UGLUXBKWCNPOOL-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN CC[C@@]1(C)CN(Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000135588755 378147112 /nfs/dbraw/zinc/14/71/12/378147112.db2.gz FFDKFCBFCQXLGJ-AWEZNQCLSA-N 0 0 264.325 2.596 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCCSC1 ZINC000135660244 378155215 /nfs/dbraw/zinc/15/52/15/378155215.db2.gz SUMONGMJDQPDAR-SECBINFHSA-N 0 0 253.327 2.606 20 5 CFBDRN COC1(C)CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000275412041 284687827 /nfs/dbraw/zinc/68/78/27/284687827.db2.gz GIHJIDLXLITWDV-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CC=CCC1 ZINC000266032678 190224760 /nfs/dbraw/zinc/22/47/60/190224760.db2.gz JBQAEUYIJGOMMS-CYBMUJFWSA-N 0 0 274.320 2.610 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCOC1 ZINC000135251659 378105135 /nfs/dbraw/zinc/10/51/35/378105135.db2.gz BPXTZQCLMOYFDD-IUCAKERBSA-N 0 0 254.261 2.571 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1OCCc2ccccc21 ZINC000136472416 378200593 /nfs/dbraw/zinc/20/05/93/378200593.db2.gz KBEQXOXXRCIPSN-OAHLLOKOSA-N 0 0 299.282 2.814 20 5 CFBDRN CSCCCNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000136743609 378208570 /nfs/dbraw/zinc/20/85/70/378208570.db2.gz RYEXGFALNNDNMI-UHFFFAOYSA-N 0 0 293.348 2.559 20 5 CFBDRN O=C(NOC1CCCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000135688004 378158459 /nfs/dbraw/zinc/15/84/59/378158459.db2.gz TWIMCRFBADBMCW-UHFFFAOYSA-N 0 0 284.699 2.852 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000135812363 378164441 /nfs/dbraw/zinc/16/44/41/378164441.db2.gz QVLIGCQVDWWUJD-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN CN(C(=O)c1cccc(Cl)c1[N+](=O)[O-])C1CCC1 ZINC000135826410 378165188 /nfs/dbraw/zinc/16/51/88/378165188.db2.gz LURTWEWISJWFAZ-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1ncccc1CSc1ccc([N+](=O)[O-])cn1 ZINC000149514551 378266072 /nfs/dbraw/zinc/26/60/72/378266072.db2.gz ORRDOWOPGUIMFQ-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN COc1c(C(=O)Nc2ccccc2)cccc1[N+](=O)[O-] ZINC000137568251 378226473 /nfs/dbraw/zinc/22/64/73/378226473.db2.gz MJDDUEHNSLBCCA-UHFFFAOYSA-N 0 0 272.260 2.856 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c([O-])c2)C1 ZINC000137771858 378228068 /nfs/dbraw/zinc/22/80/68/378228068.db2.gz XKPOAPBAOBVMBU-NSHDSACASA-N 0 0 292.335 2.953 20 5 CFBDRN Cc1cc(CNc2ccnc3c2cccc3[N+](=O)[O-])n(C)n1 ZINC000432176603 383727585 /nfs/dbraw/zinc/72/75/85/383727585.db2.gz MLDPNLABUBOFRM-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CC1CCC(O)(CNc2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000148544501 378257785 /nfs/dbraw/zinc/25/77/85/378257785.db2.gz JYRMRKFBRQRUAW-UHFFFAOYSA-N 0 0 299.758 2.996 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 ZINC000149218772 378262095 /nfs/dbraw/zinc/26/20/95/378262095.db2.gz LREWJEKNCBODOX-QMMMGPOBSA-N 0 0 268.700 2.730 20 5 CFBDRN CCC1(CC)[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C[C@H]1OC ZINC000151841698 378363950 /nfs/dbraw/zinc/36/39/50/378363950.db2.gz XVECYOBAUCANDT-GHMZBOCLSA-N 0 0 296.323 2.511 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H]2OCCC[C@@H]2C1 ZINC000154184383 378546016 /nfs/dbraw/zinc/54/60/16/378546016.db2.gz NIDGLGXMQTWYOE-SKDRFNHKSA-N 0 0 297.742 2.649 20 5 CFBDRN C[C@H]1C[C@H](C)C[NH+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000330558835 378583782 /nfs/dbraw/zinc/58/37/82/378583782.db2.gz AJROTDPBQFMUNI-QWRGUYRKSA-N 0 0 264.325 2.778 20 5 CFBDRN Cc1n[nH]cc1CN(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000154273767 378554200 /nfs/dbraw/zinc/55/42/00/378554200.db2.gz RHAHTJGGLMFJPL-UHFFFAOYSA-N 0 0 286.335 2.791 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@]1(C)CCCS1 ZINC000154040657 378534362 /nfs/dbraw/zinc/53/43/62/378534362.db2.gz ANTYSNAKSTUNKD-CQSZACIVSA-N 0 0 294.376 2.919 20 5 CFBDRN COc1c(C(=O)N2CCC[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000331075361 378626900 /nfs/dbraw/zinc/62/69/00/378626900.db2.gz VRMGEMYGKCDENU-LBPRGKRZSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000331083363 378628636 /nfs/dbraw/zinc/62/86/36/378628636.db2.gz YQRKJBZICBHBLG-CMPLNLGQSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@H]1CCC(F)(F)C1 ZINC000331089310 378631732 /nfs/dbraw/zinc/63/17/32/378631732.db2.gz TXUFFJLAPLNABC-JTQLQIEISA-N 0 0 284.262 2.646 20 5 CFBDRN C[C@H]1COC(C)(C)CN1Cc1cccc([N+](=O)[O-])c1 ZINC000331112971 378635757 /nfs/dbraw/zinc/63/57/57/378635757.db2.gz MAJFNLMJCCCEDE-NSHDSACASA-N 0 0 264.325 2.594 20 5 CFBDRN O=C(C1=CCCC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000154816540 378596050 /nfs/dbraw/zinc/59/60/50/378596050.db2.gz OCCCJWONAAQSET-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN CC(C)C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330972803 378606927 /nfs/dbraw/zinc/60/69/27/378606927.db2.gz UJHREBIFIVBSLQ-LLVKDONJSA-N 0 0 279.340 2.821 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@H](C)CC2)ccc1[N+](=O)[O-] ZINC000155037526 378608078 /nfs/dbraw/zinc/60/80/78/378608078.db2.gz RYTCNAIQJXOMIV-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000330999733 378611814 /nfs/dbraw/zinc/61/18/14/378611814.db2.gz UYDFFZLIGWNYOV-HNNXBMFYSA-N 0 0 292.335 2.622 20 5 CFBDRN C[C@]1(NC(=O)c2ccc([N+](=O)[O-])cc2)CC=CCC1 ZINC000331335808 378671849 /nfs/dbraw/zinc/67/18/49/378671849.db2.gz IVEKCMRGBRZDIS-AWEZNQCLSA-N 0 0 260.293 2.823 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000331174557 378645306 /nfs/dbraw/zinc/64/53/06/378645306.db2.gz GIUPHHAHRZYESQ-QWRGUYRKSA-N 0 0 294.355 2.510 20 5 CFBDRN Cc1cc(OCC(=O)N2C[C@@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000331182622 378645912 /nfs/dbraw/zinc/64/59/12/378645912.db2.gz HQXVGXKYPRTYAF-JQWIXIFHSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H](c1ccccn1)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000159619997 378720130 /nfs/dbraw/zinc/72/01/30/378720130.db2.gz LEVIHVAAJGFFRM-NSHDSACASA-N 0 0 284.319 2.504 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000332121077 378728092 /nfs/dbraw/zinc/72/80/92/378728092.db2.gz CDCXSYWHEMKLCJ-WFASDCNBSA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@H](C3CC3)C2)ncc1[N+](=O)[O-] ZINC000160387947 378767762 /nfs/dbraw/zinc/76/77/62/378767762.db2.gz LGYQAHXAQGESCM-AAEUAGOBSA-N 0 0 277.324 2.668 20 5 CFBDRN O=c1ccn(C[C@@H]2CCc3ccccc3C2)cc1[N+](=O)[O-] ZINC000332511730 378771448 /nfs/dbraw/zinc/77/14/48/378771448.db2.gz IDMOBWDILYNCQN-GFCCVEGCSA-N 0 0 284.315 2.562 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCO[C@H](C2CC2)C1 ZINC000160434887 378771949 /nfs/dbraw/zinc/77/19/49/378771949.db2.gz HRSZXBUYZFUIMR-RISCZKNCSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1nc(C(C)C)oc1Cn1c(C)ncc1[N+](=O)[O-] ZINC000332669069 378786204 /nfs/dbraw/zinc/78/62/04/378786204.db2.gz HUFQCMMMPLQHCL-UHFFFAOYSA-N 0 0 264.285 2.568 20 5 CFBDRN CCc1ccc([C@H](CO)Nc2ncccc2[N+](=O)[O-])cc1 ZINC000160894884 378807670 /nfs/dbraw/zinc/80/76/70/378807670.db2.gz MJOXPHCEBNICPJ-ZDUSSCGKSA-N 0 0 287.319 2.698 20 5 CFBDRN Cc1c(CC(=O)NCCCC(C)C)cccc1[N+](=O)[O-] ZINC000161123996 378822689 /nfs/dbraw/zinc/82/26/89/378822689.db2.gz HNOQHNOEJDRBCZ-UHFFFAOYSA-N 0 0 278.352 2.998 20 5 CFBDRN CS[C@@H](C)CNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000161441236 378848279 /nfs/dbraw/zinc/84/82/79/378848279.db2.gz HUMUAICFQRUYIA-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN Cc1cccc(CNc2ccc([N+](=O)[O-])c(C)n2)n1 ZINC000161527355 378854408 /nfs/dbraw/zinc/85/44/08/378854408.db2.gz YVDOSPOLGHCXNC-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cc(C(=O)NOCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000162814638 378936518 /nfs/dbraw/zinc/93/65/18/378936518.db2.gz AKOFLVUVOFMUCJ-UHFFFAOYSA-N 0 0 292.213 2.517 20 5 CFBDRN Cc1c(C(=O)NOCCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000162932471 378942524 /nfs/dbraw/zinc/94/25/24/378942524.db2.gz GUYSHCAROGXJAI-UHFFFAOYSA-N 0 0 292.213 2.517 20 5 CFBDRN COCCCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000163092406 378953221 /nfs/dbraw/zinc/95/32/21/378953221.db2.gz ZJUBRZJJDHVEFE-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN Cc1ccc(NC(=O)N(C)CCCCCO)cc1[N+](=O)[O-] ZINC000278583647 194055746 /nfs/dbraw/zinc/05/57/46/194055746.db2.gz CVOCOGBUBXVOTM-UHFFFAOYSA-N 0 0 295.339 2.530 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CC[C@H]2C)cccc1[N+](=O)[O-] ZINC000334276025 379019561 /nfs/dbraw/zinc/01/95/61/379019561.db2.gz NEVHYKVBXGCJGS-SCZZXKLOSA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2ncnn2C(C)C)c1 ZINC000278620006 194071570 /nfs/dbraw/zinc/07/15/70/194071570.db2.gz HFSBUWWGXQCZTC-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CSC[C@H]2C)cccc1[N+](=O)[O-] ZINC000334272391 379012823 /nfs/dbraw/zinc/01/28/23/379012823.db2.gz IPFLLDSQRHMALS-KCJUWKMLSA-N 0 0 295.364 2.776 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC[C@H]1C ZINC000334285575 379034952 /nfs/dbraw/zinc/03/49/52/379034952.db2.gz GMSVUMVNSCTOSO-LDYMZIIASA-N 0 0 263.297 2.823 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC(F)(F)C1 ZINC000334284706 379033601 /nfs/dbraw/zinc/03/36/01/379033601.db2.gz JWFOKXPYALWIGG-UHFFFAOYSA-N 0 0 295.245 2.557 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000335315187 379071581 /nfs/dbraw/zinc/07/15/81/379071581.db2.gz BCHOBDVDRNTULP-WDEREUQCSA-N 0 0 266.272 2.524 20 5 CFBDRN CC(C)OCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000168655433 379093103 /nfs/dbraw/zinc/09/31/03/379093103.db2.gz YKWPUNFGWVZXQT-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CC2(C1)CCCC2 ZINC000335322709 379087583 /nfs/dbraw/zinc/08/75/83/379087583.db2.gz SBVRYICRYWUYQU-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000334321504 379090035 /nfs/dbraw/zinc/09/00/35/379090035.db2.gz IDBGFZSWHQEMOM-UWVGGRQHSA-N 0 0 281.287 2.915 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCC[C@H]2F)cc1[N+](=O)[O-] ZINC000334328388 379100494 /nfs/dbraw/zinc/10/04/94/379100494.db2.gz ICERPQVAECYYOM-MNOVXSKESA-N 0 0 266.272 2.524 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCCC[C@H]1C(F)F ZINC000334328928 379103140 /nfs/dbraw/zinc/10/31/40/379103140.db2.gz IQXYOPIMJQOMJA-NSHDSACASA-N 0 0 284.262 2.855 20 5 CFBDRN COc1cnc(NC(=O)c2ccc([N+](=O)[O-])cc2C)s1 ZINC000339178713 220261636 /nfs/dbraw/zinc/26/16/36/220261636.db2.gz ZBGZZRPBPHZCDX-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000334336402 379114649 /nfs/dbraw/zinc/11/46/49/379114649.db2.gz SPJNUVALJVMHAR-NXEZZACHSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000334338168 379118163 /nfs/dbraw/zinc/11/81/63/379118163.db2.gz XPPFPSZXIYMOAS-GHMZBOCLSA-N 0 0 277.324 2.850 20 5 CFBDRN O=C(CC1CC1)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000278769388 194146869 /nfs/dbraw/zinc/14/68/69/194146869.db2.gz STFFHNVVZTVOTG-UHFFFAOYSA-N 0 0 285.303 2.828 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000335376675 379186069 /nfs/dbraw/zinc/18/60/69/379186069.db2.gz IBXMRZWGFOTCPN-LLVKDONJSA-N 0 0 261.281 2.577 20 5 CFBDRN Cc1cc(NCC2(CO)CC3(CCC3)C2)ncc1[N+](=O)[O-] ZINC000278791389 194157027 /nfs/dbraw/zinc/15/70/27/194157027.db2.gz GWNGYBUYQUPZHL-UHFFFAOYSA-N 0 0 291.351 2.653 20 5 CFBDRN C[C@H](O)CCCNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000278803595 194162077 /nfs/dbraw/zinc/16/20/77/194162077.db2.gz HUWFSIBAOKLYPW-QMMMGPOBSA-N 0 0 290.266 2.769 20 5 CFBDRN CCc1[nH]nc(-c2nc(C3CCCC3)no2)c1[N+](=O)[O-] ZINC000278824195 194171729 /nfs/dbraw/zinc/17/17/29/194171729.db2.gz CDKYPTBYBANWBI-UHFFFAOYSA-N 0 0 277.284 2.588 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000177023647 291725442 /nfs/dbraw/zinc/72/54/42/291725442.db2.gz HOTATEHGRZFNSQ-KOLCDFICSA-N 0 0 262.309 2.774 20 5 CFBDRN CC1(C)CCCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000171099247 379230237 /nfs/dbraw/zinc/23/02/37/379230237.db2.gz UFQIZKHSDHNDMM-UHFFFAOYSA-N 0 0 277.324 2.679 20 5 CFBDRN O=C(N[C@H]1CCC[C@H](F)C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335408306 379236995 /nfs/dbraw/zinc/23/69/95/379236995.db2.gz KJTIFXIHRKUVNN-ONGXEEELSA-N 0 0 284.262 2.744 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000335416514 379255049 /nfs/dbraw/zinc/25/50/49/379255049.db2.gz SWYFHOFORGQNBC-UHFFFAOYSA-N 0 0 254.261 2.604 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@@H](F)C1 ZINC000335420152 379262674 /nfs/dbraw/zinc/26/26/74/379262674.db2.gz UDVJOUIEAXWZAX-GHMZBOCLSA-N 0 0 280.299 2.914 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)c1ccccc1[N+](=O)[O-] ZINC000335459372 379330175 /nfs/dbraw/zinc/33/01/75/379330175.db2.gz IPEVBYVRGUWINE-JTQLQIEISA-N 0 0 262.309 2.998 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334457903 379333906 /nfs/dbraw/zinc/33/39/06/379333906.db2.gz QDJGRUBBRRZKJW-GFCCVEGCSA-N 0 0 266.272 2.604 20 5 CFBDRN CCC(CC)CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000171569105 379341360 /nfs/dbraw/zinc/34/13/60/379341360.db2.gz JTPORQBUBOIVDC-UHFFFAOYSA-N 0 0 256.327 2.822 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@H]2C(C)(C)C)ccc1[N+](=O)[O-] ZINC000334443139 379308697 /nfs/dbraw/zinc/30/86/97/379308697.db2.gz CRPBTCCRNGDXJJ-NSHDSACASA-N 0 0 279.340 2.584 20 5 CFBDRN CCOC(=O)CN(Cc1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000171632162 379358680 /nfs/dbraw/zinc/35/86/80/379358680.db2.gz XGAANQAMJKBOPR-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN CC(C)[C@@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000171912426 379434277 /nfs/dbraw/zinc/43/42/77/379434277.db2.gz PRVYQTGSWBOBAP-MRVPVSSYSA-N 0 0 254.261 2.965 20 5 CFBDRN CC(C)[C@H](C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000171929266 379441740 /nfs/dbraw/zinc/44/17/40/379441740.db2.gz DBYHBRDCZRZPIK-NSHDSACASA-N 0 0 264.325 2.546 20 5 CFBDRN Cc1ccc(NC(=O)[C@@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000335494723 379400444 /nfs/dbraw/zinc/40/04/44/379400444.db2.gz JLLWWVDGGNEMPI-PWSUYJOCSA-N 0 0 252.245 2.590 20 5 CFBDRN CC(=O)c1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])cc1 ZINC000171849088 379421421 /nfs/dbraw/zinc/42/14/21/379421421.db2.gz GOISHWSQHWFRJU-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN O=C(c1ccc[nH]1)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334546470 379498780 /nfs/dbraw/zinc/49/87/80/379498780.db2.gz WGGFSDOAIFWUIR-ZDUSSCGKSA-N 0 0 299.330 2.943 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCSC[C@H]1C ZINC000335527548 379457027 /nfs/dbraw/zinc/45/70/27/379457027.db2.gz IYHPOTKKZRPENA-LLVKDONJSA-N 0 0 294.376 2.789 20 5 CFBDRN CC(C)C(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334545852 379499619 /nfs/dbraw/zinc/49/96/19/379499619.db2.gz SFOVPGUYPDQFGS-CYBMUJFWSA-N 0 0 276.336 2.957 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@@H](C2CC2)C1 ZINC000334532377 379468699 /nfs/dbraw/zinc/46/86/99/379468699.db2.gz KXCMCQGGCYSMJB-SECBINFHSA-N 0 0 266.322 2.528 20 5 CFBDRN C[C@H](NC(=O)c1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000172097518 379492496 /nfs/dbraw/zinc/49/24/96/379492496.db2.gz WPRGYWNVDZPWJM-JTQLQIEISA-N 0 0 262.309 2.903 20 5 CFBDRN COc1cccc(COc2ccc([N+](=O)[O-])cc2F)n1 ZINC000172319651 379542625 /nfs/dbraw/zinc/54/26/25/379542625.db2.gz TYFNCKNEEAESCM-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000172498291 379582414 /nfs/dbraw/zinc/58/24/14/379582414.db2.gz DXXLISUOVOUWOB-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@H]1CCCC1(F)F ZINC000334580089 379568120 /nfs/dbraw/zinc/56/81/20/379568120.db2.gz MEHUBSXESUADKA-SSDOTTSWSA-N 0 0 292.669 2.845 20 5 CFBDRN C[C@H]1CSCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000172594283 379602155 /nfs/dbraw/zinc/60/21/55/379602155.db2.gz GECHRXIRRYRGBM-JTQLQIEISA-N 0 0 252.339 2.532 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000172635208 379610439 /nfs/dbraw/zinc/61/04/39/379610439.db2.gz XQWWLTHWDZOTDH-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000172638317 379611539 /nfs/dbraw/zinc/61/15/39/379611539.db2.gz YKTFFZJNYIWXAD-QWRGUYRKSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000172638513 379612741 /nfs/dbraw/zinc/61/27/41/379612741.db2.gz YVOYDDSYRSBVAL-NEPJUHHUSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC000172649602 379615580 /nfs/dbraw/zinc/61/55/80/379615580.db2.gz ZRLPFQLNWXOSMT-GHMZBOCLSA-N 0 0 262.309 2.855 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000172655043 379617778 /nfs/dbraw/zinc/61/77/78/379617778.db2.gz BMHDMRIPAZGBHD-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000172659886 379617861 /nfs/dbraw/zinc/61/78/61/379617861.db2.gz FOJGHVVYLGUAFD-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](C)C(C)C)c1 ZINC000173138058 379700962 /nfs/dbraw/zinc/70/09/62/379700962.db2.gz RCXCALVGBOXOOJ-LLVKDONJSA-N 0 0 264.325 2.925 20 5 CFBDRN CC(C)CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000173042009 379689194 /nfs/dbraw/zinc/68/91/94/379689194.db2.gz BPJAMLKVFHFYGK-UHFFFAOYSA-N 0 0 290.241 2.999 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC[C@H](F)C1 ZINC000334626479 379653582 /nfs/dbraw/zinc/65/35/82/379653582.db2.gz KRNVRDUPMJRUNA-JTQLQIEISA-N 0 0 281.287 2.787 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](C)C(C)C)c1 ZINC000173138068 379700586 /nfs/dbraw/zinc/70/05/86/379700586.db2.gz RCXCALVGBOXOOJ-NSHDSACASA-N 0 0 264.325 2.925 20 5 CFBDRN COc1cccc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000173389036 379734905 /nfs/dbraw/zinc/73/49/05/379734905.db2.gz CVWXYHAQBDSHGQ-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1C1CC1 ZINC000334667888 379738093 /nfs/dbraw/zinc/73/80/93/379738093.db2.gz DPDOZVQBQUPJIN-CYBMUJFWSA-N 0 0 260.293 2.528 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000173172967 379705315 /nfs/dbraw/zinc/70/53/15/379705315.db2.gz FIFXTKIQSCCXEG-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000173878677 379791519 /nfs/dbraw/zinc/79/15/19/379791519.db2.gz WOXBNNKWWCHHTB-SNVBAGLBSA-N 0 0 280.324 2.528 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1CC(C)(F)C1 ZINC000334704146 379796639 /nfs/dbraw/zinc/79/66/39/379796639.db2.gz WSGAHQQVOTYYHW-UHFFFAOYSA-N 0 0 281.287 2.915 20 5 CFBDRN Cc1cc(Sc2n[nH]c(C(C)(C)C)n2)ncc1[N+](=O)[O-] ZINC000173913119 379800491 /nfs/dbraw/zinc/80/04/91/379800491.db2.gz LXEOEMBLTJNQTD-UHFFFAOYSA-N 0 0 293.352 2.865 20 5 CFBDRN CC[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCS1 ZINC000174178097 379840420 /nfs/dbraw/zinc/84/04/20/379840420.db2.gz ARBYHDBEQNHRBM-LBPRGKRZSA-N 0 0 295.364 2.954 20 5 CFBDRN CC(C)(C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C(F)F ZINC000335769189 379859392 /nfs/dbraw/zinc/85/93/92/379859392.db2.gz RXEBKXQQNNJNSB-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335785750 379885544 /nfs/dbraw/zinc/88/55/44/379885544.db2.gz BSOALFSGYFKZTR-HTQZYQBOSA-N 0 0 267.260 2.749 20 5 CFBDRN Cc1cccc(C(=O)N(C)[C@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000335785812 379885729 /nfs/dbraw/zinc/88/57/29/379885729.db2.gz LHBNWGIMQKHSRY-NSHDSACASA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000334753985 379888118 /nfs/dbraw/zinc/88/81/18/379888118.db2.gz HBZZANCAELHFLT-NXEZZACHSA-N 0 0 287.319 2.947 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)CCS1 ZINC000174792192 379943162 /nfs/dbraw/zinc/94/31/62/379943162.db2.gz PBUWHMKIRRRUDB-MRVPVSSYSA-N 0 0 286.378 2.624 20 5 CFBDRN COc1cc(N(C)CCc2ccncc2)ccc1[N+](=O)[O-] ZINC000174884170 379956131 /nfs/dbraw/zinc/95/61/31/379956131.db2.gz SELPMULZFGSFGV-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN CN(CCc1ccc([N+](=O)[O-])cc1)Cc1nccs1 ZINC000175049339 379985548 /nfs/dbraw/zinc/98/55/48/379985548.db2.gz LEGMAVPQBZRQNN-UHFFFAOYSA-N 0 0 277.349 2.726 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCCCC1 ZINC000175071079 379988970 /nfs/dbraw/zinc/98/89/70/379988970.db2.gz DTFCLYAKQAISBF-UHFFFAOYSA-N 0 0 264.325 2.979 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000175167891 380011386 /nfs/dbraw/zinc/01/13/86/380011386.db2.gz QOMKXDLRGURETM-NWDGAFQWSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000175199742 380019365 /nfs/dbraw/zinc/01/93/65/380019365.db2.gz ICBSYJPCZYUPBX-RYUDHWBXSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000175260331 380032349 /nfs/dbraw/zinc/03/23/49/380032349.db2.gz CAKZNNYQRPJXPW-NWDGAFQWSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000267821342 291744269 /nfs/dbraw/zinc/74/42/69/291744269.db2.gz XVWAMSXECFNYBR-QWRGUYRKSA-N 0 0 262.309 2.631 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3CCC[C@H]3F)cc2N1 ZINC000338823295 284817702 /nfs/dbraw/zinc/81/77/02/284817702.db2.gz FRJVIFQDUIHQKX-ZJUUUORDSA-N 0 0 293.298 2.782 20 5 CFBDRN C[C@H](N(C)C(=O)c1ccc([N+](=O)[O-])s1)C1(C)CC1 ZINC000175630958 380103708 /nfs/dbraw/zinc/10/37/08/380103708.db2.gz AWBARUUVHCFIGY-QMMMGPOBSA-N 0 0 268.338 2.917 20 5 CFBDRN CC(C)C1(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000175761016 380126431 /nfs/dbraw/zinc/12/64/31/380126431.db2.gz BLWIIIWGZGWYJT-UHFFFAOYSA-N 0 0 277.324 2.542 20 5 CFBDRN O=C(Nc1cc(F)cc(F)c1F)c1ccc([N+](=O)[O-])[nH]1 ZINC000335962267 380166763 /nfs/dbraw/zinc/16/67/63/380166763.db2.gz PHDUARNFCFPPFR-UHFFFAOYSA-N 0 0 285.181 2.593 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000335964440 380169576 /nfs/dbraw/zinc/16/95/76/380169576.db2.gz AVKFDXYEDBIXAQ-MWLCHTKSSA-N 0 0 281.287 2.915 20 5 CFBDRN Cc1ccc(C)c(N(C)C(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000335973641 380181619 /nfs/dbraw/zinc/18/16/19/380181619.db2.gz CNRVIKYGLLHUSW-UHFFFAOYSA-N 0 0 287.319 2.827 20 5 CFBDRN Cc1c(NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cnn1C ZINC000176257062 380203351 /nfs/dbraw/zinc/20/33/51/380203351.db2.gz PEYZAALWCBPKBA-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN C[C@H](C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000176497151 380245500 /nfs/dbraw/zinc/24/55/00/380245500.db2.gz CRGIFVHVKZBMMP-JTQLQIEISA-N 0 0 276.336 2.992 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000176547793 380253181 /nfs/dbraw/zinc/25/31/81/380253181.db2.gz PRTFWVLFDPZHFF-NWDGAFQWSA-N 0 0 260.293 2.888 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000176547811 380253517 /nfs/dbraw/zinc/25/35/17/380253517.db2.gz PRTFWVLFDPZHFF-RYUDHWBXSA-N 0 0 260.293 2.888 20 5 CFBDRN CC[C@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000176368776 380222807 /nfs/dbraw/zinc/22/28/07/380222807.db2.gz HCGQAFBOKAOADF-NSHDSACASA-N 0 0 277.324 2.536 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]2C1 ZINC000176461602 380238576 /nfs/dbraw/zinc/23/85/76/380238576.db2.gz LXDSWQSVGIMRKH-BETUJISGSA-N 0 0 289.335 2.536 20 5 CFBDRN Cc1cnc(OCCCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000279045251 194262782 /nfs/dbraw/zinc/26/27/82/194262782.db2.gz GMGBHTWMTIUYGA-UHFFFAOYSA-N 0 0 280.324 2.884 20 5 CFBDRN CC[C@@H](NC(=O)CC(C)(C)OC)c1cccc([N+](=O)[O-])c1 ZINC000279052345 194264485 /nfs/dbraw/zinc/26/44/85/194264485.db2.gz RRIUKCZZNINGNB-CYBMUJFWSA-N 0 0 294.351 2.977 20 5 CFBDRN O=Cc1ccc(OCCCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000279071455 194272281 /nfs/dbraw/zinc/27/22/81/194272281.db2.gz KBWYPKQPICUGLC-UHFFFAOYSA-N 0 0 293.319 2.993 20 5 CFBDRN CCc1cncc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)c1 ZINC000279082534 194275685 /nfs/dbraw/zinc/27/56/85/194275685.db2.gz FDSKIDLFIDRHHB-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN C[C@]1(F)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000336511040 380388875 /nfs/dbraw/zinc/38/88/75/380388875.db2.gz SQWVEOIJFRITFE-LBPRGKRZSA-N 0 0 267.260 2.561 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000336514496 380394017 /nfs/dbraw/zinc/39/40/17/380394017.db2.gz RARWTJAPVSYHQI-QWRGUYRKSA-N 0 0 262.309 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2nccc2-c2cccnc2)cc1 ZINC000177488168 380347537 /nfs/dbraw/zinc/34/75/37/380347537.db2.gz KZCHZIQQOVESNS-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN CCC1(NS(=O)(=O)c2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000177538687 380352005 /nfs/dbraw/zinc/35/20/05/380352005.db2.gz UAMIAYYGQXZOTC-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N(C)C)[C@H]1C ZINC000178170594 380418131 /nfs/dbraw/zinc/41/81/31/380418131.db2.gz HESPBJLWDRJJRA-QWRGUYRKSA-N 0 0 291.351 2.531 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@@H](C)S1 ZINC000336577258 380494200 /nfs/dbraw/zinc/49/42/00/380494200.db2.gz OFRAXTWYAIZEHW-RKDXNWHRSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000336578865 380495372 /nfs/dbraw/zinc/49/53/72/380495372.db2.gz RLWXIKVDJOPNOU-SFYZADRCSA-N 0 0 254.311 2.527 20 5 CFBDRN Cc1ccc2nc(-c3ccc([N+](=O)[O-])cc3)[nH]c2n1 ZINC000178979660 380498437 /nfs/dbraw/zinc/49/84/37/380498437.db2.gz WASHWDIBYSWRRB-UHFFFAOYSA-N 0 0 254.249 2.842 20 5 CFBDRN COCC1=CCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000302479415 284832436 /nfs/dbraw/zinc/83/24/36/284832436.db2.gz XVYSRZVJMJBQLX-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN COc1ncccc1COc1ccc(C)c([N+](=O)[O-])c1 ZINC000178992443 380500366 /nfs/dbraw/zinc/50/03/66/380500366.db2.gz YLYDWMYRZKRWEH-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C[C@@H]1C ZINC000179305341 380528199 /nfs/dbraw/zinc/52/81/99/380528199.db2.gz HMYGXOAVENFKJA-NEPJUHHUSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1ccc(C(=O)N2CC(C)=C[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000336606230 380536885 /nfs/dbraw/zinc/53/68/85/380536885.db2.gz KCTMLXLKKGNYDH-SNVBAGLBSA-N 0 0 274.320 2.941 20 5 CFBDRN Cc1nscc1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000179494643 380548508 /nfs/dbraw/zinc/54/85/08/380548508.db2.gz NGEUAGISDXVPGL-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(c1sccc1F)N1CCc2c1cccc2[N+](=O)[O-] ZINC000336613266 380548905 /nfs/dbraw/zinc/54/89/05/380548905.db2.gz FHSRTAYMZOKZQP-UHFFFAOYSA-N 0 0 292.291 2.998 20 5 CFBDRN COCC1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 ZINC000069704498 284838512 /nfs/dbraw/zinc/83/85/12/284838512.db2.gz RLKXTNPYDHIMJO-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1c(Br)c(N[C@H](C)CF)ncc1[N+](=O)[O-] ZINC000336727608 380616030 /nfs/dbraw/zinc/61/60/30/380616030.db2.gz CBDGBSBLXNIEDJ-RXMQYKEDSA-N 0 0 292.108 2.831 20 5 CFBDRN CC(C)CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000180513766 380669324 /nfs/dbraw/zinc/66/93/24/380669324.db2.gz YZVRWGMHWCIOQM-JTQLQIEISA-N 0 0 294.355 2.517 20 5 CFBDRN COCC1(CNc2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000336813982 380674403 /nfs/dbraw/zinc/67/44/03/380674403.db2.gz DMHWKSQBSQTNPV-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN CC1(C)[C@@H](O)CCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000336814198 380674586 /nfs/dbraw/zinc/67/45/86/380674586.db2.gz FAJQZLLKIIMLHY-LBPRGKRZSA-N 0 0 284.743 2.593 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cc1O ZINC000180624709 380682480 /nfs/dbraw/zinc/68/24/80/380682480.db2.gz JOYSQRMMUUIVIG-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN CCc1ccccc1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180804671 380701374 /nfs/dbraw/zinc/70/13/74/380701374.db2.gz ZVYLPJSWKTVWAV-UHFFFAOYSA-N 0 0 299.330 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2Cc3ccccc3[C@H]2O)cc1 ZINC000180435152 380660577 /nfs/dbraw/zinc/66/05/77/380660577.db2.gz UAROHFFWISKSLO-HUUCEWRRSA-N 0 0 270.288 2.665 20 5 CFBDRN CO[C@H](C)CCC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000181079481 380735328 /nfs/dbraw/zinc/73/53/28/380735328.db2.gz CAVLIZGDAGCVJJ-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000181297655 380772036 /nfs/dbraw/zinc/77/20/36/380772036.db2.gz NGSQIAJRLZUZKU-SNVBAGLBSA-N 0 0 283.349 2.946 20 5 CFBDRN CN(CCc1ccncc1)Cc1csc([N+](=O)[O-])c1 ZINC000181462171 380796918 /nfs/dbraw/zinc/79/69/18/380796918.db2.gz IBJJXXSFEKKPFR-UHFFFAOYSA-N 0 0 277.349 2.726 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@]2(C)CC(C)C)c1[N+](=O)[O-] ZINC000295353473 380805792 /nfs/dbraw/zinc/80/57/92/380805792.db2.gz ATONJUWGBCACAE-CQSZACIVSA-N 0 0 294.355 2.667 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)C[C@H](O)C(F)(F)F ZINC000341169415 380812251 /nfs/dbraw/zinc/81/22/51/380812251.db2.gz WZOXORTWDZFVBZ-KCJUWKMLSA-N 0 0 292.257 2.511 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000287562591 380869114 /nfs/dbraw/zinc/86/91/14/380869114.db2.gz MPGUFRMHGAXKCO-NSHDSACASA-N 0 0 263.297 2.905 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCC[C@H]1CCOC1 ZINC000401988963 380880486 /nfs/dbraw/zinc/88/04/86/380880486.db2.gz HBJWUWWOMGWMPW-JTQLQIEISA-N 0 0 268.288 2.963 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC[C@H](O)C(F)(F)F ZINC000358488163 380876306 /nfs/dbraw/zinc/87/63/06/380876306.db2.gz AALJMQWMYKQKNI-VIFPVBQESA-N 0 0 278.230 2.628 20 5 CFBDRN COC(=O)CCNc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000341200767 380828756 /nfs/dbraw/zinc/82/87/56/380828756.db2.gz XSGBWHBTFABSGC-UHFFFAOYSA-N 0 0 293.106 2.877 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)C1 ZINC000341264472 380878446 /nfs/dbraw/zinc/87/84/46/380878446.db2.gz MQSAQUIHDDZUBW-CYBMUJFWSA-N 0 0 299.330 2.882 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H]1Cc2ccccc21 ZINC000358426639 380839587 /nfs/dbraw/zinc/83/95/87/380839587.db2.gz UFBUWQPWTIEXEQ-INIZCTEOSA-N 0 0 296.326 2.593 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@](F)(CO)C1 ZINC000367828419 380841231 /nfs/dbraw/zinc/84/12/31/380841231.db2.gz GTBDORHCSUGOTB-GFCCVEGCSA-N 0 0 288.706 2.549 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1cccc(C(F)(F)F)c1 ZINC000341227818 380845453 /nfs/dbraw/zinc/84/54/53/380845453.db2.gz OVIQNBGKQIGQJD-UHFFFAOYSA-N 0 0 271.198 2.858 20 5 CFBDRN CCOC(=O)[C@@H](NCc1ccc([N+](=O)[O-])cc1)[C@H](C)CC ZINC000181632246 380847491 /nfs/dbraw/zinc/84/74/91/380847491.db2.gz AIDLDJNZULRKPY-RISCZKNCSA-N 0 0 294.351 2.662 20 5 CFBDRN CS[C@H](C)CCC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000358444488 380851058 /nfs/dbraw/zinc/85/10/58/380851058.db2.gz UNFUCAPFRCLUMG-LLVKDONJSA-N 0 0 296.392 2.785 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1C[C@@]12CCOC2 ZINC000368106483 380927853 /nfs/dbraw/zinc/92/78/53/380927853.db2.gz GCLVMKRXAOLKQM-XHDPSFHLSA-N 0 0 290.319 2.577 20 5 CFBDRN O=[N+]([O-])c1c(NCCC[C@@H]2CCOC2)nc2sccn21 ZINC000402382098 380884524 /nfs/dbraw/zinc/88/45/24/380884524.db2.gz NKOGYDFJJHYXHM-SECBINFHSA-N 0 0 296.352 2.533 20 5 CFBDRN Cc1cccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)c1F ZINC000181765294 380890818 /nfs/dbraw/zinc/89/08/18/380890818.db2.gz YESHUUPPRXOUJC-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC/C=C/CNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000295499389 380897955 /nfs/dbraw/zinc/89/79/55/380897955.db2.gz BVSCGYKPGFBLHX-ONEGZZNKSA-N 0 0 268.700 2.944 20 5 CFBDRN Cc1nscc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000181808166 380903875 /nfs/dbraw/zinc/90/38/75/380903875.db2.gz WCCJDEVYBHMXSV-QMMMGPOBSA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1nn(CCCC(=O)OC(C)(C)C)c(C)c1[N+](=O)[O-] ZINC000181834231 380909423 /nfs/dbraw/zinc/90/94/23/380909423.db2.gz NNHBYYUTZUSMRE-UHFFFAOYSA-N 0 0 283.328 2.530 20 5 CFBDRN CCc1nnc(CNc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000353863114 380912533 /nfs/dbraw/zinc/91/25/33/380912533.db2.gz VHACRUDTSCRIAB-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N[C@@H](C)CF)cc1[N+](=O)[O-] ZINC000287715100 380918035 /nfs/dbraw/zinc/91/80/35/380918035.db2.gz RFBNDCHPNAWDER-VHSXEESVSA-N 0 0 283.303 2.621 20 5 CFBDRN COCCCNc1c2ccc(OC)cc2ncc1[N+](=O)[O-] ZINC000295532116 380920630 /nfs/dbraw/zinc/92/06/30/380920630.db2.gz SSFJLVBHPIPGNM-UHFFFAOYSA-N 0 0 291.307 2.600 20 5 CFBDRN CC[C@H](NC(=O)N[C@@H](C)CF)c1cccc([N+](=O)[O-])c1 ZINC000287829728 380950927 /nfs/dbraw/zinc/95/09/27/380950927.db2.gz YAZCNSZJKGBQQG-CABZTGNLSA-N 0 0 283.303 2.703 20 5 CFBDRN Cc1cc(C)cc(CN(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000347126944 380957623 /nfs/dbraw/zinc/95/76/23/380957623.db2.gz JHDZJIPMYYGHLS-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN Cc1cc(C)c(OCC2(O)CCCC2)c([N+](=O)[O-])c1 ZINC000409445679 380959797 /nfs/dbraw/zinc/95/97/97/380959797.db2.gz LBYXPQMVPPGEQL-UHFFFAOYSA-N 0 0 265.309 2.896 20 5 CFBDRN CN(Cc1ccco1)c1c(F)cccc1[N+](=O)[O-] ZINC000341354226 380961789 /nfs/dbraw/zinc/96/17/89/380961789.db2.gz XDFJCBHTMLDZAE-UHFFFAOYSA-N 0 0 250.229 2.963 20 5 CFBDRN Cc1nc(N2CC[C@@H](c3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000295612878 380975456 /nfs/dbraw/zinc/97/54/56/380975456.db2.gz VMBCFEIGFCURQQ-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN CC[C@H](CCO)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287934173 380976721 /nfs/dbraw/zinc/97/67/21/380976721.db2.gz RAXBGSMJHSTXHF-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1C(=O)Nc1cccc(C(F)F)c1 ZINC000347162078 380977012 /nfs/dbraw/zinc/97/70/12/380977012.db2.gz VCGZWHZTIBNMBZ-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000295626847 380982563 /nfs/dbraw/zinc/98/25/63/380982563.db2.gz RPLWRZSPPCHXHJ-LBPRGKRZSA-N 0 0 286.328 2.929 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC(C)(C)COC ZINC000353894200 380934113 /nfs/dbraw/zinc/93/41/13/380934113.db2.gz AYQROFNSUNRKCV-UHFFFAOYSA-N 0 0 295.339 2.704 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1ccc([N+](=O)[O-])s1)C(C)C ZINC000353905475 380945145 /nfs/dbraw/zinc/94/51/45/380945145.db2.gz MPBIACNCLOHZEE-SECBINFHSA-N 0 0 286.353 2.542 20 5 CFBDRN CCN(C)C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000287813207 380947727 /nfs/dbraw/zinc/94/77/27/380947727.db2.gz MLACRCSVRGPCBE-UHFFFAOYSA-N 0 0 277.324 2.631 20 5 CFBDRN CO[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CC[C@H]1C ZINC000341432523 381011529 /nfs/dbraw/zinc/01/15/29/381011529.db2.gz XESXGKLDITYREJ-BXUZGUMPSA-N 0 0 264.325 2.764 20 5 CFBDRN CC(C)CCNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182192784 381016401 /nfs/dbraw/zinc/01/64/01/381016401.db2.gz XJWBOCFFKGEPSB-JTQLQIEISA-N 0 0 282.315 3.000 20 5 CFBDRN CC(C)CN(C(=O)CNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000358706303 381023803 /nfs/dbraw/zinc/02/38/03/381023803.db2.gz JSCTUCWRVFFDQX-UHFFFAOYSA-N 0 0 291.351 2.654 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347251023 381028357 /nfs/dbraw/zinc/02/83/57/381028357.db2.gz FHWDWVDEJLYYGF-QMMMGPOBSA-N 0 0 281.287 2.902 20 5 CFBDRN COCCC1(NC(=O)Nc2cccc([N+](=O)[O-])c2)CCC1 ZINC000358642939 380987253 /nfs/dbraw/zinc/98/72/53/380987253.db2.gz RGVIXJMZEXRHIG-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2CCCOC2)c1 ZINC000295666574 381005648 /nfs/dbraw/zinc/00/56/48/381005648.db2.gz JDEDSIWJEUENOX-LLVKDONJSA-N 0 0 273.292 2.734 20 5 CFBDRN COC(=O)c1cnc(NCC2CCCCC2)c([N+](=O)[O-])c1 ZINC000353994098 381006776 /nfs/dbraw/zinc/00/67/76/381006776.db2.gz ZTQPAPSBHBXMJQ-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN Cc1nc(-c2noc(-c3ccc([N+](=O)[O-])cc3C)n2)co1 ZINC000347303045 381057639 /nfs/dbraw/zinc/05/76/39/381057639.db2.gz WAPFRKVXFRZHGN-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN COc1ccccc1-c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000295758311 381057811 /nfs/dbraw/zinc/05/78/11/381057811.db2.gz ANDMUFWUJXGZSN-UHFFFAOYSA-N 0 0 291.307 2.733 20 5 CFBDRN CCCCN(CCCO)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000182316779 381059508 /nfs/dbraw/zinc/05/95/08/381059508.db2.gz AELPHFURFVFUNK-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC1CCC(F)(F)CC1 ZINC000341526224 381064910 /nfs/dbraw/zinc/06/49/10/381064910.db2.gz IVUNYKXQYAVSFU-UHFFFAOYSA-N 0 0 298.289 2.831 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000182350389 381072677 /nfs/dbraw/zinc/07/26/77/381072677.db2.gz HCZPRDLALUZDBE-DTWKUNHWSA-N 0 0 280.299 2.752 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H](C)CCC=C(C)C)c1[N+](=O)[O-] ZINC000295715414 381033527 /nfs/dbraw/zinc/03/35/27/381033527.db2.gz WWRRWAPUWDEMGC-JTQLQIEISA-N 0 0 294.355 2.745 20 5 CFBDRN C[C@H]1CCOCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000368483280 381038766 /nfs/dbraw/zinc/03/87/66/381038766.db2.gz RTXLSBMDSVHEFT-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1cn2cc(Nc3ccc([N+](=O)[O-])cn3)ccc2n1 ZINC000354092414 381073215 /nfs/dbraw/zinc/07/32/15/381073215.db2.gz RNOISNBYHMOUPV-UHFFFAOYSA-N 0 0 269.264 2.690 20 5 CFBDRN COc1ccc(NC(=O)COC2CCCC2)c([N+](=O)[O-])c1 ZINC000341483704 381041227 /nfs/dbraw/zinc/04/12/27/381041227.db2.gz NMDVTGNURDNUDT-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN Cc1c(CN2CCO[C@@H](C3CCC3)C2)cccc1[N+](=O)[O-] ZINC000411872987 381044107 /nfs/dbraw/zinc/04/41/07/381044107.db2.gz KPFZNKATJMNIOB-MRXNPFEDSA-N 0 0 290.363 2.904 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000295806886 381085975 /nfs/dbraw/zinc/08/59/75/381085975.db2.gz GPKGDCSEHQQLCE-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN Cc1ccnc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000341575103 381096949 /nfs/dbraw/zinc/09/69/49/381096949.db2.gz QSCPWYMOSJIBNL-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000295836362 381107456 /nfs/dbraw/zinc/10/74/56/381107456.db2.gz VGSZPYIHGZJTBZ-UHFFFAOYSA-N 0 0 299.330 2.697 20 5 CFBDRN CCCc1nc(-c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)co1 ZINC000347332105 381075876 /nfs/dbraw/zinc/07/58/76/381075876.db2.gz UZYZDZFFHLDGJD-UHFFFAOYSA-N 0 0 289.251 2.580 20 5 CFBDRN Cc1noc(C)c1C(=O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000358782259 381079505 /nfs/dbraw/zinc/07/95/05/381079505.db2.gz PJCQSRICGMCXHI-UHFFFAOYSA-N 0 0 294.238 2.600 20 5 CFBDRN Cc1nn(C)c2nccc(OCc3ccccc3[N+](=O)[O-])c12 ZINC000347416578 381137959 /nfs/dbraw/zinc/13/79/59/381137959.db2.gz OUCOZPZQGQKGKT-UHFFFAOYSA-N 0 0 298.302 2.764 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCS1 ZINC000341633246 381140555 /nfs/dbraw/zinc/14/05/55/381140555.db2.gz HVKFEJBSUNGTBC-LBPRGKRZSA-N 0 0 296.348 2.828 20 5 CFBDRN O=C(Nc1ccc2ccoc2c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000354239345 381156816 /nfs/dbraw/zinc/15/68/16/381156816.db2.gz OQWSFQYTTMYLPF-UHFFFAOYSA-N 0 0 271.232 2.921 20 5 CFBDRN Cc1cccc(CNc2ncnc3cccc([N+](=O)[O-])c32)c1 ZINC000347398281 381125506 /nfs/dbraw/zinc/12/55/06/381125506.db2.gz IIESZNJJDRRQJA-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN C[C@@]1(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCOC1 ZINC000289731837 381126243 /nfs/dbraw/zinc/12/62/43/381126243.db2.gz PYTABURABPQEIZ-GFCCVEGCSA-N 0 0 299.714 2.549 20 5 CFBDRN CC(F)(F)CCCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000295875443 381130734 /nfs/dbraw/zinc/13/07/34/381130734.db2.gz YCZFITYGJNCPOR-UHFFFAOYSA-N 0 0 286.278 2.689 20 5 CFBDRN Cc1ccnc(N2CCC[C@H](OCC3CC3)C2)c1[N+](=O)[O-] ZINC000368799624 381136591 /nfs/dbraw/zinc/13/65/91/381136591.db2.gz XQVUMECZVFUUPB-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NOCC(C)C ZINC000289847543 381184815 /nfs/dbraw/zinc/18/48/15/381184815.db2.gz QBVROHIRWGMHJX-UHFFFAOYSA-N 0 0 281.312 2.866 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)CCC2CC2)ccc1[N+](=O)[O-] ZINC000347506648 381193459 /nfs/dbraw/zinc/19/34/59/381193459.db2.gz ZIFMFGYGWBLEMJ-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC(C)C ZINC000289872125 381195529 /nfs/dbraw/zinc/19/55/29/381195529.db2.gz RUMNFGRADOIAHB-UHFFFAOYSA-N 0 0 265.313 2.935 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](C[C@@H]3CCOC3)C2)s1 ZINC000369119292 381197155 /nfs/dbraw/zinc/19/71/55/381197155.db2.gz YAFWXZWBYIXKMQ-QWRGUYRKSA-N 0 0 297.380 2.694 20 5 CFBDRN Cc1ncccc1-c1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000347533997 381206267 /nfs/dbraw/zinc/20/62/67/381206267.db2.gz OHDFUOBQFQFUIU-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)ccn2)c1 ZINC000354330960 381208295 /nfs/dbraw/zinc/20/82/95/381208295.db2.gz ZGVBALHWLRPRTG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCc2cccnc2)n1 ZINC000359081560 381254482 /nfs/dbraw/zinc/25/44/82/381254482.db2.gz JTDROMPLLSJPON-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000342300509 381260912 /nfs/dbraw/zinc/26/09/12/381260912.db2.gz FVAQHOWCLREWNE-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCC2CCOCC2)n1 ZINC000359105872 381268081 /nfs/dbraw/zinc/26/80/81/381268081.db2.gz XCVAIJKWGIAHNY-UHFFFAOYSA-N 0 0 279.340 2.835 20 5 CFBDRN CCCCC[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000354375164 381232386 /nfs/dbraw/zinc/23/23/86/381232386.db2.gz JZYGJAOQKVVAHB-SNVBAGLBSA-N 0 0 280.328 2.503 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cc[nH]c2)c(Cl)c1 ZINC000183802002 261989530 /nfs/dbraw/zinc/98/95/30/261989530.db2.gz VJUVRCFCJUWOQA-UHFFFAOYSA-N 0 0 265.700 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccn3ccnc3c2)c(F)c1 ZINC000354393842 381241565 /nfs/dbraw/zinc/24/15/65/381241565.db2.gz QQEIAAIIRGHEFO-UHFFFAOYSA-N 0 0 286.266 2.994 20 5 CFBDRN Cc1c(CNC(=O)Nc2ccccn2)cccc1[N+](=O)[O-] ZINC000359076632 381250234 /nfs/dbraw/zinc/25/02/34/381250234.db2.gz CIHOCKRBQWKLKT-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN CCc1ccc(CNc2ncc([N+](=O)[O-])c(C)n2)s1 ZINC000296182230 381289240 /nfs/dbraw/zinc/28/92/40/381289240.db2.gz BCCROMPHWRJNLL-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN CSCC1CCC(NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000296185075 381291241 /nfs/dbraw/zinc/29/12/41/381291241.db2.gz ODODEIMKEAAXMQ-UHFFFAOYSA-N 0 0 297.380 2.575 20 5 CFBDRN Cc1cc(C)cc(CCNC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000354474996 381293617 /nfs/dbraw/zinc/29/36/17/381293617.db2.gz QSKZCGANILPRRQ-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN CCc1ccccc1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000342362944 381296065 /nfs/dbraw/zinc/29/60/65/381296065.db2.gz RCBNHXIJHKFJLC-UHFFFAOYSA-N 0 0 299.330 2.868 20 5 CFBDRN CO[C@H](COc1cccnc1[N+](=O)[O-])Cc1ccccc1 ZINC000296208686 381303566 /nfs/dbraw/zinc/30/35/66/381303566.db2.gz FXGLHHZKDNTUCG-ZDUSSCGKSA-N 0 0 288.303 2.626 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000347655077 381280510 /nfs/dbraw/zinc/28/05/10/381280510.db2.gz VOKAGPKPFVKABL-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN CC(C)(c1nc(Cc2ccc([N+](=O)[O-])cn2)no1)C1CC1 ZINC000290156109 381322331 /nfs/dbraw/zinc/32/23/31/381322331.db2.gz SJSLACAJQYFKPL-UHFFFAOYSA-N 0 0 288.307 2.651 20 5 CFBDRN O=C(Nc1ccc(-c2ccc[nH]2)cc1)c1cc([N+](=O)[O-])n[nH]1 ZINC000354529272 381323089 /nfs/dbraw/zinc/32/30/89/381323089.db2.gz TWJKBWIGAPOESA-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN COCCC(C)(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000183823286 381326891 /nfs/dbraw/zinc/32/68/91/381326891.db2.gz MWIGGPXGZAIRLB-UHFFFAOYSA-N 0 0 266.297 2.596 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1C[C@H]1C(F)F ZINC000342439616 381331855 /nfs/dbraw/zinc/33/18/55/381331855.db2.gz IDHIRKWOMPOHCT-HZGVNTEJSA-N 0 0 289.213 2.509 20 5 CFBDRN CCn1ncc2ccc(NC(=O)c3cc([N+](=O)[O-])c[nH]3)cc21 ZINC000354518461 381316094 /nfs/dbraw/zinc/31/60/94/381316094.db2.gz VUCMGVJICLJHKL-UHFFFAOYSA-N 0 0 299.290 2.545 20 5 CFBDRN Cc1cccc(C(=O)N(C)CC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000342469716 381346683 /nfs/dbraw/zinc/34/66/83/381346683.db2.gz MHPSEQZATKIASE-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@@H](CCCCO)Nc1c(F)cccc1[N+](=O)[O-] ZINC000296325914 381348474 /nfs/dbraw/zinc/34/84/74/381348474.db2.gz OUWZNNRZPLWZPJ-VIFPVBQESA-N 0 0 256.277 2.697 20 5 CFBDRN CCN(c1nnc(-c2cccc([N+](=O)[O-])c2)n1C)C(C)C ZINC000290250289 381359157 /nfs/dbraw/zinc/35/91/57/381359157.db2.gz RHZARHYFPRMYCJ-UHFFFAOYSA-N 0 0 289.339 2.625 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C(C)(C)O ZINC000296361970 381362170 /nfs/dbraw/zinc/36/21/70/381362170.db2.gz QPXIBKVKRMOGTA-LBPRGKRZSA-N 0 0 295.339 2.574 20 5 CFBDRN CO[C@@](C)([C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000412616126 381368935 /nfs/dbraw/zinc/36/89/35/381368935.db2.gz RTWRJZOWXPPYCG-BONVTDFDSA-N 0 0 292.335 2.528 20 5 CFBDRN CCN(C)C(=O)[C@@H](Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000296402090 381379722 /nfs/dbraw/zinc/37/97/22/381379722.db2.gz VUVMPCVAERXRQA-ZDUSSCGKSA-N 0 0 279.340 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cc(O)cc(F)c2)cc1 ZINC000184139660 381377606 /nfs/dbraw/zinc/37/76/06/381377606.db2.gz YKEFEQWVXPYPIQ-UHFFFAOYSA-N 0 0 276.267 2.729 20 5 CFBDRN CN(CC[C@@H]1CCCO1)Cc1c(F)cccc1[N+](=O)[O-] ZINC000342573573 381406935 /nfs/dbraw/zinc/40/69/35/381406935.db2.gz VKRJQVQPYUJYBJ-NSHDSACASA-N 0 0 282.315 2.735 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1cnc(C2CC2)nc1 ZINC000296466117 381407989 /nfs/dbraw/zinc/40/79/89/381407989.db2.gz HEFIUVFGJMFNHU-UHFFFAOYSA-N 0 0 299.286 2.619 20 5 CFBDRN Cc1ncc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC000347920118 381415394 /nfs/dbraw/zinc/41/53/94/381415394.db2.gz AKIPTPSXMUKXNA-QMMMGPOBSA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1cn(C[C@H]2C[C@@H]2c2ccccc2)nc1[N+](=O)[O-] ZINC000359380075 381385194 /nfs/dbraw/zinc/38/51/94/381385194.db2.gz CXGWDHLMVJFIBL-CHWSQXEVSA-N 0 0 257.293 2.903 20 5 CFBDRN COc1cc(CN2CCCCC2)c([N+](=O)[O-])cc1F ZINC000184238327 381390039 /nfs/dbraw/zinc/39/00/39/381390039.db2.gz MGADLGNXTBASPY-UHFFFAOYSA-N 0 0 268.288 2.728 20 5 CFBDRN CCc1ocnc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347962360 381435565 /nfs/dbraw/zinc/43/55/65/381435565.db2.gz NWIPGLMQUNGBJX-UHFFFAOYSA-N 0 0 279.227 2.537 20 5 CFBDRN COC[C@H](NCc1cccc([N+](=O)[O-])c1C)c1ccco1 ZINC000184574317 381436680 /nfs/dbraw/zinc/43/66/80/381436680.db2.gz GGGSSKLFQUFLDK-ZDUSSCGKSA-N 0 0 290.319 2.974 20 5 CFBDRN O=C(CCC1CCOCC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347977563 381441620 /nfs/dbraw/zinc/44/16/20/381441620.db2.gz KEAACWGNWGXTCZ-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1(CCF)CC1 ZINC000290477011 381445009 /nfs/dbraw/zinc/44/50/09/381445009.db2.gz KNPUHXLBQWMGHY-UHFFFAOYSA-N 0 0 252.245 2.673 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H](C)Cc1ccncc1 ZINC000359528810 381451333 /nfs/dbraw/zinc/45/13/33/381451333.db2.gz DCTNRHBNMVBVKY-JTQLQIEISA-N 0 0 289.339 2.558 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2OCCc3ccccc32)c1 ZINC000370284506 381419925 /nfs/dbraw/zinc/41/99/25/381419925.db2.gz DERRJOYUXZUXIK-INIZCTEOSA-N 0 0 299.330 2.903 20 5 CFBDRN COC(=O)c1cc(Nc2c(F)cccc2[N+](=O)[O-])cn1C ZINC000342680137 381474920 /nfs/dbraw/zinc/47/49/20/381474920.db2.gz OOBUYPJSRBFNSJ-UHFFFAOYSA-N 0 0 293.254 2.603 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000184807320 381480703 /nfs/dbraw/zinc/48/07/03/381480703.db2.gz KLNUDICJALLVTF-PYEVWLCESA-N 0 0 280.324 2.733 20 5 CFBDRN C[C@@H]1CO[C@H](c2ccccc2)CN1c1ccc([N+](=O)[O-])nc1 ZINC000359534368 381455231 /nfs/dbraw/zinc/45/52/31/381455231.db2.gz RNUAMRXQQASKLL-DOMZBBRYSA-N 0 0 299.330 2.956 20 5 CFBDRN CC/C=C/CNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000296590862 381463503 /nfs/dbraw/zinc/46/35/03/381463503.db2.gz IPHANOCPMCGLCP-ZPMKCOPCSA-N 0 0 277.324 2.921 20 5 CFBDRN CC(C)Cn1ncnc1CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000359623959 381515959 /nfs/dbraw/zinc/51/59/59/381515959.db2.gz XDWQPMGWOUWDIE-UHFFFAOYSA-N 0 0 293.302 2.594 20 5 CFBDRN O=c1cc(COc2ccc([N+](=O)[O-])cc2)nc(C2CC2)[nH]1 ZINC000412979423 381516057 /nfs/dbraw/zinc/51/60/57/381516057.db2.gz PVGLISBGOBPXPX-UHFFFAOYSA-N 0 0 287.275 2.547 20 5 CFBDRN COC(=O)c1occc1COc1c(F)cccc1[N+](=O)[O-] ZINC000185024668 381517069 /nfs/dbraw/zinc/51/70/69/381517069.db2.gz BZCMRXUWPXYZHB-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN Cc1ocnc1CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000342749835 381517129 /nfs/dbraw/zinc/51/71/29/381517129.db2.gz IABCFLFFXVFCLX-UHFFFAOYSA-N 0 0 291.292 2.508 20 5 CFBDRN CC(C)(C)NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000184851469 381488160 /nfs/dbraw/zinc/48/81/60/381488160.db2.gz JTUDATPNCXAPOX-UHFFFAOYSA-N 0 0 285.731 2.846 20 5 CFBDRN COC1(CCNC(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000296649867 381489052 /nfs/dbraw/zinc/48/90/52/381489052.db2.gz SROHBKJCBGNWHE-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H]1CF)c1ccccc1[N+](=O)[O-] ZINC000296647123 381489812 /nfs/dbraw/zinc/48/98/12/381489812.db2.gz MTZXKLMJFVPTNY-WDEREUQCSA-N 0 0 295.314 2.799 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@H]1CCC(F)(F)C1 ZINC000359586715 381491390 /nfs/dbraw/zinc/49/13/90/381491390.db2.gz WPIHBLLWUKVIJZ-NSHDSACASA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000296657916 381493740 /nfs/dbraw/zinc/49/37/40/381493740.db2.gz YAXUASRSNPNAAV-PSASIEDQSA-N 0 0 250.302 2.684 20 5 CFBDRN CCc1nnc(CNc2ncc(Cl)cc2[N+](=O)[O-])s1 ZINC000290603730 381495320 /nfs/dbraw/zinc/49/53/20/381495320.db2.gz DYIKGNKWTZSKAQ-UHFFFAOYSA-N 0 0 299.743 2.669 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000342792507 381544290 /nfs/dbraw/zinc/54/42/90/381544290.db2.gz HBDSIFOQVGSVLA-TVYUQYBPSA-N 0 0 279.340 2.770 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000296898910 381547031 /nfs/dbraw/zinc/54/70/31/381547031.db2.gz YTSHKZZYCXDKNH-QMTHXVAHSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000290771992 381547189 /nfs/dbraw/zinc/54/71/89/381547189.db2.gz VMRBHGBKMDZFOL-NXEZZACHSA-N 0 0 275.308 2.978 20 5 CFBDRN CC(C)c1nnc(COc2c(F)cccc2[N+](=O)[O-])o1 ZINC000185046380 381521950 /nfs/dbraw/zinc/52/19/50/381521950.db2.gz VMXDIWACPVDMHC-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2nnc(C(C)C)o2)n1 ZINC000359631706 381522220 /nfs/dbraw/zinc/52/22/20/381522220.db2.gz DCDGDXNJCITDEA-UHFFFAOYSA-N 0 0 292.295 2.692 20 5 CFBDRN Cc1nn(CCOCC2CCCC2)c(C)c1[N+](=O)[O-] ZINC000412989531 381522739 /nfs/dbraw/zinc/52/27/39/381522739.db2.gz ZWKJOVDPXZHUFF-UHFFFAOYSA-N 0 0 267.329 2.615 20 5 CFBDRN CC1(C)C(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1(C)C ZINC000359636610 381525227 /nfs/dbraw/zinc/52/52/27/381525227.db2.gz DRYRJXSTPMPVRX-UHFFFAOYSA-N 0 0 280.299 2.898 20 5 CFBDRN CCC[C@@H](NC/C=C/c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000354933332 381527710 /nfs/dbraw/zinc/52/77/10/381527710.db2.gz VJCQNJLERWPIOF-YSKGHYERSA-N 0 0 292.335 2.539 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])s2)[C@@H]1C1CC1 ZINC000290706744 381527704 /nfs/dbraw/zinc/52/77/04/381527704.db2.gz NXYIYBAPAJRCQS-SECBINFHSA-N 0 0 253.327 2.676 20 5 CFBDRN CC1(C)CC[C@@H](Oc2cc(F)cc([N+](=O)[O-])c2)C1=O ZINC000412999210 381530271 /nfs/dbraw/zinc/53/02/71/381530271.db2.gz KSXKVHCPXMEAHC-LLVKDONJSA-N 0 0 267.256 2.870 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1nnc(C)s1 ZINC000290722995 381530495 /nfs/dbraw/zinc/53/04/95/381530495.db2.gz ZFTKOGKQXRBEAE-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN COc1cccc(NCCCOC(C)C)c1[N+](=O)[O-] ZINC000185088942 381531636 /nfs/dbraw/zinc/53/16/36/381531636.db2.gz DZYVBNQRQFRMIZ-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1N[C@@H]1CCN(C(C)C)C1=O ZINC000290724327 381532806 /nfs/dbraw/zinc/53/28/06/381532806.db2.gz ZJJDSOTYSVXUDY-CYBMUJFWSA-N 0 0 291.351 2.578 20 5 CFBDRN Cc1cc(N(C)C(=O)c2ccccc2[N+](=O)[O-])ccn1 ZINC000359652842 381534898 /nfs/dbraw/zinc/53/48/98/381534898.db2.gz NOKBJRBAMRSFLM-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CC(=O)c1cc(NCC[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000342845169 381581372 /nfs/dbraw/zinc/58/13/72/381581372.db2.gz AZTVTELJUTUWOW-NSHDSACASA-N 0 0 278.308 2.636 20 5 CFBDRN CC(C)(C)c1nc(COc2c(F)cccc2[N+](=O)[O-])no1 ZINC000185185309 381556463 /nfs/dbraw/zinc/55/64/63/381556463.db2.gz MURFUTHVWBYBQN-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnccc1C ZINC000354997531 381557795 /nfs/dbraw/zinc/55/77/95/381557795.db2.gz RRXSXAAOFBHTFR-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@@H](C)[N@@H+](C3CC3)C2)c1 ZINC000290813064 381560191 /nfs/dbraw/zinc/56/01/91/381560191.db2.gz RNLGGDCXUBVYQS-GHMZBOCLSA-N 0 0 291.351 2.641 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000297422100 381560431 /nfs/dbraw/zinc/56/04/31/381560431.db2.gz BLAHKPOKKAKBGW-QWHCGFSZSA-N 0 0 278.308 2.739 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CC ZINC000185194508 381561415 /nfs/dbraw/zinc/56/14/15/381561415.db2.gz WGCKXLBKMLTERP-LBPRGKRZSA-N 0 0 264.325 2.642 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])C[C@H]1C ZINC000342847780 381583192 /nfs/dbraw/zinc/58/31/92/381583192.db2.gz HEJPKFWOXJCNPU-MXWKQRLJSA-N 0 0 291.351 2.930 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1C[C@@H]2CCCCCC[C@@H]21 ZINC000371212366 381570142 /nfs/dbraw/zinc/57/01/42/381570142.db2.gz JSDKAHAOWNBPCC-FZMZJTMJSA-N 0 0 289.335 2.785 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CC[C@@H]1CCCO1 ZINC000298376580 381599015 /nfs/dbraw/zinc/59/90/15/381599015.db2.gz WERMQXXOKRSVDK-ZDUSSCGKSA-N 0 0 292.335 2.512 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000298389152 381604391 /nfs/dbraw/zinc/60/43/91/381604391.db2.gz GMJFCPWZAHAJJV-SNVBAGLBSA-N 0 0 250.298 2.855 20 5 CFBDRN COCC1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CCC1 ZINC000289138962 197243979 /nfs/dbraw/zinc/24/39/79/197243979.db2.gz RUCLBUXHJTVTSO-UHFFFAOYSA-N 0 0 295.320 2.774 20 5 CFBDRN Cc1noc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1C ZINC000359739306 381590434 /nfs/dbraw/zinc/59/04/34/381590434.db2.gz KVQVNHNGHYCQHM-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CN1Cc2ccccc2[C@H](NCc2ccccc2[N+](=O)[O-])C1 ZINC000371321656 381590669 /nfs/dbraw/zinc/59/06/69/381590669.db2.gz BWSRNSBUABOPOE-MRXNPFEDSA-N 0 0 297.358 2.871 20 5 CFBDRN CN1Cc2ccccc2[C@@H](NCc2ccccc2[N+](=O)[O-])C1 ZINC000371321655 381591275 /nfs/dbraw/zinc/59/12/75/381591275.db2.gz BWSRNSBUABOPOE-INIZCTEOSA-N 0 0 297.358 2.871 20 5 CFBDRN Cc1noc(NC(=O)c2csc([N+](=O)[O-])c2)c1C ZINC000359744661 381592308 /nfs/dbraw/zinc/59/23/08/381592308.db2.gz SSPBOXNEPVEDDW-UHFFFAOYSA-N 0 0 267.266 2.513 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000298370491 381596813 /nfs/dbraw/zinc/59/68/13/381596813.db2.gz DLAIFEBQIWKSGC-NSHDSACASA-N 0 0 264.325 2.640 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000185359063 381611183 /nfs/dbraw/zinc/61/11/83/381611183.db2.gz CYRXQPHGUJBKPD-SCZZXKLOSA-N 0 0 268.338 2.917 20 5 CFBDRN CCc1csc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000355103926 381613445 /nfs/dbraw/zinc/61/34/45/381613445.db2.gz KQDMSQWKMXHALJ-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN COCCC(C)(C)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000185377609 381617373 /nfs/dbraw/zinc/61/73/73/381617373.db2.gz HUNHNZGCOWWZGG-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000298435458 381623943 /nfs/dbraw/zinc/62/39/43/381623943.db2.gz SSTRBYJHFOALNJ-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1c(F)cccc1[N+](=O)[O-] ZINC000342936692 381625030 /nfs/dbraw/zinc/62/50/30/381625030.db2.gz DQHFTPKQSPAXGQ-MFKMUULPSA-N 0 0 282.315 2.721 20 5 CFBDRN O=[N+]([O-])c1cc(CNc2cccc3c2OCO3)cs1 ZINC000359818642 381625288 /nfs/dbraw/zinc/62/52/88/381625288.db2.gz JKBFJVUSLNJROJ-UHFFFAOYSA-N 0 0 278.289 2.997 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC2(CC2)C1 ZINC000185415210 381626169 /nfs/dbraw/zinc/62/61/69/381626169.db2.gz QJTMDKUSEHANOL-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CCCSC1)c1ccccc1[N+](=O)[O-] ZINC000355133765 381635418 /nfs/dbraw/zinc/63/54/18/381635418.db2.gz BHOXGKXFJJSRQH-GHMZBOCLSA-N 0 0 294.376 2.915 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCCSC1)c1ccccc1[N+](=O)[O-] ZINC000355133770 381635661 /nfs/dbraw/zinc/63/56/61/381635661.db2.gz BHOXGKXFJJSRQH-MNOVXSKESA-N 0 0 294.376 2.915 20 5 CFBDRN COc1cccc(NC[C@@H](O)CC(C)(C)C)c1[N+](=O)[O-] ZINC000185436529 381632914 /nfs/dbraw/zinc/63/29/14/381632914.db2.gz NWSIBJMFHGPFIX-JTQLQIEISA-N 0 0 282.340 2.812 20 5 CFBDRN CCCN(CC)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000298453534 381633213 /nfs/dbraw/zinc/63/32/13/381633213.db2.gz QRVDDNCTLHILPF-UHFFFAOYSA-N 0 0 250.298 2.775 20 5 CFBDRN CCCc1nc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)co1 ZINC000291051819 381648877 /nfs/dbraw/zinc/64/88/77/381648877.db2.gz IIGLGCNNVYYCMN-UHFFFAOYSA-N 0 0 294.311 2.665 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CSC[C@H]3CCCO3)nc2c1 ZINC000342987016 381638974 /nfs/dbraw/zinc/63/89/74/381638974.db2.gz CYWRBNLLQXSRBH-SNVBAGLBSA-N 0 0 293.348 2.883 20 5 CFBDRN C[C@H]1CCC[C@H](Cn2cc([N+](=O)[O-])ccc2=O)C1 ZINC000185479923 381645943 /nfs/dbraw/zinc/64/59/43/381645943.db2.gz HDDCDMSCXIEUDQ-QWRGUYRKSA-N 0 0 250.298 2.583 20 5 CFBDRN CC(C)c1cccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)c1 ZINC000348649223 381656084 /nfs/dbraw/zinc/65/60/84/381656084.db2.gz ANQTZFXKMCEWNK-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCCOCC1CC1 ZINC000185528392 381658321 /nfs/dbraw/zinc/65/83/21/381658321.db2.gz PPGNAPIUEZJOAP-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)c1ccccn1 ZINC000185530984 381658704 /nfs/dbraw/zinc/65/87/04/381658704.db2.gz MSFFVSBEXTWGOY-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1cc(NC[C@@H](C)CO)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000359896580 381664838 /nfs/dbraw/zinc/66/48/38/381664838.db2.gz ITLUEBVGFONWRI-SSDOTTSWSA-N 0 0 292.257 2.962 20 5 CFBDRN Cc1cc(NC[C@H](C)CO)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000359896581 381665498 /nfs/dbraw/zinc/66/54/98/381665498.db2.gz ITLUEBVGFONWRI-ZETCQYMHSA-N 0 0 292.257 2.962 20 5 CFBDRN CCc1[nH]ccc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000355194250 381667893 /nfs/dbraw/zinc/66/78/93/381667893.db2.gz JDWMMIOTNNSZFW-UHFFFAOYSA-N 0 0 287.319 2.724 20 5 CFBDRN COc1cccc(C(=O)NCCC2(C)CC2)c1[N+](=O)[O-] ZINC000348695633 381669810 /nfs/dbraw/zinc/66/98/10/381669810.db2.gz BIGJRQFJITVZOX-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CN(Cc1ccnn1C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000359874465 381651388 /nfs/dbraw/zinc/65/13/88/381651388.db2.gz JORKVPRKUJXACF-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@H]1C1CC1 ZINC000371532256 381652637 /nfs/dbraw/zinc/65/26/37/381652637.db2.gz SJQAMTZHTFUBKS-LBPRGKRZSA-N 0 0 278.283 2.749 20 5 CFBDRN CC(C)NC(=O)c1ccc(NCCCCF)c([N+](=O)[O-])c1 ZINC000359980272 381710250 /nfs/dbraw/zinc/71/02/50/381710250.db2.gz BTNWSXMSRHSTTG-UHFFFAOYSA-N 0 0 297.330 2.895 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000185726580 381716263 /nfs/dbraw/zinc/71/62/63/381716263.db2.gz ACNFPNVVAZGQEI-ZJUUUORDSA-N 0 0 288.307 2.702 20 5 CFBDRN O=C(NCc1cc(F)ccc1F)c1cccc([N+](=O)[O-])c1 ZINC000355215214 381682080 /nfs/dbraw/zinc/68/20/80/381682080.db2.gz YKMSQWVWEHYCSK-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN Cc1nc(NC(=O)C2C(C)(C)C2(C)C)ccc1[N+](=O)[O-] ZINC000355215596 381685436 /nfs/dbraw/zinc/68/54/36/381685436.db2.gz CVFJOBUUMXSPQF-UHFFFAOYSA-N 0 0 277.324 2.919 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCc2noc(C3CC3)n2)c(F)c1 ZINC000343423064 381701285 /nfs/dbraw/zinc/70/12/85/381701285.db2.gz GOFANUQCPPDOHG-UHFFFAOYSA-N 0 0 296.233 2.746 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000298677169 381707435 /nfs/dbraw/zinc/70/74/35/381707435.db2.gz LWJUUAPWFPVPMM-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2cccc(C)c2)c1[N+](=O)[O-] ZINC000298748065 381734829 /nfs/dbraw/zinc/73/48/29/381734829.db2.gz SOGLSRIPBYJMPC-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN O=[N+]([O-])c1cccc(C[NH+]2CCC(c3c[nH]cn3)CC2)c1 ZINC000360024420 381735397 /nfs/dbraw/zinc/73/53/97/381735397.db2.gz VKYQAWVYMOSNDZ-UHFFFAOYSA-N 0 0 286.335 2.698 20 5 CFBDRN Cc1c(CNC(=O)C[C@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000355306195 381741496 /nfs/dbraw/zinc/74/14/96/381741496.db2.gz ROFBWCABHSAFAK-BXKDBHETSA-N 0 0 262.309 2.566 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000355311485 381742865 /nfs/dbraw/zinc/74/28/65/381742865.db2.gz WCVWILPOAJSPJH-GFCCVEGCSA-N 0 0 294.376 2.663 20 5 CFBDRN CC[C@@]1(C)CCN(c2ccc(C(=O)NC)cc2[N+](=O)[O-])C1 ZINC000343543310 381786149 /nfs/dbraw/zinc/78/61/49/381786149.db2.gz YGWPAYOPZYVKAS-HNNXBMFYSA-N 0 0 291.351 2.581 20 5 CFBDRN COCC1=CCN(c2c3ccccc3ncc2[N+](=O)[O-])CC1 ZINC000291988886 381786247 /nfs/dbraw/zinc/78/62/47/381786247.db2.gz QJXVQVLDAFHCNP-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CO[C@@H](C)CN(C)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000292034274 381787538 /nfs/dbraw/zinc/78/75/38/381787538.db2.gz URESMZXUOMSMBL-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H](COC(F)F)C1 ZINC000343551273 381792707 /nfs/dbraw/zinc/79/27/07/381792707.db2.gz PWZKGOWLYRUWOK-SECBINFHSA-N 0 0 272.251 2.660 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)C1CCCCC1 ZINC000360841054 381793730 /nfs/dbraw/zinc/79/37/30/381793730.db2.gz FHLLWHAAQWOPNH-UHFFFAOYSA-N 0 0 266.345 2.889 20 5 CFBDRN CSC[C@H]1CCCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000292216883 381796676 /nfs/dbraw/zinc/79/66/76/381796676.db2.gz HTPKGASGHUBVFC-JTQLQIEISA-N 0 0 267.354 2.569 20 5 CFBDRN CC(C)c1nn(C)cc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000298862934 381770467 /nfs/dbraw/zinc/77/04/67/381770467.db2.gz IASPOFNGMYFKQO-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@H]1c1ccsc1 ZINC000298919093 381780108 /nfs/dbraw/zinc/78/01/08/381780108.db2.gz ALGPFEIIWBXHBV-NSHDSACASA-N 0 0 291.332 2.962 20 5 CFBDRN Cc1ccc(C(=O)NCC(C)(C)C)cc1[N+](=O)[O-] ZINC000299089702 381820226 /nfs/dbraw/zinc/82/02/26/381820226.db2.gz HVVRPGFZEIKCJQ-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN Cc1c(CN2C[C@@H](CO)c3ccccc32)cccc1[N+](=O)[O-] ZINC000292808003 381844405 /nfs/dbraw/zinc/84/44/05/381844405.db2.gz WWXZKCMAZVIZTQ-AWEZNQCLSA-N 0 0 298.342 2.999 20 5 CFBDRN Cc1ccc([C@@H]2CCCCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000361479595 381834577 /nfs/dbraw/zinc/83/45/77/381834577.db2.gz VLYIXHBUYWRSQP-QLFBSQMISA-N 0 0 288.347 2.714 20 5 CFBDRN Cc1ocnc1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292788082 381835012 /nfs/dbraw/zinc/83/50/12/381835012.db2.gz XTODBMICSXMVOU-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN C[C@@H]1CSC[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000343610003 381838098 /nfs/dbraw/zinc/83/80/98/381838098.db2.gz MQIRZZCMYWMWSK-HTRCEHHLSA-N 0 0 296.377 2.763 20 5 CFBDRN CC(C)(C)SCC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000299032048 381803042 /nfs/dbraw/zinc/80/30/42/381803042.db2.gz ASHYGBDEWHDSPH-UHFFFAOYSA-N 0 0 296.392 2.785 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1occ2c1CCC2 ZINC000349045776 381804274 /nfs/dbraw/zinc/80/42/74/381804274.db2.gz PCCPINFQFGSDEB-UHFFFAOYSA-N 0 0 272.260 2.929 20 5 CFBDRN Cc1ccoc1CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000343577311 381809558 /nfs/dbraw/zinc/80/95/58/381809558.db2.gz AUZAIWMBINZUQK-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN Cc1cc(N2CC[C@@H](O)C(C)(C)C2)c(F)cc1[N+](=O)[O-] ZINC000292662026 381817098 /nfs/dbraw/zinc/81/70/98/381817098.db2.gz PWMHKXAQHWNNCG-CYBMUJFWSA-N 0 0 282.315 2.640 20 5 CFBDRN Cc1cnc(NC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)o1 ZINC000415247711 381818740 /nfs/dbraw/zinc/81/87/40/381818740.db2.gz ZISLBNOTFSDKAK-NWDGAFQWSA-N 0 0 287.275 2.633 20 5 CFBDRN Cc1c(NC(=O)Cc2ncccc2F)cccc1[N+](=O)[O-] ZINC000349312482 381884611 /nfs/dbraw/zinc/88/46/11/381884611.db2.gz LXQLSZCVDSHPAC-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN CCc1nnc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC000343681845 381885311 /nfs/dbraw/zinc/88/53/11/381885311.db2.gz GIMZXLBVHGWWRS-VIFPVBQESA-N 0 0 292.364 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2C[C@@H](CO)c3ccccc32)cc1 ZINC000292810308 381846137 /nfs/dbraw/zinc/84/61/37/381846137.db2.gz XDCXPNFJBDYGJR-AWEZNQCLSA-N 0 0 298.342 2.733 20 5 CFBDRN Cc1cc(C(=O)N(C)[C@@H](C)C2CC2)cc([N+](=O)[O-])c1 ZINC000299224559 381863480 /nfs/dbraw/zinc/86/34/80/381863480.db2.gz AQGXGBZXADYHAR-JTQLQIEISA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000299228368 381865545 /nfs/dbraw/zinc/86/55/45/381865545.db2.gz FQZLAIWBSDCOQQ-CYBMUJFWSA-N 0 0 279.292 2.629 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000186380446 381896537 /nfs/dbraw/zinc/89/65/37/381896537.db2.gz GCRFEPZGLZOTCY-UWVGGRQHSA-N 0 0 266.272 2.965 20 5 CFBDRN CC(C)n1cnc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000343704052 381898824 /nfs/dbraw/zinc/89/88/24/381898824.db2.gz KSSHNOTYBPKHCS-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN CC1(NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)CCCC1 ZINC000299332790 381899697 /nfs/dbraw/zinc/89/96/97/381899697.db2.gz AQPVEQAFOMYTPQ-UHFFFAOYSA-N 0 0 296.298 2.562 20 5 CFBDRN CC(C)Cn1cc(NC(=O)c2csc([N+](=O)[O-])c2)cn1 ZINC000362080508 381900177 /nfs/dbraw/zinc/90/01/77/381900177.db2.gz LOQIEJVDEQHPHQ-UHFFFAOYSA-N 0 0 294.336 2.761 20 5 CFBDRN CCc1nocc1CN(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000292915383 381901231 /nfs/dbraw/zinc/90/12/31/381901231.db2.gz XXJAEHCHBUJECF-UHFFFAOYSA-N 0 0 296.714 2.830 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000416734774 381910567 /nfs/dbraw/zinc/91/05/67/381910567.db2.gz VWHLAKFZIPFSDT-JQWIXIFHSA-N 0 0 289.335 2.755 20 5 CFBDRN Cc1ccc(N[C@@H]2CCN(c3cccnc3)C2)c([N+](=O)[O-])c1 ZINC000373056471 381918687 /nfs/dbraw/zinc/91/86/87/381918687.db2.gz HVXMGZXGIDEHOI-CYBMUJFWSA-N 0 0 298.346 2.989 20 5 CFBDRN C[C@]12CCN(C(=O)c3ccc([N+](=O)[O-])cc3)C[C@H]1C2(F)F ZINC000292952873 381921647 /nfs/dbraw/zinc/92/16/47/381921647.db2.gz VJWXUKYWFRUEIV-YPMHNXCESA-N 0 0 296.273 2.712 20 5 CFBDRN CSCCCCCNc1ncccc1[N+](=O)[O-] ZINC000186360322 381890355 /nfs/dbraw/zinc/89/03/55/381890355.db2.gz AMZNKMYNCPQUGT-UHFFFAOYSA-N 0 0 255.343 2.935 20 5 CFBDRN COC1(CCNc2ccc([N+](=O)[O-])c3nonc32)CCC1 ZINC000292898603 381892175 /nfs/dbraw/zinc/89/21/75/381892175.db2.gz WNGFEFLFSFXILG-UHFFFAOYSA-N 0 0 292.295 2.502 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CC[C@H]1C ZINC000343809359 381955364 /nfs/dbraw/zinc/95/53/64/381955364.db2.gz OJFOYMFVPPWTSN-BXKDBHETSA-N 0 0 250.298 2.952 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2C[C@@H]2C(F)F)c(F)c1 ZINC000343808183 381955782 /nfs/dbraw/zinc/95/57/82/381955782.db2.gz MOXUCBDMKAGBGM-YLWLKBPMSA-N 0 0 264.178 2.939 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2nnc(C(F)F)o2)c1 ZINC000293047898 381967636 /nfs/dbraw/zinc/96/76/36/381967636.db2.gz MGKAJGQDQLQMRZ-UHFFFAOYSA-N 0 0 284.222 2.570 20 5 CFBDRN Cc1n[nH]c(C(=O)N(C)[C@H](C)c2cccs2)c1[N+](=O)[O-] ZINC000299447801 381947143 /nfs/dbraw/zinc/94/71/43/381947143.db2.gz OOTPZIXVDIKUFU-MRVPVSSYSA-N 0 0 294.336 2.521 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@H]1CCOC1 ZINC000343877419 381997444 /nfs/dbraw/zinc/99/74/44/381997444.db2.gz PDVCISYDZNIWGH-NSHDSACASA-N 0 0 280.324 2.580 20 5 CFBDRN Cc1cc2cc(CNc3c([N+](=O)[O-])ncn3C)ccc2[nH]1 ZINC000343876350 381997481 /nfs/dbraw/zinc/99/74/81/381997481.db2.gz VQBHXHZHBGMVJH-UHFFFAOYSA-N 0 0 285.307 2.730 20 5 CFBDRN O=C(Nc1ccc(F)c(C2CC2)c1)c1n[nH]cc1[N+](=O)[O-] ZINC000343899827 382010242 /nfs/dbraw/zinc/01/02/42/382010242.db2.gz AIFFKEZXPNCCBM-UHFFFAOYSA-N 0 0 290.254 2.587 20 5 CFBDRN CCCCCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000299635213 382011041 /nfs/dbraw/zinc/01/10/41/382011041.db2.gz MEANHMAFJKPBAL-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC=CCC1 ZINC000186783815 382013704 /nfs/dbraw/zinc/01/37/04/382013704.db2.gz RBFWNPZJSOSIBO-JTQLQIEISA-N 0 0 291.307 2.834 20 5 CFBDRN Cc1c(OCc2nccs2)cccc1[N+](=O)[O-] ZINC000162946467 322370642 /nfs/dbraw/zinc/37/06/42/322370642.db2.gz OCJUIZILLCZMNK-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCO[C@H](c2ccccc2)C1 ZINC000357045761 381985819 /nfs/dbraw/zinc/98/58/19/381985819.db2.gz VLFGMPNXFOOXLZ-HNNXBMFYSA-N 0 0 285.303 2.568 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000299584911 381992583 /nfs/dbraw/zinc/99/25/83/381992583.db2.gz FAYDZCRTLTXMGV-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CC=CCC1 ZINC000299587135 381992924 /nfs/dbraw/zinc/99/29/24/381992924.db2.gz FCHNUHFTKHOSJA-LBPRGKRZSA-N 0 0 274.320 2.989 20 5 CFBDRN Cc1cc(CCNc2c(F)cc([N+](=O)[O-])cc2F)on1 ZINC000343969898 382041183 /nfs/dbraw/zinc/04/11/83/382041183.db2.gz SXDYXWBUQLDDAH-UHFFFAOYSA-N 0 0 283.234 2.824 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H](C2CC2)C1 ZINC000374319401 382043766 /nfs/dbraw/zinc/04/37/66/382043766.db2.gz YCZCNFVOJSAXJU-VIFPVBQESA-N 0 0 267.716 2.880 20 5 CFBDRN CCn1cc(CNc2ccc3ncccc3c2[N+](=O)[O-])cn1 ZINC000343990547 382051635 /nfs/dbraw/zinc/05/16/35/382051635.db2.gz OPHKFQCVNKJLDX-UHFFFAOYSA-N 0 0 297.318 2.972 20 5 CFBDRN Cc1nc(NCCNc2ccc([N+](=O)[O-])cc2)sc1C ZINC000362405240 382053158 /nfs/dbraw/zinc/05/31/58/382053158.db2.gz FNVFRUISESLDLO-UHFFFAOYSA-N 0 0 292.364 2.614 20 5 CFBDRN CN(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CC1(C)C ZINC000362415543 382058023 /nfs/dbraw/zinc/05/80/23/382058023.db2.gz CCBLMWVXMZGBTK-LLVKDONJSA-N 0 0 263.297 2.857 20 5 CFBDRN C[C@@H]1C[C@@H](O)CN1c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000350682160 382016915 /nfs/dbraw/zinc/01/69/15/382016915.db2.gz BABVDBLKTAJOBM-VXNVDRBHSA-N 0 0 290.241 2.573 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCOC[C@H]1C ZINC000362344598 382017406 /nfs/dbraw/zinc/01/74/06/382017406.db2.gz GWBQFGOKNVNWIC-MFKMUULPSA-N 0 0 292.335 2.768 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccccn1 ZINC000362345951 382017672 /nfs/dbraw/zinc/01/76/72/382017672.db2.gz RGKCBZKCQVRCES-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)[C@@H]1C(C)C ZINC000350747281 382018524 /nfs/dbraw/zinc/01/85/24/382018524.db2.gz JQBLEYFPITYMDX-ZDUSSCGKSA-N 0 0 291.351 2.805 20 5 CFBDRN O=C(C[C@@H]1C=CCCC1)NCc1ccccc1[N+](=O)[O-] ZINC000186799775 382019046 /nfs/dbraw/zinc/01/90/46/382019046.db2.gz QWIXNLBNLXZQTK-GFCCVEGCSA-N 0 0 274.320 2.957 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H](C2CC2)O1 ZINC000373668992 382022368 /nfs/dbraw/zinc/02/23/68/382022368.db2.gz ALBJBYXGNFRMOB-PRHODGIISA-N 0 0 297.742 2.647 20 5 CFBDRN CCn1cc(CNc2ccc([N+](=O)[O-])c3cnccc23)cn1 ZINC000343931177 382026746 /nfs/dbraw/zinc/02/67/46/382026746.db2.gz AKWYAQSUQNBEIR-UHFFFAOYSA-N 0 0 297.318 2.972 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000299684382 382027114 /nfs/dbraw/zinc/02/71/14/382027114.db2.gz SVUPRODNTAPKBC-LBPRGKRZSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1C[C@@H]1C1CC1 ZINC000362365695 382027314 /nfs/dbraw/zinc/02/73/14/382027314.db2.gz PODFFLDCPHTAES-RKDXNWHRSA-N 0 0 253.689 2.854 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000299695560 382028676 /nfs/dbraw/zinc/02/86/76/382028676.db2.gz NEBSKVWEJMNROW-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1cc(Oc2ccc(C(N)=O)cc2)ccc1[N+](=O)[O-] ZINC000301056944 382068122 /nfs/dbraw/zinc/06/81/22/382068122.db2.gz ANMBTJMGKIKJRG-UHFFFAOYSA-N 0 0 272.260 2.794 20 5 CFBDRN Cc1cnc(-c2noc(-c3ccc([N+](=O)[O-])cc3C)n2)nc1 ZINC000357167484 382035893 /nfs/dbraw/zinc/03/58/93/382035893.db2.gz CRVJTSACIYRKGQ-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=C(NCc1ccc(F)cc1)c1csc([N+](=O)[O-])c1 ZINC000343967864 382040180 /nfs/dbraw/zinc/04/01/80/382040180.db2.gz VQGAETKHGRXHEU-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN C[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000293402187 382110115 /nfs/dbraw/zinc/11/01/15/382110115.db2.gz MMXSPTJVPOMTDB-MRVPVSSYSA-N 0 0 273.235 2.929 20 5 CFBDRN Cc1cnc(CN2CCc3ccc([N+](=O)[O-])cc3C2)s1 ZINC000357338896 382117853 /nfs/dbraw/zinc/11/78/53/382117853.db2.gz XWGVBRNFVMWRQJ-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN C[C@@](O)(CNc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000301248196 382121405 /nfs/dbraw/zinc/12/14/05/382121405.db2.gz CGZOMZLSAULQAO-OAHLLOKOSA-N 0 0 272.304 2.914 20 5 CFBDRN Cc1nn(C)c(Oc2cccc(F)c2)c1[N+](=O)[O-] ZINC000301250525 382122815 /nfs/dbraw/zinc/12/28/15/382122815.db2.gz DBPZMGKZYSDPPC-UHFFFAOYSA-N 0 0 251.217 2.568 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000301094487 382079949 /nfs/dbraw/zinc/07/99/49/382079949.db2.gz JQEYLOLPRIIWJI-UHFFFAOYSA-N 0 0 288.351 2.908 20 5 CFBDRN COc1cc(OC)cc(Oc2ccc([N+](=O)[O-])cn2)c1 ZINC000301101783 382083161 /nfs/dbraw/zinc/08/31/61/382083161.db2.gz YUAHKCNNRLFOEG-UHFFFAOYSA-N 0 0 276.248 2.799 20 5 CFBDRN Nc1nc(Oc2ccc(F)c(Cl)c2)ncc1[N+](=O)[O-] ZINC000301144034 382091728 /nfs/dbraw/zinc/09/17/28/382091728.db2.gz FDJHCPCIBJJXIC-UHFFFAOYSA-N 0 0 284.634 2.552 20 5 CFBDRN Cc1nc(Cl)cc(Oc2cc(C=O)ccc2[N+](=O)[O-])n1 ZINC000301169529 382096431 /nfs/dbraw/zinc/09/64/31/382096431.db2.gz WMCWNNZPNPHQJY-UHFFFAOYSA-N 0 0 293.666 2.951 20 5 CFBDRN COc1ccc(C(=O)N2CCCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000187094808 382096890 /nfs/dbraw/zinc/09/68/90/382096890.db2.gz STCVVYWLIKKETH-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000187405748 382174062 /nfs/dbraw/zinc/17/40/62/382174062.db2.gz NJQPXRZXTQFUHJ-TVQRCGJNSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H]1C[C@H](c2noc(-c3ccc([N+](=O)[O-])s3)n2)CCO1 ZINC000357463215 382181594 /nfs/dbraw/zinc/18/15/94/382181594.db2.gz DIRSXUGQPVUWAP-JGVFFNPUSA-N 0 0 295.320 2.989 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)N2C[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000293466149 382133770 /nfs/dbraw/zinc/13/37/70/382133770.db2.gz QODSMLWZRNTKEB-USWWRNFRSA-N 0 0 291.351 2.570 20 5 CFBDRN Cc1cc(C)c(CNc2nccc(C)c2[N+](=O)[O-])c(=O)[nH]1 ZINC000301298620 382142803 /nfs/dbraw/zinc/14/28/03/382142803.db2.gz QDJZPGLSGAZCSI-UHFFFAOYSA-N 0 0 288.307 2.628 20 5 CFBDRN CC(C)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCCC1 ZINC000352040978 382144314 /nfs/dbraw/zinc/14/43/14/382144314.db2.gz UOOHYXPBBCATGX-UHFFFAOYSA-N 0 0 265.313 2.716 20 5 CFBDRN COC[C@H](C)SCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000352040505 382145212 /nfs/dbraw/zinc/14/52/12/382145212.db2.gz OYCKIZBLTJQJEP-VIFPVBQESA-N 0 0 271.338 2.872 20 5 CFBDRN O=C(NCCc1ccccc1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344181489 382184302 /nfs/dbraw/zinc/18/43/02/382184302.db2.gz PLEDRCRUNJPVCG-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000357398470 382152539 /nfs/dbraw/zinc/15/25/39/382152539.db2.gz RUVTXMQCCBKLRL-UHFFFAOYSA-N 0 0 253.302 2.622 20 5 CFBDRN Cc1ccnc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])c1 ZINC000357401592 382154221 /nfs/dbraw/zinc/15/42/21/382154221.db2.gz GIFSIQLXJYGGNY-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN C[C@]1(CO)CCC[C@@H]1Nc1ccccc1[N+](=O)[O-] ZINC000301359669 382157892 /nfs/dbraw/zinc/15/78/92/382157892.db2.gz NOPNAJPOVMSETC-QWHCGFSZSA-N 0 0 250.298 2.558 20 5 CFBDRN CCSCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000362579387 382157999 /nfs/dbraw/zinc/15/79/99/382157999.db2.gz CMEHIXZHRHDBSO-VIFPVBQESA-N 0 0 285.369 2.527 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1c1cc(N)ccc1[N+](=O)[O-] ZINC000376649648 382159251 /nfs/dbraw/zinc/15/92/51/382159251.db2.gz WUWWESWANNIFFE-JTQLQIEISA-N 0 0 261.325 2.946 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000344158663 382168967 /nfs/dbraw/zinc/16/89/67/382168967.db2.gz XXNCPMUSEQZGME-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000187395432 382172056 /nfs/dbraw/zinc/17/20/56/382172056.db2.gz HSVGCVLLUVDFAK-AAEUAGOBSA-N 0 0 291.351 2.925 20 5 CFBDRN C=Cc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1 ZINC000344202425 382195385 /nfs/dbraw/zinc/19/53/85/382195385.db2.gz TURGIPOPLDYPRV-UHFFFAOYSA-N 0 0 283.287 2.909 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000301873368 382197349 /nfs/dbraw/zinc/19/73/49/382197349.db2.gz DQKOHWDRQIGNRT-ZJUUUORDSA-N 0 0 275.312 2.628 20 5 CFBDRN COc1cc(C)cnc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000362684188 382198826 /nfs/dbraw/zinc/19/88/26/382198826.db2.gz OGSBKLKDWVTHHG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1C ZINC000344206406 382198947 /nfs/dbraw/zinc/19/89/47/382198947.db2.gz GVMFNSAVLWPSKE-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CC[C@H]1c2ccccc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000302150851 382210644 /nfs/dbraw/zinc/21/06/44/382210644.db2.gz KIHQYKLSJDZFDI-AWEZNQCLSA-N 0 0 284.319 2.899 20 5 CFBDRN Nc1ccc(C(=O)N(C2CCC2)C2CCC2)cc1[N+](=O)[O-] ZINC000293646806 382212669 /nfs/dbraw/zinc/21/26/69/382212669.db2.gz GNKQGGVYTUNKAI-UHFFFAOYSA-N 0 0 289.335 2.724 20 5 CFBDRN CCC1(CC)CN(c2ccc([N+](=O)[O-])cc2)CC[S@@]1=O ZINC000302225578 382221018 /nfs/dbraw/zinc/22/10/18/382221018.db2.gz RKKSCIWWBGJJET-FQEVSTJZSA-N 0 0 296.392 2.722 20 5 CFBDRN CC[C@H](C)CONC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000293663888 382222463 /nfs/dbraw/zinc/22/24/63/382222463.db2.gz HWHJIQOGXMAUFE-VIFPVBQESA-N 0 0 291.307 2.784 20 5 CFBDRN Cc1nnc(CCNc2ccc([N+](=O)[O-])c(C)c2)s1 ZINC000357555210 382222520 /nfs/dbraw/zinc/22/25/20/382222520.db2.gz CSOALANILQVVJN-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CO[C@@H]1CCCN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC1 ZINC000377136614 382224493 /nfs/dbraw/zinc/22/44/93/382224493.db2.gz QVQAGGXECMPEMB-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1nn(C)c2nccc(OCc3ccc([N+](=O)[O-])cc3)c12 ZINC000352208884 382227239 /nfs/dbraw/zinc/22/72/39/382227239.db2.gz VMMFOIWXYDUDQD-UHFFFAOYSA-N 0 0 298.302 2.764 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H](CO)c1ccsc1 ZINC000302242667 382228409 /nfs/dbraw/zinc/22/84/09/382228409.db2.gz JEFNBFUAQPHGQL-LLVKDONJSA-N 0 0 282.296 2.941 20 5 CFBDRN CCc1nn(C)c(N2C[C@H]3CCCCC[C@@H]32)c1[N+](=O)[O-] ZINC000377159066 382229834 /nfs/dbraw/zinc/22/98/34/382229834.db2.gz OSKKABJUVJGHHO-PWSUYJOCSA-N 0 0 278.356 2.660 20 5 CFBDRN CCC1(CC)[C@H](Nc2ncc([N+](=O)[O-])cn2)[C@H](C)[C@@H]1OC ZINC000302245464 382230443 /nfs/dbraw/zinc/23/04/43/382230443.db2.gz ZWJYIWQRGDTOOL-WCQGTBRESA-N 0 0 294.355 2.636 20 5 CFBDRN O=c1ccn(Cc2cccc3ccccc32)cc1[N+](=O)[O-] ZINC000344186975 382187721 /nfs/dbraw/zinc/18/77/21/382187721.db2.gz ZEUCLPJVIGJEHC-UHFFFAOYSA-N 0 0 280.283 2.958 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000357482722 382188354 /nfs/dbraw/zinc/18/83/54/382188354.db2.gz JPDVRNQDPLZEMQ-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccccn1 ZINC000344193718 382189802 /nfs/dbraw/zinc/18/98/02/382189802.db2.gz ZPZASSVYFQIPLJ-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN Cc1cnc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)s1 ZINC000344193723 382190399 /nfs/dbraw/zinc/19/03/99/382190399.db2.gz ZSQFJNAZIPHVHG-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@@H]([C@@H](C)O)C1 ZINC000301759428 382191327 /nfs/dbraw/zinc/19/13/27/382191327.db2.gz FMFGXXJOPSOXOI-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN C[C@@H](NC(=O)c1cccc(O)c1)c1ccccc1[N+](=O)[O-] ZINC000357488246 382191564 /nfs/dbraw/zinc/19/15/64/382191564.db2.gz PAQMQRGTXFNHKM-SNVBAGLBSA-N 0 0 286.287 2.791 20 5 CFBDRN CC(C)(C)Oc1cc(NCCCCO)ccc1[N+](=O)[O-] ZINC000362658942 382192125 /nfs/dbraw/zinc/19/21/25/382192125.db2.gz UFLKWOQBSSHCRA-UHFFFAOYSA-N 0 0 282.340 2.957 20 5 CFBDRN CCOc1cc(N2CCC[C@@H]([C@@H](C)O)C2)ccc1[N+](=O)[O-] ZINC000301791387 382192419 /nfs/dbraw/zinc/19/24/19/382192419.db2.gz RJMGSYDRIOKEOL-VXGBXAGGSA-N 0 0 294.351 2.591 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)CC[C@H]1C ZINC000302603992 382275891 /nfs/dbraw/zinc/27/58/91/382275891.db2.gz ZFNMFMUOMNZTNE-QMTHXVAHSA-N 0 0 264.325 2.764 20 5 CFBDRN COC(=O)c1cnc(N[C@@H]2CCC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000357686432 382278534 /nfs/dbraw/zinc/27/85/34/382278534.db2.gz SGCDBMWXNZRQDX-SNVBAGLBSA-N 0 0 293.323 2.767 20 5 CFBDRN CCOC(=O)c1cc(N2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000357689624 382280529 /nfs/dbraw/zinc/28/05/29/382280529.db2.gz XLERPSWMXGRACB-JTQLQIEISA-N 0 0 296.298 2.710 20 5 CFBDRN CC[C@@H](C)CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000187844665 382281216 /nfs/dbraw/zinc/28/12/16/382281216.db2.gz UFUXLTZGQGKTLR-SNVBAGLBSA-N 0 0 262.309 2.920 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1OCCc2ccccc21 ZINC000364217301 382284406 /nfs/dbraw/zinc/28/44/06/382284406.db2.gz LNWXHUNPDQXLTO-CQSZACIVSA-N 0 0 285.303 2.716 20 5 CFBDRN CC(C)(C)[C@@H](CO)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352354901 382287669 /nfs/dbraw/zinc/28/76/69/382287669.db2.gz FYHMOYGHBHGJEK-CYBMUJFWSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1cccc(-n2cncn2)c1 ZINC000364245527 382289671 /nfs/dbraw/zinc/28/96/71/382289671.db2.gz PZEQZIRQNPZQBW-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000363670350 382242279 /nfs/dbraw/zinc/24/22/79/382242279.db2.gz GXBOERWMARHWCJ-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1ccnc(N2CCC3(CCOCC3)[C@@H]2C)c1[N+](=O)[O-] ZINC000363781946 382246588 /nfs/dbraw/zinc/24/65/88/382246588.db2.gz SWXKCJZJJBGQPK-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc(N2CC[C@@H](O)[C@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000302432999 382249680 /nfs/dbraw/zinc/24/96/80/382249680.db2.gz PCEUJAPHDMOXCR-NOZJJQNGSA-N 0 0 284.743 2.764 20 5 CFBDRN Cc1cnc(OC[C@@H]2CCC(C)(C)O2)c([N+](=O)[O-])c1 ZINC000187691699 382250182 /nfs/dbraw/zinc/25/01/82/382250182.db2.gz KUFJDAYJLSBLDQ-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1cccc(NC[C@@H](O)Cc2ccccc2)c1[N+](=O)[O-] ZINC000302479288 382255574 /nfs/dbraw/zinc/25/55/74/382255574.db2.gz GCKZMEMFCXKVDG-AWEZNQCLSA-N 0 0 286.331 2.919 20 5 CFBDRN O=C(Nc1cnc2c(n1)CCCC2)c1cccc([N+](=O)[O-])c1 ZINC000377478169 382275606 /nfs/dbraw/zinc/27/56/06/382275606.db2.gz OZKQYFVWVGAXDW-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN CN(CC[C@H]1CCCO1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000302732365 382305927 /nfs/dbraw/zinc/30/59/27/382305927.db2.gz XCWJOMYGXOUGAG-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])nc1)C1CCCCC1 ZINC000357753280 382305952 /nfs/dbraw/zinc/30/59/52/382305952.db2.gz LPQIWNGDOPZJBM-AWEZNQCLSA-N 0 0 279.340 2.733 20 5 CFBDRN COC(=O)c1cnc(NCC2(C)CCCC2)c([N+](=O)[O-])c1 ZINC000302767173 382313933 /nfs/dbraw/zinc/31/39/33/382313933.db2.gz LVVNRNRMQPNFOH-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CCOc1cc(NCc2ccc(N)nc2)ccc1[N+](=O)[O-] ZINC000302771867 382315208 /nfs/dbraw/zinc/31/52/08/382315208.db2.gz NFVDMFVKYCGROQ-UHFFFAOYSA-N 0 0 288.307 2.583 20 5 CFBDRN CCO[C@@H]1C[C@@](O)(CNc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000302784812 382318150 /nfs/dbraw/zinc/31/81/50/382318150.db2.gz VBZIGIXMBMSHOG-UKRRQHHQSA-N 0 0 294.351 2.573 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCO[C@@H](C2CC2)C1 ZINC000364425562 382318362 /nfs/dbraw/zinc/31/83/62/382318362.db2.gz XUUAXDJLQJCSJV-CYBMUJFWSA-N 0 0 282.727 2.863 20 5 CFBDRN COC(=O)c1cnc(N[C@H]2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000302788972 382319002 /nfs/dbraw/zinc/31/90/02/382319002.db2.gz XTNABKVBQQMKGA-KOLCDFICSA-N 0 0 293.323 2.767 20 5 CFBDRN CC(C)C1(NC(=O)CSc2ccc([N+](=O)[O-])cc2)CC1 ZINC000293885642 382321276 /nfs/dbraw/zinc/32/12/76/382321276.db2.gz JACUBZBNXQUPDB-UHFFFAOYSA-N 0 0 294.376 2.992 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCCC[C@@H]1C ZINC000357790036 382323932 /nfs/dbraw/zinc/32/39/32/382323932.db2.gz KLWVWVBLTLYBGS-JTQLQIEISA-N 0 0 277.324 2.703 20 5 CFBDRN CCc1ccc(OCc2ncccn2)c([N+](=O)[O-])c1 ZINC000188012073 382324160 /nfs/dbraw/zinc/32/41/60/382324160.db2.gz SJYJFZKYARDDEA-UHFFFAOYSA-N 0 0 259.265 2.526 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H]1CCOC1 ZINC000302828398 382329765 /nfs/dbraw/zinc/32/97/65/382329765.db2.gz OECDJKQFLSOUTM-QMMMGPOBSA-N 0 0 256.689 2.757 20 5 CFBDRN Cc1cn2c(n1)CC[C@@H](Nc1cc(F)ccc1[N+](=O)[O-])C2 ZINC000302825971 382329897 /nfs/dbraw/zinc/32/98/97/382329897.db2.gz ZRDGHMPGIWEDOL-LLVKDONJSA-N 0 0 290.298 2.666 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC[C@H]1CC1(C)C ZINC000352431500 382330201 /nfs/dbraw/zinc/33/02/01/382330201.db2.gz NJVHMMSFWNTFLS-GFCCVEGCSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)Cc1cnccn1 ZINC000302836658 382331089 /nfs/dbraw/zinc/33/10/89/382331089.db2.gz WVFJQBSZISPXLF-UHFFFAOYSA-N 0 0 292.726 2.983 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2C[C@H](C)[C@@H]2C)c1 ZINC000293915607 382335289 /nfs/dbraw/zinc/33/52/89/382335289.db2.gz CSAAZDQQLOTTFG-RYUDHWBXSA-N 0 0 292.335 2.539 20 5 CFBDRN CSc1cccc(C(=O)Nc2cc(C)[nH]n2)c1[N+](=O)[O-] ZINC000188064504 382336514 /nfs/dbraw/zinc/33/65/14/382336514.db2.gz SWVCFTTYULOJCC-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN CC(C)CC[C@@H](C)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000302702534 382296854 /nfs/dbraw/zinc/29/68/54/382296854.db2.gz BNMCIAHCRGFNCO-SNVBAGLBSA-N 0 0 277.328 2.874 20 5 CFBDRN C[C@H]1CC[C@](CO)([N@H+](C)Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000187918543 382297028 /nfs/dbraw/zinc/29/70/28/382297028.db2.gz GEDIOYFVSRSUAY-NHYWBVRUSA-N 0 0 296.342 2.717 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000302883200 382343688 /nfs/dbraw/zinc/34/36/88/382343688.db2.gz KSCXEXVOHHQMKP-VHSXEESVSA-N 0 0 277.324 2.542 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C(C)C)C(C)(C)O)n1 ZINC000357741075 382301047 /nfs/dbraw/zinc/30/10/47/382301047.db2.gz CTVDFYQVEZQNMV-LBPRGKRZSA-N 0 0 281.356 2.814 20 5 CFBDRN O=Cc1ccc(Oc2ncncc2Cl)c([N+](=O)[O-])c1 ZINC000302720044 382301599 /nfs/dbraw/zinc/30/15/99/382301599.db2.gz OTDDFKSPNIUMHW-UHFFFAOYSA-N 0 0 279.639 2.643 20 5 CFBDRN CSCCCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302883791 382343851 /nfs/dbraw/zinc/34/38/51/382343851.db2.gz LDSPFJRDIPFSHH-UHFFFAOYSA-N 0 0 267.354 2.728 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCCCC[C@@H]21 ZINC000378082664 382396270 /nfs/dbraw/zinc/39/62/70/382396270.db2.gz IKBRMCRTBJOAOM-AAEUAGOBSA-N 0 0 274.320 3.000 20 5 CFBDRN Cc1csc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000303056145 382351230 /nfs/dbraw/zinc/35/12/30/382351230.db2.gz KLSCUFRGKVNOQH-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000357856799 382351597 /nfs/dbraw/zinc/35/15/97/382351597.db2.gz UNCNEMBJYJVVBR-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1ccc(N2CCC[C@H]2c2ncon2)c([N+](=O)[O-])c1 ZINC000365041299 382360309 /nfs/dbraw/zinc/36/03/09/382360309.db2.gz WUEYZCQSTSIXCK-NSHDSACASA-N 0 0 274.280 2.628 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2OC[C@H]3CCC[C@@H]23)cccc1[N+](=O)[O-] ZINC000377891933 382365965 /nfs/dbraw/zinc/36/59/65/382365965.db2.gz CKHFXCKWOOKSSJ-JTNHKYCSSA-N 0 0 290.319 2.657 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H]1CF ZINC000293993102 382369556 /nfs/dbraw/zinc/36/95/56/382369556.db2.gz HHHMRSGUZVATTM-SECBINFHSA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCCOC(C)(C)C1 ZINC000377948086 382373458 /nfs/dbraw/zinc/37/34/58/382373458.db2.gz ICNKAKUWIZRWFB-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cc(C(=O)N2CCOC(C)(C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000357924151 382375997 /nfs/dbraw/zinc/37/59/97/382375997.db2.gz GZRQFRARNJEEEQ-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1c(C[N@H+](CCCCO)C2CC2)cccc1[N+](=O)[O-] ZINC000304210853 382378300 /nfs/dbraw/zinc/37/83/00/382378300.db2.gz ACJGXPSFEIYFEP-UHFFFAOYSA-N 0 0 278.352 2.640 20 5 CFBDRN Cc1ccc(C(=O)NC2(C(C)C)CC2)cc1[N+](=O)[O-] ZINC000294016296 382380405 /nfs/dbraw/zinc/38/04/05/382380405.db2.gz VJNNRJHQVODFPW-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cccc(C(C)(C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000346482367 382381800 /nfs/dbraw/zinc/38/18/00/382381800.db2.gz HUWDQSHHNXLQBN-UHFFFAOYSA-N 0 0 287.319 2.896 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1ccc(F)cn1 ZINC000346486104 382382564 /nfs/dbraw/zinc/38/25/64/382382564.db2.gz JEKVYCHIPODYAM-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN C[C@@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccon1 ZINC000357941569 382382926 /nfs/dbraw/zinc/38/29/26/382382926.db2.gz JNOIHDOOFUPMDF-SSDOTTSWSA-N 0 0 295.682 2.727 20 5 CFBDRN COc1cc(C[N@@H+]2C[C@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000352540044 382387067 /nfs/dbraw/zinc/38/70/67/382387067.db2.gz GNFOBABFEARKSD-PHIMTYICSA-N 0 0 264.325 2.691 20 5 CFBDRN C[C@@H]1CC[C@@H](COc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000188533382 382429989 /nfs/dbraw/zinc/42/99/89/382429989.db2.gz DKPWKFNKKHLSCU-SCZZXKLOSA-N 0 0 255.245 2.680 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](C3CCOCC3)C2)c1[N+](=O)[O-] ZINC000378286263 382429957 /nfs/dbraw/zinc/42/99/57/382429957.db2.gz SIQBXFSBCHIKEI-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])CCO1 ZINC000420624013 382431717 /nfs/dbraw/zinc/43/17/17/382431717.db2.gz WAUFLCJNPFDEDL-DTWKUNHWSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCC(=O)c1ccc(F)cc1 ZINC000346602795 382432433 /nfs/dbraw/zinc/43/24/33/382432433.db2.gz CLFXERGMYMXOFB-UHFFFAOYSA-N 0 0 291.282 2.902 20 5 CFBDRN CC(=O)c1ccc(NCCCC2(O)CCC2)c([N+](=O)[O-])c1 ZINC000420627817 382433877 /nfs/dbraw/zinc/43/38/77/382433877.db2.gz DTVOLVXRNWHZBR-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)c([N+](=O)[O-])cn2)C[C@H](CC)O1 ZINC000420634178 382436308 /nfs/dbraw/zinc/43/63/08/382436308.db2.gz SVMJNHQEGXLUKB-TXEJJXNPSA-N 0 0 279.340 2.692 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H](O)CC1CCCC1 ZINC000188647353 382448292 /nfs/dbraw/zinc/44/82/92/382448292.db2.gz CDKRPIPNMOZOJG-GFCCVEGCSA-N 0 0 265.309 2.915 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1C[C@H]2CCCC[C@H]21 ZINC000365766521 382439668 /nfs/dbraw/zinc/43/96/68/382439668.db2.gz VYROXBOVPYBPPF-NOZJJQNGSA-N 0 0 278.283 2.749 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000294163679 382440182 /nfs/dbraw/zinc/44/01/82/382440182.db2.gz BTZABUOGTWIVTP-LBPRGKRZSA-N 0 0 266.297 2.657 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000294161265 382440491 /nfs/dbraw/zinc/44/04/91/382440491.db2.gz VONFGOKGNKLWOI-SNVBAGLBSA-N 0 0 273.292 2.701 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cc1F)C1CC(OC(C)(C)C)C1 ZINC000420643931 382442587 /nfs/dbraw/zinc/44/25/87/382442587.db2.gz XZVHYCNVBYLMPH-UHFFFAOYSA-N 0 0 297.330 2.911 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)[C@@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000358082688 382443763 /nfs/dbraw/zinc/44/37/63/382443763.db2.gz CBJVATRDNJMPFX-SECBINFHSA-N 0 0 294.355 2.531 20 5 CFBDRN Cc1nc(NC[C@@H]2CC23CCSCC3)ccc1[N+](=O)[O-] ZINC000420649189 382445413 /nfs/dbraw/zinc/44/54/13/382445413.db2.gz FKZWHQLPTSDYBM-NSHDSACASA-N 0 0 293.392 2.665 20 5 CFBDRN Cc1cccc(NCC(C)(C)CCO)c1[N+](=O)[O-] ZINC000188386253 382403969 /nfs/dbraw/zinc/40/39/69/382403969.db2.gz MSAQDXZNRGOIGM-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@H](C)[C@@H]1C ZINC000294073180 382405776 /nfs/dbraw/zinc/40/57/76/382405776.db2.gz LOVVARTXKJKIRA-DCAQKATOSA-N 0 0 294.376 2.942 20 5 CFBDRN CCc1cnccc1CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000352598622 382409875 /nfs/dbraw/zinc/40/98/75/382409875.db2.gz TURPHXVVKDWYSH-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@H]1CF ZINC000294098618 382414603 /nfs/dbraw/zinc/41/46/03/382414603.db2.gz JEHDBSLULVFYOS-JTQLQIEISA-N 0 0 291.282 2.650 20 5 CFBDRN CC1(C)CCCN(c2c(C(N)=O)cccc2[N+](=O)[O-])CC1 ZINC000420593855 382416100 /nfs/dbraw/zinc/41/61/00/382416100.db2.gz CMIFNLQSKFDBGJ-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN CCC[C@@H](C)C(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000188461904 382418600 /nfs/dbraw/zinc/41/86/00/382418600.db2.gz UVCVVQKEPSQTTN-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000358029348 382419388 /nfs/dbraw/zinc/41/93/88/382419388.db2.gz FEQYODNGYJWTIQ-RYUDHWBXSA-N 0 0 292.335 2.526 20 5 CFBDRN CN(Cc1nccs1)Cc1ccc([N+](=O)[O-])cc1F ZINC000352617380 382419651 /nfs/dbraw/zinc/41/96/51/382419651.db2.gz BQLFKVVFWHWPAW-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@H]2C)cc([N+](=O)[O-])c1C ZINC000188482176 382421245 /nfs/dbraw/zinc/42/12/45/382421245.db2.gz DEZJOOHQBMFXGO-SECBINFHSA-N 0 0 278.308 2.536 20 5 CFBDRN CCC1(CC)CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420606421 382421848 /nfs/dbraw/zinc/42/18/48/382421848.db2.gz XWBVSLMJJGGXIO-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN CSC1(C(=O)NCc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000346641216 382450344 /nfs/dbraw/zinc/45/03/44/382450344.db2.gz BHZODRKLGUSPNM-UHFFFAOYSA-N 0 0 294.376 2.805 20 5 CFBDRN CSCCCN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352704298 382451513 /nfs/dbraw/zinc/45/15/13/382451513.db2.gz FCLGEFJZJBCFAA-UHFFFAOYSA-N 0 0 282.365 2.542 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3ncccc23)C[C@@H](C)O1 ZINC000346651707 382455637 /nfs/dbraw/zinc/45/56/37/382455637.db2.gz IBHCISORPBSLJQ-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN CCN(CC1CCC1)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000358186073 382493845 /nfs/dbraw/zinc/49/38/45/382493845.db2.gz PTPBXCQYSGLFOZ-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1cnc(OC[C@@H]2Cc3ccccc3O2)c([N+](=O)[O-])c1 ZINC000366161613 382479003 /nfs/dbraw/zinc/47/90/03/382479003.db2.gz HUXYKYLYKMLYOP-LBPRGKRZSA-N 0 0 286.287 2.681 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1cccnc1 ZINC000366178919 382480483 /nfs/dbraw/zinc/48/04/83/382480483.db2.gz BTFUOCLIHLANNW-UONOGXRCSA-N 0 0 283.287 2.732 20 5 CFBDRN C/C=C(\CC)C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000188839234 382488417 /nfs/dbraw/zinc/48/84/17/382488417.db2.gz AYWYVWQLZYEMFU-RUDMXATFSA-N 0 0 292.291 2.676 20 5 CFBDRN CN(CCc1ccc(F)cc1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294670392 382524432 /nfs/dbraw/zinc/52/44/32/382524432.db2.gz XBKJTMMKVHWVOZ-UHFFFAOYSA-N 0 0 296.342 2.662 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](O)C2(C)C)c(F)cc1[N+](=O)[O-] ZINC000312044554 382510160 /nfs/dbraw/zinc/51/01/60/382510160.db2.gz YGBZJZQMPPCYNX-RYUDHWBXSA-N 0 0 268.288 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2CCC[C@@H]2C(F)F)cc1 ZINC000353177180 382515561 /nfs/dbraw/zinc/51/55/61/382515561.db2.gz VPEFPOSQFYTVAK-GFCCVEGCSA-N 0 0 270.279 2.867 20 5 CFBDRN CC1(C)C(NC(=O)c2ccc(F)cc2[N+](=O)[O-])C1(C)C ZINC000312986139 382518637 /nfs/dbraw/zinc/51/86/37/382518637.db2.gz RLXYDHUKTGKLHD-UHFFFAOYSA-N 0 0 280.299 2.898 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCc1nnc(C2CC2)[nH]1 ZINC000294953642 382547568 /nfs/dbraw/zinc/54/75/68/382547568.db2.gz MHHPDKGVFANPOQ-UHFFFAOYSA-N 0 0 293.714 2.856 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(F)(F)F ZINC000346931261 382576625 /nfs/dbraw/zinc/57/66/25/382576625.db2.gz XXQGQIUDTAXPJH-JTQLQIEISA-N 0 0 290.241 2.974 20 5 CFBDRN CC[C@H](NCc1cccc([N+](=O)[O-])c1C)c1nccn1C ZINC000358313344 382562733 /nfs/dbraw/zinc/56/27/33/382562733.db2.gz UTIZOOCWCKRPEV-ZDUSSCGKSA-N 0 0 288.351 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC(C2CC2)C2CC2)c1 ZINC000358340737 382577095 /nfs/dbraw/zinc/57/70/95/382577095.db2.gz GYDIWLLCASDKFK-UHFFFAOYSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)[C@]12C[C@H]1CCC2 ZINC000421512698 382565114 /nfs/dbraw/zinc/56/51/14/382565114.db2.gz DQVUHGCYUCVNLQ-BDJLRTHQSA-N 0 0 297.314 2.694 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000346902507 382565732 /nfs/dbraw/zinc/56/57/32/382565732.db2.gz YRSBHFDMKMYBIS-MRVPVSSYSA-N 0 0 296.270 2.973 20 5 CFBDRN CN(C(=O)[C@@H]1CC[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000421524834 382568778 /nfs/dbraw/zinc/56/87/78/382568778.db2.gz LXQSNUUPYUTFEH-TUAOUCFPSA-N 0 0 274.320 2.994 20 5 CFBDRN CNc1ccc(C(=O)N(C)[C@H](C)C2(C)CC2)cc1[N+](=O)[O-] ZINC000385574680 382572408 /nfs/dbraw/zinc/57/24/08/382572408.db2.gz UOSIGLDPBYFVPK-SNVBAGLBSA-N 0 0 291.351 2.897 20 5 CFBDRN CCOc1cc(N2CC[C@H](CO)[C@@H]2CC)ccc1[N+](=O)[O-] ZINC000385593190 382572842 /nfs/dbraw/zinc/57/28/42/382572842.db2.gz BIMXBUNVFBRGRN-YPMHNXCESA-N 0 0 294.351 2.591 20 5 CFBDRN Cc1noc(NC(=O)c2cc([N+](=O)[O-])ccc2C)c1C ZINC000358335537 382574024 /nfs/dbraw/zinc/57/40/24/382574024.db2.gz LZBKVDLFDRCJBW-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000421433579 382535570 /nfs/dbraw/zinc/53/55/70/382535570.db2.gz VKKNBUHKVANSLV-AXFHLTTASA-N 0 0 260.293 2.970 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1CC12CC2 ZINC000421435190 382535802 /nfs/dbraw/zinc/53/58/02/382535802.db2.gz UYDQNAQDHDENGZ-MRVPVSSYSA-N 0 0 298.245 2.935 20 5 CFBDRN C[C@@H](N(C)Cc1c(Cl)cccc1[N+](=O)[O-])C(C)(C)O ZINC000382773538 382536035 /nfs/dbraw/zinc/53/60/35/382536035.db2.gz BCIBNFLEPQVYOL-SECBINFHSA-N 0 0 286.759 2.839 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CCNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000358266638 382536257 /nfs/dbraw/zinc/53/62/57/382536257.db2.gz SAEIVHBUABQQPH-WDEREUQCSA-N 0 0 279.340 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H](C)CCO)c1 ZINC000294873107 382537005 /nfs/dbraw/zinc/53/70/05/382537005.db2.gz FGJODLBGIJAJJO-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])c([O-])c1)C1CCCC1 ZINC000382818058 382537195 /nfs/dbraw/zinc/53/71/95/382537195.db2.gz GYBKEWPNVJLWGE-LBPRGKRZSA-N 0 0 292.335 2.999 20 5 CFBDRN CNc1ccc(C(=O)N(CC(F)F)C2CC2)cc1[N+](=O)[O-] ZINC000386178370 382585226 /nfs/dbraw/zinc/58/52/26/382585226.db2.gz FYEFTIKZSZAXIH-UHFFFAOYSA-N 0 0 299.277 2.506 20 5 CFBDRN CCC[C@@H](OC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295220447 382589961 /nfs/dbraw/zinc/58/99/61/382589961.db2.gz AKLCANQWMKEVEY-GXFFZTMASA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000295220506 382591195 /nfs/dbraw/zinc/59/11/95/382591195.db2.gz AKURDLZJFJWDQV-UWVGGRQHSA-N 0 0 262.309 2.818 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CC12CCOCC2 ZINC000386919649 382594111 /nfs/dbraw/zinc/59/41/11/382594111.db2.gz DSFGOQJNGOLXIM-JTQLQIEISA-N 0 0 283.715 2.624 20 5 CFBDRN CCCC1(CNc2ncc(C(=O)OC)cc2[N+](=O)[O-])CC1 ZINC000189353697 382597732 /nfs/dbraw/zinc/59/77/32/382597732.db2.gz KSLSULURKLWTNS-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358390695 382598530 /nfs/dbraw/zinc/59/85/30/382598530.db2.gz HJWIWSYMXRBFPD-CQSZACIVSA-N 0 0 294.326 2.923 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1ccccc1[N+](=O)[O-])C1CC1 ZINC000388341935 382605933 /nfs/dbraw/zinc/60/59/33/382605933.db2.gz JZAQKMTWFUYENI-NOZJJQNGSA-N 0 0 250.298 2.820 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCO[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000295290874 382609047 /nfs/dbraw/zinc/60/90/47/382609047.db2.gz IPYCSPHTFHBERO-GMXVVIOVSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCC(C)(C)O1)c1ccc([N+](=O)[O-])cc1 ZINC000295301214 382611098 /nfs/dbraw/zinc/61/10/98/382611098.db2.gz JJMWRBYDCCBSLT-GXFFZTMASA-N 0 0 292.335 2.730 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NOCC(C)C)cc1[N+](=O)[O-] ZINC000295313218 382614811 /nfs/dbraw/zinc/61/48/11/382614811.db2.gz KTOOVTWEZSMZOK-UHFFFAOYSA-N 0 0 286.715 2.874 20 5 CFBDRN Cc1nnc(CNc2c(C)cccc2[N+](=O)[O-])s1 ZINC000391963098 382621618 /nfs/dbraw/zinc/62/16/18/382621618.db2.gz PPGKBWVSHYNQGZ-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1cccc(CN(C)Cc2csc([N+](=O)[O-])c2)n1 ZINC000353748905 382624740 /nfs/dbraw/zinc/62/47/40/382624740.db2.gz SXBCXYZCCRVQRK-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1C[C@H]1C1CC1 ZINC000421557123 382579519 /nfs/dbraw/zinc/57/95/19/382579519.db2.gz QPOWELOYHDJWEQ-JSGCOSHPSA-N 0 0 288.347 2.988 20 5 CFBDRN CO[C@@H]1CC[C@H](NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000189765404 382640117 /nfs/dbraw/zinc/64/01/17/382640117.db2.gz ORACRMPBRQSJRT-NWDGAFQWSA-N 0 0 293.323 2.592 20 5 CFBDRN CC[C@H](O)CCNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190163027 382655427 /nfs/dbraw/zinc/65/54/27/382655427.db2.gz GOYLNHGUTHXUQA-LBPRGKRZSA-N 0 0 294.351 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCO[C@H]1C ZINC000190265298 382660136 /nfs/dbraw/zinc/66/01/36/382660136.db2.gz WGQSKTVYRFWNIH-JQWIXIFHSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1cccc2nc(CNc3ncc([N+](=O)[O-])s3)cn21 ZINC000190326512 382662195 /nfs/dbraw/zinc/66/21/95/382662195.db2.gz XMKKSFDLLIVANY-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN CC1(C)CN(Cc2cccc([N+](=O)[O-])c2)CC(C)(C)O1 ZINC000190523340 382671874 /nfs/dbraw/zinc/67/18/74/382671874.db2.gz RXKIAEQQGSTUGL-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN C[C@H]1CC[C@H](COc2ccc([N+](=O)[O-])cc2F)O1 ZINC000190639563 382675949 /nfs/dbraw/zinc/67/59/49/382675949.db2.gz XHGHIOLILDVETG-WCBMZHEXSA-N 0 0 255.245 2.680 20 5 CFBDRN CCOC(C)(C)CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000189689358 382635685 /nfs/dbraw/zinc/63/56/85/382635685.db2.gz JORYNDRIUZFJLQ-JXMROGBWSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1nc([C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2C)no1 ZINC000191299361 382698064 /nfs/dbraw/zinc/69/80/64/382698064.db2.gz XRZOHRUXGSGYMF-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC(F)(F)C(F)F ZINC000191337795 382698594 /nfs/dbraw/zinc/69/85/94/382698594.db2.gz XBBFBDGULRFXTD-UHFFFAOYSA-N 0 0 294.204 2.533 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H](O)C1CCCCC1 ZINC000191360383 382699057 /nfs/dbraw/zinc/69/90/57/382699057.db2.gz MHKUQOGYHRGTSJ-ZDUSSCGKSA-N 0 0 265.309 2.915 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC1CCOCC1 ZINC000191379208 382699417 /nfs/dbraw/zinc/69/94/17/382699417.db2.gz URSJCGQEGWPYCQ-UHFFFAOYSA-N 0 0 293.323 2.512 20 5 CFBDRN CO[C@@H]1CC[C@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000191640421 382701791 /nfs/dbraw/zinc/70/17/91/382701791.db2.gz RZKFVBSAGORKFS-DTWKUNHWSA-N 0 0 298.726 2.546 20 5 CFBDRN CSCC[C@H](C)N(C)c1ncc([N+](=O)[O-])s1 ZINC000192473797 382709315 /nfs/dbraw/zinc/70/93/15/382709315.db2.gz VICWXRJJCPJXMD-ZETCQYMHSA-N 0 0 261.372 2.629 20 5 CFBDRN CCOCCC1(CNc2ncc([N+](=O)[O-])s2)CC1 ZINC000192505566 382709592 /nfs/dbraw/zinc/70/95/92/382709592.db2.gz ULUTZKBQQMIESS-UHFFFAOYSA-N 0 0 271.342 2.670 20 5 CFBDRN CC1(C)C[C@H](Nc2ncc([N+](=O)[O-])s2)C(C)(C)O1 ZINC000192523656 382709635 /nfs/dbraw/zinc/70/96/35/382709635.db2.gz GWUXAJVNQJFFMM-ZETCQYMHSA-N 0 0 271.342 2.809 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H]2CCCCC2(C)C)c1[N+](=O)[O-] ZINC000192751080 382711784 /nfs/dbraw/zinc/71/17/84/382711784.db2.gz JPJZDSDHBAOOSM-SNVBAGLBSA-N 0 0 294.355 2.579 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(CCC)CC2CC2)c1[N+](=O)[O-] ZINC000193326306 382718778 /nfs/dbraw/zinc/71/87/78/382718778.db2.gz WGLKDOTYBGGKHG-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN CCC(CC)(CCO)CNc1cc(N)ccc1[N+](=O)[O-] ZINC000193461869 382719928 /nfs/dbraw/zinc/71/99/28/382719928.db2.gz SCTIEMNICDXNEC-UHFFFAOYSA-N 0 0 281.356 2.778 20 5 CFBDRN CC1(C)C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000193487578 382720274 /nfs/dbraw/zinc/72/02/74/382720274.db2.gz WFXXKBMEIZJSNR-SNVBAGLBSA-N 0 0 265.313 2.547 20 5 CFBDRN C[C@@H](CCC(C)(C)C)NC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000193601065 382721431 /nfs/dbraw/zinc/72/14/31/382721431.db2.gz HMWDPULCCUALJY-VIFPVBQESA-N 0 0 295.339 2.640 20 5 CFBDRN CCC(CC)(CC)CNC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000193700126 382722904 /nfs/dbraw/zinc/72/29/04/382722904.db2.gz IQDGGDHDNCNWSR-UHFFFAOYSA-N 0 0 295.339 2.642 20 5 CFBDRN Cc1c(C[N@@H+]2CC(C)(C)[C@@H]3COC[C@@H]32)cccc1[N+](=O)[O-] ZINC000575133476 382728803 /nfs/dbraw/zinc/72/88/03/382728803.db2.gz AZSPUQBBBDPJSI-HIFRSBDPSA-N 0 0 290.363 2.760 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000575240565 382732442 /nfs/dbraw/zinc/73/24/42/382732442.db2.gz UGYOLSDRWQCSEZ-UWVGGRQHSA-N 0 0 250.298 2.613 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Oc1ccc([N+](=O)[O-])cc1 ZINC000195329033 382766631 /nfs/dbraw/zinc/76/66/31/382766631.db2.gz ZNNZXVMUYBHQIM-UHFFFAOYSA-N 0 0 292.251 2.820 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219358043 382793800 /nfs/dbraw/zinc/79/38/00/382793800.db2.gz VSCIQEUNCFDREB-SNVBAGLBSA-N 0 0 292.339 2.680 20 5 CFBDRN CC(C)Oc1ccc(CNc2ncc([N+](=O)[O-])s2)cn1 ZINC000195509249 382768905 /nfs/dbraw/zinc/76/89/05/382768905.db2.gz IWJLFQJIHUWROB-UHFFFAOYSA-N 0 0 294.336 2.846 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000208017641 382774363 /nfs/dbraw/zinc/77/43/63/382774363.db2.gz HZOIJDGKILWVHV-NVDWLVSRSA-N 0 0 260.293 2.523 20 5 CFBDRN COc1ncc(COc2cccc(C)c2[N+](=O)[O-])c(C)n1 ZINC000337229805 382781235 /nfs/dbraw/zinc/78/12/35/382781235.db2.gz SFZXAIMZDVPQPA-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])cc1OC ZINC000220086726 382794914 /nfs/dbraw/zinc/79/49/14/382794914.db2.gz ITVRTBFPJYUJOF-VHSXEESVSA-N 0 0 296.323 2.591 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000337239361 382783086 /nfs/dbraw/zinc/78/30/86/382783086.db2.gz DWSKJIKKAAUDIK-UHFFFAOYSA-N 0 0 296.229 2.869 20 5 CFBDRN COc1cccc(CCNc2ccncc2[N+](=O)[O-])c1 ZINC000218040918 382788745 /nfs/dbraw/zinc/78/87/45/382788745.db2.gz HULHNBYZLBWBPX-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCO1 ZINC000220155513 382795396 /nfs/dbraw/zinc/79/53/96/382795396.db2.gz ANWDKDKNMSXUBW-PSASIEDQSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cccc(N[C@H](C)Cn2cccn2)c1[N+](=O)[O-] ZINC000218835502 382790953 /nfs/dbraw/zinc/79/09/53/382790953.db2.gz ACNMOURLNMSVSP-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN COc1cc(NCCC[C@@H](C)CO)c(F)cc1[N+](=O)[O-] ZINC000218875912 382791083 /nfs/dbraw/zinc/79/10/83/382791083.db2.gz VYDIBTRPGNPBCV-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(O)CCC[C@@H]2C)c1 ZINC000336898466 382739142 /nfs/dbraw/zinc/73/91/42/382739142.db2.gz QIWLJYFWATTXEG-FZMZJTMJSA-N 0 0 264.325 2.866 20 5 CFBDRN O=[N+]([O-])c1cc(C[NH2+]C[C@@H](O)c2ccsc2)cs1 ZINC000219084239 382792054 /nfs/dbraw/zinc/79/20/54/382792054.db2.gz QTQQKUBPWMRMKV-SNVBAGLBSA-N 0 0 284.362 2.541 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000336959218 382746199 /nfs/dbraw/zinc/74/61/99/382746199.db2.gz ZCUMNOBVVNZOIT-ZWNOBZJWSA-N 0 0 291.351 2.891 20 5 CFBDRN CCSCCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000336964819 382746714 /nfs/dbraw/zinc/74/67/14/382746714.db2.gz IKJFHNUCVJYDTR-UHFFFAOYSA-N 0 0 254.311 2.677 20 5 CFBDRN Cc1cc(NCC2CCC(F)(F)CC2)ncc1[N+](=O)[O-] ZINC000336991004 382749411 /nfs/dbraw/zinc/74/94/11/382749411.db2.gz LAAKHHOMANLOCL-UHFFFAOYSA-N 0 0 285.294 2.958 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCC1CCC(F)(F)CC1 ZINC000337001457 382750445 /nfs/dbraw/zinc/75/04/45/382750445.db2.gz UPCCBZDXHCHGIB-UHFFFAOYSA-N 0 0 271.267 2.649 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOc2ccccc2Cl)s1 ZINC000337014720 382752310 /nfs/dbraw/zinc/75/23/10/382752310.db2.gz KEMYURPNAMCLDZ-UHFFFAOYSA-N 0 0 299.739 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCCC3(CC3)C2)c([N+](=O)[O-])c1 ZINC000194716862 382753260 /nfs/dbraw/zinc/75/32/60/382753260.db2.gz HNZWJRWHBHYURV-UHFFFAOYSA-N 0 0 291.307 2.879 20 5 CFBDRN CSCC(C)(C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000337066413 382757661 /nfs/dbraw/zinc/75/76/61/382757661.db2.gz WNKAYELSXTUHHC-UHFFFAOYSA-N 0 0 296.392 2.909 20 5 CFBDRN CN(C(=O)COc1ccsc1)c1cccc([N+](=O)[O-])c1 ZINC000337109201 382761837 /nfs/dbraw/zinc/76/18/37/382761837.db2.gz USTHZAUKPVXFGG-UHFFFAOYSA-N 0 0 292.316 2.698 20 5 CFBDRN Cc1ncc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])s1 ZINC000337301946 382797553 /nfs/dbraw/zinc/79/75/53/382797553.db2.gz OWYMQJICEWCQJB-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1ncncc1[C@@H](C)[NH2+]CCc1ccc([N+](=O)[O-])cc1 ZINC000575881005 382802564 /nfs/dbraw/zinc/80/25/64/382802564.db2.gz DAVUANQIWKIBPN-LLVKDONJSA-N 0 0 286.335 2.587 20 5 CFBDRN C[C@@H]1C[C@@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000575873673 382802618 /nfs/dbraw/zinc/80/26/18/382802618.db2.gz NHPADITWYOVRNZ-SFYZADRCSA-N 0 0 290.245 2.552 20 5 CFBDRN Cc1cnn(CCOc2cc(C)c([N+](=O)[O-])cc2F)c1 ZINC000575987299 382806141 /nfs/dbraw/zinc/80/61/41/382806141.db2.gz MIIJQKMUILRBSZ-UHFFFAOYSA-N 0 0 279.271 2.626 20 5 CFBDRN CCC(CC)[C@H](O)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000225745305 382821089 /nfs/dbraw/zinc/82/10/89/382821089.db2.gz XURFVWHRGMUPFO-SECBINFHSA-N 0 0 298.368 2.513 20 5 CFBDRN COc1cc(NCc2ncc(C)o2)c(F)cc1[N+](=O)[O-] ZINC000225817945 382822140 /nfs/dbraw/zinc/82/21/40/382822140.db2.gz AOVMJOPXNNAIRD-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN Cc1c(C[N@@H+]([C@H](C)C(=O)[O-])C2CCC2)cccc1[N+](=O)[O-] ZINC000576530715 382826671 /nfs/dbraw/zinc/82/66/71/382826671.db2.gz YMQIPULVYHBHDX-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1cc([C@H](C)NCc2cccc([N+](=O)[O-])c2C)nn1C ZINC000337483052 382829040 /nfs/dbraw/zinc/82/90/40/382829040.db2.gz RLDAPAWVMFJHDC-LBPRGKRZSA-N 0 0 288.351 2.796 20 5 CFBDRN CCOc1cc(NC[C@H]2CCC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000226571719 382830089 /nfs/dbraw/zinc/83/00/89/382830089.db2.gz SKHPRCYHMCXNRX-AAEUAGOBSA-N 0 0 294.351 2.957 20 5 CFBDRN CN(CCCCCO)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000227109241 382833515 /nfs/dbraw/zinc/83/35/15/382833515.db2.gz LALUGGBHBLBKKK-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000227303767 382835087 /nfs/dbraw/zinc/83/50/87/382835087.db2.gz YPUQZZLYVVTGLB-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1cc(NCC[C@H]2CCCCO2)ncc1[N+](=O)[O-] ZINC000227317516 382835660 /nfs/dbraw/zinc/83/56/60/382835660.db2.gz GHZIXSWVAYMTBS-LLVKDONJSA-N 0 0 265.313 2.669 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@@H]2CCCCO2)nc2sccn21 ZINC000227317289 382835920 /nfs/dbraw/zinc/83/59/20/382835920.db2.gz ZZWGAQBCAOWHFW-VIFPVBQESA-N 0 0 296.352 2.675 20 5 CFBDRN CS[C@H]1CCC[C@@H](Nc2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000227360275 382836379 /nfs/dbraw/zinc/83/63/79/382836379.db2.gz LNNYBGRWYRWEDX-ZJUUUORDSA-N 0 0 284.385 2.723 20 5 CFBDRN CCOc1cc(N2CC[C@H](OC)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000576813788 382836999 /nfs/dbraw/zinc/83/69/99/382836999.db2.gz RJIMGTDPEYWLNY-YPMHNXCESA-N 0 0 294.351 2.997 20 5 CFBDRN CC1(C)[C@H](Nc2cc(F)ccc2[N+](=O)[O-])[C@H]2CCO[C@H]21 ZINC000227479354 382837191 /nfs/dbraw/zinc/83/71/91/382837191.db2.gz CEPIRTXMMRNFOA-OASPWFOLSA-N 0 0 280.299 2.959 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000227652081 382838927 /nfs/dbraw/zinc/83/89/27/382838927.db2.gz LAFYRBZISLDQTP-LLVKDONJSA-N 0 0 287.747 2.852 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1c1ccccc1)c1csc([N+](=O)[O-])c1 ZINC000337544602 382839745 /nfs/dbraw/zinc/83/97/45/382839745.db2.gz KVENBALUXCJNTP-VXGBXAGGSA-N 0 0 288.328 2.942 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@H]2CCC[C@H](O)C2)c(F)c1 ZINC000227890795 382841963 /nfs/dbraw/zinc/84/19/63/382841963.db2.gz AQPVTHUNIDFKFM-WPRPVWTQSA-N 0 0 286.278 2.836 20 5 CFBDRN C[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CCCO1 ZINC000227888040 382842037 /nfs/dbraw/zinc/84/20/37/382842037.db2.gz DWEMAFIYTJTLTL-WRWORJQWSA-N 0 0 272.251 2.852 20 5 CFBDRN CC(=O)c1cc(N(C)C[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000228260515 382845909 /nfs/dbraw/zinc/84/59/09/382845909.db2.gz NYRKMVJAARVUNB-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(Cc1ccno1)C1CC1 ZINC000533539169 382904249 /nfs/dbraw/zinc/90/42/49/382904249.db2.gz DLMINRDVGFNXRQ-UHFFFAOYSA-N 0 0 273.292 2.747 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1NCc1ccon1 ZINC000093297718 184661468 /nfs/dbraw/zinc/66/14/68/184661468.db2.gz XTFCRJCJMNHZBY-UHFFFAOYSA-N 0 0 298.096 2.957 20 5 CFBDRN COCCCCCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000230183639 382857116 /nfs/dbraw/zinc/85/71/16/382857116.db2.gz KDYYKNZKYQIELZ-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN CN(CCC1CCCCC1)c1c([N+](=O)[O-])ncn1C ZINC000337727444 382858947 /nfs/dbraw/zinc/85/89/47/382858947.db2.gz MMLPMVIBPWRWJB-UHFFFAOYSA-N 0 0 266.345 2.735 20 5 CFBDRN COc1cc(NCCc2ccccn2)c(F)cc1[N+](=O)[O-] ZINC000230354893 382859683 /nfs/dbraw/zinc/85/96/83/382859683.db2.gz LMZRVSHVHXITEP-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN C[C@H](CCCO)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000577487858 382860947 /nfs/dbraw/zinc/86/09/47/382860947.db2.gz KFVMRADUNKNPRX-LLVKDONJSA-N 0 0 293.367 2.689 20 5 CFBDRN CCc1cnc(CNCc2ccc([N+](=O)[O-])cc2)s1 ZINC000230706394 382862535 /nfs/dbraw/zinc/86/25/35/382862535.db2.gz QHUXQGDBNXWIIL-UHFFFAOYSA-N 0 0 277.349 2.904 20 5 CFBDRN COc1ccc(CSCc2ccc([N+](=O)[O-])cc2)nn1 ZINC000337765062 382863184 /nfs/dbraw/zinc/86/31/84/382863184.db2.gz RUNZWLKMGVTXPE-UHFFFAOYSA-N 0 0 291.332 2.827 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000230908436 382863408 /nfs/dbraw/zinc/86/34/08/382863408.db2.gz WMBAZMPBBBCGPS-YPMHNXCESA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H]1CCC[C@H](O)C1 ZINC000230908888 382863466 /nfs/dbraw/zinc/86/34/66/382863466.db2.gz KNCVOXOAOYNEQB-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN O=C(NCCCC(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000337772847 382863640 /nfs/dbraw/zinc/86/36/40/382863640.db2.gz IQIVBOQJMNFDLZ-UHFFFAOYSA-N 0 0 294.204 2.806 20 5 CFBDRN Cc1ccnc(N(C)CCc2cccc([N+](=O)[O-])c2)c1 ZINC000337800652 382866467 /nfs/dbraw/zinc/86/64/67/382866467.db2.gz HWFZLIKAVSZMJN-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CN(CC1(O)CCCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000234260252 382873549 /nfs/dbraw/zinc/87/35/49/382873549.db2.gz AKHLTJKYBOJNNF-UHFFFAOYSA-N 0 0 284.743 2.990 20 5 CFBDRN Cc1cn(Cc2cc(F)ccc2Cl)nc1[N+](=O)[O-] ZINC000337936536 382874412 /nfs/dbraw/zinc/87/44/12/382874412.db2.gz MNCPNWIVVPGOMD-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN O=C(NCCSc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000337937331 382874849 /nfs/dbraw/zinc/87/48/49/382874849.db2.gz QVPUAFRFXUXDIR-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000421586396 382876957 /nfs/dbraw/zinc/87/69/57/382876957.db2.gz FBILOBYXUATFDS-SECBINFHSA-N 0 0 280.324 2.688 20 5 CFBDRN CC[C@H](C)CNC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000421586740 382876981 /nfs/dbraw/zinc/87/69/81/382876981.db2.gz FOXOWBJVFMZBRL-JTQLQIEISA-N 0 0 289.335 2.781 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@H]2C2CCC2)c([N+](=O)[O-])c1 ZINC000577955591 382877298 /nfs/dbraw/zinc/87/72/98/382877298.db2.gz ZSNYUMRPBUPXBA-NWDGAFQWSA-N 0 0 290.319 2.978 20 5 CFBDRN CSC1(CNC(=O)c2cc([N+](=O)[O-])cc(C)c2F)CC1 ZINC000235176226 382877840 /nfs/dbraw/zinc/87/78/40/382877840.db2.gz YKNRWLRSPGJMFI-UHFFFAOYSA-N 0 0 298.339 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2CCC[C@@H]2C)c1F ZINC000235569089 382883465 /nfs/dbraw/zinc/88/34/65/382883465.db2.gz WOHKULGXVSOVBE-QPUJVOFHSA-N 0 0 280.299 2.961 20 5 CFBDRN C[C@@H](SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H](C)O ZINC000235550149 382883556 /nfs/dbraw/zinc/88/35/56/382883556.db2.gz KUNJECDKWSOTIC-JGVFFNPUSA-N 0 0 286.309 2.506 20 5 CFBDRN C[C@@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000533237315 382888413 /nfs/dbraw/zinc/88/84/13/382888413.db2.gz OMTWMJFUAJMHDO-UNXYVOJBSA-N 0 0 289.335 2.549 20 5 CFBDRN CC[C@](C)(CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)OC ZINC000455320963 382895655 /nfs/dbraw/zinc/89/56/55/382895655.db2.gz BARWOILIKQYPNX-CYBMUJFWSA-N 0 0 299.302 2.671 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cn2C)c(C)c1O ZINC000533496692 382901488 /nfs/dbraw/zinc/90/14/88/382901488.db2.gz GYHIVPFJQSZCDK-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccncc1F ZINC000338178256 382943758 /nfs/dbraw/zinc/94/37/58/382943758.db2.gz ZPGVSEAWVLPDCB-ZETCQYMHSA-N 0 0 295.295 2.681 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC2C[C@H](C)O[C@@H](C)C2)c1 ZINC000535050841 382946808 /nfs/dbraw/zinc/94/68/08/382946808.db2.gz LSYHMCVVISYKIV-UWVGGRQHSA-N 0 0 280.324 2.971 20 5 CFBDRN CC(=O)CN(CC(C)C)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000421890593 382961923 /nfs/dbraw/zinc/96/19/23/382961923.db2.gz PEBGRRQNRFFRBL-UHFFFAOYSA-N 0 0 298.364 2.652 20 5 CFBDRN Cc1nc(N[C@@H]2CC[C@@H](C)C[C@@H]2C)ncc1[N+](=O)[O-] ZINC000578428202 382920627 /nfs/dbraw/zinc/92/06/27/382920627.db2.gz HNCDOGUEPGAAIZ-WCABBAIRSA-N 0 0 264.329 2.930 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCC1(C)CC1 ZINC000280204885 194747694 /nfs/dbraw/zinc/74/76/94/194747694.db2.gz ZPOKUYFHDZTBET-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CC[C@@H](C(=O)[O-])[N@@H+]1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000578524749 382929333 /nfs/dbraw/zinc/92/93/33/382929333.db2.gz QEJXEXHJWWNLAL-OCCSQVGLSA-N 0 0 292.335 2.637 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@H]1C[C@H]1C1CC1 ZINC000338139959 382931123 /nfs/dbraw/zinc/93/11/23/382931123.db2.gz KJEHEWKCGOGSMO-GJZGRUSLSA-N 0 0 288.347 2.642 20 5 CFBDRN Cc1ccnc(N2CCc3c(cccc3[N+](=O)[O-])C2)c1 ZINC000534511795 382932540 /nfs/dbraw/zinc/93/25/40/382932540.db2.gz DFDCGVGXOQJCRA-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](OC)C(C)(C)C1 ZINC000579004405 382974340 /nfs/dbraw/zinc/97/43/40/382974340.db2.gz IYTGXJYQYJBAGV-ZDUSSCGKSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1cc(NCCOc2ncccc2F)ccc1[N+](=O)[O-] ZINC000338288547 382977262 /nfs/dbraw/zinc/97/72/62/382977262.db2.gz MQYRTVLMPYFFCW-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN O=C(NCC1(C2CCC2)CCC1)c1ccc([N+](=O)[O-])o1 ZINC000457173530 382978529 /nfs/dbraw/zinc/97/85/29/382978529.db2.gz BUMYEQMIDYTJKR-UHFFFAOYSA-N 0 0 278.308 2.888 20 5 CFBDRN O=C(NCC1CC1)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000444861575 382982398 /nfs/dbraw/zinc/98/23/98/382982398.db2.gz TUINRUPEPFDYOX-UHFFFAOYSA-N 0 0 292.338 2.821 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc(C)cc([N+](=O)[O-])c2)nc1 ZINC000457198929 382987233 /nfs/dbraw/zinc/98/72/33/382987233.db2.gz INGIRMXELFCIDK-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cc(NC(=O)NCCc2ccco2)ccc1[N+](=O)[O-] ZINC000338330253 382992765 /nfs/dbraw/zinc/99/27/65/382992765.db2.gz BXWURARQPMXMQC-UHFFFAOYSA-N 0 0 289.291 2.860 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC2(CF)CCC2)s1 ZINC000579232352 382994803 /nfs/dbraw/zinc/99/48/03/382994803.db2.gz UFOVRVRLOSGFPV-UHFFFAOYSA-N 0 0 282.300 2.904 20 5 CFBDRN O=C(NCCc1ccccc1)c1csc([N+](=O)[O-])c1 ZINC000338361233 383003946 /nfs/dbraw/zinc/00/39/46/383003946.db2.gz QKUYHZIDRQZFAW-UHFFFAOYSA-N 0 0 276.317 2.629 20 5 CFBDRN CCC1CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000457281918 383011718 /nfs/dbraw/zinc/01/17/18/383011718.db2.gz ACUBUOYVMZIOBB-UHFFFAOYSA-N 0 0 280.349 2.555 20 5 CFBDRN Cc1cccc(N2CC[C@H](COC(F)F)C2)c1[N+](=O)[O-] ZINC000338724585 383030140 /nfs/dbraw/zinc/03/01/40/383030140.db2.gz PDFDTPCEGJNRRB-JTQLQIEISA-N 0 0 286.278 2.969 20 5 CFBDRN CC(C)(NC(=O)OCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000495888212 383039649 /nfs/dbraw/zinc/03/96/49/383039649.db2.gz GGIUDMOTGVAXJY-UHFFFAOYSA-N 0 0 284.287 2.916 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](CC2CC2)C1 ZINC000338811403 383059205 /nfs/dbraw/zinc/05/92/05/383059205.db2.gz QLQXOTNGIVPSSO-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN CC1(C)CC(C(=O)NCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000552014334 383064522 /nfs/dbraw/zinc/06/45/22/383064522.db2.gz MXNBJAOVSNCGGH-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC(C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000457339447 383026906 /nfs/dbraw/zinc/02/69/06/383026906.db2.gz HHRIXDWLYWBARE-UHFFFAOYSA-N 0 0 294.355 2.559 20 5 CFBDRN CNc1c(C(=O)N2CC(C(C)(C)C)C2)cccc1[N+](=O)[O-] ZINC000457342188 383027833 /nfs/dbraw/zinc/02/78/33/383027833.db2.gz LRBNYUIUERHZSV-UHFFFAOYSA-N 0 0 291.351 2.755 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000554034348 383122502 /nfs/dbraw/zinc/12/25/02/383122502.db2.gz ZISOSFPWPJAPSP-ZMLRMANQSA-N 0 0 280.299 2.705 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1c1ccc(F)cc1[N+](=O)[O-] ZINC000596436126 383126590 /nfs/dbraw/zinc/12/65/90/383126590.db2.gz LDNFEQXRYUDQKC-SNVBAGLBSA-N 0 0 280.299 2.882 20 5 CFBDRN CC(C)(C)OCCCNc1ccc([N+](=O)[O-])nc1 ZINC000596464640 383128057 /nfs/dbraw/zinc/12/80/57/383128057.db2.gz IRHDJRVVENGIQK-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@](O)(C2CC2)C1 ZINC000596465140 383128173 /nfs/dbraw/zinc/12/81/73/383128173.db2.gz XBSBWQFUSSZTTO-CQSZACIVSA-N 0 0 296.754 2.990 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])s1)C(=O)N1CCCC[C@H]1C ZINC000609632295 383134458 /nfs/dbraw/zinc/13/44/58/383134458.db2.gz UEDOTSBGSVDPHQ-ZJUUUORDSA-N 0 0 297.380 2.858 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCc2cccs2)s1 ZINC000554523747 383139371 /nfs/dbraw/zinc/13/93/71/383139371.db2.gz AKOVOFANBODZSX-UHFFFAOYSA-N 0 0 269.351 2.579 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000496410082 383098300 /nfs/dbraw/zinc/09/83/00/383098300.db2.gz IWLLCRJQBQLTFS-KOLCDFICSA-N 0 0 291.307 2.762 20 5 CFBDRN CCC[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])C1CC1 ZINC000338962808 383102480 /nfs/dbraw/zinc/10/24/80/383102480.db2.gz IDESKPCWNFOJSB-UHFFFAOYSA-N 0 0 250.298 2.675 20 5 CFBDRN COC1(CCN2CCc3ccc([N+](=O)[O-])cc32)CCC1 ZINC000339086740 383144627 /nfs/dbraw/zinc/14/46/27/383144627.db2.gz UJRPUTPNTXOIMD-UHFFFAOYSA-N 0 0 276.336 2.917 20 5 CFBDRN COc1cc(C(=O)N(C)CC2CCC2)c([N+](=O)[O-])cc1F ZINC000448951972 383113460 /nfs/dbraw/zinc/11/34/60/383113460.db2.gz DIUICZRGGABDJO-UHFFFAOYSA-N 0 0 296.298 2.615 20 5 CFBDRN CN(C)c1ncccc1CNc1ccc([N+](=O)[O-])cc1 ZINC000339004003 383116598 /nfs/dbraw/zinc/11/65/98/383116598.db2.gz PPLOLTXQBPGCMZ-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN C[C@@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C(C)(C)C ZINC000517240071 383171828 /nfs/dbraw/zinc/17/18/28/383171828.db2.gz ZSKKQZTUONMXSP-SECBINFHSA-N 0 0 252.314 2.825 20 5 CFBDRN CO[C@@H](Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)C1CC1 ZINC000424091984 383178858 /nfs/dbraw/zinc/17/88/58/383178858.db2.gz NZGQTUTYZJDVLB-HNNXBMFYSA-N 0 0 287.319 2.883 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCc1ccc2c(c1)CCO2 ZINC000424097292 383179232 /nfs/dbraw/zinc/17/92/32/383179232.db2.gz JMSSVOWKXSTATM-UHFFFAOYSA-N 0 0 286.287 2.546 20 5 CFBDRN Cc1cc(NCCSCC(C)C)ncc1[N+](=O)[O-] ZINC000339357060 383191289 /nfs/dbraw/zinc/19/12/89/383191289.db2.gz LGMPJNYELCNFLQ-UHFFFAOYSA-N 0 0 269.370 2.521 20 5 CFBDRN O=[N+]([O-])c1cc(/C=C/c2cnc(N3CCCC3)nc2)ccn1 ZINC000566602979 383192690 /nfs/dbraw/zinc/19/26/90/383192690.db2.gz NAQXXJJIABFNTH-ONEGZZNKSA-N 0 0 297.318 2.550 20 5 CFBDRN Cc1noc([C@H](C)N[C@H](C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000449276184 383195940 /nfs/dbraw/zinc/19/59/40/383195940.db2.gz BGSVGKMVVQBXAV-ZJUUUORDSA-N 0 0 290.323 2.568 20 5 CFBDRN CCn1nc(C)c(CNc2ncc([N+](=O)[O-])cc2C)c1C ZINC000518661159 383206235 /nfs/dbraw/zinc/20/62/35/383206235.db2.gz QJJQNMAZDLHCSR-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000518833612 383209644 /nfs/dbraw/zinc/20/96/44/383209644.db2.gz HRZSHQAKGXBRKF-LBPRGKRZSA-N 0 0 294.351 2.614 20 5 CFBDRN CCC[C@@H](NC(=O)c1[nH]nc(CC)c1[N+](=O)[O-])C1CCC1 ZINC000458347029 383169959 /nfs/dbraw/zinc/16/99/59/383169959.db2.gz XUCLSRNYDPGYRA-LLVKDONJSA-N 0 0 294.355 2.579 20 5 CFBDRN COC(C)(C)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000567162718 383247031 /nfs/dbraw/zinc/24/70/31/383247031.db2.gz IVUUNGIROYNGMK-UHFFFAOYSA-N 0 0 279.340 2.706 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cc(C)cc([N+](=O)[O-])c2)o1 ZINC000520465629 383257590 /nfs/dbraw/zinc/25/75/90/383257590.db2.gz DPDALRHITBRFQZ-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN CCCN(C(=O)c1csc([N+](=O)[O-])c1)C(C)C ZINC000520604063 383265128 /nfs/dbraw/zinc/26/51/28/383265128.db2.gz JTTAIXQJAHEKQB-UHFFFAOYSA-N 0 0 256.327 2.917 20 5 CFBDRN C[C@@H](F)CCNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000458870427 383265747 /nfs/dbraw/zinc/26/57/47/383265747.db2.gz DJULWKGQXMTJPP-SNVBAGLBSA-N 0 0 297.330 2.877 20 5 CFBDRN CC[C@@H](C)Cn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000567384385 383270726 /nfs/dbraw/zinc/27/07/26/383270726.db2.gz DNAYNLCMCVKXQZ-SNVBAGLBSA-N 0 0 264.325 2.681 20 5 CFBDRN Cc1ccc(Cl)cc1N(C)CCn1cc([N+](=O)[O-])cn1 ZINC000339645647 383272476 /nfs/dbraw/zinc/27/24/76/383272476.db2.gz WHNVWJQKGFHUQF-UHFFFAOYSA-N 0 0 294.742 2.890 20 5 CFBDRN O=C([O-])[C@@H]1CCCC[N@@H+]1C/C=C\c1ccccc1[N+](=O)[O-] ZINC000566843416 383216821 /nfs/dbraw/zinc/21/68/21/383216821.db2.gz SIEQQSNHDUPWRB-VSKVDJIOSA-N 0 0 290.319 2.547 20 5 CFBDRN COc1ccc(F)c(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000519524505 383220890 /nfs/dbraw/zinc/22/08/90/383220890.db2.gz KBFDKESUUHDCQJ-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000339520187 383230130 /nfs/dbraw/zinc/23/01/30/383230130.db2.gz YLGWTKSNEFRURS-RKDXNWHRSA-N 0 0 268.338 2.822 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@@H](F)C1 ZINC000567106106 383241010 /nfs/dbraw/zinc/24/10/10/383241010.db2.gz BTVAVTUGTMOWQV-MWLCHTKSSA-N 0 0 281.287 2.997 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1F ZINC000425153764 383333983 /nfs/dbraw/zinc/33/39/83/383333983.db2.gz JNTWEPFPRAFYSO-MMWGEVLESA-N 0 0 292.310 2.752 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](c1cccnc1)C1CC1 ZINC000340077310 383349512 /nfs/dbraw/zinc/34/95/12/383349512.db2.gz JDHQBBRVTWVGDU-ZDUSSCGKSA-N 0 0 270.292 2.948 20 5 CFBDRN COc1cccc(N(C)C[C@@H]2CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000249377399 383342608 /nfs/dbraw/zinc/34/26/08/383342608.db2.gz YUZWDLCEHSQNDD-WCQYABFASA-N 0 0 294.351 2.591 20 5 CFBDRN CCn1nccc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000521165575 383286239 /nfs/dbraw/zinc/28/62/39/383286239.db2.gz BQFPPUKCOONISV-JTQLQIEISA-N 0 0 288.307 2.553 20 5 CFBDRN Cc1nc(NCC23CCC(CC2)C3)ccc1[N+](=O)[O-] ZINC000339699916 383290923 /nfs/dbraw/zinc/29/09/23/383290923.db2.gz FPTMLNQLLLCKDR-UHFFFAOYSA-N 0 0 261.325 2.712 20 5 CFBDRN C[C@H](C(=O)N[C@H]1C=CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000521528349 383299162 /nfs/dbraw/zinc/29/91/62/383299162.db2.gz RRGBTBUGNJJOIC-AAEUAGOBSA-N 0 0 274.320 2.923 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000424968481 383299612 /nfs/dbraw/zinc/29/96/12/383299612.db2.gz JOFSIPSLCFGZQJ-UFBFGSQYSA-N 0 0 278.283 2.506 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2C[C@H]2C2CCC2)c1[N+](=O)[O-] ZINC000424971583 383300156 /nfs/dbraw/zinc/30/01/56/383300156.db2.gz RQTOVYVIPNEGEX-NWDGAFQWSA-N 0 0 290.319 2.522 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2C[C@H]2C2CCC2)cccc1[N+](=O)[O-] ZINC000424970033 383300199 /nfs/dbraw/zinc/30/01/99/383300199.db2.gz KIKBPVPJLCVYDX-NWDGAFQWSA-N 0 0 289.335 2.555 20 5 CFBDRN COc1cc(C)nc(COc2cccc([N+](=O)[O-])c2)c1 ZINC000339743796 383303911 /nfs/dbraw/zinc/30/39/11/383303911.db2.gz XSECWKHXORLWTB-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2C[C@H]2C2CCC2)cc1[N+](=O)[O-] ZINC000424982513 383304214 /nfs/dbraw/zinc/30/42/14/383304214.db2.gz OLNMCJBHTKZADC-QWHCGFSZSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1cc(C)nc(COc2ccccc2[N+](=O)[O-])c1 ZINC000339744174 383304945 /nfs/dbraw/zinc/30/49/45/383304945.db2.gz ZQUGJRUYDRWMBE-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCO[C@@H]1CCCC[C@H]1C ZINC000521725458 383307242 /nfs/dbraw/zinc/30/72/42/383307242.db2.gz CCJMGWOJIZEVPO-BXUZGUMPSA-N 0 0 293.367 2.727 20 5 CFBDRN CCOCCCCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000521746889 383307609 /nfs/dbraw/zinc/30/76/09/383307609.db2.gz JKVODFFONQBOBD-GFCCVEGCSA-N 0 0 294.351 2.631 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000339793464 383321027 /nfs/dbraw/zinc/32/10/27/383321027.db2.gz ZRAANEDEXTYSFE-ZJUUUORDSA-N 0 0 280.299 2.900 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNCc1nn(C)cc1Cl ZINC000449481709 383322200 /nfs/dbraw/zinc/32/22/00/383322200.db2.gz VFLNCXVNSFCUJU-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC(CC(F)F)C1 ZINC000425089504 383323422 /nfs/dbraw/zinc/32/34/22/383323422.db2.gz YADSIABTWYBBPZ-UHFFFAOYSA-N 0 0 284.262 2.630 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC(CC(F)F)C1 ZINC000425090013 383323793 /nfs/dbraw/zinc/32/37/93/383323793.db2.gz TUMWNMITWWIQBS-UHFFFAOYSA-N 0 0 298.289 2.939 20 5 CFBDRN C[C@H](C(=O)NC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000568465499 383403550 /nfs/dbraw/zinc/40/35/50/383403550.db2.gz FYWOADDNAGJCMH-VIFPVBQESA-N 0 0 250.298 2.613 20 5 CFBDRN Cn1c(Sc2ccc([N+](=O)[O-])nc2)nnc1C1CCC1 ZINC000450432333 383408656 /nfs/dbraw/zinc/40/86/56/383408656.db2.gz GNOLKMPBLQGEJG-UHFFFAOYSA-N 0 0 291.336 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCC[C@@H]2CCOC2)c1 ZINC000450438617 383411762 /nfs/dbraw/zinc/41/17/62/383411762.db2.gz KYVQTMPDSAPMOU-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN CC(C)COc1ccc(Oc2ncc([N+](=O)[O-])cn2)cn1 ZINC000425277991 383357116 /nfs/dbraw/zinc/35/71/16/383357116.db2.gz GARJGEHHLIRKRE-UHFFFAOYSA-N 0 0 290.279 2.607 20 5 CFBDRN COc1cc(N[C@H]2CC23CC3)c([N+](=O)[O-])cc1F ZINC000425277578 383357122 /nfs/dbraw/zinc/35/71/22/383357122.db2.gz XXOROPDRAKNYDW-NSHDSACASA-N 0 0 252.245 2.707 20 5 CFBDRN CC(C)C(CNC(=O)c1ccc([N+](=O)[O-])n1C)C(C)C ZINC000469810199 383372298 /nfs/dbraw/zinc/37/22/98/383372298.db2.gz QDXSSLWLEBNXJS-UHFFFAOYSA-N 0 0 281.356 2.591 20 5 CFBDRN O=C(Nc1ccc(Cl)cc1O)c1cc([N+](=O)[O-])c[nH]1 ZINC000340330490 383414252 /nfs/dbraw/zinc/41/42/52/383414252.db2.gz PCSLQPIGHOKUKA-UHFFFAOYSA-N 0 0 281.655 2.534 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000480058422 383414567 /nfs/dbraw/zinc/41/45/67/383414567.db2.gz RNPCGPFKRCMOFQ-CYBMUJFWSA-N 0 0 291.351 2.987 20 5 CFBDRN Cc1ncc2c(n1)CCN([C@@H](C)c1ccccc1[N+](=O)[O-])C2 ZINC000524759059 383383068 /nfs/dbraw/zinc/38/30/68/383383068.db2.gz WLAKCCNBDAUUCS-NSHDSACASA-N 0 0 298.346 2.813 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000340589760 383481029 /nfs/dbraw/zinc/48/10/29/383481029.db2.gz YRLVXLWJOZMQAF-NSHDSACASA-N 0 0 280.324 2.904 20 5 CFBDRN O=C(NCc1cccc(Cl)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000340473769 383432648 /nfs/dbraw/zinc/43/26/48/383432648.db2.gz PRJPGSIRJSDBIW-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN C[C@H]1CCN(CC(=O)Nc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000252799246 383436311 /nfs/dbraw/zinc/43/63/11/383436311.db2.gz AHOZCJJWIADQKT-NWDGAFQWSA-N 0 0 291.351 2.511 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450534582 383450066 /nfs/dbraw/zinc/45/00/66/383450066.db2.gz GDZSESPPPSBMBM-TVQRCGJNSA-N 0 0 257.293 2.775 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CCC[C@H]2F)c([N+](=O)[O-])c1 ZINC000450542052 383452916 /nfs/dbraw/zinc/45/29/16/383452916.db2.gz GGKSLMUWIWENQG-MNOVXSKESA-N 0 0 296.298 2.613 20 5 CFBDRN C[C@@H](O)CN(C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450541503 383453249 /nfs/dbraw/zinc/45/32/49/383453249.db2.gz SPADTKIPNMLJFL-SECBINFHSA-N 0 0 293.348 2.535 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000485256925 383460644 /nfs/dbraw/zinc/46/06/44/383460644.db2.gz MEUHSISXVBTKHR-RYUDHWBXSA-N 0 0 293.367 2.878 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000450698411 383482524 /nfs/dbraw/zinc/48/25/24/383482524.db2.gz BBCOMTJKIFPPPU-JMSVASOKSA-N 0 0 294.326 2.864 20 5 CFBDRN C[C@H](c1ccccc1)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340597179 383482559 /nfs/dbraw/zinc/48/25/59/383482559.db2.gz YCFZCNXZIFPJJJ-SNVBAGLBSA-N 0 0 273.292 2.756 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CSc3ccccc32)s1 ZINC000450570659 383465468 /nfs/dbraw/zinc/46/54/68/383465468.db2.gz YVPFULGKKKAKLY-QMMMGPOBSA-N 0 0 293.373 2.775 20 5 CFBDRN CCC[C@@H](CNc1nc(C)cc(C)c1[N+](=O)[O-])OC ZINC000450578845 383468237 /nfs/dbraw/zinc/46/82/37/383468237.db2.gz BLDDIKQURZHQCI-NSHDSACASA-N 0 0 267.329 2.834 20 5 CFBDRN CCOC1(C)CN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000450576810 383468372 /nfs/dbraw/zinc/46/83/72/383468372.db2.gz DKPNDXPOBWTAGU-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CCOc1cc(N2CC(C)(OCC)C2)ccc1[N+](=O)[O-] ZINC000450585794 383471326 /nfs/dbraw/zinc/47/13/26/383471326.db2.gz ZMYXILCELNSQDI-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN CCN(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340563932 383472042 /nfs/dbraw/zinc/47/20/42/383472042.db2.gz UAKYACMLEHAOOK-UHFFFAOYSA-N 0 0 273.292 2.585 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@@H]3CCC[C@@H]32)c(Br)c1 ZINC000486470502 383472765 /nfs/dbraw/zinc/47/27/65/383472765.db2.gz PBDCPEZVNOMZHG-DIYOJNKTSA-N 0 0 298.140 2.963 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000486485175 383472914 /nfs/dbraw/zinc/47/29/14/383472914.db2.gz GYVLBCYURWCDRU-IWIIMEHWSA-N 0 0 276.292 2.592 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CCO[C@@H](C2CC2)C1 ZINC000450454810 383417464 /nfs/dbraw/zinc/41/74/64/383417464.db2.gz MKCQWKMJLKPWNQ-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2[C@H]2CCCOC2)ncc1[N+](=O)[O-] ZINC000568624969 383420403 /nfs/dbraw/zinc/42/04/03/383420403.db2.gz DJEQESHXIOKDIX-QWHCGFSZSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc(NCCCc2ccccc2F)ncc1[N+](=O)[O-] ZINC000480779940 383420503 /nfs/dbraw/zinc/42/05/03/383420503.db2.gz PBYWNEGOOYGVLC-UHFFFAOYSA-N 0 0 289.310 2.904 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)c1ccncc1Cl ZINC000340599453 383483981 /nfs/dbraw/zinc/48/39/81/383483981.db2.gz DZBLUPRPSZQYQE-UHFFFAOYSA-N 0 0 292.678 2.905 20 5 CFBDRN COCC1(CNc2ncccc2[N+](=O)[O-])CCCC1 ZINC000450916692 383549565 /nfs/dbraw/zinc/54/95/65/383549565.db2.gz AKUHTLMKOKMWGU-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN Cc1cnc(N[C@H]([C@H](CO)C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC000450783977 383489895 /nfs/dbraw/zinc/48/98/95/383489895.db2.gz YTYROHNJRZQLQP-OCCSQVGLSA-N 0 0 291.351 2.507 20 5 CFBDRN CCCc1[nH]nc(-c2nc(-c3ccco3)no2)c1[N+](=O)[O-] ZINC000340656158 383490516 /nfs/dbraw/zinc/49/05/16/383490516.db2.gz CALJYROZDYCNQE-UHFFFAOYSA-N 0 0 289.251 2.580 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](CC)[C@H](C)O)c([N+](=O)[O-])c1 ZINC000450793623 383493006 /nfs/dbraw/zinc/49/30/06/383493006.db2.gz IYLSAERLMUMXNK-GXSJLCMTSA-N 0 0 280.324 2.759 20 5 CFBDRN COc1ccc(CSCc2nnc(C)o2)cc1[N+](=O)[O-] ZINC000340781264 383494293 /nfs/dbraw/zinc/49/42/93/383494293.db2.gz OLTWPEIMVYTEKR-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cc[nH]c(=O)c2)c1 ZINC000340838614 383496594 /nfs/dbraw/zinc/49/65/94/383496594.db2.gz HKVKZDXKQHDJRF-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000340881924 383498356 /nfs/dbraw/zinc/49/83/56/383498356.db2.gz OIJQDSMGZAFDON-CQSZACIVSA-N 0 0 282.315 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]2C[C@@H](O)CC[C@@H]2C1 ZINC000450812492 383500127 /nfs/dbraw/zinc/50/01/27/383500127.db2.gz NIPNPVPHICXYNM-WZRBSPASSA-N 0 0 294.326 2.721 20 5 CFBDRN CCOc1cccc([C@H]2C[C@@H]2Nc2ccncc2[N+](=O)[O-])c1 ZINC000340913055 383502454 /nfs/dbraw/zinc/50/24/54/383502454.db2.gz VMFMLPWDDBFJHY-HIFRSBDPSA-N 0 0 299.330 2.778 20 5 CFBDRN Cn1nc(NCc2ccccc2[N+](=O)[O-])cc1OC(F)F ZINC000488202391 383504540 /nfs/dbraw/zinc/50/45/40/383504540.db2.gz UITFJECVMPZUIY-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN COCC(C)(C)CCCNc1c([N+](=O)[O-])c(C)nn1C ZINC000340920798 383504722 /nfs/dbraw/zinc/50/47/22/383504722.db2.gz QAQZCCNGXNCQDW-UHFFFAOYSA-N 0 0 284.360 2.501 20 5 CFBDRN Cc1ccc(OCc2cn(C(C)C)nn2)c([N+](=O)[O-])c1 ZINC000488251961 383508637 /nfs/dbraw/zinc/50/86/37/383508637.db2.gz SDHMMFXWOMTHBO-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC(c2ccc(Cl)cc2)C1 ZINC000450832761 383510904 /nfs/dbraw/zinc/51/09/04/383510904.db2.gz WMRKAWZZDVBXJO-UHFFFAOYSA-N 0 0 292.726 2.586 20 5 CFBDRN Cc1ccc(OCc2cn(C(C)C)nn2)cc1[N+](=O)[O-] ZINC000488289567 383513409 /nfs/dbraw/zinc/51/34/09/383513409.db2.gz UGJCUNRJZFYMOP-UHFFFAOYSA-N 0 0 276.296 2.655 20 5 CFBDRN C[C@@H](Cc1cnn(C)c1)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000450837594 383515439 /nfs/dbraw/zinc/51/54/39/383515439.db2.gz VADXUIVDAMXLPI-VIFPVBQESA-N 0 0 278.287 2.511 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@](C)(F)C1 ZINC000335196085 291828085 /nfs/dbraw/zinc/82/80/85/291828085.db2.gz VOEKYFWPZLKBSL-AWEZNQCLSA-N 0 0 280.299 2.786 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCCC(C)(C)COC ZINC000340983299 383518365 /nfs/dbraw/zinc/51/83/65/383518365.db2.gz WOMFWKCJLIMJEQ-UHFFFAOYSA-N 0 0 298.387 2.984 20 5 CFBDRN CCn1cc([C@@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])cn1 ZINC000450878292 383531759 /nfs/dbraw/zinc/53/17/59/383531759.db2.gz SGBCSBIIZOMBIW-LLVKDONJSA-N 0 0 289.339 2.996 20 5 CFBDRN Cc1ccnc(NCc2cnc(C3CC3)o2)c1[N+](=O)[O-] ZINC000450903555 383543815 /nfs/dbraw/zinc/54/38/15/383543815.db2.gz ASZUITVIPBISAE-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000280859788 195004060 /nfs/dbraw/zinc/00/40/60/195004060.db2.gz CKAKBXWVCOPBTK-PRHODGIISA-N 0 0 297.742 2.846 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2c(OC)cccc2[N+](=O)[O-])C1 ZINC000570411517 383602584 /nfs/dbraw/zinc/60/25/84/383602584.db2.gz YCZXUMRVHQBCGW-AOOOYVTPSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1ccc(CN(C)c2ncc([N+](=O)[O-])c(C)n2)cc1C ZINC000450926764 383553116 /nfs/dbraw/zinc/55/31/16/383553116.db2.gz AUDOLECZCRJZAK-UHFFFAOYSA-N 0 0 286.335 2.946 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCC(F)(F)C2)cc1CO ZINC000450930399 383555458 /nfs/dbraw/zinc/55/54/58/383555458.db2.gz WTNTWDBKQIDBHW-VIFPVBQESA-N 0 0 287.262 2.901 20 5 CFBDRN CC(=O)c1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)ccc1F ZINC000341085837 383559807 /nfs/dbraw/zinc/55/98/07/383559807.db2.gz DLSXCDIASUXSJX-UHFFFAOYSA-N 0 0 291.238 2.517 20 5 CFBDRN CC(=O)CCCCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000450940848 383560494 /nfs/dbraw/zinc/56/04/94/383560494.db2.gz NPYRTHNGXHLDDM-UHFFFAOYSA-N 0 0 299.348 2.518 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000450946096 383562151 /nfs/dbraw/zinc/56/21/51/383562151.db2.gz WQGDKXDOFBJFIT-RKDXNWHRSA-N 0 0 296.352 2.674 20 5 CFBDRN CCCN(Cc1ccc([N+](=O)[O-])cc1)[C@@H](C)C(=O)OCC ZINC000429712894 383562539 /nfs/dbraw/zinc/56/25/39/383562539.db2.gz HXSNDLFCMKEVIK-LBPRGKRZSA-N 0 0 294.351 2.758 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCCc1c[nH]c2ccccc12 ZINC000341097457 383563048 /nfs/dbraw/zinc/56/30/48/383563048.db2.gz KQFGXXOLROYLGB-UHFFFAOYSA-N 0 0 296.330 2.938 20 5 CFBDRN COC[C@H]1CCCN(c2nccc(C)c2[N+](=O)[O-])CC1 ZINC000450950984 383564293 /nfs/dbraw/zinc/56/42/93/383564293.db2.gz WSHHPJWLNOOFTL-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN CC(=O)[C@@H](Cc1ccccc1F)n1cc([N+](=O)[O-])c(C)n1 ZINC000450955158 383566962 /nfs/dbraw/zinc/56/69/62/383566962.db2.gz DKSKKMKIBKHVTO-CYBMUJFWSA-N 0 0 291.282 2.612 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](CCCO)C2)c1[N+](=O)[O-] ZINC000450957817 383568379 /nfs/dbraw/zinc/56/83/79/383568379.db2.gz HYIMCPDSNFLKQL-GFCCVEGCSA-N 0 0 294.351 2.592 20 5 CFBDRN Cc1ccoc1CN(C)c1cc(C)c([N+](=O)[O-])cn1 ZINC000488711329 383569354 /nfs/dbraw/zinc/56/93/54/383569354.db2.gz HVWCEEFMRWUEPG-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1cccnc1OC ZINC000429804831 383571159 /nfs/dbraw/zinc/57/11/59/383571159.db2.gz UMPMIZFKXLMTAS-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1C[C@H]1C1CC1 ZINC000431164613 383636844 /nfs/dbraw/zinc/63/68/44/383636844.db2.gz YGQMUDCQOUHVTN-WCQYABFASA-N 0 0 294.738 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H]2CCCN(Cc3ccon3)C2)cc1 ZINC000451549206 383642443 /nfs/dbraw/zinc/64/24/43/383642443.db2.gz PEFSSJNQAYWQMM-ZDUSSCGKSA-N 0 0 287.319 2.962 20 5 CFBDRN CCO[C@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000451552583 383645460 /nfs/dbraw/zinc/64/54/60/383645460.db2.gz YWXHEBDZNVQGJX-NHYWBVRUSA-N 0 0 296.342 2.981 20 5 CFBDRN C[C@H](S[C@@H]1CCCNC1=O)c1cccc([N+](=O)[O-])c1 ZINC000489602231 383630299 /nfs/dbraw/zinc/63/02/99/383630299.db2.gz IBWLPUSNBBJRNI-JOYOIKCWSA-N 0 0 280.349 2.668 20 5 CFBDRN CC1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCC(=O)CC1 ZINC000451531411 383636382 /nfs/dbraw/zinc/63/63/82/383636382.db2.gz JTZUQXJCDBNYNL-UHFFFAOYSA-N 0 0 294.282 2.822 20 5 CFBDRN CCCO[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000264574362 383757195 /nfs/dbraw/zinc/75/71/95/383757195.db2.gz VJXRAPIXVYSBNS-LLVKDONJSA-N 0 0 296.323 2.609 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ccnc(C2CC2)n1 ZINC000264608278 383762335 /nfs/dbraw/zinc/76/23/35/383762335.db2.gz BJFPLIINAHQTDO-UHFFFAOYSA-N 0 0 270.292 2.874 20 5 CFBDRN CCC(CC)c1noc(-c2ccc([N+](=O)[O-])c(NC)n2)n1 ZINC000453109514 383769417 /nfs/dbraw/zinc/76/94/17/383769417.db2.gz BRLRJWQDVGDBRC-UHFFFAOYSA-N 0 0 291.311 2.985 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000264694112 383773521 /nfs/dbraw/zinc/77/35/21/383773521.db2.gz IBQCXVFZPBAZHM-OLZOCXBDSA-N 0 0 299.330 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+]1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC000263346005 383659118 /nfs/dbraw/zinc/65/91/18/383659118.db2.gz OQGQOMSETLTTJR-WCQYABFASA-N 0 0 292.335 2.588 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC2(CCC2)[C@@H]2COC[C@@H]21 ZINC000571144934 383667517 /nfs/dbraw/zinc/66/75/17/383667517.db2.gz ANBCOGAIXZIVIK-MFKMUULPSA-N 0 0 292.310 2.739 20 5 CFBDRN Cc1ccccc1[C@@H](C)N(C)c1c([N+](=O)[O-])ncn1C ZINC000264936999 383803801 /nfs/dbraw/zinc/80/38/01/383803801.db2.gz RSWKQQXIIGLYPU-LLVKDONJSA-N 0 0 274.324 2.834 20 5 CFBDRN CCC(C)(CC)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000264952343 383806426 /nfs/dbraw/zinc/80/64/26/383806426.db2.gz CCBMRSYVKNCWRH-UHFFFAOYSA-N 0 0 280.324 2.669 20 5 CFBDRN O=C(NCC1CCSCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000264962125 383807504 /nfs/dbraw/zinc/80/75/04/383807504.db2.gz OMAWHORCQBNYMG-UHFFFAOYSA-N 0 0 295.364 2.860 20 5 CFBDRN CO[C@H](CNc1c2c(ccc1[N+](=O)[O-])NCC2)C(C)C ZINC000436385429 383811571 /nfs/dbraw/zinc/81/15/71/383811571.db2.gz HSKLKJUVPUJHGK-CYBMUJFWSA-N 0 0 279.340 2.646 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCC1CCOCC1)CCN2 ZINC000436403377 383813004 /nfs/dbraw/zinc/81/30/04/383813004.db2.gz AJTPANREWDDRCR-UHFFFAOYSA-N 0 0 291.351 2.791 20 5 CFBDRN CCC(CC)[C@@H](CCNc1ccc([N+](=O)[O-])c(C)n1)OC ZINC000436428161 383817192 /nfs/dbraw/zinc/81/71/92/383817192.db2.gz JSYRQQMTOMIBDR-CQSZACIVSA-N 0 0 295.383 2.973 20 5 CFBDRN CC(C)Oc1nc(N2[C@@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000572781579 383817788 /nfs/dbraw/zinc/81/77/88/383817788.db2.gz VCNIKXNIBZTVDP-UWVGGRQHSA-N 0 0 265.313 2.764 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)Cc1cc[nH]n1 ZINC000436431436 383817862 /nfs/dbraw/zinc/81/78/62/383817862.db2.gz LKKJTPRCNNOLFP-JTQLQIEISA-N 0 0 260.297 2.511 20 5 CFBDRN CCC[C@@](C)(O)CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000264775556 383781258 /nfs/dbraw/zinc/78/12/58/383781258.db2.gz AVDJALAPJVHUEJ-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN CC[C@H](N[C@@H]1CCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000453141832 383782012 /nfs/dbraw/zinc/78/20/12/383782012.db2.gz UBLIOECQMYPXHT-UONOGXRCSA-N 0 0 286.335 2.977 20 5 CFBDRN CCN(C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000436103890 383783474 /nfs/dbraw/zinc/78/34/74/383783474.db2.gz ASIGOHPVQDAXCJ-UHFFFAOYSA-N 0 0 280.327 2.773 20 5 CFBDRN O=[N+]([O-])c1cn(CCCCCn2cccn2)nc1C1CC1 ZINC000436194393 383786626 /nfs/dbraw/zinc/78/66/26/383786626.db2.gz AFUVGTLCUXQBLK-UHFFFAOYSA-N 0 0 289.339 2.736 20 5 CFBDRN Cc1c(OC(=O)C2CCSCC2)cccc1[N+](=O)[O-] ZINC000264845532 383790909 /nfs/dbraw/zinc/79/09/09/383790909.db2.gz KZUUXENOPRUPGM-UHFFFAOYSA-N 0 0 281.333 2.952 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC(C)(C)C(C)C)c1[N+](=O)[O-] ZINC000572558266 383793029 /nfs/dbraw/zinc/79/30/29/383793029.db2.gz AYRRNEJGPJJMNJ-UHFFFAOYSA-N 0 0 282.344 2.606 20 5 CFBDRN CCC[C@@](C)(O)CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000264865238 383793914 /nfs/dbraw/zinc/79/39/14/383793914.db2.gz OLBNOMXSFWTVRK-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1CCSCC1 ZINC000264885116 383796463 /nfs/dbraw/zinc/79/64/63/383796463.db2.gz XMVADMOPBBNKLU-UHFFFAOYSA-N 0 0 266.322 2.677 20 5 CFBDRN Cc1cc(-c2noc(CCOC(C)C)n2)cc([N+](=O)[O-])c1 ZINC000453288427 383837482 /nfs/dbraw/zinc/83/74/82/383837482.db2.gz KKHFCTZWXYTQNV-UHFFFAOYSA-N 0 0 291.307 2.921 20 5 CFBDRN Cc1n[nH]cc1CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000572995424 383855234 /nfs/dbraw/zinc/85/52/34/383855234.db2.gz CRIOPNYZYIZLIF-UHFFFAOYSA-N 0 0 287.323 2.512 20 5 CFBDRN COC1CCC(N(C)C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000265194698 383856816 /nfs/dbraw/zinc/85/68/16/383856816.db2.gz MQBWEKYABQCTET-UHFFFAOYSA-N 0 0 298.364 2.686 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+](C)C[C@@H](C)C(=O)[O-] ZINC000573098275 383871995 /nfs/dbraw/zinc/87/19/95/383871995.db2.gz VRFIEGBGBFDAQE-MNOVXSKESA-N 0 0 280.324 2.617 20 5 CFBDRN COc1ccc(OCCOCC2CCC2)cc1[N+](=O)[O-] ZINC000418985731 533017445 /nfs/dbraw/zinc/01/74/45/533017445.db2.gz GBROGGGOTQGVKA-UHFFFAOYSA-N 0 0 281.308 2.799 20 5 CFBDRN C[C@H]1CC(CNC(=O)c2csc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000281049312 195082401 /nfs/dbraw/zinc/08/24/01/195082401.db2.gz GHGDWBYMHZZVHZ-IUCAKERBSA-N 0 0 298.364 2.590 20 5 CFBDRN C[N@H+](Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)C(C)(C)C ZINC000573365137 383918176 /nfs/dbraw/zinc/91/81/76/383918176.db2.gz QZSWSVIGXRLIOU-UHFFFAOYSA-N 0 0 262.313 2.701 20 5 CFBDRN CC(C)(C)[S@](=O)CCNc1ccc([N+](=O)[O-])cc1F ZINC000265327106 383895285 /nfs/dbraw/zinc/89/52/85/383895285.db2.gz KQJVHNHQELFKFA-LJQANCHMSA-N 0 0 288.344 2.693 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531631947 383900447 /nfs/dbraw/zinc/90/04/47/383900447.db2.gz RPZWHTBRAGRBFW-CMPLNLGQSA-N 0 0 291.351 2.934 20 5 CFBDRN COCCc1ccc(Oc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000265358412 383901207 /nfs/dbraw/zinc/90/12/07/383901207.db2.gz XDFDLXOIZVHYOG-UHFFFAOYSA-N 0 0 291.307 2.618 20 5 CFBDRN C[C@@H]1C[C@H](c2ccccc2)CN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265358911 383901240 /nfs/dbraw/zinc/90/12/40/383901240.db2.gz ZDLAOSLIARYEFB-YPMHNXCESA-N 0 0 299.330 2.941 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000532123433 383978054 /nfs/dbraw/zinc/97/80/54/383978054.db2.gz CFEHFHRNYHBAGY-UHFFFAOYSA-N 0 0 280.711 2.921 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)(F)F ZINC000454289975 383978518 /nfs/dbraw/zinc/97/85/18/383978518.db2.gz CUXAFCCWZJFQJU-LURJTMIESA-N 0 0 291.229 2.899 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCOC2)c(F)c1 ZINC000532132135 383979323 /nfs/dbraw/zinc/97/93/23/383979323.db2.gz QGDWWQBUZHEYEB-VIFPVBQESA-N 0 0 255.245 2.539 20 5 CFBDRN CC[C@H](C)[C@H]1CCCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000265696745 383979623 /nfs/dbraw/zinc/97/96/23/383979623.db2.gz PCNDNVVHXROISH-GXSJLCMTSA-N 0 0 294.355 2.667 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000454291931 383980210 /nfs/dbraw/zinc/98/02/10/383980210.db2.gz GTIKFWKYYSJGDF-SSDOTTSWSA-N 0 0 273.239 2.760 20 5 CFBDRN CC(C)(C)CCCCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532138109 383981588 /nfs/dbraw/zinc/98/15/88/383981588.db2.gz MXWCCHNTBRNPRQ-UHFFFAOYSA-N 0 0 279.340 2.936 20 5 CFBDRN CC(C)(C(=O)NCc1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000265710610 383982253 /nfs/dbraw/zinc/98/22/53/383982253.db2.gz NMJYWPFVYHUQQJ-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000573768239 383985775 /nfs/dbraw/zinc/98/57/75/383985775.db2.gz VSPGWSQLLZXQDD-TZMCWYRMSA-N 0 0 299.330 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(CCCCNc2ncccn2)cc1 ZINC000265795755 384003242 /nfs/dbraw/zinc/00/32/42/384003242.db2.gz IYOWOXXOKWQRMK-UHFFFAOYSA-N 0 0 272.308 2.820 20 5 CFBDRN CC[C@@](C)(CNC(=O)Nc1cccc([N+](=O)[O-])c1C)OC ZINC000454192053 383936956 /nfs/dbraw/zinc/93/69/56/383936956.db2.gz AJOQXMNHEABZDU-AWEZNQCLSA-N 0 0 295.339 2.840 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N(C1CC1)C1CCCC1 ZINC000531882971 383937237 /nfs/dbraw/zinc/93/72/37/383937237.db2.gz DLJIPWAJVJCXEO-UHFFFAOYSA-N 0 0 275.308 2.537 20 5 CFBDRN O=C(Nc1cccc2cnccc21)c1ccc([N+](=O)[O-])cn1 ZINC000531890469 383939470 /nfs/dbraw/zinc/93/94/70/383939470.db2.gz QRGOHSRLXUGWQJ-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1Nc1c[nH]cn1 ZINC000573554264 383948964 /nfs/dbraw/zinc/94/89/64/383948964.db2.gz FVNWFLAQYUKJGG-UHFFFAOYSA-N 0 0 283.085 2.824 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000265594627 383953554 /nfs/dbraw/zinc/95/35/54/383953554.db2.gz SQPRCGRCJMQQSJ-SCZZXKLOSA-N 0 0 282.727 2.563 20 5 CFBDRN C[C@H]1C[C@H](C)N1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000573587030 383958543 /nfs/dbraw/zinc/95/85/43/383958543.db2.gz COOQVHMBCROCQN-BQBZGAKWSA-N 0 0 264.310 2.587 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000454345782 384000976 /nfs/dbraw/zinc/00/09/76/384000976.db2.gz JTIVFJGQHLFCLK-GWCFXTLKSA-N 0 0 275.308 2.762 20 5 CFBDRN CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000454276393 383975868 /nfs/dbraw/zinc/97/58/68/383975868.db2.gz JPPWCZCOTLNZDF-SECBINFHSA-N 0 0 273.239 2.760 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265961135 384038053 /nfs/dbraw/zinc/03/80/53/384038053.db2.gz GWTXLQYBMIDTRV-UHFFFAOYSA-N 0 0 253.302 2.622 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+]C[C@](C)(O)C1CC1 ZINC000532507263 384039343 /nfs/dbraw/zinc/03/93/43/384039343.db2.gz KGNOTRGVZDUMIS-NHYWBVRUSA-N 0 0 278.352 2.715 20 5 CFBDRN COCCCNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000266122366 384083684 /nfs/dbraw/zinc/08/36/84/384083684.db2.gz MXCFOTQUMBHEIF-UHFFFAOYSA-N 0 0 276.239 2.645 20 5 CFBDRN C[C@H]1CC=C(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000454955204 384089988 /nfs/dbraw/zinc/08/99/88/384089988.db2.gz GMWHDUXOCSPIQY-LBPRGKRZSA-N 0 0 288.347 3.000 20 5 CFBDRN CO[C@H]1CCCC[C@H]1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000266024204 384057267 /nfs/dbraw/zinc/05/72/67/384057267.db2.gz BBDXGZDJMUYIJH-KGLIPLIRSA-N 0 0 292.335 2.591 20 5 CFBDRN CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000266035769 384060665 /nfs/dbraw/zinc/06/06/65/384060665.db2.gz JQPJZBJPXSGKSB-UHFFFAOYSA-N 0 0 276.336 2.883 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000532727005 384064290 /nfs/dbraw/zinc/06/42/90/384064290.db2.gz AAJOATWXLIWAAH-LLVKDONJSA-N 0 0 279.292 2.603 20 5 CFBDRN Cc1noc(CCCOc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000266071108 384070124 /nfs/dbraw/zinc/07/01/24/384070124.db2.gz CUOMJWFZOUUUSN-UHFFFAOYSA-N 0 0 297.698 2.951 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC(C)(C)C)c1 ZINC000266084288 384074014 /nfs/dbraw/zinc/07/40/14/384074014.db2.gz VIRVNKKNEXACGO-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN CCN(CC(C)(C)C)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000574443811 384096917 /nfs/dbraw/zinc/09/69/17/384096917.db2.gz XCFJPVSPGBHBIW-UHFFFAOYSA-N 0 0 293.367 2.827 20 5 CFBDRN O=C1CCN1c1cccc(CNc2ccc([N+](=O)[O-])cc2)c1 ZINC000440541524 384099449 /nfs/dbraw/zinc/09/94/49/384099449.db2.gz WSNWOCNQQDYCIU-UHFFFAOYSA-N 0 0 297.314 2.944 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ncc(C)cc2[N+](=O)[O-])cc1 ZINC000266179630 384106044 /nfs/dbraw/zinc/10/60/44/384106044.db2.gz BWNKEHBLLZQUQI-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN CC(C)c1cnc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000266185419 384107627 /nfs/dbraw/zinc/10/76/27/384107627.db2.gz SXCAIEMZXHXMBL-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000440598016 384110618 /nfs/dbraw/zinc/11/06/18/384110618.db2.gz MTGSIUXXVSQRMI-OQPBUACISA-N 0 0 297.305 2.768 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@H]2Cc2ccccc2)c1[N+](=O)[O-] ZINC000266219421 384119633 /nfs/dbraw/zinc/11/96/33/384119633.db2.gz HNNKXCYNIWYZJH-CHWSQXEVSA-N 0 0 286.335 2.680 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CCC[C@@H]3C[C@@H]32)nc2sccn21 ZINC000413462217 285078612 /nfs/dbraw/zinc/07/86/12/285078612.db2.gz PVEDDRXSPGFXGF-HRDYMLBCSA-N 0 0 278.337 2.905 20 5 CFBDRN CC(C)(C)OC(=O)CCNc1ccc([N+](=O)[O-])cc1 ZINC000266240899 384124984 /nfs/dbraw/zinc/12/49/84/384124984.db2.gz LFZUTWVTOIIZIH-UHFFFAOYSA-N 0 0 266.297 2.739 20 5 CFBDRN C[C@H](F)CCNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000455056980 384127349 /nfs/dbraw/zinc/12/73/49/384127349.db2.gz WMUAZLNEMDZNGI-UWVGGRQHSA-N 0 0 283.303 2.703 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000487756787 285081187 /nfs/dbraw/zinc/08/11/87/285081187.db2.gz ANUZGOPTLVOCTC-WDBKCZKBSA-N 0 0 299.330 2.544 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@H](C)O[C@H]2C)c([N+](=O)[O-])cc1F ZINC000413532368 533035954 /nfs/dbraw/zinc/03/59/54/533035954.db2.gz NADGJJMTCBZJPZ-GUBZILKMSA-N 0 0 298.314 2.968 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@@](=O)CCC(C)C ZINC000266294482 384138965 /nfs/dbraw/zinc/13/89/65/384138965.db2.gz BFARQWYMIDJDJO-IBGZPJMESA-N 0 0 285.365 2.898 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC1(C)CCC1 ZINC000574748449 384140728 /nfs/dbraw/zinc/14/07/28/384140728.db2.gz ZLJHINMEOOUGOH-UHFFFAOYSA-N 0 0 262.309 2.884 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]1c1ccccc1 ZINC000266310858 384143684 /nfs/dbraw/zinc/14/36/84/384143684.db2.gz NDZXGPPWTRDVMJ-QMTHXVAHSA-N 0 0 285.303 2.756 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000158702315 285090739 /nfs/dbraw/zinc/09/07/39/285090739.db2.gz ZUGDCRCTTXEISA-UISBYWKRSA-N 0 0 268.700 2.980 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCO[C@H]3CCC[C@H]32)c(F)c1 ZINC000269654786 285093839 /nfs/dbraw/zinc/09/38/39/285093839.db2.gz RJAZGVGFKYOKMM-NEPJUHHUSA-N 0 0 284.262 2.631 20 5 CFBDRN CC(C)n1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000281117954 195111920 /nfs/dbraw/zinc/11/19/20/195111920.db2.gz GGXGOBXHGPSZNG-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN CC(C)(C)c1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000266552239 384196477 /nfs/dbraw/zinc/19/64/77/384196477.db2.gz ILTGIUXIFNZCLD-UHFFFAOYSA-N 0 0 261.281 2.866 20 5 CFBDRN CN(Cc1nc(C(C)(C)C)no1)c1ccccc1[N+](=O)[O-] ZINC000266550603 384196527 /nfs/dbraw/zinc/19/65/27/384196527.db2.gz IBMPMEZAGSGSQQ-UHFFFAOYSA-N 0 0 290.323 2.912 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1cccc(C)c1 ZINC000266917300 384260686 /nfs/dbraw/zinc/26/06/86/384260686.db2.gz NKOFVVGIJXPGET-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN C[C@H]1CN(C(=O)COc2cccc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000266918107 384261010 /nfs/dbraw/zinc/26/10/10/384261010.db2.gz NVTFRVVXTMSPTA-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000266926128 384262637 /nfs/dbraw/zinc/26/26/37/384262637.db2.gz QZTHZCDVQDCXJY-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000266947402 384267963 /nfs/dbraw/zinc/26/79/63/384267963.db2.gz YNICBVPEYOXJAD-SNVBAGLBSA-N 0 0 262.309 2.855 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCCOC1 ZINC000266972604 384272287 /nfs/dbraw/zinc/27/22/87/384272287.db2.gz MMJDHWGZARGTSR-LLVKDONJSA-N 0 0 280.324 2.580 20 5 CFBDRN CCCN(C(=O)NCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000266808216 384241708 /nfs/dbraw/zinc/24/17/08/384241708.db2.gz OZMYCIQVVCFOQU-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN CCC(C)(C)CNC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000277447008 384305142 /nfs/dbraw/zinc/30/51/42/384305142.db2.gz XFEPIYMOEKFTGS-UHFFFAOYSA-N 0 0 282.344 2.607 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2CCC[C@@H]2F)s1 ZINC000338824848 285100856 /nfs/dbraw/zinc/10/08/56/285100856.db2.gz QZYTZBLJGQDUHH-YUMQZZPRSA-N 0 0 282.300 2.902 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)CC(C)C ZINC000277368889 384281498 /nfs/dbraw/zinc/28/14/98/384281498.db2.gz CXJXYXNSNVIKSA-SNVBAGLBSA-N 0 0 280.324 2.768 20 5 CFBDRN CCC[C@@](C)(NCc1ccc([N+](=O)[O-])cc1F)C(=O)OC ZINC000267032637 384283275 /nfs/dbraw/zinc/28/32/75/384283275.db2.gz MXOSSXBAZXYWIU-CQSZACIVSA-N 0 0 298.314 2.555 20 5 CFBDRN CCC1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CC1 ZINC000267355507 384366666 /nfs/dbraw/zinc/36/66/66/384366666.db2.gz BGOLHSPPHLTVHB-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN CC[C@H](C)c1nnc([C@H](C)Nc2ccc([N+](=O)[O-])cn2)[nH]1 ZINC000277538503 384332545 /nfs/dbraw/zinc/33/25/45/384332545.db2.gz ZAKIBXLAWVHPSP-IUCAKERBSA-N 0 0 290.327 2.795 20 5 CFBDRN CN(C(=O)c1ccc(Br)cc1[N+](=O)[O-])C1CC1 ZINC000267471717 384399309 /nfs/dbraw/zinc/39/93/09/384399309.db2.gz YJSSTHAWNWYQKZ-UHFFFAOYSA-N 0 0 299.124 2.592 20 5 CFBDRN CC(C)[C@H](CNc1c([N+](=O)[O-])ncn1C)c1cccnc1 ZINC000267560714 384414763 /nfs/dbraw/zinc/41/47/63/384414763.db2.gz UWSYGWWVKJKTFL-LBPRGKRZSA-N 0 0 289.339 2.575 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000277695303 384378336 /nfs/dbraw/zinc/37/83/36/384378336.db2.gz RAZXXNHYWSLEEW-PWSUYJOCSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000267395558 384379018 /nfs/dbraw/zinc/37/90/18/384379018.db2.gz YBVPCHCHFPEFSG-NSHDSACASA-N 0 0 295.364 2.920 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)[C@H](C)C2)c1 ZINC000267633592 384429674 /nfs/dbraw/zinc/42/96/74/384429674.db2.gz JXQSTJRIIYBRHR-GHMZBOCLSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@H](C)C2)c1 ZINC000267633593 384429840 /nfs/dbraw/zinc/42/98/40/384429840.db2.gz JXQSTJRIIYBRHR-PHIMTYICSA-N 0 0 262.309 2.631 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000267647093 384432759 /nfs/dbraw/zinc/43/27/59/384432759.db2.gz LNYUTLAAVCEIPD-ZJUUUORDSA-N 0 0 250.298 2.838 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1C[C@@H](C)[C@H](CO)C1 ZINC000277907747 384437229 /nfs/dbraw/zinc/43/72/29/384437229.db2.gz YGMHQCLCRXUCBK-ZJUUUORDSA-N 0 0 284.743 2.621 20 5 CFBDRN COC1(CNc2c3c(ccc2[N+](=O)[O-])NCC3)CCC1 ZINC000278201927 384498513 /nfs/dbraw/zinc/49/85/13/384498513.db2.gz FBQNAQGEYSZKAG-UHFFFAOYSA-N 0 0 277.324 2.544 20 5 CFBDRN C[C@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)[C@@H]1CCCCO1 ZINC000278175306 384490759 /nfs/dbraw/zinc/49/07/59/384490759.db2.gz BEPRXOHFBUKETQ-ZANVPECISA-N 0 0 290.323 2.841 20 5 CFBDRN C[C@@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)[C@@H]1CCCCO1 ZINC000278175301 384491178 /nfs/dbraw/zinc/49/11/78/384491178.db2.gz BEPRXOHFBUKETQ-RNCFNFMXSA-N 0 0 290.323 2.841 20 5 CFBDRN CC[C@@H](C)CC(=O)NCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278263422 384512237 /nfs/dbraw/zinc/51/22/37/384512237.db2.gz YJMHNAVCGUOUKW-SECBINFHSA-N 0 0 290.323 2.524 20 5 CFBDRN Cc1cn(CCCOc2ccc(F)cc2)nc1[N+](=O)[O-] ZINC000281143271 195121582 /nfs/dbraw/zinc/12/15/82/195121582.db2.gz KBFAOZGEYFFLCF-UHFFFAOYSA-N 0 0 279.271 2.708 20 5 CFBDRN CCCC(=O)COc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000289367036 197313800 /nfs/dbraw/zinc/31/38/00/197313800.db2.gz NSOGHYMRWBCDKJ-UHFFFAOYSA-N 0 0 267.281 2.742 20 5 CFBDRN CCC(O)(CC)CCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000278365823 384542717 /nfs/dbraw/zinc/54/27/17/384542717.db2.gz QODKYWAWGQYIRU-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCO3)CC2)c2ncccc12 ZINC000367154402 285122197 /nfs/dbraw/zinc/12/21/97/285122197.db2.gz UDTBQNUDVRHDAK-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN CCCN(C)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268151274 384545288 /nfs/dbraw/zinc/54/52/88/384545288.db2.gz WQPGMODYUROMRM-UHFFFAOYSA-N 0 0 285.731 2.800 20 5 CFBDRN CN(C(=O)NCc1cc([N+](=O)[O-])ccc1Cl)C1CCC1 ZINC000268184991 384558691 /nfs/dbraw/zinc/55/86/91/384558691.db2.gz VRXIORILNKTAIK-UHFFFAOYSA-N 0 0 297.742 2.942 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1Cc2ccccc21 ZINC000359708888 220315989 /nfs/dbraw/zinc/31/59/89/220315989.db2.gz SZCDFXIIEOSWPN-HNNXBMFYSA-N 0 0 296.326 2.893 20 5 CFBDRN CO[C@H](CNc1nc2cc([N+](=O)[O-])ccc2[nH]1)C(C)C ZINC000278427113 384569577 /nfs/dbraw/zinc/56/95/77/384569577.db2.gz JCXBEGIGDCEHQT-GFCCVEGCSA-N 0 0 278.312 2.554 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](O)CC2)c(Cl)c1 ZINC000294204394 285124297 /nfs/dbraw/zinc/12/42/97/285124297.db2.gz UJJHJFCZIIGRLV-JTQLQIEISA-N 0 0 270.716 2.599 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](OCC(C)C)C1 ZINC000268259416 384584289 /nfs/dbraw/zinc/58/42/89/384584289.db2.gz JVMJQLJVYVGLAP-CYBMUJFWSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C(C)(F)F)cc1[N+](=O)[O-] ZINC000289332276 197299819 /nfs/dbraw/zinc/29/98/19/197299819.db2.gz YEYKSJAHCCWRTQ-MRVPVSSYSA-N 0 0 272.251 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC3(CCC3)C2)c2ncccc12 ZINC000270854814 285126244 /nfs/dbraw/zinc/12/62/44/285126244.db2.gz ZKTTZEWKEXSIIS-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000268284277 384589400 /nfs/dbraw/zinc/58/94/00/384589400.db2.gz RQUZKUFRQZAYBT-AWEZNQCLSA-N 0 0 264.325 2.846 20 5 CFBDRN COc1cc(N[C@H]2CCSC2)c([N+](=O)[O-])cc1F ZINC000268213733 384567667 /nfs/dbraw/zinc/56/76/67/384567667.db2.gz RBDKSVYEMYUMLZ-ZETCQYMHSA-N 0 0 272.301 2.660 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000279312633 384609929 /nfs/dbraw/zinc/60/99/29/384609929.db2.gz BUGVWUPTIATLJV-UWVGGRQHSA-N 0 0 299.758 2.773 20 5 CFBDRN CO[C@]1(C)CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000279409194 384628010 /nfs/dbraw/zinc/62/80/10/384628010.db2.gz VTTZESSLANHSTJ-GFCCVEGCSA-N 0 0 270.354 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(CCOC3)C2)c2cccnc21 ZINC000413177488 285129512 /nfs/dbraw/zinc/12/95/12/285129512.db2.gz ATGYHDGBVJPZQH-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN CCOC(=O)c1cc(N2CCC=C(F)C2)ccc1[N+](=O)[O-] ZINC000278660575 384592990 /nfs/dbraw/zinc/59/29/90/384592990.db2.gz FDZNVVNLFZXBJK-UHFFFAOYSA-N 0 0 294.282 2.835 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H](C)C2CC2)c1 ZINC000281150894 195125367 /nfs/dbraw/zinc/12/53/67/195125367.db2.gz HKKWSRVDFNYPMO-NSHDSACASA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3cccc(F)c32)nc1 ZINC000493816367 285131994 /nfs/dbraw/zinc/13/19/94/285131994.db2.gz IZVMJHHCLYHLOW-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3OCC[C@H]3C2)c2ccncc21 ZINC000369692699 285130816 /nfs/dbraw/zinc/13/08/16/285130816.db2.gz ORPBKXGBHOOENZ-MEDUHNTESA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(CCOC3)C2)c2ccncc21 ZINC000301610169 285129709 /nfs/dbraw/zinc/12/97/09/285129709.db2.gz QJEVZQIROBEEBT-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccc(F)cc3C2)nc1 ZINC000135551724 285131985 /nfs/dbraw/zinc/13/19/85/285131985.db2.gz QAJXPQPXKGENIO-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3cccc(F)c3C2)cn1 ZINC000348110661 285131989 /nfs/dbraw/zinc/13/19/89/285131989.db2.gz XZGWVAKKYSOISM-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@]3(CCCO3)[C@H]2C2CC2)c(F)c1 ZINC000294207342 285133560 /nfs/dbraw/zinc/13/35/60/285133560.db2.gz UNHQZHHKOWDAOP-CABCVRRESA-N 0 0 292.310 2.882 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCC1CCC1 ZINC000279699799 384726695 /nfs/dbraw/zinc/72/66/95/384726695.db2.gz JEPOFBKPNKSDAQ-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1c(NC(=O)C[C@@H]2CCC(=O)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000279612837 384695204 /nfs/dbraw/zinc/69/52/04/384695204.db2.gz JJBZEPWXOAKTFR-KOLCDFICSA-N 0 0 290.319 2.847 20 5 CFBDRN COc1cc(NC[C@@H]2CCSC2)c([N+](=O)[O-])cc1F ZINC000279632693 384701188 /nfs/dbraw/zinc/70/11/88/384701188.db2.gz ZIUQOYQGPCKYEM-QMMMGPOBSA-N 0 0 286.328 2.908 20 5 CFBDRN CN(CCC1CC1)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000269514048 384774483 /nfs/dbraw/zinc/77/44/83/384774483.db2.gz JLAQQONZBVSXQS-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H]3CC[C@@H]2O3)c2ncccc12 ZINC000156667458 285135962 /nfs/dbraw/zinc/13/59/62/285135962.db2.gz DHSKJGHHKNPBMB-IUPBHXKESA-N 0 0 285.303 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COc3cccc(F)c32)nc1 ZINC000363675986 285136647 /nfs/dbraw/zinc/13/66/47/285136647.db2.gz VGXRKUMLQYLGJN-JTQLQIEISA-N 0 0 275.239 2.675 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2[C@H]3CCc4ccccc4[C@@H]23)c1[N+](=O)[O-] ZINC000269393218 384754019 /nfs/dbraw/zinc/75/40/19/384754019.db2.gz SKZCEEPVWJISGE-BFHYXJOUSA-N 0 0 298.346 2.777 20 5 CFBDRN CCOC[C@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000269653367 384821101 /nfs/dbraw/zinc/82/11/01/384821101.db2.gz RGGQJJZBTSEPMD-VIFPVBQESA-N 0 0 286.278 2.736 20 5 CFBDRN CC1(CCNC(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000279943863 384822943 /nfs/dbraw/zinc/82/29/43/384822943.db2.gz HDBVAMAHYJKFMM-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN CCCN(CC(F)F)C[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000269667409 384827323 /nfs/dbraw/zinc/82/73/23/384827323.db2.gz SSWNRVFNJPXUDW-GFCCVEGCSA-N 0 0 288.294 2.605 20 5 CFBDRN CCCN(CC(F)F)C[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000269667412 384827434 /nfs/dbraw/zinc/82/74/34/384827434.db2.gz SSWNRVFNJPXUDW-LBPRGKRZSA-N 0 0 288.294 2.605 20 5 CFBDRN CSCCONC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000279969562 384832048 /nfs/dbraw/zinc/83/20/48/384832048.db2.gz KGRLQOLNAIWQGK-UHFFFAOYSA-N 0 0 298.364 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=N[C@@H]3CCCC[C@H]3F)[nH]c2c1 ZINC000413529194 285139410 /nfs/dbraw/zinc/13/94/10/285139410.db2.gz GLWSQWCNFAVOEX-NXEZZACHSA-N 0 0 278.287 2.586 20 5 CFBDRN CC1(C(=O)COc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000279888129 384800024 /nfs/dbraw/zinc/80/00/24/384800024.db2.gz HVXHBNCSLAPNQW-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@@H]3CC=CCC3)nc2c1 ZINC000094772042 285140868 /nfs/dbraw/zinc/14/08/68/285140868.db2.gz NGLNHWVUTJRUOP-SECBINFHSA-N 0 0 258.281 2.992 20 5 CFBDRN CC1(CCNC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000280037410 384859450 /nfs/dbraw/zinc/85/94/50/384859450.db2.gz NQTBAJHLYDBOJG-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN CCC(=O)c1ccc(NCCCOC)c([N+](=O)[O-])c1 ZINC000280041111 384861277 /nfs/dbraw/zinc/86/12/77/384861277.db2.gz MGLWQNKIBOTXEP-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN CC(C)OC[C@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000269904620 384899009 /nfs/dbraw/zinc/89/90/09/384899009.db2.gz HLXTWQHKJCMTTE-ZDUSSCGKSA-N 0 0 285.365 2.614 20 5 CFBDRN CCC[C@H](CC)Sc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000280206637 384927875 /nfs/dbraw/zinc/92/78/75/384927875.db2.gz JVTPCXJTYDDLIL-VIFPVBQESA-N 0 0 283.353 2.760 20 5 CFBDRN C[C@H]1OCC[C@@H]1Sc1ncc([N+](=O)[O-])cc1Cl ZINC000269978857 384929495 /nfs/dbraw/zinc/92/94/95/384929495.db2.gz ZUPFMWJEQLRYLE-MUWHJKNJSA-N 0 0 274.729 2.913 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC1(O)CCC(C)CC1 ZINC000270099131 384977898 /nfs/dbraw/zinc/97/78/98/384977898.db2.gz JGTALOWZXRYNHX-UHFFFAOYSA-N 0 0 295.335 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2C[C@@H]3C[C@H]2CS3)c1 ZINC000413452789 285147411 /nfs/dbraw/zinc/14/74/11/285147411.db2.gz JYXBGLMUXZQHCS-RYUDHWBXSA-N 0 0 287.344 2.837 20 5 CFBDRN Cc1ccc(C(=O)N2CC=C(C)CC2)cc1[N+](=O)[O-] ZINC000270145218 384993482 /nfs/dbraw/zinc/99/34/82/384993482.db2.gz RLNPLTUHTLYISF-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN CC1=CCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])CC1 ZINC000270151370 384994580 /nfs/dbraw/zinc/99/45/80/384994580.db2.gz SFNWEYHSNQIURO-VOTSOKGWSA-N 0 0 272.304 2.787 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](CO)CC2CCC2)c([N+](=O)[O-])c1 ZINC000280378824 384996888 /nfs/dbraw/zinc/99/68/88/384996888.db2.gz ZBFHKTIVWFUXFX-CYBMUJFWSA-N 0 0 292.335 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCC(F)(F)F)CCN2 ZINC000302902951 285146608 /nfs/dbraw/zinc/14/66/08/285146608.db2.gz UIGVVDNFFDFABL-UHFFFAOYSA-N 0 0 275.230 2.927 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cc1Cl)[C@H]1CCSC1 ZINC000270056765 384962020 /nfs/dbraw/zinc/96/20/20/384962020.db2.gz DLRJCFHBIUKNSW-ZETCQYMHSA-N 0 0 273.745 2.585 20 5 CFBDRN C[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@H](C2CC2)O1 ZINC000280607131 385081422 /nfs/dbraw/zinc/08/14/22/385081422.db2.gz YDBRWRRPZPRZDQ-ISVAXAHUSA-N 0 0 298.289 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCO[C@@H]4CCC[C@@H]43)ccc2c1 ZINC000271636922 285149685 /nfs/dbraw/zinc/14/96/85/285149685.db2.gz OTEXQGJDCHVJGZ-LSDHHAIUSA-N 0 0 299.330 2.901 20 5 CFBDRN COCCCCCOc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000270264362 385035463 /nfs/dbraw/zinc/03/54/63/385035463.db2.gz PYFRAUATOKXDAY-UHFFFAOYSA-N 0 0 281.308 2.993 20 5 CFBDRN CC(C)c1noc(NCCc2cccc([N+](=O)[O-])c2)n1 ZINC000270269087 385037369 /nfs/dbraw/zinc/03/73/69/385037369.db2.gz JYTHTEHQRKWKCY-UHFFFAOYSA-N 0 0 276.296 2.756 20 5 CFBDRN Cc1nc(N(CCCO)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000270285652 385040946 /nfs/dbraw/zinc/04/09/46/385040946.db2.gz YTJWZNNURRWLCT-UHFFFAOYSA-N 0 0 281.356 2.533 20 5 CFBDRN Cc1cc(C(=O)N2CCC=C(C)C2)cc([N+](=O)[O-])c1 ZINC000280671696 385109122 /nfs/dbraw/zinc/10/91/22/385109122.db2.gz MFESOXXUQMCSGV-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN COc1cc(Nc2c(C)nn(C)c2C)c(F)cc1[N+](=O)[O-] ZINC000270477863 385117143 /nfs/dbraw/zinc/11/71/43/385117143.db2.gz AFHKANJDIQQWOT-UHFFFAOYSA-N 0 0 294.286 2.836 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC1([S@](C)=O)CCC1 ZINC000280735790 385133617 /nfs/dbraw/zinc/13/36/17/385133617.db2.gz KLCSJRGYXHVUEX-IBGZPJMESA-N 0 0 282.365 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](OCc3ccccn3)C2)cc1 ZINC000270434187 385104095 /nfs/dbraw/zinc/10/40/95/385104095.db2.gz COIDSTZULBJSDZ-INIZCTEOSA-N 0 0 299.330 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](OCc3ccccn3)C2)cc1 ZINC000270434189 385104438 /nfs/dbraw/zinc/10/44/38/385104438.db2.gz COIDSTZULBJSDZ-MRXNPFEDSA-N 0 0 299.330 2.785 20 5 CFBDRN C[C@H]1CC(CNC(=O)c2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000281420755 385196181 /nfs/dbraw/zinc/19/61/81/385196181.db2.gz XQJUOWVATLNYSJ-QWRGUYRKSA-N 0 0 292.335 2.528 20 5 CFBDRN C[C@@H]1CC(CNC(=O)c2ccccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000281420752 385196697 /nfs/dbraw/zinc/19/66/97/385196697.db2.gz XQJUOWVATLNYSJ-GHMZBOCLSA-N 0 0 292.335 2.528 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC(c2ncc[nH]2)CC1 ZINC000286127646 285156023 /nfs/dbraw/zinc/15/60/23/285156023.db2.gz GNHAXJDWDFMJFZ-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCCCCCCO ZINC000270577527 385153208 /nfs/dbraw/zinc/15/32/08/385153208.db2.gz PAWSEEUBWFJGKW-UHFFFAOYSA-N 0 0 281.308 2.729 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000280797937 385158146 /nfs/dbraw/zinc/15/81/46/385158146.db2.gz VJRNOURJVNYBHR-LLVKDONJSA-N 0 0 278.308 2.778 20 5 CFBDRN Cc1cc(N[C@@H](C)c2nnc(C(C)C)[nH]2)ncc1[N+](=O)[O-] ZINC000270709167 385201062 /nfs/dbraw/zinc/20/10/62/385201062.db2.gz KPOJOKOIIHQELA-VIFPVBQESA-N 0 0 290.327 2.713 20 5 CFBDRN CCc1nnc([C@@H](C)Nc2ccc(F)cc2[N+](=O)[O-])[nH]1 ZINC000270639298 385172619 /nfs/dbraw/zinc/17/26/19/385172619.db2.gz HOMMMRXFUBHWIS-SSDOTTSWSA-N 0 0 279.275 2.588 20 5 CFBDRN CO[C@@H](Cn1cc([N+](=O)[O-])cn1)c1ccc(Cl)cc1 ZINC000282168694 385233808 /nfs/dbraw/zinc/23/38/08/385233808.db2.gz HTWYWHWMWXQYBW-LBPRGKRZSA-N 0 0 281.699 2.832 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1O[C@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000282194974 385244100 /nfs/dbraw/zinc/24/41/00/385244100.db2.gz PMFQVWOFSXOFSW-OXIWPEFWSA-N 0 0 292.335 2.586 20 5 CFBDRN CCc1cccnc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000270862229 385250317 /nfs/dbraw/zinc/25/03/17/385250317.db2.gz KAGZPHMDLYGOEQ-UHFFFAOYSA-N 0 0 299.330 2.824 20 5 CFBDRN Cn1cc2c(n1)CCC[C@@H]2NCc1csc([N+](=O)[O-])c1 ZINC000282421070 385307053 /nfs/dbraw/zinc/30/70/53/385307053.db2.gz BPJUAVANGJJDOJ-NSHDSACASA-N 0 0 292.364 2.557 20 5 CFBDRN COC1(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2F)CCC1 ZINC000282444551 385313175 /nfs/dbraw/zinc/31/31/75/385313175.db2.gz NGWNKRNWLJWQOW-UHFFFAOYSA-N 0 0 282.271 2.550 20 5 CFBDRN Cc1cnc(C)c(N(C)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000282251961 385260778 /nfs/dbraw/zinc/26/07/78/385260778.db2.gz MVULVGAZZIBNON-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2COc3ccccc32)n1 ZINC000289069837 197227007 /nfs/dbraw/zinc/22/70/07/197227007.db2.gz NHTVGOKUYNXZQN-NSHDSACASA-N 0 0 285.303 2.886 20 5 CFBDRN CC(C)CSCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000282254761 385263672 /nfs/dbraw/zinc/26/36/72/385263672.db2.gz RPCQRRMIUQFIOK-UHFFFAOYSA-N 0 0 269.370 2.978 20 5 CFBDRN CC1CC(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000270975215 385288344 /nfs/dbraw/zinc/28/83/44/385288344.db2.gz KNAFJUVIOURCQG-UHFFFAOYSA-N 0 0 285.303 2.671 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CCCS1 ZINC000282367117 385293904 /nfs/dbraw/zinc/29/39/04/385293904.db2.gz QGEQEWQCKIKLLT-JTQLQIEISA-N 0 0 284.312 2.876 20 5 CFBDRN CCSCCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000282372783 385295467 /nfs/dbraw/zinc/29/54/67/385295467.db2.gz YIMZWSXXKVAZDN-UHFFFAOYSA-N 0 0 255.343 2.732 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1O[C@H](C)C[C@H]1C ZINC000282504829 385328852 /nfs/dbraw/zinc/32/88/52/385328852.db2.gz ZDYZHTIAHZWONW-OTPXZMOZSA-N 0 0 296.298 2.794 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])c(C)cc2F)O1 ZINC000282550759 385339638 /nfs/dbraw/zinc/33/96/38/385339638.db2.gz XFYITQIYBHFVOI-NOZJJQNGSA-N 0 0 296.298 2.938 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000282620111 385354214 /nfs/dbraw/zinc/35/42/14/385354214.db2.gz JWWDULODXGNTJF-YSDIBMRGSA-N 0 0 291.303 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(C[NH+]2CCC(CCCO)CC2)cc1F ZINC000282625240 385355681 /nfs/dbraw/zinc/35/56/81/385355681.db2.gz AKKBRRCBAMDKEU-UHFFFAOYSA-N 0 0 296.342 2.718 20 5 CFBDRN CC1=CCN(Cc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000282625354 385356404 /nfs/dbraw/zinc/35/64/04/385356404.db2.gz AKQFAUVARQECIM-UHFFFAOYSA-N 0 0 250.273 2.886 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)CCC2CC2)c1 ZINC000282797401 385390266 /nfs/dbraw/zinc/39/02/66/385390266.db2.gz HVCZJJQYBKKNCX-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC2CCCC2)c1 ZINC000282726101 385378017 /nfs/dbraw/zinc/37/80/17/385378017.db2.gz WSRPRZMYXYUXSB-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(SCC3OCCO3)sc2c1 ZINC000271924583 385402808 /nfs/dbraw/zinc/40/28/08/385402808.db2.gz FWHXFRXJGFUECC-UHFFFAOYSA-N 0 0 298.345 2.670 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCCO1 ZINC000271967198 385413432 /nfs/dbraw/zinc/41/34/32/385413432.db2.gz HINDSAJSWILGPO-MFKMUULPSA-N 0 0 293.323 2.674 20 5 CFBDRN CCC(CC)(CCO)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000283289994 385453560 /nfs/dbraw/zinc/45/35/60/385453560.db2.gz XWPNJVOKNGVCFT-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN Cc1coc(C)c1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000283286538 385454049 /nfs/dbraw/zinc/45/40/49/385454049.db2.gz FHEVPPQPUGKUQU-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(C)CCC1 ZINC000288943432 197197659 /nfs/dbraw/zinc/19/76/59/197197659.db2.gz RRAYCSQVLHQENR-UHFFFAOYSA-N 0 0 252.318 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCO[C@@H]2c2cccnc2)cc1 ZINC000283075714 385432434 /nfs/dbraw/zinc/43/24/34/385432434.db2.gz SZMKWMCWUAULTH-LSDHHAIUSA-N 0 0 285.303 2.932 20 5 CFBDRN Cc1cc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])co1 ZINC000288967203 197202944 /nfs/dbraw/zinc/20/29/44/197202944.db2.gz FELFSYUDZXDWOS-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN Cc1nc(CCNc2ccc(N)cc2[N+](=O)[O-])cs1 ZINC000283480372 385468920 /nfs/dbraw/zinc/46/89/20/385468920.db2.gz HAZBEIILHMYSNV-UHFFFAOYSA-N 0 0 278.337 2.597 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000288938717 197196456 /nfs/dbraw/zinc/19/64/56/197196456.db2.gz NLZWUSIPPMQMEH-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN CC(C)[C@H](O)CN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000283628835 385482984 /nfs/dbraw/zinc/48/29/84/385482984.db2.gz BFCLVPAIMAYRCU-CYBMUJFWSA-N 0 0 286.759 2.697 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)CCc1cccs1 ZINC000288934178 197195544 /nfs/dbraw/zinc/19/55/44/197195544.db2.gz QYSNQBDHFMOEKC-UHFFFAOYSA-N 0 0 294.380 2.860 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2cccc(F)c2)nc1 ZINC000302103384 285173719 /nfs/dbraw/zinc/17/37/19/285173719.db2.gz MCNJIZVMPBKSMU-ZDUSSCGKSA-N 0 0 288.282 2.865 20 5 CFBDRN C/C=C/C=C/C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283732901 385494264 /nfs/dbraw/zinc/49/42/64/385494264.db2.gz ZNZGXGMVABXASA-PRKJJMSOSA-N 0 0 274.320 2.728 20 5 CFBDRN CCN(CC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000283888609 385510510 /nfs/dbraw/zinc/51/05/10/385510510.db2.gz IYCHWUJYNNTQKX-UHFFFAOYSA-N 0 0 269.276 2.916 20 5 CFBDRN Cc1nn(CCCn2ccnc2C)c2ccc([N+](=O)[O-])cc12 ZINC000272326296 385510897 /nfs/dbraw/zinc/51/08/97/385510897.db2.gz CISUDTOJSYEIKQ-UHFFFAOYSA-N 0 0 299.334 2.848 20 5 CFBDRN CCC(O)(CC)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000283897853 385511163 /nfs/dbraw/zinc/51/11/63/385511163.db2.gz WBALQIBRUANGJH-UHFFFAOYSA-N 0 0 280.324 2.760 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC3(CCC3)C2)c(F)c1 ZINC000413260382 285172851 /nfs/dbraw/zinc/17/28/51/285172851.db2.gz FCNYUCHSGXTZKL-UHFFFAOYSA-N 0 0 265.288 2.899 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000272253076 385489202 /nfs/dbraw/zinc/48/92/02/385489202.db2.gz SYRWNMYKTVXYJE-JOYOIKCWSA-N 0 0 299.758 2.631 20 5 CFBDRN Cc1cc(F)c(NC(=O)N2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000284063070 385530032 /nfs/dbraw/zinc/53/00/32/385530032.db2.gz QMZKYDHQCHYIBP-QMMMGPOBSA-N 0 0 281.287 2.916 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1cc[nH]c1C1CC1 ZINC000284093409 385533531 /nfs/dbraw/zinc/53/35/31/385533531.db2.gz XNGWNJRXJXQCGG-UHFFFAOYSA-N 0 0 299.330 2.773 20 5 CFBDRN COCC[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000284109743 385535915 /nfs/dbraw/zinc/53/59/15/385535915.db2.gz SYDPEKOBPTXMJQ-SECBINFHSA-N 0 0 299.302 2.589 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCC=C(F)C1 ZINC000272452100 385541481 /nfs/dbraw/zinc/54/14/81/385541481.db2.gz JOGFTMPBEJSUQJ-UHFFFAOYSA-N 0 0 266.272 2.663 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3CCC[C@H]32)c(F)c1 ZINC000408472697 285176351 /nfs/dbraw/zinc/17/63/51/285176351.db2.gz WOEGLBUQOKRJQD-GZMMTYOYSA-N 0 0 251.261 2.508 20 5 CFBDRN C[N@@H+](Cc1ccc([N+](=O)[O-])cc1F)C[C@@H]1CCCC[C@@H]1O ZINC000272537187 385560771 /nfs/dbraw/zinc/56/07/71/385560771.db2.gz NDTFEWJQFVZWEU-WFASDCNBSA-N 0 0 296.342 2.717 20 5 CFBDRN CC(C)[C@@H](O)C(C)(C)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000286115126 385560981 /nfs/dbraw/zinc/56/09/81/385560981.db2.gz GFVSLCDZZUAYEB-CYBMUJFWSA-N 0 0 281.356 2.632 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCc3c2cccc3O)s1 ZINC000281998943 285183037 /nfs/dbraw/zinc/18/30/37/285183037.db2.gz UCUYTRWSFLVCFW-VIFPVBQESA-N 0 0 277.305 2.856 20 5 CFBDRN Cc1ccccc1[C@@H](C)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000272580850 385568145 /nfs/dbraw/zinc/56/81/45/385568145.db2.gz PROYUTBXDNVWHY-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@H](COc2ccccc2)C1 ZINC000272590768 385571130 /nfs/dbraw/zinc/57/11/30/385571130.db2.gz ZXLIGDHUOXRGMH-ZDUSSCGKSA-N 0 0 299.330 2.895 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272715972 385595678 /nfs/dbraw/zinc/59/56/78/385595678.db2.gz LUFAOXADDVXORU-VHSXEESVSA-N 0 0 278.312 2.690 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000286252078 385577796 /nfs/dbraw/zinc/57/77/96/385577796.db2.gz DNVSLGRXXMDQHU-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN CC[NH+](CC)[C@H](C)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000286278829 385582188 /nfs/dbraw/zinc/58/21/88/385582188.db2.gz XBBORCMXGFHSCX-LLVKDONJSA-N 0 0 293.367 2.940 20 5 CFBDRN O=C(NCC1CCCCC1)Nc1ccncc1[N+](=O)[O-] ZINC000272651016 385582972 /nfs/dbraw/zinc/58/29/72/385582972.db2.gz FCRZXNYUYKNBMD-UHFFFAOYSA-N 0 0 278.312 2.692 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000286290850 385583875 /nfs/dbraw/zinc/58/38/75/385583875.db2.gz HOMQNGXOQKMYFS-NXEZZACHSA-N 0 0 295.295 2.588 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2c1ccc(F)cc1[N+](=O)[O-] ZINC000286298069 385584652 /nfs/dbraw/zinc/58/46/52/385584652.db2.gz JILVJEYCWPZTQN-IOJWWUGISA-N 0 0 292.310 2.594 20 5 CFBDRN C[C@H](Nc1ncnc2sc([N+](=O)[O-])cc21)[C@@H]1CCCO1 ZINC000286315259 385589066 /nfs/dbraw/zinc/58/90/66/385589066.db2.gz ZJXIDPCIIDTEGR-CBAPKCEASA-N 0 0 294.336 2.579 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@]1(C)CCCS1 ZINC000288776967 197163273 /nfs/dbraw/zinc/16/32/73/197163273.db2.gz DWQAYANAYWQKLS-LBPRGKRZSA-N 0 0 284.385 2.817 20 5 CFBDRN Cc1cc(CNc2cc(Cl)ccc2[N+](=O)[O-])n(C)n1 ZINC000286326054 385590911 /nfs/dbraw/zinc/59/09/11/385590911.db2.gz FZCRERHQXRCRRT-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cc1cc(N2CCCCC[C@H]2C[C@H](C)O)ncc1[N+](=O)[O-] ZINC000286446966 385622442 /nfs/dbraw/zinc/62/24/42/385622442.db2.gz SZPHNHWVAHSVHS-STQMWFEESA-N 0 0 293.367 2.818 20 5 CFBDRN Cc1nnc(CNc2c(F)cccc2[N+](=O)[O-])n1C1CC1 ZINC000286454661 385625653 /nfs/dbraw/zinc/62/56/53/385625653.db2.gz JSIJOVQTHAQIAZ-UHFFFAOYSA-N 0 0 291.286 2.581 20 5 CFBDRN Cc1cccc(NC[C@@H]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC000286396471 385608446 /nfs/dbraw/zinc/60/84/46/385608446.db2.gz OBELOYLIFPIJSR-NEPJUHHUSA-N 0 0 264.325 2.988 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCOC[C@H]2C)c1 ZINC000286406868 385611356 /nfs/dbraw/zinc/61/13/56/385611356.db2.gz OSHHTUOHOALHPG-MNOVXSKESA-N 0 0 280.324 2.688 20 5 CFBDRN COc1cccc2c1CCN(c1ncccc1[N+](=O)[O-])C2 ZINC000272913448 385650560 /nfs/dbraw/zinc/65/05/60/385650560.db2.gz ORQZNCGAXLRASR-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CCCCS1 ZINC000272911625 385651157 /nfs/dbraw/zinc/65/11/57/385651157.db2.gz CNCVYDKEGDHGBL-LLVKDONJSA-N 0 0 285.296 2.925 20 5 CFBDRN Cc1ccc(NC(=O)NC(C)(C)C(C)(C)O)cc1[N+](=O)[O-] ZINC000272940031 385659925 /nfs/dbraw/zinc/65/99/25/385659925.db2.gz HCBOFBPROKVQEP-UHFFFAOYSA-N 0 0 295.339 2.574 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC2(O)CCC2)c1 ZINC000286822701 385716957 /nfs/dbraw/zinc/71/69/57/385716957.db2.gz XHRZMQNYEMGQMC-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN Nc1ccc(NCC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000286700148 385691275 /nfs/dbraw/zinc/69/12/75/385691275.db2.gz DDNZRFMQWBRHPL-NSHDSACASA-N 0 0 265.313 2.548 20 5 CFBDRN O=[N+]([O-])c1c(NCCC2(O)CCC2)ccc2ncccc21 ZINC000286724937 385695432 /nfs/dbraw/zinc/69/54/32/385695432.db2.gz MBAOSBWJTGPFIZ-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN CC1(c2ccccc2)CCN(c2ncc([N+](=O)[O-])cn2)CC1 ZINC000274034233 385705741 /nfs/dbraw/zinc/70/57/41/385705741.db2.gz XDOCZPIZIQCADU-UHFFFAOYSA-N 0 0 298.346 2.943 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)C1CC1 ZINC000105777496 533140710 /nfs/dbraw/zinc/14/07/10/533140710.db2.gz IFANCUWFUTYUQO-QMMMGPOBSA-N 0 0 279.296 2.523 20 5 CFBDRN O=C(NC[C@H]1CCCSC1)c1ccc([N+](=O)[O-])s1 ZINC000286925322 385741139 /nfs/dbraw/zinc/74/11/39/385741139.db2.gz VBVQCKOKORIAEN-MRVPVSSYSA-N 0 0 286.378 2.529 20 5 CFBDRN C[C@@H](CNc1ccc(N)cc1[N+](=O)[O-])c1nccs1 ZINC000286975471 385753271 /nfs/dbraw/zinc/75/32/71/385753271.db2.gz STLFIOONXAPFCS-QMMMGPOBSA-N 0 0 278.337 2.849 20 5 CFBDRN O=c1[nH]c(NC2CCCCC2)nc2ccc([N+](=O)[O-])cc21 ZINC000286984437 385756560 /nfs/dbraw/zinc/75/65/60/385756560.db2.gz OERJGQRHCXQFOY-UHFFFAOYSA-N 0 0 288.307 2.988 20 5 CFBDRN Cc1nn(Cc2csc(Cl)n2)c(C)c1[N+](=O)[O-] ZINC000287017304 385763439 /nfs/dbraw/zinc/76/34/39/385763439.db2.gz GFKMGHKPOQEBBA-UHFFFAOYSA-N 0 0 272.717 2.566 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000287082256 385776272 /nfs/dbraw/zinc/77/62/72/385776272.db2.gz YDHMCQKVSQUZIJ-CYBMUJFWSA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@H](CF)NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000286864190 385726696 /nfs/dbraw/zinc/72/66/96/385726696.db2.gz GRNHKEKHLGMZGV-ZCFIWIBFSA-N 0 0 275.667 2.728 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000287182020 385798688 /nfs/dbraw/zinc/79/86/88/385798688.db2.gz OPRJSIZVGCWBPZ-NSHDSACASA-N 0 0 298.289 2.991 20 5 CFBDRN CCCCOCCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000288603327 197124968 /nfs/dbraw/zinc/12/49/68/197124968.db2.gz WMIAXULXLLRFGU-UHFFFAOYSA-N 0 0 296.352 2.828 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C(F)(F)F ZINC000288600350 197124061 /nfs/dbraw/zinc/12/40/61/197124061.db2.gz MJPYVUPSEBQVOL-BYPYZUCNSA-N 0 0 298.167 2.554 20 5 CFBDRN COc1cccc(NC[C@H](OC)C(C)C)c1[N+](=O)[O-] ZINC000274722403 385857411 /nfs/dbraw/zinc/85/74/11/385857411.db2.gz YEDKUNGCDWJSSW-LBPRGKRZSA-N 0 0 268.313 2.686 20 5 CFBDRN O=c1c(Br)cc([N+](=O)[O-])cn1[C@H]1C=CCCC1 ZINC000268650072 285205473 /nfs/dbraw/zinc/20/54/73/285205473.db2.gz VKWNBNYYEISJDG-QMMMGPOBSA-N 0 0 299.124 2.800 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000274981033 385905858 /nfs/dbraw/zinc/90/58/58/385905858.db2.gz BNEDEUHEDDQFRW-YPMHNXCESA-N 0 0 264.325 2.866 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1[C@@H]1C=CCCC1 ZINC000268662148 285206394 /nfs/dbraw/zinc/20/63/94/285206394.db2.gz YTJFMGDACVUHNE-MRVPVSSYSA-N 0 0 254.673 2.691 20 5 CFBDRN Cc1cc(C)c2c(c1)CN(c1ncc([N+](=O)[O-])cn1)CC2 ZINC000275000691 385910234 /nfs/dbraw/zinc/91/02/34/385910234.db2.gz NTPDEMUNNFJIRK-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCC[C@@H]1CCO ZINC000275007183 385911374 /nfs/dbraw/zinc/91/13/74/385911374.db2.gz IIIMQFCKVZDIIO-SCZZXKLOSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCC[C@H]1CCO ZINC000275026121 385915220 /nfs/dbraw/zinc/91/52/20/385915220.db2.gz NEMUVHGRPAKXCK-ONGXEEELSA-N 0 0 268.288 2.697 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000274770806 385867321 /nfs/dbraw/zinc/86/73/21/385867321.db2.gz UJTHOCRRHHQDBO-ZYHUDNBSSA-N 0 0 291.351 2.579 20 5 CFBDRN CO[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000274808065 385875924 /nfs/dbraw/zinc/87/59/24/385875924.db2.gz MDHQRRVGETZQMT-ZDUSSCGKSA-N 0 0 295.339 2.696 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276650569 386003168 /nfs/dbraw/zinc/00/31/68/386003168.db2.gz QOLJTBYUKVWZPB-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN COC1(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCC1 ZINC000276653786 386004938 /nfs/dbraw/zinc/00/49/38/386004938.db2.gz PDKOLIRSXCSKHK-UHFFFAOYSA-N 0 0 289.291 2.756 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276659488 386006116 /nfs/dbraw/zinc/00/61/16/386006116.db2.gz RUCLGQGQWGCKRJ-VHSXEESVSA-N 0 0 275.308 2.978 20 5 CFBDRN COc1ccc(C(=O)NCCC=C(C)C)cc1[N+](=O)[O-] ZINC000276666305 386009413 /nfs/dbraw/zinc/00/94/13/386009413.db2.gz XNFBGEBGFNSUTO-UHFFFAOYSA-N 0 0 278.308 2.690 20 5 CFBDRN C[C@@H](O)C(C)(C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276686167 386014505 /nfs/dbraw/zinc/01/45/05/386014505.db2.gz VRDHRFRYHYFEKH-SECBINFHSA-N 0 0 275.308 2.714 20 5 CFBDRN COc1cc(C(=O)N2CC=C(C)CC2)cc([N+](=O)[O-])c1C ZINC000276789744 386044287 /nfs/dbraw/zinc/04/42/87/386044287.db2.gz JHJIHCLFSMNKRO-UHFFFAOYSA-N 0 0 290.319 2.704 20 5 CFBDRN COc1ccc(OC(=O)C2CCSCC2)cc1[N+](=O)[O-] ZINC000276798576 386047398 /nfs/dbraw/zinc/04/73/98/386047398.db2.gz VFJZUZGCUNCPFT-UHFFFAOYSA-N 0 0 297.332 2.652 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC1CC(F)(F)C1 ZINC000277016505 386107939 /nfs/dbraw/zinc/10/79/39/386107939.db2.gz MGUGMIWOVFZYBL-AATRIKPKSA-N 0 0 282.246 2.522 20 5 CFBDRN C[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])c1ncnn1C ZINC000276864874 386063527 /nfs/dbraw/zinc/06/35/27/386063527.db2.gz IWWJMPFHQSOWEN-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC2CC(F)(F)C2)c1 ZINC000276945910 386087956 /nfs/dbraw/zinc/08/79/56/386087956.db2.gz BGSSXIKXEMBNRV-UHFFFAOYSA-N 0 0 258.224 2.813 20 5 CFBDRN CCOc1cc(CN2C[C@@H](C)O[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000276971014 386096120 /nfs/dbraw/zinc/09/61/20/386096120.db2.gz WWRFFMLRTAPEKZ-VXGBXAGGSA-N 0 0 294.351 2.603 20 5 CFBDRN CCOc1cc(CN2[C@H](C)COC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000276990815 386100441 /nfs/dbraw/zinc/10/04/41/386100441.db2.gz ZZVZNKFUSKEVBL-TXEJJXNPSA-N 0 0 294.351 2.603 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1CCC[C@@H]1O ZINC000277086665 386125567 /nfs/dbraw/zinc/12/55/67/386125567.db2.gz KITPYJMPCLSJGE-ONGXEEELSA-N 0 0 256.689 2.574 20 5 CFBDRN CCCn1ncnc1COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288326310 197052007 /nfs/dbraw/zinc/05/20/07/197052007.db2.gz DPPKHCKVFXHRLA-UHFFFAOYSA-N 0 0 296.714 2.829 20 5 CFBDRN COC(C)(C)C(=O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288316224 197050197 /nfs/dbraw/zinc/05/01/97/197050197.db2.gz CUQZXMPMLKXXOE-UHFFFAOYSA-N 0 0 287.699 2.621 20 5 CFBDRN Cc1cnc(NCCCO[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000288294918 197046517 /nfs/dbraw/zinc/04/65/17/197046517.db2.gz BKDCSOZMWIAOGP-ZDUSSCGKSA-N 0 0 295.339 2.643 20 5 CFBDRN CC[C@H](C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288272772 197040412 /nfs/dbraw/zinc/04/04/12/197040412.db2.gz KHAIRZMKYCBTNX-NSHDSACASA-N 0 0 264.325 2.642 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1(C)CCC1 ZINC000288242565 197035416 /nfs/dbraw/zinc/03/54/16/197035416.db2.gz JAMPQAABICJTBK-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1ccc(C)nc1 ZINC000288143899 197013492 /nfs/dbraw/zinc/01/34/92/197013492.db2.gz NPRKRMFZTHZAOB-UHFFFAOYSA-N 0 0 262.269 2.615 20 5 CFBDRN C[C@@H](CF)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000288098077 197004378 /nfs/dbraw/zinc/00/43/78/197004378.db2.gz GTKSWHLGLJZICR-IUCAKERBSA-N 0 0 286.328 2.550 20 5 CFBDRN CSCCCN(C)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000281221447 195154346 /nfs/dbraw/zinc/15/43/46/195154346.db2.gz JPSUTUOFRKBEEL-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCC=C(C)C)n1 ZINC000287625965 196904343 /nfs/dbraw/zinc/90/43/43/196904343.db2.gz GXGJOJLVHRAPHR-UHFFFAOYSA-N 0 0 279.296 2.545 20 5 CFBDRN C[C@@](O)(CNc1ccc(N)cc1[N+](=O)[O-])C1CCCCC1 ZINC000287607767 196899285 /nfs/dbraw/zinc/89/92/85/196899285.db2.gz FXVVRXQZKXJXAG-OAHLLOKOSA-N 0 0 293.367 2.920 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000287416212 196852352 /nfs/dbraw/zinc/85/23/52/196852352.db2.gz TUEXBGSFFMAXBU-IAQYHMDHSA-N 0 0 292.335 2.760 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2C[C@@H](O)C2(C)C)c([N+](=O)[O-])c1 ZINC000281326381 195197992 /nfs/dbraw/zinc/19/79/92/195197992.db2.gz AIBUCQSMIMXRSV-UONOGXRCSA-N 0 0 292.335 2.759 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CCCO1 ZINC000281327261 195198025 /nfs/dbraw/zinc/19/80/25/195198025.db2.gz KMSXEJOUZUGXQB-CQSZACIVSA-N 0 0 294.307 2.501 20 5 CFBDRN COC(=O)CC1(COc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000281423188 195234447 /nfs/dbraw/zinc/23/44/47/195234447.db2.gz IEJXPUKAURIPLL-UHFFFAOYSA-N 0 0 299.710 2.970 20 5 CFBDRN CSCCCN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000281426763 195235900 /nfs/dbraw/zinc/23/59/00/195235900.db2.gz SEHAJGOWEFOYDT-UHFFFAOYSA-N 0 0 283.353 2.812 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(F)c2)c1 ZINC000060063676 533215067 /nfs/dbraw/zinc/21/50/67/533215067.db2.gz FKJKEBHXZAUOID-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1cc(N2CCO[C@H](C)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000302004741 291938068 /nfs/dbraw/zinc/93/80/68/291938068.db2.gz KLMAATAYTGZRQK-LLVKDONJSA-N 0 0 287.319 2.677 20 5 CFBDRN Cc1cc(N2CCO[C@H]3CCC[C@@H]32)c(F)cc1[N+](=O)[O-] ZINC000292534579 291938509 /nfs/dbraw/zinc/93/85/09/291938509.db2.gz HWYGCNWSVVLZER-FZMZJTMJSA-N 0 0 280.299 2.800 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1(C)CCC1 ZINC000192669023 262208100 /nfs/dbraw/zinc/20/81/00/262208100.db2.gz ZGNDXEJXDKWGQL-UHFFFAOYSA-N 0 0 252.318 2.722 20 5 CFBDRN CNC(=O)c1ccccc1NCc1ccc([N+](=O)[O-])cc1 ZINC000172900577 260022423 /nfs/dbraw/zinc/02/24/23/260022423.db2.gz ZLNWGIKKADGRIP-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN Cc1c(OC(=O)c2cc(C3CC3)no2)cccc1[N+](=O)[O-] ZINC000281539124 195281434 /nfs/dbraw/zinc/28/14/34/195281434.db2.gz BBWFDKFRNVZDDH-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN Cc1nn(C)c(NCCc2ccc3[nH]ccc3c2)c1[N+](=O)[O-] ZINC000281671223 195330282 /nfs/dbraw/zinc/33/02/82/195330282.db2.gz LQFIOQMZNCWUSO-UHFFFAOYSA-N 0 0 299.334 2.773 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H](O)c2ccccc2)s1 ZINC000281730411 195356016 /nfs/dbraw/zinc/35/60/16/195356016.db2.gz AQBFUZLYKCYUFC-SNVBAGLBSA-N 0 0 279.321 2.587 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000281745652 195362522 /nfs/dbraw/zinc/36/25/22/195362522.db2.gz RUZPWNHUZQDFPX-GFCCVEGCSA-N 0 0 290.319 2.507 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)[C@@H](C)C1 ZINC000281771891 195374416 /nfs/dbraw/zinc/37/44/16/195374416.db2.gz KAXSZOKBORPHSJ-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CCc1occc1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000281775059 195376790 /nfs/dbraw/zinc/37/67/90/195376790.db2.gz TXTGQOMJMJWFPE-UHFFFAOYSA-N 0 0 288.303 2.723 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)[C@@H](C)C1 ZINC000281794730 195384345 /nfs/dbraw/zinc/38/43/45/195384345.db2.gz LIYAQNWJYDIBTH-FZMZJTMJSA-N 0 0 292.335 2.543 20 5 CFBDRN CN(C)c1cccc(CNc2ncc([N+](=O)[O-])s2)c1 ZINC000282171168 195527673 /nfs/dbraw/zinc/52/76/73/195527673.db2.gz NWKABUSABXUNPI-UHFFFAOYSA-N 0 0 278.337 2.729 20 5 CFBDRN CCSCCCNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000282529065 195637714 /nfs/dbraw/zinc/63/77/14/195637714.db2.gz CKXCGZZIMKRDHL-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C(C)C)c1 ZINC000282735494 195694628 /nfs/dbraw/zinc/69/46/28/195694628.db2.gz YDHATIKOMCWWFL-SNVBAGLBSA-N 0 0 280.324 2.768 20 5 CFBDRN CC1(C)SC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000283239294 195824801 /nfs/dbraw/zinc/82/48/01/195824801.db2.gz CSKDPCCTOCSMMU-SNVBAGLBSA-N 0 0 299.327 2.749 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)N(C)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000283238951 195825300 /nfs/dbraw/zinc/82/53/00/195825300.db2.gz UQSQRARXRBRABU-SNVBAGLBSA-N 0 0 298.314 2.506 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H](O)C(C)(C)C)c1 ZINC000283016107 195770426 /nfs/dbraw/zinc/77/04/26/195770426.db2.gz LXNUILFPCZJSPE-GFCCVEGCSA-N 0 0 253.298 2.689 20 5 CFBDRN CSC1(CNc2ccc(N)cc2[N+](=O)[O-])CCOCC1 ZINC000283412358 195854237 /nfs/dbraw/zinc/85/42/37/195854237.db2.gz AESDBADSWQSMEL-UHFFFAOYSA-N 0 0 297.380 2.501 20 5 CFBDRN CC1(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)CCCCC1 ZINC000283555125 195877594 /nfs/dbraw/zinc/87/75/94/195877594.db2.gz UIWANEHHTVVPOZ-UHFFFAOYSA-N 0 0 295.314 2.769 20 5 CFBDRN CC(C)COCCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000283566468 195879982 /nfs/dbraw/zinc/87/99/82/195879982.db2.gz NUUKOQUWVLWBQV-UHFFFAOYSA-N 0 0 267.329 2.652 20 5 CFBDRN COC(=O)c1ccc(OCCCCCF)c([N+](=O)[O-])c1 ZINC000283779803 195917460 /nfs/dbraw/zinc/91/74/60/195917460.db2.gz DEMHBCHELIFDOM-UHFFFAOYSA-N 0 0 285.271 2.900 20 5 CFBDRN COCCCCN(C)c1cc([N+](=O)[O-])ccc1C(C)=O ZINC000419015909 533254565 /nfs/dbraw/zinc/25/45/65/533254565.db2.gz NRZFRAIRLLBRQJ-UHFFFAOYSA-N 0 0 280.324 2.660 20 5 CFBDRN COc1ncccc1COc1cc(C)ccc1[N+](=O)[O-] ZINC000176254283 533263039 /nfs/dbraw/zinc/26/30/39/533263039.db2.gz MMGPCRLAICONOH-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN COc1cccc(C(=O)N[C@H](C)CC(C)C)c1[N+](=O)[O-] ZINC000194752005 533416620 /nfs/dbraw/zinc/41/66/20/533416620.db2.gz ROCMFSHEZCFGKB-SNVBAGLBSA-N 0 0 280.324 2.768 20 5 CFBDRN C[C@@H](NCc1nc(C(F)F)no1)c1ccccc1[N+](=O)[O-] ZINC000425458626 533468879 /nfs/dbraw/zinc/46/88/79/533468879.db2.gz MQGZCYYVRYOTIP-SSDOTTSWSA-N 0 0 298.249 2.766 20 5 CFBDRN CSCCN(C)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000426625272 533576083 /nfs/dbraw/zinc/57/60/83/533576083.db2.gz JLLHBIPPDQOOHY-UHFFFAOYSA-N 0 0 296.392 2.694 20 5 CFBDRN COC[C@H]1CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000267058864 285447396 /nfs/dbraw/zinc/44/73/96/285447396.db2.gz OZRNUDWAZFLGKI-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1cccn1C ZINC000341988005 130211354 /nfs/dbraw/zinc/21/13/54/130211354.db2.gz JVHDQNUNUCJIKC-UHFFFAOYSA-N 0 0 288.307 2.563 20 5 CFBDRN NC(=O)c1cccc(NC/C=C/c2ccccc2)c1[N+](=O)[O-] ZINC000342130710 130289064 /nfs/dbraw/zinc/28/90/64/130289064.db2.gz MNIBPJCKLSDBOC-VMPITWQZSA-N 0 0 297.314 2.819 20 5 CFBDRN CC(C)=C(F)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355428487 130303662 /nfs/dbraw/zinc/30/36/62/130303662.db2.gz NDCISNMGRYLTSK-UHFFFAOYSA-N 0 0 278.243 2.947 20 5 CFBDRN CN(C(=O)[C@@]1(C)C[C@H]2CC[C@@H]1O2)c1cccc([N+](=O)[O-])c1 ZINC000191933381 130332146 /nfs/dbraw/zinc/33/21/46/130332146.db2.gz RSRWOBJADNUKRB-IPYPFGDCSA-N 0 0 290.319 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3cccnc3)no2)cc1 ZINC000355851384 130348051 /nfs/dbraw/zinc/34/80/51/130348051.db2.gz LENIDEAGXLAWKA-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN Cc1nccc(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)n1 ZINC000355963625 130361745 /nfs/dbraw/zinc/36/17/45/130361745.db2.gz ZITVQNVADPJRFG-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN CC1CCC(C)(NC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000355996040 130364795 /nfs/dbraw/zinc/36/47/95/130364795.db2.gz LGXOBCWGQHCBSQ-UHFFFAOYSA-N 0 0 279.340 2.632 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc(CC3CC3)no2)c1 ZINC000355993084 130365729 /nfs/dbraw/zinc/36/57/29/130365729.db2.gz XOUPZDSORIGERJ-UHFFFAOYSA-N 0 0 263.228 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1ccnc(C)n1 ZINC000356057248 130372049 /nfs/dbraw/zinc/37/20/49/130372049.db2.gz JNEDCHMCVNXTOE-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CCC(C)(C)CNC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000192198369 130393721 /nfs/dbraw/zinc/39/37/21/130393721.db2.gz QALNOFRODVWYJI-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CC(C)[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000357216576 130419513 /nfs/dbraw/zinc/41/95/13/130419513.db2.gz YHGFIQUBNOMGTJ-PWSUYJOCSA-N 0 0 265.313 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(C4CC4)n3)c2c1 ZINC000357370648 130454227 /nfs/dbraw/zinc/45/42/27/130454227.db2.gz MHSVXSPBUQAFAZ-UHFFFAOYSA-N 0 0 284.275 2.927 20 5 CFBDRN C[C@H]1C[C@H](c2noc(-c3ccccc3[N+](=O)[O-])n2)CCO1 ZINC000357477926 130477481 /nfs/dbraw/zinc/47/74/81/130477481.db2.gz VTWYXTMLSVRXDU-VHSXEESVSA-N 0 0 289.291 2.927 20 5 CFBDRN COc1cccc(C2(CNc3ccncc3[N+](=O)[O-])CC2)c1 ZINC000357535046 130490379 /nfs/dbraw/zinc/49/03/79/130490379.db2.gz OKAGSCQFISGGBE-UHFFFAOYSA-N 0 0 299.330 2.564 20 5 CFBDRN O=C(Nc1nc(C2CC2)c[nH]1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000359370825 130511466 /nfs/dbraw/zinc/51/14/66/130511466.db2.gz JWIBEKQDQPPWDE-UHFFFAOYSA-N 0 0 290.254 2.587 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2[C@@H](C)C2(F)F)ccc1[N+](=O)[O-] ZINC000359420890 130513839 /nfs/dbraw/zinc/51/38/39/130513839.db2.gz LYQQRGNNENUQBC-GMSGAONNSA-N 0 0 270.235 2.743 20 5 CFBDRN COc1ccc(NC(=O)C2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000359714300 130533136 /nfs/dbraw/zinc/53/31/36/130533136.db2.gz JFVQZZDPVKQGFJ-UHFFFAOYSA-N 0 0 286.234 2.587 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCN2CCC[C@@H]2C(F)F)c1 ZINC000353168716 130590229 /nfs/dbraw/zinc/59/02/29/130590229.db2.gz NMZSZFHRRXFGBJ-GFCCVEGCSA-N 0 0 286.278 2.703 20 5 CFBDRN C[C@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCCS1 ZINC000193475401 130625588 /nfs/dbraw/zinc/62/55/88/130625588.db2.gz WPMZKMGDDGXZDY-GFCCVEGCSA-N 0 0 267.354 2.875 20 5 CFBDRN CCCN(C(=O)C[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000194127922 130673248 /nfs/dbraw/zinc/67/32/48/130673248.db2.gz WHDZWPLYSRGTAB-AWEZNQCLSA-N 0 0 292.335 2.907 20 5 CFBDRN CSC1(CNC(=O)c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000194529935 130700649 /nfs/dbraw/zinc/70/06/49/130700649.db2.gz RWWSFEQVGOBKFE-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1ccnc(NCC(C)(C)CCCO)c1[N+](=O)[O-] ZINC000221180171 130782431 /nfs/dbraw/zinc/78/24/31/130782431.db2.gz IAIRLUHNBGFJJG-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN C[C@@H](CO)CCCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000230146957 130892867 /nfs/dbraw/zinc/89/28/67/130892867.db2.gz MXUUYCNCGWGATN-MRVPVSSYSA-N 0 0 274.267 2.694 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC[C@@H]1C ZINC000230259913 130896854 /nfs/dbraw/zinc/89/68/54/130896854.db2.gz ZODUXJBUHCNFMJ-ZUZCIYMTSA-N 0 0 292.335 2.659 20 5 CFBDRN Cc1ccnc(NC[C@H](C)c2nccs2)c1[N+](=O)[O-] ZINC000230607517 130905265 /nfs/dbraw/zinc/90/52/65/130905265.db2.gz GAVQZJWZOQBORA-VIFPVBQESA-N 0 0 278.337 2.970 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCC2CCCC2)nc1 ZINC000230872738 130908725 /nfs/dbraw/zinc/90/87/25/130908725.db2.gz TZUNGKOQMTZWGQ-UHFFFAOYSA-N 0 0 250.302 2.767 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000231346548 130913451 /nfs/dbraw/zinc/91/34/51/130913451.db2.gz HCDCNRMCBJNSIN-GMSGAONNSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2C[C@H](C)[C@@H](C)C2)c1F ZINC000235667685 130952567 /nfs/dbraw/zinc/95/25/67/130952567.db2.gz VWPXOZZVEKUCON-UWVGGRQHSA-N 0 0 280.299 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCCCOCC3CC3)nc2c1 ZINC000236418240 130957325 /nfs/dbraw/zinc/95/73/25/130957325.db2.gz OKSFOELPTRPTPI-UHFFFAOYSA-N 0 0 290.323 2.700 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CC[C@H](C)CC2)n1 ZINC000253141858 131140949 /nfs/dbraw/zinc/14/09/49/131140949.db2.gz XJNAUXRXQATZLO-MGCOHNPYSA-N 0 0 293.323 2.767 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000263654459 131301936 /nfs/dbraw/zinc/30/19/36/131301936.db2.gz BRLPGKLSYSYVIA-VIFPVBQESA-N 0 0 280.349 2.799 20 5 CFBDRN CC(=O)NC1CCC(Nc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000263678998 131307173 /nfs/dbraw/zinc/30/71/73/131307173.db2.gz HXHJJPLYWKDPCT-UHFFFAOYSA-N 0 0 295.314 2.593 20 5 CFBDRN CN(CC(C)(C)C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000263747841 131321556 /nfs/dbraw/zinc/32/15/56/131321556.db2.gz IBBQKMWTZDMRPD-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN CC(=O)NC1CCC(Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000263772978 131327080 /nfs/dbraw/zinc/32/70/80/131327080.db2.gz WZHBBCJAHQEMJZ-UHFFFAOYSA-N 0 0 295.314 2.593 20 5 CFBDRN C[C@@H](Nc1ccccc1[N+](=O)[O-])C(=O)N1CCCC[C@H]1C ZINC000263909254 131358881 /nfs/dbraw/zinc/35/88/81/131358881.db2.gz RSLZGTAHMXWJJQ-VXGBXAGGSA-N 0 0 291.351 2.796 20 5 CFBDRN CC(C)(C)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000264056343 131387248 /nfs/dbraw/zinc/38/72/48/131387248.db2.gz GANLCDQOLIOYMI-UHFFFAOYSA-N 0 0 262.269 2.525 20 5 CFBDRN Cc1cnn(CCCCCn2nc(C)c([N+](=O)[O-])c2C)c1 ZINC000264065250 131388841 /nfs/dbraw/zinc/38/88/41/131388841.db2.gz AUSDHMNRASFGQI-UHFFFAOYSA-N 0 0 291.355 2.784 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ncc([N+](=O)[O-])cc2C)cc1 ZINC000264520424 131465082 /nfs/dbraw/zinc/46/50/82/131465082.db2.gz ZZJPRRARPXKZFW-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN COc1cc(Cl)ccc1CNc1c([N+](=O)[O-])ncn1C ZINC000264566625 131470834 /nfs/dbraw/zinc/47/08/34/131470834.db2.gz GPNXDBFZPITRRK-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN CC1(C)CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000264839724 131510022 /nfs/dbraw/zinc/51/00/22/131510022.db2.gz DBHBYQVVMLMCKF-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1ncsc1CN(C)c1nccc(C)c1[N+](=O)[O-] ZINC000265162198 131556328 /nfs/dbraw/zinc/55/63/28/131556328.db2.gz FBQLYFWYPFSYIF-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000266523962 131744499 /nfs/dbraw/zinc/74/44/99/131744499.db2.gz FKXLGMAXHDEICZ-LLVKDONJSA-N 0 0 266.322 2.737 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCCSCC1 ZINC000266999188 131807381 /nfs/dbraw/zinc/80/73/81/131807381.db2.gz XWUDIJDDAPAIOH-UHFFFAOYSA-N 0 0 282.365 2.685 20 5 CFBDRN COc1cc(NC[C@@]2(C)CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000267070838 131816242 /nfs/dbraw/zinc/81/62/42/131816242.db2.gz IMBRQPJXPXPZTL-LSDHHAIUSA-N 0 0 294.351 2.957 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CS[C@H](C)C(C)=O ZINC000267183633 131831621 /nfs/dbraw/zinc/83/16/21/131831621.db2.gz NIGTZFWTRLHPIE-SECBINFHSA-N 0 0 269.322 2.814 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H](C)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000267742794 131911812 /nfs/dbraw/zinc/91/18/12/131911812.db2.gz WYABVXLCICNZRK-QWRGUYRKSA-N 0 0 262.309 2.631 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C[C@@H]1C ZINC000267792695 131918051 /nfs/dbraw/zinc/91/80/51/131918051.db2.gz SAEZPTCENXOVBK-BRQSLIGTSA-N 0 0 274.320 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[S@](=O)C(C)(C)C)c1 ZINC000268003934 131944081 /nfs/dbraw/zinc/94/40/81/131944081.db2.gz CCZJGHOQLGNQTQ-IBGZPJMESA-N 0 0 284.381 2.862 20 5 CFBDRN Cc1cc(N(C)CCC2CCOCC2)ncc1[N+](=O)[O-] ZINC000268113486 131961007 /nfs/dbraw/zinc/96/10/07/131961007.db2.gz CWLOEEIUEQXMET-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000268167914 131969938 /nfs/dbraw/zinc/96/99/38/131969938.db2.gz SAPXCPBFAOLZSS-SECBINFHSA-N 0 0 297.742 2.800 20 5 CFBDRN COc1cc(N(C)CCC2CCOCC2)ccc1[N+](=O)[O-] ZINC000268187620 131974505 /nfs/dbraw/zinc/97/45/05/131974505.db2.gz UUTBGTKCUBHADV-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000268297104 131991412 /nfs/dbraw/zinc/99/14/12/131991412.db2.gz WTUVPVKCDZZPKI-NSHDSACASA-N 0 0 299.758 2.895 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@H]3OCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000268360205 131998767 /nfs/dbraw/zinc/99/87/67/131998767.db2.gz CGTQIDDGFNBHSH-NQBHXWOUSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1nc(C)c(CNc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000268437433 132009201 /nfs/dbraw/zinc/00/92/01/132009201.db2.gz CEVWZTPJAPZKKS-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN Cc1nc(N2CC[C@H](OCC(C)C)C2)ccc1[N+](=O)[O-] ZINC000268494478 132016773 /nfs/dbraw/zinc/01/67/73/132016773.db2.gz ORPPXSKMPIOGPY-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN CCc1ccc(CCn2cc([N+](=O)[O-])c(C)cc2=O)cc1 ZINC000268500368 132018129 /nfs/dbraw/zinc/01/81/29/132018129.db2.gz RUEUSZCAMLEIEW-UHFFFAOYSA-N 0 0 286.331 2.870 20 5 CFBDRN Cc1nc(NCC[C@H](O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000268512167 132019948 /nfs/dbraw/zinc/01/99/48/132019948.db2.gz SEMICKGHMFBUHV-AWEZNQCLSA-N 0 0 287.319 2.834 20 5 CFBDRN CCC(=O)N1CC[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000268536815 132023990 /nfs/dbraw/zinc/02/39/90/132023990.db2.gz JOYXNPAXVHOJQR-JTQLQIEISA-N 0 0 297.742 2.671 20 5 CFBDRN CC(C)CCCNC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269312025 132153697 /nfs/dbraw/zinc/15/36/97/132153697.db2.gz AHKYRYCSLOPQPK-UHFFFAOYSA-N 0 0 282.344 2.607 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269368289 132160851 /nfs/dbraw/zinc/16/08/51/132160851.db2.gz LJMHBNBRTYLSJJ-JTQLQIEISA-N 0 0 296.371 2.996 20 5 CFBDRN Cc1cnc(N2CCc3ccc([N+](=O)[O-])cc3C2)nc1C ZINC000301914514 393482044 /nfs/dbraw/zinc/48/20/44/393482044.db2.gz UVYCIHRHTHUEPL-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000298670344 393456344 /nfs/dbraw/zinc/45/63/44/393456344.db2.gz GVINQBKFPDAWNA-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000299315746 393461093 /nfs/dbraw/zinc/46/10/93/393461093.db2.gz WSHMCUVFGZMSHO-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H](C)C1 ZINC000299686146 393462912 /nfs/dbraw/zinc/46/29/12/393462912.db2.gz XXEUMWOYLDXGKH-SNVBAGLBSA-N 0 0 262.309 2.775 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)[C@@H]1C ZINC000301949899 393483804 /nfs/dbraw/zinc/48/38/04/393483804.db2.gz ZIGBRVDXROAFHH-MXWKQRLJSA-N 0 0 289.339 2.874 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCCC[C@@H](CO)C1 ZINC000374107279 393483983 /nfs/dbraw/zinc/48/39/83/393483983.db2.gz NPLVAWPLTJQPJR-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN CCc1cc(N2CCc3c(cccc3[N+](=O)[O-])C2)nc(C)n1 ZINC000302112870 393488909 /nfs/dbraw/zinc/48/89/09/393488909.db2.gz VBHQVGHYVQQDDO-UHFFFAOYSA-N 0 0 298.346 2.818 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000025130745 393491988 /nfs/dbraw/zinc/49/19/88/393491988.db2.gz WCFGUIVAFZIUFR-UWVGGRQHSA-N 0 0 282.365 2.990 20 5 CFBDRN COc1ccc(C(=O)NCCC(C)(C)C)cc1[N+](=O)[O-] ZINC000027366659 393497727 /nfs/dbraw/zinc/49/77/27/393497727.db2.gz OLVYFBWATYLXFN-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC2(CCOCC2)[C@@H]1C ZINC000374935877 393503343 /nfs/dbraw/zinc/50/33/43/393503343.db2.gz LIDSIONZIRXEMR-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1c(Br)cncc1[N+](=O)[O-] ZINC000309210498 393515732 /nfs/dbraw/zinc/51/57/32/393515732.db2.gz NQZSPIZKXAAYRH-SVRRBLITSA-N 0 0 286.129 2.963 20 5 CFBDRN CCc1cc(NC(=O)Nc2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000183341129 393518478 /nfs/dbraw/zinc/51/84/78/393518478.db2.gz NXDZJYQXGBWWAH-UHFFFAOYSA-N 0 0 275.268 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCOC[C@H]1C1CC1 ZINC000183366136 393519893 /nfs/dbraw/zinc/51/98/93/393519893.db2.gz MDVKPUGBTOTXSC-HNNXBMFYSA-N 0 0 276.336 2.514 20 5 CFBDRN Cc1cc(N2CC[C@@]3(C2)CCCOC3)ccc1[N+](=O)[O-] ZINC000376482049 393521080 /nfs/dbraw/zinc/52/10/80/393521080.db2.gz ZUCOVFUFHJRTJO-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN Cc1ccc(CNCc2cccc([N+](=O)[O-])c2)nc1C ZINC000313860717 393524405 /nfs/dbraw/zinc/52/44/05/393524405.db2.gz VZAQXNRBSDADFS-UHFFFAOYSA-N 0 0 271.320 2.896 20 5 CFBDRN Cc1cncn1C1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000376743625 393524741 /nfs/dbraw/zinc/52/47/41/393524741.db2.gz XEDAKJNBDHRYDI-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000314252500 393525550 /nfs/dbraw/zinc/52/55/50/393525550.db2.gz USEAIAKRNOLCKS-CMPLNLGQSA-N 0 0 287.319 2.980 20 5 CFBDRN CCCNC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1F ZINC000185154518 393531287 /nfs/dbraw/zinc/53/12/87/393531287.db2.gz SSDDDAQCMFOPKG-QMMMGPOBSA-N 0 0 286.328 2.741 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000187406189 393553556 /nfs/dbraw/zinc/55/35/56/393553556.db2.gz MAXCJMRHNYQFTJ-BXUZGUMPSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1c(NC(=O)NC2CCCC2)cccc1[N+](=O)[O-] ZINC000043348237 393559016 /nfs/dbraw/zinc/55/90/16/393559016.db2.gz ZJIYBTQJIWDKMH-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN C[C@H](c1cccnc1)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000332540913 393561688 /nfs/dbraw/zinc/56/16/88/393561688.db2.gz XOOKEFLYAZRLGN-LLVKDONJSA-N 0 0 284.319 2.504 20 5 CFBDRN Cc1cc(C(=O)NCc2ccccc2[N+](=O)[O-])c(C)o1 ZINC000048825079 393604603 /nfs/dbraw/zinc/60/46/03/393604603.db2.gz UWERISWPLSLIIF-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCC[C@@H]1CO ZINC000048865394 393606031 /nfs/dbraw/zinc/60/60/31/393606031.db2.gz QBFBQUNBPFJYDH-SECBINFHSA-N 0 0 290.241 2.575 20 5 CFBDRN Cc1c(NC(=O)N(C)CC2CC2)cccc1[N+](=O)[O-] ZINC000047345999 393586292 /nfs/dbraw/zinc/58/62/92/393586292.db2.gz NIGPHYGPSZEHJM-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN O=C(NC1CCCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411168912 393678881 /nfs/dbraw/zinc/67/88/81/393678881.db2.gz QZSQWEQNFWSRRG-UONOGXRCSA-N 0 0 274.320 2.757 20 5 CFBDRN CCOC(=O)[C@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000050086145 393615902 /nfs/dbraw/zinc/61/59/02/393615902.db2.gz OJKLJRUHROBYIL-LLVKDONJSA-N 0 0 298.726 2.780 20 5 CFBDRN COc1ccc(F)cc1CNc1ncc([N+](=O)[O-])s1 ZINC000192539155 393625415 /nfs/dbraw/zinc/62/54/15/393625415.db2.gz QSMNNCDZKSZODJ-UHFFFAOYSA-N 0 0 283.284 2.811 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@]2(CCCOC2)C1 ZINC000408299854 393625906 /nfs/dbraw/zinc/62/59/06/393625906.db2.gz DYEJRXRPYFQATI-OAHLLOKOSA-N 0 0 291.351 2.695 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC(C(C)C)CC1 ZINC000192628220 393629305 /nfs/dbraw/zinc/62/93/05/393629305.db2.gz KONZFELDFCZVDI-UHFFFAOYSA-N 0 0 280.372 2.992 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)C1 ZINC000410614595 393632416 /nfs/dbraw/zinc/63/24/16/393632416.db2.gz KQUMMSMJRZJERA-RISCZKNCSA-N 0 0 292.335 2.763 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)C1 ZINC000410614594 393632859 /nfs/dbraw/zinc/63/28/59/393632859.db2.gz KQUMMSMJRZJERA-FZMZJTMJSA-N 0 0 292.335 2.763 20 5 CFBDRN COCC1(C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCCC1 ZINC000410612955 393632928 /nfs/dbraw/zinc/63/29/28/393632928.db2.gz BYWORTZQZFYNQM-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000070360404 393638204 /nfs/dbraw/zinc/63/82/04/393638204.db2.gz MTBHTOAYQHQKQE-ZYHUDNBSSA-N 0 0 250.298 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSc2ncccn2)cc1 ZINC000193216031 393645548 /nfs/dbraw/zinc/64/55/48/393645548.db2.gz TUDNGJVUCXIFPF-UHFFFAOYSA-N 0 0 261.306 2.720 20 5 CFBDRN CCNc1ccc(C(=O)N2CCC[C@@H]2CF)cc1[N+](=O)[O-] ZINC000410988589 393648287 /nfs/dbraw/zinc/64/82/87/393648287.db2.gz CXWVXNDSLPXMBD-LLVKDONJSA-N 0 0 295.314 2.601 20 5 CFBDRN Cc1c(C(=O)N2C[C@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000335164848 393648678 /nfs/dbraw/zinc/64/86/78/393648678.db2.gz BXGWPQAOUCHXBL-NXEZZACHSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@@H](C)S1 ZINC000335340935 393661821 /nfs/dbraw/zinc/66/18/21/393661821.db2.gz UWKCOVOVMDVMEC-NXEZZACHSA-N 0 0 295.364 2.953 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)n(C)n1 ZINC000193722923 393663082 /nfs/dbraw/zinc/66/30/82/393663082.db2.gz KRDOEIMDPLTCFX-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN COc1cccc(C(=O)NCc2ccccc2)c1[N+](=O)[O-] ZINC000193752195 393663115 /nfs/dbraw/zinc/66/31/15/393663115.db2.gz RTMZMDGQHXWXQY-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN COc1cccc(C(=O)N(C)c2ccccc2)c1[N+](=O)[O-] ZINC000193867444 393666700 /nfs/dbraw/zinc/66/67/00/393666700.db2.gz KDYLRLPBLAKEIH-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN CCN(CC(C)C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000193899777 393666730 /nfs/dbraw/zinc/66/67/30/393666730.db2.gz SDTXWBKIICSVKT-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(C)(C)C1 ZINC000081350608 393733235 /nfs/dbraw/zinc/73/32/35/393733235.db2.gz GBGGNSWRWJGVRN-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CC(C)(C)[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000336406276 393733747 /nfs/dbraw/zinc/73/37/47/393733747.db2.gz FDFMNNBVGABFGD-LLVKDONJSA-N 0 0 265.313 2.574 20 5 CFBDRN COc1cc(C(=O)N(C)CCC(C)C)ccc1[N+](=O)[O-] ZINC000195269183 393736641 /nfs/dbraw/zinc/73/66/41/393736641.db2.gz BARZDWKKYXHXGY-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCOCC(C)C ZINC000195338891 393738837 /nfs/dbraw/zinc/73/88/37/393738837.db2.gz LLKGKULMPINYIG-UHFFFAOYSA-N 0 0 284.360 2.594 20 5 CFBDRN O=C(NCC1CCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000195493963 393741663 /nfs/dbraw/zinc/74/16/63/393741663.db2.gz GGVHDEHYEKFGEX-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN CCN(C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C(C)C ZINC000195497630 393741957 /nfs/dbraw/zinc/74/19/57/393741957.db2.gz GMNAVDTUCLQJDW-UHFFFAOYSA-N 0 0 275.308 2.947 20 5 CFBDRN COc1cc(Nc2ncc([N+](=O)[O-])s2)cc(OC)c1 ZINC000195505247 393743105 /nfs/dbraw/zinc/74/31/05/393743105.db2.gz TYJZWVGKDBFRTD-UHFFFAOYSA-N 0 0 281.293 2.812 20 5 CFBDRN Cc1cccnc1CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000209837690 393752928 /nfs/dbraw/zinc/75/29/28/393752928.db2.gz IUNKDFVOCURFFO-TWGQIWQCSA-N 0 0 297.314 2.628 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000194469814 393697994 /nfs/dbraw/zinc/69/79/94/393697994.db2.gz GVNYXBMUPHOQTA-WDEREUQCSA-N 0 0 279.340 2.829 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000194469842 393698380 /nfs/dbraw/zinc/69/83/80/393698380.db2.gz GVNYXBMUPHOQTA-QWRGUYRKSA-N 0 0 279.340 2.829 20 5 CFBDRN O=C(c1ccsc1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231609 393760344 /nfs/dbraw/zinc/76/03/44/393760344.db2.gz GVDYHPCFJKLRFN-UHFFFAOYSA-N 0 0 288.328 2.855 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000194867378 393721010 /nfs/dbraw/zinc/72/10/10/393721010.db2.gz GUCUGSLBACUTJS-JTQLQIEISA-N 0 0 280.324 2.912 20 5 CFBDRN CCN(C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000411526599 393722627 /nfs/dbraw/zinc/72/26/27/393722627.db2.gz OQGWNWLVJXJUGZ-UONOGXRCSA-N 0 0 276.336 2.955 20 5 CFBDRN CN(C(=O)Cc1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000194910950 393723157 /nfs/dbraw/zinc/72/31/57/393723157.db2.gz NWGPJGVLPKVKQH-UHFFFAOYSA-N 0 0 270.288 2.800 20 5 CFBDRN COc1cc(C)nc(CN2CCc3ccc([N+](=O)[O-])cc32)c1 ZINC000411537208 393723936 /nfs/dbraw/zinc/72/39/36/393723936.db2.gz RPHVJTIMIPYFKT-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000080110822 393724161 /nfs/dbraw/zinc/72/41/61/393724161.db2.gz AZFIQLMGVSHYIQ-JTQLQIEISA-N 0 0 264.256 2.572 20 5 CFBDRN CC(C)(C)OCCN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088605459 393763603 /nfs/dbraw/zinc/76/36/03/393763603.db2.gz PVEAAOCDCOWBRV-UHFFFAOYSA-N 0 0 278.352 2.768 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1cccc(F)c1 ZINC000089835910 393771377 /nfs/dbraw/zinc/77/13/77/393771377.db2.gz LNANKJONLQBJHL-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CCC[C@H](O)C2)c1[N+](=O)[O-] ZINC000231037537 393779956 /nfs/dbraw/zinc/77/99/56/393779956.db2.gz LHDOXRAKPXTOJW-NEPJUHHUSA-N 0 0 294.351 2.957 20 5 CFBDRN C[C@H]1C[C@@H](Nc2nc3ccccc3cc2[N+](=O)[O-])CS1 ZINC000413522977 393785301 /nfs/dbraw/zinc/78/53/01/393785301.db2.gz SUBWNECIFCMKLT-GXSJLCMTSA-N 0 0 289.360 2.871 20 5 CFBDRN C[C@@H]1[C@@H](CO)CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000413586841 393786049 /nfs/dbraw/zinc/78/60/49/393786049.db2.gz DVZOHDNCWZVPSW-NXEZZACHSA-N 0 0 284.743 2.845 20 5 CFBDRN O=C(NC1(C(F)F)CC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000413787685 393789140 /nfs/dbraw/zinc/78/91/40/393789140.db2.gz GOHKBNIQNPKBQM-WDEREUQCSA-N 0 0 296.273 2.612 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000416076403 393865186 /nfs/dbraw/zinc/86/51/86/393865186.db2.gz HEPZLGRJJIINOB-VWYCJHECSA-N 0 0 262.309 2.711 20 5 CFBDRN CN(C(=O)c1n[nH]cc1[N+](=O)[O-])[C@@H]1CCC[C@H]1C(C)(C)C ZINC000416296112 393898580 /nfs/dbraw/zinc/89/85/80/393898580.db2.gz UYNMWNKYTAYIIB-NXEZZACHSA-N 0 0 294.355 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cnc3ccccc3n2)cc1CO ZINC000428658914 393903530 /nfs/dbraw/zinc/90/35/30/393903530.db2.gz FUGXMUVYDYXEQG-UHFFFAOYSA-N 0 0 297.270 2.823 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000458100218 393846886 /nfs/dbraw/zinc/84/68/86/393846886.db2.gz KPSWHHSSYRZXEC-UKRRQHHQSA-N 0 0 276.336 2.688 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000458100217 393847055 /nfs/dbraw/zinc/84/70/55/393847055.db2.gz KPSWHHSSYRZXEC-HIFRSBDPSA-N 0 0 276.336 2.688 20 5 CFBDRN COc1c(C(=O)N[C@H]2C[C@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000458103953 393847378 /nfs/dbraw/zinc/84/73/78/393847378.db2.gz CRIHROHJHCHUBV-SWLSCSKDSA-N 0 0 292.335 2.768 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000458117093 393850713 /nfs/dbraw/zinc/85/07/13/393850713.db2.gz SYJBDRJUXCSWJL-JSGCOSHPSA-N 0 0 280.299 2.898 20 5 CFBDRN CO[C@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])CC(C)C ZINC000416039718 393852144 /nfs/dbraw/zinc/85/21/44/393852144.db2.gz GINXBCLVFVPAGT-LBPRGKRZSA-N 0 0 294.351 2.694 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000416040156 393852455 /nfs/dbraw/zinc/85/24/55/393852455.db2.gz BDVXUDLYXCXDDF-ZJUUUORDSA-N 0 0 292.310 2.754 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000458136745 393856300 /nfs/dbraw/zinc/85/63/00/393856300.db2.gz JFGFPYCRVILEFP-HIFRSBDPSA-N 0 0 276.336 2.688 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000458151568 393860098 /nfs/dbraw/zinc/86/00/98/393860098.db2.gz QRGQJFJUMZOFPA-JSGCOSHPSA-N 0 0 262.309 2.759 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)C[C@@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000424593492 393861305 /nfs/dbraw/zinc/86/13/05/393861305.db2.gz CPEXAKPAAIHZLJ-LJUAHTATSA-N 0 0 266.272 2.520 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@H]2OC)c(F)cc1[N+](=O)[O-] ZINC000119814713 393925951 /nfs/dbraw/zinc/92/59/51/393925951.db2.gz JNCYTJJVIOOYCG-BXKDBHETSA-N 0 0 284.287 2.722 20 5 CFBDRN C[C@H]1C[C@@H](O)CCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000429117013 393940143 /nfs/dbraw/zinc/94/01/43/393940143.db2.gz OQUCCSZLHRQCOJ-UWVGGRQHSA-N 0 0 284.743 2.593 20 5 CFBDRN COc1c(C(=O)N(C)c2ccccc2)cccc1[N+](=O)[O-] ZINC000474090005 393940517 /nfs/dbraw/zinc/94/05/17/393940517.db2.gz QQEMDDFBIOYJJR-UHFFFAOYSA-N 0 0 286.287 2.880 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000429258579 393949706 /nfs/dbraw/zinc/94/97/06/393949706.db2.gz HUXXUCUOYHDKGX-AAEUAGOBSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1nc(NC/C=C\c2ccccc2)ccc1[N+](=O)[O-] ZINC000477136211 393964600 /nfs/dbraw/zinc/96/46/00/393964600.db2.gz RUBYPYJVTCIKMX-YVMONPNESA-N 0 0 269.304 2.845 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC23CCCC3)ccc1[N+](=O)[O-] ZINC000430212778 393968638 /nfs/dbraw/zinc/96/86/38/393968638.db2.gz PUWFSTLUTKMJRG-ZDUSSCGKSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1CC12CCCC2 ZINC000430225016 393970559 /nfs/dbraw/zinc/97/05/59/393970559.db2.gz IMGHHFFCYLXDGK-ZDUSSCGKSA-N 0 0 274.320 2.586 20 5 CFBDRN CC(C)[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000487076723 393982377 /nfs/dbraw/zinc/98/23/77/393982377.db2.gz SRZFKWKDEMFEEQ-GHMZBOCLSA-N 0 0 279.340 2.868 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000266925523 393984784 /nfs/dbraw/zinc/98/47/84/393984784.db2.gz ZGWADJDDIJHIPS-QJPTWQEYSA-N 0 0 293.367 2.549 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430410980 393985881 /nfs/dbraw/zinc/98/58/81/393985881.db2.gz DEADRWLREWXZLR-JQWIXIFHSA-N 0 0 294.351 2.833 20 5 CFBDRN CNc1c(C(=O)N(C)[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000430450427 393987436 /nfs/dbraw/zinc/98/74/36/393987436.db2.gz AWNCASQHZUCNFB-GHMZBOCLSA-N 0 0 291.351 2.897 20 5 CFBDRN Cc1cccnc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000430526348 393991120 /nfs/dbraw/zinc/99/11/20/393991120.db2.gz RWOPSYJHBLLKEI-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@@H]3CCC[C@@H]3C2)nc2sccn21 ZINC000268209830 296496779 /nfs/dbraw/zinc/49/67/79/296496779.db2.gz IARLOBZUHZYFOE-DTORHVGOSA-N 0 0 278.337 2.540 20 5 CFBDRN CN(CC(F)(F)F)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000124411048 393994702 /nfs/dbraw/zinc/99/47/02/393994702.db2.gz TVZPUNBLBICUGA-AATRIKPKSA-N 0 0 288.225 2.629 20 5 CFBDRN Cc1cc(OCC(=O)N(C)CC2CCC2)ccc1[N+](=O)[O-] ZINC000430582088 393996807 /nfs/dbraw/zinc/99/68/07/393996807.db2.gz JVVBDWSIRVLZJP-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCc1ncc(C2CC2)o1 ZINC000270443764 394012568 /nfs/dbraw/zinc/01/25/68/394012568.db2.gz GONOJZYXELVJPW-UHFFFAOYSA-N 0 0 288.259 2.852 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1cccc(O)c1 ZINC000436998292 394041573 /nfs/dbraw/zinc/04/15/73/394041573.db2.gz ITDMORCGJHUMJG-UHFFFAOYSA-N 0 0 295.298 2.883 20 5 CFBDRN Cc1cnc(N2CCC([C@H]3CCCO3)CC2)c([N+](=O)[O-])c1 ZINC000276094830 394048267 /nfs/dbraw/zinc/04/82/67/394048267.db2.gz VUHIOEFNJQNOLS-CQSZACIVSA-N 0 0 291.351 2.694 20 5 CFBDRN CCOC(CCNc1cc(OC)ccc1[N+](=O)[O-])OCC ZINC000276260344 394048734 /nfs/dbraw/zinc/04/87/34/394048734.db2.gz UBURNNZERHGHGD-UHFFFAOYSA-N 0 0 298.339 2.805 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)C[C@@H]1c1ccccc1 ZINC000355008815 394054889 /nfs/dbraw/zinc/05/48/89/394054889.db2.gz ZEAHVGACMBHGSE-WBMJQRKESA-N 0 0 299.330 2.847 20 5 CFBDRN C[C@H]1CCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000277781808 394058236 /nfs/dbraw/zinc/05/82/36/394058236.db2.gz MMNSCKUUOORYEJ-VIFPVBQESA-N 0 0 260.297 2.707 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])NCCCC1CC1 ZINC000127867149 394058600 /nfs/dbraw/zinc/05/86/00/394058600.db2.gz RYRPIZXKPMUGBC-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000279617458 394067377 /nfs/dbraw/zinc/06/73/77/394067377.db2.gz YMLUMFSRJJQPQI-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)cc1O ZINC000438750245 394080850 /nfs/dbraw/zinc/08/08/50/394080850.db2.gz AKVZSQJVWTZYIK-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)c1cccnc1C ZINC000439228099 394105711 /nfs/dbraw/zinc/10/57/11/394105711.db2.gz VBQNJLMMFRBUSI-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H]2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000281976031 394104566 /nfs/dbraw/zinc/10/45/66/394104566.db2.gz MBAVVMAJYFCURP-MFKMUULPSA-N 0 0 292.335 2.587 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000281908085 394092181 /nfs/dbraw/zinc/09/21/81/394092181.db2.gz XYPAVUHZEAAJMD-ZWNOBZJWSA-N 0 0 276.336 2.787 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c([O-])c1)N1CCCc2ccccc21 ZINC000129996977 394098932 /nfs/dbraw/zinc/09/89/32/394098932.db2.gz JLEADHMXVGHGEI-UHFFFAOYSA-N 0 0 298.298 2.893 20 5 CFBDRN Cc1nn(C)c(N[C@@H](C)c2ccc(Cl)cn2)c1[N+](=O)[O-] ZINC000439115122 394099870 /nfs/dbraw/zinc/09/98/70/394099870.db2.gz CZVDBQJLTJMKRP-ZETCQYMHSA-N 0 0 295.730 2.858 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@H]2CCC[C@@H]21 ZINC000273504993 394034726 /nfs/dbraw/zinc/03/47/26/394034726.db2.gz ZLLUKFCTVHLOGG-GJZGRUSLSA-N 0 0 276.336 2.657 20 5 CFBDRN Cc1cnc(OCCN2CCc3ccccc32)c([N+](=O)[O-])c1 ZINC000488268658 394036312 /nfs/dbraw/zinc/03/63/12/394036312.db2.gz SOKBLANAPAQRMA-UHFFFAOYSA-N 0 0 299.330 2.740 20 5 CFBDRN CN(Cc1cccc2[nH]ccc21)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000492954176 394107393 /nfs/dbraw/zinc/10/73/93/394107393.db2.gz AVNIRSVOFKMZAC-UHFFFAOYSA-N 0 0 298.302 2.676 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2cccc(C)c2[N+](=O)[O-])[C@H](C)C1 ZINC000281998587 394107993 /nfs/dbraw/zinc/10/79/93/394107993.db2.gz XSBCEBFYPZIFAN-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(O)c2)c1 ZINC000133581938 394179182 /nfs/dbraw/zinc/17/91/82/394179182.db2.gz URWNQAZTIUTOJW-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CCc1oc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1C ZINC000439284173 394110304 /nfs/dbraw/zinc/11/03/04/394110304.db2.gz HKPUYVOLIPGTNN-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN Cc1cc(C)cc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000439293416 394110849 /nfs/dbraw/zinc/11/08/49/394110849.db2.gz FTRTVZREZSOGIS-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCCN(C(=O)COc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000282035850 394113544 /nfs/dbraw/zinc/11/35/44/394113544.db2.gz RVVIGXVGKLNMGH-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN Cc1nnc(COc2cccc([N+](=O)[O-])c2C)n1C1CC1 ZINC000130650330 394115946 /nfs/dbraw/zinc/11/59/46/394115946.db2.gz DEGWXYIUYHHCMQ-UHFFFAOYSA-N 0 0 288.307 2.717 20 5 CFBDRN COc1ccc(OCC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000358965225 394117391 /nfs/dbraw/zinc/11/73/91/394117391.db2.gz MNCWLGTYXPXCTA-LLVKDONJSA-N 0 0 281.308 2.941 20 5 CFBDRN COc1ccc(CNc2ncccc2C)cc1[N+](=O)[O-] ZINC000130998151 394123174 /nfs/dbraw/zinc/12/31/74/394123174.db2.gz JHFFEZIJWGLBJH-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2cccc3c2OCO3)nc1 ZINC000439528673 394123406 /nfs/dbraw/zinc/12/34/06/394123406.db2.gz VWHQAHLQRLLKKS-PLNGDYQASA-N 0 0 270.244 2.889 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2c3ccccc3C[C@H]2O)n1 ZINC000359084298 394123960 /nfs/dbraw/zinc/12/39/60/394123960.db2.gz QDYXQZHUTDUIRC-ZIAGYGMSSA-N 0 0 299.330 2.677 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C/[C@H]1CCOC1 ZINC000493017215 394124938 /nfs/dbraw/zinc/12/49/38/394124938.db2.gz ICASVAVAJAFQFN-FUEXJSSKSA-N 0 0 290.319 2.743 20 5 CFBDRN CC(C)[C@H](SCc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C ZINC000131076465 394125368 /nfs/dbraw/zinc/12/53/68/394125368.db2.gz NTXXCNPLTUNTKO-ZDUSSCGKSA-N 0 0 296.392 2.941 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000439710847 394127421 /nfs/dbraw/zinc/12/74/21/394127421.db2.gz PBGNYHGCBBWJQT-RKDXNWHRSA-N 0 0 298.368 2.513 20 5 CFBDRN CC(C)C1(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)CC1 ZINC000493022962 394127446 /nfs/dbraw/zinc/12/74/46/394127446.db2.gz LCAPPERKNZJSEK-SREVYHEPSA-N 0 0 274.320 2.913 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C)CC2)c1 ZINC000283150596 394130433 /nfs/dbraw/zinc/13/04/33/394130433.db2.gz BUWFVKSTAHBQIM-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000493040238 394131330 /nfs/dbraw/zinc/13/13/30/394131330.db2.gz QMSWRHSYEBTJDK-APEFCHNQSA-N 0 0 272.304 2.523 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC1CCOCC1 ZINC000131436341 394133485 /nfs/dbraw/zinc/13/34/85/394133485.db2.gz WLZCURAORXNOLV-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN O=C(NCCC1=CCCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000131499730 394134722 /nfs/dbraw/zinc/13/47/22/394134722.db2.gz FLKILVBBWBVIRH-UHFFFAOYSA-N 0 0 278.283 2.964 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CCC(CF)CC1 ZINC000493064638 394138250 /nfs/dbraw/zinc/13/82/50/394138250.db2.gz OJNXUZQVALQKFO-PLNGDYQASA-N 0 0 292.310 2.816 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)C[C@H](CC)O1 ZINC000420961450 394141436 /nfs/dbraw/zinc/14/14/36/394141436.db2.gz SIKHIXLHLZRFFB-GASCZTMLSA-N 0 0 278.352 2.984 20 5 CFBDRN CC(C)=CCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493223126 394184003 /nfs/dbraw/zinc/18/40/03/394184003.db2.gz BXPJSXYWFGAAMX-YVMONPNESA-N 0 0 260.293 2.690 20 5 CFBDRN CN(Cc1cscn1)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000285904383 394164436 /nfs/dbraw/zinc/16/44/36/394164436.db2.gz HCGKNTQHBVGPJE-UHFFFAOYSA-N 0 0 299.302 2.962 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2nn(C)cc2Cl)n1 ZINC000421220592 394183069 /nfs/dbraw/zinc/18/30/69/394183069.db2.gz WWFNCPCJFKVUGG-UHFFFAOYSA-N 0 0 296.714 2.573 20 5 CFBDRN C[C@@H](Nc1ncnc2sc([N+](=O)[O-])cc21)C1CC1 ZINC000285967652 394172895 /nfs/dbraw/zinc/17/28/95/394172895.db2.gz AWZLBZBFNWYFAE-ZCFIWIBFSA-N 0 0 264.310 2.810 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H]1[C@@H]1CCCO1 ZINC000286506661 394197680 /nfs/dbraw/zinc/19/76/80/394197680.db2.gz QTNOOOVGRNPSKY-JSGCOSHPSA-N 0 0 280.299 2.882 20 5 CFBDRN CCOC1CC(c2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000287457483 394205008 /nfs/dbraw/zinc/20/50/08/394205008.db2.gz VNBNGDYMWNTHGY-UHFFFAOYSA-N 0 0 289.291 2.927 20 5 CFBDRN C[C@H]1CCCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000288203086 394211714 /nfs/dbraw/zinc/21/17/14/394211714.db2.gz CQZUTVRCTXUWOV-ZETCQYMHSA-N 0 0 264.310 2.588 20 5 CFBDRN Cn1ccc(/C=C/C(=O)Nc2cc([N+](=O)[O-])ccc2F)c1 ZINC000493328391 394212888 /nfs/dbraw/zinc/21/28/88/394212888.db2.gz VRZRBKVDKPHSAU-GORDUTHDSA-N 0 0 289.266 2.724 20 5 CFBDRN CC[C@H](C)CONC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000291694535 394257309 /nfs/dbraw/zinc/25/73/09/394257309.db2.gz DUNGHYOQBAEHMM-VIFPVBQESA-N 0 0 267.285 2.694 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CCC[C@H]1CF ZINC000493389030 394228605 /nfs/dbraw/zinc/22/86/05/394228605.db2.gz PIODPTVRIPVCBO-YBJDMEARSA-N 0 0 278.283 2.569 20 5 CFBDRN CCC(=O)c1ccc(N2CCOCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000365581943 394232136 /nfs/dbraw/zinc/23/21/36/394232136.db2.gz SBJUMAZQRIUTPI-LLVKDONJSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1cc(N2CC(C)(C)[C@H]2C2CC2)ncc1[N+](=O)[O-] ZINC000290779087 394233983 /nfs/dbraw/zinc/23/39/83/394233983.db2.gz WFFXRVYSHSCUNJ-CYBMUJFWSA-N 0 0 261.325 2.923 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000493406114 394234726 /nfs/dbraw/zinc/23/47/26/394234726.db2.gz YYJABTODYYPDOV-OGNWTJLVSA-N 0 0 272.304 2.523 20 5 CFBDRN Cc1cnc(N2CC[C@]3(C)[C@H](C2)C3(F)F)c([N+](=O)[O-])c1 ZINC000291781467 394258499 /nfs/dbraw/zinc/25/84/99/394258499.db2.gz CPAAWEHDKMWTQQ-CMPLNLGQSA-N 0 0 283.278 2.780 20 5 CFBDRN Cc1cnc(N2CCC(F)(F)[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000291083001 394237210 /nfs/dbraw/zinc/23/72/10/394237210.db2.gz IJAKWCPTNSBQFV-SECBINFHSA-N 0 0 271.267 2.780 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CCc2cc(F)ccc21 ZINC000340156860 296497419 /nfs/dbraw/zinc/49/74/19/296497419.db2.gz OYNJUMBDAMOYMI-GFCCVEGCSA-N 0 0 273.267 2.650 20 5 CFBDRN Cc1cc(C2CC2)nc(NC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000291620087 394248210 /nfs/dbraw/zinc/24/82/10/394248210.db2.gz NBPINRFEEJKNJB-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000493459360 394249141 /nfs/dbraw/zinc/24/91/41/394249141.db2.gz VVYLUENJMKKIKP-FLOXNTQESA-N 0 0 284.262 2.768 20 5 CFBDRN C[C@@](O)(CNc1cc(Cl)ccc1[N+](=O)[O-])C(F)(F)F ZINC000286088240 394189929 /nfs/dbraw/zinc/18/99/29/394189929.db2.gz CCISEULFFZWJMH-SECBINFHSA-N 0 0 298.648 2.973 20 5 CFBDRN CS[C@@H]1CCCCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000286401083 394196347 /nfs/dbraw/zinc/19/63/47/394196347.db2.gz ZOFMQCOJSAYGSH-LLVKDONJSA-N 0 0 281.381 2.899 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ccccc2F)c1 ZINC000152160772 394272248 /nfs/dbraw/zinc/27/22/48/394272248.db2.gz DIFOUQIYOLSMFP-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CCCOCC2)cc1[N+](=O)[O-] ZINC000369923033 394282697 /nfs/dbraw/zinc/28/26/97/394282697.db2.gz JFHSDTRSYALQHZ-UHFFFAOYSA-N 0 0 292.335 2.581 20 5 CFBDRN CCC1(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])CC1 ZINC000493584765 394282935 /nfs/dbraw/zinc/28/29/35/394282935.db2.gz MCJPEFXKGOSYAU-FPLPWBNLSA-N 0 0 260.293 2.667 20 5 CFBDRN CCO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(OC)c2)C[C@H]1C ZINC000450744394 394332861 /nfs/dbraw/zinc/33/28/61/394332861.db2.gz MLURSXYFZFUNBQ-BXUZGUMPSA-N 0 0 294.351 2.855 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153752119 394291252 /nfs/dbraw/zinc/29/12/52/394291252.db2.gz GDYGTPAPTBPMMF-LLVKDONJSA-N 0 0 287.747 2.852 20 5 CFBDRN Cc1cc(NC(=O)NC2(C)CCC2)ccc1[N+](=O)[O-] ZINC000153776002 394292520 /nfs/dbraw/zinc/29/25/20/394292520.db2.gz ZDLPHEWNASVXLK-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@H]1CCc2ccccc21 ZINC000294435652 394292669 /nfs/dbraw/zinc/29/26/69/394292669.db2.gz MLNVNKYVAOBPKM-AWEZNQCLSA-N 0 0 290.363 2.968 20 5 CFBDRN Cc1nc(N[C@@H]2CCCO[C@@H]2CC(C)C)ncc1[N+](=O)[O-] ZINC000294825745 394294758 /nfs/dbraw/zinc/29/47/58/394294758.db2.gz AEYJYORLEKKTDG-DGCLKSJQSA-N 0 0 294.355 2.699 20 5 CFBDRN CCO[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000450747106 394333501 /nfs/dbraw/zinc/33/35/01/394333501.db2.gz SKYISQBNPKQVKO-GXFFZTMASA-N 0 0 282.315 2.985 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC[C@@H]1F ZINC000493866975 394333650 /nfs/dbraw/zinc/33/36/50/394333650.db2.gz DXZDZVLQCYJPAS-QDIXBRCLSA-N 0 0 278.283 2.615 20 5 CFBDRN CCO[C@@H]1CCN(c2nccc(C)c2[N+](=O)[O-])C[C@@H]1C ZINC000450745077 394333702 /nfs/dbraw/zinc/33/37/02/394333702.db2.gz ONCROLADZSPUAI-NWDGAFQWSA-N 0 0 279.340 2.550 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H]1C[C@@H](C)CCC1=O ZINC000450609930 394297606 /nfs/dbraw/zinc/29/76/06/394297606.db2.gz FPXQTQGBYXXZFS-TVQRCGJNSA-N 0 0 279.292 2.740 20 5 CFBDRN C[C@H]1CCN(c2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000295518508 394300690 /nfs/dbraw/zinc/30/06/90/394300690.db2.gz MBSABDFWTLFCQN-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN CC(=O)c1ccc(NCC2=CCOCC2)c([N+](=O)[O-])c1 ZINC000450622862 394300955 /nfs/dbraw/zinc/30/09/55/394300955.db2.gz OVTDVOONTARBGI-UHFFFAOYSA-N 0 0 276.292 2.556 20 5 CFBDRN C[C@]1(F)CCCN(Cc2ccccc2[N+](=O)[O-])C1 ZINC000296096061 394306032 /nfs/dbraw/zinc/30/60/32/394306032.db2.gz RNTZCHMPMFJHCB-ZDUSSCGKSA-N 0 0 252.289 2.919 20 5 CFBDRN Cc1nc(N2CC3(CC3)c3ccccc32)ncc1[N+](=O)[O-] ZINC000297210370 394314063 /nfs/dbraw/zinc/31/40/63/394314063.db2.gz RZFUKNOIFCVVQD-UHFFFAOYSA-N 0 0 282.303 2.877 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC[C@@H]1C[C@H]1C1CC1 ZINC000493792227 394317331 /nfs/dbraw/zinc/31/73/31/394317331.db2.gz PNQBNBJOUVPKND-XQYKZARXSA-N 0 0 286.331 2.770 20 5 CFBDRN O=C(C[C@H]1C=CCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156586051 394335427 /nfs/dbraw/zinc/33/54/27/394335427.db2.gz IZLWIWMGIXOYFY-LBPRGKRZSA-N 0 0 286.331 2.836 20 5 CFBDRN CC/C=C/CNc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000450692570 394319314 /nfs/dbraw/zinc/31/93/14/394319314.db2.gz KWCPUNGNTUHJKU-SNAWJCMRSA-N 0 0 278.308 2.688 20 5 CFBDRN CS[C@@H]1CCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000493827543 394325172 /nfs/dbraw/zinc/32/51/72/394325172.db2.gz TULRLTOMWLTQIP-CFHLNLSMSA-N 0 0 292.360 2.572 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])[C@H]1C ZINC000493836983 394327444 /nfs/dbraw/zinc/32/74/44/394327444.db2.gz OBGKGXVFMIBRDD-ZUJXDTADSA-N 0 0 278.283 2.614 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000493846430 394328481 /nfs/dbraw/zinc/32/84/81/394328481.db2.gz BQLBKMFIWBEGQE-UOEVKABGSA-N 0 0 272.304 2.523 20 5 CFBDRN Cc1nc(N[C@H](C(C)C)[C@H](CO)C(C)C)ccc1[N+](=O)[O-] ZINC000450765112 394337313 /nfs/dbraw/zinc/33/73/13/394337313.db2.gz IXEHWRKRGDESPV-IUODEOHRSA-N 0 0 295.383 2.999 20 5 CFBDRN Cc1cc(N[C@@H]([C@@H](CO)C2CC2)C2CC2)ncc1[N+](=O)[O-] ZINC000450775363 394339509 /nfs/dbraw/zinc/33/95/09/394339509.db2.gz KIIQPEWOWUUYJH-SWLSCSKDSA-N 0 0 291.351 2.507 20 5 CFBDRN CCCc1ncc(CNc2c([N+](=O)[O-])nc(C)n2CC)o1 ZINC000450852334 394341627 /nfs/dbraw/zinc/34/16/27/394341627.db2.gz CNHXOJIWURXMJQ-UHFFFAOYSA-N 0 0 293.327 2.672 20 5 CFBDRN C[N@H+](CCc1nccs1)CCc1ccc([N+](=O)[O-])cc1 ZINC000157969103 394352536 /nfs/dbraw/zinc/35/25/36/394352536.db2.gz UMOMHXIQNSVSPQ-UHFFFAOYSA-N 0 0 291.376 2.768 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1cccc(O)c1 ZINC000158619808 394358671 /nfs/dbraw/zinc/35/86/71/394358671.db2.gz ZQRYXZXZBWKJSM-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCCCC(C)C ZINC000494593046 394359147 /nfs/dbraw/zinc/35/91/47/394359147.db2.gz VSOPQCJBXZIBDY-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN COC[C@]1(C)CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000451204328 394368544 /nfs/dbraw/zinc/36/85/44/394368544.db2.gz WLNVXGBUCJNEJA-CQSZACIVSA-N 0 0 282.315 2.592 20 5 CFBDRN COCC1(CCNc2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000516764536 394370152 /nfs/dbraw/zinc/37/01/52/394370152.db2.gz CSTXJCZEIKONHU-UHFFFAOYSA-N 0 0 279.340 2.835 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](OCC(C)C)C1 ZINC000519619628 394372437 /nfs/dbraw/zinc/37/24/37/394372437.db2.gz YGOIYQASAODIGY-GFCCVEGCSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1c(CN(C)c2ccc([N+](=O)[O-])c(C)c2)cnn1C ZINC000519623937 394372618 /nfs/dbraw/zinc/37/26/18/394372618.db2.gz HOGQLAAZPSYLAB-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN COCCCCCNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000174707653 394379526 /nfs/dbraw/zinc/37/95/26/394379526.db2.gz XDYMREVPKFZEFO-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000176537178 394381601 /nfs/dbraw/zinc/38/16/01/394381601.db2.gz UWJOLYDJDBPTKW-ABAIWWIYSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1c(CC(=O)N(C)CCC(C)C)cccc1[N+](=O)[O-] ZINC000178580963 394389318 /nfs/dbraw/zinc/38/93/18/394389318.db2.gz MDPJTNIRUNPJHS-UHFFFAOYSA-N 0 0 278.352 2.950 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)c([N+](=O)[O-])cc2F)C[C@@H](C)O1 ZINC000271101054 135091412 /nfs/dbraw/zinc/09/14/12/135091412.db2.gz PHPQAZCIICJJIL-NXEZZACHSA-N 0 0 298.314 2.746 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@@H](OC)C2)c(F)cc1[N+](=O)[O-] ZINC000275766514 135111961 /nfs/dbraw/zinc/11/19/61/135111961.db2.gz AABZZROPFFGHTR-XPTSAGLGSA-N 0 0 298.314 2.604 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@@H](OC)C2)c(F)cc1[N+](=O)[O-] ZINC000275766509 135112092 /nfs/dbraw/zinc/11/20/92/135112092.db2.gz AABZZROPFFGHTR-OTYXRUKQSA-N 0 0 298.314 2.604 20 5 CFBDRN CC[C@@H](CC(F)F)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000457484382 394466430 /nfs/dbraw/zinc/46/64/30/394466430.db2.gz QXQWQBQKIDEECO-JTQLQIEISA-N 0 0 286.278 2.892 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCc1ccc(C)o1 ZINC000530369183 394509084 /nfs/dbraw/zinc/50/90/84/394509084.db2.gz QJSWHTOQNYSAKI-UHFFFAOYSA-N 0 0 278.312 2.676 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000537829734 394592957 /nfs/dbraw/zinc/59/29/57/394592957.db2.gz FKBRMCUUKYCTBG-MRVPVSSYSA-N 0 0 262.269 2.768 20 5 CFBDRN CCC(=O)c1ccc(NCc2cc[nH]n2)c([N+](=O)[O-])c1 ZINC000530527573 394525083 /nfs/dbraw/zinc/52/50/83/394525083.db2.gz DXIPKOUMIOMSGA-UHFFFAOYSA-N 0 0 274.280 2.523 20 5 CFBDRN CCC(CC)NC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000535275612 394533601 /nfs/dbraw/zinc/53/36/01/394533601.db2.gz IIAXQWJSIOUNLQ-UHFFFAOYSA-N 0 0 291.351 2.851 20 5 CFBDRN Cc1nn(C[C@H]2CCCOC2)c2ccc([N+](=O)[O-])cc12 ZINC000540930480 394657439 /nfs/dbraw/zinc/65/74/39/394657439.db2.gz XDMCKKJCIKWFDP-LLVKDONJSA-N 0 0 275.308 2.680 20 5 CFBDRN Cc1nnc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2C)s1 ZINC000540953423 394659428 /nfs/dbraw/zinc/65/94/28/394659428.db2.gz PWLMNQIAIBAUQL-VIFPVBQESA-N 0 0 292.364 2.914 20 5 CFBDRN CC[C@H](NCc1ccccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000541732513 394682057 /nfs/dbraw/zinc/68/20/57/394682057.db2.gz NFCKUTFDMBFCRP-LBPRGKRZSA-N 0 0 274.324 2.569 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCC(C3CC3)C3CC3)c21 ZINC000542506068 394705494 /nfs/dbraw/zinc/70/54/94/394705494.db2.gz HJNUYTKWAPYVHY-UHFFFAOYSA-N 0 0 298.346 2.808 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000542801361 394714226 /nfs/dbraw/zinc/71/42/26/394714226.db2.gz ALCCLVOCRVYWSY-VXGBXAGGSA-N 0 0 294.351 2.772 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCCCC3CC3)c21 ZINC000544397743 394758267 /nfs/dbraw/zinc/75/82/67/394758267.db2.gz JCVPNJDHKPGOHR-UHFFFAOYSA-N 0 0 272.308 2.562 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(COCC2CC2)n1 ZINC000544758108 394770331 /nfs/dbraw/zinc/77/03/31/394770331.db2.gz WQLTXYPUBWXLBA-UHFFFAOYSA-N 0 0 289.291 2.880 20 5 CFBDRN Cc1cccc(Cc2noc(-c3ccc([N+](=O)[O-])cn3)n2)c1 ZINC000546313649 394823506 /nfs/dbraw/zinc/82/35/06/394823506.db2.gz LZMAUBJIYRGGBP-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN COc1cc(Cc2noc(C(C)(C)C)n2)ccc1[N+](=O)[O-] ZINC000546472775 394827352 /nfs/dbraw/zinc/82/73/52/394827352.db2.gz FVKFIWPZENANRE-UHFFFAOYSA-N 0 0 291.307 2.875 20 5 CFBDRN CCc1cnc(COc2c(F)cccc2[N+](=O)[O-])o1 ZINC000547330811 394859494 /nfs/dbraw/zinc/85/94/94/394859494.db2.gz FZWLQIICJKEJHT-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN CC1(C)CC(CNC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000548056889 394905848 /nfs/dbraw/zinc/90/58/48/394905848.db2.gz HGKMHVSUZPYWQC-UHFFFAOYSA-N 0 0 268.338 2.822 20 5 CFBDRN C[C@@H](N(C)C(=O)c1ccc([N+](=O)[O-])cc1[O-])C(C)(C)C ZINC000548119436 394912201 /nfs/dbraw/zinc/91/22/01/394912201.db2.gz RIGUIGMFTAGDCT-SECBINFHSA-N 0 0 280.324 2.807 20 5 CFBDRN Nc1c(F)c(N2C[C@@H]3CCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000548121175 394912477 /nfs/dbraw/zinc/91/24/77/394912477.db2.gz KWVQGFNNQTYOBS-DTORHVGOSA-N 0 0 265.288 2.552 20 5 CFBDRN CCCCCn1c(=O)onc1-c1cccc([N+](=O)[O-])c1 ZINC000554742353 394957515 /nfs/dbraw/zinc/95/75/15/394957515.db2.gz UXKZRZRLYNDWGI-UHFFFAOYSA-N 0 0 277.280 2.602 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H]([C@H]2CCOC2)C1 ZINC000408423656 296500702 /nfs/dbraw/zinc/50/07/02/296500702.db2.gz AUIDDGRSHZRMGV-UWVGGRQHSA-N 0 0 297.742 2.506 20 5 CFBDRN CS[C@H](C)CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000558693366 395071511 /nfs/dbraw/zinc/07/15/11/395071511.db2.gz LLTMZOXATPETLG-NXEZZACHSA-N 0 0 282.365 2.566 20 5 CFBDRN COc1cccc(N[C@H]2CCC[C@H]2F)c1[N+](=O)[O-] ZINC000559012079 395080948 /nfs/dbraw/zinc/08/09/48/395080948.db2.gz JUOGVGCJLMRDOD-BDAKNGLRSA-N 0 0 254.261 2.906 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCSC1 ZINC000569106702 395084184 /nfs/dbraw/zinc/08/41/84/395084184.db2.gz FBIHJHWASYSIMU-SECBINFHSA-N 0 0 295.364 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2ncon2)c(Cl)c1 ZINC000370771414 296506698 /nfs/dbraw/zinc/50/66/98/296506698.db2.gz FCIWWUGQQJPRBZ-NSHDSACASA-N 0 0 294.698 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H]3CCC[C@@H]32)c2cccnc21 ZINC000413177339 296507101 /nfs/dbraw/zinc/50/71/01/296507101.db2.gz UHBIWWWLXPOVBF-ZFWWWQNUSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H](c2ccncn2)C1 ZINC000377429521 296514671 /nfs/dbraw/zinc/51/46/71/296514671.db2.gz AGQYAHCJEVUZIN-LBPRGKRZSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(C2)CCOCC3)c(Cl)c1 ZINC000266479865 296519264 /nfs/dbraw/zinc/51/92/64/296519264.db2.gz QBTJSBYETXHANE-UHFFFAOYSA-N 0 0 297.742 2.650 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2C2CCC2)c(F)c1 ZINC000408473191 296519636 /nfs/dbraw/zinc/51/96/36/296519636.db2.gz ZLNZRWNCNPJNBP-GFCCVEGCSA-N 0 0 265.288 2.898 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC(c2ccncc2)CC1 ZINC000340863263 296521645 /nfs/dbraw/zinc/52/16/45/296521645.db2.gz HJFBTSBVKGKBST-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@@H](c2ccccc2)C1 ZINC000340149534 296521996 /nfs/dbraw/zinc/52/19/96/296521996.db2.gz CWWFWXVRFBHORE-CYBMUJFWSA-N 0 0 269.304 2.984 20 5 CFBDRN CNc1c(C(=O)Nc2scnc2C)cccc1[N+](=O)[O-] ZINC000355971978 155134130 /nfs/dbraw/zinc/13/41/30/155134130.db2.gz KQWYTRSJZRWUMZ-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN Cc1ccc(N2CCO[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000368793387 292135801 /nfs/dbraw/zinc/13/58/01/292135801.db2.gz VYOZGADKCJTVPB-CQSZACIVSA-N 0 0 262.309 2.518 20 5 CFBDRN Cc1ccc(N2CC[C@H]3OCCC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000301714369 292138983 /nfs/dbraw/zinc/13/89/83/292138983.db2.gz JFLOHYQUMIBICW-IUODEOHRSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1ccc(N[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000277620518 292161440 /nfs/dbraw/zinc/16/14/40/292161440.db2.gz MIKWXJSCJLSQCS-WDEREUQCSA-N 0 0 250.298 2.740 20 5 CFBDRN COCC(C)(C)CC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000412236948 292191441 /nfs/dbraw/zinc/19/14/41/292191441.db2.gz XADCPLGQBYWEJW-NSHDSACASA-N 0 0 294.351 2.835 20 5 CFBDRN CO[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000450773548 286062791 /nfs/dbraw/zinc/06/27/91/286062791.db2.gz MXGJPHPFAXESRV-RNCFNFMXSA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@H]1CN(c2cccc(C)c2[N+](=O)[O-])CC[C@@H]1C ZINC000275816393 286075131 /nfs/dbraw/zinc/07/51/31/286075131.db2.gz FFOMBVZNRNUOMH-GWCFXTLKSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@]1(C)CCCN(c2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000282318028 286161433 /nfs/dbraw/zinc/16/14/33/286161433.db2.gz SZZCXAMJSDVDPN-CQSZACIVSA-N 0 0 279.340 2.572 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000301129943 286206783 /nfs/dbraw/zinc/20/67/83/286206783.db2.gz SUQLIGAYSRCVLP-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN CCCN(CC(C)(C)O)c1ccc(F)cc1[N+](=O)[O-] ZINC000356551435 155300650 /nfs/dbraw/zinc/30/06/50/155300650.db2.gz BAYVGYIVKVGAAW-UHFFFAOYSA-N 0 0 270.304 2.721 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000356565868 155303495 /nfs/dbraw/zinc/30/34/95/155303495.db2.gz ONWFGJPVHSJVLD-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000356565869 155303829 /nfs/dbraw/zinc/30/38/29/155303829.db2.gz ONWFGJPVHSJVLD-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cccc(SCc2c([N+](=O)[O-])ncn2C)c1 ZINC000356584692 155310420 /nfs/dbraw/zinc/31/04/20/155310420.db2.gz WORUMMOYYJMKRX-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC=C(c2ccncc2)C1 ZINC000356720672 155338947 /nfs/dbraw/zinc/33/89/47/155338947.db2.gz FNAHVZNZYSPBCF-UHFFFAOYSA-N 0 0 299.286 2.512 20 5 CFBDRN CCOc1cc(NCCC[C@@H](O)CC)ccc1[N+](=O)[O-] ZINC000356748582 155350586 /nfs/dbraw/zinc/35/05/86/155350586.db2.gz VGAVTYJLCIYHPE-LBPRGKRZSA-N 0 0 282.340 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nc(Cc3ccon3)no2)cc1 ZINC000356753315 155353603 /nfs/dbraw/zinc/35/36/03/155353603.db2.gz GSAYPVODCXKPOT-UTCJRWHESA-N 0 0 298.258 2.727 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000356756603 155355690 /nfs/dbraw/zinc/35/56/90/155355690.db2.gz ODCYVZVHJKTSCI-ONGXEEELSA-N 0 0 278.308 2.522 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2ncccc2[N+](=O)[O-])C1(C)C ZINC000156780216 286335112 /nfs/dbraw/zinc/33/51/12/286335112.db2.gz SILQHZLQXHFYFH-NWDGAFQWSA-N 0 0 279.340 2.630 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3n[nH]c4ccccc43)no2)[nH]1 ZINC000356775726 155364886 /nfs/dbraw/zinc/36/48/86/155364886.db2.gz MTCIQQLDMUOEJP-UHFFFAOYSA-N 0 0 296.246 2.516 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1Cc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000356795988 155371491 /nfs/dbraw/zinc/37/14/91/155371491.db2.gz BPCBNCCDYKEIRB-DZGCQCFKSA-N 0 0 296.326 2.956 20 5 CFBDRN CNC(=O)c1ccc(N(C)[C@@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC000356798555 155371633 /nfs/dbraw/zinc/37/16/33/155371633.db2.gz VVPYCGLBXFIQSG-JTQLQIEISA-N 0 0 291.351 2.579 20 5 CFBDRN O=C(N[C@H]1C[C@H]1Cc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000356795991 155371879 /nfs/dbraw/zinc/37/18/79/155371879.db2.gz BPCBNCCDYKEIRB-HIFRSBDPSA-N 0 0 296.326 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@]3(CCCOC3)C2)n1 ZINC000408343679 292286167 /nfs/dbraw/zinc/28/61/67/292286167.db2.gz RNJSOGNJAFLBQN-OAHLLOKOSA-N 0 0 291.351 2.695 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCSC[C@@H](C)C2)c1 ZINC000277161451 286382721 /nfs/dbraw/zinc/38/27/21/286382721.db2.gz GUCYGINVTBKECW-JTQLQIEISA-N 0 0 282.365 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](c3ccco3)C2)n1 ZINC000378164285 292288892 /nfs/dbraw/zinc/28/88/92/292288892.db2.gz NARIUAWRJUSYOV-NSHDSACASA-N 0 0 273.292 2.885 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC(C)(OC)CC2)c1 ZINC000271702099 286381898 /nfs/dbraw/zinc/38/18/98/286381898.db2.gz NQFVBYFYPMNHNF-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@@H](CCO)CC2)c1 ZINC000420633921 286382040 /nfs/dbraw/zinc/38/20/40/286382040.db2.gz COZZNUNTVQSMIA-GFCCVEGCSA-N 0 0 294.351 2.592 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@](C)(F)C2)c1 ZINC000294843973 286382387 /nfs/dbraw/zinc/38/23/87/286382387.db2.gz BJPCHVPCRBVYKI-ZDUSSCGKSA-N 0 0 268.288 2.932 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)CCCCO1 ZINC000459889175 292293665 /nfs/dbraw/zinc/29/36/65/292293665.db2.gz NXPFIEKIMUEUCJ-AWEZNQCLSA-N 0 0 278.308 2.801 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]2CCC[C@@H]21 ZINC000336111341 292292356 /nfs/dbraw/zinc/29/23/56/292292356.db2.gz PLHPJNYEEFQGGC-FZMZJTMJSA-N 0 0 274.320 2.918 20 5 CFBDRN C[C@@H](c1noc(-c2c([N+](=O)[O-])cnn2C)n1)C(C)(C)C ZINC000356869005 155402916 /nfs/dbraw/zinc/40/29/16/155402916.db2.gz CGSBRHJKFPAQHM-ZETCQYMHSA-N 0 0 279.300 2.528 20 5 CFBDRN CNC(=O)CSc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000343156520 154128072 /nfs/dbraw/zinc/12/80/72/154128072.db2.gz YOVPEVZPLJNXPY-UHFFFAOYSA-N 0 0 295.147 2.740 20 5 CFBDRN Cc1c(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cccc1N(C)C ZINC000343326324 154176394 /nfs/dbraw/zinc/17/63/94/154176394.db2.gz PRSXTOWYNORGIT-UHFFFAOYSA-N 0 0 288.307 2.550 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000345533646 154198597 /nfs/dbraw/zinc/19/85/97/154198597.db2.gz NJFDLOYTIKOUDM-RKDXNWHRSA-N 0 0 290.653 2.632 20 5 CFBDRN CSC1(c2nc(Cc3ccccc3[N+](=O)[O-])no2)CC1 ZINC000345550896 154203422 /nfs/dbraw/zinc/20/34/22/154203422.db2.gz WTYSXHRJANIDQE-UHFFFAOYSA-N 0 0 291.332 2.921 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC[C@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000345561130 154206143 /nfs/dbraw/zinc/20/61/43/154206143.db2.gz KZQRCZZNASQPGE-DCAQKATOSA-N 0 0 295.314 2.846 20 5 CFBDRN COC(C)(C)Cc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000345584429 154211518 /nfs/dbraw/zinc/21/15/18/154211518.db2.gz ZRMHQKFOJHXOMI-UHFFFAOYSA-N 0 0 291.307 2.536 20 5 CFBDRN C/C=C/c1ccc(NC(=O)c2cc([N+](=O)[O-])nn2C)cc1 ZINC000345679228 154237928 /nfs/dbraw/zinc/23/79/28/154237928.db2.gz INPLNAONKKPYTB-ONEGZZNKSA-N 0 0 286.291 2.614 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(-c2nc(-c3cccc(C)c3)no2)n1 ZINC000345688141 154240208 /nfs/dbraw/zinc/24/02/08/154240208.db2.gz JOGPGLHYKZBYQF-UHFFFAOYSA-N 0 0 299.290 2.837 20 5 CFBDRN C[C@H]1C[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CO1 ZINC000345693208 154241151 /nfs/dbraw/zinc/24/11/51/154241151.db2.gz PLMPREXZKSPSIS-WCBMZHEXSA-N 0 0 275.264 2.537 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CO1 ZINC000345693206 154241767 /nfs/dbraw/zinc/24/17/67/154241767.db2.gz PLMPREXZKSPSIS-PSASIEDQSA-N 0 0 275.264 2.537 20 5 CFBDRN CC(C)(NC(=O)c1cccnc1)c1ccccc1[N+](=O)[O-] ZINC000345806782 154271381 /nfs/dbraw/zinc/27/13/81/154271381.db2.gz NHSUTRCURFSAHN-UHFFFAOYSA-N 0 0 285.303 2.655 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCCC2(F)CCC2)c1 ZINC000345819266 154274004 /nfs/dbraw/zinc/27/40/04/154274004.db2.gz BJHKMWOVBNAJHN-UHFFFAOYSA-N 0 0 296.298 2.616 20 5 CFBDRN CCc1noc(-c2cc(Cl)cc([N+](=O)[O-])c2)n1 ZINC000348001341 154284670 /nfs/dbraw/zinc/28/46/70/154284670.db2.gz JOAJUDSXUDWJNU-UHFFFAOYSA-N 0 0 253.645 2.861 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)C(F)(F)F ZINC000348047344 154296839 /nfs/dbraw/zinc/29/68/39/154296839.db2.gz KEOLBBFUUHVTJI-SNVBAGLBSA-N 0 0 290.241 2.974 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1COc1cc(Cl)ccc1F ZINC000348116439 154328366 /nfs/dbraw/zinc/32/83/66/154328366.db2.gz GMAQSLMSOQTVFG-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1C[C@@H]1C(F)F ZINC000348153313 154341794 /nfs/dbraw/zinc/34/17/94/154341794.db2.gz XZCZTIWNIXMUAP-WPRPVWTQSA-N 0 0 285.250 2.678 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCCOC1 ZINC000348167036 154346424 /nfs/dbraw/zinc/34/64/24/154346424.db2.gz MEZQQBAHTOBKPS-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1cc(N[C@H](CO)c2cccc(F)c2)ncc1[N+](=O)[O-] ZINC000348164101 154346498 /nfs/dbraw/zinc/34/64/98/154346498.db2.gz AJTNONFVUAPUMX-GFCCVEGCSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1sc(C(=O)Nc2c[nH]c(=O)cc2C)cc1[N+](=O)[O-] ZINC000348165052 154346544 /nfs/dbraw/zinc/34/65/44/154346544.db2.gz HYXNWYYSQWVFGN-UHFFFAOYSA-N 0 0 293.304 2.626 20 5 CFBDRN COc1cc(C[N@@H+]2CCC[C@](C)(OC)C2)ccc1[N+](=O)[O-] ZINC000348242541 154376121 /nfs/dbraw/zinc/37/61/21/154376121.db2.gz ROSWBFZPKGPYED-HNNXBMFYSA-N 0 0 294.351 2.604 20 5 CFBDRN C[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])c1cncs1 ZINC000348271358 154388350 /nfs/dbraw/zinc/38/83/50/154388350.db2.gz WWZUCTXJNWLYCT-MRVPVSSYSA-N 0 0 277.305 2.542 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000352304473 154528801 /nfs/dbraw/zinc/52/88/01/154528801.db2.gz PBTLVCZDRZHXAL-JTQLQIEISA-N 0 0 294.376 2.849 20 5 CFBDRN CCC(O)(CC)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352360104 154543564 /nfs/dbraw/zinc/54/35/64/154543564.db2.gz LYSSSGKAMGEHQC-UHFFFAOYSA-N 0 0 289.335 2.528 20 5 CFBDRN O=C(NCc1coc2ccccc12)c1ccc([N+](=O)[O-])[nH]1 ZINC000352395876 154553758 /nfs/dbraw/zinc/55/37/58/154553758.db2.gz SOSIKPKUVXQDJY-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN COc1cccc(N2CCC3(CCC3)C2)c1[N+](=O)[O-] ZINC000274683324 286456446 /nfs/dbraw/zinc/45/64/46/286456446.db2.gz IZTOKTGQTDUSBC-UHFFFAOYSA-N 0 0 262.309 2.984 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000354681479 154785110 /nfs/dbraw/zinc/78/51/10/154785110.db2.gz UVCCBKKLNZBELN-UTLUCORTSA-N 0 0 266.297 2.694 20 5 CFBDRN Cc1nn(Cc2ccc3ccccc3n2)cc1[N+](=O)[O-] ZINC000354959261 154869144 /nfs/dbraw/zinc/86/91/44/154869144.db2.gz FXXBLYVOIMCQOG-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCCSC1)c1ccccc1[N+](=O)[O-] ZINC000355133773 154927725 /nfs/dbraw/zinc/92/77/25/154927725.db2.gz BHOXGKXFJJSRQH-WDEREUQCSA-N 0 0 294.376 2.915 20 5 CFBDRN Cc1ccncc1CN(C)Cc1csc([N+](=O)[O-])c1 ZINC000355603841 155052657 /nfs/dbraw/zinc/05/26/57/155052657.db2.gz YQZFSENAQCHFHR-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](CO)C2CCCC2)n1 ZINC000357830585 155550932 /nfs/dbraw/zinc/55/09/32/155550932.db2.gz VCSIRQBSWZDTCL-LBPRGKRZSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1ccncc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000358020356 155603908 /nfs/dbraw/zinc/60/39/08/155603908.db2.gz NEUJGNIHWSUASV-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1cnccc1C ZINC000358037651 155611040 /nfs/dbraw/zinc/61/10/40/155611040.db2.gz AGQOWHFEXXGCAW-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSc1cccnn1 ZINC000358266796 155698571 /nfs/dbraw/zinc/69/85/71/155698571.db2.gz VVQCBESCUZSMMK-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CC2CC2)c1 ZINC000358483637 155777661 /nfs/dbraw/zinc/77/76/61/155777661.db2.gz XHQXRTRSISJKDG-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN COCCC1(NC(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000358645035 155831582 /nfs/dbraw/zinc/83/15/82/155831582.db2.gz YEOFYPUPAQOPBQ-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2CC[C@@H]3CCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000358948084 155925473 /nfs/dbraw/zinc/92/54/73/155925473.db2.gz FLFHHPZVTHCDIF-SDDRHHMPSA-N 0 0 291.351 2.632 20 5 CFBDRN CC[C@H](C)[C@H](CNc1nc2sccn2c1[N+](=O)[O-])OC ZINC000362977773 156134543 /nfs/dbraw/zinc/13/45/43/156134543.db2.gz UKXULVHLTXZRQI-IUCAKERBSA-N 0 0 298.368 2.777 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2cc3ccccc3o2)c1=O ZINC000363000995 156140227 /nfs/dbraw/zinc/14/02/27/156140227.db2.gz KCSIUNDHSJCLIB-UHFFFAOYSA-N 0 0 284.271 2.859 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@@H]1c1ccccc1 ZINC000363874473 156201140 /nfs/dbraw/zinc/20/11/40/156201140.db2.gz JNBFMLUIPCAJJH-ABAIWWIYSA-N 0 0 297.314 2.823 20 5 CFBDRN COC[C@H]1CCCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000364148200 156220166 /nfs/dbraw/zinc/22/01/66/156220166.db2.gz DSMYQRPJXUJLQK-JTQLQIEISA-N 0 0 298.364 2.545 20 5 CFBDRN CO[C@@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000366918075 156254707 /nfs/dbraw/zinc/25/47/07/156254707.db2.gz SYZLIRUOTHCJNJ-GFCCVEGCSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1nc(N[C@H]2C[C@@H]2c2cccnc2)ccc1[N+](=O)[O-] ZINC000367165871 156291867 /nfs/dbraw/zinc/29/18/67/156291867.db2.gz WUSRVLVETIHGRG-NEPJUHHUSA-N 0 0 270.292 2.661 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@](O)(C2CC2)C1 ZINC000367339879 156317628 /nfs/dbraw/zinc/31/76/28/156317628.db2.gz QLRVTSCMHSOZHL-CYBMUJFWSA-N 0 0 282.727 2.599 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000367434431 156331646 /nfs/dbraw/zinc/33/16/46/156331646.db2.gz HPGGQMVQODDOSI-NILFDRSVSA-N 0 0 290.319 2.777 20 5 CFBDRN C[C@@H]1CC[C@@]2(CCN(C(=O)c3ccc([N+](=O)[O-])cn3)C2)C1 ZINC000367864694 156399793 /nfs/dbraw/zinc/39/97/93/156399793.db2.gz YCUOBFGDCZJSJE-IAQYHMDHSA-N 0 0 289.335 2.642 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@]12CCOC2 ZINC000372016167 156840030 /nfs/dbraw/zinc/84/00/30/156840030.db2.gz QNAYUCOBFLQUBB-XHDPSFHLSA-N 0 0 290.319 2.577 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@H]3OCC[C@H]32)c([N+](=O)[O-])c1 ZINC000373699161 157022155 /nfs/dbraw/zinc/02/21/55/157022155.db2.gz VWEUUKRLCATCSA-UKRRQHHQSA-N 0 0 290.319 2.555 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC(c3cn[nH]c3)CC2)cs1 ZINC000373987878 157053460 /nfs/dbraw/zinc/05/34/60/157053460.db2.gz LYBUJZAYGPIZNU-UHFFFAOYSA-N 0 0 292.364 2.759 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cn2)[C@@H]2CCC[C@H]2O1 ZINC000374141753 157074319 /nfs/dbraw/zinc/07/43/19/157074319.db2.gz ICVFGPJQCRJYJD-VXGBXAGGSA-N 0 0 277.324 2.526 20 5 CFBDRN COC[C@@H]1CCCCN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000375142466 157200116 /nfs/dbraw/zinc/20/01/16/157200116.db2.gz NZNJRTGAVWJSAZ-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC2(CCC2)CC1 ZINC000375866803 157299066 /nfs/dbraw/zinc/29/90/66/157299066.db2.gz NTMXXHBNGRYMAV-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN COc1cc(N2C[C@@H](C3CC3)O[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000376012260 157315189 /nfs/dbraw/zinc/31/51/89/157315189.db2.gz CRJJWKFRMXHIMO-BONVTDFDSA-N 0 0 292.335 2.607 20 5 CFBDRN Nc1ccc(N[C@H]2C[C@@H](CO)c3ccccc32)c([N+](=O)[O-])c1 ZINC000376435900 157355238 /nfs/dbraw/zinc/35/52/38/157355238.db2.gz PKAZSONAKWOMCW-BONVTDFDSA-N 0 0 299.330 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1NCc1cnc2n1CCCC2 ZINC000376475359 157360097 /nfs/dbraw/zinc/36/00/97/157360097.db2.gz SRFACGGQGNQZTO-UHFFFAOYSA-N 0 0 290.298 2.879 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3cnccn3)CC2)cc1 ZINC000376478871 157360913 /nfs/dbraw/zinc/36/09/13/157360913.db2.gz VPCRSVFWOKJZNI-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCOC3(CCCC3)C2)n1 ZINC000408171438 157384120 /nfs/dbraw/zinc/38/41/20/157384120.db2.gz JPHIGRCERGNPMS-UHFFFAOYSA-N 0 0 291.351 2.756 20 5 CFBDRN Cc1nn(C)c(N2CCCCC(C)(C)C2)c1[N+](=O)[O-] ZINC000408444954 157399712 /nfs/dbraw/zinc/39/97/12/157399712.db2.gz VVHDHKVIWRTSCX-UHFFFAOYSA-N 0 0 266.345 2.653 20 5 CFBDRN C[C@H]1CC[C@H]([N@H+](C)Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000339123466 300068229 /nfs/dbraw/zinc/06/82/29/300068229.db2.gz FYGHUAIBWMCBQO-JQWIXIFHSA-N 0 0 264.325 2.921 20 5 CFBDRN CC[C@]1(CO)CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000275520104 288479630 /nfs/dbraw/zinc/47/96/30/288479630.db2.gz WODBTVYKSOOCMO-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000342368687 161617163 /nfs/dbraw/zinc/61/71/63/161617163.db2.gz MMVNSLDIWQUGNS-DGCLKSJQSA-N 0 0 292.335 2.760 20 5 CFBDRN CCOc1cc(N[C@H](C)[C@H](C)OC)ccc1[N+](=O)[O-] ZINC000342455729 161634725 /nfs/dbraw/zinc/63/47/25/161634725.db2.gz UYARQDPDMOWAEO-ZJUUUORDSA-N 0 0 268.313 2.829 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000343030926 161751855 /nfs/dbraw/zinc/75/18/55/161751855.db2.gz YQPPIJIADYVACV-GXTWGEPZSA-N 0 0 278.308 2.513 20 5 CFBDRN Cc1ccnc(NCc2cnn3ccccc23)c1[N+](=O)[O-] ZINC000343620437 161821142 /nfs/dbraw/zinc/82/11/42/161821142.db2.gz YLSABJDLZJEJJZ-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC(C)(C)CC(=O)Cn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000343836855 161874800 /nfs/dbraw/zinc/87/48/00/161874800.db2.gz KQNFXAWRCVQUEK-UHFFFAOYSA-N 0 0 292.291 2.508 20 5 CFBDRN CCOc1cc(NCc2cnn(CC)c2)ccc1[N+](=O)[O-] ZINC000343948570 161899584 /nfs/dbraw/zinc/89/95/84/161899584.db2.gz IBZPIBOYQADZRZ-UHFFFAOYSA-N 0 0 290.323 2.822 20 5 CFBDRN CCC(=O)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000344049060 161924498 /nfs/dbraw/zinc/92/44/98/161924498.db2.gz XCADSLHGASGKPK-UHFFFAOYSA-N 0 0 292.316 2.518 20 5 CFBDRN CN(C(=O)c1sccc1Cl)c1ccc([N+](=O)[O-])nc1 ZINC000344204628 161971857 /nfs/dbraw/zinc/97/18/57/161971857.db2.gz ZWVNQLJZGCJUIY-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN CC(C)(C)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344231348 161979132 /nfs/dbraw/zinc/97/91/32/161979132.db2.gz YZWARCQAUHEDCS-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN CCCO[C@H]1CCCN(c2ccc([N+](=O)[O-])nc2)CC1 ZINC000344442310 162043420 /nfs/dbraw/zinc/04/34/20/162043420.db2.gz SBUICOSJSSQSGB-ZDUSSCGKSA-N 0 0 279.340 2.775 20 5 CFBDRN C[C@@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000344527081 162060792 /nfs/dbraw/zinc/06/07/92/162060792.db2.gz UVGLPDHKLWQEMX-RXMQYKEDSA-N 0 0 295.192 2.806 20 5 CFBDRN C[C@H](Cc1ncc[nH]1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000344634796 162085574 /nfs/dbraw/zinc/08/55/74/162085574.db2.gz NCQCNNKRXONFLN-SECBINFHSA-N 0 0 279.271 2.605 20 5 CFBDRN Cc1nc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cs1 ZINC000344659388 162092669 /nfs/dbraw/zinc/09/26/69/162092669.db2.gz BERJPZVEUBYJGS-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000344850557 162135222 /nfs/dbraw/zinc/13/52/22/162135222.db2.gz GDWWQXGABGZIGW-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@]1(C)CCO[C@H]1C ZINC000344920767 162147863 /nfs/dbraw/zinc/14/78/63/162147863.db2.gz SGWAQPPDWIRIJO-HZMBPMFUSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1ccoc1CN(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000345063417 162171901 /nfs/dbraw/zinc/17/19/01/162171901.db2.gz AJNWDHDCSMKRLB-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000345177714 162194005 /nfs/dbraw/zinc/19/40/05/162194005.db2.gz SBCQZKCQWAGCDR-WDEREUQCSA-N 0 0 262.309 2.647 20 5 CFBDRN CCCc1cnc(NC(=O)c2ccc([N+](=O)[O-])n2C)s1 ZINC000345463169 162252751 /nfs/dbraw/zinc/25/27/51/162252751.db2.gz RPLOMKUPZCEKKD-UHFFFAOYSA-N 0 0 294.336 2.595 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H](F)C2)c1 ZINC000345576530 162264106 /nfs/dbraw/zinc/26/41/06/162264106.db2.gz AQAUVCSJTTWYLH-RKDXNWHRSA-N 0 0 298.339 2.937 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000345937581 162281145 /nfs/dbraw/zinc/28/11/45/162281145.db2.gz UEKPJGWAUZXOLI-NEPJUHHUSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ccc(N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2)nc1 ZINC000346208859 162351583 /nfs/dbraw/zinc/35/15/83/162351583.db2.gz NRSMTMSVQGBFBD-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN C[C@H]1CCC[C@@H](c2noc(-c3cc([N+](=O)[O-])nn3C)n2)C1 ZINC000346281477 162371405 /nfs/dbraw/zinc/37/14/05/162371405.db2.gz VDVSMNBSTXYPID-DTWKUNHWSA-N 0 0 291.311 2.672 20 5 CFBDRN Cc1nc(CN(C)C(=O)c2ccc([N+](=O)[O-])s2)cs1 ZINC000346276840 162371650 /nfs/dbraw/zinc/37/16/50/162371650.db2.gz SSLBDUSCPARJDQ-UHFFFAOYSA-N 0 0 297.361 2.693 20 5 CFBDRN CC(C)(C)c1ncn(Cc2ccccc2[N+](=O)[O-])n1 ZINC000346355199 162391660 /nfs/dbraw/zinc/39/16/60/162391660.db2.gz UZCITBKZKDLNDY-UHFFFAOYSA-N 0 0 260.297 2.532 20 5 CFBDRN CC[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])C(C)(C)O ZINC000292855029 286934406 /nfs/dbraw/zinc/93/44/06/286934406.db2.gz RSAMTYOUWUABRL-SECBINFHSA-N 0 0 273.720 2.605 20 5 CFBDRN Cc1ncc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cn1 ZINC000346884850 162548340 /nfs/dbraw/zinc/54/83/40/162548340.db2.gz SKOAJZQWNJSMCP-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000346905055 162554324 /nfs/dbraw/zinc/55/43/24/162554324.db2.gz BMUDJHORCFHTEK-WCQYABFASA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@H](O)CC(F)(F)F ZINC000347084227 162596817 /nfs/dbraw/zinc/59/68/17/162596817.db2.gz AAFSNOVYXZCIQS-MRVPVSSYSA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1cnccc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347949926 162807413 /nfs/dbraw/zinc/80/74/13/162807413.db2.gz CPNXFGOKNMQIFX-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC[C@H](C[C@H](C)CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000348348973 162833179 /nfs/dbraw/zinc/83/31/79/162833179.db2.gz KYYYVGLPZSASSD-GXSJLCMTSA-N 0 0 267.329 2.507 20 5 CFBDRN CC(C)(C)CCc1noc(-c2cc([N+](=O)[O-])c[nH]2)n1 ZINC000349777678 163015094 /nfs/dbraw/zinc/01/50/94/163015094.db2.gz OGHBEQCCVSJJHM-UHFFFAOYSA-N 0 0 264.285 2.952 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])cc1C ZINC000349836284 163023161 /nfs/dbraw/zinc/02/31/61/163023161.db2.gz RMGWEBMPJBRUDH-GXTWGEPZSA-N 0 0 278.352 2.903 20 5 CFBDRN C[C@H]1CC[C@H](COc2c(Cl)cncc2[N+](=O)[O-])O1 ZINC000349856623 163027892 /nfs/dbraw/zinc/02/78/92/163027892.db2.gz XOHIEILZZJNUEM-JGVFFNPUSA-N 0 0 272.688 2.590 20 5 CFBDRN CCc1cc(Nc2c([N+](=O)[O-])ncn2C)ccc1C ZINC000349890986 163033029 /nfs/dbraw/zinc/03/30/29/163033029.db2.gz DVPQBHXWQCMXBI-UHFFFAOYSA-N 0 0 260.297 2.943 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@H]3C[C@@]34CCOC4)n2)c1 ZINC000349903997 163035914 /nfs/dbraw/zinc/03/59/14/163035914.db2.gz QIIGSZXGGPGBRE-BXUZGUMPSA-N 0 0 287.275 2.539 20 5 CFBDRN Cc1cccnc1COc1c(Cl)cncc1[N+](=O)[O-] ZINC000349920192 163036527 /nfs/dbraw/zinc/03/65/27/163036527.db2.gz XADMTZWIMPYGOC-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccncc1C ZINC000350016732 163050558 /nfs/dbraw/zinc/05/05/58/163050558.db2.gz ICKDLQIDQFVEOX-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1F)C(=O)OC(C)(C)C ZINC000350254899 163068061 /nfs/dbraw/zinc/06/80/61/163068061.db2.gz RJQPPEISHAIIDE-SECBINFHSA-N 0 0 298.314 2.554 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000350678280 163098036 /nfs/dbraw/zinc/09/80/36/163098036.db2.gz UKEYUDJZSKVYOJ-WCBMZHEXSA-N 0 0 279.296 2.523 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1ccc(CC(N)=O)cc1 ZINC000350831424 163110061 /nfs/dbraw/zinc/11/00/61/163110061.db2.gz PXSVIIQCFQUAAP-UHFFFAOYSA-N 0 0 299.330 2.543 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@@H]1C(F)F ZINC000351200267 163122716 /nfs/dbraw/zinc/12/27/16/163122716.db2.gz JBIVHMLMZRJFHE-WCBMZHEXSA-N 0 0 285.250 2.678 20 5 CFBDRN CCOC(=O)C1(CNc2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000351548901 163145300 /nfs/dbraw/zinc/14/53/00/163145300.db2.gz VOCKXQREOYZUIY-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN O=C(NCc1ccoc1)Nc1ccc([N+](=O)[O-])cc1F ZINC000351653480 163168367 /nfs/dbraw/zinc/16/83/67/163168367.db2.gz AVECTYIRGHOBPI-UHFFFAOYSA-N 0 0 279.227 2.649 20 5 CFBDRN O=C(N[C@@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])s1 ZINC000351682999 163172756 /nfs/dbraw/zinc/17/27/56/163172756.db2.gz NAXQXSBGZYVMNM-UDNWOFFPSA-N 0 0 280.349 2.965 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000351724597 163182972 /nfs/dbraw/zinc/18/29/72/163182972.db2.gz OTIPUKMKEBHQRC-CPCISQLKSA-N 0 0 267.260 2.654 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000351828307 163199641 /nfs/dbraw/zinc/19/96/41/163199641.db2.gz XFVNDIXOPLELRL-VIFPVBQESA-N 0 0 299.348 2.646 20 5 CFBDRN COc1cc(CS[C@@H](C)C(C)=O)ccc1[N+](=O)[O-] ZINC000351828128 163200084 /nfs/dbraw/zinc/20/00/84/163200084.db2.gz UMYASCZNIOKAJF-VIFPVBQESA-N 0 0 269.322 2.814 20 5 CFBDRN C/C=C\CS(=O)(=O)[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000351877324 163210380 /nfs/dbraw/zinc/21/03/80/163210380.db2.gz CEKFNUYSKNDIHW-DOGVGXBMSA-N 0 0 283.349 2.955 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(CCCCCF)CCO2 ZINC000352679829 163221611 /nfs/dbraw/zinc/22/16/11/163221611.db2.gz RVDSZQRYYOYZHV-UHFFFAOYSA-N 0 0 282.315 2.929 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)Cc1ccon1 ZINC000352761937 163229271 /nfs/dbraw/zinc/22/92/71/163229271.db2.gz VRGNLANVANXGJB-UHFFFAOYSA-N 0 0 290.279 2.555 20 5 CFBDRN CCC[C@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000352771298 163229775 /nfs/dbraw/zinc/22/97/75/163229775.db2.gz NYLALASEZRQHSE-ZDUSSCGKSA-N 0 0 294.351 2.604 20 5 CFBDRN CCCOc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000352792926 163235907 /nfs/dbraw/zinc/23/59/07/163235907.db2.gz NTGYGKBAIUSSRT-UHFFFAOYSA-N 0 0 289.291 2.964 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000352817742 163240954 /nfs/dbraw/zinc/24/09/54/163240954.db2.gz RVJOYEPPQIQPQL-GFCCVEGCSA-N 0 0 276.336 2.832 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000352905538 163266589 /nfs/dbraw/zinc/26/65/89/163266589.db2.gz YOAHAFTXYZMZEQ-NSHDSACASA-N 0 0 294.355 2.750 20 5 CFBDRN CCSc1cccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)c1 ZINC000353058748 163298197 /nfs/dbraw/zinc/29/81/97/163298197.db2.gz BYCIMVYFKAHRLP-UHFFFAOYSA-N 0 0 292.320 2.682 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCC[C@H]1C(F)F ZINC000353206473 163316901 /nfs/dbraw/zinc/31/69/01/163316901.db2.gz WFURKFOPUVKNAU-NSHDSACASA-N 0 0 286.278 2.833 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCC[C@@H]1C(F)F ZINC000353206471 163317703 /nfs/dbraw/zinc/31/77/03/163317703.db2.gz WFURKFOPUVKNAU-LLVKDONJSA-N 0 0 286.278 2.833 20 5 CFBDRN COCCC(C)(C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000353264383 163333055 /nfs/dbraw/zinc/33/30/55/163333055.db2.gz GZUYZXSOYBOBRZ-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN CCO[C@@H]1COCC[C@@H]1Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000353267121 163333145 /nfs/dbraw/zinc/33/31/45/163333145.db2.gz OSWYCNIDVCWIHJ-GXTWGEPZSA-N 0 0 280.324 2.509 20 5 CFBDRN C[N@H+](Cc1ccc(Cl)cc1[N+](=O)[O-])CC1(O)CCC1 ZINC000353467261 163372108 /nfs/dbraw/zinc/37/21/08/163372108.db2.gz LBXMYXRQJYPGJO-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCCCC[C@@H]21 ZINC000362838015 163566665 /nfs/dbraw/zinc/56/66/65/163566665.db2.gz GSHODWGAZSRCCW-ZFWWWQNUSA-N 0 0 288.347 2.928 20 5 CFBDRN CC[C@@H]1CCCN(c2cccc(C(N)=O)c2[N+](=O)[O-])CC1 ZINC000342410979 287053937 /nfs/dbraw/zinc/05/39/37/287053937.db2.gz PRTQVRODWJUIHK-LLVKDONJSA-N 0 0 291.351 2.710 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000269840066 287103453 /nfs/dbraw/zinc/10/34/53/287103453.db2.gz HNFUFUIMGGWXST-KOLCDFICSA-N 0 0 284.743 2.988 20 5 CFBDRN CN(C(=O)COc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000003515288 169893346 /nfs/dbraw/zinc/89/33/46/169893346.db2.gz SLGLUNQFOIUGLB-UHFFFAOYSA-N 0 0 286.287 2.637 20 5 CFBDRN CN(Cc1ccccc1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000005668537 170126061 /nfs/dbraw/zinc/12/60/61/170126061.db2.gz YBIRYCPZJMAVTM-UHFFFAOYSA-N 0 0 284.315 2.796 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000005673506 170126799 /nfs/dbraw/zinc/12/67/99/170126799.db2.gz CZPGOZVPCXIUJB-VXGBXAGGSA-N 0 0 276.336 2.642 20 5 CFBDRN CCCC1(CNC(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC1 ZINC000284278371 196020221 /nfs/dbraw/zinc/02/02/21/196020221.db2.gz FGRNHNTZRMSBOE-UHFFFAOYSA-N 0 0 295.314 2.626 20 5 CFBDRN C[C@@H](Oc1cccnc1[N+](=O)[O-])C(=O)c1ccc(F)cc1 ZINC000005971333 170164453 /nfs/dbraw/zinc/16/44/53/170164453.db2.gz KVUYRXHXUXLTFX-SECBINFHSA-N 0 0 290.250 2.779 20 5 CFBDRN C[C@H](Oc1cccnc1[N+](=O)[O-])C(=O)c1ccc(F)cc1 ZINC000005971331 170164470 /nfs/dbraw/zinc/16/44/70/170164470.db2.gz KVUYRXHXUXLTFX-VIFPVBQESA-N 0 0 290.250 2.779 20 5 CFBDRN COc1ccccc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000006019280 170168984 /nfs/dbraw/zinc/16/89/84/170168984.db2.gz NPMVCWUVLDWVDA-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC(=O)c1ccccc1 ZINC000006290826 170188610 /nfs/dbraw/zinc/18/86/10/170188610.db2.gz XCZDBAXWHBVQBV-UHFFFAOYSA-N 0 0 287.271 2.865 20 5 CFBDRN CCc1nnc(SCc2ccccc2[N+](=O)[O-])o1 ZINC000006419375 170200223 /nfs/dbraw/zinc/20/02/23/170200223.db2.gz KCGOBRQDIHEQQW-UHFFFAOYSA-N 0 0 265.294 2.833 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000007358647 170279140 /nfs/dbraw/zinc/27/91/40/170279140.db2.gz UUIBJEFLXMGSIN-SMDDNHRTSA-N 0 0 291.351 2.702 20 5 CFBDRN Cc1ccccc1CN1C(=O)c2ccc([N+](=O)[O-])cc2C1=O ZINC000007706688 170298346 /nfs/dbraw/zinc/29/83/46/170298346.db2.gz RDLYCJIRMSUSTK-UHFFFAOYSA-N 0 0 296.282 2.699 20 5 CFBDRN CC(=O)c1ccc(N(C)CCc2ccccn2)c([N+](=O)[O-])c1 ZINC000008148654 170321592 /nfs/dbraw/zinc/32/15/92/170321592.db2.gz MXYKWSODWWMKKB-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])[C@@H]1C ZINC000008178156 170322815 /nfs/dbraw/zinc/32/28/15/170322815.db2.gz PHMNTYVOWUNERG-FOGDFJRCSA-N 0 0 291.351 2.930 20 5 CFBDRN O=[N+]([O-])c1c(NCc2cccs2)nc2sccn21 ZINC000008318495 170330622 /nfs/dbraw/zinc/33/06/22/170330622.db2.gz VJSCAEMCOAZAOJ-UHFFFAOYSA-N 0 0 280.334 2.978 20 5 CFBDRN Cc1ccc(OC(=O)OCC(F)(F)F)cc1[N+](=O)[O-] ZINC000284419775 196051498 /nfs/dbraw/zinc/05/14/98/196051498.db2.gz VCQKWFGUSYALQF-UHFFFAOYSA-N 0 0 279.170 2.981 20 5 CFBDRN C[C@H](Nc1cccc(C(N)=O)c1)c1ccccc1[N+](=O)[O-] ZINC000020526169 171238918 /nfs/dbraw/zinc/23/89/18/171238918.db2.gz DYFHGWHZHAMZTJ-JTQLQIEISA-N 0 0 285.303 2.867 20 5 CFBDRN Cc1cc(C(=O)COc2ccc([N+](=O)[O-])cc2)c(C)[nH]1 ZINC000023444811 171422027 /nfs/dbraw/zinc/42/20/27/171422027.db2.gz QKOLSVRMUOTUQT-UHFFFAOYSA-N 0 0 274.276 2.801 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000284796402 196133120 /nfs/dbraw/zinc/13/31/20/196133120.db2.gz YIDXBCVBYWSWRZ-IUODEOHRSA-N 0 0 288.347 2.856 20 5 CFBDRN O=C(Nc1cccc(-c2cc[nH]n2)c1)c1ccc([N+](=O)[O-])o1 ZINC000024895302 171443298 /nfs/dbraw/zinc/44/32/98/171443298.db2.gz RZOBELXWNUHKMZ-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN Cc1ccsc1CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000026730584 171497014 /nfs/dbraw/zinc/49/70/14/171497014.db2.gz NRBZGLXJVANNMM-UHFFFAOYSA-N 0 0 291.332 2.676 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000032394569 171726069 /nfs/dbraw/zinc/72/60/69/171726069.db2.gz KFZLTLNCWPSBEL-SFYZADRCSA-N 0 0 268.700 2.634 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])n[nH]1)N1CCC[C@@H]1C1CCCCC1 ZINC000284920427 196157678 /nfs/dbraw/zinc/15/76/78/196157678.db2.gz ASHRDHJRBJLEDN-GFCCVEGCSA-N 0 0 292.339 2.503 20 5 CFBDRN O=C(NCC1CCC1)c1cc([N+](=O)[O-])ccc1Cl ZINC000034653138 171848329 /nfs/dbraw/zinc/84/83/29/171848329.db2.gz NHHZAPWZSRIPQF-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CCCCOc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC000035085129 171972387 /nfs/dbraw/zinc/97/23/87/171972387.db2.gz FDKWUYUKUDJVML-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN COc1ccc(C=C2SC(=S)NC2=O)cc1[N+](=O)[O-] ZINC000035178824 172031899 /nfs/dbraw/zinc/03/18/99/172031899.db2.gz URJKMSLAZVCHDK-UITAMQMPSA-N 0 0 296.329 2.933 20 5 CFBDRN CCOC1CC(COc2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000285072847 196189908 /nfs/dbraw/zinc/18/99/08/196189908.db2.gz HDQSFSDRAYAMGA-UHFFFAOYSA-N 0 0 281.308 2.797 20 5 CFBDRN CC1CCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])CC1 ZINC000035241783 172077877 /nfs/dbraw/zinc/07/78/77/172077877.db2.gz GMBDLXWBFRRMMU-SREVYHEPSA-N 0 0 274.320 2.867 20 5 CFBDRN CC[C@H](C)NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000285257580 196234777 /nfs/dbraw/zinc/23/47/77/196234777.db2.gz ZPJRMHSXQXKILW-NSHDSACASA-N 0 0 279.340 2.577 20 5 CFBDRN Cc1c(COc2ccc(CO)cc2)cccc1[N+](=O)[O-] ZINC000035649544 172326338 /nfs/dbraw/zinc/32/63/38/172326338.db2.gz FVEPODODSGMEMU-UHFFFAOYSA-N 0 0 273.288 2.975 20 5 CFBDRN Cc1nnc([C@H](C)N(C)CCc2ccccc2[N+](=O)[O-])o1 ZINC000285293982 196242990 /nfs/dbraw/zinc/24/29/90/196242990.db2.gz KONGLNKFYVRZLK-JTQLQIEISA-N 0 0 290.323 2.522 20 5 CFBDRN CCc1ccc(OCCCCOC)c([N+](=O)[O-])c1 ZINC000285292662 196243639 /nfs/dbraw/zinc/24/36/39/196243639.db2.gz VMOHAVRZIVLMPX-UHFFFAOYSA-N 0 0 253.298 2.963 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCO[C@H]3CCC[C@H]32)c(F)c1 ZINC000285401146 196273815 /nfs/dbraw/zinc/27/38/15/196273815.db2.gz UDEWDMXGVAFLQS-KGLIPLIRSA-N 0 0 298.289 2.626 20 5 CFBDRN Cn1nccc1C1=CCN(Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000285478202 196296903 /nfs/dbraw/zinc/29/69/03/196296903.db2.gz CLSCSBPMVJHHQG-UHFFFAOYSA-N 0 0 298.346 2.618 20 5 CFBDRN Cc1ccc(CNc2cccc(C(N)=O)c2)cc1[N+](=O)[O-] ZINC000037219737 173036911 /nfs/dbraw/zinc/03/69/11/173036911.db2.gz AWUHRKKMFPMSHK-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN COC(=O)c1ccc(CNc2ccccc2[N+](=O)[O-])o1 ZINC000037298598 173102593 /nfs/dbraw/zinc/10/25/93/173102593.db2.gz WDRXQEGTRVGJJF-UHFFFAOYSA-N 0 0 276.248 2.587 20 5 CFBDRN CO[C@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000037299705 173105618 /nfs/dbraw/zinc/10/56/18/173105618.db2.gz BQPPVWQNUGVMPC-OLZOCXBDSA-N 0 0 250.298 2.964 20 5 CFBDRN COC(=O)c1ccccc1NCc1cccc([N+](=O)[O-])c1 ZINC000037301515 173107392 /nfs/dbraw/zinc/10/73/92/173107392.db2.gz FUFWUBWAHQXDRS-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN Cc1ccc(NCCCn2ccnc2)c([N+](=O)[O-])c1 ZINC000037401108 173178404 /nfs/dbraw/zinc/17/84/04/173178404.db2.gz MZJXYZUQQDSPDJ-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NC[C@H]2CCCOC2)c1 ZINC000038046345 174054308 /nfs/dbraw/zinc/05/43/08/174054308.db2.gz JIPCJFBLJLOVGT-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN CN(CCc1nccs1)c1c(F)cccc1[N+](=O)[O-] ZINC000286061252 196485238 /nfs/dbraw/zinc/48/52/38/196485238.db2.gz BNRNUAICVJUMLQ-UHFFFAOYSA-N 0 0 281.312 2.869 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286186711 196520377 /nfs/dbraw/zinc/52/03/77/196520377.db2.gz QQKAXAVJDHNWQI-NKWVEPMBSA-N 0 0 264.310 2.667 20 5 CFBDRN CNc1ccc(C(=O)NC(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000041868256 174624604 /nfs/dbraw/zinc/62/46/04/174624604.db2.gz PSLPSTRDKHAOPM-UHFFFAOYSA-N 0 0 289.335 2.555 20 5 CFBDRN CCCc1noc(CNc2cc([N+](=O)[O-])ccc2C)n1 ZINC000042627437 174830992 /nfs/dbraw/zinc/83/09/92/174830992.db2.gz FGBICXNTSVIURK-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN Cc1c(NC(=O)NC[C@@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000043346549 175017296 /nfs/dbraw/zinc/01/72/96/175017296.db2.gz FIQHNVHHXHSDLH-SCZZXKLOSA-N 0 0 263.297 2.681 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000043510850 175060109 /nfs/dbraw/zinc/06/01/09/175060109.db2.gz ZIFODPLXSXCWDO-ZDUSSCGKSA-N 0 0 273.292 2.789 20 5 CFBDRN O=[N+]([O-])c1c(NCc2ccc(O)cc2)nc2sccn21 ZINC000043536473 175063412 /nfs/dbraw/zinc/06/34/12/175063412.db2.gz ZANZIQCVBOWLKU-UHFFFAOYSA-N 0 0 290.304 2.622 20 5 CFBDRN O=C([O-])CC[C@H]1CCC[N@H+](Cc2ccccc2[N+](=O)[O-])C1 ZINC000043585507 175071914 /nfs/dbraw/zinc/07/19/14/175071914.db2.gz NXQXJPKJEBVJIU-GFCCVEGCSA-N 0 0 292.335 2.672 20 5 CFBDRN Cc1c(C(=O)NCCSC(C)C)cccc1[N+](=O)[O-] ZINC000286714580 196685080 /nfs/dbraw/zinc/68/50/80/196685080.db2.gz MHKKYDHOFJCZLT-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCCC1CCCC1 ZINC000044633190 175222281 /nfs/dbraw/zinc/22/22/81/175222281.db2.gz LNQXBLBOGDJVDK-UHFFFAOYSA-N 0 0 291.351 2.998 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccc(CO)c1 ZINC000044747875 175243453 /nfs/dbraw/zinc/24/34/53/175243453.db2.gz MBMKRGMQZYEIRS-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCCn1ccnc1 ZINC000045108227 175308872 /nfs/dbraw/zinc/30/88/72/175308872.db2.gz SPYPFPHQTBJOHS-UHFFFAOYSA-N 0 0 260.297 2.684 20 5 CFBDRN COc1ccc(CNc2ccccc2[N+](=O)[O-])cn1 ZINC000054866819 175565730 /nfs/dbraw/zinc/56/57/30/175565730.db2.gz GKKHEXSEOQPIOS-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000286451060 196606981 /nfs/dbraw/zinc/60/69/81/196606981.db2.gz SACGHMUUDNVBEI-GHMZBOCLSA-N 0 0 291.351 2.647 20 5 CFBDRN C[C@@H]1CCCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000457263134 287320487 /nfs/dbraw/zinc/32/04/87/287320487.db2.gz PJQVFPSDNGHBQI-RNCFNFMXSA-N 0 0 265.313 2.622 20 5 CFBDRN C[C@@H]1CCCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000457266358 287320605 /nfs/dbraw/zinc/32/06/05/287320605.db2.gz QYVJFJXSRRUIMI-YGRLFVJLSA-N 0 0 279.340 2.632 20 5 CFBDRN CCOC(COc1ccc([N+](=O)[O-])cc1F)OCC ZINC000075914381 176828912 /nfs/dbraw/zinc/82/89/12/176828912.db2.gz FOYKBHUUGQSHJD-UHFFFAOYSA-N 0 0 273.260 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCOCC1CC1 ZINC000076664728 177050623 /nfs/dbraw/zinc/05/06/23/177050623.db2.gz AIIMJOMGENHZFZ-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCC[C@H]2O)c(F)c1 ZINC000077208381 177234863 /nfs/dbraw/zinc/23/48/63/177234863.db2.gz NYEGZMGHYMZQMV-NOZJJQNGSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@H]2CO)c(F)c1 ZINC000077209941 177235429 /nfs/dbraw/zinc/23/54/29/177235429.db2.gz IODHOHYEZXOZRH-CABZTGNLSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000077227757 177236343 /nfs/dbraw/zinc/23/63/43/177236343.db2.gz RWMDWQVNZOWELI-YUMQZZPRSA-N 0 0 258.705 2.677 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000077228403 177236505 /nfs/dbraw/zinc/23/65/05/177236505.db2.gz DNCDOLJPPGZRAO-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@@H](CCO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000077232495 177236955 /nfs/dbraw/zinc/23/69/55/177236955.db2.gz VWFLGZNMAPYGKM-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1ccncc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000078505195 177348156 /nfs/dbraw/zinc/34/81/56/177348156.db2.gz YOISTYMQZDKYKX-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cccnc1CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000078508953 177348436 /nfs/dbraw/zinc/34/84/36/177348436.db2.gz IUNKDFVOCURFFO-RMKNXTFCSA-N 0 0 297.314 2.628 20 5 CFBDRN COCCCCCNc1ncc(C)cc1[N+](=O)[O-] ZINC000078655845 177367171 /nfs/dbraw/zinc/36/71/71/177367171.db2.gz PPVQBXBBRGKNGI-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN CCC[C@@H](CCO)CNc1ccc([N+](=O)[O-])cc1 ZINC000078673356 177369476 /nfs/dbraw/zinc/36/94/76/177369476.db2.gz WTHWVTBBJQKUBW-NSHDSACASA-N 0 0 252.314 2.805 20 5 CFBDRN CC(C)(CCO)CNc1cccc(F)c1[N+](=O)[O-] ZINC000078678494 177369820 /nfs/dbraw/zinc/36/98/20/177369820.db2.gz HTMFIVCGZSDTHG-UHFFFAOYSA-N 0 0 256.277 2.554 20 5 CFBDRN CCO[C@@H](C)c1noc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000078756289 177382095 /nfs/dbraw/zinc/38/20/95/177382095.db2.gz BHQQBGQUUXHQIP-VIFPVBQESA-N 0 0 293.279 2.654 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000078944043 177408253 /nfs/dbraw/zinc/40/82/53/177408253.db2.gz NAIBGUHNGLGGGV-KCJUWKMLSA-N 0 0 286.291 2.579 20 5 CFBDRN O=C(CCC(F)(F)F)NCCc1ccc([N+](=O)[O-])cc1 ZINC000079706825 177540393 /nfs/dbraw/zinc/54/03/93/177540393.db2.gz GXYFBFVHRDIPOM-UHFFFAOYSA-N 0 0 290.241 2.596 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000172638372 287339978 /nfs/dbraw/zinc/33/99/78/287339978.db2.gz ZYFBPPQKUIESDO-GHMZBOCLSA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCc2cc[nH]c(=O)c2C1 ZINC000276976003 292585894 /nfs/dbraw/zinc/58/58/94/292585894.db2.gz XOPADYFQYFAQAX-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H]2OCC[C@H]2C1 ZINC000375721246 292585915 /nfs/dbraw/zinc/58/59/15/292585915.db2.gz VFVARVVSNPEIGK-WCQYABFASA-N 0 0 262.309 2.518 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NC2CCC(C)(C)CC2)n1 ZINC000191633795 227083051 /nfs/dbraw/zinc/08/30/51/227083051.db2.gz MFHYCAHAQRUGDV-UHFFFAOYSA-N 0 0 294.355 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nccn2-c2ccccc2)nc1 ZINC000192758025 227280439 /nfs/dbraw/zinc/28/04/39/227280439.db2.gz UETXZHIWDKUXNS-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1ccc(C(N)=O)cc1 ZINC000301176553 227467579 /nfs/dbraw/zinc/46/75/79/227467579.db2.gz LQYQJYGYPSCLAH-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])[C@H]1C ZINC000175832428 287564911 /nfs/dbraw/zinc/56/49/11/287564911.db2.gz OOKRQORRJJKUIN-SFYZADRCSA-N 0 0 284.262 2.744 20 5 CFBDRN O=[N+]([O-])c1cnc(Cl)c(C(F)(F)NC2CC(F)C2)c1 ZINC001154833342 782032818 /nfs/dbraw/zinc/03/28/18/782032818.db2.gz VVHKAFQMYYYUEA-UHFFFAOYSA-N 0 0 295.648 2.783 20 5 CFBDRN CC/C=C(\C)C(=O)NCCN(C)c1ccccc1[N+](=O)[O-] ZINC001099976475 782035735 /nfs/dbraw/zinc/03/57/35/782035735.db2.gz KJZDFNBVIANIGV-KPKJPENVSA-N 0 0 291.351 2.504 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2c(F)cc([N+](=O)[O-])cc2F)O1 ZINC000397928825 270320550 /nfs/dbraw/zinc/32/05/50/270320550.db2.gz TVADPHQUKKRERP-VXNVDRBHSA-N 0 0 272.251 2.852 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCCCN1 ZINC000400440295 270330573 /nfs/dbraw/zinc/33/05/73/270330573.db2.gz XVLDZBGNQZUVCF-UHFFFAOYSA-N 0 0 275.230 2.718 20 5 CFBDRN CC[C@H](CSC)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000180573413 260106434 /nfs/dbraw/zinc/10/64/34/260106434.db2.gz DYLXUEDKQYHGAP-UVMWJGKXSA-N 0 0 294.376 2.866 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H](C)[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000516903996 260241663 /nfs/dbraw/zinc/24/16/63/260241663.db2.gz AHTRSWHCZGECOX-SRVKXCTJSA-N 0 0 276.336 2.955 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000313169038 260256064 /nfs/dbraw/zinc/25/60/64/260256064.db2.gz LIKRIXNADUZUBH-BXKDBHETSA-N 0 0 256.277 2.695 20 5 CFBDRN Cc1cc(NCCOCC2CCCC2)ncc1[N+](=O)[O-] ZINC000313471753 260297244 /nfs/dbraw/zinc/29/72/44/260297244.db2.gz RBRJUWADZFIPGJ-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000152686121 261068092 /nfs/dbraw/zinc/06/80/92/261068092.db2.gz XHPDIGZLAKNDPV-UHFFFAOYSA-N 0 0 254.286 2.886 20 5 CFBDRN COc1ccc(OC(=O)CC(C)(C)C)cc1[N+](=O)[O-] ZINC000191031808 262188276 /nfs/dbraw/zinc/18/82/76/262188276.db2.gz YJRREDUZSSRLDD-UHFFFAOYSA-N 0 0 267.281 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCC2(F)F)cn1 ZINC000390938407 262368820 /nfs/dbraw/zinc/36/88/20/262368820.db2.gz OHRGJVBKVJPYGT-QMMMGPOBSA-N 0 0 257.240 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCC2(F)F)cn1 ZINC000390938405 262369055 /nfs/dbraw/zinc/36/90/55/262369055.db2.gz OHRGJVBKVJPYGT-MRVPVSSYSA-N 0 0 257.240 2.837 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])[C@H](C)C1 ZINC000521440024 262374677 /nfs/dbraw/zinc/37/46/77/262374677.db2.gz QKGVVNYGAIOSGU-CKYFFXLPSA-N 0 0 291.351 2.732 20 5 CFBDRN CSCCCNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296242966 263188083 /nfs/dbraw/zinc/18/80/83/263188083.db2.gz BWSHUWVRUZHBSU-JTQLQIEISA-N 0 0 297.380 2.708 20 5 CFBDRN C[C@@H](C(=O)Nc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000518880399 265192850 /nfs/dbraw/zinc/19/28/50/265192850.db2.gz CNKCUTDLWUOAHG-SNVBAGLBSA-N 0 0 271.276 2.732 20 5 CFBDRN C[C@H]1CCN(CC[NH2+][C@H]2CCc3c2cccc3[N+](=O)[O-])C1 ZINC000518999645 265291475 /nfs/dbraw/zinc/29/14/75/265291475.db2.gz IQGLWTBOSSWHIJ-WFASDCNBSA-N 0 0 289.379 2.514 20 5 CFBDRN O=C(Nc1nccc2c1cccc2[N+](=O)[O-])[C@H]1CC12CC2 ZINC000827701574 782041425 /nfs/dbraw/zinc/04/14/25/782041425.db2.gz NDTXXAONCDUGMN-LLVKDONJSA-N 0 0 283.287 2.882 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)NCc1ccccc1 ZINC000041316277 270227020 /nfs/dbraw/zinc/22/70/20/270227020.db2.gz JIYILTYNVWFDOQ-UHFFFAOYSA-N 0 0 299.330 2.637 20 5 CFBDRN Cc1cnc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cn1 ZINC000192732595 270234121 /nfs/dbraw/zinc/23/41/21/270234121.db2.gz SCZAPRONWDXVGS-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1cc(NC(=O)NCc2ccncc2)ccc1[N+](=O)[O-] ZINC000193514349 270238814 /nfs/dbraw/zinc/23/88/14/270238814.db2.gz NXSOVBMXGNCEMI-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN COCCO[C@@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000193553600 270239084 /nfs/dbraw/zinc/23/90/84/270239084.db2.gz AQLDDUBGTLBPAJ-CYBMUJFWSA-N 0 0 294.351 2.535 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000193977096 270241369 /nfs/dbraw/zinc/24/13/69/270241369.db2.gz JBQGUVDWNPNGJH-YUMQZZPRSA-N 0 0 256.327 2.821 20 5 CFBDRN CC[C@H]1CN(C(=O)c2c(C)cccc2[N+](=O)[O-])CCS1 ZINC000194775167 270247026 /nfs/dbraw/zinc/24/70/26/270247026.db2.gz DSIBTVNSDMIGEE-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2F)C1(C)C ZINC000227821768 270257673 /nfs/dbraw/zinc/25/76/73/270257673.db2.gz GAXHWXVHXUBWEL-VXGBXAGGSA-N 0 0 268.288 2.959 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CCSC ZINC000291516790 270284197 /nfs/dbraw/zinc/28/41/97/270284197.db2.gz XMIANXFZGXKRRF-UHFFFAOYSA-N 0 0 268.338 2.849 20 5 CFBDRN CC(C)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000310319601 270288543 /nfs/dbraw/zinc/28/85/43/270288543.db2.gz UDIHXXWQVHZMKP-UHFFFAOYSA-N 0 0 252.299 2.667 20 5 CFBDRN CCC[C@@H](Nc1ncc([N+](=O)[O-])cn1)C1CCC1 ZINC000324795922 270298557 /nfs/dbraw/zinc/29/85/57/270298557.db2.gz NJCBNSZLJWDHRW-LLVKDONJSA-N 0 0 250.302 2.766 20 5 CFBDRN CCn1cc(CNc2ccnc3c2cccc3[N+](=O)[O-])cn1 ZINC000390144546 270305646 /nfs/dbraw/zinc/30/56/46/270305646.db2.gz UZBRRJCLNYJAHE-UHFFFAOYSA-N 0 0 297.318 2.972 20 5 CFBDRN Cc1cc(NC[C@H]2CCCCC2(F)F)ncc1[N+](=O)[O-] ZINC000391029520 270306107 /nfs/dbraw/zinc/30/61/07/270306107.db2.gz UQVWSPOBXIZLOP-SNVBAGLBSA-N 0 0 285.294 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CC34CCOCC4)ccc2c1 ZINC000395644000 270313125 /nfs/dbraw/zinc/31/31/25/270313125.db2.gz GFAMGOWDIPPZGA-AWEZNQCLSA-N 0 0 299.330 2.546 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2C[C@]2(C)C(C)C)n1 ZINC000397178585 270315833 /nfs/dbraw/zinc/31/58/33/270315833.db2.gz HPULITAHFIIBAY-SMDDNHRTSA-N 0 0 293.323 2.623 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2C[C@]2(C)C(C)C)n1 ZINC000397178581 270316172 /nfs/dbraw/zinc/31/61/72/270316172.db2.gz HPULITAHFIIBAY-BXUZGUMPSA-N 0 0 293.323 2.623 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CS[C@H](C)C2)c1 ZINC000397754858 270319564 /nfs/dbraw/zinc/31/95/64/270319564.db2.gz FUOPXOTZGGMQRP-PSASIEDQSA-N 0 0 296.348 2.687 20 5 CFBDRN C[C@@H](CCO)CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000397835459 270320124 /nfs/dbraw/zinc/32/01/24/270320124.db2.gz MGKBQNYWHOMIKN-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2=CCOCC2)c2ncccc12 ZINC000397890749 270320483 /nfs/dbraw/zinc/32/04/83/270320483.db2.gz RXRBUNUUFNELFX-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN Cc1ccncc1/C=C/C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000492497358 270344630 /nfs/dbraw/zinc/34/46/30/270344630.db2.gz DYASAVDUPKQOFZ-ZZXKWVIFSA-N 0 0 298.302 2.654 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ccn(C(C)C)n1 ZINC000514702033 270349558 /nfs/dbraw/zinc/34/95/58/270349558.db2.gz FITSREPWJDYVOZ-UHFFFAOYSA-N 0 0 292.343 2.509 20 5 CFBDRN CC(C)Oc1nc(N2CCS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000514953360 270359314 /nfs/dbraw/zinc/35/93/14/270359314.db2.gz VAASGRGCOCUFDQ-SNVBAGLBSA-N 0 0 297.380 2.719 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])nc2)nc2ccccc12 ZINC000514968151 270359562 /nfs/dbraw/zinc/35/95/62/270359562.db2.gz CZUJFUXQJUHNBP-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN CCc1ncc(CN[C@H]2CCc3c2cccc3[N+](=O)[O-])o1 ZINC000517171988 270398768 /nfs/dbraw/zinc/39/87/68/270398768.db2.gz CRZGGHPCEPMUSX-ZDUSSCGKSA-N 0 0 287.319 2.922 20 5 CFBDRN CCC[C@@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000525226595 270475148 /nfs/dbraw/zinc/47/51/48/270475148.db2.gz AYPLTFRCIVTFSH-HNNXBMFYSA-N 0 0 293.367 2.976 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N(CC1CC1)CC1CCC1 ZINC000530318323 270785061 /nfs/dbraw/zinc/78/50/61/270785061.db2.gz AWLOANBQSAQBAI-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1ccc([N+](=O)[O-])cn1)CCC2 ZINC000532138145 270854127 /nfs/dbraw/zinc/85/41/27/270854127.db2.gz UTGNQWJNLCAUDP-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)[C@@H](C)C1 ZINC000247161805 287704336 /nfs/dbraw/zinc/70/43/36/287704336.db2.gz ZMPRAHNWZOMYIC-KXUCPTDWSA-N 0 0 266.297 2.742 20 5 CFBDRN CO[C@@H](C)CN(C)Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000353227514 274055111 /nfs/dbraw/zinc/05/51/11/274055111.db2.gz HRDNLXFEWVWNOY-VIFPVBQESA-N 0 0 272.732 2.715 20 5 CFBDRN C[C@@H]1CCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H]1C ZINC000444690541 274303138 /nfs/dbraw/zinc/30/31/38/274303138.db2.gz UCIGSZKSLCCAHY-GHMZBOCLSA-N 0 0 288.351 2.949 20 5 CFBDRN Cc1cccc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)n1 ZINC000073904395 274788953 /nfs/dbraw/zinc/78/89/53/274788953.db2.gz VKNNRVJPXDIBMV-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN O=C(NCC(F)(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000338369562 274980463 /nfs/dbraw/zinc/98/04/63/274980463.db2.gz NHFLROYZRFVOFK-UHFFFAOYSA-N 0 0 288.181 2.633 20 5 CFBDRN CC(C)CN(C(=O)Nc1ccncc1[N+](=O)[O-])C1CC1 ZINC000183547350 275566957 /nfs/dbraw/zinc/56/69/57/275566957.db2.gz DQIQRXIDEFYHNN-UHFFFAOYSA-N 0 0 278.312 2.642 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)Nc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000433862716 275723061 /nfs/dbraw/zinc/72/30/61/275723061.db2.gz AZQMVMZCICLINP-UHFFFAOYSA-N 0 0 292.270 2.833 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000338426074 275883645 /nfs/dbraw/zinc/88/36/45/275883645.db2.gz VPSZHJKLUOOIJL-LBPRGKRZSA-N 0 0 289.360 2.871 20 5 CFBDRN CCC[C@@H](C)[C@@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000274019423 276022374 /nfs/dbraw/zinc/02/23/74/276022374.db2.gz VWGPKMUZKHQZDR-PWSUYJOCSA-N 0 0 264.329 2.790 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000430463432 276040413 /nfs/dbraw/zinc/04/04/13/276040413.db2.gz BCFOBBGVSURMSQ-UWVGGRQHSA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000269361337 276041783 /nfs/dbraw/zinc/04/17/83/276041783.db2.gz VUGPGGSBDPGZKE-UWVGGRQHSA-N 0 0 294.355 2.750 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H](C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000457232054 276041792 /nfs/dbraw/zinc/04/17/92/276041792.db2.gz RHOIQCBIJLOKMB-SECBINFHSA-N 0 0 296.371 2.996 20 5 CFBDRN CC1(C)CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000276865305 276110418 /nfs/dbraw/zinc/11/04/18/276110418.db2.gz DEYZKOOGEBRHNX-VIFPVBQESA-N 0 0 266.297 2.886 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286831947 276158169 /nfs/dbraw/zinc/15/81/69/276158169.db2.gz ZFYBKITUUNACIF-ZETCQYMHSA-N 0 0 296.377 2.905 20 5 CFBDRN CC1(C)SC[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000276538618 276158688 /nfs/dbraw/zinc/15/86/88/276158688.db2.gz WRLLVONTLMLOID-ZCFIWIBFSA-N 0 0 284.366 2.610 20 5 CFBDRN CC1(CO)CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000293005253 276169067 /nfs/dbraw/zinc/16/90/67/276169067.db2.gz JMMAOTABLADPAE-UHFFFAOYSA-N 0 0 284.743 2.847 20 5 CFBDRN CC1=C[C@@H](C)CN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000362374400 276183906 /nfs/dbraw/zinc/18/39/06/276183906.db2.gz AKPBBBPCWWXBDP-MRVPVSSYSA-N 0 0 265.288 2.719 20 5 CFBDRN CCO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H]1C ZINC000450743137 276359694 /nfs/dbraw/zinc/35/96/94/276359694.db2.gz FVQAOHNFCAPICL-CABZTGNLSA-N 0 0 299.758 2.895 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000156363390 276467817 /nfs/dbraw/zinc/46/78/17/276467817.db2.gz GRSUUMASQDARNP-BDAKNGLRSA-N 0 0 268.338 2.965 20 5 CFBDRN CC[C@@H]1CN(c2nccc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000450238631 276499939 /nfs/dbraw/zinc/49/99/39/276499939.db2.gz WNOGPCGUNGQPIF-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@@H](C)[C@@H]1CCCCN1c1ncc([N+](=O)[O-])cn1 ZINC000274219649 276522946 /nfs/dbraw/zinc/52/29/46/276522946.db2.gz YNZITLZSCUJJAV-PWSUYJOCSA-N 0 0 264.329 2.790 20 5 CFBDRN CC[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@@H](CC)O1 ZINC000420639873 276577012 /nfs/dbraw/zinc/57/70/12/276577012.db2.gz YJEGJUMYRYMHAM-VXGBXAGGSA-N 0 0 264.325 2.989 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000420643497 276587218 /nfs/dbraw/zinc/58/72/18/276587218.db2.gz UMMJSDAILPTVNN-WDEREUQCSA-N 0 0 291.351 2.932 20 5 CFBDRN CC[C@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000103376400 276612782 /nfs/dbraw/zinc/61/27/82/276612782.db2.gz NXPWYYKNZLULKN-VHSXEESVSA-N 0 0 262.309 2.919 20 5 CFBDRN CC[C@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)C[C@H](C)O1 ZINC000360904429 276663237 /nfs/dbraw/zinc/66/32/37/276663237.db2.gz RTGYYYZLYDHDTB-GWCFXTLKSA-N 0 0 292.335 2.801 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000176537164 276679545 /nfs/dbraw/zinc/67/95/45/276679545.db2.gz UWJOLYDJDBPTKW-IAQYHMDHSA-N 0 0 274.320 2.920 20 5 CFBDRN CN(c1ncnc2sc([N+](=O)[O-])cc21)C1CCC1 ZINC000288417949 277004962 /nfs/dbraw/zinc/00/49/62/277004962.db2.gz NRTQIOPXFLMXIK-UHFFFAOYSA-N 0 0 264.310 2.588 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2C[C@H]3CCC[C@@H]3C2)c1 ZINC000136307819 277162159 /nfs/dbraw/zinc/16/21/59/277162159.db2.gz VTFVIJAKIFETTI-VXGBXAGGSA-N 0 0 290.319 2.618 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC(C)(C)C)c1 ZINC000136299533 277162185 /nfs/dbraw/zinc/16/21/85/277162185.db2.gz YXUGWAACFNDNBR-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN CC(C)(C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000335705529 277171754 /nfs/dbraw/zinc/17/17/54/277171754.db2.gz OTZMFDQAXAPMNC-UHFFFAOYSA-N 0 0 261.281 2.604 20 5 CFBDRN CC(C)(C)NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000042630976 277172032 /nfs/dbraw/zinc/17/20/32/277172032.db2.gz FVFQMSAQNOITOM-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN CO[C@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000368735417 277337420 /nfs/dbraw/zinc/33/74/20/277337420.db2.gz AWJJTAXCEKDTBA-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN CO[C@](C)([C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000412613339 277357905 /nfs/dbraw/zinc/35/79/05/277357905.db2.gz PNMMGJOWAIEIMM-ZUZCIYMTSA-N 0 0 292.335 2.528 20 5 CFBDRN COc1cc(C(=O)N2CCC(C)(C)CC2)ccc1[N+](=O)[O-] ZINC000339151419 277367753 /nfs/dbraw/zinc/36/77/53/277367753.db2.gz YFUORVNXAJCULX-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000075291650 277369654 /nfs/dbraw/zinc/36/96/54/277369654.db2.gz PZBMTEWNTYRRGP-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc(N2CCC[C@H](OC)CC2)c([N+](=O)[O-])cc1F ZINC000374665615 277381558 /nfs/dbraw/zinc/38/15/58/277381558.db2.gz VWKLLWDVRZYHIL-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN COCC1=CCN(c2nc3ccccc3cc2[N+](=O)[O-])CC1 ZINC000276538355 277485674 /nfs/dbraw/zinc/48/56/74/277485674.db2.gz CUNMYDAOHFRVPR-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 ZINC000374661581 277646175 /nfs/dbraw/zinc/64/61/75/277646175.db2.gz LWBVTORFBKJEQF-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN CO[C@@H]1CCCN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000413119344 277646397 /nfs/dbraw/zinc/64/63/97/277646397.db2.gz NTZCCHRJTYYTNQ-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000277301553 277942182 /nfs/dbraw/zinc/94/21/82/277942182.db2.gz AVEBLXJOQXILSS-PWSUYJOCSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@@H]1C[C@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)[C@@H](C)O1 ZINC000413526531 278013543 /nfs/dbraw/zinc/01/35/43/278013543.db2.gz BWXWGQOPJJORPP-GMTAPVOTSA-N 0 0 291.351 2.788 20 5 CFBDRN CC(C)c1ccc(C(=O)NC2=NO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000275992059 278030686 /nfs/dbraw/zinc/03/06/86/278030686.db2.gz GOJANEQSRMKDIW-VIFPVBQESA-N 0 0 291.307 2.570 20 5 CFBDRN C[C@@H]1Cc2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000336580120 278039402 /nfs/dbraw/zinc/03/94/02/278039402.db2.gz AKCRHUFYWKJYMV-LLVKDONJSA-N 0 0 299.330 2.520 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000178156679 278041897 /nfs/dbraw/zinc/04/18/97/278041897.db2.gz HKQQNNOXLUZQKI-SNVBAGLBSA-N 0 0 297.314 2.768 20 5 CFBDRN C[C@@H]1[C@H](CO)CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000413586844 278096258 /nfs/dbraw/zinc/09/62/58/278096258.db2.gz DVZOHDNCWZVPSW-ZJUUUORDSA-N 0 0 284.743 2.845 20 5 CFBDRN CC(C)n1ncnc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000279744290 278154041 /nfs/dbraw/zinc/15/40/41/278154041.db2.gz PIRJIODDKXXBSW-LLVKDONJSA-N 0 0 289.339 2.618 20 5 CFBDRN CC(=O)c1ccc(N2CCO[C@@H]3CCC[C@H]32)c([N+](=O)[O-])c1 ZINC000227154039 278247537 /nfs/dbraw/zinc/24/75/37/278247537.db2.gz TVBPCQLYQZAOEA-UKRRQHHQSA-N 0 0 290.319 2.555 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1c1ncc([N+](=O)[O-])s1 ZINC000374422201 278327711 /nfs/dbraw/zinc/32/77/11/278327711.db2.gz JEZMFUDGKJVVKB-QMMMGPOBSA-N 0 0 253.327 2.820 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H]1C ZINC000267606829 278370266 /nfs/dbraw/zinc/37/02/66/278370266.db2.gz GGPCTAGNKYVHKV-IUCAKERBSA-N 0 0 265.269 2.738 20 5 CFBDRN CC1(C)C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278155648 278390325 /nfs/dbraw/zinc/39/03/25/278390325.db2.gz BQHHYYZSTNPAKG-SNVBAGLBSA-N 0 0 291.351 2.932 20 5 CFBDRN CC1(CNc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])CCC1 ZINC000226808972 278463866 /nfs/dbraw/zinc/46/38/66/278463866.db2.gz FDIIJEBROHJZBG-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN Cc1cc(C(=O)N2CCCC(C)(C)C2)c(N)c([N+](=O)[O-])c1 ZINC000112727516 278632269 /nfs/dbraw/zinc/63/22/69/278632269.db2.gz FRTBCQKYMHNDGG-UHFFFAOYSA-N 0 0 291.351 2.748 20 5 CFBDRN CCC1(CO)CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000275403184 278641743 /nfs/dbraw/zinc/64/17/43/278641743.db2.gz FIOWLHSWGFDWCC-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN Cc1cc(N2CCC[C@H](F)C2)c(F)cc1[N+](=O)[O-] ZINC000360085794 278710269 /nfs/dbraw/zinc/71/02/69/278710269.db2.gz ALBXCQGHEHZUAW-VIFPVBQESA-N 0 0 256.252 2.981 20 5 CFBDRN Cc1cc(N2C[C@H](C)OC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000290927980 278718547 /nfs/dbraw/zinc/71/85/47/278718547.db2.gz IOMDQOSBUWGBRH-ZJUUUORDSA-N 0 0 268.288 2.656 20 5 CFBDRN Cc1cc(N[C@H]2CCCC[C@@H]2O)c(F)cc1[N+](=O)[O-] ZINC000292638165 278734982 /nfs/dbraw/zinc/73/49/82/278734982.db2.gz OLVASWPUMRDROS-GWCFXTLKSA-N 0 0 268.288 2.758 20 5 CFBDRN C[C@@H]1CN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C[C@H]1C ZINC000486938649 278810184 /nfs/dbraw/zinc/81/01/84/278810184.db2.gz IYORRZYPOUIJTK-NXEZZACHSA-N 0 0 288.307 2.737 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cscn1 ZINC000059895287 278866207 /nfs/dbraw/zinc/86/62/07/278866207.db2.gz CDJYYCXPIFFALR-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)[C@@H](C)O1 ZINC000413526532 278894566 /nfs/dbraw/zinc/89/45/66/278894566.db2.gz BWXWGQOPJJORPP-MXWKQRLJSA-N 0 0 291.351 2.788 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncnc3sc([N+](=O)[O-])cc32)CCO1 ZINC000286583275 279000110 /nfs/dbraw/zinc/00/01/10/279000110.db2.gz STLXVAGXBKQFGD-HTQZYQBOSA-N 0 0 294.336 2.579 20 5 CFBDRN C[C@@H]1C[C@H](c2noc(-c3ccc([N+](=O)[O-])cc3)n2)CCO1 ZINC000357476221 279006299 /nfs/dbraw/zinc/00/62/99/279006299.db2.gz UEGPEPWHMHYGAT-MWLCHTKSSA-N 0 0 289.291 2.927 20 5 CFBDRN Cc1cnccc1C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000274581580 279026035 /nfs/dbraw/zinc/02/60/35/279026035.db2.gz CNOPBOCXKNEANB-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1nc(-c2noc(-c3ccc([N+](=O)[O-])cc3)n2)co1 ZINC000347319878 279064508 /nfs/dbraw/zinc/06/45/08/279064508.db2.gz XPUGXBRTMBDASG-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1nc(C(C)C)ccc1Cn1nccc1[N+](=O)[O-] ZINC000292575399 279072190 /nfs/dbraw/zinc/07/21/90/279072190.db2.gz FJWMTRJIZWOKPL-UHFFFAOYSA-N 0 0 260.297 2.666 20 5 CFBDRN C[C@@H]1c2ccccc2CCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000335108304 279133137 /nfs/dbraw/zinc/13/31/37/279133137.db2.gz UACMFSVHNFOZBY-LLVKDONJSA-N 0 0 299.330 2.693 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1C[C@H](c2ccc(F)cc2)C1 ZINC000292770945 279385651 /nfs/dbraw/zinc/38/56/51/279385651.db2.gz OYENLNVJKKXOLI-UMSPYCQHSA-N 0 0 290.298 2.826 20 5 CFBDRN Nc1c(F)c(N2C[C@@H]3CCCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000450769291 279694580 /nfs/dbraw/zinc/69/45/80/279694580.db2.gz XQJSOEUOABSYBJ-AOOOYVTPSA-N 0 0 279.315 2.943 20 5 CFBDRN CCO[C@@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@@H]1C ZINC000450748704 279783535 /nfs/dbraw/zinc/78/35/35/279783535.db2.gz XGOSTRBVOHGKRN-SMDDNHRTSA-N 0 0 264.325 2.846 20 5 CFBDRN O=C(NC1(c2ccccc2)CCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000352151249 279817017 /nfs/dbraw/zinc/81/70/17/279817017.db2.gz PCKKFWYQOQAPPS-UHFFFAOYSA-N 0 0 285.303 2.732 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cn2)c2ccccc21 ZINC000302160306 279891214 /nfs/dbraw/zinc/89/12/14/279891214.db2.gz OVKRWNXMTBQYLD-VIFPVBQESA-N 0 0 256.265 2.640 20 5 CFBDRN CCO[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000450747105 279905404 /nfs/dbraw/zinc/90/54/04/279905404.db2.gz SKYISQBNPKQVKO-GWCFXTLKSA-N 0 0 282.315 2.985 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000336846271 279920063 /nfs/dbraw/zinc/92/00/63/279920063.db2.gz MDDFBRHMWZQDCA-CMPLNLGQSA-N 0 0 287.319 2.980 20 5 CFBDRN O=C(N[C@H]1CCCc2sccc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000335245573 279965251 /nfs/dbraw/zinc/96/52/51/279965251.db2.gz MZYVDXPTDRZBLR-JTQLQIEISA-N 0 0 291.332 2.792 20 5 CFBDRN CC[C@H]1CCCCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000134874435 288186556 /nfs/dbraw/zinc/18/65/56/288186556.db2.gz SIRCLVJMMHTWML-NSHDSACASA-N 0 0 291.351 2.972 20 5 CFBDRN CC(C)[C@@H]1CN(c2ccccc2[N+](=O)[O-])CCCO1 ZINC000293986955 280016672 /nfs/dbraw/zinc/01/66/72/280016672.db2.gz ISBYDGSRGXTCDY-AWEZNQCLSA-N 0 0 264.325 2.846 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C1=CCCC1 ZINC000331656004 280022929 /nfs/dbraw/zinc/02/29/29/280022929.db2.gz WJVAQDCMRVFERH-JTQLQIEISA-N 0 0 272.304 2.983 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1CCSC1 ZINC000336537940 280023481 /nfs/dbraw/zinc/02/34/81/280023481.db2.gz RPIVTJTVHPZOLI-VHSXEESVSA-N 0 0 292.360 2.626 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000348008293 280033639 /nfs/dbraw/zinc/03/36/39/280033639.db2.gz VLJMHOAVFXHSIM-LKFCYVNXSA-N 0 0 285.303 2.586 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000302169981 280034846 /nfs/dbraw/zinc/03/48/46/280034846.db2.gz UDETVXQETTVUFE-TVQRCGJNSA-N 0 0 270.292 2.730 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000458617254 280037952 /nfs/dbraw/zinc/03/79/52/280037952.db2.gz KIVAMRNAWAKJQH-WCFLWFBJSA-N 0 0 291.351 3.000 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161453796 280116194 /nfs/dbraw/zinc/11/61/94/280116194.db2.gz JPBIQAUEUCEBBV-NXEZZACHSA-N 0 0 279.340 2.801 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)C[C@@H]1c1ccccc1 ZINC000355008814 280156893 /nfs/dbraw/zinc/15/68/93/280156893.db2.gz ZEAHVGACMBHGSE-MLGOLLRUSA-N 0 0 299.330 2.847 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCCC1 ZINC000103440443 280167897 /nfs/dbraw/zinc/16/78/97/280167897.db2.gz PNFRKFDGZKFANT-UHFFFAOYSA-N 0 0 288.225 2.850 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000282583460 280246077 /nfs/dbraw/zinc/24/60/77/280246077.db2.gz PIDDJROAXVWOQF-IGJMFERPSA-N 0 0 278.308 2.655 20 5 CFBDRN O=C(c1sccc1F)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000335927927 280246649 /nfs/dbraw/zinc/24/66/49/280246649.db2.gz HEEWQSCSFXQLCH-UHFFFAOYSA-N 0 0 292.291 2.998 20 5 CFBDRN Cc1c(N[C@H](C)c2ccccc2[N+](=O)[O-])cnn1C ZINC000347375594 280250498 /nfs/dbraw/zinc/25/04/98/280250498.db2.gz PTFSBMQLLUGFFY-SECBINFHSA-N 0 0 260.297 2.810 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000271506102 280323686 /nfs/dbraw/zinc/32/36/86/280323686.db2.gz XXTZIAOPSWRVQF-RKDXNWHRSA-N 0 0 252.270 2.591 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@@]2(CCOC2)C1 ZINC000301617987 280358419 /nfs/dbraw/zinc/35/84/19/280358419.db2.gz WKVBAZYCAZEYLA-CYBMUJFWSA-N 0 0 282.727 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@H]3OCC[C@H]32)c(F)c1 ZINC000376672892 280359501 /nfs/dbraw/zinc/35/95/01/280359501.db2.gz PKNANDIZUICIHH-VXGBXAGGSA-N 0 0 284.262 2.631 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H](CCO)CC1 ZINC000420641076 280360588 /nfs/dbraw/zinc/36/05/88/280360588.db2.gz NKOLYWPOLAWNOM-NSHDSACASA-N 0 0 282.315 2.723 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1CC[C@H](F)C1 ZINC000340985309 280361233 /nfs/dbraw/zinc/36/12/33/280361233.db2.gz YNHQBANJYBKXPP-WDEREUQCSA-N 0 0 275.283 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1Cn1cnc2c1CCCC2 ZINC000130431001 280365125 /nfs/dbraw/zinc/36/51/25/280365125.db2.gz SDRDCOUYRBVDJP-UHFFFAOYSA-N 0 0 275.283 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCOC[C@@H](C2CCC2)C1 ZINC000377405338 280365368 /nfs/dbraw/zinc/36/53/68/280365368.db2.gz YBQOMIPMIQAWPS-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCOCC3)[C@H]2C2CC2)cc1 ZINC000292890763 280365759 /nfs/dbraw/zinc/36/57/59/280365759.db2.gz VUWYWUHMGANXGI-OAHLLOKOSA-N 0 0 288.347 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH2+][C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000459758110 280369265 /nfs/dbraw/zinc/36/92/65/280369265.db2.gz LESWYTCMMPSZMU-JIMOISOXSA-N 0 0 262.309 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC4(C3)CCCC4)nc2c1 ZINC000408455537 280371391 /nfs/dbraw/zinc/37/13/91/280371391.db2.gz DRWVAAGVNFDCAV-UHFFFAOYSA-N 0 0 272.308 2.852 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1c1ncc[nH]1 ZINC000364039335 280374907 /nfs/dbraw/zinc/37/49/07/280374907.db2.gz XOXRJGQHSYTTMA-GFCCVEGCSA-N 0 0 258.281 2.659 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1C[C@@H](O)C[C@H]1c1ccccc1 ZINC000413502671 280375673 /nfs/dbraw/zinc/37/56/73/280375673.db2.gz NRNFZZKBJGRKLI-BBRMVZONSA-N 0 0 284.315 2.907 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCOC2(CCC2)C1 ZINC000268987355 280377003 /nfs/dbraw/zinc/37/70/03/280377003.db2.gz TWSVGIOUWRMGDV-SNVBAGLBSA-N 0 0 263.297 2.503 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCCC3)CC2)nc1 ZINC000273625280 280378683 /nfs/dbraw/zinc/37/86/83/280378683.db2.gz PJFLCRPMKUFNRH-UHFFFAOYSA-N 0 0 262.313 2.545 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](F)C2)c(C(F)(F)F)c1 ZINC000413266698 280379776 /nfs/dbraw/zinc/37/97/76/280379776.db2.gz KFUPDYXJEMCNFM-ZETCQYMHSA-N 0 0 293.220 2.947 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC3(CCCCC3)C2)s1 ZINC000192466654 280379970 /nfs/dbraw/zinc/37/99/70/280379970.db2.gz VBACZAUIBLKJIV-UHFFFAOYSA-N 0 0 283.353 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](F)C2)c(C(F)(F)F)c1 ZINC000413318689 280380420 /nfs/dbraw/zinc/38/04/20/280380420.db2.gz BTBRMHVMXZKWOY-ZCFIWIBFSA-N 0 0 279.193 2.557 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCS[C@H]3CCCC[C@@H]32)s1 ZINC000192482210 280380428 /nfs/dbraw/zinc/38/04/28/280380428.db2.gz KXEJJDZJPJNFNX-IUCAKERBSA-N 0 0 285.394 2.916 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCSC3(CCCCC3)C2)nc1 ZINC000273216481 280380601 /nfs/dbraw/zinc/38/06/01/280380601.db2.gz UQVNTVFOTXTLOZ-UHFFFAOYSA-N 0 0 294.380 2.641 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](F)C2)c(C(F)(F)F)c1 ZINC000413318675 280380877 /nfs/dbraw/zinc/38/08/77/280380877.db2.gz BTBRMHVMXZKWOY-LURJTMIESA-N 0 0 279.193 2.557 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H](C3CC3)[C@@H]2C2CC2)c(F)c1 ZINC000413315411 280381381 /nfs/dbraw/zinc/38/13/81/280381381.db2.gz KNJKVWTZDVXPHA-YPMHNXCESA-N 0 0 277.299 2.754 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@@H](C(F)(F)F)C2)nc1 ZINC000273569964 280382123 /nfs/dbraw/zinc/38/21/23/280382123.db2.gz DAPUNGQHMIKEEN-SFYZADRCSA-N 0 0 290.245 2.918 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CC[C@H](F)C2)c(Cl)c1 ZINC000340912020 280382486 /nfs/dbraw/zinc/38/24/86/280382486.db2.gz LSRSHUWWKDGRQM-BQBZGAKWSA-N 0 0 259.668 2.946 20 5 CFBDRN CC[C@H]1CCC[C@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330435200 288231777 /nfs/dbraw/zinc/23/17/77/288231777.db2.gz AQRPBKIAPWGTAJ-GXFFZTMASA-N 0 0 264.325 2.969 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCC1CCCC1 ZINC000044705281 280423470 /nfs/dbraw/zinc/42/34/70/280423470.db2.gz SFYIUCPGHVCBGL-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CC(C)c1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000049044512 280436199 /nfs/dbraw/zinc/43/61/99/280436199.db2.gz ZBILGKXYSNLRRO-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccncc1 ZINC000056499503 280450732 /nfs/dbraw/zinc/45/07/32/280450732.db2.gz IAYMYGRIHKKCIZ-UHFFFAOYSA-N 0 0 286.291 2.654 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCc2ccc(F)cc21 ZINC000058742492 280456552 /nfs/dbraw/zinc/45/65/52/280456552.db2.gz JGSUZULQOVUAJN-UHFFFAOYSA-N 0 0 292.291 2.998 20 5 CFBDRN CCCN(CCC)C(=O)COc1cc(C)ccc1[N+](=O)[O-] ZINC000061366079 280460370 /nfs/dbraw/zinc/46/03/70/280460370.db2.gz IPKZSXRJTKJWEA-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCc2ccccc21 ZINC000067662030 280473008 /nfs/dbraw/zinc/47/30/08/280473008.db2.gz KKANOLWSTJVCQD-UHFFFAOYSA-N 0 0 297.314 2.867 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)c2cccnc2)c1[N+](=O)[O-] ZINC000070900811 280485325 /nfs/dbraw/zinc/48/53/25/280485325.db2.gz APCBJTSHWOLAFX-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@H](NCc1cccc([N+](=O)[O-])c1)c1nccs1 ZINC000070942528 280485555 /nfs/dbraw/zinc/48/55/55/280485555.db2.gz YXBYUQUYBPQAFR-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN Cc1cc(Cl)cnc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000362240159 280510587 /nfs/dbraw/zinc/51/05/87/280510587.db2.gz WBPCWHJFDUTGES-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NCc1cccc(O)c1 ZINC000077290399 280524239 /nfs/dbraw/zinc/52/42/39/280524239.db2.gz HELYWDKAAQZPQM-CMDGGOBGSA-N 0 0 298.298 2.630 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCC[C@H]2O)c(F)cc1[N+](=O)[O-] ZINC000360090076 280538685 /nfs/dbraw/zinc/53/86/85/280538685.db2.gz NUAHVJQTFJZXKY-QMTHXVAHSA-N 0 0 282.315 2.640 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000136515929 288244108 /nfs/dbraw/zinc/24/41/08/288244108.db2.gz HNHYZLVGHXZRJR-UWVGGRQHSA-N 0 0 277.324 2.580 20 5 CFBDRN CC(=O)[C@@H](C)SCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000083123782 280547437 /nfs/dbraw/zinc/54/74/37/280547437.db2.gz JRZDMEMTXQSNIB-SNVBAGLBSA-N 0 0 296.348 2.552 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)c1ccccc1[N+](=O)[O-] ZINC000335950228 288244993 /nfs/dbraw/zinc/24/49/93/288244993.db2.gz BFWXOBQQHDGAFF-QWRGUYRKSA-N 0 0 262.309 2.998 20 5 CFBDRN CCC1(C(=O)NCCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000089302064 280562249 /nfs/dbraw/zinc/56/22/49/280562249.db2.gz CHBRZKFJGPODCJ-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN Cc1nc(C)n(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)n1 ZINC000089683313 280564200 /nfs/dbraw/zinc/56/42/00/280564200.db2.gz RCMZJZXPHFGEEM-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1ccccc1F ZINC000089835178 280565333 /nfs/dbraw/zinc/56/53/33/280565333.db2.gz WLDQMXRHOQIGCI-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCCC1 ZINC000093900139 280577379 /nfs/dbraw/zinc/57/73/79/280577379.db2.gz PYFSUHYIDLSOIH-UHFFFAOYSA-N 0 0 262.309 2.756 20 5 CFBDRN Cc1cc(N2CC[C@H]3OCCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000301718492 280578641 /nfs/dbraw/zinc/57/86/41/280578641.db2.gz LKYSATPCVRWYPB-SWLSCSKDSA-N 0 0 276.336 2.909 20 5 CFBDRN CCN(CC1CC1)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000096474041 280579112 /nfs/dbraw/zinc/57/91/12/280579112.db2.gz WEBQAQCQBNQLQZ-UHFFFAOYSA-N 0 0 277.324 2.509 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000302337784 280588736 /nfs/dbraw/zinc/58/87/36/280588736.db2.gz BFAFBHWTZOFCJW-DTWKUNHWSA-N 0 0 280.353 2.929 20 5 CFBDRN CSCCCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000119109425 280605382 /nfs/dbraw/zinc/60/53/82/280605382.db2.gz CVFVWGRQWKLTCK-UHFFFAOYSA-N 0 0 269.322 2.929 20 5 CFBDRN Cc1cc(N[C@@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000336793077 280628791 /nfs/dbraw/zinc/62/87/91/280628791.db2.gz VIXHIOMIWGUZJK-SECBINFHSA-N 0 0 254.261 2.633 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000311922399 280629989 /nfs/dbraw/zinc/62/99/89/280629989.db2.gz FDXPXVSLBUYSDN-GXSJLCMTSA-N 0 0 268.288 2.879 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000125929936 280647242 /nfs/dbraw/zinc/64/72/42/280647242.db2.gz QXTQMLOHRZFKCL-DZGCQCFKSA-N 0 0 276.336 2.690 20 5 CFBDRN CC1(NC(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000126111718 280649718 /nfs/dbraw/zinc/64/97/18/280649718.db2.gz DKDBUSPKKHCGBS-GQCTYLIASA-N 0 0 278.283 2.806 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCCOc1cccc(Cl)c1 ZINC000126427306 280653163 /nfs/dbraw/zinc/65/31/63/280653163.db2.gz HMMNWXSSAWUXDZ-UHFFFAOYSA-N 0 0 281.699 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1Cc2ccccc2CO1 ZINC000367936201 280665603 /nfs/dbraw/zinc/66/56/03/280665603.db2.gz VPNAKILLFOCNFP-UHFFFAOYSA-N 0 0 271.276 2.750 20 5 CFBDRN O=C(CCCn1nccc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000127992553 280671405 /nfs/dbraw/zinc/67/14/05/280671405.db2.gz YXKJCGNIZIGZBA-UHFFFAOYSA-N 0 0 277.255 2.594 20 5 CFBDRN C[C@@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@H]1C ZINC000408406395 288256205 /nfs/dbraw/zinc/25/62/05/288256205.db2.gz QNHQYOOZGRSKBP-NXEZZACHSA-N 0 0 261.325 2.655 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])c1ccc[nH]1 ZINC000130466210 280704787 /nfs/dbraw/zinc/70/47/87/280704787.db2.gz AJYVPIDMMSZLFW-UHFFFAOYSA-N 0 0 280.667 2.838 20 5 CFBDRN O=C(Nc1ccncc1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000134519273 280760103 /nfs/dbraw/zinc/76/01/03/280760103.db2.gz LTEBNMUFRVCNOV-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN Cc1cc(F)ccc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000135332147 280770146 /nfs/dbraw/zinc/77/01/46/280770146.db2.gz SDWPCLQROGEGCA-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CCn1nccc1CNCc1ccc([N+](=O)[O-])cc1Cl ZINC000183802472 280822919 /nfs/dbraw/zinc/82/29/19/280822919.db2.gz ZUWMYXQUUZGBOL-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN CO[C@@H](C)COc1ccc([N+](=O)[O-])c2ncccc12 ZINC000184972597 280831605 /nfs/dbraw/zinc/83/16/05/280831605.db2.gz DILUUYJCJDISIE-VIFPVBQESA-N 0 0 262.265 2.557 20 5 CFBDRN O=[N+]([O-])c1cn(CCc2ccccc2)nc1C1CC1 ZINC000185170599 280833730 /nfs/dbraw/zinc/83/37/30/280833730.db2.gz ZGODIFYQNZXDQA-UHFFFAOYSA-N 0 0 257.293 2.911 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2CCC(C)(C)O2)c1[N+](=O)[O-] ZINC000187358801 280854955 /nfs/dbraw/zinc/85/49/55/280854955.db2.gz UAIXRKAWZPCARV-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN COCCOc1ccc(Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000190315792 280887768 /nfs/dbraw/zinc/88/77/68/280887768.db2.gz VZXNLDYPESMDER-UHFFFAOYSA-N 0 0 295.320 2.820 20 5 CFBDRN CSC1(CNC(=O)/C=C/c2cccc([N+](=O)[O-])c2)CC1 ZINC000194527012 280921854 /nfs/dbraw/zinc/92/18/54/280921854.db2.gz UGCSHPSXDMFWTD-AATRIKPKSA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CN(C)Cc3ccccc32)n1 ZINC000378272944 281015259 /nfs/dbraw/zinc/01/52/59/281015259.db2.gz QCRBGEWBTUNUBB-AWEZNQCLSA-N 0 0 298.346 2.897 20 5 CFBDRN O=C(/C=C\c1cccnc1)Nc1cc([N+](=O)[O-])ccc1F ZINC000255042028 281085130 /nfs/dbraw/zinc/08/51/30/281085130.db2.gz MPCURVJZDUKIGK-UTCJRWHESA-N 0 0 287.250 2.781 20 5 CFBDRN Cc1cncc(/C=C\C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000255055775 281085416 /nfs/dbraw/zinc/08/54/16/281085416.db2.gz HWECOXULMVAURH-SREVYHEPSA-N 0 0 297.314 2.628 20 5 CFBDRN COCCCCCNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255217420 281091529 /nfs/dbraw/zinc/09/15/29/281091529.db2.gz RAPLUACBYPPFDM-KTKRTIGZSA-N 0 0 292.335 2.541 20 5 CFBDRN CCN(CC1CC1)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255293555 281092956 /nfs/dbraw/zinc/09/29/56/281092956.db2.gz IJQDUYJVVVPPPM-HJWRWDBZSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@H]2C1 ZINC000255386480 281096413 /nfs/dbraw/zinc/09/64/13/281096413.db2.gz QZYXXPVOLFPELE-XQYKZARXSA-N 0 0 286.331 2.867 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1c([N+](=O)[O-])ncn1C)[C@H](C)C2 ZINC000272422775 281098323 /nfs/dbraw/zinc/09/83/23/281098323.db2.gz FFNCUQPTGLGVST-MFKMUULPSA-N 0 0 286.335 2.982 20 5 CFBDRN Cc1cnccc1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255671487 281105130 /nfs/dbraw/zinc/10/51/30/281105130.db2.gz ZNNVAGSQYKZXSU-SREVYHEPSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1c([C@H](C)[NH2+]C[C@@H](O)C(C)C)cccc1[N+](=O)[O-] ZINC000268925889 281118208 /nfs/dbraw/zinc/11/82/08/281118208.db2.gz PYDDKCVLZHTXLT-SMDDNHRTSA-N 0 0 266.341 2.571 20 5 CFBDRN CCOCCNc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000280651072 281129922 /nfs/dbraw/zinc/12/99/22/281129922.db2.gz FNDPIAFGLKRXMH-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCc1ccccc1 ZINC000301111163 281147663 /nfs/dbraw/zinc/14/76/63/281147663.db2.gz VLYILSOMHYSVSP-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN CC[C@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000408172379 288302026 /nfs/dbraw/zinc/30/20/26/288302026.db2.gz VSNPJNKIZSGSCK-NEPJUHHUSA-N 0 0 279.340 2.610 20 5 CFBDRN CC[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000264735701 281178062 /nfs/dbraw/zinc/17/80/62/281178062.db2.gz JSKUUBHQCTXMOH-ZJUUUORDSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1nc(NC[C@H](C)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000396928115 281199030 /nfs/dbraw/zinc/19/90/30/281199030.db2.gz SKFYSIKUTRELKS-SSDOTTSWSA-N 0 0 277.246 2.721 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1cnc(C)cc1C ZINC000396989059 281199674 /nfs/dbraw/zinc/19/96/74/281199674.db2.gz UAZMSDYOKXLIJC-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncnc3sc([N+](=O)[O-])cc32)CS1 ZINC000397931775 281203592 /nfs/dbraw/zinc/20/35/92/281203592.db2.gz QEGRZSSVLANCTK-NKWVEPMBSA-N 0 0 296.377 2.905 20 5 CFBDRN C[C@H]1C[C@@H](CO)CN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000398805751 281209442 /nfs/dbraw/zinc/20/94/42/281209442.db2.gz PLEJCLLWHMIIBP-VHSXEESVSA-N 0 0 284.743 2.703 20 5 CFBDRN C[C@H]1CC[C@@H](O)CN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000399278926 281210104 /nfs/dbraw/zinc/21/01/04/281210104.db2.gz ZCTSJYQGSFEYRE-VHSXEESVSA-N 0 0 284.743 2.593 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC23CCCC3)ccc1[N+](=O)[O-] ZINC000430237948 281232648 /nfs/dbraw/zinc/23/26/48/281232648.db2.gz FZYKMNMECIDERK-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1ccnc(N2CCc3ccc(O)cc3C2)c1[N+](=O)[O-] ZINC000433424986 281233700 /nfs/dbraw/zinc/23/37/00/281233700.db2.gz UVLDKNBXIPHJTH-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1C[C@@H]1C1CC1 ZINC000433436426 281234491 /nfs/dbraw/zinc/23/44/91/281234491.db2.gz KVRHRZUAIVVMPS-NXEZZACHSA-N 0 0 264.256 2.719 20 5 CFBDRN Cc1cc(N[C@H](C)Cc2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000450846576 281245113 /nfs/dbraw/zinc/24/51/13/281245113.db2.gz UDDKUWCVTGXCTC-LLVKDONJSA-N 0 0 274.324 2.680 20 5 CFBDRN Cc1cccc(N[C@H]2c3ccccc3C[C@H]2O)c1[N+](=O)[O-] ZINC000275495813 281249018 /nfs/dbraw/zinc/24/90/18/281249018.db2.gz RTIQGGZSZYDABQ-CABCVRRESA-N 0 0 284.315 2.973 20 5 CFBDRN CC[C@H]1OCCC[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000277980559 281253748 /nfs/dbraw/zinc/25/37/48/281253748.db2.gz YIZNWPADSZSSRS-CHWSQXEVSA-N 0 0 278.308 2.739 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000459525794 281267816 /nfs/dbraw/zinc/26/78/16/281267816.db2.gz JJXDIJIUYUHUSL-WDEREUQCSA-N 0 0 293.323 2.769 20 5 CFBDRN CC1(C)CN(c2cccc(F)c2[N+](=O)[O-])CCCO1 ZINC000312059841 281268756 /nfs/dbraw/zinc/26/87/56/281268756.db2.gz BXPFTEJXLYGBSS-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN CC1(C)CN(c2ccccc2[N+](=O)[O-])[C@H]1[C@H]1CCCO1 ZINC000291152188 281269347 /nfs/dbraw/zinc/26/93/47/281269347.db2.gz PAYQPFIBESFQLP-KGLIPLIRSA-N 0 0 276.336 2.989 20 5 CFBDRN Cc1cccc2c1N(C(=O)c1cc([N+](=O)[O-])cn1C)CCC2 ZINC000335949737 281279959 /nfs/dbraw/zinc/27/99/59/281279959.db2.gz QXXBLLYHIRHRLS-UHFFFAOYSA-N 0 0 299.330 2.835 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NC1(c2ccccc2)CCC1 ZINC000514853599 281287089 /nfs/dbraw/zinc/28/70/89/281287089.db2.gz XSGODSZBIYKZDG-UHFFFAOYSA-N 0 0 299.330 2.743 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCO[C@@H](C2CCC2)C1 ZINC000515433061 281293014 /nfs/dbraw/zinc/29/30/14/281293014.db2.gz PWTHGBUNFPCOCM-CQSZACIVSA-N 0 0 292.335 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C3CCC2CC3)c1 ZINC000525133683 281311979 /nfs/dbraw/zinc/31/19/79/281311979.db2.gz SGOQABARRLKOMD-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)c2nccs2)c1 ZINC000525150972 281312001 /nfs/dbraw/zinc/31/20/01/281312001.db2.gz AWUXAHBGPMLZDG-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN Cc1cccc2nc(-n3ccc([N+](=O)[O-])n3)sc21 ZINC000358955343 281316177 /nfs/dbraw/zinc/31/61/77/281316177.db2.gz QRVWBEKYOYUZPZ-UHFFFAOYSA-N 0 0 260.278 2.699 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000525360265 281316497 /nfs/dbraw/zinc/31/64/97/281316497.db2.gz FAIWVQQIPJWUNK-MNOVXSKESA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@H](NC(=O)N1[C@H](C)C[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000526881047 281321677 /nfs/dbraw/zinc/32/16/77/281321677.db2.gz XKMOQETWXLSQMY-MXWKQRLJSA-N 0 0 277.324 2.848 20 5 CFBDRN CC[C@]1(C)CN(c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000302686477 281323041 /nfs/dbraw/zinc/32/30/41/281323041.db2.gz UBMBIXXSWGYDEB-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN CCOc1ccc(C(=O)N2[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000527474157 281333132 /nfs/dbraw/zinc/33/31/32/281333132.db2.gz CAHNMUHZBITPDT-AOOOYVTPSA-N 0 0 278.308 2.616 20 5 CFBDRN Cc1ccccc1[C@H]1CCN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000295460142 281393739 /nfs/dbraw/zinc/39/37/39/281393739.db2.gz HTUOFOPLNZZFMF-ZDUSSCGKSA-N 0 0 298.346 2.996 20 5 CFBDRN CCc1ccc(C(=O)N2CCSC[C@H]2C)cc1[N+](=O)[O-] ZINC000173453220 281397049 /nfs/dbraw/zinc/39/70/49/281397049.db2.gz KBYZJYHEVLPKCO-SNVBAGLBSA-N 0 0 294.376 2.735 20 5 CFBDRN CC[C@H]1COCCN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000301323879 288326028 /nfs/dbraw/zinc/32/60/28/288326028.db2.gz WVIOVISKFCLDPA-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531624662 281436015 /nfs/dbraw/zinc/43/60/15/281436015.db2.gz ZEALJYRNTYUCTC-JQWIXIFHSA-N 0 0 277.324 2.688 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531629041 281436678 /nfs/dbraw/zinc/43/66/78/281436678.db2.gz NJHQPPLFEJWXET-ZJUUUORDSA-N 0 0 265.313 2.544 20 5 CFBDRN CCc1cnc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)o1 ZINC000531676277 281437425 /nfs/dbraw/zinc/43/74/25/281437425.db2.gz QAXIPMWLSKKXBR-UHFFFAOYSA-N 0 0 286.291 2.852 20 5 CFBDRN Cc1ccnc(N2CCN(c3ccccc3[N+](=O)[O-])CC2)c1 ZINC000268325246 281459873 /nfs/dbraw/zinc/45/98/73/281459873.db2.gz OJJIUPMVBRWSPI-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CCCOC1 ZINC000534386614 281486036 /nfs/dbraw/zinc/48/60/36/281486036.db2.gz HMUIJKCKCJSJHX-LLVKDONJSA-N 0 0 251.282 2.709 20 5 CFBDRN CN(Cc1cccc(O)c1)c1ncc([N+](=O)[O-])cc1Cl ZINC000535630343 281504417 /nfs/dbraw/zinc/50/44/17/281504417.db2.gz APMCWJNBBLMQEN-UHFFFAOYSA-N 0 0 293.710 2.985 20 5 CFBDRN O=C(Nc1cccc(O)c1F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000535658394 281504602 /nfs/dbraw/zinc/50/46/02/281504602.db2.gz PGQOECDDZOFKFF-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN Cc1cc(NC(=O)CCc2cccnc2)ccc1[N+](=O)[O-] ZINC000540749633 281589542 /nfs/dbraw/zinc/58/95/42/281589542.db2.gz JXONDGAYARSCNO-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN O=C(Nc1ccc(O)c(Cl)c1)c1ccc([N+](=O)[O-])cn1 ZINC000540958751 281595389 /nfs/dbraw/zinc/59/53/89/281595389.db2.gz IISVLMKVYDPBDZ-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN C[C@@H](C(=O)N1C2CCC1CC2)c1ccc([N+](=O)[O-])cc1F ZINC000543444080 281646964 /nfs/dbraw/zinc/64/69/64/281646964.db2.gz RKQQLVZZQHMHEL-KPPDAEKUSA-N 0 0 292.310 2.991 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NC1(C2CC2)CC1 ZINC000544087982 281660814 /nfs/dbraw/zinc/66/08/14/281660814.db2.gz CQTKABNPLOLLQC-UHFFFAOYSA-N 0 0 292.360 2.746 20 5 CFBDRN CC1(C)CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000544621513 281670766 /nfs/dbraw/zinc/67/07/66/281670766.db2.gz AUIGWZKSVYMMLG-UHFFFAOYSA-N 0 0 267.260 2.750 20 5 CFBDRN CCCCC[C@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000544847387 281674692 /nfs/dbraw/zinc/67/46/92/281674692.db2.gz VRPMMQZLLRUYIW-NSHDSACASA-N 0 0 264.329 2.934 20 5 CFBDRN COc1cc(-c2nc(C(C)C)no2)cc([N+](=O)[O-])c1OC ZINC000544934876 281675526 /nfs/dbraw/zinc/67/55/26/281675526.db2.gz FKWQWLSEZBVWNS-UHFFFAOYSA-N 0 0 293.279 2.785 20 5 CFBDRN C[C@@H](C(=O)Nc1cncc(F)c1)c1cccc([N+](=O)[O-])c1 ZINC000545250830 281683116 /nfs/dbraw/zinc/68/31/16/281683116.db2.gz KXTBLYCEKREBGI-SECBINFHSA-N 0 0 289.266 2.871 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@H]1CCCCO1 ZINC000545914883 281697144 /nfs/dbraw/zinc/69/71/44/281697144.db2.gz RRANQHUDMYHXSL-SECBINFHSA-N 0 0 255.245 2.682 20 5 CFBDRN CCOCCCC(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000545959764 281698966 /nfs/dbraw/zinc/69/89/66/281698966.db2.gz SXBRRCVVKRRSOY-UHFFFAOYSA-N 0 0 296.323 2.749 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000546476270 281710247 /nfs/dbraw/zinc/71/02/47/281710247.db2.gz YKXOADTZIOOLEC-PHDIDXHHSA-N 0 0 251.267 2.830 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000547180070 281728032 /nfs/dbraw/zinc/72/80/32/281728032.db2.gz GVBKHNFVNUCJDG-KCJUWKMLSA-N 0 0 259.265 2.692 20 5 CFBDRN C[C@@H](c1noc(-c2ccc([N+](=O)[O-])cn2)n1)c1ccncc1 ZINC000547214321 281729999 /nfs/dbraw/zinc/72/99/99/281729999.db2.gz BYYBFHVFHRNTJS-SECBINFHSA-N 0 0 297.274 2.587 20 5 CFBDRN C[C@@H](C(=O)NC1(C(F)F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000548845383 281804051 /nfs/dbraw/zinc/80/40/51/281804051.db2.gz ZXOPNSCFOIIJEH-MRVPVSSYSA-N 0 0 284.262 2.612 20 5 CFBDRN O=[N+]([O-])c1cccc(SCCOC[C@@H]2CCCO2)c1 ZINC000548996097 281809077 /nfs/dbraw/zinc/80/90/77/281809077.db2.gz IXYVLOULJZSVND-LBPRGKRZSA-N 0 0 283.349 2.883 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2[C@H](C)C[C@H]2C)c1 ZINC000549088868 281811777 /nfs/dbraw/zinc/81/17/77/281811777.db2.gz DCERYPYOCIPUMF-NXEZZACHSA-N 0 0 278.308 2.616 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCO[C@@H]2CCC[C@H]21 ZINC000551732273 281841160 /nfs/dbraw/zinc/84/11/60/281841160.db2.gz ALBFOPUIIZYXSK-UKRRQHHQSA-N 0 0 299.330 2.901 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(CCC(C)(C)C)no1 ZINC000551958811 281847296 /nfs/dbraw/zinc/84/72/96/281847296.db2.gz USLHZJGMXZYWIA-UHFFFAOYSA-N 0 0 278.312 2.962 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N(C)CC(C)C ZINC000553338614 281875308 /nfs/dbraw/zinc/87/53/08/281875308.db2.gz HQHKHFALHCAXBZ-UHFFFAOYSA-N 0 0 280.324 2.782 20 5 CFBDRN Cc1ccc(Nc2cc3n(n2)CCCC3)c([N+](=O)[O-])c1 ZINC000553728542 281881186 /nfs/dbraw/zinc/88/11/86/281881186.db2.gz CSPLANYYUCZJFC-UHFFFAOYSA-N 0 0 272.308 2.602 20 5 CFBDRN CC(C)C(C)(C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000556450791 281934580 /nfs/dbraw/zinc/93/45/80/281934580.db2.gz CQJLWRVFKIATNP-UHFFFAOYSA-N 0 0 256.327 2.821 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC(C)(C)C1 ZINC000557578704 281966505 /nfs/dbraw/zinc/96/65/05/281966505.db2.gz LWJHTORVYSTZNU-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN COc1cc(N[C@H]2CCC[C@H]2F)ccc1[N+](=O)[O-] ZINC000559008592 281999689 /nfs/dbraw/zinc/99/96/89/281999689.db2.gz CGTSTOSRMMIRMT-ZJUUUORDSA-N 0 0 254.261 2.906 20 5 CFBDRN C[C@H](NC(=O)N1[C@H](C)C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000560533251 282032559 /nfs/dbraw/zinc/03/25/59/282032559.db2.gz ZOJPCFWDWFLENF-AXFHLTTASA-N 0 0 277.324 2.848 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000335752884 288663307 /nfs/dbraw/zinc/66/33/07/288663307.db2.gz WYVROURBSPPPRR-PHIMTYICSA-N 0 0 276.336 2.882 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000118286148 289027146 /nfs/dbraw/zinc/02/71/46/289027146.db2.gz UYTVVQQWEHBWES-ZJUUUORDSA-N 0 0 280.349 2.561 20 5 CFBDRN C[C@@]1(F)CCCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000295038826 289183008 /nfs/dbraw/zinc/18/30/08/289183008.db2.gz WWZSABIYKGKLHY-LLVKDONJSA-N 0 0 284.316 2.632 20 5 CFBDRN COCCn1ccc(N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000266463789 292739369 /nfs/dbraw/zinc/73/93/69/292739369.db2.gz MPJDKUAYGIEAMT-LLVKDONJSA-N 0 0 290.323 2.611 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000301506932 289784607 /nfs/dbraw/zinc/78/46/07/289784607.db2.gz DATBACSBJJQNQX-MJBXVCDLSA-N 0 0 276.336 2.845 20 5 CFBDRN CN(c1ncccc1[N+](=O)[O-])C1Cc2ccccc2C1 ZINC000048962607 289787529 /nfs/dbraw/zinc/78/75/29/289787529.db2.gz KEFTUTUOCHSDDO-UHFFFAOYSA-N 0 0 269.304 2.593 20 5 CFBDRN Cc1c(OC[C@H](O)Cc2ccccc2)cccc1[N+](=O)[O-] ZINC000154020129 289883694 /nfs/dbraw/zinc/88/36/94/289883694.db2.gz REIPRNCXYXOGLR-CQSZACIVSA-N 0 0 287.315 2.886 20 5 CFBDRN O=C(N[C@H]1C=CCCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000158351645 289974184 /nfs/dbraw/zinc/97/41/84/289974184.db2.gz BPAAICRZXNBGEN-JTQLQIEISA-N 0 0 264.256 2.572 20 5 CFBDRN CCc1nccn1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000158413118 289975004 /nfs/dbraw/zinc/97/50/04/289975004.db2.gz XRHMDDJWFNYOGV-UHFFFAOYSA-N 0 0 272.264 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3c2c(F)ccc3F)nc1 ZINC000432170836 290031233 /nfs/dbraw/zinc/03/12/33/290031233.db2.gz VYZLEORAUGBWJD-UHFFFAOYSA-N 0 0 277.230 2.962 20 5 CFBDRN COCC1=CCN(c2ccnc3c2cccc3[N+](=O)[O-])CC1 ZINC000432171196 290031243 /nfs/dbraw/zinc/03/12/43/290031243.db2.gz YXVTZVBZCGMTJB-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN C[C@H]1C[C@H](COc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000447299080 290031700 /nfs/dbraw/zinc/03/17/00/290031700.db2.gz NPYNSAXXRBAURZ-VHSXEESVSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@H]1C[C@H](COc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000447299673 290031984 /nfs/dbraw/zinc/03/19/84/290031984.db2.gz SQCNZWNVKLKNIS-VHSXEESVSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@@H](Nc1c2ccccc2ncc1[N+](=O)[O-])c1ccon1 ZINC000488093720 290032586 /nfs/dbraw/zinc/03/25/86/290032586.db2.gz GMQOSCFIQGVNJI-SECBINFHSA-N 0 0 284.275 2.726 20 5 CFBDRN CCO[C@@H]1C[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000562437921 290043221 /nfs/dbraw/zinc/04/32/21/290043221.db2.gz NBYCAOIBBNQCKB-WDEREUQCSA-N 0 0 299.714 2.547 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C1CCCC1 ZINC000562636227 290061457 /nfs/dbraw/zinc/06/14/57/290061457.db2.gz HBUJXBVORFSKJO-LBPRGKRZSA-N 0 0 277.324 2.688 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2[C@@H]2CCCOC2)c(F)c1 ZINC000563054183 290098929 /nfs/dbraw/zinc/09/89/29/290098929.db2.gz TWSPSWRSHYTHSJ-ZWNOBZJWSA-N 0 0 295.314 2.524 20 5 CFBDRN COc1ccc(NC(=O)NC2(CF)CCC2)cc1[N+](=O)[O-] ZINC000563085280 290101679 /nfs/dbraw/zinc/10/16/79/290101679.db2.gz RDRFTHIFXMMSHK-UHFFFAOYSA-N 0 0 297.286 2.617 20 5 CFBDRN Cc1cnn(CCOc2c(Cl)cccc2[N+](=O)[O-])c1 ZINC000563113683 290104973 /nfs/dbraw/zinc/10/49/73/290104973.db2.gz NORBBJVGSBQRQB-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1C[C@@H]1C(F)F ZINC000563427348 290149686 /nfs/dbraw/zinc/14/96/86/290149686.db2.gz YAXOARPEAJYJJX-BQBZGAKWSA-N 0 0 258.224 2.669 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC2(CCCC2)CC1 ZINC000564019357 290189005 /nfs/dbraw/zinc/18/90/05/290189005.db2.gz MDXNFPAHTADXAX-UHFFFAOYSA-N 0 0 289.335 2.786 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H](OC(C)C)C2)cc1[N+](=O)[O-] ZINC000565003915 290257714 /nfs/dbraw/zinc/25/77/14/290257714.db2.gz IMKXPSLUBDDTBE-CYBMUJFWSA-N 0 0 292.335 2.543 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1ccc(C)nc1 ZINC000565220339 290284412 /nfs/dbraw/zinc/28/44/12/290284412.db2.gz CRRHFTAFEVYHQL-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CC(F)(F)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000565318618 290294662 /nfs/dbraw/zinc/29/46/62/290294662.db2.gz YEAYBNLRNSOWNL-UHFFFAOYSA-N 0 0 274.252 2.667 20 5 CFBDRN C[C@@H](C(=O)Nc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000565341057 290295265 /nfs/dbraw/zinc/29/52/65/290295265.db2.gz WUBARHNKAKJSBM-SNVBAGLBSA-N 0 0 271.276 2.732 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1C[C@@H](OC)C1(C)C ZINC000565666283 290316963 /nfs/dbraw/zinc/31/69/63/290316963.db2.gz CDDODRNPUFKJCM-NWDGAFQWSA-N 0 0 280.324 2.829 20 5 CFBDRN CCc1occc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000565886028 290331949 /nfs/dbraw/zinc/33/19/49/290331949.db2.gz HVGZHNIHHDCXEG-UHFFFAOYSA-N 0 0 274.276 2.680 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NC[C@@H]1CCCCO1)CCCC2 ZINC000566150271 290353308 /nfs/dbraw/zinc/35/33/08/290353308.db2.gz QLDNXHXAMNLSKW-LBPRGKRZSA-N 0 0 291.351 2.850 20 5 CFBDRN C[C@H](O)CCCn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000566472245 290389591 /nfs/dbraw/zinc/38/95/91/290389591.db2.gz DCRPXAOAPRUCHJ-NSHDSACASA-N 0 0 275.308 2.619 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(CC2CCOCC2)c1 ZINC000566473102 290390356 /nfs/dbraw/zinc/39/03/56/290390356.db2.gz WUWXNDRHBCKQLN-UHFFFAOYSA-N 0 0 287.319 2.885 20 5 CFBDRN CO[C@@H](COc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000566909310 290425748 /nfs/dbraw/zinc/42/57/48/290425748.db2.gz XREFCRIEVVPANB-LBPRGKRZSA-N 0 0 255.245 2.538 20 5 CFBDRN CCN(C(=O)CNc1ccccc1[N+](=O)[O-])[C@@H](C)C(C)C ZINC000567281667 290452652 /nfs/dbraw/zinc/45/26/52/290452652.db2.gz JSFZDBCISHGDCK-LBPRGKRZSA-N 0 0 293.367 2.900 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCO[C@H](C(C)(C)C)C1 ZINC000567487695 290467956 /nfs/dbraw/zinc/46/79/56/290467956.db2.gz XOJZBIKGQVWUFH-ZDUSSCGKSA-N 0 0 294.351 2.855 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@H](C)S[C@@H](C)C1 ZINC000567914950 290504729 /nfs/dbraw/zinc/50/47/29/290504729.db2.gz BKURGFWOKTVTJL-UWVGGRQHSA-N 0 0 282.365 2.934 20 5 CFBDRN CC(C)(O)CCSCc1cccc([N+](=O)[O-])c1 ZINC000568768328 290569722 /nfs/dbraw/zinc/56/97/22/290569722.db2.gz OYUQCIRHDNKJIS-UHFFFAOYSA-N 0 0 255.339 2.989 20 5 CFBDRN Cc1nc(N2CCS[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000268498455 293300510 /nfs/dbraw/zinc/30/05/10/293300510.db2.gz PTFWBSQVGWNAPC-NXEZZACHSA-N 0 0 267.354 2.628 20 5 CFBDRN Cc1nc([C@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000348773863 293380560 /nfs/dbraw/zinc/38/05/60/293380560.db2.gz JGIGZPNOYFLZJC-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000374723791 293654636 /nfs/dbraw/zinc/65/46/36/293654636.db2.gz ZYZFDFZYBMQHNG-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000312044697 293744938 /nfs/dbraw/zinc/74/49/38/293744938.db2.gz UHELWSCXOIOQQT-ILDUYXDCSA-N 0 0 264.329 2.627 20 5 CFBDRN Cc1sc(C(=O)NC2(C(C)C)CC2)cc1[N+](=O)[O-] ZINC000357183480 294072114 /nfs/dbraw/zinc/07/21/14/294072114.db2.gz CIDDJUNZOSYSNY-UHFFFAOYSA-N 0 0 268.338 2.883 20 5 CFBDRN CO[C@H](C)c1cccc(NCc2c([N+](=O)[O-])ncn2C)c1 ZINC000347734108 294339721 /nfs/dbraw/zinc/33/97/21/294339721.db2.gz NSUXMBXSGMCWKY-SNVBAGLBSA-N 0 0 290.323 2.648 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000050696578 299892767 /nfs/dbraw/zinc/89/27/67/299892767.db2.gz ITLLZPCOLLZXSW-UWVGGRQHSA-N 0 0 277.324 2.580 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1[C@@H](C)CC[C@@H]1C ZINC000427389173 295027775 /nfs/dbraw/zinc/02/77/75/295027775.db2.gz FWUUPOVEHNGHNY-UWVGGRQHSA-N 0 0 278.308 2.616 20 5 CFBDRN NC(=O)Nc1ccc(CNc2sccc2[N+](=O)[O-])cc1 ZINC000301920609 295092191 /nfs/dbraw/zinc/09/21/91/295092191.db2.gz CXCAOKNDHLMFLJ-UHFFFAOYSA-N 0 0 292.320 2.759 20 5 CFBDRN COc1ccc([C@H](C)N(C)c2c([N+](=O)[O-])ncn2C)cc1 ZINC000264937057 295235409 /nfs/dbraw/zinc/23/54/09/295235409.db2.gz RWLUFOCNOCXGBO-JTQLQIEISA-N 0 0 290.323 2.534 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC(C)=C[C@H](C)C2)c1 ZINC000436003275 295253060 /nfs/dbraw/zinc/25/30/60/295253060.db2.gz RZVLCNHSIDDLMW-JTQLQIEISA-N 0 0 290.319 2.642 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@H](C)OC(C)(C)C2)c1 ZINC000264613448 295254371 /nfs/dbraw/zinc/25/43/71/295254371.db2.gz GGISDCHXHBBXHE-JTQLQIEISA-N 0 0 280.324 2.607 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@H](C)O[C@@H](C)[C@H]1C ZINC000446063742 295255114 /nfs/dbraw/zinc/25/51/14/295255114.db2.gz RQSNFHSVTJVJOL-TUAOUCFPSA-N 0 0 294.351 2.601 20 5 CFBDRN COc1cccc(N2CC[C@H](O)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000295847469 295306127 /nfs/dbraw/zinc/30/61/27/295306127.db2.gz AZHOBTDPZMPGJI-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN Nc1ccc(N2CC[C@@H](O)c3ccccc3C2)c([N+](=O)[O-])c1 ZINC000376449056 295354198 /nfs/dbraw/zinc/35/41/98/295354198.db2.gz LBZIJLQJJRJQHB-MRXNPFEDSA-N 0 0 299.330 2.621 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC(OCC3CC3)CC2)c1 ZINC000375695290 295355748 /nfs/dbraw/zinc/35/57/48/295355748.db2.gz BABLLYMXUAJAON-UHFFFAOYSA-N 0 0 291.351 2.572 20 5 CFBDRN O=C(NC1(c2cccc(F)c2)CC1)c1ccc([N+](=O)[O-])o1 ZINC000156444311 295595760 /nfs/dbraw/zinc/59/57/60/295595760.db2.gz QONYYMSBVDJNDW-UHFFFAOYSA-N 0 0 290.250 2.746 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000276566822 297084239 /nfs/dbraw/zinc/08/42/39/297084239.db2.gz GVUWIIXBKDGUSK-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]2C1 ZINC000150773280 304523118 /nfs/dbraw/zinc/52/31/18/304523118.db2.gz BDWDMAFWWZFHSP-PHIMTYICSA-N 0 0 292.310 2.996 20 5 CFBDRN C[C@H]1CN(C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000334816465 300106275 /nfs/dbraw/zinc/10/62/75/300106275.db2.gz PNYFFAPJPNKDJN-QWRGUYRKSA-N 0 0 276.336 2.739 20 5 CFBDRN C[C@H]1CN(c2cc(Cl)ccc2[N+](=O)[O-])CCCO1 ZINC000287932632 300296249 /nfs/dbraw/zinc/29/62/49/300296249.db2.gz PNGCNWXVGVCDQD-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCCC[C@H]2CCO)n1 ZINC000343089502 301203215 /nfs/dbraw/zinc/20/32/15/301203215.db2.gz NKOHUSSVBJMCFZ-ZDUSSCGKSA-N 0 0 293.367 2.738 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCO[C@@H]3CCCC[C@H]32)n1 ZINC000408171862 301204563 /nfs/dbraw/zinc/20/45/63/301204563.db2.gz OQSBLLBQDKGRMF-CHWSQXEVSA-N 0 0 291.351 2.754 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@@H](C)OC(C)(C)C2)n1 ZINC000408172595 301205506 /nfs/dbraw/zinc/20/55/06/301205506.db2.gz YMDZZKPNQLNZTA-LLVKDONJSA-N 0 0 279.340 2.610 20 5 CFBDRN Cc1cc(C)nc(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000025495665 301262053 /nfs/dbraw/zinc/26/20/53/301262053.db2.gz OELHHXHCTYANSF-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(C)(C)OC[C@@H]2C)c1 ZINC000408354342 301851075 /nfs/dbraw/zinc/85/10/75/301851075.db2.gz KCTNVARQURLIJW-NSHDSACASA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCS[C@H](C(C)C)C2)n1 ZINC000178242626 301854648 /nfs/dbraw/zinc/85/46/48/301854648.db2.gz OHPUWDXEMCQOIT-LBPRGKRZSA-N 0 0 281.381 2.876 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3cc[nH]c(=O)c3C2)c1 ZINC000276866739 301855466 /nfs/dbraw/zinc/85/54/66/301855466.db2.gz HDWJKBUOTATVIZ-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ccc(N)cc1[N+](=O)[O-])CCO2 ZINC000286343306 301887663 /nfs/dbraw/zinc/88/76/63/301887663.db2.gz MCRXRWAAUWIHSM-UHFFFAOYSA-N 0 0 299.330 2.884 20 5 CFBDRN Cc1ccnc(N2CCC[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000288129839 302271284 /nfs/dbraw/zinc/27/12/84/302271284.db2.gz DRVZBFZKRYRYFT-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1ccnc(N2CCO[C@H](C(C)(C)C)C2)c1[N+](=O)[O-] ZINC000279593674 302273508 /nfs/dbraw/zinc/27/35/08/302273508.db2.gz WMHNOFIHZYOYEA-NSHDSACASA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1nn(C)c(Oc2cc([N+](=O)[O-])ccc2C)c1[N+](=O)[O-] ZINC000265149621 302786976 /nfs/dbraw/zinc/78/69/76/302786976.db2.gz SJCAHXGVKMNUAX-UHFFFAOYSA-N 0 0 292.251 2.646 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N(C1CCC1)C1CCC1 ZINC000293901055 303498077 /nfs/dbraw/zinc/49/80/77/303498077.db2.gz NRZMNDBDORQLAQ-UHFFFAOYSA-N 0 0 289.335 2.724 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@H]1[C@@H]1CCCO1 ZINC000286309649 304797449 /nfs/dbraw/zinc/79/74/49/304797449.db2.gz FCDPGMPTWLOSDD-AAEUAGOBSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCSC3(CCOCC3)C2)cc1 ZINC000274496940 304803414 /nfs/dbraw/zinc/80/34/14/304803414.db2.gz RFFIGJOEYXKTLG-UHFFFAOYSA-N 0 0 294.376 2.697 20 5 CFBDRN CCCC[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)OC ZINC000159659724 322326197 /nfs/dbraw/zinc/32/61/97/322326197.db2.gz XHYWMMLVRQLWEG-SNVBAGLBSA-N 0 0 284.337 2.814 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCC1(C2CC2)CC1 ZINC000159826124 322328747 /nfs/dbraw/zinc/32/87/47/322328747.db2.gz NSYTTXYUJFMRLV-FPYGCLRLSA-N 0 0 286.331 2.914 20 5 CFBDRN CN(Cc1cccn1C)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000160743315 322342422 /nfs/dbraw/zinc/34/24/22/322342422.db2.gz OGNZLZVUIZMFAW-MDZDMXLPSA-N 0 0 299.330 2.605 20 5 CFBDRN COc1ccc(C(=O)N2[C@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000161991797 322359456 /nfs/dbraw/zinc/35/94/56/322359456.db2.gz VLZYBSBFDUKXJP-AOOOYVTPSA-N 0 0 278.308 2.616 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])C[C@H]1CCCO1 ZINC000163335112 322375159 /nfs/dbraw/zinc/37/51/59/322375159.db2.gz SMZZPXWWILQGHM-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN O=C(/C=C/c1cccc(O)c1)NCc1ccc([N+](=O)[O-])cc1 ZINC000164298500 322381558 /nfs/dbraw/zinc/38/15/58/322381558.db2.gz DCSAADWDCQHDLV-RMKNXTFCSA-N 0 0 298.298 2.630 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Oc2cccc(F)c2)n1 ZINC000166598529 322386880 /nfs/dbraw/zinc/38/68/80/322386880.db2.gz NGQFVSPRNFWDBE-UHFFFAOYSA-N 0 0 292.222 2.708 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1[C@H]1C=CCCC1 ZINC000171791397 322412733 /nfs/dbraw/zinc/41/27/33/322412733.db2.gz NTKUOUKPHGSNOW-JTQLQIEISA-N 0 0 271.276 2.586 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)CSC ZINC000569607870 322485123 /nfs/dbraw/zinc/48/51/23/322485123.db2.gz XALKOLLAYZYCGE-MRVPVSSYSA-N 0 0 283.353 2.574 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000570026826 322509783 /nfs/dbraw/zinc/50/97/83/322509783.db2.gz BOWMBYGCRIZYHL-ZJUUUORDSA-N 0 0 284.743 2.845 20 5 CFBDRN COc1cc(NCC(C)(F)F)c(F)cc1[N+](=O)[O-] ZINC000571779638 322596089 /nfs/dbraw/zinc/59/60/89/322596089.db2.gz VXWQWYPFOMFZPO-UHFFFAOYSA-N 0 0 264.203 2.810 20 5 CFBDRN CCO[C@@H]1C[C@H]1NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000571863769 322598532 /nfs/dbraw/zinc/59/85/32/322598532.db2.gz ZMUVQKRKJALEJV-ZYHUDNBSSA-N 0 0 293.323 2.511 20 5 CFBDRN CCO[C@H]1C[C@H]1NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000571863768 322598637 /nfs/dbraw/zinc/59/86/37/322598637.db2.gz ZMUVQKRKJALEJV-PWSUYJOCSA-N 0 0 293.323 2.511 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000572452628 322619221 /nfs/dbraw/zinc/61/92/21/322619221.db2.gz RGEFVKFBXDNDRY-TZMCWYRMSA-N 0 0 276.336 2.893 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)OCC(C)C ZINC000572963897 322639023 /nfs/dbraw/zinc/63/90/23/322639023.db2.gz SNMHEFCBVCVRRC-SNVBAGLBSA-N 0 0 295.339 2.636 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCC(F)F)CCCC2 ZINC000572995763 322640788 /nfs/dbraw/zinc/64/07/88/322640788.db2.gz SILPTFCZVIDYGL-UHFFFAOYSA-N 0 0 257.240 2.546 20 5 CFBDRN CC(=O)[C@@H](Sc1ncccc1[N+](=O)[O-])C(C)C ZINC000573119412 322644949 /nfs/dbraw/zinc/64/49/49/322644949.db2.gz UDSGINKZUMIDSC-JTQLQIEISA-N 0 0 254.311 2.696 20 5 CFBDRN Cc1cnc(SCCC(C)(C)O)c([N+](=O)[O-])c1 ZINC000573221081 322649093 /nfs/dbraw/zinc/64/90/93/322649093.db2.gz YUUOGDYZCTXFFB-UHFFFAOYSA-N 0 0 256.327 2.551 20 5 CFBDRN CC(C)Oc1nc(NC2CCCC2)ccc1[N+](=O)[O-] ZINC000574770046 322705358 /nfs/dbraw/zinc/70/53/58/322705358.db2.gz GIQYTRHYKZUQIP-UHFFFAOYSA-N 0 0 265.313 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]cc(CCNc3cnccn3)c2c1 ZINC000575087798 322717866 /nfs/dbraw/zinc/71/78/66/322717866.db2.gz LCZUTAJVNKKSGF-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN COc1ccc(Cc2nc(C3(C)CC3)no2)cc1[N+](=O)[O-] ZINC000575216441 322722290 /nfs/dbraw/zinc/72/22/90/322722290.db2.gz RXISIVZRBOMSMP-UHFFFAOYSA-N 0 0 289.291 2.629 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCCC[C@H]2C1 ZINC000178370409 323717165 /nfs/dbraw/zinc/71/71/65/323717165.db2.gz CHSIRPMBNCLDFG-KBPBESRZSA-N 0 0 288.347 2.786 20 5 CFBDRN O=C(Nc1cccc(O)c1F)c1csc([N+](=O)[O-])c1 ZINC000179153156 323735232 /nfs/dbraw/zinc/73/52/32/323735232.db2.gz YRABJOXISGCAMA-UHFFFAOYSA-N 0 0 282.252 2.753 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ncc(Cl)cc2Cl)cn1 ZINC000179751336 323757192 /nfs/dbraw/zinc/75/71/92/323757192.db2.gz OSEHZJZINVLYPL-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN CO[C@H](C)CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000181167163 323804000 /nfs/dbraw/zinc/80/40/00/323804000.db2.gz CPDFFJRJJHDVGM-SNVBAGLBSA-N 0 0 271.338 2.742 20 5 CFBDRN Cc1cncc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000182414610 323862768 /nfs/dbraw/zinc/86/27/68/323862768.db2.gz ZKILKIGPEYEOMP-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@@H](C(=O)NCc1ccoc1)c1ccc([N+](=O)[O-])cc1F ZINC000183883885 323929668 /nfs/dbraw/zinc/92/96/68/323929668.db2.gz LEKXUFYAICEUJK-SECBINFHSA-N 0 0 292.266 2.747 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCCO[C@H]1CCCCO1 ZINC000185065073 323958444 /nfs/dbraw/zinc/95/84/44/323958444.db2.gz PRNIDOPCBARBRZ-AWEZNQCLSA-N 0 0 281.308 2.907 20 5 CFBDRN CSc1cccc(C(=O)N2CCCCC2)c1[N+](=O)[O-] ZINC000188014439 324006069 /nfs/dbraw/zinc/00/60/69/324006069.db2.gz KOFMWQJXMDLTPI-UHFFFAOYSA-N 0 0 280.349 2.943 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC=CCC1 ZINC000194734912 324094319 /nfs/dbraw/zinc/09/43/19/324094319.db2.gz SIZVBLBVKMPBDK-LLVKDONJSA-N 0 0 260.293 2.742 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)NC1CCSCC1 ZINC000195152535 324102585 /nfs/dbraw/zinc/10/25/85/324102585.db2.gz LHMNFSBGCFLDDO-UHFFFAOYSA-N 0 0 281.337 2.612 20 5 CFBDRN COc1cccc(-c2nc([C@H]3C[C@H]3C)no2)c1[N+](=O)[O-] ZINC000350141251 324201771 /nfs/dbraw/zinc/20/17/71/324201771.db2.gz COXXBCUDVDICAN-APPZFPTMSA-N 0 0 275.264 2.777 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(-c2cscn2)no1 ZINC000350564260 324231011 /nfs/dbraw/zinc/23/10/11/324231011.db2.gz KWUIWTLLUAYNTH-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(-c2ccc(O)cc2)no1 ZINC000350583056 324237486 /nfs/dbraw/zinc/23/74/86/324237486.db2.gz QXCHGBFEPCGLNS-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc([C@@H]2CCCOC2)no1 ZINC000350847920 324267497 /nfs/dbraw/zinc/26/74/97/324267497.db2.gz OHWBQNZZGVRBTN-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc([C@@H]3CCCOC3)no2)c1 ZINC000350866995 324271689 /nfs/dbraw/zinc/27/16/89/324271689.db2.gz OKXZCGKCBBMIIX-SNVBAGLBSA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1cc(-c2nc(-c3cocn3)no2)ccc1[N+](=O)[O-] ZINC000350879009 324275488 /nfs/dbraw/zinc/27/54/88/324275488.db2.gz OYEGRJJONADIHQ-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cn1c(-c2nc(C3CCSCC3)no2)ccc1[N+](=O)[O-] ZINC000350884409 324277589 /nfs/dbraw/zinc/27/75/89/324277589.db2.gz CWQOYGORDUFOQV-UHFFFAOYSA-N 0 0 294.336 2.594 20 5 CFBDRN CC[C@@]1(C)C[C@H]1c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350918912 324282642 /nfs/dbraw/zinc/28/26/42/324282642.db2.gz BNEZJGNRBOIENS-SDBXPKJASA-N 0 0 291.311 2.590 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(C[C@@H](C)C(C)C)n2)n1C ZINC000350914779 324282666 /nfs/dbraw/zinc/28/26/66/324282666.db2.gz KDVQOHSSVUZHHW-MRVPVSSYSA-N 0 0 293.327 2.521 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccc(Cl)o3)no2)[nH]1 ZINC000350919837 324283448 /nfs/dbraw/zinc/28/34/48/324283448.db2.gz XRWJEMUMWDKBHH-UHFFFAOYSA-N 0 0 280.627 2.886 20 5 CFBDRN Cc1ocnc1-c1noc(-c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000351016682 324295399 /nfs/dbraw/zinc/29/53/99/324295399.db2.gz QWUGIMMNOIGHSC-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C/[C@@H]3CCOC3)n2)s1 ZINC000351093707 324310519 /nfs/dbraw/zinc/31/05/19/324310519.db2.gz JZPVBSJINKMHRR-YUQHSTNDSA-N 0 0 293.304 2.756 20 5 CFBDRN Cc1cc(-c2noc([C@@]3(C)CCOC3)n2)cc([N+](=O)[O-])c1 ZINC000351140682 324324191 /nfs/dbraw/zinc/32/41/91/324324191.db2.gz BWRGWIIQTNVMSO-AWEZNQCLSA-N 0 0 289.291 2.631 20 5 CFBDRN Cc1cc(-c2noc(C[C@@H]3CCCO3)n2)cc([N+](=O)[O-])c1 ZINC000351145664 324326856 /nfs/dbraw/zinc/32/68/56/324326856.db2.gz JYVDCNFZYXXQOD-LBPRGKRZSA-N 0 0 289.291 2.675 20 5 CFBDRN CC(C)(C)OCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351146720 324327706 /nfs/dbraw/zinc/32/77/06/324327706.db2.gz NAMJIIGZUKEQTI-UHFFFAOYSA-N 0 0 267.241 2.553 20 5 CFBDRN CC(=O)CCC(C)(C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351146402 324327849 /nfs/dbraw/zinc/32/78/49/324327849.db2.gz MPGBLDKAFBXWPG-UHFFFAOYSA-N 0 0 293.279 2.885 20 5 CFBDRN Cc1cc(-c2noc(C[C@H]3CCOC3)n2)cc([N+](=O)[O-])c1 ZINC000351148743 324328416 /nfs/dbraw/zinc/32/84/16/324328416.db2.gz QMSGNTQDQSJDOF-SNVBAGLBSA-N 0 0 289.291 2.532 20 5 CFBDRN CCCc1nc(-c2nc(-c3ccc([N+](=O)[O-])o3)no2)co1 ZINC000351151797 324329946 /nfs/dbraw/zinc/32/99/46/324329946.db2.gz VNTUMTBTYJNWOQ-UHFFFAOYSA-N 0 0 290.235 2.845 20 5 CFBDRN CSCc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351151847 324330041 /nfs/dbraw/zinc/33/00/41/324330041.db2.gz VWFPXUVZYRAKAN-UHFFFAOYSA-N 0 0 265.294 2.816 20 5 CFBDRN C[C@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCC1=O ZINC000351151252 324330067 /nfs/dbraw/zinc/33/00/67/324330067.db2.gz VFFNQNOOYWXTOX-JGVFFNPUSA-N 0 0 291.263 2.711 20 5 CFBDRN Cc1c(-c2noc([C@@H]3CCC(=O)C3)n2)cccc1[N+](=O)[O-] ZINC000351375063 324393101 /nfs/dbraw/zinc/39/31/01/324393101.db2.gz JEGCYVBNBUQSNA-SECBINFHSA-N 0 0 287.275 2.790 20 5 CFBDRN COc1cc(NCc2ccc([N+](=O)[O-])cc2Cl)nn1C ZINC000380030574 324405960 /nfs/dbraw/zinc/40/59/60/324405960.db2.gz JLZVROCGNWVMID-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cc1F)[C@H](C)O ZINC000386750208 324481812 /nfs/dbraw/zinc/48/18/12/324481812.db2.gz GIRKVNZRLJKULA-WCBMZHEXSA-N 0 0 256.277 2.614 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000387999921 324499286 /nfs/dbraw/zinc/49/92/86/324499286.db2.gz GJLDBLREUQQRIY-BDAKNGLRSA-N 0 0 266.272 2.510 20 5 CFBDRN CO[C@H]([C@H](C)Nc1ncc(C)cc1[N+](=O)[O-])C1CC1 ZINC000388364660 324505871 /nfs/dbraw/zinc/50/58/71/324505871.db2.gz UVFHBRXBULDVOP-JOYOIKCWSA-N 0 0 265.313 2.524 20 5 CFBDRN CNc1ccc(C(=O)NC2(C3(C)CC3)CC2)cc1[N+](=O)[O-] ZINC000398236440 324549596 /nfs/dbraw/zinc/54/95/96/324549596.db2.gz SNZLLRQBLWXDBH-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=CC2)cc1OC(F)F ZINC000576232821 324593908 /nfs/dbraw/zinc/59/39/08/324593908.db2.gz ZFITWKFXYASGEB-UHFFFAOYSA-N 0 0 256.208 2.572 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](C2CC2)C1 ZINC000577887014 324793256 /nfs/dbraw/zinc/79/32/56/324793256.db2.gz ASRQVUWRTFKFKY-LLVKDONJSA-N 0 0 262.309 2.840 20 5 CFBDRN CCN(C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000578431853 324850399 /nfs/dbraw/zinc/85/03/99/324850399.db2.gz WHFYIHNTCFRQRW-JTQLQIEISA-N 0 0 262.309 2.709 20 5 CFBDRN COC(=O)[C@@H](CNc1ccc([N+](=O)[O-])c(C)c1)CC1CC1 ZINC000579131340 324924954 /nfs/dbraw/zinc/92/49/54/324924954.db2.gz JIEYFZQURRGVMM-GFCCVEGCSA-N 0 0 292.335 2.904 20 5 CFBDRN CC1(C)CN(c2ccc(F)cc2[N+](=O)[O-])[C@@H]2COC[C@@H]21 ZINC000579315948 324939384 /nfs/dbraw/zinc/93/93/84/324939384.db2.gz DFRZILKEARWGJY-GXFFZTMASA-N 0 0 280.299 2.595 20 5 CFBDRN C[C@H](C(=O)N1C[C@@H](C)[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000579748896 324977737 /nfs/dbraw/zinc/97/77/37/324977737.db2.gz YJWCLRUCPRWSBG-VWYCJHECSA-N 0 0 262.309 2.565 20 5 CFBDRN C[C@H](C(=O)N1C[C@H](C)[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000579748894 324977877 /nfs/dbraw/zinc/97/78/77/324977877.db2.gz YJWCLRUCPRWSBG-GARJFASQSA-N 0 0 262.309 2.565 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1ncc([N+](=O)[O-])c(C)n1)CO2 ZINC000579915934 324989806 /nfs/dbraw/zinc/98/98/06/324989806.db2.gz NCOVAKMQOFEOMT-NSHDSACASA-N 0 0 286.291 2.547 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H]2CC[C@@H](C)O2)c1 ZINC000580193801 325015009 /nfs/dbraw/zinc/01/50/09/325015009.db2.gz VMAULJXYOQTJEH-MWLCHTKSSA-N 0 0 267.281 2.550 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000580299429 325023263 /nfs/dbraw/zinc/02/32/63/325023263.db2.gz HVNPCJIJUQHDDJ-GRYCIOLGSA-N 0 0 291.351 2.781 20 5 CFBDRN COCCCCCn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000580312000 325024790 /nfs/dbraw/zinc/02/47/90/325024790.db2.gz ZZWGILBOLHJPON-UHFFFAOYSA-N 0 0 290.319 2.726 20 5 CFBDRN CNc1ccc(C(=O)N(C)[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000581980171 325165426 /nfs/dbraw/zinc/16/54/26/325165426.db2.gz BHLLHUJOBMFTFM-PWSUYJOCSA-N 0 0 291.351 2.897 20 5 CFBDRN CNc1ccc(C(=O)NCCC=C(C)C)cc1[N+](=O)[O-] ZINC000582037745 325170559 /nfs/dbraw/zinc/17/05/59/325170559.db2.gz SDWQEXRIARJIOY-UHFFFAOYSA-N 0 0 277.324 2.723 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCCC1CC1 ZINC000582165349 325181895 /nfs/dbraw/zinc/18/18/95/325181895.db2.gz ITLHRRXYKJJKGU-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN C[C@H]1CN(Cc2cccc([N+](=O)[O-])c2)CC2(CCC2)O1 ZINC000582484688 325208752 /nfs/dbraw/zinc/20/87/52/325208752.db2.gz MEDNLESSRGCCAC-LBPRGKRZSA-N 0 0 276.336 2.738 20 5 CFBDRN C[C@H]1C[C@H](C(C)(C)C)CCN1c1c([N+](=O)[O-])ncn1C ZINC000582808656 325236342 /nfs/dbraw/zinc/23/63/42/325236342.db2.gz YYAZCLSJCAYRDF-WDEREUQCSA-N 0 0 280.372 2.979 20 5 CFBDRN C[C@H]1C[C@@H](C(C)(C)C)CCN1c1c([N+](=O)[O-])ncn1C ZINC000582808655 325236381 /nfs/dbraw/zinc/23/63/81/325236381.db2.gz YYAZCLSJCAYRDF-QWRGUYRKSA-N 0 0 280.372 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@H]2C2CCC2)cc1 ZINC000582843734 325239043 /nfs/dbraw/zinc/23/90/43/325239043.db2.gz WTMMFOBGVWBWLN-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN Cc1ccc(CNC(=O)CCc2cccc([N+](=O)[O-])c2)nc1 ZINC000583027209 325253994 /nfs/dbraw/zinc/25/39/94/325253994.db2.gz XUJSTGWOMRLZNI-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc([C@@H]3CCCO3)no2)c1 ZINC000583033804 325255181 /nfs/dbraw/zinc/25/51/81/325255181.db2.gz BTLPJVJMTMDTGS-NSHDSACASA-N 0 0 291.263 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(CCCCNc2ccc(F)cn2)cc1 ZINC000583516818 325293597 /nfs/dbraw/zinc/29/35/97/325293597.db2.gz PAIBANCPMBMNJT-UHFFFAOYSA-N 0 0 289.310 2.986 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000583568294 325296665 /nfs/dbraw/zinc/29/66/65/325296665.db2.gz FIQCZYRYGSFEIC-KCJUWKMLSA-N 0 0 287.747 2.852 20 5 CFBDRN C[C@H](C(=O)N1CCCSCC1)c1cccc([N+](=O)[O-])c1 ZINC000584444518 329469751 /nfs/dbraw/zinc/46/97/51/329469751.db2.gz RMFLQTVIEASVDP-NSHDSACASA-N 0 0 294.376 2.664 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)c1nccs1 ZINC000192600375 335281273 /nfs/dbraw/zinc/28/12/73/335281273.db2.gz ODHLKDFZQSLYNV-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CC(C)CN(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000049444664 519708200 /nfs/dbraw/zinc/70/82/00/519708200.db2.gz YMSXBAASZLMRND-UHFFFAOYSA-N 0 0 251.286 2.715 20 5 CFBDRN CCCCCS(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000337902272 520270894 /nfs/dbraw/zinc/27/08/94/520270894.db2.gz RHIMEWUNIJLZJF-UHFFFAOYSA-N 0 0 257.311 2.559 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@@H]2OC)c(F)cc1[N+](=O)[O-] ZINC000340962343 500842757 /nfs/dbraw/zinc/84/27/57/500842757.db2.gz GCFGQRVDVUNLOD-RNCFNFMXSA-N 0 0 298.314 2.970 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265607464 500909912 /nfs/dbraw/zinc/90/99/12/500909912.db2.gz MOIRBYRDBJWRLK-CKYFFXLPSA-N 0 0 277.324 2.716 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CCC[C@@](C)(C(=O)[O-])C1 ZINC000086783686 500932064 /nfs/dbraw/zinc/93/20/64/500932064.db2.gz SNRGZBQQLIUHGV-IAQYHMDHSA-N 0 0 292.335 2.843 20 5 CFBDRN CC[C@@H](C)Cn1cc(Br)cc([N+](=O)[O-])c1=O ZINC000158367525 522031121 /nfs/dbraw/zinc/03/11/21/522031121.db2.gz QNZMKJDGUSRWGX-SSDOTTSWSA-N 0 0 289.129 2.565 20 5 CFBDRN CCOCCCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000341778294 535497754 /nfs/dbraw/zinc/49/77/54/535497754.db2.gz PNGHPJZIMJFAOR-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000007811805 533793520 /nfs/dbraw/zinc/79/35/20/533793520.db2.gz DCVPVUKTNVTSGL-TXEJJXNPSA-N 0 0 291.351 2.511 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000412121470 533813967 /nfs/dbraw/zinc/81/39/67/533813967.db2.gz ITGFYJSLOCZPIH-FDRIWYBQSA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1c(CN(C)Cc2nccs2)cccc1[N+](=O)[O-] ZINC000175058985 533844961 /nfs/dbraw/zinc/84/49/61/533844961.db2.gz JVQMMRKFBFQNAE-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN Cc1ccc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)nn1 ZINC000414369426 533905395 /nfs/dbraw/zinc/90/53/95/533905395.db2.gz JEYPLKOGOCVHCI-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000416976259 533973731 /nfs/dbraw/zinc/97/37/31/533973731.db2.gz QHMGYRUIEIPURT-ONGXEEELSA-N 0 0 295.364 2.919 20 5 CFBDRN C[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)c1ccn(C)n1 ZINC000413458135 534015541 /nfs/dbraw/zinc/01/55/41/534015541.db2.gz MFMGIMAPVXJCGG-ZETCQYMHSA-N 0 0 282.250 2.780 20 5 CFBDRN Cc1cc(OCc2nccs2)ccc1[N+](=O)[O-] ZINC000162731721 534028690 /nfs/dbraw/zinc/02/86/90/534028690.db2.gz XPDMOSFMXRGOIR-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176688152 534064167 /nfs/dbraw/zinc/06/41/67/534064167.db2.gz UVBJQJLULBUQIX-FZQKWOKYSA-N 0 0 286.331 2.919 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cc1F)c1nccs1 ZINC000413235400 534099356 /nfs/dbraw/zinc/09/93/56/534099356.db2.gz PTTVUKGZQNSWCV-ZETCQYMHSA-N 0 0 282.300 2.801 20 5 CFBDRN COC(=O)[C@@H](C)SCCCOc1ccc([N+](=O)[O-])cc1 ZINC000177239562 534233164 /nfs/dbraw/zinc/23/31/64/534233164.db2.gz LHNSTEHMYHABIW-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC(C(C)(C)C)C2)c1 ZINC000413361562 534244879 /nfs/dbraw/zinc/24/48/79/534244879.db2.gz KQZUNIJJMCSUNU-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN COC(=O)c1cccc(OCC2CCCC2)c1[N+](=O)[O-] ZINC000413020688 534279727 /nfs/dbraw/zinc/27/97/27/534279727.db2.gz XWTFGDHDKFUXDI-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCOC(C)(C)C2)n1 ZINC000413341222 534327368 /nfs/dbraw/zinc/32/73/68/534327368.db2.gz SYUQMGKQMNAWPB-NSHDSACASA-N 0 0 279.340 2.915 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000189462559 518179106 /nfs/dbraw/zinc/17/91/06/518179106.db2.gz QBXPOTUXLJUOSC-GHMZBOCLSA-N 0 0 291.351 2.876 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCc1cccnc1 ZINC000165113555 518292833 /nfs/dbraw/zinc/29/28/33/518292833.db2.gz HDPFJXJVBJCBLD-UHFFFAOYSA-N 0 0 272.260 2.771 20 5 CFBDRN CC(=O)c1ccc(N2CCCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000314615716 518345212 /nfs/dbraw/zinc/34/52/12/518345212.db2.gz VZIMHYLSGYLVCD-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)Cc1cc(C)on1 ZINC000360892764 518410277 /nfs/dbraw/zinc/41/02/77/518410277.db2.gz XVBKUWBCVBHZNF-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CCC[C@H]1F)c1ccccc1[N+](=O)[O-] ZINC000340849613 518531277 /nfs/dbraw/zinc/53/12/77/518531277.db2.gz NHVWCNGOCSSINH-NEPJUHHUSA-N 0 0 294.326 2.879 20 5 CFBDRN CC(C)(C)C1CC(Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000338735645 518602883 /nfs/dbraw/zinc/60/28/83/518602883.db2.gz BRQRTHTZONJCCU-UHFFFAOYSA-N 0 0 250.302 2.621 20 5 CFBDRN CC(C)(C)CC[C@H](CO)Nc1ccc([N+](=O)[O-])nc1 ZINC000360251528 518659165 /nfs/dbraw/zinc/65/91/65/518659165.db2.gz SLVCMINXAYLCAD-LLVKDONJSA-N 0 0 267.329 2.589 20 5 CFBDRN CC(C)(CO)CCNc1cccc(F)c1[N+](=O)[O-] ZINC000294875768 519278368 /nfs/dbraw/zinc/27/83/68/519278368.db2.gz DQOIPMCMJMMBPS-UHFFFAOYSA-N 0 0 256.277 2.554 20 5 CFBDRN CC(C)C[C@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000339339142 519310414 /nfs/dbraw/zinc/31/04/14/519310414.db2.gz CAYHVZVMWKXPTA-LBPRGKRZSA-N 0 0 294.351 2.867 20 5 CFBDRN CC(C)=CCNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000191854262 519435836 /nfs/dbraw/zinc/43/58/36/519435836.db2.gz URQOAOKQWFDMNR-UHFFFAOYSA-N 0 0 268.700 2.944 20 5 CFBDRN CC(C)Cc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355688383 519459241 /nfs/dbraw/zinc/45/92/41/519459241.db2.gz HYOIBBPXBMGLRF-UHFFFAOYSA-N 0 0 261.281 2.767 20 5 CFBDRN CC(C)N(C(=O)c1cc([N+](=O)[O-])nn1C)C1CCCCC1 ZINC000361844312 519576840 /nfs/dbraw/zinc/57/68/40/519576840.db2.gz VDCUCUWLNJAKIZ-UHFFFAOYSA-N 0 0 294.355 2.512 20 5 CFBDRN CC(C)N(C(=O)c1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000078182054 519581682 /nfs/dbraw/zinc/58/16/82/519581682.db2.gz MOGDHADJWDETBC-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C1CC1 ZINC000056287898 519655151 /nfs/dbraw/zinc/65/51/51/519655151.db2.gz WXINMZGBRFSRSM-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN CC(C)OCCCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000181627874 519758936 /nfs/dbraw/zinc/75/89/36/519758936.db2.gz ILMWJCKTRGTOJK-UHFFFAOYSA-N 0 0 266.297 2.739 20 5 CFBDRN CC(C)OCCCCNc1ccc([N+](=O)[O-])nc1 ZINC000228562983 519761476 /nfs/dbraw/zinc/76/14/76/519761476.db2.gz RTRWHYQINMDCFB-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000172441335 519790702 /nfs/dbraw/zinc/79/07/02/519790702.db2.gz SOSYZCAMHWYACO-QMMMGPOBSA-N 0 0 256.327 2.678 20 5 CFBDRN CC(C)[C@@H](O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000356738677 519799906 /nfs/dbraw/zinc/79/99/06/519799906.db2.gz QUEARTAQARXMDQ-CYBMUJFWSA-N 0 0 294.351 2.848 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000336429478 519802842 /nfs/dbraw/zinc/80/28/42/519802842.db2.gz SDCVHAIMHBLTBC-SECBINFHSA-N 0 0 268.338 2.774 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000310851877 519808319 /nfs/dbraw/zinc/80/83/19/519808319.db2.gz PQZJCJYPWHGGJN-LBPRGKRZSA-N 0 0 256.277 2.553 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])nc2)CCOCC1 ZINC000311867589 519843335 /nfs/dbraw/zinc/84/33/35/519843335.db2.gz WFTWWKSYNCOCKW-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CCCCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000340809523 520272357 /nfs/dbraw/zinc/27/23/57/520272357.db2.gz WSFXERPWLXRJHG-UHFFFAOYSA-N 0 0 257.311 2.559 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000192493060 520347661 /nfs/dbraw/zinc/34/76/61/520347661.db2.gz STJZXWKKGZHILC-NXEZZACHSA-N 0 0 297.380 2.835 20 5 CFBDRN CC1(C)CC[C@@H]1Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000312389120 520397784 /nfs/dbraw/zinc/39/77/84/520397784.db2.gz COOQKJCXBGXQDM-NSHDSACASA-N 0 0 298.364 2.599 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000193114701 520467539 /nfs/dbraw/zinc/46/75/39/520467539.db2.gz RDFCNOYEPJKGLW-SNVBAGLBSA-N 0 0 266.297 2.575 20 5 CFBDRN CCCCN(CCOC)Cc1csc([N+](=O)[O-])c1 ZINC000068853661 520536388 /nfs/dbraw/zinc/53/63/88/520536388.db2.gz MARQVKXZDZFYST-UHFFFAOYSA-N 0 0 272.370 2.905 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1N[C@H](C1CC1)C1CCC1 ZINC000420641744 534501215 /nfs/dbraw/zinc/50/12/15/534501215.db2.gz UCHPASGSSHTCON-ZDUSSCGKSA-N 0 0 289.335 2.684 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000311969937 520567309 /nfs/dbraw/zinc/56/73/09/520567309.db2.gz KTYVFCJUVJGKHL-QMMMGPOBSA-N 0 0 266.272 2.510 20 5 CFBDRN CCCCOCCCNc1ccc([N+](=O)[O-])nc1 ZINC000072522177 520760482 /nfs/dbraw/zinc/76/04/82/520760482.db2.gz MSXDVEIJGYIUTO-UHFFFAOYSA-N 0 0 253.302 2.609 20 5 CFBDRN CCOc1cc(N[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000226571900 520764584 /nfs/dbraw/zinc/76/45/84/520764584.db2.gz MBRHRXMQIBHTLU-SNVBAGLBSA-N 0 0 268.338 2.911 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000181187217 520824688 /nfs/dbraw/zinc/82/46/88/520824688.db2.gz CFUSANJECQAIQT-LLVKDONJSA-N 0 0 291.351 2.829 20 5 CFBDRN CCCCO[C@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000065539948 520830970 /nfs/dbraw/zinc/83/09/70/520830970.db2.gz CMFKTPXHERZIDF-LLVKDONJSA-N 0 0 280.324 2.763 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000354879228 520998719 /nfs/dbraw/zinc/99/87/19/520998719.db2.gz HVPJHMCYBURLKM-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN CCOC(=O)[C@@H](NCc1ccccc1[N+](=O)[O-])[C@H](C)CC ZINC000181648303 521083731 /nfs/dbraw/zinc/08/37/31/521083731.db2.gz MYWATFZJYZLJIZ-RISCZKNCSA-N 0 0 294.351 2.662 20 5 CFBDRN CCC[C@H](NCc1cccc([N+](=O)[O-])c1C)C(=O)OCC ZINC000231885145 521164535 /nfs/dbraw/zinc/16/45/35/521164535.db2.gz TVTNXGZVCGVZSV-ZDUSSCGKSA-N 0 0 294.351 2.725 20 5 CFBDRN CCOc1ccc([C@@H]2C[C@H]2Nc2ccncc2[N+](=O)[O-])cc1 ZINC000340843743 521245536 /nfs/dbraw/zinc/24/55/36/521245536.db2.gz BCKSAFRUCQUBOD-DZGCQCFKSA-N 0 0 299.330 2.778 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000338609053 521280940 /nfs/dbraw/zinc/28/09/40/521280940.db2.gz KMKFVWPWRIQVQI-YABSGUDNSA-N 0 0 290.319 2.522 20 5 CFBDRN CCCc1ccc(C(=O)Cn2c(C)ncc2[N+](=O)[O-])cc1 ZINC000064331906 521390587 /nfs/dbraw/zinc/39/05/87/521390587.db2.gz AQODOUCOYRHAHW-UHFFFAOYSA-N 0 0 287.319 2.935 20 5 CFBDRN CCC(CC)(CNc1ccc([N+](=O)[O-])nc1)OC ZINC000311001408 521444612 /nfs/dbraw/zinc/44/46/12/521444612.db2.gz NHYJMSOFSIKWJH-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN CCC(CC)[C@@H](CCNc1ncc([N+](=O)[O-])s1)OC ZINC000337019765 521601286 /nfs/dbraw/zinc/60/12/86/521601286.db2.gz PSMKTFWXEAVAKZ-SNVBAGLBSA-N 0 0 287.385 2.726 20 5 CFBDRN CCOC(C)(C)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000159544374 521627510 /nfs/dbraw/zinc/62/75/10/521627510.db2.gz RDVRBCGVSWIIBX-UHFFFAOYSA-N 0 0 278.312 2.698 20 5 CFBDRN CCC(O)(CC)COc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000305824043 521657945 /nfs/dbraw/zinc/65/79/45/521657945.db2.gz NAVXUIPKZNHCHQ-UHFFFAOYSA-N 0 0 281.308 2.727 20 5 CFBDRN CNC(=O)c1ccc(N[C@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC000159984029 521850488 /nfs/dbraw/zinc/85/04/88/521850488.db2.gz IUMSVLKAHRTYLM-SECBINFHSA-N 0 0 277.324 2.555 20 5 CFBDRN COc1c(F)cc(NC(=O)c2ccc([N+](=O)[O-])o2)cc1F ZINC000055947559 521859925 /nfs/dbraw/zinc/85/99/25/521859925.db2.gz YWTGQSZNCGVRFN-UHFFFAOYSA-N 0 0 298.201 2.727 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000338666525 521905703 /nfs/dbraw/zinc/90/57/03/521905703.db2.gz CAGSNGCWIWMBAQ-HZMBPMFUSA-N 0 0 282.340 2.812 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCc1ccoc1 ZINC000340029788 521915319 /nfs/dbraw/zinc/91/53/19/521915319.db2.gz LFXOACJRDWKJIV-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN Cc1cccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c1O ZINC000172423855 534597370 /nfs/dbraw/zinc/59/73/70/534597370.db2.gz NMJSVNSTCLYMOI-UHFFFAOYSA-N 0 0 298.298 2.812 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000057304133 521935422 /nfs/dbraw/zinc/93/54/22/521935422.db2.gz JRSXZJSPPZAMHK-IUCAKERBSA-N 0 0 290.323 2.636 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000310938976 521993586 /nfs/dbraw/zinc/99/35/86/521993586.db2.gz VPPISHFBQDGNCP-MRVPVSSYSA-N 0 0 254.261 2.510 20 5 CFBDRN COCCn1c2ccccc2nc1-c1ccc([N+](=O)[O-])o1 ZINC000123950184 522074967 /nfs/dbraw/zinc/07/49/67/522074967.db2.gz YFBLDYAWUTUKJF-UHFFFAOYSA-N 0 0 287.275 2.851 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@@H]2F)cc([N+](=O)[O-])c1C ZINC000340856089 522144873 /nfs/dbraw/zinc/14/48/73/522144873.db2.gz UQTZWKPHPZTWAM-QWRGUYRKSA-N 0 0 296.298 2.532 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000156953591 522148004 /nfs/dbraw/zinc/14/80/04/522148004.db2.gz TWGWTRMYVIBZAE-KOLCDFICSA-N 0 0 278.308 2.522 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(Nc2cccc(C)c2)n1 ZINC000166657890 522178075 /nfs/dbraw/zinc/17/80/75/522178075.db2.gz IMZKRYIYKAEISX-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN COC[C@@H](C)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000213992516 522209270 /nfs/dbraw/zinc/20/92/70/522209270.db2.gz MNKFKXFVQZUWOY-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000057301174 522294178 /nfs/dbraw/zinc/29/41/78/522294178.db2.gz HTYYKLDYJLNSCV-NXEZZACHSA-N 0 0 280.324 2.768 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000353350411 522401372 /nfs/dbraw/zinc/40/13/72/522401372.db2.gz ULPFBRIUVNYQOO-SKDRFNHKSA-N 0 0 266.297 2.594 20 5 CFBDRN CNc1c(C(=O)N(C)Cc2ccccc2)cccc1[N+](=O)[O-] ZINC000309756147 522535320 /nfs/dbraw/zinc/53/53/20/522535320.db2.gz VSOXRJGHDMCPOB-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN CNc1c(C(=O)N2CC[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000360955830 522537996 /nfs/dbraw/zinc/53/79/96/522537996.db2.gz SVNCIENSGIQJOK-NXEZZACHSA-N 0 0 277.324 2.507 20 5 CFBDRN CNc1c(C(=O)NCc2cccs2)cccc1[N+](=O)[O-] ZINC000161426844 522539844 /nfs/dbraw/zinc/53/98/44/522539844.db2.gz JVVUTGHLGNADMI-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN CNc1ccc(C(=O)N(C)CC(C)(C)C)cc1[N+](=O)[O-] ZINC000050788679 522578013 /nfs/dbraw/zinc/57/80/13/522578013.db2.gz OMXWFNBEZQTKGP-UHFFFAOYSA-N 0 0 279.340 2.755 20 5 CFBDRN COC1(CCNc2nc3sccn3c2[N+](=O)[O-])CCC1 ZINC000312341702 522598769 /nfs/dbraw/zinc/59/87/69/522598769.db2.gz OKKHOZQCWFJAML-UHFFFAOYSA-N 0 0 296.352 2.675 20 5 CFBDRN CN(CC1CCCC1)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000151079549 522644193 /nfs/dbraw/zinc/64/41/93/522644193.db2.gz ASQGJFZSWVFGCS-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN CCc1nn(C)c(N[C@H](C)c2cncc(F)c2)c1[N+](=O)[O-] ZINC000361074754 522670600 /nfs/dbraw/zinc/67/06/00/522670600.db2.gz QLLRVKYPIIGCAE-MRVPVSSYSA-N 0 0 293.302 2.598 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000162945697 522670682 /nfs/dbraw/zinc/67/06/82/522670682.db2.gz QNQPKPNVSCHLRK-SECBINFHSA-N 0 0 266.345 2.881 20 5 CFBDRN COc1cc(NCc2ccccn2)c(F)cc1[N+](=O)[O-] ZINC000218837194 522747902 /nfs/dbraw/zinc/74/79/02/522747902.db2.gz QYWNOROLDZEKKA-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000158071300 522795128 /nfs/dbraw/zinc/79/51/28/522795128.db2.gz XSYYZXBQPZASRZ-CYBMUJFWSA-N 0 0 285.303 2.871 20 5 CFBDRN COCC(C)(C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000311062304 522812975 /nfs/dbraw/zinc/81/29/75/522812975.db2.gz KFJMJZIXWZTFEO-UHFFFAOYSA-N 0 0 256.277 2.818 20 5 CFBDRN CN(CCc1ccc(F)cc1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000056227852 522943829 /nfs/dbraw/zinc/94/38/29/522943829.db2.gz XEVBGPHYVSJMTQ-UHFFFAOYSA-N 0 0 292.266 2.642 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccco1 ZINC000338140306 522948246 /nfs/dbraw/zinc/94/82/46/522948246.db2.gz FLVCSYOVLULRSG-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1nc(C(C)C)no1 ZINC000195196567 522983232 /nfs/dbraw/zinc/98/32/32/522983232.db2.gz KRRFFBQZIRUIMR-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN CN(CCc1nccs1)c1ncc([N+](=O)[O-])cc1Cl ZINC000157806850 522992312 /nfs/dbraw/zinc/99/23/12/522992312.db2.gz OHTLXIVAXGAEDC-UHFFFAOYSA-N 0 0 298.755 2.779 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)c1ncc([N+](=O)[O-])s1 ZINC000337032361 523027835 /nfs/dbraw/zinc/02/78/35/523027835.db2.gz ZJCWOXPWYIORMH-SECBINFHSA-N 0 0 253.327 2.844 20 5 CFBDRN COCCCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000056288641 523072291 /nfs/dbraw/zinc/07/22/91/523072291.db2.gz MISNAEDLDZJKBQ-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000048202112 523085674 /nfs/dbraw/zinc/08/56/74/523085674.db2.gz KLUNRWXZGPALJP-NSHDSACASA-N 0 0 262.309 2.857 20 5 CFBDRN CC[C@H]1CCCN(c2c([N+](=O)[O-])nc(C)n2CC)C1 ZINC000360891345 523095471 /nfs/dbraw/zinc/09/54/71/523095471.db2.gz JAOXWXZYVYFXJP-NSHDSACASA-N 0 0 266.345 2.746 20 5 CFBDRN CC[C@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000340554471 523097448 /nfs/dbraw/zinc/09/74/48/523097448.db2.gz WOPYKVBGHYFLPX-LBPRGKRZSA-N 0 0 262.309 2.538 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000362718195 523257552 /nfs/dbraw/zinc/25/75/52/523257552.db2.gz UYLDYSHHZCFHOX-SECBINFHSA-N 0 0 298.289 2.817 20 5 CFBDRN CC[C@@H]1CN(c2cccc(OC)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000249368404 523470729 /nfs/dbraw/zinc/47/07/29/523470729.db2.gz VRCVAIPAAJZAMW-GHMZBOCLSA-N 0 0 280.324 2.607 20 5 CFBDRN COCCC[C@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000182452601 523471615 /nfs/dbraw/zinc/47/16/15/523471615.db2.gz OPZAYMSPLMINGS-LBPRGKRZSA-N 0 0 294.351 2.616 20 5 CFBDRN COCCC[C@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000182229033 523488543 /nfs/dbraw/zinc/48/85/43/523488543.db2.gz SQONNGMBWFYGRJ-JTQLQIEISA-N 0 0 297.307 2.572 20 5 CFBDRN CO[C@@H](C)c1nsc(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000312440321 523496538 /nfs/dbraw/zinc/49/65/38/523496538.db2.gz BLCMURHKQPKEGK-QMMMGPOBSA-N 0 0 294.336 2.766 20 5 CFBDRN CC[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000060349514 523514190 /nfs/dbraw/zinc/51/41/90/523514190.db2.gz KEVGXFBTTBDVRZ-NSHDSACASA-N 0 0 258.705 2.821 20 5 CFBDRN COC(=O)[C@@H](C)N(C)Cc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000361183795 523523117 /nfs/dbraw/zinc/52/31/17/523523117.db2.gz DCXGUTWSPYAZBX-LLVKDONJSA-N 0 0 294.351 2.712 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000336187149 523577618 /nfs/dbraw/zinc/57/76/18/523577618.db2.gz PXSIVFCOYVMCKR-QMTHXVAHSA-N 0 0 274.320 2.526 20 5 CFBDRN CN(c1ccc(F)cc1[N+](=O)[O-])[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000156758240 523638283 /nfs/dbraw/zinc/63/82/83/523638283.db2.gz XYSJQTAZXHFBNR-HONMWMINSA-N 0 0 294.326 2.984 20 5 CFBDRN CO[C@@H]1CC[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000189765388 523699865 /nfs/dbraw/zinc/69/98/65/523699865.db2.gz ORACRMPBRQSJRT-VXGBXAGGSA-N 0 0 293.323 2.592 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000231683391 523702583 /nfs/dbraw/zinc/70/25/83/523702583.db2.gz QWHDXHVKKYKXDJ-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN CO[C@@H]1[C@H]2C[C@H]3CN(c4cc(C)ccc4[N+](=O)[O-])[C@H]1[C@H]3C2 ZINC000286449962 523789805 /nfs/dbraw/zinc/78/98/05/523789805.db2.gz UCJTTXPCWOTHEK-PVIIJVKYSA-N 0 0 288.347 2.763 20 5 CFBDRN CCc1cc(NC(=O)c2n[nH]c(C)c2[N+](=O)[O-])ccc1F ZINC000340757954 523819401 /nfs/dbraw/zinc/81/94/01/523819401.db2.gz GEEYJMMCNZZCFY-UHFFFAOYSA-N 0 0 292.270 2.580 20 5 CFBDRN CN(C(=O)[C@@]1(C)CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000335073264 523905630 /nfs/dbraw/zinc/90/56/30/523905630.db2.gz LKPZYSPYWJVISR-LLVKDONJSA-N 0 0 270.235 2.603 20 5 CFBDRN CC[C@H](C)NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000182154155 523962469 /nfs/dbraw/zinc/96/24/69/523962469.db2.gz ZFHZFVWZUMUBIV-USKTWTLRSA-N 0 0 262.309 2.913 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000049441609 523986499 /nfs/dbraw/zinc/98/64/99/523986499.db2.gz LXHTWNKJWKFXDT-DTWKUNHWSA-N 0 0 299.758 2.963 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000049441613 523994449 /nfs/dbraw/zinc/99/44/49/523994449.db2.gz LXHTWNKJWKFXDT-IUCAKERBSA-N 0 0 299.758 2.963 20 5 CFBDRN CO[C@H]1CC[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000189448272 524000727 /nfs/dbraw/zinc/00/07/27/524000727.db2.gz LGUGVNGNATUBGP-MNOVXSKESA-N 0 0 293.323 2.592 20 5 CFBDRN CO[C@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC[C@H]1C ZINC000340895211 524007810 /nfs/dbraw/zinc/00/78/10/524007810.db2.gz HATZKEBHSRPLDG-BMIGLBTASA-N 0 0 292.335 2.659 20 5 CFBDRN CCc1ccc(OCc2ncc(C)cn2)c([N+](=O)[O-])c1 ZINC000361074856 524008365 /nfs/dbraw/zinc/00/83/65/524008365.db2.gz VNSKTLOABNNFFA-UHFFFAOYSA-N 0 0 273.292 2.835 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccco1 ZINC000362345874 524057265 /nfs/dbraw/zinc/05/72/65/524057265.db2.gz QGIOXGUPKMTQSI-UHFFFAOYSA-N 0 0 274.276 2.931 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000049247276 524091786 /nfs/dbraw/zinc/09/17/86/524091786.db2.gz JTQWVMBEKAPDKS-LLVKDONJSA-N 0 0 277.324 2.679 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000171850386 524092409 /nfs/dbraw/zinc/09/24/09/524092409.db2.gz ZPKNIIWKLWXSOE-SNVBAGLBSA-N 0 0 277.324 2.583 20 5 CFBDRN COc1ccc(CNc2cc(OC)ccc2[N+](=O)[O-])nc1 ZINC000338711860 524097523 /nfs/dbraw/zinc/09/75/23/524097523.db2.gz UXCIEIBKAQFABI-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C(C)C)cc([N+](=O)[O-])c1 ZINC000049156235 524115492 /nfs/dbraw/zinc/11/54/92/524115492.db2.gz UDDPDCLUCCXLAK-JTQLQIEISA-N 0 0 250.298 2.678 20 5 CFBDRN COc1ccc(COc2cc(C)ccc2[N+](=O)[O-])cn1 ZINC000362304573 524119163 /nfs/dbraw/zinc/11/91/63/524119163.db2.gz HYXGQLBEAAXYLT-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000334346343 524128755 /nfs/dbraw/zinc/12/87/55/524128755.db2.gz QATREUIBLDINFQ-MNOVXSKESA-N 0 0 279.340 2.584 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1ccccn1 ZINC000339250103 524140224 /nfs/dbraw/zinc/14/02/24/524140224.db2.gz WMWAAEJVMDTXAE-LLVKDONJSA-N 0 0 297.314 2.683 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C1CCC1 ZINC000334564551 524141181 /nfs/dbraw/zinc/14/11/81/524141181.db2.gz JBDQZUVHBMRJKG-LLVKDONJSA-N 0 0 288.347 2.916 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000340031473 524161528 /nfs/dbraw/zinc/16/15/28/524161528.db2.gz CEINUPDIXIBXKW-WCQYABFASA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)co1 ZINC000336317499 524170333 /nfs/dbraw/zinc/17/03/33/524170333.db2.gz KZAGQCFOPACOKM-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000335417378 524205811 /nfs/dbraw/zinc/20/58/11/524205811.db2.gz IZWWZKNXDLOKQV-WDEREUQCSA-N 0 0 280.299 2.914 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000340087512 524215845 /nfs/dbraw/zinc/21/58/45/524215845.db2.gz OECKCQRUNJMJLO-RYUDHWBXSA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@H](CCO)NC(=O)c1cc2ccccc2c2cccnc12 ZINC000305093068 524217748 /nfs/dbraw/zinc/21/77/48/524217748.db2.gz YYWBVBGPPPJHOO-GFCCVEGCSA-N 0 0 294.354 2.889 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000173183378 524255151 /nfs/dbraw/zinc/25/51/51/524255151.db2.gz MQKYDNCBNXLLPG-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN COc1ccc(Cn2c(C)ncc2[N+](=O)[O-])cc1Cl ZINC000338160139 524257838 /nfs/dbraw/zinc/25/78/38/524257838.db2.gz TZGOCBVHOHPJSG-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000165081377 524259210 /nfs/dbraw/zinc/25/92/10/524259210.db2.gz JMOCWATZMOTEFC-SNVBAGLBSA-N 0 0 278.308 2.596 20 5 CFBDRN C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000361432838 524272548 /nfs/dbraw/zinc/27/25/48/524272548.db2.gz VTJDIGKMMCZENZ-LURJTMIESA-N 0 0 262.187 2.732 20 5 CFBDRN Cc1ccc(CCNc2c([N+](=O)[O-])ncn2C)c(C)c1 ZINC000049177229 524335727 /nfs/dbraw/zinc/33/57/27/524335727.db2.gz BXGWKJFSABXFID-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc(F)c[nH]1 ZINC000335067907 524340031 /nfs/dbraw/zinc/34/00/31/524340031.db2.gz NNYAPAVITXRARU-UHFFFAOYSA-N 0 0 277.255 2.931 20 5 CFBDRN Cc1ccc(CC[C@H](C)Nc2ccncc2[N+](=O)[O-])o1 ZINC000360985560 524347369 /nfs/dbraw/zinc/34/73/69/524347369.db2.gz OZCHRJNPKRRGPL-JTQLQIEISA-N 0 0 275.308 2.746 20 5 CFBDRN Cc1cccc(CCNC(=O)c2ccc([N+](=O)[O-])s2)c1 ZINC000338658924 524360955 /nfs/dbraw/zinc/36/09/55/524360955.db2.gz DBERCKQODIRSSN-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN C[C@H]1CC[C@]2(CCN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)C1 ZINC000334334127 524427997 /nfs/dbraw/zinc/42/79/97/524427997.db2.gz WPNKDYFGXQPWJI-HZMBPMFUSA-N 0 0 277.324 2.575 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])[C@H](C)C1 ZINC000131782836 524485869 /nfs/dbraw/zinc/48/58/69/524485869.db2.gz PDHAZEKXBWTRKV-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000336101779 524488802 /nfs/dbraw/zinc/48/88/02/524488802.db2.gz JITXOMWKVHNFAX-SECBINFHSA-N 0 0 284.262 2.712 20 5 CFBDRN Cc1ccc(CNC(=O)CNc2ccccc2[N+](=O)[O-])cc1 ZINC000067705484 524507425 /nfs/dbraw/zinc/50/74/25/524507425.db2.gz VYQMWGPUSDRZRK-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN Cc1cccc(N(C)Cc2ccccn2)c1[N+](=O)[O-] ZINC000338657389 524519080 /nfs/dbraw/zinc/51/90/80/524519080.db2.gz RYOVKMIXVUMOEX-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN COc1ccc(NC(=O)NC[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000360395409 524567779 /nfs/dbraw/zinc/56/77/79/524567779.db2.gz NZXKAJAJQKCFNV-VIFPVBQESA-N 0 0 293.323 2.771 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000360101897 524591031 /nfs/dbraw/zinc/59/10/31/524591031.db2.gz NPMRDWUZTHULRH-GHMZBOCLSA-N 0 0 286.335 2.808 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334302527 524599212 /nfs/dbraw/zinc/59/92/12/524599212.db2.gz FLRKUDSGKCGDDY-BXKDBHETSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2N)C[C@@H]1C ZINC000161498666 524612577 /nfs/dbraw/zinc/61/25/77/524612577.db2.gz JSJQAJMMWOHQOB-OUAUKWLOSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1cccc(NCCNc2nc(C)ccc2[N+](=O)[O-])n1 ZINC000360882798 524676026 /nfs/dbraw/zinc/67/60/26/524676026.db2.gz JBRQQSPAHIRYJJ-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cc1cccc(NCCc2ccccn2)c1[N+](=O)[O-] ZINC000218823822 524677143 /nfs/dbraw/zinc/67/71/43/524677143.db2.gz KLYUTMXKBUPUSS-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1cc(CNc2ccncc2[N+](=O)[O-])ccc1Cl ZINC000361072689 524718525 /nfs/dbraw/zinc/71/85/25/524718525.db2.gz FXSZWSRBHXLTJL-UHFFFAOYSA-N 0 0 277.711 2.986 20 5 CFBDRN Cc1cccc(O[C@H](C)CNc2ccncc2[N+](=O)[O-])c1 ZINC000360985956 524780677 /nfs/dbraw/zinc/78/06/77/524780677.db2.gz QMNQJNNBAAVSPJ-GFCCVEGCSA-N 0 0 287.319 2.600 20 5 CFBDRN Cc1cc(CSc2ncccc2[N+](=O)[O-])on1 ZINC000067649280 524819471 /nfs/dbraw/zinc/81/94/71/524819471.db2.gz FJJHGAXFABUUEJ-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN Cc1ccc(F)cc1Cn1c(C)c([N+](=O)[O-])ccc1=O ZINC000335796176 524855283 /nfs/dbraw/zinc/85/52/83/524855283.db2.gz XDEIXPJXJWLTMT-UHFFFAOYSA-N 0 0 276.267 2.561 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1c(Cl)cccc1[N+](=O)[O-] ZINC000428083479 534816451 /nfs/dbraw/zinc/81/64/51/534816451.db2.gz CSOKFOVQGJQWRE-JDICNCQASA-N 0 0 280.711 2.777 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000336064739 524891962 /nfs/dbraw/zinc/89/19/62/524891962.db2.gz CJGIDCWALSYDLH-UWVGGRQHSA-N 0 0 287.319 2.628 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCOC[C@H]1CCCO1 ZINC000174673873 524906520 /nfs/dbraw/zinc/90/65/20/524906520.db2.gz LIFPVIOFAMJXOK-CYBMUJFWSA-N 0 0 294.351 2.901 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C[C@@H](C)C1 ZINC000246216992 524918221 /nfs/dbraw/zinc/91/82/21/524918221.db2.gz QCNMJNYFJVHYCA-MYJAWHEDSA-N 0 0 266.297 2.742 20 5 CFBDRN C[C@H]1C[C@H]1CNc1c(Br)cncc1[N+](=O)[O-] ZINC000227537002 524956701 /nfs/dbraw/zinc/95/67/01/524956701.db2.gz ZICXBBOMNDZQEF-BQBZGAKWSA-N 0 0 286.129 2.820 20 5 CFBDRN COc1ccc(OCc2cncc(OC)c2)c([N+](=O)[O-])c1 ZINC000341336398 524976236 /nfs/dbraw/zinc/97/62/36/524976236.db2.gz HQIJVPMSZFWPRB-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN C[C@H](NC(=O)Cc1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000340605290 524991110 /nfs/dbraw/zinc/99/11/10/524991110.db2.gz QLVNICLHXFHSNF-JTQLQIEISA-N 0 0 274.276 2.608 20 5 CFBDRN COc1ncc(CSc2cccc([N+](=O)[O-])c2)c(C)n1 ZINC000337755140 525018292 /nfs/dbraw/zinc/01/82/92/525018292.db2.gz LITWNQCVZXTBHN-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN Cc1cc(N(C)[C@H](C)C(=O)OC(C)C)c(F)cc1[N+](=O)[O-] ZINC000360083556 525028005 /nfs/dbraw/zinc/02/80/05/525028005.db2.gz QHXKHHMEAOAXLY-SNVBAGLBSA-N 0 0 298.314 2.819 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@](C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000334814266 525038774 /nfs/dbraw/zinc/03/87/74/525038774.db2.gz ZPWQFXRQYOMKRT-IINYFYTJSA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1ccc(NC(=O)C[C@@H]2CCC(=O)[C@H]2C)cc1[N+](=O)[O-] ZINC000353357325 525087404 /nfs/dbraw/zinc/08/74/04/525087404.db2.gz UVQQZIJOHMEZPR-QWRGUYRKSA-N 0 0 290.319 2.847 20 5 CFBDRN COc1nn(C)cc1N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000353386045 525092997 /nfs/dbraw/zinc/09/29/97/525092997.db2.gz VHYKRLYQTCQEJX-JTQLQIEISA-N 0 0 290.323 2.818 20 5 CFBDRN Cc1ccc(NC(=O)NCc2ccoc2)cc1[N+](=O)[O-] ZINC000047697427 525165609 /nfs/dbraw/zinc/16/56/09/525165609.db2.gz FNCWJBCNDBMYIW-UHFFFAOYSA-N 0 0 275.264 2.818 20 5 CFBDRN Cc1cc(NC(=O)N2[C@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000336465287 525185407 /nfs/dbraw/zinc/18/54/07/525185407.db2.gz DSTKPBKXJRZZGD-NXEZZACHSA-N 0 0 263.297 2.918 20 5 CFBDRN C[C@H](NC(=O)c1c(N)cccc1[N+](=O)[O-])c1ccccc1 ZINC000180581108 525190423 /nfs/dbraw/zinc/19/04/23/525190423.db2.gz DELLPRINIRSYAL-JTQLQIEISA-N 0 0 285.303 2.668 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000335169085 525216752 /nfs/dbraw/zinc/21/67/52/525216752.db2.gz RGPQIJYUQZPNBS-NXEZZACHSA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N)c(F)c1 ZINC000050693314 525232226 /nfs/dbraw/zinc/23/22/26/525232226.db2.gz LXVPRYQPWYGQAH-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2F)ccn1 ZINC000077323937 525249027 /nfs/dbraw/zinc/24/90/27/525249027.db2.gz CDOWYIFGXVTHSK-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cc(NCC2(CCO)CCC2)ccc1[N+](=O)[O-] ZINC000338282183 525266462 /nfs/dbraw/zinc/26/64/62/525266462.db2.gz KRNNRHUHGVNFAN-UHFFFAOYSA-N 0 0 264.325 2.868 20 5 CFBDRN Cc1cc(NCCn2cccc2)c(F)cc1[N+](=O)[O-] ZINC000360082222 525273103 /nfs/dbraw/zinc/27/31/03/525273103.db2.gz KHANBNJKPSLLAS-UHFFFAOYSA-N 0 0 263.272 2.956 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CCO[C@H]2C2CC2)ncc1[N+](=O)[O-] ZINC000368778816 525274217 /nfs/dbraw/zinc/27/42/17/525274217.db2.gz GAWDNXBVGBVJPN-LSDHHAIUSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cc(NC[C@](C)(O)C2CC2)c(F)cc1[N+](=O)[O-] ZINC000336816149 525277159 /nfs/dbraw/zinc/27/71/59/525277159.db2.gz KQYAYIVORWPXNY-ZDUSSCGKSA-N 0 0 268.288 2.615 20 5 CFBDRN Cc1cc(NC[C@]2(O)CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000336888069 525277875 /nfs/dbraw/zinc/27/78/75/525277875.db2.gz DVIGXBKKHNMZIO-BXUZGUMPSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@]1(F)CCN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000336327164 525280960 /nfs/dbraw/zinc/28/09/60/525280960.db2.gz LXWFMCNVWHQPSE-LBPRGKRZSA-N 0 0 286.690 2.822 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000339263640 525285210 /nfs/dbraw/zinc/28/52/10/525285210.db2.gz HUDYXPRNAXXISJ-VXGBXAGGSA-N 0 0 299.330 2.971 20 5 CFBDRN Cc1cc(N[C@@H](CO)CCC(C)(C)C)ncc1[N+](=O)[O-] ZINC000360248217 525296040 /nfs/dbraw/zinc/29/60/40/525296040.db2.gz GORMCPIVQKZFPT-LLVKDONJSA-N 0 0 281.356 2.897 20 5 CFBDRN Cc1cc(N[C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000364885872 525298610 /nfs/dbraw/zinc/29/86/10/525298610.db2.gz NLFIYZQFQRPKOO-HONMWMINSA-N 0 0 291.351 2.914 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N)C1CCCCC1 ZINC000052345028 525314679 /nfs/dbraw/zinc/31/46/79/525314679.db2.gz SRCDTVNFXFZZBW-SNVBAGLBSA-N 0 0 291.351 2.876 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CS1 ZINC000334889683 525429334 /nfs/dbraw/zinc/42/93/34/525429334.db2.gz FXYZOXRFBLPTQL-XCBNKYQSSA-N 0 0 299.327 2.749 20 5 CFBDRN CSCCCCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194733971 525436754 /nfs/dbraw/zinc/43/67/54/525436754.db2.gz VAYUYBALTCLBCN-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2([C@@H](O)C(C)C)CC2)c1 ZINC000338689202 525450561 /nfs/dbraw/zinc/45/05/61/525450561.db2.gz OOSHVHIGYBVHNW-AWEZNQCLSA-N 0 0 294.351 2.812 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2(CCO)CCCC2)c1 ZINC000338674833 525450612 /nfs/dbraw/zinc/45/06/12/525450612.db2.gz JMVDRROHSAPSGH-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2ccccn2)c1 ZINC000338658198 525451381 /nfs/dbraw/zinc/45/13/81/525451381.db2.gz XMWNLIYZSYDGIG-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2oc(C)nc2C)c1 ZINC000312402561 525453401 /nfs/dbraw/zinc/45/34/01/525453401.db2.gz LJIPBADOGDLZSP-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1cccc(C)n1 ZINC000157185572 525464199 /nfs/dbraw/zinc/46/41/99/525464199.db2.gz FEHJYUZRGHJOLM-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H](O)C1CCCCC1 ZINC000191363731 525473254 /nfs/dbraw/zinc/47/32/54/525473254.db2.gz SUXHGRJUSPOAAE-ZDUSSCGKSA-N 0 0 295.335 2.923 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000361545826 525483490 /nfs/dbraw/zinc/48/34/90/525483490.db2.gz OQRDJNBLLHJDRH-MXWKQRLJSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CCCCS1 ZINC000158346893 525575769 /nfs/dbraw/zinc/57/57/69/525575769.db2.gz NDOZTXNQJQQQQU-NSHDSACASA-N 0 0 267.354 2.996 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)C[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000333977253 525633586 /nfs/dbraw/zinc/63/35/86/525633586.db2.gz ZNSKYIRYWWUYSV-CMPLNLGQSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccnc(C)c1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000362397831 525637194 /nfs/dbraw/zinc/63/71/94/525637194.db2.gz SYSODNVPMYBKOX-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000447284839 534871659 /nfs/dbraw/zinc/87/16/59/534871659.db2.gz LMKYKOWACWXTAR-PWSUYJOCSA-N 0 0 293.319 2.991 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1cccc(O)c1 ZINC000171372232 525711691 /nfs/dbraw/zinc/71/16/91/525711691.db2.gz ABTNJMVMWAAKTQ-SECBINFHSA-N 0 0 259.265 2.869 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1NC[C@H]1CC12CC2 ZINC000418995571 534879989 /nfs/dbraw/zinc/87/99/89/534879989.db2.gz RLSZDYGQMHLWSC-SSDOTTSWSA-N 0 0 298.140 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CC34CC4)ccc2c1 ZINC000425276855 534883683 /nfs/dbraw/zinc/88/36/83/534883683.db2.gz WNDIYOCPMLKLML-LBPRGKRZSA-N 0 0 255.277 2.529 20 5 CFBDRN Cc1ccoc1CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000312675074 525811816 /nfs/dbraw/zinc/81/18/16/525811816.db2.gz JPXAUIFWZRZVES-UHFFFAOYSA-N 0 0 272.264 2.985 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000228130556 525816560 /nfs/dbraw/zinc/81/65/60/525816560.db2.gz WJVBVCMMYMJHPU-YUMQZZPRSA-N 0 0 258.705 2.820 20 5 CFBDRN C[C@H](O)[C@H](C)SCc1c(F)cccc1[N+](=O)[O-] ZINC000079070255 525842176 /nfs/dbraw/zinc/84/21/76/525842176.db2.gz XCYUNAWSOQZMRE-YUMQZZPRSA-N 0 0 259.302 2.736 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](CC(F)(F)F)C2)c(F)c1 ZINC000413269666 534888354 /nfs/dbraw/zinc/88/83/54/534888354.db2.gz SURGMQZSKYRGFR-ZETCQYMHSA-N 0 0 293.220 2.908 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC(F)F)c(C(F)(F)F)c1 ZINC000413171447 534888807 /nfs/dbraw/zinc/88/88/07/534888807.db2.gz OTZRSDAAGSVKLO-UHFFFAOYSA-N 0 0 271.145 2.686 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])C1 ZINC000078670257 525915271 /nfs/dbraw/zinc/91/52/71/525915271.db2.gz RNKWVMDJQGODLW-ZJUUUORDSA-N 0 0 267.354 2.994 20 5 CFBDRN CSc1ccc(C(=O)N2[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000336064809 525961965 /nfs/dbraw/zinc/96/19/65/525961965.db2.gz IHNVKSGDGQLZTE-IUCAKERBSA-N 0 0 280.349 2.940 20 5 CFBDRN COc1cccc(CNCc2cccc([N+](=O)[O-])c2C)n1 ZINC000231885097 526027613 /nfs/dbraw/zinc/02/76/13/526027613.db2.gz HEUPHTFFIBQQMC-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN COc1cccc(CNc2ccc([N+](=O)[O-])cn2)c1OC ZINC000052471842 526043307 /nfs/dbraw/zinc/04/33/07/526043307.db2.gz TVMZEGCOVFRPBO-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@]2(C)CCO[C@@H]2C)c1 ZINC000230099961 526061440 /nfs/dbraw/zinc/06/14/40/526061440.db2.gz BGEUCSVNFGWVDV-MFKMUULPSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)c2cccnc2)n1 ZINC000162596169 526062814 /nfs/dbraw/zinc/06/28/14/526062814.db2.gz YJRYRDMDARHTFT-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)c2cccnc2)n1 ZINC000162596040 526062935 /nfs/dbraw/zinc/06/29/35/526062935.db2.gz YJRYRDMDARHTFT-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CC1(C)C ZINC000336464723 526068643 /nfs/dbraw/zinc/06/86/43/526068643.db2.gz ODVXMNUAHBBMDO-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCN(CC(F)F)CC1 ZINC000127139987 526078758 /nfs/dbraw/zinc/07/87/58/526078758.db2.gz FZGFHVZSWQXBCH-NSHDSACASA-N 0 0 299.321 2.539 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@@H]2C)c1 ZINC000032386695 526080748 /nfs/dbraw/zinc/08/07/48/526080748.db2.gz NTAVNKNYPLHZPG-IUCAKERBSA-N 0 0 280.349 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccoc1 ZINC000047697428 526081815 /nfs/dbraw/zinc/08/18/15/526081815.db2.gz GRFRZASHWTVOIO-UHFFFAOYSA-N 0 0 275.264 2.818 20 5 CFBDRN Cc1cnc(N[C@H]2CCC[C@@H]2OC(F)F)c([N+](=O)[O-])c1 ZINC000336912179 526097562 /nfs/dbraw/zinc/09/75/62/526097562.db2.gz YPCKRUCUDIFINF-WPRPVWTQSA-N 0 0 287.266 2.870 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CCOCC1 ZINC000339649369 526145163 /nfs/dbraw/zinc/14/51/63/526145163.db2.gz OJKQXCAABHHWKD-VIFPVBQESA-N 0 0 296.298 2.735 20 5 CFBDRN C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000339235778 526160358 /nfs/dbraw/zinc/16/03/58/526160358.db2.gz XSKXHYSTOWUTEZ-JTQLQIEISA-N 0 0 271.276 2.732 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000340781531 526201185 /nfs/dbraw/zinc/20/11/85/526201185.db2.gz VSUZWZHGXYFUMA-MRVPVSSYSA-N 0 0 298.289 2.672 20 5 CFBDRN Cc1ccc2c(c1)[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)CO2 ZINC000155829891 526209255 /nfs/dbraw/zinc/20/92/55/526209255.db2.gz HSQQBYXXXOMLTN-CQSZACIVSA-N 0 0 298.298 2.767 20 5 CFBDRN Cc1cc(C(=O)COc2c(F)cccc2[N+](=O)[O-])c(C)[nH]1 ZINC000361780338 526213381 /nfs/dbraw/zinc/21/33/81/526213381.db2.gz HJXVIGBXHLABMW-UHFFFAOYSA-N 0 0 292.266 2.941 20 5 CFBDRN Cc1cc(C(=O)N(C)[C@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000335741870 526227643 /nfs/dbraw/zinc/22/76/43/526227643.db2.gz JPSIZJOSVCTUCX-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000336464618 526233074 /nfs/dbraw/zinc/23/30/74/526233074.db2.gz MOCLTPWJDSEVFH-GFCCVEGCSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1cnccc1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000228702508 526235913 /nfs/dbraw/zinc/23/59/13/526235913.db2.gz NMTANOZFNYJPKW-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN C[C@H]1CC=CC[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000341097651 526236362 /nfs/dbraw/zinc/23/63/62/526236362.db2.gz YIDXBCVBYWSWRZ-SWLSCSKDSA-N 0 0 288.347 2.856 20 5 CFBDRN C[C@H]1CCCC[C@@H]1N(C)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050709506 526255610 /nfs/dbraw/zinc/25/56/10/526255610.db2.gz KDKIONFAFRAYFC-HZMBPMFUSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H]3CCC[C@H]32)cc([N+](=O)[O-])c1 ZINC000335858712 526260697 /nfs/dbraw/zinc/26/06/97/526260697.db2.gz YJUFMRALJYNCRB-BXUZGUMPSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cc(C(=O)NC2(C)CCCC2)ccc1[N+](=O)[O-] ZINC000049222961 526263729 /nfs/dbraw/zinc/26/37/29/526263729.db2.gz LARWUHHGOYZXTB-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000336085091 526265038 /nfs/dbraw/zinc/26/50/38/526265038.db2.gz TVKXATHJIADXOL-VIFPVBQESA-N 0 0 298.289 2.674 20 5 CFBDRN Cc1nn(Cc2ccc3c(c2)CCC3)c(C)c1[N+](=O)[O-] ZINC000172127915 526292078 /nfs/dbraw/zinc/29/20/78/526292078.db2.gz SQAIZVWYKLZNGZ-UHFFFAOYSA-N 0 0 271.320 2.945 20 5 CFBDRN Cc1nn(Cc2noc(-c3ccccc3)n2)c(C)c1[N+](=O)[O-] ZINC000072992396 526300223 /nfs/dbraw/zinc/30/02/23/526300223.db2.gz YWJGUKKUCDNABA-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CC(=O)CN(CC(C)C)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000421908353 526434394 /nfs/dbraw/zinc/43/43/94/526434394.db2.gz UCXNHZXIOVNKLC-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H](C2CC2)C1 ZINC000335182078 526620308 /nfs/dbraw/zinc/62/03/08/526620308.db2.gz WAJDJRUEONYIJI-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CC2(C1)CCCC2 ZINC000366673568 526622625 /nfs/dbraw/zinc/62/26/25/526622625.db2.gz GQZWMLMZSZOGNF-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC[C@H](F)C1 ZINC000334869697 526636754 /nfs/dbraw/zinc/63/67/54/526636754.db2.gz ZLLKJNJYBIDVMV-VIFPVBQESA-N 0 0 294.307 2.994 20 5 CFBDRN O=C(NCc1cc(F)ccc1F)c1csc([N+](=O)[O-])c1 ZINC000171571967 526642635 /nfs/dbraw/zinc/64/26/35/526642635.db2.gz CXFYZSQETJDVIK-UHFFFAOYSA-N 0 0 298.270 2.865 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccnc(C2CC2)c1 ZINC000361100732 526694976 /nfs/dbraw/zinc/69/49/76/526694976.db2.gz JTDXNPWOSFZIMY-UHFFFAOYSA-N 0 0 297.314 2.797 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC2(C1)CCCC2 ZINC000336109927 526715412 /nfs/dbraw/zinc/71/54/12/526715412.db2.gz OIMYASJHXLNGEE-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@H]2CCC[C@@H]21 ZINC000335333210 526717406 /nfs/dbraw/zinc/71/74/06/526717406.db2.gz SOPJVCZEYHDDGX-BDAKNGLRSA-N 0 0 266.322 2.671 20 5 CFBDRN Cc1nscc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000181775983 526717466 /nfs/dbraw/zinc/71/74/66/526717466.db2.gz XFSXRALATGMNPG-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1nc(C)c(CNc2ncc(C)cc2[N+](=O)[O-])o1 ZINC000311690433 526726548 /nfs/dbraw/zinc/72/65/48/526726548.db2.gz OWCMSZSLVAVTQA-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC2(C1)CCCC2 ZINC000336118659 526779056 /nfs/dbraw/zinc/77/90/56/526779056.db2.gz DSLWHGMFCFNXMP-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC(C(F)F)CC1 ZINC000336545704 526811510 /nfs/dbraw/zinc/81/15/10/526811510.db2.gz GSAKQCNBORUEEI-UHFFFAOYSA-N 0 0 284.262 2.712 20 5 CFBDRN Cc1occc1CN(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000056252124 526816842 /nfs/dbraw/zinc/81/68/42/526816842.db2.gz PRKUXAFPMSBFNL-UHFFFAOYSA-N 0 0 288.303 2.697 20 5 CFBDRN Cc1sc(C(=O)N(C)c2cccnc2)cc1[N+](=O)[O-] ZINC000172191084 526870098 /nfs/dbraw/zinc/87/00/98/526870098.db2.gz DDSPFHXGBZPGMS-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CC(C)(C)c1ncn(Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000419050246 526885253 /nfs/dbraw/zinc/88/52/53/526885253.db2.gz YJKXDASNDQSGDH-UHFFFAOYSA-N 0 0 260.297 2.532 20 5 CFBDRN Cc1nc(CNc2nc(C)ccc2[N+](=O)[O-])sc1C ZINC000128114350 526900805 /nfs/dbraw/zinc/90/08/05/526900805.db2.gz JTXUHKSPBZCXKP-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN O=C(N[C@@H]1CC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1Cl ZINC000336442780 526951436 /nfs/dbraw/zinc/95/14/36/526951436.db2.gz PVSJIODGFAFVMQ-JGVFFNPUSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000361793054 526987935 /nfs/dbraw/zinc/98/79/35/526987935.db2.gz UFXZPILPNIOUPR-MRVPVSSYSA-N 0 0 285.250 2.672 20 5 CFBDRN Cn1c(C(=O)Nc2ccc(Cl)cc2F)ccc1[N+](=O)[O-] ZINC000335052102 527044280 /nfs/dbraw/zinc/04/42/80/527044280.db2.gz CKWHWCXUROJFSB-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN Cn1c(C(=O)Nc2ccccc2Cl)ccc1[N+](=O)[O-] ZINC000334920256 527044850 /nfs/dbraw/zinc/04/48/50/527044850.db2.gz WTGUVVASICUZIE-UHFFFAOYSA-N 0 0 279.683 2.839 20 5 CFBDRN NC(=O)c1ccnc(Oc2ccc(Cl)cc2)c1[N+](=O)[O-] ZINC000357091636 527094491 /nfs/dbraw/zinc/09/44/91/527094491.db2.gz SXLBOQXCZGJKEJ-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCCSCC1 ZINC000334912310 527123161 /nfs/dbraw/zinc/12/31/61/527123161.db2.gz DGFWRGJOKGSJEK-UHFFFAOYSA-N 0 0 299.327 2.705 20 5 CFBDRN O=C(Nc1ccc(C2CCC2)cn1)c1cc([N+](=O)[O-])c[nH]1 ZINC000360084425 527166004 /nfs/dbraw/zinc/16/60/04/527166004.db2.gz KGHXSWJHPGPMPW-UHFFFAOYSA-N 0 0 286.291 2.838 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cc1F)c1cccnc1 ZINC000413586440 527185555 /nfs/dbraw/zinc/18/55/55/527185555.db2.gz LNHOZIDYUOLKKS-UHFFFAOYSA-N 0 0 290.298 2.914 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)NC1(C(F)F)CC1 ZINC000337186080 527260753 /nfs/dbraw/zinc/26/07/53/527260753.db2.gz SXTGZKALHCMAGR-UHFFFAOYSA-N 0 0 271.223 2.514 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1CCC[C@H]1F ZINC000334499759 527261841 /nfs/dbraw/zinc/26/18/41/527261841.db2.gz VIDHGLFAQGOFKK-SCZZXKLOSA-N 0 0 285.250 2.746 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](CC(C)C)C1 ZINC000174599587 527313680 /nfs/dbraw/zinc/31/36/80/527313680.db2.gz QOUWXQYMZKUSGX-LBPRGKRZSA-N 0 0 291.351 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1OCc1ncccn1 ZINC000188139272 527329605 /nfs/dbraw/zinc/32/96/05/527329605.db2.gz UNKVFKYVTGYLQJ-UHFFFAOYSA-N 0 0 299.208 2.983 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCCC[C@@H]1CCO ZINC000050445201 527332220 /nfs/dbraw/zinc/33/22/20/527332220.db2.gz UXQFBOIDFXQESM-CYBMUJFWSA-N 0 0 298.770 2.985 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1cccc(CO)c1 ZINC000221968039 527336616 /nfs/dbraw/zinc/33/66/16/527336616.db2.gz MFYHEXVGISWEFY-UHFFFAOYSA-N 0 0 276.267 2.838 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCC2CCOCC2)c1 ZINC000157918852 527337305 /nfs/dbraw/zinc/33/73/05/527337305.db2.gz SJAAQFKVJASEMK-UHFFFAOYSA-N 0 0 255.245 2.539 20 5 CFBDRN Cc1nccc(CNC(C)(C)c2ccccc2[N+](=O)[O-])n1 ZINC000339411205 527337922 /nfs/dbraw/zinc/33/79/22/527337922.db2.gz VKYRDMGQIUVSLX-UHFFFAOYSA-N 0 0 286.335 2.718 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCCC(F)(F)F)s1 ZINC000311508809 527341048 /nfs/dbraw/zinc/34/10/48/527341048.db2.gz QZAXFYWCGSKVKK-UHFFFAOYSA-N 0 0 292.242 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CCOCC(F)F)c2)cc1 ZINC000340670434 527342599 /nfs/dbraw/zinc/34/25/99/527342599.db2.gz NHBRAWFIRAHEEU-UHFFFAOYSA-N 0 0 297.261 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3ncccc3C2)cc1F ZINC000366315812 527350464 /nfs/dbraw/zinc/35/04/64/527350464.db2.gz DBPPORCBXLJVGX-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2nncn2C2CC2)c(F)c1 ZINC000356566113 527354063 /nfs/dbraw/zinc/35/40/63/527354063.db2.gz UWYVWKCUKUSRSH-UHFFFAOYSA-N 0 0 294.311 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2nccc2-c2ccncc2)cc1 ZINC000068776907 527357198 /nfs/dbraw/zinc/35/71/98/527357198.db2.gz DOEMPYVTYCCHPQ-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@H]1CCO[C@@H]1C1CC1 ZINC000228723294 527358293 /nfs/dbraw/zinc/35/82/93/527358293.db2.gz BVGWGMKCPZNKJY-GXFFZTMASA-N 0 0 266.272 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1NC[C@H]1CCCn2ccnc21 ZINC000366077687 527358700 /nfs/dbraw/zinc/35/87/00/527358700.db2.gz MJODNVRVPZTCAJ-SNVBAGLBSA-N 0 0 290.298 2.920 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=C(c3ccc(O)cc3)CC2)nc1 ZINC000302907909 527361435 /nfs/dbraw/zinc/36/14/35/527361435.db2.gz FWFYFYPDJUZPJN-UHFFFAOYSA-N 0 0 297.314 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@H]2OC(F)F)cn1 ZINC000336909584 527367456 /nfs/dbraw/zinc/36/74/56/527367456.db2.gz HPUWBKRYINWRHG-DTWKUNHWSA-N 0 0 273.239 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2Cc3ccccc3[C@@H]2O)c(F)c1 ZINC000170982186 527369008 /nfs/dbraw/zinc/36/90/08/527369008.db2.gz YEFDHVRSICOUET-GJZGRUSLSA-N 0 0 288.278 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(NCc2ncccn2)c1 ZINC000190341158 527371877 /nfs/dbraw/zinc/37/18/77/527371877.db2.gz XURWJDNGBNMXOG-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN O=C(Nc1cccc2[nH]ccc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000339267825 527377044 /nfs/dbraw/zinc/37/70/44/527377044.db2.gz KZGXVXVMZXROCH-UHFFFAOYSA-N 0 0 270.248 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CC[C@@H](F)C3)ccc2c1 ZINC000340844005 527378819 /nfs/dbraw/zinc/37/88/19/527378819.db2.gz CDXHKHIIFVPPHT-GHMZBOCLSA-N 0 0 275.283 2.867 20 5 CFBDRN O=[N+]([O-])c1cccc(CS(=O)(=O)c2ccccc2F)c1 ZINC000084428330 527388173 /nfs/dbraw/zinc/38/81/73/527388173.db2.gz DZRKJADIRVQYNA-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ncccc2[N+](=O)[O-])c1 ZINC000049389958 527388575 /nfs/dbraw/zinc/38/85/75/527388575.db2.gz LBHAOOVBGWSOIC-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCC[C@@H]3CCOC3)ccnc21 ZINC000236409577 527396142 /nfs/dbraw/zinc/39/61/42/527396142.db2.gz FVSTWANRJMSDCD-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\c1nc([C@@H]2CCOC2)no1 ZINC000341522599 527397410 /nfs/dbraw/zinc/39/74/10/527397410.db2.gz OVWDOLMKWFLYGQ-ISALQUGTSA-N 0 0 287.275 2.652 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(OC3CCCC3)CC2)s1 ZINC000195512090 527409648 /nfs/dbraw/zinc/40/96/48/527409648.db2.gz KQSOFYAEPGELMB-UHFFFAOYSA-N 0 0 297.380 2.979 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCO[C@@H]2C2CC2)c(Cl)c1 ZINC000230307858 527410881 /nfs/dbraw/zinc/41/08/81/527410881.db2.gz BEDMFXDJIWATDB-GHMZBOCLSA-N 0 0 283.715 2.623 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccsc2)s1 ZINC000189684482 527411633 /nfs/dbraw/zinc/41/16/33/527411633.db2.gz PVZJGCBFGSRFQQ-UHFFFAOYSA-N 0 0 255.324 2.767 20 5 CFBDRN O=[N+]([O-])c1cnn(CCCOc2cccc(Cl)c2)c1 ZINC000067800389 527414644 /nfs/dbraw/zinc/41/46/44/527414644.db2.gz MHXDHFAUILSZDI-UHFFFAOYSA-N 0 0 281.699 2.914 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2csc(Br)c2)c1 ZINC000060816904 527415283 /nfs/dbraw/zinc/41/52/83/527415283.db2.gz HRADRIUBSQDTKK-UHFFFAOYSA-N 0 0 288.126 2.664 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000340884363 527433771 /nfs/dbraw/zinc/43/37/71/527433771.db2.gz VBGILXGCGNUHTA-NKWVEPMBSA-N 0 0 257.240 2.619 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1CCc2ccccc2C1 ZINC000124555131 527458579 /nfs/dbraw/zinc/45/85/79/527458579.db2.gz MDIJAOPFCANBDC-UHFFFAOYSA-N 0 0 298.302 2.580 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2[nH]ccc2c1 ZINC000180622874 527522463 /nfs/dbraw/zinc/52/24/63/527522463.db2.gz FLLMJTDGDCMOPQ-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN Cc1nn(C)c(NCc2ccc3ncccc3c2)c1[N+](=O)[O-] ZINC000193003794 527679116 /nfs/dbraw/zinc/67/91/16/527679116.db2.gz NDSLYCNOJCPNTB-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1nn(C)c(OCc2cccc([N+](=O)[O-])c2C)c1C ZINC000340053660 527680152 /nfs/dbraw/zinc/68/01/52/527680152.db2.gz CHPBNOPJSAHTFB-UHFFFAOYSA-N 0 0 275.308 2.833 20 5 CFBDRN CC(C)CCCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000428053211 527706806 /nfs/dbraw/zinc/70/68/06/527706806.db2.gz JNQYSFYSSXLORW-UHFFFAOYSA-N 0 0 258.705 2.846 20 5 CFBDRN CCCN(C(=O)CCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000171484982 527738519 /nfs/dbraw/zinc/73/85/19/527738519.db2.gz RXYLFKUMIYYNAX-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN CCCN(C)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411738667 527810850 /nfs/dbraw/zinc/81/08/50/527810850.db2.gz LXHIAEFRXDYFJS-QWHCGFSZSA-N 0 0 262.309 2.567 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)/C=C/c3cccc([N+](=O)[O-])c3)C[C@@H]21 ZINC000174102108 527842145 /nfs/dbraw/zinc/84/21/45/527842145.db2.gz DDKSQZKPVRTXIK-RNBGUABESA-N 0 0 286.331 2.722 20 5 CFBDRN CC(C)OCCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000176493526 527908474 /nfs/dbraw/zinc/90/84/74/527908474.db2.gz GFVHBUZCLOZVDS-UHFFFAOYSA-N 0 0 294.351 2.761 20 5 CFBDRN CC1(CC(=O)Nc2n[nH]c3ccc([N+](=O)[O-])cc32)CC1 ZINC000430272787 527918437 /nfs/dbraw/zinc/91/84/37/527918437.db2.gz BKLPXSTWUOZWEZ-UHFFFAOYSA-N 0 0 274.280 2.600 20 5 CFBDRN CC(C)[C@H]1OCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000413253863 527921874 /nfs/dbraw/zinc/92/18/74/527921874.db2.gz WTLOVEYDAIGFFY-BXKDBHETSA-N 0 0 283.303 2.602 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000413448787 527977136 /nfs/dbraw/zinc/97/71/36/527977136.db2.gz ZDBJDTLKZDRTKN-FZMZJTMJSA-N 0 0 277.324 2.540 20 5 CFBDRN CCOC(=O)[C@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000141326804 528006043 /nfs/dbraw/zinc/00/60/43/528006043.db2.gz AKWJQYFSHBOFAE-LLVKDONJSA-N 0 0 251.282 2.727 20 5 CFBDRN CCC[C@H](C)C[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000426823975 528039521 /nfs/dbraw/zinc/03/95/21/528039521.db2.gz KUKXGOQCYIXLLK-SMDDNHRTSA-N 0 0 294.351 2.964 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000413383333 528074028 /nfs/dbraw/zinc/07/40/28/528074028.db2.gz BKKCDZCBLXXGSV-TXEJJXNPSA-N 0 0 287.319 2.544 20 5 CFBDRN CC(C)c1ccc(C(=O)NOCC2CC2)cc1[N+](=O)[O-] ZINC000293844925 528074691 /nfs/dbraw/zinc/07/46/91/528074691.db2.gz UMWWHCPDLTWIHU-UHFFFAOYSA-N 0 0 278.308 2.790 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000412367006 528100626 /nfs/dbraw/zinc/10/06/26/528100626.db2.gz FXHKCHASHQEUPB-LLVKDONJSA-N 0 0 296.298 2.566 20 5 CFBDRN CC(C)CSCCCn1cc([N+](=O)[O-])ccc1=O ZINC000161772667 528220139 /nfs/dbraw/zinc/22/01/39/528220139.db2.gz FUWXPNOUPVKSSJ-UHFFFAOYSA-N 0 0 270.354 2.536 20 5 CFBDRN CCCCN(C(=O)c1nn(CC)cc1[N+](=O)[O-])[C@H](C)CC ZINC000191523892 528314737 /nfs/dbraw/zinc/31/47/37/528314737.db2.gz QIHQWNOOHQCPPX-LLVKDONJSA-N 0 0 296.371 2.852 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000425141264 528404809 /nfs/dbraw/zinc/40/48/09/528404809.db2.gz ODUDDKFQUMMZRY-ZSBIGDGJSA-N 0 0 290.319 2.522 20 5 CFBDRN CCOc1cccc(N[C@H](CC)CCO)c1[N+](=O)[O-] ZINC000169285007 528674907 /nfs/dbraw/zinc/67/49/07/528674907.db2.gz NZZCDNIESBLEPY-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN CCCc1nnc(Sc2ncc([N+](=O)[O-])cc2F)o1 ZINC000413197794 528780161 /nfs/dbraw/zinc/78/01/61/528780161.db2.gz LAEGTDIJPWXGCS-UHFFFAOYSA-N 0 0 284.272 2.616 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000413314624 528795240 /nfs/dbraw/zinc/79/52/40/528795240.db2.gz JIEPWCKETCKGOW-WPRPVWTQSA-N 0 0 253.277 2.833 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000176542639 528949336 /nfs/dbraw/zinc/94/93/36/528949336.db2.gz FETSKODMARAXGN-SECBINFHSA-N 0 0 268.288 2.756 20 5 CFBDRN CC[C@@H](Nc1ccccc1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413543425 529212385 /nfs/dbraw/zinc/21/23/85/529212385.db2.gz PJZFQRAAYKQUGT-ZWNOBZJWSA-N 0 0 252.314 2.802 20 5 CFBDRN CC[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])[C@H](O)C(C)C ZINC000413557167 529213035 /nfs/dbraw/zinc/21/30/35/529213035.db2.gz UAUWQBATTIQYSV-MWLCHTKSSA-N 0 0 287.747 2.851 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413144401 529225038 /nfs/dbraw/zinc/22/50/38/529225038.db2.gz TWKKAPRKRLUVTF-HZMBPMFUSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413144891 529263748 /nfs/dbraw/zinc/26/37/48/529263748.db2.gz UTDCSRTVDWRZBK-IINYFYTJSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000421907666 529325949 /nfs/dbraw/zinc/32/59/49/529325949.db2.gz DEIREOLZYGNWRW-SECBINFHSA-N 0 0 275.308 2.852 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420593463 529337218 /nfs/dbraw/zinc/33/72/18/529337218.db2.gz BQXAMHCLHXLQPQ-ZJUUUORDSA-N 0 0 279.340 2.930 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000248024283 534957330 /nfs/dbraw/zinc/95/73/30/534957330.db2.gz VQVAYDDYNWNSIC-UHFFFAOYSA-N 0 0 254.311 2.669 20 5 CFBDRN CC(C)c1nnc(N2CCc3ccc([N+](=O)[O-])cc3C2)o1 ZINC000450381193 534997297 /nfs/dbraw/zinc/99/72/97/534997297.db2.gz TXKWNQSQQBOBGI-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN CC(C)[C@@H](CCO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890962 535183955 /nfs/dbraw/zinc/18/39/55/535183955.db2.gz LISUWBITMBYOLW-LLVKDONJSA-N 0 0 274.267 2.692 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000446514306 535192457 /nfs/dbraw/zinc/19/24/57/535192457.db2.gz KLAQJVLOBKWDNA-LBPRGKRZSA-N 0 0 291.351 2.511 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000445501624 535194957 /nfs/dbraw/zinc/19/49/57/535194957.db2.gz GHJCEYLMTTYHRM-ZDUSSCGKSA-N 0 0 291.351 2.782 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000450114696 535236668 /nfs/dbraw/zinc/23/66/68/535236668.db2.gz AXZYXQZPENPATE-SNVBAGLBSA-N 0 0 284.262 2.601 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000459513371 535284826 /nfs/dbraw/zinc/28/48/26/535284826.db2.gz AKELZJQTOOXGDN-NWDGAFQWSA-N 0 0 281.287 2.900 20 5 CFBDRN CC(C)[C@](C)(O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450334880 535286492 /nfs/dbraw/zinc/28/64/92/535286492.db2.gz JPDDUGJANQKTQT-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)Nc2ccccc2F)c1[N+](=O)[O-] ZINC000433839248 535324814 /nfs/dbraw/zinc/32/48/14/535324814.db2.gz PQRWVBROAHZJEN-UHFFFAOYSA-N 0 0 292.270 2.833 20 5 CFBDRN CC(C)=CCCNS(=O)(=O)c1ccc([N+](=O)[O-])cc1C ZINC000360025971 535357112 /nfs/dbraw/zinc/35/71/12/535357112.db2.gz WOCBDWLIDLINAX-UHFFFAOYSA-N 0 0 298.364 2.538 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])nc2)C12CCC2 ZINC000450396888 535454568 /nfs/dbraw/zinc/45/45/68/535454568.db2.gz WXHBWJRNAXIEHJ-QWHCGFSZSA-N 0 0 291.351 2.774 20 5 CFBDRN CCC[C@@H]1C[C@H]1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000231692503 535492070 /nfs/dbraw/zinc/49/20/70/535492070.db2.gz YAIHEYGSMZHWDA-LDYMZIIASA-N 0 0 266.272 2.652 20 5 CFBDRN CCOCCN(CC)c1cc(C)ccc1[N+](=O)[O-] ZINC000447045414 535588682 /nfs/dbraw/zinc/58/86/82/535588682.db2.gz WACLDXWXXLXJCB-UHFFFAOYSA-N 0 0 252.314 2.766 20 5 CFBDRN CCC[C@H](NC(=O)c1c([N+](=O)[O-])c(C)nn1C)C(C)(C)C ZINC000452659274 535694433 /nfs/dbraw/zinc/69/44/33/535694433.db2.gz FIADUPKVZCBAFJ-JTQLQIEISA-N 0 0 296.371 2.581 20 5 CFBDRN CCC[C@H]1SCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000265417264 535752890 /nfs/dbraw/zinc/75/28/90/535752890.db2.gz DXQVHRRAYTYNCJ-SNVBAGLBSA-N 0 0 270.310 2.503 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ncc([N+](=O)[O-])cc1Cl ZINC000265525703 535770107 /nfs/dbraw/zinc/77/01/07/535770107.db2.gz MUIIPSICFVCMQE-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@@H](NC(=O)CCOC(C)C)c1cccc([N+](=O)[O-])c1 ZINC000267287227 535786211 /nfs/dbraw/zinc/78/62/11/535786211.db2.gz MINHXMFXJPJLQV-CQSZACIVSA-N 0 0 294.351 2.977 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])nc(C)n1CC)C(F)F ZINC000450559158 535809615 /nfs/dbraw/zinc/80/96/15/535809615.db2.gz GJLSJCBXPXWPJS-SSDOTTSWSA-N 0 0 262.260 2.575 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C(F)F ZINC000452250423 535875520 /nfs/dbraw/zinc/87/55/20/535875520.db2.gz SNBYZFSBTIBNIM-QMMMGPOBSA-N 0 0 276.214 2.507 20 5 CFBDRN CN(C(=O)/C=C\c1cscn1)c1cccc([N+](=O)[O-])c1 ZINC000492197272 535940274 /nfs/dbraw/zinc/94/02/74/535940274.db2.gz FBIPTEFVZGWCKV-WAYWQWQTSA-N 0 0 289.316 2.728 20 5 CFBDRN CC[C@@](C)(CNC(=O)/C=C\c1ccccc1[N+](=O)[O-])OC ZINC000493126931 535978355 /nfs/dbraw/zinc/97/83/55/535978355.db2.gz ZRSMJFFGXSORFJ-KVPUOBJLSA-N 0 0 292.335 2.539 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@]2(C)CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000457258100 536006848 /nfs/dbraw/zinc/00/68/48/536006848.db2.gz MAAYHMSVMUNENH-XPTSAGLGSA-N 0 0 294.355 2.579 20 5 CFBDRN CCc1cncc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c1 ZINC000447513657 536071003 /nfs/dbraw/zinc/07/10/03/536071003.db2.gz YAEBECNNNZWIHV-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC=CCC2)cc([N+](=O)[O-])c1C ZINC000191927669 536502449 /nfs/dbraw/zinc/50/24/49/536502449.db2.gz KMUPBIDCRZFZRD-GFCCVEGCSA-N 0 0 290.319 2.750 20 5 CFBDRN COC[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000456957248 536502826 /nfs/dbraw/zinc/50/28/26/536502826.db2.gz DOPSDGVYGVXYRL-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN COC(=O)c1cc(N[C@@H](C)CSC)cc(C)c1[N+](=O)[O-] ZINC000268451089 536569024 /nfs/dbraw/zinc/56/90/24/536569024.db2.gz APWZUEMDBQKDEL-VIFPVBQESA-N 0 0 298.364 2.853 20 5 CFBDRN CO[C@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000266019227 536691068 /nfs/dbraw/zinc/69/10/68/536691068.db2.gz AEETXAMRRNLUSI-KOLCDFICSA-N 0 0 298.364 2.652 20 5 CFBDRN COC1(CSc2ccc([N+](=O)[O-])cn2)CCC1 ZINC000450893604 536693202 /nfs/dbraw/zinc/69/32/02/536693202.db2.gz SBJUOSROGUVMFP-UHFFFAOYSA-N 0 0 254.311 2.651 20 5 CFBDRN COc1ccc(NC(=O)/C=C\C2CC2)cc1[N+](=O)[O-] ZINC000492414397 536715474 /nfs/dbraw/zinc/71/54/74/536715474.db2.gz ZSIGISPLPYMOFV-DAXSKMNVSA-N 0 0 262.265 2.508 20 5 CFBDRN COCC[C@H]1CCCCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000341949233 536824534 /nfs/dbraw/zinc/82/45/34/536824534.db2.gz MLVZAABWYQQOBG-GFCCVEGCSA-N 0 0 279.340 2.633 20 5 CFBDRN COc1cc(OCCc2c(C)noc2C)ccc1[N+](=O)[O-] ZINC000355937473 536874928 /nfs/dbraw/zinc/87/49/28/536874928.db2.gz XAIJBVZMMPMUQV-UHFFFAOYSA-N 0 0 292.291 2.830 20 5 CFBDRN COc1cc(OCc2cncc(F)c2)ccc1[N+](=O)[O-] ZINC000355943023 536876051 /nfs/dbraw/zinc/87/60/51/536876051.db2.gz PWUWRZJIXQRFKO-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC(C)(C)C1CCC1 ZINC000456172862 536885897 /nfs/dbraw/zinc/88/58/97/536885897.db2.gz SQCYXFVRRVZRDT-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H](C)C(C)C ZINC000341771864 536950755 /nfs/dbraw/zinc/95/07/55/536950755.db2.gz GLVVTIBGICBPSL-SNVBAGLBSA-N 0 0 280.324 2.720 20 5 CFBDRN COc1ccc(NC(=O)C2(C)CC=CC2)cc1[N+](=O)[O-] ZINC000935324078 649897742 /nfs/dbraw/zinc/89/77/42/649897742.db2.gz KKSHKDFAHLLTJW-UHFFFAOYSA-N 0 0 276.292 2.898 20 5 CFBDRN Cn1cc(NCCC2=CCCCC2)cc([N+](=O)[O-])c1=O ZINC001168771644 782180320 /nfs/dbraw/zinc/18/03/20/782180320.db2.gz FBVUQSWHZNSQTB-UHFFFAOYSA-N 0 0 277.324 2.596 20 5 CFBDRN O=C(/C=C/C1CC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000744074110 574052378 /nfs/dbraw/zinc/05/23/78/574052378.db2.gz HGZSUOSXEXPPEC-ZZXKWVIFSA-N 0 0 265.240 2.743 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCC1CC=CC1 ZINC000750379048 574055658 /nfs/dbraw/zinc/05/56/58/574055658.db2.gz ZMCIHIXPQYHFBX-UHFFFAOYSA-N 0 0 261.277 2.647 20 5 CFBDRN CC(C)[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccccn1 ZINC000736508444 574058116 /nfs/dbraw/zinc/05/81/16/574058116.db2.gz TXCYRNATXJJZKW-ZDUSSCGKSA-N 0 0 289.291 2.710 20 5 CFBDRN C[C@H]1C[C@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C[C@@H](C)C1 ZINC000744213270 574061626 /nfs/dbraw/zinc/06/16/26/574061626.db2.gz RJMQXXPNOFRLJV-URLYPYJESA-N 0 0 280.324 2.915 20 5 CFBDRN CCCC[C@@H](CCC)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000730296420 574071309 /nfs/dbraw/zinc/07/13/09/574071309.db2.gz CHXRYGNGRVWFJM-GFCCVEGCSA-N 0 0 283.328 2.693 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000738617620 574074118 /nfs/dbraw/zinc/07/41/18/574074118.db2.gz POQRVBYWZGEORG-SSDOTTSWSA-N 0 0 282.252 2.767 20 5 CFBDRN CCOc1cc(NC2COC(C)(C)OC2)ccc1[N+](=O)[O-] ZINC000754115253 574074456 /nfs/dbraw/zinc/07/44/56/574074456.db2.gz DXSURGKPBWKMRR-UHFFFAOYSA-N 0 0 296.323 2.557 20 5 CFBDRN Cc1c(COC(=O)C2CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000754124798 574075418 /nfs/dbraw/zinc/07/54/18/574075418.db2.gz ZNMXTIHPHZCUJY-UHFFFAOYSA-N 0 0 285.246 2.992 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000750897548 574078665 /nfs/dbraw/zinc/07/86/65/574078665.db2.gz RJNPXKUUNXWFSA-LBPRGKRZSA-N 0 0 281.333 2.842 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnoc1 ZINC000754201136 574082356 /nfs/dbraw/zinc/08/23/56/574082356.db2.gz CBZDWZGINYPBGP-TWGQIWQCSA-N 0 0 273.248 2.625 20 5 CFBDRN COc1ccc(OC(=O)Cc2ccc(C)o2)c([N+](=O)[O-])c1 ZINC000754255052 574084777 /nfs/dbraw/zinc/08/47/77/574084777.db2.gz OEMDYRXAXUYQLH-UHFFFAOYSA-N 0 0 291.259 2.653 20 5 CFBDRN Cc1ccc(CC(=O)Oc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000754267795 574085166 /nfs/dbraw/zinc/08/51/66/574085166.db2.gz PGLPHFFHAQREHF-UHFFFAOYSA-N 0 0 275.260 2.953 20 5 CFBDRN O=C(/C=C/C1CC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000754406537 574094448 /nfs/dbraw/zinc/09/44/48/574094448.db2.gz ROZBYIUPYRPRMN-CMDGGOBGSA-N 0 0 272.304 2.840 20 5 CFBDRN C[C@@H](OC(=O)C1=COCCC1)c1cccc([N+](=O)[O-])c1 ZINC000730744701 574094878 /nfs/dbraw/zinc/09/48/78/574094878.db2.gz NTFXDQBLVSHJCG-SNVBAGLBSA-N 0 0 277.276 2.893 20 5 CFBDRN CC[C@](C)(NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC000730789653 574097354 /nfs/dbraw/zinc/09/73/54/574097354.db2.gz GOFRGCQYHFTPIV-HNNXBMFYSA-N 0 0 287.319 2.978 20 5 CFBDRN COCC[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000754582626 574105140 /nfs/dbraw/zinc/10/51/40/574105140.db2.gz IEILMUQMVLQKMU-LLVKDONJSA-N 0 0 295.335 2.841 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000754594214 574106912 /nfs/dbraw/zinc/10/69/12/574106912.db2.gz AOWDDLLURZNZRL-SECBINFHSA-N 0 0 299.348 2.899 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1COc2ccccc2C1 ZINC000731027560 574106935 /nfs/dbraw/zinc/10/69/35/574106935.db2.gz LQJIHTHQBKNMMD-LBPRGKRZSA-N 0 0 299.330 2.961 20 5 CFBDRN CS[C@H](C)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000728769709 574107599 /nfs/dbraw/zinc/10/75/99/574107599.db2.gz IIIPFWFZJFYOSK-DTWKUNHWSA-N 0 0 269.322 2.951 20 5 CFBDRN COCCCCOC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000728768909 574107710 /nfs/dbraw/zinc/10/77/10/574107710.db2.gz XELPDKDBLPVXCX-UHFFFAOYSA-N 0 0 273.310 2.548 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000756414022 574111218 /nfs/dbraw/zinc/11/12/18/574111218.db2.gz ISICYOPBKGYGID-SNVBAGLBSA-N 0 0 279.292 2.675 20 5 CFBDRN C[C@H](OC(=O)c1ccc(CO)o1)c1cccc([N+](=O)[O-])c1 ZINC000745383543 574111892 /nfs/dbraw/zinc/11/18/92/574111892.db2.gz MVFWLJTYJXDIJD-VIFPVBQESA-N 0 0 291.259 2.598 20 5 CFBDRN Cc1cc(COC(=O)c2cc(C)ccc2[N+](=O)[O-])on1 ZINC000745390989 574112525 /nfs/dbraw/zinc/11/25/25/574112525.db2.gz IOPCMOFQZIPRJS-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCc3cocn3)sc2c1 ZINC000731209223 574112722 /nfs/dbraw/zinc/11/27/22/574112722.db2.gz TYSTTZVTYZAYER-UHFFFAOYSA-N 0 0 276.277 2.805 20 5 CFBDRN CCC(CC)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000754766288 574119700 /nfs/dbraw/zinc/11/97/00/574119700.db2.gz XGJUITWXUSAMJF-UHFFFAOYSA-N 0 0 281.264 2.669 20 5 CFBDRN O=C(OC/C=C/Cl)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731529737 574123152 /nfs/dbraw/zinc/12/31/52/574123152.db2.gz DDLPIKBHXWBJKA-OWOJBTEDSA-N 0 0 259.620 2.643 20 5 CFBDRN CCCCN(CCOC)c1ccc([N+](=O)[O-])cc1 ZINC000731663405 574126266 /nfs/dbraw/zinc/12/62/66/574126266.db2.gz WCXJJJGCGPUQBC-UHFFFAOYSA-N 0 0 252.314 2.848 20 5 CFBDRN Cc1c(COC(=O)[C@H](O)CC(C)(C)C)cccc1[N+](=O)[O-] ZINC000751765801 574129346 /nfs/dbraw/zinc/12/93/46/574129346.db2.gz QAVNWCBZCLTNOR-CYBMUJFWSA-N 0 0 295.335 2.744 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCCS2)c1 ZINC000745964711 574129822 /nfs/dbraw/zinc/12/98/22/574129822.db2.gz SRMPRAPVJNUOJI-JTQLQIEISA-N 0 0 281.333 2.956 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])s1)CC1(C)OCCO1 ZINC000745973126 574130447 /nfs/dbraw/zinc/13/04/47/574130447.db2.gz SFDZZVXRLDLASJ-SECBINFHSA-N 0 0 286.353 2.857 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746057361 574132044 /nfs/dbraw/zinc/13/20/44/574132044.db2.gz HFBQRCDDQCGBMO-XCBNKYQSSA-N 0 0 269.684 2.947 20 5 CFBDRN CC(C)CN(Cc1ccccc1)Cn1cc([N+](=O)[O-])cn1 ZINC000746061909 574132222 /nfs/dbraw/zinc/13/22/22/574132222.db2.gz NUAHBAYJWIZOFL-UHFFFAOYSA-N 0 0 288.351 2.907 20 5 CFBDRN O=C(C[C@@H]1CCCO1)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746066087 574132779 /nfs/dbraw/zinc/13/27/79/574132779.db2.gz SIXKAESWTVPWDY-NSHDSACASA-N 0 0 299.710 2.861 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H]1CC1(C)C ZINC000751803212 574132986 /nfs/dbraw/zinc/13/29/86/574132986.db2.gz FZEXREZDJQZIFG-NSHDSACASA-N 0 0 279.292 2.693 20 5 CFBDRN Cn1nccc1[C@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000742212497 574134229 /nfs/dbraw/zinc/13/42/29/574134229.db2.gz ROFDDMVEZKMRLQ-JTQLQIEISA-N 0 0 292.364 2.774 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755056084 574134660 /nfs/dbraw/zinc/13/46/60/574134660.db2.gz RMDQIQLZDFTTHW-RKDXNWHRSA-N 0 0 297.282 2.748 20 5 CFBDRN CCCC(=O)COC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755057653 574134781 /nfs/dbraw/zinc/13/47/81/574134781.db2.gz ZERIMAOCILGYTH-SECBINFHSA-N 0 0 297.282 2.750 20 5 CFBDRN C[C@H](OC(=O)Cc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000731969974 574138493 /nfs/dbraw/zinc/13/84/93/574138493.db2.gz ADEPBQMVNLRLIG-NSHDSACASA-N 0 0 286.287 2.837 20 5 CFBDRN Cc1occc1CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000731992058 574139617 /nfs/dbraw/zinc/13/96/17/574139617.db2.gz AZPKIIINSAJSIB-UHFFFAOYSA-N 0 0 278.239 2.565 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@@H](c3ccco3)C2)nc2ccccn21 ZINC000732049650 574140896 /nfs/dbraw/zinc/14/08/96/574140896.db2.gz XPNLXCOQPOHULA-LLVKDONJSA-N 0 0 298.302 2.829 20 5 CFBDRN Cc1ccc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])o1 ZINC000728988065 574144136 /nfs/dbraw/zinc/14/41/36/574144136.db2.gz MDSQNVYUQCDJGF-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN CCc1cccc(OC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000755190766 574145401 /nfs/dbraw/zinc/14/54/01/574145401.db2.gz BAAHHIZNBQAKAW-UHFFFAOYSA-N 0 0 274.276 2.715 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1ccc2c(c1)CCC2 ZINC000755193375 574145448 /nfs/dbraw/zinc/14/54/48/574145448.db2.gz CZWWRJQNPJDQJD-UHFFFAOYSA-N 0 0 286.287 2.641 20 5 CFBDRN C[C@H](C[C@H](O)c1cccs1)Nc1ccc([N+](=O)[O-])nc1 ZINC000751943072 574146522 /nfs/dbraw/zinc/14/65/22/574146522.db2.gz SCZARYBVXJLREM-KOLCDFICSA-N 0 0 293.348 2.975 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](C)C1 ZINC000755215176 574147168 /nfs/dbraw/zinc/14/71/68/574147168.db2.gz WZZZTLKPODSHFW-UTEVDWOZSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1ccc(OC(=O)CCc2nccs2)cc1[N+](=O)[O-] ZINC000755250293 574149490 /nfs/dbraw/zinc/14/94/90/574149490.db2.gz QFWAOCFKQGLQHL-UHFFFAOYSA-N 0 0 292.316 2.898 20 5 CFBDRN O=C(C=C1CCC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000755243623 574149782 /nfs/dbraw/zinc/14/97/82/574149782.db2.gz LSNISYQBFGAICO-UHFFFAOYSA-N 0 0 265.240 2.887 20 5 CFBDRN Cc1ccc(OC(=O)c2cn3ccccc3n2)cc1[N+](=O)[O-] ZINC000755252136 574150035 /nfs/dbraw/zinc/15/00/35/574150035.db2.gz XMMWVWUCAZEXAD-UHFFFAOYSA-N 0 0 297.270 2.770 20 5 CFBDRN CCc1nnc([C@@H](C)OC(=O)c2csc([N+](=O)[O-])c2)o1 ZINC000732327688 574152176 /nfs/dbraw/zinc/15/21/76/574152176.db2.gz QRKDMTVGTHXRSW-ZCFIWIBFSA-N 0 0 297.292 2.520 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1ccnc(F)c1 ZINC000729000555 574155327 /nfs/dbraw/zinc/15/53/27/574155327.db2.gz NGVZYRWFDNDIRX-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1ncsc1COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000732501909 574159407 /nfs/dbraw/zinc/15/94/07/574159407.db2.gz FGSWWZIGQOTBPI-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])n2C)s1 ZINC000755400567 574160311 /nfs/dbraw/zinc/16/03/11/574160311.db2.gz ZFJJOWFCTCPZCT-UHFFFAOYSA-N 0 0 280.305 2.660 20 5 CFBDRN CN(Cc1cc(Cl)ccc1[N+](=O)[O-])CC(F)F ZINC000746666636 574161035 /nfs/dbraw/zinc/16/10/35/574161035.db2.gz SHGLUDDFYGQVRW-UHFFFAOYSA-N 0 0 264.659 2.945 20 5 CFBDRN Cc1ccnc(CNc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000755421042 574161389 /nfs/dbraw/zinc/16/13/89/574161389.db2.gz KPUYHKACNGUIBX-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCOc1ncccc1F ZINC000746715563 574164074 /nfs/dbraw/zinc/16/40/74/574164074.db2.gz BWYUBSXJNASDCV-UHFFFAOYSA-N 0 0 295.245 2.759 20 5 CFBDRN CCOc1cc(COC(=O)[C@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000755510464 574166370 /nfs/dbraw/zinc/16/63/70/574166370.db2.gz LGORIQHTFIKGMW-KOLCDFICSA-N 0 0 279.292 2.693 20 5 CFBDRN O=C(OCC(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000729073869 574168024 /nfs/dbraw/zinc/16/80/24/574168024.db2.gz ASPNEXAINWIUDB-UHFFFAOYSA-N 0 0 271.175 2.763 20 5 CFBDRN CC1(C)C[C@H]1CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000732724716 574168968 /nfs/dbraw/zinc/16/89/68/574168968.db2.gz HKLVPJJNIDSKTK-VIFPVBQESA-N 0 0 279.365 2.927 20 5 CFBDRN COCCCCC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000746911267 574170785 /nfs/dbraw/zinc/17/07/85/574170785.db2.gz WTVLHGBKJFVDSV-UHFFFAOYSA-N 0 0 273.310 2.516 20 5 CFBDRN CC1(C)C[C@@H]1CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000732804516 574172813 /nfs/dbraw/zinc/17/28/13/574172813.db2.gz NFZQRTGLBZHFNA-SECBINFHSA-N 0 0 260.297 2.701 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000729090236 574173696 /nfs/dbraw/zinc/17/36/96/574173696.db2.gz ZIKQRMSXDIGEHX-WCBMZHEXSA-N 0 0 274.276 2.889 20 5 CFBDRN CCCC(=O)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000738572765 574176980 /nfs/dbraw/zinc/17/69/80/574176980.db2.gz GRFYXZMKHKUECX-TWGQIWQCSA-N 0 0 277.276 2.520 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755675821 574177577 /nfs/dbraw/zinc/17/75/77/574177577.db2.gz WLPVFSRGVKAOBS-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CCc1ccc(OC(=O)c2ocnc2C)c([N+](=O)[O-])c1 ZINC000755687989 574177868 /nfs/dbraw/zinc/17/78/68/574177868.db2.gz YBDQDMYLTCNEDY-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCC1CCSCC1 ZINC000747149018 574182035 /nfs/dbraw/zinc/18/20/35/574182035.db2.gz NOCVOCLEJDIBBH-UHFFFAOYSA-N 0 0 295.360 2.824 20 5 CFBDRN COC[C@H](OC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000747161286 574183722 /nfs/dbraw/zinc/18/37/22/574183722.db2.gz ZCJIDNOIWZCTKM-ZDUSSCGKSA-N 0 0 281.308 2.731 20 5 CFBDRN C=COCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000755772894 574186915 /nfs/dbraw/zinc/18/69/15/574186915.db2.gz QUYBBUDJHFNWFG-UHFFFAOYSA-N 0 0 277.232 2.658 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCOCC2CC2)c1 ZINC000747218490 574187349 /nfs/dbraw/zinc/18/73/49/574187349.db2.gz XGNGIBILPAAFIN-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN C[C@@H]1CC(OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000756064078 574207060 /nfs/dbraw/zinc/20/70/60/574207060.db2.gz XGKCNBJOFLKDAM-RKDXNWHRSA-N 0 0 297.282 2.847 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H](C)c2cnccn2)c1[N+](=O)[O-] ZINC000747739211 574209462 /nfs/dbraw/zinc/20/94/62/574209462.db2.gz GIHWSFJCJYYCMI-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CSCCCCCOC(=O)c1ccc([N+](=O)[O-])n1C ZINC000756139600 574212913 /nfs/dbraw/zinc/21/29/13/574212913.db2.gz KTABCMSFDHSYAL-UHFFFAOYSA-N 0 0 286.353 2.623 20 5 CFBDRN COc1ccc(CN(C)c2ccc(C)cc2[N+](=O)[O-])cn1 ZINC000747841541 574215271 /nfs/dbraw/zinc/21/52/71/574215271.db2.gz CNAFWFWGSNVGPD-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1cccc(F)n1 ZINC000747846286 574215507 /nfs/dbraw/zinc/21/55/07/574215507.db2.gz SIQWDJJSJAVDGN-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN Cc1cc(C(=O)OCc2cscn2)ccc1[N+](=O)[O-] ZINC000747901573 574218841 /nfs/dbraw/zinc/21/88/41/574218841.db2.gz HPJVWEWHKZMKRJ-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000756338125 574226092 /nfs/dbraw/zinc/22/60/92/574226092.db2.gz VMEQDUWYNHCQKL-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CC(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000748124322 574230548 /nfs/dbraw/zinc/23/05/48/574230548.db2.gz ZCGOVENTAIPUKA-VXGBXAGGSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@H](C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000756385362 574232110 /nfs/dbraw/zinc/23/21/10/574232110.db2.gz XLZXVNQLIREDJX-ZETCQYMHSA-N 0 0 270.260 2.519 20 5 CFBDRN O=C(OCc1ccncc1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000729793871 574232391 /nfs/dbraw/zinc/23/23/91/574232391.db2.gz OSLGVLWHPDXOFI-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 ZINC000756392943 574233088 /nfs/dbraw/zinc/23/30/88/574233088.db2.gz IAAUYDGEYQUVLD-SECBINFHSA-N 0 0 295.291 2.569 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1ccc([N+](=O)[O-])cc1 ZINC000748170437 574234686 /nfs/dbraw/zinc/23/46/86/574234686.db2.gz OWVWMDLLHLNSPS-JTQLQIEISA-N 0 0 277.276 2.511 20 5 CFBDRN CC1=C(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCCO1 ZINC000756439180 574237419 /nfs/dbraw/zinc/23/74/19/574237419.db2.gz XXKTYILGPRBLLD-UHFFFAOYSA-N 0 0 295.266 2.862 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000733469438 574240882 /nfs/dbraw/zinc/24/08/82/574240882.db2.gz CSTOJHLQQNYBRR-JTQLQIEISA-N 0 0 265.240 2.999 20 5 CFBDRN O=C(CCc1cscn1)OCc1ccccc1[N+](=O)[O-] ZINC000733529669 574244760 /nfs/dbraw/zinc/24/47/60/574244760.db2.gz SOPJWINCTHXEHN-UHFFFAOYSA-N 0 0 292.316 2.727 20 5 CFBDRN O=C(CCc1cscn1)OCc1csc([N+](=O)[O-])c1 ZINC000733528699 574244852 /nfs/dbraw/zinc/24/48/52/574244852.db2.gz QWOJBLIOEHSXMA-UHFFFAOYSA-N 0 0 298.345 2.789 20 5 CFBDRN C[C@H](COC(=O)c1cccc([N+](=O)[O-])c1N)C(F)(F)F ZINC000748308186 574246667 /nfs/dbraw/zinc/24/66/67/574246667.db2.gz TWEFCXSDTYCMGH-ZCFIWIBFSA-N 0 0 292.213 2.532 20 5 CFBDRN CCCC(=O)COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000740392020 574249604 /nfs/dbraw/zinc/24/96/04/574249604.db2.gz QCFCKPXEGCTETK-UHFFFAOYSA-N 0 0 293.319 2.785 20 5 CFBDRN CC(C)(C(=O)OC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000740390105 574249736 /nfs/dbraw/zinc/24/97/36/574249736.db2.gz UFJLDSLBPLTGIK-CYBMUJFWSA-N 0 0 293.319 2.595 20 5 CFBDRN C[C@@H](OC(=O)C[C@@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000740541403 574254906 /nfs/dbraw/zinc/25/49/06/574254906.db2.gz IGVHTXMBBWLRSC-MNOVXSKESA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])s1)CC1(C)OCCO1 ZINC000745973127 574257743 /nfs/dbraw/zinc/25/77/43/574257743.db2.gz SFDZZVXRLDLASJ-VIFPVBQESA-N 0 0 286.353 2.857 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000749053188 574278195 /nfs/dbraw/zinc/27/81/95/574278195.db2.gz DYWXGCUDZISCNC-IRUJWGPZSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])cnn1C ZINC000749058668 574278696 /nfs/dbraw/zinc/27/86/96/574278696.db2.gz PAOVSXUPXVWJFC-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN O=C(CC1(O)CCCCC1)OCc1ccccc1[N+](=O)[O-] ZINC000734196414 574279207 /nfs/dbraw/zinc/27/92/07/574279207.db2.gz UZVQDKHFGNECBM-UHFFFAOYSA-N 0 0 293.319 2.723 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])o1)C(C)(C)C ZINC000734341270 574283244 /nfs/dbraw/zinc/28/32/44/574283244.db2.gz WELVEFUSBRJBLA-MRVPVSSYSA-N 0 0 254.286 2.600 20 5 CFBDRN Nc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)cc1F ZINC000749194599 574284128 /nfs/dbraw/zinc/28/41/28/574284128.db2.gz NVPBVCFUQXXXFX-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ccccc2[N+](=O)[O-])o1 ZINC000741242601 574284419 /nfs/dbraw/zinc/28/44/19/574284419.db2.gz IZBNNRNLEKZMGF-GFCCVEGCSA-N 0 0 262.265 2.642 20 5 CFBDRN C[C@@H](CNC(=O)CNc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000734442087 574286826 /nfs/dbraw/zinc/28/68/26/574286826.db2.gz JZWGTSSUUKWFGJ-NSHDSACASA-N 0 0 293.367 2.805 20 5 CFBDRN O=C(OCCCF)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000741442215 574289945 /nfs/dbraw/zinc/28/99/45/574289945.db2.gz FFBVCOSHQHXVMS-UHFFFAOYSA-N 0 0 296.298 2.711 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)c1ccco1 ZINC000741522155 574295172 /nfs/dbraw/zinc/29/51/72/574295172.db2.gz UQGCRXKPXLEMCW-VIFPVBQESA-N 0 0 291.259 2.906 20 5 CFBDRN Cc1nn(CCc2cccs2)c(C)c1[N+](=O)[O-] ZINC000749452459 574295769 /nfs/dbraw/zinc/29/57/69/574295769.db2.gz NJUILCKMYUKWBS-UHFFFAOYSA-N 0 0 251.311 2.712 20 5 CFBDRN CCCCN(C)c1ccc([N+](=O)[O-])cc1COC ZINC000741748418 574300522 /nfs/dbraw/zinc/30/05/22/574300522.db2.gz HYLVCWPRWDNEDO-UHFFFAOYSA-N 0 0 252.314 2.978 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)CC2CC2)c1 ZINC000741750942 574300537 /nfs/dbraw/zinc/30/05/37/574300537.db2.gz MHRYFNVSNFOHLH-UHFFFAOYSA-N 0 0 254.261 2.589 20 5 CFBDRN CCO[C@@H](C)C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734890600 574306833 /nfs/dbraw/zinc/30/68/33/574306833.db2.gz FLDWJABDHDAAFS-QMMMGPOBSA-N 0 0 287.699 2.716 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000741970897 574312416 /nfs/dbraw/zinc/31/24/16/574312416.db2.gz RCZCSTMYZHWJNH-VIFPVBQESA-N 0 0 298.314 2.667 20 5 CFBDRN COCCCCCOC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000735135744 574313003 /nfs/dbraw/zinc/31/30/03/574313003.db2.gz WOPWDISZNPOKAU-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN C[C@H](COC(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000735130726 574314122 /nfs/dbraw/zinc/31/41/22/574314122.db2.gz AUKYARVPSFBSLB-LLVKDONJSA-N 0 0 288.303 2.894 20 5 CFBDRN CCC/C=C/C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000742139612 574316271 /nfs/dbraw/zinc/31/62/71/574316271.db2.gz XOCOORNEGCGMNU-RUDMXATFSA-N 0 0 262.309 2.610 20 5 CFBDRN Cc1cc(C(N)=O)ccc1NCc1cccc([N+](=O)[O-])c1C ZINC000742258374 574321725 /nfs/dbraw/zinc/32/17/25/574321725.db2.gz BEOOUUPHLNINLR-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN C/C=C\COC(=O)c1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC000735353579 574321915 /nfs/dbraw/zinc/32/19/15/574321915.db2.gz LECJUBKSPAPRSK-IHWYPQMZSA-N 0 0 288.259 2.582 20 5 CFBDRN O=C(NCc1ccc[nH]1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750060185 574322811 /nfs/dbraw/zinc/32/28/11/574322811.db2.gz OCQPCAXSKJNUBD-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCC1CC1 ZINC000744286668 574324040 /nfs/dbraw/zinc/32/40/40/574324040.db2.gz JPOPWAZALVXIIG-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H](OC)C(F)(F)F)c1 ZINC000742405915 574328134 /nfs/dbraw/zinc/32/81/34/574328134.db2.gz OXNKYSKSAKAWAA-SNVBAGLBSA-N 0 0 294.229 2.593 20 5 CFBDRN CCC1(C(=O)Oc2ccc(OC)cc2[N+](=O)[O-])CC1 ZINC000750159938 574328752 /nfs/dbraw/zinc/32/87/52/574328752.db2.gz DEOWSMULQGCEDJ-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN C[C@](O)(CNc1ccsc1[N+](=O)[O-])c1ccco1 ZINC000742414336 574328891 /nfs/dbraw/zinc/32/88/91/574328891.db2.gz LQLPXDCYKONMAG-NSHDSACASA-N 0 0 268.294 2.569 20 5 CFBDRN Cc1nn(C)c(NCc2c(C)cccc2F)c1[N+](=O)[O-] ZINC000742420518 574329590 /nfs/dbraw/zinc/32/95/90/574329590.db2.gz BFICOIVEZJBICA-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN Cc1cnc(NC[C@@H]2COc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000742428653 574329987 /nfs/dbraw/zinc/32/99/87/574329987.db2.gz GPQYKADLFASDFN-GFCCVEGCSA-N 0 0 299.330 2.961 20 5 CFBDRN O=C(NCCC1CCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000755748805 574330011 /nfs/dbraw/zinc/33/00/11/574330011.db2.gz WXLZOFRIAKCLNB-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN CCOCCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000735602896 574330761 /nfs/dbraw/zinc/33/07/61/574330761.db2.gz ZEWZNELHLKNVTO-UHFFFAOYSA-N 0 0 293.275 2.924 20 5 CFBDRN Cc1ccc([C@H](C)C(=O)NCc2ccccc2[N+](=O)[O-])o1 ZINC000742549087 574334161 /nfs/dbraw/zinc/33/41/61/574334161.db2.gz WEDARZWKIWZFMO-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC1CC=CC1 ZINC000750356257 574334958 /nfs/dbraw/zinc/33/49/58/574334958.db2.gz CYGLPGKMSRNMGR-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000742742923 574342406 /nfs/dbraw/zinc/34/24/06/574342406.db2.gz XEVZTXYGUHIWRP-UWVGGRQHSA-N 0 0 280.349 2.609 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000735959875 574344163 /nfs/dbraw/zinc/34/41/63/574344163.db2.gz KLMMTFAGKQCNRH-LLVKDONJSA-N 0 0 286.287 2.837 20 5 CFBDRN CCC/C=C\C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000742882602 574347168 /nfs/dbraw/zinc/34/71/68/574347168.db2.gz VAPBBCLFHDRZBB-PLNGDYQASA-N 0 0 279.292 2.873 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])cn2C)[C@@H](C)C1 ZINC000736029947 574348129 /nfs/dbraw/zinc/34/81/29/574348129.db2.gz TZJHTWVCMCDTMO-NRUUGDAUSA-N 0 0 280.324 2.915 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])n2C)[C@H](C)C1 ZINC000736033110 574348460 /nfs/dbraw/zinc/34/84/60/574348460.db2.gz ZMWXHWVBIRPDMK-CKYFFXLPSA-N 0 0 280.324 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccncc2[N+](=O)[O-])cc1 ZINC000750600402 574348663 /nfs/dbraw/zinc/34/86/63/574348663.db2.gz OSGDKMDOYRXWMX-UHFFFAOYSA-N 0 0 261.193 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC/C=C\Cl ZINC000742995937 574349070 /nfs/dbraw/zinc/34/90/70/574349070.db2.gz ZINJAIOHKGNBQU-DJWKRKHSSA-N 0 0 255.657 2.813 20 5 CFBDRN CCc1ccc(C(=O)OCCOC2CCC2)cc1[N+](=O)[O-] ZINC000750766707 574354197 /nfs/dbraw/zinc/35/41/97/574354197.db2.gz PWGWRYZDJQXAHS-UHFFFAOYSA-N 0 0 293.319 2.883 20 5 CFBDRN COc1ccc(COC(=O)[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000750888271 574359305 /nfs/dbraw/zinc/35/93/05/574359305.db2.gz AJJBCIRZTMEIPB-LBPRGKRZSA-N 0 0 297.332 2.542 20 5 CFBDRN C/C=C(\C)C(=O)OCc1cc([N+](=O)[O-])ccc1OC ZINC000750902325 574360870 /nfs/dbraw/zinc/36/08/70/574360870.db2.gz VXEAXDURAOIDPG-RUDMXATFSA-N 0 0 265.265 2.613 20 5 CFBDRN CSCCCCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000736299813 574361004 /nfs/dbraw/zinc/36/10/04/574361004.db2.gz WAIBZEQLMZKEFA-UHFFFAOYSA-N 0 0 280.353 2.798 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000743461285 574365682 /nfs/dbraw/zinc/36/56/82/574365682.db2.gz XJWXQPXHHDGWOI-WDEREUQCSA-N 0 0 274.324 2.867 20 5 CFBDRN O=C(OCc1cc(Cl)ccn1)c1ccc([N+](=O)[O-])o1 ZINC000751169451 574370783 /nfs/dbraw/zinc/37/07/83/574370783.db2.gz SFWAEDMJYZQWOZ-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN Cc1c(OC(=O)CCOCC2CC2)cccc1[N+](=O)[O-] ZINC000751241095 574372580 /nfs/dbraw/zinc/37/25/80/574372580.db2.gz VUJWIYCZQJXSGT-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CCCC(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751627897 574380343 /nfs/dbraw/zinc/38/03/43/574380343.db2.gz MOBUCGXXVNUQPP-UHFFFAOYSA-N 0 0 264.237 2.579 20 5 CFBDRN O=C(CC1CCC1)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751667266 574380809 /nfs/dbraw/zinc/38/08/09/574380809.db2.gz OMCUPFYPWQKEMU-UHFFFAOYSA-N 0 0 290.275 2.969 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@@H]1C1CC1 ZINC000751745668 574382109 /nfs/dbraw/zinc/38/21/09/574382109.db2.gz MOLHJDIJJKYCAX-ZIAGYGMSSA-N 0 0 291.303 2.563 20 5 CFBDRN CC(=CC(=O)Nc1ccc[nH]c1=O)c1ccc([N+](=O)[O-])cc1 ZINC000751751402 574382356 /nfs/dbraw/zinc/38/23/56/574382356.db2.gz BGVORIPPZWBXFJ-KTKRTIGZSA-N 0 0 299.286 2.737 20 5 CFBDRN CC(C)(C)C[C@H](O)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000751767923 574382615 /nfs/dbraw/zinc/38/26/15/574382615.db2.gz AKQSRFUCHVJELC-LBPRGKRZSA-N 0 0 299.298 2.574 20 5 CFBDRN CC(C)(C)C[C@@H](O)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000751766278 574382680 /nfs/dbraw/zinc/38/26/80/574382680.db2.gz TVNRJOXCDCOICP-GFCCVEGCSA-N 0 0 299.298 2.574 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000751796347 574382955 /nfs/dbraw/zinc/38/29/55/574382955.db2.gz MSCZKNINNGKFMF-SECBINFHSA-N 0 0 267.256 2.823 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)C2(C)CC2)c1 ZINC000752498557 574392060 /nfs/dbraw/zinc/39/20/60/574392060.db2.gz XCNQVDPBWXMQPF-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CCOCCCOC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000752553072 574392749 /nfs/dbraw/zinc/39/27/49/574392749.db2.gz IIGGPMBNKLEXDJ-UHFFFAOYSA-N 0 0 285.271 2.626 20 5 CFBDRN C/C(=C/C(=O)Nc1nocc1C)c1ccc([N+](=O)[O-])cc1 ZINC000752616049 574393153 /nfs/dbraw/zinc/39/31/53/574393153.db2.gz LNNUPDMGERQYTJ-CLFYSBASSA-N 0 0 287.275 2.933 20 5 CFBDRN Cc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2F)ccn1C ZINC000752997118 574397281 /nfs/dbraw/zinc/39/72/81/574397281.db2.gz BBZWJSSSGJRINM-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN Cc1c(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)ccn1C ZINC000753006329 574397366 /nfs/dbraw/zinc/39/73/66/574397366.db2.gz YZDSJBIZQYBKQK-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN Cc1nn(C)c(Cl)c1/C=C\c1ccc([N+](=O)[O-])cn1 ZINC000753146816 574399355 /nfs/dbraw/zinc/39/93/55/574399355.db2.gz NYLHYWYIIZTDOP-XQRVVYSFSA-N 0 0 278.699 2.856 20 5 CFBDRN CCON(C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000753554306 574404402 /nfs/dbraw/zinc/40/44/02/574404402.db2.gz JYDKAQXYAMMFSP-JTQLQIEISA-N 0 0 281.312 2.557 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C1CC(F)(F)C1 ZINC000753633389 574407177 /nfs/dbraw/zinc/40/71/77/574407177.db2.gz VFDDJQCDLAVDFM-UHFFFAOYSA-N 0 0 287.218 2.554 20 5 CFBDRN O=C([O-])CCCC[NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000821521433 598166092 /nfs/dbraw/zinc/16/60/92/598166092.db2.gz FCWVILYPFVNUDP-UHFFFAOYSA-N 0 0 286.715 2.593 20 5 CFBDRN Cc1ccc([C@H](C)[NH2+]CCCCC(=O)[O-])cc1[N+](=O)[O-] ZINC000821069873 598171634 /nfs/dbraw/zinc/17/16/34/598171634.db2.gz LYXQWWGBEQCLEX-NSHDSACASA-N 0 0 280.324 2.809 20 5 CFBDRN O=C([O-])c1cccc(C[NH2+]Cc2ccccc2[N+](=O)[O-])c1 ZINC000213494046 598194043 /nfs/dbraw/zinc/19/40/43/598194043.db2.gz DTBUFJGUHQXIGK-UHFFFAOYSA-N 0 0 286.287 2.583 20 5 CFBDRN Cc1c([C@H](C)[NH2+][C@H](C(=O)[O-])C(C)C)cccc1[N+](=O)[O-] ZINC000820944621 598196665 /nfs/dbraw/zinc/19/66/65/598196665.db2.gz HRHKEFVGGLMWPD-GWCFXTLKSA-N 0 0 280.324 2.663 20 5 CFBDRN O=C(NC1CC(F)(F)C1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935649216 649926077 /nfs/dbraw/zinc/92/60/77/649926077.db2.gz PWFZFYHWVARBAV-NWDGAFQWSA-N 0 0 296.273 2.612 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000935701280 649931123 /nfs/dbraw/zinc/93/11/23/649931123.db2.gz AREHAYPRSFMGKU-JYAVWHMHSA-N 0 0 292.310 2.581 20 5 CFBDRN C/C(=C(/F)C(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000900331564 620662846 /nfs/dbraw/zinc/66/28/46/620662846.db2.gz LBKFJKPWOWNFAG-UVTDQMKNSA-N 0 0 292.310 2.907 20 5 CFBDRN C/C(=C(\F)C(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000900331563 620662867 /nfs/dbraw/zinc/66/28/67/620662867.db2.gz LBKFJKPWOWNFAG-GXDHUFHOSA-N 0 0 292.310 2.907 20 5 CFBDRN O=C([O-])[C@@H]1CCC[N@H+](Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000077253467 604784872 /nfs/dbraw/zinc/78/48/72/604784872.db2.gz HXEPBSLQSNQRFQ-SNVBAGLBSA-N 0 0 298.726 2.545 20 5 CFBDRN Cc1ccc(Cn2cc(C3CCC3)nn2)cc1[N+](=O)[O-] ZINC000900526313 620683491 /nfs/dbraw/zinc/68/34/91/620683491.db2.gz MFSJWOJQIKKKPO-UHFFFAOYSA-N 0 0 272.308 2.811 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[NH2+]CC[C@H](C)NC(=O)[O-] ZINC000833908015 605849201 /nfs/dbraw/zinc/84/92/01/605849201.db2.gz ZCUZITIRNLPCBL-WDEREUQCSA-N 0 0 295.339 2.600 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[NH2+]CC[C@@H](C)NC(=O)[O-] ZINC000833908012 605849481 /nfs/dbraw/zinc/84/94/81/605849481.db2.gz ZCUZITIRNLPCBL-GHMZBOCLSA-N 0 0 295.339 2.600 20 5 CFBDRN CC[C@@H](C)CSc1ccc(-c2nn[nH]n2)cc1[N+](=O)[O-] ZINC000820869207 606799454 /nfs/dbraw/zinc/79/94/54/606799454.db2.gz DVNYYJOQUOEVBE-MRVPVSSYSA-N 0 0 293.352 2.913 20 5 CFBDRN Nc1ccc(NC[C@@H](CO)CC2CCCC2)c([N+](=O)[O-])c1 ZINC000804684835 617807822 /nfs/dbraw/zinc/80/78/22/617807822.db2.gz XSGYRKDPAOJMTN-LBPRGKRZSA-N 0 0 293.367 2.778 20 5 CFBDRN C[C@H](O)CN(C)c1c2cc(Cl)ccc2ncc1[N+](=O)[O-] ZINC000892004997 617909928 /nfs/dbraw/zinc/90/99/28/617909928.db2.gz OSMNJJJEOUYSLA-QMMMGPOBSA-N 0 0 295.726 2.613 20 5 CFBDRN C[C@@H](COC(=O)Cc1ccc(C(C)(C)C)cc1)[N+](=O)[O-] ZINC000813107090 617927503 /nfs/dbraw/zinc/92/75/03/617927503.db2.gz JLYDHLPYYUDKTP-NSHDSACASA-N 0 0 279.336 2.735 20 5 CFBDRN C/C(=C/c1ccc(Cl)cc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813107237 617931897 /nfs/dbraw/zinc/93/18/97/617931897.db2.gz NJWBZXGRTJURFH-RNKPRXRFSA-N 0 0 283.711 2.952 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCS[C@H]2C)c1C ZINC000892298085 618097887 /nfs/dbraw/zinc/09/78/87/618097887.db2.gz DJHPOLABIAKIMP-WDEREUQCSA-N 0 0 294.376 2.835 20 5 CFBDRN O=C(/C=C/c1cccs1)NCc1cccc([N+](=O)[O-])c1 ZINC000049412900 617603745 /nfs/dbraw/zinc/60/37/45/617603745.db2.gz NACZFIVPQQBARI-VOTSOKGWSA-N 0 0 288.328 2.986 20 5 CFBDRN CC(C)(C)CCS(=O)(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000175721512 617623374 /nfs/dbraw/zinc/62/33/74/617623374.db2.gz USKMOLYWTPRKTP-UHFFFAOYSA-N 0 0 287.337 2.740 20 5 CFBDRN CN(Cc1ccccc1Cl)c1c([N+](=O)[O-])cnn1C ZINC000891477208 617628559 /nfs/dbraw/zinc/62/85/59/617628559.db2.gz DHZIYKYKLJNKNO-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@H]1c1cccs1 ZINC000891514008 617644127 /nfs/dbraw/zinc/64/41/27/617644127.db2.gz QUWCXDXISGZQMM-VIFPVBQESA-N 0 0 278.337 2.731 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC(C1CC1)C1CC1 ZINC000891671748 617703596 /nfs/dbraw/zinc/70/35/96/617703596.db2.gz RTLDRYZEERLKDL-UHFFFAOYSA-N 0 0 263.297 2.599 20 5 CFBDRN C[C@H](O)c1cccc(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000891745554 617736948 /nfs/dbraw/zinc/73/69/48/617736948.db2.gz BUPWQMSMKMUGEA-NSHDSACASA-N 0 0 287.319 2.680 20 5 CFBDRN C[C@@H]1CN(c2cc(Cl)c(F)cc2[N+](=O)[O-])CC[C@H]1O ZINC000300529859 617804348 /nfs/dbraw/zinc/80/43/48/617804348.db2.gz MRGNPKLHHAIDIF-JMCQJSRRSA-N 0 0 288.706 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3C[C@H]32)c2ncccc12 ZINC000804689315 617813950 /nfs/dbraw/zinc/81/39/50/617813950.db2.gz MXYHVVBXGPXYEQ-TVQRCGJNSA-N 0 0 255.277 2.742 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c(O)c1 ZINC000003494555 617816335 /nfs/dbraw/zinc/81/63/35/617816335.db2.gz HWUBBTAKXOONJW-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778304139 617816530 /nfs/dbraw/zinc/81/65/30/617816530.db2.gz PXZBEYCTSTXKPY-RKDXNWHRSA-N 0 0 285.246 2.886 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000176175709 617842970 /nfs/dbraw/zinc/84/29/70/617842970.db2.gz RPCVKYZMKSUSIZ-NXEZZACHSA-N 0 0 279.340 2.650 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000891954384 617855132 /nfs/dbraw/zinc/85/51/32/617855132.db2.gz BTRMQBAFFULFJB-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN CC(C)OCCON=c1[nH]c2ccc([N+](=O)[O-])cc2s1 ZINC000812488192 617859263 /nfs/dbraw/zinc/85/92/63/617859263.db2.gz ABWBEDMWSZUBSF-UHFFFAOYSA-N 0 0 297.336 2.973 20 5 CFBDRN CCN(C[C@@H](C)OC)c1c(F)cc([N+](=O)[O-])cc1F ZINC000812487599 617859845 /nfs/dbraw/zinc/85/98/45/617859845.db2.gz DCKASMBYIRZKOW-MRVPVSSYSA-N 0 0 274.267 2.734 20 5 CFBDRN Cc1nc(NC(=O)c2cccnc2Cl)ccc1[N+](=O)[O-] ZINC000175671823 617875038 /nfs/dbraw/zinc/87/50/38/617875038.db2.gz VKIMCDOQHMDWRN-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@H](O)CN(C)c1c2cccc(Cl)c2ncc1[N+](=O)[O-] ZINC000892004666 617909832 /nfs/dbraw/zinc/90/98/32/617909832.db2.gz KXBRFIYRSMWISO-QMMMGPOBSA-N 0 0 295.726 2.613 20 5 CFBDRN COCCCN(C)c1c2cc(F)ccc2ncc1[N+](=O)[O-] ZINC000892036494 617921518 /nfs/dbraw/zinc/92/15/18/617921518.db2.gz LXBCMLJYWULPRZ-UHFFFAOYSA-N 0 0 293.298 2.755 20 5 CFBDRN C[C@H](COC(=O)C1(c2cccc(Cl)c2)CCC1)[N+](=O)[O-] ZINC000813102238 617923882 /nfs/dbraw/zinc/92/38/82/617923882.db2.gz CHUAWZIZQGEHRP-SNVBAGLBSA-N 0 0 297.738 2.970 20 5 CFBDRN C[C@@H](COC(=O)CSc1ccccc1Cl)[N+](=O)[O-] ZINC000813103874 617925202 /nfs/dbraw/zinc/92/52/02/617925202.db2.gz HQBBSFMGNUCGGA-QMMMGPOBSA-N 0 0 289.740 2.641 20 5 CFBDRN C[C@H](COC(=O)c1ncsc1-c1ccccc1)[N+](=O)[O-] ZINC000813103437 617925220 /nfs/dbraw/zinc/92/52/20/617925220.db2.gz ANJCCQUESGRKEJ-SECBINFHSA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@H](COC(=O)CC[C@H](C)c1ccccc1)[N+](=O)[O-] ZINC000813107980 617933296 /nfs/dbraw/zinc/93/32/96/617933296.db2.gz SQOFDHWGGYUXTG-NWDGAFQWSA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1F ZINC000813109860 617934603 /nfs/dbraw/zinc/93/46/03/617934603.db2.gz PQYRLPBZLDUJKM-UWVGGRQHSA-N 0 0 269.272 2.528 20 5 CFBDRN CCSc1ccccc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813110727 617937236 /nfs/dbraw/zinc/93/72/36/617937236.db2.gz IFZBMCZBMCRLJP-SECBINFHSA-N 0 0 269.322 2.621 20 5 CFBDRN C[C@H](COC(=O)CCCC1CCCCC1)[N+](=O)[O-] ZINC000813112394 617941359 /nfs/dbraw/zinc/94/13/59/617941359.db2.gz GLLCFTOYAPDBHM-LLVKDONJSA-N 0 0 257.330 2.945 20 5 CFBDRN C[C@@H](COC(=O)CCSCc1ccccc1)[N+](=O)[O-] ZINC000813114811 617952262 /nfs/dbraw/zinc/95/22/62/617952262.db2.gz HDQUVCZMUHKRQV-NSHDSACASA-N 0 0 283.349 2.518 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(Cl)c1)NC1CCCC1 ZINC000109793309 617969154 /nfs/dbraw/zinc/96/91/54/617969154.db2.gz FVONJJOVZKSOGA-UHFFFAOYSA-N 0 0 298.726 2.686 20 5 CFBDRN C[C@@H](COC(=O)[C@@H](C)c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000845577021 618014535 /nfs/dbraw/zinc/01/45/35/618014535.db2.gz QKHWACPISDPERZ-IUCAKERBSA-N 0 0 271.700 2.652 20 5 CFBDRN O=C(/C=C\c1cccnc1)OCCc1ccccc1[N+](=O)[O-] ZINC000255162251 618023694 /nfs/dbraw/zinc/02/36/94/618023694.db2.gz YNKWMZUBBVFZNX-FPLPWBNLSA-N 0 0 298.298 2.789 20 5 CFBDRN O=C(NC/C=C/Cl)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000891196851 618034465 /nfs/dbraw/zinc/03/44/65/618034465.db2.gz FGODNIIJWAXYJD-XNWCZRBMSA-N 0 0 294.738 2.885 20 5 CFBDRN CC[N@H+](CCC(=O)[O-])Cc1ccc([N+](=O)[O-])cc1Cl ZINC000049964258 618054314 /nfs/dbraw/zinc/05/43/14/618054314.db2.gz UVTBVLZVEAEKAE-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cccc2c[nH]nc21 ZINC000051706558 618055181 /nfs/dbraw/zinc/05/51/81/618055181.db2.gz IHFCBDHEFNFESP-UHFFFAOYSA-N 0 0 297.270 2.999 20 5 CFBDRN Cc1cnc(OCc2cccnc2Cl)c([N+](=O)[O-])c1 ZINC000176707449 618073861 /nfs/dbraw/zinc/07/38/61/618073861.db2.gz NLDSLXVFMXMHCS-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=C(OCC(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000077110348 618085382 /nfs/dbraw/zinc/08/53/82/618085382.db2.gz GOFJZLPFHQJQSJ-UHFFFAOYSA-N 0 0 265.599 2.670 20 5 CFBDRN CC(C)CNC(=S)Nc1cc([N+](=O)[O-])ccc1F ZINC000013794525 618108677 /nfs/dbraw/zinc/10/86/77/618108677.db2.gz MHEGDOXDDZRECK-UHFFFAOYSA-N 0 0 271.317 2.676 20 5 CFBDRN Cc1cccc(C)c1CNC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000121975360 618112647 /nfs/dbraw/zinc/11/26/47/618112647.db2.gz QMSCPQZCKDLUKV-UHFFFAOYSA-N 0 0 299.330 2.724 20 5 CFBDRN CC(C)COC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000160628025 618126721 /nfs/dbraw/zinc/12/67/21/618126721.db2.gz WXGZQAAVPFXFLE-UHFFFAOYSA-N 0 0 276.292 2.818 20 5 CFBDRN CCCCOC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000160625824 618126785 /nfs/dbraw/zinc/12/67/85/618126785.db2.gz XRXUHNNHCQFYSO-UHFFFAOYSA-N 0 0 276.292 2.962 20 5 CFBDRN CC[C@@H](C)OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000160695650 618140575 /nfs/dbraw/zinc/14/05/75/618140575.db2.gz ZTVKXJBRAZIAKU-SECBINFHSA-N 0 0 276.292 2.960 20 5 CFBDRN Cc1cc(C(=O)OCC[C@H]2CCCO2)cc([N+](=O)[O-])c1 ZINC000177938121 618155568 /nfs/dbraw/zinc/15/55/68/618155568.db2.gz QEMBQDFQHAKWKQ-CYBMUJFWSA-N 0 0 279.292 2.629 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCCc1cccnc1 ZINC000255290940 618164978 /nfs/dbraw/zinc/16/49/78/618164978.db2.gz RGDKGFQONJTVAB-YVMONPNESA-N 0 0 298.298 2.789 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@@H]2CC2CC2)c(F)c1 ZINC000933210708 618170184 /nfs/dbraw/zinc/17/01/84/618170184.db2.gz UPSMMMNGAHAULE-AWEZNQCLSA-N 0 0 294.326 2.735 20 5 CFBDRN Cc1nc(COC(=O)c2ccc([N+](=O)[O-])cc2)cs1 ZINC000003383682 618175051 /nfs/dbraw/zinc/17/50/51/618175051.db2.gz ISBRCFMJIKOHGD-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC(C)=CCNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000219295844 618183308 /nfs/dbraw/zinc/18/33/08/618183308.db2.gz RRRXPOXVHQMGRS-UHFFFAOYSA-N 0 0 265.338 2.847 20 5 CFBDRN COCCN(CC(C)C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000160921871 618191947 /nfs/dbraw/zinc/19/19/47/618191947.db2.gz WDZSRTXVWROVKD-UHFFFAOYSA-N 0 0 297.311 2.612 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C1 ZINC000162158608 618206149 /nfs/dbraw/zinc/20/61/49/618206149.db2.gz ZCCLTAXPQRKBOG-MRVPVSSYSA-N 0 0 297.742 2.703 20 5 CFBDRN O=C(Nc1cccc(F)n1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000157877443 618208213 /nfs/dbraw/zinc/20/82/13/618208213.db2.gz OFPXMGHPFXLIIP-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCCn1cccn1 ZINC000176859541 618215512 /nfs/dbraw/zinc/21/55/12/618215512.db2.gz FUOOGWLYTCNPLG-UHFFFAOYSA-N 0 0 293.348 2.733 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H](C)C(C)(C)C)n1 ZINC000166829353 618225859 /nfs/dbraw/zinc/22/58/59/618225859.db2.gz LRRCCJPZVFNRFL-VIFPVBQESA-N 0 0 295.339 2.871 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2CC[C@@H](C)C2)c1F ZINC000235315685 618233632 /nfs/dbraw/zinc/23/36/32/618233632.db2.gz JLPCTHIDJGTOTR-PSASIEDQSA-N 0 0 280.299 2.961 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000892419086 618234561 /nfs/dbraw/zinc/23/45/61/618234561.db2.gz ZHSISBSWHDXTQI-KWCYVHTRSA-N 0 0 289.335 2.583 20 5 CFBDRN CCC(CC)(CNc1ncc([N+](=O)[O-])cc1OC)OC ZINC000893963681 618249163 /nfs/dbraw/zinc/24/91/63/618249163.db2.gz AWAXKAPKBUGHSL-UHFFFAOYSA-N 0 0 283.328 2.616 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@@H](c1ccccc1)C1CC1 ZINC000893969119 618255238 /nfs/dbraw/zinc/25/52/38/618255238.db2.gz VAJKAHJAUWFZIY-ZDUSSCGKSA-N 0 0 286.335 2.934 20 5 CFBDRN CC(C)[C@@H](O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000310352104 618282286 /nfs/dbraw/zinc/28/22/86/618282286.db2.gz PPCPUWXYFUPACV-NSHDSACASA-N 0 0 259.689 2.644 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)C(F)F ZINC000894043126 618286083 /nfs/dbraw/zinc/28/60/83/618286083.db2.gz OJIBLRZOGQHLJI-UFGYOYAJSA-N 0 0 284.262 2.768 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@H](C)C(F)F ZINC000894042559 618286091 /nfs/dbraw/zinc/28/60/91/618286091.db2.gz LEUXSXADSLCXAJ-ZCFIWIBFSA-N 0 0 292.669 2.940 20 5 CFBDRN C[C@@H]1CSCCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000930881770 618312535 /nfs/dbraw/zinc/31/25/35/618312535.db2.gz FGTSDBNQXSWSBR-SNVBAGLBSA-N 0 0 295.364 2.954 20 5 CFBDRN COCc1noc(CCc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000923776111 618325595 /nfs/dbraw/zinc/32/55/95/618325595.db2.gz FEWUZFMAQGJFKY-UHFFFAOYSA-N 0 0 297.698 2.563 20 5 CFBDRN Cc1ccc(C(=O)O[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000103825646 618329920 /nfs/dbraw/zinc/32/99/20/618329920.db2.gz DWDBGYPSNVVGCJ-JTQLQIEISA-N 0 0 267.306 2.566 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H](O)C1CCCCC1 ZINC000782366122 618334373 /nfs/dbraw/zinc/33/43/73/618334373.db2.gz DHYSCFPIVUUJKL-GFCCVEGCSA-N 0 0 299.348 2.641 20 5 CFBDRN CC[C@H](C)NC(=S)Nc1cc([N+](=O)[O-])ccc1F ZINC000749758421 618345306 /nfs/dbraw/zinc/34/53/06/618345306.db2.gz RRJNMYLNVSRHMU-ZETCQYMHSA-N 0 0 271.317 2.819 20 5 CFBDRN CC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2Cl)C1 ZINC000310845546 618349106 /nfs/dbraw/zinc/34/91/06/618349106.db2.gz LKWQHFVUVYHKOJ-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](C)C2CCC2)c1F ZINC000235496786 618355451 /nfs/dbraw/zinc/35/54/51/618355451.db2.gz BJZDHTPKOQBBHJ-VIFPVBQESA-N 0 0 280.299 2.961 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCC(F)(F)F ZINC000255849546 618356131 /nfs/dbraw/zinc/35/61/31/618356131.db2.gz ZOMDRYVWKYMTJE-UTCJRWHESA-N 0 0 275.182 2.714 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCOC2CCSCC2)c1 ZINC000901373768 620798185 /nfs/dbraw/zinc/79/81/85/620798185.db2.gz PRYJFHIYCRTBQT-UHFFFAOYSA-N 0 0 283.349 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)c2ccnn2C)c1 ZINC000235542408 618367771 /nfs/dbraw/zinc/36/77/71/618367771.db2.gz BAVYSKYMOMIRDO-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN CCOc1ccc(C(=O)NC[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000893330269 618374715 /nfs/dbraw/zinc/37/47/15/618374715.db2.gz PUIUOGJOXIUNRR-LLVKDONJSA-N 0 0 290.319 2.690 20 5 CFBDRN CCOc1ccc(C(=O)NC[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000893330270 618374754 /nfs/dbraw/zinc/37/47/54/618374754.db2.gz PUIUOGJOXIUNRR-NSHDSACASA-N 0 0 290.319 2.690 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C=CCC1 ZINC000893330261 618374843 /nfs/dbraw/zinc/37/48/43/618374843.db2.gz PNXIVQWACLDOCT-LLVKDONJSA-N 0 0 290.319 2.690 20 5 CFBDRN C[C@]1(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCCO1 ZINC000177242136 618380206 /nfs/dbraw/zinc/38/02/06/618380206.db2.gz VWHNTLHXGHXRGD-CYBMUJFWSA-N 0 0 299.710 2.974 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCC1CC(F)(F)C1 ZINC000894140133 618388252 /nfs/dbraw/zinc/38/82/52/618388252.db2.gz QPOOUGZGBUCPAA-UHFFFAOYSA-N 0 0 287.266 2.846 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cccc(F)n1 ZINC000167500771 618388747 /nfs/dbraw/zinc/38/87/47/618388747.db2.gz GFQYFJSSISDGKM-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCc2cccc(Cl)c2C1 ZINC000894159336 618404329 /nfs/dbraw/zinc/40/43/29/618404329.db2.gz MVIRARGSSFEBJB-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN C[C@@H]1C[C@H](COc2ccc(C=O)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000901392686 620803317 /nfs/dbraw/zinc/80/33/17/620803317.db2.gz STPFJLWFXKUVDJ-PJXYFTJBSA-N 0 0 293.319 2.990 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)[C@H]2C[C@@H]2C)c1C ZINC000909491837 618419033 /nfs/dbraw/zinc/41/90/33/618419033.db2.gz IUIYCDABSAQEGM-ONGXEEELSA-N 0 0 291.303 2.594 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1occc1C ZINC000052609545 618422393 /nfs/dbraw/zinc/42/23/93/618422393.db2.gz HCCAYUTUVYIEIW-UHFFFAOYSA-N 0 0 277.232 2.724 20 5 CFBDRN CCc1ccc(C(=O)OCCOC(C)C)cc1[N+](=O)[O-] ZINC000177439833 618424396 /nfs/dbraw/zinc/42/43/96/618424396.db2.gz RXKJSYLYZFVQPZ-UHFFFAOYSA-N 0 0 281.308 2.739 20 5 CFBDRN CC(C)[C@@H](O)Cc1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901396066 620804559 /nfs/dbraw/zinc/80/45/59/620804559.db2.gz PJPYQQXDBWRYFA-AWEZNQCLSA-N 0 0 276.292 2.809 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cccnc1F ZINC000235765171 618431489 /nfs/dbraw/zinc/43/14/89/618431489.db2.gz YJQNUVIBNSMZMA-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CCO[C@H](C)C1 ZINC000776342251 618433379 /nfs/dbraw/zinc/43/33/79/618433379.db2.gz DJKFTMIHVPEBTR-GHMZBOCLSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ncoc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000894194011 618435782 /nfs/dbraw/zinc/43/57/82/618435782.db2.gz WXQJWEVCQWPGQO-SECBINFHSA-N 0 0 261.281 2.742 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1C[C@H]1c1cccc(Cl)c1 ZINC000814042103 618441615 /nfs/dbraw/zinc/44/16/15/618441615.db2.gz GDSHXWFRQBJYJT-JFUSQASVSA-N 0 0 283.711 2.652 20 5 CFBDRN C[C@@H](OC(=O)CCCF)c1ccccc1[N+](=O)[O-] ZINC000850195093 618441677 /nfs/dbraw/zinc/44/16/77/618441677.db2.gz XQCDNDPSPDHKEA-SECBINFHSA-N 0 0 255.245 2.949 20 5 CFBDRN Cc1cc(Cl)c(C(=O)O[C@H](C)C[N+](=O)[O-])cc1C ZINC000814042147 618441996 /nfs/dbraw/zinc/44/19/96/618441996.db2.gz HDNBMCFMKIFMQH-SECBINFHSA-N 0 0 271.700 2.779 20 5 CFBDRN CCCC(=O)c1cc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000901398806 620805791 /nfs/dbraw/zinc/80/57/91/620805791.db2.gz JBQGTAGWLYJRHC-UHFFFAOYSA-N 0 0 250.210 2.826 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C/c1ccccc1Cl ZINC000814045072 618444437 /nfs/dbraw/zinc/44/44/37/618444437.db2.gz MJUUIGCKPHFAHO-XCODYQFDSA-N 0 0 269.684 2.562 20 5 CFBDRN COC[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000747156611 618454062 /nfs/dbraw/zinc/45/40/62/618454062.db2.gz ASVACZXMXCQTNW-GFCCVEGCSA-N 0 0 285.271 2.562 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC[C@H]2CCOC2)cc1F ZINC000310666125 618465942 /nfs/dbraw/zinc/46/59/42/618465942.db2.gz CUSHQJLMKHHYQS-ZETCQYMHSA-N 0 0 275.663 2.803 20 5 CFBDRN Cc1cn(C)nc1COc1cc(C)ccc1[N+](=O)[O-] ZINC000901412592 620811116 /nfs/dbraw/zinc/81/11/16/620811116.db2.gz MDFNCSSPXROIKP-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN CC1=NO[C@H](CNCc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000895312208 618527798 /nfs/dbraw/zinc/52/77/98/618527798.db2.gz WJPAYVYUSKGXFQ-NSHDSACASA-N 0 0 283.715 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2(C3CC3)CCC2)c1 ZINC000895361098 618550661 /nfs/dbraw/zinc/55/06/61/618550661.db2.gz YXAACXNWFSJDHK-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC1(C2CC2)CCC1 ZINC000895366745 618552388 /nfs/dbraw/zinc/55/23/88/618552388.db2.gz URZQRCZSVMDFEM-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC000895394098 618565740 /nfs/dbraw/zinc/56/57/40/618565740.db2.gz LAQFKGZJLTWNOF-WBMJQRKESA-N 0 0 299.305 2.907 20 5 CFBDRN CCc1nn(C)c(Oc2ccc(C=O)cc2F)c1[N+](=O)[O-] ZINC000808006852 618569452 /nfs/dbraw/zinc/56/94/52/618569452.db2.gz DQJFRXDFHIJLFP-UHFFFAOYSA-N 0 0 293.254 2.635 20 5 CFBDRN CC1=NO[C@H](CNCc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000895427676 618576760 /nfs/dbraw/zinc/57/67/60/618576760.db2.gz CXKDRABMXZKYFT-NSHDSACASA-N 0 0 283.715 2.503 20 5 CFBDRN Cc1c(CN2CC[C@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000895431684 618579443 /nfs/dbraw/zinc/57/94/43/618579443.db2.gz PMVWHIUDYWHSFT-NSHDSACASA-N 0 0 256.252 2.743 20 5 CFBDRN O=C(OC[C@@H]1Cc2ccccc2O1)c1ccccc1[N+](=O)[O-] ZINC000177820822 618606974 /nfs/dbraw/zinc/60/69/74/618606974.db2.gz UBCJMSLCTAMQBO-LBPRGKRZSA-N 0 0 299.282 2.755 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC[C@H]1CCCO1 ZINC000236178111 618608707 /nfs/dbraw/zinc/60/87/07/618608707.db2.gz XXJFYYZUDHCKJN-SSDOTTSWSA-N 0 0 274.679 2.978 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC[C@H]1CCCO1 ZINC000177900163 618612230 /nfs/dbraw/zinc/61/22/30/618612230.db2.gz NBPVFNVAJKVNIT-GFCCVEGCSA-N 0 0 279.292 2.629 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892643995 618669527 /nfs/dbraw/zinc/66/95/27/618669527.db2.gz NAKIFOKXQLDNPN-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892654777 618676734 /nfs/dbraw/zinc/67/67/34/618676734.db2.gz ZTJOEFPSOABIKF-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])ccc2F)on1 ZINC000311210898 618693809 /nfs/dbraw/zinc/69/38/09/618693809.db2.gz KZMQEJICDVPWTO-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN CC(C)(O)CNc1cccc(Br)c1[N+](=O)[O-] ZINC000309456809 618696179 /nfs/dbraw/zinc/69/61/79/618696179.db2.gz RAJNSHXZVNRBTB-UHFFFAOYSA-N 0 0 289.129 2.540 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ncc(Cl)n2C)c1 ZINC000311373070 618709308 /nfs/dbraw/zinc/70/93/08/618709308.db2.gz GYBJLHMUGKFVDU-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cc1cccc(O[C@H](C)CNc2c([N+](=O)[O-])cnn2C)c1 ZINC000892687333 618710382 /nfs/dbraw/zinc/71/03/82/618710382.db2.gz JZBGKNOSMZSEIR-LLVKDONJSA-N 0 0 290.323 2.516 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2C)nn1C ZINC000311509282 618714098 /nfs/dbraw/zinc/71/40/98/618714098.db2.gz BJEUXLVNAJHIIH-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cn1cc(CCOc2ccc(Cl)c([N+](=O)[O-])c2)cn1 ZINC000311608892 618717607 /nfs/dbraw/zinc/71/76/07/618717607.db2.gz VDGGRLSKPAQZJM-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN CCCCNC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000783692150 618720905 /nfs/dbraw/zinc/72/09/05/618720905.db2.gz AATQNIPUSSJEDW-KHPPLWFESA-N 0 0 262.309 2.914 20 5 CFBDRN C[C@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C[C@@H]1C ZINC000892737349 618736254 /nfs/dbraw/zinc/73/62/54/618736254.db2.gz BQVLDBXGLYYITA-IUCAKERBSA-N 0 0 265.269 2.595 20 5 CFBDRN CCOc1cc(NC(=O)C[C@@H](C)COC)ccc1[N+](=O)[O-] ZINC000892745758 618738403 /nfs/dbraw/zinc/73/84/03/618738403.db2.gz GQNMOZJQHKGDFM-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN CCOc1cc(NC(=O)[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000892747696 618738827 /nfs/dbraw/zinc/73/88/27/618738827.db2.gz XBCJNODTGXCPTF-VIFPVBQESA-N 0 0 296.348 2.685 20 5 CFBDRN CCOc1cc(NC(=O)[C@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000892744251 618738836 /nfs/dbraw/zinc/73/88/36/618738836.db2.gz ABCSUBUEHQYICL-GFCCVEGCSA-N 0 0 296.348 2.828 20 5 CFBDRN CCS[C@@H]1CCC[C@H](Nc2c([N+](=O)[O-])cnn2C)C1 ZINC000892813469 618749688 /nfs/dbraw/zinc/74/96/88/618749688.db2.gz RCDLSBPFUIBPBE-VHSXEESVSA-N 0 0 284.385 2.805 20 5 CFBDRN CCS[C@H]1CCC[C@H](Nc2c([N+](=O)[O-])cnn2C)C1 ZINC000892813468 618749938 /nfs/dbraw/zinc/74/99/38/618749938.db2.gz RCDLSBPFUIBPBE-UWVGGRQHSA-N 0 0 284.385 2.805 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCSC2(CCCCC2)C1 ZINC000892851308 618757439 /nfs/dbraw/zinc/75/74/39/618757439.db2.gz GVUJMZHAFCCEHQ-UHFFFAOYSA-N 0 0 296.396 2.584 20 5 CFBDRN CC(C)C[C@H]1CCCN(c2c([N+](=O)[O-])cnn2C)C1 ZINC000892907329 618766804 /nfs/dbraw/zinc/76/68/04/618766804.db2.gz ZRSSXVSNOUEYAV-LLVKDONJSA-N 0 0 266.345 2.591 20 5 CFBDRN Cn1c2ccccc2c(NC2(C)CCC2)c([N+](=O)[O-])c1=O ZINC000892977753 618788445 /nfs/dbraw/zinc/78/84/45/618788445.db2.gz UEQYTNIFMMEZDZ-UHFFFAOYSA-N 0 0 287.319 2.801 20 5 CFBDRN C[C@@H](O)c1cccc(N2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000892998015 618796535 /nfs/dbraw/zinc/79/65/35/618796535.db2.gz MIECMRSTFMESCB-LLVKDONJSA-N 0 0 299.330 2.606 20 5 CFBDRN Cc1cc(CNCc2ccc(Cl)cc2[N+](=O)[O-])[nH]n1 ZINC000893027696 618807705 /nfs/dbraw/zinc/80/77/05/618807705.db2.gz CGFLERLEGZJDNN-UHFFFAOYSA-N 0 0 280.715 2.570 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC[C@H]1CCc2ccccc21 ZINC000893075535 618823811 /nfs/dbraw/zinc/82/38/11/618823811.db2.gz BUKQEMGQHYVTGL-WCQYABFASA-N 0 0 277.320 2.705 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@@H](C)O[C@H]2C)c1C ZINC000893129755 618841828 /nfs/dbraw/zinc/84/18/28/618841828.db2.gz BKMQQILJIDGKFB-ADEWGFFLSA-N 0 0 292.335 2.507 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000893154737 618849198 /nfs/dbraw/zinc/84/91/98/618849198.db2.gz YYWPKWBRLQFLCD-XYPYZODXSA-N 0 0 294.376 2.835 20 5 CFBDRN O=C(OCCOC(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000853887368 618882213 /nfs/dbraw/zinc/88/22/13/618882213.db2.gz DVQKPDBPUNBSOZ-UHFFFAOYSA-N 0 0 295.625 2.644 20 5 CFBDRN CC[C@H](C)CCC(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853917678 618883429 /nfs/dbraw/zinc/88/34/29/618883429.db2.gz UDBJJDKZFIBIIS-QMMMGPOBSA-N 0 0 270.285 2.761 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc(CO)cc2)c1[N+](=O)[O-] ZINC000070331448 625301265 /nfs/dbraw/zinc/30/12/65/625301265.db2.gz KGQSBBWHVWIICB-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc(CO)cc2)cc1[N+](=O)[O-] ZINC000070331446 625301311 /nfs/dbraw/zinc/30/13/11/625301311.db2.gz CUXRGWGAXRXCPN-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN O=[N+]([O-])c1cccnc1Sc1nccn1C1CC1 ZINC000014322436 618917216 /nfs/dbraw/zinc/91/72/16/618917216.db2.gz KVLQUZSBJJCNQI-UHFFFAOYSA-N 0 0 262.294 2.672 20 5 CFBDRN Cc1nonc1[C@@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000925696222 618948218 /nfs/dbraw/zinc/94/82/18/618948218.db2.gz YHOVHDJIXKVTGD-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN CCCCOC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000106148415 618955905 /nfs/dbraw/zinc/95/59/05/618955905.db2.gz QBJCBKCULSFTRJ-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1CCCCO1 ZINC000893397390 618964118 /nfs/dbraw/zinc/96/41/18/618964118.db2.gz AVUSOWABKZBVQU-UHFFFAOYSA-N 0 0 277.255 2.814 20 5 CFBDRN O=C(NCC[C@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])o1 ZINC000893404724 618974922 /nfs/dbraw/zinc/97/49/22/618974922.db2.gz YZHYAACNVQYTAG-MRVPVSSYSA-N 0 0 288.250 2.743 20 5 CFBDRN C[C@H](OC(=O)C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000066878232 619003636 /nfs/dbraw/zinc/00/36/36/619003636.db2.gz NOAUGESQBDVENN-GWCFXTLKSA-N 0 0 279.292 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OC[C@H]2CCOC2)c1 ZINC000093307931 619005528 /nfs/dbraw/zinc/00/55/28/619005528.db2.gz QZXPSZDDJATRDX-QMMMGPOBSA-N 0 0 257.673 2.664 20 5 CFBDRN Cc1ccc(C)c(CN(C)c2c([N+](=O)[O-])cnn2C)c1 ZINC000893482937 619015053 /nfs/dbraw/zinc/01/50/53/619015053.db2.gz BIHNJRHZPRCVSI-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN CN(Cc1ccco1)c1cccc(F)c1[N+](=O)[O-] ZINC000048567935 619039503 /nfs/dbraw/zinc/03/95/03/619039503.db2.gz PMYMSOCYPWCQMV-UHFFFAOYSA-N 0 0 250.229 2.963 20 5 CFBDRN CC(C)(C)[C@@H]1CSCCN1Cc1cccnc1[N+](=O)[O-] ZINC000931591613 619052644 /nfs/dbraw/zinc/05/26/44/619052644.db2.gz CWTJUVBWPFKLLH-LBPRGKRZSA-N 0 0 295.408 2.953 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000070413523 625315067 /nfs/dbraw/zinc/31/50/67/625315067.db2.gz REXFVHAFVMXWNP-JTQLQIEISA-N 0 0 277.324 2.582 20 5 CFBDRN Cc1ccc(C(=O)OCC(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000000561004 619084485 /nfs/dbraw/zinc/08/44/85/619084485.db2.gz RAXNTRRQSLHRJQ-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CSC(C)(C)CNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000075363728 619108720 /nfs/dbraw/zinc/10/87/20/619108720.db2.gz HNZCHGUWHPWQNI-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000893663915 619150076 /nfs/dbraw/zinc/15/00/76/619150076.db2.gz ODRYUXBDRMYCIQ-MWLCHTKSSA-N 0 0 287.319 2.657 20 5 CFBDRN Cc1cnn(C)c1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000840638186 619161519 /nfs/dbraw/zinc/16/15/19/619161519.db2.gz RUYAHCVMKUNYHS-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CC[S@](C)(=O)=NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000925839408 619172943 /nfs/dbraw/zinc/17/29/43/619172943.db2.gz QXWVLUCGBCLKPO-SFHVURJKSA-N 0 0 290.728 2.506 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCSC(C)C ZINC000893850126 619229198 /nfs/dbraw/zinc/22/91/98/619229198.db2.gz MQTHTCUCDXWINW-UHFFFAOYSA-N 0 0 271.342 2.552 20 5 CFBDRN CC[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000893863259 619230799 /nfs/dbraw/zinc/23/07/99/619230799.db2.gz DWHUQYCYYCCWOB-DGCLKSJQSA-N 0 0 292.335 2.530 20 5 CFBDRN CCc1noc(COc2cccc(Cl)c2[N+](=O)[O-])n1 ZINC000814775861 619258223 /nfs/dbraw/zinc/25/82/23/619258223.db2.gz ABRUZWGSKHFHTG-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN Cn1c2ccccc2c(N[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1=O ZINC000894279730 619304790 /nfs/dbraw/zinc/30/47/90/619304790.db2.gz GXLXCEGUBGRAMC-JGPRNRPPSA-N 0 0 299.330 2.657 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246850 619328238 /nfs/dbraw/zinc/32/82/38/619328238.db2.gz LPEJIDJGRFBKGI-NEPJUHHUSA-N 0 0 294.351 2.833 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccoc1C ZINC000048539527 619353829 /nfs/dbraw/zinc/35/38/29/619353829.db2.gz RVFLXHZTJXOJFJ-UHFFFAOYSA-N 0 0 277.232 2.724 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)/C=C(\C)C1CC1 ZINC000048539536 619353861 /nfs/dbraw/zinc/35/38/61/619353861.db2.gz QGAZJKAFAPNHNC-VQHVLOKHSA-N 0 0 277.276 2.865 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000748184535 619359418 /nfs/dbraw/zinc/35/94/18/619359418.db2.gz ITWWSZNXBWTQSN-VIFPVBQESA-N 0 0 295.266 2.650 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC[C@@]2(CC2(F)F)C1 ZINC000894408799 619373126 /nfs/dbraw/zinc/37/31/26/619373126.db2.gz GTFXFPBWONJQAF-GFCCVEGCSA-N 0 0 299.277 2.624 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H]1C(C)(C)C1(F)F ZINC000894593962 619427887 /nfs/dbraw/zinc/42/78/87/619427887.db2.gz WOXAUUODFZUCEK-VIFPVBQESA-N 0 0 287.266 2.702 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN[C@H]1CCn2ccnc21 ZINC000894669680 619439477 /nfs/dbraw/zinc/43/94/77/619439477.db2.gz WTDMXPIPTPLXCK-NSHDSACASA-N 0 0 292.726 2.679 20 5 CFBDRN Cc1cc(C)nc(C2CN(c3ccsc3[N+](=O)[O-])C2)n1 ZINC000894897163 619503556 /nfs/dbraw/zinc/50/35/56/619503556.db2.gz JVFNRXZYCUBYIP-UHFFFAOYSA-N 0 0 290.348 2.667 20 5 CFBDRN COC[C@H](C)OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000073833093 619504518 /nfs/dbraw/zinc/50/45/18/619504518.db2.gz LGUOUIMROUPPLW-VHSXEESVSA-N 0 0 299.348 2.654 20 5 CFBDRN CCCCN(CCO)c1ccc([N+](=O)[O-])cc1Cl ZINC000049011826 619504789 /nfs/dbraw/zinc/50/47/89/619504789.db2.gz RIJSRACBIIBVOZ-UHFFFAOYSA-N 0 0 272.732 2.847 20 5 CFBDRN Cc1scc(CNc2c([N+](=O)[O-])cnn2C)c1C ZINC000894910538 619511732 /nfs/dbraw/zinc/51/17/32/619511732.db2.gz OVVKCPKVZGLNPH-UHFFFAOYSA-N 0 0 266.326 2.619 20 5 CFBDRN COc1c(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)cccc1[N+](=O)[O-] ZINC000889604528 619536898 /nfs/dbraw/zinc/53/68/98/619536898.db2.gz DOMYLAYDEVYBOJ-HOSYDEDBSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@H]1c1ccnn1C ZINC000895717614 619589935 /nfs/dbraw/zinc/58/99/35/619589935.db2.gz AVDPYPRTQPQMOU-ZDUSSCGKSA-N 0 0 286.335 2.978 20 5 CFBDRN C[C@H]1CCN(c2ccnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000895765953 619598400 /nfs/dbraw/zinc/59/84/00/619598400.db2.gz SBWUSZOYYTVSBP-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000895753440 619599644 /nfs/dbraw/zinc/59/96/44/619599644.db2.gz HSEZQWYKZSMQOB-VIFPVBQESA-N 0 0 270.716 2.863 20 5 CFBDRN CC(C)O[C@]1(CNc2sccc2[N+](=O)[O-])CCOC1 ZINC000895870163 619624974 /nfs/dbraw/zinc/62/49/74/619624974.db2.gz XQCGEBRFEJAQGB-LBPRGKRZSA-N 0 0 286.353 2.652 20 5 CFBDRN C[C@H]1COC[C@@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000895907133 619631980 /nfs/dbraw/zinc/63/19/80/619631980.db2.gz AQDWKJMEKFRFFS-CABZTGNLSA-N 0 0 273.292 2.590 20 5 CFBDRN CC(C)(/C=C\Cl)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000895916350 619633967 /nfs/dbraw/zinc/63/39/67/619633967.db2.gz ZYIXZPXZESAMFE-WAYWQWQTSA-N 0 0 283.715 2.637 20 5 CFBDRN Cc1ccnc(NCCn2ccnc2C(C)C)c1[N+](=O)[O-] ZINC000895987192 619649327 /nfs/dbraw/zinc/64/93/27/619649327.db2.gz AKMNCPLDUYFOHP-UHFFFAOYSA-N 0 0 289.339 2.730 20 5 CFBDRN Cc1cc(NCCc2cc(C)n(C)n2)c([N+](=O)[O-])s1 ZINC000896025218 619655074 /nfs/dbraw/zinc/65/50/74/619655074.db2.gz IEJAOJVJJZIMCU-UHFFFAOYSA-N 0 0 280.353 2.661 20 5 CFBDRN Cc1cc(CCNc2c(Cl)cccc2[N+](=O)[O-])nn1C ZINC000896031245 619656821 /nfs/dbraw/zinc/65/68/21/619656821.db2.gz SNXPPSWHUMQPPG-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN Cc1cc(CCNc2ccc([N+](=O)[O-])cc2C)nn1C ZINC000896028711 619658445 /nfs/dbraw/zinc/65/84/45/619658445.db2.gz VXNPTTBAITUOPX-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC000896077274 619667227 /nfs/dbraw/zinc/66/72/27/619667227.db2.gz HNEHFHGQOMGMHS-XQQFMLRXSA-N 0 0 274.320 2.906 20 5 CFBDRN COC(=O)c1cnc(NCCC2CC=CC2)c([N+](=O)[O-])c1 ZINC000896093713 619672489 /nfs/dbraw/zinc/67/24/89/619672489.db2.gz FAEDVMHEQWTCES-UHFFFAOYSA-N 0 0 291.307 2.545 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCC1CC2(C1)OCCO2 ZINC000896158774 619684297 /nfs/dbraw/zinc/68/42/97/619684297.db2.gz IWZAZNKNPKJVCV-UHFFFAOYSA-N 0 0 298.726 2.813 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1CCC2(CCOCC2)O1 ZINC000896170522 619685743 /nfs/dbraw/zinc/68/57/43/619685743.db2.gz NCWBVUAJUCVTQG-GFCCVEGCSA-N 0 0 292.335 2.735 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H]1CCC2(CCOCC2)O1 ZINC000896176781 619686524 /nfs/dbraw/zinc/68/65/24/619686524.db2.gz VQWILISXPJTAFK-JTQLQIEISA-N 0 0 298.364 2.796 20 5 CFBDRN Cc1nc(NC[C@@]2(C)CCCCO2)ccc1[N+](=O)[O-] ZINC000896185194 619689493 /nfs/dbraw/zinc/68/94/93/619689493.db2.gz VUPZETFMKPHAFJ-CYBMUJFWSA-N 0 0 265.313 2.669 20 5 CFBDRN CCC[C@H](O)CCNc1cccc(OCC)c1[N+](=O)[O-] ZINC000896188139 619691028 /nfs/dbraw/zinc/69/10/28/619691028.db2.gz ZHDZNPLISQDCPK-NSHDSACASA-N 0 0 282.340 2.957 20 5 CFBDRN C[C@@H]1CO[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000896222465 619693739 /nfs/dbraw/zinc/69/37/39/619693739.db2.gz NUBOWGXUIYMGMH-BQBZGAKWSA-N 0 0 281.293 2.804 20 5 CFBDRN CSCC1CCN(c2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000896211009 619694697 /nfs/dbraw/zinc/69/46/97/619694697.db2.gz OACHOALNFVPSTR-UHFFFAOYSA-N 0 0 281.381 2.878 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(CSC)CC1 ZINC000896210082 619694794 /nfs/dbraw/zinc/69/47/94/619694794.db2.gz AUJKAKTWNPTEEQ-UHFFFAOYSA-N 0 0 298.412 2.699 20 5 CFBDRN Cc1nc(N[C@@H]2CCS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000896243313 619699517 /nfs/dbraw/zinc/69/95/17/619699517.db2.gz AXLDHFRLODPECG-WCBMZHEXSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])s2)CCS1 ZINC000896246385 619700354 /nfs/dbraw/zinc/70/03/54/619700354.db2.gz DNVXAAXKSPPILC-BQBZGAKWSA-N 0 0 259.356 2.747 20 5 CFBDRN Cc1cc(N[C@@H]2CCS[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000896245793 619700356 /nfs/dbraw/zinc/70/03/56/619700356.db2.gz ODOGASRDVLBBQE-NXEZZACHSA-N 0 0 267.354 2.994 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])s2)CCS1 ZINC000896246388 619700390 /nfs/dbraw/zinc/70/03/90/619700390.db2.gz DNVXAAXKSPPILC-RQJHMYQMSA-N 0 0 259.356 2.747 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000896246733 619700877 /nfs/dbraw/zinc/70/08/77/619700877.db2.gz GDLFLTXJQNOPQR-DTWKUNHWSA-N 0 0 284.385 2.587 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc3nonc3c2[N+](=O)[O-])CCS1 ZINC000896248923 619704714 /nfs/dbraw/zinc/70/47/14/619704714.db2.gz XMVQAPSAMBNAOA-JGVFFNPUSA-N 0 0 294.336 2.827 20 5 CFBDRN Nc1ccc(N[C@@H]2CCSC3(CCC3)C2)nc1[N+](=O)[O-] ZINC000896251639 619705894 /nfs/dbraw/zinc/70/58/94/619705894.db2.gz YDZWSXDWEXYYHT-SECBINFHSA-N 0 0 294.380 2.802 20 5 CFBDRN CCO[C@H]1CCN(c2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000896407926 619730716 /nfs/dbraw/zinc/73/07/16/619730716.db2.gz ZWIJHRRHDYMTFU-JTQLQIEISA-N 0 0 293.348 2.820 20 5 CFBDRN COC[C@@H](CNc1cc(N)ccc1[N+](=O)[O-])C(C)C ZINC000896505718 619752523 /nfs/dbraw/zinc/75/25/23/619752523.db2.gz PJQHUNDUXORZHU-SNVBAGLBSA-N 0 0 267.329 2.508 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCOC[C@@H]1CC1CC1 ZINC000896639071 619781003 /nfs/dbraw/zinc/78/10/03/619781003.db2.gz UGBQYUJNVKUZRL-NSHDSACASA-N 0 0 297.742 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H](CO)C2)cc1C(F)(F)F ZINC000896689439 619788432 /nfs/dbraw/zinc/78/84/32/619788432.db2.gz DZEGJJMLWXPYKQ-XWEPSHTISA-N 0 0 290.241 2.796 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@H]1C[C@H](CO)C1 ZINC000896690710 619789063 /nfs/dbraw/zinc/78/90/63/619789063.db2.gz SFKXEXABIRGPIF-XWEPSHTISA-N 0 0 290.241 2.796 20 5 CFBDRN Cc1ccc(NCc2noc3c2COCC3)c([N+](=O)[O-])c1 ZINC000896704406 619791298 /nfs/dbraw/zinc/79/12/98/619791298.db2.gz KAZRZEYOGKJKAH-UHFFFAOYSA-N 0 0 289.291 2.576 20 5 CFBDRN Cc1cccc(NCc2noc3c2COCC3)c1[N+](=O)[O-] ZINC000896707448 619791871 /nfs/dbraw/zinc/79/18/71/619791871.db2.gz SKSMOABKRQKULF-UHFFFAOYSA-N 0 0 289.291 2.576 20 5 CFBDRN CC1(C)CC[C@@H](CCNc2cc[nH]c(=O)c2[N+](=O)[O-])OC1 ZINC000896789805 619818521 /nfs/dbraw/zinc/81/85/21/619818521.db2.gz LRAOOOCLTBPLNC-JTQLQIEISA-N 0 0 295.339 2.703 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2CC[C@H](C3CC3)O2)c1 ZINC000896800416 619821810 /nfs/dbraw/zinc/82/18/10/619821810.db2.gz GOPVRQIZGOYMPL-SMDDNHRTSA-N 0 0 277.324 2.547 20 5 CFBDRN CC(C)(CCc1nc([C@@H]2CC2(Cl)Cl)no1)[N+](=O)[O-] ZINC000896810330 619828174 /nfs/dbraw/zinc/82/81/74/619828174.db2.gz PKYNHFNWVPRDQQ-LURJTMIESA-N 0 0 294.138 2.719 20 5 CFBDRN COc1c(C(=O)OCCOC(C)(C)C)cccc1[N+](=O)[O-] ZINC000796313305 619869743 /nfs/dbraw/zinc/86/97/43/619869743.db2.gz WIYIMXYKOUZTDC-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC23CCCCC3)nc1 ZINC000896990935 619909182 /nfs/dbraw/zinc/90/91/82/619909182.db2.gz TZRCUBZTWLCJDS-LBPRGKRZSA-N 0 0 277.324 2.894 20 5 CFBDRN C[C@@H]1CC2(CC(Nc3ccc(N)cc3[N+](=O)[O-])C2)CO1 ZINC000897046871 619918956 /nfs/dbraw/zinc/91/89/56/619918956.db2.gz WWFSMVIUUDWKLO-FDMSEYEVSA-N 0 0 277.324 2.547 20 5 CFBDRN C[C@H]1CC2(CC(Nc3ccc([N+](=O)[O-])cc3)C2)CO1 ZINC000897050772 619922655 /nfs/dbraw/zinc/92/26/55/619922655.db2.gz RARHMJLDJUSGSJ-JKDOVBDQSA-N 0 0 262.309 2.964 20 5 CFBDRN C[C@H]1CC2(CC(Nc3ccccc3[N+](=O)[O-])C2)CO1 ZINC000897050304 619922725 /nfs/dbraw/zinc/92/27/25/619922725.db2.gz NILMUKULBIGWDR-IFQILLTASA-N 0 0 262.309 2.964 20 5 CFBDRN C[C@@H](CNC(=O)N1CCC[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897068279 619924826 /nfs/dbraw/zinc/92/48/26/619924826.db2.gz TUDHHRKMQXOIRD-NWDGAFQWSA-N 0 0 291.351 2.892 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)c2c1 ZINC000897072471 619926111 /nfs/dbraw/zinc/92/61/11/619926111.db2.gz PIWBLNOYABIKIY-SEBNEYGDSA-N 0 0 297.314 2.731 20 5 CFBDRN COc1cc(N[C@H]2CC23CC(O)C3)c(Cl)cc1[N+](=O)[O-] ZINC000897086569 619927142 /nfs/dbraw/zinc/92/71/42/619927142.db2.gz GCSBMHWSZOJFRB-QEIRPPLWSA-N 0 0 298.726 2.582 20 5 CFBDRN C[C@H](CNC(=O)N1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000897078390 619928785 /nfs/dbraw/zinc/92/87/85/619928785.db2.gz STPMZEQMLWOQKS-LLVKDONJSA-N 0 0 277.324 2.504 20 5 CFBDRN Cc1cnc(Nc2cnn(C3CCC3)c2)c([N+](=O)[O-])c1 ZINC000897103059 619933354 /nfs/dbraw/zinc/93/33/54/619933354.db2.gz PEHWIXMOFRHRJE-UHFFFAOYSA-N 0 0 273.296 2.963 20 5 CFBDRN O=[N+]([O-])c1c(Nc2cnn(C3CCC3)c2)nc2ccccn21 ZINC000897103044 619933414 /nfs/dbraw/zinc/93/34/14/619933414.db2.gz OOCSTYXCGYKITL-UHFFFAOYSA-N 0 0 298.306 2.908 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1Nc1cnn(C2CCC2)c1 ZINC000897105372 619934319 /nfs/dbraw/zinc/93/43/19/619934319.db2.gz IBEAXRBOOXZUGZ-UHFFFAOYSA-N 0 0 293.714 2.730 20 5 CFBDRN CN(c1cc[nH]c(=O)c1[N+](=O)[O-])[C@@H]1CCc2ccccc2C1 ZINC000897128487 619937711 /nfs/dbraw/zinc/93/77/11/619937711.db2.gz JKHPYGAYVYGLLX-CYBMUJFWSA-N 0 0 299.330 2.689 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000897141795 619938461 /nfs/dbraw/zinc/93/84/61/619938461.db2.gz AIOXONAFLOPWDO-TZMCWYRMSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000897148908 619940234 /nfs/dbraw/zinc/94/02/34/619940234.db2.gz KVYCOMOVKYHENI-KBPBESRZSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1c(C)cccc1[N+](=O)[O-] ZINC000897145819 619941019 /nfs/dbraw/zinc/94/10/19/619941019.db2.gz UUCPIJMCOYTWGL-ZYHUDNBSSA-N 0 0 250.298 2.517 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccc(C)cc1[N+](=O)[O-] ZINC000897147444 619941153 /nfs/dbraw/zinc/94/11/53/619941153.db2.gz MGJCZIZOKPRPRM-DGCLKSJQSA-N 0 0 250.298 2.517 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000897147192 619941309 /nfs/dbraw/zinc/94/13/09/619941309.db2.gz HVOXETVEHYBRPM-OLZOCXBDSA-N 0 0 250.298 2.517 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]2C2CCCC2)s1 ZINC000897157547 619941961 /nfs/dbraw/zinc/94/19/61/619941961.db2.gz ZOAHIKLSAYJXJM-VIFPVBQESA-N 0 0 253.327 2.820 20 5 CFBDRN CC(C)(C(=O)O[C@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000796834545 619943293 /nfs/dbraw/zinc/94/32/93/619943293.db2.gz HGWPFHVMAAJQAS-JTQLQIEISA-N 0 0 295.360 2.921 20 5 CFBDRN CC1(C)CC2(CC(Nc3ccc([N+](=O)[O-])nc3)C2)CO1 ZINC000897213306 619951158 /nfs/dbraw/zinc/95/11/58/619951158.db2.gz ATQSAJOHAMEMKI-UHFFFAOYSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CCCCF)no2)cc1 ZINC000897216816 619952640 /nfs/dbraw/zinc/95/26/40/619952640.db2.gz GCELWIGHSBNRIA-UHFFFAOYSA-N 0 0 265.244 2.937 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@]23C[C@H]2COC32CCC2)c(F)c1 ZINC000897258502 619960884 /nfs/dbraw/zinc/96/08/84/619960884.db2.gz JXTUSONSACGOAF-TVQRCGJNSA-N 0 0 293.298 2.500 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCC1(C2CC2)CC1 ZINC000866912713 619963302 /nfs/dbraw/zinc/96/33/02/619963302.db2.gz JRGNSYZSYXVKCI-UHFFFAOYSA-N 0 0 292.310 2.583 20 5 CFBDRN CSCCOC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909592423 619966887 /nfs/dbraw/zinc/96/68/87/619966887.db2.gz FUTNLTWRCWKQDU-UHFFFAOYSA-N 0 0 287.362 2.837 20 5 CFBDRN CCCC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909592402 619966904 /nfs/dbraw/zinc/96/69/04/619966904.db2.gz FGJAGQQVVVPIHE-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCOC1 ZINC000909592371 619966969 /nfs/dbraw/zinc/96/69/69/619966969.db2.gz DLNITNFQMHUFNX-VIFPVBQESA-N 0 0 297.332 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](Cc3nccs3)C2)nc1 ZINC000897279867 619967164 /nfs/dbraw/zinc/96/71/64/619967164.db2.gz YGYRTTYJRMTUET-JTQLQIEISA-N 0 0 290.348 2.515 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909593690 619967290 /nfs/dbraw/zinc/96/72/90/619967290.db2.gz LYFZIQVLIVDCGE-QMMMGPOBSA-N 0 0 297.332 2.841 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCCO1 ZINC000909595055 619967553 /nfs/dbraw/zinc/96/75/53/619967553.db2.gz XAEDDCAPLWKCMO-JTQLQIEISA-N 0 0 297.332 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCOC23CCOCC3)c1 ZINC000897326679 619994984 /nfs/dbraw/zinc/99/49/84/619994984.db2.gz NXDWWCKXUQCZIU-AWEZNQCLSA-N 0 0 292.335 2.653 20 5 CFBDRN CCn1ccnc1Sc1nc2ccccn2c1[N+](=O)[O-] ZINC000022137059 620008962 /nfs/dbraw/zinc/00/89/62/620008962.db2.gz TYLLZVQXGBIRRR-UHFFFAOYSA-N 0 0 289.320 2.610 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@H]2CCC[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000925231738 620055306 /nfs/dbraw/zinc/05/53/06/620055306.db2.gz FTAKYVBNXMUEJA-MPKXVKKWSA-N 0 0 289.335 2.850 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Cn1ncc(Cl)c1C ZINC000871738799 620062676 /nfs/dbraw/zinc/06/26/76/620062676.db2.gz UPHLEJDWRSLKKS-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000928937836 620087406 /nfs/dbraw/zinc/08/74/06/620087406.db2.gz QHTFWJXTROCLIX-FPLPWBNLSA-N 0 0 282.727 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCC[C@@H](O)C3CC3)c2c1 ZINC000897383366 620088777 /nfs/dbraw/zinc/08/87/77/620088777.db2.gz AOLUBQGGMKWZBY-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN COC[C@H](C)OC(=O)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC000866963737 620135474 /nfs/dbraw/zinc/13/54/74/620135474.db2.gz XRALIADGSXUNLC-ZETCQYMHSA-N 0 0 289.671 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCOC2)cc1Cl ZINC000109793067 620153456 /nfs/dbraw/zinc/15/34/56/620153456.db2.gz DIIAUJPNEDVEEG-QMMMGPOBSA-N 0 0 257.673 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCO2)cc1Cl ZINC000109793219 620153655 /nfs/dbraw/zinc/15/36/55/620153655.db2.gz VSNRUVHJOFRDTM-VIFPVBQESA-N 0 0 257.673 2.806 20 5 CFBDRN Cc1cc(N[C@H]2COC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897445294 620173500 /nfs/dbraw/zinc/17/35/00/620173500.db2.gz FIRAHVNFMVFXQJ-OLZOCXBDSA-N 0 0 262.309 2.740 20 5 CFBDRN CCOc1cc(N[C@H]2COC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897446716 620175080 /nfs/dbraw/zinc/17/50/80/620175080.db2.gz IGZNFMSEDVHRED-STQMWFEESA-N 0 0 292.335 2.830 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1COC[C@@H]1C1CC1 ZINC000897446646 620175176 /nfs/dbraw/zinc/17/51/76/620175176.db2.gz XKCUPUBICOYMDS-ZYHUDNBSSA-N 0 0 266.272 2.571 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2COC[C@H]2C2CC2)ccc2ncccc21 ZINC000897448203 620175518 /nfs/dbraw/zinc/17/55/18/620175518.db2.gz UONCETCAEMJIJY-SWLSCSKDSA-N 0 0 299.330 2.980 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H](O)c1ccccc1 ZINC000035100519 620202291 /nfs/dbraw/zinc/20/22/91/620202291.db2.gz RJUAWPUDDUTLGM-AWEZNQCLSA-N 0 0 258.277 2.740 20 5 CFBDRN CC(C)/C=C\C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000785054178 620208525 /nfs/dbraw/zinc/20/85/25/620208525.db2.gz ZQNZRAYUSXTPAP-SREVYHEPSA-N 0 0 279.292 2.729 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000067739331 620219751 /nfs/dbraw/zinc/21/97/51/620219751.db2.gz KNHDBBBWGWYZKO-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN COC(=O)C[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000897539895 620228849 /nfs/dbraw/zinc/22/88/49/620228849.db2.gz YWOGLDYNVZRLMQ-ZJUUUORDSA-N 0 0 296.298 2.878 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929103310 620235524 /nfs/dbraw/zinc/23/55/24/620235524.db2.gz DZQCRQIKECGNNT-ZWKOPEQDSA-N 0 0 262.309 2.613 20 5 CFBDRN CC(C)C(=O)COC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000785937257 620238575 /nfs/dbraw/zinc/23/85/75/620238575.db2.gz SRHQAUKYVRDHIP-UHFFFAOYSA-N 0 0 299.710 2.559 20 5 CFBDRN CC(C)n1cc([C@H](C)NCc2ccc([N+](=O)[O-])cc2)nn1 ZINC000925312997 620244755 /nfs/dbraw/zinc/24/47/55/620244755.db2.gz KKDNUQZOAWFPBO-NSHDSACASA-N 0 0 289.339 2.618 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCC[C@@H]([C@@H]2CCOC2)C1 ZINC000897569635 620249203 /nfs/dbraw/zinc/24/92/03/620249203.db2.gz SEGUQEVOYYTQJP-CHWSQXEVSA-N 0 0 291.351 2.633 20 5 CFBDRN O=C([O-])[C@@H]1CCCC[C@@H]1C[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000089439162 620251590 /nfs/dbraw/zinc/25/15/90/620251590.db2.gz LGQVTDDHOWFVBF-TZMCWYRMSA-N 0 0 292.335 2.575 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1cc(F)cc([N+](=O)[O-])c1 ZINC000889594650 620255910 /nfs/dbraw/zinc/25/59/10/620255910.db2.gz XJYYGUOCQMGVOS-OXHMUOHRSA-N 0 0 278.283 2.652 20 5 CFBDRN C[C@@H]1CCCN(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000929138316 620264061 /nfs/dbraw/zinc/26/40/61/620264061.db2.gz KTCXBKMOMICDNJ-UGFHNGPFSA-N 0 0 288.347 2.957 20 5 CFBDRN COC(=O)C[C@H](C)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000897673753 620271954 /nfs/dbraw/zinc/27/19/54/620271954.db2.gz VZJQFUJFUZCKFS-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)[C@H]2C)c1C ZINC000897853111 620294502 /nfs/dbraw/zinc/29/45/02/620294502.db2.gz KJXUVLKJTXLMBJ-MWLCHTKSSA-N 0 0 262.309 2.692 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@H]2C)c1C ZINC000897853108 620294529 /nfs/dbraw/zinc/29/45/29/620294529.db2.gz KJXUVLKJTXLMBJ-GXSJLCMTSA-N 0 0 262.309 2.692 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1ccc(F)cc1[N+](=O)[O-] ZINC000889596097 620409114 /nfs/dbraw/zinc/40/91/14/620409114.db2.gz FXVLFFQSRVPWMD-MKPLZMMCSA-N 0 0 278.283 2.652 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@H]1c1cccc(F)c1 ZINC000054570070 620409695 /nfs/dbraw/zinc/40/96/95/620409695.db2.gz ZKIBWWCYWJZXHU-ZDUSSCGKSA-N 0 0 290.298 2.725 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000841067538 620452289 /nfs/dbraw/zinc/45/22/89/620452289.db2.gz BUHQKXOCFRMUQS-CYBMUJFWSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC3CC2(C)C3)c1C ZINC000899020908 620515144 /nfs/dbraw/zinc/51/51/44/620515144.db2.gz KHLUGTCMBKSBJO-UHFFFAOYSA-N 0 0 274.320 2.836 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2C)C(=O)C12CCC2 ZINC000899352001 620547566 /nfs/dbraw/zinc/54/75/66/620547566.db2.gz WSCFNNRPNZNCAP-UHFFFAOYSA-N 0 0 273.292 2.796 20 5 CFBDRN C/C(=C\Cl)CS(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000899496829 620574460 /nfs/dbraw/zinc/57/44/60/620574460.db2.gz NBLOFEZHCGZUCM-RMKNXTFCSA-N 0 0 289.740 2.652 20 5 CFBDRN Cc1cc(C(=O)NC2CCC=CCC2)c(N)c([N+](=O)[O-])c1 ZINC000899804400 620602528 /nfs/dbraw/zinc/60/25/28/620602528.db2.gz LOKOFCNSOFEIDU-UHFFFAOYSA-N 0 0 289.335 2.714 20 5 CFBDRN CN(CCCF)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000899816437 620603779 /nfs/dbraw/zinc/60/37/79/620603779.db2.gz WTQQBCRGNBJSSL-UHFFFAOYSA-N 0 0 279.271 2.508 20 5 CFBDRN Cn1c(SCC[N+](=O)[O-])nnc1-c1ccccc1Cl ZINC000899860325 620606494 /nfs/dbraw/zinc/60/64/94/620606494.db2.gz JSVLHIQGVWNQAR-UHFFFAOYSA-N 0 0 298.755 2.504 20 5 CFBDRN CCn1c(SCC[N+](=O)[O-])nnc1-c1cccc(C)c1 ZINC000899862072 620606610 /nfs/dbraw/zinc/60/66/10/620606610.db2.gz RMRMCGKJTZGOTR-UHFFFAOYSA-N 0 0 292.364 2.642 20 5 CFBDRN O=[N+]([O-])CCSc1ncnc2sc3c(c21)CCCC3 ZINC000899862356 620606741 /nfs/dbraw/zinc/60/67/41/620606741.db2.gz ZMEDBMQODDHVEQ-UHFFFAOYSA-N 0 0 295.389 2.939 20 5 CFBDRN CCCCSc1nnc(SCC[N+](=O)[O-])s1 ZINC000899867960 620607438 /nfs/dbraw/zinc/60/74/38/620607438.db2.gz SGDDMJQKZQNIFH-UHFFFAOYSA-N 0 0 279.412 2.799 20 5 CFBDRN C[C@@H](Cc1nnc(SCC[N+](=O)[O-])o1)c1ccccc1 ZINC000899878317 620608535 /nfs/dbraw/zinc/60/85/35/620608535.db2.gz OXKRBVINFSUKFS-JTQLQIEISA-N 0 0 293.348 2.785 20 5 CFBDRN O=[N+]([O-])CCOc1cnc2c(cccc2C(F)(F)F)c1 ZINC000899891349 620608771 /nfs/dbraw/zinc/60/87/71/620608771.db2.gz OFHXTFZQLYMQPE-UHFFFAOYSA-N 0 0 286.209 2.909 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCN2C(C)(C)C)cccc1[N+](=O)[O-] ZINC000899935249 620615447 /nfs/dbraw/zinc/61/54/47/620615447.db2.gz HRGZOXAYNVOSDB-ZDUSSCGKSA-N 0 0 291.351 2.715 20 5 CFBDRN O=C(OCCOCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000842062850 620620782 /nfs/dbraw/zinc/62/07/82/620620782.db2.gz NLXORXDCRPAQDL-UHFFFAOYSA-N 0 0 279.292 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@@H]2C[C@@H]3COC[C@@H]3O2)cc1 ZINC000901519519 620854889 /nfs/dbraw/zinc/85/48/89/620854889.db2.gz IGZSKZUFRZCZDD-XBFCOCLRSA-N 0 0 295.360 2.632 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)C[N@@H+](C)C[C@@H](C)C(=O)[O-] ZINC000901597711 620870422 /nfs/dbraw/zinc/87/04/22/620870422.db2.gz PTBWOYOBEKCMKI-YTRUQHMWSA-N 0 0 292.335 2.651 20 5 CFBDRN C[C@@H](O)CCOc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000901656475 620883838 /nfs/dbraw/zinc/88/38/38/620883838.db2.gz YHEZWPICJVPHEB-SSDOTTSWSA-N 0 0 279.214 2.763 20 5 CFBDRN CC[C@H](C)C[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000901680505 620892297 /nfs/dbraw/zinc/89/22/97/620892297.db2.gz GOROJKVBZNRTEO-GWCFXTLKSA-N 0 0 280.324 2.574 20 5 CFBDRN Cc1cnc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)cc1C ZINC000901713714 620904774 /nfs/dbraw/zinc/90/47/74/620904774.db2.gz FCCMKINXPNVJCF-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1ccc(CSCCO[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000901832993 620925084 /nfs/dbraw/zinc/92/50/84/620925084.db2.gz LXPHEFMCZCLQLC-CYBMUJFWSA-N 0 0 297.376 2.942 20 5 CFBDRN CC(C)c1cnc(CSCCn2cc([N+](=O)[O-])cn2)o1 ZINC000901866693 620929330 /nfs/dbraw/zinc/92/93/30/620929330.db2.gz LRXHYCXCCPNBBW-UHFFFAOYSA-N 0 0 296.352 2.836 20 5 CFBDRN CCn1cnc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000902077705 620968970 /nfs/dbraw/zinc/96/89/70/620968970.db2.gz HHXMSSQHCJBINF-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCc1nncs1 ZINC000902060615 620971726 /nfs/dbraw/zinc/97/17/26/620971726.db2.gz CLVJKIQMMRMGSC-UHFFFAOYSA-N 0 0 293.352 2.879 20 5 CFBDRN O=[N+]([O-])c1cccc(OCC2(Br)CC2)c1 ZINC000902118952 620981957 /nfs/dbraw/zinc/98/19/57/620981957.db2.gz KIMCCGVKRUAWIU-UHFFFAOYSA-N 0 0 272.098 2.901 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@@]23CCOC3)c(F)c1 ZINC000902211029 620993517 /nfs/dbraw/zinc/99/35/17/620993517.db2.gz PDRGPYOUNGTODM-TVQRCGJNSA-N 0 0 267.256 2.539 20 5 CFBDRN CCc1nn(C)cc1NCc1c(F)cc([N+](=O)[O-])cc1F ZINC000902202324 620997075 /nfs/dbraw/zinc/99/70/75/620997075.db2.gz TXSIIFDKLCJFLU-UHFFFAOYSA-N 0 0 296.277 2.781 20 5 CFBDRN Cc1cnc(NCc2c(C)ccc([N+](=O)[O-])c2C)cn1 ZINC000902210771 620999295 /nfs/dbraw/zinc/99/92/95/620999295.db2.gz IYAWEGDHNGFIHM-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cn1cc(CSCc2ccc(F)cc2)c([N+](=O)[O-])n1 ZINC000902270554 621019374 /nfs/dbraw/zinc/01/93/74/621019374.db2.gz LEEJYBYNWGRNOG-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN Cc1nn(C[C@@H]2C[C@]23CCOC3)c2ccc([N+](=O)[O-])cc12 ZINC000902295495 621024196 /nfs/dbraw/zinc/02/41/96/621024196.db2.gz HOGWFIYFVCIVEY-NHYWBVRUSA-N 0 0 287.319 2.680 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCC(C)(F)F)c1=O ZINC000902296035 621024624 /nfs/dbraw/zinc/02/46/24/621024624.db2.gz JGOCGVNUNIXQPC-UHFFFAOYSA-N 0 0 260.240 2.500 20 5 CFBDRN Cc1cc(OCCCc2cncn2C)c(F)cc1[N+](=O)[O-] ZINC000902342771 621032874 /nfs/dbraw/zinc/03/28/74/621032874.db2.gz RGEUHORCJMIOTO-UHFFFAOYSA-N 0 0 293.298 2.787 20 5 CFBDRN C[C@H](COCc1ccccc1)Cn1cc([N+](=O)[O-])cn1 ZINC000902323460 621036065 /nfs/dbraw/zinc/03/60/65/621036065.db2.gz DQAFTXFIWPDNMQ-LBPRGKRZSA-N 0 0 275.308 2.644 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSCCOCC(F)F ZINC000902374341 621045744 /nfs/dbraw/zinc/04/57/44/621045744.db2.gz YNVPCJSNVGOBPW-UHFFFAOYSA-N 0 0 278.280 2.505 20 5 CFBDRN Cn1cc(CSCCOCC2CCCC2)c([N+](=O)[O-])n1 ZINC000902407908 621056482 /nfs/dbraw/zinc/05/64/82/621056482.db2.gz BAADUMWLFGAZJK-UHFFFAOYSA-N 0 0 299.396 2.768 20 5 CFBDRN Cc1ncsc1CCSCc1cn(C)nc1[N+](=O)[O-] ZINC000902388484 621061725 /nfs/dbraw/zinc/06/17/25/621061725.db2.gz NNGAZDIAWQQVPY-UHFFFAOYSA-N 0 0 298.393 2.569 20 5 CFBDRN CC1=NO[C@](C)(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000902486670 621112257 /nfs/dbraw/zinc/11/22/57/621112257.db2.gz AWRLHRBDHKRAOF-YGRLFVJLSA-N 0 0 292.291 2.754 20 5 CFBDRN CC(C)C1(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)COC1 ZINC000902488873 621113240 /nfs/dbraw/zinc/11/32/40/621113240.db2.gz PKCBHTVAJYWRHU-LLVKDONJSA-N 0 0 293.319 2.872 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCCCCCCO ZINC000902696986 621149010 /nfs/dbraw/zinc/14/90/10/621149010.db2.gz OAPIDQQIIZDWNJ-UHFFFAOYSA-N 0 0 275.251 2.805 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902696504 621149225 /nfs/dbraw/zinc/14/92/25/621149225.db2.gz BKPHXGUTFGJDTA-JGVFFNPUSA-N 0 0 273.235 2.677 20 5 CFBDRN CC(C)[C@@H](O)CCOc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902696913 621149258 /nfs/dbraw/zinc/14/92/58/621149258.db2.gz LUZJCYSVGCEGNM-NSHDSACASA-N 0 0 275.251 2.659 20 5 CFBDRN C[C@@H](c1nc(-c2ccc([N+](=O)[O-])cc2)no1)[C@@H]1CCCO1 ZINC000903269635 621307343 /nfs/dbraw/zinc/30/73/43/621307343.db2.gz AGGNFYNIUQXEPE-SKDRFNHKSA-N 0 0 289.291 2.927 20 5 CFBDRN CCc1noc(CCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000903270750 621308497 /nfs/dbraw/zinc/30/84/97/621308497.db2.gz FEDWVTLVKLJVRV-UHFFFAOYSA-N 0 0 281.699 2.979 20 5 CFBDRN C[C@@H](N[C@H](C)c1cnccn1)c1ccc([N+](=O)[O-])cc1 ZINC000903288251 621318923 /nfs/dbraw/zinc/31/89/23/621318923.db2.gz VDKFBLWCZOOMDH-GHMZBOCLSA-N 0 0 272.308 2.797 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cnccn1)c1ccc([N+](=O)[O-])cc1 ZINC000903288253 621319281 /nfs/dbraw/zinc/31/92/81/621319281.db2.gz VDKFBLWCZOOMDH-MNOVXSKESA-N 0 0 272.308 2.797 20 5 CFBDRN COC(C)(C)[C@H](C)[NH2+][C@H](C)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903329312 621340579 /nfs/dbraw/zinc/34/05/79/621340579.db2.gz BNGIXDIMEZZDGR-ZJUUUORDSA-N 0 0 282.340 2.765 20 5 CFBDRN COC(C)(C)[C@H](C)[NH2+][C@@H](C)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903329310 621340744 /nfs/dbraw/zinc/34/07/44/621340744.db2.gz BNGIXDIMEZZDGR-UWVGGRQHSA-N 0 0 282.340 2.765 20 5 CFBDRN CC[C@H](C)[C@H](C(=O)[O-])[N@H+](C)CCc1cccc([N+](=O)[O-])c1 ZINC000903596877 621404417 /nfs/dbraw/zinc/40/44/17/621404417.db2.gz UXEOMBPZNCBKFW-SMDDNHRTSA-N 0 0 294.351 2.568 20 5 CFBDRN C[N@H+](CCC1(C(=O)[O-])CCC1)Cc1ccccc1[N+](=O)[O-] ZINC000903696530 621420254 /nfs/dbraw/zinc/42/02/54/621420254.db2.gz IPKQLFGKIJLFNJ-UHFFFAOYSA-N 0 0 292.335 2.672 20 5 CFBDRN C[C@H](c1noc(-c2ccc([N+](=O)[O-])s2)n1)[C@H]1CCCO1 ZINC000903792342 621439040 /nfs/dbraw/zinc/43/90/40/621439040.db2.gz PXZRLSPOHZHMDD-JGVFFNPUSA-N 0 0 295.320 2.989 20 5 CFBDRN CSCCCCc1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000903784860 621441904 /nfs/dbraw/zinc/44/19/04/621441904.db2.gz PRUZPBJNUSWGPN-UHFFFAOYSA-N 0 0 294.336 2.726 20 5 CFBDRN C[C@H](c1noc(-c2ccccc2[N+](=O)[O-])n1)[C@@H]1CCCO1 ZINC000903809894 621446325 /nfs/dbraw/zinc/44/63/25/621446325.db2.gz WAQMRYPFPMYZIP-CABZTGNLSA-N 0 0 289.291 2.927 20 5 CFBDRN CCCCN(O)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000904180695 621537092 /nfs/dbraw/zinc/53/70/92/621537092.db2.gz NMWOENILLCTWQV-JTQLQIEISA-N 0 0 281.312 2.857 20 5 CFBDRN Cc1cc(NC(=O)C2(C(C)C)COC2)ccc1[N+](=O)[O-] ZINC000904189194 621545941 /nfs/dbraw/zinc/54/59/41/621545941.db2.gz DRZPMDUZUWKDPL-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN O=C([O-])[C@@H]1CCC[C@@H]1[NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000904268905 621561203 /nfs/dbraw/zinc/56/12/03/621561203.db2.gz NBPCUOAILDWCEI-MNOVXSKESA-N 0 0 298.726 2.591 20 5 CFBDRN Nc1c(-c2nc(-c3cccs3)no2)cccc1[N+](=O)[O-] ZINC000904279277 621566292 /nfs/dbraw/zinc/56/62/92/621566292.db2.gz LFMCJQMSTDGOHI-UHFFFAOYSA-N 0 0 288.288 2.956 20 5 CFBDRN Cc1ccc(-c2noc(-c3cnc(N)c([N+](=O)[O-])c3)n2)cc1 ZINC000904570214 621611484 /nfs/dbraw/zinc/61/14/84/621611484.db2.gz PTNTVGSVNBIRGE-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN O=C1CCC[C@@H](c2ccc(-c3ccc([N+](=O)[O-])nc3)cc2)N1 ZINC000904798581 621650098 /nfs/dbraw/zinc/65/00/98/621650098.db2.gz HXQHRKPVERYYCE-AWEZNQCLSA-N 0 0 297.314 2.998 20 5 CFBDRN O=[N+]([O-])CCN[C@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000905231592 621721661 /nfs/dbraw/zinc/72/16/61/621721661.db2.gz RGJAERCIOZUVNU-VIFPVBQESA-N 0 0 291.134 2.683 20 5 CFBDRN Cc1cc(OC[C@@H]2C[C@@]23CCOC3)ccc1[N+](=O)[O-] ZINC000905235241 621731138 /nfs/dbraw/zinc/73/11/38/621731138.db2.gz REKSQNUYSVQEHV-SMDDNHRTSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@]23CCOC3)c1 ZINC000905235685 621731542 /nfs/dbraw/zinc/73/15/42/621731542.db2.gz SCDOXFQIDLWXLS-FZMZJTMJSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1c(COC(C)(C)C)cccc1NCC[N+](=O)[O-] ZINC000905331944 621749908 /nfs/dbraw/zinc/74/99/08/621749908.db2.gz YMEFLPMBAVZJSI-UHFFFAOYSA-N 0 0 266.341 2.999 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000832784121 625514093 /nfs/dbraw/zinc/51/40/93/625514093.db2.gz KRUZNINVMUZQCX-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC[C@H]2C=CCC2)cc1 ZINC000905513871 621784065 /nfs/dbraw/zinc/78/40/65/621784065.db2.gz AYCKTYVZQAECIZ-JTQLQIEISA-N 0 0 277.349 2.847 20 5 CFBDRN CC(C)CNC(=S)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905641680 621816709 /nfs/dbraw/zinc/81/67/09/621816709.db2.gz IIRMMAPGOXNFAA-SNVBAGLBSA-N 0 0 281.381 2.776 20 5 CFBDRN CC[C@@H](C)[C@H](N[C@H](C)c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000905776526 621845233 /nfs/dbraw/zinc/84/52/33/621845233.db2.gz FIYFGUPHIRAHOS-GYSYKLTISA-N 0 0 294.351 2.833 20 5 CFBDRN CCC[C@@H]([NH2+]C/C(C)=C\c1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000905786167 621871359 /nfs/dbraw/zinc/87/13/59/621871359.db2.gz HTMBPTSDYNKALH-KOTGUFOOSA-N 0 0 292.335 2.841 20 5 CFBDRN Cc1nnc([C@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000905852322 621888770 /nfs/dbraw/zinc/88/87/70/621888770.db2.gz IDBWEALNPNPWML-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN CC[C@@](O)(COc1ccc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC000905942143 621904714 /nfs/dbraw/zinc/90/47/14/621904714.db2.gz JDYONONQRQHISJ-SNVBAGLBSA-N 0 0 297.204 2.816 20 5 CFBDRN Cc1cc(C)c(OC[C@@](C)(O)C(F)F)c([N+](=O)[O-])c1 ZINC000905942217 621904932 /nfs/dbraw/zinc/90/49/32/621904932.db2.gz JPLKIRMRQQTHKK-GFCCVEGCSA-N 0 0 275.251 2.607 20 5 CFBDRN CC[C@](O)(COc1cc(C)ccc1[N+](=O)[O-])C(F)(F)F ZINC000905944406 621909180 /nfs/dbraw/zinc/90/91/80/621909180.db2.gz UNVCWZBORYQHIQ-NSHDSACASA-N 0 0 293.241 2.985 20 5 CFBDRN CC[C@@](O)(COc1cc(C)ccc1[N+](=O)[O-])C(F)(F)F ZINC000905944405 621909236 /nfs/dbraw/zinc/90/92/36/621909236.db2.gz UNVCWZBORYQHIQ-LLVKDONJSA-N 0 0 293.241 2.985 20 5 CFBDRN CC[C@](O)(COc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000905944044 621909367 /nfs/dbraw/zinc/90/93/67/621909367.db2.gz SOVSXPXDRFBBBG-JTQLQIEISA-N 0 0 279.214 2.677 20 5 CFBDRN C[C@@](O)(COc1ccc([N+](=O)[O-])c2ncccc12)C(F)F ZINC000905947239 621909699 /nfs/dbraw/zinc/90/96/99/621909699.db2.gz JQKBSDXFMFDGMT-CYBMUJFWSA-N 0 0 298.245 2.538 20 5 CFBDRN O=C(NCc1ccco1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149073447 621923221 /nfs/dbraw/zinc/92/32/21/621923221.db2.gz ALLOIUYINIDNIR-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CC1=NO[C@](C)(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000906117666 621933236 /nfs/dbraw/zinc/93/32/36/621933236.db2.gz CDNSZQWHHSZOEL-HZMBPMFUSA-N 0 0 292.291 2.754 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1ncc(C2OCCO2)s1 ZINC000906173234 621944353 /nfs/dbraw/zinc/94/43/53/621944353.db2.gz QPATYHDBKYBGNF-UHFFFAOYSA-N 0 0 296.279 2.903 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000906244690 621958615 /nfs/dbraw/zinc/95/86/15/621958615.db2.gz SRUGOALMPAHQRU-LLVKDONJSA-N 0 0 294.351 2.755 20 5 CFBDRN CC(C)(C)[S@](C)(=O)=NC(=O)c1csc([N+](=O)[O-])c1 ZINC000906316129 621973198 /nfs/dbraw/zinc/97/31/98/621973198.db2.gz MKYSJMOZCWKXJH-SFHVURJKSA-N 0 0 290.366 2.693 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N=[S@](C)(=O)C(C)(C)C)c1 ZINC000906326591 621974677 /nfs/dbraw/zinc/97/46/77/621974677.db2.gz XAQQUIKBQLNAEM-HXUWFJFHSA-N 0 0 298.364 2.940 20 5 CFBDRN Cc1cc(C)c(C(=O)NCc2cccc([N+](=O)[O-])c2)c(C)n1 ZINC000906431597 621984866 /nfs/dbraw/zinc/98/48/66/621984866.db2.gz WPOATVBRYAARGD-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000906442698 621990074 /nfs/dbraw/zinc/99/00/74/621990074.db2.gz DPZVFNXAXGOZDD-CLAHSXSESA-N 0 0 296.273 2.793 20 5 CFBDRN O=C(NOCCC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000832845173 625533796 /nfs/dbraw/zinc/53/37/96/625533796.db2.gz GXNNNCSIEYIVCR-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@]12C[C@@H]1CCCC2 ZINC000927462745 622062466 /nfs/dbraw/zinc/06/24/66/622062466.db2.gz ZBJYGIFIWARLRT-XJKSGUPXSA-N 0 0 288.347 2.834 20 5 CFBDRN C[C@H](NC(=O)C1(C)CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000833029613 625543955 /nfs/dbraw/zinc/54/39/55/625543955.db2.gz LNRADLGRIWLYJS-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000832950351 625540067 /nfs/dbraw/zinc/54/00/67/625540067.db2.gz XXFSMMVBDNQDAH-SMDDNHRTSA-N 0 0 294.351 2.665 20 5 CFBDRN O=C(NCCC(F)(F)F)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149520890 622194588 /nfs/dbraw/zinc/19/45/88/622194588.db2.gz WYURKNJDDZFRQZ-UHFFFAOYSA-N 0 0 296.632 2.930 20 5 CFBDRN O=C(CSC(F)(F)F)OCc1cccc([N+](=O)[O-])c1 ZINC000192952278 622200521 /nfs/dbraw/zinc/20/05/21/622200521.db2.gz OHNHVWXFTYYVQH-UHFFFAOYSA-N 0 0 295.238 2.891 20 5 CFBDRN Nc1ccc(NC(=O)C[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000908251411 622208386 /nfs/dbraw/zinc/20/83/86/622208386.db2.gz DJWRMWKZIJKHJQ-ONGXEEELSA-N 0 0 275.308 2.552 20 5 CFBDRN C/C(=C(\F)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000908252014 622208767 /nfs/dbraw/zinc/20/87/67/622208767.db2.gz VUEKMSVOENPOEI-KPKJPENVSA-N 0 0 279.271 2.769 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C(F)F ZINC000833204105 625550552 /nfs/dbraw/zinc/55/05/52/625550552.db2.gz DQLYPODVWGDPBP-MRVPVSSYSA-N 0 0 294.204 2.647 20 5 CFBDRN CC(C)ONC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000908571012 622246534 /nfs/dbraw/zinc/24/65/34/622246534.db2.gz YSDMAYUIVBVTCF-UHFFFAOYSA-N 0 0 286.715 2.637 20 5 CFBDRN COC/C(C)=C\COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000908700177 622265125 /nfs/dbraw/zinc/26/51/25/622265125.db2.gz HGBQCBJIOXZWLY-UITAMQMPSA-N 0 0 299.710 2.998 20 5 CFBDRN COC/C(C)=C/COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000908703234 622266105 /nfs/dbraw/zinc/26/61/05/622266105.db2.gz YZOOTZKFVOETKT-LRELXJSQSA-N 0 0 291.303 2.744 20 5 CFBDRN O=C(OCC(F)F)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028911 622388770 /nfs/dbraw/zinc/38/87/70/622388770.db2.gz WSULIWRTVXGXFF-VHSXEESVSA-N 0 0 271.219 2.507 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC=CC1 ZINC000910011944 622391359 /nfs/dbraw/zinc/39/13/59/622391359.db2.gz CEUQUSGKNMEUTN-ZDUSSCGKSA-N 0 0 274.320 2.562 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000081053830 625569419 /nfs/dbraw/zinc/56/94/19/625569419.db2.gz HGKATKATVMJQDY-VIFPVBQESA-N 0 0 268.288 2.756 20 5 CFBDRN C[C@@H]1CCC[C@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911476661 622522042 /nfs/dbraw/zinc/52/20/42/622522042.db2.gz SEMJBXGSXYYYIC-PSASIEDQSA-N 0 0 298.289 2.925 20 5 CFBDRN CC1(C)C[C@H](c2ccccc2)N(C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C1 ZINC000819749786 622549983 /nfs/dbraw/zinc/54/99/83/622549983.db2.gz RWIYTIPVMUTANF-MGPQQGTHSA-N 0 0 288.347 2.651 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1CCC[C@H](C2CC2)C1)[N+](=O)[O-] ZINC000819798363 622558864 /nfs/dbraw/zinc/55/88/64/622558864.db2.gz BYNFZOFHFBYBBS-QWHCGFSZSA-N 0 0 282.384 2.907 20 5 CFBDRN Nc1ccc(NC(=O)/C=C\c2cccc(O)c2)cc1[N+](=O)[O-] ZINC000819892927 622568294 /nfs/dbraw/zinc/56/82/94/622568294.db2.gz OYGMMYZOLCEVRK-DAXSKMNVSA-N 0 0 299.286 2.535 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)s1 ZINC000819909713 622569123 /nfs/dbraw/zinc/56/91/23/622569123.db2.gz GAVDEOVFOKTJGY-UHFFFAOYSA-N 0 0 291.332 2.728 20 5 CFBDRN CCCC[C@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819915628 622570321 /nfs/dbraw/zinc/57/03/21/622570321.db2.gz AZRZBTADROMDEM-VIFPVBQESA-N 0 0 265.313 2.942 20 5 CFBDRN Cc1coc(C)c1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819916896 622571045 /nfs/dbraw/zinc/57/10/45/622571045.db2.gz VYVMAQHCMBTERQ-UHFFFAOYSA-N 0 0 275.264 2.639 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CC23CC3)c1 ZINC000819941080 622578415 /nfs/dbraw/zinc/57/84/15/622578415.db2.gz GKSKQKPYHIHYTA-JTQLQIEISA-N 0 0 252.245 2.707 20 5 CFBDRN CCC(=O)OCc1ccc(Br)cc1[N+](=O)[O-] ZINC000820139394 622617119 /nfs/dbraw/zinc/61/71/19/622617119.db2.gz XMLVEQRFZOPKPG-UHFFFAOYSA-N 0 0 288.097 2.811 20 5 CFBDRN C[C@H]1CCCCN1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000912139926 622644037 /nfs/dbraw/zinc/64/40/37/622644037.db2.gz ASVZYJZNIDMPGH-VIFPVBQESA-N 0 0 297.742 2.767 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCC(F)(F)F ZINC000820403525 622664048 /nfs/dbraw/zinc/66/40/48/622664048.db2.gz SSYHEGPZMDSXTK-UHFFFAOYSA-N 0 0 290.241 2.894 20 5 CFBDRN CO[C@H](COC(=O)c1cc([N+](=O)[O-])cc(C)c1F)C1CC1 ZINC000820549935 622700158 /nfs/dbraw/zinc/70/01/58/622700158.db2.gz AIGJJTDAXRUHGP-GFCCVEGCSA-N 0 0 297.282 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCc2nc[nH]c2C1 ZINC000820580993 622707612 /nfs/dbraw/zinc/70/76/12/622707612.db2.gz KHMHRYYELLBVLC-UHFFFAOYSA-N 0 0 278.699 2.534 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@@H](C)F ZINC000820630688 622720237 /nfs/dbraw/zinc/72/02/37/622720237.db2.gz KVCBPFZTJZQRFH-MRVPVSSYSA-N 0 0 286.328 2.795 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CCN(C2CC2)CC1 ZINC000820644804 622723759 /nfs/dbraw/zinc/72/37/59/622723759.db2.gz JHVHFVCTEWCWFU-UHFFFAOYSA-N 0 0 299.733 2.672 20 5 CFBDRN C[C@@H]1CN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000820649567 622725901 /nfs/dbraw/zinc/72/59/01/622725901.db2.gz GQGRHEPRGDRPJH-ZJUUUORDSA-N 0 0 280.299 2.533 20 5 CFBDRN C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000820651684 622726957 /nfs/dbraw/zinc/72/69/57/622726957.db2.gz TUJBCLZYNCZACC-XXSPCDMZSA-N 0 0 274.320 2.565 20 5 CFBDRN CSCCCNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000150747082 622735486 /nfs/dbraw/zinc/73/54/86/622735486.db2.gz OTVOGTDZHPOETK-UHFFFAOYSA-N 0 0 286.328 2.525 20 5 CFBDRN COC[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000927577908 622742157 /nfs/dbraw/zinc/74/21/57/622742157.db2.gz JKBXPWYIGRWILP-NSHDSACASA-N 0 0 295.339 2.635 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])OCCCF ZINC000923964271 622759263 /nfs/dbraw/zinc/75/92/63/622759263.db2.gz RGXVMMFRGTWHSE-UHFFFAOYSA-N 0 0 273.235 2.569 20 5 CFBDRN COc1cc(C=O)ccc1Oc1ccc([N+](=O)[O-])nc1 ZINC000912731623 622771857 /nfs/dbraw/zinc/77/18/57/622771857.db2.gz QXHZRVUWOKRVKN-UHFFFAOYSA-N 0 0 274.232 2.603 20 5 CFBDRN CC[C@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C1CC1 ZINC000323767125 622775561 /nfs/dbraw/zinc/77/55/61/622775561.db2.gz WOQSHBSPMYIDRV-NSHDSACASA-N 0 0 284.262 2.791 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])O[C@@H]1CCOC1 ZINC000923966417 622786354 /nfs/dbraw/zinc/78/63/54/622786354.db2.gz GXXSFKNTJBFKGG-SECBINFHSA-N 0 0 299.710 2.513 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC2(CC2)C1 ZINC000820958513 622804696 /nfs/dbraw/zinc/80/46/96/622804696.db2.gz WGKLYYARAKHMMH-UHFFFAOYSA-N 0 0 278.333 2.553 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]1C1CC1 ZINC000821149792 622855713 /nfs/dbraw/zinc/85/57/13/622855713.db2.gz OXAODUPLLLOSBU-LBPRGKRZSA-N 0 0 292.360 2.941 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@H]1C1CC1 ZINC000821149954 622856281 /nfs/dbraw/zinc/85/62/81/622856281.db2.gz STQONWBXAYAJCU-KFWWJZLASA-N 0 0 286.331 2.709 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000821179930 622862807 /nfs/dbraw/zinc/86/28/07/622862807.db2.gz XAHKXNUZPIDXKN-FZQKWOKYSA-N 0 0 274.320 2.613 20 5 CFBDRN CC(C)N(C(=O)[C@@H]1CC1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000841097429 622863812 /nfs/dbraw/zinc/86/38/12/622863812.db2.gz MBXKHWDWZAPCRY-VXGBXAGGSA-N 0 0 282.727 2.747 20 5 CFBDRN CC[C@@H](Cc1ccccc1C)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000821201722 622867440 /nfs/dbraw/zinc/86/74/40/622867440.db2.gz GTBQSOTYPLQABT-STQMWFEESA-N 0 0 279.336 2.772 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@@H]1C(F)(F)F ZINC000821269738 622881616 /nfs/dbraw/zinc/88/16/16/622881616.db2.gz GNRQOLKBIWCMIY-SNVBAGLBSA-N 0 0 278.205 2.871 20 5 CFBDRN CO[C@@H]1CC[C@H]([N@H+](C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000821342146 622899437 /nfs/dbraw/zinc/89/94/37/622899437.db2.gz KZRIWRRVDCTDEQ-QWHCGFSZSA-N 0 0 264.325 2.594 20 5 CFBDRN O=C(/C=C\[C@H]1CCCO1)OCc1ccc([N+](=O)[O-])cc1F ZINC000821405051 622916279 /nfs/dbraw/zinc/91/62/79/622916279.db2.gz URABDIPNFZSVRZ-FOQNGQEVSA-N 0 0 295.266 2.512 20 5 CFBDRN Cc1nccc(CNc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000054790331 622920429 /nfs/dbraw/zinc/92/04/29/622920429.db2.gz QMIHQOFMNSIAMD-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 ZINC000156646257 622952762 /nfs/dbraw/zinc/95/27/62/622952762.db2.gz BKLWZOHBRCOZOC-HTRCEHHLSA-N 0 0 299.124 2.952 20 5 CFBDRN O=C(NOCc1ccccc1)c1csc([N+](=O)[O-])c1 ZINC000045040242 622962285 /nfs/dbraw/zinc/96/22/85/622962285.db2.gz YQZLOSKRZIMNSL-UHFFFAOYSA-N 0 0 278.289 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NOC1CCCC1 ZINC000821719478 622965316 /nfs/dbraw/zinc/96/53/16/622965316.db2.gz CMQRBFBOUMRNHU-UHFFFAOYSA-N 0 0 278.308 2.816 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(F)nc2)c1 ZINC000224607000 623007627 /nfs/dbraw/zinc/00/76/27/623007627.db2.gz JWJPBLSDTKXTBE-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@]1(COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000822080352 623030604 /nfs/dbraw/zinc/03/06/04/623030604.db2.gz UAMFRHZVSYYRRL-CGDMSBDMSA-N 0 0 279.267 2.899 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(CO)CCCCC2)n1 ZINC000157502400 623038376 /nfs/dbraw/zinc/03/83/76/623038376.db2.gz HMSKKGVSNCXJMF-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN C[C@]1(COC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000822152264 623051765 /nfs/dbraw/zinc/05/17/65/623051765.db2.gz VVOVCJATEDTPLC-SFDCQRBFSA-N 0 0 293.294 2.990 20 5 CFBDRN CC1(C)C[C@@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000226234668 623062975 /nfs/dbraw/zinc/06/29/75/623062975.db2.gz CCRJPFXSUVWSDK-MRVPVSSYSA-N 0 0 265.269 2.961 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@H]1CCC=CO1 ZINC000822278430 623069028 /nfs/dbraw/zinc/06/90/28/623069028.db2.gz WMOPGVODFPWTQQ-GFCCVEGCSA-N 0 0 290.319 2.634 20 5 CFBDRN CC(C)CCn1nccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822286087 623071098 /nfs/dbraw/zinc/07/10/98/623071098.db2.gz AZMLXQSWQJGFJW-UHFFFAOYSA-N 0 0 296.371 2.703 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])c3nonc32)CC1 ZINC000226808032 623091659 /nfs/dbraw/zinc/09/16/59/623091659.db2.gz SOVXIPRXJWTBEX-UHFFFAOYSA-N 0 0 262.269 2.733 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1CCCC1 ZINC000822550832 623124488 /nfs/dbraw/zinc/12/44/88/623124488.db2.gz VDNMPBLJOYVWDO-UHFFFAOYSA-N 0 0 256.346 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1[C@H](C)C[C@H]1C ZINC000822570819 623129126 /nfs/dbraw/zinc/12/91/26/623129126.db2.gz JOXWTJBQISSSNH-NXEZZACHSA-N 0 0 262.309 2.835 20 5 CFBDRN Nc1ccc(C(=O)NCCC[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000834341204 625623668 /nfs/dbraw/zinc/62/36/68/625623668.db2.gz CSLUWJWFVHXHJO-NSHDSACASA-N 0 0 289.335 2.653 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC1(C)C ZINC000822643110 623141917 /nfs/dbraw/zinc/14/19/17/623141917.db2.gz RZCASLOPWIRJGE-NSHDSACASA-N 0 0 280.349 2.845 20 5 CFBDRN CN(C(=O)C(F)=C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000822701060 623154770 /nfs/dbraw/zinc/15/47/70/623154770.db2.gz KPUJLEMVVAYELX-UHFFFAOYSA-N 0 0 264.256 2.965 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCSC(F)(F)F)nc1 ZINC000060842506 623160193 /nfs/dbraw/zinc/16/01/93/623160193.db2.gz SBXSDKNGWRZPQC-UHFFFAOYSA-N 0 0 267.232 2.655 20 5 CFBDRN Cc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])n(C(C)(C)C)n1 ZINC000822725339 623161147 /nfs/dbraw/zinc/16/11/47/623161147.db2.gz DMASXWRFZDIPAE-UHFFFAOYSA-N 0 0 296.371 2.721 20 5 CFBDRN O=C(/C=C\[C@@H]1CCCO1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000822739168 623164155 /nfs/dbraw/zinc/16/41/55/623164155.db2.gz AFZXGUPKXDUWCY-VEMNSZJBSA-N 0 0 298.245 2.547 20 5 CFBDRN CSc1ccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000822739015 623164285 /nfs/dbraw/zinc/16/42/85/623164285.db2.gz KFXDQHJRXWQEHO-UHFFFAOYSA-N 0 0 296.392 2.860 20 5 CFBDRN O=C(NC1(C(F)(F)F)CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000229114330 623183826 /nfs/dbraw/zinc/18/38/26/623183826.db2.gz ZOLFXPQCLDEFCQ-UHFFFAOYSA-N 0 0 292.188 2.559 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)CCC(C)(C)[N+](=O)[O-])CC2 ZINC000822867531 623192453 /nfs/dbraw/zinc/19/24/53/623192453.db2.gz AVAPLXYHKRYHSD-UHFFFAOYSA-N 0 0 276.336 2.720 20 5 CFBDRN C[S@@](=O)CCCNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000229294419 623195312 /nfs/dbraw/zinc/19/53/12/623195312.db2.gz OFDWSYXFRDAUQY-LJQANCHMSA-N 0 0 292.307 2.713 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CSC[C@@H]1C ZINC000822877198 623196132 /nfs/dbraw/zinc/19/61/32/623196132.db2.gz LVPVNOFKBSKRBC-ONGXEEELSA-N 0 0 294.376 2.693 20 5 CFBDRN CCCCCCOCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000170961911 623197234 /nfs/dbraw/zinc/19/72/34/623197234.db2.gz QKNCGXACRKKKQV-UHFFFAOYSA-N 0 0 294.351 2.808 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N)c1ccsc1 ZINC000061373932 623206511 /nfs/dbraw/zinc/20/65/11/623206511.db2.gz ATVCDEWSIPXBFK-QMMMGPOBSA-N 0 0 291.332 2.730 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@H]1C1CCC1)[N+](=O)[O-] ZINC000823070350 623227978 /nfs/dbraw/zinc/22/79/78/623227978.db2.gz WBNRKFQWJRBEHU-LBPRGKRZSA-N 0 0 268.357 2.613 20 5 CFBDRN Cc1cccc2c1CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C2 ZINC000823117750 623239747 /nfs/dbraw/zinc/23/97/47/623239747.db2.gz AXAMQIWYISHIRG-UHFFFAOYSA-N 0 0 290.363 2.715 20 5 CFBDRN CC(C)(CCC(=O)NC1(c2ccc(F)cc2)CC1)[N+](=O)[O-] ZINC000823120160 623240611 /nfs/dbraw/zinc/24/06/11/623240611.db2.gz GONCYQPLELTEGK-UHFFFAOYSA-N 0 0 294.326 2.767 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000230106138 623252305 /nfs/dbraw/zinc/25/23/05/623252305.db2.gz VTEUEXOEFQWHCX-ISVAXAHUSA-N 0 0 298.726 2.546 20 5 CFBDRN CCC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000172080505 623256878 /nfs/dbraw/zinc/25/68/78/623256878.db2.gz HTLKNEIYUKNFMX-UHFFFAOYSA-N 0 0 276.248 2.703 20 5 CFBDRN Cc1ccncc1CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000823369596 623300113 /nfs/dbraw/zinc/30/01/13/623300113.db2.gz DXHKIJZLYSSWBH-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1nn(C)cc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000231346681 623337531 /nfs/dbraw/zinc/33/75/31/623337531.db2.gz ZLCZSGKFMFTXDG-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCCc1ccccc1 ZINC000173504335 623353402 /nfs/dbraw/zinc/35/34/02/623353402.db2.gz GYBFEWOBSANSHR-UHFFFAOYSA-N 0 0 285.299 2.923 20 5 CFBDRN Cc1cccc(C(=O)OCCOC(C)(C)C)c1[N+](=O)[O-] ZINC000063880892 623396616 /nfs/dbraw/zinc/39/66/16/623396616.db2.gz RYEHKADBBDZHRM-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2cnsn2)cc1[N+](=O)[O-] ZINC000823858636 623406696 /nfs/dbraw/zinc/40/66/96/623406696.db2.gz YDYUBNLWBSCAIA-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823926776 623419858 /nfs/dbraw/zinc/41/98/58/623419858.db2.gz GWNWBLPWQOGEAY-GXTWGEPZSA-N 0 0 292.339 2.733 20 5 CFBDRN CCC(C)(C)CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823954885 623425560 /nfs/dbraw/zinc/42/55/60/623425560.db2.gz AKVIARXLQKZJNN-UHFFFAOYSA-N 0 0 280.328 2.735 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823961517 623426243 /nfs/dbraw/zinc/42/62/43/623426243.db2.gz GHVGOYAFKZKKGP-SKDRFNHKSA-N 0 0 292.339 2.829 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823968751 623427512 /nfs/dbraw/zinc/42/75/12/623427512.db2.gz ZUDOLVJGWFPFSU-ONGXEEELSA-N 0 0 292.339 2.972 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823973447 623428273 /nfs/dbraw/zinc/42/82/73/623428273.db2.gz IDBZNJPUUMKBGL-SECBINFHSA-N 0 0 280.328 2.687 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823973449 623428379 /nfs/dbraw/zinc/42/83/79/623428379.db2.gz IDBZNJPUUMKBGL-VIFPVBQESA-N 0 0 280.328 2.687 20 5 CFBDRN C[C@H](CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)(C)C ZINC000823993705 623429718 /nfs/dbraw/zinc/42/97/18/623429718.db2.gz JNAVLOSXVJVJJL-SECBINFHSA-N 0 0 294.355 2.981 20 5 CFBDRN C[C@H]1CCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000823993306 623429974 /nfs/dbraw/zinc/42/99/74/623429974.db2.gz CTRSGSPWXRUDPY-JTQLQIEISA-N 0 0 292.339 2.831 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])OCc1ccccc1 ZINC000064104820 623430318 /nfs/dbraw/zinc/43/03/18/623430318.db2.gz STYQEBYSBXPFEC-UHFFFAOYSA-N 0 0 286.287 2.750 20 5 CFBDRN CCCCCCNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824008072 623431573 /nfs/dbraw/zinc/43/15/73/623431573.db2.gz DGMWEAYIVQSASM-UHFFFAOYSA-N 0 0 280.328 2.879 20 5 CFBDRN COC(=O)/C(C)=C/COc1ccc([N+](=O)[O-])cc1Cl ZINC000088629623 623487749 /nfs/dbraw/zinc/48/77/49/623487749.db2.gz ANBMQVUMSRKGGM-VMPITWQZSA-N 0 0 285.683 2.746 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1COC(C)(C)C1 ZINC000824364979 623495813 /nfs/dbraw/zinc/49/58/13/623495813.db2.gz DEPDVABLIUCEQK-LLVKDONJSA-N 0 0 292.335 2.509 20 5 CFBDRN Cc1cnc(NCc2cc([N+](=O)[O-])ccc2Cl)nc1 ZINC000758172540 623501649 /nfs/dbraw/zinc/50/16/49/623501649.db2.gz OHIRILRSEKUSAR-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929707703 623519508 /nfs/dbraw/zinc/51/95/08/623519508.db2.gz NKKZRYUJDZKQEQ-WKPIXPDZSA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2cccnc2)c1 ZINC000151626497 623541348 /nfs/dbraw/zinc/54/13/48/623541348.db2.gz OZYFQQALDJTVDG-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OS(=O)(=O)c1cccs1 ZINC000151679820 623552865 /nfs/dbraw/zinc/55/28/65/623552865.db2.gz BJJWKJAXUDUKGW-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN CCc1nc(CNC/C=C\c2ccccc2[N+](=O)[O-])co1 ZINC000825050725 623616821 /nfs/dbraw/zinc/61/68/21/623616821.db2.gz QLPOWCONNPKZIN-ALCCZGGFSA-N 0 0 287.319 2.948 20 5 CFBDRN CCOC(=O)/C=C/COc1ccc([N+](=O)[O-])cc1Cl ZINC000094822810 623655402 /nfs/dbraw/zinc/65/54/02/623655402.db2.gz GEVHMNXGJRNTKC-ONEGZZNKSA-N 0 0 285.683 2.746 20 5 CFBDRN CC(C)(F)CCNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000825257339 623659738 /nfs/dbraw/zinc/65/97/38/623659738.db2.gz BWAOLJJDCLLZGT-OLZOCXBDSA-N 0 0 294.326 2.953 20 5 CFBDRN Cc1cnc(COc2nc(C)cc(C)c2[N+](=O)[O-])s1 ZINC000760553520 623675879 /nfs/dbraw/zinc/67/58/79/623675879.db2.gz AAEPVUWLQMRFEN-UHFFFAOYSA-N 0 0 279.321 2.951 20 5 CFBDRN C/C(=C\C(=O)N1CC[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000914155707 623715716 /nfs/dbraw/zinc/71/57/16/623715716.db2.gz HXBVOGPMURKEEO-PBQZMEPESA-N 0 0 260.293 2.619 20 5 CFBDRN CCC(F)(F)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825633423 623727842 /nfs/dbraw/zinc/72/78/42/623727842.db2.gz GUYSPMDVPOJVEJ-UHFFFAOYSA-N 0 0 272.251 2.565 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000825648595 623731162 /nfs/dbraw/zinc/73/11/62/623731162.db2.gz VFFJDZDVRXOOFG-ZWNOBZJWSA-N 0 0 276.336 2.956 20 5 CFBDRN O=C(NCc1cccnc1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152542442 623732692 /nfs/dbraw/zinc/73/26/92/623732692.db2.gz ADHCIPOLWXMISH-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN O=C(Oc1cc([N+](=O)[O-])ccc1Cl)O[C@H]1CCOC1 ZINC000914213576 623734906 /nfs/dbraw/zinc/73/49/06/623734906.db2.gz JWJDZTDJEDHWFK-QMMMGPOBSA-N 0 0 287.655 2.553 20 5 CFBDRN COc1c(C(=O)NCCCCC2CC2)cccc1[N+](=O)[O-] ZINC000825670355 623735414 /nfs/dbraw/zinc/73/54/14/623735414.db2.gz RRUXHNBYVMLIEX-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)c2ccc(F)cc2F)cc1 ZINC000152614505 623744267 /nfs/dbraw/zinc/74/42/67/623744267.db2.gz UIGGJSDNOBWUAN-CQSZACIVSA-N 0 0 295.241 2.985 20 5 CFBDRN Nc1c(Cl)cc(C(=O)NC[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000914287322 623755536 /nfs/dbraw/zinc/75/55/36/623755536.db2.gz JSLRIMPGVQRVSQ-MRVPVSSYSA-N 0 0 295.726 2.526 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826026268 623783549 /nfs/dbraw/zinc/78/35/49/623783549.db2.gz ALBWZLAMZRQZSU-VXGBXAGGSA-N 0 0 270.373 2.907 20 5 CFBDRN C[C@H]1CCC[C@H](C)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826071427 623790347 /nfs/dbraw/zinc/79/03/47/623790347.db2.gz GHYAIQKKOQWCNB-RYUDHWBXSA-N 0 0 270.373 2.859 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1nc(C)ccc1[N+](=O)[O-] ZINC000826670735 623837103 /nfs/dbraw/zinc/83/71/03/623837103.db2.gz XETPYWKHIVJYKG-GXSJLCMTSA-N 0 0 267.329 2.507 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C[C@@H]1C ZINC000072539642 625681833 /nfs/dbraw/zinc/68/18/33/625681833.db2.gz GOWSHKLZHSEMKP-IUCAKERBSA-N 0 0 282.727 2.976 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nc3ccc([N+](=O)[O-])cc3s2)CO1 ZINC000826784484 623874927 /nfs/dbraw/zinc/87/49/27/623874927.db2.gz PRIFPIPRQLNBMW-HTQZYQBOSA-N 0 0 279.321 2.794 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C[C@H]1C ZINC000072539640 625682518 /nfs/dbraw/zinc/68/25/18/625682518.db2.gz GOWSHKLZHSEMKP-DTORHVGOSA-N 0 0 282.727 2.976 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000826810634 623882629 /nfs/dbraw/zinc/88/26/29/623882629.db2.gz ZKJOMXOIMCIEET-IUCAKERBSA-N 0 0 278.337 2.548 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000826829281 623885597 /nfs/dbraw/zinc/88/55/97/623885597.db2.gz CHWDFJOOKMQIMY-BXUZGUMPSA-N 0 0 294.351 2.523 20 5 CFBDRN CC1CC(CNC(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000826864754 623897956 /nfs/dbraw/zinc/89/79/56/623897956.db2.gz WJCGVLIAAGDMND-HBGGEXNNSA-N 0 0 288.347 2.861 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO)c(NCC2CCCCC2)c1 ZINC000153226339 623899170 /nfs/dbraw/zinc/89/91/70/623899170.db2.gz FLMWAVOIYSUWBO-UHFFFAOYSA-N 0 0 293.367 2.991 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000762898795 623928649 /nfs/dbraw/zinc/92/86/49/623928649.db2.gz OQWSJVNYWSLXTF-WDEREUQCSA-N 0 0 280.324 2.887 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000835168676 623948373 /nfs/dbraw/zinc/94/83/73/623948373.db2.gz LCOOTTHSQIDFLW-QMMMGPOBSA-N 0 0 271.219 2.718 20 5 CFBDRN Cc1cc(C(=O)OCc2ccccc2[N+](=O)[O-])c(C)[nH]1 ZINC000914967674 623965699 /nfs/dbraw/zinc/96/56/99/623965699.db2.gz YEEWGUCBEGZGGR-UHFFFAOYSA-N 0 0 274.276 2.897 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NC[C@]12C[C@H]1CCC2 ZINC000915097015 623981044 /nfs/dbraw/zinc/98/10/44/623981044.db2.gz FNVLKHJTYHVSNB-DOMZBBRYSA-N 0 0 289.335 2.584 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000915089978 623981759 /nfs/dbraw/zinc/98/17/59/623981759.db2.gz PYXYTWSLKIWYMI-VOTSOKGWSA-N 0 0 297.742 2.925 20 5 CFBDRN O=C(CSC(F)(F)F)Nc1ccc([N+](=O)[O-])cc1 ZINC000915139200 623988043 /nfs/dbraw/zinc/98/80/43/623988043.db2.gz UDWCAPLLCITJOZ-UHFFFAOYSA-N 0 0 280.227 2.786 20 5 CFBDRN O=[N+]([O-])c1cccc([C@@H](O)COc2c(F)cccc2F)c1 ZINC000153587931 624032038 /nfs/dbraw/zinc/03/20/38/624032038.db2.gz IBHRTFZMDNDORN-ZDUSSCGKSA-N 0 0 295.241 2.985 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NCC(C)(C)F ZINC000827806617 624098446 /nfs/dbraw/zinc/09/84/46/624098446.db2.gz SGYXMRVSUKIMQY-UHFFFAOYSA-N 0 0 286.328 2.795 20 5 CFBDRN C[C@@H](C(=O)OCCC(C)(C)O)c1ccc([N+](=O)[O-])cc1F ZINC000765511058 624102157 /nfs/dbraw/zinc/10/21/57/624102157.db2.gz ZRPLUCCRZIULAK-SECBINFHSA-N 0 0 299.298 2.542 20 5 CFBDRN CON(C)C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000888284955 624137853 /nfs/dbraw/zinc/13/78/53/624137853.db2.gz PONHQVDHDXRQRP-UHFFFAOYSA-N 0 0 273.676 2.582 20 5 CFBDRN CCC(CC)NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000232861908 624184462 /nfs/dbraw/zinc/18/44/62/624184462.db2.gz FLIKXVKPKYEQAT-UHFFFAOYSA-N 0 0 268.288 2.961 20 5 CFBDRN O=C(OCOc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000916079770 624219818 /nfs/dbraw/zinc/21/98/18/624219818.db2.gz PDKCSJRJKKQBJC-UHFFFAOYSA-N 0 0 271.656 2.538 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000828420661 624243159 /nfs/dbraw/zinc/24/31/59/624243159.db2.gz MDFFXSFRVAILOR-CBAPKCEASA-N 0 0 299.714 2.547 20 5 CFBDRN CCC[C@H](OC)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000828436239 624245968 /nfs/dbraw/zinc/24/59/68/624245968.db2.gz XIUWJLVEAHHBIJ-AWEZNQCLSA-N 0 0 292.335 2.607 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCC(C)(C)[N+](=O)[O-])CC1(C)C ZINC000828460945 624250713 /nfs/dbraw/zinc/25/07/13/624250713.db2.gz FVSXYWDLHBLSRT-LLVKDONJSA-N 0 0 270.373 2.717 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000916523206 624250847 /nfs/dbraw/zinc/25/08/47/624250847.db2.gz QKJAJSGBVJEQPB-NXEZZACHSA-N 0 0 297.332 2.596 20 5 CFBDRN Cc1ccc(CNC(=O)C2(C)CC=CC2)cc1[N+](=O)[O-] ZINC000828463740 624251525 /nfs/dbraw/zinc/25/15/25/624251525.db2.gz DKDOPNNLTZXOJP-UHFFFAOYSA-N 0 0 274.320 2.876 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000916587872 624257540 /nfs/dbraw/zinc/25/75/40/624257540.db2.gz PCYLLDUDTBQPTQ-QWRGUYRKSA-N 0 0 277.324 2.503 20 5 CFBDRN CC(=O)[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000828531990 624263449 /nfs/dbraw/zinc/26/34/49/624263449.db2.gz QBMBYBUJFVHZGQ-RZIFZGNASA-N 0 0 291.303 2.765 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c(C)n1C ZINC000916667142 624272400 /nfs/dbraw/zinc/27/24/00/624272400.db2.gz WPNCPVKQDCKAFN-UHFFFAOYSA-N 0 0 291.282 2.942 20 5 CFBDRN C[C@@H](COCc1ccccc1)Cn1nccc1[N+](=O)[O-] ZINC000916722632 624280473 /nfs/dbraw/zinc/28/04/73/624280473.db2.gz LZWOUCFZGDMRRF-GFCCVEGCSA-N 0 0 275.308 2.644 20 5 CFBDRN O=C(COc1cc(Cl)ccc1[N+](=O)[O-])C1(Cl)CC1 ZINC000916777709 624284596 /nfs/dbraw/zinc/28/45/96/624284596.db2.gz BVOFNAHXLSISCS-UHFFFAOYSA-N 0 0 290.102 2.968 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1c(F)ccc(C)c1F ZINC000916772536 624284626 /nfs/dbraw/zinc/28/46/26/624284626.db2.gz FLGAHQFKJGNEMQ-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN CN(CC1CC1)C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000888289838 624292780 /nfs/dbraw/zinc/29/27/80/624292780.db2.gz OOEDPDJFGQFIKD-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN Cc1nn(C)c(N2Cc3ccccc3[C@H](C)C2)c1[N+](=O)[O-] ZINC000916994025 624298110 /nfs/dbraw/zinc/29/81/10/624298110.db2.gz QRYWYPMNBJBIJS-SNVBAGLBSA-N 0 0 286.335 2.760 20 5 CFBDRN Cc1c[nH]cc1C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000917029052 624298992 /nfs/dbraw/zinc/29/89/92/624298992.db2.gz OBCVXZJNKSAQAA-UHFFFAOYSA-N 0 0 260.249 2.759 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1COc2ccccc2C1 ZINC000917014471 624299812 /nfs/dbraw/zinc/29/98/12/624299812.db2.gz UVOJVBBQMGWRFZ-NSHDSACASA-N 0 0 285.303 2.653 20 5 CFBDRN Cc1cnc(OC[C@H]2CC[C@@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000917150377 624320028 /nfs/dbraw/zinc/32/00/28/624320028.db2.gz WHTOGOSLNOJUOB-YPMHNXCESA-N 0 0 278.308 2.635 20 5 CFBDRN CC(C)CC(=O)OCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000917339898 624332986 /nfs/dbraw/zinc/33/29/86/624332986.db2.gz QJHQIWGZMBRMGZ-UHFFFAOYSA-N 0 0 271.244 2.660 20 5 CFBDRN CCc1ncc(COc2ccc(C(C)=O)cc2[N+](=O)[O-])o1 ZINC000917347525 624333837 /nfs/dbraw/zinc/33/38/37/624333837.db2.gz SMOHWXKPUDUQML-UHFFFAOYSA-N 0 0 290.275 2.927 20 5 CFBDRN O=C(OCC1=CCCC1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000828938455 624335522 /nfs/dbraw/zinc/33/55/22/624335522.db2.gz LWYJQKPECYTNFJ-UHFFFAOYSA-N 0 0 263.249 2.568 20 5 CFBDRN Cc1occc1C1=N/C(=C/c2cccc([N+](=O)[O-])c2)C(=O)O1 ZINC000917418105 624339034 /nfs/dbraw/zinc/33/90/34/624339034.db2.gz ZWOZDVOAMISJJL-MDWZMJQESA-N 0 0 298.254 2.841 20 5 CFBDRN Cc1nc2c(cnn2C)c(Oc2cccc([N+](=O)[O-])c2C)n1 ZINC000917389880 624339105 /nfs/dbraw/zinc/33/91/05/624339105.db2.gz TVXXAGMTTIPPMS-UHFFFAOYSA-N 0 0 299.290 2.681 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCOC(=O)CC(C)C)n1 ZINC000917442907 624344496 /nfs/dbraw/zinc/34/44/96/624344496.db2.gz XUEIEIFCEZZJMG-UHFFFAOYSA-N 0 0 282.296 2.532 20 5 CFBDRN CCC[C@H](C)c1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000834562696 625713758 /nfs/dbraw/zinc/71/37/58/625713758.db2.gz VOICLYMHZGWYQU-VIFPVBQESA-N 0 0 255.318 2.961 20 5 CFBDRN CC(C)(CCC(=O)Nc1cnc2c(c1)CCCC2)[N+](=O)[O-] ZINC000828987519 624349310 /nfs/dbraw/zinc/34/93/10/624349310.db2.gz GGPVKHXUJDPSGY-UHFFFAOYSA-N 0 0 291.351 2.734 20 5 CFBDRN CC[C@@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@@H](C)O ZINC000829005761 624353746 /nfs/dbraw/zinc/35/37/46/624353746.db2.gz GTBBBMWGTPZJID-VXNVDRBHSA-N 0 0 281.337 2.776 20 5 CFBDRN CC(C)[C@H]1CCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829048159 624360387 /nfs/dbraw/zinc/36/03/87/624360387.db2.gz WYIBBLQJVIGQOZ-SNVBAGLBSA-N 0 0 265.269 2.738 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)OCC(C)C ZINC000917602867 624368388 /nfs/dbraw/zinc/36/83/88/624368388.db2.gz GCVYHDHDRFCOGR-SNVBAGLBSA-N 0 0 297.307 2.570 20 5 CFBDRN Cc1c(OC(=O)[C@@H](C)OCC(C)C)cccc1[N+](=O)[O-] ZINC000917755224 624380555 /nfs/dbraw/zinc/38/05/55/624380555.db2.gz FZSQYFIQHPKRKV-LLVKDONJSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[S@@](=O)C(C)(C)CC1 ZINC000829139830 624383868 /nfs/dbraw/zinc/38/38/68/624383868.db2.gz KKCRTSLMJFNDLC-HXUWFJFHSA-N 0 0 296.392 2.641 20 5 CFBDRN CCCCCCNS(=O)(=O)c1ccc([N+](=O)[O-])s1 ZINC000064240636 624391588 /nfs/dbraw/zinc/39/15/88/624391588.db2.gz JRDPKMDANMTRMF-UHFFFAOYSA-N 0 0 292.382 2.515 20 5 CFBDRN CCc1nc(CNc2ccsc2[N+](=O)[O-])co1 ZINC000829177975 624393695 /nfs/dbraw/zinc/39/36/95/624393695.db2.gz VFIMSMHUEBNQEG-UHFFFAOYSA-N 0 0 253.283 2.819 20 5 CFBDRN Cc1nc(C)c(CSc2ccc([N+](=O)[O-])cn2)o1 ZINC000829352371 624423378 /nfs/dbraw/zinc/42/33/78/624423378.db2.gz IEXTZUWIOGNSCH-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc3[nH]cnc3c2)c([N+](=O)[O-])c1 ZINC000918406247 624435400 /nfs/dbraw/zinc/43/54/00/624435400.db2.gz LQLGSOKTFZYVBY-UHFFFAOYSA-N 0 0 297.270 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H](O)C3CCC3)sc2c1 ZINC000829473934 624440239 /nfs/dbraw/zinc/44/02/39/624440239.db2.gz KMRFWYLHCDRTPZ-LLVKDONJSA-N 0 0 293.348 2.777 20 5 CFBDRN CC(C)CCCOC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000918495392 624443042 /nfs/dbraw/zinc/44/30/42/624443042.db2.gz LMQMNNHXXKKGKL-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN CC(C)CCOCCOC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000918533487 624448414 /nfs/dbraw/zinc/44/84/14/624448414.db2.gz DZMFCOCLUUYXIU-UHFFFAOYSA-N 0 0 299.298 2.953 20 5 CFBDRN COC[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000829515934 624450735 /nfs/dbraw/zinc/45/07/35/624450735.db2.gz KBRYPAZUBBGMOB-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN CC(C)[N@@H+]1CC[C@@H](N(C)c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000829572637 624457112 /nfs/dbraw/zinc/45/71/12/624457112.db2.gz QZAMBSOALUGFON-SNVBAGLBSA-N 0 0 299.321 2.792 20 5 CFBDRN COc1ccc(OC(=O)OC2CCC2)c([N+](=O)[O-])c1 ZINC000829687751 624507555 /nfs/dbraw/zinc/50/75/55/624507555.db2.gz NOPVYKXNKWMAHX-UHFFFAOYSA-N 0 0 267.237 2.671 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1cncs1 ZINC000919040692 624518616 /nfs/dbraw/zinc/51/86/16/624518616.db2.gz DVYGAVHYFSATFO-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CC(=O)CCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000919211448 624536101 /nfs/dbraw/zinc/53/61/01/624536101.db2.gz NPPCZXWGJSMDHW-UHFFFAOYSA-N 0 0 291.259 2.867 20 5 CFBDRN CCC(F)(F)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000829789962 624539479 /nfs/dbraw/zinc/53/94/79/624539479.db2.gz LHZLADVKOZUCMC-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN O=C(OC/C=C\Cl)c1cc([N+](=O)[O-])ccc1F ZINC000919251636 624546847 /nfs/dbraw/zinc/54/68/47/624546847.db2.gz KWQUHQLFOKFDJX-RJRFIUFISA-N 0 0 259.620 2.643 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000919288402 624553499 /nfs/dbraw/zinc/55/34/99/624553499.db2.gz PNCPRSXUDIFRNS-JTQLQIEISA-N 0 0 299.298 2.838 20 5 CFBDRN C[C@@H]1CCC[C@@H](Cn2nc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000829837818 624562496 /nfs/dbraw/zinc/56/24/96/624562496.db2.gz MFCGGEDWEFGOLH-RKDXNWHRSA-N 0 0 268.273 2.526 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n([C@@H]2C=CCCCCC2)n1 ZINC000829837384 624563221 /nfs/dbraw/zinc/56/32/21/624563221.db2.gz DXKOKLLTFXVIRE-SECBINFHSA-N 0 0 266.257 2.761 20 5 CFBDRN Cc1cc(C)c(Cn2nc([N+](=O)[O-])cc2[N+](=O)[O-])c(C)c1 ZINC000829842671 624563655 /nfs/dbraw/zinc/56/36/55/624563655.db2.gz PPGBJGKNVRGBOJ-UHFFFAOYSA-N 0 0 290.279 2.673 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCCO2)c(F)c1F ZINC000829886959 624577141 /nfs/dbraw/zinc/57/71/41/624577141.db2.gz GWZOMUVAXBJEQQ-MRVPVSSYSA-N 0 0 273.235 2.821 20 5 CFBDRN CC(C)c1nnc(COc2ccc([N+](=O)[O-])c(F)c2F)o1 ZINC000829882732 624577436 /nfs/dbraw/zinc/57/74/36/624577436.db2.gz DODVQMBWEBUPDH-UHFFFAOYSA-N 0 0 299.233 2.958 20 5 CFBDRN CCOC(=O)CCCOc1ccc([N+](=O)[O-])c(F)c1F ZINC000829888212 624578183 /nfs/dbraw/zinc/57/81/83/624578183.db2.gz YTFUXSUOIVTNQJ-UHFFFAOYSA-N 0 0 289.234 2.595 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000829903007 624579860 /nfs/dbraw/zinc/57/98/60/624579860.db2.gz YHVMSJRPKAFLTC-RYUDHWBXSA-N 0 0 270.373 2.907 20 5 CFBDRN CC(=O)Nc1cc([N+](=O)[O-])cc(C(F)(F)F)c1C ZINC000923845921 624588954 /nfs/dbraw/zinc/58/89/54/624588954.db2.gz FIJYGTPLECPGJS-UHFFFAOYSA-N 0 0 262.187 2.880 20 5 CFBDRN CCC(F)(F)COC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000851492795 634397091 /nfs/dbraw/zinc/39/70/91/634397091.db2.gz VRRVCONLIGUHBN-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN COC(=O)CC1CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000919964281 624651406 /nfs/dbraw/zinc/65/14/06/624651406.db2.gz JOFZIXKWVTXBHQ-UHFFFAOYSA-N 0 0 296.298 2.513 20 5 CFBDRN C[C@H](CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000920028719 624659403 /nfs/dbraw/zinc/65/94/03/624659403.db2.gz COVGDHQWOGAIOF-KSHIFHCESA-N 0 0 274.320 2.770 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)O[C@H]1CCCCC1=O ZINC000830360672 624721011 /nfs/dbraw/zinc/72/10/11/624721011.db2.gz QMODHUYRPUTPHZ-LBPRGKRZSA-N 0 0 295.266 2.711 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCCOC1 ZINC000830369891 624724325 /nfs/dbraw/zinc/72/43/25/624724325.db2.gz QTHNAOUHSABZJJ-SNVBAGLBSA-N 0 0 297.282 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc3c(c2)OCCO3)cc1 ZINC000000257180 624727570 /nfs/dbraw/zinc/72/75/70/624727570.db2.gz NVOMFCMGHHOXKG-UHFFFAOYSA-N 0 0 286.287 2.978 20 5 CFBDRN CCO[C@H](CC)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000920673958 624750160 /nfs/dbraw/zinc/75/01/60/624750160.db2.gz OJEFTVLEYCZDNJ-CYBMUJFWSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC(OC(C)(C)C)C1 ZINC000830541884 624776018 /nfs/dbraw/zinc/77/60/18/624776018.db2.gz GTRLLWQFQMEJOQ-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccc(C(=O)N2CC(OC(C)(C)C)C2)cc1[N+](=O)[O-] ZINC000830557343 624778482 /nfs/dbraw/zinc/77/84/82/624778482.db2.gz XFMUILFUKUHBKS-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN CCn1ccc(C(=O)O[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000830745251 624835697 /nfs/dbraw/zinc/83/56/97/624835697.db2.gz FHMJJLDIZKEVEB-JTQLQIEISA-N 0 0 289.291 2.729 20 5 CFBDRN C[C@H](OC(=O)C1(F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000830755455 624844563 /nfs/dbraw/zinc/84/45/63/624844563.db2.gz MTQAWMQMLMZTRN-QMMMGPOBSA-N 0 0 253.229 2.701 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)C1(F)CC1 ZINC000830762196 624851383 /nfs/dbraw/zinc/85/13/83/624851383.db2.gz YZUHDTUCMQZICC-UHFFFAOYSA-N 0 0 273.647 2.794 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CCC(=O)C1 ZINC000830892260 624920973 /nfs/dbraw/zinc/92/09/73/624920973.db2.gz QZWWAHFUHOURFU-VIFPVBQESA-N 0 0 297.694 2.661 20 5 CFBDRN CCCCn1c(C)nc([N+](=O)[O-])c1Br ZINC000064332795 624923008 /nfs/dbraw/zinc/92/30/08/624923008.db2.gz CPYIYZMAFFUOJY-UHFFFAOYSA-N 0 0 262.107 2.662 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000830897867 624923808 /nfs/dbraw/zinc/92/38/08/624923808.db2.gz SOMRLFBVNUMULW-IUCAKERBSA-N 0 0 296.289 2.621 20 5 CFBDRN COc1ccc(OC(=O)c2cncc(C)c2)c([N+](=O)[O-])c1 ZINC000175056946 624925568 /nfs/dbraw/zinc/92/55/68/624925568.db2.gz SZTGCCHJPDZMOZ-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CC(=O)c1ccc(OC(=O)OC2CCC2)c([N+](=O)[O-])c1 ZINC000830929037 624932851 /nfs/dbraw/zinc/93/28/51/624932851.db2.gz IOTIFSAHKXINJG-UHFFFAOYSA-N 0 0 279.248 2.865 20 5 CFBDRN C[C@H]1[C@@H](c2ccccc2)CC[N@@H+]1Cn1nccc1[N+](=O)[O-] ZINC000175265721 624942580 /nfs/dbraw/zinc/94/25/80/624942580.db2.gz YKSYFVCBESCUFQ-JSGCOSHPSA-N 0 0 286.335 2.627 20 5 CFBDRN COc1cccc(C)c1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000831181499 624969577 /nfs/dbraw/zinc/96/95/77/624969577.db2.gz NMFIGKCTHONQNW-UHFFFAOYSA-N 0 0 280.324 2.778 20 5 CFBDRN CCC(F)(F)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000831243057 624976919 /nfs/dbraw/zinc/97/69/19/624976919.db2.gz DOJUHTQSBBEQLW-UHFFFAOYSA-N 0 0 284.262 2.525 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2)CC(C)(C)O1 ZINC000068463533 624999342 /nfs/dbraw/zinc/99/93/42/624999342.db2.gz FXCPASLFCPARPK-JTQLQIEISA-N 0 0 250.298 2.599 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CO1 ZINC000831422054 625016686 /nfs/dbraw/zinc/01/66/86/625016686.db2.gz SNXZEXQNBCOHIL-APPZFPTMSA-N 0 0 299.714 2.547 20 5 CFBDRN CCOC1(COC(=O)Cc2ccccc2[N+](=O)[O-])CCC1 ZINC000851553533 634427870 /nfs/dbraw/zinc/42/78/70/634427870.db2.gz WZPMFCHYOAOOFY-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCCC1CC=CC1 ZINC000831442564 625022545 /nfs/dbraw/zinc/02/25/45/625022545.db2.gz URAJRJZHNHYTBU-UHFFFAOYSA-N 0 0 288.347 3.000 20 5 CFBDRN CCCONC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000831540356 625048085 /nfs/dbraw/zinc/04/80/85/625048085.db2.gz ROIUBKPGKJIJJY-UHFFFAOYSA-N 0 0 267.285 2.675 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])[C@@H](C)C(F)(F)F ZINC000831587535 625061664 /nfs/dbraw/zinc/06/16/64/625061664.db2.gz DHZCPGOKXVXPMX-QMMMGPOBSA-N 0 0 284.278 2.621 20 5 CFBDRN CC(C)[C@@H](C)N(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000069466156 625128056 /nfs/dbraw/zinc/12/80/56/625128056.db2.gz IAZNLHIVIPATQR-SNVBAGLBSA-N 0 0 291.351 2.826 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2nccn2C)cc([N+](=O)[O-])c1 ZINC000851581948 634443951 /nfs/dbraw/zinc/44/39/51/634443951.db2.gz WTBQZNSGAYQMTG-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000832266216 625255169 /nfs/dbraw/zinc/25/51/69/625255169.db2.gz WDBUHWOSRZSBAE-LLVKDONJSA-N 0 0 258.362 2.859 20 5 CFBDRN CCn1cc([C@@H](C)NCc2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000832309237 625272486 /nfs/dbraw/zinc/27/24/86/625272486.db2.gz GMAFFZGRKXOBNK-SNVBAGLBSA-N 0 0 292.314 2.801 20 5 CFBDRN CC(C)(CCC(=O)Nc1cc2c(cn1)CCCC2)[N+](=O)[O-] ZINC000832310429 625273580 /nfs/dbraw/zinc/27/35/80/625273580.db2.gz REMSURNMVFPJII-UHFFFAOYSA-N 0 0 291.351 2.734 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2c(F)cccc2[N+](=O)[O-])CCS1 ZINC000834626419 625742102 /nfs/dbraw/zinc/74/21/02/625742102.db2.gz JDCIPDWCERPHLS-RKDXNWHRSA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCS[C@@H](C)C2)c1 ZINC000834669503 625773133 /nfs/dbraw/zinc/77/31/33/625773133.db2.gz AIFMSFBMLZFUCB-WDEREUQCSA-N 0 0 294.376 2.917 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCS[C@@H](C)C1 ZINC000834676405 625779370 /nfs/dbraw/zinc/77/93/70/625779370.db2.gz GMERNFSUVZFDCL-QWRGUYRKSA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000834695014 625789581 /nfs/dbraw/zinc/78/95/81/625789581.db2.gz LGBOXKRGEKSVDZ-ZJUUUORDSA-N 0 0 280.349 2.609 20 5 CFBDRN CC(C)(CCc1noc(C[C@@H]2CC[C@@H]3C[C@@H]32)n1)[N+](=O)[O-] ZINC000834744079 625823973 /nfs/dbraw/zinc/82/39/73/625823973.db2.gz JYTCVIYTBDTXCR-VWYCJHECSA-N 0 0 279.340 2.646 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@@H]1CCn2ccnc21 ZINC000834835373 625894483 /nfs/dbraw/zinc/89/44/83/625894483.db2.gz IBGXIVMHROIHKE-BXUZGUMPSA-N 0 0 286.335 2.895 20 5 CFBDRN COC1(C)CN(c2c(C)cc([N+](=O)[O-])cc2Cl)C1 ZINC000770132112 625936961 /nfs/dbraw/zinc/93/69/61/625936961.db2.gz NVPCNVQCWIZGNA-UHFFFAOYSA-N 0 0 270.716 2.782 20 5 CFBDRN C[C@]1(C(=O)Oc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000817421537 625955109 /nfs/dbraw/zinc/95/51/09/625955109.db2.gz FJNXCXFMFMPUGY-SNVBAGLBSA-N 0 0 257.192 2.546 20 5 CFBDRN C/C=C/COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000073396112 625981363 /nfs/dbraw/zinc/98/13/63/625981363.db2.gz PKJVGTKYZKPGIN-NSCUHMNNSA-N 0 0 264.281 2.516 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000083905816 625999237 /nfs/dbraw/zinc/99/92/37/625999237.db2.gz KVCKAHHFQANUJU-QMMMGPOBSA-N 0 0 286.278 2.895 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])OC1CCCC1 ZINC000073460526 626019983 /nfs/dbraw/zinc/01/99/83/626019983.db2.gz NZRZKNSVRZTJHU-UHFFFAOYSA-N 0 0 278.308 2.883 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCCCC(C)(F)F ZINC000922287216 626260308 /nfs/dbraw/zinc/26/03/08/626260308.db2.gz HWBIRCWMDPISPS-UHFFFAOYSA-N 0 0 288.250 2.890 20 5 CFBDRN C[C@H]1CCC[C@@H](CNC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000055478403 626397695 /nfs/dbraw/zinc/39/76/95/626397695.db2.gz MFLIGERAVGXDAH-WDEREUQCSA-N 0 0 291.351 2.733 20 5 CFBDRN CCCCOC(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-] ZINC000073928093 626400387 /nfs/dbraw/zinc/40/03/87/626400387.db2.gz XYOKFETZKZOBDF-UHFFFAOYSA-N 0 0 297.307 2.959 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/Sc2nncn2C2CC2)o1 ZINC000073929828 626400454 /nfs/dbraw/zinc/40/04/54/626400454.db2.gz GRVVFYHRCDWTMJ-AATRIKPKSA-N 0 0 278.293 2.877 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)C ZINC000086702349 626409451 /nfs/dbraw/zinc/40/94/51/626409451.db2.gz APRLJWJHMPBNBT-LLVKDONJSA-N 0 0 283.284 2.586 20 5 CFBDRN CCC(O)(CC)CCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000788082770 626481150 /nfs/dbraw/zinc/48/11/50/626481150.db2.gz YCUDIAUULDMLAA-UHFFFAOYSA-N 0 0 297.311 2.856 20 5 CFBDRN COc1ccc(OCc2oc(C)nc2C)c([N+](=O)[O-])c1 ZINC000788100001 626491542 /nfs/dbraw/zinc/49/15/42/626491542.db2.gz RNTMYRLWSAZFOE-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN CC/C(C)=C/C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000839227351 626531651 /nfs/dbraw/zinc/53/16/51/626531651.db2.gz FNHXCJXTLHZZIR-CSKARUKUSA-N 0 0 260.293 2.840 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ncoc1C1CC1 ZINC000839312399 626533597 /nfs/dbraw/zinc/53/35/97/626533597.db2.gz YKNADEPBYYPSIF-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN O=C(N[C@H](c1ccc(Cl)cc1)C1CC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839493913 626537821 /nfs/dbraw/zinc/53/78/21/626537821.db2.gz ZSCKBABFKWIZGY-UPJWGTAASA-N 0 0 294.738 2.573 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)NC1CCC1 ZINC000756933766 626542404 /nfs/dbraw/zinc/54/24/04/626542404.db2.gz CXRLDINHMYVKDR-UHFFFAOYSA-N 0 0 283.715 2.600 20 5 CFBDRN Cc1nccc(NCc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000756974442 626542973 /nfs/dbraw/zinc/54/29/73/626542973.db2.gz OJGJCJFMQFLTQR-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCCc2ccc([N+](=O)[O-])cc2)O1 ZINC000757118701 626545244 /nfs/dbraw/zinc/54/52/44/626545244.db2.gz XAFPHECDSWFBID-KBPBESRZSA-N 0 0 293.319 2.638 20 5 CFBDRN C[C@H]1[C@H](CO)CCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000839669292 626551743 /nfs/dbraw/zinc/55/17/43/626551743.db2.gz NPVKDCWFPNFDMC-QWRGUYRKSA-N 0 0 298.770 2.841 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1ccc(Br)nc1 ZINC000757774616 626562552 /nfs/dbraw/zinc/56/25/52/626562552.db2.gz OBDUAFNUQUSTLG-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN Nc1c(F)cccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000758064721 626575385 /nfs/dbraw/zinc/57/53/85/626575385.db2.gz DERNSWKANMYFEF-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN COC(C)(C)CN(C)C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000758441855 626593866 /nfs/dbraw/zinc/59/38/66/626593866.db2.gz QHEIHTRFRGREKG-UHFFFAOYSA-N 0 0 297.380 2.648 20 5 CFBDRN C[C@]1(CNc2nc3ccccn3c2[N+](=O)[O-])CCCS1 ZINC000758474648 626595287 /nfs/dbraw/zinc/59/52/87/626595287.db2.gz VWNIPKNYPRNMIQ-CYBMUJFWSA-N 0 0 292.364 2.940 20 5 CFBDRN COCCC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000758828637 626611162 /nfs/dbraw/zinc/61/11/62/626611162.db2.gz BENVGNGDUNXVQS-UHFFFAOYSA-N 0 0 281.308 2.701 20 5 CFBDRN Nc1c(C(=O)OCc2ccc(F)cc2)cccc1[N+](=O)[O-] ZINC000758956815 626620317 /nfs/dbraw/zinc/62/03/17/626620317.db2.gz UJLCOLOKBQZFNC-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nn(C)c3ccccc23)n1 ZINC000758974448 626621504 /nfs/dbraw/zinc/62/15/04/626621504.db2.gz ZQSASHYEYXURBJ-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CCC(=O)CCCOC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000759566359 626671949 /nfs/dbraw/zinc/67/19/49/626671949.db2.gz JCGZMLKKLCSYQX-UHFFFAOYSA-N 0 0 293.319 2.830 20 5 CFBDRN CC[C@H](C)C(=O)O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000759931292 626703210 /nfs/dbraw/zinc/70/32/10/626703210.db2.gz LOMJFAUKPQHANO-QJPTWQEYSA-N 0 0 295.339 2.864 20 5 CFBDRN O=C(CC1CC1)O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000759942340 626703950 /nfs/dbraw/zinc/70/39/50/626703950.db2.gz CEQGCHXASUEPCO-NWDGAFQWSA-N 0 0 293.323 2.618 20 5 CFBDRN O=C(COC(=O)[C@@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000759987283 626707360 /nfs/dbraw/zinc/70/73/60/626707360.db2.gz VKZKPOUUZHXQQK-LBPRGKRZSA-N 0 0 289.287 2.511 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@@H]1CC12CCC2 ZINC000759986294 626707367 /nfs/dbraw/zinc/70/73/67/626707367.db2.gz SHFOSKXQHQRIAI-NSHDSACASA-N 0 0 279.267 2.967 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H](C)C1CCOCC1 ZINC000760017481 626709707 /nfs/dbraw/zinc/70/97/07/626709707.db2.gz OTRPVYONGWFTQL-NSHDSACASA-N 0 0 293.319 2.871 20 5 CFBDRN CCCSCC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760023046 626710184 /nfs/dbraw/zinc/71/01/84/626710184.db2.gz RYTAEYKLNPEALA-UHFFFAOYSA-N 0 0 269.322 2.952 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@H]1CC12CCC2 ZINC000760147533 626716182 /nfs/dbraw/zinc/71/61/82/626716182.db2.gz GTECBWVXIUXPTC-SECBINFHSA-N 0 0 264.256 2.863 20 5 CFBDRN CC(C)CC1CCN(Cn2nccc2[N+](=O)[O-])CC1 ZINC000760397426 626742474 /nfs/dbraw/zinc/74/24/74/626742474.db2.gz DZTUMSFOPFNAER-UHFFFAOYSA-N 0 0 266.345 2.507 20 5 CFBDRN Cc1c(CC(=O)N[C@H](C)c2ccc[nH]2)cccc1[N+](=O)[O-] ZINC000760416239 626743657 /nfs/dbraw/zinc/74/36/57/626743657.db2.gz LQNBKVZYEIAJDZ-LLVKDONJSA-N 0 0 287.319 2.651 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000760420974 626744168 /nfs/dbraw/zinc/74/41/68/626744168.db2.gz JVEGKKVDTFQVTJ-ZETCQYMHSA-N 0 0 279.321 2.784 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)c2ccc[nH]2)c1[N+](=O)[O-] ZINC000760425313 626744850 /nfs/dbraw/zinc/74/48/50/626744850.db2.gz UGMIIDAIYTVJBP-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1nc(Sc2nccn2C2CC2)ccc1[N+](=O)[O-] ZINC000760450200 626747190 /nfs/dbraw/zinc/74/71/90/626747190.db2.gz PHWUMKMSTFRDQZ-UHFFFAOYSA-N 0 0 276.321 2.981 20 5 CFBDRN CCOCCN(C)c1ccc([N+](=O)[O-])cc1OC(F)F ZINC000760576444 626756593 /nfs/dbraw/zinc/75/65/93/626756593.db2.gz MFLZWMAXEPLAEF-UHFFFAOYSA-N 0 0 290.266 2.669 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1CC1CCOCC1 ZINC000760570865 626756660 /nfs/dbraw/zinc/75/66/60/626756660.db2.gz DSNVHAYOKWDPRA-LLVKDONJSA-N 0 0 276.336 2.772 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)C1=CCCC1 ZINC000760613568 626759345 /nfs/dbraw/zinc/75/93/45/626759345.db2.gz FJGNNIRKVGNVGS-UHFFFAOYSA-N 0 0 280.711 2.975 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000760780556 626772624 /nfs/dbraw/zinc/77/26/24/626772624.db2.gz YCWJJFJOHQYNOK-JTQLQIEISA-N 0 0 297.282 2.626 20 5 CFBDRN C[C@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000761021101 626792289 /nfs/dbraw/zinc/79/22/89/626792289.db2.gz JEGGZOVKIHHKJB-JTQLQIEISA-N 0 0 274.276 2.851 20 5 CFBDRN CS/C=C/c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000761342684 626825966 /nfs/dbraw/zinc/82/59/66/626825966.db2.gz CFFHASCVUCXZOM-VOTSOKGWSA-N 0 0 277.305 2.902 20 5 CFBDRN O=C(O[C@H]1CCCOC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000761354326 626827956 /nfs/dbraw/zinc/82/79/56/626827956.db2.gz UERQSOSYZKJWFQ-NSHDSACASA-N 0 0 291.259 2.677 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCC1=O ZINC000761454789 626836947 /nfs/dbraw/zinc/83/69/47/626836947.db2.gz HZUIHYKEOUGWFW-WPRPVWTQSA-N 0 0 297.332 2.705 20 5 CFBDRN O=C(OCCC1CCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000761977653 626876643 /nfs/dbraw/zinc/87/66/43/626876643.db2.gz YYBLHLMFPQLKCK-UHFFFAOYSA-N 0 0 264.281 2.727 20 5 CFBDRN C[C@@H](COC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000761982658 626877146 /nfs/dbraw/zinc/87/71/46/626877146.db2.gz YXQGZYAVPARADY-NSHDSACASA-N 0 0 286.287 2.950 20 5 CFBDRN Cc1ccc(C)c(COC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000761982577 626877275 /nfs/dbraw/zinc/87/72/75/626877275.db2.gz LCYZAZOVISRIOL-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(Oc1cccc(Cl)c1)c1ccc([N+](=O)[O-])cn1 ZINC000762064871 626886186 /nfs/dbraw/zinc/88/61/86/626886186.db2.gz ZFSOVAMETDAUHP-UHFFFAOYSA-N 0 0 278.651 2.862 20 5 CFBDRN CO[C@H](C)Cc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000762071512 626886704 /nfs/dbraw/zinc/88/67/04/626886704.db2.gz MXHWZYZCFVILGU-SECBINFHSA-N 0 0 277.280 2.531 20 5 CFBDRN C[C@H]1CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000762071654 626886710 /nfs/dbraw/zinc/88/67/10/626886710.db2.gz YZXGSFZZRWSNMP-ONGXEEELSA-N 0 0 264.281 2.725 20 5 CFBDRN CCC(CC)OC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000762109201 626892003 /nfs/dbraw/zinc/89/20/03/626892003.db2.gz XCZCPWGOYHFMKN-UHFFFAOYSA-N 0 0 281.308 2.878 20 5 CFBDRN Cc1ccncc1COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000762132438 626895571 /nfs/dbraw/zinc/89/55/71/626895571.db2.gz UUXBYBYITPRZJA-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN COc1ccnc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c1 ZINC000762220781 626904779 /nfs/dbraw/zinc/90/47/79/626904779.db2.gz IVBMETSBEZRTSW-DAXSKMNVSA-N 0 0 299.286 2.650 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)n1 ZINC000762256239 626907980 /nfs/dbraw/zinc/90/79/80/626907980.db2.gz UEXDLCPWCBKFQO-LDWIPMOCSA-N 0 0 290.235 2.676 20 5 CFBDRN CCCCSCC(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000762336918 626911270 /nfs/dbraw/zinc/91/12/70/626911270.db2.gz GVLZWFQSQFRKHS-UHFFFAOYSA-N 0 0 283.353 2.770 20 5 CFBDRN O=C1O[C@H](CSCc2ccc([N+](=O)[O-])cc2)CC12CC2 ZINC000762426866 626915307 /nfs/dbraw/zinc/91/53/07/626915307.db2.gz KVWBNFYHXQANTB-LBPRGKRZSA-N 0 0 293.344 2.924 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCCc2ccccc2[N+](=O)[O-])O1 ZINC000762706404 626931636 /nfs/dbraw/zinc/93/16/36/626931636.db2.gz QKAPHSZHSSKIRH-GXTWGEPZSA-N 0 0 293.319 2.638 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCCCC(C)=O ZINC000762832336 626942725 /nfs/dbraw/zinc/94/27/25/626942725.db2.gz QDBRGOGGABLRMX-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CC(=O)CCCCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000762867561 626946033 /nfs/dbraw/zinc/94/60/33/626946033.db2.gz IMSZEUPJPGHAKO-UHFFFAOYSA-N 0 0 271.294 2.572 20 5 CFBDRN C/C=C\COC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000762907094 626949456 /nfs/dbraw/zinc/94/94/56/626949456.db2.gz YLOGCLGTFLQIKB-IHWYPQMZSA-N 0 0 260.249 2.809 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc[nH]1 ZINC000763115625 626965343 /nfs/dbraw/zinc/96/53/43/626965343.db2.gz CPRZJWMMYFMFKG-SECBINFHSA-N 0 0 298.302 2.895 20 5 CFBDRN C[C@H]1N(c2ccc([N+](=O)[O-])cc2)CCOC1(C)C ZINC000763375533 626984081 /nfs/dbraw/zinc/98/40/81/626984081.db2.gz IQSXEILERFNJMA-SNVBAGLBSA-N 0 0 250.298 2.599 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@@]12CCOC2)c1cccc([N+](=O)[O-])c1 ZINC000763403295 626985740 /nfs/dbraw/zinc/98/57/40/626985740.db2.gz LDZMMWIRNSRFLQ-VZJVUDMVSA-N 0 0 291.303 2.626 20 5 CFBDRN C[C@H](C(=O)OCCOCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000763410815 626986842 /nfs/dbraw/zinc/98/68/42/626986842.db2.gz YIFRMCBKXVVVKZ-NSHDSACASA-N 0 0 293.319 2.668 20 5 CFBDRN C[C@H](C(=O)OC[C@@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000763421301 626987829 /nfs/dbraw/zinc/98/78/29/626987829.db2.gz TTWYOQSRDBDBRF-NWDGAFQWSA-N 0 0 293.319 2.668 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@H]1C[C@]12CCOC2 ZINC000763455393 626990365 /nfs/dbraw/zinc/99/03/65/626990365.db2.gz RHJBWROBOMJIJP-RNCFNFMXSA-N 0 0 296.710 2.613 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000763543295 626996801 /nfs/dbraw/zinc/99/68/01/626996801.db2.gz QTLAOVKILNLXKO-MRVPVSSYSA-N 0 0 281.264 2.525 20 5 CFBDRN CCCCCC(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000763693880 627006576 /nfs/dbraw/zinc/00/65/76/627006576.db2.gz GAASWFHCPYMQAJ-UHFFFAOYSA-N 0 0 295.291 2.867 20 5 CFBDRN COC[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000764007859 627030584 /nfs/dbraw/zinc/03/05/84/627030584.db2.gz CCOZNHSOZDYMPI-RISCZKNCSA-N 0 0 295.335 2.913 20 5 CFBDRN C[C@@H](C[C@@H](O)c1ccco1)Nc1ccc([N+](=O)[O-])nc1 ZINC000764167835 627042944 /nfs/dbraw/zinc/04/29/44/627042944.db2.gz ZBOMZBCISJRTQG-GXSJLCMTSA-N 0 0 277.280 2.507 20 5 CFBDRN CS/C=C\C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000764241705 627047489 /nfs/dbraw/zinc/04/74/89/627047489.db2.gz IBULXASLTZGHQJ-SREVYHEPSA-N 0 0 253.279 2.685 20 5 CFBDRN CS/C=C/C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000764251036 627048305 /nfs/dbraw/zinc/04/83/05/627048305.db2.gz BTBMUGQQPNUKDX-SNAWJCMRSA-N 0 0 256.258 2.549 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)C2CC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000764401084 627057332 /nfs/dbraw/zinc/05/73/32/627057332.db2.gz WXGVBIREOMCUHN-QMMMGPOBSA-N 0 0 294.263 2.767 20 5 CFBDRN CN(CCOC(F)F)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000851821368 634546807 /nfs/dbraw/zinc/54/68/07/634546807.db2.gz SVEBQANVQXQTCS-UHFFFAOYSA-N 0 0 294.685 2.919 20 5 CFBDRN CC[C@H](C)OC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000765520918 627144480 /nfs/dbraw/zinc/14/44/80/627144480.db2.gz DDFQQCFSYKUGHJ-QMMMGPOBSA-N 0 0 252.270 2.592 20 5 CFBDRN CC[C@H]([NH2+]Cc1cc([N+](=O)[O-])ccc1[O-])C1CC1 ZINC000765620811 627150980 /nfs/dbraw/zinc/15/09/80/627150980.db2.gz RKUXOTYBXSHAKO-LBPRGKRZSA-N 0 0 250.298 2.579 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000766170695 627182134 /nfs/dbraw/zinc/18/21/34/627182134.db2.gz WKZXDWZTCIHLNZ-VNKDHWASSA-N 0 0 295.266 2.918 20 5 CFBDRN CC(C)(C)c1nnc(COc2c(F)cccc2[N+](=O)[O-])o1 ZINC000766393402 627199214 /nfs/dbraw/zinc/19/92/14/627199214.db2.gz RKYJCJAJWFKROT-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN CS[C@H](C)CC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000766916949 627226543 /nfs/dbraw/zinc/22/65/43/627226543.db2.gz MEHNHLUMWSFEPF-MRVPVSSYSA-N 0 0 287.312 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C[C@H](C)SC ZINC000766920648 627227018 /nfs/dbraw/zinc/22/70/18/627227018.db2.gz QAWUIUKAFTVDDH-VIFPVBQESA-N 0 0 299.348 2.788 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C[C@@H](C)SC ZINC000766920646 627227222 /nfs/dbraw/zinc/22/72/22/627227222.db2.gz QAWUIUKAFTVDDH-SECBINFHSA-N 0 0 299.348 2.788 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1Cc2cccnc2C1 ZINC000767063476 627233217 /nfs/dbraw/zinc/23/32/17/627233217.db2.gz JIOYQNRCFZDLBD-YVMONPNESA-N 0 0 295.298 2.545 20 5 CFBDRN CCc1cccnc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000767063711 627233225 /nfs/dbraw/zinc/23/32/25/627233225.db2.gz ANVQOXUYVBGAQB-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1Cc2cccnc2C1 ZINC000767063473 627233281 /nfs/dbraw/zinc/23/32/81/627233281.db2.gz JIOYQNRCFZDLBD-VMPITWQZSA-N 0 0 295.298 2.545 20 5 CFBDRN C[C@H]1CN(c2nc3ccc([N+](=O)[O-])cc3s2)CCC1=O ZINC000767332469 627243911 /nfs/dbraw/zinc/24/39/11/627243911.db2.gz QTYXZODPWOJXBE-QMMMGPOBSA-N 0 0 291.332 2.620 20 5 CFBDRN Cc1nc(CCOC(=O)c2cccc([N+](=O)[O-])c2)cs1 ZINC000767497161 627254957 /nfs/dbraw/zinc/25/49/57/627254957.db2.gz XLTFGXIIMMYDGU-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CS/C=C\C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000767649259 627268270 /nfs/dbraw/zinc/26/82/70/627268270.db2.gz DESWATQIBXOVBJ-IHWYPQMZSA-N 0 0 259.308 2.576 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCc1ccccc1 ZINC000767695063 627276598 /nfs/dbraw/zinc/27/65/98/627276598.db2.gz YZRBUPIMKMSVRZ-UHFFFAOYSA-N 0 0 271.272 2.881 20 5 CFBDRN CCCC[C@@H](CC)Cn1cc([N+](=O)[O-])c(=O)c([N+](=O)[O-])c1 ZINC000768463981 627345061 /nfs/dbraw/zinc/34/50/61/627345061.db2.gz VLDHDQNDPYFWBI-SNVBAGLBSA-N 0 0 297.311 2.881 20 5 CFBDRN Cc1cnoc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000768606332 627357922 /nfs/dbraw/zinc/35/79/22/627357922.db2.gz OWURGDBUIGKKKJ-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CC(C)(F)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000768633927 627359251 /nfs/dbraw/zinc/35/92/51/627359251.db2.gz JLMYXFUEJFGMDB-UHFFFAOYSA-N 0 0 259.208 2.525 20 5 CFBDRN CC(C)C1CN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000768717271 627366650 /nfs/dbraw/zinc/36/66/50/627366650.db2.gz WNAZCKSAKGLGJP-UHFFFAOYSA-N 0 0 282.727 2.976 20 5 CFBDRN Cc1ccc(COC(=O)c2cc(O)cc([N+](=O)[O-])c2)cc1 ZINC000769048700 627399115 /nfs/dbraw/zinc/39/91/15/627399115.db2.gz LWMMSLINNALXDO-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN Cc1nc(NC(=O)[C@@H](F)c2ccccc2)ccc1[N+](=O)[O-] ZINC000769468602 627444016 /nfs/dbraw/zinc/44/40/16/627444016.db2.gz DDIJPMLYLRLICG-ZDUSSCGKSA-N 0 0 289.266 2.948 20 5 CFBDRN COc1cccc(C(=O)O[C@@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000769604495 627460574 /nfs/dbraw/zinc/46/05/74/627460574.db2.gz TYORRNZVDGKHIK-SNVBAGLBSA-N 0 0 277.276 2.869 20 5 CFBDRN Cc1noc(CNC2(c3ccccc3F)CC2)c1[N+](=O)[O-] ZINC000851903509 634581210 /nfs/dbraw/zinc/58/12/10/634581210.db2.gz PJJUHBZMMKBHAX-UHFFFAOYSA-N 0 0 291.282 2.809 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H]1CCOC1 ZINC000770009133 627496277 /nfs/dbraw/zinc/49/62/77/627496277.db2.gz JDROCTQWQRNSRI-SECBINFHSA-N 0 0 299.714 2.501 20 5 CFBDRN C[C@@H]1COCCN1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000770010192 627496370 /nfs/dbraw/zinc/49/63/70/627496370.db2.gz ZZKOPUMQFKEAFQ-MRVPVSSYSA-N 0 0 299.714 2.501 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1nccs1 ZINC000770618097 627535099 /nfs/dbraw/zinc/53/50/99/627535099.db2.gz IRROZYNSLRIKPM-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2F)o1 ZINC000770953263 627563924 /nfs/dbraw/zinc/56/39/24/627563924.db2.gz BPUXYDWFMHEICC-QMMMGPOBSA-N 0 0 294.238 2.948 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)Cc2cccc([N+](=O)[O-])c2)o1 ZINC000770983900 627566379 /nfs/dbraw/zinc/56/63/79/627566379.db2.gz GHNIBRQFAXUQIY-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C[C@@H]1C ZINC000770993107 627567204 /nfs/dbraw/zinc/56/72/04/627567204.db2.gz MJZRKFWFFVELAY-SCVCMEIPSA-N 0 0 280.324 2.915 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C[C@H]1C ZINC000770993101 627567329 /nfs/dbraw/zinc/56/73/29/627567329.db2.gz MJZRKFWFFVELAY-FOGDFJRCSA-N 0 0 280.324 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CC2)c([N+](=O)[O-])c1NC1CC1 ZINC000771147181 627580981 /nfs/dbraw/zinc/58/09/81/627580981.db2.gz NVHUFJDCVHSQPV-UHFFFAOYSA-N 0 0 278.268 2.652 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000805428925 627592150 /nfs/dbraw/zinc/59/21/50/627592150.db2.gz YNTGOEPLUGZFQH-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN O=C(CCc1nccs1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771483235 627607864 /nfs/dbraw/zinc/60/78/64/627607864.db2.gz BCASITWOWDUTNZ-UHFFFAOYSA-N 0 0 296.279 2.729 20 5 CFBDRN O=C(CCn1cccc1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771487224 627608500 /nfs/dbraw/zinc/60/85/00/627608500.db2.gz WKTGEVNGPMHARQ-UHFFFAOYSA-N 0 0 278.239 2.531 20 5 CFBDRN COc1cccc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000771488955 627608512 /nfs/dbraw/zinc/60/85/12/627608512.db2.gz OZBYKQFBJQITQU-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN CCC/C=C\C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771652057 627620962 /nfs/dbraw/zinc/62/09/62/627620962.db2.gz JRWQKSZELRCSBH-PLNGDYQASA-N 0 0 253.229 2.996 20 5 CFBDRN O=C(OCCOC1CCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000805448344 627625395 /nfs/dbraw/zinc/62/53/95/627625395.db2.gz LVSDJMPDTBCSTJ-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN Cc1cnc(COC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000771859431 627638870 /nfs/dbraw/zinc/63/88/70/627638870.db2.gz SJNXAKHNLLOURA-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN COC1CN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)C1 ZINC000772061990 627668710 /nfs/dbraw/zinc/66/87/10/627668710.db2.gz AHYNIIAXBIVZSY-UHFFFAOYSA-N 0 0 277.107 2.737 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCNc1ccccc1 ZINC000772274824 627696306 /nfs/dbraw/zinc/69/63/06/627696306.db2.gz IKZBBENIJBZHMC-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN COC1(C(=O)OCc2ccccc2[N+](=O)[O-])CCCCC1 ZINC000772448462 627710534 /nfs/dbraw/zinc/71/05/34/627710534.db2.gz HJQBMLGFTVOKGI-UHFFFAOYSA-N 0 0 293.319 2.987 20 5 CFBDRN COc1ccc(COC(=O)[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000772497559 627714784 /nfs/dbraw/zinc/71/47/84/627714784.db2.gz ZYDRSRZGWWOVJC-NWDGAFQWSA-N 0 0 293.319 2.939 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H]1C[C@H]1C(C)C ZINC000772508351 627716308 /nfs/dbraw/zinc/71/63/08/627716308.db2.gz UVLHQLWPNMNQIV-QWHCGFSZSA-N 0 0 293.319 2.939 20 5 CFBDRN O=[N+]([O-])c1c(Oc2ccc(F)nc2)nc2ccccn21 ZINC000772906407 627758343 /nfs/dbraw/zinc/75/83/43/627758343.db2.gz NUHLLBXWFUGDOH-UHFFFAOYSA-N 0 0 274.211 2.569 20 5 CFBDRN Cc1c(COC(=O)COC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000773208369 627795830 /nfs/dbraw/zinc/79/58/30/627795830.db2.gz UBCAFBPNAROPRM-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NN1[C@H](C)CCC[C@H]1C ZINC000773395187 627813908 /nfs/dbraw/zinc/81/39/08/627813908.db2.gz YWXPSGVEEKTZRS-VXGBXAGGSA-N 0 0 291.351 2.811 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NN1[C@@H](C)CCC[C@@H]1C ZINC000773395182 627814038 /nfs/dbraw/zinc/81/40/38/627814038.db2.gz YWXPSGVEEKTZRS-RYUDHWBXSA-N 0 0 291.351 2.811 20 5 CFBDRN O=C(NOc1ccccc1)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000773468591 627824412 /nfs/dbraw/zinc/82/44/12/627824412.db2.gz UJNRFQQZTYVRIO-UHFFFAOYSA-N 0 0 297.270 2.800 20 5 CFBDRN Cc1sc(C(=O)NOc2ccccc2)cc1[N+](=O)[O-] ZINC000773472691 627825120 /nfs/dbraw/zinc/82/51/20/627825120.db2.gz ZSHNNILBSWOSJS-UHFFFAOYSA-N 0 0 278.289 2.689 20 5 CFBDRN CSCCOC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000773547244 627836543 /nfs/dbraw/zinc/83/65/43/627836543.db2.gz PVTWESRKKAFTMK-UHFFFAOYSA-N 0 0 280.305 2.596 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@@H]1C[C@@H]1C1CC1)CC2 ZINC000773991320 627876519 /nfs/dbraw/zinc/87/65/19/627876519.db2.gz WEWNNFCULGYQPF-CHWSQXEVSA-N 0 0 286.331 2.838 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccncc1)CC2 ZINC000773991755 627876537 /nfs/dbraw/zinc/87/65/37/627876537.db2.gz KMHLUFNDTSAEJI-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CCn1nccc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000774019137 627879582 /nfs/dbraw/zinc/87/95/82/627879582.db2.gz YZUGKHIXIJSXNL-JTQLQIEISA-N 0 0 289.291 2.729 20 5 CFBDRN CCC1(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)CC1 ZINC000774216474 627904524 /nfs/dbraw/zinc/90/45/24/627904524.db2.gz DAQGVMBHGWPXLB-UHFFFAOYSA-N 0 0 274.320 2.983 20 5 CFBDRN CCCNC(=S)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000774582080 627938973 /nfs/dbraw/zinc/93/89/73/627938973.db2.gz PAUBJMNRCCIJGI-VIFPVBQESA-N 0 0 267.354 2.530 20 5 CFBDRN C[C@H](NC(=O)N1CCCCC1)c1ccccc1[N+](=O)[O-] ZINC000774711104 627950270 /nfs/dbraw/zinc/95/02/70/627950270.db2.gz YYSHUWKCOBLJAG-NSHDSACASA-N 0 0 277.324 2.851 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCC[C@H]2OC)c1 ZINC000774718975 627951765 /nfs/dbraw/zinc/95/17/65/627951765.db2.gz VNCXRQHTDKPSKX-TVQRCGJNSA-N 0 0 298.314 2.970 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCC[C@H]2OC)c1 ZINC000774718971 627951802 /nfs/dbraw/zinc/95/18/02/627951802.db2.gz VNCXRQHTDKPSKX-NOZJJQNGSA-N 0 0 298.314 2.970 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000774730515 627953275 /nfs/dbraw/zinc/95/32/75/627953275.db2.gz HDASXKUTVMNXCT-QWRGUYRKSA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)OC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000774745306 627957513 /nfs/dbraw/zinc/95/75/13/627957513.db2.gz VZKQTBLNYZWLJT-ZJUUUORDSA-N 0 0 297.282 2.706 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000774847028 627970393 /nfs/dbraw/zinc/97/03/93/627970393.db2.gz FRLGFDSIUMLQIF-BXUZGUMPSA-N 0 0 293.319 2.809 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H]1F ZINC000775058647 627988774 /nfs/dbraw/zinc/98/87/74/627988774.db2.gz VWCDTKJFSJJJIG-BXKDBHETSA-N 0 0 297.286 2.683 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCC(F)(F)C(F)F)n1 ZINC000775213902 628000649 /nfs/dbraw/zinc/00/06/49/628000649.db2.gz JHOHVZVVXURBAU-UHFFFAOYSA-N 0 0 282.193 2.886 20 5 CFBDRN CSC(C)(C)COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000775318711 628009149 /nfs/dbraw/zinc/00/91/49/628009149.db2.gz LBKIAZLBMMYZIM-UHFFFAOYSA-N 0 0 269.322 2.893 20 5 CFBDRN Cc1nnc(Oc2ccc([N+](=O)[O-])c(Cl)c2)nc1C ZINC000775456988 628027600 /nfs/dbraw/zinc/02/76/00/628027600.db2.gz AJVZBFWTMPVVPJ-UHFFFAOYSA-N 0 0 280.671 2.842 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000775464741 628028581 /nfs/dbraw/zinc/02/85/81/628028581.db2.gz KMBPDSLRJIBVLM-VHSXEESVSA-N 0 0 277.276 2.506 20 5 CFBDRN Cc1nnc(NCc2cc([N+](=O)[O-])ccc2Cl)nc1C ZINC000775501977 628032303 /nfs/dbraw/zinc/03/23/03/628032303.db2.gz VBZJZOKMYZWZKW-UHFFFAOYSA-N 0 0 293.714 2.662 20 5 CFBDRN COc1ccccc1[C@H](O)CNc1sccc1[N+](=O)[O-] ZINC000775668407 628052402 /nfs/dbraw/zinc/05/24/02/628052402.db2.gz YXCFIVCWFHUFIG-LLVKDONJSA-N 0 0 294.332 2.810 20 5 CFBDRN Cc1nnc(SC[C@H](O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000776272897 628125318 /nfs/dbraw/zinc/12/53/18/628125318.db2.gz YUJXLOOGLIOVTR-JTQLQIEISA-N 0 0 297.361 2.580 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000776311003 628130508 /nfs/dbraw/zinc/13/05/08/628130508.db2.gz WMXJVGMZTWXOPS-ZJUUUORDSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)OCCOc2ccccc2[N+](=O)[O-])C1 ZINC000776423017 628148565 /nfs/dbraw/zinc/14/85/65/628148565.db2.gz HJMBXVDBDSZLCN-RYUDHWBXSA-N 0 0 293.319 2.953 20 5 CFBDRN CN(C[C@H](O)c1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1 ZINC000776578174 628159363 /nfs/dbraw/zinc/15/93/63/628159363.db2.gz DYIKHOJYXWVOKY-HNNXBMFYSA-N 0 0 290.294 2.904 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776703049 628169558 /nfs/dbraw/zinc/16/95/58/628169558.db2.gz ORURWZLXLBVCNU-PJXYFTJBSA-N 0 0 279.267 2.823 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000776703021 628169647 /nfs/dbraw/zinc/16/96/47/628169647.db2.gz OLWJBZVFTSBVID-IMRBUKKESA-N 0 0 291.303 2.693 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCc2ccoc2)c1 ZINC000777380479 628219561 /nfs/dbraw/zinc/21/95/61/628219561.db2.gz RUVGVMURVKUTTR-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc3cccnn32)cc1[N+](=O)[O-] ZINC000777839399 628255646 /nfs/dbraw/zinc/25/56/46/628255646.db2.gz XSISLJCZNNWSDJ-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN COCCC[C@@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000777960235 628267508 /nfs/dbraw/zinc/26/75/08/628267508.db2.gz AREUINUPOHFTPE-SNVBAGLBSA-N 0 0 299.298 2.840 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H]1F ZINC000778000616 628271899 /nfs/dbraw/zinc/27/18/99/628271899.db2.gz QXXWRSVJFRAABU-WCBMZHEXSA-N 0 0 283.259 2.687 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000778093488 628277181 /nfs/dbraw/zinc/27/71/81/628277181.db2.gz ZNDKLNDYGQZVAZ-ZDUSSCGKSA-N 0 0 299.710 2.861 20 5 CFBDRN CCOC[C@H](C)NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000778098542 628277593 /nfs/dbraw/zinc/27/75/93/628277593.db2.gz BBQBUXWAVBUZBH-ZKQHCESOSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H]1CCO[C@H](C)C1 ZINC000778363838 628299805 /nfs/dbraw/zinc/29/98/05/628299805.db2.gz FLPHHUSLXQNTDW-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000778376667 628302030 /nfs/dbraw/zinc/30/20/30/628302030.db2.gz PWHKTSAOHFNLJQ-ZJUUUORDSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCO[C@@H](C)C2)c1 ZINC000778374968 628302084 /nfs/dbraw/zinc/30/20/84/628302084.db2.gz WRAXQSYWTRREQO-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@@H](C)[C@@H](F)C2)c1[N+](=O)[O-] ZINC000778461389 628308729 /nfs/dbraw/zinc/30/87/29/628308729.db2.gz RNLQUERHYDJVCH-PELKAZGASA-N 0 0 298.289 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC=CCC3)sc2c1 ZINC000778591718 628318902 /nfs/dbraw/zinc/31/89/02/628318902.db2.gz NEXWRPZTJPLMTF-UHFFFAOYSA-N 0 0 261.306 2.971 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778779858 628331136 /nfs/dbraw/zinc/33/11/36/628331136.db2.gz DIDUNPUKNOMEQK-DDTOSNHZSA-N 0 0 293.319 2.932 20 5 CFBDRN CC[C@@H](C)C(=O)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778786259 628331633 /nfs/dbraw/zinc/33/16/33/628331633.db2.gz LAUQYTRFYMLFAA-RISCZKNCSA-N 0 0 292.335 2.763 20 5 CFBDRN CCOCCC(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778794933 628332116 /nfs/dbraw/zinc/33/21/16/628332116.db2.gz BUOJNYCWRBGLSW-LLVKDONJSA-N 0 0 281.308 2.934 20 5 CFBDRN COc1cc(COC(=O)C[C@@H](C)SC)ccc1[N+](=O)[O-] ZINC000778837227 628335530 /nfs/dbraw/zinc/33/55/30/628335530.db2.gz QCFDXQMMZCCRFO-SECBINFHSA-N 0 0 299.348 2.788 20 5 CFBDRN Cc1cc(C(=O)OCc2cccc([N+](=O)[O-])c2)ccc1O ZINC000778853454 628336873 /nfs/dbraw/zinc/33/68/73/628336873.db2.gz RTVACVSESVETLW-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN Cc1nn(Cc2ccc(OC(C)C)cc2)cc1[N+](=O)[O-] ZINC000779645090 628391899 /nfs/dbraw/zinc/39/18/99/628391899.db2.gz HLUWNOQPLBILGD-UHFFFAOYSA-N 0 0 275.308 2.935 20 5 CFBDRN Cc1nn(Cc2ccc(Cl)cc2[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000779645109 628391952 /nfs/dbraw/zinc/39/19/52/628391952.db2.gz IODHOFSEPQNTFQ-UHFFFAOYSA-N 0 0 296.670 2.710 20 5 CFBDRN Cc1cnc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c(C)c1 ZINC000779982975 628424221 /nfs/dbraw/zinc/42/42/21/628424221.db2.gz ZMBBVLSRXSXFAA-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CC/C(C)=C(/C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000780011376 628426377 /nfs/dbraw/zinc/42/63/77/628426377.db2.gz NKWMMGPTAAUYEP-QXMHVHEDSA-N 0 0 276.336 3.000 20 5 CFBDRN C[C@H](OC(=O)c1cc(O)cc([N+](=O)[O-])c1)c1cccnc1 ZINC000780075061 628430483 /nfs/dbraw/zinc/43/04/83/628430483.db2.gz MCMFUHKKPBRNGG-VIFPVBQESA-N 0 0 288.259 2.613 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2cc(O)cc([N+](=O)[O-])c2)o1 ZINC000780076517 628431056 /nfs/dbraw/zinc/43/10/56/628431056.db2.gz RBRIWARXOYLOBT-QMMMGPOBSA-N 0 0 292.247 2.515 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)OCc2ccccc2[N+](=O)[O-])CCO1 ZINC000780146754 628435191 /nfs/dbraw/zinc/43/51/91/628435191.db2.gz QYBMRSQYCBMLFL-AAEUAGOBSA-N 0 0 293.319 2.843 20 5 CFBDRN CCCC[C@H](CC)COC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000780320758 628454151 /nfs/dbraw/zinc/45/41/51/628454151.db2.gz JMRGPRPCSQVDTJ-JTQLQIEISA-N 0 0 283.328 2.702 20 5 CFBDRN O=C(O[C@H]1C=CCC1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 ZINC000780559444 628477217 /nfs/dbraw/zinc/47/72/17/628477217.db2.gz UZKQEDMGYHRTDQ-LBPRGKRZSA-N 0 0 299.286 2.656 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000780628586 628483350 /nfs/dbraw/zinc/48/33/50/628483350.db2.gz WUKOOFONIWJVLY-ZJUUUORDSA-N 0 0 260.297 2.843 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)C(C)(C)C ZINC000780635030 628483781 /nfs/dbraw/zinc/48/37/81/628483781.db2.gz GIJWELCILPUKDP-UHFFFAOYSA-N 0 0 281.308 2.871 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000781171231 628528912 /nfs/dbraw/zinc/52/89/12/628528912.db2.gz JPDMBWJIKDPSNZ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN COC[C@H](CNc1cc(C)c([N+](=O)[O-])cc1Cl)OC ZINC000781388684 628545148 /nfs/dbraw/zinc/54/51/48/628545148.db2.gz ZFHDRKYONUESCK-VIFPVBQESA-N 0 0 288.731 2.630 20 5 CFBDRN CCc1ccc(OC(=O)[C@@]2(C)CCCOC2)c([N+](=O)[O-])c1 ZINC000781460240 628549796 /nfs/dbraw/zinc/54/97/96/628549796.db2.gz ZLFXFLIFSYLEQJ-HNNXBMFYSA-N 0 0 293.319 2.879 20 5 CFBDRN COC1(C(=O)O[C@H](C)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000781621751 628567870 /nfs/dbraw/zinc/56/78/70/628567870.db2.gz DMADTALKWPCIEE-SNVBAGLBSA-N 0 0 279.292 2.768 20 5 CFBDRN COC1(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CCC1 ZINC000781644725 628568957 /nfs/dbraw/zinc/56/89/57/628568957.db2.gz UVKDYYNMMDYIMN-UHFFFAOYSA-N 0 0 284.699 2.756 20 5 CFBDRN CCC(C)(C)CCOC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000781666100 628571684 /nfs/dbraw/zinc/57/16/84/628571684.db2.gz IYZJLSIGYNXGJF-UHFFFAOYSA-N 0 0 281.312 2.555 20 5 CFBDRN O=C(OCC1CC1)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000781713210 628575272 /nfs/dbraw/zinc/57/52/72/628575272.db2.gz OAVPMVBBUZKRQB-UHFFFAOYSA-N 0 0 287.218 2.763 20 5 CFBDRN CC[C@H](C)OC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000781738010 628576813 /nfs/dbraw/zinc/57/68/13/628576813.db2.gz YTVGLNGDNCAYNX-VIFPVBQESA-N 0 0 296.279 2.777 20 5 CFBDRN COCc1cc(Oc2cc([N+](=O)[O-])ccc2C)ncn1 ZINC000782143786 628607277 /nfs/dbraw/zinc/60/72/77/628607277.db2.gz UBYDIVGAQVHPNH-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN Cc1cccc2c1C(=O)N[C@H](c1ccc([N+](=O)[O-])s1)N2 ZINC000782194118 628612940 /nfs/dbraw/zinc/61/29/40/628612940.db2.gz MSPBXCSMZOPGLR-GFCCVEGCSA-N 0 0 289.316 2.819 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@H]1CCO[C@@H](C)C1 ZINC000782215866 628615724 /nfs/dbraw/zinc/61/57/24/628615724.db2.gz WTGMJODWOTVSMB-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H](OC(=O)Cc1cncs1)c1cccc([N+](=O)[O-])c1 ZINC000782279555 628622934 /nfs/dbraw/zinc/62/29/34/628622934.db2.gz PWGMCSOTEFUDDT-SECBINFHSA-N 0 0 292.316 2.898 20 5 CFBDRN CCc1ccccc1COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000782295836 628625302 /nfs/dbraw/zinc/62/53/02/628625302.db2.gz NGPQUWXDDXEAIT-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN O=C(NOCc1ccc([N+](=O)[O-])cc1Cl)C1CCCC1 ZINC000782326609 628628516 /nfs/dbraw/zinc/62/85/16/628628516.db2.gz HXXCYQAZRFHVJI-UHFFFAOYSA-N 0 0 298.726 2.986 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000782338011 628630498 /nfs/dbraw/zinc/63/04/98/628630498.db2.gz INSCYAMEFPIGEQ-VOTSOKGWSA-N 0 0 290.250 2.819 20 5 CFBDRN Cc1c(COC(=O)Cc2cncs2)cccc1[N+](=O)[O-] ZINC000782354965 628631712 /nfs/dbraw/zinc/63/17/12/628631712.db2.gz RVNRELBUMTUFER-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(CCCO)CC2)s1 ZINC000782392079 628634610 /nfs/dbraw/zinc/63/46/10/628634610.db2.gz BGBQYTYVJNFZFM-UHFFFAOYSA-N 0 0 270.354 2.645 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@@H](C)[C@@H](C)O1 ZINC000782410201 628636382 /nfs/dbraw/zinc/63/63/82/628636382.db2.gz PGSINWIAYMJHDO-KXUCPTDWSA-N 0 0 295.295 2.505 20 5 CFBDRN CO[C@H](C)CCC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000782436529 628637815 /nfs/dbraw/zinc/63/78/15/628637815.db2.gz FKMDUHOGRNOADD-MRVPVSSYSA-N 0 0 273.310 2.515 20 5 CFBDRN COC(C)(C)CC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000782629908 628649877 /nfs/dbraw/zinc/64/98/77/628649877.db2.gz WAUMIYZVOXOQMX-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN CC(C)OCCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782727663 628657202 /nfs/dbraw/zinc/65/72/02/628657202.db2.gz WHIYZPBKGFTTQY-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@@H](Cc1ncc[nH]1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000782915931 628663408 /nfs/dbraw/zinc/66/34/08/628663408.db2.gz RIKHMMKUDFWGKF-VIFPVBQESA-N 0 0 279.271 2.605 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783008178 628669584 /nfs/dbraw/zinc/66/95/84/628669584.db2.gz TXKSHVPTKDOZOI-JTQLQIEISA-N 0 0 299.298 2.838 20 5 CFBDRN O=C(OC1CC1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 ZINC000783201493 628685175 /nfs/dbraw/zinc/68/51/75/628685175.db2.gz MMTCKIKCUAMUHJ-UHFFFAOYSA-N 0 0 290.319 2.904 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1CCCCS1 ZINC000783231890 628689867 /nfs/dbraw/zinc/68/98/67/628689867.db2.gz UMJOKZMSABWJSD-SECBINFHSA-N 0 0 287.362 2.985 20 5 CFBDRN CCC[C@H](O)CC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000783708982 628737227 /nfs/dbraw/zinc/73/72/27/628737227.db2.gz VMTMRWKDDZKIAE-MNOVXSKESA-N 0 0 281.308 2.750 20 5 CFBDRN CCc1nnc(CNc2ccc(F)c([N+](=O)[O-])c2)s1 ZINC000783877670 628753004 /nfs/dbraw/zinc/75/30/04/628753004.db2.gz NAZGJYONCGXGAJ-UHFFFAOYSA-N 0 0 282.300 2.760 20 5 CFBDRN CCOCCCNC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000783922422 628755651 /nfs/dbraw/zinc/75/56/51/628755651.db2.gz QMEOPGVLRYKUBQ-VAWYXSNFSA-N 0 0 292.335 2.541 20 5 CFBDRN CC(=CC(=O)Nc1ccncc1C)c1ccc([N+](=O)[O-])cc1 ZINC000783965789 628758112 /nfs/dbraw/zinc/75/81/12/628758112.db2.gz WQKVQMBEXXZSCN-PKNBQFBNSA-N 0 0 297.314 2.762 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000784017045 628763885 /nfs/dbraw/zinc/76/38/85/628763885.db2.gz IIZBDEXCOBITNJ-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](F)C(C)C ZINC000784024406 628765038 /nfs/dbraw/zinc/76/50/38/628765038.db2.gz NNVKDVXJVZJJHV-NSHDSACASA-N 0 0 254.261 2.836 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000784024797 628765654 /nfs/dbraw/zinc/76/56/54/628765654.db2.gz UCLMACJBKFKBQZ-HTQZYQBOSA-N 0 0 284.699 2.612 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000784024798 628765788 /nfs/dbraw/zinc/76/57/88/628765788.db2.gz UCLMACJBKFKBQZ-JGVFFNPUSA-N 0 0 284.699 2.612 20 5 CFBDRN C/C=C(/C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784040099 628767997 /nfs/dbraw/zinc/76/79/97/628767997.db2.gz OXTRGSOUYUBDKX-BAQGIRSFSA-N 0 0 253.229 2.743 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CO[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000784051360 628768951 /nfs/dbraw/zinc/76/89/51/628768951.db2.gz LTJBQKZRMFZZAU-JFGNBEQYSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CO1 ZINC000784084596 628773805 /nfs/dbraw/zinc/77/38/05/628773805.db2.gz YGJLCDZFXVBIFL-JGVFFNPUSA-N 0 0 284.699 2.612 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000784103984 628776527 /nfs/dbraw/zinc/77/65/27/628776527.db2.gz LVXWPAHBCSNDRP-GXFFZTMASA-N 0 0 297.282 2.735 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000784145356 628783184 /nfs/dbraw/zinc/78/31/84/628783184.db2.gz MHJHHJJSDFNFKO-JOYOIKCWSA-N 0 0 268.288 2.766 20 5 CFBDRN CO[C@@H]1Cc2ccc(Nc3ccc([N+](=O)[O-])cn3)cc2C1 ZINC000784193610 628789728 /nfs/dbraw/zinc/78/97/28/628789728.db2.gz ZXPQNVZLFCOXQR-CQSZACIVSA-N 0 0 285.303 2.847 20 5 CFBDRN CSC1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000784304281 628803081 /nfs/dbraw/zinc/80/30/81/628803081.db2.gz GPYZZGCHVXGZAG-UHFFFAOYSA-N 0 0 285.296 2.925 20 5 CFBDRN O=C(NC12CCC(CC1)C2)c1cc([N+](=O)[O-])ccc1F ZINC000784358265 628809473 /nfs/dbraw/zinc/80/94/73/628809473.db2.gz CDMHGXYEESWZDI-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN CO[C@H](C)CCNC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784638163 628833299 /nfs/dbraw/zinc/83/32/99/628833299.db2.gz JTSVCFXEDUOGIV-NLYDNYMLSA-N 0 0 292.335 2.539 20 5 CFBDRN COC[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000784683353 628836333 /nfs/dbraw/zinc/83/63/33/628836333.db2.gz AXMGITMPIGEQJF-LURJTMIESA-N 0 0 291.662 2.579 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1ccc(F)cc1[N+](=O)[O-] ZINC000784952894 628854984 /nfs/dbraw/zinc/85/49/84/628854984.db2.gz GRAZDTNLDGUIOB-NSHDSACASA-N 0 0 297.282 2.850 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)OCC1CC1)CC2 ZINC000785167930 628869282 /nfs/dbraw/zinc/86/92/82/628869282.db2.gz HJZDJPFZIMRJCF-UHFFFAOYSA-N 0 0 276.292 2.812 20 5 CFBDRN CCc1n[nH]cc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000785171149 628870057 /nfs/dbraw/zinc/87/00/57/628870057.db2.gz VTTJVTGPLKMHGC-UHFFFAOYSA-N 0 0 289.291 2.546 20 5 CFBDRN Cc1noc(CN2CCC[C@]3(CC3(F)F)C2)c1[N+](=O)[O-] ZINC000852214087 634692989 /nfs/dbraw/zinc/69/29/89/634692989.db2.gz SIISYWIDNRVIBF-NSHDSACASA-N 0 0 287.266 2.512 20 5 CFBDRN Cc1ccncc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000785883310 628919086 /nfs/dbraw/zinc/91/90/86/628919086.db2.gz INPZSMSEFHSYAG-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CC(C)(F)COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000785927664 628924110 /nfs/dbraw/zinc/92/41/10/628924110.db2.gz KVYFNBSLKNZDHI-UHFFFAOYSA-N 0 0 280.255 2.981 20 5 CFBDRN CC(C)(F)COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000785928922 628924486 /nfs/dbraw/zinc/92/44/86/628924486.db2.gz BXRUJMIOTVYTCN-UHFFFAOYSA-N 0 0 280.255 2.981 20 5 CFBDRN CC(=O)CCCOC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000785949331 628926242 /nfs/dbraw/zinc/92/62/42/628926242.db2.gz FOYOAYAEXAPZCO-UHFFFAOYSA-N 0 0 299.710 2.703 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CCCOCC1 ZINC000786374440 628952212 /nfs/dbraw/zinc/95/22/12/628952212.db2.gz MXNXIZZCERZWGL-VIFPVBQESA-N 0 0 299.710 2.970 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1CC12CCOCC2 ZINC000786436962 628956509 /nfs/dbraw/zinc/95/65/09/628956509.db2.gz BMOBOLRHFSDGHJ-SNVBAGLBSA-N 0 0 297.332 2.516 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCc1ccc(F)cn1 ZINC000787681810 629025528 /nfs/dbraw/zinc/02/55/28/629025528.db2.gz NIYXLJQWFSLQDQ-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN COC(C)(C)CCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000787702233 629027383 /nfs/dbraw/zinc/02/73/83/629027383.db2.gz BXODCXUBWKAZBZ-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2ccc(F)cn2)c1 ZINC000787725582 629029731 /nfs/dbraw/zinc/02/97/31/629029731.db2.gz WIASNUUCKICQBW-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC[C@@H](O)c1nccn1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000787904822 629042423 /nfs/dbraw/zinc/04/24/23/629042423.db2.gz DKETVOJLFRYLDR-GFCCVEGCSA-N 0 0 295.726 2.936 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)c2ccc([N+](=O)[O-])s2)O1 ZINC000787969981 629044833 /nfs/dbraw/zinc/04/48/33/629044833.db2.gz BADIGZKFBMITKK-QMMMGPOBSA-N 0 0 285.321 2.771 20 5 CFBDRN C[C@H]1CC(O)C[C@H](C)N1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000788465477 629071375 /nfs/dbraw/zinc/07/13/75/629071375.db2.gz VVSHOHGXPBOLJH-UWVGGRQHSA-N 0 0 298.770 2.982 20 5 CFBDRN C[C@](CO)(Nc1nc2ccccc2cc1[N+](=O)[O-])C1CC1 ZINC000788850175 629104362 /nfs/dbraw/zinc/10/43/62/629104362.db2.gz HWLYJDYZSNRZNM-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H](CCO)C1CC1 ZINC000788846177 629104626 /nfs/dbraw/zinc/10/46/26/629104626.db2.gz LPWBCVYYCSZUFA-ZDUSSCGKSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H]3CC[C@@H](O)C3)sc2c1 ZINC000788860057 629105010 /nfs/dbraw/zinc/10/50/10/629105010.db2.gz BPYUUFJNHGJBJZ-PSASIEDQSA-N 0 0 293.348 2.777 20 5 CFBDRN C[C@H](OC(=O)c1csc(N)n1)c1cccc([N+](=O)[O-])c1 ZINC000788964685 629111744 /nfs/dbraw/zinc/11/17/44/629111744.db2.gz NRRCOBOJCLIMFM-ZETCQYMHSA-N 0 0 293.304 2.552 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@H]1CC[C@H](C)O1 ZINC000789015701 629117083 /nfs/dbraw/zinc/11/70/83/629117083.db2.gz NHYRCYZQHJNSRP-WDEREUQCSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1cccc(C(=O)OCC2(F)CC2)c1[N+](=O)[O-] ZINC000789583289 629168378 /nfs/dbraw/zinc/16/83/78/629168378.db2.gz ZDUKYHJSWQWSAC-UHFFFAOYSA-N 0 0 253.229 2.562 20 5 CFBDRN COc1cc(C(=O)OCC2(F)CC2)cc([N+](=O)[O-])c1C ZINC000789594795 629170810 /nfs/dbraw/zinc/17/08/10/629170810.db2.gz DMCIQGVMCFWDJA-UHFFFAOYSA-N 0 0 283.255 2.571 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@H](C)C2CC2)c1 ZINC000789608227 629173116 /nfs/dbraw/zinc/17/31/16/629173116.db2.gz SHMWFPMPJYVSAT-VIFPVBQESA-N 0 0 279.292 2.806 20 5 CFBDRN CCn1cnnc1S/C=C/c1ccc([N+](=O)[O-])o1 ZINC000789892962 629195007 /nfs/dbraw/zinc/19/50/07/629195007.db2.gz MLNQZLWZMMLKCJ-AATRIKPKSA-N 0 0 266.282 2.562 20 5 CFBDRN Cc1cc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)ccn1 ZINC000790009166 629203242 /nfs/dbraw/zinc/20/32/42/629203242.db2.gz CALKIYKUIHFXBK-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN CCc1[nH]nc(C(=O)OCc2cccc(C)c2)c1[N+](=O)[O-] ZINC000790076079 629208730 /nfs/dbraw/zinc/20/87/30/629208730.db2.gz DRXBDIDAQPBCAQ-UHFFFAOYSA-N 0 0 289.291 2.546 20 5 CFBDRN CCOCOc1cc(F)c(Br)cc1[N+](=O)[O-] ZINC000790295846 629223206 /nfs/dbraw/zinc/22/32/06/629223206.db2.gz OAXMXNMIRGFZKS-UHFFFAOYSA-N 0 0 294.076 2.869 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1CC(C)(C)C1 ZINC000790279150 629225159 /nfs/dbraw/zinc/22/51/59/629225159.db2.gz DISWQYKVQPPCRM-UHFFFAOYSA-N 0 0 298.726 2.881 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1C[C@H](O)C(C)(C)C1 ZINC000790296718 629226184 /nfs/dbraw/zinc/22/61/84/629226184.db2.gz CJXDBJFKPJNSRU-NSHDSACASA-N 0 0 284.743 2.764 20 5 CFBDRN Cc1c(CN(C)c2ccc([N+](=O)[O-])cc2C)cnn1C ZINC000790296256 629226302 /nfs/dbraw/zinc/22/63/02/629226302.db2.gz FHENERPXEKFQFZ-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN Cc1cc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)ccn1 ZINC000790965909 629274562 /nfs/dbraw/zinc/27/45/62/629274562.db2.gz LYWXRGJEMDPAMN-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN C/C=C(/CC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000791015896 629281172 /nfs/dbraw/zinc/28/11/72/629281172.db2.gz KEMSEIXSGSKJBW-WCIBSUBMSA-N 0 0 262.309 2.876 20 5 CFBDRN CCCCCC[C@H](C)NC(=O)c1ccnc(N)c1[N+](=O)[O-] ZINC000791203720 629293098 /nfs/dbraw/zinc/29/30/98/629293098.db2.gz FEOGJFREABGDDR-JTQLQIEISA-N 0 0 294.355 2.661 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCc1ncccn1 ZINC000791446504 629316989 /nfs/dbraw/zinc/31/69/89/629316989.db2.gz KVDLIPYYDYLWGT-PKNBQFBNSA-N 0 0 299.286 2.532 20 5 CFBDRN CC(C)(C)CC(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791495607 629321272 /nfs/dbraw/zinc/32/12/72/629321272.db2.gz MCWWMRHXDODUAX-UHFFFAOYSA-N 0 0 296.279 2.982 20 5 CFBDRN CC(C)(C(=O)OCc1cccc([N+](=O)[O-])c1)C(F)F ZINC000791546137 629330157 /nfs/dbraw/zinc/33/01/57/629330157.db2.gz MBIWNVUQKBXRHD-UHFFFAOYSA-N 0 0 273.235 2.929 20 5 CFBDRN C[C@H]1C[C@H](C)N1CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000791571673 629335196 /nfs/dbraw/zinc/33/51/96/629335196.db2.gz AZYIKTLTUXPIPC-IUCAKERBSA-N 0 0 297.742 2.669 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)C1CC1 ZINC000791681943 629345557 /nfs/dbraw/zinc/34/55/57/629345557.db2.gz WFIFJJNDOFFSQC-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN O=C(/C=C/C1CC1)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791966695 629383965 /nfs/dbraw/zinc/38/39/65/629383965.db2.gz SCECSZUHOVRTCD-ZZXKWVIFSA-N 0 0 292.247 2.512 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000835104343 629409835 /nfs/dbraw/zinc/40/98/35/629409835.db2.gz SZRSECCOKLEPPO-CBAPKCEASA-N 0 0 271.219 2.854 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C(F)(F)F ZINC000835114298 629419087 /nfs/dbraw/zinc/41/90/87/629419087.db2.gz PSLZIPFFIXJYRM-NXEZZACHSA-N 0 0 289.209 2.836 20 5 CFBDRN CC(C)(CCC(=O)OCCc1ccsc1)[N+](=O)[O-] ZINC000835122506 629427833 /nfs/dbraw/zinc/42/78/33/629427833.db2.gz WRJLPXBLQOYKLO-UHFFFAOYSA-N 0 0 271.338 2.669 20 5 CFBDRN CC(C)(NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000792596242 629437239 /nfs/dbraw/zinc/43/72/39/629437239.db2.gz ZAGOJYRXDDYHIK-YVMONPNESA-N 0 0 284.262 2.768 20 5 CFBDRN COc1c(C(=O)Oc2ccc(F)cc2)cccc1[N+](=O)[O-] ZINC000792865971 629459366 /nfs/dbraw/zinc/45/93/66/629459366.db2.gz VMJDIKKBHMBFOV-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN CCC[C@H](OC)C(=O)Oc1ccc(CC)cc1[N+](=O)[O-] ZINC000835169744 629484379 /nfs/dbraw/zinc/48/43/79/629484379.db2.gz SEWIWXMXYIWKCT-ZDUSSCGKSA-N 0 0 281.308 2.878 20 5 CFBDRN O=C(OCCF)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000835174375 629489220 /nfs/dbraw/zinc/48/92/20/629489220.db2.gz JZGKVFVAZDYIAC-UHFFFAOYSA-N 0 0 281.161 2.740 20 5 CFBDRN CCc1ccc(OC(=O)C2(COC)CCC2)c([N+](=O)[O-])c1 ZINC000793155612 629493614 /nfs/dbraw/zinc/49/36/14/629493614.db2.gz IHPRPWDYKVJOLE-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN CNc1c(C(=O)OCC=C(C)C)cccc1[N+](=O)[O-] ZINC000793183803 629500742 /nfs/dbraw/zinc/50/07/42/629500742.db2.gz AMJURLPLNQVKKM-UHFFFAOYSA-N 0 0 264.281 2.760 20 5 CFBDRN CNc1c(C(=O)OCCCCC(C)=O)cccc1[N+](=O)[O-] ZINC000793184030 629500963 /nfs/dbraw/zinc/50/09/63/629500963.db2.gz OKCIMOIFAGIKAQ-UHFFFAOYSA-N 0 0 294.307 2.553 20 5 CFBDRN CNc1c(C(=O)O[C@@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000793190217 629501903 /nfs/dbraw/zinc/50/19/03/629501903.db2.gz LEWAMQBCLXNLOD-SECBINFHSA-N 0 0 262.265 2.512 20 5 CFBDRN COc1cc(C(=O)OCCC2CC2)c([N+](=O)[O-])cc1F ZINC000835188961 629505477 /nfs/dbraw/zinc/50/54/77/629505477.db2.gz RQFNPPNHVCQNRL-UHFFFAOYSA-N 0 0 283.255 2.699 20 5 CFBDRN CO[C@@]1(C)C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1(C)C ZINC000835239807 629553309 /nfs/dbraw/zinc/55/33/09/629553309.db2.gz LUTBRPHKZSVRNF-HZMBPMFUSA-N 0 0 287.356 2.569 20 5 CFBDRN C[C@H](NC(=O)C[C@H]1CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000793773743 629593527 /nfs/dbraw/zinc/59/35/27/629593527.db2.gz VURXPZDJRMEFBU-NWDGAFQWSA-N 0 0 292.335 2.589 20 5 CFBDRN O=C(NCC(F)(F)F)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000794157183 629617387 /nfs/dbraw/zinc/61/73/87/629617387.db2.gz DLLRYVLVTIXOGE-UHFFFAOYSA-N 0 0 297.620 2.932 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835320508 629625656 /nfs/dbraw/zinc/62/56/56/629625656.db2.gz VVSYWBLIOYRYOT-QWRGUYRKSA-N 0 0 257.330 2.657 20 5 CFBDRN CON(C[C@@H]1CCC[C@H](C)C1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000794323083 629636529 /nfs/dbraw/zinc/63/65/29/629636529.db2.gz WBNJTVYNRVKZHO-WDEREUQCSA-N 0 0 295.339 2.753 20 5 CFBDRN COCCc1cccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000835369092 629661810 /nfs/dbraw/zinc/66/18/10/629661810.db2.gz FNCLHRAPUKADIP-UHFFFAOYSA-N 0 0 295.335 2.616 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000794735745 629682160 /nfs/dbraw/zinc/68/21/60/629682160.db2.gz KEPWFTWIDIXPNE-YPMHNXCESA-N 0 0 278.308 2.657 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])n[nH]1)c1ccc2ccccc2c1 ZINC000794963743 629710744 /nfs/dbraw/zinc/71/07/44/629710744.db2.gz JAPHHLLIOKRABU-UHFFFAOYSA-N 0 0 296.286 2.748 20 5 CFBDRN C[C@@H](CC(C)(C)O)OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000835427496 629713526 /nfs/dbraw/zinc/71/35/26/629713526.db2.gz YDJZPSBRLALXRC-WDDYJBPVSA-N 0 0 293.319 2.701 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000795001161 629720837 /nfs/dbraw/zinc/72/08/37/629720837.db2.gz XEORIGFARFDNHQ-IUCAKERBSA-N 0 0 265.338 2.774 20 5 CFBDRN CC(C)(NC(=S)Nc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000795000858 629721380 /nfs/dbraw/zinc/72/13/80/629721380.db2.gz HVXBJPRPTHYXJM-UHFFFAOYSA-N 0 0 289.307 2.925 20 5 CFBDRN COc1cc(C(=O)OC2CC(C)C2)c([N+](=O)[O-])cc1F ZINC000835454186 629736873 /nfs/dbraw/zinc/73/68/73/629736873.db2.gz FCNVSBFAPUHNMJ-UHFFFAOYSA-N 0 0 283.255 2.698 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCc3cccnc3F)c21 ZINC000795225231 629747912 /nfs/dbraw/zinc/74/79/12/629747912.db2.gz ITRBPUORIKEKDM-UHFFFAOYSA-N 0 0 299.265 2.684 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1cccnc1F ZINC000795225152 629748064 /nfs/dbraw/zinc/74/80/64/629748064.db2.gz HIYPFWNSVMFMQR-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN COc1ccc([C@@H]2C[C@H]2Nc2cccnc2[N+](=O)[O-])cc1 ZINC000795259042 629753843 /nfs/dbraw/zinc/75/38/43/629753843.db2.gz RZIJGDYXNCOUEG-GXTWGEPZSA-N 0 0 285.303 2.966 20 5 CFBDRN Cc1ccc(COC(=O)[C@]2(C)CCCOC2)cc1[N+](=O)[O-] ZINC000835478335 629765518 /nfs/dbraw/zinc/76/55/18/629765518.db2.gz ATQDMQINWDHYNF-OAHLLOKOSA-N 0 0 293.319 2.763 20 5 CFBDRN CCc1nc(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)co1 ZINC000835479052 629767548 /nfs/dbraw/zinc/76/75/48/629767548.db2.gz FUKUXEVISZMULQ-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H]1CCC[C@@H](O)C1 ZINC000795372289 629768448 /nfs/dbraw/zinc/76/84/48/629768448.db2.gz URJKWBGLZNWDNW-DGCLKSJQSA-N 0 0 293.319 2.611 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000835491702 629781307 /nfs/dbraw/zinc/78/13/07/629781307.db2.gz KCTQEGBRTHZNBY-UHFFFAOYSA-N 0 0 271.219 2.797 20 5 CFBDRN COC(C)(C)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000835501549 629797927 /nfs/dbraw/zinc/79/79/27/629797927.db2.gz WQIGVHJPHZEKFW-UHFFFAOYSA-N 0 0 293.275 2.923 20 5 CFBDRN CCC[C@@H](COC(=O)CCc1cccc([N+](=O)[O-])c1)OC ZINC000835537324 629819715 /nfs/dbraw/zinc/81/97/15/629819715.db2.gz LHSTZZMWTSDIPH-AWEZNQCLSA-N 0 0 295.335 2.886 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OC/C=C\Cl ZINC000795711047 629825222 /nfs/dbraw/zinc/82/52/22/629825222.db2.gz NDBOQCLQHMHAJI-DAXSKMNVSA-N 0 0 285.683 2.903 20 5 CFBDRN CCC[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1OC)OC ZINC000835542748 629828594 /nfs/dbraw/zinc/82/85/94/629828594.db2.gz ZRHSDTICMAMBDY-NSHDSACASA-N 0 0 297.307 2.575 20 5 CFBDRN CCC[C@H](COC(=O)c1cccc(OC)c1[N+](=O)[O-])OC ZINC000835546098 629833276 /nfs/dbraw/zinc/83/32/76/629833276.db2.gz RIMJQWYCJUYKKG-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN O=C(C[C@H]1CCCOC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000795905533 629847808 /nfs/dbraw/zinc/84/78/08/629847808.db2.gz APZRWORCACCRLS-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000795992644 629859061 /nfs/dbraw/zinc/85/90/61/629859061.db2.gz RDQWQFXBFBBVOO-KCJUWKMLSA-N 0 0 287.337 2.761 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000795991077 629859111 /nfs/dbraw/zinc/85/91/11/629859111.db2.gz OQVGSZJKGUOMMD-MFKMUULPSA-N 0 0 281.308 2.699 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000795992648 629859302 /nfs/dbraw/zinc/85/93/02/629859302.db2.gz RDQWQFXBFBBVOO-LDYMZIIASA-N 0 0 287.337 2.761 20 5 CFBDRN Cc1c(COC(=O)CCC(F)F)cccc1[N+](=O)[O-] ZINC000796012036 629863169 /nfs/dbraw/zinc/86/31/69/629863169.db2.gz RQMYTPCUCDHCCG-UHFFFAOYSA-N 0 0 273.235 2.992 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000796009321 629863527 /nfs/dbraw/zinc/86/35/27/629863527.db2.gz RULAVQYQVSNOAG-ZANVPECISA-N 0 0 299.298 2.838 20 5 CFBDRN O=C(CCC(F)F)OCc1csc([N+](=O)[O-])c1 ZINC000796025460 629865279 /nfs/dbraw/zinc/86/52/79/629865279.db2.gz URNHHSKCWWZQAW-UHFFFAOYSA-N 0 0 265.237 2.745 20 5 CFBDRN CCC(CC)OC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796308997 629901636 /nfs/dbraw/zinc/90/16/36/629901636.db2.gz MKGWNOVGYKLWEN-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000796321142 629903829 /nfs/dbraw/zinc/90/38/29/629903829.db2.gz GWQSTFJJKPTBSG-DGCLKSJQSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[N@@H+]1CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)[C@H](C)C1 ZINC000796324128 629904234 /nfs/dbraw/zinc/90/42/34/629904234.db2.gz PZZFJNUMEKIAJY-LLVKDONJSA-N 0 0 297.786 2.774 20 5 CFBDRN CCC[C@@](C)(CC)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000796326797 629904682 /nfs/dbraw/zinc/90/46/82/629904682.db2.gz SBPBCKRVORUFSW-CYBMUJFWSA-N 0 0 283.328 2.551 20 5 CFBDRN CC[C@H](C)[C@@H](COC(=O)Cc1ccccc1[N+](=O)[O-])OC ZINC000835642491 629912020 /nfs/dbraw/zinc/91/20/20/629912020.db2.gz LGFSILXHHJGXSS-SMDDNHRTSA-N 0 0 295.335 2.742 20 5 CFBDRN CCCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796443782 629918220 /nfs/dbraw/zinc/91/82/20/629918220.db2.gz JUBUICIGTJETBT-UHFFFAOYSA-N 0 0 251.282 2.826 20 5 CFBDRN COc1cc(C(=O)OCC[C@H](C)SC)ccc1[N+](=O)[O-] ZINC000835664672 629927212 /nfs/dbraw/zinc/92/72/12/629927212.db2.gz JPVYARHEYDXOAF-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN CSC[C@@H](C)COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835668613 629930105 /nfs/dbraw/zinc/93/01/05/629930105.db2.gz QAZCTKTWUIGOCS-VIFPVBQESA-N 0 0 269.322 2.751 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000796534440 629931150 /nfs/dbraw/zinc/93/11/50/629931150.db2.gz UBJMPVHKRKCFBG-QPUJVOFHSA-N 0 0 284.287 2.734 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000796532789 629931373 /nfs/dbraw/zinc/93/13/73/629931373.db2.gz GIFJKIXTLVEACV-PRHODGIISA-N 0 0 284.287 2.734 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@H](C)CSC)c1 ZINC000835673937 629935907 /nfs/dbraw/zinc/93/59/07/629935907.db2.gz WAGQPYBJTZVZOH-VIFPVBQESA-N 0 0 299.348 2.759 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000796775470 629963978 /nfs/dbraw/zinc/96/39/78/629963978.db2.gz CTCXYFLFVUHDAT-PELKAZGASA-N 0 0 285.271 2.700 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000796899249 629986544 /nfs/dbraw/zinc/98/65/44/629986544.db2.gz JEAYERFAMAWXRQ-ZANVPECISA-N 0 0 297.307 2.570 20 5 CFBDRN CO[C@H](C)CCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796936584 629991744 /nfs/dbraw/zinc/99/17/44/629991744.db2.gz XZZLCTDCRVSNMF-LLVKDONJSA-N 0 0 295.335 2.841 20 5 CFBDRN O=C(COC(F)F)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000797035588 630006568 /nfs/dbraw/zinc/00/65/68/630006568.db2.gz DZENKYOJLXJSAC-UHFFFAOYSA-N 0 0 295.625 2.531 20 5 CFBDRN Cc1c(CC(=O)OC[C@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000797087334 630015140 /nfs/dbraw/zinc/01/51/40/630015140.db2.gz GIQFPLPBDAYXDW-GFCCVEGCSA-N 0 0 295.360 2.885 20 5 CFBDRN C/C=C/COC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000797138185 630025644 /nfs/dbraw/zinc/02/56/44/630025644.db2.gz UCVLOXBZMAGFJL-NSCUHMNNSA-N 0 0 267.306 2.806 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCC(C)(F)F ZINC000797218511 630042250 /nfs/dbraw/zinc/04/22/50/630042250.db2.gz QIWMKPSVJZQVPS-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN C[C@H]1COCC[C@H]1OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000835789135 630047289 /nfs/dbraw/zinc/04/72/89/630047289.db2.gz UVMNQQVFVSMIFX-QPUJVOFHSA-N 0 0 299.710 2.830 20 5 CFBDRN CCn1cnnc1SCc1ccc([N+](=O)[O-])cc1OC ZINC000797406494 630062084 /nfs/dbraw/zinc/06/20/84/630062084.db2.gz BXJCNTPJFLDAOM-UHFFFAOYSA-N 0 0 294.336 2.507 20 5 CFBDRN CC(C)(CCC(=O)OCC1=CCCCC1)[N+](=O)[O-] ZINC000835814938 630080875 /nfs/dbraw/zinc/08/08/75/630080875.db2.gz AWICPWAPAMWOKK-UHFFFAOYSA-N 0 0 255.314 2.866 20 5 CFBDRN C/C(=C/Cl)CSCCn1cc([N+](=O)[O-])cn1 ZINC000797808851 630106909 /nfs/dbraw/zinc/10/69/09/630106909.db2.gz RMEDLXFQDYJERT-YWEYNIOJSA-N 0 0 261.734 2.667 20 5 CFBDRN CCc1ccnc(CNc2ccc([N+](=O)[O-])c3nonc32)c1 ZINC000797838910 630111295 /nfs/dbraw/zinc/11/12/95/630111295.db2.gz MOLGBOUJPSUZGM-UHFFFAOYSA-N 0 0 299.290 2.701 20 5 CFBDRN CC[C@](C)(COC(=O)c1ccc([N+](=O)[O-])cc1C)OC ZINC000835960867 630183205 /nfs/dbraw/zinc/18/32/05/630183205.db2.gz JBLJRUIAOJMVID-CQSZACIVSA-N 0 0 281.308 2.875 20 5 CFBDRN C[C@@H]1CCN1c1ccc([N+](=O)[O-])cc1Br ZINC000798389140 630185326 /nfs/dbraw/zinc/18/53/26/630185326.db2.gz TWMHGFBJAMSEIL-SSDOTTSWSA-N 0 0 271.114 2.956 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cccc([N+](=O)[O-])c1OC)OC ZINC000835962080 630185964 /nfs/dbraw/zinc/18/59/64/630185964.db2.gz HZAXZBVLVBAPGU-AWEZNQCLSA-N 0 0 297.307 2.575 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@H](c2ccccn2)C1 ZINC000798720301 630234758 /nfs/dbraw/zinc/23/47/58/630234758.db2.gz MJJBKQSMOSKJNT-ZDUSSCGKSA-N 0 0 297.314 2.620 20 5 CFBDRN CCC(CC)COC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000798892885 630256175 /nfs/dbraw/zinc/25/61/75/630256175.db2.gz JHGVNGXWNDCWLL-UHFFFAOYSA-N 0 0 297.355 2.778 20 5 CFBDRN Cn1c(C(=O)O[C@@H]2CCCC2(C)C)ccc1[N+](=O)[O-] ZINC000799461680 630311024 /nfs/dbraw/zinc/31/10/24/630311024.db2.gz AFXDKDHQJKOFDJ-SNVBAGLBSA-N 0 0 266.297 2.669 20 5 CFBDRN Cc1cc(OC[C@@H](O)c2ccc(F)cn2)ccc1[N+](=O)[O-] ZINC000799618010 630324895 /nfs/dbraw/zinc/32/48/95/630324895.db2.gz YTCBZHXEVMABQY-CQSZACIVSA-N 0 0 292.266 2.550 20 5 CFBDRN CC(C)CC1(COC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000799662297 630329133 /nfs/dbraw/zinc/32/91/33/630329133.db2.gz IPBCAKTVFTUGOY-UHFFFAOYSA-N 0 0 280.324 2.916 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC000799967926 630357215 /nfs/dbraw/zinc/35/72/15/630357215.db2.gz QCENMHVJPIKILX-LLVKDONJSA-N 0 0 292.726 2.561 20 5 CFBDRN Cc1cc(C)nc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000800817949 630408167 /nfs/dbraw/zinc/40/81/67/630408167.db2.gz AFQHSUWGEDCCKO-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CC[C@@H](C)NC(=S)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000800829100 630409325 /nfs/dbraw/zinc/40/93/25/630409325.db2.gz WZPVGOSJYHTCBF-LLVKDONJSA-N 0 0 295.408 2.742 20 5 CFBDRN CCCCCC[C@@H](C)NC(=O)Cn1cc(C)c([N+](=O)[O-])n1 ZINC000800870296 630413304 /nfs/dbraw/zinc/41/33/04/630413304.db2.gz OVLQJTWBSDXHKP-GFCCVEGCSA-N 0 0 296.371 2.575 20 5 CFBDRN Cc1cc(C)cc([C@@H](O)CNc2cccnc2[N+](=O)[O-])c1 ZINC000800885202 630414337 /nfs/dbraw/zinc/41/43/37/630414337.db2.gz XAHPXXGGIIOZKH-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1nccn1C1CC1 ZINC000839934353 630442783 /nfs/dbraw/zinc/44/27/83/630442783.db2.gz FZBISGMPQGHLSS-UHFFFAOYSA-N 0 0 286.291 2.687 20 5 CFBDRN CC(C)CO[C@@H]1CCN(Cc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000801520634 630452525 /nfs/dbraw/zinc/45/25/25/630452525.db2.gz IMEKZGLISIIGAX-CYBMUJFWSA-N 0 0 296.342 2.981 20 5 CFBDRN C[C@H]1CCN(Cc2ccc([N+](=O)[O-])c(F)c2)C[C@H]1F ZINC000801537573 630454951 /nfs/dbraw/zinc/45/49/51/630454951.db2.gz VIHIGKOHKCKECM-JOYOIKCWSA-N 0 0 270.279 2.914 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCO[C@@H](C(F)F)C1 ZINC000801562477 630457981 /nfs/dbraw/zinc/45/79/81/630457981.db2.gz ZSAGHNQEJJREBP-JOYOIKCWSA-N 0 0 286.278 2.622 20 5 CFBDRN CCC/C=C\C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000801568773 630459685 /nfs/dbraw/zinc/45/96/85/630459685.db2.gz WEEUGANRSCSRQB-UITAMQMPSA-N 0 0 276.336 2.952 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)CC(F)(F)C(F)F ZINC000801601658 630462001 /nfs/dbraw/zinc/46/20/01/630462001.db2.gz JTIFACAGABJMLH-UHFFFAOYSA-N 0 0 294.248 2.970 20 5 CFBDRN CCO[C@@H](C)C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000103675932 630525991 /nfs/dbraw/zinc/52/59/91/630525991.db2.gz MNIULBWNKHNYJZ-UWVGGRQHSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1c(C(=O)OCCCCF)cccc1[N+](=O)[O-] ZINC000803232030 630592935 /nfs/dbraw/zinc/59/29/35/630592935.db2.gz LUAWBPRIQBYCSZ-UHFFFAOYSA-N 0 0 255.245 2.810 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)C1CC=CC1 ZINC000803362450 630607035 /nfs/dbraw/zinc/60/70/35/630607035.db2.gz GAPOYGUVGXPHSG-UHFFFAOYSA-N 0 0 283.230 2.882 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803453599 630619330 /nfs/dbraw/zinc/61/93/30/630619330.db2.gz NEHIWUDREHRUGH-LLVKDONJSA-N 0 0 291.225 2.910 20 5 CFBDRN O=C(COC(F)F)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000803731026 630637004 /nfs/dbraw/zinc/63/70/04/630637004.db2.gz OKOAVJOTINDEST-UHFFFAOYSA-N 0 0 295.625 2.531 20 5 CFBDRN CCc1[nH]ccc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000803777741 630642071 /nfs/dbraw/zinc/64/20/71/630642071.db2.gz NKBLACQHPQNYRP-UHFFFAOYSA-N 0 0 292.266 2.981 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@H]2C[C@H]21 ZINC000804294417 630668506 /nfs/dbraw/zinc/66/85/06/630668506.db2.gz BKDYBGAFSPVLOH-GZMMTYOYSA-N 0 0 280.711 2.873 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1Nc1cccc(CO)c1 ZINC000804327216 630672536 /nfs/dbraw/zinc/67/25/36/630672536.db2.gz LYHAQZTWIADMQL-UHFFFAOYSA-N 0 0 289.247 2.739 20 5 CFBDRN CCOCCCCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804359213 630674940 /nfs/dbraw/zinc/67/49/40/630674940.db2.gz JMNYFNZPEZCLAU-UHFFFAOYSA-N 0 0 283.284 2.732 20 5 CFBDRN Nc1ccc(N2CCC[C@H]2c2ccccn2)c([N+](=O)[O-])c1 ZINC000804372547 630676780 /nfs/dbraw/zinc/67/67/80/630676780.db2.gz NTYYHFQHPIATSS-ZDUSSCGKSA-N 0 0 284.319 2.914 20 5 CFBDRN CSc1cnc(Oc2cc(C=O)ccc2[N+](=O)[O-])nc1 ZINC000804398667 630679692 /nfs/dbraw/zinc/67/96/92/630679692.db2.gz PKUNTGXBVUAZGF-UHFFFAOYSA-N 0 0 291.288 2.712 20 5 CFBDRN Nc1ccc(N[C@H]2CCc3c2cccc3O)c([N+](=O)[O-])c1 ZINC000804523369 630689319 /nfs/dbraw/zinc/68/93/19/630689319.db2.gz QUVLQCIHIZMNTF-LBPRGKRZSA-N 0 0 285.303 2.982 20 5 CFBDRN Nc1ccc(N[C@@H]2CCc3c2cccc3O)c([N+](=O)[O-])c1 ZINC000804523368 630689346 /nfs/dbraw/zinc/68/93/46/630689346.db2.gz QUVLQCIHIZMNTF-GFCCVEGCSA-N 0 0 285.303 2.982 20 5 CFBDRN CCCCCOC1CN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000804538449 630691416 /nfs/dbraw/zinc/69/14/16/630691416.db2.gz QEUXLBCAJWTUCG-UHFFFAOYSA-N 0 0 279.340 2.572 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000836131644 630749005 /nfs/dbraw/zinc/74/90/05/630749005.db2.gz GIKLNBHDIQJZJU-BETUJISGSA-N 0 0 297.282 2.847 20 5 CFBDRN Cc1cnccc1CCNc1ccc(N)cc1[N+](=O)[O-] ZINC000804574501 630757137 /nfs/dbraw/zinc/75/71/37/630757137.db2.gz FKEIKXJYNZSICF-UHFFFAOYSA-N 0 0 272.308 2.535 20 5 CFBDRN Nc1ccc(N2CC=C(C(F)(F)F)CC2)c([N+](=O)[O-])c1 ZINC000804578686 630757174 /nfs/dbraw/zinc/75/71/74/630757174.db2.gz IZLPTBIYYVTCSX-UHFFFAOYSA-N 0 0 287.241 2.876 20 5 CFBDRN C[C@H]1C[C@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000804589200 630762011 /nfs/dbraw/zinc/76/20/11/630762011.db2.gz IAHPRPSSFLSPKA-VHSXEESVSA-N 0 0 295.295 2.730 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCC[C@@H]1CCCCO1 ZINC000804605189 630763797 /nfs/dbraw/zinc/76/37/97/630763797.db2.gz NLCKRJXWLZZKLS-JTQLQIEISA-N 0 0 295.295 2.874 20 5 CFBDRN CC1(C)CC[C@H](CNc2ccc(N)cc2[N+](=O)[O-])O1 ZINC000804625494 630766922 /nfs/dbraw/zinc/76/69/22/630766922.db2.gz SGRRDWWFUCTCTD-SNVBAGLBSA-N 0 0 265.313 2.547 20 5 CFBDRN O=C(NOC1CCC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000836203638 630771213 /nfs/dbraw/zinc/77/12/13/630771213.db2.gz RSGLZDFXWHBBDU-UHFFFAOYSA-N 0 0 285.687 2.854 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nccs2)c([N+](=O)[O-])c1 ZINC000040437330 649984383 /nfs/dbraw/zinc/98/43/83/649984383.db2.gz OJXFFQDNKNUZHM-UHFFFAOYSA-N 0 0 280.265 2.572 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)NOC1CCC1 ZINC000836356216 630800418 /nfs/dbraw/zinc/80/04/18/630800418.db2.gz FAODSGNYXPVROW-UHFFFAOYSA-N 0 0 299.714 2.532 20 5 CFBDRN CC/C=C/CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000836812367 630889780 /nfs/dbraw/zinc/88/97/80/630889780.db2.gz PBHCNHLBKYYOPT-SNAWJCMRSA-N 0 0 266.272 2.738 20 5 CFBDRN Cc1ccoc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000016415943 630904472 /nfs/dbraw/zinc/90/44/72/630904472.db2.gz AYNRZPDLFWKVRN-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN CC[C@@H](CCO)CNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807993954 630933938 /nfs/dbraw/zinc/93/39/38/630933938.db2.gz JKQUZGLCLWPZIX-NSHDSACASA-N 0 0 280.324 2.618 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1ccc(F)cn1 ZINC000807996200 630934509 /nfs/dbraw/zinc/93/45/09/630934509.db2.gz VWMWRYNNCYXUDU-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCC[C@H](C)CO ZINC000808007853 630940940 /nfs/dbraw/zinc/94/09/40/630940940.db2.gz HXGOMPWILURLDH-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cnnn2-c2ccccc2)cc1 ZINC000808012230 630941565 /nfs/dbraw/zinc/94/15/65/630941565.db2.gz HWMXTNNPBAMGAV-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1CCO[C@@H](C)C1 ZINC000808011391 630941621 /nfs/dbraw/zinc/94/16/21/630941621.db2.gz NDQLMCQDJKQJQI-GXSJLCMTSA-N 0 0 278.308 2.777 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CCO[C@@H](C)C1 ZINC000808011395 630941784 /nfs/dbraw/zinc/94/17/84/630941784.db2.gz NDQLMCQDJKQJQI-ONGXEEELSA-N 0 0 278.308 2.777 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ocnc1C1CC1 ZINC000808012227 630941785 /nfs/dbraw/zinc/94/17/85/630941785.db2.gz MAMAKGRMRSSSKT-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CCC[C@H]1CCO ZINC000808022549 630943804 /nfs/dbraw/zinc/94/38/04/630943804.db2.gz LHCKRZRHBPHAII-FZMZJTMJSA-N 0 0 292.335 2.760 20 5 CFBDRN Cn1ccc(COc2ccc(Cl)c([N+](=O)[O-])c2)cc1=O ZINC000808059054 630946287 /nfs/dbraw/zinc/94/62/87/630946287.db2.gz KBZWJIWFPHKVSK-UHFFFAOYSA-N 0 0 294.694 2.526 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@@H](C3CC3)C2)nc2ccccn21 ZINC000808125186 630952728 /nfs/dbraw/zinc/95/27/28/630952728.db2.gz MPHJOXKYUGGJFC-GFCCVEGCSA-N 0 0 286.335 2.869 20 5 CFBDRN NC(=NOCCc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000808249356 630971401 /nfs/dbraw/zinc/97/14/01/630971401.db2.gz CDYJHOGNEXLTAS-UHFFFAOYSA-N 0 0 277.324 2.826 20 5 CFBDRN Nc1ccc(OCCc2ccccc2F)cc1[N+](=O)[O-] ZINC000808256006 630972425 /nfs/dbraw/zinc/97/24/25/630972425.db2.gz JFIQMQVFMLQAPE-UHFFFAOYSA-N 0 0 276.267 2.938 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)C1=CCOCC1 ZINC000808358721 630981579 /nfs/dbraw/zinc/98/15/79/630981579.db2.gz UTPOBXAUVDKDFH-UHFFFAOYSA-N 0 0 282.683 2.533 20 5 CFBDRN CC(C)=CC(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000808478859 630999999 /nfs/dbraw/zinc/99/99/99/630999999.db2.gz BSVSBXMYRLCKJB-UHFFFAOYSA-N 0 0 287.319 2.701 20 5 CFBDRN COC1(COC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCC1 ZINC000837342765 631007484 /nfs/dbraw/zinc/00/74/84/631007484.db2.gz AWKKETWZXXHWSQ-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1ocnc1C1CC1 ZINC000808592796 631017029 /nfs/dbraw/zinc/01/70/29/631017029.db2.gz ZXQUAJCAFPVPJC-UHFFFAOYSA-N 0 0 292.222 2.819 20 5 CFBDRN CCOC1CC(C(=O)Oc2c(C)cccc2[N+](=O)[O-])C1 ZINC000808644215 631022151 /nfs/dbraw/zinc/02/21/51/631022151.db2.gz IOYAEJYUJLBGJH-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1sc(C(=O)OCCc2cscn2)cc1[N+](=O)[O-] ZINC000837411897 631023755 /nfs/dbraw/zinc/02/37/55/631023755.db2.gz JHJWVOGUJNGWAE-UHFFFAOYSA-N 0 0 298.345 2.821 20 5 CFBDRN Cc1nn(CN(C)Cc2ccc(C)c(C)c2)cc1[N+](=O)[O-] ZINC000840147409 631054053 /nfs/dbraw/zinc/05/40/53/631054053.db2.gz BDUMKMFVKBYDMJ-UHFFFAOYSA-N 0 0 288.351 2.806 20 5 CFBDRN Cc1nn(CN2CC[C@H](CC(C)(C)C)C2)cc1[N+](=O)[O-] ZINC000840150090 631057986 /nfs/dbraw/zinc/05/79/86/631057986.db2.gz OVZRPXGDMJZEFJ-GFCCVEGCSA-N 0 0 280.372 2.815 20 5 CFBDRN CC(=O)CCCCCOC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000837582388 631075767 /nfs/dbraw/zinc/07/57/67/631075767.db2.gz GZXBQCVMNKMQJP-UHFFFAOYSA-N 0 0 295.291 2.607 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000809106687 631078836 /nfs/dbraw/zinc/07/88/36/631078836.db2.gz OZTZWLRHVQRGBQ-DTORHVGOSA-N 0 0 285.683 2.582 20 5 CFBDRN CC1(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000809126558 631086898 /nfs/dbraw/zinc/08/68/98/631086898.db2.gz RYVSIYGIDODRHR-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN CCOC(COc1cccc(C)c1[N+](=O)[O-])OCC ZINC000104350129 631094157 /nfs/dbraw/zinc/09/41/57/631094157.db2.gz JLNJGGLMGIOSAZ-UHFFFAOYSA-N 0 0 269.297 2.681 20 5 CFBDRN O=C1OCc2ccc(NCc3ccccc3[N+](=O)[O-])cc21 ZINC000809195641 631095650 /nfs/dbraw/zinc/09/56/50/631095650.db2.gz IATDYTPMMWJKJP-UHFFFAOYSA-N 0 0 284.271 2.877 20 5 CFBDRN Cc1nn(CN2Cc3cccc(F)c3C2)c(C)c1[N+](=O)[O-] ZINC000840162971 631095819 /nfs/dbraw/zinc/09/58/19/631095819.db2.gz ZACANPIICATPHE-UHFFFAOYSA-N 0 0 290.298 2.521 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000837735688 631119120 /nfs/dbraw/zinc/11/91/20/631119120.db2.gz PESHQNPQIOXIEG-AOOOYVTPSA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(CSC1CC1)OCc1ccccc1[N+](=O)[O-] ZINC000837872017 631146143 /nfs/dbraw/zinc/14/61/43/631146143.db2.gz ZMXDWYATWLWYPE-UHFFFAOYSA-N 0 0 267.306 2.534 20 5 CFBDRN C/C=C\COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840657336 631173581 /nfs/dbraw/zinc/17/35/81/631173581.db2.gz QGZXTLOBKGXQPX-GPRRCUJPSA-N 0 0 261.277 2.818 20 5 CFBDRN O=C(OCCc1ccc2ccccc2c1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840671278 631174150 /nfs/dbraw/zinc/17/41/50/631174150.db2.gz TYFHKSQWHIRVLS-HUUCEWRRSA-N 0 0 285.299 2.591 20 5 CFBDRN C[C@@H]1CN(c2ccc(C(F)F)cc2[N+](=O)[O-])CCO1 ZINC000809345476 631217548 /nfs/dbraw/zinc/21/75/48/631217548.db2.gz BOWALWATVIACQU-MRVPVSSYSA-N 0 0 272.251 2.758 20 5 CFBDRN CCC[C@H](C)c1noc(-c2nn(CC)cc2[N+](=O)[O-])n1 ZINC000809361400 631219262 /nfs/dbraw/zinc/21/92/62/631219262.db2.gz PWQAVRYAXIAUKZ-QMMMGPOBSA-N 0 0 279.300 2.765 20 5 CFBDRN COCC[C@H](C)CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840852300 631242423 /nfs/dbraw/zinc/24/24/23/631242423.db2.gz GMNWJJJFYDYOLA-JTQLQIEISA-N 0 0 297.380 2.554 20 5 CFBDRN O=C(Nc1cc(Cl)ccc1Cl)[C@@H]1CC1[N+](=O)[O-] ZINC000841000140 631299038 /nfs/dbraw/zinc/29/90/38/631299038.db2.gz ZSEVEIKSHSGZLE-HZGVNTEJSA-N 0 0 275.091 2.597 20 5 CFBDRN O=C(Nc1cn[nH]c1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000809913190 631299870 /nfs/dbraw/zinc/29/98/70/631299870.db2.gz YGXMGRJCJBWKHH-UHFFFAOYSA-N 0 0 281.659 2.615 20 5 CFBDRN CCCSc1ccc(COC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000841180898 631354001 /nfs/dbraw/zinc/35/40/01/631354001.db2.gz BPJWXBZSHHLXKD-CHWSQXEVSA-N 0 0 295.360 2.897 20 5 CFBDRN CC(C)(C)N(Cc1ccc(F)cc1)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841188050 631355280 /nfs/dbraw/zinc/35/52/80/631355280.db2.gz LVHZEKCZKZPQKF-CHWSQXEVSA-N 0 0 294.326 2.618 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CC2[N+](=O)[O-])onc1C1CCCCC1 ZINC000841238813 631366723 /nfs/dbraw/zinc/36/67/23/631366723.db2.gz YLUAKRNGMUSFTK-GHMZBOCLSA-N 0 0 293.323 2.634 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCCSC1 ZINC000810537365 631383384 /nfs/dbraw/zinc/38/33/84/631383384.db2.gz XTVRAGMVQJYIRI-SNVBAGLBSA-N 0 0 281.333 2.952 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@@H]1CCCSC1 ZINC000810537899 631383830 /nfs/dbraw/zinc/38/38/30/631383830.db2.gz LSOZDQSRVMKKIE-MRVPVSSYSA-N 0 0 284.312 2.816 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCCSC1 ZINC000810537363 631383852 /nfs/dbraw/zinc/38/38/52/631383852.db2.gz XTVRAGMVQJYIRI-JTQLQIEISA-N 0 0 281.333 2.952 20 5 CFBDRN CO[C@@H](COc1cc(C=O)ccc1[N+](=O)[O-])CC(C)C ZINC000841668975 631447995 /nfs/dbraw/zinc/44/79/95/631447995.db2.gz RUJRFFVFPJGYLO-GFCCVEGCSA-N 0 0 281.308 2.847 20 5 CFBDRN CC[C@H]1CCCC[C@H]1OC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000121515097 631457170 /nfs/dbraw/zinc/45/71/70/631457170.db2.gz GNJJPKICFGGAMQ-WCQYABFASA-N 0 0 295.339 2.693 20 5 CFBDRN CCC[C@](C)(O)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000841732946 631461740 /nfs/dbraw/zinc/46/17/40/631461740.db2.gz QJDCTZWFMWHASI-ZDUSSCGKSA-N 0 0 277.280 2.652 20 5 CFBDRN Cc1ncccc1COC(=O)c1ccccc1[N+](=O)[O-] ZINC000810883918 631467537 /nfs/dbraw/zinc/46/75/37/631467537.db2.gz SYMWCQOMFQFYTE-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)O[C@@H]1CCCC[C@H]1F ZINC000810891775 631471019 /nfs/dbraw/zinc/47/10/19/631471019.db2.gz XCVQRLXXFXSZEP-CHWSQXEVSA-N 0 0 281.283 2.961 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2F)C1=O ZINC000840216842 631479139 /nfs/dbraw/zinc/47/91/39/631479139.db2.gz UZQSHSVVNCNLOR-LLVKDONJSA-N 0 0 295.266 2.648 20 5 CFBDRN Nc1ccc(OCC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000842026989 631504405 /nfs/dbraw/zinc/50/44/05/631504405.db2.gz VXLAQUDCNJHBEP-UHFFFAOYSA-N 0 0 258.224 2.601 20 5 CFBDRN Nc1ccc(C(=O)O[C@H]2CCCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000811056409 631506934 /nfs/dbraw/zinc/50/69/34/631506934.db2.gz DISYGSLHYJEGPB-CABZTGNLSA-N 0 0 282.271 2.615 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])CC(C)C ZINC000842042789 631510910 /nfs/dbraw/zinc/51/09/10/631510910.db2.gz CTDLKJPIBNKONY-LLVKDONJSA-N 0 0 297.311 2.976 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2nc3ccc([N+](=O)[O-])cc3s2)C1 ZINC000842045790 631512387 /nfs/dbraw/zinc/51/23/87/631512387.db2.gz JNDXNKWTQLHOEJ-OGXFKERWSA-N 0 0 279.321 2.530 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c2nonc21)CC(C)C ZINC000842045822 631512566 /nfs/dbraw/zinc/51/25/66/631512566.db2.gz DWBCGIFPDSDZNX-SECBINFHSA-N 0 0 294.311 2.604 20 5 CFBDRN CC[C@H](COC(=O)c1ccc(SC)c([N+](=O)[O-])c1)OC ZINC000842053668 631514911 /nfs/dbraw/zinc/51/49/11/631514911.db2.gz YKDJPIKDRWMLOY-SNVBAGLBSA-N 0 0 299.348 2.899 20 5 CFBDRN O=C(OCCOCC1CCC1)c1ccc([N+](=O)[O-])s1 ZINC000842053603 631515244 /nfs/dbraw/zinc/51/52/44/631515244.db2.gz WSYCORQBCBKKLO-UHFFFAOYSA-N 0 0 285.321 2.630 20 5 CFBDRN CC[C@@H](COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)OC ZINC000842055316 631516071 /nfs/dbraw/zinc/51/60/71/631516071.db2.gz IBEGILAEOFDTDZ-VIFPVBQESA-N 0 0 287.699 2.830 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000811114769 631518677 /nfs/dbraw/zinc/51/86/77/631518677.db2.gz LGZHLOGKCUGJQF-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN Cc1cc(C(=O)OCCc2cncs2)ccc1[N+](=O)[O-] ZINC000811123164 631521110 /nfs/dbraw/zinc/52/11/10/631521110.db2.gz KJUWEHCRNPSZMQ-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN CC(C)(C)CC(=O)NOCc1ccc([N+](=O)[O-])cc1F ZINC000842063431 631521227 /nfs/dbraw/zinc/52/12/27/631521227.db2.gz NORLXIZSHLOAGH-UHFFFAOYSA-N 0 0 284.287 2.718 20 5 CFBDRN CC[C@@H](COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)OC ZINC000842066086 631522502 /nfs/dbraw/zinc/52/25/02/631522502.db2.gz DMLGEWYALIJTTR-NSHDSACASA-N 0 0 293.275 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCCc2n[nH]cc21 ZINC000811497882 631571254 /nfs/dbraw/zinc/57/12/54/631571254.db2.gz XACQHNIPQHPBAT-UHFFFAOYSA-N 0 0 292.726 2.924 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc(CO)cn2)cc1Cl ZINC000811501616 631572607 /nfs/dbraw/zinc/57/26/07/631572607.db2.gz PZKWCQOYHJAZAY-UHFFFAOYSA-N 0 0 293.710 2.748 20 5 CFBDRN O=C(OC1CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842313070 631586631 /nfs/dbraw/zinc/58/66/31/631586631.db2.gz GUNKPECMGURSKS-QWHCGFSZSA-N 0 0 261.277 2.794 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])c2ccccc12 ZINC000842367027 631608603 /nfs/dbraw/zinc/60/86/03/631608603.db2.gz GKMQTRYXWFXWOG-LLVKDONJSA-N 0 0 273.288 2.970 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCc2cccc(O)c2)c1 ZINC000811782751 631623034 /nfs/dbraw/zinc/62/30/34/631623034.db2.gz RFKVOAQCUNFHOF-UHFFFAOYSA-N 0 0 273.292 2.537 20 5 CFBDRN C[C@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)CC(F)F ZINC000842433222 631627482 /nfs/dbraw/zinc/62/74/82/631627482.db2.gz CRTSIKHIRONYRD-VIFPVBQESA-N 0 0 287.262 2.972 20 5 CFBDRN Cc1cc(N2C[C@@H]3COC[C@@H]3C2)c(Cl)cc1[N+](=O)[O-] ZINC000811842289 631630921 /nfs/dbraw/zinc/63/09/21/631630921.db2.gz HZPASFHXIGYXLL-AOOOYVTPSA-N 0 0 282.727 2.639 20 5 CFBDRN CC[C@@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000855858131 635673934 /nfs/dbraw/zinc/67/39/34/635673934.db2.gz NWLKHWVTWVZEIO-GFCCVEGCSA-N 0 0 298.289 2.991 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(CCC2CCCCC2)no1 ZINC000842491309 631644349 /nfs/dbraw/zinc/64/43/49/631644349.db2.gz QXYYYNZAKFKVMV-GHMZBOCLSA-N 0 0 265.313 2.715 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(-c2cccc(C(F)F)c2)no1 ZINC000842498579 631646097 /nfs/dbraw/zinc/64/60/97/631646097.db2.gz FGKFDZNSDHJTKE-RKDXNWHRSA-N 0 0 281.218 2.807 20 5 CFBDRN CC(F)(F)c1ccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)cc1 ZINC000842506219 631648753 /nfs/dbraw/zinc/64/87/53/631648753.db2.gz GKEQQGNPAFPVTL-NXEZZACHSA-N 0 0 295.245 2.981 20 5 CFBDRN Cc1c(-c2noc([C@](C)(O)C3CC3)n2)cccc1[N+](=O)[O-] ZINC000842507653 631649911 /nfs/dbraw/zinc/64/99/11/631649911.db2.gz WWRIOUVAASKPFH-CQSZACIVSA-N 0 0 289.291 2.571 20 5 CFBDRN Cc1ccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)cc1Cl ZINC000842507711 631649925 /nfs/dbraw/zinc/64/99/25/631649925.db2.gz YSUBOUHWJZAMSL-PSASIEDQSA-N 0 0 279.683 2.831 20 5 CFBDRN Cc1cc(-c2noc([C@@](C)(O)C(C)C)n2)cc([N+](=O)[O-])c1 ZINC000842507650 631650085 /nfs/dbraw/zinc/65/00/85/631650085.db2.gz WWBHBUNLSSMFGQ-AWEZNQCLSA-N 0 0 291.307 2.817 20 5 CFBDRN Cc1ccc(OC(=O)CCc2cccnc2)c([N+](=O)[O-])c1 ZINC000812145538 631663187 /nfs/dbraw/zinc/66/31/87/631663187.db2.gz SMKQZQRHFZWOFW-UHFFFAOYSA-N 0 0 286.287 2.836 20 5 CFBDRN CC(C)(C)CC(=O)NOCc1ccc([N+](=O)[O-])c(F)c1 ZINC000842651224 631693417 /nfs/dbraw/zinc/69/34/17/631693417.db2.gz VZTNJNGTPLGIBJ-UHFFFAOYSA-N 0 0 284.287 2.718 20 5 CFBDRN C[C@H]1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])c2ccccc2S1 ZINC000840251934 631697236 /nfs/dbraw/zinc/69/72/36/631697236.db2.gz HHCNENIHOWAMMH-HOSYDEDBSA-N 0 0 292.360 2.569 20 5 CFBDRN COCC1(CC(=O)Oc2cccc([N+](=O)[O-])c2C)CC1 ZINC000842690022 631708089 /nfs/dbraw/zinc/70/80/89/631708089.db2.gz NKMVQQSUNSWVIY-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2cccnc2)c(F)cc1[N+](=O)[O-] ZINC000812462732 631722597 /nfs/dbraw/zinc/72/25/97/631722597.db2.gz TZLUJGVYRPPWCA-CQSZACIVSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1ccc(OC(=O)c2cccc3c[nH]nc32)cc1[N+](=O)[O-] ZINC000155558960 650024640 /nfs/dbraw/zinc/02/46/40/650024640.db2.gz MZPHKFUCSIAHFQ-UHFFFAOYSA-N 0 0 297.270 2.999 20 5 CFBDRN C/C(=C\C(=O)NCCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000812556551 631734152 /nfs/dbraw/zinc/73/41/52/631734152.db2.gz NQFXWWHBQUXUMK-ZHACJKMWSA-N 0 0 280.299 2.864 20 5 CFBDRN COCC1(COC(=O)c2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000812580391 631736634 /nfs/dbraw/zinc/73/66/34/631736634.db2.gz RTNVHDQSJTZXQN-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000812621916 631746394 /nfs/dbraw/zinc/74/63/94/631746394.db2.gz DFAMTANDUYEGBL-NEPJUHHUSA-N 0 0 295.335 2.599 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000812628399 631748116 /nfs/dbraw/zinc/74/81/16/631748116.db2.gz DEWBKWBHKXEKAB-DTWKUNHWSA-N 0 0 287.337 2.618 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000812633466 631750009 /nfs/dbraw/zinc/75/00/09/631750009.db2.gz YOOVKQFHSFFFAZ-NXEZZACHSA-N 0 0 299.298 2.696 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC(C2CC2)C1 ZINC000812650614 631752452 /nfs/dbraw/zinc/75/24/52/631752452.db2.gz IWZFTJRVMXEKFP-UHFFFAOYSA-N 0 0 280.711 2.730 20 5 CFBDRN C[C@](O)(CNc1ncc([N+](=O)[O-])cc1F)c1cccs1 ZINC000840265601 631766322 /nfs/dbraw/zinc/76/63/22/631766322.db2.gz GCLVTTZCSJFAHA-LBPRGKRZSA-N 0 0 297.311 2.510 20 5 CFBDRN CSCC(C)(C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812814014 631789283 /nfs/dbraw/zinc/78/92/83/631789283.db2.gz TUYMDEJSMQPEAH-UHFFFAOYSA-N 0 0 287.385 2.698 20 5 CFBDRN CC(C)(CCc1noc(C2(C)CCCC2)n1)[N+](=O)[O-] ZINC000812813795 631789453 /nfs/dbraw/zinc/78/94/53/631789453.db2.gz NLFBTUQKLCJKMT-UHFFFAOYSA-N 0 0 267.329 2.889 20 5 CFBDRN C[C@H](C[C@@H](O)c1ccco1)Nc1ncc([N+](=O)[O-])cc1F ZINC000840275953 631815166 /nfs/dbraw/zinc/81/51/66/631815166.db2.gz OBJVTXGOMBXCHH-LDYMZIIASA-N 0 0 295.270 2.646 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000813074962 631826522 /nfs/dbraw/zinc/82/65/22/631826522.db2.gz QUPBBNWMAGRXCI-RKDXNWHRSA-N 0 0 285.271 2.558 20 5 CFBDRN C[C@H](COC(=O)CC1CC(c2ccccc2)C1)[N+](=O)[O-] ZINC000813100295 631836894 /nfs/dbraw/zinc/83/68/94/631836894.db2.gz QBWKBSSCUPSLDN-LKSINWNRSA-N 0 0 277.320 2.779 20 5 CFBDRN C[C@@H](COC(=O)CC/C=C\c1ccccc1)[N+](=O)[O-] ZINC000813104005 631839481 /nfs/dbraw/zinc/83/94/81/631839481.db2.gz VZADISWTLDNYQZ-LRAPTIAOSA-N 0 0 263.293 2.688 20 5 CFBDRN CC[C@H](C)n1ncc(C(=O)OC[C@H](C)[N+](=O)[O-])c1C1CC1 ZINC000813105196 631839579 /nfs/dbraw/zinc/83/95/79/631839579.db2.gz KIYIUUFRRNAXNC-UWVGGRQHSA-N 0 0 295.339 2.554 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(Oc2ccccc2)o1)[N+](=O)[O-] ZINC000813104697 631839651 /nfs/dbraw/zinc/83/96/51/631839651.db2.gz FXUBMBFZJVKOGI-JTQLQIEISA-N 0 0 291.259 2.894 20 5 CFBDRN C[C@@H](CCCc1ccccc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813104099 631840247 /nfs/dbraw/zinc/84/02/47/631840247.db2.gz JWQKMZTVDJPYCN-STQMWFEESA-N 0 0 279.336 2.854 20 5 CFBDRN CC[C@@H](C)n1ncc(C(=O)OC[C@H](C)[N+](=O)[O-])c1C1CC1 ZINC000813105199 631840399 /nfs/dbraw/zinc/84/03/99/631840399.db2.gz KIYIUUFRRNAXNC-ZJUUUORDSA-N 0 0 295.339 2.554 20 5 CFBDRN C[C@H](COC(=O)C1(c2cccc(F)c2)CCCC1)[N+](=O)[O-] ZINC000813107692 631841379 /nfs/dbraw/zinc/84/13/79/631841379.db2.gz UKJBYFTTYCBGJP-LLVKDONJSA-N 0 0 295.310 2.846 20 5 CFBDRN C[C@@H](COC(=O)c1cc(Cl)cc(Cl)c1)[N+](=O)[O-] ZINC000813107215 631841701 /nfs/dbraw/zinc/84/17/01/631841701.db2.gz MTDJFWWLRHISEE-LURJTMIESA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@@H](COC(=O)c1cc2c(s1)CC[C@@H](C)C2)[N+](=O)[O-] ZINC000813105366 631842192 /nfs/dbraw/zinc/84/21/92/631842192.db2.gz YCUVKYILRVGGKL-BDAKNGLRSA-N 0 0 283.349 2.695 20 5 CFBDRN C[C@H](COC(=O)[C@@H](C)CCc1ccccc1F)[N+](=O)[O-] ZINC000813108876 631842561 /nfs/dbraw/zinc/84/25/61/631842561.db2.gz BLVQKAMZWHZQSU-WDEREUQCSA-N 0 0 283.299 2.603 20 5 CFBDRN C[C@H](CCc1cccc(F)c1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813108115 631843171 /nfs/dbraw/zinc/84/31/71/631843171.db2.gz ANELJOAFMYAVGN-MNOVXSKESA-N 0 0 283.299 2.603 20 5 CFBDRN CC(C)[C@H](C(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000813109304 631843849 /nfs/dbraw/zinc/84/38/49/631843849.db2.gz HSACBXXHUOAADF-GWCFXTLKSA-N 0 0 283.299 2.774 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CC[C@H]2CCCC[C@H]2C1)[N+](=O)[O-] ZINC000813112361 631845193 /nfs/dbraw/zinc/84/51/93/631845193.db2.gz FYOSSRGKAGAHRZ-FVCCEPFGSA-N 0 0 269.341 2.801 20 5 CFBDRN CC[C@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(OC)cc1 ZINC000813113375 631845220 /nfs/dbraw/zinc/84/52/20/631845220.db2.gz ZVHQHVXFDRCTIL-NWDGAFQWSA-N 0 0 295.335 2.787 20 5 CFBDRN CO[C@@](C)(COC(=O)c1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000813183274 631874012 /nfs/dbraw/zinc/87/40/12/631874012.db2.gz KZGFPYJFLLNHAC-HNNXBMFYSA-N 0 0 293.319 2.875 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1CCSC1 ZINC000813245485 631890780 /nfs/dbraw/zinc/89/07/80/631890780.db2.gz SBMNKBARZTVHNC-ZETCQYMHSA-N 0 0 286.740 2.940 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1nccs1 ZINC000813246158 631890940 /nfs/dbraw/zinc/89/09/40/631890940.db2.gz ZWWRVLNENBIEQR-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CO[C@H](C)[C@@H](C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000813292333 631896148 /nfs/dbraw/zinc/89/61/48/631896148.db2.gz OSZRUJMOFGXZQL-MNQYACPRSA-N 0 0 292.335 2.538 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCCCCF)c1[N+](=O)[O-] ZINC000813312487 631898341 /nfs/dbraw/zinc/89/83/41/631898341.db2.gz BBGDDUODFMDMGW-UHFFFAOYSA-N 0 0 272.251 2.522 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCC[C@@H]1CCCO1 ZINC000843300054 631921849 /nfs/dbraw/zinc/92/18/49/631921849.db2.gz CVDBTMWREUXCHB-NSHDSACASA-N 0 0 265.313 2.618 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1cc(C)no1 ZINC000843300106 631921987 /nfs/dbraw/zinc/92/19/87/631921987.db2.gz FHCLPSONJNXSAI-UHFFFAOYSA-N 0 0 262.269 2.545 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC[C@@H]1CCO[C@@H](C)C1 ZINC000843301097 631922199 /nfs/dbraw/zinc/92/21/99/631922199.db2.gz SYASFKDFIJLWDP-WDEREUQCSA-N 0 0 279.340 2.864 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@@H]2C[C@@H]21 ZINC000813605851 631941110 /nfs/dbraw/zinc/94/11/10/631941110.db2.gz LLZFEHUMMWTADP-SKDRFNHKSA-N 0 0 255.277 2.742 20 5 CFBDRN CCCn1c(C(=O)O[C@H](C)C[N+](=O)[O-])cc2ccccc21 ZINC000814035462 631997056 /nfs/dbraw/zinc/99/70/56/631997056.db2.gz NIQXOGCTZCLTIR-LLVKDONJSA-N 0 0 290.319 2.873 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc2cc(Cl)sc2[nH]1 ZINC000814036994 631997705 /nfs/dbraw/zinc/99/77/05/631997705.db2.gz OTYASTSANWNUHV-YFKPBYRVSA-N 0 0 288.712 2.705 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cccc(C(F)(F)F)c1 ZINC000814037869 631997841 /nfs/dbraw/zinc/99/78/41/631997841.db2.gz ICTUZXYANOBDNZ-SSDOTTSWSA-N 0 0 277.198 2.527 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccc(F)cc2)o1 ZINC000814038014 631998144 /nfs/dbraw/zinc/99/81/44/631998144.db2.gz KQJDOWSPVABJSA-VIFPVBQESA-N 0 0 293.250 2.908 20 5 CFBDRN CC(C)[C@H](C(=O)O[C@H](C)C[N+](=O)[O-])c1ccc(F)cc1 ZINC000814038705 631998605 /nfs/dbraw/zinc/99/86/05/631998605.db2.gz GRCYWXGRXCEDEV-MFKMUULPSA-N 0 0 283.299 2.774 20 5 CFBDRN CSCc1cc(C(=O)O[C@@H](C)C[N+](=O)[O-])ccc1F ZINC000814038104 631998691 /nfs/dbraw/zinc/99/86/91/631998691.db2.gz MFFQILADXBVGDG-QMMMGPOBSA-N 0 0 287.312 2.511 20 5 CFBDRN CC(C)CCOc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814038822 631998749 /nfs/dbraw/zinc/99/87/49/631998749.db2.gz TVGBKSVIMBYBEG-LBPRGKRZSA-N 0 0 295.335 2.934 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC1CCC(C)(C)CC1 ZINC000814038798 631998837 /nfs/dbraw/zinc/99/88/37/631998837.db2.gz HRAOXULGTDNWOG-JTQLQIEISA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccc(Cl)s1 ZINC000814038896 631998937 /nfs/dbraw/zinc/99/89/37/631998937.db2.gz VALTXUGRLGYOEM-BQBZGAKWSA-N 0 0 277.729 2.713 20 5 CFBDRN CC[C@H](C)c1ccccc1OCC(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814042574 631999420 /nfs/dbraw/zinc/99/94/20/631999420.db2.gz MIUZPUKUJGIDBQ-RYUDHWBXSA-N 0 0 295.335 2.787 20 5 CFBDRN CSc1ccc(Cl)c(C(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000814044974 632000305 /nfs/dbraw/zinc/00/03/05/632000305.db2.gz WOGMWYARHHVNTD-SSDOTTSWSA-N 0 0 289.740 2.884 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)C[N+](=O)[O-])c(C)cc1Cl ZINC000814044153 632000383 /nfs/dbraw/zinc/00/03/83/632000383.db2.gz BWSDZCGQZHGGCZ-VIFPVBQESA-N 0 0 271.700 2.779 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(C(F)(F)F)s1 ZINC000814043208 632000460 /nfs/dbraw/zinc/00/04/60/632000460.db2.gz UVCIASDXAIWHKZ-YFKPBYRVSA-N 0 0 283.227 2.589 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc2c(s1)CC[C@H](C)C2 ZINC000814043133 632000475 /nfs/dbraw/zinc/00/04/75/632000475.db2.gz TZGOTNVUZICCHG-IUCAKERBSA-N 0 0 283.349 2.695 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H](C)CCCc1ccccc1 ZINC000814044690 632000511 /nfs/dbraw/zinc/00/05/11/632000511.db2.gz IKRVSXSCPJKJIH-STQMWFEESA-N 0 0 279.336 2.854 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@H]1CCCc2ccccc21 ZINC000814045057 632000537 /nfs/dbraw/zinc/00/05/37/632000537.db2.gz MCTTZAOIJRNGFR-WCQYABFASA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc2ccc(Cl)cc2n1 ZINC000814043758 632000666 /nfs/dbraw/zinc/00/06/66/632000666.db2.gz ITJXBLROGHUGGH-MRVPVSSYSA-N 0 0 294.694 2.710 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1nc2ccccc2cc1Cl ZINC000814045684 632001024 /nfs/dbraw/zinc/00/10/24/632001024.db2.gz XIYCGJBLKKVRNE-QMMMGPOBSA-N 0 0 294.694 2.710 20 5 CFBDRN Cc1ncoc1COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000814099040 632013330 /nfs/dbraw/zinc/01/33/30/632013330.db2.gz GEFOFYXFKHZEJB-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN C[C@@H](NC(=S)NC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000814202100 632036290 /nfs/dbraw/zinc/03/62/90/632036290.db2.gz DRMVKTCQFFAKFP-SECBINFHSA-N 0 0 279.365 2.672 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC000814404672 632059374 /nfs/dbraw/zinc/05/93/74/632059374.db2.gz BTRXCKPISZBKRN-GXSJLCMTSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC=C(C)CC1 ZINC000843839393 632065230 /nfs/dbraw/zinc/06/52/30/632065230.db2.gz OTLHVEBICXZJCX-UHFFFAOYSA-N 0 0 278.283 2.835 20 5 CFBDRN Cc1cc(C(=O)OCCC2CCOCC2)cc([N+](=O)[O-])c1 ZINC000814449050 632066123 /nfs/dbraw/zinc/06/61/23/632066123.db2.gz FWNYJEQSBJRSDN-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC[C@H]2C[C@H]21 ZINC000843884947 632071059 /nfs/dbraw/zinc/07/10/59/632071059.db2.gz VHCUDVRYLHSIBG-JOYOIKCWSA-N 0 0 278.283 2.667 20 5 CFBDRN C[C@H](OC(=O)c1cccc(=O)[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000814474687 632073787 /nfs/dbraw/zinc/07/37/87/632073787.db2.gz DNCPZRAZPUQKTL-VIFPVBQESA-N 0 0 288.259 2.613 20 5 CFBDRN Cc1nc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)c(C)o1 ZINC000814500576 632080407 /nfs/dbraw/zinc/08/04/07/632080407.db2.gz DORJHNWLRFXNHM-UHFFFAOYSA-N 0 0 290.275 2.599 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C(C)=C(C)C ZINC000843969153 632086149 /nfs/dbraw/zinc/08/61/49/632086149.db2.gz ZECJGVWAITVXFJ-UHFFFAOYSA-N 0 0 263.297 2.931 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)C1 ZINC000814560872 632092210 /nfs/dbraw/zinc/09/22/10/632092210.db2.gz GLXYFUHXBLHUDH-GXTWGEPZSA-N 0 0 293.319 2.843 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CC[C@H](C)O1 ZINC000840325312 632105723 /nfs/dbraw/zinc/10/57/23/632105723.db2.gz CSSMCRQUSQINIO-CABZTGNLSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000844102954 632119952 /nfs/dbraw/zinc/11/99/52/632119952.db2.gz QTIOZHUWYQAJGR-GARJFASQSA-N 0 0 292.310 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CCS[C@H]1C ZINC000844105569 632119963 /nfs/dbraw/zinc/11/99/63/632119963.db2.gz GGMQXQGNFUEVOT-WPRPVWTQSA-N 0 0 298.339 2.666 20 5 CFBDRN COC/C(C)=C/C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000844298213 632160550 /nfs/dbraw/zinc/16/05/50/632160550.db2.gz IVSHGFIABAEIOY-VMPITWQZSA-N 0 0 284.699 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H]2CC2CCC2)cc1 ZINC000814958187 632179550 /nfs/dbraw/zinc/17/95/50/632179550.db2.gz DMYJTOVYXHNRRV-HNNXBMFYSA-N 0 0 276.336 2.990 20 5 CFBDRN Cc1ccc(OC(=O)C[C@H](C)n2ccnc2)cc1[N+](=O)[O-] ZINC000844445711 632215502 /nfs/dbraw/zinc/21/55/02/632215502.db2.gz FWYLMILLOLGLHO-NSHDSACASA-N 0 0 289.291 2.656 20 5 CFBDRN C[C@@H](COC(=O)Cn1cc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000815186374 632225890 /nfs/dbraw/zinc/22/58/90/632225890.db2.gz RKWNPSQREPQPCT-NSHDSACASA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000844489345 632229889 /nfs/dbraw/zinc/22/98/89/632229889.db2.gz IJFSAGLQRNFCNZ-SKDRFNHKSA-N 0 0 280.299 2.581 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000815220511 632232145 /nfs/dbraw/zinc/23/21/45/632232145.db2.gz YWXDQVSAPBVRPD-RKDXNWHRSA-N 0 0 264.281 2.522 20 5 CFBDRN COc1cc(N)c([N+](=O)[O-])cc1C(=O)O[C@@H]1CC[C@H](C)C1 ZINC000815236689 632236175 /nfs/dbraw/zinc/23/61/75/632236175.db2.gz DQSMXGOQTNBNPU-DTWKUNHWSA-N 0 0 294.307 2.531 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OC[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000815404544 632268393 /nfs/dbraw/zinc/26/83/93/632268393.db2.gz NJDNWEBWMUJJNG-VIFPVBQESA-N 0 0 299.710 2.750 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OCC(=O)C(C)C)cc1[N+](=O)[O-] ZINC000815406294 632268883 /nfs/dbraw/zinc/26/88/83/632268883.db2.gz CFGFOYYBJHAZTM-UHFFFAOYSA-N 0 0 299.710 2.939 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@H]2[C@@H](C1)C2(F)F ZINC000844629314 632269498 /nfs/dbraw/zinc/26/94/98/632269498.db2.gz KHUKKUSJGSWSMM-AOOOYVTPSA-N 0 0 297.261 2.632 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCc1occc1C ZINC000816104583 632373817 /nfs/dbraw/zinc/37/38/17/632373817.db2.gz WGHRNURZUAKZQZ-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000816225370 632398708 /nfs/dbraw/zinc/39/87/08/632398708.db2.gz RLHDBVIXAWVPHR-SCVCMEIPSA-N 0 0 280.324 2.915 20 5 CFBDRN C[C@@H]1CC[C@H](C)[C@@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000816225448 632398847 /nfs/dbraw/zinc/39/88/47/632398847.db2.gz SPEVCHDEXJNQRF-NRUUGDAUSA-N 0 0 280.324 2.915 20 5 CFBDRN Cc1cc(COC(=O)COc2cccc([N+](=O)[O-])c2)co1 ZINC000816245526 632408255 /nfs/dbraw/zinc/40/82/55/632408255.db2.gz ZRCAWYNLBGSBCT-UHFFFAOYSA-N 0 0 291.259 2.618 20 5 CFBDRN CO[C@H](COC(=O)CCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000816353332 632425575 /nfs/dbraw/zinc/42/55/75/632425575.db2.gz GUGUNHNGXOEISI-CQSZACIVSA-N 0 0 295.335 2.742 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CC2(F)F)cc([N+](=O)[O-])c1 ZINC000816415627 632447786 /nfs/dbraw/zinc/44/77/86/632447786.db2.gz YXGFNFIRTUGVRH-SECBINFHSA-N 0 0 271.219 2.715 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OC[C@@H]1CC1(F)F ZINC000816418559 632449351 /nfs/dbraw/zinc/44/93/51/632449351.db2.gz QRSHGZROWZAFOB-JTQLQIEISA-N 0 0 285.246 2.726 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H]1CC1(F)F ZINC000816419743 632449782 /nfs/dbraw/zinc/44/97/82/632449782.db2.gz XMKYKJWRYXAIGD-QMMMGPOBSA-N 0 0 271.219 2.715 20 5 CFBDRN COc1ccc(C(=O)OCc2ccc(C)o2)cc1[N+](=O)[O-] ZINC000816432855 632456751 /nfs/dbraw/zinc/45/67/51/632456751.db2.gz OPISCAMZPKIJPO-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCC[C@@H]1CCOC1 ZINC000816437148 632460039 /nfs/dbraw/zinc/46/00/39/632460039.db2.gz ADTBZGTXFHQXCZ-RJNRQISESA-N 0 0 291.303 2.578 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CCn2cncc2C1 ZINC000845548519 632461378 /nfs/dbraw/zinc/46/13/78/632461378.db2.gz JWYRBMJPKUAFTK-UHFFFAOYSA-N 0 0 296.689 2.604 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000845635977 632497051 /nfs/dbraw/zinc/49/70/51/632497051.db2.gz LDUDFCODIXHVLI-BXKDBHETSA-N 0 0 297.311 2.712 20 5 CFBDRN CC(C)O[C@H]1CCCN(c2cc(N)ccc2[N+](=O)[O-])C1 ZINC000845640755 632499875 /nfs/dbraw/zinc/49/98/75/632499875.db2.gz WOKAULWCTVPBTO-LBPRGKRZSA-N 0 0 279.340 2.571 20 5 CFBDRN Cc1nn(C)c(NCC[C@@]2(C)C[C@@]2(F)Cl)c1[N+](=O)[O-] ZINC000845646240 632502927 /nfs/dbraw/zinc/50/29/27/632502927.db2.gz ABMZUCROTFPHFS-QWRGUYRKSA-N 0 0 290.726 2.753 20 5 CFBDRN C[C@@]1(CCNc2ccncc2[N+](=O)[O-])C[C@]1(F)Cl ZINC000845650851 632505359 /nfs/dbraw/zinc/50/53/59/632505359.db2.gz KPYIOZPWRIBKGH-GHMZBOCLSA-N 0 0 273.695 2.528 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H](C2CC2)C2CCOCC2)c1 ZINC000845658826 632509011 /nfs/dbraw/zinc/50/90/11/632509011.db2.gz CTVIXMFZCXZDNM-HNNXBMFYSA-N 0 0 291.351 2.794 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1C[C@H]2[C@@H](C1)C2(F)F ZINC000845752683 632551941 /nfs/dbraw/zinc/55/19/41/632551941.db2.gz PDOWMAMOOWKVLE-OCAPTIKFSA-N 0 0 274.654 2.950 20 5 CFBDRN Cc1ccc(N2C[C@H]3[C@@H](C2)C3(F)F)c([N+](=O)[O-])c1 ZINC000845751625 632551963 /nfs/dbraw/zinc/55/19/63/632551963.db2.gz BJNXKMABMFRAJJ-DTORHVGOSA-N 0 0 254.236 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3C[C@H]4[C@@H](C3)C4(F)F)c2c1 ZINC000845758457 632553932 /nfs/dbraw/zinc/55/39/32/632553932.db2.gz VQPYZXJZFUUMRE-TXEJJXNPSA-N 0 0 291.257 2.844 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@@H](CCO)CC1 ZINC000817128903 632562683 /nfs/dbraw/zinc/56/26/83/632562683.db2.gz FMSQGENTWWHFHQ-CYBMUJFWSA-N 0 0 278.352 2.892 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000845822498 632575959 /nfs/dbraw/zinc/57/59/59/632575959.db2.gz QMBBAKWQVOIZKR-SNVBAGLBSA-N 0 0 287.337 2.800 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000817233821 632578088 /nfs/dbraw/zinc/57/80/88/632578088.db2.gz XHZCCGTZYOEVPI-GXSJLCMTSA-N 0 0 261.277 2.999 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(F)(F)F ZINC000817239218 632580627 /nfs/dbraw/zinc/58/06/27/632580627.db2.gz JBSMIJTVDSFWNB-UHFFFAOYSA-N 0 0 277.198 2.931 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCCCO1 ZINC000817240130 632581634 /nfs/dbraw/zinc/58/16/34/632581634.db2.gz XCYZOHPDYKPHRJ-LBPRGKRZSA-N 0 0 293.319 2.938 20 5 CFBDRN CCCOCC(=O)O[C@@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845873534 632589161 /nfs/dbraw/zinc/58/91/61/632589161.db2.gz DPQDARDTGJZTDK-QMTHXVAHSA-N 0 0 299.298 2.502 20 5 CFBDRN C[C@H]([C@@H](OC(=O)C1(F)CC1)c1ccccc1F)[N+](=O)[O-] ZINC000845881653 632589628 /nfs/dbraw/zinc/58/96/28/632589628.db2.gz MYQABEQPHXUHSG-LDYMZIIASA-N 0 0 285.246 2.577 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000845901074 632595219 /nfs/dbraw/zinc/59/52/19/632595219.db2.gz GOFOVFASILEVPE-MRVPVSSYSA-N 0 0 291.300 2.631 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C12CCC2 ZINC000840339691 632611412 /nfs/dbraw/zinc/61/14/12/632611412.db2.gz CQVFPCMRLZLEMB-OLZOCXBDSA-N 0 0 277.324 2.547 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])C12CCC2 ZINC000840339687 632611546 /nfs/dbraw/zinc/61/15/46/632611546.db2.gz CQVFPCMRLZLEMB-CHWSQXEVSA-N 0 0 277.324 2.547 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CC1(F)F ZINC000817423429 632629188 /nfs/dbraw/zinc/62/91/88/632629188.db2.gz ISVBMDYFLOZTGE-JTQLQIEISA-N 0 0 274.198 2.718 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)[C@H]1CC12CC2 ZINC000817438401 632634405 /nfs/dbraw/zinc/63/44/05/632634405.db2.gz FRBHHTPMBHNGGG-SNVBAGLBSA-N 0 0 280.711 2.665 20 5 CFBDRN O=C(NOCCC1CC1)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000817441771 632636038 /nfs/dbraw/zinc/63/60/38/632636038.db2.gz NMLDXZHMMINMCL-UHFFFAOYSA-N 0 0 289.291 2.538 20 5 CFBDRN Cc1cc(C(=O)N2CCC23CCCC3)c(N)c([N+](=O)[O-])c1 ZINC000846307651 632687661 /nfs/dbraw/zinc/68/76/61/632687661.db2.gz YEDDOGQXEFHPJN-UHFFFAOYSA-N 0 0 289.335 2.644 20 5 CFBDRN CCC1(COC(=O)c2cc([N+](=O)[O-])c(C)cc2C)COC1 ZINC000817723276 632693871 /nfs/dbraw/zinc/69/38/71/632693871.db2.gz ZYWZHOUSYKAZPC-UHFFFAOYSA-N 0 0 293.319 2.795 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC[C@H](F)C1 ZINC000846627975 632761668 /nfs/dbraw/zinc/76/16/68/632761668.db2.gz AHKSBZLWKIEHCQ-VIFPVBQESA-N 0 0 284.262 2.616 20 5 CFBDRN Cc1nn(CC[C@H]2CC2(Cl)Cl)cc1[N+](=O)[O-] ZINC000818082238 632795631 /nfs/dbraw/zinc/79/56/31/632795631.db2.gz MFIMRHPWWYGADC-ZETCQYMHSA-N 0 0 264.112 2.684 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC[C@H]2CC2(Cl)Cl)c1=O ZINC000818080385 632795802 /nfs/dbraw/zinc/79/58/02/632795802.db2.gz ZCYPUUOSYVGQBG-QMMMGPOBSA-N 0 0 291.134 2.649 20 5 CFBDRN CO[C@@H]1CCC[C@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000818417587 632841732 /nfs/dbraw/zinc/84/17/32/632841732.db2.gz QAKDQLZPXJTDSB-KGLIPLIRSA-N 0 0 293.319 2.638 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCO[C@@H]2C)c1C ZINC000885423917 632874895 /nfs/dbraw/zinc/87/48/95/632874895.db2.gz FQGCEURKVNUHCA-NEPJUHHUSA-N 0 0 293.319 2.793 20 5 CFBDRN CC(C)n1cc(OC(=O)c2csc([N+](=O)[O-])c2)cn1 ZINC000847129612 632931956 /nfs/dbraw/zinc/93/19/56/632931956.db2.gz GBOFZBGQFDSTPE-UHFFFAOYSA-N 0 0 281.293 2.653 20 5 CFBDRN CC(C)n1cc(OC(=O)Cc2ccc([N+](=O)[O-])cc2)cn1 ZINC000847134540 632935642 /nfs/dbraw/zinc/93/56/42/632935642.db2.gz WZJMKJXFNUURAK-UHFFFAOYSA-N 0 0 289.291 2.520 20 5 CFBDRN CC[C@@H](CCC(C)C)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000847742892 633065614 /nfs/dbraw/zinc/06/56/14/633065614.db2.gz RUSRCHZDVLCLCJ-NSHDSACASA-N 0 0 283.328 2.549 20 5 CFBDRN CCCCC[C@@H](C)CC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847784786 633076110 /nfs/dbraw/zinc/07/61/10/633076110.db2.gz WWEATCJUOUOJJV-LLVKDONJSA-N 0 0 297.355 2.978 20 5 CFBDRN C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C1CCCC1 ZINC000847804684 633081280 /nfs/dbraw/zinc/08/12/80/633081280.db2.gz XVWKMOJOIHSGFP-SNVBAGLBSA-N 0 0 257.330 2.944 20 5 CFBDRN COC[C@@H](C)CCOC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000847870048 633119873 /nfs/dbraw/zinc/11/98/73/633119873.db2.gz DAHLWGJDVUWCEU-JTQLQIEISA-N 0 0 281.308 2.733 20 5 CFBDRN COC[C@H](C)CCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000847877348 633123973 /nfs/dbraw/zinc/12/39/73/633123973.db2.gz SBTNTESWPPHIKP-NEPJUHHUSA-N 0 0 295.335 2.914 20 5 CFBDRN O=C(OC[C@@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])cn1 ZINC000847956393 633162467 /nfs/dbraw/zinc/16/24/67/633162467.db2.gz IYOKGTDSWJAAIU-MCIGGMRASA-N 0 0 290.319 2.973 20 5 CFBDRN CCN(C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1)[C@@H](C)C(C)C ZINC000848100533 633203006 /nfs/dbraw/zinc/20/30/06/633203006.db2.gz PDMLCAITOINIED-SMDDNHRTSA-N 0 0 294.351 2.521 20 5 CFBDRN CCN1C[C@H](CSc2cccc([N+](=O)[O-])c2)OC1=O ZINC000848434699 633258954 /nfs/dbraw/zinc/25/89/54/633258954.db2.gz MHRFRLZBSFFTTR-SNVBAGLBSA-N 0 0 282.321 2.528 20 5 CFBDRN CCc1nocc1COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000848680481 633315682 /nfs/dbraw/zinc/31/56/82/633315682.db2.gz NGWWLNGDBRVYIF-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CCc1nocc1COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000848711217 633322167 /nfs/dbraw/zinc/32/21/67/633322167.db2.gz ZHMDZQGISFQYQX-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CC(C)(O)CCSc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000848742792 633331515 /nfs/dbraw/zinc/33/15/15/633331515.db2.gz IHPLSUUIDRMOBT-UHFFFAOYSA-N 0 0 286.309 2.756 20 5 CFBDRN Nc1ccc(N2CCC[C@@H](OC3CCC3)C2)c([N+](=O)[O-])c1 ZINC000848763205 633338714 /nfs/dbraw/zinc/33/87/14/633338714.db2.gz SEQWFRHVYXGTII-CYBMUJFWSA-N 0 0 291.351 2.715 20 5 CFBDRN CC(C)(CCOC(=O)c1ccnc(N)c1[N+](=O)[O-])C1CC1 ZINC000848790491 633343377 /nfs/dbraw/zinc/34/33/77/633343377.db2.gz PGJBCJNRTUZCFY-UHFFFAOYSA-N 0 0 293.323 2.555 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NCC[C@H](C)O ZINC000849316676 633615131 /nfs/dbraw/zinc/61/51/31/633615131.db2.gz KNXPQQCWZYFIES-JTQLQIEISA-N 0 0 267.329 2.598 20 5 CFBDRN CC(C)Cc1noc(-c2cc3c(cc2[N+](=O)[O-])OCO3)n1 ZINC000849339964 633621124 /nfs/dbraw/zinc/62/11/24/633621124.db2.gz ZDFOERGUFCUJOU-UHFFFAOYSA-N 0 0 291.263 2.572 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc(CC(C)C)no2)c1 ZINC000849339198 633621315 /nfs/dbraw/zinc/62/13/15/633621315.db2.gz CHQWGLOWSSTWFJ-UHFFFAOYSA-N 0 0 277.280 2.852 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(Cl)c1)NCC1CCC1 ZINC000108662568 633639851 /nfs/dbraw/zinc/63/98/51/633639851.db2.gz HYCKKDNYAKSXIG-UHFFFAOYSA-N 0 0 298.726 2.543 20 5 CFBDRN CC(C)=CCCOC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000849616990 633641558 /nfs/dbraw/zinc/64/15/58/633641558.db2.gz FBPVNKJTSITVCI-UHFFFAOYSA-N 0 0 279.292 2.873 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000849627473 633645527 /nfs/dbraw/zinc/64/55/27/633645527.db2.gz FYJYSKNIBHSFSX-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN Cc1ccc(C(=O)O[C@H]2COC(C)(C)C2)cc1[N+](=O)[O-] ZINC000849627292 633645625 /nfs/dbraw/zinc/64/56/25/633645625.db2.gz KVVDTZCBMXFLTR-LLVKDONJSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)O[C@@H]1COC(C)(C)C1 ZINC000849648937 633656985 /nfs/dbraw/zinc/65/69/85/633656985.db2.gz RKCPWBUGJIMODR-JTQLQIEISA-N 0 0 279.292 2.628 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000849671390 633665745 /nfs/dbraw/zinc/66/57/45/633665745.db2.gz JJQFACKBCOMIGL-SECBINFHSA-N 0 0 299.710 2.973 20 5 CFBDRN CC(C)(C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849694745 633677768 /nfs/dbraw/zinc/67/77/68/633677768.db2.gz LCQJPFDCLGCKKJ-UHFFFAOYSA-N 0 0 255.245 2.823 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000849740502 633696502 /nfs/dbraw/zinc/69/65/02/633696502.db2.gz PEFSCOXIOIZMHV-QMMMGPOBSA-N 0 0 297.282 2.814 20 5 CFBDRN CC(C)/C=C\C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849764553 633709250 /nfs/dbraw/zinc/70/92/50/633709250.db2.gz PYWSPZHKPQBKJH-UTCJRWHESA-N 0 0 267.256 2.989 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000925486478 633714285 /nfs/dbraw/zinc/71/42/85/633714285.db2.gz SSWDSUZZLJPIKB-SNVBAGLBSA-N 0 0 295.339 2.838 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@@H]1COC(C)(C)C1 ZINC000849810070 633730934 /nfs/dbraw/zinc/73/09/34/633730934.db2.gz PCJRIKQUUPWFBX-NSHDSACASA-N 0 0 293.319 2.936 20 5 CFBDRN C[C@H]1CCCC[C@@H]1COC(=O)c1ccnc(N)c1[N+](=O)[O-] ZINC000849820614 633734644 /nfs/dbraw/zinc/73/46/44/633734644.db2.gz FNZGUFCRLAFAGN-VHSXEESVSA-N 0 0 293.323 2.555 20 5 CFBDRN CC(C)CCOc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849953164 633774106 /nfs/dbraw/zinc/77/41/06/633774106.db2.gz FAJKGHJGDFYABJ-UHFFFAOYSA-N 0 0 254.242 2.928 20 5 CFBDRN CSC(C)(C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000849984984 633783860 /nfs/dbraw/zinc/78/38/60/633783860.db2.gz RJENIBZXRGRZPX-UHFFFAOYSA-N 0 0 269.322 2.780 20 5 CFBDRN C[C@@H](CC(=O)OCc1ccccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000850078331 633826186 /nfs/dbraw/zinc/82/61/86/633826186.db2.gz WJMSDYARAPQYGO-SMDDNHRTSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@@H](CC(=O)OCc1cccc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000850077511 633826575 /nfs/dbraw/zinc/82/65/75/633826575.db2.gz NTCNFZONSFIURB-FZMZJTMJSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@@H](CC(=O)OCc1csc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000850081149 633827602 /nfs/dbraw/zinc/82/76/02/633827602.db2.gz MCJWDGNXWCKOEO-GXSJLCMTSA-N 0 0 299.348 2.905 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)CCCF ZINC000850193040 633880336 /nfs/dbraw/zinc/88/03/36/633880336.db2.gz KBZIUMPIZOMMBI-UHFFFAOYSA-N 0 0 285.271 2.786 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)C1CC2(CC2)C1 ZINC000850216137 633900112 /nfs/dbraw/zinc/90/01/12/633900112.db2.gz YGMUBGXCOLLYOZ-UHFFFAOYSA-N 0 0 291.303 2.707 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850264466 633917608 /nfs/dbraw/zinc/91/76/08/633917608.db2.gz BNZQESFPECKBQS-BRPSZJMVSA-N 0 0 297.257 2.962 20 5 CFBDRN Cn1ccnc1[C@H](N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000850507026 634022189 /nfs/dbraw/zinc/02/21/89/634022189.db2.gz DCEACOOOMLYCTK-UKRRQHHQSA-N 0 0 298.346 2.726 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCC1(C)C ZINC000850755124 634080738 /nfs/dbraw/zinc/08/07/38/634080738.db2.gz CQPVIRDQGMWKAR-JTQLQIEISA-N 0 0 279.292 2.945 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])[C@H]1C[C@H]2C[C@H]2C1 ZINC000850893737 634125524 /nfs/dbraw/zinc/12/55/24/634125524.db2.gz PDPNQHJKUQFDEY-ITGUQSILSA-N 0 0 275.304 2.727 20 5 CFBDRN CC(C)=C[C@@H]1[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])C1(C)C ZINC000851122433 634233163 /nfs/dbraw/zinc/23/31/63/634233163.db2.gz CYAGCKJPEIAKET-MNOVXSKESA-N 0 0 269.341 2.823 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1C[C@@H]1c1cc(F)ccc1F)[N+](=O)[O-] ZINC000851125480 634235637 /nfs/dbraw/zinc/23/56/37/634235637.db2.gz CYYRZCNPQMTKMN-MWLCHTKSSA-N 0 0 299.273 2.667 20 5 CFBDRN Cc1nc(C(C)C)sc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851124827 634235700 /nfs/dbraw/zinc/23/57/00/634235700.db2.gz MOCKWYBTCKNVFO-UHFFFAOYSA-N 0 0 286.353 2.787 20 5 CFBDRN C[C@@H]1CCC[C@H](CC(=O)OCC(C)(C)[N+](=O)[O-])C1 ZINC000851125850 634235717 /nfs/dbraw/zinc/23/57/17/634235717.db2.gz KUNIRBLHTPQTFF-MNOVXSKESA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H]1CCC[C@H](CC(=O)OCC(C)(C)[N+](=O)[O-])C1 ZINC000851125851 634235869 /nfs/dbraw/zinc/23/58/69/634235869.db2.gz KUNIRBLHTPQTFF-QWRGUYRKSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])c2ccccc2n1 ZINC000851126202 634236769 /nfs/dbraw/zinc/23/67/69/634236769.db2.gz BAEWGSSNDSDLNR-UHFFFAOYSA-N 0 0 288.303 2.755 20 5 CFBDRN CC(C)Cc1nc(C(=O)OCC(C)(C)[N+](=O)[O-])cs1 ZINC000851126503 634236775 /nfs/dbraw/zinc/23/67/75/634236775.db2.gz QRGUKXKVMJZETJ-UHFFFAOYSA-N 0 0 286.353 2.554 20 5 CFBDRN CC(C)(COC(=O)c1cc2occc2s1)[N+](=O)[O-] ZINC000851126580 634236864 /nfs/dbraw/zinc/23/68/64/634236864.db2.gz PHRSUXXPXUGGSU-UHFFFAOYSA-N 0 0 269.278 2.706 20 5 CFBDRN CC(C)(COC(=O)c1ccc(OCC2CC2)cc1)[N+](=O)[O-] ZINC000851126147 634236913 /nfs/dbraw/zinc/23/69/13/634236913.db2.gz OFBVKOBSPVNPIO-UHFFFAOYSA-N 0 0 293.319 2.688 20 5 CFBDRN CC[C@@H](Cc1ccccc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125950 634236957 /nfs/dbraw/zinc/23/69/57/634236957.db2.gz JFDAKYQYPIZWKN-ZDUSSCGKSA-N 0 0 279.336 2.854 20 5 CFBDRN C[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1cccc(F)c1 ZINC000851127423 634237746 /nfs/dbraw/zinc/23/77/46/634237746.db2.gz XEXXODQLYGJDFL-VIFPVBQESA-N 0 0 269.272 2.528 20 5 CFBDRN Cc1ccc(C[C@H](C)C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851127851 634237847 /nfs/dbraw/zinc/23/78/47/634237847.db2.gz YVVROCAVRIOZDO-LBPRGKRZSA-N 0 0 279.336 2.772 20 5 CFBDRN CC(C)(COC(=O)Cc1cccc(C(F)F)c1)[N+](=O)[O-] ZINC000851129375 634238279 /nfs/dbraw/zinc/23/82/79/634238279.db2.gz LJNRZPRZOXAWIW-UHFFFAOYSA-N 0 0 287.262 2.765 20 5 CFBDRN CC(C)(COC(=O)Cc1ccc(Cl)c(F)c1)[N+](=O)[O-] ZINC000851129077 634238747 /nfs/dbraw/zinc/23/87/47/634238747.db2.gz HQELCFOZYHVJPN-UHFFFAOYSA-N 0 0 289.690 2.620 20 5 CFBDRN Cc1cccc2c(C(=O)OCC(C)(C)[N+](=O)[O-])coc21 ZINC000851130316 634239432 /nfs/dbraw/zinc/23/94/32/634239432.db2.gz ZZTFNJZRQFPGKN-UHFFFAOYSA-N 0 0 277.276 2.953 20 5 CFBDRN C[C@H](Cc1ccsc1)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000851145985 634248586 /nfs/dbraw/zinc/24/85/86/634248586.db2.gz RBSPMLKZLNTTRM-SECBINFHSA-N 0 0 294.332 2.783 20 5 CFBDRN CSCC(C)(C)COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000851170490 634257255 /nfs/dbraw/zinc/25/72/55/634257255.db2.gz VFLRIRNEVWUXON-UHFFFAOYSA-N 0 0 277.386 2.754 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000851226603 634279851 /nfs/dbraw/zinc/27/98/51/634279851.db2.gz KWMKNLKKHBTMRH-ILWJIGKKSA-N 0 0 279.267 2.937 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000851231074 634282906 /nfs/dbraw/zinc/28/29/06/634282906.db2.gz RYMYSOMGJOCYEP-YHPBZONMSA-N 0 0 261.277 2.798 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC/C=C/C(F)(F)F ZINC000851283903 634305653 /nfs/dbraw/zinc/30/56/53/634305653.db2.gz LPOOTWAVLSMNES-ZZXKWVIFSA-N 0 0 289.209 2.799 20 5 CFBDRN O=C(OCC[C@@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000851377138 634347760 /nfs/dbraw/zinc/34/77/60/634347760.db2.gz PBVPBMDLNPSYBB-SECBINFHSA-N 0 0 271.219 2.797 20 5 CFBDRN CCc1ccc(C(=O)OCc2cnc(C)o2)cc1[N+](=O)[O-] ZINC000851448782 634366254 /nfs/dbraw/zinc/36/62/54/634366254.db2.gz YKRQZEUYCXGDHS-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CC1CC(COC(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000851462252 634375274 /nfs/dbraw/zinc/37/52/74/634375274.db2.gz SQACYSUOWMYCDH-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OCCOC(F)F ZINC000853017832 634876794 /nfs/dbraw/zinc/87/67/94/634876794.db2.gz OXERMKSAWQLDAR-UHFFFAOYSA-N 0 0 267.615 2.866 20 5 CFBDRN CC1(C)CCCC[C@H]1Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000853021688 634878651 /nfs/dbraw/zinc/87/86/51/634878651.db2.gz VIGDLOQJQWXSAC-VIFPVBQESA-N 0 0 282.300 2.916 20 5 CFBDRN Cc1cc(NC[C@H](O)c2ccco2)c([N+](=O)[O-])s1 ZINC000853155367 634920507 /nfs/dbraw/zinc/92/05/07/634920507.db2.gz MGJPIVYEOJJWQX-VIFPVBQESA-N 0 0 268.294 2.703 20 5 CFBDRN CC1(C)CCCC[C@H]1COC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000853159150 634921230 /nfs/dbraw/zinc/92/12/30/634921230.db2.gz CHAMLLQAHSAYQQ-NSHDSACASA-N 0 0 295.339 2.551 20 5 CFBDRN O=c1[nH]ccc(NCC2Cc3ccccc3C2)c1[N+](=O)[O-] ZINC000853347102 634978942 /nfs/dbraw/zinc/97/89/42/634978942.db2.gz REJRHPRTUZLXNW-UHFFFAOYSA-N 0 0 285.303 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NC[C@H]2CCCOC2)c1 ZINC000111319285 634996900 /nfs/dbraw/zinc/99/69/00/634996900.db2.gz YWRNVRLLOYXVFS-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1C[C@H](C)[C@H]1C ZINC000853650673 635061145 /nfs/dbraw/zinc/06/11/45/635061145.db2.gz VICZWJRGGDCQBB-JGVFFNPUSA-N 0 0 298.726 2.879 20 5 CFBDRN Cc1cc(N[C@@H]2CCn3ccnc32)c([N+](=O)[O-])s1 ZINC000853651174 635061453 /nfs/dbraw/zinc/06/14/53/635061453.db2.gz RXTBDZHXUZHDTK-MRVPVSSYSA-N 0 0 264.310 2.718 20 5 CFBDRN CC1=NO[C@H](CNc2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000853661207 635065785 /nfs/dbraw/zinc/06/57/85/635065785.db2.gz RELSLJUKWNTTHD-JTQLQIEISA-N 0 0 286.291 2.720 20 5 CFBDRN COCCCCN(C)c1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000853694144 635077824 /nfs/dbraw/zinc/07/78/24/635077824.db2.gz IKAMEOVYPVLVMM-UHFFFAOYSA-N 0 0 282.340 2.775 20 5 CFBDRN Cn1cncc1CCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000853702403 635079405 /nfs/dbraw/zinc/07/94/05/635079405.db2.gz HKBPFSDJLBMVAV-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN Cn1cncc1CCOc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000853700686 635079666 /nfs/dbraw/zinc/07/96/66/635079666.db2.gz SDCRVXODKULWCO-UHFFFAOYSA-N 0 0 299.689 2.742 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2cc(C3CC3)nn2)ccc1Cl ZINC000853737413 635089411 /nfs/dbraw/zinc/08/94/11/635089411.db2.gz GIPXXLFHQKAZRB-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN C[C@]1(C2CC2)CN(c2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000853766460 635099745 /nfs/dbraw/zinc/09/97/45/635099745.db2.gz ZLVWOZNBEXVQMU-CQSZACIVSA-N 0 0 298.289 2.878 20 5 CFBDRN Cc1noc(CSCCC2CCOCC2)c1[N+](=O)[O-] ZINC000853774173 635102716 /nfs/dbraw/zinc/10/27/16/635102716.db2.gz DYTINRPOZOXOGV-UHFFFAOYSA-N 0 0 286.353 2.941 20 5 CFBDRN COc1cc(N[C@@H]2C=CCC2)c([N+](=O)[O-])cc1F ZINC000853799679 635110993 /nfs/dbraw/zinc/11/09/93/635110993.db2.gz JHVSUAXYMZDACF-MRVPVSSYSA-N 0 0 252.245 2.873 20 5 CFBDRN COC(=O)/C=C/c1ccc(N[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000853801009 635111730 /nfs/dbraw/zinc/11/17/30/635111730.db2.gz KOFNUVBHOZBNRY-YPUOHESYSA-N 0 0 288.303 2.912 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1C=CCC1 ZINC000853825459 635119460 /nfs/dbraw/zinc/11/94/60/635119460.db2.gz BSZBUZVGZLAMRD-QMMMGPOBSA-N 0 0 265.244 2.574 20 5 CFBDRN COc1cc(N[C@@H]2COC3(CCC3)C2)c(F)cc1[N+](=O)[O-] ZINC000853827496 635120182 /nfs/dbraw/zinc/12/01/82/635120182.db2.gz ZNMDLLJRGAZSJN-VIFPVBQESA-N 0 0 296.298 2.866 20 5 CFBDRN CN(CC1=CCCOC1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000853836788 635122990 /nfs/dbraw/zinc/12/29/90/635122990.db2.gz MHAYARZZMVYIRT-UHFFFAOYSA-N 0 0 284.262 2.656 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@H](O)c3ccccc32)n1 ZINC000853839646 635125444 /nfs/dbraw/zinc/12/54/44/635125444.db2.gz OXBFACGWXOVYTR-JSGCOSHPSA-N 0 0 285.303 2.889 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]23OCCC[C@H]2C3(F)F)n1 ZINC000853847600 635129496 /nfs/dbraw/zinc/12/94/96/635129496.db2.gz VQPBWCSZRKMKQZ-PWSUYJOCSA-N 0 0 299.277 2.524 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])O1 ZINC000853848637 635131648 /nfs/dbraw/zinc/13/16/48/635131648.db2.gz ABAGVLXOGIVJNT-MWLCHTKSSA-N 0 0 295.295 2.873 20 5 CFBDRN CCC(=O)c1ccc(N2CCC[C@@H]2[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000853862631 635137975 /nfs/dbraw/zinc/13/79/75/635137975.db2.gz QXQCMIWGNUGVGI-ZYHUDNBSSA-N 0 0 292.335 2.537 20 5 CFBDRN COC(=O)C[C@H](CNc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000853868145 635141056 /nfs/dbraw/zinc/14/10/56/635141056.db2.gz DMRSKXDLXQYAKI-SNVBAGLBSA-N 0 0 296.298 2.735 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1C[C@H](O)C12CCC2 ZINC000853870706 635141891 /nfs/dbraw/zinc/14/18/91/635141891.db2.gz MXDDTSRJMXIZQH-KBPBESRZSA-N 0 0 290.319 2.513 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1C[C@@H](O)C12CCC2 ZINC000853870419 635142146 /nfs/dbraw/zinc/14/21/46/635142146.db2.gz KXPAOWSCXQHMGM-UONOGXRCSA-N 0 0 290.319 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@@H]1C[C@H](O)C12CCC2 ZINC000853872172 635143023 /nfs/dbraw/zinc/14/30/23/635143023.db2.gz ZYEIAGWBVIYRRT-NEPJUHHUSA-N 0 0 282.727 2.964 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)OCCN1c1ccc([N+](=O)[O-])cc1 ZINC000853881072 635145151 /nfs/dbraw/zinc/14/51/51/635145151.db2.gz OXSCXVYGGVVRFT-LDYMZIIASA-N 0 0 290.241 2.751 20 5 CFBDRN CCC(C)(CC)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853910314 635158599 /nfs/dbraw/zinc/15/85/99/635158599.db2.gz KCGYOMZLFLWTPD-UHFFFAOYSA-N 0 0 270.285 2.761 20 5 CFBDRN Cc1noc(COC(=O)C[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000853912186 635160414 /nfs/dbraw/zinc/16/04/14/635160414.db2.gz XNMITXFSHWAQEP-WCBMZHEXSA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)c2ccoc2C(C)C)c1[N+](=O)[O-] ZINC000853914435 635161148 /nfs/dbraw/zinc/16/11/48/635161148.db2.gz HGQJHOWJEXSGCV-UHFFFAOYSA-N 0 0 294.263 2.965 20 5 CFBDRN CCCC[C@@H](C)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853915841 635161366 /nfs/dbraw/zinc/16/13/66/635161366.db2.gz LLZSBRJBVUDLBC-MRVPVSSYSA-N 0 0 270.285 2.761 20 5 CFBDRN CC[C@@H]1CCC[C@H]1C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853920758 635164270 /nfs/dbraw/zinc/16/42/70/635164270.db2.gz GRDDETBXVLCBBG-NXEZZACHSA-N 0 0 282.296 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3COC[C@H]3C2)c(Cl)c1 ZINC000853933521 635169843 /nfs/dbraw/zinc/16/98/43/635169843.db2.gz FPKOLCXHLNHAHQ-VHSXEESVSA-N 0 0 282.727 2.721 20 5 CFBDRN Cc1ccc(N2CCO[C@@](C)(C(F)F)C2)c([N+](=O)[O-])c1 ZINC000853997943 635187804 /nfs/dbraw/zinc/18/78/04/635187804.db2.gz QICVTYRTGNOYEA-CYBMUJFWSA-N 0 0 286.278 2.764 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000854061051 635202162 /nfs/dbraw/zinc/20/21/62/635202162.db2.gz UQRNTQVIOHYJFD-NXEZZACHSA-N 0 0 297.355 2.729 20 5 CFBDRN CC[C@H](NC)c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000854142767 635230434 /nfs/dbraw/zinc/23/04/34/635230434.db2.gz YZPBAAGIVSPABV-NSHDSACASA-N 0 0 290.323 2.548 20 5 CFBDRN O=C([O-])C1(C[NH2+]Cc2cc(Cl)ccc2[N+](=O)[O-])CCC1 ZINC000854244740 635270637 /nfs/dbraw/zinc/27/06/37/635270637.db2.gz QYQRXGVXFBERFI-UHFFFAOYSA-N 0 0 298.726 2.593 20 5 CFBDRN CC1(C)CC[C@H](C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854496270 635317378 /nfs/dbraw/zinc/31/73/78/635317378.db2.gz CUDQEGKWZRECJN-VIFPVBQESA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@H]1CC=C(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000854496565 635317464 /nfs/dbraw/zinc/31/74/64/635317464.db2.gz NGVUXKYBFYEPES-VIFPVBQESA-N 0 0 275.308 2.862 20 5 CFBDRN CC(C)CCSCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496471 635317520 /nfs/dbraw/zinc/31/75/20/635317520.db2.gz LTXFDVOGRHKAOK-UHFFFAOYSA-N 0 0 297.380 2.895 20 5 CFBDRN Nc1ccc(NC(=O)C2=CCCCC2)cc1[N+](=O)[O-] ZINC000854495997 635317534 /nfs/dbraw/zinc/31/75/34/635317534.db2.gz ZZPBDGLQSRPJHD-UHFFFAOYSA-N 0 0 261.281 2.616 20 5 CFBDRN CC/C(C)=C(/C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496297 635317542 /nfs/dbraw/zinc/31/75/42/635317542.db2.gz DLDKQTXOUUFVQA-HJWRWDBZSA-N 0 0 263.297 2.862 20 5 CFBDRN Nc1ccc(NC(=O)C[C@@H]2CC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000854496528 635317869 /nfs/dbraw/zinc/31/78/69/635317869.db2.gz MXYIZTCNKAVEHU-ATZCPNFKSA-N 0 0 275.308 2.552 20 5 CFBDRN CC[C@H](C)CCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854495929 635317889 /nfs/dbraw/zinc/31/78/89/635317889.db2.gz YGIZAYRYDDODNW-VIFPVBQESA-N 0 0 265.313 2.942 20 5 CFBDRN Nc1ccc(NC(=O)C[C@@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000854497221 635318298 /nfs/dbraw/zinc/31/82/98/635318298.db2.gz KVASJGQFQSXABB-SNVBAGLBSA-N 0 0 275.308 2.862 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCC(=O)C1 ZINC000854509879 635321900 /nfs/dbraw/zinc/32/19/00/635321900.db2.gz CBIMIGYYBCVUSS-JTQLQIEISA-N 0 0 276.292 2.519 20 5 CFBDRN CC(C)(C)C1CN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000854903105 635418268 /nfs/dbraw/zinc/41/82/68/635418268.db2.gz BTGZXAURENCJDJ-UHFFFAOYSA-N 0 0 280.299 2.852 20 5 CFBDRN CC(C)(CCC(=O)Nc1cncc2c1CCCC2)[N+](=O)[O-] ZINC000855199803 635483709 /nfs/dbraw/zinc/48/37/09/635483709.db2.gz CGGFDSQEYWIHTR-UHFFFAOYSA-N 0 0 291.351 2.734 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCc1cccnc1 ZINC000010237449 635523532 /nfs/dbraw/zinc/52/35/32/635523532.db2.gz ZGJMAEFQIPJOGI-VMPITWQZSA-N 0 0 284.271 2.746 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000855388103 635527667 /nfs/dbraw/zinc/52/76/67/635527667.db2.gz ORKRRZKPZYBDHN-VIFPVBQESA-N 0 0 279.340 2.651 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000855415943 635534711 /nfs/dbraw/zinc/53/47/11/635534711.db2.gz CILCSLRHKFWCGX-SECBINFHSA-N 0 0 266.684 2.697 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000855524557 635571036 /nfs/dbraw/zinc/57/10/36/635571036.db2.gz NZRYNFZFTYBZCA-CZMCAQCFSA-N 0 0 298.726 2.546 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCCOC(C)C)c1 ZINC000113480234 635589589 /nfs/dbraw/zinc/58/95/89/635589589.db2.gz JCUQVJUQAOTOMB-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CC(C)(CCC(=O)N(CCC1CC1)CC1CC1)[N+](=O)[O-] ZINC000856284601 635776976 /nfs/dbraw/zinc/77/69/76/635776976.db2.gz UXDJOMAWNKDLJN-UHFFFAOYSA-N 0 0 282.384 2.861 20 5 CFBDRN C[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1N)C(C)(C)C ZINC000157343028 650215823 /nfs/dbraw/zinc/21/58/23/650215823.db2.gz YHMFCBCLYJQFHS-VIFPVBQESA-N 0 0 279.340 2.589 20 5 CFBDRN C/C(=C\C(=O)OCC(C)(C)[N+](=O)[O-])c1cccs1 ZINC000857036441 635913306 /nfs/dbraw/zinc/91/33/06/635913306.db2.gz JEDMCVAUNZJZQS-VQHVLOKHSA-N 0 0 269.322 2.750 20 5 CFBDRN CC(C)(CCC(=O)N1CC(Cc2ccsc2)C1)[N+](=O)[O-] ZINC000857409584 635975317 /nfs/dbraw/zinc/97/53/17/635975317.db2.gz OEUGJUWLBFQRPP-UHFFFAOYSA-N 0 0 296.392 2.585 20 5 CFBDRN O=C(Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CC2(CC2)C1 ZINC000857634608 635999967 /nfs/dbraw/zinc/99/99/67/635999967.db2.gz KEBVTSJVNUDMIM-UHFFFAOYSA-N 0 0 292.247 2.599 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC(CC3CC3)C2)c1[N+](=O)[O-] ZINC000857738340 636019192 /nfs/dbraw/zinc/01/91/92/636019192.db2.gz WEOLSRZAYKIXLM-UHFFFAOYSA-N 0 0 292.310 2.914 20 5 CFBDRN Cc1nn(C)c(N[C@H](C)c2ccc(F)cc2)c1[N+](=O)[O-] ZINC000047330995 650232173 /nfs/dbraw/zinc/23/21/73/650232173.db2.gz XTENMEKFINGPDE-MRVPVSSYSA-N 0 0 278.287 2.949 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1C[C@@H](F)[C@@H](F)C1 ZINC000858561015 636292862 /nfs/dbraw/zinc/29/28/62/636292862.db2.gz WJDUMJNLNSYPPD-PHIMTYICSA-N 0 0 279.246 2.639 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@H]2c2cn[nH]c2)c(F)c1 ZINC000858618747 636310223 /nfs/dbraw/zinc/31/02/23/636310223.db2.gz FLXWQILVABAWAF-LBPRGKRZSA-N 0 0 294.261 2.938 20 5 CFBDRN CCn1nncc1CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000858649572 636315979 /nfs/dbraw/zinc/31/59/79/636315979.db2.gz BTZBZFCDNOJCMW-UHFFFAOYSA-N 0 0 299.693 2.611 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000858777133 636343752 /nfs/dbraw/zinc/34/37/52/636343752.db2.gz FXERXXKDTRBUGP-SREGZZRCSA-N 0 0 295.295 2.727 20 5 CFBDRN CN(OCC(F)(F)F)c1ccc(F)cc1[N+](=O)[O-] ZINC000858869807 636364921 /nfs/dbraw/zinc/36/49/21/636364921.db2.gz NWYSHUSMVCMNQL-UHFFFAOYSA-N 0 0 268.166 2.664 20 5 CFBDRN CCOc1cc(N(C)OCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000858869683 636365482 /nfs/dbraw/zinc/36/54/82/636365482.db2.gz LSTDRDKPVYYPLU-UHFFFAOYSA-N 0 0 294.229 2.924 20 5 CFBDRN Cc1ccc(F)c2c1CCCN2Cn1nccc1[N+](=O)[O-] ZINC000159196876 650250452 /nfs/dbraw/zinc/25/04/52/650250452.db2.gz QOXJRJCKUPIDEK-UHFFFAOYSA-N 0 0 290.298 2.649 20 5 CFBDRN CCCOC(=O)Oc1cc(N(C)C)ccc1[N+](=O)[O-] ZINC000859052134 636428332 /nfs/dbraw/zinc/42/83/32/636428332.db2.gz VOUCTEKWJHREBT-UHFFFAOYSA-N 0 0 268.269 2.586 20 5 CFBDRN CCCOCCOC(=O)Oc1c(F)cccc1[N+](=O)[O-] ZINC000859110859 636459754 /nfs/dbraw/zinc/45/97/54/636459754.db2.gz VHJYTUSYKNOSFB-UHFFFAOYSA-N 0 0 287.243 2.676 20 5 CFBDRN Cc1c(CC(=O)OCc2cccnc2)cccc1[N+](=O)[O-] ZINC000159419723 650259824 /nfs/dbraw/zinc/25/98/24/650259824.db2.gz IUZIXDWTKSGQLS-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN CCO[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000859527372 636589685 /nfs/dbraw/zinc/58/96/85/636589685.db2.gz UIPMUJZAZXLASC-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000859535746 636593681 /nfs/dbraw/zinc/59/36/81/636593681.db2.gz ZAWNKCQFPRGGEL-BQBZGAKWSA-N 0 0 271.219 2.686 20 5 CFBDRN CC(C)(CCC(=O)OCC(=O)C1C(C)(C)C1(C)C)[N+](=O)[O-] ZINC000859708909 636660932 /nfs/dbraw/zinc/66/09/32/636660932.db2.gz DJFJAXKPBWOQAI-UHFFFAOYSA-N 0 0 299.367 2.616 20 5 CFBDRN CCC[C@H](OCC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000859839843 636720302 /nfs/dbraw/zinc/72/03/02/636720302.db2.gz HOVOIZUOKXDDAQ-ZDUSSCGKSA-N 0 0 299.298 2.982 20 5 CFBDRN CCO[C@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000860371749 636870713 /nfs/dbraw/zinc/87/07/13/636870713.db2.gz XGCVIYDGRKQLJJ-ZDUSSCGKSA-N 0 0 299.298 2.838 20 5 CFBDRN CCO[C@H](C(=O)OCc1csc([N+](=O)[O-])c1)C(C)C ZINC000860372042 636870798 /nfs/dbraw/zinc/87/07/98/636870798.db2.gz ZDQJPICQGNRBOK-NSHDSACASA-N 0 0 287.337 2.761 20 5 CFBDRN CCO[C@H](C(=O)OCCc1ccccc1[N+](=O)[O-])C(C)C ZINC000860606767 636925524 /nfs/dbraw/zinc/92/55/24/636925524.db2.gz KBJKQNAMKNQMNM-AWEZNQCLSA-N 0 0 295.335 2.742 20 5 CFBDRN CN(C)c1ccc(COC(=O)C23CC(C2)C3)cc1[N+](=O)[O-] ZINC000860651095 636937920 /nfs/dbraw/zinc/93/79/20/636937920.db2.gz GNSAPEWQEUBDFJ-UHFFFAOYSA-N 0 0 290.319 2.504 20 5 CFBDRN O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)C1CC1 ZINC000117677653 636941639 /nfs/dbraw/zinc/94/16/39/636941639.db2.gz ZZRIRBXETCCBQB-UHFFFAOYSA-N 0 0 288.259 2.703 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CC[C@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000860777409 636969717 /nfs/dbraw/zinc/96/97/17/636969717.db2.gz PHNYFJQVYXBRHT-JIMOISOXSA-N 0 0 281.283 2.528 20 5 CFBDRN CC(C)(COC(=O)C1(C)CCC(F)(F)CC1)[N+](=O)[O-] ZINC000860866477 636995357 /nfs/dbraw/zinc/99/53/57/636995357.db2.gz LSGMKYSHEWYLCX-UHFFFAOYSA-N 0 0 279.283 2.801 20 5 CFBDRN C[C@H]1CCc2c(C(=O)OCCC[N+](=O)[O-])csc2C1 ZINC000860912030 637014270 /nfs/dbraw/zinc/01/42/70/637014270.db2.gz DCFJOWKNZSPSGV-VIFPVBQESA-N 0 0 283.349 2.697 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc(Cl)ccc1Cl ZINC000860911910 637014419 /nfs/dbraw/zinc/01/44/19/637014419.db2.gz XEIHHFGBUWLFFU-UHFFFAOYSA-N 0 0 278.091 2.817 20 5 CFBDRN Cc1ccc(C(=O)OCCC[N+](=O)[O-])cc1-n1cccc1 ZINC000860913007 637015355 /nfs/dbraw/zinc/01/53/55/637015355.db2.gz LBMWERNLBPTQHQ-UHFFFAOYSA-N 0 0 288.303 2.609 20 5 CFBDRN COc1ccc2oc(C(=O)OCCC[N+](=O)[O-])c(C)c2c1 ZINC000860912475 637015451 /nfs/dbraw/zinc/01/54/51/637015451.db2.gz PQGYDYVAKLSCQZ-UHFFFAOYSA-N 0 0 293.275 2.573 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000860914121 637015540 /nfs/dbraw/zinc/01/55/40/637015540.db2.gz PGBUYNUFYHZWQR-IUCAKERBSA-N 0 0 283.246 2.565 20 5 CFBDRN CC[C@H](Sc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860914879 637015677 /nfs/dbraw/zinc/01/56/77/637015677.db2.gz JLUNYOKBUZCRCB-LBPRGKRZSA-N 0 0 283.349 2.767 20 5 CFBDRN C[C@H](C(=O)OCCC[N+](=O)[O-])c1ccc(Cl)s1 ZINC000860917342 637016229 /nfs/dbraw/zinc/01/62/29/637016229.db2.gz KDCABDWWENQZNX-ZETCQYMHSA-N 0 0 277.729 2.715 20 5 CFBDRN CC[C@@H](CC(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860915970 637016333 /nfs/dbraw/zinc/01/63/33/637016333.db2.gz KYRWTCSXNBHDMF-LBPRGKRZSA-N 0 0 265.309 2.780 20 5 CFBDRN O=C(C[C@H]1CCCc2ccccc21)OCCC[N+](=O)[O-] ZINC000860915606 637016417 /nfs/dbraw/zinc/01/64/17/637016417.db2.gz UZESZHGUURULHE-CYBMUJFWSA-N 0 0 277.320 2.707 20 5 CFBDRN C[C@H](CSCc1ccccc1)C(=O)OCCC[N+](=O)[O-] ZINC000860917246 637016428 /nfs/dbraw/zinc/01/64/28/637016428.db2.gz IIXUDCPPBKINDV-GFCCVEGCSA-N 0 0 297.376 2.766 20 5 CFBDRN CC(C)c1ccsc1C(=O)OCCC[N+](=O)[O-] ZINC000860916585 637016457 /nfs/dbraw/zinc/01/64/57/637016457.db2.gz UXPQJVJMHAFLOY-UHFFFAOYSA-N 0 0 257.311 2.695 20 5 CFBDRN Cc1[nH]c2ccc(Cl)cc2c1C(=O)OCCC[N+](=O)[O-] ZINC000860918070 637016939 /nfs/dbraw/zinc/01/69/39/637016939.db2.gz ZDGSIKONQJYDGW-UHFFFAOYSA-N 0 0 296.710 2.953 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000860921116 637017997 /nfs/dbraw/zinc/01/79/97/637017997.db2.gz OGJIUOPTTGZLFK-HTQZYQBOSA-N 0 0 289.740 2.715 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cccc(C2CCC2)c1 ZINC000860921147 637018058 /nfs/dbraw/zinc/01/80/58/637018058.db2.gz OPXNFHYBMRMSRE-UHFFFAOYSA-N 0 0 263.293 2.778 20 5 CFBDRN CC1(C)C[C@@]1(C(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860922279 637018130 /nfs/dbraw/zinc/01/81/30/637018130.db2.gz LYORMXFYDMAYAN-HNNXBMFYSA-N 0 0 277.320 2.564 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCCCc1ccco1 ZINC000861021139 637047214 /nfs/dbraw/zinc/04/72/14/637047214.db2.gz OYLQBOGXDISZOZ-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN Cc1ccc(C(=O)OC[C@]2(C)CCCOC2)cc1[N+](=O)[O-] ZINC000861038374 637050669 /nfs/dbraw/zinc/05/06/69/637050669.db2.gz DYVFGUVZZGGFGS-OAHLLOKOSA-N 0 0 293.319 2.877 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@@H]2C[C@@H]2C(C)(C)C)n1 ZINC000861115702 637094093 /nfs/dbraw/zinc/09/40/93/637094093.db2.gz PFEVMQGEIVANLU-UWVGGRQHSA-N 0 0 295.339 2.650 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)/C=C(\C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000861304543 637158141 /nfs/dbraw/zinc/15/81/41/637158141.db2.gz HMVCSWPRKDPTKX-FPERESLSSA-N 0 0 291.303 2.719 20 5 CFBDRN COC[C@@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])C(C)C ZINC000885801863 637229943 /nfs/dbraw/zinc/22/99/43/637229943.db2.gz XKMVNPTXAMOPLS-GFCCVEGCSA-N 0 0 294.351 2.552 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000862862357 637511869 /nfs/dbraw/zinc/51/18/69/637511869.db2.gz IAXOOKLRFCPSOP-MFKMUULPSA-N 0 0 298.726 2.546 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@@H]1CC=CCC1 ZINC000862946663 637523870 /nfs/dbraw/zinc/52/38/70/637523870.db2.gz AZSPSDLTMWUJSY-LLVKDONJSA-N 0 0 289.335 2.653 20 5 CFBDRN Cc1cnc(OCCC(F)(F)Cl)c([N+](=O)[O-])c1 ZINC000863309512 637624033 /nfs/dbraw/zinc/62/40/33/637624033.db2.gz XKVUANQZWSNGIX-UHFFFAOYSA-N 0 0 266.631 2.899 20 5 CFBDRN C[C@H]1C[C@@H]1COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000863347864 637634717 /nfs/dbraw/zinc/63/47/17/637634717.db2.gz URIZXFSYYWDFHS-JGVFFNPUSA-N 0 0 252.226 2.538 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@@H]2CC[C@@H](c3ccccc3)C2)n1 ZINC000863372390 637644049 /nfs/dbraw/zinc/64/40/49/637644049.db2.gz UDJJSJZHRVGHRP-DGCLKSJQSA-N 0 0 272.308 2.770 20 5 CFBDRN NC(CCC(F)(F)F)=NOCc1ccccc1[N+](=O)[O-] ZINC000863488827 637675407 /nfs/dbraw/zinc/67/54/07/637675407.db2.gz GKAIORRHYJLDKI-UHFFFAOYSA-N 0 0 291.229 2.936 20 5 CFBDRN CC(C)(CCC(=O)N1C[C@@H]2CCCC[C@@]21C)[N+](=O)[O-] ZINC000863591010 637706493 /nfs/dbraw/zinc/70/64/93/637706493.db2.gz RPKQKAYYGUMKDN-FZMZJTMJSA-N 0 0 268.357 2.613 20 5 CFBDRN Cc1ccc(NCc2cccc([N+](=O)[O-])c2C)cc1C(N)=O ZINC000864010328 637835790 /nfs/dbraw/zinc/83/57/90/637835790.db2.gz WAYYJNCVUVSBQM-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN CCCCN(CC)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864446413 637982893 /nfs/dbraw/zinc/98/28/93/637982893.db2.gz GQJHWDBGAGDJLQ-UHFFFAOYSA-N 0 0 282.315 2.925 20 5 CFBDRN CCCN(CC1CC1)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864450584 637985073 /nfs/dbraw/zinc/98/50/73/637985073.db2.gz QZAODIGTUDNAEJ-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN CCC[C@H](C)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864693753 638070470 /nfs/dbraw/zinc/07/04/70/638070470.db2.gz WXANFPJCOHMMMR-VIFPVBQESA-N 0 0 268.288 2.581 20 5 CFBDRN COc1ccc(OCC2(O)CCCCC2)cc1[N+](=O)[O-] ZINC000189572174 650390189 /nfs/dbraw/zinc/39/01/89/650390189.db2.gz ADXGUZNUSOIWJE-UHFFFAOYSA-N 0 0 281.308 2.677 20 5 CFBDRN CC(C)CN(C(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000864772711 638087089 /nfs/dbraw/zinc/08/70/89/638087089.db2.gz GFEOJPCYMPBDBM-UHFFFAOYSA-N 0 0 294.326 2.923 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864774662 638089064 /nfs/dbraw/zinc/08/90/64/638089064.db2.gz XFDCBTCMOKHSJG-SNVBAGLBSA-N 0 0 282.315 2.779 20 5 CFBDRN Cc1ccc(OS(=O)(=O)c2cccs2)c([N+](=O)[O-])c1 ZINC000015328245 638110938 /nfs/dbraw/zinc/11/09/38/638110938.db2.gz RATRJBKSETWJIO-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865012057 638159557 /nfs/dbraw/zinc/15/95/57/638159557.db2.gz LYKPDLIVICXTLF-CYBMUJFWSA-N 0 0 294.326 2.923 20 5 CFBDRN CC1(NC(=O)Cc2cccc(F)c2[N+](=O)[O-])CCCC1 ZINC000865013073 638159990 /nfs/dbraw/zinc/15/99/90/638159990.db2.gz IWMWFPHKKXQSJP-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN CCOCCCCCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000865029564 638165806 /nfs/dbraw/zinc/16/58/06/638165806.db2.gz VLGVBDORMGBSHY-UHFFFAOYSA-N 0 0 294.351 2.808 20 5 CFBDRN CCCCNC(=S)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000865263894 638248469 /nfs/dbraw/zinc/24/84/69/638248469.db2.gz HJENBCRTGWXPSK-UHFFFAOYSA-N 0 0 281.381 2.667 20 5 CFBDRN Cc1ccc(CSc2nccn2C)cc1[N+](=O)[O-] ZINC000865342103 638272960 /nfs/dbraw/zinc/27/29/60/638272960.db2.gz DYTUQQKMQZJBHB-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CC3(CO2)CCOCC3)cc1 ZINC000865341555 638273444 /nfs/dbraw/zinc/27/34/44/638273444.db2.gz SQNOFHPUURDSHW-AWEZNQCLSA-N 0 0 293.319 2.559 20 5 CFBDRN COc1ccc(O[C@@H](C)C(=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000865346643 638275318 /nfs/dbraw/zinc/27/53/18/638275318.db2.gz SVJYBZGLRDQZED-VIFPVBQESA-N 0 0 281.308 2.986 20 5 CFBDRN CC1(C)CC[C@@H](COc2cc(C=O)ccc2[N+](=O)[O-])OC1 ZINC000865354712 638279611 /nfs/dbraw/zinc/27/96/11/638279611.db2.gz XMNCHBJUITVYHB-LBPRGKRZSA-N 0 0 293.319 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)CC2CC3(CCC3)C2)cc1 ZINC000865367752 638287778 /nfs/dbraw/zinc/28/77/78/638287778.db2.gz ZHHKNMJGBXTKPS-UHFFFAOYSA-N 0 0 295.360 2.949 20 5 CFBDRN C[C@H](Cn1cc([N+](=O)[O-])cc(F)c1=O)CC(C)(C)C ZINC000865369546 638288888 /nfs/dbraw/zinc/28/88/88/638288888.db2.gz ATFGKWYCCIBWGR-VIFPVBQESA-N 0 0 270.304 2.968 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COc1cc([N+](=O)[O-])c(F)cc1F ZINC000865370548 638290244 /nfs/dbraw/zinc/29/02/44/638290244.db2.gz NABRGBAJYHOWCK-JGVFFNPUSA-N 0 0 273.235 2.677 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(O[C@@H](C)C(=O)C(C)(C)C)c1C ZINC000865371704 638290823 /nfs/dbraw/zinc/29/08/23/638290823.db2.gz ZXVSMLNBCNQXCV-JTQLQIEISA-N 0 0 280.324 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(Cl)c1SCCO ZINC000865399694 638309609 /nfs/dbraw/zinc/30/96/09/638309609.db2.gz SMJMMFYUPPJZRA-UHFFFAOYSA-N 0 0 268.121 2.986 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CCCCCCC2)cc1F ZINC000865401956 638311192 /nfs/dbraw/zinc/31/11/92/638311192.db2.gz FPQULNHSMRHRDB-UHFFFAOYSA-N 0 0 282.315 2.997 20 5 CFBDRN C[C@@H](CNc1cc(F)c([N+](=O)[O-])cc1CO)C1CC1 ZINC000865465245 638354132 /nfs/dbraw/zinc/35/41/32/638354132.db2.gz WVTANQXTJFUBTA-QMMMGPOBSA-N 0 0 268.288 2.684 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC[C@H]2CC=CCC2)cc1F ZINC000865472298 638358947 /nfs/dbraw/zinc/35/89/47/638358947.db2.gz WZKOAUQJYUXIGN-JTQLQIEISA-N 0 0 280.299 2.994 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2ccc(N3CCCC3)nn2)c1 ZINC000865475638 638362297 /nfs/dbraw/zinc/36/22/97/638362297.db2.gz YPBAZPNVZHRNJI-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN COC(=O)[C@H](C)CNc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865498646 638380810 /nfs/dbraw/zinc/38/08/10/638380810.db2.gz FNJYYTBFNRUWEM-SSDOTTSWSA-N 0 0 288.250 2.753 20 5 CFBDRN Cc1c(CNCc2cn(C3CC3)cn2)cccc1[N+](=O)[O-] ZINC000865542858 638410258 /nfs/dbraw/zinc/41/02/58/638410258.db2.gz RTYXTCXZSHEOBN-UHFFFAOYSA-N 0 0 286.335 2.724 20 5 CFBDRN O=[N+]([O-])c1ccc(CCCCNc2ccc(F)nn2)cc1 ZINC000865549900 638416094 /nfs/dbraw/zinc/41/60/94/638416094.db2.gz LYPLTNDHGFVETR-UHFFFAOYSA-N 0 0 290.298 2.959 20 5 CFBDRN O=[N+]([O-])c1ccc(NOC[C@H]2CCOC2)c(C(F)F)c1 ZINC000865721611 638534965 /nfs/dbraw/zinc/53/49/65/638534965.db2.gz XJGSKXYHEFCVBH-QMMMGPOBSA-N 0 0 288.250 2.912 20 5 CFBDRN CCCn1nccc1C[NH2+]Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000865787852 638574507 /nfs/dbraw/zinc/57/45/07/638574507.db2.gz UETXICMUOFYLCV-UHFFFAOYSA-N 0 0 288.351 2.800 20 5 CFBDRN CC/C=C/CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865850128 638613361 /nfs/dbraw/zinc/61/33/61/638613361.db2.gz UQTANHGCKPUYRS-ONEGZZNKSA-N 0 0 254.261 2.604 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CCCC[C@H]2F)cc1F ZINC000865878259 638638942 /nfs/dbraw/zinc/63/89/42/638638942.db2.gz FYYPUUKPKXRIAG-MWLCHTKSSA-N 0 0 286.278 2.919 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C)c1)C(OC)OC ZINC000865924322 638659804 /nfs/dbraw/zinc/65/98/04/638659804.db2.gz LPPJOZFVISXHQX-NSHDSACASA-N 0 0 268.313 2.713 20 5 CFBDRN Cc1cc(N[C@H]2CCCN(CCF)C2)ccc1[N+](=O)[O-] ZINC000865927925 638662022 /nfs/dbraw/zinc/66/20/22/638662022.db2.gz KYXAEKNABOEPJB-ZDUSSCGKSA-N 0 0 281.331 2.749 20 5 CFBDRN Cc1cc(N[C@H]2CCCN(CCF)C2)c([N+](=O)[O-])s1 ZINC000865927981 638662227 /nfs/dbraw/zinc/66/22/27/638662227.db2.gz MZLRAONUOUCHPK-JTQLQIEISA-N 0 0 287.360 2.811 20 5 CFBDRN Cc1nnc(CN(C)c2ccc([N+](=O)[O-])cc2C(F)F)o1 ZINC000865940071 638668081 /nfs/dbraw/zinc/66/80/81/638668081.db2.gz OZWUIRHBGVOEJK-UHFFFAOYSA-N 0 0 298.249 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(COC3)C2)c(C(F)F)c1 ZINC000865952896 638674256 /nfs/dbraw/zinc/67/42/56/638674256.db2.gz ZZZLQMNAMQYEBK-UHFFFAOYSA-N 0 0 284.262 2.759 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865953253 638674305 /nfs/dbraw/zinc/67/43/05/638674305.db2.gz FNYGQLQRRSXINR-CLYYMRHHSA-N 0 0 294.326 2.994 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H]2CCSC2)c(F)c1 ZINC000865984035 638686625 /nfs/dbraw/zinc/68/66/25/638686625.db2.gz PSOFCDVPDPPQKP-QMMMGPOBSA-N 0 0 271.317 2.684 20 5 CFBDRN CC(C)(F)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000865986770 638687776 /nfs/dbraw/zinc/68/77/76/638687776.db2.gz KMHMNLHWZXPJRZ-UHFFFAOYSA-N 0 0 257.221 2.663 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@@H]2CCSC2)nc2ccccn21 ZINC000865986143 638687979 /nfs/dbraw/zinc/68/79/79/638687979.db2.gz QODPHNISBJHFKF-SNVBAGLBSA-N 0 0 292.364 2.798 20 5 CFBDRN CC(C)(F)CNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000865987323 638688904 /nfs/dbraw/zinc/68/89/04/638688904.db2.gz YNAYQNXJWAIDLX-UHFFFAOYSA-N 0 0 295.314 2.647 20 5 CFBDRN O=C(NCC[C@@H]1CCSC1)Nc1cccc([N+](=O)[O-])c1 ZINC000866027779 638703198 /nfs/dbraw/zinc/70/31/98/638703198.db2.gz RAKJELSQRUTDDE-SNVBAGLBSA-N 0 0 295.364 2.860 20 5 CFBDRN Cc1cccc(N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)c1[N+](=O)[O-] ZINC000866043384 638708298 /nfs/dbraw/zinc/70/82/98/638708298.db2.gz JUNVOEVBHFZUOE-QGJZQDQRSA-N 0 0 274.320 2.739 20 5 CFBDRN Cc1cc(N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)c(F)cc1[N+](=O)[O-] ZINC000866046253 638710894 /nfs/dbraw/zinc/71/08/94/638710894.db2.gz XFGIVFFJISXTJF-NPKNSRMISA-N 0 0 292.310 2.878 20 5 CFBDRN Cc1ccc(N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)c([N+](=O)[O-])c1 ZINC000866046517 638710922 /nfs/dbraw/zinc/71/09/22/638710922.db2.gz RZPFNNJUYQEDIT-GLRJYAJPSA-N 0 0 274.320 2.739 20 5 CFBDRN COCC1(C2CC2)CN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000866057310 638717783 /nfs/dbraw/zinc/71/77/83/638717783.db2.gz VJLARGPNBANOTD-UHFFFAOYSA-N 0 0 280.299 2.597 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000866068929 638721573 /nfs/dbraw/zinc/72/15/73/638721573.db2.gz FDLOTZMJBGEINS-GXFFZTMASA-N 0 0 292.335 2.801 20 5 CFBDRN CCOc1cc(N2C[C@H](OC)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000866069278 638722552 /nfs/dbraw/zinc/72/25/52/638722552.db2.gz CJUJZUIMLQHUCA-WCQYABFASA-N 0 0 294.351 2.997 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000866084985 638730111 /nfs/dbraw/zinc/73/01/11/638730111.db2.gz YOJAMGQNDIJUDQ-MFKMUULPSA-N 0 0 293.323 2.626 20 5 CFBDRN CCOC(CN(CC)c1ccc([N+](=O)[O-])cc1)OCC ZINC000866128572 638751201 /nfs/dbraw/zinc/75/12/01/638751201.db2.gz NZOFORVOFYEGTP-UHFFFAOYSA-N 0 0 282.340 2.820 20 5 CFBDRN C[C@@]1(C(F)(F)F)CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000866158381 638760248 /nfs/dbraw/zinc/76/02/48/638760248.db2.gz RXPNCLYBKQWMTL-VIFPVBQESA-N 0 0 296.270 2.814 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2C[C@@H]3CC=CC[C@@H]3C2)c1 ZINC000866163292 638761537 /nfs/dbraw/zinc/76/15/37/638761537.db2.gz PXEPESOYZIUVJB-PHIMTYICSA-N 0 0 259.309 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC23CCOCC3)cn1 ZINC000866173611 638763476 /nfs/dbraw/zinc/76/34/76/638763476.db2.gz WXOGGORFHXQCCK-NSHDSACASA-N 0 0 277.324 2.609 20 5 CFBDRN Cc1nc(NC[C@@H]2CCC23CCOCC3)ccc1[N+](=O)[O-] ZINC000866174032 638763783 /nfs/dbraw/zinc/76/37/83/638763783.db2.gz CPHITHGWHNMZKO-LBPRGKRZSA-N 0 0 291.351 2.917 20 5 CFBDRN Cc1nc(NC[C@@H]2C[C@H]2C2CCOCC2)ccc1[N+](=O)[O-] ZINC000866180053 638764582 /nfs/dbraw/zinc/76/45/82/638764582.db2.gz JFEMEOAKYYBBTP-STQMWFEESA-N 0 0 291.351 2.773 20 5 CFBDRN Cc1ccc(CNc2ccc([C@@H](C)O)cn2)cc1[N+](=O)[O-] ZINC000866182426 638764829 /nfs/dbraw/zinc/76/48/29/638764829.db2.gz YDVQAAWNPVOZGW-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN CN1Cc2ccccc2[C@H]1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000866194365 638767193 /nfs/dbraw/zinc/76/71/93/638767193.db2.gz IJEOQGOYTUDOJW-MRXNPFEDSA-N 0 0 298.346 2.776 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H]3CSC[C@@H]3C2)c1 ZINC000866199979 638768563 /nfs/dbraw/zinc/76/85/63/638768563.db2.gz FFXYZAGBJCDEDC-MNOVXSKESA-N 0 0 294.376 2.793 20 5 CFBDRN Nc1c(F)c(N2CC[C@@H]3CSC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000866204789 638770051 /nfs/dbraw/zinc/77/00/51/638770051.db2.gz ZRXMYAGCBCPLBF-RKDXNWHRSA-N 0 0 297.355 2.505 20 5 CFBDRN C[C@H]1CCC[C@@H](C2CN(c3ncc([N+](=O)[O-])cn3)C2)C1 ZINC000866254749 638781778 /nfs/dbraw/zinc/78/17/78/638781778.db2.gz XYFMWOBYQQLVPD-WDEREUQCSA-N 0 0 276.340 2.647 20 5 CFBDRN Cc1cncc([C@H]2CCCN2c2ccc(N)c([N+](=O)[O-])n2)c1 ZINC000866276999 638787438 /nfs/dbraw/zinc/78/74/38/638787438.db2.gz GVAFVJNMJYLRNN-CYBMUJFWSA-N 0 0 299.334 2.617 20 5 CFBDRN CN1CCN(c2ccc([N+](=O)[O-])s2)Cc2cccnc21 ZINC000866294298 638790642 /nfs/dbraw/zinc/79/06/42/638790642.db2.gz GQKRREIMWVMONX-UHFFFAOYSA-N 0 0 290.348 2.508 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000866300526 638791679 /nfs/dbraw/zinc/79/16/79/638791679.db2.gz BFFFZJTWXYMZNX-MWLCHTKSSA-N 0 0 280.324 2.759 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000121756192 638890240 /nfs/dbraw/zinc/89/02/40/638890240.db2.gz MVNBYIWSUNRWNK-LLVKDONJSA-N 0 0 293.367 2.825 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000867733929 638895356 /nfs/dbraw/zinc/89/53/56/638895356.db2.gz QEFWSJBMJABAIK-GJQVQUKXSA-N 0 0 288.347 2.812 20 5 CFBDRN Cc1ccc(CNC(=O)OCC2CC2)cc1[N+](=O)[O-] ZINC000867785874 638897741 /nfs/dbraw/zinc/89/77/41/638897741.db2.gz YYDZTHYZZVYXNB-UHFFFAOYSA-N 0 0 264.281 2.539 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@H]1CC12CCCC2 ZINC000867800776 638898713 /nfs/dbraw/zinc/89/87/13/638898713.db2.gz DMZFMCRWIYEAEG-GFCCVEGCSA-N 0 0 292.310 2.725 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000868220708 638931057 /nfs/dbraw/zinc/93/10/57/638931057.db2.gz PJQJIBNUZMHAJN-GWCFXTLKSA-N 0 0 291.351 2.891 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000868607656 638961390 /nfs/dbraw/zinc/96/13/90/638961390.db2.gz AMNHDBPPJRHOJG-FBKDDSFISA-N 0 0 292.335 2.586 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCC1=CCCC1 ZINC000869286684 639135329 /nfs/dbraw/zinc/13/53/29/639135329.db2.gz JHWFLQALRAPZTP-UHFFFAOYSA-N 0 0 278.283 2.503 20 5 CFBDRN CCc1n[nH]cc1C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000869347338 639189395 /nfs/dbraw/zinc/18/93/95/639189395.db2.gz IZXXDFBZYAPWNY-UHFFFAOYSA-N 0 0 289.291 2.546 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OC[C@@H](C)C(C)(C)C ZINC000869368724 639198206 /nfs/dbraw/zinc/19/82/06/639198206.db2.gz NUPSNQPTVGWQEO-SNVBAGLBSA-N 0 0 297.355 2.715 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@H]2CCO[C@H]2C)c1[N+](=O)[O-] ZINC000869430825 639226094 /nfs/dbraw/zinc/22/60/94/639226094.db2.gz LOCQDJICGPFJJJ-VHSXEESVSA-N 0 0 297.282 2.624 20 5 CFBDRN CO[C@H]1CCC[C@H](OC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000078232335 650482817 /nfs/dbraw/zinc/48/28/17/650482817.db2.gz PFLVYENIESDXIP-QWRGUYRKSA-N 0 0 279.292 2.709 20 5 CFBDRN CCC(CC)(CO)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000869540177 639286485 /nfs/dbraw/zinc/28/64/85/639286485.db2.gz SFWHZKFZRBDKTC-UHFFFAOYSA-N 0 0 295.335 2.745 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@H]1CCSC1 ZINC000869607237 639320367 /nfs/dbraw/zinc/32/03/67/639320367.db2.gz JDNXIAFIUWILMJ-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN CC(C)(F)CNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000869623647 639328290 /nfs/dbraw/zinc/32/82/90/639328290.db2.gz LNCYBJLYXVGKAI-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000869651923 639341065 /nfs/dbraw/zinc/34/10/65/639341065.db2.gz AVTAXYAZBQLZDB-BBBLOLIVSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])[C@H](C)O1 ZINC000869651924 639341098 /nfs/dbraw/zinc/34/10/98/639341098.db2.gz AVTAXYAZBQLZDB-KXUCPTDWSA-N 0 0 297.282 2.591 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)cccc1[N+](=O)[O-] ZINC000869655648 639342620 /nfs/dbraw/zinc/34/26/20/639342620.db2.gz ZIZDMAGQYQTRLO-UFGOTCBOSA-N 0 0 293.319 2.760 20 5 CFBDRN O=c1cccc(Cl)n1Cc1c(F)cccc1[N+](=O)[O-] ZINC000122834232 639457832 /nfs/dbraw/zinc/45/78/32/639457832.db2.gz LRFHRIORTPZKCC-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN CO[C@@H](COC(=O)c1c(F)cccc1[N+](=O)[O-])CC(C)C ZINC000869896906 639458644 /nfs/dbraw/zinc/45/86/44/639458644.db2.gz JJZDBBPWWFFHQW-SNVBAGLBSA-N 0 0 299.298 2.952 20 5 CFBDRN O=C(OCC1CCC(=O)CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000869896912 639458752 /nfs/dbraw/zinc/45/87/52/639458752.db2.gz JMNIEFPTGZVAQX-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN O=C(C=C1CCC1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000122837979 639458880 /nfs/dbraw/zinc/45/88/80/639458880.db2.gz QTRUYMUBBTVOOP-UHFFFAOYSA-N 0 0 272.264 2.520 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCOC1CCC1 ZINC000078516608 650510967 /nfs/dbraw/zinc/51/09/67/650510967.db2.gz PILKWBQVFGWDAY-UHFFFAOYSA-N 0 0 254.261 2.715 20 5 CFBDRN O=C(NCCC1CCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000123041610 639589351 /nfs/dbraw/zinc/58/93/51/639589351.db2.gz RXOMFGDHNNMFQX-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])OCC(F)(F)F ZINC000078516589 650511428 /nfs/dbraw/zinc/51/14/28/650511428.db2.gz XKVYMIDNRCGRGN-UHFFFAOYSA-N 0 0 292.213 2.502 20 5 CFBDRN C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1ccc(F)nc1 ZINC000870495350 639692230 /nfs/dbraw/zinc/69/22/30/639692230.db2.gz SPUQZBKRMDSBAN-VIFPVBQESA-N 0 0 284.287 2.660 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000870577051 639730953 /nfs/dbraw/zinc/73/09/53/639730953.db2.gz XTTBAEUFRDUVRK-PHIMTYICSA-N 0 0 267.306 2.646 20 5 CFBDRN CC(=O)[C@@H]1C[C@H](C(=O)Oc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000123261494 639768705 /nfs/dbraw/zinc/76/87/05/639768705.db2.gz QJCHOSCILAYKGK-QWHCGFSZSA-N 0 0 291.303 2.752 20 5 CFBDRN CCCOCC(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700193 639778249 /nfs/dbraw/zinc/77/82/49/639778249.db2.gz SZICEBONUMZVOP-UHFFFAOYSA-N 0 0 281.308 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C(C)C ZINC000870700744 639779026 /nfs/dbraw/zinc/77/90/26/639779026.db2.gz YEPLMEZMJMGNHU-UHFFFAOYSA-N 0 0 251.282 2.911 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@H]1CC1(F)F ZINC000870701459 639779131 /nfs/dbraw/zinc/77/91/31/639779131.db2.gz UEOSZXCRBSJDSH-SNVBAGLBSA-N 0 0 285.246 2.910 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000870702305 639779871 /nfs/dbraw/zinc/77/98/71/639779871.db2.gz AETVKPUKKWEDLW-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN COCc1ccc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])o1 ZINC000078760919 650530529 /nfs/dbraw/zinc/53/05/29/650530529.db2.gz MFGWQANPRBVMJZ-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCCCOCCOC(=O)c1csc([N+](=O)[O-])c1 ZINC000079390655 650587930 /nfs/dbraw/zinc/58/79/30/650587930.db2.gz WGOXKOAZJVLJLF-UHFFFAOYSA-N 0 0 273.310 2.630 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc(-n3ccnc3)nc2)cc1 ZINC000192774036 650593487 /nfs/dbraw/zinc/59/34/87/650593487.db2.gz MXHHXYSLKJGKBD-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN COC(=O)CCCCCCNc1ccc([N+](=O)[O-])cn1 ZINC000192809456 650598753 /nfs/dbraw/zinc/59/87/53/650598753.db2.gz WRLSPJMMNMGWEN-UHFFFAOYSA-N 0 0 281.312 2.525 20 5 CFBDRN CC(C)(NC(=O)C1=COCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000079631307 650609534 /nfs/dbraw/zinc/60/95/34/650609534.db2.gz NXZQGUGUOKRPRW-UHFFFAOYSA-N 0 0 290.319 2.640 20 5 CFBDRN O=C(OC1CC(n2cc([N+](=O)[O-])cn2)C1)C1=CCCCC1 ZINC000870828607 641090928 /nfs/dbraw/zinc/09/09/28/641090928.db2.gz SXMNRDKNIQFCBE-UHFFFAOYSA-N 0 0 291.307 2.538 20 5 CFBDRN CCC(=CC(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1)CC ZINC000870829061 641090961 /nfs/dbraw/zinc/09/09/61/641090961.db2.gz FNKXVMSRZBBHDG-UHFFFAOYSA-N 0 0 293.323 2.784 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cccnc1 ZINC000193203615 650632924 /nfs/dbraw/zinc/63/29/24/650632924.db2.gz ADOVDRHNFGVAKS-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=C(NCC1CCC2(CC2)CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000871014727 641149733 /nfs/dbraw/zinc/14/97/33/641149733.db2.gz OMMNEVXFMMWPPA-UHFFFAOYSA-N 0 0 277.324 2.623 20 5 CFBDRN O=C(NCC[C@H]1CCCS1)c1ccc([N+](=O)[O-])s1 ZINC000871024797 641159013 /nfs/dbraw/zinc/15/90/13/641159013.db2.gz VLYSYQSFJRJUNM-MRVPVSSYSA-N 0 0 286.378 2.672 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000871087978 641194974 /nfs/dbraw/zinc/19/49/74/641194974.db2.gz QFYXCBGUMQOTGG-SDVRRHBGSA-N 0 0 292.360 2.618 20 5 CFBDRN Cc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])cs1 ZINC000871117697 641208873 /nfs/dbraw/zinc/20/88/73/641208873.db2.gz WWYZCCBXFCFMSU-UHFFFAOYSA-N 0 0 256.327 2.831 20 5 CFBDRN COC(C)(C)CCNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000871259867 641269679 /nfs/dbraw/zinc/26/96/79/641269679.db2.gz QRZNRSSUDPSINS-UHFFFAOYSA-N 0 0 298.314 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1CC2(CCC2)CO1 ZINC000871631759 641375127 /nfs/dbraw/zinc/37/51/27/641375127.db2.gz XLSILYRWOXTAQO-LBPRGKRZSA-N 0 0 293.319 2.941 20 5 CFBDRN Cc1cnc(OC2CC(CF)(CF)C2)c([N+](=O)[O-])c1 ZINC000871656550 641385491 /nfs/dbraw/zinc/38/54/91/641385491.db2.gz NTPCHPWDQAZHFC-UHFFFAOYSA-N 0 0 272.251 2.765 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1c(C)ccnc1Cl ZINC000871665018 641388601 /nfs/dbraw/zinc/38/86/01/641388601.db2.gz PXJSNCVCCPQCQO-UHFFFAOYSA-N 0 0 296.714 2.536 20 5 CFBDRN CO[C@H]1C[C@@H](COc2c(F)cccc2[N+](=O)[O-])C1 ZINC000871668057 641392489 /nfs/dbraw/zinc/39/24/89/641392489.db2.gz UJRORFKXXBMXPI-DTORHVGOSA-N 0 0 255.245 2.538 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2CC3(CCC3)CO2)nc1C1CC1 ZINC000871668276 641392880 /nfs/dbraw/zinc/39/28/80/641392880.db2.gz WQSXKPGMWSKLSW-NSHDSACASA-N 0 0 277.324 2.628 20 5 CFBDRN C[C@H](O)CCOc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871694984 641409800 /nfs/dbraw/zinc/40/98/00/641409800.db2.gz VHJBDOZPVMGIJC-LURJTMIESA-N 0 0 263.652 2.537 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2cc([N+](=O)[O-])ccc2F)C1 ZINC000871817451 641468693 /nfs/dbraw/zinc/46/86/93/641468693.db2.gz FXGXILMEGGAFAW-WAAGHKOSSA-N 0 0 254.261 2.571 20 5 CFBDRN CCC[C@@H](CC)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000871843882 641478248 /nfs/dbraw/zinc/47/82/48/641478248.db2.gz NUOFSXMCZHPMIE-MRVPVSSYSA-N 0 0 279.300 2.672 20 5 CFBDRN Cc1c(C[NH2+][C@@H]2CCC[C@]2(C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000871878949 641495922 /nfs/dbraw/zinc/49/59/22/641495922.db2.gz WVKLMKKVMGOELQ-HIFRSBDPSA-N 0 0 292.335 2.636 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCCOC(F)F ZINC000193616381 650673342 /nfs/dbraw/zinc/67/33/42/650673342.db2.gz LXBSIIOBWCIKJL-UHFFFAOYSA-N 0 0 264.203 2.775 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2c(F)cccc2[N+](=O)[O-])n(C)n1 ZINC000872070245 641601327 /nfs/dbraw/zinc/60/13/27/641601327.db2.gz SVUXUMUKQGYQON-JTQLQIEISA-N 0 0 292.314 2.627 20 5 CFBDRN C[C@@H](NCC1=CCCOC1)c1c(F)cccc1[N+](=O)[O-] ZINC000872071460 641602182 /nfs/dbraw/zinc/60/21/82/641602182.db2.gz PLTDXPHSAMOZMR-SNVBAGLBSA-N 0 0 280.299 2.731 20 5 CFBDRN C[C@H](N[C@@H](C)c1c(F)cccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000872080314 641613178 /nfs/dbraw/zinc/61/31/78/641613178.db2.gz VQYWRVBSAAYENW-UWVGGRQHSA-N 0 0 292.314 2.879 20 5 CFBDRN C[C@H](N[C@@H]1CCn2ccnc21)c1ccccc1[N+](=O)[O-] ZINC000872128857 641656445 /nfs/dbraw/zinc/65/64/45/641656445.db2.gz ZUOKCTIBBKRLDL-CMPLNLGQSA-N 0 0 272.308 2.587 20 5 CFBDRN Cc1ccc(C[NH+]2CCC(CC(=O)[O-])CC2)cc1[N+](=O)[O-] ZINC000872159972 641680593 /nfs/dbraw/zinc/68/05/93/641680593.db2.gz VLYHECHCQVWIHB-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN Cc1ccc(C[N@@H+](C)[C@@H](CC(C)C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000872160637 641682554 /nfs/dbraw/zinc/68/25/54/641682554.db2.gz KDJIMNNNBPVNQE-AWEZNQCLSA-N 0 0 294.351 2.834 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN[C@]23CCC[C@H]2OCC3)c(F)c1 ZINC000872316873 641753485 /nfs/dbraw/zinc/75/34/85/641753485.db2.gz ZAGBNJZPGKPBHT-KGLIPLIRSA-N 0 0 298.289 2.674 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ccncc1F ZINC000124152061 641858783 /nfs/dbraw/zinc/85/87/83/641858783.db2.gz UYIQPTWRPMOUDR-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C(C)=O)CC1 ZINC000872667810 641890163 /nfs/dbraw/zinc/89/01/63/641890163.db2.gz MGGMIKBESPUOTM-UHFFFAOYSA-N 0 0 290.319 2.603 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@H](C(C)(C)O)C1 ZINC000872674872 641893249 /nfs/dbraw/zinc/89/32/49/641893249.db2.gz WIORPYAIXUGRJB-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN COCC(C)(C)CCNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000872685086 641895905 /nfs/dbraw/zinc/89/59/05/641895905.db2.gz GWJPJLJOAJEMOM-UHFFFAOYSA-N 0 0 292.339 2.946 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CC(C)(C)CO1 ZINC000872687082 641897257 /nfs/dbraw/zinc/89/72/57/641897257.db2.gz TXIMEEKWGUIHAE-CYBMUJFWSA-N 0 0 294.351 2.968 20 5 CFBDRN Nc1ccc(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c([N+](=O)[O-])c1 ZINC000872697643 641902040 /nfs/dbraw/zinc/90/20/40/641902040.db2.gz OXNISUMPTZVBQA-CHIMOYNISA-N 0 0 277.324 2.547 20 5 CFBDRN C[C@@]1(C(F)(F)F)CCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000872728954 641914394 /nfs/dbraw/zinc/91/43/94/641914394.db2.gz GSDMNWLAXNHTKP-LLVKDONJSA-N 0 0 289.257 2.956 20 5 CFBDRN CC[C@@H](C)COC(=O)COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000124223732 641914648 /nfs/dbraw/zinc/91/46/48/641914648.db2.gz GOQBJJZFJXXRNO-SNVBAGLBSA-N 0 0 297.307 2.572 20 5 CFBDRN C[C@@]1(C(F)(F)F)CCN(c2ncccc2[N+](=O)[O-])C1 ZINC000872730896 641915492 /nfs/dbraw/zinc/91/54/92/641915492.db2.gz RIDPWTROYUSUNT-SNVBAGLBSA-N 0 0 275.230 2.769 20 5 CFBDRN CCOC(=O)[C@@H](C)C1CN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000872772362 641927043 /nfs/dbraw/zinc/92/70/43/641927043.db2.gz MZVAICRWTBRNSS-NSHDSACASA-N 0 0 292.335 2.539 20 5 CFBDRN CCOc1cccc(N2CC[C@H](C(C)(C)O)C2)c1[N+](=O)[O-] ZINC000872782481 641937182 /nfs/dbraw/zinc/93/71/82/641937182.db2.gz UEZPYDSLGYAUDP-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNc1ccn(C)n1 ZINC000873074992 642004983 /nfs/dbraw/zinc/00/49/83/642004983.db2.gz OYZSWWLYWPPTQT-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1cc(CNCc2c(C)ccc([N+](=O)[O-])c2C)ncn1 ZINC000873097532 642013013 /nfs/dbraw/zinc/01/30/13/642013013.db2.gz BKQPDSYSRUAFNE-UHFFFAOYSA-N 0 0 286.335 2.600 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNc1ccc(CO)cn1 ZINC000873127123 642021237 /nfs/dbraw/zinc/02/12/37/642021237.db2.gz INAFVSXLTPIQLV-UHFFFAOYSA-N 0 0 287.319 2.711 20 5 CFBDRN CCn1ncc(NCc2csc([N+](=O)[O-])c2)c1C ZINC000873196266 642060850 /nfs/dbraw/zinc/06/08/50/642060850.db2.gz QXXBLZOEZXDCBP-UHFFFAOYSA-N 0 0 266.326 2.793 20 5 CFBDRN Cc1c(C[N@@H+]2CCCCC[C@@H]2C(=O)[O-])cccc1[N+](=O)[O-] ZINC000080992679 650717910 /nfs/dbraw/zinc/71/79/10/650717910.db2.gz LKKVUNYBBCEUOG-CQSZACIVSA-N 0 0 292.335 2.732 20 5 CFBDRN CC[C@@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873319644 642135082 /nfs/dbraw/zinc/13/50/82/642135082.db2.gz NAMNDYYLTYWNJO-OYVUYXNMSA-N 0 0 277.276 2.519 20 5 CFBDRN O=C(OC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1ccc([N+](=O)[O-])cc1 ZINC000873339777 642141452 /nfs/dbraw/zinc/14/14/52/642141452.db2.gz KTZNFUUVUOUVIT-UBHSHLNASA-N 0 0 291.303 2.709 20 5 CFBDRN CC[C@@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(C)=O ZINC000873365176 642156636 /nfs/dbraw/zinc/15/66/36/642156636.db2.gz JTJDVYHPHNHNIT-SNVBAGLBSA-N 0 0 285.683 2.773 20 5 CFBDRN CC[C@@H](OC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873378668 642166936 /nfs/dbraw/zinc/16/69/36/642166936.db2.gz MSNUQOUSKOVXAU-ATWMFIQVSA-N 0 0 291.303 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCCC(C)(C)O ZINC000873438536 642205123 /nfs/dbraw/zinc/20/51/23/642205123.db2.gz PWMXIRLDMSKELA-UHFFFAOYSA-N 0 0 281.308 2.529 20 5 CFBDRN COC[C@@H](C)COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873520149 642232196 /nfs/dbraw/zinc/23/21/96/642232196.db2.gz LTOILACHILGEMZ-SECBINFHSA-N 0 0 281.308 2.651 20 5 CFBDRN Cc1c(C(=O)OC2CCSCC2)cccc1[N+](=O)[O-] ZINC000873560039 642250649 /nfs/dbraw/zinc/25/06/49/642250649.db2.gz JQHAKXFYGBHGOM-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN Cc1cc(C(=O)OC2CCSCC2)ccc1[N+](=O)[O-] ZINC000873560065 642250720 /nfs/dbraw/zinc/25/07/20/642250720.db2.gz KOFKJVNJKHXGBU-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@H]1C[C@@H]1C ZINC000874037258 642323849 /nfs/dbraw/zinc/32/38/49/642323849.db2.gz SRESLGNWWGFQPA-GXSJLCMTSA-N 0 0 262.309 2.597 20 5 CFBDRN Cc1ccc(CNC(=O)c2c(C)ccc([N+](=O)[O-])c2C)cn1 ZINC000874071149 642333919 /nfs/dbraw/zinc/33/39/19/642333919.db2.gz VPNKKJZEYHJUTN-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CCn1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])c1C ZINC000874071254 642334022 /nfs/dbraw/zinc/33/40/22/642334022.db2.gz HUFACPUURWKPJC-UHFFFAOYSA-N 0 0 287.319 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCc1ccncc1 ZINC000874123240 642340281 /nfs/dbraw/zinc/34/02/81/642340281.db2.gz IGNWJEJPGAVJPH-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1nnc(NC(=O)c2c(C)ccc([N+](=O)[O-])c2C)s1 ZINC000874126605 642342210 /nfs/dbraw/zinc/34/22/10/642342210.db2.gz WJZBEAPXOQBRSL-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@H](C)C1CC1 ZINC000874181996 642349522 /nfs/dbraw/zinc/34/95/22/642349522.db2.gz NIGJRJIUFDFMNI-SNVBAGLBSA-N 0 0 276.336 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CCc1cscn1 ZINC000195293469 650745977 /nfs/dbraw/zinc/74/59/77/650745977.db2.gz QBTPWVXZZUCLGA-UHFFFAOYSA-N 0 0 292.316 2.898 20 5 CFBDRN Cc1cnccc1CC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000874625455 642428247 /nfs/dbraw/zinc/42/82/47/642428247.db2.gz UXFGYJOCPYJFOG-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN CCC1(CC)CCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000874630231 642433300 /nfs/dbraw/zinc/43/33/00/642433300.db2.gz QGARRCQYZJLYFR-UHFFFAOYSA-N 0 0 291.351 2.972 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000874779961 642480197 /nfs/dbraw/zinc/48/01/97/642480197.db2.gz KYUIIISVQFBMJB-MFKMUULPSA-N 0 0 274.320 2.757 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1CC12CCC2 ZINC000874782439 642483284 /nfs/dbraw/zinc/48/32/84/642483284.db2.gz BNACVPMWUGIZQM-GFCCVEGCSA-N 0 0 294.738 2.850 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CC12CCC2 ZINC000874783638 642484381 /nfs/dbraw/zinc/48/43/81/642484381.db2.gz ZQFFDRBAFYNELR-CYBMUJFWSA-N 0 0 274.320 2.586 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC23CCC3)c1 ZINC000874789492 642489947 /nfs/dbraw/zinc/48/99/47/642489947.db2.gz MARXRCXKUWTLIS-GFCCVEGCSA-N 0 0 292.360 2.989 20 5 CFBDRN Cc1ccc(CNC(=O)N2[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000876169328 642784447 /nfs/dbraw/zinc/78/44/47/642784447.db2.gz TZSNZPPYMWSAOZ-PHIMTYICSA-N 0 0 277.324 2.596 20 5 CFBDRN Cc1ccc(CNC(=O)NC2(C)CCC2)cc1[N+](=O)[O-] ZINC000876169409 642784629 /nfs/dbraw/zinc/78/46/29/642784629.db2.gz WHYQCASZTQHJKD-UHFFFAOYSA-N 0 0 277.324 2.645 20 5 CFBDRN Cc1ccc(CNC(=O)N2C3CCC2CC3)cc1[N+](=O)[O-] ZINC000876172398 642787501 /nfs/dbraw/zinc/78/75/01/642787501.db2.gz UNSQOHPOXPHRRU-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN CCC1(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)COC1 ZINC000084850224 650782696 /nfs/dbraw/zinc/78/26/96/650782696.db2.gz ONLZQIKPIVQIBE-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@@H](C)[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000876406261 642853707 /nfs/dbraw/zinc/85/37/07/642853707.db2.gz KRMHCYJUNMGOQS-GMTAPVOTSA-N 0 0 277.324 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN(C)Cc1cn[nH]c1 ZINC000876743043 642990771 /nfs/dbraw/zinc/99/07/71/642990771.db2.gz ZYTZZRZWFDHKHB-UHFFFAOYSA-N 0 0 274.324 2.567 20 5 CFBDRN CCCc1ccc(NCc2cn(C)nc2[N+](=O)[O-])cc1 ZINC000876820187 643025302 /nfs/dbraw/zinc/02/53/02/643025302.db2.gz LWVYVOUVTWEYSF-UHFFFAOYSA-N 0 0 274.324 2.893 20 5 CFBDRN CCCOc1ccccc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000876827737 643029334 /nfs/dbraw/zinc/02/93/34/643029334.db2.gz SZWHBPZSQIXRHZ-UHFFFAOYSA-N 0 0 290.323 2.729 20 5 CFBDRN O=[N+]([O-])c1cnn(C2CC(Nc3ccccc3)C2)c1 ZINC000876833255 643031367 /nfs/dbraw/zinc/03/13/67/643031367.db2.gz HIKSCEZARYWZBU-UHFFFAOYSA-N 0 0 258.281 2.607 20 5 CFBDRN Cn1cc(CNc2ccccc2OC(F)F)c([N+](=O)[O-])n1 ZINC000876837980 643033565 /nfs/dbraw/zinc/03/35/65/643033565.db2.gz NGZIWJHSCGTNMK-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN O=c1ccnc(C=Cc2ccc([N+](=O)[O-])c(Cl)c2)[nH]1 ZINC000126452388 643228864 /nfs/dbraw/zinc/22/88/64/643228864.db2.gz CMJLYTJPXYPLEI-DUXPYHPUSA-N 0 0 277.667 2.502 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000089241320 650831434 /nfs/dbraw/zinc/83/14/34/650831434.db2.gz FJTPKHRASBMERJ-LLVKDONJSA-N 0 0 280.324 2.619 20 5 CFBDRN Cc1c(CN2CCC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000877616826 643402150 /nfs/dbraw/zinc/40/21/50/643402150.db2.gz ODFAEDJUBQQKRD-LBPRGKRZSA-N 0 0 252.289 2.837 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1CCc2nccnc2C1 ZINC000877640536 643425317 /nfs/dbraw/zinc/42/53/17/643425317.db2.gz OOYQCSQMBUTHIE-UHFFFAOYSA-N 0 0 298.346 2.560 20 5 CFBDRN Cc1c([C@@H]2NC(=O)c3ccccc3N2C)cccc1[N+](=O)[O-] ZINC000877842669 643604892 /nfs/dbraw/zinc/60/48/92/643604892.db2.gz KHFWSVLEZVCFOE-OAHLLOKOSA-N 0 0 297.314 2.782 20 5 CFBDRN COC[C@@H](Cn1nc(C)c2cc([N+](=O)[O-])cnc21)C(C)C ZINC000877878145 643617405 /nfs/dbraw/zinc/61/74/05/643617405.db2.gz MXWSHECVAQVVGC-LLVKDONJSA-N 0 0 292.339 2.567 20 5 CFBDRN COC[C@H](COc1cc(OC)ccc1[N+](=O)[O-])C(C)C ZINC000877886043 643623341 /nfs/dbraw/zinc/62/33/41/643623341.db2.gz YGZLEJKEQBYUBO-LLVKDONJSA-N 0 0 283.324 2.901 20 5 CFBDRN CC1CC(Cn2cc([N+](=O)[O-])c(=O)c3ccccc32)C1 ZINC000877889380 643625397 /nfs/dbraw/zinc/62/53/97/643625397.db2.gz HHZVKSONHLPVCA-UHFFFAOYSA-N 0 0 272.304 2.956 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CC1CCC2(CC2)CC1 ZINC000877890062 643625835 /nfs/dbraw/zinc/62/58/35/643625835.db2.gz UQJRVGXIHCSNLO-UHFFFAOYSA-N 0 0 262.309 2.727 20 5 CFBDRN Cc1ccc(N(C)Cc2cn(C)nc2[N+](=O)[O-])c(C)c1 ZINC000877985226 643679568 /nfs/dbraw/zinc/67/95/68/643679568.db2.gz VWUAFXVFRUFZEJ-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN CCON(Cc1cn(C)nc1[N+](=O)[O-])CC1CCCCC1 ZINC000878694343 643994684 /nfs/dbraw/zinc/99/46/84/643994684.db2.gz PXVQCSOSAWWPLI-UHFFFAOYSA-N 0 0 296.371 2.662 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H]1C[C@H]1C ZINC000127360290 644035445 /nfs/dbraw/zinc/03/54/45/644035445.db2.gz GSHORJJIPNSUPI-BXKDBHETSA-N 0 0 279.292 2.693 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000127597080 644179852 /nfs/dbraw/zinc/17/98/52/644179852.db2.gz HMJBJHDRDYITNO-IINYFYTJSA-N 0 0 291.303 2.643 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC12CCC2 ZINC000879229632 644182164 /nfs/dbraw/zinc/18/21/64/644182164.db2.gz ARTGTHYETQSUDH-GFCCVEGCSA-N 0 0 291.307 2.668 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(Cc2csc([N+](=O)[O-])c2)C1 ZINC000879320674 644225652 /nfs/dbraw/zinc/22/56/52/644225652.db2.gz KSUHUPCFWKTVLP-MWLCHTKSSA-N 0 0 270.354 2.656 20 5 CFBDRN C[C@@H]1CC[C@H](CO)N1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000880441744 644709056 /nfs/dbraw/zinc/70/90/56/644709056.db2.gz FVQMDXZIELCRNN-MWLCHTKSSA-N 0 0 284.743 2.593 20 5 CFBDRN CCOc1cc(NC(=O)N(C)CC2CC2)ccc1[N+](=O)[O-] ZINC000888836069 644835310 /nfs/dbraw/zinc/83/53/10/644835310.db2.gz LCZRAKHXMZGQDC-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CC(C)(C(=O)NC[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000881494537 644993679 /nfs/dbraw/zinc/99/36/79/644993679.db2.gz AIDFPEUAQAMGGC-LBPRGKRZSA-N 0 0 288.347 2.955 20 5 CFBDRN CC(C)(C(=O)NC[C@@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000881499128 644999313 /nfs/dbraw/zinc/99/93/13/644999313.db2.gz QUBOOLXORNEVRO-GFCCVEGCSA-N 0 0 288.347 2.955 20 5 CFBDRN CC(C)(C(=O)NC[C@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000881499129 644999554 /nfs/dbraw/zinc/99/95/54/644999554.db2.gz QUBOOLXORNEVRO-LBPRGKRZSA-N 0 0 288.347 2.955 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@H](CF)C1 ZINC000881594415 645066581 /nfs/dbraw/zinc/06/65/81/645066581.db2.gz KNYDTADTHSESEN-SECBINFHSA-N 0 0 284.262 2.556 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000881602029 645073153 /nfs/dbraw/zinc/07/31/53/645073153.db2.gz QHRTYCRHPTVGOH-VXGBXAGGSA-N 0 0 294.326 2.906 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000881672457 645100282 /nfs/dbraw/zinc/10/02/82/645100282.db2.gz AGCOHIHDBJBFBA-QWRGUYRKSA-N 0 0 280.299 2.516 20 5 CFBDRN O=[N+]([O-])c1ccccc1CS(=O)(=O)Oc1ccccc1 ZINC000129214535 645124405 /nfs/dbraw/zinc/12/44/05/645124405.db2.gz FDSWDUKQCCOIKN-UHFFFAOYSA-N 0 0 293.300 2.504 20 5 CFBDRN CC[C@H]1CCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000881801811 645130674 /nfs/dbraw/zinc/13/06/74/645130674.db2.gz PQIYWCQTHDYYPK-VIFPVBQESA-N 0 0 253.277 2.755 20 5 CFBDRN CN(CC1CCCC1)c1ccnc(F)c1[N+](=O)[O-] ZINC000881864870 645143215 /nfs/dbraw/zinc/14/32/15/645143215.db2.gz DSPGXJUQNJYRTC-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN C[C@](O)(CNc1ccnc(F)c1[N+](=O)[O-])c1ccsc1 ZINC000882008455 645175627 /nfs/dbraw/zinc/17/56/27/645175627.db2.gz PXQWEHDLBNDGIR-LBPRGKRZSA-N 0 0 297.311 2.510 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccnc(F)c2[N+](=O)[O-])C12CCC2 ZINC000882017080 645177226 /nfs/dbraw/zinc/17/72/26/645177226.db2.gz QKRJGVAHPKRLSQ-WDEREUQCSA-N 0 0 295.314 2.889 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882027899 645181483 /nfs/dbraw/zinc/18/14/83/645181483.db2.gz GPHIRKHFFIJOFU-SFYZADRCSA-N 0 0 271.317 2.825 20 5 CFBDRN CC(C)(C)c1nnc(NCCc2ccccc2[N+](=O)[O-])o1 ZINC000882027614 645181614 /nfs/dbraw/zinc/18/16/14/645181614.db2.gz YKPMPTBXRWCYHN-UHFFFAOYSA-N 0 0 290.323 2.930 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2CCC3(CCOCC3)O2)c1 ZINC000882035898 645183430 /nfs/dbraw/zinc/18/34/30/645183430.db2.gz SHOBCFCINBOTKU-AWEZNQCLSA-N 0 0 293.319 2.702 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1C[C@H](C)[C@H](C)C1 ZINC000882036786 645184549 /nfs/dbraw/zinc/18/45/49/645184549.db2.gz MEDBUBICRFHSDM-AOOOYVTPSA-N 0 0 262.309 2.890 20 5 CFBDRN CC(C)[C@H](O)CCOc1cc(F)ccc1[N+](=O)[O-] ZINC000882039670 645185347 /nfs/dbraw/zinc/18/53/47/645185347.db2.gz QMRFHUCAGZFIBY-LLVKDONJSA-N 0 0 257.261 2.520 20 5 CFBDRN CC(C)(C)OC(=O)CCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882041418 645186198 /nfs/dbraw/zinc/18/61/98/645186198.db2.gz RXKHSIVVSYKYIW-UHFFFAOYSA-N 0 0 299.302 2.663 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)c2ccccc2F)cc1 ZINC000303049024 650987621 /nfs/dbraw/zinc/98/76/21/650987621.db2.gz ZCARYVCMFKEWCY-AWEZNQCLSA-N 0 0 277.251 2.846 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC2(CCC2)C1 ZINC000882085657 645195928 /nfs/dbraw/zinc/19/59/28/645195928.db2.gz PLLPMPKDRFNEEQ-UHFFFAOYSA-N 0 0 251.261 2.509 20 5 CFBDRN C[C@@]1(CNc2ccnc(F)c2[N+](=O)[O-])CCCS1 ZINC000882086411 645196627 /nfs/dbraw/zinc/19/66/27/645196627.db2.gz WRIZZAALDYFESK-NSHDSACASA-N 0 0 271.317 2.827 20 5 CFBDRN Cc1nn(C2CC(CF)(CF)C2)c2ncc([N+](=O)[O-])cc12 ZINC000882133152 645214883 /nfs/dbraw/zinc/21/48/83/645214883.db2.gz GBLQWOQUSPKCLU-UHFFFAOYSA-N 0 0 296.277 2.908 20 5 CFBDRN Cc1nn([C@@H](C)C(=O)C(C)(C)C)c2ncc([N+](=O)[O-])cc12 ZINC000882133439 645215832 /nfs/dbraw/zinc/21/58/32/645215832.db2.gz PWJNGDPVICVPIV-VIFPVBQESA-N 0 0 290.323 2.824 20 5 CFBDRN C[C@@H]1CCN(c2ccnc(F)c2[N+](=O)[O-])C[C@@H]1C ZINC000882148410 645219289 /nfs/dbraw/zinc/21/92/89/645219289.db2.gz VKAYWPSTFJGAJH-BDAKNGLRSA-N 0 0 253.277 2.611 20 5 CFBDRN CC(C)[C@@H](O)CCOc1cc([N+](=O)[O-])c(F)cc1F ZINC000882175321 645230880 /nfs/dbraw/zinc/23/08/80/645230880.db2.gz PODXVEWFIFSNNF-NSHDSACASA-N 0 0 275.251 2.659 20 5 CFBDRN CC(C)[C@@H](O)CCn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000882206477 645243465 /nfs/dbraw/zinc/24/34/65/645243465.db2.gz OSCCAIWIZRAZJJ-HNNXBMFYSA-N 0 0 289.335 2.865 20 5 CFBDRN CC(=O)c1c(NC[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000882281014 645261878 /nfs/dbraw/zinc/26/18/78/645261878.db2.gz RRCKZWJGTURJAI-JTQLQIEISA-N 0 0 280.349 2.962 20 5 CFBDRN CC(C)(C)NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129572164 645278810 /nfs/dbraw/zinc/27/88/10/645278810.db2.gz MUBXCFOPYRJMOY-UHFFFAOYSA-N 0 0 256.689 2.777 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC2(CCO2)CC1 ZINC000882315938 645280222 /nfs/dbraw/zinc/28/02/22/645280222.db2.gz TWYRFKBCHMLLQW-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN C[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129596808 645283433 /nfs/dbraw/zinc/28/34/33/645283433.db2.gz GRSJARUCQOZRHV-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1OCCF ZINC000882365560 645291285 /nfs/dbraw/zinc/29/12/85/645291285.db2.gz YSUHUIONAVMLDM-UHFFFAOYSA-N 0 0 264.050 2.706 20 5 CFBDRN C[C@H]1CN(c2ccnc(F)c2[N+](=O)[O-])CCC1(F)F ZINC000882382363 645295485 /nfs/dbraw/zinc/29/54/85/645295485.db2.gz RFIQWVOVFWDVOA-ZETCQYMHSA-N 0 0 275.230 2.610 20 5 CFBDRN CC(=O)c1c(NCC[C@H](C)CCO)cccc1[N+](=O)[O-] ZINC000882407228 645302096 /nfs/dbraw/zinc/30/20/96/645302096.db2.gz IZQNTXGMQWKUGT-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN CC(=O)c1c(NCCC(C)(C)CO)cccc1[N+](=O)[O-] ZINC000882409056 645303117 /nfs/dbraw/zinc/30/31/17/645303117.db2.gz VKFIBVXVGWJDOG-UHFFFAOYSA-N 0 0 280.324 2.618 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H]1CCCC1(F)F ZINC000882418804 645306191 /nfs/dbraw/zinc/30/61/91/645306191.db2.gz NQYFJONWAKHASM-SSDOTTSWSA-N 0 0 275.230 2.976 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)[C@H]1CCCOC1 ZINC000882447109 645320222 /nfs/dbraw/zinc/32/02/22/645320222.db2.gz ZMTCGEQZWZFSNG-GXTWGEPZSA-N 0 0 292.335 2.530 20 5 CFBDRN CC(C)O[C@@H]1CCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882524794 645353401 /nfs/dbraw/zinc/35/34/01/645353401.db2.gz CMFCSAMQCLCYFP-SNVBAGLBSA-N 0 0 283.303 2.523 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@H](C1CC1)C1CCOCC1 ZINC000882525791 645354024 /nfs/dbraw/zinc/35/40/24/645354024.db2.gz OIIRFXXBIDXVNC-GFCCVEGCSA-N 0 0 295.314 2.746 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@H](OC2CCC2)C1 ZINC000882545144 645363627 /nfs/dbraw/zinc/36/36/27/645363627.db2.gz CCHSWGFYINLDFW-NSHDSACASA-N 0 0 295.314 2.667 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC[C@@H]2C[C@@H]2C1 ZINC000882627116 645394283 /nfs/dbraw/zinc/39/42/83/645394283.db2.gz GDZHWPMVGDPXFI-GHMZBOCLSA-N 0 0 260.293 2.644 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC(CCF)CC1 ZINC000882680350 645407882 /nfs/dbraw/zinc/40/78/82/645407882.db2.gz VKTUOHBWZRTPAS-UHFFFAOYSA-N 0 0 271.267 2.705 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1CCCC[C@@H]1F ZINC000882682536 645408958 /nfs/dbraw/zinc/40/89/58/645408958.db2.gz ZRTKVIMMMTYMCO-JGVFFNPUSA-N 0 0 257.240 2.822 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)[C@H]1CCCCO1 ZINC000129903494 645426171 /nfs/dbraw/zinc/42/61/71/645426171.db2.gz STLKMIAYIRAYGA-GFCCVEGCSA-N 0 0 299.710 2.861 20 5 CFBDRN Cc1cc(N[C@@]2(c3ccccc3)C[C@@H](O)C2)ncc1[N+](=O)[O-] ZINC000882735863 645436373 /nfs/dbraw/zinc/43/63/73/645436373.db2.gz WJQJBUAKCNGFPH-AKAXFMLLSA-N 0 0 299.330 2.760 20 5 CFBDRN CC1(C)C[C@]1(C)CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000882783533 645455205 /nfs/dbraw/zinc/45/52/05/645455205.db2.gz BUIWDFCXNGOFSP-CQSZACIVSA-N 0 0 277.324 2.542 20 5 CFBDRN CO[C@H]1C[C@H](CNc2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000882796952 645458698 /nfs/dbraw/zinc/45/86/98/645458698.db2.gz HSBHQJKYOCINMS-UMSPYCQHSA-N 0 0 291.351 2.706 20 5 CFBDRN CO[C@H]1C[C@H](CNc2ccc([N+](=O)[O-])c(OC(C)C)n2)C1 ZINC000882797119 645459228 /nfs/dbraw/zinc/45/92/28/645459228.db2.gz SKZZDFCIOFEEAK-XYPYZODXSA-N 0 0 295.339 2.614 20 5 CFBDRN CO[C@H]1C[C@H](CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000882797270 645459672 /nfs/dbraw/zinc/45/96/72/645459672.db2.gz HLLVFHXUUCSTPL-UMSPYCQHSA-N 0 0 278.308 2.634 20 5 CFBDRN O=C(OCC(F)(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000130155006 645459821 /nfs/dbraw/zinc/45/98/21/645459821.db2.gz KNDARRQXKHRDND-UHFFFAOYSA-N 0 0 283.589 2.967 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@@H](CF)C1 ZINC000882801355 645463145 /nfs/dbraw/zinc/46/31/45/645463145.db2.gz NUMVHVJTSWXZNI-NSHDSACASA-N 0 0 282.315 2.927 20 5 CFBDRN COc1cc(N2CCC[C@H](CF)C2)c([N+](=O)[O-])cc1F ZINC000882802090 645463182 /nfs/dbraw/zinc/46/31/82/645463182.db2.gz PEJLAIOMSQBNEE-SECBINFHSA-N 0 0 286.278 2.928 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@@H](CF)C1 ZINC000882802460 645464203 /nfs/dbraw/zinc/46/42/03/645464203.db2.gz DZDWIWXIXJWQET-VIFPVBQESA-N 0 0 256.252 2.920 20 5 CFBDRN COc1cc(N2CC[C@H](CF)C2)c([N+](=O)[O-])cc1F ZINC000882840959 645476232 /nfs/dbraw/zinc/47/62/32/645476232.db2.gz IYKOQCKVVLYMMD-MRVPVSSYSA-N 0 0 272.251 2.538 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3nccs3)C2)c1 ZINC000882857498 645479315 /nfs/dbraw/zinc/47/93/15/645479315.db2.gz KWMFXPVZRRFUSA-SECBINFHSA-N 0 0 290.348 2.627 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H](CO)Cc1ccoc1 ZINC000882866133 645481950 /nfs/dbraw/zinc/48/19/50/645481950.db2.gz FHSQWURHMQXUDE-LLVKDONJSA-N 0 0 294.282 2.590 20 5 CFBDRN Cc1cc(NC[C@H](CO)Cc2ccoc2)c([N+](=O)[O-])s1 ZINC000882867493 645483414 /nfs/dbraw/zinc/48/34/14/645483414.db2.gz WLBDIYTVVWHLEH-LLVKDONJSA-N 0 0 296.348 2.821 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@@]1(C)c1ccccc1 ZINC000882901942 645502578 /nfs/dbraw/zinc/50/25/78/645502578.db2.gz UNHVGKZTHOQSMP-HNNXBMFYSA-N 0 0 286.335 2.844 20 5 CFBDRN COC[C@H]1C[C@@H](Nc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000882905977 645504880 /nfs/dbraw/zinc/50/48/80/645504880.db2.gz CHNPWFJHQLNYML-KLPPZKSPSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC3(CCCCO3)CC2)n1 ZINC000882949868 645524409 /nfs/dbraw/zinc/52/44/09/645524409.db2.gz KRYAEJNGVYBUIG-UHFFFAOYSA-N 0 0 291.351 2.838 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000130623049 645536270 /nfs/dbraw/zinc/53/62/70/645536270.db2.gz RPIHSUKDRXNOPN-SECBINFHSA-N 0 0 280.299 2.961 20 5 CFBDRN COc1cc(N[C@H]2CC2(C)C)c([N+](=O)[O-])cc1OC ZINC000214214168 645606461 /nfs/dbraw/zinc/60/64/61/645606461.db2.gz KMJWMNKJSIPKIL-LBPRGKRZSA-N 0 0 266.297 2.822 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000131159835 645638366 /nfs/dbraw/zinc/63/83/66/645638366.db2.gz YQQVDSMHWNRFCK-UFBFGSQYSA-N 0 0 280.299 2.961 20 5 CFBDRN C[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)C(F)F ZINC000883399109 645795563 /nfs/dbraw/zinc/79/55/63/645795563.db2.gz DTWPBLOEBGJUQS-JTQLQIEISA-N 0 0 299.321 2.807 20 5 CFBDRN C=C/C=C\CCNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000883468541 645849514 /nfs/dbraw/zinc/84/95/14/645849514.db2.gz VVKBIWVWBPEFBZ-ARJAWSKDSA-N 0 0 289.335 2.569 20 5 CFBDRN Cc1ccc(OC(=O)C[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000131999639 645860306 /nfs/dbraw/zinc/86/03/06/645860306.db2.gz PHDLIJBOUMYIQF-LLVKDONJSA-N 0 0 279.292 2.768 20 5 CFBDRN O=C(NOCc1ccccc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000132159405 645884922 /nfs/dbraw/zinc/88/49/22/645884922.db2.gz BCCZLGFKRDKZNQ-UHFFFAOYSA-N 0 0 290.250 2.596 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@H](CF)C1)c1ccccc1[N+](=O)[O-] ZINC000883552858 645885598 /nfs/dbraw/zinc/88/55/98/645885598.db2.gz DHPGWDGIEPXDGS-GHMZBOCLSA-N 0 0 295.314 2.657 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)C1=CCCC1 ZINC000132674694 645949256 /nfs/dbraw/zinc/94/92/56/645949256.db2.gz DKSLMQVGYOVQKI-UHFFFAOYSA-N 0 0 251.213 2.750 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000133337117 646074553 /nfs/dbraw/zinc/07/45/53/646074553.db2.gz UFAUMMGNRVPCEI-HTQZYQBOSA-N 0 0 262.269 2.732 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000800043770 646120111 /nfs/dbraw/zinc/12/01/11/646120111.db2.gz YZLZXUAHJFKIJV-RYUDHWBXSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C[C@@H]1CCCOC1 ZINC000800150298 646157823 /nfs/dbraw/zinc/15/78/23/646157823.db2.gz KOAZHCMEEOLTDN-JTQLQIEISA-N 0 0 296.298 2.798 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000800165699 646164725 /nfs/dbraw/zinc/16/47/25/646164725.db2.gz ITTUEWVVUIALPI-QMMMGPOBSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1c[nH]nc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000134677330 646377215 /nfs/dbraw/zinc/37/72/15/646377215.db2.gz MMAIASZUOZWVBV-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN C[C@H]1CN(c2cccc(C(F)(F)F)c2[N+](=O)[O-])CCO1 ZINC000884516731 646414250 /nfs/dbraw/zinc/41/42/50/646414250.db2.gz RSTSTKUWBCRZBR-QMMMGPOBSA-N 0 0 290.241 2.839 20 5 CFBDRN CN(CC1=CCCOC1)c1ncc([N+](=O)[O-])c2ccccc21 ZINC000884556521 646446454 /nfs/dbraw/zinc/44/64/54/646446454.db2.gz SQJSUUHWYFSZIO-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CO[C@H](C)CNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884556625 646446510 /nfs/dbraw/zinc/44/65/10/646446510.db2.gz VBNBQRJAKPVMBC-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@@H]1C[C@H]1C1CCCC1 ZINC000884567761 646456828 /nfs/dbraw/zinc/45/68/28/646456828.db2.gz RCFOSJIKUKTPAL-QWHCGFSZSA-N 0 0 290.319 2.859 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000884567434 646456919 /nfs/dbraw/zinc/45/69/19/646456919.db2.gz JTIWHEOIZYSQMV-MGCOHNPYSA-N 0 0 273.292 2.732 20 5 CFBDRN C[C@H](O)CSc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884580810 646467276 /nfs/dbraw/zinc/46/72/76/646467276.db2.gz MSUIDETWTFZNGW-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3C[C@@H]3C2)c(C(F)F)c1 ZINC000884592594 646475920 /nfs/dbraw/zinc/47/59/20/646475920.db2.gz RPAIACFVFFXUST-OCAPTIKFSA-N 0 0 254.236 2.989 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@H]1c1ccccc1 ZINC000884641837 646502573 /nfs/dbraw/zinc/50/25/73/646502573.db2.gz UTAKNVJTQGKMLS-SMDDNHRTSA-N 0 0 284.319 2.972 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1C[C@@H]2[C@H](O)CC[C@@H]2C1 ZINC000884647260 646505748 /nfs/dbraw/zinc/50/57/48/646505748.db2.gz FOVATRYQDRSBGE-GBIKHYSHSA-N 0 0 298.289 2.740 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2CC3(CCC3)CO2)c1 ZINC000884662465 646516061 /nfs/dbraw/zinc/51/60/61/646516061.db2.gz QJHKKBCJIREZMU-LLVKDONJSA-N 0 0 277.324 2.548 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000884740711 646546656 /nfs/dbraw/zinc/54/66/56/646546656.db2.gz KPRXFHIVCVNWEE-DGCLKSJQSA-N 0 0 292.335 2.609 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H]([C@H]2CCCO2)C1 ZINC000884740713 646547034 /nfs/dbraw/zinc/54/70/34/646547034.db2.gz KPRXFHIVCVNWEE-WCQYABFASA-N 0 0 292.335 2.609 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000884743134 646547410 /nfs/dbraw/zinc/54/74/10/646547410.db2.gz WEGICNFGGNGKGH-MFKMUULPSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000884743135 646547956 /nfs/dbraw/zinc/54/79/56/646547956.db2.gz WEGICNFGGNGKGH-ZWNOBZJWSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](CCO)C(F)(F)F)c1 ZINC000884835645 646556610 /nfs/dbraw/zinc/55/66/10/646556610.db2.gz WHXLHDGROOYHJA-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN Cc1cc(N[C@@H](CCO)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000884835327 646556706 /nfs/dbraw/zinc/55/67/06/646556706.db2.gz PHXFODXAYJWLPU-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN CC(C)Oc1nc(N(C)CCCF)ccc1[N+](=O)[O-] ZINC000884859057 646560379 /nfs/dbraw/zinc/56/03/79/646560379.db2.gz PICDIADRYDJSIF-UHFFFAOYSA-N 0 0 271.292 2.573 20 5 CFBDRN Cc1cccc(OS(=O)(=O)CCCC2CC2)c1[N+](=O)[O-] ZINC000885074179 646603104 /nfs/dbraw/zinc/60/31/04/646603104.db2.gz OFOFACSJINIWTG-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN CC(C)(CCC(=O)N1C[C@H](C2CC2)C[C@@H]1C1CC1)[N+](=O)[O-] ZINC000885220620 646639341 /nfs/dbraw/zinc/63/93/41/646639341.db2.gz DFCNCCWPTBRBQH-ZIAGYGMSSA-N 0 0 294.395 2.859 20 5 CFBDRN O=C(N[C@@H]1CCCc2cccnc21)c1ccccc1[N+](=O)[O-] ZINC000885375855 646675407 /nfs/dbraw/zinc/67/54/07/646675407.db2.gz SCRJLHWBPGMUSG-CYBMUJFWSA-N 0 0 297.314 2.797 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])C(C)C ZINC000885424469 646685054 /nfs/dbraw/zinc/68/50/54/646685054.db2.gz QFOUCHNHOYJZQV-CQSZACIVSA-N 0 0 293.319 2.982 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCCO2)c1C ZINC000885427357 646685508 /nfs/dbraw/zinc/68/55/08/646685508.db2.gz WMYFHELHBOIJKH-LLVKDONJSA-N 0 0 279.292 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2CCCCC2=O)c1C ZINC000885430977 646686424 /nfs/dbraw/zinc/68/64/24/646686424.db2.gz VLRGARCZAYCNFC-ZDUSSCGKSA-N 0 0 291.303 2.880 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])C1(C2CC2)CC1 ZINC000885730594 646741793 /nfs/dbraw/zinc/74/17/93/646741793.db2.gz VJJMTWATFFWLND-UHFFFAOYSA-N 0 0 279.267 2.967 20 5 CFBDRN CC1(C)C[C@H](CNC(=O)c2ccc([N+](=O)[O-])o2)C(C)(C)O1 ZINC000885855834 646760396 /nfs/dbraw/zinc/76/03/96/646760396.db2.gz YTFMXXJDPGBEHX-SECBINFHSA-N 0 0 296.323 2.511 20 5 CFBDRN Cc1cc([C@@H]2CCCN2Cc2cccnc2[N+](=O)[O-])on1 ZINC000885959206 646784214 /nfs/dbraw/zinc/78/42/14/646784214.db2.gz VIIYOAHWWCHEOW-LBPRGKRZSA-N 0 0 288.307 2.623 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)Cc2cccnc2[N+](=O)[O-])C1(C)C ZINC000886018936 646820852 /nfs/dbraw/zinc/82/08/52/646820852.db2.gz JXRXUSVZPXPMBW-CHWSQXEVSA-N 0 0 293.367 2.625 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCc2c(F)cccc2C1 ZINC000886086308 646859961 /nfs/dbraw/zinc/85/99/61/646859961.db2.gz IJPKFMSDMKWYJD-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN C[C@@H]1COc2ccccc2CN1Cc1cccnc1[N+](=O)[O-] ZINC000886123052 646882661 /nfs/dbraw/zinc/88/26/61/646882661.db2.gz QKIQPWONOSOXCN-GFCCVEGCSA-N 0 0 299.330 2.773 20 5 CFBDRN Cc1ccc2c(c1)CN(Cc1cccnc1[N+](=O)[O-])CC2 ZINC000886277620 646948397 /nfs/dbraw/zinc/94/83/97/646948397.db2.gz WVSXONGEWSHLKP-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN CC1(C)CN(Cc2cccnc2[N+](=O)[O-])[C@@H]1c1cccnc1 ZINC000886289311 646955642 /nfs/dbraw/zinc/95/56/42/646955642.db2.gz SLQRKSLSGVPVDX-CQSZACIVSA-N 0 0 298.346 2.968 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000137629944 646964705 /nfs/dbraw/zinc/96/47/05/646964705.db2.gz WEJLPQHXMDGLBR-GHMZBOCLSA-N 0 0 274.324 2.725 20 5 CFBDRN CN(Cc1cccs1)c1nc2ccccn2c1[N+](=O)[O-] ZINC000137648427 646968775 /nfs/dbraw/zinc/96/87/75/646968775.db2.gz YUUPQKUOUQTSOS-UHFFFAOYSA-N 0 0 288.332 2.940 20 5 CFBDRN Cc1cc(COC(=O)c2cccc(C)c2[N+](=O)[O-])on1 ZINC000137910428 646997645 /nfs/dbraw/zinc/99/76/45/646997645.db2.gz YSZFQWKCDNUDKC-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1cc(C)cc(COC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000138160650 647022502 /nfs/dbraw/zinc/02/25/02/647022502.db2.gz LVMGNMCQPXACRB-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN CCn1ncc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1C ZINC000138163930 647024331 /nfs/dbraw/zinc/02/43/31/647024331.db2.gz QPVJGKCSSJYGPK-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1ccc(OCCOc2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000138752942 647121645 /nfs/dbraw/zinc/12/16/45/647121645.db2.gz YDFAAEZTUWOHBR-UHFFFAOYSA-N 0 0 288.303 2.943 20 5 CFBDRN C[C@H](CNC(=O)C1(C(F)F)CC1)c1ccccc1[N+](=O)[O-] ZINC000887399025 647302413 /nfs/dbraw/zinc/30/24/13/647302413.db2.gz LOCABTRHEWQXPQ-SECBINFHSA-N 0 0 298.289 2.860 20 5 CFBDRN C[C@@H](CNC(=O)CSC1CC1)c1ccccc1[N+](=O)[O-] ZINC000887401367 647302996 /nfs/dbraw/zinc/30/29/96/647302996.db2.gz USSJIHRYFZYOFS-JTQLQIEISA-N 0 0 294.376 2.710 20 5 CFBDRN CCC[C@H](OC)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887400391 647303081 /nfs/dbraw/zinc/30/30/81/647303081.db2.gz ATSPRYJRBRTXGM-FZMZJTMJSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@@H](CNC(=O)C1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000887402923 647303820 /nfs/dbraw/zinc/30/38/20/647303820.db2.gz LJXRUWHKECEKEU-JTQLQIEISA-N 0 0 262.309 2.615 20 5 CFBDRN CC(C)=CC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404770 647304369 /nfs/dbraw/zinc/30/43/69/647304369.db2.gz LFHYOXJVDNYULV-LLVKDONJSA-N 0 0 262.309 2.781 20 5 CFBDRN C[C@@H](CNC(=O)[C@@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000887403501 647304664 /nfs/dbraw/zinc/30/46/64/647304664.db2.gz XZUDFVRXGPYITL-GWCFXTLKSA-N 0 0 294.376 2.710 20 5 CFBDRN C/C=C(\C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403351 647304669 /nfs/dbraw/zinc/30/46/69/647304669.db2.gz URKVQSCENLDIHI-LLMHMKPQSA-N 0 0 262.309 2.781 20 5 CFBDRN O=C(CC(F)(F)C(F)F)Nc1cccc([N+](=O)[O-])c1 ZINC000887730299 647401987 /nfs/dbraw/zinc/40/19/87/647401987.db2.gz MRAKKQCWCWARMG-UHFFFAOYSA-N 0 0 280.177 2.824 20 5 CFBDRN C[C@@H]1CO[C@@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000887732461 647402584 /nfs/dbraw/zinc/40/25/84/647402584.db2.gz VRUOBAGIFJGGQI-WRWORJQWSA-N 0 0 284.699 2.612 20 5 CFBDRN CC1(C)CO[C@@H](CC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000887732207 647402682 /nfs/dbraw/zinc/40/26/82/647402682.db2.gz LAASXRWMDQMUPY-LBPRGKRZSA-N 0 0 278.308 2.739 20 5 CFBDRN CN(C(=O)C[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000887821524 647418431 /nfs/dbraw/zinc/41/84/31/647418431.db2.gz ADYDFHWMIPXYMR-FZMZJTMJSA-N 0 0 274.320 2.994 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@@H](C(F)(F)F)C1 ZINC000888002500 647460397 /nfs/dbraw/zinc/46/03/97/647460397.db2.gz OOVZZQDKHKXHAT-MRVPVSSYSA-N 0 0 288.225 2.619 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000889590446 647607520 /nfs/dbraw/zinc/60/75/20/647607520.db2.gz JLVZVFLTNMPICJ-CCUNJIBTSA-N 0 0 299.330 2.995 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000889591566 647607829 /nfs/dbraw/zinc/60/78/29/647607829.db2.gz TWNLMYWTABSMBV-ZMLRMANQSA-N 0 0 299.330 2.995 20 5 CFBDRN Cc1cc(C(=O)N2CCCSC[C@H]2C)cc([N+](=O)[O-])c1 ZINC000889915368 647700236 /nfs/dbraw/zinc/70/02/36/647700236.db2.gz RABLJCGDTQVRRU-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN O=C(NCC[C@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])o1 ZINC000890004896 647723322 /nfs/dbraw/zinc/72/33/22/647723322.db2.gz SCWQBEXEPQCMCC-MRVPVSSYSA-N 0 0 288.250 2.743 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000890421070 647798866 /nfs/dbraw/zinc/79/88/66/647798866.db2.gz FIXOQHYTIFPFHV-VXGBXAGGSA-N 0 0 293.319 2.936 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1(C2CC2)CC1 ZINC000890445242 647802991 /nfs/dbraw/zinc/80/29/91/647802991.db2.gz ZXGVWNKTHXJHMA-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1 ZINC000007608883 647867654 /nfs/dbraw/zinc/86/76/54/647867654.db2.gz KOQPZVXMEKEKRP-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN O=C(Oc1cccc(F)c1)c1cccc([N+](=O)[O-])c1 ZINC000007669173 647867957 /nfs/dbraw/zinc/86/79/57/647867957.db2.gz TUMOODVKLJCNIQ-UHFFFAOYSA-N 0 0 261.208 2.953 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])OCC(F)F ZINC000923967405 647909980 /nfs/dbraw/zinc/90/99/80/647909980.db2.gz OGDODNKWDHZOND-UHFFFAOYSA-N 0 0 293.653 2.989 20 5 CFBDRN CC(C)C1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)COC1 ZINC000924004346 647911959 /nfs/dbraw/zinc/91/19/59/647911959.db2.gz FGFQOJYDXUJCEU-UHFFFAOYSA-N 0 0 295.320 2.630 20 5 CFBDRN CC1(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000924195669 647938774 /nfs/dbraw/zinc/93/87/74/647938774.db2.gz NRNFTLZCLZSRDD-UHFFFAOYSA-N 0 0 289.287 2.677 20 5 CFBDRN CC[C@@H](OC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1)C(C)=O ZINC000924239287 647946107 /nfs/dbraw/zinc/94/61/07/647946107.db2.gz KERAHVFHYJFZLC-GFCCVEGCSA-N 0 0 299.710 2.702 20 5 CFBDRN O=C(Cc1ccc(Cl)c([N+](=O)[O-])c1)OCC(F)F ZINC000924239809 647946153 /nfs/dbraw/zinc/94/61/53/647946153.db2.gz GBCLSXMREPSCJI-UHFFFAOYSA-N 0 0 279.626 2.599 20 5 CFBDRN Cc1nonc1[C@@H](C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000924799863 648050120 /nfs/dbraw/zinc/05/01/20/648050120.db2.gz VMNVZVNOJPTZLJ-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN C[C@@H](NC(=O)N(C1CC1)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000925247864 648142127 /nfs/dbraw/zinc/14/21/27/648142127.db2.gz MQXZLHCWPNVAJZ-SNVBAGLBSA-N 0 0 289.335 2.992 20 5 CFBDRN C[C@@H](N[C@@H]1C[C@@H](C)n2ncnc21)c1ccccc1[N+](=O)[O-] ZINC000926837350 648631062 /nfs/dbraw/zinc/63/10/62/648631062.db2.gz SQYATZSDEALARG-CKYFFXLPSA-N 0 0 287.323 2.543 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000928045074 648849580 /nfs/dbraw/zinc/84/95/80/648849580.db2.gz KAMZBZQWENOYSM-KXGXSXBTSA-N 0 0 299.330 2.850 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000928045477 648850299 /nfs/dbraw/zinc/85/02/99/648850299.db2.gz OBRASJPBINMTHY-SFKDOBOXSA-N 0 0 280.349 2.739 20 5 CFBDRN COC1(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000928244665 648886717 /nfs/dbraw/zinc/88/67/17/648886717.db2.gz BUOVXGWTIFWFNR-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000928245921 648887511 /nfs/dbraw/zinc/88/75/11/648887511.db2.gz ZIDVIGOJWNVADY-PWSUYJOCSA-N 0 0 294.376 2.915 20 5 CFBDRN C[C@@H](NC(=O)C1CC2(CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000928246254 648887804 /nfs/dbraw/zinc/88/78/04/648887804.db2.gz GAFGPCUGSXJOJW-SNVBAGLBSA-N 0 0 274.320 2.962 20 5 CFBDRN C[C@@H](NC(=O)[C@@]1(C)CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000928246736 648888387 /nfs/dbraw/zinc/88/83/87/648888387.db2.gz CUJHCYZTMZZOLP-ABAIWWIYSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H](NC(=O)C1CCC(=O)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928247981 648888496 /nfs/dbraw/zinc/88/84/96/648888496.db2.gz FWVAERBLDYHAGZ-SNVBAGLBSA-N 0 0 290.319 2.531 20 5 CFBDRN CCc1cc(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000928248086 648888715 /nfs/dbraw/zinc/88/87/15/648888715.db2.gz DKNNIAPGGKTQCO-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247761 648888906 /nfs/dbraw/zinc/88/89/06/648888906.db2.gz ZKJTVSVKWUTEIF-NEPJUHHUSA-N 0 0 294.351 2.977 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000928936160 648967771 /nfs/dbraw/zinc/96/77/71/648967771.db2.gz AXICZHBPZIQWIF-WAYWQWQTSA-N 0 0 274.729 2.917 20 5 CFBDRN CCc1ccc(C(=O)N2CCn3cccc3C2)cc1[N+](=O)[O-] ZINC000928965144 648971071 /nfs/dbraw/zinc/97/10/71/648971071.db2.gz OMSPHOLQCYDDER-UHFFFAOYSA-N 0 0 299.330 2.615 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCCC1 ZINC000929097566 648978663 /nfs/dbraw/zinc/97/86/63/648978663.db2.gz ONNOIJXEJDLIAO-UHFFFAOYSA-N 0 0 280.349 2.943 20 5 CFBDRN Cc1ccc(NC(=O)N2CCO[C@@H](C)CC2)cc1[N+](=O)[O-] ZINC000929117926 648982945 /nfs/dbraw/zinc/98/29/45/648982945.db2.gz BPRVCZKXJUWQOB-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CCC1(CC(=O)Nc2cc([N+](=O)[O-])ccc2C)COC1 ZINC000929133333 648987037 /nfs/dbraw/zinc/98/70/37/648987037.db2.gz LUINVAKNAAKMBU-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@]12CCO[C@H]1CCCC2 ZINC000929134175 648987115 /nfs/dbraw/zinc/98/71/15/648987115.db2.gz SWMUYYJLSRJEKZ-DZGCQCFKSA-N 0 0 290.319 2.883 20 5 CFBDRN CCN(CC)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929141391 648988923 /nfs/dbraw/zinc/98/89/23/648988923.db2.gz MQBBXCHAVBPJQS-UHFFFAOYSA-N 0 0 268.288 2.535 20 5 CFBDRN CC(C)CNC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000929188510 648998316 /nfs/dbraw/zinc/99/83/16/648998316.db2.gz HMCPRCWSWNJZSR-UHFFFAOYSA-N 0 0 284.743 2.953 20 5 CFBDRN O=[N+]([O-])CCN1CC=C(c2ccc(Cl)cc2)CC1 ZINC000930021139 649167394 /nfs/dbraw/zinc/16/73/94/649167394.db2.gz CXCODBAEYVVWRD-UHFFFAOYSA-N 0 0 266.728 2.706 20 5 CFBDRN CCCN(CC)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000930026484 649169408 /nfs/dbraw/zinc/16/94/08/649169408.db2.gz GXXFNFGZGIYQJN-KGLIPLIRSA-N 0 0 276.336 2.957 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@]1(C)C=CCC1 ZINC000930074140 649178814 /nfs/dbraw/zinc/17/88/14/649178814.db2.gz LSPQLRYAZCWFSM-HNNXBMFYSA-N 0 0 274.320 2.910 20 5 CFBDRN COC/C(C)=C\C[NH2+][C@H](C)c1ccccc1[N+](=O)[O-] ZINC000930236105 649211390 /nfs/dbraw/zinc/21/13/90/649211390.db2.gz UYLSGVBPENCIOO-NXIHDVOMSA-N 0 0 264.325 2.838 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000930275983 649220122 /nfs/dbraw/zinc/22/01/22/649220122.db2.gz MUYGRASLDZIKQI-OPTZYUCBSA-N 0 0 286.331 2.503 20 5 CFBDRN C[C@@H]1C[C@@H]2C[C@@H]2C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000930276790 649220768 /nfs/dbraw/zinc/22/07/68/649220768.db2.gz SHECMFBIHNHNJB-BPGGGUHBSA-N 0 0 288.347 2.893 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1ncccn1 ZINC000930594949 649282931 /nfs/dbraw/zinc/28/29/31/649282931.db2.gz QSHCSXQMQSQDHM-WDEREUQCSA-N 0 0 272.308 2.797 20 5 CFBDRN O=[N+]([O-])CCNC1(c2ccccc2Cl)CCC1 ZINC000930842148 649334042 /nfs/dbraw/zinc/33/40/42/649334042.db2.gz AOYFHGRTYAUMNU-UHFFFAOYSA-N 0 0 254.717 2.586 20 5 CFBDRN COC(=O)/C=C\c1ccc(NCCSC)c([N+](=O)[O-])c1 ZINC000931324834 649409253 /nfs/dbraw/zinc/40/92/53/649409253.db2.gz IUHDDDXJEDSLGW-XQRVVYSFSA-N 0 0 296.348 2.556 20 5 CFBDRN COc1ccc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000932176755 649559105 /nfs/dbraw/zinc/55/91/05/649559105.db2.gz BNYQNBFLNHZWJG-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@H](c1ncccn1)C1CC1 ZINC000932355609 649584297 /nfs/dbraw/zinc/58/42/97/649584297.db2.gz HCRMJRSZIZFECR-AWEZNQCLSA-N 0 0 284.319 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCn2cccc2C1 ZINC000932530764 649615678 /nfs/dbraw/zinc/61/56/78/649615678.db2.gz JOFCTSXYZFQYPR-UHFFFAOYSA-N 0 0 275.283 2.551 20 5 CFBDRN CCN(CC1CC1)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000932585804 649623352 /nfs/dbraw/zinc/62/33/52/649623352.db2.gz FGMGNLJEDUXSBE-UHFFFAOYSA-N 0 0 294.326 2.925 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000932635213 649632125 /nfs/dbraw/zinc/63/21/25/649632125.db2.gz ZXYOIZANDUOVPU-XBFCOCLRSA-N 0 0 288.347 2.861 20 5 CFBDRN CCO[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000932969277 649665284 /nfs/dbraw/zinc/66/52/84/649665284.db2.gz AIAZHTVPCIKEIY-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)[C@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000933367321 649689274 /nfs/dbraw/zinc/68/92/74/649689274.db2.gz VXKQEWVDVRPTJH-PKIAMQTDSA-N 0 0 288.347 2.955 20 5 CFBDRN C[C@H]1CCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000934092305 649747922 /nfs/dbraw/zinc/74/79/22/649747922.db2.gz BHWTVMUUTPKPEL-QWRGUYRKSA-N 0 0 294.326 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)c(OCCF)c1 ZINC000114026334 651216644 /nfs/dbraw/zinc/21/66/44/651216644.db2.gz RFFZGULYJRGEAU-UHFFFAOYSA-N 0 0 264.050 2.706 20 5 CFBDRN Cc1cc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)on1 ZINC000116061974 651278063 /nfs/dbraw/zinc/27/80/63/651278063.db2.gz ZZDLNTFYGKVMDA-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000115965872 651278141 /nfs/dbraw/zinc/27/81/41/651278141.db2.gz AYHRNCHFYFTOJD-UHFFFAOYSA-N 0 0 296.670 2.710 20 5 CFBDRN O=C(COCC1CC1)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000116062552 651278578 /nfs/dbraw/zinc/27/85/78/651278578.db2.gz KWMHVESNNDONQM-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN Cc1c([N+](=O)[O-])cc[n+]([O-])c1NC[C@@H]1CCCC[C@H]1C ZINC001155075946 782203331 /nfs/dbraw/zinc/20/33/31/782203331.db2.gz BCSLPMOXVZZPNH-PWSUYJOCSA-N 0 0 279.340 2.775 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(N2CC(c3cccnc3)C2)n1 ZINC001167066937 769897365 /nfs/dbraw/zinc/89/73/65/769897365.db2.gz KNOITVMVKTTZAM-UHFFFAOYSA-N 0 0 290.710 2.642 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccc(-c2ncon2)cc1 ZINC001167089130 769967455 /nfs/dbraw/zinc/96/74/55/769967455.db2.gz IPPZJKZXUZXGTM-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN CCOc1nc(N(C)C[C@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC001167091142 769971754 /nfs/dbraw/zinc/97/17/54/769971754.db2.gz GQGJDVPLCCRVRV-SNVBAGLBSA-N 0 0 279.340 2.871 20 5 CFBDRN CN(CC1CCCCC1)c1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001167096500 769993869 /nfs/dbraw/zinc/99/38/69/769993869.db2.gz OGSGLDLKZOJVFB-UHFFFAOYSA-N 0 0 294.311 2.915 20 5 CFBDRN COc1cc(COc2cc([N+](=O)[O-])ccc2Cl)on1 ZINC000705595960 770017533 /nfs/dbraw/zinc/01/75/33/770017533.db2.gz OEHVTHZANPSBJI-UHFFFAOYSA-N 0 0 284.655 2.824 20 5 CFBDRN Cc1cc2c(cn1)CN(c1ncc(Cl)cc1[N+](=O)[O-])C2 ZINC001167107535 770029472 /nfs/dbraw/zinc/02/94/72/770029472.db2.gz WHZBDIJIFWSCRI-UHFFFAOYSA-N 0 0 290.710 2.867 20 5 CFBDRN CCC(CC)N(CC)c1ncc([N+](=O)[O-])cc1C(=O)OC ZINC001167107113 770029969 /nfs/dbraw/zinc/02/99/69/770029969.db2.gz PVGNYLJYVCMKEJ-UHFFFAOYSA-N 0 0 295.339 2.791 20 5 CFBDRN Cc1c2cn(-c3cccc([N+](=O)[O-])c3)nc2ccc1N ZINC001204456339 770126460 /nfs/dbraw/zinc/12/64/60/770126460.db2.gz KZVMRLFMYCGIFO-UHFFFAOYSA-N 0 0 268.276 2.824 20 5 CFBDRN CC(C)(C)c1nc(Nc2ccc(N)c([N+](=O)[O-])c2)no1 ZINC001209932036 770164326 /nfs/dbraw/zinc/16/43/26/770164326.db2.gz IQAFEUBHCSYROD-UHFFFAOYSA-N 0 0 277.284 2.601 20 5 CFBDRN Nc1ccc(Nc2cc(Cl)[nH]c(=O)c2)cc1[N+](=O)[O-] ZINC001209934033 770165806 /nfs/dbraw/zinc/16/58/06/770165806.db2.gz AAULOUITZDEFGD-UHFFFAOYSA-N 0 0 280.671 2.675 20 5 CFBDRN Nc1cc2ccccc2n1-c1ccc(N)c([N+](=O)[O-])c1 ZINC001209935187 770165993 /nfs/dbraw/zinc/16/59/93/770165993.db2.gz RBBIACGKCWSBMK-UHFFFAOYSA-N 0 0 268.276 2.703 20 5 CFBDRN Nc1ccc(Nc2cc(F)cc(F)c2CO)cc1[N+](=O)[O-] ZINC001209938913 770166550 /nfs/dbraw/zinc/16/65/50/770166550.db2.gz FYBGJLRIKDQFGY-UHFFFAOYSA-N 0 0 295.245 2.691 20 5 CFBDRN C[N@@H+]1CC[C@H](Nc2nc(-c3ccccc3)ccc2[N+](=O)[O-])C1 ZINC001167270379 770194434 /nfs/dbraw/zinc/19/44/34/770194434.db2.gz RVBSRQNJRZFMPD-ZDUSSCGKSA-N 0 0 298.346 2.773 20 5 CFBDRN Nc1cccc2cn(-c3cccc([N+](=O)[O-])c3F)nc21 ZINC001210379600 770274867 /nfs/dbraw/zinc/27/48/67/770274867.db2.gz DPZODLCPSUMVSI-UHFFFAOYSA-N 0 0 272.239 2.655 20 5 CFBDRN COc1ccc(Nc2cccc([N+](=O)[O-])c2F)c(OC)n1 ZINC001210377978 770275259 /nfs/dbraw/zinc/27/52/59/770275259.db2.gz FTFOAFNHKFUJQN-UHFFFAOYSA-N 0 0 293.254 2.890 20 5 CFBDRN O=C1CC(Nc2ccc(C(F)(F)F)c([N+](=O)[O-])c2)C1 ZINC001167340667 770316422 /nfs/dbraw/zinc/31/64/22/770316422.db2.gz FXJWPBFUFFOKMO-UHFFFAOYSA-N 0 0 274.198 2.757 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Nc1cnc2ccnn2c1 ZINC001210952382 770403821 /nfs/dbraw/zinc/40/38/21/770403821.db2.gz FIYUFBRNWKHZCH-UHFFFAOYSA-N 0 0 273.227 2.520 20 5 CFBDRN COc1ncnc(Cl)c1Nc1ccc(F)cc1[N+](=O)[O-] ZINC001210950516 770404480 /nfs/dbraw/zinc/40/44/80/770404480.db2.gz XNUKPZJEUWTFOC-UHFFFAOYSA-N 0 0 298.661 2.930 20 5 CFBDRN Cc1cc(Cl)c(N[C@@](C)(C(N)=O)C(C)C)c([N+](=O)[O-])c1 ZINC001167422961 770459337 /nfs/dbraw/zinc/45/93/37/770459337.db2.gz IKIIXGAUKSVCDK-CYBMUJFWSA-N 0 0 299.758 2.869 20 5 CFBDRN CC(=O)c1nn(C)cc1Nc1cc([N+](=O)[O-])ccc1C ZINC001211286959 770476280 /nfs/dbraw/zinc/47/62/80/770476280.db2.gz BJAPNXUIAZFTQY-UHFFFAOYSA-N 0 0 274.280 2.583 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC[C@@H](O)C2CC2)cc1F ZINC000402420234 770517924 /nfs/dbraw/zinc/51/79/24/770517924.db2.gz BREJFWDVVGISEO-SNVBAGLBSA-N 0 0 275.663 2.537 20 5 CFBDRN CC(=O)NCC1(Nc2cc(C)ccc2[N+](=O)[O-])CCCC1 ZINC001104358043 770583958 /nfs/dbraw/zinc/58/39/58/770583958.db2.gz RXHBJROVTYKKPP-UHFFFAOYSA-N 0 0 291.351 2.764 20 5 CFBDRN Cc1nc(C)c(COc2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000723660059 770642977 /nfs/dbraw/zinc/64/29/77/770642977.db2.gz WWSYNGWPMWZWQH-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CC2)[C@H]2CCCOC2)cn1 ZINC000723847000 770683261 /nfs/dbraw/zinc/68/32/61/770683261.db2.gz SWUBNUYIUQZCCE-SMDDNHRTSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@H](Nc1cccnc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723859795 770691036 /nfs/dbraw/zinc/69/10/36/770691036.db2.gz ZQKBELJZOOXQDM-QWRGUYRKSA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@H]1CCCOC1 ZINC000723859361 770692154 /nfs/dbraw/zinc/69/21/54/770692154.db2.gz VDIBVYCVNAEXFP-JQWIXIFHSA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@@H]1CCCOC1 ZINC000723871968 770698331 /nfs/dbraw/zinc/69/83/31/770698331.db2.gz YTTGQFPTTSGGQS-NEPJUHHUSA-N 0 0 279.340 2.915 20 5 CFBDRN CC(=O)CNc1nc(-c2ccccc2)ccc1[N+](=O)[O-] ZINC001167627751 773198624 /nfs/dbraw/zinc/19/86/24/773198624.db2.gz POBDZGDCYIPSDM-UHFFFAOYSA-N 0 0 271.276 2.658 20 5 CFBDRN CC(=O)CNc1cc(C)c(Br)cc1[N+](=O)[O-] ZINC001167629820 773199563 /nfs/dbraw/zinc/19/95/63/773199563.db2.gz RADMWKIVYVXUEH-UHFFFAOYSA-N 0 0 287.113 2.667 20 5 CFBDRN Cc1ccc(OC(=O)CC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000176558518 773240524 /nfs/dbraw/zinc/24/05/24/773240524.db2.gz DODSENZSNWUSIH-LLVKDONJSA-N 0 0 279.292 2.625 20 5 CFBDRN C[C@@H](OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC001224094624 775100689 /nfs/dbraw/zinc/10/06/89/775100689.db2.gz ASZFERZIASINAV-MRVPVSSYSA-N 0 0 266.253 2.818 20 5 CFBDRN COc1ccc([C@@H](C)OCc2ccc([N+](=O)[O-])nc2)cn1 ZINC001224108336 775101980 /nfs/dbraw/zinc/10/19/80/775101980.db2.gz FYCRPOIKJSHGHR-SNVBAGLBSA-N 0 0 289.291 2.671 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@H]2CCCC[C@H]2F)cn1 ZINC001224114725 775103338 /nfs/dbraw/zinc/10/33/38/775103338.db2.gz PVDHFTRKJIVZFL-MNOVXSKESA-N 0 0 254.261 2.787 20 5 CFBDRN Cc1cnc(CO[C@H]2CCOC[C@H]2C)c(C)c1[N+](=O)[O-] ZINC001224181542 775121565 /nfs/dbraw/zinc/12/15/65/775121565.db2.gz LQKNMEFQVHPXJP-MFKMUULPSA-N 0 0 280.324 2.548 20 5 CFBDRN CCOC[C@@H](C)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224185929 775122438 /nfs/dbraw/zinc/12/24/38/775122438.db2.gz TZIZNJZPWLTIRV-SNVBAGLBSA-N 0 0 268.313 2.548 20 5 CFBDRN CCO[C@H](C)COCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224186014 775122811 /nfs/dbraw/zinc/12/28/11/775122811.db2.gz WBGKHGMLXGPRSP-SNVBAGLBSA-N 0 0 268.313 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC[C@H](F)C2)c1 ZINC000689858111 775138321 /nfs/dbraw/zinc/13/83/21/775138321.db2.gz HHRCDYQVMHIKPC-VIFPVBQESA-N 0 0 258.680 2.792 20 5 CFBDRN CO[C@H](C)CCOCCc1ccc([N+](=O)[O-])cc1 ZINC001224330659 775159737 /nfs/dbraw/zinc/15/97/37/775159737.db2.gz JMCXETRFQUPQHQ-LLVKDONJSA-N 0 0 253.298 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@H]1CC12CC(O)C2 ZINC000724917907 775177572 /nfs/dbraw/zinc/17/75/72/775177572.db2.gz OBSAANXXLHEQPX-WQGPLGNCSA-N 0 0 286.690 2.713 20 5 CFBDRN CCOC[C@@H](C)OC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588587102 775187864 /nfs/dbraw/zinc/18/78/64/775187864.db2.gz YMBCTYMVRCCXDW-UCQJPZFISA-N 0 0 293.319 2.966 20 5 CFBDRN CC(C)COCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000588591182 775240776 /nfs/dbraw/zinc/24/07/76/775240776.db2.gz QHUMGSQMYNOBGM-YVMONPNESA-N 0 0 293.319 2.824 20 5 CFBDRN CC[C@@H](C)CO[C@@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001224668450 775242645 /nfs/dbraw/zinc/24/26/45/775242645.db2.gz SNSWDJFNTQNYDT-YGRLFVJLSA-N 0 0 281.308 2.796 20 5 CFBDRN C[C@H](OCCOc1ccc([N+](=O)[O-])cc1)c1ncccn1 ZINC001224777348 775271408 /nfs/dbraw/zinc/27/14/08/775271408.db2.gz SRTKHQSJKMTYRA-NSHDSACASA-N 0 0 289.291 2.541 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)OCC[Si](C)(C)C ZINC001224907748 775305347 /nfs/dbraw/zinc/30/53/47/775305347.db2.gz WGVULMGUEHWGIY-SNVBAGLBSA-N 0 0 285.420 2.843 20 5 CFBDRN O=C(CO[C@@H]1C=CCCC1)OCc1ccc([N+](=O)[O-])cc1 ZINC001224986338 775326783 /nfs/dbraw/zinc/32/67/83/775326783.db2.gz FMGOENHZTRGUAK-CQSZACIVSA-N 0 0 291.303 2.763 20 5 CFBDRN CCOc1ccc(CCO[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001225071312 775349429 /nfs/dbraw/zinc/34/94/29/775349429.db2.gz SJDNKNKNEOYQFP-HNNXBMFYSA-N 0 0 279.336 2.700 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc(Br)c1)C1CC1 ZINC001225226981 775371461 /nfs/dbraw/zinc/37/14/61/775371461.db2.gz LPICWVPCAGYYIE-NSHDSACASA-N 0 0 286.125 2.883 20 5 CFBDRN Cc1cccc(O[C@H]2CCN(c3ccccc3[N+](=O)[O-])C2)n1 ZINC001225278918 775389176 /nfs/dbraw/zinc/38/91/76/775389176.db2.gz JRIOBTOVTBCHEP-ZDUSSCGKSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1ccc(F)c(O[C@H](C[N+](=O)[O-])c2cccnc2)c1 ZINC001225354394 775424056 /nfs/dbraw/zinc/42/40/56/775424056.db2.gz NNAZUHCNDAYPRR-CQSZACIVSA-N 0 0 276.267 2.926 20 5 CFBDRN O=[N+]([O-])c1cc(OC2CCC3(CC2)OCCO3)ccc1F ZINC001225381147 775435990 /nfs/dbraw/zinc/43/59/90/775435990.db2.gz DELYVDJOVLPLRF-UHFFFAOYSA-N 0 0 297.282 2.798 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC001225383716 775437762 /nfs/dbraw/zinc/43/77/62/775437762.db2.gz FVKZOTKFOSHKOD-VHSXEESVSA-N 0 0 297.282 2.845 20 5 CFBDRN COc1cccc(F)c1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225447370 775459561 /nfs/dbraw/zinc/45/95/61/775459561.db2.gz KMPVIXJICDYCRI-CYBMUJFWSA-N 0 0 292.266 2.626 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001225449893 775461399 /nfs/dbraw/zinc/46/13/99/775461399.db2.gz BOUAWSYLLZIKEC-OPQQBVKSSA-N 0 0 289.287 2.725 20 5 CFBDRN C[C@@H](Oc1cc(F)ccc1[N+](=O)[O-])c1cncnc1 ZINC001225579127 775509004 /nfs/dbraw/zinc/50/90/04/775509004.db2.gz RMSAKMCBIILIHP-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H](COCc1ccccc1)Oc1ncccc1[N+](=O)[O-] ZINC001225592562 775515121 /nfs/dbraw/zinc/51/51/21/775515121.db2.gz IDMHQLVMWXMDTI-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN C[C@H](COc1ncccc1[N+](=O)[O-])OCc1ccccc1 ZINC001225593782 775516498 /nfs/dbraw/zinc/51/64/98/775516498.db2.gz YKTDMENTAGDIDJ-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN CC(=O)[C@@H](Oc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC001225595620 775516874 /nfs/dbraw/zinc/51/68/74/775516874.db2.gz JYDWPJQVQMWVCC-CYBMUJFWSA-N 0 0 272.260 2.699 20 5 CFBDRN CC/C=C\CCOC(=O)[C@H](C)Oc1ncccc1[N+](=O)[O-] ZINC001225593499 775517014 /nfs/dbraw/zinc/51/70/14/775517014.db2.gz SKFQTXHBVLQTNX-WYGGZMRJSA-N 0 0 294.307 2.657 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1O[C@@H]1CCOC1 ZINC001225608128 775522439 /nfs/dbraw/zinc/52/24/39/775522439.db2.gz WHTKLIXPWWNGLW-SSDOTTSWSA-N 0 0 288.097 2.525 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC1CC(F)(F)C1 ZINC001225612090 775522208 /nfs/dbraw/zinc/52/22/08/775522208.db2.gz AYJLMLIKZOJNNL-UHFFFAOYSA-N 0 0 259.208 2.780 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H](C)c1cnccn1 ZINC001225614767 775523120 /nfs/dbraw/zinc/52/31/20/775523120.db2.gz DUPHSNPDHOQZIJ-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCc3ccccc3O2)nc1 ZINC001225627882 775529993 /nfs/dbraw/zinc/52/99/93/775529993.db2.gz IXEOJAVHFAMBPZ-CYBMUJFWSA-N 0 0 286.287 2.762 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cn1)c1ncccc1F ZINC001225632427 775533036 /nfs/dbraw/zinc/53/30/36/775533036.db2.gz LCVQDIUKBQFINY-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1cccc(O[C@@H]2CCCC3(C2)OCCO3)c1[N+](=O)[O-] ZINC001225635704 775534496 /nfs/dbraw/zinc/53/44/96/775534496.db2.gz MBWGKJTXKKLQAW-GFCCVEGCSA-N 0 0 293.319 2.968 20 5 CFBDRN C[C@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])c1ccccc1 ZINC001225661089 775545507 /nfs/dbraw/zinc/54/55/07/775545507.db2.gz IVNNOWIVJUJUAY-VIFPVBQESA-N 0 0 260.249 2.835 20 5 CFBDRN Cc1cc(O[C@H]2CCOC(C)(C)C2)ncc1[N+](=O)[O-] ZINC001225664272 775546644 /nfs/dbraw/zinc/54/66/44/775546644.db2.gz ZLPQTYFFDPFVNJ-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN COc1ccccc1[C@H](C)Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225662280 775546924 /nfs/dbraw/zinc/54/69/24/775546924.db2.gz SDPHLWLTYVWWAV-VIFPVBQESA-N 0 0 290.275 2.844 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1OC[C@H]1CCC=CO1 ZINC001225664475 775546995 /nfs/dbraw/zinc/54/69/95/775546995.db2.gz OXGRYBOPUHBKEY-SECBINFHSA-N 0 0 253.229 2.805 20 5 CFBDRN C[C@@H](Oc1cccc(F)c1[N+](=O)[O-])c1ncccn1 ZINC001225663214 775547080 /nfs/dbraw/zinc/54/70/80/775547080.db2.gz AFGBBXOWASCHPL-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1cc(O[C@@H]2CCOC(C)(C)C2)ncc1[N+](=O)[O-] ZINC001225664274 775547174 /nfs/dbraw/zinc/54/71/74/775547174.db2.gz ZLPQTYFFDPFVNJ-SNVBAGLBSA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1c([C@H](C)Oc2cccc(F)c2[N+](=O)[O-])cnn1C ZINC001225664698 775548424 /nfs/dbraw/zinc/54/84/24/775548424.db2.gz RHYHQRWXIVYWEN-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN COc1ccc([C@@H](C)Oc2[nH]ccc(=O)c2[N+](=O)[O-])cc1 ZINC001225665683 775549413 /nfs/dbraw/zinc/54/94/13/775549413.db2.gz DCASOHQMLHWBSN-SECBINFHSA-N 0 0 290.275 2.844 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC2CCC3(CC2)OCCO3)c1 ZINC001225679237 775556011 /nfs/dbraw/zinc/55/60/11/775556011.db2.gz QRUXTCIZILKBBM-UHFFFAOYSA-N 0 0 293.319 2.968 20 5 CFBDRN CCOC(=O)[C@H](Oc1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC001225681267 775556619 /nfs/dbraw/zinc/55/66/19/775556619.db2.gz PULYVNVAMKQJTI-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCCC3(C2)OCCO3)c1 ZINC001225681573 775557127 /nfs/dbraw/zinc/55/71/27/775557127.db2.gz BVRGAKOMUZFEMJ-GFCCVEGCSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCO[C@@H](C)C2)c1 ZINC001225681939 775557253 /nfs/dbraw/zinc/55/72/53/775557253.db2.gz HISUNYZIRBZYJB-QWRGUYRKSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1c(O[C@H](C)c2cncnc2)cccc1[N+](=O)[O-] ZINC001225690242 775559591 /nfs/dbraw/zinc/55/95/91/775559591.db2.gz UXILTFUWMPPMJP-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cccc([N+](=O)[O-])c1C ZINC001225689929 775559685 /nfs/dbraw/zinc/55/96/85/775559685.db2.gz RKHOGOCQVRVYDH-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nc2ccccc2s1)C1CC1 ZINC001225692422 775560722 /nfs/dbraw/zinc/56/07/22/775560722.db2.gz DFNUPVCYBWQEFD-JTQLQIEISA-N 0 0 264.306 2.730 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2cccc([N+](=O)[O-])c2C)C1(C)C ZINC001225692790 775561537 /nfs/dbraw/zinc/56/15/37/775561537.db2.gz HZHONPHGBOMXIM-GWCFXTLKSA-N 0 0 293.319 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1O[C@H]1CCn2ccnc21 ZINC001225709766 775570303 /nfs/dbraw/zinc/57/03/03/775570303.db2.gz CKJITPHRXRFUDR-JTQLQIEISA-N 0 0 279.683 2.969 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2CCOC[C@@H]2F)c1Cl ZINC001225787644 775593433 /nfs/dbraw/zinc/59/34/33/775593433.db2.gz HIKJMNZWMKFIJV-CBAPKCEASA-N 0 0 275.663 2.754 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225789623 775593441 /nfs/dbraw/zinc/59/34/41/775593441.db2.gz UMZYRBGNTDNSEK-DTORHVGOSA-N 0 0 299.710 2.969 20 5 CFBDRN CC1=C[C@@H](Oc2cccc([N+](=O)[O-])c2Cl)CC(=O)O1 ZINC001225786598 775593649 /nfs/dbraw/zinc/59/36/49/775593649.db2.gz VONYHCNVTVISQH-MRVPVSSYSA-N 0 0 283.667 2.846 20 5 CFBDRN O=[N+]([O-])c1cccc(OC2CCC3(CC2)OCCO3)c1F ZINC001225794316 775596471 /nfs/dbraw/zinc/59/64/71/775596471.db2.gz MUVWTLGENXPKRM-UHFFFAOYSA-N 0 0 297.282 2.798 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cccc([N+](=O)[O-])c1F ZINC001225797637 775597284 /nfs/dbraw/zinc/59/72/84/775597284.db2.gz ZEXYHCMIJVQNAM-SNVBAGLBSA-N 0 0 253.229 2.624 20 5 CFBDRN C[C@H](Oc1cccc([N+](=O)[O-])c1F)c1cncnc1 ZINC001225797436 775597402 /nfs/dbraw/zinc/59/74/02/775597402.db2.gz VYRDQODICOLPMH-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(C[C@H](C)Oc1cc(C(C)=O)ccc1[N+](=O)[O-])OC ZINC001225803252 775598695 /nfs/dbraw/zinc/59/86/95/775598695.db2.gz CADSXUOKRIXSOP-VIFPVBQESA-N 0 0 297.307 2.574 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ncccc1C(F)(F)F)C1CC1 ZINC001225836979 775609788 /nfs/dbraw/zinc/60/97/88/775609788.db2.gz ALAGPXVNKNHIKU-VIFPVBQESA-N 0 0 276.214 2.535 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(F)cc1Cl)C1CC1 ZINC001225886718 775623858 /nfs/dbraw/zinc/62/38/58/775623858.db2.gz FVZFFXFLEUWQAJ-NSHDSACASA-N 0 0 259.664 2.913 20 5 CFBDRN CO[C@H](C)CCOc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC001225893127 775624799 /nfs/dbraw/zinc/62/47/99/775624799.db2.gz MZDWRICEHLQBJY-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CCCOC2)c(C(F)(F)F)c1 ZINC001225896352 775626680 /nfs/dbraw/zinc/62/66/80/775626680.db2.gz DMHLUUMBVIPZSE-QMMMGPOBSA-N 0 0 292.213 2.566 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225912388 775632700 /nfs/dbraw/zinc/63/27/00/775632700.db2.gz IMLRFSBKBAHYPH-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1ccc([N+](=O)[O-])c(F)c1 ZINC001225915489 775634184 /nfs/dbraw/zinc/63/41/84/775634184.db2.gz JXRBJGOLINMVSJ-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCO2)cc1C(F)(F)F ZINC001225919926 775635283 /nfs/dbraw/zinc/63/52/83/775635283.db2.gz ZTAINTYJDFERIT-QMMMGPOBSA-N 0 0 277.198 2.781 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])cc1F ZINC001225942322 775642178 /nfs/dbraw/zinc/64/21/78/775642178.db2.gz PQXVWHCQSHWIJA-SNVBAGLBSA-N 0 0 285.271 2.845 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1F ZINC001225942036 775642566 /nfs/dbraw/zinc/64/25/66/775642566.db2.gz MLAUILNPCXCDOZ-SECBINFHSA-N 0 0 257.261 2.928 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1F)C1CCOCC1 ZINC001225947983 775644896 /nfs/dbraw/zinc/64/48/96/775644896.db2.gz PFSHTBMUOXUWMI-SECBINFHSA-N 0 0 269.272 2.928 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1F ZINC001225946190 775644925 /nfs/dbraw/zinc/64/49/25/775644925.db2.gz LOBFTCQOJDNEKK-LBPRGKRZSA-N 0 0 253.229 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1OC[C@@H]1CCO1 ZINC001225957449 775647362 /nfs/dbraw/zinc/64/73/62/775647362.db2.gz XKMMTAFRYLVRQE-QMMMGPOBSA-N 0 0 277.198 2.781 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1O[C@@H]1COC[C@H]1F ZINC001225956998 775647569 /nfs/dbraw/zinc/64/75/69/775647569.db2.gz SCMACFDZRXIQRN-GMSGAONNSA-N 0 0 295.188 2.729 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225961654 775648664 /nfs/dbraw/zinc/64/86/64/775648664.db2.gz YDXSAMAHJJTIMG-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(F)c1OC[C@H]1CCC=CO1 ZINC001225958832 775648781 /nfs/dbraw/zinc/64/87/81/775648781.db2.gz GALLJRBHGKFWOJ-MRVPVSSYSA-N 0 0 271.219 2.945 20 5 CFBDRN CCCC(=O)N(C)CCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC001112020990 775659795 /nfs/dbraw/zinc/65/97/95/775659795.db2.gz OGGSJQKFMFHIDQ-UHFFFAOYSA-N 0 0 293.367 2.680 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226008838 775665770 /nfs/dbraw/zinc/66/57/70/775665770.db2.gz ILRWZVQOBVAANB-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2c(F)cccc2[N+](=O)[O-])=C1C ZINC001226008001 775666395 /nfs/dbraw/zinc/66/63/95/775666395.db2.gz WMQOZBDWCPALNO-JTQLQIEISA-N 0 0 281.239 2.722 20 5 CFBDRN CC(C)OC(=O)c1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001226074043 775688877 /nfs/dbraw/zinc/68/88/77/775688877.db2.gz VNTCDAGJUJCRSI-AWEZNQCLSA-N 0 0 293.319 2.686 20 5 CFBDRN Cc1ccnc(O[C@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC001226118915 775705823 /nfs/dbraw/zinc/70/58/23/775705823.db2.gz MXOOURXWZFAUID-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN COC1CCC(Oc2nccc(C)c2[N+](=O)[O-])CC1 ZINC001226119769 775707532 /nfs/dbraw/zinc/70/75/32/775707532.db2.gz QZEXZYVVBRQQHC-UHFFFAOYSA-N 0 0 266.297 2.635 20 5 CFBDRN CC1(C)CC[C@@H](COc2ncc(Cl)cc2[N+](=O)[O-])O1 ZINC001226129329 775709985 /nfs/dbraw/zinc/70/99/85/775709985.db2.gz BVPDUSCKNAQUOZ-VIFPVBQESA-N 0 0 286.715 2.980 20 5 CFBDRN CCO[C@H](C)COc1cc([N+](=O)[O-])c(F)cc1F ZINC001226179788 775726833 /nfs/dbraw/zinc/72/68/33/775726833.db2.gz FPUQBIMWJGKBQQ-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CSc1cccc(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001226237430 775747388 /nfs/dbraw/zinc/74/73/88/775747388.db2.gz HBAFYLAKVGSIBE-GFCCVEGCSA-N 0 0 253.323 2.843 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cc1Cl)c1cnccn1 ZINC001226257517 775759168 /nfs/dbraw/zinc/75/91/68/775759168.db2.gz HBAYIEDTYDSWLQ-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1cc([N+](=O)[O-])ccc1F ZINC001226265223 775762436 /nfs/dbraw/zinc/76/24/36/775762436.db2.gz GDDYFWCABYKXKX-ZYHUDNBSSA-N 0 0 297.282 2.845 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc([N+](=O)[O-])ccc1F ZINC001226269412 775763571 /nfs/dbraw/zinc/76/35/71/775763571.db2.gz FOBJMQJAWBWTKR-NSHDSACASA-N 0 0 255.245 2.870 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC001226292058 775770292 /nfs/dbraw/zinc/77/02/92/775770292.db2.gz JCCQCBDRTWDZBN-ZSBIGDGJSA-N 0 0 261.277 2.975 20 5 CFBDRN CC(=O)c1ccc(O[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC001226295565 775771627 /nfs/dbraw/zinc/77/16/27/775771627.db2.gz WXEDFLGFNBPXRR-NOZJJQNGSA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1cnc(=O)[nH]c1O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001226328855 775781340 /nfs/dbraw/zinc/78/13/40/775781340.db2.gz BUDXYDDGBCLQJV-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN C[C@@H](Oc1c(O)cccc1[N+](=O)[O-])c1ccncc1 ZINC001226335615 775784341 /nfs/dbraw/zinc/78/43/41/775784341.db2.gz JVDWINCUYDOVBD-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN C[C@@H](Oc1c(O)cccc1[N+](=O)[O-])c1ccncc1F ZINC001226340724 775785555 /nfs/dbraw/zinc/78/55/55/775785555.db2.gz PIJNYTJVPCNMQN-MRVPVSSYSA-N 0 0 278.239 2.975 20 5 CFBDRN C[C@H](Oc1nc(Cl)ccc1[N+](=O)[O-])c1cnccn1 ZINC001226364937 775790248 /nfs/dbraw/zinc/79/02/48/775790248.db2.gz WHTFSMKOCOWKEY-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@@H](Oc1nc(Cl)ccc1[N+](=O)[O-])c1cnccn1 ZINC001226364936 775790568 /nfs/dbraw/zinc/79/05/68/775790568.db2.gz WHTFSMKOCOWKEY-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2nc(Cl)ccc2[N+](=O)[O-])=C1C ZINC001226364973 775791706 /nfs/dbraw/zinc/79/17/06/775791706.db2.gz WSBSYANSHARHIK-QMMMGPOBSA-N 0 0 298.682 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)nc1OC1CCSCC1 ZINC001226367313 775792462 /nfs/dbraw/zinc/79/24/62/775792462.db2.gz XOQJDZAPMUHGDC-UHFFFAOYSA-N 0 0 274.729 2.918 20 5 CFBDRN CN1CC(Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])C1 ZINC001226385675 775798553 /nfs/dbraw/zinc/79/85/53/775798553.db2.gz IUZUGEPORGTLQG-UHFFFAOYSA-N 0 0 284.315 2.955 20 5 CFBDRN O=C1C[C@H](Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])CO1 ZINC001226386476 775799221 /nfs/dbraw/zinc/79/92/21/775799221.db2.gz NSDUUZBZRXCGGG-ZDUSSCGKSA-N 0 0 299.282 2.956 20 5 CFBDRN COC(=O)c1cc(O[C@H](C)C(C)C)c(OC)cc1[N+](=O)[O-] ZINC001226435968 775821530 /nfs/dbraw/zinc/82/15/30/775821530.db2.gz KAFWVAPOPBYJSG-SECBINFHSA-N 0 0 297.307 2.813 20 5 CFBDRN CCCOC[C@H](C)Oc1ncnc2ccc([N+](=O)[O-])cc21 ZINC001226437256 775823115 /nfs/dbraw/zinc/82/31/15/775823115.db2.gz UJYZREDRIMXVQE-JTQLQIEISA-N 0 0 291.307 2.732 20 5 CFBDRN CC(C)(C)c1ccc(OC[C@H]2COCCO2)c([N+](=O)[O-])c1 ZINC001226446748 775825173 /nfs/dbraw/zinc/82/51/73/775825173.db2.gz PWFSDHWIBHCUIS-GFCCVEGCSA-N 0 0 295.335 2.687 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H]1CCC=CO1 ZINC001226529096 775860565 /nfs/dbraw/zinc/86/05/65/775860565.db2.gz DEJBNZCIPSXSPR-LBPRGKRZSA-N 0 0 277.276 2.869 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCOC[C@H]1C ZINC001226530966 775863054 /nfs/dbraw/zinc/86/30/54/775863054.db2.gz MZKTTXIPOIIIPQ-NOZJJQNGSA-N 0 0 279.292 2.601 20 5 CFBDRN CO[C@@H](C)CCOc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226531996 775863836 /nfs/dbraw/zinc/86/38/36/775863836.db2.gz QESBSOOAEHIDEX-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN COc1cc(O[C@@H]2CCC[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC001226582694 775880912 /nfs/dbraw/zinc/88/09/12/775880912.db2.gz ZAFDQVAEVDJIJT-NXEZZACHSA-N 0 0 266.297 2.956 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2ccncc21)C1CC1 ZINC001226616073 775892530 /nfs/dbraw/zinc/89/25/30/775892530.db2.gz UVLPCFIVZUHLBK-AWEZNQCLSA-N 0 0 258.277 2.669 20 5 CFBDRN Cc1cnnc(O[C@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC001226716875 775937045 /nfs/dbraw/zinc/93/70/45/775937045.db2.gz ACEDIDMYKLBFKH-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@H](Oc2ncc([N+](=O)[O-])cn2)C1 ZINC001226731578 775941153 /nfs/dbraw/zinc/94/11/53/775941153.db2.gz PJFOARNXOQGNLS-FXPVBKGRSA-N 0 0 251.286 2.588 20 5 CFBDRN Cc1ccccc1[C@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733995 775942781 /nfs/dbraw/zinc/94/27/81/775942781.db2.gz VNMLNNIXLOAWQB-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)C1Oc1ncc([N+](=O)[O-])cn1 ZINC001226734199 775942792 /nfs/dbraw/zinc/94/27/92/775942792.db2.gz VVYPDIOPJGDKQF-RKDXNWHRSA-N 0 0 251.286 2.588 20 5 CFBDRN CC(C)(CCO)Nc1cc([N+](=O)[O-])cc2cc[nH]c21 ZINC001167740344 775945339 /nfs/dbraw/zinc/94/53/39/775945339.db2.gz ZMAOVKGVBUXSBF-UHFFFAOYSA-N 0 0 263.297 2.649 20 5 CFBDRN CCN1CCC[C@@H](Oc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC001226748601 775945708 /nfs/dbraw/zinc/94/57/08/775945708.db2.gz LXRMHQQMUXZLII-SNVBAGLBSA-N 0 0 286.278 2.736 20 5 CFBDRN C[C@@H](COc1ncc(F)cc1[N+](=O)[O-])Oc1ccccc1 ZINC001226750927 775946413 /nfs/dbraw/zinc/94/64/13/775946413.db2.gz BCWQPIIQFGNTHZ-JTQLQIEISA-N 0 0 292.266 2.975 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ncc(F)cc2[N+](=O)[O-])cn1 ZINC001226751031 775946727 /nfs/dbraw/zinc/94/67/27/775946727.db2.gz CNBPAVAJSDAJST-MRVPVSSYSA-N 0 0 293.254 2.673 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@H]1CC(F)(F)C1(F)F ZINC001226751343 775946793 /nfs/dbraw/zinc/94/67/93/775946793.db2.gz JCXNFPMOKBLXHF-LURJTMIESA-N 0 0 284.140 2.551 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1OC(C1CC1)C1CC1 ZINC001226751835 775948751 /nfs/dbraw/zinc/94/87/51/775948751.db2.gz UIQVGOFZECZTCN-UHFFFAOYSA-N 0 0 252.245 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCc3nccn3C2)c(Cl)c1 ZINC001226762964 775952405 /nfs/dbraw/zinc/95/24/05/775952405.db2.gz ZJWHHMBPNHWQEK-SNVBAGLBSA-N 0 0 293.710 2.839 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2cccc([N+](=O)[O-])c2)C1 ZINC001226763273 775952742 /nfs/dbraw/zinc/95/27/42/775952742.db2.gz ORGHDESAGRTACZ-ZWNOBZJWSA-N 0 0 279.292 2.705 20 5 CFBDRN COC(=O)[C@@H](Oc1cccc([N+](=O)[O-])c1)c1ccccc1 ZINC001226763274 775953309 /nfs/dbraw/zinc/95/33/09/775953309.db2.gz OUQJAPYGSDVJKV-AWEZNQCLSA-N 0 0 287.271 2.888 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226806047 775971595 /nfs/dbraw/zinc/97/15/95/775971595.db2.gz GTKSTPAHMKCLCJ-CPCISQLKSA-N 0 0 257.673 2.662 20 5 CFBDRN C[C@@H](O)CCCC(=O)Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226809543 775972737 /nfs/dbraw/zinc/97/27/37/775972737.db2.gz DOKMGPJNNGIUQX-MRVPVSSYSA-N 0 0 287.699 2.705 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001226810735 775972972 /nfs/dbraw/zinc/97/29/72/775972972.db2.gz ROTICBBOUHHRHA-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1F)ncnc2O[C@H]1C=CCC1 ZINC001226808286 775973236 /nfs/dbraw/zinc/97/32/36/775973236.db2.gz SNVXMFFEDOGMBQ-QMMMGPOBSA-N 0 0 275.239 2.775 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC001226810285 775973438 /nfs/dbraw/zinc/97/34/38/775973438.db2.gz MBPOCOCKXSXXRG-NSHDSACASA-N 0 0 286.671 2.682 20 5 CFBDRN Cc1cc(O[C@H](C)c2cccc([N+](=O)[O-])c2)n(C)n1 ZINC001226835830 775985237 /nfs/dbraw/zinc/98/52/37/775985237.db2.gz HMJHMLWRZRAFDD-SNVBAGLBSA-N 0 0 261.281 2.777 20 5 CFBDRN COC(C[C@H](C)Oc1ccc([N+](=O)[O-])c(C)c1)OC ZINC001226842606 775986825 /nfs/dbraw/zinc/98/68/25/775986825.db2.gz XLITVYQVFDLWKT-JTQLQIEISA-N 0 0 269.297 2.680 20 5 CFBDRN Cc1cc(OC2CCC3(CC2)OCCO3)ccc1[N+](=O)[O-] ZINC001226842286 775987609 /nfs/dbraw/zinc/98/76/09/775987609.db2.gz SUUWQWOXONPSPC-UHFFFAOYSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1cc(O[C@H](C)c2cnccn2)ccc1[N+](=O)[O-] ZINC001226844242 775988468 /nfs/dbraw/zinc/98/84/68/775988468.db2.gz VNSDLNPJBHYAEL-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1O[C@@H]1CCOC[C@H]1F ZINC001226907922 776011397 /nfs/dbraw/zinc/01/13/97/776011397.db2.gz YQRSNFJAJLXJLZ-PSASIEDQSA-N 0 0 293.653 2.893 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1OC1CC2(COC2)C1 ZINC001226908365 776013936 /nfs/dbraw/zinc/01/39/36/776013936.db2.gz FRHIPXGVTVIYHV-UHFFFAOYSA-N 0 0 287.674 2.945 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc(Cl)c([N+](=O)[O-])cn1 ZINC001226916013 776016756 /nfs/dbraw/zinc/01/67/56/776016756.db2.gz WCJRNNRSOKSRLB-SNVBAGLBSA-N 0 0 272.688 2.780 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1ccc(C)c([N+](=O)[O-])c1 ZINC001226952109 776033178 /nfs/dbraw/zinc/03/31/78/776033178.db2.gz DBRYGSUGGJKZIR-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)[C@@H](C)Oc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC001226964046 776036701 /nfs/dbraw/zinc/03/67/01/776036701.db2.gz KCCFLJGTGVUOAY-ZCFIWIBFSA-N 0 0 293.197 2.554 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1c(C)cccc1[N+](=O)[O-] ZINC001226970516 776038583 /nfs/dbraw/zinc/03/85/83/776038583.db2.gz YFKGEZLNOFDKDM-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2c(C)cccc2[N+](=O)[O-])C1 ZINC001226970947 776039773 /nfs/dbraw/zinc/03/97/73/776039773.db2.gz GGHKSOJPZJTJOT-PHIMTYICSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@@H]1CCO[C@@H](C)C1 ZINC001226991542 776051645 /nfs/dbraw/zinc/05/16/45/776051645.db2.gz ANENHBWWVOMIKO-CMPLNLGQSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1O[C@@H]1C(=O)OCC1(C)C ZINC001226989461 776051680 /nfs/dbraw/zinc/05/16/80/776051680.db2.gz OHCGBMMUDUSURS-LLVKDONJSA-N 0 0 299.710 2.887 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1C ZINC001226993057 776052992 /nfs/dbraw/zinc/05/29/92/776052992.db2.gz QMEMHAZNUJSOLG-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(C[C@H](C)Oc1cc([N+](=O)[O-])ccc1C)OC ZINC001226997111 776054578 /nfs/dbraw/zinc/05/45/78/776054578.db2.gz VAEBSRSSGMORLL-JTQLQIEISA-N 0 0 269.297 2.680 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OC2CC(F)(F)C2)c1 ZINC001227044955 776070924 /nfs/dbraw/zinc/07/09/24/776070924.db2.gz SEOSNOZTFLQRDK-UHFFFAOYSA-N 0 0 287.218 2.558 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2CCC(F)(F)C2)n1 ZINC001227065073 776081611 /nfs/dbraw/zinc/08/16/11/776081611.db2.gz RBKZQGWNPWSVBT-MRVPVSSYSA-N 0 0 258.224 2.865 20 5 CFBDRN Cc1[nH]nc2c1cccc2O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001227083490 776087807 /nfs/dbraw/zinc/08/78/07/776087807.db2.gz UVHKKQKAAXWYCE-AWEZNQCLSA-N 0 0 298.302 2.663 20 5 CFBDRN Cc1cc2c(ccnc2O[C@H](C[N+](=O)[O-])c2cccnc2)o1 ZINC001227089159 776091046 /nfs/dbraw/zinc/09/10/46/776091046.db2.gz ASTHLLRIASWHST-CQSZACIVSA-N 0 0 299.286 2.928 20 5 CFBDRN CCCCN(C)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 ZINC000025608833 776097068 /nfs/dbraw/zinc/09/70/68/776097068.db2.gz ZUKGEXHWBOLRMY-UHFFFAOYSA-N 0 0 295.295 2.684 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1n[nH]c3c1CCCC3)C2 ZINC001227101773 776097055 /nfs/dbraw/zinc/09/70/55/776097055.db2.gz SBTCWJLIGLGNGJ-ZDUSSCGKSA-N 0 0 299.330 2.743 20 5 CFBDRN CC[C@H](C)[C@H](C)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227106078 776098494 /nfs/dbraw/zinc/09/84/94/776098494.db2.gz DSQHDZMUWUVKRK-YUMQZZPRSA-N 0 0 269.257 2.711 20 5 CFBDRN CC[C@@H](C)[C@H](C)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227106077 776099791 /nfs/dbraw/zinc/09/97/91/776099791.db2.gz DSQHDZMUWUVKRK-SFYZADRCSA-N 0 0 269.257 2.711 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@H]1CC[C@H](C)C1 ZINC001227122819 776107168 /nfs/dbraw/zinc/10/71/68/776107168.db2.gz VGRYTDFHTFBDRI-UWVGGRQHSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1c(C)cccc1O ZINC001227138359 776110906 /nfs/dbraw/zinc/11/09/06/776110906.db2.gz AJZAEAKCYUMQBA-SNVBAGLBSA-N 0 0 291.307 2.581 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])cc1OC ZINC001227188128 776128929 /nfs/dbraw/zinc/12/89/29/776128929.db2.gz SBSCLCLHDTZNFE-LLVKDONJSA-N 0 0 267.281 2.740 20 5 CFBDRN Cc1ccc(O[C@@H](C)Cc2ccco2)c([N+](=O)[O-])n1 ZINC001227222991 776144235 /nfs/dbraw/zinc/14/42/35/776144235.db2.gz ZLZSRCDAFHUFQO-JTQLQIEISA-N 0 0 262.265 2.901 20 5 CFBDRN O=C1CCC(Oc2nc3ccc([N+](=O)[O-])cc3o2)CC1 ZINC001227230891 776147819 /nfs/dbraw/zinc/14/78/19/776147819.db2.gz YOJFIQIUJSKDBB-UHFFFAOYSA-N 0 0 276.248 2.627 20 5 CFBDRN O=[N+]([O-])c1cccc2oc(O[C@H]3COc4cnccc43)nc21 ZINC001227235357 776149654 /nfs/dbraw/zinc/14/96/54/776149654.db2.gz BJTYMHDSBLLKGM-LBPRGKRZSA-N 0 0 299.242 2.644 20 5 CFBDRN Cc1cnc(O[C@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC001227234841 776149740 /nfs/dbraw/zinc/14/97/40/776149740.db2.gz KYSPLTLVTSZPGV-ZCFIWIBFSA-N 0 0 250.176 2.628 20 5 CFBDRN Cc1cc2nc(O[C@H](C[N+](=O)[O-])C3CC3)[nH]c2cc1C ZINC001227238189 776150590 /nfs/dbraw/zinc/15/05/90/776150590.db2.gz IYDOPRUXFRNWTQ-CYBMUJFWSA-N 0 0 275.308 2.614 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227237540 776150859 /nfs/dbraw/zinc/15/08/59/776150859.db2.gz IWDFSYZYRMPNNK-SNVBAGLBSA-N 0 0 278.264 2.873 20 5 CFBDRN CCO[C@H](C)COc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227236672 776151411 /nfs/dbraw/zinc/15/14/11/776151411.db2.gz XSEXHNOTBJOZKK-MRVPVSSYSA-N 0 0 266.253 2.540 20 5 CFBDRN CCO[C@@H](C)COc1nc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001227247274 776155164 /nfs/dbraw/zinc/15/51/64/776155164.db2.gz VWOHKZYTLZVBFF-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN Cc1cc(O[C@H](C)c2cc3c(cc2[N+](=O)[O-])OCO3)no1 ZINC001227278054 776168977 /nfs/dbraw/zinc/16/89/77/776168977.db2.gz NYHNSDLGEMNHPA-MRVPVSSYSA-N 0 0 292.247 2.760 20 5 CFBDRN CCC(CC)Oc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC001227295072 776172560 /nfs/dbraw/zinc/17/25/60/776172560.db2.gz JHNWVCPUJSBIIS-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1ccc(O[C@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC001227294695 776172808 /nfs/dbraw/zinc/17/28/08/776172808.db2.gz GNWKNAGIASKNTM-RBZYPMLTSA-N 0 0 289.287 2.725 20 5 CFBDRN CCOC(=O)c1ccc(OC[C@@H](C)OCC)c([N+](=O)[O-])c1 ZINC001227297368 776173761 /nfs/dbraw/zinc/17/37/61/776173761.db2.gz QSKHGWFRJKXZPC-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN CCOC(=O)c1ccc(OCC[C@H](C)OC)c([N+](=O)[O-])c1 ZINC001227296882 776174032 /nfs/dbraw/zinc/17/40/32/776174032.db2.gz HOVILUALIXGIQI-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC001227334653 776179243 /nfs/dbraw/zinc/17/92/43/776179243.db2.gz PCYUQBNNBIKFBH-POYBYMJQSA-N 0 0 271.704 2.914 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1cc(C)cc(O)c1 ZINC001227471946 776211340 /nfs/dbraw/zinc/21/13/40/776211340.db2.gz MIFWRMZTBZKTSB-JTQLQIEISA-N 0 0 291.307 2.581 20 5 CFBDRN O=[N+]([O-])c1ccccc1O[C@@H]1CCCC2(C1)OCCO2 ZINC001227483665 776212194 /nfs/dbraw/zinc/21/21/94/776212194.db2.gz BGUJKTYCKDDEAG-LLVKDONJSA-N 0 0 279.292 2.659 20 5 CFBDRN Cn1cccc1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124845126 776215377 /nfs/dbraw/zinc/21/53/77/776215377.db2.gz MEUZPXPBFLAYTN-UHFFFAOYSA-N 0 0 280.667 2.806 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2)C1 ZINC001227523986 776220328 /nfs/dbraw/zinc/22/03/28/776220328.db2.gz VJFUWPADWRNFQM-GWCFXTLKSA-N 0 0 279.292 2.705 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2c1CCCC2)C1CC1 ZINC001227688285 776257360 /nfs/dbraw/zinc/25/73/60/776257360.db2.gz PYXLRZCNLJIHKP-HNNXBMFYSA-N 0 0 261.321 3.000 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(OC[C@@H]3CCC=CO3)nc2c1 ZINC001227745929 776276789 /nfs/dbraw/zinc/27/67/89/776276789.db2.gz PAJIBVOYADWSTG-JTQLQIEISA-N 0 0 275.264 2.543 20 5 CFBDRN C[C@H]1COCC[C@H]1OCc1ccc([N+](=O)[O-])cc1 ZINC001222793776 776309163 /nfs/dbraw/zinc/30/91/63/776309163.db2.gz YATZHOYOGPNDQX-GXFFZTMASA-N 0 0 251.282 2.536 20 5 CFBDRN C[C@H](COCc1ccccc1)Oc1cncc([N+](=O)[O-])c1 ZINC001227988078 776351164 /nfs/dbraw/zinc/35/11/64/776351164.db2.gz NFAMWEWIMPHCLI-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN C[C@H](COC(C)(C)C)Oc1cncc([N+](=O)[O-])c1 ZINC001227984908 776351238 /nfs/dbraw/zinc/35/12/38/776351238.db2.gz OEHHXVREJMUDCV-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228000518 776355948 /nfs/dbraw/zinc/35/59/48/776355948.db2.gz MDWJCZKOIUQWCM-MRVPVSSYSA-N 0 0 285.683 2.745 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228001419 776356088 /nfs/dbraw/zinc/35/60/88/776356088.db2.gz YFPGFBLQGFWHNF-KTLJEJPDSA-N 0 0 299.710 2.969 20 5 CFBDRN CC(C)N1CC(Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228001942 776356129 /nfs/dbraw/zinc/35/61/29/776356129.db2.gz GAARSRKPVGTNEG-UHFFFAOYSA-N 0 0 270.716 2.720 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228002549 776356468 /nfs/dbraw/zinc/35/64/68/776356468.db2.gz NWCNZZASVJMHBF-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN CC1(C)CC[C@@H](COc2nccc(Cl)c2[N+](=O)[O-])O1 ZINC001228014134 776362562 /nfs/dbraw/zinc/36/25/62/776362562.db2.gz DZZTZMUYNAJBSL-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1OC[C@@H]1CCCCO1 ZINC001228017092 776363942 /nfs/dbraw/zinc/36/39/42/776363942.db2.gz KLHKFQGZIWUQDL-QMMMGPOBSA-N 0 0 272.688 2.591 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228016240 776364314 /nfs/dbraw/zinc/36/43/14/776364314.db2.gz LFZRJJGLDPOWFH-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN COC(C[C@H](C)Oc1cc([N+](=O)[O-])cc(F)c1F)OC ZINC001228015676 776364582 /nfs/dbraw/zinc/36/45/82/776364582.db2.gz HIBXJKKXTAJIEU-ZETCQYMHSA-N 0 0 291.250 2.649 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nc(Cl)nc2ccccc21)C1CC1 ZINC001228036828 776372407 /nfs/dbraw/zinc/37/24/07/776372407.db2.gz UZROJGWACFZHQB-NSHDSACASA-N 0 0 293.710 2.717 20 5 CFBDRN CCCOC[C@H](C)Oc1ccnc(Cl)c1[N+](=O)[O-] ZINC001228057596 776380111 /nfs/dbraw/zinc/38/01/11/776380111.db2.gz PKZYRNFNXDETFC-QMMMGPOBSA-N 0 0 274.704 2.837 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@H](Oc2ccnc(Cl)c2[N+](=O)[O-])C1 ZINC001228058538 776380331 /nfs/dbraw/zinc/38/03/31/776380331.db2.gz VQGPNGVGSRSDOP-JTQLQIEISA-N 0 0 299.758 2.895 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ccnc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228058870 776381657 /nfs/dbraw/zinc/38/16/57/776381657.db2.gz YECIAGZAHXUXIE-SFYZADRCSA-N 0 0 272.688 2.590 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cc(O)ccc1C ZINC001228069810 776383623 /nfs/dbraw/zinc/38/36/23/776383623.db2.gz ZGALRNNXEWIUDB-SNVBAGLBSA-N 0 0 291.307 2.581 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2c(O)cccc12)C1CC1 ZINC001228101658 776396778 /nfs/dbraw/zinc/39/67/78/776396778.db2.gz FTIQMGBLLFJSHB-OAHLLOKOSA-N 0 0 273.288 2.980 20 5 CFBDRN CO[C@H](C)COc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228135670 776405277 /nfs/dbraw/zinc/40/52/77/776405277.db2.gz LEEXBDCRZPKRAS-SECBINFHSA-N 0 0 262.265 2.557 20 5 CFBDRN CCOC[C@@H](C)Oc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228135556 776405324 /nfs/dbraw/zinc/40/53/24/776405324.db2.gz JRWHWMWOYPVTPU-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CCC[C@H]1CCCC[C@H]1Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228139338 776406788 /nfs/dbraw/zinc/40/67/88/776406788.db2.gz AJTKKYPXQRBYIY-VHSXEESVSA-N 0 0 281.312 2.828 20 5 CFBDRN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228141840 776406856 /nfs/dbraw/zinc/40/68/56/776406856.db2.gz VPJSIJATAWWFJO-MXWKQRLJSA-N 0 0 295.339 2.930 20 5 CFBDRN CCC[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])[C@@H](C)CC ZINC001228142622 776408663 /nfs/dbraw/zinc/40/86/63/776408663.db2.gz FYYYNEHJVWNMHZ-DTWKUNHWSA-N 0 0 269.301 2.684 20 5 CFBDRN O=c1[nH]cnc(O[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)c1[N+](=O)[O-] ZINC001228141855 776408725 /nfs/dbraw/zinc/40/87/25/776408725.db2.gz VXQUKNVOZLSPPP-HBNTYKKESA-N 0 0 293.323 2.828 20 5 CFBDRN CC(C)[C@H]1CC[C@H](C)C[C@H]1Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228144574 776409720 /nfs/dbraw/zinc/40/97/20/776409720.db2.gz VPJSIJATAWWFJO-HBNTYKKESA-N 0 0 295.339 2.930 20 5 CFBDRN C[C@@H](CON)Oc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC001228150816 776410981 /nfs/dbraw/zinc/41/09/81/776410981.db2.gz BPXUKVBIVIDZNP-YFKPBYRVSA-N 0 0 281.095 2.559 20 5 CFBDRN O=C1C[C@H](Oc2ccc3c(c2)oc2ccccc2c3=O)CO1 ZINC001228179121 776416242 /nfs/dbraw/zinc/41/62/42/776416242.db2.gz AIGPRONRVNHIEX-NSHDSACASA-N 0 0 296.278 2.641 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1nc2ccc([N+](=O)[O-])cc2s1 ZINC001228209185 776422600 /nfs/dbraw/zinc/42/26/00/776422600.db2.gz LFJNMQRDPUOPLG-SSDOTTSWSA-N 0 0 296.304 2.535 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC001228229550 776427812 /nfs/dbraw/zinc/42/78/12/776427812.db2.gz IQEXNKOSLWIBLN-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN C[C@H](Oc1[nH]c(=O)nc2cc([N+](=O)[O-])ccc21)C1CCC1 ZINC001228243502 776431946 /nfs/dbraw/zinc/43/19/46/776431946.db2.gz ZZRGDLXTNCDUOI-QMMMGPOBSA-N 0 0 289.291 2.811 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(O[C@@H]2CCc3nccn3C2)c1 ZINC001228258445 776435712 /nfs/dbraw/zinc/43/57/12/776435712.db2.gz YIPMFNBJHRSATH-LLVKDONJSA-N 0 0 293.710 2.839 20 5 CFBDRN CC(C)c1cccc(O[C@@H](C[N+](=O)[O-])C2CC2)n1 ZINC001228319221 776457022 /nfs/dbraw/zinc/45/70/22/776457022.db2.gz ZRLWQNVBKCCWLQ-LBPRGKRZSA-N 0 0 250.298 2.639 20 5 CFBDRN Cc1nc2cc(O[C@H](C[N+](=O)[O-])c3cccnc3)ccc2[nH]1 ZINC001228327736 776460596 /nfs/dbraw/zinc/46/05/96/776460596.db2.gz UQDMEGZUECGAKY-OAHLLOKOSA-N 0 0 298.302 2.663 20 5 CFBDRN Cc1nc2ccc(O[C@@H](C[N+](=O)[O-])c3cccnc3)cc2o1 ZINC001228339170 776465150 /nfs/dbraw/zinc/46/51/50/776465150.db2.gz RBCMRTGWQQMDPQ-HNNXBMFYSA-N 0 0 299.286 2.928 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccoc1 ZINC001126245771 776487050 /nfs/dbraw/zinc/48/70/50/776487050.db2.gz AOJRRIGZURTXGR-UHFFFAOYSA-N 0 0 292.316 2.840 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1OC1CCC(=O)CC1 ZINC001228451620 776494259 /nfs/dbraw/zinc/49/42/59/776494259.db2.gz ANTQDVGDOHAYFS-UHFFFAOYSA-N 0 0 291.303 2.996 20 5 CFBDRN Cc1cc(Cl)ncc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001228532807 776513629 /nfs/dbraw/zinc/51/36/29/776513629.db2.gz KYBBITXUVHWOFG-GFCCVEGCSA-N 0 0 293.710 2.835 20 5 CFBDRN Cc1cnc(CO[C@@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC001223206088 776519123 /nfs/dbraw/zinc/51/91/23/776519123.db2.gz WAHADSNXXAGSDG-NSHDSACASA-N 0 0 273.292 2.971 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1OC1CC2(COC2)C1 ZINC001228571302 776523863 /nfs/dbraw/zinc/52/38/63/776523863.db2.gz NPVADVGWRZFBOJ-UHFFFAOYSA-N 0 0 263.293 2.769 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@H]1CCOC[C@H]1F ZINC001228571326 776523878 /nfs/dbraw/zinc/52/38/78/776523878.db2.gz NUJWPXMQLOBQLM-NEPJUHHUSA-N 0 0 269.272 2.717 20 5 CFBDRN COc1cccc(O[C@H](C[N+](=O)[O-])c2cccnc2)c1F ZINC001228570362 776524095 /nfs/dbraw/zinc/52/40/95/776524095.db2.gz BTNRZNOSIUDFGQ-CYBMUJFWSA-N 0 0 292.266 2.626 20 5 CFBDRN Cc1nc(COC(=O)c2cccc([N+](=O)[O-])c2)oc1C ZINC000128733845 776529343 /nfs/dbraw/zinc/52/93/43/776529343.db2.gz BPQPYFKFRUSTPQ-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN C[C@H]1CCC[C@@H]1Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228605617 776536829 /nfs/dbraw/zinc/53/68/29/776536829.db2.gz JZOCOAJEKFNAPY-CBAPKCEASA-N 0 0 279.296 2.535 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2ncncc2[N+](=O)[O-])[C@@H]1C ZINC001228655361 776553413 /nfs/dbraw/zinc/55/34/13/776553413.db2.gz RFUYIJLACFAORM-FXPVBKGRSA-N 0 0 251.286 2.588 20 5 CFBDRN Cc1ccc(OC[C@@H](C)Oc2ncncc2[N+](=O)[O-])cc1 ZINC001228654111 776553467 /nfs/dbraw/zinc/55/34/67/776553467.db2.gz HGWGBUYKCIHICR-LLVKDONJSA-N 0 0 289.291 2.540 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2ncncc2[N+](=O)[O-])[C@@H]1C ZINC001228655363 776553544 /nfs/dbraw/zinc/55/35/44/776553544.db2.gz RFUYIJLACFAORM-KKZNHRDASA-N 0 0 251.286 2.588 20 5 CFBDRN Cc1ccccc1[C@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228654913 776553811 /nfs/dbraw/zinc/55/38/11/776553811.db2.gz NVLWOGNBMGXEJX-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CCC[C@@H](Oc1ncncc1[N+](=O)[O-])[C@H](C)CC ZINC001228654952 776553859 /nfs/dbraw/zinc/55/38/59/776553859.db2.gz OARHLTGQNNPIEE-MWLCHTKSSA-N 0 0 253.302 2.978 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2ncncc2[N+](=O)[O-])[C@H]1C ZINC001228655366 776554021 /nfs/dbraw/zinc/55/40/21/776554021.db2.gz RFUYIJLACFAORM-WCABBAIRSA-N 0 0 251.286 2.588 20 5 CFBDRN O=[N+]([O-])c1cncnc1O[C@H]1CCCc2ccccc21 ZINC001228657642 776554930 /nfs/dbraw/zinc/55/49/30/776554930.db2.gz PLVSOYRUUHHGPF-ZDUSSCGKSA-N 0 0 271.276 2.841 20 5 CFBDRN CCC[C@H](C)Oc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC001228661242 776555684 /nfs/dbraw/zinc/55/56/84/776555684.db2.gz LBPWHXPKWBDPOR-VIFPVBQESA-N 0 0 287.337 2.566 20 5 CFBDRN C[C@@H]1CC[C@H](Oc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])C1 ZINC001228662334 776557731 /nfs/dbraw/zinc/55/77/31/776557731.db2.gz VAFLHLUVUKTLCM-ZJUUUORDSA-N 0 0 299.348 2.566 20 5 CFBDRN C[C@@H](Oc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])C1CCC1 ZINC001228662538 776557834 /nfs/dbraw/zinc/55/78/34/776557834.db2.gz XDXNEUXKXLSJHS-SECBINFHSA-N 0 0 299.348 2.566 20 5 CFBDRN Cc1cc2[nH]c(O[C@H](C)c3ncccn3)nc2cc1[N+](=O)[O-] ZINC001228672452 776562187 /nfs/dbraw/zinc/56/21/87/776562187.db2.gz UTAXFLBTTHKIHA-SECBINFHSA-N 0 0 299.290 2.710 20 5 CFBDRN Cc1cc2[nH]c(O[C@H]3CCOC[C@@H]3C)nc2cc1[N+](=O)[O-] ZINC001228675977 776563950 /nfs/dbraw/zinc/56/39/50/776563950.db2.gz BTWHZTRKIFDMQD-ZANVPECISA-N 0 0 291.307 2.583 20 5 CFBDRN CC[C@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC001228679819 776565227 /nfs/dbraw/zinc/56/52/27/776565227.db2.gz VDHRWGCXTJXICK-AAEUAGOBSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC001228685829 776567589 /nfs/dbraw/zinc/56/75/89/776567589.db2.gz KOYKFGOJIDMYQD-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC001228687471 776568808 /nfs/dbraw/zinc/56/88/08/776568808.db2.gz XMQKTCYMVWOIAQ-WPRPVWTQSA-N 0 0 266.297 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)c2ncccc2F)c1[N+](=O)[O-] ZINC001228688620 776569097 /nfs/dbraw/zinc/56/90/97/776569097.db2.gz LJXKJVPBKOGPGA-MRVPVSSYSA-N 0 0 293.254 2.678 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)Cc2ccco2)c1[N+](=O)[O-] ZINC001228689375 776569608 /nfs/dbraw/zinc/56/96/08/776569608.db2.gz SXSHJHUJBGGWOK-MRVPVSSYSA-N 0 0 278.264 2.607 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1CC[C@@H](C(F)(F)F)O1 ZINC000722392128 776577044 /nfs/dbraw/zinc/57/70/44/776577044.db2.gz QIQISSDHLTZGKS-CBAPKCEASA-N 0 0 291.229 2.512 20 5 CFBDRN Cc1ccnc2cc(O[C@H](C[N+](=O)[O-])C3CC3)ccc12 ZINC001228736039 776590411 /nfs/dbraw/zinc/59/04/11/776590411.db2.gz HCPHZCJWTUKTNZ-OAHLLOKOSA-N 0 0 272.304 2.977 20 5 CFBDRN c1ccc2nc3cc(OC4COCOC4)ccc3nc2c1 ZINC001228742479 776593413 /nfs/dbraw/zinc/59/34/13/776593413.db2.gz ZGRZZFQPOSGKCX-UHFFFAOYSA-N 0 0 282.299 2.535 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC001228759097 776600077 /nfs/dbraw/zinc/60/00/77/776600077.db2.gz TVFZELBWRFDLCW-WAAGHKOSSA-N 0 0 299.710 2.969 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC001228759088 776600678 /nfs/dbraw/zinc/60/06/78/776600678.db2.gz SVKINBDXJXWDKC-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC001228770278 776604646 /nfs/dbraw/zinc/60/46/46/776604646.db2.gz JOAGQIVRPFPZBQ-CYBMUJFWSA-N 0 0 295.291 2.518 20 5 CFBDRN CC[C@H](C)Oc1c2ccccc2oc(=O)c1[N+](=O)[O-] ZINC001228803125 776617995 /nfs/dbraw/zinc/61/79/95/776617995.db2.gz TYSGQCQYGXGZSJ-QMMMGPOBSA-N 0 0 263.249 2.879 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc(C)cc([N+](=O)[O-])c2)=C1C ZINC001228833688 776625700 /nfs/dbraw/zinc/62/57/00/776625700.db2.gz NRLJKBJPHSVINI-LBPRGKRZSA-N 0 0 277.276 2.891 20 5 CFBDRN CCOCC(COCC)Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228833665 776626148 /nfs/dbraw/zinc/62/61/48/776626148.db2.gz NGYQDORCAMYBPU-UHFFFAOYSA-N 0 0 283.324 2.724 20 5 CFBDRN CC(C)C[C@H](Oc1cnccc1[N+](=O)[O-])C(=O)OC(C)C ZINC001228879510 776644205 /nfs/dbraw/zinc/64/42/05/776644205.db2.gz HKDQEPPKWGARMN-LBPRGKRZSA-N 0 0 296.323 2.735 20 5 CFBDRN C[C@@H](Oc1cnccc1[N+](=O)[O-])c1nccs1 ZINC001228883053 776645094 /nfs/dbraw/zinc/64/50/94/776645094.db2.gz SOFYNAVBDXREQF-SSDOTTSWSA-N 0 0 251.267 2.586 20 5 CFBDRN O=[N+]([O-])c1ccncc1O[C@H](c1cccnc1)C1CC1 ZINC001228880936 776645383 /nfs/dbraw/zinc/64/53/83/776645383.db2.gz ARKLDAHIHUORCJ-AWEZNQCLSA-N 0 0 271.276 2.915 20 5 CFBDRN O=[N+]([O-])c1ccncc1O[C@@H]1COCc2ccccc21 ZINC001228881310 776645405 /nfs/dbraw/zinc/64/54/05/776645405.db2.gz CYFAIAGPGVAQGH-CQSZACIVSA-N 0 0 272.260 2.640 20 5 CFBDRN C[C@H](COc1cnccc1[N+](=O)[O-])OCc1ccccc1 ZINC001228882827 776645459 /nfs/dbraw/zinc/64/54/59/776645459.db2.gz PXJGQXRPHKHKKU-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN O=[N+]([O-])c1cccc(C(F)(F)F)c1O[C@@H]1CCOC1 ZINC001228931006 776662060 /nfs/dbraw/zinc/66/20/60/776662060.db2.gz QPKRTAPRJKPGEU-SSDOTTSWSA-N 0 0 277.198 2.781 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2cc(O)cc([O-])c2[N+](=O)[O-])C1 ZINC001228971944 776671802 /nfs/dbraw/zinc/67/18/02/776671802.db2.gz TUWTWIRVEFDKEM-VXNVDRBHSA-N 0 0 253.254 2.573 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228971325 776672495 /nfs/dbraw/zinc/67/24/95/776672495.db2.gz OWAHWYOSPZPIEZ-SNVBAGLBSA-N 0 0 295.291 2.518 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@H]1CCO[C@@H](C)C1 ZINC001228977324 776675596 /nfs/dbraw/zinc/67/55/96/776675596.db2.gz NIKVTZZPRWHPRI-ONGXEEELSA-N 0 0 279.292 2.744 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(C2CC2)cn1)c1cccnc1 ZINC001229000770 776686261 /nfs/dbraw/zinc/68/62/61/776686261.db2.gz SUTCSFDLYRNDJO-AWEZNQCLSA-N 0 0 285.303 2.751 20 5 CFBDRN CC[C@H](COC)Oc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229008896 776687146 /nfs/dbraw/zinc/68/71/46/776687146.db2.gz OXDVTUCZSKIDAK-GFCCVEGCSA-N 0 0 276.292 2.947 20 5 CFBDRN CCOC[C@H](C)Oc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229007002 776687468 /nfs/dbraw/zinc/68/74/68/776687468.db2.gz DLDFEBKAGKQMKY-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN COC(=O)c1c(O[C@@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC001229044239 776698636 /nfs/dbraw/zinc/69/86/36/776698636.db2.gz FNPVWTRJYLBKNW-LURJTMIESA-N 0 0 293.197 2.711 20 5 CFBDRN CCCOCCNc1cc([N+](=O)[O-])cc(C)c1F ZINC001167874365 776699616 /nfs/dbraw/zinc/69/96/16/776699616.db2.gz AJYKLTOKXPMWOU-UHFFFAOYSA-N 0 0 256.277 2.881 20 5 CFBDRN COC(=O)c1c(O[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC001229046946 776700072 /nfs/dbraw/zinc/70/00/72/776700072.db2.gz VJTJVJNUWUEIIA-QMMMGPOBSA-N 0 0 265.265 2.559 20 5 CFBDRN CCC[C@H](C)Oc1cccc([N+](=O)[O-])c1C(=O)OC ZINC001229047388 776700179 /nfs/dbraw/zinc/70/01/79/776700179.db2.gz YRLVRNCIKBKDEE-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cccc([N+](=O)[O-])c1C(=O)OC ZINC001229050047 776701623 /nfs/dbraw/zinc/70/16/23/776701623.db2.gz RXYBFLAUBVDCLG-NSHDSACASA-N 0 0 295.291 2.518 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(Cl)nc1Cl)C1CC1 ZINC001229091280 776714788 /nfs/dbraw/zinc/71/47/88/776714788.db2.gz KOPOBXMMHMQIGN-QMMMGPOBSA-N 0 0 277.107 2.823 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1CO[C@H]1CCOC[C@H]1F ZINC001223539388 776714995 /nfs/dbraw/zinc/71/49/95/776714995.db2.gz RDQDWXUWTYPJFO-YPMHNXCESA-N 0 0 269.272 2.547 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccn3ccnc3c1)C2 ZINC001229105385 776719430 /nfs/dbraw/zinc/71/94/30/776719430.db2.gz TZGWNBMJLPDVFM-HNNXBMFYSA-N 0 0 295.298 2.789 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2ccc(/C=C/[N+](=O)[O-])cc2)=C1C ZINC001229114315 776722133 /nfs/dbraw/zinc/72/21/33/776722133.db2.gz JDIPAPLCIPVOOV-XEHSLEBBSA-N 0 0 289.287 2.922 20 5 CFBDRN CCO[C@@H](C)COc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229115083 776722641 /nfs/dbraw/zinc/72/26/41/776722641.db2.gz PPYIVSCVELNSGD-FBOQAHMBSA-N 0 0 251.282 2.738 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114261 776722835 /nfs/dbraw/zinc/72/28/35/776722835.db2.gz HXUMLKFOECBZOE-VSONXHSHSA-N 0 0 295.335 2.753 20 5 CFBDRN CC[C@H](COC)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114550 776723077 /nfs/dbraw/zinc/72/30/77/776723077.db2.gz LWBSDWHDOIDNFK-IDVQTMNDSA-N 0 0 251.282 2.738 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229115774 776723849 /nfs/dbraw/zinc/72/38/49/776723849.db2.gz RQLZSZOQBOMGFJ-LXKVQUBZSA-N 0 0 279.292 2.655 20 5 CFBDRN CCOCC(COCC)Oc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229116832 776724464 /nfs/dbraw/zinc/72/44/64/776724464.db2.gz XXMZYBFSDGYPMN-MDZDMXLPSA-N 0 0 295.335 2.754 20 5 CFBDRN CC(=O)Nc1cc(OC2CCC2)c(C(C)=O)cc1[N+](=O)[O-] ZINC001229131762 776726723 /nfs/dbraw/zinc/72/67/23/776726723.db2.gz YNDNVIZBPGQCHZ-UHFFFAOYSA-N 0 0 292.291 2.687 20 5 CFBDRN CC[C@H](C)Oc1cc(NC(C)=O)c([N+](=O)[O-])cc1C(C)=O ZINC001229130102 776727593 /nfs/dbraw/zinc/72/75/93/776727593.db2.gz PJTSEQHUKDCHOG-QMMMGPOBSA-N 0 0 294.307 2.933 20 5 CFBDRN CC(=O)c1cccc(O[C@@H](C)C2CCOCC2)c1[N+](=O)[O-] ZINC001229132309 776728704 /nfs/dbraw/zinc/72/87/04/776728704.db2.gz RYASQHGZBORFJG-NSHDSACASA-N 0 0 293.319 2.991 20 5 CFBDRN CC(=O)c1cccc(O[C@@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC001229136436 776728913 /nfs/dbraw/zinc/72/89/13/776728913.db2.gz BQYLRZZGVMHNQM-JOYOIKCWSA-N 0 0 279.292 2.601 20 5 CFBDRN CCCOC[C@@H](C)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229137769 776729940 /nfs/dbraw/zinc/72/99/40/776729940.db2.gz LKCXSCNFJGKDDK-SNVBAGLBSA-N 0 0 281.308 2.991 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cc(OC[C@@H]2CCO2)c1 ZINC001229146850 776732539 /nfs/dbraw/zinc/73/25/39/776732539.db2.gz WIIWOVCPHNRKDF-VIFPVBQESA-N 0 0 288.097 2.525 20 5 CFBDRN COc1ccc(CO[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC001223649932 776743805 /nfs/dbraw/zinc/74/38/05/776743805.db2.gz WYCQRIYIYMOPLE-ZYHUDNBSSA-N 0 0 281.308 2.688 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCOC[C@H]1C ZINC001229177256 776744158 /nfs/dbraw/zinc/74/41/58/776744158.db2.gz QUPFYJWTLJDBTE-YGRLFVJLSA-N 0 0 293.319 2.991 20 5 CFBDRN COC(=O)c1cccc(CO[C@@H](C)C2CC2)c1[N+](=O)[O-] ZINC001223665321 776745483 /nfs/dbraw/zinc/74/54/83/776745483.db2.gz PYOVBZDREOJWGR-VIFPVBQESA-N 0 0 279.292 2.697 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H](C)c1cncnc1 ZINC001229199648 776749360 /nfs/dbraw/zinc/74/93/60/776749360.db2.gz XCRYYEDWTWJGEX-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1nc(O[C@@H](C)c2ccccc2F)c([N+](=O)[O-])c(=O)[nH]1 ZINC001229376945 776795886 /nfs/dbraw/zinc/79/58/86/776795886.db2.gz YDNBOYKYFXGGIB-ZETCQYMHSA-N 0 0 293.254 2.678 20 5 CFBDRN COc1cncnc1O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001229389410 776796841 /nfs/dbraw/zinc/79/68/41/776796841.db2.gz AVPCNLOTJGRMTO-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)ncnc2OC1CC=CC1 ZINC001229398880 776799390 /nfs/dbraw/zinc/79/93/90/776799390.db2.gz CJVLKFHQQVSJQV-UHFFFAOYSA-N 0 0 257.249 2.635 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)ncnc2OC[C@H]1CCC=CO1 ZINC001229399507 776801128 /nfs/dbraw/zinc/80/11/28/776801128.db2.gz LRESOZLKBKBEAX-LLVKDONJSA-N 0 0 287.275 2.610 20 5 CFBDRN C[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1ccc(F)cc1 ZINC001229451992 776817247 /nfs/dbraw/zinc/81/72/47/776817247.db2.gz LUJOYXANZFYISE-VIFPVBQESA-N 0 0 278.239 2.507 20 5 CFBDRN CCCCC[C@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452017 776817345 /nfs/dbraw/zinc/81/73/45/776817345.db2.gz MGXMCOUKTJPJAB-JTQLQIEISA-N 0 0 254.286 2.576 20 5 CFBDRN CC[C@H](C)CC[C@@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452899 776817564 /nfs/dbraw/zinc/81/75/64/776817564.db2.gz YQXPVFUTCRTARF-WDEREUQCSA-N 0 0 268.313 2.822 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])CC2 ZINC001229454048 776818311 /nfs/dbraw/zinc/81/83/11/776818311.db2.gz HMRJARIKFCQOSM-AWEZNQCLSA-N 0 0 286.287 2.603 20 5 CFBDRN Cc1cc(O[C@@H]2CCc3nccn3C2)cc(C)c1[N+](=O)[O-] ZINC001229470907 776821661 /nfs/dbraw/zinc/82/16/61/776821661.db2.gz VMOMQWMVFHIZJC-GFCCVEGCSA-N 0 0 287.319 2.802 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229490939 776829060 /nfs/dbraw/zinc/82/90/60/776829060.db2.gz OFABGKQQHDZSNW-KWQFWETISA-N 0 0 278.264 2.540 20 5 CFBDRN C[C@H](Oc1cc(Br)cnc1[N+](=O)[O-])C1CC1 ZINC001229563881 776848610 /nfs/dbraw/zinc/84/86/10/776848610.db2.gz ZUVLCANWWPJMJY-LURJTMIESA-N 0 0 287.113 2.930 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCc2ccccc2OC1 ZINC000337781352 776871194 /nfs/dbraw/zinc/87/11/94/776871194.db2.gz BFMVAMPWMXLFMS-GFCCVEGCSA-N 0 0 285.303 2.796 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@@H]2C2CCC2)n1 ZINC001143552636 776872559 /nfs/dbraw/zinc/87/25/59/776872559.db2.gz CKVPDJLWBSJGLO-CQSZACIVSA-N 0 0 289.335 2.703 20 5 CFBDRN Cc1nc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)c(C)c(=O)[nH]1 ZINC001229686846 776873332 /nfs/dbraw/zinc/87/33/32/776873332.db2.gz VKLCGEDBOVIASX-VIFPVBQESA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1cc(O)c(OC[C@@H]2CC[C@H](C)O2)cc1[N+](=O)[O-] ZINC001229751644 776887537 /nfs/dbraw/zinc/88/75/37/776887537.db2.gz XCONPXHGJGUGJM-UWVGGRQHSA-N 0 0 267.281 2.555 20 5 CFBDRN Cc1cc(O)c(O[C@@H](C)c2cncnc2)cc1[N+](=O)[O-] ZINC001229752983 776888015 /nfs/dbraw/zinc/88/80/15/776888015.db2.gz DXAWUAUMMWVSKK-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H](C)c2ncccn2)cc1F ZINC001229892379 776912089 /nfs/dbraw/zinc/91/20/89/776912089.db2.gz MCIXBLFPSNSJIH-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCO[C@@H](C)C2)cc1F ZINC001229894371 776912787 /nfs/dbraw/zinc/91/27/87/776912787.db2.gz IBDUXLVVYBDOKA-VHSXEESVSA-N 0 0 269.272 2.989 20 5 CFBDRN C[C@H](Oc1cnc2ccnn2c1)c1cccc([N+](=O)[O-])c1 ZINC001229903610 776914685 /nfs/dbraw/zinc/91/46/85/776914685.db2.gz LTZDKODIGGZZOQ-JTQLQIEISA-N 0 0 284.275 2.778 20 5 CFBDRN COC(=O)c1cc(OC2CCCC2)c(F)cc1[N+](=O)[O-] ZINC001229942750 776921257 /nfs/dbraw/zinc/92/12/57/776921257.db2.gz PZTMEWANEBOQRA-UHFFFAOYSA-N 0 0 283.255 2.842 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C)C2CC2)c(F)cc1[N+](=O)[O-] ZINC001229944364 776921424 /nfs/dbraw/zinc/92/14/24/776921424.db2.gz WVXBGAYQCFLYEW-ZETCQYMHSA-N 0 0 283.255 2.698 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@@H]2CCOC[C@@H]2F)ccc1Cl ZINC001229964467 776926122 /nfs/dbraw/zinc/92/61/22/776926122.db2.gz QHIPBPNLRXUMSL-GXSJLCMTSA-N 0 0 275.663 2.754 20 5 CFBDRN Cc1cc(O[C@H]2CCCOC2=O)c([N+](=O)[O-])cc1Cl ZINC001229976254 776929540 /nfs/dbraw/zinc/92/95/40/776929540.db2.gz VYBGQNWYRFZOLK-JTQLQIEISA-N 0 0 285.683 2.641 20 5 CFBDRN O=C(OCC1CC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000126258816 776943588 /nfs/dbraw/zinc/94/35/88/776943588.db2.gz WNQAROBPBKUNQO-UHFFFAOYSA-N 0 0 255.657 2.815 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000696235353 776947712 /nfs/dbraw/zinc/94/77/12/776947712.db2.gz KQSKGXSHHUHALK-SECBINFHSA-N 0 0 268.288 2.900 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2ncccn2)c([N+](=O)[O-])c1 ZINC001230142989 776955280 /nfs/dbraw/zinc/95/52/80/776955280.db2.gz FELIALRTFUZUPH-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc(O[C@H](C)c2cnccn2)c([N+](=O)[O-])c1 ZINC001230146903 776955372 /nfs/dbraw/zinc/95/53/72/776955372.db2.gz ZQKJIAQTJVOBJG-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2ccc(C)cc2[N+](=O)[O-])C1 ZINC001230145753 776955723 /nfs/dbraw/zinc/95/57/23/776955723.db2.gz HVENWPQESQITSY-WJONMLJTSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc([C@H](C)Oc2nc3c[nH]cc([N+](=O)[O-])c-3n2)cn1 ZINC001230228974 776961745 /nfs/dbraw/zinc/96/17/45/776961745.db2.gz BQDJHKAUICSMKS-VIFPVBQESA-N 0 0 299.290 2.710 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1O[C@@H](C)C1CC1 ZINC001230247364 776965830 /nfs/dbraw/zinc/96/58/30/776965830.db2.gz LPXVMJWDGKEESU-QMMMGPOBSA-N 0 0 264.281 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cc(F)ccc1O)C2 ZINC001230290035 776973531 /nfs/dbraw/zinc/97/35/31/776973531.db2.gz CBEWMIDNUMMNHK-ZDUSSCGKSA-N 0 0 289.262 2.986 20 5 CFBDRN C[C@H](Oc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C(F)(F)F ZINC001230312483 776977351 /nfs/dbraw/zinc/97/73/51/776977351.db2.gz HKPGJOAQMKCTRF-YFKPBYRVSA-N 0 0 280.158 2.833 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCCCC2=O)cc1[N+](=O)[O-] ZINC001230494390 776999768 /nfs/dbraw/zinc/99/97/68/776999768.db2.gz RNVUQYKTCHMBEB-LBPRGKRZSA-N 0 0 294.263 2.702 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCCCO2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492519 776999787 /nfs/dbraw/zinc/99/97/87/776999787.db2.gz QMCCVAIGRIQBNX-JTQLQIEISA-N 0 0 296.279 2.759 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc2n[nH]cc2cc1[N+](=O)[O-] ZINC001230600227 777023284 /nfs/dbraw/zinc/02/32/84/777023284.db2.gz DFYBDNREDJRDSK-LBPRGKRZSA-N 0 0 277.280 2.608 20 5 CFBDRN COCC(COC)Oc1ccc2ccccc2c1[N+](=O)[O-] ZINC001230622498 777028656 /nfs/dbraw/zinc/02/86/56/777028656.db2.gz LOCHQKYDBMEUSI-UHFFFAOYSA-N 0 0 291.303 2.788 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2ncccc2c1F)C1CC1 ZINC001230669068 777038800 /nfs/dbraw/zinc/03/88/00/777038800.db2.gz KDKLDZLIXHIFCA-CYBMUJFWSA-N 0 0 276.267 2.808 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@H]1COC[C@@H]1C ZINC001230723358 777050661 /nfs/dbraw/zinc/05/06/61/777050661.db2.gz JKRCWGSGORQNDZ-GWCFXTLKSA-N 0 0 251.282 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@H]1CCc2nccn2C1 ZINC001230722826 777050983 /nfs/dbraw/zinc/05/09/83/777050983.db2.gz AVVIMLDGECWTHG-LBPRGKRZSA-N 0 0 287.319 2.802 20 5 CFBDRN CC[C@@H](O)C(=O)Oc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC001230768341 777059449 /nfs/dbraw/zinc/05/94/49/777059449.db2.gz JYRPQAHTVXHGRQ-MRVPVSSYSA-N 0 0 294.090 2.578 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1ncccc1[N+](=O)[O-] ZINC001225593684 777067005 /nfs/dbraw/zinc/06/70/05/777067005.db2.gz VOXOHBPYQXFKCW-LBPRGKRZSA-N 0 0 266.297 2.907 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc(Cl)c1[N+](=O)[O-])C1CC1 ZINC001230914429 777091327 /nfs/dbraw/zinc/09/13/27/777091327.db2.gz ITELCIQXKQJONF-SNVBAGLBSA-N 0 0 286.671 2.682 20 5 CFBDRN CN1CC(Oc2cccc(-c3cccc([N+](=O)[O-])c3)c2)C1 ZINC001230940863 777097590 /nfs/dbraw/zinc/09/75/90/777097590.db2.gz ZPIIXOAAKAYEOF-UHFFFAOYSA-N 0 0 284.315 2.955 20 5 CFBDRN O=C1OCC[C@@H]1Oc1ccc(-c2cccc([N+](=O)[O-])c2)cc1 ZINC001230941683 777098079 /nfs/dbraw/zinc/09/80/79/777098079.db2.gz VTPRAOYTWIHBHO-HNNXBMFYSA-N 0 0 299.282 2.956 20 5 CFBDRN C[C@@H](Oc1cccnc1[N+](=O)[O-])c1nccs1 ZINC001225629336 777098481 /nfs/dbraw/zinc/09/84/81/777098481.db2.gz KUIUTIDMHQJFEX-SSDOTTSWSA-N 0 0 251.267 2.586 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2CC[C@H](C)C2)c1 ZINC000721575238 777110580 /nfs/dbraw/zinc/11/05/80/777110580.db2.gz IJGCXJKDKHXFIT-ONGXEEELSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@H](Oc1ncnc2cccc([N+](=O)[O-])c21)C(F)(F)F ZINC001231054192 777126242 /nfs/dbraw/zinc/12/62/42/777126242.db2.gz YILSYEZPEIKOMH-LURJTMIESA-N 0 0 287.197 2.868 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(OC3CC=CC3)c21 ZINC001231053693 777126273 /nfs/dbraw/zinc/12/62/73/777126273.db2.gz SJUQUGGHJPUPAQ-UHFFFAOYSA-N 0 0 257.249 2.635 20 5 CFBDRN CCCOC[C@H](C)Oc1ncnc2cccc([N+](=O)[O-])c21 ZINC001231053161 777126422 /nfs/dbraw/zinc/12/64/22/777126422.db2.gz KFHUJENRFVOTKX-JTQLQIEISA-N 0 0 291.307 2.732 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001231059478 777128213 /nfs/dbraw/zinc/12/82/13/777128213.db2.gz IUCWMQZAHOUFEN-LLVKDONJSA-N 0 0 280.280 2.646 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@@H]1CCOC[C@@H]1F ZINC001231058140 777128250 /nfs/dbraw/zinc/12/82/50/777128250.db2.gz VMTUSXNZAAPQHW-WDEREUQCSA-N 0 0 269.272 2.717 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1OC[C@H]1COC(C)(C)O1 ZINC001231059873 777128534 /nfs/dbraw/zinc/12/85/34/777128534.db2.gz KYDPGOXABVACLH-NSHDSACASA-N 0 0 281.308 2.742 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1c(C)ccc(C)c1[N+](=O)[O-] ZINC001231060337 777128641 /nfs/dbraw/zinc/12/86/41/777128641.db2.gz NTVBOOOFIVKUKB-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1OCC(=O)OC(C)C ZINC001231058642 777128715 /nfs/dbraw/zinc/12/87/15/777128715.db2.gz BWHOEYVGJMZRRV-UHFFFAOYSA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@H](C)[C@@H]2C)n1 ZINC001126405745 777152334 /nfs/dbraw/zinc/15/23/34/777152334.db2.gz AGYHVAMBDYLYHO-ONGXEEELSA-N 0 0 277.324 2.559 20 5 CFBDRN C[C@H](Oc1cccc(F)c1[N+](=O)[O-])c1cncnc1 ZINC001225666136 777155758 /nfs/dbraw/zinc/15/57/58/777155758.db2.gz GTSPVZQTRJGWPQ-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc2c(F)cccc2c1)C1CC1 ZINC001231147220 777157037 /nfs/dbraw/zinc/15/70/37/777157037.db2.gz YCOUUVGHFIBUNN-ZDUSSCGKSA-N 0 0 276.267 2.808 20 5 CFBDRN O=C1SCC[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC001167953074 777219645 /nfs/dbraw/zinc/21/96/45/777219645.db2.gz ZNROWYVRWUJULK-NSHDSACASA-N 0 0 289.316 2.587 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccnc(Cl)c1 ZINC001225782097 777274230 /nfs/dbraw/zinc/27/42/30/777274230.db2.gz OWHWOCFDGCCTPH-MRVPVSSYSA-N 0 0 296.714 2.616 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225905123 777330035 /nfs/dbraw/zinc/33/00/35/777330035.db2.gz NUGZITRCWJLMTO-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCN(CCF)CC2)cc1F ZINC001225912789 777334251 /nfs/dbraw/zinc/33/42/51/777334251.db2.gz OGRSXJGZUGFRCZ-UHFFFAOYSA-N 0 0 286.278 2.547 20 5 CFBDRN COC(=O)C1CCC(Oc2c(F)cccc2[N+](=O)[O-])CC1 ZINC001226008177 777377411 /nfs/dbraw/zinc/37/74/11/777377411.db2.gz YEKQLBCMFMECDE-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN CC(C)[C@H](O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000302338608 777423854 /nfs/dbraw/zinc/42/38/54/777423854.db2.gz IWBMNNMYEXOPGZ-SNVBAGLBSA-N 0 0 259.689 2.644 20 5 CFBDRN CCC(CO)(CO)Nc1nc2ccccc2c2ccccc21 ZINC001167973199 777438749 /nfs/dbraw/zinc/43/87/49/777438749.db2.gz HUCUVRLKYFDGEZ-UHFFFAOYSA-N 0 0 296.370 2.933 20 5 CFBDRN CC1(C)C[C@@]1(NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC001167973024 777440566 /nfs/dbraw/zinc/44/05/66/777440566.db2.gz PQECZFGLPKDUGT-MRXNPFEDSA-N 0 0 299.330 2.978 20 5 CFBDRN C[C@@H](Oc1ncc(Cl)cc1[N+](=O)[O-])C1CCOCC1 ZINC001226130982 777445224 /nfs/dbraw/zinc/44/52/24/777445224.db2.gz ZRSRWKQUIIGPIV-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN CC[C@@H](C)Nc1nccc(Br)c1[N+](=O)[O-] ZINC001168003305 777567945 /nfs/dbraw/zinc/56/79/45/777567945.db2.gz JTGMRONARPHRPD-ZCFIWIBFSA-N 0 0 274.118 2.963 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])cnc1N[C@H]1CCO[C@H](C)C1 ZINC001161692162 777579241 /nfs/dbraw/zinc/57/92/41/777579241.db2.gz IZSDNSHDJPFUMX-KCJUWKMLSA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1ccnnc1O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001226643799 777590662 /nfs/dbraw/zinc/59/06/62/777590662.db2.gz HPYSQQYJNHOIKD-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN C=C/C=C/CCNc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000713495574 777640614 /nfs/dbraw/zinc/64/06/14/777640614.db2.gz ZGWQBWJBLZCWHG-SNAWJCMRSA-N 0 0 276.292 2.926 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1c(C)cccc1[N+](=O)[O-] ZINC001226970967 777712922 /nfs/dbraw/zinc/71/29/22/777712922.db2.gz GLWAVUSEQRYOCJ-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1ccc(O[C@@H]2CCCC[C@H]2F)c([N+](=O)[O-])n1 ZINC001227223711 777792295 /nfs/dbraw/zinc/79/22/95/777792295.db2.gz ONUHIURGMUBHOP-NXEZZACHSA-N 0 0 254.261 2.958 20 5 CFBDRN C[C@@H](CO)[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000696801911 777827392 /nfs/dbraw/zinc/82/73/92/777827392.db2.gz TVPBFNGWMKGJMQ-GWCFXTLKSA-N 0 0 282.315 2.721 20 5 CFBDRN O=[N+]([O-])c1ccccc1O[C@H]1COc2cnccc21 ZINC001227484191 777846844 /nfs/dbraw/zinc/84/68/44/777846844.db2.gz LDMHODGQTNBVFY-ZDUSSCGKSA-N 0 0 258.233 2.502 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC(c2cn[nH]c2)CC1 ZINC000341852181 777921350 /nfs/dbraw/zinc/92/13/50/777921350.db2.gz JFQVNFNLNAWUQR-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN CCC1(Nc2nccc(C(=O)OC)c2[N+](=O)[O-])CCC1 ZINC001161563041 777921373 /nfs/dbraw/zinc/92/13/73/777921373.db2.gz YSZGBFKHMRCSQE-UHFFFAOYSA-N 0 0 279.296 2.521 20 5 CFBDRN Cc1ccc(N[C@H]2CCOC23CCOCC3)c([N+](=O)[O-])c1 ZINC000897324227 777958685 /nfs/dbraw/zinc/95/86/85/777958685.db2.gz WNPYVVOGSLCVTC-AWEZNQCLSA-N 0 0 292.335 2.653 20 5 CFBDRN CC(=O)c1cc(O[C@H](C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC001233495686 777968391 /nfs/dbraw/zinc/96/83/91/777968391.db2.gz JVWQZFAGXMFYJR-LLVKDONJSA-N 0 0 293.319 2.991 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233495666 777968983 /nfs/dbraw/zinc/96/89/83/777968983.db2.gz JRAIRMUFNFJWHN-SECBINFHSA-N 0 0 295.291 2.518 20 5 CFBDRN O=[N+]([O-])c1cc2nc(OC[C@H]3CCCO3)[nH]c2cc1Cl ZINC001233500834 777970570 /nfs/dbraw/zinc/97/05/70/777970570.db2.gz VJWOISOOPULFLQ-SSDOTTSWSA-N 0 0 297.698 2.682 20 5 CFBDRN COC[C@H](C)Oc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233497853 777970599 /nfs/dbraw/zinc/97/05/99/777970599.db2.gz ISUBPGIFMUBJEQ-LURJTMIESA-N 0 0 285.687 2.538 20 5 CFBDRN CC(=O)c1cc(O[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC001233502375 777971804 /nfs/dbraw/zinc/97/18/04/777971804.db2.gz PIUJXICRJZLNIL-BXKDBHETSA-N 0 0 279.292 2.744 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC001233503955 777971858 /nfs/dbraw/zinc/97/18/58/777971858.db2.gz YCPSLFIIDIKZCQ-ZDUSSCGKSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1OC1Cc2ccccc2C1 ZINC001233550094 777986644 /nfs/dbraw/zinc/98/66/44/777986644.db2.gz IWRVUXMVYQHLLC-UHFFFAOYSA-N 0 0 270.288 2.844 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H](C)C(F)(F)F ZINC001233552264 777987580 /nfs/dbraw/zinc/98/75/80/777987580.db2.gz UYBMTSGKRNDCKS-ZCFIWIBFSA-N 0 0 250.176 2.628 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H](C)c1cccnc1 ZINC001233551278 777988039 /nfs/dbraw/zinc/98/80/39/777988039.db2.gz OOJCSZFTWOOBGO-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H](C)c1ccccn1 ZINC001233557758 777990803 /nfs/dbraw/zinc/99/08/03/777990803.db2.gz VVZBSNSSQAROJO-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2CCC=CO2)c1[N+](=O)[O-] ZINC001233574588 777996019 /nfs/dbraw/zinc/99/60/19/777996019.db2.gz JXXGRPKAUMVAMI-SECBINFHSA-N 0 0 280.236 2.575 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(O[C@H]2CCn3ccnc32)cc1F ZINC001233597492 778004829 /nfs/dbraw/zinc/00/48/29/778004829.db2.gz MSGNQLLOINWNQX-JTQLQIEISA-N 0 0 281.218 2.593 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233599623 778005953 /nfs/dbraw/zinc/00/59/53/778005953.db2.gz KBHSZWIUDATNOL-NSHDSACASA-N 0 0 271.219 2.764 20 5 CFBDRN CCO[C@@H](C)COc1cc(F)c([N+](=O)[O-])cc1F ZINC001233599274 778006435 /nfs/dbraw/zinc/00/64/35/778006435.db2.gz HUUZXRCEHWGQNS-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(O)ccc1Cl)c1cccnc1 ZINC001228225671 778026115 /nfs/dbraw/zinc/02/61/15/778026115.db2.gz CKHOGAQVFILCIE-ZDUSSCGKSA-N 0 0 294.694 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC=CC2)cc1[N+](=O)[O-] ZINC001233702736 778047935 /nfs/dbraw/zinc/04/79/35/778047935.db2.gz PXZQBBOMWHGTNO-UHFFFAOYSA-N 0 0 250.210 2.600 20 5 CFBDRN O=[N+]([O-])c1ncc(Cl)cc1OC[C@H]1CCC=CO1 ZINC001233712217 778052208 /nfs/dbraw/zinc/05/22/08/778052208.db2.gz CLQXIEJRHGFHDQ-SECBINFHSA-N 0 0 270.672 2.715 20 5 CFBDRN CCCOC[C@H](C)Oc1cc(Cl)cnc1[N+](=O)[O-] ZINC001233716698 778052266 /nfs/dbraw/zinc/05/22/66/778052266.db2.gz PYXLETMKFREYEJ-QMMMGPOBSA-N 0 0 274.704 2.837 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cc(Cl)cnc2[N+](=O)[O-])CCO1 ZINC001233714641 778052272 /nfs/dbraw/zinc/05/22/72/778052272.db2.gz CDDVFYFEGHIFCM-APPZFPTMSA-N 0 0 272.688 2.590 20 5 CFBDRN CO[C@H](C)COc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233778343 778073911 /nfs/dbraw/zinc/07/39/11/778073911.db2.gz IYAWAXZKFGTAOP-SECBINFHSA-N 0 0 262.265 2.557 20 5 CFBDRN COC[C@H](C)Oc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233780504 778076062 /nfs/dbraw/zinc/07/60/62/778076062.db2.gz ZSZKEJUNPFZNPF-VIFPVBQESA-N 0 0 262.265 2.557 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233786453 778077024 /nfs/dbraw/zinc/07/70/24/778077024.db2.gz QAKRKCHSRFOMMZ-IINYFYTJSA-N 0 0 288.303 2.947 20 5 CFBDRN CCOc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1F ZINC001228357686 778079961 /nfs/dbraw/zinc/07/99/61/778079961.db2.gz RBCNHCISVMQDJQ-CYBMUJFWSA-N 0 0 269.272 2.659 20 5 CFBDRN CCOC(=O)c1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1C ZINC001233804923 778084276 /nfs/dbraw/zinc/08/42/76/778084276.db2.gz DSUVWTDQQSDRSH-CQSZACIVSA-N 0 0 293.319 2.606 20 5 CFBDRN CC[C@H](COc1ccc2cccc([N+](=O)[O-])c2n1)OC ZINC001233836054 778090409 /nfs/dbraw/zinc/09/04/09/778090409.db2.gz GMCVFUKOAABOKP-LLVKDONJSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(O[C@@H]3CCOC[C@@H]3F)nc21 ZINC001233840154 778093114 /nfs/dbraw/zinc/09/31/14/778093114.db2.gz BWWITSUGIZRIIK-CMPLNLGQSA-N 0 0 292.266 2.649 20 5 CFBDRN O=[N+]([O-])c1cc(OC2Cc3ccccc3C2)ccn1 ZINC001233854208 778095930 /nfs/dbraw/zinc/09/59/30/778095930.db2.gz CHHSZCIBCWXCKZ-UHFFFAOYSA-N 0 0 256.261 2.536 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H](c2cccnc2)C2CC2)ccn1 ZINC001233856682 778097371 /nfs/dbraw/zinc/09/73/71/778097371.db2.gz DRHFSRJBHPMXMF-AWEZNQCLSA-N 0 0 271.276 2.915 20 5 CFBDRN CCC[C@H](CC)Oc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC001233862659 778098307 /nfs/dbraw/zinc/09/83/07/778098307.db2.gz RNARZDMIKDWTCM-JTQLQIEISA-N 0 0 282.296 2.734 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Oc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC001233860603 778098673 /nfs/dbraw/zinc/09/86/73/778098673.db2.gz BZKSLTFTJULSBA-RKDXNWHRSA-N 0 0 282.296 2.590 20 5 CFBDRN C[C@@H](Oc1nccc2[nH]nc(N)c21)c1cccc([N+](=O)[O-])c1 ZINC001233964575 778125311 /nfs/dbraw/zinc/12/53/11/778125311.db2.gz OFGCJIKGNDELRH-MRVPVSSYSA-N 0 0 299.290 2.588 20 5 CFBDRN Cc1ccc2nc(C)nc(O[C@H](C[N+](=O)[O-])C3CC3)c2c1 ZINC001234003460 778136702 /nfs/dbraw/zinc/13/67/02/778136702.db2.gz YEBSVQIEYLTPCY-CQSZACIVSA-N 0 0 287.319 2.681 20 5 CFBDRN COC(=O)c1ccc(O[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC001234050380 778152972 /nfs/dbraw/zinc/15/29/72/778152972.db2.gz JUPDFNFAZKSJIY-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN CC[C@H](COC)Oc1cc([N+](=O)[O-])c(C)cc1F ZINC001234059154 778155144 /nfs/dbraw/zinc/15/51/44/778155144.db2.gz IUSGNKQQMDZUQW-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN C[C@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@H](C)C1O ZINC000697231052 778167768 /nfs/dbraw/zinc/16/77/68/778167768.db2.gz AFLBXDHXNOEZJL-UWVGGRQHSA-N 0 0 298.770 2.697 20 5 CFBDRN C[C@H](Cc1ccccc1)Oc1cnccc1[N+](=O)[O-] ZINC001228879488 778204640 /nfs/dbraw/zinc/20/46/40/778204640.db2.gz GYHURPBTUSDWTQ-LLVKDONJSA-N 0 0 258.277 3.000 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@@H](C)c1cncnc1 ZINC001228922163 778208336 /nfs/dbraw/zinc/20/83/36/778208336.db2.gz VNCGPOCEFFWFTC-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC[C@H](C)Oc1cc(N2CCOCC2)ccc1[N+](=O)[O-] ZINC001234360285 778214909 /nfs/dbraw/zinc/21/49/09/778214909.db2.gz PGOBFYCVMHSQIF-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1NC[C@H]1C[C@H](F)C1 ZINC001168234391 778263821 /nfs/dbraw/zinc/26/38/21/778263821.db2.gz WVAPXBUDBVMYGU-LJGSYFOKSA-N 0 0 259.668 2.803 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1N[C@H]1C[C@@H](CO)C1 ZINC001168243521 778268064 /nfs/dbraw/zinc/26/80/64/778268064.db2.gz NQJQHUHUNISABO-TXEJJXNPSA-N 0 0 264.325 2.703 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H](CO)C2)c2ccccc21 ZINC001168247066 778270748 /nfs/dbraw/zinc/27/07/48/778270748.db2.gz QNIZIPRURNFCTB-PHIMTYICSA-N 0 0 272.304 2.931 20 5 CFBDRN CCc1cc(OC)c(O[C@@H](C[N+](=O)[O-])C2CC2)cc1F ZINC001234649531 778275548 /nfs/dbraw/zinc/27/55/48/778275548.db2.gz PZPIWYFRPXIAJY-AWEZNQCLSA-N 0 0 283.299 2.831 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC001229376275 778300416 /nfs/dbraw/zinc/30/04/16/778300416.db2.gz GZXYSTMYVRHOEE-NSHDSACASA-N 0 0 298.726 2.638 20 5 CFBDRN CNC(=O)Cc1cccc(Nc2ccc(C)cc2[N+](=O)[O-])c1 ZINC001214174498 778310114 /nfs/dbraw/zinc/31/01/14/778310114.db2.gz YJMIBBTVUDYRAR-UHFFFAOYSA-N 0 0 299.330 2.935 20 5 CFBDRN COCC(COC)Oc1cc(C)c([N+](=O)[O-])c(C)c1C ZINC001234871494 778318575 /nfs/dbraw/zinc/31/85/75/778318575.db2.gz JJJHTYNXUIDJAU-UHFFFAOYSA-N 0 0 283.324 2.560 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC2CCC(C)CC2)n1 ZINC001234875048 778319342 /nfs/dbraw/zinc/31/93/42/778319342.db2.gz ARWNZIPLMLJJLG-UHFFFAOYSA-N 0 0 266.297 2.956 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CCC[C@H](C)C2)n1 ZINC001234879768 778320204 /nfs/dbraw/zinc/32/02/04/778320204.db2.gz SUJHGSUTTWXLHH-VHSXEESVSA-N 0 0 266.297 2.956 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H](C)C(=O)OCCC(C)C ZINC001234900833 778324957 /nfs/dbraw/zinc/32/49/57/778324957.db2.gz LMIQEWAGCIYLAF-LLVKDONJSA-N 0 0 296.323 2.655 20 5 CFBDRN COc1cc(C(C)=O)c(C)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001234955767 778340401 /nfs/dbraw/zinc/34/04/01/778340401.db2.gz NXDGHRXAHGCSAL-HNNXBMFYSA-N 0 0 293.319 2.640 20 5 CFBDRN COc1cc(C(C)=O)c(C)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001234955771 778340615 /nfs/dbraw/zinc/34/06/15/778340615.db2.gz NXDGHRXAHGCSAL-OAHLLOKOSA-N 0 0 293.319 2.640 20 5 CFBDRN Cc1cnc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cc1C ZINC001126784200 778394599 /nfs/dbraw/zinc/39/45/99/778394599.db2.gz PURRWBLDZWPEGS-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1nc(NC(=O)c2cccc(F)c2C)ccc1[N+](=O)[O-] ZINC001126784367 778395060 /nfs/dbraw/zinc/39/50/60/778395060.db2.gz XQAFHZNSMHFPGC-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=c1ccc(CNc2cc3cccnc3c([N+](=O)[O-])c2)c[nH]1 ZINC001168303017 778417730 /nfs/dbraw/zinc/41/77/30/778417730.db2.gz CNEKNBJTUKFGBF-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN CCC1(Nc2cc([N+](=O)[O-])c(C)cc2OC)COC1 ZINC001168321981 778431014 /nfs/dbraw/zinc/43/10/14/778431014.db2.gz PLOHJETWINCJAJ-UHFFFAOYSA-N 0 0 266.297 2.503 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1ccc2c(c1)CCO2 ZINC000031058555 778548714 /nfs/dbraw/zinc/54/87/14/778548714.db2.gz MCIGTCIYBROBQL-UHFFFAOYSA-N 0 0 299.282 2.887 20 5 CFBDRN COC[C@@H](C)COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842336553 778551491 /nfs/dbraw/zinc/55/14/91/778551491.db2.gz JJMCFDPMSCZACE-DDTOSNHZSA-N 0 0 293.319 2.524 20 5 CFBDRN Cc1nc(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)oc1C ZINC000128739600 778603998 /nfs/dbraw/zinc/60/39/98/778603998.db2.gz UJGRKEIIUMPHET-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000436016806 778607229 /nfs/dbraw/zinc/60/72/29/778607229.db2.gz UAJNAYYALCRDKC-UWVGGRQHSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000436016808 778607773 /nfs/dbraw/zinc/60/77/73/778607773.db2.gz UAJNAYYALCRDKC-ZJUUUORDSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccc(F)nc2)c([N+](=O)[O-])c1 ZINC000160867883 778618336 /nfs/dbraw/zinc/61/83/36/778618336.db2.gz IDMXOKGEAKDEDC-UHFFFAOYSA-N 0 0 293.210 2.616 20 5 CFBDRN CC(=O)OC[C@H](C)CO[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001224798755 778691068 /nfs/dbraw/zinc/69/10/68/778691068.db2.gz LEEANDBJWKXNPW-GHMZBOCLSA-N 0 0 281.308 2.872 20 5 CFBDRN C[C@@H](OCCC1(C)OCCO1)c1cccc([N+](=O)[O-])c1 ZINC001224885499 778730266 /nfs/dbraw/zinc/73/02/66/778730266.db2.gz LKCASSZFTLNRHA-LLVKDONJSA-N 0 0 281.308 2.826 20 5 CFBDRN CCOC1CC2(C[C@@H]2Nc2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000721243453 778745620 /nfs/dbraw/zinc/74/56/20/778745620.db2.gz KERHXJLVZMRKMX-KHJSKFAYSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ncc(CNc2nccc3c2cccc3[N+](=O)[O-])cn1 ZINC001168379491 778750295 /nfs/dbraw/zinc/75/02/95/778750295.db2.gz NVCFBISSDHIPJB-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN O=C1CC(CNc2ccc3cc(F)cc([N+](=O)[O-])c3n2)C1 ZINC001168392532 778779902 /nfs/dbraw/zinc/77/99/02/778779902.db2.gz NHRFMXKHIMAPKO-UHFFFAOYSA-N 0 0 289.266 2.673 20 5 CFBDRN CCOCCOCCO[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001225032443 778820573 /nfs/dbraw/zinc/82/05/73/778820573.db2.gz NIMWUZHTVZJIHJ-GFCCVEGCSA-N 0 0 283.324 2.726 20 5 CFBDRN O=C1CCC(Oc2cc(F)c([N+](=O)[O-])c(F)c2)CC1 ZINC001233749310 779080144 /nfs/dbraw/zinc/08/01/44/779080144.db2.gz FBVNPKPRQYVCRY-UHFFFAOYSA-N 0 0 271.219 2.764 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1C[C@H]1C1CC1 ZINC000176548299 779228239 /nfs/dbraw/zinc/22/82/39/779228239.db2.gz VVRSSACVHKERCL-UWVGGRQHSA-N 0 0 264.256 2.719 20 5 CFBDRN Cc1cc(O[C@H]2CCCOC2=O)c(C)c(C)c1[N+](=O)[O-] ZINC001234871720 779277239 /nfs/dbraw/zinc/27/72/39/779277239.db2.gz MCKSUMGYFRACFZ-NSHDSACASA-N 0 0 279.292 2.604 20 5 CFBDRN CCCC[C@@H](C)Oc1nc(OC)ccc1[N+](=O)[O-] ZINC001234880210 779279843 /nfs/dbraw/zinc/27/98/43/779279843.db2.gz YTFKKCIYDACCAH-SECBINFHSA-N 0 0 254.286 2.956 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCC[C@@H]1CCCCO1 ZINC000699658187 779324280 /nfs/dbraw/zinc/32/42/80/779324280.db2.gz QAJXNPUFGZLINQ-ZDUSSCGKSA-N 0 0 279.292 2.745 20 5 CFBDRN CCC(=O)N(CC)CCNc1ccc(C)cc1[N+](=O)[O-] ZINC001106650036 779330789 /nfs/dbraw/zinc/33/07/89/779330789.db2.gz VINFROVKOVPCBW-UHFFFAOYSA-N 0 0 279.340 2.574 20 5 CFBDRN CCN(CCNc1ccc([N+](=O)[O-])cc1)C(=O)CCCF ZINC001106908236 779574456 /nfs/dbraw/zinc/57/44/56/779574456.db2.gz AYFWTFYIDCHIGS-UHFFFAOYSA-N 0 0 297.330 2.605 20 5 CFBDRN Cc1cnc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)cc1C ZINC001124332458 779646555 /nfs/dbraw/zinc/64/65/55/779646555.db2.gz ZWQJHGPKKCDMDP-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1cncc2[nH]ccc21 ZINC001124350340 779650120 /nfs/dbraw/zinc/65/01/20/779650120.db2.gz MOSCKSAPJFGCEX-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CCCC(=O)NC/C=C/CNc1ccc(F)cc1[N+](=O)[O-] ZINC001107009674 779739574 /nfs/dbraw/zinc/73/95/74/779739574.db2.gz IWUUIHCGNSHBOV-ONEGZZNKSA-N 0 0 295.314 2.618 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC/C=C\CNC(=O)C(C)C)c1 ZINC001107045630 779759562 /nfs/dbraw/zinc/75/95/62/779759562.db2.gz MBKZUTNSVVALOL-PLNGDYQASA-N 0 0 291.351 2.644 20 5 CFBDRN Cc1ccoc1CC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC001125227948 779777788 /nfs/dbraw/zinc/77/77/88/779777788.db2.gz DDZQRLPOJZFEOF-UHFFFAOYSA-N 0 0 275.260 2.953 20 5 CFBDRN CC(C)(C)C(=O)NC/C=C/CNc1ccccc1[N+](=O)[O-] ZINC001107165700 779817036 /nfs/dbraw/zinc/81/70/36/779817036.db2.gz WJAWIEYROMLDJQ-VOTSOKGWSA-N 0 0 291.351 2.725 20 5 CFBDRN Cc1c(N[C@@H](CO)C(C)(C)C)cc(F)cc1[N+](=O)[O-] ZINC001168554523 779961640 /nfs/dbraw/zinc/96/16/40/779961640.db2.gz SJRKPKRVVPKOKN-LBPRGKRZSA-N 0 0 270.304 2.861 20 5 CFBDRN CCOc1cnc(NC2CCC(OC)CC2)c([N+](=O)[O-])c1 ZINC001168603545 780034290 /nfs/dbraw/zinc/03/42/90/780034290.db2.gz NRPHYEHULGHDTG-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN COC1CCC(Nc2ccc3ncc([N+](=O)[O-])n3c2)CC1 ZINC001168613249 780058649 /nfs/dbraw/zinc/05/86/49/780058649.db2.gz FFXGMWFGQPOTKI-UHFFFAOYSA-N 0 0 290.323 2.612 20 5 CFBDRN COC1CCC(Nc2cc([N+](=O)[O-])c3nccn3c2)CC1 ZINC001168614108 780061479 /nfs/dbraw/zinc/06/14/79/780061479.db2.gz MORYKNCDTNPQKM-UHFFFAOYSA-N 0 0 290.323 2.612 20 5 CFBDRN CC[C@H]1CCN(C(=O)COc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC001128173198 780346446 /nfs/dbraw/zinc/34/64/46/780346446.db2.gz CDPXSSDEIDYFEF-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1cc([N+](=O)[O-])c(C)c[n+]1[O-] ZINC001168693282 780347691 /nfs/dbraw/zinc/34/76/91/780347691.db2.gz IVRBKHZJWLLVFB-KOLCDFICSA-N 0 0 267.329 2.773 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1nc(C)nc(OC)c1[N+](=O)[O-] ZINC001168693330 780348423 /nfs/dbraw/zinc/34/84/23/780348423.db2.gz JSYWMVNFABTKDA-RKDXNWHRSA-N 0 0 282.344 2.938 20 5 CFBDRN Cc1cccc(C(=O)Nc2c([N+](=O)[O-])cnn2C)c1Cl ZINC001128217017 780364235 /nfs/dbraw/zinc/36/42/35/780364235.db2.gz MUTDOODZGICDBQ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC001128279612 780378131 /nfs/dbraw/zinc/37/81/31/780378131.db2.gz FFZVGAYHKPIVNC-WCQYABFASA-N 0 0 276.336 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@H]3CC34CCC4)nc2c1 ZINC000721295938 780462098 /nfs/dbraw/zinc/46/20/98/780462098.db2.gz WOGAAOMEGMHVHK-NSHDSACASA-N 0 0 258.281 2.826 20 5 CFBDRN CN1Cc2cc(NCCC(F)(F)F)cc([N+](=O)[O-])c2N1 ZINC001161828143 780549406 /nfs/dbraw/zinc/54/94/06/780549406.db2.gz FDAQNPVTXBNITJ-UHFFFAOYSA-N 0 0 290.245 2.731 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC000132827619 780605560 /nfs/dbraw/zinc/60/55/60/780605560.db2.gz SSUQXVWIUJNQFC-MRVPVSSYSA-N 0 0 265.269 2.961 20 5 CFBDRN C[C@@H](C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)C1CCC1 ZINC001117802600 780667175 /nfs/dbraw/zinc/66/71/75/780667175.db2.gz CUMIYXPSNLMPNI-SNVBAGLBSA-N 0 0 274.320 2.873 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCC1SCCS1 ZINC000703272438 781001807 /nfs/dbraw/zinc/00/18/07/781001807.db2.gz DUXPIEVRPCNMLG-UHFFFAOYSA-N 0 0 289.357 2.737 20 5 CFBDRN CCO[C@H](COc1ccc(C=O)cc1[N+](=O)[O-])C1CC1 ZINC000703513808 781018177 /nfs/dbraw/zinc/01/81/77/781018177.db2.gz BUTBTBXPPGEGGT-CQSZACIVSA-N 0 0 279.292 2.601 20 5 CFBDRN CC1(O)CCC(Nc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000703623963 781040480 /nfs/dbraw/zinc/04/04/80/781040480.db2.gz RWULOCYJPIZWQY-UHFFFAOYSA-N 0 0 286.278 2.979 20 5 CFBDRN CC1(O)CCC(Nc2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000703616868 781040508 /nfs/dbraw/zinc/04/05/08/781040508.db2.gz WNIBURBCFDVEHJ-UHFFFAOYSA-N 0 0 285.731 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2ccnc2C2CC2)cc1F ZINC000704176169 781089482 /nfs/dbraw/zinc/08/94/82/781089482.db2.gz ZONLLDFWLGPYDT-UHFFFAOYSA-N 0 0 261.256 2.856 20 5 CFBDRN Nc1c(F)c(NCC[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000704837453 781113789 /nfs/dbraw/zinc/11/37/89/781113789.db2.gz KLCHEWYUSKHLGU-QMMMGPOBSA-N 0 0 285.344 2.871 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1cc(F)ccc1[N+](=O)[O-] ZINC000706283458 781170831 /nfs/dbraw/zinc/17/08/31/781170831.db2.gz HWGLPMFXBPSLSQ-GFCCVEGCSA-N 0 0 278.283 2.796 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC12CCCC2 ZINC000707109818 781223749 /nfs/dbraw/zinc/22/37/49/781223749.db2.gz VJQPXEGBTFUAJQ-UHFFFAOYSA-N 0 0 278.283 2.893 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC001129247794 781242817 /nfs/dbraw/zinc/24/28/17/781242817.db2.gz SCLJCLDVKQWGGE-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN COC(=O)CCCCOc1cc([N+](=O)[O-])ccc1Cl ZINC000707514857 781253780 /nfs/dbraw/zinc/25/37/80/781253780.db2.gz FRNDEVKDUHNBLX-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN CC[C@@]1(O)CCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000710639519 781346225 /nfs/dbraw/zinc/34/62/25/781346225.db2.gz GUKYGCQUYUYOTR-CQSZACIVSA-N 0 0 298.770 2.985 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1COC2(CCC2)C1 ZINC000711117780 781359120 /nfs/dbraw/zinc/35/91/20/781359120.db2.gz IRPNNFSDMVPGJC-VIFPVBQESA-N 0 0 266.272 2.857 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@@H]1CCC2(CCC2)O1 ZINC000711399295 781422565 /nfs/dbraw/zinc/42/25/65/781422565.db2.gz KVVIGRWHRFXGRZ-VIFPVBQESA-N 0 0 297.742 2.579 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CCC2(CCC2)O1 ZINC000711497249 781446396 /nfs/dbraw/zinc/44/63/96/781446396.db2.gz USBDJFSDHAMNSZ-SNVBAGLBSA-N 0 0 263.297 2.503 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CC[C@@H](CF)C1 ZINC000711510614 781450553 /nfs/dbraw/zinc/45/05/53/781450553.db2.gz VPNAJPQKIBRJAR-QMMMGPOBSA-N 0 0 286.690 2.680 20 5 CFBDRN COC(C)(C)CCNc1ncc(C)cc1[N+](=O)[O-] ZINC000711846453 781477342 /nfs/dbraw/zinc/47/73/42/781477342.db2.gz CWQJBLUSWNYVFW-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1 ZINC001163572722 781511785 /nfs/dbraw/zinc/51/17/85/781511785.db2.gz VRVXNYRVBPLYSI-UHFFFAOYSA-N 0 0 261.256 2.862 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1ccc([N+](=O)[O-])nc1Cl ZINC001229919007 781513951 /nfs/dbraw/zinc/51/39/51/781513951.db2.gz IKRDACMQRZTQGA-IUCAKERBSA-N 0 0 286.715 2.980 20 5 CFBDRN CSCCCNc1ccc(NC(C)=O)c([N+](=O)[O-])c1 ZINC001163875735 781599926 /nfs/dbraw/zinc/59/99/26/781599926.db2.gz UKMGKZDJRWCPCW-UHFFFAOYSA-N 0 0 283.353 2.718 20 5 CFBDRN O=[N+]([O-])c1cc2c(Cl)ncnc2cc1N1CC=CC1 ZINC001163917596 781607311 /nfs/dbraw/zinc/60/73/11/781607311.db2.gz VYNYGNCKFGAQSE-UHFFFAOYSA-N 0 0 276.683 2.568 20 5 CFBDRN O=C(COc1cc([N+](=O)[O-])c(F)cc1F)CC1CC1 ZINC001118417494 781627513 /nfs/dbraw/zinc/62/75/13/781627513.db2.gz YEPLLPWUQKYCFJ-UHFFFAOYSA-N 0 0 271.219 2.621 20 5 CFBDRN CC1CC(N(C)c2ccc3[nH]cc([N+](=O)[O-])c3n2)C1 ZINC001164200094 781686890 /nfs/dbraw/zinc/68/68/90/781686890.db2.gz VZIRINPUCFXQOI-UHFFFAOYSA-N 0 0 260.297 2.706 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N2CCC[C@@H](O)[C@H](C)C2)n1 ZINC001164219792 781694257 /nfs/dbraw/zinc/69/42/57/781694257.db2.gz XTBCJDZFEQOSOD-LDYMZIIASA-N 0 0 299.758 2.549 20 5 CFBDRN Cc1cc(Cl)nc(N2CC[C@](C)(O)[C@@H](C)C2)c1[N+](=O)[O-] ZINC001164347011 781715617 /nfs/dbraw/zinc/71/56/17/781715617.db2.gz UZHVTVXRWYXEEK-ZANVPECISA-N 0 0 299.758 2.549 20 5 CFBDRN Cc1csc(COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)n1 ZINC001166494792 781759255 /nfs/dbraw/zinc/75/92/55/781759255.db2.gz PYBFKRFQQGQFNQ-UHFFFAOYSA-N 0 0 295.276 2.847 20 5 CFBDRN COc1cc(N[C@H]2C=CCC2)c([N+](=O)[O-])cc1OC ZINC000698328090 781762049 /nfs/dbraw/zinc/76/20/49/781762049.db2.gz SIXTUWSVSFLQIY-VIFPVBQESA-N 0 0 264.281 2.743 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCS1 ZINC001119448366 781808355 /nfs/dbraw/zinc/80/83/55/781808355.db2.gz JKKZHSYYQDQIKR-MRVPVSSYSA-N 0 0 299.327 2.703 20 5 CFBDRN COC(C)(C)[C@@H]1CCCN1c1ncc(F)cc1[N+](=O)[O-] ZINC001166792888 781812107 /nfs/dbraw/zinc/81/21/07/781812107.db2.gz XGONSWWBWYHWFK-NSHDSACASA-N 0 0 283.303 2.523 20 5 CFBDRN CCOC(=O)c1ccc(OCC[C@H](C)OC)cc1[N+](=O)[O-] ZINC001230913684 781881597 /nfs/dbraw/zinc/88/15/97/781881597.db2.gz ZPNGFQBKFAPAPV-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000822369421 781900665 /nfs/dbraw/zinc/90/06/65/781900665.db2.gz CFNOKHVAGNCLST-XPUUQOCRSA-N 0 0 286.690 2.773 20 5 CFBDRN CCCC(=O)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000822752182 781909679 /nfs/dbraw/zinc/90/96/79/781909679.db2.gz UMQSMHRXQJUUTL-UHFFFAOYSA-N 0 0 274.679 2.804 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@@H](C)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000594576777 349450088 /nfs/dbraw/zinc/45/00/88/349450088.db2.gz ACCIKRRJXSWCFL-GHMZBOCLSA-N 0 0 297.330 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CC2)[C@@H]2CCCCO2)nc1 ZINC000594590916 349453617 /nfs/dbraw/zinc/45/36/17/349453617.db2.gz OICINRQDTHXRBI-GXTWGEPZSA-N 0 0 277.324 2.749 20 5 CFBDRN CCN(CCSC)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000594586467 349452211 /nfs/dbraw/zinc/45/22/11/349452211.db2.gz RHUIRTVFCWXCKF-UHFFFAOYSA-N 0 0 299.396 2.966 20 5 CFBDRN CC(C)Oc1nc(N2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000594586923 349452271 /nfs/dbraw/zinc/45/22/71/349452271.db2.gz RUZQONQGMUWJOT-JTQLQIEISA-N 0 0 283.303 2.715 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC2(CCOC2)CC1 ZINC000594584917 349452419 /nfs/dbraw/zinc/45/24/19/349452419.db2.gz JZXSROBKCNZQDR-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000594591414 349453879 /nfs/dbraw/zinc/45/38/79/349453879.db2.gz DERNTFWRHZGOES-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000594592354 349454028 /nfs/dbraw/zinc/45/40/28/349454028.db2.gz KTWHOZIEXAPSBS-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cnc(CCNc2cccnc2[N+](=O)[O-])c(C)c1 ZINC000594594947 349455504 /nfs/dbraw/zinc/45/55/04/349455504.db2.gz CPINFDJAUTWUFB-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CCC2(CCCC2)O1 ZINC000594598510 349456962 /nfs/dbraw/zinc/45/69/62/349456962.db2.gz IBEPVWJSALJDCH-LLVKDONJSA-N 0 0 277.324 2.894 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])ccc2C)nc(C)n1 ZINC000594699421 349475846 /nfs/dbraw/zinc/47/58/46/349475846.db2.gz QGEOQJWCTVGWPZ-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CC(C)O[C@]1(COc2cc(F)cc([N+](=O)[O-])c2)CCOC1 ZINC000594892961 349495913 /nfs/dbraw/zinc/49/59/13/349495913.db2.gz MWUZLNQMRJKBTG-CQSZACIVSA-N 0 0 299.298 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCO[C@H]2CC2(F)F)nc1 ZINC000594892720 349496212 /nfs/dbraw/zinc/49/62/12/349496212.db2.gz FFRXMAQGMSZHNP-QMMMGPOBSA-N 0 0 276.264 2.506 20 5 CFBDRN C[C@H](Cc1ncc[nH]1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000594893491 349496235 /nfs/dbraw/zinc/49/62/35/349496235.db2.gz CJIZKONOKYJKFM-SECBINFHSA-N 0 0 279.271 2.605 20 5 CFBDRN CC[C@@H](CC(F)F)Cn1cc([N+](=O)[O-])cc(C)c1=O ZINC000594893340 349496303 /nfs/dbraw/zinc/49/63/03/349496303.db2.gz YFKZXCDWCVAAMF-VIFPVBQESA-N 0 0 274.267 2.746 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000067709529 346815570 /nfs/dbraw/zinc/81/55/70/346815570.db2.gz TUZDEHOXHSIMTR-GFCCVEGCSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CCCC[C@H]1C ZINC000594897308 349498670 /nfs/dbraw/zinc/49/86/70/349498670.db2.gz JZRCZARRGKYWAQ-PWSUYJOCSA-N 0 0 264.325 2.891 20 5 CFBDRN CC(=O)[C@H](C)CCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000594901916 349499543 /nfs/dbraw/zinc/49/95/43/349499543.db2.gz RKFLJQKZUMIMID-SNVBAGLBSA-N 0 0 288.303 2.525 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124669758 187148425 /nfs/dbraw/zinc/14/84/25/187148425.db2.gz GOJDAUXEORODDK-JTQLQIEISA-N 0 0 280.328 2.936 20 5 CFBDRN Cc1ccc(-c2noc(C[C@H]3CCCO3)n2)cc1[N+](=O)[O-] ZINC000273835373 192326684 /nfs/dbraw/zinc/32/66/84/192326684.db2.gz PCVDFUVLHQPMOX-LLVKDONJSA-N 0 0 289.291 2.675 20 5 CFBDRN CCC(CC)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000273842235 192329907 /nfs/dbraw/zinc/32/99/07/192329907.db2.gz FJUKDOORKZTOSW-UHFFFAOYSA-N 0 0 276.296 2.867 20 5 CFBDRN CS[C@H]1CCCCN(c2ncccc2[N+](=O)[O-])C1 ZINC000154625409 295667707 /nfs/dbraw/zinc/66/77/07/295667707.db2.gz HUTKWUHTVVZGAX-JTQLQIEISA-N 0 0 267.354 2.712 20 5 CFBDRN CCCC(C)(C)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000067822464 346821104 /nfs/dbraw/zinc/82/11/04/346821104.db2.gz XDFLPFCTIQIEML-UHFFFAOYSA-N 0 0 294.351 2.841 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000125325490 187179238 /nfs/dbraw/zinc/17/92/38/187179238.db2.gz IGOFBNYKYOEHSE-LLVKDONJSA-N 0 0 268.338 2.983 20 5 CFBDRN C[C@H](c1cccnc1)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000068171701 346838680 /nfs/dbraw/zinc/83/86/80/346838680.db2.gz LWJNZEJHWHBEDH-SECBINFHSA-N 0 0 291.332 2.885 20 5 CFBDRN CCCN(Cc1onc(C)c1[N+](=O)[O-])CC(F)(F)F ZINC000595311068 349598720 /nfs/dbraw/zinc/59/87/20/349598720.db2.gz AAWXAGHTYAFGNU-UHFFFAOYSA-N 0 0 281.234 2.666 20 5 CFBDRN CCC(CC)N(CCOC)Cc1onc(C)c1[N+](=O)[O-] ZINC000595312511 349600175 /nfs/dbraw/zinc/60/01/75/349600175.db2.gz YVPREAAKARLDGT-UHFFFAOYSA-N 0 0 285.344 2.528 20 5 CFBDRN CC1CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)CC1 ZINC000068234247 346842258 /nfs/dbraw/zinc/84/22/58/346842258.db2.gz AHDKXHJZJQDYIJ-UHFFFAOYSA-N 0 0 294.376 2.945 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1(C)C ZINC000595326422 349602064 /nfs/dbraw/zinc/60/20/64/349602064.db2.gz CERJDULBPPLUSN-VXGBXAGGSA-N 0 0 297.355 2.527 20 5 CFBDRN CCO[C@@H]1CCCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595323353 349602561 /nfs/dbraw/zinc/60/25/61/349602561.db2.gz YJPWOOINCUBPDZ-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN CCCCO[C@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000068505982 346856882 /nfs/dbraw/zinc/85/68/82/346856882.db2.gz OHNFUNRCGPXYDV-VXGBXAGGSA-N 0 0 294.351 2.977 20 5 CFBDRN CCN(Cc1onc(C)c1[N+](=O)[O-])c1ccccc1 ZINC000595360104 349610576 /nfs/dbraw/zinc/61/05/76/349610576.db2.gz YMAWUNSDHNYDPN-UHFFFAOYSA-N 0 0 261.281 2.918 20 5 CFBDRN COc1cccc([C@H](C)NCc2onc(C)c2[N+](=O)[O-])c1 ZINC000595412454 349618697 /nfs/dbraw/zinc/61/86/97/349618697.db2.gz ZVLRRZFEASJVRE-VIFPVBQESA-N 0 0 291.307 2.751 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC[C@H]1C(F)F ZINC000595404688 349617170 /nfs/dbraw/zinc/61/71/70/349617170.db2.gz SCBLHBWXVYKCPB-JTQLQIEISA-N 0 0 274.242 2.963 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1cn[nH]c1 ZINC000273106571 192044249 /nfs/dbraw/zinc/04/42/49/192044249.db2.gz WRSNIXLRNSDOTG-MRVPVSSYSA-N 0 0 280.715 2.822 20 5 CFBDRN COc1cc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)on1 ZINC000595419259 349619656 /nfs/dbraw/zinc/61/96/56/349619656.db2.gz JKQJVUHGUSNEMY-JTQLQIEISA-N 0 0 291.307 2.751 20 5 CFBDRN CC[C@]1(C(C)C)C[C@@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000413379727 529390105 /nfs/dbraw/zinc/39/01/05/529390105.db2.gz MXXPEVYKKAEHNW-JOYOIKCWSA-N 0 0 252.318 2.565 20 5 CFBDRN CC(C)[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000595422837 349620430 /nfs/dbraw/zinc/62/04/30/349620430.db2.gz PLZFHJVBBFBBCV-CQSZACIVSA-N 0 0 282.315 2.591 20 5 CFBDRN Cc1nc(CN2CCc3ccc([N+](=O)[O-])cc32)c(C)o1 ZINC000595429399 349623437 /nfs/dbraw/zinc/62/34/37/349623437.db2.gz FKNADULPVOGACA-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000595445592 349628637 /nfs/dbraw/zinc/62/86/37/349628637.db2.gz FCGHYSGIAAVFSB-LBPRGKRZSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1noc(CN2CCc3cc(C)ccc3C2)c1[N+](=O)[O-] ZINC000595452527 349631053 /nfs/dbraw/zinc/63/10/53/349631053.db2.gz AKJBONBMMFBGQV-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](C)CC2)c1 ZINC000068782422 346869224 /nfs/dbraw/zinc/86/92/24/346869224.db2.gz JMENSLAFZREPRW-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCC[N@@H+]1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595470026 349636726 /nfs/dbraw/zinc/63/67/26/349636726.db2.gz ZHUUKKUJYNFEQO-BXUZGUMPSA-N 0 0 296.342 2.859 20 5 CFBDRN Cc1noc(CN2CCCC[C@H]2C(F)F)c1[N+](=O)[O-] ZINC000595473265 349637677 /nfs/dbraw/zinc/63/76/77/349637677.db2.gz WAJTYJQJAUAHHJ-QMMMGPOBSA-N 0 0 275.255 2.511 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H]2C[C@@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000595479157 349638556 /nfs/dbraw/zinc/63/85/56/349638556.db2.gz DCUVMCWFNYFVGT-CYZMBNFOSA-N 0 0 289.335 2.707 20 5 CFBDRN Cc1nc(CN(C)CCc2ccccc2[N+](=O)[O-])co1 ZINC000595485237 349639935 /nfs/dbraw/zinc/63/99/35/349639935.db2.gz SPVLFKNHSZMIOI-UHFFFAOYSA-N 0 0 275.308 2.566 20 5 CFBDRN COc1ccc(C(=O)NC[C@@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000068833802 346873586 /nfs/dbraw/zinc/87/35/86/346873586.db2.gz PBXZMSGLZWLEJZ-LLVKDONJSA-N 0 0 290.319 2.690 20 5 CFBDRN Cc1noc(CN2CCC[C@]3(CCSC3)C2)c1[N+](=O)[O-] ZINC000595522509 349650076 /nfs/dbraw/zinc/65/00/76/349650076.db2.gz KUTNKOQKGZDCIK-ZDUSSCGKSA-N 0 0 297.380 2.610 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413144403 529424113 /nfs/dbraw/zinc/42/41/13/529424113.db2.gz TWKKAPRKRLUVTF-QMTHXVAHSA-N 0 0 289.335 2.962 20 5 CFBDRN CCc1nocc1CN(C)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595505911 349645523 /nfs/dbraw/zinc/64/55/23/349645523.db2.gz XBJBNIFQCGSYME-UHFFFAOYSA-N 0 0 293.298 2.916 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC000069109534 346882493 /nfs/dbraw/zinc/88/24/93/346882493.db2.gz PXGSLZSFBURNEW-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN CC[C@@H](CC(F)F)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000410808792 529459383 /nfs/dbraw/zinc/45/93/83/529459383.db2.gz QVJHSVYULPUQGY-QMMMGPOBSA-N 0 0 287.266 2.634 20 5 CFBDRN CCc1n[nH]c(C(=O)NCC(C)(C)CC(C)C)c1[N+](=O)[O-] ZINC000176350786 529467045 /nfs/dbraw/zinc/46/70/45/529467045.db2.gz WJKODXUMFLUHQT-UHFFFAOYSA-N 0 0 296.371 2.682 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000413451070 529473434 /nfs/dbraw/zinc/47/34/34/529473434.db2.gz LZWMLOHPVZXTIC-MNOVXSKESA-N 0 0 272.251 2.852 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCC1 ZINC000125841498 187202641 /nfs/dbraw/zinc/20/26/41/187202641.db2.gz WLPLJNQXYCHSPD-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN Cc1nccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)n1 ZINC000344888393 282190510 /nfs/dbraw/zinc/19/05/10/282190510.db2.gz GFRMJEDFPMNBFE-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CC(C)[C@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000269331407 534928393 /nfs/dbraw/zinc/92/83/93/534928393.db2.gz FMTSQFLBCWCNFG-QMMMGPOBSA-N 0 0 255.245 2.931 20 5 CFBDRN CN(Cc1c(F)cc([N+](=O)[O-])cc1F)CC1=CCCOC1 ZINC000595747253 349721505 /nfs/dbraw/zinc/72/15/05/349721505.db2.gz IRYSEUSKGPZASN-UHFFFAOYSA-N 0 0 298.289 2.652 20 5 CFBDRN Cc1csc(CCNc2nccc(C)c2[N+](=O)[O-])n1 ZINC000070496379 346909877 /nfs/dbraw/zinc/90/98/77/346909877.db2.gz TUQCMZYOWDMFCO-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CC[C@@H]3C[C@@H]3C2)c(F)c1 ZINC000595810645 349737623 /nfs/dbraw/zinc/73/76/23/349737623.db2.gz MJWBNTBADKQGSB-RKDXNWHRSA-N 0 0 268.263 2.715 20 5 CFBDRN CC(C)(C)C[N@H+](CC(=O)[O-])Cc1c(F)cccc1[N+](=O)[O-] ZINC000595886117 349760885 /nfs/dbraw/zinc/76/08/85/349760885.db2.gz MLTQJYOKWYWDTN-UHFFFAOYSA-N 0 0 298.314 2.667 20 5 CFBDRN CC(C)(C)C[N@H+](CC(=O)[O-])Cc1ccccc1[N+](=O)[O-] ZINC000595888624 349761836 /nfs/dbraw/zinc/76/18/36/349761836.db2.gz YCWQWJZTZRSJGZ-UHFFFAOYSA-N 0 0 280.324 2.528 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)CC1=CCSC1 ZINC000595895172 349764199 /nfs/dbraw/zinc/76/41/99/349764199.db2.gz DUVPXMOMTXDLBF-UHFFFAOYSA-N 0 0 294.376 2.708 20 5 CFBDRN CC1(C)CCCC[C@H]1Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000596021809 349777134 /nfs/dbraw/zinc/77/71/34/349777134.db2.gz NXBKTSWNZFEBNU-NSHDSACASA-N 0 0 264.325 2.973 20 5 CFBDRN Cc1noc(CNc2cc(CO)c(C)cc2C)c1[N+](=O)[O-] ZINC000596032328 349778721 /nfs/dbraw/zinc/77/87/21/349778721.db2.gz LVHWGWLMXWENDI-UHFFFAOYSA-N 0 0 291.307 2.612 20 5 CFBDRN CC1(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)CCC1 ZINC000269972368 190578469 /nfs/dbraw/zinc/57/84/69/190578469.db2.gz ZZLOKDDNVKWRTN-UHFFFAOYSA-N 0 0 287.319 2.608 20 5 CFBDRN Cc1ccc(N(C)C[C@@H]2CCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000269972794 190578515 /nfs/dbraw/zinc/57/85/15/190578515.db2.gz LJMDXXLRQODTCU-SMDDNHRTSA-N 0 0 264.325 2.500 20 5 CFBDRN CC(C)c1cc(-n2ccc([N+](=O)[O-])n2)nc(C(C)C)n1 ZINC000269975241 190578849 /nfs/dbraw/zinc/57/88/49/190578849.db2.gz PIAGJPFFOHXPEY-UHFFFAOYSA-N 0 0 275.312 2.817 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1cccc(N(C)C)n1 ZINC000270006948 190584249 /nfs/dbraw/zinc/58/42/49/190584249.db2.gz SSKDTJNIMZEWNV-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596086934 349790705 /nfs/dbraw/zinc/79/07/05/349790705.db2.gz CJWXUZTWBQUQFB-WDEREUQCSA-N 0 0 291.351 2.932 20 5 CFBDRN COC(=O)c1c(Cl)c(N(C)C(C)C)ccc1[N+](=O)[O-] ZINC000596072457 349787034 /nfs/dbraw/zinc/78/70/34/349787034.db2.gz BMUUGUFEXZOODE-UHFFFAOYSA-N 0 0 286.715 2.879 20 5 CFBDRN Nc1ccc(N2CC3C[C@@H]4CC2C[C@H](C3)C4)nc1[N+](=O)[O-] ZINC000596099640 349794467 /nfs/dbraw/zinc/79/44/67/349794467.db2.gz VBTZJTVPXKEFGD-ZYANWLCNSA-N 0 0 288.351 2.587 20 5 CFBDRN Cc1ncc2c(n1)CC[C@H](Nc1ccc([N+](=O)[O-])s1)C2 ZINC000596102404 349794550 /nfs/dbraw/zinc/79/45/50/349794550.db2.gz IJOYJLBHOUGGRB-JTQLQIEISA-N 0 0 290.348 2.724 20 5 CFBDRN CCC[C@H](CC)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596096848 349793741 /nfs/dbraw/zinc/79/37/41/349793741.db2.gz CNWTYZACLWTROO-VIFPVBQESA-N 0 0 265.313 2.684 20 5 CFBDRN COc1cccc(CNc2cc(C)sc2[N+](=O)[O-])n1 ZINC000596097138 349793993 /nfs/dbraw/zinc/79/39/93/349793993.db2.gz FGOMPHHSDVHGPE-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN CO[C@@H]1CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596109512 349796546 /nfs/dbraw/zinc/79/65/46/349796546.db2.gz BSDPMYPNRPISOF-SECBINFHSA-N 0 0 256.327 2.580 20 5 CFBDRN CC1=CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)CC1 ZINC000270079412 190595118 /nfs/dbraw/zinc/59/51/18/190595118.db2.gz JJXKATGQSNWBET-UHFFFAOYSA-N 0 0 285.303 2.868 20 5 CFBDRN CCC[C@@H](O)CNc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596123520 349799690 /nfs/dbraw/zinc/79/96/90/349799690.db2.gz OFGWGIOENOUYBQ-MRVPVSSYSA-N 0 0 288.731 2.830 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000596124333 349800640 /nfs/dbraw/zinc/80/06/40/349800640.db2.gz VPRSMZKUWQRRAH-ZANVPECISA-N 0 0 282.340 2.731 20 5 CFBDRN CC(C)CSCCCNc1c([N+](=O)[O-])ncn1C ZINC000270145501 190603663 /nfs/dbraw/zinc/60/36/63/190603663.db2.gz GVQRLJRBMLCROX-UHFFFAOYSA-N 0 0 272.374 2.520 20 5 CFBDRN CC(C)[C@@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596129159 349801463 /nfs/dbraw/zinc/80/14/63/349801463.db2.gz OMSCDVHJZGHNPY-LLVKDONJSA-N 0 0 279.340 2.958 20 5 CFBDRN Cc1cc(NC[C@@H](C)C[C@@H](C)O)c([N+](=O)[O-])s1 ZINC000596135804 349804067 /nfs/dbraw/zinc/80/40/67/349804067.db2.gz MTKQIJCFTNXURW-JGVFFNPUSA-N 0 0 258.343 2.784 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596138747 349805961 /nfs/dbraw/zinc/80/59/61/349805961.db2.gz MTWPEQSCAZLIQS-UWVGGRQHSA-N 0 0 279.340 2.930 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C1=CCCCC1 ZINC000126719045 187258189 /nfs/dbraw/zinc/25/81/89/187258189.db2.gz COKKGUBQQAIFLH-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN CC(C)(C(=O)N1CCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000273914174 192360457 /nfs/dbraw/zinc/36/04/57/192360457.db2.gz DIFOFQRHMIJZLP-UHFFFAOYSA-N 0 0 280.299 2.634 20 5 CFBDRN CC[C@]1(C)CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596141525 349806349 /nfs/dbraw/zinc/80/63/49/349806349.db2.gz LRMHCIQRKZGKCY-CYBMUJFWSA-N 0 0 265.313 2.712 20 5 CFBDRN Cc1nc(CNc2c(Cl)cncc2[N+](=O)[O-])sc1C ZINC000596144808 349807088 /nfs/dbraw/zinc/80/70/88/349807088.db2.gz OICATALRPVCMCQ-UHFFFAOYSA-N 0 0 298.755 2.751 20 5 CFBDRN COCCCc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273916287 192361461 /nfs/dbraw/zinc/36/14/61/192361461.db2.gz YGYYVICAKUFIRQ-UHFFFAOYSA-N 0 0 277.280 2.532 20 5 CFBDRN Cc1cc(N[C@H](C)CC[S@](C)=O)c([N+](=O)[O-])s1 ZINC000596143109 349806651 /nfs/dbraw/zinc/80/66/51/349806651.db2.gz XAAYTYHPCMMIDU-GJEGPGMTSA-N 0 0 276.383 2.534 20 5 CFBDRN C[C@H]1c2ccccc2CCN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596149048 349808478 /nfs/dbraw/zinc/80/84/78/349808478.db2.gz IKBAOGGZRZKUEQ-JTQLQIEISA-N 0 0 284.319 2.696 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596158418 349812771 /nfs/dbraw/zinc/81/27/71/349812771.db2.gz XPUUFEGFWKESIA-JTQLQIEISA-N 0 0 299.758 2.895 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCS[C@H](C)C1 ZINC000137196020 136393078 /nfs/dbraw/zinc/39/30/78/136393078.db2.gz NWOAZHJOKMOQDT-SECBINFHSA-N 0 0 280.349 2.739 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CCCO2)c([N+](=O)[O-])s1 ZINC000596166443 349815686 /nfs/dbraw/zinc/81/56/86/349815686.db2.gz ZCDYRBXVIFEBTF-LLVKDONJSA-N 0 0 256.327 2.946 20 5 CFBDRN COc1cc(NCCC(C)(C)CO)c([N+](=O)[O-])cc1F ZINC000295028437 199308998 /nfs/dbraw/zinc/30/89/98/199308998.db2.gz VHXUTRNCXYOONJ-UHFFFAOYSA-N 0 0 286.303 2.563 20 5 CFBDRN O=c1[nH]ccc(NCCC2Cc3ccccc3C2)c1[N+](=O)[O-] ZINC000596176013 349817442 /nfs/dbraw/zinc/81/74/42/349817442.db2.gz YAUOZUMYBLEVJN-UHFFFAOYSA-N 0 0 299.330 2.912 20 5 CFBDRN CCC[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596176754 349817528 /nfs/dbraw/zinc/81/75/28/349817528.db2.gz DMPXPSJEOCAEJT-SECBINFHSA-N 0 0 285.731 2.649 20 5 CFBDRN CC(C)C[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596174110 349817960 /nfs/dbraw/zinc/81/79/60/349817960.db2.gz BAOMYBHDPYFAOV-SECBINFHSA-N 0 0 265.313 2.540 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1nc(C)ccc1[N+](=O)[O-] ZINC000126878419 187267291 /nfs/dbraw/zinc/26/72/91/187267291.db2.gz JSISMXGMXHLUOC-VIFPVBQESA-N 0 0 290.323 2.946 20 5 CFBDRN Cc1cc(NCc2ncoc2C)c([N+](=O)[O-])s1 ZINC000596179987 349818409 /nfs/dbraw/zinc/81/84/09/349818409.db2.gz SCAOGFWAFQPKFM-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC=C(C(C)(C)C)CC1 ZINC000270288891 190640420 /nfs/dbraw/zinc/64/04/20/190640420.db2.gz NMXXWIYIGXXAQD-UHFFFAOYSA-N 0 0 264.329 2.511 20 5 CFBDRN CC(C)(C)[C@H]1C[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596180274 349818893 /nfs/dbraw/zinc/81/88/93/349818893.db2.gz RGEXNSRUGBBSSX-MWLCHTKSSA-N 0 0 295.339 2.701 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)CC(C)(C)C1 ZINC000596191354 349822115 /nfs/dbraw/zinc/82/21/15/349822115.db2.gz PXGMHDMAZZVORT-SNVBAGLBSA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@H]1C ZINC000596198930 349824943 /nfs/dbraw/zinc/82/49/43/349824943.db2.gz OLOVHLRTSZIVAM-BBBLOLIVSA-N 0 0 265.313 2.932 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCCCCO1 ZINC000596206902 349827078 /nfs/dbraw/zinc/82/70/78/349827078.db2.gz OBNGRHOXLSPILK-UHFFFAOYSA-N 0 0 257.677 2.565 20 5 CFBDRN Cc1cc(N[C@@H]2CCn3ccnc3C2)c([N+](=O)[O-])s1 ZINC000596199691 349825803 /nfs/dbraw/zinc/82/58/03/349825803.db2.gz FPHMAIYNNPYOPO-SECBINFHSA-N 0 0 278.337 2.588 20 5 CFBDRN CCOC1CC(CCNc2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596205321 349826449 /nfs/dbraw/zinc/82/64/49/349826449.db2.gz ASZLQJBJEVGXOW-UHFFFAOYSA-N 0 0 299.758 2.682 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@@]3(CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596182870 349819417 /nfs/dbraw/zinc/81/94/17/349819417.db2.gz SBCBRQRVGRGYSS-GWCFXTLKSA-N 0 0 298.364 2.715 20 5 CFBDRN Cc1cc(N[C@@H]2CCCOCC2)c([N+](=O)[O-])s1 ZINC000596184316 349820095 /nfs/dbraw/zinc/82/00/95/349820095.db2.gz FQMIKBHXMOAUHV-SECBINFHSA-N 0 0 256.327 2.946 20 5 CFBDRN COc1cc(NC[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])cc1C ZINC000596229886 349833839 /nfs/dbraw/zinc/83/38/39/349833839.db2.gz QMJQBSDRJFVBRT-VXGBXAGGSA-N 0 0 294.351 2.996 20 5 CFBDRN Cc1cc(N(C)[C@H]2CCCOC2)c([N+](=O)[O-])s1 ZINC000596229374 349833927 /nfs/dbraw/zinc/83/39/27/349833927.db2.gz AABSTLSPYFGWHT-VIFPVBQESA-N 0 0 256.327 2.580 20 5 CFBDRN O=c1[nH]ccc(NCC2CCC(F)(F)CC2)c1[N+](=O)[O-] ZINC000596227098 349834229 /nfs/dbraw/zinc/83/42/29/349834229.db2.gz NIPMFWUQCKRARE-UHFFFAOYSA-N 0 0 287.266 2.933 20 5 CFBDRN NC(=O)c1cc(NC2(C(F)F)CCCC2)ccc1[N+](=O)[O-] ZINC000596234415 349834656 /nfs/dbraw/zinc/83/46/56/349834656.db2.gz JULPGVUJPSLFQS-UHFFFAOYSA-N 0 0 299.277 2.684 20 5 CFBDRN CCOc1cc(N2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000301435822 136431959 /nfs/dbraw/zinc/43/19/59/136431959.db2.gz JPILOUGDMPVRHE-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN CO[C@@H]1CCN(c2cc(C)sc2[N+](=O)[O-])C[C@@H]1C ZINC000596254998 349842286 /nfs/dbraw/zinc/84/22/86/349842286.db2.gz OJJUFCYTAGYZDL-GZMMTYOYSA-N 0 0 270.354 2.826 20 5 CFBDRN COCC1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CCCCC1 ZINC000596236508 349835462 /nfs/dbraw/zinc/83/54/62/349835462.db2.gz MWUUKGWHUACZKO-UHFFFAOYSA-N 0 0 295.339 2.704 20 5 CFBDRN CCOc1cc(N2C[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000596245815 349838982 /nfs/dbraw/zinc/83/89/82/349838982.db2.gz AMGZSICNCYJPSP-ZJUUUORDSA-N 0 0 250.298 2.838 20 5 CFBDRN CC(C)Oc1nc(N2C[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000596245868 349839061 /nfs/dbraw/zinc/83/90/61/349839061.db2.gz BJXMPRLRVGVPKD-UWVGGRQHSA-N 0 0 265.313 2.622 20 5 CFBDRN COC(=O)c1c(Cl)c(N2C[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000596246612 349839541 /nfs/dbraw/zinc/83/95/41/349839541.db2.gz FEQLHYCCDACMKM-YUMQZZPRSA-N 0 0 298.726 2.879 20 5 CFBDRN C[C@@H]1CN(c2ncc(Br)cc2[N+](=O)[O-])[C@@H]1C ZINC000596248345 349839769 /nfs/dbraw/zinc/83/97/69/349839769.db2.gz MHTXKBPPRQEAOU-RNFRBKRXSA-N 0 0 286.129 2.597 20 5 CFBDRN C[C@@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])[C@@H]1C ZINC000596251581 349840927 /nfs/dbraw/zinc/84/09/27/349840927.db2.gz YEKRHACYJHMZDT-NXEZZACHSA-N 0 0 257.293 2.988 20 5 CFBDRN Cc1cc(N2CCC[C@H](CCO)CC2)c([N+](=O)[O-])s1 ZINC000596277459 349850707 /nfs/dbraw/zinc/85/07/07/349850707.db2.gz GCWKAHHRQLMZGZ-NSHDSACASA-N 0 0 284.381 2.954 20 5 CFBDRN Cc1cn(-c2cccc([N+](=O)[O-])c2)c(=O)n1CC1=CCCC1 ZINC000596276469 349850951 /nfs/dbraw/zinc/85/09/51/349850951.db2.gz AIWRWKTXJGEPMN-UHFFFAOYSA-N 0 0 299.330 2.966 20 5 CFBDRN CCC1CN(c2ccc([N+](=O)[O-])c(C(=O)OC)c2Cl)C1 ZINC000596261445 349845515 /nfs/dbraw/zinc/84/55/15/349845515.db2.gz UUONFWRRUZNWEP-UHFFFAOYSA-N 0 0 298.726 2.881 20 5 CFBDRN C[C@]1(CNc2ccc(C(F)F)cc2[N+](=O)[O-])CCC(=O)N1 ZINC000596261050 349845746 /nfs/dbraw/zinc/84/57/46/349845746.db2.gz PWNYATJUTJOIRJ-CYBMUJFWSA-N 0 0 299.277 2.613 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000596264217 349846921 /nfs/dbraw/zinc/84/69/21/349846921.db2.gz JRAOAJWLUCTLJI-DTORHVGOSA-N 0 0 256.327 2.944 20 5 CFBDRN CC[N@@H+]1CCCN(c2cc(C)c([N+](=O)[O-])cc2F)[C@H](C)C1 ZINC000596268916 349847599 /nfs/dbraw/zinc/84/75/99/349847599.db2.gz NNZIYTJGQKQCDE-GFCCVEGCSA-N 0 0 295.358 2.963 20 5 CFBDRN CSCCCSc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596266113 349847820 /nfs/dbraw/zinc/84/78/20/349847820.db2.gz RULUYTSZESAAQO-UHFFFAOYSA-N 0 0 260.340 2.541 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2COC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000596312221 349860942 /nfs/dbraw/zinc/86/09/42/349860942.db2.gz VDJMUDOOXWZVAO-NSHDSACASA-N 0 0 278.308 2.777 20 5 CFBDRN CO[C@H](C)CCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295475245 199526046 /nfs/dbraw/zinc/52/60/46/199526046.db2.gz IZJPXJSAAVXVFW-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN COc1cc(NCCC2CC(OC)C2)c(F)cc1[N+](=O)[O-] ZINC000596309495 349859813 /nfs/dbraw/zinc/85/98/13/349859813.db2.gz SIMRQGJBIFNELC-UHFFFAOYSA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cc(N[C@@H]2COC(C)(C)C2)c([N+](=O)[O-])s1 ZINC000596310282 349859855 /nfs/dbraw/zinc/85/98/55/349859855.db2.gz BETHDHWLTIBUKG-QMMMGPOBSA-N 0 0 256.327 2.944 20 5 CFBDRN Cc1ccnc(NC[C@@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC000596312480 349860892 /nfs/dbraw/zinc/86/08/92/349860892.db2.gz KLPYGNFZZHHNMO-LLVKDONJSA-N 0 0 272.308 2.909 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N[C@H](CO)CCF ZINC000596296470 349855513 /nfs/dbraw/zinc/85/55/13/349855513.db2.gz XELPQWNZZCHIKE-QMMMGPOBSA-N 0 0 278.230 2.665 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@]23C[C@@H]2CCC3)c(Cl)c1 ZINC000596315714 349861626 /nfs/dbraw/zinc/86/16/26/349861626.db2.gz FVIOPZJVAITKNP-CPCISQLKSA-N 0 0 253.689 2.998 20 5 CFBDRN COCC1(Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)CCCC1 ZINC000596316822 349862119 /nfs/dbraw/zinc/86/21/19/349862119.db2.gz MMCOVGQCVBCRKK-UHFFFAOYSA-N 0 0 290.323 2.842 20 5 CFBDRN COCC1(Nc2cc(C)c([N+](=O)[O-])cn2)CCCC1 ZINC000596317207 349862269 /nfs/dbraw/zinc/86/22/69/349862269.db2.gz QELCSIDNAWZJNG-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN CN(CC1CC1)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000413072053 529862514 /nfs/dbraw/zinc/86/25/14/529862514.db2.gz NQOPAVGPXJXFLQ-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CN(CC1CCC1)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412112827 529863120 /nfs/dbraw/zinc/86/31/20/529863120.db2.gz VVITWHZATSZCIC-LSDHHAIUSA-N 0 0 288.347 2.957 20 5 CFBDRN Cc1cc(N[C@H](CO)C2CCC2)c(F)cc1[N+](=O)[O-] ZINC000596323707 349863361 /nfs/dbraw/zinc/86/33/61/349863361.db2.gz PSSLNBZQOVKZAT-GFCCVEGCSA-N 0 0 268.288 2.615 20 5 CFBDRN CC[C@@H](COCC1CC1)Nc1ccc([N+](=O)[O-])cn1 ZINC000596325613 349863830 /nfs/dbraw/zinc/86/38/30/349863830.db2.gz CEWMEQGQZVMIPC-NSHDSACASA-N 0 0 265.313 2.607 20 5 CFBDRN Cc1cc(CSCc2onc(C)c2[N+](=O)[O-])no1 ZINC000596327258 349864685 /nfs/dbraw/zinc/86/46/85/349864685.db2.gz HBJVCWCKHQUGBA-UHFFFAOYSA-N 0 0 269.282 2.621 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000073331778 346970886 /nfs/dbraw/zinc/97/08/86/346970886.db2.gz CUELRTQTJYOORY-BDAKNGLRSA-N 0 0 268.338 2.917 20 5 CFBDRN CCCc1noc(CSCc2onc(C)c2[N+](=O)[O-])n1 ZINC000596334716 349867718 /nfs/dbraw/zinc/86/77/18/349867718.db2.gz AQGOTVCDRYKJCM-UHFFFAOYSA-N 0 0 298.324 2.660 20 5 CFBDRN C[C@@H](O)CCSCCCOc1ccc([N+](=O)[O-])cc1 ZINC000596335469 349868318 /nfs/dbraw/zinc/86/83/18/349868318.db2.gz YUUCQEJKGPTKQD-LLVKDONJSA-N 0 0 285.365 2.868 20 5 CFBDRN CC(C)(C)[N@@H+]1CC[C@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000596335736 349868320 /nfs/dbraw/zinc/86/83/20/349868320.db2.gz HUFUBHDIZBEKAI-VIFPVBQESA-N 0 0 269.370 2.941 20 5 CFBDRN C[C@H](O)CCSCCCOc1ccc([N+](=O)[O-])cc1 ZINC000596335470 349868413 /nfs/dbraw/zinc/86/84/13/349868413.db2.gz YUUCQEJKGPTKQD-NSHDSACASA-N 0 0 285.365 2.868 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219357135 539366820 /nfs/dbraw/zinc/36/68/20/539366820.db2.gz RIPSQGUEZLQGLK-ZDUSSCGKSA-N 0 0 292.339 2.680 20 5 CFBDRN COCCC1CN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000596366043 349879256 /nfs/dbraw/zinc/87/92/56/349879256.db2.gz NRMNCGCWOHFBQT-UHFFFAOYSA-N 0 0 270.716 2.721 20 5 CFBDRN Cc1noc(CSCCOCC(C)C)c1[N+](=O)[O-] ZINC000596363188 349877683 /nfs/dbraw/zinc/87/76/83/349877683.db2.gz QRVHWGWAOKPANI-UHFFFAOYSA-N 0 0 274.342 2.797 20 5 CFBDRN C[S@](=O)[C@@H]1CCC[C@@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000596375000 349882872 /nfs/dbraw/zinc/88/28/72/349882872.db2.gz OLPBXZFJFOOMAG-BFKGZMGPSA-N 0 0 282.365 2.696 20 5 CFBDRN C[S@](=O)[C@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000596375315 349882886 /nfs/dbraw/zinc/88/28/86/349882886.db2.gz PYLIXOXLNJJTIZ-BLWXERESSA-N 0 0 282.365 2.696 20 5 CFBDRN C[S@](=O)[C@@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000596376656 349883543 /nfs/dbraw/zinc/88/35/43/349883543.db2.gz WPWHSJZRHWQLMQ-OOSSNNJISA-N 0 0 288.394 2.758 20 5 CFBDRN CC(C)(C(=O)NCc1ccc[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000074122474 346997811 /nfs/dbraw/zinc/99/78/11/346997811.db2.gz OSLCSRRLZXQTIJ-UHFFFAOYSA-N 0 0 287.319 2.517 20 5 CFBDRN Cc1nocc1CSCc1onc(C)c1[N+](=O)[O-] ZINC000596379682 349884360 /nfs/dbraw/zinc/88/43/60/349884360.db2.gz IQXXERXNKWZUEG-UHFFFAOYSA-N 0 0 269.282 2.621 20 5 CFBDRN C[C@@H]1[C@H](O)CCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000295084507 199336268 /nfs/dbraw/zinc/33/62/68/199336268.db2.gz SMKIRCSLFDZCKX-NOZJJQNGSA-N 0 0 284.743 2.593 20 5 CFBDRN CC[C@@H](CSCc1onc(C)c1[N+](=O)[O-])OC ZINC000596370050 349880898 /nfs/dbraw/zinc/88/08/98/349880898.db2.gz CJEKFDSTZMUDJR-QMMMGPOBSA-N 0 0 260.315 2.549 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000074234695 347003915 /nfs/dbraw/zinc/00/39/15/347003915.db2.gz KNFPJLVAXAHYPU-MWLCHTKSSA-N 0 0 278.308 2.978 20 5 CFBDRN CO[C@H](CSc1ccc([N+](=O)[O-])cc1)[C@H]1CCOC1 ZINC000596392949 349887945 /nfs/dbraw/zinc/88/79/45/349887945.db2.gz IULOIYFDPAPKAR-GXFFZTMASA-N 0 0 283.349 2.738 20 5 CFBDRN COC[C@@H](CSCc1cccc([N+](=O)[O-])c1C)OC ZINC000596398497 349889012 /nfs/dbraw/zinc/88/90/12/349889012.db2.gz PHEHJPBNPMEPDI-LBPRGKRZSA-N 0 0 285.365 2.798 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1C[C@]1(C)C(C)C ZINC000413442230 529933256 /nfs/dbraw/zinc/93/32/56/529933256.db2.gz ULYREZJZWBEWFD-DZGCQCFKSA-N 0 0 291.351 2.801 20 5 CFBDRN Cc1noc(CSCCO[C@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000596403194 349890569 /nfs/dbraw/zinc/89/05/69/349890569.db2.gz HGYBHSDZDYORHT-QMMMGPOBSA-N 0 0 294.279 2.549 20 5 CFBDRN CC[C@H](NC(=O)c1csc([N+](=O)[O-])c1)C1CCOCC1 ZINC000074413559 347012818 /nfs/dbraw/zinc/01/28/18/347012818.db2.gz FRXCXWNYZBUGIS-NSHDSACASA-N 0 0 298.364 2.591 20 5 CFBDRN CC[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)C1CCOCC1 ZINC000074413563 347012844 /nfs/dbraw/zinc/01/28/44/347012844.db2.gz FRXCXWNYZBUGIS-LLVKDONJSA-N 0 0 298.364 2.591 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000596420490 349898447 /nfs/dbraw/zinc/89/84/47/349898447.db2.gz YSMCYBMPJOBEDR-OAHLLOKOSA-N 0 0 291.351 2.625 20 5 CFBDRN CC1(CSCCOc2ccc([N+](=O)[O-])cc2)COC1 ZINC000596414998 349895591 /nfs/dbraw/zinc/89/55/91/349895591.db2.gz GXHDWTJUKLFDBY-UHFFFAOYSA-N 0 0 283.349 2.743 20 5 CFBDRN CC[C@H](C)CCNc1c([N+](=O)[O-])c(C)nn1CC ZINC000596415813 349896228 /nfs/dbraw/zinc/89/62/28/349896228.db2.gz MYXJWSPRMLHTMJ-VIFPVBQESA-N 0 0 254.334 2.968 20 5 CFBDRN CC(C)(C)Oc1cc(NC/C=C\CO)ccc1[N+](=O)[O-] ZINC000596424960 349898956 /nfs/dbraw/zinc/89/89/56/349898956.db2.gz VIZQRFBPFNRXKC-PLNGDYQASA-N 0 0 280.324 2.733 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000074659391 347025326 /nfs/dbraw/zinc/02/53/26/347025326.db2.gz VACAMZUYMQLPBE-LLVKDONJSA-N 0 0 262.309 3.000 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@](C)(O)c2ccsc2)n1 ZINC000127108068 187282873 /nfs/dbraw/zinc/28/28/73/187282873.db2.gz BFLOMOCSYHGSSK-ZDUSSCGKSA-N 0 0 293.348 2.679 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000074662329 347025890 /nfs/dbraw/zinc/02/58/90/347025890.db2.gz PITUINQXGCMRNQ-LBPRGKRZSA-N 0 0 292.335 2.765 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cccc(OC)c2[N+](=O)[O-])C1 ZINC000596437139 349902275 /nfs/dbraw/zinc/90/22/75/349902275.db2.gz UOBGTOTZZIKOEV-MGCOHNPYSA-N 0 0 266.297 2.583 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000596437894 349902371 /nfs/dbraw/zinc/90/23/71/349902371.db2.gz ZQZHSBWJBGOWIX-ZKCHVHJHSA-N 0 0 294.336 2.579 20 5 CFBDRN Cc1cc(NCc2cn3ccsc3n2)ncc1[N+](=O)[O-] ZINC000074727261 347028808 /nfs/dbraw/zinc/02/88/08/347028808.db2.gz FDTIYYVAQDXMBH-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN Cc1cc(Nc2ccc3c(c2)OCCO3)ncc1[N+](=O)[O-] ZINC000074724522 347028954 /nfs/dbraw/zinc/02/89/54/347028954.db2.gz IMTJHNWWMABPPB-UHFFFAOYSA-N 0 0 287.275 2.813 20 5 CFBDRN C[C@@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])[C@@H]1CCO ZINC000596440034 349902972 /nfs/dbraw/zinc/90/29/72/349902972.db2.gz CFBZSWKVKZSJAC-ZYHUDNBSSA-N 0 0 282.315 2.721 20 5 CFBDRN COCC1(C2CCC2)CN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000596440410 349903817 /nfs/dbraw/zinc/90/38/17/349903817.db2.gz KAFZLUBYMCZBPP-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN C[C@H]1CCCN(C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000074763677 347031342 /nfs/dbraw/zinc/03/13/42/347031342.db2.gz SRZONKQGHOQMCT-LBPRGKRZSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1cccc(N2C[C@]3(C)COC[C@]3(C)C2)c1[N+](=O)[O-] ZINC000596444294 349904974 /nfs/dbraw/zinc/90/49/74/349904974.db2.gz VLWFXPNDFAPILT-GASCZTMLSA-N 0 0 276.336 2.766 20 5 CFBDRN C[C@@H]1CCCN(c2ccccc2[N+](=O)[O-])[C@H]1CCO ZINC000596443972 349905077 /nfs/dbraw/zinc/90/50/77/349905077.db2.gz RNDVWPPSGKPYCE-NEPJUHHUSA-N 0 0 264.325 2.582 20 5 CFBDRN C[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])C[C@@H](C)C1=O ZINC000596446099 349905900 /nfs/dbraw/zinc/90/59/00/349905900.db2.gz FMGUZPVVJICHAH-RKDXNWHRSA-N 0 0 282.727 2.910 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@H]2n2cccn2)cn1 ZINC000074776497 347033376 /nfs/dbraw/zinc/03/33/76/347033376.db2.gz CBABIQRNPNNPDG-CHWSQXEVSA-N 0 0 287.323 2.782 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCC(=O)N1CCCCC1 ZINC000290648043 197775681 /nfs/dbraw/zinc/77/56/81/197775681.db2.gz OKHQZDLWYQJNLX-UHFFFAOYSA-N 0 0 291.351 2.582 20 5 CFBDRN Cc1cccc(N2CCC[C@](O)(C3CC3)C2)c1[N+](=O)[O-] ZINC000596462473 349908223 /nfs/dbraw/zinc/90/82/23/349908223.db2.gz KHOQVJPXNJREFW-OAHLLOKOSA-N 0 0 276.336 2.645 20 5 CFBDRN CC(C)(C)OCCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000596463185 349908279 /nfs/dbraw/zinc/90/82/79/349908279.db2.gz WUHTUIMQLFKFFF-UHFFFAOYSA-N 0 0 298.368 2.921 20 5 CFBDRN CC(C)(C)OCCCNc1cccnc1[N+](=O)[O-] ZINC000596461753 349908289 /nfs/dbraw/zinc/90/82/89/349908289.db2.gz JUVQXRYXSJMBGZ-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN Cc1cc(NCCO[C@@H]2CC2(F)F)c([N+](=O)[O-])s1 ZINC000596448320 349906461 /nfs/dbraw/zinc/90/64/61/349906461.db2.gz STIVGZIKLFKRCB-MRVPVSSYSA-N 0 0 278.280 2.801 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCCOC(C)(C)C ZINC000596467124 349909089 /nfs/dbraw/zinc/90/90/89/349909089.db2.gz WOMCLXMBYLJIOL-UHFFFAOYSA-N 0 0 284.360 2.737 20 5 CFBDRN CN(CC1=CCCOC1)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000596463941 349908602 /nfs/dbraw/zinc/90/86/02/349908602.db2.gz BCESEYBMKJAENJ-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CC(C)(F)CCNc1c(Cl)cncc1[N+](=O)[O-] ZINC000596469326 349909964 /nfs/dbraw/zinc/90/99/64/349909964.db2.gz XHKCNWDXHRYOKA-UHFFFAOYSA-N 0 0 261.684 2.615 20 5 CFBDRN C[C@]1(CNC(=O)Nc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000596471237 349910671 /nfs/dbraw/zinc/91/06/71/349910671.db2.gz XRUXVFHZUXGQNO-LLVKDONJSA-N 0 0 285.250 2.762 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCC[C@H](C)O2)c([N+](=O)[O-])c1 ZINC000596474084 349911528 /nfs/dbraw/zinc/91/15/28/349911528.db2.gz JCKFHMWJTREMGD-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000075050830 347051525 /nfs/dbraw/zinc/05/15/25/347051525.db2.gz OGEJWAREAXHSKE-LBPRGKRZSA-N 0 0 256.277 2.553 20 5 CFBDRN COCc1csc(CNc2ncc([N+](=O)[O-])cc2F)c1 ZINC000596474159 349911750 /nfs/dbraw/zinc/91/17/50/349911750.db2.gz ZIDHBOYCQUQWCN-UHFFFAOYSA-N 0 0 297.311 2.949 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC000075056170 347052268 /nfs/dbraw/zinc/05/22/68/347052268.db2.gz AXWYMCJSWCBXNL-CYBMUJFWSA-N 0 0 291.282 2.929 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000075064549 347052873 /nfs/dbraw/zinc/05/28/73/347052873.db2.gz VSNGTDYHLIURFJ-MFKMUULPSA-N 0 0 265.313 2.605 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2nc3sccn3c2[N+](=O)[O-])O1 ZINC000596473052 349911279 /nfs/dbraw/zinc/91/12/79/349911279.db2.gz FMVIDQSNLSVFEY-DTWKUNHWSA-N 0 0 296.352 2.674 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCC[C@@H](C)O1 ZINC000596475113 349912632 /nfs/dbraw/zinc/91/26/32/349912632.db2.gz QYVRSHKLKQVSPY-MNOVXSKESA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@]1(CCNc2cccnc2[N+](=O)[O-])CC1(F)F ZINC000596474734 349911988 /nfs/dbraw/zinc/91/19/88/349911988.db2.gz BPQSDCAAUVXVAM-JTQLQIEISA-N 0 0 257.240 2.837 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCC[C@@H](C)O1 ZINC000596475112 349912473 /nfs/dbraw/zinc/91/24/73/349912473.db2.gz QYVRSHKLKQVSPY-GHMZBOCLSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@@H]1CCO[C@@H](C)C1 ZINC000273199226 192072778 /nfs/dbraw/zinc/07/27/78/192072778.db2.gz SEMKUTYXCCCFGG-NWDGAFQWSA-N 0 0 279.340 2.915 20 5 CFBDRN CN(Cc1ccco1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000427983954 530008522 /nfs/dbraw/zinc/00/85/22/530008522.db2.gz NFFXHEFKVJFIPL-UHFFFAOYSA-N 0 0 272.264 2.701 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1nccc3c1cccc3[N+](=O)[O-])C2 ZINC000596478575 349913912 /nfs/dbraw/zinc/91/39/12/349913912.db2.gz HFNHFUYSOMOVSC-MEDUHNTESA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cc2F)CC1(F)F ZINC000596478880 349913943 /nfs/dbraw/zinc/91/39/43/349913943.db2.gz TYBRXDFUQLABKB-SECBINFHSA-N 0 0 261.203 2.586 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1nccc3ccc([N+](=O)[O-])cc31)C2 ZINC000596481504 349915130 /nfs/dbraw/zinc/91/51/30/349915130.db2.gz YGDQXZXGWLMCPP-WBMJQRKESA-N 0 0 299.330 2.616 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ncc([N+](=O)[O-])s1)C2 ZINC000596483529 349915682 /nfs/dbraw/zinc/91/56/82/349915682.db2.gz GAYYJKDOHBLMLR-UHFFFAOYSA-N 0 0 291.332 2.623 20 5 CFBDRN O=C(NCC1=CCCC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000596483696 349915891 /nfs/dbraw/zinc/91/58/91/349915891.db2.gz IYICNUUTMLDRCK-UHFFFAOYSA-N 0 0 261.281 2.827 20 5 CFBDRN CN(Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1)C1CCC1 ZINC000072722579 530020917 /nfs/dbraw/zinc/02/09/17/530020917.db2.gz SFVJESUKZCTTDW-UHFFFAOYSA-N 0 0 288.307 2.629 20 5 CFBDRN CN(Cc1noc(C2CC2)n1)c1c(F)cccc1[N+](=O)[O-] ZINC000413615689 530032224 /nfs/dbraw/zinc/03/22/24/530032224.db2.gz XODNUQURRIJNBD-UHFFFAOYSA-N 0 0 292.270 2.631 20 5 CFBDRN COc1ccc2c(c1)CCN(c1nccc(C)c1[N+](=O)[O-])C2 ZINC000596484482 349916222 /nfs/dbraw/zinc/91/62/22/349916222.db2.gz QXDOBXVYGODKRP-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN Cc1ccc(CC2CN(c3ncccc3[N+](=O)[O-])C2)cc1 ZINC000596484807 349916481 /nfs/dbraw/zinc/91/64/81/349916481.db2.gz BJNODMLSXMSWDU-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@@H](C2CCC2)C1 ZINC000596487994 349917452 /nfs/dbraw/zinc/91/74/52/349917452.db2.gz KVBFOHOZGLLPDI-LLVKDONJSA-N 0 0 277.324 2.575 20 5 CFBDRN CC(=O)c1cc(NC[C@H](CO)CC2CC2)ccc1[N+](=O)[O-] ZINC000596493180 349918509 /nfs/dbraw/zinc/91/85/09/349918509.db2.gz WGOMUFZZGAIEHE-GFCCVEGCSA-N 0 0 292.335 2.618 20 5 CFBDRN COC(=O)C[C@H](CNc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000596492431 349918977 /nfs/dbraw/zinc/91/89/77/349918977.db2.gz VJBVQSZOQCUVPU-SNVBAGLBSA-N 0 0 296.298 2.735 20 5 CFBDRN C[C@@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@H](C)O1 ZINC000596493041 349919133 /nfs/dbraw/zinc/91/91/33/349919133.db2.gz GGGKUDLSWGGESO-MNOVXSKESA-N 0 0 291.351 2.567 20 5 CFBDRN Cc1ccc(NC[C@H](CO)CC2CC2)c([N+](=O)[O-])c1 ZINC000596493600 349919470 /nfs/dbraw/zinc/91/94/70/349919470.db2.gz XECLBNYRQDLVLC-GFCCVEGCSA-N 0 0 264.325 2.724 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC(O)(C1CC1)C1CC1 ZINC000596495451 349919618 /nfs/dbraw/zinc/91/96/18/349919618.db2.gz XNDOBJRTSRGXQY-UHFFFAOYSA-N 0 0 297.742 2.606 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270479983 190695745 /nfs/dbraw/zinc/69/57/45/190695745.db2.gz IIHIRAFLCNFLMA-GFCCVEGCSA-N 0 0 293.367 2.967 20 5 CFBDRN Cc1ccc(N[C@H]2COCC2(C)C)c([N+](=O)[O-])c1 ZINC000313039906 260241050 /nfs/dbraw/zinc/24/10/50/260241050.db2.gz MPACZXRMITWGBQ-LBPRGKRZSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1noc(C)c1-c1nc2cc([N+](=O)[O-])ccc2n1C ZINC000338235026 282378676 /nfs/dbraw/zinc/37/86/76/282378676.db2.gz GRYDAPQCEXHJKM-UHFFFAOYSA-N 0 0 272.264 2.753 20 5 CFBDRN C[C@@H](NCc1cc(Cl)ccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000273242527 192084975 /nfs/dbraw/zinc/08/49/75/192084975.db2.gz ALTMIPZJBGCDDC-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN CC(C)(CNC(=O)c1cc([N+](=O)[O-])c[nH]1)CC(F)(F)F ZINC000596502934 349922765 /nfs/dbraw/zinc/92/27/65/349922765.db2.gz OCGJGUDGIIWPEB-UHFFFAOYSA-N 0 0 293.245 2.631 20 5 CFBDRN C[C@H](NCc1cc(Cl)ccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000273242529 192085283 /nfs/dbraw/zinc/08/52/83/192085283.db2.gz ALTMIPZJBGCDDC-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]([C@H]3CCCCO3)C2)cn1 ZINC000596506755 349923157 /nfs/dbraw/zinc/92/31/57/349923157.db2.gz SYGZEDYPXCOJGX-GXTWGEPZSA-N 0 0 291.351 2.775 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N[C@@H]1C[C@@H](O)C12CCC2 ZINC000596506610 349923459 /nfs/dbraw/zinc/92/34/59/349923459.db2.gz JFCMEBJBCHNXQB-ZIAGYGMSSA-N 0 0 299.330 2.858 20 5 CFBDRN CCSC1(CNc2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000596510029 349924871 /nfs/dbraw/zinc/92/48/71/349924871.db2.gz ACTAZCCBHRKMAQ-UHFFFAOYSA-N 0 0 267.354 2.996 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596510213 349924945 /nfs/dbraw/zinc/92/49/45/349924945.db2.gz OWJGHCDJSNPQRM-KGLIPLIRSA-N 0 0 292.335 2.709 20 5 CFBDRN COc1cccc([C@H](C)Nc2ncc([N+](=O)[O-])c(C)n2)c1 ZINC000295542660 199556439 /nfs/dbraw/zinc/55/64/39/199556439.db2.gz OMQBMDXHSVPEHZ-VIFPVBQESA-N 0 0 288.307 2.875 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3C[C@H]3C2)c(C(F)(F)F)c1 ZINC000596511859 349925503 /nfs/dbraw/zinc/92/55/03/349925503.db2.gz RHNRTOZBILOLOP-YUMQZZPRSA-N 0 0 287.241 2.855 20 5 CFBDRN CC1(C)[C@@H](CNc2cccnc2[N+](=O)[O-])C1(F)F ZINC000596513484 349926697 /nfs/dbraw/zinc/92/66/97/349926697.db2.gz YTCGUMHUXBLRTA-MRVPVSSYSA-N 0 0 257.240 2.693 20 5 CFBDRN CC1(C)[C@H](CNc2ccc([N+](=O)[O-])cn2)C1(F)F ZINC000596513431 349926729 /nfs/dbraw/zinc/92/67/29/349926729.db2.gz WLTRQUGFSOJRLH-QMMMGPOBSA-N 0 0 257.240 2.693 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1C(C)(C)C1(F)F ZINC000596512263 349926155 /nfs/dbraw/zinc/92/61/55/349926155.db2.gz BRQPIKAOFZEMPY-MRVPVSSYSA-N 0 0 288.298 2.823 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N1CC[C@@H]2C[C@@H]2C1 ZINC000596513149 349926195 /nfs/dbraw/zinc/92/61/95/349926195.db2.gz ZVGMJSONGPTHJS-HTQZYQBOSA-N 0 0 298.140 2.599 20 5 CFBDRN Cc1cc(N[C@@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000044500757 232174613 /nfs/dbraw/zinc/17/46/13/232174613.db2.gz PETDOLABPGSJMD-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN CC(=O)c1ccc(NCC2CCC(O)CC2)c([N+](=O)[O-])c1 ZINC000596516047 349927251 /nfs/dbraw/zinc/92/72/51/349927251.db2.gz SRHMOLQNJXMUEY-UHFFFAOYSA-N 0 0 292.335 2.760 20 5 CFBDRN CCOC(=O)c1ccnc(NCC2=CCCC2)c1[N+](=O)[O-] ZINC000596518754 349927754 /nfs/dbraw/zinc/92/77/54/349927754.db2.gz DIWMMYGAZIIIRR-UHFFFAOYSA-N 0 0 291.307 2.689 20 5 CFBDRN CC(C)(C)N1C[C@H](CNc2ccc([N+](=O)[O-])cc2)CC1=O ZINC000596518781 349927875 /nfs/dbraw/zinc/92/78/75/349927875.db2.gz FFECLRCLJBHMCX-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c(N)c1F)C1CCCC1 ZINC000596525995 349929618 /nfs/dbraw/zinc/92/96/18/349929618.db2.gz NVGVTNPVYSAUSC-GFCCVEGCSA-N 0 0 297.330 2.933 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000596529342 349931287 /nfs/dbraw/zinc/93/12/87/349931287.db2.gz RCQOILHBSRTFNO-GFCCVEGCSA-N 0 0 283.303 2.746 20 5 CFBDRN Cc1noc([C@@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000340909643 282405711 /nfs/dbraw/zinc/40/57/11/282405711.db2.gz ZYFKWJPHWDFFBP-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN CN1CCN(c2ccc(N)cc2[N+](=O)[O-])Cc2ccccc21 ZINC000283418868 282966887 /nfs/dbraw/zinc/96/68/87/282966887.db2.gz AQLSDLKOOMXVSG-UHFFFAOYSA-N 0 0 298.346 2.633 20 5 CFBDRN COc1cc(NC[C@@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000596537507 349934749 /nfs/dbraw/zinc/93/47/49/349934749.db2.gz AMOOXTCWDWHFKO-JTQLQIEISA-N 0 0 280.324 2.830 20 5 CFBDRN CO[C@H](CNc1ncccc1[N+](=O)[O-])C1CCCCC1 ZINC000596539605 349934967 /nfs/dbraw/zinc/93/49/67/349934967.db2.gz GFPDZNMDOFARKC-CYBMUJFWSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@H]1CN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C[C@H]1C ZINC000334919091 290757698 /nfs/dbraw/zinc/75/76/98/290757698.db2.gz DPSICMDJLKWGDV-AOOOYVTPSA-N 0 0 287.319 2.804 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)c2nccn2C)n1 ZINC000596544113 349937150 /nfs/dbraw/zinc/93/71/50/349937150.db2.gz YMWNJAJDIDMUBE-JTQLQIEISA-N 0 0 275.312 2.513 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000596541730 349935952 /nfs/dbraw/zinc/93/59/52/349935952.db2.gz DHMWHRYBERJAFP-MRVPVSSYSA-N 0 0 296.352 2.531 20 5 CFBDRN Cc1cc(N[C@@H](C)c2nccn2C)c(F)cc1[N+](=O)[O-] ZINC000596543419 349936582 /nfs/dbraw/zinc/93/65/82/349936582.db2.gz TXGPAPWFBZSELJ-VIFPVBQESA-N 0 0 278.287 2.949 20 5 CFBDRN Cc1cccc2c1CCC[C@@H]2Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000596541958 349936607 /nfs/dbraw/zinc/93/66/07/349936607.db2.gz FBLNIHGARXAUKA-LBPRGKRZSA-N 0 0 299.334 2.595 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1ccc([N+](=O)[O-])s1 ZINC000596544718 349937342 /nfs/dbraw/zinc/93/73/42/349937342.db2.gz PFYFHKMHRZKZSL-QMMMGPOBSA-N 0 0 256.327 2.883 20 5 CFBDRN Nc1c(C(=O)Nc2ccccc2F)cccc1[N+](=O)[O-] ZINC000157272253 232236277 /nfs/dbraw/zinc/23/62/77/232236277.db2.gz CBWZXLWZIFFBBV-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1nc(C2CCCC2)no1 ZINC000162032968 232254460 /nfs/dbraw/zinc/25/44/60/232254460.db2.gz YJGLINATFZUYPD-UHFFFAOYSA-N 0 0 290.279 2.609 20 5 CFBDRN Cc1cc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2C)on1 ZINC000162835011 232255927 /nfs/dbraw/zinc/25/59/27/232255927.db2.gz YNFFLSQADVHMHF-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cc1sc(C(=O)NCC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000160122974 232247370 /nfs/dbraw/zinc/24/73/70/232247370.db2.gz DVPQNAYPJLJXHR-UHFFFAOYSA-N 0 0 280.349 2.885 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CC1(O)CCCC1 ZINC000173612450 232278456 /nfs/dbraw/zinc/27/84/56/232278456.db2.gz FDUWUMVBEAKYEK-UHFFFAOYSA-N 0 0 292.335 2.845 20 5 CFBDRN CN(CC1=CCSC1)c1ccccc1[N+](=O)[O-] ZINC000596556835 349941157 /nfs/dbraw/zinc/94/11/57/349941157.db2.gz MESAWLNTRYRANV-UHFFFAOYSA-N 0 0 250.323 2.704 20 5 CFBDRN CC[C@@H](CNc1cc(OC)c([N+](=O)[O-])cc1F)OC ZINC000290746197 197805287 /nfs/dbraw/zinc/80/52/87/197805287.db2.gz RYGLVLXZSQRJPS-QMMMGPOBSA-N 0 0 272.276 2.579 20 5 CFBDRN Cc1ccc(F)cc1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000175682616 232318414 /nfs/dbraw/zinc/31/84/14/232318414.db2.gz VQSBHRIJGCZOJY-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=C(CCc1ccoc1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000177093885 232350236 /nfs/dbraw/zinc/35/02/36/232350236.db2.gz CWONFVNKONYTGS-UHFFFAOYSA-N 0 0 286.287 2.710 20 5 CFBDRN Cc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1O ZINC000178768110 232388497 /nfs/dbraw/zinc/38/84/97/232388497.db2.gz PPJMSIPABYCBCB-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCC(=O)N1CCCCC1 ZINC000085289169 179005417 /nfs/dbraw/zinc/00/54/17/179005417.db2.gz IGMGODLYQRDHIA-UHFFFAOYSA-N 0 0 291.351 2.636 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000075631831 347089295 /nfs/dbraw/zinc/08/92/95/347089295.db2.gz GVXRDTPQEOGNPC-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCOCCF ZINC000596568655 349943876 /nfs/dbraw/zinc/94/38/76/349943876.db2.gz BCGAHVQTTWXODS-UHFFFAOYSA-N 0 0 262.668 2.646 20 5 CFBDRN CN(CC(=O)NC(C)(C)C)c1ccc([N+](=O)[O-])cc1Cl ZINC000007365476 346218134 /nfs/dbraw/zinc/21/81/34/346218134.db2.gz HQXMYLWWGLJONE-UHFFFAOYSA-N 0 0 299.758 2.599 20 5 CFBDRN C[C@]1(C(F)F)CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000596571026 349944494 /nfs/dbraw/zinc/94/44/94/349944494.db2.gz CTZWAMOLBGOJJQ-SNVBAGLBSA-N 0 0 278.280 2.517 20 5 CFBDRN C[C@@]1(C(F)F)CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000596571498 349944547 /nfs/dbraw/zinc/94/45/47/349944547.db2.gz GNWZCCLPVREYFA-LBPRGKRZSA-N 0 0 290.241 2.594 20 5 CFBDRN CN(Cc1cccnc1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000008052224 346221213 /nfs/dbraw/zinc/22/12/13/346221213.db2.gz HDAPVOUSHPOLCN-UHFFFAOYSA-N 0 0 288.263 2.534 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])s1)c1ccccc1 ZINC000015349153 346235869 /nfs/dbraw/zinc/23/58/69/346235869.db2.gz TYFKOUFOBPTWIQ-UHFFFAOYSA-N 0 0 262.290 2.933 20 5 CFBDRN Cc1cc(C)c(CNc2ccc(F)cc2[N+](=O)[O-])c(=O)[nH]1 ZINC000008375171 346223904 /nfs/dbraw/zinc/22/39/04/346223904.db2.gz PSOPDLLBNMDGEL-UHFFFAOYSA-N 0 0 291.282 2.651 20 5 CFBDRN O=C1c2cccc([N+](=O)[O-])c2C(=O)N1CC1CCCCC1 ZINC000009085059 346225309 /nfs/dbraw/zinc/22/53/09/346225309.db2.gz STDMNYAOVSXUMX-UHFFFAOYSA-N 0 0 288.303 2.771 20 5 CFBDRN Cc1ccc(NC(=O)CN(C)c2ccccc2)cc1[N+](=O)[O-] ZINC000016446077 346238570 /nfs/dbraw/zinc/23/85/70/346238570.db2.gz MZUZAKIQEVSYLA-UHFFFAOYSA-N 0 0 299.330 2.978 20 5 CFBDRN CNc1ccc(C(=O)N(C)Cc2ccccc2)cc1[N+](=O)[O-] ZINC000017334004 346240558 /nfs/dbraw/zinc/24/05/58/346240558.db2.gz QMDILBNSQWXWAV-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN CC12CC(C1)CN2c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000596575599 349945672 /nfs/dbraw/zinc/94/56/72/349945672.db2.gz VOHHUUHCWZQQAS-UHFFFAOYSA-N 0 0 273.336 2.857 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000020448710 346244236 /nfs/dbraw/zinc/24/42/36/346244236.db2.gz NFJFTFZENAEYED-JTQLQIEISA-N 0 0 274.276 2.987 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000020538838 346244875 /nfs/dbraw/zinc/24/48/75/346244875.db2.gz GHZZYLLBIFXVPR-JTQLQIEISA-N 0 0 286.291 2.873 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@H]2c2ccncc2)nc1 ZINC000596576039 349946287 /nfs/dbraw/zinc/94/62/87/349946287.db2.gz YMIVNEFQPOKLHA-CYBMUJFWSA-N 0 0 297.318 2.511 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC2CC1(C)C2 ZINC000596575813 349946427 /nfs/dbraw/zinc/94/64/27/349946427.db2.gz ZJAAPEWBOUPLHG-UHFFFAOYSA-N 0 0 290.319 2.679 20 5 CFBDRN CCCCCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000025342683 346259335 /nfs/dbraw/zinc/25/93/35/346259335.db2.gz FLJZNJWHTCHSRH-UHFFFAOYSA-N 0 0 256.327 2.966 20 5 CFBDRN O=C(CCc1cccnc1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029889931 346284715 /nfs/dbraw/zinc/28/47/15/346284715.db2.gz YHGJWBVAZGKLCK-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN COc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1F ZINC000025720637 346261428 /nfs/dbraw/zinc/26/14/28/346261428.db2.gz YERGPENRFDJRSH-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc3c(c2)OCO3)cc1 ZINC000026760364 346266079 /nfs/dbraw/zinc/26/60/79/346266079.db2.gz ODHRESMQALBJRU-UHFFFAOYSA-N 0 0 286.287 2.978 20 5 CFBDRN COc1ccc(C)cc1CNc1ccc([N+](=O)[O-])cn1 ZINC000026728634 346266314 /nfs/dbraw/zinc/26/63/14/346266314.db2.gz SNCSPOVLKYPTOA-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1ccc(F)cc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000027344660 346268673 /nfs/dbraw/zinc/26/86/73/346268673.db2.gz XJYRGKGJMGRSTM-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COC[C@H](C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000027471111 346269746 /nfs/dbraw/zinc/26/97/46/346269746.db2.gz CPWIBPLKOWOILV-QMMMGPOBSA-N 0 0 294.332 2.574 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccs1 ZINC000027626752 346270566 /nfs/dbraw/zinc/27/05/66/346270566.db2.gz OGUSEYCZARUVDG-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@@H]1CCc2ccccc21 ZINC000027825878 346272356 /nfs/dbraw/zinc/27/23/56/346272356.db2.gz SPLLMLMTOHSJHO-CQSZACIVSA-N 0 0 299.330 2.693 20 5 CFBDRN CCOc1ccc([C@H](C)Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000028805337 346277036 /nfs/dbraw/zinc/27/70/36/346277036.db2.gz JGMPRDVYRKJCBR-JTQLQIEISA-N 0 0 290.323 2.900 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cccc(Br)c2)cn1 ZINC000029211358 346279728 /nfs/dbraw/zinc/27/97/28/346279728.db2.gz QNUGTODLYIPFCH-UHFFFAOYSA-N 0 0 282.097 2.602 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)c1cc([N+](=O)[O-])cn1C ZINC000029431437 346281245 /nfs/dbraw/zinc/28/12/45/346281245.db2.gz TZIYWIKTMYPUDG-LLVKDONJSA-N 0 0 281.356 2.880 20 5 CFBDRN CC(=O)Nc1nc(COc2ccc([N+](=O)[O-])cc2)cs1 ZINC000032856739 346308090 /nfs/dbraw/zinc/30/80/90/346308090.db2.gz DAIGOHJIYJGVNI-UHFFFAOYSA-N 0 0 293.304 2.589 20 5 CFBDRN CN(C)c1ccc(NCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000036988735 346325920 /nfs/dbraw/zinc/32/59/20/346325920.db2.gz XPGAHCRILSUKIB-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN C[C@H](Cc1nc(-c2ccc([N+](=O)[O-])o2)no1)[C@H]1CCCO1 ZINC000596600787 349953704 /nfs/dbraw/zinc/95/37/04/349953704.db2.gz JQPMHESWNSWKLR-RKDXNWHRSA-N 0 0 293.279 2.595 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000041477679 346352456 /nfs/dbraw/zinc/35/24/56/346352456.db2.gz ITFFZJQZIUSNDU-SNVBAGLBSA-N 0 0 250.298 2.855 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000042568340 346367527 /nfs/dbraw/zinc/36/75/27/346367527.db2.gz LTQKRGQXOMHWGT-QMMMGPOBSA-N 0 0 254.311 2.671 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H](CO)Cc1ccccc1 ZINC000042103586 346360979 /nfs/dbraw/zinc/36/09/79/346360979.db2.gz PUWZSJNJSSRFFF-CQSZACIVSA-N 0 0 286.331 2.858 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000042140987 346361910 /nfs/dbraw/zinc/36/19/10/346361910.db2.gz TZIFYUNRFAMJGI-VIFPVBQESA-N 0 0 254.286 2.742 20 5 CFBDRN Cc1cncc(COc2ncc(C)cc2[N+](=O)[O-])c1 ZINC000189853764 232474865 /nfs/dbraw/zinc/47/48/65/232474865.db2.gz KRNFEADDJJIRKB-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(C3CC3)[nH]n2)cc1[N+](=O)[O-] ZINC000044846580 346399606 /nfs/dbraw/zinc/39/96/06/346399606.db2.gz MNCAMXZCLOMAIF-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1cccnc1 ZINC000044918430 346400974 /nfs/dbraw/zinc/40/09/74/346400974.db2.gz OCGVATMLPMFKJR-MRVPVSSYSA-N 0 0 277.305 2.542 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000045204960 346405360 /nfs/dbraw/zinc/40/53/60/346405360.db2.gz MWPPDQKTXKMHGP-DGCLKSJQSA-N 0 0 276.336 2.832 20 5 CFBDRN CCCCN(C(=O)c1ccc([N+](=O)[O-])o1)C1CC1 ZINC000045434411 346408516 /nfs/dbraw/zinc/40/85/16/346408516.db2.gz YPFWGLNCTXBJKV-UHFFFAOYSA-N 0 0 252.270 2.593 20 5 CFBDRN CCN(CCc1ccccc1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000045498682 346410062 /nfs/dbraw/zinc/41/00/62/346410062.db2.gz ILLVCBCVTDEHJM-UHFFFAOYSA-N 0 0 287.319 2.628 20 5 CFBDRN CCc1ncncc1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000044332779 346391772 /nfs/dbraw/zinc/39/17/72/346391772.db2.gz XGRGGWNNSJOULH-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CC(=O)c1csc(NC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000044361182 346392771 /nfs/dbraw/zinc/39/27/71/346392771.db2.gz VDVGGIGXRXDGKO-UHFFFAOYSA-N 0 0 297.317 2.568 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000044464856 346394121 /nfs/dbraw/zinc/39/41/21/346394121.db2.gz NKPUVPKDGKGRHD-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN O=C([C@@H]1C[C@@H]1[N+](=O)[O-])N1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000044479402 346394269 /nfs/dbraw/zinc/39/42/69/346394269.db2.gz YECYTASDHZNNMN-UPJWGTAASA-N 0 0 294.738 2.669 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(C)n1 ZINC000045547068 346411532 /nfs/dbraw/zinc/41/15/32/346411532.db2.gz ICSVOCQMZKCIHQ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H](O)c1ccco1 ZINC000075668657 347091069 /nfs/dbraw/zinc/09/10/69/347091069.db2.gz OHGLYUQBRDZMFC-LLVKDONJSA-N 0 0 282.683 2.987 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@H]1CCCO1 ZINC000046162313 346421336 /nfs/dbraw/zinc/42/13/36/346421336.db2.gz OFOJYUAHROECJC-LLVKDONJSA-N 0 0 294.307 2.501 20 5 CFBDRN Cc1cc(CN(C)Cc2ccccc2[N+](=O)[O-])no1 ZINC000046599016 346429494 /nfs/dbraw/zinc/42/94/94/346429494.db2.gz KALURTHCZXLOAE-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN O=C(Cn1nccc1[N+](=O)[O-])Nc1cccc2ccccc21 ZINC000047169199 346445765 /nfs/dbraw/zinc/44/57/65/346445765.db2.gz GRABYJGHEWTZMB-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN CC(C)n1nnnc1SCc1csc([N+](=O)[O-])c1 ZINC000047172189 346445950 /nfs/dbraw/zinc/44/59/50/346445950.db2.gz XORSSUXWTIPUOP-UHFFFAOYSA-N 0 0 285.354 2.516 20 5 CFBDRN Cc1ccc(CNC(=O)c2cccc([N+](=O)[O-])c2C)cn1 ZINC000047556655 346453877 /nfs/dbraw/zinc/45/38/77/346453877.db2.gz RUAZHMWGWSGWJE-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CNc1ccc(C(=O)NCC2CCCC2)cc1[N+](=O)[O-] ZINC000047846483 346459354 /nfs/dbraw/zinc/45/93/54/346459354.db2.gz LGZOPDGUGDWERX-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN Cc1cccc(CNCc2ccc([N+](=O)[O-])cc2)n1 ZINC000048329727 346471890 /nfs/dbraw/zinc/47/18/90/346471890.db2.gz GKDQPNBGTSGHSM-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN C[C@@H]1CN(CCCNc2ccc([N+](=O)[O-])s2)C[C@@H](C)O1 ZINC000048999600 346478494 /nfs/dbraw/zinc/47/84/94/346478494.db2.gz LGJHUYSWVOHJRH-GHMZBOCLSA-N 0 0 299.396 2.568 20 5 CFBDRN COc1ncccc1CNc1ccsc1[N+](=O)[O-] ZINC000049056958 346479041 /nfs/dbraw/zinc/47/90/41/346479041.db2.gz ASJQITQUXYAPCL-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN Cc1cc(NCCc2nnc3ccccn32)ccc1[N+](=O)[O-] ZINC000051424487 346504322 /nfs/dbraw/zinc/50/43/22/346504322.db2.gz PTYCOSFNUYPRJA-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN COc1ccc(CNCc2cccc(C)n2)cc1[N+](=O)[O-] ZINC000051576476 346505076 /nfs/dbraw/zinc/50/50/76/346505076.db2.gz GPZYEFWYNKEYNU-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN CC[C@@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])CCO1 ZINC000051677580 346506466 /nfs/dbraw/zinc/50/64/66/346506466.db2.gz CJDLRJXZFFIMMS-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1nn(C)c(Nc2ccc(N(C)C)c(C)c2)c1[N+](=O)[O-] ZINC000265117304 232527358 /nfs/dbraw/zinc/52/73/58/232527358.db2.gz JBPOKWZGVJYOQU-UHFFFAOYSA-N 0 0 289.339 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2ccc3c(c2)OCC3)c1 ZINC000596647341 349967743 /nfs/dbraw/zinc/96/77/43/349967743.db2.gz GICUIDHDXLTLFY-UHFFFAOYSA-N 0 0 285.299 2.732 20 5 CFBDRN Cc1c(CO)cccc1-c1cc([N+](=O)[O-])ccc1CCO ZINC000596647390 349967854 /nfs/dbraw/zinc/96/78/54/349967854.db2.gz IQYGPENPVBFBAS-UHFFFAOYSA-N 0 0 287.315 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2ccc3c(c2)CCO3)c1 ZINC000596647580 349967864 /nfs/dbraw/zinc/96/78/64/349967864.db2.gz QGCKAPVISDHBEJ-UHFFFAOYSA-N 0 0 285.299 2.732 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N(Cc1ccncc1)C1CC1 ZINC000050821910 346497881 /nfs/dbraw/zinc/49/78/81/346497881.db2.gz JHPDIRHBFAMFTQ-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN Cc1cc(C)cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000051201622 346500618 /nfs/dbraw/zinc/50/06/18/346500618.db2.gz BJHSTQWNLVCXSS-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN O=C(N[C@@H](c1ccccc1)C1CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000054212191 346562743 /nfs/dbraw/zinc/56/27/43/346562743.db2.gz JXGTVJYKZCBRHZ-AWEZNQCLSA-N 0 0 285.303 2.804 20 5 CFBDRN CC[C@H](COC)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000085636986 179109807 /nfs/dbraw/zinc/10/98/07/179109807.db2.gz UCNKQQTYODDERI-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1)C1Cc2ccccc2C1 ZINC000055626726 346594030 /nfs/dbraw/zinc/59/40/30/346594030.db2.gz RGQRDLAESIUZSG-UHFFFAOYSA-N 0 0 296.326 2.834 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000056315757 346606089 /nfs/dbraw/zinc/60/60/89/346606089.db2.gz IHTCCSXGDZSIQO-GFCCVEGCSA-N 0 0 286.287 2.914 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@H](Nc2ccccc2)C1 ZINC000060369853 346663838 /nfs/dbraw/zinc/66/38/38/346663838.db2.gz XOLBSAUJEXVKMH-CYBMUJFWSA-N 0 0 284.319 2.681 20 5 CFBDRN CCCOc1ncccc1CNc1ccncc1[N+](=O)[O-] ZINC000060375350 346664433 /nfs/dbraw/zinc/66/44/33/346664433.db2.gz YUACXGZUSRIQJC-UHFFFAOYSA-N 0 0 288.307 2.786 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])cc2F)no1 ZINC000060807069 346671445 /nfs/dbraw/zinc/67/14/45/346671445.db2.gz QGGQNYHXPLNHDA-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc3nccn3c2)cc1[N+](=O)[O-] ZINC000057778201 346636706 /nfs/dbraw/zinc/63/67/06/346636706.db2.gz VNLDPTYSFHXZDU-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCN(CC(F)F)CC2)s1 ZINC000057857240 346638716 /nfs/dbraw/zinc/63/87/16/346638716.db2.gz XPCCKJKJERBTDS-UHFFFAOYSA-N 0 0 291.323 2.798 20 5 CFBDRN CCCN(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000063678587 346706434 /nfs/dbraw/zinc/70/64/34/346706434.db2.gz MVEOOPKLIKIFSI-UHFFFAOYSA-N 0 0 261.281 2.558 20 5 CFBDRN Nc1nc(NCc2cccc3ccccc32)ncc1[N+](=O)[O-] ZINC000063736144 346709196 /nfs/dbraw/zinc/70/91/96/346709196.db2.gz CZGJVIZAFPCNBU-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN CN(CC1CCCC1)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000064088462 346715159 /nfs/dbraw/zinc/71/51/59/346715159.db2.gz UHONQRBCLGFJBE-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CCOc1ccccc1Cn1c(C)ncc1[N+](=O)[O-] ZINC000064331974 346717865 /nfs/dbraw/zinc/71/78/65/346717865.db2.gz ADENSHKWLXLQDR-UHFFFAOYSA-N 0 0 261.281 2.547 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1ccsc1 ZINC000061364623 346677743 /nfs/dbraw/zinc/67/77/43/346677743.db2.gz VUDOUYXYBSKLMU-SSDOTTSWSA-N 0 0 252.299 2.563 20 5 CFBDRN Cc1cnc(N(C)CCc2ccccc2[N+](=O)[O-])nc1C ZINC000286535373 232569937 /nfs/dbraw/zinc/56/99/37/232569937.db2.gz IHOOKAKRJPAGNS-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000063254484 346698679 /nfs/dbraw/zinc/69/86/79/346698679.db2.gz QMXOILXDXKYXNI-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN Cc1ccoc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000063446859 346700095 /nfs/dbraw/zinc/70/00/95/346700095.db2.gz QIHMWSDBOXURRQ-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000063446803 346700297 /nfs/dbraw/zinc/70/02/97/346700297.db2.gz BHUKQXFWBBALLX-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000063447226 346700659 /nfs/dbraw/zinc/70/06/59/346700659.db2.gz SYIOUITUIOVEHQ-UHFFFAOYSA-N 0 0 281.293 2.822 20 5 CFBDRN CC(C)n1cc(NC(=O)c2cccc([N+](=O)[O-])c2)cn1 ZINC000066388926 346758049 /nfs/dbraw/zinc/75/80/49/346758049.db2.gz MMHXOMNZIBRNSP-UHFFFAOYSA-N 0 0 274.280 2.625 20 5 CFBDRN Cc1ncsc1CN(C)c1ccc([N+](=O)[O-])cc1 ZINC000066467528 346762800 /nfs/dbraw/zinc/76/28/00/346762800.db2.gz OOAINLBRBMZTAV-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(OS(=O)(=O)C2CCCCC2)cc1 ZINC000066568710 346768636 /nfs/dbraw/zinc/76/86/36/346768636.db2.gz VJNXAOXPVCTYFQ-UHFFFAOYSA-N 0 0 285.321 2.636 20 5 CFBDRN Cc1nn(C)c(NCCc2ccc(C)cc2C)c1[N+](=O)[O-] ZINC000065280820 346731836 /nfs/dbraw/zinc/73/18/36/346731836.db2.gz OHWZJWBMFGZTBY-UHFFFAOYSA-N 0 0 288.351 2.908 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000065536112 346736227 /nfs/dbraw/zinc/73/62/27/346736227.db2.gz CLNPFOVETSDNPB-JTQLQIEISA-N 0 0 266.297 2.739 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc2c(c1)OCCO2 ZINC000066796242 346779854 /nfs/dbraw/zinc/77/98/54/346779854.db2.gz OMDQNJOKLBTAJK-UHFFFAOYSA-N 0 0 273.248 2.505 20 5 CFBDRN Cc1cc(=O)n(CCC2CCCC2)cc1[N+](=O)[O-] ZINC000128424819 187370024 /nfs/dbraw/zinc/37/00/24/187370024.db2.gz IRWZUIOZHXKICV-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C(C)C ZINC000128440221 187370231 /nfs/dbraw/zinc/37/02/31/187370231.db2.gz YAAJGYLUAKWEDH-UHFFFAOYSA-N 0 0 251.286 2.775 20 5 CFBDRN CC(C)(C)SCCNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000066817506 346781695 /nfs/dbraw/zinc/78/16/95/346781695.db2.gz MLRJAYYEGLHGRA-UHFFFAOYSA-N 0 0 297.380 2.637 20 5 CFBDRN CCO[C@H]1CCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000076399866 347136533 /nfs/dbraw/zinc/13/65/33/347136533.db2.gz KITNUCONISAYGU-NSHDSACASA-N 0 0 282.315 2.735 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC2CC(F)(F)C2)c1 ZINC000291835307 232599666 /nfs/dbraw/zinc/59/96/66/232599666.db2.gz LLYCYWFAWNPRQZ-UHFFFAOYSA-N 0 0 257.240 2.634 20 5 CFBDRN CSCc1ccc(Nc2ncc([N+](=O)[O-])c(N)n2)cc1 ZINC000076270100 347132037 /nfs/dbraw/zinc/13/20/37/347132037.db2.gz APXBZVRCTUROTQ-UHFFFAOYSA-N 0 0 291.336 2.574 20 5 CFBDRN Nc1nc(N[C@H](c2ccccc2)C2CC2)ncc1[N+](=O)[O-] ZINC000076267614 347132056 /nfs/dbraw/zinc/13/20/56/347132056.db2.gz DVPJOLSMPIXLJG-GFCCVEGCSA-N 0 0 285.307 2.530 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000076291033 347133057 /nfs/dbraw/zinc/13/30/57/347133057.db2.gz HJBJJCULFQRCIP-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc(NC[C@H](C)C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000077228400 347177837 /nfs/dbraw/zinc/17/78/37/347177837.db2.gz ZKRGNTDODYNOPL-MWLCHTKSSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc2nc(-c3cccc([N+](=O)[O-])c3)[nH]c(=O)c2c1 ZINC000077257353 347181586 /nfs/dbraw/zinc/18/15/86/347181586.db2.gz OGZFBHICZDRQFB-UHFFFAOYSA-N 0 0 281.271 2.807 20 5 CFBDRN COC(C)(C)C[C@H](C)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000078401932 347249990 /nfs/dbraw/zinc/24/99/90/347249990.db2.gz SMJNEGVNIBMCOH-QMMMGPOBSA-N 0 0 298.368 2.920 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1ncnc2c1CCC2 ZINC000078454679 347253814 /nfs/dbraw/zinc/25/38/14/347253814.db2.gz ZFRVDVAOHGQPJI-UHFFFAOYSA-N 0 0 284.319 2.528 20 5 CFBDRN CCCCN(CC)c1nccc(C(=O)OCC)c1[N+](=O)[O-] ZINC000077902752 347219046 /nfs/dbraw/zinc/21/90/46/347219046.db2.gz ILUBPBGZFMBAKZ-UHFFFAOYSA-N 0 0 295.339 2.793 20 5 CFBDRN CCOC(=O)c1ccnc(N(C)CCC(C)C)c1[N+](=O)[O-] ZINC000077931223 347220547 /nfs/dbraw/zinc/22/05/47/347220547.db2.gz UXSDLRKTMYPGGP-UHFFFAOYSA-N 0 0 295.339 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc(-n3cccn3)cc2)nc1 ZINC000077985247 347222361 /nfs/dbraw/zinc/22/23/61/347222361.db2.gz LELUODLCFZENDB-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN O=[N+]([O-])c1ccccc1Oc1ccc(-n2cncn2)cc1 ZINC000077981946 347222820 /nfs/dbraw/zinc/22/28/20/347222820.db2.gz DMJBRXBQBSUAPD-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN Cc1ccnc(NCc2ccn(C(C)C)n2)c1[N+](=O)[O-] ZINC000077993230 347223234 /nfs/dbraw/zinc/22/32/34/347223234.db2.gz NOAHGXIGEPXEIU-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000078027718 347225678 /nfs/dbraw/zinc/22/56/78/347225678.db2.gz YDAUQPJEOWAOAF-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1ccc2c(c1)OCO2 ZINC000078432677 347252956 /nfs/dbraw/zinc/25/29/56/347252956.db2.gz ULGBDIBSOTZSHW-SECBINFHSA-N 0 0 287.275 2.892 20 5 CFBDRN Cc1c(CO)cc([N+](=O)[O-])c(NC2CCCC2)c1[N+](=O)[O-] ZINC000078256359 347235160 /nfs/dbraw/zinc/23/51/60/347235160.db2.gz YEFNYBQHSBSPHQ-UHFFFAOYSA-N 0 0 295.295 2.658 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000078259719 347236044 /nfs/dbraw/zinc/23/60/44/347236044.db2.gz NIQWEEMKKGQRSE-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCCO[C@H]2CCC[C@@H]21 ZINC000078265918 347236686 /nfs/dbraw/zinc/23/66/86/347236686.db2.gz BWJZBXYTAGYNGL-AAEUAGOBSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCCO[C@@H]2CCC[C@@H]21 ZINC000078265921 347236843 /nfs/dbraw/zinc/23/68/43/347236843.db2.gz BWJZBXYTAGYNGL-WCQYABFASA-N 0 0 280.299 2.882 20 5 CFBDRN CCOc1ccc(C(=O)N(C)CC(C)C)cc1[N+](=O)[O-] ZINC000078286003 347237953 /nfs/dbraw/zinc/23/79/53/347237953.db2.gz PFMNSZIOLYNWPM-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CCC1(O)CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 ZINC000078453424 347253566 /nfs/dbraw/zinc/25/35/66/347253566.db2.gz SYNSEQFXASSEPU-UHFFFAOYSA-N 0 0 292.335 2.539 20 5 CFBDRN COC[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)C(C)C ZINC000078358837 347246209 /nfs/dbraw/zinc/24/62/09/347246209.db2.gz CWXKUQBLCKXSGH-SNVBAGLBSA-N 0 0 273.720 2.726 20 5 CFBDRN C[C@H]1CN(c2nc3sccn3c2[N+](=O)[O-])C[C@H](C)S1 ZINC000078480280 347256270 /nfs/dbraw/zinc/25/62/70/347256270.db2.gz QAWKWPXLJPGFGO-YUMQZZPRSA-N 0 0 298.393 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccccc2F)cc1 ZINC000078502802 347257687 /nfs/dbraw/zinc/25/76/87/347257687.db2.gz MJGUQMRYOPFDGE-AWEZNQCLSA-N 0 0 276.267 2.879 20 5 CFBDRN CN(CCc1cccs1)c1ccc([N+](=O)[O-])nc1 ZINC000078527817 347259751 /nfs/dbraw/zinc/25/97/51/347259751.db2.gz NLOXOHXYXZWKBK-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN COc1ccc2c(c1)CN(c1ncc(C)cc1[N+](=O)[O-])CC2 ZINC000078654171 347267160 /nfs/dbraw/zinc/26/71/60/347267160.db2.gz OOCUEUQAHALPRL-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN CCc1nc(CNc2ncc(C)cc2[N+](=O)[O-])cs1 ZINC000078660814 347268214 /nfs/dbraw/zinc/26/82/14/347268214.db2.gz MSTYGYUERKRGLN-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN Cc1cnc(NC[C@@](C)(O)CCC(C)C)c([N+](=O)[O-])c1 ZINC000078667201 347269018 /nfs/dbraw/zinc/26/90/18/347269018.db2.gz XHDCCXHDJLYRRL-AWEZNQCLSA-N 0 0 281.356 2.897 20 5 CFBDRN CC[C@@H]1CN(c2ncc(C)cc2[N+](=O)[O-])CCS1 ZINC000078671210 347269782 /nfs/dbraw/zinc/26/97/82/347269782.db2.gz DOEYRHMIXKBABJ-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2ncc(-c3ccc(F)cc3)o2)c1 ZINC000078889807 347278679 /nfs/dbraw/zinc/27/86/79/347278679.db2.gz IRPQPFCIRLDOMM-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000079853238 347329258 /nfs/dbraw/zinc/32/92/58/347329258.db2.gz QZPDUIBPZOICDF-GFCCVEGCSA-N 0 0 250.298 2.518 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCNc1ncccn1 ZINC000079884958 347330674 /nfs/dbraw/zinc/33/06/74/347330674.db2.gz YSPAFYSWOSTFJX-UHFFFAOYSA-N 0 0 293.714 2.562 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000079450314 347305182 /nfs/dbraw/zinc/30/51/82/347305182.db2.gz FMXDOXAGWLYYSE-DGCLKSJQSA-N 0 0 274.320 2.920 20 5 CFBDRN CCC[C@H]1[C@@H](C)CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000081180325 347406804 /nfs/dbraw/zinc/40/68/04/347406804.db2.gz VZHCECLVGCELFD-GWCFXTLKSA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1cc(N2CCC(O)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000080533347 347364550 /nfs/dbraw/zinc/36/45/50/347364550.db2.gz DILRZHYRBZPWFN-UHFFFAOYSA-N 0 0 270.716 2.518 20 5 CFBDRN Cc1cc(N2CCC[C@@H](O)C2)c(Cl)cc1[N+](=O)[O-] ZINC000080530852 347364630 /nfs/dbraw/zinc/36/46/30/347364630.db2.gz UDLSSWNRRVTFLD-SECBINFHSA-N 0 0 270.716 2.518 20 5 CFBDRN Cc1cc(N2CCc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000080584483 347367638 /nfs/dbraw/zinc/36/76/38/347367638.db2.gz KFMJYTVHAWBGIX-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CCOC1CCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000080582389 347367648 /nfs/dbraw/zinc/36/76/48/347367648.db2.gz RRBZTWRGZVBPSS-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN COC(=O)N1CCC[C@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000080610905 347369663 /nfs/dbraw/zinc/36/96/63/347369663.db2.gz SPEDXMMZKIAGBV-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080638623 347371915 /nfs/dbraw/zinc/37/19/15/347371915.db2.gz OHEGAHPMUUZTQE-QMTHXVAHSA-N 0 0 282.340 2.560 20 5 CFBDRN CN(Cc1cc2ccccc2o1)c1c([N+](=O)[O-])ncn1C ZINC000080678940 347373992 /nfs/dbraw/zinc/37/39/92/347373992.db2.gz FLZUMYVEZCUVHD-UHFFFAOYSA-N 0 0 286.291 2.711 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCc2ccccc2)cn1 ZINC000080686256 347374826 /nfs/dbraw/zinc/37/48/26/347374826.db2.gz XESAWTYMKACLBL-UHFFFAOYSA-N 0 0 273.292 2.619 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000080688017 347375442 /nfs/dbraw/zinc/37/54/42/347375442.db2.gz GMAMFBICZWPDJY-QWRGUYRKSA-N 0 0 274.324 2.932 20 5 CFBDRN Cc1ccnc(N2CCC[C@@]3(CCCOC3)C2)c1[N+](=O)[O-] ZINC000080706626 347377173 /nfs/dbraw/zinc/37/71/73/347377173.db2.gz YKLRNSANNIBVPJ-OAHLLOKOSA-N 0 0 291.351 2.695 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H](C)S[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000080953332 347388021 /nfs/dbraw/zinc/38/80/21/347388021.db2.gz YEWBQDANBVTBTM-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC12CCC2 ZINC000081416763 347417572 /nfs/dbraw/zinc/41/75/72/347417572.db2.gz XPAMNKMGSOFGFT-UHFFFAOYSA-N 0 0 260.293 2.672 20 5 CFBDRN Cc1ccc(NC(=O)NCc2ccn(C)c2)cc1[N+](=O)[O-] ZINC000081508777 347422540 /nfs/dbraw/zinc/42/25/40/347422540.db2.gz GADJXHDFERUPMM-UHFFFAOYSA-N 0 0 288.307 2.563 20 5 CFBDRN Cc1nc(C)c(NC(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000081562402 347426110 /nfs/dbraw/zinc/42/61/10/347426110.db2.gz ZFWFIDALQNKAEP-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1nc(C)c(NC(=O)Cc2ccccc2[N+](=O)[O-])s1 ZINC000081563685 347427439 /nfs/dbraw/zinc/42/74/39/347427439.db2.gz KUGHGZXBRJCAMJ-UHFFFAOYSA-N 0 0 291.332 2.849 20 5 CFBDRN Cc1noc(C)c1N(C)CCc1ccc([N+](=O)[O-])cc1 ZINC000081602455 347429195 /nfs/dbraw/zinc/42/91/95/347429195.db2.gz GJQRNWNJLLVXIR-UHFFFAOYSA-N 0 0 275.308 2.879 20 5 CFBDRN CN(CCC(C)(C)O)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000081648212 347431367 /nfs/dbraw/zinc/43/13/67/347431367.db2.gz LVFZOOVEFXNNQT-UHFFFAOYSA-N 0 0 286.759 2.841 20 5 CFBDRN CC(=O)[C@H](C)SCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000083122756 347448871 /nfs/dbraw/zinc/44/88/71/347448871.db2.gz IOUIOQOTAHQATI-VIFPVBQESA-N 0 0 297.332 2.672 20 5 CFBDRN CC(=O)[C@@H](C)SCC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC000083124200 347448917 /nfs/dbraw/zinc/44/89/17/347448917.db2.gz GOHFAUFUBBPYLW-SNVBAGLBSA-N 0 0 296.348 2.552 20 5 CFBDRN COc1ccc(CN(C)Cc2ccccc2[N+](=O)[O-])cn1 ZINC000085786089 347483269 /nfs/dbraw/zinc/48/32/69/347483269.db2.gz BQUWTZGXCVKJPG-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCCC[C@@H]1O ZINC000086015540 347483958 /nfs/dbraw/zinc/48/39/58/347483958.db2.gz NNMWTKUGYHWZGC-FZMZJTMJSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CCCC[C@H]1O ZINC000086015550 347484018 /nfs/dbraw/zinc/48/40/18/347484018.db2.gz NNMWTKUGYHWZGC-BXUZGUMPSA-N 0 0 264.325 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CS[C@@H](C)CCO ZINC000088057424 347499014 /nfs/dbraw/zinc/49/90/14/347499014.db2.gz AQJKUNFNNJWSED-VIFPVBQESA-N 0 0 271.338 2.608 20 5 CFBDRN Cc1nccc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000086625124 347488215 /nfs/dbraw/zinc/48/82/15/347488215.db2.gz RUVJWFMZRYLICQ-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1ccc([N+](=O)[O-])cc1F ZINC000089650356 347524960 /nfs/dbraw/zinc/52/49/60/347524960.db2.gz KRSRQHVBXPHDBI-SECBINFHSA-N 0 0 284.287 2.735 20 5 CFBDRN COCCNc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090065087 347537968 /nfs/dbraw/zinc/53/79/68/347537968.db2.gz QREIZOUEBCYNHH-UHFFFAOYSA-N 0 0 273.292 2.715 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000090578411 347552487 /nfs/dbraw/zinc/55/24/87/347552487.db2.gz OAFFUPZVYIHEDQ-QWRGUYRKSA-N 0 0 294.351 2.976 20 5 CFBDRN CCOc1cc(NCc2ccccc2[N+](=O)[O-])nc(C)n1 ZINC000090792319 347557659 /nfs/dbraw/zinc/55/76/59/347557659.db2.gz LNYLFMZRVGHMPM-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN COc1ccc(CSCc2ccnn2C)cc1[N+](=O)[O-] ZINC000091039049 347568402 /nfs/dbraw/zinc/56/84/02/347568402.db2.gz ZKASOTJQUJNDRH-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]1c2ccccc2C[C@H]1O ZINC000091431672 347585970 /nfs/dbraw/zinc/58/59/70/347585970.db2.gz HUYBQBRHSJWDOC-KGLIPLIRSA-N 0 0 288.278 2.804 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1c2ccccc2C[C@H]1O ZINC000091431668 347586138 /nfs/dbraw/zinc/58/61/38/347586138.db2.gz HUYBQBRHSJWDOC-ZIAGYGMSSA-N 0 0 288.278 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2c3ccccc3C[C@@H]2O)cc1 ZINC000091431657 347586279 /nfs/dbraw/zinc/58/62/79/347586279.db2.gz KBJXUFXPLTZAAW-GJZGRUSLSA-N 0 0 270.288 2.665 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@H](c2ncc[nH]2)C1 ZINC000091480180 347588977 /nfs/dbraw/zinc/58/89/77/347588977.db2.gz COOVSPUNEWZCHT-JTQLQIEISA-N 0 0 290.298 2.841 20 5 CFBDRN CCCCN(CCCO)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000091630954 347594888 /nfs/dbraw/zinc/59/48/88/347594888.db2.gz UZPQBRHYDKWZEM-UHFFFAOYSA-N 0 0 295.339 2.611 20 5 CFBDRN COc1cc(F)cc(CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000091684853 347595703 /nfs/dbraw/zinc/59/57/03/347595703.db2.gz XVLKDPMVDLSRHF-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC(C)c1nsc(Oc2cccnc2[N+](=O)[O-])n1 ZINC000092582288 347633167 /nfs/dbraw/zinc/63/31/67/347633167.db2.gz DGNNFJYUCQWSLO-UHFFFAOYSA-N 0 0 266.282 2.757 20 5 CFBDRN Cc1cc(N(C)[C@@H](C)C(=O)OC(C)C)ccc1[N+](=O)[O-] ZINC000092684401 347640343 /nfs/dbraw/zinc/64/03/43/347640343.db2.gz RHKPZFAFNDLXER-NSHDSACASA-N 0 0 280.324 2.680 20 5 CFBDRN C[C@@H]1CC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])[C@H](C)C1 ZINC000092762221 347645940 /nfs/dbraw/zinc/64/59/40/347645940.db2.gz JRHMMBAYXKGXSE-GHMZBOCLSA-N 0 0 264.325 2.921 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc2n[nH]cc2c1 ZINC000102168025 347716777 /nfs/dbraw/zinc/71/67/77/347716777.db2.gz KNXOEMBYOQDJFG-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CCOC[C@@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000093418871 347673696 /nfs/dbraw/zinc/67/36/96/347673696.db2.gz AESQCKAJTAEKIL-GFCCVEGCSA-N 0 0 294.351 2.549 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C)C[C@H]1CCCO1 ZINC000108349667 347740711 /nfs/dbraw/zinc/74/07/11/347740711.db2.gz RQCMSDWKKKWXPZ-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1cc2cnn(CC(F)F)c2c(Cl)c1 ZINC000125933914 347831127 /nfs/dbraw/zinc/83/11/27/347831127.db2.gz AVOAFKCLNGAEPS-UHFFFAOYSA-N 0 0 261.615 2.863 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000122614332 347816271 /nfs/dbraw/zinc/81/62/71/347816271.db2.gz IUNOLOBIRFWLFD-YGRLFVJLSA-N 0 0 288.307 2.846 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC(C)(C)C ZINC000124094430 347822657 /nfs/dbraw/zinc/82/26/57/347822657.db2.gz SLDZVZKAZRTKDK-UHFFFAOYSA-N 0 0 254.334 2.968 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000127153638 347839812 /nfs/dbraw/zinc/83/98/12/347839812.db2.gz UJFBXOAOMOAKGY-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN COc1cc(N2CCC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000127355804 347840769 /nfs/dbraw/zinc/84/07/69/347840769.db2.gz ZIFZHFGHYZCGAK-MRVPVSSYSA-N 0 0 254.261 2.731 20 5 CFBDRN O=C(NCCCC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000127986917 347846489 /nfs/dbraw/zinc/84/64/89/347846489.db2.gz MGYJYUOECOZREA-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000128579002 347852490 /nfs/dbraw/zinc/85/24/90/347852490.db2.gz BIOBQBSEEYLEPV-SECBINFHSA-N 0 0 263.297 2.905 20 5 CFBDRN COC[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])C(C)C ZINC000129793942 347864964 /nfs/dbraw/zinc/86/49/64/347864964.db2.gz IDXJXRXUPROQDL-VIFPVBQESA-N 0 0 273.720 2.726 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129853715 347865207 /nfs/dbraw/zinc/86/52/07/347865207.db2.gz HWECAAQWAFSKJQ-QMTHXVAHSA-N 0 0 274.320 2.742 20 5 CFBDRN CC(C)c1noc(CN(C)c2ccccc2[N+](=O)[O-])n1 ZINC000130647442 347873006 /nfs/dbraw/zinc/87/30/06/347873006.db2.gz KFIGAOXGUQIAEL-UHFFFAOYSA-N 0 0 276.296 2.738 20 5 CFBDRN CNC(=O)c1ccc(N2CCC[C@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000139998558 347923586 /nfs/dbraw/zinc/92/35/86/347923586.db2.gz VTFYDQMRSGLIMD-LBPRGKRZSA-N 0 0 291.351 2.579 20 5 CFBDRN Cn1cc(/C=C/c2nc(-c3ccc([N+](=O)[O-])cc3)no2)cn1 ZINC000140348617 347924759 /nfs/dbraw/zinc/92/47/59/347924759.db2.gz OZRVYMBXYSVPTI-FARCUNLSSA-N 0 0 297.274 2.549 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NC[C@@H]3CCCS3)nc2c1 ZINC000150105150 347955264 /nfs/dbraw/zinc/95/52/64/347955264.db2.gz JTBHOZABQSXWCZ-VIFPVBQESA-N 0 0 278.337 2.779 20 5 CFBDRN CCOC(=O)CC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000147889512 347948789 /nfs/dbraw/zinc/94/87/89/347948789.db2.gz USGOGXZJPANEHE-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN CC[C@H](Nc1ccccc1[N+](=O)[O-])[C@H](O)C(F)(F)F ZINC000270844637 190838022 /nfs/dbraw/zinc/83/80/22/190838022.db2.gz XDCZUZNIKPOBHR-XVKPBYJWSA-N 0 0 278.230 2.709 20 5 CFBDRN CSCCCC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158385890 348006168 /nfs/dbraw/zinc/00/61/68/348006168.db2.gz WWMLMTMSTZPYBE-UHFFFAOYSA-N 0 0 268.338 2.701 20 5 CFBDRN Cc1conc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000154670722 347981641 /nfs/dbraw/zinc/98/16/41/347981641.db2.gz HWMYYIAPSGKLDM-UHFFFAOYSA-N 0 0 295.682 2.726 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000171297657 348074258 /nfs/dbraw/zinc/07/42/58/348074258.db2.gz NYXROGPISVRONU-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN O=C([O-])CC[C@H]1CCC[N@H+](Cc2csc([N+](=O)[O-])c2)C1 ZINC000086809239 179340299 /nfs/dbraw/zinc/34/02/99/179340299.db2.gz KLQQBMIDMPICRV-SNVBAGLBSA-N 0 0 298.364 2.733 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1CCCCO1 ZINC000165552453 348040372 /nfs/dbraw/zinc/04/03/72/348040372.db2.gz XHHXZJXPYOWDNY-NSHDSACASA-N 0 0 267.281 2.551 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000172372767 348092163 /nfs/dbraw/zinc/09/21/63/348092163.db2.gz NCASGXYQBBWNBS-NSHDSACASA-N 0 0 279.340 2.686 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000172441683 348094399 /nfs/dbraw/zinc/09/43/99/348094399.db2.gz FIBRPLNCWHRTSG-GFCCVEGCSA-N 0 0 293.367 2.805 20 5 CFBDRN CC[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1nccs1 ZINC000172478251 348096197 /nfs/dbraw/zinc/09/61/97/348096197.db2.gz MWSGDWYHWNPUDR-QMMMGPOBSA-N 0 0 297.361 2.994 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\c1cccnc1 ZINC000216014894 348163563 /nfs/dbraw/zinc/16/35/63/348163563.db2.gz SIDGBPLVGVVZHI-ALCCZGGFSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1cccc(N2CCC[C@H](CCO)C2)c1[N+](=O)[O-] ZINC000218850822 348167927 /nfs/dbraw/zinc/16/79/27/348167927.db2.gz AHFMNDFKSHRXPU-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000227867294 348191780 /nfs/dbraw/zinc/19/17/80/348191780.db2.gz SJQRRXKLVWDUIG-NXEZZACHSA-N 0 0 288.307 2.517 20 5 CFBDRN CC1(C)CCC(O)(CNc2cccnc2[N+](=O)[O-])CC1 ZINC000228387714 348195608 /nfs/dbraw/zinc/19/56/08/348195608.db2.gz GGAYXLVNBRMASO-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN C[C@H]1CCCCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000086971080 179370747 /nfs/dbraw/zinc/37/07/47/179370747.db2.gz SJNJPKUIJKSTDA-LBPRGKRZSA-N 0 0 276.336 2.928 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC(F)(F)C(F)F)cc1 ZINC000230711835 348206953 /nfs/dbraw/zinc/20/69/53/348206953.db2.gz XNYYSVKNNUWXNI-UHFFFAOYSA-N 0 0 252.167 2.907 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC(F)(F)C(F)F ZINC000230713561 348207270 /nfs/dbraw/zinc/20/72/70/348207270.db2.gz CJBSBMSKWOQWKX-UHFFFAOYSA-N 0 0 287.600 2.956 20 5 CFBDRN C[C@@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000087004114 179377889 /nfs/dbraw/zinc/37/78/89/179377889.db2.gz OGNICVXRDSCSSS-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@H](NC(=O)NCCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000271739608 348332299 /nfs/dbraw/zinc/33/22/99/348332299.db2.gz VWYYRIOJKSUMBW-JTQLQIEISA-N 0 0 277.324 2.755 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2C[C@H]3CC[C@@H]2O3)c([N+](=O)[O-])c1 ZINC000280765451 348341878 /nfs/dbraw/zinc/34/18/78/348341878.db2.gz RCWHIOAFXJLYBZ-HCKVZZMMSA-N 0 0 290.319 2.919 20 5 CFBDRN CS[C@H](C)CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000128846165 187394432 /nfs/dbraw/zinc/39/44/32/187394432.db2.gz MLHRXPIBUGAXBG-MRVPVSSYSA-N 0 0 295.364 2.643 20 5 CFBDRN C[C@@H]1C[C@H](c2ccccc2)N(c2ncc([N+](=O)[O-])c(N)n2)C1 ZINC000286229048 348346490 /nfs/dbraw/zinc/34/64/90/348346490.db2.gz CITKJEGXDKBPCH-ZYHUDNBSSA-N 0 0 299.334 2.555 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1c(Cl)cncc1[N+](=O)[O-] ZINC000310423114 348409696 /nfs/dbraw/zinc/40/96/96/348409696.db2.gz OZMRPCGRPZKIEJ-HTRCEHHLSA-N 0 0 273.745 2.949 20 5 CFBDRN CC(C)(O)CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000310815550 348410276 /nfs/dbraw/zinc/41/02/76/348410276.db2.gz BZZWENQHVPTIOE-UHFFFAOYSA-N 0 0 260.240 2.715 20 5 CFBDRN C[C@@H](Cc1ccsc1)Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000311484747 348412855 /nfs/dbraw/zinc/41/28/55/348412855.db2.gz AQSWFUVWMRSGIT-QMMMGPOBSA-N 0 0 278.337 2.677 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@H]1CCCC[C@@H]1O ZINC000311520484 348413017 /nfs/dbraw/zinc/41/30/17/348413017.db2.gz DZMDDEVAEOKNHF-KCJUWKMLSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1cccnc1CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000311879462 348415486 /nfs/dbraw/zinc/41/54/86/348415486.db2.gz UHGCMIASLGEPHM-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CC[C@@H]1CCCN(c2ccc(N)c([N+](=O)[O-])n2)CC1 ZINC000311978001 348416087 /nfs/dbraw/zinc/41/60/87/348416087.db2.gz QEESZRQNCOEMBA-SNVBAGLBSA-N 0 0 264.329 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2cc(NC3CC3)ncn2)cc1 ZINC000413063747 233012670 /nfs/dbraw/zinc/01/26/70/233012670.db2.gz BKKFUGDWTIAOJF-UHFFFAOYSA-N 0 0 299.334 2.614 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273378546 192131723 /nfs/dbraw/zinc/13/17/23/192131723.db2.gz HZUVFOCDGPACDV-SSDOTTSWSA-N 0 0 255.249 2.654 20 5 CFBDRN CC[C@H](NC(=O)c1ccc(NC)c([N+](=O)[O-])c1)C1CC1 ZINC000323680956 348437863 /nfs/dbraw/zinc/43/78/63/348437863.db2.gz DXTZJUVKYSKLRF-NSHDSACASA-N 0 0 277.324 2.555 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(C1CCC1)C1CCC1 ZINC000324753380 348439768 /nfs/dbraw/zinc/43/97/68/348439768.db2.gz UPVBEWCMKJPSSH-UHFFFAOYSA-N 0 0 264.329 2.709 20 5 CFBDRN COc1ccc(NC(=O)NC2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000596829665 349994381 /nfs/dbraw/zinc/99/43/81/349994381.db2.gz WNYYVDIJAPUFBD-UHFFFAOYSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@H]2C[C@H]2C1 ZINC000596844377 349996617 /nfs/dbraw/zinc/99/66/17/349996617.db2.gz XCKYUVXKLNPRFR-QWRGUYRKSA-N 0 0 275.308 2.777 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000596775492 349988752 /nfs/dbraw/zinc/98/87/52/349988752.db2.gz COPALCZQWNFJOV-IACUBPJLSA-N 0 0 288.347 2.989 20 5 CFBDRN Cc1ccc(-c2noc(-c3cc([N+](=O)[O-])cnc3C)n2)o1 ZINC000350808179 348479551 /nfs/dbraw/zinc/47/95/51/348479551.db2.gz YBVHKKTULHVWCS-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN COC(=O)C[C@H](C)Sc1c(Cl)cncc1[N+](=O)[O-] ZINC000394133046 348547541 /nfs/dbraw/zinc/54/75/41/348547541.db2.gz KHSBOXPBJMVYNY-LURJTMIESA-N 0 0 290.728 2.687 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cc1nc(C2CC2)no1 ZINC000351393868 348495384 /nfs/dbraw/zinc/49/53/84/348495384.db2.gz BGRIAANXBHCDDH-UHFFFAOYSA-N 0 0 263.228 2.585 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCOC1CCCC1 ZINC000401143158 348577143 /nfs/dbraw/zinc/57/71/43/348577143.db2.gz ACSMZEQPKOXFJF-UHFFFAOYSA-N 0 0 269.276 2.500 20 5 CFBDRN CCOCC(C)(C)CNc1ncc(F)cc1[N+](=O)[O-] ZINC000401150487 348577429 /nfs/dbraw/zinc/57/74/29/348577429.db2.gz DWMIUMZKIMKUHI-UHFFFAOYSA-N 0 0 271.292 2.604 20 5 CFBDRN CCOc1cccc(N[C@H]2CO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000402201763 348580829 /nfs/dbraw/zinc/58/08/29/348580829.db2.gz GZZFCOLILWKECY-VHSXEESVSA-N 0 0 266.297 2.583 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413260842 233042487 /nfs/dbraw/zinc/04/24/87/233042487.db2.gz RNCFQSCOFZZAIQ-UWVGGRQHSA-N 0 0 275.308 2.978 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)[C@@H](C)CO1 ZINC000404049124 348589381 /nfs/dbraw/zinc/58/93/81/348589381.db2.gz IKXIZOLTUGLCHD-GXFFZTMASA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)c([N+](=O)[O-])n2)CCc2ccccc21 ZINC000404864338 348594826 /nfs/dbraw/zinc/59/48/26/348594826.db2.gz ZTEQOTCGQWMYKD-LLVKDONJSA-N 0 0 298.346 2.738 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCSCC(F)(F)F)c(F)c1 ZINC000413220821 233036475 /nfs/dbraw/zinc/03/64/75/233036475.db2.gz QNMUWWRXCQLLQR-UHFFFAOYSA-N 0 0 299.249 2.836 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2CC(F)(F)C2)c(F)c1 ZINC000413326471 233053085 /nfs/dbraw/zinc/05/30/85/233053085.db2.gz BUGVBBALIYGRNP-UHFFFAOYSA-N 0 0 275.230 2.976 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2CC(F)(F)C2)c(F)c1 ZINC000413306208 233049339 /nfs/dbraw/zinc/04/93/39/233049339.db2.gz UVKQMQDBOMHVOL-UHFFFAOYSA-N 0 0 261.203 2.586 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N[C@H]3CC[C@@H](CO)C3)c2c1 ZINC000413310194 233050257 /nfs/dbraw/zinc/05/02/57/233050257.db2.gz GOBVRIXXNNWFRF-PWSUYJOCSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CC[C@H](C)O2)n1 ZINC000413378629 233062658 /nfs/dbraw/zinc/06/26/58/233062658.db2.gz PZBQTOUAOQQEHA-QWRGUYRKSA-N 0 0 265.313 2.586 20 5 CFBDRN CS[C@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273474274 192165128 /nfs/dbraw/zinc/16/51/28/192165128.db2.gz PGKUZATYWVNZHB-SSDOTTSWSA-N 0 0 287.316 2.607 20 5 CFBDRN CC(C)(O)CCSc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000584730141 348710307 /nfs/dbraw/zinc/71/03/07/348710307.db2.gz KMFLLKXFCUKQGB-UHFFFAOYSA-N 0 0 281.337 2.724 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCOC3)CC2)c(Cl)c1 ZINC000588040188 348767818 /nfs/dbraw/zinc/76/78/18/348767818.db2.gz RFCYWJKNVFUYON-UHFFFAOYSA-N 0 0 297.742 2.650 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](C(F)F)C2)c1 ZINC000588040576 348768099 /nfs/dbraw/zinc/76/80/99/348768099.db2.gz DUQXHHIHRSBSOS-SECBINFHSA-N 0 0 256.252 2.995 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC2(CC2)C1 ZINC000588040569 348768200 /nfs/dbraw/zinc/76/82/00/348768200.db2.gz DNLONKQOPYFUGL-UHFFFAOYSA-N 0 0 290.319 2.680 20 5 CFBDRN CC[C@@]1(Nc2ccc(C(C)=O)cc2[N+](=O)[O-])CCOC1 ZINC000588041377 348768765 /nfs/dbraw/zinc/76/87/65/348768765.db2.gz ZORQZHVHSIYLNC-CQSZACIVSA-N 0 0 278.308 2.778 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000588066518 348769890 /nfs/dbraw/zinc/76/98/90/348769890.db2.gz QVNQOVDGBYRFNL-PHIMTYICSA-N 0 0 250.298 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCC[C@H]4C[C@H]43)c2c1 ZINC000588066529 348769958 /nfs/dbraw/zinc/76/99/58/348769958.db2.gz QZDYDDBKJMBYMH-TVQRCGJNSA-N 0 0 270.292 2.527 20 5 CFBDRN Cc1nn(C)c(N2CCc3cc(C)c(C)cc32)c1[N+](=O)[O-] ZINC000588067064 348769995 /nfs/dbraw/zinc/76/99/95/348769995.db2.gz DNRLIFXOBIASMZ-UHFFFAOYSA-N 0 0 286.335 2.948 20 5 CFBDRN C[C@H]1CO[C@@H](C(F)(F)F)CN1c1ccccc1[N+](=O)[O-] ZINC000588068583 348770555 /nfs/dbraw/zinc/77/05/55/348770555.db2.gz WFLHWEATTCCQKY-GZMMTYOYSA-N 0 0 290.241 2.751 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCOc2cc(O)ccc2C1 ZINC000588074538 348770630 /nfs/dbraw/zinc/77/06/30/348770630.db2.gz RBRZMNDIJXCORT-UHFFFAOYSA-N 0 0 292.316 2.761 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1ccsc1[N+](=O)[O-] ZINC000588074551 348770696 /nfs/dbraw/zinc/77/06/96/348770696.db2.gz ARHIRBZWGJNIAA-IUCAKERBSA-N 0 0 256.327 2.741 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1ccsc1[N+](=O)[O-] ZINC000588074552 348770734 /nfs/dbraw/zinc/77/07/34/348770734.db2.gz ARHIRBZWGJNIAA-RKDXNWHRSA-N 0 0 256.327 2.741 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC1(F)F ZINC000588180156 348778168 /nfs/dbraw/zinc/77/81/68/348778168.db2.gz KNKDQSZRKONNHH-GFCCVEGCSA-N 0 0 298.289 2.831 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCCC1(F)F ZINC000588180337 348778451 /nfs/dbraw/zinc/77/84/51/348778451.db2.gz SYSHEOVZYZKNJT-LLVKDONJSA-N 0 0 284.262 2.821 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC2CCC(OC)CC2)c1 ZINC000413418898 233070385 /nfs/dbraw/zinc/07/03/85/233070385.db2.gz MNCYJPYZSMGLKY-UHFFFAOYSA-N 0 0 280.324 2.973 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H]2C[C@H]21 ZINC000588279378 348782954 /nfs/dbraw/zinc/78/29/54/348782954.db2.gz TZWYDMCPOMELAB-JOYOIKCWSA-N 0 0 291.307 2.620 20 5 CFBDRN CCCOc1ccc(Nc2ccncc2[N+](=O)[O-])cc1 ZINC000588823405 348796806 /nfs/dbraw/zinc/79/68/06/348796806.db2.gz WDXYQIFVXSJNQL-UHFFFAOYSA-N 0 0 273.292 2.944 20 5 CFBDRN CCc1nn(C)c(N2CCc3c2cccc3C)c1[N+](=O)[O-] ZINC000588815152 348796960 /nfs/dbraw/zinc/79/69/60/348796960.db2.gz UIOSSKKMEZZBHB-UHFFFAOYSA-N 0 0 286.335 2.893 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H](C)[C@@H](C)C1 ZINC000588823398 348796993 /nfs/dbraw/zinc/79/69/93/348796993.db2.gz SQAUAXXYPCOYAB-UWVGGRQHSA-N 0 0 266.345 2.602 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@@H](C)[C@@H](F)C1 ZINC000588824659 348797565 /nfs/dbraw/zinc/79/75/65/348797565.db2.gz HJMNRORBURDLTC-RNCFNFMXSA-N 0 0 280.299 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2cn[nH]c2)c(F)c1 ZINC000586203539 348746827 /nfs/dbraw/zinc/74/68/27/348746827.db2.gz IHEODVQKMSYTBT-UHFFFAOYSA-N 0 0 253.258 2.749 20 5 CFBDRN Cc1ccc(C(=O)N2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000586891822 348759983 /nfs/dbraw/zinc/75/99/83/348759983.db2.gz RPCSIATWYZPXFZ-GXFFZTMASA-N 0 0 260.293 2.528 20 5 CFBDRN CC1CCC(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)CC1 ZINC000587446582 348761688 /nfs/dbraw/zinc/76/16/88/348761688.db2.gz DZTPRGHFJRUCEU-UHFFFAOYSA-N 0 0 293.367 2.908 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587483418 348762641 /nfs/dbraw/zinc/76/26/41/348762641.db2.gz CJSVKSPDGBOPAB-GHMZBOCLSA-N 0 0 293.367 2.908 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCC[C@@]2(C)CO)ccc1[N+](=O)[O-] ZINC000587985511 348764149 /nfs/dbraw/zinc/76/41/49/348764149.db2.gz MVFLVVPVNYUBIP-CABCVRRESA-N 0 0 292.335 2.760 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587996187 348764656 /nfs/dbraw/zinc/76/46/56/348764656.db2.gz ZJJWZWILVDOZCX-RNCFNFMXSA-N 0 0 279.340 2.660 20 5 CFBDRN CCC[C@@H]1CCCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587996378 348764738 /nfs/dbraw/zinc/76/47/38/348764738.db2.gz XQZGIEVPORDUJK-LLVKDONJSA-N 0 0 293.367 2.686 20 5 CFBDRN C[C@@H]1CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C[C@@H](C)C1 ZINC000588005590 348765361 /nfs/dbraw/zinc/76/53/61/348765361.db2.gz YQGCIEOJIBYTBD-MNOVXSKESA-N 0 0 293.367 2.542 20 5 CFBDRN CC1(C)CC[C@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588010731 348766143 /nfs/dbraw/zinc/76/61/43/348766143.db2.gz IIBXTDDLGRNEKA-JTQLQIEISA-N 0 0 279.340 2.660 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C[C@@H]1C ZINC000588017097 348766771 /nfs/dbraw/zinc/76/67/71/348766771.db2.gz WUJOISBYDCVCED-JFGNBEQYSA-N 0 0 293.367 2.906 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1coc(C)n1 ZINC000588985540 348807195 /nfs/dbraw/zinc/80/71/95/348807195.db2.gz GNWYFPWHOGPMHK-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000413480364 233080341 /nfs/dbraw/zinc/08/03/41/233080341.db2.gz LPNGNHJKQUQHSA-QMMMGPOBSA-N 0 0 284.262 2.775 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCCC1(F)F ZINC000589082342 348810132 /nfs/dbraw/zinc/81/01/32/348810132.db2.gz BAESFPCDFMRXBO-JTQLQIEISA-N 0 0 284.262 2.821 20 5 CFBDRN COCC[C@@H](C)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000413490616 233082312 /nfs/dbraw/zinc/08/23/12/233082312.db2.gz JAQNRUHMZHDHIP-SNVBAGLBSA-N 0 0 252.314 2.988 20 5 CFBDRN O=C(NC1(C(F)F)CCCC1)c1ccccc1[N+](=O)[O-] ZINC000589117314 348811509 /nfs/dbraw/zinc/81/15/09/348811509.db2.gz HWAVJJYOJSKZTL-UHFFFAOYSA-N 0 0 284.262 2.903 20 5 CFBDRN COCC[C@@H](C)CNc1ccc([N+](=O)[O-])cc1COC ZINC000413492917 233083059 /nfs/dbraw/zinc/08/30/59/233083059.db2.gz NCLGPZARVVFCCP-LLVKDONJSA-N 0 0 282.340 2.826 20 5 CFBDRN Cc1cnc(OCc2cccnc2C)c([N+](=O)[O-])c1 ZINC000589255686 348821570 /nfs/dbraw/zinc/82/15/70/348821570.db2.gz FAEURGHOLNAVLU-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000413532719 233091373 /nfs/dbraw/zinc/09/13/73/233091373.db2.gz NEWABMUHRYALTK-MXWKQRLJSA-N 0 0 250.298 2.820 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H](OC)C23CCC3)c1 ZINC000413438221 233073348 /nfs/dbraw/zinc/07/33/48/233073348.db2.gz IRQUONLUJDRWKG-UONOGXRCSA-N 0 0 292.335 2.973 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2nccc(C)c2[N+](=O)[O-])C12CCC2 ZINC000413437858 233073485 /nfs/dbraw/zinc/07/34/85/233073485.db2.gz IGOHHTZXXMURAP-MNOVXSKESA-N 0 0 277.324 2.668 20 5 CFBDRN CO[C@H]1C[C@H](Nc2nc(C)ccc2[N+](=O)[O-])C12CCC2 ZINC000413443185 233074007 /nfs/dbraw/zinc/07/40/07/233074007.db2.gz NAEUOZSHBSROPB-RYUDHWBXSA-N 0 0 277.324 2.668 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H](C)C[C@@H]1CCOC1 ZINC000589584776 348840318 /nfs/dbraw/zinc/84/03/18/348840318.db2.gz OPSYULBAPQSUON-RYUDHWBXSA-N 0 0 294.351 2.968 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@H](F)C2)c1 ZINC000589598548 348840879 /nfs/dbraw/zinc/84/08/79/348840879.db2.gz ZLTYEWGTMBSEFP-VIFPVBQESA-N 0 0 254.261 2.542 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H](C(F)F)C1 ZINC000589600053 348840995 /nfs/dbraw/zinc/84/09/95/348840995.db2.gz MUCXGKYKLKRARE-VIFPVBQESA-N 0 0 256.252 2.995 20 5 CFBDRN CCc1nnc(CNc2sccc2[N+](=O)[O-])s1 ZINC000589608026 348842776 /nfs/dbraw/zinc/84/27/76/348842776.db2.gz WSRBIFVRQZKHNS-UHFFFAOYSA-N 0 0 270.339 2.682 20 5 CFBDRN Cc1cc(N2CC[C@@]3(CCOC3)C2)c(F)cc1[N+](=O)[O-] ZINC000589688868 348850205 /nfs/dbraw/zinc/85/02/05/348850205.db2.gz SLGPUJXCVPTICM-CQSZACIVSA-N 0 0 280.299 2.659 20 5 CFBDRN Cc1cc(N2CCCOC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000589689280 348850477 /nfs/dbraw/zinc/85/04/77/348850477.db2.gz AAFZFKSXQOBIAR-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN Cc1cn2c(n1)CN(c1cc(C)c([N+](=O)[O-])cc1F)CC2 ZINC000589690325 348850933 /nfs/dbraw/zinc/85/09/33/348850933.db2.gz PXQDIPMDPJQGLE-UHFFFAOYSA-N 0 0 290.298 2.567 20 5 CFBDRN Cc1cc(N[C@H]2CCc3cncn3C2)c(F)cc1[N+](=O)[O-] ZINC000589692670 348851280 /nfs/dbraw/zinc/85/12/80/348851280.db2.gz SVQBLJNYGQTHSC-JTQLQIEISA-N 0 0 290.298 2.666 20 5 CFBDRN Cc1nn(C)c2cc(Nc3cccnc3[N+](=O)[O-])ccc12 ZINC000589695634 348852031 /nfs/dbraw/zinc/85/20/31/348852031.db2.gz SVIUOZASXAFSTH-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N1CC(C2CC2)C1 ZINC000589695493 348852049 /nfs/dbraw/zinc/85/20/49/348852049.db2.gz MQMQFLJUCIBVBI-UHFFFAOYSA-N 0 0 298.140 2.599 20 5 CFBDRN O=C(N[C@@H]1CCCCC12CCCC2)c1cc([N+](=O)[O-])n[nH]1 ZINC000589727338 348853584 /nfs/dbraw/zinc/85/35/84/348853584.db2.gz LCJBSMPAVBNTIE-LLVKDONJSA-N 0 0 292.339 2.551 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC(C2CC2)C1 ZINC000589724415 348853901 /nfs/dbraw/zinc/85/39/01/348853901.db2.gz CVOMYRBDVWHQMU-UHFFFAOYSA-N 0 0 285.303 2.558 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1ccco1 ZINC000413602001 233103190 /nfs/dbraw/zinc/10/31/90/233103190.db2.gz IKAAPVCYIJTJGX-NXEZZACHSA-N 0 0 276.296 2.681 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@H]1c1ccco1 ZINC000413610494 233103864 /nfs/dbraw/zinc/10/38/64/233103864.db2.gz WHCQTGGTFQRILW-GHMZBOCLSA-N 0 0 259.265 2.859 20 5 CFBDRN COCC[C@H](C)CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000413626105 233107194 /nfs/dbraw/zinc/10/71/94/233107194.db2.gz PMMXAEWNSAQVJN-JTQLQIEISA-N 0 0 295.339 2.697 20 5 CFBDRN Cc1ccnc(Cn2cc([N+](=O)[O-])c(=O)c3ccccc32)c1 ZINC000589857610 348861213 /nfs/dbraw/zinc/86/12/13/348861213.db2.gz DWMDGFFFEMFCTO-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC(C2CC2)C1 ZINC000589867031 348862424 /nfs/dbraw/zinc/86/24/24/348862424.db2.gz FFJCMOYDMRQEFU-UHFFFAOYSA-N 0 0 269.304 2.989 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])s1 ZINC000590062815 348872567 /nfs/dbraw/zinc/87/25/67/348872567.db2.gz SYKFFFPYHZENOZ-VIFPVBQESA-N 0 0 285.369 2.621 20 5 CFBDRN CO[C@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@@H]1C ZINC000590717595 348934986 /nfs/dbraw/zinc/93/49/86/348934986.db2.gz JSYHCYSDNDZQAK-WPRPVWTQSA-N 0 0 256.327 2.518 20 5 CFBDRN COC[C@H]1CCCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000590738305 348939178 /nfs/dbraw/zinc/93/91/78/348939178.db2.gz RMCPKERMAUXVBK-JTQLQIEISA-N 0 0 270.354 2.909 20 5 CFBDRN O=C1CC[C@H](CSc2ccc([N+](=O)[O-])cc2F)CCN1 ZINC000590736797 348939261 /nfs/dbraw/zinc/93/92/61/348939261.db2.gz KSIZERMGLPPASC-VIFPVBQESA-N 0 0 298.339 2.742 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000590738319 348939416 /nfs/dbraw/zinc/93/94/16/348939416.db2.gz WOKAIBCMTSHPAZ-SNVBAGLBSA-N 0 0 270.354 2.909 20 5 CFBDRN COCC1(CNc2cccnc2[N+](=O)[O-])CCCC1 ZINC000590737250 348939424 /nfs/dbraw/zinc/93/94/24/348939424.db2.gz LEXAKHNUJVZNRF-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN O=C1CC[C@@H](CSc2ccc([N+](=O)[O-])cc2F)CCN1 ZINC000590736796 348939552 /nfs/dbraw/zinc/93/95/52/348939552.db2.gz KSIZERMGLPPASC-SECBINFHSA-N 0 0 298.339 2.742 20 5 CFBDRN Cc1nn([C@H]2CCCc3ccccc3C2=O)cc1[N+](=O)[O-] ZINC000590739177 348940349 /nfs/dbraw/zinc/94/03/49/348940349.db2.gz SVAJQYVIJMZBGT-ZDUSSCGKSA-N 0 0 285.303 2.860 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC(C2CC2)CC1 ZINC000590430199 348900761 /nfs/dbraw/zinc/90/07/61/348900761.db2.gz WENNOZQAAKTJPT-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN Cc1ccccc1C(C)(C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000590507264 348906370 /nfs/dbraw/zinc/90/63/70/348906370.db2.gz JEUKNASRSLPOPV-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN CNC(=O)c1ccc(NCCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000590596117 348912823 /nfs/dbraw/zinc/91/28/23/348912823.db2.gz QRYKENALZMBWMV-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CCOCC2(CC2)C1 ZINC000590696901 348930272 /nfs/dbraw/zinc/93/02/72/348930272.db2.gz HDTKOUQLUZMWIY-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000129123104 187411848 /nfs/dbraw/zinc/41/18/48/187411848.db2.gz PUTXDRZNYDIGSD-LBPRGKRZSA-N 0 0 280.324 2.530 20 5 CFBDRN C[C@H](CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000591031352 348980353 /nfs/dbraw/zinc/98/03/53/348980353.db2.gz XGNIOMOJKBWRKJ-WKPIXPDZSA-N 0 0 288.347 2.861 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@@H](C2CCC2)C1 ZINC000591062924 348982634 /nfs/dbraw/zinc/98/26/34/348982634.db2.gz BQPBMGITGHRPBF-GFCCVEGCSA-N 0 0 276.336 2.922 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@H](C2CCC2)C1 ZINC000591127685 348990419 /nfs/dbraw/zinc/99/04/19/348990419.db2.gz UXYYTEHNZOQYRM-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN CCCO[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2F)CC1 ZINC000591146232 348992599 /nfs/dbraw/zinc/99/25/99/348992599.db2.gz NYLGNVFUXDKDOH-GFCCVEGCSA-N 0 0 297.330 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@@]4(CCOC4)C3)c2c1 ZINC000591149573 348993222 /nfs/dbraw/zinc/99/32/22/348993222.db2.gz IQVAACZZKZEHSI-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@@H]2CCCC[C@@H]2O)c(F)c1 ZINC000591150723 348993569 /nfs/dbraw/zinc/99/35/69/348993569.db2.gz XBYFVNOKGBTQKM-GWCFXTLKSA-N 0 0 297.330 2.872 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(C2)CCCCC3)c(F)c1 ZINC000591155129 348993889 /nfs/dbraw/zinc/99/38/89/348993889.db2.gz NTGNDEVPUNBFII-UHFFFAOYSA-N 0 0 265.288 2.899 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(Cc3ccccc3)C2)c(F)c1 ZINC000591153849 348994194 /nfs/dbraw/zinc/99/41/94/348994194.db2.gz TYIWNFQLPSOLIF-UHFFFAOYSA-N 0 0 287.294 2.808 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(CCC3)[C@H]3COC[C@H]32)c1 ZINC000591173918 348996203 /nfs/dbraw/zinc/99/62/03/348996203.db2.gz JVNCNXRQOIRIPR-SWLSCSKDSA-N 0 0 288.347 2.909 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2sccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000591192637 348999192 /nfs/dbraw/zinc/99/91/92/348999192.db2.gz AKAOZZCVZGUOQM-HLTSFMKQSA-N 0 0 256.327 2.882 20 5 CFBDRN CCC[C@H](O)Cc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000590779018 348948282 /nfs/dbraw/zinc/94/82/82/348948282.db2.gz NUCMPWDTNVHSGQ-LBPRGKRZSA-N 0 0 291.307 2.657 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](C2CCC2)C1 ZINC000591204447 348999869 /nfs/dbraw/zinc/99/98/69/348999869.db2.gz TUGDOBCGTFOVRG-GFCCVEGCSA-N 0 0 278.356 2.746 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1CCC[C@@H](CO)C1 ZINC000591201032 349000036 /nfs/dbraw/zinc/00/00/36/349000036.db2.gz QKHZGCRZCINEKN-RKDXNWHRSA-N 0 0 256.327 2.619 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)C1CC(C)(C)C1 ZINC000591233830 349001451 /nfs/dbraw/zinc/00/14/51/349001451.db2.gz NRUZNFKKWHQSSI-UHFFFAOYSA-N 0 0 279.340 2.584 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1O ZINC000129256710 187420316 /nfs/dbraw/zinc/42/03/16/187420316.db2.gz BYNGQCCOXCVBJJ-MNOVXSKESA-N 0 0 270.716 2.820 20 5 CFBDRN C[C@H]1[C@@H](C)N(c2ccc([N+](=O)[O-])c(N)c2F)C[C@H]1C ZINC000591368978 349012569 /nfs/dbraw/zinc/01/25/69/349012569.db2.gz CKQOCZTYIIWJKV-IWSPIJDZSA-N 0 0 267.304 2.797 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H](O)CC12CCC2 ZINC000591464174 349025426 /nfs/dbraw/zinc/02/54/26/349025426.db2.gz FCKQUKKJJZIIMR-SNVBAGLBSA-N 0 0 297.742 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCC2(O)CCC2)s1 ZINC000591463671 349025521 /nfs/dbraw/zinc/02/55/21/349025521.db2.gz AOBUMJMIBKHTCO-UHFFFAOYSA-N 0 0 256.327 2.763 20 5 CFBDRN O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@H]1CC12CC2 ZINC000591534452 349029225 /nfs/dbraw/zinc/02/92/25/349029225.db2.gz NLYCRITXCARTJK-CYBMUJFWSA-N 0 0 299.330 2.535 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000591982343 349069053 /nfs/dbraw/zinc/06/90/53/349069053.db2.gz PGTNWGFDLMTAOI-CMPLNLGQSA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000591982491 349069136 /nfs/dbraw/zinc/06/91/36/349069136.db2.gz POSOUJLWNXFJAF-MWLCHTKSSA-N 0 0 267.329 2.507 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000591980704 349069279 /nfs/dbraw/zinc/06/92/79/349069279.db2.gz GRTPHRUKBQIPLO-CABZTGNLSA-N 0 0 281.356 2.816 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000591982517 349069807 /nfs/dbraw/zinc/06/98/07/349069807.db2.gz PTJDMNWRZSDUQV-GXSJLCMTSA-N 0 0 267.329 2.507 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])C1 ZINC000591987392 349070473 /nfs/dbraw/zinc/07/04/73/349070473.db2.gz JZRQPNIXKWGQLW-AOOOYVTPSA-N 0 0 265.313 2.748 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC2(C[C@@H]1C)CCOCC2 ZINC000591991915 349071226 /nfs/dbraw/zinc/07/12/26/349071226.db2.gz IYXUSGHKFOWPAE-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1CCCCCF ZINC000592348962 349106954 /nfs/dbraw/zinc/10/69/54/349106954.db2.gz LOKPBZUWSCRXTH-UHFFFAOYSA-N 0 0 268.244 2.643 20 5 CFBDRN CC(C)CCCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592349403 349107008 /nfs/dbraw/zinc/10/70/08/349107008.db2.gz YSQZEHLJVRAZBB-UHFFFAOYSA-N 0 0 264.281 2.939 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089203873 179753447 /nfs/dbraw/zinc/75/34/47/179753447.db2.gz QOGVJUMCQONASP-JTQLQIEISA-N 0 0 294.336 2.551 20 5 CFBDRN COC(=O)c1ccc(OCC2=CCCC2)c([N+](=O)[O-])c1 ZINC000592343097 349105168 /nfs/dbraw/zinc/10/51/68/349105168.db2.gz BMZVGNPPLLMFES-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCC[C@H]1CCOC1 ZINC000592346987 349106188 /nfs/dbraw/zinc/10/61/88/349106188.db2.gz QQJPOAAQHXJYFP-NSHDSACASA-N 0 0 281.308 2.799 20 5 CFBDRN Cc1cc(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)ncn1 ZINC000591925403 349061380 /nfs/dbraw/zinc/06/13/80/349061380.db2.gz REBRAGRQLOXKKY-UHFFFAOYSA-N 0 0 299.334 2.579 20 5 CFBDRN Cc1ocnc1CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000591926079 349061396 /nfs/dbraw/zinc/06/13/96/349061396.db2.gz XQJZKIRIPRJHKT-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCC[C@@H](F)C1 ZINC000591958246 349064841 /nfs/dbraw/zinc/06/48/41/349064841.db2.gz PLIKPLDHKPYVCA-NXEZZACHSA-N 0 0 270.308 2.812 20 5 CFBDRN COC(=O)c1cnc(N(C)CCC(C)(C)C)c([N+](=O)[O-])c1 ZINC000591971059 349066937 /nfs/dbraw/zinc/06/69/37/349066937.db2.gz RNZTWIHODAKWPK-UHFFFAOYSA-N 0 0 295.339 2.649 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])s1)CC(F)F ZINC000592670749 349148757 /nfs/dbraw/zinc/14/87/57/349148757.db2.gz ACGCEBLDVRNSCH-ZCFIWIBFSA-N 0 0 278.280 2.677 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCCC[C@H]1C1CCC1 ZINC000592464592 349125956 /nfs/dbraw/zinc/12/59/56/349125956.db2.gz NUEYHRWZAQVAGJ-ZDUSSCGKSA-N 0 0 291.351 2.728 20 5 CFBDRN CC(C)CCC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089421020 179785297 /nfs/dbraw/zinc/78/52/97/179785297.db2.gz KGPKNJQCWYBSAV-UHFFFAOYSA-N 0 0 276.296 2.846 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCS[C@H]2C)c1[N+](=O)[O-] ZINC000592732490 349158114 /nfs/dbraw/zinc/15/81/14/349158114.db2.gz AWDAKZNKWCOSPF-GXSJLCMTSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CCS[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000592733416 349158620 /nfs/dbraw/zinc/15/86/20/349158620.db2.gz ALWPKOUGVCBYAM-CWSCBRNRSA-N 0 0 294.376 2.709 20 5 CFBDRN C[C@@H]1SCC[C@@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000592733428 349158677 /nfs/dbraw/zinc/15/86/77/349158677.db2.gz AREMROCUCBMGIA-GWCFXTLKSA-N 0 0 294.376 2.538 20 5 CFBDRN COc1c(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000592734867 349158947 /nfs/dbraw/zinc/15/89/47/349158947.db2.gz KWLPHRFDVNRAFO-GARJFASQSA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000592734860 349159151 /nfs/dbraw/zinc/15/91/51/349159151.db2.gz KUNKEBPHLCLCBV-MGPQQGTHSA-N 0 0 288.347 2.832 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CCS[C@H]2C)cc1[N+](=O)[O-] ZINC000592735965 349159587 /nfs/dbraw/zinc/15/95/87/349159587.db2.gz OOUXKCLHNCMDQX-JOYOIKCWSA-N 0 0 294.376 2.781 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCS[C@@H]2C)ccc1[N+](=O)[O-] ZINC000592737375 349160359 /nfs/dbraw/zinc/16/03/59/349160359.db2.gz WJRRVFKIVSXXGZ-KOLCDFICSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)cc([N+](=O)[O-])c1 ZINC000592738248 349161078 /nfs/dbraw/zinc/16/10/78/349161078.db2.gz XRPNEJOALZBMDU-GMXVVIOVSA-N 0 0 274.320 2.822 20 5 CFBDRN CN(C(=O)[C@H]1C[C@@H]1C1CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000593144339 349219571 /nfs/dbraw/zinc/21/95/71/349219571.db2.gz UYIGYMKQJLCKHJ-OLZOCXBDSA-N 0 0 289.335 2.779 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCCC1(F)CCC1 ZINC000593158400 349221160 /nfs/dbraw/zinc/22/11/60/349221160.db2.gz VEVNVVBGERLOAV-UHFFFAOYSA-N 0 0 298.289 2.675 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593171901 349223562 /nfs/dbraw/zinc/22/35/62/349223562.db2.gz ABSSYRSYWMCZFI-DGCLKSJQSA-N 0 0 294.326 2.827 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1cc2n(n1)CCCC2 ZINC000593236391 349233274 /nfs/dbraw/zinc/23/32/74/349233274.db2.gz GWGPNCRWVZDANP-UHFFFAOYSA-N 0 0 291.282 2.846 20 5 CFBDRN CCCC(C)(C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593044738 349193474 /nfs/dbraw/zinc/19/34/74/349193474.db2.gz BERXFNBUKGRQCJ-UHFFFAOYSA-N 0 0 282.315 2.971 20 5 CFBDRN CC1(NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CCCC1 ZINC000593049620 349194395 /nfs/dbraw/zinc/19/43/95/349194395.db2.gz BVPILYZJRKQAQK-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN CCCN(C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000593051953 349194944 /nfs/dbraw/zinc/19/49/44/349194944.db2.gz QVMNIFBVGXDBNK-UHFFFAOYSA-N 0 0 280.299 2.677 20 5 CFBDRN CC[C@H]1CN(Cc2ccccc2[N+](=O)[O-])[C@@H](CC)CO1 ZINC000593057159 349195799 /nfs/dbraw/zinc/19/57/99/349195799.db2.gz CLGREBUNLDHIIN-KBPBESRZSA-N 0 0 278.352 2.984 20 5 CFBDRN CCN(CC1CC1)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593058936 349197594 /nfs/dbraw/zinc/19/75/94/349197594.db2.gz HWURJGLEBLVHFN-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN CC[C@H]1CN(Cc2cccc([N+](=O)[O-])c2C)[C@@H](C)CO1 ZINC000593063287 349199438 /nfs/dbraw/zinc/19/94/38/349199438.db2.gz LFSXCKXKYGSGLX-FZMZJTMJSA-N 0 0 278.352 2.903 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593075009 349202038 /nfs/dbraw/zinc/20/20/38/349202038.db2.gz JLYYFCGGQUIHQN-WDEREUQCSA-N 0 0 294.326 2.923 20 5 CFBDRN COc1cccc(NCCC2(CO)CCC2)c1[N+](=O)[O-] ZINC000593510815 349287827 /nfs/dbraw/zinc/28/78/27/349287827.db2.gz CUJUVKANHHDAHA-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593615640 349299560 /nfs/dbraw/zinc/29/95/60/349299560.db2.gz CFKUXFGBXINPEA-GXFFZTMASA-N 0 0 294.326 2.923 20 5 CFBDRN COc1c(C(=O)NC2(C3CCC3)CC2)cccc1[N+](=O)[O-] ZINC000593306943 349244331 /nfs/dbraw/zinc/24/43/31/349244331.db2.gz KAKKTGWOROWAQD-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@]3(CC3(F)F)C2)cc([N+](=O)[O-])c1 ZINC000593360895 349253177 /nfs/dbraw/zinc/25/31/77/349253177.db2.gz WKJJGUQPHSYNIU-ZDUSSCGKSA-N 0 0 296.273 2.775 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593382501 349256756 /nfs/dbraw/zinc/25/67/56/349256756.db2.gz FIZMAKCCWIDXJF-QWRGUYRKSA-N 0 0 294.326 2.781 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CC[C@H]2CCC[C@@H]21 ZINC000593625965 349301188 /nfs/dbraw/zinc/30/11/88/349301188.db2.gz RGGVEBLFXQCXQJ-MFKMUULPSA-N 0 0 292.310 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H]1CCCC[C@@H]1O ZINC000593460980 349275554 /nfs/dbraw/zinc/27/55/54/349275554.db2.gz FATJDQPBTUXPFY-ZFWWWQNUSA-N 0 0 287.319 2.858 20 5 CFBDRN CC(C)[C@](C)(O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593463125 349276131 /nfs/dbraw/zinc/27/61/31/349276131.db2.gz MVJWDTYTKQNQIV-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCCC1CC(O)C1 ZINC000593473305 349277408 /nfs/dbraw/zinc/27/74/08/349277408.db2.gz SZXRNVRZHBEOOQ-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593480841 349279751 /nfs/dbraw/zinc/27/97/51/349279751.db2.gz GTPSDMPJPMJBRV-VHSXEESVSA-N 0 0 280.299 2.533 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000593486737 349281939 /nfs/dbraw/zinc/28/19/39/349281939.db2.gz BUPZXPUGGBHTOF-BDAKNGLRSA-N 0 0 258.343 2.865 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000593488916 349282605 /nfs/dbraw/zinc/28/26/05/349282605.db2.gz NTZVNSSLBQUKFC-WCBMZHEXSA-N 0 0 250.302 2.621 20 5 CFBDRN CC[C@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593490376 349283207 /nfs/dbraw/zinc/28/32/07/349283207.db2.gz CEEFFJHEPSRPCX-RYUDHWBXSA-N 0 0 279.340 2.610 20 5 CFBDRN CC[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593490679 349283289 /nfs/dbraw/zinc/28/32/89/349283289.db2.gz DMROJIIAEZXNSU-ONGXEEELSA-N 0 0 268.288 2.738 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CC2)C2CCOCC2)nc1 ZINC000593491860 349284025 /nfs/dbraw/zinc/28/40/25/349284025.db2.gz KVULRCSBPQZXQS-AWEZNQCLSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)c([N+](=O)[O-])cn2)[C@H](CC)CO1 ZINC000593493680 349284347 /nfs/dbraw/zinc/28/43/47/349284347.db2.gz IVNHDJLLIJAKDI-VXGBXAGGSA-N 0 0 279.340 2.692 20 5 CFBDRN CC[C@H]1CN(c2ccccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593494051 349284550 /nfs/dbraw/zinc/28/45/50/349284550.db2.gz YGHPLQXYEKGOKY-QWRGUYRKSA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000593494205 349284595 /nfs/dbraw/zinc/28/45/95/349284595.db2.gz LUKANOFLKIVVON-NSHDSACASA-N 0 0 296.371 2.513 20 5 CFBDRN CCN1C[C@H](CNc2c(Cl)cccc2[N+](=O)[O-])CC1=O ZINC000593499276 349284983 /nfs/dbraw/zinc/28/49/83/349284983.db2.gz PAWCIBOEAPFCNT-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN C[C@@H](CO)C1CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000593505712 349286340 /nfs/dbraw/zinc/28/63/40/349286340.db2.gz NENNRTFOUKEKLB-VIFPVBQESA-N 0 0 270.354 2.501 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC([C@@H](C)CO)CC2)c1 ZINC000593506983 349286531 /nfs/dbraw/zinc/28/65/31/349286531.db2.gz WTSYMJRPBAPWEI-LBPRGKRZSA-N 0 0 278.352 2.748 20 5 CFBDRN Cc1cc(N2CCC([C@@H](C)CO)CC2)c(F)cc1[N+](=O)[O-] ZINC000593506542 349286596 /nfs/dbraw/zinc/28/65/96/349286596.db2.gz SSWPISAMUCFWLZ-NSHDSACASA-N 0 0 296.342 2.887 20 5 CFBDRN C[C@H](COCC1CC1)Nc1ccc([N+](=O)[O-])s1 ZINC000593509738 349287111 /nfs/dbraw/zinc/28/71/11/349287111.db2.gz ZFYVXWMYZSMJJN-MRVPVSSYSA-N 0 0 256.327 2.883 20 5 CFBDRN C[C@@H](COCC1CC1)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000593507999 349287194 /nfs/dbraw/zinc/28/71/94/349287194.db2.gz LPVRKNUBRVGJDC-QMMMGPOBSA-N 0 0 283.303 2.543 20 5 CFBDRN O=C(NC1CC2(CCC2)C1)c1ccc([N+](=O)[O-])cc1F ZINC000593886919 349332731 /nfs/dbraw/zinc/33/27/31/349332731.db2.gz ZUNNSNVMBGHMKR-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1cc(C(=O)NC2CC3(CCC3)C2)cc([N+](=O)[O-])c1 ZINC000593886187 349332735 /nfs/dbraw/zinc/33/27/35/349332735.db2.gz UCPKOTIEKLKTRV-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN CC1(C)CCC[N@@H+]1Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593737019 349317187 /nfs/dbraw/zinc/31/71/87/349317187.db2.gz FYLHGXWGCJOFIN-UHFFFAOYSA-N 0 0 278.308 2.667 20 5 CFBDRN C[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C[C@@H]1C ZINC000593737914 349317237 /nfs/dbraw/zinc/31/72/37/349317237.db2.gz ZQPXSFILPVRJCT-MNOVXSKESA-N 0 0 292.335 2.771 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)N1CCC12CCCC2 ZINC000593759869 349322143 /nfs/dbraw/zinc/32/21/43/349322143.db2.gz PZHQXERUUGVJAS-UHFFFAOYSA-N 0 0 290.319 2.519 20 5 CFBDRN COCC[C@@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000414403212 233247263 /nfs/dbraw/zinc/24/72/63/233247263.db2.gz QXFIQJUBHIWIFY-SECBINFHSA-N 0 0 299.302 2.528 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2NCc1ccc(F)cn1 ZINC000594502643 349433197 /nfs/dbraw/zinc/43/31/97/349433197.db2.gz MJCGVKYDVROYMY-CQSZACIVSA-N 0 0 287.294 2.906 20 5 CFBDRN C[C@H](Cc1ccco1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000596969654 350009764 /nfs/dbraw/zinc/00/97/64/350009764.db2.gz FPVICEJQFSVEDL-LLVKDONJSA-N 0 0 288.303 2.683 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597095054 350030058 /nfs/dbraw/zinc/03/00/58/350030058.db2.gz VTZHTFMROZXTKZ-ZCFIWIBFSA-N 0 0 286.719 2.750 20 5 CFBDRN Nc1c(NC(=O)N2CC=CCC2)cc(Cl)cc1[N+](=O)[O-] ZINC000597094092 350030111 /nfs/dbraw/zinc/03/01/11/350030111.db2.gz AQDCLUBIBLJZPP-UHFFFAOYSA-N 0 0 296.714 2.624 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N1CCC[C@@H]1CC1CCCC1 ZINC000594418543 349416228 /nfs/dbraw/zinc/41/62/28/349416228.db2.gz PAABTIGOZPJXGC-LLVKDONJSA-N 0 0 292.339 2.503 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000597201221 350054253 /nfs/dbraw/zinc/05/42/53/350054253.db2.gz WPIHYRUJBJLZIJ-ZDUSSCGKSA-N 0 0 292.335 2.526 20 5 CFBDRN C[N@H+](C/C=C/c1ccccc1[N+](=O)[O-])CC1=CCCOC1 ZINC000597206602 350055182 /nfs/dbraw/zinc/05/51/82/350055182.db2.gz SKWCHDJRXZYVIL-XBXARRHUSA-N 0 0 288.347 2.887 20 5 CFBDRN C[C@@H](NC(=O)C1CC2(CC2)C1)c1ccccc1[N+](=O)[O-] ZINC000597473114 350101511 /nfs/dbraw/zinc/10/15/11/350101511.db2.gz CQYBZEZVTZJUFJ-SNVBAGLBSA-N 0 0 274.320 2.962 20 5 CFBDRN CO[C@@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000597480316 350102471 /nfs/dbraw/zinc/10/24/71/350102471.db2.gz XMMCECXGDLYPEZ-IINYFYTJSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)CCCF)cc1[N+](=O)[O-] ZINC000597350568 350088111 /nfs/dbraw/zinc/08/81/11/350088111.db2.gz RLCPPIJTZCVMHL-JTQLQIEISA-N 0 0 268.288 2.830 20 5 CFBDRN Cc1nccn1CCCOc1ccc([N+](=O)[O-])cc1C ZINC000271233510 191018576 /nfs/dbraw/zinc/01/85/76/191018576.db2.gz VKMUDXCLCHDVQX-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000597639316 350119572 /nfs/dbraw/zinc/11/95/72/350119572.db2.gz KAXUIOLDEIYFTG-WDNDVIMCSA-N 0 0 288.347 2.642 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])cc1Cl ZINC000597882828 350147866 /nfs/dbraw/zinc/14/78/66/350147866.db2.gz KGXHIARDXWXRTD-AMIZOPFISA-N 0 0 280.711 2.921 20 5 CFBDRN CSc1ccc(C(=O)N[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000597884577 350147898 /nfs/dbraw/zinc/14/78/98/350147898.db2.gz PBSDUOJOWPPWQR-QMTHXVAHSA-N 0 0 292.360 2.989 20 5 CFBDRN C[C@H](C(=O)N[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])cc1F ZINC000597885512 350148471 /nfs/dbraw/zinc/14/84/71/350148471.db2.gz AOZNVRHHQPBGEB-FEUHOPSXSA-N 0 0 292.310 2.896 20 5 CFBDRN Cc1cc(C(=O)N[C@@]23C[C@@H]2CCC3)cc([N+](=O)[O-])c1 ZINC000597886945 350148566 /nfs/dbraw/zinc/14/85/66/350148566.db2.gz DJXSFYOALDBYTP-FZMZJTMJSA-N 0 0 260.293 2.576 20 5 CFBDRN O=C(N[C@@]12C[C@@H]1CCC2)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000597889659 350149095 /nfs/dbraw/zinc/14/90/95/350149095.db2.gz OFIAOQUONPMSFT-BONVTDFDSA-N 0 0 285.303 2.749 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000104616663 186010042 /nfs/dbraw/zinc/01/00/42/186010042.db2.gz DRDOCYATFGXUCG-CBAPKCEASA-N 0 0 268.338 2.883 20 5 CFBDRN C[C@H](C(=O)NCCC1CSC1)c1cccc([N+](=O)[O-])c1 ZINC000597790516 350136185 /nfs/dbraw/zinc/13/61/85/350136185.db2.gz YQBUCRHQHBYDDA-JTQLQIEISA-N 0 0 294.376 2.568 20 5 CFBDRN COc1c(C(=O)N2C[C@@H]3CCCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000597830168 350141397 /nfs/dbraw/zinc/14/13/97/350141397.db2.gz TYIBVTDIKJQKLZ-JQWIXIFHSA-N 0 0 290.319 2.618 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000597952646 350161902 /nfs/dbraw/zinc/16/19/02/350161902.db2.gz VGZFOWYWOCCFIH-LLVKDONJSA-N 0 0 294.351 2.915 20 5 CFBDRN CCC(C)(C)[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000597952391 350162028 /nfs/dbraw/zinc/16/20/28/350162028.db2.gz RTCSTDARNDSIHY-NSHDSACASA-N 0 0 294.351 2.915 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000597952573 350162091 /nfs/dbraw/zinc/16/20/91/350162091.db2.gz SXTJCXLANJZNAT-LLVKDONJSA-N 0 0 293.367 2.948 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@]12C[C@H]1CCC2 ZINC000597891817 350150717 /nfs/dbraw/zinc/15/07/17/350150717.db2.gz YRVHJQKHPCFLGB-IAQYHMDHSA-N 0 0 274.320 2.884 20 5 CFBDRN CSCC1(CCNC(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000597979822 350172007 /nfs/dbraw/zinc/17/20/07/350172007.db2.gz CFSHKQQGLYYEIT-UHFFFAOYSA-N 0 0 294.376 2.858 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000104775559 186022584 /nfs/dbraw/zinc/02/25/84/186022584.db2.gz WNCFFPFCYYZDQK-CABZTGNLSA-N 0 0 263.297 2.905 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000271299546 191060497 /nfs/dbraw/zinc/06/04/97/191060497.db2.gz BUHKSAFHBJFJDT-WCQYABFASA-N 0 0 276.336 2.927 20 5 CFBDRN CC[C@H](C)CCNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000597975027 350168618 /nfs/dbraw/zinc/16/86/18/350168618.db2.gz GKGBXAQQNNUSGF-NSHDSACASA-N 0 0 279.340 2.559 20 5 CFBDRN CC[C@H](C)CCNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000597975958 350169138 /nfs/dbraw/zinc/16/91/38/350169138.db2.gz OVNIDOVIKOJWPG-NSHDSACASA-N 0 0 280.324 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@H]2CO)cc1 ZINC000091484263 180167174 /nfs/dbraw/zinc/16/71/74/180167174.db2.gz JDJKDCTYLGFKBM-GWCFXTLKSA-N 0 0 250.298 2.558 20 5 CFBDRN COc1cc(N[C@H](C)[C@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000091427428 180152080 /nfs/dbraw/zinc/15/20/80/180152080.db2.gz RBJODONIBPMFKX-PRHODGIISA-N 0 0 284.287 2.722 20 5 CFBDRN Cc1sc(C(=O)NC[C@H]2CCC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000598213393 350215814 /nfs/dbraw/zinc/21/58/14/350215814.db2.gz ORZMUACCTDXGLX-PSASIEDQSA-N 0 0 298.364 2.652 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@]1(C)CC1(F)F ZINC000598213135 350216094 /nfs/dbraw/zinc/21/60/94/350216094.db2.gz ACAOMPRONNVRGE-GFCCVEGCSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCC[C@H](C)O2)cc([N+](=O)[O-])c1 ZINC000598215184 350217091 /nfs/dbraw/zinc/21/70/91/350217091.db2.gz WIAAJFMRTPCPLL-SMDDNHRTSA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@@H](CCF)C1 ZINC000598221749 350219454 /nfs/dbraw/zinc/21/94/54/350219454.db2.gz UKMAHTBPVMGIRR-NSHDSACASA-N 0 0 280.299 2.807 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)C1CC1 ZINC000598231842 350220896 /nfs/dbraw/zinc/22/08/96/350220896.db2.gz BFLCGVOXDAZNNT-ZDUSSCGKSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H](NC(=O)CCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598233280 350221549 /nfs/dbraw/zinc/22/15/49/350221549.db2.gz NBEGPJIXZJQSTE-GFCCVEGCSA-N 0 0 291.351 2.702 20 5 CFBDRN CCC(CC)CNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000105166938 186044787 /nfs/dbraw/zinc/04/47/87/186044787.db2.gz KTSVXPWVFDSCON-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000414733681 233340018 /nfs/dbraw/zinc/34/00/18/233340018.db2.gz CUMMCABEEHPKEH-AWEZNQCLSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCC(C)(C)F ZINC000598198817 350212732 /nfs/dbraw/zinc/21/27/32/350212732.db2.gz MCDPANNQZUWKNM-UHFFFAOYSA-N 0 0 268.288 2.771 20 5 CFBDRN CCc1ccc(C(=O)NCCc2ccoc2)cc1[N+](=O)[O-] ZINC000598204637 350213175 /nfs/dbraw/zinc/21/31/75/350213175.db2.gz NMEGZGMZZLLFHA-UHFFFAOYSA-N 0 0 288.303 2.723 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2CCC[C@H](C)O2)c1[N+](=O)[O-] ZINC000598211496 350215156 /nfs/dbraw/zinc/21/51/56/350215156.db2.gz FRUKTLOTZAAFND-RYUDHWBXSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCC[C@H](C)O1 ZINC000598212543 350215179 /nfs/dbraw/zinc/21/51/79/350215179.db2.gz KVKAPCPTNGSYHJ-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)(C(=O)N1CC[C@@H]2C[C@@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000598331606 350237542 /nfs/dbraw/zinc/23/75/42/350237542.db2.gz PLUJCBMJPLVFSF-VXGBXAGGSA-N 0 0 288.347 2.741 20 5 CFBDRN CC[C@@](C)(NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-])C1CC1 ZINC000598249724 350224377 /nfs/dbraw/zinc/22/43/77/350224377.db2.gz JNTNIROGXUTFHN-CQSZACIVSA-N 0 0 294.355 2.750 20 5 CFBDRN CC(C)CC1(NC(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])CC1 ZINC000598403996 350257810 /nfs/dbraw/zinc/25/78/10/350257810.db2.gz OKUNXCFWKQTQIZ-UHFFFAOYSA-N 0 0 294.355 2.750 20 5 CFBDRN CC(C)CC1(NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000598404194 350257848 /nfs/dbraw/zinc/25/78/48/350257848.db2.gz SYYTWBSLPRMCKU-UHFFFAOYSA-N 0 0 294.326 2.971 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H]2C)c1 ZINC000105382335 186055407 /nfs/dbraw/zinc/05/54/07/186055407.db2.gz RIQPZAREKJOHHM-JOYOIKCWSA-N 0 0 278.308 2.522 20 5 CFBDRN O=C(NCC1=CCCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000598376078 350251392 /nfs/dbraw/zinc/25/13/92/350251392.db2.gz MBQDYDCMWRTOQB-UHFFFAOYSA-N 0 0 264.256 2.574 20 5 CFBDRN CCc1ccc(C(=O)NCC2=CCCC2)cc1[N+](=O)[O-] ZINC000598377058 350252297 /nfs/dbraw/zinc/25/22/97/350252297.db2.gz RZKYRONEQTVZEF-UHFFFAOYSA-N 0 0 274.320 2.997 20 5 CFBDRN COc1cc(C(=O)NCC2=CCCC2)cc([N+](=O)[O-])c1C ZINC000598377075 350252383 /nfs/dbraw/zinc/25/23/83/350252383.db2.gz SGHOEKVRDQSBOI-UHFFFAOYSA-N 0 0 290.319 2.752 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C(C)C)C1CC1 ZINC000598380831 350252960 /nfs/dbraw/zinc/25/29/60/350252960.db2.gz HJEGZHGHHHFGBS-CQSZACIVSA-N 0 0 292.335 2.768 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000414867987 233383495 /nfs/dbraw/zinc/38/34/95/233383495.db2.gz GXFSESMHGZXXBC-CQSZACIVSA-N 0 0 294.351 2.614 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC2CC1(c1ccccc1)C2 ZINC000598554627 350282019 /nfs/dbraw/zinc/28/20/19/350282019.db2.gz KDSUETFOGQGWMD-UHFFFAOYSA-N 0 0 297.314 2.684 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000598555602 350282884 /nfs/dbraw/zinc/28/28/84/350282884.db2.gz CLIBTADMWSLARA-SNVBAGLBSA-N 0 0 262.309 2.713 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[C@H]1CC1(C)C ZINC000598566574 350283582 /nfs/dbraw/zinc/28/35/82/350283582.db2.gz XOTDKQJUSYSBDL-SNVBAGLBSA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1cccc(C(=O)NCCCc2ccco2)c1[N+](=O)[O-] ZINC000598435955 350268505 /nfs/dbraw/zinc/26/85/05/350268505.db2.gz HWDCBAPJYAECAK-UHFFFAOYSA-N 0 0 288.303 2.859 20 5 CFBDRN CCCCC[C@@H]1CCCCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000598462305 350272043 /nfs/dbraw/zinc/27/20/43/350272043.db2.gz YZHHJJFJWNQERC-LLVKDONJSA-N 0 0 294.355 2.893 20 5 CFBDRN Cc1ccc(C(=O)N(C)CC2=CCSC2)cc1[N+](=O)[O-] ZINC000598514756 350279442 /nfs/dbraw/zinc/27/94/42/350279442.db2.gz PVEYDFDZMMHERN-UHFFFAOYSA-N 0 0 292.360 2.648 20 5 CFBDRN C[C@@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])C[C@H]1CO ZINC000598639360 350299137 /nfs/dbraw/zinc/29/91/37/350299137.db2.gz SGXBTVUVHHCANA-ZJUUUORDSA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1ccnc(NCC[C@@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000598639787 350299947 /nfs/dbraw/zinc/29/99/47/350299947.db2.gz VDETWGAEKWDGAA-MRVPVSSYSA-N 0 0 257.240 2.755 20 5 CFBDRN C[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1CO ZINC000598645255 350300251 /nfs/dbraw/zinc/30/02/51/350300251.db2.gz BWONHQXHDYFWDO-CMPLNLGQSA-N 0 0 298.770 2.699 20 5 CFBDRN CC1(C)CC[C@@H](CO)[N@H+](Cc2cccc([N+](=O)[O-])c2)C1 ZINC000598646988 350301448 /nfs/dbraw/zinc/30/14/48/350301448.db2.gz JBMZXBVLGMJSRX-AWEZNQCLSA-N 0 0 278.352 2.578 20 5 CFBDRN CC1CC(CNC(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000598778271 350327832 /nfs/dbraw/zinc/32/78/32/350327832.db2.gz MLGOLDQFKYMRMZ-UHFFFAOYSA-N 0 0 294.376 2.849 20 5 CFBDRN COC(=O)c1ccnc(NC2CCCCC2)c1[N+](=O)[O-] ZINC000598584930 350286597 /nfs/dbraw/zinc/28/65/97/350286597.db2.gz IRIRGQSVLAKFTL-UHFFFAOYSA-N 0 0 279.296 2.521 20 5 CFBDRN COC(=O)c1ccnc(S[C@@H](C)C(C)C)c1[N+](=O)[O-] ZINC000598599979 350291152 /nfs/dbraw/zinc/29/11/52/350291152.db2.gz LRWCUNJYEFKWKJ-QMMMGPOBSA-N 0 0 284.337 2.913 20 5 CFBDRN CCCC[C@@H](C(=O)Nc1c([N+](=O)[O-])cnn1C)C(C)C ZINC000598827524 350335567 /nfs/dbraw/zinc/33/55/67/350335567.db2.gz INROFDAGJSKAQU-SNVBAGLBSA-N 0 0 282.344 2.729 20 5 CFBDRN CC1CC(CNC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000598891757 350345031 /nfs/dbraw/zinc/34/50/31/350345031.db2.gz DWKDGOVOLHGVEZ-UHFFFAOYSA-N 0 0 296.754 2.953 20 5 CFBDRN CCOc1ccc(C(=O)NCC2CC(C)C2)cc1[N+](=O)[O-] ZINC000598891748 350345057 /nfs/dbraw/zinc/34/50/57/350345057.db2.gz DMCQBRVJYSIOFU-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN CCn1cc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c(C)n1 ZINC000598954089 350348668 /nfs/dbraw/zinc/34/86/68/350348668.db2.gz MVGFWNXEYYYKAS-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@]2(CCOC2)C1 ZINC000599061047 350368310 /nfs/dbraw/zinc/36/83/10/350368310.db2.gz KAAWFNLIXPDMEP-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCCOC2)ccc2cnccc21 ZINC000599025996 350361050 /nfs/dbraw/zinc/36/10/50/350361050.db2.gz GTXTXDMMWKQRCZ-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599045473 350364617 /nfs/dbraw/zinc/36/46/17/350364617.db2.gz AYLDLNVZXCWPEM-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000599053635 350366359 /nfs/dbraw/zinc/36/63/59/350366359.db2.gz FQDJQEIOUAIUDZ-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CC(CC2CC2)C1 ZINC000598782372 350328970 /nfs/dbraw/zinc/32/89/70/350328970.db2.gz ZOJSYQGWMRTPTQ-UHFFFAOYSA-N 0 0 292.310 2.535 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC(CC2CC2)C1 ZINC000598781806 350329227 /nfs/dbraw/zinc/32/92/27/350329227.db2.gz QPYOUMNKWACFND-UHFFFAOYSA-N 0 0 299.330 2.948 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCC[C@H]1CC1(F)F ZINC000598786062 350329735 /nfs/dbraw/zinc/32/97/35/350329735.db2.gz ZHVJAROTDFIDLV-NSHDSACASA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC[C@H]2CC2(F)F)c1 ZINC000598784973 350329970 /nfs/dbraw/zinc/32/99/70/350329970.db2.gz VXOWXADNMIAENY-VIFPVBQESA-N 0 0 284.262 2.678 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1[NH2+][C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000599074619 350371688 /nfs/dbraw/zinc/37/16/88/350371688.db2.gz YONCDUKPGVRSHL-CFVMTHIKSA-N 0 0 276.336 2.739 20 5 CFBDRN CCOC1(C)CN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000599085539 350373130 /nfs/dbraw/zinc/37/31/30/350373130.db2.gz BKLLETRWLBJCEJ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=c1[nH]cccc1C[NH2+][C@@H]1CCCc2ccc([N+](=O)[O-])cc21 ZINC000599087201 350374403 /nfs/dbraw/zinc/37/44/03/350374403.db2.gz FPWWWVOIQBTAKI-OAHLLOKOSA-N 0 0 299.330 2.863 20 5 CFBDRN Cc1ccnc([C@H]2CCN(c3cccnc3[N+](=O)[O-])C2)c1 ZINC000599101607 350375896 /nfs/dbraw/zinc/37/58/96/350375896.db2.gz RYOMIHJCRJNUIJ-LBPRGKRZSA-N 0 0 284.319 2.687 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H]1c1cn[nH]c1 ZINC000599098108 350376039 /nfs/dbraw/zinc/37/60/39/350376039.db2.gz BXRIPXSFTANQFJ-JTQLQIEISA-N 0 0 293.714 2.708 20 5 CFBDRN Cc1cc(NCc2cc3n(n2)CCCO3)c([N+](=O)[O-])s1 ZINC000599108988 350376559 /nfs/dbraw/zinc/37/65/59/350376559.db2.gz PBSGEYBJTLGCFZ-UHFFFAOYSA-N 0 0 294.336 2.556 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCC[C@H]1CCCOC1)CCN2 ZINC000599116424 350378054 /nfs/dbraw/zinc/37/80/54/350378054.db2.gz IFNJPSIWVZPXKN-LLVKDONJSA-N 0 0 291.351 2.791 20 5 CFBDRN Cc1ccnc(NCC[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000599117854 350378265 /nfs/dbraw/zinc/37/82/65/350378265.db2.gz ZBKPJCKBWKFNGD-LLVKDONJSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1ccnc(NCC[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000599117855 350378755 /nfs/dbraw/zinc/37/87/55/350378755.db2.gz ZBKPJCKBWKFNGD-NSHDSACASA-N 0 0 265.313 2.527 20 5 CFBDRN C[C@@H]1CN(c2ccncc2[N+](=O)[O-])C[C@@H](C)C1(F)F ZINC000599119107 350379113 /nfs/dbraw/zinc/37/91/13/350379113.db2.gz WXILMEFUDKSWKH-RKDXNWHRSA-N 0 0 271.267 2.717 20 5 CFBDRN COCCC1CCN(c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000599121252 350379975 /nfs/dbraw/zinc/37/99/75/350379975.db2.gz REWMAAYPSMPAPN-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN Cc1ccnc(S[C@@H]2COC(C)(C)C2)c1[N+](=O)[O-] ZINC000599125169 350380385 /nfs/dbraw/zinc/38/03/85/350380385.db2.gz KVCONFROTNCUIM-VIFPVBQESA-N 0 0 268.338 2.958 20 5 CFBDRN Cc1cccc2c1C[C@@H](Nc1ncc([N+](=O)[O-])s1)CO2 ZINC000599124173 350380630 /nfs/dbraw/zinc/38/06/30/350380630.db2.gz RAHHHRNTXNPWNW-SECBINFHSA-N 0 0 291.332 2.775 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1NCC1CC=CC1 ZINC000599126511 350381155 /nfs/dbraw/zinc/38/11/55/350381155.db2.gz IYSZIBUKMDYGPA-UHFFFAOYSA-N 0 0 298.140 2.552 20 5 CFBDRN CC1(C)C[C@@H](Sc2ccc([N+](=O)[O-])nc2)CO1 ZINC000599125916 350381180 /nfs/dbraw/zinc/38/11/80/350381180.db2.gz ULZKSNQZNNEGTR-SECBINFHSA-N 0 0 254.311 2.649 20 5 CFBDRN Nc1c(F)c(N2CCCC(F)(F)CC2)ccc1[N+](=O)[O-] ZINC000599134592 350381696 /nfs/dbraw/zinc/38/16/96/350381696.db2.gz XZGXBIIEMCSIMI-UHFFFAOYSA-N 0 0 289.257 2.942 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H]1CCCN1CC(F)F ZINC000599133184 350381887 /nfs/dbraw/zinc/38/18/87/350381887.db2.gz DDXAUOPJBJQSSI-QMMMGPOBSA-N 0 0 291.323 2.798 20 5 CFBDRN Cc1ccc(Nc2cnn(CCF)c2)c([N+](=O)[O-])c1 ZINC000599142635 350383832 /nfs/dbraw/zinc/38/38/32/350383832.db2.gz ZCXBONLILXGYAH-UHFFFAOYSA-N 0 0 264.260 2.813 20 5 CFBDRN CC1(C)OC[C@H]2C[C@]21Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000599143360 350384156 /nfs/dbraw/zinc/38/41/56/350384156.db2.gz PIGLYVAUWIDZEZ-UHLUBPPHSA-N 0 0 284.262 2.852 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC2(C1)CCCO2 ZINC000599145681 350384684 /nfs/dbraw/zinc/38/46/84/350384684.db2.gz YVAGGGYKHVYTAK-UHFFFAOYSA-N 0 0 268.700 2.617 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC(Cc2ccco2)C1 ZINC000599151829 350385846 /nfs/dbraw/zinc/38/58/46/350385846.db2.gz MNSDXZYOZUEYRE-UHFFFAOYSA-N 0 0 264.306 2.928 20 5 CFBDRN Cc1c(-c2noc(COCC(F)F)n2)cccc1[N+](=O)[O-] ZINC000599165093 350387459 /nfs/dbraw/zinc/38/74/59/350387459.db2.gz PNERWOMDLJMQHM-UHFFFAOYSA-N 0 0 299.233 2.735 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000599164847 350387503 /nfs/dbraw/zinc/38/75/03/350387503.db2.gz PHLYMHZVSPFRCQ-YDEXJTGXSA-N 0 0 268.288 2.958 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1c1ncc([N+](=O)[O-])cc1F ZINC000599163202 350387626 /nfs/dbraw/zinc/38/76/26/350387626.db2.gz UBCCPSRJJOPMDV-SSDOTTSWSA-N 0 0 275.230 2.753 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2C[C@H]2c2ccsc2)c1[N+](=O)[O-] ZINC000599166439 350388228 /nfs/dbraw/zinc/38/82/28/350388228.db2.gz YDTAUFHSPBDKBV-WCBMZHEXSA-N 0 0 277.305 2.725 20 5 CFBDRN C[C@H]1CC[C@@H](c2noc(Cc3cccc([N+](=O)[O-])c3)n2)O1 ZINC000599177140 350389939 /nfs/dbraw/zinc/38/99/39/350389939.db2.gz KLSMJBSUXLGFPS-CABZTGNLSA-N 0 0 289.291 2.809 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CO[C@@H](C4CC4)C3)ccc2c1 ZINC000599180920 350390930 /nfs/dbraw/zinc/39/09/30/350390930.db2.gz ODUKPMLLLUFASL-IUODEOHRSA-N 0 0 299.330 2.544 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@H](c2ccc(O)cc2)C1 ZINC000599190258 350392658 /nfs/dbraw/zinc/39/26/58/350392658.db2.gz AYFMFENAZWDROH-GFCCVEGCSA-N 0 0 285.303 2.689 20 5 CFBDRN C[C@@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000599189557 350392677 /nfs/dbraw/zinc/39/26/77/350392677.db2.gz SNKRQGAVDDVZBY-QMMMGPOBSA-N 0 0 290.241 2.800 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c3cnccc23)C1 ZINC000599190073 350392986 /nfs/dbraw/zinc/39/29/86/350392986.db2.gz QFJUNXKCSZZHFX-MGCOHNPYSA-N 0 0 273.292 2.732 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000599192232 350393590 /nfs/dbraw/zinc/39/35/90/350393590.db2.gz DYHPDEDVJQGYGX-MGCOHNPYSA-N 0 0 273.292 2.732 20 5 CFBDRN C/C=C\C[C@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000599200711 350394924 /nfs/dbraw/zinc/39/49/24/350394924.db2.gz WSNVDLXOVASGAU-QUCGXOGASA-N 0 0 277.324 2.878 20 5 CFBDRN COc1cc(NC[C@H]2C[C@@H](OC)C2)c([N+](=O)[O-])cc1C ZINC000599207614 350396780 /nfs/dbraw/zinc/39/67/80/350396780.db2.gz XAPIUJNXGBMVFS-PHIMTYICSA-N 0 0 280.324 2.749 20 5 CFBDRN Cc1c(CC(=O)N2C[C@@H]3CCC[C@H]3C2)cccc1[N+](=O)[O-] ZINC000129696308 187447573 /nfs/dbraw/zinc/44/75/73/187447573.db2.gz AMIFKNXWYBDIRZ-KBPBESRZSA-N 0 0 288.347 2.704 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(C2CC2)C2CC2)c(F)c1 ZINC000599599565 350463619 /nfs/dbraw/zinc/46/36/19/350463619.db2.gz LSEICXMFAKLCLR-UHFFFAOYSA-N 0 0 250.273 2.861 20 5 CFBDRN Cc1cc(NC2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000291015939 197889612 /nfs/dbraw/zinc/88/96/12/197889612.db2.gz VOCAJFXIVRWJJH-UHFFFAOYSA-N 0 0 254.261 2.633 20 5 CFBDRN COC(=O)[C@@](C)(NCCC(C)C)c1cccc([N+](=O)[O-])c1 ZINC000599643211 350470646 /nfs/dbraw/zinc/47/06/46/350470646.db2.gz LEQLNQJZKSACAZ-HNNXBMFYSA-N 0 0 294.351 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3c(O)cccc3C2)cc1 ZINC000599646494 350472117 /nfs/dbraw/zinc/47/21/17/350472117.db2.gz LCGGMRIAMMCSCG-UHFFFAOYSA-N 0 0 284.315 2.859 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000599693722 350484822 /nfs/dbraw/zinc/48/48/22/350484822.db2.gz CERZASZISXOHPR-XHSYRHOGSA-N 0 0 293.323 2.528 20 5 CFBDRN Cc1sc(C(=O)N(CC(F)F)C2CC2)cc1[N+](=O)[O-] ZINC000106834413 186139026 /nfs/dbraw/zinc/13/90/26/186139026.db2.gz FMOHUAGIXHQZOT-UHFFFAOYSA-N 0 0 290.291 2.834 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])C12CC(C1)C2 ZINC000599738314 350489230 /nfs/dbraw/zinc/48/92/30/350489230.db2.gz AMOVCUAMTFDPGW-UHFFFAOYSA-N 0 0 294.738 2.707 20 5 CFBDRN Cc1cnccc1NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000107289555 186167262 /nfs/dbraw/zinc/16/72/62/186167262.db2.gz GRHUGEVDOPKJGX-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000271377577 191106002 /nfs/dbraw/zinc/10/60/02/191106002.db2.gz JOXBOJUTCWIYMZ-PWSUYJOCSA-N 0 0 293.323 2.592 20 5 CFBDRN CCOc1cc(Nc2cnn(CC)c2)ccc1[N+](=O)[O-] ZINC000107039812 186156380 /nfs/dbraw/zinc/15/63/80/186156380.db2.gz IOUXEOIGMIERRB-UHFFFAOYSA-N 0 0 276.296 2.954 20 5 CFBDRN CCC[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1)OCC ZINC000600175702 350531125 /nfs/dbraw/zinc/53/11/25/350531125.db2.gz XOUBSFSYAJVYPT-CYBMUJFWSA-N 0 0 280.324 2.530 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCO[C@H](CCF)C1 ZINC000600203937 350538392 /nfs/dbraw/zinc/53/83/92/350538392.db2.gz GNGYRGZXWFNILV-LYKUJDHUSA-N 0 0 294.326 2.668 20 5 CFBDRN O=[N+]([O-])c1cn(CCC2CC2)nc1-c1ccc(F)cn1 ZINC000600543467 350568476 /nfs/dbraw/zinc/56/84/76/350568476.db2.gz AXOYNTBVDSNZEQ-UHFFFAOYSA-N 0 0 276.271 2.793 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)NCC(C)(C)C ZINC000600545146 350568783 /nfs/dbraw/zinc/56/87/83/350568783.db2.gz NGBGDZMAXSUDMK-UHFFFAOYSA-N 0 0 293.367 2.786 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCCOC1CCOCC1 ZINC000600562354 350570984 /nfs/dbraw/zinc/57/09/84/350570984.db2.gz DSYQJFRDIJTESU-UHFFFAOYSA-N 0 0 294.351 2.819 20 5 CFBDRN CCOC(=O)[C@@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000600567727 350571989 /nfs/dbraw/zinc/57/19/89/350571989.db2.gz CGVJMWSCJJBTMJ-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN CCC[C@H](O)CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000600570293 350572527 /nfs/dbraw/zinc/57/25/27/350572527.db2.gz ROUZGBLTADRVQT-LBPRGKRZSA-N 0 0 285.365 2.868 20 5 CFBDRN CCCO[C@H]1CCCN(c2ccc([N+](=O)[O-])cn2)CC1 ZINC000108351216 186212436 /nfs/dbraw/zinc/21/24/36/186212436.db2.gz UNUOFPRHBYCEGK-ZDUSSCGKSA-N 0 0 279.340 2.775 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N(C1CC1)C1CC1 ZINC000600358816 350549778 /nfs/dbraw/zinc/54/97/78/350549778.db2.gz HGBOELWNUCLHSN-UHFFFAOYSA-N 0 0 264.256 2.501 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000600368929 350551265 /nfs/dbraw/zinc/55/12/65/350551265.db2.gz ZZSIQWXSCDUIJI-MFKMUULPSA-N 0 0 298.726 2.546 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000600380250 350552153 /nfs/dbraw/zinc/55/21/53/350552153.db2.gz SLUPZJBVDBSCPB-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000600447368 350556539 /nfs/dbraw/zinc/55/65/39/350556539.db2.gz IVKOMXRWTIGURM-SSDOTTSWSA-N 0 0 290.291 2.916 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000600451411 350557002 /nfs/dbraw/zinc/55/70/02/350557002.db2.gz YUSJQLKDXBZBIB-SECBINFHSA-N 0 0 284.262 2.855 20 5 CFBDRN C/C=C\CNC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000600494078 350559042 /nfs/dbraw/zinc/55/90/42/350559042.db2.gz RAHSYZYYMFUOLC-IHWYPQMZSA-N 0 0 289.335 2.501 20 5 CFBDRN C/C=C\CNC(=O)[C@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000600493200 350559112 /nfs/dbraw/zinc/55/91/12/350559112.db2.gz SUXRJCOSTHIYTP-HSBHDXMMSA-N 0 0 276.336 2.856 20 5 CFBDRN CO[C@@H](Cn1nc(C)c([N+](=O)[O-])c1C)c1ccc(F)cc1 ZINC000600507182 350560150 /nfs/dbraw/zinc/56/01/50/350560150.db2.gz BDBIIKCEGCBUCK-ZDUSSCGKSA-N 0 0 293.298 2.935 20 5 CFBDRN CCC[C@@H](C)CCCn1cc([N+](=O)[O-])ccc1=O ZINC000600510457 350561241 /nfs/dbraw/zinc/56/12/41/350561241.db2.gz OUUSCZLHJCOINN-LLVKDONJSA-N 0 0 252.314 2.973 20 5 CFBDRN CSCCCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129787569 187452981 /nfs/dbraw/zinc/45/29/81/187452981.db2.gz FBKALKCNOLLOHP-UHFFFAOYSA-N 0 0 261.734 2.808 20 5 CFBDRN CCO[C@@H](COc1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000600522758 350563002 /nfs/dbraw/zinc/56/30/02/350563002.db2.gz JAMVQACGTGFGCT-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2noc(C3CCC3)n2)c1 ZINC000600537420 350567489 /nfs/dbraw/zinc/56/74/89/350567489.db2.gz QLENSCAHKDGVOU-UHFFFAOYSA-N 0 0 293.254 2.963 20 5 CFBDRN COc1ccc(CSCc2nc(C)no2)cc1[N+](=O)[O-] ZINC000108411585 186215027 /nfs/dbraw/zinc/21/50/27/186215027.db2.gz VZEWOEFOPYVWJZ-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN CCCCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600886047 350623551 /nfs/dbraw/zinc/62/35/51/350623551.db2.gz KHYNVDCRDYASRB-UHFFFAOYSA-N 0 0 254.261 2.654 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CCO[C@@H](C)C1 ZINC000271393864 191113440 /nfs/dbraw/zinc/11/34/40/191113440.db2.gz MLLMWUWTSNKTRU-CMPLNLGQSA-N 0 0 280.324 2.721 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1)c1ccc(Cl)cn1 ZINC000109731682 186271049 /nfs/dbraw/zinc/27/10/49/186271049.db2.gz XJLAHCQWMRBUOO-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCCC2(CC2)CC1 ZINC000600767695 350607787 /nfs/dbraw/zinc/60/77/87/350607787.db2.gz CGSYWALNOLEBCI-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN O=C(NC[C@H]1CCCC12CC2)c1ccccc1[N+](=O)[O-] ZINC000600803100 350614739 /nfs/dbraw/zinc/61/47/39/350614739.db2.gz IYLHKEGXTDVKOU-LLVKDONJSA-N 0 0 274.320 2.905 20 5 CFBDRN CCCc1cc(NC(=O)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000109912790 186279050 /nfs/dbraw/zinc/27/90/50/186279050.db2.gz WJEFGYNFZTYTFG-UHFFFAOYSA-N 0 0 274.280 2.523 20 5 CFBDRN CCN(CC(C)C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600993218 350642512 /nfs/dbraw/zinc/64/25/12/350642512.db2.gz XTVNXARDKCCLJD-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN O=C(NCC1CCC1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600994902 350643166 /nfs/dbraw/zinc/64/31/66/350643166.db2.gz CGWWPJXKMXFTNN-UHFFFAOYSA-N 0 0 288.347 2.933 20 5 CFBDRN C[C@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC000110181292 186287597 /nfs/dbraw/zinc/28/75/97/186287597.db2.gz XLPPYNCLXRHMAX-ZETCQYMHSA-N 0 0 268.700 2.777 20 5 CFBDRN O=C(OC[C@@H]1CCCCO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110163857 186287873 /nfs/dbraw/zinc/28/78/73/186287873.db2.gz ATIGIJSGDKVZMG-VIFPVBQESA-N 0 0 299.710 2.974 20 5 CFBDRN CCC1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601006196 350644834 /nfs/dbraw/zinc/64/48/34/350644834.db2.gz VGWOIMCCUMRXBU-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000110328203 186292366 /nfs/dbraw/zinc/29/23/66/186292366.db2.gz DQFRKNOYOZAVAW-GFCCVEGCSA-N 0 0 294.351 2.868 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000110332303 186292725 /nfs/dbraw/zinc/29/27/25/186292725.db2.gz CAAMMGNOFBUVFN-SNVBAGLBSA-N 0 0 250.298 2.713 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nnc3n2CCCCCC3)c1 ZINC000601045265 350650098 /nfs/dbraw/zinc/65/00/98/350650098.db2.gz UVUDXAISLZUQSO-UHFFFAOYSA-N 0 0 272.308 2.970 20 5 CFBDRN CN(CCn1cccn1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000110599354 186304230 /nfs/dbraw/zinc/30/42/30/186304230.db2.gz IHFJZETYGQDPMY-UHFFFAOYSA-N 0 0 280.715 2.581 20 5 CFBDRN CSC[C@H](C)Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601118957 350672979 /nfs/dbraw/zinc/67/29/79/350672979.db2.gz RZZSXNQJJQGGCA-YFKPBYRVSA-N 0 0 298.290 2.511 20 5 CFBDRN CC(C)C[C@@H](CCO)CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601125125 350675152 /nfs/dbraw/zinc/67/51/52/350675152.db2.gz LYCUSVQKKYAMCI-SNVBAGLBSA-N 0 0 285.319 2.586 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601122435 350674456 /nfs/dbraw/zinc/67/44/56/350674456.db2.gz WYGMUJUHJJNXDR-CYBMUJFWSA-N 0 0 285.319 2.728 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1CC(C)(C)CO1 ZINC000601069927 350657468 /nfs/dbraw/zinc/65/74/68/350657468.db2.gz MEMTXZKOJLJQPB-NSHDSACASA-N 0 0 281.308 2.797 20 5 CFBDRN COc1cc(COc2cc([N+](=O)[O-])ccc2OC)sn1 ZINC000601070429 350658607 /nfs/dbraw/zinc/65/86/07/350658607.db2.gz PQTTXOJMGSLMET-UHFFFAOYSA-N 0 0 296.304 2.648 20 5 CFBDRN CCc1ccc(OC[C@H]2CCO[C@@H]2C)c([N+](=O)[O-])c1 ZINC000601077185 350660370 /nfs/dbraw/zinc/66/03/70/350660370.db2.gz OHNUGRZEQKAERD-ZYHUDNBSSA-N 0 0 265.309 2.961 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1ccc(F)cc1[N+](=O)[O-] ZINC000601077306 350660392 /nfs/dbraw/zinc/66/03/92/350660392.db2.gz ZYPUWJMQYKDOJK-BDAKNGLRSA-N 0 0 255.245 2.538 20 5 CFBDRN CC(=O)c1ccc(OC[C@H]2CCO[C@H]2C)c([N+](=O)[O-])c1 ZINC000601079660 350660990 /nfs/dbraw/zinc/66/09/90/350660990.db2.gz ARROZQHHSULVAI-CMPLNLGQSA-N 0 0 279.292 2.601 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000601080730 350661683 /nfs/dbraw/zinc/66/16/83/350661683.db2.gz POCOPGTVMTXOAQ-BDAKNGLRSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1nn(C[C@@H]2CCO[C@@H]2C)c2ccc([N+](=O)[O-])cc12 ZINC000601079902 350661716 /nfs/dbraw/zinc/66/17/16/350661716.db2.gz GAKRBZWFNBKDFG-MNOVXSKESA-N 0 0 275.308 2.678 20 5 CFBDRN CC1(C)CC(n2nc(-c3ccccc3[N+](=O)[O-])oc2=O)C1 ZINC000601080847 350661732 /nfs/dbraw/zinc/66/17/32/350661732.db2.gz GREVPOBHRZJDQK-UHFFFAOYSA-N 0 0 289.291 2.773 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1nc(C2CCC2)no1 ZINC000601081846 350662157 /nfs/dbraw/zinc/66/21/57/350662157.db2.gz VHSXKTLFGZGDQA-UHFFFAOYSA-N 0 0 293.254 2.963 20 5 CFBDRN C[C@H]1OCC[C@H]1CSc1ccc([N+](=O)[O-])cn1 ZINC000601081073 350662427 /nfs/dbraw/zinc/66/24/27/350662427.db2.gz SYJQWXAEOPITCL-BDAKNGLRSA-N 0 0 254.311 2.507 20 5 CFBDRN COc1cc(OC[C@H]2CC(C)(C)CO2)ccc1[N+](=O)[O-] ZINC000601081515 350662457 /nfs/dbraw/zinc/66/24/57/350662457.db2.gz PKXYNVUYYVXAPN-LLVKDONJSA-N 0 0 281.308 2.797 20 5 CFBDRN CC1(C)CO[C@H](COc2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000601083006 350663018 /nfs/dbraw/zinc/66/30/18/350663018.db2.gz XRXAOSINMCYZHM-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN O=C1[C@H](Sc2cccc([N+](=O)[O-])c2)CCN1C1CCC1 ZINC000601082327 350663022 /nfs/dbraw/zinc/66/30/22/350663022.db2.gz GKKOKPLCAQRCMM-CYBMUJFWSA-N 0 0 292.360 2.840 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Cn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000601084720 350663586 /nfs/dbraw/zinc/66/35/86/350663586.db2.gz AFNWQCPKARZCBY-NWDGAFQWSA-N 0 0 287.319 2.883 20 5 CFBDRN CCOC(=O)CCSCCc1ccc([N+](=O)[O-])cc1 ZINC000601096570 350666872 /nfs/dbraw/zinc/66/68/72/350666872.db2.gz XAPOCMBCSULIBZ-UHFFFAOYSA-N 0 0 283.349 2.824 20 5 CFBDRN CC(C)(C)c1c[nH]c(SCCn2cc([N+](=O)[O-])cn2)n1 ZINC000601097185 350667607 /nfs/dbraw/zinc/66/76/07/350667607.db2.gz OLKMMTFRGPUABW-UHFFFAOYSA-N 0 0 295.368 2.604 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601099101 350667934 /nfs/dbraw/zinc/66/79/34/350667934.db2.gz SKXKVSZSCXBLLV-RKDXNWHRSA-N 0 0 253.277 2.611 20 5 CFBDRN CCC(C)(C)Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601100386 350668100 /nfs/dbraw/zinc/66/81/00/350668100.db2.gz BGBOLQKLUSLRQJ-UHFFFAOYSA-N 0 0 280.250 2.948 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CCSCC3)c2c1 ZINC000601104770 350669381 /nfs/dbraw/zinc/66/93/81/350669381.db2.gz GMMZOIINQQSCSY-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@@H]1c1ccccn1 ZINC000601105773 350669924 /nfs/dbraw/zinc/66/99/24/350669924.db2.gz HSFUFMCECQWHGV-GFCCVEGCSA-N 0 0 288.282 2.865 20 5 CFBDRN CC(C)[C@@H]1CN(c2ncc(F)cc2[N+](=O)[O-])CCS1 ZINC000601124460 350675103 /nfs/dbraw/zinc/67/51/03/350675103.db2.gz GIAWCMHYAJPFBD-NSHDSACASA-N 0 0 285.344 2.707 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncnc3cccc([N+](=O)[O-])c32)[C@H](C)O1 ZINC000601223959 350702162 /nfs/dbraw/zinc/70/21/62/350702162.db2.gz UXQWQTIIXBLEMV-WCABBAIRSA-N 0 0 288.307 2.516 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](C)O[C@H]2C)c([N+](=O)[O-])s1 ZINC000601223831 350702178 /nfs/dbraw/zinc/70/21/78/350702178.db2.gz OOFMMCPKJHVMJO-CNUIFLNQSA-N 0 0 256.327 2.943 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000601223586 350702249 /nfs/dbraw/zinc/70/22/49/350702249.db2.gz HLJMHPNVXFFURV-DFAYQTQMSA-N 0 0 278.308 2.775 20 5 CFBDRN Cc1ncc([C@H](C)Nc2ncccc2[N+](=O)[O-])s1 ZINC000601227910 350703279 /nfs/dbraw/zinc/70/32/79/350703279.db2.gz IOMJQLDVTNMMBD-ZETCQYMHSA-N 0 0 264.310 2.928 20 5 CFBDRN C[C@H](Nc1ccc(Cl)cc1[N+](=O)[O-])[C@H](O)C(F)(F)F ZINC000601237647 350706291 /nfs/dbraw/zinc/70/62/91/350706291.db2.gz JQVCLOGHCZXVPM-CDUCUWFYSA-N 0 0 298.648 2.972 20 5 CFBDRN CC[C@H](NC(=O)CC1CSC1)c1cccc([N+](=O)[O-])c1 ZINC000601279899 350716558 /nfs/dbraw/zinc/71/65/58/350716558.db2.gz CJZBNQMTLGPQPV-ZDUSSCGKSA-N 0 0 294.376 2.915 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](O)[C@H]2CCCC[C@H]21 ZINC000601252198 350710331 /nfs/dbraw/zinc/71/03/31/350710331.db2.gz HGCKMTDNBRHTPM-ZOWXZIJZSA-N 0 0 276.336 2.725 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1OC2CCC1CC2 ZINC000601260387 350710765 /nfs/dbraw/zinc/71/07/65/350710765.db2.gz PXGBBUBHHRQPKK-UHFFFAOYSA-N 0 0 277.280 2.685 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1OC2CCC1CC2 ZINC000601261161 350711652 /nfs/dbraw/zinc/71/16/52/350711652.db2.gz ZLZJKBPLEGQOII-UHFFFAOYSA-N 0 0 277.280 2.685 20 5 CFBDRN CC(=O)c1ccc(N2OC3CCC2CC3)c([N+](=O)[O-])c1 ZINC000601272340 350713717 /nfs/dbraw/zinc/71/37/17/350713717.db2.gz DBWBGAAOGPWEJW-UHFFFAOYSA-N 0 0 276.292 2.860 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1CC[C@@H](CO)CC1 ZINC000601128750 350676907 /nfs/dbraw/zinc/67/69/07/350676907.db2.gz CYHQHHSXUZZNKV-DTORHVGOSA-N 0 0 256.327 2.619 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)C[C@@H](C)O1 ZINC000111008839 186342644 /nfs/dbraw/zinc/34/26/44/186342644.db2.gz UXUJLMZVPJEEGC-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccsc2[N+](=O)[O-])o1 ZINC000601130406 350677235 /nfs/dbraw/zinc/67/72/35/350677235.db2.gz UOOCCJRTPBFYMA-YFKPBYRVSA-N 0 0 254.271 2.521 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2ccsc2[N+](=O)[O-])n[nH]1 ZINC000601131972 350677387 /nfs/dbraw/zinc/67/73/87/350677387.db2.gz TWAJALKVZPNJCI-ZETCQYMHSA-N 0 0 266.326 2.731 20 5 CFBDRN C[C@@H]1OCCN(c2ccnc3ccc([N+](=O)[O-])cc32)[C@H]1C ZINC000601130475 350677580 /nfs/dbraw/zinc/67/75/80/350677580.db2.gz LFTZCHSMBOJQTO-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC=C(C(F)(F)F)CC1 ZINC000601134392 350678029 /nfs/dbraw/zinc/67/80/29/350678029.db2.gz BIYJXRPPFJGJOV-UHFFFAOYSA-N 0 0 291.204 2.828 20 5 CFBDRN C[C@@]1(CNc2ncc(F)cc2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000601143717 350681311 /nfs/dbraw/zinc/68/13/11/350681311.db2.gz JCZZUNRGFOIXNM-OCCSQVGLSA-N 0 0 295.314 2.746 20 5 CFBDRN C[C@@](CO)(NCc1ccsc1)c1cccc([N+](=O)[O-])c1 ZINC000601149533 350682174 /nfs/dbraw/zinc/68/21/74/350682174.db2.gz NQOJDKMMZCOBJU-AWEZNQCLSA-N 0 0 292.360 2.654 20 5 CFBDRN CCOC1CC2(C1)CCN(c1ncc(F)cc1[N+](=O)[O-])C2 ZINC000601165455 350685768 /nfs/dbraw/zinc/68/57/68/350685768.db2.gz PCBFPRCGYDYXIZ-UHFFFAOYSA-N 0 0 295.314 2.524 20 5 CFBDRN Cc1cccc(CCCNc2ncc(F)cc2[N+](=O)[O-])n1 ZINC000601164312 350685864 /nfs/dbraw/zinc/68/58/64/350685864.db2.gz BEUPXSMUAXSGEL-UHFFFAOYSA-N 0 0 290.298 2.877 20 5 CFBDRN CN(c1ccnc2ccc([N+](=O)[O-])cc21)[C@@H]1CCCOC1 ZINC000601165978 350686623 /nfs/dbraw/zinc/68/66/23/350686623.db2.gz WNTWUOYBBOVVLI-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN CCC1(C)CN(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000601166124 350686646 /nfs/dbraw/zinc/68/66/46/350686646.db2.gz GSDAZTZQMPGYEO-UHFFFAOYSA-N 0 0 292.261 2.583 20 5 CFBDRN C[C@@H](CC(C)(C)O)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601170521 350687072 /nfs/dbraw/zinc/68/70/72/350687072.db2.gz CLKRHQQFHVVHDN-JTQLQIEISA-N 0 0 289.335 2.526 20 5 CFBDRN Cc1cccnc1CCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000601171827 350687870 /nfs/dbraw/zinc/68/78/70/350687870.db2.gz QSZDSFKZFQNNMN-UHFFFAOYSA-N 0 0 290.298 2.877 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccsc2[N+](=O)[O-])c2nccn21 ZINC000601171390 350688163 /nfs/dbraw/zinc/68/81/63/350688163.db2.gz KYTNFWAYVBGLTH-APPZFPTMSA-N 0 0 264.310 2.971 20 5 CFBDRN CC(C)(CNc1ncc(F)cc1[N+](=O)[O-])c1ccccn1 ZINC000601170952 350688207 /nfs/dbraw/zinc/68/82/07/350688207.db2.gz XBSOIYYFNLZDGJ-UHFFFAOYSA-N 0 0 290.298 2.914 20 5 CFBDRN CC1(C)C[C@@H](CNc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601172551 350688758 /nfs/dbraw/zinc/68/87/58/350688758.db2.gz AEDFNJAGUTYXKD-VIFPVBQESA-N 0 0 283.303 2.746 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]1C(F)(F)F ZINC000601189394 350692089 /nfs/dbraw/zinc/69/20/89/350692089.db2.gz QWQHUOQYOPNFHD-SECBINFHSA-N 0 0 264.178 2.875 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1C[C@@H]2CCCC[C@@H]21 ZINC000601189848 350692205 /nfs/dbraw/zinc/69/22/05/350692205.db2.gz MYCHOGGRDFMQOE-WPRPVWTQSA-N 0 0 251.261 2.508 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@H]1CCc2cccnc21 ZINC000601191489 350692865 /nfs/dbraw/zinc/69/28/65/350692865.db2.gz KYJYEMNPEQNHTK-SNVBAGLBSA-N 0 0 288.282 2.666 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000271406617 191119180 /nfs/dbraw/zinc/11/91/80/191119180.db2.gz JOLJOXBWWPLYJT-WCQYABFASA-N 0 0 276.336 2.927 20 5 CFBDRN COc1cc(CNc2cc(C)c([N+](=O)[O-])cc2F)on1 ZINC000601197983 350694096 /nfs/dbraw/zinc/69/40/96/350694096.db2.gz KFCQXRAEBVMGSI-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN CC1(C)[C@@H](CNc2ncc(F)cc2[N+](=O)[O-])C1(F)F ZINC000601196672 350694327 /nfs/dbraw/zinc/69/43/27/350694327.db2.gz RERUAGVAHRGESD-MRVPVSSYSA-N 0 0 275.230 2.832 20 5 CFBDRN COCc1csc(CNc2ncc(F)cc2[N+](=O)[O-])c1 ZINC000601195484 350694428 /nfs/dbraw/zinc/69/44/28/350694428.db2.gz PTUDVWRQNQWYLI-UHFFFAOYSA-N 0 0 297.311 2.949 20 5 CFBDRN CC(C)CCO[C@@H]1CCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601199379 350694768 /nfs/dbraw/zinc/69/47/68/350694768.db2.gz QMTPNZPAGCLWPU-GFCCVEGCSA-N 0 0 297.330 2.770 20 5 CFBDRN Cc1cc(NCC(C)(C)n2ccnc2)ccc1[N+](=O)[O-] ZINC000601198482 350695167 /nfs/dbraw/zinc/69/51/67/350695167.db2.gz YAWVEJILHCXPTA-UHFFFAOYSA-N 0 0 274.324 2.947 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC(Cc2cccs2)C1 ZINC000601203220 350696377 /nfs/dbraw/zinc/69/63/77/350696377.db2.gz BCSQHHHUKWTUKN-UHFFFAOYSA-N 0 0 293.323 2.869 20 5 CFBDRN CC(C)NC(=O)c1ccc(NCC(C)(C)F)c([N+](=O)[O-])c1 ZINC000601206226 350696898 /nfs/dbraw/zinc/69/68/98/350696898.db2.gz REQSGRYTCWUSFH-UHFFFAOYSA-N 0 0 297.330 2.893 20 5 CFBDRN CSC1CCN(c2ncc([N+](=O)[O-])cc2C)CC1 ZINC000601208161 350697409 /nfs/dbraw/zinc/69/74/09/350697409.db2.gz XAYMUEGHBCRUGY-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000601211230 350698209 /nfs/dbraw/zinc/69/82/09/350698209.db2.gz XGCJRCIQNLBKAY-JTQLQIEISA-N 0 0 264.329 2.635 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H]1C[C@@H](CO)C1 ZINC000601212266 350698698 /nfs/dbraw/zinc/69/86/98/350698698.db2.gz WZTQGWFRSMOQMJ-DTORHVGOSA-N 0 0 270.716 2.739 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H](c2cccnc2)C1 ZINC000601217359 350700394 /nfs/dbraw/zinc/70/03/94/350700394.db2.gz OPNCVLJRJWCNAB-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN CCOC(=O)CCSc1ccc([N+](=O)[O-])c(C)c1 ZINC000601220756 350700847 /nfs/dbraw/zinc/70/08/47/350700847.db2.gz BEAZPHSPXHOREK-UHFFFAOYSA-N 0 0 269.322 2.949 20 5 CFBDRN CCOC(=O)CCSc1ccc(C)cc1[N+](=O)[O-] ZINC000601221390 350701754 /nfs/dbraw/zinc/70/17/54/350701754.db2.gz LLEAALUJJYGCIQ-UHFFFAOYSA-N 0 0 269.322 2.949 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2ncoc2C)c1 ZINC000271405710 191119809 /nfs/dbraw/zinc/11/98/09/191119809.db2.gz SFKCROPQTVYGPS-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@@H]1C(F)F ZINC000601602772 350745748 /nfs/dbraw/zinc/74/57/48/350745748.db2.gz JZMYHRPTDPREKJ-LLVKDONJSA-N 0 0 295.245 2.556 20 5 CFBDRN CN(C(=O)c1c(F)cccc1[N+](=O)[O-])C1CC(C)(C)C1 ZINC000601633457 350750775 /nfs/dbraw/zinc/75/07/75/350750775.db2.gz QZOFREVXRORDEZ-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN CN(C(=O)c1n[nH]cc1[N+](=O)[O-])[C@H]1CCC[C@H]1C(C)(C)C ZINC000416296115 233566568 /nfs/dbraw/zinc/56/65/68/233566568.db2.gz UYNMWNKYTAYIIB-ZJUUUORDSA-N 0 0 294.355 2.605 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000601642656 350752458 /nfs/dbraw/zinc/75/24/58/350752458.db2.gz ATGFMKADUAGQLS-UTLUCORTSA-N 0 0 280.299 2.850 20 5 CFBDRN O=C(NCC1=CCCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601671739 350756800 /nfs/dbraw/zinc/75/68/00/350756800.db2.gz UNNQOUYGQZKKFL-UHFFFAOYSA-N 0 0 264.256 2.574 20 5 CFBDRN O=C(NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1c(F)cccc1[N+](=O)[O-] ZINC000601679010 350757787 /nfs/dbraw/zinc/75/77/87/350757787.db2.gz GOUOWGLLLSLVLL-MXWKQRLJSA-N 0 0 292.310 2.900 20 5 CFBDRN CSc1ccc(C(=O)NCC(C)(C)F)cc1[N+](=O)[O-] ZINC000601688136 350760037 /nfs/dbraw/zinc/76/00/37/350760037.db2.gz HZSGVLDFRFZXAE-UHFFFAOYSA-N 0 0 286.328 2.795 20 5 CFBDRN CC(C)(F)CNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000601689331 350760629 /nfs/dbraw/zinc/76/06/29/350760629.db2.gz RQHXPXHHFVDHSW-UHFFFAOYSA-N 0 0 286.328 2.551 20 5 CFBDRN CSC1CCN(C(=O)c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000601691702 350761364 /nfs/dbraw/zinc/76/13/64/350761364.db2.gz MPGASFGWGAXSEU-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN CC1(C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])CCS1 ZINC000601291260 350718640 /nfs/dbraw/zinc/71/86/40/350718640.db2.gz BNJNRHJNWJVCNQ-UHFFFAOYSA-N 0 0 298.339 2.702 20 5 CFBDRN CCOC(C)(C)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000601353529 350725817 /nfs/dbraw/zinc/72/58/17/350725817.db2.gz PEKHLDNPQIPCRG-UHFFFAOYSA-N 0 0 277.280 2.916 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)[C@H](C)O1 ZINC000601373827 350727664 /nfs/dbraw/zinc/72/76/64/350727664.db2.gz ZMUUYTTWZBOZCD-CSMHCCOUSA-N 0 0 295.320 2.987 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)[C@@H](C)O1 ZINC000601373825 350727777 /nfs/dbraw/zinc/72/77/77/350727777.db2.gz ZMUUYTTWZBOZCD-BWZBUEFSSA-N 0 0 295.320 2.987 20 5 CFBDRN CC[C@@H](C)Cc1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000601398566 350729681 /nfs/dbraw/zinc/72/96/81/350729681.db2.gz HSWCKBPZWQLIFJ-MRVPVSSYSA-N 0 0 264.285 2.572 20 5 CFBDRN C[C@H]1CN(C(=O)c2c(F)cccc2[N+](=O)[O-])CC[C@@H]1C ZINC000601397874 350729860 /nfs/dbraw/zinc/72/98/60/350729860.db2.gz VVQFATBDNTVOLS-UWVGGRQHSA-N 0 0 280.299 2.852 20 5 CFBDRN CC1(CCNC(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000601543478 350737935 /nfs/dbraw/zinc/73/79/35/350737935.db2.gz WFGHLVPKIIRKGL-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CC(C2CCCC2)C1 ZINC000601547522 350738707 /nfs/dbraw/zinc/73/87/07/350738707.db2.gz LVNVHYGVXVYPAS-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC(CCF)CC1 ZINC000601748094 350771926 /nfs/dbraw/zinc/77/19/26/350771926.db2.gz XVBNUHRWHNEHBX-UHFFFAOYSA-N 0 0 298.289 2.946 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000601868059 350794240 /nfs/dbraw/zinc/79/42/40/350794240.db2.gz FAOXTXVTEPAXLY-MRVPVSSYSA-N 0 0 268.338 2.965 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000601868060 350794712 /nfs/dbraw/zinc/79/47/12/350794712.db2.gz FAOXTXVTEPAXLY-QMMMGPOBSA-N 0 0 268.338 2.965 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC1CCC1 ZINC000601870117 350795435 /nfs/dbraw/zinc/79/54/35/350795435.db2.gz MGCCVDUGZVTBQL-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2C(F)(F)F)c1 ZINC000601891808 350798990 /nfs/dbraw/zinc/79/89/90/350798990.db2.gz FAVHESSSPHMBFK-RKDXNWHRSA-N 0 0 288.225 2.584 20 5 CFBDRN CO[C@@H](CSCCn1c(C)ncc1[N+](=O)[O-])C1CCC1 ZINC000601916910 350801630 /nfs/dbraw/zinc/80/16/30/350801630.db2.gz BWLTUNDVKJFCIK-LBPRGKRZSA-N 0 0 299.396 2.648 20 5 CFBDRN CC[C@H]1C(=O)N(c2cc([N+](=O)[O-])ccc2C)N=C1C ZINC000601934779 350807674 /nfs/dbraw/zinc/80/76/74/350807674.db2.gz FNVDCNUPSMWGBS-LLVKDONJSA-N 0 0 261.281 2.652 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1N1N=C(C)C[C@@H](C)C1=O ZINC000601937106 350809380 /nfs/dbraw/zinc/80/93/80/350809380.db2.gz BTTNACSOYFZCEN-MRVPVSSYSA-N 0 0 261.281 2.652 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2Cl)C(=O)CC1 ZINC000601941441 350811125 /nfs/dbraw/zinc/81/11/25/350811125.db2.gz XAMVLCWEEUFFML-UHFFFAOYSA-N 0 0 267.672 2.751 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cn1)C1(O)CCCC1 ZINC000450916338 535155684 /nfs/dbraw/zinc/15/56/84/535155684.db2.gz USJZMFHEQLXDPU-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)CC(C)=C(C)C ZINC000602076357 350837801 /nfs/dbraw/zinc/83/78/01/350837801.db2.gz XOYICWDDYSPGRB-UHFFFAOYSA-N 0 0 292.335 2.966 20 5 CFBDRN CC(C)=C(C)CC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000602134667 350844961 /nfs/dbraw/zinc/84/49/61/350844961.db2.gz XOUXIMSRLTWEKK-UHFFFAOYSA-N 0 0 276.336 3.000 20 5 CFBDRN CC(C)=C(C)CC(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000602131504 350845082 /nfs/dbraw/zinc/84/50/82/350845082.db2.gz DMLVAWDTXBEYDN-UHFFFAOYSA-N 0 0 289.335 2.621 20 5 CFBDRN C[C@@]1(C2CCCCC2)CCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000602213574 350852235 /nfs/dbraw/zinc/85/22/35/350852235.db2.gz GJMNUSZFDIHKFM-AWEZNQCLSA-N 0 0 292.339 2.503 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C12CC(C1)C2 ZINC000602241862 350855744 /nfs/dbraw/zinc/85/57/44/350855744.db2.gz MDUZIDYTLUWHHU-SBTGLGLWSA-N 0 0 272.304 2.673 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@]12CCC[C@H]1OCC2 ZINC000602250709 350856385 /nfs/dbraw/zinc/85/63/85/350856385.db2.gz RQBKSNOHZBNPRF-OCCSQVGLSA-N 0 0 294.282 2.632 20 5 CFBDRN CCO[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000602251567 350856699 /nfs/dbraw/zinc/85/66/99/350856699.db2.gz XBLMWKOQICZBTL-GFCCVEGCSA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2CCCC23CC3)ccc1[N+](=O)[O-] ZINC000602284541 350858765 /nfs/dbraw/zinc/85/87/65/350858765.db2.gz DMCGNBYLIARLEJ-SNVBAGLBSA-N 0 0 275.308 2.817 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2C[C@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000602302875 350861072 /nfs/dbraw/zinc/86/10/72/350861072.db2.gz PJBBBCAMOBFDEY-JBLDHEPKSA-N 0 0 278.308 2.655 20 5 CFBDRN CCO[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1CC)C1CC1 ZINC000602339550 350866077 /nfs/dbraw/zinc/86/60/77/350866077.db2.gz AJCKBIIODSAPFL-CQSZACIVSA-N 0 0 292.335 2.911 20 5 CFBDRN CC[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)C[C@H](C)O1 ZINC000111276020 186387000 /nfs/dbraw/zinc/38/70/00/186387000.db2.gz DRNOYAMJLZZISV-SMDDNHRTSA-N 0 0 294.351 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2cnn(CC(F)F)c2)cc1 ZINC000602503802 350907920 /nfs/dbraw/zinc/90/79/20/350907920.db2.gz GIIITXOKTVQRRV-UHFFFAOYSA-N 0 0 296.277 2.711 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccccc2O)cc1[N+](=O)[O-] ZINC000111888436 186464871 /nfs/dbraw/zinc/46/48/71/186464871.db2.gz FCVYCRWGCISLJH-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc(F)ccc2F)cn1 ZINC000111406023 186414748 /nfs/dbraw/zinc/41/47/48/186414748.db2.gz NZXNZZJVOVMPMY-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCC[C@@H](F)C3)nc2c1 ZINC000602706496 350990780 /nfs/dbraw/zinc/99/07/80/350990780.db2.gz QHHKRPYDSAYDPP-SECBINFHSA-N 0 0 279.271 2.670 20 5 CFBDRN COCC1(C2CC2)CN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000602730762 351004221 /nfs/dbraw/zinc/00/42/21/351004221.db2.gz GIHUQBUWJCLRCE-UHFFFAOYSA-N 0 0 294.326 2.592 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)CC2CC2)ccc1[N+](=O)[O-] ZINC000112606311 186508893 /nfs/dbraw/zinc/50/88/93/186508893.db2.gz TZOKYWDKHWFQCX-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN CN(Cc1cn(C)nc1[N+](=O)[O-])c1ccc(F)c(Cl)c1 ZINC000602800282 351031010 /nfs/dbraw/zinc/03/10/10/351031010.db2.gz HCAAVMFUCLFWNH-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)Cc1ccns1 ZINC000602881194 351056081 /nfs/dbraw/zinc/05/60/81/351056081.db2.gz DOBJSGYDPIKLEX-UHFFFAOYSA-N 0 0 269.351 2.745 20 5 CFBDRN CC1(C)CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000602752347 351013635 /nfs/dbraw/zinc/01/36/35/351013635.db2.gz UXEZGDMOYPWNHR-UHFFFAOYSA-N 0 0 261.281 2.578 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)NC1(C(F)(F)F)CC1 ZINC000602756415 351014922 /nfs/dbraw/zinc/01/49/22/351014922.db2.gz NVGOTZAQPGRZMR-UHFFFAOYSA-N 0 0 289.213 2.811 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC(C(F)(F)F)C1 ZINC000602757926 351014993 /nfs/dbraw/zinc/01/49/93/351014993.db2.gz WDZQIWFENAUNPK-UHFFFAOYSA-N 0 0 289.213 2.621 20 5 CFBDRN CC(C)/C=C\c1cn(Cc2csc([N+](=O)[O-])c2)nn1 ZINC000603206299 351118267 /nfs/dbraw/zinc/11/82/67/351118267.db2.gz QBNZVDNGOQGXMO-ARJAWSKDSA-N 0 0 278.337 2.965 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C(F)F ZINC000603213913 351118797 /nfs/dbraw/zinc/11/87/97/351118797.db2.gz BXEUTVYKWVJLHC-JGVFFNPUSA-N 0 0 287.266 2.609 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2C[C@@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000602925616 351068243 /nfs/dbraw/zinc/06/82/43/351068243.db2.gz JJFIRXIOFWVUFL-JFGNBEQYSA-N 0 0 293.323 2.591 20 5 CFBDRN Cc1n[nH]cc1CN(C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000602943788 351070771 /nfs/dbraw/zinc/07/07/71/351070771.db2.gz QLLHCLUMNDTFOE-UHFFFAOYSA-N 0 0 294.742 2.912 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)N[C@H](C)CC(C)(C)C ZINC000602953393 351071824 /nfs/dbraw/zinc/07/18/24/351071824.db2.gz AYFOAJNOZUIUQG-SNVBAGLBSA-N 0 0 294.355 2.666 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602956888 351072490 /nfs/dbraw/zinc/07/24/90/351072490.db2.gz MKQZFBIZKXQKID-ONGXEEELSA-N 0 0 294.355 2.666 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2OC3CCC2CC3)cc1 ZINC000603031893 351092559 /nfs/dbraw/zinc/09/25/59/351092559.db2.gz LJINQJOSHCAITO-UHFFFAOYSA-N 0 0 262.309 2.696 20 5 CFBDRN Cc1ccc(-c2nc(C3CCOCC3)no2)cc1[N+](=O)[O-] ZINC000113754370 186594973 /nfs/dbraw/zinc/59/49/73/186594973.db2.gz KRLRBLRAWYXRMO-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1cc2n(n1)CCCC2 ZINC000603401698 351157138 /nfs/dbraw/zinc/15/71/38/351157138.db2.gz HJKBTZPFKAHNIH-UHFFFAOYSA-N 0 0 290.298 2.879 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCC1CSC1 ZINC000603414031 351159762 /nfs/dbraw/zinc/15/97/62/351159762.db2.gz NKGAWMSZJGDKIS-UHFFFAOYSA-N 0 0 275.333 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccc3c(c2)OCCCO3)cn1 ZINC000603474370 351165955 /nfs/dbraw/zinc/16/59/55/351165955.db2.gz PRXWOJUVLGTINE-UHFFFAOYSA-N 0 0 287.275 2.895 20 5 CFBDRN CC(C)CCN(C(=O)c1cc([N+](=O)[O-])cn1C)C1CC1 ZINC000603635310 351176714 /nfs/dbraw/zinc/17/67/14/351176714.db2.gz GXCDOHBOEUVAPU-UHFFFAOYSA-N 0 0 279.340 2.584 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1ccc(Cl)cc1F ZINC000603675035 351177469 /nfs/dbraw/zinc/17/74/69/351177469.db2.gz LHMDBKCULKAHBH-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN CN(C(=O)CCC(C)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000603734032 351180087 /nfs/dbraw/zinc/18/00/87/351180087.db2.gz VQIFXFQVZDSEDI-UHFFFAOYSA-N 0 0 272.251 2.993 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(CC1CC1)C(C)C ZINC000603755858 351180522 /nfs/dbraw/zinc/18/05/22/351180522.db2.gz GOCOWLRQKLPMJH-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN O=c1cc(-c2ccc([N+](=O)[O-])cc2)[n-]n1Cc1ccccc1 ZINC000603807636 351182580 /nfs/dbraw/zinc/18/25/80/351182580.db2.gz FMLZATQYHTVWOQ-UHFFFAOYSA-N 0 0 295.298 2.731 20 5 CFBDRN COc1ccc(C)cc1CNc1cccnc1[N+](=O)[O-] ZINC000603849909 351186202 /nfs/dbraw/zinc/18/62/02/351186202.db2.gz KSOHMVGIYRBNFQ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1ccc([N+](=O)[O-])cc1Br ZINC000603266836 351127416 /nfs/dbraw/zinc/12/74/16/351127416.db2.gz OEBOZYUQQNBPDO-SSDOTTSWSA-N 0 0 299.124 2.592 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1C ZINC000603265423 351127558 /nfs/dbraw/zinc/12/75/58/351127558.db2.gz GMDQCLCDAZZQCJ-VIFPVBQESA-N 0 0 280.349 2.941 20 5 CFBDRN COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H]2C)cc1SC ZINC000603268373 351128097 /nfs/dbraw/zinc/12/80/97/351128097.db2.gz ZLSIAANMQJEUAR-QMMMGPOBSA-N 0 0 296.348 2.560 20 5 CFBDRN CCC[C@@H](C)NC(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000604079192 351199416 /nfs/dbraw/zinc/19/94/16/351199416.db2.gz YBOHKGAPMXCVHP-SNVBAGLBSA-N 0 0 295.339 2.591 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000604085674 351199516 /nfs/dbraw/zinc/19/95/16/351199516.db2.gz MBABLVKEFHNNJR-JTQLQIEISA-N 0 0 267.329 2.869 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@@H]2CC2(C)C)c([N+](=O)[O-])c1 ZINC000604270862 351203939 /nfs/dbraw/zinc/20/39/39/351203939.db2.gz WNLJUKOEZIYVEC-CYBMUJFWSA-N 0 0 291.351 2.943 20 5 CFBDRN C[C@@H](CNC(=O)CCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000604395827 351210795 /nfs/dbraw/zinc/21/07/95/351210795.db2.gz CTRDRAFGZGYDJY-NSHDSACASA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000604400781 351210810 /nfs/dbraw/zinc/21/08/10/351210810.db2.gz OMRYTAYXBRILIA-SECBINFHSA-N 0 0 263.297 2.762 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC000604396240 351211051 /nfs/dbraw/zinc/21/10/51/351211051.db2.gz ROQYWRKISSNZCR-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])s1 ZINC000604409268 351211569 /nfs/dbraw/zinc/21/15/69/351211569.db2.gz LOXLIJLHZZLYBN-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN CN(Cc1nccn1C(F)F)c1ccsc1[N+](=O)[O-] ZINC000604432646 351212422 /nfs/dbraw/zinc/21/24/22/351212422.db2.gz IVVHNFVRIDJFCJ-UHFFFAOYSA-N 0 0 288.279 2.884 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCCOC1CCCC1 ZINC000604508573 351216101 /nfs/dbraw/zinc/21/61/01/351216101.db2.gz XIOZVJWABOTUDS-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN C[C@H](CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000604547308 351218577 /nfs/dbraw/zinc/21/85/77/351218577.db2.gz CSIZKVHSGQEBSK-SECBINFHSA-N 0 0 287.319 2.852 20 5 CFBDRN CCn1nc(C)cc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000604565766 351219824 /nfs/dbraw/zinc/21/98/24/351219824.db2.gz IDVNGYHQRVKUNT-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)c1nccs1 ZINC000604566702 351219884 /nfs/dbraw/zinc/21/98/84/351219884.db2.gz PXVHDKWUWKJXJF-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000604562255 351220019 /nfs/dbraw/zinc/22/00/19/351220019.db2.gz WZEQEJQQQDTHFY-JTQLQIEISA-N 0 0 250.298 2.822 20 5 CFBDRN CC1(C)CN(Cc2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000116272908 186704664 /nfs/dbraw/zinc/70/46/64/186704664.db2.gz AENABAYIMCKYDG-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000271431123 191134356 /nfs/dbraw/zinc/13/43/56/191134356.db2.gz MYUDWQDFGSCJMW-RYUDHWBXSA-N 0 0 292.335 2.763 20 5 CFBDRN CCCCOCC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000118195366 186765951 /nfs/dbraw/zinc/76/59/51/186765951.db2.gz NVNCEKHGQUQMET-UHFFFAOYSA-N 0 0 281.308 2.934 20 5 CFBDRN C[C@H]1SCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000118460150 186775353 /nfs/dbraw/zinc/77/53/53/186775353.db2.gz WOKYXGYVPZGYMS-VHSXEESVSA-N 0 0 295.364 2.953 20 5 CFBDRN CCONC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000119151968 186805994 /nfs/dbraw/zinc/80/59/94/186805994.db2.gz IKBYFAIHEVJYER-UHFFFAOYSA-N 0 0 266.297 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@H](c3ccccc3)C2)nc1 ZINC000609232688 351493012 /nfs/dbraw/zinc/49/30/12/351493012.db2.gz FBDKBBXEYGEHCH-HNNXBMFYSA-N 0 0 299.330 2.958 20 5 CFBDRN Cc1ccc2c(c1)[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)CC2 ZINC000609309731 351495842 /nfs/dbraw/zinc/49/58/42/351495842.db2.gz KBYDSICZIIFERX-GFCCVEGCSA-N 0 0 285.303 2.649 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CCOc2ccccc21 ZINC000609416988 351501024 /nfs/dbraw/zinc/50/10/24/351501024.db2.gz WIOVNDWQTGNEKV-LLVKDONJSA-N 0 0 285.303 2.968 20 5 CFBDRN COc1ccc(CNc2ccsc2[N+](=O)[O-])cc1O ZINC000609560508 351506952 /nfs/dbraw/zinc/50/69/52/351506952.db2.gz VHZDEUFXTCMOIC-UHFFFAOYSA-N 0 0 280.305 2.983 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3ncc[nH]3)CC2)s1 ZINC000609560679 351506958 /nfs/dbraw/zinc/50/69/58/351506958.db2.gz LNARRELMKNKGGD-UHFFFAOYSA-N 0 0 278.337 2.763 20 5 CFBDRN CC(C)n1cc([C@@H](C)Nc2ccc([N+](=O)[O-])cn2)cn1 ZINC000609571306 351509031 /nfs/dbraw/zinc/50/90/31/351509031.db2.gz WOYMLUCWLSSSTB-SNVBAGLBSA-N 0 0 275.312 2.940 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N(CC1CCCCC1)C1CC1 ZINC000609585666 351509928 /nfs/dbraw/zinc/50/99/28/351509928.db2.gz SNHXQBINMVWKCO-UHFFFAOYSA-N 0 0 278.356 2.877 20 5 CFBDRN CCC[C@@H](Nc1c([N+](=O)[O-])ncn1C)c1ccccn1 ZINC000609585905 351510220 /nfs/dbraw/zinc/51/02/20/351510220.db2.gz XGEACKPSSROCNQ-LLVKDONJSA-N 0 0 275.312 2.677 20 5 CFBDRN CCn1nc(C)cc1-c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000609604621 351511124 /nfs/dbraw/zinc/51/11/24/351511124.db2.gz DVLKBDKKBJLZCQ-UHFFFAOYSA-N 0 0 299.290 2.837 20 5 CFBDRN CCc1nc(CCNc2cccnc2[N+](=O)[O-])cs1 ZINC000608831706 351479376 /nfs/dbraw/zinc/47/93/76/351479376.db2.gz GEGFHUYDAXQGIL-UHFFFAOYSA-N 0 0 278.337 2.663 20 5 CFBDRN CC(C)Oc1ccc(Nc2ccncc2[N+](=O)[O-])cc1 ZINC000608972659 351482871 /nfs/dbraw/zinc/48/28/71/351482871.db2.gz FSZHSHGXFYPTHI-UHFFFAOYSA-N 0 0 273.292 2.943 20 5 CFBDRN CC(C)(C)c1ccc(Nc2ncc([N+](=O)[O-])c(N)n2)cc1 ZINC000609074362 351483655 /nfs/dbraw/zinc/48/36/55/351483655.db2.gz FASACNAQUASMED-UHFFFAOYSA-N 0 0 287.323 2.838 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CCCO1 ZINC000291397019 198010843 /nfs/dbraw/zinc/01/08/43/198010843.db2.gz HEBLRUSPUVYJAE-CQSZACIVSA-N 0 0 278.308 2.665 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c1C ZINC000609109357 351484599 /nfs/dbraw/zinc/48/45/99/351484599.db2.gz MXNIWEOQBFLERJ-UHFFFAOYSA-N 0 0 299.290 2.668 20 5 CFBDRN CCC[C@@H]1CCCC[C@@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000609120611 351485982 /nfs/dbraw/zinc/48/59/82/351485982.db2.gz QOSZBAPYXITEDQ-ZJUUUORDSA-N 0 0 279.344 2.568 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H](n2ccnc2)C1 ZINC000609132918 351486663 /nfs/dbraw/zinc/48/66/63/351486663.db2.gz UMGKNZJRXDYUCP-LBPRGKRZSA-N 0 0 290.298 2.772 20 5 CFBDRN O=c1[nH]c(Cc2ccc([N+](=O)[O-])cc2)nc2cccc(F)c12 ZINC000609224147 351491800 /nfs/dbraw/zinc/49/18/00/351491800.db2.gz KSKBGXCWQNGUAO-UHFFFAOYSA-N 0 0 299.261 2.974 20 5 CFBDRN CN(Cc1ccco1)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000609849348 351523129 /nfs/dbraw/zinc/52/31/29/351523129.db2.gz NDTPVBBAAAQOPH-UHFFFAOYSA-N 0 0 284.275 2.767 20 5 CFBDRN COc1ccccc1[C@@H]1CCN(c2cccnc2[N+](=O)[O-])C1 ZINC000609965458 351529549 /nfs/dbraw/zinc/52/95/49/351529549.db2.gz VAGHQAHWWYDDRV-GFCCVEGCSA-N 0 0 299.330 2.992 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1NC1CCC1 ZINC000610130239 351534620 /nfs/dbraw/zinc/53/46/20/351534620.db2.gz ATZGCVPXESIHPN-UHFFFAOYSA-N 0 0 282.271 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ccc(CNCc3ncc[nH]3)o2)cc1 ZINC000610150875 351535736 /nfs/dbraw/zinc/53/57/36/351535736.db2.gz DHXLCGLDXJFCBQ-UHFFFAOYSA-N 0 0 298.302 2.868 20 5 CFBDRN C[C@@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000610169444 351536880 /nfs/dbraw/zinc/53/68/80/351536880.db2.gz ACPMKUUFAUZVOJ-SNVBAGLBSA-N 0 0 274.276 2.954 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000610244770 351543803 /nfs/dbraw/zinc/54/38/03/351543803.db2.gz PWXXCHXONJEBDH-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC(C)(C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)C(F)(F)F ZINC000610247465 351544344 /nfs/dbraw/zinc/54/43/44/351544344.db2.gz QDPDVDOVXQKNNB-SECBINFHSA-N 0 0 294.229 2.895 20 5 CFBDRN CC(C)(C)OC(=O)CCNc1ccsc1[N+](=O)[O-] ZINC000610279205 351547750 /nfs/dbraw/zinc/54/77/50/351547750.db2.gz LQOMZUPCLKJRQU-UHFFFAOYSA-N 0 0 272.326 2.800 20 5 CFBDRN COc1ccccc1[C@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000610278575 351547782 /nfs/dbraw/zinc/54/77/82/351547782.db2.gz SMSXJZBHHLKGBD-JTQLQIEISA-N 0 0 294.332 2.810 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000610281096 351548879 /nfs/dbraw/zinc/54/88/79/351548879.db2.gz NYSFOGMEJODUIZ-GMTAPVOTSA-N 0 0 264.329 2.709 20 5 CFBDRN CC[C@H]1CN(c2ccsc2[N+](=O)[O-])CCS1 ZINC000610283302 351548897 /nfs/dbraw/zinc/54/88/97/351548897.db2.gz KSQCLCUPKLLGAV-QMMMGPOBSA-N 0 0 258.368 2.988 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCOC2(CCCCC2)C1 ZINC000610281114 351548912 /nfs/dbraw/zinc/54/89/12/351548912.db2.gz RHSLEWKOMDHYRK-UHFFFAOYSA-N 0 0 277.324 2.529 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000610284259 351549006 /nfs/dbraw/zinc/54/90/06/351549006.db2.gz IORBQIXAMJATKC-OLZOCXBDSA-N 0 0 293.367 2.938 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cccnc2[N+](=O)[O-])C12CCC2 ZINC000610281447 351549046 /nfs/dbraw/zinc/54/90/46/351549046.db2.gz REXUDQOXARGUDV-NEPJUHHUSA-N 0 0 277.324 2.749 20 5 CFBDRN Cc1cnc(Nc2cnn(CC3CC3)c2)c([N+](=O)[O-])c1 ZINC000610285058 351549927 /nfs/dbraw/zinc/54/99/27/351549927.db2.gz KBLZVKQVECHUSC-UHFFFAOYSA-N 0 0 273.296 2.648 20 5 CFBDRN Cc1nc2cc(Nc3cccnc3[N+](=O)[O-])ccc2n1C ZINC000610285189 351549957 /nfs/dbraw/zinc/54/99/57/351549957.db2.gz FKEOHMFTHBASOY-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1cncc(Br)c1 ZINC000609674457 351516425 /nfs/dbraw/zinc/51/64/25/351516425.db2.gz FWAGEBUQGJOMOI-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@H](C(F)(F)F)O1 ZINC000610411996 351564686 /nfs/dbraw/zinc/56/46/86/351564686.db2.gz JVDNDMVRQLCSCN-LDYMZIIASA-N 0 0 290.241 2.751 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1cc(F)ccc1F ZINC000610469452 351568151 /nfs/dbraw/zinc/56/81/51/351568151.db2.gz AXYHTFKQONDRFD-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000610483387 351569935 /nfs/dbraw/zinc/56/99/35/351569935.db2.gz WIUWHYQDECMESR-UHFFFAOYSA-N 0 0 281.293 2.538 20 5 CFBDRN Cc1ccnc(NC[C@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC000610516941 351575388 /nfs/dbraw/zinc/57/53/88/351575388.db2.gz LOYNSBXEXASLCI-NSHDSACASA-N 0 0 272.308 2.909 20 5 CFBDRN CCC[C@H]1[C@H](C)CCCN1c1c([N+](=O)[O-])ncn1C ZINC000610518964 351576329 /nfs/dbraw/zinc/57/63/29/351576329.db2.gz FPWUIMFUANWQMM-MNOVXSKESA-N 0 0 266.345 2.733 20 5 CFBDRN COc1ccc(CN(C)c2c(C)noc2C)cc1[N+](=O)[O-] ZINC000610539254 351579433 /nfs/dbraw/zinc/57/94/33/351579433.db2.gz UTYRFAUPKGSLPK-UHFFFAOYSA-N 0 0 291.307 2.845 20 5 CFBDRN Cc1cnc(OCc2noc3c2CCCC3)c([N+](=O)[O-])c1 ZINC000610541399 351580508 /nfs/dbraw/zinc/58/05/08/351580508.db2.gz SRRHPMIPSCPNRZ-UHFFFAOYSA-N 0 0 289.291 2.744 20 5 CFBDRN CC[C@@H](C)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000119783382 186851806 /nfs/dbraw/zinc/85/18/06/186851806.db2.gz SMNOADUGUDZFDR-MRVPVSSYSA-N 0 0 261.281 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](C)[C@@H]2C)c1 ZINC000610588418 351584837 /nfs/dbraw/zinc/58/48/37/351584837.db2.gz ZHBSPMWIYWJUTN-UWVGGRQHSA-N 0 0 250.298 2.838 20 5 CFBDRN COC[C@@H]1CCC[N@@H+]1Cc1cccc([N+](=O)[O-])c1C ZINC000610605219 351585305 /nfs/dbraw/zinc/58/53/05/351585305.db2.gz QYCNYMLDPOVDOK-ZDUSSCGKSA-N 0 0 264.325 2.514 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1cocn1 ZINC000610661518 351589263 /nfs/dbraw/zinc/58/92/63/351589263.db2.gz YHKWLMCHNNYMKE-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1(C(F)(F)F)CC1 ZINC000610705650 351594577 /nfs/dbraw/zinc/59/45/77/351594577.db2.gz GZMGCTNDSKOPNO-UHFFFAOYSA-N 0 0 288.225 2.728 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC(C)(C)c2ccccc21 ZINC000610709888 351595265 /nfs/dbraw/zinc/59/52/65/351595265.db2.gz JMRLVVTZLLUHNY-UHFFFAOYSA-N 0 0 272.308 2.758 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H](OC)C2(C)C)c([N+](=O)[O-])cc1F ZINC000610716189 351596089 /nfs/dbraw/zinc/59/60/89/351596089.db2.gz VKHCZLFKGJRHKT-OLZOCXBDSA-N 0 0 298.314 2.968 20 5 CFBDRN Cc1cccc(CC2CN(c3ncccc3[N+](=O)[O-])C2)c1 ZINC000610716455 351596165 /nfs/dbraw/zinc/59/61/65/351596165.db2.gz VILFPBSJDVXEAO-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN CCN(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000119984307 186866774 /nfs/dbraw/zinc/86/67/74/186866774.db2.gz JQFFPPZEPGIBQY-UHFFFAOYSA-N 0 0 251.286 2.695 20 5 CFBDRN O=C([C@H]1CC12CCC2)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000610734486 351599401 /nfs/dbraw/zinc/59/94/01/351599401.db2.gz HIIUVVVMQVXUAW-GFCCVEGCSA-N 0 0 272.304 2.674 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCO[C@@H](c2cccs2)C1 ZINC000610756188 351603990 /nfs/dbraw/zinc/60/39/90/351603990.db2.gz RPNCXIFUOVPKIU-LLVKDONJSA-N 0 0 291.332 2.629 20 5 CFBDRN Cc1c(CC(=O)N(C(C)C)C2CC2)cccc1[N+](=O)[O-] ZINC000120019245 186869999 /nfs/dbraw/zinc/86/99/99/186869999.db2.gz HVFCDRKKSRAAKF-UHFFFAOYSA-N 0 0 276.336 2.845 20 5 CFBDRN CN(CCOC(C)(C)C)c1ccc([N+](=O)[O-])s1 ZINC000610856191 351614106 /nfs/dbraw/zinc/61/41/06/351614106.db2.gz NQYPHHXYRXCPAZ-UHFFFAOYSA-N 0 0 258.343 2.908 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ncnc2c1CCCC2 ZINC000610784465 351607920 /nfs/dbraw/zinc/60/79/20/351607920.db2.gz INCKVXRUFLGVBG-UHFFFAOYSA-N 0 0 298.346 2.900 20 5 CFBDRN O=C(N[C@@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])s1 ZINC000610790894 351608869 /nfs/dbraw/zinc/60/88/69/351608869.db2.gz LOLYFYZPGCWFDF-ZCFIWIBFSA-N 0 0 276.264 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(C2)CCOCC3)s1 ZINC000610340247 351555163 /nfs/dbraw/zinc/55/51/63/351555163.db2.gz IDYLQOWMPZIHJF-UHFFFAOYSA-N 0 0 268.338 2.663 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cn1)C1CCCC1 ZINC000611074556 351637549 /nfs/dbraw/zinc/63/75/49/351637549.db2.gz VCFMKPYBBUJUPP-UHFFFAOYSA-N 0 0 291.351 2.936 20 5 CFBDRN CC(C)C1CN(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000611076246 351637725 /nfs/dbraw/zinc/63/77/25/351637725.db2.gz YPYPEOAPISYYLT-LLVKDONJSA-N 0 0 291.351 2.953 20 5 CFBDRN CC(C)C1CN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000611078741 351638463 /nfs/dbraw/zinc/63/84/63/351638463.db2.gz LZNNMABEBCJGQP-UHFFFAOYSA-N 0 0 255.705 2.735 20 5 CFBDRN C[C@H](O)CN(Cc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000611103850 351639874 /nfs/dbraw/zinc/63/98/74/351639874.db2.gz FITRFCAQEDCGPO-ZDUSSCGKSA-N 0 0 286.331 2.982 20 5 CFBDRN CCOc1cc(NCc2cc(C)ncn2)ccc1[N+](=O)[O-] ZINC000611132980 351643374 /nfs/dbraw/zinc/64/33/74/351643374.db2.gz SMNJMSUUGXPVRD-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CCC[C@H]1CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000611144120 351645076 /nfs/dbraw/zinc/64/50/76/351645076.db2.gz BRUXAFSXGTWFTC-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN CC(F)(F)CCC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000611158140 351645818 /nfs/dbraw/zinc/64/58/18/351645818.db2.gz VZTBCWHOCDKCGX-UHFFFAOYSA-N 0 0 298.249 2.845 20 5 CFBDRN C[C@@H](C(=O)NCCc1cccc([N+](=O)[O-])c1)c1ccco1 ZINC000611159176 351645820 /nfs/dbraw/zinc/64/58/20/351645820.db2.gz YNIFITPREFVJGS-LLVKDONJSA-N 0 0 288.303 2.650 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2ccsc2C1 ZINC000611166516 351645886 /nfs/dbraw/zinc/64/58/86/351645886.db2.gz YJGYGYVSRQYBDQ-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)Cc2ccc(F)cc2)s1 ZINC000611228666 351653401 /nfs/dbraw/zinc/65/34/01/351653401.db2.gz FGHULDZCYGQNTJ-LLVKDONJSA-N 0 0 296.323 2.811 20 5 CFBDRN Cc1cc(C(=O)N(C)CCOC(C)(C)C)cc([N+](=O)[O-])c1 ZINC000610862508 351616817 /nfs/dbraw/zinc/61/68/17/351616817.db2.gz MGJMDJRJDDCYOU-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nnc3n2CCCCC3)s1 ZINC000610908696 351620442 /nfs/dbraw/zinc/62/04/42/351620442.db2.gz GVWLMHSJSVYAKB-UHFFFAOYSA-N 0 0 293.352 2.586 20 5 CFBDRN Cc1c(NC(=O)N2CCCOC[C@H]2C)cccc1[N+](=O)[O-] ZINC000610949120 351623464 /nfs/dbraw/zinc/62/34/64/351623464.db2.gz BJKHJGGDDKKKGJ-SNVBAGLBSA-N 0 0 293.323 2.546 20 5 CFBDRN COC(=O)C1(CNc2ccsc2[N+](=O)[O-])CCCC1 ZINC000610953021 351624208 /nfs/dbraw/zinc/62/42/08/351624208.db2.gz QWPWPIYGZVCANF-UHFFFAOYSA-N 0 0 284.337 2.802 20 5 CFBDRN Cc1ccc(CN(C)Cc2ccc([N+](=O)[O-])cc2)nc1 ZINC000610968469 351626891 /nfs/dbraw/zinc/62/68/91/351626891.db2.gz ZVMNBFFICXTSRD-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN C[C@@H]1COCCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000610990745 351628770 /nfs/dbraw/zinc/62/87/70/351628770.db2.gz LHQJBMVLFCMEHV-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1nccc(C)c1[N+](=O)[O-] ZINC000611373705 351677179 /nfs/dbraw/zinc/67/71/79/351677179.db2.gz UVNGZBHBFMSDBR-GHMZBOCLSA-N 0 0 265.313 2.525 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000611373695 351677415 /nfs/dbraw/zinc/67/74/15/351677415.db2.gz TWDPLWCMKFFBJY-GZMMTYOYSA-N 0 0 285.731 2.870 20 5 CFBDRN C[C@H](NC(=O)CCn1cccc1)c1ccccc1[N+](=O)[O-] ZINC000611401754 351682906 /nfs/dbraw/zinc/68/29/06/351682906.db2.gz GUWOTQYKJBNZAU-LBPRGKRZSA-N 0 0 287.319 2.664 20 5 CFBDRN COCCCCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000120799418 186926828 /nfs/dbraw/zinc/92/68/28/186926828.db2.gz HBADUMMOYQEOKL-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN CCCCCOCC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000120800759 186927265 /nfs/dbraw/zinc/92/72/65/186927265.db2.gz DIGLISOTPZXOIU-UHFFFAOYSA-N 0 0 284.287 2.879 20 5 CFBDRN Cc1cc(NC(=O)CCCn2ccnc2)ccc1[N+](=O)[O-] ZINC000611475215 351694722 /nfs/dbraw/zinc/69/47/22/351694722.db2.gz DGWVFEVLUIHWGQ-UHFFFAOYSA-N 0 0 288.307 2.519 20 5 CFBDRN C[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1F ZINC000611494548 351697231 /nfs/dbraw/zinc/69/72/31/351697231.db2.gz VNPGTJNMOVEAET-IONNQARKSA-N 0 0 273.695 2.828 20 5 CFBDRN CC(C)[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000611494767 351697539 /nfs/dbraw/zinc/69/75/39/351697539.db2.gz MKHYPPVHUZKTRJ-CYBMUJFWSA-N 0 0 268.288 2.595 20 5 CFBDRN C[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)C[C@H]1F ZINC000611502388 351699415 /nfs/dbraw/zinc/69/94/15/351699415.db2.gz RWRSIGGSDKHZTN-TVQRCGJNSA-N 0 0 270.279 2.914 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@@H](C)[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000611506542 351700953 /nfs/dbraw/zinc/70/09/53/351700953.db2.gz OJHPOMWOEJDWFC-ZWNOBZJWSA-N 0 0 294.326 2.652 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H](C)[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000611507252 351702068 /nfs/dbraw/zinc/70/20/68/351702068.db2.gz ZIUGTWXHEIUXTB-SKDRFNHKSA-N 0 0 280.299 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCN2CCC=C(F)C2)cc1 ZINC000611517076 351702565 /nfs/dbraw/zinc/70/25/65/351702565.db2.gz KWVAPWFTJXIDSH-UHFFFAOYSA-N 0 0 280.299 2.923 20 5 CFBDRN CCOc1cc(NCc2cc(C)n[nH]2)ccc1[N+](=O)[O-] ZINC000611297435 351665176 /nfs/dbraw/zinc/66/51/76/351665176.db2.gz QRNNRDDFSIHJSD-UHFFFAOYSA-N 0 0 276.296 2.637 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)C[C@@H]1CCOC1 ZINC000611298291 351665244 /nfs/dbraw/zinc/66/52/44/351665244.db2.gz XMPQGONXWONOAG-QWRGUYRKSA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)C(C)(C)O ZINC000611297004 351665409 /nfs/dbraw/zinc/66/54/09/351665409.db2.gz YLOCXYQDRFIPQC-ZETCQYMHSA-N 0 0 290.266 2.768 20 5 CFBDRN CCOc1cc(N(CCO)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000611298514 351665576 /nfs/dbraw/zinc/66/55/76/351665576.db2.gz RYXHSBORNZFCHG-UHFFFAOYSA-N 0 0 296.367 2.838 20 5 CFBDRN Cc1ccncc1[C@@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000611298849 351666227 /nfs/dbraw/zinc/66/62/27/351666227.db2.gz ACRFTROPFYHPBE-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1ccncc1[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000611299176 351666299 /nfs/dbraw/zinc/66/62/99/351666299.db2.gz UKPLKMGYPZLTAP-SNVBAGLBSA-N 0 0 272.308 2.597 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCO[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000611307048 351666973 /nfs/dbraw/zinc/66/69/73/351666973.db2.gz NSICLKBPEMTBMR-CMPLNLGQSA-N 0 0 296.371 2.506 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000611373358 351676392 /nfs/dbraw/zinc/67/63/92/351676392.db2.gz QCXGYWZHCGORPV-BDAKNGLRSA-N 0 0 296.352 2.531 20 5 CFBDRN COc1ccc(NC(=O)N2C[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000611824601 351744535 /nfs/dbraw/zinc/74/45/35/351744535.db2.gz SYQNEDVEACPJFB-ONGXEEELSA-N 0 0 291.307 2.620 20 5 CFBDRN Cc1nnsc1CNCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000291664858 198056396 /nfs/dbraw/zinc/05/63/96/198056396.db2.gz FPRYFGJZHAZUDO-UHFFFAOYSA-N 0 0 298.755 2.698 20 5 CFBDRN Nc1cc(NC2CCCCCC2)cc(CO)c1[N+](=O)[O-] ZINC000611564915 351709710 /nfs/dbraw/zinc/70/97/10/351709710.db2.gz GGJXJMNZNKAAOU-UHFFFAOYSA-N 0 0 279.340 2.804 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@H](OC(F)F)C1 ZINC000611584220 351712067 /nfs/dbraw/zinc/71/20/67/351712067.db2.gz XYORACSLIYKYKU-JTQLQIEISA-N 0 0 287.266 2.506 20 5 CFBDRN Cc1c([C@@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])cnn1C ZINC000611615957 351714247 /nfs/dbraw/zinc/71/42/47/351714247.db2.gz NSINDNBTPNWPKC-SNVBAGLBSA-N 0 0 289.339 2.822 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)C[C@H]2CCCCO2)n1 ZINC000611616574 351714492 /nfs/dbraw/zinc/71/44/92/351714492.db2.gz CLVAESQAQRILNX-GFCCVEGCSA-N 0 0 279.340 2.612 20 5 CFBDRN Cc1nnc(Sc2nc(C)cc(C)c2[N+](=O)[O-])s1 ZINC000611615508 351714709 /nfs/dbraw/zinc/71/47/09/351714709.db2.gz MKQPLSIWWBSJPV-UHFFFAOYSA-N 0 0 282.350 2.918 20 5 CFBDRN CCc1nnc(COc2ccccc2[N+](=O)[O-])s1 ZINC000612011747 351761653 /nfs/dbraw/zinc/76/16/53/351761653.db2.gz GRFZDMNUENSJEQ-UHFFFAOYSA-N 0 0 265.294 2.588 20 5 CFBDRN C[C@H]1CCN(c2ncnc3cccc([N+](=O)[O-])c32)C[C@@H]1F ZINC000612449935 351823175 /nfs/dbraw/zinc/82/31/75/351823175.db2.gz OHLDOUIUCBTWQM-UWVGGRQHSA-N 0 0 290.298 2.722 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCO[C@@H](C2CCCCC2)C1 ZINC000612457960 351823643 /nfs/dbraw/zinc/82/36/43/351823643.db2.gz XLCXMZQBDGDEKP-CQSZACIVSA-N 0 0 291.351 2.775 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000121855520 187000826 /nfs/dbraw/zinc/00/08/26/187000826.db2.gz PCMCFUJASDDMTQ-SNVBAGLBSA-N 0 0 282.315 2.827 20 5 CFBDRN C[C@@H](c1ccncc1)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000122060927 187012418 /nfs/dbraw/zinc/01/24/18/187012418.db2.gz MGHFMLCHWOUQON-NSHDSACASA-N 0 0 285.303 2.823 20 5 CFBDRN COc1cc(NC[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000122081621 187013975 /nfs/dbraw/zinc/01/39/75/187013975.db2.gz UXXYHXIMEGUZJK-JTQLQIEISA-N 0 0 268.338 2.911 20 5 CFBDRN O=C(OC1CCCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000122190946 187020502 /nfs/dbraw/zinc/02/05/02/187020502.db2.gz UWGGGGVIYIHIJA-UHFFFAOYSA-N 0 0 271.219 2.972 20 5 CFBDRN CCC(CC)NC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000122228399 187022120 /nfs/dbraw/zinc/02/21/20/187022120.db2.gz SHBNDWPNKNCAAL-UHFFFAOYSA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1cc(NC(=O)Cc2ccoc2)ccc1[N+](=O)[O-] ZINC000122280181 187024192 /nfs/dbraw/zinc/02/41/92/187024192.db2.gz CATVZXBWYXHZGS-UHFFFAOYSA-N 0 0 260.249 2.677 20 5 CFBDRN CCc1nc(C)c(CNCc2cccc([N+](=O)[O-])c2)o1 ZINC000291743856 198077650 /nfs/dbraw/zinc/07/76/50/198077650.db2.gz PFVOIAXCJNLIFX-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC(F)(F)C1 ZINC000612407780 351817323 /nfs/dbraw/zinc/81/73/23/351817323.db2.gz GLZJWOCCQOILJM-UHFFFAOYSA-N 0 0 284.262 2.611 20 5 CFBDRN Cc1cc(C(=O)Nc2ccnn2C(C)C)cc([N+](=O)[O-])c1 ZINC000123094189 187070737 /nfs/dbraw/zinc/07/07/37/187070737.db2.gz KYMRRCPOYYBYHQ-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN C[C@@H](C(=O)N(C)c1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000123165958 187075103 /nfs/dbraw/zinc/07/51/03/187075103.db2.gz YBFZCSDHCPJQTE-LLVKDONJSA-N 0 0 292.335 2.620 20 5 CFBDRN CC(C)N(CC(F)F)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000123194272 187076863 /nfs/dbraw/zinc/07/68/63/187076863.db2.gz YPHALVHVFCDUEE-UHFFFAOYSA-N 0 0 286.278 2.639 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C[C@@H]1F ZINC000612616610 351844575 /nfs/dbraw/zinc/84/45/75/351844575.db2.gz PNWFQUZCPGAISR-KWQFWETISA-N 0 0 299.277 2.946 20 5 CFBDRN Cc1noc(C)c1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000122683424 187045553 /nfs/dbraw/zinc/04/55/53/187045553.db2.gz ZXSICWLZCAXEJZ-UHFFFAOYSA-N 0 0 282.687 2.860 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000291752392 198080314 /nfs/dbraw/zinc/08/03/14/198080314.db2.gz AHVROBAHKZJYMF-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])s2)[C@]12CCCO2 ZINC000612664339 351849264 /nfs/dbraw/zinc/84/92/64/351849264.db2.gz GZYGKCNQHGTQLK-OPQQBVKSSA-N 0 0 298.364 2.795 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCOCC2(CCC2)C1 ZINC000612665624 351849389 /nfs/dbraw/zinc/84/93/89/351849389.db2.gz GWDDPYWNUZXCEZ-UHFFFAOYSA-N 0 0 276.336 2.910 20 5 CFBDRN CCc1c(C(=O)N(C)c2cccc([N+](=O)[O-])c2)ccn1C ZINC000291763530 198084184 /nfs/dbraw/zinc/08/41/84/198084184.db2.gz ORQBXARBLZXEEK-UHFFFAOYSA-N 0 0 287.319 2.772 20 5 CFBDRN Cc1cccc(OCC(=O)N2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000124021091 187118665 /nfs/dbraw/zinc/11/86/65/187118665.db2.gz JGJXGWHGICAKJA-LLVKDONJSA-N 0 0 292.335 2.541 20 5 CFBDRN CCc1noc([C@H](C)Oc2cc([N+](=O)[O-])ccc2OC)n1 ZINC000123276985 187082009 /nfs/dbraw/zinc/08/20/09/187082009.db2.gz ISTVXVNEHIYBPI-QMMMGPOBSA-N 0 0 293.279 2.689 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)nc(C(C)C)n1 ZINC000123281402 187082900 /nfs/dbraw/zinc/08/29/00/187082900.db2.gz JFDULUNCFSCYFW-UHFFFAOYSA-N 0 0 290.279 2.662 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccn(C)n1 ZINC000123644962 187102653 /nfs/dbraw/zinc/10/26/53/187102653.db2.gz NCBUMAIDRFCGJW-UHFFFAOYSA-N 0 0 290.323 2.728 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1CC(F)(F)C1 ZINC000291969259 198120800 /nfs/dbraw/zinc/12/08/00/198120800.db2.gz VZRXNONYPMJAOT-UHFFFAOYSA-N 0 0 274.271 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1ncc(Cl)n1C ZINC000131466564 187567730 /nfs/dbraw/zinc/56/77/30/187567730.db2.gz YJWDJUSMBLPBLO-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN C[C@]1(CNc2cccnc2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000613433959 351958761 /nfs/dbraw/zinc/95/87/61/351958761.db2.gz FWBOXEDISOOLLG-GXTWGEPZSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CCO)c2ccco2)s1 ZINC000613579593 351981891 /nfs/dbraw/zinc/98/18/91/351981891.db2.gz YZBIHPFMGIZMMK-QMMMGPOBSA-N 0 0 268.294 2.785 20 5 CFBDRN Cc1ccc2c(c1)nc(CNc1cccnc1[N+](=O)[O-])n2C ZINC000613579431 351982048 /nfs/dbraw/zinc/98/20/48/351982048.db2.gz KTQBMAXALULGPU-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000614150161 352053120 /nfs/dbraw/zinc/05/31/20/352053120.db2.gz FIEUXSXOBJZPHY-VXNVDRBHSA-N 0 0 290.245 2.552 20 5 CFBDRN Cn1nc(CCNc2cccnc2[N+](=O)[O-])c2ccccc21 ZINC000613895306 352023804 /nfs/dbraw/zinc/02/38/04/352023804.db2.gz GBYZFZCWKXIAML-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)[C@H]1C ZINC000613917277 352026996 /nfs/dbraw/zinc/02/69/96/352026996.db2.gz BUAKEJGPLNVRTJ-ISTVAULSSA-N 0 0 293.367 2.906 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@H](C)C(C)(C)O)cc1[N+](=O)[O-] ZINC000615246164 352172834 /nfs/dbraw/zinc/17/28/34/352172834.db2.gz LXTPTUMCKGLMSI-SNVBAGLBSA-N 0 0 294.351 2.607 20 5 CFBDRN Cc1cccc(N2CCC[C@@H](n3ccnc3)C2)c1[N+](=O)[O-] ZINC000614976867 352138143 /nfs/dbraw/zinc/13/81/43/352138143.db2.gz RAVOYHURHRQFRU-CYBMUJFWSA-N 0 0 286.335 2.941 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000615949722 352266285 /nfs/dbraw/zinc/26/62/85/352266285.db2.gz DMYQCOYREZDCQS-QWRGUYRKSA-N 0 0 265.313 2.586 20 5 CFBDRN C[C@@H]1C[C@H](O)CN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000615945761 352266330 /nfs/dbraw/zinc/26/63/30/352266330.db2.gz AABSOIIYRKTUTE-YPMHNXCESA-N 0 0 299.330 2.616 20 5 CFBDRN C=C/C(C)=C\CC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000616031626 352275916 /nfs/dbraw/zinc/27/59/16/352275916.db2.gz LKLCINVNPHPIBS-FLIBITNWSA-N 0 0 260.293 2.733 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000292018383 198136192 /nfs/dbraw/zinc/13/61/92/198136192.db2.gz ASNYTHOYIOJUET-UHFFFAOYSA-N 0 0 293.367 2.994 20 5 CFBDRN C[C@H]1COCCCN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000616062547 352280172 /nfs/dbraw/zinc/28/01/72/352280172.db2.gz UMMCZMJDBIGEBA-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1ccnc(COc2ncc(C)cc2[N+](=O)[O-])c1 ZINC000616214562 352305464 /nfs/dbraw/zinc/30/54/64/352305464.db2.gz GNQJYVZMQZRMBS-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CC[C@@H](C)CONC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000292036599 198141317 /nfs/dbraw/zinc/14/13/17/198141317.db2.gz DDMCEQBPFXGSEL-ANYFNZRUSA-N 0 0 278.308 2.702 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C)C2CCC2)cc([N+](=O)[O-])c1C ZINC000616404976 352343196 /nfs/dbraw/zinc/34/31/96/352343196.db2.gz HCQPYCUYEVAREV-JTQLQIEISA-N 0 0 292.335 2.830 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000271532129 191197004 /nfs/dbraw/zinc/19/70/04/191197004.db2.gz CDYXRZMZHCNOCE-JSGCOSHPSA-N 0 0 292.335 2.528 20 5 CFBDRN Cc1nc(NC[C@@]2(O)CCc3ccccc32)ccc1[N+](=O)[O-] ZINC000133729406 187703863 /nfs/dbraw/zinc/70/38/63/187703863.db2.gz MZAXSAPTRKAYNO-INIZCTEOSA-N 0 0 299.330 2.544 20 5 CFBDRN CC(C)Cn1ncnc1COc1cc(F)ccc1[N+](=O)[O-] ZINC000133570586 187693780 /nfs/dbraw/zinc/69/37/80/187693780.db2.gz NGIIAGTYBVZEIS-UHFFFAOYSA-N 0 0 294.286 2.560 20 5 CFBDRN C[C@H]1CCN(c2ccsc2[N+](=O)[O-])CCS1 ZINC000617221892 352520638 /nfs/dbraw/zinc/52/06/38/352520638.db2.gz YFAYYVWEOTVQQX-QMMMGPOBSA-N 0 0 258.368 2.988 20 5 CFBDRN CC(C)[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000505063621 535184643 /nfs/dbraw/zinc/18/46/43/535184643.db2.gz RMXXHMAQSMVNJS-NWDGAFQWSA-N 0 0 293.367 2.878 20 5 CFBDRN COc1cccc(C(=O)N2CCC3(CC3)CC2)c1[N+](=O)[O-] ZINC000618095020 352714974 /nfs/dbraw/zinc/71/49/74/352714974.db2.gz YVQBJAXWVQREFB-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN CC[C@]1(C)CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000135299434 187817481 /nfs/dbraw/zinc/81/74/81/187817481.db2.gz OWCMNSGJQUYUHC-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CCCC[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000271619532 191243555 /nfs/dbraw/zinc/24/35/55/191243555.db2.gz MKYDTXMPWCKXDW-AAEUAGOBSA-N 0 0 294.351 2.977 20 5 CFBDRN CSC[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000292133075 198176531 /nfs/dbraw/zinc/17/65/31/198176531.db2.gz INNRFHWFYNBQDM-LLVKDONJSA-N 0 0 294.376 2.810 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@H](NCc1cocn1)CCC2 ZINC000618573415 352815614 /nfs/dbraw/zinc/81/56/14/352815614.db2.gz NHRDSOXWVRRIGJ-CQSZACIVSA-N 0 0 273.292 2.750 20 5 CFBDRN CC[C@H](C)CONC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000292163244 198187905 /nfs/dbraw/zinc/18/79/05/198187905.db2.gz UCGWQNRYQYAKGX-QMMMGPOBSA-N 0 0 286.715 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N[C@H]3[C@@H]4CCC[C@@H]43)c2c1 ZINC000618692309 352861960 /nfs/dbraw/zinc/86/19/60/352861960.db2.gz GVHGIKYMHNBEGI-IWIIMEHWSA-N 0 0 270.292 2.748 20 5 CFBDRN Cn1cncc1CSc1ccc([N+](=O)[O-])cc1F ZINC000618964071 352921495 /nfs/dbraw/zinc/92/14/95/352921495.db2.gz BGPWWRLYUMGHEZ-UHFFFAOYSA-N 0 0 267.285 2.760 20 5 CFBDRN CC1(C)CCN(c2ccc([N+](=O)[O-])nc2)CCS1 ZINC000618980258 352926141 /nfs/dbraw/zinc/92/61/41/352926141.db2.gz JAGKDJBVPYFTTN-UHFFFAOYSA-N 0 0 267.354 2.712 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])c3cccnc32)C1 ZINC000618981408 352926875 /nfs/dbraw/zinc/92/68/75/352926875.db2.gz OETZGHOYLTTXFC-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CCOc1cc(N[C@H]2CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000150041212 187923390 /nfs/dbraw/zinc/92/33/90/187923390.db2.gz ZKPRIYCFHFGMSB-ONGXEEELSA-N 0 0 266.297 2.583 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000619158464 352967991 /nfs/dbraw/zinc/96/79/91/352967991.db2.gz SQPRJYLSPIFGDB-SNVBAGLBSA-N 0 0 270.354 2.908 20 5 CFBDRN CSc1cccc(C(=O)N2CC(C)(F)C2)c1[N+](=O)[O-] ZINC000619419324 353019737 /nfs/dbraw/zinc/01/97/37/353019737.db2.gz JJWAAVQUXYUIDO-UHFFFAOYSA-N 0 0 284.312 2.501 20 5 CFBDRN COc1c(C(=O)N(C)CCC(C)C)cccc1[N+](=O)[O-] ZINC000619427531 353021828 /nfs/dbraw/zinc/02/18/28/353021828.db2.gz QKMUQGIUYMXRPX-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CC1(CCNc2ncnc3ccc([N+](=O)[O-])cc32)CC1 ZINC000619498884 353029489 /nfs/dbraw/zinc/02/94/89/353029489.db2.gz JKYHIFZVRNKZKZ-UHFFFAOYSA-N 0 0 272.308 2.562 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@H]1COc2ccccc2O1 ZINC000619552549 353035797 /nfs/dbraw/zinc/03/57/97/353035797.db2.gz BARZZLRZDCHEEA-NSHDSACASA-N 0 0 287.271 2.814 20 5 CFBDRN C[C@@H](NC(=O)NOC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000271657946 191262104 /nfs/dbraw/zinc/26/21/04/191262104.db2.gz WUHDJIIXRRDDNO-SNVBAGLBSA-N 0 0 293.323 2.829 20 5 CFBDRN Cc1cn(Cc2nc(-c3ccccc3C)no2)nc1[N+](=O)[O-] ZINC000619745436 353062665 /nfs/dbraw/zinc/06/26/65/353062665.db2.gz KTKBIYKKEMQJHM-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN Cc1cn(CCOc2cc(C)cc(C)c2)nc1[N+](=O)[O-] ZINC000619745434 353062848 /nfs/dbraw/zinc/06/28/48/353062848.db2.gz DRZLLMIPAYBCTR-UHFFFAOYSA-N 0 0 275.308 2.796 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@H]1CC12CCC2 ZINC000619772772 353065365 /nfs/dbraw/zinc/06/53/65/353065365.db2.gz GBLGYRLJSBZVFZ-CQSZACIVSA-N 0 0 288.347 2.786 20 5 CFBDRN Nc1cc(NCCC2=CCCC2)cc(CO)c1[N+](=O)[O-] ZINC000619570751 353037611 /nfs/dbraw/zinc/03/76/11/353037611.db2.gz KLDWHBDRRXKRIT-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CCC[C@H]1C ZINC000619608874 353039723 /nfs/dbraw/zinc/03/97/23/353039723.db2.gz YUEFJIPYZWUJIT-GHMZBOCLSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1noc2ncnc(NCc3cccc([N+](=O)[O-])c3C)c12 ZINC000619697795 353052418 /nfs/dbraw/zinc/05/24/18/353052418.db2.gz CWGRESFEBZCRLU-UHFFFAOYSA-N 0 0 299.290 2.755 20 5 CFBDRN CSCCCNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152742669 188040725 /nfs/dbraw/zinc/04/07/25/188040725.db2.gz WENRCPVCFUFKBM-UHFFFAOYSA-N 0 0 288.756 2.731 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H]3CCC[C@@H]3C2)cc([N+](=O)[O-])c1 ZINC000152827617 188046293 /nfs/dbraw/zinc/04/62/93/188046293.db2.gz BWCHBVNGZSLFAX-VXGBXAGGSA-N 0 0 274.320 2.775 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@H]2C1 ZINC000152971253 188056901 /nfs/dbraw/zinc/05/69/01/188056901.db2.gz OUZIQFGCZYNTGP-CFYKVZDHSA-N 0 0 286.331 2.867 20 5 CFBDRN Cn1cc(Nc2ccccc2[N+](=O)[O-])c(C2CC2)n1 ZINC000292298543 198234772 /nfs/dbraw/zinc/23/47/72/198234772.db2.gz LBSNVMZNZYJLLG-UHFFFAOYSA-N 0 0 258.281 2.949 20 5 CFBDRN CCCC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000153230781 188071676 /nfs/dbraw/zinc/07/16/76/188071676.db2.gz RMPQIUCPFKHLAB-UHFFFAOYSA-N 0 0 264.325 2.834 20 5 CFBDRN CC[C@@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000153373581 188079191 /nfs/dbraw/zinc/07/91/91/188079191.db2.gz UJVKKTBBUMNWOD-LLVKDONJSA-N 0 0 277.324 2.536 20 5 CFBDRN CC[C@@H](C)Cn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O ZINC000153448283 188082303 /nfs/dbraw/zinc/08/23/03/188082303.db2.gz UPCCBUCHYMSUIJ-LLVKDONJSA-N 0 0 287.319 2.865 20 5 CFBDRN CCN(CC(C)(C)O)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000153629060 188094024 /nfs/dbraw/zinc/09/40/24/188094024.db2.gz IXBVJBNYUGCELU-UHFFFAOYSA-N 0 0 295.339 2.528 20 5 CFBDRN COCCCCCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153647313 188094764 /nfs/dbraw/zinc/09/47/64/188094764.db2.gz AUPHNTLPQYYTNM-UHFFFAOYSA-N 0 0 273.720 2.872 20 5 CFBDRN CN(C[C@@H]1CCCOC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153740297 188101151 /nfs/dbraw/zinc/10/11/51/188101151.db2.gz NUYLKYCPQKDLIL-VIFPVBQESA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1c(C(=O)NC[C@@]2(C)CCCS2)cccc1[N+](=O)[O-] ZINC000154094998 188122767 /nfs/dbraw/zinc/12/27/67/188122767.db2.gz HVKUFSLIOODGPH-CQSZACIVSA-N 0 0 294.376 2.919 20 5 CFBDRN CC(F)(F)CCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000450790662 535206564 /nfs/dbraw/zinc/20/65/64/535206564.db2.gz FNIQYRNMEBSCBO-UHFFFAOYSA-N 0 0 272.207 2.548 20 5 CFBDRN O=C(C[C@@H]1CSCCS1)Nc1ccc([N+](=O)[O-])cc1 ZINC000154135889 188125555 /nfs/dbraw/zinc/12/55/55/188125555.db2.gz NGXQLXOMLLLTLZ-LLVKDONJSA-N 0 0 298.389 2.772 20 5 CFBDRN Cc1cc(C(=O)NC[C@@]2(C)CCCS2)ccc1[N+](=O)[O-] ZINC000154313043 188135419 /nfs/dbraw/zinc/13/54/19/188135419.db2.gz MLCVIJJWLPTLSK-CQSZACIVSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1c(CC(=O)OC[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000154381790 188138688 /nfs/dbraw/zinc/13/86/88/188138688.db2.gz ASJKHFKGCVQTML-CYBMUJFWSA-N 0 0 293.319 2.558 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2ncccc2[N+](=O)[O-])C12CCC2 ZINC000154890367 188167871 /nfs/dbraw/zinc/16/78/71/188167871.db2.gz HFTYZIMRNADGRF-OLZOCXBDSA-N 0 0 291.351 2.774 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000154901915 188168941 /nfs/dbraw/zinc/16/89/41/188168941.db2.gz WFLYMNPQBCBTMA-STQMWFEESA-N 0 0 291.351 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCO[C@@H]2CCCCO2)c1 ZINC000292422264 198283103 /nfs/dbraw/zinc/28/31/03/198283103.db2.gz UDVXJRKDCWUFQG-CQSZACIVSA-N 0 0 280.324 2.858 20 5 CFBDRN CS[C@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000155176937 188188201 /nfs/dbraw/zinc/18/82/01/188188201.db2.gz NBWFTGAXMFMYOK-MRVPVSSYSA-N 0 0 268.338 2.983 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@](CO)(c2ccccc2)C1 ZINC000292448370 198292888 /nfs/dbraw/zinc/29/28/88/198292888.db2.gz WOQTUKNKHZAZLJ-QGZVFWFLSA-N 0 0 298.342 2.735 20 5 CFBDRN CCCCSc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1 ZINC000155530036 188212111 /nfs/dbraw/zinc/21/21/11/188212111.db2.gz KLUBNNWNMTUMIQ-UHFFFAOYSA-N 0 0 289.378 2.891 20 5 CFBDRN Cn1cc(Nc2ncc([N+](=O)[O-])cc2Cl)c(C2CC2)n1 ZINC000292481743 198304454 /nfs/dbraw/zinc/30/44/54/198304454.db2.gz ZOPDRUTXIMVXLU-UHFFFAOYSA-N 0 0 293.714 2.998 20 5 CFBDRN O=C(NC1CCC1)c1cccc([N+](=O)[O-])c1Br ZINC000156223829 188250948 /nfs/dbraw/zinc/25/09/48/188250948.db2.gz MHRHEHPTMBMSRL-UHFFFAOYSA-N 0 0 299.124 2.640 20 5 CFBDRN CN(CCC1CC1)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000156288997 188252853 /nfs/dbraw/zinc/25/28/53/188252853.db2.gz QVMCPROQSZCERD-CMDGGOBGSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000155788007 188228166 /nfs/dbraw/zinc/22/81/66/188228166.db2.gz YOJQKADUFXTFOS-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1N)C1CCCC1 ZINC000157291865 188307660 /nfs/dbraw/zinc/30/76/60/188307660.db2.gz PYYDAHMMMZGCKM-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1N)C1CCCCC1 ZINC000157282580 188307931 /nfs/dbraw/zinc/30/79/31/188307931.db2.gz IROFZSDXABWUCO-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1N)C1CCCCCC1 ZINC000157284009 188307999 /nfs/dbraw/zinc/30/79/99/188307999.db2.gz AYZVOIBBKYDLAT-UHFFFAOYSA-N 0 0 291.351 2.972 20 5 CFBDRN CO[C@@H](C)[C@@H](C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000292528057 198320752 /nfs/dbraw/zinc/32/07/52/198320752.db2.gz LRLWACHKOYGJTG-MNOVXSKESA-N 0 0 294.351 2.871 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000157489636 188321486 /nfs/dbraw/zinc/32/14/86/188321486.db2.gz DGIPLEZAMBUAFR-WCQYABFASA-N 0 0 291.351 2.973 20 5 CFBDRN O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000292545169 198327803 /nfs/dbraw/zinc/32/78/03/198327803.db2.gz AJPSBXQYKKCFBH-UHFFFAOYSA-N 0 0 274.198 2.506 20 5 CFBDRN CC(C)(C)CCOCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000292550376 198328956 /nfs/dbraw/zinc/32/89/56/198328956.db2.gz CAAPUHBHKDDUFG-UHFFFAOYSA-N 0 0 288.731 2.820 20 5 CFBDRN CCOC[C@@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000292563442 198334155 /nfs/dbraw/zinc/33/41/55/198334155.db2.gz JUWWWZVNCSHBNC-LLVKDONJSA-N 0 0 282.315 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](OCc3ccncc3)C2)cc1 ZINC000271682506 191276059 /nfs/dbraw/zinc/27/60/59/191276059.db2.gz ZMTSONYANBILHI-MRXNPFEDSA-N 0 0 299.330 2.785 20 5 CFBDRN Cc1cc(N(C)CCCn2ccnc2)c(F)cc1[N+](=O)[O-] ZINC000292623607 198354547 /nfs/dbraw/zinc/35/45/47/198354547.db2.gz NRRBYBPSRGNDBB-UHFFFAOYSA-N 0 0 292.314 2.765 20 5 CFBDRN COC[C@@H](Nc1cc(C)c([N+](=O)[O-])cc1F)[C@H]1CCCO1 ZINC000292632251 198357917 /nfs/dbraw/zinc/35/79/17/198357917.db2.gz OEGFPLGAZAXVOE-TZMCWYRMSA-N 0 0 298.314 2.648 20 5 CFBDRN Cc1ccc(NC(=O)NOCC(C)C)cc1[N+](=O)[O-] ZINC000158071308 188355255 /nfs/dbraw/zinc/35/52/55/188355255.db2.gz MVJMCHZAMRIOPC-UHFFFAOYSA-N 0 0 267.285 2.612 20 5 CFBDRN COCc1nsc(N[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271694857 191281000 /nfs/dbraw/zinc/28/10/00/191281000.db2.gz MLLCPTLNFKIRQY-MRVPVSSYSA-N 0 0 294.336 2.766 20 5 CFBDRN COC1(CCNc2nc(C)ccc2[N+](=O)[O-])CCC1 ZINC000292747038 198397221 /nfs/dbraw/zinc/39/72/21/198397221.db2.gz JGWIMPMBAXBCGC-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN CCOC(=O)[C@H](CC)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271695622 191281157 /nfs/dbraw/zinc/28/11/57/191281157.db2.gz GEMIKJLVUAXSFI-PWSUYJOCSA-N 0 0 280.324 2.587 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000292725669 198390033 /nfs/dbraw/zinc/39/00/33/198390033.db2.gz HVAUGLHOVMMXRN-CYBMUJFWSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccnc(NC[C@@]2(O)CCCC2(C)C)c1[N+](=O)[O-] ZINC000292807502 198417899 /nfs/dbraw/zinc/41/78/99/198417899.db2.gz NTNBFFPSEZJUHX-AWEZNQCLSA-N 0 0 279.340 2.651 20 5 CFBDRN COCC1(CCNc2cc(C)c([N+](=O)[O-])cn2)CCC1 ZINC000292926553 198463944 /nfs/dbraw/zinc/46/39/44/198463944.db2.gz YYWIEWHUQQXNFT-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CC/C=C/CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000450693845 535245141 /nfs/dbraw/zinc/24/51/41/535245141.db2.gz MYZHCCAWQNVLAI-ONEGZZNKSA-N 0 0 289.335 2.865 20 5 CFBDRN CC/C=C\CNC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000452609208 535247587 /nfs/dbraw/zinc/24/75/87/535247587.db2.gz CIZBDDYEHYDTGW-ARJAWSKDSA-N 0 0 287.319 2.701 20 5 CFBDRN CCCCn1ncc(Nc2c([N+](=O)[O-])c(C)nn2C)c1C ZINC000161117313 188509351 /nfs/dbraw/zinc/50/93/51/188509351.db2.gz WZQGVOVLGBALSB-UHFFFAOYSA-N 0 0 292.343 2.685 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCCSCC1 ZINC000163087088 188598935 /nfs/dbraw/zinc/59/89/35/188598935.db2.gz RJUYFBDRCQFOOB-UHFFFAOYSA-N 0 0 295.364 2.874 20 5 CFBDRN COC1(C)CCN(Cc2csc([N+](=O)[O-])c2)CC1 ZINC000271735008 191296356 /nfs/dbraw/zinc/29/63/56/191296356.db2.gz NWDHHFBPERKGMC-UHFFFAOYSA-N 0 0 270.354 2.657 20 5 CFBDRN CN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@@H]1CCSC1 ZINC000163510854 188609937 /nfs/dbraw/zinc/60/99/37/188609937.db2.gz FBXXNGZSADUEFC-WXJAXGNASA-N 0 0 292.360 2.572 20 5 CFBDRN Cc1cc(NCC2([C@@H](O)C(C)C)CC2)ncc1[N+](=O)[O-] ZINC000271741661 191300085 /nfs/dbraw/zinc/30/00/85/191300085.db2.gz AELSELAOBKJMQS-ZDUSSCGKSA-N 0 0 279.340 2.507 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C(C1CC1)C1CC1 ZINC000164289395 188622576 /nfs/dbraw/zinc/62/25/76/188622576.db2.gz MTZCNAWKSDVCFC-UHFFFAOYSA-N 0 0 260.293 2.970 20 5 CFBDRN O=C(c1cc[nH]c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163757608 188615042 /nfs/dbraw/zinc/61/50/42/188615042.db2.gz ZFACDZIKKYQHAM-UHFFFAOYSA-N 0 0 285.303 2.728 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N(C)C2CCCCC2)n1 ZINC000166645953 188638420 /nfs/dbraw/zinc/63/84/20/188638420.db2.gz FDURANLNZDSLHO-UHFFFAOYSA-N 0 0 293.323 2.545 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCOC1 ZINC000170787948 188691093 /nfs/dbraw/zinc/69/10/93/188691093.db2.gz SISTWQCEVVGAOY-LLVKDONJSA-N 0 0 278.308 2.577 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCN(c3ccccn3)CC2)cc1 ZINC000202455441 540095883 /nfs/dbraw/zinc/09/58/83/540095883.db2.gz RORXKAURKGHHKO-UHFFFAOYSA-N 0 0 298.346 2.707 20 5 CFBDRN CC(C)[C@@H](O)C1(CNc2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000271783777 191324735 /nfs/dbraw/zinc/32/47/35/191324735.db2.gz HXYRVRUADOSWDT-LLVKDONJSA-N 0 0 299.758 2.852 20 5 CFBDRN COCCCCCOc1ccc([N+](=O)[O-])c(C(=O)OC)c1 ZINC000172221185 188789166 /nfs/dbraw/zinc/78/91/66/188789166.db2.gz CXZHCXHDUBCQPG-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CCCCN(CC)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172723859 188822896 /nfs/dbraw/zinc/82/28/96/188822896.db2.gz PUVDKSNWUGEVNU-UHFFFAOYSA-N 0 0 264.325 2.786 20 5 CFBDRN CC1(C)CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000173669405 188863141 /nfs/dbraw/zinc/86/31/41/188863141.db2.gz QYHQMIBLXQSYJH-UHFFFAOYSA-N 0 0 294.376 2.945 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000173851234 188870900 /nfs/dbraw/zinc/87/09/00/188870900.db2.gz WEMWCHQXIMVIGG-SNVBAGLBSA-N 0 0 280.324 2.528 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000271887453 191392131 /nfs/dbraw/zinc/39/21/31/191392131.db2.gz NTLMYIPMZVVDKC-ONGXEEELSA-N 0 0 278.308 2.657 20 5 CFBDRN C[C@H](NC(=O)C1(C)CCC1)c1ccccc1[N+](=O)[O-] ZINC000271852563 191369306 /nfs/dbraw/zinc/36/93/06/191369306.db2.gz WZOUCEDZTTVVEX-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)[C@@H]2CCCCO2)cc([N+](=O)[O-])c1 ZINC000272152036 191544369 /nfs/dbraw/zinc/54/43/69/191544369.db2.gz NTXDPYHVOALUOP-RISCZKNCSA-N 0 0 292.335 2.591 20 5 CFBDRN COc1ccc(NC(=O)C(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000272816015 191929413 /nfs/dbraw/zinc/92/94/13/191929413.db2.gz NZNHZPKQDUDHGB-UHFFFAOYSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)s1 ZINC000273529814 192189803 /nfs/dbraw/zinc/18/98/03/192189803.db2.gz UJIFVZJJYCSZCZ-RXMQYKEDSA-N 0 0 299.743 2.976 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)OC ZINC000295827652 199681455 /nfs/dbraw/zinc/68/14/55/199681455.db2.gz UQMAMVBWKXHWLL-HZMBPMFUSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1ccc(O[C@@H](C)CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000273603645 192226328 /nfs/dbraw/zinc/22/63/28/192226328.db2.gz JYDWYHTYCYIGME-NSHDSACASA-N 0 0 288.307 2.573 20 5 CFBDRN Cc1ccccc1O[C@H](C)CNc1ncc([N+](=O)[O-])cn1 ZINC000273622604 192234391 /nfs/dbraw/zinc/23/43/91/192234391.db2.gz OTYDUZKTOARWMM-LLVKDONJSA-N 0 0 288.307 2.573 20 5 CFBDRN C[C@H]1C[C@@H](c2noc(-c3ccccc3[N+](=O)[O-])n2)CCO1 ZINC000357477925 291093902 /nfs/dbraw/zinc/09/39/02/291093902.db2.gz VTWYXTMLSVRXDU-UWVGGRQHSA-N 0 0 289.291 2.927 20 5 CFBDRN CN(Cc1nccs1)Cc1cccc([N+](=O)[O-])c1 ZINC000175101705 188932874 /nfs/dbraw/zinc/93/28/74/188932874.db2.gz BFKLXSVQFCHVJO-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN COCCCCc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273659143 192249696 /nfs/dbraw/zinc/24/96/96/192249696.db2.gz AHIVFINJRLUKOQ-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN CCc1nc([C@@H](C)Nc2ncc([N+](=O)[O-])cn2)cs1 ZINC000273668686 192255369 /nfs/dbraw/zinc/25/53/69/192255369.db2.gz XHCWZVLJJYQHSM-SSDOTTSWSA-N 0 0 279.325 2.577 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000175263073 188942401 /nfs/dbraw/zinc/94/24/01/188942401.db2.gz VITZTVVKTLQYSV-NWDGAFQWSA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@H]1CCCC[C@H]1c1noc(Cn2ccc([N+](=O)[O-])n2)n1 ZINC000273808331 192315213 /nfs/dbraw/zinc/31/52/13/192315213.db2.gz IISXAPSEKFJSQI-VHSXEESVSA-N 0 0 291.311 2.516 20 5 CFBDRN CC(F)(F)CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293840403 198794005 /nfs/dbraw/zinc/79/40/05/198794005.db2.gz BYWNDXOCAKVQQF-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](NCCF)C(F)(F)F)cc1 ZINC000568097844 290524031 /nfs/dbraw/zinc/52/40/31/290524031.db2.gz QBAATERGZSDLBH-VIFPVBQESA-N 0 0 266.194 2.757 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCC[C@H]2C1 ZINC000150823433 295940185 /nfs/dbraw/zinc/94/01/85/295940185.db2.gz HMIQKDVSLJLXFL-QWRGUYRKSA-N 0 0 275.308 2.859 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)[C@@H]1C ZINC000293935371 198833873 /nfs/dbraw/zinc/83/38/73/198833873.db2.gz DUUYPCKCABKKOU-RKDXNWHRSA-N 0 0 273.292 2.557 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000366045043 295950267 /nfs/dbraw/zinc/95/02/67/295950267.db2.gz ZRRFFPSSRMUSCN-KOLSJAJHSA-N 0 0 296.710 2.612 20 5 CFBDRN CCN(C)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000294257796 198973334 /nfs/dbraw/zinc/97/33/34/198973334.db2.gz WSTQRMLWCFWANI-UHFFFAOYSA-N 0 0 276.214 2.706 20 5 CFBDRN C[C@H]1Cc2ccccc2N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294395319 199023712 /nfs/dbraw/zinc/02/37/12/199023712.db2.gz KIPLMQLDTNKRDR-NSHDSACASA-N 0 0 276.336 2.800 20 5 CFBDRN C[C@H]1C[C@H](C)[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000339003189 291118631 /nfs/dbraw/zinc/11/86/31/291118631.db2.gz FXEYDZXNRZMBNF-UWVGGRQHSA-N 0 0 250.298 2.531 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294515950 199070034 /nfs/dbraw/zinc/07/00/34/199070034.db2.gz LFKHSGARHPLYRB-CQSZACIVSA-N 0 0 290.363 2.934 20 5 CFBDRN CC(C)CC(CC(C)C)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000505606340 535384238 /nfs/dbraw/zinc/38/42/38/535384238.db2.gz FOHNTQFOJKPBGR-UHFFFAOYSA-N 0 0 281.360 2.670 20 5 CFBDRN CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1nc(C)cs1 ZINC000294576404 199093179 /nfs/dbraw/zinc/09/31/79/199093179.db2.gz MCHZIAYIIJMZRA-JTQLQIEISA-N 0 0 299.396 2.854 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@H]2CCOC2)c2cccnc21 ZINC000418958498 236416493 /nfs/dbraw/zinc/41/64/93/236416493.db2.gz GHSZJGKHETXEQS-LLVKDONJSA-N 0 0 288.303 2.948 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H](CC(C)C)OC ZINC000418995091 236422567 /nfs/dbraw/zinc/42/25/67/236422567.db2.gz RCMDPHYKKJJJFO-LLVKDONJSA-N 0 0 284.360 2.593 20 5 CFBDRN Cc1nnc(CSCCOc2ccc([N+](=O)[O-])cc2)o1 ZINC000419578901 236522029 /nfs/dbraw/zinc/52/20/29/236522029.db2.gz FJVNNFRQLORBSO-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN Cc1cc(NCc2nnc(C3CC3)[nH]2)c(F)cc1[N+](=O)[O-] ZINC000294851251 199213493 /nfs/dbraw/zinc/21/34/93/199213493.db2.gz BFFFSSVFQNRXTO-UHFFFAOYSA-N 0 0 291.286 2.650 20 5 CFBDRN Cc1nc(NCCCC(C)(F)F)ncc1[N+](=O)[O-] ZINC000294854148 199214437 /nfs/dbraw/zinc/21/44/37/199214437.db2.gz CNKUFJUCGDLLOP-UHFFFAOYSA-N 0 0 260.244 2.541 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)CC(C)(C)O)c1 ZINC000294877800 199227087 /nfs/dbraw/zinc/22/70/87/199227087.db2.gz BSECOUWZPUDTEX-JTQLQIEISA-N 0 0 252.314 2.865 20 5 CFBDRN C[C@H](CCO)CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000294886872 199233232 /nfs/dbraw/zinc/23/32/32/199233232.db2.gz GOJFCIOSYUHABT-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1cc(N)ccc1[N+](=O)[O-])OC ZINC000294942130 199262368 /nfs/dbraw/zinc/26/23/68/199262368.db2.gz LJILLQOBHDEYLJ-RNCFNFMXSA-N 0 0 267.329 2.650 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1cc(N)ccc1[N+](=O)[O-])OC ZINC000294942133 199262743 /nfs/dbraw/zinc/26/27/43/199262743.db2.gz LJILLQOBHDEYLJ-TVQRCGJNSA-N 0 0 267.329 2.650 20 5 CFBDRN CCc1nn(C)c(NCCCC(C)(F)F)c1[N+](=O)[O-] ZINC000294946419 199264904 /nfs/dbraw/zinc/26/49/04/199264904.db2.gz MBJNDJXRWUXTDR-UHFFFAOYSA-N 0 0 276.287 2.738 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1CF ZINC000296295176 199845211 /nfs/dbraw/zinc/84/52/11/199845211.db2.gz VJLLZIHPGILBNL-SNVBAGLBSA-N 0 0 297.286 2.569 20 5 CFBDRN CCC1(NC(=O)COc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000296351105 199863042 /nfs/dbraw/zinc/86/30/42/199863042.db2.gz UXLMLMMVKGPHIY-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2)nn1C(C)C ZINC000422115380 237061795 /nfs/dbraw/zinc/06/17/95/237061795.db2.gz CALFGQZXLPMYSF-UHFFFAOYSA-N 0 0 274.324 2.715 20 5 CFBDRN CC(C)(CCc1nc(-c2ccc(Cl)o2)no1)[N+](=O)[O-] ZINC000296840292 200011806 /nfs/dbraw/zinc/01/18/06/200011806.db2.gz MWYPCFXSBQYGGJ-UHFFFAOYSA-N 0 0 285.687 2.971 20 5 CFBDRN CCOCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000296850987 200015369 /nfs/dbraw/zinc/01/53/69/200015369.db2.gz LLJAKRKQLUXASX-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1cc(F)c(NC(=O)N(C)C2CC2)cc1[N+](=O)[O-] ZINC000296816959 200006021 /nfs/dbraw/zinc/00/60/21/200006021.db2.gz RTPOWSIWOISENX-UHFFFAOYSA-N 0 0 267.260 2.668 20 5 CFBDRN CC/C=C/CNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000296901762 200030354 /nfs/dbraw/zinc/03/03/54/200030354.db2.gz OKNYWVJUBKDRTR-SNAWJCMRSA-N 0 0 263.297 2.991 20 5 CFBDRN CC(C)(CCC(=O)N1CCc2cccc(F)c2C1)[N+](=O)[O-] ZINC000296960160 200046266 /nfs/dbraw/zinc/04/62/66/200046266.db2.gz CODACLNZAXSZBT-UHFFFAOYSA-N 0 0 294.326 2.546 20 5 CFBDRN C[C@H](NC(=O)OC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000297045594 200069949 /nfs/dbraw/zinc/06/99/49/200069949.db2.gz LVXMAJGRSLWXHQ-GWCFXTLKSA-N 0 0 294.307 2.561 20 5 CFBDRN Cc1ccc(CN(C)c2ncc([N+](=O)[O-])c(C)n2)s1 ZINC000297048973 200071111 /nfs/dbraw/zinc/07/11/11/200071111.db2.gz IINZBFBNZHPGIJ-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297174405 200105153 /nfs/dbraw/zinc/10/51/53/200105153.db2.gz PSGSTWNBLBHGAE-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN CC/C=C/CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000297382099 200170112 /nfs/dbraw/zinc/17/01/12/200170112.db2.gz GKXZHIDSTDWXDZ-SNAWJCMRSA-N 0 0 263.297 2.991 20 5 CFBDRN CCC[C@H](OC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000297485466 200207367 /nfs/dbraw/zinc/20/73/67/200207367.db2.gz LZPHJEUFMNXMPL-MFKMUULPSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1ncc([N+](=O)[O-])c(C)n1 ZINC000297551905 200225890 /nfs/dbraw/zinc/22/58/90/200225890.db2.gz QWMPXXBZIRJVBS-SSDOTTSWSA-N 0 0 291.311 2.514 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000297560626 200227807 /nfs/dbraw/zinc/22/78/07/200227807.db2.gz KKYOMMZGXHPJKT-GARJFASQSA-N 0 0 277.324 2.706 20 5 CFBDRN Cc1cc(F)c(NC(=O)CSC(F)F)cc1[N+](=O)[O-] ZINC000297601194 200238812 /nfs/dbraw/zinc/23/88/12/200238812.db2.gz AQJGTHJGELGDMU-UHFFFAOYSA-N 0 0 294.254 2.937 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000297582653 200233659 /nfs/dbraw/zinc/23/36/59/200233659.db2.gz SVWVQWQLLCASSP-ZJUUUORDSA-N 0 0 250.302 2.684 20 5 CFBDRN CCSCC[C@H](C)N(C)c1ncc([N+](=O)[O-])c(C)n1 ZINC000297667293 200256954 /nfs/dbraw/zinc/25/69/54/200256954.db2.gz ZNMNTNAJDOWUBQ-VIFPVBQESA-N 0 0 284.385 2.661 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)CC1 ZINC000297862467 200294077 /nfs/dbraw/zinc/29/40/77/200294077.db2.gz YUJHFMYZMWYKKW-UHFFFAOYSA-N 0 0 266.272 2.715 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000297867063 200295413 /nfs/dbraw/zinc/29/54/13/200295413.db2.gz TVBYZQJDMKNXRC-LBPRGKRZSA-N 0 0 284.287 2.796 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000435852830 240358888 /nfs/dbraw/zinc/35/88/88/240358888.db2.gz AMOZCOXAWIFHNV-JQWIXIFHSA-N 0 0 277.324 2.583 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000298110601 200335197 /nfs/dbraw/zinc/33/51/97/200335197.db2.gz ACLRTIVDVMEDEL-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN O=C(CSC1CC1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000298213915 200362508 /nfs/dbraw/zinc/36/25/08/200362508.db2.gz YTUCJDBIMZWKNK-UHFFFAOYSA-N 0 0 288.275 2.707 20 5 CFBDRN COC(=O)/C=C/c1ccc(NCC2CC2)c([N+](=O)[O-])c1 ZINC000298203763 200359371 /nfs/dbraw/zinc/35/93/71/200359371.db2.gz XTJLPCVWLXSZJA-FNORWQNLSA-N 0 0 276.292 2.603 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@H]1CCCO1 ZINC000298275049 200379881 /nfs/dbraw/zinc/37/98/81/200379881.db2.gz FRUDAZFTNZNOQT-GFCCVEGCSA-N 0 0 278.308 2.801 20 5 CFBDRN O=[N+]([O-])c1ccnn1C1CC(OCc2ccccc2)C1 ZINC000298432274 200427360 /nfs/dbraw/zinc/42/73/60/200427360.db2.gz RQCUFTSSQNSMDY-UHFFFAOYSA-N 0 0 273.292 2.712 20 5 CFBDRN CC(C)CO[C@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000177149182 189032541 /nfs/dbraw/zinc/03/25/41/189032541.db2.gz LCMLOZSAUCDCOZ-NSHDSACASA-N 0 0 298.364 2.543 20 5 CFBDRN C[C@H]1CC[C@@]2(CCN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)C1 ZINC000596189847 540704831 /nfs/dbraw/zinc/70/48/31/540704831.db2.gz LVBBUWGGFBUCKE-IINYFYTJSA-N 0 0 277.324 2.712 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)n[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000177780860 189068998 /nfs/dbraw/zinc/06/89/98/189068998.db2.gz DIZKAGSTXUTVAA-GFCCVEGCSA-N 0 0 288.307 2.507 20 5 CFBDRN CC[C@@H](NC(=O)C(C)C)c1cccc([N+](=O)[O-])c1 ZINC000177793468 189070397 /nfs/dbraw/zinc/07/03/97/189070397.db2.gz WGTCNTAJSOLWBE-GFCCVEGCSA-N 0 0 250.298 2.818 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)c1cnn(C)c1 ZINC000177805661 189071075 /nfs/dbraw/zinc/07/10/75/189071075.db2.gz HQQIVSXGJBPTJI-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN CCCOCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177846805 189073960 /nfs/dbraw/zinc/07/39/60/189073960.db2.gz YCUZNRGJHRDKIT-LLVKDONJSA-N 0 0 280.324 2.507 20 5 CFBDRN CCCN(C(=O)[C@@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000298763893 200529015 /nfs/dbraw/zinc/52/90/15/200529015.db2.gz VLDVTHALLZPLHZ-NSHDSACASA-N 0 0 284.262 2.993 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)CCOC(C)C)cc1[N+](=O)[O-] ZINC000177873975 189076210 /nfs/dbraw/zinc/07/62/10/189076210.db2.gz XUGHYHNKYTYOGA-GFCCVEGCSA-N 0 0 294.351 2.896 20 5 CFBDRN CO[C@@H](C)c1noc(CSc2ccc([N+](=O)[O-])cc2)n1 ZINC000177913706 189079149 /nfs/dbraw/zinc/07/91/49/189079149.db2.gz KVTADHWAQIYCLM-QMMMGPOBSA-N 0 0 295.320 2.978 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000178166703 189094402 /nfs/dbraw/zinc/09/44/02/189094402.db2.gz CHOBLMNVEXZZID-JZUADKBYSA-N 0 0 274.320 2.865 20 5 CFBDRN CCC1(CNC(=O)CCNc2ccccc2[N+](=O)[O-])CC1 ZINC000178162143 189094584 /nfs/dbraw/zinc/09/45/84/189094584.db2.gz KHLFZSGPLGAUJF-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C1CC1 ZINC000178391690 189113031 /nfs/dbraw/zinc/11/30/31/189113031.db2.gz BNWRWEMLNRKBKC-ZETCQYMHSA-N 0 0 252.245 2.719 20 5 CFBDRN CC(C)n1ccc(CSCCn2cc([N+](=O)[O-])cn2)n1 ZINC000178785892 189145610 /nfs/dbraw/zinc/14/56/10/189145610.db2.gz COLJRXZYSKGITH-UHFFFAOYSA-N 0 0 295.368 2.502 20 5 CFBDRN CCC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000299589109 200791781 /nfs/dbraw/zinc/79/17/81/200791781.db2.gz BRMOCAKCLJNDJU-UHFFFAOYSA-N 0 0 265.313 2.575 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1noc(C2CC2)n1 ZINC000299603352 200798325 /nfs/dbraw/zinc/79/83/25/200798325.db2.gz MZBMVMSKLNFTSH-UHFFFAOYSA-N 0 0 274.280 2.510 20 5 CFBDRN Cc1cc(N2CC[C@@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000301594714 540906630 /nfs/dbraw/zinc/90/66/30/540906630.db2.gz FKQYNFAFOGXAJG-CQSZACIVSA-N 0 0 262.309 2.520 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000205178473 540927365 /nfs/dbraw/zinc/92/73/65/540927365.db2.gz KFLJJVUORYJQDW-JTQLQIEISA-N 0 0 250.298 2.822 20 5 CFBDRN Cc1cc(N2CC[C@@H]3OCCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000301718493 540943480 /nfs/dbraw/zinc/94/34/80/540943480.db2.gz LKYSATPCVRWYPB-WFASDCNBSA-N 0 0 276.336 2.909 20 5 CFBDRN COC[C@@H](C)N(C)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000180448295 189256009 /nfs/dbraw/zinc/25/60/09/189256009.db2.gz GOQASKWZKRKCCF-LLVKDONJSA-N 0 0 294.351 2.627 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000230105863 540990217 /nfs/dbraw/zinc/99/02/17/540990217.db2.gz AJSAEGCXOJPGGL-AMIZOPFISA-N 0 0 298.726 2.546 20 5 CFBDRN CCCn1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)cn1 ZINC000180565436 189262417 /nfs/dbraw/zinc/26/24/17/189262417.db2.gz OJYWKAWSGCRDOT-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN NC(=O)Nc1ccc(CNc2ccc([N+](=O)[O-])cc2)cc1 ZINC000301060525 200902786 /nfs/dbraw/zinc/90/27/86/200902786.db2.gz BIBVHCKHGHCINF-UHFFFAOYSA-N 0 0 286.291 2.698 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180630220 189266722 /nfs/dbraw/zinc/26/67/22/189266722.db2.gz YEMSCPWVFNFUBV-JTQLQIEISA-N 0 0 279.340 2.876 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccccc1-n1cccn1 ZINC000301100946 200915934 /nfs/dbraw/zinc/91/59/34/200915934.db2.gz RAZIHCFLPGCFBS-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN CO[C@H](C)CCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000180888684 189281939 /nfs/dbraw/zinc/28/19/39/189281939.db2.gz YVBPVPFXBSNUNK-SSDOTTSWSA-N 0 0 288.250 2.627 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2C[C@@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000301234097 200946296 /nfs/dbraw/zinc/94/62/96/200946296.db2.gz GUSMZNLCGNCKRV-QWHCGFSZSA-N 0 0 286.335 2.852 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1nccc(C)c1[N+](=O)[O-] ZINC000301287460 200964526 /nfs/dbraw/zinc/96/45/26/200964526.db2.gz MFZNUGNPZXFPTF-GWCFXTLKSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cnc(NCc2ccc(O)c(F)c2)c([N+](=O)[O-])c1 ZINC000301428603 201004745 /nfs/dbraw/zinc/00/47/45/201004745.db2.gz HXRQDBSDIZSWQP-UHFFFAOYSA-N 0 0 277.255 2.755 20 5 CFBDRN NC(=O)c1ccc(CNc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000301476437 201021274 /nfs/dbraw/zinc/02/12/74/201021274.db2.gz OLVDXIBGHMJBSS-UHFFFAOYSA-N 0 0 295.682 2.552 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CC(=O)N(C(C)(C)C)C1 ZINC000301480010 201023716 /nfs/dbraw/zinc/02/37/16/201023716.db2.gz RJCZCFYOPRRHSX-NSHDSACASA-N 0 0 291.351 2.715 20 5 CFBDRN CN(CCc1ccncc1)c1ccc([N+](=O)[O-])cc1 ZINC000301525520 201047872 /nfs/dbraw/zinc/04/78/72/201047872.db2.gz NADWCBSFSVYBDT-UHFFFAOYSA-N 0 0 257.293 2.669 20 5 CFBDRN CCOc1cc(N2CCC[C@@H](COC)C2)ccc1[N+](=O)[O-] ZINC000301499774 201031264 /nfs/dbraw/zinc/03/12/64/201031264.db2.gz AGEKJHPAELTQNK-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN O=[N+]([O-])c1sc(NCC2CC2)nc1NCC1CC1 ZINC000301518231 201040902 /nfs/dbraw/zinc/04/09/02/201040902.db2.gz KLMGJDGRZJTBGL-UHFFFAOYSA-N 0 0 268.342 2.695 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1ccn(CC(F)F)n1 ZINC000301520536 201044415 /nfs/dbraw/zinc/04/44/15/201044415.db2.gz GSHMSQYSWVFDMC-UHFFFAOYSA-N 0 0 268.223 2.800 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@]2(C)CCCO2)c1 ZINC000301566992 201071375 /nfs/dbraw/zinc/07/13/75/201071375.db2.gz MSZHMISHJUXASB-ZDUSSCGKSA-N 0 0 284.287 2.724 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC(=O)C[C@H]1CCC[C@@H]1C ZINC001288215906 781940886 /nfs/dbraw/zinc/94/08/86/781940886.db2.gz KODMCTKFHKQQLJ-VHSXEESVSA-N 0 0 293.323 2.763 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000424089363 238667445 /nfs/dbraw/zinc/66/74/45/238667445.db2.gz AQVMRRSBPNZTJF-GFCCVEGCSA-N 0 0 255.245 2.538 20 5 CFBDRN CCOc1cc(N[C@H]2CCC[C@]2(C)CO)ccc1[N+](=O)[O-] ZINC000301596909 201088627 /nfs/dbraw/zinc/08/86/27/201088627.db2.gz SOQBTHTUWDLDCF-LSDHHAIUSA-N 0 0 294.351 2.957 20 5 CFBDRN CC[C@@H]1COCCN1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182761159 189333713 /nfs/dbraw/zinc/33/37/13/189333713.db2.gz KKSMIZKIAQPPMO-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN CC(C)C1CCC(Nc2ncc([N+](=O)[O-])c(N)n2)CC1 ZINC000301644623 201111662 /nfs/dbraw/zinc/11/16/62/201111662.db2.gz CLRZLQWBFABUMT-UHFFFAOYSA-N 0 0 279.344 2.594 20 5 CFBDRN Cc1nc(CN2CCc3ccc([N+](=O)[O-])cc32)cs1 ZINC000182782342 189334855 /nfs/dbraw/zinc/33/48/55/189334855.db2.gz YTYUCQPXXFWKKM-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1nc(Oc2ccc(C=O)cc2)ccc1[N+](=O)[O-] ZINC000301613630 201097829 /nfs/dbraw/zinc/09/78/29/201097829.db2.gz AGMJLPKRXNUOEO-UHFFFAOYSA-N 0 0 258.233 2.903 20 5 CFBDRN Cc1nc(N(C)CCOc2ccccc2)ccc1[N+](=O)[O-] ZINC000301620812 201099217 /nfs/dbraw/zinc/09/92/17/201099217.db2.gz FBMDLVXXRNSQCT-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000183246064 189352027 /nfs/dbraw/zinc/35/20/27/189352027.db2.gz SFHQBAJBQHILOR-QWRGUYRKSA-N 0 0 264.325 2.893 20 5 CFBDRN COC(=O)c1cc(NC2CC=CC2)cc(C)c1[N+](=O)[O-] ZINC000301652025 201116703 /nfs/dbraw/zinc/11/67/03/201116703.db2.gz GVLDIIPYEDUNPQ-UHFFFAOYSA-N 0 0 276.292 2.820 20 5 CFBDRN Cc1nc(N(C)[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000301686857 201137029 /nfs/dbraw/zinc/13/70/29/201137029.db2.gz XGVXESYJMSVUMF-HONMWMINSA-N 0 0 291.351 2.548 20 5 CFBDRN COC(=O)c1cc(N(C)C2CCC2)cc(C)c1[N+](=O)[O-] ZINC000301673252 201128653 /nfs/dbraw/zinc/12/86/53/201128653.db2.gz GIJKNZRCYQGPRN-UHFFFAOYSA-N 0 0 278.308 2.679 20 5 CFBDRN CC(C)OC(=O)[C@H](C)N(C)c1ccc([N+](=O)[O-])cc1F ZINC000301678720 201132889 /nfs/dbraw/zinc/13/28/89/201132889.db2.gz RTRBDZFUICVWQY-VIFPVBQESA-N 0 0 284.287 2.510 20 5 CFBDRN COC(=O)c1cccc(Oc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000301647148 201113600 /nfs/dbraw/zinc/11/36/00/201113600.db2.gz OGYVUODVACCXFK-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN C[C@]1(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)C[C@@H]1F ZINC000424686848 238827315 /nfs/dbraw/zinc/82/73/15/238827315.db2.gz QLVDHAJADOGTAF-ZFWWWQNUSA-N 0 0 294.326 2.782 20 5 CFBDRN CCCN(C)c1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000301699294 201146692 /nfs/dbraw/zinc/14/66/92/201146692.db2.gz RLRLOFUMSZTJNC-UHFFFAOYSA-N 0 0 266.297 2.536 20 5 CFBDRN COC(=O)c1cc(N(C)CC2CCC2)cc(C)c1[N+](=O)[O-] ZINC000301700931 201146797 /nfs/dbraw/zinc/14/67/97/201146797.db2.gz SLUBMFJVSPDJRC-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN COCCC(C)(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000183824052 189368611 /nfs/dbraw/zinc/36/86/11/189368611.db2.gz WFUXGXIPRFWNDC-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN COCCC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000183824289 189368812 /nfs/dbraw/zinc/36/88/12/189368812.db2.gz PTLWRGWJXLZMRF-UHFFFAOYSA-N 0 0 266.297 2.596 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2nnc(C3CC3)s2)c1 ZINC000301711532 201152921 /nfs/dbraw/zinc/15/29/21/201152921.db2.gz DUJPEZOQFKQLAZ-UHFFFAOYSA-N 0 0 276.321 2.936 20 5 CFBDRN CCCCCN1CC(=O)N[C@@H]1c1ccc([N+](=O)[O-])cc1C ZINC000183952070 189372911 /nfs/dbraw/zinc/37/29/11/189372911.db2.gz YFMQZIIZBAQJBU-HNNXBMFYSA-N 0 0 291.351 2.524 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000301768468 201186780 /nfs/dbraw/zinc/18/67/80/201186780.db2.gz DTQFLPFYTCJMIY-JTQLQIEISA-N 0 0 286.278 2.878 20 5 CFBDRN C[C@H]1[C@@H](C)[N@@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C[C@H]1C ZINC000418120694 291276203 /nfs/dbraw/zinc/27/62/03/291276203.db2.gz CNXKTTBHEFBQNG-GMTAPVOTSA-N 0 0 264.325 2.777 20 5 CFBDRN CCc1ccc(Oc2ncc(F)cn2)c([N+](=O)[O-])c1 ZINC000301790754 201199173 /nfs/dbraw/zinc/19/91/73/201199173.db2.gz RDJRKIWGYJOFBI-UHFFFAOYSA-N 0 0 263.228 2.879 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@H](C)C(=O)OC(C)C)c1 ZINC000301790339 201199402 /nfs/dbraw/zinc/19/94/02/201199402.db2.gz WKEQJRSIQYOYGB-LLVKDONJSA-N 0 0 280.324 2.680 20 5 CFBDRN C[C@H](c1cnccn1)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000184407499 189385327 /nfs/dbraw/zinc/38/53/27/189385327.db2.gz KAAYBKOQBIIAFH-LLVKDONJSA-N 0 0 272.308 2.578 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)CCC1CCCCC1 ZINC000184407375 189385489 /nfs/dbraw/zinc/38/54/89/189385489.db2.gz XBVOHJKZYFMLSB-UHFFFAOYSA-N 0 0 280.328 2.627 20 5 CFBDRN Cc1cc(CN(C)c2ccc(C)cc2[N+](=O)[O-])no1 ZINC000301818562 201216726 /nfs/dbraw/zinc/21/67/26/201216726.db2.gz KPONXEFOPXKWTF-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN COCCOCCSCc1cccc([N+](=O)[O-])c1C ZINC000184641079 189391426 /nfs/dbraw/zinc/39/14/26/189391426.db2.gz JYAQFMPNIOOWFP-UHFFFAOYSA-N 0 0 285.365 2.799 20 5 CFBDRN CC[C@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000301871083 201242515 /nfs/dbraw/zinc/24/25/15/201242515.db2.gz WRFKTQJPPCTQLE-IUCAKERBSA-N 0 0 296.352 2.674 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@H]1CCCO1 ZINC000301842821 201228290 /nfs/dbraw/zinc/22/82/90/201228290.db2.gz KEONOPUIDGJSHT-CMPLNLGQSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000177009279 294071258 /nfs/dbraw/zinc/07/12/58/294071258.db2.gz FHZFXPFSRHHPIJ-YUMQZZPRSA-N 0 0 268.338 2.835 20 5 CFBDRN Cc1cc(N(C)C[C@@H](O)C2CC2)c(Cl)cc1[N+](=O)[O-] ZINC000301890324 201249453 /nfs/dbraw/zinc/24/94/53/201249453.db2.gz LQRFRWJMHWUBSA-CYBMUJFWSA-N 0 0 284.743 2.764 20 5 CFBDRN CC(C)(O)[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000301903758 201254847 /nfs/dbraw/zinc/25/48/47/201254847.db2.gz PFGPBBXNDYWIOG-LBPRGKRZSA-N 0 0 284.743 2.988 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCCCC[C@@H]2C(N)=O)c1 ZINC000301907284 201257021 /nfs/dbraw/zinc/25/70/21/201257021.db2.gz ALLJYLCICVSLBF-RYUDHWBXSA-N 0 0 291.351 2.749 20 5 CFBDRN CN(CC(C)(C)O)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301906549 201257207 /nfs/dbraw/zinc/25/72/07/201257207.db2.gz QSCAEUZCHPWISZ-UHFFFAOYSA-N 0 0 296.367 2.979 20 5 CFBDRN COCC1CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000301936485 201267760 /nfs/dbraw/zinc/26/77/60/201267760.db2.gz QCZYAENULJQNOW-UHFFFAOYSA-N 0 0 256.327 2.519 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000425524084 239090597 /nfs/dbraw/zinc/09/05/97/239090597.db2.gz CANVJVVUUOOWGS-UWVGGRQHSA-N 0 0 281.287 2.765 20 5 CFBDRN Cc1cc(N[C@H]2CC[C@@H](CO)CC2)ccc1[N+](=O)[O-] ZINC000301961277 201278966 /nfs/dbraw/zinc/27/89/66/201278966.db2.gz QQRRTZCCFRZEBU-TXEJJXNPSA-N 0 0 264.325 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H](C)CC(C)C ZINC000185257658 189407749 /nfs/dbraw/zinc/40/77/49/189407749.db2.gz ZGLMTTURIJZWNM-NSHDSACASA-N 0 0 294.351 2.902 20 5 CFBDRN CN(Cc1ccc(O)cc1)c1sccc1[N+](=O)[O-] ZINC000301980404 201285490 /nfs/dbraw/zinc/28/54/90/201285490.db2.gz ARMIMJMZSQTMJA-UHFFFAOYSA-N 0 0 264.306 2.998 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1ccccc1[N+](=O)[O-] ZINC000302014397 201298505 /nfs/dbraw/zinc/29/85/05/201298505.db2.gz BMRRZQMALIQRAE-RISCZKNCSA-N 0 0 264.325 2.582 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@H]1CCCC[C@H]1O ZINC000302031224 201307882 /nfs/dbraw/zinc/30/78/82/201307882.db2.gz ILCYDWZHSCLPFE-TZMCWYRMSA-N 0 0 278.352 2.891 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCc2ccc[nH]2)c(F)c1 ZINC000302032397 201308586 /nfs/dbraw/zinc/30/85/86/201308586.db2.gz IXKLDWJMPHVMIJ-UHFFFAOYSA-N 0 0 267.235 2.856 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCC2)c(OC(F)F)c1 ZINC000302038423 201311648 /nfs/dbraw/zinc/31/16/48/201311648.db2.gz GTNKAIXKWOWMMG-UHFFFAOYSA-N 0 0 288.250 2.523 20 5 CFBDRN COCCCNc1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000302037859 201311680 /nfs/dbraw/zinc/31/16/80/201311680.db2.gz VILZRWAOJCESAR-UHFFFAOYSA-N 0 0 275.308 2.900 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(Cl)cn2)nc1 ZINC000302036781 201313007 /nfs/dbraw/zinc/31/30/07/201313007.db2.gz UZEVLIDZQRCZNC-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN CCOc1cccc(N(C)CC2CC2)c1[N+](=O)[O-] ZINC000302078146 201333573 /nfs/dbraw/zinc/33/35/73/201333573.db2.gz ARWDDGKSLUNTRO-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN Cn1c(Sc2ccc([N+](=O)[O-])cc2)nnc1C(F)F ZINC000302074102 201334545 /nfs/dbraw/zinc/33/45/45/201334545.db2.gz HZFWPBZVPZVPOC-UHFFFAOYSA-N 0 0 286.263 2.812 20 5 CFBDRN COc1ccc(F)cc1[C@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000302094060 201340527 /nfs/dbraw/zinc/34/05/27/201340527.db2.gz IFMYVVCFLQVBRD-QMMMGPOBSA-N 0 0 292.270 2.706 20 5 CFBDRN CCOc1ccc(Nc2ncc([N+](=O)[O-])cn2)cc1OC ZINC000302141232 201362116 /nfs/dbraw/zinc/36/21/16/201362116.db2.gz FAAYAXDMKMEGNS-UHFFFAOYSA-N 0 0 290.279 2.536 20 5 CFBDRN CCOC(C)(C)CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000186753072 189449525 /nfs/dbraw/zinc/44/95/25/189449525.db2.gz YGJMPUZZQQTUEJ-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CC[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000302151311 201369321 /nfs/dbraw/zinc/36/93/21/201369321.db2.gz KMFMLZBTFFOELZ-GFCCVEGCSA-N 0 0 290.266 2.769 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@@H](C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000302129210 201354360 /nfs/dbraw/zinc/35/43/60/201354360.db2.gz ANOCUPRFGNTCQN-DTWKUNHWSA-N 0 0 284.287 2.734 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccc(C)n1 ZINC000426844500 239268744 /nfs/dbraw/zinc/26/87/44/239268744.db2.gz CLKGAHAQAOEDKM-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCCCO[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cn2)C1(C)C ZINC000302161672 201374705 /nfs/dbraw/zinc/37/47/05/201374705.db2.gz PODQWOLXUDENCQ-VXGBXAGGSA-N 0 0 294.355 2.781 20 5 CFBDRN Cc1cccc([C@@H](C)CNc2ncc([N+](=O)[O-])cn2)c1 ZINC000302166546 201376912 /nfs/dbraw/zinc/37/69/12/201376912.db2.gz RNFJDJNWFORXSH-NSHDSACASA-N 0 0 272.308 2.909 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])cc2OC(F)F)COC1 ZINC000302167910 201377624 /nfs/dbraw/zinc/37/76/24/201377624.db2.gz SJDQCQDDDMHCPT-UHFFFAOYSA-N 0 0 288.250 2.645 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1F ZINC000302171483 201379365 /nfs/dbraw/zinc/37/93/65/201379365.db2.gz UNDCZQQPZZMPQX-DTWKUNHWSA-N 0 0 284.287 2.734 20 5 CFBDRN O=C(Nc1ccc2c(c1)C(=O)CCC2)c1cc([N+](=O)[O-])c[nH]1 ZINC000427053663 239281150 /nfs/dbraw/zinc/28/11/50/239281150.db2.gz KIHOPSFAHZYUQR-UHFFFAOYSA-N 0 0 299.286 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@@H]1CCC[C@]1(C)C(=O)[O-] ZINC000426732143 239255555 /nfs/dbraw/zinc/25/55/55/239255555.db2.gz RTQASVOQSBBDLY-HIFRSBDPSA-N 0 0 292.335 2.636 20 5 CFBDRN CCOC(=O)[C@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000302183072 201387647 /nfs/dbraw/zinc/38/76/47/201387647.db2.gz ZNLNWUSUVQOZCW-GHMZBOCLSA-N 0 0 280.324 2.903 20 5 CFBDRN COC[C@H](C)N(C)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000187318650 189464632 /nfs/dbraw/zinc/46/46/32/189464632.db2.gz ZIOUXHCXSQKAAQ-NSHDSACASA-N 0 0 294.351 2.825 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000187461120 189467837 /nfs/dbraw/zinc/46/78/37/189467837.db2.gz QGWLYXPZNLRYQM-PWSUYJOCSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1ncccc1C(F)(F)F ZINC000428050367 239362304 /nfs/dbraw/zinc/36/23/04/239362304.db2.gz BJYYFRTXGIDGBY-UHFFFAOYSA-N 0 0 299.208 2.983 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2c(F)cccc2F)cc1CO ZINC000428077042 239370781 /nfs/dbraw/zinc/37/07/81/239370781.db2.gz VZEJYYMYLONXLR-UHFFFAOYSA-N 0 0 295.241 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CCO)c2ccco2)c(F)c1 ZINC000302218256 201404318 /nfs/dbraw/zinc/40/43/18/201404318.db2.gz KPFGANRSDHKVFU-GFCCVEGCSA-N 0 0 280.255 2.863 20 5 CFBDRN CCc1cccc(Cn2cc([N+](=O)[O-])cc(C)c2=O)c1 ZINC000189098985 189523312 /nfs/dbraw/zinc/52/33/12/189523312.db2.gz VWFAXZABAURITC-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN Cc1ccc(OCCOCC(F)F)cc1[N+](=O)[O-] ZINC000189330551 189530544 /nfs/dbraw/zinc/53/05/44/189530544.db2.gz GVWPRJBJGBGBAP-UHFFFAOYSA-N 0 0 261.224 2.564 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@H](O)CC(F)(F)F ZINC000189413442 189533578 /nfs/dbraw/zinc/53/35/78/189533578.db2.gz PLEOGTGIYIJJOO-SECBINFHSA-N 0 0 279.214 2.595 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@H](C)C2)cc([N+](=O)[O-])c1C ZINC000190275623 189559819 /nfs/dbraw/zinc/55/98/19/189559819.db2.gz LUBZNIADDVYOOR-JTQLQIEISA-N 0 0 292.335 2.784 20 5 CFBDRN Cc1cccc(OCCN(C)c2ncc([N+](=O)[O-])s2)c1 ZINC000190318421 189560431 /nfs/dbraw/zinc/56/04/31/189560431.db2.gz YJKUBAOMRMDBSK-UHFFFAOYSA-N 0 0 293.348 2.875 20 5 CFBDRN COc1ccc(OC[C@@H]2CC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000190612565 189569836 /nfs/dbraw/zinc/56/98/36/189569836.db2.gz AWBBOSLQVRECQO-KOLCDFICSA-N 0 0 267.281 2.550 20 5 CFBDRN CCC(C)(C)CNC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000190903136 189577961 /nfs/dbraw/zinc/57/79/61/189577961.db2.gz UUYQDGGDZNHUDY-UHFFFAOYSA-N 0 0 295.295 2.669 20 5 CFBDRN CC[C@@H](C)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000191015583 189580323 /nfs/dbraw/zinc/58/03/23/189580323.db2.gz LISUSSSGHVQZKM-MRVPVSSYSA-N 0 0 253.254 2.555 20 5 CFBDRN C[C@H]1CSCCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000191328770 189592012 /nfs/dbraw/zinc/59/20/12/189592012.db2.gz QEORYRCVHBDMMY-SECBINFHSA-N 0 0 298.339 2.559 20 5 CFBDRN CCOC(=O)N1CC[C@@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000302295698 201428689 /nfs/dbraw/zinc/42/86/89/201428689.db2.gz XPVOCJSVARYMOJ-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2CC(O)C2)c2ccncc21 ZINC000432876794 240059784 /nfs/dbraw/zinc/05/97/84/240059784.db2.gz CBJGKNGCODSTBB-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN CCC[C@H](CNc1nc(C)ccc1[N+](=O)[O-])C(=O)OCC ZINC000432915581 240065862 /nfs/dbraw/zinc/06/58/62/240065862.db2.gz FISDDTGIFGPAKT-LLVKDONJSA-N 0 0 295.339 2.690 20 5 CFBDRN C/C=C\C[C@@H](NCc1csc([N+](=O)[O-])c1)C(=O)OCC ZINC000432913801 240067391 /nfs/dbraw/zinc/06/73/91/240067391.db2.gz GLWNGRNFSBJKNB-USEMIUTHSA-N 0 0 298.364 2.644 20 5 CFBDRN CCC[C@@H](CNc1ccc([N+](=O)[O-])cc1)C(=O)OCC ZINC000432925317 240068067 /nfs/dbraw/zinc/06/80/67/240068067.db2.gz BUZOQSGWUVUZIZ-NSHDSACASA-N 0 0 280.324 2.986 20 5 CFBDRN CCCN(C(=O)[C@@H]1CC[C@@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000194122178 189654828 /nfs/dbraw/zinc/65/48/28/189654828.db2.gz WVRPEJFOOZRJDK-RISCZKNCSA-N 0 0 292.335 2.905 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000435957245 240372514 /nfs/dbraw/zinc/37/25/14/240372514.db2.gz IYXSXHQURLKQQW-JOYOIKCWSA-N 0 0 285.303 2.604 20 5 CFBDRN Cc1cc(NCc2nnc(C3CC3)o2)ccc1[N+](=O)[O-] ZINC000275376399 193013135 /nfs/dbraw/zinc/01/31/35/193013135.db2.gz OJNPCYXZBCTDBF-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN Cc1cccc(N(C)C[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000275400458 193021817 /nfs/dbraw/zinc/02/18/17/193021817.db2.gz DVPNNKIUAJIDIV-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)c1cncs1 ZINC000439127375 240740403 /nfs/dbraw/zinc/74/04/03/240740403.db2.gz BDXCHVBHPPQBSU-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(NCCC2(O)CC2)c1 ZINC000442873840 241012037 /nfs/dbraw/zinc/01/20/37/241012037.db2.gz PTGLMGVZMUBLIR-UHFFFAOYSA-N 0 0 288.250 2.523 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccccc2-n2ccnc2)cn1 ZINC000443512475 241068964 /nfs/dbraw/zinc/06/89/64/241068964.db2.gz UPQAISNZWJNPMB-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2c(F)cccc2Cl)s1 ZINC000443522553 241069186 /nfs/dbraw/zinc/06/91/86/241069186.db2.gz ZHSYHRQCHUNYSB-UHFFFAOYSA-N 0 0 287.703 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc(NCCO)c2)cc1 ZINC000443536481 241071750 /nfs/dbraw/zinc/07/17/50/241071750.db2.gz AMBLVNUSADBPBA-UHFFFAOYSA-N 0 0 274.276 2.791 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCCC2(O)CC2)cc1 ZINC000443153323 241041126 /nfs/dbraw/zinc/04/11/26/241041126.db2.gz CLHYPPLLJIIMDT-UHFFFAOYSA-N 0 0 283.349 2.622 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000444143140 241118956 /nfs/dbraw/zinc/11/89/56/241118956.db2.gz LPLYGJVYBKPYLN-AAEUAGOBSA-N 0 0 291.351 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSCCC2(O)CC2)cc1 ZINC000443152683 241041621 /nfs/dbraw/zinc/04/16/21/241041621.db2.gz BWZRNZVYMIMJEP-UHFFFAOYSA-N 0 0 267.350 2.786 20 5 CFBDRN CC(C)[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000444521036 241146091 /nfs/dbraw/zinc/14/60/91/241146091.db2.gz QLYWJXXMEFOPPE-LLVKDONJSA-N 0 0 291.351 2.685 20 5 CFBDRN CC(C)[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000444521037 241146851 /nfs/dbraw/zinc/14/68/51/241146851.db2.gz QLYWJXXMEFOPPE-NSHDSACASA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CC1(C)C ZINC000446034146 241261072 /nfs/dbraw/zinc/26/10/72/241261072.db2.gz AMUAVSDDQQHLRR-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(/C=C\C3CCC3)n2)n1C ZINC000445621718 241228535 /nfs/dbraw/zinc/22/85/35/241228535.db2.gz SSPOHNMVGUATPE-SREVYHEPSA-N 0 0 289.295 2.500 20 5 CFBDRN COC[C@@H](C)CC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000445942949 241255650 /nfs/dbraw/zinc/25/56/50/241255650.db2.gz GAFUHWMANPULCV-NSHDSACASA-N 0 0 294.351 2.619 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000302522160 201472025 /nfs/dbraw/zinc/47/20/25/201472025.db2.gz JDYIMDDZPWRONH-UWVGGRQHSA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC(C)(C)CF ZINC000446483711 241301919 /nfs/dbraw/zinc/30/19/19/241301919.db2.gz DVEJKVUCCNVZBV-UHFFFAOYSA-N 0 0 269.276 2.773 20 5 CFBDRN CN(C(=O)[C@@H]1CCC(C)(C)O1)c1ccc([N+](=O)[O-])cc1 ZINC000447190043 241358944 /nfs/dbraw/zinc/35/89/44/241358944.db2.gz DNIQRGVFSZJKPA-LBPRGKRZSA-N 0 0 278.308 2.515 20 5 CFBDRN C[C@@H]1C[C@H](CSc2ncccc2[N+](=O)[O-])CCO1 ZINC000447303108 241364448 /nfs/dbraw/zinc/36/44/48/241364448.db2.gz HBNIYBPFHNABHL-NXEZZACHSA-N 0 0 268.338 2.897 20 5 CFBDRN Cc1cc(N(C)CC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000302611403 201491761 /nfs/dbraw/zinc/49/17/61/201491761.db2.gz BVEQMLAXYKHPDX-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN CO[C@H](C)CNc1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000302619518 201492329 /nfs/dbraw/zinc/49/23/29/201492329.db2.gz DFRWJGKKRZIBLG-SNVBAGLBSA-N 0 0 275.308 2.898 20 5 CFBDRN COC(C)(C)CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000447348180 241371170 /nfs/dbraw/zinc/37/11/70/241371170.db2.gz ACRZZPQBCHLAAC-SNVBAGLBSA-N 0 0 292.335 2.688 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])ccn1 ZINC000447549693 241383602 /nfs/dbraw/zinc/38/36/02/241383602.db2.gz MDKUHTGDUAMVMT-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)ccn1 ZINC000448207644 241451633 /nfs/dbraw/zinc/45/16/33/241451633.db2.gz ZTMDOWUDXDTPDG-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cnc(C3CC3)o2)c(F)c1 ZINC000449707373 242116222 /nfs/dbraw/zinc/11/62/22/242116222.db2.gz QRAHIYZTWSFNOX-UHFFFAOYSA-N 0 0 291.282 2.889 20 5 CFBDRN Cc1c[nH]c(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])n1 ZINC000450113666 242279565 /nfs/dbraw/zinc/27/95/65/242279565.db2.gz PMLAIGCXZCHTIJ-UHFFFAOYSA-N 0 0 288.307 2.543 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000450274683 242336930 /nfs/dbraw/zinc/33/69/30/242336930.db2.gz HNNFNQPSOVYWKI-NWDGAFQWSA-N 0 0 270.292 2.661 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000450313391 242352591 /nfs/dbraw/zinc/35/25/91/242352591.db2.gz QPUFXEIOYHSZTQ-ZYHUDNBSSA-N 0 0 292.335 2.911 20 5 CFBDRN C[C@@H](Nc1nccc2c1cccc2[N+](=O)[O-])[C@H](C)CO ZINC000450352001 242371541 /nfs/dbraw/zinc/37/15/41/242371541.db2.gz VCQYKODUHAFYNW-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN O=C([C@H]1CC1(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000450182964 242298710 /nfs/dbraw/zinc/29/87/10/242298710.db2.gz IMEWIJAVJLYLEC-LLVKDONJSA-N 0 0 296.273 2.741 20 5 CFBDRN CO[C@@]1(C)C[C@@H](N(C)c2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000450363283 242378713 /nfs/dbraw/zinc/37/87/13/242378713.db2.gz KASQQIYUVJLTED-RISCZKNCSA-N 0 0 279.340 2.630 20 5 CFBDRN CSCCN(C)c1nccc2c1cccc2[N+](=O)[O-] ZINC000450383219 242388608 /nfs/dbraw/zinc/38/86/08/242388608.db2.gz ZFAXZTXWNHRQEY-UHFFFAOYSA-N 0 0 277.349 2.942 20 5 CFBDRN C[C@@H]1OCC[C@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450404695 242399826 /nfs/dbraw/zinc/39/98/26/242399826.db2.gz CZAPIOHBXUVTSG-JOYOIKCWSA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCCC1CCOCC1 ZINC000450563194 242481380 /nfs/dbraw/zinc/48/13/80/242481380.db2.gz WVFDOAMTTLRIBS-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CCOC1(C)CN(c2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000450577015 242486552 /nfs/dbraw/zinc/48/65/52/242486552.db2.gz FBSFFUOTGJCMKR-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1nc(NCC[C@H]2CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000450602337 242499187 /nfs/dbraw/zinc/49/91/87/242499187.db2.gz WNJJUYBOIWCVGB-CYBMUJFWSA-N 0 0 298.346 2.647 20 5 CFBDRN CN(c1nccc2c1cccc2[N+](=O)[O-])[C@H]1CCCOC1 ZINC000450526248 242465577 /nfs/dbraw/zinc/46/55/77/242465577.db2.gz HNGSIJQGMFZVDU-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)[C@@H](CO)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450535491 242468912 /nfs/dbraw/zinc/46/89/12/242468912.db2.gz NPJYPBVPXKBSHT-LLVKDONJSA-N 0 0 289.335 2.819 20 5 CFBDRN CO[C@H]1COCC[C@H]1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000450703652 242552702 /nfs/dbraw/zinc/55/27/02/242552702.db2.gz TYHKTKOKZNJUOK-HZMBPMFUSA-N 0 0 298.314 2.506 20 5 CFBDRN C[C@]1(F)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000408048827 291402479 /nfs/dbraw/zinc/40/24/79/291402479.db2.gz QJMKLCMRZCYVSF-JTQLQIEISA-N 0 0 259.668 2.582 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C(C)C)[C@@H](CO)C(C)C ZINC000450778193 242600271 /nfs/dbraw/zinc/60/02/71/242600271.db2.gz ZBPWAONGMHVCIG-KBPBESRZSA-N 0 0 295.383 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2CC23CCOCC3)c1 ZINC000450799689 242609904 /nfs/dbraw/zinc/60/99/04/242609904.db2.gz XAZMGNIZABDZMW-CQSZACIVSA-N 0 0 299.330 2.546 20 5 CFBDRN Cc1cccc(OCC[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000450895957 242660416 /nfs/dbraw/zinc/66/04/16/242660416.db2.gz ZCBQXIWQLUGZMK-LLVKDONJSA-N 0 0 251.282 2.709 20 5 CFBDRN C[C@H](NC(=O)[C@H]1[C@@H](C)C1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000452185446 243077208 /nfs/dbraw/zinc/07/72/08/243077208.db2.gz NLEJUAGAWXMVKG-VHSKPIJISA-N 0 0 284.262 2.673 20 5 CFBDRN CSCCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452182253 243077765 /nfs/dbraw/zinc/07/77/65/243077765.db2.gz KMLAAGNFORTDRL-VIFPVBQESA-N 0 0 268.338 2.525 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCCC[C@H]1C(F)F ZINC000330423168 202802377 /nfs/dbraw/zinc/80/23/77/202802377.db2.gz ZEXNJAZVDZDEFA-LBPRGKRZSA-N 0 0 298.289 2.784 20 5 CFBDRN C[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(C)(F)F ZINC000452467254 243186218 /nfs/dbraw/zinc/18/62/18/243186218.db2.gz WAZMMGCWIXWDAA-SSDOTTSWSA-N 0 0 297.261 2.850 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC[C@H]1C1CC1 ZINC000452495481 243196740 /nfs/dbraw/zinc/19/67/40/243196740.db2.gz DARTUUZEKOAPDU-ZDUSSCGKSA-N 0 0 292.360 2.698 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C[C@H]1C ZINC000276978593 284069280 /nfs/dbraw/zinc/06/92/80/284069280.db2.gz URSVQVAXQFEAHC-VXGBXAGGSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1o[nH]c(=NCc2ccc(C)c([N+](=O)[O-])c2)c1C ZINC000453051967 243376346 /nfs/dbraw/zinc/37/63/46/243376346.db2.gz MCYSVGABHNKZPB-UHFFFAOYSA-N 0 0 261.281 2.542 20 5 CFBDRN Cc1ccn(C)c1C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000275998204 193183808 /nfs/dbraw/zinc/18/38/08/193183808.db2.gz HFTQHNZVWJFGPI-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN CC(C)[C@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330577892 202995651 /nfs/dbraw/zinc/99/56/51/202995651.db2.gz NRHAYCBCABPXDF-QWRGUYRKSA-N 0 0 279.340 2.868 20 5 CFBDRN CC[C@@](C)(CNC(=O)Nc1ccc([N+](=O)[O-])cc1F)OC ZINC000454298535 243681594 /nfs/dbraw/zinc/68/15/94/243681594.db2.gz FQSJFPBANCZIOP-ZDUSSCGKSA-N 0 0 299.302 2.671 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccncc1[N+](=O)[O-])C1CCCC1 ZINC000455032909 243874982 /nfs/dbraw/zinc/87/49/82/243874982.db2.gz KLLLFXTVEZVFER-NSHDSACASA-N 0 0 292.339 2.502 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)C1CCCCC1 ZINC000330678739 203108756 /nfs/dbraw/zinc/10/87/56/203108756.db2.gz KKAKPHKFVPNDIP-UHFFFAOYSA-N 0 0 279.340 2.728 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000456070546 244066618 /nfs/dbraw/zinc/06/66/18/244066618.db2.gz SBNFUNGWKFZTBM-JTQLQIEISA-N 0 0 294.355 2.682 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CCC[C@H]1C1CC1 ZINC000413476810 294460718 /nfs/dbraw/zinc/46/07/18/294460718.db2.gz FPSAHNKOOWNCDK-MNOVXSKESA-N 0 0 264.329 2.567 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000456302672 244133115 /nfs/dbraw/zinc/13/31/15/244133115.db2.gz SCDGSWHYWXZWFB-GWCFXTLKSA-N 0 0 280.299 2.756 20 5 CFBDRN Cc1n[nH]c(C(=O)NC[C@H]2CCCC(C)(C)C2)c1[N+](=O)[O-] ZINC000456899464 244324583 /nfs/dbraw/zinc/32/45/83/244324583.db2.gz FJSSOKUGWJCJDZ-JTQLQIEISA-N 0 0 294.355 2.573 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)[C@H]1CCC[C@@H](C)C1 ZINC000457016683 244360844 /nfs/dbraw/zinc/36/08/44/244360844.db2.gz VUSWIZYOGUJOMP-VWYCJHECSA-N 0 0 279.340 2.868 20 5 CFBDRN CCOc1ccc(C(=O)N2C[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000330855538 203317416 /nfs/dbraw/zinc/31/74/16/203317416.db2.gz HWZBCYJJSXDPEN-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000276138458 193223662 /nfs/dbraw/zinc/22/36/62/193223662.db2.gz CCEOUNXWCOKBFP-KOLCDFICSA-N 0 0 298.364 2.599 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1[nH]ccc1C1CC1 ZINC000457462420 244556834 /nfs/dbraw/zinc/55/68/34/244556834.db2.gz AQCNIHFTZSPRBC-UHFFFAOYSA-N 0 0 285.303 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCCSCC(C)C ZINC000276210649 193244387 /nfs/dbraw/zinc/24/43/87/193244387.db2.gz KFUKGAHAEONONX-UHFFFAOYSA-N 0 0 284.381 2.844 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CCC1CCCC1 ZINC000276278404 193269480 /nfs/dbraw/zinc/26/94/80/193269480.db2.gz WJQVTJWQVSDJSX-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000276292699 193273710 /nfs/dbraw/zinc/27/37/10/193273710.db2.gz RNCPGSKMBREEHC-ZCRIDZFUSA-N 0 0 292.360 2.618 20 5 CFBDRN CN(Cc1nccs1)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000276361039 193300421 /nfs/dbraw/zinc/30/04/21/193300421.db2.gz YNUMDFITXMQXGG-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@]1(C)CCOC1 ZINC000276475427 193345973 /nfs/dbraw/zinc/34/59/73/193345973.db2.gz ZQNNFDCMDOKMRS-AWEZNQCLSA-N 0 0 293.323 2.512 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ncc[nH]1 ZINC000276590764 193392020 /nfs/dbraw/zinc/39/20/20/193392020.db2.gz KIFBWGKJXFSBKE-SNVBAGLBSA-N 0 0 294.336 2.507 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H](C)Cn1cncn1 ZINC000276531648 193370545 /nfs/dbraw/zinc/37/05/45/193370545.db2.gz VTWRKZZKPKHOLB-VIFPVBQESA-N 0 0 295.730 2.649 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCc1cnn(C)c1 ZINC000276555274 193378769 /nfs/dbraw/zinc/37/87/69/193378769.db2.gz YYMJOZBOZWMCJH-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC[C@H](C)CC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000276569785 193383881 /nfs/dbraw/zinc/38/38/81/193383881.db2.gz AYXTWCFEBBDFQP-NSHDSACASA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1nn(C[C@@H]2CC[C@@H](C)O2)c2ccc([N+](=O)[O-])cc12 ZINC000276594208 193393651 /nfs/dbraw/zinc/39/36/51/193393651.db2.gz QGNOPXPMZXVUGC-SKDRFNHKSA-N 0 0 275.308 2.820 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000458109941 244767578 /nfs/dbraw/zinc/76/75/78/244767578.db2.gz OJDGQTNVPYIUDU-JOYOIKCWSA-N 0 0 268.338 2.821 20 5 CFBDRN CCC1(C)CN(Cc2cc(OC)c(OC)cc2[N+](=O)[O-])C1 ZINC000459642136 245258332 /nfs/dbraw/zinc/25/83/32/245258332.db2.gz YXCXLCOJDWJKIS-UHFFFAOYSA-N 0 0 294.351 2.844 20 5 CFBDRN CCS[C@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000331589096 203992925 /nfs/dbraw/zinc/99/29/25/203992925.db2.gz GJHUNPCQQNSUKU-NSHDSACASA-N 0 0 297.380 2.671 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000459781068 245322989 /nfs/dbraw/zinc/32/29/89/245322989.db2.gz GZLLUJVNHDSPIY-QWRGUYRKSA-N 0 0 274.324 2.740 20 5 CFBDRN C[C@@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1ccn(C)n1 ZINC000459790577 245327148 /nfs/dbraw/zinc/32/71/48/245327148.db2.gz MHHXOKOAFRRRHZ-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN C=Cc1ccc(CCNc2ncc([N+](=O)[O-])s2)cc1 ZINC000461883975 245372461 /nfs/dbraw/zinc/37/24/61/245372461.db2.gz OZGDSYVVMDUULC-UHFFFAOYSA-N 0 0 275.333 2.771 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(SC[C@H]2CCCO2)c1 ZINC000234581302 189822870 /nfs/dbraw/zinc/82/28/70/189822870.db2.gz BQWIJIUGNNIKJA-SNVBAGLBSA-N 0 0 297.332 2.653 20 5 CFBDRN Cc1sc(C(=O)N(C)[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000331864464 204242898 /nfs/dbraw/zinc/24/28/98/204242898.db2.gz JFTXFLKYFWTPPG-SSDOTTSWSA-N 0 0 268.338 2.835 20 5 CFBDRN CCNC(=O)C1(CNc2c(C)cccc2[N+](=O)[O-])CCC1 ZINC000277515863 193678053 /nfs/dbraw/zinc/67/80/53/193678053.db2.gz WYUREUZGXUTSBN-UHFFFAOYSA-N 0 0 291.351 2.622 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)Nc1ccncc1 ZINC000000243692 371565694 /nfs/dbraw/zinc/56/56/94/371565694.db2.gz ITZDNYGWASKQCT-SNAWJCMRSA-N 0 0 269.260 2.642 20 5 CFBDRN CCOC(=O)COc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000001475676 371677390 /nfs/dbraw/zinc/67/73/90/371677390.db2.gz DVYVZUYMRPOJHL-UHFFFAOYSA-N 0 0 293.197 2.556 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2CCC[C@@H]2C1)c1ccc([N+](=O)[O-])[nH]1 ZINC000332228100 204539808 /nfs/dbraw/zinc/53/98/08/204539808.db2.gz LDBTYAIHNIHVAP-HBNTYKKESA-N 0 0 277.324 2.622 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1 ZINC000003069862 371770061 /nfs/dbraw/zinc/77/00/61/371770061.db2.gz SJUKBWNLOBBYMX-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CN1CCC[C@@H](C)C1 ZINC000003564724 371809978 /nfs/dbraw/zinc/80/99/78/371809978.db2.gz SCCDPMLZVHUZFL-LLVKDONJSA-N 0 0 291.351 2.574 20 5 CFBDRN C[C@@H](Oc1cccnc1[N+](=O)[O-])C(=O)c1ccccc1 ZINC000011645703 371922595 /nfs/dbraw/zinc/92/25/95/371922595.db2.gz GHOBOXWVHPGWJP-SNVBAGLBSA-N 0 0 272.260 2.640 20 5 CFBDRN O=C(NCc1ccsc1)c1cccc([N+](=O)[O-])c1 ZINC000013698070 372040938 /nfs/dbraw/zinc/04/09/38/372040938.db2.gz BEIJOCBCQGIPAF-UHFFFAOYSA-N 0 0 262.290 2.586 20 5 CFBDRN Cc1nn(CC(=O)c2ccc(C)c(C)c2)c(C)c1[N+](=O)[O-] ZINC000016626568 372114175 /nfs/dbraw/zinc/11/41/75/372114175.db2.gz HAHOJNKEWFMPEP-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC(=O)Nc1ccccc1 ZINC000015085941 372080704 /nfs/dbraw/zinc/08/07/04/372080704.db2.gz IFQAEBUEXGUVCV-UHFFFAOYSA-N 0 0 285.303 2.954 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)c(O)c1 ZINC000015922943 372094533 /nfs/dbraw/zinc/09/45/33/372094533.db2.gz ADRRETVNKYMJCH-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CNc1ccc(C(=O)NCCc2ccccc2)cc1[N+](=O)[O-] ZINC000017357398 372134282 /nfs/dbraw/zinc/13/42/82/372134282.db2.gz JKVISSNLNSNHKM-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc(F)cc2)nc1 ZINC000020008876 372219337 /nfs/dbraw/zinc/21/93/37/372219337.db2.gz ZOVBTYVKNVSVLD-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2CCc3ccccc3C2)cc1[N+](=O)[O-] ZINC000332526600 204773991 /nfs/dbraw/zinc/77/39/91/204773991.db2.gz PAILOYCCSTTZIW-ZDUSSCGKSA-N 0 0 298.342 2.870 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1[C@H](C)C1(F)F ZINC000464716607 245416445 /nfs/dbraw/zinc/41/64/45/245416445.db2.gz VOMKBXACZYVUCP-WRWORJQWSA-N 0 0 284.262 2.997 20 5 CFBDRN Cn1cccc1C(=O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000023418278 372258797 /nfs/dbraw/zinc/25/87/97/372258797.db2.gz OVUAEJSNRPYPPV-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN Cc1cc(OCC(=O)c2ccn(C)c2)ccc1[N+](=O)[O-] ZINC000024521067 372275922 /nfs/dbraw/zinc/27/59/22/372275922.db2.gz DIUMRDIDHFYFIE-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN Cc1nn(C)c(C)c1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000025594508 372304590 /nfs/dbraw/zinc/30/45/90/372304590.db2.gz RSPSHNYACYMFAV-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCOCC2)c2ncccc12 ZINC000036320014 372571773 /nfs/dbraw/zinc/57/17/73/372571773.db2.gz IVAHTGPBWPGWCO-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN CN(C)c1ccc(NCc2cccc([N+](=O)[O-])c2)cn1 ZINC000036988734 372623434 /nfs/dbraw/zinc/62/34/34/372623434.db2.gz ATOQFQDRSWTFBJ-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN CC(=O)c1ccc(N(C)[C@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000037175140 372661377 /nfs/dbraw/zinc/66/13/77/372661377.db2.gz UKVZZDRIGLAKIO-NSHDSACASA-N 0 0 280.349 2.739 20 5 CFBDRN Cc1cc(NCC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000037998842 372758494 /nfs/dbraw/zinc/75/84/94/372758494.db2.gz RPHDHOUIQWVPSF-GFCCVEGCSA-N 0 0 250.298 2.884 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@@H](c2ccccc2)C1 ZINC000038935588 372774564 /nfs/dbraw/zinc/77/45/64/372774564.db2.gz OXDCUZRRBKOGPO-GFCCVEGCSA-N 0 0 286.287 2.818 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000472663363 245551782 /nfs/dbraw/zinc/55/17/82/245551782.db2.gz NODAGQYMBNSZNL-XADBCAIWSA-N 0 0 270.716 2.987 20 5 CFBDRN C[C@@H](NCc1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000041012377 372802905 /nfs/dbraw/zinc/80/29/05/372802905.db2.gz LYQFUZURQMJKAW-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN COc1cc(CNc2ccc([N+](=O)[O-])cc2F)ccn1 ZINC000042097590 372871630 /nfs/dbraw/zinc/87/16/30/372871630.db2.gz IHMDGCBVGYWGNC-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CNC(=O)c1ccccc1OCc1ccc([N+](=O)[O-])cc1 ZINC000042116538 372874769 /nfs/dbraw/zinc/87/47/69/372874769.db2.gz SACXUFVUADVNGW-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN O=C(NC[C@H]1CCCCO1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000042821465 372958824 /nfs/dbraw/zinc/95/88/24/372958824.db2.gz GCDOTPOKBSNMGV-SNVBAGLBSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@H]1CCCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000045623532 373022943 /nfs/dbraw/zinc/02/29/43/373022943.db2.gz CDTQXJLCPMALEU-NSHDSACASA-N 0 0 277.324 2.536 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000045630882 373023700 /nfs/dbraw/zinc/02/37/00/373023700.db2.gz KFGSYQDNMALKSQ-JTQLQIEISA-N 0 0 278.283 2.820 20 5 CFBDRN CNC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 ZINC000048430654 373181138 /nfs/dbraw/zinc/18/11/38/373181138.db2.gz FKZSASOXMJIBDA-UHFFFAOYSA-N 0 0 271.276 2.698 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(F)c(F)c2)cn1 ZINC000049786169 373316003 /nfs/dbraw/zinc/31/60/03/373316003.db2.gz HAKOYARUMNSWAS-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN Cc1nc(CN(C)Cc2ccccc2[N+](=O)[O-])oc1C ZINC000049983327 373339821 /nfs/dbraw/zinc/33/98/21/373339821.db2.gz TUGJZPILZOIZLK-UHFFFAOYSA-N 0 0 275.308 2.832 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037472 373342220 /nfs/dbraw/zinc/34/22/20/373342220.db2.gz LCUWXHONEARCET-QMMMGPOBSA-N 0 0 286.278 2.991 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037819 373342405 /nfs/dbraw/zinc/34/24/05/373342405.db2.gz OLJCMWJCUOKGML-UHFFFAOYSA-N 0 0 296.273 2.791 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCCC[C@@H]2CO)c(Cl)c1 ZINC000050104310 373353196 /nfs/dbraw/zinc/35/31/96/373353196.db2.gz IVPOCHCZOBMDNL-GFCCVEGCSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCCC[C@H]2CO)c(Cl)c1 ZINC000050104311 373354791 /nfs/dbraw/zinc/35/47/91/373354791.db2.gz IVPOCHCZOBMDNL-LBPRGKRZSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CCCC[C@H]3O)ccc2c1 ZINC000052006944 373427792 /nfs/dbraw/zinc/42/77/92/373427792.db2.gz JGKUACRPSXUXDY-UONOGXRCSA-N 0 0 287.319 2.858 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000053559272 373481573 /nfs/dbraw/zinc/48/15/73/373481573.db2.gz VGWFMORWHQELKQ-ZYHUDNBSSA-N 0 0 291.351 2.945 20 5 CFBDRN CCCCN(C)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000052927443 373459201 /nfs/dbraw/zinc/45/92/01/373459201.db2.gz DPXDCTHLRIRZHC-UHFFFAOYSA-N 0 0 265.313 2.509 20 5 CFBDRN CNC(=O)c1ccc(NC(C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC000053555922 373481809 /nfs/dbraw/zinc/48/18/09/373481809.db2.gz AXBXKIGIZKFETR-UHFFFAOYSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC[C@H]1CCCO1 ZINC000053734539 373486519 /nfs/dbraw/zinc/48/65/19/373486519.db2.gz WPTITRYVTMGCNI-GFCCVEGCSA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000055466529 373554806 /nfs/dbraw/zinc/55/48/06/373554806.db2.gz KHLZPKQWCYYFPW-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@H]1Cc1ccccc1 ZINC000055472828 373554816 /nfs/dbraw/zinc/55/48/16/373554816.db2.gz CMESASBXMZVSQE-ZDUSSCGKSA-N 0 0 299.330 2.770 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCc2ccccn2)cc1[N+](=O)[O-] ZINC000057027923 373578271 /nfs/dbraw/zinc/57/82/71/373578271.db2.gz XJHDHCUGHNSKSV-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000057969530 373619014 /nfs/dbraw/zinc/61/90/14/373619014.db2.gz XBFQSTGXYSIGOC-NSHDSACASA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1c(OCC(=O)OC(C)(C)C)cccc1[N+](=O)[O-] ZINC000059012796 373676685 /nfs/dbraw/zinc/67/66/85/373676685.db2.gz ODMCMTGXNULNLK-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCCO)c(C(F)(F)F)c1 ZINC000059202512 373678157 /nfs/dbraw/zinc/67/81/57/373678157.db2.gz UPFWKWFHLLFNIM-UHFFFAOYSA-N 0 0 278.230 2.798 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1occc1C ZINC000488713531 245891227 /nfs/dbraw/zinc/89/12/27/245891227.db2.gz LRSBPLMVZDMLDL-UHFFFAOYSA-N 0 0 278.312 2.657 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cc2ccccc2o1 ZINC000488788050 245900937 /nfs/dbraw/zinc/90/09/37/245900937.db2.gz SJWIMJCGECNQTP-UHFFFAOYSA-N 0 0 257.249 2.894 20 5 CFBDRN Cc1ccc(N[C@@H](CO)Cc2ccccc2)c([N+](=O)[O-])c1 ZINC000063218724 373798566 /nfs/dbraw/zinc/79/85/66/373798566.db2.gz YULLBVZFZGSEQG-CQSZACIVSA-N 0 0 286.331 2.919 20 5 CFBDRN Cc1noc(C)c1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000063255122 373800039 /nfs/dbraw/zinc/80/00/39/373800039.db2.gz RDJKPIAMRDFCOA-UHFFFAOYSA-N 0 0 292.251 2.720 20 5 CFBDRN CCN(C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000065723784 373897625 /nfs/dbraw/zinc/89/76/25/373897625.db2.gz IPKNSNYOMQQLOX-UHFFFAOYSA-N 0 0 287.319 2.560 20 5 CFBDRN CC(C)(C)OC(=O)NCCNc1ccc([N+](=O)[O-])cc1F ZINC000065200731 373848948 /nfs/dbraw/zinc/84/89/48/373848948.db2.gz QOYMAVOGPGTWGN-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)CC(C)(C)O1 ZINC000065423271 373870357 /nfs/dbraw/zinc/87/03/57/373870357.db2.gz SKRCVKOAQJKZLM-LLVKDONJSA-N 0 0 264.325 2.594 20 5 CFBDRN COc1cc(C(=O)N(C)[C@@H]2CC2(C)C)cc([N+](=O)[O-])c1C ZINC000489329036 245939831 /nfs/dbraw/zinc/93/98/31/245939831.db2.gz NIZDSODVBCNNPD-CYBMUJFWSA-N 0 0 292.335 2.782 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@@H](F)C2)c1 ZINC000336392395 220243786 /nfs/dbraw/zinc/24/37/86/220243786.db2.gz HHTIBVUSETUMCG-ZJUUUORDSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1C ZINC000068995743 374042840 /nfs/dbraw/zinc/04/28/40/374042840.db2.gz QIIOJHJSFNLBNN-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1c[nH]nc1CNCc1cc([N+](=O)[O-])ccc1Cl ZINC000289496927 197376730 /nfs/dbraw/zinc/37/67/30/197376730.db2.gz LNCMBLSNGAMLCY-UHFFFAOYSA-N 0 0 280.715 2.570 20 5 CFBDRN CCCN(CC)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000490886870 246029229 /nfs/dbraw/zinc/02/92/29/246029229.db2.gz NQIAKQMKHFKMNE-KTKRTIGZSA-N 0 0 262.309 2.867 20 5 CFBDRN CCCN(CC)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000490949162 246033432 /nfs/dbraw/zinc/03/34/32/246033432.db2.gz PEYAYUTXBYWMBP-HJWRWDBZSA-N 0 0 262.309 2.867 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000071211470 374214187 /nfs/dbraw/zinc/21/41/87/374214187.db2.gz KPRKRTNQHVRDNC-ONGXEEELSA-N 0 0 277.324 2.555 20 5 CFBDRN O=C(/C=C\c1ccsc1)NCc1ccccc1[N+](=O)[O-] ZINC000491092652 246039714 /nfs/dbraw/zinc/03/97/14/246039714.db2.gz MMYXMJNPLYSUKZ-WAYWQWQTSA-N 0 0 288.328 2.986 20 5 CFBDRN Cc1ccc(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cn1 ZINC000491116292 246042030 /nfs/dbraw/zinc/04/20/30/246042030.db2.gz CVFHBDWOWNQUEW-TWGQIWQCSA-N 0 0 297.314 2.628 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])NCc1ccoc1 ZINC000491336382 246062238 /nfs/dbraw/zinc/06/22/38/246062238.db2.gz UTSJDLZYWCFTMJ-RQOWECAXSA-N 0 0 290.250 2.657 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000072645254 374272322 /nfs/dbraw/zinc/27/23/22/374272322.db2.gz MALSETPBOXOIGJ-RYUDHWBXSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000072673095 374275079 /nfs/dbraw/zinc/27/50/79/374275079.db2.gz DJOFKDKYQHMXPN-RYUDHWBXSA-N 0 0 276.336 2.927 20 5 CFBDRN CC(C)C[C@H]1COCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000072703348 374277637 /nfs/dbraw/zinc/27/76/37/374277637.db2.gz QSNDZNSXMGKYHE-NSHDSACASA-N 0 0 298.364 2.543 20 5 CFBDRN C[C@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1CCC(C)(C)C1 ZINC000072827117 374289913 /nfs/dbraw/zinc/28/99/13/374289913.db2.gz PQSRYTLITPRZQX-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@H]1CCCN1CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000072875102 374297744 /nfs/dbraw/zinc/29/77/44/374297744.db2.gz RRGPZWCNXMUTEJ-VIFPVBQESA-N 0 0 297.742 2.671 20 5 CFBDRN C[C@H](Nc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC(C)(C)C ZINC000072944706 374304865 /nfs/dbraw/zinc/30/48/65/374304865.db2.gz KOLQRMMOENUMKC-QMMMGPOBSA-N 0 0 299.758 2.963 20 5 CFBDRN CC(C)C[C@@H]1COCCN1Cc1csc([N+](=O)[O-])c1 ZINC000072978890 374310579 /nfs/dbraw/zinc/31/05/79/374310579.db2.gz MTQFCXLAFGNVIN-GFCCVEGCSA-N 0 0 284.381 2.903 20 5 CFBDRN CCOC[C@H]1CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000072975879 374311341 /nfs/dbraw/zinc/31/13/41/374311341.db2.gz DJZMPCWCYANMMC-NSHDSACASA-N 0 0 284.381 2.905 20 5 CFBDRN O=C(/C=C\C1CCOCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000491839944 246095457 /nfs/dbraw/zinc/09/54/57/246095457.db2.gz AKLAMATUKZETCD-RJRFIUFISA-N 0 0 294.282 2.655 20 5 CFBDRN O=C(/C=C\c1ccncc1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000491849510 246097773 /nfs/dbraw/zinc/09/77/73/246097773.db2.gz OTHFHXYWRSYTOC-PLNGDYQASA-N 0 0 295.298 2.592 20 5 CFBDRN CO[C@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])C(C)(C)C ZINC000073209574 374329684 /nfs/dbraw/zinc/32/96/84/374329684.db2.gz GNGHFTDCCGDIDU-GFCCVEGCSA-N 0 0 298.314 2.525 20 5 CFBDRN Cc1c([C@@H]2CCCN2c2ccc([N+](=O)[O-])cc2)cnn1C ZINC000301903822 291590664 /nfs/dbraw/zinc/59/06/64/291590664.db2.gz XRCUCWFXVSVKTL-HNNXBMFYSA-N 0 0 286.335 2.978 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@H]21 ZINC000491873424 246107655 /nfs/dbraw/zinc/10/76/55/246107655.db2.gz TUTLQNJGBSAFFG-GFUJYOBASA-N 0 0 272.304 2.619 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000491913245 246121592 /nfs/dbraw/zinc/12/15/92/246121592.db2.gz KVTYSRHRHSHMRZ-MCTUQULKSA-N 0 0 274.320 2.865 20 5 CFBDRN CCC(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000073698671 374370694 /nfs/dbraw/zinc/37/06/94/374370694.db2.gz GCRNSFLMNQJFRQ-UHFFFAOYSA-N 0 0 256.689 2.617 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCC[C@H]21 ZINC000491889247 246113476 /nfs/dbraw/zinc/11/34/76/246113476.db2.gz YCUDIFOLXWISES-VAFIWLDWSA-N 0 0 290.294 2.758 20 5 CFBDRN CSCCCNC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491889977 246114251 /nfs/dbraw/zinc/11/42/51/246114251.db2.gz GEWXMKXBLHKBMH-XQRVVYSFSA-N 0 0 298.339 2.616 20 5 CFBDRN CC(C)(C)/C=C/C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000491896555 246114968 /nfs/dbraw/zinc/11/49/68/246114968.db2.gz UYOZEGMPVOOOMS-BQYQJAHWSA-N 0 0 262.309 2.813 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H](O)C(F)(F)F ZINC000074055518 374403365 /nfs/dbraw/zinc/40/33/65/374403365.db2.gz CVXFXVGLSKSWRO-MRVPVSSYSA-N 0 0 284.621 2.583 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000073767634 374380224 /nfs/dbraw/zinc/38/02/24/374380224.db2.gz XLLNTPDATDQFOV-USKTWTLRSA-N 0 0 274.320 2.865 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000073786777 374384662 /nfs/dbraw/zinc/38/46/62/374384662.db2.gz IFXFCLWVGWEOKD-DGCLKSJQSA-N 0 0 291.351 2.925 20 5 CFBDRN COCCCCCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074744748 374435638 /nfs/dbraw/zinc/43/56/38/374435638.db2.gz LMDAZQKFGVCGMX-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)Nc2ccc([N+](=O)[O-])cc2)O1 ZINC000074235240 374411671 /nfs/dbraw/zinc/41/16/71/374411671.db2.gz ZLZFFBGPYZJMJB-GWCFXTLKSA-N 0 0 278.308 2.881 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@@H]1C=CCCC1 ZINC000492124151 246195177 /nfs/dbraw/zinc/19/51/77/246195177.db2.gz GXVBZBDHJMSUBW-BSYHEUMXSA-N 0 0 272.304 2.833 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N[C@H]1C=CCCC1 ZINC000492133402 246196728 /nfs/dbraw/zinc/19/67/28/246196728.db2.gz BNJOAXQMQOHSFO-JWJUJFCLSA-N 0 0 290.294 2.972 20 5 CFBDRN CCC(CC)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000075282697 374473800 /nfs/dbraw/zinc/47/38/00/374473800.db2.gz RZJBDOKYYOVXEK-UHFFFAOYSA-N 0 0 266.297 2.978 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000075278580 374473830 /nfs/dbraw/zinc/47/38/30/374473830.db2.gz LQBLJMNUASSOPB-RKDXNWHRSA-N 0 0 268.338 2.917 20 5 CFBDRN CC[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)CCS1 ZINC000076072763 374530210 /nfs/dbraw/zinc/53/02/10/374530210.db2.gz XRBWPVPEZMIJGG-SNVBAGLBSA-N 0 0 272.395 2.984 20 5 CFBDRN CC=CC=CC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000492270992 246239507 /nfs/dbraw/zinc/23/95/07/246239507.db2.gz KWIVPPUZIBEKDY-IAROGAJJSA-N 0 0 272.264 2.542 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])C[C@@H]1CCC[C@H]1O ZINC000492295116 246247429 /nfs/dbraw/zinc/24/74/29/246247429.db2.gz PEZGPRAUXHLDFX-IINYFYTJSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(/C=C/C1CCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000492303046 246251578 /nfs/dbraw/zinc/25/15/78/246251578.db2.gz AMMCNVIBQKMBLP-BQYQJAHWSA-N 0 0 286.331 2.836 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CC[C@H](C2CC2)C1 ZINC000492301872 246252250 /nfs/dbraw/zinc/25/22/50/246252250.db2.gz WPHJTTUHJLFXRF-ZBKLQPJUSA-N 0 0 286.331 2.867 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CC[C@H](C2CC2)C1 ZINC000492313762 246253358 /nfs/dbraw/zinc/25/33/58/246253358.db2.gz SCMLGFJHWQIUPD-FCIQKIPGSA-N 0 0 286.331 2.867 20 5 CFBDRN CC[C@H](CSC)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492362767 246267853 /nfs/dbraw/zinc/26/78/53/246267853.db2.gz DYLXUEDKQYHGAP-OJIASSBASA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1ccncc1/C=C/C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000492416107 246286491 /nfs/dbraw/zinc/28/64/91/246286491.db2.gz MDHOEPWSPRIHDC-QPJJXVBHSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1cccnc1/C=C\C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000492553341 246326974 /nfs/dbraw/zinc/32/69/74/246326974.db2.gz RERDILSECGTTTD-HJWRWDBZSA-N 0 0 297.314 2.974 20 5 CFBDRN CSCCCN(C)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492621500 246346034 /nfs/dbraw/zinc/34/60/34/246346034.db2.gz VFLRKXYLFUCMTD-HJWRWDBZSA-N 0 0 294.376 2.820 20 5 CFBDRN Cc1cnccc1CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492661517 246356931 /nfs/dbraw/zinc/35/69/31/246356931.db2.gz HNWXTMSPNDQBBZ-DAXSKMNVSA-N 0 0 297.314 2.628 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)CCO1 ZINC000571518834 383701858 /nfs/dbraw/zinc/70/18/58/383701858.db2.gz LGTIZHSCELLYPA-ZYHUDNBSSA-N 0 0 291.351 2.848 20 5 CFBDRN CCOc1cc(CN[C@@H](C)c2ccon2)ccc1[N+](=O)[O-] ZINC000492816512 246396685 /nfs/dbraw/zinc/39/66/85/246396685.db2.gz IFAPIQSHOOLOFP-JTQLQIEISA-N 0 0 291.307 2.832 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000492952283 246436010 /nfs/dbraw/zinc/43/60/10/246436010.db2.gz GLAVNITWUXOOTP-YKRSTSSUSA-N 0 0 286.331 2.913 20 5 CFBDRN COCCCCCNc1ccc([N+](=O)[O-])cc1COC ZINC000080607913 374664527 /nfs/dbraw/zinc/66/45/27/374664527.db2.gz GJDYXLFLDRWXNL-UHFFFAOYSA-N 0 0 282.340 2.970 20 5 CFBDRN O=C(NCCC1CC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000081023053 374682610 /nfs/dbraw/zinc/68/26/10/374682610.db2.gz QTBZQBFZUYHXAR-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN Cc1c(CNC(=O)/C=C/c2ccn(C)c2)cccc1[N+](=O)[O-] ZINC000492993658 246450888 /nfs/dbraw/zinc/45/08/88/246450888.db2.gz ZBZOOZXNOFIPRA-VOTSOKGWSA-N 0 0 299.330 2.571 20 5 CFBDRN CCc1ccc(C(=O)N[C@H](C)CC)cc1[N+](=O)[O-] ZINC000081808658 374717471 /nfs/dbraw/zinc/71/74/71/374717471.db2.gz PSWQAGTUZZDQJZ-SECBINFHSA-N 0 0 250.298 2.686 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCSC(C)(C)C1 ZINC000081850957 374720879 /nfs/dbraw/zinc/72/08/79/374720879.db2.gz QVXQULXDYGWWNW-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C[C@H]1CCCCO1 ZINC000087086473 374808877 /nfs/dbraw/zinc/80/88/77/374808877.db2.gz OZQHIAXJKPUQSS-CYBMUJFWSA-N 0 0 292.335 2.512 20 5 CFBDRN CN(C(=O)CCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000087334924 374814706 /nfs/dbraw/zinc/81/47/06/374814706.db2.gz NIVATCLISWFNEZ-UHFFFAOYSA-N 0 0 262.309 2.538 20 5 CFBDRN CN(Cc1cc[nH]n1)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000493239575 246532081 /nfs/dbraw/zinc/53/20/81/246532081.db2.gz SLNZXKYJMNAEGE-UHFFFAOYSA-N 0 0 280.715 2.603 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)CCc3cccc([N+](=O)[O-])c3)C[C@@H]21 ZINC000087333437 374815350 /nfs/dbraw/zinc/81/53/50/374815350.db2.gz VKVZHHINNYPGMW-OKILXGFUSA-N 0 0 288.347 2.642 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000084205094 374768099 /nfs/dbraw/zinc/76/80/99/374768099.db2.gz NUJHQVDZOIRDFT-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H]1CCC[C@@H]1O ZINC000089166620 374882274 /nfs/dbraw/zinc/88/22/74/374882274.db2.gz WLFPYKABQFNVJN-PELKAZGASA-N 0 0 270.716 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@@H]2O)c2ncccc12 ZINC000089167504 374882486 /nfs/dbraw/zinc/88/24/86/374882486.db2.gz UZJJZTYNKMWDCN-YGRLFVJLSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@@H]2O)c(Cl)c1 ZINC000089166884 374882589 /nfs/dbraw/zinc/88/25/89/374882589.db2.gz OENHPWPTKUNPFN-PELKAZGASA-N 0 0 270.716 2.821 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N1CC[C@@H]1C1CC1 ZINC000493524487 246613933 /nfs/dbraw/zinc/61/39/33/246613933.db2.gz NSQLPSWINUUKRE-DIECRNLCSA-N 0 0 290.294 2.758 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCCO2)c1 ZINC000090794586 375027894 /nfs/dbraw/zinc/02/78/94/375027894.db2.gz QGTULCNXIJPYGZ-NSHDSACASA-N 0 0 266.297 2.584 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)[C@@H]1CCSC1 ZINC000089936866 374972077 /nfs/dbraw/zinc/97/20/77/374972077.db2.gz ZHBPUVRAIRGLRC-SECBINFHSA-N 0 0 258.368 2.594 20 5 CFBDRN CSCCN(C)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000092431793 375111489 /nfs/dbraw/zinc/11/14/89/375111489.db2.gz CBIZBXOAAZJULE-UHFFFAOYSA-N 0 0 296.392 2.955 20 5 CFBDRN COc1ccc(F)cc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000092457319 375112104 /nfs/dbraw/zinc/11/21/04/375112104.db2.gz QQOSQDGRXQKRLR-UHFFFAOYSA-N 0 0 280.211 2.588 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCSC[C@@H]1C1CC1 ZINC000334980460 296226373 /nfs/dbraw/zinc/22/63/73/296226373.db2.gz WWIJNLQKKLPOGV-CYBMUJFWSA-N 0 0 292.360 2.562 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000092487059 375116251 /nfs/dbraw/zinc/11/62/51/375116251.db2.gz PIBLEOVYBIGYGH-JSGCOSHPSA-N 0 0 278.352 2.903 20 5 CFBDRN CCOC(=O)C(C)(C)N(C)Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000093359467 375223216 /nfs/dbraw/zinc/22/32/16/375223216.db2.gz FOMOVRYGCLKUBD-UHFFFAOYSA-N 0 0 294.351 2.677 20 5 CFBDRN CCOc1cc(NC[C@@H](CC)CCO)ccc1[N+](=O)[O-] ZINC000094957511 375398152 /nfs/dbraw/zinc/39/81/52/375398152.db2.gz YVCIEOPJVMDNDW-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN Cn1ccc(NCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000094196833 375344503 /nfs/dbraw/zinc/34/45/03/375344503.db2.gz UWRRQDLVYLVMIC-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)Cn2ccnc2)c1 ZINC000094659531 375372750 /nfs/dbraw/zinc/37/27/50/375372750.db2.gz XKMXNQVWEOERBY-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCc3nccs3)nc2c1 ZINC000094771770 375377371 /nfs/dbraw/zinc/37/73/71/375377371.db2.gz CEQLFVCGBWSSOR-UHFFFAOYSA-N 0 0 275.293 2.540 20 5 CFBDRN CCOC(=O)CSCc1ccc([N+](=O)[O-])c(F)c1 ZINC000094775552 375379277 /nfs/dbraw/zinc/37/92/77/375379277.db2.gz AGYKJNJCGWHYSA-UHFFFAOYSA-N 0 0 273.285 2.530 20 5 CFBDRN C[C@@H](CO)CSc1ccc([N+](=O)[O-])c2cccnc12 ZINC000097458813 375562507 /nfs/dbraw/zinc/56/25/07/375562507.db2.gz AWFYUJCHIHVRJG-VIFPVBQESA-N 0 0 278.333 2.864 20 5 CFBDRN O=C(OCCCF)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000496582897 246996370 /nfs/dbraw/zinc/99/63/70/246996370.db2.gz LVKYFKLNUQJTCE-UHFFFAOYSA-N 0 0 282.271 2.844 20 5 CFBDRN C[C@H](NC(=O)OCCCF)c1cccc([N+](=O)[O-])c1 ZINC000497119810 247049318 /nfs/dbraw/zinc/04/93/18/247049318.db2.gz MPIPACHQINVMHM-VIFPVBQESA-N 0 0 270.260 2.742 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CC1(Cl)Cl ZINC000098072496 375600531 /nfs/dbraw/zinc/60/05/31/375600531.db2.gz UBCMIHYZSKLSKW-QMMMGPOBSA-N 0 0 275.091 2.727 20 5 CFBDRN O=[N+]([O-])c1cnccc1Nc1ccc(OCC2CC2)cc1 ZINC000498924811 247084984 /nfs/dbraw/zinc/08/49/84/247084984.db2.gz PVXREONTHAJPCR-UHFFFAOYSA-N 0 0 285.303 2.944 20 5 CFBDRN Cc1nnc(COc2ccc(F)cc2[N+](=O)[O-])n1C1CC1 ZINC000102599457 375681977 /nfs/dbraw/zinc/68/19/77/375681977.db2.gz KHPUXIRQESGYBM-UHFFFAOYSA-N 0 0 292.270 2.548 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NC1CCC1 ZINC000277955382 193804094 /nfs/dbraw/zinc/80/40/94/193804094.db2.gz JZVXTAXODRWKNF-UHFFFAOYSA-N 0 0 268.700 2.839 20 5 CFBDRN Cc1ncsc1CCSCc1c([N+](=O)[O-])ncn1C ZINC000507106355 247162874 /nfs/dbraw/zinc/16/28/74/247162874.db2.gz ZCVOJQHENSFQHS-UHFFFAOYSA-N 0 0 298.393 2.569 20 5 CFBDRN Cc1ccc(CN(C)Cc2ccccc2[N+](=O)[O-])nc1 ZINC000507157886 247167187 /nfs/dbraw/zinc/16/71/87/247167187.db2.gz YABWPDMZUIKRSG-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN O=C1CCC(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 ZINC000109479359 375822662 /nfs/dbraw/zinc/82/26/62/375822662.db2.gz VTTMJVIQALCVCW-UHFFFAOYSA-N 0 0 287.275 2.872 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000110510986 375831046 /nfs/dbraw/zinc/83/10/46/375831046.db2.gz IXJWLIPDGICUJS-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@@H](Cn1cccn1)NCc1c(Cl)cccc1[N+](=O)[O-] ZINC000111333738 375838030 /nfs/dbraw/zinc/83/80/30/375838030.db2.gz KGPKWIAYGXDNEK-JTQLQIEISA-N 0 0 294.742 2.623 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000112385762 375916306 /nfs/dbraw/zinc/91/63/06/375916306.db2.gz SDNVWBCKZLKKPH-QMTHXVAHSA-N 0 0 295.339 2.513 20 5 CFBDRN CC1(C)CCCN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000112683387 375944029 /nfs/dbraw/zinc/94/40/29/375944029.db2.gz CSQATYHHQITEPH-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1C3CCC1CC3)CCCC2 ZINC000571637016 383711783 /nfs/dbraw/zinc/71/17/83/383711783.db2.gz XXJRZCAIFSEMNH-UHFFFAOYSA-N 0 0 273.336 3.000 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1COc2ccccc21 ZINC000113010087 375985321 /nfs/dbraw/zinc/98/53/21/375985321.db2.gz GIOONVGYQLJXNW-CYBMUJFWSA-N 0 0 285.255 2.676 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccccc2[N+](=O)[O-])cc1 ZINC000116205663 376170749 /nfs/dbraw/zinc/17/07/49/376170749.db2.gz DJUYSCZGFNWJST-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN COC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000022797047 284561322 /nfs/dbraw/zinc/56/13/22/284561322.db2.gz MWVYRXPTYBDZHQ-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CCN(CC)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000066325386 284562082 /nfs/dbraw/zinc/56/20/82/284562082.db2.gz HFQMRPAEFRJKOF-UHFFFAOYSA-N 0 0 291.351 2.677 20 5 CFBDRN CC[C@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000117559571 376247250 /nfs/dbraw/zinc/24/72/50/376247250.db2.gz YZZCLJICJAGCPG-QMMMGPOBSA-N 0 0 270.716 2.705 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccsc1 ZINC000118679254 376308483 /nfs/dbraw/zinc/30/84/83/376308483.db2.gz JJOPZFSQRDITAO-UHFFFAOYSA-N 0 0 262.290 2.838 20 5 CFBDRN CCOCCCCNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000119291322 376386579 /nfs/dbraw/zinc/38/65/79/376386579.db2.gz NMLJLYXEMNXRJD-UHFFFAOYSA-N 0 0 286.303 2.971 20 5 CFBDRN O=C(NCC1(C2CC2)CCC1)c1ccc([N+](=O)[O-])s1 ZINC000119708537 376454823 /nfs/dbraw/zinc/45/48/23/376454823.db2.gz XYHJHCGHGFGZFU-UHFFFAOYSA-N 0 0 280.349 2.966 20 5 CFBDRN CC(C)CCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000119926072 376505760 /nfs/dbraw/zinc/50/57/60/376505760.db2.gz UKORSKXOARZNBI-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(c1cnccn1)C1CC1 ZINC000119990220 376520853 /nfs/dbraw/zinc/52/08/53/376520853.db2.gz QICKKMQHAYLZAU-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN CC(C)C1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000120490180 376624371 /nfs/dbraw/zinc/62/43/71/376624371.db2.gz CFYSCKUSXWTMNO-UHFFFAOYSA-N 0 0 294.326 2.829 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000120806002 376696708 /nfs/dbraw/zinc/69/67/08/376696708.db2.gz XTLUPMOUTYYTBP-SNVBAGLBSA-N 0 0 287.319 2.948 20 5 CFBDRN CCC(CC)CNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000120892455 376717210 /nfs/dbraw/zinc/71/72/10/376717210.db2.gz FWCBZMNTCZLJJV-UHFFFAOYSA-N 0 0 278.352 2.998 20 5 CFBDRN Cc1ccc([C@H](O)CNc2nc(C)ccc2[N+](=O)[O-])cc1 ZINC000121281555 376797791 /nfs/dbraw/zinc/79/77/91/376797791.db2.gz XWGIQYHOPCHOPV-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000121332117 376808976 /nfs/dbraw/zinc/80/89/76/376808976.db2.gz KMLPCWFQDBRMEL-SNVBAGLBSA-N 0 0 250.298 2.759 20 5 CFBDRN O=C([C@H]1C[C@@H]1c1ccco1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000121399929 376823235 /nfs/dbraw/zinc/82/32/35/376823235.db2.gz XVSSYHQXAZVJQF-STQMWFEESA-N 0 0 298.298 2.881 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccco1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000121400077 376823706 /nfs/dbraw/zinc/82/37/06/376823706.db2.gz XVSSYHQXAZVJQF-CHWSQXEVSA-N 0 0 298.298 2.881 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C)CC(C)C)ccc1[N+](=O)[O-] ZINC000121405689 376826281 /nfs/dbraw/zinc/82/62/81/376826281.db2.gz VEUUXDFFYINOMW-JTQLQIEISA-N 0 0 280.324 2.768 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC=C(F)C1 ZINC000273147046 296250487 /nfs/dbraw/zinc/25/04/87/296250487.db2.gz YGZIMOVANUXZJA-UHFFFAOYSA-N 0 0 284.674 2.948 20 5 CFBDRN O=C(Nc1ccc2c(c1)COC2)c1ccc([N+](=O)[O-])s1 ZINC000121794022 376904621 /nfs/dbraw/zinc/90/46/21/376904621.db2.gz NXEHIJICQLQIKQ-UHFFFAOYSA-N 0 0 290.300 2.939 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCNc2ccccn2)n1 ZINC000121490541 376844485 /nfs/dbraw/zinc/84/44/85/376844485.db2.gz JNQYGDRYRSDHFN-UHFFFAOYSA-N 0 0 287.323 2.607 20 5 CFBDRN CC[C@@H](CCO)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000121761737 376901319 /nfs/dbraw/zinc/90/13/19/376901319.db2.gz PHVNNPAHNIJVRD-QMMMGPOBSA-N 0 0 274.267 2.694 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000121533970 376852362 /nfs/dbraw/zinc/85/23/62/376852362.db2.gz UDXPYFNMSPCMFZ-NSHDSACASA-N 0 0 264.325 2.688 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2c(F)cc([N+](=O)[O-])cc2F)n[nH]1 ZINC000121781861 376903366 /nfs/dbraw/zinc/90/33/66/376903366.db2.gz LMPTWDSVEWMYQX-ZETCQYMHSA-N 0 0 296.277 2.948 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2c(F)cc([N+](=O)[O-])cc2F)n[nH]1 ZINC000121781723 376903817 /nfs/dbraw/zinc/90/38/17/376903817.db2.gz LMPTWDSVEWMYQX-SSDOTTSWSA-N 0 0 296.277 2.948 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000121836070 376917609 /nfs/dbraw/zinc/91/76/09/376917609.db2.gz CTYMTLIECGIUQA-PHIMTYICSA-N 0 0 294.326 2.781 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CC(C)(C)O1 ZINC000122690711 376936057 /nfs/dbraw/zinc/93/60/57/376936057.db2.gz CLJHQNLODFBDRN-MRVPVSSYSA-N 0 0 285.731 2.647 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Sc2nnc(C(C)C)n2C)n1 ZINC000124084215 377015435 /nfs/dbraw/zinc/01/54/35/377015435.db2.gz MFPWKBMWLQOPDP-UHFFFAOYSA-N 0 0 293.352 2.701 20 5 CFBDRN Cc1cncc(CNC(=O)CCc2cccc([N+](=O)[O-])c2)c1 ZINC000124163508 377021782 /nfs/dbraw/zinc/02/17/82/377021782.db2.gz IKUPWKDRRFLQJB-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCc2ccsc2C1 ZINC000123834483 376985518 /nfs/dbraw/zinc/98/55/18/376985518.db2.gz GSGUMNHXNWODQO-UHFFFAOYSA-N 0 0 288.328 2.855 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCc2ccsc2C1 ZINC000123941577 377000529 /nfs/dbraw/zinc/00/05/29/377000529.db2.gz CCLDAHOEPHPSQD-UHFFFAOYSA-N 0 0 288.328 2.855 20 5 CFBDRN CN(C)c1cccc(CN(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000432055607 383717960 /nfs/dbraw/zinc/71/79/60/383717960.db2.gz SGRKJMOMZUMAAT-UHFFFAOYSA-N 0 0 286.335 2.692 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1SCCCO ZINC000125361336 377147894 /nfs/dbraw/zinc/14/78/94/377147894.db2.gz DDLHZYQDVYGHJQ-UHFFFAOYSA-N 0 0 264.306 2.618 20 5 CFBDRN COC[C@@H](C)NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000126943092 377254401 /nfs/dbraw/zinc/25/44/01/377254401.db2.gz OIXCSYBDBRCWTJ-SNVBAGLBSA-N 0 0 294.351 2.657 20 5 CFBDRN Cc1ncc(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)s1 ZINC000128343769 377331598 /nfs/dbraw/zinc/33/15/98/377331598.db2.gz FNJQUTQBGMVJTN-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2ccc(F)cc2[N+](=O)[O-])O1 ZINC000127886741 377305794 /nfs/dbraw/zinc/30/57/94/377305794.db2.gz LHUPIEHSNFLXJT-WPRPVWTQSA-N 0 0 254.261 2.713 20 5 CFBDRN CCC(CC)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000129065679 377378828 /nfs/dbraw/zinc/37/88/28/377378828.db2.gz DYPODRMUDTWFMC-UHFFFAOYSA-N 0 0 292.382 2.660 20 5 CFBDRN Cc1sc(C(=O)Nc2ccc(O)cc2)cc1[N+](=O)[O-] ZINC000128675460 377350996 /nfs/dbraw/zinc/35/09/96/377350996.db2.gz BGMQVFVLGPSRKC-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN C[C@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000129426606 377424062 /nfs/dbraw/zinc/42/40/62/377424062.db2.gz UNYYWZVOIXDAAJ-QUBYGPBYSA-N 0 0 288.225 2.752 20 5 CFBDRN CC(C)Oc1cc(CNc2ccc([N+](=O)[O-])cn2)ccn1 ZINC000130027285 377503147 /nfs/dbraw/zinc/50/31/47/377503147.db2.gz RDQVQKKOFNJIJZ-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1nccs1 ZINC000129773271 377471537 /nfs/dbraw/zinc/47/15/37/377471537.db2.gz CBRXNVAFCZQKMZ-UHFFFAOYSA-N 0 0 270.701 2.712 20 5 CFBDRN CCCCc1nc(Cn2ccc(=O)c([N+](=O)[O-])c2)cs1 ZINC000130890329 377626971 /nfs/dbraw/zinc/62/69/71/377626971.db2.gz KKNODDBENHIYTF-UHFFFAOYSA-N 0 0 293.348 2.604 20 5 CFBDRN O=C(NCc1ccc(O)cc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000131370782 377702408 /nfs/dbraw/zinc/70/24/08/377702408.db2.gz FLHYRMYRPCRONS-UHFFFAOYSA-N 0 0 287.275 2.622 20 5 CFBDRN Cc1ccc(OCc2nnc(C(C)C)o2)cc1[N+](=O)[O-] ZINC000131687166 377749242 /nfs/dbraw/zinc/74/92/42/377749242.db2.gz CFUFDBXHDZGSJH-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN CC[C@H](C)OCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000131843638 377767988 /nfs/dbraw/zinc/76/79/88/377767988.db2.gz HWJQETVKIVFOKP-VIFPVBQESA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@@H]1C ZINC000132774421 377875851 /nfs/dbraw/zinc/87/58/51/377875851.db2.gz RASGHRATTYXBJW-BDAKNGLRSA-N 0 0 268.338 2.774 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1C)[C@@H]1CCCO1 ZINC000132857209 377881792 /nfs/dbraw/zinc/88/17/92/377881792.db2.gz HSLGJWLUJSDIRW-JSGCOSHPSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1C)[C@H]1CCCO1 ZINC000132857723 377882730 /nfs/dbraw/zinc/88/27/30/377882730.db2.gz HSLGJWLUJSDIRW-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1nc(NCCOC2CCCC2)ccc1[N+](=O)[O-] ZINC000133309856 377920279 /nfs/dbraw/zinc/92/02/79/377920279.db2.gz ZBLGHQXBGYVLQJ-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN CSC[C@@H]1CCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000133333444 377921462 /nfs/dbraw/zinc/92/14/62/377921462.db2.gz FOSNXDSUUMVGOC-QMMMGPOBSA-N 0 0 287.772 2.975 20 5 CFBDRN CSC[C@H]1CCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000133338306 377922035 /nfs/dbraw/zinc/92/20/35/377922035.db2.gz YJTNVZBUCCBYPX-MRVPVSSYSA-N 0 0 298.393 2.636 20 5 CFBDRN CCC(CC)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000133606269 377943362 /nfs/dbraw/zinc/94/33/62/377943362.db2.gz HGJVJICRQOWUPO-UHFFFAOYSA-N 0 0 251.286 2.673 20 5 CFBDRN C[C@@H](O)[C@H](C)Oc1c(Br)cccc1[N+](=O)[O-] ZINC000133616566 377943796 /nfs/dbraw/zinc/94/37/96/377943796.db2.gz AFYBYZJRQTXCBK-RQJHMYQMSA-N 0 0 290.113 2.505 20 5 CFBDRN Cc1nc(NC(=O)C2[C@@H]3CCCC[C@H]23)ccc1[N+](=O)[O-] ZINC000133620538 377945213 /nfs/dbraw/zinc/94/52/13/377945213.db2.gz FWLXYDNGLPBTHV-HWYHXSKPSA-N 0 0 275.308 2.673 20 5 CFBDRN C[C@H](O)C[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000133134876 377908087 /nfs/dbraw/zinc/90/80/87/377908087.db2.gz QCWZARHINMZMKE-VHSXEESVSA-N 0 0 284.743 2.988 20 5 CFBDRN CCCc1nnc(Sc2nc(C)ccc2[N+](=O)[O-])o1 ZINC000133167806 377910750 /nfs/dbraw/zinc/91/07/50/377910750.db2.gz GILYPHYETOXCQR-UHFFFAOYSA-N 0 0 280.309 2.785 20 5 CFBDRN CCC(CC)CNc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000134375322 378006027 /nfs/dbraw/zinc/00/60/27/378006027.db2.gz NYCXVYQUQRYXHE-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN Cc1cc(C)n(CCCNc2ncc([N+](=O)[O-])cc2C)n1 ZINC000134470905 378018889 /nfs/dbraw/zinc/01/88/89/378018889.db2.gz LGCXVLKBFRLQRD-UHFFFAOYSA-N 0 0 289.339 2.614 20 5 CFBDRN CCC(CC)CNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000134376353 378007728 /nfs/dbraw/zinc/00/77/28/378007728.db2.gz JQEAYGOQSQUFBN-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN Cc1ccc(F)c(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000133846956 377963572 /nfs/dbraw/zinc/96/35/72/377963572.db2.gz PLMBGKQOMZWAAS-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1nc(NC(=O)CC[C@@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000134021243 377976430 /nfs/dbraw/zinc/97/64/30/377976430.db2.gz UJXCNJYNBWWLHB-ONGXEEELSA-N 0 0 293.323 2.584 20 5 CFBDRN Cc1nc(NC(=O)CC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000134032199 377977687 /nfs/dbraw/zinc/97/76/87/377977687.db2.gz DJOLJAVDYUYINR-LLVKDONJSA-N 0 0 293.323 2.586 20 5 CFBDRN C[C@H](O)CCOc1ccc(Br)cc1[N+](=O)[O-] ZINC000134060069 377979668 /nfs/dbraw/zinc/97/96/68/377979668.db2.gz ZSDGBSALANYKLV-ZETCQYMHSA-N 0 0 290.113 2.507 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])c(C)s1)[C@@H]1CCCO1 ZINC000134091183 377981980 /nfs/dbraw/zinc/98/19/80/377981980.db2.gz QJLREXXZVBKZTQ-ONGXEEELSA-N 0 0 298.364 2.652 20 5 CFBDRN CCC[C@@H]([NH2+][C@@H]1C=C[C@H](CO)C1)c1cccc([N+](=O)[O-])c1 ZINC000134631518 378039131 /nfs/dbraw/zinc/03/91/31/378039131.db2.gz AZJUDPOLOWXIPC-JGGQBBKZSA-N 0 0 290.363 2.963 20 5 CFBDRN CCOc1cccc(NCCCCOC)c1[N+](=O)[O-] ZINC000134914267 378063457 /nfs/dbraw/zinc/06/34/57/378063457.db2.gz JRUAWSJCAOTROU-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000134961763 378068755 /nfs/dbraw/zinc/06/87/55/378068755.db2.gz XXAPJEJPTCVTMB-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC(=O)C1CCCC1 ZINC000135026856 378075319 /nfs/dbraw/zinc/07/53/19/378075319.db2.gz WFACRMJVRICHEZ-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN CCNc1ccc(C(=O)N(C)C2CCC2)cc1[N+](=O)[O-] ZINC000135044376 378078303 /nfs/dbraw/zinc/07/83/03/378078303.db2.gz UCHIODMWSWNGQK-UHFFFAOYSA-N 0 0 277.324 2.651 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000264324415 383726399 /nfs/dbraw/zinc/72/63/99/383726399.db2.gz VYCDCJPZKVSSDD-ONGXEEELSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@]1(C)CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)CCO1 ZINC000135312092 378115618 /nfs/dbraw/zinc/11/56/18/378115618.db2.gz DHUOGCHMNQYXMK-CYBMUJFWSA-N 0 0 298.364 2.606 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCCCCC2)c1 ZINC000136290145 378193292 /nfs/dbraw/zinc/19/32/92/378193292.db2.gz VIYSAVKDTXNUQQ-UHFFFAOYSA-N 0 0 278.308 2.762 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2C[C@@H]3CCC[C@H]3C2)c1 ZINC000136307869 378194207 /nfs/dbraw/zinc/19/42/07/378194207.db2.gz VTFVIJAKIFETTI-RYUDHWBXSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1cc(N[C@H]2CCCSC2)c([N+](=O)[O-])cc1OC ZINC000136437723 378200416 /nfs/dbraw/zinc/20/04/16/378200416.db2.gz XNMOTQOLQLPANI-VIFPVBQESA-N 0 0 298.364 2.920 20 5 CFBDRN CC(C)[C@H]1CC[C@H](C)C[C@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000136606249 378204713 /nfs/dbraw/zinc/20/47/13/378204713.db2.gz AUIVSKMQOKFYRT-HBNTYKKESA-N 0 0 294.355 2.509 20 5 CFBDRN CC[C@]1(C)CN(Cc2cccc([N+](=O)[O-])c2C)CCO1 ZINC000135709679 378160039 /nfs/dbraw/zinc/16/00/39/378160039.db2.gz ZJPCTYWIMLYAPJ-OAHLLOKOSA-N 0 0 278.352 2.904 20 5 CFBDRN CC(C)(O)COc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000138341974 378232695 /nfs/dbraw/zinc/23/26/95/378232695.db2.gz KGJJMEIZUOFCLT-UHFFFAOYSA-N 0 0 279.214 2.763 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)c1ncc[nH]1 ZINC000140625492 378239956 /nfs/dbraw/zinc/23/99/56/378239956.db2.gz QRGVSAUXHWJBGY-GFCCVEGCSA-N 0 0 288.307 2.507 20 5 CFBDRN O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1C[C@H]2CCC[C@@H]2C1 ZINC000148894635 378260847 /nfs/dbraw/zinc/26/08/47/378260847.db2.gz AYSZHPCVZZTOEP-RKDXNWHRSA-N 0 0 296.273 2.745 20 5 CFBDRN CC(C)CN(C)C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149140662 378262296 /nfs/dbraw/zinc/26/22/96/378262296.db2.gz RIYDEKKJXHXYIB-UHFFFAOYSA-N 0 0 270.716 2.976 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000264338996 383728608 /nfs/dbraw/zinc/72/86/08/383728608.db2.gz YEPBLKBQHGQRCM-JOYOIKCWSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H](OC)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278334225 193926368 /nfs/dbraw/zinc/92/63/68/193926368.db2.gz ZVSJDPCSYARCLI-ZCFIWIBFSA-N 0 0 269.282 2.804 20 5 CFBDRN C[C@@H](NC(=O)OC1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000289475618 197365554 /nfs/dbraw/zinc/36/55/54/197365554.db2.gz NOJVGNNYIYKZLU-SNVBAGLBSA-N 0 0 294.307 2.561 20 5 CFBDRN COc1ccnc(CN2CCc3c(cccc3[N+](=O)[O-])C2)c1 ZINC000153028966 378468541 /nfs/dbraw/zinc/46/85/41/378468541.db2.gz CLOAADAROIVXHM-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1ccccc1CO ZINC000153610975 378500668 /nfs/dbraw/zinc/50/06/68/378500668.db2.gz GVXFMEKDSXXNOE-UHFFFAOYSA-N 0 0 293.710 2.748 20 5 CFBDRN Cc1cc(NC(=O)N2CCOCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000153867445 378518396 /nfs/dbraw/zinc/51/83/96/378518396.db2.gz UZHATOSJISSLQF-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nocc2C)c1 ZINC000154605006 378583584 /nfs/dbraw/zinc/58/35/84/378583584.db2.gz XCPYBROCBPXRIW-UHFFFAOYSA-N 0 0 293.304 2.865 20 5 CFBDRN CC(C)[C@@H](C)[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330427404 378552245 /nfs/dbraw/zinc/55/22/45/378552245.db2.gz KJQFFXYFHYHAKQ-SNVBAGLBSA-N 0 0 252.314 2.777 20 5 CFBDRN C[C@@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000330570799 378584861 /nfs/dbraw/zinc/58/48/61/378584861.db2.gz ISNMAAWJQOKEMF-SNVBAGLBSA-N 0 0 250.298 2.532 20 5 CFBDRN C[C@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000330570798 378585359 /nfs/dbraw/zinc/58/53/59/378585359.db2.gz ISNMAAWJQOKEMF-JTQLQIEISA-N 0 0 250.298 2.532 20 5 CFBDRN NC(=O)C[C@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000330514308 378576640 /nfs/dbraw/zinc/57/66/40/378576640.db2.gz HAZTZFJRCLNWLN-LLVKDONJSA-N 0 0 291.307 2.515 20 5 CFBDRN C[C@H]1CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])[C@H]1CO ZINC000330550375 378581593 /nfs/dbraw/zinc/58/15/93/378581593.db2.gz DSUMQHPAZGAGOV-HZMBPMFUSA-N 0 0 298.770 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccn(C3CCCC3)n2)nc1 ZINC000154737432 378591415 /nfs/dbraw/zinc/59/14/15/378591415.db2.gz IRJQILVPHXOOJI-UHFFFAOYSA-N 0 0 287.323 2.914 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000331008486 378614763 /nfs/dbraw/zinc/61/47/63/378614763.db2.gz UJUCCVOULPZTGL-OAHLLOKOSA-N 0 0 276.336 2.786 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)C[C@@H]2C)cc([N+](=O)[O-])c1C ZINC000331070163 378624914 /nfs/dbraw/zinc/62/49/14/378624914.db2.gz CDWIFSJLVFKOPO-ZJUUUORDSA-N 0 0 292.335 2.782 20 5 CFBDRN CCN(CCc1nccs1)c1ccc([N+](=O)[O-])c(C)n1 ZINC000159250047 378697914 /nfs/dbraw/zinc/69/79/14/378697914.db2.gz XVISEMITJDPEOY-UHFFFAOYSA-N 0 0 292.364 2.824 20 5 CFBDRN CO[C@@H](CNc1ccc2ncccc2c1[N+](=O)[O-])C1CC1 ZINC000338781842 220254548 /nfs/dbraw/zinc/25/45/48/220254548.db2.gz RRSFKDPRTAHNGK-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN CC(C)CC(C)(C)CNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000331208877 378650933 /nfs/dbraw/zinc/65/09/33/378650933.db2.gz GHLSOYAFXQAHCU-UHFFFAOYSA-N 0 0 267.329 2.725 20 5 CFBDRN CCCC1(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)CCC1 ZINC000332037076 378720654 /nfs/dbraw/zinc/72/06/54/378720654.db2.gz PNNPYUFCROWABD-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN(C(=O)c2n[nH]cc2[N+](=O)[O-])CC1 ZINC000160280974 378760741 /nfs/dbraw/zinc/76/07/41/378760741.db2.gz BDDUPSODFCLOPB-SNVBAGLBSA-N 0 0 294.355 2.606 20 5 CFBDRN CCC1(NS(=O)(=O)c2ccc(C)cc2[N+](=O)[O-])CCC1 ZINC000332422804 378760837 /nfs/dbraw/zinc/76/08/37/378760837.db2.gz DDDMDSBVIKLSEF-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN C[C@@H](c1cnccn1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000332513074 378771176 /nfs/dbraw/zinc/77/11/76/378771176.db2.gz IWNJIRFKIHWNGO-NSHDSACASA-N 0 0 284.319 2.504 20 5 CFBDRN Cc1ccc(CN2CCc3ncc([N+](=O)[O-])cc3C2)c(C)n1 ZINC000332537705 378772659 /nfs/dbraw/zinc/77/26/59/378772659.db2.gz VZHKQPCARNDDNG-UHFFFAOYSA-N 0 0 298.346 2.560 20 5 CFBDRN CCCCN(C)C(=O)CSc1ccc([N+](=O)[O-])c(C)n1 ZINC000160696088 378791327 /nfs/dbraw/zinc/79/13/27/378791327.db2.gz QAHVPTOMCSZVIS-UHFFFAOYSA-N 0 0 297.380 2.649 20 5 CFBDRN CC(C)NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000160780445 378798636 /nfs/dbraw/zinc/79/86/36/378798636.db2.gz WVTSRDJTIWVCAG-UHFFFAOYSA-N 0 0 279.340 2.625 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000362125422 296281931 /nfs/dbraw/zinc/28/19/31/296281931.db2.gz OHKDVKHKTKDSAW-NXEZZACHSA-N 0 0 266.345 2.955 20 5 CFBDRN CNC(=O)C(C)(C)CNc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000161061005 378817799 /nfs/dbraw/zinc/81/77/99/378817799.db2.gz PSBLUCXFWCPYMA-UHFFFAOYSA-N 0 0 299.758 2.741 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)CC ZINC000161134464 378824029 /nfs/dbraw/zinc/82/40/29/378824029.db2.gz ACBZRVLFQARGER-SECBINFHSA-N 0 0 266.297 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@@H]1CCCC[C@@H]1O)CCN2 ZINC000278481209 194003874 /nfs/dbraw/zinc/00/38/74/194003874.db2.gz VMWQEDQXCDPBMV-HZMBPMFUSA-N 0 0 291.351 2.526 20 5 CFBDRN CCCn1c(C)nnc1COc1ccc(C)c([N+](=O)[O-])c1 ZINC000161434475 378846680 /nfs/dbraw/zinc/84/66/80/378846680.db2.gz KKCIYAGQPWIPBF-UHFFFAOYSA-N 0 0 290.323 2.792 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000161543217 378854767 /nfs/dbraw/zinc/85/47/67/378854767.db2.gz QNKLXYUHCGCHFY-CBAPKCEASA-N 0 0 268.700 2.634 20 5 CFBDRN CC(C)Cc1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000161850992 378875443 /nfs/dbraw/zinc/87/54/43/378875443.db2.gz GEDNESNLNYGXJU-UHFFFAOYSA-N 0 0 265.244 2.982 20 5 CFBDRN O=C(NCC(F)(F)C(F)F)Nc1ccc([N+](=O)[O-])cc1 ZINC000278510274 194019919 /nfs/dbraw/zinc/01/99/19/194019919.db2.gz DZLUHNOXAVQYAY-UHFFFAOYSA-N 0 0 295.192 2.617 20 5 CFBDRN CCC(O)(CC)CNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000162993502 378947958 /nfs/dbraw/zinc/94/79/58/378947958.db2.gz FZPDVRHTBHIJHO-UHFFFAOYSA-N 0 0 295.339 2.576 20 5 CFBDRN Cc1c(CNCc2cc([N+](=O)[O-])ccc2Cl)cnn1C ZINC000163196461 378958525 /nfs/dbraw/zinc/95/85/25/378958525.db2.gz LRMDCOUMABIKKB-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN C[C@@H](NCc1ccccc1[N+](=O)[O-])c1cncs1 ZINC000163249573 378962239 /nfs/dbraw/zinc/96/22/39/378962239.db2.gz VMHHETVWENAXNZ-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN C[C@@H]1OCC[C@H]1SCCOc1ccc([N+](=O)[O-])cc1 ZINC000163608810 378983194 /nfs/dbraw/zinc/98/31/94/378983194.db2.gz KCKHETKQBJQMKV-GXFFZTMASA-N 0 0 283.349 2.884 20 5 CFBDRN CCC(O)(CC)CCNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278590916 194059535 /nfs/dbraw/zinc/05/95/35/194059535.db2.gz YXTFZLIELBNXGJ-UHFFFAOYSA-N 0 0 292.339 2.824 20 5 CFBDRN CC1CC(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000163681661 378986431 /nfs/dbraw/zinc/98/64/31/378986431.db2.gz VCBBDQPFAIBTJQ-UHFFFAOYSA-N 0 0 268.700 2.777 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC12CCC2 ZINC000335264614 378988716 /nfs/dbraw/zinc/98/87/16/378988716.db2.gz DAJVTBVNXQBZQT-UHFFFAOYSA-N 0 0 279.271 2.894 20 5 CFBDRN O=C(C1CCC1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163767562 378989447 /nfs/dbraw/zinc/98/94/47/378989447.db2.gz NBZAIHASYWPJQE-UHFFFAOYSA-N 0 0 274.320 2.886 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCC(C)(C)O2)cc1[N+](=O)[O-] ZINC000278615613 194068723 /nfs/dbraw/zinc/06/87/23/194068723.db2.gz WOIGKTANYLTIHU-LBPRGKRZSA-N 0 0 278.308 2.799 20 5 CFBDRN O=C(N[C@H]1CSc2ccccc21)c1ccc([N+](=O)[O-])o1 ZINC000334266704 379003822 /nfs/dbraw/zinc/00/38/22/379003822.db2.gz NWJDGPYMLYYGKN-VIFPVBQESA-N 0 0 290.300 2.765 20 5 CFBDRN CC1CCN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000335290879 379034030 /nfs/dbraw/zinc/03/40/30/379034030.db2.gz WLLQKKLSFYQYFM-UHFFFAOYSA-N 0 0 298.289 2.674 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCC[C@@H]1CCCO1 ZINC000165106244 379033705 /nfs/dbraw/zinc/03/37/05/379033705.db2.gz WBSKZYIGQWGMCR-LBPRGKRZSA-N 0 0 279.292 2.745 20 5 CFBDRN C[C@H](Nc1ccc2cc([N+](=O)[O-])ccc2n1)[C@@H]1CCOC1 ZINC000166383312 379055465 /nfs/dbraw/zinc/05/54/65/379055465.db2.gz NVWOGSLOPUIJHT-CMPLNLGQSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000334295537 379049161 /nfs/dbraw/zinc/04/91/61/379049161.db2.gz WVFIMUSYFNLKRE-PRHODGIISA-N 0 0 273.292 2.604 20 5 CFBDRN C[C@@H](Nc1cc(Cl)ccc1[N+](=O)[O-])[C@H](C)CO ZINC000167238048 379068777 /nfs/dbraw/zinc/06/87/77/379068777.db2.gz JSNYDHPNRQJPEB-HTQZYQBOSA-N 0 0 258.705 2.677 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000166710139 379060303 /nfs/dbraw/zinc/06/03/03/379060303.db2.gz XMDZUCXROZCUAG-IUCAKERBSA-N 0 0 281.312 2.623 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@@H](C)C2)n1 ZINC000166672298 379060924 /nfs/dbraw/zinc/06/09/24/379060924.db2.gz JJFVTFAUDOKBKR-ZJUUUORDSA-N 0 0 293.323 2.767 20 5 CFBDRN CC(C)(C(=O)N1CC[C@](C)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000334318915 379086295 /nfs/dbraw/zinc/08/62/95/379086295.db2.gz STZTUGPORNXVLQ-HNNXBMFYSA-N 0 0 294.326 2.833 20 5 CFBDRN COc1cncc(NC(=O)c2cc([N+](=O)[O-])ccc2C)c1 ZINC000168543497 379090127 /nfs/dbraw/zinc/09/01/27/379090127.db2.gz WYZQDDUFJIEEPX-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C[C@H]1C ZINC000168648673 379092213 /nfs/dbraw/zinc/09/22/13/379092213.db2.gz ORSNEFJOQOFIJY-DTORHVGOSA-N 0 0 282.727 2.976 20 5 CFBDRN CCCCN(CCCO)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000278719842 194121415 /nfs/dbraw/zinc/12/14/15/194121415.db2.gz RHBNAMHJEOVVMD-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1F)c1c(Cl)cccc1[N+](=O)[O-] ZINC000334340093 379120834 /nfs/dbraw/zinc/12/08/34/379120834.db2.gz MUTQRJUUSRFZDS-BDAKNGLRSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1cc(C(=O)N2CCSCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000190066092 291720667 /nfs/dbraw/zinc/72/06/67/291720667.db2.gz CBRQTUUYZMJUOR-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1C[C@@H]1CCc2ccccc21 ZINC000335341831 379124795 /nfs/dbraw/zinc/12/47/95/379124795.db2.gz NZZIIRMVYXNGEP-NSHDSACASA-N 0 0 288.278 2.626 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1C[C@@H]1CCCC(F)(F)C1 ZINC000335342506 379125997 /nfs/dbraw/zinc/12/59/97/379125997.db2.gz UNPBAXFGQRTDIF-MRVPVSSYSA-N 0 0 290.241 2.721 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335335469 379113605 /nfs/dbraw/zinc/11/36/05/379113605.db2.gz GFWQEPXPFUXBTQ-LSDHHAIUSA-N 0 0 288.347 2.772 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC[C@H](F)C1 ZINC000334336404 379116055 /nfs/dbraw/zinc/11/60/55/379116055.db2.gz SPJNUVALJVMHAR-VHSXEESVSA-N 0 0 266.272 2.524 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1nnc(C(C)C)o1 ZINC000170698342 379143024 /nfs/dbraw/zinc/14/30/24/379143024.db2.gz OBOWNZAZXQDGEG-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(C)=C[C@@H](C)C2)c1 ZINC000335431923 379285595 /nfs/dbraw/zinc/28/55/95/379285595.db2.gz ZLKYQIDPABERHQ-LLVKDONJSA-N 0 0 274.320 2.941 20 5 CFBDRN Cn1c(C(=O)Nc2cc(F)c(F)c(F)c2)ccc1[N+](=O)[O-] ZINC000334431875 379288340 /nfs/dbraw/zinc/28/83/40/379288340.db2.gz WKDFVUQHRDFPDF-UHFFFAOYSA-N 0 0 299.208 2.603 20 5 CFBDRN Cc1cc(Cn2c(C)ncc2[N+](=O)[O-])c(C)s1 ZINC000334410753 379251864 /nfs/dbraw/zinc/25/18/64/379251864.db2.gz MTJYMZKUWOMRHD-UHFFFAOYSA-N 0 0 251.311 2.826 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@@H](F)C1 ZINC000335420154 379262471 /nfs/dbraw/zinc/26/24/71/379262471.db2.gz UDVJOUIEAXWZAX-MNOVXSKESA-N 0 0 280.299 2.914 20 5 CFBDRN CSCn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000334437499 379299102 /nfs/dbraw/zinc/29/91/02/379299102.db2.gz IJZRWFOLJVIBFQ-UHFFFAOYSA-N 0 0 257.702 2.918 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)CCS1 ZINC000171580988 379344696 /nfs/dbraw/zinc/34/46/96/379344696.db2.gz OJKIZKZFTVYTDJ-QRGHLMKCSA-N 0 0 292.360 2.572 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCS[C@@H](C)C1 ZINC000171700811 379377728 /nfs/dbraw/zinc/37/77/28/379377728.db2.gz DXGZHCJORLHEJX-JTQLQIEISA-N 0 0 282.365 2.541 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCSC1 ZINC000334495040 379390588 /nfs/dbraw/zinc/39/05/88/379390588.db2.gz DGVOUELGSCPDSD-JTQLQIEISA-N 0 0 280.349 2.903 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@]12C[C@@H]1CCC2 ZINC000335489253 379391125 /nfs/dbraw/zinc/39/11/25/379391125.db2.gz TUSODUYHDKTFOY-SDBXPKJASA-N 0 0 264.256 2.863 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N(CC(F)F)C1CC1 ZINC000264413884 383736063 /nfs/dbraw/zinc/73/60/63/383736063.db2.gz KFNROGLEQSQGKF-FPYGCLRLSA-N 0 0 296.273 2.864 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2C=CCCC2)c1 ZINC000171792985 379403593 /nfs/dbraw/zinc/40/35/93/379403593.db2.gz RXWBFJYJTDXPAU-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000334504836 379410260 /nfs/dbraw/zinc/41/02/60/379410260.db2.gz BDEXIVZLWWYUTO-VXNVDRBHSA-N 0 0 254.311 2.575 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC[C@H]1C1CC1 ZINC000334524519 379451884 /nfs/dbraw/zinc/45/18/84/379451884.db2.gz DQABBIFUMRFIBB-LBPRGKRZSA-N 0 0 280.711 2.873 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cc(F)cc(F)c1F ZINC000334538318 379483611 /nfs/dbraw/zinc/48/36/11/379483611.db2.gz MPCFAPWZAWKXAK-UHFFFAOYSA-N 0 0 299.208 2.603 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N(CC(F)F)C1CC1 ZINC000264415183 383737076 /nfs/dbraw/zinc/73/70/76/383737076.db2.gz KOIWTTZKKYSBST-UHFFFAOYSA-N 0 0 288.225 2.604 20 5 CFBDRN COc1cccc(COc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000172319127 379540453 /nfs/dbraw/zinc/54/04/53/379540453.db2.gz FOISCQGEIDSCMW-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN COC(=O)c1cc(NC(=O)[C@H](C)C(C)C)cc([N+](=O)[O-])c1 ZINC000172345421 379546504 /nfs/dbraw/zinc/54/65/04/379546504.db2.gz KSGNQKUZHGZBJI-SECBINFHSA-N 0 0 294.307 2.612 20 5 CFBDRN Cn1c(C(=O)N2CC(C3CCCCC3)C2)ccc1[N+](=O)[O-] ZINC000334569281 379547672 /nfs/dbraw/zinc/54/76/72/379547672.db2.gz QNFMPTDQXHIUGE-UHFFFAOYSA-N 0 0 291.351 2.586 20 5 CFBDRN C[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000172181813 379511903 /nfs/dbraw/zinc/51/19/03/379511903.db2.gz DVOUOVWLKTYVJP-LLVKDONJSA-N 0 0 291.351 2.973 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1CC=CCC1 ZINC000172484313 379578947 /nfs/dbraw/zinc/57/89/47/379578947.db2.gz WHCRYNPGFIUHBM-RUNBWSAHSA-N 0 0 272.304 2.833 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@H]1CC=CCC1 ZINC000172487130 379580778 /nfs/dbraw/zinc/58/07/78/379580778.db2.gz ZWONMSDBFDIPQO-NHAQELONSA-N 0 0 272.304 2.833 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])N[C@@H]1CC=CCC1 ZINC000172487971 379581267 /nfs/dbraw/zinc/58/12/67/379581267.db2.gz XZAFPDUQWHDYLL-GFCCVEGCSA-N 0 0 290.319 2.589 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCSC[C@H]1C ZINC000172590766 379600103 /nfs/dbraw/zinc/60/01/03/379600103.db2.gz PUZWAYQOOOXHNK-SNVBAGLBSA-N 0 0 282.365 2.541 20 5 CFBDRN C[C@@H]1CSCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000172594280 379600690 /nfs/dbraw/zinc/60/06/90/379600690.db2.gz GECHRXIRRYRGBM-SNVBAGLBSA-N 0 0 252.339 2.532 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCSC[C@@H]1C ZINC000172590769 379601631 /nfs/dbraw/zinc/60/16/31/379601631.db2.gz PUZWAYQOOOXHNK-JTQLQIEISA-N 0 0 282.365 2.541 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000172414064 379563238 /nfs/dbraw/zinc/56/32/38/379563238.db2.gz YDFQJLZKJJHYSO-UHFFFAOYSA-N 0 0 299.330 2.743 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC2(CC2)c2ccccc21 ZINC000172429905 379568162 /nfs/dbraw/zinc/56/81/62/379568162.db2.gz CXUXZEFMCKLRRD-UHFFFAOYSA-N 0 0 284.271 2.880 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@@H]1CCCC1(F)F ZINC000334580109 379568407 /nfs/dbraw/zinc/56/84/07/379568407.db2.gz MEHUBSXESUADKA-ZETCQYMHSA-N 0 0 292.669 2.845 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000172750474 379640096 /nfs/dbraw/zinc/64/00/96/379640096.db2.gz WPKRBOLFGMYQNF-AAEUAGOBSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1cc(NC(=O)[C@@]2(C)C[C@H]2F)ccc1[N+](=O)[O-] ZINC000335617013 379608372 /nfs/dbraw/zinc/60/83/72/379608372.db2.gz LLDPUADBBVYEOV-PWSUYJOCSA-N 0 0 252.245 2.590 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172884513 379665113 /nfs/dbraw/zinc/66/51/13/379665113.db2.gz ZLBJELYAMMLUOP-MNOVXSKESA-N 0 0 264.325 2.688 20 5 CFBDRN CCN(CC)C(=O)CN(C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432339188 383739116 /nfs/dbraw/zinc/73/91/16/383739116.db2.gz ZRIUGUCERBZUKQ-UHFFFAOYSA-N 0 0 299.758 2.553 20 5 CFBDRN CCSCC[C@H](C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000173268906 379718776 /nfs/dbraw/zinc/71/87/76/379718776.db2.gz YTHXIUBNGHKCMX-NSHDSACASA-N 0 0 296.392 2.785 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335685493 379719349 /nfs/dbraw/zinc/71/93/49/379719349.db2.gz LLTAMBZNOVTCCT-UWVGGRQHSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C)=C[C@@H](C)C1 ZINC000335698460 379743113 /nfs/dbraw/zinc/74/31/13/379743113.db2.gz ONNICXQESNYMID-SNVBAGLBSA-N 0 0 274.320 2.941 20 5 CFBDRN COC[C@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000173884182 379792989 /nfs/dbraw/zinc/79/29/89/379792989.db2.gz LSZANPJUINZXHY-JTQLQIEISA-N 0 0 271.338 2.742 20 5 CFBDRN Cc1ccc(C(=O)N(C)[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000335729256 379796176 /nfs/dbraw/zinc/79/61/76/379796176.db2.gz DWGTVAQFNDCCQW-GFCCVEGCSA-N 0 0 262.309 2.774 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C)(C)C1 ZINC000173684088 379763947 /nfs/dbraw/zinc/76/39/47/379763947.db2.gz ZQGRUXQAFIXWJV-UHFFFAOYSA-N 0 0 291.351 2.533 20 5 CFBDRN CCC[C@H]1SCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173777724 379776376 /nfs/dbraw/zinc/77/63/76/379776376.db2.gz PHUAUFRWNFTECL-CQSZACIVSA-N 0 0 294.376 2.839 20 5 CFBDRN Cc1nc(C)c(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000174171804 379839820 /nfs/dbraw/zinc/83/98/20/379839820.db2.gz ZQWIQVSUPMRERB-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335758483 379842034 /nfs/dbraw/zinc/84/20/34/379842034.db2.gz WACHDPOQQQBFMD-RNCFNFMXSA-N 0 0 280.299 2.995 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@H]2CCC[C@H]21 ZINC000174290503 379859072 /nfs/dbraw/zinc/85/90/72/379859072.db2.gz IVXJUDZUMYNYEC-UKRRQHHQSA-N 0 0 288.347 2.928 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@@H]1CCC(C)(C)C1 ZINC000335773133 379865599 /nfs/dbraw/zinc/86/55/99/379865599.db2.gz UFFPSRVUAGPSSF-SNVBAGLBSA-N 0 0 279.340 2.584 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@H]1CCC(C)(C)C1 ZINC000335773132 379865927 /nfs/dbraw/zinc/86/59/27/379865927.db2.gz UFFPSRVUAGPSSF-JTQLQIEISA-N 0 0 279.340 2.584 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3cc(F)c(F)cc3[N+](=O)[O-])C[C@@H]21 ZINC000174016780 379814299 /nfs/dbraw/zinc/81/42/99/379814299.db2.gz MUFUEKLONFFLIF-DTORHVGOSA-N 0 0 296.273 2.601 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335750170 379828367 /nfs/dbraw/zinc/82/83/67/379828367.db2.gz PLCNLCQZEBGULH-SECBINFHSA-N 0 0 266.272 2.749 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000334754063 379888073 /nfs/dbraw/zinc/88/80/73/379888073.db2.gz JNWSVPRFMJNLFV-RKDXNWHRSA-N 0 0 266.272 2.604 20 5 CFBDRN CCc1ccc(C(=O)N2[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000335793042 379897634 /nfs/dbraw/zinc/89/76/34/379897634.db2.gz WTGVSMIPWKBBQV-AOOOYVTPSA-N 0 0 262.309 2.780 20 5 CFBDRN CC(C)(C)C1CN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000334761882 379901722 /nfs/dbraw/zinc/90/17/22/379901722.db2.gz OPASOCBYGUVODV-UHFFFAOYSA-N 0 0 268.338 2.774 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])CCS1 ZINC000174769280 379938091 /nfs/dbraw/zinc/93/80/91/379938091.db2.gz ICFSKIDAIKQDKM-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@@H](CF)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000288266955 197039587 /nfs/dbraw/zinc/03/95/87/197039587.db2.gz PRUHHTQVNOTVRL-LURJTMIESA-N 0 0 294.204 2.701 20 5 CFBDRN CN(CCOc1cccc([N+](=O)[O-])c1)Cc1nccs1 ZINC000175093889 379994523 /nfs/dbraw/zinc/99/45/23/379994523.db2.gz QIQROSYNUFTGBU-UHFFFAOYSA-N 0 0 293.348 2.562 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000335198858 379995295 /nfs/dbraw/zinc/99/52/95/379995295.db2.gz PTQUJERHDDIWLM-QMMMGPOBSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1cnc(OCCOc2cccc(F)c2)c([N+](=O)[O-])c1 ZINC000175140503 380005449 /nfs/dbraw/zinc/00/54/49/380005449.db2.gz WLSCEKGMKLHXSK-UHFFFAOYSA-N 0 0 292.266 2.895 20 5 CFBDRN CC(=O)[C@@H](C)S[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000175199226 380019371 /nfs/dbraw/zinc/01/93/71/380019371.db2.gz BINLACYDRFZZSB-NXEZZACHSA-N 0 0 296.348 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccnc1C ZINC000175215175 380022851 /nfs/dbraw/zinc/02/28/51/380022851.db2.gz CMYRIHFHGAAMOV-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC3(CC3)C2)c1 ZINC000335218118 380025870 /nfs/dbraw/zinc/02/58/70/380025870.db2.gz JNTYDDODARHNDI-UHFFFAOYSA-N 0 0 278.333 2.553 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])c(OC(=O)OC(C)(C)C)c1 ZINC000175265625 380032421 /nfs/dbraw/zinc/03/24/21/380032421.db2.gz HFIYQBRBKZMLON-UHFFFAOYSA-N 0 0 282.296 2.975 20 5 CFBDRN CN(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)[C@@H]1CC1(C)C ZINC000335892570 380062638 /nfs/dbraw/zinc/06/26/38/380062638.db2.gz NUWRNKCVMGXMMS-GFCCVEGCSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)NCc2ccc([N+](=O)[O-])cc2)O1 ZINC000175565516 380090461 /nfs/dbraw/zinc/09/04/61/380090461.db2.gz CALATEKIIBTGRB-FZMZJTMJSA-N 0 0 292.335 2.559 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000175483198 380073306 /nfs/dbraw/zinc/07/33/06/380073306.db2.gz QJGJZYOQLVFNCI-SNVBAGLBSA-N 0 0 265.313 2.672 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC2(C1)CCCC2 ZINC000335881043 380044012 /nfs/dbraw/zinc/04/40/12/380044012.db2.gz MSEZCEUKDBYJFV-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000335917903 380105398 /nfs/dbraw/zinc/10/53/98/380105398.db2.gz QIYALEUAAMEJNW-JTQLQIEISA-N 0 0 280.299 2.852 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CCC(F)(F)C2)c1=O ZINC000335928880 380117827 /nfs/dbraw/zinc/11/78/27/380117827.db2.gz QQYMWESZZYWLOZ-SECBINFHSA-N 0 0 272.251 2.500 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)NCc2cccc([N+](=O)[O-])c2)O1 ZINC000175749313 380125452 /nfs/dbraw/zinc/12/54/52/380125452.db2.gz OQMTZOFWADPFFK-FZMZJTMJSA-N 0 0 292.335 2.559 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC[C@@H]1C ZINC000335961411 380164744 /nfs/dbraw/zinc/16/47/44/380164744.db2.gz YEIUAXFFSSIHCQ-GZMMTYOYSA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1cscc1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000176130395 380184455 /nfs/dbraw/zinc/18/44/55/380184455.db2.gz MFXQDBJYBACHAU-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1ccccc1C ZINC000176213858 380197790 /nfs/dbraw/zinc/19/77/90/380197790.db2.gz KESORQAPECMTLA-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]1C1CC1 ZINC000176547952 380253736 /nfs/dbraw/zinc/25/37/36/380253736.db2.gz YLZWSIYYJNMSPH-RYUDHWBXSA-N 0 0 260.293 2.888 20 5 CFBDRN Cc1cc(C(=O)N2CCC23CCC3)cc([N+](=O)[O-])c1 ZINC000336069102 380260640 /nfs/dbraw/zinc/26/06/40/380260640.db2.gz FSOBSVBHPPDNFO-UHFFFAOYSA-N 0 0 260.293 2.672 20 5 CFBDRN Nc1c(C(=O)N2CCC[C@H]2C2CCC2)cccc1[N+](=O)[O-] ZINC000176454252 380238024 /nfs/dbraw/zinc/23/80/24/380238024.db2.gz FJYFJOWHKBCCJP-LBPRGKRZSA-N 0 0 289.335 2.582 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000177209427 380315583 /nfs/dbraw/zinc/31/55/83/380315583.db2.gz SIRMAWNVPOSJQX-IUODEOHRSA-N 0 0 292.335 2.656 20 5 CFBDRN CCC1(NS(=O)(=O)c2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000177535887 380351631 /nfs/dbraw/zinc/35/16/31/380351631.db2.gz CJCURBHNXHBDCI-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN O=C(NC1CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000336522096 380406292 /nfs/dbraw/zinc/40/62/92/380406292.db2.gz RVVAPDSMMZXFJV-UHFFFAOYSA-N 0 0 254.673 2.531 20 5 CFBDRN Cc1ccc(CNC(=O)c2cccc([N+](=O)[O-])c2N)c(C)c1 ZINC000178162378 380416648 /nfs/dbraw/zinc/41/66/48/380416648.db2.gz RJMIWLMOZSKWJK-UHFFFAOYSA-N 0 0 299.330 2.724 20 5 CFBDRN CC(C)(C(=O)N1CCC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000336574165 380487749 /nfs/dbraw/zinc/48/77/49/380487749.db2.gz SQQWUQMIDGJBML-UHFFFAOYSA-N 0 0 298.289 2.740 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)ccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000179188226 380518276 /nfs/dbraw/zinc/51/82/76/380518276.db2.gz GKBDUTFLDJCQGA-OCCSQVGLSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CC[C@H](F)C2)c1[N+](=O)[O-] ZINC000336557361 380462664 /nfs/dbraw/zinc/46/26/64/380462664.db2.gz MIZCSVKNFNXDPK-VHSXEESVSA-N 0 0 266.272 2.524 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000336607483 380538594 /nfs/dbraw/zinc/53/85/94/380538594.db2.gz ZHRHYJDRCOTWQL-NSHDSACASA-N 0 0 274.320 2.562 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCc2cc(Cl)ccc21 ZINC000336615896 380554767 /nfs/dbraw/zinc/55/47/67/380554767.db2.gz PFZPWENHTJRBEM-UHFFFAOYSA-N 0 0 291.694 2.779 20 5 CFBDRN CC1(C2CC2)CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000336621368 380563816 /nfs/dbraw/zinc/56/38/16/380563816.db2.gz XLPMZSZRKKQCSH-UHFFFAOYSA-N 0 0 277.324 2.575 20 5 CFBDRN O=C(Nc1ncccc1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000179670149 380570555 /nfs/dbraw/zinc/57/05/55/380570555.db2.gz KJFXVPFSCYONMC-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CN(C(=O)[C@]1(C)C[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000336630592 380576022 /nfs/dbraw/zinc/57/60/22/380576022.db2.gz SRNYQRXUWOLOGE-QHBPRCKVSA-N 0 0 274.320 2.994 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCc2ccoc2)s1 ZINC000336808991 380669112 /nfs/dbraw/zinc/66/91/12/380669112.db2.gz DJSPGBJAPDJUPZ-UHFFFAOYSA-N 0 0 276.277 2.805 20 5 CFBDRN Cc1nc(C)c(CNc2nc(C)ccc2[N+](=O)[O-])o1 ZINC000336812619 380673212 /nfs/dbraw/zinc/67/32/12/380673212.db2.gz ARKKKIZOBOTUEP-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(F)cc1 ZINC000180582714 380679341 /nfs/dbraw/zinc/67/93/41/380679341.db2.gz XIKFMAPZJQIQLJ-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN CCc1cccc(NC(=O)c2c(N)cccc2[N+](=O)[O-])c1 ZINC000180590151 380679614 /nfs/dbraw/zinc/67/96/14/380679614.db2.gz NGDHTXNGCYHTKO-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN Cc1ccc(F)cc1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180588169 380679679 /nfs/dbraw/zinc/67/96/79/380679679.db2.gz ZSCFWGYRCYOMHV-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CCN(Cc1ccccc1)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180618352 380683050 /nfs/dbraw/zinc/68/30/50/380683050.db2.gz FIMYHYUEEPLMCG-UHFFFAOYSA-N 0 0 299.330 2.839 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000181185364 380754798 /nfs/dbraw/zinc/75/47/98/380754798.db2.gz RHTWQWOVTKOUIC-SECBINFHSA-N 0 0 286.328 2.605 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)NCc1cccc([N+](=O)[O-])c1 ZINC000180977604 380719891 /nfs/dbraw/zinc/71/98/91/380719891.db2.gz PEDQDHWEVBGYKQ-CQSZACIVSA-N 0 0 294.351 2.662 20 5 CFBDRN CC(=O)c1ccc(NCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000180987951 380721235 /nfs/dbraw/zinc/72/12/35/380721235.db2.gz ITGKQCPZTVJFOG-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000181158463 380748653 /nfs/dbraw/zinc/74/86/53/380748653.db2.gz SJEKEGJXSSAWDX-SNVBAGLBSA-N 0 0 279.340 2.685 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000181158484 380749515 /nfs/dbraw/zinc/74/95/15/380749515.db2.gz SJEKEGJXSSAWDX-JTQLQIEISA-N 0 0 279.340 2.685 20 5 CFBDRN O=C(NCC[C@@H]1CCCCO1)Nc1cccc([N+](=O)[O-])c1 ZINC000181415967 380789878 /nfs/dbraw/zinc/78/98/78/380789878.db2.gz PYEGEQBZZVWILV-ZDUSSCGKSA-N 0 0 293.323 2.676 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287338409 380807930 /nfs/dbraw/zinc/80/79/30/380807930.db2.gz OTNKOOQIVZFMHG-VIFPVBQESA-N 0 0 270.304 2.943 20 5 CFBDRN CS[C@H](C)CC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295360609 380809505 /nfs/dbraw/zinc/80/95/05/380809505.db2.gz NXOZCCQIAKLLOA-ZJUUUORDSA-N 0 0 282.365 2.914 20 5 CFBDRN COCCCn1ccc(Nc2c(F)cccc2[N+](=O)[O-])n1 ZINC000287376549 380816585 /nfs/dbraw/zinc/81/65/85/380816585.db2.gz QUGVLJVCRJWENB-UHFFFAOYSA-N 0 0 294.286 2.711 20 5 CFBDRN Cc1ccc([C@@H](C)[NH2+]Cc2c([N+](=O)[O-])ncn2C)cc1C ZINC000341177501 380817485 /nfs/dbraw/zinc/81/74/85/380817485.db2.gz WQKQEHCTZMGSKQ-GFCCVEGCSA-N 0 0 288.351 2.796 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C(C)(C)C1CC1 ZINC000287392604 380821313 /nfs/dbraw/zinc/82/13/13/380821313.db2.gz CZSJHAJTEOJTJY-UHFFFAOYSA-N 0 0 292.335 2.656 20 5 CFBDRN CC(C)c1ncc(NC(=O)c2ccccc2[N+](=O)[O-])cn1 ZINC000358476816 380869173 /nfs/dbraw/zinc/86/91/73/380869173.db2.gz PKVGORDQZUYALJ-UHFFFAOYSA-N 0 0 286.291 2.761 20 5 CFBDRN O=C1CC[C@H](COc2cccc(Cl)c2[N+](=O)[O-])CCN1 ZINC000295409582 380833993 /nfs/dbraw/zinc/83/39/93/380833993.db2.gz SNDPCSNKVKMYEO-VIFPVBQESA-N 0 0 298.726 2.543 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCOc1ccc(Cl)cc1 ZINC000341214749 380834958 /nfs/dbraw/zinc/83/49/58/380834958.db2.gz PDROMTDZDSYYCT-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC[C@@H]1CCCCO1 ZINC000181622825 380845881 /nfs/dbraw/zinc/84/58/81/380845881.db2.gz OIMURLAOIJLGAA-ZDUSSCGKSA-N 0 0 292.335 2.592 20 5 CFBDRN CO[C@H](C)CCC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181636282 380848664 /nfs/dbraw/zinc/84/86/64/380848664.db2.gz FKHPOQBKMSAYOI-NEPJUHHUSA-N 0 0 294.351 2.896 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1cncc(F)c1 ZINC000346995382 380861059 /nfs/dbraw/zinc/86/10/59/380861059.db2.gz XLJFNEIUEBHBMR-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN COc1cc(NCCC[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000402377521 380884366 /nfs/dbraw/zinc/88/43/66/380884366.db2.gz KEBWANKDCTUATH-SNVBAGLBSA-N 0 0 298.314 2.971 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295484722 380887333 /nfs/dbraw/zinc/88/73/33/380887333.db2.gz ZKJTVSVKWUTEIF-RYUDHWBXSA-N 0 0 294.351 2.977 20 5 CFBDRN CCCCO[C@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295484717 380887607 /nfs/dbraw/zinc/88/76/07/380887607.db2.gz ZKJTVSVKWUTEIF-NWDGAFQWSA-N 0 0 294.351 2.977 20 5 CFBDRN NC(=O)c1cccc(NCC2CCCCC2)c1[N+](=O)[O-] ZINC000341272282 380890117 /nfs/dbraw/zinc/89/01/17/380890117.db2.gz NTHGQCWGBIONEN-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000295491343 380891752 /nfs/dbraw/zinc/89/17/52/380891752.db2.gz JLBOIGNEFFCKQN-AWEZNQCLSA-N 0 0 280.299 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC[C@H](O)CC(F)(F)F ZINC000347049112 380904163 /nfs/dbraw/zinc/90/41/63/380904163.db2.gz DJIFDZOTVVPUDU-SECBINFHSA-N 0 0 293.241 2.904 20 5 CFBDRN CC[C@H](C)CC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000358548035 380915069 /nfs/dbraw/zinc/91/50/69/380915069.db2.gz STKLVMIJHSVLJB-NSHDSACASA-N 0 0 279.340 2.559 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N[C@H](C)CF)cc1[N+](=O)[O-] ZINC000287715093 380917968 /nfs/dbraw/zinc/91/79/68/380917968.db2.gz RFBNDCHPNAWDER-NXEZZACHSA-N 0 0 283.303 2.621 20 5 CFBDRN Cc1occc1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000341305428 380920150 /nfs/dbraw/zinc/92/01/50/380920150.db2.gz VHNPSLWBNQPZSI-VIFPVBQESA-N 0 0 274.276 2.987 20 5 CFBDRN Cc1nc(CCNc2ccc(N)cc2[N+](=O)[O-])sc1C ZINC000287820396 380949426 /nfs/dbraw/zinc/94/94/26/380949426.db2.gz KVZRCVSEMNCGGK-UHFFFAOYSA-N 0 0 292.364 2.905 20 5 CFBDRN O=C(CC1CC1)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000341364475 380967987 /nfs/dbraw/zinc/96/79/87/380967987.db2.gz GREQTRJGJOAPCO-UHFFFAOYSA-N 0 0 268.700 2.665 20 5 CFBDRN COc1ccc(C(=O)N2C[C@H]3CCCC[C@H]32)cc1[N+](=O)[O-] ZINC000368247523 380968516 /nfs/dbraw/zinc/96/85/16/380968516.db2.gz XXUKUBXZLHOORN-VXGBXAGGSA-N 0 0 290.319 2.618 20 5 CFBDRN Cc1ccc(OC[C@](C)(O)C(F)(F)F)cc1[N+](=O)[O-] ZINC000358626017 380977445 /nfs/dbraw/zinc/97/74/45/380977445.db2.gz AJEXGFPROPDFNY-JTQLQIEISA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@](C)(F)C2)c1 ZINC000295555775 380938942 /nfs/dbraw/zinc/93/89/42/380938942.db2.gz KWRKUEQCDZAIFK-AWEZNQCLSA-N 0 0 280.299 2.867 20 5 CFBDRN C[C@@H](c1nc(-c2c([N+](=O)[O-])ncn2C)no1)c1ccccc1 ZINC000347111098 380945221 /nfs/dbraw/zinc/94/52/21/380945221.db2.gz KBVGEZQTQIBBDX-SECBINFHSA-N 0 0 299.290 2.530 20 5 CFBDRN CN(C)c1ccc(Nc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000341435927 381014070 /nfs/dbraw/zinc/01/40/70/381014070.db2.gz UPCKSOBDJIWLKS-UHFFFAOYSA-N 0 0 276.271 2.939 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]2c2cc[nH]n2)s1 ZINC000295692758 381020229 /nfs/dbraw/zinc/02/02/29/381020229.db2.gz OSJOXTMHVUHXIA-SECBINFHSA-N 0 0 279.325 2.506 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1CC1(F)F ZINC000295705374 381027521 /nfs/dbraw/zinc/02/75/21/381027521.db2.gz CKBDXSVHNKORSU-GFCCVEGCSA-N 0 0 298.289 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@@H]2CCCOC2)c1 ZINC000295634776 380987748 /nfs/dbraw/zinc/98/77/48/380987748.db2.gz XTJZURIWKIQYGS-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H](NC(=O)c1cccc(O)c1)c1cccc([N+](=O)[O-])c1 ZINC000182131803 380998483 /nfs/dbraw/zinc/99/84/83/380998483.db2.gz IGGZLJYHBAZALP-SNVBAGLBSA-N 0 0 286.287 2.791 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1cccs1 ZINC000288051628 380999001 /nfs/dbraw/zinc/99/90/01/380999001.db2.gz FGVAJHFHIWVYDW-UHFFFAOYSA-N 0 0 280.353 2.818 20 5 CFBDRN CN(Cc1ccncc1)c1c(F)cccc1[N+](=O)[O-] ZINC000341419092 381006252 /nfs/dbraw/zinc/00/62/52/381006252.db2.gz IJWGFXPSVIXKLR-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN COCCC[C@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000182292100 381053326 /nfs/dbraw/zinc/05/33/26/381053326.db2.gz NUQFIWTWYJCTMH-NSHDSACASA-N 0 0 280.324 2.620 20 5 CFBDRN COCCC[C@@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000182307534 381058016 /nfs/dbraw/zinc/05/80/16/381058016.db2.gz UAAYJOVATVSCSC-LLVKDONJSA-N 0 0 292.335 2.547 20 5 CFBDRN CC[C@@H](C)Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000182327820 381063119 /nfs/dbraw/zinc/06/31/19/381063119.db2.gz HAQKCWVRSURKHU-SNVBAGLBSA-N 0 0 260.297 2.899 20 5 CFBDRN CO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1(C)C ZINC000295777382 381069110 /nfs/dbraw/zinc/06/91/10/381069110.db2.gz QLSAZUMJJSBLGW-LLVKDONJSA-N 0 0 299.758 2.895 20 5 CFBDRN O=c1ccccn1CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000354085265 381068985 /nfs/dbraw/zinc/06/89/85/381068985.db2.gz HNNUIMCGVAEECB-UHFFFAOYSA-N 0 0 293.710 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cncc(F)c1 ZINC000341533064 381071056 /nfs/dbraw/zinc/07/10/56/381071056.db2.gz OTPCEUYLUGJONU-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H]1CCC[C@H](CO)N1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182353731 381071928 /nfs/dbraw/zinc/07/19/28/381071928.db2.gz REBZHYOPCWXOQR-ZWNOBZJWSA-N 0 0 298.770 2.984 20 5 CFBDRN CC1(CNC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000347257339 381033512 /nfs/dbraw/zinc/03/35/12/381033512.db2.gz IAKTYHAUSYEQIW-UHFFFAOYSA-N 0 0 267.260 2.656 20 5 CFBDRN COCCC[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000182238590 381034708 /nfs/dbraw/zinc/03/47/08/381034708.db2.gz IOSVOIRGZFZIKW-SNVBAGLBSA-N 0 0 266.297 2.596 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CC[C@H]2CCCO2)c1 ZINC000341477476 381036210 /nfs/dbraw/zinc/03/62/10/381036210.db2.gz FTHWOLOMOILNDP-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2)CCO1 ZINC000182239900 381036661 /nfs/dbraw/zinc/03/66/61/381036661.db2.gz OQDRPZLDAYSPJF-JQWIXIFHSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCc2ccccc21 ZINC000347282566 381045454 /nfs/dbraw/zinc/04/54/54/381045454.db2.gz BDCWMNQSYXBPAF-CYBMUJFWSA-N 0 0 283.331 2.862 20 5 CFBDRN O=[N+]([O-])c1nn(CC2CC(F)(F)C2)cc1Br ZINC000295796166 381080192 /nfs/dbraw/zinc/08/01/92/381080192.db2.gz SBTZARBQNJTYGE-UHFFFAOYSA-N 0 0 296.071 2.599 20 5 CFBDRN Cc1ncc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)s1 ZINC000182436055 381088539 /nfs/dbraw/zinc/08/85/39/381088539.db2.gz RKOFSEAFUARUQT-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCOCC2(CC2)C1 ZINC000341566809 381092136 /nfs/dbraw/zinc/09/21/36/381092136.db2.gz QCHGJVKQAILGBN-HYXAFXHYSA-N 0 0 288.347 2.720 20 5 CFBDRN CCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000358800171 381093274 /nfs/dbraw/zinc/09/32/74/381093274.db2.gz AHKZSJZRFMMGPD-UHFFFAOYSA-N 0 0 255.245 2.575 20 5 CFBDRN Cc1ccc(OC(=O)OCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000279349162 194395168 /nfs/dbraw/zinc/39/51/68/194395168.db2.gz VKSRMXVYLZFZDO-UHFFFAOYSA-N 0 0 279.170 2.981 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)OC ZINC000295826235 381099361 /nfs/dbraw/zinc/09/93/61/381099361.db2.gz UOEDUUDMBTUJLH-YGRLFVJLSA-N 0 0 294.351 2.694 20 5 CFBDRN C[C@@]1(F)CCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000347395301 381122738 /nfs/dbraw/zinc/12/27/38/381122738.db2.gz SSUPRAJDDSDDQJ-CYBMUJFWSA-N 0 0 279.271 2.670 20 5 CFBDRN Cc1cnc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000341589396 381106622 /nfs/dbraw/zinc/10/66/22/381106622.db2.gz LSXGVDHVMSOZAN-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1c(C(=O)N2CCc3ccncc32)cccc1[N+](=O)[O-] ZINC000354150314 381107785 /nfs/dbraw/zinc/10/77/85/381107785.db2.gz SJJHBXUWBYEDHM-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](c2ccco2)C1 ZINC000368679685 381110850 /nfs/dbraw/zinc/11/08/50/381110850.db2.gz FLMFBWYXBCIFHZ-LLVKDONJSA-N 0 0 273.292 2.885 20 5 CFBDRN CC/C=C\CCn1cc(Br)c([N+](=O)[O-])n1 ZINC000341603256 381117495 /nfs/dbraw/zinc/11/74/95/381117495.db2.gz CGGVSGOPVYQSOS-ARJAWSKDSA-N 0 0 274.118 2.910 20 5 CFBDRN CCc1ccc(C(=O)Nc2cncc(F)c2)cc1[N+](=O)[O-] ZINC000341540897 381076586 /nfs/dbraw/zinc/07/65/86/381076586.db2.gz VVVNNYNBKSGMEN-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1c2ccccc2C[C@H]1O ZINC000341540907 381076792 /nfs/dbraw/zinc/07/67/92/381076792.db2.gz CCMZCTYUFQMVQW-ZIAGYGMSSA-N 0 0 288.278 2.804 20 5 CFBDRN CCC[C@@H](OC)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000295889593 381138372 /nfs/dbraw/zinc/13/83/72/381138372.db2.gz BGSJSRDGOBQYCV-GFCCVEGCSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCCC3=CCCC3)c21 ZINC000347420166 381139891 /nfs/dbraw/zinc/13/98/91/381139891.db2.gz XLZKZIQBOUFPPH-UHFFFAOYSA-N 0 0 284.319 2.872 20 5 CFBDRN O=C(NCC1=CCCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000295895623 381142199 /nfs/dbraw/zinc/14/21/99/381142199.db2.gz XCQFGFZMJZMBKG-UHFFFAOYSA-N 0 0 278.283 2.964 20 5 CFBDRN CC[C@H](C)NC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000358912366 381149824 /nfs/dbraw/zinc/14/98/24/381149824.db2.gz XTYZBDYSLYOKPH-ZETCQYMHSA-N 0 0 288.250 2.725 20 5 CFBDRN Cc1cccc(C(=O)NCCc2ccccc2[N+](=O)[O-])c1 ZINC000358913364 381150475 /nfs/dbraw/zinc/15/04/75/381150475.db2.gz ZGGYNLBBDHHAFJ-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN CCCCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@@H](C)CC ZINC000347443559 381155057 /nfs/dbraw/zinc/15/50/57/381155057.db2.gz OMNZHLQFGCKJHQ-JTQLQIEISA-N 0 0 267.329 2.964 20 5 CFBDRN C[C@H]1[C@H](CO)CCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000412092479 381158195 /nfs/dbraw/zinc/15/81/95/381158195.db2.gz RDHPJRVIZCHICZ-JQWIXIFHSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1cc(=O)[nH]cc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000358940086 381166702 /nfs/dbraw/zinc/16/67/02/381166702.db2.gz VNHWWHPGWLKQPP-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])cn2)CCO[C@H]1C1CC1 ZINC000368779059 381132025 /nfs/dbraw/zinc/13/20/25/381132025.db2.gz IHXSCMOJDWRPHR-KBPBESRZSA-N 0 0 277.324 2.607 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000358939023 381166855 /nfs/dbraw/zinc/16/68/55/381166855.db2.gz SNHZLSQUELXYAM-QMMMGPOBSA-N 0 0 290.241 2.831 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H](CC)OC ZINC000289817724 381173373 /nfs/dbraw/zinc/17/33/73/381173373.db2.gz OLACRZZBVLQWNS-GFCCVEGCSA-N 0 0 295.339 2.704 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000358953470 381174564 /nfs/dbraw/zinc/17/45/64/381174564.db2.gz NFXUFAOTTZMAMK-ZETCQYMHSA-N 0 0 276.214 2.523 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](CC)COC ZINC000289823697 381175614 /nfs/dbraw/zinc/17/56/14/381175614.db2.gz OSQNYLXIQHCFNG-NSHDSACASA-N 0 0 295.339 2.704 20 5 CFBDRN Cc1sc(C(=O)N(C)Cc2ccc[nH]2)cc1[N+](=O)[O-] ZINC000354346137 381217190 /nfs/dbraw/zinc/21/71/90/381217190.db2.gz GHIJLWWHGVOPIR-UHFFFAOYSA-N 0 0 279.321 2.565 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)c1cccc(OC)c1 ZINC000347519219 381196602 /nfs/dbraw/zinc/19/66/02/381196602.db2.gz RGTNORKRQPPYNP-UHFFFAOYSA-N 0 0 289.291 2.598 20 5 CFBDRN O=C(N[C@@H]1C=CCCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412184028 381199705 /nfs/dbraw/zinc/19/97/05/381199705.db2.gz NKMKWRYRDNHDGI-VHDGCEQUSA-N 0 0 286.331 2.923 20 5 CFBDRN CCn1cc([C@H](C)Nc2ccc([N+](=O)[O-])c(C)n2)cn1 ZINC000295989038 381199924 /nfs/dbraw/zinc/19/99/24/381199924.db2.gz LUSRFQWEBIUHBT-VIFPVBQESA-N 0 0 275.312 2.688 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000412211805 381215248 /nfs/dbraw/zinc/21/52/48/381215248.db2.gz BTSSVFYCFXFOAT-VIFPVBQESA-N 0 0 286.278 2.545 20 5 CFBDRN Cn1ccc(-c2noc(-c3cccc([N+](=O)[O-])c3)n2)c1 ZINC000347548528 381216405 /nfs/dbraw/zinc/21/64/05/381216405.db2.gz YUZCONHGJSKKSB-UHFFFAOYSA-N 0 0 270.248 2.650 20 5 CFBDRN CC[C@@H](CNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)OC ZINC000289918018 381216767 /nfs/dbraw/zinc/21/67/67/381216767.db2.gz VBAAAAYZOIKOGD-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN Cc1cnc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000342311602 381270465 /nfs/dbraw/zinc/27/04/65/381270465.db2.gz ILSDRDLYVHHKCA-LBPRGKRZSA-N 0 0 286.335 2.852 20 5 CFBDRN Cc1cc(CN(C)c2nc(C)cc(C)c2[N+](=O)[O-])no1 ZINC000359083814 381256059 /nfs/dbraw/zinc/25/60/59/381256059.db2.gz PUCZILLPLYKYHZ-UHFFFAOYSA-N 0 0 276.296 2.539 20 5 CFBDRN Cc1csc(CNC(=O)c2cc(C)ccc2[N+](=O)[O-])n1 ZINC000359086400 381256656 /nfs/dbraw/zinc/25/66/56/381256656.db2.gz FKFWEJZTKBXFFI-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1ccnc(CN[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000342298671 381258652 /nfs/dbraw/zinc/25/86/52/381258652.db2.gz VLQQBBARVZQDAY-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN CCOCCCCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359087680 381259052 /nfs/dbraw/zinc/25/90/52/381259052.db2.gz XKSNYQYJLUJGEE-UHFFFAOYSA-N 0 0 267.329 2.835 20 5 CFBDRN CC[C@@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000359090395 381260826 /nfs/dbraw/zinc/26/08/26/381260826.db2.gz FKAJIABXANQBPE-NEPJUHHUSA-N 0 0 279.340 2.976 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Nc2ccc3c(c2)CC(=O)N3)n1 ZINC000359095910 381262288 /nfs/dbraw/zinc/26/22/88/381262288.db2.gz KSRJPXIZMLIEDT-UHFFFAOYSA-N 0 0 298.302 2.845 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCO[C@@H]3CCC[C@@H]32)cs1 ZINC000369467009 381266733 /nfs/dbraw/zinc/26/67/33/381266733.db2.gz KXPXAAMQAGASJI-NWDGAFQWSA-N 0 0 282.365 2.800 20 5 CFBDRN COCCN(CC(C)C)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359103565 381267970 /nfs/dbraw/zinc/26/79/70/381267970.db2.gz UCXANZQOMWRHFJ-UHFFFAOYSA-N 0 0 281.356 2.715 20 5 CFBDRN C[C@]1(F)CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000412234250 381225208 /nfs/dbraw/zinc/22/52/08/381225208.db2.gz YPNUNGUNPGYVBS-GUTXKFCHSA-N 0 0 292.310 2.659 20 5 CFBDRN O=c1ccn(C[C@@H]2CCCCC2(F)F)cc1[N+](=O)[O-] ZINC000296039566 381225581 /nfs/dbraw/zinc/22/55/81/381225581.db2.gz PRVIJKGXMCPNNK-VIFPVBQESA-N 0 0 272.251 2.582 20 5 CFBDRN C[C@@H](C(=O)NCC(C)(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000183207792 381236857 /nfs/dbraw/zinc/23/68/57/381236857.db2.gz DRHUWTUDRJNRDF-SECBINFHSA-N 0 0 282.315 3.000 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000342287319 381248874 /nfs/dbraw/zinc/24/88/74/381248874.db2.gz ZKAARJYAJHULGE-LBPRGKRZSA-N 0 0 289.335 2.865 20 5 CFBDRN COc1ccc(NC(=O)Nc2ccncc2[N+](=O)[O-])cc1 ZINC000183567236 381289422 /nfs/dbraw/zinc/28/94/22/381289422.db2.gz XIJYGLHHPKTQOP-UHFFFAOYSA-N 0 0 288.263 2.642 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412421021 381294567 /nfs/dbraw/zinc/29/45/67/381294567.db2.gz PUXHZYUGWQKUST-BYNSBNAKSA-N 0 0 288.347 2.859 20 5 CFBDRN CC(C)=CCC[C@@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000296194330 381296431 /nfs/dbraw/zinc/29/64/31/381296431.db2.gz DXNGXQPERKWFPX-SNVBAGLBSA-N 0 0 252.318 2.875 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000354493954 381302880 /nfs/dbraw/zinc/30/28/80/381302880.db2.gz JTPDXPPVIPDFEB-ZYHUDNBSSA-N 0 0 276.336 2.787 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]1C ZINC000359117706 381277237 /nfs/dbraw/zinc/27/72/37/381277237.db2.gz JYIZWCMLVFPOIE-GMTAPVOTSA-N 0 0 279.340 2.725 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCOCC(F)(F)C1 ZINC000290055172 381279456 /nfs/dbraw/zinc/27/94/56/381279456.db2.gz DXSRAVUSEXVBIZ-HWKANZROSA-N 0 0 298.289 2.576 20 5 CFBDRN COC1(CNC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000183520356 381281197 /nfs/dbraw/zinc/28/11/97/381281197.db2.gz ZMAZXIMLXXHKSZ-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN COc1cc(Cn2cc(Cl)cn2)c([N+](=O)[O-])cc1F ZINC000183858720 381330498 /nfs/dbraw/zinc/33/04/98/381330498.db2.gz WQBSYJNXMMDYHO-UHFFFAOYSA-N 0 0 285.662 2.641 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC[C@H]1CCCO1 ZINC000342456816 381342336 /nfs/dbraw/zinc/34/23/36/381342336.db2.gz VZGVINNFCQGZBA-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1C[C@@H]1C(F)F ZINC000342439617 381331549 /nfs/dbraw/zinc/33/15/49/381331549.db2.gz IDHIRKWOMPOHCT-IMTBSYHQSA-N 0 0 289.213 2.509 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CC1CCCCCC1 ZINC000183877817 381333795 /nfs/dbraw/zinc/33/37/95/381333795.db2.gz JDHBLYHBGUDAAC-UHFFFAOYSA-N 0 0 250.298 2.727 20 5 CFBDRN CSc1ccccc1NC(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC000354562943 381340045 /nfs/dbraw/zinc/34/00/45/381340045.db2.gz QHFOOPQASCYOGK-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN CC(C)(NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1cccs1 ZINC000342390102 381310895 /nfs/dbraw/zinc/31/08/95/381310895.db2.gz REQAVCIFAVRFRS-UHFFFAOYSA-N 0 0 279.321 2.650 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1cccc2c1CCCC2 ZINC000347727233 381317152 /nfs/dbraw/zinc/31/71/52/381317152.db2.gz SKEHMUKEBAKVFA-UHFFFAOYSA-N 0 0 286.335 2.819 20 5 CFBDRN CC(=O)c1cc(N[C@@H](C)CCCCO)ccc1[N+](=O)[O-] ZINC000296322636 381346778 /nfs/dbraw/zinc/34/67/78/381346778.db2.gz OLHXODZPURVUMV-JTQLQIEISA-N 0 0 280.324 2.760 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CS[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000412589640 381356961 /nfs/dbraw/zinc/35/69/61/381356961.db2.gz FOGFIPJUMCUATD-CABZTGNLSA-N 0 0 294.376 2.781 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H](C)CCCCO ZINC000296373373 381367997 /nfs/dbraw/zinc/36/79/97/381367997.db2.gz SUDOLPFGEWPYIU-JTQLQIEISA-N 0 0 280.324 2.760 20 5 CFBDRN CC(C)(CNC(=O)c1csc([N+](=O)[O-])c1)OCC1CC1 ZINC000412617058 381368942 /nfs/dbraw/zinc/36/89/42/381368942.db2.gz FCJSZGZVDKHQMA-UHFFFAOYSA-N 0 0 298.364 2.591 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCC[C@@H]1c1cccs1 ZINC000370055565 381376052 /nfs/dbraw/zinc/37/60/52/381376052.db2.gz KOLDLQIITWKQPO-SNVBAGLBSA-N 0 0 292.364 2.727 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2C[C@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000354704022 381411219 /nfs/dbraw/zinc/41/12/19/381411219.db2.gz KCHIDYZHKXBLJO-JKSUJKDBSA-N 0 0 288.347 2.738 20 5 CFBDRN C[C@H]1Cc2ccccc2CN1c1ncccc1[N+](=O)[O-] ZINC000184174792 381381012 /nfs/dbraw/zinc/38/10/12/381381012.db2.gz BSIWZRPIZPBWJF-NSHDSACASA-N 0 0 269.304 2.941 20 5 CFBDRN C[C@@H](CNC(=O)c1csc([N+](=O)[O-])c1)CC(F)(F)F ZINC000412658845 381387896 /nfs/dbraw/zinc/38/78/96/381387896.db2.gz PSVVURKBOKGNRN-ZCFIWIBFSA-N 0 0 296.270 2.975 20 5 CFBDRN COc1cc(CN2CCC[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000184233990 381390212 /nfs/dbraw/zinc/39/02/12/381390212.db2.gz PZBJTQXVZDYCIF-JTQLQIEISA-N 0 0 282.315 2.974 20 5 CFBDRN CCN(Cc1cc(OC)c(F)cc1[N+](=O)[O-])C1CC1 ZINC000184242445 381391787 /nfs/dbraw/zinc/39/17/87/381391787.db2.gz VCDZMQAHEPEZQV-UHFFFAOYSA-N 0 0 268.288 2.727 20 5 CFBDRN CCOC[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000354667817 381397009 /nfs/dbraw/zinc/39/70/09/381397009.db2.gz HLAIVLCIOMXTES-CYBMUJFWSA-N 0 0 298.314 2.525 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@@H](C2CC2)C1 ZINC000290367873 381401949 /nfs/dbraw/zinc/40/19/49/381401949.db2.gz APXWLMAKABLHIC-CQSZACIVSA-N 0 0 288.347 2.786 20 5 CFBDRN Cc1ncc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)s1 ZINC000347956133 381432581 /nfs/dbraw/zinc/43/25/81/381432581.db2.gz LIDGAUJJBFNXDV-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000347956208 381432911 /nfs/dbraw/zinc/43/29/11/381432911.db2.gz LPLGHFXHRDSHDL-NEPJUHHUSA-N 0 0 282.271 2.630 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000412767185 381433343 /nfs/dbraw/zinc/43/33/43/381433343.db2.gz QFUFETSJFCSMRN-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN CC[C@H]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000342589084 381418523 /nfs/dbraw/zinc/41/85/23/381418523.db2.gz YINHFYSZMGUIFI-QMMMGPOBSA-N 0 0 278.337 2.836 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@H]1CF)c1cccc([N+](=O)[O-])c1 ZINC000296490804 381418973 /nfs/dbraw/zinc/41/89/73/381418973.db2.gz XZICIPPLLTWKBR-MFKMUULPSA-N 0 0 295.314 2.799 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H]1CF)c1cccc([N+](=O)[O-])c1 ZINC000296490796 381419160 /nfs/dbraw/zinc/41/91/60/381419160.db2.gz XZICIPPLLTWKBR-GWCFXTLKSA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1ccc(C)c([C@@H]2CCCN2C(=O)[C@H]2C[C@@H]2[N+](=O)[O-])c1 ZINC000370594147 381473446 /nfs/dbraw/zinc/47/34/46/381473446.db2.gz OKFWFCOTYYOSGT-KKUMJFAQSA-N 0 0 288.347 2.632 20 5 CFBDRN Cc1ccc(C)c([C@@H]2CCCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000370594148 381473461 /nfs/dbraw/zinc/47/34/61/381473461.db2.gz OKFWFCOTYYOSGT-QLFBSQMISA-N 0 0 288.347 2.632 20 5 CFBDRN CCN(C(=O)NCc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000359532106 381452948 /nfs/dbraw/zinc/45/29/48/381452948.db2.gz MOIJFCPHCQZXIA-UHFFFAOYSA-N 0 0 279.340 2.843 20 5 CFBDRN COc1cc(N(C)CCCSC)ccc1[N+](=O)[O-] ZINC000290504941 381455721 /nfs/dbraw/zinc/45/57/21/381455721.db2.gz AFFOFLAJGGDTMP-UHFFFAOYSA-N 0 0 270.354 2.793 20 5 CFBDRN CS[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000296580242 381459888 /nfs/dbraw/zinc/45/98/88/381459888.db2.gz FJXXUCRHWZJGLE-LLVKDONJSA-N 0 0 295.364 2.872 20 5 CFBDRN CCC(CC)(OC)c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000290531676 381468166 /nfs/dbraw/zinc/46/81/66/381468166.db2.gz RFZSDLXYPXBTNI-UHFFFAOYSA-N 0 0 280.284 2.635 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCSCC(F)(F)F)cn1 ZINC000354882800 381504971 /nfs/dbraw/zinc/50/49/71/381504971.db2.gz SVPUFABERRIXFH-UHFFFAOYSA-N 0 0 281.259 2.697 20 5 CFBDRN Cc1nc(NC[C@@H]2CCC(F)(F)C2)ncc1[N+](=O)[O-] ZINC000296697742 381511071 /nfs/dbraw/zinc/51/10/71/381511071.db2.gz DSBMWFWFQBHBPQ-MRVPVSSYSA-N 0 0 272.255 2.541 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@@H]2[C@H]2CCCO2)s1 ZINC000370672805 381488602 /nfs/dbraw/zinc/48/86/02/381488602.db2.gz HTEOIQMNUQWQNV-KOLCDFICSA-N 0 0 295.364 2.589 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000354856768 381490580 /nfs/dbraw/zinc/49/05/80/381490580.db2.gz VZYXCDRNRNCFQM-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1nc(N[C@H]2CCC[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000296657925 381493404 /nfs/dbraw/zinc/49/34/04/381493404.db2.gz YAXUASRSNPNAAV-SCZZXKLOSA-N 0 0 250.302 2.684 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCC=Cc2ccccc21 ZINC000342719445 381497778 /nfs/dbraw/zinc/49/77/78/381497778.db2.gz JXTWCSOSMNVPJM-UHFFFAOYSA-N 0 0 284.319 2.752 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]2c2ccco2)ccc1[N+](=O)[O-] ZINC000412917334 381497846 /nfs/dbraw/zinc/49/78/46/381497846.db2.gz FOXCLVSFZFSHLZ-VXGBXAGGSA-N 0 0 286.287 2.782 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](F)C2)c(C(F)(F)F)c1 ZINC000413266697 381538797 /nfs/dbraw/zinc/53/87/97/381538797.db2.gz KFUPDYXJEMCNFM-SSDOTTSWSA-N 0 0 293.220 2.947 20 5 CFBDRN CC[C@H](C)n1nc(Nc2ccc([N+](=O)[O-])cn2)cc1C ZINC000342788219 381540300 /nfs/dbraw/zinc/54/03/00/381540300.db2.gz NSTXNTIYOICURQ-VIFPVBQESA-N 0 0 275.312 2.631 20 5 CFBDRN C[C@@H](SCc1cnn(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000290760053 381541046 /nfs/dbraw/zinc/54/10/46/381541046.db2.gz KACDYGZOCWXUQM-SECBINFHSA-N 0 0 278.337 2.718 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCO[C@H](C2CCC2)C1 ZINC000413469965 381543765 /nfs/dbraw/zinc/54/37/65/381543765.db2.gz CCMPNMMRJYFIDS-AWEZNQCLSA-N 0 0 280.299 2.739 20 5 CFBDRN COc1cccc(NC[C@@H]2C[C@H](C)O[C@@H]2C)c1[N+](=O)[O-] ZINC000413542667 381547010 /nfs/dbraw/zinc/54/70/10/381547010.db2.gz XDCIJICQNSCFKY-AXFHLTTASA-N 0 0 280.324 2.829 20 5 CFBDRN C[C@H]1COCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000371099291 381547392 /nfs/dbraw/zinc/54/73/92/381547392.db2.gz KHGQJZRWCOZLGI-SNVBAGLBSA-N 0 0 284.743 2.717 20 5 CFBDRN COc1ccc(NC(=O)C(C)(C)C(F)F)cc1[N+](=O)[O-] ZINC000348395068 381548205 /nfs/dbraw/zinc/54/82/05/381548205.db2.gz DEPRWHSVZVIURE-UHFFFAOYSA-N 0 0 288.250 2.833 20 5 CFBDRN CC[C@H](CNc1ccc([N+](=O)[O-])cc1C(C)=O)OC ZINC000290777862 381549074 /nfs/dbraw/zinc/54/90/74/381549074.db2.gz WDVHWOSCVMQKJV-LLVKDONJSA-N 0 0 266.297 2.634 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ncn(CCC3CC3)n2)c1 ZINC000412988311 381522333 /nfs/dbraw/zinc/52/23/33/381522333.db2.gz AAMHOMCSGZFWAF-UHFFFAOYSA-N 0 0 258.281 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(CCC3CC3)n2)cc1 ZINC000412993066 381526493 /nfs/dbraw/zinc/52/64/93/381526493.db2.gz NTJWCXUWKUBJOZ-UHFFFAOYSA-N 0 0 258.281 2.653 20 5 CFBDRN CC(C)c1nc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000296751699 381528445 /nfs/dbraw/zinc/52/84/45/381528445.db2.gz PTWADOIGPIVZRO-JTQLQIEISA-N 0 0 290.323 2.952 20 5 CFBDRN Cc1cnc(OCCCO[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000185085010 381531510 /nfs/dbraw/zinc/53/15/10/381531510.db2.gz YCOJEAYCOVKHFC-ZDUSSCGKSA-N 0 0 296.323 2.610 20 5 CFBDRN Cc1cc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2C)ccn1 ZINC000359646505 381532136 /nfs/dbraw/zinc/53/21/36/381532136.db2.gz QBDHCHSCXPGGBA-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1ncnn1C(C)C ZINC000290727682 381532873 /nfs/dbraw/zinc/53/28/73/381532873.db2.gz ZWTWUZLKEOSYHD-UHFFFAOYSA-N 0 0 289.339 2.996 20 5 CFBDRN Cc1cc(N(C)C(=O)Cc2cccc([N+](=O)[O-])c2)ccn1 ZINC000359652924 381534751 /nfs/dbraw/zinc/53/47/51/381534751.db2.gz OCAUCOQZESZZKG-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2ccccn2)n1 ZINC000359655261 381535948 /nfs/dbraw/zinc/53/59/48/381535948.db2.gz ZSWJXMOJXRRLJS-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN O=C(Nc1nccc2sccc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000359720132 381578536 /nfs/dbraw/zinc/57/85/36/381578536.db2.gz RWVRPUJQLWWBFK-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H](C)CC(C)C ZINC000185257644 381578714 /nfs/dbraw/zinc/57/87/14/381578714.db2.gz ZGLMTTURIJZWNM-LLVKDONJSA-N 0 0 294.351 2.902 20 5 CFBDRN CC(=O)c1cc(N[C@@H](CO)C2CCCC2)ccc1[N+](=O)[O-] ZINC000290800283 381554826 /nfs/dbraw/zinc/55/48/26/381554826.db2.gz OZXQCKWPPCCFOS-AWEZNQCLSA-N 0 0 292.335 2.760 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H](C)[N@@H+](C3CC3)C2)c1 ZINC000290813070 381560909 /nfs/dbraw/zinc/56/09/09/381560909.db2.gz RNLGGDCXUBVYQS-MNOVXSKESA-N 0 0 291.351 2.641 20 5 CFBDRN C[C@@H](CCNC(=O)c1cc([N+](=O)[O-])n[nH]1)CC(C)(C)C ZINC000355018845 381568763 /nfs/dbraw/zinc/56/87/63/381568763.db2.gz YWWLFIQYJLTKHD-VIFPVBQESA-N 0 0 282.344 2.510 20 5 CFBDRN Cc1cnc(NCc2ccc(Cl)cn2)c([N+](=O)[O-])c1 ZINC000342823204 381569349 /nfs/dbraw/zinc/56/93/49/381569349.db2.gz HEWTWQRBEQRMLE-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1cc2c(NCCc3cccc([N+](=O)[O-])c3)nccn2n1 ZINC000359760291 381599984 /nfs/dbraw/zinc/59/99/84/381599984.db2.gz VJFHEYMFZKSKTA-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN Cc1cc2c([nH]c(CCC(C)(C)[N+](=O)[O-])nc2=O)s1 ZINC000290929590 381601708 /nfs/dbraw/zinc/60/17/08/381601708.db2.gz IVAGEIAYUMPRQG-UHFFFAOYSA-N 0 0 281.337 2.693 20 5 CFBDRN COc1cccc(NC[C@@H](O)C2CCCCC2)c1[N+](=O)[O-] ZINC000185344231 381605921 /nfs/dbraw/zinc/60/59/21/381605921.db2.gz MIMMTEZBPAUJPA-CYBMUJFWSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1c(NC(=O)CCOC(C)C)cccc1[N+](=O)[O-] ZINC000298360578 381591902 /nfs/dbraw/zinc/59/19/02/381591902.db2.gz SCZWSRXGNNFVCI-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000298368296 381596052 /nfs/dbraw/zinc/59/60/52/381596052.db2.gz CPZWWZSBJQSRON-JTQLQIEISA-N 0 0 250.298 2.711 20 5 CFBDRN Cc1nnc(N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)nc1C ZINC000359755628 381597261 /nfs/dbraw/zinc/59/72/61/381597261.db2.gz DCXJIRRNILOOLR-UHFFFAOYSA-N 0 0 299.334 2.566 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CC1 ZINC000342912286 381610285 /nfs/dbraw/zinc/61/02/85/381610285.db2.gz BRQWGIMUTVMPBQ-MRVPVSSYSA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1ccc(C(=O)N2CC3CCC2CC3)cc1[N+](=O)[O-] ZINC000371390488 381612628 /nfs/dbraw/zinc/61/26/28/381612628.db2.gz WQELSCDITOHVOV-UHFFFAOYSA-N 0 0 274.320 2.918 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342918846 381615135 /nfs/dbraw/zinc/61/51/35/381615135.db2.gz FHMXHQAJQAHLGR-VIFPVBQESA-N 0 0 287.319 2.852 20 5 CFBDRN COC(=O)[C@H](C)Sc1cc(Cl)ccc1[N+](=O)[O-] ZINC000279522236 194465339 /nfs/dbraw/zinc/46/53/39/194465339.db2.gz SUHCSHDKLKPCPO-LURJTMIESA-N 0 0 275.713 2.902 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000185380127 381617922 /nfs/dbraw/zinc/61/79/22/381617922.db2.gz KRSJUNLDINFASC-GXFFZTMASA-N 0 0 262.309 2.855 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342928222 381620464 /nfs/dbraw/zinc/62/04/64/381620464.db2.gz BEHGHIGZYOEBJI-UHFFFAOYSA-N 0 0 298.302 2.676 20 5 CFBDRN CN(Cc1nccn1C(F)F)Cc1ccc([N+](=O)[O-])cc1 ZINC000298491479 381649438 /nfs/dbraw/zinc/64/94/38/381649438.db2.gz MIGCFYAVABDFRM-UHFFFAOYSA-N 0 0 296.277 2.818 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c([O-])c1)N1CCC[C@H](C2CC2)C1 ZINC000291037021 381642054 /nfs/dbraw/zinc/64/20/54/381642054.db2.gz YVSCMAKPABSRSW-LBPRGKRZSA-N 0 0 290.319 2.563 20 5 CFBDRN Cc1cc(NC[C@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000291040534 381643990 /nfs/dbraw/zinc/64/39/90/381643990.db2.gz YFKYMUXQTNLZNE-SNVBAGLBSA-N 0 0 268.288 2.881 20 5 CFBDRN Cc1cc(NC[C@H](C)Cn2cccn2)c(F)cc1[N+](=O)[O-] ZINC000359878739 381654922 /nfs/dbraw/zinc/65/49/22/381654922.db2.gz NVQQJPHAUVXQPF-JTQLQIEISA-N 0 0 292.314 2.987 20 5 CFBDRN CC[C@H]1C[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000343045790 381658603 /nfs/dbraw/zinc/65/86/03/381658603.db2.gz OIMGWRVDBLMNKC-POYBYMJQSA-N 0 0 264.310 2.810 20 5 CFBDRN Cc1c(OCCOCC2CC2)cccc1[N+](=O)[O-] ZINC000185530272 381658591 /nfs/dbraw/zinc/65/85/91/381658591.db2.gz QHKZVJXNZNOCPJ-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000185536294 381660314 /nfs/dbraw/zinc/66/03/14/381660314.db2.gz FWRZSFABRYIWAU-CMPLNLGQSA-N 0 0 264.325 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ccc(F)cc2)cn1 ZINC000355183057 381661419 /nfs/dbraw/zinc/66/14/19/381661419.db2.gz MIDWIVKXZQDNKZ-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN Cc1cc(NCc2ncccc2N(C)C)ccc1[N+](=O)[O-] ZINC000291093990 381664752 /nfs/dbraw/zinc/66/47/52/381664752.db2.gz JQIBLRGMAGUBDH-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@H]2C2CC2)cc([N+](=O)[O-])c1 ZINC000371531931 381652652 /nfs/dbraw/zinc/65/26/52/381652652.db2.gz LWFARZVCYGBIJE-CQSZACIVSA-N 0 0 274.320 2.918 20 5 CFBDRN COC1CC([N@H+](C)Cc2ccc([N+](=O)[O-])cc2C)C1 ZINC000348706373 381675436 /nfs/dbraw/zinc/67/54/36/381675436.db2.gz HLNLCFZVSIRTMY-UHFFFAOYSA-N 0 0 264.325 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@@H](CO)C2)cc1 ZINC000298609421 381687580 /nfs/dbraw/zinc/68/75/80/381687580.db2.gz NZRHDWHORWSRAM-ZYHUDNBSSA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@H](CO)C2)cc1 ZINC000298609383 381688046 /nfs/dbraw/zinc/68/80/46/381688046.db2.gz NZRHDWHORWSRAM-CMPLNLGQSA-N 0 0 250.298 2.558 20 5 CFBDRN CC1(C)CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCCO1 ZINC000371741301 381689994 /nfs/dbraw/zinc/68/99/94/381689994.db2.gz XYZARFQSVNAUAQ-UHFFFAOYSA-N 0 0 293.323 2.628 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC12CCC(CC1)C2 ZINC000371746092 381691615 /nfs/dbraw/zinc/69/16/15/381691615.db2.gz GXFQFDRHRDSOLJ-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1C1CC1 ZINC000371750059 381692652 /nfs/dbraw/zinc/69/26/52/381692652.db2.gz YJHGEJHMVRVAGM-CQSZACIVSA-N 0 0 274.320 2.538 20 5 CFBDRN CC1(CNC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)CC1 ZINC000355230466 381693736 /nfs/dbraw/zinc/69/37/36/381693736.db2.gz PAVFYXXLNYAQMZ-UHFFFAOYSA-N 0 0 273.292 2.606 20 5 CFBDRN O=[N+]([O-])c1cccc(C[N@@H+]2CCC[C@@H]([C@H]3CCOC3)C2)c1 ZINC000355235547 381695226 /nfs/dbraw/zinc/69/52/26/381695226.db2.gz JXGNZLFNTSEYBI-CABCVRRESA-N 0 0 290.363 2.843 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC1 ZINC000343415742 381695200 /nfs/dbraw/zinc/69/52/00/381695200.db2.gz CDZBSMZJEBVLFL-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN O=C(NC1CCCCC1)c1csc([N+](=O)[O-])c1 ZINC000298652345 381699500 /nfs/dbraw/zinc/69/95/00/381699500.db2.gz YQDNNPMDCLQHKI-UHFFFAOYSA-N 0 0 254.311 2.719 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000355243837 381699733 /nfs/dbraw/zinc/69/97/33/381699733.db2.gz YPESRCAUPOQENR-WPRPVWTQSA-N 0 0 282.727 2.911 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000185679018 381704442 /nfs/dbraw/zinc/70/44/42/381704442.db2.gz ZXTJSRBUOXREPX-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1ccc(C[N@H+](C)CC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000343458127 381727266 /nfs/dbraw/zinc/72/72/66/381727266.db2.gz BQDXYHKXBOSBRX-AWEZNQCLSA-N 0 0 278.352 2.904 20 5 CFBDRN Cc1c(C(=O)Nc2ccn3ccnc3c2)cccc1[N+](=O)[O-] ZINC000355287919 381727874 /nfs/dbraw/zinc/72/78/74/381727874.db2.gz IMRRGUGGEGICGH-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C1(CCF)CC1 ZINC000291272981 381731216 /nfs/dbraw/zinc/73/12/16/381731216.db2.gz YBEAVNISXXYOEL-UHFFFAOYSA-N 0 0 288.225 2.951 20 5 CFBDRN CC(C)=CCCNS(=O)(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000360021540 381732494 /nfs/dbraw/zinc/73/24/94/381732494.db2.gz FKQPNYDFSUWONS-UHFFFAOYSA-N 0 0 298.364 2.538 20 5 CFBDRN Cc1nn(C)c(N2C[C@H]3CCCCCC[C@@H]32)c1[N+](=O)[O-] ZINC000372004961 381734184 /nfs/dbraw/zinc/73/41/84/381734184.db2.gz XFFTUYFCAVYWFQ-NEPJUHHUSA-N 0 0 278.356 2.796 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000415053947 381739982 /nfs/dbraw/zinc/73/99/82/381739982.db2.gz PGFBUNFEVCANKT-LBPRGKRZSA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1ccccc1OCCCNc1ccncc1[N+](=O)[O-] ZINC000355314932 381746252 /nfs/dbraw/zinc/74/62/52/381746252.db2.gz OLRAGZVRQZWIGI-UHFFFAOYSA-N 0 0 287.319 2.601 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C3CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000360051281 381752303 /nfs/dbraw/zinc/75/23/03/381752303.db2.gz NGMJXBOBEPCIIE-CQSZACIVSA-N 0 0 280.299 2.658 20 5 CFBDRN Cc1c(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)oc2ccccc12 ZINC000360053328 381754038 /nfs/dbraw/zinc/75/40/38/381754038.db2.gz LRHDFRQXDYVDJM-UHFFFAOYSA-N 0 0 299.286 2.908 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccn2ccnc2c1 ZINC000355326604 381755592 /nfs/dbraw/zinc/75/55/92/381755592.db2.gz ZJHQIAYQPMWHHD-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)c2ncccc2C)c1 ZINC000348816036 381722373 /nfs/dbraw/zinc/72/23/73/381722373.db2.gz RSQHGMVWVCLCGO-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN O=C(NC1CC(C(F)(F)F)C1)c1ccccc1[N+](=O)[O-] ZINC000360512359 381782773 /nfs/dbraw/zinc/78/27/73/381782773.db2.gz RDVMENKULXPDEE-UHFFFAOYSA-N 0 0 288.225 2.666 20 5 CFBDRN COc1cc(NC[C@@H]2CCOC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000343551808 381791929 /nfs/dbraw/zinc/79/19/29/381791929.db2.gz UWZHMCJPNBJFTG-ZJUUUORDSA-N 0 0 298.314 2.827 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCO[C@@H]3CCCC[C@H]32)cs1 ZINC000298842680 381762794 /nfs/dbraw/zinc/76/27/94/381762794.db2.gz BMEJWEAHYNHPNH-VXGBXAGGSA-N 0 0 282.365 2.800 20 5 CFBDRN COc1ccc(CNC(=O)[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000298854336 381766853 /nfs/dbraw/zinc/76/68/53/381766853.db2.gz NEODTRXWLCQVSA-LBPRGKRZSA-N 0 0 290.319 2.576 20 5 CFBDRN CN(CC1CC1)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186003377 381799269 /nfs/dbraw/zinc/79/92/69/381799269.db2.gz NTEXEKVBLKETQL-UHFFFAOYSA-N 0 0 297.742 2.800 20 5 CFBDRN CCCc1nnc(NCc2ccc([N+](=O)[O-])cc2C)o1 ZINC000185895109 381770780 /nfs/dbraw/zinc/77/07/80/381770780.db2.gz WVYJZQZEQTYPHZ-UHFFFAOYSA-N 0 0 276.296 2.851 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000298870801 381772250 /nfs/dbraw/zinc/77/22/50/381772250.db2.gz ULEABNDOTBWPHP-UHFFFAOYSA-N 0 0 254.261 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H]1C[C@H]1C(C)C ZINC000185911449 381776691 /nfs/dbraw/zinc/77/66/91/381776691.db2.gz CSMXOTRPYWFEIE-QWHCGFSZSA-N 0 0 292.335 2.512 20 5 CFBDRN O=C(CSC1CC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000298893232 381776814 /nfs/dbraw/zinc/77/68/14/381776814.db2.gz ANYNYVITGWPIPY-UHFFFAOYSA-N 0 0 270.285 2.568 20 5 CFBDRN CCCCCNC(=O)CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000298906784 381778319 /nfs/dbraw/zinc/77/83/19/381778319.db2.gz MVOTXTPMQFRRDZ-LBPRGKRZSA-N 0 0 293.367 2.552 20 5 CFBDRN COCC[C@H](Cc1ccco1)Nc1ccc([N+](=O)[O-])cn1 ZINC000343591478 381821438 /nfs/dbraw/zinc/82/14/38/381821438.db2.gz JPCLDKUYDNULFE-LLVKDONJSA-N 0 0 291.307 2.643 20 5 CFBDRN COc1ccc(NC(=O)[C@H](CC(C)C)OC)cc1[N+](=O)[O-] ZINC000415428393 381844408 /nfs/dbraw/zinc/84/44/08/381844408.db2.gz HEISDWPEEMMJNX-ZDUSSCGKSA-N 0 0 296.323 2.603 20 5 CFBDRN O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCC2(CC2)CC1 ZINC000372510275 381828137 /nfs/dbraw/zinc/82/81/37/381828137.db2.gz AZWAGLCTJRDXFP-UHFFFAOYSA-N 0 0 296.273 2.889 20 5 CFBDRN C[C@]12CCN(C(=O)c3cccc([N+](=O)[O-])c3)C[C@H]1C2(F)F ZINC000292773240 381829051 /nfs/dbraw/zinc/82/90/51/381829051.db2.gz IYLCAKGIENMRFC-YPMHNXCESA-N 0 0 296.273 2.712 20 5 CFBDRN CCOC(=O)C[C@@H](C)N(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000343611778 381839934 /nfs/dbraw/zinc/83/99/34/381839934.db2.gz YJCMZOXMYPYMCB-LLVKDONJSA-N 0 0 280.324 2.681 20 5 CFBDRN CCCN(C)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186013254 381802517 /nfs/dbraw/zinc/80/25/17/381802517.db2.gz HBRCQDNPNOTFAY-UHFFFAOYSA-N 0 0 285.731 2.800 20 5 CFBDRN C[C@H](c1cccs1)N(C)Cc1c([N+](=O)[O-])ncn1C ZINC000355791596 381805920 /nfs/dbraw/zinc/80/59/20/381805920.db2.gz JBXWGLUISJMDKZ-SECBINFHSA-N 0 0 280.353 2.583 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000186055420 381813391 /nfs/dbraw/zinc/81/33/91/381813391.db2.gz GUCRMIURNCYCFX-UKRRQHHQSA-N 0 0 276.336 2.893 20 5 CFBDRN Cc1noc([C@@H]2CCCN(c3ccc([N+](=O)[O-])cc3)C2)n1 ZINC000299080102 381817865 /nfs/dbraw/zinc/81/78/65/381817865.db2.gz RYIMOCKONKWBKS-LLVKDONJSA-N 0 0 288.307 2.670 20 5 CFBDRN C[C@@H](c1noc(CCn2cc([N+](=O)[O-])cn2)n1)C(C)(C)C ZINC000356882992 381883357 /nfs/dbraw/zinc/88/33/57/381883357.db2.gz RCPRPJKGCGJQGJ-VIFPVBQESA-N 0 0 293.327 2.567 20 5 CFBDRN CCOC1(C)CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000292883745 381883501 /nfs/dbraw/zinc/88/35/01/381883501.db2.gz PWUZKVORQHWBRG-UHFFFAOYSA-N 0 0 292.335 2.626 20 5 CFBDRN CCOc1cccc(NC[C@H](OC)C2CC2)c1[N+](=O)[O-] ZINC000292883199 381884073 /nfs/dbraw/zinc/88/40/73/381884073.db2.gz VAIOHNFSHRKRJT-ZDUSSCGKSA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@H]1C[C@@H](CCNc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000343621876 381848763 /nfs/dbraw/zinc/84/87/63/381848763.db2.gz KSNQRNUXAVYMDT-QWRGUYRKSA-N 0 0 265.313 2.607 20 5 CFBDRN CCCCN(C(=O)c1c([N+](=O)[O-])c(C)nn1C)[C@@H](C)CC ZINC000299171674 381851385 /nfs/dbraw/zinc/85/13/85/381851385.db2.gz VDHPCBCJANOWSJ-JTQLQIEISA-N 0 0 296.371 2.678 20 5 CFBDRN Cc1nn(C)c(NC[C@@H](C)c2ccc(F)cc2)c1[N+](=O)[O-] ZINC000299174303 381851439 /nfs/dbraw/zinc/85/14/39/381851439.db2.gz SDVHWDRREBFPSA-SECBINFHSA-N 0 0 292.314 2.991 20 5 CFBDRN CCc1nocc1CN(C)c1cc(OC)ccc1[N+](=O)[O-] ZINC000292835463 381858419 /nfs/dbraw/zinc/85/84/19/381858419.db2.gz QBTZGJREABJARX-UHFFFAOYSA-N 0 0 291.307 2.790 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000361769947 381860543 /nfs/dbraw/zinc/86/05/43/381860543.db2.gz KUVSBOKIZOXARQ-ZYHUDNBSSA-N 0 0 274.320 2.920 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CC[N@@H+](C2CC2)C1 ZINC000349246418 381864018 /nfs/dbraw/zinc/86/40/18/381864018.db2.gz XEYTWSUZNJRCCQ-GFCCVEGCSA-N 0 0 295.770 2.921 20 5 CFBDRN CCCCO[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000299241888 381868925 /nfs/dbraw/zinc/86/89/25/381868925.db2.gz LNPSWTMPYBODJH-SECBINFHSA-N 0 0 284.287 2.878 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC[C@@H]1CC12CC2 ZINC000416722223 381903855 /nfs/dbraw/zinc/90/38/55/381903855.db2.gz GZRKZAZJNCKZQD-LBPRGKRZSA-N 0 0 289.335 2.536 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CC12CC2 ZINC000416726762 381907071 /nfs/dbraw/zinc/90/70/71/381907071.db2.gz KIZASRFFLLRLKU-SECBINFHSA-N 0 0 291.307 2.525 20 5 CFBDRN COCCCCN(C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000416742914 381914686 /nfs/dbraw/zinc/91/46/86/381914686.db2.gz ZHZAHQHKLVNBGN-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000186450521 381916059 /nfs/dbraw/zinc/91/60/59/381916059.db2.gz LKQYDPDWCSWADD-GFCCVEGCSA-N 0 0 291.351 2.655 20 5 CFBDRN C[C@H](C1CC1)n1cc(NC(=O)c2ccc([N+](=O)[O-])o2)cn1 ZINC000186465103 381919398 /nfs/dbraw/zinc/91/93/98/381919398.db2.gz APDTXPDTDQWWEB-MRVPVSSYSA-N 0 0 290.279 2.608 20 5 CFBDRN Cc1cc(N2CCC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000299389004 381922317 /nfs/dbraw/zinc/92/23/17/381922317.db2.gz DPRDXPSXSURENG-UHFFFAOYSA-N 0 0 270.292 2.570 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000186486888 381925104 /nfs/dbraw/zinc/92/51/04/381925104.db2.gz DLYBGNHSGGGPSG-IUODEOHRSA-N 0 0 276.336 2.893 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C[C@@H]1CCC(C)(C)O1 ZINC000186494894 381926930 /nfs/dbraw/zinc/92/69/30/381926930.db2.gz XBGGCCZEUVZWFZ-ZDUSSCGKSA-N 0 0 278.352 2.984 20 5 CFBDRN CCN(C(=O)COc1ccccc1[N+](=O)[O-])[C@@H](C)C(C)C ZINC000299300595 381887969 /nfs/dbraw/zinc/88/79/69/381887969.db2.gz QQZGQKWJENMFRX-LBPRGKRZSA-N 0 0 294.351 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3C[C@@H]3C(F)F)ccc2c1 ZINC000343760372 381929202 /nfs/dbraw/zinc/92/92/02/381929202.db2.gz IHSPVEOTJGTFRU-ONGXEEELSA-N 0 0 279.246 2.630 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000299303021 381889101 /nfs/dbraw/zinc/88/91/01/381889101.db2.gz UEUNUHVWFLLANE-CQSZACIVSA-N 0 0 292.335 2.621 20 5 CFBDRN CCc1noc(C)c1CNc1c([N+](=O)[O-])nc(C)n1CC ZINC000292896654 381891802 /nfs/dbraw/zinc/89/18/02/381891802.db2.gz WKUAHAOOYJUTGC-UHFFFAOYSA-N 0 0 293.327 2.591 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1C[C@H]1C(F)F ZINC000343807119 381955117 /nfs/dbraw/zinc/95/51/17/381955117.db2.gz KHPLKGMEADYXJE-PHDIDXHHSA-N 0 0 263.631 2.709 20 5 CFBDRN Cc1noc(C)c1C(=O)COc1ccc([N+](=O)[O-])cc1F ZINC000186590077 381955845 /nfs/dbraw/zinc/95/58/45/381955845.db2.gz RIFLVFGLYVPCRS-UHFFFAOYSA-N 0 0 294.238 2.600 20 5 CFBDRN C[C@@H](CC(F)(F)F)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000362193921 381955844 /nfs/dbraw/zinc/95/58/44/381955844.db2.gz YCJDDABSPKSHPH-ZETCQYMHSA-N 0 0 276.214 2.666 20 5 CFBDRN CCCN(CC)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000299494267 381959908 /nfs/dbraw/zinc/95/99/08/381959908.db2.gz AUVXKTYUNCYAHY-UHFFFAOYSA-N 0 0 275.308 2.948 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2C[C@@H]2C(F)F)c([N+](=O)[O-])c1 ZINC000343816887 381960399 /nfs/dbraw/zinc/96/03/99/381960399.db2.gz UHAKXUYTCGJXES-WPRPVWTQSA-N 0 0 270.235 2.863 20 5 CFBDRN O=C(Nc1ccc(CO)c(Cl)c1)c1ccc([N+](=O)[O-])o1 ZINC000362209627 381961214 /nfs/dbraw/zinc/96/12/14/381961214.db2.gz DBNXHPDXJICTOT-UHFFFAOYSA-N 0 0 296.666 2.586 20 5 CFBDRN Cc1ccc(NC(=O)N(C)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000186511450 381932427 /nfs/dbraw/zinc/93/24/27/381932427.db2.gz OWDOZJSWRXVUFW-UHFFFAOYSA-N 0 0 291.229 2.929 20 5 CFBDRN CCCN(C)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000299426665 381937528 /nfs/dbraw/zinc/93/75/28/381937528.db2.gz KBDSPRDTSAUEFL-UHFFFAOYSA-N 0 0 262.265 2.823 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000343783473 381941196 /nfs/dbraw/zinc/94/11/96/381941196.db2.gz CXSNRLSCAZOETK-SNVBAGLBSA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1cc(NC(=O)Cc2cccnc2C)ccc1[N+](=O)[O-] ZINC000343784008 381941393 /nfs/dbraw/zinc/94/13/93/381941393.db2.gz DBVBVZZKSWKZMW-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/C[NH2+][C@@H]1CCO[C@H]1C1CC1 ZINC000373176072 381942099 /nfs/dbraw/zinc/94/20/99/381942099.db2.gz JTBQVDRWKDWYRG-GCILFIKNSA-N 0 0 288.347 2.765 20 5 CFBDRN COc1cc(N[C@H]2C[C@H]2C(F)F)c(F)cc1[N+](=O)[O-] ZINC000343805654 381952724 /nfs/dbraw/zinc/95/27/24/381952724.db2.gz HTUYEFODNWYJCG-VDTYLAMSSA-N 0 0 276.214 2.808 20 5 CFBDRN CCOC(=O)CCCOc1cc(C)c([N+](=O)[O-])cc1F ZINC000186732902 381998531 /nfs/dbraw/zinc/99/85/31/381998531.db2.gz MHYPTALTVRXYLY-UHFFFAOYSA-N 0 0 285.271 2.764 20 5 CFBDRN Cn1cnc2cc(Nc3ncccc3[N+](=O)[O-])ccc21 ZINC000343883240 382002966 /nfs/dbraw/zinc/00/29/66/382002966.db2.gz OXNFQNNEBGGTAD-UHFFFAOYSA-N 0 0 269.264 2.620 20 5 CFBDRN CCc1cccc(NC(=O)c2c[nH]c(=O)c([N+](=O)[O-])c2)c1 ZINC000186751900 382004532 /nfs/dbraw/zinc/00/45/32/382004532.db2.gz BTMBWDQRPCOHRD-UHFFFAOYSA-N 0 0 287.275 2.510 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(-c2nc([C@@H]3CCCO3)no2)c1 ZINC000357099006 382007769 /nfs/dbraw/zinc/00/77/69/382007769.db2.gz CDKXENFATXEKAP-JTQLQIEISA-N 0 0 279.227 2.635 20 5 CFBDRN CCC(O)(CC)CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000299635287 382012021 /nfs/dbraw/zinc/01/20/21/382012021.db2.gz JVJIUNPFUCWUHW-UHFFFAOYSA-N 0 0 295.339 2.576 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000186647321 381974059 /nfs/dbraw/zinc/97/40/59/381974059.db2.gz VEXOCIGLWGOKNW-CHWSQXEVSA-N 0 0 262.309 2.850 20 5 CFBDRN CCCCCC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 ZINC000373308022 381976078 /nfs/dbraw/zinc/97/60/78/381976078.db2.gz MKYGOJVHOKRRPA-UHFFFAOYSA-N 0 0 294.355 2.535 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000343854462 381983367 /nfs/dbraw/zinc/98/33/67/381983367.db2.gz KVWPLOQWEJCUPR-JGVFFNPUSA-N 0 0 258.224 2.669 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSc1ncc(C)cn1 ZINC000362340775 382015088 /nfs/dbraw/zinc/01/50/88/382015088.db2.gz UAYCVXCBIBZSPT-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN CCc1ccc(-c2nc(-c3ncc[nH]3)no2)cc1[N+](=O)[O-] ZINC000349756425 381987308 /nfs/dbraw/zinc/98/73/08/381987308.db2.gz JJKMAXBCLDNBNO-UHFFFAOYSA-N 0 0 285.263 2.597 20 5 CFBDRN COc1ccc(CN2CCC[C@@H]2CF)cc1[N+](=O)[O-] ZINC000293102696 381991484 /nfs/dbraw/zinc/99/14/84/381991484.db2.gz QJWRPWFUQJOYEG-LLVKDONJSA-N 0 0 268.288 2.537 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CC[C@H](C2CC2)C1 ZINC000343870391 381994403 /nfs/dbraw/zinc/99/44/03/381994403.db2.gz STSWWLNZPSJTQS-LBPRGKRZSA-N 0 0 292.310 2.535 20 5 CFBDRN CC(C)C1(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)CC1 ZINC000357187745 382046253 /nfs/dbraw/zinc/04/62/53/382046253.db2.gz MHNBPNLWOLQYJU-UHFFFAOYSA-N 0 0 287.319 2.995 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOc3c(F)cccc3C2)s1 ZINC000374487304 382046641 /nfs/dbraw/zinc/04/66/41/382046641.db2.gz HEOSOZVKLZATKB-UHFFFAOYSA-N 0 0 295.295 2.589 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000362419707 382060395 /nfs/dbraw/zinc/06/03/95/382060395.db2.gz OSMJDPYDIADIMB-GDNZZTSVSA-N 0 0 261.281 2.515 20 5 CFBDRN CCc1nocc1CN(C)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000293278961 382060631 /nfs/dbraw/zinc/06/06/31/382060631.db2.gz CLPIQBNDCHRRRQ-UHFFFAOYSA-N 0 0 293.298 2.916 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@H]1C ZINC000362345935 382017735 /nfs/dbraw/zinc/01/77/35/382017735.db2.gz RABCBTJCFVIXGL-JOYOIKCWSA-N 0 0 278.308 2.521 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1(SC)CC1 ZINC000362345291 382018024 /nfs/dbraw/zinc/01/80/24/382018024.db2.gz MALHFCRSWAHWEV-UHFFFAOYSA-N 0 0 280.349 2.991 20 5 CFBDRN CC(C)N(C(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000299663269 382018857 /nfs/dbraw/zinc/01/88/57/382018857.db2.gz GJDISDZRWYGODI-UHFFFAOYSA-N 0 0 266.272 2.747 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1C[C@@H]1C1CC1 ZINC000362365685 382026961 /nfs/dbraw/zinc/02/69/61/382026961.db2.gz PODFFLDCPHTAES-BDAKNGLRSA-N 0 0 253.689 2.854 20 5 CFBDRN CC1=C[C@H](C)CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000362366769 382027215 /nfs/dbraw/zinc/02/72/15/382027215.db2.gz XFPHOCCZLWQWPQ-VIFPVBQESA-N 0 0 272.308 2.874 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc([N+](=O)[O-])c3cccnc23)[nH]1 ZINC000343938448 382028726 /nfs/dbraw/zinc/02/87/26/382028726.db2.gz IBMJEHUYTYODBJ-QMMMGPOBSA-N 0 0 298.306 2.743 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@@H]2C)c1 ZINC000343944179 382031879 /nfs/dbraw/zinc/03/18/79/382031879.db2.gz CKXPUAKNMSBQMD-CABZTGNLSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cc(NC[C@H]2C[C@H]2C)c([N+](=O)[O-])cc1F ZINC000357312479 382102224 /nfs/dbraw/zinc/10/22/24/382102224.db2.gz JFZWBIXUJHKHAF-HTQZYQBOSA-N 0 0 254.261 2.810 20 5 CFBDRN Cc1occc1CN(C)c1ncc([N+](=O)[O-])cc1C ZINC000301215720 382108337 /nfs/dbraw/zinc/10/83/37/382108337.db2.gz ZXUUPOMYNIUQGL-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])cc1F ZINC000301220190 382109277 /nfs/dbraw/zinc/10/92/77/382109277.db2.gz ATUAYSJACUNEBP-PRHODGIISA-N 0 0 256.277 2.553 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1ccc(OCCO)cc1 ZINC000301227940 382109949 /nfs/dbraw/zinc/10/99/49/382109949.db2.gz CUFQOZGRNFLXNT-UHFFFAOYSA-N 0 0 274.276 2.710 20 5 CFBDRN Nc1nc(SCCCc2ccccc2)ncc1[N+](=O)[O-] ZINC000301228424 382111747 /nfs/dbraw/zinc/11/17/47/382111747.db2.gz DAMYIJXKNRZCBT-UHFFFAOYSA-N 0 0 290.348 2.692 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1 ZINC000301235073 382114304 /nfs/dbraw/zinc/11/43/04/382114304.db2.gz LKIAKVUOAOQGJO-GXFFZTMASA-N 0 0 252.314 2.804 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2ncnn2-c2ccccc2)c1 ZINC000357335572 382115901 /nfs/dbraw/zinc/11/59/01/382115901.db2.gz RJDVXRLIPXHIQO-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN COc1cccc([C@H](O)CNc2ccccc2[N+](=O)[O-])c1 ZINC000301236248 382117247 /nfs/dbraw/zinc/11/72/47/382117247.db2.gz HTWFFFNKAWHQOA-OAHLLOKOSA-N 0 0 288.303 2.749 20 5 CFBDRN CCCCOCCOc1ccc([N+](=O)[O-])cc1OC ZINC000357340139 382119499 /nfs/dbraw/zinc/11/94/99/382119499.db2.gz TVAVLVAXATWWPL-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN COc1ccncc1CSc1ccc([N+](=O)[O-])cn1 ZINC000344091675 382123820 /nfs/dbraw/zinc/12/38/20/382123820.db2.gz BYVBICBBVYKDIY-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCCC[C@H]1C ZINC000301082125 382076503 /nfs/dbraw/zinc/07/65/03/382076503.db2.gz GEONIDOTMPMAHF-ZWNOBZJWSA-N 0 0 291.351 2.945 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H](c2nccs2)C1 ZINC000301083687 382077187 /nfs/dbraw/zinc/07/71/87/382077187.db2.gz DUNGNAWKRRIOPK-JTQLQIEISA-N 0 0 290.348 2.830 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1cncc(Cl)n1 ZINC000301097613 382081461 /nfs/dbraw/zinc/08/14/61/382081461.db2.gz QCLJKPMJXUAXHQ-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1cc2ccccc2o1 ZINC000301105398 382083269 /nfs/dbraw/zinc/08/32/69/382083269.db2.gz QREBSUFIQKLCMF-QMMMGPOBSA-N 0 0 299.290 2.886 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CC=CCC1 ZINC000301131019 382088296 /nfs/dbraw/zinc/08/82/96/382088296.db2.gz UPQBMYWMSRNCKH-NSHDSACASA-N 0 0 289.335 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCC[C@@H](O)CC2)c1 ZINC000293357125 382091885 /nfs/dbraw/zinc/09/18/85/382091885.db2.gz ZQRQQFRVNRIXOC-GFCCVEGCSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])nc2)C[C@H](c2ccccc2)O1 ZINC000357303440 382096246 /nfs/dbraw/zinc/09/62/46/382096246.db2.gz JFNFBAABMBCQKA-SWLSCSKDSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1nc(Cl)cc(Oc2ccc(C=O)cc2[N+](=O)[O-])n1 ZINC000301170380 382096403 /nfs/dbraw/zinc/09/64/03/382096403.db2.gz WWYFFFAIZHFTEP-UHFFFAOYSA-N 0 0 293.666 2.951 20 5 CFBDRN COC(=O)CCC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000301552463 382175076 /nfs/dbraw/zinc/17/50/76/382175076.db2.gz HIWBEHSHBQGDLM-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN Cc1cccnc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344169523 382175673 /nfs/dbraw/zinc/17/56/73/382175673.db2.gz BTGWRXHBQILORD-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CN(C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CC1(C)C ZINC000344170097 382176039 /nfs/dbraw/zinc/17/60/39/382176039.db2.gz PTTARFYBTLOHOG-LBPRGKRZSA-N 0 0 298.289 2.672 20 5 CFBDRN CC(C)CCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344168533 382176732 /nfs/dbraw/zinc/17/67/32/382176732.db2.gz AVSVJXDMBZRJFX-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN CC1(C)CN(c2ccccc2[N+](=O)[O-])CCS1 ZINC000301568361 382178928 /nfs/dbraw/zinc/17/89/28/382178928.db2.gz LHBHMNSWPFVENK-UHFFFAOYSA-N 0 0 252.339 2.927 20 5 CFBDRN Cc1cccc(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000344175486 382181732 /nfs/dbraw/zinc/18/17/32/382181732.db2.gz IHPRWYKCIWOHBL-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN COc1cc(N2C[C@H](C)[C@H](C)C2)c([N+](=O)[O-])cc1F ZINC000301616674 382181949 /nfs/dbraw/zinc/18/19/49/382181949.db2.gz AJIVPRWIRDRMAK-DTORHVGOSA-N 0 0 268.288 2.835 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000344113858 382136835 /nfs/dbraw/zinc/13/68/35/382136835.db2.gz WYFCWUGYQWFTQP-OAHLLOKOSA-N 0 0 291.351 2.926 20 5 CFBDRN C[S@](=O)Cc1cccc(Nc2ccc([N+](=O)[O-])cn2)c1 ZINC000301307999 382145945 /nfs/dbraw/zinc/14/59/45/382145945.db2.gz WEMKSJHBLMLJDL-FQEVSTJZSA-N 0 0 291.332 2.612 20 5 CFBDRN C[C@@]1(CO)CCC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000301332938 382151575 /nfs/dbraw/zinc/15/15/75/382151575.db2.gz BVRBUEGOPDCEQR-OLZOCXBDSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cccnc1Oc1cccc(-n2ccnc2)c1 ZINC000301351118 382156016 /nfs/dbraw/zinc/15/60/16/382156016.db2.gz IYMUSUMAHJIPBS-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000301353262 382156371 /nfs/dbraw/zinc/15/63/71/382156371.db2.gz LAVKEUCCVUZGGW-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN CC(C)CC1(CNC(=O)c2ccc([N+](=O)[O-])n2C)CCC1 ZINC000362580074 382157468 /nfs/dbraw/zinc/15/74/68/382157468.db2.gz IHXVUZACSJFAJC-UHFFFAOYSA-N 0 0 293.367 2.880 20 5 CFBDRN C[C@]1(CO)CCC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000301369622 382161615 /nfs/dbraw/zinc/16/16/15/382161615.db2.gz RVYYSILZQSRTGO-CHWSQXEVSA-N 0 0 268.288 2.697 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000357429678 382167697 /nfs/dbraw/zinc/16/76/97/382167697.db2.gz ZDPKUXIJJMMIFA-SECBINFHSA-N 0 0 253.302 2.574 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000301411039 382170021 /nfs/dbraw/zinc/17/00/21/382170021.db2.gz KEAQAAOOYXKDRI-CYBMUJFWSA-N 0 0 273.292 2.789 20 5 CFBDRN CC(C)[C@@H](O)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000301411477 382170804 /nfs/dbraw/zinc/17/08/04/382170804.db2.gz KJQPUAPAXBMRFT-AWEZNQCLSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@H](O)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000301411479 382171020 /nfs/dbraw/zinc/17/10/20/382171020.db2.gz KJQPUAPAXBMRFT-CQSZACIVSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)CCSCC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344199998 382195712 /nfs/dbraw/zinc/19/57/12/382195712.db2.gz NNSNLXXXWQJWRT-UHFFFAOYSA-N 0 0 297.380 2.732 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCc1cnns1 ZINC000352152514 382196213 /nfs/dbraw/zinc/19/62/13/382196213.db2.gz FTLZJDZZXBLUMR-UHFFFAOYSA-N 0 0 271.685 2.679 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000301913674 382198204 /nfs/dbraw/zinc/19/82/04/382198204.db2.gz FAVKSHHRGQLWAU-RKDXNWHRSA-N 0 0 268.288 2.977 20 5 CFBDRN Cn1c(C(=O)NCCC2=CCCCC2)ccc1[N+](=O)[O-] ZINC000344210641 382200576 /nfs/dbraw/zinc/20/05/76/382200576.db2.gz XTBDIHSVYRCHTF-UHFFFAOYSA-N 0 0 277.324 2.554 20 5 CFBDRN Cc1ccnc(N[C@H]2C[C@@H](CO)c3ccccc32)c1[N+](=O)[O-] ZINC000376998367 382200780 /nfs/dbraw/zinc/20/07/80/382200780.db2.gz TYUIUFUMXKGZBB-FZMZJTMJSA-N 0 0 299.330 2.931 20 5 CFBDRN CCc1cnccc1NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000357580048 382236533 /nfs/dbraw/zinc/23/65/33/382236533.db2.gz HHWUNSKBEYELEA-UHFFFAOYSA-N 0 0 285.303 2.535 20 5 CFBDRN COCC[C@H](C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000187534046 382202785 /nfs/dbraw/zinc/20/27/85/382202785.db2.gz LNLHSZZHJJNOEA-NSHDSACASA-N 0 0 294.351 2.873 20 5 CFBDRN CCC[C@@H](CNc1cc(C)c([N+](=O)[O-])cn1)OC ZINC000293641086 382208768 /nfs/dbraw/zinc/20/87/68/382208768.db2.gz JSOHVQKBMFAXHA-JTQLQIEISA-N 0 0 253.302 2.525 20 5 CFBDRN C[C@H]1C[C@@H](c2cccnc2)N(c2ncccc2[N+](=O)[O-])C1 ZINC000302144701 382210060 /nfs/dbraw/zinc/21/00/60/382210060.db2.gz QOOXRZUVSHRQPN-FZMZJTMJSA-N 0 0 284.319 2.972 20 5 CFBDRN CO[C@H](CNc1ncc(C)cc1[N+](=O)[O-])CC(C)C ZINC000418997367 382210526 /nfs/dbraw/zinc/21/05/26/382210526.db2.gz UFTFGWYBPGHJLJ-NSHDSACASA-N 0 0 267.329 2.771 20 5 CFBDRN CC(=O)c1ccc(CC(=O)Nc2cccc([N+](=O)[O-])c2)cc1 ZINC000357539552 382215376 /nfs/dbraw/zinc/21/53/76/382215376.db2.gz BDJIJFPEJXGPSW-UHFFFAOYSA-N 0 0 298.298 2.979 20 5 CFBDRN C[C@@H](Cc1ccc(O)cc1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000357549800 382220768 /nfs/dbraw/zinc/22/07/68/382220768.db2.gz PEFRDPHZGMVKAO-NSHDSACASA-N 0 0 287.319 2.763 20 5 CFBDRN COc1cc(Cn2cnc(C(C)(C)C)n2)ccc1[N+](=O)[O-] ZINC000419029496 382221643 /nfs/dbraw/zinc/22/16/43/382221643.db2.gz FXWINNDBJGKGEN-UHFFFAOYSA-N 0 0 290.323 2.541 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1cccnc1 ZINC000344186897 382187762 /nfs/dbraw/zinc/18/77/62/382187762.db2.gz FVTRYFSAHDAFHP-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN COc1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000344189114 382188596 /nfs/dbraw/zinc/18/85/96/382188596.db2.gz YBQDGKYQEZLKJR-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN O=C(Nc1cccc2c1CCO2)c1ccc([N+](=O)[O-])s1 ZINC000357683399 382277753 /nfs/dbraw/zinc/27/77/53/382277753.db2.gz MUOJVBJRHKLRQO-UHFFFAOYSA-N 0 0 290.300 2.844 20 5 CFBDRN COCCCSCCOc1ccccc1[N+](=O)[O-] ZINC000187831958 382279538 /nfs/dbraw/zinc/27/95/38/382279538.db2.gz GNQOZXNQRIVGPA-UHFFFAOYSA-N 0 0 271.338 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccon2)c(Cl)c1 ZINC000357697015 382283160 /nfs/dbraw/zinc/28/31/60/382283160.db2.gz XMYVZJJBUPCSRC-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])cn1)[C@H]1CC[C@@H](C)C1 ZINC000302660613 382284647 /nfs/dbraw/zinc/28/46/47/382284647.db2.gz DYLDANHYEJMBIP-NEPJUHHUSA-N 0 0 279.340 2.631 20 5 CFBDRN CN(Cc1nccn1C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352356966 382287325 /nfs/dbraw/zinc/28/73/25/382287325.db2.gz OYAMASURBNMXJM-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN CO[C@H](CNc1nc2ccccc2cc1[N+](=O)[O-])C(C)C ZINC000352354859 382287577 /nfs/dbraw/zinc/28/75/77/382287577.db2.gz FEAOBNJQVKAPIX-CQSZACIVSA-N 0 0 289.335 2.648 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1ccncc1 ZINC000352358070 382288996 /nfs/dbraw/zinc/28/89/96/382288996.db2.gz VXXJIRKIKVKDIQ-UHFFFAOYSA-N 0 0 280.287 2.572 20 5 CFBDRN COc1cc(N[C@@H](CO)c2ccsc2)ccc1[N+](=O)[O-] ZINC000302304317 382238812 /nfs/dbraw/zinc/23/88/12/382238812.db2.gz ZFMXWNRYJLUETR-NSHDSACASA-N 0 0 294.332 2.810 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000352233906 382241709 /nfs/dbraw/zinc/24/17/09/382241709.db2.gz ZXCAIIRLSFTYDX-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCC(C)(C)O2)c1[N+](=O)[O-] ZINC000302389605 382244828 /nfs/dbraw/zinc/24/48/28/382244828.db2.gz HZFZDCDVEULBOQ-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@@](O)(CCNc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC000302404706 382246491 /nfs/dbraw/zinc/24/64/91/382246491.db2.gz KORXSCXYIAOFNM-OAHLLOKOSA-N 0 0 287.319 2.700 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H]2CCO)c(F)c1 ZINC000302461530 382252210 /nfs/dbraw/zinc/25/22/10/382252210.db2.gz RODVJTDFNMSPGE-SKDRFNHKSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CCC[C@H]1CCO ZINC000302460199 382252275 /nfs/dbraw/zinc/25/22/75/382252275.db2.gz RJZVPFXBQMUKJR-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC[C@H](C)C1 ZINC000302488676 382256567 /nfs/dbraw/zinc/25/65/67/382256567.db2.gz ZJJKTXOCKMPZQW-NSHDSACASA-N 0 0 291.351 2.581 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H]3OCCC[C@H]23)c(Cl)c1 ZINC000302568361 382270875 /nfs/dbraw/zinc/27/08/75/382270875.db2.gz PQIZCKFWDRMWAH-IEBDPFPHSA-N 0 0 283.715 2.623 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]3OCCC[C@H]23)c(Cl)c1 ZINC000302568359 382271153 /nfs/dbraw/zinc/27/11/53/382271153.db2.gz PQIZCKFWDRMWAH-FBIMIBRVSA-N 0 0 283.715 2.623 20 5 CFBDRN Cc1cc(N[C@H](C(C)C)C(C)(C)O)ncc1[N+](=O)[O-] ZINC000357749908 382304866 /nfs/dbraw/zinc/30/48/66/382304866.db2.gz RWLRQMMOLGCFOR-GFCCVEGCSA-N 0 0 267.329 2.506 20 5 CFBDRN Cc1coc(Sc2cc(C)c([N+](=O)[O-])cn2)n1 ZINC000302731850 382305351 /nfs/dbraw/zinc/30/53/51/382305351.db2.gz TVNLVDOOIXQNHO-UHFFFAOYSA-N 0 0 251.267 2.746 20 5 CFBDRN C[C@@H]1COCC[C@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000345571364 382306475 /nfs/dbraw/zinc/30/64/75/382306475.db2.gz OSSUEIKZCJHDNW-BXKDBHETSA-N 0 0 289.291 2.785 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc3scnc3c2)nc1 ZINC000302737136 382307064 /nfs/dbraw/zinc/30/70/64/382307064.db2.gz POCBXCZPHUBINE-UHFFFAOYSA-N 0 0 273.277 2.738 20 5 CFBDRN CCOc1cc(Oc2cc(C)ncn2)ccc1[N+](=O)[O-] ZINC000302742210 382309116 /nfs/dbraw/zinc/30/91/16/382309116.db2.gz ZLVIFIIBGMVDMN-UHFFFAOYSA-N 0 0 275.264 2.884 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])n1C)c1ccc2cc[nH]c2c1 ZINC000352411837 382315993 /nfs/dbraw/zinc/31/59/93/382315993.db2.gz ZJAVGEAACCLWQR-UHFFFAOYSA-N 0 0 298.302 2.691 20 5 CFBDRN COc1ccc(NC(=O)CC2(OC)CCC2)c([N+](=O)[O-])c1 ZINC000357783874 382319063 /nfs/dbraw/zinc/31/90/63/382319063.db2.gz CCAWYGRDWNWTGE-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@](CO)(C(C)C)C1 ZINC000293902065 382328965 /nfs/dbraw/zinc/32/89/65/382328965.db2.gz CRGUYJSZLAHZDW-OAHLLOKOSA-N 0 0 293.367 2.533 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCC[S@@](C)=O ZINC000302827052 382329372 /nfs/dbraw/zinc/32/93/72/382329372.db2.gz NJCYFIJDOCSNKY-GOSISDBHSA-N 0 0 290.772 2.737 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H]1CF ZINC000293939728 382345773 /nfs/dbraw/zinc/34/57/73/382345773.db2.gz CPCDEBJZVNQRTH-VIFPVBQESA-N 0 0 286.690 2.822 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@H]2c2cccc(F)c2)c1[N+](=O)[O-] ZINC000302848409 382333410 /nfs/dbraw/zinc/33/34/10/382333410.db2.gz HREFMBPIPCBSKR-NWDGAFQWSA-N 0 0 290.298 2.744 20 5 CFBDRN Cc1cc(NCCCCO)c2cccc([N+](=O)[O-])c2n1 ZINC000302849895 382333477 /nfs/dbraw/zinc/33/34/77/382333477.db2.gz IIISSIRESQMFQF-UHFFFAOYSA-N 0 0 275.308 2.636 20 5 CFBDRN C[C@H]1CN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])[C@H]1C ZINC000293917951 382336761 /nfs/dbraw/zinc/33/67/61/382336761.db2.gz CWKVBJHYPIZTMJ-YUMQZZPRSA-N 0 0 268.700 2.729 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302879021 382342522 /nfs/dbraw/zinc/34/25/22/382342522.db2.gz HJQAKMGFIUWWQO-BMIGLBTASA-N 0 0 293.367 2.772 20 5 CFBDRN Cc1cccc(N2CCO[C@H](COC(C)C)C2)c1[N+](=O)[O-] ZINC000364628158 382342779 /nfs/dbraw/zinc/34/27/79/382342779.db2.gz FFWGXHLLTCMXME-ZDUSSCGKSA-N 0 0 294.351 2.533 20 5 CFBDRN O=c1ccn(Cc2ccc(F)cc2Cl)cc1[N+](=O)[O-] ZINC000352377371 382296429 /nfs/dbraw/zinc/29/64/29/382296429.db2.gz BKCANZHQXXUVPB-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCC[C@]23CCOC3)c(F)c1 ZINC000352376616 382297339 /nfs/dbraw/zinc/29/73/39/382297339.db2.gz SNAUTJMBYIIXDM-CQSZACIVSA-N 0 0 298.289 2.628 20 5 CFBDRN O=C1O[C@@H](COc2ccc([N+](=O)[O-])c(F)c2)CC12CCC2 ZINC000187920540 382298109 /nfs/dbraw/zinc/29/81/09/382298109.db2.gz WTBYVQSADRHFTH-SNVBAGLBSA-N 0 0 295.266 2.599 20 5 CFBDRN Cc1nn(Cc2cc(F)ccc2C)c(C)c1[N+](=O)[O-] ZINC000188131778 382352648 /nfs/dbraw/zinc/35/26/48/382352648.db2.gz HZBIDJJIAQCCBL-UHFFFAOYSA-N 0 0 263.272 2.904 20 5 CFBDRN C[C@@H]1CCC[C@@]1(O)CNc1ccccc1[N+](=O)[O-] ZINC000293961582 382355132 /nfs/dbraw/zinc/35/51/32/382355132.db2.gz HFXPTAGYNRXLGR-ZWNOBZJWSA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2nccs2)nc1 ZINC000364926358 382357103 /nfs/dbraw/zinc/35/71/03/382357103.db2.gz JQNGHDCWCFNSOW-SNVBAGLBSA-N 0 0 276.321 2.788 20 5 CFBDRN C[C@H]1CCCC[C@H]1CCNC(=O)c1ccc([N+](=O)[O-])n1C ZINC000357869936 382357300 /nfs/dbraw/zinc/35/73/00/382357300.db2.gz YFWGJVFRIDRVQV-RYUDHWBXSA-N 0 0 293.367 2.880 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000293966956 382359280 /nfs/dbraw/zinc/35/92/80/382359280.db2.gz GJXCITUULBWSEP-DTWKUNHWSA-N 0 0 273.292 2.557 20 5 CFBDRN CC[C@@H]1CCN1C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000293977971 382363261 /nfs/dbraw/zinc/36/32/61/382363261.db2.gz KTKDMZMFVPWDEF-CYBMUJFWSA-N 0 0 291.351 2.798 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@](CO)(C(C)C)C2)n1 ZINC000294053629 382396983 /nfs/dbraw/zinc/39/69/83/382396983.db2.gz MLLWCUCPXVIVED-OAHLLOKOSA-N 0 0 293.367 2.533 20 5 CFBDRN CSC[C@H](C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000346465440 382375450 /nfs/dbraw/zinc/37/54/50/382375450.db2.gz ZUZMPRSNRHGYPS-QMMMGPOBSA-N 0 0 293.348 2.557 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2OC[C@H]3CCC[C@H]23)cc1[N+](=O)[O-] ZINC000377985417 382380207 /nfs/dbraw/zinc/38/02/07/382380207.db2.gz ACSCYNBABXMFDT-SCDSUCTJSA-N 0 0 290.319 2.657 20 5 CFBDRN CC(C)[C@@]1(CO)CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000377998129 382381265 /nfs/dbraw/zinc/38/12/65/382381265.db2.gz ZRCHMMDYLSYAKJ-AWEZNQCLSA-N 0 0 282.315 2.579 20 5 CFBDRN CCC1(CC)CN(C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000294028674 382384980 /nfs/dbraw/zinc/38/49/80/382384980.db2.gz DNKIKWAUMHUANW-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)[C@@H]1C ZINC000294033101 382386377 /nfs/dbraw/zinc/38/63/77/382386377.db2.gz JTMHDNGLDCBNAC-RKDXNWHRSA-N 0 0 273.292 2.557 20 5 CFBDRN CO[C@@H](C)[C@H](C)NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000188299868 382387432 /nfs/dbraw/zinc/38/74/32/382387432.db2.gz NYEDXMFYWKYXEO-RYUDHWBXSA-N 0 0 294.351 2.673 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000294042875 382390995 /nfs/dbraw/zinc/39/09/95/382390995.db2.gz ITRSRAQLUVKQCJ-CYBMUJFWSA-N 0 0 292.335 2.515 20 5 CFBDRN Cc1cc(CN(C)CCc2ccccc2[N+](=O)[O-])no1 ZINC000352550063 382393120 /nfs/dbraw/zinc/39/31/20/382393120.db2.gz JPABZXVYAUXPOX-UHFFFAOYSA-N 0 0 275.308 2.566 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000188326668 382393173 /nfs/dbraw/zinc/39/31/73/382393173.db2.gz ISWLGSRJNBCPQD-LLVKDONJSA-N 0 0 291.351 2.829 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@H]2CCCCC[C@@H]21 ZINC000378082667 382395952 /nfs/dbraw/zinc/39/59/52/382395952.db2.gz IKBRMCRTBJOAOM-YPMHNXCESA-N 0 0 274.320 3.000 20 5 CFBDRN C[C@H](C[C@H]1CCCO1)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302895688 382347850 /nfs/dbraw/zinc/34/78/50/382347850.db2.gz QUSAEBKEZIFTNM-GHMZBOCLSA-N 0 0 291.351 2.932 20 5 CFBDRN C[C@@H](C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000294124853 382425341 /nfs/dbraw/zinc/42/53/41/382425341.db2.gz OGKBKOMVGLEGDJ-SNVBAGLBSA-N 0 0 276.336 2.992 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC1CCC2(CC2)CC1 ZINC000420614066 382425549 /nfs/dbraw/zinc/42/55/49/382425549.db2.gz VTIZQQSVVAKHRI-UHFFFAOYSA-N 0 0 289.335 2.828 20 5 CFBDRN CC[C@H]1C[C@H](Nc2cccc(OC)c2[N+](=O)[O-])CCO1 ZINC000420619188 382428324 /nfs/dbraw/zinc/42/83/24/382428324.db2.gz OHBQBWLWTWIGDK-MNOVXSKESA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@H]1CCC[C@]1(O)CNc1ccc([N+](=O)[O-])cc1 ZINC000294172518 382444376 /nfs/dbraw/zinc/44/43/76/382444376.db2.gz SAPQJOBZXCSIIP-GWCFXTLKSA-N 0 0 250.298 2.558 20 5 CFBDRN Nc1ccc(C(=O)N2C[C@H]3CCCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000378094728 382398942 /nfs/dbraw/zinc/39/89/42/382398942.db2.gz YKTGYNIDEWTGDJ-YPMHNXCESA-N 0 0 289.335 2.582 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000294074629 382405615 /nfs/dbraw/zinc/40/56/15/382405615.db2.gz QNOQAFYYOURQNR-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000352610860 382416470 /nfs/dbraw/zinc/41/64/70/382416470.db2.gz YLYXAPPGHZBBKZ-JQWIXIFHSA-N 0 0 262.309 2.599 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000358029346 382419156 /nfs/dbraw/zinc/41/91/56/382419156.db2.gz FEQYODNGYJWTIQ-NWDGAFQWSA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000358032011 382419435 /nfs/dbraw/zinc/41/94/35/382419435.db2.gz PUFBKDDUXHVYSZ-UWVGGRQHSA-N 0 0 280.299 2.900 20 5 CFBDRN O=C(Nc1ccc2[nH]cnc2c1)c1cccc([N+](=O)[O-])c1 ZINC000352619454 382420928 /nfs/dbraw/zinc/42/09/28/382420928.db2.gz SZVVLISETKUILX-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H](O)CC1CCCC1 ZINC000188647630 382449195 /nfs/dbraw/zinc/44/91/95/382449195.db2.gz SJOALMAKWAQEJA-CYBMUJFWSA-N 0 0 295.335 2.923 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC2CCC1CC2 ZINC000378385617 382452923 /nfs/dbraw/zinc/45/29/23/382452923.db2.gz YDULBWQPAGQEDQ-UHFFFAOYSA-N 0 0 260.293 2.609 20 5 CFBDRN C[C@@H]1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000294214821 382463639 /nfs/dbraw/zinc/46/36/39/382463639.db2.gz RAWBJJDUBCPBNT-MNOVXSKESA-N 0 0 276.336 2.739 20 5 CFBDRN COC(=O)CCCCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000188738104 382467830 /nfs/dbraw/zinc/46/78/30/382467830.db2.gz JWKRXRMIEUIOAC-UHFFFAOYSA-N 0 0 294.307 2.575 20 5 CFBDRN C[C@H]1CCC[C@]1(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000294289258 382495696 /nfs/dbraw/zinc/49/56/96/382495696.db2.gz XZNJPSJKDQCJRA-UFBFGSQYSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCC1CCC1 ZINC000188823152 382485367 /nfs/dbraw/zinc/48/53/67/382485367.db2.gz BNKRXHCILUAFNH-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCCO[C@H](C)C1 ZINC000188832491 382486820 /nfs/dbraw/zinc/48/68/20/382486820.db2.gz ICULABRRRWMDJH-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000314253642 382525005 /nfs/dbraw/zinc/52/50/05/382525005.db2.gz USEAIAKRNOLCKS-ZYHUDNBSSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H](N[C@H]1CCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000294301999 382501267 /nfs/dbraw/zinc/50/12/67/382501267.db2.gz ZWOWPYRIBNUMET-GWCFXTLKSA-N 0 0 272.308 2.587 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)Cc1cccnc1 ZINC000346762257 382502683 /nfs/dbraw/zinc/50/26/83/382502683.db2.gz IWWUYKIOMVIJRO-LLVKDONJSA-N 0 0 297.314 2.510 20 5 CFBDRN COC[C@@]1(C)CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000294303992 382502953 /nfs/dbraw/zinc/50/29/53/382502953.db2.gz VGIHMFZXZZAGFC-HNNXBMFYSA-N 0 0 292.335 2.660 20 5 CFBDRN C[C@H](CCc1ccccc1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294312926 382505271 /nfs/dbraw/zinc/50/52/71/382505271.db2.gz YLMRKAVJWIOFIX-CYBMUJFWSA-N 0 0 292.379 2.959 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2C(F)F)c(F)c1 ZINC000353166858 382507952 /nfs/dbraw/zinc/50/79/52/382507952.db2.gz DIQAMOPOSAPKHW-NSHDSACASA-N 0 0 274.242 2.963 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCN2CCC[C@H]2C(F)F)cc1 ZINC000353168918 382508953 /nfs/dbraw/zinc/50/89/53/382508953.db2.gz IJLYHYKEKAOXIZ-LBPRGKRZSA-N 0 0 286.278 2.703 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2C(F)F)cc1 ZINC000353173088 382511866 /nfs/dbraw/zinc/51/18/66/382511866.db2.gz OFPLJFXKTWWHIM-LLVKDONJSA-N 0 0 256.252 2.824 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000380648209 382515130 /nfs/dbraw/zinc/51/51/30/382515130.db2.gz WUGGVHWTVYEAFO-ZJUUUORDSA-N 0 0 277.324 2.507 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000421449146 382540815 /nfs/dbraw/zinc/54/08/15/382540815.db2.gz OZTXNPNEDZYEAB-JHJVBQTASA-N 0 0 274.320 2.647 20 5 CFBDRN CC(=O)c1ccc(OCC[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000189107312 382545645 /nfs/dbraw/zinc/54/56/45/382545645.db2.gz YINCWGPSFVGFOZ-GFCCVEGCSA-N 0 0 279.292 2.745 20 5 CFBDRN Cc1nnsc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000384152992 382550929 /nfs/dbraw/zinc/55/09/29/382550929.db2.gz HKIGLPQYOLBULV-UHFFFAOYSA-N 0 0 268.273 2.506 20 5 CFBDRN C[C@@H](CCCO)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000384615659 382555999 /nfs/dbraw/zinc/55/59/99/382555999.db2.gz SWGXLHCVTGWKPY-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N(C)Cc1ccc[nH]1 ZINC000421489151 382556488 /nfs/dbraw/zinc/55/64/88/382556488.db2.gz UZFMNFIOTCQBNU-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@](C)(COC)C1 ZINC000294968569 382557174 /nfs/dbraw/zinc/55/71/74/382557174.db2.gz NSTNKGSHWXYVEA-HNNXBMFYSA-N 0 0 294.351 2.604 20 5 CFBDRN CCS[C@@H](C)c1noc(-c2nn(CC)cc2[N+](=O)[O-])n1 ZINC000346881778 382558401 /nfs/dbraw/zinc/55/84/01/382558401.db2.gz OSCFULWZJGINEK-ZETCQYMHSA-N 0 0 297.340 2.675 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H](C)CC(C)(C)O ZINC000295047801 382565039 /nfs/dbraw/zinc/56/50/39/382565039.db2.gz UCCVRHROMHEPSA-SECBINFHSA-N 0 0 280.324 2.759 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@H]1Cc2ccccc21 ZINC000358341127 382577386 /nfs/dbraw/zinc/57/73/86/382577386.db2.gz HSYDCCVTKCHFDI-AWEZNQCLSA-N 0 0 282.299 2.551 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C(F)F ZINC000421546366 382574618 /nfs/dbraw/zinc/57/46/18/382574618.db2.gz BPTYSBLTPKSBCF-SNVBAGLBSA-N 0 0 286.278 2.985 20 5 CFBDRN COc1nn(C)cc1N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000353310774 382535924 /nfs/dbraw/zinc/53/59/24/382535924.db2.gz YGCLMDYWNMMCTQ-VIFPVBQESA-N 0 0 276.296 2.510 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H](C)C(C)(F)F ZINC000421568535 382583601 /nfs/dbraw/zinc/58/36/01/382583601.db2.gz HPAZJIMTJKYXBC-VIFPVBQESA-N 0 0 286.278 2.985 20 5 CFBDRN CC[C@](C)(CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C)OC ZINC000421569878 382584378 /nfs/dbraw/zinc/58/43/78/382584378.db2.gz OJTLMEBWMWATMY-OAHLLOKOSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC000358359261 382586983 /nfs/dbraw/zinc/58/69/83/382586983.db2.gz TVYWMHINBWKCKI-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN C[C@H](NC(=O)COC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000295223336 382591123 /nfs/dbraw/zinc/59/11/23/382591123.db2.gz ASKTWVHBBLWODE-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN CC[C@@H](C)CNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000421583432 382592552 /nfs/dbraw/zinc/59/25/52/382592552.db2.gz YDRBNJJDTFXHGG-LLVKDONJSA-N 0 0 280.324 2.526 20 5 CFBDRN Cc1cc(N[C@H]2CC23CCOCC3)ccc1[N+](=O)[O-] ZINC000386908374 382593923 /nfs/dbraw/zinc/59/39/23/382593923.db2.gz MXXXSSDERXDBFC-ZDUSSCGKSA-N 0 0 262.309 2.884 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC23CCOCC3)c(F)c1 ZINC000386963807 382594412 /nfs/dbraw/zinc/59/44/12/382594412.db2.gz ZNUAIBXFYASJJA-GFCCVEGCSA-N 0 0 266.272 2.715 20 5 CFBDRN Cc1nn(Cc2cc(Cl)ccc2F)cc1[N+](=O)[O-] ZINC000189347514 382596944 /nfs/dbraw/zinc/59/69/44/382596944.db2.gz WCBMOLUZKJSNNJ-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000295247019 382598411 /nfs/dbraw/zinc/59/84/11/382598411.db2.gz FKLDZXNVSDBCNT-SECBINFHSA-N 0 0 268.700 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H](O)C2)c(C(F)(F)F)c1 ZINC000295260422 382601658 /nfs/dbraw/zinc/60/16/58/382601658.db2.gz GYAFAGLKJRYFIF-WRXNHJIOSA-N 0 0 276.214 2.549 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2C[C@]2(C)C(C)C)cc1[N+](=O)[O-] ZINC000397340804 382633348 /nfs/dbraw/zinc/63/33/48/382633348.db2.gz COQUOSOVFBTABS-UKRRQHHQSA-N 0 0 291.351 2.801 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@H]1Cc2ccccc21 ZINC000353678488 382603238 /nfs/dbraw/zinc/60/32/38/382603238.db2.gz MZJKXYXWCWAYFL-HNNXBMFYSA-N 0 0 282.299 2.551 20 5 CFBDRN COC[C@H](Nc1ccc2ncccc2c1[N+](=O)[O-])C1CC1 ZINC000397287762 382633401 /nfs/dbraw/zinc/63/34/01/382633401.db2.gz VRPOEYVXEPELOM-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN COc1cc(N[C@@H](C)[C@H](OC)C2CC2)ccc1[N+](=O)[O-] ZINC000388282010 382605218 /nfs/dbraw/zinc/60/52/18/382605218.db2.gz NDAZQPTUAZPWMP-XPTSAGLGSA-N 0 0 280.324 2.829 20 5 CFBDRN COc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)ccc1F ZINC000189466420 382613300 /nfs/dbraw/zinc/61/33/00/382613300.db2.gz VLEYLIOBLOVLHZ-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CCCCCOCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295310822 382614022 /nfs/dbraw/zinc/61/40/22/382614022.db2.gz KHUYWSKGOQFNKK-LBPRGKRZSA-N 0 0 294.351 2.979 20 5 CFBDRN Cc1ccc(NC(=O)[C@H](O)C2CCCCC2)cc1[N+](=O)[O-] ZINC000189506937 382617877 /nfs/dbraw/zinc/61/78/77/382617877.db2.gz XQFPTFFAUNEHBF-CQSZACIVSA-N 0 0 292.335 2.783 20 5 CFBDRN CCOC[C@@H](NC(=O)c1cccc(C)c1[N+](=O)[O-])C(C)C ZINC000189524534 382620161 /nfs/dbraw/zinc/62/01/61/382620161.db2.gz XQHHNMPAYBAYKR-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN CCOC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000189532753 382620872 /nfs/dbraw/zinc/62/08/72/382620872.db2.gz JGRTUIZQEWOYLB-CYBMUJFWSA-N 0 0 298.314 2.525 20 5 CFBDRN Cc1nc(N[C@H](CO)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000395491860 382630238 /nfs/dbraw/zinc/63/02/38/382630238.db2.gz OBBSZVOHCHNBHC-GFCCVEGCSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1ccncc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000358344325 382580149 /nfs/dbraw/zinc/58/01/49/382580149.db2.gz PCSOZDUYUBLDSZ-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN CC[C@@H](NC(=O)Cc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000346949984 382582735 /nfs/dbraw/zinc/58/27/35/382582735.db2.gz NFCVDIFZTXFCRR-SNVBAGLBSA-N 0 0 290.241 2.594 20 5 CFBDRN Cc1cncc(CN2CCc3ccc([N+](=O)[O-])cc32)c1 ZINC000190021991 382650543 /nfs/dbraw/zinc/65/05/43/382650543.db2.gz OUAHFIWVYAWSIF-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN COc1ccc(CN(C)c2ncc([N+](=O)[O-])s2)cc1 ZINC000190301218 382661366 /nfs/dbraw/zinc/66/13/66/382661366.db2.gz ZXANUYRZPZGGPK-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN CN(CCOc1ccccc1F)c1ncc([N+](=O)[O-])s1 ZINC000190308434 382661583 /nfs/dbraw/zinc/66/15/83/382661583.db2.gz NJTNMCDQVOYJHA-UHFFFAOYSA-N 0 0 297.311 2.706 20 5 CFBDRN CN(C)C(=O)c1ccc(Oc2ncc([N+](=O)[O-])s2)cc1 ZINC000190315258 382661801 /nfs/dbraw/zinc/66/18/01/382661801.db2.gz WHIMHOLFPAJUQH-UHFFFAOYSA-N 0 0 293.304 2.545 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@H]1CC[C@@H](C)O1 ZINC000190616668 382675165 /nfs/dbraw/zinc/67/51/65/382675165.db2.gz YPRGQBAEMBWXTI-MWLCHTKSSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000191009006 382688303 /nfs/dbraw/zinc/68/83/03/382688303.db2.gz DLSDYWVBUDYFKT-QMMMGPOBSA-N 0 0 265.265 2.555 20 5 CFBDRN C/C=C(\CC)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000190828594 382681566 /nfs/dbraw/zinc/68/15/66/382681566.db2.gz MXNAZWOLJSDNOB-RUDMXATFSA-N 0 0 265.265 2.865 20 5 CFBDRN Cc1cc(OC[C@@H]2CC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000191024833 382688743 /nfs/dbraw/zinc/68/87/43/382688743.db2.gz VEFSPKWSMBYWSX-PWSUYJOCSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cccc(OCCNc2ncc([N+](=O)[O-])s2)c1 ZINC000189685091 382635229 /nfs/dbraw/zinc/63/52/29/382635229.db2.gz OVRSPQGLCFDUBF-UHFFFAOYSA-N 0 0 279.321 2.851 20 5 CFBDRN Cc1csc([C@@H](C)Nc2ncc([N+](=O)[O-])s2)n1 ZINC000189687392 382635600 /nfs/dbraw/zinc/63/56/00/382635600.db2.gz XWRWYECGVWJHPE-ZCFIWIBFSA-N 0 0 270.339 2.989 20 5 CFBDRN C[C@H]1CC[C@H](CN2CCc3c2cccc3[N+](=O)[O-])O1 ZINC000190957742 382686341 /nfs/dbraw/zinc/68/63/41/382686341.db2.gz MXZDPYMMZUUNAG-WDEREUQCSA-N 0 0 262.309 2.525 20 5 CFBDRN Cc1nc([C@@H](C)OC(=O)c2cccc([N+](=O)[O-])c2C)no1 ZINC000191237978 382696766 /nfs/dbraw/zinc/69/67/66/382696766.db2.gz GEDSTLCCABKVAX-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2Cl)n1 ZINC000191447371 382700559 /nfs/dbraw/zinc/70/05/59/382700559.db2.gz QOCSEEHSBNFLNY-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCC[C@@H](O)C3)n2)cc1 ZINC000336850872 382735215 /nfs/dbraw/zinc/73/52/15/382735215.db2.gz ZWAQSCAWHRMSJX-CMPLNLGQSA-N 0 0 289.291 2.663 20 5 CFBDRN COC[C@@H](Nc1ncc([N+](=O)[O-])s1)c1ccc(C)o1 ZINC000192475519 382709239 /nfs/dbraw/zinc/70/92/39/382709239.db2.gz VVHFDLRJHWOVBN-MRVPVSSYSA-N 0 0 283.309 2.752 20 5 CFBDRN CC(=O)N(C)c1cccc(Nc2ncc([N+](=O)[O-])s2)c1 ZINC000192473662 382709336 /nfs/dbraw/zinc/70/93/36/382709336.db2.gz HLRODDUECKDHTG-UHFFFAOYSA-N 0 0 292.320 2.778 20 5 CFBDRN C[C@](O)(CNc1ncc([N+](=O)[O-])s1)C1CCCCC1 ZINC000192549686 382709990 /nfs/dbraw/zinc/70/99/90/382709990.db2.gz IINNPGMWMCNMES-LBPRGKRZSA-N 0 0 285.369 2.795 20 5 CFBDRN CC(C)C(CNC(=O)c1c(N)cccc1[N+](=O)[O-])C(C)C ZINC000192632014 382710775 /nfs/dbraw/zinc/71/07/75/382710775.db2.gz MIXYRQKDCLVQGI-UHFFFAOYSA-N 0 0 293.367 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCO[C@@H]1CCCCO1)CC2 ZINC000193118689 382716002 /nfs/dbraw/zinc/71/60/02/382716002.db2.gz BBALVMVIMNYVSZ-OAHLLOKOSA-N 0 0 292.335 2.501 20 5 CFBDRN CC[C@@H]1CN(c2cc(N)ccc2[N+](=O)[O-])CCS1 ZINC000193459038 382720002 /nfs/dbraw/zinc/72/00/02/382720002.db2.gz FNVPXZXOUNTNKW-SNVBAGLBSA-N 0 0 267.354 2.509 20 5 CFBDRN COCCC1(CNc2cc(N)ccc2[N+](=O)[O-])CCC1 ZINC000193476753 382720248 /nfs/dbraw/zinc/72/02/48/382720248.db2.gz TYTRYVVRMFEPDH-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN Cc1nc(CCNc2cc(N)ccc2[N+](=O)[O-])sc1C ZINC000193477959 382720273 /nfs/dbraw/zinc/72/02/73/382720273.db2.gz WVGSREBSOOWIPC-UHFFFAOYSA-N 0 0 292.364 2.905 20 5 CFBDRN CSC1(CNc2cc(N)ccc2[N+](=O)[O-])CCC1 ZINC000193539688 382720819 /nfs/dbraw/zinc/72/08/19/382720819.db2.gz NUMJRCXQVKVHGZ-UHFFFAOYSA-N 0 0 267.354 2.875 20 5 CFBDRN CCc1nn(C)c(NCC2(SC)CCC2)c1[N+](=O)[O-] ZINC000193728988 382724120 /nfs/dbraw/zinc/72/41/20/382724120.db2.gz UDNXCGDGJOQTTF-UHFFFAOYSA-N 0 0 284.385 2.588 20 5 CFBDRN O=C(Nc1cncc(F)c1)c1ccc(F)cc1[N+](=O)[O-] ZINC000336834962 382727928 /nfs/dbraw/zinc/72/79/28/382727928.db2.gz IXZIQBKBMSEOCU-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@@H]2OC(F)F)nc1 ZINC000336880610 382736633 /nfs/dbraw/zinc/73/66/33/382736633.db2.gz AAHMTSWWZKIGIS-BDAKNGLRSA-N 0 0 273.239 2.562 20 5 CFBDRN COCCCCCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000195221095 382764507 /nfs/dbraw/zinc/76/45/07/382764507.db2.gz ZIDIGPCIWYDZBE-UHFFFAOYSA-N 0 0 279.340 2.791 20 5 CFBDRN COc1cccc(C(=O)N2C[C@@H](C)C[C@H](C)C2)c1[N+](=O)[O-] ZINC000195310578 382766113 /nfs/dbraw/zinc/76/61/13/382766113.db2.gz CJYCLGMHKIODND-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCc2ccccc2C1 ZINC000195328625 382766627 /nfs/dbraw/zinc/76/66/27/382766627.db2.gz MHXMMQQJLPTGTL-UHFFFAOYSA-N 0 0 286.335 2.682 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])s1)[N@@H+]1CCC[C@@H](C)C1 ZINC000195508856 382768417 /nfs/dbraw/zinc/76/84/17/382768417.db2.gz UANOCNMNCUFWIZ-ZJUUUORDSA-N 0 0 284.385 2.584 20 5 CFBDRN CCOC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] ZINC000196344675 382770983 /nfs/dbraw/zinc/77/09/83/382770983.db2.gz IDEXMTURBHJLQH-UHFFFAOYSA-N 0 0 275.713 2.903 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])cc1OC ZINC000220086936 382794850 /nfs/dbraw/zinc/79/48/50/382794850.db2.gz ITVRTBFPJYUJOF-ZJUUUORDSA-N 0 0 296.323 2.591 20 5 CFBDRN C[C@H](CCNC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1 ZINC000337258949 382787764 /nfs/dbraw/zinc/78/77/64/382787764.db2.gz VIYRXXOGEOFCEW-LLVKDONJSA-N 0 0 287.319 2.847 20 5 CFBDRN Cc1cccc(NCCC(=O)N2CCCCC2)c1[N+](=O)[O-] ZINC000218838681 382791036 /nfs/dbraw/zinc/79/10/36/382791036.db2.gz ZCECPGUVPOBGDE-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN Cc1cccc(N[C@@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000218873117 382791055 /nfs/dbraw/zinc/79/10/55/382791055.db2.gz VTZHUPKPOJXILX-WDEREUQCSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cccc(NC[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000218839729 382791073 /nfs/dbraw/zinc/79/10/73/382791073.db2.gz ZCFHWKDUTLBYQQ-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN COCCC1(CNc2cc(OC)c([N+](=O)[O-])cc2F)CC1 ZINC000218872478 382791093 /nfs/dbraw/zinc/79/10/93/382791093.db2.gz SPHHAUXNDNBFJR-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN Cc1sc(C(=O)NCCOC2CCCC2)cc1[N+](=O)[O-] ZINC000194309632 382739951 /nfs/dbraw/zinc/73/99/51/382739951.db2.gz FYXFPCQSNRPEBT-UHFFFAOYSA-N 0 0 298.364 2.654 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@@H]2OC(F)F)ncc1[N+](=O)[O-] ZINC000336903420 382740172 /nfs/dbraw/zinc/74/01/72/382740172.db2.gz RXZBMNNKSNELSE-WPRPVWTQSA-N 0 0 287.266 2.870 20 5 CFBDRN COC(=O)[C@]1(C)CN(c2cc(C)ccc2[N+](=O)[O-])C[C@H]1C ZINC000336923383 382742810 /nfs/dbraw/zinc/74/28/10/382742810.db2.gz UOWJPMPEIWDVKD-IAQYHMDHSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC[C@@H]1C ZINC000336926011 382742909 /nfs/dbraw/zinc/74/29/09/382742909.db2.gz LOQDTXCESMPDSW-CABZTGNLSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cccc(C(=O)N2C[C@H](C)CC[C@H]2C)c1[N+](=O)[O-] ZINC000194532951 382747310 /nfs/dbraw/zinc/74/73/10/382747310.db2.gz FHPIZAUAMZDQNO-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CSC1(CNC(=O)c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000194644711 382751036 /nfs/dbraw/zinc/75/10/36/382751036.db2.gz JRCOXYJOLQZJDB-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN COc1ccc(OCCO[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000194711171 382752607 /nfs/dbraw/zinc/75/26/07/382752607.db2.gz TWTYIZMUJZXJFF-AWEZNQCLSA-N 0 0 297.307 2.525 20 5 CFBDRN COc1ccc(OCCO[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000194723571 382753099 /nfs/dbraw/zinc/75/30/99/382753099.db2.gz FGWGLMKUMZRUPS-AWEZNQCLSA-N 0 0 297.307 2.525 20 5 CFBDRN COCC[C@H](Cc1ccco1)Nc1ncc([N+](=O)[O-])s1 ZINC000337018220 382753116 /nfs/dbraw/zinc/75/31/16/382753116.db2.gz OAIREXRDFFHSRR-SECBINFHSA-N 0 0 297.336 2.704 20 5 CFBDRN CCOc1ccc(C(=O)N2C[C@H](C)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000337056863 382756918 /nfs/dbraw/zinc/75/69/18/382756918.db2.gz VJCBEXBUWDKTSE-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1cc(OCCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000280005960 194665894 /nfs/dbraw/zinc/66/58/94/194665894.db2.gz SPVOYHYGQXDMCD-UHFFFAOYSA-N 0 0 265.187 2.935 20 5 CFBDRN CCCN(CC)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000228623948 382848273 /nfs/dbraw/zinc/84/82/73/382848273.db2.gz YHKONTUSQHGECJ-UHFFFAOYSA-N 0 0 272.251 2.745 20 5 CFBDRN CC(C)(C)c1noc(-c2cc3c(cc2[N+](=O)[O-])OCO3)n1 ZINC000577124453 382848756 /nfs/dbraw/zinc/84/87/56/382848756.db2.gz BQXXWHBZAPCMLN-UHFFFAOYSA-N 0 0 291.263 2.671 20 5 CFBDRN CC(C)(CCCO)CNc1ccccc1[N+](=O)[O-] ZINC000221191163 382797859 /nfs/dbraw/zinc/79/78/59/382797859.db2.gz OJKOMSYJRXPCHX-UHFFFAOYSA-N 0 0 252.314 2.805 20 5 CFBDRN C[C@@H](Cn1ccnc1)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000223214751 382804118 /nfs/dbraw/zinc/80/41/18/382804118.db2.gz QNZQVHTZRKDFAX-QMMMGPOBSA-N 0 0 282.250 2.570 20 5 CFBDRN COCCC1(CNc2ccccc2[N+](=O)[O-])CC1 ZINC000225366736 382814678 /nfs/dbraw/zinc/81/46/78/382814678.db2.gz CJVMIHPJWIJKFN-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN CCCc1coc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000577188658 382850917 /nfs/dbraw/zinc/85/09/17/382850917.db2.gz IICIJQFRANHACW-UHFFFAOYSA-N 0 0 289.291 2.717 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ccc([N+](=O)[O-])cc1 ZINC000225746018 382821124 /nfs/dbraw/zinc/82/11/24/382821124.db2.gz DWNPBUFVRYKIJS-CYBMUJFWSA-N 0 0 252.314 2.804 20 5 CFBDRN C[C@H](NC(=O)OC1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000289661359 197437807 /nfs/dbraw/zinc/43/78/07/197437807.db2.gz FJBOEBVTGVLMAM-JTQLQIEISA-N 0 0 294.307 2.561 20 5 CFBDRN Cc1c(C[N@@H+]([C@@H](C)C(=O)[O-])C2CCC2)cccc1[N+](=O)[O-] ZINC000576530716 382826703 /nfs/dbraw/zinc/82/67/03/382826703.db2.gz YMQIPULVYHBHDX-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN CCOc1cc(NC[C@H]2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000226571709 382830116 /nfs/dbraw/zinc/83/01/16/382830116.db2.gz SKHPRCYHMCXNRX-WCQYABFASA-N 0 0 294.351 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC2CCC2)c(F)c1 ZINC000280108440 194707243 /nfs/dbraw/zinc/70/72/43/194707243.db2.gz BNWYMZWVGBXUQH-SNVBAGLBSA-N 0 0 268.288 2.697 20 5 CFBDRN CN(CCCCCO)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000227109110 382833414 /nfs/dbraw/zinc/83/34/14/382833414.db2.gz KUQWQSFCPXBBEF-UHFFFAOYSA-N 0 0 272.732 2.847 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CCCCCO ZINC000227110634 382833775 /nfs/dbraw/zinc/83/37/75/382833775.db2.gz GYSJAIRNCGVJOY-UHFFFAOYSA-N 0 0 252.314 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(O)CCCC[C@H]3C2)c(F)c1 ZINC000227373286 382836630 /nfs/dbraw/zinc/83/66/30/382836630.db2.gz KXHJGDVLZYOYAI-XHDPSFHLSA-N 0 0 294.326 2.865 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000227605426 382838529 /nfs/dbraw/zinc/83/85/29/382838529.db2.gz YCTPRJIVPJRFFG-GMSGAONNSA-N 0 0 268.700 2.777 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCOCC2CC2)c(Cl)c1 ZINC000227648529 382838912 /nfs/dbraw/zinc/83/89/12/382838912.db2.gz BDCIHADVGOAMOK-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@@H]1CC[C@@H](O)C1 ZINC000227669428 382839206 /nfs/dbraw/zinc/83/92/06/382839206.db2.gz SNIWSCPUXGEIQL-RKDXNWHRSA-N 0 0 270.716 2.821 20 5 CFBDRN O=C(N[C@H]1C[C@H]1c1ccccc1)c1csc([N+](=O)[O-])c1 ZINC000337544600 382839659 /nfs/dbraw/zinc/83/96/59/382839659.db2.gz KVENBALUXCJNTP-RYUDHWBXSA-N 0 0 288.328 2.942 20 5 CFBDRN Cc1cc(NCC2(C)CCOCC2)ncc1[N+](=O)[O-] ZINC000227824180 382841305 /nfs/dbraw/zinc/84/13/05/382841305.db2.gz BQPSFEHHXFRACW-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN C[C@@H](O)CCCNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000228000030 382843358 /nfs/dbraw/zinc/84/33/58/382843358.db2.gz BBSJZKMXINOKSC-SSDOTTSWSA-N 0 0 293.245 2.582 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCOC(C)(C)C2)c1 ZINC000228087295 382844862 /nfs/dbraw/zinc/84/48/62/382844862.db2.gz YUOIEMIWOSAZTQ-SNVBAGLBSA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1cn[nH]c1 ZINC000229882175 382853983 /nfs/dbraw/zinc/85/39/83/382853983.db2.gz XDBJWSQVSWKLDM-MRVPVSSYSA-N 0 0 280.715 2.822 20 5 CFBDRN CC(C)[C@H](CCO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000229941949 382854349 /nfs/dbraw/zinc/85/43/49/382854349.db2.gz NUXDDJDFZAPPHG-JTQLQIEISA-N 0 0 256.277 2.553 20 5 CFBDRN CC(C)[C@@H](CCO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000229941942 382854456 /nfs/dbraw/zinc/85/44/56/382854456.db2.gz NUXDDJDFZAPPHG-SNVBAGLBSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000230217247 382857497 /nfs/dbraw/zinc/85/74/97/382857497.db2.gz PKJHLCWWIASLEG-SNVBAGLBSA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@@H](Nc1cccc(F)c1[N+](=O)[O-])C1CCOCC1 ZINC000230218003 382857501 /nfs/dbraw/zinc/85/75/01/382857501.db2.gz XZKGELTVOSFYAX-SECBINFHSA-N 0 0 268.288 2.961 20 5 CFBDRN CC(C)(C)NC(=O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000093307936 184663148 /nfs/dbraw/zinc/66/31/48/184663148.db2.gz ANNBCMNIHJZAQV-UHFFFAOYSA-N 0 0 286.715 2.542 20 5 CFBDRN CCN(CC)C(=O)[C@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337758660 382862517 /nfs/dbraw/zinc/86/25/17/382862517.db2.gz NLAHJMWIVFEQDK-JTQLQIEISA-N 0 0 282.365 2.944 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)c1cccc(O)c1 ZINC000577570272 382863094 /nfs/dbraw/zinc/86/30/94/382863094.db2.gz SPQBXKKYTKALMQ-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1CCC[C@@H](O)C1 ZINC000230908777 382863477 /nfs/dbraw/zinc/86/34/77/382863477.db2.gz CWFFWYDHEZGYJZ-WDEREUQCSA-N 0 0 250.298 2.558 20 5 CFBDRN CCc1cccnc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000337772028 382863507 /nfs/dbraw/zinc/86/35/07/382863507.db2.gz IKHYRZSIRQKPEC-UHFFFAOYSA-N 0 0 285.303 2.733 20 5 CFBDRN Cc1cnccc1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000230935997 382863727 /nfs/dbraw/zinc/86/37/27/382863727.db2.gz QBCDLQHINIXJAN-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN C[C@H]1CN(Cc2c(Cl)cccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000494188250 382909865 /nfs/dbraw/zinc/90/98/65/382909865.db2.gz IJEJTMIIQADLOD-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN CCc1nsc(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000231868893 382867538 /nfs/dbraw/zinc/86/75/38/382867538.db2.gz MGQKTZJLBXDKNK-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN C[C@@H](NC(=O)NC1(C(F)F)CC1)c1ccccc1[N+](=O)[O-] ZINC000337810086 382867592 /nfs/dbraw/zinc/86/75/92/382867592.db2.gz NGBWWGVICCNFIK-MRVPVSSYSA-N 0 0 299.277 2.753 20 5 CFBDRN CCN(C)C(=O)[C@@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000231865413 382867664 /nfs/dbraw/zinc/86/76/64/382867664.db2.gz PQBFPHKAHFJSGE-MRVPVSSYSA-N 0 0 285.731 2.527 20 5 CFBDRN CCn1cc(CNc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000234210743 382873372 /nfs/dbraw/zinc/87/33/72/382873372.db2.gz HHIQNPVGBOBYCS-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H]1C[C@@H]1C(F)F ZINC000337945307 382875931 /nfs/dbraw/zinc/87/59/31/382875931.db2.gz JFMYECAIDAOCAS-BQBZGAKWSA-N 0 0 274.198 2.574 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cc(C2CC2)no1 ZINC000440721545 382878473 /nfs/dbraw/zinc/87/84/73/382878473.db2.gz IZLYZUQZQMZOGD-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000493705442 382879371 /nfs/dbraw/zinc/87/93/71/382879371.db2.gz JZZJEHNSHOVKGX-CZEYKFRCSA-N 0 0 288.225 2.675 20 5 CFBDRN C[C@H]1CCN(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000337964987 382881907 /nfs/dbraw/zinc/88/19/07/382881907.db2.gz ZMARNIPIZZIVFT-LBPRGKRZSA-N 0 0 291.351 2.531 20 5 CFBDRN O=C(/C=C/[C@@H]1CCOC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000493716370 382882881 /nfs/dbraw/zinc/88/28/81/382882881.db2.gz DIZGVTPIGDCEGM-CITMHJQUSA-N 0 0 296.710 2.779 20 5 CFBDRN CC(C)(CNC(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCCC1 ZINC000337978686 382886428 /nfs/dbraw/zinc/88/64/28/382886428.db2.gz LVQFDUYAVXCXTD-UHFFFAOYSA-N 0 0 279.340 2.869 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000421664262 382889362 /nfs/dbraw/zinc/88/93/62/382889362.db2.gz AUSCVYPUJAAUAS-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2C)CCO1 ZINC000421672062 382892510 /nfs/dbraw/zinc/89/25/10/382892510.db2.gz SPCUOMZTRRQPJZ-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN CCC1(NC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000455331185 382897892 /nfs/dbraw/zinc/89/78/92/382897892.db2.gz KMKQUBCQLUKMSV-UHFFFAOYSA-N 0 0 267.260 2.798 20 5 CFBDRN CC/C=C\CNC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000455337797 382898689 /nfs/dbraw/zinc/89/86/89/382898689.db2.gz QSTPCPJCIKCHGJ-ARJAWSKDSA-N 0 0 289.335 2.629 20 5 CFBDRN CCC[C@@H](CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)OC ZINC000455340904 382898812 /nfs/dbraw/zinc/89/88/12/382898812.db2.gz WDMQNZALCLDTHH-LBPRGKRZSA-N 0 0 299.302 2.671 20 5 CFBDRN Cc1cccnc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000495146260 382964608 /nfs/dbraw/zinc/96/46/08/382964608.db2.gz JJEGFPKLHVOLIX-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN Cc1nc(N[C@@H]2CC[C@H](C)C[C@@H]2C)ncc1[N+](=O)[O-] ZINC000578428199 382920213 /nfs/dbraw/zinc/92/02/13/382920213.db2.gz HNCDOGUEPGAAIZ-ATZCPNFKSA-N 0 0 264.329 2.930 20 5 CFBDRN Cc1ccc(OC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000534399743 382930037 /nfs/dbraw/zinc/93/00/37/382930037.db2.gz XTJXJWAJZFHHBW-GFCCVEGCSA-N 0 0 251.282 2.851 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)CC1(C)CC1 ZINC000338144618 382933409 /nfs/dbraw/zinc/93/34/09/382933409.db2.gz YGONALCVGRYPIL-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1ccncc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000338146335 382934122 /nfs/dbraw/zinc/93/41/22/382934122.db2.gz YXUJQMXBZLBGOQ-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN COc1cccc(CCNc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000338285088 382975486 /nfs/dbraw/zinc/97/54/86/382975486.db2.gz MIXNDHGGTCUOLV-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cncs1 ZINC000444735205 382979104 /nfs/dbraw/zinc/97/91/04/382979104.db2.gz NPKNLHHYJWULQR-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN COc1cc(N2CCc3ncsc3C2)ccc1[N+](=O)[O-] ZINC000445665315 382985135 /nfs/dbraw/zinc/98/51/35/382985135.db2.gz PTGAGVYETPNECZ-UHFFFAOYSA-N 0 0 291.332 2.623 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCc2ncsc2C1 ZINC000445673584 382985170 /nfs/dbraw/zinc/98/51/70/382985170.db2.gz GCMWSKLSCFPFJQ-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN C[C@H](C(=O)NC1(C(F)F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000548845384 382986812 /nfs/dbraw/zinc/98/68/12/382986812.db2.gz ZXOPNSCFOIIJEH-QMMMGPOBSA-N 0 0 284.262 2.612 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cccc([N+](=O)[O-])c2C)nc1 ZINC000457201994 382988210 /nfs/dbraw/zinc/98/82/10/382988210.db2.gz KYYJMLKNEGLWTL-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@@H](C)CN(C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000447673515 382999473 /nfs/dbraw/zinc/99/94/73/382999473.db2.gz YIVZOOPZPODRBG-SECBINFHSA-N 0 0 262.313 2.953 20 5 CFBDRN CC(C)[C@@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000447691197 382999578 /nfs/dbraw/zinc/99/95/78/382999578.db2.gz PDAOUNFRUZNPJR-SNVBAGLBSA-N 0 0 274.324 2.953 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)C2CC2)c1 ZINC000549294087 383004938 /nfs/dbraw/zinc/00/49/38/383004938.db2.gz KSPNDUPQTXIPKM-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@@H]1CCC[C@@H]1F ZINC000549297053 383005404 /nfs/dbraw/zinc/00/54/04/383005404.db2.gz HELYYLCVVITOAU-WDEREUQCSA-N 0 0 296.298 2.992 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000245562758 383007963 /nfs/dbraw/zinc/00/79/63/383007963.db2.gz PIBLEOVYBIGYGH-TZMCWYRMSA-N 0 0 278.352 2.903 20 5 CFBDRN CC[C@]1(C(C)C)C[C@H]1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000457276544 383009800 /nfs/dbraw/zinc/00/98/00/383009800.db2.gz KFJFJHYZPSAOHV-UKRRQHHQSA-N 0 0 291.351 2.853 20 5 CFBDRN O=C(NCCOCC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000457150618 382971276 /nfs/dbraw/zinc/97/12/76/382971276.db2.gz QKGXSQUSFUSEGV-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC(C(C)(C)C)C1 ZINC000457343842 383028791 /nfs/dbraw/zinc/02/87/91/383028791.db2.gz NPOBBKJCLQDPAA-UHFFFAOYSA-N 0 0 292.335 2.722 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)C(C)(F)F ZINC000579596997 383032812 /nfs/dbraw/zinc/03/28/12/383032812.db2.gz ULJKXZXIXFMPDU-SSDOTTSWSA-N 0 0 287.266 2.866 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1)c1cc[nH]c(=O)c1 ZINC000338732388 383032944 /nfs/dbraw/zinc/03/29/44/383032944.db2.gz GJEWHZFOKILCEQ-SECBINFHSA-N 0 0 259.265 2.869 20 5 CFBDRN Cc1c(CN2Cc3cccnc3C2)cccc1[N+](=O)[O-] ZINC000551040180 383033068 /nfs/dbraw/zinc/03/30/68/383033068.db2.gz WIHCPSKDWBGPLP-UHFFFAOYSA-N 0 0 269.304 2.814 20 5 CFBDRN Cc1cnc(NC[C@]2(O)CCCC2(C)C)c([N+](=O)[O-])c1 ZINC000338733202 383033095 /nfs/dbraw/zinc/03/30/95/383033095.db2.gz BTFSMGSFMHWGHK-CQSZACIVSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1cc(OCC(=O)N(C)C2CCCC2)ccc1[N+](=O)[O-] ZINC000280354212 194812052 /nfs/dbraw/zinc/81/20/52/194812052.db2.gz IODDKYGSHSZNHO-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCO[C@H]2CCC[C@H]21 ZINC000551732272 383049611 /nfs/dbraw/zinc/04/96/11/383049611.db2.gz ALBFOPUIIZYXSK-HIFRSBDPSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC2(CCC2)[C@@H]2COC[C@@H]21 ZINC000579808103 383054914 /nfs/dbraw/zinc/05/49/14/383054914.db2.gz PCQGQKUGWOJHKG-RISCZKNCSA-N 0 0 292.310 2.739 20 5 CFBDRN Cc1nc(N2CC[C@H](CC3CC3)C2)ccc1[N+](=O)[O-] ZINC000338804778 383057380 /nfs/dbraw/zinc/05/73/80/383057380.db2.gz BWGIKXDQGWCODR-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCOC[C@H]1CC1CCC1 ZINC000338830211 383063213 /nfs/dbraw/zinc/06/32/13/383063213.db2.gz NRPQPFQMZUNPCL-CYBMUJFWSA-N 0 0 276.336 2.990 20 5 CFBDRN CC(C)OC(C)(C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000448547686 383064960 /nfs/dbraw/zinc/06/49/60/383064960.db2.gz HCYXVMSVARIFOC-UHFFFAOYSA-N 0 0 284.287 2.876 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@@H]2F)c(Cl)c1 ZINC000338840837 383065947 /nfs/dbraw/zinc/06/59/47/383065947.db2.gz DYXVPHVQIIFDDW-IUCAKERBSA-N 0 0 259.668 2.946 20 5 CFBDRN CCC(C)(C)OCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000457711173 383080135 /nfs/dbraw/zinc/08/01/35/383080135.db2.gz PPDRIKHQIHQEQI-NSHDSACASA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCC[C@@H]2F)n1 ZINC000338846428 383067835 /nfs/dbraw/zinc/06/78/35/383067835.db2.gz VXXCGACANZZASU-UWVGGRQHSA-N 0 0 253.277 2.909 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCNc1ccccn1 ZINC000338901133 383080219 /nfs/dbraw/zinc/08/02/19/383080219.db2.gz FEZOBINXCXAMNP-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000457697035 383076699 /nfs/dbraw/zinc/07/66/99/383076699.db2.gz LQKBDIDISFAZOB-SECBINFHSA-N 0 0 278.308 2.978 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000579496233 383023401 /nfs/dbraw/zinc/02/34/01/383023401.db2.gz AKEOKGIKZYWIRM-MNOVXSKESA-N 0 0 250.298 2.583 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)CC[C@H]1C ZINC000579516088 383025116 /nfs/dbraw/zinc/02/51/16/383025116.db2.gz VAAYVRAVFAVBKF-NXEZZACHSA-N 0 0 266.345 2.744 20 5 CFBDRN CC[C@H](O)CCCNc1cccc(C)c1[N+](=O)[O-] ZINC000338672428 383027348 /nfs/dbraw/zinc/02/73/48/383027348.db2.gz CXEPPCOOCVLFGE-NSHDSACASA-N 0 0 252.314 2.866 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000592004532 383122051 /nfs/dbraw/zinc/12/20/51/383122051.db2.gz XBPGCTFKAABUPI-GARJFASQSA-N 0 0 289.335 2.555 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1sccc1F ZINC000339091222 383145697 /nfs/dbraw/zinc/14/56/97/383145697.db2.gz JVDRVJVIFSQASY-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000596435992 383126235 /nfs/dbraw/zinc/12/62/35/383126235.db2.gz GSVPBUHTINZEEA-MGCOHNPYSA-N 0 0 277.324 2.542 20 5 CFBDRN C[C@@H](CO)[C@@H]1CCCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000596435884 383126376 /nfs/dbraw/zinc/12/63/76/383126376.db2.gz OYQVGKRTWGTULZ-CABZTGNLSA-N 0 0 299.758 2.631 20 5 CFBDRN CN(CC1=CCCOC1)c1c(F)cccc1[N+](=O)[O-] ZINC000596465106 383128040 /nfs/dbraw/zinc/12/80/40/383128040.db2.gz HVLIXSOLFBBLQD-UHFFFAOYSA-N 0 0 266.272 2.517 20 5 CFBDRN COc1cccc(Cl)c1Cn1c(C)ncc1[N+](=O)[O-] ZINC000505563665 383143170 /nfs/dbraw/zinc/14/31/70/383143170.db2.gz HXGUZNDSRYFOED-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000552408766 383085383 /nfs/dbraw/zinc/08/53/83/383085383.db2.gz YRRWTBPRYUYBOM-OUJBWJOFSA-N 0 0 262.309 2.613 20 5 CFBDRN C[N@H+](CCc1ccccc1)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000338925174 383087761 /nfs/dbraw/zinc/08/77/61/383087761.db2.gz YUWOLCGKOJFYGM-UHFFFAOYSA-N 0 0 286.331 2.975 20 5 CFBDRN Cc1c(CNC(=O)[C@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000457762261 383092668 /nfs/dbraw/zinc/09/26/68/383092668.db2.gz YEDOPPSQBMGAOI-LLVKDONJSA-N 0 0 276.336 2.956 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000496410084 383098786 /nfs/dbraw/zinc/09/87/86/383098786.db2.gz IWLLCRJQBQLTFS-ONGXEEELSA-N 0 0 291.307 2.762 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000458256697 383144432 /nfs/dbraw/zinc/14/44/32/383144432.db2.gz LSIYEHJWKXZEGN-SWHYSGLUSA-N 0 0 274.320 2.822 20 5 CFBDRN C[C@@H](C1CC1)N(C)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000553272913 383104345 /nfs/dbraw/zinc/10/43/45/383104345.db2.gz TUENXHDLNJEJON-ZETCQYMHSA-N 0 0 278.337 2.834 20 5 CFBDRN Cc1nc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)[nH]c1C ZINC000584540523 383112099 /nfs/dbraw/zinc/11/20/99/383112099.db2.gz BKJUSBAUKDSTOD-NSHDSACASA-N 0 0 274.324 2.786 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000247406135 383171004 /nfs/dbraw/zinc/17/10/04/383171004.db2.gz YCUBQZHMHCFRSF-PJXYFTJBSA-N 0 0 260.293 2.888 20 5 CFBDRN CCOC(C)(C)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000518217751 383195585 /nfs/dbraw/zinc/19/55/85/383195585.db2.gz VZMHPYSFEQOCQJ-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN CCc1noc(C)c1CNCc1ccc([N+](=O)[O-])cc1 ZINC000339441911 383198904 /nfs/dbraw/zinc/19/89/04/383198904.db2.gz UKNSELFOLHUIRG-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])o2)c(C)c1 ZINC000247881062 383199568 /nfs/dbraw/zinc/19/95/68/383199568.db2.gz RFNLPYARLRNIQD-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN CN(Cc1nc2ccccc2[nH]1)c1ncc([N+](=O)[O-])s1 ZINC000566717557 383203734 /nfs/dbraw/zinc/20/37/34/383203734.db2.gz AZSVTJIHQHEDCD-UHFFFAOYSA-N 0 0 289.320 2.564 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000458265866 383147435 /nfs/dbraw/zinc/14/74/35/383147435.db2.gz WDRWJKSCHZYQRV-CDMKHQONSA-N 0 0 290.319 2.522 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)c1cncs1 ZINC000339105442 383150501 /nfs/dbraw/zinc/15/05/01/383150501.db2.gz DFNWFKGJFBDXAK-MRVPVSSYSA-N 0 0 292.320 2.934 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000458284242 383152617 /nfs/dbraw/zinc/15/26/17/383152617.db2.gz RNGAETXLLOPSOJ-USWWRNFRSA-N 0 0 290.319 2.522 20 5 CFBDRN C[C@@H]1CC[C@H]([N@H+](C)Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000339123467 383157708 /nfs/dbraw/zinc/15/77/08/383157708.db2.gz FYGHUAIBWMCBQO-PWSUYJOCSA-N 0 0 264.325 2.921 20 5 CFBDRN C[C@]1(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)CC=CCC1 ZINC000458324730 383163488 /nfs/dbraw/zinc/16/34/88/383163488.db2.gz YFCIXSLCPOZBLF-HNNXBMFYSA-N 0 0 292.310 2.891 20 5 CFBDRN CCOC1CC(COc2c(C)c(C)ncc2[N+](=O)[O-])C1 ZINC000567368407 383268200 /nfs/dbraw/zinc/26/82/00/383268200.db2.gz VLHAYOZLGQJBMA-UHFFFAOYSA-N 0 0 280.324 2.801 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000458885627 383270858 /nfs/dbraw/zinc/27/08/58/383270858.db2.gz GKVHHIFTFXQMBX-LEWSCRJBSA-N 0 0 291.351 3.000 20 5 CFBDRN O=C([O-])[C@H]1CCCC[N@@H+]1C/C=C\c1ccccc1[N+](=O)[O-] ZINC000566843415 383216322 /nfs/dbraw/zinc/21/63/22/383216322.db2.gz SIEQQSNHDUPWRB-BRLPQQTGSA-N 0 0 290.319 2.547 20 5 CFBDRN Cc1cccc(-c2nc([C@H]3CCCO3)no2)c1[N+](=O)[O-] ZINC000566915224 383223887 /nfs/dbraw/zinc/22/38/87/383223887.db2.gz HLGHGPIZTAPFLS-SNVBAGLBSA-N 0 0 275.264 2.805 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)C[C@H]1F)c1ccc([N+](=O)[O-])cc1 ZINC000424849946 383279514 /nfs/dbraw/zinc/27/95/14/383279514.db2.gz JWHAKLXOWIYSBM-RLCGTCKNSA-N 0 0 266.272 2.520 20 5 CFBDRN Cc1coc(C)c1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000339828713 383333076 /nfs/dbraw/zinc/33/30/76/383333076.db2.gz CVZLFJPBHAHASU-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1F ZINC000425153758 383334170 /nfs/dbraw/zinc/33/41/70/383334170.db2.gz JNTWEPFPRAFYSO-CHWFTXMASA-N 0 0 292.310 2.752 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000425160318 383335268 /nfs/dbraw/zinc/33/52/68/383335268.db2.gz RMPODURNXNKLGA-ZSBIGDGJSA-N 0 0 288.347 2.787 20 5 CFBDRN COc1cccc(N[C@@H]2CCC[C@H]3OCC[C@H]32)c1[N+](=O)[O-] ZINC000249281481 383337200 /nfs/dbraw/zinc/33/72/00/383337200.db2.gz GPOYDXCRABRJQU-DMDPSCGWSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H]2CCCN(Cc3cocn3)C2)cc1 ZINC000449506929 383343543 /nfs/dbraw/zinc/34/35/43/383343543.db2.gz ZODTXARNUFOEMT-ZDUSSCGKSA-N 0 0 287.319 2.962 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC12CC2 ZINC000424961152 383298012 /nfs/dbraw/zinc/29/80/12/383298012.db2.gz AIWCKUODJOTZCW-LBPRGKRZSA-N 0 0 292.360 2.989 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000424968479 383299498 /nfs/dbraw/zinc/29/94/98/383299498.db2.gz JOFSIPSLCFGZQJ-PRHODGIISA-N 0 0 278.283 2.506 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000424980659 383303710 /nfs/dbraw/zinc/30/37/10/383303710.db2.gz LHECWRCVOYUQJJ-NWDGAFQWSA-N 0 0 278.283 2.652 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000424986552 383305220 /nfs/dbraw/zinc/30/52/20/383305220.db2.gz MYQYRMFPBALNBH-NSHDSACASA-N 0 0 278.333 2.599 20 5 CFBDRN CC(C)(C)OCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000521827897 383310171 /nfs/dbraw/zinc/31/01/71/383310171.db2.gz WUHGUNHZJOKEEA-UHFFFAOYSA-N 0 0 281.312 2.531 20 5 CFBDRN CO[C@@H](C)CN[C@@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000521885069 383313694 /nfs/dbraw/zinc/31/36/94/383313694.db2.gz NARKDYDBHFLHOU-KWQFWETISA-N 0 0 292.257 2.823 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450404694 383395015 /nfs/dbraw/zinc/39/50/15/383395015.db2.gz CZAPIOHBXUVTSG-CABZTGNLSA-N 0 0 273.292 2.732 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@H](C)S[C@@H](C)C2)c1 ZINC000525705148 383404781 /nfs/dbraw/zinc/40/47/81/383404781.db2.gz CRXADOCYCJZNHV-UWVGGRQHSA-N 0 0 282.365 2.934 20 5 CFBDRN CC[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)C[C@@H](C)O1 ZINC000252442816 383407983 /nfs/dbraw/zinc/40/79/83/383407983.db2.gz DRNOYAMJLZZISV-RISCZKNCSA-N 0 0 294.351 2.603 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCC1(CO)CCC1 ZINC000450439248 383411746 /nfs/dbraw/zinc/41/17/46/383411746.db2.gz MEUIPLOSMFTCAG-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC=C(F)C2)n1 ZINC000408241109 291824967 /nfs/dbraw/zinc/82/49/67/291824967.db2.gz HTJBYKKCNMHAPD-UHFFFAOYSA-N 0 0 251.261 2.670 20 5 CFBDRN Cc1nc(C)c(CNc2c3c(ccc2[N+](=O)[O-])NCC3)o1 ZINC000425267977 383353844 /nfs/dbraw/zinc/35/38/44/383353844.db2.gz NGRFIMLEVLEQKR-UHFFFAOYSA-N 0 0 288.307 2.780 20 5 CFBDRN CC[C@H](C)N(C)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000340119007 383359250 /nfs/dbraw/zinc/35/92/50/383359250.db2.gz DQLNPUHZOVBSBN-JTQLQIEISA-N 0 0 282.365 2.944 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])cc1F ZINC000425303859 383362531 /nfs/dbraw/zinc/36/25/31/383362531.db2.gz PGZFUEBQGVDECW-AYMMMOKOSA-N 0 0 266.272 2.953 20 5 CFBDRN CC[C@H]1CN(c2nccc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000450238632 383387760 /nfs/dbraw/zinc/38/77/60/383387760.db2.gz WNOGPCGUNGQPIF-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1nc(N2CCC[C@@H](C(C)C)C2)ncc1[N+](=O)[O-] ZINC000450471408 383424539 /nfs/dbraw/zinc/42/45/39/383424539.db2.gz KAQVXRUBOQDLMK-LLVKDONJSA-N 0 0 264.329 2.566 20 5 CFBDRN CCC(=O)CCCN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000450487369 383433908 /nfs/dbraw/zinc/43/39/08/383433908.db2.gz SEFQWEWNBZHTTN-UHFFFAOYSA-N 0 0 276.336 2.712 20 5 CFBDRN CCCC[C@H](Sc1ccc([N+](=O)[O-])nc1)C(=O)OC ZINC000450495372 383436691 /nfs/dbraw/zinc/43/66/91/383436691.db2.gz VAQKJLJBBYWOGD-JTQLQIEISA-N 0 0 284.337 2.814 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000526933826 383438633 /nfs/dbraw/zinc/43/86/33/383438633.db2.gz VOKMKEAEYODRCY-GHMZBOCLSA-N 0 0 262.309 2.537 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cn1)c1ccc2cc[nH]c2c1 ZINC000526940908 383439113 /nfs/dbraw/zinc/43/91/13/383439113.db2.gz CQCOSGSFNBFRJM-UHFFFAOYSA-N 0 0 296.286 2.748 20 5 CFBDRN COCC1CC[NH+](C/C=C\c2ccccc2[N+](=O)[O-])CC1 ZINC000255472434 383481879 /nfs/dbraw/zinc/48/18/79/383481879.db2.gz LQLUWANDKVWOBU-XQRVVYSFSA-N 0 0 290.363 2.966 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cc1ccco1 ZINC000428326538 383451310 /nfs/dbraw/zinc/45/13/10/383451310.db2.gz OOOFYVLVZDNPQR-UHFFFAOYSA-N 0 0 274.276 2.986 20 5 CFBDRN Cc1cc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)ncn1 ZINC000428396653 383465182 /nfs/dbraw/zinc/46/51/82/383465182.db2.gz DUEPEACRLSLHMS-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN Nc1ccc(-c2noc([C@H]3C[C@@H]3C3CC3)n2)cc1[N+](=O)[O-] ZINC000340548868 383468368 /nfs/dbraw/zinc/46/83/68/383468368.db2.gz IITZSFQMRCIZIT-ZJUUUORDSA-N 0 0 286.291 2.741 20 5 CFBDRN COCCCCCNc1c(OC)cccc1[N+](=O)[O-] ZINC000569116003 383468762 /nfs/dbraw/zinc/46/87/62/383468762.db2.gz CLECJOPLJFDILG-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCC(O)CC3)CC2)s1 ZINC000450579814 383469419 /nfs/dbraw/zinc/46/94/19/383469419.db2.gz ZODFZTXTVVBWGM-UHFFFAOYSA-N 0 0 297.380 2.573 20 5 CFBDRN CCc1ccccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340554640 383469608 /nfs/dbraw/zinc/46/96/08/383469608.db2.gz DTOYQJXQIMCDAE-UHFFFAOYSA-N 0 0 259.265 2.738 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc(CC2CC2)no1 ZINC000569220933 383478348 /nfs/dbraw/zinc/47/83/48/383478348.db2.gz BIIVMTLZRNWRGW-UHFFFAOYSA-N 0 0 275.264 2.606 20 5 CFBDRN C[C@H](C1CC1)n1cc(Nc2ccc([N+](=O)[O-])nc2)cn1 ZINC000450455701 383417526 /nfs/dbraw/zinc/41/75/26/383417526.db2.gz VMLOLZLAVVLGOB-SECBINFHSA-N 0 0 273.296 2.901 20 5 CFBDRN CC(C)C[C@H]1OCCC[C@@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000480524916 383418002 /nfs/dbraw/zinc/41/80/02/383418002.db2.gz JVJUEQPGLNXVDN-QWHCGFSZSA-N 0 0 279.340 2.995 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCC1CCCCCC1 ZINC000569901637 383547364 /nfs/dbraw/zinc/54/73/64/383547364.db2.gz JBRMBASYBRQUOZ-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN CCc1nc(CNC(=O)c2csc([N+](=O)[O-])c2)cs1 ZINC000341056377 383547763 /nfs/dbraw/zinc/54/77/63/383547763.db2.gz JDEFFELZXVYFJB-UHFFFAOYSA-N 0 0 297.361 2.605 20 5 CFBDRN CCOc1cc(OCCC[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000450915716 383548109 /nfs/dbraw/zinc/54/81/09/383548109.db2.gz RBQJNIYEQXMHFM-SNVBAGLBSA-N 0 0 269.297 2.533 20 5 CFBDRN CO[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1C ZINC000450755949 383485928 /nfs/dbraw/zinc/48/59/28/383485928.db2.gz JTAJEOGTVRDLHS-LDYMZIIASA-N 0 0 285.731 2.504 20 5 CFBDRN CC(C)[C@@H](CO)[C@H](Nc1ncc([N+](=O)[O-])s1)C(C)C ZINC000450774142 383487980 /nfs/dbraw/zinc/48/79/80/383487980.db2.gz SGYYNIAXNPRCBG-MWLCHTKSSA-N 0 0 287.385 2.752 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]([C@H](CO)C2CC2)C2CC2)n1 ZINC000450783990 383489998 /nfs/dbraw/zinc/48/99/98/383489998.db2.gz YWZQKOZZVCEWKY-OCCSQVGLSA-N 0 0 291.351 2.507 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]([C@H](CO)C1CC1)C1CC1 ZINC000450782198 383490141 /nfs/dbraw/zinc/49/01/41/383490141.db2.gz UJSVEFXPCBRDJX-IUODEOHRSA-N 0 0 276.336 2.804 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])c(N)c2F)CCCC1 ZINC000450920493 383550003 /nfs/dbraw/zinc/55/00/03/383550003.db2.gz XYJNXTVJFWPYEE-UHFFFAOYSA-N 0 0 297.330 2.935 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000450801811 383495946 /nfs/dbraw/zinc/49/59/46/383495946.db2.gz XHLGPPAVKZVXJR-OLZOCXBDSA-N 0 0 290.367 2.744 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCC[C@H]1CCOC1 ZINC000450807725 383498053 /nfs/dbraw/zinc/49/80/53/383498053.db2.gz HERZUZAZHNMKDV-SECBINFHSA-N 0 0 254.311 2.509 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000569431248 383498156 /nfs/dbraw/zinc/49/81/56/383498156.db2.gz ITYXMDCDBCNEQM-ONGXEEELSA-N 0 0 256.689 2.838 20 5 CFBDRN Cc1cc(N2CC[C@@H]3C[C@H](O)CC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000450810148 383499237 /nfs/dbraw/zinc/49/92/37/383499237.db2.gz KJJKDBDOMDEEDZ-UMVBOHGHSA-N 0 0 290.363 2.891 20 5 CFBDRN COC1(CSc2ncccc2[N+](=O)[O-])CCC1 ZINC000450814201 383500141 /nfs/dbraw/zinc/50/01/41/383500141.db2.gz XXGNISGNLSKVTG-UHFFFAOYSA-N 0 0 254.311 2.651 20 5 CFBDRN COc1cccc(N[C@@H]2CC[C@H](F)C2)c1[N+](=O)[O-] ZINC000340913538 383501664 /nfs/dbraw/zinc/50/16/64/383501664.db2.gz MQVBNMOJHJSJSD-DTWKUNHWSA-N 0 0 254.261 2.906 20 5 CFBDRN CCOc1cccc([C@H]2C[C@H]2Nc2ccncc2[N+](=O)[O-])c1 ZINC000340913056 383501759 /nfs/dbraw/zinc/50/17/59/383501759.db2.gz VMFMLPWDDBFJHY-UKRRQHHQSA-N 0 0 299.330 2.778 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2(Cc3ccc(F)cc3)CC2)nc1 ZINC000450817636 383502345 /nfs/dbraw/zinc/50/23/45/383502345.db2.gz FWDGVNKNADCDFW-UHFFFAOYSA-N 0 0 288.282 2.711 20 5 CFBDRN C[C@H](O)CCCn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000450819682 383502445 /nfs/dbraw/zinc/50/24/45/383502445.db2.gz GWOFELKPFSKONT-NSHDSACASA-N 0 0 275.308 2.619 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCC[C@@H]1CCOC1 ZINC000450821434 383504003 /nfs/dbraw/zinc/50/40/03/383504003.db2.gz QZPBQNIHCGCDHQ-VIFPVBQESA-N 0 0 255.245 2.539 20 5 CFBDRN COCC(C)(C)N(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000429231324 383506913 /nfs/dbraw/zinc/50/69/13/383506913.db2.gz FKJAKNVAJFKIRN-UHFFFAOYSA-N 0 0 270.304 2.591 20 5 CFBDRN Cc1cnc([C@@H](C)Sc2ccc([N+](=O)[O-])cn2)cn1 ZINC000488250095 383508164 /nfs/dbraw/zinc/50/81/64/383508164.db2.gz MURMAWFAWYPAIV-SECBINFHSA-N 0 0 276.321 2.942 20 5 CFBDRN CCOc1cc(N2CC3(C2)CCCOC3)ccc1[N+](=O)[O-] ZINC000340975001 383515941 /nfs/dbraw/zinc/51/59/41/383515941.db2.gz KONAOJKNZAYMCS-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CC1=CCCCC1 ZINC000450844184 383517291 /nfs/dbraw/zinc/51/72/91/383517291.db2.gz KLLDOGKQKLKZOP-UHFFFAOYSA-N 0 0 268.700 2.910 20 5 CFBDRN CCC1(CNc2nccc3c2cccc3[N+](=O)[O-])COC1 ZINC000450843353 383518052 /nfs/dbraw/zinc/51/80/52/383518052.db2.gz IBINXMQFPBDUIK-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@H]2OC)c([N+](=O)[O-])cc1F ZINC000340998097 383523157 /nfs/dbraw/zinc/52/31/57/383523157.db2.gz SDFIISPLLVBROX-NOZJJQNGSA-N 0 0 298.314 2.970 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341010725 383527973 /nfs/dbraw/zinc/52/79/73/383527973.db2.gz HQGHVAUTTXOQTR-NEPJUHHUSA-N 0 0 293.367 2.871 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1C[C@H]1C1CC1 ZINC000450924876 383551855 /nfs/dbraw/zinc/55/18/55/383551855.db2.gz HBTHMRDCEPJYNY-QWRGUYRKSA-N 0 0 264.329 2.578 20 5 CFBDRN C[C@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000429852369 383573600 /nfs/dbraw/zinc/57/36/00/383573600.db2.gz NZSYJHPGPJZNDH-JTQLQIEISA-N 0 0 276.336 2.787 20 5 CFBDRN CN(C(=O)CSc1ccc([N+](=O)[O-])cc1)[C@H]1CC1(C)C ZINC000489369322 383611226 /nfs/dbraw/zinc/61/12/26/383611226.db2.gz NRDHBOGEAQRBGI-LBPRGKRZSA-N 0 0 294.376 2.944 20 5 CFBDRN CN(CC1CC1)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429899427 383577312 /nfs/dbraw/zinc/57/73/12/383577312.db2.gz CHOFGVKNOMCNLV-UHFFFAOYSA-N 0 0 276.336 2.741 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCCn1cccc1 ZINC000489051181 383594136 /nfs/dbraw/zinc/59/41/36/383594136.db2.gz XUWRSXIEEFHUNR-UHFFFAOYSA-N 0 0 288.307 2.526 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1C1CCC1 ZINC000570336363 383595390 /nfs/dbraw/zinc/59/53/90/383595390.db2.gz PZWRCQTXBBCGRB-UONOGXRCSA-N 0 0 274.320 2.647 20 5 CFBDRN Cc1cc(OCC(=O)N(C)[C@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000430829160 383604492 /nfs/dbraw/zinc/60/44/92/383604492.db2.gz DSENUPDGBCPDRH-ZDUSSCGKSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1cc(O[C@H]2C[C@@H](C)CCC2=O)ccc1[N+](=O)[O-] ZINC000450929662 383554207 /nfs/dbraw/zinc/55/42/07/383554207.db2.gz ITPUHLMGFPSWQJ-XPTSAGLGSA-N 0 0 279.292 2.740 20 5 CFBDRN Cc1nn(C)cc1CSc1cccc([N+](=O)[O-])c1 ZINC000450949645 383565037 /nfs/dbraw/zinc/56/50/37/383565037.db2.gz KICQDECESCDKFU-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000450949779 383565087 /nfs/dbraw/zinc/56/50/87/383565087.db2.gz UDIMWLILKBPVPV-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1nn(CC(=O)c2cc(C)c(F)c(C)c2)cc1[N+](=O)[O-] ZINC000450965328 383570877 /nfs/dbraw/zinc/57/08/77/383570877.db2.gz WYLOLANRDUUSMH-UHFFFAOYSA-N 0 0 291.282 2.739 20 5 CFBDRN Cc1nn([C@@H](C)C(=O)C2CCCCC2)cc1[N+](=O)[O-] ZINC000450965349 383570950 /nfs/dbraw/zinc/57/09/50/383570950.db2.gz XAWASRDSLONONO-JTQLQIEISA-N 0 0 265.313 2.810 20 5 CFBDRN Cc1cc(N[C@@H]2CCn3ccnc3C2)ccc1[N+](=O)[O-] ZINC000489895625 383641906 /nfs/dbraw/zinc/64/19/06/383641906.db2.gz LDJXHNBGIIYRBB-GFCCVEGCSA-N 0 0 272.308 2.527 20 5 CFBDRN CCO[C@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@H]1C ZINC000451552581 383645333 /nfs/dbraw/zinc/64/53/33/383645333.db2.gz YWXHEBDZNVQGJX-ABAIWWIYSA-N 0 0 296.342 2.981 20 5 CFBDRN COc1cc(C[N@@H+]2C[C@@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000490084128 383652042 /nfs/dbraw/zinc/65/20/42/383652042.db2.gz HSHZMMRENUTUPR-WDEREUQCSA-N 0 0 264.325 2.834 20 5 CFBDRN COc1cc(CN(C)[C@H]2CC2(C)C)c([N+](=O)[O-])cc1F ZINC000489516556 383625884 /nfs/dbraw/zinc/62/58/84/383625884.db2.gz QCZQQOVHQYASKZ-ZDUSSCGKSA-N 0 0 282.315 2.973 20 5 CFBDRN C[C@@H](S[C@H]1CCCNC1=O)c1cccc([N+](=O)[O-])c1 ZINC000489602235 383629761 /nfs/dbraw/zinc/62/97/61/383629761.db2.gz IBWLPUSNBBJRNI-SKDRFNHKSA-N 0 0 280.349 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCC[C@H](F)C1 ZINC000570730658 383629839 /nfs/dbraw/zinc/62/98/39/383629839.db2.gz ZFGSMVHNTJJYAY-LBPRGKRZSA-N 0 0 252.289 2.837 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H](c1ccccc1)C1CC1 ZINC000431484370 383676274 /nfs/dbraw/zinc/67/62/74/383676274.db2.gz LWGYZNPWXMNBKI-ZDUSSCGKSA-N 0 0 286.335 2.934 20 5 CFBDRN CCc1noc(CCCNc2ccc([N+](=O)[O-])cc2)n1 ZINC000264628984 383766008 /nfs/dbraw/zinc/76/60/08/383766008.db2.gz OCNBMOTXERLWEA-UHFFFAOYSA-N 0 0 276.296 2.585 20 5 CFBDRN CC(C)Oc1nc(S[C@H](C)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000572303726 383772395 /nfs/dbraw/zinc/77/23/95/383772395.db2.gz VPEJTNIVVIBIAU-DTWKUNHWSA-N 0 0 286.353 2.638 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CCC[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000264697063 383773530 /nfs/dbraw/zinc/77/35/30/383773530.db2.gz VIMOCLAWSIFAID-SNVBAGLBSA-N 0 0 294.355 2.533 20 5 CFBDRN Cc1cnc(N2CCC(OC(C)C)CC2)c([N+](=O)[O-])c1 ZINC000530914512 383804182 /nfs/dbraw/zinc/80/41/82/383804182.db2.gz FBVAQRGCLKYVQW-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1cnc(N2CC[C@@H](C)C(F)(F)C2)c([N+](=O)[O-])c1 ZINC000572672355 383804987 /nfs/dbraw/zinc/80/49/87/383804987.db2.gz XIHCHEWRKPXMAO-SECBINFHSA-N 0 0 271.267 2.780 20 5 CFBDRN COc1ccc(CNC(=O)[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000264957865 383807470 /nfs/dbraw/zinc/80/74/70/383807470.db2.gz CYGMKURAYJEKIU-SNVBAGLBSA-N 0 0 280.324 2.512 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(CC[C@@H]3CCCO3)n2)c1 ZINC000264974760 383809489 /nfs/dbraw/zinc/80/94/89/383809489.db2.gz DWBXNTIXGBSAKI-LBPRGKRZSA-N 0 0 289.291 2.756 20 5 CFBDRN Cc1nn(Cc2csc(-c3ccoc3)n2)cc1[N+](=O)[O-] ZINC000436473034 383821095 /nfs/dbraw/zinc/82/10/95/383821095.db2.gz CRRWDOIGACFQGF-UHFFFAOYSA-N 0 0 290.304 2.865 20 5 CFBDRN COc1cc(N2CC[C@@H](c3cccnc3)C2)ccc1[N+](=O)[O-] ZINC000572837702 383824319 /nfs/dbraw/zinc/82/43/19/383824319.db2.gz AURLMRSYZZHUHR-CYBMUJFWSA-N 0 0 299.330 2.992 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OC(=O)OC(C)(C)C)c1 ZINC000264762874 383780508 /nfs/dbraw/zinc/78/05/08/383780508.db2.gz QHWIVLKMPQFSBR-UHFFFAOYSA-N 0 0 297.263 2.695 20 5 CFBDRN CN(C)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 ZINC000435933955 383781081 /nfs/dbraw/zinc/78/10/81/383781081.db2.gz HHFWSNXDHLEDNH-UHFFFAOYSA-N 0 0 284.290 2.522 20 5 CFBDRN CC[C@@H](C)C[C@H](NCc1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000572484145 383786062 /nfs/dbraw/zinc/78/60/62/383786062.db2.gz AFPRFSRQRANUPC-RISCZKNCSA-N 0 0 294.351 2.662 20 5 CFBDRN C[C@H]1CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000436189043 383786662 /nfs/dbraw/zinc/78/66/62/383786662.db2.gz PSVZHXLKBOCHHT-QWRGUYRKSA-N 0 0 294.376 2.801 20 5 CFBDRN CCOc1cccc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)n1 ZINC000436195138 383787358 /nfs/dbraw/zinc/78/73/58/383787358.db2.gz DEVLDGZIUGSWCQ-UHFFFAOYSA-N 0 0 288.307 2.511 20 5 CFBDRN O=C(C1CCSCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000264852099 383790664 /nfs/dbraw/zinc/79/06/64/383790664.db2.gz MXWAKWTWBNPBBF-UHFFFAOYSA-N 0 0 292.360 2.627 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)ccn1 ZINC000436273269 383796567 /nfs/dbraw/zinc/79/65/67/383796567.db2.gz JFQSCUDGMQFYER-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC1(C)CCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000530848096 383796812 /nfs/dbraw/zinc/79/68/12/383796812.db2.gz ACHLCUNSECFVHY-UHFFFAOYSA-N 0 0 274.276 2.966 20 5 CFBDRN CCC[C@@](C)(O)CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000264899044 383798292 /nfs/dbraw/zinc/79/82/92/383798292.db2.gz UMBBUIAALKXHCY-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN CCO[C@@H](CC)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000531220153 383835786 /nfs/dbraw/zinc/83/57/86/383835786.db2.gz AZJAYFKNAODPPM-FZMZJTMJSA-N 0 0 294.351 2.896 20 5 CFBDRN CN(C)C(=O)[C@@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000265125801 383839158 /nfs/dbraw/zinc/83/91/58/383839158.db2.gz LFMALORTJLSKLU-YPMHNXCESA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1cc(NCCCO[C@H]2CCCCO2)ncc1[N+](=O)[O-] ZINC000281013815 195069631 /nfs/dbraw/zinc/06/96/31/195069631.db2.gz REQYJEHEFCQKQA-AWEZNQCLSA-N 0 0 295.339 2.643 20 5 CFBDRN Cc1ccnc(N2CCc3sccc3C2)c1[N+](=O)[O-] ZINC000265271189 383881451 /nfs/dbraw/zinc/88/14/51/383881451.db2.gz UVVSSXQGFVRJNI-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1cc(NCC[S@](=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000265225463 383867513 /nfs/dbraw/zinc/86/75/13/383867513.db2.gz AHEKJMZBLYFYPD-IBGZPJMESA-N 0 0 284.381 2.862 20 5 CFBDRN CC(C)C[C@@H](C(=O)[O-])[N@H+](C)CCc1ccc([N+](=O)[O-])cc1 ZINC000573097746 383872159 /nfs/dbraw/zinc/87/21/59/383872159.db2.gz PSVUAAXQEHJQEU-AWEZNQCLSA-N 0 0 294.351 2.568 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1C)[C@@H](O)CC ZINC000454136721 383910562 /nfs/dbraw/zinc/91/05/62/383910562.db2.gz AFTQRQAKWUVYQN-YPMHNXCESA-N 0 0 295.339 2.574 20 5 CFBDRN CSCCCNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000265426179 383911929 /nfs/dbraw/zinc/91/19/29/383911929.db2.gz AAFLDDPRMFRHAF-UHFFFAOYSA-N 0 0 294.332 2.824 20 5 CFBDRN COc1ccc(OCCSc2ncco2)c([N+](=O)[O-])c1 ZINC000277884422 533017643 /nfs/dbraw/zinc/01/76/43/533017643.db2.gz CYBOAQQIXXBWIF-UHFFFAOYSA-N 0 0 296.304 2.763 20 5 CFBDRN C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000454150099 383917599 /nfs/dbraw/zinc/91/75/99/383917599.db2.gz PRRQLMNMDMEBPQ-ZETCQYMHSA-N 0 0 273.239 2.760 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](CO)Cc2ccco2)c1 ZINC000531788343 383920335 /nfs/dbraw/zinc/92/03/35/383920335.db2.gz YLXOWCSESGYKNV-GFCCVEGCSA-N 0 0 290.319 2.759 20 5 CFBDRN CC(C)[C@H](CC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000437917600 383921316 /nfs/dbraw/zinc/92/13/16/383921316.db2.gz SUAFVKCBIJXCCW-VIFPVBQESA-N 0 0 293.245 2.630 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@@H](C)[C@H](C)O1 ZINC000437919198 383921724 /nfs/dbraw/zinc/92/17/24/383921724.db2.gz UKCKBMYFGPHAEQ-VWYCJHECSA-N 0 0 293.323 2.624 20 5 CFBDRN Cc1ccc(NC(=O)c2cncnc2C2CC2)cc1[N+](=O)[O-] ZINC000454162324 383922552 /nfs/dbraw/zinc/92/25/52/383922552.db2.gz XGXTZRHKZOMNAU-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1ccc(OC[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000531876176 383935194 /nfs/dbraw/zinc/93/51/94/383935194.db2.gz SURYPJCTMCPJNQ-LLVKDONJSA-N 0 0 251.282 2.709 20 5 CFBDRN C[C@@H](O)[C@H]1CCCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000265496666 383933021 /nfs/dbraw/zinc/93/30/21/383933021.db2.gz WVWXLOZFYZUSNG-QMTHXVAHSA-N 0 0 298.770 2.984 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000531536390 383882272 /nfs/dbraw/zinc/88/22/72/383882272.db2.gz DDQBEIFMZHQCAY-TZMCWYRMSA-N 0 0 291.351 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC3CCOCC3)n2)cc1 ZINC000265342759 383896916 /nfs/dbraw/zinc/89/69/16/383896916.db2.gz RPRSBJBCITZUNT-UHFFFAOYSA-N 0 0 289.291 2.614 20 5 CFBDRN C[C@H]1C[C@H](c2ccccc2)CN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265358904 383901336 /nfs/dbraw/zinc/90/13/36/383901336.db2.gz ZDLAOSLIARYEFB-AAEUAGOBSA-N 0 0 299.330 2.941 20 5 CFBDRN CC[C@@H](CO)N[C@@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000573853563 384001879 /nfs/dbraw/zinc/00/18/79/384001879.db2.gz KMOUSBSTEFVQSP-ONGXEEELSA-N 0 0 292.257 2.559 20 5 CFBDRN Cc1occc1-c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000573851880 384001918 /nfs/dbraw/zinc/00/19/18/384001918.db2.gz YBPOSUKAXAPRBH-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532138080 383981898 /nfs/dbraw/zinc/98/18/98/383981898.db2.gz IBJJHAXRBUYIQW-GHMZBOCLSA-N 0 0 277.324 2.688 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])cn2)c1C ZINC000532137437 383982541 /nfs/dbraw/zinc/98/25/41/383982541.db2.gz OUEUQZQXWHZNKE-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CCC(CC)(OC)c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000573767733 383986073 /nfs/dbraw/zinc/98/60/73/383986073.db2.gz VCSODMSJWTZTQL-UHFFFAOYSA-N 0 0 292.295 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CC[C@H](C(=O)[O-])[C@@H]1C ZINC000573809879 383995789 /nfs/dbraw/zinc/99/57/89/383995789.db2.gz RXYOBAAAXMIDLC-GVXVVHGQSA-N 0 0 292.335 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(C3CCCC3)no2)nc1 ZINC000531883862 383937186 /nfs/dbraw/zinc/93/71/86/383937186.db2.gz XQXLDWYFHPPYFU-UHFFFAOYSA-N 0 0 260.253 2.697 20 5 CFBDRN CCCC[C@@H](COC)Nc1ccc([N+](=O)[O-])cn1 ZINC000265515259 383937725 /nfs/dbraw/zinc/93/77/25/383937725.db2.gz QFXWVAKLFCOVGX-JTQLQIEISA-N 0 0 253.302 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CCc3ccccc3)no2)nc1 ZINC000531883701 383937762 /nfs/dbraw/zinc/93/77/62/383937762.db2.gz QEUMWJXIFDPVIU-UHFFFAOYSA-N 0 0 296.286 2.825 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ncc([N+](=O)[O-])cc1Cl ZINC000265525704 383939072 /nfs/dbraw/zinc/93/90/72/383939072.db2.gz MUIIPSICFVCMQE-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC000531887365 383939420 /nfs/dbraw/zinc/93/94/20/383939420.db2.gz QDUAQPYLRPJCBR-UHFFFAOYSA-N 0 0 289.266 2.796 20 5 CFBDRN COc1cc(NC(=O)c2csc([N+](=O)[O-])c2)ccc1O ZINC000438077519 383942762 /nfs/dbraw/zinc/94/27/62/383942762.db2.gz IYDIUZXDQXDVFC-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000573689456 383972572 /nfs/dbraw/zinc/97/25/72/383972572.db2.gz RZOXRUAUCXEABI-JQWIXIFHSA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)CCCOC(C)C ZINC000265857064 384018464 /nfs/dbraw/zinc/01/84/64/384018464.db2.gz QZLYBUUETFUKIL-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN CC/C=C\CNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000454756477 384022852 /nfs/dbraw/zinc/02/28/52/384022852.db2.gz IPHANOCPMCGLCP-IBHLPDHGSA-N 0 0 277.324 2.921 20 5 CFBDRN CC[C@@H](Cc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532392632 384023049 /nfs/dbraw/zinc/02/30/49/384023049.db2.gz AZNYSSCVSFOSMH-ZDUSSCGKSA-N 0 0 299.330 2.741 20 5 CFBDRN O=C(Nc1cccc(Cl)c1)c1ccc([N+](=O)[O-])cn1 ZINC000532392703 384023114 /nfs/dbraw/zinc/02/31/14/384023114.db2.gz GMISVNGFMXXLEW-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN O=C(NCc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cn1 ZINC000532391910 384023203 /nfs/dbraw/zinc/02/32/03/384023203.db2.gz UWLHEUUKMCKDLH-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CCc1cccc(NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000532391688 384023440 /nfs/dbraw/zinc/02/34/40/384023440.db2.gz ZLNXARJCXDFNLS-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](CCCO)c1ccccc1 ZINC000265815115 384006810 /nfs/dbraw/zinc/00/68/10/384006810.db2.gz GNYLKOMKFKJXRV-ZDUSSCGKSA-N 0 0 287.319 2.916 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCC1(C)CC1 ZINC000439875332 384046723 /nfs/dbraw/zinc/04/67/23/384046723.db2.gz DEEUGFVCLAIAJU-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)C2CC2)c1 ZINC000266005224 384050172 /nfs/dbraw/zinc/05/01/72/384050172.db2.gz GGQAAMJYGJRNOH-SNVBAGLBSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])[C@@H](C)C1 ZINC000265966268 384038274 /nfs/dbraw/zinc/03/82/74/384038274.db2.gz ZKBJIYWADYKODH-NEPJUHHUSA-N 0 0 291.351 2.925 20 5 CFBDRN CO[C@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000266027364 384059239 /nfs/dbraw/zinc/05/92/39/384059239.db2.gz BNPLNXKQUGLVRS-KGLIPLIRSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000454859453 384059763 /nfs/dbraw/zinc/05/97/63/384059763.db2.gz SRWCHBJWIVQLBH-YPMHNXCESA-N 0 0 291.351 2.749 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000266043486 384062218 /nfs/dbraw/zinc/06/22/18/384062218.db2.gz UKRXYOJTLYOVNE-ZDUSSCGKSA-N 0 0 276.292 2.950 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C[C@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000454891563 384071781 /nfs/dbraw/zinc/07/17/81/384071781.db2.gz SNSHUSGURKYYNG-QCZZGDTMSA-N 0 0 289.335 2.754 20 5 CFBDRN C[C@@H](C(=O)N[C@H](C)C(C)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000574488887 384104686 /nfs/dbraw/zinc/10/46/86/384104686.db2.gz DHGOEIYHGKPJQF-RKDXNWHRSA-N 0 0 286.278 2.858 20 5 CFBDRN CCc1ccc(OCC2(CC(=O)OC)CC2)c([N+](=O)[O-])c1 ZINC000440592321 384109536 /nfs/dbraw/zinc/10/95/36/384109536.db2.gz FWCNWJCYXMYAMV-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN CC(C)(NC(=O)C1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000440620892 384114278 /nfs/dbraw/zinc/11/42/78/384114278.db2.gz PYUVIFGAQPTNSS-UHFFFAOYSA-N 0 0 298.289 2.991 20 5 CFBDRN CC(C)(C)c1noc(OCc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000574413534 384093926 /nfs/dbraw/zinc/09/39/26/384093926.db2.gz JQZGUWYKPBVECQ-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000454963188 384094634 /nfs/dbraw/zinc/09/46/34/384094634.db2.gz RALRLNAJIFGTER-WCQGTBRESA-N 0 0 295.314 2.846 20 5 CFBDRN COc1cc(NC[C@H]2CC23CC3)c(F)cc1[N+](=O)[O-] ZINC000418980303 533035153 /nfs/dbraw/zinc/03/51/53/533035153.db2.gz OVEMGBTUIIVWDR-MRVPVSSYSA-N 0 0 266.272 2.955 20 5 CFBDRN CCn1nc(C)c(Nc2ncccc2[N+](=O)[O-])c1C ZINC000266284599 384136498 /nfs/dbraw/zinc/13/64/98/384136498.db2.gz VFBFFQOLYPMCOM-UHFFFAOYSA-N 0 0 261.285 2.567 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCCC(C)(C)CO)c1 ZINC000266285377 384137040 /nfs/dbraw/zinc/13/70/40/384137040.db2.gz VKBUKIGRNSNLPG-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN CC(C)c1ncc(CN(C)c2ccc([N+](=O)[O-])nc2)cn1 ZINC000440680875 384121963 /nfs/dbraw/zinc/12/19/63/384121963.db2.gz ZDPLVYSXMNSULC-UHFFFAOYSA-N 0 0 287.323 2.540 20 5 CFBDRN CC1(C)CCCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000266253446 384129401 /nfs/dbraw/zinc/12/94/01/384129401.db2.gz NORODZOXGLDRBY-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1cnc(C)nc1N(C)Cc1ccccc1[N+](=O)[O-] ZINC000574849714 384153654 /nfs/dbraw/zinc/15/36/54/384153654.db2.gz UPASLFFOPBLCDR-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN COCCCOC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000266294627 384139044 /nfs/dbraw/zinc/13/90/44/384139044.db2.gz XFOWKQNBYYQLFN-UHFFFAOYSA-N 0 0 294.351 2.617 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]1c1ccccc1 ZINC000266310852 384143250 /nfs/dbraw/zinc/14/32/50/384143250.db2.gz NDZXGPPWTRDVMJ-IINYFYTJSA-N 0 0 285.303 2.756 20 5 CFBDRN COC1CCC(CNC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000426876516 533040955 /nfs/dbraw/zinc/04/09/55/533040955.db2.gz UQVMWGIKNYVAJV-UHFFFAOYSA-N 0 0 298.364 2.591 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H]1c1cc[nH]n1 ZINC000278771641 285091292 /nfs/dbraw/zinc/09/12/92/285091292.db2.gz UVHNDJSACZWSDS-SNVBAGLBSA-N 0 0 293.714 2.708 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C3CC3)[nH]n2)c1 ZINC000266564535 384199080 /nfs/dbraw/zinc/19/90/80/384199080.db2.gz OAKJATZXDWRJMI-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCCOCC1 ZINC000182995469 285093221 /nfs/dbraw/zinc/09/32/21/285093221.db2.gz FBUPQGQQBUDJHL-VIFPVBQESA-N 0 0 271.704 2.624 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)CC1CCCC1 ZINC000277169660 384221553 /nfs/dbraw/zinc/22/15/53/384221553.db2.gz RJWKZUIUGFUXER-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1C[C@@H]1C ZINC000277191377 384225872 /nfs/dbraw/zinc/22/58/72/384225872.db2.gz WRJSVSKDEOPUJG-UWVGGRQHSA-N 0 0 250.298 2.809 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)Cc1nccs1 ZINC000266840485 384245076 /nfs/dbraw/zinc/24/50/76/384245076.db2.gz MBBRJPLOSXXQOW-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCCCCO2)s1 ZINC000408109431 285099038 /nfs/dbraw/zinc/09/90/38/285099038.db2.gz FSRUFPWSUYNIDN-UHFFFAOYSA-N 0 0 280.309 2.521 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCC[C@H](F)C2)s1 ZINC000408103360 285099124 /nfs/dbraw/zinc/09/91/24/285099124.db2.gz AGDWBQBTTBVMGG-ZETCQYMHSA-N 0 0 282.300 2.538 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC3CCC2CC3)s1 ZINC000367429203 285099159 /nfs/dbraw/zinc/09/91/59/285099159.db2.gz GKZVUPGQRKUTAD-UHFFFAOYSA-N 0 0 290.348 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](CC(F)(F)F)C2)nc1 ZINC000277289552 384257227 /nfs/dbraw/zinc/25/72/27/384257227.db2.gz ATTPRPOQMFRHLT-QMMMGPOBSA-N 0 0 275.230 2.769 20 5 CFBDRN CC[C@H]1OCCC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000277240981 384241418 /nfs/dbraw/zinc/24/14/18/384241418.db2.gz OLGCUPAGNXMRNU-QWHCGFSZSA-N 0 0 278.308 2.739 20 5 CFBDRN CC(C)(C)CCS(=O)(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000267170267 384312791 /nfs/dbraw/zinc/31/27/91/384312791.db2.gz UIVIATDIVZFFLP-UHFFFAOYSA-N 0 0 286.353 2.773 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1CCCSC1 ZINC000352354863 285101509 /nfs/dbraw/zinc/10/15/09/285101509.db2.gz FIYJKDGMQCYEJG-LLVKDONJSA-N 0 0 289.360 2.872 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CC(C)(C)O1 ZINC000267017820 384280440 /nfs/dbraw/zinc/28/04/40/384280440.db2.gz JNFUMKPIWZWPCD-SNVBAGLBSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@]1(C)CCCC[C@H]1O ZINC000267029670 384281890 /nfs/dbraw/zinc/28/18/90/384281890.db2.gz AUFBHVHZWQHYQN-OCCSQVGLSA-N 0 0 279.340 2.651 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H](C)CC1 ZINC000277377931 384283121 /nfs/dbraw/zinc/28/31/21/384283121.db2.gz DTHCWTANCDUHRA-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2C[C@H]3CCC[C@@H]3C2)s1 ZINC000408103312 285100226 /nfs/dbraw/zinc/10/02/26/285100226.db2.gz MFNUIPVNQKYVPB-RKDXNWHRSA-N 0 0 290.348 2.836 20 5 CFBDRN C[C@@H]1CSCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000277420727 384296664 /nfs/dbraw/zinc/29/66/64/384296664.db2.gz MQRVAORIZRBCAF-QMMMGPOBSA-N 0 0 287.772 2.833 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1CCCC[C@H]1O ZINC000276722727 285102803 /nfs/dbraw/zinc/10/28/03/285102803.db2.gz ZRUZDPXBUBBEPG-GXTWGEPZSA-N 0 0 287.319 2.858 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCO[C@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000267271168 384342084 /nfs/dbraw/zinc/34/20/84/384342084.db2.gz ZQAMUIMUZRKVFT-AAEUAGOBSA-N 0 0 279.340 2.771 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277594253 384347898 /nfs/dbraw/zinc/34/78/98/384347898.db2.gz NVLUSFHTFZMDHK-UWVGGRQHSA-N 0 0 280.324 2.768 20 5 CFBDRN CCC1(C(=O)Nc2cc([N+](=O)[O-])ccc2OC)CC1 ZINC000267368623 384369816 /nfs/dbraw/zinc/36/98/16/384369816.db2.gz FDGPWYMLNCEUIW-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN CCCN(CC(C)(C)O)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000277935193 384444745 /nfs/dbraw/zinc/44/47/45/384444745.db2.gz IEFUKNVLWBCYNW-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)COC(C)C)cc1[N+](=O)[O-] ZINC000267719566 384451556 /nfs/dbraw/zinc/45/15/56/384451556.db2.gz YHAIEROFJNSMQU-LLVKDONJSA-N 0 0 280.324 2.505 20 5 CFBDRN CC[C@H]1OCCC[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000277980568 384452541 /nfs/dbraw/zinc/45/25/41/384452541.db2.gz YIZNWPADSZSSRS-QWHCGFSZSA-N 0 0 278.308 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCS[C@H]3CCCC[C@@H]32)nc1 ZINC000267746033 384455912 /nfs/dbraw/zinc/45/59/12/384455912.db2.gz GVLVHLBIGFCWNI-RYUDHWBXSA-N 0 0 279.365 2.854 20 5 CFBDRN CC(C)(C)CC(=O)NCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000277865573 384427803 /nfs/dbraw/zinc/42/78/03/384427803.db2.gz DEHUIJDOXDDJIZ-UHFFFAOYSA-N 0 0 290.323 2.524 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)[C@@H]1C ZINC000267631035 384429229 /nfs/dbraw/zinc/42/92/29/384429229.db2.gz JNHKMHYLFDASEY-HTQZYQBOSA-N 0 0 255.705 2.878 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@H](C)CCO ZINC000277902216 384435680 /nfs/dbraw/zinc/43/56/80/384435680.db2.gz XVKABYUUKDKOSL-MRVPVSSYSA-N 0 0 272.732 2.987 20 5 CFBDRN CC(C)(C)n1cc(Nc2ccc([N+](=O)[O-])nc2)cn1 ZINC000344435171 220281036 /nfs/dbraw/zinc/28/10/36/220281036.db2.gz OIRAPMBJQSXNCF-UHFFFAOYSA-N 0 0 261.285 2.685 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc(CC3CC3)n2)c1 ZINC000277919841 384440540 /nfs/dbraw/zinc/44/05/40/384440540.db2.gz NFPIPELQXSKPSY-UHFFFAOYSA-N 0 0 289.291 2.530 20 5 CFBDRN Cc1cc(N2CC[C@H](OCCC(C)C)C2)ncc1[N+](=O)[O-] ZINC000267680501 384441425 /nfs/dbraw/zinc/44/14/25/384441425.db2.gz PHXVCOGVXKPHSX-ZDUSSCGKSA-N 0 0 293.367 2.940 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000267792699 384465984 /nfs/dbraw/zinc/46/59/84/384465984.db2.gz SAEZPTCENXOVBK-GRPTYIHUSA-N 0 0 274.320 2.722 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C[C@H]1C ZINC000267806791 384469482 /nfs/dbraw/zinc/46/94/82/384469482.db2.gz UVWBPXJBZKGUCK-NXEZZACHSA-N 0 0 287.319 2.804 20 5 CFBDRN Cc1cccc(F)c1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000345366472 220286161 /nfs/dbraw/zinc/28/61/61/220286161.db2.gz DZFAXIPGRICCKA-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN CCCC(=O)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000289413759 197335870 /nfs/dbraw/zinc/33/58/70/197335870.db2.gz VHOYTCDEDDNAAO-UHFFFAOYSA-N 0 0 273.292 2.828 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000267981551 384503197 /nfs/dbraw/zinc/50/31/97/384503197.db2.gz GMCGELVZDZZHKA-SNVBAGLBSA-N 0 0 287.319 2.948 20 5 CFBDRN Cc1cccc(OC(=O)OC2CCOCC2)c1[N+](=O)[O-] ZINC000289384042 197322374 /nfs/dbraw/zinc/32/23/74/197322374.db2.gz CSPFPLPGJCCYHE-UHFFFAOYSA-N 0 0 281.264 2.598 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000268028790 384514266 /nfs/dbraw/zinc/51/42/66/384514266.db2.gz JRPPDVSDRNTYFY-SNVBAGLBSA-N 0 0 287.319 2.948 20 5 CFBDRN C[C@H]1CN(C(=O)CCc2cccc([N+](=O)[O-])c2)C[C@@H]1C ZINC000268037686 384515535 /nfs/dbraw/zinc/51/55/35/384515535.db2.gz JBRABPMPMNBDBM-RYUDHWBXSA-N 0 0 276.336 2.642 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000268096609 384530592 /nfs/dbraw/zinc/53/05/92/384530592.db2.gz AGSQSEDOHUUQBS-QWHCGFSZSA-N 0 0 278.308 2.515 20 5 CFBDRN Cc1cc(CN(C)c2cc(C)ccc2[N+](=O)[O-])no1 ZINC000268099985 384531684 /nfs/dbraw/zinc/53/16/84/384531684.db2.gz KQEXRPBRRYBBRN-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN C[C@H]1CCCN1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268108113 384533519 /nfs/dbraw/zinc/53/35/19/384533519.db2.gz OHXQESQKYBUIBN-VIFPVBQESA-N 0 0 297.742 2.942 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000268145067 384542864 /nfs/dbraw/zinc/54/28/64/384542864.db2.gz JWCPFLZAQYSMFJ-JTQLQIEISA-N 0 0 265.313 2.575 20 5 CFBDRN CN(CCC1CCOCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000268143428 384543736 /nfs/dbraw/zinc/54/37/36/384543736.db2.gz LBUDFSZOZIHNNS-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN COc1cc(NC[C@@H]2CCCC[C@@H]2O)c([N+](=O)[O-])cc1F ZINC000268168029 384551934 /nfs/dbraw/zinc/55/19/34/384551934.db2.gz IKJKRIWOKZQRLF-ZANVPECISA-N 0 0 298.314 2.706 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Oc2cc([N+](=O)[O-])ccc2C)O1 ZINC000268217704 384568591 /nfs/dbraw/zinc/56/85/91/384568591.db2.gz CMSBHHOJUBKJJC-NWDGAFQWSA-N 0 0 279.292 2.766 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)[C@@H]1CCCCO1 ZINC000278438950 384573139 /nfs/dbraw/zinc/57/31/39/384573139.db2.gz DXGLQQSNICLXGE-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000413701048 533077351 /nfs/dbraw/zinc/07/73/51/533077351.db2.gz LIHQYHAUWMBHNW-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)O1 ZINC000268251337 384580078 /nfs/dbraw/zinc/58/00/78/384580078.db2.gz BZLWKQYNNCFUHV-LERXQTSPSA-N 0 0 292.335 2.730 20 5 CFBDRN CSc1cc(C)ccc1CNc1c([N+](=O)[O-])ncn1C ZINC000268256198 384581901 /nfs/dbraw/zinc/58/19/01/384581901.db2.gz QLGLAERWTOABKX-UHFFFAOYSA-N 0 0 292.364 2.971 20 5 CFBDRN Cc1cc(N2CC[C@H](OCC(C)C)C2)ncc1[N+](=O)[O-] ZINC000268280766 384589909 /nfs/dbraw/zinc/58/99/09/384589909.db2.gz QMHWVSBWOIYRLU-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@@H]3CCC[C@H]3C2)nc2sccn21 ZINC000268209833 384567789 /nfs/dbraw/zinc/56/77/89/384567789.db2.gz IARLOBZUHZYFOE-IUCAKERBSA-N 0 0 278.337 2.540 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000279373808 384617640 /nfs/dbraw/zinc/61/76/40/384617640.db2.gz HTNZWTYUMFORSL-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(CCOC3)C2)c2ncccc12 ZINC000301620505 285129288 /nfs/dbraw/zinc/12/92/88/285129288.db2.gz XQCMWCGRTHZDJR-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccsc3C2)cn1 ZINC000340589540 285132029 /nfs/dbraw/zinc/13/20/29/285132029.db2.gz SDQZXVWBPZGKBO-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN COCc1ccc(CNCc2ccccc2[N+](=O)[O-])o1 ZINC000268763919 384656647 /nfs/dbraw/zinc/65/66/47/384656647.db2.gz ASLNSVFWQACJER-UHFFFAOYSA-N 0 0 276.292 2.624 20 5 CFBDRN COc1ccc(C(=O)NCCC2(C)CC2)cc1[N+](=O)[O-] ZINC000279556220 384677023 /nfs/dbraw/zinc/67/70/23/384677023.db2.gz XSCPINZXTNYKER-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN C[C@H]1C(=O)CC[C@H]1CC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000279667595 384715313 /nfs/dbraw/zinc/71/53/13/384715313.db2.gz OKJQBPXWMHRYQV-ZJUUUORDSA-N 0 0 276.292 2.539 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269555125 384787958 /nfs/dbraw/zinc/78/79/58/384787958.db2.gz YXQNAHXEEOTJLA-VHSXEESVSA-N 0 0 296.371 2.996 20 5 CFBDRN CC1(C(=O)COc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000279860991 384791280 /nfs/dbraw/zinc/79/12/80/384791280.db2.gz FSYMZJHDXWAQCW-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN CC(C)(C)OC(=O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269627657 384810235 /nfs/dbraw/zinc/81/02/35/384810235.db2.gz KGQOJAUMTWYAGA-UHFFFAOYSA-N 0 0 288.250 2.627 20 5 CFBDRN COc1cc(OCCCSC)ccc1[N+](=O)[O-] ZINC000355947963 533092249 /nfs/dbraw/zinc/09/22/49/533092249.db2.gz WYJMYYKPBIABMJ-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC2(C)CC2)c1 ZINC000280058344 384867986 /nfs/dbraw/zinc/86/79/86/384867986.db2.gz PJMVKMMAMCEKKJ-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000280116924 384890674 /nfs/dbraw/zinc/89/06/74/384890674.db2.gz WFHNXYOSQQXMDV-NSHDSACASA-N 0 0 278.308 2.778 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC(CF)C3)c2c1 ZINC000413507540 285147355 /nfs/dbraw/zinc/14/73/55/285147355.db2.gz SXOMILVMFLUUPI-UHFFFAOYSA-N 0 0 261.256 2.549 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H]1C ZINC000280399214 385004002 /nfs/dbraw/zinc/00/40/02/385004002.db2.gz KRXCHETXXLJNGH-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1noc(-c2cc([N+](=O)[O-])ccc2N2CCCC2)n1 ZINC000280406652 385007307 /nfs/dbraw/zinc/00/73/07/385007307.db2.gz YDKWPHMXNYVCOP-UHFFFAOYSA-N 0 0 274.280 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCC(F)(F)C3)ccc2c1 ZINC000345102081 285148509 /nfs/dbraw/zinc/14/85/09/285148509.db2.gz HGQAJCDKYAOYNP-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccn(C(C)C)n2)c1[N+](=O)[O-] ZINC000280428931 385014961 /nfs/dbraw/zinc/01/49/61/385014961.db2.gz JGQBFVKVXQXPOF-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CC1=CCN([C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000270359340 385069032 /nfs/dbraw/zinc/06/90/32/385069032.db2.gz RFXKRUXKEWWDRZ-GFCCVEGCSA-N 0 0 289.335 2.574 20 5 CFBDRN CC1=CCN([C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000270367091 385073446 /nfs/dbraw/zinc/07/34/46/385073446.db2.gz SRUFXHXMYRQECO-GFCCVEGCSA-N 0 0 289.335 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCOC4(CCC4)C3)ccc2c1 ZINC000270816946 285149668 /nfs/dbraw/zinc/14/96/68/285149668.db2.gz QWJLNBYGMUOTJP-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN Cc1ccc(NC(=O)C2SCCS2)cc1[N+](=O)[O-] ZINC000270388701 385083131 /nfs/dbraw/zinc/08/31/31/385083131.db2.gz GEHDKGIPQGARJI-UHFFFAOYSA-N 0 0 284.362 2.648 20 5 CFBDRN C[C@H](c1ccc(F)cc1)N(C)c1c([N+](=O)[O-])ncn1C ZINC000280495981 385035694 /nfs/dbraw/zinc/03/56/94/385035694.db2.gz MPBNROXPNONRPE-SECBINFHSA-N 0 0 278.287 2.665 20 5 CFBDRN CC1=CCCN([C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000280719097 385127006 /nfs/dbraw/zinc/12/70/06/385127006.db2.gz FMQAYVVPASLLBE-LBPRGKRZSA-N 0 0 289.335 2.574 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC1([S@](C)=O)CCC1 ZINC000280729045 385130712 /nfs/dbraw/zinc/13/07/12/385130712.db2.gz JRAFYGJNHOZEIT-IBGZPJMESA-N 0 0 282.365 2.616 20 5 CFBDRN CCC(=O)c1ccc(N(C)C[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000280735439 385134554 /nfs/dbraw/zinc/13/45/54/385134554.db2.gz NTDMEZDEOWBGLX-LLVKDONJSA-N 0 0 292.335 2.660 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@@](C)(OC)C1 ZINC000280617019 385085812 /nfs/dbraw/zinc/08/58/12/385085812.db2.gz YRYHFZBSBMXZIF-OAHLLOKOSA-N 0 0 294.351 2.747 20 5 CFBDRN COc1cc(C(=O)N2CCC=C(C)C2)cc([N+](=O)[O-])c1C ZINC000280620075 385087237 /nfs/dbraw/zinc/08/72/37/385087237.db2.gz FOTWFIYOXHWSOH-UHFFFAOYSA-N 0 0 290.319 2.704 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@](C)(O)C(F)(F)F)c1 ZINC000270442532 385106386 /nfs/dbraw/zinc/10/63/86/385106386.db2.gz GFBAKPCTSKXZFY-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC000280766001 385145167 /nfs/dbraw/zinc/14/51/67/385145167.db2.gz NXQUSQVKXFRBCS-UMSPYCQHSA-N 0 0 290.298 2.826 20 5 CFBDRN CCc1ccc(C(=O)N2CCC=C(C)C2)cc1[N+](=O)[O-] ZINC000280786810 385153159 /nfs/dbraw/zinc/15/31/59/385153159.db2.gz QKDVINXSLPXIMG-UHFFFAOYSA-N 0 0 274.320 2.949 20 5 CFBDRN COC[C@H]1CCCN(c2cc(OC)c([N+](=O)[O-])cc2F)C1 ZINC000270587701 385156882 /nfs/dbraw/zinc/15/68/82/385156882.db2.gz URPGVZDQUXZMKZ-JTQLQIEISA-N 0 0 298.314 2.605 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](C)[C@@H](C)CO)c([N+](=O)[O-])c1 ZINC000280814115 385164643 /nfs/dbraw/zinc/16/46/43/385164643.db2.gz XFXFLYQGAJVBPR-VHSXEESVSA-N 0 0 280.324 2.616 20 5 CFBDRN CC1(CCNC(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000280829693 385170533 /nfs/dbraw/zinc/17/05/33/385170533.db2.gz OBNSZFIMIZETBC-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)[C@H](O)C(F)(F)F ZINC000270788561 385225705 /nfs/dbraw/zinc/22/57/05/385225705.db2.gz LCZSWWJEQSZAMA-SCZZXKLOSA-N 0 0 296.220 2.848 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@H]3CCCC[C@H]3O)ccnc21 ZINC000214747306 285157328 /nfs/dbraw/zinc/15/73/28/285157328.db2.gz NJZSXZLBQMASAX-GXTWGEPZSA-N 0 0 287.319 2.858 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2nn(C)cc2[N+](=O)[O-])c(C)c1 ZINC000271019362 385305513 /nfs/dbraw/zinc/30/55/13/385305513.db2.gz NQCQZDCEKVDJJV-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CCO)CCCC2)c(F)c1 ZINC000289082666 197230155 /nfs/dbraw/zinc/23/01/55/197230155.db2.gz JEBDMRGQQAEYFI-UHFFFAOYSA-N 0 0 268.288 2.841 20 5 CFBDRN CCOCC1(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2F)CC1 ZINC000282442903 385312302 /nfs/dbraw/zinc/31/23/02/385312302.db2.gz NGLWQJVFLDQWRP-UHFFFAOYSA-N 0 0 296.298 2.798 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000289076932 197228355 /nfs/dbraw/zinc/22/83/55/197228355.db2.gz NXSBPIRJLOANGZ-CQSZACIVSA-N 0 0 282.315 2.723 20 5 CFBDRN CC(C)SCCn1cc(Br)c([N+](=O)[O-])n1 ZINC000282288572 385272537 /nfs/dbraw/zinc/27/25/37/385272537.db2.gz VVOFGJCGSMAVAZ-UHFFFAOYSA-N 0 0 294.174 2.695 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1ncnn1C(C)(C)C ZINC000282297647 385276220 /nfs/dbraw/zinc/27/62/20/385276220.db2.gz WWEPSQDMUQKNGN-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000289062123 197224658 /nfs/dbraw/zinc/22/46/58/197224658.db2.gz YLHGGPABCHGOTB-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1nc(CN(C)CCc2cccc([N+](=O)[O-])c2)oc1C ZINC000282336777 385287260 /nfs/dbraw/zinc/28/72/60/385287260.db2.gz BOOQBGPNNBJMCB-UHFFFAOYSA-N 0 0 289.335 2.874 20 5 CFBDRN CCc1ccccc1CCn1cc(C)c([N+](=O)[O-])n1 ZINC000282372757 385295415 /nfs/dbraw/zinc/29/54/15/385295415.db2.gz YIIKSSNMYUNMHU-UHFFFAOYSA-N 0 0 259.309 2.905 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)CC(F)(F)F ZINC000282512983 385331671 /nfs/dbraw/zinc/33/16/71/385331671.db2.gz SFYIWAWRWMATBY-UHFFFAOYSA-N 0 0 262.231 2.631 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000282527013 385334335 /nfs/dbraw/zinc/33/43/35/385334335.db2.gz LLMOMGSSTRXVDJ-OUJBWJOFSA-N 0 0 278.308 2.655 20 5 CFBDRN CC(C)CCNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000282561133 385341867 /nfs/dbraw/zinc/34/18/67/385341867.db2.gz YBKUCCJBPBCZDP-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1cscn1 ZINC000271131215 385345742 /nfs/dbraw/zinc/34/57/42/385345742.db2.gz LYOWEGVKZZRAIG-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN Cc1ccc(CN2CCO[C@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000271131045 385345805 /nfs/dbraw/zinc/34/58/05/385345805.db2.gz PVETTZNMOWZHJH-HIFRSBDPSA-N 0 0 276.336 2.657 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000282607134 385352040 /nfs/dbraw/zinc/35/20/40/385352040.db2.gz JBROGBYEARYWEY-RKDXNWHRSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1nn(CCSC(C)(C)C)c(C)c1[N+](=O)[O-] ZINC000282608954 385352186 /nfs/dbraw/zinc/35/21/86/385352186.db2.gz HBUMWIPQSXJLMR-UHFFFAOYSA-N 0 0 257.359 2.940 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000282761318 385384231 /nfs/dbraw/zinc/38/42/31/385384231.db2.gz VIGAWACZSOLIBL-ZJUUUORDSA-N 0 0 299.710 2.973 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCCOCC2)c1 ZINC000271927882 385403347 /nfs/dbraw/zinc/40/33/47/385403347.db2.gz VYGLHPRMMCZJEB-SNVBAGLBSA-N 0 0 266.297 2.584 20 5 CFBDRN CCc1cnc(CNc2c([N+](=O)[O-])nc(C)n2CC)s1 ZINC000289009085 197212324 /nfs/dbraw/zinc/21/23/24/197212324.db2.gz XDSYOQUEPXAIOX-UHFFFAOYSA-N 0 0 295.368 2.751 20 5 CFBDRN Cc1cc(OC[C@@H](O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000282901034 385408216 /nfs/dbraw/zinc/40/82/16/385408216.db2.gz DZPARDXABOQOQR-GFCCVEGCSA-N 0 0 253.298 2.689 20 5 CFBDRN COCC1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000282921986 385411232 /nfs/dbraw/zinc/41/12/32/385411232.db2.gz SPHFFKYYUSLZTF-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN Cc1cccc(OC[C@H](O)C(C)(C)C)c1[N+](=O)[O-] ZINC000282946289 385414344 /nfs/dbraw/zinc/41/43/44/385414344.db2.gz HRKUCYNUYLZYIT-NSHDSACASA-N 0 0 253.298 2.689 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccc(O)c(F)c1 ZINC000288963967 197201491 /nfs/dbraw/zinc/20/14/91/197201491.db2.gz TZKNVRHGUITNPO-UHFFFAOYSA-N 0 0 294.286 2.576 20 5 CFBDRN CC(C)C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000272024829 385429231 /nfs/dbraw/zinc/42/92/31/385429231.db2.gz QEBTXZQYJPFMGG-DGCLKSJQSA-N 0 0 279.340 2.995 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000272194512 385470976 /nfs/dbraw/zinc/47/09/76/385470976.db2.gz FTBLTFQMMFORGP-SECBINFHSA-N 0 0 294.307 2.584 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000283557219 385475951 /nfs/dbraw/zinc/47/59/51/385475951.db2.gz PLHDRMPIAYOTPD-OUJBWJOFSA-N 0 0 278.308 2.655 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCC[C@@H]1C ZINC000288925977 197194102 /nfs/dbraw/zinc/19/41/02/197194102.db2.gz QBKVRXYCZJLHHO-WPRPVWTQSA-N 0 0 252.318 2.720 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1ncc([N+](=O)[O-])cc1Cl ZINC000272164841 385463529 /nfs/dbraw/zinc/46/35/29/385463529.db2.gz FTYXTIUEBLPBRZ-BXKDBHETSA-N 0 0 299.758 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CCC[C@H](CO)C1 ZINC000272262125 385492011 /nfs/dbraw/zinc/49/20/11/385492011.db2.gz BUAIHJLMYVFXBI-STQMWFEESA-N 0 0 278.352 2.669 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1cccc(F)c1[N+](=O)[O-] ZINC000272264635 385492805 /nfs/dbraw/zinc/49/28/05/385492805.db2.gz VOAWGEHOVRFWHU-ZWNOBZJWSA-N 0 0 282.315 2.721 20 5 CFBDRN CCOC1(C(=O)Nc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000283768853 385497591 /nfs/dbraw/zinc/49/75/91/385497591.db2.gz AMIAQFDNDFHEEM-UHFFFAOYSA-N 0 0 278.308 2.883 20 5 CFBDRN CCN(CC)C(=O)CS/C=C/c1ccc([N+](=O)[O-])o1 ZINC000283778702 385499029 /nfs/dbraw/zinc/49/90/29/385499029.db2.gz DABGPDXEXIZZCB-BQYQJAHWSA-N 0 0 284.337 2.760 20 5 CFBDRN COCC1(C(=O)Nc2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000283825091 385504351 /nfs/dbraw/zinc/50/43/51/385504351.db2.gz KQPQYIXFPSXFCT-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC=C(F)C2)c(Cl)c1 ZINC000272506867 285172900 /nfs/dbraw/zinc/17/29/00/285172900.db2.gz MBQAFYROYKSMGN-UHFFFAOYSA-N 0 0 257.652 2.707 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2Cc2ccccc2)nc1 ZINC000273132032 285173346 /nfs/dbraw/zinc/17/33/46/285173346.db2.gz BTJPYXIKINMSHY-CYBMUJFWSA-N 0 0 284.319 2.596 20 5 CFBDRN Cc1nc(S[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000272432147 385535958 /nfs/dbraw/zinc/53/59/58/385535958.db2.gz GTKZMKCDKSNCMV-VIFPVBQESA-N 0 0 254.311 2.569 20 5 CFBDRN CC[C@H](COC)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000284119565 385537408 /nfs/dbraw/zinc/53/74/08/385537408.db2.gz UCVPUDHMUHFZLO-SECBINFHSA-N 0 0 299.302 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](COc3ccccc3)C2)nc1 ZINC000272435306 385537465 /nfs/dbraw/zinc/53/74/65/385537465.db2.gz HGQMRHBXSMVYEP-CYBMUJFWSA-N 0 0 299.330 2.895 20 5 CFBDRN Cc1nc(CN(C)c2ccc([N+](=O)[O-])cc2Cl)no1 ZINC000272472566 385545546 /nfs/dbraw/zinc/54/55/46/385545546.db2.gz INZIECDOCGMOIK-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000272369782 385521177 /nfs/dbraw/zinc/52/11/77/385521177.db2.gz DMFVYPXNHDHSCV-NEPJUHHUSA-N 0 0 278.352 2.936 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](c3ccccc3F)C2)nc1 ZINC000273571450 285177493 /nfs/dbraw/zinc/17/74/93/285177493.db2.gz DIXKNXYCDKVCGP-JTQLQIEISA-N 0 0 288.282 2.518 20 5 CFBDRN CC[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000284035519 385526052 /nfs/dbraw/zinc/52/60/52/385526052.db2.gz OYXIYDVADRGBCT-MRVPVSSYSA-N 0 0 269.276 2.962 20 5 CFBDRN Cc1nn(CCOC(C)C)c2ccc([N+](=O)[O-])cc12 ZINC000272393809 385526795 /nfs/dbraw/zinc/52/67/95/385526795.db2.gz DETUJIVFYJTBMG-UHFFFAOYSA-N 0 0 263.297 2.678 20 5 CFBDRN COCC[C@H](Nc1ncccc1[N+](=O)[O-])c1ccco1 ZINC000272400145 385527736 /nfs/dbraw/zinc/52/77/36/385527736.db2.gz ASGZLLHBJOIASA-JTQLQIEISA-N 0 0 277.280 2.773 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](C(C)(C)C)[C@H](O)C1 ZINC000272488272 385550374 /nfs/dbraw/zinc/55/03/74/385550374.db2.gz KCUBQGMJDVNIRT-QWHCGFSZSA-N 0 0 293.367 2.532 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)s1 ZINC000192493172 285182016 /nfs/dbraw/zinc/18/20/16/285182016.db2.gz BCYHALNLNHVBGB-MVWJERBFSA-N 0 0 273.317 2.802 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCOC2)c(C(F)(F)F)c1 ZINC000413136578 285182495 /nfs/dbraw/zinc/18/24/95/285182495.db2.gz YEOOQXBDSCGDQU-ZETCQYMHSA-N 0 0 291.229 2.600 20 5 CFBDRN CCCCN[C@H](c1nccn1C)c1ccc([N+](=O)[O-])cc1 ZINC000272540597 385560682 /nfs/dbraw/zinc/56/06/82/385560682.db2.gz PBCFVYHAVSUHLJ-AWEZNQCLSA-N 0 0 288.351 2.807 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCCOC1CCC1 ZINC000288785267 197166148 /nfs/dbraw/zinc/16/61/48/197166148.db2.gz WXFQKRSPSBOGAB-UHFFFAOYSA-N 0 0 266.297 2.584 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1CC[C@H](O)CC1 ZINC000253131157 285187237 /nfs/dbraw/zinc/18/72/37/285187237.db2.gz ORRPZWZGGXBUSQ-XYPYZODXSA-N 0 0 287.319 2.858 20 5 CFBDRN CC(=O)c1cc(NCCC2(O)CCC2)ccc1[N+](=O)[O-] ZINC000286365079 385598546 /nfs/dbraw/zinc/59/85/46/385598546.db2.gz CRMUXBWKMWDQRE-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN Cc1cc(CNc2c(C)cccc2[N+](=O)[O-])n(C)n1 ZINC000286374764 385601618 /nfs/dbraw/zinc/60/16/18/385601618.db2.gz ILJLCBOXDMWVIO-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CC[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])C[C@@H](C)[S@@]1=O ZINC000286269128 385580710 /nfs/dbraw/zinc/58/07/10/385580710.db2.gz HEYAHVIOYIXLSR-HTGLOVNISA-N 0 0 296.392 2.639 20 5 CFBDRN C[C@H]1C[C@@H]([NH+]2CCCC2)CN1c1c(F)cccc1[N+](=O)[O-] ZINC000286299789 385585016 /nfs/dbraw/zinc/58/50/16/385585016.db2.gz JKMQDUYLPFJLNW-NWDGAFQWSA-N 0 0 293.342 2.797 20 5 CFBDRN Cc1noc([C@@H]2CCCN2c2ccccc2[N+](=O)[O-])n1 ZINC000286333752 385592304 /nfs/dbraw/zinc/59/23/04/385592304.db2.gz HZVMVJUEILOKEU-LBPRGKRZSA-N 0 0 274.280 2.628 20 5 CFBDRN COc1ccc(NC(=O)NCC2CCCC2)cc1[N+](=O)[O-] ZINC000272787951 385614509 /nfs/dbraw/zinc/61/45/09/385614509.db2.gz FYCXYODWSCSPJM-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2c1cc(C)ccc1[N+](=O)[O-] ZINC000286449966 385623756 /nfs/dbraw/zinc/62/37/56/385623756.db2.gz UCJTTXPCWOTHEK-SCYJSFNGSA-N 0 0 288.347 2.763 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000272829608 385625836 /nfs/dbraw/zinc/62/58/36/385625836.db2.gz QTDAEFYSSGEOKX-VIFPVBQESA-N 0 0 266.297 2.834 20 5 CFBDRN CCCCN(C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272831933 385627659 /nfs/dbraw/zinc/62/76/59/385627659.db2.gz NAFSLPQQSMUUGQ-UHFFFAOYSA-N 0 0 281.312 2.867 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCCN1c1ncc([N+](=O)[O-])s1 ZINC000286403378 385609870 /nfs/dbraw/zinc/60/98/70/385609870.db2.gz PSZTZWISTLWZQA-UWVGGRQHSA-N 0 0 285.369 2.571 20 5 CFBDRN CC1(C)C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])C(C)(C)O1 ZINC000286411470 385612665 /nfs/dbraw/zinc/61/26/65/385612665.db2.gz GRZKNVXULFJDGK-LBPRGKRZSA-N 0 0 279.340 2.935 20 5 CFBDRN CC(=O)c1cc(NCCCCCCO)ccc1[N+](=O)[O-] ZINC000286539381 385643604 /nfs/dbraw/zinc/64/36/04/385643604.db2.gz PKMUASKRIFACBC-UHFFFAOYSA-N 0 0 280.324 2.762 20 5 CFBDRN CCOC1CC(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000286549109 385645725 /nfs/dbraw/zinc/64/57/25/385645725.db2.gz ALKDRSKQRVUEDD-VOMCLLRMSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC(CCCO)CC1 ZINC000272955752 385665359 /nfs/dbraw/zinc/66/53/59/385665359.db2.gz YPJFNGCZLKPPKM-UHFFFAOYSA-N 0 0 282.315 2.723 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CCCCS1 ZINC000272936965 385660276 /nfs/dbraw/zinc/66/02/76/385660276.db2.gz HYYXQVPWBDSKPA-ZDUSSCGKSA-N 0 0 294.376 2.539 20 5 CFBDRN Nc1c(F)c(NC[C@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000288676603 197143512 /nfs/dbraw/zinc/14/35/12/197143512.db2.gz ZOOJKYUWEZQMTA-MRVPVSSYSA-N 0 0 285.344 2.871 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCCCCCCO)s1 ZINC000286639300 385673889 /nfs/dbraw/zinc/67/38/89/385673889.db2.gz XDRAPEPXMJLCIK-UHFFFAOYSA-N 0 0 296.352 2.564 20 5 CFBDRN CC1(c2ccccc2)CC(Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000273641865 385692640 /nfs/dbraw/zinc/69/26/40/385692640.db2.gz SHJPRYYKEKVWJU-UHFFFAOYSA-N 0 0 284.319 2.917 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000414936288 533139866 /nfs/dbraw/zinc/13/98/66/533139866.db2.gz BYEGYPZGXCXKEE-SMDDNHRTSA-N 0 0 294.351 2.833 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000286745606 385700741 /nfs/dbraw/zinc/70/07/41/385700741.db2.gz OCXOMVMSQAFQQL-NSHDSACASA-N 0 0 266.272 2.652 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1nnc(C2CC2)o1 ZINC000286788162 385710232 /nfs/dbraw/zinc/71/02/32/385710232.db2.gz JNDOXQKCZLKAKB-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000415567204 533141818 /nfs/dbraw/zinc/14/18/18/533141818.db2.gz UGOJZLANWZAMHK-GFCCVEGCSA-N 0 0 284.287 2.734 20 5 CFBDRN CCOC1CC(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000286624719 385669139 /nfs/dbraw/zinc/66/91/39/385669139.db2.gz JHSZQTJGEPQCQA-JKDOVBDQSA-N 0 0 292.335 2.587 20 5 CFBDRN CC/C=C/CCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000274282140 385756429 /nfs/dbraw/zinc/75/64/29/385756429.db2.gz BRSBBJUUAMWXPY-ONEGZZNKSA-N 0 0 256.689 2.766 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC[C@@H]1C[C@H]1C ZINC000274295025 385757615 /nfs/dbraw/zinc/75/76/15/385757615.db2.gz RYWBKMPYQRFAQZ-KCJUWKMLSA-N 0 0 277.324 2.989 20 5 CFBDRN CC/C=C/CCn1cnc2ccc([N+](=O)[O-])cc2c1=O ZINC000274289092 385758296 /nfs/dbraw/zinc/75/82/96/385758296.db2.gz NFARZZUHMOPZIK-ONEGZZNKSA-N 0 0 273.292 2.661 20 5 CFBDRN CCCCN(C)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000286994151 385758478 /nfs/dbraw/zinc/75/84/78/385758478.db2.gz OQTFQKGLMCXSGN-UHFFFAOYSA-N 0 0 266.326 2.836 20 5 CFBDRN CCC1(CC)CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CCS1 ZINC000274297374 385759674 /nfs/dbraw/zinc/75/96/74/385759674.db2.gz GSZFBDGLGVABQE-UHFFFAOYSA-N 0 0 297.380 2.671 20 5 CFBDRN CCOCCCNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000287007342 385760828 /nfs/dbraw/zinc/76/08/28/385760828.db2.gz PHEFLKOZTLYVMV-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H]1CCSC1 ZINC000274319252 385766533 /nfs/dbraw/zinc/76/65/33/385766533.db2.gz YMULSMLGAKJNPW-JTQLQIEISA-N 0 0 295.364 2.839 20 5 CFBDRN CCc1cnc(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)o1 ZINC000287107576 385782728 /nfs/dbraw/zinc/78/27/28/385782728.db2.gz ZLUFEDHFCHIJTI-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCCCS3)no2)[nH]1 ZINC000274113550 385721267 /nfs/dbraw/zinc/72/12/67/385721267.db2.gz UJNLYYGCFBXHSQ-QMMMGPOBSA-N 0 0 280.309 2.931 20 5 CFBDRN CSCCCN(C)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000281200964 195146786 /nfs/dbraw/zinc/14/67/86/195146786.db2.gz IKTFQJUHPLKFQY-RMKNXTFCSA-N 0 0 294.376 2.820 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CCC[C@@H]3OCC[C@H]32)ccc1[N+](=O)[O-] ZINC000274704335 385853011 /nfs/dbraw/zinc/85/30/11/385853011.db2.gz VUHUYVCCPLVUCP-SBMIAAHKSA-N 0 0 295.314 2.686 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000275024827 385915287 /nfs/dbraw/zinc/91/52/87/385915287.db2.gz MZLBFSLHSDPAOM-ZDUSSCGKSA-N 0 0 299.758 2.632 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@H]2CCO)c(F)cc1[N+](=O)[O-] ZINC000275044741 385920695 /nfs/dbraw/zinc/92/06/95/385920695.db2.gz RISOEHSRWTWENV-GXSJLCMTSA-N 0 0 298.314 2.706 20 5 CFBDRN CCOc1cccc(N[C@H]2CCC[C@@H]2CCO)c1[N+](=O)[O-] ZINC000275068116 385925531 /nfs/dbraw/zinc/92/55/31/385925531.db2.gz YKVIJSTWCXCBOO-NEPJUHHUSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1ccc(N[C@H]2CCC[C@H]2CCO)c([N+](=O)[O-])c1 ZINC000275068098 385926047 /nfs/dbraw/zinc/92/60/47/385926047.db2.gz YKVHQTRZNJZPIZ-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000274847888 385882881 /nfs/dbraw/zinc/88/28/81/385882881.db2.gz VSLIMTQMZVWOSH-SNVBAGLBSA-N 0 0 281.337 2.530 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCC(F)(F)C1 ZINC000288541404 197107564 /nfs/dbraw/zinc/10/75/64/197107564.db2.gz XWDZLGISKSOVNU-SECBINFHSA-N 0 0 288.298 2.967 20 5 CFBDRN O=[N+]([O-])c1c(NCC2=CCCOC2)ccc2ncccc21 ZINC000288531424 197103878 /nfs/dbraw/zinc/10/38/78/197103878.db2.gz OHGQGHIGRZMLRN-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N(C)c1ccn(C)n1 ZINC000276639071 385999838 /nfs/dbraw/zinc/99/98/38/385999838.db2.gz XJAMOAXFSILZNH-NSHDSACASA-N 0 0 274.324 2.834 20 5 CFBDRN CC[C@](C)(O)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276719046 386023582 /nfs/dbraw/zinc/02/35/82/386023582.db2.gz ZGSGVGLUDGYFEJ-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])CCCO1 ZINC000276716604 386023860 /nfs/dbraw/zinc/02/38/60/386023860.db2.gz ZASWQIWUYXHVDD-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN COC1(CC(=O)Nc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000276740814 386031358 /nfs/dbraw/zinc/03/13/58/386031358.db2.gz KKESIJAXLRPWLV-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN COC1(CC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000276771922 386040003 /nfs/dbraw/zinc/04/00/03/386040003.db2.gz BFFQPQMBYMEIQE-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN CCC(CC)(CCO)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000288426028 197074458 /nfs/dbraw/zinc/07/44/58/197074458.db2.gz OARJHYBZYBACNF-UHFFFAOYSA-N 0 0 281.356 2.778 20 5 CFBDRN CCOc1cc(CN2CCO[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000276859467 386062401 /nfs/dbraw/zinc/06/24/01/386062401.db2.gz GEWGJFIZSLQYFK-RYUDHWBXSA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@@H]1CCSCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000276921200 386080880 /nfs/dbraw/zinc/08/08/80/386080880.db2.gz YSGXDFHKCDHOMF-MRVPVSSYSA-N 0 0 287.772 2.975 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(CC(C)C)no1 ZINC000276979572 386097966 /nfs/dbraw/zinc/09/79/66/386097966.db2.gz YEMYKLCFEOGWBK-UHFFFAOYSA-N 0 0 277.280 2.852 20 5 CFBDRN Cc1cc(N[C@H](C)[C@H](O)c2ccccc2)ncc1[N+](=O)[O-] ZINC000288387374 197065131 /nfs/dbraw/zinc/06/51/31/197065131.db2.gz ZZMLBQLTGVPINX-ABAIWWIYSA-N 0 0 287.319 2.832 20 5 CFBDRN COCC1=CCN(c2ccc([N+](=O)[O-])c3ncccc23)CC1 ZINC000413227462 533161474 /nfs/dbraw/zinc/16/14/74/533161474.db2.gz JDYIDNWWNHOHFN-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CC(C)(C)NC(=O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288415197 197072138 /nfs/dbraw/zinc/07/21/38/197072138.db2.gz LYHNDCHHEYSITE-UHFFFAOYSA-N 0 0 286.715 2.542 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCSC2)s1 ZINC000288415542 197071537 /nfs/dbraw/zinc/07/15/37/197071537.db2.gz GVKLAYOQMXTGFH-SSDOTTSWSA-N 0 0 259.356 2.606 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNCc1noc2c1CCCC2 ZINC000288402400 197068641 /nfs/dbraw/zinc/06/86/41/197068641.db2.gz IAUMWNCCKWDBSQ-UHFFFAOYSA-N 0 0 287.319 2.751 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@H](C)c1ccccn1 ZINC000288259321 197037616 /nfs/dbraw/zinc/03/76/16/197037616.db2.gz YGRJTEDHMAUROF-SNVBAGLBSA-N 0 0 289.339 2.712 20 5 CFBDRN CCC[C@H](C)N(C)c1c([N+](=O)[O-])nc(C)n1CC ZINC000288167722 197018223 /nfs/dbraw/zinc/01/82/23/197018223.db2.gz PWQNWRPDEVFJCJ-VIFPVBQESA-N 0 0 254.334 2.744 20 5 CFBDRN CCCN(CC(F)F)c1c([N+](=O)[O-])nc(C)n1CC ZINC000288089652 197001928 /nfs/dbraw/zinc/00/19/28/197001928.db2.gz IRLFQJSIPJTAEH-UHFFFAOYSA-N 0 0 276.287 2.601 20 5 CFBDRN CCc1ccc(C(=O)N(C)CCCSC)cc1[N+](=O)[O-] ZINC000281269860 195175022 /nfs/dbraw/zinc/17/50/22/195175022.db2.gz MTVVRAXUJVWGGL-UHFFFAOYSA-N 0 0 296.392 2.982 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000426256903 533206241 /nfs/dbraw/zinc/20/62/41/533206241.db2.gz QCHOPYBFWSHPJP-SGESHTKJSA-N 0 0 289.335 2.754 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)CC ZINC000281353222 195209337 /nfs/dbraw/zinc/20/93/37/195209337.db2.gz MEPFZGSKFARYIK-SECBINFHSA-N 0 0 266.297 2.978 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCCCCCO ZINC000281377409 195216788 /nfs/dbraw/zinc/21/67/88/195216788.db2.gz YRVDOECZHXIZJZ-UHFFFAOYSA-N 0 0 268.313 2.568 20 5 CFBDRN Cc1nn(C)c(N2CCC=C(c3ccco3)C2)c1[N+](=O)[O-] ZINC000286667698 196672588 /nfs/dbraw/zinc/67/25/88/196672588.db2.gz VVAFRBPRZSKZFF-UHFFFAOYSA-N 0 0 288.307 2.523 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000176547316 533227373 /nfs/dbraw/zinc/22/73/73/533227373.db2.gz HOESHAVWVOLISA-GHMZBOCLSA-N 0 0 276.292 2.588 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](CC(C)C)OC ZINC000414720083 533227453 /nfs/dbraw/zinc/22/74/53/533227453.db2.gz ATRHMEHESZERQZ-CYBMUJFWSA-N 0 0 296.323 2.603 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CC[C@@H](SC)C2)c1[N+](=O)[O-] ZINC000094169573 184758975 /nfs/dbraw/zinc/75/89/75/184758975.db2.gz BLUXTTSCRXSMCE-RKDXNWHRSA-N 0 0 284.385 2.587 20 5 CFBDRN COc1cc(NC[C@@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000094804881 184869601 /nfs/dbraw/zinc/86/96/01/184869601.db2.gz PMHGPACGPYUDBD-CYBMUJFWSA-N 0 0 266.297 2.584 20 5 CFBDRN CCC(=O)c1ccc(NCCC[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000281531610 195277583 /nfs/dbraw/zinc/27/75/83/195277583.db2.gz RBYMSNCGYIBARH-SNVBAGLBSA-N 0 0 280.324 2.760 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2C)[C@H](C)C1 ZINC000281752110 195364885 /nfs/dbraw/zinc/36/48/85/195364885.db2.gz JBMVQLKIFXYOCV-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(OCC(=O)NC2(C)CCCC2)ccc1[N+](=O)[O-] ZINC000281911999 195431796 /nfs/dbraw/zinc/43/17/96/195431796.db2.gz GIVVHMGBFLXKMC-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)C1CC1 ZINC000281960763 195450574 /nfs/dbraw/zinc/45/05/74/195450574.db2.gz NCLXFWAPFNOBEP-VIFPVBQESA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1ncnn1C(C)(C)C ZINC000282205613 195536569 /nfs/dbraw/zinc/53/65/69/195536569.db2.gz MFPQXTLSJQQRNY-UHFFFAOYSA-N 0 0 290.323 2.829 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CC)c1 ZINC000282633607 195666175 /nfs/dbraw/zinc/66/61/75/195666175.db2.gz KFOLZSUAEHRULW-VIFPVBQESA-N 0 0 266.297 2.522 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000282703413 195685336 /nfs/dbraw/zinc/68/53/36/195685336.db2.gz QHDOXFDFOPSRIZ-VXGBXAGGSA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000282718760 195690326 /nfs/dbraw/zinc/69/03/26/195690326.db2.gz RMSILOVGDJSHJD-CHWSQXEVSA-N 0 0 279.292 2.628 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C2CC2)c1 ZINC000282730294 195692779 /nfs/dbraw/zinc/69/27/79/195692779.db2.gz XJJMXQHFIUGHDM-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN CC1(C)SC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000282780107 195705782 /nfs/dbraw/zinc/70/57/82/195705782.db2.gz XOTYFYGOIHPWSB-SNVBAGLBSA-N 0 0 281.337 2.610 20 5 CFBDRN CC1(C)SC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000283239291 195824932 /nfs/dbraw/zinc/82/49/32/195824932.db2.gz CSKDPCCTOCSMMU-JTQLQIEISA-N 0 0 299.327 2.749 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283605289 195885831 /nfs/dbraw/zinc/88/58/31/195885831.db2.gz MVLGRZNRZLRJSU-GJZGRUSLSA-N 0 0 290.363 2.888 20 5 CFBDRN COCCCCOc1cc(C)c([N+](=O)[O-])cc1F ZINC000185678406 533267250 /nfs/dbraw/zinc/26/72/50/533267250.db2.gz VVKHBGUNYWRDSY-UHFFFAOYSA-N 0 0 257.261 2.848 20 5 CFBDRN COc1ncccc1COc1ccccc1[N+](=O)[O-] ZINC000176250116 533262150 /nfs/dbraw/zinc/26/21/50/533262150.db2.gz IGQFXFCHLPNDLK-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)c(C)n1 ZINC000175674061 533609455 /nfs/dbraw/zinc/60/94/55/533609455.db2.gz IRXPNJKOCBBPSQ-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H]1CC1(Cl)Cl ZINC000824614959 781994482 /nfs/dbraw/zinc/99/44/82/781994482.db2.gz GGLNNKPRHVFQKW-LURJTMIESA-N 0 0 292.122 2.604 20 5 CFBDRN COc1cccc(N[C@@H]2C[C@H](OC)C23CCC3)c1[N+](=O)[O-] ZINC000413441790 533620069 /nfs/dbraw/zinc/62/00/69/533620069.db2.gz LZYDYEISSPGQMW-OLZOCXBDSA-N 0 0 292.335 2.973 20 5 CFBDRN O=C(Nc1cnc(CC2CC2)nc1)c1ccc([N+](=O)[O-])cc1 ZINC000341732988 130003868 /nfs/dbraw/zinc/00/38/68/130003868.db2.gz TYWQMSLFNSLNEJ-UHFFFAOYSA-N 0 0 298.302 2.590 20 5 CFBDRN C[C@H]1CCC[C@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000341740805 130014487 /nfs/dbraw/zinc/01/44/87/130014487.db2.gz IFCVANCTYDWXLT-UWVGGRQHSA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1c[nH]cc1C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000341765976 130030607 /nfs/dbraw/zinc/03/06/07/130030607.db2.gz AQBOGFNCSVPLGG-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN CC1CCC(CNc2cccc(C(N)=O)c2[N+](=O)[O-])CC1 ZINC000341842927 130087810 /nfs/dbraw/zinc/08/78/10/130087810.db2.gz QJXJMUBFQQASCT-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN O=[N+]([O-])c1cccc(C[N@H+]2CCCCC[C@@H]2CCO)c1 ZINC000342008566 130195744 /nfs/dbraw/zinc/19/57/44/130195744.db2.gz BMEZJDJDAPLMIA-CQSZACIVSA-N 0 0 278.352 2.722 20 5 CFBDRN CN(CC1CCC1)C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000342079104 130240860 /nfs/dbraw/zinc/24/08/60/130240860.db2.gz DQHLYIORHQAISI-UHFFFAOYSA-N 0 0 298.289 2.674 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@H+]2CCCCC[C@H]2CCO)cc1 ZINC000342032561 130247450 /nfs/dbraw/zinc/24/74/50/130247450.db2.gz MPONQCPOQFVRKO-AWEZNQCLSA-N 0 0 278.352 2.722 20 5 CFBDRN COc1ccc(CNc2nocc2C)cc1[N+](=O)[O-] ZINC000191560990 130254372 /nfs/dbraw/zinc/25/43/72/130254372.db2.gz MIAJNTZYEYLIIS-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN COc1cccc(N(C)Cc2ccoc2)c1[N+](=O)[O-] ZINC000355337682 130281792 /nfs/dbraw/zinc/28/17/92/130281792.db2.gz RBMJUCABYDHCBD-UHFFFAOYSA-N 0 0 262.265 2.833 20 5 CFBDRN Cc1ncccc1-c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355427195 130303417 /nfs/dbraw/zinc/30/34/17/130303417.db2.gz KFCNAJNNMNGUNB-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)C[C@H]2CC[C@@H]1O2 ZINC000191851687 130317681 /nfs/dbraw/zinc/31/76/81/130317681.db2.gz WOPGFZFPERBOFB-LQJRIPTKSA-N 0 0 294.282 2.630 20 5 CFBDRN C[C@@]1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)C[C@H]2CC[C@@H]1O2 ZINC000191851697 130318315 /nfs/dbraw/zinc/31/83/15/130318315.db2.gz WOPGFZFPERBOFB-LJWDBELGSA-N 0 0 294.282 2.630 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@H]1C[C@H]1C(F)F ZINC000342186040 130321210 /nfs/dbraw/zinc/32/12/10/130321210.db2.gz CZKRRKIDLSDUQT-MNOVXSKESA-N 0 0 256.252 2.646 20 5 CFBDRN O=C(Nc1ccc(-c2ccc[nH]2)cc1)c1n[nH]cc1[N+](=O)[O-] ZINC000342146355 130338066 /nfs/dbraw/zinc/33/80/66/130338066.db2.gz DOACBKYEPRKVHY-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000355955627 130359067 /nfs/dbraw/zinc/35/90/67/130359067.db2.gz NLHNGLKCVMLCBD-GHMZBOCLSA-N 0 0 293.323 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CCc3ccccc3)no2)[nH]1 ZINC000356072418 130372471 /nfs/dbraw/zinc/37/24/71/130372471.db2.gz HBZBCVLZLDARLO-UHFFFAOYSA-N 0 0 284.275 2.758 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1cccc(OC)c1[N+](=O)[O-] ZINC000192162096 130385103 /nfs/dbraw/zinc/38/51/03/130385103.db2.gz VTUUKAOGHUMXHF-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCC[C@H](C)F)c1 ZINC000356648048 130391423 /nfs/dbraw/zinc/39/14/23/130391423.db2.gz NVMBNMOVHLVEGJ-QMMMGPOBSA-N 0 0 286.328 2.795 20 5 CFBDRN CCCO[C@H]1CCCN(c2ncc([N+](=O)[O-])s2)CC1 ZINC000192452526 130451324 /nfs/dbraw/zinc/45/13/24/130451324.db2.gz XQZVMKOWWYRZQO-JTQLQIEISA-N 0 0 285.369 2.837 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H](C)c1cccc(O)c1 ZINC000192556166 130468034 /nfs/dbraw/zinc/46/80/34/130468034.db2.gz UNFPUBXBSXOURF-SECBINFHSA-N 0 0 290.323 2.998 20 5 CFBDRN Cc1ccnc(CNC(=O)c2c(C)cccc2[N+](=O)[O-])c1 ZINC000357442632 130471226 /nfs/dbraw/zinc/47/12/26/130471226.db2.gz PPKVODFGUWVFJQ-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN COC1CN(C(=O)c2cc3ccccc3c3cccnc23)C1 ZINC000357630678 130497058 /nfs/dbraw/zinc/49/70/58/130497058.db2.gz YJSNPXRDPAOART-UHFFFAOYSA-N 0 0 292.338 2.859 20 5 CFBDRN CC(C)c1nc(CNc2cc(F)cc([N+](=O)[O-])c2)no1 ZINC000359606809 130524732 /nfs/dbraw/zinc/52/47/32/130524732.db2.gz ZTPZCESSUUDIQM-UHFFFAOYSA-N 0 0 280.259 2.852 20 5 CFBDRN Cc1cc(CCNc2c([N+](=O)[O-])ncn2C)ccc1Cl ZINC000359953610 130567080 /nfs/dbraw/zinc/56/70/80/130567080.db2.gz DCDMADPVNWUZIM-UHFFFAOYSA-N 0 0 294.742 2.945 20 5 CFBDRN CCCCOCCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000193366702 130617431 /nfs/dbraw/zinc/61/74/31/130617431.db2.gz XRYUVLYVGSFJGB-UHFFFAOYSA-N 0 0 267.329 2.796 20 5 CFBDRN CSC1(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000194526406 130700511 /nfs/dbraw/zinc/70/05/11/130700511.db2.gz UGPIZLGDSQFBJZ-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN CN(CCNc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000195506788 130745259 /nfs/dbraw/zinc/74/52/59/130745259.db2.gz HLMMSJUWGMDYBH-UHFFFAOYSA-N 0 0 278.337 2.600 20 5 CFBDRN COc1cc(N[C@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000220107025 130779032 /nfs/dbraw/zinc/77/90/32/130779032.db2.gz LQRRIKIHWAMGKK-KOLCDFICSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC[C@H]2CCCCO2)c1 ZINC000227317172 130839883 /nfs/dbraw/zinc/83/98/83/130839883.db2.gz YHXISZMRPHXGAP-LLVKDONJSA-N 0 0 280.324 2.975 20 5 CFBDRN CCOC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])C(C)C ZINC000233801754 130931887 /nfs/dbraw/zinc/93/18/87/130931887.db2.gz CHUVGGCKVDHZCF-NSHDSACASA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCOCC[C@H]1C ZINC000163046038 291996786 /nfs/dbraw/zinc/99/67/86/291996786.db2.gz CRPBVSVOEXNMJU-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CCCc1noc(COc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000247986990 131109061 /nfs/dbraw/zinc/10/90/61/131109061.db2.gz KDPSXNAYVCXYSZ-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@H](O)CC2)c2ncccc12 ZINC000252708403 131137394 /nfs/dbraw/zinc/13/73/94/131137394.db2.gz ZZXFNOKGHHEVMI-XYPYZODXSA-N 0 0 287.319 2.858 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1ccc(N(C)C)nc1 ZINC000263688692 131309764 /nfs/dbraw/zinc/30/97/64/131309764.db2.gz BPQVBKDSBHGNML-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN O=C(CC1CC1)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000263786169 131330965 /nfs/dbraw/zinc/33/09/65/131330965.db2.gz WIRXGQXKLVBFOJ-UHFFFAOYSA-N 0 0 286.291 2.724 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000263925246 131362107 /nfs/dbraw/zinc/36/21/07/131362107.db2.gz DYDMSUMSSUJZRE-UHFFFAOYSA-N 0 0 279.321 2.565 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C2CC2)[nH]n1 ZINC000264006933 131378390 /nfs/dbraw/zinc/37/83/90/131378390.db2.gz DGHATBYUDFOTHR-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CCCN(C)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] ZINC000264036752 131384421 /nfs/dbraw/zinc/38/44/21/131384421.db2.gz WOIIQDVLFMRELK-UHFFFAOYSA-N 0 0 298.364 2.807 20 5 CFBDRN O=C(Nc1cc(C2CC2)[nH]n1)c1csc([N+](=O)[O-])c1 ZINC000264070540 131390325 /nfs/dbraw/zinc/39/03/25/131390325.db2.gz XODRVTNWHIVLJS-UHFFFAOYSA-N 0 0 278.293 2.509 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000264213909 131414043 /nfs/dbraw/zinc/41/40/43/131414043.db2.gz GFZBYYBHJRTPEX-VXNVDRBHSA-N 0 0 254.311 2.575 20 5 CFBDRN Cc1cc(-c2nc(C[C@@H]3CCCO3)no2)ccc1[N+](=O)[O-] ZINC000264574613 131471256 /nfs/dbraw/zinc/47/12/56/131471256.db2.gz DTXZMPAYIFXBGF-NSHDSACASA-N 0 0 289.291 2.675 20 5 CFBDRN O=[N+]([O-])c1cnc(Sc2n[nH]c(C3CC3)n2)c(Cl)c1 ZINC000265534093 131601263 /nfs/dbraw/zinc/60/12/63/131601263.db2.gz NVWDWHOEFRLULG-UHFFFAOYSA-N 0 0 297.727 2.790 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(CC3CC3)no2)c1 ZINC000266588015 131755580 /nfs/dbraw/zinc/75/55/80/131755580.db2.gz NQMJSUUBVAKSQN-UHFFFAOYSA-N 0 0 259.265 2.521 20 5 CFBDRN COc1ccc(C(=O)N2C[C@H](C)CC2(C)C)cc1[N+](=O)[O-] ZINC000266943234 131801227 /nfs/dbraw/zinc/80/12/27/131801227.db2.gz XFNUGMJZKPRIHY-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2COC)C1 ZINC000267075935 131817342 /nfs/dbraw/zinc/81/73/42/131817342.db2.gz JJZKEAFOWYDHHB-GFCCVEGCSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCCSC1 ZINC000267393693 131864661 /nfs/dbraw/zinc/86/46/61/131864661.db2.gz XQJCYHPOXGQXLY-JTQLQIEISA-N 0 0 295.364 2.920 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@@H]1CNc1ccc([N+](=O)[O-])cn1 ZINC000267741117 131911228 /nfs/dbraw/zinc/91/12/28/131911228.db2.gz WTRBTIXCEMSZSF-RISCZKNCSA-N 0 0 279.340 2.853 20 5 CFBDRN CC[C@@H](OC)C(=O)O[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000267982286 131942067 /nfs/dbraw/zinc/94/20/67/131942067.db2.gz ONGHUWJSWFNQTA-GXFFZTMASA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1noc(C)c1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000267987507 131942681 /nfs/dbraw/zinc/94/26/81/131942681.db2.gz YYHUIEGPKHMHLO-UHFFFAOYSA-N 0 0 289.291 2.771 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000268028788 131947548 /nfs/dbraw/zinc/94/75/48/131947548.db2.gz JRPPDVSDRNTYFY-JTQLQIEISA-N 0 0 287.319 2.948 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2c3ccccc3C[C@@H]2O)c1 ZINC000268064171 131953916 /nfs/dbraw/zinc/95/39/16/131953916.db2.gz ABBWGURODLKNEN-HOTGVXAUSA-N 0 0 284.315 2.973 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000268263595 131986650 /nfs/dbraw/zinc/98/66/50/131986650.db2.gz FNDUWPOMUFOZQD-WCQYABFASA-N 0 0 278.308 2.799 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)c1ccco1 ZINC000268437449 132008873 /nfs/dbraw/zinc/00/88/73/132008873.db2.gz CHBFHFKIYXVNCZ-SNVBAGLBSA-N 0 0 277.280 2.691 20 5 CFBDRN Cc1nc(NC[C@@](C)(O)c2cccs2)ccc1[N+](=O)[O-] ZINC000268441642 132009746 /nfs/dbraw/zinc/00/97/46/132009746.db2.gz CZLIQSWKMJKHEI-CYBMUJFWSA-N 0 0 293.348 2.679 20 5 CFBDRN Cc1nc(NCC(C)(C)C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000268448369 132010935 /nfs/dbraw/zinc/01/09/35/132010935.db2.gz FJAZXBAFVMZFEJ-VIFPVBQESA-N 0 0 267.329 2.507 20 5 CFBDRN COCCC1(CNc2ncccc2[N+](=O)[O-])CCCC1 ZINC000268474476 132014523 /nfs/dbraw/zinc/01/45/23/132014523.db2.gz KAWLRJIEIBEYMI-UHFFFAOYSA-N 0 0 279.340 2.999 20 5 CFBDRN COc1ccc(CN(C)c2ccc([N+](=O)[O-])c(C)n2)cc1 ZINC000268486200 132015943 /nfs/dbraw/zinc/01/59/43/132015943.db2.gz MOAKXCPRBNTNJN-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1cncs1 ZINC000268901342 132085101 /nfs/dbraw/zinc/08/51/01/132085101.db2.gz MICMIGMPKREUPQ-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(NC2CC2)cc1Cl ZINC000269026402 132101013 /nfs/dbraw/zinc/10/10/13/132101013.db2.gz QOVGUUVIDDGMQM-UHFFFAOYSA-N 0 0 270.672 2.609 20 5 CFBDRN O=C(N(Cc1cccc([N+](=O)[O-])c1)C1CC1)C(F)(F)F ZINC000269104812 132112838 /nfs/dbraw/zinc/11/28/38/132112838.db2.gz BRXORIYGCRTUPE-UHFFFAOYSA-N 0 0 288.225 2.648 20 5 CFBDRN CCc1ncc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)cn1 ZINC000269469848 132175552 /nfs/dbraw/zinc/17/55/52/132175552.db2.gz BTVFRCQCCVLGJK-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN O=[N+]([O-])c1ccccc1OS(=O)(=O)Cc1ccccc1 ZINC000015323725 393481470 /nfs/dbraw/zinc/48/14/70/393481470.db2.gz DPNBLUNXVYKTHS-UHFFFAOYSA-N 0 0 293.300 2.504 20 5 CFBDRN CCO[C@@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000301934313 393481822 /nfs/dbraw/zinc/48/18/22/393481822.db2.gz OFGRTEGLEUAKJN-SECBINFHSA-N 0 0 256.327 2.662 20 5 CFBDRN CCc1nn(C)c(N2CCCC(C)(C)C2)c1[N+](=O)[O-] ZINC000301938629 393482053 /nfs/dbraw/zinc/48/20/53/393482053.db2.gz SFHNZOKSVZSYQF-UHFFFAOYSA-N 0 0 266.345 2.517 20 5 CFBDRN CCCn1cc(CN(C)Cc2ccccc2[N+](=O)[O-])cn1 ZINC000179315826 393463466 /nfs/dbraw/zinc/46/34/66/393463466.db2.gz UGEYPJFYTXMGKP-UHFFFAOYSA-N 0 0 288.351 2.833 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000301427549 393470877 /nfs/dbraw/zinc/47/08/77/393470877.db2.gz MOPQNVWNXPLFIL-FZMZJTMJSA-N 0 0 279.340 2.914 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC12CCCCC2 ZINC000180696064 393484479 /nfs/dbraw/zinc/48/44/79/393484479.db2.gz YFLTUJPXPYWCOX-CQSZACIVSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1cc(N2CCC[C@H]3COC[C@H]32)ccc1[N+](=O)[O-] ZINC000374441030 393497319 /nfs/dbraw/zinc/49/73/19/393497319.db2.gz PYVJPMQDZNZOCA-SMDDNHRTSA-N 0 0 262.309 2.518 20 5 CFBDRN COc1cc(N2CCOCC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000375201957 393506878 /nfs/dbraw/zinc/50/68/78/393506878.db2.gz OJBDDFUTMZDINP-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN Cc1ccc(CNc2ccc([N+](=O)[O-])c(C)n2)nc1C ZINC000313912128 393524303 /nfs/dbraw/zinc/52/43/03/393524303.db2.gz IWIYWKJHSDHFHL-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CCOc1cccc(N2C[C@H](C)OC(C)(C)C2)c1[N+](=O)[O-] ZINC000184080289 393524777 /nfs/dbraw/zinc/52/47/77/393524777.db2.gz DQMYYNDMUOVDFG-NSHDSACASA-N 0 0 294.351 2.997 20 5 CFBDRN CC(C)N1CC[C@@H](Sc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000185156647 393531583 /nfs/dbraw/zinc/53/15/83/393531583.db2.gz AVECFBGRYYGKBH-GFCCVEGCSA-N 0 0 298.339 2.835 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1F)Cc1ccccc1 ZINC000038082141 393539438 /nfs/dbraw/zinc/53/94/38/393539438.db2.gz VQXXBYOUIRKSQN-UHFFFAOYSA-N 0 0 289.262 2.925 20 5 CFBDRN O=C(Cc1ccccc1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000186858173 393549805 /nfs/dbraw/zinc/54/98/05/393549805.db2.gz SCXPGYYDBNBYDA-UHFFFAOYSA-N 0 0 282.299 2.727 20 5 CFBDRN Cc1cccc(NCC2CCOCC2)c1[N+](=O)[O-] ZINC000188371472 393564892 /nfs/dbraw/zinc/56/48/92/393564892.db2.gz BAUSXXBQPDLKEX-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cnc([C@@H](C)N2CCc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC000332542692 393561710 /nfs/dbraw/zinc/56/17/10/393561710.db2.gz LLITVIPGHFISJS-GFCCVEGCSA-N 0 0 298.346 2.813 20 5 CFBDRN Cc1cccc(NCCc2cccnc2)c1[N+](=O)[O-] ZINC000188356153 393563336 /nfs/dbraw/zinc/56/33/36/393563336.db2.gz WEDNRDCDTJZYKF-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN O=C(CC1CCCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000048656887 393591891 /nfs/dbraw/zinc/59/18/91/393591891.db2.gz VVRZALHNXCDLCG-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN Cc1sc(C(=O)NCc2cccc(O)c2)cc1[N+](=O)[O-] ZINC000048747484 393597540 /nfs/dbraw/zinc/59/75/40/393597540.db2.gz SCCYSUYQZYJUEH-UHFFFAOYSA-N 0 0 292.316 2.600 20 5 CFBDRN O=[N+]([O-])c1nn(CC2CCCC2)cc1Br ZINC000048733445 393598006 /nfs/dbraw/zinc/59/80/06/393598006.db2.gz LWAUHDSOVUJUPM-UHFFFAOYSA-N 0 0 274.118 2.744 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000048807280 393602611 /nfs/dbraw/zinc/60/26/11/393602611.db2.gz WJUDZUXCBYBXRK-LLVKDONJSA-N 0 0 260.293 2.567 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCC[C@H]1CCOC1 ZINC000401059908 393602783 /nfs/dbraw/zinc/60/27/83/393602783.db2.gz FTLAABRHMCVPSM-LLVKDONJSA-N 0 0 279.292 2.603 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000334527689 393605097 /nfs/dbraw/zinc/60/50/97/393605097.db2.gz POWMQLMFOLRPCF-ZDUSSCGKSA-N 0 0 260.293 2.528 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCO[C@@H](c2ccco2)C1 ZINC000133442538 296495962 /nfs/dbraw/zinc/49/59/62/296495962.db2.gz BSGQDCKKQUFLKK-CYBMUJFWSA-N 0 0 292.266 2.905 20 5 CFBDRN CCOC(=O)C1CCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000050069836 393616462 /nfs/dbraw/zinc/61/64/62/393616462.db2.gz IQLASDASTDLKDS-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN CCC(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000192190033 393616633 /nfs/dbraw/zinc/61/66/33/393616633.db2.gz QDDBILFXGYPIGT-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN C[C@@H]1CC[C@H](CN2CCc3ccc([N+](=O)[O-])cc3C2)O1 ZINC000408311255 393625826 /nfs/dbraw/zinc/62/58/26/393625826.db2.gz YJYCWXRORPYFQL-IAQYHMDHSA-N 0 0 276.336 2.520 20 5 CFBDRN C[C@@H]1CC[C@@H](CN2CCc3ccc([N+](=O)[O-])cc3C2)O1 ZINC000408311253 393625926 /nfs/dbraw/zinc/62/59/26/393625926.db2.gz YJYCWXRORPYFQL-ABAIWWIYSA-N 0 0 276.336 2.520 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(CC(C)C)C(C)C ZINC000192586053 393626951 /nfs/dbraw/zinc/62/69/51/393626951.db2.gz YMKQLARTCLPSAV-UHFFFAOYSA-N 0 0 279.340 2.805 20 5 CFBDRN CC(C)[C@H]1N(c2ncc([N+](=O)[O-])cc2F)CC1(C)C ZINC000408471223 393627202 /nfs/dbraw/zinc/62/72/02/393627202.db2.gz SGJYTIIFTAIWDY-LLVKDONJSA-N 0 0 267.304 3.000 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCS[C@H](C(C)C)C1 ZINC000192636246 393629299 /nfs/dbraw/zinc/62/92/99/393629299.db2.gz XFBRCDJDZZWYBR-NSHDSACASA-N 0 0 298.412 2.697 20 5 CFBDRN CC[C@H](CC(F)F)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000410808791 393640244 /nfs/dbraw/zinc/64/02/44/393640244.db2.gz QVJHSVYULPUQGY-MRVPVSSYSA-N 0 0 287.266 2.634 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCOC1 ZINC000193514305 393654021 /nfs/dbraw/zinc/65/40/21/393654021.db2.gz SPNJCPNHDNTATD-SECBINFHSA-N 0 0 299.323 2.822 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2CCOC2)c(F)c1 ZINC000193503575 393654316 /nfs/dbraw/zinc/65/43/16/393654316.db2.gz CUKLQTRQXRGODM-MRVPVSSYSA-N 0 0 257.286 2.863 20 5 CFBDRN Cn1cc(CCSc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000193507361 393654332 /nfs/dbraw/zinc/65/43/32/393654332.db2.gz AWIMSOGJVDGPIG-UHFFFAOYSA-N 0 0 281.312 2.802 20 5 CFBDRN CC[C@@H](Sc1ccc([N+](=O)[O-])cc1F)C(=O)OC ZINC000193504621 393654379 /nfs/dbraw/zinc/65/43/79/393654379.db2.gz CSLBMYJLOSEOGA-SECBINFHSA-N 0 0 273.285 2.778 20 5 CFBDRN COc1cc(NCCCOC(F)F)c([N+](=O)[O-])cc1F ZINC000193611691 393657729 /nfs/dbraw/zinc/65/77/29/393657729.db2.gz WLYASQHYLYXPFN-UHFFFAOYSA-N 0 0 294.229 2.784 20 5 CFBDRN CSC(C)(C)CNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000075362856 393672704 /nfs/dbraw/zinc/67/27/04/393672704.db2.gz SUTKPJPZJDIKQN-BQYQJAHWSA-N 0 0 294.376 2.866 20 5 CFBDRN COc1ccnc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000194069483 393675541 /nfs/dbraw/zinc/67/55/41/393675541.db2.gz RSATXVVNFTUXIQ-VIFPVBQESA-N 0 0 274.280 2.567 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@@H](C)C[C@H](C)C1 ZINC000195329658 393738901 /nfs/dbraw/zinc/73/89/01/393738901.db2.gz UXMAJRCNYHMVPW-UWVGGRQHSA-N 0 0 266.345 2.602 20 5 CFBDRN Cc1ccc(F)cc1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000195524077 393742470 /nfs/dbraw/zinc/74/24/70/393742470.db2.gz NUNQJFQTQLQYJM-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN NC(=O)c1ccc(NCC(C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC000088460044 393762861 /nfs/dbraw/zinc/76/28/61/393762861.db2.gz IZDGLFRVOCZGHP-UHFFFAOYSA-N 0 0 289.335 2.542 20 5 CFBDRN Cc1cc(C(=O)NCCOC2CCCC2)ccc1[N+](=O)[O-] ZINC000194295033 393688813 /nfs/dbraw/zinc/68/88/13/393688813.db2.gz VEBJLMYMDZCEOG-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN COc1cccc(C(=O)N[C@H](C)C2CCCC2)c1[N+](=O)[O-] ZINC000194364849 393690410 /nfs/dbraw/zinc/69/04/10/393690410.db2.gz WQNXPIHYWJKHKN-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H]2CCC[C@@H]21 ZINC000335893096 393706915 /nfs/dbraw/zinc/70/69/15/393706915.db2.gz WUIKRQSHRNWDKX-RISCZKNCSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cccnc1CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194602646 393708125 /nfs/dbraw/zinc/70/81/25/393708125.db2.gz ABBDFBOWSCBHKI-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN COc1cccc(C(=O)N2[C@H](C)CC[C@@H]2C)c1[N+](=O)[O-] ZINC000194682865 393713690 /nfs/dbraw/zinc/71/36/90/393713690.db2.gz WIUDYGGQZFHNEY-AOOOYVTPSA-N 0 0 278.308 2.616 20 5 CFBDRN Cc1c(C(=O)Nc2cccc([N+](=O)[O-])c2C)ccn1C ZINC000194925695 393723476 /nfs/dbraw/zinc/72/34/76/393723476.db2.gz WNAFRGUIPMIFDE-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CSc1ccc(C(=O)Nc2cc(C)on2)cc1[N+](=O)[O-] ZINC000194952695 393725165 /nfs/dbraw/zinc/72/51/65/393725165.db2.gz HVXCYSJHEGFZHM-UHFFFAOYSA-N 0 0 293.304 2.865 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000336239684 393725508 /nfs/dbraw/zinc/72/55/08/393725508.db2.gz GQBRIKNFPCENEM-JTQLQIEISA-N 0 0 285.303 2.915 20 5 CFBDRN COc1ccnc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1 ZINC000089983233 393774255 /nfs/dbraw/zinc/77/42/55/393774255.db2.gz JWSHGMYJELFAFU-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cc(NCCc2cccc([N+](=O)[O-])c2)nc(C2CC2)n1 ZINC000340079440 393835591 /nfs/dbraw/zinc/83/55/91/393835591.db2.gz RSGHVXHEDUQBBX-UHFFFAOYSA-N 0 0 298.346 2.647 20 5 CFBDRN Cc1cc(Cc2noc([C@@]3(C)C[C@H]3F)n2)ccc1[N+](=O)[O-] ZINC000424352784 393838929 /nfs/dbraw/zinc/83/89/29/393838929.db2.gz ZGDKXYZIRTZDSB-RISCZKNCSA-N 0 0 291.282 2.877 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@@H](C)[C@H]1C ZINC000416075872 393865225 /nfs/dbraw/zinc/86/52/25/393865225.db2.gz GBWZZPNISVGKQG-WOPDTQHZSA-N 0 0 276.336 2.640 20 5 CFBDRN CCOCCC1(CNc2c([N+](=O)[O-])ncn2C)CCCC1 ZINC000471727233 393910206 /nfs/dbraw/zinc/91/02/06/393910206.db2.gz PNDDMSJFGKWNLO-UHFFFAOYSA-N 0 0 296.371 2.727 20 5 CFBDRN Cc1nc(NC(=O)c2occ3c2CCC3)ccc1[N+](=O)[O-] ZINC000342474675 393865867 /nfs/dbraw/zinc/86/58/67/393865867.db2.gz GABIICMIBDFJSC-UHFFFAOYSA-N 0 0 287.275 2.632 20 5 CFBDRN CN(C(=O)COc1ccc([N+](=O)[O-])cc1)C1CC(C)(C)C1 ZINC000458209551 393871404 /nfs/dbraw/zinc/87/14/04/393871404.db2.gz PCWRCWJPBKWCNL-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@H]1COCC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000345571365 393911766 /nfs/dbraw/zinc/91/17/66/393911766.db2.gz OSSUEIKZCJHDNW-CABZTGNLSA-N 0 0 289.291 2.785 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCCCCC1 ZINC000111771299 393888105 /nfs/dbraw/zinc/88/81/05/393888105.db2.gz BSTYAIQMNGSOBK-UHFFFAOYSA-N 0 0 262.309 2.919 20 5 CFBDRN C[C@H](O)[C@H]1CCCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119002997 393912969 /nfs/dbraw/zinc/91/29/69/393912969.db2.gz MDFXCFKZXDBKRZ-GXFFZTMASA-N 0 0 298.770 2.984 20 5 CFBDRN Cc1ccc(C[N@H+]2CCC[C@H](C)[C@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000424416691 393845153 /nfs/dbraw/zinc/84/51/53/393845153.db2.gz KXALCXUAKSAUHK-FZMZJTMJSA-N 0 0 292.335 2.588 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000416040154 393852072 /nfs/dbraw/zinc/85/20/72/393852072.db2.gz BDVXUDLYXCXDDF-UWVGGRQHSA-N 0 0 292.310 2.754 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000458153697 393860084 /nfs/dbraw/zinc/86/00/84/393860084.db2.gz RWZGWEPDYCCSAR-JSGCOSHPSA-N 0 0 262.309 2.759 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000246305777 393860101 /nfs/dbraw/zinc/86/01/01/393860101.db2.gz FRZNCXYXFMFZTQ-OUAUKWLOSA-N 0 0 278.308 2.981 20 5 CFBDRN Cc1cnccc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000471792003 393915169 /nfs/dbraw/zinc/91/51/69/393915169.db2.gz YCXAKGXBQZRBLN-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1ccnc(COc2ccc([N+](=O)[O-])c3ncccc23)n1 ZINC000471875458 393923325 /nfs/dbraw/zinc/92/33/25/393923325.db2.gz ISQHBGZJHXSJPK-UHFFFAOYSA-N 0 0 296.286 2.820 20 5 CFBDRN CC1(COc2ccc([N+](=O)[O-])c3ncccc23)COC1 ZINC000471886351 393923338 /nfs/dbraw/zinc/92/33/38/393923338.db2.gz GCJYJAPNMHHPOL-UHFFFAOYSA-N 0 0 274.276 2.558 20 5 CFBDRN C[C@H]1CCCC[C@H]1c1noc(-c2cc([N+](=O)[O-])nn2C)n1 ZINC000346426053 393929465 /nfs/dbraw/zinc/92/94/65/393929465.db2.gz SALMDMKBAANIJY-DTWKUNHWSA-N 0 0 291.311 2.672 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)[C@H]1C ZINC000251957477 393933355 /nfs/dbraw/zinc/93/33/55/393933355.db2.gz XHPKWBZKBGDALX-UTLUCORTSA-N 0 0 266.297 2.742 20 5 CFBDRN Cc1ccc([C@H]2NC(=O)c3ccccc3N2C)cc1[N+](=O)[O-] ZINC000024098615 134416088 /nfs/dbraw/zinc/41/60/88/134416088.db2.gz UZJLZECLXDSZJB-HNNXBMFYSA-N 0 0 297.314 2.782 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NCCCF)cc1[N+](=O)[O-] ZINC000121212260 393951421 /nfs/dbraw/zinc/95/14/21/393951421.db2.gz HGWQLGNYGLVFFM-JTQLQIEISA-N 0 0 283.303 2.623 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000429229245 393946172 /nfs/dbraw/zinc/94/61/72/393946172.db2.gz TXYYBTUMNZGVBA-JQWIXIFHSA-N 0 0 294.326 2.923 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CC[C@@H](C)C1 ZINC000429234558 393946509 /nfs/dbraw/zinc/94/65/09/393946509.db2.gz HORKFJPNAVDAIK-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H]2CC[C@@H](C)C2)c1 ZINC000429250134 393948301 /nfs/dbraw/zinc/94/83/01/393948301.db2.gz WSJLNRQSEYADTF-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)Cc2ccncc2)c1 ZINC000487873253 394027435 /nfs/dbraw/zinc/02/74/35/394027435.db2.gz JRPNCXFOIAQNHA-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)Cc1cccnc1 ZINC000430210648 393969190 /nfs/dbraw/zinc/96/91/90/393969190.db2.gz USEKMRUZRADMCO-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CC23CCCC3)cccc1[N+](=O)[O-] ZINC000430219804 393969640 /nfs/dbraw/zinc/96/96/40/393969640.db2.gz NTWSRZNINSUMLU-AWEZNQCLSA-N 0 0 288.347 2.895 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CC23CCCC3)cc1[N+](=O)[O-] ZINC000430220321 393969817 /nfs/dbraw/zinc/96/98/17/393969817.db2.gz QVDXUBQIRAEKMH-CYBMUJFWSA-N 0 0 290.319 2.666 20 5 CFBDRN C[C@]1(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])CCCO1 ZINC000123585695 393983422 /nfs/dbraw/zinc/98/34/22/393983422.db2.gz OWEOIEYJJVDSHZ-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@H]1OCCN(c2ccc3cc([N+](=O)[O-])ccc3n2)[C@@H]1C ZINC000268781788 393994251 /nfs/dbraw/zinc/99/42/51/393994251.db2.gz CLYKNMJRSRYPSS-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN CC1(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)CC1 ZINC000125196737 394003672 /nfs/dbraw/zinc/00/36/72/394003672.db2.gz JPRDGMIWPTWUIZ-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN C[C@H]1COCCN(c2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000432330739 394011669 /nfs/dbraw/zinc/01/16/69/394011669.db2.gz FCYOSSNFGCAEQR-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1cc(F)ccc1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000125913728 394015897 /nfs/dbraw/zinc/01/58/97/394015897.db2.gz CFLKTFXOLZIGRY-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1c(C(=O)N2CC(C)(C)OC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000489639720 394041945 /nfs/dbraw/zinc/04/19/45/394041945.db2.gz GSTUEFZQPIFMOA-JTQLQIEISA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1cc(F)ccc1O ZINC000437004770 394042841 /nfs/dbraw/zinc/04/28/41/394042841.db2.gz XAPBSVWBOGEZJM-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN CC[C@@H](C)OCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000130075899 394101576 /nfs/dbraw/zinc/10/15/76/394101576.db2.gz RFWDFLGSAKQTNP-SNVBAGLBSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1nc(NC(=O)C2Cc3ccccc3C2)ccc1[N+](=O)[O-] ZINC000277395184 394055588 /nfs/dbraw/zinc/05/55/88/394055588.db2.gz GQMRTVZUQGOGTN-UHFFFAOYSA-N 0 0 297.314 2.652 20 5 CFBDRN C[C@H]1CSCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000278459363 394061521 /nfs/dbraw/zinc/06/15/21/394061521.db2.gz MKNWRFSZHCICIM-SECBINFHSA-N 0 0 292.364 2.660 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2ncccn2)cc1[N+](=O)[O-] ZINC000439143072 394102247 /nfs/dbraw/zinc/10/22/47/394102247.db2.gz YCYHUQYSTSUQIB-UHFFFAOYSA-N 0 0 286.291 2.761 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cccc(O)c1F ZINC000128324643 394067621 /nfs/dbraw/zinc/06/76/21/394067621.db2.gz QVJGSAAFNWDSFZ-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)Cc2ccoc2)cc1[N+](=O)[O-] ZINC000128403231 394069193 /nfs/dbraw/zinc/06/91/93/394069193.db2.gz INVSRKCODNAZHY-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN Cc1ccccc1[C@@H](C)N(C)Cc1c([N+](=O)[O-])ncn1C ZINC000355792990 394071096 /nfs/dbraw/zinc/07/10/96/394071096.db2.gz WSXBDYPRGHWOLZ-GFCCVEGCSA-N 0 0 288.351 2.830 20 5 CFBDRN CCc1ccnc(CNC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000437762326 394072883 /nfs/dbraw/zinc/07/28/83/394072883.db2.gz UOZFLBPPEPAQMS-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN CC[C@@](C)(OC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000280620826 394073131 /nfs/dbraw/zinc/07/31/31/394073131.db2.gz WONNRFNYAMGCSB-CYBMUJFWSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)[C@@H](C)[C@H](C)O1 ZINC000438345689 394079161 /nfs/dbraw/zinc/07/91/61/394079161.db2.gz AHENWOIQRCFPPG-WOPDTQHZSA-N 0 0 264.325 2.593 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000281839128 394081569 /nfs/dbraw/zinc/08/15/69/394081569.db2.gz QSKOAFIOOXEPFD-AMIZOPFISA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CC[C@@H]1CCCO1 ZINC000281850774 394083345 /nfs/dbraw/zinc/08/33/45/394083345.db2.gz BCOXGJVIQPTBFN-JTQLQIEISA-N 0 0 296.298 2.940 20 5 CFBDRN CC[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)CCCO1 ZINC000281978075 394104549 /nfs/dbraw/zinc/10/45/49/394104549.db2.gz VPTRFSWPVONSMI-SNVBAGLBSA-N 0 0 286.278 2.878 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)/C=C\c1ccc[nH]1 ZINC000492885420 394089738 /nfs/dbraw/zinc/08/97/38/394089738.db2.gz ZJTSORHZRGLFSL-HJWRWDBZSA-N 0 0 299.330 2.637 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1COCc2ccccc21 ZINC000419002405 394032557 /nfs/dbraw/zinc/03/25/57/394032557.db2.gz SVUYNVUIQOWPOH-AWEZNQCLSA-N 0 0 285.303 2.982 20 5 CFBDRN CCOc1cccc(N2CCC(COC)CC2)c1[N+](=O)[O-] ZINC000273194930 394033816 /nfs/dbraw/zinc/03/38/16/394033816.db2.gz QJKZKVGWVSXQGQ-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN CC1=C[C@@H](C)CN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000362375227 394183582 /nfs/dbraw/zinc/18/35/82/394183582.db2.gz GWVBSANCIOQBBE-SNVBAGLBSA-N 0 0 284.319 2.940 20 5 CFBDRN C[C@]1(C(=O)NCc2ccc([N+](=O)[O-])cc2)CC=CCC1 ZINC000448745958 394178691 /nfs/dbraw/zinc/17/86/91/394178691.db2.gz GBAATJANTGNYME-HNNXBMFYSA-N 0 0 274.320 2.957 20 5 CFBDRN CCCCOC1CCN(c2ccc([N+](=O)[O-])cn2)CC1 ZINC000439361666 394113052 /nfs/dbraw/zinc/11/30/52/394113052.db2.gz SYZVUEDYTBSIIP-UHFFFAOYSA-N 0 0 279.340 2.775 20 5 CFBDRN CO[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000282041729 394114866 /nfs/dbraw/zinc/11/48/66/394114866.db2.gz ZWDYBOPPOQAKBY-ZWNOBZJWSA-N 0 0 293.323 2.626 20 5 CFBDRN CSCCCN(C)Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000439465799 394116513 /nfs/dbraw/zinc/11/65/13/394116513.db2.gz HBFBEZOJEOFMGR-UHFFFAOYSA-N 0 0 294.380 2.656 20 5 CFBDRN Cc1cccc(N2CCC[C@H](CCO)CC2)c1[N+](=O)[O-] ZINC000420640282 394122279 /nfs/dbraw/zinc/12/22/79/394122279.db2.gz MGQGOESDUVRYKQ-ZDUSSCGKSA-N 0 0 278.352 2.892 20 5 CFBDRN C[C@@H](Cn1ccc(=O)c([N+](=O)[O-])c1)c1ccccc1 ZINC000130955673 394122551 /nfs/dbraw/zinc/12/25/51/394122551.db2.gz LMUISKACEPNVJX-NSHDSACASA-N 0 0 258.277 2.560 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C/[C@@H]1CCOC1 ZINC000493017216 394124637 /nfs/dbraw/zinc/12/46/37/394124637.db2.gz ICASVAVAJAFQFN-FVOPLDGLSA-N 0 0 290.319 2.743 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000439711736 394127959 /nfs/dbraw/zinc/12/79/59/394127959.db2.gz QTGFMOZAZRUYSO-MWLCHTKSSA-N 0 0 270.304 2.943 20 5 CFBDRN COc1ccc(NC(=O)c2c(C)ccn2C)cc1[N+](=O)[O-] ZINC000439736485 394128572 /nfs/dbraw/zinc/12/85/72/394128572.db2.gz FBGYHFSBYWQZPD-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C[C@@H](CC)O1 ZINC000420957734 394140108 /nfs/dbraw/zinc/14/01/08/394140108.db2.gz OSWWHNXIEVHSRM-HUUCEWRRSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@H]1CN(Cc2ccccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420957792 394140862 /nfs/dbraw/zinc/14/08/62/394140862.db2.gz ZXTTYLMKDLPJND-KBPBESRZSA-N 0 0 278.352 2.984 20 5 CFBDRN Cc1nccn1CCCNc1c(F)cccc1[N+](=O)[O-] ZINC000286027772 394181311 /nfs/dbraw/zinc/18/13/11/394181311.db2.gz AWKMNEWFRRVGKL-UHFFFAOYSA-N 0 0 278.287 2.741 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC1(CF)CCC1 ZINC000493098528 394147785 /nfs/dbraw/zinc/14/77/85/394147785.db2.gz ZKNNFVBGAHSGSF-VOTSOKGWSA-N 0 0 278.283 2.616 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@H]1CCC[C@H]1F ZINC000493117513 394153581 /nfs/dbraw/zinc/15/35/81/394153581.db2.gz CURVZCLPVNCDBY-STNFYNBXSA-N 0 0 278.283 2.615 20 5 CFBDRN C[C@@H]1CC(NC(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@@H](C)O1 ZINC000132638560 394160190 /nfs/dbraw/zinc/16/01/90/394160190.db2.gz TWRQANZZVFKABS-NXEZZACHSA-N 0 0 293.323 2.672 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)CC(C)(C)OC ZINC000361001926 394161732 /nfs/dbraw/zinc/16/17/32/394161732.db2.gz KGNFWXZZPBQQGZ-VIFPVBQESA-N 0 0 284.360 2.735 20 5 CFBDRN CC[C@](C)(CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000493185593 394172631 /nfs/dbraw/zinc/17/26/31/394172631.db2.gz GFUXHYSPPSZXQW-JOZWUWCOSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@H](C)CNC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000421081667 394173013 /nfs/dbraw/zinc/17/30/13/394173013.db2.gz AZJZXMGSHWWHLI-VIFPVBQESA-N 0 0 281.312 2.771 20 5 CFBDRN CC(C)SCCNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000286533547 394197849 /nfs/dbraw/zinc/19/78/49/394197849.db2.gz OEXNCXXFLPCXBO-UHFFFAOYSA-N 0 0 297.380 2.536 20 5 CFBDRN COC1(C)CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC1 ZINC000286603313 394198709 /nfs/dbraw/zinc/19/87/09/394198709.db2.gz UVWLNCJFIUKOEV-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1cnc(N2CCC3(CCOCC3)CC2)c([N+](=O)[O-])c1 ZINC000286833930 394199971 /nfs/dbraw/zinc/19/99/71/394199971.db2.gz YNGLYZFINZNHFL-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN O=C(CC1CCSCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000134653745 394202510 /nfs/dbraw/zinc/20/25/10/394202510.db2.gz JQNXDYGWQXEPDN-UHFFFAOYSA-N 0 0 294.376 2.744 20 5 CFBDRN Cc1cc(N2CCC[C@H]2c2ncc[nH]2)ccc1[N+](=O)[O-] ZINC000364036980 394202702 /nfs/dbraw/zinc/20/27/02/394202702.db2.gz UIALNLPIFIRDHR-ZDUSSCGKSA-N 0 0 272.308 2.968 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000135230204 394214247 /nfs/dbraw/zinc/21/42/47/394214247.db2.gz ZNXNXUCAUASKCR-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CS[C@H]1CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000493490482 394257683 /nfs/dbraw/zinc/25/76/83/394257683.db2.gz QOYAJWVACPLDHU-IRBQFCFKSA-N 0 0 292.360 2.572 20 5 CFBDRN CCc1nnc(CNc2ncc([N+](=O)[O-])cc2Cl)s1 ZINC000290794960 394234351 /nfs/dbraw/zinc/23/43/51/394234351.db2.gz XYJARPQKQZETNB-UHFFFAOYSA-N 0 0 299.743 2.669 20 5 CFBDRN Cc1cc(N2CCC3(CCO3)CC2)ccc1[N+](=O)[O-] ZINC000367235914 394252829 /nfs/dbraw/zinc/25/28/29/394252829.db2.gz CPDCIEAOSCWYEN-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000150808216 394253651 /nfs/dbraw/zinc/25/36/51/394253651.db2.gz CASZGSNLCRBFQW-ZJUUUORDSA-N 0 0 266.297 2.839 20 5 CFBDRN Cc1nccn1CCCN(C)c1c(F)cccc1[N+](=O)[O-] ZINC000286090044 394190368 /nfs/dbraw/zinc/19/03/68/394190368.db2.gz DJLRIWZPTYRBSC-UHFFFAOYSA-N 0 0 292.314 2.765 20 5 CFBDRN Cc1noc([C@@H]2CCCN2c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000286333802 394196437 /nfs/dbraw/zinc/19/64/37/394196437.db2.gz IBRRFYRSCISGNW-ZDUSSCGKSA-N 0 0 288.307 2.936 20 5 CFBDRN Cc1cnc(NCC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000291826640 394267409 /nfs/dbraw/zinc/26/74/09/394267409.db2.gz LAPNRMINNGVJKW-UHFFFAOYSA-N 0 0 257.240 2.755 20 5 CFBDRN CCOc1cccc(N2CCC[C@H](OC)CC2)c1[N+](=O)[O-] ZINC000368410441 394272580 /nfs/dbraw/zinc/27/25/80/394272580.db2.gz KGYZEUISINCNCC-LBPRGKRZSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000493544208 394273415 /nfs/dbraw/zinc/27/34/15/394273415.db2.gz BDKINPQKJISZQO-NZGHECTNSA-N 0 0 288.225 2.675 20 5 CFBDRN CN(CCc1ccccn1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153505673 394284733 /nfs/dbraw/zinc/28/47/33/394284733.db2.gz ZTWRFBSSUUKZOA-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN CC(C)(CCC(=O)N1CCC[C@@H]1c1ccncc1)[N+](=O)[O-] ZINC000294294022 394292082 /nfs/dbraw/zinc/29/20/82/394292082.db2.gz FJVLWOFMJOCCHJ-CYBMUJFWSA-N 0 0 291.351 2.581 20 5 CFBDRN Cc1nc(N[C@H]2CCc3cc(F)ccc32)ncc1[N+](=O)[O-] ZINC000294786065 394294775 /nfs/dbraw/zinc/29/47/75/394294775.db2.gz AYWBXQFRBDFUHO-LBPRGKRZSA-N 0 0 288.282 2.932 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@H]3CCOC3)C2)ccc1[N+](=O)[O-] ZINC000371005406 394296856 /nfs/dbraw/zinc/29/68/56/394296856.db2.gz OPAOZBHXWYGLPO-STQMWFEESA-N 0 0 276.336 2.766 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@@H]3CCOC3)C2)ccc1[N+](=O)[O-] ZINC000371005391 394297351 /nfs/dbraw/zinc/29/73/51/394297351.db2.gz OPAOZBHXWYGLPO-CHWSQXEVSA-N 0 0 276.336 2.766 20 5 CFBDRN CCn1ccnc1[C@H](C)NCc1ccccc1[N+](=O)[O-] ZINC000295185116 394297862 /nfs/dbraw/zinc/29/78/62/394297862.db2.gz ICVOPLROTVRQJO-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC(=O)CCC(C)C ZINC000154672756 394298970 /nfs/dbraw/zinc/29/89/70/394298970.db2.gz UQXGFRNBALUIMS-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC[C@H]2c2ccccc2)nc1 ZINC000450618408 394300211 /nfs/dbraw/zinc/30/02/11/394300211.db2.gz VMWFHWJYKKIUQQ-ZFWWWQNUSA-N 0 0 298.346 2.803 20 5 CFBDRN Cc1c(C(=O)N2CC3CCC2CC3)cccc1[N+](=O)[O-] ZINC000371397772 394301062 /nfs/dbraw/zinc/30/10/62/394301062.db2.gz QMAGUNUXIGUDPZ-UHFFFAOYSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1nc(N[C@H]2c3ccccc3O[C@@H]2C)ncc1[N+](=O)[O-] ZINC000295695762 394302820 /nfs/dbraw/zinc/30/28/20/394302820.db2.gz PERPRDPVAANOFR-NOZJJQNGSA-N 0 0 286.291 2.627 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCC(=O)N[C@@H](C)C(C)C ZINC000155019157 394307766 /nfs/dbraw/zinc/30/77/66/394307766.db2.gz CLMIEOCFGPYSGE-LBPRGKRZSA-N 0 0 293.367 2.784 20 5 CFBDRN CN(CC(C)(C)CO)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000450651449 394309643 /nfs/dbraw/zinc/30/96/43/394309643.db2.gz DRDXUSUARDJJDF-UHFFFAOYSA-N 0 0 289.335 2.598 20 5 CFBDRN CCN(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])C1COC1 ZINC000450653431 394310391 /nfs/dbraw/zinc/31/03/91/394310391.db2.gz PBDJSVZMEMHAQR-UHFFFAOYSA-N 0 0 290.241 2.839 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C[C@H]1C ZINC000450753032 394335099 /nfs/dbraw/zinc/33/50/99/394335099.db2.gz GJODONGXFMTCJG-MEBBXXQBSA-N 0 0 292.335 2.659 20 5 CFBDRN O=C(/C=C\c1cncs1)Nc1cccc([N+](=O)[O-])c1 ZINC000493798251 394318289 /nfs/dbraw/zinc/31/82/89/394318289.db2.gz YEYLZFQEKDROPB-PLNGDYQASA-N 0 0 275.289 2.703 20 5 CFBDRN O=C(/C=C/c1cncs1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000493795562 394318389 /nfs/dbraw/zinc/31/83/89/394318389.db2.gz SHVQSQFMUDSKAH-OWOJBTEDSA-N 0 0 293.279 2.842 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CCC[C@@H]1CF ZINC000493817927 394322473 /nfs/dbraw/zinc/32/24/73/394322473.db2.gz IFWZXKGRWDCWAG-QDZRJHCZSA-N 0 0 278.283 2.569 20 5 CFBDRN CC1=CCCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000493829621 394325889 /nfs/dbraw/zinc/32/58/89/394325889.db2.gz XMJLMOPYHVMPSH-HJWRWDBZSA-N 0 0 272.304 2.787 20 5 CFBDRN Cc1nc(N2C[C@H](C3CC3)[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000450792348 394340371 /nfs/dbraw/zinc/34/03/71/394340371.db2.gz JZUPIPNADSCEQI-IUODEOHRSA-N 0 0 273.336 2.923 20 5 CFBDRN CCc1ncc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000453371561 394391975 /nfs/dbraw/zinc/39/19/75/394391975.db2.gz NQHZVCYIISMTAM-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN Cc1cnc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000451105646 394357649 /nfs/dbraw/zinc/35/76/49/394357649.db2.gz TYYFICSLENGGMI-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN Cc1c(CNC(=O)CC2CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000451134225 394362123 /nfs/dbraw/zinc/36/21/23/394362123.db2.gz PQAPUENSQATYKG-UHFFFAOYSA-N 0 0 298.289 2.955 20 5 CFBDRN Cc1ccc(NC(=O)N(C)[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000159254712 394364676 /nfs/dbraw/zinc/36/46/76/394364676.db2.gz YEOGZTYXZLVZJJ-NSHDSACASA-N 0 0 295.364 2.872 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])CC(C)(C)CO ZINC000451254458 394371356 /nfs/dbraw/zinc/37/13/56/394371356.db2.gz OSYOIMBHMMEGGR-UHFFFAOYSA-N 0 0 286.759 2.699 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000520351606 394380294 /nfs/dbraw/zinc/38/02/94/394380294.db2.gz MTRXRDHXSQIFQT-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CN(Cc1cccnc1)Cc1ccccc1[N+](=O)[O-] ZINC000178300765 394387209 /nfs/dbraw/zinc/38/72/09/394387209.db2.gz QGNRDKLDETYHCE-UHFFFAOYSA-N 0 0 257.293 2.622 20 5 CFBDRN CCC[C@H]1CN(c2cc(OC)c([N+](=O)[O-])cc2F)CCO1 ZINC000271090706 135091220 /nfs/dbraw/zinc/09/12/20/135091220.db2.gz KUVMIBNGXZAZRC-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN C[C@H](C(=O)NCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000457488499 394467692 /nfs/dbraw/zinc/46/76/92/394467692.db2.gz WIDACJOTAUVGES-JTQLQIEISA-N 0 0 262.309 2.647 20 5 CFBDRN C[C@H](NCc1nnsc1Cl)c1ccccc1[N+](=O)[O-] ZINC000539380889 394625629 /nfs/dbraw/zinc/62/56/29/394625629.db2.gz ZPOSKKVZRNXTPB-ZETCQYMHSA-N 0 0 298.755 2.951 20 5 CFBDRN CCOc1cc(N2CCO[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000539769645 394632152 /nfs/dbraw/zinc/63/21/52/394632152.db2.gz CBAKQCJOFGFJJJ-HNNXBMFYSA-N 0 0 294.351 2.855 20 5 CFBDRN COc1ccc(NC(=O)NCCCC2CC2)cc1[N+](=O)[O-] ZINC000542956892 394718657 /nfs/dbraw/zinc/71/86/57/394718657.db2.gz KUWDLDZTPXIIQD-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN CCC(CC)CNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000544396139 394757713 /nfs/dbraw/zinc/75/77/13/394757713.db2.gz XMZOIBLNHJEEKU-UHFFFAOYSA-N 0 0 274.324 2.808 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000544455549 394761098 /nfs/dbraw/zinc/76/10/98/394761098.db2.gz DFIVIAZKQYVPOW-NSHDSACASA-N 0 0 285.303 2.523 20 5 CFBDRN O=C(Cc1ccc2c(c1)COC2)Nc1cccc([N+](=O)[O-])c1 ZINC000544533331 394762219 /nfs/dbraw/zinc/76/22/19/394762219.db2.gz DAVYHWVWQWXHDP-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cc3c(s2)CCCC3)nc1 ZINC000545445299 394795617 /nfs/dbraw/zinc/79/56/17/394795617.db2.gz AJEUWPFUNADNHY-UHFFFAOYSA-N 0 0 290.348 2.937 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3Cc4ccccc43)no2)[nH]1 ZINC000545584270 394797331 /nfs/dbraw/zinc/79/73/31/394797331.db2.gz ZIGYJAMXKUQDQN-SNVBAGLBSA-N 0 0 282.259 2.661 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@]2(C)CCCO2)n1 ZINC000545689804 394799921 /nfs/dbraw/zinc/79/99/21/394799921.db2.gz AYNIOYNPURXRNW-CQSZACIVSA-N 0 0 289.291 2.979 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](CC)OCC ZINC000547371626 394862916 /nfs/dbraw/zinc/86/29/16/394862916.db2.gz COALHRVDRYZTNX-GFCCVEGCSA-N 0 0 296.323 2.747 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@@H]1CCCOC1 ZINC000546621319 394833520 /nfs/dbraw/zinc/83/35/20/394833520.db2.gz ICQLEQVLDZBXGH-SECBINFHSA-N 0 0 255.245 2.539 20 5 CFBDRN C[C@@H]1C[C@H]1c1ccc(CNc2ncc([N+](=O)[O-])cn2)o1 ZINC000546899214 394848106 /nfs/dbraw/zinc/84/81/06/394848106.db2.gz YXGJQZSAIABOMW-LDYMZIIASA-N 0 0 274.280 2.713 20 5 CFBDRN Cn1c(-c2nc([C@H]3Cc4ccccc43)no2)ccc1[N+](=O)[O-] ZINC000546996221 394850663 /nfs/dbraw/zinc/85/06/63/394850663.db2.gz BWYITPXGDPAONL-NSHDSACASA-N 0 0 296.286 2.671 20 5 CFBDRN CNc1ccc(-c2nc([C@@H]3C[C@@H]3C)no2)cc1[N+](=O)[O-] ZINC000547181961 394853891 /nfs/dbraw/zinc/85/38/91/394853891.db2.gz HYZGTPNLZWNOMV-IONNQARKSA-N 0 0 274.280 2.810 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H](CO)Cc1ccco1 ZINC000548284501 394921058 /nfs/dbraw/zinc/92/10/58/394921058.db2.gz AVXXHGZZCLLIDT-LBPRGKRZSA-N 0 0 290.319 2.759 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@H](C2CC2)C1 ZINC000548603655 394939643 /nfs/dbraw/zinc/93/96/43/394939643.db2.gz QKUJGCYTPIYENR-ABAIWWIYSA-N 0 0 276.336 2.767 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)[C@@H]1CCC[C@H](C)C1 ZINC000556693463 395009527 /nfs/dbraw/zinc/00/95/27/395009527.db2.gz ANLNRLKLOIMBAI-SDDRHHMPSA-N 0 0 291.351 2.934 20 5 CFBDRN C[C@H](c1nc(-c2nccn2C)no1)c1cccc([N+](=O)[O-])c1 ZINC000554807552 394959465 /nfs/dbraw/zinc/95/94/65/394959465.db2.gz DPWOOEQSSXSJLD-VIFPVBQESA-N 0 0 299.290 2.530 20 5 CFBDRN CCSc1cccc(NC(=O)c2c[nH]nc2[N+](=O)[O-])c1 ZINC000554859103 394960593 /nfs/dbraw/zinc/96/05/93/394960593.db2.gz DNJMOFLMTFHDNQ-UHFFFAOYSA-N 0 0 292.320 2.682 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000558696977 395071554 /nfs/dbraw/zinc/07/15/54/395071554.db2.gz ARLCQHBHBSZKLS-UHFFFAOYSA-N 0 0 290.241 2.913 20 5 CFBDRN CS[C@H](C)CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000558693369 395071767 /nfs/dbraw/zinc/07/17/67/395071767.db2.gz LLTMZOXATPETLG-ZJUUUORDSA-N 0 0 282.365 2.566 20 5 CFBDRN CC[C@@H](NC(=O)c1c[nH]nc1[N+](=O)[O-])c1ccc(C)cc1 ZINC000558736340 395075170 /nfs/dbraw/zinc/07/51/70/395075170.db2.gz LRUOFQOPBVFGSR-GFCCVEGCSA-N 0 0 288.307 2.507 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H](C2CC2)C1 ZINC000343423978 296501534 /nfs/dbraw/zinc/50/15/34/296501534.db2.gz ICTCEYXZGDGWKF-JTQLQIEISA-N 0 0 250.273 2.970 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@@H]1CCSC1 ZINC000557583842 395040509 /nfs/dbraw/zinc/04/05/09/395040509.db2.gz PSOZOLSJMZMMKF-SECBINFHSA-N 0 0 296.348 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCOCC3)[C@@H]2C2CC2)cc1 ZINC000292890759 296505833 /nfs/dbraw/zinc/50/58/33/296505833.db2.gz VUWYWUHMGANXGI-HNNXBMFYSA-N 0 0 288.347 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)nc1 ZINC000290687084 296505910 /nfs/dbraw/zinc/50/59/10/296505910.db2.gz LZGBWCWEYNUGTC-JSGCOSHPSA-N 0 0 289.335 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H]3CCC[C@@H]32)c2ccncc21 ZINC000267218628 296507007 /nfs/dbraw/zinc/50/70/07/296507007.db2.gz CNWDXXMUJRWQSF-HOTGVXAUSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC([C@H]2CCOC2)CC1 ZINC000364644756 296514450 /nfs/dbraw/zinc/51/44/50/296514450.db2.gz JALYCRGXFSPAKI-ZDUSSCGKSA-N 0 0 276.336 2.848 20 5 CFBDRN C[C@@H]1CSCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000277153116 288416105 /nfs/dbraw/zinc/41/61/05/288416105.db2.gz FZEBSRROTJAPOP-VIFPVBQESA-N 0 0 270.329 2.923 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000264183349 292074177 /nfs/dbraw/zinc/07/41/77/292074177.db2.gz BJEWHUNZZQABDR-BXKDBHETSA-N 0 0 262.309 2.822 20 5 CFBDRN COc1cc(OCC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000355933662 155133499 /nfs/dbraw/zinc/13/34/99/155133499.db2.gz RFMZSWZCIWGHNS-LLVKDONJSA-N 0 0 281.308 2.941 20 5 CFBDRN Cc1cnc(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)cn1 ZINC000355976253 155134721 /nfs/dbraw/zinc/13/47/21/155134721.db2.gz ZUHPJDCVGKQREL-UHFFFAOYSA-N 0 0 295.302 2.605 20 5 CFBDRN O=C(C1=CCCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000177572350 397609249 /nfs/dbraw/zinc/60/92/49/397609249.db2.gz RNCJNMDBCLJLDQ-UHFFFAOYSA-N 0 0 258.277 2.594 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N([C@@H](C)C(C)C)C1CC1 ZINC000192536286 397611635 /nfs/dbraw/zinc/61/16/35/397611635.db2.gz FOTVMYMZKXAAHY-NSHDSACASA-N 0 0 291.351 2.947 20 5 CFBDRN Cc1ccc(N2CCC3(CCO3)CC2)c([N+](=O)[O-])c1 ZINC000374486455 292129278 /nfs/dbraw/zinc/12/92/78/292129278.db2.gz DAPBLEKUESMZTH-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000356246122 155196408 /nfs/dbraw/zinc/19/64/08/155196408.db2.gz NFFKMAXNHDAFOG-DGCLKSJQSA-N 0 0 276.336 2.642 20 5 CFBDRN CO[C@]1(C)CCCN(c2nccc(C)c2[N+](=O)[O-])CC1 ZINC000366503319 286162655 /nfs/dbraw/zinc/16/26/55/286162655.db2.gz DNHHHXMBRQTYND-CQSZACIVSA-N 0 0 279.340 2.694 20 5 CFBDRN CO[C@]1(C)CCCN(c2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000280139989 286162771 /nfs/dbraw/zinc/16/27/71/286162771.db2.gz FBOOHRIAPKKDJR-CQSZACIVSA-N 0 0 279.340 2.694 20 5 CFBDRN CO[C@]1(C)CCCN(c2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000280335999 286161621 /nfs/dbraw/zinc/16/16/21/286161621.db2.gz VDWHLNADFOAYFK-CQSZACIVSA-N 0 0 279.340 2.694 20 5 CFBDRN CO[C@]1(C)C[C@@H](N(C)c2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000450363279 286165188 /nfs/dbraw/zinc/16/51/88/286165188.db2.gz KASQQIYUVJLTED-BXUZGUMPSA-N 0 0 279.340 2.630 20 5 CFBDRN CO[C@]1(C)C[C@H]([NH2+]Cc2cc([O-])ccc2[N+](=O)[O-])C1(C)C ZINC000344730709 286171625 /nfs/dbraw/zinc/17/16/25/286171625.db2.gz YPKPSWHCUPZLCX-DZGCQCFKSA-N 0 0 294.351 2.594 20 5 CFBDRN COc1cc(C(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000416073102 286199794 /nfs/dbraw/zinc/19/97/94/286199794.db2.gz NEFBRPRUNUREHL-HBNTYKKESA-N 0 0 292.335 2.720 20 5 CFBDRN Cn1c(C(=O)Nc2cccc3cccnc32)ccc1[N+](=O)[O-] ZINC000356478490 155279197 /nfs/dbraw/zinc/27/91/97/155279197.db2.gz DNKDHKUETMFAIJ-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H](C)CCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])o1 ZINC000363571822 292229223 /nfs/dbraw/zinc/22/92/23/292229223.db2.gz VFQFKHQVLBVPKY-JHEVNIALSA-N 0 0 292.335 2.553 20 5 CFBDRN COc1ccc(C(=O)N(C)[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000356594596 155311774 /nfs/dbraw/zinc/31/17/74/155311774.db2.gz OGARORYOFBKPPH-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000356605963 155315027 /nfs/dbraw/zinc/31/50/27/155315027.db2.gz WHCCTTYFFKBJCB-SNVBAGLBSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000336388051 299745326 /nfs/dbraw/zinc/74/53/26/299745326.db2.gz DQAWRZRCFVMKRX-NSHDSACASA-N 0 0 262.309 3.000 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000356731001 155344132 /nfs/dbraw/zinc/34/41/32/155344132.db2.gz JILRLSHDUFNQFT-ZANVPECISA-N 0 0 296.323 2.603 20 5 CFBDRN CCN(Cc1c([N+](=O)[O-])ncn1C)c1cc(C)ccc1C ZINC000356739626 155346579 /nfs/dbraw/zinc/34/65/79/155346579.db2.gz OCTHEMQNUROOPA-UHFFFAOYSA-N 0 0 288.351 2.972 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCC[C@@H]2C)c1 ZINC000151909062 292282012 /nfs/dbraw/zinc/28/20/12/292282012.db2.gz KBSDSXDTHZWGDC-NSHDSACASA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1CC1CCC(F)(F)CC1 ZINC000334968683 292281466 /nfs/dbraw/zinc/28/14/66/292281466.db2.gz UHZCUCRGUITOQQ-UHFFFAOYSA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC=C(C(F)(F)F)CC2)n1 ZINC000270118677 292284402 /nfs/dbraw/zinc/28/44/02/292284402.db2.gz GDZAQXADNISVSO-UHFFFAOYSA-N 0 0 287.241 2.997 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC=CCC2)c1 ZINC000265988720 292283193 /nfs/dbraw/zinc/28/31/93/292283193.db2.gz CSYCJSPSWBIVQH-LLVKDONJSA-N 0 0 260.293 2.742 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCS[C@@H](C)CC2)c1 ZINC000276840713 286382731 /nfs/dbraw/zinc/38/27/31/286382731.db2.gz CDOBBYZZQLWLIQ-JTQLQIEISA-N 0 0 282.365 2.935 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2Cc3ccccc3C2)n1 ZINC000124937856 292289693 /nfs/dbraw/zinc/28/96/93/292289693.db2.gz KKCUPVXWKARDSE-UHFFFAOYSA-N 0 0 255.277 2.818 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)[C@H](C)C2)c1 ZINC000131947125 286381229 /nfs/dbraw/zinc/38/12/29/286381229.db2.gz LEKINJKUNCGJKS-WDEREUQCSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC[C@H]2C)c1 ZINC000067297363 286381619 /nfs/dbraw/zinc/38/16/19/286381619.db2.gz OFZAHJHWESWUHK-ZWNOBZJWSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1ccc(CNc2c(F)cccc2[N+](=O)[O-])nc1 ZINC000343054021 154098342 /nfs/dbraw/zinc/09/83/42/154098342.db2.gz NVMUSFURDFUHMD-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])cc2)nc(C)n1 ZINC000343139301 154122826 /nfs/dbraw/zinc/12/28/26/154122826.db2.gz FUDITFOOBYROCZ-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cc(CNc2ncc([N+](=O)[O-])cc2Cl)nc(C)n1 ZINC000343146120 154125869 /nfs/dbraw/zinc/12/58/69/154125869.db2.gz DLMPSOFGVMXNCF-UHFFFAOYSA-N 0 0 293.714 2.662 20 5 CFBDRN CCc1onc(C)c1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000343164439 154131649 /nfs/dbraw/zinc/13/16/49/154131649.db2.gz KHIQTVOSUKOGKD-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN COC(=O)C(C)(C)CCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000343233238 154150207 /nfs/dbraw/zinc/15/02/07/154150207.db2.gz HYLRKDCHJUZAAD-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])c1ncncc1Cl ZINC000343235782 154150582 /nfs/dbraw/zinc/15/05/82/154150582.db2.gz RMDHQSFIFAQSLV-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1S[C@@H]1CCOC1 ZINC000343237950 154151960 /nfs/dbraw/zinc/15/19/60/154151960.db2.gz TUTZYFJRRXNWLG-SECBINFHSA-N 0 0 297.332 2.571 20 5 CFBDRN CN(Cc1ccon1)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000343267393 154160897 /nfs/dbraw/zinc/16/08/97/154160897.db2.gz JDEXFHBLYHAURP-UHFFFAOYSA-N 0 0 284.275 2.767 20 5 CFBDRN CCN(C[C@@H](C)O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000343267874 154160922 /nfs/dbraw/zinc/16/09/22/154160922.db2.gz HGORIXNYMKKKPT-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000343319614 154174663 /nfs/dbraw/zinc/17/46/63/154174663.db2.gz BRQPJLVUFHTLTA-CYBMUJFWSA-N 0 0 294.376 2.944 20 5 CFBDRN Nc1ccc(-c2noc([C@H]3C[C@H]3C(F)F)n2)cc1[N+](=O)[O-] ZINC000345676403 154237923 /nfs/dbraw/zinc/23/79/23/154237923.db2.gz XSUSUWLCGDHNCK-RQJHMYQMSA-N 0 0 296.233 2.596 20 5 CFBDRN CC(C)(NC(=O)CC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000345768202 154262748 /nfs/dbraw/zinc/26/27/48/154262748.db2.gz ARWBOJNIWOGFGG-UHFFFAOYSA-N 0 0 290.241 2.899 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1C(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000345774351 154263938 /nfs/dbraw/zinc/26/39/38/154263938.db2.gz KQQIMVQQKFYCJU-JGVFFNPUSA-N 0 0 290.653 2.632 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000345812776 154272662 /nfs/dbraw/zinc/27/26/62/154272662.db2.gz YZFNYDICIFPUOX-RKDXNWHRSA-N 0 0 298.289 2.847 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC1(C)C ZINC000345830693 154277838 /nfs/dbraw/zinc/27/78/38/154277838.db2.gz DZFMPAJSOIMNJH-LBPRGKRZSA-N 0 0 278.308 2.522 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000345837486 154279441 /nfs/dbraw/zinc/27/94/41/154279441.db2.gz KWEOQIDTSHGYRI-ZDUSSCGKSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1C[C@H]2C=C[C@H]1C2 ZINC000348002364 154284700 /nfs/dbraw/zinc/28/47/00/154284700.db2.gz IVYSRJNSRYLFQU-MWODSPESSA-N 0 0 276.267 2.885 20 5 CFBDRN CCc1cccnc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348007964 154286348 /nfs/dbraw/zinc/28/63/48/154286348.db2.gz LMXQIRKFPBACHZ-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H]2c2cc[nH]n2)cs1 ZINC000348160447 154344755 /nfs/dbraw/zinc/34/47/55/154344755.db2.gz PKXAWXDJUMLNOO-LLVKDONJSA-N 0 0 278.337 2.717 20 5 CFBDRN Cc1cc(N[C@@H](CO)c2cccc(F)c2)ncc1[N+](=O)[O-] ZINC000348164103 154345995 /nfs/dbraw/zinc/34/59/95/154345995.db2.gz AJTNONFVUAPUMX-LBPRGKRZSA-N 0 0 291.282 2.583 20 5 CFBDRN Cc1cnccc1CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000352184310 154492210 /nfs/dbraw/zinc/49/22/10/154492210.db2.gz PCBBJKHOVGLWID-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN COc1cccc(N2CCC[C@@H](CCO)CC2)c1[N+](=O)[O-] ZINC000420641458 286456357 /nfs/dbraw/zinc/45/63/57/286456357.db2.gz NNVGUERQLYBTIG-GFCCVEGCSA-N 0 0 294.351 2.592 20 5 CFBDRN CSc1ccc(C(=O)NC(C)(C)CF)cc1[N+](=O)[O-] ZINC000354484979 154724326 /nfs/dbraw/zinc/72/43/26/154724326.db2.gz XMMPHPDYVQYOFT-UHFFFAOYSA-N 0 0 286.328 2.795 20 5 CFBDRN COc1cccc(N2CC[C@@H]3OCCC[C@H]3C2)c1[N+](=O)[O-] ZINC000249273664 286457811 /nfs/dbraw/zinc/45/78/11/286457811.db2.gz BYUUZBOLKZHHNB-AAEUAGOBSA-N 0 0 292.335 2.609 20 5 CFBDRN CCc1csc(NC(=O)Cc2ccccc2[N+](=O)[O-])n1 ZINC000355116022 154920954 /nfs/dbraw/zinc/92/09/54/154920954.db2.gz XADIDFULNBNSMS-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCSC3)n2)cc1 ZINC000355439143 155008596 /nfs/dbraw/zinc/00/85/96/155008596.db2.gz GDWSZGHPHAASGH-SECBINFHSA-N 0 0 277.305 2.865 20 5 CFBDRN CN(Cc1c([N+](=O)[O-])ncn1C)Cc1ccccc1Cl ZINC000355655777 155056723 /nfs/dbraw/zinc/05/67/23/155056723.db2.gz ILMALKQLKDHLLD-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(Cc2cccnc2)n1 ZINC000355691631 155063706 /nfs/dbraw/zinc/06/37/06/155063706.db2.gz UYILHSJFJMKHBG-UHFFFAOYSA-N 0 0 296.286 2.554 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCCCC[C@@H]1c1ccccc1 ZINC000368759285 304395816 /nfs/dbraw/zinc/39/58/16/304395816.db2.gz ZDWSRXBHTLOPIO-RBSFLKMASA-N 0 0 288.347 2.796 20 5 CFBDRN CCCC[C@H](C)N(C)c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000357786055 155537334 /nfs/dbraw/zinc/53/73/34/155537334.db2.gz SWAXUCQUJGXJRT-NSHDSACASA-N 0 0 293.367 2.969 20 5 CFBDRN COc1ncccc1CCNc1ccc([N+](=O)[O-])cc1 ZINC000357821955 155549525 /nfs/dbraw/zinc/54/95/25/155549525.db2.gz XIBGQALGFYOYMX-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N(CC1CC1)C1CCC1 ZINC000358420579 155759860 /nfs/dbraw/zinc/75/98/60/155759860.db2.gz CRYXNHSDCZZELW-UHFFFAOYSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1ccsc1CCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000358462059 155772398 /nfs/dbraw/zinc/77/23/98/155772398.db2.gz KXACHTRNLWZTND-UHFFFAOYSA-N 0 0 277.349 2.745 20 5 CFBDRN CCCCCOC1CN(Cc2ccccc2[N+](=O)[O-])C1 ZINC000358799081 155882313 /nfs/dbraw/zinc/88/23/13/155882313.db2.gz ILNSQWDXHRWYQH-UHFFFAOYSA-N 0 0 278.352 2.986 20 5 CFBDRN O=C1[C@@H](SCC2CC2)CCN1c1cccc([N+](=O)[O-])c1 ZINC000359170479 156012519 /nfs/dbraw/zinc/01/25/19/156012519.db2.gz WDKVXNLJBNOXNJ-ZDUSSCGKSA-N 0 0 292.360 2.843 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2C[C@H]2c2ccccc2)c1=O ZINC000359181304 156015672 /nfs/dbraw/zinc/01/56/72/156015672.db2.gz YDHRDYUHDKRJLN-ZFWWWQNUSA-N 0 0 284.315 2.869 20 5 CFBDRN Cc1cnn(C2CN(C/C=C/c3ccccc3[N+](=O)[O-])C2)c1 ZINC000361256021 156071519 /nfs/dbraw/zinc/07/15/19/156071519.db2.gz ZLURDYXFWMTLMM-GQCTYLIASA-N 0 0 298.346 2.670 20 5 CFBDRN Cc1cnc(N[C@@H](CCO)c2ccccc2)c([N+](=O)[O-])c1 ZINC000361259182 156072183 /nfs/dbraw/zinc/07/21/83/156072183.db2.gz ATXUUKYFHREKNI-ZDUSSCGKSA-N 0 0 287.319 2.834 20 5 CFBDRN CCC[C@@H]1C[C@@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000361259047 156072574 /nfs/dbraw/zinc/07/25/74/156072574.db2.gz AIFADRXKLBBTHB-SKDRFNHKSA-N 0 0 288.307 2.867 20 5 CFBDRN CCC[C@@H]1C[C@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000361259042 156072594 /nfs/dbraw/zinc/07/25/94/156072594.db2.gz AIFADRXKLBBTHB-BXKDBHETSA-N 0 0 288.307 2.867 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCO[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000367825070 156394586 /nfs/dbraw/zinc/39/45/86/156394586.db2.gz IMXRFPRXQIJLRF-HIFRSBDPSA-N 0 0 290.319 2.777 20 5 CFBDRN CCOc1cccc(N2CC[C@H](C)[C@@H](OC)C2)c1[N+](=O)[O-] ZINC000276128864 286573521 /nfs/dbraw/zinc/57/35/21/286573521.db2.gz ZUTKLQOUMYLHFJ-FZMZJTMJSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1cc(N2CC[C@@H](c3nccn3C)C2)ccc1[N+](=O)[O-] ZINC000374347086 157103943 /nfs/dbraw/zinc/10/39/43/157103943.db2.gz AVZXVZHAGJRZCI-GFCCVEGCSA-N 0 0 286.335 2.631 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCO[C@@]2(CCOC2)C1 ZINC000374500439 157122256 /nfs/dbraw/zinc/12/22/56/157122256.db2.gz BOIAACIOUVPDPO-DOMZBBRYSA-N 0 0 292.335 2.653 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000374518640 157124142 /nfs/dbraw/zinc/12/41/42/157124142.db2.gz CSYZEXNRXHIMAD-HIFRSBDPSA-N 0 0 292.335 2.653 20 5 CFBDRN Cc1nc(N2CC[C@H](Cc3ccncc3)C2)ccc1[N+](=O)[O-] ZINC000374663008 157144515 /nfs/dbraw/zinc/14/45/15/157144515.db2.gz LIBPLIQBLADXGJ-CQSZACIVSA-N 0 0 298.346 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C3CC3)C2)c2ccncc21 ZINC000375330413 157229091 /nfs/dbraw/zinc/22/90/91/157229091.db2.gz DYERLBODZVHZRD-MRXNPFEDSA-N 0 0 299.330 2.758 20 5 CFBDRN Nc1ccc(N2CC[C@@H](Nc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000376223513 157336619 /nfs/dbraw/zinc/33/66/19/157336619.db2.gz XHFOUFFJIVYAKH-CQSZACIVSA-N 0 0 298.346 2.868 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC2(CCO2)CC1 ZINC000376425165 157354120 /nfs/dbraw/zinc/35/41/20/157354120.db2.gz GNTFZOGGLSVUSX-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN CSC1(C(=O)N2CCc3c2cccc3[N+](=O)[O-])CCC1 ZINC000336517334 286647096 /nfs/dbraw/zinc/64/70/96/286647096.db2.gz MCAQGQGGYLJYHC-UHFFFAOYSA-N 0 0 292.360 2.770 20 5 CFBDRN CSC[C@H]1CCCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000292219757 286683949 /nfs/dbraw/zinc/68/39/49/286683949.db2.gz HZIKNRRPWRKPJH-JTQLQIEISA-N 0 0 281.381 2.756 20 5 CFBDRN CS[C@H](C)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000114101592 286709577 /nfs/dbraw/zinc/70/95/77/286709577.db2.gz PRSGXDUCCXOBCA-SECBINFHSA-N 0 0 280.349 2.626 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000105384702 292426382 /nfs/dbraw/zinc/42/63/82/292426382.db2.gz BAYYEUDVZXTBPY-JOYOIKCWSA-N 0 0 262.309 2.822 20 5 CFBDRN O=[N+]([O-])c1cccc(Oc2ncncc2Br)c1 ZINC000090782983 161508383 /nfs/dbraw/zinc/50/83/83/161508383.db2.gz ZFSPMCLMCWYHFY-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC[C@@H]1C(C)C ZINC000117195515 161512286 /nfs/dbraw/zinc/51/22/86/161512286.db2.gz IVQBLAVMGNUUAN-CQSZACIVSA-N 0 0 291.351 2.949 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CS[C@H](C)C1 ZINC000335628032 161557375 /nfs/dbraw/zinc/55/73/75/161557375.db2.gz JQRSITCKGCBHES-NXEZZACHSA-N 0 0 295.364 2.919 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@H]1CCc2ccccc2C1 ZINC000336013244 161562396 /nfs/dbraw/zinc/56/23/96/161562396.db2.gz JSTLILRUZKHIAX-LBPRGKRZSA-N 0 0 284.315 2.562 20 5 CFBDRN COc1ccc(CSc2cccc([N+](=O)[O-])c2)nn1 ZINC000337933902 161570531 /nfs/dbraw/zinc/57/05/31/161570531.db2.gz AZPKJBNHXASJHL-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN CSCCN(C)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000342768881 161703681 /nfs/dbraw/zinc/70/36/81/161703681.db2.gz LUCVKROKMZKPIB-UHFFFAOYSA-N 0 0 296.392 2.694 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H]2C(F)F)c(F)cc1[N+](=O)[O-] ZINC000343805634 161865002 /nfs/dbraw/zinc/86/50/02/161865002.db2.gz HTUYEFODNWYJCG-CAHLUQPWSA-N 0 0 276.214 2.808 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000343808059 161867595 /nfs/dbraw/zinc/86/75/95/161867595.db2.gz MAWRWPQQFWZROU-SKDRFNHKSA-N 0 0 289.335 2.697 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CC[C@H]1C ZINC000343809362 161868355 /nfs/dbraw/zinc/86/83/55/161868355.db2.gz OJFOYMFVPPWTSN-SKDRFNHKSA-N 0 0 250.298 2.952 20 5 CFBDRN COc1cc(NC[C@H](OC)C(C)C)c([N+](=O)[O-])cc1F ZINC000343862946 161880972 /nfs/dbraw/zinc/88/09/72/161880972.db2.gz YPDPCRLDFAIJOQ-ZDUSSCGKSA-N 0 0 286.303 2.825 20 5 CFBDRN Cc1cc(CCNc2nc(C)cc(C)c2[N+](=O)[O-])on1 ZINC000343935647 161898214 /nfs/dbraw/zinc/89/82/14/161898214.db2.gz CAZPIYWGQDQAQJ-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000344348876 162018427 /nfs/dbraw/zinc/01/84/27/162018427.db2.gz IFPCSJJZBQVPPA-DLOVCJGASA-N 0 0 295.314 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCNc2ccccc2)cn1 ZINC000344421692 162040099 /nfs/dbraw/zinc/04/00/99/162040099.db2.gz AZPKSENZJRLRSC-UHFFFAOYSA-N 0 0 272.308 2.904 20 5 CFBDRN CCCN(C)c1nc(N(C)CCC)c([N+](=O)[O-])s1 ZINC000344438899 162043916 /nfs/dbraw/zinc/04/39/16/162043916.db2.gz SGYZBTHDOIBVAP-UHFFFAOYSA-N 0 0 272.374 2.744 20 5 CFBDRN CC(C)c1ccc(NC(=O)c2nn(C)cc2[N+](=O)[O-])cc1 ZINC000344619913 162083167 /nfs/dbraw/zinc/08/31/67/162083167.db2.gz PMZMSYLNATUTKA-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC(C)c1nnc(CNCc2csc([N+](=O)[O-])c2)s1 ZINC000344644948 162087642 /nfs/dbraw/zinc/08/76/42/162087642.db2.gz XRUGAJCMWDIOPA-UHFFFAOYSA-N 0 0 298.393 2.921 20 5 CFBDRN COc1cc(NC(=O)c2cccc(C)c2[N+](=O)[O-])ccn1 ZINC000344658742 162092421 /nfs/dbraw/zinc/09/24/21/162092421.db2.gz HMHGNFLXRSUXSN-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1N)C(C)(C)C ZINC000344935654 162151373 /nfs/dbraw/zinc/15/13/73/162151373.db2.gz MHAKXKQRCDQEQR-LLVKDONJSA-N 0 0 279.340 2.732 20 5 CFBDRN CO[C@H](CCNc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000344990337 162157071 /nfs/dbraw/zinc/15/70/71/162157071.db2.gz ONNWIYMLFCVLDU-SNVBAGLBSA-N 0 0 278.230 2.974 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC[C@@H](C)C1 ZINC000345076011 162174105 /nfs/dbraw/zinc/17/41/05/162174105.db2.gz LXJSCWUJSBCUNV-ZJUUUORDSA-N 0 0 278.308 2.978 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000345137281 162184910 /nfs/dbraw/zinc/18/49/10/162184910.db2.gz FXQIJTKJQRUWRD-PWSUYJOCSA-N 0 0 262.309 2.647 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000345249008 162209344 /nfs/dbraw/zinc/20/93/44/162209344.db2.gz CGFRROMAQJZSQV-CMPLNLGQSA-N 0 0 299.330 2.940 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCCOC2CCC2)c1 ZINC000345401371 162241031 /nfs/dbraw/zinc/24/10/31/162241031.db2.gz IFQNCSRRZGXNDA-UHFFFAOYSA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1ccc(-c2noc(COC(F)F)n2)cc1[N+](=O)[O-] ZINC000345976351 162288172 /nfs/dbraw/zinc/28/81/72/162288172.db2.gz GWFGSDINZAQNSN-UHFFFAOYSA-N 0 0 285.206 2.692 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCO1 ZINC000346039242 162304854 /nfs/dbraw/zinc/30/48/54/162304854.db2.gz YATNKUROTDCUTJ-UHFFFAOYSA-N 0 0 296.348 2.874 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000346078460 162313808 /nfs/dbraw/zinc/31/38/08/162313808.db2.gz RJKJWEGWSKVICL-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1ccc(N(C)C(=O)Cc2cccc([N+](=O)[O-])c2)nc1 ZINC000346131247 162326256 /nfs/dbraw/zinc/32/62/56/162326256.db2.gz ABKXZBWHICTEGW-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3cccc(F)c3)no2)c1 ZINC000346205834 162352697 /nfs/dbraw/zinc/35/26/97/162352697.db2.gz VVIUWLSZRGEDFA-UHFFFAOYSA-N 0 0 274.211 2.779 20 5 CFBDRN C[C@H](CCC1CC1)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000346246267 162363003 /nfs/dbraw/zinc/36/30/03/162363003.db2.gz WFMHJYKPOFBGJN-SECBINFHSA-N 0 0 277.324 2.684 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000346260943 162364339 /nfs/dbraw/zinc/36/43/39/162364339.db2.gz UEKOCRTTXNUKCD-UHFFFAOYSA-N 0 0 279.340 2.949 20 5 CFBDRN Cc1ccc(CN2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000346327434 162382717 /nfs/dbraw/zinc/38/27/17/162382717.db2.gz PXJOTRPCDDYLBQ-UHFFFAOYSA-N 0 0 256.252 2.744 20 5 CFBDRN CCCN(CC)C(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000346329869 162383004 /nfs/dbraw/zinc/38/30/04/162383004.db2.gz RUOZFEWVQHUZNR-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN CC(C)(C)c1ncn(CCOc2ccccc2[N+](=O)[O-])n1 ZINC000346342598 162389766 /nfs/dbraw/zinc/38/97/66/162389766.db2.gz GDFXWALRTNVVPX-UHFFFAOYSA-N 0 0 290.323 2.563 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347271733 162640460 /nfs/dbraw/zinc/64/04/60/162640460.db2.gz SBERBSFJZMFGLM-ZETCQYMHSA-N 0 0 255.249 2.654 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccn(C)c2)no1 ZINC000347384607 162674379 /nfs/dbraw/zinc/67/43/79/162674379.db2.gz NEWOARQVMPZGHG-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000347502158 162708938 /nfs/dbraw/zinc/70/89/38/162708938.db2.gz UHUWWOJVSFXVHF-SNVBAGLBSA-N 0 0 262.309 2.903 20 5 CFBDRN Cn1c2cc(NCc3ccccc3[N+](=O)[O-])ccc2oc1=O ZINC000347911555 162798683 /nfs/dbraw/zinc/79/86/83/162798683.db2.gz LBXGCNRZYCPRQQ-UHFFFAOYSA-N 0 0 299.286 2.652 20 5 CFBDRN CCN(C(=O)c1cc(OC)ccc1[N+](=O)[O-])C1CCC1 ZINC000348310741 162822662 /nfs/dbraw/zinc/82/26/62/162822662.db2.gz OOQKWXGBZLNSAW-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)C1CCC1 ZINC000348320606 162825851 /nfs/dbraw/zinc/82/58/51/162825851.db2.gz MQQWPGKSTFMULX-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)C1CCC1 ZINC000348325675 162827933 /nfs/dbraw/zinc/82/79/33/162827933.db2.gz RERPJDHAXVJYRU-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN CCOC(=O)C[C@H](C)Sc1ccc([N+](=O)[O-])c(C)n1 ZINC000348356151 162835152 /nfs/dbraw/zinc/83/51/52/162835152.db2.gz WUHURQHXGVIKQB-QMMMGPOBSA-N 0 0 284.337 2.732 20 5 CFBDRN O=C(NCC1CC1)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000348419600 162848689 /nfs/dbraw/zinc/84/86/89/162848689.db2.gz JVVBMAQHYUWZPN-UHFFFAOYSA-N 0 0 288.225 2.753 20 5 CFBDRN CCOc1ccc(C(=O)NCCC2(C)CC2)cc1[N+](=O)[O-] ZINC000348637081 162879390 /nfs/dbraw/zinc/87/93/90/162879390.db2.gz UBWUCJKIBVDOTG-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN Cc1ccc(CCC(=O)NCc2ccccc2[N+](=O)[O-])o1 ZINC000348741341 162895641 /nfs/dbraw/zinc/89/56/41/162895641.db2.gz HEFHMKMQIFDKLW-UHFFFAOYSA-N 0 0 288.303 2.745 20 5 CFBDRN COc1ccc(COc2cc([N+](=O)[O-])ccc2OC)cn1 ZINC000348786227 162901107 /nfs/dbraw/zinc/90/11/07/162901107.db2.gz KKRMXIDAURRYJU-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN Cc1c(CNC(=O)[C@@H](C)C(C)(F)F)cccc1[N+](=O)[O-] ZINC000349681514 163003132 /nfs/dbraw/zinc/00/31/32/163003132.db2.gz WHWUFMCMABSGGO-SECBINFHSA-N 0 0 286.278 2.811 20 5 CFBDRN Cc1ncc(CNc2cccc([N+](=O)[O-])c2C)cn1 ZINC000349731114 163009847 /nfs/dbraw/zinc/00/98/47/163009847.db2.gz VZFWHSOEXQJJRA-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1noc(Cc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000349771612 163014150 /nfs/dbraw/zinc/01/41/50/163014150.db2.gz WXIHPZGTLOFHNI-UHFFFAOYSA-N 0 0 253.645 2.530 20 5 CFBDRN Cc1cc(OCCc2cnn(C)c2)c(Cl)cc1[N+](=O)[O-] ZINC000349839092 163023339 /nfs/dbraw/zinc/02/33/39/163023339.db2.gz KATXPWQUJKNIEL-UHFFFAOYSA-N 0 0 295.726 2.912 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])cc1C ZINC000349836285 163023917 /nfs/dbraw/zinc/02/39/17/163023917.db2.gz RMGWEBMPJBRUDH-JSGCOSHPSA-N 0 0 278.352 2.903 20 5 CFBDRN Cc1cc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)no1 ZINC000349863118 163028080 /nfs/dbraw/zinc/02/80/80/163028080.db2.gz XSIJKIINOWTWCY-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN COc1ncccc1COc1c(Cl)cncc1[N+](=O)[O-] ZINC000349921849 163037604 /nfs/dbraw/zinc/03/76/04/163037604.db2.gz VDILBOVHEGFUAP-UHFFFAOYSA-N 0 0 295.682 2.626 20 5 CFBDRN Cn1ccc2cccc(NC(=O)c3ccc([N+](=O)[O-])[nH]3)c21 ZINC000350204822 163065937 /nfs/dbraw/zinc/06/59/37/163065937.db2.gz FWQDNUJOATVWGG-UHFFFAOYSA-N 0 0 284.275 2.667 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H]2CCCc3c[nH]nc32)c(F)c1 ZINC000350292630 163069776 /nfs/dbraw/zinc/06/97/76/163069776.db2.gz YLLPGIPABINTAJ-ZDUSSCGKSA-N 0 0 290.298 2.624 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(Cc3cccc(F)c3)no2)c1 ZINC000350450436 163082067 /nfs/dbraw/zinc/08/20/67/163082067.db2.gz BPSYREOVRVFOPZ-UHFFFAOYSA-N 0 0 288.238 2.703 20 5 CFBDRN Cc1occc1-c1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000350441016 163082960 /nfs/dbraw/zinc/08/29/60/163082960.db2.gz SVZRLEOPPDAJLV-UHFFFAOYSA-N 0 0 260.209 2.541 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2cccc([N+](=O)[O-])c2N)CC1(C)C ZINC000350729154 163100637 /nfs/dbraw/zinc/10/06/37/163100637.db2.gz LUWNWPZSQFJJTI-CYBMUJFWSA-N 0 0 291.351 2.684 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000350787692 163106182 /nfs/dbraw/zinc/10/61/82/163106182.db2.gz QMSBPOBAPSBSQK-KWQFWETISA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2C[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000350785953 163106533 /nfs/dbraw/zinc/10/65/33/163106533.db2.gz KWFPERJSVIIXIW-IUCAKERBSA-N 0 0 285.250 2.678 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC(C)(C)C(C)(C)C ZINC000350832678 163109956 /nfs/dbraw/zinc/10/99/56/163109956.db2.gz HIXSCONJLJGJDR-UHFFFAOYSA-N 0 0 279.340 2.853 20 5 CFBDRN COc1ccc(NC(=O)c2ccncc2C)c([N+](=O)[O-])c1 ZINC000351010255 163114206 /nfs/dbraw/zinc/11/42/06/163114206.db2.gz CKXJYZTYTHSZDW-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CO[C@@H](C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)C(C)C ZINC000351054778 163115600 /nfs/dbraw/zinc/11/56/00/163115600.db2.gz KNHXVARJVRMFDE-CYBMUJFWSA-N 0 0 280.324 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2c2ncc[nH]2)c(F)c1 ZINC000351067114 163115823 /nfs/dbraw/zinc/11/58/23/163115823.db2.gz VBFBRVMIFOXDDM-CYBMUJFWSA-N 0 0 290.298 2.794 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccnc(C2CC2)n1 ZINC000351506645 163135309 /nfs/dbraw/zinc/13/53/09/163135309.db2.gz JDXYCZJNRLFGIH-UHFFFAOYSA-N 0 0 284.275 2.515 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000351545706 163142856 /nfs/dbraw/zinc/14/28/56/163142856.db2.gz RCFOZCBGLSHQNY-YAJNLLPGSA-N 0 0 272.251 2.612 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000351545705 163143609 /nfs/dbraw/zinc/14/36/09/163143609.db2.gz RCFOZCBGLSHQNY-KZUAUGPASA-N 0 0 272.251 2.612 20 5 CFBDRN Cc1ncsc1CN1CCc2ccc([N+](=O)[O-])cc21 ZINC000351604537 163156392 /nfs/dbraw/zinc/15/63/92/163156392.db2.gz FJCFMCINGGFAIV-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351604043 163156432 /nfs/dbraw/zinc/15/64/32/163156432.db2.gz CSSYUNBNUYKFBU-MRVPVSSYSA-N 0 0 281.287 2.902 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC[C@@H]1CCCCO1 ZINC000351633475 163161629 /nfs/dbraw/zinc/16/16/29/163161629.db2.gz ZFSHMPKRSRKSPO-LBPRGKRZSA-N 0 0 281.308 2.941 20 5 CFBDRN Cc1cc(C(=O)N(C)CCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000351658329 163167705 /nfs/dbraw/zinc/16/77/05/163167705.db2.gz IEKZSGONGXULDT-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCOCc1ccccc1 ZINC000351670430 163169719 /nfs/dbraw/zinc/16/97/19/163169719.db2.gz DNEZZEPJVAXHQO-UHFFFAOYSA-N 0 0 275.308 2.707 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CC2CCC1CC2 ZINC000351695191 163176539 /nfs/dbraw/zinc/17/65/39/163176539.db2.gz SKJQAAZBHBCJGN-MGULZYLOSA-N 0 0 289.335 2.607 20 5 CFBDRN CCc1cnc(NCc2ccc([N+](=O)[O-])cc2F)s1 ZINC000351772063 163193062 /nfs/dbraw/zinc/19/30/62/163193062.db2.gz USHDADVYFKLBGK-UHFFFAOYSA-N 0 0 281.312 2.787 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2ccc(CO)cc2)c1 ZINC000351823979 163197816 /nfs/dbraw/zinc/19/78/16/163197816.db2.gz WAMZQPYLJNAZFT-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000352817743 163240633 /nfs/dbraw/zinc/24/06/33/163240633.db2.gz RVJOYEPPQIQPQL-LBPRGKRZSA-N 0 0 276.336 2.832 20 5 CFBDRN CN(C(=O)CCc1nccs1)c1ccc([N+](=O)[O-])cc1 ZINC000352840423 163249626 /nfs/dbraw/zinc/24/96/26/163249626.db2.gz UOWTWPORFOKIOT-UHFFFAOYSA-N 0 0 291.332 2.647 20 5 CFBDRN Cc1cc(NC(=O)NC(C)(C)CF)ccc1[N+](=O)[O-] ZINC000352882906 163260579 /nfs/dbraw/zinc/26/05/79/163260579.db2.gz LLFVEISGIUJNGB-UHFFFAOYSA-N 0 0 269.276 2.773 20 5 CFBDRN Cc1cc(NC(=O)N[C@]2(C)CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000352884061 163261470 /nfs/dbraw/zinc/26/14/70/163261470.db2.gz QSZQFGZIAXQJSY-IINYFYTJSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cc(NC(=O)N[C@@]2(C)CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000352884063 163261688 /nfs/dbraw/zinc/26/16/88/163261688.db2.gz QSZQFGZIAXQJSY-YGRLFVJLSA-N 0 0 293.323 2.592 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000352905535 163266777 /nfs/dbraw/zinc/26/67/77/163266777.db2.gz YOAHAFTXYZMZEQ-LLVKDONJSA-N 0 0 294.355 2.750 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CSc3cccnn3)nc2c1 ZINC000352929708 163271769 /nfs/dbraw/zinc/27/17/69/163271769.db2.gz JAHNYSLNVLCGPF-UHFFFAOYSA-N 0 0 287.304 2.553 20 5 CFBDRN C[C@H](NC(=O)C[C@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000353063756 163300365 /nfs/dbraw/zinc/30/03/65/163300365.db2.gz VRKWVEFIVPNFDI-JBLDHEPKSA-N 0 0 262.309 2.818 20 5 CFBDRN Cc1cc2cc(CNC(=O)c3cc([N+](=O)[O-])c[nH]3)ccc2[nH]1 ZINC000353256109 163330830 /nfs/dbraw/zinc/33/08/30/163330830.db2.gz GYGKXYXYYNTJIT-UHFFFAOYSA-N 0 0 298.302 2.643 20 5 CFBDRN O=C(Cc1ccc2n[nH]cc2c1)Nc1cccc([N+](=O)[O-])c1 ZINC000353347097 163350735 /nfs/dbraw/zinc/35/07/35/163350735.db2.gz HLLSQIXJVQGQCY-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN CCc1c[nH]c(SCC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000353818967 163457985 /nfs/dbraw/zinc/45/79/85/163457985.db2.gz VOYMGDMCFDMPOF-UHFFFAOYSA-N 0 0 291.332 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2cccc3nccn32)nc1 ZINC000354256622 163548085 /nfs/dbraw/zinc/54/80/85/163548085.db2.gz VSSDBVGSYKOASL-XQRVVYSFSA-N 0 0 266.260 2.808 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])C[C@H](C)[S@@]1=O ZINC000286279431 287212121 /nfs/dbraw/zinc/21/21/21/287212121.db2.gz HXILBIUQHFZUMR-XXRNEEKGSA-N 0 0 296.392 2.639 20 5 CFBDRN Cc1cc(C(=O)COc2ccc([N+](=O)[O-])cc2)c(C)n1C ZINC000003533085 169894795 /nfs/dbraw/zinc/89/47/95/169894795.db2.gz FHJPWSLSHCVNSQ-UHFFFAOYSA-N 0 0 288.303 2.812 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccccc2[N+](=O)[O-])o1 ZINC000005420917 170097181 /nfs/dbraw/zinc/09/71/81/170097181.db2.gz QVQHKYDJQNXTOL-UHFFFAOYSA-N 0 0 274.276 2.768 20 5 CFBDRN COc1cccc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c1 ZINC000005514682 170106917 /nfs/dbraw/zinc/10/69/17/170106917.db2.gz OVEYENRIDLGNJD-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1nnc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])s1 ZINC000005756542 170137460 /nfs/dbraw/zinc/13/74/60/170137460.db2.gz ZTZOOQDLMKXTDF-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN O=[N+]([O-])c1cc(NC(=S)NC2CC2)ccc1Cl ZINC000006329425 170191864 /nfs/dbraw/zinc/19/18/64/170191864.db2.gz KGNGDUPRMCWHHV-UHFFFAOYSA-N 0 0 271.729 2.697 20 5 CFBDRN CCOC(=O)CCSc1ccc([N+](=O)[O-])cc1 ZINC000006940964 170257094 /nfs/dbraw/zinc/25/70/94/170257094.db2.gz QGUASWSNGNAJRU-UHFFFAOYSA-N 0 0 255.295 2.640 20 5 CFBDRN CNc1nnc(SCc2ccccc2[N+](=O)[O-])s1 ZINC000007101847 170267298 /nfs/dbraw/zinc/26/72/98/170267298.db2.gz YMSYFOGDPRVLAM-UHFFFAOYSA-N 0 0 282.350 2.780 20 5 CFBDRN COc1ccc(OC)c(COc2cccnc2[N+](=O)[O-])c1 ZINC000007552047 170290642 /nfs/dbraw/zinc/29/06/42/170290642.db2.gz KNWDJTNJAQFAAO-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1 ZINC000007913973 170307202 /nfs/dbraw/zinc/30/72/02/170307202.db2.gz DCDVKJRRWNNNCX-UHFFFAOYSA-N 0 0 272.260 2.856 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])[C@@H]1C ZINC000008178158 170322911 /nfs/dbraw/zinc/32/29/11/170322911.db2.gz PHMNTYVOWUNERG-CKYFFXLPSA-N 0 0 291.351 2.930 20 5 CFBDRN COC(=O)[C@H](C)CS/C=C/c1ccc([N+](=O)[O-])o1 ZINC000284392594 196045958 /nfs/dbraw/zinc/04/59/58/196045958.db2.gz ZBPFRNONQDHGKG-HQZHTGGTSA-N 0 0 271.294 2.701 20 5 CFBDRN CC1CCC(N(C)C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000018147645 170744005 /nfs/dbraw/zinc/74/40/05/170744005.db2.gz NYIYFTRWEUDMEJ-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCC(=O)NC1CCCCC1 ZINC000019774505 170858590 /nfs/dbraw/zinc/85/85/90/170858590.db2.gz PWXOHHXZBQOKAZ-UHFFFAOYSA-N 0 0 291.351 2.764 20 5 CFBDRN C[C@@H]1CCCCCN1c1ncc([N+](=O)[O-])cc1F ZINC000413142078 287251699 /nfs/dbraw/zinc/25/16/99/287251699.db2.gz NPLWAGGBPXQUGV-SECBINFHSA-N 0 0 253.277 2.898 20 5 CFBDRN COc1ccc(COc2ccccc2CO)cc1[N+](=O)[O-] ZINC000020552876 171248315 /nfs/dbraw/zinc/24/83/15/171248315.db2.gz GDLFEPNNJVHRQJ-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN CC(C)CCNc1nc2sccn2c1[N+](=O)[O-] ZINC000022159264 171363615 /nfs/dbraw/zinc/36/36/15/171363615.db2.gz QCOJDDYHCQYBJM-UHFFFAOYSA-N 0 0 254.315 2.762 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)NC(C1CC1)C1CC1 ZINC000025314328 171454011 /nfs/dbraw/zinc/45/40/11/171454011.db2.gz LVHJEJQBMGNZBS-UHFFFAOYSA-N 0 0 275.308 2.905 20 5 CFBDRN CNc1ccc(C(=O)Nc2cc(C)ccn2)cc1[N+](=O)[O-] ZINC000027646482 171526223 /nfs/dbraw/zinc/52/62/23/171526223.db2.gz BLXYWGWFZWZUDL-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H]2[C@@H]2CCCO2)cs1 ZINC000284847938 196143167 /nfs/dbraw/zinc/14/31/67/196143167.db2.gz RIYUBQDNOYANAS-RYUDHWBXSA-N 0 0 282.365 2.800 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2CSC2(C)C)c1F ZINC000284870187 196147001 /nfs/dbraw/zinc/14/70/01/196147001.db2.gz KLYXFJQTZNGYOR-SNVBAGLBSA-N 0 0 298.339 2.666 20 5 CFBDRN Cc1cccc(N2CC[C@@H]([C@@H]3CCOC3)C2)c1[N+](=O)[O-] ZINC000364255810 292561000 /nfs/dbraw/zinc/56/10/00/292561000.db2.gz OOOOQSAYTPSMEX-CHWSQXEVSA-N 0 0 276.336 2.766 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC[C@@H]2CCCO2)cc1F ZINC000284964752 196165600 /nfs/dbraw/zinc/16/56/00/196165600.db2.gz ABWKDYBYVLSSFP-ZETCQYMHSA-N 0 0 275.663 2.945 20 5 CFBDRN COc1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)cc1 ZINC000034461289 171840993 /nfs/dbraw/zinc/84/09/93/171840993.db2.gz VUYCKAQRKFSCMT-UHFFFAOYSA-N 0 0 286.287 2.785 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)c1ccn(C)n1 ZINC000285035495 196183736 /nfs/dbraw/zinc/18/37/36/196183736.db2.gz NHSLEDNMJVEBII-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1cccc(F)c1 ZINC000035137432 172004579 /nfs/dbraw/zinc/00/45/79/172004579.db2.gz RXPKJEOJKASGRF-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H]3CCCO3)ccc2c1 ZINC000035343488 172136094 /nfs/dbraw/zinc/13/60/94/172136094.db2.gz POBUPXJPOKLRLM-LBPRGKRZSA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000285226810 196226761 /nfs/dbraw/zinc/22/67/61/196226761.db2.gz XSDBYCZBIGQICW-NSHDSACASA-N 0 0 291.351 2.577 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000285528657 196316213 /nfs/dbraw/zinc/31/62/13/196316213.db2.gz PQQQBIGRAHLUAT-SNVBAGLBSA-N 0 0 294.355 2.606 20 5 CFBDRN CCOC1(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)CCCC1 ZINC000285551023 196324432 /nfs/dbraw/zinc/32/44/32/196324432.db2.gz VDQSWQXVDWZBEP-UHFFFAOYSA-N 0 0 293.323 2.586 20 5 CFBDRN COC(=O)c1ccccc1NCc1ccc([N+](=O)[O-])cc1 ZINC000037301503 173107506 /nfs/dbraw/zinc/10/75/06/173107506.db2.gz CQNRCRMWVFQAPV-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN CC[C@@H](C)[C@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000037313049 173114655 /nfs/dbraw/zinc/11/46/55/173114655.db2.gz SXXKHSQGEYLVER-YGRLFVJLSA-N 0 0 294.351 2.581 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@H]2CCCO2)ccc2ncccc21 ZINC000037378981 173167007 /nfs/dbraw/zinc/16/70/07/173167007.db2.gz MDLFNNLVYOPPNN-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCOC2)c(F)c1 ZINC000038046441 174055246 /nfs/dbraw/zinc/05/52/46/174055246.db2.gz PZBHOXUDPTYJIY-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN CC1(C)C[C@@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C(=O)O1 ZINC000286087490 196492784 /nfs/dbraw/zinc/49/27/84/196492784.db2.gz DFXCOTKLOFUCHI-SECBINFHSA-N 0 0 284.699 2.754 20 5 CFBDRN Cc1cc(C)nc(NCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000040676185 174373744 /nfs/dbraw/zinc/37/37/44/174373744.db2.gz OMUKONBRDNQDFI-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN Cc1cc(CNc2cccc(F)c2[N+](=O)[O-])no1 ZINC000044416360 175173070 /nfs/dbraw/zinc/17/30/70/175173070.db2.gz LUGOGVQUKJDHSN-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])c1cnn(C)c1 ZINC000044496652 175184020 /nfs/dbraw/zinc/18/40/20/175184020.db2.gz YWVVEEVQAQLBDG-QMMMGPOBSA-N 0 0 264.260 2.641 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1C[C@@H]1c1ccccc1 ZINC000044677827 175227331 /nfs/dbraw/zinc/22/73/31/175227331.db2.gz OOPVAGDXZSVUEW-DGCLKSJQSA-N 0 0 255.277 2.958 20 5 CFBDRN Cc1cc(CNCc2cc([N+](=O)[O-])ccc2Cl)no1 ZINC000044692708 175233924 /nfs/dbraw/zinc/23/39/24/175233924.db2.gz DJRPQZMDZNMQDW-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN CCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N)cc1 ZINC000050699509 175518649 /nfs/dbraw/zinc/51/86/49/175518649.db2.gz VLQXYXGXNLWRIF-UHFFFAOYSA-N 0 0 285.303 2.992 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])NC1CCCCCC1 ZINC000067704597 175785496 /nfs/dbraw/zinc/78/54/96/175785496.db2.gz FEPVXTKGCHYFAF-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN CCOC(=O)[C@H]1CCCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000070741036 176004527 /nfs/dbraw/zinc/00/45/27/176004527.db2.gz ASVCEXFTTWLURN-CQSZACIVSA-N 0 0 292.335 2.512 20 5 CFBDRN COc1ccc(CN[C@@H](C)c2nccs2)cc1[N+](=O)[O-] ZINC000070943207 176016168 /nfs/dbraw/zinc/01/61/68/176016168.db2.gz VXISFMBNSYOWIN-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000071208635 176081165 /nfs/dbraw/zinc/08/11/65/176081165.db2.gz ZMECBBCMKZOOIW-RDDDGLTNSA-N 0 0 284.262 2.791 20 5 CFBDRN CSc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cn1 ZINC000072088853 176313886 /nfs/dbraw/zinc/31/38/86/176313886.db2.gz JGVHWPKJTFZUNL-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN COCCN(CC(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000073889768 176498304 /nfs/dbraw/zinc/49/83/04/176498304.db2.gz PUSUBQWJSYVWGF-UHFFFAOYSA-N 0 0 295.339 2.731 20 5 CFBDRN Cc1cc(NCCCOCC2CC2)ncc1[N+](=O)[O-] ZINC000074727097 176604744 /nfs/dbraw/zinc/60/47/44/176604744.db2.gz VATNFXMWNJBHSV-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000075497535 176720068 /nfs/dbraw/zinc/72/00/68/176720068.db2.gz SIWSHPCDLAJCPJ-SNVBAGLBSA-N 0 0 295.339 2.920 20 5 CFBDRN CSC[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000077178381 177230789 /nfs/dbraw/zinc/23/07/89/177230789.db2.gz BIRUCNOTCRLWIO-SECBINFHSA-N 0 0 283.353 2.776 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077616301 177287126 /nfs/dbraw/zinc/28/71/26/177287126.db2.gz AUAZTYNATPUBEZ-MRVPVSSYSA-N 0 0 254.261 2.604 20 5 CFBDRN C[C@@H]1CCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@H](C)C1 ZINC000078002920 177316206 /nfs/dbraw/zinc/31/62/06/177316206.db2.gz ODSQPKAKKFWOQI-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@@H](C)C1 ZINC000078004302 177316880 /nfs/dbraw/zinc/31/68/80/177316880.db2.gz UMFBAGODXVHHEO-IUCAKERBSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCSC1 ZINC000286587712 196649708 /nfs/dbraw/zinc/64/97/08/196649708.db2.gz AIZDXBMDJLRUHQ-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1ccncc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000078506186 177347906 /nfs/dbraw/zinc/34/79/06/177347906.db2.gz RHLVRXKSBBVJIU-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1cccnc1CNC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000078509030 177348650 /nfs/dbraw/zinc/34/86/50/177348650.db2.gz QBKCGGXFURFYLM-CMDGGOBGSA-N 0 0 297.314 2.628 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000078715812 177374918 /nfs/dbraw/zinc/37/49/18/177374918.db2.gz ZPKYVNHXYWLVKO-GFCCVEGCSA-N 0 0 276.336 2.786 20 5 CFBDRN CC(C)N(C)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000078730525 177378156 /nfs/dbraw/zinc/37/81/56/177378156.db2.gz GQXSORIZNRAQSJ-UHFFFAOYSA-N 0 0 277.324 2.650 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1ccc(F)cc1[N+](=O)[O-] ZINC000078836467 177396382 /nfs/dbraw/zinc/39/63/82/177396382.db2.gz JAPNEVJVPTVQJS-SSDOTTSWSA-N 0 0 273.285 2.778 20 5 CFBDRN CC(C)(O)C(C)(C)CNc1ccc([N+](=O)[O-])cc1F ZINC000393545397 270307267 /nfs/dbraw/zinc/30/72/67/270307267.db2.gz NIOFRCWHURNWFG-UHFFFAOYSA-N 0 0 270.304 2.943 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC([C@H](C)O)CC1 ZINC000110600021 292584945 /nfs/dbraw/zinc/58/49/45/292584945.db2.gz CBVGUKNIDPLKMF-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000336048850 287418015 /nfs/dbraw/zinc/41/80/15/287418015.db2.gz AJYPTRCCJGIXQD-AWEZNQCLSA-N 0 0 280.299 2.996 20 5 CFBDRN O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]2C1 ZINC000148894410 304486859 /nfs/dbraw/zinc/48/68/59/304486859.db2.gz AYSZHPCVZZTOEP-DTORHVGOSA-N 0 0 296.273 2.745 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2c(C)cccc2F)n1 ZINC000191700740 227130897 /nfs/dbraw/zinc/13/08/97/227130897.db2.gz FUWCNFCUZJVBPT-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000267631039 287607821 /nfs/dbraw/zinc/60/78/21/287607821.db2.gz JNHKMHYLFDASEY-SFYZADRCSA-N 0 0 255.705 2.878 20 5 CFBDRN COc1cc(N[C@@H]2CS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000397751469 270319176 /nfs/dbraw/zinc/31/91/76/270319176.db2.gz DUEYUWPDKUFCSB-SCZZXKLOSA-N 0 0 268.338 2.909 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC1(CF)CCC1 ZINC000517744602 260014836 /nfs/dbraw/zinc/01/48/36/260014836.db2.gz YTHBDOUAITWYAZ-UHFFFAOYSA-N 0 0 280.299 2.834 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1CCCCc1ccccc1 ZINC000521044045 260039356 /nfs/dbraw/zinc/03/93/56/260039356.db2.gz OVYWESMISHNCGL-UHFFFAOYSA-N 0 0 290.294 2.919 20 5 CFBDRN CC(C)C[C@@H](CO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214730356 260044779 /nfs/dbraw/zinc/04/47/79/260044779.db2.gz TXWQCDYEMZPWMM-NSHDSACASA-N 0 0 289.335 2.962 20 5 CFBDRN COc1cc(C[N@H+](C)C2CCCC2)c([N+](=O)[O-])cc1OC ZINC000179797774 260070907 /nfs/dbraw/zinc/07/09/07/260070907.db2.gz GGMJYXFTMHJRLY-UHFFFAOYSA-N 0 0 294.351 2.986 20 5 CFBDRN CC[C@@](C)(CNc1cc(OC)c([N+](=O)[O-])cc1F)OC ZINC000322977847 260113066 /nfs/dbraw/zinc/11/30/66/260113066.db2.gz YMMRURFOTXWHFE-ZDUSSCGKSA-N 0 0 286.303 2.970 20 5 CFBDRN CON1CCC(Nc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000323066011 260143443 /nfs/dbraw/zinc/14/34/43/260143443.db2.gz NNXVPNLDKBLQHI-UHFFFAOYSA-N 0 0 285.731 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC2CCCC2)nc1 ZINC000313466787 260296358 /nfs/dbraw/zinc/29/63/58/260296358.db2.gz GUUUKXARFYFOQG-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN Cc1cccc(N2CC3(CCC3)[C@H]3COC[C@H]32)c1[N+](=O)[O-] ZINC000518723160 260513757 /nfs/dbraw/zinc/51/37/57/260513757.db2.gz PRIRFGXWBFLCKC-GXTWGEPZSA-N 0 0 288.347 2.909 20 5 CFBDRN Cc1cccc(NC[C@](C)(O)C(C)C)c1[N+](=O)[O-] ZINC000188378281 262292897 /nfs/dbraw/zinc/29/28/97/262292897.db2.gz WHSVRYJYWXBYSL-ZDUSSCGKSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cc(NC[C@H]2CCCC2(F)F)ncc1[N+](=O)[O-] ZINC000390838072 262350442 /nfs/dbraw/zinc/35/04/42/262350442.db2.gz BBENZVCLWHNVQL-SECBINFHSA-N 0 0 271.267 2.567 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CCCC2(F)F)c1[N+](=O)[O-] ZINC000390904060 262360992 /nfs/dbraw/zinc/36/09/92/262360992.db2.gz QGZAZUQPTWSIQQ-MRVPVSSYSA-N 0 0 288.298 2.738 20 5 CFBDRN Cc1ccc(N[C@H]2CC[N@H+](C)[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000223247880 270255673 /nfs/dbraw/zinc/25/56/73/270255673.db2.gz JXPJSAKIQNZSSG-RYUDHWBXSA-N 0 0 263.341 2.798 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ccc(F)cc2[N+](=O)[O-])C1(C)C ZINC000227822307 270257709 /nfs/dbraw/zinc/25/77/09/270257709.db2.gz PDCJGIIULASHLN-NEPJUHHUSA-N 0 0 268.288 2.959 20 5 CFBDRN Cc1ccc(CCNc2ccc(C(N)=O)cc2[N+](=O)[O-])cc1 ZINC000357507389 266311877 /nfs/dbraw/zinc/31/18/77/266311877.db2.gz FSKGUCKQQOQPTG-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN C[C@H](C(=O)NN1CCCC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000827647352 782039649 /nfs/dbraw/zinc/03/96/49/782039649.db2.gz DBYHKONHWLCIJE-RYUDHWBXSA-N 0 0 291.351 2.604 20 5 CFBDRN Cc1cc(Cl)nc(NC[C@H](O)c2ccco2)c1[N+](=O)[O-] ZINC001154868900 782040156 /nfs/dbraw/zinc/04/01/56/782040156.db2.gz GDZUZNUFFMPJRQ-QMMMGPOBSA-N 0 0 297.698 2.690 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)C1=CCCC1 ZINC000827680263 782040954 /nfs/dbraw/zinc/04/09/54/782040954.db2.gz GITXOFDFDLATSX-UHFFFAOYSA-N 0 0 282.246 2.600 20 5 CFBDRN Cc1c(C(=O)N(C)c2nccs2)cccc1[N+](=O)[O-] ZINC000041841558 270227019 /nfs/dbraw/zinc/22/70/19/270227019.db2.gz HTBFTUITDWCYMW-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN Cc1ccc(CNC(=O)NCc2ccccc2[N+](=O)[O-])cc1 ZINC000043050785 270228462 /nfs/dbraw/zinc/22/84/62/270228462.db2.gz OBJUZWMJISTXJB-UHFFFAOYSA-N 0 0 299.330 2.903 20 5 CFBDRN CCN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000193542585 270238987 /nfs/dbraw/zinc/23/89/87/270238987.db2.gz VYAVZVGRXKJDIV-JXMROGBWSA-N 0 0 262.309 2.865 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSc1ncc(C)cn1 ZINC000193850182 270240314 /nfs/dbraw/zinc/24/03/14/270240314.db2.gz GXFKUYYEJVGTFO-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 ZINC000194039958 270242207 /nfs/dbraw/zinc/24/22/07/270242207.db2.gz UOEOYRFGTLHUNZ-UHFFFAOYSA-N 0 0 274.301 2.845 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000194914577 270248201 /nfs/dbraw/zinc/24/82/01/270248201.db2.gz DZSVEJRHQQLVQK-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN COc1cccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)c1 ZINC000195519362 270254249 /nfs/dbraw/zinc/25/42/49/270254249.db2.gz DSFKNUMPRNCJJN-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H]1CCCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000229551925 270258746 /nfs/dbraw/zinc/25/87/46/270258746.db2.gz GSQAWBDZDOKRIZ-VIFPVBQESA-N 0 0 281.287 2.998 20 5 CFBDRN Cc1c(CNC(=O)OC(C)(C)C)cccc1[N+](=O)[O-] ZINC000231878980 270261089 /nfs/dbraw/zinc/26/10/89/270261089.db2.gz KVDRKDCTMDNTRW-UHFFFAOYSA-N 0 0 266.297 2.928 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@H](O)C3CCC3)ccnc21 ZINC000315489809 270292438 /nfs/dbraw/zinc/29/24/38/270292438.db2.gz CBVUZDOAIREHRH-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@@H](O)C3CCC3)ccnc21 ZINC000315489813 270292566 /nfs/dbraw/zinc/29/25/66/270292566.db2.gz CBVUZDOAIREHRH-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@@](C)(CNc1ccc([N+](=O)[O-])c(C)n1)OC ZINC000323030615 270297851 /nfs/dbraw/zinc/29/78/51/270297851.db2.gz MDDQIFOYNFYILU-LBPRGKRZSA-N 0 0 253.302 2.525 20 5 CFBDRN C[C@@H](NC(=O)N1CCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000334307028 270300508 /nfs/dbraw/zinc/30/05/08/270300508.db2.gz HEXKOQIFRHADLG-SECBINFHSA-N 0 0 299.277 2.706 20 5 CFBDRN Cc1cnc(CNCc2cccc([N+](=O)[O-])c2C)s1 ZINC000394732831 270309774 /nfs/dbraw/zinc/30/97/74/270309774.db2.gz TVBYEFPZWAAQTQ-UHFFFAOYSA-N 0 0 277.349 2.958 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]2CC(C)C)n1 ZINC000395365298 270311960 /nfs/dbraw/zinc/31/19/60/270311960.db2.gz ZCLVWXDDNITMBM-MWLCHTKSSA-N 0 0 293.323 2.623 20 5 CFBDRN CC[C@H](Nc1ccc(Cl)cc1[N+](=O)[O-])[C@H](C)O ZINC000395432995 270313012 /nfs/dbraw/zinc/31/30/12/270313012.db2.gz NJVTYABUSOHLCV-CBAPKCEASA-N 0 0 258.705 2.820 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2(CO)CC2)c(Cl)c1 ZINC000395912859 270314327 /nfs/dbraw/zinc/31/43/27/270314327.db2.gz SCWNAGBIKXHPNF-UHFFFAOYSA-N 0 0 270.716 2.823 20 5 CFBDRN Cc1cc(C)c(CNc2nccc(C)c2[N+](=O)[O-])cn1 ZINC000397084193 270316194 /nfs/dbraw/zinc/31/61/94/270316194.db2.gz IHLKHGPKPATSEQ-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cnc(C)cc2C)n1 ZINC000397129964 270316265 /nfs/dbraw/zinc/31/62/65/270316265.db2.gz YEKCRMFEHJNLCG-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN COCCCCN(C)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000397346514 270317609 /nfs/dbraw/zinc/31/76/09/270317609.db2.gz JCGAJINDPWTBGN-UHFFFAOYSA-N 0 0 280.324 2.660 20 5 CFBDRN C[C@H]1CC[C@H](CNc2ncc([N+](=O)[O-])cc2Cl)O1 ZINC000397858220 270319923 /nfs/dbraw/zinc/31/99/23/270319923.db2.gz CGWMWDOIXXLWLA-IONNQARKSA-N 0 0 271.704 2.623 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CC[C@H](C)O2)c1 ZINC000397896357 270320620 /nfs/dbraw/zinc/32/06/20/270320620.db2.gz ISYMVODUCJHXEZ-GXSJLCMTSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1nc(N[C@@H]2CS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000397990058 270321227 /nfs/dbraw/zinc/32/12/27/270321227.db2.gz WMKWLWPCFIRAOG-CBAPKCEASA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1cc(N[C@H](C)c2csnn2)ccc1[N+](=O)[O-] ZINC000398289043 270321847 /nfs/dbraw/zinc/32/18/47/270321847.db2.gz HLHUFDCFBYJYAK-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)c2csnn2)c1 ZINC000398313771 270321923 /nfs/dbraw/zinc/32/19/23/270321923.db2.gz RWZAKJGHCVLKAR-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CCc1ccccn1 ZINC000515870411 270375580 /nfs/dbraw/zinc/37/55/80/270375580.db2.gz YGOSVPGOPQEFRQ-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000516279105 270380312 /nfs/dbraw/zinc/38/03/12/270380312.db2.gz IYTDYYFOMPHDDU-SYEHKZFSSA-N 0 0 274.320 2.613 20 5 CFBDRN C[C@@H](C(=O)N1CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000516612818 270385405 /nfs/dbraw/zinc/38/54/05/270385405.db2.gz YGEFZZUKPZUGNW-LLVKDONJSA-N 0 0 262.309 2.711 20 5 CFBDRN C[C@@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1(F)F ZINC000517009823 270395020 /nfs/dbraw/zinc/39/50/20/270395020.db2.gz IEFJJEBEIYNOJB-MRVPVSSYSA-N 0 0 296.277 2.953 20 5 CFBDRN C[C@H](C(=O)NCC1(C(F)F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000527071460 270491270 /nfs/dbraw/zinc/49/12/70/270491270.db2.gz KLZNAXZIOGGVAX-VIFPVBQESA-N 0 0 298.289 2.860 20 5 CFBDRN Cc1ccccc1[C@@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000531334129 270832273 /nfs/dbraw/zinc/83/22/73/270832273.db2.gz OXGQLRRLAYSQFE-CYBMUJFWSA-N 0 0 287.319 2.752 20 5 CFBDRN O=C(N[C@@H]1CCCc2ccccc21)c1ccc([N+](=O)[O-])cn1 ZINC000532138682 270854151 /nfs/dbraw/zinc/85/41/51/270854151.db2.gz AEVBOJUSIUKXRQ-CQSZACIVSA-N 0 0 297.314 2.797 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)NC1(C2CC2)CC1 ZINC000532377469 270858811 /nfs/dbraw/zinc/85/88/11/270858811.db2.gz QUNCEXWRZLXUBL-UHFFFAOYSA-N 0 0 299.330 2.608 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]([C@@H](C)O)C2)c1 ZINC000533008600 270872541 /nfs/dbraw/zinc/87/25/41/270872541.db2.gz CKWZXXYGSDJWJH-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN CC(C)N1CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000432323481 273533140 /nfs/dbraw/zinc/53/31/40/273533140.db2.gz QRJRDBDLGIZEDK-UHFFFAOYSA-N 0 0 283.759 2.779 20 5 CFBDRN CCc1ccc([C@@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)cc1 ZINC000292678531 273770632 /nfs/dbraw/zinc/77/06/32/273770632.db2.gz IILOGAXGMQZPRO-CQSZACIVSA-N 0 0 298.346 2.941 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cn2)C[C@@H]1c1ccccc1 ZINC000338744393 274651645 /nfs/dbraw/zinc/65/16/45/274651645.db2.gz SUHGBYKKPHPLOJ-UKRRQHHQSA-N 0 0 284.319 2.991 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1COCc2ccccc21 ZINC000419002407 274724504 /nfs/dbraw/zinc/72/45/04/274724504.db2.gz SVUYNVUIQOWPOH-CQSZACIVSA-N 0 0 285.303 2.982 20 5 CFBDRN CC(=O)c1cc(N2C[C@H](C)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000228261186 275502047 /nfs/dbraw/zinc/50/20/47/275502047.db2.gz FXRPLCMKJWXRQS-UWVGGRQHSA-N 0 0 262.309 2.890 20 5 CFBDRN CC(C)(C)C(C)(C)CNc1ccncc1[N+](=O)[O-] ZINC000336795532 275810945 /nfs/dbraw/zinc/81/09/45/275810945.db2.gz LGUCYPIGVIIOIP-UHFFFAOYSA-N 0 0 251.330 2.896 20 5 CFBDRN CC1(C)CCCC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000137280668 275811888 /nfs/dbraw/zinc/81/18/88/275811888.db2.gz QBXURGNXNKZGCC-LBPRGKRZSA-N 0 0 291.351 2.876 20 5 CFBDRN CC1=CCN(c2ncc(Br)cc2[N+](=O)[O-])CC1 ZINC000159977194 275917250 /nfs/dbraw/zinc/91/72/50/275917250.db2.gz UZVILGKVACFZAI-UHFFFAOYSA-N 0 0 298.140 2.909 20 5 CFBDRN CC(C)C[C@H]1COCCN1Cc1csc([N+](=O)[O-])c1 ZINC000072978892 275920250 /nfs/dbraw/zinc/92/02/50/275920250.db2.gz MTQFCXLAFGNVIN-LBPRGKRZSA-N 0 0 284.381 2.903 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)C2CCCCC2)c1[N+](=O)[O-] ZINC000269401771 276040128 /nfs/dbraw/zinc/04/01/28/276040128.db2.gz TUGMJBQCKFBVIT-UHFFFAOYSA-N 0 0 294.355 2.846 20 5 CFBDRN CC(C)c1ccc(-c2nc(N(C)C)no2)cc1[N+](=O)[O-] ZINC000346948622 276048003 /nfs/dbraw/zinc/04/80/03/276048003.db2.gz VQIQFBAYQGADFV-UHFFFAOYSA-N 0 0 276.296 2.834 20 5 CFBDRN CCC[C@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC000270312664 276049659 /nfs/dbraw/zinc/04/96/59/276049659.db2.gz ZLAOALYXCHTRPK-NSHDSACASA-N 0 0 295.295 2.508 20 5 CFBDRN CCC[C@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000266328096 276053351 /nfs/dbraw/zinc/05/33/51/276053351.db2.gz JDYNZOBBXDABBC-CQSZACIVSA-N 0 0 279.340 2.965 20 5 CFBDRN CCC[C@]1(C)CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000362482185 276053421 /nfs/dbraw/zinc/05/34/21/276053421.db2.gz BRFXGAHNAFTZRX-OAHLLOKOSA-N 0 0 293.367 2.976 20 5 CFBDRN CC1(C)CCCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000112695637 276105231 /nfs/dbraw/zinc/10/52/31/276105231.db2.gz JNFZUAPUPGABLL-UHFFFAOYSA-N 0 0 280.353 2.930 20 5 CFBDRN CC1(C)CCC[C@H]1CNc1ncc([N+](=O)[O-])cn1 ZINC000294325783 276111143 /nfs/dbraw/zinc/11/11/43/276111143.db2.gz ZRHAULUDKSHZCK-VIFPVBQESA-N 0 0 250.302 2.623 20 5 CFBDRN CC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000334470397 276113060 /nfs/dbraw/zinc/11/30/60/276113060.db2.gz FOMAIUZZFKAZLM-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CC1(C)C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000193487591 276143807 /nfs/dbraw/zinc/14/38/07/276143807.db2.gz WFXXKBMEIZJSNR-JTQLQIEISA-N 0 0 265.313 2.547 20 5 CFBDRN CC1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000277960201 276200154 /nfs/dbraw/zinc/20/01/54/276200154.db2.gz WWERRMFTPHTNKR-UHFFFAOYSA-N 0 0 260.297 2.707 20 5 CFBDRN CCC(=O)c1ccc(N2CCC(OC)CC2)c([N+](=O)[O-])c1 ZINC000280071584 276204954 /nfs/dbraw/zinc/20/49/54/276204954.db2.gz PYRIKVQZRXCUGL-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CCC[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000270290246 276276655 /nfs/dbraw/zinc/27/66/55/276276655.db2.gz UURVYSBZZDROBE-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN CCOc1ccc2c(c1)CCN(c1ncccc1[N+](=O)[O-])C2 ZINC000433522102 276497343 /nfs/dbraw/zinc/49/73/43/276497343.db2.gz YTMMEXHGCJYMAJ-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN CCc1nn(C)c(N2CC(CC)(CC)C2)c1[N+](=O)[O-] ZINC000338734918 276700033 /nfs/dbraw/zinc/70/00/33/276700033.db2.gz XFHSMBCCKLZRRR-UHFFFAOYSA-N 0 0 266.345 2.517 20 5 CFBDRN CCn1cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])cn1 ZINC000291676278 276714195 /nfs/dbraw/zinc/71/41/95/276714195.db2.gz HDTJEBOMFRCBFL-UHFFFAOYSA-N 0 0 288.351 2.836 20 5 CFBDRN COC(=O)C1CCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000046229545 277053389 /nfs/dbraw/zinc/05/33/89/277053389.db2.gz LGYVUWYKMFDQRY-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN CC(=O)c1cc(N2CCSC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000287625866 277106346 /nfs/dbraw/zinc/10/63/46/277106346.db2.gz GWDQCFRXMRTVEL-JTQLQIEISA-N 0 0 294.376 2.987 20 5 CFBDRN CC(=O)c1ccc(N2C[C@@H](C)[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000090824945 277112000 /nfs/dbraw/zinc/11/20/00/277112000.db2.gz UWJSZKWVTJIIMH-NXEZZACHSA-N 0 0 262.309 2.890 20 5 CFBDRN CC(C)(C)c1nnnn1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000180971495 277279912 /nfs/dbraw/zinc/27/99/12/277279912.db2.gz CUNBNOQNYHZEFN-UHFFFAOYSA-N 0 0 295.730 2.581 20 5 CFBDRN CC(C)(CCC(=O)Nc1nc2c(s1)CCCC2)[N+](=O)[O-] ZINC000294308788 277295757 /nfs/dbraw/zinc/29/57/57/277295757.db2.gz GXHXNLSSCXNBAA-UHFFFAOYSA-N 0 0 297.380 2.796 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)[C@@H](C)C1 ZINC000281708990 277341454 /nfs/dbraw/zinc/34/14/54/277341454.db2.gz UJZHNWLIGPZYPY-AAEUAGOBSA-N 0 0 264.325 2.907 20 5 CFBDRN CO[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1C ZINC000450755947 277341997 /nfs/dbraw/zinc/34/19/97/277341997.db2.gz JTAJEOGTVRDLHS-KCJUWKMLSA-N 0 0 285.731 2.504 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)CC[C@@H]1C ZINC000302603990 277344262 /nfs/dbraw/zinc/34/42/62/277344262.db2.gz ZFNMFMUOMNZTNE-HZMBPMFUSA-N 0 0 264.325 2.764 20 5 CFBDRN COC(=O)[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C[C@@H]1C ZINC000276962557 277355006 /nfs/dbraw/zinc/35/50/06/277355006.db2.gz SLOIYEUQRBLJGM-WCQYABFASA-N 0 0 292.335 2.539 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2c1ccc([N+](=O)[O-])cc1F ZINC000286179247 277355262 /nfs/dbraw/zinc/35/52/62/277355262.db2.gz AJFZUNWQHVDMPJ-IOJWWUGISA-N 0 0 292.310 2.594 20 5 CFBDRN CO[C@](C)(CNc1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CC1 ZINC000292206515 277357586 /nfs/dbraw/zinc/35/75/86/277357586.db2.gz CUWNOSBXNBBYKB-CQSZACIVSA-N 0 0 290.323 2.698 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000268254851 277427004 /nfs/dbraw/zinc/42/70/04/277427004.db2.gz IIBGOPGPTXWTBM-GFCCVEGCSA-N 0 0 264.325 2.846 20 5 CFBDRN COCC1(CNc2c3c(ccc2[N+](=O)[O-])NCC3)CCC1 ZINC000281906447 277484732 /nfs/dbraw/zinc/48/47/32/277484732.db2.gz NBZQBTCRDSHQDH-UHFFFAOYSA-N 0 0 291.351 2.791 20 5 CFBDRN CSC[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000133336188 277515772 /nfs/dbraw/zinc/51/57/72/277515772.db2.gz OAMYPPCXOXKVRW-SNVBAGLBSA-N 0 0 297.336 2.835 20 5 CFBDRN CS[C@H](C)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161971897 277525107 /nfs/dbraw/zinc/52/51/07/277525107.db2.gz BEGWCPAVMORBRG-SECBINFHSA-N 0 0 280.349 2.626 20 5 CFBDRN COC[C@@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000265869813 277577346 /nfs/dbraw/zinc/57/73/46/277577346.db2.gz ZAMBVVXKCBJDTH-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@@H](O)[C@@H]1CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000301779426 277643341 /nfs/dbraw/zinc/64/33/41/277643341.db2.gz MSIDLVRNPAWGTG-NXEZZACHSA-N 0 0 284.743 2.845 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)[C@H](C)C1 ZINC000281708995 277658779 /nfs/dbraw/zinc/65/87/79/277658779.db2.gz UJZHNWLIGPZYPY-DGCLKSJQSA-N 0 0 264.325 2.907 20 5 CFBDRN CC(C)NC(=O)c1ccc(N2CCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000172811812 277661429 /nfs/dbraw/zinc/66/14/29/277661429.db2.gz OZVZTUHKBSKWDZ-LLVKDONJSA-N 0 0 291.351 2.722 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000361013058 277663911 /nfs/dbraw/zinc/66/39/11/277663911.db2.gz LXCQOBVYVMISIJ-GHMZBOCLSA-N 0 0 293.367 2.878 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c3ncccc23)C1 ZINC000413108422 277757946 /nfs/dbraw/zinc/75/79/46/277757946.db2.gz LXKDCSNSLAEOCA-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c(N3CC[C@@H](C)C3)n2)C1 ZINC000408323815 277758087 /nfs/dbraw/zinc/75/80/87/277758087.db2.gz CWEUAPHPOJUNEE-VXGBXAGGSA-N 0 0 290.367 2.682 20 5 CFBDRN C[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])CCS1 ZINC000276886811 277759771 /nfs/dbraw/zinc/75/97/71/277759771.db2.gz JNYBVCYEVOCBOD-MRVPVSSYSA-N 0 0 287.772 2.975 20 5 CFBDRN C[C@@H]1CCc2ccccc2N1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000334463329 277804934 /nfs/dbraw/zinc/80/49/34/277804934.db2.gz RLCBZWHFVMOKPU-LLVKDONJSA-N 0 0 299.330 2.915 20 5 CFBDRN CC(C)[C@@H]1N(c2c([N+](=O)[O-])ncn2C)CC12CCCC2 ZINC000408459455 277834464 /nfs/dbraw/zinc/83/44/64/277834464.db2.gz XWHBWQUNLMALSP-NSHDSACASA-N 0 0 278.356 2.733 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC[C@H]1C ZINC000336926010 277840036 /nfs/dbraw/zinc/84/00/36/277840036.db2.gz LOQDTXCESMPDSW-BXKDBHETSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C[C@H]1C ZINC000168648870 277855414 /nfs/dbraw/zinc/85/54/14/277855414.db2.gz ORSNEFJOQOFIJY-RKDXNWHRSA-N 0 0 282.727 2.976 20 5 CFBDRN C[C@@H]1CN(c2ccnc3c2cccc3[N+](=O)[O-])C[C@H](C)O1 ZINC000432140900 277919093 /nfs/dbraw/zinc/91/90/93/277919093.db2.gz BIGOJZVVQZOBSN-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN CC(C)[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000191692575 277932541 /nfs/dbraw/zinc/93/25/41/277932541.db2.gz QAIIIHUMDATWFS-CQSZACIVSA-N 0 0 291.351 2.828 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2ccco2)c1 ZINC000338636398 277937191 /nfs/dbraw/zinc/93/71/91/277937191.db2.gz IVEQBKPEUMDSIR-UHFFFAOYSA-N 0 0 262.265 2.833 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2nccn2C)cc1[N+](=O)[O-] ZINC000412726517 278033771 /nfs/dbraw/zinc/03/37/71/278033771.db2.gz IDPMUTMVXPOGFA-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000235348927 278056813 /nfs/dbraw/zinc/05/68/13/278056813.db2.gz NXOOXRPQILACRV-BQBZGAKWSA-N 0 0 291.229 2.598 20 5 CFBDRN C[C@@H]1[C@H](C(=O)N2CCCc3c([N+](=O)[O-])cccc32)C1(F)F ZINC000334686346 278086659 /nfs/dbraw/zinc/08/66/59/278086659.db2.gz VBIIBIDMGQEPHX-PRHODGIISA-N 0 0 296.273 2.775 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCCOC1 ZINC000272681577 278130486 /nfs/dbraw/zinc/13/04/86/278130486.db2.gz ZCMACJJPNRMHCH-CQSZACIVSA-N 0 0 289.291 2.713 20 5 CFBDRN CS[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000294961989 278163158 /nfs/dbraw/zinc/16/31/58/278163158.db2.gz KCEDCOCVFASXOE-QMMMGPOBSA-N 0 0 273.745 2.585 20 5 CFBDRN CC1(C)CCC[C@@H](n2cc([N+](=O)[O-])cc(Cl)c2=O)C1=O ZINC000412990528 278228521 /nfs/dbraw/zinc/22/85/21/278228521.db2.gz FXKKJOYRQRAWCR-SNVBAGLBSA-N 0 0 298.726 2.730 20 5 CFBDRN CC1(C)CCN(c2ncc([N+](=O)[O-])s2)CCS1 ZINC000281837515 278260825 /nfs/dbraw/zinc/26/08/25/278260825.db2.gz IRTKPJHMQZGZJX-UHFFFAOYSA-N 0 0 273.383 2.773 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1ncc([N+](=O)[O-])cn1 ZINC000042864663 278337580 /nfs/dbraw/zinc/33/75/80/278337580.db2.gz ZVENNSSNXAHXPL-UWVGGRQHSA-N 0 0 250.302 2.623 20 5 CFBDRN CC1(C)CN(c2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000301092973 278343356 /nfs/dbraw/zinc/34/33/56/278343356.db2.gz PZTGQPYNHKKFQJ-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN CC1(C)CN(c2ncc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000364871502 278345897 /nfs/dbraw/zinc/34/58/97/278345897.db2.gz TUGTYWAOWFBWCO-UHFFFAOYSA-N 0 0 285.731 2.649 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C[C@H]1C ZINC000091326921 288035554 /nfs/dbraw/zinc/03/55/54/288035554.db2.gz YTWYFGWCKQGVMV-RKDXNWHRSA-N 0 0 282.727 2.976 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)[C@@H]1C ZINC000294061110 288035640 /nfs/dbraw/zinc/03/56/40/288035640.db2.gz KYSHZFCABXQOLA-NXEZZACHSA-N 0 0 285.303 2.623 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000276979519 278370340 /nfs/dbraw/zinc/37/03/40/278370340.db2.gz YCEOSVNUGKSAOJ-JTQLQIEISA-N 0 0 252.339 2.927 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CCO1 ZINC000301102549 278442832 /nfs/dbraw/zinc/44/28/32/278442832.db2.gz OZPSEJRYNOQLCP-QMMMGPOBSA-N 0 0 290.241 2.839 20 5 CFBDRN CC1(CC(F)(F)F)CN(c2ccncc2[N+](=O)[O-])C1 ZINC000450958731 278456613 /nfs/dbraw/zinc/45/66/13/278456613.db2.gz WRFPYVMACVMDKR-UHFFFAOYSA-N 0 0 275.230 2.769 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000336604537 278490529 /nfs/dbraw/zinc/49/05/29/278490529.db2.gz PIUFIGWAHRNFJZ-UWVGGRQHSA-N 0 0 263.297 2.857 20 5 CFBDRN CC(C)(C)[C@H]1CN(c2ccccc2[N+](=O)[O-])CCO1 ZINC000447805863 278551032 /nfs/dbraw/zinc/55/10/32/278551032.db2.gz KPWVNDGKVFNZDN-CYBMUJFWSA-N 0 0 264.325 2.846 20 5 CFBDRN Cc1c(C(=O)N2C[C@@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000335164851 278588117 /nfs/dbraw/zinc/58/81/17/278588117.db2.gz BXGWPQAOUCHXBL-UWVGGRQHSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000408106459 278601277 /nfs/dbraw/zinc/60/12/77/278601277.db2.gz YKFLFMPPLGEQPP-DTORHVGOSA-N 0 0 288.307 2.621 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCC(C)(C)O2)cccc1[N+](=O)[O-] ZINC000362803559 278605616 /nfs/dbraw/zinc/60/56/16/278605616.db2.gz ZQMSXZXTASSBPR-LBPRGKRZSA-N 0 0 278.308 2.799 20 5 CFBDRN CCC1(CO)CCN(c2cccc(F)c2[N+](=O)[O-])CC1 ZINC000270793448 278641767 /nfs/dbraw/zinc/64/17/67/278641767.db2.gz SBZHQPMXLYGRLH-UHFFFAOYSA-N 0 0 282.315 2.723 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCS[C@H](C)CC1 ZINC000276874819 278747645 /nfs/dbraw/zinc/74/76/45/278747645.db2.gz ICWHWCQNOZDSPN-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H](C)n3ccnc32)n1 ZINC000450833384 278865173 /nfs/dbraw/zinc/86/51/73/278865173.db2.gz SRSDJEIDVAONPR-VHSXEESVSA-N 0 0 273.296 2.613 20 5 CFBDRN CCC[C@@H]1CCCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420599271 278882206 /nfs/dbraw/zinc/88/22/06/278882206.db2.gz KXQJWLZXDPCQDQ-LLVKDONJSA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1cccc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c1[N+](=O)[O-] ZINC000302543217 278928342 /nfs/dbraw/zinc/92/83/42/278928342.db2.gz ICRGYDMHRXLWFI-UHTWSYAYSA-N 0 0 262.309 2.883 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])c(C)n1)C(C)(C)C ZINC000450313110 288093168 /nfs/dbraw/zinc/09/31/68/288093168.db2.gz PBHIULSDMKLMLA-JTQLQIEISA-N 0 0 252.318 2.930 20 5 CFBDRN CCC[C@]1(CO)CCN(c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000377148982 278977285 /nfs/dbraw/zinc/97/72/85/278977285.db2.gz FZEIOSLOKHUHRJ-AWEZNQCLSA-N 0 0 282.315 2.723 20 5 CFBDRN CC(C)C[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000342132005 279020796 /nfs/dbraw/zinc/02/07/96/279020796.db2.gz OKBOEIHSXJUYTJ-LBPRGKRZSA-N 0 0 293.367 2.974 20 5 CFBDRN C[C@@H]1OCCN(Cc2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000182236661 279041407 /nfs/dbraw/zinc/04/14/07/279041407.db2.gz LKSUNXJULSVKDQ-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Sc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000269902710 279046316 /nfs/dbraw/zinc/04/63/16/279046316.db2.gz GANLWSAOGOWHJE-XVKPBYJWSA-N 0 0 284.293 2.773 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@@H]1Nc1ncc([N+](=O)[O-])s1 ZINC000288866914 279069278 /nfs/dbraw/zinc/06/92/78/279069278.db2.gz KXWVXSLGXCLYSN-WRWORJQWSA-N 0 0 277.305 2.985 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000175058181 279080246 /nfs/dbraw/zinc/08/02/46/279080246.db2.gz IAVMPTJGMCZWCR-VHSXEESVSA-N 0 0 289.335 2.714 20 5 CFBDRN Cc1nc(N2CCCC[C@@H]2c2cc[nH]n2)ccc1[N+](=O)[O-] ZINC000288819410 279090070 /nfs/dbraw/zinc/09/00/70/279090070.db2.gz AQSZXACNTCZQKG-CYBMUJFWSA-N 0 0 287.323 2.753 20 5 CFBDRN Cc1nc(N2CCC[C@@H]2CC(C)C)ncc1[N+](=O)[O-] ZINC000450295614 279090414 /nfs/dbraw/zinc/09/04/14/279090414.db2.gz ZRIWGTOSRFMELG-LLVKDONJSA-N 0 0 264.329 2.708 20 5 CFBDRN Cc1nc(N2C[C@@H]3CCCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000301664430 279094414 /nfs/dbraw/zinc/09/44/14/279094414.db2.gz NUACPJGAPKCXLI-TXEJJXNPSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1nc(N2[C@H](C)C[C@H]3CCCC[C@@H]32)ncc1[N+](=O)[O-] ZINC000296974235 279095252 /nfs/dbraw/zinc/09/52/52/279095252.db2.gz DIQQBTIROUKULF-JLLWLGSASA-N 0 0 276.340 2.851 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CCCCC(F)(F)C1 ZINC000291636683 279385347 /nfs/dbraw/zinc/38/53/47/279385347.db2.gz ATXCDLJCOUDFFT-SECBINFHSA-N 0 0 288.298 2.956 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CCC[C@H]3OCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000274704331 279694405 /nfs/dbraw/zinc/69/44/05/279694405.db2.gz VUHUYVCCPLVUCP-KBVBSXBZSA-N 0 0 295.314 2.686 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C[C@H]1n1ccnc1 ZINC000268261967 279728833 /nfs/dbraw/zinc/72/88/33/279728833.db2.gz MRIJOPGNLFOMJL-SWLSCSKDSA-N 0 0 286.335 2.879 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000336787228 279762314 /nfs/dbraw/zinc/76/23/14/279762314.db2.gz DNMHJILFSHGRTO-POYBYMJQSA-N 0 0 264.310 2.810 20 5 CFBDRN C[C@H]1C[C@@H](Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)c2nccn21 ZINC000450822941 279971990 /nfs/dbraw/zinc/97/19/90/279971990.db2.gz BMYYXLSUXZEYPY-QPUJVOFHSA-N 0 0 298.306 2.786 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C1CC(F)(F)C1 ZINC000336169096 280023068 /nfs/dbraw/zinc/02/30/68/280023068.db2.gz VWXVQCJOJGSYHN-QMMMGPOBSA-N 0 0 296.273 2.918 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1CC12CC2 ZINC000335558757 280023476 /nfs/dbraw/zinc/02/34/76/280023476.db2.gz KVKVALUVXFBABW-CABZTGNLSA-N 0 0 272.304 2.673 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)c1cncs1 ZINC000348935799 280024223 /nfs/dbraw/zinc/02/42/23/280024223.db2.gz MLTQNLLYERENAC-QMMMGPOBSA-N 0 0 289.316 2.643 20 5 CFBDRN C[C@H]1OCCN(c2ccnc3c2cccc3[N+](=O)[O-])[C@@H]1C ZINC000432336197 280056880 /nfs/dbraw/zinc/05/68/80/280056880.db2.gz ORZHJDZOHUCVRO-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN CCS[C@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000331171252 280056969 /nfs/dbraw/zinc/05/69/69/280056969.db2.gz VLRJKIRMCCQIDC-JQWIXIFHSA-N 0 0 297.380 2.717 20 5 CFBDRN C[C@H]1Oc2ccccc2[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000377382365 280086249 /nfs/dbraw/zinc/08/62/49/280086249.db2.gz LFFUPPNYVVYPDN-BMIGLBTASA-N 0 0 298.298 2.847 20 5 CFBDRN CC(C)[C@@]1(CO)CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000294021897 280131059 /nfs/dbraw/zinc/13/10/59/280131059.db2.gz KRXUGYRWDIQUJC-HNNXBMFYSA-N 0 0 296.342 2.969 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC1CCC(F)(F)CC1 ZINC000334587359 280259061 /nfs/dbraw/zinc/25/90/61/280259061.db2.gz ZVADWLKXKWKNAL-UHFFFAOYSA-N 0 0 286.278 2.890 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2c(N)cccc2[N+](=O)[O-])CC1 ZINC000181095684 288216125 /nfs/dbraw/zinc/21/61/25/288216125.db2.gz OZPLUWRBHLRJQE-NSHDSACASA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2C[C@@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359154782 280305078 /nfs/dbraw/zinc/30/50/78/280305078.db2.gz AUKIETCVASZNDP-UONOGXRCSA-N 0 0 284.315 2.869 20 5 CFBDRN O=[N+]([O-])c1c(N2CC3(C2)CCCC3)nc2sccn21 ZINC000373601671 280354067 /nfs/dbraw/zinc/35/40/67/280354067.db2.gz PATPNRVVRBQXDT-UHFFFAOYSA-N 0 0 278.337 2.684 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC[C@@H](F)C1 ZINC000334703383 280358051 /nfs/dbraw/zinc/35/80/51/280358051.db2.gz UQSUMRJDSRSUMH-SNVBAGLBSA-N 0 0 258.680 2.792 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H]1c1ncc[nH]1 ZINC000374773486 280360602 /nfs/dbraw/zinc/36/06/02/280360602.db2.gz AKKVNBSUUCZLTO-NSHDSACASA-N 0 0 276.271 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3ncccc3C2)cc1 ZINC000367849479 280364056 /nfs/dbraw/zinc/36/40/56/280364056.db2.gz MTHWTOXAPCHVHE-UHFFFAOYSA-N 0 0 269.304 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC([C@@H]2CCOC2)C1 ZINC000368551184 280364952 /nfs/dbraw/zinc/36/49/52/280364952.db2.gz NNMRTHJARNZZOA-SECBINFHSA-N 0 0 282.727 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO2)cc1C(F)(F)F ZINC000273324232 280366083 /nfs/dbraw/zinc/36/60/83/280366083.db2.gz PVSRXCDFOSUUCQ-UHFFFAOYSA-N 0 0 262.187 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccccc3C2)cn1 ZINC000339967647 280367782 /nfs/dbraw/zinc/36/77/82/280367782.db2.gz SWWMDXUYFFGOFG-UHFFFAOYSA-N 0 0 255.277 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3C[C@H]4CCC[C@@H]4C3)nc2c1 ZINC000277739500 280369975 /nfs/dbraw/zinc/36/99/75/280369975.db2.gz IKJUYMQRTRVMRT-NXEZZACHSA-N 0 0 272.308 2.707 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC2(CC1)OCCO2 ZINC000236047343 280372318 /nfs/dbraw/zinc/37/23/18/280372318.db2.gz RQXDFFIWQTWNBK-UHFFFAOYSA-N 0 0 298.726 2.592 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CCCCO1 ZINC000450466963 280373357 /nfs/dbraw/zinc/37/33/57/280373357.db2.gz HRDVMSCQXNXKEV-UHFFFAOYSA-N 0 0 259.265 2.675 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1COc2cc(F)cc(F)c21 ZINC000374591778 280376997 /nfs/dbraw/zinc/37/69/97/280376997.db2.gz FHPMEXPGWLCGRL-SECBINFHSA-N 0 0 293.229 2.814 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2ccc([N+](=O)[O-])o2)c(C)n1 ZINC000121107587 280394407 /nfs/dbraw/zinc/39/44/07/280394407.db2.gz UJPHPZNMNVSPBF-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@@H]1CCCc2ccccc21 ZINC000108953486 280394811 /nfs/dbraw/zinc/39/48/11/280394811.db2.gz JLEVSDFRSHBGJX-ZDUSSCGKSA-N 0 0 284.315 2.877 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1nnc(C2CC2)o1 ZINC000048989349 280435187 /nfs/dbraw/zinc/43/51/87/280435187.db2.gz YUPHZKAWHWHKRR-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)c(F)cc2[N+](=O)[O-])CCCO1 ZINC000281844134 280443502 /nfs/dbraw/zinc/44/35/02/280443502.db2.gz LNRLQIMHJKLKQZ-SNVBAGLBSA-N 0 0 298.314 2.748 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])NC1CCCCC1 ZINC000054430382 280447759 /nfs/dbraw/zinc/44/77/59/280447759.db2.gz OLDAZOVHKFTGRE-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN CN(CC(F)(F)F)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000067681791 280473147 /nfs/dbraw/zinc/47/31/47/280473147.db2.gz CDOMWNIIMBCRDX-UHFFFAOYSA-N 0 0 296.632 2.883 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2ccc(Cl)c(F)c2)c1 ZINC000071978661 280488062 /nfs/dbraw/zinc/48/80/62/280488062.db2.gz PORHUEHPSVNEFR-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccncc1Cl ZINC000073848244 280498184 /nfs/dbraw/zinc/49/81/84/280498184.db2.gz SREPYACMELZAFO-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN Cc1cc(NC(=O)COc2ccccc2)ccc1[N+](=O)[O-] ZINC000075283327 280510361 /nfs/dbraw/zinc/51/03/61/280510361.db2.gz UEMAGRQPNMPELG-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN O=[N+]([O-])c1cc(CN(CCO)c2ccccc2)cs1 ZINC000081157622 280542636 /nfs/dbraw/zinc/54/26/36/280542636.db2.gz QYHMROGMTWCYLX-UHFFFAOYSA-N 0 0 278.333 2.655 20 5 CFBDRN Cc1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)on1 ZINC000086167560 280553853 /nfs/dbraw/zinc/55/38/53/280553853.db2.gz FTWHYZAZSMUEBL-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN COCCCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000086167558 280553961 /nfs/dbraw/zinc/55/39/61/280553961.db2.gz POEJZAOCXTUQRL-UHFFFAOYSA-N 0 0 266.297 2.577 20 5 CFBDRN CC(C)[C@]1(CO)CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000377998130 280557329 /nfs/dbraw/zinc/55/73/29/280557329.db2.gz ZRCHMMDYLSYAKJ-CQSZACIVSA-N 0 0 282.315 2.579 20 5 CFBDRN Cc1cc(N2CCO[C@H]3CCC[C@H]32)c(F)cc1[N+](=O)[O-] ZINC000292534589 280565017 /nfs/dbraw/zinc/56/50/17/280565017.db2.gz HWYGCNWSVVLZER-RISCZKNCSA-N 0 0 280.299 2.800 20 5 CFBDRN COc1ccc(CNc2cnn(C(C)C)c2)cc1[N+](=O)[O-] ZINC000092564873 280574212 /nfs/dbraw/zinc/57/42/12/280574212.db2.gz QILORYRBYXDGIC-UHFFFAOYSA-N 0 0 290.323 2.993 20 5 CFBDRN Cc1cc(N2CC[C@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000301594713 280579643 /nfs/dbraw/zinc/57/96/43/280579643.db2.gz FKQYNFAFOGXAJG-AWEZNQCLSA-N 0 0 262.309 2.520 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccc(O)c2)c(Cl)c1 ZINC000122668464 280628914 /nfs/dbraw/zinc/62/89/14/280628914.db2.gz YQAZUNHSEKDYKF-UHFFFAOYSA-N 0 0 279.683 2.961 20 5 CFBDRN Cc1c(CC(=O)NCCCC2CC2)cccc1[N+](=O)[O-] ZINC000124249948 280637832 /nfs/dbraw/zinc/63/78/32/280637832.db2.gz HHBGAMUBSNZNQT-UHFFFAOYSA-N 0 0 276.336 2.752 20 5 CFBDRN Cc1ccc(C(=O)NC2C[C@@H](C)O[C@H](C)C2)cc1[N+](=O)[O-] ZINC000126687846 280655915 /nfs/dbraw/zinc/65/59/15/280655915.db2.gz SEATVLCOWKRTNN-GHMZBOCLSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC(C)(O)CC1 ZINC000277836622 280656389 /nfs/dbraw/zinc/65/63/89/280656389.db2.gz RQBQEVFLHCRUAQ-UHFFFAOYSA-N 0 0 284.743 2.908 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1C[C@H](C)O[C@@H](C)C1 ZINC000126788826 280656414 /nfs/dbraw/zinc/65/64/14/280656414.db2.gz ANGGOESSSOEZSN-QWRGUYRKSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])CCCO1 ZINC000292442825 288255340 /nfs/dbraw/zinc/25/53/40/288255340.db2.gz HTMISEKTRBVVJU-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](C)S[C@H](C)C2)n1 ZINC000127856715 280669726 /nfs/dbraw/zinc/66/97/26/280669726.db2.gz XWXUTZXBZQNQRS-NXEZZACHSA-N 0 0 267.354 2.628 20 5 CFBDRN CN(C)c1ccccc1N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000128451362 280677784 /nfs/dbraw/zinc/67/77/84/280677784.db2.gz HOLWMTMOHNRALS-UHFFFAOYSA-N 0 0 289.291 2.530 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000128876344 280684036 /nfs/dbraw/zinc/68/40/36/280684036.db2.gz UIJGOIICRBQQBQ-CYBMUJFWSA-N 0 0 294.351 2.838 20 5 CFBDRN O=C(Nc1cccc(O)c1F)c1ccccc1[N+](=O)[O-] ZINC000129250749 280687826 /nfs/dbraw/zinc/68/78/26/280687826.db2.gz YGVOBAAMNQPKIU-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN Cc1cccc(C)c1Cn1ccc(C)c([N+](=O)[O-])c1=O ZINC000129622818 280693879 /nfs/dbraw/zinc/69/38/79/280693879.db2.gz ZLLZBJQYHUKHSK-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN Cc1ccn(Cc2ccc(Cl)cc2)c(=O)c1[N+](=O)[O-] ZINC000129714128 280694445 /nfs/dbraw/zinc/69/44/45/280694445.db2.gz VHHTVYKZONOSPU-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1ccc(OCc2ccc(C(N)=O)cc2)cc1[N+](=O)[O-] ZINC000131630919 280720651 /nfs/dbraw/zinc/72/06/51/280720651.db2.gz VESUGGLAFMYWKQ-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)cc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000368298959 288261367 /nfs/dbraw/zinc/26/13/67/288261367.db2.gz KVOSBKVCAXFQOV-LLVKDONJSA-N 0 0 299.330 2.965 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000134154666 280753731 /nfs/dbraw/zinc/75/37/31/280753731.db2.gz MMSQNIQUBUXEOG-GXSJLCMTSA-N 0 0 293.323 2.767 20 5 CFBDRN CCOc1cccc(NCc2cc(C)on2)c1[N+](=O)[O-] ZINC000134914602 280764047 /nfs/dbraw/zinc/76/40/47/280764047.db2.gz QQGLSBZKFOMQNJ-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@H]1C=CCCC1 ZINC000137312324 280787698 /nfs/dbraw/zinc/78/76/98/280787698.db2.gz CPSSJPYEWZARAN-NSHDSACASA-N 0 0 292.360 2.912 20 5 CFBDRN Cc1ccc(CNc2ccc(N(C)C)cn2)cc1[N+](=O)[O-] ZINC000150895919 280797008 /nfs/dbraw/zinc/79/70/08/280797008.db2.gz YGMAXUBLRJBADP-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CC(C)CN(C(=O)CNc1cccc([N+](=O)[O-])c1)C(C)C ZINC000151485405 280804205 /nfs/dbraw/zinc/80/42/05/280804205.db2.gz GYDQHGUSUYUJGH-UHFFFAOYSA-N 0 0 293.367 2.900 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000179081736 280815397 /nfs/dbraw/zinc/81/53/97/280815397.db2.gz TYZAVDZJPRNLNP-LLVKDONJSA-N 0 0 279.340 2.829 20 5 CFBDRN CC[C@@H](CSC)NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000180577869 280817808 /nfs/dbraw/zinc/81/78/08/280817808.db2.gz XKOUNNNFJJMVMT-GUOLPTJISA-N 0 0 294.376 2.866 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000173666933 288272194 /nfs/dbraw/zinc/27/21/94/288272194.db2.gz OQCXWLYCMGIEFD-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN CSC1(CNC(=O)c2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000194497959 280921306 /nfs/dbraw/zinc/92/13/06/280921306.db2.gz FXMBIXISCDKYBN-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC=CCC1 ZINC000172482723 281017061 /nfs/dbraw/zinc/01/70/61/281017061.db2.gz JDOYEVZIROEAQL-NSHDSACASA-N 0 0 260.293 2.742 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000248390703 281020952 /nfs/dbraw/zinc/02/09/52/281020952.db2.gz BRSYDXNUQWSZOH-MGCOHNPYSA-N 0 0 278.312 2.690 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ncc([N+](=O)[O-])s1)C2 ZINC000336939840 281074541 /nfs/dbraw/zinc/07/45/41/281074541.db2.gz XJZXSMOWVMPCRY-UHFFFAOYSA-N 0 0 261.306 2.880 20 5 CFBDRN CC[C@H]1CCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000340562867 281076908 /nfs/dbraw/zinc/07/69/08/281076908.db2.gz UOSWFKGUQGEBDH-LBPRGKRZSA-N 0 0 262.309 2.538 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])Nc1ccncc1F ZINC000255101387 281086798 /nfs/dbraw/zinc/08/67/98/281086798.db2.gz BKKUOVOEVXCTEO-WAYWQWQTSA-N 0 0 287.250 2.781 20 5 CFBDRN COCCCCCNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255116197 281087547 /nfs/dbraw/zinc/08/75/47/281087547.db2.gz BWNNROGLCRTZBL-HJWRWDBZSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC/C=C\c1ccccc1 ZINC000255136694 281088583 /nfs/dbraw/zinc/08/85/83/281088583.db2.gz BTUSURGDEKFPSS-YVMONPNESA-N 0 0 297.314 2.741 20 5 CFBDRN CC[C@H](C)N(C)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255383116 281096742 /nfs/dbraw/zinc/09/67/42/281096742.db2.gz HTKWYBHTXOGNDI-IQQGHNRFSA-N 0 0 262.309 2.865 20 5 CFBDRN CN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000255551230 281101140 /nfs/dbraw/zinc/10/11/40/281101140.db2.gz UOMVJEMAEACFTC-YFHOEESVSA-N 0 0 283.287 2.666 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC(C1CC1)C1CC1 ZINC000255697806 281105921 /nfs/dbraw/zinc/10/59/21/281105921.db2.gz ZPXDBDPEJNFTNX-KTKRTIGZSA-N 0 0 286.331 2.913 20 5 CFBDRN CCCCOCCNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255909480 281109988 /nfs/dbraw/zinc/10/99/88/281109988.db2.gz JKVOAPBRLQXIGV-FPLPWBNLSA-N 0 0 292.335 2.541 20 5 CFBDRN CC[C@H]1CN(c2c([N+](=O)[O-])c(C)nn2CC)C[C@H](CC)O1 ZINC000420626181 281175946 /nfs/dbraw/zinc/17/59/46/281175946.db2.gz MAFPRWLKXWUHTB-RYUDHWBXSA-N 0 0 296.371 2.513 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2C)CCCO1 ZINC000281909503 281177651 /nfs/dbraw/zinc/17/76/51/281177651.db2.gz QDPFCBBMSRYZDU-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN CC[C@H]1CN(c2nc(C)cc(C)c2[N+](=O)[O-])CCS1 ZINC000408171459 281180217 /nfs/dbraw/zinc/18/02/17/281180217.db2.gz JXHCIXFZJMTKCU-NSHDSACASA-N 0 0 281.381 2.938 20 5 CFBDRN Cc1c(OCCC2(O)CCC2)cccc1[N+](=O)[O-] ZINC000396784357 281196975 /nfs/dbraw/zinc/19/69/75/281196975.db2.gz MEIWTONWIVMYBD-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2c3ccccc3ncc2[N+](=O)[O-])O1 ZINC000397933639 281203522 /nfs/dbraw/zinc/20/35/22/281203522.db2.gz WDVRYNSXAYSGET-GHMZBOCLSA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@H]1CC[C@H](CNc2ncnc3sc([N+](=O)[O-])cc32)O1 ZINC000397960060 281203568 /nfs/dbraw/zinc/20/35/68/281203568.db2.gz UNQNURHVOZXWBO-JGVFFNPUSA-N 0 0 294.336 2.579 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc3cc([N+](=O)[O-])ccc3n2)CS1 ZINC000397975510 281203622 /nfs/dbraw/zinc/20/36/22/281203622.db2.gz JBEZDNHCUFZDFL-MWLCHTKSSA-N 0 0 289.360 2.871 20 5 CFBDRN C[C@H](CCC1CC1)N=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1 ZINC000398107461 281204483 /nfs/dbraw/zinc/20/44/83/281204483.db2.gz AJTNILKOMLFSKY-SECBINFHSA-N 0 0 274.324 2.884 20 5 CFBDRN CC1(C)CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CCS1 ZINC000083005584 281219316 /nfs/dbraw/zinc/21/93/16/281219316.db2.gz OJHIAHOCVDCYTN-UHFFFAOYSA-N 0 0 298.339 2.702 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000094774471 281221288 /nfs/dbraw/zinc/22/12/88/281221288.db2.gz CAWPBBHGYQFUBM-PWSUYJOCSA-N 0 0 282.315 2.733 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]1C1CCC1 ZINC000424102639 281226186 /nfs/dbraw/zinc/22/61/86/281226186.db2.gz BMPJMUHNLWUZKV-QWHCGFSZSA-N 0 0 275.308 2.905 20 5 CFBDRN Cc1cc(N[C@@H](C)Cc2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000450846577 281244692 /nfs/dbraw/zinc/24/46/92/281244692.db2.gz UDDKUWCVTGXCTC-NSHDSACASA-N 0 0 274.324 2.680 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000187398694 281262437 /nfs/dbraw/zinc/26/24/37/281262437.db2.gz BIMPMVTXWMIQPC-ZANVPECISA-N 0 0 277.324 2.559 20 5 CFBDRN O=C(/C=C\C1CCOCC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000491790954 281275722 /nfs/dbraw/zinc/27/57/22/281275722.db2.gz BSNNZUWGUKIQRB-RJRFIUFISA-N 0 0 294.282 2.655 20 5 CFBDRN CCSCCCNC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492855288 281279119 /nfs/dbraw/zinc/27/91/19/281279119.db2.gz SSJAKWIZLQGJKE-HJWRWDBZSA-N 0 0 294.376 2.867 20 5 CFBDRN CC[C@@H](F)CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000516142445 281299876 /nfs/dbraw/zinc/29/98/76/281299876.db2.gz RQMMGKWFPQRLFH-LLVKDONJSA-N 0 0 252.289 2.701 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000525360267 281316257 /nfs/dbraw/zinc/31/62/57/281316257.db2.gz FAIWVQQIPJWUNK-WDEREUQCSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@@H](NC(=O)N1[C@H](C)C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000526881048 281321684 /nfs/dbraw/zinc/32/16/84/281321684.db2.gz XKMOQETWXLSQMY-OUAUKWLOSA-N 0 0 277.324 2.848 20 5 CFBDRN CC[C@]1(C)CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CCO1 ZINC000275992924 281323099 /nfs/dbraw/zinc/32/30/99/281323099.db2.gz FPQSCQDVERTKBO-OAHLLOKOSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1ccc2ncccc2c1 ZINC000527314711 281328212 /nfs/dbraw/zinc/32/82/12/281328212.db2.gz PTAVLKDELNCODG-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN CC1(C)CCCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000527337764 281329759 /nfs/dbraw/zinc/32/97/59/281329759.db2.gz FOKVMTWDULGVGD-UHFFFAOYSA-N 0 0 277.324 2.642 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531629039 281435969 /nfs/dbraw/zinc/43/59/69/281435969.db2.gz NJHQPPLFEJWXET-VHSXEESVSA-N 0 0 265.313 2.544 20 5 CFBDRN CC(C)CCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531633803 281436659 /nfs/dbraw/zinc/43/66/59/281436659.db2.gz UIJSCRGZSNPUHB-NSHDSACASA-N 0 0 279.340 2.934 20 5 CFBDRN CCc1nc(CN(C)c2nc(C)ccc2[N+](=O)[O-])cs1 ZINC000531949632 281442116 /nfs/dbraw/zinc/44/21/16/281442116.db2.gz LCSPPMAQEWXEOF-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3cc(Cl)ccc3[N+](=O)[O-])C[C@@H]21 ZINC000174115548 281455303 /nfs/dbraw/zinc/45/53/03/281455303.db2.gz ZYMHHFIBEXZRDP-PHIMTYICSA-N 0 0 294.738 2.976 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000505600911 288328872 /nfs/dbraw/zinc/32/88/72/288328872.db2.gz BEJIRXKAZUXHQA-QWRGUYRKSA-N 0 0 282.315 2.733 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CC[C@H](C2CC2)C1 ZINC000535005347 281493284 /nfs/dbraw/zinc/49/32/84/281493284.db2.gz HSZVXCYRPSBDHC-ZDUSSCGKSA-N 0 0 289.335 2.536 20 5 CFBDRN O=C(Nc1cncc2ccccc21)c1cc([N+](=O)[O-])c[nH]1 ZINC000535499389 281502739 /nfs/dbraw/zinc/50/27/39/281502739.db2.gz HKSGMGINRZCPJC-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN CC(C)SCC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000535457780 281502795 /nfs/dbraw/zinc/50/27/95/281502795.db2.gz BCLXYWIIRCRWCO-UHFFFAOYSA-N 0 0 294.336 2.551 20 5 CFBDRN CC(C)(NC(=O)NCCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000535740575 281506310 /nfs/dbraw/zinc/50/63/10/281506310.db2.gz NVLSAAQZFCGRNB-UHFFFAOYSA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1cn(C[C@H]2C[C@H]2c2ccccc2)nc1[N+](=O)[O-] ZINC000359380077 281526891 /nfs/dbraw/zinc/52/68/91/281526891.db2.gz CXGWDHLMVJFIBL-OLZOCXBDSA-N 0 0 257.293 2.903 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])cn2)c1F ZINC000537315672 281536279 /nfs/dbraw/zinc/53/62/79/281536279.db2.gz VDQIWEOHCIQQIY-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCO[C@@H](CC)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000538251106 281550559 /nfs/dbraw/zinc/55/05/59/281550559.db2.gz DBMZQQAANIJFJB-MFKMUULPSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000538385779 281552332 /nfs/dbraw/zinc/55/23/32/281552332.db2.gz HXKQSHAMNBJQEI-CQSZACIVSA-N 0 0 291.351 2.577 20 5 CFBDRN CCOc1cc(N2CCO[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000539769646 281573020 /nfs/dbraw/zinc/57/30/20/281573020.db2.gz CBAKQCJOFGFJJJ-OAHLLOKOSA-N 0 0 294.351 2.855 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)[C@@](C)(OC)C1CC1 ZINC000413515476 281598037 /nfs/dbraw/zinc/59/80/37/281598037.db2.gz KCTNPTYSYIQOQH-YMTOWFKASA-N 0 0 296.371 2.735 20 5 CFBDRN CN(CCc1ccccc1O)c1ccccc1[N+](=O)[O-] ZINC000541345471 281602797 /nfs/dbraw/zinc/60/27/97/281602797.db2.gz WQLIMYZCPZZJSR-UHFFFAOYSA-N 0 0 272.304 2.979 20 5 CFBDRN COc1ccc(Cc2nc([C@@H]3C[C@@H]3C)no2)cc1[N+](=O)[O-] ZINC000545065132 281678138 /nfs/dbraw/zinc/67/81/38/281678138.db2.gz GINMVHSONMRJCD-WCBMZHEXSA-N 0 0 289.291 2.701 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000546480460 281710149 /nfs/dbraw/zinc/71/01/49/281710149.db2.gz VBABVHULDLFWPD-KWQFWETISA-N 0 0 275.264 2.680 20 5 CFBDRN Cc1ccncc1N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000546630395 281714582 /nfs/dbraw/zinc/71/45/82/281714582.db2.gz ZERFGXCFLZVRNS-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN CC(C)c1noc(-c2n[nH]c(C(C)C)c2[N+](=O)[O-])n1 ZINC000546973755 281723354 /nfs/dbraw/zinc/72/33/54/281723354.db2.gz YQDNHUYTRIVFKC-UHFFFAOYSA-N 0 0 265.273 2.615 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000547807828 281755673 /nfs/dbraw/zinc/75/56/73/281755673.db2.gz QGTNVHCLYYARPD-KGLIPLIRSA-N 0 0 288.347 2.772 20 5 CFBDRN O=C(CCc1cccnc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000548421696 281783052 /nfs/dbraw/zinc/78/30/52/281783052.db2.gz FPHSINFFMZXORU-UHFFFAOYSA-N 0 0 289.266 2.700 20 5 CFBDRN O=C(c1c[nH]nc1[N+](=O)[O-])N1CCCC2(CCCCC2)C1 ZINC000548419959 281783523 /nfs/dbraw/zinc/78/35/23/281783523.db2.gz RBSVILHEOCLKKP-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN CC1CC(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000548750349 281798683 /nfs/dbraw/zinc/79/86/83/281798683.db2.gz AHAQAMFGRBAZSU-UHFFFAOYSA-N 0 0 252.245 2.719 20 5 CFBDRN C[C@H]1C[C@H](C)N1CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000548754421 281799980 /nfs/dbraw/zinc/79/99/80/281799980.db2.gz IIOHSWDCNAODEC-IUCAKERBSA-N 0 0 297.742 2.669 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CSC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000549032444 281809846 /nfs/dbraw/zinc/80/98/46/281809846.db2.gz GUMWQROPIRKUFS-CABZTGNLSA-N 0 0 294.376 2.709 20 5 CFBDRN C[C@@H](c1nc(-c2nccn2C)no1)c1cccc([N+](=O)[O-])c1 ZINC000554807551 281904578 /nfs/dbraw/zinc/90/45/78/281904578.db2.gz DPWOOEQSSXSJLD-SECBINFHSA-N 0 0 299.290 2.530 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@H]2[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000291067633 281919487 /nfs/dbraw/zinc/91/94/87/281919487.db2.gz GRGPVMJZHCTTNF-GXTWGEPZSA-N 0 0 291.351 2.692 20 5 CFBDRN CCOC1(C(=O)NCc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000556396705 281934062 /nfs/dbraw/zinc/93/40/62/281934062.db2.gz ANJMHUYEJSBYRH-UHFFFAOYSA-N 0 0 292.335 2.560 20 5 CFBDRN Cc1nc(N2C[C@@H](C)S[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301672822 281943572 /nfs/dbraw/zinc/94/35/72/281943572.db2.gz RFAWFLXOIWDVLN-DTORHVGOSA-N 0 0 267.354 2.628 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC[C@@](C)(F)C1 ZINC000557619763 281967638 /nfs/dbraw/zinc/96/76/38/281967638.db2.gz SXLWGQJIHCOSEV-CQSZACIVSA-N 0 0 296.298 2.628 20 5 CFBDRN Cc1nc(NC(=O)c2ccc(Cl)cn2)ccc1[N+](=O)[O-] ZINC000133889859 281968080 /nfs/dbraw/zinc/96/80/80/281968080.db2.gz CJMCYZJYSIZOKA-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN COC1(C(=O)Nc2cccc([N+](=O)[O-])c2C)CCCC1 ZINC000559027751 282000166 /nfs/dbraw/zinc/00/01/66/282000166.db2.gz GJEOAWGGRUOIQS-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC(C)(C)C(C)C ZINC000560110253 282016015 /nfs/dbraw/zinc/01/60/15/282016015.db2.gz BDJZKUTYIIAVQE-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)[C@@H]1CC1(C)C ZINC000561070783 282046832 /nfs/dbraw/zinc/04/68/32/282046832.db2.gz JLANUXILTFGHDQ-WDEREUQCSA-N 0 0 277.324 2.544 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1C[C@@H]2CCC[C@@H]2C1 ZINC000561235024 282050466 /nfs/dbraw/zinc/05/04/66/282050466.db2.gz ICRWTTMICZKDSV-AOOOYVTPSA-N 0 0 250.273 2.970 20 5 CFBDRN O=c1[nH]cnc2cc(N[C@@H]3CC[C@H](F)C3)c([N+](=O)[O-])cc12 ZINC000561376440 282053579 /nfs/dbraw/zinc/05/35/79/282053579.db2.gz JDIGHHVUCNHRFC-JGVFFNPUSA-N 0 0 292.270 2.546 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000562253416 282069501 /nfs/dbraw/zinc/06/95/01/282069501.db2.gz SPKDXNFKUMLFDK-XYPYZODXSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000301092272 288385029 /nfs/dbraw/zinc/38/50/29/288385029.db2.gz MPAOPBGEUZKXBS-BDAKNGLRSA-N 0 0 270.716 2.862 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000022158214 288481452 /nfs/dbraw/zinc/48/14/52/288481452.db2.gz NYOUZNCPAVVGTH-RKDXNWHRSA-N 0 0 280.353 2.786 20 5 CFBDRN CN(C(=O)CCCNc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000172774615 288798364 /nfs/dbraw/zinc/79/83/64/288798364.db2.gz KLTIENSLXVWGOM-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN C[C@@H]1[C@@H](C)CCC[C@@H]1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000193320324 288963295 /nfs/dbraw/zinc/96/32/95/288963295.db2.gz JFXCYUHGYPGMPG-UMNHJUIQSA-N 0 0 291.351 2.732 20 5 CFBDRN O=C(c1cc[nH]c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152426771 289846864 /nfs/dbraw/zinc/84/68/64/289846864.db2.gz FFOJIESREUDHDA-UHFFFAOYSA-N 0 0 285.303 2.728 20 5 CFBDRN CCO[C@@H](C)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152423081 289846930 /nfs/dbraw/zinc/84/69/30/289846930.db2.gz RAAWMSUXVIFERU-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN CSCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000152720357 289853481 /nfs/dbraw/zinc/85/34/81/289853481.db2.gz KZPVGGHRNMUGAJ-UHFFFAOYSA-N 0 0 294.254 2.915 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1(C)CCC1 ZINC000152901713 289857297 /nfs/dbraw/zinc/85/72/97/289857297.db2.gz AYFXKLWXKXIPOQ-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000152932326 289857750 /nfs/dbraw/zinc/85/77/50/289857750.db2.gz PFPBAJXHJMBFMG-UWVGGRQHSA-N 0 0 263.297 3.000 20 5 CFBDRN Cc1nc(CN2CCc3c(cccc3[N+](=O)[O-])C2)cs1 ZINC000153086644 289860807 /nfs/dbraw/zinc/86/08/07/289860807.db2.gz OIYJSDJIQMEZQS-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN Cc1cc(OCc2c(Cl)cccc2[N+](=O)[O-])nn1C ZINC000155354308 289916539 /nfs/dbraw/zinc/91/65/39/289916539.db2.gz IIHCPNMLMREDCB-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1ccc(CC(=O)N(C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000158054966 289968685 /nfs/dbraw/zinc/96/86/85/289968685.db2.gz YTMSAGJKNIRSNI-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN CN(C)c1cccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)c1 ZINC000158594628 289978190 /nfs/dbraw/zinc/97/81/90/289978190.db2.gz VQDXGFMJGFHUFO-UHFFFAOYSA-N 0 0 299.330 2.937 20 5 CFBDRN CNc1ccc(C(=O)NC(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000227524700 289995020 /nfs/dbraw/zinc/99/50/20/289995020.db2.gz PFLQKIXWKMYFBQ-UHFFFAOYSA-N 0 0 277.324 2.555 20 5 CFBDRN Cc1cncc(CNc2c3ccccc3ncc2[N+](=O)[O-])c1 ZINC000488095089 290032309 /nfs/dbraw/zinc/03/23/09/290032309.db2.gz ZORQEPCEJIOTLB-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC(OC(C)C)CC2)c1 ZINC000530916649 290033468 /nfs/dbraw/zinc/03/34/68/290033468.db2.gz CQQZSALMNCJQIL-UHFFFAOYSA-N 0 0 294.351 2.997 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000562400503 290040348 /nfs/dbraw/zinc/04/03/48/290040348.db2.gz GTRPSUHOIXOFID-WCQYABFASA-N 0 0 274.320 2.923 20 5 CFBDRN C[C@H](C(=O)N(C)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000562400604 290040407 /nfs/dbraw/zinc/04/04/07/290040407.db2.gz RTRPHXULVYPMCW-JTQLQIEISA-N 0 0 262.309 2.709 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@@](C)(F)C2)cc1[N+](=O)[O-] ZINC000562554477 290054958 /nfs/dbraw/zinc/05/49/58/290054958.db2.gz JETCGHPKYRHNKU-CQSZACIVSA-N 0 0 295.314 2.601 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000562560484 290055443 /nfs/dbraw/zinc/05/54/43/290055443.db2.gz FNGOCUPJVNAOFY-WZRBSPASSA-N 0 0 276.336 2.861 20 5 CFBDRN C[C@@H](C(=O)NCCOc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000562972908 290087707 /nfs/dbraw/zinc/08/77/07/290087707.db2.gz ADFHERQLDVOXHM-LLVKDONJSA-N 0 0 292.335 2.526 20 5 CFBDRN COc1ccncc1CN[C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000563416262 290146428 /nfs/dbraw/zinc/14/64/28/290146428.db2.gz HOKUVCJQDPOOGC-CQSZACIVSA-N 0 0 299.330 2.776 20 5 CFBDRN COCCCCN(C)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000563427471 290149772 /nfs/dbraw/zinc/14/97/72/290149772.db2.gz YOAGPMCLZJOXNU-UHFFFAOYSA-N 0 0 297.355 2.640 20 5 CFBDRN CC/C=C\CCn1nc(-c2ccccc2[N+](=O)[O-])oc1=O ZINC000564953065 290251686 /nfs/dbraw/zinc/25/16/86/290251686.db2.gz FSPVGBSRWBMHPE-ARJAWSKDSA-N 0 0 289.291 2.768 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2NCc1nccs1 ZINC000565217448 290283504 /nfs/dbraw/zinc/28/35/04/290283504.db2.gz LNOZHUDZCMNVKV-NSHDSACASA-N 0 0 275.333 2.828 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC(C)(C)OC(C)(C)C1 ZINC000565228501 290286046 /nfs/dbraw/zinc/28/60/46/290286046.db2.gz HNOJSMPTWUSPKF-UHFFFAOYSA-N 0 0 294.351 2.997 20 5 CFBDRN C[C@H]1C[C@@H](Nc2nccc3c2cccc3[N+](=O)[O-])CO1 ZINC000565418679 290300247 /nfs/dbraw/zinc/30/02/47/290300247.db2.gz CBNXVIZNMKNRBI-VHSXEESVSA-N 0 0 273.292 2.732 20 5 CFBDRN CC1(C)CCN1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000565804546 290325968 /nfs/dbraw/zinc/32/59/68/290325968.db2.gz ZIBPKGAHVLUSSS-UHFFFAOYSA-N 0 0 280.349 2.698 20 5 CFBDRN CC(C)Oc1nc(N[C@@]2(C)CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000565960942 290337859 /nfs/dbraw/zinc/33/78/59/290337859.db2.gz HIHFKVAFEGZNLA-HZMBPMFUSA-N 0 0 295.339 2.756 20 5 CFBDRN CNc1ccc(C(=O)NC(C)(C)C(C)C)cc1[N+](=O)[O-] ZINC000565966011 290338290 /nfs/dbraw/zinc/33/82/90/290338290.db2.gz NIQVEHWTTSIIAF-UHFFFAOYSA-N 0 0 279.340 2.801 20 5 CFBDRN CC(C)(CC[NH2+]C/C=C\c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000566104890 290348659 /nfs/dbraw/zinc/34/86/59/290348659.db2.gz VOQSDZCWQDAJIO-ALCCZGGFSA-N 0 0 292.335 2.699 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000566219971 290359347 /nfs/dbraw/zinc/35/93/47/290359347.db2.gz IGVCPNATLHOTSJ-WZRBSPASSA-N 0 0 292.335 2.698 20 5 CFBDRN O=C(NC[C@H]1CC2CCC1CC2)c1ccc([N+](=O)[O-])o1 ZINC000566266105 290364736 /nfs/dbraw/zinc/36/47/36/290364736.db2.gz ICSCYSSYLOQLGL-VQXHTEKXSA-N 0 0 278.308 2.744 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CC(C)(C)COC ZINC000566286111 290367288 /nfs/dbraw/zinc/36/72/88/290367288.db2.gz IIKANGPKSWCSMI-UHFFFAOYSA-N 0 0 295.339 2.638 20 5 CFBDRN C[C@H]1CCCCCN1c1ncc([N+](=O)[O-])cc1F ZINC000413142080 290372631 /nfs/dbraw/zinc/37/26/31/290372631.db2.gz NPLWAGGBPXQUGV-VIFPVBQESA-N 0 0 253.277 2.898 20 5 CFBDRN C[C@H]1CCCCN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000184353460 290373062 /nfs/dbraw/zinc/37/30/62/290373062.db2.gz XJBVXAWCSGXTPI-LBPRGKRZSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1cc(CN[C@@H]2CCc3c2cccc3[N+](=O)[O-])nc(C)n1 ZINC000566475683 290391114 /nfs/dbraw/zinc/39/11/14/290391114.db2.gz OMBMYGUQXIEQMK-OAHLLOKOSA-N 0 0 298.346 2.779 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCCSC1 ZINC000566572074 290402379 /nfs/dbraw/zinc/40/23/79/290402379.db2.gz ORXPXAPSIGAOPR-SECBINFHSA-N 0 0 268.338 2.911 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCSC1 ZINC000567249481 290450738 /nfs/dbraw/zinc/45/07/38/290450738.db2.gz HDELHWZZOSLSJK-QMMMGPOBSA-N 0 0 254.311 2.521 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000567750036 290490929 /nfs/dbraw/zinc/49/09/29/290490929.db2.gz FHVHIPJSJOCTPK-JQWIXIFHSA-N 0 0 291.351 2.706 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCC[C@H](F)C1 ZINC000567768672 290493995 /nfs/dbraw/zinc/49/39/95/290493995.db2.gz UVLAIPBTULUPBL-VHSXEESVSA-N 0 0 253.277 2.991 20 5 CFBDRN CC[C@@H](F)Cn1cnc2c(Cl)cc([N+](=O)[O-])cc2c1=O ZINC000567843692 290498950 /nfs/dbraw/zinc/49/89/50/290498950.db2.gz IGWURPZYIQQUKB-SSDOTTSWSA-N 0 0 299.689 2.706 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000270870337 290564970 /nfs/dbraw/zinc/56/49/70/290564970.db2.gz SUBWGCPCOZWWFW-JTQLQIEISA-N 0 0 285.303 2.625 20 5 CFBDRN CO[C@@](C)(C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000292015123 294058772 /nfs/dbraw/zinc/05/87/72/294058772.db2.gz YRFJCFXPSCAYMN-ZUZCIYMTSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCCO[C@H](C(C)C)C2)c1 ZINC000294197743 295253938 /nfs/dbraw/zinc/25/39/38/295253938.db2.gz UAOQAQPZCIUELS-HNNXBMFYSA-N 0 0 294.351 2.855 20 5 CFBDRN C[C@H]1CN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)C[C@@H]1C ZINC000267603740 300106024 /nfs/dbraw/zinc/10/60/24/300106024.db2.gz FTJLAXQGIXEARV-RYUDHWBXSA-N 0 0 290.363 2.987 20 5 CFBDRN Cc1c([C@H]2CCCN2c2ccc([N+](=O)[O-])cc2)cnn1C ZINC000301903823 301007714 /nfs/dbraw/zinc/00/77/14/301007714.db2.gz XRCUCWFXVSVKTL-OAHLLOKOSA-N 0 0 286.335 2.978 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCC[C@H]2C[C@@H](C)O)n1 ZINC000447815575 301203188 /nfs/dbraw/zinc/20/31/88/301203188.db2.gz GMPJNPPMLCIRHI-OLZOCXBDSA-N 0 0 293.367 2.736 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCSC[C@@H](C)C2)n1 ZINC000408360256 301204884 /nfs/dbraw/zinc/20/48/84/301204884.db2.gz SPEUKIAEVCHGJV-VIFPVBQESA-N 0 0 281.381 2.796 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCO[C@@H](C)C2)c1 ZINC000176496615 301851477 /nfs/dbraw/zinc/85/14/77/301851477.db2.gz WCVJGQZTQMGYJW-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC(C)=C[C@H](C)C1 ZINC000334919624 302037272 /nfs/dbraw/zinc/03/72/72/302037272.db2.gz LTYCYEZPDDZLKO-JTQLQIEISA-N 0 0 274.320 2.941 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](C)[C@H]1C ZINC000194801070 302038316 /nfs/dbraw/zinc/03/83/16/302038316.db2.gz DTRJELZJYDGDBR-MWLCHTKSSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCS[C@H](C)CC1 ZINC000192683204 302038343 /nfs/dbraw/zinc/03/83/43/302038343.db2.gz KADQOBWSTHVOGL-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H]2CCC[C@@H]2C1 ZINC000194830729 302039171 /nfs/dbraw/zinc/03/91/71/302039171.db2.gz MFTPDMJHOFTMMU-VXGBXAGGSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cnc(N2C[C@@H](O)C[C@@H]2c2ccccc2)c([N+](=O)[O-])c1 ZINC000413503550 302353637 /nfs/dbraw/zinc/35/36/37/302353637.db2.gz PDKPZJYJTXGREU-UONOGXRCSA-N 0 0 299.330 2.611 20 5 CFBDRN Cc1cnc(N2C[C@H](O)C[C@@H]2c2ccccc2)c([N+](=O)[O-])c1 ZINC000413503551 302354545 /nfs/dbraw/zinc/35/45/45/302354545.db2.gz PDKPZJYJTXGREU-ZIAGYGMSSA-N 0 0 299.330 2.611 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCCC12CCOCC2 ZINC000367254072 304800390 /nfs/dbraw/zinc/80/03/90/304800390.db2.gz FPBCIJSRXOPIQJ-UHFFFAOYSA-N 0 0 280.299 2.883 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]2OCCC[C@H]2C1 ZINC000301699257 304800798 /nfs/dbraw/zinc/80/07/98/304800798.db2.gz CSBKZZRPICVVSU-HZMBPMFUSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000342803454 304806022 /nfs/dbraw/zinc/80/60/22/304806022.db2.gz YVCXTWBUWPWRJG-RYUDHWBXSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000439078504 304807773 /nfs/dbraw/zinc/80/77/73/304807773.db2.gz OETVZDBJNBDKLL-STQMWFEESA-N 0 0 276.336 2.848 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCCO[C@@H]2CCC[C@@H]21 ZINC000375815337 304807795 /nfs/dbraw/zinc/80/77/95/304807795.db2.gz PDKAKCIMQBXOPB-UONOGXRCSA-N 0 0 262.309 2.743 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421477290 533702697 /nfs/dbraw/zinc/70/26/97/533702697.db2.gz OMFWLNIWVZFRGE-SNVBAGLBSA-N 0 0 282.365 2.693 20 5 CFBDRN CC(C)(C)c1nc(CSc2ccc([N+](=O)[O-])cn2)no1 ZINC000159676077 322326377 /nfs/dbraw/zinc/32/63/77/322326377.db2.gz QUYFPASBQOXSAZ-UHFFFAOYSA-N 0 0 294.336 2.963 20 5 CFBDRN CCc1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cn2)n1 ZINC000159759094 322327566 /nfs/dbraw/zinc/32/75/66/322327566.db2.gz YICOLAVAVJUOGM-SSDOTTSWSA-N 0 0 280.309 2.789 20 5 CFBDRN CCc1nnc([C@@H](C)Sc2ccc([N+](=O)[O-])cn2)o1 ZINC000160146697 322333824 /nfs/dbraw/zinc/33/38/24/322333824.db2.gz CBXMYRWHCFLTDW-SSDOTTSWSA-N 0 0 280.309 2.789 20 5 CFBDRN Cc1cc(=O)[nH]c([C@@H](C)Sc2ccc([N+](=O)[O-])cn2)n1 ZINC000160347393 322336748 /nfs/dbraw/zinc/33/67/48/322336748.db2.gz JFXAWPNHNZYOEK-MRVPVSSYSA-N 0 0 292.320 2.647 20 5 CFBDRN CCCNC(=O)c1cc([N+](=O)[O-])ccc1SCC ZINC000160944430 322345229 /nfs/dbraw/zinc/34/52/29/322345229.db2.gz PFCYJZVNUJZJSG-UHFFFAOYSA-N 0 0 268.338 2.847 20 5 CFBDRN Cn1nccc1COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000161264857 322349785 /nfs/dbraw/zinc/34/97/85/322349785.db2.gz JREOCZMYFMIXPI-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN CCC[C@H](C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161375543 322350757 /nfs/dbraw/zinc/35/07/57/322350757.db2.gz CDXYJXKECRLQOY-NSHDSACASA-N 0 0 276.336 2.916 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2[C@H](C)CC[C@@H]2C)c1 ZINC000161574227 322353973 /nfs/dbraw/zinc/35/39/73/322353973.db2.gz WNTWQUDPGADEIY-AOOOYVTPSA-N 0 0 278.308 2.616 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@H](C)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000161949001 322358981 /nfs/dbraw/zinc/35/89/81/322358981.db2.gz YNWLCYROZATZIE-QWRGUYRKSA-N 0 0 291.351 2.755 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@@H](C)[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000161948859 322359082 /nfs/dbraw/zinc/35/90/82/322359082.db2.gz YNWLCYROZATZIE-MNOVXSKESA-N 0 0 291.351 2.755 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1[C@H](C)CC[C@H]1C ZINC000162001847 322359555 /nfs/dbraw/zinc/35/95/55/322359555.db2.gz NRFCNLDIGRFUIU-GHMZBOCLSA-N 0 0 262.309 2.916 20 5 CFBDRN Cc1cc(C(=O)N2[C@@H](C)CC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000162394890 322364332 /nfs/dbraw/zinc/36/43/32/322364332.db2.gz AGUCDTHIQGGQFO-QWRGUYRKSA-N 0 0 262.309 2.916 20 5 CFBDRN C[C@@H]1CN(Cc2c(Cl)cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000163268831 322374849 /nfs/dbraw/zinc/37/48/49/322374849.db2.gz VANJVNGKEHEHGL-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN CN(C(=O)c1ccc2nccn2c1)c1ccc([N+](=O)[O-])cc1 ZINC000170862762 322394850 /nfs/dbraw/zinc/39/48/50/322394850.db2.gz KVTSWUWZHTVNNT-UHFFFAOYSA-N 0 0 296.286 2.519 20 5 CFBDRN COC(=O)c1coc(COc2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000171411153 322405528 /nfs/dbraw/zinc/40/55/28/322405528.db2.gz ACQRCZYCVDGNKX-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COc1cccc(COc2cc([N+](=O)[O-])ccc2C)n1 ZINC000172319688 322423003 /nfs/dbraw/zinc/42/30/03/322423003.db2.gz KWAOULUYQRWKNT-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CCOC(=O)c1ccnc(N(CC)CC(C)C)c1[N+](=O)[O-] ZINC000172364477 322423964 /nfs/dbraw/zinc/42/39/64/322423964.db2.gz LSAIREAVQBZRJO-UHFFFAOYSA-N 0 0 295.339 2.649 20 5 CFBDRN C[C@H]1C[C@H](Nc2nccc3ccc([N+](=O)[O-])cc32)CO1 ZINC000569600775 322484697 /nfs/dbraw/zinc/48/46/97/322484697.db2.gz ZEGUVQHQHVZEFY-ONGXEEELSA-N 0 0 273.292 2.732 20 5 CFBDRN CCC1CN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000569682315 322489401 /nfs/dbraw/zinc/48/94/01/322489401.db2.gz QPMVGUYEXODKIY-JTQLQIEISA-N 0 0 262.309 2.567 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2CO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000569806664 322497620 /nfs/dbraw/zinc/49/76/20/322497620.db2.gz QBPPIYLTIQVTOE-ONGXEEELSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1nnsc1COc1ccc([N+](=O)[O-])cc1Cl ZINC000569909699 322503725 /nfs/dbraw/zinc/50/37/25/322503725.db2.gz CDJSQHIXZAHZCO-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CC1CCC(N(C(=O)c2c[nH]nc2[N+](=O)[O-])C2CC2)CC1 ZINC000570381390 322529522 /nfs/dbraw/zinc/52/95/22/322529522.db2.gz YHBAEWIWXROKNZ-UHFFFAOYSA-N 0 0 292.339 2.501 20 5 CFBDRN CO[C@@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C12CCC2 ZINC000570898158 322557589 /nfs/dbraw/zinc/55/75/89/322557589.db2.gz NXUFABDWAUNRRL-QWHCGFSZSA-N 0 0 291.351 2.692 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC2(CCC2)[C@H]2COC[C@@H]21 ZINC000571144932 322571291 /nfs/dbraw/zinc/57/12/91/322571291.db2.gz ANBCOGAIXZIVIK-GWCFXTLKSA-N 0 0 292.310 2.739 20 5 CFBDRN O=c1oc(-c2ccccc2[N+](=O)[O-])nn1CCCCCF ZINC000571164654 322572776 /nfs/dbraw/zinc/57/27/76/322572776.db2.gz SPTSVPYZNUANCN-UHFFFAOYSA-N 0 0 295.270 2.551 20 5 CFBDRN C[C@H](NC(=O)C1(CF)CCC1)c1ccccc1[N+](=O)[O-] ZINC000572525046 322622130 /nfs/dbraw/zinc/62/21/30/322622130.db2.gz BEHXZNCXVQCQTD-JTQLQIEISA-N 0 0 280.299 2.912 20 5 CFBDRN CCc1ccnc(-c2noc(-c3ccc([N+](=O)[O-])cn3)n2)c1 ZINC000573301084 322651191 /nfs/dbraw/zinc/65/11/91/322651191.db2.gz SFOKKLQZFOKCJI-UHFFFAOYSA-N 0 0 297.274 2.664 20 5 CFBDRN CC[C@@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(F)F ZINC000573556194 322660564 /nfs/dbraw/zinc/66/05/64/322660564.db2.gz PZJOZDXEHMYIQW-LDYMZIIASA-N 0 0 286.278 2.858 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCC(F)(F)F ZINC000574415144 322692264 /nfs/dbraw/zinc/69/22/64/322692264.db2.gz TUAJDBGOYMICMH-UHFFFAOYSA-N 0 0 264.203 2.968 20 5 CFBDRN CC1(NC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000574618483 322699368 /nfs/dbraw/zinc/69/93/68/322699368.db2.gz LVEJPEMIQWMEKX-QWHCGFSZSA-N 0 0 274.320 2.757 20 5 CFBDRN CC(C)c1noc(OCc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000574703241 322702976 /nfs/dbraw/zinc/70/29/76/322702976.db2.gz AZEPDQPKHUNCNU-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)cn1 ZINC000575005078 322714740 /nfs/dbraw/zinc/71/47/40/322714740.db2.gz AEPNQABLGKEYSZ-BNOWGMLFSA-N 0 0 289.335 2.749 20 5 CFBDRN O=C(Nc1cc(F)ccc1O)c1ccc([N+](=O)[O-])cc1 ZINC000174875216 323655435 /nfs/dbraw/zinc/65/54/35/323655435.db2.gz XAGFCKJAUCYBLB-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN CC(C)C1(CNC(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000175600768 323665304 /nfs/dbraw/zinc/66/53/04/323665304.db2.gz LIHOGCHZOUPNGB-UHFFFAOYSA-N 0 0 291.351 2.830 20 5 CFBDRN O=C(CCC1CCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000176226780 323674330 /nfs/dbraw/zinc/67/43/30/323674330.db2.gz VFQNHEOWQZUBLX-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN COC[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000177573580 323699035 /nfs/dbraw/zinc/69/90/35/323699035.db2.gz HYZIAOTVINZGFL-CYBMUJFWSA-N 0 0 276.292 2.665 20 5 CFBDRN C[C@H]1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000178611056 323721603 /nfs/dbraw/zinc/72/16/03/323721603.db2.gz YMCOWSWKQKMOMN-QWRGUYRKSA-N 0 0 277.324 2.535 20 5 CFBDRN CS[C@H](C)CC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000179247889 323738308 /nfs/dbraw/zinc/73/83/08/323738308.db2.gz MCXUQLXPXAWSTD-ZJUUUORDSA-N 0 0 282.365 2.914 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCc2c1cccc2F ZINC000183748604 323927430 /nfs/dbraw/zinc/92/74/30/323927430.db2.gz LQOYJNFDWNCSNA-UHFFFAOYSA-N 0 0 276.223 2.530 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000184204453 323938272 /nfs/dbraw/zinc/93/82/72/323938272.db2.gz LIHFJDCWIMBGCL-STQMWFEESA-N 0 0 262.309 2.503 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1ccc(Cl)c(F)c1 ZINC000189841305 324034894 /nfs/dbraw/zinc/03/48/94/324034894.db2.gz XLFCDMIFIPWOQI-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN Cc1ccnc(NC(=O)c2c(C)cccc2[N+](=O)[O-])c1 ZINC000192714213 324063100 /nfs/dbraw/zinc/06/31/00/324063100.db2.gz HYVZLKBAXMNIGH-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cccc2c1N(C(=O)c1ccc([N+](=O)[O-])o1)CC2 ZINC000193327040 324070636 /nfs/dbraw/zinc/07/06/36/324070636.db2.gz KZLJPBKNXKXGAQ-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN CCNC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000193591673 324073814 /nfs/dbraw/zinc/07/38/14/324073814.db2.gz MXKDQQZSKSWWAZ-UHFFFAOYSA-N 0 0 277.202 2.755 20 5 CFBDRN Cc1cc(C(=O)NCc2cccc([N+](=O)[O-])c2)c(C)o1 ZINC000194948096 324098422 /nfs/dbraw/zinc/09/84/22/324098422.db2.gz ROQRRDOVMVCABJ-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN CC/C=C\CCn1c(=O)onc1-c1ccc([N+](=O)[O-])cc1 ZINC000341619894 324124303 /nfs/dbraw/zinc/12/43/03/324124303.db2.gz SUNXXGZIWBRYOJ-ARJAWSKDSA-N 0 0 289.291 2.768 20 5 CFBDRN CC(C)c1noc(-c2cc([N+](=O)[O-])cc3c2OCCO3)n1 ZINC000349744603 324185071 /nfs/dbraw/zinc/18/50/71/324185071.db2.gz FXLSMSKVLQOMAT-UHFFFAOYSA-N 0 0 291.263 2.539 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(-c2ccc(F)cc2)no1 ZINC000349859875 324189735 /nfs/dbraw/zinc/18/97/35/324189735.db2.gz MTOGKPIYRPHNBN-UHFFFAOYSA-N 0 0 288.238 2.789 20 5 CFBDRN C[C@H]1COCC[C@@H]1c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000350592950 324240488 /nfs/dbraw/zinc/24/04/88/324240488.db2.gz INMIKYRRPGEHLD-UWVGGRQHSA-N 0 0 289.291 2.785 20 5 CFBDRN C[C@H]1COCC[C@@H]1c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000350601750 324242238 /nfs/dbraw/zinc/24/22/38/324242238.db2.gz SKNGPMNELSTMFI-CABZTGNLSA-N 0 0 289.291 2.785 20 5 CFBDRN Cc1cc(-c2nc([C@@H]3CCCOC3)no2)cc([N+](=O)[O-])c1 ZINC000350858604 324270907 /nfs/dbraw/zinc/27/09/07/324270907.db2.gz ZZYVJKFVPFINSJ-SNVBAGLBSA-N 0 0 289.291 2.847 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(-c2nc(-c3cocn3)no2)c1 ZINC000350886801 324278555 /nfs/dbraw/zinc/27/85/55/324278555.db2.gz PLHOFAAHQMQTJS-UHFFFAOYSA-N 0 0 292.638 2.953 20 5 CFBDRN C[C@@H]1CC/C(=C/c2nc(-c3c([N+](=O)[O-])ncn3C)no2)C1 ZINC000350903172 324279416 /nfs/dbraw/zinc/27/94/16/324279416.db2.gz QPUNCBRYCMQKRI-WSQKDGNHSA-N 0 0 289.295 2.582 20 5 CFBDRN C[C@H]1CCC[C@H](c2nc(-c3c([N+](=O)[O-])ncn3C)no2)C1 ZINC000350900310 324279453 /nfs/dbraw/zinc/27/94/53/324279453.db2.gz LCJDWRHICJUMBQ-IUCAKERBSA-N 0 0 291.311 2.672 20 5 CFBDRN CC[C@H](Cc1nc(-c2c([N+](=O)[O-])ncn2C)no1)C(C)C ZINC000350905630 324280028 /nfs/dbraw/zinc/28/00/28/324280028.db2.gz WFQLFAZGTWLHOJ-SECBINFHSA-N 0 0 293.327 2.603 20 5 CFBDRN CCC(C)(C)Cc1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350926770 324284018 /nfs/dbraw/zinc/28/40/18/324284018.db2.gz OAXXSRGTYBNACK-UHFFFAOYSA-N 0 0 293.327 2.665 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(/C=C/C3CCC3)n2)n1C ZINC000350929647 324284790 /nfs/dbraw/zinc/28/47/90/324284790.db2.gz SSPOHNMVGUATPE-VOTSOKGWSA-N 0 0 289.295 2.500 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@H]2CC2(F)F)n1 ZINC000351061351 324301921 /nfs/dbraw/zinc/30/19/21/324301921.db2.gz GMWIEPOJNNWEPF-MRVPVSSYSA-N 0 0 281.218 2.691 20 5 CFBDRN Cc1cc(-c2noc([C@@H]3CO[C@H](C)C3)n2)cc([N+](=O)[O-])c1 ZINC000351140605 324324144 /nfs/dbraw/zinc/32/41/44/324324144.db2.gz BNFYZIKQNILXEV-KOLCDFICSA-N 0 0 289.291 2.846 20 5 CFBDRN COC[C@H](C)[C@H](C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351143307 324325954 /nfs/dbraw/zinc/32/59/54/324325954.db2.gz GDJUKOYAEVQVIW-YUMQZZPRSA-N 0 0 281.268 2.624 20 5 CFBDRN CS[C@@H](C)CCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351143227 324326043 /nfs/dbraw/zinc/32/60/43/324326043.db2.gz FSPXUTJUCKQJNP-ZETCQYMHSA-N 0 0 283.309 2.922 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351145355 324327057 /nfs/dbraw/zinc/32/70/57/324327057.db2.gz JXFWPXQKGCNQLV-IONNQARKSA-N 0 0 291.263 2.711 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3conc3C3CC3)n2)o1 ZINC000351146085 324327419 /nfs/dbraw/zinc/32/74/19/324327419.db2.gz LQXAJSGMZQDSIX-UHFFFAOYSA-N 0 0 288.219 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3C[C@H]3C3CC3)n2)o1 ZINC000351147311 324327493 /nfs/dbraw/zinc/32/74/93/324327493.db2.gz OBILWPJJAHLVRH-YUMQZZPRSA-N 0 0 261.237 2.751 20 5 CFBDRN COC1(c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)CCCCC1 ZINC000351151397 324329735 /nfs/dbraw/zinc/32/97/35/324329735.db2.gz YZINDHLMCUEGET-UHFFFAOYSA-N 0 0 292.295 2.779 20 5 CFBDRN CCc1[nH]nc(-c2nc(CC3CCCC3)no2)c1[N+](=O)[O-] ZINC000351275450 324367901 /nfs/dbraw/zinc/36/79/01/324367901.db2.gz OVCBHIXQMLLQDZ-UHFFFAOYSA-N 0 0 291.311 2.663 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)C[C@@H]1F ZINC000351334144 324386785 /nfs/dbraw/zinc/38/67/85/324386785.db2.gz WZROCKPQNXTGTN-WKEGUHRASA-N 0 0 269.257 2.706 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCCc3cn[nH]c3)ccnc21 ZINC000379776043 324405118 /nfs/dbraw/zinc/40/51/18/324405118.db2.gz UMBXGQMNOWUPNW-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2C[C@H]2CC(C)C)c([N+](=O)[O-])c1 ZINC000385188543 324457668 /nfs/dbraw/zinc/45/76/68/324457668.db2.gz MVODMVTZVCTGIA-DGCLKSJQSA-N 0 0 291.351 2.801 20 5 CFBDRN Cc1ccc(N[C@@H](CO)C2CCCC2)c([N+](=O)[O-])c1 ZINC000385972745 324472036 /nfs/dbraw/zinc/47/20/36/324472036.db2.gz HAGUIMSGBBICBG-ZDUSSCGKSA-N 0 0 264.325 2.866 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@H](C)O ZINC000386925183 324483699 /nfs/dbraw/zinc/48/36/99/324483699.db2.gz SCSUUPHYEJGOLZ-CABZTGNLSA-N 0 0 275.308 2.714 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000388354321 324505630 /nfs/dbraw/zinc/50/56/30/324505630.db2.gz PQZOGQHAZSTAQY-NOZJJQNGSA-N 0 0 250.298 2.820 20 5 CFBDRN C[C@H](NCc1csc([N+](=O)[O-])c1)C(C)(F)F ZINC000389466932 324518699 /nfs/dbraw/zinc/51/86/99/324518699.db2.gz KBMPXFVZDZDNPV-LURJTMIESA-N 0 0 250.270 2.790 20 5 CFBDRN Cc1nnc(CNc2ccc(C)cc2[N+](=O)[O-])s1 ZINC000391953363 324527758 /nfs/dbraw/zinc/52/77/58/324527758.db2.gz JJRDKMLAXCWIJK-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H](CO)C1CCCC1 ZINC000395426626 324541661 /nfs/dbraw/zinc/54/16/61/324541661.db2.gz OMUHBEZMTGJLJF-LBPRGKRZSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1cc(N2C[C@@H]3CC[C@@H](O)C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000398843349 324552375 /nfs/dbraw/zinc/55/23/75/324552375.db2.gz POWBHSFMOPUWGO-OUCADQQQSA-N 0 0 276.336 2.500 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000398916767 324552447 /nfs/dbraw/zinc/55/24/47/324552447.db2.gz POZKFUCNCOOVCG-AXFHLTTASA-N 0 0 296.754 2.845 20 5 CFBDRN Cc1cccc(N2C[C@@H]3CC[C@@H](O)C[C@@H]3C2)c1[N+](=O)[O-] ZINC000398921327 324552498 /nfs/dbraw/zinc/55/24/98/324552498.db2.gz QPJJTGCTMCEWNF-YNEHKIRRSA-N 0 0 276.336 2.500 20 5 CFBDRN COC(=O)c1cc(N2CCC=C(C)C2)cc(C)c1[N+](=O)[O-] ZINC000575941824 324558426 /nfs/dbraw/zinc/55/84/26/324558426.db2.gz XGFPDRQRKWHQMO-UHFFFAOYSA-N 0 0 290.319 2.846 20 5 CFBDRN C[C@@H](F)CCNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000576373031 324609775 /nfs/dbraw/zinc/60/97/75/324609775.db2.gz GRRUBQPCMOLZAU-NXEZZACHSA-N 0 0 268.288 2.563 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000577067197 324693086 /nfs/dbraw/zinc/69/30/86/324693086.db2.gz CSHHDPIUPRPVJF-PWSUYJOCSA-N 0 0 282.340 2.812 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000577259441 324716013 /nfs/dbraw/zinc/71/60/13/324716013.db2.gz AVDKYIDQNLIHRB-GXTWGEPZSA-N 0 0 273.292 2.732 20 5 CFBDRN CC1(C)CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000577318644 324722492 /nfs/dbraw/zinc/72/24/92/324722492.db2.gz PLVMFOKKMCIBEA-SNVBAGLBSA-N 0 0 277.324 2.546 20 5 CFBDRN Cc1ccc(NC(=O)NCC(C)(F)F)cc1[N+](=O)[O-] ZINC000577819557 324783286 /nfs/dbraw/zinc/78/32/86/324783286.db2.gz WVWWOJOKVNBKAO-UHFFFAOYSA-N 0 0 273.239 2.680 20 5 CFBDRN C[C@@H]1CN(C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000577874591 324791169 /nfs/dbraw/zinc/79/11/69/324791169.db2.gz NVVVFVIRUQJJBY-VXGBXAGGSA-N 0 0 290.363 2.987 20 5 CFBDRN CCOC(=O)c1ccnc(N2CCCC2(C)C)c1[N+](=O)[O-] ZINC000577913226 324795186 /nfs/dbraw/zinc/79/51/86/324795186.db2.gz XOMJXSIIROZWHM-UHFFFAOYSA-N 0 0 293.323 2.545 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000578109840 324816669 /nfs/dbraw/zinc/81/66/69/324816669.db2.gz VUZIFMDKDOVQSX-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H](C2CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000578293056 324835324 /nfs/dbraw/zinc/83/53/24/324835324.db2.gz XBSJOWMWMNCMBY-FZMZJTMJSA-N 0 0 288.347 2.957 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](C)n1ccnc1 ZINC000579958122 324994166 /nfs/dbraw/zinc/99/41/66/324994166.db2.gz RVFFXKTXUWSIGM-NSHDSACASA-N 0 0 288.307 2.690 20 5 CFBDRN CC(F)(F)CCn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000580074884 325004009 /nfs/dbraw/zinc/00/40/09/325004009.db2.gz LURBAUXQGJJUJK-UHFFFAOYSA-N 0 0 282.246 2.955 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C1CCSCC1 ZINC000580079008 325005222 /nfs/dbraw/zinc/00/52/22/325005222.db2.gz QYFJMRMOZUHNFI-UHFFFAOYSA-N 0 0 295.364 2.718 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC1(CF)CCC1 ZINC000580314984 325025273 /nfs/dbraw/zinc/02/52/73/325025273.db2.gz PRLQMBILBMLKFK-UHFFFAOYSA-N 0 0 280.299 2.536 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCS[C@@H]3CCCC[C@@H]32)cn1 ZINC000580522157 325042208 /nfs/dbraw/zinc/04/22/08/325042208.db2.gz QNLBEYDUAYHJAA-NWDGAFQWSA-N 0 0 279.365 2.854 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCOC[C@H]1C ZINC000580580439 325048389 /nfs/dbraw/zinc/04/83/89/325048389.db2.gz BJNHQLKMMYAHPH-MNOVXSKESA-N 0 0 280.324 2.688 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(CC[C@H]2CCOC2)c1 ZINC000581022305 325083940 /nfs/dbraw/zinc/08/39/40/325083940.db2.gz RILJITOCHPAUPY-LBPRGKRZSA-N 0 0 287.319 2.885 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])c(NC)n1)[C@@H](C)C(C)C ZINC000581557754 325132417 /nfs/dbraw/zinc/13/24/17/325132417.db2.gz UBVNPPOVGVJSRZ-JTQLQIEISA-N 0 0 294.355 2.538 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC1(CF)CCC1 ZINC000582357478 325197640 /nfs/dbraw/zinc/19/76/40/325197640.db2.gz YDXSQNTWLHNXNR-UHFFFAOYSA-N 0 0 259.668 2.947 20 5 CFBDRN CCc1[nH]nc(N[C@H]2CCc3c2cccc3[N+](=O)[O-])c1C ZINC000582370125 325199664 /nfs/dbraw/zinc/19/96/64/325199664.db2.gz GWSVIRNYDQOYPZ-ZDUSSCGKSA-N 0 0 286.335 2.710 20 5 CFBDRN CN(Cc1cccc(O)c1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000582646169 325222315 /nfs/dbraw/zinc/22/23/15/325222315.db2.gz XSDURNPUNFBGIK-UHFFFAOYSA-N 0 0 298.302 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)NCc1ncccn1 ZINC000584005272 325324574 /nfs/dbraw/zinc/32/45/74/325324574.db2.gz NHHRNILHPJIQCG-NSHDSACASA-N 0 0 272.308 2.544 20 5 CFBDRN CCCCNc1ccc([N+](=O)[O-])c(N2CCOCC2)c1F ZINC000584019076 325325271 /nfs/dbraw/zinc/32/52/71/325325271.db2.gz MQABOZZPLMYACE-UHFFFAOYSA-N 0 0 297.330 2.783 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2)C12CCC2 ZINC000308338419 333027684 /nfs/dbraw/zinc/02/76/84/333027684.db2.gz FRZIJNLZGQHLGI-QWHCGFSZSA-N 0 0 262.309 2.964 20 5 CFBDRN O=c1[nH]c([C@@H]2CCOC2)nc2cc3ccccc3cc21 ZINC000157466487 334772180 /nfs/dbraw/zinc/77/21/80/334772180.db2.gz ZHYNXMDWRGYVRU-GFCCVEGCSA-N 0 0 266.300 2.580 20 5 CFBDRN CC(C)OCCCCNc1ncccc1[N+](=O)[O-] ZINC000181568295 519761374 /nfs/dbraw/zinc/76/13/74/519761374.db2.gz IKWDODAMTGHCEV-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN CCCCN(C)C(=O)CSc1nccc(C)c1[N+](=O)[O-] ZINC000160692629 520378963 /nfs/dbraw/zinc/37/89/63/520378963.db2.gz PPUYHSNBCHSQGT-UHFFFAOYSA-N 0 0 297.380 2.649 20 5 CFBDRN CCSCC[C@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173314208 521715465 /nfs/dbraw/zinc/71/54/65/521715465.db2.gz QARLZXLNLFSXDV-NSHDSACASA-N 0 0 296.392 2.785 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000426394377 533860093 /nfs/dbraw/zinc/86/00/93/533860093.db2.gz JFBZGQVDWFTGBM-IMRBUKKESA-N 0 0 289.335 2.501 20 5 CFBDRN Cc1cc(N2C[C@@H](O)C[C@@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000413501801 533917497 /nfs/dbraw/zinc/91/74/97/533917497.db2.gz MBRZYOLIQGGKIT-UONOGXRCSA-N 0 0 299.330 2.611 20 5 CFBDRN C[C@@H](Sc1ncc(CO)n1C)c1ccccc1[N+](=O)[O-] ZINC000426561390 534022782 /nfs/dbraw/zinc/02/27/82/534022782.db2.gz VQQJVYZKZIBNNL-SECBINFHSA-N 0 0 293.348 2.674 20 5 CFBDRN CS[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000130113283 534031837 /nfs/dbraw/zinc/03/18/37/534031837.db2.gz AFNOIZIMQYWHKQ-MRVPVSSYSA-N 0 0 254.311 2.675 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000424986259 534187060 /nfs/dbraw/zinc/18/70/60/534187060.db2.gz MYQYRMFPBALNBH-LLVKDONJSA-N 0 0 278.333 2.599 20 5 CFBDRN COc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1SC ZINC000413396351 534191681 /nfs/dbraw/zinc/19/16/81/534191681.db2.gz OREYMKXBUAOMMH-UHFFFAOYSA-N 0 0 294.336 2.802 20 5 CFBDRN CC[C@H](O)CCCNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000357494443 535966673 /nfs/dbraw/zinc/96/66/73/535966673.db2.gz YEOYTNXIENPGDD-VIFPVBQESA-N 0 0 286.303 2.706 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2CC(C)C2)c1 ZINC000167338049 534244503 /nfs/dbraw/zinc/24/45/03/534244503.db2.gz MCADOULAPBZWQZ-UHFFFAOYSA-N 0 0 264.281 2.592 20 5 CFBDRN COC(=O)[C@@H](CC1CC1)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000413491608 534251961 /nfs/dbraw/zinc/25/19/61/534251961.db2.gz HTPDMMWKQPSGHJ-GFCCVEGCSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2c2ccco2)c1 ZINC000412931957 534318859 /nfs/dbraw/zinc/31/88/59/534318859.db2.gz RJRAAASMPGTTEJ-ZYHUDNBSSA-N 0 0 286.287 2.782 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nnc(C3CC3)s2)n1 ZINC000413581958 534327404 /nfs/dbraw/zinc/32/74/04/534327404.db2.gz NJBCVIQBFZWNFA-UHFFFAOYSA-N 0 0 291.336 2.639 20 5 CFBDRN O=C(C1=CCCC1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161922997 518198175 /nfs/dbraw/zinc/19/81/75/518198175.db2.gz ZUKMWNBFUXWHPP-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN Cn1nncc1/C=C\c1nc2cc([N+](=O)[O-])ccc2s1 ZINC000430989104 534355148 /nfs/dbraw/zinc/35/51/48/534355148.db2.gz LKMASHGPHOJXSN-HYXAFXHYSA-N 0 0 287.304 2.503 20 5 CFBDRN CC(C)(C(=O)N1CC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000334835791 518516927 /nfs/dbraw/zinc/51/69/27/518516927.db2.gz ANLKRTDLHNQTAO-VIFPVBQESA-N 0 0 298.289 2.582 20 5 CFBDRN CC(C)(C(=O)N1CCc2c1cccc2[N+](=O)[O-])C(F)F ZINC000336055959 518519804 /nfs/dbraw/zinc/51/98/04/518519804.db2.gz GZDSLIMHXWZWKJ-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN CC(C)(C)C1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335473907 518610674 /nfs/dbraw/zinc/61/06/74/518610674.db2.gz CONWTBCCTLWNMT-UHFFFAOYSA-N 0 0 280.299 2.852 20 5 CFBDRN CC(C)(C)OC(=O)NCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000065200888 518831502 /nfs/dbraw/zinc/83/15/02/518831502.db2.gz WVMKGYDMVOPNBB-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)C(C)(C)O)cc1[N+](=O)[O-] ZINC000356729169 519033764 /nfs/dbraw/zinc/03/37/64/519033764.db2.gz GAGGKQRBRGNKBD-UHFFFAOYSA-N 0 0 280.324 2.602 20 5 CFBDRN CC(C)(C)n1ncnc1CSc1ccc([N+](=O)[O-])cn1 ZINC000337753710 519181009 /nfs/dbraw/zinc/18/10/09/519181009.db2.gz KPXNYCHGPYCDHN-UHFFFAOYSA-N 0 0 293.352 2.629 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000337842730 519314088 /nfs/dbraw/zinc/31/40/88/519314088.db2.gz GTFGKGAMTMRIHA-QWRGUYRKSA-N 0 0 298.289 2.847 20 5 CFBDRN CC(C)N(C)C(=O)[C@@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337916212 519599646 /nfs/dbraw/zinc/59/96/46/519599646.db2.gz QIMKNNDWHYOPBC-SNVBAGLBSA-N 0 0 282.365 2.942 20 5 CFBDRN CC(C)OCCCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000182221813 519758039 /nfs/dbraw/zinc/75/80/39/519758039.db2.gz OUEAFALEDQJONM-GFCCVEGCSA-N 0 0 294.351 2.977 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000314392254 519808378 /nfs/dbraw/zinc/80/83/78/519808378.db2.gz SEVPZVVBMGFINH-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000313866724 519808414 /nfs/dbraw/zinc/80/84/14/519808414.db2.gz KOVMUNYCUCPJDH-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN CCC1CCC(NC(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000157310355 519856373 /nfs/dbraw/zinc/85/63/73/519856373.db2.gz BFPPFGAXMZNSMO-UHFFFAOYSA-N 0 0 291.351 2.876 20 5 CFBDRN CCCC(=O)Nc1cc(C)nn1-c1ccc([N+](=O)[O-])cc1 ZINC000068120618 519877046 /nfs/dbraw/zinc/87/70/46/519877046.db2.gz FATUVKZBMKYHHR-UHFFFAOYSA-N 0 0 288.307 2.828 20 5 CFBDRN CCCCCCNC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000067015334 520085185 /nfs/dbraw/zinc/08/51/85/520085185.db2.gz DQWXTXIBYXYFEH-UHFFFAOYSA-N 0 0 298.314 2.809 20 5 CFBDRN O=C(Nc1ncccc1Cl)c1ccccc1[N+](=O)[O-] ZINC000179670104 534473769 /nfs/dbraw/zinc/47/37/69/534473769.db2.gz DAIPIJQUYPEKSM-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CCCCCNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000049375470 520222457 /nfs/dbraw/zinc/22/24/57/520222457.db2.gz WGUMPPHDOKWURV-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN CCO[C@@H](C)c1noc(CNc2cccc([N+](=O)[O-])c2)n1 ZINC000076699925 520283279 /nfs/dbraw/zinc/28/32/79/520283279.db2.gz WFQRDKSYCGYWCP-VIFPVBQESA-N 0 0 292.295 2.687 20 5 CFBDRN CCNc1ccc(C(=O)Nc2ccccn2)cc1[N+](=O)[O-] ZINC000048106478 520295631 /nfs/dbraw/zinc/29/56/31/520295631.db2.gz DJQUZCWPGOWMSW-UHFFFAOYSA-N 0 0 286.291 2.674 20 5 CFBDRN CC1(C)CCC[C@@H]1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000360857982 520346146 /nfs/dbraw/zinc/34/61/46/520346146.db2.gz IXOHTVNYXHWZJO-SNVBAGLBSA-N 0 0 291.351 2.733 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000219045968 520406742 /nfs/dbraw/zinc/40/67/42/520406742.db2.gz KXMTUABTQCZVAH-VIFPVBQESA-N 0 0 295.314 2.625 20 5 CFBDRN CCN(CC(C)(C)O)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000214805282 520406906 /nfs/dbraw/zinc/40/69/06/520406906.db2.gz UVZSJGFOPXEYGH-UHFFFAOYSA-N 0 0 289.335 2.740 20 5 CFBDRN NC(=O)c1cccc(N[C@@H](C2CC2)C2CCC2)c1[N+](=O)[O-] ZINC000413356724 534498026 /nfs/dbraw/zinc/49/80/26/534498026.db2.gz IYILVVVITWOQNT-CYBMUJFWSA-N 0 0 289.335 2.684 20 5 CFBDRN CC1(C)C[C@@H]1CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000226234648 520537113 /nfs/dbraw/zinc/53/71/13/520537113.db2.gz OHARHBTYOZGRRJ-SNVBAGLBSA-N 0 0 289.335 2.938 20 5 CFBDRN CC1(C)C[C@@H]1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000226235168 520537524 /nfs/dbraw/zinc/53/75/24/520537524.db2.gz UCVAEIXUIIEBKY-SSDOTTSWSA-N 0 0 266.326 2.762 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000150895515 520567511 /nfs/dbraw/zinc/56/75/11/520567511.db2.gz RKHLPHUPRVIGKH-STQMWFEESA-N 0 0 293.367 2.938 20 5 CFBDRN CCC[C@@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360539823 520612847 /nfs/dbraw/zinc/61/28/47/520612847.db2.gz JNPPUTWGCIRSRE-SNVBAGLBSA-N 0 0 264.325 2.956 20 5 CFBDRN CCOc1cc(NCC[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000230102742 520761008 /nfs/dbraw/zinc/76/10/08/520761008.db2.gz SXUSPWFMJOIPBR-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN CCOc1cc(NC[C@@]2(O)CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000327971012 520761750 /nfs/dbraw/zinc/76/17/50/520761750.db2.gz GDTHUZKQQFVOKW-ABAIWWIYSA-N 0 0 294.351 2.957 20 5 CFBDRN CC1(NC(=O)c2cc([N+](=O)[O-])ccc2N)CCCCC1 ZINC000050708583 520762955 /nfs/dbraw/zinc/76/29/55/520762955.db2.gz PXPVAJBPKBAZDI-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN CCOc1cc(N[C@H]2CC[C@@H](OC)C2)ccc1[N+](=O)[O-] ZINC000231683368 520766766 /nfs/dbraw/zinc/76/67/66/520766766.db2.gz DGZSWIKHQZEQTG-CMPLNLGQSA-N 0 0 280.324 2.973 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000340778718 520914878 /nfs/dbraw/zinc/91/48/78/520914878.db2.gz PRPBPRZEFCBCQH-QWRGUYRKSA-N 0 0 296.298 2.614 20 5 CFBDRN CCC[C@@](C)(O)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000223245518 520938569 /nfs/dbraw/zinc/93/85/69/520938569.db2.gz AXCRWHNTYMCHAR-CYBMUJFWSA-N 0 0 252.314 2.866 20 5 CFBDRN CCC[C@H]1C[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000158432379 521238008 /nfs/dbraw/zinc/23/80/08/521238008.db2.gz YFQRHIXAMBNHII-GWCFXTLKSA-N 0 0 280.299 2.581 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)CC)c1 ZINC000338409891 521277811 /nfs/dbraw/zinc/27/78/11/521277811.db2.gz LARYLRZFTGXCHT-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN CCCCc1nc(COc2ccccc2[N+](=O)[O-])no1 ZINC000067096098 521307478 /nfs/dbraw/zinc/30/74/78/521307478.db2.gz AMKGBYJOMUSEFS-UHFFFAOYSA-N 0 0 277.280 2.899 20 5 CFBDRN CCOc1cccc(NCC[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000231038528 521398709 /nfs/dbraw/zinc/39/87/09/521398709.db2.gz LYBPOSDTAARTDR-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN CCC(CC)(CO)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000157609427 521455057 /nfs/dbraw/zinc/45/50/57/521455057.db2.gz WSICQZRITBCMMZ-UHFFFAOYSA-N 0 0 287.747 2.854 20 5 CFBDRN CCC(CC)NC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000078595951 521587539 /nfs/dbraw/zinc/58/75/39/521587539.db2.gz SZFOSOBZJRLOPC-SNVBAGLBSA-N 0 0 280.324 2.667 20 5 CFBDRN CCOC1(CNc2ccncc2[N+](=O)[O-])CCCC1 ZINC000312027011 521638341 /nfs/dbraw/zinc/63/83/41/521638341.db2.gz MNTRDKOKENISLX-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN CCCN(CCC)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000055379056 521641921 /nfs/dbraw/zinc/64/19/21/521641921.db2.gz HUVMAGZMYFBPAF-UHFFFAOYSA-N 0 0 279.340 2.899 20 5 CFBDRN CCC1(CC)CC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C1=O ZINC000078383639 521683603 /nfs/dbraw/zinc/68/36/03/521683603.db2.gz PFYABTODSHDOED-UHFFFAOYSA-N 0 0 290.319 2.660 20 5 CFBDRN CCSCC[C@H](C)Nc1ccc([N+](=O)[O-])nc1 ZINC000157714046 521716723 /nfs/dbraw/zinc/71/67/23/521716723.db2.gz HRGHGJOUQGMFQQ-VIFPVBQESA-N 0 0 255.343 2.933 20 5 CFBDRN COc1c(C(=O)N(C)CC(C)(C)C)cccc1[N+](=O)[O-] ZINC000355562459 521780131 /nfs/dbraw/zinc/78/01/31/521780131.db2.gz ZTKUVRUYFKDXJS-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CCc1ccccc1CNc1ncc([N+](=O)[O-])cn1 ZINC000084205158 521845305 /nfs/dbraw/zinc/84/53/05/521845305.db2.gz XRLUNAQWEJHESP-UHFFFAOYSA-N 0 0 258.281 2.559 20 5 CFBDRN COCC[C@@H]1CCCCN(c2ncccc2[N+](=O)[O-])C1 ZINC000364152634 521872874 /nfs/dbraw/zinc/87/28/74/521872874.db2.gz KHDRLLPKLNHFCK-LBPRGKRZSA-N 0 0 279.340 2.633 20 5 CFBDRN CC[C@@H](C)C(=O)COc1c(F)cccc1[N+](=O)[O-] ZINC000124291305 521902199 /nfs/dbraw/zinc/90/21/99/521902199.db2.gz YJSDBHNPKSKUGG-MRVPVSSYSA-N 0 0 255.245 2.728 20 5 CFBDRN COC(=O)c1ccc(OCCC2CC2)c([N+](=O)[O-])c1 ZINC000340666559 522141584 /nfs/dbraw/zinc/14/15/84/522141584.db2.gz GVKZZLIFNKOMII-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@@H]2C)n1 ZINC000230689237 522176178 /nfs/dbraw/zinc/17/61/78/522176178.db2.gz FSJBWJPKWJKHNT-VHSXEESVSA-N 0 0 293.323 2.625 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCSC(C)C)c1 ZINC000312474802 522176418 /nfs/dbraw/zinc/17/64/18/522176418.db2.gz SFKBKGAGDQIJKG-UHFFFAOYSA-N 0 0 298.364 2.935 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000057301253 522289459 /nfs/dbraw/zinc/28/94/59/522289459.db2.gz QAILMHLDOBGFMN-GHMZBOCLSA-N 0 0 264.325 2.688 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341010734 522290885 /nfs/dbraw/zinc/29/08/85/522290885.db2.gz HQGHVAUTTXOQTR-VXGBXAGGSA-N 0 0 293.367 2.871 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000194273198 522293446 /nfs/dbraw/zinc/29/34/46/522293446.db2.gz JUUNWRWWKQATMR-NXEZZACHSA-N 0 0 280.324 2.768 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000150833532 522353684 /nfs/dbraw/zinc/35/36/84/522353684.db2.gz XUDPMQVOEXVCHK-ZJUUUORDSA-N 0 0 282.315 2.827 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000354393875 522395344 /nfs/dbraw/zinc/39/53/44/522395344.db2.gz SPZAGCAJQGKVMP-RISCZKNCSA-N 0 0 294.351 2.614 20 5 CFBDRN CC[C@@H](CCO)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214767825 522512617 /nfs/dbraw/zinc/51/26/17/522512617.db2.gz ACHNEWUZRDSEDI-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN CC[C@@H](CCO)Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000233872335 522525732 /nfs/dbraw/zinc/52/57/32/522525732.db2.gz BRABZBDCCBYFFV-ZETCQYMHSA-N 0 0 293.245 2.582 20 5 CFBDRN CNc1c(C(=O)N2CCC[C@@H](C)CC2)cccc1[N+](=O)[O-] ZINC000161485380 522534830 /nfs/dbraw/zinc/53/48/30/522534830.db2.gz GEJTTXCDUFNIIN-LLVKDONJSA-N 0 0 291.351 2.899 20 5 CFBDRN CNc1c(C(=O)NCCC(C)(C)C)cccc1[N+](=O)[O-] ZINC000163194254 522537243 /nfs/dbraw/zinc/53/72/43/522537243.db2.gz ACLRQRGKYHEQQB-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)[C@@H]1CCCO1 ZINC000151864316 522539064 /nfs/dbraw/zinc/53/90/64/522539064.db2.gz DYRBVVGKLKDLMI-KBPBESRZSA-N 0 0 292.335 2.591 20 5 CFBDRN CNc1c(C(=O)NC[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000161496854 522540311 /nfs/dbraw/zinc/54/03/11/522540311.db2.gz XPVDPIMFISYIOO-JTQLQIEISA-N 0 0 279.340 2.658 20 5 CFBDRN CNc1c(C(=O)N[C@@H](C)C2CCCC2)cccc1[N+](=O)[O-] ZINC000161492024 522540713 /nfs/dbraw/zinc/54/07/13/522540713.db2.gz FLRAWUHRVBFISU-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC1CCC1 ZINC000361220376 522627930 /nfs/dbraw/zinc/62/79/30/522627930.db2.gz JXCOTRLJTHFWFI-LBPRGKRZSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)CF ZINC000360591204 522628082 /nfs/dbraw/zinc/62/80/82/522628082.db2.gz MEFIMWNGEMIZQL-PWSUYJOCSA-N 0 0 282.315 2.638 20 5 CFBDRN COc1cc(N2CCC[C@@H](OC)CC2)ccc1[N+](=O)[O-] ZINC000363672150 522633175 /nfs/dbraw/zinc/63/31/75/522633175.db2.gz MHIGSOYDMKTWLD-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN CN(CC1CCCC1)c1nc2sccn2c1[N+](=O)[O-] ZINC000049490971 522651085 /nfs/dbraw/zinc/65/10/85/522651085.db2.gz XENQZMSIEMTUIP-UHFFFAOYSA-N 0 0 280.353 2.930 20 5 CFBDRN COc1cc(NCC[C@@H](C)CCO)c([N+](=O)[O-])cc1F ZINC000295051087 522740946 /nfs/dbraw/zinc/74/09/46/522740946.db2.gz YAWMZWULKGMORY-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN COc1cc(N[C@@H](C)C[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000360976909 522758419 /nfs/dbraw/zinc/75/84/19/522758419.db2.gz YGLMPIVMECTSFV-JQWIXIFHSA-N 0 0 280.324 2.973 20 5 CFBDRN COc1cc(N[C@@H](CO)CC2CCC2)c(F)cc1[N+](=O)[O-] ZINC000360108118 522758578 /nfs/dbraw/zinc/75/85/78/522758578.db2.gz NXOXFZONYYZABW-SNVBAGLBSA-N 0 0 298.314 2.706 20 5 CFBDRN COc1cc(N[C@H](C)C[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000360976910 522764457 /nfs/dbraw/zinc/76/44/57/522764457.db2.gz YGLMPIVMECTSFV-PWSUYJOCSA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@H](SC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360528518 522906230 /nfs/dbraw/zinc/90/62/30/522906230.db2.gz MGHBEJNGQAPSAE-LBPRGKRZSA-N 0 0 282.365 2.661 20 5 CFBDRN COc1cc([C@@H](C)Nc2cc(C)c([N+](=O)[O-])cn2)ccn1 ZINC000340884413 522910035 /nfs/dbraw/zinc/91/00/35/522910035.db2.gz BYVCFRPMDVTYSX-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN CC[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000339360184 522968723 /nfs/dbraw/zinc/96/87/23/522968723.db2.gz TYXOZRKKWNAYHB-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CCC(C)(C)C1 ZINC000157375900 522976279 /nfs/dbraw/zinc/97/62/79/522976279.db2.gz OISZDFVWKMHBHV-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1ncccc1C ZINC000092855680 522984322 /nfs/dbraw/zinc/98/43/22/522984322.db2.gz XWFKPDCWCRWRBF-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN COC(=O)CCCCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000069001377 523005312 /nfs/dbraw/zinc/00/53/12/523005312.db2.gz QXHIJDXHHFETGX-UHFFFAOYSA-N 0 0 294.307 2.553 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340756152 523042348 /nfs/dbraw/zinc/04/23/48/523042348.db2.gz KGEWBWCGTMLXLH-UWVGGRQHSA-N 0 0 265.313 2.622 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H]1C1CCC1 ZINC000360954728 523140268 /nfs/dbraw/zinc/14/02/68/523140268.db2.gz SQFUYQVUEPLRCF-GFCCVEGCSA-N 0 0 278.356 2.889 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC(CC)(CC)OC ZINC000313168540 523142740 /nfs/dbraw/zinc/14/27/40/523142740.db2.gz UHZSGTAAFBKXIY-UHFFFAOYSA-N 0 0 284.360 2.737 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCc2cc(F)ccc21 ZINC000340859034 523143031 /nfs/dbraw/zinc/14/30/31/523143031.db2.gz AAHQNEYBFRADFR-UHFFFAOYSA-N 0 0 290.298 2.953 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@](C)(O)CCC(C)C ZINC000360986528 523143632 /nfs/dbraw/zinc/14/36/32/523143632.db2.gz UEMQVIWEOQTRPP-AWEZNQCLSA-N 0 0 298.387 2.719 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Nc1cnn(C(C)(C)C)c1 ZINC000360953169 523145203 /nfs/dbraw/zinc/14/52/03/523145203.db2.gz IMIDMZOKLZTOFW-UHFFFAOYSA-N 0 0 292.343 2.815 20 5 CFBDRN COc1ccc(-c2nc(-c3ccco3)no2)cc1[N+](=O)[O-] ZINC000340657226 523185822 /nfs/dbraw/zinc/18/58/22/523185822.db2.gz VURLIFLZGHGXRY-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN Cc1cccc(CCCNc2ncc([N+](=O)[O-])cc2F)n1 ZINC000413280382 534691222 /nfs/dbraw/zinc/69/12/22/534691222.db2.gz KLMDSHRHRPGLQC-UHFFFAOYSA-N 0 0 290.298 2.877 20 5 CFBDRN COCc1nc(COc2c(F)cccc2[N+](=O)[O-])cs1 ZINC000361671884 523206154 /nfs/dbraw/zinc/20/61/54/523206154.db2.gz DNWKAPCFUPGKHA-UHFFFAOYSA-N 0 0 298.295 2.916 20 5 CFBDRN COC(=O)C[C@@H](C)SCc1ccc([N+](=O)[O-])cc1OC ZINC000362340784 523268817 /nfs/dbraw/zinc/26/88/17/523268817.db2.gz UEMHGYVFYYYXMF-SECBINFHSA-N 0 0 299.348 2.788 20 5 CFBDRN CCn1cc(CNc2cc(C)ccc2[N+](=O)[O-])cn1 ZINC000234211777 523390535 /nfs/dbraw/zinc/39/05/35/523390535.db2.gz PMRORSQCGNBIIL-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1nccnc1C(F)F ZINC000360891281 523392021 /nfs/dbraw/zinc/39/20/21/523392021.db2.gz HKXWLUZXXLUSRM-UHFFFAOYSA-N 0 0 294.261 2.959 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182414844 523395154 /nfs/dbraw/zinc/39/51/54/523395154.db2.gz CBEKPWDDZZBZRS-OCCSQVGLSA-N 0 0 293.319 2.843 20 5 CFBDRN CCn1cc(Nc2c(F)cc([N+](=O)[O-])cc2F)cn1 ZINC000227888449 523438102 /nfs/dbraw/zinc/43/81/02/523438102.db2.gz RFXYUENDZPDLEB-UHFFFAOYSA-N 0 0 268.223 2.833 20 5 CFBDRN COC(=O)[C@@H](C)SCc1cccc([N+](=O)[O-])c1C ZINC000078752646 523554082 /nfs/dbraw/zinc/55/40/82/523554082.db2.gz JYVLFEFXRVOFAP-SECBINFHSA-N 0 0 269.322 2.698 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2cccc([N+](=O)[O-])c2NC)C1 ZINC000360955449 523568311 /nfs/dbraw/zinc/56/83/11/523568311.db2.gz RMPBVVXYWCSQKJ-OAHLLOKOSA-N 0 0 291.351 2.899 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1c1c([N+](=O)[O-])nc(C)n1CC ZINC000361006882 523617611 /nfs/dbraw/zinc/61/76/11/523617611.db2.gz MVKHNUMXYYLRKH-GXSJLCMTSA-N 0 0 266.345 2.744 20 5 CFBDRN CCc1n[nH]c(C(=O)NCCC2CCCCC2)c1[N+](=O)[O-] ZINC000338609437 523669679 /nfs/dbraw/zinc/66/96/79/523669679.db2.gz OWRDTIQBQASTDP-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN CC[C@@](C)(OC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000361844754 523678327 /nfs/dbraw/zinc/67/83/27/523678327.db2.gz XLVQIOBPOQUMNS-CYBMUJFWSA-N 0 0 266.297 2.657 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000157348670 523729890 /nfs/dbraw/zinc/72/98/90/523729890.db2.gz WTCKVAYYXUVSNU-MWLCHTKSSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000361837792 523901718 /nfs/dbraw/zinc/90/17/18/523901718.db2.gz XWSHBMZRILPDID-UWVGGRQHSA-N 0 0 279.340 2.853 20 5 CFBDRN COC(=O)[C@H](CNc1nc(C)ccc1[N+](=O)[O-])CC(C)C ZINC000178237323 523905390 /nfs/dbraw/zinc/90/53/90/523905390.db2.gz HLIPOURFEZJPPH-NSHDSACASA-N 0 0 295.339 2.545 20 5 CFBDRN CC[C@H](C)Cn1cc(Br)c([N+](=O)[O-])n1 ZINC000050427710 523906255 /nfs/dbraw/zinc/90/62/55/523906255.db2.gz YTXNVKHSFSVXKS-LURJTMIESA-N 0 0 262.107 2.600 20 5 CFBDRN CN(C(=O)[C@]12C[C@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000335075659 523915544 /nfs/dbraw/zinc/91/55/44/523915544.db2.gz TWJQUFHZMLDUMP-QMTHXVAHSA-N 0 0 260.293 2.748 20 5 CFBDRN CCc1ccc(CCNc2ccncc2[N+](=O)[O-])cc1 ZINC000360996935 523915686 /nfs/dbraw/zinc/91/56/86/523915686.db2.gz URAQDVOIMHGFOW-UHFFFAOYSA-N 0 0 271.320 2.629 20 5 CFBDRN CO[C@H]1CCCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000378628423 523971745 /nfs/dbraw/zinc/97/17/45/523971745.db2.gz XHUMIKMOYIJTGP-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CC1 ZINC000370667401 523973441 /nfs/dbraw/zinc/97/34/41/523973441.db2.gz ZVXCTJDWMNDYCB-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@H](CC1CC1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000070103073 524100463 /nfs/dbraw/zinc/10/04/63/524100463.db2.gz IOXYBBBVKPOFCZ-SSDOTTSWSA-N 0 0 266.326 2.905 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000172663374 524195363 /nfs/dbraw/zinc/19/53/63/524195363.db2.gz IOCLKHDHROUZPU-NEPJUHHUSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000336338063 524268245 /nfs/dbraw/zinc/26/82/45/524268245.db2.gz ULKJPDDWHUFUOF-QMMMGPOBSA-N 0 0 268.700 2.730 20 5 CFBDRN C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000339235780 524273378 /nfs/dbraw/zinc/27/33/78/524273378.db2.gz XSKXHYSTOWUTEZ-SNVBAGLBSA-N 0 0 271.276 2.732 20 5 CFBDRN C[C@@H]1CCC[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000335306838 524351587 /nfs/dbraw/zinc/35/15/87/524351587.db2.gz UYTGCRGRDSSRFI-VHSXEESVSA-N 0 0 265.313 2.574 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000334053941 524395350 /nfs/dbraw/zinc/39/53/50/524395350.db2.gz PZOUBPCSMVLYLQ-RYUDHWBXSA-N 0 0 291.351 2.720 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000157038455 524416160 /nfs/dbraw/zinc/41/61/60/524416160.db2.gz XDDKZJZHFZMDGL-QWRGUYRKSA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000334082584 524418167 /nfs/dbraw/zinc/41/81/67/524418167.db2.gz LBGLVMSQXBBFOE-AAEUAGOBSA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000336159902 524425419 /nfs/dbraw/zinc/42/54/19/524425419.db2.gz IANOCNFFIPXPKQ-OIBJUYFYSA-N 0 0 267.260 2.654 20 5 CFBDRN C[C@@H](CC1CC1)Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000070102802 524430151 /nfs/dbraw/zinc/43/01/51/524430151.db2.gz YJVVRWPAECJAFS-VIFPVBQESA-N 0 0 298.364 2.599 20 5 CFBDRN Cc1cc(C)n(-c2ccc(Cn3cc([N+](=O)[O-])cn3)cc2)n1 ZINC000075532750 524486639 /nfs/dbraw/zinc/48/66/39/524486639.db2.gz ZTHTVLDUDQHRLA-UHFFFAOYSA-N 0 0 297.318 2.642 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)c2ccccc21 ZINC000335935037 524527319 /nfs/dbraw/zinc/52/73/19/524527319.db2.gz USCDGDWDCWERSQ-JTQLQIEISA-N 0 0 285.303 2.697 20 5 CFBDRN C[C@@H](CNc1ccncc1[N+](=O)[O-])c1ccc(F)cc1F ZINC000360991678 524637161 /nfs/dbraw/zinc/63/71/61/524637161.db2.gz VPAIWFQZOUXLLR-VIFPVBQESA-N 0 0 293.273 2.906 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000336159901 524714074 /nfs/dbraw/zinc/71/40/74/524714074.db2.gz IANOCNFFIPXPKQ-GMSGAONNSA-N 0 0 267.260 2.654 20 5 CFBDRN C[C@@H]1CCc2ccccc2N1CCn1cc([N+](=O)[O-])cn1 ZINC000157394286 524730101 /nfs/dbraw/zinc/73/01/01/524730101.db2.gz JUBHRMAWAXBHLE-GFCCVEGCSA-N 0 0 286.335 2.633 20 5 CFBDRN C[C@@H]1CN(C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000334816455 524756684 /nfs/dbraw/zinc/75/66/84/524756684.db2.gz PNYFFAPJPNKDJN-GHMZBOCLSA-N 0 0 276.336 2.739 20 5 CFBDRN Cc1cc(CNCc2ccc(Cl)cc2[N+](=O)[O-])no1 ZINC000050443982 524761870 /nfs/dbraw/zinc/76/18/70/524761870.db2.gz YGKIVVNKSSRBLV-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN Cc1cccc(O[C@@H](C)CNc2ccncc2[N+](=O)[O-])c1 ZINC000360985958 524774093 /nfs/dbraw/zinc/77/40/93/524774093.db2.gz QMNQJNNBAAVSPJ-LBPRGKRZSA-N 0 0 287.319 2.600 20 5 CFBDRN Cc1ccc(F)cc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000337253860 524845901 /nfs/dbraw/zinc/84/59/01/524845901.db2.gz PUIICWXRTOIQLM-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CS[C@@H](C)C1 ZINC000335653942 524903911 /nfs/dbraw/zinc/90/39/11/524903911.db2.gz HPGRYVSLZKTLGI-UWVGGRQHSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCc1cccc(F)c1 ZINC000193219260 524903945 /nfs/dbraw/zinc/90/39/45/524903945.db2.gz PWWFBWHSVBAOQK-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)CS1 ZINC000334817980 524914021 /nfs/dbraw/zinc/91/40/21/524914021.db2.gz BDZZAHAIJHYADB-WPRPVWTQSA-N 0 0 281.337 2.610 20 5 CFBDRN Cc1ccc(N2CCO[C@@H](COC(C)C)C2)c([N+](=O)[O-])c1 ZINC000365049787 524967762 /nfs/dbraw/zinc/96/77/62/524967762.db2.gz ZZIAFVCNLCVWSU-CYBMUJFWSA-N 0 0 294.351 2.533 20 5 CFBDRN CC(=O)c1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000447193207 534824457 /nfs/dbraw/zinc/82/44/57/534824457.db2.gz OMAWKOXYSDIYQO-UHFFFAOYSA-N 0 0 288.259 2.667 20 5 CFBDRN COc1ncccc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000074048204 525042483 /nfs/dbraw/zinc/04/24/83/525042483.db2.gz IHSNQGUYKDCSJN-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H](NC(=O)NC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000049241227 525052889 /nfs/dbraw/zinc/05/28/89/525052889.db2.gz SWAJCYFGTUHBBN-JTQLQIEISA-N 0 0 277.324 2.898 20 5 CFBDRN Cc1cc(N2CC[C@](CO)(C(C)C)C2)ccc1[N+](=O)[O-] ZINC000377967637 525095037 /nfs/dbraw/zinc/09/50/37/525095037.db2.gz IGIHUAGADKDSBF-HNNXBMFYSA-N 0 0 278.352 2.748 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCCOCC1)c1ccccc1[N+](=O)[O-] ZINC000362722334 525140917 /nfs/dbraw/zinc/14/09/17/525140917.db2.gz FXWKNAIKYBERRB-NWDGAFQWSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000311080624 525181611 /nfs/dbraw/zinc/18/16/11/525181611.db2.gz LVXJBHQPYFWQSB-LBPRGKRZSA-N 0 0 270.716 2.821 20 5 CFBDRN C[C@@H](NC(=O)NCC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000341119143 525193152 /nfs/dbraw/zinc/19/31/52/525193152.db2.gz QXWQYLATBKSTBU-SNVBAGLBSA-N 0 0 277.324 2.755 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000335131800 525198246 /nfs/dbraw/zinc/19/82/46/525198246.db2.gz KCZGEQCDASRUIE-LLVKDONJSA-N 0 0 261.281 2.577 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N)C1CCCCC1 ZINC000052345027 525201409 /nfs/dbraw/zinc/20/14/09/525201409.db2.gz SRCDTVNFXFZZBW-JTQLQIEISA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000336343027 525204901 /nfs/dbraw/zinc/20/49/01/525204901.db2.gz QSHMHQHEDRBTOP-SECBINFHSA-N 0 0 266.322 2.595 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000336604536 525216773 /nfs/dbraw/zinc/21/67/73/525216773.db2.gz PIUFIGWAHRNFJZ-NXEZZACHSA-N 0 0 263.297 2.857 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCC(=O)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000182432327 525231054 /nfs/dbraw/zinc/23/10/54/525231054.db2.gz KTMUSKNQDWAMRP-RNCFNFMXSA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCC(=O)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000182432289 525231495 /nfs/dbraw/zinc/23/14/95/525231495.db2.gz KTMUSKNQDWAMRP-ZANVPECISA-N 0 0 290.319 2.847 20 5 CFBDRN Cc1cc(NCC[C@H](C)[S@](C)=O)c(F)cc1[N+](=O)[O-] ZINC000312046225 525271513 /nfs/dbraw/zinc/27/15/13/525271513.db2.gz ZESTZYQQJREECI-UGZDLDLSSA-N 0 0 288.344 2.611 20 5 CFBDRN Cc1cc(NCC[C@H](O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000360070494 525271621 /nfs/dbraw/zinc/27/16/21/525271621.db2.gz HVWCIYVJHVSIGB-ZDUSSCGKSA-N 0 0 270.304 2.861 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC[C@H](CO)C2)ncc1[N+](=O)[O-] ZINC000312714392 525274804 /nfs/dbraw/zinc/27/48/04/525274804.db2.gz UAAWGNBMHPWTDJ-NEPJUHHUSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1cc(NC[C@H](C)O)c([N+](=O)[O-])cc1C(F)(F)F ZINC000338634206 525274912 /nfs/dbraw/zinc/27/49/12/525274912.db2.gz UFHGEMPYJHHRGT-ZETCQYMHSA-N 0 0 278.230 2.715 20 5 CFBDRN C[C@@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000334170268 525289461 /nfs/dbraw/zinc/28/94/61/525289461.db2.gz BGJLORDXMBEUHD-DGCLKSJQSA-N 0 0 299.330 2.941 20 5 CFBDRN Cc1ccccc1OCCNc1ncccc1[N+](=O)[O-] ZINC000049322384 525304367 /nfs/dbraw/zinc/30/43/67/525304367.db2.gz CQQXTFMGMAOQFT-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN C[C@]1(O)CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000230376034 525308793 /nfs/dbraw/zinc/30/87/93/525308793.db2.gz XBNICIOOLYSARC-AWEZNQCLSA-N 0 0 298.770 2.985 20 5 CFBDRN Cc1cc(O)ccc1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000075285832 525335936 /nfs/dbraw/zinc/33/59/36/525335936.db2.gz XGGVMSXOKVFQHE-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC[C@@H]2C)c1 ZINC000067297367 525447890 /nfs/dbraw/zinc/44/78/90/525447890.db2.gz OFZAHJHWESWUHK-GXFFZTMASA-N 0 0 292.335 2.912 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H](O)CC(C)(C)C)c1 ZINC000230906819 525451160 /nfs/dbraw/zinc/45/11/60/525451160.db2.gz PBPFPNLVBMWKEQ-SNVBAGLBSA-N 0 0 282.340 2.812 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2cccnc2)c1 ZINC000338634540 525451286 /nfs/dbraw/zinc/45/12/86/525451286.db2.gz WZVSBVVJOGRYER-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC2(CCO)CCC2)c1 ZINC000338680806 525451336 /nfs/dbraw/zinc/45/13/36/525451336.db2.gz MOHFVESPJXDYSF-UHFFFAOYSA-N 0 0 280.324 2.568 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC(C1CC1)C1CC1 ZINC000073475193 525454984 /nfs/dbraw/zinc/45/49/84/525454984.db2.gz QGVZDYOVZPWRGC-UHFFFAOYSA-N 0 0 290.319 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@H](C)C1 ZINC000157166352 525458830 /nfs/dbraw/zinc/45/88/30/525458830.db2.gz URYRKVJRYRNVKC-UWVGGRQHSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(C)CC1 ZINC000335797966 525559407 /nfs/dbraw/zinc/55/94/07/525559407.db2.gz JTCKMLYSQMIJFT-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H]2CCC[C@H]21 ZINC000335893097 525560421 /nfs/dbraw/zinc/56/04/21/525560421.db2.gz WUIKRQSHRNWDKX-SMDDNHRTSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1c(C(=O)N(C)c2cccnc2)cccc1[N+](=O)[O-] ZINC000172010499 525563798 /nfs/dbraw/zinc/56/37/98/525563798.db2.gz WEDRXZUIQAGBBI-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCC(=O)NC(C)(C)C ZINC000223244067 525570428 /nfs/dbraw/zinc/57/04/28/525570428.db2.gz XSJBEGVMOOZNEF-UHFFFAOYSA-N 0 0 279.340 2.620 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@@H](CO)c2ccccc21 ZINC000376991493 525577705 /nfs/dbraw/zinc/57/77/05/525577705.db2.gz KPTRTDMJXNWBAZ-XHDPSFHLSA-N 0 0 299.330 2.931 20 5 CFBDRN Cc1c(C(=O)NCC(F)(F)C(F)F)cccc1[N+](=O)[O-] ZINC000191380153 525604458 /nfs/dbraw/zinc/60/44/58/525604458.db2.gz JIMKLZKKFDEHPI-UHFFFAOYSA-N 0 0 294.204 2.533 20 5 CFBDRN Cc1ccn(Cc2cc(F)ccc2Cl)c(=O)c1[N+](=O)[O-] ZINC000336426959 525605899 /nfs/dbraw/zinc/60/58/99/525605899.db2.gz GAAUWRAYDZTMHP-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)c2ccccn2)cccc1[N+](=O)[O-] ZINC000050042216 525634042 /nfs/dbraw/zinc/63/40/42/525634042.db2.gz BDALUWSTLRPGOQ-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccnc(COc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000339303965 525654112 /nfs/dbraw/zinc/65/41/12/525654112.db2.gz PNHBRVGIJDIDBP-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccnc(CSc2ccc([N+](=O)[O-])cc2)n1 ZINC000339414869 525659156 /nfs/dbraw/zinc/65/91/56/525659156.db2.gz CPCQNGMLTDQBFK-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN CSC[C@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360526103 525670882 /nfs/dbraw/zinc/67/08/82/525670882.db2.gz RZUSKDQUXUVNRV-VIFPVBQESA-N 0 0 282.365 2.519 20 5 CFBDRN Cc1c(CC(=O)N2CCC23CCC3)cccc1[N+](=O)[O-] ZINC000335587265 525689439 /nfs/dbraw/zinc/68/94/39/525689439.db2.gz ZOBCQVGEBJKBOD-UHFFFAOYSA-N 0 0 274.320 2.601 20 5 CFBDRN Cc1ccnc(N[C@H](C)CC(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC000360277821 525701684 /nfs/dbraw/zinc/70/16/84/525701684.db2.gz FBXJHDXMRTZVDI-SNVBAGLBSA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1c(C[N@H+]2CCC[C@H](C)[C@H]2C(=O)[O-])cccc1[N+](=O)[O-] ZINC000300293323 525705840 /nfs/dbraw/zinc/70/58/40/525705840.db2.gz GOHLAPKOACCMDB-HZMBPMFUSA-N 0 0 292.335 2.588 20 5 CFBDRN Cc1c(CNC(=O)c2ccccc2F)cccc1[N+](=O)[O-] ZINC000231884936 525721443 /nfs/dbraw/zinc/72/14/43/525721443.db2.gz AWTOWVWUZMPHOD-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1c(CNC(=O)c2ccccc2)cccc1[N+](=O)[O-] ZINC000231878994 525722132 /nfs/dbraw/zinc/72/21/32/525722132.db2.gz VAGQSXWSQBXNBY-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN Cc1ccncc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000338938196 525723262 /nfs/dbraw/zinc/72/32/62/525723262.db2.gz NNKNFALCAWINSX-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1ccncc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000358015090 525727023 /nfs/dbraw/zinc/72/70/23/525727023.db2.gz GGSXMUILLZQLMH-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc(-c2cnc(Cn3nccc3[N+](=O)[O-])o2)cc1 ZINC000361441473 525772097 /nfs/dbraw/zinc/77/20/97/525772097.db2.gz AWEPYJIUWWFHML-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN Cc1ccoc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158141648 525775549 /nfs/dbraw/zinc/77/55/49/525775549.db2.gz NTOHEABAMXFKGZ-UHFFFAOYSA-N 0 0 260.249 2.773 20 5 CFBDRN CS[C@@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049217496 525779439 /nfs/dbraw/zinc/77/94/39/525779439.db2.gz OAHHSEBDRQQLLL-BDAKNGLRSA-N 0 0 268.338 2.524 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC(F)F)c2ccncc21 ZINC000428669074 534882176 /nfs/dbraw/zinc/88/21/76/534882176.db2.gz IMKKVNFHJOTBJH-QMMMGPOBSA-N 0 0 297.261 2.571 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000228128949 525816546 /nfs/dbraw/zinc/81/65/46/525816546.db2.gz FDSPEMVWBAPZEG-UWVGGRQHSA-N 0 0 275.308 2.714 20 5 CFBDRN CS[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000335009275 525839805 /nfs/dbraw/zinc/83/98/05/525839805.db2.gz VCUWHKDZHOHWCO-LLVKDONJSA-N 0 0 294.376 2.789 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cc(NC2CC2)ncn1 ZINC000413060521 534887226 /nfs/dbraw/zinc/88/72/26/534887226.db2.gz KQLJFQBJRJZBQR-UHFFFAOYSA-N 0 0 285.307 2.571 20 5 CFBDRN CS[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000334970320 525912108 /nfs/dbraw/zinc/91/21/08/525912108.db2.gz UXZSGJXJNMLDIQ-VIFPVBQESA-N 0 0 299.327 2.703 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2ncc(C)cc2[N+](=O)[O-])C1 ZINC000078670261 525914175 /nfs/dbraw/zinc/91/41/75/525914175.db2.gz RNKWVMDJQGODLW-UWVGGRQHSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1ccc([C@H](C)NCC(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000151756312 525916121 /nfs/dbraw/zinc/91/61/21/525916121.db2.gz DLEVTRYQZVQRCR-NSHDSACASA-N 0 0 294.351 2.896 20 5 CFBDRN Cc1cnc(CCN(C)c2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000165971172 525997284 /nfs/dbraw/zinc/99/72/84/525997284.db2.gz YEEPAAQKMGZMAT-UHFFFAOYSA-N 0 0 292.364 2.742 20 5 CFBDRN Cc1cnc(CNc2c(F)cc([N+](=O)[O-])cc2F)o1 ZINC000227891229 526049048 /nfs/dbraw/zinc/04/90/48/526049048.db2.gz AQJHZFWVKPOPPQ-UHFFFAOYSA-N 0 0 269.207 2.782 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H](F)C2)c1 ZINC000335407534 526054988 /nfs/dbraw/zinc/05/49/88/526054988.db2.gz HARDYIVAAWGKJJ-QWRGUYRKSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccnc2C)c1 ZINC000073348025 526056236 /nfs/dbraw/zinc/05/62/36/526056236.db2.gz YFPNZPFFFMEFOJ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2cnc(C3CC3)nc2)n1 ZINC000339368286 526061070 /nfs/dbraw/zinc/06/10/70/526061070.db2.gz XWFXWEQDEOCDDR-UHFFFAOYSA-N 0 0 299.334 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](O)CC(C)C)c1 ZINC000088716373 526061766 /nfs/dbraw/zinc/06/17/66/526061766.db2.gz LXPQGXXSVRVJSB-LLVKDONJSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C(F)(F)F)C1 ZINC000336382736 526070386 /nfs/dbraw/zinc/07/03/86/526070386.db2.gz NVAGETBHJKPJGR-UHFFFAOYSA-N 0 0 288.225 2.538 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@H](F)C1 ZINC000336372436 526074117 /nfs/dbraw/zinc/07/41/17/526074117.db2.gz GIKVSZSGSUCXHG-UWVGGRQHSA-N 0 0 266.272 2.524 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@](C)(F)C2)c1 ZINC000334211433 526079789 /nfs/dbraw/zinc/07/97/89/526079789.db2.gz YWGHUNNPULKDCZ-ZDUSSCGKSA-N 0 0 298.339 2.891 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2C[C@@H]2C)c1 ZINC000032386697 526079877 /nfs/dbraw/zinc/07/98/77/526079877.db2.gz NTAVNKNYPLHZPG-DTWKUNHWSA-N 0 0 280.349 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@](C)(F)C1 ZINC000334169784 526081155 /nfs/dbraw/zinc/08/11/55/526081155.db2.gz ABKOINQRTBNTTQ-ZDUSSCGKSA-N 0 0 281.287 2.869 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2CCC[C@H]2F)c1[N+](=O)[O-] ZINC000340856192 526089920 /nfs/dbraw/zinc/08/99/20/526089920.db2.gz UVXNDCZTVHQNIM-NXEZZACHSA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1cnc(OCc2cn3ccccc3n2)c([N+](=O)[O-])c1 ZINC000178280743 526100050 /nfs/dbraw/zinc/10/00/50/526100050.db2.gz ZTLIGESFWJXLGW-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN Cc1cc(NCCC2C[C@H](C)O[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000338485651 526112244 /nfs/dbraw/zinc/11/22/44/526112244.db2.gz VFBOPCILORXZRT-RYUDHWBXSA-N 0 0 293.367 2.726 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000336112255 526125184 /nfs/dbraw/zinc/12/51/84/526125184.db2.gz MTAJNASDERQNHV-WCQYABFASA-N 0 0 274.320 2.918 20 5 CFBDRN COc1cccc(N(C)Cc2cnccc2C)c1[N+](=O)[O-] ZINC000360108543 526131420 /nfs/dbraw/zinc/13/14/20/526131420.db2.gz QXSUGKCVTKJVAS-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000334362214 526131570 /nfs/dbraw/zinc/13/15/70/526131570.db2.gz KESILMPZICDDRD-LBPRGKRZSA-N 0 0 262.309 2.774 20 5 CFBDRN CSc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000360241682 526136387 /nfs/dbraw/zinc/13/63/87/526136387.db2.gz MAHJQTOVWNMESB-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN Cc1cncc(C(=O)Nc2cccc([N+](=O)[O-])c2C)c1 ZINC000173054323 526185606 /nfs/dbraw/zinc/18/56/06/526185606.db2.gz NZBDMIKLKGFJDG-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COc1cccc(NCc2cccc(O)c2)c1[N+](=O)[O-] ZINC000185224213 526210517 /nfs/dbraw/zinc/21/05/17/526210517.db2.gz MAUVVIHRXCMBEA-UHFFFAOYSA-N 0 0 274.276 2.921 20 5 CFBDRN COc1cccc(NC[C@H]2CCC[C@H](CO)C2)c1[N+](=O)[O-] ZINC000314637737 526210763 /nfs/dbraw/zinc/21/07/63/526210763.db2.gz MILNJBWDETUQTJ-RYUDHWBXSA-N 0 0 294.351 2.814 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000335213031 526223494 /nfs/dbraw/zinc/22/34/94/526223494.db2.gz GPFLPGDKMNFHFH-MRVPVSSYSA-N 0 0 268.338 2.917 20 5 CFBDRN C[C@@H]1CC(CCNc2ccc([N+](=O)[O-])nc2)C[C@@H](C)O1 ZINC000338484425 526226753 /nfs/dbraw/zinc/22/67/53/526226753.db2.gz KLZNZPVZIFWXPY-GHMZBOCLSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000069199028 526238141 /nfs/dbraw/zinc/23/81/41/526238141.db2.gz DIIVSQFGAQQTNE-GFCCVEGCSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000336434647 526247049 /nfs/dbraw/zinc/24/70/49/526247049.db2.gz JKPAARBDOUBBLG-LLVKDONJSA-N 0 0 284.262 2.773 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000370761549 526251258 /nfs/dbraw/zinc/25/12/58/526251258.db2.gz OXWPQKVLHBLBSY-AWEZNQCLSA-N 0 0 274.320 2.918 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050706140 526260736 /nfs/dbraw/zinc/26/07/36/526260736.db2.gz IKCLEGJDPZHKCU-QWRGUYRKSA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1cc(C(=O)NC2CC(C)(F)C2)cc([N+](=O)[O-])c1 ZINC000334866115 526264285 /nfs/dbraw/zinc/26/42/85/526264285.db2.gz WVCWPBZFACYVOC-UHFFFAOYSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1cc(C(=O)NCCCCOC(C)C)cc([N+](=O)[O-])c1 ZINC000182345211 526266498 /nfs/dbraw/zinc/26/64/98/526266498.db2.gz JMDXBHNDYWSIOV-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336156346 526266771 /nfs/dbraw/zinc/26/67/71/526266771.db2.gz USFVJGCWIVTCBL-VIFPVBQESA-N 0 0 266.272 2.606 20 5 CFBDRN Cc1csc(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC000049175089 526354599 /nfs/dbraw/zinc/35/45/99/526354599.db2.gz SIWQRLDQCSDISO-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CC12CCCC2 ZINC000340353472 526421585 /nfs/dbraw/zinc/42/15/85/526421585.db2.gz MBJJCCHLKJFBBQ-AWEZNQCLSA-N 0 0 288.347 2.834 20 5 CFBDRN O=C([C@H]1CCCSC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000336448313 526545082 /nfs/dbraw/zinc/54/50/82/526545082.db2.gz CHMQLCWDYSSXEC-NSHDSACASA-N 0 0 292.360 2.627 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1Cc2ccccc2C1 ZINC000336144466 526619808 /nfs/dbraw/zinc/61/98/08/526619808.db2.gz FUUFFSOLRNKWBF-UHFFFAOYSA-N 0 0 286.262 2.890 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCCC2(CC2)C1 ZINC000184134495 526623452 /nfs/dbraw/zinc/62/34/52/526623452.db2.gz YXWDDDLVDBGKFW-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC12CCC2 ZINC000336070669 526638137 /nfs/dbraw/zinc/63/81/37/526638137.db2.gz ZTUWXQHHCDNILP-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN O=C(NCc1ccc(F)c(F)c1)c1ccccc1[N+](=O)[O-] ZINC000354096920 526669839 /nfs/dbraw/zinc/66/98/39/526669839.db2.gz MGUJPUVLBQMNQE-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN Cc1nocc1CNC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000339292416 526689820 /nfs/dbraw/zinc/68/98/20/526689820.db2.gz AUVJJYBZOAMKML-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cccs1 ZINC000055797372 526694756 /nfs/dbraw/zinc/69/47/56/526694756.db2.gz RRBPPAUNBRSXBR-UHFFFAOYSA-N 0 0 262.290 2.586 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccsc1 ZINC000048807274 526695320 /nfs/dbraw/zinc/69/53/20/526695320.db2.gz CGAIYPWXEDPSTK-UHFFFAOYSA-N 0 0 262.290 2.586 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC2(CCCC2)C1 ZINC000173746307 526716658 /nfs/dbraw/zinc/71/66/58/526716658.db2.gz WHGUISAMBZHJGW-UHFFFAOYSA-N 0 0 264.281 2.594 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCc2ccsc2C1 ZINC000123603280 526717817 /nfs/dbraw/zinc/71/78/17/526717817.db2.gz SDTMUFUBPGINFC-UHFFFAOYSA-N 0 0 294.357 2.916 20 5 CFBDRN Cc1nscc1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360529939 526720092 /nfs/dbraw/zinc/72/00/92/526720092.db2.gz SYNSOGCKWOYPKU-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1nc(C)c(CNc2c(F)cccc2[N+](=O)[O-])o1 ZINC000336817876 526725959 /nfs/dbraw/zinc/72/59/59/526725959.db2.gz SFLFZHKQDJHMAX-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1nc(C)c(NC(=O)c2cc([N+](=O)[O-])cnc2C)s1 ZINC000340812237 526736012 /nfs/dbraw/zinc/73/60/12/526736012.db2.gz GMWQCTFPYLGJRG-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN Cc1nc(CCNc2nc(C)ccc2[N+](=O)[O-])cs1 ZINC000222914643 526830738 /nfs/dbraw/zinc/83/07/38/526830738.db2.gz FCVUJBWMDVZKCY-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN NC(=O)/C=C/c1ccc(-c2ccccc2[N+](=O)[O-])s1 ZINC000048392605 526845548 /nfs/dbraw/zinc/84/55/48/526845548.db2.gz WZKMMMJEHCPRIS-SOFGYWHQSA-N 0 0 274.301 2.822 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000334831976 526871768 /nfs/dbraw/zinc/87/17/68/526871768.db2.gz DQITUZCXHLFOIW-VIFPVBQESA-N 0 0 268.338 2.835 20 5 CFBDRN COC[C@@H](C)CC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000446067682 536493044 /nfs/dbraw/zinc/49/30/44/536493044.db2.gz CNRXRCSBVIUYDE-VIFPVBQESA-N 0 0 280.324 2.823 20 5 CFBDRN O=C(COc1c(F)cccc1[N+](=O)[O-])NC1CCCCC1 ZINC000361755853 526943921 /nfs/dbraw/zinc/94/39/21/526943921.db2.gz KCZSBEBASLKZQY-UHFFFAOYSA-N 0 0 296.298 2.562 20 5 CFBDRN O=C(N[C@@H]1CCc2cc(F)ccc21)c1ccc([N+](=O)[O-])o1 ZINC000056314508 526956998 /nfs/dbraw/zinc/95/69/98/526956998.db2.gz LBOSZNOYARALEC-LLVKDONJSA-N 0 0 290.250 2.744 20 5 CFBDRN Cc1nc(N2CCC(C(F)F)CC2)ccc1[N+](=O)[O-] ZINC000360780770 526963167 /nfs/dbraw/zinc/96/31/67/526963167.db2.gz INJXIRBAZDIRDT-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN Cn1c(C(=O)N[C@H](c2ccccc2)C2CC2)ccc1[N+](=O)[O-] ZINC000340664390 527042994 /nfs/dbraw/zinc/04/29/94/527042994.db2.gz FGXNYNFXQMSZNZ-OAHLLOKOSA-N 0 0 299.330 2.814 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NCCCCF ZINC000360834403 527044821 /nfs/dbraw/zinc/04/48/21/527044821.db2.gz QEBFDZWNFWRKMG-UHFFFAOYSA-N 0 0 286.328 2.553 20 5 CFBDRN NC(=O)c1ccc(NCc2csc([N+](=O)[O-])c2)cc1F ZINC000360380400 527068717 /nfs/dbraw/zinc/06/87/17/527068717.db2.gz WEAZJGACCKMILX-UHFFFAOYSA-N 0 0 295.295 2.506 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cscn1 ZINC000062661236 527130741 /nfs/dbraw/zinc/13/07/41/527130741.db2.gz UWBFQKXNFGUWBT-UHFFFAOYSA-N 0 0 285.231 2.582 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cn1)c1cccnc1 ZINC000413594224 527183089 /nfs/dbraw/zinc/18/30/89/527183089.db2.gz XCSZXRFVNPMHNW-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN O=C(Cc1cccs1)NCc1cccc([N+](=O)[O-])c1 ZINC000049412902 527302458 /nfs/dbraw/zinc/30/24/58/527302458.db2.gz WXKQXWDWPBYPMY-UHFFFAOYSA-N 0 0 276.317 2.515 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1C[C@H](C)C[C@H](C)C1 ZINC000172477643 527313438 /nfs/dbraw/zinc/31/34/38/527313438.db2.gz MMANARBHYRGKTH-DHHPTOIESA-N 0 0 291.351 2.853 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc(NC3CCCC3)n2)c1 ZINC000340858566 527323447 /nfs/dbraw/zinc/32/34/47/527323447.db2.gz XZGXTRUHFNLBIT-UHFFFAOYSA-N 0 0 278.337 2.823 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1[C@H]2CCc3ccccc3[C@@H]12 ZINC000361043755 527324421 /nfs/dbraw/zinc/32/44/21/527324421.db2.gz QHVFDQSQOUQJAY-APHBMKBZSA-N 0 0 281.315 2.552 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C(C)(C)C)C1 ZINC000334551450 527327624 /nfs/dbraw/zinc/32/76/24/527327624.db2.gz YHFCIYZSPIGZPR-LLVKDONJSA-N 0 0 293.367 2.832 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCSCCCO ZINC000230903677 527333803 /nfs/dbraw/zinc/33/38/03/527333803.db2.gz GDSZUQIKMOHDLL-UHFFFAOYSA-N 0 0 290.772 2.776 20 5 CFBDRN O=[N+]([O-])c1cc(NCC2CCOCC2)ccc1F ZINC000052177633 527337751 /nfs/dbraw/zinc/33/77/51/527337751.db2.gz YMWZVWGQRIASKA-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN Cc1nccc(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000231869222 527351032 /nfs/dbraw/zinc/35/10/32/527351032.db2.gz VFZLVSOTGPSGEC-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nccnc2C(F)F)cc1 ZINC000313446416 527352278 /nfs/dbraw/zinc/35/22/78/527352278.db2.gz BHYYJFWDWFFLJN-UHFFFAOYSA-N 0 0 280.234 2.935 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2CO)cc1C(F)(F)F ZINC000048865304 527362907 /nfs/dbraw/zinc/36/29/07/527362907.db2.gz NFNDJWTWRJBFGN-VIFPVBQESA-N 0 0 290.241 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCOC2)c2ncccc12 ZINC000230104871 527364933 /nfs/dbraw/zinc/36/49/33/527364933.db2.gz JVXGTQBWDFKDCU-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC[C@H](O)C2)c2cccnc21 ZINC000227661818 527365492 /nfs/dbraw/zinc/36/54/92/527365492.db2.gz ZTOSCWRZLLIODH-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccnn2)c(Cl)c1 ZINC000236049323 527366443 /nfs/dbraw/zinc/36/64/43/527366443.db2.gz DKQZQDPXHQGQNB-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccc3c(c2)OCCO3)cn1 ZINC000339967621 527368773 /nfs/dbraw/zinc/36/87/73/527368773.db2.gz ZRRQZVFMGIAUTB-UHFFFAOYSA-N 0 0 273.248 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCCCF)cc1CO ZINC000340602703 527371928 /nfs/dbraw/zinc/37/19/28/527371928.db2.gz YFLPNBUPKJPHLA-UHFFFAOYSA-N 0 0 257.261 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCc2cccnc2)nc1 ZINC000160349363 527377045 /nfs/dbraw/zinc/37/70/45/527377045.db2.gz OPFVIVIOYSJIQO-UHFFFAOYSA-N 0 0 261.306 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CC[C@H](F)C3)ccc2c1 ZINC000340844011 527378569 /nfs/dbraw/zinc/37/85/69/527378569.db2.gz CDXHKHIIFVPPHT-QWRGUYRKSA-N 0 0 275.283 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCCC(F)(F)F)nc2c1 ZINC000094771909 527378911 /nfs/dbraw/zinc/37/89/11/527378911.db2.gz ZSWMHNPNNBCYLF-UHFFFAOYSA-N 0 0 274.202 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCc3ccc(O)cc3)nc2c1 ZINC000228425811 527379057 /nfs/dbraw/zinc/37/90/57/527379057.db2.gz HCTDRIJFIMFBGN-UHFFFAOYSA-N 0 0 284.275 2.789 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC[C@@H]1CCOC1 ZINC000310814386 527389104 /nfs/dbraw/zinc/38/91/04/527389104.db2.gz BUUJMDQOFXZBNG-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCc1cccnc1 ZINC000336814354 527389384 /nfs/dbraw/zinc/38/93/84/527389384.db2.gz WWFNCCFRJZKBQD-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1COc1ccccc1CO ZINC000305048201 527389427 /nfs/dbraw/zinc/38/94/27/527389427.db2.gz ZAOVVYWXCQUKLE-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCCc1ccncc1 ZINC000360491821 527395709 /nfs/dbraw/zinc/39/57/09/527395709.db2.gz ROOKLVQBLWZGKF-UHFFFAOYSA-N 0 0 283.331 2.985 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCCCn3ccnc3)ccnc21 ZINC000317274101 527396554 /nfs/dbraw/zinc/39/65/54/527396554.db2.gz ODEWEHJNBHOJQM-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1Cc2ccccc2[C@H]1O ZINC000170982282 527404483 /nfs/dbraw/zinc/40/44/83/527404483.db2.gz SCBMHZHJLWPZJF-DZGCQCFKSA-N 0 0 270.288 2.665 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ncc(-c3cccs3)o2)cn1 ZINC000067429191 527408759 /nfs/dbraw/zinc/40/87/59/527408759.db2.gz TUDSAQLGBDTADB-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2coc(-c3cccs3)n2)c1 ZINC000074061666 527415436 /nfs/dbraw/zinc/41/54/36/527415436.db2.gz PACKFYYTUMTXAC-UHFFFAOYSA-N 0 0 276.277 2.556 20 5 CFBDRN Nc1c(C(=O)N2C[C@H]3CCCCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000364336366 527425910 /nfs/dbraw/zinc/42/59/10/527425910.db2.gz DEOKJSIRSPMCME-PWSUYJOCSA-N 0 0 289.335 2.582 20 5 CFBDRN Cc1nccnc1NCc1cccc([N+](=O)[O-])c1C ZINC000231869056 527453614 /nfs/dbraw/zinc/45/36/14/527453614.db2.gz XEHOLDBPAGXYGO-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CCSc1ccccc1 ZINC000129424607 527454553 /nfs/dbraw/zinc/45/45/53/527454553.db2.gz GDNBBXUOPQCUBX-UHFFFAOYSA-N 0 0 276.317 2.549 20 5 CFBDRN O=c1c2cccc([N+](=O)[O-])c2ccn1CC1CC(F)(F)C1 ZINC000335343472 527463327 /nfs/dbraw/zinc/46/33/27/527463327.db2.gz LRUCXCMBMZCWNX-UHFFFAOYSA-N 0 0 294.257 2.955 20 5 CFBDRN Nc1ccc(C(=O)N2CCC3(CCCC3)C2)cc1[N+](=O)[O-] ZINC000173727499 527468053 /nfs/dbraw/zinc/46/80/53/527468053.db2.gz GNUCHXJEWRHKTM-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@H](C3CC3)C2)c1 ZINC000193500455 527501411 /nfs/dbraw/zinc/50/14/11/527501411.db2.gz ONHIKYXGONISLY-FZMZJTMJSA-N 0 0 277.324 2.547 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@H](C3CC3)C2)c1 ZINC000193500440 527501480 /nfs/dbraw/zinc/50/14/80/527501480.db2.gz ONHIKYXGONISLY-RISCZKNCSA-N 0 0 277.324 2.547 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccccc1F ZINC000180583982 527522576 /nfs/dbraw/zinc/52/25/76/527522576.db2.gz MKYPEZINHCCMDI-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN O=C(NCCCCF)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000360814150 527648537 /nfs/dbraw/zinc/64/85/37/527648537.db2.gz AKHJYQKOJLVFGL-UHFFFAOYSA-N 0 0 279.271 2.556 20 5 CFBDRN Cc1nn(C)c(NCCc2ccc(F)cc2C)c1[N+](=O)[O-] ZINC000088521257 527678897 /nfs/dbraw/zinc/67/88/97/527678897.db2.gz ILRWIBYMXDLKDP-UHFFFAOYSA-N 0 0 292.314 2.739 20 5 CFBDRN CCOC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000055278959 527733455 /nfs/dbraw/zinc/73/34/55/527733455.db2.gz PTZLLROJFVJOPB-MRVPVSSYSA-N 0 0 255.295 2.639 20 5 CFBDRN CCOC(=O)[C@@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000141326635 527772600 /nfs/dbraw/zinc/77/26/00/527772600.db2.gz AKWJQYFSHBOFAE-NSHDSACASA-N 0 0 251.282 2.727 20 5 CFBDRN CC(C)CC[C@](C)(O)CNc1ncc([N+](=O)[O-])cc1F ZINC000413156848 527866528 /nfs/dbraw/zinc/86/65/28/527866528.db2.gz SNTALCMWZDGLTM-ZDUSSCGKSA-N 0 0 285.319 2.728 20 5 CFBDRN CO[C@H](C)c1nc(CNc2ccc([N+](=O)[O-])cn2)cs1 ZINC000267817525 536514518 /nfs/dbraw/zinc/51/45/18/536514518.db2.gz WCMDLHWLAKOUJV-MRVPVSSYSA-N 0 0 294.336 2.766 20 5 CFBDRN CC(C)OCCCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413027154 527939263 /nfs/dbraw/zinc/93/92/63/527939263.db2.gz XZPWZRIIDZXMIH-UHFFFAOYSA-N 0 0 289.335 2.792 20 5 CFBDRN CCOC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000055278960 527966212 /nfs/dbraw/zinc/96/62/12/527966212.db2.gz PTZLLROJFVJOPB-QMMMGPOBSA-N 0 0 255.295 2.639 20 5 CFBDRN CC(C)Oc1cc(C(=O)NC2CCC2)ccc1[N+](=O)[O-] ZINC000412054754 528101753 /nfs/dbraw/zinc/10/17/53/528101753.db2.gz JGLINIUFUZIJDE-UHFFFAOYSA-N 0 0 278.308 2.664 20 5 CFBDRN CCCCCOC1CN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000428151751 528221974 /nfs/dbraw/zinc/22/19/74/528221974.db2.gz GDIJWEYNMYQAHK-UHFFFAOYSA-N 0 0 297.330 2.712 20 5 CFBDRN CC(C)SCCNc1ncc([N+](=O)[O-])cc1F ZINC000413302482 528321494 /nfs/dbraw/zinc/32/14/94/528321494.db2.gz ZFLRQSUKPDFOEG-UHFFFAOYSA-N 0 0 259.306 2.682 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000176542623 528396436 /nfs/dbraw/zinc/39/64/36/528396436.db2.gz FETSKODMARAXGN-VIFPVBQESA-N 0 0 268.288 2.756 20 5 CFBDRN CC(C)[C@@H](CO)[C@H](Nc1ncc([N+](=O)[O-])cc1F)C(C)C ZINC000413324884 528487309 /nfs/dbraw/zinc/48/73/09/528487309.db2.gz VIVHWXSOUPTJCU-DGCLKSJQSA-N 0 0 299.346 2.830 20 5 CFBDRN CCC(C)(C)CNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000192200508 528511876 /nfs/dbraw/zinc/51/18/76/528511876.db2.gz MVVDEGGJBYEWCC-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN CCC(CC)(CNC(=O)Nc1cccc([N+](=O)[O-])c1)OC ZINC000291788550 528682729 /nfs/dbraw/zinc/68/27/29/528682729.db2.gz OODNKVAMMGKDPU-UHFFFAOYSA-N 0 0 295.339 2.922 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC1(C)C ZINC000413927452 528693219 /nfs/dbraw/zinc/69/32/19/528693219.db2.gz AYYGUOUBVNHNLR-NSHDSACASA-N 0 0 278.308 2.522 20 5 CFBDRN CCC[C@@H](C(=O)OCC)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000172650020 528848343 /nfs/dbraw/zinc/84/83/43/528848343.db2.gz WCODYOXGNYPPSV-AWEZNQCLSA-N 0 0 294.351 2.758 20 5 CFBDRN CCC1(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)CCC1 ZINC000133854350 529012687 /nfs/dbraw/zinc/01/26/87/529012687.db2.gz KWUZHSIWKAUFPZ-UHFFFAOYSA-N 0 0 263.297 2.817 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2F)[C@@H]2CCC[C@H]2O1 ZINC000413286843 529129326 /nfs/dbraw/zinc/12/93/26/529129326.db2.gz SPMCEICYGLTYCG-VXGBXAGGSA-N 0 0 295.314 2.665 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000421583562 529326338 /nfs/dbraw/zinc/32/63/38/529326338.db2.gz YWINDTJQSGRNEU-MRVPVSSYSA-N 0 0 254.261 2.510 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421410765 529372117 /nfs/dbraw/zinc/37/21/17/529372117.db2.gz AMACMYPEECNXRQ-SNVBAGLBSA-N 0 0 250.298 2.740 20 5 CFBDRN CC1(C)OCC[C@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000452476706 534998852 /nfs/dbraw/zinc/99/88/52/534998852.db2.gz OUDXHOSELWAFBP-LLVKDONJSA-N 0 0 298.726 2.546 20 5 CFBDRN CC(C)(C(=O)N1CCCCCO1)c1ccccc1[N+](=O)[O-] ZINC000505761078 535014422 /nfs/dbraw/zinc/01/44/22/535014422.db2.gz BXSRFOFSHJZCSI-UHFFFAOYSA-N 0 0 292.335 2.817 20 5 CFBDRN CC(C)(NC(=O)/C=C\c1ccc[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000491988139 535210726 /nfs/dbraw/zinc/21/07/26/535210726.db2.gz DUXVJLNVILKZMP-YFHOEESVSA-N 0 0 299.330 2.988 20 5 CFBDRN CC/C=C\CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000452613677 535256421 /nfs/dbraw/zinc/25/64/21/535256421.db2.gz QAQFFRQVPNCZSL-LWTINBJPSA-N 0 0 280.299 2.920 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000357216575 535271490 /nfs/dbraw/zinc/27/14/90/535271490.db2.gz YHGFIQUBNOMGTJ-JQWIXIFHSA-N 0 0 265.313 2.605 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CCOCC1 ZINC000266664139 535294161 /nfs/dbraw/zinc/29/41/61/535294161.db2.gz JDWWJRVANUVEBD-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN CCC[C@@H](OC)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000459981691 535403028 /nfs/dbraw/zinc/40/30/28/535403028.db2.gz CJTGGVWIXOIQCJ-IINYFYTJSA-N 0 0 292.335 2.688 20 5 CFBDRN CCO[C@@H]1C[C@@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000265024239 535436545 /nfs/dbraw/zinc/43/65/45/535436545.db2.gz UMCYVLNZOSWBBY-WDEREUQCSA-N 0 0 275.264 2.537 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000491437195 535542018 /nfs/dbraw/zinc/54/20/18/535542018.db2.gz PYVTYRABSMFCEO-PFEDMVJOSA-N 0 0 262.309 2.865 20 5 CFBDRN CCOc1cc(S[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000487094100 535688755 /nfs/dbraw/zinc/68/87/55/535688755.db2.gz DCJITTMQVDKERU-SNVBAGLBSA-N 0 0 269.322 2.875 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)[C@H](C)O ZINC000456273020 535799965 /nfs/dbraw/zinc/79/99/65/535799965.db2.gz WBOSFORCYQKNPF-GXFFZTMASA-N 0 0 294.351 2.607 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)[C@@H](C)O ZINC000456273022 535800014 /nfs/dbraw/zinc/80/00/14/535800014.db2.gz WBOSFORCYQKNPF-ZWNOBZJWSA-N 0 0 294.351 2.607 20 5 CFBDRN CC[C@@H](O)CCCNc1cccc(OC)c1[N+](=O)[O-] ZINC000357514957 535811246 /nfs/dbraw/zinc/81/12/46/535811246.db2.gz PLAQGNQFEDPUJN-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000450666071 535822730 /nfs/dbraw/zinc/82/27/30/535822730.db2.gz XCAJOEGIJOPHJM-MNOVXSKESA-N 0 0 291.351 2.932 20 5 CFBDRN CCc1[nH]nc(N[C@@H](C)Cc2ccccc2[N+](=O)[O-])c1C ZINC000449188735 535984445 /nfs/dbraw/zinc/98/44/45/535984445.db2.gz OJSIRDLFNHMYFR-JTQLQIEISA-N 0 0 288.351 2.654 20 5 CFBDRN CCc1ccnc(CNc2ccc([N+](=O)[O-])c(N)c2F)c1 ZINC000435590841 536013835 /nfs/dbraw/zinc/01/38/35/536013835.db2.gz ZPSVPZTZVXQOHQ-UHFFFAOYSA-N 0 0 290.298 2.886 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)CCC=C(C)C)n1 ZINC000452542789 536093773 /nfs/dbraw/zinc/09/37/73/536093773.db2.gz OHVMLOOUJZFQRF-NSHDSACASA-N 0 0 294.355 2.676 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2)CCN1CC(F)F ZINC000301907214 536161729 /nfs/dbraw/zinc/16/17/29/536161729.db2.gz ZQXZUZYVSSYULS-NSHDSACASA-N 0 0 299.321 2.761 20 5 CFBDRN COC[C@H]1CCCCN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000456945656 536502743 /nfs/dbraw/zinc/50/27/43/536502743.db2.gz UHOYYDSWXISHRX-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN COC(=O)c1cc(NCC[C@H](C)OC)cc(C)c1[N+](=O)[O-] ZINC000268515578 536566639 /nfs/dbraw/zinc/56/66/39/536566639.db2.gz YDGYEJLLFVYYRX-JTQLQIEISA-N 0 0 296.323 2.527 20 5 CFBDRN COC1(C)CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000271725126 536568238 /nfs/dbraw/zinc/56/82/38/536568238.db2.gz SHAWDGONUHOLBW-UHFFFAOYSA-N 0 0 285.731 2.649 20 5 CFBDRN COc1cc(C)cnc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000489285635 536687477 /nfs/dbraw/zinc/68/74/77/536687477.db2.gz DHBGVPCNIITUEL-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCCC1CCCC1 ZINC000494592859 536885689 /nfs/dbraw/zinc/88/56/89/536885689.db2.gz UYZDNHKVBFQNKQ-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN COc1cccc(C)c1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000436244319 537096042 /nfs/dbraw/zinc/09/60/42/537096042.db2.gz YDNSAVODAOXSHR-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN CC(C)C1CN(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935063348 649870538 /nfs/dbraw/zinc/87/05/38/649870538.db2.gz CABMLUCSJJFQOD-CABCVRRESA-N 0 0 288.347 2.813 20 5 CFBDRN C[C@@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C(F)(F)F ZINC000744074867 574052383 /nfs/dbraw/zinc/05/23/83/574052383.db2.gz RAZPHTVXUUMZBN-LURJTMIESA-N 0 0 295.188 2.976 20 5 CFBDRN CCCCCOC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 ZINC000727564177 574056045 /nfs/dbraw/zinc/05/60/45/574056045.db2.gz MHROXJPIISFSGK-UHFFFAOYSA-N 0 0 295.291 2.713 20 5 CFBDRN CC(=O)N(C)c1ccc(Nc2cccnc2[N+](=O)[O-])cc1 ZINC000744171323 574058125 /nfs/dbraw/zinc/05/81/25/574058125.db2.gz KTLSKOIKENPYKY-UHFFFAOYSA-N 0 0 286.291 2.716 20 5 CFBDRN CCOc1ccc(C(=O)OCCC2CC2)cc1[N+](=O)[O-] ZINC000744283665 574064039 /nfs/dbraw/zinc/06/40/39/574064039.db2.gz ZOSXWJMEWBGWPS-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)O[C@@H]1CCSC1 ZINC000727772805 574064320 /nfs/dbraw/zinc/06/43/20/574064320.db2.gz PQQQWYCIIDUGRP-GCLPIYDQSA-N 0 0 279.317 2.657 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])OCCC1CC1 ZINC000744288390 574064696 /nfs/dbraw/zinc/06/46/96/574064696.db2.gz JMVZKAASNXZZMR-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN CCC(C)(C)COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000744332099 574068265 /nfs/dbraw/zinc/06/82/65/574068265.db2.gz OYYKUIFKVHAUMB-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN Cc1ccc(OC(=O)C2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000753634556 574070934 /nfs/dbraw/zinc/07/09/34/574070934.db2.gz RBQCHWDNRRULFA-UHFFFAOYSA-N 0 0 271.219 2.854 20 5 CFBDRN O=C(COC(=O)c1c(Cl)cccc1[N+](=O)[O-])C1CCC1 ZINC000754103218 574073053 /nfs/dbraw/zinc/07/30/53/574073053.db2.gz YWNYZRPXZJHSMZ-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc([N+](=O)[O-])cc2F)n1 ZINC000736795674 574075928 /nfs/dbraw/zinc/07/59/28/574075928.db2.gz ONUAFDOMIVGIJO-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCNc1ccc(C(=O)OCC2CCC2)cc1[N+](=O)[O-] ZINC000744480104 574076957 /nfs/dbraw/zinc/07/69/57/574076957.db2.gz UWQODANHBUCSAP-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN CCNc1ccc(C(=O)OCCCOCC)cc1[N+](=O)[O-] ZINC000744480400 574077049 /nfs/dbraw/zinc/07/70/49/574077049.db2.gz XWHFWPNEGQSNFW-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000744613143 574082005 /nfs/dbraw/zinc/08/20/05/574082005.db2.gz YNAMOYXOGOQIBK-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN Cc1cc(OCc2cc(F)ccc2[N+](=O)[O-])nnc1C ZINC000730440777 574082072 /nfs/dbraw/zinc/08/20/72/574082072.db2.gz ZAUDGZWFDNJKST-UHFFFAOYSA-N 0 0 277.255 2.720 20 5 CFBDRN O=C(OCc1ccno1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730645004 574090942 /nfs/dbraw/zinc/09/09/42/574090942.db2.gz SAQXJRXFBIMYAR-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN O=Cc1cc(C(=O)OCc2csc([N+](=O)[O-])c2)cs1 ZINC000754561725 574103182 /nfs/dbraw/zinc/10/31/82/574103182.db2.gz JCNSKQYQIBQFRA-UHFFFAOYSA-N 0 0 297.313 2.887 20 5 CFBDRN CCCCOC(=O)[C@@H](C)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000754602101 574107786 /nfs/dbraw/zinc/10/77/86/574107786.db2.gz YLCRBNIZAJQJAR-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN O=C(OCc1ccc2c(c1)CCO2)c1cccc([N+](=O)[O-])c1 ZINC000728774838 574108099 /nfs/dbraw/zinc/10/80/99/574108099.db2.gz UWPUIEIIGMSNEM-UHFFFAOYSA-N 0 0 299.282 2.887 20 5 CFBDRN C[C@@H]1CN(c2nc3ccc([N+](=O)[O-])cc3s2)[C@H](C)CO1 ZINC000731208347 574112909 /nfs/dbraw/zinc/11/29/09/574112909.db2.gz BUOHRRQVBUCEMH-RKDXNWHRSA-N 0 0 293.348 2.818 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000756427458 574114757 /nfs/dbraw/zinc/11/47/57/574114757.db2.gz OMPVTUCMFMJDAX-QMMMGPOBSA-N 0 0 297.282 2.814 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)/C=C/C1CC1 ZINC000745553012 574116585 /nfs/dbraw/zinc/11/65/85/574116585.db2.gz MGEOININESHPLE-QPJJXVBHSA-N 0 0 277.276 2.613 20 5 CFBDRN COc1ccc(COC(=O)/C=C\C2CC2)cc1[N+](=O)[O-] ZINC000745555698 574117098 /nfs/dbraw/zinc/11/70/98/574117098.db2.gz VVDCWOXXUXPRCG-ALCCZGGFSA-N 0 0 277.276 2.613 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000731393263 574119078 /nfs/dbraw/zinc/11/90/78/574119078.db2.gz YPCKLODVNRWSAC-VIFPVBQESA-N 0 0 283.349 2.946 20 5 CFBDRN O=C(CC1CCC1)OCCOc1cccc([N+](=O)[O-])c1 ZINC000745574263 574119541 /nfs/dbraw/zinc/11/95/41/574119541.db2.gz YSUOIKOXHNGBQF-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CC/C=C(/C)C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000731696806 574126949 /nfs/dbraw/zinc/12/69/49/574126949.db2.gz CKXHMPWBEFHCMC-YHYXMXQVSA-N 0 0 277.276 2.677 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCC(=O)C(C)C ZINC000755019707 574132887 /nfs/dbraw/zinc/13/28/87/574132887.db2.gz KTLBGEMJOCBBHZ-FLIBITNWSA-N 0 0 291.303 2.766 20 5 CFBDRN C[C@@H](OC(=O)Cc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000731969972 574138403 /nfs/dbraw/zinc/13/84/03/574138403.db2.gz ADEPBQMVNLRLIG-LLVKDONJSA-N 0 0 286.287 2.837 20 5 CFBDRN C[C@@]1(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])CCOC1 ZINC000755115615 574139437 /nfs/dbraw/zinc/13/94/37/574139437.db2.gz LDGVUYUSXARXLL-CYBMUJFWSA-N 0 0 299.710 2.718 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)c2ccco2)c([N+](=O)[O-])c1 ZINC000741516345 574140400 /nfs/dbraw/zinc/14/04/00/574140400.db2.gz KVNJHUBKRVVAHX-SECBINFHSA-N 0 0 291.259 2.906 20 5 CFBDRN CCO[C@@H](CC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728994828 574144503 /nfs/dbraw/zinc/14/45/03/574144503.db2.gz YSFCRNFSMDJISP-LBPRGKRZSA-N 0 0 285.271 2.592 20 5 CFBDRN CSCC[C@H](C)N(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000746390782 574147359 /nfs/dbraw/zinc/14/73/59/574147359.db2.gz FVCSWGZWJZBIDS-QMMMGPOBSA-N 0 0 296.352 2.709 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@H](O)c1cccc(F)c1 ZINC000751964042 574148317 /nfs/dbraw/zinc/14/83/17/574148317.db2.gz AAQWCTHLNJUNDT-XPTSAGLGSA-N 0 0 291.282 2.663 20 5 CFBDRN Cc1noc(C)c1CN(C)c1ccc([N+](=O)[O-])c(N)c1F ZINC000746429216 574148914 /nfs/dbraw/zinc/14/89/14/574148914.db2.gz CGONLZDPNSGLDA-UHFFFAOYSA-N 0 0 294.286 2.557 20 5 CFBDRN CCc1nnc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])s2)o1 ZINC000732310650 574151363 /nfs/dbraw/zinc/15/13/63/574151363.db2.gz LUZCSLXCBIINCN-ZCFIWIBFSA-N 0 0 297.292 2.520 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(C(=S)NC1CC1)C1CC1 ZINC000746533323 574153404 /nfs/dbraw/zinc/15/34/04/574153404.db2.gz LXNYMJXYERXWJM-UHFFFAOYSA-N 0 0 291.376 2.596 20 5 CFBDRN CCC/C=C\C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000737870983 574153871 /nfs/dbraw/zinc/15/38/71/574153871.db2.gz QSHINOAUUGLRCB-YWEYNIOJSA-N 0 0 260.293 2.840 20 5 CFBDRN CC(C)(C)/C=C\C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000732403949 574156059 /nfs/dbraw/zinc/15/60/59/574156059.db2.gz DYWDXVDPZNUPSJ-FPLPWBNLSA-N 0 0 291.303 2.923 20 5 CFBDRN Cc1ncsc1COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000732502811 574159029 /nfs/dbraw/zinc/15/90/29/574159029.db2.gz XUQFLQXMQYNJPQ-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)/C=C\C1CCOCC1 ZINC000732634897 574164310 /nfs/dbraw/zinc/16/43/10/574164310.db2.gz DOHGSYFMWGIJOR-HYXAFXHYSA-N 0 0 291.303 2.791 20 5 CFBDRN C[C@@](O)(CNc1nc2ccc([N+](=O)[O-])cc2s1)C1CC1 ZINC000732666366 574165276 /nfs/dbraw/zinc/16/52/76/574165276.db2.gz QSELNDYSTHWVRD-CYBMUJFWSA-N 0 0 293.348 2.777 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C(F)F)C1 ZINC000755518202 574167076 /nfs/dbraw/zinc/16/70/76/574167076.db2.gz DGAQMVLIBSIDNP-RMKNXTFCSA-N 0 0 296.273 2.722 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000729087884 574172754 /nfs/dbraw/zinc/17/27/54/574172754.db2.gz UJZXVFWMYSTQBO-CMPLNLGQSA-N 0 0 288.303 2.749 20 5 CFBDRN Cc1ncoc1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000755629978 574173226 /nfs/dbraw/zinc/17/32/26/574173226.db2.gz PXSPGFXHRDMXID-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCc1nccs1 ZINC000746975932 574173522 /nfs/dbraw/zinc/17/35/22/574173522.db2.gz FMISACKZGDBHHU-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746967853 574173540 /nfs/dbraw/zinc/17/35/40/574173540.db2.gz TWSZLBZQSPNQFA-SECBINFHSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1ncc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)s1 ZINC000746968365 574173572 /nfs/dbraw/zinc/17/35/72/574173572.db2.gz XRZYIQPOHVXBIN-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CCNc1ccc(C(=O)O[C@H](C)CC)cc1[N+](=O)[O-] ZINC000738574308 574177219 /nfs/dbraw/zinc/17/72/19/574177219.db2.gz ORCKAQCXIKQFJM-SECBINFHSA-N 0 0 266.297 2.982 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCCSC ZINC000755675220 574177604 /nfs/dbraw/zinc/17/76/04/574177604.db2.gz BSHGNOPYGBENHH-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CC[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000755682169 574178074 /nfs/dbraw/zinc/17/80/74/574178074.db2.gz ZFVZPMMLTQMSAK-SECBINFHSA-N 0 0 267.281 2.806 20 5 CFBDRN COC[C@@H](OC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000747161282 574183948 /nfs/dbraw/zinc/18/39/48/574183948.db2.gz ZCJIDNOIWZCTKM-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN CC1=CCN(Cn2ncc3cc([N+](=O)[O-])ccc32)CC1 ZINC000755771462 574186299 /nfs/dbraw/zinc/18/62/99/574186299.db2.gz TYFGEXIAJZYMPH-UHFFFAOYSA-N 0 0 272.308 2.554 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@@H](n2ccnc2)C1 ZINC000747216634 574187617 /nfs/dbraw/zinc/18/76/17/574187617.db2.gz YFNBVIDMPOZLIL-CQSZACIVSA-N 0 0 286.335 2.941 20 5 CFBDRN Cc1cc(NC[C@H](O)C2CC2)c(Cl)cc1[N+](=O)[O-] ZINC000747216154 574187630 /nfs/dbraw/zinc/18/76/30/574187630.db2.gz MCYWXUFNMJIYHR-LBPRGKRZSA-N 0 0 270.716 2.739 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@@H](C(F)(F)F)C1 ZINC000747223728 574188019 /nfs/dbraw/zinc/18/80/19/574188019.db2.gz OCBOJAWPCWHPCC-LLVKDONJSA-N 0 0 290.241 2.671 20 5 CFBDRN Cc1ccc(N2CCO[C@H](C(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000747223819 574188028 /nfs/dbraw/zinc/18/80/28/574188028.db2.gz SVXVYXFCMKZZSP-NSHDSACASA-N 0 0 290.241 2.671 20 5 CFBDRN C[C@@H]1CCN(c2nc3ccccn3c2[N+](=O)[O-])CCS1 ZINC000755054236 574196047 /nfs/dbraw/zinc/19/60/47/574196047.db2.gz HDCUARYTICGIKL-SNVBAGLBSA-N 0 0 292.364 2.574 20 5 CFBDRN CC(=O)CCCOC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755058588 574196574 /nfs/dbraw/zinc/19/65/74/574196574.db2.gz AALIOQDZRADIMR-JTQLQIEISA-N 0 0 297.282 2.750 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000747486451 574198207 /nfs/dbraw/zinc/19/82/07/574198207.db2.gz LQFXNZVCBIPESH-SNVBAGLBSA-N 0 0 263.293 2.869 20 5 CFBDRN CC[C@H]1CCCCN1c1ccc([N+](=O)[O-])c2nonc21 ZINC000733185231 574207194 /nfs/dbraw/zinc/20/71/94/574207194.db2.gz QLAGTONPPVJQQM-VIFPVBQESA-N 0 0 276.296 2.900 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1ccc([N+](=O)[O-])cc1F ZINC000756129554 574211704 /nfs/dbraw/zinc/21/17/04/574211704.db2.gz ZMYYENNVQQXJCG-UWVGGRQHSA-N 0 0 268.288 2.738 20 5 CFBDRN CC(C)[C@@H]1CCN(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000756139604 574212839 /nfs/dbraw/zinc/21/28/39/574212839.db2.gz JFFLLEJHVJMWBE-LLVKDONJSA-N 0 0 274.324 2.725 20 5 CFBDRN CCCCC(N)=NOCc1ccc([N+](=O)[O-])cc1F ZINC000747827062 574214833 /nfs/dbraw/zinc/21/48/33/574214833.db2.gz ZNKDFVMFWSNMLH-UHFFFAOYSA-N 0 0 269.276 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(F)n2)c(F)c1 ZINC000747846704 574215489 /nfs/dbraw/zinc/21/54/89/574215489.db2.gz KLFCNILOUCQWGX-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000747878189 574217015 /nfs/dbraw/zinc/21/70/15/574217015.db2.gz XLBOLDDAXDGSRU-UHFFFAOYSA-N 0 0 293.254 2.615 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCc1nccs1 ZINC000756243223 574218267 /nfs/dbraw/zinc/21/82/67/574218267.db2.gz KMQWQHMLIZULRZ-UHFFFAOYSA-N 0 0 292.316 2.727 20 5 CFBDRN Cc1cccnc1CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000729469956 574218438 /nfs/dbraw/zinc/21/84/38/574218438.db2.gz LFSUXOMYFWPRKE-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN C/C=C/C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000729651095 574227058 /nfs/dbraw/zinc/22/70/58/574227058.db2.gz SNNDRVRXRKWXCH-ONEGZZNKSA-N 0 0 268.700 2.783 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C(F)(F)F)C2)c(F)c1 ZINC000739897791 574228219 /nfs/dbraw/zinc/22/82/19/574228219.db2.gz RSHCRADUFXVQLW-SNVBAGLBSA-N 0 0 294.204 2.501 20 5 CFBDRN O=C(CCC1CCCCC1)OCCn1ccc([N+](=O)[O-])n1 ZINC000748103474 574229088 /nfs/dbraw/zinc/22/90/88/574229088.db2.gz YAYULRGWOVZLNE-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN Cc1ccc(C[NH2+][C@@H](CC(C)(C)C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000756359196 574229556 /nfs/dbraw/zinc/22/95/56/574229556.db2.gz BIJNQFYAHHFOAU-LBPRGKRZSA-N 0 0 294.351 2.882 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000748108737 574229696 /nfs/dbraw/zinc/22/96/96/574229696.db2.gz KYYFHVCIMXDNIY-SECBINFHSA-N 0 0 295.291 2.727 20 5 CFBDRN C[C@@H](CC1CCCC1)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000748126564 574230536 /nfs/dbraw/zinc/23/05/36/574230536.db2.gz MUQCFKQNBQHVBE-NSHDSACASA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(OCCn1ccc([N+](=O)[O-])n1)C1CCCCCCC1 ZINC000748128343 574231345 /nfs/dbraw/zinc/23/13/45/574231345.db2.gz FCZLGDGOGVITKG-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN CC[C@@H](C)COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000756388143 574232663 /nfs/dbraw/zinc/23/26/63/574232663.db2.gz PXKXWYGUAVQHCB-MRVPVSSYSA-N 0 0 255.245 2.937 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CC2(Cl)Cl)c1 ZINC000748154050 574232859 /nfs/dbraw/zinc/23/28/59/574232859.db2.gz HDCMZBMSZMACAK-QMMMGPOBSA-N 0 0 290.102 2.980 20 5 CFBDRN CC[C@@H](C)COC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000756392915 574233046 /nfs/dbraw/zinc/23/30/46/574233046.db2.gz HSYAHFDBUUVIRM-SNVBAGLBSA-N 0 0 281.308 2.735 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1ccc([N+](=O)[O-])cc1 ZINC000748170438 574234692 /nfs/dbraw/zinc/23/46/92/574234692.db2.gz OWVWMDLLHLNSPS-SNVBAGLBSA-N 0 0 277.276 2.511 20 5 CFBDRN Cc1sc(C(=O)OCCCOC(C)C)cc1[N+](=O)[O-] ZINC000733437989 574236793 /nfs/dbraw/zinc/23/67/93/574236793.db2.gz VDCCKYBJDOHKGA-UHFFFAOYSA-N 0 0 287.337 2.937 20 5 CFBDRN C[C@H](COC(=O)c1ccc(N)c([N+](=O)[O-])c1)C(F)(F)F ZINC000748217135 574240367 /nfs/dbraw/zinc/24/03/67/574240367.db2.gz MTFGJIZHVJVEBY-ZCFIWIBFSA-N 0 0 292.213 2.532 20 5 CFBDRN O=C(C[C@H]1CCCCO1)OCc1ccc([N+](=O)[O-])cc1F ZINC000756505469 574241929 /nfs/dbraw/zinc/24/19/29/574241929.db2.gz CUDQWZLJUSQUKK-GFCCVEGCSA-N 0 0 297.282 2.736 20 5 CFBDRN CCN(CCc1ccccn1)c1ncc(C)cc1[N+](=O)[O-] ZINC000748279643 574244549 /nfs/dbraw/zinc/24/45/49/574244549.db2.gz GZBYHNALAHJBSO-UHFFFAOYSA-N 0 0 286.335 2.762 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000733581149 574247573 /nfs/dbraw/zinc/24/75/73/574247573.db2.gz YSOOGSDSXLLERP-MRVPVSSYSA-N 0 0 257.311 2.992 20 5 CFBDRN CCC1(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])COC1 ZINC000756564176 574248070 /nfs/dbraw/zinc/24/80/70/574248070.db2.gz DZVRPNFMJOZXFK-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN O=C(C[C@H]1CCOC1)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000740386946 574249207 /nfs/dbraw/zinc/24/92/07/574249207.db2.gz VHXWVCPTBBRTGQ-SECBINFHSA-N 0 0 299.710 2.718 20 5 CFBDRN C/C=C\COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000740394302 574249603 /nfs/dbraw/zinc/24/96/03/574249603.db2.gz FCWNXFOUIPYEHO-PLNGDYQASA-N 0 0 263.293 2.992 20 5 CFBDRN Cn1c(=O)oc2cc(/C=C\c3ccc([N+](=O)[O-])cn3)ccc21 ZINC000756632797 574253816 /nfs/dbraw/zinc/25/38/16/574253816.db2.gz QCFLQZDSWCYTMB-RQOWECAXSA-N 0 0 297.270 2.605 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H]1CCCS1 ZINC000748478251 574254550 /nfs/dbraw/zinc/25/45/50/574254550.db2.gz WRUFFJSXMQOLFZ-LBPRGKRZSA-N 0 0 297.332 2.542 20 5 CFBDRN CCCOCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000740552409 574255115 /nfs/dbraw/zinc/25/51/15/574255115.db2.gz CVHPZYWHPPWVSC-UHFFFAOYSA-N 0 0 295.335 2.842 20 5 CFBDRN Cc1cccc(C(=O)OCc2cscn2)c1[N+](=O)[O-] ZINC000748515615 574256290 /nfs/dbraw/zinc/25/62/90/574256290.db2.gz GLALSDUBJYXPJK-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN COc1ccc(OCC(F)(F)C(F)F)c([N+](=O)[O-])c1 ZINC000733871913 574259432 /nfs/dbraw/zinc/25/94/32/574259432.db2.gz YMWMUCHPLZFBHZ-UHFFFAOYSA-N 0 0 283.177 2.883 20 5 CFBDRN C[Si](C)(C)COC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000740957854 574275742 /nfs/dbraw/zinc/27/57/42/574275742.db2.gz KXIDJFOIECSDQM-UHFFFAOYSA-N 0 0 289.310 2.907 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ccc(F)cc2[N+](=O)[O-])o1 ZINC000741243590 574284313 /nfs/dbraw/zinc/28/43/13/574284313.db2.gz QPTNATDQIORLCG-LBPRGKRZSA-N 0 0 280.255 2.781 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])c2nonc21)c1ccncc1 ZINC000749285512 574288083 /nfs/dbraw/zinc/28/80/83/574288083.db2.gz GIQDWPHUCZOYKT-SECBINFHSA-N 0 0 299.290 2.742 20 5 CFBDRN CCOc1ccc(C(=O)OCCCF)cc1[N+](=O)[O-] ZINC000741445514 574290261 /nfs/dbraw/zinc/29/02/61/574290261.db2.gz RHOKKURWIISLEA-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN Cn1cccc1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734880149 574305866 /nfs/dbraw/zinc/30/58/66/574305866.db2.gz ACJCURIADVTXBM-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Oc1nccs1 ZINC000725791052 574308623 /nfs/dbraw/zinc/30/86/23/574308623.db2.gz QBCPKIPXNWFAJZ-UHFFFAOYSA-N 0 0 252.251 2.852 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@@H]1Cc2ccccc21 ZINC000741882160 574309091 /nfs/dbraw/zinc/30/90/91/574309091.db2.gz WMPCOJSYHUUARO-CQSZACIVSA-N 0 0 269.256 2.840 20 5 CFBDRN C[C@H](CC(=O)Oc1cccc([N+](=O)[O-])c1)n1cccc1 ZINC000735030932 574310983 /nfs/dbraw/zinc/31/09/83/574310983.db2.gz QRAZVGOXQJAILK-LLVKDONJSA-N 0 0 274.276 2.953 20 5 CFBDRN O=C(OCC1CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000744198683 574318986 /nfs/dbraw/zinc/31/89/86/574318986.db2.gz XWIWYWCDVYARCJ-UHFFFAOYSA-N 0 0 253.229 2.691 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC1Cc2ccccc2C1 ZINC000735301921 574319336 /nfs/dbraw/zinc/31/93/36/574319336.db2.gz QHKSYKJLDJHJDU-UHFFFAOYSA-N 0 0 269.304 2.817 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@]2(O)CCCc3ccccc32)cn1 ZINC000735487496 574326514 /nfs/dbraw/zinc/32/65/14/574326514.db2.gz LMMKQULCCDSSMV-INIZCTEOSA-N 0 0 299.330 2.626 20 5 CFBDRN CN(CCc1ccccc1F)c1ccc([N+](=O)[O-])nc1 ZINC000735487073 574326791 /nfs/dbraw/zinc/32/67/91/574326791.db2.gz BKJCZVIIYIJDJV-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN CCCN(C(=O)Cc1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000750129551 574326957 /nfs/dbraw/zinc/32/69/57/574326957.db2.gz VDEMZCDDNSCSKF-UHFFFAOYSA-N 0 0 299.330 2.976 20 5 CFBDRN CO[C@@H](C)CC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000750146045 574327686 /nfs/dbraw/zinc/32/76/86/574327686.db2.gz IHJAFGICKRJKPS-UWVGGRQHSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)C1=COCC1 ZINC000735537186 574328722 /nfs/dbraw/zinc/32/87/22/574328722.db2.gz VVMWTPIDHHVIID-UHFFFAOYSA-N 0 0 283.667 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H]3CCC[C@H]3O)sc2c1 ZINC000742421542 574329419 /nfs/dbraw/zinc/32/94/19/574329419.db2.gz NSVRNNIBNCJBMQ-GZMMTYOYSA-N 0 0 293.348 2.777 20 5 CFBDRN C[C@@H](CCO)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000742427126 574329761 /nfs/dbraw/zinc/32/97/61/574329761.db2.gz OZQMCNTVMTWNBQ-QMMMGPOBSA-N 0 0 281.337 2.635 20 5 CFBDRN Cc1cnc(NC[C@@H]2CC2(Cl)Cl)c([N+](=O)[O-])c1 ZINC000742428621 574330039 /nfs/dbraw/zinc/33/00/39/574330039.db2.gz DRXRDEWCSHJNKE-ZETCQYMHSA-N 0 0 276.123 2.904 20 5 CFBDRN C[C@@H](OC(=O)[C@@H](O)C(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000742455242 574331012 /nfs/dbraw/zinc/33/10/12/574331012.db2.gz ZUNUBJOFWOKPQO-BXKDBHETSA-N 0 0 281.308 2.606 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)on1 ZINC000735801391 574336447 /nfs/dbraw/zinc/33/64/47/574336447.db2.gz ZTUULUFRRUNOFR-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[Si](C)(C)C ZINC000735965560 574344551 /nfs/dbraw/zinc/34/45/51/574344551.db2.gz HWNXOWJKYRRDSW-UHFFFAOYSA-N 0 0 267.357 2.937 20 5 CFBDRN O=C(OCc1ccon1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750536640 574346952 /nfs/dbraw/zinc/34/69/52/574346952.db2.gz SOLLNVMBZHDXFF-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCNc1ccccc1 ZINC000736183036 574353076 /nfs/dbraw/zinc/35/30/76/574353076.db2.gz DESWSAJLMDCXIO-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN Cc1ccc(C(=O)OCCOC2CCC2)cc1[N+](=O)[O-] ZINC000750770389 574354609 /nfs/dbraw/zinc/35/46/09/574354609.db2.gz NSEXJRVWHWUIHJ-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN CCC/C=C\C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000736194629 574354734 /nfs/dbraw/zinc/35/47/34/574354734.db2.gz FVUSQNBCWUMOOC-WAYWQWQTSA-N 0 0 292.291 2.676 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CC=CCC2)nc2ccccn21 ZINC000736299345 574360828 /nfs/dbraw/zinc/36/08/28/574360828.db2.gz RMWMKJCLKSIEHR-SNVBAGLBSA-N 0 0 258.281 2.763 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC(C3CCCC3)CC2)c1 ZINC000743378017 574361943 /nfs/dbraw/zinc/36/19/43/574361943.db2.gz RPPKVFQLCPTDIQ-UHFFFAOYSA-N 0 0 278.356 2.651 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000743460145 574365598 /nfs/dbraw/zinc/36/55/98/574365598.db2.gz AWZGPBBUBAUIIL-ZJUUUORDSA-N 0 0 297.311 2.712 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC(c2ccncc2)CC1 ZINC000205414358 574370372 /nfs/dbraw/zinc/37/03/72/574370372.db2.gz WSJXBRCVTRUAKA-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1C[C@H]1C1CC1 ZINC000751249633 574373023 /nfs/dbraw/zinc/37/30/23/574373023.db2.gz UMMALXTZZAYTFA-RYUDHWBXSA-N 0 0 279.267 2.823 20 5 CFBDRN Cc1sc(C(=O)OCC(=O)CC(C)C)cc1[N+](=O)[O-] ZINC000751644459 574380490 /nfs/dbraw/zinc/38/04/90/574380490.db2.gz KOCZRPZZKBRWHJ-UHFFFAOYSA-N 0 0 285.321 2.737 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CC1 ZINC000751733379 574381603 /nfs/dbraw/zinc/38/16/03/574381603.db2.gz BPMKMPAGGWNPQU-VHSXEESVSA-N 0 0 267.306 2.746 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1C1CC1 ZINC000751734268 574381718 /nfs/dbraw/zinc/38/17/18/574381718.db2.gz ANLBMZZPBDQDFI-UONOGXRCSA-N 0 0 291.303 2.563 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@@H]1C[C@@H]1C1CC1 ZINC000751738404 574381776 /nfs/dbraw/zinc/38/17/76/574381776.db2.gz AHOZJZWIMPLZKP-VXGBXAGGSA-N 0 0 279.267 2.823 20 5 CFBDRN C[C@@H](OC(=O)[C@@H](O)CC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000751777826 574382686 /nfs/dbraw/zinc/38/26/86/574382686.db2.gz XAZHVBCKZYTLNX-MFKMUULPSA-N 0 0 295.335 2.996 20 5 CFBDRN CCOCCC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000751836716 574383623 /nfs/dbraw/zinc/38/36/23/574383623.db2.gz ZAZJDAGZBVUEJK-JTQLQIEISA-N 0 0 267.281 2.626 20 5 CFBDRN C/C(=C\C(=O)OCc1ccon1)c1ccc([N+](=O)[O-])cc1 ZINC000752347419 574390556 /nfs/dbraw/zinc/39/05/56/574390556.db2.gz NNGRAQUZGBCUDW-CSKARUKUSA-N 0 0 288.259 2.730 20 5 CFBDRN C/C(=C/C(=O)NCc1ccc[nH]1)c1ccc([N+](=O)[O-])cc1 ZINC000752477051 574391653 /nfs/dbraw/zinc/39/16/53/574391653.db2.gz FTUNXBWZFVLREM-LUAWRHEFSA-N 0 0 285.303 2.643 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)C2(C)CC2)c1 ZINC000752498558 574392003 /nfs/dbraw/zinc/39/20/03/574392003.db2.gz XCNQVDPBWXMQPF-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN C/C(=C/C(=O)Nc1ncccc1O)c1ccc([N+](=O)[O-])cc1 ZINC000752772938 574395020 /nfs/dbraw/zinc/39/50/20/574395020.db2.gz QXLOABWPTYCAAP-KTKRTIGZSA-N 0 0 299.286 2.737 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccccn1 ZINC000752980857 574397083 /nfs/dbraw/zinc/39/70/83/574397083.db2.gz MMUYETZAUJLJBX-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC23CCC(CC2)C3)c1[N+](=O)[O-] ZINC000753136510 574399145 /nfs/dbraw/zinc/39/91/45/574399145.db2.gz PCMDFUPSWKABIQ-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2cn(-c3ccccc3)nn2)nc1 ZINC000753146749 574399408 /nfs/dbraw/zinc/39/94/08/574399408.db2.gz NJWHYHZCZNQVOM-VOTSOKGWSA-N 0 0 293.286 2.741 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2C[C@@H](OC)C2(C)C)c1 ZINC000753361516 574401787 /nfs/dbraw/zinc/40/17/87/574401787.db2.gz NOJMWCLQSOOCDJ-VXGBXAGGSA-N 0 0 298.314 2.968 20 5 CFBDRN CCCCCOC(=O)c1cc([N+](=O)[O-])c(N)cc1OC ZINC000753446349 574402607 /nfs/dbraw/zinc/40/26/07/574402607.db2.gz LHILJNXBKKHGRG-UHFFFAOYSA-N 0 0 282.296 2.533 20 5 CFBDRN COC1(C(=O)OCc2ccccc2[N+](=O)[O-])CCCC1 ZINC000753503030 574403416 /nfs/dbraw/zinc/40/34/16/574403416.db2.gz LGNVFGOXPPASKB-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN COC1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCCC1 ZINC000753514984 574403730 /nfs/dbraw/zinc/40/37/30/574403730.db2.gz KHUPLKBSHFNBPD-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C1CC(F)(F)C1 ZINC000753578594 574405225 /nfs/dbraw/zinc/40/52/25/574405225.db2.gz JMHDZQMAFJVVGN-UHFFFAOYSA-N 0 0 257.192 2.546 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)on1 ZINC000753672998 574407845 /nfs/dbraw/zinc/40/78/45/574407845.db2.gz ZCZDMWQFZYNPRW-QMMMGPOBSA-N 0 0 292.247 2.509 20 5 CFBDRN C[C@@H]([NH2+]C1CCC(C(=O)[O-])CC1)c1ccc([N+](=O)[O-])cc1 ZINC000086735522 598135605 /nfs/dbraw/zinc/13/56/05/598135605.db2.gz OKTHSMRRCCHXOP-QFWMXSHPSA-N 0 0 292.335 2.889 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000935541623 649916032 /nfs/dbraw/zinc/91/60/32/649916032.db2.gz UYWSSSGTYQSUAI-GWCFXTLKSA-N 0 0 294.326 2.971 20 5 CFBDRN CCC(CC)(CC(=O)[O-])[NH2+]Cc1cc(F)ccc1[N+](=O)[O-] ZINC000828800034 602214030 /nfs/dbraw/zinc/21/40/30/602214030.db2.gz QMXOUXJXAXJMFD-UHFFFAOYSA-N 0 0 298.314 2.857 20 5 CFBDRN O=C([O-])C[N@H+](Cc1c(F)cccc1[N+](=O)[O-])C1CCCC1 ZINC000833248583 602340494 /nfs/dbraw/zinc/34/04/94/602340494.db2.gz UYAMGFLILCOVOG-UHFFFAOYSA-N 0 0 296.298 2.563 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)C1 ZINC000935716866 649933302 /nfs/dbraw/zinc/93/33/02/649933302.db2.gz ZSEXKBMSZLKGSN-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN CC1=CCCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000935792455 649945933 /nfs/dbraw/zinc/94/59/33/649945933.db2.gz QVYONIBGSOWQNC-UHFFFAOYSA-N 0 0 292.310 2.845 20 5 CFBDRN C[C@]([NH2+]Cc1ccc(Cl)c([N+](=O)[O-])c1)(C(=O)[O-])C1CC1 ZINC000827740640 604787602 /nfs/dbraw/zinc/78/76/02/604787602.db2.gz ABERTOGCLNXSEM-CYBMUJFWSA-N 0 0 298.726 2.591 20 5 CFBDRN C[C@@]1([NH2+]Cc2ccccc2[N+](=O)[O-])CCCC[C@@H]1C(=O)[O-] ZINC000833569442 604813261 /nfs/dbraw/zinc/81/32/61/604813261.db2.gz KALLUBBEXYJTFZ-IUODEOHRSA-N 0 0 292.335 2.718 20 5 CFBDRN CC[C@@H]([NH2+]CC(C)(C)NC(=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000826621131 605107825 /nfs/dbraw/zinc/10/78/25/605107825.db2.gz YYXSIDKFZZWOOL-GFCCVEGCSA-N 0 0 295.339 2.682 20 5 CFBDRN CC(C)(C)C[C@H]([NH2+]CCc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000833422873 605151642 /nfs/dbraw/zinc/15/16/42/605151642.db2.gz BPCGWZGJEWMDKY-ZDUSSCGKSA-N 0 0 294.351 2.616 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(Cl)c(F)c([N+](=O)[O-])c2)C1 ZINC000900486554 620681698 /nfs/dbraw/zinc/68/16/98/620681698.db2.gz ZGNKPPNJFCJONF-SSDOTTSWSA-N 0 0 286.690 2.869 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]2C[C@@H]21 ZINC000935923469 649960982 /nfs/dbraw/zinc/96/09/82/649960982.db2.gz NUUORGJGCCIWIJ-NGFQHRJXSA-N 0 0 286.331 2.709 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cn2cc(C3CCC3)nn2)c(F)c1 ZINC000900568775 620689473 /nfs/dbraw/zinc/68/94/73/620689473.db2.gz HATAWUDVDIVDTG-UHFFFAOYSA-N 0 0 294.261 2.780 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CC1(C)COC1 ZINC000936034499 649977729 /nfs/dbraw/zinc/97/77/29/649977729.db2.gz XPVWDKBBJWLQSV-UHFFFAOYSA-N 0 0 278.308 2.577 20 5 CFBDRN C[C@H](COC(=O)Cc1ccc(C(C)(C)C)cc1)[N+](=O)[O-] ZINC000813107089 617927536 /nfs/dbraw/zinc/92/75/36/617927536.db2.gz JLYDHLPYYUDKTP-LLVKDONJSA-N 0 0 279.336 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OCCn1cccn1 ZINC000109744020 617931307 /nfs/dbraw/zinc/93/13/07/617931307.db2.gz MMGMFNKTRCYDFL-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CC[C@@H](c2ccccc2)C1 ZINC000892128800 617964759 /nfs/dbraw/zinc/96/47/59/617964759.db2.gz NMRHBYDMUZQRTR-CYBMUJFWSA-N 0 0 299.330 2.992 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCSC1 ZINC000811409162 617616427 /nfs/dbraw/zinc/61/64/27/617616427.db2.gz RCVPNABGVXKPDQ-SNVBAGLBSA-N 0 0 299.323 2.920 20 5 CFBDRN CCOc1ccc(Sc2c([N+](=O)[O-])cnn2C)cc1 ZINC000891618698 617687958 /nfs/dbraw/zinc/68/79/58/617687958.db2.gz ULOMAMIVALRFTL-UHFFFAOYSA-N 0 0 279.321 2.878 20 5 CFBDRN CC[C@H](CNc1cc([N+](=O)[O-])ccc1C(C)=O)OC ZINC000811864366 617735832 /nfs/dbraw/zinc/73/58/32/617735832.db2.gz XOVIILDWWCQCPU-LLVKDONJSA-N 0 0 266.297 2.634 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)Nc2n[nH]cc2C)c1 ZINC000134670577 617750514 /nfs/dbraw/zinc/75/05/14/617750514.db2.gz KZDXRNIHSFUOEZ-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN C[C@H]1CN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000933817312 617782168 /nfs/dbraw/zinc/78/21/68/617782168.db2.gz QKXBDVINRODIBQ-QWRGUYRKSA-N 0 0 294.326 2.781 20 5 CFBDRN Cc1ccc(NC(=O)c2ccnc(F)c2F)cc1[N+](=O)[O-] ZINC000097864981 617792189 /nfs/dbraw/zinc/79/21/89/617792189.db2.gz GWOBHTDKCMTCQP-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC(F)(F)C(F)F ZINC000175380387 617798310 /nfs/dbraw/zinc/79/83/10/617798310.db2.gz NBKFLCQYDPYTLO-UHFFFAOYSA-N 0 0 295.188 2.960 20 5 CFBDRN COc1cc(Oc2ncc(SC)cn2)ccc1[N+](=O)[O-] ZINC000804679278 617800015 /nfs/dbraw/zinc/80/00/15/617800015.db2.gz CXFSNRBECWFWLM-UHFFFAOYSA-N 0 0 293.304 2.908 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCN1c1ccc(N)cc1[N+](=O)[O-] ZINC000804679928 617800736 /nfs/dbraw/zinc/80/07/36/617800736.db2.gz KWGPQZNPCMAAMO-IONNQARKSA-N 0 0 289.257 2.954 20 5 CFBDRN CC(=O)c1cc(NCc2cccnc2F)ccc1[N+](=O)[O-] ZINC000804680790 617800820 /nfs/dbraw/zinc/80/08/20/617800820.db2.gz SZAZYPYEXGPMMZ-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CC[C@H](C)C(=O)O[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000023519884 617801214 /nfs/dbraw/zinc/80/12/14/617801214.db2.gz ZAWRKNGVIHXXCZ-VHSXEESVSA-N 0 0 294.307 2.511 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CC[C@H](C(F)F)C1 ZINC000804689127 617813802 /nfs/dbraw/zinc/81/38/02/617813802.db2.gz VVAFDGJNQNJCFV-ZETCQYMHSA-N 0 0 287.222 2.594 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1Nc1cccc(N2CCCC2)c1 ZINC000891934509 617834966 /nfs/dbraw/zinc/83/49/66/617834966.db2.gz VSDMYBXQBAKOMJ-UHFFFAOYSA-N 0 0 287.323 2.672 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000778362358 617844861 /nfs/dbraw/zinc/84/48/61/617844861.db2.gz WJMFXMNUNGGOAX-GHMZBOCLSA-N 0 0 279.292 2.567 20 5 CFBDRN CCOc1cc(C(=O)O[C@@H](C)CC)c([N+](=O)[O-])cc1OC ZINC000121652358 617860527 /nfs/dbraw/zinc/86/05/27/617860527.db2.gz LLMKKQOFNWYMAN-VIFPVBQESA-N 0 0 297.307 2.957 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000890770875 617866652 /nfs/dbraw/zinc/86/66/52/617866652.db2.gz XVLYYXIRGGARFY-ZYHUDNBSSA-N 0 0 260.293 2.679 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC=CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000890770954 617868111 /nfs/dbraw/zinc/86/81/11/617868111.db2.gz GLTWRBOIRDHKTD-ZYHUDNBSSA-N 0 0 290.319 2.688 20 5 CFBDRN CC1(CNC(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000934066237 617885047 /nfs/dbraw/zinc/88/50/47/617885047.db2.gz MNAHCMXCYQJXFM-CHWSQXEVSA-N 0 0 274.320 2.615 20 5 CFBDRN Cc1ccc([C@@H](O)[C@H](C)Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000804810356 617894104 /nfs/dbraw/zinc/89/41/04/617894104.db2.gz STFKAQKKGMGCSN-CABZTGNLSA-N 0 0 293.348 2.894 20 5 CFBDRN CC(C)OCc1ccc(Nc2c([N+](=O)[O-])cnn2C)cc1 ZINC000891988964 617903523 /nfs/dbraw/zinc/90/35/23/617903523.db2.gz XNTXXBZNBWGGAW-UHFFFAOYSA-N 0 0 290.323 2.997 20 5 CFBDRN Cc1cc(C(=O)NCCc2cccnc2C)cc([N+](=O)[O-])c1 ZINC000890835973 617920642 /nfs/dbraw/zinc/92/06/42/617920642.db2.gz PXNNHPYAGBFTRR-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1ncccc1CCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000890838387 617921817 /nfs/dbraw/zinc/92/18/17/617921817.db2.gz LSDPOQVNNZCOCH-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1ccc(CCCC(=O)OC[C@@H](C)[N+](=O)[O-])s1 ZINC000813104024 617924990 /nfs/dbraw/zinc/92/49/90/617924990.db2.gz JBGWMCGNJFSAJZ-SECBINFHSA-N 0 0 271.338 2.588 20 5 CFBDRN C[C@H](CC(=O)OC[C@@H](C)[N+](=O)[O-])c1ccc(F)cc1F ZINC000813104609 617925731 /nfs/dbraw/zinc/92/57/31/617925731.db2.gz PBJBXDZMJDMUOK-RKDXNWHRSA-N 0 0 287.262 2.667 20 5 CFBDRN CSCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000890878112 617930806 /nfs/dbraw/zinc/93/08/06/617930806.db2.gz ZEAABCBUZOSXRD-UHFFFAOYSA-N 0 0 274.367 2.528 20 5 CFBDRN C/C(=C/c1ccc(Cl)cc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813107239 617931777 /nfs/dbraw/zinc/93/17/77/617931777.db2.gz NJWBZXGRTJURFH-UJICNMFASA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@H](COC(=O)c1ccc(OC(C)(C)C)cc1)[N+](=O)[O-] ZINC000813108576 617933446 /nfs/dbraw/zinc/93/34/46/617933446.db2.gz ZYIXBYBUUACQQN-SNVBAGLBSA-N 0 0 281.308 2.686 20 5 CFBDRN COC(=O)/C=C\c1ccc(N[C@@H]2CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000804841168 617937679 /nfs/dbraw/zinc/93/76/79/617937679.db2.gz WHVUZVSNVQDREF-LADFOUISSA-N 0 0 290.319 2.991 20 5 CFBDRN Cc1nc(COc2cc(Cl)ccc2[N+](=O)[O-])no1 ZINC000109744175 617938830 /nfs/dbraw/zinc/93/88/30/617938830.db2.gz LGMQBHGPWVBPFW-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN Cc1c2cc(F)ccc2oc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813112220 617942476 /nfs/dbraw/zinc/94/24/76/617942476.db2.gz DNIKMHDXGKXERJ-ZETCQYMHSA-N 0 0 281.239 2.702 20 5 CFBDRN C[C@]1(COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000177233598 617962848 /nfs/dbraw/zinc/96/28/48/617962848.db2.gz RTWCHPQDHSMGKI-CYBMUJFWSA-N 0 0 299.710 2.974 20 5 CFBDRN CO[C@](C)(COC(=O)c1cc(C)cc([N+](=O)[O-])c1)C1CC1 ZINC000813193657 617980900 /nfs/dbraw/zinc/98/09/00/617980900.db2.gz UENQGNTUJYEUOR-OAHLLOKOSA-N 0 0 293.319 2.875 20 5 CFBDRN CCC1(CC(=O)OCc2ccc(F)cc2[N+](=O)[O-])COC1 ZINC000924341025 618015886 /nfs/dbraw/zinc/01/58/86/618015886.db2.gz PADBXNOAJHRRRM-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN CCOCC(C)(C)NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000891176652 618022871 /nfs/dbraw/zinc/02/28/71/618022871.db2.gz VNPCUGLJMDLXMK-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN CCc1ccc(C(=O)NC/C=C/Cl)cc1[N+](=O)[O-] ZINC000891207883 618043716 /nfs/dbraw/zinc/04/37/16/618043716.db2.gz XOVDSLIGSRDHQX-ZZXKWVIFSA-N 0 0 268.700 2.640 20 5 CFBDRN CC(C)(C(=O)NC/C=C\Cl)c1ccc([N+](=O)[O-])cc1 ZINC000891209547 618045083 /nfs/dbraw/zinc/04/50/83/618045083.db2.gz SNRZRRALOVIASX-BAQGIRSFSA-N 0 0 282.727 2.741 20 5 CFBDRN Cc1cnc(OCc2ccnc(Cl)c2)c([N+](=O)[O-])c1 ZINC000176707429 618073589 /nfs/dbraw/zinc/07/35/89/618073589.db2.gz YCFIZKBUBFMPPB-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN C[C@@H](CN(C)c1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000892294674 618095127 /nfs/dbraw/zinc/09/51/27/618095127.db2.gz WRJUFPJWUYSONU-NSHDSACASA-N 0 0 274.324 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC2OCCCO2)cc1Cl ZINC000091466705 618109310 /nfs/dbraw/zinc/10/93/10/618109310.db2.gz GCELTPLFQAJDLP-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN Cc1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2)c(C)n1 ZINC000077431487 618133601 /nfs/dbraw/zinc/13/36/01/618133601.db2.gz ZORPDSAGSAOWOA-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN C[C@H]1C[C@@H](NC(=S)Nc2ccc([N+](=O)[O-])cc2)CS1 ZINC000840858645 618133909 /nfs/dbraw/zinc/13/39/09/618133909.db2.gz SPJLMWCMMSEVNU-WCBMZHEXSA-N 0 0 297.405 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCCS2)c1C ZINC000889467764 618135416 /nfs/dbraw/zinc/13/54/16/618135416.db2.gz HFYUYGPISYISAF-LLVKDONJSA-N 0 0 294.376 2.837 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)[C@@H](F)CC1CCCCC1 ZINC000891346194 618141461 /nfs/dbraw/zinc/14/14/61/618141461.db2.gz VUYJDRNYOPOUFL-JTQLQIEISA-N 0 0 298.318 2.575 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)CCCCC(C)(C)C ZINC000891346613 618141663 /nfs/dbraw/zinc/14/16/63/618141663.db2.gz ZWXFZPKJRMJSEY-UHFFFAOYSA-N 0 0 282.344 2.873 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NCCCC1CC1 ZINC000933173570 618166063 /nfs/dbraw/zinc/16/60/63/618166063.db2.gz LGEJHEKCTVWZAL-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN CCN(Cc1ccc(C)c([N+](=O)[O-])c1)C(C)(C)C(=O)OC ZINC000933185296 618167721 /nfs/dbraw/zinc/16/77/21/618167721.db2.gz NCSBYDJPQAXROA-UHFFFAOYSA-N 0 0 294.351 2.677 20 5 CFBDRN Cc1ccccc1COC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000052474601 618169801 /nfs/dbraw/zinc/16/98/01/618169801.db2.gz FZMOBFNACOWIAI-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CCc1ccc2c(c1)CCN2C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000891385192 618179096 /nfs/dbraw/zinc/17/90/96/618179096.db2.gz XEHOSKTUXOKPNT-UHFFFAOYSA-N 0 0 290.363 2.974 20 5 CFBDRN CCc1ccc2c(c1)CCN2C(=O)c1ccc([N+](=O)[O-])n1C ZINC000891385645 618179936 /nfs/dbraw/zinc/17/99/36/618179936.db2.gz AYYWWQZNTUJYFN-UHFFFAOYSA-N 0 0 299.330 2.699 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2F)ccn1 ZINC000092349403 618180204 /nfs/dbraw/zinc/18/02/04/618180204.db2.gz UQKQGQATRSFDME-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC[C@@H](C)Nc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000891390674 618182773 /nfs/dbraw/zinc/18/27/73/618182773.db2.gz NXLWKMGGNKUDRO-SECBINFHSA-N 0 0 275.308 2.657 20 5 CFBDRN O=[N+]([O-])c1cnc2c(Cl)cccc2c1N1CCOCC1 ZINC000891401856 618187418 /nfs/dbraw/zinc/18/74/18/618187418.db2.gz LMVCNVFAJLEFPM-UHFFFAOYSA-N 0 0 293.710 2.633 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCC1=CCCCC1 ZINC000891433111 618199485 /nfs/dbraw/zinc/19/94/85/618199485.db2.gz CGYKCYWOVFTCNN-UHFFFAOYSA-N 0 0 250.302 2.631 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCC=C(F)C1 ZINC000935219934 618208802 /nfs/dbraw/zinc/20/88/02/618208802.db2.gz TYENVVPVHUYVRV-ZIAGYGMSSA-N 0 0 290.294 2.784 20 5 CFBDRN CNc1nnc(S/C=C\c2ccc([N+](=O)[O-])o2)s1 ZINC000255354867 618209200 /nfs/dbraw/zinc/20/92/00/618209200.db2.gz JWLPMCZDKPDSCE-PLNGDYQASA-N 0 0 284.322 2.844 20 5 CFBDRN C[C@]1(NCc2cccnc2[N+](=O)[O-])CCOc2ccccc21 ZINC000933367956 618210817 /nfs/dbraw/zinc/21/08/17/618210817.db2.gz CGKKDSDOURIYTQ-INIZCTEOSA-N 0 0 299.330 2.777 20 5 CFBDRN C/C(Cl)=C\C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000901329553 620786027 /nfs/dbraw/zinc/78/60/27/620786027.db2.gz MKVWBIDOTPWWHJ-FCZSHJHJSA-N 0 0 268.700 2.915 20 5 CFBDRN COc1ccc(NC(=O)/C=C/c2ccco2)c([N+](=O)[O-])c1 ZINC000005249014 618233990 /nfs/dbraw/zinc/23/39/90/618233990.db2.gz XOGVWHZOXQVTRZ-FNORWQNLSA-N 0 0 288.259 2.848 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCc1ccc(C2CC2)cc1F ZINC000893946151 618249467 /nfs/dbraw/zinc/24/94/67/618249467.db2.gz RJMQERWCQAMNRC-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN CCO/C=C/C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000840588381 618252090 /nfs/dbraw/zinc/25/20/90/618252090.db2.gz LNYJVXFELFDXFQ-JARNTUPDSA-N 0 0 265.265 2.749 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000177053406 618270909 /nfs/dbraw/zinc/27/09/09/618270909.db2.gz SQWIREAFQDDULS-JTQLQIEISA-N 0 0 293.367 2.994 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000219942493 618274134 /nfs/dbraw/zinc/27/41/34/618274134.db2.gz RPCFAYWQHSCINM-SCZZXKLOSA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1CC2(CC2)C1 ZINC000894016006 618274995 /nfs/dbraw/zinc/27/49/95/618274995.db2.gz GXVFOOGAPNJHKC-UHFFFAOYSA-N 0 0 273.267 2.882 20 5 CFBDRN C[C@H]1OCC[C@@H]1COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000793420769 618275355 /nfs/dbraw/zinc/27/53/55/618275355.db2.gz NXVKMUOYLFGGGG-RKDXNWHRSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C(F)F)c1C ZINC000894035767 618278212 /nfs/dbraw/zinc/27/82/12/618278212.db2.gz MBDSSLFEWDCDCE-MRVPVSSYSA-N 0 0 272.251 2.595 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)c1[N+](=O)[O-] ZINC000889605928 618304136 /nfs/dbraw/zinc/30/41/36/618304136.db2.gz MFJIAXAYJNWYJN-HOSYDEDBSA-N 0 0 290.319 2.522 20 5 CFBDRN CCCc1nc(COc2ccc([N+](=O)[O-])c(F)c2)no1 ZINC000067099098 618329152 /nfs/dbraw/zinc/32/91/52/618329152.db2.gz ZDYJJSYPRAYIRD-UHFFFAOYSA-N 0 0 281.243 2.648 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000103828596 618330603 /nfs/dbraw/zinc/33/06/03/618330603.db2.gz KTXNDKIOPBTWQQ-SECBINFHSA-N 0 0 267.306 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1ncc(C)s1 ZINC000179729116 618343714 /nfs/dbraw/zinc/34/37/14/618343714.db2.gz VBVCUAWSAHRKFI-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN Cc1ccc(OS(=O)(=O)CC2CCCC2)cc1[N+](=O)[O-] ZINC000179014764 618347572 /nfs/dbraw/zinc/34/75/72/618347572.db2.gz YZJAAKOZJWRXFH-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)C/C(Cl)=C/Cl)cc1 ZINC000794500991 618352861 /nfs/dbraw/zinc/35/28/61/618352861.db2.gz AACBDGBJXGRJIY-ALCCZGGFSA-N 0 0 296.131 2.688 20 5 CFBDRN CCc1ncc(CNc2cc([N+](=O)[O-])ccc2F)o1 ZINC000901373685 620798015 /nfs/dbraw/zinc/79/80/15/620798015.db2.gz MDLLFCJDKWJNND-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NC[C@@H]1C=CCC1 ZINC000893330295 618378233 /nfs/dbraw/zinc/37/82/33/618378233.db2.gz QFRJUVCCTAJARW-SNVBAGLBSA-N 0 0 294.738 2.873 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC(C)(C)C1=CCCC1 ZINC000894137950 618385353 /nfs/dbraw/zinc/38/53/53/618385353.db2.gz BSJGGAXSJNZBGO-UHFFFAOYSA-N 0 0 264.329 2.877 20 5 CFBDRN CC(C)[C@@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804540614 618401297 /nfs/dbraw/zinc/40/12/97/618401297.db2.gz AHHASQADBZGZOG-SNVBAGLBSA-N 0 0 279.296 2.985 20 5 CFBDRN CC(=O)CCCOC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000894164130 618409071 /nfs/dbraw/zinc/40/90/71/618409071.db2.gz DETYJVIIVMJULW-UHFFFAOYSA-N 0 0 285.683 2.774 20 5 CFBDRN CCCN(C)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000931109503 618413553 /nfs/dbraw/zinc/41/35/53/618413553.db2.gz VOVGIFOUZJFMRN-OLZOCXBDSA-N 0 0 262.309 2.567 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@@H]1CCCC2(CCC2)O1 ZINC000901393115 620803791 /nfs/dbraw/zinc/80/37/91/620803791.db2.gz NHYJNDZFIJYBON-NSHDSACASA-N 0 0 278.308 2.860 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC/C=C/c1ccccc1 ZINC000814037899 618437603 /nfs/dbraw/zinc/43/76/03/618437603.db2.gz IXZLFVDBXFPUMA-BIPYJSMBSA-N 0 0 263.293 2.688 20 5 CFBDRN C/C(=C\c1ccc(C)cc1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814042391 618442283 /nfs/dbraw/zinc/44/22/83/618442283.db2.gz JOELNGDGBAXBGS-JATZPVMKSA-N 0 0 263.293 2.607 20 5 CFBDRN COC(C)(C)c1cc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000901398956 620805957 /nfs/dbraw/zinc/80/59/57/620805957.db2.gz OXXXDKNQQHMTTP-UHFFFAOYSA-N 0 0 252.226 2.724 20 5 CFBDRN CCOC(=O)/C=C\COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000311248828 618448880 /nfs/dbraw/zinc/44/88/80/618448880.db2.gz WPONZIWIPAKLLE-ARJAWSKDSA-N 0 0 285.683 2.746 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCSC[C@H]2C)c1[N+](=O)[O-] ZINC000177575316 618452864 /nfs/dbraw/zinc/45/28/64/618452864.db2.gz QUWSDHGPRGOUKT-SECBINFHSA-N 0 0 298.339 2.620 20 5 CFBDRN CC1(C)C(NC(=O)c2cc([N+](=O)[O-])ccc2F)C1(C)C ZINC000092817375 618462237 /nfs/dbraw/zinc/46/22/37/618462237.db2.gz CFXBAUGZUPVAIY-UHFFFAOYSA-N 0 0 280.299 2.898 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2cc(C)no2)c1 ZINC000747212546 618471554 /nfs/dbraw/zinc/47/15/54/618471554.db2.gz FPKMOGUYCXFHCC-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NC1CCC=CCC1 ZINC000895213629 618482354 /nfs/dbraw/zinc/48/23/54/618482354.db2.gz OSBOJMGUKJXKJB-UHFFFAOYSA-N 0 0 292.310 2.891 20 5 CFBDRN CC1=NO[C@@H](CNCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000895306349 618522422 /nfs/dbraw/zinc/52/24/22/618522422.db2.gz DQXGOHBJGNMCKR-LLVKDONJSA-N 0 0 283.715 2.503 20 5 CFBDRN CCc1nn(C)c(Sc2cccc(CO)c2)c1[N+](=O)[O-] ZINC000895319805 618531814 /nfs/dbraw/zinc/53/18/14/618531814.db2.gz SDQWRNUNUPSCGZ-UHFFFAOYSA-N 0 0 293.348 2.534 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCOC(F)F ZINC000901422615 620814009 /nfs/dbraw/zinc/81/40/09/620814009.db2.gz CRRYTPZMRMHEJG-UHFFFAOYSA-N 0 0 260.240 2.808 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1Cc2ccccc2O1 ZINC000025259803 618544137 /nfs/dbraw/zinc/54/41/37/618544137.db2.gz OAVDOPQWXYGXHZ-OAHLLOKOSA-N 0 0 299.282 2.642 20 5 CFBDRN Cc1nc([C@@H]2CCN(c3cc(C)ccc3[N+](=O)[O-])C2)no1 ZINC000895404089 618574828 /nfs/dbraw/zinc/57/48/28/618574828.db2.gz QTGQTHOOVLCXHF-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN CC[C@@H](NC(=O)C[C@@H](C)OC)c1cccc([N+](=O)[O-])c1 ZINC000177808534 618606689 /nfs/dbraw/zinc/60/66/89/618606689.db2.gz KIRCWUDOHMKCAC-ZWNOBZJWSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C2=CCCCO2)cc1[N+](=O)[O-] ZINC000177862872 618610211 /nfs/dbraw/zinc/61/02/11/618610211.db2.gz AUIAPSRQFCCFMZ-LLVKDONJSA-N 0 0 290.319 2.775 20 5 CFBDRN CCc1ccc(C(=O)OCC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000177899043 618611991 /nfs/dbraw/zinc/61/19/91/618611991.db2.gz BCCLUYDYDHZQCZ-CYBMUJFWSA-N 0 0 293.319 2.883 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC[C@@H]1CCCO1 ZINC000177942172 618614373 /nfs/dbraw/zinc/61/43/73/618614373.db2.gz SHVYDOJZZMRBBW-LBPRGKRZSA-N 0 0 279.292 2.629 20 5 CFBDRN C[C@@H](OC(=O)CCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000066547225 618622604 /nfs/dbraw/zinc/62/26/04/618622604.db2.gz VBPNWHVSEXXDHG-SNVBAGLBSA-N 0 0 278.308 2.739 20 5 CFBDRN COC(=O)C[C@@H](C)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000310762159 618637211 /nfs/dbraw/zinc/63/72/11/618637211.db2.gz PRLANPALCTUGOL-SSDOTTSWSA-N 0 0 272.688 2.612 20 5 CFBDRN COc1ccccc1CSc1c([N+](=O)[O-])cnn1C ZINC000892603463 618650535 /nfs/dbraw/zinc/65/05/35/618650535.db2.gz NAAKNOHJQJCVMI-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892630991 618663428 /nfs/dbraw/zinc/66/34/28/618663428.db2.gz IBUOLUWEROMVQT-PELKAZGASA-N 0 0 271.276 2.738 20 5 CFBDRN CCOCCC(=O)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000892653165 618675635 /nfs/dbraw/zinc/67/56/35/618675635.db2.gz MKWSSONGQHXTNQ-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OCc2ncccn2)cc1F ZINC000311628225 618717775 /nfs/dbraw/zinc/71/77/75/618717775.db2.gz UYHLSUBZWXGWCS-UHFFFAOYSA-N 0 0 283.646 2.756 20 5 CFBDRN CC1(C)CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000892721737 618732151 /nfs/dbraw/zinc/73/21/51/618732151.db2.gz OQEFLWSYOGXBIP-UHFFFAOYSA-N 0 0 265.269 2.739 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H]2C[C@H]2CC)ccc1[N+](=O)[O-] ZINC000892743045 618737401 /nfs/dbraw/zinc/73/74/01/618737401.db2.gz WSXKSZWNEOBMIE-MWLCHTKSSA-N 0 0 278.308 2.978 20 5 CFBDRN CCOc1cc(NC(=O)[C@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000892744838 618738823 /nfs/dbraw/zinc/73/88/23/618738823.db2.gz OCXWZMLWYBUVLF-WPRPVWTQSA-N 0 0 264.281 2.588 20 5 CFBDRN CCOc1cc(NC(=O)[C@H](C)SC)ccc1[N+](=O)[O-] ZINC000892747990 618739138 /nfs/dbraw/zinc/73/91/38/618739138.db2.gz SVTVOFSZPQJGPK-QMMMGPOBSA-N 0 0 284.337 2.684 20 5 CFBDRN CCOc1cc(NC(=O)C(C)(C)F)ccc1[N+](=O)[O-] ZINC000892746406 618740070 /nfs/dbraw/zinc/74/00/70/618740070.db2.gz LAWSZGCVSZWCFX-UHFFFAOYSA-N 0 0 270.260 2.680 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1C[C@H]2CCCC[C@@H]2C1 ZINC000178297276 618742287 /nfs/dbraw/zinc/74/22/87/618742287.db2.gz UUMUTUBMQXNOMX-GHMZBOCLSA-N 0 0 292.310 2.996 20 5 CFBDRN COc1ccc(OC(=O)c2ccccc2[N+](=O)[O-])cc1 ZINC000000499090 618746175 /nfs/dbraw/zinc/74/61/75/618746175.db2.gz ANFOILLRUCYHDN-UHFFFAOYSA-N 0 0 273.244 2.823 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000892800771 618749075 /nfs/dbraw/zinc/74/90/75/618749075.db2.gz KNRJMRVPJRQGJB-DTWKUNHWSA-N 0 0 265.269 2.738 20 5 CFBDRN COc1cc(N2CCC(C(C)=O)CC2)c([N+](=O)[O-])cc1F ZINC000111691603 618762677 /nfs/dbraw/zinc/76/26/77/618762677.db2.gz TVJHLAYSIGHIDZ-UHFFFAOYSA-N 0 0 296.298 2.548 20 5 CFBDRN CC[C@@]1(C)CCN(c2ncc([N+](=O)[O-])cc2OC)C1 ZINC000892899776 618765072 /nfs/dbraw/zinc/76/50/72/618765072.db2.gz GXAZVJVUZSXJBH-ZDUSSCGKSA-N 0 0 265.313 2.625 20 5 CFBDRN C[C@H]1CC[C@H](C)N1c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000892899429 618765487 /nfs/dbraw/zinc/76/54/87/618765487.db2.gz LGNLLDIRTODBQD-IUCAKERBSA-N 0 0 265.269 2.880 20 5 CFBDRN COCCC1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000784299274 618767216 /nfs/dbraw/zinc/76/72/16/618767216.db2.gz YBDVLEDIHIILIV-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN C[C@@H](O)c1cccc(NCCc2cccc([N+](=O)[O-])c2)n1 ZINC000893014427 618802851 /nfs/dbraw/zinc/80/28/51/618802851.db2.gz XCGPEQNZARSTSO-LLVKDONJSA-N 0 0 287.319 2.698 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC1CCC(F)(F)CC1 ZINC000893030277 618809188 /nfs/dbraw/zinc/80/91/88/618809188.db2.gz LHEICZHFZRFOJB-UHFFFAOYSA-N 0 0 287.266 2.988 20 5 CFBDRN CN(CCC1CC1)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000311976696 618811225 /nfs/dbraw/zinc/81/12/25/618811225.db2.gz CFIWPBBCRVJWRV-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN C[C@H](COC(=O)c1cscc1C(F)F)[N+](=O)[O-] ZINC000893048511 618814987 /nfs/dbraw/zinc/81/49/87/618814987.db2.gz WSVDAHJTAKCGKT-RXMQYKEDSA-N 0 0 265.237 2.508 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC[C@@H]1CCc2ccccc21 ZINC000893075536 618823707 /nfs/dbraw/zinc/82/37/07/618823707.db2.gz BUKQEMGQHYVTGL-YPMHNXCESA-N 0 0 277.320 2.705 20 5 CFBDRN CC[C@]1(C)CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCO1 ZINC000893397443 618964168 /nfs/dbraw/zinc/96/41/68/618964168.db2.gz WFCCNQNZOULBDL-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@@H](C)C2CC2)c1F ZINC000313042240 618973731 /nfs/dbraw/zinc/97/37/31/618973731.db2.gz GHSYFMXOPQFTMC-ZETCQYMHSA-N 0 0 284.262 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCc2ccno2)c1 ZINC000093307903 619005547 /nfs/dbraw/zinc/00/55/47/619005547.db2.gz CISVRJFAJPCOBS-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN Cc1cc(C)cc(CN(C)c2c([N+](=O)[O-])cnn2C)c1 ZINC000893509218 619027009 /nfs/dbraw/zinc/02/70/09/619027009.db2.gz PNTNKYFTJFACDI-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN Cc1c(NC(=O)[C@H](O)c2ccccc2)cccc1[N+](=O)[O-] ZINC000072795069 619037762 /nfs/dbraw/zinc/03/77/62/619037762.db2.gz SCHBGKBWYKNPAF-CQSZACIVSA-N 0 0 286.287 2.575 20 5 CFBDRN Cn1c2ccccc2c(N[C@H]2[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])c1=O ZINC000893551682 619067156 /nfs/dbraw/zinc/06/71/56/619067156.db2.gz XEBXLUAVXMHYQG-IWIIMEHWSA-N 0 0 299.330 2.657 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCSC(C)(C)CC1 ZINC000893564033 619072805 /nfs/dbraw/zinc/07/28/05/619072805.db2.gz MLIRQUWDPHVGLE-UHFFFAOYSA-N 0 0 297.380 2.720 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@@H]1C1CCCC1 ZINC000889392368 619083225 /nfs/dbraw/zinc/08/32/25/619083225.db2.gz LSJKXDDFRDLKFS-CQSZACIVSA-N 0 0 274.320 3.000 20 5 CFBDRN Cc1cc(F)cc(C(=O)N(C)[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000163075473 619125258 /nfs/dbraw/zinc/12/52/58/619125258.db2.gz WZKXWOVJWUJMSK-SNVBAGLBSA-N 0 0 298.339 2.620 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC000893655300 619146905 /nfs/dbraw/zinc/14/69/05/619146905.db2.gz KQHINYQCDNXAJU-WDEREUQCSA-N 0 0 292.726 2.950 20 5 CFBDRN C[C@@H](CNC(=O)NC1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000893662140 619148908 /nfs/dbraw/zinc/14/89/08/619148908.db2.gz VGMKUDSTUCVBDP-NSHDSACASA-N 0 0 289.335 2.716 20 5 CFBDRN COc1cccc(C(=O)N2C[C@@H](C)CC2(C)C)c1[N+](=O)[O-] ZINC000893670221 619151988 /nfs/dbraw/zinc/15/19/88/619151988.db2.gz LJSGCUXPRZUDCM-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CC[S@@](C)(=O)=NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000925828351 619158157 /nfs/dbraw/zinc/15/81/57/619158157.db2.gz FMLCDOPTLLFKTK-SQUSKLHYSA-N 0 0 296.348 2.642 20 5 CFBDRN C[C@@H]1CSC[C@@H]1Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000893826399 619226442 /nfs/dbraw/zinc/22/64/42/619226442.db2.gz KBSOAXBTZBBCKQ-HQJQHLMTSA-N 0 0 283.309 2.666 20 5 CFBDRN CO[C@H](C)CN(C)c1c2cc(F)ccc2ncc1[N+](=O)[O-] ZINC000893847781 619228799 /nfs/dbraw/zinc/22/87/99/619228799.db2.gz JGEMKHCKIBVOER-SECBINFHSA-N 0 0 293.298 2.753 20 5 CFBDRN CCN(Cc1ccoc1)c1ccc([N+](=O)[O-])c2nonc21 ZINC000726595480 619253175 /nfs/dbraw/zinc/25/31/75/619253175.db2.gz TWKDHEDXKOQUGO-UHFFFAOYSA-N 0 0 288.263 2.751 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCO[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000928248265 619328355 /nfs/dbraw/zinc/32/83/55/619328355.db2.gz IPYCSPHTFHBERO-LOWVWBTDSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889602215 619328687 /nfs/dbraw/zinc/32/86/87/619328687.db2.gz CFRTWZIUHPHZSQ-SDDRHHMPSA-N 0 0 274.320 2.822 20 5 CFBDRN CC[C@@H](C)CC(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000791496059 619329267 /nfs/dbraw/zinc/32/92/67/619329267.db2.gz AATKFDCUDYZSEA-LLVKDONJSA-N 0 0 281.308 2.953 20 5 CFBDRN Cn1c(=O)c([N+](=O)[O-])c(NC2(C)CC=CC2)c2ccccc21 ZINC000894421944 619383018 /nfs/dbraw/zinc/38/30/18/619383018.db2.gz GLEHXJZXXSEBDP-UHFFFAOYSA-N 0 0 299.330 2.967 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1N1CCC[C@H](CCF)C1 ZINC000894568244 619421096 /nfs/dbraw/zinc/42/10/96/619421096.db2.gz ZTUQURKZTDCTBP-SECBINFHSA-N 0 0 294.286 2.707 20 5 CFBDRN CCC(F)(F)C(C)(C)CNc1c([N+](=O)[O-])cnn1C ZINC000894752879 619457272 /nfs/dbraw/zinc/45/72/72/619457272.db2.gz DEFKSVJWDGLWNF-UHFFFAOYSA-N 0 0 276.287 2.812 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccoc2)cc1[N+](=O)[O-] ZINC000048868244 619478471 /nfs/dbraw/zinc/47/84/71/619478471.db2.gz PMGRSFBRRIISOV-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN COC/C(C)=C/COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000909407899 619480607 /nfs/dbraw/zinc/48/06/07/619480607.db2.gz UABBVHCCFGLTQO-RMKNXTFCSA-N 0 0 297.282 2.792 20 5 CFBDRN CCNc1cc(CNc2cc(C)c([N+](=O)[O-])cn2)ccn1 ZINC000894871672 619492667 /nfs/dbraw/zinc/49/26/67/619492667.db2.gz VJUCKTHNPLTEFS-UHFFFAOYSA-N 0 0 287.323 2.737 20 5 CFBDRN C[C@H]1CNc2c(Nc3ccccc3[N+](=O)[O-])cnn2C1 ZINC000894954262 619517907 /nfs/dbraw/zinc/51/79/07/619517907.db2.gz KZIJWYHTDJCMAB-VIFPVBQESA-N 0 0 273.296 2.597 20 5 CFBDRN Cc1cc(NCc2cc(C(F)F)on2)ncc1[N+](=O)[O-] ZINC000895608583 619567539 /nfs/dbraw/zinc/56/75/39/619567539.db2.gz VSCYAFAIYXZHOW-UHFFFAOYSA-N 0 0 284.222 2.836 20 5 CFBDRN CCOc1cc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)ncn1 ZINC000895667474 619581451 /nfs/dbraw/zinc/58/14/51/619581451.db2.gz PBLKKRZOEKBGFA-SNVBAGLBSA-N 0 0 288.307 2.957 20 5 CFBDRN Cc1cccc(N2CCC[C@@H]2c2ccnn2C)c1[N+](=O)[O-] ZINC000895720305 619590647 /nfs/dbraw/zinc/59/06/47/619590647.db2.gz FZCSHSSDCVOUEB-CYBMUJFWSA-N 0 0 286.335 2.978 20 5 CFBDRN COc1c(O)ccc(CN[C@@H]2CCCC[C@@H]2F)c1[N+](=O)[O-] ZINC000895747849 619595707 /nfs/dbraw/zinc/59/57/07/619595707.db2.gz YQZOWQCOFYDOFF-WDEREUQCSA-N 0 0 298.314 2.679 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)CCO1 ZINC000895754605 619599671 /nfs/dbraw/zinc/59/96/71/619599671.db2.gz PBMRPTOLPAKWBS-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H](C)CC2)c1 ZINC000895761463 619601529 /nfs/dbraw/zinc/60/15/29/619601529.db2.gz YQTWNRIKUVAKMG-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN C[C@H]1CCN(c2nccc3c2cccc3[N+](=O)[O-])CCO1 ZINC000895761976 619601815 /nfs/dbraw/zinc/60/18/15/619601815.db2.gz JRPBMFLAYPPIRG-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC000896078307 619665127 /nfs/dbraw/zinc/66/51/27/619665127.db2.gz ZOQHWVKTJFNCCU-SCRDCRAPSA-N 0 0 274.320 2.906 20 5 CFBDRN Cc1ccnc(NC[C@@H]2C[C@H]2C(F)(F)F)c1[N+](=O)[O-] ZINC000896093184 619672208 /nfs/dbraw/zinc/67/22/08/619672208.db2.gz XJXAEHIKXRHALM-JGVFFNPUSA-N 0 0 275.230 2.909 20 5 CFBDRN CN(C)[C@H](CCNc1ccsc1[N+](=O)[O-])C(F)(F)F ZINC000896105678 619675299 /nfs/dbraw/zinc/67/52/99/619675299.db2.gz PYSPNGJSWHIUKO-MRVPVSSYSA-N 0 0 297.302 2.951 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CCCC2(CCC2)O1 ZINC000896171110 619686197 /nfs/dbraw/zinc/68/61/97/619686197.db2.gz RDCGWJXFCYHYNV-NSHDSACASA-N 0 0 277.324 2.894 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H]1CCC2(CCOCC2)O1 ZINC000896176782 619686598 /nfs/dbraw/zinc/68/65/98/619686598.db2.gz VQWILISXPJTAFK-SNVBAGLBSA-N 0 0 298.364 2.796 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCC(=O)C2(C)CC2)c1[N+](=O)[O-] ZINC000795543580 619691571 /nfs/dbraw/zinc/69/15/71/619691571.db2.gz SQDSVGCHZCCKNA-UHFFFAOYSA-N 0 0 295.266 2.568 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3nonc32)CCS1 ZINC000896244201 619699416 /nfs/dbraw/zinc/69/94/16/619699416.db2.gz GDJIYNPVVBUGBQ-YUMQZZPRSA-N 0 0 294.336 2.827 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000896249275 619705342 /nfs/dbraw/zinc/70/53/42/619705342.db2.gz NJXFLQBLQCWSSJ-SCZZXKLOSA-N 0 0 267.354 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(O)(C3CCC3)CC2)cc1 ZINC000896327346 619720566 /nfs/dbraw/zinc/72/05/66/619720566.db2.gz YZENXFWJESQCRS-UHFFFAOYSA-N 0 0 276.336 2.726 20 5 CFBDRN CC1(C)O[C@@H]2C[C@@H](Nc3ccc(F)cc3[N+](=O)[O-])C[C@@H]2O1 ZINC000896370476 619724986 /nfs/dbraw/zinc/72/49/86/619724986.db2.gz SDDPVDMTNMSRKF-CGJHYDBRSA-N 0 0 296.298 2.828 20 5 CFBDRN CCO[C@H]1CCN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000896405396 619730111 /nfs/dbraw/zinc/73/01/11/619730111.db2.gz WIZXJNAFTHKWJY-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])cn1)c1cnn(C)c1 ZINC000896422523 619734417 /nfs/dbraw/zinc/73/44/17/619734417.db2.gz KAMIRHCLJWEKHA-ZDUSSCGKSA-N 0 0 275.312 2.533 20 5 CFBDRN Cc1cc(N[C@@H](C2CC2)[C@H]2CCCOC2)ncc1[N+](=O)[O-] ZINC000896437107 619738611 /nfs/dbraw/zinc/73/86/11/619738611.db2.gz LHIIOMJIIBAMGV-WFASDCNBSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1ccc(NCCNc2ccc([N+](=O)[O-])s2)nc1 ZINC000896461534 619743030 /nfs/dbraw/zinc/74/30/30/619743030.db2.gz TXHVDDBNGNYPJU-UHFFFAOYSA-N 0 0 278.337 2.884 20 5 CFBDRN Cc1cnc(NCc2cccc3c2OCC3)c([N+](=O)[O-])c1 ZINC000896489959 619744452 /nfs/dbraw/zinc/74/44/52/619744452.db2.gz QDULUXBJQYCESC-UHFFFAOYSA-N 0 0 285.303 2.845 20 5 CFBDRN Cn1nc(C2CC2)cc1CNc1ccccc1[N+](=O)[O-] ZINC000896512373 619747554 /nfs/dbraw/zinc/74/75/54/619747554.db2.gz PBTXKGLYOQSPAV-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN Cn1nc(C2CC2)cc1CNc1ccc([N+](=O)[O-])s1 ZINC000896512422 619747687 /nfs/dbraw/zinc/74/76/87/619747687.db2.gz RACXXJFZFWFVKV-UHFFFAOYSA-N 0 0 278.337 2.879 20 5 CFBDRN Cn1nc(CNc2ccc(F)cc2[N+](=O)[O-])cc1C1CC1 ZINC000896531366 619754312 /nfs/dbraw/zinc/75/43/12/619754312.db2.gz LTJDZYGGIBKYGC-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@]23C[C@H]2CCC3)c(F)c1 ZINC000896545689 619759344 /nfs/dbraw/zinc/75/93/44/619759344.db2.gz NWUHXEGKCUZOFN-PELKAZGASA-N 0 0 251.261 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nc3c(s2)COCC3)cc1 ZINC000896544730 619760362 /nfs/dbraw/zinc/76/03/62/619760362.db2.gz AEWRQKMTDJAKKK-UHFFFAOYSA-N 0 0 291.332 2.736 20 5 CFBDRN NC(=O)c1cc(NCC2CCC=CCC2)ccc1[N+](=O)[O-] ZINC000896550251 619760654 /nfs/dbraw/zinc/76/06/54/619760654.db2.gz SWQSABWVCLVWRA-UHFFFAOYSA-N 0 0 289.335 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](C3CCOCC3)C2)cn1 ZINC000896584826 619767790 /nfs/dbraw/zinc/76/77/90/619767790.db2.gz CAMQEKHNDVEXRB-ZDUSSCGKSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC[C@@H]2CC2CC2)c(Cl)c1 ZINC000896638319 619780378 /nfs/dbraw/zinc/78/03/78/619780378.db2.gz OQGNPUHSMBXNPL-NSHDSACASA-N 0 0 297.742 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H]2CC2CC2)c(F)c1 ZINC000896637778 619780631 /nfs/dbraw/zinc/78/06/31/619780631.db2.gz MBZBPVIGBSTNGY-GFCCVEGCSA-N 0 0 280.299 2.739 20 5 CFBDRN CCn1cnc2c1CCN(c1ccc(C)cc1[N+](=O)[O-])C2 ZINC000896660798 619782860 /nfs/dbraw/zinc/78/28/60/619782860.db2.gz UMIYWCXNXPCVDG-UHFFFAOYSA-N 0 0 286.335 2.682 20 5 CFBDRN CCc1onc(C)c1CNc1ccnc(F)c1[N+](=O)[O-] ZINC000896663940 619786544 /nfs/dbraw/zinc/78/65/44/619786544.db2.gz PNYBSGMTVJSLEN-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(C3CSC3)no2)s1 ZINC000896715467 619796467 /nfs/dbraw/zinc/79/64/67/619796467.db2.gz IKJYYFGKQZUPJV-UHFFFAOYSA-N 0 0 269.307 2.537 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC(C)(C)C2CC2)n1 ZINC000896786188 619815068 /nfs/dbraw/zinc/81/50/68/619815068.db2.gz VHHXBXDKPJQGSG-UHFFFAOYSA-N 0 0 293.323 2.625 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cn1)C1CCOCC1 ZINC000896785775 619815511 /nfs/dbraw/zinc/81/55/11/619815511.db2.gz KLJCHAZUJHOEHS-UHFFFAOYSA-N 0 0 279.340 2.855 20 5 CFBDRN COc1cc(NCC[C@@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000896815635 619827735 /nfs/dbraw/zinc/82/77/35/619827735.db2.gz GFYVSMZFAGLFQN-FZMZJTMJSA-N 0 0 294.351 2.957 20 5 CFBDRN CC[C@@](C)(OC)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000796392244 619880772 /nfs/dbraw/zinc/88/07/72/619880772.db2.gz CWBWWDDZVGGFIE-CQSZACIVSA-N 0 0 281.308 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(SC3COC3)c2c1 ZINC000896963048 619905849 /nfs/dbraw/zinc/90/58/49/619905849.db2.gz VGKOPIQRVQWPJP-UHFFFAOYSA-N 0 0 262.290 2.634 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1cnn(CCF)c1 ZINC000896992028 619909585 /nfs/dbraw/zinc/90/95/85/619909585.db2.gz QFKMWZXPFXSUMF-UHFFFAOYSA-N 0 0 282.250 2.512 20 5 CFBDRN COC[C@H](Sc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000897003104 619912357 /nfs/dbraw/zinc/91/23/57/619912357.db2.gz AAXNIIJMIPJWRN-NSHDSACASA-N 0 0 293.348 2.808 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC1CC2(C1)CO[C@@H](C)C2 ZINC000897043490 619920330 /nfs/dbraw/zinc/92/03/30/619920330.db2.gz BALUCFGGRBAOLT-NLTNOIMHSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@H](CNC(=O)N1C[C@H](C)[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897059621 619922027 /nfs/dbraw/zinc/92/20/27/619922027.db2.gz CFYCJPWUXFKXPL-WOPDTQHZSA-N 0 0 291.351 2.748 20 5 CFBDRN CCON(CC)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000897050276 619922513 /nfs/dbraw/zinc/92/25/13/619922513.db2.gz QIUSXWMHXALJNG-LLVKDONJSA-N 0 0 295.339 2.681 20 5 CFBDRN C[C@H](CNC(=O)NC/C=C/Cl)c1ccccc1[N+](=O)[O-] ZINC000897051128 619923106 /nfs/dbraw/zinc/92/31/06/619923106.db2.gz TXGWBNAGZLJASF-LJJSCBMDSA-N 0 0 297.742 2.750 20 5 CFBDRN CCOCCCCOC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796725829 619929536 /nfs/dbraw/zinc/92/95/36/619929536.db2.gz LMFGRUQIEPQOHA-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000897141792 619938381 /nfs/dbraw/zinc/93/83/81/619938381.db2.gz AIOXONAFLOPWDO-GXTWGEPZSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000897141793 619938581 /nfs/dbraw/zinc/93/85/81/619938581.db2.gz AIOXONAFLOPWDO-JSGCOSHPSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000897143521 619940513 /nfs/dbraw/zinc/94/05/13/619940513.db2.gz CODPKGYYFNSZEI-ZYHUDNBSSA-N 0 0 270.716 2.862 20 5 CFBDRN CCC(=O)c1ccc(N(C)[C@@H]2CC[C@H]2OC)c([N+](=O)[O-])c1 ZINC000897144839 619940886 /nfs/dbraw/zinc/94/08/86/619940886.db2.gz NNZMNPSWZYBTFD-IUODEOHRSA-N 0 0 292.335 2.801 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC1CC(CCO)C1 ZINC000897232285 619954404 /nfs/dbraw/zinc/95/44/04/619954404.db2.gz PTXUMRFPSNYOFN-UHFFFAOYSA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1cncc(CNC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])c1 ZINC000897246996 619959345 /nfs/dbraw/zinc/95/93/45/619959345.db2.gz UYZIJJMJUKYPIO-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1cc(NC[C@]23C[C@H]2COC32CCC2)ncc1[N+](=O)[O-] ZINC000897265527 619961894 /nfs/dbraw/zinc/96/18/94/619961894.db2.gz IHYGZMFRCZQWBJ-SMDDNHRTSA-N 0 0 289.335 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]23C[C@H]2COC32CCC2)n1 ZINC000897265275 619962149 /nfs/dbraw/zinc/96/21/49/619962149.db2.gz ZOTFCCDRXYZQKS-SMDDNHRTSA-N 0 0 289.335 2.669 20 5 CFBDRN CC[C@@H](COC(=O)c1cc([N+](=O)[O-])ccc1SC)OC ZINC000909592803 619967166 /nfs/dbraw/zinc/96/71/66/619967166.db2.gz YOAKBNZLXGIVBS-JTQLQIEISA-N 0 0 299.348 2.899 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1C[C@@H]2CCC[C@@H]2C1 ZINC000897296451 619972068 /nfs/dbraw/zinc/97/20/68/619972068.db2.gz OZQLJGRAXDADRF-AOOOYVTPSA-N 0 0 277.280 2.739 20 5 CFBDRN CCn1nc(C)c(CSCc2cccc([N+](=O)[O-])c2)n1 ZINC000842166598 619979847 /nfs/dbraw/zinc/97/98/47/619979847.db2.gz MDPVYWPEUYNMNI-UHFFFAOYSA-N 0 0 292.364 2.948 20 5 CFBDRN Cc1cc(CSc2cc(F)c([N+](=O)[O-])cc2CO)no1 ZINC000897333434 619998750 /nfs/dbraw/zinc/99/87/50/619998750.db2.gz HBDKTHPBKZKQRR-UHFFFAOYSA-N 0 0 298.295 2.815 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OS(=O)(=O)c1ccccc1 ZINC000016094173 620000857 /nfs/dbraw/zinc/00/08/57/620000857.db2.gz NIDGBDJDBWXDJW-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC(Cc4ccoc4)C3)nc2c1 ZINC000897348603 620010920 /nfs/dbraw/zinc/01/09/20/620010920.db2.gz ISLCUXDRPWOLJY-UHFFFAOYSA-N 0 0 298.302 2.743 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC(Cc2ccoc2)C1 ZINC000897349283 620011915 /nfs/dbraw/zinc/01/19/15/620011915.db2.gz SZUXEOLLQFVJNO-UHFFFAOYSA-N 0 0 264.306 2.928 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1c(F)cccc1[N+](=O)[O-] ZINC000889617037 620083178 /nfs/dbraw/zinc/08/31/78/620083178.db2.gz SBQRRYOHUFBHMB-ATZCPNFKSA-N 0 0 278.283 2.652 20 5 CFBDRN Cc1cnc(NCCc2cccnc2C)c([N+](=O)[O-])c1 ZINC000897405630 620104598 /nfs/dbraw/zinc/10/45/98/620104598.db2.gz FJLOPCQAEQDHGU-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CC(C)(C(=O)O[C@H]1CCCC1(C)C)n1cc([N+](=O)[O-])cn1 ZINC000799482724 620110592 /nfs/dbraw/zinc/11/05/92/620110592.db2.gz HUWFWQVHIGLQLX-NSHDSACASA-N 0 0 295.339 2.648 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](c1ccncc1)C1CC1 ZINC000897422700 620117291 /nfs/dbraw/zinc/11/72/91/620117291.db2.gz PMTGPTGQPHAQQF-ZDUSSCGKSA-N 0 0 270.292 2.948 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCCF ZINC000888177099 620165551 /nfs/dbraw/zinc/16/55/51/620165551.db2.gz AAUFWZMIMHDGOU-UHFFFAOYSA-N 0 0 283.303 2.981 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2COC[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000897441977 620172461 /nfs/dbraw/zinc/17/24/61/620172461.db2.gz NRNVGZZWNVJFJR-JSGCOSHPSA-N 0 0 290.319 2.634 20 5 CFBDRN Cc1cc(N[C@@H]2COC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897445293 620173425 /nfs/dbraw/zinc/17/34/25/620173425.db2.gz FIRAHVNFMVFXQJ-CHWSQXEVSA-N 0 0 262.309 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COC[C@@H]2C2CC2)c(F)c1 ZINC000897446223 620174773 /nfs/dbraw/zinc/17/47/73/620174773.db2.gz SBWDZFBPXZITLH-MFKMUULPSA-N 0 0 266.272 2.571 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2COC[C@H]2C2CC2)ccc2ncccc21 ZINC000897448204 620175475 /nfs/dbraw/zinc/17/54/75/620175475.db2.gz UONCETCAEMJIJY-WFASDCNBSA-N 0 0 299.330 2.980 20 5 CFBDRN O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1NC/C=C/Cl ZINC000897502984 620193821 /nfs/dbraw/zinc/19/38/21/620193821.db2.gz JHBJHMCPECHWIG-LZCJLJQNSA-N 0 0 295.726 2.651 20 5 CFBDRN O=C(NC1CC1)c1ccc(NC/C=C\Cl)c([N+](=O)[O-])c1 ZINC000897507967 620197556 /nfs/dbraw/zinc/19/75/56/620197556.db2.gz IVROMDREHWELNX-BHQIHCQQSA-N 0 0 295.726 2.651 20 5 CFBDRN O=C(NCc1ccco1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152516122 620221721 /nfs/dbraw/zinc/22/17/21/620221721.db2.gz GWZZQHJNQWUBIL-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2nonc21)c1cccnc1 ZINC000035122741 620237620 /nfs/dbraw/zinc/23/76/20/620237620.db2.gz WBLYUEBFLFPQOI-MRVPVSSYSA-N 0 0 285.263 2.699 20 5 CFBDRN CC(C)n1cc([C@@H](C)NCc2ccc([N+](=O)[O-])cc2)nn1 ZINC000925312996 620244684 /nfs/dbraw/zinc/24/46/84/620244684.db2.gz KKDNUQZOAWFPBO-LLVKDONJSA-N 0 0 289.339 2.618 20 5 CFBDRN CN(CC1CCC1)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000865534744 620252385 /nfs/dbraw/zinc/25/23/85/620252385.db2.gz LGLZIAQVOHZKHY-UHFFFAOYSA-N 0 0 292.261 2.583 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1cc(F)cc([N+](=O)[O-])c1 ZINC000889594646 620255841 /nfs/dbraw/zinc/25/58/41/620255841.db2.gz XJYYGUOCQMGVOS-AQUOVQTQSA-N 0 0 278.283 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2[C@@H](C)C[C@@H]2C)c1C ZINC000897613361 620258970 /nfs/dbraw/zinc/25/89/70/620258970.db2.gz OKERCMAWUYXOMS-UWVGGRQHSA-N 0 0 262.309 2.835 20 5 CFBDRN C[C@@H]1CCCN(C(=O)[C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000929138314 620264038 /nfs/dbraw/zinc/26/40/38/620264038.db2.gz KTCXBKMOMICDNJ-DFBGVHRSSA-N 0 0 288.347 2.957 20 5 CFBDRN CCCCNC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000929151635 620341297 /nfs/dbraw/zinc/34/12/97/620341297.db2.gz ZVDDIRUUSUJLOP-UHFFFAOYSA-N 0 0 268.338 2.847 20 5 CFBDRN CCc1ccc(C(=O)OCCCCOC)cc1[N+](=O)[O-] ZINC000080283443 620415188 /nfs/dbraw/zinc/41/51/88/620415188.db2.gz NIHGDLWKLZDUDT-UHFFFAOYSA-N 0 0 281.308 2.741 20 5 CFBDRN CCCSCC(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000801323175 620424277 /nfs/dbraw/zinc/42/42/77/620424277.db2.gz ZVKODFNOYVVDCT-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1N1N=C(C)[C@H](C(C)C)C1=O ZINC000899350443 620550218 /nfs/dbraw/zinc/55/02/18/620550218.db2.gz ZFLPLMVZGHZYKS-ZDUSSCGKSA-N 0 0 291.307 2.598 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000899415255 620566199 /nfs/dbraw/zinc/56/61/99/620566199.db2.gz TYRWKMJOMPRFLZ-IFFSRLJSSA-N 0 0 280.349 2.678 20 5 CFBDRN C/C(=C/Cl)CS(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000899496831 620574588 /nfs/dbraw/zinc/57/45/88/620574588.db2.gz NBLOFEZHCGZUCM-TWGQIWQCSA-N 0 0 289.740 2.652 20 5 CFBDRN CNc1ccc(C(=O)NC2(C3CC3)CCC2)cc1[N+](=O)[O-] ZINC000899824103 620603417 /nfs/dbraw/zinc/60/34/17/620603417.db2.gz GZLIEIUWGZSTKM-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN CCC/C=C\[C@H](O)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000899936750 620615750 /nfs/dbraw/zinc/61/57/50/620615750.db2.gz GHYRDOQDIYYKHH-AFNCTOJWSA-N 0 0 292.335 2.867 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H]1CCC[C@@H](C(F)(F)F)O1 ZINC000901476459 620825688 /nfs/dbraw/zinc/82/56/88/620825688.db2.gz IHLZALHFEVPQHZ-IUCAKERBSA-N 0 0 293.245 2.600 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)C[NH2+][C@H](C)[C@H](C)C(=O)[O-] ZINC000901455133 620828231 /nfs/dbraw/zinc/82/82/31/620828231.db2.gz ZAPHOASZUHSIIZ-GJKHTVIGSA-N 0 0 292.335 2.697 20 5 CFBDRN Cc1cn(C)nc1COc1cccc(C)c1[N+](=O)[O-] ZINC000901531515 620847149 /nfs/dbraw/zinc/84/71/49/620847149.db2.gz ZBPIHNGEFHGGAX-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN COC/C(C)=C\COc1c(F)cccc1[N+](=O)[O-] ZINC000901535753 620849194 /nfs/dbraw/zinc/84/91/94/620849194.db2.gz ROYKHVURMXGJRN-TWGQIWQCSA-N 0 0 255.245 2.705 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1(C(C)C)COC1 ZINC000901515732 620853602 /nfs/dbraw/zinc/85/36/02/620853602.db2.gz AVCRXWYSTAHGFH-UHFFFAOYSA-N 0 0 292.335 2.823 20 5 CFBDRN CC(C)N1CCC[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000901519529 620854758 /nfs/dbraw/zinc/85/47/58/620854758.db2.gz DQPQQZALDUHFAA-ZDUSSCGKSA-N 0 0 295.314 2.545 20 5 CFBDRN O=C([O-])CCCCC[NH2+]C/C=C/c1ccccc1[N+](=O)[O-] ZINC000901556438 620856352 /nfs/dbraw/zinc/85/63/52/620856352.db2.gz SHAJKFIUEPBWCB-SOFGYWHQSA-N 0 0 292.335 2.843 20 5 CFBDRN COC/C(C)=C/COc1ccc([N+](=O)[O-])c(F)c1F ZINC000901567820 620859638 /nfs/dbraw/zinc/85/96/38/620859638.db2.gz GXEJSRWFCICDMZ-VMPITWQZSA-N 0 0 273.235 2.845 20 5 CFBDRN CC1(C)Cc2cc(SCC[N+](=O)[O-])ccc2O1 ZINC000901588881 620863667 /nfs/dbraw/zinc/86/36/67/620863667.db2.gz CTRJZKPMLYXSGT-UHFFFAOYSA-N 0 0 253.323 2.769 20 5 CFBDRN CC[C@@H](C)C[C@H]([NH2+]Cc1ccc(C)c([N+](=O)[O-])c1)C(=O)[O-] ZINC000901673977 620881947 /nfs/dbraw/zinc/88/19/47/620881947.db2.gz NVWXZCMXDRIZRG-MFKMUULPSA-N 0 0 294.351 2.882 20 5 CFBDRN O=[N+]([O-])c1c(OCCC2(O)CC2)cccc1C(F)(F)F ZINC000901656463 620883957 /nfs/dbraw/zinc/88/39/57/620883957.db2.gz XZFLETJMCUTDSV-UHFFFAOYSA-N 0 0 291.225 2.907 20 5 CFBDRN CC[C@H](C)C[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000901672139 620889019 /nfs/dbraw/zinc/88/90/19/620889019.db2.gz DXMCTIPYRXPSOG-GWCFXTLKSA-N 0 0 294.351 2.882 20 5 CFBDRN CC[C@H](C)C[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000901672140 620889073 /nfs/dbraw/zinc/88/90/73/620889073.db2.gz DXMCTIPYRXPSOG-GXFFZTMASA-N 0 0 294.351 2.882 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1OCCCCCF)OCCO2 ZINC000901698762 620897945 /nfs/dbraw/zinc/89/79/45/620897945.db2.gz AMEJPUPCKAPDJR-UHFFFAOYSA-N 0 0 285.271 2.885 20 5 CFBDRN CCCCC[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000901724240 620901000 /nfs/dbraw/zinc/90/10/00/620901000.db2.gz PHNZQJFWDLEZEM-ZDUSSCGKSA-N 0 0 280.324 2.718 20 5 CFBDRN O=C([O-])C1(C[NH2+]Cc2csc([N+](=O)[O-])c2)CCCCC1 ZINC000901782427 620914159 /nfs/dbraw/zinc/91/41/59/620914159.db2.gz CGYOPCSEMPGVTH-UHFFFAOYSA-N 0 0 298.364 2.781 20 5 CFBDRN Cc1c(C[NH2+][C@@](C)(CC(=O)[O-])C(C)C)cccc1[N+](=O)[O-] ZINC000901816650 620922286 /nfs/dbraw/zinc/92/22/86/620922286.db2.gz HUQRUHVLAPYCHG-HNNXBMFYSA-N 0 0 294.351 2.882 20 5 CFBDRN CCn1cc(CSCc2ccc(F)cc2[N+](=O)[O-])nn1 ZINC000901869122 620932463 /nfs/dbraw/zinc/93/24/63/620932463.db2.gz XUBMHGPMBQUEOU-UHFFFAOYSA-N 0 0 296.327 2.779 20 5 CFBDRN COC/C(C)=C\CSCc1cccnc1[N+](=O)[O-] ZINC000901885433 620934185 /nfs/dbraw/zinc/93/41/85/620934185.db2.gz VFGOCURETRYILZ-YHYXMXQVSA-N 0 0 268.338 2.816 20 5 CFBDRN CC(=O)NCCCCCNc1cccc([N+](=O)[O-])c1C ZINC000901932238 620938816 /nfs/dbraw/zinc/93/88/16/620938816.db2.gz ZCLCGFNAMPFUMG-UHFFFAOYSA-N 0 0 279.340 2.622 20 5 CFBDRN Cc1cccc(C)c1NCc1cn(C)nc1[N+](=O)[O-] ZINC000901942667 620941026 /nfs/dbraw/zinc/94/10/26/620941026.db2.gz MEWSAQJHEUWZGJ-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1cc(C)nnc1C ZINC000901924492 620943188 /nfs/dbraw/zinc/94/31/88/620943188.db2.gz SRQNKANOJABTRR-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN CC(=O)NCCCCCNc1cc([N+](=O)[O-])c(C)cc1C ZINC000901930377 620944343 /nfs/dbraw/zinc/94/43/43/620944343.db2.gz RSWMEZLWVUMGIU-UHFFFAOYSA-N 0 0 293.367 2.930 20 5 CFBDRN Cc1cccc(Cl)c1NCc1cn(C)nc1[N+](=O)[O-] ZINC000902003145 620954966 /nfs/dbraw/zinc/95/49/66/620954966.db2.gz FZWMJDFOYBYQJW-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC[C@@H]1C[C@@]12CCOC2 ZINC000902047239 620963387 /nfs/dbraw/zinc/96/33/87/620963387.db2.gz YFRWOUKKRIPIEL-XHDPSFHLSA-N 0 0 292.335 2.832 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCCCCNC(C)=O ZINC000902058091 620971279 /nfs/dbraw/zinc/97/12/79/620971279.db2.gz SKHGLIPEBRUSQD-UHFFFAOYSA-N 0 0 293.367 2.876 20 5 CFBDRN C[C@@H]1[C@H](CC(=O)[O-])CC[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000902101840 620973956 /nfs/dbraw/zinc/97/39/56/620973956.db2.gz WBOYSBXFNZNQLS-OSMZGAPFSA-N 0 0 292.335 2.574 20 5 CFBDRN Cc1cc(NCc2c(C)ccc([N+](=O)[O-])c2C)ncn1 ZINC000902194529 620996010 /nfs/dbraw/zinc/99/60/10/620996010.db2.gz GRHDGNPSPSWSRV-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(C[C@@H]3C[C@@]34CCOC4)c2)cc1 ZINC000902236965 621004429 /nfs/dbraw/zinc/00/44/29/621004429.db2.gz ARLOXKROQIZFFI-GOEBONIOSA-N 0 0 299.330 2.885 20 5 CFBDRN Cn1cc(CSCCc2ccccc2)c([N+](=O)[O-])n1 ZINC000902344208 621033654 /nfs/dbraw/zinc/03/36/54/621033654.db2.gz GCOKHRWYUAEWCW-UHFFFAOYSA-N 0 0 277.349 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CC3(CCC3)C2)cc1CO ZINC000902359708 621052308 /nfs/dbraw/zinc/05/23/08/621052308.db2.gz PCNZWAGYYIYDGV-UHFFFAOYSA-N 0 0 263.293 2.799 20 5 CFBDRN CC(F)(F)CCCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000902360388 621052583 /nfs/dbraw/zinc/05/25/83/621052583.db2.gz RWLSBEKSNIRCQT-UHFFFAOYSA-N 0 0 275.251 2.901 20 5 CFBDRN Cn1cc(CSCCCCCF)c([N+](=O)[O-])n1 ZINC000902389605 621061977 /nfs/dbraw/zinc/06/19/77/621061977.db2.gz ZFNLAUATTMZKJI-UHFFFAOYSA-N 0 0 261.322 2.701 20 5 CFBDRN Cc1nonc1CSCc1ccccc1[N+](=O)[O-] ZINC000902531111 621118905 /nfs/dbraw/zinc/11/89/05/621118905.db2.gz WVXGQRQBHFRXKT-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN Cc1c(Cn2cc3c(n2)C(=O)CCC3)cccc1[N+](=O)[O-] ZINC000902547674 621125003 /nfs/dbraw/zinc/12/50/03/621125003.db2.gz FGBSOVVOMMHAPQ-UHFFFAOYSA-N 0 0 285.303 2.667 20 5 CFBDRN Cc1cc(CCC(=O)Oc2cc([N+](=O)[O-])ccc2C)no1 ZINC000902680600 621147408 /nfs/dbraw/zinc/14/74/08/621147408.db2.gz PRJPVJDYEVPSHI-UHFFFAOYSA-N 0 0 290.275 2.738 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCc1nnc(C2CC2)o1 ZINC000902697966 621149530 /nfs/dbraw/zinc/14/95/30/621149530.db2.gz FUVYQOSJYLFOEI-UHFFFAOYSA-N 0 0 297.217 2.712 20 5 CFBDRN CC(C)c1nc(COc2c(F)cc(F)cc2[N+](=O)[O-])no1 ZINC000902698874 621149576 /nfs/dbraw/zinc/14/95/76/621149576.db2.gz XIMNXOJQIIHENQ-UHFFFAOYSA-N 0 0 299.233 2.958 20 5 CFBDRN CC[C@H](COc1c(F)cc(F)cc1[N+](=O)[O-])OC ZINC000902697418 621149828 /nfs/dbraw/zinc/14/98/28/621149828.db2.gz YIGVALUGMQSZJA-MRVPVSSYSA-N 0 0 261.224 2.677 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CCCN2C(C)C)cccc1[N+](=O)[O-] ZINC000902718231 621154738 /nfs/dbraw/zinc/15/47/38/621154738.db2.gz BOVZJLGWILLMAT-CYBMUJFWSA-N 0 0 292.335 2.681 20 5 CFBDRN COc1cc([C@H](C)NCc2nccs2)cc([N+](=O)[O-])c1 ZINC000903073832 621251808 /nfs/dbraw/zinc/25/18/08/621251808.db2.gz LGGIXLFYIKUXKM-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN COc1cc([C@H](C)NCC(C)(F)F)cc([N+](=O)[O-])c1 ZINC000903174867 621274685 /nfs/dbraw/zinc/27/46/85/621274685.db2.gz NTOQIYVAGJZJAZ-QMMMGPOBSA-N 0 0 274.267 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cc(-c3nc(C4CC4)no3)n[nH]2)cc1 ZINC000904365368 621585794 /nfs/dbraw/zinc/58/57/94/621585794.db2.gz YMYOHMLAAZGJBU-UHFFFAOYSA-N 0 0 297.274 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(C3CC3)no2)cc1-n1ccnc1 ZINC000904365224 621585971 /nfs/dbraw/zinc/58/59/71/621585971.db2.gz VDDXGOFGJOMVPR-UHFFFAOYSA-N 0 0 297.274 2.708 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc(-c2ccco2)no1 ZINC000904757972 621631354 /nfs/dbraw/zinc/63/13/54/621631354.db2.gz SOOUHRGZHMVZTR-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN Cn1ccc(-c2noc(/C=C/c3ccc([N+](=O)[O-])cc3)n2)n1 ZINC000904871896 621666269 /nfs/dbraw/zinc/66/62/69/621666269.db2.gz WXRWLWJDQNWDGH-QPJJXVBHSA-N 0 0 297.274 2.549 20 5 CFBDRN C[C@@H](c1nc(-c2ccn(C)n2)no1)c1cccc([N+](=O)[O-])c1 ZINC000904877119 621668437 /nfs/dbraw/zinc/66/84/37/621668437.db2.gz CAJLYTGHQJDQSX-SECBINFHSA-N 0 0 299.290 2.530 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(-c2nc(-c3ccn(C)n3)no2)c1C ZINC000904878546 621669470 /nfs/dbraw/zinc/66/94/70/621669470.db2.gz FRYYHLHQWLIXAH-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)[C@H]1CCCO1 ZINC000905032312 621683422 /nfs/dbraw/zinc/68/34/22/621683422.db2.gz HHDYTUQGCXYYSL-ZWNOBZJWSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCO[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000905032331 621683642 /nfs/dbraw/zinc/68/36/42/621683642.db2.gz HVSYCGKJDGUZCS-OCCSQVGLSA-N 0 0 290.319 2.657 20 5 CFBDRN CN(C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)c1ccc([N+](=O)[O-])nc1 ZINC000905021998 621687603 /nfs/dbraw/zinc/68/76/03/621687603.db2.gz AQQKVLOMWYLXSP-ZSBIGDGJSA-N 0 0 289.335 2.779 20 5 CFBDRN Cc1c(NC(=O)[C@@H](C)[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000905086807 621692727 /nfs/dbraw/zinc/69/27/27/621692727.db2.gz ZHJCCKOPRMJOPH-GXFFZTMASA-N 0 0 278.308 2.657 20 5 CFBDRN O=[N+]([O-])CCNCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000905255460 621728140 /nfs/dbraw/zinc/72/81/40/621728140.db2.gz LSOWRNSYOGXBST-UHFFFAOYSA-N 0 0 297.767 2.830 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2C[C@]23CCOC3)c(F)cc1F ZINC000905236391 621731690 /nfs/dbraw/zinc/73/16/90/621731690.db2.gz FRHYLGRDYFRWLC-SDBXPKJASA-N 0 0 285.246 2.678 20 5 CFBDRN O=[N+]([O-])CCNc1ccc(OC2CCCC2)c(F)c1 ZINC000905324362 621742731 /nfs/dbraw/zinc/74/27/31/621742731.db2.gz JVXJXXYOCAFEBA-UHFFFAOYSA-N 0 0 268.288 2.836 20 5 CFBDRN O=[N+]([O-])CCNc1ccc(OC(F)F)c(Cl)c1 ZINC000905301015 621745011 /nfs/dbraw/zinc/74/50/11/621745011.db2.gz LVROYVTZBNBZOP-UHFFFAOYSA-N 0 0 266.631 2.630 20 5 CFBDRN O=[N+]([O-])CCNc1ccc2nc(C(F)F)sc2c1 ZINC000905336690 621750368 /nfs/dbraw/zinc/75/03/68/621750368.db2.gz QOSDQPIONFKUEN-UHFFFAOYSA-N 0 0 273.264 2.923 20 5 CFBDRN Cc1ccncc1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000071414133 625515430 /nfs/dbraw/zinc/51/54/30/625515430.db2.gz IIHNIPVORGFSAF-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H](NC(=S)NCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000905642201 621816654 /nfs/dbraw/zinc/81/66/54/621816654.db2.gz OKNVRXPTXDMFOK-SECBINFHSA-N 0 0 279.365 2.530 20 5 CFBDRN C[C@@](O)(COc1ccc(Cl)cc1[N+](=O)[O-])C(F)F ZINC000905942775 621905385 /nfs/dbraw/zinc/90/53/85/621905385.db2.gz MKPJLKVATMVUEG-SNVBAGLBSA-N 0 0 281.642 2.643 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000906165010 621941276 /nfs/dbraw/zinc/94/12/76/621941276.db2.gz YPKAFKIEQZXVMF-GXSJLCMTSA-N 0 0 296.754 2.953 20 5 CFBDRN CCc1nc(-c2ccc([N+](=O)[O-])nc2)cn1CC1CC1 ZINC000906171129 621943453 /nfs/dbraw/zinc/94/34/53/621943453.db2.gz PCEYNBZZNRMWFE-UHFFFAOYSA-N 0 0 272.308 2.826 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1cn2c(n1)CCCC2 ZINC000906174015 621944390 /nfs/dbraw/zinc/94/43/90/621944390.db2.gz VKUYNCCSJNRNTL-UHFFFAOYSA-N 0 0 261.256 2.934 20 5 CFBDRN CN(C(=O)c1ccccc1[N+](=O)[O-])C1(C(F)(F)F)CC1 ZINC000906229326 621961832 /nfs/dbraw/zinc/96/18/32/621961832.db2.gz VTINYNWRCIZKCZ-UHFFFAOYSA-N 0 0 288.225 2.762 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N=[S@](C)(=O)C(C)(C)C ZINC000906316135 621973174 /nfs/dbraw/zinc/97/31/74/621973174.db2.gz MPVUMTIDYZHJEY-HXUWFJFHSA-N 0 0 298.364 2.940 20 5 CFBDRN Cc1cc(C)c(C(=O)NCc2ccc([N+](=O)[O-])cc2)c(C)n1 ZINC000906342465 621977293 /nfs/dbraw/zinc/97/72/93/621977293.db2.gz XKYIDTRSEHOGMA-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nccnc2C2CC2)c1 ZINC000906415978 621987234 /nfs/dbraw/zinc/98/72/34/621987234.db2.gz GVABLCUAWHEYET-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C1(C2CC2)CCC1 ZINC000906431065 621989942 /nfs/dbraw/zinc/98/99/42/621989942.db2.gz PPCZLCZMYJBISA-UHFFFAOYSA-N 0 0 274.320 2.791 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)ccn1C ZINC000833029518 625543169 /nfs/dbraw/zinc/54/31/69/625543169.db2.gz JGPPDJMDQZRNFC-JTQLQIEISA-N 0 0 287.319 2.733 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)Cc1ccccc1 ZINC000043473310 622133364 /nfs/dbraw/zinc/13/33/64/622133364.db2.gz UQNFJCJQZXFVGD-UHFFFAOYSA-N 0 0 289.262 2.925 20 5 CFBDRN COC/C(C)=C/COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000908703231 622266200 /nfs/dbraw/zinc/26/62/00/622266200.db2.gz YZOOTZKFVOETKT-JWAJRPJHSA-N 0 0 291.303 2.744 20 5 CFBDRN Cc1nnsc1[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000908827028 622278935 /nfs/dbraw/zinc/27/89/35/622278935.db2.gz QSNOIWRQXHTWMT-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN CCCC(=O)COC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923960731 622382620 /nfs/dbraw/zinc/38/26/20/622382620.db2.gz ADSJHJFSHULMNP-UHFFFAOYSA-N 0 0 297.282 2.579 20 5 CFBDRN O=C(OCc1ccncc1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027344 622387945 /nfs/dbraw/zinc/38/79/45/622387945.db2.gz JWVUADPVASVEOH-GJZGRUSLSA-N 0 0 298.298 2.837 20 5 CFBDRN CC[C@H](OC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C(C)=O ZINC000910025674 622387959 /nfs/dbraw/zinc/38/79/59/622387959.db2.gz XXWRGKMIQYAGOP-MCIONIFRSA-N 0 0 291.303 2.609 20 5 CFBDRN O=C(OCC1CC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027005 622387994 /nfs/dbraw/zinc/38/79/94/622387994.db2.gz HSJYYXFBRZZGCG-STQMWFEESA-N 0 0 261.277 2.652 20 5 CFBDRN O=C(OCC1CC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027004 622388119 /nfs/dbraw/zinc/38/81/19/622388119.db2.gz HSJYYXFBRZZGCG-QWHCGFSZSA-N 0 0 261.277 2.652 20 5 CFBDRN O=C(OCc1ccncc1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027345 622388155 /nfs/dbraw/zinc/38/81/55/622388155.db2.gz JWVUADPVASVEOH-HUUCEWRRSA-N 0 0 298.298 2.837 20 5 CFBDRN CCCC(=O)COC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028009 622388554 /nfs/dbraw/zinc/38/85/54/622388554.db2.gz OWIIJEWGKSMZQA-KBPBESRZSA-N 0 0 291.303 2.611 20 5 CFBDRN O=C(OCC(F)F)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028912 622388643 /nfs/dbraw/zinc/38/86/43/622388643.db2.gz WSULIWRTVXGXFF-ZJUUUORDSA-N 0 0 271.219 2.507 20 5 CFBDRN COCC[C@H](C)OC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028677 622388815 /nfs/dbraw/zinc/38/88/15/622388815.db2.gz UPRBGQKJRMFPSU-LEWSCRJBSA-N 0 0 293.319 2.667 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC=CC1 ZINC000910010324 622390631 /nfs/dbraw/zinc/39/06/31/622390631.db2.gz DEOIVMCZQOHYAJ-UHFFFAOYSA-N 0 0 278.333 2.719 20 5 CFBDRN CC(C)(CCC(=O)NC[C@@H]1CCCCC1(F)F)[N+](=O)[O-] ZINC000833389986 625564808 /nfs/dbraw/zinc/56/48/08/625564808.db2.gz UTONJUMQACFNKQ-JTQLQIEISA-N 0 0 292.326 2.764 20 5 CFBDRN O=C(N[C@@H]1CC12CC2)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000911289007 622517472 /nfs/dbraw/zinc/51/74/72/622517472.db2.gz VHYHUHAEZRYIHH-SECBINFHSA-N 0 0 284.674 2.670 20 5 CFBDRN CCC/C=C/C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911473119 622522073 /nfs/dbraw/zinc/52/20/73/622522073.db2.gz QYFGZUFLLWSCFS-SNAWJCMRSA-N 0 0 284.262 2.846 20 5 CFBDRN C[C@H](C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F)C1CC1 ZINC000911476694 622522366 /nfs/dbraw/zinc/52/23/66/622522366.db2.gz SJWYVKSNYCOZME-ZETCQYMHSA-N 0 0 284.262 2.535 20 5 CFBDRN C/C(=C\C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F)C1CC1 ZINC000911478905 622524494 /nfs/dbraw/zinc/52/44/94/622524494.db2.gz VCKJQCUZWABBSN-XBXARRHUSA-N 0 0 296.273 2.846 20 5 CFBDRN COc1cccc(C(=O)N(C)C[Si](C)(C)C)c1[N+](=O)[O-] ZINC000911724601 622540893 /nfs/dbraw/zinc/54/08/93/622540893.db2.gz ULZWDCVMGHQMQO-UHFFFAOYSA-N 0 0 296.399 2.553 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)C[C@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000819683484 622544584 /nfs/dbraw/zinc/54/45/84/622544584.db2.gz MAHBCFIQVWJFRJ-MWLCHTKSSA-N 0 0 291.351 2.746 20 5 CFBDRN Cc1cccc(C(=O)N(C)C[Si](C)(C)C)c1[N+](=O)[O-] ZINC000911732962 622548553 /nfs/dbraw/zinc/54/85/53/622548553.db2.gz LYZYZFGPEVTPTO-UHFFFAOYSA-N 0 0 280.400 2.853 20 5 CFBDRN CCCNc1ccc(Cl)cc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840399361 622565163 /nfs/dbraw/zinc/56/51/63/622565163.db2.gz PAJJPUXJBOLLGB-BXKDBHETSA-N 0 0 297.742 2.766 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)ccc1F ZINC000819892655 622566998 /nfs/dbraw/zinc/56/69/98/622566998.db2.gz LMHZIJQTQAMUNO-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CC(C)CCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819899668 622568328 /nfs/dbraw/zinc/56/83/28/622568328.db2.gz SPKGHCFBLCOQKX-UHFFFAOYSA-N 0 0 251.286 2.552 20 5 CFBDRN Cc1cc(F)ccc1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819916100 622570187 /nfs/dbraw/zinc/57/01/87/622570187.db2.gz HGBKXIURSJJFRJ-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN CCn1nc(C)c(CNc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000819937044 622577413 /nfs/dbraw/zinc/57/74/13/622577413.db2.gz VEQRRKCQULOEBA-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000819961821 622585784 /nfs/dbraw/zinc/58/57/84/622585784.db2.gz WVOVLAVDBWQOEM-AYMMMOKOSA-N 0 0 263.253 2.713 20 5 CFBDRN Cn1c(C(=O)O[C@@H]2CCC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000820212550 622629087 /nfs/dbraw/zinc/62/90/87/622629087.db2.gz DTHSHAXIUNOFQC-SECBINFHSA-N 0 0 266.297 2.669 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@H]1CCC(C)(C)C1 ZINC000820214024 622629810 /nfs/dbraw/zinc/62/98/10/622629810.db2.gz UVGBCIWFGVPTRL-JTQLQIEISA-N 0 0 266.297 2.669 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000028802297 622629861 /nfs/dbraw/zinc/62/98/61/622629861.db2.gz GTBHIRZBIIKKES-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CC[C@H](C)CONC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000820279191 622640750 /nfs/dbraw/zinc/64/07/50/622640750.db2.gz KKICTOMLXGVODQ-LEWSCRJBSA-N 0 0 292.335 2.792 20 5 CFBDRN C[C@H]1CCCCN1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000912149292 622650226 /nfs/dbraw/zinc/65/02/26/622650226.db2.gz VMEYNLZFKCGKFU-VIFPVBQESA-N 0 0 297.742 2.767 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NOCCC1CC1 ZINC000820484250 622685834 /nfs/dbraw/zinc/68/58/34/622685834.db2.gz HDBJEGJYBWCEAY-UHFFFAOYSA-N 0 0 296.298 2.514 20 5 CFBDRN C[C@H](O)CCCOc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912364628 622699901 /nfs/dbraw/zinc/69/99/01/622699901.db2.gz JNWQYTZEXGJBBM-ZETCQYMHSA-N 0 0 277.679 2.927 20 5 CFBDRN Cc1cc(COC(=O)/C=C/[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000820555851 622701709 /nfs/dbraw/zinc/70/17/09/622701709.db2.gz ALZHCQILZFUJNE-IYNGYCSASA-N 0 0 291.303 2.682 20 5 CFBDRN C=C=CCNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000820586792 622708914 /nfs/dbraw/zinc/70/89/14/622708914.db2.gz QUDLJTBIKDMFAO-UHFFFAOYSA-N 0 0 256.208 2.949 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@H](C)F ZINC000820630700 622720438 /nfs/dbraw/zinc/72/04/38/622720438.db2.gz KVCBPFZTJZQRFH-QMMMGPOBSA-N 0 0 286.328 2.795 20 5 CFBDRN CC(C)C1(NC(=O)CCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000820638087 622721784 /nfs/dbraw/zinc/72/17/84/622721784.db2.gz WRVOXPINPVSUTJ-UHFFFAOYSA-N 0 0 294.326 2.971 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCCOC2)c([N+](=O)[O-])cc1C ZINC000820658686 622730064 /nfs/dbraw/zinc/73/00/64/622730064.db2.gz RCMBIUPPYRJREW-GFCCVEGCSA-N 0 0 294.351 2.775 20 5 CFBDRN Cc1cc(Cl)ccc1-c1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000867107360 625591163 /nfs/dbraw/zinc/59/11/63/625591163.db2.gz NIFVTDBQXMVJJA-NXEZZACHSA-N 0 0 279.683 2.831 20 5 CFBDRN O=c1c2ccoc2ccn1Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000820707029 622741108 /nfs/dbraw/zinc/74/11/08/622741108.db2.gz ZRKCDFUMINATLF-UHFFFAOYSA-N 0 0 288.234 2.690 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@H]1CCc2cccnc21 ZINC000820765969 622753116 /nfs/dbraw/zinc/75/31/16/622753116.db2.gz JQSSVPAVCQMJBO-AWEZNQCLSA-N 0 0 298.298 2.763 20 5 CFBDRN CC(C)c1noc(COC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000029138691 622766652 /nfs/dbraw/zinc/76/66/52/622766652.db2.gz VBPDPXOZQOBJPF-UHFFFAOYSA-N 0 0 297.292 2.520 20 5 CFBDRN CCCCN(CC)c1ccc([N+](=O)[O-])c2nonc21 ZINC000912734611 622771034 /nfs/dbraw/zinc/77/10/34/622771034.db2.gz NURHYAFKMKPACJ-UHFFFAOYSA-N 0 0 264.285 2.757 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@H]1C(F)F ZINC000820869365 622777988 /nfs/dbraw/zinc/77/79/88/622777988.db2.gz VRTSOMUGEVYGHW-UTUOFQBUSA-N 0 0 296.273 2.564 20 5 CFBDRN Cc1nn(Cc2cc(=O)oc3cc(C)ccc23)cc1[N+](=O)[O-] ZINC000821044423 622830063 /nfs/dbraw/zinc/83/00/63/622830063.db2.gz PVTBBLFHWXQODN-UHFFFAOYSA-N 0 0 299.286 2.563 20 5 CFBDRN Cc1cccc2c(C(=O)O[C@H](C)C[N+](=O)[O-])coc21 ZINC000821205049 622867788 /nfs/dbraw/zinc/86/77/88/622867788.db2.gz XKDOXZMRWCGQFH-SECBINFHSA-N 0 0 263.249 2.563 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC2(CC(F)C2)C1 ZINC000821257419 622879381 /nfs/dbraw/zinc/87/93/81/622879381.db2.gz JJDVYBHQFMISSM-UHFFFAOYSA-N 0 0 292.310 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@H]1C(F)(F)F ZINC000821269735 622881804 /nfs/dbraw/zinc/88/18/04/622881804.db2.gz GNRQOLKBIWCMIY-JTQLQIEISA-N 0 0 278.205 2.871 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000821428272 622920689 /nfs/dbraw/zinc/92/06/89/622920689.db2.gz HJFTVTCRRQQPMR-BQPHKTIFSA-N 0 0 288.347 2.861 20 5 CFBDRN O=C(NC[C@@H]1CCCCS1)c1cc([N+](=O)[O-])ccc1F ZINC000156386809 622938392 /nfs/dbraw/zinc/93/83/92/622938392.db2.gz UFLYKRASLSXOBT-JTQLQIEISA-N 0 0 298.339 2.749 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000164123196 622940324 /nfs/dbraw/zinc/94/03/24/622940324.db2.gz ZCVQZCBHUYJGNE-QMMMGPOBSA-N 0 0 286.328 2.605 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803229651 622941805 /nfs/dbraw/zinc/94/18/05/622941805.db2.gz ROKZZBRPJMACLH-SVRRBLITSA-N 0 0 271.219 2.572 20 5 CFBDRN Cc1cc(NC(=O)N2C[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000821565925 622945807 /nfs/dbraw/zinc/94/58/07/622945807.db2.gz LLFSKSRKQGDNEZ-ZJUUUORDSA-N 0 0 263.297 2.775 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H](C)CC(C)(C)O)c1[N+](=O)[O-] ZINC000821591249 622950245 /nfs/dbraw/zinc/95/02/45/622950245.db2.gz BNJWGUMUUSPMCU-JTQLQIEISA-N 0 0 281.308 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/Sc2cccc[n+]2[O-])o1 ZINC000821689460 622962301 /nfs/dbraw/zinc/96/23/01/622962301.db2.gz WUZGXTFLHGWUDJ-SOFGYWHQSA-N 0 0 264.262 2.584 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NCCC[C@@H]1C=CCC1 ZINC000834326606 625609705 /nfs/dbraw/zinc/60/97/05/625609705.db2.gz HYNKIEBKUUEVKD-LLVKDONJSA-N 0 0 289.335 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CCCOCC1 ZINC000821738398 622968213 /nfs/dbraw/zinc/96/82/13/622968213.db2.gz GDOWDMJBSZNUJQ-GFCCVEGCSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC=CCC1 ZINC000821770699 622973841 /nfs/dbraw/zinc/97/38/41/622973841.db2.gz XUZGQWWTLPKTNN-UHFFFAOYSA-N 0 0 260.293 2.614 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)O[C@H]1CCC12CCC2 ZINC000821820762 622983893 /nfs/dbraw/zinc/98/38/93/622983893.db2.gz RNCIWNDSKJBSMM-ZDUSSCGKSA-N 0 0 291.303 2.850 20 5 CFBDRN COc1ccc(C(=O)O[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000821846454 622992202 /nfs/dbraw/zinc/99/22/02/622992202.db2.gz NXRHSHJBRNYCIC-LBPRGKRZSA-N 0 0 279.292 2.949 20 5 CFBDRN CC1(C)CC[C@@H]1OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000821852690 622994655 /nfs/dbraw/zinc/99/46/55/622994655.db2.gz VCZXCJIMTWSRGV-LBPRGKRZSA-N 0 0 263.293 2.869 20 5 CFBDRN O=C(NCC(F)(F)F)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000224748552 623012044 /nfs/dbraw/zinc/01/20/44/623012044.db2.gz MPPOATXQDSGZTE-UHFFFAOYSA-N 0 0 297.620 2.932 20 5 CFBDRN Nc1c(C(=O)NCCC[C@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000834330470 625614129 /nfs/dbraw/zinc/61/41/29/625614129.db2.gz HZUYOARWFWOQOO-NSHDSACASA-N 0 0 289.335 2.653 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OC[C@H]1CCCCO1 ZINC000057969798 623022621 /nfs/dbraw/zinc/02/26/21/623022621.db2.gz HFYZTHOEEUSONV-SNVBAGLBSA-N 0 0 255.245 2.682 20 5 CFBDRN CCCOC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000057981872 623025616 /nfs/dbraw/zinc/02/56/16/623025616.db2.gz PLIIOEJRMDLURO-UHFFFAOYSA-N 0 0 255.295 2.640 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@]2(C)C[C@@H]2F)cc1[N+](=O)[O-] ZINC000822080516 623030738 /nfs/dbraw/zinc/03/07/38/623030738.db2.gz UKZNZVBNKBUUER-WCQYABFASA-N 0 0 267.256 2.808 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)Oc1cccc(F)c1 ZINC000046114661 623042402 /nfs/dbraw/zinc/04/24/02/623042402.db2.gz VHIAOTRLYQJYEW-UHFFFAOYSA-N 0 0 291.234 2.718 20 5 CFBDRN C[C@]1(COC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000822152261 623052023 /nfs/dbraw/zinc/05/20/23/623052023.db2.gz VVOVCJATEDTPLC-KYEXWDHISA-N 0 0 293.294 2.990 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCC[C@H]1C=CCC1 ZINC000834331520 625616943 /nfs/dbraw/zinc/61/69/43/625616943.db2.gz WELLXNORBZWWHH-ZDUSSCGKSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@H]1CCc2ccccc2N1Cn1nccc1[N+](=O)[O-] ZINC000158109540 623093841 /nfs/dbraw/zinc/09/38/41/623093841.db2.gz VTRDGSLEMYOHQO-NSHDSACASA-N 0 0 272.308 2.590 20 5 CFBDRN C[C@@H](C1CC1)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1CC1 ZINC000822474706 623111516 /nfs/dbraw/zinc/11/15/16/623111516.db2.gz RYBWERPFJNOHAW-JTQLQIEISA-N 0 0 268.357 2.611 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC[C@H]1CC[C@H](O)C1 ZINC000227666727 623115305 /nfs/dbraw/zinc/11/53/05/623115305.db2.gz YXMNWCAXHNUUNT-YUMQZZPRSA-N 0 0 288.706 2.960 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC[C@H]1CC[C@@H](O)C1 ZINC000227666717 623115369 /nfs/dbraw/zinc/11/53/69/623115369.db2.gz YXMNWCAXHNUUNT-JGVFFNPUSA-N 0 0 288.706 2.960 20 5 CFBDRN O=C(/C=C\[C@@H]1CCCO1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000822640186 623140960 /nfs/dbraw/zinc/14/09/60/623140960.db2.gz NHUIXTWFGFDXDT-OAQKJQOQSA-N 0 0 296.710 2.922 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@@H]1c1cccc(F)c1)[N+](=O)[O-] ZINC000822818890 623181827 /nfs/dbraw/zinc/18/18/27/623181827.db2.gz JXVFYPAFGTWCCW-CHWSQXEVSA-N 0 0 294.326 2.633 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000170787935 623183442 /nfs/dbraw/zinc/18/34/42/623183442.db2.gz VVACZFNZGHWQKF-MRVPVSSYSA-N 0 0 284.699 2.613 20 5 CFBDRN CSC[C@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000171836319 623244945 /nfs/dbraw/zinc/24/49/45/623244945.db2.gz ZDQBQFDOGPFVHI-JTQLQIEISA-N 0 0 283.349 2.680 20 5 CFBDRN Nc1ccc(C(=O)NC/C=C/c2ccccc2)cc1[N+](=O)[O-] ZINC000172003812 623251471 /nfs/dbraw/zinc/25/14/71/623251471.db2.gz CBIJEWYTRXQQIV-QPJJXVBHSA-N 0 0 297.314 2.620 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000230107601 623252251 /nfs/dbraw/zinc/25/22/51/623252251.db2.gz MSHBHCNCQBMFFU-AMIZOPFISA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1OCC[C@]1(C)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000230105454 623252289 /nfs/dbraw/zinc/25/22/89/623252289.db2.gz MAAMIECHAOBUGW-OQPBUACISA-N 0 0 298.726 2.546 20 5 CFBDRN CCOC(=O)/C=C/COc1c(Cl)cccc1[N+](=O)[O-] ZINC000230180427 623259952 /nfs/dbraw/zinc/25/99/52/623259952.db2.gz QBHWHVJVMMXOFW-QPJJXVBHSA-N 0 0 285.683 2.746 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC12CC2 ZINC000823227725 623270186 /nfs/dbraw/zinc/27/01/86/623270186.db2.gz ULJLOFGTSLJYBM-LLVKDONJSA-N 0 0 278.333 2.599 20 5 CFBDRN Cc1ccc(N)cc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000823235190 623271420 /nfs/dbraw/zinc/27/14/20/623271420.db2.gz PARXPEJULNKHOY-UHFFFAOYSA-N 0 0 290.323 2.615 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)C1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000172317403 623276300 /nfs/dbraw/zinc/27/63/00/623276300.db2.gz PVSPPSXBHQISMR-NXEZZACHSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823266672 623279144 /nfs/dbraw/zinc/27/91/44/623279144.db2.gz CJZOGGDMIWOGSB-IAQYHMDHSA-N 0 0 290.363 2.872 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000823313356 623291108 /nfs/dbraw/zinc/29/11/08/623291108.db2.gz ZBDIBKOTPXCALJ-XXUMUBMXSA-N 0 0 286.331 2.613 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000823313353 623291217 /nfs/dbraw/zinc/29/12/17/623291217.db2.gz ZBDIBKOTPXCALJ-BUONHZGMSA-N 0 0 286.331 2.613 20 5 CFBDRN CC[C@]1(C(=O)[O-])CCC[N@H+](Cc2ccccc2[N+](=O)[O-])C1 ZINC000062877701 623303137 /nfs/dbraw/zinc/30/31/37/623303137.db2.gz BQZZQVBYTRMPCF-HNNXBMFYSA-N 0 0 292.335 2.672 20 5 CFBDRN CC[C@@]1(C(=O)[O-])CCC[N@H+](Cc2ccccc2[N+](=O)[O-])C1 ZINC000062877702 623303359 /nfs/dbraw/zinc/30/33/59/623303359.db2.gz BQZZQVBYTRMPCF-OAHLLOKOSA-N 0 0 292.335 2.672 20 5 CFBDRN CS[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000230917813 623310105 /nfs/dbraw/zinc/31/01/05/623310105.db2.gz GYLMJIMHMDGLEC-SFYZADRCSA-N 0 0 294.336 2.827 20 5 CFBDRN COc1ccc(OCCCCCCCO)c([N+](=O)[O-])c1 ZINC000172905789 623317631 /nfs/dbraw/zinc/31/76/31/623317631.db2.gz JHLBLTHNQZMRNQ-UHFFFAOYSA-N 0 0 283.324 2.925 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2cnc(Cl)cn2)c1 ZINC000231289071 623337776 /nfs/dbraw/zinc/33/77/76/623337776.db2.gz IMVOQSCLWVJYGJ-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN COCC(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000063449413 623349002 /nfs/dbraw/zinc/34/90/02/623349002.db2.gz HUKJWWMEPNPONL-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN CCC[C@@]1(C)CCCN(Cn2nccc2[N+](=O)[O-])C1 ZINC000173537455 623353507 /nfs/dbraw/zinc/35/35/07/623353507.db2.gz XZXVVPRKIAIJGK-ZDUSSCGKSA-N 0 0 266.345 2.651 20 5 CFBDRN CC(C)CCOCCOC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000173502165 623354215 /nfs/dbraw/zinc/35/42/15/623354215.db2.gz XGLWUASIDUJJEJ-UHFFFAOYSA-N 0 0 295.335 2.743 20 5 CFBDRN CC(C)(NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CC1 ZINC000231621133 623361683 /nfs/dbraw/zinc/36/16/83/623361683.db2.gz FFILEYCDVVFZHC-UHFFFAOYSA-N 0 0 284.262 2.791 20 5 CFBDRN C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)c1cccnc1 ZINC000174022832 623381424 /nfs/dbraw/zinc/38/14/24/623381424.db2.gz AKESWCBTDGUPAO-SECBINFHSA-N 0 0 289.266 2.871 20 5 CFBDRN Cc1nocc1NC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000823761340 623392911 /nfs/dbraw/zinc/39/29/11/623392911.db2.gz OFZAPZDVCBJFJT-NWDGAFQWSA-N 0 0 287.275 2.633 20 5 CFBDRN Cc1nocc1NC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000823761341 623393059 /nfs/dbraw/zinc/39/30/59/623393059.db2.gz OFZAPZDVCBJFJT-RYUDHWBXSA-N 0 0 287.275 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1=CCCCO1 ZINC000174172129 623395502 /nfs/dbraw/zinc/39/55/02/623395502.db2.gz QTDIGSBBZFEZMF-UHFFFAOYSA-N 0 0 262.265 2.536 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C[C@H]1C ZINC000823927373 623420745 /nfs/dbraw/zinc/42/07/45/623420745.db2.gz VJYMCNNWOHJHGM-OPRDCNLKSA-N 0 0 292.339 2.685 20 5 CFBDRN Nc1ccc(NC(=O)N[C@@H]2CCCC[C@H]2F)cc1[N+](=O)[O-] ZINC000823927432 623420845 /nfs/dbraw/zinc/42/08/45/623420845.db2.gz XIEXZDFPMSOEDX-MWLCHTKSSA-N 0 0 296.302 2.579 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823945432 623423456 /nfs/dbraw/zinc/42/34/56/623423456.db2.gz PIXRWZPKQFKREL-VHSXEESVSA-N 0 0 292.339 2.735 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823945201 623423577 /nfs/dbraw/zinc/42/35/77/623423577.db2.gz LIYFPXLXRJEZQK-ZJUUUORDSA-N 0 0 292.339 2.735 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C[C@H]1C ZINC000823973753 623428370 /nfs/dbraw/zinc/42/83/70/623428370.db2.gz LGJMCKCUFDVCNL-VHSXEESVSA-N 0 0 292.339 2.687 20 5 CFBDRN C[C@H](N(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C1(C)CC1 ZINC000823981360 623428922 /nfs/dbraw/zinc/42/89/22/623428922.db2.gz WTCZROSPDRQUOP-VIFPVBQESA-N 0 0 292.339 2.829 20 5 CFBDRN CC[C@H](C)[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OC ZINC000251777384 623436531 /nfs/dbraw/zinc/43/65/31/623436531.db2.gz IVCOGIMZOCNMNY-MISXGVKJSA-N 0 0 294.351 2.833 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000088312287 623450364 /nfs/dbraw/zinc/45/03/64/623450364.db2.gz UHHLSFMOWMUKND-ZJUUUORDSA-N 0 0 279.340 2.732 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000029432459 623463689 /nfs/dbraw/zinc/46/36/89/623463689.db2.gz ATOPTHAAAAUCAS-JTQLQIEISA-N 0 0 267.329 2.632 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN[C@@H]1CCn2ccnc21 ZINC000824522581 623515545 /nfs/dbraw/zinc/51/55/45/623515545.db2.gz XEKLMFUEWAYVKO-MASHWEEQSA-N 0 0 284.319 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(CNC(CF)CF)cc1Cl ZINC000824554815 623522808 /nfs/dbraw/zinc/52/28/08/623522808.db2.gz MRYICTQMUJZEAX-UHFFFAOYSA-N 0 0 264.659 2.645 20 5 CFBDRN O=C(Nc1ccc(=O)[nH]c1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000312683381 623593407 /nfs/dbraw/zinc/59/34/07/623593407.db2.gz NRBFFTYTJIFRTM-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN CCc1nc(CNCc2ccc(C)c([N+](=O)[O-])c2)co1 ZINC000825050790 623616897 /nfs/dbraw/zinc/61/68/97/623616897.db2.gz SLAQJJKRPZGRPA-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H](C)OCC(C)C ZINC000760017143 623620846 /nfs/dbraw/zinc/62/08/46/623620846.db2.gz MXTQOSBAYCIGBZ-NSHDSACASA-N 0 0 281.308 2.870 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C1 ZINC000094810656 623630736 /nfs/dbraw/zinc/63/07/36/623630736.db2.gz OMAJRILGBBVRLE-UHFFFAOYSA-N 0 0 297.742 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000825238049 623655668 /nfs/dbraw/zinc/65/56/68/623655668.db2.gz BHQJFRBJHVMZAW-ZMLRMANQSA-N 0 0 292.335 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC[C@@H]2C[C@@H]21 ZINC000761086279 623708313 /nfs/dbraw/zinc/70/83/13/623708313.db2.gz NMTUZZKSWVETPK-PELKAZGASA-N 0 0 252.701 2.842 20 5 CFBDRN CN(C)c1cc(F)c(C(=O)NCC(C)(C)C)cc1[N+](=O)[O-] ZINC000825536286 623710834 /nfs/dbraw/zinc/71/08/34/623710834.db2.gz NJTVEGCZMNZGJL-UHFFFAOYSA-N 0 0 297.330 2.576 20 5 CFBDRN Nc1c(NC(=O)N[C@@H]2C=CCC2)cc(Cl)cc1[N+](=O)[O-] ZINC000825568407 623717318 /nfs/dbraw/zinc/71/73/18/623717318.db2.gz XRITZUHPUHHXAY-MRVPVSSYSA-N 0 0 296.714 2.671 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000825640305 623728258 /nfs/dbraw/zinc/72/82/58/623728258.db2.gz CFGNOHPRSREOFC-VIFPVBQESA-N 0 0 286.278 2.811 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000825640444 623728380 /nfs/dbraw/zinc/72/83/80/623728380.db2.gz FCYFFEUVICHLQV-MGPQQGTHSA-N 0 0 288.347 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000825640450 623728526 /nfs/dbraw/zinc/72/85/26/623728526.db2.gz FETWQTCKMSPZAY-LLVKDONJSA-N 0 0 298.289 2.955 20 5 CFBDRN Cc1ccc(CNC(=O)[C@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000825641080 623729243 /nfs/dbraw/zinc/72/92/43/623729243.db2.gz QDAWQZXTAFSPHT-LBPRGKRZSA-N 0 0 284.262 2.565 20 5 CFBDRN COCC(C)(C)CC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825641059 623729332 /nfs/dbraw/zinc/72/93/32/623729332.db2.gz PDLKBXAHSJBKTG-UHFFFAOYSA-N 0 0 294.351 2.582 20 5 CFBDRN CCC[C@H](C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825647522 623729975 /nfs/dbraw/zinc/72/99/75/623729975.db2.gz HJLIJGMUKAHEOE-NSHDSACASA-N 0 0 264.325 2.956 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2CCCCS2)cc1[N+](=O)[O-] ZINC000825647516 623730411 /nfs/dbraw/zinc/73/04/11/623730411.db2.gz HGQOBIROFJUZGW-ZDUSSCGKSA-N 0 0 294.376 2.805 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000825648569 623730912 /nfs/dbraw/zinc/73/09/12/623730912.db2.gz UULXKKTZPVRSPV-LBPRGKRZSA-N 0 0 268.288 2.514 20 5 CFBDRN CCCCO[C@H](C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825655441 623731192 /nfs/dbraw/zinc/73/11/92/623731192.db2.gz NVESTMFJARBKPX-GFCCVEGCSA-N 0 0 294.351 2.725 20 5 CFBDRN C/C(=C\C(=O)NCc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000825654756 623731260 /nfs/dbraw/zinc/73/12/60/623731260.db2.gz CGIIWGKMAGMEBM-YRNVUSSQSA-N 0 0 274.320 2.876 20 5 CFBDRN C[C@@H](NC(=O)NC[C@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000914216260 623738190 /nfs/dbraw/zinc/73/81/90/623738190.db2.gz FRKGNZZJCARYGM-CKYFFXLPSA-N 0 0 277.324 2.611 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCCCC1CC1 ZINC000825695133 623741554 /nfs/dbraw/zinc/74/15/54/623741554.db2.gz DWAHMRVBDNATGN-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CCOC(=O)Cc1ccc(N2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000825791123 623754914 /nfs/dbraw/zinc/75/49/14/623754914.db2.gz ZCPCTKFUQOOMGM-NSHDSACASA-N 0 0 292.335 2.689 20 5 CFBDRN Nc1c(Cl)cc(C(=O)NC[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000914287323 623755709 /nfs/dbraw/zinc/75/57/09/623755709.db2.gz JSLRIMPGVQRVSQ-QMMMGPOBSA-N 0 0 295.726 2.526 20 5 CFBDRN O=C1OC(c2cccs2)=N/C1=C/c1ccc([N+](=O)[O-])o1 ZINC000212458005 623762400 /nfs/dbraw/zinc/76/24/00/623762400.db2.gz YNTDKMJVXDAJLP-SOFGYWHQSA-N 0 0 290.256 2.594 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCCO1 ZINC000152757906 623764764 /nfs/dbraw/zinc/76/47/64/623764764.db2.gz VLLKNNZHKDELSQ-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN CS[C@H]1CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000825905041 623767697 /nfs/dbraw/zinc/76/76/97/623767697.db2.gz IWEVSFIUVQHICC-MNOVXSKESA-N 0 0 288.413 2.612 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CSc2ccccc21)[N+](=O)[O-] ZINC000825915731 623768700 /nfs/dbraw/zinc/76/87/00/623768700.db2.gz YKBBUAFWISUOJV-NSHDSACASA-N 0 0 294.376 2.785 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000072500911 625676300 /nfs/dbraw/zinc/67/63/00/625676300.db2.gz MPWKSAVXOOAKAD-SCZZXKLOSA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1ccc(CC[C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])o1 ZINC000826137295 623796848 /nfs/dbraw/zinc/79/68/48/623796848.db2.gz OTKYFVBRMJRUNF-NSHDSACASA-N 0 0 296.367 2.861 20 5 CFBDRN CC/C(C)=C/C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000826567082 623814068 /nfs/dbraw/zinc/81/40/68/623814068.db2.gz CHCOTIZBJCNFEA-ZHACJKMWSA-N 0 0 262.309 2.610 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000826580885 623816736 /nfs/dbraw/zinc/81/67/36/623816736.db2.gz UDWYDUPZVDLRKB-MISXGVKJSA-N 0 0 294.351 2.569 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000826624228 623825310 /nfs/dbraw/zinc/82/53/10/623825310.db2.gz PJVMWKDZLJDQPI-AWEZNQCLSA-N 0 0 292.339 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H]2C2CCC2)cc1 ZINC000826692487 623844489 /nfs/dbraw/zinc/84/44/89/623844489.db2.gz IEFOMOPHQSBIRO-CQSZACIVSA-N 0 0 262.309 2.600 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000826810633 623882614 /nfs/dbraw/zinc/88/26/14/623882614.db2.gz ZKJOMXOIMCIEET-DTWKUNHWSA-N 0 0 278.337 2.548 20 5 CFBDRN CCN(CCSC)c1ccc([N+](=O)[O-])cc1F ZINC000826852011 623892742 /nfs/dbraw/zinc/89/27/42/623892742.db2.gz SDDKKWSKYSUEOG-UHFFFAOYSA-N 0 0 258.318 2.923 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)Cc1ccco1 ZINC000914743657 623931331 /nfs/dbraw/zinc/93/13/31/623931331.db2.gz YUCPMYUFVCTBMU-NSHDSACASA-N 0 0 288.303 2.857 20 5 CFBDRN O=C(NCC1CC=CC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000827078408 623936619 /nfs/dbraw/zinc/93/66/19/623936619.db2.gz MRTRCRRAMVVCDX-CABCVRRESA-N 0 0 286.331 2.781 20 5 CFBDRN CC(C)(CCC(=O)O[C@H](C1CC1)C(F)(F)F)[N+](=O)[O-] ZINC000835213323 623950924 /nfs/dbraw/zinc/95/09/24/623950924.db2.gz BQVAOZAZJAPYNZ-SECBINFHSA-N 0 0 283.246 2.706 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CC1(F)F ZINC000835278352 623960811 /nfs/dbraw/zinc/96/08/11/623960811.db2.gz LFTOTOXKMFVGQV-QMMMGPOBSA-N 0 0 291.637 2.947 20 5 CFBDRN CCc1cc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000914954303 623961855 /nfs/dbraw/zinc/96/18/55/623961855.db2.gz JDKDCXJZWFOEND-VIFPVBQESA-N 0 0 289.291 2.798 20 5 CFBDRN CCC(F)(F)CNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000827278736 623979972 /nfs/dbraw/zinc/97/99/72/623979972.db2.gz KEKCKDVWYUCZBV-NEPJUHHUSA-N 0 0 298.289 2.860 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1cccc2ncccc21 ZINC000915162648 623990477 /nfs/dbraw/zinc/99/04/77/623990477.db2.gz NHPRQRUVOJQULW-UHFFFAOYSA-N 0 0 297.270 2.701 20 5 CFBDRN O=C(OCCOc1cccc(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000915230433 624002046 /nfs/dbraw/zinc/00/20/46/624002046.db2.gz XZEULWNHCWWONH-UHFFFAOYSA-N 0 0 295.222 2.563 20 5 CFBDRN CC(C)C[C@@H](O)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000827497002 624017623 /nfs/dbraw/zinc/01/76/23/624017623.db2.gz QLZBRYCYJZIFME-CYBMUJFWSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)(CCC(=O)Nc1scnc1C1CC1)[N+](=O)[O-] ZINC000827525382 624023221 /nfs/dbraw/zinc/02/32/21/624023221.db2.gz AHEICHXMLSYELP-UHFFFAOYSA-N 0 0 283.353 2.795 20 5 CFBDRN CC(C)CC(=O)OCOc1ccccc1[N+](=O)[O-] ZINC000915602504 624083070 /nfs/dbraw/zinc/08/30/70/624083070.db2.gz ALGJUVABWRNFMX-UHFFFAOYSA-N 0 0 253.254 2.520 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2CC[C@@H](C3CC3)O2)c1 ZINC000915602529 624083193 /nfs/dbraw/zinc/08/31/93/624083193.db2.gz AQPUQJZKJIJOLB-KBPBESRZSA-N 0 0 263.293 2.931 20 5 CFBDRN Cc1cccc(CN2CCc3cc([N+](=O)[O-])ccc32)n1 ZINC000834518975 625700897 /nfs/dbraw/zinc/70/08/97/625700897.db2.gz OJIWSQLCKQVJGI-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CC/C=C(\C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000194397964 624144199 /nfs/dbraw/zinc/14/41/99/624144199.db2.gz PJCUYAQFHBRIEO-WEVVVXLNSA-N 0 0 264.281 2.898 20 5 CFBDRN CCn1nnc(C)c1CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000834514351 625699566 /nfs/dbraw/zinc/69/95/66/625699566.db2.gz UMXUSDAJGALRPC-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN CC(C)c1ncncc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000916032673 624218057 /nfs/dbraw/zinc/21/80/57/624218057.db2.gz RXHIANIIPLWONL-UHFFFAOYSA-N 0 0 287.275 2.727 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(F)F ZINC000828344664 624231541 /nfs/dbraw/zinc/23/15/41/624231541.db2.gz HEHAUFIBGDNVLP-ZETCQYMHSA-N 0 0 275.211 2.959 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC[C@@H](C)CCO)c1 ZINC000828349016 624232410 /nfs/dbraw/zinc/23/24/10/624232410.db2.gz NSPCSEGNLGWSJL-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN Cc1cc(N[C@@H]2CCn3ccnc32)c(F)cc1[N+](=O)[O-] ZINC000828358245 624232600 /nfs/dbraw/zinc/23/26/00/624232600.db2.gz QEHXADYJYJIINJ-SNVBAGLBSA-N 0 0 276.271 2.796 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000828532460 624263329 /nfs/dbraw/zinc/26/33/29/624263329.db2.gz VZOPDGHPEYVXJI-ZDUSSCGKSA-N 0 0 279.292 2.674 20 5 CFBDRN CSCCOC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000916620672 624265656 /nfs/dbraw/zinc/26/56/56/624265656.db2.gz VGKKGSATKNYAPU-UHFFFAOYSA-N 0 0 287.362 2.837 20 5 CFBDRN CC(C)(C)c1nnc(SCc2cccnc2[N+](=O)[O-])o1 ZINC000916717037 624279728 /nfs/dbraw/zinc/27/97/28/624279728.db2.gz PFPGYSKIQDURMK-UHFFFAOYSA-N 0 0 294.336 2.963 20 5 CFBDRN Nc1ccc(NC(=O)c2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000916827518 624286033 /nfs/dbraw/zinc/28/60/33/624286033.db2.gz XTGXPJFWERGQAC-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN Nc1ccc(NC(=O)C2CCCCC2)cc1[N+](=O)[O-] ZINC000916828110 624286103 /nfs/dbraw/zinc/28/61/03/624286103.db2.gz QZOXCNQENWUTQF-UHFFFAOYSA-N 0 0 263.297 2.696 20 5 CFBDRN Cc1sc(C(=O)OCCC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000828695873 624287376 /nfs/dbraw/zinc/28/73/76/624287376.db2.gz AXUULGNKVOGEEK-SNVBAGLBSA-N 0 0 299.348 2.938 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1CC[C@@H](C2CC2)O1 ZINC000916843266 624287456 /nfs/dbraw/zinc/28/74/56/624287456.db2.gz UONJBIDRACLLTO-STQMWFEESA-N 0 0 293.319 2.940 20 5 CFBDRN CC/C=C\CNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000828730383 624292592 /nfs/dbraw/zinc/29/25/92/624292592.db2.gz OKVCOATZWLRLJZ-ARJAWSKDSA-N 0 0 289.335 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@@H]2CCC[C@@H]2O)c(F)c1 ZINC000828752421 624296224 /nfs/dbraw/zinc/29/62/24/624296224.db2.gz YHBSTERYXZOGTK-LNSITVRQSA-N 0 0 294.326 2.864 20 5 CFBDRN COc1ccc(CN(C)c2cccnc2[N+](=O)[O-])cc1F ZINC000917011067 624299919 /nfs/dbraw/zinc/29/99/19/624299919.db2.gz NCHBQFYZZIDJKM-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@H]2CCOC3(CCC3)C2)c1 ZINC000828785859 624301008 /nfs/dbraw/zinc/30/10/08/624301008.db2.gz QRYSYMFQMXBPPE-NSHDSACASA-N 0 0 291.351 2.938 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC(F)CC1 ZINC000917032640 624302333 /nfs/dbraw/zinc/30/23/33/624302333.db2.gz MOECRKRKYLLVCL-UHFFFAOYSA-N 0 0 267.260 2.561 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCCC[C@@H]1CCOC1 ZINC000828807009 624304218 /nfs/dbraw/zinc/30/42/18/624304218.db2.gz HLWSUFFUKFEJSE-GFCCVEGCSA-N 0 0 293.319 2.877 20 5 CFBDRN O=C(NC[C@H]1CC1(Cl)Cl)c1csc([N+](=O)[O-])c1 ZINC000917088405 624309513 /nfs/dbraw/zinc/30/95/13/624309513.db2.gz HLJOPSMFZBJESK-ZCFIWIBFSA-N 0 0 295.147 2.580 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000828842269 624311527 /nfs/dbraw/zinc/31/15/27/624311527.db2.gz PZRJAODWKAFLMX-JTQLQIEISA-N 0 0 297.282 2.707 20 5 CFBDRN CC(C)CCN(C)c1nc2ccccn2c1[N+](=O)[O-] ZINC000917095083 624312269 /nfs/dbraw/zinc/31/22/69/624312269.db2.gz ZFGBLZJZXXYZKP-UHFFFAOYSA-N 0 0 262.313 2.725 20 5 CFBDRN O=C(OCc1ccc(F)c(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000917216535 624323358 /nfs/dbraw/zinc/32/33/58/624323358.db2.gz ISWXHMIGJRNKRH-UHFFFAOYSA-N 0 0 283.186 2.823 20 5 CFBDRN CO[C@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@@H]1C ZINC000828903316 624325835 /nfs/dbraw/zinc/32/58/35/624325835.db2.gz GQXAVWOZYDNJCB-CABZTGNLSA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C[C@H]1C ZINC000828903549 624325953 /nfs/dbraw/zinc/32/59/53/624325953.db2.gz HMXVGKIPGCKCHA-BMIGLBTASA-N 0 0 292.335 2.659 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000828917440 624329547 /nfs/dbraw/zinc/32/95/47/624329547.db2.gz HDQUYTYYCXDVQU-JTQLQIEISA-N 0 0 297.282 2.707 20 5 CFBDRN CCc1onc(C)c1Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000917483595 624345714 /nfs/dbraw/zinc/34/57/14/624345714.db2.gz FBMAMRYHEXXVEG-UHFFFAOYSA-N 0 0 276.296 2.576 20 5 CFBDRN CCSCCSCc1cccnc1[N+](=O)[O-] ZINC000917469371 624346167 /nfs/dbraw/zinc/34/61/67/624346167.db2.gz UEDGMDJLTDVSOA-UHFFFAOYSA-N 0 0 258.368 2.976 20 5 CFBDRN O=C1N[C@@H](c2ccc([N+](=O)[O-])cc2)Nc2cc(F)ccc21 ZINC000917499338 624347295 /nfs/dbraw/zinc/34/72/95/624347295.db2.gz DFWDLQWTUYEYQN-ZDUSSCGKSA-N 0 0 287.250 2.588 20 5 CFBDRN O=C1N[C@H](c2ccccc2[N+](=O)[O-])Nc2cc(F)ccc21 ZINC000917502829 624347975 /nfs/dbraw/zinc/34/79/75/624347975.db2.gz VUYOABNQSVJFFA-CYBMUJFWSA-N 0 0 287.250 2.588 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCc2ccc(Cl)nn2)c1C ZINC000917837487 624387510 /nfs/dbraw/zinc/38/75/10/624387510.db2.gz NGEQAUGADFSQDE-UHFFFAOYSA-N 0 0 294.698 2.629 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](CF)C1 ZINC000829232870 624404677 /nfs/dbraw/zinc/40/46/77/624404677.db2.gz UWUZWZQQVQUTFI-VIFPVBQESA-N 0 0 298.339 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@]3(CCOC3)C2)c(F)c1 ZINC000829326670 624418690 /nfs/dbraw/zinc/41/86/90/624418690.db2.gz BLXMSCZUZXXRRP-CQSZACIVSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1c(F)c(F)c(F)c(F)c1F ZINC000918160600 624425098 /nfs/dbraw/zinc/42/50/98/624425098.db2.gz KOFKBFHOJCMMJE-UHFFFAOYSA-N 0 0 293.151 2.535 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)Cn2ccnc2[N+](=O)[O-])cc1 ZINC000918343687 624432423 /nfs/dbraw/zinc/43/24/23/624432423.db2.gz STAQRVOOUKXDOP-UHFFFAOYSA-N 0 0 287.319 2.972 20 5 CFBDRN CCc1ccc(CC)c(C(=O)Cn2ccnc2[N+](=O)[O-])c1 ZINC000918342957 624432694 /nfs/dbraw/zinc/43/26/94/624432694.db2.gz HSYOGVZHOGQREM-UHFFFAOYSA-N 0 0 287.319 2.799 20 5 CFBDRN CCOc1cccc(Cl)c1Cn1ccnc1[N+](=O)[O-] ZINC000918386049 624435270 /nfs/dbraw/zinc/43/52/70/624435270.db2.gz DSLFPYITAVDJFF-UHFFFAOYSA-N 0 0 281.699 2.892 20 5 CFBDRN Cc1nc(C(C)C)ccc1Cn1ccnc1[N+](=O)[O-] ZINC000918387925 624435512 /nfs/dbraw/zinc/43/55/12/624435512.db2.gz UMMVSEZUELNYOA-UHFFFAOYSA-N 0 0 260.297 2.666 20 5 CFBDRN CCn1nc(C)c(COc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000829462846 624438195 /nfs/dbraw/zinc/43/81/95/624438195.db2.gz AMZYUUYOHYYLGC-UHFFFAOYSA-N 0 0 294.286 2.541 20 5 CFBDRN CCCCCOC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000918494282 624442997 /nfs/dbraw/zinc/44/29/97/624442997.db2.gz PKUBRYBOSAPZQV-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN COC[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829515962 624450749 /nfs/dbraw/zinc/45/07/49/624450749.db2.gz KUWUOSLOGMKAPI-LLVKDONJSA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1ccc(Cl)cn1 ZINC000829602326 624465948 /nfs/dbraw/zinc/46/59/48/624465948.db2.gz QTOVTFYJQHXDLP-VIFPVBQESA-N 0 0 299.758 2.748 20 5 CFBDRN C/C=C/C=C\C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000918868366 624505805 /nfs/dbraw/zinc/50/58/05/624505805.db2.gz CFFGVTGOXLNHCL-IAROGAJJSA-N 0 0 261.277 2.813 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000829812013 624550868 /nfs/dbraw/zinc/55/08/68/624550868.db2.gz MWWRMLOHDPPPDQ-UHFFFAOYSA-N 0 0 258.362 2.620 20 5 CFBDRN CCOCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000919213417 624535978 /nfs/dbraw/zinc/53/59/78/624535978.db2.gz IXFWAOKRQQOPCJ-UHFFFAOYSA-N 0 0 279.248 2.534 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000919286749 624553720 /nfs/dbraw/zinc/55/37/20/624553720.db2.gz MMTYVQPACHSFKB-NSHDSACASA-N 0 0 281.308 2.699 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000919287639 624553740 /nfs/dbraw/zinc/55/37/40/624553740.db2.gz STTRZQAVIJAUIP-LLVKDONJSA-N 0 0 281.308 2.699 20 5 CFBDRN C[C@@H](Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-])C1CCCCC1 ZINC000829833531 624561606 /nfs/dbraw/zinc/56/16/06/624561606.db2.gz GNTLHFLEEBWDND-VIFPVBQESA-N 0 0 282.300 2.916 20 5 CFBDRN CCCc1ccc(Cn2nc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 ZINC000829837577 624562487 /nfs/dbraw/zinc/56/24/87/624562487.db2.gz HTAJPUDIWRWXLU-UHFFFAOYSA-N 0 0 290.279 2.700 20 5 CFBDRN C[C@H]1CCC[C@H](CCn2nc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000829838012 624563355 /nfs/dbraw/zinc/56/33/55/624563355.db2.gz QEKUOLMLBZAEAV-VHSXEESVSA-N 0 0 282.300 2.916 20 5 CFBDRN C/C=C(/C)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000154991282 624577795 /nfs/dbraw/zinc/57/77/95/624577795.db2.gz XEDCKOTVEAHNES-UTCJRWHESA-N 0 0 256.208 2.778 20 5 CFBDRN CSC[C@H](C)NC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000919472020 624577958 /nfs/dbraw/zinc/57/79/58/624577958.db2.gz WKHMSCJMEHDASU-VHSXEESVSA-N 0 0 297.380 2.707 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cn1 ZINC000154990070 624578360 /nfs/dbraw/zinc/57/83/60/624578360.db2.gz IXFSPPCYMWAGBP-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CCC(F)(F)COC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000851490468 634394273 /nfs/dbraw/zinc/39/42/73/634394273.db2.gz HRHVCLYEKLVBAY-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN C[C@@H](CNc1nc2ccccn2c1[N+](=O)[O-])C1CC1 ZINC000920057340 624665641 /nfs/dbraw/zinc/66/56/41/624665641.db2.gz ILFDUCGJYYWCFG-VIFPVBQESA-N 0 0 260.297 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCOC[C@H]1C1CCC1 ZINC000830240260 624683750 /nfs/dbraw/zinc/68/37/50/624683750.db2.gz OIOGDLSOOWISEM-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN CCC/C(C)=C/C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000920222728 624701232 /nfs/dbraw/zinc/70/12/32/624701232.db2.gz WRKSNZMTHGICQB-DHZHZOJOSA-N 0 0 292.335 2.966 20 5 CFBDRN C[C@H](C(=O)OCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000174898825 624710375 /nfs/dbraw/zinc/71/03/75/624710375.db2.gz VQEJWCCWJBGIAS-JTQLQIEISA-N 0 0 263.293 2.727 20 5 CFBDRN Cc1cc(F)ccc1CN(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000830361161 624722052 /nfs/dbraw/zinc/72/20/52/624722052.db2.gz DQOTZWOGDHMHFJ-UHFFFAOYSA-N 0 0 296.342 2.928 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCC[C@H]1CCCO1 ZINC000830369587 624724033 /nfs/dbraw/zinc/72/40/33/624724033.db2.gz NIORAKOFHNRZAF-SNVBAGLBSA-N 0 0 297.282 2.768 20 5 CFBDRN COCCCCOC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830369519 624724094 /nfs/dbraw/zinc/72/40/94/624724094.db2.gz LIFRTKPKTNZHRG-UHFFFAOYSA-N 0 0 285.271 2.626 20 5 CFBDRN CCC/C=C\C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000920453696 624724305 /nfs/dbraw/zinc/72/43/05/624724305.db2.gz CTVGIHMMRJGGME-YHYXMXQVSA-N 0 0 262.309 2.910 20 5 CFBDRN CC[C@@H](COC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])OC ZINC000830369581 624724508 /nfs/dbraw/zinc/72/45/08/624724508.db2.gz NDBQMWRGWGYFCX-VIFPVBQESA-N 0 0 285.271 2.624 20 5 CFBDRN CC(C)[C@@H]1CCCN1c1ccc([N+](=O)[O-])c2nonc21 ZINC000920486363 624730289 /nfs/dbraw/zinc/73/02/89/624730289.db2.gz IEQLXHVCMOHKPP-VIFPVBQESA-N 0 0 276.296 2.756 20 5 CFBDRN CCOc1ccc(C(=O)N(OC)[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000830468588 624753086 /nfs/dbraw/zinc/75/30/86/624753086.db2.gz KNKVBLFSCCHHNF-JTQLQIEISA-N 0 0 296.323 2.796 20 5 CFBDRN O=[N+]([O-])c1cccc(CNC(=S)NC2CCCCC2)c1 ZINC000921272377 624834068 /nfs/dbraw/zinc/83/40/68/624834068.db2.gz WSMDUKXBWJDRRT-UHFFFAOYSA-N 0 0 293.392 2.892 20 5 CFBDRN CCOc1cc(COC(=O)C2(F)CC2)ccc1[N+](=O)[O-] ZINC000830755403 624844717 /nfs/dbraw/zinc/84/47/17/624844717.db2.gz KXXRSCDZWTTXNO-UHFFFAOYSA-N 0 0 283.255 2.539 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)OC1CCC1 ZINC000830908158 624927022 /nfs/dbraw/zinc/92/70/22/624927022.db2.gz CZFOYOXLQCGFEX-UHFFFAOYSA-N 0 0 255.201 2.802 20 5 CFBDRN Cc1cccc(OC(=O)OC2CCC2)c1[N+](=O)[O-] ZINC000830951653 624937850 /nfs/dbraw/zinc/93/78/50/624937850.db2.gz YHTLXLNLMBDBME-UHFFFAOYSA-N 0 0 251.238 2.971 20 5 CFBDRN CN(CCOC(=O)C(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000064712511 624957746 /nfs/dbraw/zinc/95/77/46/624957746.db2.gz JCKLFOFPSRJBEQ-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc(Cl)nn2)cc1[N+](=O)[O-] ZINC000068296368 624987354 /nfs/dbraw/zinc/98/73/54/624987354.db2.gz LQQFQMSPAKSDKV-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CO1 ZINC000831422057 625016588 /nfs/dbraw/zinc/01/65/88/625016588.db2.gz SNXZEXQNBCOHIL-IONNQARKSA-N 0 0 299.714 2.547 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCCC1CC=CC1 ZINC000831456146 625027487 /nfs/dbraw/zinc/02/74/87/625027487.db2.gz CXXVBSSTGYFLLM-UHFFFAOYSA-N 0 0 290.319 2.690 20 5 CFBDRN CNc1ccc(C(=O)NCCC2CC=CC2)cc1[N+](=O)[O-] ZINC000831456165 625027575 /nfs/dbraw/zinc/02/75/75/625027575.db2.gz DTHSUSNTAPTHIW-UHFFFAOYSA-N 0 0 289.335 2.723 20 5 CFBDRN CCCN(CCC)C(=O)CNc1cc([N+](=O)[O-])ccc1F ZINC000069002017 625045096 /nfs/dbraw/zinc/04/50/96/625045096.db2.gz UZBOZBUHDUYEAO-UHFFFAOYSA-N 0 0 297.330 2.794 20 5 CFBDRN COC1(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCC1 ZINC000180873020 625046954 /nfs/dbraw/zinc/04/69/54/625046954.db2.gz CWWKREGDWNFHPH-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CCC(F)(F)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000831702428 625099547 /nfs/dbraw/zinc/09/95/47/625099547.db2.gz ORMMGJBROMHRPP-MRVPVSSYSA-N 0 0 272.251 2.817 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(F)nc2)ccc1[N+](=O)[O-] ZINC000070084045 625268547 /nfs/dbraw/zinc/26/85/47/625268547.db2.gz KEDZKCMIQDVDBD-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)[C@H](C)C1 ZINC000070116907 625269844 /nfs/dbraw/zinc/26/98/44/625269844.db2.gz XQOPUBNWBHGWTL-BREBYQMCSA-N 0 0 291.351 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1nccn1C(F)F ZINC000073121829 625780427 /nfs/dbraw/zinc/78/04/27/625780427.db2.gz KLSXBBMAFXKPBG-UHFFFAOYSA-N 0 0 287.197 2.905 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)CCS1 ZINC000834681963 625782345 /nfs/dbraw/zinc/78/23/45/625782345.db2.gz FZUBVHSZQKDMAW-APPZFPTMSA-N 0 0 286.378 2.670 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCS[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000834707818 625795740 /nfs/dbraw/zinc/79/57/40/625795740.db2.gz XZYUBWHHGDDRDG-GXSJLCMTSA-N 0 0 294.376 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@H](N[C@@H]1CCn3ccnc31)CCC2 ZINC000834831443 625891377 /nfs/dbraw/zinc/89/13/77/625891377.db2.gz JMBAKXPGQOPLQG-HUUCEWRRSA-N 0 0 298.346 2.903 20 5 CFBDRN CC(C)CON=c1nc[nH]c2cccc([N+](=O)[O-])c21 ZINC000788070563 625937523 /nfs/dbraw/zinc/93/75/23/625937523.db2.gz IDFHVFQIUFOKKU-UHFFFAOYSA-N 0 0 262.269 2.538 20 5 CFBDRN O=C(Oc1c(F)cc(F)cc1[N+](=O)[O-])OC1CCC1 ZINC000921511769 625952538 /nfs/dbraw/zinc/95/25/38/625952538.db2.gz GIWYFRYPTZHBQQ-UHFFFAOYSA-N 0 0 273.191 2.941 20 5 CFBDRN Cc1nonc1COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000083856201 625998163 /nfs/dbraw/zinc/99/81/63/625998163.db2.gz ZVBOZRHDDDEZRJ-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN COc1ccc(C(=O)OCCC(C)C)cc1[N+](=O)[O-] ZINC000073410201 626001826 /nfs/dbraw/zinc/00/18/26/626001826.db2.gz RHGFRYXJKAONRD-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CNCc1cccnc1 ZINC000084439681 626015820 /nfs/dbraw/zinc/01/58/20/626015820.db2.gz QCEGDHQQJIRUDP-UHFFFAOYSA-N 0 0 277.711 2.933 20 5 CFBDRN CCOc1ccc(C(=O)O[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000066546593 626221891 /nfs/dbraw/zinc/22/18/91/626221891.db2.gz LSGAWEOXAGYIOK-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN COCc1cc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000922537395 626304607 /nfs/dbraw/zinc/30/46/07/626304607.db2.gz FFEXUHPZDOKMAW-SNVBAGLBSA-N 0 0 291.307 2.580 20 5 CFBDRN CC(C)OCCOC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000058825527 626409326 /nfs/dbraw/zinc/40/93/26/626409326.db2.gz YYIAOCFITQILMS-UHFFFAOYSA-N 0 0 287.699 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN(CCCCO)C2CC2)c1 ZINC000172585694 626414938 /nfs/dbraw/zinc/41/49/38/626414938.db2.gz ULXQXGXLEPGXII-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN C[C@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000923055066 626446903 /nfs/dbraw/zinc/44/69/03/626446903.db2.gz VLWWJFLDNDFYTB-TVQRCGJNSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@@H](NC(=O)NCC1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000923403289 626470693 /nfs/dbraw/zinc/47/06/93/626470693.db2.gz PHRMRFZSBJDINO-LLVKDONJSA-N 0 0 289.335 2.921 20 5 CFBDRN CS[C@H]1CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000840788381 626481882 /nfs/dbraw/zinc/48/18/82/626481882.db2.gz NUSKBFYZPWHCBV-NSHDSACASA-N 0 0 297.405 2.729 20 5 CFBDRN CC/C(C)=C\C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000838045155 626484656 /nfs/dbraw/zinc/48/46/56/626484656.db2.gz RZJMELVDUNSCNI-TWGQIWQCSA-N 0 0 294.263 2.903 20 5 CFBDRN CC(C)(CCC(=O)NC1(C2CCC2)CCC1)[N+](=O)[O-] ZINC000838175767 626487788 /nfs/dbraw/zinc/48/77/88/626487788.db2.gz QXUBBSZQLLNPIS-UHFFFAOYSA-N 0 0 268.357 2.661 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CC(C)(C)C1 ZINC000838150089 626488319 /nfs/dbraw/zinc/48/83/19/626488319.db2.gz UMOQSYDCYVDFRQ-UHFFFAOYSA-N 0 0 295.314 2.577 20 5 CFBDRN O=C(CC1(O)CCC1)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000838211533 626488838 /nfs/dbraw/zinc/48/88/38/626488838.db2.gz ICIFISSQMQPIHJ-UHFFFAOYSA-N 0 0 299.710 2.597 20 5 CFBDRN CC1(C)CCC[C@@H]1OC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838283521 626491820 /nfs/dbraw/zinc/49/18/20/626491820.db2.gz DRBHQMJRDZNQOA-STQMWFEESA-N 0 0 293.319 2.750 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)OC[C@H]2CCOC2)cc1Cl ZINC000838345405 626492902 /nfs/dbraw/zinc/49/29/02/626492902.db2.gz PDOGCGIRXTZSJT-VIFPVBQESA-N 0 0 299.710 2.750 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccccc1[N+](=O)[O-] ZINC000838421664 626496774 /nfs/dbraw/zinc/49/67/74/626496774.db2.gz SIIARKXZHNZMJM-FTUHBYGFSA-N 0 0 291.303 2.767 20 5 CFBDRN COCC1(C(=O)OCc2csc([N+](=O)[O-])c2)CCCC1 ZINC000838442474 626498008 /nfs/dbraw/zinc/49/80/08/626498008.db2.gz OMGGLFPNSLGAEI-UHFFFAOYSA-N 0 0 299.348 2.906 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(OC(F)F)C2)cc1Cl ZINC000839145375 626526007 /nfs/dbraw/zinc/52/60/07/626526007.db2.gz SALXCJOQPKPIIE-UHFFFAOYSA-N 0 0 292.669 2.672 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(C(F)F)C2)cc1Cl ZINC000839141650 626528430 /nfs/dbraw/zinc/52/84/30/626528430.db2.gz VFMUUYSYWSFVNJ-UHFFFAOYSA-N 0 0 276.670 2.945 20 5 CFBDRN CCCCOCCOC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000103331348 626531606 /nfs/dbraw/zinc/53/16/06/626531606.db2.gz XOSCTHQVHNOCNQ-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000839379902 626534710 /nfs/dbraw/zinc/53/47/10/626534710.db2.gz TYAWBYNGFFBJGP-SECBINFHSA-N 0 0 268.700 2.873 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)c1F ZINC000839452900 626537254 /nfs/dbraw/zinc/53/72/54/626537254.db2.gz NYQFKWOQGYJKPG-CDMKHQONSA-N 0 0 292.310 2.961 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1cc([N+](=O)[O-])ccc1F ZINC000839452598 626537272 /nfs/dbraw/zinc/53/72/72/626537272.db2.gz DZWISXRMTIBWOK-DVYJOKAKSA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000839453402 626537365 /nfs/dbraw/zinc/53/73/65/626537365.db2.gz LMGHESXOTUHMTD-JOYOIKCWSA-N 0 0 266.272 2.508 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@]2(C)CC=CCC2)c1 ZINC000839453266 626537419 /nfs/dbraw/zinc/53/74/19/626537419.db2.gz OOPIEVIDQBGJKQ-OAHLLOKOSA-N 0 0 290.319 2.832 20 5 CFBDRN CS/C=C\C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756991373 626543245 /nfs/dbraw/zinc/54/32/45/626543245.db2.gz PFFUSMQCQSGGHP-PLNGDYQASA-N 0 0 286.740 2.741 20 5 CFBDRN CC(C)(C)OCCCOC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000757023154 626543658 /nfs/dbraw/zinc/54/36/58/626543658.db2.gz JKEDNKFCWBGACI-UHFFFAOYSA-N 0 0 296.323 2.539 20 5 CFBDRN CN(CC(F)F)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000757183180 626546192 /nfs/dbraw/zinc/54/61/92/626546192.db2.gz VSYORGBUTADDKS-UHFFFAOYSA-N 0 0 293.657 2.977 20 5 CFBDRN C[C@@H]1CCN1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000757264568 626547340 /nfs/dbraw/zinc/54/73/40/626547340.db2.gz ZWIUSDBZKZNQOE-MRVPVSSYSA-N 0 0 283.715 2.552 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCO[C@@H](C2CCC2)C1 ZINC000839621946 626548626 /nfs/dbraw/zinc/54/86/26/626548626.db2.gz HGGPLZBLFWEPJF-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN Cc1ccc([C@H]2C[C@@H](C)CCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])o1 ZINC000839695020 626551297 /nfs/dbraw/zinc/55/12/97/626551297.db2.gz VFQFKHQVLBVPKY-WKSBVSIWSA-N 0 0 292.335 2.553 20 5 CFBDRN Cc1ccccc1[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])C(C)(C)C ZINC000839698515 626551427 /nfs/dbraw/zinc/55/14/27/626551427.db2.gz FWFNMHVHLKBUAV-MGPQQGTHSA-N 0 0 290.363 2.864 20 5 CFBDRN Cc1cccc([C@@H](NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C(C)(C)C)c1 ZINC000839698525 626551456 /nfs/dbraw/zinc/55/14/56/626551456.db2.gz GAAAUUPMIYFRAM-MGPQQGTHSA-N 0 0 290.363 2.864 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CC2[N+](=O)[O-])cccc1C(F)(F)F ZINC000839704059 626552478 /nfs/dbraw/zinc/55/24/78/626552478.db2.gz SMPQIDYMSMLZIL-GMSGAONNSA-N 0 0 288.225 2.618 20 5 CFBDRN C[C@@H]1CC[C@@H](c2ccccc2)N(C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])C1 ZINC000839762555 626553715 /nfs/dbraw/zinc/55/37/15/626553715.db2.gz RZUAXOIDNSGUOH-REBRKWNGSA-N 0 0 288.347 2.651 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CC1(C)CC1 ZINC000757852767 626565286 /nfs/dbraw/zinc/56/52/86/626565286.db2.gz AOFJNZHLUZMPSH-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN CC(C)(C)CCOC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000758066949 626575344 /nfs/dbraw/zinc/57/53/44/626575344.db2.gz BYYWABVZCNMXJW-UHFFFAOYSA-N 0 0 284.287 2.909 20 5 CFBDRN Nc1c(F)cccc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000758066456 626575378 /nfs/dbraw/zinc/57/53/78/626575378.db2.gz KOZMIWPCJSSAIM-UHFFFAOYSA-N 0 0 296.279 2.735 20 5 CFBDRN CCOCOc1ccc([N+](=O)[O-])cc1Br ZINC000758382172 626590880 /nfs/dbraw/zinc/59/08/80/626590880.db2.gz GDUCASUYNMLDPV-UHFFFAOYSA-N 0 0 276.086 2.730 20 5 CFBDRN CCOCOc1c(Br)cccc1[N+](=O)[O-] ZINC000758409831 626592645 /nfs/dbraw/zinc/59/26/45/626592645.db2.gz FBDCITRMXTYBGM-UHFFFAOYSA-N 0 0 276.086 2.730 20 5 CFBDRN CC1(CC(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000758827609 626611177 /nfs/dbraw/zinc/61/11/77/626611177.db2.gz GBYQVKIEGYWZSF-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN Nc1c(C(=O)OCc2ccccc2F)cccc1[N+](=O)[O-] ZINC000758957473 626620128 /nfs/dbraw/zinc/62/01/28/626620128.db2.gz ZXGLESVECIOHSU-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(n3ccnc3)C2)c(Cl)c1 ZINC000759059137 626626489 /nfs/dbraw/zinc/62/64/89/626626489.db2.gz WDALZNVWQSOCRX-UHFFFAOYSA-N 0 0 278.699 2.506 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000759533644 626667804 /nfs/dbraw/zinc/66/78/04/626667804.db2.gz RFEKJMUWAJCKCA-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN COCCN(Cc1ccco1)c1ccc([N+](=O)[O-])cc1F ZINC000759696094 626684835 /nfs/dbraw/zinc/68/48/35/626684835.db2.gz FCSRUXYTHDWFPI-UHFFFAOYSA-N 0 0 294.282 2.980 20 5 CFBDRN Cc1cc(N2CCC(C)(O)CC2)c(Cl)cc1[N+](=O)[O-] ZINC000759699191 626684888 /nfs/dbraw/zinc/68/48/88/626684888.db2.gz XEQQIPOHKZXNSE-UHFFFAOYSA-N 0 0 284.743 2.908 20 5 CFBDRN C[C@@H](CC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000759790562 626691255 /nfs/dbraw/zinc/69/12/55/626691255.db2.gz SORZZTHIROQNDI-QMMMGPOBSA-N 0 0 288.307 2.846 20 5 CFBDRN O=C(NOCC(F)F)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000759934881 626702972 /nfs/dbraw/zinc/70/29/72/626702972.db2.gz VPRLOMHKCAUQPH-UHFFFAOYSA-N 0 0 295.629 2.566 20 5 CFBDRN CC[C@H](C)C(=O)O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000759931301 626703150 /nfs/dbraw/zinc/70/31/50/626703150.db2.gz LOMJFAUKPQHANO-TUAOUCFPSA-N 0 0 295.339 2.864 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1cn2ccccc2n1 ZINC000760014830 626709728 /nfs/dbraw/zinc/70/97/28/626709728.db2.gz DBQQOKMXNVUMHW-UHFFFAOYSA-N 0 0 297.270 2.770 20 5 CFBDRN Cc1ccc(CC(=O)Oc2c(C)cccc2[N+](=O)[O-])cn1 ZINC000760018299 626709884 /nfs/dbraw/zinc/70/98/84/626709884.db2.gz OXDCNQAMNRODMC-UHFFFAOYSA-N 0 0 286.287 2.755 20 5 CFBDRN Cc1ncsc1C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760022516 626710274 /nfs/dbraw/zinc/71/02/74/626710274.db2.gz LXHVQMWAAVOZMV-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1ccc[nH]1 ZINC000760309252 626732369 /nfs/dbraw/zinc/73/23/69/626732369.db2.gz ALMRBUASXXMBAQ-VIFPVBQESA-N 0 0 274.280 2.806 20 5 CFBDRN C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000760310156 626732587 /nfs/dbraw/zinc/73/25/87/626732587.db2.gz GXSMAXUCNNXCEC-SECBINFHSA-N 0 0 274.280 2.806 20 5 CFBDRN CC(C)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000760458495 626747711 /nfs/dbraw/zinc/74/77/11/626747711.db2.gz PCAIYSHECRGNHT-UHFFFAOYSA-N 0 0 290.275 2.949 20 5 CFBDRN Cc1cccc(OCCCNc2ncc([N+](=O)[O-])cn2)c1 ZINC000760467014 626748418 /nfs/dbraw/zinc/74/84/18/626748418.db2.gz ZYAXUVVTQOBWEV-UHFFFAOYSA-N 0 0 288.307 2.574 20 5 CFBDRN CN(Cc1cc[nH]n1)c1ccc([N+](=O)[O-])cc1OC(F)F ZINC000760534932 626754178 /nfs/dbraw/zinc/75/41/78/626754178.db2.gz SVXLRDVPWAQFJL-UHFFFAOYSA-N 0 0 298.249 2.556 20 5 CFBDRN O=C(OC[C@@H]1CCCOC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000760719647 626766634 /nfs/dbraw/zinc/76/66/34/626766634.db2.gz QRBZJHOYAZFHBG-SECBINFHSA-N 0 0 299.710 2.832 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1ccc[nH]1 ZINC000760742537 626769502 /nfs/dbraw/zinc/76/95/02/626769502.db2.gz ZSQUOPQDTWXZKR-SSDOTTSWSA-N 0 0 295.245 2.692 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCC1CCCCC1 ZINC000761020289 626792130 /nfs/dbraw/zinc/79/21/30/626792130.db2.gz YFADALIBTURSTI-UHFFFAOYSA-N 0 0 266.297 2.670 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CC[C@H]2C[C@H]21 ZINC000761094544 626802277 /nfs/dbraw/zinc/80/22/77/626802277.db2.gz PMAIZWNUEPMWOJ-SMDDNHRTSA-N 0 0 276.336 2.976 20 5 CFBDRN CS/C=C/c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000761243934 626818622 /nfs/dbraw/zinc/81/86/22/626818622.db2.gz CIGKODCNAZTGND-SNAWJCMRSA-N 0 0 278.293 2.561 20 5 CFBDRN CC(C)(C(=O)O[C@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000761322650 626823673 /nfs/dbraw/zinc/82/36/73/626823673.db2.gz QGMHOCXKONIHKC-ZDUSSCGKSA-N 0 0 293.319 2.595 20 5 CFBDRN CCCC1(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000761461393 626837421 /nfs/dbraw/zinc/83/74/21/626837421.db2.gz NDRNRYSJJOUDQI-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000761472718 626838568 /nfs/dbraw/zinc/83/85/68/626838568.db2.gz YANAQLXHFZQQFL-CMPLNLGQSA-N 0 0 291.303 2.643 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC2CC(F)(F)C2)cc1 ZINC000761523628 626842091 /nfs/dbraw/zinc/84/20/91/626842091.db2.gz IBVMHKDQNKYFMG-UHFFFAOYSA-N 0 0 287.291 2.679 20 5 CFBDRN Cc1c(COC(=O)[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000762039510 626883053 /nfs/dbraw/zinc/88/30/53/626883053.db2.gz VPMFRHLCCLEETO-NSHDSACASA-N 0 0 281.333 2.700 20 5 CFBDRN CCC(CC)OC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000762111237 626892159 /nfs/dbraw/zinc/89/21/59/626892159.db2.gz VWQMMMPUFKBSSZ-UHFFFAOYSA-N 0 0 285.271 2.845 20 5 CFBDRN Cc1ccncc1COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000762122876 626894300 /nfs/dbraw/zinc/89/43/00/626894300.db2.gz XPCBJUJZUKTPGQ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CNc1ccc(C(=O)OC[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000762147663 626897444 /nfs/dbraw/zinc/89/74/44/626897444.db2.gz JVAQZJCSLLBUBW-VIFPVBQESA-N 0 0 296.348 2.546 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OC[C@@H]1CCSC1 ZINC000762149787 626898061 /nfs/dbraw/zinc/89/80/61/626898061.db2.gz QBPZFIHXSCPPFC-RYBZSIHZSA-N 0 0 293.344 2.904 20 5 CFBDRN O=C1O[C@@H](COc2cc(Cl)ccc2[N+](=O)[O-])CC12CC2 ZINC000762205467 626903500 /nfs/dbraw/zinc/90/35/00/626903500.db2.gz AJNVTLPOGOLNDZ-SECBINFHSA-N 0 0 297.694 2.723 20 5 CFBDRN O=C1O[C@H](COc2c(Cl)cccc2[N+](=O)[O-])CC12CC2 ZINC000762215188 626904631 /nfs/dbraw/zinc/90/46/31/626904631.db2.gz TVAYMRRSKAOMHL-QMMMGPOBSA-N 0 0 297.694 2.723 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(COc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000762252012 626907377 /nfs/dbraw/zinc/90/73/77/626907377.db2.gz IJNXBMMBVFUWIG-VXNVDRBHSA-N 0 0 293.254 2.819 20 5 CFBDRN Cc1cccc(C)c1OC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000762258962 626908353 /nfs/dbraw/zinc/90/83/53/626908353.db2.gz JDTFHOFYBFYULT-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1cc(Cc2noc(C3=COCC3)n2)ccc1[N+](=O)[O-] ZINC000762301205 626909954 /nfs/dbraw/zinc/90/99/54/626909954.db2.gz YGDBJSYVTAVRIC-UHFFFAOYSA-N 0 0 287.275 2.638 20 5 CFBDRN Cc1nc(NC(=O)c2ncoc2C(C)C)ccc1[N+](=O)[O-] ZINC000762338995 626911523 /nfs/dbraw/zinc/91/15/23/626911523.db2.gz VDAJUUKYAKICOB-UHFFFAOYSA-N 0 0 290.279 2.662 20 5 CFBDRN CC(=O)CCCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000762884390 626946790 /nfs/dbraw/zinc/94/67/90/626946790.db2.gz FSGGOGIBMIWJSM-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN O=C(OCCCF)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000762900594 626948694 /nfs/dbraw/zinc/94/86/94/626948694.db2.gz VFAWRNJYQLOWAG-UHFFFAOYSA-N 0 0 266.228 2.593 20 5 CFBDRN C[C@H](C(=O)OC[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000762942632 626952268 /nfs/dbraw/zinc/95/22/68/626952268.db2.gz AHTHMZXMTDNEBX-SMDDNHRTSA-N 0 0 293.319 2.811 20 5 CFBDRN CC(C)C(C)(C)SCCn1cc([N+](=O)[O-])cn1 ZINC000762978409 626954137 /nfs/dbraw/zinc/95/41/37/626954137.db2.gz GOKQUBOONUCQGF-UHFFFAOYSA-N 0 0 257.359 2.959 20 5 CFBDRN CCCc1nc(C(=O)OCc2cccc([N+](=O)[O-])c2)co1 ZINC000763197610 626970061 /nfs/dbraw/zinc/97/00/61/626970061.db2.gz MQNWWMBTFTXTGJ-UHFFFAOYSA-N 0 0 290.275 2.892 20 5 CFBDRN COC[C@@H]1CCCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000763202607 626970518 /nfs/dbraw/zinc/97/05/18/626970518.db2.gz DKRLIRCXCCBMQB-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1ccc(-c2nc([C@]3(C)CCCO3)no2)cc1[N+](=O)[O-] ZINC000763332119 626979996 /nfs/dbraw/zinc/97/99/96/626979996.db2.gz RFERMCOWMHGNPV-AWEZNQCLSA-N 0 0 289.291 2.979 20 5 CFBDRN O=C(Nc1nccc2ccncc21)c1ccc([N+](=O)[O-])cc1 ZINC000763343088 626981408 /nfs/dbraw/zinc/98/14/08/626981408.db2.gz USHQJROWAGEHQW-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN C[C@H](C(=O)OCC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000763394724 626985360 /nfs/dbraw/zinc/98/53/60/626985360.db2.gz SGZLYDQNYAPUHX-FZMZJTMJSA-N 0 0 293.319 2.811 20 5 CFBDRN CCCn1ccc(CNC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000763399558 626985719 /nfs/dbraw/zinc/98/57/19/626985719.db2.gz QEKVGDVEPJOKCE-UHFFFAOYSA-N 0 0 287.319 2.736 20 5 CFBDRN C[C@@H](C(=O)O[C@H]1CCCCC1=O)c1cccc([N+](=O)[O-])c1 ZINC000763404940 626985722 /nfs/dbraw/zinc/98/57/22/626985722.db2.gz QHUONAAVARPFEX-YGRLFVJLSA-N 0 0 291.303 2.753 20 5 CFBDRN C[C@@H](C(=O)OC[C@@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000763421303 626988065 /nfs/dbraw/zinc/98/80/65/626988065.db2.gz TTWYOQSRDBDBRF-VXGBXAGGSA-N 0 0 293.319 2.668 20 5 CFBDRN COC(=O)c1ccc(OC(=O)[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000763712911 627007625 /nfs/dbraw/zinc/00/76/25/627007625.db2.gz XUVVTSMHLGMIIY-SECBINFHSA-N 0 0 295.291 2.579 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC(F)(F)C1 ZINC000763834431 627016330 /nfs/dbraw/zinc/01/63/30/627016330.db2.gz UPKMNWAOOOSZDZ-ZXCPCRMDSA-N 0 0 296.273 2.912 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC(F)(F)C1 ZINC000763834429 627016590 /nfs/dbraw/zinc/01/65/90/627016590.db2.gz UPKMNWAOOOSZDZ-ZTYXWKCZSA-N 0 0 296.273 2.912 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OC/C(Cl)=C/Cl ZINC000763845275 627017161 /nfs/dbraw/zinc/01/71/61/627017161.db2.gz MBMMUMCPDIVJHB-TWGQIWQCSA-N 0 0 290.102 3.000 20 5 CFBDRN O=C(OC/C(Cl)=C/Cl)c1ccc([N+](=O)[O-])o1 ZINC000763860106 627018023 /nfs/dbraw/zinc/01/80/23/627018023.db2.gz BRRFBVGBKMSUBZ-HYXAFXHYSA-N 0 0 266.036 2.664 20 5 CFBDRN COC[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000764007858 627030847 /nfs/dbraw/zinc/03/08/47/627030847.db2.gz CCOZNHSOZDYMPI-FZMZJTMJSA-N 0 0 295.335 2.913 20 5 CFBDRN COC[C@@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000764007860 627030877 /nfs/dbraw/zinc/03/08/77/627030877.db2.gz CCOZNHSOZDYMPI-SMDDNHRTSA-N 0 0 295.335 2.913 20 5 CFBDRN C[C@@H](C(=O)OC[C@@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000764038930 627032502 /nfs/dbraw/zinc/03/25/02/627032502.db2.gz UWKRXKIWZSZUQR-MNOVXSKESA-N 0 0 295.360 2.995 20 5 CFBDRN COC[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000764044970 627033330 /nfs/dbraw/zinc/03/33/30/627033330.db2.gz WWFUDAMYCLRSDB-QMTHXVAHSA-N 0 0 293.319 2.667 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H](C3CC3)C2)c1 ZINC000764188592 627044225 /nfs/dbraw/zinc/04/42/25/627044225.db2.gz SBXHVDSSFODEET-SNVBAGLBSA-N 0 0 280.299 2.979 20 5 CFBDRN C[C@H](OC(=O)[C@H]1C[C@@]12CCOC2)c1ccccc1[N+](=O)[O-] ZINC000764761723 627090869 /nfs/dbraw/zinc/09/08/69/627090869.db2.gz RFCXLNSRDKBEGQ-JVLSTEMRSA-N 0 0 291.303 2.626 20 5 CFBDRN Cc1cc([C@H]2CCCN2c2nc(C)ccc2[N+](=O)[O-])no1 ZINC000765466567 627140575 /nfs/dbraw/zinc/14/05/75/627140575.db2.gz VHOWTUBQSLVSGI-GFCCVEGCSA-N 0 0 288.307 2.936 20 5 CFBDRN C[C@@H]1OCCN(c2nc3ccc([N+](=O)[O-])cc3s2)[C@H]1C ZINC000765535701 627146370 /nfs/dbraw/zinc/14/63/70/627146370.db2.gz PZZXNJSKZSXDRD-IUCAKERBSA-N 0 0 293.348 2.818 20 5 CFBDRN C[C@H]([NH2+]Cc1cc([N+](=O)[O-])ccc1[O-])c1cncc(F)c1 ZINC000765621595 627151200 /nfs/dbraw/zinc/15/12/00/627151200.db2.gz VZBKDEWLBURLRI-VIFPVBQESA-N 0 0 291.282 2.685 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000766170692 627182210 /nfs/dbraw/zinc/18/22/10/627182210.db2.gz WKZXDWZTCIHLNZ-ICWBMWKASA-N 0 0 295.266 2.918 20 5 CFBDRN CCC1(C(=O)OCc2cc(OC)c(F)cc2[N+](=O)[O-])CC1 ZINC000766213892 627184810 /nfs/dbraw/zinc/18/48/10/627184810.db2.gz JVIMSSSQXJKKQM-UHFFFAOYSA-N 0 0 297.282 2.976 20 5 CFBDRN COc1cc(COC(=O)[C@@H]2CC2(C)C)c([N+](=O)[O-])cc1F ZINC000766214240 627184837 /nfs/dbraw/zinc/18/48/37/627184837.db2.gz UFPLCQVFWNFPGW-VIFPVBQESA-N 0 0 297.282 2.832 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1cc(C)sn1 ZINC000766368231 627197467 /nfs/dbraw/zinc/19/74/67/627197467.db2.gz MSRNGTRYFRQEEA-UHFFFAOYSA-N 0 0 294.288 2.588 20 5 CFBDRN C[C@H]1CCN(c2nc3ccccn3c2[N+](=O)[O-])C[C@H]1C ZINC000766442551 627203545 /nfs/dbraw/zinc/20/35/45/627203545.db2.gz AYTQCBIUSJZASJ-WDEREUQCSA-N 0 0 274.324 2.725 20 5 CFBDRN CCCCN(C)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000766926055 627227408 /nfs/dbraw/zinc/22/74/08/627227408.db2.gz QPGMCLCNJWTUFT-UHFFFAOYSA-N 0 0 268.313 2.848 20 5 CFBDRN CC[C@H](OC(=O)c1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000766966764 627229322 /nfs/dbraw/zinc/22/93/22/627229322.db2.gz PFLDYRXXUSICHL-LBPRGKRZSA-N 0 0 289.291 2.636 20 5 CFBDRN Cc1nn(CN2CC(C)(C)CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000767512553 627256838 /nfs/dbraw/zinc/25/68/38/627256838.db2.gz ALJNJWPRCCGCKU-UHFFFAOYSA-N 0 0 280.372 2.815 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000767687604 627275299 /nfs/dbraw/zinc/27/52/99/627275299.db2.gz YWDUKZLJRSBXHA-QMMMGPOBSA-N 0 0 275.351 2.841 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)OCc1cccc([N+](=O)[O-])c1 ZINC000110254891 634563502 /nfs/dbraw/zinc/56/35/02/634563502.db2.gz QWWOFUMYDOSTFL-CQSZACIVSA-N 0 0 293.319 2.987 20 5 CFBDRN CC1(C)CCC(C(=O)OCCn2ccc([N+](=O)[O-])n2)CC1 ZINC000768683656 627363959 /nfs/dbraw/zinc/36/39/59/627363959.db2.gz DVRDSWRJZMWZKJ-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN C/C=C/C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000768944914 627388894 /nfs/dbraw/zinc/38/88/94/627388894.db2.gz LJXLIGUSZPGKHV-DUXPYHPUSA-N 0 0 260.293 2.662 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H](F)c1ccccc1 ZINC000768983479 627392379 /nfs/dbraw/zinc/39/23/79/627392379.db2.gz JYMAHGXXRAFBKG-AWEZNQCLSA-N 0 0 288.278 2.922 20 5 CFBDRN Cc1ccccc1COC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000769046617 627399181 /nfs/dbraw/zinc/39/91/81/627399181.db2.gz GOWOCWDHWKCICD-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN COC[C@@H](C)COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000769328959 627425230 /nfs/dbraw/zinc/42/52/30/627425230.db2.gz CLJNELVPPBCBKF-SECBINFHSA-N 0 0 292.291 2.515 20 5 CFBDRN COC[C@@H](C)COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000769333677 627425557 /nfs/dbraw/zinc/42/55/57/627425557.db2.gz WGENCDHXQBAMMX-LLVKDONJSA-N 0 0 295.335 2.698 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000769602406 627459935 /nfs/dbraw/zinc/45/99/35/627459935.db2.gz LRSXVVUWGKAASN-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN Cc1cnccc1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000769780569 627474047 /nfs/dbraw/zinc/47/40/47/627474047.db2.gz BNSLIUKIIDBQCV-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cnccc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000769911101 627486754 /nfs/dbraw/zinc/48/67/54/627486754.db2.gz JGWJOWYGDOZZFM-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N[C@H]1CCCOC1 ZINC000770001699 627495966 /nfs/dbraw/zinc/49/59/66/627495966.db2.gz GYQVMCSLMNVICH-VIFPVBQESA-N 0 0 299.714 2.549 20 5 CFBDRN Cc1ccc(COC(=O)c2cc([N+](=O)[O-])cn2C)c(C)c1 ZINC000770113540 627502690 /nfs/dbraw/zinc/50/26/90/627502690.db2.gz YRYHPMPWTLMZLL-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc([O-])cc([N+](=O)[O-])c2)cc1 ZINC000770415878 627520928 /nfs/dbraw/zinc/52/09/28/627520928.db2.gz NVMQSTOGSXFNIS-UHFFFAOYSA-N 0 0 286.287 2.885 20 5 CFBDRN CC(C)[C@@](C)(O)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000770533601 627527921 /nfs/dbraw/zinc/52/79/21/627527921.db2.gz CPHRPEGVMXDTAQ-QMTHXVAHSA-N 0 0 281.308 2.606 20 5 CFBDRN C[C@@H]1OCC[C@@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000770735534 627544829 /nfs/dbraw/zinc/54/48/29/627544829.db2.gz WYUNYOGQDSBXOM-WPRPVWTQSA-N 0 0 299.710 2.716 20 5 CFBDRN O=C(OCC1(O)CCCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000770880737 627553764 /nfs/dbraw/zinc/55/37/64/627553764.db2.gz HLKLGKQBFDQHBE-UHFFFAOYSA-N 0 0 299.710 2.710 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2csc([N+](=O)[O-])c2)o1 ZINC000770954763 627563680 /nfs/dbraw/zinc/56/36/80/627563680.db2.gz RXYCGHLWXGHVNY-ZETCQYMHSA-N 0 0 282.277 2.871 20 5 CFBDRN CC(C)(CO)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000771191456 627583120 /nfs/dbraw/zinc/58/31/20/627583120.db2.gz JWIQHBFNFQYIMR-UHFFFAOYSA-N 0 0 281.337 2.635 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(S[C@H](C)CCO)c1 ZINC000771233435 627586932 /nfs/dbraw/zinc/58/69/32/627586932.db2.gz RZZYFZLZKYZMAL-SSDOTTSWSA-N 0 0 275.301 2.606 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC(C(C)C)C2)c1 ZINC000771258274 627589033 /nfs/dbraw/zinc/58/90/33/627589033.db2.gz VZUXELZBOPWITL-UHFFFAOYSA-N 0 0 268.288 2.835 20 5 CFBDRN Cc1ccncc1COC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000771317093 627592856 /nfs/dbraw/zinc/59/28/56/627592856.db2.gz SAPTUDFLOTYKMY-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1Cc2ccccc21 ZINC000771480666 627607876 /nfs/dbraw/zinc/60/78/76/627607876.db2.gz FQJKEGIRNCHLFV-AWEZNQCLSA-N 0 0 287.246 2.979 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000805441522 627618056 /nfs/dbraw/zinc/61/80/56/627618056.db2.gz TUVPQDQYFMTPDQ-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN CCc1cc(CNc2ncc(Cl)cc2[N+](=O)[O-])[nH]n1 ZINC000771917530 627648162 /nfs/dbraw/zinc/64/81/62/627648162.db2.gz QYXUHYQKQSRKEE-UHFFFAOYSA-N 0 0 281.703 2.541 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2cccnc2)c(Cl)c1 ZINC000771923731 627648738 /nfs/dbraw/zinc/64/87/38/627648738.db2.gz WMLTYOIZNQPTMZ-CYBMUJFWSA-N 0 0 293.710 2.789 20 5 CFBDRN Cc1cnc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)nc1 ZINC000772303154 627699379 /nfs/dbraw/zinc/69/93/79/627699379.db2.gz HLSGKDHNUAZGEB-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CCc1nc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])co1 ZINC000772398518 627707593 /nfs/dbraw/zinc/70/75/93/627707593.db2.gz XCQQOMDZZAQJJD-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1C[C@H]1C(C)C ZINC000772508356 627716282 /nfs/dbraw/zinc/71/62/82/627716282.db2.gz UVLHQLWPNMNQIV-STQMWFEESA-N 0 0 293.319 2.939 20 5 CFBDRN Cc1ccc(S(=O)(=O)Oc2cccc(C)c2[N+](=O)[O-])o1 ZINC000773328783 627807047 /nfs/dbraw/zinc/80/70/47/627807047.db2.gz KENCUBOQSDIPCD-UHFFFAOYSA-N 0 0 297.288 2.572 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NOc1ccccc1 ZINC000773470766 627825161 /nfs/dbraw/zinc/82/51/61/627825161.db2.gz FHSLGSATOMURDT-UHFFFAOYSA-N 0 0 272.260 2.627 20 5 CFBDRN Cc1[nH]c(C=O)cc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000773693690 627849169 /nfs/dbraw/zinc/84/91/69/627849169.db2.gz RHLKPNBITFVUCS-UHFFFAOYSA-N 0 0 287.275 2.605 20 5 CFBDRN CCc1ccc(OC(=O)c2nccs2)c([N+](=O)[O-])c1 ZINC000774097351 627887500 /nfs/dbraw/zinc/88/75/00/627887500.db2.gz YSXWFIMRAGNZND-UHFFFAOYSA-N 0 0 278.289 2.833 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1(C)CC1)CC2 ZINC000774221277 627905065 /nfs/dbraw/zinc/90/50/65/627905065.db2.gz XEQAIYJHJFKCOM-UHFFFAOYSA-N 0 0 260.293 2.592 20 5 CFBDRN C[C@@H](O)CN(Cc1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000774535619 627935845 /nfs/dbraw/zinc/93/58/45/627935845.db2.gz BGVGXTVECDEAOK-CYBMUJFWSA-N 0 0 286.331 2.982 20 5 CFBDRN CC[C@H](C)NC(=S)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000774581239 627939257 /nfs/dbraw/zinc/93/92/57/627939257.db2.gz MIXFNNPVXLZXOV-VHSXEESVSA-N 0 0 281.381 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCCNc3ccccc3)nc2c1 ZINC000774668541 627946611 /nfs/dbraw/zinc/94/66/11/627946611.db2.gz ZEGARUHJSPDPRJ-UHFFFAOYSA-N 0 0 297.318 2.995 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000774714305 627950928 /nfs/dbraw/zinc/95/09/28/627950928.db2.gz AWXYFZGQWPGWER-TVQRCGJNSA-N 0 0 295.295 2.730 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)C[C@@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000774743945 627956493 /nfs/dbraw/zinc/95/64/93/627956493.db2.gz BKFKFXZMYWSJPB-JQWIXIFHSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)C[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000774743947 627956766 /nfs/dbraw/zinc/95/67/66/627956766.db2.gz BKFKFXZMYWSJPB-ZYHUDNBSSA-N 0 0 293.319 2.875 20 5 CFBDRN CCOc1ccc(C(=O)NOC2CCCC2)cc1[N+](=O)[O-] ZINC000774869158 627974891 /nfs/dbraw/zinc/97/48/91/627974891.db2.gz RHPPFWJGKNFTDZ-UHFFFAOYSA-N 0 0 294.307 2.598 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CCC(=O)[C@H](C)C1 ZINC000775492543 628031441 /nfs/dbraw/zinc/03/14/41/628031441.db2.gz QBPVLGOQRYAQSR-GHMZBOCLSA-N 0 0 291.303 2.814 20 5 CFBDRN CO[C@@H](CNc1sccc1[N+](=O)[O-])C(F)(F)F ZINC000775676749 628054171 /nfs/dbraw/zinc/05/41/71/628054171.db2.gz KHZJQCZNNCAXQT-LURJTMIESA-N 0 0 270.232 2.646 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000776287959 628126829 /nfs/dbraw/zinc/12/68/29/628126829.db2.gz DVDIMSFYSCXFFK-ZJUUUORDSA-N 0 0 279.292 2.945 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000776423902 628149308 /nfs/dbraw/zinc/14/93/08/628149308.db2.gz LPKPGBYFKKRORI-CMPLNLGQSA-N 0 0 291.303 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCO[C@H](C2CC2)C1 ZINC000776798808 628179141 /nfs/dbraw/zinc/17/91/41/628179141.db2.gz ATDIMFZSNGYPGS-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(COCc2ncc[nH]2)ccc1Cl ZINC000776861279 628182902 /nfs/dbraw/zinc/18/29/02/628182902.db2.gz RDBNNCPVMGFTCU-UHFFFAOYSA-N 0 0 267.672 2.688 20 5 CFBDRN CC(Cl)(Cl)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000777043128 628193956 /nfs/dbraw/zinc/19/39/56/628193956.db2.gz HLXUSZIUKKEXRP-UHFFFAOYSA-N 0 0 282.054 2.833 20 5 CFBDRN COCC[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)C(C)C ZINC000777334584 628215737 /nfs/dbraw/zinc/21/57/37/628215737.db2.gz DEXGEPOAULPUHE-CQSZACIVSA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@H]1C[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000777350425 628217029 /nfs/dbraw/zinc/21/70/29/628217029.db2.gz PJJACQQRCLGPBU-KBPBESRZSA-N 0 0 293.319 2.638 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1CCC(C)(C)O ZINC000777600748 628240265 /nfs/dbraw/zinc/24/02/65/628240265.db2.gz LVJRCEYVEYZUMK-SNVBAGLBSA-N 0 0 264.325 2.507 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1ccncn1 ZINC000777678727 628245439 /nfs/dbraw/zinc/24/54/39/628245439.db2.gz XKDIPXQBNJRXOR-UHFFFAOYSA-N 0 0 293.666 2.566 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777926224 628265649 /nfs/dbraw/zinc/26/56/49/628265649.db2.gz BZGATOOZHCRGTD-VIFPVBQESA-N 0 0 298.289 2.944 20 5 CFBDRN O=C(NOC1CCCCC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000777963250 628268151 /nfs/dbraw/zinc/26/81/51/628268151.db2.gz VCGPBRRWBUWELG-UHFFFAOYSA-N 0 0 282.271 2.728 20 5 CFBDRN Cc1cc(C(=O)NOC2CCCCC2)cc([N+](=O)[O-])c1 ZINC000777964786 628268549 /nfs/dbraw/zinc/26/85/49/628268549.db2.gz LTIHOTZYDSWJNQ-UHFFFAOYSA-N 0 0 278.308 2.897 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NOC1CCCCC1 ZINC000777965330 628268668 /nfs/dbraw/zinc/26/86/68/628268668.db2.gz SDUFREJOZDGTAT-UHFFFAOYSA-N 0 0 278.308 2.897 20 5 CFBDRN CC(C)(C)OCC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000778152550 628280513 /nfs/dbraw/zinc/28/05/13/628280513.db2.gz LFWVHSXQUNXASF-UHFFFAOYSA-N 0 0 273.310 2.515 20 5 CFBDRN CC(C)(C(=O)Oc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000778229382 628289995 /nfs/dbraw/zinc/28/99/95/628289995.db2.gz ZIRKSMSGWXHMEF-UHFFFAOYSA-N 0 0 286.287 2.873 20 5 CFBDRN C[C@H]1CCN(Cc2cc(F)ccc2[N+](=O)[O-])C[C@H]1F ZINC000778235021 628290449 /nfs/dbraw/zinc/29/04/49/628290449.db2.gz KAMWLBQVVKTIGP-JOYOIKCWSA-N 0 0 270.279 2.914 20 5 CFBDRN C[C@@H]1CCN(Cc2cc(F)ccc2[N+](=O)[O-])C[C@@H]1F ZINC000778235022 628290559 /nfs/dbraw/zinc/29/05/59/628290559.db2.gz KAMWLBQVVKTIGP-SKDRFNHKSA-N 0 0 270.279 2.914 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000778355014 628298620 /nfs/dbraw/zinc/29/86/20/628298620.db2.gz FRWIUGKQTYXUSW-VHSXEESVSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@H](C)[C@@H](F)C2)c1[N+](=O)[O-] ZINC000778461392 628309013 /nfs/dbraw/zinc/30/90/13/628309013.db2.gz RNLQUERHYDJVCH-UFBFGSQYSA-N 0 0 298.289 2.862 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C[C@@H]1F ZINC000778472253 628309776 /nfs/dbraw/zinc/30/97/76/628309776.db2.gz WQWXDAXQSHHEKI-KCJUWKMLSA-N 0 0 284.262 2.554 20 5 CFBDRN COc1cc(COc2ccccc2C=O)ccc1[N+](=O)[O-] ZINC000778545794 628314248 /nfs/dbraw/zinc/31/42/48/628314248.db2.gz XUAAHOXHPSPJOJ-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN CN(Cc1ncc(Cl)n1C)c1sccc1[N+](=O)[O-] ZINC000778600790 628319456 /nfs/dbraw/zinc/31/94/56/628319456.db2.gz FSSWPOHIFIIKJG-UHFFFAOYSA-N 0 0 286.744 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1cnsn1 ZINC000778779969 628330836 /nfs/dbraw/zinc/33/08/36/628330836.db2.gz FBKAMCAPXLTULQ-MRVPVSSYSA-N 0 0 293.304 2.673 20 5 CFBDRN C/C=C(/C)C(=O)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778788355 628331688 /nfs/dbraw/zinc/33/16/88/628331688.db2.gz ISZZNMDLMBVTNX-WKGNEAPRSA-N 0 0 290.319 2.683 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)C[C@@H]1CCOC1 ZINC000778806982 628332908 /nfs/dbraw/zinc/33/29/08/628332908.db2.gz HVCQUEFTBGTQFX-RYUDHWBXSA-N 0 0 293.319 2.934 20 5 CFBDRN CCCC(=O)O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000778812673 628333429 /nfs/dbraw/zinc/33/34/29/628333429.db2.gz YGYOKYYFWCCWSV-LLVKDONJSA-N 0 0 296.298 2.656 20 5 CFBDRN CCC1(C(=O)O[C@H](C)c2cc([N+](=O)[O-])ccc2C)COC1 ZINC000778821916 628334571 /nfs/dbraw/zinc/33/45/71/628334571.db2.gz JYJCOLUYKLPDTQ-LLVKDONJSA-N 0 0 293.319 2.934 20 5 CFBDRN COc1cc(COC(=O)C[C@H](C)SC)ccc1[N+](=O)[O-] ZINC000778837230 628335646 /nfs/dbraw/zinc/33/56/46/628335646.db2.gz QCFDXQMMZCCRFO-VIFPVBQESA-N 0 0 299.348 2.788 20 5 CFBDRN C[C@H]1CC[C@H](OC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000779260330 628365108 /nfs/dbraw/zinc/36/51/08/628365108.db2.gz BNPPLGPZXPVGGY-GWCFXTLKSA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000779261674 628365674 /nfs/dbraw/zinc/36/56/74/628365674.db2.gz GSEIMCMXJHHDLU-GXFFZTMASA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000779261684 628365702 /nfs/dbraw/zinc/36/57/02/628365702.db2.gz GSEIMCMXJHHDLU-MFKMUULPSA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@@H]1CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])n2C)[C@@H]1C ZINC000779273525 628368194 /nfs/dbraw/zinc/36/81/94/628368194.db2.gz HQOOQPQSLYJERS-FOGDFJRCSA-N 0 0 280.324 2.915 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC=C(F)C2)c1 ZINC000779429071 628381305 /nfs/dbraw/zinc/38/13/05/628381305.db2.gz NZUXGNVJIQBPHD-UHFFFAOYSA-N 0 0 270.235 2.806 20 5 CFBDRN Cc1cnc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c(C)c1 ZINC000779726540 628396565 /nfs/dbraw/zinc/39/65/65/628396565.db2.gz GPGCHSWUXAQVHA-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000779907916 628417936 /nfs/dbraw/zinc/41/79/36/628417936.db2.gz WIWCPRLQQXVDIO-AXTRIDKLSA-N 0 0 296.710 2.612 20 5 CFBDRN CCOC(C)(C)CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000780230206 628441292 /nfs/dbraw/zinc/44/12/92/628441292.db2.gz MJOZXHLNYBWLRP-UHFFFAOYSA-N 0 0 297.380 2.696 20 5 CFBDRN O=C(O[C@@H]1C=CCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000780554265 628475579 /nfs/dbraw/zinc/47/55/79/628475579.db2.gz PCNBYYWBJCJDLO-LLVKDONJSA-N 0 0 251.213 2.609 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)O[C@@H]1C=CCC1 ZINC000780556815 628476098 /nfs/dbraw/zinc/47/60/98/628476098.db2.gz YNDJJBCFRINBJO-UTSBKAFOSA-N 0 0 259.261 2.870 20 5 CFBDRN CC/C(C)=C(\C)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000781014189 628512787 /nfs/dbraw/zinc/51/27/87/628512787.db2.gz QFWYGFUXVCXMAG-ZHACJKMWSA-N 0 0 289.335 2.621 20 5 CFBDRN O=C(NC[C@H]1CCC=CO1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000781065522 628517111 /nfs/dbraw/zinc/51/71/11/628517111.db2.gz GICQQSFOJPOOIO-GFCCVEGCSA-N 0 0 296.710 2.671 20 5 CFBDRN CS/C=C/C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000781173374 628529501 /nfs/dbraw/zinc/52/95/01/628529501.db2.gz SRFXKTVHBIKKPC-AATRIKPKSA-N 0 0 267.306 2.823 20 5 CFBDRN CCc1cnccc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000781265870 628536881 /nfs/dbraw/zinc/53/68/81/628536881.db2.gz ZOHTYTAYVWLPTE-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN Cc1nc2cc(Nc3c([N+](=O)[O-])ncn3C)ccc2s1 ZINC000781397594 628545771 /nfs/dbraw/zinc/54/57/71/628545771.db2.gz YFEZRTMFUPFYJS-UHFFFAOYSA-N 0 0 289.320 2.990 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H](O)c2ccsc2)n1 ZINC000781658414 628570052 /nfs/dbraw/zinc/57/00/52/628570052.db2.gz JLKUMYZTTGGUSU-NSHDSACASA-N 0 0 293.348 2.814 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccco1 ZINC000782008594 628596658 /nfs/dbraw/zinc/59/66/58/628596658.db2.gz OZSLZFVAAZGAKL-UHFFFAOYSA-N 0 0 265.196 2.684 20 5 CFBDRN O=C(COC(=O)c1cc(Cl)ccc1[N+](=O)[O-])CC1CC1 ZINC000782030889 628598482 /nfs/dbraw/zinc/59/84/82/628598482.db2.gz KDCVJQAXXSROQF-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782032390 628598488 /nfs/dbraw/zinc/59/84/88/628598488.db2.gz ZKCVNXBCWNBTAV-MQQKCMAXSA-N 0 0 265.240 2.909 20 5 CFBDRN CN(C)c1cc(COc2cccc([N+](=O)[O-])c2)ccn1 ZINC000782110101 628605548 /nfs/dbraw/zinc/60/55/48/628605548.db2.gz KBDQSLMXQSRIKM-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN CC(C)CCC(N)=NOCCOc1cccc([N+](=O)[O-])c1 ZINC000782320885 628627982 /nfs/dbraw/zinc/62/79/82/628627982.db2.gz LBEONHQOBWJQPN-UHFFFAOYSA-N 0 0 295.339 2.908 20 5 CFBDRN O=C(CSC(F)F)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782481502 628640850 /nfs/dbraw/zinc/64/08/50/628640850.db2.gz CZWGAOXXQMAUGM-UHFFFAOYSA-N 0 0 295.238 2.733 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OC1CC1 ZINC000783188391 628683630 /nfs/dbraw/zinc/68/36/30/628683630.db2.gz LUPQSUREUBMEBS-MRVPVSSYSA-N 0 0 267.306 2.781 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1cccc(F)n1 ZINC000783207725 628686323 /nfs/dbraw/zinc/68/63/23/628686323.db2.gz XHJTXLMKZWZKCC-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1cccc(F)n1 ZINC000783206149 628686490 /nfs/dbraw/zinc/68/64/90/628686490.db2.gz AHRCWLVKRCBVRC-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1CCCCS1 ZINC000783231086 628689598 /nfs/dbraw/zinc/68/95/98/628689598.db2.gz PHNYTTKEPTYGLD-GFCCVEGCSA-N 0 0 281.333 2.924 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000783440631 628708159 /nfs/dbraw/zinc/70/81/59/628708159.db2.gz VNUMOUMFDJNGSK-VHSXEESVSA-N 0 0 297.282 2.706 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCC1CCC1 ZINC000783443354 628708682 /nfs/dbraw/zinc/70/86/82/628708682.db2.gz FXACWBOLKGFJOA-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOCC3(CC3)C2)cc1Cl ZINC000783665038 628732344 /nfs/dbraw/zinc/73/23/44/628732344.db2.gz QLDMGXSOQYLNJK-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN CCC[C@@H](O)CC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000783708984 628736918 /nfs/dbraw/zinc/73/69/18/628736918.db2.gz VMTMRWKDDZKIAE-WDEREUQCSA-N 0 0 281.308 2.750 20 5 CFBDRN C/C(=C\C(=O)N(C)C(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000783869277 628751702 /nfs/dbraw/zinc/75/17/02/628751702.db2.gz WFEPVWNLNSCJCL-PKNBQFBNSA-N 0 0 262.309 2.865 20 5 CFBDRN C/C(=C/C(=O)N(C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000783933266 628755990 /nfs/dbraw/zinc/75/59/90/628755990.db2.gz YXHBVBYPLMVBFM-KTKRTIGZSA-N 0 0 260.293 2.619 20 5 CFBDRN COCC[C@@H](C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000783946179 628757199 /nfs/dbraw/zinc/75/71/99/628757199.db2.gz OVRMZHDFRNWFDD-NLYDNYMLSA-N 0 0 292.335 2.539 20 5 CFBDRN C/C(=C/C(=O)Nc1ccnc(C)n1)c1ccc([N+](=O)[O-])cc1 ZINC000784009257 628761933 /nfs/dbraw/zinc/76/19/33/628761933.db2.gz KTWYVSMXIKWDKK-KTKRTIGZSA-N 0 0 298.302 2.735 20 5 CFBDRN C/C(=C\C(=O)OCc1cnccn1)c1ccc([N+](=O)[O-])cc1 ZINC000784020893 628764472 /nfs/dbraw/zinc/76/44/72/628764472.db2.gz JLBRWROVZNLTCP-DHZHZOJOSA-N 0 0 299.286 2.532 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](F)C(C)C ZINC000784022066 628764551 /nfs/dbraw/zinc/76/45/51/628764551.db2.gz WWZIUOMPMROPBW-LLVKDONJSA-N 0 0 271.244 2.503 20 5 CFBDRN CC(C)[C@H](F)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000784041797 628768098 /nfs/dbraw/zinc/76/80/98/628768098.db2.gz ZFADIBSGLSGGGJ-NSHDSACASA-N 0 0 254.261 2.552 20 5 CFBDRN C/C(=C/C(=O)Nc1ncc(C)cn1)c1ccc([N+](=O)[O-])cc1 ZINC000784074282 628772546 /nfs/dbraw/zinc/77/25/46/628772546.db2.gz UQRNUBGBROKRSP-XFFZJAGNSA-N 0 0 298.302 2.735 20 5 CFBDRN CC(C)[C@H](F)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000784135005 628781405 /nfs/dbraw/zinc/78/14/05/628781405.db2.gz ZLOSXAMWBXZGNW-JTQLQIEISA-N 0 0 259.208 2.633 20 5 CFBDRN CS/C=C\C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784214094 628791667 /nfs/dbraw/zinc/79/16/67/628791667.db2.gz VXMHLHOVLWKCJR-PLNGDYQASA-N 0 0 271.269 2.654 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC[C@@H]2CCOC2)c1 ZINC000784235651 628793821 /nfs/dbraw/zinc/79/38/21/628793821.db2.gz JIVGLKMUUNBRRO-SECBINFHSA-N 0 0 284.287 2.581 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CO[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000784500155 628821849 /nfs/dbraw/zinc/82/18/49/628821849.db2.gz SWWVOXSFEAKAKS-VWYCJHECSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(Cl)(Cl)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784570605 628828420 /nfs/dbraw/zinc/82/84/20/628828420.db2.gz KOTMJKRQYWGVBO-UHFFFAOYSA-N 0 0 296.081 2.971 20 5 CFBDRN C/C(=C\C(=O)N1CC[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000784634515 628833100 /nfs/dbraw/zinc/83/31/00/628833100.db2.gz TVQQMMZAKZMRCK-LWMMSDEHSA-N 0 0 274.320 2.867 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784873563 628847314 /nfs/dbraw/zinc/84/73/14/628847314.db2.gz CNUIZIGJVLBQMV-LLVKDONJSA-N 0 0 273.235 2.771 20 5 CFBDRN C/C(=C/C(=O)OCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000784881575 628848576 /nfs/dbraw/zinc/84/85/76/628848576.db2.gz UPXAIEJWJIPODN-KTKRTIGZSA-N 0 0 267.256 2.901 20 5 CFBDRN CO[C@H](C)COC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784896393 628849713 /nfs/dbraw/zinc/84/97/13/628849713.db2.gz JCUIXZFQQDJVAW-HIJJYWJESA-N 0 0 279.292 2.576 20 5 CFBDRN COCC[C@H](C)OC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784901000 628850534 /nfs/dbraw/zinc/85/05/34/628850534.db2.gz FSKONROQPXTASY-IIANPFDCSA-N 0 0 293.319 2.966 20 5 CFBDRN C/C(=C\C(=O)OC1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000784902378 628850911 /nfs/dbraw/zinc/85/09/11/628850911.db2.gz MNWFGUQCVKEQQS-ZHACJKMWSA-N 0 0 291.303 2.720 20 5 CFBDRN CCCOCC(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784937589 628853486 /nfs/dbraw/zinc/85/34/86/628853486.db2.gz LHBICVJVKJETRL-UHFFFAOYSA-N 0 0 299.348 2.657 20 5 CFBDRN CC(C)/C=C/C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000785054200 628863048 /nfs/dbraw/zinc/86/30/48/628863048.db2.gz ZSAYTUKMKVGZCQ-ZZXKWVIFSA-N 0 0 267.256 2.989 20 5 CFBDRN COc1cc(COC(=O)/C=C/C(C)C)ccc1[N+](=O)[O-] ZINC000785062433 628863589 /nfs/dbraw/zinc/86/35/89/628863589.db2.gz ACOBCQYSICKXRI-QPJJXVBHSA-N 0 0 279.292 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(NCCC2(O)CC2)ccc1Cl ZINC000785520230 628891211 /nfs/dbraw/zinc/89/12/11/628891211.db2.gz VBBFVZRLENVWET-UHFFFAOYSA-N 0 0 256.689 2.575 20 5 CFBDRN Cc1cncc(COC(=O)c2ccc([N+](=O)[O-])cc2C)c1 ZINC000785672758 628903349 /nfs/dbraw/zinc/90/33/49/628903349.db2.gz WBSOMZNGWODFCU-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1CC(=O)OCc1ccccc1[N+](=O)[O-] ZINC000785736766 628909164 /nfs/dbraw/zinc/90/91/64/628909164.db2.gz XKWOCWSECROTQS-TXEJJXNPSA-N 0 0 292.335 2.511 20 5 CFBDRN CO[C@@H](C)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000785850581 628917393 /nfs/dbraw/zinc/91/73/93/628917393.db2.gz ZGMUHGKVZFEYJK-ZETCQYMHSA-N 0 0 267.310 2.651 20 5 CFBDRN CSC1(C(=O)OCCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000786494204 628961042 /nfs/dbraw/zinc/96/10/42/628961042.db2.gz RGIDHYBHQGQNSO-UHFFFAOYSA-N 0 0 295.360 2.966 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCC=CO1)c1cccc([N+](=O)[O-])c1 ZINC000787043343 628990052 /nfs/dbraw/zinc/99/00/52/628990052.db2.gz RLZBUOVZOVFBSR-GWCFXTLKSA-N 0 0 277.276 2.892 20 5 CFBDRN CC(C)=C(C)C(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000787507989 629016664 /nfs/dbraw/zinc/01/66/64/629016664.db2.gz KUOBASIVZKIXDK-UHFFFAOYSA-N 0 0 290.319 2.541 20 5 CFBDRN Cc1c(C(=O)OCc2ccc(F)cn2)cccc1[N+](=O)[O-] ZINC000787580170 629020054 /nfs/dbraw/zinc/02/00/54/629020054.db2.gz RJWWLRZTJCOGLW-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(OCC(F)(F)F)Oc1cccc([N+](=O)[O-])c1 ZINC000787915065 629042126 /nfs/dbraw/zinc/04/21/26/629042126.db2.gz RJADJGVXMOOXQT-UHFFFAOYSA-N 0 0 265.143 2.673 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC/C=C/Cl)c1F ZINC000787940554 629043569 /nfs/dbraw/zinc/04/35/69/629043569.db2.gz VOLNQEQNWJOTHS-NSCUHMNNSA-N 0 0 273.647 2.952 20 5 CFBDRN C/C(=C\C(=O)N1CCCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000788488474 629073730 /nfs/dbraw/zinc/07/37/30/629073730.db2.gz KUVJODXLKNAFMM-VAWYXSNFSA-N 0 0 290.319 2.942 20 5 CFBDRN O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCCCCO1 ZINC000788489658 629074333 /nfs/dbraw/zinc/07/43/33/629074333.db2.gz ZJJAQCLKJOEWRM-UHFFFAOYSA-N 0 0 284.699 2.806 20 5 CFBDRN CC(=O)OCCCSc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000788581810 629083240 /nfs/dbraw/zinc/08/32/40/629083240.db2.gz XECJYDTUYTZMFH-UHFFFAOYSA-N 0 0 284.337 2.652 20 5 CFBDRN CC(C)C[C@@H](O)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000788842220 629103714 /nfs/dbraw/zinc/10/37/14/629103714.db2.gz OQCRNAAYRZFDOS-GFCCVEGCSA-N 0 0 289.335 2.962 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)c1cccnc1F ZINC000789005648 629116518 /nfs/dbraw/zinc/11/65/18/629116518.db2.gz CSBMFADOCWWGJU-UHFFFAOYSA-N 0 0 290.250 2.528 20 5 CFBDRN Cc1cc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)ccn1 ZINC000789027425 629118390 /nfs/dbraw/zinc/11/83/90/629118390.db2.gz LMQFODHLDKZFTN-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN C[C@@H]1CC[C@@H](COC(=O)c2cccc(Cl)c2[N+](=O)[O-])O1 ZINC000789064864 629121869 /nfs/dbraw/zinc/12/18/69/629121869.db2.gz MSUULYSSBWAFDM-BDAKNGLRSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NOCC(F)F ZINC000789428386 629156334 /nfs/dbraw/zinc/15/63/34/629156334.db2.gz RAOYRAPERBHUDR-UHFFFAOYSA-N 0 0 289.238 2.530 20 5 CFBDRN CS/C=C/C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000789433077 629156742 /nfs/dbraw/zinc/15/67/42/629156742.db2.gz UJMWKCJYPGGVRD-SNAWJCMRSA-N 0 0 282.321 2.527 20 5 CFBDRN O=C(OCCCC1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000789552905 629166318 /nfs/dbraw/zinc/16/63/18/629166318.db2.gz WJYDWACYHSKZIF-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)OCC1(F)CC1 ZINC000789597042 629170746 /nfs/dbraw/zinc/17/07/46/629170746.db2.gz RJJUOHSJOYJYKA-UHFFFAOYSA-N 0 0 285.296 2.732 20 5 CFBDRN C[C@H](COC(=O)CCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000789611378 629172859 /nfs/dbraw/zinc/17/28/59/629172859.db2.gz HJJKKGTZXHXNSH-LLVKDONJSA-N 0 0 292.335 2.986 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc([N+](=O)[O-])cn2)cc1F ZINC000789660865 629178724 /nfs/dbraw/zinc/17/87/24/629178724.db2.gz YGDUJDYGACRUQR-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN CSC1(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)CC1 ZINC000789662504 629179221 /nfs/dbraw/zinc/17/92/21/629179221.db2.gz ADGNRLRDSHVXCK-UHFFFAOYSA-N 0 0 292.360 2.688 20 5 CFBDRN CN1CC[C@H](Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C1=O ZINC000789681866 629181331 /nfs/dbraw/zinc/18/13/31/629181331.db2.gz TZKZITUPFSTNJO-LBPRGKRZSA-N 0 0 291.351 2.535 20 5 CFBDRN CCc1cc(N)nc(S/C=C\c2ccc([N+](=O)[O-])o2)n1 ZINC000789983081 629201712 /nfs/dbraw/zinc/20/17/12/629201712.db2.gz USPHVOJWIFNTOE-WAYWQWQTSA-N 0 0 292.320 2.885 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)(C)C1 ZINC000790230316 629221132 /nfs/dbraw/zinc/22/11/32/629221132.db2.gz GPGLRDRLCQESOZ-DHZHZOJOSA-N 0 0 274.320 2.867 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@@H]1C ZINC000790267222 629224185 /nfs/dbraw/zinc/22/41/85/629224185.db2.gz OKVGIOQBNPFXDP-ZETCQYMHSA-N 0 0 284.699 2.633 20 5 CFBDRN Cc1cc(N2C[C@@H](O)C(C)(C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000790294849 629226480 /nfs/dbraw/zinc/22/64/80/629226480.db2.gz YOVVRRCYEKINGV-GFCCVEGCSA-N 0 0 284.743 2.764 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC[C@@H]2CCSC2)cc1 ZINC000790604876 629251949 /nfs/dbraw/zinc/25/19/49/629251949.db2.gz YEDMGXBVQAGOIL-VIFPVBQESA-N 0 0 297.405 2.634 20 5 CFBDRN CC(C)OC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000790739500 629259288 /nfs/dbraw/zinc/25/92/88/629259288.db2.gz BPOIZMJCRLKLQE-UHFFFAOYSA-N 0 0 275.663 2.881 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(F)c1)OCC1=CCCC1 ZINC000790825703 629267568 /nfs/dbraw/zinc/26/75/68/629267568.db2.gz ZRTNYNOIRINXKP-UHFFFAOYSA-N 0 0 295.266 2.766 20 5 CFBDRN O=C(OCC1=CCCC1)c1csc([N+](=O)[O-])c1 ZINC000790832241 629268466 /nfs/dbraw/zinc/26/84/66/629268466.db2.gz CWRDVZKEEOLYIM-UHFFFAOYSA-N 0 0 253.279 2.923 20 5 CFBDRN Cc1c(CNC(=O)C[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000791017719 629281512 /nfs/dbraw/zinc/28/15/12/629281512.db2.gz LJIJJSUUCJJSSN-JTQLQIEISA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1ccnc(COC(=O)c2cc([N+](=O)[O-])ccc2F)c1 ZINC000791330039 629301142 /nfs/dbraw/zinc/30/11/42/629301142.db2.gz LUXFLCCLPLKPIT-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccnc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)c1 ZINC000791364619 629305173 /nfs/dbraw/zinc/30/51/73/629305173.db2.gz GHYSPBWZGNCJTA-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccnc(COC(=O)Cc2cccc([N+](=O)[O-])c2)c1 ZINC000791400254 629308936 /nfs/dbraw/zinc/30/89/36/629308936.db2.gz VJYTUCYLYHXMBS-UHFFFAOYSA-N 0 0 286.287 2.584 20 5 CFBDRN CC(C)N1C[C@@H](Nc2cc(Cl)ccc2[N+](=O)[O-])CC1=O ZINC000791408809 629310993 /nfs/dbraw/zinc/31/09/93/629310993.db2.gz ZTUUHPJKDIYALP-JTQLQIEISA-N 0 0 297.742 2.669 20 5 CFBDRN Cc1ccnc(COC(=O)c2ccc(F)cc2[N+](=O)[O-])c1 ZINC000791412894 629311675 /nfs/dbraw/zinc/31/16/75/629311675.db2.gz AZVBONABIVVMFU-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN COC1(COC(=O)c2ccc(F)cc2[N+](=O)[O-])CCCC1 ZINC000791418011 629312055 /nfs/dbraw/zinc/31/20/55/629312055.db2.gz PNBQCHNCZYDSFS-UHFFFAOYSA-N 0 0 297.282 2.850 20 5 CFBDRN CC(C)(C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C(F)F ZINC000791471806 629319340 /nfs/dbraw/zinc/31/93/40/629319340.db2.gz VUQHMTSGGGVVOM-UHFFFAOYSA-N 0 0 276.214 2.964 20 5 CFBDRN CO[C@@H](C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000791520627 629325877 /nfs/dbraw/zinc/32/58/77/629325877.db2.gz IGJMXOCVZCZDJW-NOZJJQNGSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])OCCCCCF ZINC000791778985 629355925 /nfs/dbraw/zinc/35/59/25/629355925.db2.gz ZNINJGZUNFOZJH-UHFFFAOYSA-N 0 0 284.287 2.690 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000835059404 629361997 /nfs/dbraw/zinc/36/19/97/629361997.db2.gz ROFJKGXPHLSGNW-PSASIEDQSA-N 0 0 265.265 2.555 20 5 CFBDRN COc1ccc(OC(=O)CSC(C)C)cc1[N+](=O)[O-] ZINC000792124180 629400407 /nfs/dbraw/zinc/40/04/07/629400407.db2.gz UQWUQYCLXGRKKV-UHFFFAOYSA-N 0 0 285.321 2.650 20 5 CFBDRN COc1cc(C(=O)OCC(C)(C)C)c([N+](=O)[O-])cc1F ZINC000835126335 629435060 /nfs/dbraw/zinc/43/50/60/629435060.db2.gz PQJCBHGSHAWJJC-UHFFFAOYSA-N 0 0 285.271 2.945 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000792867564 629459969 /nfs/dbraw/zinc/45/99/69/629459969.db2.gz KGXVPELJHVXGOL-BXKDBHETSA-N 0 0 267.281 2.561 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC(F)(F)CC1 ZINC000792871268 629460576 /nfs/dbraw/zinc/46/05/76/629460576.db2.gz SXFGIEYUVBDTFQ-UHFFFAOYSA-N 0 0 299.277 2.536 20 5 CFBDRN Cn1c(C(=O)O[C@@H]2C=CCCCCC2)ccc1[N+](=O)[O-] ZINC000793404517 629538869 /nfs/dbraw/zinc/53/88/69/629538869.db2.gz CXRHTNGKIXWTQK-LLVKDONJSA-N 0 0 278.308 2.979 20 5 CFBDRN C[C@H](C(=O)OC[C@@H]1CCO[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000793406080 629539630 /nfs/dbraw/zinc/53/96/30/629539630.db2.gz SSBOQBIWYYCGGH-LOWVWBTDSA-N 0 0 293.319 2.667 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000793433980 629546451 /nfs/dbraw/zinc/54/64/51/629546451.db2.gz UQUMXWHZBASVBN-APPZFPTMSA-N 0 0 285.321 2.547 20 5 CFBDRN C[C@H]1OCC[C@@H]1COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000793436066 629546735 /nfs/dbraw/zinc/54/67/35/629546735.db2.gz XTYVWKIWGJZXHW-RKDXNWHRSA-N 0 0 299.710 2.830 20 5 CFBDRN CN(CC1CCC1)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000110600670 634745876 /nfs/dbraw/zinc/74/58/76/634745876.db2.gz DBXMFMJQKSJSAN-UHFFFAOYSA-N 0 0 289.335 2.716 20 5 CFBDRN CCC1(COC(=O)CCC(C)(C)[N+](=O)[O-])CCOCC1 ZINC000835284864 629596760 /nfs/dbraw/zinc/59/67/60/629596760.db2.gz AVCYVHGIHZYXOB-UHFFFAOYSA-N 0 0 287.356 2.572 20 5 CFBDRN Cc1cc(CNc2c(C)c([N+](=O)[O-])ccc2F)ncn1 ZINC000793885927 629603286 /nfs/dbraw/zinc/60/32/86/629603286.db2.gz YRNRZDTVKZMKIC-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN CCc1ccc(CCOC(=O)CCC(C)(C)[N+](=O)[O-])nc1 ZINC000835306479 629612157 /nfs/dbraw/zinc/61/21/57/629612157.db2.gz IPXOOARAKNCGDL-UHFFFAOYSA-N 0 0 294.351 2.565 20 5 CFBDRN COCCN(CCF)[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000852480716 634756569 /nfs/dbraw/zinc/75/65/69/634756569.db2.gz AOQWJMLSIIJPGP-LBPRGKRZSA-N 0 0 284.331 2.882 20 5 CFBDRN CC/C(C)=C/C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000835347885 629646820 /nfs/dbraw/zinc/64/68/20/629646820.db2.gz FKRSMAYGIAYJRV-VQHVLOKHSA-N 0 0 265.265 2.865 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000835347822 629647634 /nfs/dbraw/zinc/64/76/34/629647634.db2.gz CZHQJWAOLZYQJW-PSASIEDQSA-N 0 0 265.265 2.555 20 5 CFBDRN CC(C)(CCC(=O)OCC1Cc2ccccc2C1)[N+](=O)[O-] ZINC000835359628 629657600 /nfs/dbraw/zinc/65/76/00/629657600.db2.gz LJRKQOMZUXOWAY-UHFFFAOYSA-N 0 0 291.347 2.780 20 5 CFBDRN C[C@@H](NCc1cccnc1F)c1cccc([N+](=O)[O-])c1 ZINC000794828736 629691077 /nfs/dbraw/zinc/69/10/77/629691077.db2.gz NMKQIPLKCXWDAE-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CC(C)(O)CCCCOC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000835425747 629711723 /nfs/dbraw/zinc/71/17/23/629711723.db2.gz KBBDJLXKJHUQKV-UHFFFAOYSA-N 0 0 295.335 2.622 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CC1(Cl)Cl ZINC000795066459 629732063 /nfs/dbraw/zinc/73/20/63/629732063.db2.gz BKUOVFGQCLKLJP-ZETCQYMHSA-N 0 0 293.154 2.725 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cccnc2F)c1 ZINC000795225313 629748046 /nfs/dbraw/zinc/74/80/46/629748046.db2.gz KZMFNLHIMSEJNT-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2cccnc2F)c1 ZINC000795228213 629748669 /nfs/dbraw/zinc/74/86/69/629748669.db2.gz BESUCDLVRKLSBQ-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN Cc1ncc(CN2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)cn1 ZINC000795248745 629751185 /nfs/dbraw/zinc/75/11/85/629751185.db2.gz GZFVLEFIVDCTBG-JTQLQIEISA-N 0 0 284.319 2.644 20 5 CFBDRN Cc1c(C(=O)OC[C@@H]2CCC[C@@H](O)C2)cccc1[N+](=O)[O-] ZINC000795255879 629753472 /nfs/dbraw/zinc/75/34/72/629753472.db2.gz SOLFHTLQHYZKAL-VXGBXAGGSA-N 0 0 293.319 2.611 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H]1C[C@H]1c1cccc(Cl)c1 ZINC000835465935 629754430 /nfs/dbraw/zinc/75/44/30/629754430.db2.gz GDSHXWFRQBJYJT-KPXOXKRLSA-N 0 0 283.711 2.652 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(Cc2cccc(Cl)c2)CC1 ZINC000835467432 629755260 /nfs/dbraw/zinc/75/52/60/629755260.db2.gz QYFGRCAEKFJNOU-SNVBAGLBSA-N 0 0 297.738 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC(F)(F)CC3)nc2c1 ZINC000795272890 629756072 /nfs/dbraw/zinc/75/60/72/629756072.db2.gz SOEQDFKYDBMNJQ-UHFFFAOYSA-N 0 0 282.250 2.707 20 5 CFBDRN C[C@H](O)CCCOC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000795348038 629765559 /nfs/dbraw/zinc/76/55/59/629765559.db2.gz VCMKPJSDZFWJTO-QMMMGPOBSA-N 0 0 287.699 2.566 20 5 CFBDRN COC1(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)CCCC1 ZINC000835479008 629768693 /nfs/dbraw/zinc/76/86/93/629768693.db2.gz FMYOHCGXFHXYMX-UHFFFAOYSA-N 0 0 293.319 2.906 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000835481034 629769382 /nfs/dbraw/zinc/76/93/82/629769382.db2.gz SNJQUEJUMXLOPN-MISXGVKJSA-N 0 0 293.319 2.760 20 5 CFBDRN CO[C@@H](C)CCC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835480967 629770076 /nfs/dbraw/zinc/77/00/76/629770076.db2.gz RMUPOIXPUBEKIF-NSHDSACASA-N 0 0 281.308 2.762 20 5 CFBDRN CSCCC(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835484853 629775415 /nfs/dbraw/zinc/77/54/15/629775415.db2.gz YIMIEEZGFQLJRC-UHFFFAOYSA-N 0 0 269.322 2.700 20 5 CFBDRN COC(C)(C)COC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000835498000 629790582 /nfs/dbraw/zinc/79/05/82/629790582.db2.gz CZICNTFVWXMKPL-SECBINFHSA-N 0 0 299.298 2.806 20 5 CFBDRN C[C@@H](O)CCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000795520359 629792518 /nfs/dbraw/zinc/79/25/18/629792518.db2.gz FFRUPUULKIDLJO-LLVKDONJSA-N 0 0 295.335 2.577 20 5 CFBDRN COC(C)(C)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000835504073 629803051 /nfs/dbraw/zinc/80/30/51/629803051.db2.gz GWKGTMMSYSHUFI-TWGQIWQCSA-N 0 0 279.292 2.576 20 5 CFBDRN C[C@@H](C(=O)OCC(=O)C1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000795626862 629813028 /nfs/dbraw/zinc/81/30/28/629813028.db2.gz LTQGHURDMKPREZ-SNVBAGLBSA-N 0 0 291.303 2.611 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ccnc(F)c1F ZINC000795664743 629818763 /nfs/dbraw/zinc/81/87/63/629818763.db2.gz NZKVDOFKCKOWPM-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCCCF ZINC000795712972 629825103 /nfs/dbraw/zinc/82/51/03/629825103.db2.gz SXEHDTKFHBPBLC-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN Cc1nn(CN2CCC3(CCC3)CC2)c(C)c1[N+](=O)[O-] ZINC000795719268 629827057 /nfs/dbraw/zinc/82/70/57/629827057.db2.gz QCICYKSHDHSORO-UHFFFAOYSA-N 0 0 278.356 2.632 20 5 CFBDRN CN(Cc1cc(F)ccc1[N+](=O)[O-])CC1=CCCOC1 ZINC000852518864 634770830 /nfs/dbraw/zinc/77/08/30/634770830.db2.gz QRNITNMTLOAWER-UHFFFAOYSA-N 0 0 280.299 2.512 20 5 CFBDRN CC[C@H](C)[C@H](CC)OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000835586228 629856811 /nfs/dbraw/zinc/85/68/11/629856811.db2.gz DKNGRQPZWHJGSY-JQWIXIFHSA-N 0 0 297.355 2.894 20 5 CFBDRN CC[C@@H](C)[C@@H](CC)OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000835586231 629856883 /nfs/dbraw/zinc/85/68/83/629856883.db2.gz DKNGRQPZWHJGSY-ZYHUDNBSSA-N 0 0 297.355 2.894 20 5 CFBDRN C[C@@H]1C(=O)CC[C@H]1CC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000796229599 629893274 /nfs/dbraw/zinc/89/32/74/629893274.db2.gz OVKCXNIFCDDSSB-JQWIXIFHSA-N 0 0 291.303 2.643 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)OC2CCCC2)c1[N+](=O)[O-] ZINC000796253024 629895898 /nfs/dbraw/zinc/89/58/98/629895898.db2.gz ABVIRNCIHIUEAM-UHFFFAOYSA-N 0 0 267.285 2.541 20 5 CFBDRN CCCc1[nH]nc(C(=O)OC(CC)CC)c1[N+](=O)[O-] ZINC000796260524 629896887 /nfs/dbraw/zinc/89/68/87/629896887.db2.gz LJHBKUBTEALYBU-UHFFFAOYSA-N 0 0 269.301 2.616 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000796321141 629903735 /nfs/dbraw/zinc/90/37/35/629903735.db2.gz GWQSTFJJKPTBSG-AAEUAGOBSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@H](C)[C@@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)OC ZINC000835644587 629913464 /nfs/dbraw/zinc/91/34/64/629913464.db2.gz QUXPZDXTPQAPES-SMDDNHRTSA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@@](C)(OC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000796408224 629914304 /nfs/dbraw/zinc/91/43/04/629914304.db2.gz BZWHSKVEVDOSTI-CYBMUJFWSA-N 0 0 285.271 2.592 20 5 CFBDRN CC[C@@H](C)[C@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)OC ZINC000835644586 629914426 /nfs/dbraw/zinc/91/44/26/629914426.db2.gz QUXPZDXTPQAPES-RISCZKNCSA-N 0 0 295.335 2.742 20 5 CFBDRN CC(C)(C(=O)OC[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000796440401 629917683 /nfs/dbraw/zinc/91/76/83/629917683.db2.gz YNJQWPNFDGTAFX-LLVKDONJSA-N 0 0 293.319 2.595 20 5 CFBDRN C/C=C/COC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796443899 629918263 /nfs/dbraw/zinc/91/82/63/629918263.db2.gz SHZWFHDZYGGSTH-SNAWJCMRSA-N 0 0 263.293 2.992 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1CCC(F)(F)C1 ZINC000796509749 629927537 /nfs/dbraw/zinc/92/75/37/629927537.db2.gz FIMMAXQLUNHESJ-QMMMGPOBSA-N 0 0 271.219 2.936 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)COC(F)F ZINC000796528865 629930773 /nfs/dbraw/zinc/93/07/73/629930773.db2.gz YUZFHWXPRQJIJL-UHFFFAOYSA-N 0 0 294.641 2.734 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000796591157 629939365 /nfs/dbraw/zinc/93/93/65/629939365.db2.gz HSCLATHWIFLZKI-ZANVPECISA-N 0 0 281.308 2.870 20 5 CFBDRN COc1cc(C(=O)OC[C@@H](C)SC)ccc1[N+](=O)[O-] ZINC000835676769 629940524 /nfs/dbraw/zinc/94/05/24/629940524.db2.gz CTLKRQHZVFOZPO-MRVPVSSYSA-N 0 0 285.321 2.512 20 5 CFBDRN CS[C@H](C)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000835683081 629949174 /nfs/dbraw/zinc/94/91/74/629949174.db2.gz QKAKYTQITFEZJL-SNVBAGLBSA-N 0 0 283.349 2.822 20 5 CFBDRN CCc1ccc(OC(=O)[C@@](C)(CC)OC)c([N+](=O)[O-])c1 ZINC000796716382 629954559 /nfs/dbraw/zinc/95/45/59/629954559.db2.gz JRGTWTKFEXBKGW-CQSZACIVSA-N 0 0 281.308 2.878 20 5 CFBDRN C[C@@H](O)[C@H]1CCCN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000852560532 634781052 /nfs/dbraw/zinc/78/10/52/634781052.db2.gz YMMVDDKCWLMPRZ-BXKDBHETSA-N 0 0 284.743 2.593 20 5 CFBDRN CCOCCCCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000797072523 630012862 /nfs/dbraw/zinc/01/28/62/630012862.db2.gz YFBCQHMIFORSSH-UHFFFAOYSA-N 0 0 295.335 2.806 20 5 CFBDRN C[C@@H]1COCC[C@@H]1OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000835786598 630044888 /nfs/dbraw/zinc/04/48/88/630044888.db2.gz PJPHVAKCKDUVBQ-ZHVYSYIMSA-N 0 0 291.303 2.576 20 5 CFBDRN C[C@H](COC(=O)c1ccc(C(C)(F)F)cc1)[N+](=O)[O-] ZINC000797280095 630050729 /nfs/dbraw/zinc/05/07/29/630050729.db2.gz MJJOUVDCUKDMLX-MRVPVSSYSA-N 0 0 273.235 2.620 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)CSC(C)C ZINC000797471843 630070893 /nfs/dbraw/zinc/07/08/93/630070893.db2.gz UILZIIICFNQUQN-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)C1CCCC1 ZINC000797471297 630071193 /nfs/dbraw/zinc/07/11/93/630071193.db2.gz FUTVPTHLNMJWLD-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN CSCC[C@@H](C)OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000835812120 630079512 /nfs/dbraw/zinc/07/95/12/630079512.db2.gz MUMYRYLGVPUIGH-SNVBAGLBSA-N 0 0 283.349 2.822 20 5 CFBDRN CSCC[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000835816119 630081235 /nfs/dbraw/zinc/08/12/35/630081235.db2.gz IOROZPDPADZIQW-VIFPVBQESA-N 0 0 269.322 2.893 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000797578556 630083229 /nfs/dbraw/zinc/08/32/29/630083229.db2.gz YLFUPIFMZAYRNC-AZHOBDGFSA-N 0 0 286.331 2.913 20 5 CFBDRN CSCC[C@@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000835819730 630087243 /nfs/dbraw/zinc/08/72/43/630087243.db2.gz USHBMQXVGJOTLO-SNVBAGLBSA-N 0 0 299.348 2.658 20 5 CFBDRN C/C(=C/Cl)CSCCn1ccc([N+](=O)[O-])n1 ZINC000797814308 630107852 /nfs/dbraw/zinc/10/78/52/630107852.db2.gz ZCLCACALAIGCCA-VURMDHGXSA-N 0 0 261.734 2.667 20 5 CFBDRN C/C(=C\Cl)CSc1c([N+](=O)[O-])c(C)nn1C ZINC000797829685 630109300 /nfs/dbraw/zinc/10/93/00/630109300.db2.gz OSYIWBZOMYUMDG-GQCTYLIASA-N 0 0 261.734 2.871 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1ccc(/C=C\C(=O)OC)cc1[N+](=O)[O-] ZINC000797842542 630112040 /nfs/dbraw/zinc/11/20/40/630112040.db2.gz RHRCLOODIJQBLD-HHQZKXIDSA-N 0 0 290.319 2.991 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000797906398 630121906 /nfs/dbraw/zinc/12/19/06/630121906.db2.gz CSOMQKBWRVAZKX-UHFFFAOYSA-N 0 0 260.249 2.588 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000797906943 630122374 /nfs/dbraw/zinc/12/23/74/630122374.db2.gz OCNNMENHNKKKCR-UHFFFAOYSA-N 0 0 266.278 2.650 20 5 CFBDRN Cc1cc[nH]c1C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000797971380 630134623 /nfs/dbraw/zinc/13/46/23/630134623.db2.gz VXSLLXMSFYNUQE-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN CC[C@@](C)(COC(=O)c1cccc([N+](=O)[O-])c1C)OC ZINC000835956827 630175726 /nfs/dbraw/zinc/17/57/26/630175726.db2.gz IAZKPRDBWHFBOB-AWEZNQCLSA-N 0 0 281.308 2.875 20 5 CFBDRN CC[C@@](C)(COC(=O)c1ccc(NC)c([N+](=O)[O-])c1)OC ZINC000835956507 630175736 /nfs/dbraw/zinc/17/57/36/630175736.db2.gz HCUUGHUPQFGCHL-AWEZNQCLSA-N 0 0 296.323 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(F)C2)c(Br)c1 ZINC000798397269 630186122 /nfs/dbraw/zinc/18/61/22/630186122.db2.gz YFOQPCGZJIMEAE-UHFFFAOYSA-N 0 0 275.077 2.515 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](c3ccccn3)C2)n1 ZINC000798414406 630188332 /nfs/dbraw/zinc/18/83/32/630188332.db2.gz MSBGFXBCGMXCTP-GFCCVEGCSA-N 0 0 284.319 2.687 20 5 CFBDRN COc1cccc(N2CC[C@H](c3ccccn3)C2)c1[N+](=O)[O-] ZINC000798417281 630189195 /nfs/dbraw/zinc/18/91/95/630189195.db2.gz UKRORQQRBXVEQA-LBPRGKRZSA-N 0 0 299.330 2.992 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)C1CC1 ZINC000798568105 630208360 /nfs/dbraw/zinc/20/83/60/630208360.db2.gz PEUSUJVXSYKXJE-SSDOTTSWSA-N 0 0 297.694 2.773 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCC[C@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000799059170 630271861 /nfs/dbraw/zinc/27/18/61/630271861.db2.gz MWTHSSHQODTCTL-MNOVXSKESA-N 0 0 291.351 2.651 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(CC(C)(C)C)OC ZINC000808071233 630291553 /nfs/dbraw/zinc/29/15/53/630291553.db2.gz SIYYDRROSHCWLN-UHFFFAOYSA-N 0 0 270.333 2.534 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@@H]1CCCC1(C)C ZINC000799462521 630310843 /nfs/dbraw/zinc/31/08/43/630310843.db2.gz NMWQQWLHAYJVEL-LLVKDONJSA-N 0 0 266.297 2.669 20 5 CFBDRN CCOCC1(C(=O)Oc2ccc(CC)cc2[N+](=O)[O-])CC1 ZINC000799610501 630323848 /nfs/dbraw/zinc/32/38/48/630323848.db2.gz CUVZXAVPNOEMQW-UHFFFAOYSA-N 0 0 293.319 2.879 20 5 CFBDRN CSC1(COC(=O)c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000799685638 630334962 /nfs/dbraw/zinc/33/49/62/630334962.db2.gz GWENWXGPLWQTJI-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCC1(SC)CC1 ZINC000799685429 630335197 /nfs/dbraw/zinc/33/51/97/630335197.db2.gz AEMPSUSVCXVBBJ-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2csnn2)cc([N+](=O)[O-])c1 ZINC000836096372 630348268 /nfs/dbraw/zinc/34/82/68/630348268.db2.gz NUUDZKVSLLQBMV-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN O=C(COc1ccsc1)Nc1cc([N+](=O)[O-])ccc1F ZINC000799860397 630349035 /nfs/dbraw/zinc/34/90/35/630349035.db2.gz BDZOUSIRKQCQRJ-UHFFFAOYSA-N 0 0 296.279 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1O[C@H](C)C[C@@H]1C ZINC000799901812 630353062 /nfs/dbraw/zinc/35/30/62/630353062.db2.gz YKBZGCZOCJIOLR-OPQQBVKSSA-N 0 0 279.292 2.622 20 5 CFBDRN CCN(CCc1ccccn1)c1ncc([N+](=O)[O-])s1 ZINC000799940475 630355546 /nfs/dbraw/zinc/35/55/46/630355546.db2.gz UIMOVDKGDXRSLI-UHFFFAOYSA-N 0 0 278.337 2.515 20 5 CFBDRN CCSCCCNc1nc2ccccn2c1[N+](=O)[O-] ZINC000799964749 630356859 /nfs/dbraw/zinc/35/68/59/630356859.db2.gz GTVNUZRURPITNP-UHFFFAOYSA-N 0 0 280.353 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(C3CCOCC3)C2)c(F)c1 ZINC000799973564 630357763 /nfs/dbraw/zinc/35/77/63/630357763.db2.gz YFWKVWNITCZVIS-UHFFFAOYSA-N 0 0 280.299 2.597 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1O[C@H](C)C[C@@H]1C ZINC000799977496 630357930 /nfs/dbraw/zinc/35/79/30/630357930.db2.gz XQZDQDNTQJMRJZ-OPQQBVKSSA-N 0 0 279.292 2.622 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])O1 ZINC000800303457 630369465 /nfs/dbraw/zinc/36/94/65/630369465.db2.gz AZCZKTHTFOUPTQ-RWEMILLDSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])O1 ZINC000800303454 630369533 /nfs/dbraw/zinc/36/95/33/630369533.db2.gz AZCZKTHTFOUPTQ-JRKPZEMJSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000800387195 630376537 /nfs/dbraw/zinc/37/65/37/630376537.db2.gz PECQBGNEPYQXRL-DNSOKLHBSA-N 0 0 299.710 2.967 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1C[C@@H]1C(F)F ZINC000800802063 630405872 /nfs/dbraw/zinc/40/58/72/630405872.db2.gz BEUZXQMLAAPCKF-BQBZGAKWSA-N 0 0 274.198 2.574 20 5 CFBDRN CC(C)CNC(=S)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000800829119 630409314 /nfs/dbraw/zinc/40/93/14/630409314.db2.gz XLFOOSVKIJQEOK-UHFFFAOYSA-N 0 0 295.408 2.600 20 5 CFBDRN CC(C)OC1CCN(c2cc(N)ccc2[N+](=O)[O-])CC1 ZINC000800850538 630411123 /nfs/dbraw/zinc/41/11/23/630411123.db2.gz PJJANKZUPVSLMH-UHFFFAOYSA-N 0 0 279.340 2.571 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC2(CO)CCCCC2)c1 ZINC000800857191 630411670 /nfs/dbraw/zinc/41/16/70/630411670.db2.gz IJSYVFQDMHNTJK-UHFFFAOYSA-N 0 0 279.340 2.532 20 5 CFBDRN Cc1cn(Cc2ccc(Cl)s2)nc1[N+](=O)[O-] ZINC000800869913 630413379 /nfs/dbraw/zinc/41/33/79/630413379.db2.gz GNSWZRGLMQOXOS-UHFFFAOYSA-N 0 0 257.702 2.863 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1cc(F)ccc1Cl ZINC000801039485 630424517 /nfs/dbraw/zinc/42/45/17/630424517.db2.gz DDHYCHGOROKKRT-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1cccc2c1NCC2 ZINC000801403149 630444871 /nfs/dbraw/zinc/44/48/71/630444871.db2.gz PPUOCSUSMPPMFK-UHFFFAOYSA-N 0 0 298.298 2.920 20 5 CFBDRN CON(Cc1c(F)cccc1[N+](=O)[O-])CC1CCOCC1 ZINC000801605725 630462305 /nfs/dbraw/zinc/46/23/05/630462305.db2.gz DSPVWGHYLJZVGP-UHFFFAOYSA-N 0 0 298.314 2.524 20 5 CFBDRN O=C(CCCNc1ccc([N+](=O)[O-])cc1)OCC(F)F ZINC000103654079 630467429 /nfs/dbraw/zinc/46/74/29/630467429.db2.gz UOFGPSJSBJGYJE-UHFFFAOYSA-N 0 0 288.250 2.595 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801913277 630486198 /nfs/dbraw/zinc/48/61/98/630486198.db2.gz BVUDNBOIYIIYJU-BDAKNGLRSA-N 0 0 295.314 2.577 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000801921521 630487882 /nfs/dbraw/zinc/48/78/82/630487882.db2.gz FVFVCIRSYNTFMV-AWEZNQCLSA-N 0 0 295.314 2.578 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCOCC23CC3)c1 ZINC000801982491 630496766 /nfs/dbraw/zinc/49/67/66/630496766.db2.gz RLBZNPHARGGGEX-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN Cc1ccc(OC(=O)/C=C\c2ccc[nH]2)c([N+](=O)[O-])c1 ZINC000802045286 630499509 /nfs/dbraw/zinc/49/95/09/630499509.db2.gz MTJLXUFMFCHNFG-ALCCZGGFSA-N 0 0 272.260 2.850 20 5 CFBDRN C[C@@H](OC(=O)C1=CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000802055812 630499864 /nfs/dbraw/zinc/49/98/64/630499864.db2.gz SYCAVUBXWSCEFV-SNVBAGLBSA-N 0 0 277.276 2.546 20 5 CFBDRN C[C@H](OC(=O)C1=CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000802055811 630499976 /nfs/dbraw/zinc/49/99/76/630499976.db2.gz SYCAVUBXWSCEFV-JTQLQIEISA-N 0 0 277.276 2.546 20 5 CFBDRN C[C@@H](OC(=O)C1=CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000802054612 630500153 /nfs/dbraw/zinc/50/01/53/630500153.db2.gz NSANGCUINLNPMA-SNVBAGLBSA-N 0 0 277.276 2.546 20 5 CFBDRN CC[C@H](C)OC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000103664793 630500712 /nfs/dbraw/zinc/50/07/12/630500712.db2.gz OUCNNRZEYJDAKP-JTQLQIEISA-N 0 0 289.291 2.736 20 5 CFBDRN Cc1cccc(C(=O)O[C@H]2CCC(C)(C)C2=O)c1[N+](=O)[O-] ZINC000839967716 630530904 /nfs/dbraw/zinc/53/09/04/630530904.db2.gz DMXMWNCYFJAMIX-NSHDSACASA-N 0 0 291.303 2.818 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cscn2)c1 ZINC000802674533 630537472 /nfs/dbraw/zinc/53/74/72/630537472.db2.gz PPDDACHZNJHLRZ-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Oc1ccc2cc[nH]c2c1 ZINC000802957516 630571758 /nfs/dbraw/zinc/57/17/58/630571758.db2.gz IDNYNUBGGSJMLR-UHFFFAOYSA-N 0 0 297.270 2.999 20 5 CFBDRN C[C@H](CC1CCOCC1)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000803010891 630577563 /nfs/dbraw/zinc/57/75/63/630577563.db2.gz QYDJTEFVQTUROD-LLVKDONJSA-N 0 0 293.319 2.957 20 5 CFBDRN CCc1nc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c(C)o1 ZINC000803151075 630586758 /nfs/dbraw/zinc/58/67/58/630586758.db2.gz UJHPDWGNVVNVGX-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H]1Cc2ccccc21 ZINC000803213368 630590291 /nfs/dbraw/zinc/59/02/91/630590291.db2.gz RSOMUCYVDXMTBR-NSHDSACASA-N 0 0 298.298 2.674 20 5 CFBDRN CC(C)SCCOC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000803215811 630590319 /nfs/dbraw/zinc/59/03/19/630590319.db2.gz FICDDDSFAZILJE-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)OCCCCF ZINC000803322278 630601603 /nfs/dbraw/zinc/60/16/03/630601603.db2.gz ZNPPPKXXVMIQBW-UHFFFAOYSA-N 0 0 294.282 2.842 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC1CCC(=O)CC1 ZINC000803320126 630601720 /nfs/dbraw/zinc/60/17/20/630601720.db2.gz RHBWVRKPDGPVNA-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN O=C(OCc1ccncc1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000803327086 630602076 /nfs/dbraw/zinc/60/20/76/630602076.db2.gz ALFCEJVNXIOKBD-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)c2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000803336960 630603201 /nfs/dbraw/zinc/60/32/01/630603201.db2.gz LPGFPCGBOPJJCH-SNVBAGLBSA-N 0 0 297.282 2.848 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2ccncc2)c1 ZINC000803369002 630608063 /nfs/dbraw/zinc/60/80/63/630608063.db2.gz FPFIDOBUVUKDTC-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCCCCCO ZINC000803431830 630617435 /nfs/dbraw/zinc/61/74/35/630617435.db2.gz GQCSTSKVUSNZHW-VAWYXSNFSA-N 0 0 293.319 2.704 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@H]2CC[C@H](C)O2)c1F ZINC000803458824 630619843 /nfs/dbraw/zinc/61/98/43/630619843.db2.gz NSNPSEMRXRMTNW-GXSJLCMTSA-N 0 0 297.282 2.767 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803462123 630620303 /nfs/dbraw/zinc/62/03/03/630620303.db2.gz DSKWKGNRHLRVMD-MRVPVSSYSA-N 0 0 297.282 2.814 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCc2cscn2)c1F ZINC000803460936 630620584 /nfs/dbraw/zinc/62/05/84/630620584.db2.gz KFXLZZJZLIJWFE-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H]2CCCCC2=O)c1F ZINC000803461379 630620602 /nfs/dbraw/zinc/62/06/02/630620602.db2.gz VFBZWLGTIBHTRP-GFCCVEGCSA-N 0 0 295.266 2.711 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OCCCCOC ZINC000803508191 630624068 /nfs/dbraw/zinc/62/40/68/630624068.db2.gz DCCDGEXAZIKAAI-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC[C@@H]1C ZINC000803634373 630632128 /nfs/dbraw/zinc/63/21/28/630632128.db2.gz COSMNMWIEOMAEP-PVKGADDOSA-N 0 0 274.320 2.913 20 5 CFBDRN O=C(N1CCC[C@@H]2C[C@@H]21)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000804298452 630669528 /nfs/dbraw/zinc/66/95/28/630669528.db2.gz STSIUEJBDFYXQR-SKDRFNHKSA-N 0 0 296.273 2.698 20 5 CFBDRN Cc1ccc([C@@H](O)CNc2ccc(N)cc2[N+](=O)[O-])cc1 ZINC000804349860 630674956 /nfs/dbraw/zinc/67/49/56/630674956.db2.gz KLQXZMHPFJRDEI-HNNXBMFYSA-N 0 0 287.319 2.631 20 5 CFBDRN Cc1cccc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])n1 ZINC000804361304 630676195 /nfs/dbraw/zinc/67/61/95/630676195.db2.gz NLASWUASOIQBTN-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN Nc1ccc(NC[C@H]2Cc3ccccc32)c([N+](=O)[O-])c1 ZINC000804365795 630676202 /nfs/dbraw/zinc/67/62/02/630676202.db2.gz HIIAWDNDBRPWFC-LLVKDONJSA-N 0 0 269.304 2.929 20 5 CFBDRN CN(CCc1ccccc1F)c1ccc(N)cc1[N+](=O)[O-] ZINC000804444765 630681976 /nfs/dbraw/zinc/68/19/76/630681976.db2.gz GCLJKNWSLHHQFR-UHFFFAOYSA-N 0 0 289.310 2.995 20 5 CFBDRN CN(Cc1cc[nH]n1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804450446 630682371 /nfs/dbraw/zinc/68/23/71/630682371.db2.gz VYWXHFQUDNNQDB-UHFFFAOYSA-N 0 0 266.688 2.608 20 5 CFBDRN C[C@](O)(CNc1ccc(N)cc1[N+](=O)[O-])c1cccs1 ZINC000804490811 630687271 /nfs/dbraw/zinc/68/72/71/630687271.db2.gz KHORRWWURVNKMW-ZDUSSCGKSA-N 0 0 293.348 2.558 20 5 CFBDRN Nc1ccc(N2CCC[C@@]3(CCCOC3)C2)c([N+](=O)[O-])c1 ZINC000804532540 630690456 /nfs/dbraw/zinc/69/04/56/630690456.db2.gz ZWLLOUUELXTZAW-OAHLLOKOSA-N 0 0 291.351 2.574 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2Oc2ccc(F)nc2)s1 ZINC000804564455 630693040 /nfs/dbraw/zinc/69/30/40/630693040.db2.gz UOBKXIZBRGYEDG-UHFFFAOYSA-N 0 0 292.251 2.926 20 5 CFBDRN Cc1cncc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])c1 ZINC000804579665 630758363 /nfs/dbraw/zinc/75/83/63/630758363.db2.gz YCJSQKQFDILFBY-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN COc1c(C(=O)N(CC(C)(C)C)OC)cccc1[N+](=O)[O-] ZINC000804585544 630760956 /nfs/dbraw/zinc/76/09/56/630760956.db2.gz BAABQIRUXBXGMI-UHFFFAOYSA-N 0 0 296.323 2.653 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H]1C ZINC000804587314 630761712 /nfs/dbraw/zinc/76/17/12/630761712.db2.gz KYTCQGUICFYRJO-NXEZZACHSA-N 0 0 279.296 2.985 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804593948 630761787 /nfs/dbraw/zinc/76/17/87/630761787.db2.gz ISCMHZUGXWMBPK-JOYOIKCWSA-N 0 0 295.295 2.730 20 5 CFBDRN CN(C[C@H](O)C(F)(F)F)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804592331 630761808 /nfs/dbraw/zinc/76/18/08/630761808.db2.gz HUTXHBOISLNMCZ-VIFPVBQESA-N 0 0 298.648 2.608 20 5 CFBDRN Nc1ccc(NC[C@@H]2CCCCS2)c([N+](=O)[O-])c1 ZINC000804610466 630763644 /nfs/dbraw/zinc/76/36/44/630763644.db2.gz YGKWRWMEXLARBF-JTQLQIEISA-N 0 0 267.354 2.875 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC[C@H]1CCC=CO1 ZINC000804630518 630767811 /nfs/dbraw/zinc/76/78/11/630767811.db2.gz DHQBYINVIJBPMY-SECBINFHSA-N 0 0 279.252 2.608 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804645882 630768220 /nfs/dbraw/zinc/76/82/20/630768220.db2.gz DEAZZQWVDPOAET-VIFPVBQESA-N 0 0 283.309 2.809 20 5 CFBDRN CC1(C)CCC[C@](O)(CNc2ccc(N)cc2[N+](=O)[O-])C1 ZINC000804638678 630768346 /nfs/dbraw/zinc/76/83/46/630768346.db2.gz RBWLMLYKTNQBDJ-OAHLLOKOSA-N 0 0 293.367 2.920 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1SC[C@@H]1CCCO1 ZINC000804649696 630769007 /nfs/dbraw/zinc/76/90/07/630769007.db2.gz JTYURABXBOHRIW-QMMMGPOBSA-N 0 0 284.293 2.774 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CC[C@H](F)C1 ZINC000836202886 630771149 /nfs/dbraw/zinc/77/11/49/630771149.db2.gz NKQZQGZJNIZDFW-ZETCQYMHSA-N 0 0 287.678 2.824 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)CF)cc1Cl ZINC000836791164 630883409 /nfs/dbraw/zinc/88/34/09/630883409.db2.gz ZMFZLDDBDVZQQR-ZETCQYMHSA-N 0 0 274.679 2.644 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H](F)C2)cc1Cl ZINC000836791317 630883428 /nfs/dbraw/zinc/88/34/28/630883428.db2.gz RFOARMGBIUKKQI-QMMMGPOBSA-N 0 0 286.690 2.741 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NOC2CCC2)cc1Cl ZINC000836799330 630886986 /nfs/dbraw/zinc/88/69/86/630886986.db2.gz JOLGWNWIVRNGHE-UHFFFAOYSA-N 0 0 284.699 2.771 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])OC/C=C/Cl ZINC000807519120 630891058 /nfs/dbraw/zinc/89/10/58/630891058.db2.gz WSXIEZOAMZYFGU-ZZXKWVIFSA-N 0 0 287.724 2.983 20 5 CFBDRN CC(C)(CCC(=O)NC(C)(C)C1CCC1)[N+](=O)[O-] ZINC000836848421 630898779 /nfs/dbraw/zinc/89/87/79/630898779.db2.gz FJSGZPVQEXQLRB-UHFFFAOYSA-N 0 0 256.346 2.517 20 5 CFBDRN CSCCCNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807978774 630928940 /nfs/dbraw/zinc/92/89/40/630928940.db2.gz CJBCMTNSOOAIEC-UHFFFAOYSA-N 0 0 268.338 2.962 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H](C)C[C@H](C)O ZINC000807981491 630929579 /nfs/dbraw/zinc/92/95/79/630929579.db2.gz OELAQJXWYGJOQA-UWVGGRQHSA-N 0 0 280.324 2.616 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCc1cnc(C)s1 ZINC000808002081 630937395 /nfs/dbraw/zinc/93/73/95/630937395.db2.gz TXTOTZFIPUDFDI-UHFFFAOYSA-N 0 0 295.368 2.539 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCc1cc(C)ncn1 ZINC000808007078 630940676 /nfs/dbraw/zinc/94/06/76/630940676.db2.gz OAAZUHHMGLWQRW-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000808056207 630945382 /nfs/dbraw/zinc/94/53/82/630945382.db2.gz IOYQUDNSSLUAOP-UHFFFAOYSA-N 0 0 280.667 2.838 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NC[C@@H]2COc3ccccc32)c1 ZINC000808156661 630960058 /nfs/dbraw/zinc/96/00/58/630960058.db2.gz NQDRMTONHYZDPY-SNVBAGLBSA-N 0 0 285.303 2.765 20 5 CFBDRN CN(C(=O)C(F)=C1CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000808795134 631049211 /nfs/dbraw/zinc/04/92/11/631049211.db2.gz QGZHIQKNHFKUCI-UHFFFAOYSA-N 0 0 279.271 2.750 20 5 CFBDRN CN(C(=O)C[C@@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])nc1 ZINC000808795282 631049305 /nfs/dbraw/zinc/04/93/05/631049305.db2.gz SCTUUQZCUIBVEM-VIFPVBQESA-N 0 0 299.277 2.778 20 5 CFBDRN C/C(=C\C(=O)N(C)c1ccc([N+](=O)[O-])nc1)C(C)(C)C ZINC000808794058 631049385 /nfs/dbraw/zinc/04/93/85/631049385.db2.gz FGAOCQQQPWEMLC-CSKARUKUSA-N 0 0 277.324 2.945 20 5 CFBDRN Cc1nc(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)co1 ZINC000808809836 631052340 /nfs/dbraw/zinc/05/23/40/631052340.db2.gz VQGVBGBAKMOTQP-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN O=C(OCC1CC(F)(F)C1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000837583939 631076890 /nfs/dbraw/zinc/07/68/90/631076890.db2.gz UPSXHDJFTOPMAL-UHFFFAOYSA-N 0 0 287.218 2.503 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000809113681 631083463 /nfs/dbraw/zinc/08/34/63/631083463.db2.gz XXHHBPVHQDFCJD-LEWSCRJBSA-N 0 0 293.319 2.809 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000809115293 631084054 /nfs/dbraw/zinc/08/40/54/631084054.db2.gz UAYNQMDWMZJYBR-PHIMTYICSA-N 0 0 279.292 2.628 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000809118069 631085500 /nfs/dbraw/zinc/08/55/00/631085500.db2.gz CNJSIAMSKXSZLL-CSEUZHBESA-N 0 0 291.303 2.719 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC(C(F)(F)F)C2)c1 ZINC000809339234 631108033 /nfs/dbraw/zinc/10/80/33/631108033.db2.gz SUMBVOUQVPYWRU-UHFFFAOYSA-N 0 0 294.204 2.741 20 5 CFBDRN COC(=O)N[C@@H](c1cccc([N+](=O)[O-])c1F)C(F)(F)F ZINC000840527564 631162088 /nfs/dbraw/zinc/16/20/88/631162088.db2.gz RZGCGFCSPOSPJS-QMMMGPOBSA-N 0 0 296.176 2.693 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1CCCC(C)(C)C1=O)[N+](=O)[O-] ZINC000840581254 631166719 /nfs/dbraw/zinc/16/67/19/631166719.db2.gz WVBPZDIAGQKAQC-SNVBAGLBSA-N 0 0 285.340 2.513 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840795668 631224779 /nfs/dbraw/zinc/22/47/79/631224779.db2.gz AOZQOJZNMPMBKI-ZJUUUORDSA-N 0 0 279.365 2.927 20 5 CFBDRN Cc1noc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000042650913 650000061 /nfs/dbraw/zinc/00/00/61/650000061.db2.gz QZXIUWWAIKDXCM-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN CCCC[C@@H]([NH2+]C/C=C\c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000840996828 631298318 /nfs/dbraw/zinc/29/83/18/631298318.db2.gz DZTMTIIBWMBJNI-FWPCIQOSSA-N 0 0 292.335 2.841 20 5 CFBDRN Cn1cc(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cn1 ZINC000809913574 631300941 /nfs/dbraw/zinc/30/09/41/631300941.db2.gz OERKWGYAYXMZMT-UHFFFAOYSA-N 0 0 295.686 2.626 20 5 CFBDRN COCC1(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 ZINC000809919512 631301079 /nfs/dbraw/zinc/30/10/79/631301079.db2.gz AXHAPFZCWGIVIQ-UHFFFAOYSA-N 0 0 299.714 2.549 20 5 CFBDRN COCC(C)(C)CC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000841059311 631326104 /nfs/dbraw/zinc/32/61/04/631326104.db2.gz ARGLFJQGDZIFHT-UHFFFAOYSA-N 0 0 281.308 2.871 20 5 CFBDRN Cc1ccc(NC(=O)NOC/C=C/Cl)cc1[N+](=O)[O-] ZINC000810141781 631333091 /nfs/dbraw/zinc/33/30/91/631333091.db2.gz KPCQMSSMUNBWBQ-GORDUTHDSA-N 0 0 285.687 2.709 20 5 CFBDRN O=C([C@@H]1CC1[N+](=O)[O-])N(c1ccccc1)C1CCCC1 ZINC000841109695 631342552 /nfs/dbraw/zinc/34/25/52/631342552.db2.gz OYVKYJLRVGLEHS-ZIAGYGMSSA-N 0 0 274.320 2.627 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000841162769 631351443 /nfs/dbraw/zinc/35/14/43/631351443.db2.gz YGYISMFAMXYKHZ-ZDUSSCGKSA-N 0 0 281.308 2.870 20 5 CFBDRN Cc1cc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])s2)no1 ZINC000841210298 631359697 /nfs/dbraw/zinc/35/96/97/631359697.db2.gz RRFYZBYTOVMEOH-ZETCQYMHSA-N 0 0 282.277 2.871 20 5 CFBDRN CCc1c(C(=O)OCc2ccc([N+](=O)[O-])cc2)ccn1C ZINC000810541296 631386702 /nfs/dbraw/zinc/38/67/02/631386702.db2.gz VXKFUGXTHPESCE-UHFFFAOYSA-N 0 0 288.303 2.853 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N)[C@@H]1CC1(C)C ZINC000841449182 631405800 /nfs/dbraw/zinc/40/58/00/631405800.db2.gz BHIQTPULWHTHHY-AAEUAGOBSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)OCCCF ZINC000841477660 631412833 /nfs/dbraw/zinc/41/28/33/631412833.db2.gz ZKJJSRDMUSPFMV-UHFFFAOYSA-N 0 0 257.217 2.778 20 5 CFBDRN Cc1nn(Cc2ccc(C)nc2Cl)cc1[N+](=O)[O-] ZINC000840209070 631442147 /nfs/dbraw/zinc/44/21/47/631442147.db2.gz RTXZTDCHMIXQQZ-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN Cc1ncccc1COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000810888945 631470312 /nfs/dbraw/zinc/47/03/12/631470312.db2.gz OZJWSQWAGKFHEE-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)O[C@@H]1CCCC[C@H]1F ZINC000810895399 631472577 /nfs/dbraw/zinc/47/25/77/631472577.db2.gz UQKPRVKCRJBUTR-CHWSQXEVSA-N 0 0 297.282 2.798 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])O[C@@H]1CCCC[C@H]1F ZINC000810894929 631472719 /nfs/dbraw/zinc/47/27/19/631472719.db2.gz KLEVXGYFZWIOOF-DGCLKSJQSA-N 0 0 281.283 2.961 20 5 CFBDRN Cc1nc([C@H](C)Oc2cc(F)ccc2[N+](=O)[O-])no1 ZINC000842013794 631500275 /nfs/dbraw/zinc/50/02/75/631500275.db2.gz USMHEAMVONTKFP-LURJTMIESA-N 0 0 267.216 2.565 20 5 CFBDRN COC1(COc2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000842013006 631500312 /nfs/dbraw/zinc/50/03/12/631500312.db2.gz FYCTZRNZQPTCPB-UHFFFAOYSA-N 0 0 255.245 2.682 20 5 CFBDRN COCC1(COC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000811058985 631507916 /nfs/dbraw/zinc/50/79/16/631507916.db2.gz LNFXHZJPQBBBGG-UHFFFAOYSA-N 0 0 279.292 2.568 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000811094592 631514363 /nfs/dbraw/zinc/51/43/63/631514363.db2.gz LXEYPTJWKNLGCK-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCOCC1CCC1 ZINC000842073274 631524680 /nfs/dbraw/zinc/52/46/80/631524680.db2.gz RGZGTHKBLRFXGJ-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN COC1(COC(=O)c2cccc([N+](=O)[O-])c2C)CCC1 ZINC000811173460 631528985 /nfs/dbraw/zinc/52/89/85/631528985.db2.gz MPTVTJJLFZWSEU-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN O=C(OCCC1(O)CCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000840228839 631536860 /nfs/dbraw/zinc/53/68/60/631536860.db2.gz XIPIRWZSWSNQOR-UHFFFAOYSA-N 0 0 299.710 2.710 20 5 CFBDRN CCC(CC)(CO)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000842108487 631544357 /nfs/dbraw/zinc/54/43/57/631544357.db2.gz NZUWGGDVYYHGJT-UHFFFAOYSA-N 0 0 295.335 2.745 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000840235974 631586767 /nfs/dbraw/zinc/58/67/67/631586767.db2.gz ZGOOZCDFEGTTIE-UHFFFAOYSA-N 0 0 293.319 2.686 20 5 CFBDRN CC(C)S[C@H]1CCCC[C@H]1OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842332700 631595643 /nfs/dbraw/zinc/59/56/43/631595643.db2.gz RLWPVTWFODWMMO-KKOKHZNYSA-N 0 0 287.381 2.648 20 5 CFBDRN C[C@@H](COC(=O)[C@@H]1CC(C)(C)Oc2ccccc21)[N+](=O)[O-] ZINC000842363535 631606541 /nfs/dbraw/zinc/60/65/41/631606541.db2.gz KIVBDLGUMKPTCO-CMPLNLGQSA-N 0 0 293.319 2.540 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1C[C@H]1c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000842364244 631606730 /nfs/dbraw/zinc/60/67/30/631606730.db2.gz UWOSNRLWCHNPOD-JFUSQASVSA-N 0 0 283.711 2.652 20 5 CFBDRN CC[C@H](O)CCCNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000811807935 631624684 /nfs/dbraw/zinc/62/46/84/631624684.db2.gz OPOWXNQYKJKBRE-LBPRGKRZSA-N 0 0 280.324 2.760 20 5 CFBDRN CC(C)C(C)(C)Sc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000811838774 631630078 /nfs/dbraw/zinc/63/00/78/631630078.db2.gz CVXCRRMCKQVSIM-UHFFFAOYSA-N 0 0 283.353 2.615 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC2(CCOC2)CC1 ZINC000811841776 631630916 /nfs/dbraw/zinc/63/09/16/631630916.db2.gz SGADKSVYDUKFMV-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN Cc1ccc([C@H](C)c2noc([C@@H]3CC3[N+](=O)[O-])n2)cc1 ZINC000842491219 631644212 /nfs/dbraw/zinc/64/42/12/631644212.db2.gz IJBQZQUKNDRACA-MVWJERBFSA-N 0 0 273.292 2.662 20 5 CFBDRN CC(C)Oc1ccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)cc1 ZINC000842492032 631644260 /nfs/dbraw/zinc/64/42/60/631644260.db2.gz XYSFKJVDSAHXRV-VXGBXAGGSA-N 0 0 289.291 2.656 20 5 CFBDRN Cc1[nH]c2ccccc2c1Cc1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000842491663 631644548 /nfs/dbraw/zinc/64/45/48/631644548.db2.gz BVBJNKLQAQSCMM-DGCLKSJQSA-N 0 0 298.302 2.583 20 5 CFBDRN CCCCCCn1cnc2sc([N+](=O)[O-])cc2c1=O ZINC000842573564 631666754 /nfs/dbraw/zinc/66/67/54/631666754.db2.gz TVAKNJREKYZDLR-UHFFFAOYSA-N 0 0 281.337 2.947 20 5 CFBDRN Cc1cc(F)cc(-c2nc([C@@H]3CCC[NH2+]3)no2)c1[N+](=O)[O-] ZINC000842643086 631690112 /nfs/dbraw/zinc/69/01/12/631690112.db2.gz MKMAFBLPHRBFGV-JTQLQIEISA-N 0 0 292.270 2.517 20 5 CFBDRN O=[N+]([O-])c1c(F)c(F)c(OC[C@@H]2CCCO2)c(F)c1F ZINC000842664195 631696699 /nfs/dbraw/zinc/69/66/99/631696699.db2.gz AHRKXPSYAMATGT-YFKPBYRVSA-N 0 0 295.188 2.709 20 5 CFBDRN O=C(COc1c(F)c(F)c([N+](=O)[O-])c(F)c1F)C1CC1 ZINC000842663824 631696851 /nfs/dbraw/zinc/69/68/51/631696851.db2.gz KFOXJHWAOSBPMS-UHFFFAOYSA-N 0 0 293.172 2.509 20 5 CFBDRN C[C@@](O)(c1nc(-c2ccc([N+](=O)[O-])cc2)no1)C1CCC1 ZINC000812456088 631721194 /nfs/dbraw/zinc/72/11/94/631721194.db2.gz OCHQMSVRYFDNHI-AWEZNQCLSA-N 0 0 289.291 2.652 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)C1(C(F)F)CC1 ZINC000842738905 631723406 /nfs/dbraw/zinc/72/34/06/631723406.db2.gz AXINGQBHCPLQMB-UHFFFAOYSA-N 0 0 275.182 2.685 20 5 CFBDRN Cc1cc(NOCCOC(C)C)c(F)cc1[N+](=O)[O-] ZINC000812489217 631726216 /nfs/dbraw/zinc/72/62/16/631726216.db2.gz VLZADPPMWFMUAF-UHFFFAOYSA-N 0 0 272.276 2.811 20 5 CFBDRN CC(C)OCCONc1c(F)cc([N+](=O)[O-])cc1F ZINC000812491271 631726449 /nfs/dbraw/zinc/72/64/49/631726449.db2.gz PJOVPYIBAPKDBU-UHFFFAOYSA-N 0 0 276.239 2.642 20 5 CFBDRN COCC1(CC(=O)OCc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000842753829 631728951 /nfs/dbraw/zinc/72/89/51/631728951.db2.gz HQGZEKMOUYKBEL-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000812626200 631747330 /nfs/dbraw/zinc/74/73/30/631747330.db2.gz UDLOHKWANQAXED-GHMZBOCLSA-N 0 0 281.308 2.557 20 5 CFBDRN CO[C@](C)(C(=O)OCc1csc([N+](=O)[O-])c1)C1CC1 ZINC000812662385 631755212 /nfs/dbraw/zinc/75/52/12/631755212.db2.gz FODRILJWJHNFJP-LBPRGKRZSA-N 0 0 285.321 2.515 20 5 CFBDRN C[C@@](O)(CNc1ncc([N+](=O)[O-])cc1F)c1ccsc1 ZINC000840266142 631767422 /nfs/dbraw/zinc/76/74/22/631767422.db2.gz LUABNGXNVUJWJB-GFCCVEGCSA-N 0 0 297.311 2.510 20 5 CFBDRN CSc1cccc(C(=O)NOC2CCC2)c1[N+](=O)[O-] ZINC000812795806 631781274 /nfs/dbraw/zinc/78/12/74/631781274.db2.gz CYUQBOBVUFKGJT-UHFFFAOYSA-N 0 0 282.321 2.531 20 5 CFBDRN CC(C)(C)OCCCc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812046 631788832 /nfs/dbraw/zinc/78/88/32/631788832.db2.gz UJAYXVFGPOHEOI-UHFFFAOYSA-N 0 0 299.371 2.805 20 5 CFBDRN CCc1ncc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)s1 ZINC000812815874 631789296 /nfs/dbraw/zinc/78/92/96/631789296.db2.gz CZXDQZVTGVAQQG-UHFFFAOYSA-N 0 0 296.352 2.743 20 5 CFBDRN CC[C@H]1CC[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812814294 631789552 /nfs/dbraw/zinc/78/95/52/631789552.db2.gz DVLDPNGSJAXRBV-UWVGGRQHSA-N 0 0 283.328 2.688 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812815323 631789635 /nfs/dbraw/zinc/78/96/35/631789635.db2.gz JHONZGNHWFANQH-NGZCFLSTSA-N 0 0 283.328 2.544 20 5 CFBDRN C[C@H](COC(=O)CC(C)(C)c1ccccc1)[N+](=O)[O-] ZINC000813100340 631836850 /nfs/dbraw/zinc/83/68/50/631836850.db2.gz RBDVTNPIIULXES-LLVKDONJSA-N 0 0 265.309 2.563 20 5 CFBDRN C[C@H](COC(=O)C[C@H](C)c1ccc(F)cc1)[N+](=O)[O-] ZINC000813102474 631837907 /nfs/dbraw/zinc/83/79/07/631837907.db2.gz GAUQVULFRRWOAO-VHSXEESVSA-N 0 0 269.272 2.528 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000813100984 631838480 /nfs/dbraw/zinc/83/84/80/631838480.db2.gz ZWDDVCBKTLRGAY-MNOVXSKESA-N 0 0 281.308 2.727 20 5 CFBDRN Cc1sc(-c2ccco2)nc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813102792 631838526 /nfs/dbraw/zinc/83/85/26/631838526.db2.gz VSHYZCKFGOKBRK-SSDOTTSWSA-N 0 0 296.304 2.534 20 5 CFBDRN C[C@H](COC(=O)C[C@@H]1CCCC(C)(C)C1)[N+](=O)[O-] ZINC000813101747 631838640 /nfs/dbraw/zinc/83/86/40/631838640.db2.gz IFRVUPVMUVHUBB-MNOVXSKESA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](COC(=O)c1scnc1C1CCCC1)[N+](=O)[O-] ZINC000813101919 631838651 /nfs/dbraw/zinc/83/86/51/631838651.db2.gz LIEYOMRGGVCZLQ-MRVPVSSYSA-N 0 0 284.337 2.623 20 5 CFBDRN CC[C@H](C(=O)OC[C@H](C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000813104239 631839446 /nfs/dbraw/zinc/83/94/46/631839446.db2.gz KQHHGGFWHNILRP-CABZTGNLSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@H](COC(=O)c1ccnc(SC(C)(C)C)c1)[N+](=O)[O-] ZINC000813103479 631839524 /nfs/dbraw/zinc/83/95/24/631839524.db2.gz BWNZFMPSQRYKMD-SECBINFHSA-N 0 0 298.364 2.794 20 5 CFBDRN C[C@@H](COC(=O)[C@@H](C)c1cccc(Cl)c1)[N+](=O)[O-] ZINC000813103572 631840080 /nfs/dbraw/zinc/84/00/80/631840080.db2.gz QPJBZZUKEBFQSE-IUCAKERBSA-N 0 0 271.700 2.652 20 5 CFBDRN C[C@@H](COC(=O)Cc1cc(Cl)ccc1Cl)[N+](=O)[O-] ZINC000813106587 631841657 /nfs/dbraw/zinc/84/16/57/631841657.db2.gz FOGMPRYIAZNYFX-ZETCQYMHSA-N 0 0 292.118 2.744 20 5 CFBDRN Cc1ccc(C(C)C)c(OCC(=O)OC[C@H](C)[N+](=O)[O-])c1 ZINC000813109790 631842851 /nfs/dbraw/zinc/84/28/51/631842851.db2.gz OQUGGTJLPISALE-LBPRGKRZSA-N 0 0 295.335 2.706 20 5 CFBDRN C[C@H](COC(=O)[C@@H](C)CCc1cccc(F)c1)[N+](=O)[O-] ZINC000813108117 631843271 /nfs/dbraw/zinc/84/32/71/631843271.db2.gz ANELJOAFMYAVGN-WDEREUQCSA-N 0 0 283.299 2.603 20 5 CFBDRN C[C@H](COC(=O)c1ccc(C(F)(F)F)c(F)c1)[N+](=O)[O-] ZINC000813109958 631843829 /nfs/dbraw/zinc/84/38/29/631843829.db2.gz XVHLBYKPTXPLDC-ZCFIWIBFSA-N 0 0 295.188 2.667 20 5 CFBDRN C/C(=C/c1cccc(Cl)c1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813113835 631844217 /nfs/dbraw/zinc/84/42/17/631844217.db2.gz JVFLQZCCJIHLHQ-ABRRARGCSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(SC(F)F)cc1)[N+](=O)[O-] ZINC000813111666 631844231 /nfs/dbraw/zinc/84/42/31/631844231.db2.gz KDDLYBNHVVUMQJ-ZETCQYMHSA-N 0 0 291.275 2.823 20 5 CFBDRN C[C@H](COC(=O)C1(Cc2ccccc2Cl)CC1)[N+](=O)[O-] ZINC000813110980 631844467 /nfs/dbraw/zinc/84/44/67/631844467.db2.gz NJWCIHGVQNZTDM-SNVBAGLBSA-N 0 0 297.738 2.871 20 5 CFBDRN C[C@H](COC(=O)C[C@H](C)C1CCCCC1)[N+](=O)[O-] ZINC000813110814 631844476 /nfs/dbraw/zinc/84/44/76/631844476.db2.gz JZEYZKVRRDPJTB-WDEREUQCSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1ccc2[nH]cc(CCC(=O)OC[C@@H](C)[N+](=O)[O-])c2c1 ZINC000813112019 631844559 /nfs/dbraw/zinc/84/45/59/631844559.db2.gz MKQDVZCGRRGFRK-LLVKDONJSA-N 0 0 290.319 2.617 20 5 CFBDRN C[C@@H](COC(=O)C1(c2ccc(F)cc2F)CCC1)[N+](=O)[O-] ZINC000813111706 631844594 /nfs/dbraw/zinc/84/45/94/631844594.db2.gz KKBCIMSWMKVOIV-VIFPVBQESA-N 0 0 299.273 2.595 20 5 CFBDRN C[C@@H](COC(=O)/C=C/c1ccccc1Cl)[N+](=O)[O-] ZINC000813113689 631844889 /nfs/dbraw/zinc/84/48/89/631844889.db2.gz HVOHGMAEUCUXIF-UCUJLANTSA-N 0 0 269.684 2.562 20 5 CFBDRN CCSc1ccc(CC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813113700 631845208 /nfs/dbraw/zinc/84/52/08/631845208.db2.gz HZODVLVYIYFJBG-JTQLQIEISA-N 0 0 283.349 2.550 20 5 CFBDRN C/C(=C\C(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1Cl ZINC000813111531 631845256 /nfs/dbraw/zinc/84/52/56/631845256.db2.gz UHVHHTGUTKXNOB-PCYYEKQGSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@H](COC(=O)c1sccc1SC(F)F)[N+](=O)[O-] ZINC000813113455 631845294 /nfs/dbraw/zinc/84/52/94/631845294.db2.gz QENQUYZYNCMLEG-RXMQYKEDSA-N 0 0 297.304 2.885 20 5 CFBDRN CC(C)Cc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813115572 631845921 /nfs/dbraw/zinc/84/59/21/631845921.db2.gz VUEHCCAJFDZNRB-NSHDSACASA-N 0 0 265.309 2.707 20 5 CFBDRN Cc1ccc2oc(C(=O)OC[C@H](C)[N+](=O)[O-])cc2c1 ZINC000813114259 631846323 /nfs/dbraw/zinc/84/63/23/631846323.db2.gz OLYMRKPBAXTKFR-VIFPVBQESA-N 0 0 263.249 2.563 20 5 CFBDRN Cc1oc(C(C)C)cc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813115609 631846648 /nfs/dbraw/zinc/84/66/48/631846648.db2.gz WFZSECGGQIBPJL-MRVPVSSYSA-N 0 0 255.270 2.533 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000813243313 631890602 /nfs/dbraw/zinc/89/06/02/631890602.db2.gz LURIITLXJFKNDY-BDAKNGLRSA-N 0 0 298.314 2.899 20 5 CFBDRN Cc1ccnc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)n1 ZINC000813245325 631890812 /nfs/dbraw/zinc/89/08/12/631890812.db2.gz PXOXHBRKYWDLEP-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)nn1C ZINC000813242706 631890959 /nfs/dbraw/zinc/89/09/59/631890959.db2.gz VDMFXASRSANVJG-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN COC[C@@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813247124 631891111 /nfs/dbraw/zinc/89/11/11/631891111.db2.gz OHYVUMNYCIWUCL-QMMMGPOBSA-N 0 0 286.715 2.859 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](C)OC ZINC000813291636 631894883 /nfs/dbraw/zinc/89/48/83/631894883.db2.gz XGDPJHUKAJCOPM-VIFPVBQESA-N 0 0 266.297 2.521 20 5 CFBDRN Cc1cc(-c2noc([C@@H](C)NC3CC3)n2)cc([N+](=O)[O-])c1 ZINC000843239114 631910175 /nfs/dbraw/zinc/91/01/75/631910175.db2.gz DHLQGWFPJYOIKA-SECBINFHSA-N 0 0 288.307 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO)c(NCC2=CCCC2)c1 ZINC000843294623 631921160 /nfs/dbraw/zinc/92/11/60/631921160.db2.gz QZYWXYNYOIODTH-UHFFFAOYSA-N 0 0 277.324 2.521 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC[C@H]1CCO[C@H](C)C1 ZINC000843301095 631921899 /nfs/dbraw/zinc/92/18/99/631921899.db2.gz SYASFKDFIJLWDP-MNOVXSKESA-N 0 0 279.340 2.864 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1ncc(Cl)n1C ZINC000843298101 631922252 /nfs/dbraw/zinc/92/22/52/631922252.db2.gz LOWLTGFMRONGMG-UHFFFAOYSA-N 0 0 295.730 2.636 20 5 CFBDRN O=C(NCCCF)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000813486421 631925135 /nfs/dbraw/zinc/92/51/35/631925135.db2.gz BSCSMPDPCYSNCO-UHFFFAOYSA-N 0 0 295.097 2.991 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1-c1nc(CC2CC2)no1 ZINC000843316547 631925962 /nfs/dbraw/zinc/92/59/62/631925962.db2.gz UWXTWAVPDAZZTK-UHFFFAOYSA-N 0 0 288.307 2.663 20 5 CFBDRN CCC/C=C/COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000813910700 631976056 /nfs/dbraw/zinc/97/60/56/631976056.db2.gz WJPKXSFUYKUKSJ-SNAWJCMRSA-N 0 0 264.281 2.690 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C2(F)CC2)cc1[N+](=O)[O-] ZINC000843561314 631987666 /nfs/dbraw/zinc/98/76/66/631987666.db2.gz VXJVTAQXMRLWAU-SECBINFHSA-N 0 0 266.272 2.583 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(Cc2ccccc2)CCC1 ZINC000814033870 631997078 /nfs/dbraw/zinc/99/70/78/631997078.db2.gz FMDIGAVEABWNSN-LBPRGKRZSA-N 0 0 277.320 2.608 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cccc(OC2CCCC2)c1 ZINC000814038040 631997672 /nfs/dbraw/zinc/99/76/72/631997672.db2.gz LFDOCTSWHUDDDQ-NSHDSACASA-N 0 0 293.319 2.830 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(OC(C)(C)C)cc1 ZINC000814037766 631997775 /nfs/dbraw/zinc/99/77/75/631997775.db2.gz GBYABUIRRAADBA-SNVBAGLBSA-N 0 0 281.308 2.686 20 5 CFBDRN CCSCc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814036105 631998008 /nfs/dbraw/zinc/99/80/08/631998008.db2.gz SHAKFXVFMZOZJV-SNVBAGLBSA-N 0 0 283.349 2.762 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc2sccc2s1 ZINC000814036407 631998030 /nfs/dbraw/zinc/99/80/30/631998030.db2.gz XHAAWEMWXLVGMD-LURJTMIESA-N 0 0 271.319 2.785 20 5 CFBDRN Cc1ccc2[nH]cc(CCC(=O)O[C@@H](C)C[N+](=O)[O-])c2c1 ZINC000814041614 631999438 /nfs/dbraw/zinc/99/94/38/631999438.db2.gz QXXAOWKDDJHUGQ-NSHDSACASA-N 0 0 290.319 2.617 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1ccccc1F ZINC000814042963 631999698 /nfs/dbraw/zinc/99/96/98/631999698.db2.gz REIBEWHDNOWNMV-UWVGGRQHSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C[C@@H]1CCCc2ccccc21 ZINC000814045058 632000045 /nfs/dbraw/zinc/00/00/45/632000045.db2.gz MCTTZAOIJRNGFR-YPMHNXCESA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC000814044780 632000562 /nfs/dbraw/zinc/00/05/62/632000562.db2.gz UIPZGBZFURFAJE-FDYHWXHSSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(OC2CCCC2)cc1 ZINC000814048099 632000948 /nfs/dbraw/zinc/00/09/48/632000948.db2.gz QOTNVGSDDOEBCR-NSHDSACASA-N 0 0 293.319 2.830 20 5 CFBDRN C[C@H](CCc1cccc(F)c1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814045177 632001244 /nfs/dbraw/zinc/00/12/44/632001244.db2.gz YKCIJZSTAOCVFX-MNOVXSKESA-N 0 0 283.299 2.603 20 5 CFBDRN Cc1ccc(C(C)C)cc1OCC(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814046179 632001365 /nfs/dbraw/zinc/00/13/65/632001365.db2.gz HBECSAMSVANKGL-GFCCVEGCSA-N 0 0 295.335 2.706 20 5 CFBDRN Cc1ccc(CCCC(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814047363 632001476 /nfs/dbraw/zinc/00/14/76/632001476.db2.gz UFCGSLCNKWUDAK-GFCCVEGCSA-N 0 0 265.309 2.526 20 5 CFBDRN CC[C@H](C(=O)O[C@H](C)C[N+](=O)[O-])c1ccc(F)cc1 ZINC000814048683 632001873 /nfs/dbraw/zinc/00/18/73/632001873.db2.gz MOROIPZFSZMHAB-SKDRFNHKSA-N 0 0 269.272 2.528 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCC1CCC1 ZINC000843764002 632051012 /nfs/dbraw/zinc/05/10/12/632051012.db2.gz FMOZLKQWTWVFKH-UHFFFAOYSA-N 0 0 266.272 2.572 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CC=CCC1 ZINC000843802685 632058630 /nfs/dbraw/zinc/05/86/30/632058630.db2.gz ZYXCEILIWGWRFM-JTQLQIEISA-N 0 0 278.283 2.881 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC[C@H]1C ZINC000843809944 632060524 /nfs/dbraw/zinc/06/05/24/632060524.db2.gz BFZULDSURDWPOX-MRVPVSSYSA-N 0 0 266.272 2.667 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC(C)(C)C1 ZINC000843809601 632060602 /nfs/dbraw/zinc/06/06/02/632060602.db2.gz PLQCOZKYXUDTPS-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN CON1CCC(N(C)c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000840321351 632064782 /nfs/dbraw/zinc/06/47/82/632064782.db2.gz ODFBHDXTLXXSLJ-UHFFFAOYSA-N 0 0 299.758 2.710 20 5 CFBDRN O=C(OCCC1CCOCC1)c1ccc([N+](=O)[O-])cc1F ZINC000814443613 632065045 /nfs/dbraw/zinc/06/50/45/632065045.db2.gz QZWRHRRCKKPAOI-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN CC(C)=CCNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843867109 632069182 /nfs/dbraw/zinc/06/91/82/632069182.db2.gz WPWJURIXPCBYCO-UHFFFAOYSA-N 0 0 266.272 2.738 20 5 CFBDRN CCC1(C)CN(C(=O)c2c(C)c(F)ccc2[N+](=O)[O-])C1 ZINC000843889884 632071631 /nfs/dbraw/zinc/07/16/31/632071631.db2.gz GYUXUSCVPNQQHY-UHFFFAOYSA-N 0 0 280.299 2.914 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000843907757 632076253 /nfs/dbraw/zinc/07/62/53/632076253.db2.gz ZTMGCXQUFVAUJW-SCVCMEIPSA-N 0 0 292.310 2.961 20 5 CFBDRN CCC/C=C\C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000843965602 632085178 /nfs/dbraw/zinc/08/51/78/632085178.db2.gz GWZOHSCVEZQAJB-WAYWQWQTSA-N 0 0 263.297 2.931 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC1(C)C ZINC000843968181 632086085 /nfs/dbraw/zinc/08/60/85/632086085.db2.gz QZBHVYTTYFGCLN-VIFPVBQESA-N 0 0 263.297 2.621 20 5 CFBDRN O=C(CCc1cncs1)OCc1ccccc1[N+](=O)[O-] ZINC000814589186 632096455 /nfs/dbraw/zinc/09/64/55/632096455.db2.gz MSIQBVMGJBSGGK-UHFFFAOYSA-N 0 0 292.316 2.727 20 5 CFBDRN CCON(C(=O)Cc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000844075296 632106791 /nfs/dbraw/zinc/10/67/91/632106791.db2.gz IWGZYVWNDRVZDC-UHFFFAOYSA-N 0 0 280.324 2.634 20 5 CFBDRN CCON(C(=O)CCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000844076192 632107484 /nfs/dbraw/zinc/10/74/84/632107484.db2.gz XQMXBOGGRISGEO-UHFFFAOYSA-N 0 0 280.324 2.716 20 5 CFBDRN CCCONC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000844082825 632111241 /nfs/dbraw/zinc/11/12/41/632111241.db2.gz GEAJVAUFZXBFFY-UHFFFAOYSA-N 0 0 280.324 2.964 20 5 CFBDRN CCON(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000844082364 632111451 /nfs/dbraw/zinc/11/14/51/632111451.db2.gz XIALDCLTOPBGEK-JXMROGBWSA-N 0 0 278.308 2.797 20 5 CFBDRN O=C(COc1cccc(Cl)c1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000814774879 632121609 /nfs/dbraw/zinc/12/16/09/632121609.db2.gz INRFXYDFDJGWQJ-SECBINFHSA-N 0 0 299.710 2.623 20 5 CFBDRN Cc1cnc(COc2cccc(Cl)c2[N+](=O)[O-])nc1 ZINC000814775460 632121826 /nfs/dbraw/zinc/12/18/26/632121826.db2.gz WTPPUHCPIFICRG-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CC1(COc2cccc(Cl)c2[N+](=O)[O-])COC1 ZINC000814774995 632121903 /nfs/dbraw/zinc/12/19/03/632121903.db2.gz MCIVQOHJPIAWTF-UHFFFAOYSA-N 0 0 257.673 2.664 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCc1cccs1 ZINC000844263472 632148040 /nfs/dbraw/zinc/14/80/40/632148040.db2.gz IVJFMJNNXVPZEK-UHFFFAOYSA-N 0 0 277.301 2.942 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CC[C@H](C)O2)c1 ZINC000840326937 632152416 /nfs/dbraw/zinc/15/24/16/632152416.db2.gz NANKIIUVVPRFNR-IUCAKERBSA-N 0 0 284.287 2.722 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC(C)(F)F ZINC000814913798 632162822 /nfs/dbraw/zinc/16/28/22/632162822.db2.gz WBYBMTZTTGEWGH-UHFFFAOYSA-N 0 0 258.224 2.865 20 5 CFBDRN Cc1ccnc(CNc2nc3ccccn3c2[N+](=O)[O-])c1 ZINC000814922378 632166122 /nfs/dbraw/zinc/16/61/22/632166122.db2.gz FZONEJVFFDWKEU-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN COC/C(C)=C\C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000844313509 632166669 /nfs/dbraw/zinc/16/66/69/632166669.db2.gz KSQPODOKDGTYDX-CLFYSBASSA-N 0 0 278.308 2.743 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@]2(O)CCC[C@H]2C)c1 ZINC000814939032 632175181 /nfs/dbraw/zinc/17/51/81/632175181.db2.gz BTKJHFDJRRZNPR-YMTOWFKASA-N 0 0 298.314 2.706 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@]2(O)CCC[C@H]2C)c1 ZINC000814939030 632175342 /nfs/dbraw/zinc/17/53/42/632175342.db2.gz BTKJHFDJRRZNPR-OTYXRUKQSA-N 0 0 298.314 2.706 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000840328416 632193326 /nfs/dbraw/zinc/19/33/26/632193326.db2.gz ZGWXUIGCSHHYFI-PHIMTYICSA-N 0 0 265.313 2.545 20 5 CFBDRN C[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])C2(CCC2)CO1 ZINC000844423462 632205668 /nfs/dbraw/zinc/20/56/68/632205668.db2.gz SUVWPDGZVVWYOG-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000815230143 632234206 /nfs/dbraw/zinc/23/42/06/632234206.db2.gz NHZMYCBCEKFJHY-DTWKUNHWSA-N 0 0 264.281 2.522 20 5 CFBDRN Cc1cccc(C(=O)OCCc2cccnc2)c1[N+](=O)[O-] ZINC000105555977 632236324 /nfs/dbraw/zinc/23/63/24/632236324.db2.gz ZCSNVRTXXHDFCQ-UHFFFAOYSA-N 0 0 286.287 2.698 20 5 CFBDRN CO[C@@H]1CCC[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)C1 ZINC000815697493 632312708 /nfs/dbraw/zinc/31/27/08/632312708.db2.gz CLMZKYSDCDGNFP-GHMZBOCLSA-N 0 0 297.355 2.730 20 5 CFBDRN C[C@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C(C)(F)F ZINC000815697542 632312740 /nfs/dbraw/zinc/31/27/40/632312740.db2.gz FOJLQPKLVPPLLD-SSDOTTSWSA-N 0 0 277.271 2.816 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CCc1cncs1 ZINC000815914157 632332160 /nfs/dbraw/zinc/33/21/60/632332160.db2.gz PYOMPYHTNKHDJA-UHFFFAOYSA-N 0 0 292.316 2.898 20 5 CFBDRN CCc1ccc(OC(=O)c2nc(C)oc2C)c([N+](=O)[O-])c1 ZINC000815952459 632339700 /nfs/dbraw/zinc/33/97/00/632339700.db2.gz LWOGKPNVHCKDBT-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN COc1ccc2ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc2c1 ZINC000816091066 632369986 /nfs/dbraw/zinc/36/99/86/632369986.db2.gz JJXINFMRCXYSLF-SNVBAGLBSA-N 0 0 289.287 2.670 20 5 CFBDRN CC[C@H](CC(=O)OCCn1c(C)ncc1[N+](=O)[O-])C(C)C ZINC000105760603 632370490 /nfs/dbraw/zinc/37/04/90/632370490.db2.gz NKUKZADNXMYTHR-GFCCVEGCSA-N 0 0 297.355 2.715 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C(F)=C\C1CCCCC1 ZINC000816097049 632371509 /nfs/dbraw/zinc/37/15/09/632371509.db2.gz SPNUAUBNFHSPDI-FKVCUQLRSA-N 0 0 259.277 2.629 20 5 CFBDRN CCC1(COC(=O)c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000816187304 632391529 /nfs/dbraw/zinc/39/15/29/632391529.db2.gz KWYUEBGTCGWNPE-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN C[C@H](COC(=O)/C=C\C1CCCCCC1)[N+](=O)[O-] ZINC000845577611 632468411 /nfs/dbraw/zinc/46/84/11/632468411.db2.gz XTRTZUQLUWFXJC-TYBABMIJSA-N 0 0 255.314 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(C3(O)CC3)CC2)c(Cl)c1 ZINC000845605321 632479989 /nfs/dbraw/zinc/47/99/89/632479989.db2.gz KCFVMDMEOMCXPU-UHFFFAOYSA-N 0 0 296.754 2.990 20 5 CFBDRN COC(=O)[C@@H](CNc1cccc(F)c1[N+](=O)[O-])CC1CC1 ZINC000845679433 632515273 /nfs/dbraw/zinc/51/52/73/632515273.db2.gz ROALHHPNMUNQRZ-SNVBAGLBSA-N 0 0 296.298 2.735 20 5 CFBDRN Nc1ccc(N2CCC3(CC3(F)F)CC2)c([N+](=O)[O-])c1 ZINC000845699389 632525999 /nfs/dbraw/zinc/52/59/99/632525999.db2.gz GLBJJQDUHCFWQG-UHFFFAOYSA-N 0 0 283.278 2.803 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@]2(CC2(F)F)C1 ZINC000845771159 632560175 /nfs/dbraw/zinc/56/01/75/632560175.db2.gz PEFJJWAHFPCASP-CYBMUJFWSA-N 0 0 298.289 2.977 20 5 CFBDRN CC[C@H]1CN(c2ccc(N)cc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000817124426 632561227 /nfs/dbraw/zinc/56/12/27/632561227.db2.gz NCRFAEWIMUJUGC-RYUDHWBXSA-N 0 0 279.340 2.571 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1N1CCCCCCCC1 ZINC000817122172 632561264 /nfs/dbraw/zinc/56/12/64/632561264.db2.gz MURLDDXVNIYNJU-UHFFFAOYSA-N 0 0 291.351 2.854 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CC12CC2 ZINC000817233097 632577734 /nfs/dbraw/zinc/57/77/34/632577734.db2.gz QOEDXNJHSCHIKG-VIFPVBQESA-N 0 0 283.230 2.716 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817239105 632580535 /nfs/dbraw/zinc/58/05/35/632580535.db2.gz PLMIOIXGKISADN-NSHDSACASA-N 0 0 281.308 2.793 20 5 CFBDRN COCCCCOC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817239361 632581482 /nfs/dbraw/zinc/58/14/82/632581482.db2.gz QROXHCCBUNXGDU-UHFFFAOYSA-N 0 0 281.308 2.795 20 5 CFBDRN CC(C)OCC(=O)O[C@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845878236 632590445 /nfs/dbraw/zinc/59/04/45/632590445.db2.gz VLUJQWZJXFCLCD-YGRLFVJLSA-N 0 0 299.298 2.500 20 5 CFBDRN CC(C)(CCOC(=O)CCn1cc([N+](=O)[O-])cn1)C1CC1 ZINC000845888878 632592451 /nfs/dbraw/zinc/59/24/51/632592451.db2.gz SSAAEIDXHLKDSP-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN Cc1nn(C)cc1NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817438207 632634417 /nfs/dbraw/zinc/63/44/17/632634417.db2.gz ZBWYKEKWWKGFNN-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN C[C@]1(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)CC1(F)F ZINC000817442284 632636031 /nfs/dbraw/zinc/63/60/31/632636031.db2.gz VBTLSQCJYGZUME-CYBMUJFWSA-N 0 0 296.273 2.525 20 5 CFBDRN O=C(NOCCC1CC1)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000817446394 632637290 /nfs/dbraw/zinc/63/72/90/632637290.db2.gz NIRYSNIGBZAQNT-UHFFFAOYSA-N 0 0 289.291 2.538 20 5 CFBDRN CO[C@H](C)CCOC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817721217 632692707 /nfs/dbraw/zinc/69/27/07/632692707.db2.gz YSRDPIYRDXFXAM-LLVKDONJSA-N 0 0 281.308 2.793 20 5 CFBDRN CO[C@@H](C)CCOC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817721218 632693013 /nfs/dbraw/zinc/69/30/13/632693013.db2.gz YSRDPIYRDXFXAM-NSHDSACASA-N 0 0 281.308 2.793 20 5 CFBDRN COC[C@H](C)COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817728965 632695845 /nfs/dbraw/zinc/69/58/45/632695845.db2.gz ZORANSCOJRMKBL-VIFPVBQESA-N 0 0 281.308 2.651 20 5 CFBDRN CO[C@H](COC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C1CC1 ZINC000817737978 632699345 /nfs/dbraw/zinc/69/93/45/632699345.db2.gz IQQPULHZCMRSQS-CQSZACIVSA-N 0 0 293.319 2.793 20 5 CFBDRN C[C@@H](COC(=O)C1(c2c(F)cccc2F)CCC1)[N+](=O)[O-] ZINC000817738818 632699381 /nfs/dbraw/zinc/69/93/81/632699381.db2.gz CGQSNLSGBAUDAJ-VIFPVBQESA-N 0 0 299.273 2.595 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000846631994 632763955 /nfs/dbraw/zinc/76/39/55/632763955.db2.gz XNAHSBSPECEWHD-VIFPVBQESA-N 0 0 284.262 2.616 20 5 CFBDRN COCC[C@H](C)CNc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000840358113 632831673 /nfs/dbraw/zinc/83/16/73/632831673.db2.gz MSZHZMFWEKMKOB-JTQLQIEISA-N 0 0 298.339 2.697 20 5 CFBDRN Cc1noc([C@@H](C)N(C)Cc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000847056350 632905704 /nfs/dbraw/zinc/90/57/04/632905704.db2.gz HRJCAHMHBFVASE-MRVPVSSYSA-N 0 0 294.286 2.618 20 5 CFBDRN COc1ccc(CNC(=O)C(F)=C2CCC2)cc1[N+](=O)[O-] ZINC000819142631 632983609 /nfs/dbraw/zinc/98/36/09/632983609.db2.gz SETVLVWDZBPIKR-UHFFFAOYSA-N 0 0 294.282 2.627 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)C(F)=C1CCC1 ZINC000819184448 633003667 /nfs/dbraw/zinc/00/36/67/633003667.db2.gz ONBQHVNHDWEDNY-UHFFFAOYSA-N 0 0 264.256 2.619 20 5 CFBDRN O=C(C(F)=C1CCC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000819300069 633061537 /nfs/dbraw/zinc/06/15/37/633061537.db2.gz HRJHDGJUDGMAFL-UHFFFAOYSA-N 0 0 276.267 2.891 20 5 CFBDRN CC(C)CC1(C(=O)OCc2cn(C)nc2[N+](=O)[O-])CCC1 ZINC000847784363 633075933 /nfs/dbraw/zinc/07/59/33/633075933.db2.gz TXMCNBAHGISNBZ-UHFFFAOYSA-N 0 0 295.339 2.588 20 5 CFBDRN CCCC(C)(C)CC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847785665 633076086 /nfs/dbraw/zinc/07/60/86/633076086.db2.gz VTMGYFFRGKJTFF-UHFFFAOYSA-N 0 0 283.328 2.588 20 5 CFBDRN C[C@H]1CCCC[C@H]1CC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847782837 633076294 /nfs/dbraw/zinc/07/62/94/633076294.db2.gz XHXKWYDSCWTTHT-QWRGUYRKSA-N 0 0 295.339 2.588 20 5 CFBDRN CCCCCC[C@H](C)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847782680 633076356 /nfs/dbraw/zinc/07/63/56/633076356.db2.gz IKEVHSLFUGYYBP-NSHDSACASA-N 0 0 297.355 2.978 20 5 CFBDRN O=C(OC[C@@H]1CCc2cccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000847834053 633102747 /nfs/dbraw/zinc/10/27/47/633102747.db2.gz IWHPXUXAAFTQBD-ZDUSSCGKSA-N 0 0 298.298 2.877 20 5 CFBDRN COC[C@@H](C)CCOC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000847871139 633120610 /nfs/dbraw/zinc/12/06/10/633120610.db2.gz NWCSZHVAUKSWQJ-JTQLQIEISA-N 0 0 281.308 2.733 20 5 CFBDRN COC[C@H](C)CCOC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000847871140 633120673 /nfs/dbraw/zinc/12/06/73/633120673.db2.gz NWCSZHVAUKSWQJ-SNVBAGLBSA-N 0 0 281.308 2.733 20 5 CFBDRN COC[C@@H](C)CCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000847877350 633124266 /nfs/dbraw/zinc/12/42/66/633124266.db2.gz SBTNTESWPPHIKP-RYUDHWBXSA-N 0 0 295.335 2.914 20 5 CFBDRN CC(C)(CNc1cc(N)ccc1[N+](=O)[O-])c1cccnc1 ZINC000840385694 633173215 /nfs/dbraw/zinc/17/32/15/633173215.db2.gz SQQHUTQLHNOGOK-UHFFFAOYSA-N 0 0 286.335 2.962 20 5 CFBDRN CCCCCCOCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000107457349 633221425 /nfs/dbraw/zinc/22/14/25/633221425.db2.gz DWWYTDZLNUURHV-UHFFFAOYSA-N 0 0 294.351 2.808 20 5 CFBDRN CCN1C[C@@H](CSc2cccc([N+](=O)[O-])c2)OC1=O ZINC000848434698 633259350 /nfs/dbraw/zinc/25/93/50/633259350.db2.gz MHRFRLZBSFFTTR-JTQLQIEISA-N 0 0 282.321 2.528 20 5 CFBDRN C[C@H](N[C@@H]1CCCn2ccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000848551546 633286709 /nfs/dbraw/zinc/28/67/09/633286709.db2.gz PNXISQRMLOYJHQ-SMDDNHRTSA-N 0 0 286.335 2.977 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000848664656 633313277 /nfs/dbraw/zinc/31/32/77/633313277.db2.gz ZEYMHELAMZJHGE-LBPRGKRZSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1nc(COC(=O)c2cc([N+](=O)[O-])c(C)cc2C)co1 ZINC000848766745 633339351 /nfs/dbraw/zinc/33/93/51/633339351.db2.gz WVPFQCJWEZZEAD-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1ncccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000108151494 633469371 /nfs/dbraw/zinc/46/93/71/633469371.db2.gz YJUBTSUMIOYGOD-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CCC(CC)CNc1cc([N+](=O)[O-])ccc1NCCO ZINC000849311269 633612711 /nfs/dbraw/zinc/61/27/11/633612711.db2.gz GKRZATARPYKFFG-UHFFFAOYSA-N 0 0 281.356 2.847 20 5 CFBDRN C[C@H](N[C@@H]1C[C@@H](C)n2ncnc21)c1ccccc1[N+](=O)[O-] ZINC000849322664 633617005 /nfs/dbraw/zinc/61/70/05/633617005.db2.gz SQYATZSDEALARG-JFGNBEQYSA-N 0 0 287.323 2.543 20 5 CFBDRN CO[C@@H](COC(=O)c1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000849627744 633645795 /nfs/dbraw/zinc/64/57/95/633645795.db2.gz IIEXSJOPNVSZCJ-AWEZNQCLSA-N 0 0 293.319 2.957 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])c(C)c1)C1CCC1 ZINC000849632701 633648740 /nfs/dbraw/zinc/64/87/40/633648740.db2.gz KKPHJJXYBIDDSV-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN CC1(C)C[C@H](OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CO1 ZINC000849634914 633650425 /nfs/dbraw/zinc/65/04/25/633650425.db2.gz XLBGDTVIAYKCNE-VIFPVBQESA-N 0 0 299.710 2.973 20 5 CFBDRN CC1(C)C[C@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000849671391 633665907 /nfs/dbraw/zinc/66/59/07/633665907.db2.gz JJQFACKBCOMIGL-VIFPVBQESA-N 0 0 299.710 2.973 20 5 CFBDRN CCO[C@@H](CC)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849712781 633684639 /nfs/dbraw/zinc/68/46/39/633684639.db2.gz IWHYZCOSVAQTEP-LBPRGKRZSA-N 0 0 285.271 2.592 20 5 CFBDRN CCCCO[C@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849714089 633685490 /nfs/dbraw/zinc/68/54/90/633685490.db2.gz HSVABMRSAHRMTN-SNVBAGLBSA-N 0 0 299.298 2.982 20 5 CFBDRN CC(C)(C)C[C@@H](O)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849746394 633699605 /nfs/dbraw/zinc/69/96/05/633699605.db2.gz NIOWZUULPBHNHS-GFCCVEGCSA-N 0 0 299.298 2.574 20 5 CFBDRN CC(C)O[C@]1(COc2cc(F)ccc2[N+](=O)[O-])CCOC1 ZINC000849857428 633744808 /nfs/dbraw/zinc/74/48/08/633744808.db2.gz MVDILDXJXZAXHQ-CQSZACIVSA-N 0 0 299.298 2.697 20 5 CFBDRN Cc1cc(OC[C@@H]2CCC(=O)O2)c(Cl)cc1[N+](=O)[O-] ZINC000849897732 633757483 /nfs/dbraw/zinc/75/74/83/633757483.db2.gz PJGKOAAPEKYUEW-QMMMGPOBSA-N 0 0 285.683 2.641 20 5 CFBDRN O=[N+]([O-])c1cc(OCCCCCCCO)cc([N+](=O)[O-])c1 ZINC000849956060 633775188 /nfs/dbraw/zinc/77/51/88/633775188.db2.gz DQGAJAITQZIVKK-UHFFFAOYSA-N 0 0 298.295 2.825 20 5 CFBDRN O=[N+]([O-])c1cn([C@H]2C=CCCC2)c(Br)n1 ZINC000849991444 633786176 /nfs/dbraw/zinc/78/61/76/633786176.db2.gz KQUYGNNEDFDUBW-ZETCQYMHSA-N 0 0 272.102 2.835 20 5 CFBDRN CO[C@@H](C(=O)OCc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000850037619 633798563 /nfs/dbraw/zinc/79/85/63/633798563.db2.gz KTANPMPTGFRAFU-CQSZACIVSA-N 0 0 293.319 2.843 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000850037557 633799106 /nfs/dbraw/zinc/79/91/06/633799106.db2.gz KGPACOYLZUIZML-CQSZACIVSA-N 0 0 293.319 2.843 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000850104463 633841838 /nfs/dbraw/zinc/84/18/38/633841838.db2.gz PQPMHDCMZQULSM-DGCLKSJQSA-N 0 0 293.319 2.842 20 5 CFBDRN CO[C@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])C1CCC1 ZINC000850176020 633872150 /nfs/dbraw/zinc/87/21/50/633872150.db2.gz RVNLXTKAJRBRNK-ZDUSSCGKSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000850766539 634082937 /nfs/dbraw/zinc/08/29/37/634082937.db2.gz MQCJUWDMWIYQHZ-URLYPYJESA-N 0 0 261.277 2.855 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000850795596 634097178 /nfs/dbraw/zinc/09/71/78/634097178.db2.gz DEHWJUGQXAYWEH-WPRPVWTQSA-N 0 0 299.710 2.969 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000850908936 634134642 /nfs/dbraw/zinc/13/46/42/634134642.db2.gz FTTDKZYSZXHMTH-WDEREUQCSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000851053878 634197963 /nfs/dbraw/zinc/19/79/63/634197963.db2.gz LVQJKJLISLMCRS-CHWSQXEVSA-N 0 0 293.319 2.762 20 5 CFBDRN Cc1ccc(CCC(=O)OCC(C)(C)[N+](=O)[O-])c(C)c1 ZINC000851123827 634234309 /nfs/dbraw/zinc/23/43/09/634234309.db2.gz XIFIXNXCLFDKTR-UHFFFAOYSA-N 0 0 279.336 2.835 20 5 CFBDRN CC(C)(COC(=O)Cc1coc2cc(F)ccc12)[N+](=O)[O-] ZINC000851125330 634235528 /nfs/dbraw/zinc/23/55/28/634235528.db2.gz BYYCVWWBFUVUFF-UHFFFAOYSA-N 0 0 295.266 2.713 20 5 CFBDRN C[C@H](CC1CCCC1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125351 634235950 /nfs/dbraw/zinc/23/59/50/634235950.db2.gz ALJPGSIKJMBMBG-SNVBAGLBSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](Cc1cccc(F)c1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851127033 634236827 /nfs/dbraw/zinc/23/68/27/634236827.db2.gz XFLPWUDPEHWMMC-SNVBAGLBSA-N 0 0 283.299 2.603 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CCCC(C)(C)C1)[N+](=O)[O-] ZINC000851126962 634236897 /nfs/dbraw/zinc/23/68/97/634236897.db2.gz SFLLVLCUQQLZKX-JTQLQIEISA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)(Cc1ccccc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851127632 634237266 /nfs/dbraw/zinc/23/72/66/634237266.db2.gz ZNGSACZDXHWYEH-UHFFFAOYSA-N 0 0 279.336 2.854 20 5 CFBDRN CC(C)(COC(=O)c1coc2ccccc12)[N+](=O)[O-] ZINC000851127642 634237491 /nfs/dbraw/zinc/23/74/91/634237491.db2.gz ZWFZMGXLODJEFN-UHFFFAOYSA-N 0 0 263.249 2.645 20 5 CFBDRN CC(C)(COC(=O)[C@H](c1ccccc1)C1CC1)[N+](=O)[O-] ZINC000851127670 634237498 /nfs/dbraw/zinc/23/74/98/634237498.db2.gz RJGAHCFDJCSEGB-CYBMUJFWSA-N 0 0 277.320 2.779 20 5 CFBDRN CC(C)(COC(=O)C12CC(c3ccccc3)(C1)C2)[N+](=O)[O-] ZINC000851128143 634237714 /nfs/dbraw/zinc/23/77/14/634237714.db2.gz JZVSIMIULQVTLS-UHFFFAOYSA-N 0 0 289.331 2.707 20 5 CFBDRN C[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1c(F)cccc1F ZINC000851127654 634237912 /nfs/dbraw/zinc/23/79/12/634237912.db2.gz QXVNKAYMRQUWRV-MRVPVSSYSA-N 0 0 287.262 2.667 20 5 CFBDRN CC(C)(COC(=O)C1CCC(C2CC2)CC1)[N+](=O)[O-] ZINC000851129221 634238789 /nfs/dbraw/zinc/23/87/89/634238789.db2.gz FUYFBBMABFXDIA-UHFFFAOYSA-N 0 0 269.341 2.801 20 5 CFBDRN Cc1ccnc2ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc12 ZINC000851129702 634238891 /nfs/dbraw/zinc/23/88/91/634238891.db2.gz OSMDBKFSVPIKBU-UHFFFAOYSA-N 0 0 288.303 2.755 20 5 CFBDRN CCC[C@@H]1CCC[C@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851130304 634239703 /nfs/dbraw/zinc/23/97/03/634239703.db2.gz ZRUKAVDZLNUUOM-GHMZBOCLSA-N 0 0 257.330 2.801 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])n1C)C1CCCC1 ZINC000851139291 634242579 /nfs/dbraw/zinc/24/25/79/634242579.db2.gz VNUUDXMQJYFNCZ-VIFPVBQESA-N 0 0 266.297 2.669 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000851225136 634278005 /nfs/dbraw/zinc/27/80/05/634278005.db2.gz CPJUYYPKJPKQFB-JGPRNRPPSA-N 0 0 276.292 2.501 20 5 CFBDRN Cc1noc(CSC2=N[C@H](C)CS2)c1[N+](=O)[O-] ZINC000853019329 634877826 /nfs/dbraw/zinc/87/78/26/634877826.db2.gz OEEAZNXKJGTVNN-RXMQYKEDSA-N 0 0 273.339 2.616 20 5 CFBDRN Cc1noc(CNc2cc([N+](=O)[O-])ccc2C)c1[N+](=O)[O-] ZINC000853054719 634891181 /nfs/dbraw/zinc/89/11/81/634891181.db2.gz WELJJLSAAWJQLB-UHFFFAOYSA-N 0 0 292.251 2.720 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)c(C)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000853312911 634965633 /nfs/dbraw/zinc/96/56/33/634965633.db2.gz AEFBYFJJWOCIQI-RYUDHWBXSA-N 0 0 294.351 2.916 20 5 CFBDRN COc1cc(N2CCCOC(C)(C)C2)c([N+](=O)[O-])cc1C ZINC000853346659 634978137 /nfs/dbraw/zinc/97/81/37/634978137.db2.gz IHRMEIBHKUPLKN-UHFFFAOYSA-N 0 0 294.351 2.917 20 5 CFBDRN CC1(CCCCOc2cccc([N+](=O)[O-])c2)OCCO1 ZINC000853635757 635055335 /nfs/dbraw/zinc/05/53/35/635055335.db2.gz HGXYOUJWKYQFSR-UHFFFAOYSA-N 0 0 281.308 2.907 20 5 CFBDRN NC(=NOCc1ccc(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000853655065 635062845 /nfs/dbraw/zinc/06/28/45/635062845.db2.gz RRILVPPYDSXLGL-UHFFFAOYSA-N 0 0 281.287 2.923 20 5 CFBDRN CC1=NO[C@@H](CNc2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000853660498 635065201 /nfs/dbraw/zinc/06/52/01/635065201.db2.gz AMNTUEXPVSWNMM-SNVBAGLBSA-N 0 0 286.291 2.720 20 5 CFBDRN CO[C@H](COc1ccc(N)c([N+](=O)[O-])c1)C1CCCC1 ZINC000853663071 635066719 /nfs/dbraw/zinc/06/67/19/635066719.db2.gz QWEZISLZZOXHDA-CQSZACIVSA-N 0 0 280.324 2.761 20 5 CFBDRN Cc1noc(COc2ccc([C@H](C)O)cc2)c1[N+](=O)[O-] ZINC000853717275 635083538 /nfs/dbraw/zinc/08/35/38/635083538.db2.gz XTNMTPXLXAJQBM-VIFPVBQESA-N 0 0 278.264 2.524 20 5 CFBDRN O=[N+]([O-])c1cc(OCCC2CCOCC2)cc([N+](=O)[O-])c1 ZINC000853739572 635090000 /nfs/dbraw/zinc/09/00/00/635090000.db2.gz MAFQQYPATAUICS-UHFFFAOYSA-N 0 0 296.279 2.699 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCO[C@@](C)(C2CC2)C1 ZINC000853765196 635098870 /nfs/dbraw/zinc/09/88/70/635098870.db2.gz AAHUAFZHPOPPJR-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000853800987 635111619 /nfs/dbraw/zinc/11/16/19/635111619.db2.gz JTWWHCWEZRSHSO-LBPRGKRZSA-N 0 0 289.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N[C@@H]1COC2(CCC2)C1 ZINC000853828175 635120372 /nfs/dbraw/zinc/12/03/72/635120372.db2.gz IYHJGPJXXWGNFU-VIFPVBQESA-N 0 0 293.279 2.627 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H](O)c3ccccc32)s1 ZINC000853839508 635124852 /nfs/dbraw/zinc/12/48/52/635124852.db2.gz NRFJCNCUWLONGD-UWVGGRQHSA-N 0 0 277.305 2.642 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H](O)c3ccccc32)s1 ZINC000853839505 635124897 /nfs/dbraw/zinc/12/48/97/635124897.db2.gz NRFJCNCUWLONGD-NXEZZACHSA-N 0 0 277.305 2.642 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@]12OCCC[C@H]1C2(F)F ZINC000853847732 635129661 /nfs/dbraw/zinc/12/96/61/635129661.db2.gz BHDOUYOKJHYNRE-NEPJUHHUSA-N 0 0 284.262 2.821 20 5 CFBDRN Cc1ccnc(NC[C@]23OCCC[C@H]2C3(F)F)c1[N+](=O)[O-] ZINC000853847672 635130301 /nfs/dbraw/zinc/13/03/01/635130301.db2.gz YXWVODAMORSHLS-SKDRFNHKSA-N 0 0 299.277 2.524 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])O1 ZINC000853848658 635131108 /nfs/dbraw/zinc/13/11/08/635131108.db2.gz AOQLBVULLMGFKV-UWVGGRQHSA-N 0 0 295.295 2.873 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])O1 ZINC000853848638 635131350 /nfs/dbraw/zinc/13/13/50/635131350.db2.gz ABAGVLXOGIVJNT-ONGXEEELSA-N 0 0 295.295 2.873 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2cc(N)ccc2[N+](=O)[O-])O1 ZINC000853850871 635132691 /nfs/dbraw/zinc/13/26/91/635132691.db2.gz XBZRIFCALJEJTA-GXSJLCMTSA-N 0 0 265.313 2.547 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2cc(N)ccc2[N+](=O)[O-])O1 ZINC000853850874 635133025 /nfs/dbraw/zinc/13/30/25/635133025.db2.gz XBZRIFCALJEJTA-MWLCHTKSSA-N 0 0 265.313 2.547 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H](CO)CC1CC1 ZINC000853868377 635140577 /nfs/dbraw/zinc/14/05/77/635140577.db2.gz JSKGSOQVLJCUMH-LBPRGKRZSA-N 0 0 292.335 2.618 20 5 CFBDRN Cc1ccsc1C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853883359 635145229 /nfs/dbraw/zinc/14/52/29/635145229.db2.gz IUSFTCNKWJRGBN-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN Cc1noc(COC(=O)CCC2CCC2)c1[N+](=O)[O-] ZINC000853901928 635152868 /nfs/dbraw/zinc/15/28/68/635152868.db2.gz BADXCYFOWZAOHD-UHFFFAOYSA-N 0 0 268.269 2.515 20 5 CFBDRN CCSC1(CNc2nc3ccccn3c2[N+](=O)[O-])CC1 ZINC000853904063 635153760 /nfs/dbraw/zinc/15/37/60/635153760.db2.gz QGAWGVCQONJFGL-UHFFFAOYSA-N 0 0 292.364 2.940 20 5 CFBDRN CC1(C)[C@H](CNc2cc(N)ccc2[N+](=O)[O-])C1(F)F ZINC000853904560 635154918 /nfs/dbraw/zinc/15/49/18/635154918.db2.gz SCOHKOILGLDTPJ-JTQLQIEISA-N 0 0 271.267 2.880 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853920761 635164712 /nfs/dbraw/zinc/16/47/12/635164712.db2.gz GRDDETBXVLCBBG-ZJUUUORDSA-N 0 0 282.296 2.761 20 5 CFBDRN CCCC(C)(C)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853924347 635167017 /nfs/dbraw/zinc/16/70/17/635167017.db2.gz NOKRFWSLCDZGKH-UHFFFAOYSA-N 0 0 270.285 2.761 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)CC2=CCSC2)c1 ZINC000853980499 635182861 /nfs/dbraw/zinc/18/28/61/635182861.db2.gz CZCIAHIZENRUCX-UHFFFAOYSA-N 0 0 298.339 2.852 20 5 CFBDRN Cc1nnc(CN(C)c2ccc([N+](=O)[O-])cc2F)s1 ZINC000853979955 635183155 /nfs/dbraw/zinc/18/31/55/635183155.db2.gz BKXMUUVDYXOFGA-UHFFFAOYSA-N 0 0 282.300 2.530 20 5 CFBDRN C[C@]1(C(F)F)CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000853997463 635187791 /nfs/dbraw/zinc/18/77/91/635187791.db2.gz KXGQPWQZMROZFK-GFCCVEGCSA-N 0 0 290.241 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1C=CCC1 ZINC000854160813 635235515 /nfs/dbraw/zinc/23/55/15/635235515.db2.gz LASOGQIOKWDJCZ-JTQLQIEISA-N 0 0 261.281 2.743 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000111975919 635239628 /nfs/dbraw/zinc/23/96/28/635239628.db2.gz UFXHXXROHPWYHW-CHWSQXEVSA-N 0 0 279.292 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ccc3c(c2)OCCC[C@H]3O)cn1 ZINC000854189285 635249230 /nfs/dbraw/zinc/24/92/30/635249230.db2.gz KEXVXPFEZAMNNE-CYBMUJFWSA-N 0 0 286.287 2.863 20 5 CFBDRN C[C@@H]1CCC[C@@]1(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854494433 635316468 /nfs/dbraw/zinc/31/64/68/635316468.db2.gz BWIISWCOVVLLRM-YMTOWFKASA-N 0 0 277.324 2.942 20 5 CFBDRN CS[C@H](C)CCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496276 635317676 /nfs/dbraw/zinc/31/76/76/635317676.db2.gz CXXFVTFJMNOUEM-MRVPVSSYSA-N 0 0 283.353 2.647 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000854496930 635317920 /nfs/dbraw/zinc/31/79/20/635317920.db2.gz UTKCPMMDLQVGQP-JMJZKYOTSA-N 0 0 275.308 2.552 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497608 635318225 /nfs/dbraw/zinc/31/82/25/635318225.db2.gz RXWTUMMDSIAPEC-YGRLFVJLSA-N 0 0 277.324 2.798 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854498115 635318524 /nfs/dbraw/zinc/31/85/24/635318524.db2.gz KWPVFCDCHUKCQW-SECBINFHSA-N 0 0 263.297 2.552 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497606 635318566 /nfs/dbraw/zinc/31/85/66/635318566.db2.gz RXWTUMMDSIAPEC-IINYFYTJSA-N 0 0 277.324 2.798 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497607 635318843 /nfs/dbraw/zinc/31/88/43/635318843.db2.gz RXWTUMMDSIAPEC-QMTHXVAHSA-N 0 0 277.324 2.798 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CC1(O)CCCC1 ZINC000854511605 635321354 /nfs/dbraw/zinc/32/13/54/635321354.db2.gz XFWNDVIZGGODGC-UHFFFAOYSA-N 0 0 292.335 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCC(=O)C1 ZINC000854509880 635321792 /nfs/dbraw/zinc/32/17/92/635321792.db2.gz CBIMIGYYBCVUSS-SNVBAGLBSA-N 0 0 276.292 2.519 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCC=CO1 ZINC000854510853 635321889 /nfs/dbraw/zinc/32/18/89/635321889.db2.gz MSJHKHKUTPKCJV-LBPRGKRZSA-N 0 0 276.292 2.843 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@@H]1C1CC1 ZINC000854523648 635327035 /nfs/dbraw/zinc/32/70/35/635327035.db2.gz WIJNHXUVZGROGM-CYBMUJFWSA-N 0 0 292.310 2.995 20 5 CFBDRN CCOC1(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000854678271 635363678 /nfs/dbraw/zinc/36/36/78/635363678.db2.gz BZZGMXMIDPINDB-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(Cl)nn1 ZINC000112811262 635428050 /nfs/dbraw/zinc/42/80/50/635428050.db2.gz ANYXKXKGZLSPOK-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000855388102 635527850 /nfs/dbraw/zinc/52/78/50/635527850.db2.gz ORKRRZKPZYBDHN-SECBINFHSA-N 0 0 279.340 2.651 20 5 CFBDRN CC[C@H](C)CCNC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000855389129 635528526 /nfs/dbraw/zinc/52/85/26/635528526.db2.gz XRQPVXYGCPTIFB-JTQLQIEISA-N 0 0 298.314 2.665 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2C=CCC2)c1[N+](=O)[O-] ZINC000855430282 635542903 /nfs/dbraw/zinc/54/29/03/635542903.db2.gz CJSRSCOBODKFOI-SECBINFHSA-N 0 0 278.333 2.765 20 5 CFBDRN Cc1occc1COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000113678431 635648140 /nfs/dbraw/zinc/64/81/40/635648140.db2.gz WKCNWEFZXTVOCJ-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@@H]3C[C@@H]3C2)c1[N+](=O)[O-] ZINC000856062104 635712637 /nfs/dbraw/zinc/71/26/37/635712637.db2.gz IEORTLAYSQXDGM-NXEZZACHSA-N 0 0 278.283 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CC3CC2(C)C3)c1F ZINC000856512516 635830743 /nfs/dbraw/zinc/83/07/43/635830743.db2.gz JGZARCZKAWXRPN-UHFFFAOYSA-N 0 0 296.273 2.806 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCC[C@@H]1CC1(F)F ZINC000856779296 635858383 /nfs/dbraw/zinc/85/83/83/635858383.db2.gz VRIBQFILLHJZOV-SSDOTTSWSA-N 0 0 287.222 2.960 20 5 CFBDRN C[C@@H](CC(=O)Nc1ccc(F)c([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000857571602 635985509 /nfs/dbraw/zinc/98/55/09/635985509.db2.gz JOFYTBRBHISLNL-ZANVPECISA-N 0 0 296.298 2.878 20 5 CFBDRN CN(C(=O)C1CC2(CC2(F)F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000857571765 635985718 /nfs/dbraw/zinc/98/57/18/635985718.db2.gz HQBUDPFGOAOVLH-UHFFFAOYSA-N 0 0 296.273 2.993 20 5 CFBDRN O=C(CCCF)Nc1cccc([N+](=O)[O-])c1Cl ZINC000857617509 635995367 /nfs/dbraw/zinc/99/53/67/635995367.db2.gz HYSQUKOCKCRRQN-UHFFFAOYSA-N 0 0 260.652 2.936 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)Oc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000857635957 635999740 /nfs/dbraw/zinc/99/97/40/635999740.db2.gz BYLVNJLNIRRLJH-BDAKNGLRSA-N 0 0 294.263 2.845 20 5 CFBDRN Cc1ccc(O[C@@H]2CCN(c3ccccc3[N+](=O)[O-])C2)nc1 ZINC000858009527 636114047 /nfs/dbraw/zinc/11/40/47/636114047.db2.gz WLKYBBDDEYMYGA-CYBMUJFWSA-N 0 0 299.330 2.956 20 5 CFBDRN CCc1ccc(OC(=O)c2cscn2)c([N+](=O)[O-])c1 ZINC000115926846 636153281 /nfs/dbraw/zinc/15/32/81/636153281.db2.gz IERFDQZZMOOZBX-UHFFFAOYSA-N 0 0 278.289 2.833 20 5 CFBDRN COc1ccnc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)c1 ZINC000115995769 636170671 /nfs/dbraw/zinc/17/06/71/636170671.db2.gz VXVUGYSWGLUBPG-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN Cc1cc(NCC(F)(F)Br)ncc1[N+](=O)[O-] ZINC000858645679 636315568 /nfs/dbraw/zinc/31/55/68/636315568.db2.gz SACSZOSXJCPXGK-UHFFFAOYSA-N 0 0 296.071 2.698 20 5 CFBDRN CCC[C@@H](CNc1ccc(N)cc1[N+](=O)[O-])OCC ZINC000858656670 636317999 /nfs/dbraw/zinc/31/79/99/636317999.db2.gz MOKNLIMNMAWMQS-NSHDSACASA-N 0 0 267.329 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CC=CC2)c([N+](=O)[O-])c1 ZINC000858681193 636323002 /nfs/dbraw/zinc/32/30/02/636323002.db2.gz FTQAFDRVXFGRMO-UHFFFAOYSA-N 0 0 263.253 2.881 20 5 CFBDRN CC(C)CC[C@H](CO)Nc1cccc(F)c1[N+](=O)[O-] ZINC000858708790 636328741 /nfs/dbraw/zinc/32/87/41/636328741.db2.gz YSASZRIEXQULOY-SNVBAGLBSA-N 0 0 270.304 2.943 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC2(C1)CCCO2 ZINC000858722733 636332865 /nfs/dbraw/zinc/33/28/65/636332865.db2.gz TZQDMWKCAFYMPE-UHFFFAOYSA-N 0 0 282.727 2.926 20 5 CFBDRN CCO[C@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CC1 ZINC000858773794 636343014 /nfs/dbraw/zinc/34/30/14/636343014.db2.gz LZIYAKJYVPXDSL-NSHDSACASA-N 0 0 283.328 2.545 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1c1ccc([N+](=O)[O-])c2nonc21 ZINC000858777462 636344348 /nfs/dbraw/zinc/34/43/48/636344348.db2.gz NNSLVADQMKTCTI-SSDOTTSWSA-N 0 0 298.249 2.755 20 5 CFBDRN Cc1ccc(N2C[C@@H]3CCC[C@]3(CO)C2)c([N+](=O)[O-])c1 ZINC000858802238 636347644 /nfs/dbraw/zinc/34/76/44/636347644.db2.gz ACDSUUVXGOWRRC-SWLSCSKDSA-N 0 0 276.336 2.502 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000858809219 636348545 /nfs/dbraw/zinc/34/85/45/636348545.db2.gz MCNRHZJZRULVGA-RNCFNFMXSA-N 0 0 296.298 2.722 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000858808765 636348669 /nfs/dbraw/zinc/34/86/69/636348669.db2.gz LGGXLGDXGAEEQX-XHDPSFHLSA-N 0 0 290.319 2.777 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1CO[C@H](C2CC2)C1 ZINC000858808762 636348798 /nfs/dbraw/zinc/34/87/98/636348798.db2.gz LGGXLGDXGAEEQX-ABAIWWIYSA-N 0 0 290.319 2.777 20 5 CFBDRN Cc1ccc(N2CC[C@@H](CO)C[C@H]2C)c([N+](=O)[O-])c1 ZINC000858836538 636353841 /nfs/dbraw/zinc/35/38/41/636353841.db2.gz BVFCDHZYXAJXNG-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@H](c3ccc(O)cc3)C2)c1 ZINC000858836563 636353969 /nfs/dbraw/zinc/35/39/69/636353969.db2.gz CRQSBFXYJHBSHQ-LBPRGKRZSA-N 0 0 299.330 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H](O)c2ccccc2F)nc1 ZINC000159196002 650250353 /nfs/dbraw/zinc/25/03/53/650250353.db2.gz QDPLDTGJHURSAU-LBPRGKRZSA-N 0 0 294.307 2.955 20 5 CFBDRN CCCOCCOC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000859170974 636485420 /nfs/dbraw/zinc/48/54/20/636485420.db2.gz CQRJAJMKQRDPOR-NSHDSACASA-N 0 0 296.323 2.809 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@@H]2c2ccccc2)c1 ZINC000048441362 650266828 /nfs/dbraw/zinc/26/68/28/650266828.db2.gz MZVJHBIJYMRWRN-CQSZACIVSA-N 0 0 272.308 2.586 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000859505606 636583419 /nfs/dbraw/zinc/58/34/19/636583419.db2.gz BIBWOANXLKGKEA-HTQZYQBOSA-N 0 0 253.229 2.547 20 5 CFBDRN CCC(CC)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859506355 636583482 /nfs/dbraw/zinc/58/34/82/636583482.db2.gz LEPZLNWSBCYMIF-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN CO[C@@]1(C)C[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)C1(C)C ZINC000159572004 650267907 /nfs/dbraw/zinc/26/79/07/650267907.db2.gz MUQJNDQDUVIBDB-DOMZBBRYSA-N 0 0 293.319 2.955 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC000859626656 636628445 /nfs/dbraw/zinc/62/84/45/636628445.db2.gz GJFMCSTWOUVXRQ-UHFFFAOYSA-N 0 0 277.280 2.630 20 5 CFBDRN CC[C@@H](C)CC(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859651354 636638712 /nfs/dbraw/zinc/63/87/12/636638712.db2.gz FLQLBIUSRWNUFK-SECBINFHSA-N 0 0 297.282 2.896 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000048433381 650265854 /nfs/dbraw/zinc/26/58/54/650265854.db2.gz HDCQJQXWRAZILQ-JTQLQIEISA-N 0 0 279.340 2.997 20 5 CFBDRN CNc1nccc(C(=O)OCC2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000859735152 636672794 /nfs/dbraw/zinc/67/27/94/636672794.db2.gz MSVZNHYLLPJVCX-UHFFFAOYSA-N 0 0 293.323 2.625 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000859762349 636682965 /nfs/dbraw/zinc/68/29/65/636682965.db2.gz BYSMESNSCYWOQV-CYBMUJFWSA-N 0 0 295.335 2.744 20 5 CFBDRN CCOCCOC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859811259 636702763 /nfs/dbraw/zinc/70/27/63/636702763.db2.gz KORATBVFIXZJAK-UHFFFAOYSA-N 0 0 289.287 2.941 20 5 CFBDRN COCCCCCOC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000116991479 636715117 /nfs/dbraw/zinc/71/51/17/636715117.db2.gz POPWATHNUCYPTB-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN O=C(NCCOC1CCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000081235550 636769057 /nfs/dbraw/zinc/76/90/57/636769057.db2.gz NSQHZFADXWYYCS-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@@H](OC(=O)C12CC(C1)C2)c1ccc([N+](=O)[O-])cc1 ZINC000860271800 636845007 /nfs/dbraw/zinc/84/50/07/636845007.db2.gz QVPUVGBHFWVKJT-NAUIOFCNSA-N 0 0 261.277 2.999 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1[C@H](C)O[C@H](C)[C@H]1C ZINC000860479217 636893364 /nfs/dbraw/zinc/89/33/64/636893364.db2.gz LEIOJNYCCWMSAE-PUHVVEEASA-N 0 0 293.319 2.868 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000860479973 636893458 /nfs/dbraw/zinc/89/34/58/636893458.db2.gz YFHRADABFDFDFS-GJTWSCIVSA-N 0 0 293.319 2.868 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CC[C@@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000860867297 636995163 /nfs/dbraw/zinc/99/51/63/636995163.db2.gz DSKBWWGWGGCPDQ-CHWSQXEVSA-N 0 0 295.310 2.918 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OCCCCC(C)C ZINC000860895461 637004504 /nfs/dbraw/zinc/00/45/04/637004504.db2.gz MNYUNWRACBITHG-UHFFFAOYSA-N 0 0 297.355 2.859 20 5 CFBDRN Cc1sc(-c2ccco2)nc1C(=O)OCCC[N+](=O)[O-] ZINC000860913713 637015056 /nfs/dbraw/zinc/01/50/56/637015056.db2.gz GEXOGGRSRVSRMX-UHFFFAOYSA-N 0 0 296.304 2.535 20 5 CFBDRN COc1ccc2oc(C)c(C(=O)OCCC[N+](=O)[O-])c2c1 ZINC000860914130 637015127 /nfs/dbraw/zinc/01/51/27/637015127.db2.gz PKEULTPCNYZELO-UHFFFAOYSA-N 0 0 293.275 2.573 20 5 CFBDRN CC(C)c1ccc(CCC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860913436 637015141 /nfs/dbraw/zinc/01/51/41/637015141.db2.gz CHSPQKZKJBYTKP-UHFFFAOYSA-N 0 0 279.336 2.953 20 5 CFBDRN O=C(CCSc1ccc(F)cc1)OCCC[N+](=O)[O-] ZINC000860912526 637015234 /nfs/dbraw/zinc/01/52/34/637015234.db2.gz QUOULKZHRCWWJZ-UHFFFAOYSA-N 0 0 287.312 2.518 20 5 CFBDRN CCCc1cc(C(=O)OCCC[N+](=O)[O-])sc1C ZINC000860912540 637015434 /nfs/dbraw/zinc/01/54/34/637015434.db2.gz RDGUJVTXGMORRU-UHFFFAOYSA-N 0 0 271.338 2.833 20 5 CFBDRN C[C@@H](CC(=O)OCCC[N+](=O)[O-])c1ccccc1F ZINC000860915156 637015600 /nfs/dbraw/zinc/01/56/00/637015600.db2.gz CEWOTTALJZNMCR-JTQLQIEISA-N 0 0 269.272 2.529 20 5 CFBDRN Cc1ccccc1[C@H](C)CC(=O)OCCC[N+](=O)[O-] ZINC000860915901 637016024 /nfs/dbraw/zinc/01/60/24/637016024.db2.gz YQYVAFRKMFBDQW-GFCCVEGCSA-N 0 0 265.309 2.699 20 5 CFBDRN C[C@H](CC(=O)OCCC[N+](=O)[O-])c1ccc(F)cc1F ZINC000860917696 637016253 /nfs/dbraw/zinc/01/62/53/637016253.db2.gz QSCZONPUGRBFDK-SECBINFHSA-N 0 0 287.262 2.668 20 5 CFBDRN CC(C)(C)O[C@H](C(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860917420 637016325 /nfs/dbraw/zinc/01/63/25/637016325.db2.gz LGRBEHGRKMVWPW-ZDUSSCGKSA-N 0 0 295.335 2.753 20 5 CFBDRN C[C@H](Cc1cccc(Cl)c1)C(=O)OCCC[N+](=O)[O-] ZINC000860917384 637016356 /nfs/dbraw/zinc/01/63/56/637016356.db2.gz KPIZYAQTXCFOTH-SNVBAGLBSA-N 0 0 285.727 2.729 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1ccc(N2CCCCC2)cc1 ZINC000860917156 637016370 /nfs/dbraw/zinc/01/63/70/637016370.db2.gz GMURXEKEAZQXAH-UHFFFAOYSA-N 0 0 292.335 2.501 20 5 CFBDRN CC[C@H](CC(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860915967 637016408 /nfs/dbraw/zinc/01/64/08/637016408.db2.gz KYRWTCSXNBHDMF-GFCCVEGCSA-N 0 0 265.309 2.780 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CCC[C@H]2CCCC[C@H]21 ZINC000860918193 637016933 /nfs/dbraw/zinc/01/69/33/637016933.db2.gz AKNXROMYLCLUKM-JHJVBQTASA-N 0 0 269.341 2.803 20 5 CFBDRN CC(C)=CCC[C@H](C)CC(=O)OCCC[N+](=O)[O-] ZINC000860918937 637017102 /nfs/dbraw/zinc/01/71/02/637017102.db2.gz HWPZWQSTNSGGPP-LBPRGKRZSA-N 0 0 257.330 2.969 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1C[C@H]1c1cccc(Cl)c1 ZINC000860918953 637017153 /nfs/dbraw/zinc/01/71/53/637017153.db2.gz IMDVDUPUVRAQFF-NWDGAFQWSA-N 0 0 283.711 2.654 20 5 CFBDRN CC(C)c1ccc(C(=O)OCCC[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000860921460 637017899 /nfs/dbraw/zinc/01/78/99/637017899.db2.gz SJCDNJUXORXRFX-UHFFFAOYSA-N 0 0 296.279 2.542 20 5 CFBDRN Cc1cc(C(=O)OCCC[N+](=O)[O-])c(C)cc1Cl ZINC000860921295 637017965 /nfs/dbraw/zinc/01/79/65/637017965.db2.gz UEEUENOTPCRZJM-UHFFFAOYSA-N 0 0 271.700 2.780 20 5 CFBDRN O=C(CCSCc1ccccc1)OCCC[N+](=O)[O-] ZINC000860921301 637017970 /nfs/dbraw/zinc/01/79/70/637017970.db2.gz UHFOUXQLCCRDLZ-UHFFFAOYSA-N 0 0 283.349 2.520 20 5 CFBDRN Cc1csc(C(=O)OCCC[N+](=O)[O-])c1Cl ZINC000860921743 637018071 /nfs/dbraw/zinc/01/80/71/637018071.db2.gz VZKRGYZMHVFFGR-UHFFFAOYSA-N 0 0 263.702 2.534 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000860922612 637018228 /nfs/dbraw/zinc/01/82/28/637018228.db2.gz VRDFKZATLZBTBN-ZIAGYGMSSA-N 0 0 277.320 2.780 20 5 CFBDRN Cc1ccc(C(=O)OCCC[N+](=O)[O-])c(Cl)c1C ZINC000860920534 637018301 /nfs/dbraw/zinc/01/83/01/637018301.db2.gz DJTZNPCJKOCPHX-UHFFFAOYSA-N 0 0 271.700 2.780 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@H]1CC[C@H]1c1ccc(F)cc1 ZINC000860922471 637018338 /nfs/dbraw/zinc/01/83/38/637018338.db2.gz ABGPCHHDJIIJMP-STQMWFEESA-N 0 0 281.283 2.529 20 5 CFBDRN CC(C)(CCC(=O)OC1CCC(F)(F)CC1)[N+](=O)[O-] ZINC000860975145 637037361 /nfs/dbraw/zinc/03/73/61/637037361.db2.gz VPYLNRBBUJZJNM-UHFFFAOYSA-N 0 0 279.283 2.943 20 5 CFBDRN COc1cc(C(=O)OCC(C)(F)F)cc([N+](=O)[O-])c1C ZINC000861222779 637121869 /nfs/dbraw/zinc/12/18/69/637121869.db2.gz BSNBCMDQQFMKTA-UHFFFAOYSA-N 0 0 289.234 2.724 20 5 CFBDRN O=C(CCn1cc([N+](=O)[O-])cn1)O[C@@H]1CCC=CCCC1 ZINC000861230960 637127530 /nfs/dbraw/zinc/12/75/30/637127530.db2.gz MZMLVPPJPNAPPZ-CYBMUJFWSA-N 0 0 293.323 2.614 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@H]1C ZINC000118292087 637201164 /nfs/dbraw/zinc/20/11/64/637201164.db2.gz PPQROXXJGRMSMY-DTWKUNHWSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000118292082 637201300 /nfs/dbraw/zinc/20/13/00/637201300.db2.gz PPQROXXJGRMSMY-BDAKNGLRSA-N 0 0 298.339 2.700 20 5 CFBDRN C[C@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2F)C[C@H]2C[C@H]2C1 ZINC000863120004 637563669 /nfs/dbraw/zinc/56/36/69/637563669.db2.gz KGMCXVUSKDPVQA-RTUWITSCSA-N 0 0 292.310 2.900 20 5 CFBDRN CCO[C@@H](COc1cc(C=O)ccc1[N+](=O)[O-])C1CC1 ZINC000863296411 637619381 /nfs/dbraw/zinc/61/93/81/637619381.db2.gz LGFWBHAWEVTMQQ-AWEZNQCLSA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1C[C@H]1C[C@@H]1C ZINC000863295338 637619732 /nfs/dbraw/zinc/61/97/32/637619732.db2.gz QUKMMOBZAICNLT-CAHLUQPWSA-N 0 0 274.118 2.518 20 5 CFBDRN O=[N+]([O-])c1cc(OCCCc2cnoc2)cc([N+](=O)[O-])c1 ZINC000863348039 637634534 /nfs/dbraw/zinc/63/45/34/637634534.db2.gz WTOBKGXNDCALIM-UHFFFAOYSA-N 0 0 293.235 2.503 20 5 CFBDRN Cc1ccccc1SC[C@@H](C)Cn1ncc([N+](=O)[O-])n1 ZINC000863372686 637644075 /nfs/dbraw/zinc/64/40/75/637644075.db2.gz ZFHDOHGNYKOTKS-JTQLQIEISA-N 0 0 292.364 2.923 20 5 CFBDRN Cc1ccc(-c2ncnn2CC2CC2)cc1[N+](=O)[O-] ZINC000864541860 638020097 /nfs/dbraw/zinc/02/00/97/638020097.db2.gz FTJOSIGKOPIQDQ-UHFFFAOYSA-N 0 0 258.281 2.572 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NC(C1CC1)C1CC1 ZINC000864693578 638070179 /nfs/dbraw/zinc/07/01/79/638070179.db2.gz VACKALHZJFPDQN-UHFFFAOYSA-N 0 0 292.310 2.581 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccc([N+](=O)[O-])c2)s1 ZINC000189685905 650394173 /nfs/dbraw/zinc/39/41/73/650394173.db2.gz GDWJQJZSBDYJIB-UHFFFAOYSA-N 0 0 280.265 2.572 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000864946761 638133588 /nfs/dbraw/zinc/13/35/88/638133588.db2.gz NUKHAUOKAKTBQC-GJQVQUKXSA-N 0 0 274.320 2.503 20 5 CFBDRN C[C@H](OC(=O)C1=COCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000076882633 650409560 /nfs/dbraw/zinc/40/95/60/650409560.db2.gz XCBNICFWKIFXOV-JTQLQIEISA-N 0 0 277.276 2.893 20 5 CFBDRN CC1(C)CC[C@@H](COc2ccc(C=O)cc2[N+](=O)[O-])OC1 ZINC000865346727 638275476 /nfs/dbraw/zinc/27/54/76/638275476.db2.gz UWUSIEZJPHTAKP-LBPRGKRZSA-N 0 0 293.319 2.991 20 5 CFBDRN CCCCC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000865352335 638278259 /nfs/dbraw/zinc/27/82/59/638278259.db2.gz HNCBUTNPINBKJW-UHFFFAOYSA-N 0 0 255.245 2.872 20 5 CFBDRN CCc1ccc(OCOC2CCOCC2)c([N+](=O)[O-])c1 ZINC000865357667 638281891 /nfs/dbraw/zinc/28/18/91/638281891.db2.gz NTIZKQUQNJFJEY-UHFFFAOYSA-N 0 0 281.308 2.689 20 5 CFBDRN Cc1ccc(Cn2cnc(C(C)C)cc2=O)cc1[N+](=O)[O-] ZINC000865361833 638283980 /nfs/dbraw/zinc/28/39/80/638283980.db2.gz BJZFLKSNWDXYDS-UHFFFAOYSA-N 0 0 287.319 2.632 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CCCC1CC1 ZINC000865361175 638284004 /nfs/dbraw/zinc/28/40/04/638284004.db2.gz QJQNRKMLFUOMHT-UHFFFAOYSA-N 0 0 256.689 2.600 20 5 CFBDRN Cc1ccc(CSCCSCCO)cc1[N+](=O)[O-] ZINC000865365260 638287010 /nfs/dbraw/zinc/28/70/10/638287010.db2.gz BBUZAKMJJCNCBH-UHFFFAOYSA-N 0 0 287.406 2.862 20 5 CFBDRN CSCCCCNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865546124 638411812 /nfs/dbraw/zinc/41/18/12/638411812.db2.gz MVZUSGNTOUEUSN-UHFFFAOYSA-N 0 0 288.344 2.781 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865690091 638512839 /nfs/dbraw/zinc/51/28/39/638512839.db2.gz CEOOGXTVRAWLDA-SNVBAGLBSA-N 0 0 282.315 2.781 20 5 CFBDRN CC1(C)CC[C@H](CNc2cc(F)c([N+](=O)[O-])cc2CO)O1 ZINC000865690592 638513248 /nfs/dbraw/zinc/51/32/48/638513248.db2.gz JFDBULDJIVVSHB-SNVBAGLBSA-N 0 0 298.314 2.596 20 5 CFBDRN CC(=O)c1cccc(N(C)CCc2cccc([N+](=O)[O-])c2)n1 ZINC000865765251 638562142 /nfs/dbraw/zinc/56/21/42/638562142.db2.gz NJJCVYPVZITGTI-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865869609 638628400 /nfs/dbraw/zinc/62/84/00/638628400.db2.gz IIMXMMKCLWJTPB-PHIMTYICSA-N 0 0 298.314 2.594 20 5 CFBDRN C[C@H]1C[C@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)CS1 ZINC000865876364 638636652 /nfs/dbraw/zinc/63/66/52/638636652.db2.gz KYWYSCNZNZXNEL-CBAPKCEASA-N 0 0 286.328 2.532 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2CC[C@H](C3CCC3)C2)cc1F ZINC000865881155 638640595 /nfs/dbraw/zinc/64/05/95/638640595.db2.gz WHBHYMREAAWNFW-NSHDSACASA-N 0 0 294.326 2.853 20 5 CFBDRN C[C@H]1[C@@H](C)N(c2cc(F)c([N+](=O)[O-])cc2CO)C[C@H]1C ZINC000865882104 638640601 /nfs/dbraw/zinc/64/06/01/638640601.db2.gz MEPONEAQQAOQQA-OPRDCNLKSA-N 0 0 282.315 2.707 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1F)C(OC)OC ZINC000865924247 638659167 /nfs/dbraw/zinc/65/91/67/638659167.db2.gz KCHNBRPGGQZNRZ-JTQLQIEISA-N 0 0 272.276 2.543 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1CCCN(CCF)C1 ZINC000865927975 638662604 /nfs/dbraw/zinc/66/26/04/638662604.db2.gz MOBITTUNLUEXSV-JTQLQIEISA-N 0 0 285.294 2.580 20 5 CFBDRN Cc1ccc(N(C)Cc2ccns2)c([N+](=O)[O-])c1 ZINC000865937135 638667252 /nfs/dbraw/zinc/66/72/52/638667252.db2.gz LRLUOIVYUABPAB-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866008731 638695784 /nfs/dbraw/zinc/69/57/84/638695784.db2.gz LBWBLNKKABISPD-JTQLQIEISA-N 0 0 282.315 2.685 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2conc2C)c1 ZINC000866021182 638701040 /nfs/dbraw/zinc/70/10/40/638701040.db2.gz UAAJWWXGAPFARS-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000866040887 638707575 /nfs/dbraw/zinc/70/75/75/638707575.db2.gz KUCVBQLGEFHBQN-DFAYQTQMSA-N 0 0 278.308 2.775 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2 ZINC000866043848 638709285 /nfs/dbraw/zinc/70/92/85/638709285.db2.gz NLLBGLATSHFNTC-JTKVUXJHSA-N 0 0 278.283 2.569 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCCC[C@@H]2F)c1[N+](=O)[O-] ZINC000866045194 638710117 /nfs/dbraw/zinc/71/01/17/638710117.db2.gz HEUWHYYRRDGJIF-WCBMZHEXSA-N 0 0 270.308 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2[C@@H](O)c2ccccc2)nc1 ZINC000866051278 638713987 /nfs/dbraw/zinc/71/39/87/638713987.db2.gz JXIRZDWLBWTAOU-HOCLYGCPSA-N 0 0 299.330 2.692 20 5 CFBDRN CS[C@H]1C[C@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000866102992 638740016 /nfs/dbraw/zinc/74/00/16/638740016.db2.gz VRDNNYHCACWNOX-KYZUINATSA-N 0 0 286.328 2.532 20 5 CFBDRN Nc1ccc(N[C@H](C2CCC2)[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000866108688 638742191 /nfs/dbraw/zinc/74/21/91/638742191.db2.gz UYAUYXGYURWAPQ-LSDHHAIUSA-N 0 0 291.351 2.937 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCC(C)(C)OC ZINC000866133109 638753152 /nfs/dbraw/zinc/75/31/52/638753152.db2.gz JQMQGEJURWAJFL-UHFFFAOYSA-N 0 0 282.340 2.968 20 5 CFBDRN COC(C)(C)CCNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000866133022 638753206 /nfs/dbraw/zinc/75/32/06/638753206.db2.gz FXEMNEXXQFPWNX-UHFFFAOYSA-N 0 0 290.323 2.765 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C2CCC2)[C@@H]2CCOC2)n1 ZINC000866141698 638755585 /nfs/dbraw/zinc/75/55/85/638755585.db2.gz MIQBNJSRAMUOAR-TZMCWYRMSA-N 0 0 291.351 2.915 20 5 CFBDRN Nc1ccc(N[C@@H](C2CCC2)[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000866142396 638756537 /nfs/dbraw/zinc/75/65/37/638756537.db2.gz SWGOYZHPKQOVGG-ABAIWWIYSA-N 0 0 291.351 2.794 20 5 CFBDRN COc1cccc(N2CC[C@@H]3CSC[C@H]3C2)c1[N+](=O)[O-] ZINC000866202416 638769152 /nfs/dbraw/zinc/76/91/52/638769152.db2.gz UNBKEILDQWBTIL-GHMZBOCLSA-N 0 0 294.376 2.793 20 5 CFBDRN Cc1cc(N2CCC(C(C)(C)O)CC2)c([N+](=O)[O-])s1 ZINC000866227988 638774293 /nfs/dbraw/zinc/77/42/93/638774293.db2.gz DNJVQVPXCGNHGU-UHFFFAOYSA-N 0 0 284.381 2.952 20 5 CFBDRN C[C@H]1CCC[C@@H](C2CN(c3c([N+](=O)[O-])ncn3C)C2)C1 ZINC000866254549 638781757 /nfs/dbraw/zinc/78/17/57/638781757.db2.gz QZWAFVYIKXXGJJ-WDEREUQCSA-N 0 0 278.356 2.591 20 5 CFBDRN Cc1ccnc(N[C@@H]2C[C@H]2C2CCOCC2)c1[N+](=O)[O-] ZINC000866273667 638786649 /nfs/dbraw/zinc/78/66/49/638786649.db2.gz QWXYUORUGPRYNI-NWDGAFQWSA-N 0 0 277.324 2.525 20 5 CFBDRN Cc1cncc([C@@H]2CCCN2c2ccc(N)c([N+](=O)[O-])n2)c1 ZINC000866277001 638787609 /nfs/dbraw/zinc/78/76/09/638787609.db2.gz GVAFVJNMJYLRNN-ZDUSSCGKSA-N 0 0 299.334 2.617 20 5 CFBDRN Cc1ccc(N2CCN(C)c3ncccc3C2)c([N+](=O)[O-])c1 ZINC000866293620 638790422 /nfs/dbraw/zinc/79/04/22/638790422.db2.gz VUAZRABAKVAZHE-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H](O)C2CCCC2)n1 ZINC000866304121 638792937 /nfs/dbraw/zinc/79/29/37/638792937.db2.gz UDFUJWWPOVBRST-ZDUSSCGKSA-N 0 0 279.340 2.651 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866481205 638828165 /nfs/dbraw/zinc/82/81/65/638828165.db2.gz MTZNPVWAZXLUFN-LBPRGKRZSA-N 0 0 294.326 2.781 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866551738 638843684 /nfs/dbraw/zinc/84/36/84/638843684.db2.gz ORSLZZCQQWGWLH-OAHLLOKOSA-N 0 0 294.326 2.925 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CC2(C1)CCCC2 ZINC000867538522 638883330 /nfs/dbraw/zinc/88/33/30/638883330.db2.gz NUVPQZOFUAJONJ-UHFFFAOYSA-N 0 0 292.310 2.679 20 5 CFBDRN O=C(NOC1CCCCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000867547616 638883819 /nfs/dbraw/zinc/88/38/19/638883819.db2.gz GFTHLOGLBLGWSL-UHFFFAOYSA-N 0 0 282.271 2.728 20 5 CFBDRN Cc1ccc(CNC(=O)N(C)C2CCCC2)cc1[N+](=O)[O-] ZINC000867784992 638897460 /nfs/dbraw/zinc/89/74/60/638897460.db2.gz JQZONJNENCLXEL-UHFFFAOYSA-N 0 0 291.351 2.987 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000867785628 638897702 /nfs/dbraw/zinc/89/77/02/638897702.db2.gz RUPVGPVJYWCVDH-LLVKDONJSA-N 0 0 291.351 2.845 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCCC[C@H]2C)cc1[N+](=O)[O-] ZINC000867785695 638897783 /nfs/dbraw/zinc/89/77/83/638897783.db2.gz UUPPZEWCWGLJOZ-GFCCVEGCSA-N 0 0 291.351 2.987 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867983322 638910873 /nfs/dbraw/zinc/91/08/73/638910873.db2.gz CVLCDEAVRBCWFW-JTQLQIEISA-N 0 0 292.310 2.701 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868031010 638916926 /nfs/dbraw/zinc/91/69/26/638916926.db2.gz YYTBXLXFGWBFAB-PWSUYJOCSA-N 0 0 294.326 2.829 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCC[C@H]1CCCO1 ZINC000121994757 638918862 /nfs/dbraw/zinc/91/88/62/638918862.db2.gz VITNPZQCHLEZMW-CQSZACIVSA-N 0 0 293.319 2.640 20 5 CFBDRN CON(CC(C)(C)C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868285192 638934450 /nfs/dbraw/zinc/93/44/50/638934450.db2.gz FXMOELZPMDJDDS-UHFFFAOYSA-N 0 0 298.314 2.713 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cccc(Cl)n1 ZINC000122083629 638934793 /nfs/dbraw/zinc/93/47/93/638934793.db2.gz JTDDUFICDYFCGW-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN Cc1ccc(CNC(=O)NC[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000868366161 638940824 /nfs/dbraw/zinc/94/08/24/638940824.db2.gz VUVNTYQNKNZOCT-NSHDSACASA-N 0 0 291.351 2.749 20 5 CFBDRN Cc1ccc(CNC(=O)NOC2CCCC2)cc1[N+](=O)[O-] ZINC000868478703 638948784 /nfs/dbraw/zinc/94/87/84/638948784.db2.gz YOQQPCQAYOQZKQ-UHFFFAOYSA-N 0 0 293.323 2.577 20 5 CFBDRN Cc1ccc(CNC(=O)NCCC2(C)CC2)cc1[N+](=O)[O-] ZINC000868558807 638956235 /nfs/dbraw/zinc/95/62/35/638956235.db2.gz OQXXFMFDMKPTAY-UHFFFAOYSA-N 0 0 291.351 2.893 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000868569747 638957169 /nfs/dbraw/zinc/95/71/69/638957169.db2.gz VOOQBXYALNINLI-QWHCGFSZSA-N 0 0 289.335 2.501 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868848841 638985914 /nfs/dbraw/zinc/98/59/14/638985914.db2.gz ZNLHTXZXVDWTFW-SWLSCSKDSA-N 0 0 294.326 2.827 20 5 CFBDRN O=C(OCc1coc(C2CC2)n1)c1cccc([N+](=O)[O-])c1 ZINC000869313849 639163833 /nfs/dbraw/zinc/16/38/33/639163833.db2.gz IWESMJUYRZUKNQ-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN CCCCC(=O)COC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000869321828 639177148 /nfs/dbraw/zinc/17/71/48/639177148.db2.gz CXCJJXMXQUEQFA-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000869356908 639193244 /nfs/dbraw/zinc/19/32/44/639193244.db2.gz MGAFPNVASQBFAQ-VIFPVBQESA-N 0 0 285.321 2.628 20 5 CFBDRN C[C@@H](OC(=O)c1csc([N+](=O)[O-])c1)C(=O)C(C)(C)C ZINC000869357822 639194183 /nfs/dbraw/zinc/19/41/83/639194183.db2.gz WJJPZIABPHBMIS-SSDOTTSWSA-N 0 0 285.321 2.817 20 5 CFBDRN CCCCC(=O)COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000869418325 639219096 /nfs/dbraw/zinc/21/90/96/639219096.db2.gz RJVAFDBYRSIUMS-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN Cc1cccc(C(=O)NCC[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000869609630 639321318 /nfs/dbraw/zinc/32/13/18/639321318.db2.gz IKDZYWSSUKSWCX-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1c(C(=O)NCC[C@@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000869613817 639323957 /nfs/dbraw/zinc/32/39/57/639323957.db2.gz ZNOCYNGAENMWGZ-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)[C@H](C)O1 ZINC000869651981 639341207 /nfs/dbraw/zinc/34/12/07/639341207.db2.gz RGSFZUXBPSYTAE-YWVKMMECSA-N 0 0 297.282 2.591 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000869655647 639343180 /nfs/dbraw/zinc/34/31/80/639343180.db2.gz ZIZDMAGQYQTRLO-SUZMYJTESA-N 0 0 293.319 2.760 20 5 CFBDRN CCOC(C)(C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000869769063 639393383 /nfs/dbraw/zinc/39/33/83/639393383.db2.gz RHPWWOZBZHGLNT-UHFFFAOYSA-N 0 0 281.308 2.762 20 5 CFBDRN CCOCCOC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869811341 639417740 /nfs/dbraw/zinc/41/77/40/639417740.db2.gz NOFLWUYLEPPHDI-UHFFFAOYSA-N 0 0 293.319 2.596 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)c2c(F)cccc2[N+](=O)[O-])O1 ZINC000869896382 639457620 /nfs/dbraw/zinc/45/76/20/639457620.db2.gz ARWZPOZOBMQSPP-VIFPVBQESA-N 0 0 297.282 2.848 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869899610 639460221 /nfs/dbraw/zinc/46/02/21/639460221.db2.gz YIMBKIPQUNGQTQ-SFYZADRCSA-N 0 0 253.229 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCNC(=O)OC(C)(C)C)c1 ZINC000122879892 639485460 /nfs/dbraw/zinc/48/54/60/639485460.db2.gz PUDHPEVYVBSDJF-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2C[C@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000870155972 639528693 /nfs/dbraw/zinc/52/86/93/639528693.db2.gz FKKSYOGTEMAOLX-GARJFASQSA-N 0 0 279.292 2.622 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)c([N+](=O)[O-])c1 ZINC000870205549 639543809 /nfs/dbraw/zinc/54/38/09/639543809.db2.gz QSPUYKUPGSWKPV-CKYFFXLPSA-N 0 0 293.319 2.876 20 5 CFBDRN COC/C=C/C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000870207712 639544882 /nfs/dbraw/zinc/54/48/82/639544882.db2.gz NTJRFUFSUIWQSH-ONEGZZNKSA-N 0 0 285.683 2.665 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCCC[C@@H]2F)c1[N+](=O)[O-] ZINC000870489590 639686907 /nfs/dbraw/zinc/68/69/07/639686907.db2.gz LAPJKRQVJCKGCP-WDEREUQCSA-N 0 0 296.298 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCOC(F)(F)F)c1 ZINC000870491068 639689450 /nfs/dbraw/zinc/68/94/50/639689450.db2.gz JIVOJVPDJKGEBJ-UHFFFAOYSA-N 0 0 293.197 2.596 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@@]12C[C@@H]1CCCC2 ZINC000870534960 639708843 /nfs/dbraw/zinc/70/88/43/639708843.db2.gz JGWRLEZVOAIGQZ-NHYWBVRUSA-N 0 0 292.310 2.725 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000870577013 639730920 /nfs/dbraw/zinc/73/09/20/639730920.db2.gz WTIDBYBLOAAFRC-PHIMTYICSA-N 0 0 267.306 2.646 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H]1C[C@@H](SC)C1 ZINC000870577290 639731098 /nfs/dbraw/zinc/73/10/98/639731098.db2.gz DYAWGXWBCQEMIR-AOOOYVTPSA-N 0 0 297.332 2.654 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@@H]1C[C@H]1C1CC1 ZINC000870668284 639758906 /nfs/dbraw/zinc/75/89/06/639758906.db2.gz VRFVHLCUTHYILM-JSGCOSHPSA-N 0 0 275.304 2.727 20 5 CFBDRN COC[C@H](C)CC(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700229 639777910 /nfs/dbraw/zinc/77/79/10/639777910.db2.gz DTPPPMVKNZZIPS-SNVBAGLBSA-N 0 0 295.335 2.927 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@H]1CO[C@H](C)C1 ZINC000870701843 639778957 /nfs/dbraw/zinc/77/89/57/639778957.db2.gz XELZFQVZTSEPQZ-PWSUYJOCSA-N 0 0 293.319 2.680 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000870711572 639784393 /nfs/dbraw/zinc/78/43/93/639784393.db2.gz BRDCPKDDQZDRJP-RISCZKNCSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000870709986 639784702 /nfs/dbraw/zinc/78/47/02/639784702.db2.gz XYRKKRRSYOGOGP-SCZZXKLOSA-N 0 0 298.364 2.604 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000870724686 639793533 /nfs/dbraw/zinc/79/35/33/639793533.db2.gz JDCKKAPMOUPHCG-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@@H]1CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000078781267 650532079 /nfs/dbraw/zinc/53/20/79/650532079.db2.gz SDSMXAFCAQEIPF-OLZOCXBDSA-N 0 0 279.292 2.709 20 5 CFBDRN COc1ccc(OC(=O)Cc2ccsc2)c([N+](=O)[O-])c1 ZINC000192643551 650581777 /nfs/dbraw/zinc/58/17/77/650581777.db2.gz SXAUKBYKJRCJQM-UHFFFAOYSA-N 0 0 293.300 2.813 20 5 CFBDRN Cc1cncc(C(=O)Oc2cccc([N+](=O)[O-])c2)c1 ZINC000079344990 650583545 /nfs/dbraw/zinc/58/35/45/650583545.db2.gz VHBBLAZNFIYODK-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN O=[N+]([O-])c1cccc(S(=O)(=O)Oc2cccc(F)c2)c1 ZINC000192690046 650586071 /nfs/dbraw/zinc/58/60/71/650586071.db2.gz FVRWLPBOUUKXQH-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCC(=O)C1CCCCC1 ZINC000192775363 650594720 /nfs/dbraw/zinc/59/47/20/650594720.db2.gz WRZZOTFYLPCOLV-UHFFFAOYSA-N 0 0 291.303 2.936 20 5 CFBDRN CC1(C(=O)OC2CC(n3cc([N+](=O)[O-])cn3)C2)CCCC1 ZINC000870829214 641090709 /nfs/dbraw/zinc/09/07/09/641090709.db2.gz IKBYCIONSLHSJI-UHFFFAOYSA-N 0 0 293.323 2.618 20 5 CFBDRN O=C(CCCC1CC1)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870828195 641090945 /nfs/dbraw/zinc/09/09/45/641090945.db2.gz MEDBEHDCGRMKOA-UHFFFAOYSA-N 0 0 293.323 2.618 20 5 CFBDRN CC(C)(C)/C=C/C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870829758 641090969 /nfs/dbraw/zinc/09/09/69/641090969.db2.gz PVLTXDLHGQKFPC-SNAWJCMRSA-N 0 0 293.323 2.640 20 5 CFBDRN C[C@H](C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1)C(C)(C)C ZINC000870830065 641091800 /nfs/dbraw/zinc/09/18/00/641091800.db2.gz VSFYHQUJXIYYNR-GRZMOONWSA-N 0 0 295.339 2.720 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000871092996 641200049 /nfs/dbraw/zinc/20/00/49/641200049.db2.gz SEOIXZFBMKPCAD-HOMQSWHASA-N 0 0 280.349 2.527 20 5 CFBDRN CC1(C)CO[C@@H](CC(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000888554255 641208063 /nfs/dbraw/zinc/20/80/63/641208063.db2.gz IABVLKXONRHEDS-JTQLQIEISA-N 0 0 296.298 2.878 20 5 CFBDRN CCc1ccc(C(=O)NCCC(C)(C)OC)cc1[N+](=O)[O-] ZINC000871255060 641266438 /nfs/dbraw/zinc/26/64/38/641266438.db2.gz NQTFRYRJTJNVOO-UHFFFAOYSA-N 0 0 294.351 2.702 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC1CC2(CSC2)C1 ZINC000871507026 641331347 /nfs/dbraw/zinc/33/13/47/641331347.db2.gz WTXROWBUASFHOU-UHFFFAOYSA-N 0 0 292.360 2.529 20 5 CFBDRN CC(C)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000123711554 641367171 /nfs/dbraw/zinc/36/71/71/641367171.db2.gz YSTASUKQWKAYAK-QMMMGPOBSA-N 0 0 255.245 2.935 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1 ZINC000871630663 641374893 /nfs/dbraw/zinc/37/48/93/641374893.db2.gz NELFDARKIQPNRW-SWHYSGLUSA-N 0 0 263.293 2.931 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@H]3CCC[C@H]3O2)cc1 ZINC000871630909 641375261 /nfs/dbraw/zinc/37/52/61/641375261.db2.gz XKHLQDRDDGVQDH-DDTOSNHZSA-N 0 0 263.293 2.931 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000871631014 641375405 /nfs/dbraw/zinc/37/54/05/641375405.db2.gz CKIKEJABVBYCMR-KGYLQXTDSA-N 0 0 293.319 2.940 20 5 CFBDRN COc1ccc(OC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c([N+](=O)[O-])c1 ZINC000871637876 641376768 /nfs/dbraw/zinc/37/67/68/641376768.db2.gz PZMIOTJYYQFVGY-JKOKRWQUSA-N 0 0 293.319 2.940 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2CC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000871636566 641377115 /nfs/dbraw/zinc/37/71/15/641377115.db2.gz MMWQNXCNAAIIAU-LBPRGKRZSA-N 0 0 291.303 2.745 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@H]2CC3(CCC3)CO2)n1 ZINC000871665509 641389798 /nfs/dbraw/zinc/38/97/98/641389798.db2.gz OGPWNXWAWZMMJH-GFCCVEGCSA-N 0 0 292.335 2.945 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2nc3c(o2)CCCC3)nc1C1CC1 ZINC000871667129 641391158 /nfs/dbraw/zinc/39/11/58/641391158.db2.gz CXPWHJMXDPYLIJ-UHFFFAOYSA-N 0 0 288.307 2.584 20 5 CFBDRN CCOc1cc(OCc2nocc2C)ccc1[N+](=O)[O-] ZINC000871668941 641393582 /nfs/dbraw/zinc/39/35/82/641393582.db2.gz CLSDIIWKCLDRTG-UHFFFAOYSA-N 0 0 278.264 2.869 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COc1c(C)nn(C)c1C ZINC000871733405 641429412 /nfs/dbraw/zinc/42/94/12/641429412.db2.gz HILSEDUTCCXRQF-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN CC(C)OC1(c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCC1 ZINC000871847693 641479938 /nfs/dbraw/zinc/47/99/38/641479938.db2.gz OZAXBRCJBLTSTA-UHFFFAOYSA-N 0 0 297.355 2.862 20 5 CFBDRN Cc1c(CNc2cnc3c(c2)COCC3)cccc1[N+](=O)[O-] ZINC000871862662 641487740 /nfs/dbraw/zinc/48/77/40/641487740.db2.gz IMZKBVMMQNDAHS-UHFFFAOYSA-N 0 0 299.330 2.983 20 5 CFBDRN CC(C)c1ccc(C[NH2+]C2CC(C(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000871882090 641496736 /nfs/dbraw/zinc/49/67/36/641496736.db2.gz WMVMRQNSUIEMMN-UHFFFAOYSA-N 0 0 292.335 2.671 20 5 CFBDRN C[C@@H](Nc1ccn(CCF)n1)c1ccccc1[N+](=O)[O-] ZINC000871925666 641513102 /nfs/dbraw/zinc/51/31/02/641513102.db2.gz ULEGOSQXQDHHPB-SNVBAGLBSA-N 0 0 278.287 2.934 20 5 CFBDRN CCc1cc(CN[C@@H](C)c2c(F)cccc2[N+](=O)[O-])[nH]n1 ZINC000872036120 641568269 /nfs/dbraw/zinc/56/82/69/641568269.db2.gz RWSCQNJBOYJCJJ-VIFPVBQESA-N 0 0 292.314 2.870 20 5 CFBDRN Cc1c(CN[C@@H](C)c2c(F)cccc2[N+](=O)[O-])cnn1C ZINC000872050795 641585151 /nfs/dbraw/zinc/58/51/51/641585151.db2.gz FQYSTUJBPGCVFG-VIFPVBQESA-N 0 0 292.314 2.627 20 5 CFBDRN Cc1csc([C@H]2CCN(c3ncccc3[N+](=O)[O-])C2)n1 ZINC000872733910 641916167 /nfs/dbraw/zinc/91/61/67/641916167.db2.gz RGTVATCZLWLNNM-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@H](O)c1ccccc1 ZINC000872774164 641928790 /nfs/dbraw/zinc/92/87/90/641928790.db2.gz DZPPNQJAZYYKQM-UKRRQHHQSA-N 0 0 287.319 2.914 20 5 CFBDRN CCn1ncc(Nc2ncc([N+](=O)[O-])cc2Cl)c1C ZINC000872779087 641933258 /nfs/dbraw/zinc/93/32/58/641933258.db2.gz MOWVOJWVTPKQPD-UHFFFAOYSA-N 0 0 281.703 2.912 20 5 CFBDRN CCn1ncc(Nc2ncc(C)cc2[N+](=O)[O-])c1C ZINC000872779138 641933324 /nfs/dbraw/zinc/93/33/24/641933324.db2.gz NNTKJFSEYBSCCB-UHFFFAOYSA-N 0 0 261.285 2.567 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000872846177 641950886 /nfs/dbraw/zinc/95/08/86/641950886.db2.gz SNMYZYINVHICDY-GXFFZTMASA-N 0 0 289.335 2.898 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000873027048 641989507 /nfs/dbraw/zinc/98/95/07/641989507.db2.gz RELCEXXKTHPHNN-GWCFXTLKSA-N 0 0 289.335 2.898 20 5 CFBDRN Cc1c(CNc2ccc(N(C)C)cn2)cccc1[N+](=O)[O-] ZINC000873079505 642007562 /nfs/dbraw/zinc/00/75/62/642007562.db2.gz GFJNSHPZBXNDEI-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNCC(C)(F)F ZINC000873128477 642020713 /nfs/dbraw/zinc/02/07/13/642020713.db2.gz QGUICJDSALQATE-UHFFFAOYSA-N 0 0 258.268 2.956 20 5 CFBDRN CCn1ccc(CNCc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000873131757 642023384 /nfs/dbraw/zinc/02/33/84/642023384.db2.gz ZPIPJYKWHCDIIW-UHFFFAOYSA-N 0 0 288.351 2.718 20 5 CFBDRN CCc1cc(NCc2cccc([N+](=O)[O-])c2C)nn1C ZINC000873132599 642023463 /nfs/dbraw/zinc/02/34/63/642023463.db2.gz YSVZKTZTYAYSQX-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000873339992 642141355 /nfs/dbraw/zinc/14/13/55/642141355.db2.gz NXFANOCSAMMVKI-WAAGHKOSSA-N 0 0 299.710 2.830 20 5 CFBDRN CC[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(C)=O ZINC000873356524 642150132 /nfs/dbraw/zinc/15/01/32/642150132.db2.gz FKCDAQFJPDYRPJ-SNVBAGLBSA-N 0 0 285.683 2.773 20 5 CFBDRN COCC[C@H](C)OC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873438446 642205116 /nfs/dbraw/zinc/20/51/16/642205116.db2.gz MQTKGUFUFHCXGC-JTQLQIEISA-N 0 0 281.308 2.793 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873438658 642205992 /nfs/dbraw/zinc/20/59/92/642205992.db2.gz UNJYQGVTPIHRHN-JTQLQIEISA-N 0 0 279.292 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@H]1CCSC1 ZINC000873502752 642225554 /nfs/dbraw/zinc/22/55/54/642225554.db2.gz BPCUDRXVNYZFRP-JTQLQIEISA-N 0 0 281.333 2.874 20 5 CFBDRN C[C@H](COC(=O)Cc1csc(C2CCCC2)n1)[N+](=O)[O-] ZINC000873532162 642237631 /nfs/dbraw/zinc/23/76/31/642237631.db2.gz BZAZDCDRKJDUTM-SECBINFHSA-N 0 0 298.364 2.552 20 5 CFBDRN CC(C)(C)Oc1cccc(C(=O)OCCC[N+](=O)[O-])c1 ZINC000873547727 642244031 /nfs/dbraw/zinc/24/40/31/642244031.db2.gz GVVZPGJHGUMHHM-UHFFFAOYSA-N 0 0 281.308 2.688 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1ncc(Cl)n1C ZINC000195196553 650740908 /nfs/dbraw/zinc/74/09/08/650740908.db2.gz QDKMIONBMKKPPB-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN CC1(C(=O)NCc2ccccc2[N+](=O)[O-])CC(F)(F)C1 ZINC000874072103 642333392 /nfs/dbraw/zinc/33/33/92/642333392.db2.gz QZHUSZMFWBQWQS-UHFFFAOYSA-N 0 0 284.262 2.646 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCc1ccccc1 ZINC000195405875 650751490 /nfs/dbraw/zinc/75/14/90/650751490.db2.gz FNHPXKGIBNZMGI-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1ncsc1COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000125038220 642399756 /nfs/dbraw/zinc/39/97/56/642399756.db2.gz UUSCXBQKHFOEOG-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1ccccn1)c1ccccc1[N+](=O)[O-] ZINC000874625403 642428314 /nfs/dbraw/zinc/42/83/14/642428314.db2.gz OTKPSPSXEWLYHV-RYUDHWBXSA-N 0 0 299.330 2.971 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc2c(n1)CCCC2)[N+](=O)[O-] ZINC000874671751 642447135 /nfs/dbraw/zinc/44/71/35/642447135.db2.gz UDVPFTAOAMQUSW-UHFFFAOYSA-N 0 0 291.351 2.734 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC23CCC3)cc([N+](=O)[O-])c1C ZINC000874780093 642480145 /nfs/dbraw/zinc/48/01/45/642480145.db2.gz LGENVWDERVVSRS-CYBMUJFWSA-N 0 0 290.319 2.584 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC23CCC3)cc([N+](=O)[O-])c1 ZINC000874787348 642487960 /nfs/dbraw/zinc/48/79/60/642487960.db2.gz UHDDSUPQUSEAJM-GFCCVEGCSA-N 0 0 260.293 2.576 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC12CCC2 ZINC000874786809 642488185 /nfs/dbraw/zinc/48/81/85/642488185.db2.gz QOOPHZUXNLUMEG-GFCCVEGCSA-N 0 0 260.293 2.576 20 5 CFBDRN CCn1ncc(NC(=O)c2c(C)cccc2[N+](=O)[O-])c1C ZINC000874814965 642503721 /nfs/dbraw/zinc/50/37/21/642503721.db2.gz HNUVMTPGBUSWHX-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CC1(NC(=O)c2csc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000874856281 642529041 /nfs/dbraw/zinc/52/90/41/642529041.db2.gz CWMDHJFAKRTHPX-UHFFFAOYSA-N 0 0 276.264 2.574 20 5 CFBDRN C[C@H](OC(=O)COc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000083148572 650760050 /nfs/dbraw/zinc/76/00/50/650760050.db2.gz LZPGEQOKXFSYTH-JTQLQIEISA-N 0 0 279.292 2.705 20 5 CFBDRN COCC1(N(C)C(=O)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000874935451 642557258 /nfs/dbraw/zinc/55/72/58/642557258.db2.gz IYNOPMUSSSSGGL-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CCO[C@@H](C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-])C1CC1 ZINC000875062773 642582494 /nfs/dbraw/zinc/58/24/94/642582494.db2.gz XRWGWPDWTJNKLT-CQSZACIVSA-N 0 0 293.319 2.932 20 5 CFBDRN CCO[C@H](C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F)C1CC1 ZINC000875675096 642664111 /nfs/dbraw/zinc/66/41/11/642664111.db2.gz QECUPESFKOQICC-ZDUSSCGKSA-N 0 0 296.298 2.796 20 5 CFBDRN CO[C@@H](C)CC(=O)O[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000084809009 650782398 /nfs/dbraw/zinc/78/23/98/650782398.db2.gz MIQHHBNKGOMUES-QWRGUYRKSA-N 0 0 281.308 2.932 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000876160172 642782261 /nfs/dbraw/zinc/78/22/61/642782261.db2.gz YLADWVJLNLCIBL-GFCCVEGCSA-N 0 0 296.273 2.936 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000876169320 642784783 /nfs/dbraw/zinc/78/47/83/642784783.db2.gz TUXHCJGQUVOMRC-OCCSQVGLSA-N 0 0 289.335 2.597 20 5 CFBDRN Cc1ccc(CNC(=O)NC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000876172488 642787414 /nfs/dbraw/zinc/78/74/14/642787414.db2.gz XCIBMBFWZOYINM-UHFFFAOYSA-N 0 0 289.335 2.645 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1CCCC2(CC2)C1 ZINC000888708149 642791665 /nfs/dbraw/zinc/79/16/65/642791665.db2.gz AWPPCMUDOFPGNG-ZDUSSCGKSA-N 0 0 288.347 2.976 20 5 CFBDRN CCCCN(C(=O)[C@@H]1CC1[N+](=O)[O-])c1ccc(Cl)nc1 ZINC000876317772 642822322 /nfs/dbraw/zinc/82/23/22/642822322.db2.gz GUZQVFUHXXCCRG-GHMZBOCLSA-N 0 0 297.742 2.533 20 5 CFBDRN CCc1ccc(OC(=O)Cc2ccoc2)c([N+](=O)[O-])c1 ZINC000125895140 642845194 /nfs/dbraw/zinc/84/51/94/642845194.db2.gz ZJJMGLCDRAWJTG-UHFFFAOYSA-N 0 0 275.260 2.898 20 5 CFBDRN COc1cc(NC2CC=CC2)c([N+](=O)[O-])cc1OC ZINC000125985394 642892308 /nfs/dbraw/zinc/89/23/08/642892308.db2.gz YWCZQHYFGDAZAT-UHFFFAOYSA-N 0 0 264.281 2.743 20 5 CFBDRN O=[N+]([O-])c1cc(CSCCO)ccc1Br ZINC000125987739 642893189 /nfs/dbraw/zinc/89/31/89/642893189.db2.gz WQWRYVWEPUDICD-UHFFFAOYSA-N 0 0 292.154 2.583 20 5 CFBDRN CCOc1ccc(NCc2cn(C)nc2[N+](=O)[O-])c(C)c1 ZINC000876820476 643026025 /nfs/dbraw/zinc/02/60/25/643026025.db2.gz XFRCJMILBNUODK-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN CO[C@H](C)c1cccc(NCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000876857404 643038886 /nfs/dbraw/zinc/03/88/86/643038886.db2.gz QQMVBBDDOZJPHB-SNVBAGLBSA-N 0 0 290.323 2.648 20 5 CFBDRN Cc1ccc(NC2CC(n3cc([N+](=O)[O-])cn3)C2)c(C)n1 ZINC000877115452 643158941 /nfs/dbraw/zinc/15/89/41/643158941.db2.gz HUYCBDANGUOUGT-UHFFFAOYSA-N 0 0 287.323 2.619 20 5 CFBDRN CCCC[C@H](C)OC(=O)CCn1cc([N+](=O)[O-])nc1C ZINC000126587353 643352630 /nfs/dbraw/zinc/35/26/30/643352630.db2.gz ZPESDZHPGPWNHF-JTQLQIEISA-N 0 0 283.328 2.612 20 5 CFBDRN CC(C)(C)OC1CN(Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000877771964 643552005 /nfs/dbraw/zinc/55/20/05/643552005.db2.gz HTPGLKCJOZUWFD-UHFFFAOYSA-N 0 0 264.325 2.594 20 5 CFBDRN CC(C)(O)[C@H]1CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000877796388 643563002 /nfs/dbraw/zinc/56/30/02/643563002.db2.gz FKFOQYLTEHGORN-NSHDSACASA-N 0 0 284.381 2.639 20 5 CFBDRN COC[C@@H](COc1ccc(OC)c([N+](=O)[O-])c1)C(C)C ZINC000877881632 643620181 /nfs/dbraw/zinc/62/01/81/643620181.db2.gz UVMGPWHENNNJPO-NSHDSACASA-N 0 0 283.324 2.901 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCCSC(F)(F)F ZINC000877888214 643625350 /nfs/dbraw/zinc/62/53/50/643625350.db2.gz NIGARHPWMXGVGZ-UHFFFAOYSA-N 0 0 268.216 2.622 20 5 CFBDRN COC[C@@H](COc1c(Cl)cncc1[N+](=O)[O-])C(C)C ZINC000877890278 643625989 /nfs/dbraw/zinc/62/59/89/643625989.db2.gz URGMDBIRRCFLLG-VIFPVBQESA-N 0 0 288.731 2.941 20 5 CFBDRN Cc1noc(C)c1CCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000126854240 643700902 /nfs/dbraw/zinc/70/09/02/643700902.db2.gz DMIVAVKZRMKPDR-UHFFFAOYSA-N 0 0 290.275 2.634 20 5 CFBDRN COc1cc(C(=O)OC2(C)CCC2)ccc1[N+](=O)[O-] ZINC000126865195 643704972 /nfs/dbraw/zinc/70/49/72/643704972.db2.gz WGMOLQIOQHIEAZ-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN O=C(CSCC(F)(F)F)Oc1cccc([N+](=O)[O-])c1 ZINC000090092442 650863960 /nfs/dbraw/zinc/86/39/60/650863960.db2.gz FEVUPRFYOVSFDQ-UHFFFAOYSA-N 0 0 295.238 2.796 20 5 CFBDRN Cc1n[nH]cc1CN(C)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000879186074 644170489 /nfs/dbraw/zinc/17/04/89/644170489.db2.gz FKBZJJJCIWQBCY-UHFFFAOYSA-N 0 0 294.742 2.912 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000127596357 644179757 /nfs/dbraw/zinc/17/97/57/644179757.db2.gz HMJBJHDRDYITNO-YGRLFVJLSA-N 0 0 291.303 2.643 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(Cc2csc([N+](=O)[O-])c2)C1 ZINC000879320672 644226444 /nfs/dbraw/zinc/22/64/44/644226444.db2.gz KSUHUPCFWKTVLP-KOLCDFICSA-N 0 0 270.354 2.656 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000879325228 644232509 /nfs/dbraw/zinc/23/25/09/644232509.db2.gz JKYSRRPPRNFVPN-MFKMUULPSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1cnc(CNc2cc([N+](=O)[O-])ccc2F)o1 ZINC000127771564 644281172 /nfs/dbraw/zinc/28/11/72/644281172.db2.gz BJRGUJOKBZJITM-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN Cc1cccc(COc2ccc([N+](=O)[O-])cc2C=O)n1 ZINC000092429503 650919744 /nfs/dbraw/zinc/91/97/44/650919744.db2.gz WAAUYYVJBONHAB-UHFFFAOYSA-N 0 0 272.260 2.690 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000128183545 644523766 /nfs/dbraw/zinc/52/37/66/644523766.db2.gz QYAJRNVFSMYBLO-MWLCHTKSSA-N 0 0 277.324 2.580 20 5 CFBDRN CCOc1cc(CN2CCC(F)CC2)ccc1[N+](=O)[O-] ZINC000880239745 644602979 /nfs/dbraw/zinc/60/29/79/644602979.db2.gz PAIPKQROQJRUBA-UHFFFAOYSA-N 0 0 282.315 2.927 20 5 CFBDRN CCOc1cc(NC(=O)NC2CC=CC2)ccc1[N+](=O)[O-] ZINC000888830748 644811453 /nfs/dbraw/zinc/81/14/53/644811453.db2.gz AYTCAWUVBQPOHX-UHFFFAOYSA-N 0 0 291.307 2.834 20 5 CFBDRN CCOc1cc(NC(=O)N2C[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000888830753 644811812 /nfs/dbraw/zinc/81/18/12/644811812.db2.gz BBKLEJNHULNUNQ-NXEZZACHSA-N 0 0 293.323 2.866 20 5 CFBDRN CCOc1cc(NC(=O)NCC[C@H](C)F)ccc1[N+](=O)[O-] ZINC000888831819 644814654 /nfs/dbraw/zinc/81/46/54/644814654.db2.gz QAHTXVZCCLGWEC-VIFPVBQESA-N 0 0 299.302 2.863 20 5 CFBDRN CC1(C)CCCC[C@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000094332550 650956453 /nfs/dbraw/zinc/95/64/53/650956453.db2.gz ALQFHIVRXMOUSP-CYBMUJFWSA-N 0 0 291.351 2.876 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])c(F)c1)c1ccccn1 ZINC000094773237 650963044 /nfs/dbraw/zinc/96/30/44/650963044.db2.gz NGOWAGLDQXRYCO-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CC[C@H](O)c1cn([C@H](C)c2cc([N+](=O)[O-])ccc2C)nn1 ZINC000881365187 644962684 /nfs/dbraw/zinc/96/26/84/644962684.db2.gz OCPYVAAPHQKTAR-YGRLFVJLSA-N 0 0 290.323 2.547 20 5 CFBDRN Cc1sc(C(=O)NC[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000881493369 644993132 /nfs/dbraw/zinc/99/31/32/644993132.db2.gz UNUSGXSUBRBQIM-VIFPVBQESA-N 0 0 266.322 2.661 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000881494746 644994035 /nfs/dbraw/zinc/99/40/35/644994035.db2.gz CIZFRRIJYOVLII-JTQLQIEISA-N 0 0 285.303 2.772 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC[C@@H]1C=CCC1 ZINC000881495992 644995751 /nfs/dbraw/zinc/99/57/51/644995751.db2.gz QQGMUUIKKXJPAJ-CYBMUJFWSA-N 0 0 274.320 2.610 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000881496439 644996298 /nfs/dbraw/zinc/99/62/98/644996298.db2.gz HTPFFZKYCLPMMM-WDEREUQCSA-N 0 0 292.310 2.920 20 5 CFBDRN COc1cc(C(=O)NC[C@@H]2C=CCC2)cc([N+](=O)[O-])c1C ZINC000881497405 644997345 /nfs/dbraw/zinc/99/73/45/644997345.db2.gz AJHGFLALLHJMJY-LLVKDONJSA-N 0 0 290.319 2.608 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC1(CC2CC2)CC1 ZINC000881518116 645012845 /nfs/dbraw/zinc/01/28/45/645012845.db2.gz UBSDVWPQJSJDET-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN CCC[C@H](C)CCNC(=O)c1ccnc(NC)c1[N+](=O)[O-] ZINC000881531023 645024287 /nfs/dbraw/zinc/02/42/87/645024287.db2.gz DDUSVMYBRDBLJN-JTQLQIEISA-N 0 0 294.355 2.588 20 5 CFBDRN Cc1ccc(C(=O)N2CCC[C@@H](CF)C2)cc1[N+](=O)[O-] ZINC000881588479 645061822 /nfs/dbraw/zinc/06/18/22/645061822.db2.gz ICTLNXQMBWRQJV-NSHDSACASA-N 0 0 280.299 2.725 20 5 CFBDRN Cc1c(C(=O)N2CCC[C@H](CF)C2)cccc1[N+](=O)[O-] ZINC000881591659 645064692 /nfs/dbraw/zinc/06/46/92/645064692.db2.gz SKCQCYMIVJCQLE-LLVKDONJSA-N 0 0 280.299 2.725 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(F)CC2)c1 ZINC000881606231 645076315 /nfs/dbraw/zinc/07/63/15/645076315.db2.gz SMLLUAOSHIDUQB-UHFFFAOYSA-N 0 0 298.339 2.891 20 5 CFBDRN C[C@@H](C(=O)N1CCC(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000881610807 645080162 /nfs/dbraw/zinc/08/01/62/645080162.db2.gz ASINYWXMBIBGKK-SNVBAGLBSA-N 0 0 280.299 2.659 20 5 CFBDRN CSc1ccc(C(=O)N2CC[C@H](CF)C2)cc1[N+](=O)[O-] ZINC000881662974 645093107 /nfs/dbraw/zinc/09/31/07/645093107.db2.gz VDPMKLCGIBBAMC-SECBINFHSA-N 0 0 298.339 2.748 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@@H](CF)C1)c1ccc([N+](=O)[O-])cc1F ZINC000881675631 645102519 /nfs/dbraw/zinc/10/25/19/645102519.db2.gz XLXFVTJTXWGTGL-ZJUUUORDSA-N 0 0 298.289 2.655 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000129223899 645125277 /nfs/dbraw/zinc/12/52/77/645125277.db2.gz BTGNPEQBAJISPQ-GXSJLCMTSA-N 0 0 277.324 2.555 20 5 CFBDRN COCCCCNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881810579 645132719 /nfs/dbraw/zinc/13/27/19/645132719.db2.gz BLPSQQLPTWXFJO-UHFFFAOYSA-N 0 0 266.297 2.636 20 5 CFBDRN CCc1nc(CNc2ccnc(F)c2[N+](=O)[O-])cs1 ZINC000881957287 645162516 /nfs/dbraw/zinc/16/25/16/645162516.db2.gz YRMJFWVASYYZKO-UHFFFAOYSA-N 0 0 282.300 2.760 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCCOC(F)(F)F ZINC000882036265 645184104 /nfs/dbraw/zinc/18/41/04/645184104.db2.gz YKFRNDUPZIJBGZ-UHFFFAOYSA-N 0 0 265.187 2.900 20 5 CFBDRN CC(C)C[C@@H](CCO)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882040356 645185378 /nfs/dbraw/zinc/18/53/78/645185378.db2.gz LFXKNNKOEMNJOR-SNVBAGLBSA-N 0 0 285.319 2.586 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC[C@H](O)C(C)C ZINC000882105767 645204464 /nfs/dbraw/zinc/20/44/64/645204464.db2.gz LCVXXVJFBAFFCY-LBPRGKRZSA-N 0 0 253.298 2.689 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCC[C@H]1CCCC[C@@H]1O ZINC000882114778 645206842 /nfs/dbraw/zinc/20/68/42/645206842.db2.gz SLOHZVGGINMUBJ-PWSUYJOCSA-N 0 0 297.330 2.872 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCC[C@@H]1CCCC[C@H]1O ZINC000882114743 645206897 /nfs/dbraw/zinc/20/68/97/645206897.db2.gz SLOHZVGGINMUBJ-CMPLNLGQSA-N 0 0 297.330 2.872 20 5 CFBDRN Cc1nn(CCC(F)(F)Cl)c2ncc([N+](=O)[O-])cc12 ZINC000882133526 645215523 /nfs/dbraw/zinc/21/55/23/645215523.db2.gz SXRPCWMFLMHWAC-UHFFFAOYSA-N 0 0 290.657 2.870 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CC(C)(C)OC2(C)C)c1=O ZINC000882144037 645218133 /nfs/dbraw/zinc/21/81/33/645218133.db2.gz AOZIGRJCNQKCQO-LLVKDONJSA-N 0 0 294.351 2.659 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H]2CCC3(CCC3)O2)nc1C1CC1 ZINC000882154824 645221867 /nfs/dbraw/zinc/22/18/67/645221867.db2.gz AEIMKYYRVPZWNJ-NSHDSACASA-N 0 0 277.324 2.770 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC[C@@H]1CCCCO1 ZINC000882170233 645227769 /nfs/dbraw/zinc/22/77/69/645227769.db2.gz MRGMNJQGJDDDRR-VIFPVBQESA-N 0 0 269.276 2.500 20 5 CFBDRN CC(=O)c1c(NC[C@H]2CCC=CO2)cccc1[N+](=O)[O-] ZINC000882230262 645248080 /nfs/dbraw/zinc/24/80/80/645248080.db2.gz ZTUCXVFLUCDYDP-LLVKDONJSA-N 0 0 276.292 2.902 20 5 CFBDRN Cc1ncnc(NCc2cccc([N+](=O)[O-])c2C)c1C ZINC000882246792 645253796 /nfs/dbraw/zinc/25/37/96/645253796.db2.gz PKCSVNAVHQZTSX-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CCC[C@H](O)CCCSCc1cn(C)nc1[N+](=O)[O-] ZINC000882282849 645262298 /nfs/dbraw/zinc/26/22/98/645262298.db2.gz SYELXRZSXKMIIJ-NSHDSACASA-N 0 0 287.385 2.503 20 5 CFBDRN COCc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 ZINC000096620802 650995940 /nfs/dbraw/zinc/99/59/40/650995940.db2.gz CMGHAALYHJACBO-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN COCCCOc1cccc(Br)c1[N+](=O)[O-] ZINC000882362822 645290194 /nfs/dbraw/zinc/29/01/94/645290194.db2.gz CKVXMNVSBYMBOU-UHFFFAOYSA-N 0 0 290.113 2.773 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC1CC(F)(F)C1 ZINC000882390488 645297950 /nfs/dbraw/zinc/29/79/50/645297950.db2.gz OTBICOKVCDULPO-UHFFFAOYSA-N 0 0 261.203 2.586 20 5 CFBDRN CC(C)[C@@H]1C[C@H](CNc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882418822 645306212 /nfs/dbraw/zinc/30/62/12/645306212.db2.gz OKKGDCADCNMFCT-PWSUYJOCSA-N 0 0 297.330 2.992 20 5 CFBDRN CC1(C)C[C@@H](CNc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882436096 645313051 /nfs/dbraw/zinc/31/30/51/645313051.db2.gz QZMTYSYHZXEROY-VIFPVBQESA-N 0 0 283.303 2.746 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)[C@@H]1CCCOC1 ZINC000882445207 645318190 /nfs/dbraw/zinc/31/81/90/645318190.db2.gz DUBVKKQGQYIOMQ-NXEZZACHSA-N 0 0 298.364 2.591 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCCOC1 ZINC000882445657 645319060 /nfs/dbraw/zinc/31/90/60/645319060.db2.gz MTXRRYQLSDOTOF-TZMCWYRMSA-N 0 0 292.335 2.530 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000882518304 645351445 /nfs/dbraw/zinc/35/14/45/645351445.db2.gz AOCPQIJUHAAXGL-XHNCKOQMSA-N 0 0 251.261 2.729 20 5 CFBDRN C[C@H]1CC2(CN1c1ccnc(F)c1[N+](=O)[O-])CCOCC2 ZINC000882518369 645351718 /nfs/dbraw/zinc/35/17/18/645351718.db2.gz QNOKXXHLQSLTQD-JTQLQIEISA-N 0 0 295.314 2.524 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC1CC2(CCC2)C1 ZINC000882530613 645354599 /nfs/dbraw/zinc/35/45/99/645354599.db2.gz RHUQNCPLNXRADR-UHFFFAOYSA-N 0 0 251.261 2.874 20 5 CFBDRN CC(=O)c1c(NCCC2(CO)CCC2)cccc1[N+](=O)[O-] ZINC000882530858 645355844 /nfs/dbraw/zinc/35/58/44/645355844.db2.gz DGDBNVKBFUKOPC-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000025346620 645372002 /nfs/dbraw/zinc/37/20/02/645372002.db2.gz FUWKADZALPCKQP-SNVBAGLBSA-N 0 0 279.340 2.876 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@@H](CCF)C1 ZINC000882621212 645390925 /nfs/dbraw/zinc/39/09/25/645390925.db2.gz OVJOGRFCUAYITM-VIFPVBQESA-N 0 0 271.267 2.705 20 5 CFBDRN C[C@@]1(CNc2ccnc(F)c2[N+](=O)[O-])CC1(F)F ZINC000882620790 645391005 /nfs/dbraw/zinc/39/10/05/645391005.db2.gz VZGWAYPWSQSEQT-VIFPVBQESA-N 0 0 261.203 2.586 20 5 CFBDRN CC1(C)[C@H](CNc2ccnc(F)c2[N+](=O)[O-])C1(F)F ZINC000882627596 645395445 /nfs/dbraw/zinc/39/54/45/645395445.db2.gz RJKHUWLCBJGNEP-ZETCQYMHSA-N 0 0 275.230 2.832 20 5 CFBDRN CO[C@H](CNc1ccnc(F)c1[N+](=O)[O-])C1CCCC1 ZINC000882632768 645396547 /nfs/dbraw/zinc/39/65/47/645396547.db2.gz ZFLHPLMKTUKMTF-LLVKDONJSA-N 0 0 283.303 2.746 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC[C@H]1CCSC1 ZINC000882675590 645408225 /nfs/dbraw/zinc/40/82/25/645408225.db2.gz TUBYQLUVNVSAAR-QMMMGPOBSA-N 0 0 271.317 2.684 20 5 CFBDRN CS[C@H](C)CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000129858959 645421191 /nfs/dbraw/zinc/42/11/91/645421191.db2.gz PFWWUIVJLXXEDR-MRVPVSSYSA-N 0 0 286.328 2.524 20 5 CFBDRN C[C@H](O)CSc1nccc2ccc([N+](=O)[O-])cc21 ZINC000882737958 645437217 /nfs/dbraw/zinc/43/72/17/645437217.db2.gz DMZKIBFYOHLZLT-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN CCOC(=O)c1ccnc(NC[C@@H]2C=CCC2)c1[N+](=O)[O-] ZINC000882757332 645444439 /nfs/dbraw/zinc/44/44/39/645444439.db2.gz DQLTWWPPEPDFTD-SNVBAGLBSA-N 0 0 291.307 2.545 20 5 CFBDRN CC1(C)CC[C@@H](CNc2cc(N)ccc2[N+](=O)[O-])OC1 ZINC000882764374 645447970 /nfs/dbraw/zinc/44/79/70/645447970.db2.gz SFCJFKZTZLNLOQ-NSHDSACASA-N 0 0 279.340 2.794 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCC[C@H](CF)C3)c21 ZINC000882802163 645463025 /nfs/dbraw/zinc/46/30/25/645463025.db2.gz SMHPMPRBACVCAT-SNVBAGLBSA-N 0 0 290.298 2.724 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](CF)C2)c(Cl)c1 ZINC000882801136 645463223 /nfs/dbraw/zinc/46/32/23/645463223.db2.gz GCNWQIZFQHJSRZ-QMMMGPOBSA-N 0 0 273.695 2.829 20 5 CFBDRN CC(C)Oc1nc(N2CCC[C@H](CF)C2)ccc1[N+](=O)[O-] ZINC000882803399 645463758 /nfs/dbraw/zinc/46/37/58/645463758.db2.gz ORRMDIAPCHPBRP-LLVKDONJSA-N 0 0 297.330 2.963 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@@H](CF)C3)c2c1 ZINC000882839051 645474317 /nfs/dbraw/zinc/47/43/17/645474317.db2.gz FYKYSPTVOHUFHU-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN Cc1cc(N2CC[C@@H](c3nccs3)C2)ncc1[N+](=O)[O-] ZINC000882859855 645480885 /nfs/dbraw/zinc/48/08/85/645480885.db2.gz NZWNYNCYFDQBCH-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@]1(C)c1ccccc1 ZINC000882901943 645502926 /nfs/dbraw/zinc/50/29/26/645502926.db2.gz UNHVGKZTHOQSMP-OAHLLOKOSA-N 0 0 286.335 2.844 20 5 CFBDRN CCCCOC(=O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000130516975 645515293 /nfs/dbraw/zinc/51/52/93/645515293.db2.gz OFGIIMLOHDJMJV-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1F)[C@H]1CCCOC1 ZINC000882954519 645526451 /nfs/dbraw/zinc/52/64/51/645526451.db2.gz PUZUTDVAEBACRR-CABZTGNLSA-N 0 0 283.303 2.746 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCC2(CCC2)O1 ZINC000882972962 645536094 /nfs/dbraw/zinc/53/60/94/645536094.db2.gz CRSOBSIVJGOOBD-LLVKDONJSA-N 0 0 294.355 2.633 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCS[C@H](C)C2)c1[N+](=O)[O-] ZINC000130792255 645571509 /nfs/dbraw/zinc/57/15/09/645571509.db2.gz BQIOHEIAOMBJBR-SECBINFHSA-N 0 0 298.339 2.620 20 5 CFBDRN CCCCOCCOc1cc(F)ccc1[N+](=O)[O-] ZINC000097506428 651029921 /nfs/dbraw/zinc/02/99/21/651029921.db2.gz IPLZQBFBFVHDFF-UHFFFAOYSA-N 0 0 257.261 2.929 20 5 CFBDRN COC(=O)/C(C)=C\COc1ccc([N+](=O)[O-])cc1Cl ZINC000214597111 645778260 /nfs/dbraw/zinc/77/82/60/645778260.db2.gz ANBMQVUMSRKGGM-YVMONPNESA-N 0 0 285.683 2.746 20 5 CFBDRN Cc1ncsc1C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131813442 645829455 /nfs/dbraw/zinc/82/94/55/645829455.db2.gz PVTWAZUYEHOFFY-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN CCOCCCC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131930854 645852356 /nfs/dbraw/zinc/85/23/56/645852356.db2.gz JCMODXNLGOSQLF-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN C=C/C=C\CCNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000883539730 645879678 /nfs/dbraw/zinc/87/96/78/645879678.db2.gz IMWCJROJAAYJKN-ARJAWSKDSA-N 0 0 289.335 2.569 20 5 CFBDRN C=C/C=C/CCNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000883539731 645880281 /nfs/dbraw/zinc/88/02/81/645880281.db2.gz IMWCJROJAAYJKN-ONEGZZNKSA-N 0 0 289.335 2.569 20 5 CFBDRN C[C@H](NC(=O)N1CCC(F)CC1)c1ccccc1[N+](=O)[O-] ZINC000883553138 645885455 /nfs/dbraw/zinc/88/54/55/645885455.db2.gz LLCUATMNTHMLFS-JTQLQIEISA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1ncsc1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132438093 645916314 /nfs/dbraw/zinc/91/63/14/645916314.db2.gz UDLJLLHKYFCCQD-UHFFFAOYSA-N 0 0 282.252 2.718 20 5 CFBDRN C[N@@H+](Cc1ccc(Cl)c([N+](=O)[O-])c1)C[C@H]1CCC[C@@H]1O ZINC000305308944 651057492 /nfs/dbraw/zinc/05/74/92/651057492.db2.gz JBCYQDSVCOSWKC-RISCZKNCSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1noc(C)c1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132547460 645930862 /nfs/dbraw/zinc/93/08/62/645930862.db2.gz YQABAZDPGRXRMV-UHFFFAOYSA-N 0 0 280.211 2.558 20 5 CFBDRN O=C(CCc1cccnc1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132547226 645931051 /nfs/dbraw/zinc/93/10/51/645931051.db2.gz IOXVDZKJCGOLEK-UHFFFAOYSA-N 0 0 290.250 2.667 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC[C@H]1C=CCC1 ZINC000883779164 645967651 /nfs/dbraw/zinc/96/76/51/645967651.db2.gz QHAYELSOGYFLJZ-LBPRGKRZSA-N 0 0 289.335 2.702 20 5 CFBDRN O=C(OC[C@H]1CCC2(CCC2)O1)c1ccc([N+](=O)[O-])s1 ZINC000883937853 646054040 /nfs/dbraw/zinc/05/40/40/646054040.db2.gz OTNXKPLHLLCZAC-SECBINFHSA-N 0 0 297.332 2.915 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ccncc12)N1C[C@@H]2C[C@@H]2C1 ZINC000889056171 646062179 /nfs/dbraw/zinc/06/21/79/646062179.db2.gz GNZMZMLBTQIOBC-AOOOYVTPSA-N 0 0 298.302 2.627 20 5 CFBDRN C[C@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000133337308 646074948 /nfs/dbraw/zinc/07/49/48/646074948.db2.gz UFAUMMGNRVPCEI-JGVFFNPUSA-N 0 0 262.269 2.732 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000800031187 646111328 /nfs/dbraw/zinc/11/13/28/646111328.db2.gz VUQJCBHMCKVWNU-HONMWMINSA-N 0 0 293.319 2.809 20 5 CFBDRN Cc1cc(C(=O)OC[C@H](F)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000800095036 646138508 /nfs/dbraw/zinc/13/85/08/646138508.db2.gz DSUZPSKYUVJOTK-VIFPVBQESA-N 0 0 295.188 2.960 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CC1CC1 ZINC000800144334 646156289 /nfs/dbraw/zinc/15/62/89/646156289.db2.gz QOLWXUXAZQCOAS-UHFFFAOYSA-N 0 0 252.245 2.781 20 5 CFBDRN Cc1ccc(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)nc1 ZINC000800150812 646157790 /nfs/dbraw/zinc/15/77/90/646157790.db2.gz AMMDLZQAKOJWGF-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCCC1CCC1 ZINC000133597262 646170179 /nfs/dbraw/zinc/17/01/79/646170179.db2.gz ZZOOAGYIJPGCNW-RMKNXTFCSA-N 0 0 274.320 2.914 20 5 CFBDRN Cc1cccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)n1 ZINC000134027481 646266960 /nfs/dbraw/zinc/26/69/60/646266960.db2.gz JNDJVBZLUQWREZ-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN O=C(NCCC1CCC1)c1ccc([N+](=O)[O-])s1 ZINC000134067261 646273872 /nfs/dbraw/zinc/27/38/72/646273872.db2.gz KZCBKXCWHAZIRT-UHFFFAOYSA-N 0 0 254.311 2.576 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000134270774 646316859 /nfs/dbraw/zinc/31/68/59/646316859.db2.gz OVPIOVVWFSNYDT-JTQLQIEISA-N 0 0 282.365 2.775 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C(C)(C)C ZINC000134543446 646360444 /nfs/dbraw/zinc/36/04/44/646360444.db2.gz JDEYUQKTBINZCR-MRVPVSSYSA-N 0 0 268.288 2.898 20 5 CFBDRN CCC[C@H](C)CC(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884483917 646390697 /nfs/dbraw/zinc/39/06/97/646390697.db2.gz CEMJTUJYKKTTDN-JTQLQIEISA-N 0 0 266.297 2.859 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884486964 646392995 /nfs/dbraw/zinc/39/29/95/646392995.db2.gz JMMRBUCTNWOMHE-ZWNOBZJWSA-N 0 0 287.319 2.980 20 5 CFBDRN C=C/C=C\CCOC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000884486129 646393601 /nfs/dbraw/zinc/39/36/01/646393601.db2.gz OYESIIUENFTRIA-WAYWQWQTSA-N 0 0 279.296 2.730 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC[C@@H]2O)c2ccccc21 ZINC000884527166 646423004 /nfs/dbraw/zinc/42/30/04/646423004.db2.gz KMFDKZRRORHAQP-YGRLFVJLSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CCCC(C)(C)O1)[N+](=O)[O-] ZINC000884534153 646428395 /nfs/dbraw/zinc/42/83/95/646428395.db2.gz AIEPEQUYUJYFNZ-NSHDSACASA-N 0 0 287.356 2.713 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])c3ccccc32)CO1 ZINC000884543352 646434966 /nfs/dbraw/zinc/43/49/66/646434966.db2.gz BQJGPRYPIARXMB-NXEZZACHSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)nn2)c1 ZINC000135031318 646438261 /nfs/dbraw/zinc/43/82/61/646438261.db2.gz UBHHPDBFHNCJTG-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN COCC1CN(c2cccc(C(F)(F)F)c2[N+](=O)[O-])C1 ZINC000884547769 646440225 /nfs/dbraw/zinc/44/02/25/646440225.db2.gz UOYCMLSJCFXTKU-UHFFFAOYSA-N 0 0 290.241 2.696 20 5 CFBDRN C[C@H]1CCCC[C@@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884554744 646445077 /nfs/dbraw/zinc/44/50/77/646445077.db2.gz BBUQPXXARNUYNF-JQWIXIFHSA-N 0 0 278.308 2.859 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])C1CC2(CCC2)C1 ZINC000884562603 646453487 /nfs/dbraw/zinc/45/34/87/646453487.db2.gz FIQUUWYIEJWICO-UHFFFAOYSA-N 0 0 276.292 2.613 20 5 CFBDRN CCOc1cc(N2C[C@@H]3CSC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000884645709 646505324 /nfs/dbraw/zinc/50/53/24/646505324.db2.gz RZVMKTSBFTUUTG-PHIMTYICSA-N 0 0 294.376 2.793 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNc1cc(N)ccc1[N+](=O)[O-] ZINC000884650054 646508448 /nfs/dbraw/zinc/50/84/48/646508448.db2.gz XILRNRPTBXTQLV-LSKIRQOJSA-N 0 0 261.325 2.881 20 5 CFBDRN CC1(C)CO[C@@H](CCNc2ccnc(F)c2[N+](=O)[O-])C1 ZINC000884674673 646522863 /nfs/dbraw/zinc/52/28/63/646522863.db2.gz CKSCGYDPGTVOBY-VIFPVBQESA-N 0 0 283.303 2.746 20 5 CFBDRN O=C(Nc1ccsc1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000135377471 646526815 /nfs/dbraw/zinc/52/68/15/646526815.db2.gz UYAPJNRZYXJJPH-UHFFFAOYSA-N 0 0 293.260 2.817 20 5 CFBDRN C[C@@H]1C[C@]2(CC[C@@H](CNc3ccc([N+](=O)[O-])cc3)O2)CO1 ZINC000884698198 646532614 /nfs/dbraw/zinc/53/26/14/646532614.db2.gz KOXSWPWBENZHDW-BYCMXARLSA-N 0 0 292.335 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]([C@H]3CCCO3)C2)cc1 ZINC000884730670 646543248 /nfs/dbraw/zinc/54/32/48/646543248.db2.gz MHFLWVSLVDQJKV-SMDDNHRTSA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H]([C@H]2CCCO2)C1 ZINC000884731136 646544172 /nfs/dbraw/zinc/54/41/72/646544172.db2.gz TZAQOAMRWNRXQS-SMDDNHRTSA-N 0 0 262.309 2.600 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H]([C@@H]3CCCO3)C2)c1 ZINC000884732585 646546090 /nfs/dbraw/zinc/54/60/90/646546090.db2.gz QHDBEFKJKQARMS-DOMZBBRYSA-N 0 0 276.336 2.909 20 5 CFBDRN COC[C@H](Nc1ccc(C)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000884796978 646553149 /nfs/dbraw/zinc/55/31/49/646553149.db2.gz VLXMHAVHFMFFKK-JSGCOSHPSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1cc(NCC2(C3(O)CCC3)CCC2)ncc1[N+](=O)[O-] ZINC000884807527 646553862 /nfs/dbraw/zinc/55/38/62/646553862.db2.gz BTHFWSRAARSTFO-UHFFFAOYSA-N 0 0 291.351 2.795 20 5 CFBDRN Cc1c[nH]c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000135665922 646569500 /nfs/dbraw/zinc/56/95/00/646569500.db2.gz OTMGDHSCJWZXHS-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC[C@H]1CCC[C@@]1(C)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000885059127 646594606 /nfs/dbraw/zinc/59/46/06/646594606.db2.gz HYVGGRHQTIZZRM-SMDDNHRTSA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C[C@H](C2CC2)C[C@@H]1C1CC1 ZINC000885229315 646643973 /nfs/dbraw/zinc/64/39/73/646643973.db2.gz QSTLHKWFNBIFQX-VXGBXAGGSA-N 0 0 290.319 2.839 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885423957 646684854 /nfs/dbraw/zinc/68/48/54/646684854.db2.gz GYJCCRRGZKGPQM-JTQLQIEISA-N 0 0 279.292 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC[C@H]2CCCO2)c1C ZINC000885424398 646684979 /nfs/dbraw/zinc/68/49/79/646684979.db2.gz PEFZPGQCELKFLS-GFCCVEGCSA-N 0 0 293.319 2.938 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(F)F)c1C ZINC000885424147 646684999 /nfs/dbraw/zinc/68/49/99/646684999.db2.gz LNFKBENTQCQTFS-UHFFFAOYSA-N 0 0 259.208 2.634 20 5 CFBDRN CC(=O)CCCOC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885426929 646685649 /nfs/dbraw/zinc/68/56/49/646685649.db2.gz MFYVSQLYMLMODF-UHFFFAOYSA-N 0 0 279.292 2.738 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H](C)CO1)c1cccc([N+](=O)[O-])c1 ZINC000885566587 646708021 /nfs/dbraw/zinc/70/80/21/646708021.db2.gz LSZUIVPMVZGTHN-CWSCBRNRSA-N 0 0 279.292 2.624 20 5 CFBDRN CC[C@H](C)OC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 ZINC000136101693 646739804 /nfs/dbraw/zinc/73/98/04/646739804.db2.gz GXPAFRAQRSGKGH-JTQLQIEISA-N 0 0 289.291 2.736 20 5 CFBDRN COC[C@H](CNC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000885820678 646753943 /nfs/dbraw/zinc/75/39/43/646753943.db2.gz HHPUEXHKEMVQIG-ZDUSSCGKSA-N 0 0 294.351 2.552 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000886004495 646809116 /nfs/dbraw/zinc/80/91/16/646809116.db2.gz FPDHIZNQSKRSRQ-BZNIZROVSA-N 0 0 299.330 2.996 20 5 CFBDRN CO[C@]1(C)C[C@@H](N(C)Cc2cccnc2[N+](=O)[O-])C1(C)C ZINC000886027776 646827593 /nfs/dbraw/zinc/82/75/93/646827593.db2.gz ILOQECQYJJLJGR-IUODEOHRSA-N 0 0 293.367 2.625 20 5 CFBDRN Cc1csc(C(C)(C)NCc2cccnc2[N+](=O)[O-])n1 ZINC000886067229 646851641 /nfs/dbraw/zinc/85/16/41/646851641.db2.gz ISQKEBUVVBMKEB-UHFFFAOYSA-N 0 0 292.364 2.780 20 5 CFBDRN COc1ccccc1[C@@H](C)NCc1cccnc1[N+](=O)[O-] ZINC000886104713 646874367 /nfs/dbraw/zinc/87/43/67/646874367.db2.gz IYEQSULMWGSQSH-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN O=[N+]([O-])c1ncccc1CNC1(c2ccccc2F)CC1 ZINC000886111644 646877130 /nfs/dbraw/zinc/87/71/30/646877130.db2.gz GADUUSLZOVUZRJ-UHFFFAOYSA-N 0 0 287.294 2.908 20 5 CFBDRN C[C@H](OC(=O)c1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000137340364 646913377 /nfs/dbraw/zinc/91/33/77/646913377.db2.gz YQANKGLOQYQYMU-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN CC(=O)c1ccc2c(c1)CN(Cc1cccnc1[N+](=O)[O-])C2 ZINC000886244933 646936128 /nfs/dbraw/zinc/93/61/28/646936128.db2.gz FLBKHUYSEUALIY-UHFFFAOYSA-N 0 0 297.314 2.708 20 5 CFBDRN C[C@@H](N[C@@H](CCO)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000886797469 647141237 /nfs/dbraw/zinc/14/12/37/647141237.db2.gz VCZJQRJWOOBJAK-KCJUWKMLSA-N 0 0 292.257 2.559 20 5 CFBDRN Cc1c(NC(=O)N(C)CCCF)cccc1[N+](=O)[O-] ZINC000887184053 647240430 /nfs/dbraw/zinc/24/04/30/647240430.db2.gz VBOAUZCMLDRCOP-UHFFFAOYSA-N 0 0 269.276 2.727 20 5 CFBDRN COc1c(C(=O)NCC(C)(C)C2CC2)cccc1[N+](=O)[O-] ZINC000887373538 647290387 /nfs/dbraw/zinc/29/03/87/647290387.db2.gz KFAOSNUMSDCOPL-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCC(C)(C)C1CC1 ZINC000887373838 647291043 /nfs/dbraw/zinc/29/10/43/647291043.db2.gz VCBYIQSIONCTPK-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN C[C@H](CNC(=O)[C@H]1C[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000887401280 647303006 /nfs/dbraw/zinc/30/30/06/647303006.db2.gz SAWUJHPOBWPZLS-MIMYLULJSA-N 0 0 298.289 2.716 20 5 CFBDRN C[C@@H](CNC(=O)[C@@]1(C)CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000887400590 647303148 /nfs/dbraw/zinc/30/31/48/647303148.db2.gz FHTYLVFRFWSIPL-TVQRCGJNSA-N 0 0 298.289 2.860 20 5 CFBDRN CC[C@H](C)OCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403203 647303596 /nfs/dbraw/zinc/30/35/96/647303596.db2.gz RGQOCAIURGRTSQ-NEPJUHHUSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@H](CNC(=O)[C@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000887402576 647303704 /nfs/dbraw/zinc/30/37/04/647303704.db2.gz FOAVJIACHGCXAV-ZYHUDNBSSA-N 0 0 276.336 2.861 20 5 CFBDRN CSC[C@@H](C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403062 647303852 /nfs/dbraw/zinc/30/38/52/647303852.db2.gz NTXYWHYXGSFWNN-WDEREUQCSA-N 0 0 296.392 2.814 20 5 CFBDRN C[C@@H](CNC(=O)[C@H]1CCC=CO1)c1ccccc1[N+](=O)[O-] ZINC000887403188 647303993 /nfs/dbraw/zinc/30/39/93/647303993.db2.gz QRVXGFNFUWLODG-SMDDNHRTSA-N 0 0 290.319 2.507 20 5 CFBDRN CC[C@@H](C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404652 647304588 /nfs/dbraw/zinc/30/45/88/647304588.db2.gz JGOCZMGRGSSPFM-GHMZBOCLSA-N 0 0 264.325 2.861 20 5 CFBDRN CS[C@H](C)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404412 647304736 /nfs/dbraw/zinc/30/47/36/647304736.db2.gz DQYUBMCKXBYILY-NXEZZACHSA-N 0 0 282.365 2.566 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000887404705 647304843 /nfs/dbraw/zinc/30/48/43/647304843.db2.gz KFPDTHRYMRWXSI-NSHDSACASA-N 0 0 299.330 2.832 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000887580350 647362246 /nfs/dbraw/zinc/36/22/46/647362246.db2.gz UYZPWQDJQCTWCQ-SECBINFHSA-N 0 0 287.319 2.859 20 5 CFBDRN CC[C@@H](C)NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000887580162 647362280 /nfs/dbraw/zinc/36/22/80/647362280.db2.gz NPWVOELEDYHXRR-SECBINFHSA-N 0 0 250.298 2.740 20 5 CFBDRN O=C(CC(F)(F)C(F)F)Nc1cc([N+](=O)[O-])ccc1F ZINC000887730098 647401807 /nfs/dbraw/zinc/40/18/07/647401807.db2.gz FGRLAQFBEZGBJK-UHFFFAOYSA-N 0 0 298.167 2.963 20 5 CFBDRN CN(C(=O)C[C@@H]1CC(C)(C)CO1)c1ccc([N+](=O)[O-])cc1 ZINC000887822226 647418547 /nfs/dbraw/zinc/41/85/47/647418547.db2.gz LZKAEXRDKGRHGQ-CYBMUJFWSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cccc(C)c1CN(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000887962141 647448459 /nfs/dbraw/zinc/44/84/59/647448459.db2.gz SNGPPGGGNJUAAI-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@@H](C)[C@H]1C ZINC000887984391 647456677 /nfs/dbraw/zinc/45/66/77/647456677.db2.gz JKJXIQDAHUCULX-NXEZZACHSA-N 0 0 263.297 2.775 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1C1CCCC1 ZINC000889394668 647559002 /nfs/dbraw/zinc/55/90/02/647559002.db2.gz OBGIVDPQWZDYFI-CQSZACIVSA-N 0 0 289.335 2.703 20 5 CFBDRN CCCCC1(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1 ZINC000889534328 647594350 /nfs/dbraw/zinc/59/43/50/647594350.db2.gz XPKXJSZVHCEAOI-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN CCCCC1(NC(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000889532789 647594624 /nfs/dbraw/zinc/59/46/24/647594624.db2.gz SSGHMIGGOSSTOS-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)Cc2cccnc2)c1 ZINC000889663196 647634763 /nfs/dbraw/zinc/63/47/63/647634763.db2.gz FUKXNUMGHJTFHD-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CSC[C@@H]2C)c1C ZINC000890516560 647813537 /nfs/dbraw/zinc/81/35/37/647813537.db2.gz IJBADCRDHSZWNB-GXSJLCMTSA-N 0 0 294.376 2.693 20 5 CFBDRN O=C(OCc1cn2ccccc2n1)c1ccc([N+](=O)[O-])cc1 ZINC000005666256 647850633 /nfs/dbraw/zinc/85/06/33/647850633.db2.gz NILZFGSTMJCVSI-UHFFFAOYSA-N 0 0 297.270 2.600 20 5 CFBDRN Cc1cc(C(=O)O[C@@H]2CCCCC2=O)ccc1[N+](=O)[O-] ZINC000007532516 647867150 /nfs/dbraw/zinc/86/71/50/647867150.db2.gz WCLMLKPSTZIAJI-CYBMUJFWSA-N 0 0 277.276 2.572 20 5 CFBDRN C[C@@H](OC(=O)c1ccc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000008177448 647871935 /nfs/dbraw/zinc/87/19/35/647871935.db2.gz DSQKKADPFRDWED-SECBINFHSA-N 0 0 260.249 2.841 20 5 CFBDRN Cc1cc(-c2noc([C@@H](C)N(C)C)n2)cc([N+](=O)[O-])c1 ZINC000924092797 647921823 /nfs/dbraw/zinc/92/18/23/647921823.db2.gz JCNAJEBGXVWRNI-SECBINFHSA-N 0 0 276.296 2.576 20 5 CFBDRN O=C(Cc1ccc(Cl)c([N+](=O)[O-])c1)OC[C@@H]1CCCO1 ZINC000924243779 647947182 /nfs/dbraw/zinc/94/71/82/647947182.db2.gz RXAYTSMFQIYQFQ-JTQLQIEISA-N 0 0 299.710 2.513 20 5 CFBDRN O=C(COC(=O)[C@]12C[C@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000924429355 647979780 /nfs/dbraw/zinc/97/97/80/647979780.db2.gz OMQYOGSAPBAZRR-IAQYHMDHSA-N 0 0 289.287 2.511 20 5 CFBDRN C[C@@H](NC(=O)N(C)CC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000925231516 648137355 /nfs/dbraw/zinc/13/73/55/648137355.db2.gz DZOMOXCWKRQIOC-MRVPVSSYSA-N 0 0 287.266 2.562 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@H](C)[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000925234673 648138419 /nfs/dbraw/zinc/13/84/19/648138419.db2.gz NWIXDASYVOMZEF-GRYCIOLGSA-N 0 0 291.351 2.953 20 5 CFBDRN C[C@@H](NC(=O)N1[C@@H](C)C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000925242293 648139254 /nfs/dbraw/zinc/13/92/54/648139254.db2.gz ZOJPCFWDWFLENF-GARJFASQSA-N 0 0 277.324 2.848 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000925886689 648356342 /nfs/dbraw/zinc/35/63/42/648356342.db2.gz WZMXAQUDPCWPFW-UHFFFAOYSA-N 0 0 284.337 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N=S1(=O)CCCC1 ZINC000925940998 648371078 /nfs/dbraw/zinc/37/10/78/648371078.db2.gz ODFRAUNJVAMHGM-UHFFFAOYSA-N 0 0 296.348 2.614 20 5 CFBDRN COc1cc([C@@H](C)NCc2cccc([N+](=O)[O-])c2C)on1 ZINC000926273091 648453346 /nfs/dbraw/zinc/45/33/46/648453346.db2.gz ZIKHFHSXUYPRKB-SNVBAGLBSA-N 0 0 291.307 2.751 20 5 CFBDRN C[C@]1(CNC(=O)Nc2cccc([N+](=O)[O-])c2)CCCCO1 ZINC000927190960 648736649 /nfs/dbraw/zinc/73/66/49/648736649.db2.gz MUDBJKQLFAQOOH-CQSZACIVSA-N 0 0 293.323 2.676 20 5 CFBDRN CC(C)(CCC(=O)NC[C@@]12C[C@@H]1CCCC2)[N+](=O)[O-] ZINC000927430106 648775775 /nfs/dbraw/zinc/77/57/75/648775775.db2.gz PWWIDKDMLVLXPL-SMDDNHRTSA-N 0 0 268.357 2.518 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000928045287 648849459 /nfs/dbraw/zinc/84/94/59/648849459.db2.gz DMTIWZZFFRZELX-WRSRJMLGSA-N 0 0 274.320 2.678 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000928185895 648868995 /nfs/dbraw/zinc/86/89/95/648868995.db2.gz ONPYLBFLUSENJG-VXGBXAGGSA-N 0 0 270.373 2.717 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1O[C@H](C)C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000928244961 648886430 /nfs/dbraw/zinc/88/64/30/648886430.db2.gz HVYYFWXRZLYCID-ICUOPCATSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928245141 648887088 /nfs/dbraw/zinc/88/70/88/648887088.db2.gz LMRBUDRUTLFJAU-FZQKWOKYSA-N 0 0 274.320 2.818 20 5 CFBDRN CCCCC[C@@H](O)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245704 648887969 /nfs/dbraw/zinc/88/79/69/648887969.db2.gz VREUEZXWAOSGMF-BXUZGUMPSA-N 0 0 294.351 2.713 20 5 CFBDRN CCCCO[C@H](C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247763 648888188 /nfs/dbraw/zinc/88/81/88/648888188.db2.gz ZKJTVSVKWUTEIF-VXGBXAGGSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccoc1)c1ccc([N+](=O)[O-])cc1 ZINC000928249661 648888502 /nfs/dbraw/zinc/88/85/02/648888502.db2.gz UPWHDIYUBXXVHX-LLVKDONJSA-N 0 0 288.303 2.998 20 5 CFBDRN C[C@@H](NC(=O)C1(C2CC2)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928250289 648890425 /nfs/dbraw/zinc/89/04/25/648890425.db2.gz ZCBGVTUYQFBIGR-SNVBAGLBSA-N 0 0 274.320 2.962 20 5 CFBDRN O=C(N[C@H](c1ccccn1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000928606544 648931902 /nfs/dbraw/zinc/93/19/02/648931902.db2.gz HJLSSNHHEWWQHK-HNNXBMFYSA-N 0 0 297.314 2.871 20 5 CFBDRN CNc1ccc(C(=O)NC(C)(C)/C=C/Cl)cc1[N+](=O)[O-] ZINC000928937804 648968194 /nfs/dbraw/zinc/96/81/94/648968194.db2.gz PPPFNXDAIMFOCI-VOTSOKGWSA-N 0 0 297.742 2.897 20 5 CFBDRN O=C([C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCCCC1 ZINC000929096902 648978014 /nfs/dbraw/zinc/97/80/14/648978014.db2.gz JJOOHFHBHCTVRD-KGLIPLIRSA-N 0 0 274.320 2.711 20 5 CFBDRN Cc1cc(CN(C)Cc2ccccc2[N+](=O)[O-])c(C)nn1 ZINC000929165336 648992977 /nfs/dbraw/zinc/99/29/77/648992977.db2.gz SEEXRLYUMSLIJT-UHFFFAOYSA-N 0 0 286.335 2.634 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1C2CCC1CC2 ZINC000929675661 649093477 /nfs/dbraw/zinc/09/34/77/649093477.db2.gz GYOVRMWWHZYCCY-BBNOBNGHSA-N 0 0 286.331 2.852 20 5 CFBDRN O=[N+]([O-])CC[N@@H+]1CCC[C@H](COc2ccccc2Cl)C1 ZINC000930084900 649180860 /nfs/dbraw/zinc/18/08/60/649180860.db2.gz CZHXIWVFBVBIFJ-LBPRGKRZSA-N 0 0 298.770 2.708 20 5 CFBDRN COc1ccc(CNC(=O)[C@@]2(C)C=CCC2)cc1[N+](=O)[O-] ZINC000930339328 649234064 /nfs/dbraw/zinc/23/40/64/649234064.db2.gz UZXHBAGRXTXUOG-HNNXBMFYSA-N 0 0 290.319 2.576 20 5 CFBDRN CCCN(C)C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000931109502 649380498 /nfs/dbraw/zinc/38/04/98/649380498.db2.gz VOVGIFOUZJFMRN-CHWSQXEVSA-N 0 0 262.309 2.567 20 5 CFBDRN CCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000931108505 649380568 /nfs/dbraw/zinc/38/05/68/649380568.db2.gz HBOPNPGQRSWANX-UHFFFAOYSA-N 0 0 268.338 2.799 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000931608334 649461979 /nfs/dbraw/zinc/46/19/79/649461979.db2.gz SDJFCGMUFRUPMB-ZIYJGFGOSA-N 0 0 293.298 2.900 20 5 CFBDRN CCCCCCNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000036147183 649496474 /nfs/dbraw/zinc/49/64/74/649496474.db2.gz AATBNGXPNATDLB-UHFFFAOYSA-N 0 0 293.367 2.971 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000933367320 649689036 /nfs/dbraw/zinc/68/90/36/649689036.db2.gz VXKQEWVDVRPTJH-FDRIWYBQSA-N 0 0 288.347 2.955 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000933368224 649689312 /nfs/dbraw/zinc/68/93/12/649689312.db2.gz LSRKGHNZOBOLOF-MNOVXSKESA-N 0 0 294.326 2.923 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCSC1 ZINC000933686761 649714393 /nfs/dbraw/zinc/71/43/93/649714393.db2.gz QYGMCPGYRSOISI-QMMMGPOBSA-N 0 0 298.389 2.552 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[N@@H+]1CCCSC[C@H]1C ZINC000934296539 649769057 /nfs/dbraw/zinc/76/90/57/649769057.db2.gz JVKLFZYCSMHTNG-LLVKDONJSA-N 0 0 296.392 2.931 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OCCn2cccn2)cc1F ZINC000306062913 651088144 /nfs/dbraw/zinc/08/81/44/651088144.db2.gz TWCFPNUWEQEPSH-UHFFFAOYSA-N 0 0 285.662 2.663 20 5 CFBDRN CC(C)C[C@H](O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000306102849 651088329 /nfs/dbraw/zinc/08/83/29/651088329.db2.gz QEJZJCRVQJTHQQ-VIFPVBQESA-N 0 0 256.277 2.553 20 5 CFBDRN O=[N+]([O-])c1cccc(OS(=O)(=O)c2ccccc2F)c1 ZINC000016091766 651238956 /nfs/dbraw/zinc/23/89/56/651238956.db2.gz WWSSHESTQSNCFR-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)[C@@H]1C ZINC000017442142 651279623 /nfs/dbraw/zinc/27/96/23/651279623.db2.gz UYVHESQXXCKXQV-BREBYQMCSA-N 0 0 291.351 2.732 20 5 CFBDRN CC(C)CS(=O)(=O)Oc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000118428699 651291030 /nfs/dbraw/zinc/29/10/30/651291030.db2.gz UAZJKSIJHHKNFI-UHFFFAOYSA-N 0 0 293.728 2.613 20 5 CFBDRN COc1nc(NC[C@@H]2CCCC[C@H]2C)ncc1[N+](=O)[O-] ZINC001155072706 782199229 /nfs/dbraw/zinc/19/92/29/782199229.db2.gz MXAUVCVBOLVXKI-ZJUUUORDSA-N 0 0 280.328 2.632 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(NC[C@@H]2CCCC[C@H]2C)n1 ZINC001155073927 782201453 /nfs/dbraw/zinc/20/14/53/782201453.db2.gz VUHLVKKQNVLZSQ-KOLCDFICSA-N 0 0 264.329 2.931 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1N ZINC001155078426 782203541 /nfs/dbraw/zinc/20/35/41/782203541.db2.gz RZLWQXLNJVZEHF-VHSXEESVSA-N 0 0 264.329 2.810 20 5 CFBDRN C[C@@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C1(C)CC1 ZINC000706267924 770276485 /nfs/dbraw/zinc/27/64/85/770276485.db2.gz DZWNGMQKRCSISF-SSDOTTSWSA-N 0 0 284.262 2.791 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Nc2cnc(Cl)cn2)c1 ZINC001216480976 770944371 /nfs/dbraw/zinc/94/43/71/770944371.db2.gz POYAZNQIVULWKL-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC(c3cccnc3)C2)n1 ZINC001167067960 769903434 /nfs/dbraw/zinc/90/34/34/769903434.db2.gz UVOQUUHIUBUIIU-UHFFFAOYSA-N 0 0 284.319 2.605 20 5 CFBDRN Cc1cc2c(cn1)CN(c1cc(Cl)cc([N+](=O)[O-])n1)C2 ZINC001167110811 770035559 /nfs/dbraw/zinc/03/55/59/770035559.db2.gz YBGOYTILQKOLID-UHFFFAOYSA-N 0 0 290.710 2.867 20 5 CFBDRN CCC(CC)N(CC)c1nc(C)ncc1[N+](=O)[O-] ZINC001167111269 770035999 /nfs/dbraw/zinc/03/59/99/770035999.db2.gz IUQMPOYMDHAYKU-UHFFFAOYSA-N 0 0 252.318 2.708 20 5 CFBDRN COC(=O)/C=C/c1ccc(Nc2cccc([N+](=O)[O-])c2)nc1 ZINC001204450337 770111983 /nfs/dbraw/zinc/11/19/83/770111983.db2.gz NQTQHDYCLRBBFB-SOFGYWHQSA-N 0 0 299.286 2.920 20 5 CFBDRN C[C@@H]1CO[C@@H]2CN(c3ccc4c(cccc4[N+](=O)[O-])n3)C[C@H]12 ZINC001167169892 770120670 /nfs/dbraw/zinc/12/06/70/770120670.db2.gz IODVKMYUGLLDFM-IXPVHAAZSA-N 0 0 299.330 2.614 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N2CC[C@H]3OCC[C@H]3C2)n1 ZINC001167215579 770147748 /nfs/dbraw/zinc/14/77/48/770147748.db2.gz PUTOFAMDEYXKAN-GXSJLCMTSA-N 0 0 297.742 2.567 20 5 CFBDRN O=C1Cc2ccc(Nc3cccc([N+](=O)[O-])c3)cc2CN1 ZINC001204477617 770178182 /nfs/dbraw/zinc/17/81/82/770178182.db2.gz WLYQRNOSDCKREW-UHFFFAOYSA-N 0 0 283.287 2.511 20 5 CFBDRN COc1ncnc(Cl)c1Nc1cccc([N+](=O)[O-])c1F ZINC001210377972 770274563 /nfs/dbraw/zinc/27/45/63/770274563.db2.gz FKKSVJVLFFIIHT-UHFFFAOYSA-N 0 0 298.661 2.930 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cnc(Cl)cn2)c1F ZINC001210379073 770275157 /nfs/dbraw/zinc/27/51/57/770275157.db2.gz QMEFITGUHJXGSY-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN CN(C)c1ccc(Nc2cccc([N+](=O)[O-])c2F)cn1 ZINC001210378084 770275273 /nfs/dbraw/zinc/27/52/73/770275273.db2.gz AAHQZVDQSYAYRP-UHFFFAOYSA-N 0 0 276.271 2.939 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1ncncc1Cl ZINC001210443351 770285925 /nfs/dbraw/zinc/28/59/25/770285925.db2.gz CPVAWJMQOIGIJC-UHFFFAOYSA-N 0 0 280.671 2.790 20 5 CFBDRN O=[N+]([O-])c1cc(Nc2ccnnc2Cl)ccc1F ZINC001211191444 770449758 /nfs/dbraw/zinc/44/97/58/770449758.db2.gz VSTHLHJMCWNNDL-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN Cc1cc(NC(=O)c2cnn(C(F)F)c2)ccc1[N+](=O)[O-] ZINC001266633290 770464134 /nfs/dbraw/zinc/46/41/34/770464134.db2.gz GZTZPMUXBTZBTQ-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN CC(=O)NCC1(Nc2cccc(F)c2[N+](=O)[O-])CCCC1 ZINC001104357919 770583412 /nfs/dbraw/zinc/58/34/12/770583412.db2.gz LOGVBSDPUVLSGP-UHFFFAOYSA-N 0 0 295.314 2.595 20 5 CFBDRN Cc1nc(C)c(COc2cc(F)ccc2[N+](=O)[O-])o1 ZINC000723660251 770642550 /nfs/dbraw/zinc/64/25/50/770642550.db2.gz ZNXFPCFZSWCBSK-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN COC(C)(C)CNC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588439675 770696886 /nfs/dbraw/zinc/69/68/86/770696886.db2.gz OGOBARGEEAYQSQ-LUAWRHEFSA-N 0 0 292.335 2.539 20 5 CFBDRN CCOCCOC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588445118 770705335 /nfs/dbraw/zinc/70/53/35/770705335.db2.gz JKPHGXVAKFTHNE-KHPPLWFESA-N 0 0 279.292 2.578 20 5 CFBDRN CO[C@H](C)CCOC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588447810 770723152 /nfs/dbraw/zinc/72/31/52/770723152.db2.gz ANGGKDQRJVVZAY-NLYDNYMLSA-N 0 0 293.319 2.966 20 5 CFBDRN Cc1cc(C(=O)OCC(F)(F)F)cc([N+](=O)[O-])c1 ZINC000121796500 770915576 /nfs/dbraw/zinc/91/55/76/770915576.db2.gz WZJCVIRFAWNMDR-UHFFFAOYSA-N 0 0 263.171 2.622 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)NCCCCC(C)C ZINC001216474585 770942150 /nfs/dbraw/zinc/94/21/50/770942150.db2.gz REQDSOFUQZNLEL-UHFFFAOYSA-N 0 0 294.355 2.668 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(Nc2cnc3nccn3c2)c1 ZINC001216481875 770944185 /nfs/dbraw/zinc/94/41/85/770944185.db2.gz HVPKHLYURRUWNJ-UHFFFAOYSA-N 0 0 273.227 2.520 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1cc(F)ccc1[N+](=O)[O-] ZINC000693608130 770949478 /nfs/dbraw/zinc/94/94/78/770949478.db2.gz GEWCIFXXFQFWEB-OTYXRUKQSA-N 0 0 278.283 2.654 20 5 CFBDRN Nc1cc(CNc2cc([N+](=O)[O-])ccc2Cl)ccn1 ZINC001167617143 770993238 /nfs/dbraw/zinc/99/32/38/770993238.db2.gz HVPHAQCMYZSCNE-UHFFFAOYSA-N 0 0 278.699 2.838 20 5 CFBDRN CCOC(=O)[C@H](C)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001204600177 773212605 /nfs/dbraw/zinc/21/26/05/773212605.db2.gz WLEVMKIKFYGTRD-ZJUUUORDSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc(Nc2cnc3nccn3c2)cc1[N+](=O)[O-] ZINC001213665812 773215117 /nfs/dbraw/zinc/21/51/17/773215117.db2.gz MPFJUWMIBOBRML-UHFFFAOYSA-N 0 0 269.264 2.690 20 5 CFBDRN CC(C)O[C@@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001204605076 773231463 /nfs/dbraw/zinc/23/14/63/773231463.db2.gz NPSIXBWBCBUKPV-QMMMGPOBSA-N 0 0 253.254 2.810 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCCC2)c1cc([N+](=O)[O-])ccc1F ZINC000693780287 773251635 /nfs/dbraw/zinc/25/16/35/773251635.db2.gz CNZDGVDYLZYQAT-YMTOWFKASA-N 0 0 278.283 2.796 20 5 CFBDRN CCCOC[C@@H](C)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001224098030 775101025 /nfs/dbraw/zinc/10/10/25/775101025.db2.gz ZAMKOSPTEDPGDH-SNVBAGLBSA-N 0 0 298.295 2.835 20 5 CFBDRN C[C@H](OCc1ccc([N+](=O)[O-])nc1)c1cnc2ccccn21 ZINC001224109280 775102411 /nfs/dbraw/zinc/10/24/11/775102411.db2.gz QHLUXSNAWABYJC-NSHDSACASA-N 0 0 298.302 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(COC[C@@H]2Cc3ccccc3O2)cn1 ZINC001224109336 775102550 /nfs/dbraw/zinc/10/25/50/775102550.db2.gz RDGUNRAUANEWPG-ZDUSSCGKSA-N 0 0 286.287 2.510 20 5 CFBDRN Cc1ccc([C@H](C)OCc2ccc([N+](=O)[O-])nc2)cn1 ZINC001224112861 775103546 /nfs/dbraw/zinc/10/35/46/775103546.db2.gz FCGJZAIXLOEODT-NSHDSACASA-N 0 0 273.292 2.971 20 5 CFBDRN O=C1OCC[C@H]1OCc1ccc([N+](=O)[O-])c2ccccc12 ZINC001224201461 775127079 /nfs/dbraw/zinc/12/70/79/775127079.db2.gz BYPITKVCXNVSQO-CQSZACIVSA-N 0 0 287.271 2.580 20 5 CFBDRN CC(C)OCCOCCc1ccc([N+](=O)[O-])cc1 ZINC001224331646 775158960 /nfs/dbraw/zinc/15/89/60/775158960.db2.gz QVAPKPCOAOKXOD-UHFFFAOYSA-N 0 0 253.298 2.579 20 5 CFBDRN C[C@@H]1COCC[C@H]1OCCc1ccc([N+](=O)[O-])cc1 ZINC001224333281 775161058 /nfs/dbraw/zinc/16/10/58/775161058.db2.gz DNNKRZRCHUXYPJ-BXUZGUMPSA-N 0 0 265.309 2.579 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COCCc1ccc([N+](=O)[O-])cc1 ZINC001224333843 775161164 /nfs/dbraw/zinc/16/11/64/775161164.db2.gz MZDOVRPMYSQCQE-CHWSQXEVSA-N 0 0 297.351 2.594 20 5 CFBDRN COC(CCO[C@@H](C)c1ccccc1[N+](=O)[O-])OC ZINC001224343363 775164382 /nfs/dbraw/zinc/16/43/82/775164382.db2.gz YCGPOSYRJRWUKC-JTQLQIEISA-N 0 0 269.297 2.681 20 5 CFBDRN COc1ccc(OC(=O)/C=C(/C)C2CC2)cc1[N+](=O)[O-] ZINC000588587415 775218655 /nfs/dbraw/zinc/21/86/55/775218655.db2.gz NUEWTTODBIDVRY-CLFYSBASSA-N 0 0 277.276 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](OCC[C@@H]1CCOC1)C2 ZINC001224695665 775250353 /nfs/dbraw/zinc/25/03/53/775250353.db2.gz BMNZRPIGNVCMIA-NHYWBVRUSA-N 0 0 277.320 2.505 20 5 CFBDRN C[C@H](OCCC1OCCCO1)c1ccccc1[N+](=O)[O-] ZINC001224727433 775260765 /nfs/dbraw/zinc/26/07/65/775260765.db2.gz CQIPLHAQNNIASR-NSHDSACASA-N 0 0 281.308 2.826 20 5 CFBDRN CCO[C@@H]1C[C@H]1COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000588599932 775262744 /nfs/dbraw/zinc/26/27/44/775262744.db2.gz TTXFOWLSGCJORG-IOXUZPACSA-N 0 0 291.303 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](OCC2COC2)C(F)(F)F)cc1 ZINC001224749022 775265079 /nfs/dbraw/zinc/26/50/79/775265079.db2.gz WXAGFFYWFOCARW-NSHDSACASA-N 0 0 291.225 2.861 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)nc(C)n1 ZINC000340615133 775270357 /nfs/dbraw/zinc/27/03/57/775270357.db2.gz DNETWYHDPIZIMV-NSHDSACASA-N 0 0 286.335 2.852 20 5 CFBDRN C[C@@H](OCCCC1OCCO1)c1cccc([N+](=O)[O-])c1 ZINC001224934861 775310705 /nfs/dbraw/zinc/31/07/05/775310705.db2.gz FSKVFQJTLZTKFK-LLVKDONJSA-N 0 0 281.308 2.826 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(F)cccc1F)c1cccnc1 ZINC001225207896 775366805 /nfs/dbraw/zinc/36/68/05/775366805.db2.gz RYPCDUBOHNPZLL-LBPRGKRZSA-N 0 0 280.230 2.757 20 5 CFBDRN Cc1cccc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)n1 ZINC001225274974 775387083 /nfs/dbraw/zinc/38/70/83/775387083.db2.gz AHFFITUZXZMMDY-CQSZACIVSA-N 0 0 270.288 2.844 20 5 CFBDRN Cc1ccc(O[C@H](C)c2cccc([N+](=O)[O-])c2)c(CO)n1 ZINC001225285998 775392536 /nfs/dbraw/zinc/39/25/36/775392536.db2.gz KFSVGAFSILFPNG-LLVKDONJSA-N 0 0 288.303 2.931 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccncc1)C2 ZINC001225289059 775393594 /nfs/dbraw/zinc/39/35/94/775393594.db2.gz FXFVOKNUWGXLNH-AWEZNQCLSA-N 0 0 256.261 2.536 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2ccccc2[N+](=O)[O-])c(CO)n1 ZINC001225288227 775394141 /nfs/dbraw/zinc/39/41/41/775394141.db2.gz WUXFVHLZPYWONJ-NSHDSACASA-N 0 0 288.303 2.931 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccc(F)cc1F ZINC001225312533 775407168 /nfs/dbraw/zinc/40/71/68/775407168.db2.gz UIYQKNWDEBZQSO-QMMMGPOBSA-N 0 0 297.261 2.845 20 5 CFBDRN C[C@@H](Oc1ccc(F)c([N+](=O)[O-])c1)c1cnccn1 ZINC001225385902 775438057 /nfs/dbraw/zinc/43/80/57/775438057.db2.gz UTTPRPSNUVFXQJ-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385520 775438638 /nfs/dbraw/zinc/43/86/38/775438638.db2.gz TYGLIBKTVMQBGJ-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC001225383713 775438873 /nfs/dbraw/zinc/43/88/73/775438873.db2.gz FVKZOTKFOSHKOD-UWVGGRQHSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H](Oc1c(Cl)nccc1[N+](=O)[O-])C1CCOCC1 ZINC001225433645 775454260 /nfs/dbraw/zinc/45/42/60/775454260.db2.gz QJYAGGGUJGVSCJ-QMMMGPOBSA-N 0 0 286.715 2.837 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccc(C)nc1C ZINC001225433640 775454282 /nfs/dbraw/zinc/45/42/82/775454282.db2.gz QJIGUMMLZIBRBP-SNVBAGLBSA-N 0 0 290.323 2.579 20 5 CFBDRN COc1cc(OC[C@H]2CCC=CO2)ccc1[N+](=O)[O-] ZINC001225435834 775455573 /nfs/dbraw/zinc/45/55/73/775455573.db2.gz LOUHZMWQVCLDTA-LLVKDONJSA-N 0 0 265.265 2.675 20 5 CFBDRN COc1cc(OC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC001225436530 775455714 /nfs/dbraw/zinc/45/57/14/775455714.db2.gz UOFVYYHNZPKKBF-UHFFFAOYSA-N 0 0 259.208 2.780 20 5 CFBDRN COc1cc(OC[C@@H]2CCC=CO2)ccc1[N+](=O)[O-] ZINC001225435835 775455806 /nfs/dbraw/zinc/45/58/06/775455806.db2.gz LOUHZMWQVCLDTA-NSHDSACASA-N 0 0 265.265 2.675 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc([N+](=O)[O-])ccc1C(=O)OC ZINC001225449980 775461946 /nfs/dbraw/zinc/46/19/46/775461946.db2.gz CSSLJIUGHKJUQB-LBPRGKRZSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c2ncccc12 ZINC001225474292 775471439 /nfs/dbraw/zinc/47/14/39/775471439.db2.gz PGMXLPNLRMFMIJ-AWEZNQCLSA-N 0 0 272.304 2.977 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@@H](Oc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC001225491597 775478953 /nfs/dbraw/zinc/47/89/53/775478953.db2.gz RNXZMGGLOIMTEW-GFCCVEGCSA-N 0 0 280.324 2.552 20 5 CFBDRN C[C@H](Oc1cc(F)ccc1[N+](=O)[O-])C1CCOCC1 ZINC001225574482 775506184 /nfs/dbraw/zinc/50/61/84/775506184.db2.gz CQJFUWAPJDKXAL-VIFPVBQESA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@@H](Oc1cc(F)ccc1[N+](=O)[O-])C1CCOCC1 ZINC001225574481 775506752 /nfs/dbraw/zinc/50/67/52/775506752.db2.gz CQJFUWAPJDKXAL-SECBINFHSA-N 0 0 269.272 2.928 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1cc(F)ccc1[N+](=O)[O-] ZINC001225576535 775507294 /nfs/dbraw/zinc/50/72/94/775507294.db2.gz AICZCVMPDRWEIX-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN CCOCC(COCC)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225577860 775509801 /nfs/dbraw/zinc/50/98/01/775509801.db2.gz JPLLVHZCEVJTQO-UHFFFAOYSA-N 0 0 287.287 2.554 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC001225577895 775509935 /nfs/dbraw/zinc/50/99/35/775509935.db2.gz KPNYWQJITUGWAS-PSASIEDQSA-N 0 0 255.245 2.680 20 5 CFBDRN CCCCOC[C@@H](C)Oc1ncccc1[N+](=O)[O-] ZINC001225593394 775515162 /nfs/dbraw/zinc/51/51/62/775515162.db2.gz PSILGRHKEOARMH-SNVBAGLBSA-N 0 0 254.286 2.574 20 5 CFBDRN CC(=O)[C@H](Oc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC001225595621 775516947 /nfs/dbraw/zinc/51/69/47/775516947.db2.gz JYDWPJQVQMWVCC-ZDUSSCGKSA-N 0 0 272.260 2.699 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001225594568 775517157 /nfs/dbraw/zinc/51/71/57/775517157.db2.gz QLJVJWCECSVHCC-NXEZZACHSA-N 0 0 296.279 2.758 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H](C)C1CCOCC1 ZINC001225612935 775523426 /nfs/dbraw/zinc/52/34/26/775523426.db2.gz KLCQOINTSICKNQ-JTQLQIEISA-N 0 0 281.308 2.797 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H](C)C(F)(F)F ZINC001225612142 775523655 /nfs/dbraw/zinc/52/36/55/775523655.db2.gz BXAFLCSPHOPJCU-ZCFIWIBFSA-N 0 0 265.187 2.933 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1cccnc1[N+](=O)[O-] ZINC001225633045 775533535 /nfs/dbraw/zinc/53/35/35/775533535.db2.gz PACNVIXREPWRFE-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN Cc1cccc(O[C@H]2CCO[C@H](C)C2)c1[N+](=O)[O-] ZINC001225635380 775534800 /nfs/dbraw/zinc/53/48/00/775534800.db2.gz GRGAOUURKUODCU-MNOVXSKESA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cccc(O[C@H](C)c2cncnc2)c1[N+](=O)[O-] ZINC001225636271 775534971 /nfs/dbraw/zinc/53/49/71/775534971.db2.gz UQCYZCMZOJFYLE-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN O=c1cc[nH]c(O[C@@H]2CCCc3cccnc32)c1[N+](=O)[O-] ZINC001225662243 775546608 /nfs/dbraw/zinc/54/66/08/775546608.db2.gz RVTGVIAXIUMGDX-LLVKDONJSA-N 0 0 287.275 2.547 20 5 CFBDRN Cc1cn2c(n1)[C@H](Oc1cc(C)c([N+](=O)[O-])cn1)CCC2 ZINC001225661939 775547763 /nfs/dbraw/zinc/54/77/63/775547763.db2.gz MJBUJRQJGXIERL-GFCCVEGCSA-N 0 0 288.307 2.717 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2cccc(F)c2[N+](=O)[O-])C1 ZINC001225667876 775548611 /nfs/dbraw/zinc/54/86/11/775548611.db2.gz VICSPFCURJBNAR-ZJUUUORDSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)C[C@]1(C)CO ZINC000694709177 775552774 /nfs/dbraw/zinc/55/27/74/775552774.db2.gz ZZMUFRSZYSUVMC-QMTHXVAHSA-N 0 0 298.770 2.699 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCn3ccnc32)c1 ZINC001225679217 775555295 /nfs/dbraw/zinc/55/52/95/775555295.db2.gz QGVSFOGEGTXMBJ-NSHDSACASA-N 0 0 259.265 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCCC3(C2)OCCO3)c1 ZINC001225681574 775557329 /nfs/dbraw/zinc/55/73/29/775557329.db2.gz BVRGAKOMUZFEMJ-LBPRGKRZSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCO[C@H](C)C2)c1 ZINC001225681938 775557370 /nfs/dbraw/zinc/55/73/70/775557370.db2.gz HISUNYZIRBZYJB-MNOVXSKESA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1c(OC2CCN(CCF)CC2)cccc1[N+](=O)[O-] ZINC001225689525 775559554 /nfs/dbraw/zinc/55/95/54/775559554.db2.gz JSOZAJAYEBRSPH-UHFFFAOYSA-N 0 0 282.315 2.716 20 5 CFBDRN CC(C)(C)c1ccc(O[C@H]2C[C@H](O)C2)c([N+](=O)[O-])c1 ZINC001218359532 775578286 /nfs/dbraw/zinc/57/82/86/775578286.db2.gz BHXJZOFQJCOCEE-XYPYZODXSA-N 0 0 265.309 2.794 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC001225769824 775588096 /nfs/dbraw/zinc/58/80/96/775588096.db2.gz PASXOCZYLUIVHI-GFCCVEGCSA-N 0 0 267.281 2.740 20 5 CFBDRN CN1CCC[C@@H](Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225785674 775591683 /nfs/dbraw/zinc/59/16/83/775591683.db2.gz KCRAMUFNKPFNPF-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN CC(C)OC(=O)COc1cccc([N+](=O)[O-])c1Cl ZINC001225785579 775591719 /nfs/dbraw/zinc/59/17/19/775591719.db2.gz HHXRIXZRMHAREE-UHFFFAOYSA-N 0 0 273.672 2.579 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2CCOC[C@H]2F)c1Cl ZINC001225787643 775593239 /nfs/dbraw/zinc/59/32/39/775593239.db2.gz HIKJMNZWMKFIJV-APPZFPTMSA-N 0 0 275.663 2.754 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225786312 775593507 /nfs/dbraw/zinc/59/35/07/775593507.db2.gz QTWSSSFAPRIYLE-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cccc([N+](=O)[O-])c2F)CCO1 ZINC001225797193 775596156 /nfs/dbraw/zinc/59/61/56/775596156.db2.gz RFWYVJZKAPGQJV-BDAKNGLRSA-N 0 0 255.245 2.680 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1cccc([N+](=O)[O-])c1F ZINC001225793830 775596180 /nfs/dbraw/zinc/59/61/80/775596180.db2.gz GBFOFPBVJPUWMK-SECBINFHSA-N 0 0 279.271 2.916 20 5 CFBDRN COC(C[C@@H](C)Oc1cc(C(C)=O)ccc1[N+](=O)[O-])OC ZINC001225803251 775598324 /nfs/dbraw/zinc/59/83/24/775598324.db2.gz CADSXUOKRIXSOP-SECBINFHSA-N 0 0 297.307 2.574 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(OCCOC(C)C)c1 ZINC001225803843 775598475 /nfs/dbraw/zinc/59/84/75/775598475.db2.gz JHDWKPONXQQTMG-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2CCCCC2=O)c1 ZINC001225805233 775600281 /nfs/dbraw/zinc/60/02/81/775600281.db2.gz HPVFXYAVTSLYAB-ZDUSSCGKSA-N 0 0 277.276 2.688 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@H](C)c2cnccn2)c1 ZINC001225806553 775600323 /nfs/dbraw/zinc/60/03/23/775600323.db2.gz RXIXKWXAZRPMQC-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CC(C)OCCOc1ncc(C(F)(F)F)cc1[N+](=O)[O-] ZINC001225843429 775612011 /nfs/dbraw/zinc/61/20/11/775612011.db2.gz FRLMFMDUXYWNSG-UHFFFAOYSA-N 0 0 294.229 2.812 20 5 CFBDRN CCC(=O)c1cc(F)c(O[C@H](C[N+](=O)[O-])C2CC2)c(F)c1 ZINC001225891638 775625104 /nfs/dbraw/zinc/62/51/04/775625104.db2.gz JZLYXIFINUCBHA-CYBMUJFWSA-N 0 0 299.273 2.992 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc([N+](=O)[O-])c1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001225889902 775625129 /nfs/dbraw/zinc/62/51/29/775625129.db2.gz UXWDXJZUVZNHFM-SXMVTHIZSA-N 0 0 294.238 2.986 20 5 CFBDRN C[C@@H](Oc1c([N+](=O)[O-])cc(F)cc1[N+](=O)[O-])C(F)(F)F ZINC001225889896 775625154 /nfs/dbraw/zinc/62/51/54/775625154.db2.gz UVNPRHGTCBOGJW-SCSAIBSYSA-N 0 0 298.148 2.972 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225908255 775630896 /nfs/dbraw/zinc/63/08/96/775630896.db2.gz ZQYISNOLRSAVFD-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@@H](Oc1ccc(F)cc1[N+](=O)[O-])c1cnccn1 ZINC001225906473 775631343 /nfs/dbraw/zinc/63/13/43/775631343.db2.gz BKECDSOFYNZSBV-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC001225915707 775633882 /nfs/dbraw/zinc/63/38/82/775633882.db2.gz LQHVHUIIWMLXER-AOOOYVTPSA-N 0 0 297.282 2.845 20 5 CFBDRN COC(=O)[C@@H]1C[C@H](Oc2ccc([N+](=O)[O-])c(F)c2)C1(C)C ZINC001225914735 775634374 /nfs/dbraw/zinc/63/43/74/775634374.db2.gz CBEYENXHHFWHOQ-CABZTGNLSA-N 0 0 297.282 2.700 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])cc1F ZINC001225941258 775642317 /nfs/dbraw/zinc/64/23/17/775642317.db2.gz FNNXZSKWKRQLGE-NSHDSACASA-N 0 0 255.245 2.870 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC001225942344 775642542 /nfs/dbraw/zinc/64/25/42/775642542.db2.gz QNPVHKISUZWYSQ-PSASIEDQSA-N 0 0 255.245 2.680 20 5 CFBDRN Cc1c([C@H](C)Oc2ccc([N+](=O)[O-])cc2F)cnn1C ZINC001225947700 775645070 /nfs/dbraw/zinc/64/50/70/775645070.db2.gz IQOFQVQUSLGHJI-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225961767 775648609 /nfs/dbraw/zinc/64/86/09/775648609.db2.gz ZQWPKXRAJFCPIS-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1c(F)cccc1[N+](=O)[O-] ZINC001226007086 775664995 /nfs/dbraw/zinc/66/49/95/775664995.db2.gz KABNPMVHSHVGNS-BXKDBHETSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2c(F)cccc2[N+](=O)[O-])C1 ZINC001226008467 775665757 /nfs/dbraw/zinc/66/57/57/775665757.db2.gz GFTIXHHFFUYAIT-ZJUUUORDSA-N 0 0 297.282 2.845 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226008756 775666316 /nfs/dbraw/zinc/66/63/16/775666316.db2.gz HKCRDAFLBASTKD-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226008753 775666560 /nfs/dbraw/zinc/66/65/60/775666560.db2.gz HKCRDAFLBASTKD-VHSXEESVSA-N 0 0 287.287 2.553 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1O[C@@H]1COc2cnccc21 ZINC001226009731 775667533 /nfs/dbraw/zinc/66/75/33/775667533.db2.gz OFQMVXVMFFKLOY-GFCCVEGCSA-N 0 0 276.223 2.641 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2CCOC2)c(Br)c1 ZINC001226043499 775679203 /nfs/dbraw/zinc/67/92/03/775679203.db2.gz MDYRMVFHLGAIAR-MRVPVSSYSA-N 0 0 288.097 2.525 20 5 CFBDRN Cc1c(=O)[nH]cnc1O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001226076777 775690485 /nfs/dbraw/zinc/69/04/85/775690485.db2.gz ORRYNPJHBZVRIH-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1ccnc(O[C@@H](C)c2cccnc2)c1[N+](=O)[O-] ZINC001226115261 775704035 /nfs/dbraw/zinc/70/40/35/775704035.db2.gz ABAHTBPJLQDCIW-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccnc(O[C@H]2CCCC[C@H]2F)c1[N+](=O)[O-] ZINC001226120342 775707797 /nfs/dbraw/zinc/70/77/97/775707797.db2.gz UFYZASUEXLKBCZ-ZJUUUORDSA-N 0 0 254.261 2.958 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1nccc(C)c1[N+](=O)[O-] ZINC001226119767 775707872 /nfs/dbraw/zinc/70/78/72/775707872.db2.gz QYHXTSGXQQMNHN-NSHDSACASA-N 0 0 296.323 2.655 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001226130740 775710165 /nfs/dbraw/zinc/71/01/65/775710165.db2.gz UBQLWQAWVLEVNS-SRSLHRDFSA-N 0 0 254.673 2.821 20 5 CFBDRN COc1cccnc1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001226138606 775713585 /nfs/dbraw/zinc/71/35/85/775713585.db2.gz CYZCNPDWGDXLNS-CYBMUJFWSA-N 0 0 286.287 2.545 20 5 CFBDRN CC1(C)[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])cc3C(N)=O)C[C@@H]21 ZINC001226167032 775723591 /nfs/dbraw/zinc/72/35/91/775723591.db2.gz CMWLYPHDHVOCJR-WLLOZRIZSA-N 0 0 290.319 2.507 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])ccc1OC1CCCCCC1 ZINC001226167429 775723701 /nfs/dbraw/zinc/72/37/01/775723701.db2.gz IXGRNIGVZPPSIV-UHFFFAOYSA-N 0 0 278.308 2.795 20 5 CFBDRN CCCC[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168205 775723846 /nfs/dbraw/zinc/72/38/46/775723846.db2.gz PUIJVZNQSIBBSU-VIFPVBQESA-N 0 0 266.297 2.651 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])c(F)cc1F)c1cncnc1 ZINC001226182178 775728296 /nfs/dbraw/zinc/72/82/96/775728296.db2.gz YQGYWCZPJQDONA-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CC[C@H](COC)Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226190045 775731206 /nfs/dbraw/zinc/73/12/06/775731206.db2.gz JHYMZXVAHFFZAA-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226191534 775732608 /nfs/dbraw/zinc/73/26/08/775732608.db2.gz PWSOGALDQMDCLH-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cc([N+](=O)[O-])c(F)cc2F)=C1C ZINC001226190821 775733090 /nfs/dbraw/zinc/73/30/90/775733090.db2.gz MLJNREMCUJBOHM-SNVBAGLBSA-N 0 0 299.229 2.861 20 5 CFBDRN CC(C)(C)N1CC(Oc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC001226191532 775733278 /nfs/dbraw/zinc/73/32/78/775733278.db2.gz PRHQBEZOCMTADX-UHFFFAOYSA-N 0 0 286.278 2.735 20 5 CFBDRN CN1CCC[C@@H](Oc2cc([N+](=O)[O-])c(F)cc2Cl)C1 ZINC001226194932 775734353 /nfs/dbraw/zinc/73/43/53/775734353.db2.gz GMQSXIJZOYOTEJ-MRVPVSSYSA-N 0 0 288.706 2.860 20 5 CFBDRN O=C1N[C@H](/C=C/c2ccccc2[N+](=O)[O-])Nc2ccccc21 ZINC000024079570 775738020 /nfs/dbraw/zinc/73/80/20/775738020.db2.gz KWYCEZFKUIXRCY-BOLDSZDNSA-N 0 0 295.298 2.790 20 5 CFBDRN COC(=O)Cc1ccc(O[C@@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001226215214 775739636 /nfs/dbraw/zinc/73/96/36/775739636.db2.gz WNAOQEIEAIDKTB-GXFFZTMASA-N 0 0 293.319 2.878 20 5 CFBDRN COC1CCC(Oc2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC001226256505 775757208 /nfs/dbraw/zinc/75/72/08/775757208.db2.gz TYCXOQDRNYGWPV-UHFFFAOYSA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1F)c1cncnc1 ZINC001226270250 775763605 /nfs/dbraw/zinc/76/36/05/775763605.db2.gz ORBLVQHFWOWUHT-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226290942 775768721 /nfs/dbraw/zinc/76/87/21/775768721.db2.gz AWCPCPZCCIFWBO-NSHDSACASA-N 0 0 295.291 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)cc1O[C@@H]1COC[C@H]1F ZINC001226300873 775772944 /nfs/dbraw/zinc/77/29/44/775772944.db2.gz BLBRTYVUWIOCRN-GMSGAONNSA-N 0 0 295.188 2.729 20 5 CFBDRN CCC[C@@H](CC(=O)OCC)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226334315 775782178 /nfs/dbraw/zinc/78/21/78/775782178.db2.gz SYFONYNBRFOWTA-JTQLQIEISA-N 0 0 297.307 2.801 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226333718 775782508 /nfs/dbraw/zinc/78/25/08/775782508.db2.gz NIFXEUNKJQVBJI-GFCCVEGCSA-N 0 0 297.307 2.657 20 5 CFBDRN CCC(CC)Oc1c(OC)cc(C(=O)OC)cc1[N+](=O)[O-] ZINC001226362520 775791000 /nfs/dbraw/zinc/79/10/00/775791000.db2.gz URBHLLNFHYVIQB-UHFFFAOYSA-N 0 0 297.307 2.957 20 5 CFBDRN CC(C)(C)N1CC(Oc2nc(Cl)ccc2[N+](=O)[O-])C1 ZINC001226366205 775792097 /nfs/dbraw/zinc/79/20/97/775792097.db2.gz KEHDLKDGAWNWJD-UHFFFAOYSA-N 0 0 285.731 2.505 20 5 CFBDRN C[C@@H](Oc1nc(Cl)ccc1[N+](=O)[O-])C1CCOCC1 ZINC001226367314 775792236 /nfs/dbraw/zinc/79/22/36/775792236.db2.gz XRLRTUMFHMPJKR-MRVPVSSYSA-N 0 0 286.715 2.837 20 5 CFBDRN CCCOC[C@@H](C)Oc1ncnc2ccc([N+](=O)[O-])cc21 ZINC001226437257 775822411 /nfs/dbraw/zinc/82/24/11/775822411.db2.gz UJYZREDRIMXVQE-SNVBAGLBSA-N 0 0 291.307 2.732 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc(OC)ccc1[N+](=O)[O-] ZINC001226447304 775825132 /nfs/dbraw/zinc/82/51/32/775825132.db2.gz QPJMTEJGCDJFPU-GFCCVEGCSA-N 0 0 267.281 2.740 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H]2CCO[C@H](C)C2)c1 ZINC001226445577 775825598 /nfs/dbraw/zinc/82/55/98/775825598.db2.gz HRGAOCLZLCYXPW-KOLCDFICSA-N 0 0 267.281 2.550 20 5 CFBDRN Cc1ccc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])n1C ZINC000053168771 775840255 /nfs/dbraw/zinc/84/02/55/775840255.db2.gz GPLIAHJPVZZUOB-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN O=C1OC[C@H](COc2ccc([N+](=O)[O-])c3ccccc23)O1 ZINC001226508858 775852722 /nfs/dbraw/zinc/85/27/22/775852722.db2.gz DFKXASNQMALGFM-VIFPVBQESA-N 0 0 289.243 2.662 20 5 CFBDRN O=C1OCC[C@H]1Oc1ccc2c(c1)oc(=O)c1ccccc21 ZINC001226510533 775853352 /nfs/dbraw/zinc/85/33/52/775853352.db2.gz CKCYXSFJPCUPBN-CQSZACIVSA-N 0 0 296.278 2.641 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCO2)c2ccccc21 ZINC001226510140 775853763 /nfs/dbraw/zinc/85/37/63/775853763.db2.gz ZEXXLAOQUYGZKA-SNVBAGLBSA-N 0 0 259.261 2.916 20 5 CFBDRN COc1cc(O[C@H]2CC3CCC2CC3)ncc1[N+](=O)[O-] ZINC001226582434 775881599 /nfs/dbraw/zinc/88/15/99/775881599.db2.gz WVYCGIYAFNWMCB-CBINBANVSA-N 0 0 278.308 2.956 20 5 CFBDRN CC(C)Oc1ccc(COc2ccc([N+](=O)[O-])nc2)cn1 ZINC001226709469 775932275 /nfs/dbraw/zinc/93/22/75/775932275.db2.gz IMYONFWJHWNGLJ-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN C[C@H](COc1ccc([N+](=O)[O-])nc1)Oc1ccccc1 ZINC001226711819 775933476 /nfs/dbraw/zinc/93/34/76/775933476.db2.gz GQTSQGJIQVQFMU-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])nc1)c1ccncc1F ZINC001226712434 775933788 /nfs/dbraw/zinc/93/37/88/775933788.db2.gz MPCUEYCNLLTOFW-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CC1(C)CC(Oc2ccc([N+](=O)[O-])nc2)CC(C)(C)N1O ZINC001226710575 775933822 /nfs/dbraw/zinc/93/38/22/775933822.db2.gz SSOOULREOMGHCY-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])nc1)c1cccnc1F ZINC001226712635 775935228 /nfs/dbraw/zinc/93/52/28/775935228.db2.gz PITJSTXVPFOOGB-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CC(=O)[C@@H](Oc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC001226712513 775935301 /nfs/dbraw/zinc/93/53/01/775935301.db2.gz OCLHIZKRLKZSSY-CQSZACIVSA-N 0 0 272.260 2.699 20 5 CFBDRN C[C@H](CCc1ccccc1)Oc1ncc([N+](=O)[O-])cn1 ZINC001226729148 775939806 /nfs/dbraw/zinc/93/98/06/775939806.db2.gz BDUQEUTUGKFJKI-LLVKDONJSA-N 0 0 273.292 2.785 20 5 CFBDRN Cc1cccc([C@H](C)Oc2ncc([N+](=O)[O-])cn2)c1 ZINC001226733199 775941229 /nfs/dbraw/zinc/94/12/29/775941229.db2.gz JSIPSSDDMXBTGP-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2CCc3c2cc(F)cc3F)nc1 ZINC001226733046 775941903 /nfs/dbraw/zinc/94/19/03/775941903.db2.gz FKOFCGDKZOVUEW-GFCCVEGCSA-N 0 0 293.229 2.729 20 5 CFBDRN COc1ccc([C@@H](C)Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC001226734556 775942832 /nfs/dbraw/zinc/94/28/32/775942832.db2.gz YJPCFUTWTQOUBZ-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN CC(C)OCCOc1c(F)cc([N+](=O)[O-])cc1F ZINC001226744354 775943572 /nfs/dbraw/zinc/94/35/72/775943572.db2.gz NPAYNCGPVFYPIN-UHFFFAOYSA-N 0 0 261.224 2.677 20 5 CFBDRN O=C1CCCC[C@H]1Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226749153 775945122 /nfs/dbraw/zinc/94/51/22/775945122.db2.gz RNLIEOMOBOVTRS-LLVKDONJSA-N 0 0 271.219 2.764 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226749154 775945746 /nfs/dbraw/zinc/94/57/46/775945746.db2.gz RNLIEOMOBOVTRS-NSHDSACASA-N 0 0 271.219 2.764 20 5 CFBDRN C[C@H](Oc1ncc(F)cc1[N+](=O)[O-])c1nccs1 ZINC001226751590 775946368 /nfs/dbraw/zinc/94/63/68/775946368.db2.gz MJHUVRWHRGRFNZ-LURJTMIESA-N 0 0 269.257 2.725 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226751721 775947797 /nfs/dbraw/zinc/94/77/97/775947797.db2.gz PYAANFYERBCPSI-MRVPVSSYSA-N 0 0 272.276 2.711 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2COc3cnccc32)c1 ZINC001226766158 775952247 /nfs/dbraw/zinc/95/22/47/775952247.db2.gz ANMOHADGJIETPS-CYBMUJFWSA-N 0 0 258.233 2.502 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCn3ccnc32)c(Cl)c1 ZINC001226762175 775952488 /nfs/dbraw/zinc/95/24/88/775952488.db2.gz OWLKOCAAAXGFCI-NSHDSACASA-N 0 0 279.683 2.969 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1Cl ZINC001226762008 775952588 /nfs/dbraw/zinc/95/25/88/775952588.db2.gz KQOCAEIHDBLACQ-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1cccc([N+](=O)[O-])c1 ZINC001226763704 775952839 /nfs/dbraw/zinc/95/28/39/775952839.db2.gz ZXCIBZNONKEUFY-CYBMUJFWSA-N 0 0 281.308 2.951 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1cccc([N+](=O)[O-])c1 ZINC001226762196 775952875 /nfs/dbraw/zinc/95/28/75/775952875.db2.gz AJLPOKKJLMGDAZ-CHWSQXEVSA-N 0 0 279.292 2.705 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2CCCC3(C2)OCCO3)c1 ZINC001226767156 775954030 /nfs/dbraw/zinc/95/40/30/775954030.db2.gz HCXBRWHRLGEVNS-ZDUSSCGKSA-N 0 0 279.292 2.659 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H]1CCCC[C@@H]1F ZINC001226765726 775954686 /nfs/dbraw/zinc/95/46/86/775954686.db2.gz USZPFNHARWHMLX-QWRGUYRKSA-N 0 0 254.261 2.958 20 5 CFBDRN O=[N+]([O-])C[C@H](OCc1ccccc1)c1cccnc1 ZINC001204638626 775998140 /nfs/dbraw/zinc/99/81/40/775998140.db2.gz SCFZYKCLNUQXNP-AWEZNQCLSA-N 0 0 258.277 2.616 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC001226909249 776013685 /nfs/dbraw/zinc/01/36/85/776013685.db2.gz RDGPXSIRPZGQRH-KGFZYKRKSA-N 0 0 275.663 2.801 20 5 CFBDRN O=C1CCC(Oc2cc(Cl)c([N+](=O)[O-])cn2)CC1 ZINC001226917506 776016557 /nfs/dbraw/zinc/01/65/57/776016557.db2.gz LGGZAUQRMLNAPB-UHFFFAOYSA-N 0 0 270.672 2.534 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2C[C@H]3C=C[C@@H]2C3)cc1Cl ZINC001226917482 776016651 /nfs/dbraw/zinc/01/66/51/776016651.db2.gz KLWZNNOFLHTDPB-RNSXUZJQSA-N 0 0 266.684 2.987 20 5 CFBDRN Cc1ccc(OC2CCC3(CC2)OCCO3)cc1[N+](=O)[O-] ZINC001226951303 776031156 /nfs/dbraw/zinc/03/11/56/776031156.db2.gz XRCHWMJERHDRRA-UHFFFAOYSA-N 0 0 293.319 2.968 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1ccc(C)c([N+](=O)[O-])c1 ZINC001226952100 776032404 /nfs/dbraw/zinc/03/24/04/776032404.db2.gz DBRYGSUGGJKZIR-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1c(C)cccc1[N+](=O)[O-] ZINC001226970964 776040228 /nfs/dbraw/zinc/04/02/28/776040228.db2.gz GLWAVUSEQRYOCJ-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@H](C)c1cncnc1 ZINC001226971314 776040397 /nfs/dbraw/zinc/04/03/97/776040397.db2.gz LXCWZAJUJGOZCN-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1nnc(CO[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC001222250571 776045750 /nfs/dbraw/zinc/04/57/50/776045750.db2.gz KBDLQBDPIUHZBK-QMMMGPOBSA-N 0 0 263.253 2.564 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cc([N+](=O)[O-])ccc2C)C1 ZINC001226992954 776052858 /nfs/dbraw/zinc/05/28/58/776052858.db2.gz OHBFXXZFENAXKD-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@H]1CCn2ccnc21 ZINC001226996552 776054246 /nfs/dbraw/zinc/05/42/46/776054246.db2.gz SDSDNSJTVIUWAH-NSHDSACASA-N 0 0 259.265 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@H]1C=C(C)OC(=O)C1 ZINC001226994994 776054324 /nfs/dbraw/zinc/05/43/24/776054324.db2.gz JNIYRRZZHMTDRU-NSHDSACASA-N 0 0 263.249 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1nccs1)C2 ZINC001227036339 776067735 /nfs/dbraw/zinc/06/77/35/776067735.db2.gz PYVFOXJWQBPBSY-LLVKDONJSA-N 0 0 262.290 2.598 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H]2Cc3ccccc3O2)n1 ZINC001227062867 776079665 /nfs/dbraw/zinc/07/96/65/776079665.db2.gz WCPWSPIJALTZGB-LBPRGKRZSA-N 0 0 286.287 2.681 20 5 CFBDRN CCc1cc2c(ncnc2O[C@H](C[N+](=O)[O-])C2CC2)s1 ZINC001227100653 776094577 /nfs/dbraw/zinc/09/45/77/776094577.db2.gz KUIGCEZESIPMKN-LLVKDONJSA-N 0 0 293.348 2.688 20 5 CFBDRN CC[C@@H](C)[C@@H](C)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227106075 776099269 /nfs/dbraw/zinc/09/92/69/776099269.db2.gz DSQHDZMUWUVKRK-HTQZYQBOSA-N 0 0 269.257 2.711 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227108102 776099670 /nfs/dbraw/zinc/09/96/70/776099670.db2.gz KBFKIDLMNYSMJG-KCJUWKMLSA-N 0 0 281.268 2.856 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CC3CCC2CC3)c([N+](=O)[O-])c1 ZINC001227109351 776100998 /nfs/dbraw/zinc/10/09/98/776100998.db2.gz XNQUPOBCNOCDCJ-KWPJZBAWSA-N 0 0 293.279 2.856 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CCCC[C@@H]2F)c([N+](=O)[O-])c1 ZINC001227110565 776101402 /nfs/dbraw/zinc/10/14/02/776101402.db2.gz AQVIDXIBMYESDH-WPRPVWTQSA-N 0 0 285.231 2.558 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2ncc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC001227111147 776101982 /nfs/dbraw/zinc/10/19/82/776101982.db2.gz IPMAHTLWABTJFT-WAAGHKOSSA-N 0 0 281.268 2.856 20 5 CFBDRN CC(=O)c1ccc(C)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001227113405 776103136 /nfs/dbraw/zinc/10/31/36/776103136.db2.gz FIQKLIUIUQOVLG-AWEZNQCLSA-N 0 0 263.293 2.632 20 5 CFBDRN CC[C@@H](C)Oc1c(C(=O)OC)cccc1[N+](=O)[O-] ZINC001227122333 776107117 /nfs/dbraw/zinc/10/71/17/776107117.db2.gz NIARPEQFIDJQRO-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H](C)C(F)(F)F ZINC001227121886 776107144 /nfs/dbraw/zinc/10/71/44/776107144.db2.gz GYWRLMPXGROYNU-LURJTMIESA-N 0 0 293.197 2.711 20 5 CFBDRN Cc1ccc(O[C@@H]2CC(F)(F)C2(F)F)c([N+](=O)[O-])n1 ZINC001227223375 776144186 /nfs/dbraw/zinc/14/41/86/776144186.db2.gz JMRPTPSZPJOQPY-SSDOTTSWSA-N 0 0 280.177 2.720 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2nc3c(cccc3[N+](=O)[O-])o2)CCO1 ZINC001227235735 776149190 /nfs/dbraw/zinc/14/91/90/776149190.db2.gz HYPLLJSYTUPXQK-BDAKNGLRSA-N 0 0 278.264 2.682 20 5 CFBDRN C[C@@H]1C[C@H](Oc2nc3c(cccc3[N+](=O)[O-])o2)CCO1 ZINC001227235738 776149722 /nfs/dbraw/zinc/14/97/22/776149722.db2.gz HYPLLJSYTUPXQK-RKDXNWHRSA-N 0 0 278.264 2.682 20 5 CFBDRN Cc1cnc(OC2CN(Cc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC001227235692 776149768 /nfs/dbraw/zinc/14/97/68/776149768.db2.gz XAAMJSVSPJMCAH-UHFFFAOYSA-N 0 0 299.330 2.561 20 5 CFBDRN C[C@@H](Oc1nc2c(cccc2[N+](=O)[O-])o1)c1ncccn1 ZINC001227237536 776150535 /nfs/dbraw/zinc/15/05/35/776150535.db2.gz IVULMLYEERXTSD-MRVPVSSYSA-N 0 0 286.247 2.666 20 5 CFBDRN Cc1cnc(O[C@H]2CCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC001227236967 776151116 /nfs/dbraw/zinc/15/11/16/776151116.db2.gz NANGNEQRWPJYTM-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN CO[C@@H](C)CCOc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227238084 776151500 /nfs/dbraw/zinc/15/15/00/776151500.db2.gz KYRXNOMTOCCTIE-QMMMGPOBSA-N 0 0 266.253 2.540 20 5 CFBDRN C[C@H](Oc1nc2c(cccc2[N+](=O)[O-])o1)C1CCOCC1 ZINC001227239658 776152171 /nfs/dbraw/zinc/15/21/71/776152171.db2.gz ZOJTYQLUKLVCIW-VIFPVBQESA-N 0 0 292.291 2.930 20 5 CFBDRN O=C1CCC(Oc2nc3c(cccc3[N+](=O)[O-])o2)CC1 ZINC001227239292 776152950 /nfs/dbraw/zinc/15/29/50/776152950.db2.gz VZMKAXLTYIKQPZ-UHFFFAOYSA-N 0 0 276.248 2.627 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)nc1OC[C@@H]1CCCO1 ZINC001227243010 776154321 /nfs/dbraw/zinc/15/43/21/776154321.db2.gz DHFQMUYGDCBZBU-ZETCQYMHSA-N 0 0 292.213 2.566 20 5 CFBDRN C[C@@H](Oc1ccc(Br)nc1[N+](=O)[O-])C1CC1 ZINC001227258245 776159733 /nfs/dbraw/zinc/15/97/33/776159733.db2.gz ROFUBXHHZMZOOG-ZCFIWIBFSA-N 0 0 287.113 2.930 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nc2cc(Cl)ccc2[nH]1)C1CC1 ZINC001227262564 776163363 /nfs/dbraw/zinc/16/33/63/776163363.db2.gz SIDGURLVHUGCAJ-NSHDSACASA-N 0 0 281.699 2.650 20 5 CFBDRN Cc1cc(CNc2cc(F)cc([N+](=O)[O-])c2C)nn1C ZINC001167766290 776172120 /nfs/dbraw/zinc/17/21/20/776172120.db2.gz XCPKGRLUFKBTPC-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN CCOC[C@H](C)Oc1ccc(C(=O)OCC)cc1[N+](=O)[O-] ZINC001227297643 776173642 /nfs/dbraw/zinc/17/36/42/776173642.db2.gz WBZPHGXWEKSAAK-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN COC(=O)c1ccc(OC2CCCC2)c([N+](=O)[O-])c1 ZINC001227296270 776174061 /nfs/dbraw/zinc/17/40/61/776174061.db2.gz VSJGYEOGDSYXJY-UHFFFAOYSA-N 0 0 265.265 2.703 20 5 CFBDRN O=[N+]([O-])c1ccccc1O[C@H]1CCCC2(C1)OCCO2 ZINC001227483666 776212120 /nfs/dbraw/zinc/21/21/20/776212120.db2.gz BGUJKTYCKDDEAG-NSHDSACASA-N 0 0 279.292 2.659 20 5 CFBDRN CC[C@@H](C)Oc1c([N+](=O)[O-])cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227499160 776214737 /nfs/dbraw/zinc/21/47/37/776214737.db2.gz VHHWRNAVRHQCTO-ZCFIWIBFSA-N 0 0 285.212 2.589 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC001227519612 776217779 /nfs/dbraw/zinc/21/77/79/776217779.db2.gz AYQKHTKFTBSJPX-SNVBAGLBSA-N 0 0 261.281 2.777 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])cc2)C1 ZINC001227523989 776219922 /nfs/dbraw/zinc/21/99/22/776219922.db2.gz VJFUWPADWRNFQM-ZWNOBZJWSA-N 0 0 279.292 2.705 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1O[C@H]1C=CCC1 ZINC001227543365 776225760 /nfs/dbraw/zinc/22/57/60/776225760.db2.gz GWYUDVJSMAZWPN-ZETCQYMHSA-N 0 0 269.278 2.540 20 5 CFBDRN CO[C@H](C)COc1ccc(OC(F)(F)F)cc1[N+](=O)[O-] ZINC001227603970 776239915 /nfs/dbraw/zinc/23/99/15/776239915.db2.gz NWQHHIGVVLKEMG-SSDOTTSWSA-N 0 0 295.213 2.907 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@H]2CCCC[C@H]2F)c2[nH]cnc21 ZINC001227691913 776259476 /nfs/dbraw/zinc/25/94/76/776259476.db2.gz RWDDDENDAHACFZ-APPZFPTMSA-N 0 0 280.259 2.526 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2CCCC[C@@H]2F)c2[nH]cnc21 ZINC001227691938 776259737 /nfs/dbraw/zinc/25/97/37/776259737.db2.gz RWDDDENDAHACFZ-IONNQARKSA-N 0 0 280.259 2.526 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CCC(F)(F)CC2)c2[nH]cnc21 ZINC001227693580 776261147 /nfs/dbraw/zinc/26/11/47/776261147.db2.gz WHLJHEUSJVPADX-UHFFFAOYSA-N 0 0 298.249 2.823 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])c2nc[nH]c21)c1ccco1 ZINC001227696341 776261207 /nfs/dbraw/zinc/26/12/07/776261207.db2.gz WGDQYGGZDSMNCI-SSDOTTSWSA-N 0 0 274.236 2.599 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H](C)C1CCOCC1 ZINC001227698204 776261268 /nfs/dbraw/zinc/26/12/68/776261268.db2.gz UYPRNEMKTXTLOH-JTQLQIEISA-N 0 0 281.308 2.797 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H]1CCO[C@@H](C)C1 ZINC001227699100 776262270 /nfs/dbraw/zinc/26/22/70/776262270.db2.gz CJCPHDDOOUFRSS-UWVGGRQHSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1OC1CC(F)(F)C1 ZINC001227701403 776262920 /nfs/dbraw/zinc/26/29/20/776262920.db2.gz MLAHPLMMORSFIB-UHFFFAOYSA-N 0 0 259.208 2.780 20 5 CFBDRN C[C@@H](Oc1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CCOCC1 ZINC001227742362 776275908 /nfs/dbraw/zinc/27/59/08/776275908.db2.gz YGSWJJSUEVOKCL-SECBINFHSA-N 0 0 291.307 2.665 20 5 CFBDRN C[C@H](O)C(=O)Oc1cccc2ccc3cccnc3c21 ZINC001227763145 776285438 /nfs/dbraw/zinc/28/54/38/776285438.db2.gz CPBXUOXVFWRNTH-JTQLQIEISA-N 0 0 267.284 2.674 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2scnc21)C1CC1 ZINC001227952517 776338332 /nfs/dbraw/zinc/33/83/32/776338332.db2.gz FPDIMCHENRRLFN-SNVBAGLBSA-N 0 0 264.306 2.730 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@H]2CC[N@H+](Cc3ccccc3)C2)c1 ZINC001227984081 776349488 /nfs/dbraw/zinc/34/94/88/776349488.db2.gz IJNQXTBKXRHJIU-HNNXBMFYSA-N 0 0 299.330 2.643 20 5 CFBDRN CO[C@@H](COc1cncc([N+](=O)[O-])c1)c1ccccc1 ZINC001227988653 776351106 /nfs/dbraw/zinc/35/11/06/776351106.db2.gz VLQAJJAWPOBEEM-AWEZNQCLSA-N 0 0 274.276 2.756 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001227999702 776354482 /nfs/dbraw/zinc/35/44/82/776354482.db2.gz CCJHPSDGJQIFCX-BDAKNGLRSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@@H](OCc1nccn1C)c1ccccc1[N+](=O)[O-] ZINC001222937910 776361398 /nfs/dbraw/zinc/36/13/98/776361398.db2.gz WYJLRCLLINYTQG-SNVBAGLBSA-N 0 0 261.281 2.606 20 5 CFBDRN C[C@H]1CC[C@@H](COc2nccc(Cl)c2[N+](=O)[O-])O1 ZINC001228014809 776364302 /nfs/dbraw/zinc/36/43/02/776364302.db2.gz PMNAVTWHSFBDRP-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN COC(C[C@@H](C)Oc1cc([N+](=O)[O-])cc(F)c1F)OC ZINC001228015674 776364500 /nfs/dbraw/zinc/36/45/00/776364500.db2.gz HIBXJKKXTAJIEU-SSDOTTSWSA-N 0 0 291.250 2.649 20 5 CFBDRN C[C@H]1C[C@H](Oc2nccc(Cl)c2[N+](=O)[O-])CCO1 ZINC001228018190 776365669 /nfs/dbraw/zinc/36/56/69/776365669.db2.gz WVODAEDDUDGQCM-JGVFFNPUSA-N 0 0 272.688 2.590 20 5 CFBDRN C[C@@H](Oc1ccc(O)cn1)c1cccc([N+](=O)[O-])c1 ZINC001228036122 776370604 /nfs/dbraw/zinc/37/06/04/776370604.db2.gz WSJQTSFCXPJPSV-SECBINFHSA-N 0 0 260.249 2.835 20 5 CFBDRN Cc1c([C@@H](C)Oc2ccnc(Cl)c2[N+](=O)[O-])cnn1C ZINC001228054755 776378384 /nfs/dbraw/zinc/37/83/84/776378384.db2.gz NNLOAWIOWPOXGS-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCCOC2)c2ncccc12 ZINC001228135749 776405388 /nfs/dbraw/zinc/40/53/88/776405388.db2.gz MLMIIMOBFOXYRY-JTQLQIEISA-N 0 0 274.276 2.701 20 5 CFBDRN CCO[C@H](C)COc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228136965 776405533 /nfs/dbraw/zinc/40/55/33/776405533.db2.gz AWHIAMBHBAAHER-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCOCC2)c2ncccc12 ZINC001228136040 776405660 /nfs/dbraw/zinc/40/56/60/776405660.db2.gz POPLMYQQNYSKRW-UHFFFAOYSA-N 0 0 274.276 2.701 20 5 CFBDRN C/C=C/[C@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C1CCCCC1 ZINC001228140637 776406488 /nfs/dbraw/zinc/40/64/88/776406488.db2.gz LHPHGIKHRBTARN-GSQGTZRGSA-N 0 0 293.323 2.994 20 5 CFBDRN CC[C@H](C)CC[C@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228141076 776407336 /nfs/dbraw/zinc/40/73/36/776407336.db2.gz OYFYGNWPFFDIFC-IUCAKERBSA-N 0 0 269.301 2.684 20 5 CFBDRN O=C1OCC[C@@H]1Oc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC001228198952 776420461 /nfs/dbraw/zinc/42/04/61/776420461.db2.gz SQSMMANLTCZJKQ-QMMMGPOBSA-N 0 0 292.074 2.596 20 5 CFBDRN Cc1cccc(O[C@H](C[N+](=O)[O-])c2cccnc2)c1F ZINC001228226468 776428135 /nfs/dbraw/zinc/42/81/35/776428135.db2.gz YJWZDBPUFPBRDA-CYBMUJFWSA-N 0 0 276.267 2.926 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC001228229546 776428299 /nfs/dbraw/zinc/42/82/99/776428299.db2.gz INQANQDBAIFWGA-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1cc(C)c(OC[C@H]2COC(C)(C)O2)c([N+](=O)[O-])c1 ZINC001228228544 776428379 /nfs/dbraw/zinc/42/83/79/776428379.db2.gz ALCIUIICKOHDAY-NSHDSACASA-N 0 0 281.308 2.742 20 5 CFBDRN Cc1cc(C)c(O[C@H]2CCOC[C@H]2F)c([N+](=O)[O-])c1 ZINC001228238326 776430150 /nfs/dbraw/zinc/43/01/50/776430150.db2.gz VDDAWGPTYSAZRO-PWSUYJOCSA-N 0 0 269.272 2.717 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@@H]1CCCCC1=O ZINC001228449782 776493662 /nfs/dbraw/zinc/49/36/62/776493662.db2.gz DPCYSQZZZGBSRI-CQSZACIVSA-N 0 0 291.303 2.996 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@H]1CCOC[C@H]1F ZINC001228450197 776494932 /nfs/dbraw/zinc/49/49/32/776494932.db2.gz IXADUFHPQLYJOA-YPMHNXCESA-N 0 0 297.282 2.612 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@@H]1CCCOC1 ZINC001228450203 776495525 /nfs/dbraw/zinc/49/55/25/776495525.db2.gz JAUZBEZXRAUYRY-LLVKDONJSA-N 0 0 279.292 2.664 20 5 CFBDRN F[C@@H]1COC[C@H]1Oc1cccc2nc3ccccc3nc21 ZINC001228522981 776511874 /nfs/dbraw/zinc/51/18/74/776511874.db2.gz RIMRQMKFNKHBOH-MEBBXXQBSA-N 0 0 284.290 2.899 20 5 CFBDRN O=[N+]([O-])c1c(NC2(CO)CCCC2)ccc2cnccc21 ZINC001167807775 776512559 /nfs/dbraw/zinc/51/25/59/776512559.db2.gz YKSTYLHBMUHNMD-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@H]1C(=O)OCC1(C)C ZINC001228570816 776524223 /nfs/dbraw/zinc/52/42/23/776524223.db2.gz HSUYUVQPKGGTEV-LBPRGKRZSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1OC[C@H]1COC(C)(C)O1 ZINC001228571121 776524642 /nfs/dbraw/zinc/52/46/42/776524642.db2.gz KBUIHKSQZFEPTJ-LBPRGKRZSA-N 0 0 281.308 2.742 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C)c1O[C@@H]1C=C(C)OC(=O)C1 ZINC001228570844 776524808 /nfs/dbraw/zinc/52/48/08/776524808.db2.gz ICOPDBCYINOLHG-GFCCVEGCSA-N 0 0 277.276 2.810 20 5 CFBDRN Cc1nc(COC(=O)c2ccccc2[N+](=O)[O-])oc1C ZINC000128731818 776526193 /nfs/dbraw/zinc/52/61/93/776526193.db2.gz HWIYRVGHUHZHJT-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CC(C)Oc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001228585893 776529663 /nfs/dbraw/zinc/52/96/63/776529663.db2.gz IOZIDJDQPAEOCP-CQSZACIVSA-N 0 0 265.309 2.908 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccnc1C(F)(F)F)C1CC1 ZINC001228595723 776533774 /nfs/dbraw/zinc/53/37/74/776533774.db2.gz CRYNSSBCIBKXRL-SECBINFHSA-N 0 0 276.214 2.535 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228612745 776539863 /nfs/dbraw/zinc/53/98/63/776539863.db2.gz LVQGOUWVSRQOBP-JTQLQIEISA-N 0 0 271.219 2.764 20 5 CFBDRN COc1cccc([C@@H](C)Oc2ncncc2[N+](=O)[O-])c1 ZINC001228653712 776553964 /nfs/dbraw/zinc/55/39/64/776553964.db2.gz AYAXXSFFNFAASC-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC001228687829 776569583 /nfs/dbraw/zinc/56/95/83/776569583.db2.gz CCIRRYHLYRPPMY-SSDOTTSWSA-N 0 0 274.223 2.570 20 5 CFBDRN COC(=O)c1cc(O[C@@H]2CCC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC001228769685 776603459 /nfs/dbraw/zinc/60/34/59/776603459.db2.gz XPNKDRJNGPEQFA-TVQRCGJNSA-N 0 0 279.292 2.949 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC001228770279 776605101 /nfs/dbraw/zinc/60/51/01/776605101.db2.gz JOAGQIVRPFPZBQ-ZDUSSCGKSA-N 0 0 295.291 2.518 20 5 CFBDRN C[C@H](Oc1c2ccccc2oc(=O)c1[N+](=O)[O-])C1CC1 ZINC001228803253 776617948 /nfs/dbraw/zinc/61/79/48/776617948.db2.gz XJAIQIVWQFAQOO-QMMMGPOBSA-N 0 0 275.260 2.879 20 5 CFBDRN Cc1cc(O[C@@H]2C=C(C)OC(=O)C2)cc([N+](=O)[O-])c1 ZINC001228833894 776626100 /nfs/dbraw/zinc/62/61/00/776626100.db2.gz UIPPNKKSTDDOKX-GFCCVEGCSA-N 0 0 263.249 2.501 20 5 CFBDRN COC[C@H](C)OC[C@@H](C)Oc1cc(C)cc([N+](=O)[O-])c1 ZINC001228837667 776627890 /nfs/dbraw/zinc/62/78/90/776627890.db2.gz KCERVGWSIHBBOT-NWDGAFQWSA-N 0 0 283.324 2.722 20 5 CFBDRN Cc1cc(O[C@@H]2CCCC3(C2)OCCO3)cc([N+](=O)[O-])c1 ZINC001228839035 776628631 /nfs/dbraw/zinc/62/86/31/776628631.db2.gz SFCYJAPVENNSDC-CYBMUJFWSA-N 0 0 293.319 2.968 20 5 CFBDRN CN(C)c1ccccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001228871686 776640864 /nfs/dbraw/zinc/64/08/64/776640864.db2.gz NHMAAKUNSTYTTN-OAHLLOKOSA-N 0 0 287.319 2.544 20 5 CFBDRN CC(=O)[C@@H](C)Oc1c([N+](=O)[O-])cccc1C(F)(F)F ZINC001228929545 776660387 /nfs/dbraw/zinc/66/03/87/776660387.db2.gz DPEMJLRGQSJHTQ-SSDOTTSWSA-N 0 0 277.198 2.970 20 5 CFBDRN COC1CCC(Oc2ccc([N+](=O)[O-])c(Cl)n2)CC1 ZINC001228933066 776661190 /nfs/dbraw/zinc/66/11/90/776661190.db2.gz AIQNQLUTYQETRC-UHFFFAOYSA-N 0 0 286.715 2.980 20 5 CFBDRN CC(C)(C)N1CC(Oc2ccc([N+](=O)[O-])c(Cl)n2)C1 ZINC001228934800 776662156 /nfs/dbraw/zinc/66/21/56/776662156.db2.gz UTOUFZRSXGVRHP-UHFFFAOYSA-N 0 0 285.731 2.505 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(Cl)n1)c1cnccn1 ZINC001228938777 776662641 /nfs/dbraw/zinc/66/26/41/776662641.db2.gz RYIIKALRCAPNHS-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN CC1(C)C[C@H](Oc2ccc([N+](=O)[O-])c(Cl)n2)CCO1 ZINC001228939517 776664683 /nfs/dbraw/zinc/66/46/83/776664683.db2.gz XJIHMCMKRZBZLI-MRVPVSSYSA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@H](O[C@H]1C(=O)OCC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC001223430820 776666129 /nfs/dbraw/zinc/66/61/29/776666129.db2.gz QLBMQGRWBKHERU-CABZTGNLSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H](Oc1cc(O)cc([O-])c1[N+](=O)[O-])c1ccncc1 ZINC001228968922 776670615 /nfs/dbraw/zinc/67/06/15/776670615.db2.gz UGYVXJMFSAXDMV-QMMMGPOBSA-N 0 0 276.248 2.541 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@H]1CCOC[C@H]1C ZINC001228977778 776676201 /nfs/dbraw/zinc/67/62/01/776676201.db2.gz RCBOJUJACFXMAF-RNCFNFMXSA-N 0 0 279.292 2.601 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ncccc1C1CC1)c1cccnc1 ZINC001229014178 776689225 /nfs/dbraw/zinc/68/92/25/776689225.db2.gz BDKGUOYNRJSYEN-AWEZNQCLSA-N 0 0 285.303 2.751 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(=O)OC ZINC001229059360 776704436 /nfs/dbraw/zinc/70/44/36/776704436.db2.gz NTZLLBDJQKCXOT-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1nc2cc(O[C@H](C[N+](=O)[O-])c3cccnc3)ccc2o1 ZINC001229072803 776707563 /nfs/dbraw/zinc/70/75/63/776707563.db2.gz MEFJOBMJTAXEOV-OAHLLOKOSA-N 0 0 299.286 2.928 20 5 CFBDRN CCOC(=O)[C@H](Oc1ccc(/C=C/[N+](=O)[O-])cc1)C1CC1 ZINC001229116185 776724395 /nfs/dbraw/zinc/72/43/95/776724395.db2.gz USZLDVXOWBFFFI-ATWMFIQVSA-N 0 0 291.303 2.655 20 5 CFBDRN CO[C@H](C)CCOc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229131646 776726490 /nfs/dbraw/zinc/72/64/90/776726490.db2.gz MHSBUJVMUZBSHK-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN CCO[C@H](C)COc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229139383 776729880 /nfs/dbraw/zinc/72/98/80/776729880.db2.gz YLDMLGOXAKGESA-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229137713 776730780 /nfs/dbraw/zinc/73/07/80/776730780.db2.gz JYLWFTMYDXUQFA-NSHDSACASA-N 0 0 295.291 2.518 20 5 CFBDRN CCOC[C@H](C)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229139317 776730846 /nfs/dbraw/zinc/73/08/46/776730846.db2.gz WWQHBRATXHZGCC-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCCOC1 ZINC001229176962 776743698 /nfs/dbraw/zinc/74/36/98/776743698.db2.gz FCZZVDIBBYLZRB-LLVKDONJSA-N 0 0 279.292 2.745 20 5 CFBDRN Cc1cccc(CO[C@@H]2CCCCC2=O)c1[N+](=O)[O-] ZINC001223665786 776745196 /nfs/dbraw/zinc/74/51/96/776745196.db2.gz YTCUDQATFCWLKE-CYBMUJFWSA-N 0 0 263.293 2.932 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229197594 776749942 /nfs/dbraw/zinc/74/99/42/776749942.db2.gz HPLIIDCTGJZNQA-SECBINFHSA-N 0 0 283.255 2.539 20 5 CFBDRN CCOC(=O)[C@@H](Oc1c(C)cc(F)cc1[N+](=O)[O-])C1CC1 ZINC001229200782 776751499 /nfs/dbraw/zinc/75/14/99/776751499.db2.gz HKWSILRFBFWHRG-ZDUSSCGKSA-N 0 0 297.282 2.763 20 5 CFBDRN O=c1[nH]nc(O[C@@H]2C=CCCC2)c2c1cccc2[N+](=O)[O-] ZINC001229367165 776792695 /nfs/dbraw/zinc/79/26/95/776792695.db2.gz IXXGEPIFIUJRGU-SECBINFHSA-N 0 0 287.275 2.731 20 5 CFBDRN Cc1nc(O[C@H](C)c2cccc(F)c2)c([N+](=O)[O-])c(=O)[nH]1 ZINC001229376685 776795213 /nfs/dbraw/zinc/79/52/13/776795213.db2.gz WZPBXQIJLQIGET-SSDOTTSWSA-N 0 0 293.254 2.678 20 5 CFBDRN Cc1nc(O[C@@H](C)c2cccc(F)c2)c([N+](=O)[O-])c(=O)[nH]1 ZINC001229376686 776795679 /nfs/dbraw/zinc/79/56/79/776795679.db2.gz WZPBXQIJLQIGET-ZETCQYMHSA-N 0 0 293.254 2.678 20 5 CFBDRN Cc1nc2nc[nH]c2c(O[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001229438560 776811733 /nfs/dbraw/zinc/81/17/33/776811733.db2.gz PEEBBPYLJHIXIH-QMMMGPOBSA-N 0 0 299.290 2.710 20 5 CFBDRN C[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1cccc(F)c1 ZINC001229452673 776817053 /nfs/dbraw/zinc/81/70/53/776817053.db2.gz VRXXRBWSEAWAKG-SECBINFHSA-N 0 0 278.239 2.507 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2cc[n+]([O-])cc2[N+](=O)[O-])cc1 ZINC001229451060 776817659 /nfs/dbraw/zinc/81/76/59/776817659.db2.gz AUIGFHTWOUBMCY-LLVKDONJSA-N 0 0 274.276 2.677 20 5 CFBDRN Cc1cccc2c1[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])CC2 ZINC001229454647 776818755 /nfs/dbraw/zinc/81/87/55/776818755.db2.gz PGZNDRIZRVQQJJ-AWEZNQCLSA-N 0 0 286.287 2.603 20 5 CFBDRN Cc1ccc2c(c1)CC[C@H]2Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229454066 776818853 /nfs/dbraw/zinc/81/88/53/776818853.db2.gz HNQXKFYPKUVVEB-CQSZACIVSA-N 0 0 286.287 2.603 20 5 CFBDRN Cc1cccc2c1[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])CC2 ZINC001229454648 776819215 /nfs/dbraw/zinc/81/92/15/776819215.db2.gz PGZNDRIZRVQQJJ-CQSZACIVSA-N 0 0 286.287 2.603 20 5 CFBDRN CC[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1ccccc1F ZINC001229456427 776819862 /nfs/dbraw/zinc/81/98/62/776819862.db2.gz UIRGGBOUQSYKNY-CYBMUJFWSA-N 0 0 292.266 2.897 20 5 CFBDRN Cc1cc(O[C@H]2CCn3ccnc32)cc(C)c1[N+](=O)[O-] ZINC001229466314 776821352 /nfs/dbraw/zinc/82/13/52/776821352.db2.gz LSMCSXROAKBOOW-LBPRGKRZSA-N 0 0 273.292 2.932 20 5 CFBDRN Cc1cc(O[C@@H]2CCn3ccnc32)cc(C)c1[N+](=O)[O-] ZINC001229466307 776821612 /nfs/dbraw/zinc/82/16/12/776821612.db2.gz LSMCSXROAKBOOW-GFCCVEGCSA-N 0 0 273.292 2.932 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(OC[C@@H]3CCC=CO3)nc2c1 ZINC001229487500 776827536 /nfs/dbraw/zinc/82/75/36/776827536.db2.gz OULAQEZWGAVHQS-JTQLQIEISA-N 0 0 276.248 2.808 20 5 CFBDRN CCCOC[C@H](C)Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229488571 776828084 /nfs/dbraw/zinc/82/80/84/776828084.db2.gz WXGOVRUYCXBUDK-VIFPVBQESA-N 0 0 280.280 2.930 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229489638 776828762 /nfs/dbraw/zinc/82/87/62/776828762.db2.gz DCWPHWFFKWLFKG-LBPRGKRZSA-N 0 0 276.248 2.627 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229490940 776828881 /nfs/dbraw/zinc/82/88/81/776828881.db2.gz OFABGKQQHDZSNW-LDYMZIIASA-N 0 0 278.264 2.540 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)c2cccnc2n1)C1CC1 ZINC001229509610 776834901 /nfs/dbraw/zinc/83/49/01/776834901.db2.gz KJLOWZPRVRAZRZ-NSHDSACASA-N 0 0 293.710 2.717 20 5 CFBDRN Cc1c([C@H](C)Oc2cc([N+](=O)[O-])c(C)cc2O)cnn1C ZINC001229751212 776888065 /nfs/dbraw/zinc/88/80/65/776888065.db2.gz SPMRVMPXUXZYLQ-JTQLQIEISA-N 0 0 291.307 2.791 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001229880995 776909218 /nfs/dbraw/zinc/90/92/18/776909218.db2.gz LWFPUVRRYSJJIF-CYBMUJFWSA-N 0 0 280.280 2.646 20 5 CFBDRN CCOC(=O)[C@@H](Oc1ccc([N+](=O)[O-])c(C)c1C)C1CC1 ZINC001229881400 776909455 /nfs/dbraw/zinc/90/94/55/776909455.db2.gz VKVQNJSZDZPYPJ-AWEZNQCLSA-N 0 0 293.319 2.932 20 5 CFBDRN CCOC[C@@H](C)Oc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229894039 776913105 /nfs/dbraw/zinc/91/31/05/776913105.db2.gz FOXJBWCFCOQNAL-SECBINFHSA-N 0 0 257.261 2.846 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H](C)c2cncnc2)cc1F ZINC001229896070 776914275 /nfs/dbraw/zinc/91/42/75/776914275.db2.gz YJDYOKLASDENFN-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CCCC2)c(Cl)n1 ZINC001229919327 776917954 /nfs/dbraw/zinc/91/79/54/776917954.db2.gz KVNQXUBQERLBEV-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ccc([N+](=O)[O-])nc1Cl ZINC001229919391 776918147 /nfs/dbraw/zinc/91/81/47/776918147.db2.gz LOIXSFUALBZRRG-MRVPVSSYSA-N 0 0 270.672 2.534 20 5 CFBDRN COC(=O)c1cc(O[C@H](C)C2CC2)c(F)cc1[N+](=O)[O-] ZINC001229944362 776921687 /nfs/dbraw/zinc/92/16/87/776921687.db2.gz WVXBGAYQCFLYEW-SSDOTTSWSA-N 0 0 283.255 2.698 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001229962197 776925630 /nfs/dbraw/zinc/92/56/30/776925630.db2.gz RZSXCGGFOXZSAN-CPCISQLKSA-N 0 0 257.673 2.662 20 5 CFBDRN Cc1cc(O[C@H]2C(=O)OCC2(C)C)c([N+](=O)[O-])cc1Cl ZINC001229975186 776929740 /nfs/dbraw/zinc/92/97/40/776929740.db2.gz LVYZCBZSVIKYJB-NSHDSACASA-N 0 0 299.710 2.887 20 5 CFBDRN C[C@@H](Oc1nc[n-]c(=O)c1Cl)c1cccc([N+](=O)[O-])c1 ZINC001230019152 776941677 /nfs/dbraw/zinc/94/16/77/776941677.db2.gz YIEFODWMAYKTDI-SSDOTTSWSA-N 0 0 295.682 2.884 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2ccc(C)cc2[N+](=O)[O-])C1 ZINC001230146816 776955089 /nfs/dbraw/zinc/95/50/89/776955089.db2.gz XPPFBMMURXXGPG-PHIMTYICSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H]1CCC[C@@H](Oc2nc3c[nH]cc([N+](=O)[O-])c-3n2)C1 ZINC001230229012 776961833 /nfs/dbraw/zinc/96/18/33/776961833.db2.gz COWYAMJVSKIVQY-DTWKUNHWSA-N 0 0 276.296 2.824 20 5 CFBDRN C[C@@H](Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1)C1CCCC1 ZINC001230231348 776962371 /nfs/dbraw/zinc/96/23/71/776962371.db2.gz HVNIACMGLGZFJL-MRVPVSSYSA-N 0 0 276.296 2.824 20 5 CFBDRN CC(=O)[C@H](C)Oc1cccc(Br)c1[N+](=O)[O-] ZINC001230326902 776979298 /nfs/dbraw/zinc/97/92/98/776979298.db2.gz WAYZMTBGIBWBHT-ZETCQYMHSA-N 0 0 288.097 2.714 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@H](Oc2c(Cl)nccc2[N+](=O)[O-])C1 ZINC001225432280 776986135 /nfs/dbraw/zinc/98/61/35/776986135.db2.gz BMBHDORXFVKNLW-JTQLQIEISA-N 0 0 299.758 2.895 20 5 CFBDRN Cc1cc(OC[C@@H]2CCCO2)cc([N+](=O)[O-])c1C ZINC001230471755 776995889 /nfs/dbraw/zinc/99/58/89/776995889.db2.gz PTYXOBRGFKDLNX-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN CC[C@H](COC)Oc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230491625 776999329 /nfs/dbraw/zinc/99/93/29/776999329.db2.gz XWLRAIKOUOCBCE-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN CCO[C@@H](C)COc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230493522 776999348 /nfs/dbraw/zinc/99/93/48/776999348.db2.gz DQFQVKJKOHBQOW-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN CC(C)c1ncccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225523063 777003057 /nfs/dbraw/zinc/00/30/57/777003057.db2.gz ZRVPJKJNZIXRMX-LBPRGKRZSA-N 0 0 250.298 2.639 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nccc(-c2ccccc2)n1)C1CC1 ZINC001230549304 777009869 /nfs/dbraw/zinc/00/98/69/777009869.db2.gz LRSLVEVDLMELBM-AWEZNQCLSA-N 0 0 285.303 2.578 20 5 CFBDRN CC1(C)C[C@@H](Oc2cc3n[nH]cc3cc2[N+](=O)[O-])CCO1 ZINC001230601339 777023526 /nfs/dbraw/zinc/02/35/26/777023526.db2.gz MJHITYOEJDFQPF-JTQLQIEISA-N 0 0 291.307 2.808 20 5 CFBDRN O=[N+]([O-])c1c(OC[C@H]2CCO2)ccc2ccccc21 ZINC001230622901 777028898 /nfs/dbraw/zinc/02/88/98/777028898.db2.gz QHQXXRRKAMSUJW-LLVKDONJSA-N 0 0 259.261 2.916 20 5 CFBDRN O=C1CC[C@@H](COc2ccc3ccccc3c2[N+](=O)[O-])O1 ZINC001230626081 777030019 /nfs/dbraw/zinc/03/00/19/777030019.db2.gz BVYMXOHNQDTVQR-NSHDSACASA-N 0 0 287.271 2.832 20 5 CFBDRN CC(=O)N1CCC(Oc2cc(C)c([N+](=O)[O-])cc2C)CC1 ZINC001230724796 777051882 /nfs/dbraw/zinc/05/18/82/777051882.db2.gz ZMUPXRZJBJAQIH-UHFFFAOYSA-N 0 0 292.335 2.601 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2cc(C)c([N+](=O)[O-])cc2C)C1 ZINC001230724650 777052340 /nfs/dbraw/zinc/05/23/40/777052340.db2.gz YVRZHBDRBNCNLW-XYPYZODXSA-N 0 0 279.292 2.542 20 5 CFBDRN CCOC(=O)C1CC(Oc2cc(C)c([N+](=O)[O-])cc2C)C1 ZINC001230723842 777052450 /nfs/dbraw/zinc/05/24/50/777052450.db2.gz PCVPHHZKLBKQAU-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN CCc1ccc(O[C@H](C)Cn2c(C)ncc2[N+](=O)[O-])nc1 ZINC001230845867 777075036 /nfs/dbraw/zinc/07/50/36/777075036.db2.gz OXKUOXIYZKAJHQ-SNVBAGLBSA-N 0 0 290.323 2.525 20 5 CFBDRN Cc1cc(C)cc(CNc2ncc([N+](=O)[O-])cn2)c1 ZINC000710580494 777085864 /nfs/dbraw/zinc/08/58/64/777085864.db2.gz BDJCWMGDTXJPIE-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OC1CC2(COC2)C1 ZINC001230918507 777092828 /nfs/dbraw/zinc/09/28/28/777092828.db2.gz PMXLOPUYLOKDHF-UHFFFAOYSA-N 0 0 269.684 2.806 20 5 CFBDRN CC(C)N1CC(Oc2cccc(Cl)c2[N+](=O)[O-])C1 ZINC001230918083 777093021 /nfs/dbraw/zinc/09/30/21/777093021.db2.gz MGLGZNUWLZDFPX-UHFFFAOYSA-N 0 0 270.716 2.720 20 5 CFBDRN Cc1cccc(F)c1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001231034281 777120748 /nfs/dbraw/zinc/12/07/48/777120748.db2.gz KTQGLGNUNAXBPF-CYBMUJFWSA-N 0 0 276.267 2.926 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2c(C)ccc(C)c2[N+](=O)[O-])C1 ZINC001231059371 777128286 /nfs/dbraw/zinc/12/82/86/777128286.db2.gz HEBLWMYGBVLDQY-XYPYZODXSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@H]1C=C(C)OC(=O)C1 ZINC001231059671 777128619 /nfs/dbraw/zinc/12/86/19/777128619.db2.gz JIGOPDSLSQJEAU-NSHDSACASA-N 0 0 277.276 2.810 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1O[C@H]1CCc2nccn2C1 ZINC001231058851 777128735 /nfs/dbraw/zinc/12/87/35/777128735.db2.gz DDYRWPRABNACPN-LBPRGKRZSA-N 0 0 287.319 2.802 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@@H](C)[C@@H]2C)n1 ZINC001126405741 777152037 /nfs/dbraw/zinc/15/20/37/777152037.db2.gz AGYHVAMBDYLYHO-KOLCDFICSA-N 0 0 277.324 2.559 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc2cc(F)ccc2c1)C1CC1 ZINC001231134254 777152480 /nfs/dbraw/zinc/15/24/80/777152480.db2.gz KGLATYITOBHOMW-CQSZACIVSA-N 0 0 276.267 2.808 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C[C@@H]1C ZINC001225666360 777155034 /nfs/dbraw/zinc/15/50/34/777155034.db2.gz HJWGPSUCMAYUQW-KXUCPTDWSA-N 0 0 266.297 2.899 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C[C@H]1C ZINC001225666362 777155078 /nfs/dbraw/zinc/15/50/78/777155078.db2.gz HJWGPSUCMAYUQW-OPRDCNLKSA-N 0 0 266.297 2.899 20 5 CFBDRN CCOC[C@H](C)Oc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231155862 777159569 /nfs/dbraw/zinc/15/95/69/777159569.db2.gz FHTVKRVTSVSZJU-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC[C@H]2COC(C)(C)O2)c1 ZINC001225682166 777178089 /nfs/dbraw/zinc/17/80/89/777178089.db2.gz HXBNVHSQGFZHQB-NSHDSACASA-N 0 0 281.308 2.824 20 5 CFBDRN CCOC(=O)[C@H](Oc1cccc([N+](=O)[O-])c1Cl)C1CC1 ZINC001225787350 777278429 /nfs/dbraw/zinc/27/84/29/777278429.db2.gz FWUYMKXNQNKYAY-GFCCVEGCSA-N 0 0 299.710 2.969 20 5 CFBDRN Cc1cc(COc2cc(Cl)ccc2[N+](=O)[O-])nn1C ZINC000688100307 777377320 /nfs/dbraw/zinc/37/73/20/777377320.db2.gz GPPZXQVYZZAJLY-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COC3(CCC3)C2)c(F)c1 ZINC000688279471 777490456 /nfs/dbraw/zinc/49/04/56/777490456.db2.gz HNUUBFOAFISOCU-VIFPVBQESA-N 0 0 266.272 2.857 20 5 CFBDRN CC[C@H](C)Nc1cc(OC)c(C(=O)OC)cc1[N+](=O)[O-] ZINC001167998279 777546547 /nfs/dbraw/zinc/54/65/47/777546547.db2.gz CDDJIVJYYRKWPU-QMMMGPOBSA-N 0 0 282.296 2.600 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nc2cc(Cl)ccc2o1)C1CC1 ZINC001226462165 777548044 /nfs/dbraw/zinc/54/80/44/777548044.db2.gz DNFSHRPPGQZZPN-LLVKDONJSA-N 0 0 282.683 2.915 20 5 CFBDRN COc1ccc(C(=O)OCC2CCCC2)cc1[N+](=O)[O-] ZINC000126815354 777559985 /nfs/dbraw/zinc/55/99/85/777559985.db2.gz RSSSSNLDKXEKOR-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CC[C@@H](C)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC001168004534 777572518 /nfs/dbraw/zinc/57/25/18/777572518.db2.gz XUGVQDQINXBWQF-MRVPVSSYSA-N 0 0 252.270 2.592 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N[C@H]2CCO[C@@H](C)C2)nc1Cl ZINC001161691458 777573920 /nfs/dbraw/zinc/57/39/20/777573920.db2.gz OKVGWZGRWILDAV-IUCAKERBSA-N 0 0 285.731 2.931 20 5 CFBDRN COc1cc(O[C@H]2CC[C@H](C)CC2)ncc1[N+](=O)[O-] ZINC001226582539 777579886 /nfs/dbraw/zinc/57/98/86/777579886.db2.gz XMRCLBFUUMINRG-MGCOHNPYSA-N 0 0 266.297 2.956 20 5 CFBDRN CC(C)(O)[C@H]1CC[N@H+](Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000721803831 777585971 /nfs/dbraw/zinc/58/59/71/777585971.db2.gz KRLFJTCTVMGJNV-NSHDSACASA-N 0 0 298.770 2.841 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1Oc1ncc([N+](=O)[O-])cn1 ZINC001226732517 777627125 /nfs/dbraw/zinc/62/71/25/777627125.db2.gz WJTIOPHUOKGUCM-MWLCHTKSSA-N 0 0 251.286 2.732 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ncc([N+](=O)[O-])cn2)CC(C)(C)C1 ZINC001226732820 777627631 /nfs/dbraw/zinc/62/76/31/777627631.db2.gz ZSKWAGZOCDFZCS-GXSJLCMTSA-N 0 0 265.313 2.978 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1OC1Cc2ccccc2C1 ZINC001226756796 777634533 /nfs/dbraw/zinc/63/45/33/777634533.db2.gz RTXYLSQAOGOWPV-UHFFFAOYSA-N 0 0 274.251 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CN3CCC2CC3)c(Cl)c1 ZINC001226760843 777634717 /nfs/dbraw/zinc/63/47/17/777634717.db2.gz CJAWPLDVNCYZHQ-ZDUSSCGKSA-N 0 0 282.727 2.721 20 5 CFBDRN CC1(C)CC[C@@H](COc2cccc([N+](=O)[O-])c2)O1 ZINC001226764291 777637665 /nfs/dbraw/zinc/63/76/65/777637665.db2.gz HQRFUIVLCLFXMH-LBPRGKRZSA-N 0 0 251.282 2.931 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC001226841893 777671184 /nfs/dbraw/zinc/67/11/84/777671184.db2.gz PTTXPOHLYNNNLM-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc(O[C@H](C)C(=O)OCCC(C)C)c([N+](=O)[O-])n1 ZINC001227221900 777791293 /nfs/dbraw/zinc/79/12/93/777791293.db2.gz HAQJIERQMYIWNX-LLVKDONJSA-N 0 0 296.323 2.655 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]2c2ccc(F)c(F)c2)cn1 ZINC001168068729 777920605 /nfs/dbraw/zinc/92/06/05/777920605.db2.gz SWFHOSLMUUAFIK-GZMMTYOYSA-N 0 0 292.245 2.631 20 5 CFBDRN Cc1ccc(N[C@H]2C[C@@H](NC(=O)C(C)C)C2)c([N+](=O)[O-])c1 ZINC001059565207 777961125 /nfs/dbraw/zinc/96/11/25/777961125.db2.gz BSBAZRNPJAXKLG-TXEJJXNPSA-N 0 0 291.351 2.618 20 5 CFBDRN O=[N+]([O-])c1cccc(OC2CCSCC2)c1[N+](=O)[O-] ZINC001233573739 777996081 /nfs/dbraw/zinc/99/60/81/777996081.db2.gz BUDIWIFGSOVARQ-UHFFFAOYSA-N 0 0 284.293 2.777 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(O[C@@H]2COc3cnccc32)cc1F ZINC001233601628 778008035 /nfs/dbraw/zinc/00/80/35/778008035.db2.gz WVITZVHTLCMRKI-CYBMUJFWSA-N 0 0 294.213 2.781 20 5 CFBDRN Cc1noc2cccc(O[C@H](C[N+](=O)[O-])c3cccnc3)c12 ZINC001233661747 778029452 /nfs/dbraw/zinc/02/94/52/778029452.db2.gz GDUVZCGMATUQMK-CQSZACIVSA-N 0 0 299.286 2.928 20 5 CFBDRN C[C@@H](Oc1cc(Cl)cnc1[N+](=O)[O-])C(F)(F)F ZINC001233712214 778052343 /nfs/dbraw/zinc/05/23/43/778052343.db2.gz CKJHLJRKCRBZLP-SCSAIBSYSA-N 0 0 270.594 2.973 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(O[C@@H]2COc3cnccc32)cc1F ZINC001233752503 778064249 /nfs/dbraw/zinc/06/42/49/778064249.db2.gz CROLSXHDUYJYCG-GFCCVEGCSA-N 0 0 294.213 2.781 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1c2cccnc2ccc1[N+](=O)[O-] ZINC001233786599 778076844 /nfs/dbraw/zinc/07/68/44/778076844.db2.gz QAKRKCHSRFOMMZ-QMTHXVAHSA-N 0 0 288.303 2.947 20 5 CFBDRN CCO[C@@H](C)COc1ccc2cccc([N+](=O)[O-])c2n1 ZINC001233835825 778089858 /nfs/dbraw/zinc/08/98/58/778089858.db2.gz DDRKDHFIUFNJPC-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cc(O[C@H]2COCc3ccccc32)ccn1 ZINC001233856372 778097080 /nfs/dbraw/zinc/09/70/80/778097080.db2.gz CGIDXMFENOUIMM-ZDUSSCGKSA-N 0 0 272.260 2.640 20 5 CFBDRN CCCCOC[C@@H](C)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858607 778097469 /nfs/dbraw/zinc/09/74/69/778097469.db2.gz WJEXJEISTWZUCW-SNVBAGLBSA-N 0 0 254.286 2.574 20 5 CFBDRN COC(=O)c1cnc(OC2CCC(C)CC2)c([N+](=O)[O-])c1 ZINC001233861801 778098318 /nfs/dbraw/zinc/09/83/18/778098318.db2.gz KZSHRVKSEPCCIB-UHFFFAOYSA-N 0 0 294.307 2.734 20 5 CFBDRN CCC[C@@H](CC)Oc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC001233862660 778098823 /nfs/dbraw/zinc/09/88/23/778098823.db2.gz RNARZDMIKDWTCM-SNVBAGLBSA-N 0 0 282.296 2.734 20 5 CFBDRN CC(C)Oc1c([N+](=O)[O-])cc([N+](=O)[O-])c2cccnc21 ZINC001233901828 778109855 /nfs/dbraw/zinc/10/98/55/778109855.db2.gz OBDNYPTZAKTCHF-UHFFFAOYSA-N 0 0 277.236 2.838 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)ncc1F)c1cccnc1 ZINC001233911872 778111944 /nfs/dbraw/zinc/11/19/44/778111944.db2.gz SJSCBCZAZZMGLE-LLVKDONJSA-N 0 0 297.673 2.666 20 5 CFBDRN CCC[C@@H](C)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC001234051670 778154399 /nfs/dbraw/zinc/15/43/99/778154399.db2.gz PYMUKEVCASXOPB-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN Cc1cc(F)c(OCC[C@H]2COC(C)(C)O2)cc1[N+](=O)[O-] ZINC001234056697 778155277 /nfs/dbraw/zinc/15/52/77/778155277.db2.gz LBTFPOKBKXFHSY-JTQLQIEISA-N 0 0 299.298 2.963 20 5 CFBDRN Cc1cc(F)c(O[C@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC001234062401 778156235 /nfs/dbraw/zinc/15/62/35/778156235.db2.gz ZXGWZQMDLPOTDZ-SKDRFNHKSA-N 0 0 269.272 2.846 20 5 CFBDRN C[C@H]1CCC[C@H](C)C1Oc1ncncc1[N+](=O)[O-] ZINC001228655331 778178944 /nfs/dbraw/zinc/17/89/44/778178944.db2.gz QWBMTFMRQZTSPC-IUCAKERBSA-N 0 0 251.286 2.588 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@H](Oc2ncncc2[N+](=O)[O-])C1 ZINC001228654738 778178957 /nfs/dbraw/zinc/17/89/57/778178957.db2.gz NKXHJEZXIDQLTO-FXPVBKGRSA-N 0 0 251.286 2.588 20 5 CFBDRN COc1cc(NCCOC2CCC2)c(F)cc1[N+](=O)[O-] ZINC000690983829 778179384 /nfs/dbraw/zinc/17/93/84/778179384.db2.gz BSUOLPNFEPYNJD-UHFFFAOYSA-N 0 0 284.287 2.724 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])C2CC2)cnc1OC(C)C ZINC001234277944 778199993 /nfs/dbraw/zinc/19/99/93/778199993.db2.gz ONXIMKPPFRDQJU-CYBMUJFWSA-N 0 0 280.324 2.611 20 5 CFBDRN O=C(NC1(C2CC2)CC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000697395416 778205112 /nfs/dbraw/zinc/20/51/12/778205112.db2.gz CROHPGJQZLGIDF-UHFFFAOYSA-N 0 0 282.246 2.545 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc2ccsc2c1)C1CC1 ZINC001228901084 778206890 /nfs/dbraw/zinc/20/68/90/778206890.db2.gz HIBMHAZMNHNNDN-NSHDSACASA-N 0 0 264.306 2.730 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1CCOC[C@@H]1C ZINC001228977779 778217935 /nfs/dbraw/zinc/21/79/35/778217935.db2.gz RCBOJUJACFXMAF-TVQRCGJNSA-N 0 0 279.292 2.601 20 5 CFBDRN CCOC(=O)Cc1ccc([N+](=O)[O-])c(O[C@H](C)C2CC2)c1 ZINC001234409334 778219315 /nfs/dbraw/zinc/21/93/15/778219315.db2.gz YGCUFUBUSOFFRD-SNVBAGLBSA-N 0 0 293.319 2.878 20 5 CFBDRN CCOC(=O)Cc1ccc([N+](=O)[O-])c(O[C@H]2C=CCC2)c1 ZINC001234412047 778219448 /nfs/dbraw/zinc/21/94/48/778219448.db2.gz XVZFPHFWHZSJTQ-LBPRGKRZSA-N 0 0 291.303 2.798 20 5 CFBDRN CC(C)(C)C(=O)CO[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001204846358 778245257 /nfs/dbraw/zinc/24/52/57/778245257.db2.gz SELXRSOKATXBLR-CYBMUJFWSA-N 0 0 277.320 2.694 20 5 CFBDRN CC/C(C)=C/C(=O)N(C)CCNc1ccccc1[N+](=O)[O-] ZINC001101593068 778249160 /nfs/dbraw/zinc/24/91/60/778249160.db2.gz YFWHQSCEEJZKKQ-VAWYXSNFSA-N 0 0 291.351 2.821 20 5 CFBDRN CSCC[C@@H](Nc1cc([N+](=O)[O-])c(C)cn1)C(C)(C)O ZINC001168213602 778253501 /nfs/dbraw/zinc/25/35/01/778253501.db2.gz VIOJLUYLZWZFNR-LLVKDONJSA-N 0 0 299.396 2.603 20 5 CFBDRN CCc1cccnc1O[C@@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001234577317 778256742 /nfs/dbraw/zinc/25/67/42/778256742.db2.gz IIXMKBKNFSYEPU-JTQLQIEISA-N 0 0 290.323 2.525 20 5 CFBDRN COc1c(F)cc(NC[C@H]2C[C@H](F)C2)cc1[N+](=O)[O-] ZINC001168237381 778266150 /nfs/dbraw/zinc/26/61/50/778266150.db2.gz JDLDXPUDTYGMQH-ZKCHVHJHSA-N 0 0 272.251 2.903 20 5 CFBDRN CCOC1CC2(C[C@H]2Nc2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000721244356 778267977 /nfs/dbraw/zinc/26/79/77/778267977.db2.gz NGCLNWGQEZOCHQ-KSGYAGIASA-N 0 0 277.324 2.668 20 5 CFBDRN C[N@@H+]1CCC[C@H](Oc2nccc3c2cccc3[N+](=O)[O-])C1 ZINC001234673797 778284867 /nfs/dbraw/zinc/28/48/67/778284867.db2.gz CYXPEPDSLNNXBL-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN CCO[C@H](C)COc1nccc2c1cccc2[N+](=O)[O-] ZINC001234675883 778286006 /nfs/dbraw/zinc/28/60/06/778286006.db2.gz DNAZREDUURSPSJ-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CC(=O)Nc1ccc(C(C)=O)c(OC(C)C)c1[N+](=O)[O-] ZINC001234682694 778287402 /nfs/dbraw/zinc/28/74/02/778287402.db2.gz VLBIKVWWPGJSBS-UHFFFAOYSA-N 0 0 280.280 2.543 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1C ZINC001234869597 778317417 /nfs/dbraw/zinc/31/74/17/778317417.db2.gz APSKRUSFSPOVHJ-NSHDSACASA-N 0 0 281.308 2.850 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)C(=O)OCCC(C)C ZINC001234900834 778325121 /nfs/dbraw/zinc/32/51/21/778325121.db2.gz LMIQEWAGCIYLAF-NSHDSACASA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)c1ncccc1F ZINC001234900560 778325343 /nfs/dbraw/zinc/32/53/43/778325343.db2.gz JYGUREXBFIXNQC-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN COc1ccc([C@@H](C)Oc2c(C)nccc2[N+](=O)[O-])cn1 ZINC001234901737 778325748 /nfs/dbraw/zinc/32/57/48/778325748.db2.gz RJBWWPQZZREFPM-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN CCOc1cccc(CO[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001223770956 778342375 /nfs/dbraw/zinc/34/23/75/778342375.db2.gz IAHFRVLAEFRSMC-CQSZACIVSA-N 0 0 265.309 2.657 20 5 CFBDRN CCc1nn(C)c(NCc2cc(Cl)ccn2)c1[N+](=O)[O-] ZINC000432168817 778343724 /nfs/dbraw/zinc/34/37/24/778343724.db2.gz GOPZTRUFZUUTNP-UHFFFAOYSA-N 0 0 295.730 2.551 20 5 CFBDRN CCC1(Nc2ccc([N+](=O)[O-])c3cnccc23)COC1 ZINC001168324510 778431730 /nfs/dbraw/zinc/43/17/30/778431730.db2.gz WSIFNQCICCESAL-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN CCC1(CNc2cc(OC)c(C)c([N+](=O)[O-])c2)COC1 ZINC001168332490 778435791 /nfs/dbraw/zinc/43/57/91/778435791.db2.gz ONHCWYZNAUBJCE-UHFFFAOYSA-N 0 0 280.324 2.750 20 5 CFBDRN CC(C)(C)CO[C@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC001204886512 778439732 /nfs/dbraw/zinc/43/97/32/778439732.db2.gz KPOBHCSWZYWODP-LBPRGKRZSA-N 0 0 279.340 2.631 20 5 CFBDRN O=C(NCC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1Cl ZINC000160401669 778603134 /nfs/dbraw/zinc/60/31/34/778603134.db2.gz KPQRAWDJVBGPDS-SECBINFHSA-N 0 0 298.726 2.547 20 5 CFBDRN CCCCCO[C@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC001224660471 778617324 /nfs/dbraw/zinc/61/73/24/778617324.db2.gz JLOFQVYIJKKAEE-ZDUSSCGKSA-N 0 0 279.340 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@@H]2C=CCC2)c1F ZINC000698262076 778785923 /nfs/dbraw/zinc/78/59/23/778785923.db2.gz NCNDSADDOLWFRZ-MRVPVSSYSA-N 0 0 282.246 2.630 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCO[C@@H]1CC1(F)F ZINC000690897780 778807370 /nfs/dbraw/zinc/80/73/70/778807370.db2.gz MPGDZKJTYPUWFL-SECBINFHSA-N 0 0 276.214 2.570 20 5 CFBDRN CCC1CN(C(=O)c2cccc([N+](=O)[O-])c2Cl)C1 ZINC000698567365 778921968 /nfs/dbraw/zinc/92/19/68/778921968.db2.gz AHCXFOCZSKOPOA-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1cc(N[C@@H]2CC[C@H]([S@@](C)=O)C2)c([N+](=O)[O-])s1 ZINC001120969619 779014367 /nfs/dbraw/zinc/01/43/67/779014367.db2.gz HFAFBQFIDKJHLO-SNFQMMDSSA-N 0 0 288.394 2.676 20 5 CFBDRN CC(=O)c1cc(O[C@@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC001233501650 779047816 /nfs/dbraw/zinc/04/78/16/779047816.db2.gz NCBYRSBIDURZEU-PEGIJTEDSA-N 0 0 261.277 2.975 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1c(C)ccnc1[N+](=O)[O-] ZINC001233557019 779052601 /nfs/dbraw/zinc/05/26/01/779052601.db2.gz RHYJNYPZPNZCBT-NSHDSACASA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1ncc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc1Cl ZINC001233934433 779109540 /nfs/dbraw/zinc/10/95/40/779109540.db2.gz JIOIGYGHCQVCHZ-CYBMUJFWSA-N 0 0 293.710 2.835 20 5 CFBDRN CC1(C)C[C@H](CNc2ncc3cccc([N+](=O)[O-])c3n2)O1 ZINC001168453356 779180405 /nfs/dbraw/zinc/18/04/05/779180405.db2.gz VDBZCNWWGITLEX-SNVBAGLBSA-N 0 0 288.307 2.517 20 5 CFBDRN COc1cc(C)c([N+](=O)[O-])cc1NC[C@H]1CC(C)(C)O1 ZINC001168455068 779182098 /nfs/dbraw/zinc/18/20/98/779182098.db2.gz DFNZPSMPARFCJC-SNVBAGLBSA-N 0 0 280.324 2.891 20 5 CFBDRN CC1(C)C[C@@H](CNc2nc(Cl)ccc2[N+](=O)[O-])O1 ZINC001168457099 779183663 /nfs/dbraw/zinc/18/36/63/779183663.db2.gz UQYHBUYJULOIKJ-ZETCQYMHSA-N 0 0 271.704 2.623 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC[C@H]2C[C@H]2C1 ZINC000699221284 779221333 /nfs/dbraw/zinc/22/13/33/779221333.db2.gz XZFLEWROUUNCMA-IUCAKERBSA-N 0 0 280.711 2.730 20 5 CFBDRN Cc1cccc(C2(Nc3ncccc3[N+](=O)[O-])COC2)c1 ZINC001168471273 779243091 /nfs/dbraw/zinc/24/30/91/779243091.db2.gz UJJDWSZJJBOWMY-UHFFFAOYSA-N 0 0 285.303 2.636 20 5 CFBDRN O=C(NCCOC1CCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000691193126 779372858 /nfs/dbraw/zinc/37/28/58/779372858.db2.gz VXMIFLJOANHJBK-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CC[C@@H](C[N+](=O)[O-])OC(=O)CCCc1ccccc1 ZINC001123355730 779393818 /nfs/dbraw/zinc/39/38/18/779393818.db2.gz UVZADOOGCRKKEK-ZDUSSCGKSA-N 0 0 265.309 2.608 20 5 CFBDRN Cc1cccc(NC2(c3cccnn3)CC2)c1[N+](=O)[O-] ZINC001168515030 779427005 /nfs/dbraw/zinc/42/70/05/779427005.db2.gz WZVLMACHWJSHNR-UHFFFAOYSA-N 0 0 270.292 2.794 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(NC2(c3cccnn3)CC2)c1F ZINC001168515601 779428428 /nfs/dbraw/zinc/42/84/28/779428428.db2.gz HIETYZMWJGOJLA-UHFFFAOYSA-N 0 0 288.282 2.934 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1ccc([N+](=O)[O-])c(N)n1 ZINC000094261512 779592683 /nfs/dbraw/zinc/59/26/83/779592683.db2.gz IZHFIJZNAUISLD-VHSXEESVSA-N 0 0 264.329 2.810 20 5 CFBDRN CCN(CCNc1ccccc1[N+](=O)[O-])C(=O)C1(C)CC1 ZINC001106976693 779715930 /nfs/dbraw/zinc/71/59/30/779715930.db2.gz HTSMRMVBXQARAG-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1cc(NC/C=C/CNC(=O)C(C)C)ccc1[N+](=O)[O-] ZINC001107045633 779759178 /nfs/dbraw/zinc/75/91/78/779759178.db2.gz MCPGHRZTXDBRNF-SNAWJCMRSA-N 0 0 291.351 2.644 20 5 CFBDRN CCCCC(=O)NC/C=C\CNc1ccccc1[N+](=O)[O-] ZINC001107045659 779759418 /nfs/dbraw/zinc/75/94/18/779759418.db2.gz NGFYQGJVNGDISG-SREVYHEPSA-N 0 0 291.351 2.869 20 5 CFBDRN Cc1c(F)cc([N+](=O)[O-])cc1N[C@@H](CO)C(C)(C)C ZINC001168559312 779967501 /nfs/dbraw/zinc/96/75/01/779967501.db2.gz JCEJMWQHGIUZOY-LBPRGKRZSA-N 0 0 270.304 2.861 20 5 CFBDRN CC(=O)[C@@H](C)OC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC001205232065 779993484 /nfs/dbraw/zinc/99/34/84/779993484.db2.gz HBLAXIBEDIGZPQ-GFCCVEGCSA-N 0 0 292.335 2.558 20 5 CFBDRN CC(C)=CCO[C@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001205025921 780128307 /nfs/dbraw/zinc/12/83/07/780128307.db2.gz PUTDCORIIPQADB-CQSZACIVSA-N 0 0 279.292 2.716 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CCC[C@](C)(CO)C1 ZINC001116361204 780129290 /nfs/dbraw/zinc/12/92/90/780129290.db2.gz KKRMHOYCXYHETR-WFASDCNBSA-N 0 0 278.352 2.750 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H](C)C(C)(C)C ZINC001168682235 780319142 /nfs/dbraw/zinc/31/91/42/780319142.db2.gz KMMPUXRVHJJMLK-QMMMGPOBSA-N 0 0 253.302 2.845 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1cc([N+](=O)[O-])c(C)c[n+]1[O-] ZINC001168693283 780347143 /nfs/dbraw/zinc/34/71/43/780347143.db2.gz IVRBKHZJWLLVFB-MWLCHTKSSA-N 0 0 267.329 2.773 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H]2CCc3cccnc32)n1 ZINC001128193315 780360463 /nfs/dbraw/zinc/36/04/63/780360463.db2.gz NDNPYFYPEMRAAP-ZDUSSCGKSA-N 0 0 299.286 2.538 20 5 CFBDRN C[C@H](CCCC(C)(C)O)Nc1cnc(F)c([N+](=O)[O-])c1 ZINC001168705127 780434638 /nfs/dbraw/zinc/43/46/38/780434638.db2.gz LJTIEFHFBYTOIN-SECBINFHSA-N 0 0 285.319 2.871 20 5 CFBDRN CCCCNc1nccc(Br)c1[N+](=O)[O-] ZINC001162205397 780616061 /nfs/dbraw/zinc/61/60/61/780616061.db2.gz JPRXZBIBSOEQKV-UHFFFAOYSA-N 0 0 274.118 2.964 20 5 CFBDRN COc1cc(NCc2cnoc2C)cc([N+](=O)[O-])c1C ZINC001162272440 780652252 /nfs/dbraw/zinc/65/22/52/780652252.db2.gz KHRXZIAXQQITKJ-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN C[C@@H]1CCN(c2cc(Cl)ccc2[N+](=O)[O-])C[C@@H]1CO ZINC000700443655 780689970 /nfs/dbraw/zinc/68/99/70/780689970.db2.gz DOVSKOKDLPAEGO-NXEZZACHSA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)Nc2c(C)ccnc2C)n1 ZINC001128813630 780863425 /nfs/dbraw/zinc/86/34/25/780863425.db2.gz XERQKZRXJRLENC-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN C[C@H](F)CCNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000702572288 780935303 /nfs/dbraw/zinc/93/53/03/780935303.db2.gz DPASTAFIVSZWBB-ZETCQYMHSA-N 0 0 257.221 2.663 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1cccc(F)c1[N+](=O)[O-] ZINC000703178368 780995815 /nfs/dbraw/zinc/99/58/15/780995815.db2.gz GDHIRPNDQMNHDN-SECBINFHSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000703254492 781004554 /nfs/dbraw/zinc/00/45/54/781004554.db2.gz JZYKIAFADKVKDI-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN CO[C@H](C)COc1cc(Br)ccc1[N+](=O)[O-] ZINC000703839383 781066942 /nfs/dbraw/zinc/06/69/42/781066942.db2.gz JANDPVPRYXUDQZ-SSDOTTSWSA-N 0 0 290.113 2.771 20 5 CFBDRN CCN(C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1)C1CCC1 ZINC000706901033 781212864 /nfs/dbraw/zinc/21/28/64/781212864.db2.gz YAEBDFRLUQSBRA-UHFFFAOYSA-N 0 0 297.742 2.845 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2C[C@H](C)[C@H]2C)c1F ZINC000706947234 781218552 /nfs/dbraw/zinc/21/85/52/781218552.db2.gz ZCQSPRFKBWGROF-JGVFFNPUSA-N 0 0 284.262 2.662 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1cn(C)cn1 ZINC000710152610 781329491 /nfs/dbraw/zinc/32/94/91/781329491.db2.gz HMHCTYIYKCHBRS-QWRGUYRKSA-N 0 0 274.324 2.740 20 5 CFBDRN CC1(C)CC[C@@H](CNc2cccnc2[N+](=O)[O-])OC1 ZINC000710713790 781335128 /nfs/dbraw/zinc/33/51/28/781335128.db2.gz OWSGNKCHJIPUQP-JTQLQIEISA-N 0 0 265.313 2.607 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1cc(F)c([N+](=O)[O-])cc1F ZINC000711718618 781465799 /nfs/dbraw/zinc/46/57/99/781465799.db2.gz BPACVSUKGZJEMM-MRVPVSSYSA-N 0 0 282.246 2.569 20 5 CFBDRN Cc1ccc(-c2noc(-c3ccc([N+](=O)[O-])o3)n2)nc1 ZINC001212570348 781507486 /nfs/dbraw/zinc/50/74/86/781507486.db2.gz ZWARWMHZWAGCBP-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(N2CC3(C2)CC(F)(F)C3)n1 ZINC001163745940 781565251 /nfs/dbraw/zinc/56/52/51/781565251.db2.gz QUODAHXQMQAFGW-UHFFFAOYSA-N 0 0 289.669 2.879 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nnc([C@@H]2CC2(F)F)o1 ZINC001118367527 781603615 /nfs/dbraw/zinc/60/36/15/781603615.db2.gz POGXDFUAMKAJKV-ZETCQYMHSA-N 0 0 297.217 2.679 20 5 CFBDRN COC1(C)CCN(c2cc(Cl)cc([N+](=O)[O-])n2)CC1 ZINC001163942954 781615137 /nfs/dbraw/zinc/61/51/37/781615137.db2.gz KNGAJMNELLTWJQ-UHFFFAOYSA-N 0 0 285.731 2.649 20 5 CFBDRN CC1CC(N(C)c2cnc3ccc([N+](=O)[O-])cc3n2)C1 ZINC001164193822 781684681 /nfs/dbraw/zinc/68/46/81/781684681.db2.gz MCSVQNUZUFJFMK-UHFFFAOYSA-N 0 0 272.308 2.773 20 5 CFBDRN CC1CC(N(C)c2nc(Cl)nc(Cl)c2[N+](=O)[O-])C1 ZINC001164195631 781686720 /nfs/dbraw/zinc/68/67/20/781686720.db2.gz WOMLIIPSQSHHHB-UHFFFAOYSA-N 0 0 291.138 2.926 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(F)(F)C[C@]1(C)CF ZINC001164552725 781728101 /nfs/dbraw/zinc/72/81/01/781728101.db2.gz NOGXJAQILLWMFT-LLVKDONJSA-N 0 0 289.257 2.872 20 5 CFBDRN C[C@@H]1CCCN(c2cc([N+](=O)[O-])cc(Cl)[n+]2[O-])[C@@H]1C ZINC001166614456 781767215 /nfs/dbraw/zinc/76/72/15/781767215.db2.gz YFAOECCBXBBNLJ-RKDXNWHRSA-N 0 0 285.731 2.506 20 5 CFBDRN CC(C)[C@H]1COCCN1c1cc(Cl)ncc1[N+](=O)[O-] ZINC001166996492 781826964 /nfs/dbraw/zinc/82/69/64/781826964.db2.gz CHYZANPEPYSPQQ-LLVKDONJSA-N 0 0 285.731 2.504 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230493256 781858030 /nfs/dbraw/zinc/85/80/30/781858030.db2.gz YAXOKHPLHQBDTD-SNVBAGLBSA-N 0 0 296.279 2.948 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@@H]1CCOC[C@@H]1F ZINC001230727331 781868542 /nfs/dbraw/zinc/86/85/42/781868542.db2.gz BYSRRHYGRUURNG-CMPLNLGQSA-N 0 0 269.272 2.717 20 5 CFBDRN Cc1cc(=O)cc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC001230897256 781878660 /nfs/dbraw/zinc/87/86/60/781878660.db2.gz GCLKUKDTPVCYOG-JTQLQIEISA-N 0 0 275.260 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2cc3n(n2)CCCC3)nc1 ZINC000594540293 349443319 /nfs/dbraw/zinc/44/33/19/349443319.db2.gz APNOKUOYRYJATD-PLNGDYQASA-N 0 0 270.292 2.693 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CC[C@@H](OC)C1 ZINC000594572207 349449945 /nfs/dbraw/zinc/44/99/45/349449945.db2.gz RUDDNTPQBKBIOF-NXEZZACHSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@H](OC)CC1 ZINC000594575961 349450242 /nfs/dbraw/zinc/45/02/42/349450242.db2.gz VMVZYTRPEIBWQS-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)CC1 ZINC000594592413 349453611 /nfs/dbraw/zinc/45/36/11/349453611.db2.gz NLQSPCXBHVUOOD-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN CC(C)Oc1nc(N2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000594580149 349450789 /nfs/dbraw/zinc/45/07/89/349450789.db2.gz AXXKWQXVJVOLPU-PWSUYJOCSA-N 0 0 277.324 2.766 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCCO[C@H](C(C)C)C1 ZINC000594581220 349451868 /nfs/dbraw/zinc/45/18/68/349451868.db2.gz XGAYRLSNYFODFH-AWEZNQCLSA-N 0 0 294.351 2.855 20 5 CFBDRN CC(C)Oc1nc(N2CCC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000594584968 349452600 /nfs/dbraw/zinc/45/26/00/349452600.db2.gz LWBCELIGNLWRRX-UHFFFAOYSA-N 0 0 277.324 2.767 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCC2CCCC2)c1 ZINC000067547490 346808878 /nfs/dbraw/zinc/80/88/78/346808878.db2.gz OLJXBALGVPKMIN-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CO[C@H](COc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000594878518 349491676 /nfs/dbraw/zinc/49/16/76/349491676.db2.gz CJNKFQNSEMFMQG-CYBMUJFWSA-N 0 0 251.282 2.789 20 5 CFBDRN O=[N+]([O-])c1ccnn1CC[C@@H]1CCc2ccccc21 ZINC000594885215 349493005 /nfs/dbraw/zinc/49/30/05/349493005.db2.gz BMCMDNCXTAUYNZ-LBPRGKRZSA-N 0 0 257.293 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)CCC2CCC2)cc1 ZINC000594896359 349497657 /nfs/dbraw/zinc/49/76/57/349497657.db2.gz UFNNQZYJICKDKY-UHFFFAOYSA-N 0 0 269.322 2.559 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccc(F)cc1 ZINC000067703923 346815583 /nfs/dbraw/zinc/81/55/83/346815583.db2.gz WCCVHHCWCSSERP-UHFFFAOYSA-N 0 0 289.266 2.785 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000594896938 349498603 /nfs/dbraw/zinc/49/86/03/349498603.db2.gz CHHTVXOUYVMUQV-GRYCIOLGSA-N 0 0 262.309 2.501 20 5 CFBDRN O=c1oc2cccc([N+](=O)[O-])c2n1CCC1CCC1 ZINC000594899492 349499036 /nfs/dbraw/zinc/49/90/36/349499036.db2.gz JAOIGPSRYXVPBQ-UHFFFAOYSA-N 0 0 262.265 2.693 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CC[C@H](O)CC2)ccc2ncccc21 ZINC000125009544 187165432 /nfs/dbraw/zinc/16/54/32/187165432.db2.gz RCUVAYKVEJZUJJ-PHIMTYICSA-N 0 0 287.319 2.858 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)N2CCc3ccc([N+](=O)[O-])cc32)C1 ZINC000331672224 290625696 /nfs/dbraw/zinc/62/56/96/290625696.db2.gz ZCZXSLNPTDUJSV-JQWIXIFHSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1nc(CN(C)Cc2ccccc2[N+](=O)[O-])c(C)o1 ZINC000595286416 349589895 /nfs/dbraw/zinc/58/98/95/349589895.db2.gz CLDYTLWWFFPVLB-UHFFFAOYSA-N 0 0 275.308 2.832 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000283902080 290628129 /nfs/dbraw/zinc/62/81/29/290628129.db2.gz JDJYVTCKVIXJAJ-IUCAKERBSA-N 0 0 295.314 2.577 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000125330239 187180082 /nfs/dbraw/zinc/18/00/82/187180082.db2.gz QKSOSTMPRHMALM-JTQLQIEISA-N 0 0 272.301 2.814 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000068202584 346840169 /nfs/dbraw/zinc/84/01/69/346840169.db2.gz BRKQEAMEUSZRHV-LLVKDONJSA-N 0 0 279.340 2.654 20 5 CFBDRN COCC1CCN(Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000595314235 349600025 /nfs/dbraw/zinc/60/00/25/349600025.db2.gz WOLKKGUMRVNAHZ-UHFFFAOYSA-N 0 0 282.315 2.592 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000595316142 349600422 /nfs/dbraw/zinc/60/04/22/349600422.db2.gz CNGGDWJDVHZXOW-GXFFZTMASA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000068321777 346847561 /nfs/dbraw/zinc/84/75/61/346847561.db2.gz VOMLQXLPSYYLPK-JTQLQIEISA-N 0 0 258.281 2.582 20 5 CFBDRN Cc1noc(CN([C@H](C)C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000595289046 349591411 /nfs/dbraw/zinc/59/14/11/349591411.db2.gz CPQLALZKOYJIKU-SECBINFHSA-N 0 0 265.313 2.654 20 5 CFBDRN Cc1noc(CN(CC(C)C)C2CC2)c1[N+](=O)[O-] ZINC000595293926 349593229 /nfs/dbraw/zinc/59/32/29/349593229.db2.gz CSXSJIDRARTFNJ-UHFFFAOYSA-N 0 0 253.302 2.512 20 5 CFBDRN CCN(CCC(F)(F)F)Cc1onc(C)c1[N+](=O)[O-] ZINC000595301347 349597133 /nfs/dbraw/zinc/59/71/33/349597133.db2.gz IWGJMPXQPBXWEQ-UHFFFAOYSA-N 0 0 281.234 2.666 20 5 CFBDRN Cc1noc(CN2CC[C@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000595343018 349606478 /nfs/dbraw/zinc/60/64/78/349606478.db2.gz UWEVDEMGSUATBF-LBPRGKRZSA-N 0 0 273.292 2.838 20 5 CFBDRN Cc1noc(C)c1N(C)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595377952 349612608 /nfs/dbraw/zinc/61/26/08/349612608.db2.gz OZHFPBOYVMSWPQ-UHFFFAOYSA-N 0 0 279.271 2.975 20 5 CFBDRN Cc1noc(CN2CCC[C@@H]2c2ccncc2)c1[N+](=O)[O-] ZINC000595396699 349615883 /nfs/dbraw/zinc/61/58/83/349615883.db2.gz DWAIUVYEXWXRRA-GFCCVEGCSA-N 0 0 288.307 2.623 20 5 CFBDRN CCC[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000595394533 349615977 /nfs/dbraw/zinc/61/59/77/349615977.db2.gz GXQUQYQFQWKATH-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595400891 349617380 /nfs/dbraw/zinc/61/73/80/349617380.db2.gz QMDUZYSXWZMJIX-AWEZNQCLSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1cc(NCC[C@@H](C)CCO)c(F)cc1[N+](=O)[O-] ZINC000294973652 199277359 /nfs/dbraw/zinc/27/73/59/199277359.db2.gz OPTZZXQQSMNMEE-SECBINFHSA-N 0 0 270.304 2.863 20 5 CFBDRN CC[C@]1(C(C)C)C[C@H]1Nc1c([N+](=O)[O-])c(C)nn1C ZINC000413386653 529391436 /nfs/dbraw/zinc/39/14/36/529391436.db2.gz UKEBXIYNBXVUGQ-ZWNOBZJWSA-N 0 0 266.345 2.873 20 5 CFBDRN CO[C@H](CN1CCc2ccc([N+](=O)[O-])cc21)C1CCC1 ZINC000595430767 349624095 /nfs/dbraw/zinc/62/40/95/349624095.db2.gz LGFHJLOZBDLMKQ-OAHLLOKOSA-N 0 0 276.336 2.772 20 5 CFBDRN COCC1=CCN(Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000595439852 349626602 /nfs/dbraw/zinc/62/66/02/349626602.db2.gz AXFWIAIQHSBXAB-UHFFFAOYSA-N 0 0 280.299 2.512 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413144404 529404787 /nfs/dbraw/zinc/40/47/87/529404787.db2.gz TWKKAPRKRLUVTF-YGRLFVJLSA-N 0 0 289.335 2.962 20 5 CFBDRN O=C(NCC1=CCCC1)NCc1ccc([N+](=O)[O-])cc1 ZINC000595450797 349630550 /nfs/dbraw/zinc/63/05/50/349630550.db2.gz DCUXBWRQSSQCNU-UHFFFAOYSA-N 0 0 275.308 2.504 20 5 CFBDRN O=C(NCCCC1CCC1)NCc1ccccc1[N+](=O)[O-] ZINC000595448109 349629482 /nfs/dbraw/zinc/62/94/82/349629482.db2.gz RUUVRCHKQMHQLI-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CC[C@H](OC(F)F)C1 ZINC000595474093 349637536 /nfs/dbraw/zinc/63/75/36/349637536.db2.gz CWFPWLLTPIYPLF-JTQLQIEISA-N 0 0 290.241 2.547 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@H]1CC=CCC1 ZINC000068833862 346873454 /nfs/dbraw/zinc/87/34/54/346873454.db2.gz JQGJHTYQMQIUCR-LBPRGKRZSA-N 0 0 274.320 2.610 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1C[C@@H]1c1cccnc1 ZINC000068936613 346877243 /nfs/dbraw/zinc/87/72/43/346877243.db2.gz DNOOSHOSCXXWJE-KGLIPLIRSA-N 0 0 283.287 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@H]2C(F)(F)F)c(F)c1 ZINC000595496176 349642598 /nfs/dbraw/zinc/64/25/98/349642598.db2.gz XQYCOWXTAAVZSY-SNVBAGLBSA-N 0 0 278.205 2.871 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCCC(F)(F)C1 ZINC000595560473 349659815 /nfs/dbraw/zinc/65/98/15/349659815.db2.gz WIMMJILRSGJFMQ-UHFFFAOYSA-N 0 0 274.242 2.965 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCO[C@H](C2CCC2)C1 ZINC000595536226 349653254 /nfs/dbraw/zinc/65/32/54/349653254.db2.gz WJNLJCWVXXFUEU-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN Cc1ccc(NC(=O)N(C)C[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000069024770 346880425 /nfs/dbraw/zinc/88/04/25/346880425.db2.gz QPLQPTYWOPMZID-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)Cc1c[nH]cn1 ZINC000595595433 349669041 /nfs/dbraw/zinc/66/90/41/349669041.db2.gz HZKALUUSEMNISZ-SNVBAGLBSA-N 0 0 260.297 2.511 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1[nH]nc(CCC)c1[N+](=O)[O-] ZINC000069551179 346895508 /nfs/dbraw/zinc/89/55/08/346895508.db2.gz HITPISZZKMGXTQ-SNVBAGLBSA-N 0 0 296.371 2.969 20 5 CFBDRN CCCc1[nH]nc(C(=O)Nc2cccc(F)c2)c1[N+](=O)[O-] ZINC000069592188 346896094 /nfs/dbraw/zinc/89/60/94/346896094.db2.gz QXXZRHWRVGNVQT-UHFFFAOYSA-N 0 0 292.270 2.662 20 5 CFBDRN C[C@@]1(C2CC2)CN(Cc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000595625818 349682115 /nfs/dbraw/zinc/68/21/15/349682115.db2.gz ORUFFVLNRJGHDM-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN CC[C@@](C)(O)CNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413116987 529513141 /nfs/dbraw/zinc/51/31/41/529513141.db2.gz WSNCURPDPZVRPU-SNVBAGLBSA-N 0 0 293.245 2.582 20 5 CFBDRN CCc1cc(C)c(C(=O)NCc2ccccc2[N+](=O)[O-])o1 ZINC000178497629 529523730 /nfs/dbraw/zinc/52/37/30/529523730.db2.gz KAQPXLWERBBCKO-UHFFFAOYSA-N 0 0 288.303 2.989 20 5 CFBDRN CC[C@@H](CSC)N(C)c1ncc([N+](=O)[O-])cc1F ZINC000413237661 529528329 /nfs/dbraw/zinc/52/83/29/529528329.db2.gz XPUPQUXGQQCCKX-QMMMGPOBSA-N 0 0 273.333 2.707 20 5 CFBDRN CC(C)OCCCOc1ccc([N+](=O)[O-])cc1C=O ZINC000126009087 187210277 /nfs/dbraw/zinc/21/02/77/187210277.db2.gz XWOXKQYUJXEXPL-UHFFFAOYSA-N 0 0 267.281 2.601 20 5 CFBDRN C[N@@H+](Cc1ccc([N+](=O)[O-])cc1)C[C@@H]1CCCCO1 ZINC000126013269 187210633 /nfs/dbraw/zinc/21/06/33/187210633.db2.gz RERXALCOSXUIKK-AWEZNQCLSA-N 0 0 264.325 2.596 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000595711777 349714057 /nfs/dbraw/zinc/71/40/57/349714057.db2.gz DDNWLZLSBOSCIQ-IJLUTSLNSA-N 0 0 276.336 2.813 20 5 CFBDRN Cc1noc(C)c1[C@H](C)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000070936699 346916407 /nfs/dbraw/zinc/91/64/07/346916407.db2.gz JELWMSAMBGCFOY-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN CC1(C)Cc2n[nH]cc2CN(c2sccc2[N+](=O)[O-])C1 ZINC000596001840 349775703 /nfs/dbraw/zinc/77/57/03/349775703.db2.gz YKYSZTQGFNIDIX-UHFFFAOYSA-N 0 0 292.364 2.968 20 5 CFBDRN COc1ccc(F)c(NCc2onc(C)c2[N+](=O)[O-])c1 ZINC000596032118 349778532 /nfs/dbraw/zinc/77/85/32/349778532.db2.gz JZJXNNZQDMSYPW-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN C[N@@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])C[C@H]1CC1(C)C ZINC000596051103 349781910 /nfs/dbraw/zinc/78/19/10/349781910.db2.gz LKVFYCQZJKIMFW-GFCCVEGCSA-N 0 0 292.335 2.771 20 5 CFBDRN COC(=O)c1c(Cl)c(NC(C)C)ccc1[N+](=O)[O-] ZINC000596052801 349781924 /nfs/dbraw/zinc/78/19/24/349781924.db2.gz ZCYYZEHUXVSVRQ-UHFFFAOYSA-N 0 0 272.688 2.855 20 5 CFBDRN CCOC(=O)C1CCN(c2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596051500 349781977 /nfs/dbraw/zinc/78/19/77/349781977.db2.gz SMTVLIREZMSPGD-UHFFFAOYSA-N 0 0 298.364 2.744 20 5 CFBDRN C[C@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596075058 349787978 /nfs/dbraw/zinc/78/79/78/349787978.db2.gz YEGLUHNJFVVKEE-UWVGGRQHSA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1ccc(CCNc2c(Cl)cncc2[N+](=O)[O-])o1 ZINC000596075104 349788257 /nfs/dbraw/zinc/78/82/57/349788257.db2.gz WPSJOVOEJOEZCB-UHFFFAOYSA-N 0 0 281.699 2.621 20 5 CFBDRN CCc1cnc(CCNc2ncc([N+](=O)[O-])cc2F)s1 ZINC000413171466 529641536 /nfs/dbraw/zinc/64/15/36/529641536.db2.gz QCKJPPUWGQWTJG-UHFFFAOYSA-N 0 0 296.327 2.802 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596091439 349792194 /nfs/dbraw/zinc/79/21/94/349792194.db2.gz ZPOBFYNHKCMERW-ZJUUUORDSA-N 0 0 265.313 2.934 20 5 CFBDRN C[C@H]1c2ccsc2CCN1c1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596064604 349785157 /nfs/dbraw/zinc/78/51/57/349785157.db2.gz XWEQJKYLSYWSQI-QMMMGPOBSA-N 0 0 291.332 2.881 20 5 CFBDRN Cc1cnc(CCN(C)c2ncc(C)cc2[N+](=O)[O-])s1 ZINC000269998295 190582866 /nfs/dbraw/zinc/58/28/66/190582866.db2.gz XGYQYECXHZMPIC-UHFFFAOYSA-N 0 0 292.364 2.742 20 5 CFBDRN CC[C@H](C)CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000421922757 529660029 /nfs/dbraw/zinc/66/00/29/529660029.db2.gz UQIPZFPRXNBEGD-LBPRGKRZSA-N 0 0 293.367 2.949 20 5 CFBDRN CC[C@H](C)CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000421917392 529666151 /nfs/dbraw/zinc/66/61/51/529666151.db2.gz OQFHPMPVXSBGOD-VIFPVBQESA-N 0 0 275.308 2.852 20 5 CFBDRN Cc1cc(NC[C@@H](O)Cc2ccccc2)c([N+](=O)[O-])s1 ZINC000596112615 349797016 /nfs/dbraw/zinc/79/70/16/349797016.db2.gz BZNFFFUWNFEJBS-LBPRGKRZSA-N 0 0 292.360 2.980 20 5 CFBDRN COc1cccc(CN(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000072521885 346927511 /nfs/dbraw/zinc/92/75/11/346927511.db2.gz AGIWMULFBKBIES-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN CCC(O)(CC)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596118212 349797696 /nfs/dbraw/zinc/79/76/96/349797696.db2.gz SKVKGCZTBZSESA-UHFFFAOYSA-N 0 0 258.343 2.928 20 5 CFBDRN CC(C)CSCCNc1ccc([N+](=O)[O-])cn1 ZINC000270140481 190602892 /nfs/dbraw/zinc/60/28/92/190602892.db2.gz GBGLDPAPJLXTCZ-UHFFFAOYSA-N 0 0 255.343 2.791 20 5 CFBDRN Cc1cc(NC[C@](C)(O)C2CC2)c([N+](=O)[O-])s1 ZINC000596126469 349800489 /nfs/dbraw/zinc/80/04/89/349800489.db2.gz TZEVQHJRXDRSPK-NSHDSACASA-N 0 0 256.327 2.538 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)[C@@H](C)C1 ZINC000596127461 349801071 /nfs/dbraw/zinc/80/10/71/349801071.db2.gz ZVTMCMJRXPCCFP-NRUUGDAUSA-N 0 0 291.351 2.930 20 5 CFBDRN COC(=O)c1ccc(CNc2cc(C)sc2[N+](=O)[O-])o1 ZINC000596128133 349801247 /nfs/dbraw/zinc/80/12/47/349801247.db2.gz FHAYOHHDPPCYIT-UHFFFAOYSA-N 0 0 296.304 2.956 20 5 CFBDRN C[C@@H]1C[C@H](c2ccccc2)CN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000596129965 349802151 /nfs/dbraw/zinc/80/21/51/349802151.db2.gz WOSSKGMLIXKGIZ-YPMHNXCESA-N 0 0 298.346 2.954 20 5 CFBDRN C[C@H]1CC(Nc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@H](C)C1 ZINC000596134024 349802858 /nfs/dbraw/zinc/80/28/58/349802858.db2.gz CDVZWOGTVXGEEU-RKDXNWHRSA-N 0 0 265.313 2.932 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CCc3ccccc3C2)c1[N+](=O)[O-] ZINC000596132263 349803022 /nfs/dbraw/zinc/80/30/22/349803022.db2.gz WCZWACKXAOPGBO-NSHDSACASA-N 0 0 299.330 2.912 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C[C@@H](C)C1 ZINC000596134422 349803876 /nfs/dbraw/zinc/80/38/76/349803876.db2.gz ILZCPZHMLZDKIP-IAZYJMLFSA-N 0 0 291.351 2.930 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC[C@H]2O)c([N+](=O)[O-])s1 ZINC000596137696 349804907 /nfs/dbraw/zinc/80/49/07/349804907.db2.gz BUXQSFONXLQXCA-WCBMZHEXSA-N 0 0 256.327 2.538 20 5 CFBDRN CC1(C)CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596142457 349806413 /nfs/dbraw/zinc/80/64/13/349806413.db2.gz MLYVGXWHJPKSCQ-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN COc1cc(N[C@@H]2C=C[C@H](CO)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596148804 349808898 /nfs/dbraw/zinc/80/88/98/349808898.db2.gz GHYNSFDIBJAOHT-DTWKUNHWSA-N 0 0 298.726 2.606 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596152953 349810540 /nfs/dbraw/zinc/81/05/40/349810540.db2.gz UYXWSIHXHAZDGG-MFKMUULPSA-N 0 0 295.339 2.559 20 5 CFBDRN COC1CCC(CNc2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596151061 349810094 /nfs/dbraw/zinc/81/00/94/349810094.db2.gz IWMPANCPNHSYGH-UHFFFAOYSA-N 0 0 299.758 2.682 20 5 CFBDRN CN(CCC1CCOCC1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596164511 349814397 /nfs/dbraw/zinc/81/43/97/349814397.db2.gz ZARCFOPEYKPWJL-UHFFFAOYSA-N 0 0 299.758 2.896 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@@H]1CCOC2(CCC2)C1 ZINC000596166171 349814541 /nfs/dbraw/zinc/81/45/41/349814541.db2.gz QFNAUAHVGWPYSR-SECBINFHSA-N 0 0 297.742 2.579 20 5 CFBDRN Cc1ccccc1CC1CN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596164683 349814631 /nfs/dbraw/zinc/81/46/31/349814631.db2.gz WCFBNKUSBVLKQZ-UHFFFAOYSA-N 0 0 299.330 2.683 20 5 CFBDRN COc1cc(NCC2(CCO)CCC2)c([N+](=O)[O-])cc1C ZINC000596165054 349814752 /nfs/dbraw/zinc/81/47/52/349814752.db2.gz IVLFYNNQCLIOBJ-UHFFFAOYSA-N 0 0 294.351 2.876 20 5 CFBDRN NC(=O)c1cc(N[C@@H]2CC3CCC2CC3)ccc1[N+](=O)[O-] ZINC000596165412 349814767 /nfs/dbraw/zinc/81/47/67/349814767.db2.gz KIEBMLZCXYYIDE-SRHKJQAYSA-N 0 0 289.335 2.684 20 5 CFBDRN COc1cc(N[C@@H](C)CC(C)(C)O)c([N+](=O)[O-])cc1F ZINC000295002383 199294166 /nfs/dbraw/zinc/29/41/66/199294166.db2.gz OJFJNCPJZFOOSE-QMMMGPOBSA-N 0 0 286.303 2.704 20 5 CFBDRN COC1(CNc2ncc(C)cc2[N+](=O)[O-])CCCC1 ZINC000295016896 199302144 /nfs/dbraw/zinc/30/21/44/199302144.db2.gz UKSIQJYPAQIAAA-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN CCc1nc(CNc2c([N+](=O)[O-])c(C)nn2CC)cs1 ZINC000192579153 529740841 /nfs/dbraw/zinc/74/08/41/529740841.db2.gz XCWBXVJYLHXELA-UHFFFAOYSA-N 0 0 295.368 2.751 20 5 CFBDRN COC1(CNc2cc(N)ccc2[N+](=O)[O-])CCCC1 ZINC000295022040 199303815 /nfs/dbraw/zinc/30/38/15/199303815.db2.gz UZWZZAJZMHXHLJ-UHFFFAOYSA-N 0 0 265.313 2.548 20 5 CFBDRN Cc1cc(N[C@H]2CC[C@H](O)CC2)c([N+](=O)[O-])s1 ZINC000596172596 349817030 /nfs/dbraw/zinc/81/70/30/349817030.db2.gz MBBXGRVTEOECDP-KYZUINATSA-N 0 0 256.327 2.680 20 5 CFBDRN Cc1cc(N2CC[C@H]([C@@H]3CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596171981 349817066 /nfs/dbraw/zinc/81/70/66/349817066.db2.gz RRKIHZKAJBUUDV-WDEREUQCSA-N 0 0 282.365 2.828 20 5 CFBDRN CO[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)CC1(C)C ZINC000295025761 199306916 /nfs/dbraw/zinc/30/69/16/199306916.db2.gz NNVNOMRDUCYMHN-NSHDSACASA-N 0 0 284.381 2.903 20 5 CFBDRN CC1(C)CN(c2ccc(N)c([N+](=O)[O-])n2)Cc2ccccc21 ZINC000596176776 349817521 /nfs/dbraw/zinc/81/75/21/349817521.db2.gz FKHPZOWQTBCXCW-UHFFFAOYSA-N 0 0 298.346 2.870 20 5 CFBDRN CC(C)(C)C1=CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596176747 349817761 /nfs/dbraw/zinc/81/77/61/349817761.db2.gz DKEPMOWYNVQLOJ-UHFFFAOYSA-N 0 0 277.324 2.878 20 5 CFBDRN COC[C@@H]1CCCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596194494 349824032 /nfs/dbraw/zinc/82/40/32/349824032.db2.gz MIDVBNKHGUDQQD-SNVBAGLBSA-N 0 0 299.758 2.896 20 5 CFBDRN C[C@H](CCC1CC1)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596198332 349824721 /nfs/dbraw/zinc/82/47/21/349824721.db2.gz ZGPWUJZHQQWSPO-SECBINFHSA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](O)C2(C)C)c([N+](=O)[O-])s1 ZINC000596200401 349825814 /nfs/dbraw/zinc/82/58/14/349825814.db2.gz LEIRSUCXEIVXKN-IUCAKERBSA-N 0 0 256.327 2.536 20 5 CFBDRN Cc1cc(NC[C@](C)(O)C(F)(F)F)c([N+](=O)[O-])s1 ZINC000596180849 349819351 /nfs/dbraw/zinc/81/93/51/349819351.db2.gz XNGPROPZXYZCBY-QMMMGPOBSA-N 0 0 284.259 2.690 20 5 CFBDRN C[C@H](CNc1ccncc1[N+](=O)[O-])Oc1ccccc1 ZINC000072945738 346951489 /nfs/dbraw/zinc/95/14/89/346951489.db2.gz OODUUJJBWJVABO-LLVKDONJSA-N 0 0 273.292 2.869 20 5 CFBDRN Cc1cc(N2CC[C@H](OC(F)F)C2)c([N+](=O)[O-])s1 ZINC000596225630 349833263 /nfs/dbraw/zinc/83/32/63/349833263.db2.gz FGHKGSZJHSCFEF-ZETCQYMHSA-N 0 0 278.280 2.783 20 5 CFBDRN COC(=O)c1c(Cl)c(N[C@H](C)CF)ccc1[N+](=O)[O-] ZINC000596235062 349834616 /nfs/dbraw/zinc/83/46/16/349834616.db2.gz FCPWJQXNXHLWHC-ZCFIWIBFSA-N 0 0 290.678 2.805 20 5 CFBDRN CC[C@@H](C)n1ncc(Nc2cc[nH]c(=O)c2[N+](=O)[O-])c1C ZINC000596208113 349827217 /nfs/dbraw/zinc/82/72/17/349827217.db2.gz WDXOVEPZKDSLTA-MRVPVSSYSA-N 0 0 291.311 2.915 20 5 CFBDRN CN(CCCCO)c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596206156 349827237 /nfs/dbraw/zinc/82/72/37/349827237.db2.gz PLHRDKNZIMCMRV-UHFFFAOYSA-N 0 0 292.257 2.822 20 5 CFBDRN Cc1cc(NCc2ccc(C)n(C)c2=O)c([N+](=O)[O-])s1 ZINC000596214196 349828496 /nfs/dbraw/zinc/82/84/96/349828496.db2.gz ORZHDGHOYVWBFY-UHFFFAOYSA-N 0 0 293.348 2.584 20 5 CFBDRN CN1CC[C@@H](CNc2ccc([N+](=O)[O-])cc2Cl)CC1=O ZINC000425272201 529794356 /nfs/dbraw/zinc/79/43/56/529794356.db2.gz ZUBHLYHCDUVKHU-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN Cc1cc(NC[C@@H](CO)c2cccnc2)c([N+](=O)[O-])s1 ZINC000596236493 349835546 /nfs/dbraw/zinc/83/55/46/349835546.db2.gz XUOXJPALSGLRBI-NSHDSACASA-N 0 0 293.348 2.548 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2CCO[C@@H]1CC1(F)F ZINC000596258104 349843684 /nfs/dbraw/zinc/84/36/84/349843684.db2.gz QWSLIJZAMLHOBJ-GFCCVEGCSA-N 0 0 282.246 2.974 20 5 CFBDRN C[C@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])CCCO1 ZINC000571254721 383677385 /nfs/dbraw/zinc/67/73/85/383677385.db2.gz GZYBHNLAXNKYGM-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCC1(CNc2cc(C)sc2[N+](=O)[O-])COC1 ZINC000596257196 349843700 /nfs/dbraw/zinc/84/37/00/349843700.db2.gz KIMUAFLBYPTNQV-UHFFFAOYSA-N 0 0 256.327 2.803 20 5 CFBDRN Cc1cc(N2CC[C@H](n3cccn3)C2)c([N+](=O)[O-])s1 ZINC000596242023 349838217 /nfs/dbraw/zinc/83/82/17/349838217.db2.gz NTGPNIPAYIZNNN-JTQLQIEISA-N 0 0 278.337 2.613 20 5 CFBDRN CC1(C)CCC[C@@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596246285 349838855 /nfs/dbraw/zinc/83/88/55/349838855.db2.gz ITDVSWVJINWWHS-SNVBAGLBSA-N 0 0 291.351 2.932 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2C[C@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000596244322 349839021 /nfs/dbraw/zinc/83/90/21/349839021.db2.gz PRVHOJOOKAZEQA-RYUDHWBXSA-N 0 0 285.303 2.911 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1ncc(Br)cc1[N+](=O)[O-] ZINC000596248344 349839549 /nfs/dbraw/zinc/83/95/49/349839549.db2.gz MHTXKBPPRQEAOU-NKWVEPMBSA-N 0 0 286.129 2.597 20 5 CFBDRN C[C@@H]1CN(c2nc3c(cc2[N+](=O)[O-])CCCC3)[C@@H]1C ZINC000596249004 349839785 /nfs/dbraw/zinc/83/97/85/349839785.db2.gz OVPHEAPPRZHLOM-NXEZZACHSA-N 0 0 261.325 2.713 20 5 CFBDRN CS[C@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596249701 349840108 /nfs/dbraw/zinc/84/01/08/349840108.db2.gz LKCDWHQSJRSQSU-ZETCQYMHSA-N 0 0 273.745 2.585 20 5 CFBDRN Cc1cc(N[C@@H](C)CC(C)(C)O)c([N+](=O)[O-])s1 ZINC000596250610 349840470 /nfs/dbraw/zinc/84/04/70/349840470.db2.gz IHFPLDBXVLZNBB-ZETCQYMHSA-N 0 0 258.343 2.926 20 5 CFBDRN COc1ccc(OCc2onc(C)c2[N+](=O)[O-])cc1C ZINC000596257956 349843877 /nfs/dbraw/zinc/84/38/77/349843877.db2.gz DOVXJCRTMAHCPA-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN CCOc1cccc(N(C)C[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000273920595 192364241 /nfs/dbraw/zinc/36/42/41/192364241.db2.gz ZNRYEGWVCBWKNW-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cc(OCCO[C@H]2CC2(F)F)c(F)cc1[N+](=O)[O-] ZINC000596269798 349848621 /nfs/dbraw/zinc/84/86/21/349848621.db2.gz GEPXAZIJWILKEW-NSHDSACASA-N 0 0 291.225 2.845 20 5 CFBDRN CCc1nc(C)ccc1OCc1onc(C)c1[N+](=O)[O-] ZINC000596273255 349849991 /nfs/dbraw/zinc/84/99/91/349849991.db2.gz HEFMGNJPGAGDCY-UHFFFAOYSA-N 0 0 277.280 2.736 20 5 CFBDRN NC(=O)c1cc(NC[C@@H]2C[C@H]2C2CCC2)ccc1[N+](=O)[O-] ZINC000596275081 349850285 /nfs/dbraw/zinc/85/02/85/349850285.db2.gz KQVUHEDFMONHTF-JQWIXIFHSA-N 0 0 289.335 2.542 20 5 CFBDRN Nc1ccc(N2CC[C@@H](C3CCCC3)C2)nc1[N+](=O)[O-] ZINC000596276924 349850739 /nfs/dbraw/zinc/85/07/39/349850739.db2.gz GZWZPNINXLJXCF-LLVKDONJSA-N 0 0 276.340 2.589 20 5 CFBDRN O=c1[nH]ccc(N2CC[C@@H](C3CCCC3)C2)c1[N+](=O)[O-] ZINC000596277323 349850989 /nfs/dbraw/zinc/85/09/89/349850989.db2.gz JXVWJLBYYWHTOY-LLVKDONJSA-N 0 0 277.324 2.712 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC[C@H](CCO)CC1 ZINC000596279719 349851379 /nfs/dbraw/zinc/85/13/79/349851379.db2.gz UJAPZUKBOASNFH-JTQLQIEISA-N 0 0 299.758 2.632 20 5 CFBDRN O=[N+]([O-])c1cc(N[C@H]2C[C@@H](O)C2)ccc1C(F)(F)F ZINC000596262361 349846410 /nfs/dbraw/zinc/84/64/10/349846410.db2.gz RCPRXHMCKKMZEJ-OCAPTIKFSA-N 0 0 276.214 2.549 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1ncc2c(n1)CCC2 ZINC000596264314 349847311 /nfs/dbraw/zinc/84/73/11/349847311.db2.gz LWYVDDWAUQDPNY-UHFFFAOYSA-N 0 0 276.321 2.547 20 5 CFBDRN CCc1nn(C)c(N[C@H](C)c2ncccc2F)c1[N+](=O)[O-] ZINC000596267091 349847582 /nfs/dbraw/zinc/84/75/82/349847582.db2.gz ZHWZIMMMYQSHPP-MRVPVSSYSA-N 0 0 293.302 2.598 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000596268240 349848091 /nfs/dbraw/zinc/84/80/91/349848091.db2.gz INVCHPWVXHVYEZ-GRYCIOLGSA-N 0 0 262.309 2.501 20 5 CFBDRN COC1CC(CCNc2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000596307794 349859045 /nfs/dbraw/zinc/85/90/45/349859045.db2.gz FDNZKGCMGLRXFI-UHFFFAOYSA-N 0 0 285.731 2.870 20 5 CFBDRN Cc1noc(COc2ccnc3c2CCCC3)c1[N+](=O)[O-] ZINC000596305622 349857355 /nfs/dbraw/zinc/85/73/55/349857355.db2.gz WCBMQMKKNMKZKO-UHFFFAOYSA-N 0 0 289.291 2.744 20 5 CFBDRN COC1CC(CCNc2c(F)cccc2[N+](=O)[O-])C1 ZINC000596307564 349857723 /nfs/dbraw/zinc/85/77/23/349857723.db2.gz AXCWPUDJLWKQCZ-UHFFFAOYSA-N 0 0 268.288 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3ccccn3)C2)nc1 ZINC000596306907 349858344 /nfs/dbraw/zinc/85/83/44/349858344.db2.gz UAOGYAKWEZYKRZ-GFCCVEGCSA-N 0 0 284.319 2.769 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000073336263 346972007 /nfs/dbraw/zinc/97/20/07/346972007.db2.gz QTIDNATXCXERGK-PWSUYJOCSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1noc(CSC[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000596329279 349865327 /nfs/dbraw/zinc/86/53/27/349865327.db2.gz VXRLCLJUDSIARI-SECBINFHSA-N 0 0 272.326 2.694 20 5 CFBDRN Cc1noc(CSCc2nc(C3CC3)no2)c1[N+](=O)[O-] ZINC000596330789 349866167 /nfs/dbraw/zinc/86/61/67/349866167.db2.gz VIKDNKVWFGQVHW-UHFFFAOYSA-N 0 0 296.308 2.585 20 5 CFBDRN COCC[C@@H](C)SCc1onc(C)c1[N+](=O)[O-] ZINC000596344332 349871345 /nfs/dbraw/zinc/87/13/45/349871345.db2.gz VKYYYHGFGYVHCL-SSDOTTSWSA-N 0 0 260.315 2.549 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1(C)C ZINC000219362044 539365900 /nfs/dbraw/zinc/36/59/00/539365900.db2.gz VZWQTUQBEILPSW-NEPJUHHUSA-N 0 0 290.323 2.697 20 5 CFBDRN Cc1nc2ccc(NC(=O)c3cc([N+](=O)[O-])c[nH]3)cc2o1 ZINC000073953997 346986578 /nfs/dbraw/zinc/98/65/78/346986578.db2.gz PEDIXWVIVJHHSS-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@](C)(C3CC3)C2)c1 ZINC000596333969 349867523 /nfs/dbraw/zinc/86/75/23/349867523.db2.gz GFLONDCFDGNCKC-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1noc(CS[C@H](C)c2cnccn2)c1[N+](=O)[O-] ZINC000596365538 349879273 /nfs/dbraw/zinc/87/92/73/349879273.db2.gz SRVOWSHSRRRWNB-MRVPVSSYSA-N 0 0 280.309 2.676 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1CCCS1 ZINC000074242435 347004254 /nfs/dbraw/zinc/00/42/54/347004254.db2.gz ROVBTJPXUULWTQ-RNCFNFMXSA-N 0 0 292.360 2.768 20 5 CFBDRN C[S@](=O)[C@@H]1CCC[C@H](Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000596375319 349882820 /nfs/dbraw/zinc/88/28/20/349882820.db2.gz PYLIXOXLNJJTIZ-XAEJFWIOSA-N 0 0 282.365 2.696 20 5 CFBDRN CCC(CC)(CCO)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000128130781 187353768 /nfs/dbraw/zinc/35/37/68/187353768.db2.gz QFEXFUPNYHLFIS-UHFFFAOYSA-N 0 0 281.356 2.899 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1cn2c(n1)CCCC2 ZINC000074237132 347004503 /nfs/dbraw/zinc/00/45/03/347004503.db2.gz NPWKLSQTWAVOSM-UHFFFAOYSA-N 0 0 286.335 2.782 20 5 CFBDRN CO[C@@H](CSCc1ccccc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000596387076 349886340 /nfs/dbraw/zinc/88/63/40/349886340.db2.gz CFJFTOBPCHEEFQ-FZMZJTMJSA-N 0 0 297.376 2.880 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc2[nH]ccc2c1 ZINC000074259498 347006331 /nfs/dbraw/zinc/00/63/31/347006331.db2.gz FDYBPPSFKHQTMA-UHFFFAOYSA-N 0 0 257.253 2.553 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2C[C@H]2C2CCC2)c([N+](=O)[O-])c1 ZINC000425276790 529942691 /nfs/dbraw/zinc/94/26/91/529942691.db2.gz WIEFMEMUYWHSTJ-WCQYABFASA-N 0 0 289.335 2.555 20 5 CFBDRN CNC(=O)c1ccc(N2CC(C)(C)C[C@H]2C)c([N+](=O)[O-])c1 ZINC000413583069 529940548 /nfs/dbraw/zinc/94/05/48/529940548.db2.gz NDYACEJNUISATL-SNVBAGLBSA-N 0 0 291.351 2.579 20 5 CFBDRN C[C@@H](O)CCSCc1c(F)cc([N+](=O)[O-])cc1F ZINC000596406072 349891227 /nfs/dbraw/zinc/89/12/27/349891227.db2.gz CQKXOZPFZSXFDH-SSDOTTSWSA-N 0 0 277.292 2.877 20 5 CFBDRN COc1cc(CSCC[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000596408770 349892164 /nfs/dbraw/zinc/89/21/64/349892164.db2.gz ROIQTSLXVMKNPI-SECBINFHSA-N 0 0 271.338 2.608 20 5 CFBDRN CCC1CCC(NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000074483677 347017386 /nfs/dbraw/zinc/01/73/86/347017386.db2.gz YVFIPGOOHNSFKC-UHFFFAOYSA-N 0 0 265.313 2.622 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCC1(C)COC1 ZINC000596416821 349897076 /nfs/dbraw/zinc/89/70/76/349897076.db2.gz YBKMKFBPXHKHSL-UHFFFAOYSA-N 0 0 283.349 2.873 20 5 CFBDRN CC(C)CC1(C)CCN(C(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000290632687 197771991 /nfs/dbraw/zinc/77/19/91/197771991.db2.gz LWIUJZTWLXJPQT-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000596436248 349902393 /nfs/dbraw/zinc/90/23/93/349902393.db2.gz IPBJORMAFAYQBM-HAQNSBGRSA-N 0 0 291.351 2.848 20 5 CFBDRN Cc1cc(N[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000074765715 347031476 /nfs/dbraw/zinc/03/14/76/347031476.db2.gz FQSOYPDBLJZFHP-WQAKAFBOSA-N 0 0 277.324 2.524 20 5 CFBDRN C[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])C1(CO)CC1 ZINC000596437766 349902482 /nfs/dbraw/zinc/90/24/82/349902482.db2.gz PWKAGHYDPCXDNB-MRVPVSSYSA-N 0 0 270.716 2.821 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000596437526 349902564 /nfs/dbraw/zinc/90/25/64/349902564.db2.gz WBGKHZDXEFKORQ-XYPYZODXSA-N 0 0 287.319 2.544 20 5 CFBDRN Cc1cc(Nc2ccc3n[nH]cc3c2)ncc1[N+](=O)[O-] ZINC000074726490 347028946 /nfs/dbraw/zinc/02/89/46/347028946.db2.gz JGSFYFDNWMAONF-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN COCC1(C2CCC2)CN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000596439870 349903176 /nfs/dbraw/zinc/90/31/76/349903176.db2.gz IKZBIXZMGCIJSV-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN CC[C@H](C)N(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000074764159 347031550 /nfs/dbraw/zinc/03/15/50/347031550.db2.gz ULROBSCCWCMGEC-NSHDSACASA-N 0 0 279.340 2.577 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000596441249 349903832 /nfs/dbraw/zinc/90/38/32/349903832.db2.gz JZCLVAYHVNVCOI-HAQNSBGRSA-N 0 0 278.308 2.777 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@]12C[C@@H]1CCC2 ZINC000596444433 349905103 /nfs/dbraw/zinc/90/51/03/349905103.db2.gz SRGAHDJBXMXEQI-SDBXPKJASA-N 0 0 279.271 2.798 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@H](C)[C@@H]2CCO)n1 ZINC000596443608 349905152 /nfs/dbraw/zinc/90/51/52/349905152.db2.gz PKSXUWKAPTVJDL-MFKMUULPSA-N 0 0 293.367 2.594 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@]12C[C@@H]1CCC2 ZINC000596444892 349905266 /nfs/dbraw/zinc/90/52/66/349905266.db2.gz VLILEWKEJKWSQB-ZANVPECISA-N 0 0 261.281 2.659 20 5 CFBDRN Cc1cccc(NCCO[C@@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000596445906 349905675 /nfs/dbraw/zinc/90/56/75/349905675.db2.gz APNQGMMHSQOUKY-SNVBAGLBSA-N 0 0 272.251 2.739 20 5 CFBDRN Cc1cc(NCCO[C@H]2CC2(F)F)c(F)cc1[N+](=O)[O-] ZINC000596446283 349905704 /nfs/dbraw/zinc/90/57/04/349905704.db2.gz DGLBCZQNLFKKOZ-NSHDSACASA-N 0 0 290.241 2.878 20 5 CFBDRN CCOc1cc(N2C[C@@H](C)C(=O)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000596446699 349905736 /nfs/dbraw/zinc/90/57/36/349905736.db2.gz JFVVJNCJMKQKKF-GHMZBOCLSA-N 0 0 292.335 2.655 20 5 CFBDRN Cc1cc(N[C@H](CO)C2CCCCC2)ncc1[N+](=O)[O-] ZINC000074769611 347032229 /nfs/dbraw/zinc/03/22/29/347032229.db2.gz AQGXBFQBGWBIJR-GFCCVEGCSA-N 0 0 279.340 2.651 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000074769509 347032232 /nfs/dbraw/zinc/03/22/32/347032232.db2.gz JAHXXXIOOHNXJX-RISCZKNCSA-N 0 0 279.340 2.914 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074769162 347032404 /nfs/dbraw/zinc/03/24/04/347032404.db2.gz CJDJURXOBXQIRH-MWLCHTKSSA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO[C@H]2CC2(F)F)c(F)c1 ZINC000596448302 349906199 /nfs/dbraw/zinc/90/61/99/349906199.db2.gz RZGIKCMQLKUKNC-JTQLQIEISA-N 0 0 276.214 2.570 20 5 CFBDRN CN(CC1=CCCOC1)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000596465576 349909065 /nfs/dbraw/zinc/90/90/65/349909065.db2.gz KILLMXXIWPOOHN-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)CC2=CCCOC2)c1 ZINC000596466158 349909343 /nfs/dbraw/zinc/90/93/43/349909343.db2.gz SRGVYJGQTQPMAL-UHFFFAOYSA-N 0 0 262.309 2.686 20 5 CFBDRN Cc1nnc([C@@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000271605803 282337005 /nfs/dbraw/zinc/33/70/05/282337005.db2.gz JFFIUWUVAJLDGY-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCO[C@H](c2ccco2)C1 ZINC000302789935 136460729 /nfs/dbraw/zinc/46/07/29/136460729.db2.gz FVXDDEMQAVLDNL-AWEZNQCLSA-N 0 0 292.266 2.905 20 5 CFBDRN CC(C)(F)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000596470043 349909888 /nfs/dbraw/zinc/90/98/88/349909888.db2.gz XVFHVELIDZOCOB-UHFFFAOYSA-N 0 0 287.266 2.994 20 5 CFBDRN CC(C)[C@](C)(O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000075050878 347051270 /nfs/dbraw/zinc/05/12/70/347051270.db2.gz CROMKRXZQKYHNR-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2ncc([N+](=O)[O-])cc2C)C1(C)C ZINC000075064458 347052709 /nfs/dbraw/zinc/05/27/09/347052709.db2.gz BRNYDBREUJRCAT-FZMZJTMJSA-N 0 0 279.340 2.914 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])cc1C)C(C)(C)C ZINC000075063090 347052805 /nfs/dbraw/zinc/05/28/05/347052805.db2.gz NRQUWEWSYFCBHL-NSHDSACASA-N 0 0 267.329 2.771 20 5 CFBDRN CO[C@@H](CNc1nc2sccn2c1[N+](=O)[O-])C(C)(C)C ZINC000075063109 347052847 /nfs/dbraw/zinc/05/28/47/347052847.db2.gz ZQUHOIQCEOPUMR-QMMMGPOBSA-N 0 0 298.368 2.777 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000596473478 349911244 /nfs/dbraw/zinc/91/12/44/349911244.db2.gz IDZIZVOCZNKCIR-WOPDTQHZSA-N 0 0 278.356 2.968 20 5 CFBDRN COCc1csc(CNc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000596474098 349911982 /nfs/dbraw/zinc/91/19/82/349911982.db2.gz XKGGCDCURZBNPE-UHFFFAOYSA-N 0 0 293.348 2.540 20 5 CFBDRN CCCCCNC(=O)COc1ccc([N+](=O)[O-])cc1C ZINC000002609799 169815694 /nfs/dbraw/zinc/81/56/94/169815694.db2.gz JSXSICYEFQSQNI-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN C[C@H]1CCCCN1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000075108379 347055855 /nfs/dbraw/zinc/05/58/55/347055855.db2.gz IZDKVSLYHURHEW-LBPRGKRZSA-N 0 0 291.351 2.721 20 5 CFBDRN CN(CC1CCC1)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000075108753 347056046 /nfs/dbraw/zinc/05/60/46/347056046.db2.gz SWDDTNYLAYMPQO-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000075127684 347057586 /nfs/dbraw/zinc/05/75/86/347057586.db2.gz JMPBBQHAWGYOKB-OAHLLOKOSA-N 0 0 294.351 2.512 20 5 CFBDRN C[C@]1(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])CC1(F)F ZINC000596480418 349914486 /nfs/dbraw/zinc/91/44/86/349914486.db2.gz ZAOZBKSZLCTJBF-JTQLQIEISA-N 0 0 273.239 2.543 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000421432969 530004331 /nfs/dbraw/zinc/00/43/31/530004331.db2.gz RFPLXNCLHDKRTB-YRGRVCCFSA-N 0 0 288.347 2.989 20 5 CFBDRN Nc1c(F)c(N2CCC[C@H](CCF)C2)ccc1[N+](=O)[O-] ZINC000596478559 349913906 /nfs/dbraw/zinc/91/39/06/349913906.db2.gz HCDQUIMOBFIBMY-SECBINFHSA-N 0 0 285.294 2.892 20 5 CFBDRN COc1ccc2c(c1)CCN(c1cc(C)c([N+](=O)[O-])cn1)C2 ZINC000596483637 349915596 /nfs/dbraw/zinc/91/55/96/349915596.db2.gz HIEIELLTPDEHOM-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN O=C(NCC1=CCCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000596484370 349915900 /nfs/dbraw/zinc/91/59/00/349915900.db2.gz OISHFHUICLQDRJ-UHFFFAOYSA-N 0 0 261.281 2.827 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ncccc1[N+](=O)[O-])C2 ZINC000596484501 349916645 /nfs/dbraw/zinc/91/66/45/349916645.db2.gz RQEJLENQBGQXJD-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2cccc(N3CCCC3)c2)nc1 ZINC000273208793 192075847 /nfs/dbraw/zinc/07/58/47/192075847.db2.gz SRYVXRVJFJSEQA-UHFFFAOYSA-N 0 0 285.307 2.729 20 5 CFBDRN Cc1ccc(CC2CN(c3cccnc3[N+](=O)[O-])C2)cc1 ZINC000596486089 349916310 /nfs/dbraw/zinc/91/63/10/349916310.db2.gz SQDJJSBSPZPOOZ-UHFFFAOYSA-N 0 0 283.331 2.977 20 5 CFBDRN Cc1cc(NC[C@H](CO)CC2CC2)ccc1[N+](=O)[O-] ZINC000596492696 349918837 /nfs/dbraw/zinc/91/88/37/349918837.db2.gz RZTHOGQQZBZGLP-GFCCVEGCSA-N 0 0 264.325 2.724 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H](CO)CC1CC1 ZINC000596492661 349919009 /nfs/dbraw/zinc/91/90/09/349919009.db2.gz LVIKIBYUSVXXJC-LBPRGKRZSA-N 0 0 294.351 2.562 20 5 CFBDRN COc1cc(NC[C@H](CO)CC2CC2)c([N+](=O)[O-])cc1C ZINC000596491486 349919084 /nfs/dbraw/zinc/91/90/84/349919084.db2.gz FPOHLJJHOAEUFP-GFCCVEGCSA-N 0 0 294.351 2.732 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@@H](CO)CC2CC2)c(F)c1 ZINC000596493342 349919591 /nfs/dbraw/zinc/91/95/91/349919591.db2.gz MZURDZFESXBSEL-VIFPVBQESA-N 0 0 286.278 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]([C@@H]3CCCCO3)C2)nc1 ZINC000596501099 349921921 /nfs/dbraw/zinc/92/19/21/349921921.db2.gz BGVQKLCIDKAWJK-JSGCOSHPSA-N 0 0 291.351 2.775 20 5 CFBDRN O=[N+]([O-])c1cnn(CCN(CC2CC2)c2ccccc2)c1 ZINC000084139244 178799974 /nfs/dbraw/zinc/79/99/74/178799974.db2.gz ZBFFQZKANYEBOQ-UHFFFAOYSA-N 0 0 286.335 2.708 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000596502674 349922662 /nfs/dbraw/zinc/92/26/62/349922662.db2.gz HZNJHJJIDOZFJM-SWLSCSKDSA-N 0 0 291.351 2.775 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000084204942 178824565 /nfs/dbraw/zinc/82/45/65/178824565.db2.gz LLAZMHYHIUKUIA-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN CCC1(CNC(=O)COc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000084255104 178832950 /nfs/dbraw/zinc/83/29/50/178832950.db2.gz VQYQQAWNUWERRS-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN Cc1cnc(NCC[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000273242983 192085883 /nfs/dbraw/zinc/08/58/83/192085883.db2.gz XPQNFKYIWVSGMG-RYUDHWBXSA-N 0 0 279.340 2.915 20 5 CFBDRN C[C@@H]1[C@H](O)CCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000295098579 199343243 /nfs/dbraw/zinc/34/32/43/199343243.db2.gz UPUNPSVRXXZINB-NOZJJQNGSA-N 0 0 284.743 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@H]3C[C@H]3C2)c1 ZINC000596513044 349926033 /nfs/dbraw/zinc/92/60/33/349926033.db2.gz YHTSGGGOWXLXBQ-JQWIXIFHSA-N 0 0 269.304 2.989 20 5 CFBDRN CC1(C)[C@H](CNc2ncc([N+](=O)[O-])cc2F)C1(F)F ZINC000596512928 349926131 /nfs/dbraw/zinc/92/61/31/349926131.db2.gz LUHXQNXXMJBKSP-QMMMGPOBSA-N 0 0 275.230 2.832 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@H](O)C23CCC3)ccc2ncccc21 ZINC000596514645 349926782 /nfs/dbraw/zinc/92/67/82/349926782.db2.gz XMKAQQBKXJHDLN-KGLIPLIRSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1nc(CNc2c(C)ccc([N+](=O)[O-])c2C)no1 ZINC000086213763 232178040 /nfs/dbraw/zinc/17/80/40/232178040.db2.gz RHQZEKUKMQLHCX-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](O)C23CCC3)c([N+](=O)[O-])s1 ZINC000596515504 349927118 /nfs/dbraw/zinc/92/71/18/349927118.db2.gz YWCGJLKZEKYTOO-NXEZZACHSA-N 0 0 268.338 2.680 20 5 CFBDRN C[C@@H]1COC2(CCCC2)CN1c1ncc([N+](=O)[O-])s1 ZINC000596516519 349927129 /nfs/dbraw/zinc/92/71/29/349927129.db2.gz SZKQYGPJUVLEEI-SECBINFHSA-N 0 0 283.353 2.589 20 5 CFBDRN CCOc1cccc(N[C@H]2C[C@@H](O)C23CCC3)c1[N+](=O)[O-] ZINC000596515532 349927136 /nfs/dbraw/zinc/92/71/36/349927136.db2.gz ZIMWQLQWEZMELZ-QWHCGFSZSA-N 0 0 292.335 2.709 20 5 CFBDRN C[C@@H]1COC2(CCCC2)CN1c1ccc([N+](=O)[O-])nc1 ZINC000596514856 349927493 /nfs/dbraw/zinc/92/74/93/349927493.db2.gz KTLIWKGFDXVNBW-LLVKDONJSA-N 0 0 277.324 2.528 20 5 CFBDRN CC1(C)C[C@]1(C)Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000596523444 349929738 /nfs/dbraw/zinc/92/97/38/349929738.db2.gz JAVYISISRHAESZ-ZDUSSCGKSA-N 0 0 298.364 2.599 20 5 CFBDRN C[C@H](O)C1(Nc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000596524431 349930159 /nfs/dbraw/zinc/93/01/59/349930159.db2.gz XSKCQEKTVICJMQ-ZETCQYMHSA-N 0 0 256.689 2.574 20 5 CFBDRN CCc1nn(C)c(NC[C@H](OC)C2CCCC2)c1[N+](=O)[O-] ZINC000596525991 349930185 /nfs/dbraw/zinc/93/01/85/349930185.db2.gz NUEDNFOEEJODCU-LBPRGKRZSA-N 0 0 296.371 2.508 20 5 CFBDRN C[C@H](O)C1(Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)CC1 ZINC000596524213 349930190 /nfs/dbraw/zinc/93/01/90/349930190.db2.gz SFNVELUFSDFKLU-ZETCQYMHSA-N 0 0 288.250 2.522 20 5 CFBDRN C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)[N@H+](C)CC1CCC1 ZINC000111299026 232192544 /nfs/dbraw/zinc/19/25/44/232192544.db2.gz VGNIEELZEXLVOF-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1ccccc1C(F)(F)CNc1c([N+](=O)[O-])ncn1C ZINC000596526645 349930699 /nfs/dbraw/zinc/93/06/99/349930699.db2.gz RZBAPPAQWXILHO-UHFFFAOYSA-N 0 0 296.277 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@H]2COC[C@H]2C1 ZINC000596527368 349930724 /nfs/dbraw/zinc/93/07/24/349930724.db2.gz IWVFSDQQKVZUIZ-NWDGAFQWSA-N 0 0 299.330 2.616 20 5 CFBDRN CO[C@H](CNc1c(Cl)cncc1[N+](=O)[O-])C1CCCC1 ZINC000596531319 349932782 /nfs/dbraw/zinc/93/27/82/349932782.db2.gz WMEUYWYGGXBTLW-GFCCVEGCSA-N 0 0 299.758 2.682 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@@H]2COC[C@@H]2C1 ZINC000596529966 349931932 /nfs/dbraw/zinc/93/19/32/349931932.db2.gz SBDZWINQIJLOTI-NEPJUHHUSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC[C@@H]4COC[C@H]4C3)ccnc21 ZINC000596530020 349932028 /nfs/dbraw/zinc/93/20/28/349932028.db2.gz SVUZAVGIJWXOOU-VXGBXAGGSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCCS2)cc([N+](=O)[O-])c1 ZINC000131489172 232206419 /nfs/dbraw/zinc/20/64/19/232206419.db2.gz GSNLJFFCPCYKFY-GFCCVEGCSA-N 0 0 280.349 2.529 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1(O)CCC(F)(F)CC1 ZINC000596534426 349934233 /nfs/dbraw/zinc/93/42/33/349934233.db2.gz NHZDFABXYXTUBT-UHFFFAOYSA-N 0 0 286.278 2.947 20 5 CFBDRN Cc1cnc(N2CCC(c3ccon3)CC2)c([N+](=O)[O-])c1 ZINC000596532025 349933717 /nfs/dbraw/zinc/93/37/17/349933717.db2.gz XRQUBQJFUYZQIT-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CC[C@@H](CO)CC1 ZINC000153962152 232223885 /nfs/dbraw/zinc/22/38/85/232223885.db2.gz WYNBMTMMDTXUSD-CZMCAQCFSA-N 0 0 285.731 2.606 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])c(C)n1)C1CCCCC1 ZINC000596540120 349935734 /nfs/dbraw/zinc/93/57/34/349935734.db2.gz JRNFTUOCPTWZHU-CQSZACIVSA-N 0 0 293.367 2.727 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000596541199 349935779 /nfs/dbraw/zinc/93/57/79/349935779.db2.gz ZCOZSFCJNVGJEL-KGLIPLIRSA-N 0 0 273.292 2.732 20 5 CFBDRN NC(=O)CC1(CNc2ccc([N+](=O)[O-])cc2)CCCCC1 ZINC000596541969 349936783 /nfs/dbraw/zinc/93/67/83/349936783.db2.gz FFRMKVAGYWHKPL-UHFFFAOYSA-N 0 0 291.351 2.833 20 5 CFBDRN CC1(C)OCC[C@@H]1CNc1c(F)cccc1[N+](=O)[O-] ZINC000596546685 349937860 /nfs/dbraw/zinc/93/78/60/349937860.db2.gz SZXVCXNITOKGPD-SECBINFHSA-N 0 0 268.288 2.961 20 5 CFBDRN CC1(C)OCC[C@H]1CNc1c(F)cccc1[N+](=O)[O-] ZINC000596546688 349937911 /nfs/dbraw/zinc/93/79/11/349937911.db2.gz SZXVCXNITOKGPD-VIFPVBQESA-N 0 0 268.288 2.961 20 5 CFBDRN CC1(C)CC(Nc2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000596550897 349939360 /nfs/dbraw/zinc/93/93/60/349939360.db2.gz AJYCRPPWXQEQGT-UHFFFAOYSA-N 0 0 253.277 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc(CO)cc2)cc1 ZINC000163268304 232257019 /nfs/dbraw/zinc/25/70/19/232257019.db2.gz CNWRVNZLOLYLTF-UHFFFAOYSA-N 0 0 259.261 2.666 20 5 CFBDRN O=C(NCCC1=CCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000160131707 232247171 /nfs/dbraw/zinc/24/71/71/232247171.db2.gz AAYLJDDFHTWJAA-UHFFFAOYSA-N 0 0 278.283 2.964 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)ccn1 ZINC000170234117 232263265 /nfs/dbraw/zinc/26/32/65/232263265.db2.gz VXIKGVSRAARNLQ-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN C[C@H](NC(=O)c1ccccc1[N+](=O)[O-])c1cccc(O)c1 ZINC000171385985 232266325 /nfs/dbraw/zinc/26/63/25/232266325.db2.gz WKVWVOLTOPEMTK-JTQLQIEISA-N 0 0 286.287 2.791 20 5 CFBDRN COc1cc(N(C)CC2=CCSC2)c(F)cc1[N+](=O)[O-] ZINC000596553749 349939938 /nfs/dbraw/zinc/93/99/38/349939938.db2.gz MCMIGFQKOFNMMX-UHFFFAOYSA-N 0 0 298.339 2.852 20 5 CFBDRN CCOC(=O)[C@@]1(C)CCC[C@@H]1Nc1ncccc1[N+](=O)[O-] ZINC000596558255 349941264 /nfs/dbraw/zinc/94/12/64/349941264.db2.gz YIMKVYUWKRQNIN-FZMZJTMJSA-N 0 0 293.323 2.524 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)CC[C@H]1C ZINC000175173119 232307103 /nfs/dbraw/zinc/30/71/03/232307103.db2.gz WEKOYFPNGZRJNS-VHSXEESVSA-N 0 0 277.324 2.559 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2N)c2ccccc21 ZINC000176004698 232325108 /nfs/dbraw/zinc/32/51/08/232325108.db2.gz CYEQUVVGAGEEHZ-SNVBAGLBSA-N 0 0 297.314 2.941 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1C=CCCC1 ZINC000177317376 232356461 /nfs/dbraw/zinc/35/64/61/232356461.db2.gz UVGNAYFBZLPWED-GFCCVEGCSA-N 0 0 275.308 2.503 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2cc[nH]c2)cc1[N+](=O)[O-] ZINC000177855345 232371926 /nfs/dbraw/zinc/37/19/26/232371926.db2.gz FHCSZRAHURBBCI-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1cc(C(=O)NCCC(C)(F)F)cc([N+](=O)[O-])c1 ZINC000295560403 199564331 /nfs/dbraw/zinc/56/43/31/199564331.db2.gz LHJUXDAJQPXOOS-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCc1c(F)cccc1Cl ZINC000178465858 232381213 /nfs/dbraw/zinc/38/12/13/232381213.db2.gz UIZGXQNCOHQMBA-UHFFFAOYSA-N 0 0 269.663 2.827 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000005322178 346211207 /nfs/dbraw/zinc/21/12/07/346211207.db2.gz ILHULIYRGQZRAT-UHFFFAOYSA-N 0 0 285.303 2.652 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCc2sccc2C1 ZINC000010885045 346228224 /nfs/dbraw/zinc/22/82/24/346228224.db2.gz XGWJGBDEYUHCNT-UHFFFAOYSA-N 0 0 288.328 2.855 20 5 CFBDRN C[C@@H](O)[C@@H](Nc1ncc([N+](=O)[O-])s1)c1ccccc1 ZINC000596567858 349943577 /nfs/dbraw/zinc/94/35/77/349943577.db2.gz WVOGNHWUYNMTJC-LDYMZIIASA-N 0 0 279.321 2.585 20 5 CFBDRN CCn1nccc1CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000596572341 349944355 /nfs/dbraw/zinc/94/43/55/349944355.db2.gz LRHJMJHZKGBNPW-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN C[C@@H](CCc1ccco1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000008010840 346221225 /nfs/dbraw/zinc/22/12/25/346221225.db2.gz IMQCMGOSGYHNAR-NSHDSACASA-N 0 0 288.303 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCCF)c2ccncc21 ZINC000596570907 349944640 /nfs/dbraw/zinc/94/46/40/349944640.db2.gz WPSFSVKMLNPZSB-UHFFFAOYSA-N 0 0 279.271 2.541 20 5 CFBDRN O=[N+]([O-])c1cccc(CSc2nncn2C2CC2)c1 ZINC000009982204 346225169 /nfs/dbraw/zinc/22/51/69/346225169.db2.gz WQDAORHQJGGPGD-UHFFFAOYSA-N 0 0 276.321 2.814 20 5 CFBDRN COC(=O)[C@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000010084408 346226215 /nfs/dbraw/zinc/22/62/15/346226215.db2.gz FHFAKRDRTVKRSV-LURJTMIESA-N 0 0 298.345 2.858 20 5 CFBDRN Cc1cc(=O)n(C[C@H]2CCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000185536357 232416652 /nfs/dbraw/zinc/41/66/52/232416652.db2.gz FWRZSFABRYIWAU-PWSUYJOCSA-N 0 0 264.325 2.891 20 5 CFBDRN COc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2C)cn1 ZINC000016229911 346237545 /nfs/dbraw/zinc/23/75/45/346237545.db2.gz GGYHQUSSUOZLFI-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CN(Cc1nccn1C)c1ccc([N+](=O)[O-])cc1Cl ZINC000018060012 346241166 /nfs/dbraw/zinc/24/11/66/346241166.db2.gz LGRJTQMIHSQHRJ-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN Cc1ccc(CN(C)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000022804274 346250075 /nfs/dbraw/zinc/25/00/75/346250075.db2.gz YANYUMGRHIZIBB-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CN(Cc1ccc(Cl)nc1)c1ncccc1[N+](=O)[O-] ZINC000023887931 346252811 /nfs/dbraw/zinc/25/28/11/346252811.db2.gz GISPJNKVDZZZSW-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN CCn1nccc1CNc1cc(C)sc1[N+](=O)[O-] ZINC000596573794 349945193 /nfs/dbraw/zinc/94/51/93/349945193.db2.gz WPOPWAJWUHAWNQ-UHFFFAOYSA-N 0 0 266.326 2.793 20 5 CFBDRN COC(=O)c1occc1COc1ccc(C)cc1[N+](=O)[O-] ZINC000025042630 346257561 /nfs/dbraw/zinc/25/75/61/346257561.db2.gz WFXZTDWIXCPMFP-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCCNC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000025425730 346259136 /nfs/dbraw/zinc/25/91/36/346259136.db2.gz CNZIWKKUYUCMGS-VIFPVBQESA-N 0 0 268.338 2.602 20 5 CFBDRN CCN(Cc1cccs1)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000025760821 346261142 /nfs/dbraw/zinc/26/11/42/346261142.db2.gz MDXJSHZIUIDNOD-UHFFFAOYSA-N 0 0 293.348 2.657 20 5 CFBDRN Cc1ccc(-c2noc(CCCF)n2)cc1[N+](=O)[O-] ZINC000596582753 349947280 /nfs/dbraw/zinc/94/72/80/349947280.db2.gz JAJHYAQAHKJSQQ-UHFFFAOYSA-N 0 0 265.244 2.855 20 5 CFBDRN Cc1sc(C(=O)NCc2ccncc2C)cc1[N+](=O)[O-] ZINC000187453806 232435250 /nfs/dbraw/zinc/43/52/50/232435250.db2.gz UHDQVVBILRNFNX-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCOc1ncccc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000027587782 346270692 /nfs/dbraw/zinc/27/06/92/346270692.db2.gz AIVOVQVSJWRPAA-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000031087852 346291592 /nfs/dbraw/zinc/29/15/92/346291592.db2.gz GDFYQJSLPHWXKH-SECBINFHSA-N 0 0 263.297 2.857 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1cccnc1 ZINC000033238360 346310962 /nfs/dbraw/zinc/31/09/62/346310962.db2.gz KSVLQKSBYXTSEP-OAHLLOKOSA-N 0 0 297.314 2.967 20 5 CFBDRN CCC/C=C\c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000596595828 349951510 /nfs/dbraw/zinc/95/15/10/349951510.db2.gz GTFKCCUEBDOHBX-PLNGDYQASA-N 0 0 274.280 2.777 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000042565924 346367520 /nfs/dbraw/zinc/36/75/20/346367520.db2.gz VCMJTXFYLJASPB-IUCAKERBSA-N 0 0 268.338 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)Nc1ccccc1 ZINC000042180251 346361518 /nfs/dbraw/zinc/36/15/18/346361518.db2.gz OXMAOAJKIWDCJV-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN CO[C@@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C1CCC1 ZINC000596601569 349953504 /nfs/dbraw/zinc/95/35/04/349953504.db2.gz IGDGMDIEIAAQOW-SNVBAGLBSA-N 0 0 279.252 2.725 20 5 CFBDRN CCC[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000043127135 346379192 /nfs/dbraw/zinc/37/91/92/346379192.db2.gz OFJMLCAPSCSDKO-SECBINFHSA-N 0 0 281.312 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1scnc1C ZINC000043269282 346381630 /nfs/dbraw/zinc/38/16/30/346381630.db2.gz SXPJRGIGONPFES-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CC(C)CN(C)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000045469324 346409711 /nfs/dbraw/zinc/40/97/11/346409711.db2.gz GMYMDOZWBRLURT-UHFFFAOYSA-N 0 0 291.351 2.897 20 5 CFBDRN COc1ncccc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000046541610 346429999 /nfs/dbraw/zinc/42/99/99/346429999.db2.gz PVRKYEKYHATEKW-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H]1CCCC[C@@H]1N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000045770371 346413900 /nfs/dbraw/zinc/41/39/00/346413900.db2.gz HNDKDCHEWGCJOY-UWVGGRQHSA-N 0 0 266.297 2.839 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCOc1cccc(F)c1 ZINC000075669822 347091191 /nfs/dbraw/zinc/09/11/91/347091191.db2.gz NZDXSRMTYXYQLE-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN O=C([C@@H]1C[C@@H]1[N+](=O)[O-])N1CCC[C@@H]1c1cccc(Cl)c1 ZINC000046799088 346434386 /nfs/dbraw/zinc/43/43/86/346434386.db2.gz ASKJNNGHTWTWIU-UPJWGTAASA-N 0 0 294.738 2.669 20 5 CFBDRN O=C(NCc1ccccc1F)c1csc([N+](=O)[O-])c1 ZINC000046900408 346437549 /nfs/dbraw/zinc/43/75/49/346437549.db2.gz WYFIYFLIPCIWHT-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN COCc1ccccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000046932240 346438014 /nfs/dbraw/zinc/43/80/14/346438014.db2.gz MWFIVYRNSJDPIM-UHFFFAOYSA-N 0 0 276.248 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCN(c3ccccc3)C2)cn1 ZINC000075669848 347091371 /nfs/dbraw/zinc/09/13/71/347091371.db2.gz NRDBFAGEIZWTOZ-ZDUSSCGKSA-N 0 0 298.346 2.928 20 5 CFBDRN Cc1c(OCC(=O)Nc2ccccc2)cccc1[N+](=O)[O-] ZINC000047355732 346450180 /nfs/dbraw/zinc/45/01/80/346450180.db2.gz LGVQTXCOVNFSFN-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN COCCOc1cccc(-c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000596638798 349965393 /nfs/dbraw/zinc/96/53/93/349965393.db2.gz CYIBQMPMRKMUJG-UHFFFAOYSA-N 0 0 288.303 2.990 20 5 CFBDRN Cc1ccc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])cn1 ZINC000047556621 346454454 /nfs/dbraw/zinc/45/44/54/346454454.db2.gz QOQSTICUBQAZFF-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])NC1CCCCC1 ZINC000047719573 346458252 /nfs/dbraw/zinc/45/82/52/346458252.db2.gz CIGWKMIBWQFYOY-UHFFFAOYSA-N 0 0 277.324 2.727 20 5 CFBDRN CCOc1ncccc1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000047974525 346462695 /nfs/dbraw/zinc/46/26/95/346462695.db2.gz UUMDNWNEAJDDDR-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN Cc1ccc(CNc2nc3ccccn3n2)cc1[N+](=O)[O-] ZINC000195013576 232514815 /nfs/dbraw/zinc/51/48/15/232514815.db2.gz VTSKPVOWTAMUOX-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC1(C)C(=O)N[C@H]1c1ccc(-c2ccc([N+](=O)[O-])nc2)cc1 ZINC000596636044 349964283 /nfs/dbraw/zinc/96/42/83/349964283.db2.gz IZLPYNZKWZJZOK-AWEZNQCLSA-N 0 0 297.314 2.854 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H]2C[C@H]2C1 ZINC000596638434 349964321 /nfs/dbraw/zinc/96/43/21/349964321.db2.gz VLUNPNFIRPGGNO-QWRGUYRKSA-N 0 0 275.308 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)OCC1CC1 ZINC000049141072 346480078 /nfs/dbraw/zinc/48/00/78/346480078.db2.gz SUEWEASRUPMBID-SNVBAGLBSA-N 0 0 278.308 2.657 20 5 CFBDRN CC(C)CCSCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049401769 346483115 /nfs/dbraw/zinc/48/31/15/346483115.db2.gz DASJFYCVROYEHD-UHFFFAOYSA-N 0 0 296.392 2.990 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2ccc(F)cc2F)c1[N+](=O)[O-] ZINC000051655393 346506576 /nfs/dbraw/zinc/50/65/76/346506576.db2.gz KGBOLEKZIMNUKY-UHFFFAOYSA-N 0 0 296.277 2.551 20 5 CFBDRN CN(Cc1ccoc1)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000050115222 346489656 /nfs/dbraw/zinc/48/96/56/346489656.db2.gz HDHKRNXSYGIKSS-UHFFFAOYSA-N 0 0 289.291 2.529 20 5 CFBDRN CCCC(C)(C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000050498445 346495940 /nfs/dbraw/zinc/49/59/40/346495940.db2.gz IFCRUROWVSJHGC-UHFFFAOYSA-N 0 0 264.325 2.832 20 5 CFBDRN CC(=O)c1ccc(NCc2cscn2)c([N+](=O)[O-])c1 ZINC000050932939 346498729 /nfs/dbraw/zinc/49/87/29/346498729.db2.gz NXHRJAGBAQHARR-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN Cc1c(CN2CCO[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000054342872 346565742 /nfs/dbraw/zinc/56/57/42/346565742.db2.gz NBHXDHSUXZOXCY-LSDHHAIUSA-N 0 0 276.336 2.657 20 5 CFBDRN O=C(CCNc1ccc([N+](=O)[O-])cc1)NC1CCCCC1 ZINC000054124966 346560051 /nfs/dbraw/zinc/56/00/51/346560051.db2.gz WVDFZWAROUFOQQ-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN CN(Cc1ccco1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052606700 346525414 /nfs/dbraw/zinc/52/54/14/346525414.db2.gz YPGOSBMLASRIQB-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN CNC(=O)c1ccc(NCc2cccc(C)c2)c([N+](=O)[O-])c1 ZINC000053557087 346549572 /nfs/dbraw/zinc/54/95/72/346549572.db2.gz OOWAXYFNOJQULM-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CNC(=O)c1ccc(N2CCCCCCC2)c([N+](=O)[O-])c1 ZINC000053548879 346549601 /nfs/dbraw/zinc/54/96/01/346549601.db2.gz LECWAMAGXJUYMP-UHFFFAOYSA-N 0 0 291.351 2.725 20 5 CFBDRN CCC1CCN(c2ccc(C(=O)NC)cc2[N+](=O)[O-])CC1 ZINC000053575213 346549939 /nfs/dbraw/zinc/54/99/39/346549939.db2.gz BXRXRQPJSYMYRD-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN CCOc1ccccc1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000053577701 346550324 /nfs/dbraw/zinc/55/03/24/346550324.db2.gz OUCLDBLGLXYAAN-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@H]1c1ccccc1 ZINC000054950918 346579984 /nfs/dbraw/zinc/57/99/84/346579984.db2.gz KRGZOBORSOYLDK-AWEZNQCLSA-N 0 0 285.303 2.900 20 5 CFBDRN C[C@H](c1ccco1)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC1 ZINC000056182960 346604145 /nfs/dbraw/zinc/60/41/45/346604145.db2.gz XLYZUURNTZZDIV-SECBINFHSA-N 0 0 289.291 2.882 20 5 CFBDRN CCO[C@H](CC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000057226427 346622858 /nfs/dbraw/zinc/62/28/58/346622858.db2.gz ZUBABNGFEWDTCJ-ZWNOBZJWSA-N 0 0 280.324 2.587 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000057071319 346618426 /nfs/dbraw/zinc/61/84/26/346618426.db2.gz UHQZKDCKYFYZLD-GHMZBOCLSA-N 0 0 280.324 2.524 20 5 CFBDRN CN(C)c1cccc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000058520219 346645722 /nfs/dbraw/zinc/64/57/22/346645722.db2.gz ZGUQTBMPQNUWGK-UHFFFAOYSA-N 0 0 299.330 2.937 20 5 CFBDRN CCN(CC(F)(F)F)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000058733354 346649595 /nfs/dbraw/zinc/64/95/95/346649595.db2.gz BAXPEHYXYDTJMP-UHFFFAOYSA-N 0 0 276.214 2.619 20 5 CFBDRN CCN(CC)C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000058987316 346654781 /nfs/dbraw/zinc/65/47/81/346654781.db2.gz IPHLYIQWVXZKTJ-SNVBAGLBSA-N 0 0 282.365 2.944 20 5 CFBDRN CCCN(CC(F)F)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000060273458 346661075 /nfs/dbraw/zinc/66/10/75/346661075.db2.gz RGCQWMXGFSBSCZ-UHFFFAOYSA-N 0 0 286.278 2.641 20 5 CFBDRN CCCCOCCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000058163745 346642560 /nfs/dbraw/zinc/64/25/60/346642560.db2.gz AOJQIMDMEZGPHW-UHFFFAOYSA-N 0 0 286.353 2.593 20 5 CFBDRN CN(CC1CCCC1)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000058288669 346643152 /nfs/dbraw/zinc/64/31/52/346643152.db2.gz SVHNWKFZDFFHCI-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN O=C(CCc1ccccn1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000062866590 346692359 /nfs/dbraw/zinc/69/23/59/346692359.db2.gz HIYZXJQAKWXKFT-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN C[C@@H]1CCCN(C(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000062928151 346694131 /nfs/dbraw/zinc/69/41/31/346694131.db2.gz VDSBFLBXGFHDBQ-GFCCVEGCSA-N 0 0 292.335 2.622 20 5 CFBDRN CCC[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000270280275 136612711 /nfs/dbraw/zinc/61/27/11/136612711.db2.gz SFBOTKYCRLXMBZ-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccncc2)c(Cl)c1 ZINC000128444692 187371248 /nfs/dbraw/zinc/37/12/48/187371248.db2.gz QGEMHYLZBBAWPX-CYBMUJFWSA-N 0 0 293.710 2.789 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](CO)C1CCCC1 ZINC000290777363 232578708 /nfs/dbraw/zinc/57/87/08/232578708.db2.gz MUGUBOFNYADHSY-GFCCVEGCSA-N 0 0 250.298 2.558 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000065759219 346740697 /nfs/dbraw/zinc/74/06/97/346740697.db2.gz WYQFLNYHTIHBFK-UHFFFAOYSA-N 0 0 282.243 2.681 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC(CO)CC1 ZINC000276484449 136635082 /nfs/dbraw/zinc/63/50/82/136635082.db2.gz OVHNSHXDNKTQOF-UHFFFAOYSA-N 0 0 284.743 2.765 20 5 CFBDRN CN(CCOc1ccc(F)cc1)c1ccncc1[N+](=O)[O-] ZINC000066797103 346779618 /nfs/dbraw/zinc/77/96/18/346779618.db2.gz SEABSSBUWYTWJP-UHFFFAOYSA-N 0 0 291.282 2.644 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@]1(O)CCCc2ccccc21 ZINC000066859868 346782011 /nfs/dbraw/zinc/78/20/11/346782011.db2.gz RMOPOLZCHKCDRZ-MRXNPFEDSA-N 0 0 299.330 2.626 20 5 CFBDRN CN(C)c1cccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000067071355 346790970 /nfs/dbraw/zinc/79/09/70/346790970.db2.gz PNSHIPNFXXWOCG-UHFFFAOYSA-N 0 0 299.330 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCCOCC(C)C ZINC000067140278 346793299 /nfs/dbraw/zinc/79/32/99/346793299.db2.gz JXBVEBASISKBGD-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CCO[C@@H]1CCCN(Cc2cc([N+](=O)[O-])ccc2OC)C1 ZINC000076403308 347137086 /nfs/dbraw/zinc/13/70/86/347137086.db2.gz ODDOYGQKRZXEBW-CQSZACIVSA-N 0 0 294.351 2.604 20 5 CFBDRN CN(Cc1cnn(C)c1)c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000076510863 347142116 /nfs/dbraw/zinc/14/21/16/347142116.db2.gz YZHWDPBATASKBH-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN Cc1c(OC(=O)COC2CCCC2)cccc1[N+](=O)[O-] ZINC000076609659 347145770 /nfs/dbraw/zinc/14/57/70/347145770.db2.gz DDLGPPGSHHIUQC-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@H]1CCCC[C@H]1OCCNc1ccc([N+](=O)[O-])nc1 ZINC000076797590 347154667 /nfs/dbraw/zinc/15/46/67/347154667.db2.gz CHLAKWJEYDBJKN-WCQYABFASA-N 0 0 279.340 2.997 20 5 CFBDRN Nc1nc(SCc2ccc(Cl)cc2)ncc1[N+](=O)[O-] ZINC000076266945 347131300 /nfs/dbraw/zinc/13/13/00/347131300.db2.gz CWWUEFIQLNRNRU-UHFFFAOYSA-N 0 0 296.739 2.913 20 5 CFBDRN CC(C)CCN(C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000077616026 347203849 /nfs/dbraw/zinc/20/38/49/347203849.db2.gz RXAJISQFQOZHDO-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1ccc([N+](=O)[O-])cc1F ZINC000077228773 347178660 /nfs/dbraw/zinc/17/86/60/347178660.db2.gz ZAWVVIGCMKEGQP-IUCAKERBSA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1nn(C)c(C)c1C[C@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000078399278 347250085 /nfs/dbraw/zinc/25/00/85/347250085.db2.gz BPGFYVJOZPHKMC-JTQLQIEISA-N 0 0 288.351 2.988 20 5 CFBDRN COC(C)(C)C[C@@H](C)Nc1ccc([N+](=O)[O-])cn1 ZINC000078401913 347250128 /nfs/dbraw/zinc/25/01/28/347250128.db2.gz NHYGBALOUFUZAA-SECBINFHSA-N 0 0 253.302 2.605 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1Oc1ncccn1 ZINC000078413074 347251386 /nfs/dbraw/zinc/25/13/86/347251386.db2.gz IQCCCEGBLRLNNT-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000078427331 347251936 /nfs/dbraw/zinc/25/19/36/347251936.db2.gz HCILRWMCNBBBSK-AAEUAGOBSA-N 0 0 295.295 2.873 20 5 CFBDRN Cc1ccc(C[C@H](C)N(C)c2c([N+](=O)[O-])ncn2C)cc1 ZINC000077989953 347223120 /nfs/dbraw/zinc/22/31/20/347223120.db2.gz VQIQKXIXKMSEDK-LBPRGKRZSA-N 0 0 288.351 2.704 20 5 CFBDRN Cc1ccc([C@H](C)CNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000077988279 347223637 /nfs/dbraw/zinc/22/36/37/347223637.db2.gz LGUJHMOBTLITFK-LLVKDONJSA-N 0 0 274.324 2.852 20 5 CFBDRN COc1ccccc1[C@@H]1CCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000078021687 347225185 /nfs/dbraw/zinc/22/51/85/347225185.db2.gz RBNRHZOYEXLIFS-GFCCVEGCSA-N 0 0 299.330 2.992 20 5 CFBDRN CC(C)CCN(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000078279161 347236838 /nfs/dbraw/zinc/23/68/38/347236838.db2.gz LSCQCIYZBYVRFS-UHFFFAOYSA-N 0 0 250.298 2.713 20 5 CFBDRN COc1cc(NCc2ccn(C(C)C)n2)ccc1[N+](=O)[O-] ZINC000078295079 347238878 /nfs/dbraw/zinc/23/88/78/347238878.db2.gz IYLMTEHFAKPPKS-UHFFFAOYSA-N 0 0 290.323 2.993 20 5 CFBDRN Cc1nccn1CCCNc1ccc([N+](=O)[O-])cc1F ZINC000078333359 347241918 /nfs/dbraw/zinc/24/19/18/347241918.db2.gz YOVSOKZJKUDHJM-UHFFFAOYSA-N 0 0 278.287 2.741 20 5 CFBDRN Cc1nccn1CCCCNc1ncc([N+](=O)[O-])cc1C ZINC000078336053 347242698 /nfs/dbraw/zinc/24/26/98/347242698.db2.gz DQHVWLMIFKUTSM-UHFFFAOYSA-N 0 0 289.339 2.695 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000078339447 347243423 /nfs/dbraw/zinc/24/34/23/347243423.db2.gz WBYKOJSGFLBIAI-MRVPVSSYSA-N 0 0 287.747 2.852 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])cc1F)c1ccco1 ZINC000078376201 347247749 /nfs/dbraw/zinc/24/77/49/347247749.db2.gz GDMYMVDDQXLDHC-CYBMUJFWSA-N 0 0 280.255 2.647 20 5 CFBDRN Cc1ccc(O[C@H](C)CNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000078469975 347255406 /nfs/dbraw/zinc/25/54/06/347255406.db2.gz PXGHYWOUBPHOQC-LLVKDONJSA-N 0 0 290.323 2.516 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000078501161 347257889 /nfs/dbraw/zinc/25/78/89/347257889.db2.gz BTCHVNAZTKJSOW-SNVBAGLBSA-N 0 0 266.322 2.715 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1c(C)nn(C)c1C ZINC000078520220 347259672 /nfs/dbraw/zinc/25/96/72/347259672.db2.gz BPUVHJOYFXNQPL-GFCCVEGCSA-N 0 0 289.339 2.903 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC(C(F)(F)F)CC1 ZINC000078558547 347260769 /nfs/dbraw/zinc/26/07/69/347260769.db2.gz FERQLGGSUUQSER-UHFFFAOYSA-N 0 0 275.230 2.769 20 5 CFBDRN CC[C@H]1CN(c2nccc(C)c2[N+](=O)[O-])CCS1 ZINC000078590355 347264395 /nfs/dbraw/zinc/26/43/95/347264395.db2.gz WXVKBHFJEBJPAM-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN CO[C@H]1CCCC[C@H]1Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078669702 347269028 /nfs/dbraw/zinc/26/90/28/347269028.db2.gz PKBHYNFRTXBHLU-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN CC(C)N(C)C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000078731166 347272230 /nfs/dbraw/zinc/27/22/30/347272230.db2.gz WKSLMBNTRGIYFM-SNVBAGLBSA-N 0 0 282.365 2.942 20 5 CFBDRN CCOC(=O)CS[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000078928767 347279891 /nfs/dbraw/zinc/27/98/91/347279891.db2.gz YURFCQNKOBXNLI-VIFPVBQESA-N 0 0 269.322 2.952 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000079777705 347325385 /nfs/dbraw/zinc/32/53/85/347325385.db2.gz FRBSPSYUAFUNLW-DGCLKSJQSA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1cc(NCCCOC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000079832726 347327975 /nfs/dbraw/zinc/32/79/75/347327975.db2.gz ZQEAALJZJKCCDX-AWEZNQCLSA-N 0 0 294.351 2.901 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1CC(C)C1 ZINC000080042008 347339105 /nfs/dbraw/zinc/33/91/05/347339105.db2.gz XMLQICDKGKZGKG-HSOILSAZSA-N 0 0 294.376 2.990 20 5 CFBDRN CC1CC(NC(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000080046939 347339617 /nfs/dbraw/zinc/33/96/17/347339617.db2.gz USABOYYDMYNLEI-HWKANZROSA-N 0 0 278.283 2.662 20 5 CFBDRN CCCCCOC1CN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000080378193 347356430 /nfs/dbraw/zinc/35/64/30/347356430.db2.gz UDEGAGLRHIUQNG-UHFFFAOYSA-N 0 0 292.335 2.626 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079631114 347317807 /nfs/dbraw/zinc/31/78/07/347317807.db2.gz UNEQHTMORGBANJ-CABZTGNLSA-N 0 0 262.309 2.602 20 5 CFBDRN C[C@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000079635673 347317895 /nfs/dbraw/zinc/31/78/95/347317895.db2.gz VWSOOIVRMQJUDP-SFYZADRCSA-N 0 0 290.241 2.970 20 5 CFBDRN CCC[C@@H]1[C@@H](C)CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000081179297 347406796 /nfs/dbraw/zinc/40/67/96/347406796.db2.gz DNDQUOWAKVHDJL-GXSJLCMTSA-N 0 0 294.355 2.667 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)Cc1ccncc1 ZINC000080543892 347365567 /nfs/dbraw/zinc/36/55/67/347365567.db2.gz PADKBCBEURJBCC-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CCOC1CCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000080582378 347366969 /nfs/dbraw/zinc/36/69/69/347366969.db2.gz YXJLTARNMAJRQH-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000080587304 347367684 /nfs/dbraw/zinc/36/76/84/347367684.db2.gz WDUWZOROFNDBAU-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H]1c2ccccc2C[C@@H]1O ZINC000080584002 347367720 /nfs/dbraw/zinc/36/77/20/347367720.db2.gz HUYBQBRHSJWDOC-UONOGXRCSA-N 0 0 288.278 2.804 20 5 CFBDRN Cc1cc(N(C)CC(=O)NC(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000080586837 347368045 /nfs/dbraw/zinc/36/80/45/347368045.db2.gz MUIDZUHZGVCAMT-UHFFFAOYSA-N 0 0 299.758 2.517 20 5 CFBDRN COC(=O)N1CCC(Nc2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000080586631 347368106 /nfs/dbraw/zinc/36/81/06/347368106.db2.gz SQJRLQDWYPUCPW-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC(C)(C)C[C@H](C)O ZINC000080648984 347371795 /nfs/dbraw/zinc/37/17/95/347371795.db2.gz VQAKJCRZXOWZFI-NSHDSACASA-N 0 0 296.367 2.950 20 5 CFBDRN CO[C@@H](CNc1ccc(C)cc1[N+](=O)[O-])C(C)C ZINC000080669084 347373394 /nfs/dbraw/zinc/37/33/94/347373394.db2.gz JOJZGFPWBFLTHY-ZDUSSCGKSA-N 0 0 252.314 2.986 20 5 CFBDRN C[C@@H](Nc1c([N+](=O)[O-])ncn1C)[C@@H](C)c1ccccc1 ZINC000080688007 347375723 /nfs/dbraw/zinc/37/57/23/347375723.db2.gz GMAMFBICZWPDJY-GHMZBOCLSA-N 0 0 274.324 2.932 20 5 CFBDRN CO[C@@]1(C)C[C@H](N(C)c2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000080760981 347378470 /nfs/dbraw/zinc/37/84/70/347378470.db2.gz OZHFNKYTXKJHGD-FZMZJTMJSA-N 0 0 279.340 2.630 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H](c3ncc[nH]3)C2)cs1 ZINC000080960983 347389591 /nfs/dbraw/zinc/38/95/91/347389591.db2.gz DIIRAWSCFACJKU-LLVKDONJSA-N 0 0 292.364 2.759 20 5 CFBDRN Cn1ccc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1 ZINC000081379597 347415593 /nfs/dbraw/zinc/41/55/93/347415593.db2.gz NHABPORJILAGHY-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](OCCC(C)C)C1 ZINC000081016721 347396689 /nfs/dbraw/zinc/39/66/89/347396689.db2.gz LNBRJXUIKCIYFK-CQSZACIVSA-N 0 0 293.367 2.940 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)Cc1cn[nH]c1 ZINC000085825642 347483331 /nfs/dbraw/zinc/48/33/31/347483331.db2.gz RQZPCHYEJQYDTK-JTQLQIEISA-N 0 0 260.297 2.511 20 5 CFBDRN C[C@H](Nc1ccc(Cl)cc1[N+](=O)[O-])C(C)(C)O ZINC000087817749 347499038 /nfs/dbraw/zinc/49/90/38/347499038.db2.gz STIFHWVAUBXVDK-ZETCQYMHSA-N 0 0 258.705 2.820 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1F)[C@@H](O)C(F)(F)F ZINC000270788565 190815862 /nfs/dbraw/zinc/81/58/62/190815862.db2.gz LCZSWWJEQSZAMA-WCBMZHEXSA-N 0 0 296.220 2.848 20 5 CFBDRN CC1(C)CCC(O)(CNc2ccc([N+](=O)[O-])cn2)CC1 ZINC000087066917 347491578 /nfs/dbraw/zinc/49/15/78/347491578.db2.gz DDWXZVYSBZCSLI-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN COC[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000084726659 347470214 /nfs/dbraw/zinc/47/02/14/347470214.db2.gz QMEGZSWLEUZNCR-GFCCVEGCSA-N 0 0 252.314 2.986 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2c(C)cc(C)[nH]c2=O)c1 ZINC000088698955 347507087 /nfs/dbraw/zinc/50/70/87/347507087.db2.gz LWILJMXXDAFSBV-UHFFFAOYSA-N 0 0 287.319 2.820 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](O)Cc2ccccc2)c1 ZINC000088719883 347507930 /nfs/dbraw/zinc/50/79/30/347507930.db2.gz BUHAQZJGILUOSW-CQSZACIVSA-N 0 0 286.331 2.919 20 5 CFBDRN Cc1cc(N2CCCC[C@@H]2c2ncc[nH]2)ncc1[N+](=O)[O-] ZINC000088727621 347508469 /nfs/dbraw/zinc/50/84/69/347508469.db2.gz DTHLEHRUZDDJNC-LLVKDONJSA-N 0 0 287.323 2.753 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CC(=O)N(C(C)(C)C)C2)c1 ZINC000088726689 347508608 /nfs/dbraw/zinc/50/86/08/347508608.db2.gz OZIGJAUGPJYDST-NSHDSACASA-N 0 0 291.351 2.715 20 5 CFBDRN CCCCN(CCCO)c1ncc([N+](=O)[O-])cc1Cl ZINC000089266109 347514463 /nfs/dbraw/zinc/51/44/63/347514463.db2.gz PGWKWJWUVHRVRQ-UHFFFAOYSA-N 0 0 287.747 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCCO)c2ncccc12 ZINC000090106954 347542165 /nfs/dbraw/zinc/54/21/65/347542165.db2.gz IOMIIERALYXQMS-UHFFFAOYSA-N 0 0 264.306 2.618 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(C)CCCC[C@H]2O)n1 ZINC000128587601 187378571 /nfs/dbraw/zinc/37/85/71/187378571.db2.gz LEICQFMZDVYQQA-TZMCWYRMSA-N 0 0 279.340 2.651 20 5 CFBDRN CC[C@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000091919171 347602934 /nfs/dbraw/zinc/60/29/34/347602934.db2.gz CMIXNWITCDZBIU-NSHDSACASA-N 0 0 264.325 2.922 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](C)Cn1cccn1 ZINC000091452819 347586102 /nfs/dbraw/zinc/58/61/02/347586102.db2.gz XRBITLVWLQBGMI-LLVKDONJSA-N 0 0 274.324 2.848 20 5 CFBDRN Cn1c(=O)oc2cc(Nc3ccc([N+](=O)[O-])cc3)ccc21 ZINC000091480664 347588882 /nfs/dbraw/zinc/58/88/82/347588882.db2.gz MURZMMHGSHQNNC-UHFFFAOYSA-N 0 0 285.259 2.783 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000091486171 347590425 /nfs/dbraw/zinc/59/04/25/347590425.db2.gz MLYVTFKBPAIWDZ-SECBINFHSA-N 0 0 260.297 2.669 20 5 CFBDRN COCCn1nc(C)c(Nc2ccc([N+](=O)[O-])cc2)c1C ZINC000091485388 347590514 /nfs/dbraw/zinc/59/05/14/347590514.db2.gz DOOYSYQHDKXUSY-UHFFFAOYSA-N 0 0 290.323 2.798 20 5 CFBDRN COCCN(Cc1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000091485472 347590766 /nfs/dbraw/zinc/59/07/66/347590766.db2.gz OVVXOYVPXNNTBR-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN CCOC(=O)CCCCOc1cccc([N+](=O)[O-])c1 ZINC000128582482 187379190 /nfs/dbraw/zinc/37/91/90/187379190.db2.gz UGOUGQCIJWMKBK-UHFFFAOYSA-N 0 0 267.281 2.707 20 5 CFBDRN COc1cc(F)cc(CNc2ccncc2[N+](=O)[O-])c1 ZINC000091684615 347595750 /nfs/dbraw/zinc/59/57/50/347595750.db2.gz YYQBXZKBWNTBKH-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2C[C@](C)(OC)C2(C)C)c1[N+](=O)[O-] ZINC000091730038 347596655 /nfs/dbraw/zinc/59/66/55/347596655.db2.gz YEDVOMXWTGPVKX-YGRLFVJLSA-N 0 0 296.371 2.506 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCO[C@@H]1C ZINC000092309091 347618444 /nfs/dbraw/zinc/61/84/44/347618444.db2.gz XQLNUEUEHZTWHA-MNOVXSKESA-N 0 0 278.308 2.575 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@H](C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000092624285 347636136 /nfs/dbraw/zinc/63/61/36/347636136.db2.gz GCQILTBRUMTLCZ-MNOVXSKESA-N 0 0 279.340 2.618 20 5 CFBDRN CCc1nc(CNc2ncc([N+](=O)[O-])cc2C)cs1 ZINC000092651921 347636787 /nfs/dbraw/zinc/63/67/87/347636787.db2.gz BDCGOWAASQMRIE-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN Cc1cc(N[C@H]2CCc3n[nH]cc3C2)ccc1[N+](=O)[O-] ZINC000092654396 347636976 /nfs/dbraw/zinc/63/69/76/347636976.db2.gz KOPRNGXQTZGXPR-LBPRGKRZSA-N 0 0 272.308 2.596 20 5 CFBDRN C[C@H](CO)CSc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092658015 347637937 /nfs/dbraw/zinc/63/79/37/347637937.db2.gz RVRJAZOEDYAZFV-SSDOTTSWSA-N 0 0 293.291 2.917 20 5 CFBDRN COc1cc(NCc2ncoc2C)c([N+](=O)[O-])cc1F ZINC000092689772 347641701 /nfs/dbraw/zinc/64/17/01/347641701.db2.gz RGXDJOUBAPHAJT-UHFFFAOYSA-N 0 0 281.243 2.651 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@@H](CC)C2)c1[N+](=O)[O-] ZINC000092828517 347648308 /nfs/dbraw/zinc/64/83/08/347648308.db2.gz WUPOEFBXKJMLKF-SNVBAGLBSA-N 0 0 266.345 2.517 20 5 CFBDRN COc1cc(CN2CCC2(C)C)c([N+](=O)[O-])cc1OC ZINC000093460320 347674818 /nfs/dbraw/zinc/67/48/18/347674818.db2.gz WFBRTXMGZNWXTR-UHFFFAOYSA-N 0 0 280.324 2.596 20 5 CFBDRN CSC[C@@H]1CCC[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000093760615 347682774 /nfs/dbraw/zinc/68/27/74/347682774.db2.gz WQVQZSUTVGDRAZ-NSHDSACASA-N 0 0 282.365 2.628 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000094150657 347692898 /nfs/dbraw/zinc/69/28/98/347692898.db2.gz CLFKYAONZZIEJM-JTQLQIEISA-N 0 0 264.329 2.659 20 5 CFBDRN COC(=O)C[C@@H]1CCCCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000094663522 347696348 /nfs/dbraw/zinc/69/63/48/347696348.db2.gz DZNRTEWLQTVRTM-LBPRGKRZSA-N 0 0 292.335 2.825 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000102287869 347717239 /nfs/dbraw/zinc/71/72/39/347717239.db2.gz HETGOWLARQGJRV-UHFFFAOYSA-N 0 0 288.303 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@H+]1C[C@@H]2CCCC[C@@H]2C1 ZINC000093441793 347674273 /nfs/dbraw/zinc/67/42/73/347674273.db2.gz DGVVRJDKVWBOBW-TXEJJXNPSA-N 0 0 276.336 2.922 20 5 CFBDRN O=c1[nH]c(-c2cccc([N+](=O)[O-])c2)nc2ccc(F)cc12 ZINC000113422090 347768217 /nfs/dbraw/zinc/76/82/17/347768217.db2.gz BLIILFJPSNTREJ-UHFFFAOYSA-N 0 0 285.234 2.637 20 5 CFBDRN CCCCCNC(=O)COc1ccc(CC)cc1[N+](=O)[O-] ZINC000115201200 347777350 /nfs/dbraw/zinc/77/73/50/347777350.db2.gz QPXOMFFZRLCVOP-UHFFFAOYSA-N 0 0 294.351 2.842 20 5 CFBDRN CCOCCCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000103375784 185833939 /nfs/dbraw/zinc/83/39/39/185833939.db2.gz ZIFYXPVUQCSLLA-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1ccnc(N[C@H](C)C[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000115516738 347780608 /nfs/dbraw/zinc/78/06/08/347780608.db2.gz QBPWCTCEAARYHT-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN CSCCN(C)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000124730159 347825622 /nfs/dbraw/zinc/82/56/22/347825622.db2.gz FHPMXHRFWBYMMB-UHFFFAOYSA-N 0 0 293.348 2.511 20 5 CFBDRN COc1ccnc(C(=O)Nc2cccc([N+](=O)[O-])c2C)c1 ZINC000115978621 347783387 /nfs/dbraw/zinc/78/33/87/347783387.db2.gz CWUZOKGKATUWPG-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CSCCCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000128396186 347851212 /nfs/dbraw/zinc/85/12/12/347851212.db2.gz WTSBAQAQVZSGGH-UHFFFAOYSA-N 0 0 268.338 2.985 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000128536621 347852079 /nfs/dbraw/zinc/85/20/79/347852079.db2.gz ATUCEHOWBXQNNX-CYBMUJFWSA-N 0 0 294.351 2.838 20 5 CFBDRN C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000128578742 347852572 /nfs/dbraw/zinc/85/25/72/347852572.db2.gz BIOBQBSEEYLEPV-VIFPVBQESA-N 0 0 263.297 2.905 20 5 CFBDRN Cn1c(C(=O)N2CC[C@H](C3CCCC3)C2)ccc1[N+](=O)[O-] ZINC000335029360 282569015 /nfs/dbraw/zinc/56/90/15/282569015.db2.gz KOKNIZFOYOPFBF-LBPRGKRZSA-N 0 0 291.351 2.586 20 5 CFBDRN CC(C)c1noc(CNc2ccccc2[N+](=O)[O-])n1 ZINC000130671812 347873324 /nfs/dbraw/zinc/87/33/24/347873324.db2.gz NDNNBLHJPJYWLV-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)C1CCC1 ZINC000130695517 347873650 /nfs/dbraw/zinc/87/36/50/347873650.db2.gz HGTIINBUJXPOAL-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000130930687 347875180 /nfs/dbraw/zinc/87/51/80/347875180.db2.gz AJAXSROTLXSCRV-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1nccs1 ZINC000131784749 347880905 /nfs/dbraw/zinc/88/09/05/347880905.db2.gz MCHLQYKVQXCFRL-SSDOTTSWSA-N 0 0 297.361 2.994 20 5 CFBDRN C[C@H](CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)C1CC1 ZINC000132811244 347887318 /nfs/dbraw/zinc/88/73/18/347887318.db2.gz OHLCQSKKOHGNOD-SECBINFHSA-N 0 0 289.335 2.938 20 5 CFBDRN C[C@@H](CNc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000132820325 347887790 /nfs/dbraw/zinc/88/77/90/347887790.db2.gz IYCRHNGUJQBRST-ZETCQYMHSA-N 0 0 266.326 2.762 20 5 CFBDRN CN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccccn1 ZINC000134567463 347899656 /nfs/dbraw/zinc/89/96/56/347899656.db2.gz QWLGTYAJXFHPPP-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1CCCC[C@H]1O ZINC000134966979 347902953 /nfs/dbraw/zinc/90/29/53/347902953.db2.gz CSHRXNCRBVBFGZ-NOZJJQNGSA-N 0 0 268.288 2.697 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)C1CCC1 ZINC000131855993 347881333 /nfs/dbraw/zinc/88/13/33/347881333.db2.gz FBGVJAMITQZEMU-SSDOTTSWSA-N 0 0 254.311 2.575 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2O)c1 ZINC000141397364 347931191 /nfs/dbraw/zinc/93/11/91/347931191.db2.gz AVDVPSIDICPDSO-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000103931188 185863837 /nfs/dbraw/zinc/86/38/37/185863837.db2.gz COYIMXOLBBJUDG-UHFFFAOYSA-N 0 0 298.258 2.626 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)CCC2 ZINC000138082661 347914684 /nfs/dbraw/zinc/91/46/84/347914684.db2.gz KPYPOOHWNNNNHM-UHFFFAOYSA-N 0 0 285.303 2.674 20 5 CFBDRN CCOc1ccccc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000138083562 347914940 /nfs/dbraw/zinc/91/49/40/347914940.db2.gz KHSJMEGVKZFMQT-UHFFFAOYSA-N 0 0 289.291 2.584 20 5 CFBDRN CN(Cc1ccccc1F)c1ccc([N+](=O)[O-])cn1 ZINC000139087703 347918892 /nfs/dbraw/zinc/91/88/92/347918892.db2.gz RRGGBRUDBGMSDJ-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN Cc1nn([C@@H](C)c2nc(-c3ccccc3)no2)cc1[N+](=O)[O-] ZINC000139416803 347920040 /nfs/dbraw/zinc/92/00/40/347920040.db2.gz MKUABZDDSORTSZ-JTQLQIEISA-N 0 0 299.290 2.759 20 5 CFBDRN COc1ccc(OCC2(O)CCC(C)CC2)c([N+](=O)[O-])c1 ZINC000153159730 347971768 /nfs/dbraw/zinc/97/17/68/347971768.db2.gz USSAEWWNCKCVIU-UHFFFAOYSA-N 0 0 295.335 2.923 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSc2ccccc2F)c1 ZINC000153628434 347973243 /nfs/dbraw/zinc/97/32/43/347973243.db2.gz HWJZSAIDJJVEMY-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H](C)C2)c1 ZINC000146664641 347945654 /nfs/dbraw/zinc/94/56/54/347945654.db2.gz DDKRBVIBOKCDNF-MNOVXSKESA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ncccc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158112255 348005305 /nfs/dbraw/zinc/00/53/05/348005305.db2.gz SRCKLLLDDVIXRJ-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)N(C)c2cccc([N+](=O)[O-])c2)O1 ZINC000158273599 348005968 /nfs/dbraw/zinc/00/59/68/348005968.db2.gz OGLLOVCHCWJLLI-BXUZGUMPSA-N 0 0 292.335 2.905 20 5 CFBDRN C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1N)c1ccsc1 ZINC000161477148 348024512 /nfs/dbraw/zinc/02/45/12/348024512.db2.gz IFZFUGPCGZANQB-QMMMGPOBSA-N 0 0 291.332 2.730 20 5 CFBDRN CCNc1ccc(C(=O)NC[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000162786246 348032143 /nfs/dbraw/zinc/03/21/43/348032143.db2.gz MYZXKDZNNGDPRR-SNVBAGLBSA-N 0 0 291.351 2.803 20 5 CFBDRN C[C@@H](CNc1ncnc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000167222548 348045139 /nfs/dbraw/zinc/04/51/39/348045139.db2.gz PCTCKDABQAJYDN-VIFPVBQESA-N 0 0 272.308 2.996 20 5 CFBDRN O=C(c1ccccc1F)N1CCc2c1cccc2[N+](=O)[O-] ZINC000172423112 348093644 /nfs/dbraw/zinc/09/36/44/348093644.db2.gz VOJIZSOXDWPNFN-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000172456903 348095070 /nfs/dbraw/zinc/09/50/70/348095070.db2.gz IQNRWZMMQYBFDW-MRVPVSSYSA-N 0 0 256.327 2.678 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H]1CC=CCC1 ZINC000172455434 348095218 /nfs/dbraw/zinc/09/52/18/348095218.db2.gz ZJUSTGIETCWEJJ-LBPRGKRZSA-N 0 0 275.308 2.503 20 5 CFBDRN COc1ccc(C(=O)NC[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000172450405 348095261 /nfs/dbraw/zinc/09/52/61/348095261.db2.gz GYYBEVDMLFECLG-JTQLQIEISA-N 0 0 280.324 2.625 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000172456900 348095402 /nfs/dbraw/zinc/09/54/02/348095402.db2.gz IQNRWZMMQYBFDW-QMMMGPOBSA-N 0 0 256.327 2.678 20 5 CFBDRN C[C@H]1SCC[C@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000220847520 348170262 /nfs/dbraw/zinc/17/02/62/348170262.db2.gz KUUJPVGUPFLQNY-BXKDBHETSA-N 0 0 252.339 2.578 20 5 CFBDRN CCc1cnc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000223668445 348174846 /nfs/dbraw/zinc/17/48/46/348174846.db2.gz WQVXQHMYQRAFDB-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN CC1(C)C[C@@H]1CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000227865483 348190793 /nfs/dbraw/zinc/19/07/93/348190793.db2.gz NYYMTQVFDLXENI-SECBINFHSA-N 0 0 272.308 2.996 20 5 CFBDRN COC[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)C(C)C ZINC000227890829 348191500 /nfs/dbraw/zinc/19/15/00/348191500.db2.gz PLHRBNDSAKSOEM-LLVKDONJSA-N 0 0 274.267 2.956 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@H]2C2CC2)c1 ZINC000230308993 348205350 /nfs/dbraw/zinc/20/53/50/348205350.db2.gz BKOPNWDSMVBJFE-FZMZJTMJSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC1Cc2ccccc2C1 ZINC000231038641 348207913 /nfs/dbraw/zinc/20/79/13/348207913.db2.gz XVVAGRQNFKOCKJ-UHFFFAOYSA-N 0 0 255.277 2.569 20 5 CFBDRN CCOC(=O)CCCCOc1ccc([N+](=O)[O-])cc1OC ZINC000128807295 187391806 /nfs/dbraw/zinc/39/18/06/187391806.db2.gz IRZCCUHCPSBNIU-UHFFFAOYSA-N 0 0 297.307 2.716 20 5 CFBDRN CC(=O)Nc1cccc(CNCc2ccccc2[N+](=O)[O-])c1 ZINC000236577864 348234658 /nfs/dbraw/zinc/23/46/58/348234658.db2.gz KCNUWTCTBSDSRV-UHFFFAOYSA-N 0 0 299.330 2.843 20 5 CFBDRN Cn1c2ccc(F)cc2nc1-c1ccc([N+](=O)[O-])o1 ZINC000123892330 282597214 /nfs/dbraw/zinc/59/72/14/282597214.db2.gz CJHDOECMBKIOJN-UHFFFAOYSA-N 0 0 261.212 2.881 20 5 CFBDRN CO[C@H]1CCC[C@@H]1Sc1ncccc1[N+](=O)[O-] ZINC000273089317 348333513 /nfs/dbraw/zinc/33/35/13/348333513.db2.gz IGDQKUQDBWFAJM-UWVGGRQHSA-N 0 0 254.311 2.649 20 5 CFBDRN CC(C)(C)n1ncnc1CSc1ncccc1[N+](=O)[O-] ZINC000282754014 348344062 /nfs/dbraw/zinc/34/40/62/348344062.db2.gz ZADRGPRPZUKOES-UHFFFAOYSA-N 0 0 293.352 2.629 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@H]1CCSC1 ZINC000306106338 348383581 /nfs/dbraw/zinc/38/35/81/348383581.db2.gz SNRSVTZDKJCTJI-SSDOTTSWSA-N 0 0 273.745 2.808 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCC1CCC(O)CC1 ZINC000292503973 348357426 /nfs/dbraw/zinc/35/74/26/348357426.db2.gz STVTZSHEGRPKIH-UHFFFAOYSA-N 0 0 268.338 2.633 20 5 CFBDRN COc1cc(N2CCC[C@@H]2CF)ccc1[N+](=O)[O-] ZINC000292680849 348358745 /nfs/dbraw/zinc/35/87/45/348358745.db2.gz DQOQALVHWSLDLC-SNVBAGLBSA-N 0 0 254.261 2.542 20 5 CFBDRN COc1cccc(N[C@H]2CCS[C@H]2C)c1[N+](=O)[O-] ZINC000310842719 348411099 /nfs/dbraw/zinc/41/10/99/348411099.db2.gz KWVSZWMVDQRISJ-IUCAKERBSA-N 0 0 268.338 2.909 20 5 CFBDRN C[C@H]1C[C@@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000311021945 348411442 /nfs/dbraw/zinc/41/14/42/348411442.db2.gz UWUGBGUSNRSPDE-YUMQZZPRSA-N 0 0 271.704 2.623 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H](C)C1CC1 ZINC000311046687 348411839 /nfs/dbraw/zinc/41/18/39/348411839.db2.gz HKAICAMCANGYBM-QMMMGPOBSA-N 0 0 252.318 2.578 20 5 CFBDRN Cc1ccc(Cn2c(C)c([N+](=O)[O-])ccc2=O)c(C)c1 ZINC000413004262 233000232 /nfs/dbraw/zinc/00/02/32/233000232.db2.gz SIQSSUBSSMWYSS-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN C[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000273355243 192123942 /nfs/dbraw/zinc/12/39/42/192123942.db2.gz DFNUBOXSZXLMAJ-QMMMGPOBSA-N 0 0 267.260 2.608 20 5 CFBDRN C[C@H](C[S@](C)=O)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000311677254 348413901 /nfs/dbraw/zinc/41/39/01/348413901.db2.gz MNDMPBOVMBJOCA-XJZHNMMOSA-N 0 0 292.307 2.711 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@@H]1CCC[C@@H](O)C1 ZINC000311546694 348413913 /nfs/dbraw/zinc/41/39/13/348413913.db2.gz KAPYOQPNBXJUFH-RKDXNWHRSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1NCC1(CO)CC1 ZINC000311815887 348414453 /nfs/dbraw/zinc/41/44/53/348414453.db2.gz JFMGBWDEEGCNQT-UHFFFAOYSA-N 0 0 272.251 2.717 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000311810892 348414765 /nfs/dbraw/zinc/41/47/65/348414765.db2.gz OUOLMHQVKRDXPY-QMMMGPOBSA-N 0 0 266.272 2.510 20 5 CFBDRN C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C(=O)OC(C)(C)C ZINC000413023705 233005575 /nfs/dbraw/zinc/00/55/75/233005575.db2.gz KCIKGTANFQDZCW-SECBINFHSA-N 0 0 294.307 2.511 20 5 CFBDRN C[C@@H](C[C@H](O)c1cccs1)Nc1ncccc1[N+](=O)[O-] ZINC000128876121 187396036 /nfs/dbraw/zinc/39/60/36/187396036.db2.gz QEPUGLUOBJXLIV-ONGXEEELSA-N 0 0 293.348 2.975 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOC2CCCC2)c(F)c1 ZINC000413068004 233014166 /nfs/dbraw/zinc/01/41/66/233014166.db2.gz JBXDQCCLPXKMMZ-UHFFFAOYSA-N 0 0 269.276 2.500 20 5 CFBDRN COC[C@H](C)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413080944 233014934 /nfs/dbraw/zinc/01/49/34/233014934.db2.gz GFTPAEPRDOSBMD-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN Cc1csc([C@H](C)CNc2ncccc2[N+](=O)[O-])n1 ZINC000273360728 192126374 /nfs/dbraw/zinc/12/63/74/192126374.db2.gz WNZNTPIRUVOVMD-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN CC[C@@H](Nc1ccc(C(=O)NC)cc1[N+](=O)[O-])C1CC1 ZINC000323720698 348438475 /nfs/dbraw/zinc/43/84/75/348438475.db2.gz MKYPCSSVFSMWLS-LLVKDONJSA-N 0 0 277.324 2.555 20 5 CFBDRN CNc1ccc(C(=O)N[C@H](C(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000324515713 348439932 /nfs/dbraw/zinc/43/99/32/348439932.db2.gz ORUYAHUJBPJLCQ-CQSZACIVSA-N 0 0 291.351 2.801 20 5 CFBDRN Cn1ccc(CCNc2ccc(C(F)F)cc2[N+](=O)[O-])n1 ZINC000314063511 348424501 /nfs/dbraw/zinc/42/45/01/348424501.db2.gz DFVUCJFKGFVYBC-UHFFFAOYSA-N 0 0 296.277 2.921 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000314662079 348426813 /nfs/dbraw/zinc/42/68/13/348426813.db2.gz ATKDJGRJNRGKTR-VIFPVBQESA-N 0 0 299.277 2.554 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000596840875 349995931 /nfs/dbraw/zinc/99/59/31/349995931.db2.gz DOAWZGMYKYSZON-SCDSUCTJSA-N 0 0 292.335 2.587 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCCSCC1 ZINC000273388615 192135348 /nfs/dbraw/zinc/13/53/48/192135348.db2.gz JOILXTOWCZUOGM-UHFFFAOYSA-N 0 0 299.327 2.705 20 5 CFBDRN CCC(CC)(OC)c1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000350416222 348476381 /nfs/dbraw/zinc/47/63/81/348476381.db2.gz ASINTDBADRTNQP-UHFFFAOYSA-N 0 0 294.311 2.645 20 5 CFBDRN C[C@@](O)(CNc1nccc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000413132403 233022781 /nfs/dbraw/zinc/02/27/81/233022781.db2.gz GKEULHCBZKJRAS-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H](c1nc(-c2c([N+](=O)[O-])ncn2C)no1)C1CCCC1 ZINC000350898530 348480891 /nfs/dbraw/zinc/48/08/91/348480891.db2.gz IONLJCUMFPPOER-QMMMGPOBSA-N 0 0 291.311 2.672 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@H]1CCCC1(F)F ZINC000401093756 348577109 /nfs/dbraw/zinc/57/71/09/348577109.db2.gz IJYNKMMIIIZLOR-QMMMGPOBSA-N 0 0 261.203 2.729 20 5 CFBDRN CCOc1cc(N[C@@H]2CO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000402207600 348580847 /nfs/dbraw/zinc/58/08/47/348580847.db2.gz IJENPBBJAIEJBS-ONGXEEELSA-N 0 0 266.297 2.583 20 5 CFBDRN CO[C@H](CCNc1ncc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000413257286 233042664 /nfs/dbraw/zinc/04/26/64/233042664.db2.gz NQFVOJLUZDRLQG-MRVPVSSYSA-N 0 0 297.208 2.508 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2CC[C@H]3C[C@H]3C2)nc2sccn21 ZINC000403332957 348584438 /nfs/dbraw/zinc/58/44/38/348584438.db2.gz GTFQBDAUWVETGN-XHNCKOQMSA-N 0 0 278.337 2.905 20 5 CFBDRN C[C@@H](NCc1ccns1)c1ccccc1[N+](=O)[O-] ZINC000404391266 348591573 /nfs/dbraw/zinc/59/15/73/348591573.db2.gz PPLGVDKHDAKFBF-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN Cc1c(CNCc2ccns2)cccc1[N+](=O)[O-] ZINC000404435162 348592202 /nfs/dbraw/zinc/59/22/02/348592202.db2.gz DXJFBFHZEKXQBE-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN C[C@](O)(CNc1ncc([N+](=O)[O-])cc1F)C1CCCCC1 ZINC000413265888 233043499 /nfs/dbraw/zinc/04/34/99/233043499.db2.gz ORTGROICGHVHPG-AWEZNQCLSA-N 0 0 297.330 2.872 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])s1 ZINC000273447543 192154383 /nfs/dbraw/zinc/15/43/83/192154383.db2.gz CPYIUWBGSDJEES-YFKPBYRVSA-N 0 0 299.743 2.976 20 5 CFBDRN Cc1cc(N2CCC(CCO)CC2)c(F)cc1[N+](=O)[O-] ZINC000400988974 348576459 /nfs/dbraw/zinc/57/64/59/348576459.db2.gz WYBCGRSSQHVZOW-UHFFFAOYSA-N 0 0 282.315 2.641 20 5 CFBDRN CC[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000273458401 192159363 /nfs/dbraw/zinc/15/93/63/192159363.db2.gz MKPHAXJTFJVVEY-VIFPVBQESA-N 0 0 281.287 2.998 20 5 CFBDRN Cc1ccoc1CN(C)c1ncc([N+](=O)[O-])cc1F ZINC000413299282 233048433 /nfs/dbraw/zinc/04/84/33/233048433.db2.gz HNKSMNKVDMBYAQ-UHFFFAOYSA-N 0 0 265.244 2.667 20 5 CFBDRN CC(F)(F)CCn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000584781462 348715702 /nfs/dbraw/zinc/71/57/02/348715702.db2.gz GAWDHEIWICHIKI-UHFFFAOYSA-N 0 0 282.246 2.955 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000584851118 348723179 /nfs/dbraw/zinc/72/31/79/348723179.db2.gz UWPAZSULZCJFBY-LLVKDONJSA-N 0 0 277.324 2.766 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000413343170 233056424 /nfs/dbraw/zinc/05/64/24/233056424.db2.gz WSKKPEPBUGCBOX-LLVKDONJSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC2CC(F)(F)C2)cn1 ZINC000413342438 233056687 /nfs/dbraw/zinc/05/66/87/233056687.db2.gz VRNXHAURGRDEQR-UHFFFAOYSA-N 0 0 257.240 2.837 20 5 CFBDRN CC(=O)c1cc(N2C[C@H](C)O[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000588027463 348767174 /nfs/dbraw/zinc/76/71/74/348767174.db2.gz CSMIVDLIFVXMLI-UMNHJUIQSA-N 0 0 292.335 2.800 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC(C)(C)C1 ZINC000588036430 348768058 /nfs/dbraw/zinc/76/80/58/348768058.db2.gz KSIUDEIYCGLAJA-UHFFFAOYSA-N 0 0 278.308 2.536 20 5 CFBDRN Cc1ccc(NCc2nnc(C(C)(C)C)[nH]2)c([N+](=O)[O-])c1 ZINC000413410584 233069076 /nfs/dbraw/zinc/06/90/76/233069076.db2.gz KDBILEGACFXDKD-UHFFFAOYSA-N 0 0 289.339 2.931 20 5 CFBDRN COC(=O)c1cc(N2CCC[C@@H]3C[C@@H]32)cc(C)c1[N+](=O)[O-] ZINC000588065679 348769465 /nfs/dbraw/zinc/76/94/65/348769465.db2.gz ANFZMMFYFWZTMS-MFKMUULPSA-N 0 0 290.319 2.679 20 5 CFBDRN C[C@H]1C[C@H]([NH+]2CCCC2)CN1c1ccc([N+](=O)[O-])s1 ZINC000588071853 348770496 /nfs/dbraw/zinc/77/04/96/348770496.db2.gz HETCFGJUVNCRSX-QWRGUYRKSA-N 0 0 281.381 2.719 20 5 CFBDRN CCC[C@@H](C)NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000588092105 348771286 /nfs/dbraw/zinc/77/12/86/348771286.db2.gz AURWGMFOPACORT-GFCCVEGCSA-N 0 0 293.367 2.967 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)Cc2ccccc2)s1 ZINC000588085128 348771368 /nfs/dbraw/zinc/77/13/68/348771368.db2.gz GVQIQHZTEACZSB-NSHDSACASA-N 0 0 278.333 2.672 20 5 CFBDRN COC1CCC(Nc2ncc([N+](=O)[O-])cc2C)CC1 ZINC000413425351 233070110 /nfs/dbraw/zinc/07/01/10/233070110.db2.gz YZYGBBPXNDRMNV-UHFFFAOYSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000588279356 348781843 /nfs/dbraw/zinc/78/18/43/348781843.db2.gz LDQXDDNVJYQZPV-MFKMUULPSA-N 0 0 275.308 2.919 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC1(F)F ZINC000588279363 348782100 /nfs/dbraw/zinc/78/21/00/348782100.db2.gz LVUACUAYNALWLH-SNVBAGLBSA-N 0 0 285.250 2.904 20 5 CFBDRN COc1ccc(CNC(=O)[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000596876547 350000603 /nfs/dbraw/zinc/00/06/03/350000603.db2.gz NNCYSXUNORCXND-NSHDSACASA-N 0 0 292.335 2.656 20 5 CFBDRN CCc1nn(C)c(N[C@H](C)c2cnccc2C)c1[N+](=O)[O-] ZINC000588823482 348797400 /nfs/dbraw/zinc/79/74/00/348797400.db2.gz YCXZOZGSTJBXDX-SNVBAGLBSA-N 0 0 289.339 2.767 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC(Cc2ccccc2)C1 ZINC000588824719 348797661 /nfs/dbraw/zinc/79/76/61/348797661.db2.gz PYYIQAKIQDGTHN-UHFFFAOYSA-N 0 0 269.304 2.669 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000585812520 348745301 /nfs/dbraw/zinc/74/53/01/348745301.db2.gz WDONUYIWAQLVPH-HTRCEHHLSA-N 0 0 288.225 2.752 20 5 CFBDRN C[C@@H](CCO)SCc1c(F)cc([N+](=O)[O-])cc1F ZINC000586172093 348747065 /nfs/dbraw/zinc/74/70/65/348747065.db2.gz WKGFBODHANYLTE-ZETCQYMHSA-N 0 0 277.292 2.877 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)cs1 ZINC000586752307 348747998 /nfs/dbraw/zinc/74/79/98/348747998.db2.gz KBHWGQKXOAJRSQ-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN C[C@H]1CCC[C@@H](c2noc(Cn3cc([N+](=O)[O-])cn3)n2)C1 ZINC000273951756 192375514 /nfs/dbraw/zinc/37/55/14/192375514.db2.gz OETPERAWIAYLRG-VHSXEESVSA-N 0 0 291.311 2.516 20 5 CFBDRN C[C@H]1CC[C@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)CC1 ZINC000587973645 348763342 /nfs/dbraw/zinc/76/33/42/348763342.db2.gz BRGDVAIOWUCWGE-HOMQSWHASA-N 0 0 279.340 2.660 20 5 CFBDRN CC(C)C[C@@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000588005254 348765325 /nfs/dbraw/zinc/76/53/25/348765325.db2.gz BMFWUYQUHKXMDA-SECBINFHSA-N 0 0 267.329 2.516 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1c(F)cccc1[N+](=O)[O-] ZINC000587998390 348765337 /nfs/dbraw/zinc/76/53/37/348765337.db2.gz MAHSDKSYQAUTFL-NXEZZACHSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C[C@H]1C ZINC000588017095 348766681 /nfs/dbraw/zinc/76/66/81/348766681.db2.gz WUJOISBYDCVCED-CKYFFXLPSA-N 0 0 293.367 2.906 20 5 CFBDRN CCCN(C(=O)c1coc(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000588986279 348807065 /nfs/dbraw/zinc/80/70/65/348807065.db2.gz XLISCEJLCDTPBA-UHFFFAOYSA-N 0 0 289.291 2.948 20 5 CFBDRN C[C@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000589103762 348810836 /nfs/dbraw/zinc/81/08/36/348810836.db2.gz LJSNVLMUIQHZML-QMMMGPOBSA-N 0 0 255.705 2.880 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1Oc1cccnc1 ZINC000589103937 348811000 /nfs/dbraw/zinc/81/10/00/348811000.db2.gz ONRGDFQDCBQQKH-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN COC[C@@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000589113037 348811278 /nfs/dbraw/zinc/81/12/78/348811278.db2.gz KTVUZXKPIOYQII-SECBINFHSA-N 0 0 285.731 2.506 20 5 CFBDRN O=C(NC1(C(F)F)CCCC1)c1csc([N+](=O)[O-])c1 ZINC000589118287 348812284 /nfs/dbraw/zinc/81/22/84/348812284.db2.gz VZSJWVYQUSFSIG-UHFFFAOYSA-N 0 0 290.291 2.964 20 5 CFBDRN COCC1CCC(Nc2ccc([N+](=O)[O-])nc2)CC1 ZINC000413529388 233090690 /nfs/dbraw/zinc/09/06/90/233090690.db2.gz GPNFFNWPHJPGRD-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@@H](CCc1ccccc1[N+](=O)[O-])Nc1c[nH]cn1 ZINC000589514072 348836996 /nfs/dbraw/zinc/83/69/96/348836996.db2.gz BIQQPIYGRJRCPY-JTQLQIEISA-N 0 0 260.297 2.751 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2nc(C)ccc2[N+](=O)[O-])C12CCC2 ZINC000413443182 233074024 /nfs/dbraw/zinc/07/40/24/233074024.db2.gz NAEUOZSHBSROPB-NWDGAFQWSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1ccn2ccnc2c1 ZINC000589583673 348839648 /nfs/dbraw/zinc/83/96/48/348839648.db2.gz PERCUEDKKPCQGX-UHFFFAOYSA-N 0 0 274.305 2.916 20 5 CFBDRN COc1cc(N[C@@H](C)C[C@@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000589585492 348840132 /nfs/dbraw/zinc/84/01/32/348840132.db2.gz YPYNMHXQCLPSHZ-UWVGGRQHSA-N 0 0 298.314 2.970 20 5 CFBDRN COc1cccc(N2CCC3(CCOC3)CC2)c1[N+](=O)[O-] ZINC000589598300 348841209 /nfs/dbraw/zinc/84/12/09/348841209.db2.gz LTWZYEBRYVLSQQ-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3sccc3[N+](=O)[O-])C2)c1 ZINC000589608726 348842651 /nfs/dbraw/zinc/84/26/51/348842651.db2.gz VLTQGZQJUDGBHV-JTQLQIEISA-N 0 0 278.337 2.613 20 5 CFBDRN Cc1nc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)co1 ZINC000588939624 348804461 /nfs/dbraw/zinc/80/44/61/348804461.db2.gz DSQJGEKJPGSZBB-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN C[C@H](NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CCC1 ZINC000588950606 348805485 /nfs/dbraw/zinc/80/54/85/348805485.db2.gz ISJMTPXSTJTBTB-QMMMGPOBSA-N 0 0 298.289 2.720 20 5 CFBDRN Cc1cc(N2CCOCC(F)(F)C2)c(F)cc1[N+](=O)[O-] ZINC000589692639 348851225 /nfs/dbraw/zinc/85/12/25/348851225.db2.gz NMGPASMYNARXCF-UHFFFAOYSA-N 0 0 290.241 2.514 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CO)[C@H]2C)ccc1[N+](=O)[O-] ZINC000413598859 233102232 /nfs/dbraw/zinc/10/22/32/233102232.db2.gz WIJOTPWUMRQOQF-NEPJUHHUSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CO)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000413598861 233102385 /nfs/dbraw/zinc/10/23/85/233102385.db2.gz WIJOTPWUMRQOQF-RYUDHWBXSA-N 0 0 264.325 2.500 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC(C2CC2)C1 ZINC000589736849 348853869 /nfs/dbraw/zinc/85/38/69/348853869.db2.gz SSWMLRUPKNSQTB-UHFFFAOYSA-N 0 0 276.336 2.835 20 5 CFBDRN CC1(C)CN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000589865947 348861840 /nfs/dbraw/zinc/86/18/40/348861840.db2.gz SFKKSJFWEAAXFU-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC([C@H]2CCOC2)C1 ZINC000589866345 348861979 /nfs/dbraw/zinc/86/19/79/348861979.db2.gz DFCIFBQVKOEPAJ-NSHDSACASA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC(F)(F)[C@@H]2O)c(F)c1 ZINC000413580753 233098700 /nfs/dbraw/zinc/09/87/00/233098700.db2.gz PWPIGLRFAJPTQV-WDEREUQCSA-N 0 0 290.241 2.695 20 5 CFBDRN CCO[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@H]1C ZINC000590718101 348935048 /nfs/dbraw/zinc/93/50/48/348935048.db2.gz FAZPUAZORCHJLV-MWLCHTKSSA-N 0 0 270.354 2.908 20 5 CFBDRN CO[C@@H]1CCN(c2sccc2[N+](=O)[O-])CC1(C)C ZINC000590718795 348935583 /nfs/dbraw/zinc/93/55/83/348935583.db2.gz OLQWKPAVDGNCGL-SNVBAGLBSA-N 0 0 270.354 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC(OC(F)F)CC2)nc1 ZINC000590732677 348938649 /nfs/dbraw/zinc/93/86/49/348938649.db2.gz ZVCBRLOMFSPKAC-UHFFFAOYSA-N 0 0 287.266 2.952 20 5 CFBDRN C[C@]12CN(c3ccncc3[N+](=O)[O-])C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000590738023 348939185 /nfs/dbraw/zinc/93/91/85/348939185.db2.gz UFSNEYOWOYJMRP-NWJSVONSSA-N 0 0 273.336 2.862 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@@H]1C(F)(F)F ZINC000590379570 348898267 /nfs/dbraw/zinc/89/82/67/348898267.db2.gz IMMCDGBWHBEUIL-SECBINFHSA-N 0 0 292.188 2.511 20 5 CFBDRN COC(=O)c1ccnc(NC2CCCCCC2)c1[N+](=O)[O-] ZINC000590569591 348909753 /nfs/dbraw/zinc/90/97/53/348909753.db2.gz JZCYPPQVVUYHTE-UHFFFAOYSA-N 0 0 293.323 2.911 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCS1 ZINC000590576254 348910569 /nfs/dbraw/zinc/91/05/69/348910569.db2.gz GRNLKEGEJTZGNO-SSDOTTSWSA-N 0 0 273.745 2.585 20 5 CFBDRN CC[C@@](C)(CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000590773849 348946971 /nfs/dbraw/zinc/94/69/71/348946971.db2.gz UGFLCRMLFPMVNN-ABAIWWIYSA-N 0 0 294.351 2.630 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000413760540 233125162 /nfs/dbraw/zinc/12/51/62/233125162.db2.gz RPTNXSMVTBWYQF-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@@]2(CCOC2)C1 ZINC000590693425 348929726 /nfs/dbraw/zinc/92/97/26/348929726.db2.gz ZZUAPJBCDXXNFZ-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])CC[N@@H+](C2CC2)C1 ZINC000590703681 348930998 /nfs/dbraw/zinc/93/09/98/348930998.db2.gz NZLIJJWLDQNYRC-JTQLQIEISA-N 0 0 281.381 2.577 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC([C@@H]2CCOC2)C1 ZINC000590701256 348931038 /nfs/dbraw/zinc/93/10/38/348931038.db2.gz ZNRXLFFNBAPTHM-LLVKDONJSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)cn1 ZINC000590703225 348931099 /nfs/dbraw/zinc/93/10/99/348931099.db2.gz QSWWFNSXRZDMRF-JSGCOSHPSA-N 0 0 289.335 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@@H]2[C@H]2CCCO2)cn1 ZINC000590703226 348931226 /nfs/dbraw/zinc/93/12/26/348931226.db2.gz QSWWFNSXRZDMRF-OCCSQVGLSA-N 0 0 289.335 2.528 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CC(CC(F)(F)F)C2)c1 ZINC000590969228 348974841 /nfs/dbraw/zinc/97/48/41/348974841.db2.gz UFHKKKZIWPSKDQ-UHFFFAOYSA-N 0 0 274.242 2.979 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000591079482 348985489 /nfs/dbraw/zinc/98/54/89/348985489.db2.gz WNKPMDFSOMEDLI-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSc2ccc3c(c2)CCO3)c1 ZINC000591133173 348991257 /nfs/dbraw/zinc/99/12/57/348991257.db2.gz GPAQAZOJQCJJFP-UHFFFAOYSA-N 0 0 291.332 2.519 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])cc1F)C1CCCCC1 ZINC000591136835 348991663 /nfs/dbraw/zinc/99/16/63/348991663.db2.gz XHBNABOJADTIJN-UHFFFAOYSA-N 0 0 253.277 2.898 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@H]2CCCC[C@@H]2O)c(F)c1 ZINC000591150725 348993469 /nfs/dbraw/zinc/99/34/69/348993469.db2.gz XBYFVNOKGBTQKM-MFKMUULPSA-N 0 0 297.330 2.872 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@H]2c2ncc[nH]2)c(F)c1 ZINC000591150292 348993584 /nfs/dbraw/zinc/99/35/84/348993584.db2.gz WGQAOPJTELZJDO-NSHDSACASA-N 0 0 291.286 2.584 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@H]2c2cc[nH]n2)c(F)c1 ZINC000591160431 348994534 /nfs/dbraw/zinc/99/45/34/348994534.db2.gz YCKKRUXNLVIUQR-LBPRGKRZSA-N 0 0 291.286 2.584 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CCCC[C@@H]3C2)c(F)c1 ZINC000591164552 348995837 /nfs/dbraw/zinc/99/58/37/348995837.db2.gz AEUYGKVYAVCUTN-AOOOYVTPSA-N 0 0 265.288 2.755 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCC1CC(F)(F)C1 ZINC000591168191 348996169 /nfs/dbraw/zinc/99/61/69/348996169.db2.gz KKBGZPQPNKLSPQ-UHFFFAOYSA-N 0 0 257.240 2.837 20 5 CFBDRN C[C@@H]1[C@H](C)CN(c2ccc([N+](=O)[O-])c(N)c2F)[C@H]1C ZINC000591368976 349012522 /nfs/dbraw/zinc/01/25/22/349012522.db2.gz CKQOCZTYIIWJKV-HLTSFMKQSA-N 0 0 267.304 2.797 20 5 CFBDRN CC[C@@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)[C@H]1CC1(C)C ZINC000591368680 349012531 /nfs/dbraw/zinc/01/25/31/349012531.db2.gz VOTHZDOIVXWRMY-DGCLKSJQSA-N 0 0 293.367 2.906 20 5 CFBDRN CCOC(=O)Cc1ccc(NCC2CC2)c([N+](=O)[O-])c1 ZINC000591892723 349055613 /nfs/dbraw/zinc/05/56/13/349055613.db2.gz MPRXGCNDEMAUBM-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCCC1(O)CCC1 ZINC000591463709 349025482 /nfs/dbraw/zinc/02/54/82/349025482.db2.gz XTFOASDVGXUFGE-UHFFFAOYSA-N 0 0 256.327 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C\[C@@H]3CCCO3)n2)s1 ZINC000591664618 349037687 /nfs/dbraw/zinc/03/76/87/349037687.db2.gz LSFBDQWWQBJFDO-NHLYECAPSA-N 0 0 293.304 2.899 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000591984108 349069859 /nfs/dbraw/zinc/06/98/59/349069859.db2.gz XKMZFEPZNRDWHP-DTWKUNHWSA-N 0 0 258.343 2.865 20 5 CFBDRN Cc1nc(N2CC3(C[C@@H]2C)CCOCC3)ccc1[N+](=O)[O-] ZINC000591992604 349071163 /nfs/dbraw/zinc/07/11/63/349071163.db2.gz QODXZIXFWGOOFD-NSHDSACASA-N 0 0 291.351 2.694 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2C)[C@@H]1SC ZINC000591990723 349071183 /nfs/dbraw/zinc/07/11/83/349071183.db2.gz NODDKKPHUPDQDG-UTUOFQBUSA-N 0 0 297.380 2.619 20 5 CFBDRN CCC(C)(C)C(=O)Cn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592349390 349106789 /nfs/dbraw/zinc/10/67/89/349106789.db2.gz XZIYYVOCHZBALN-UHFFFAOYSA-N 0 0 292.291 2.508 20 5 CFBDRN Cc1nc(NCC2CCC(F)CC2)ccc1[N+](=O)[O-] ZINC000591993768 349071818 /nfs/dbraw/zinc/07/18/18/349071818.db2.gz HKRPVQMIXVBSNG-UHFFFAOYSA-N 0 0 267.304 2.660 20 5 CFBDRN C[C@@H]1CN(c2ncccc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000591997576 349072529 /nfs/dbraw/zinc/07/25/29/349072529.db2.gz FFQWCTUEAWZXQY-LLVKDONJSA-N 0 0 277.324 2.528 20 5 CFBDRN CCN(CCSC)c1cc(OC)ccc1[N+](=O)[O-] ZINC000591998147 349072585 /nfs/dbraw/zinc/07/25/85/349072585.db2.gz AXGMDNCSQRSLRF-UHFFFAOYSA-N 0 0 270.354 2.793 20 5 CFBDRN C[C@@H]1CN(c2sccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000591995559 349072637 /nfs/dbraw/zinc/07/26/37/349072637.db2.gz UYOQIUINGUKYLC-SECBINFHSA-N 0 0 268.338 2.804 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])s2)CC2(CCCC2)O1 ZINC000592002628 349073683 /nfs/dbraw/zinc/07/36/83/349073683.db2.gz TUCHQERDJXIMDJ-VIFPVBQESA-N 0 0 283.353 2.589 20 5 CFBDRN C[C@]1(CCCO)CCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000271138117 190959462 /nfs/dbraw/zinc/95/94/62/190959462.db2.gz BBDXIEZEBFHTRQ-CYBMUJFWSA-N 0 0 299.758 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCC[C@@H]1CCOC1)CC2 ZINC000592101192 349083392 /nfs/dbraw/zinc/08/33/92/349083392.db2.gz XECKPUCQFUABAM-GFCCVEGCSA-N 0 0 276.336 2.774 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CCS[C@H]2C)cccc1[N+](=O)[O-] ZINC000592122706 349085725 /nfs/dbraw/zinc/08/57/25/349085725.db2.gz MBLZSBIKLCVOEC-ONGXEEELSA-N 0 0 295.364 2.919 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCC[C@H]2CCOC2)c1 ZINC000592343144 349105612 /nfs/dbraw/zinc/10/56/12/349105612.db2.gz HHYIBLHGBDTFOC-NSHDSACASA-N 0 0 251.282 2.790 20 5 CFBDRN CCOC(=O)Cc1ccc(NC2CC=CC2)c([N+](=O)[O-])c1 ZINC000591921675 349060783 /nfs/dbraw/zinc/06/07/83/349060783.db2.gz BKQZZIBXLSFXFS-UHFFFAOYSA-N 0 0 290.319 2.831 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Br)cn1CC1=CCCC1 ZINC000592347650 349106393 /nfs/dbraw/zinc/10/63/93/349106393.db2.gz OVPACRNSHNSHTP-UHFFFAOYSA-N 0 0 299.124 2.629 20 5 CFBDRN Cc1nn(C)c(N(C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000591970179 349066870 /nfs/dbraw/zinc/06/68/70/349066870.db2.gz AOKIMMWLQHARFY-UHFFFAOYSA-N 0 0 254.334 2.509 20 5 CFBDRN CN(CCC(C)(C)C)c1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000591971088 349066975 /nfs/dbraw/zinc/06/69/75/349066975.db2.gz SYIGMIBPYSHPLD-UHFFFAOYSA-N 0 0 279.340 2.566 20 5 CFBDRN CC1(CC(F)F)CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000591971710 349067010 /nfs/dbraw/zinc/06/70/10/349067010.db2.gz LRRBNUOYVGUQEJ-UHFFFAOYSA-N 0 0 275.230 2.610 20 5 CFBDRN COC(=O)[C@@H](CC(C)(C)C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000591972539 349067753 /nfs/dbraw/zinc/06/77/53/349067753.db2.gz RTQJWRBMCVOLEV-SNVBAGLBSA-N 0 0 295.339 2.688 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H](C)CC(F)F ZINC000592671949 349149252 /nfs/dbraw/zinc/14/92/52/349149252.db2.gz KXYKVAUUJJEYQV-QMMMGPOBSA-N 0 0 286.278 2.924 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc(C[C@H]3CCCO3)n2)c1 ZINC000592996211 349182882 /nfs/dbraw/zinc/18/28/82/349182882.db2.gz UCWPIAYUZXPPKB-SECBINFHSA-N 0 0 279.321 2.768 20 5 CFBDRN CCC[C@@H](CC)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593039664 349192292 /nfs/dbraw/zinc/19/22/92/349192292.db2.gz UOKDNQOENBEATP-GFCCVEGCSA-N 0 0 282.315 2.971 20 5 CFBDRN O=C(CC1CCC1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000089420838 179785436 /nfs/dbraw/zinc/78/54/36/179785436.db2.gz FXKTVPNYXHJRRR-UHFFFAOYSA-N 0 0 274.280 2.600 20 5 CFBDRN O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc21)[C@@H]1CC=CCC1 ZINC000089421253 179785535 /nfs/dbraw/zinc/78/55/35/179785535.db2.gz SXKNBRFVGDVRSA-SECBINFHSA-N 0 0 286.291 2.766 20 5 CFBDRN CN(C(=O)CSc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000592711229 349155172 /nfs/dbraw/zinc/15/51/72/349155172.db2.gz QDIYFZPSFQZXFG-UHFFFAOYSA-N 0 0 282.365 2.944 20 5 CFBDRN O=C(NCC1CCC(F)CC1)c1ccccc1[N+](=O)[O-] ZINC000592719858 349157496 /nfs/dbraw/zinc/15/74/96/349157496.db2.gz AAYNAQQTULTMRP-UHFFFAOYSA-N 0 0 280.299 2.853 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000592733498 349158645 /nfs/dbraw/zinc/15/86/45/349158645.db2.gz SWLQKDDDZBGZFE-GMTAPVOTSA-N 0 0 260.293 2.513 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000592738296 349161102 /nfs/dbraw/zinc/16/11/02/349161102.db2.gz ZBXXJOIDFKAXLJ-GARJFASQSA-N 0 0 290.319 2.522 20 5 CFBDRN CCc1nn(C)cc1NCc1ccc([N+](=O)[O-])cc1C ZINC000273489299 192171014 /nfs/dbraw/zinc/17/10/14/192171014.db2.gz SBRVONSIGLCGDP-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CCCC[C@@](C)(CO)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000592855353 349171459 /nfs/dbraw/zinc/17/14/59/349171459.db2.gz UDPLTHBCVGUSNZ-HNNXBMFYSA-N 0 0 294.351 2.574 20 5 CFBDRN CCCC[C@@](C)(CO)NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000592856126 349171687 /nfs/dbraw/zinc/17/16/87/349171687.db2.gz YHAJJHRYOIIBLM-HNNXBMFYSA-N 0 0 294.351 2.574 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCCC(F)(F)C1 ZINC000593236551 349233341 /nfs/dbraw/zinc/23/33/41/349233341.db2.gz OYIHRWPKSHPCFD-UHFFFAOYSA-N 0 0 288.225 2.605 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000593257282 349236859 /nfs/dbraw/zinc/23/68/59/349236859.db2.gz BMCJNSROGLTYGR-JTQLQIEISA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593062793 349199093 /nfs/dbraw/zinc/19/90/93/349199093.db2.gz JABUAQSEZBCQTK-BXUZGUMPSA-N 0 0 264.325 2.594 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(OC)c([N+](=O)[O-])c2)[C@@H](C)CO1 ZINC000593063796 349199386 /nfs/dbraw/zinc/19/93/86/349199386.db2.gz NURJUDLIWVANGB-AAEUAGOBSA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593078601 349202948 /nfs/dbraw/zinc/20/29/48/349202948.db2.gz BPEMOLMZLMKAAW-QWRGUYRKSA-N 0 0 294.326 2.923 20 5 CFBDRN CCC1(NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000593082650 349203894 /nfs/dbraw/zinc/20/38/94/349203894.db2.gz JBQGWEJNDORPJC-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]2C1 ZINC000593089047 349205693 /nfs/dbraw/zinc/20/56/93/349205693.db2.gz ONVHDRJAPDDMNK-TXEJJXNPSA-N 0 0 292.310 2.535 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@]2(CC2(F)F)C1 ZINC000593520707 349289489 /nfs/dbraw/zinc/28/94/89/349289489.db2.gz JMKMHJOXNTZPMM-LLVKDONJSA-N 0 0 254.236 2.830 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@]2(CC2(F)F)C1 ZINC000593520708 349289578 /nfs/dbraw/zinc/28/95/78/349289578.db2.gz JMKMHJOXNTZPMM-NSHDSACASA-N 0 0 254.236 2.830 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Cc1nc(C2CCC2)no1 ZINC000593295130 349242438 /nfs/dbraw/zinc/24/24/38/349242438.db2.gz QWZJUQDJCHNSAC-UHFFFAOYSA-N 0 0 277.255 2.975 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593615641 349299754 /nfs/dbraw/zinc/29/97/54/349299754.db2.gz CFKUXFGBXINPEA-MFKMUULPSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])[N@@H+]1C/C=C\c1ccccc1[N+](=O)[O-] ZINC000593333828 349249714 /nfs/dbraw/zinc/24/97/14/349249714.db2.gz WBQCVQDVCLYAHS-CAMLPZEUSA-N 0 0 290.319 2.546 20 5 CFBDRN CC1CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000593384175 349257342 /nfs/dbraw/zinc/25/73/42/349257342.db2.gz NQSGRDRCIZBBPS-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN CCCC(C)(C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000593389367 349257979 /nfs/dbraw/zinc/25/79/79/349257979.db2.gz VOGSYLMOZSHGTD-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN Cn1cc(CSCc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000593435902 349269768 /nfs/dbraw/zinc/26/97/68/349269768.db2.gz BKQKQKCJWRMVKR-UHFFFAOYSA-N 0 0 281.312 2.901 20 5 CFBDRN Cn1cc(CSCc2csc([N+](=O)[O-])c2)cn1 ZINC000593438761 349270997 /nfs/dbraw/zinc/27/09/97/349270997.db2.gz DPRDVQNWPKIBNI-UHFFFAOYSA-N 0 0 269.351 2.823 20 5 CFBDRN C[C@]1(CNc2ccnc3cc([N+](=O)[O-])ccc32)CCOC1 ZINC000593462616 349275945 /nfs/dbraw/zinc/27/59/45/349275945.db2.gz FDVANDHJOUGPPX-OAHLLOKOSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCC[C@H]1CCOC1 ZINC000593467347 349276731 /nfs/dbraw/zinc/27/67/31/349276731.db2.gz LJDXXOHKVCBYGI-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CCO[C@@H](C2CC2)C1 ZINC000593469744 349277438 /nfs/dbraw/zinc/27/74/38/349277438.db2.gz HAAXVSPVZMLUHP-MRXNPFEDSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CCC2(CCO2)CC1 ZINC000593476477 349278015 /nfs/dbraw/zinc/27/80/15/349278015.db2.gz ZXFLMTSUCYUYNS-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccnc3cc([N+](=O)[O-])ccc32)CO1 ZINC000593479057 349278874 /nfs/dbraw/zinc/27/88/74/349278874.db2.gz VGPFWDIATUYFHI-VHSXEESVSA-N 0 0 273.292 2.732 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])c(C)c1)[C@@H]1CCCOC1 ZINC000593495333 349284675 /nfs/dbraw/zinc/28/46/75/349284675.db2.gz DBFDDJRFISXJCZ-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CC3(F)F)CC2)cn1 ZINC000593498764 349285118 /nfs/dbraw/zinc/28/51/18/349285118.db2.gz QAXUXJIMIASXNZ-UHFFFAOYSA-N 0 0 269.251 2.615 20 5 CFBDRN Cc1cc(N2CCC([C@H](C)CO)CC2)ccc1[N+](=O)[O-] ZINC000593505795 349286514 /nfs/dbraw/zinc/28/65/14/349286514.db2.gz OPLZJWBRPCHMMV-GFCCVEGCSA-N 0 0 278.352 2.748 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N1CCC[C@H](F)C1 ZINC000593893534 349333413 /nfs/dbraw/zinc/33/34/13/349333413.db2.gz JZHFDHRPNCXMHB-JTQLQIEISA-N 0 0 298.339 2.647 20 5 CFBDRN CO[C@@H](C)c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000274043547 192417044 /nfs/dbraw/zinc/41/70/44/192417044.db2.gz UUYBWFZRVXAFKV-VIFPVBQESA-N 0 0 277.280 2.584 20 5 CFBDRN Cc1cc(C(=O)NCCc2cccc([N+](=O)[O-])c2)c(C)o1 ZINC000089767566 179863667 /nfs/dbraw/zinc/86/36/67/179863667.db2.gz ZUMFHZYAZQXZAX-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN Cc1c(C[N@@H+](C)[C@H](CC(C)C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000593746494 349319510 /nfs/dbraw/zinc/31/95/10/349319510.db2.gz QCYLDKTVDRAIKD-CQSZACIVSA-N 0 0 294.351 2.834 20 5 CFBDRN O=C([O-])C[N@H+](Cc1ccccc1[N+](=O)[O-])C1CCCCC1 ZINC000593746897 349319547 /nfs/dbraw/zinc/31/95/47/349319547.db2.gz WIPHDTZYTJHVSZ-UHFFFAOYSA-N 0 0 292.335 2.814 20 5 CFBDRN CC(C)(NC(=O)Cc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000594062330 349367063 /nfs/dbraw/zinc/36/70/63/349367063.db2.gz HPPJBBROPSRHCK-UHFFFAOYSA-N 0 0 286.278 2.687 20 5 CFBDRN C[C@@H](O)CN(Cc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000594067135 349367628 /nfs/dbraw/zinc/36/76/28/349367628.db2.gz YBJBIVSENAGDIU-SECBINFHSA-N 0 0 284.743 2.593 20 5 CFBDRN CC(C)(NC(=O)c1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000594063447 349368039 /nfs/dbraw/zinc/36/80/39/349368039.db2.gz USKRHLMQTFJPAY-UHFFFAOYSA-N 0 0 272.251 2.758 20 5 CFBDRN Cc1cccc(C(=O)N2CCCc3cccnc32)c1[N+](=O)[O-] ZINC000594070018 349368307 /nfs/dbraw/zinc/36/83/07/349368307.db2.gz JCSDVHNPROFPTL-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)Cc2ccccn2)c1 ZINC000594138715 349384147 /nfs/dbraw/zinc/38/41/47/349384147.db2.gz ADWMBGREEFVWSH-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN CN(CC1CC1)C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597095254 350029863 /nfs/dbraw/zinc/02/98/63/350029863.db2.gz PPBGJWZOFWGVMS-UHFFFAOYSA-N 0 0 298.730 2.704 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597097588 350030180 /nfs/dbraw/zinc/03/01/80/350030180.db2.gz OLBFEDDIQNPAAL-KNVOCYPGSA-N 0 0 298.730 2.845 20 5 CFBDRN Cc1ccoc1CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000597272294 350077590 /nfs/dbraw/zinc/07/75/90/350077590.db2.gz BKIBLMLXNVOQNY-UHFFFAOYSA-N 0 0 286.287 2.628 20 5 CFBDRN O=C(CCCF)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000597297722 350080152 /nfs/dbraw/zinc/08/01/52/350080152.db2.gz VXUVASWUFGPMAU-CYBMUJFWSA-N 0 0 298.339 2.919 20 5 CFBDRN Cc1ccncc1CC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000597478349 350101790 /nfs/dbraw/zinc/10/17/90/350101790.db2.gz RCDWTPOCSVUOMW-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN C[C@H](NC(=O)CCCF)c1ccccc1[N+](=O)[O-] ZINC000597479443 350102659 /nfs/dbraw/zinc/10/26/59/350102659.db2.gz ULQLKMLDWJELNU-VIFPVBQESA-N 0 0 254.261 2.522 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CCC2(C)C)c([N+](=O)[O-])c1 ZINC000597536065 350109367 /nfs/dbraw/zinc/10/93/67/350109367.db2.gz AYSSBBBNSNWJCB-SNVBAGLBSA-N 0 0 278.308 2.978 20 5 CFBDRN Cc1nccn1CCCOc1ccc(C)c([N+](=O)[O-])c1 ZINC000271227531 191014916 /nfs/dbraw/zinc/01/49/16/191014916.db2.gz RVHRWFZAWZIYSS-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN C[C@H](NC(=O)N1CCC=C(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000274080524 192431676 /nfs/dbraw/zinc/43/16/76/192431676.db2.gz GZRSZJROLSASFS-JTQLQIEISA-N 0 0 293.298 2.925 20 5 CFBDRN C[C@H]1CCCC[C@@H]1c1noc(Cn2cc([N+](=O)[O-])cn2)n1 ZINC000274093365 192436591 /nfs/dbraw/zinc/43/65/91/192436591.db2.gz YPBYANTXLAXTTB-ONGXEEELSA-N 0 0 291.311 2.516 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@@H]1C(F)(F)F ZINC000597664032 350121615 /nfs/dbraw/zinc/12/16/15/350121615.db2.gz ACZZKKKQOVOIMB-SECBINFHSA-N 0 0 292.188 2.511 20 5 CFBDRN Cc1ncsc1CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000104474763 186008116 /nfs/dbraw/zinc/00/81/16/186008116.db2.gz GCQCWLATBPROJE-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000104612745 186010233 /nfs/dbraw/zinc/01/02/33/186010233.db2.gz JQWCJSDKEZXCAH-CMPLNLGQSA-N 0 0 292.335 2.912 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@H]1C ZINC000104660045 186010811 /nfs/dbraw/zinc/01/08/11/186010811.db2.gz GJLHYRHLNBJTHY-BXKDBHETSA-N 0 0 277.324 2.555 20 5 CFBDRN Cn1nccc1CSCCOc1cccc([N+](=O)[O-])c1 ZINC000091028396 180089972 /nfs/dbraw/zinc/08/99/72/180089972.db2.gz DWEVHZWLBZKDMR-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H]2CCCC[C@@H]21 ZINC000597829508 350141709 /nfs/dbraw/zinc/14/17/09/350141709.db2.gz MLSHXAYRNIQCKI-FZMZJTMJSA-N 0 0 274.320 2.918 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000597853499 350142239 /nfs/dbraw/zinc/14/22/39/350142239.db2.gz BOHIIGUSVSHUNT-SNVBAGLBSA-N 0 0 282.315 2.923 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)c2ccccn2)ccc1[N+](=O)[O-] ZINC000597863647 350145438 /nfs/dbraw/zinc/14/54/38/350145438.db2.gz IZBUJDVHBJTTMD-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CO1 ZINC000597862913 350145589 /nfs/dbraw/zinc/14/55/89/350145589.db2.gz SHMUNZLOXDODOW-VIFPVBQESA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC(c2ccco2)CC1 ZINC000597920540 350158415 /nfs/dbraw/zinc/15/84/15/350158415.db2.gz DAZFFBSKMDJXMY-UHFFFAOYSA-N 0 0 289.291 2.536 20 5 CFBDRN CC(=O)N1CCC(CNc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000104660544 186011810 /nfs/dbraw/zinc/01/18/10/186011810.db2.gz HYCKESOGVJGFGR-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000597975277 350168532 /nfs/dbraw/zinc/16/85/32/350168532.db2.gz IBJFQLHZNOWHKA-JTQLQIEISA-N 0 0 279.340 2.803 20 5 CFBDRN Cc1nn(Cc2cccc(Cl)n2)c(C)c1[N+](=O)[O-] ZINC000091436681 180155387 /nfs/dbraw/zinc/15/53/87/180155387.db2.gz YOSPEICISKBUNT-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCc1cccc(F)c1 ZINC000091556111 180190148 /nfs/dbraw/zinc/19/01/48/180190148.db2.gz HYFPLHCWVDSGCW-UHFFFAOYSA-N 0 0 292.314 2.913 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(OC)cc2)c1[N+](=O)[O-] ZINC000091560422 180191538 /nfs/dbraw/zinc/19/15/38/180191538.db2.gz BIPVCDKRMBMWLF-UHFFFAOYSA-N 0 0 290.323 2.511 20 5 CFBDRN O=C(NCCCC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000598214102 350216184 /nfs/dbraw/zinc/21/61/84/350216184.db2.gz BPWVVOYHCYIVPB-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)NCCCC1CCC1 ZINC000598216289 350217112 /nfs/dbraw/zinc/21/71/12/350217112.db2.gz PEKPJUFYAZIQGI-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN C[C@@]1(CCNC(=O)Cc2ccccc2[N+](=O)[O-])CC1(F)F ZINC000598217349 350217746 /nfs/dbraw/zinc/21/77/46/350217746.db2.gz YYMSHIKCJZWXMI-CYBMUJFWSA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@]1(C)CC1(F)F ZINC000598219906 350218179 /nfs/dbraw/zinc/21/81/79/350218179.db2.gz UIJJPASALJYXHN-LBPRGKRZSA-N 0 0 284.262 2.678 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@@H](CCF)C1 ZINC000598219306 350218661 /nfs/dbraw/zinc/21/86/61/350218661.db2.gz GUVMEQVEJIULKN-NSHDSACASA-N 0 0 280.299 2.807 20 5 CFBDRN CC[C@H](NC(=O)CCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598233281 350221511 /nfs/dbraw/zinc/22/15/11/350221511.db2.gz NBEGPJIXZJQSTE-LBPRGKRZSA-N 0 0 291.351 2.702 20 5 CFBDRN CC[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CC1 ZINC000598235017 350222253 /nfs/dbraw/zinc/22/22/53/350222253.db2.gz VOZOKMBLYUUUAG-NSHDSACASA-N 0 0 287.319 2.995 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H](CC)C2CC2)cc1[N+](=O)[O-] ZINC000598234974 350222354 /nfs/dbraw/zinc/22/23/54/350222354.db2.gz YSPUDDJZURLHJH-LBPRGKRZSA-N 0 0 292.335 2.912 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000414727478 233338949 /nfs/dbraw/zinc/33/89/49/233338949.db2.gz MSOGXHAPFWGTRU-LBPRGKRZSA-N 0 0 266.297 2.594 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@@H](C2CCCCC2)C1 ZINC000598150762 350209780 /nfs/dbraw/zinc/20/97/80/350209780.db2.gz KBBZPOMUSUWGTB-GFCCVEGCSA-N 0 0 291.351 2.965 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000105177057 186044930 /nfs/dbraw/zinc/04/49/30/186044930.db2.gz WITZYOKYFRLAAE-RISCZKNCSA-N 0 0 291.351 2.625 20 5 CFBDRN CC(C)(F)CCNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000598196637 350211953 /nfs/dbraw/zinc/21/19/53/350211953.db2.gz BBOMNUZMCSOPOF-UHFFFAOYSA-N 0 0 297.330 2.651 20 5 CFBDRN CC(=O)c1ccc(SC[C@H](C)CO)c([N+](=O)[O-])c1 ZINC000091542713 180184490 /nfs/dbraw/zinc/18/44/90/180184490.db2.gz YJNIIZPDWSGMGT-MRVPVSSYSA-N 0 0 269.322 2.518 20 5 CFBDRN CC[C@](C)(NC(=O)c1cccc([N+](=O)[O-])c1OC)C1CC1 ZINC000598251441 350225213 /nfs/dbraw/zinc/22/52/13/350225213.db2.gz RXPIJOCPOGSSSQ-HNNXBMFYSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000598298428 350229340 /nfs/dbraw/zinc/22/93/40/350229340.db2.gz AFSIFVSWWHOZAN-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@H]2C[C@H]2C1 ZINC000598326328 350234609 /nfs/dbraw/zinc/23/46/09/350234609.db2.gz BISAEJQDWQXYEJ-ONGXEEELSA-N 0 0 294.738 2.659 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@H]2C[C@H]2C1 ZINC000598326287 350234747 /nfs/dbraw/zinc/23/47/47/350234747.db2.gz AUORXHRVAHVOCY-ONGXEEELSA-N 0 0 285.303 2.558 20 5 CFBDRN CCSC1(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000598330937 350236884 /nfs/dbraw/zinc/23/68/84/350236884.db2.gz DHUMBVBDOBDNJC-UHFFFAOYSA-N 0 0 298.339 2.749 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2C(C)(C)C2(F)F)c1 ZINC000598341311 350240816 /nfs/dbraw/zinc/24/08/16/350240816.db2.gz SKBRIEDBTZYJIZ-NSHDSACASA-N 0 0 298.289 2.924 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2C(C)(C)C2(F)F)ccc1[N+](=O)[O-] ZINC000598341196 350240984 /nfs/dbraw/zinc/24/09/84/350240984.db2.gz PJVVFCXNKCPCCC-LLVKDONJSA-N 0 0 298.289 2.924 20 5 CFBDRN CC(C)CC1(NC(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000598402350 350257118 /nfs/dbraw/zinc/25/71/18/350257118.db2.gz JBDJEZYYCSQGHB-UHFFFAOYSA-N 0 0 268.338 2.965 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000271339995 191084288 /nfs/dbraw/zinc/08/42/88/191084288.db2.gz FULFSOYXPFLGNC-KOLCDFICSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2=CCCC2)c1 ZINC000598376540 350252310 /nfs/dbraw/zinc/25/23/10/350252310.db2.gz NYTBHRYAWVRJKU-UHFFFAOYSA-N 0 0 260.293 2.743 20 5 CFBDRN Cc1c(CC(=O)N[C@@H](C(C)C)C2CC2)cccc1[N+](=O)[O-] ZINC000598380284 350252894 /nfs/dbraw/zinc/25/28/94/350252894.db2.gz HGEOOQXNXDZZHM-INIZCTEOSA-N 0 0 290.363 2.997 20 5 CFBDRN CC(C)[C@H](NC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000598380906 350253071 /nfs/dbraw/zinc/25/30/71/350253071.db2.gz KGHKIENITPVWSI-ZDUSSCGKSA-N 0 0 262.309 2.759 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@@H](C3CCC3)C2)ccc1[N+](=O)[O-] ZINC000598399446 350256300 /nfs/dbraw/zinc/25/63/00/350256300.db2.gz LEBLNUFSVMSPJY-GFCCVEGCSA-N 0 0 291.351 2.586 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000598568342 350283437 /nfs/dbraw/zinc/28/34/37/350283437.db2.gz OXDAVRRPLWHPLF-UHFFFAOYSA-N 0 0 285.303 2.701 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC2CC1(C)C2 ZINC000598568364 350283673 /nfs/dbraw/zinc/28/36/73/350283673.db2.gz QAQZCKGIOHOLCW-UHFFFAOYSA-N 0 0 260.293 2.528 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000598566604 350283684 /nfs/dbraw/zinc/28/36/84/350283684.db2.gz YGEPTQGHYPUKQP-LBPRGKRZSA-N 0 0 276.336 2.642 20 5 CFBDRN C[C@H](C(=O)N1CC2CC1(C)C2)c1cccc([N+](=O)[O-])c1 ZINC000598569506 350283962 /nfs/dbraw/zinc/28/39/62/350283962.db2.gz BFRQTZMNQSYMNU-NLTNOIMHSA-N 0 0 274.320 2.709 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC2CC1(C)C2 ZINC000598572287 350284027 /nfs/dbraw/zinc/28/40/27/350284027.db2.gz VBBIWSOYWXEXAI-UHFFFAOYSA-N 0 0 260.293 2.528 20 5 CFBDRN C[C@H]1CC(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000091845841 180269847 /nfs/dbraw/zinc/26/98/47/180269847.db2.gz LAVYAUFYBSPEJI-UWVGGRQHSA-N 0 0 278.308 2.737 20 5 CFBDRN Nc1c(F)c(NCC[C@H]2CC2(F)F)ccc1[N+](=O)[O-] ZINC000598634683 350298218 /nfs/dbraw/zinc/29/82/18/350298218.db2.gz BTHPRNKNGUFKDW-LURJTMIESA-N 0 0 275.230 2.773 20 5 CFBDRN CC1(C)CC[C@@H](CO)N(Cc2csc([N+](=O)[O-])c2)C1 ZINC000598649398 350302041 /nfs/dbraw/zinc/30/20/41/350302041.db2.gz VDYFBNYGJDYTHI-NSHDSACASA-N 0 0 284.381 2.639 20 5 CFBDRN COc1ccc(CSCc2onc(C)c2[N+](=O)[O-])cn1 ZINC000598731788 350321798 /nfs/dbraw/zinc/32/17/98/350321798.db2.gz HNUINAIXMUOYKI-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN Cc1cc(C(=O)NCC2CC(C)C2)ccc1[N+](=O)[O-] ZINC000598778066 350327851 /nfs/dbraw/zinc/32/78/51/350327851.db2.gz IPCAYZGIAZCEJO-UHFFFAOYSA-N 0 0 262.309 2.679 20 5 CFBDRN COC(=O)c1ccnc(SCCC(C)C)c1[N+](=O)[O-] ZINC000598600738 350290963 /nfs/dbraw/zinc/29/09/63/350290963.db2.gz QIUDMPYHIOVVJY-UHFFFAOYSA-N 0 0 284.337 2.915 20 5 CFBDRN Cc1cc(NC(=O)[C@]23C[C@H]2COC3(C)C)ccc1[N+](=O)[O-] ZINC000598829148 350335768 /nfs/dbraw/zinc/33/57/68/350335768.db2.gz SIAZIDFJRRBGRY-BONVTDFDSA-N 0 0 290.319 2.657 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000598828209 350335803 /nfs/dbraw/zinc/33/58/03/350335803.db2.gz MPBJNRWFYUERTQ-CMPLNLGQSA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1ccccc1[N+](=O)[O-] ZINC000598855057 350340710 /nfs/dbraw/zinc/34/07/10/350340710.db2.gz OWFIRTHRBLUOJX-JTQLQIEISA-N 0 0 250.298 2.855 20 5 CFBDRN CC[C@](C)(NC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000598869359 350342662 /nfs/dbraw/zinc/34/26/62/350342662.db2.gz FOPBKVUABPVEJK-AWEZNQCLSA-N 0 0 262.309 2.903 20 5 CFBDRN CC[C@](C)(NC(=O)c1cc([N+](=O)[O-])ccc1OC)C1CC1 ZINC000598872527 350343066 /nfs/dbraw/zinc/34/30/66/350343066.db2.gz ULTKDJBTFVXXJT-HNNXBMFYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000271362386 191097540 /nfs/dbraw/zinc/09/75/40/191097540.db2.gz FRQXRLYDCOJQCY-VXGBXAGGSA-N 0 0 292.335 2.763 20 5 CFBDRN C[C@@H](Nc1ccc2cnccc2c1[N+](=O)[O-])[C@H](C)CO ZINC000599045468 350364593 /nfs/dbraw/zinc/36/45/93/350364593.db2.gz AYLDLNVZXCWPEM-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@H](C(=O)NCC[C@@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000598779051 350328473 /nfs/dbraw/zinc/32/84/73/350328473.db2.gz ACIGNJNJBWFTCG-GXSJLCMTSA-N 0 0 298.289 2.860 20 5 CFBDRN CC1CC(CNC(=O)c2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000598778595 350328481 /nfs/dbraw/zinc/32/84/81/350328481.db2.gz VQSLXVBCJMSGFG-UHFFFAOYSA-N 0 0 299.330 2.919 20 5 CFBDRN CC1CC(CNC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000598778488 350328570 /nfs/dbraw/zinc/32/85/70/350328570.db2.gz RZAXEKGGSPFHLN-UHFFFAOYSA-N 0 0 276.336 2.690 20 5 CFBDRN O=C(NCC[C@@H]1CC1(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000598784851 350330031 /nfs/dbraw/zinc/33/00/31/350330031.db2.gz USZVTLRSKWGYOW-MRVPVSSYSA-N 0 0 288.225 2.509 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1[NH2+][C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000599075353 350371498 /nfs/dbraw/zinc/37/14/98/350371498.db2.gz VORVFJZMPUCOEV-YFNQRXEKSA-N 0 0 290.363 2.984 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1[NH2+][C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000599074620 350371541 /nfs/dbraw/zinc/37/15/41/350371541.db2.gz YONCDUKPGVRSHL-YUELXQCFSA-N 0 0 276.336 2.739 20 5 CFBDRN O=[N+]([O-])c1c(NCC2=CCCOC2)ccc2cnccc21 ZINC000599084706 350373530 /nfs/dbraw/zinc/37/35/30/350373530.db2.gz FVBSPNHOVAKGMR-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN COCC1(CNc2ccc3cnccc3c2[N+](=O)[O-])CC1 ZINC000599100730 350375997 /nfs/dbraw/zinc/37/59/97/350375997.db2.gz MRKDRURDPJSIMZ-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H]1CN(c2ccc3cnccc3c2[N+](=O)[O-])C[C@@H](C)C1=O ZINC000599106268 350376409 /nfs/dbraw/zinc/37/64/09/350376409.db2.gz DIKHEEYSVZYCOM-GHMZBOCLSA-N 0 0 299.330 2.804 20 5 CFBDRN CCC[C@@H](OCC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000599101940 350376709 /nfs/dbraw/zinc/37/67/09/350376709.db2.gz LBBTYBCUXKDVOY-SMDDNHRTSA-N 0 0 294.351 2.977 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CC[C@H]3C[C@H]3C2)c(F)c1 ZINC000599114906 350377277 /nfs/dbraw/zinc/37/72/77/350377277.db2.gz INGLXPCKGUIIFE-KXUCPTDWSA-N 0 0 265.288 2.977 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCCOC2)c(F)c1 ZINC000599116687 350378060 /nfs/dbraw/zinc/37/80/60/350378060.db2.gz JXMBDEPXXKRIOP-JTQLQIEISA-N 0 0 268.288 2.963 20 5 CFBDRN CCC[C@H](CNc1ncc([N+](=O)[O-])cc1F)OCC ZINC000599119959 350379199 /nfs/dbraw/zinc/37/91/99/350379199.db2.gz WSYQMZBJFPRIBQ-SNVBAGLBSA-N 0 0 271.292 2.746 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1S[C@@H]1COC(C)(C)C1 ZINC000599125174 350380639 /nfs/dbraw/zinc/38/06/39/350380639.db2.gz KZBHSLYDOUSVPG-JTQLQIEISA-N 0 0 268.338 2.958 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC(OC(C)(C)CC)C1 ZINC000599136347 350382275 /nfs/dbraw/zinc/38/22/75/350382275.db2.gz MIHWZMYLYYGVHR-UHFFFAOYSA-N 0 0 296.371 2.513 20 5 CFBDRN CC(C)CC[C@@H](CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000599138001 350383081 /nfs/dbraw/zinc/38/30/81/350383081.db2.gz XFRXEZWGPACKMH-VIFPVBQESA-N 0 0 298.368 2.513 20 5 CFBDRN CC1(C)OC[C@H]2C[C@]21Nc1ccc(F)cc1[N+](=O)[O-] ZINC000599142967 350383834 /nfs/dbraw/zinc/38/38/34/350383834.db2.gz MJPYAEIQQXVYAG-OQPBUACISA-N 0 0 266.272 2.713 20 5 CFBDRN CN(CC1(C)COC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000599145040 350384367 /nfs/dbraw/zinc/38/43/67/350384367.db2.gz MUOSKDZQCITCFV-UHFFFAOYSA-N 0 0 270.716 2.721 20 5 CFBDRN Cc1cc(N[C@]23C[C@H]2COC3(C)C)ccc1[N+](=O)[O-] ZINC000599143906 350384444 /nfs/dbraw/zinc/38/44/44/350384444.db2.gz YSIBPEIBJKFNEP-IINYFYTJSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1cc(N2CCC(F)(F)C[C@H]2C)ncc1[N+](=O)[O-] ZINC000599162837 350386924 /nfs/dbraw/zinc/38/69/24/350386924.db2.gz RLGHITKLJUVVTK-SECBINFHSA-N 0 0 271.267 2.922 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)n1 ZINC000599162968 350386981 /nfs/dbraw/zinc/38/69/81/350386981.db2.gz CERXUEGJCNCIFB-USZNOCQGSA-N 0 0 279.340 2.830 20 5 CFBDRN C[C@@H](Cc1nc(-c2ccc([N+](=O)[O-])o2)no1)C(F)(F)F ZINC000599165377 350387535 /nfs/dbraw/zinc/38/75/35/350387535.db2.gz RGBPRUCQIDBMBU-YFKPBYRVSA-N 0 0 291.185 2.979 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1c1ncc([N+](=O)[O-])cc1F ZINC000599163203 350387612 /nfs/dbraw/zinc/38/76/12/350387612.db2.gz UBCCPSRJJOPMDV-ZETCQYMHSA-N 0 0 275.230 2.753 20 5 CFBDRN CN(C(=O)c1c(Br)cccc1[N+](=O)[O-])C1CC1 ZINC000599169163 350388044 /nfs/dbraw/zinc/38/80/44/350388044.db2.gz RBWIIIJQVUCRIJ-UHFFFAOYSA-N 0 0 299.124 2.592 20 5 CFBDRN CCOc1cc(N2CCO[C@@H](CCF)C2)ccc1[N+](=O)[O-] ZINC000599169615 350388045 /nfs/dbraw/zinc/38/80/45/350388045.db2.gz VVPXHAFHVNZJDN-LBPRGKRZSA-N 0 0 298.314 2.558 20 5 CFBDRN C/C=C/CNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000599172503 350388792 /nfs/dbraw/zinc/38/87/92/350388792.db2.gz OJROMSXCTGSTNZ-NSCUHMNNSA-N 0 0 261.203 2.997 20 5 CFBDRN Cc1cc(N2C[C@@H]3CCC[C@]3(CO)C2)c(F)cc1[N+](=O)[O-] ZINC000599173561 350389365 /nfs/dbraw/zinc/38/93/65/350389365.db2.gz CVLNBZKBGKLRHZ-XHDPSFHLSA-N 0 0 294.326 2.641 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1NCC1COC1 ZINC000599178236 350390420 /nfs/dbraw/zinc/39/04/20/350390420.db2.gz FISZGVMNQFKAEV-UHFFFAOYSA-N 0 0 258.224 2.591 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CO[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000599180840 350390718 /nfs/dbraw/zinc/39/07/18/350390718.db2.gz MPPOXYAWJMURFI-SWLSCSKDSA-N 0 0 290.319 2.777 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CO[C@@H](C3CC3)C2)c(F)c1 ZINC000599181128 350391044 /nfs/dbraw/zinc/39/10/44/350391044.db2.gz QHDQETYETVZPOJ-PRHODGIISA-N 0 0 284.262 2.852 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CO[C@H](C2CC2)C1 ZINC000599180086 350391053 /nfs/dbraw/zinc/39/10/53/350391053.db2.gz JBRDQTVQIGUTDB-SCZZXKLOSA-N 0 0 254.311 2.636 20 5 CFBDRN COc1cccc2c1CN(c1ncc(C)cc1[N+](=O)[O-])C2 ZINC000599181047 350391059 /nfs/dbraw/zinc/39/10/59/350391059.db2.gz OVFPFMBITJPMMK-UHFFFAOYSA-N 0 0 285.303 2.827 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(Cc3cccs3)C2)s1 ZINC000599193853 350393529 /nfs/dbraw/zinc/39/35/29/350393529.db2.gz BOUNVVIEVNQTOT-UHFFFAOYSA-N 0 0 281.362 2.792 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1-c1nc(C2C(C)(C)C2(C)C)no1 ZINC000599196800 350394193 /nfs/dbraw/zinc/39/41/93/350394193.db2.gz XXRKFNRZGFNFDM-UHFFFAOYSA-N 0 0 291.311 2.528 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000599205487 350395863 /nfs/dbraw/zinc/39/58/63/350395863.db2.gz HLLVFHXUUCSTPL-KLPPZKSPSA-N 0 0 278.308 2.634 20 5 CFBDRN CC1(c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)CCCC1 ZINC000599206274 350396728 /nfs/dbraw/zinc/39/67/28/350396728.db2.gz PXJWSFFFCVKOLS-UHFFFAOYSA-N 0 0 262.269 2.805 20 5 CFBDRN CSCCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129709083 187448008 /nfs/dbraw/zinc/44/80/08/187448008.db2.gz LZVMVYICAUVSSL-UHFFFAOYSA-N 0 0 294.376 2.839 20 5 CFBDRN COCCC1CCN(Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000599506796 350447836 /nfs/dbraw/zinc/44/78/36/350447836.db2.gz ITNPOHCCLXFKIG-UHFFFAOYSA-N 0 0 296.342 2.982 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1Cc2ccccc2C1 ZINC000105981312 186095894 /nfs/dbraw/zinc/09/58/94/186095894.db2.gz NLNWCJUYGYYJOX-UHFFFAOYSA-N 0 0 282.299 2.680 20 5 CFBDRN CCO[C@@H](C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-])C(C)C ZINC000599746005 350490398 /nfs/dbraw/zinc/49/03/98/350490398.db2.gz YVXOQJYSJQHUQP-BXUZGUMPSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1nnc(CCNc2c(F)cccc2[N+](=O)[O-])s1 ZINC000291048737 197899837 /nfs/dbraw/zinc/89/98/37/197899837.db2.gz DKZRGRBEHRWIPK-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN(CC(F)F)C2CC2)cc1 ZINC000106872593 186145359 /nfs/dbraw/zinc/14/53/59/186145359.db2.gz GTIUJUBCADCXBY-UHFFFAOYSA-N 0 0 270.279 2.867 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(CC(F)F)C2CC2)c1 ZINC000106871705 186145754 /nfs/dbraw/zinc/14/57/54/186145754.db2.gz ZSMIUAFSUXGGQX-UHFFFAOYSA-N 0 0 256.252 2.824 20 5 CFBDRN COC1CC(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000599638150 350470162 /nfs/dbraw/zinc/47/01/62/350470162.db2.gz YRDKFNSPFULZLV-QFWMXSHPSA-N 0 0 292.335 2.505 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000599779790 350492746 /nfs/dbraw/zinc/49/27/46/350492746.db2.gz KSWRAHIXMHPMOB-OCCSQVGLSA-N 0 0 293.323 2.592 20 5 CFBDRN CC(C)CC[C@@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000599697508 350485280 /nfs/dbraw/zinc/48/52/80/350485280.db2.gz ZYMKKMHSSRWZOL-LBPRGKRZSA-N 0 0 295.339 2.513 20 5 CFBDRN Cc1cnccc1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000107294581 186167735 /nfs/dbraw/zinc/16/77/35/186167735.db2.gz LIYRRTHAKUDWMT-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=C(NCC(C1CCC1)C1CCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000600166734 350527474 /nfs/dbraw/zinc/52/74/74/350527474.db2.gz JODWZWNEYKSLMK-UHFFFAOYSA-N 0 0 291.351 2.869 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCCOC1CCOCC1 ZINC000600543955 350568723 /nfs/dbraw/zinc/56/87/23/350568723.db2.gz DPAUEGMJSXPWRW-UHFFFAOYSA-N 0 0 294.351 2.819 20 5 CFBDRN CCC[C@@H](O)CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000600570292 350572507 /nfs/dbraw/zinc/57/25/07/350572507.db2.gz ROUZGBLTADRVQT-GFCCVEGCSA-N 0 0 285.365 2.868 20 5 CFBDRN Cn1ccnc1CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000600570314 350572910 /nfs/dbraw/zinc/57/29/10/350572910.db2.gz SKGIDGMWJVJLLE-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000600217580 350542209 /nfs/dbraw/zinc/54/22/09/350542209.db2.gz JUYXSAUIUCDQFK-MXWKQRLJSA-N 0 0 292.360 2.554 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000600217578 350542220 /nfs/dbraw/zinc/54/22/20/350542220.db2.gz JUYXSAUIUCDQFK-GARJFASQSA-N 0 0 292.360 2.554 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCC1CC=CC1 ZINC000600223797 350543052 /nfs/dbraw/zinc/54/30/52/350543052.db2.gz BSHBFNSBKCEIPC-UHFFFAOYSA-N 0 0 299.330 2.701 20 5 CFBDRN CC1(C)CCC(C)(C)N(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000600226338 350543605 /nfs/dbraw/zinc/54/36/05/350543605.db2.gz PCFBEWHSBLUQRS-UHFFFAOYSA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC(F)(F)CC1 ZINC000600255311 350545822 /nfs/dbraw/zinc/54/58/22/350545822.db2.gz JTUXODBMMBDYAI-UHFFFAOYSA-N 0 0 299.277 2.560 20 5 CFBDRN C[C@H](C(=O)N(C1CC1)C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000600360450 350549744 /nfs/dbraw/zinc/54/97/44/350549744.db2.gz PUXOOKHVTBXNDT-VIFPVBQESA-N 0 0 292.310 2.991 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000600380449 350552221 /nfs/dbraw/zinc/55/22/21/350552221.db2.gz XJPGLNFBZLCOQO-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC[C@@H]1CC=CCC1 ZINC000600390523 350552226 /nfs/dbraw/zinc/55/22/26/350552226.db2.gz DNNNOMSCBMROOL-GFCCVEGCSA-N 0 0 289.335 2.774 20 5 CFBDRN Cc1ncccc1CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000600392352 350552880 /nfs/dbraw/zinc/55/28/80/350552880.db2.gz YPYLTASTNOKAGQ-LLVKDONJSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1ncccc1CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000600392354 350553214 /nfs/dbraw/zinc/55/32/14/350553214.db2.gz YPYLTASTNOKAGQ-NSHDSACASA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000600446501 350556335 /nfs/dbraw/zinc/55/63/35/350556335.db2.gz NBXNJZYNJKPNSD-VXDIOVFMSA-N 0 0 298.364 2.506 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC(F)(F)C[C@H]1C ZINC000600450399 350557187 /nfs/dbraw/zinc/55/71/87/350557187.db2.gz VSJJTDCLMOZANE-MRVPVSSYSA-N 0 0 299.277 2.558 20 5 CFBDRN C/C=C/CNC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000600494080 350559137 /nfs/dbraw/zinc/55/91/37/350559137.db2.gz RAHSYZYYMFUOLC-NSCUHMNNSA-N 0 0 289.335 2.501 20 5 CFBDRN Cc1nn(Cc2ncccc2Cl)c(C)c1[N+](=O)[O-] ZINC000600510940 350560648 /nfs/dbraw/zinc/56/06/48/350560648.db2.gz YHDNARKNPPSURX-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN CCO[C@H](COc1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000600522759 350562922 /nfs/dbraw/zinc/56/29/22/350562922.db2.gz JAMVQACGTGFGCT-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN CCCOCn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000600530411 350565255 /nfs/dbraw/zinc/56/52/55/350565255.db2.gz AIZRZWVRYYPXFD-UHFFFAOYSA-N 0 0 269.688 2.982 20 5 CFBDRN CC(=O)c1ccc(OCCCc2cnoc2)c([N+](=O)[O-])c1 ZINC000600535067 350566478 /nfs/dbraw/zinc/56/64/78/350566478.db2.gz JQTXAWNJYUZSHW-UHFFFAOYSA-N 0 0 290.275 2.797 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCCCc1cnoc1 ZINC000600537139 350567509 /nfs/dbraw/zinc/56/75/09/350567509.db2.gz XVDAAMKQDIYKMH-UHFFFAOYSA-N 0 0 266.228 2.734 20 5 CFBDRN CCO[C@@H](COc1ccc(C(C)=O)cc1[N+](=O)[O-])C1CC1 ZINC000600538265 350567600 /nfs/dbraw/zinc/56/76/00/350567600.db2.gz VFZPVQSSLPGJNP-HNNXBMFYSA-N 0 0 293.319 2.991 20 5 CFBDRN CCn1nc(C)cc1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000600607812 350579596 /nfs/dbraw/zinc/57/95/96/350579596.db2.gz NIYLJQPVJPLRKC-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CCn1nc(C)cc1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000600607128 350579879 /nfs/dbraw/zinc/57/98/79/350579879.db2.gz YWXPGCXOYIIFSC-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1nc(NC[C@H](C)c2nccs2)ccc1[N+](=O)[O-] ZINC000230607482 539802942 /nfs/dbraw/zinc/80/29/42/539802942.db2.gz GJRZWXLCECMHIY-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H]1CCCS1 ZINC000129799621 187454217 /nfs/dbraw/zinc/45/42/17/187454217.db2.gz NQONLWJSYKBXGQ-MRVPVSSYSA-N 0 0 273.745 2.951 20 5 CFBDRN Cc1nccn1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000108657979 186226435 /nfs/dbraw/zinc/22/64/35/186226435.db2.gz LMTLQOGRZCKHGH-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN C[C@@H]1CC(C(=O)[O-])C[C@@H](C)[NH+]1Cc1ccccc1[N+](=O)[O-] ZINC000600676658 350595459 /nfs/dbraw/zinc/59/54/59/350595459.db2.gz ZFVIIIRIFYMJBU-GHMZBOCLSA-N 0 0 292.335 2.668 20 5 CFBDRN C[C@H]1CC(C(=O)[O-])C[C@H](C)[NH+]1Cc1csc([N+](=O)[O-])c1 ZINC000600676481 350595933 /nfs/dbraw/zinc/59/59/33/350595933.db2.gz XNSFRQPJDJZMQZ-IUCAKERBSA-N 0 0 298.364 2.730 20 5 CFBDRN COc1cc(-c2nc(-c3ccccn3)no2)ccc1[N+](=O)[O-] ZINC000109498599 186257656 /nfs/dbraw/zinc/25/76/56/186257656.db2.gz KAHJAHQEHIHHHO-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN O=C(N1CCCC1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600886878 350623775 /nfs/dbraw/zinc/62/37/75/350623775.db2.gz SNXUBZZEVDDHES-UHFFFAOYSA-N 0 0 274.320 2.639 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC(Cc2cccs2)C1 ZINC000600762120 350607101 /nfs/dbraw/zinc/60/71/01/350607101.db2.gz DCPAMEUOAHBQHS-UHFFFAOYSA-N 0 0 292.316 2.564 20 5 CFBDRN CCCCN(CCOC)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000109218319 186245921 /nfs/dbraw/zinc/24/59/21/186245921.db2.gz AGSYPPLRQOOLBI-UHFFFAOYSA-N 0 0 294.351 2.792 20 5 CFBDRN CCc1csc(-c2cc([N+](=O)[O-])ccc2CO)n1 ZINC000600783348 350610656 /nfs/dbraw/zinc/61/06/56/350610656.db2.gz STMAEGDHRJZPNG-UHFFFAOYSA-N 0 0 264.306 2.773 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@H]1CCCC12CC2 ZINC000600800803 350614454 /nfs/dbraw/zinc/61/44/54/350614454.db2.gz DQABKKLOIXUJKO-ZDUSSCGKSA-N 0 0 288.347 2.834 20 5 CFBDRN CC(C)COCCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600966660 350638572 /nfs/dbraw/zinc/63/85/72/350638572.db2.gz SEDAQABTBQYHRK-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN C[C@@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC000110181295 186287807 /nfs/dbraw/zinc/28/78/07/186287807.db2.gz XLPPYNCLXRHMAX-SSDOTTSWSA-N 0 0 268.700 2.777 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601031336 350647750 /nfs/dbraw/zinc/64/77/50/350647750.db2.gz HTWRORQWKBTLKC-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN CN(CC1CCC1)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000110465439 186297168 /nfs/dbraw/zinc/29/71/68/186297168.db2.gz NKZUGCRKCYSOTN-UHFFFAOYSA-N 0 0 294.376 2.945 20 5 CFBDRN CCc1nc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)cs1 ZINC000110503637 186300315 /nfs/dbraw/zinc/30/03/15/186300315.db2.gz QFVVWVGFYARVPA-SSDOTTSWSA-N 0 0 295.320 2.698 20 5 CFBDRN CCCC[S@@](=O)CCCOc1ccc([N+](=O)[O-])cc1 ZINC000600914087 350629480 /nfs/dbraw/zinc/62/94/80/350629480.db2.gz ABJIDBUKAWIVPV-LJQANCHMSA-N 0 0 285.365 2.913 20 5 CFBDRN CC1(C)CCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601117046 350673151 /nfs/dbraw/zinc/67/31/51/350673151.db2.gz WKPDASFECTXOFP-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCCC2(CCOCC2)C1 ZINC000601120101 350673835 /nfs/dbraw/zinc/67/38/35/350673835.db2.gz ZXPDHLINJSDAQP-UHFFFAOYSA-N 0 0 295.314 2.526 20 5 CFBDRN COC[C@@H]1CCN(c2ccnc3ccc([N+](=O)[O-])cc32)C1 ZINC000601120686 350673972 /nfs/dbraw/zinc/67/39/72/350673972.db2.gz KBOPUIMSGSRIKR-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CCO)CC2)cc1C(F)(F)F ZINC000431505186 383678542 /nfs/dbraw/zinc/67/85/42/383678542.db2.gz INPDAFOATQNSHE-UHFFFAOYSA-N 0 0 290.241 2.941 20 5 CFBDRN CCOC(=O)C1(CNc2ccsc2[N+](=O)[O-])CCC1 ZINC000601122511 350674581 /nfs/dbraw/zinc/67/45/81/350674581.db2.gz YHTKTUYPRAINDF-UHFFFAOYSA-N 0 0 284.337 2.802 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CCO[C@@H]1C ZINC000601079641 350661118 /nfs/dbraw/zinc/66/11/18/350661118.db2.gz AKZIZDZPRKDEPE-MNOVXSKESA-N 0 0 251.282 2.707 20 5 CFBDRN COc1cc(COc2cccc(C)c2[N+](=O)[O-])sn1 ZINC000601080632 350661562 /nfs/dbraw/zinc/66/15/62/350661562.db2.gz NPKVHMHNYPEVCD-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN Cc1nn(C[C@H]2CCO[C@@H]2C)c2ccc([N+](=O)[O-])cc12 ZINC000601079901 350661603 /nfs/dbraw/zinc/66/16/03/350661603.db2.gz GAKRBZWFNBKDFG-GHMZBOCLSA-N 0 0 275.308 2.678 20 5 CFBDRN Cc1cccc(OC[C@H]2CCO[C@@H]2C)c1[N+](=O)[O-] ZINC000601079913 350661644 /nfs/dbraw/zinc/66/16/44/350661644.db2.gz GDOPDOYZHQTNGU-GHMZBOCLSA-N 0 0 251.282 2.707 20 5 CFBDRN CC1(C)CC(n2cc(Cl)cc([N+](=O)[O-])c2=O)C1 ZINC000601080394 350661759 /nfs/dbraw/zinc/66/17/59/350661759.db2.gz MESRAJNBIFXVJJ-UHFFFAOYSA-N 0 0 256.689 2.771 20 5 CFBDRN C[C@@H]1OCC[C@H]1CSc1ccc([N+](=O)[O-])cn1 ZINC000601081075 350662090 /nfs/dbraw/zinc/66/20/90/350662090.db2.gz SYJQWXAEOPITCL-IUCAKERBSA-N 0 0 254.311 2.507 20 5 CFBDRN CCCCC(=O)COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000601081136 350662226 /nfs/dbraw/zinc/66/22/26/350662226.db2.gz KPHOMIXLXHKCLI-UHFFFAOYSA-N 0 0 267.281 2.742 20 5 CFBDRN CCCCC(=O)COc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000601081163 350662357 /nfs/dbraw/zinc/66/23/57/350662357.db2.gz ZHHOBPPCVIXCID-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CCCCC(=O)COc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC000601083856 350662851 /nfs/dbraw/zinc/66/28/51/350662851.db2.gz PUNGXKWNNRMPRO-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN C[C@H]1OCC[C@H]1Cn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000601084719 350663641 /nfs/dbraw/zinc/66/36/41/350663641.db2.gz AFNWQCPKARZCBY-NEPJUHHUSA-N 0 0 287.319 2.883 20 5 CFBDRN CCCCC(=O)COc1cc(OC)ccc1[N+](=O)[O-] ZINC000601085183 350663675 /nfs/dbraw/zinc/66/36/75/350663675.db2.gz CCPORVRHPFMTNY-UHFFFAOYSA-N 0 0 267.281 2.742 20 5 CFBDRN CN(C[C@H]1CCOC1)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601124978 350675205 /nfs/dbraw/zinc/67/52/05/350675205.db2.gz LEJMKHMTIUFOSA-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CCOC(=O)CCSCc1cccc([N+](=O)[O-])c1 ZINC000601091242 350665715 /nfs/dbraw/zinc/66/57/15/350665715.db2.gz JDZJVFTYICXQCZ-UHFFFAOYSA-N 0 0 269.322 2.781 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@@H]1CC1(C)C ZINC000601105783 350669998 /nfs/dbraw/zinc/66/99/98/350669998.db2.gz IEMUGZVVHVWTBO-RXMQYKEDSA-N 0 0 278.234 2.558 20 5 CFBDRN C[C@H]1CCC[C@H]1Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601114278 350671787 /nfs/dbraw/zinc/67/17/87/350671787.db2.gz OUELETQRUJNBAB-NKWVEPMBSA-N 0 0 292.261 2.948 20 5 CFBDRN CNC(=O)c1ccc(N[C@]23C[C@H]2CCCC3)c([N+](=O)[O-])c1 ZINC000601225679 350702727 /nfs/dbraw/zinc/70/27/27/350702727.db2.gz IFTQQJODETVOMU-IAQYHMDHSA-N 0 0 289.335 2.699 20 5 CFBDRN CNC(=O)c1ccc(N[C@@]23C[C@@H]2CCCC3)c([N+](=O)[O-])c1 ZINC000601225681 350702930 /nfs/dbraw/zinc/70/29/30/350702930.db2.gz IFTQQJODETVOMU-NHYWBVRUSA-N 0 0 289.335 2.699 20 5 CFBDRN CC[C@@]1(O)CCCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000601234900 350706301 /nfs/dbraw/zinc/70/63/01/350706301.db2.gz ZCXCVUFFYXTLLS-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN C[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])[C@@H](O)C(F)(F)F ZINC000601237648 350706942 /nfs/dbraw/zinc/70/69/42/350706942.db2.gz JQVCLOGHCZXVPM-MLUIRONXSA-N 0 0 298.648 2.972 20 5 CFBDRN COC(=O)c1oc(CNc2sccc2[N+](=O)[O-])cc1C ZINC000601237892 350707152 /nfs/dbraw/zinc/70/71/52/350707152.db2.gz NRBXSSUXOXPWRM-UHFFFAOYSA-N 0 0 296.304 2.956 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2([C@H]3CCCCO3)CCC2)nc1 ZINC000601241640 350707821 /nfs/dbraw/zinc/70/78/21/350707821.db2.gz BNYJIZAAECRGHK-GFCCVEGCSA-N 0 0 292.339 2.536 20 5 CFBDRN Cc1cc(N2CCC(C)(F)CC2)ncc1[N+](=O)[O-] ZINC000601245985 350708558 /nfs/dbraw/zinc/70/85/58/350708558.db2.gz IZMJOQCQOZZYNE-UHFFFAOYSA-N 0 0 253.277 2.627 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CCC2)[C@H]2CCCO2)nc1 ZINC000601250569 350709604 /nfs/dbraw/zinc/70/96/04/350709604.db2.gz LJNBRGYKLFAZDZ-OCCSQVGLSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CCC2)[C@@H]2CCCO2)cn1 ZINC000601251141 350709672 /nfs/dbraw/zinc/70/96/72/350709672.db2.gz SBSLEVTWPNRPHZ-JSGCOSHPSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CCC2)[C@H]2CCCO2)nc1 ZINC000601250571 350709798 /nfs/dbraw/zinc/70/97/98/350709798.db2.gz LJNBRGYKLFAZDZ-TZMCWYRMSA-N 0 0 277.324 2.749 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2OC3CCC2CC3)n1 ZINC000601273080 350714450 /nfs/dbraw/zinc/71/44/50/350714450.db2.gz OZHPPZSFEUHYKN-UHFFFAOYSA-N 0 0 263.297 2.669 20 5 CFBDRN CC1CC(NC(=O)C2(c3ccc([N+](=O)[O-])cc3)CCC2)C1 ZINC000601273017 350714491 /nfs/dbraw/zinc/71/44/91/350714491.db2.gz OUDQZOVHTBPQIU-UHFFFAOYSA-N 0 0 288.347 2.931 20 5 CFBDRN CC(C)[C@H]1CN(c2ncc(F)cc2[N+](=O)[O-])CCS1 ZINC000601124459 350675469 /nfs/dbraw/zinc/67/54/69/350675469.db2.gz GIAWCMHYAJPFBD-LLVKDONJSA-N 0 0 285.344 2.707 20 5 CFBDRN CCCCCOC1CN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601125908 350676027 /nfs/dbraw/zinc/67/60/27/350676027.db2.gz LAFPBSJQBWUFQW-UHFFFAOYSA-N 0 0 283.303 2.524 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC(CC(F)(F)F)C1 ZINC000601129594 350676558 /nfs/dbraw/zinc/67/65/58/350676558.db2.gz KKRHPBQOKNYKGZ-UHFFFAOYSA-N 0 0 279.193 2.518 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@]4(CCOC4)C3)c2c1 ZINC000601129261 350676564 /nfs/dbraw/zinc/67/65/64/350676564.db2.gz OUGJRWBIFAECKN-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN CC[C@@]1(C)CCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601129640 350676964 /nfs/dbraw/zinc/67/69/64/350676964.db2.gz VIOWZYBDVXGJFP-LBPRGKRZSA-N 0 0 253.277 2.755 20 5 CFBDRN CC(C)[C@H](O)C(C)(C)CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601134642 350678270 /nfs/dbraw/zinc/67/82/70/350678270.db2.gz IXJHJJXYGBCDTK-NSHDSACASA-N 0 0 285.319 2.584 20 5 CFBDRN C[C@@H](CCO)C1(CNc2ncc(F)cc2[N+](=O)[O-])CCC1 ZINC000601137937 350680044 /nfs/dbraw/zinc/68/00/44/350680044.db2.gz KPVQGBUOYKTECG-JTQLQIEISA-N 0 0 297.330 2.730 20 5 CFBDRN CCC[C@@H](C)C[NH2+][C@](C)(CO)c1cccc([N+](=O)[O-])c1 ZINC000601150343 350682751 /nfs/dbraw/zinc/68/27/51/350682751.db2.gz WBBZFALEMUWEQT-IUODEOHRSA-N 0 0 280.368 2.828 20 5 CFBDRN C[C@](CO)([NH2+]CC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000601150303 350682989 /nfs/dbraw/zinc/68/29/89/350682989.db2.gz VARJQSJEMCMHMQ-OAHLLOKOSA-N 0 0 278.352 2.582 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601158992 350684498 /nfs/dbraw/zinc/68/44/98/350684498.db2.gz AQAFHJZVRDNSQL-MRVPVSSYSA-N 0 0 266.272 2.510 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC(C2CCCC2)C1 ZINC000601158129 350684507 /nfs/dbraw/zinc/68/45/07/350684507.db2.gz NAWMRKGSAODJCF-UHFFFAOYSA-N 0 0 265.288 2.755 20 5 CFBDRN CSC1(CNc2ccnc3ccc([N+](=O)[O-])cc32)CC1 ZINC000601156096 350684619 /nfs/dbraw/zinc/68/46/19/350684619.db2.gz LFQBXDYKUYXJTL-UHFFFAOYSA-N 0 0 289.360 2.872 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H]2C[C@H]2C(F)(F)F)c(F)c1 ZINC000601160053 350685412 /nfs/dbraw/zinc/68/54/12/350685412.db2.gz QTDOSCGTCTVLON-PSASIEDQSA-N 0 0 278.205 2.774 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCC1CC(F)(F)C1 ZINC000601173397 350688856 /nfs/dbraw/zinc/68/88/56/350688856.db2.gz ZWMMHUGKIRMZGI-UHFFFAOYSA-N 0 0 275.230 2.976 20 5 CFBDRN CC[C@H]1CN(c2ncc(F)cc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000601174382 350689753 /nfs/dbraw/zinc/68/97/53/350689753.db2.gz ABHMBMVJFNMGHZ-QWRGUYRKSA-N 0 0 283.303 2.523 20 5 CFBDRN C[C@@H](Nc1nc2c(cc1[N+](=O)[O-])CCCC2)c1cnccn1 ZINC000601184638 350691500 /nfs/dbraw/zinc/69/15/00/350691500.db2.gz NGGQWAZDBJFWQC-SNVBAGLBSA-N 0 0 299.334 2.832 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC[C@H]2CC[C@@H](C1)S2 ZINC000601200562 350695460 /nfs/dbraw/zinc/69/54/60/350695460.db2.gz DCIHLVCIZBMGSC-ZJUUUORDSA-N 0 0 283.328 2.603 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NCC1CC=CC1 ZINC000601202176 350696044 /nfs/dbraw/zinc/69/60/44/350696044.db2.gz ZCPDNZWBQPWYNV-UHFFFAOYSA-N 0 0 290.245 2.725 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NCC(C)(C)F ZINC000601206419 350697048 /nfs/dbraw/zinc/69/70/48/350697048.db2.gz YPXZVZFDYUKFEF-UHFFFAOYSA-N 0 0 284.213 2.507 20 5 CFBDRN CSC1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000601206950 350697590 /nfs/dbraw/zinc/69/75/90/350697590.db2.gz OEWYDWHKMXKPBE-UHFFFAOYSA-N 0 0 293.392 2.895 20 5 CFBDRN COC(=O)C(C)(C)CSc1ncc(C)cc1[N+](=O)[O-] ZINC000601212609 350698730 /nfs/dbraw/zinc/69/87/30/350698730.db2.gz LBLGGFZAHJSVRW-UHFFFAOYSA-N 0 0 284.337 2.590 20 5 CFBDRN CCC[C@@H](CCO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000601211710 350698745 /nfs/dbraw/zinc/69/87/45/350698745.db2.gz JZCCOJUTVFBPKT-QMMMGPOBSA-N 0 0 274.267 2.836 20 5 CFBDRN CCC[C@@H](CCO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000601213211 350699442 /nfs/dbraw/zinc/69/94/42/350699442.db2.gz ZBRLHVNFNRHYNL-VIFPVBQESA-N 0 0 273.720 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCCO)cc1OC(F)F ZINC000601216588 350700082 /nfs/dbraw/zinc/70/00/82/350700082.db2.gz FSQFJRGJPLAPKR-UHFFFAOYSA-N 0 0 279.264 2.671 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCC[C@H](O)C(F)F ZINC000601222463 350701488 /nfs/dbraw/zinc/70/14/88/350701488.db2.gz LJSLYQPEERSDFP-VIFPVBQESA-N 0 0 280.658 2.676 20 5 CFBDRN CCOC(=O)CCSc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000601221329 350701555 /nfs/dbraw/zinc/70/15/55/350701555.db2.gz KANKNKPCPRGTQS-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN O=C(N1CC[C@@H](F)C1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601612185 350748302 /nfs/dbraw/zinc/74/83/02/350748302.db2.gz LCXPLBQMOPGUNW-GFCCVEGCSA-N 0 0 292.310 2.587 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000601649141 350752647 /nfs/dbraw/zinc/75/26/47/350752647.db2.gz YQOWSDZDZHWHSZ-QWRGUYRKSA-N 0 0 292.310 2.900 20 5 CFBDRN CC(C)(F)CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000601687581 350759427 /nfs/dbraw/zinc/75/94/27/350759427.db2.gz GSPOUOJYDXUPON-UHFFFAOYSA-N 0 0 288.706 2.655 20 5 CFBDRN CN(CC1CCC1)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000111069654 186351046 /nfs/dbraw/zinc/35/10/46/186351046.db2.gz KISVNERQUPVJPZ-UHFFFAOYSA-N 0 0 294.376 2.945 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601304879 350719997 /nfs/dbraw/zinc/71/99/97/350719997.db2.gz SSUSMSIVKCOQKV-JTQLQIEISA-N 0 0 280.299 2.852 20 5 CFBDRN CC1(F)CN(C(=O)C2(c3ccc([N+](=O)[O-])cc3)CCC2)C1 ZINC000601540247 350737988 /nfs/dbraw/zinc/73/79/88/350737988.db2.gz GFBCMANRZRBIGB-UHFFFAOYSA-N 0 0 292.310 2.587 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601554937 350739345 /nfs/dbraw/zinc/73/93/45/350739345.db2.gz BLDZGEWQJUGBGQ-ZJUUUORDSA-N 0 0 280.299 2.900 20 5 CFBDRN CCC1(C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601594594 350744643 /nfs/dbraw/zinc/74/46/43/350744643.db2.gz ZILOGROVTNDCKN-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)[C@H](C)O1 ZINC000601760769 350772534 /nfs/dbraw/zinc/77/25/34/350772534.db2.gz JXIBITLBIMHHQO-RGNHYFCHSA-N 0 0 298.726 2.544 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)[C@@H](C)O1 ZINC000601760766 350772677 /nfs/dbraw/zinc/77/26/77/350772677.db2.gz JXIBITLBIMHHQO-DNSOKLHBSA-N 0 0 298.726 2.544 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000601768827 350773522 /nfs/dbraw/zinc/77/35/22/350773522.db2.gz OPCBMFJNEFBYLK-DMDPSCGWSA-N 0 0 292.335 2.507 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCCC2)c1cc(F)cc([N+](=O)[O-])c1 ZINC000601778915 350775160 /nfs/dbraw/zinc/77/51/60/350775160.db2.gz WDJXLHYNWRVPCU-QMTHXVAHSA-N 0 0 278.283 2.796 20 5 CFBDRN CC1(F)CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000601866437 350794236 /nfs/dbraw/zinc/79/42/36/350794236.db2.gz DDKMYXIHFXZPNK-UHFFFAOYSA-N 0 0 284.262 2.698 20 5 CFBDRN COc1ccc(C(=O)N2CCC(C)(F)CC2)cc1[N+](=O)[O-] ZINC000601869574 350795524 /nfs/dbraw/zinc/79/55/24/350795524.db2.gz SFPGLPVJMMCQKS-UHFFFAOYSA-N 0 0 296.298 2.568 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000601870090 350795592 /nfs/dbraw/zinc/79/55/92/350795592.db2.gz LTTWKHRXAAEMCR-QMMMGPOBSA-N 0 0 295.314 2.625 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000601872340 350796297 /nfs/dbraw/zinc/79/62/97/350796297.db2.gz YAKYKJXESHIBNR-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2C[C@H]2C(F)(F)F)cc1[N+](=O)[O-] ZINC000601891854 350798821 /nfs/dbraw/zinc/79/88/21/350798821.db2.gz FSKOWSLPPKTKIG-RKDXNWHRSA-N 0 0 288.225 2.584 20 5 CFBDRN Cc1csc(CSCCn2c(C)ncc2[N+](=O)[O-])n1 ZINC000601917546 350801369 /nfs/dbraw/zinc/80/13/69/350801369.db2.gz OTKPDSASNQJDDQ-UHFFFAOYSA-N 0 0 298.393 2.798 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1ccc([N+](=O)[O-])c2ncccc12 ZINC000601929232 350804410 /nfs/dbraw/zinc/80/44/10/350804410.db2.gz ZJSVQJUZGGVLNB-MNOVXSKESA-N 0 0 288.303 2.947 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COc1ccc([N+](=O)[O-])c2ncccc12 ZINC000601929236 350804513 /nfs/dbraw/zinc/80/45/13/350804513.db2.gz ZJSVQJUZGGVLNB-WDEREUQCSA-N 0 0 288.303 2.947 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H]1CCO[C@H]1C ZINC000601927148 350804632 /nfs/dbraw/zinc/80/46/32/350804632.db2.gz MROIVERMMDWWIM-WDEREUQCSA-N 0 0 279.292 2.601 20 5 CFBDRN Cc1cc(-c2ccc([N+](=O)[O-])cc2)n(C[C@@H]2CCCO2)n1 ZINC000601939305 350810551 /nfs/dbraw/zinc/81/05/51/350810551.db2.gz NGLKDSXPXUALQU-AWEZNQCLSA-N 0 0 287.319 2.946 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2Cl)C(=O)[C@H]1C1CC1 ZINC000601941522 350811071 /nfs/dbraw/zinc/81/10/71/350811071.db2.gz YPIBTVCMNMFRRM-GFCCVEGCSA-N 0 0 293.710 2.997 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1CC(OC)C1 ZINC000602344573 350867683 /nfs/dbraw/zinc/86/76/83/350867683.db2.gz OTFDHBCFCWSUIC-UHFFFAOYSA-N 0 0 278.308 2.521 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCN1C1CCCC1 ZINC000602027461 350834936 /nfs/dbraw/zinc/83/49/36/350834936.db2.gz SRGDRGVPSZCXQR-AWEZNQCLSA-N 0 0 289.335 2.550 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000602028069 350836197 /nfs/dbraw/zinc/83/61/97/350836197.db2.gz YKFIGBHRDUSANE-LBPRGKRZSA-N 0 0 280.324 2.639 20 5 CFBDRN CC[C@H](NCc1cc(C)ncn1)c1cccc([N+](=O)[O-])c1 ZINC000271416372 191125546 /nfs/dbraw/zinc/12/55/46/191125546.db2.gz BFOHYRQHMYUKEM-HNNXBMFYSA-N 0 0 286.335 2.934 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H](C)O[C@@H]1C ZINC000602340347 350866132 /nfs/dbraw/zinc/86/61/32/350866132.db2.gz DJKHFNHQRRSFIB-OPQQBVKSSA-N 0 0 292.335 2.909 20 5 CFBDRN Cn1cc(CN2CC=C(C(C)(C)C)CC2)c([N+](=O)[O-])n1 ZINC000602496047 350905780 /nfs/dbraw/zinc/90/57/80/350905780.db2.gz ZYJSMPASCGCIIT-UHFFFAOYSA-N 0 0 278.356 2.507 20 5 CFBDRN C/C=C\CNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000602376636 350871656 /nfs/dbraw/zinc/87/16/56/350871656.db2.gz WDSGFNIPPKPLHG-PLNGDYQASA-N 0 0 277.324 2.705 20 5 CFBDRN Cc1ccc(NC(=O)NCC(C)(C)F)cc1[N+](=O)[O-] ZINC000602388049 350872979 /nfs/dbraw/zinc/87/29/79/350872979.db2.gz KZFDZHLZFLZBQZ-UHFFFAOYSA-N 0 0 269.276 2.773 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2C[C@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000602395639 350874008 /nfs/dbraw/zinc/87/40/08/350874008.db2.gz GDLBOQCZNUUEKE-PTOFAABTSA-N 0 0 293.323 2.591 20 5 CFBDRN Cn1c(C(=O)N2c3ccccc3CC2(C)C)ccc1[N+](=O)[O-] ZINC000602401765 350874986 /nfs/dbraw/zinc/87/49/86/350874986.db2.gz OPNRJXGIKUAHNE-UHFFFAOYSA-N 0 0 299.330 2.915 20 5 CFBDRN Cc1cc(C)cc(CN(C)Cc2cn(C)nc2[N+](=O)[O-])c1 ZINC000602633690 350954407 /nfs/dbraw/zinc/95/44/07/350954407.db2.gz NSNVNHPQTVMIBV-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CC=CC1 ZINC000602800088 351030495 /nfs/dbraw/zinc/03/04/95/351030495.db2.gz JGNMZANGUSRINO-UHFFFAOYSA-N 0 0 299.233 2.600 20 5 CFBDRN CC[C@H](NCc1cn(C)nc1[N+](=O)[O-])c1ccccc1F ZINC000602867025 351051835 /nfs/dbraw/zinc/05/18/35/351051835.db2.gz PYIQHQWMNYRNJM-ZDUSSCGKSA-N 0 0 292.314 2.708 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC[C@H]1c1cn[nH]c1 ZINC000602883070 351057446 /nfs/dbraw/zinc/05/74/46/351057446.db2.gz VYUQDHXYWCWUJV-ZDUSSCGKSA-N 0 0 272.308 2.655 20 5 CFBDRN Cc1nocc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000603090300 351103445 /nfs/dbraw/zinc/10/34/45/351103445.db2.gz GYXFOOBUQHZTME-UHFFFAOYSA-N 0 0 289.291 2.808 20 5 CFBDRN CC(C)/C=C\c1cn(Cc2ccccc2[N+](=O)[O-])nn1 ZINC000603187296 351115702 /nfs/dbraw/zinc/11/57/02/351115702.db2.gz QPJZVMLQFXRSAC-FPLPWBNLSA-N 0 0 272.308 2.904 20 5 CFBDRN CC(C)/C=C/c1cn(Cc2csc([N+](=O)[O-])c2)nn1 ZINC000603206300 351118168 /nfs/dbraw/zinc/11/81/68/351118168.db2.gz QBNZVDNGOQGXMO-ONEGZZNKSA-N 0 0 278.337 2.965 20 5 CFBDRN C[C@@H](NC(=O)N[C@H](C)C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000603214247 351118844 /nfs/dbraw/zinc/11/88/44/351118844.db2.gz GNTSXRDYTYWLBF-HTQZYQBOSA-N 0 0 287.266 2.609 20 5 CFBDRN Cc1n[nH]cc1CN(C)Cc1cccc([N+](=O)[O-])c1C ZINC000602937230 351070081 /nfs/dbraw/zinc/07/00/81/351070081.db2.gz IEIUQNPEUFQVAO-UHFFFAOYSA-N 0 0 274.324 2.567 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1OC2CCC1CC2 ZINC000602960201 351072389 /nfs/dbraw/zinc/07/23/89/351072389.db2.gz WICUVMWEFFQJLU-UHFFFAOYSA-N 0 0 291.307 2.994 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)N[C@H](C)CCC(C)C ZINC000602959519 351072595 /nfs/dbraw/zinc/07/25/95/351072595.db2.gz PMEWPDOQWBGLHN-LLVKDONJSA-N 0 0 294.355 2.666 20 5 CFBDRN COc1cc(CN2OC3CCC2CC3)ccc1[N+](=O)[O-] ZINC000603033034 351093363 /nfs/dbraw/zinc/09/33/63/351093363.db2.gz VGQCRBBZBAJXPZ-UHFFFAOYSA-N 0 0 278.308 2.662 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(CCC(C)(C)C2CC2)c1=O ZINC000603364304 351147520 /nfs/dbraw/zinc/14/75/20/351147520.db2.gz BNTOZNIWWXTHHF-UHFFFAOYSA-N 0 0 280.324 2.591 20 5 CFBDRN CCC[C@@H]1C(=O)N(c2cccc([N+](=O)[O-])c2)N=C1C ZINC000603923720 351192139 /nfs/dbraw/zinc/19/21/39/351192139.db2.gz MNYQNNKLAROYGK-LBPRGKRZSA-N 0 0 261.281 2.734 20 5 CFBDRN Cc1nn(C)c(-c2nc(-c3ccccc3C)no2)c1[N+](=O)[O-] ZINC000113730389 186593207 /nfs/dbraw/zinc/59/32/07/186593207.db2.gz GQSLPDFKQDWLHF-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN CCCc1ccccc1OCc1cn(C)nc1[N+](=O)[O-] ZINC000603426929 351162245 /nfs/dbraw/zinc/16/22/45/351162245.db2.gz RUDNZEPGAAYQTI-UHFFFAOYSA-N 0 0 275.308 2.860 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1F ZINC000603518445 351171817 /nfs/dbraw/zinc/17/18/17/351171817.db2.gz SHUXWCSMKXEGMD-UHFFFAOYSA-N 0 0 291.282 2.739 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C)[nH]c1C ZINC000603616474 351175663 /nfs/dbraw/zinc/17/56/63/351175663.db2.gz AZQWMEAKZCIVKH-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN Cc1cc(NC(=O)NCC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000603840177 351184710 /nfs/dbraw/zinc/18/47/10/351184710.db2.gz MUANQTHIIDVFSH-GFCCVEGCSA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1cc(NC[C@H](CO)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000603845887 351185468 /nfs/dbraw/zinc/18/54/68/351185468.db2.gz OGVWIUZBAZNXJU-GFCCVEGCSA-N 0 0 290.319 2.759 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc(N2CCCC2)nc1 ZINC000603848743 351185479 /nfs/dbraw/zinc/18/54/79/351185479.db2.gz SWBFADQTEUHXNY-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN Cc1ncc2c(n1)CCN(Cc1cccc([N+](=O)[O-])c1C)C2 ZINC000603847838 351185553 /nfs/dbraw/zinc/18/55/53/351185553.db2.gz ZOMDGULISVQQBX-UHFFFAOYSA-N 0 0 298.346 2.560 20 5 CFBDRN C[C@@H](CNc1cccnc1[N+](=O)[O-])N1CCc2ccccc21 ZINC000603849967 351186661 /nfs/dbraw/zinc/18/66/61/351186661.db2.gz NUAWAELKHDIMTQ-LBPRGKRZSA-N 0 0 298.346 2.853 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1cc(C2CC2)ncn1 ZINC000603273831 351128715 /nfs/dbraw/zinc/12/87/15/351128715.db2.gz YXSWRJLKKYIQKG-UHFFFAOYSA-N 0 0 284.319 2.899 20 5 CFBDRN COc1cccc(SCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000603313810 351137432 /nfs/dbraw/zinc/13/74/32/351137432.db2.gz GYUVAWJKVXLARM-UHFFFAOYSA-N 0 0 279.321 2.629 20 5 CFBDRN CCC(F)(F)Cn1nc(C)c2cc([N+](=O)[O-])cnc21 ZINC000603317684 351138026 /nfs/dbraw/zinc/13/80/26/351138026.db2.gz AFWLDRARBASHLC-UHFFFAOYSA-N 0 0 270.239 2.693 20 5 CFBDRN Cc1nn(C)c(Nc2ccc3ncsc3c2)c1[N+](=O)[O-] ZINC000114708821 186636855 /nfs/dbraw/zinc/63/68/55/186636855.db2.gz MOEXYLWTZFIKDN-UHFFFAOYSA-N 0 0 289.320 2.990 20 5 CFBDRN CCc1noc(CNc2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000604004137 351196248 /nfs/dbraw/zinc/19/62/48/351196248.db2.gz LHNIBKGBQJIOKG-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN CN(Cc1cccc(O)c1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000604179775 351200524 /nfs/dbraw/zinc/20/05/24/351200524.db2.gz OQSDCXZYUBSODU-UHFFFAOYSA-N 0 0 286.287 2.573 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCN1CCCc2ccccc21 ZINC000604303692 351205403 /nfs/dbraw/zinc/20/54/03/351205403.db2.gz SUSXTQMSTLJYQV-UHFFFAOYSA-N 0 0 298.346 2.855 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc2[nH]c(=O)oc2c1 ZINC000604383030 351209846 /nfs/dbraw/zinc/20/98/46/351209846.db2.gz MFASUGGTCYDRGA-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN CCCC(=O)NC1CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000604409291 351211387 /nfs/dbraw/zinc/21/13/87/351211387.db2.gz PXYFASWNJYRZRD-UHFFFAOYSA-N 0 0 297.380 2.541 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000604428630 351212418 /nfs/dbraw/zinc/21/24/18/351212418.db2.gz JNCVFHFZNVMSTI-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1cccc2c1CCN2c1cccnc1[N+](=O)[O-] ZINC000604498540 351215151 /nfs/dbraw/zinc/21/51/51/351215151.db2.gz AGSBVKUGJICXOF-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN CCC[C@H](C)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000604566756 351220053 /nfs/dbraw/zinc/22/00/53/351220053.db2.gz SQHDUSIIRPKFKP-VIFPVBQESA-N 0 0 266.297 2.522 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000271431119 191134063 /nfs/dbraw/zinc/13/40/63/191134063.db2.gz MYUDWQDFGSCJMW-NEPJUHHUSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@@H]1CCCO1 ZINC000118296098 186769136 /nfs/dbraw/zinc/76/91/36/186769136.db2.gz AFHWAQJULHBXBC-JTQLQIEISA-N 0 0 285.683 2.641 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000416976258 233642189 /nfs/dbraw/zinc/64/21/89/233642189.db2.gz QHMGYRUIEIPURT-MWLCHTKSSA-N 0 0 295.364 2.919 20 5 CFBDRN CCC[C@@H]1SCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000118651517 186779902 /nfs/dbraw/zinc/77/99/02/186779902.db2.gz UFOZLLNNWDUWJU-LBPRGKRZSA-N 0 0 280.349 2.910 20 5 CFBDRN Cc1ccc2c(c1)[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)CC2 ZINC000609289448 351495006 /nfs/dbraw/zinc/49/50/06/351495006.db2.gz ROAJNHJDHKYINS-CYBMUJFWSA-N 0 0 285.303 2.649 20 5 CFBDRN Cc1ccc2c(c1)[C@H](NC(=O)c1cc([N+](=O)[O-])cn1C)CC2 ZINC000609304109 351495835 /nfs/dbraw/zinc/49/58/35/351495835.db2.gz LJQBJVKAFGZWKH-CQSZACIVSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc([N+](=O)[O-])n1C)CC2 ZINC000609303708 351496257 /nfs/dbraw/zinc/49/62/57/351496257.db2.gz AYCPALKCSLWBIQ-ZDUSSCGKSA-N 0 0 299.330 2.659 20 5 CFBDRN CCCc1cc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)n[nH]1 ZINC000609604263 351511075 /nfs/dbraw/zinc/51/10/75/351511075.db2.gz YLYUZHYQKKFHRP-UHFFFAOYSA-N 0 0 299.290 2.987 20 5 CFBDRN COc1ccncc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000431507885 383678741 /nfs/dbraw/zinc/67/87/41/383678741.db2.gz PIJILCQAXXJQEP-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC[C@@H](CNC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C)OC ZINC000291422503 198017461 /nfs/dbraw/zinc/01/74/61/198017461.db2.gz KOOQENVWOUOLPO-LBPRGKRZSA-N 0 0 294.351 2.675 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)C1(C(F)(F)F)CC1 ZINC000608785897 351470402 /nfs/dbraw/zinc/47/04/02/351470402.db2.gz BNVDUVOXFQGQKF-UHFFFAOYSA-N 0 0 274.198 2.876 20 5 CFBDRN Cc1ccc(NC(=O)C2(C)CCOCC2)cc1[N+](=O)[O-] ZINC000608846820 351480177 /nfs/dbraw/zinc/48/01/77/351480177.db2.gz YHYFLDUOJZQONQ-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN COC(=O)CS[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000609156102 351488453 /nfs/dbraw/zinc/48/84/53/351488453.db2.gz LMJSDUYBEYHTAJ-MRVPVSSYSA-N 0 0 255.295 2.562 20 5 CFBDRN CCC[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccccn1 ZINC000609179403 351490544 /nfs/dbraw/zinc/49/05/44/351490544.db2.gz LMSPRPYVXNEPPO-LLVKDONJSA-N 0 0 289.291 2.854 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCCc1ccc(O)cc1 ZINC000609822749 351522017 /nfs/dbraw/zinc/52/20/17/351522017.db2.gz YKNCYMQBMNJBLC-UHFFFAOYSA-N 0 0 273.292 2.740 20 5 CFBDRN O=C(Nc1c(O)cccc1F)c1csc([N+](=O)[O-])c1 ZINC000609851174 351522853 /nfs/dbraw/zinc/52/28/53/351522853.db2.gz OQBKQWGJNBPVLD-UHFFFAOYSA-N 0 0 282.252 2.753 20 5 CFBDRN C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000609880174 351526045 /nfs/dbraw/zinc/52/60/45/351526045.db2.gz AQXJDKGEVZBJNK-IJLUTSLNSA-N 0 0 291.351 2.727 20 5 CFBDRN COc1ccc(CC(=O)NC[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000610051504 351532482 /nfs/dbraw/zinc/53/24/82/351532482.db2.gz KRTHKKUXKWGILI-NSHDSACASA-N 0 0 294.351 2.554 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])s2)[C@H]2CCO[C@H]21 ZINC000610160734 351535929 /nfs/dbraw/zinc/53/59/29/351535929.db2.gz GWMXGEZDXFXAJH-AVPPRXQKSA-N 0 0 268.338 2.882 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000610168932 351536656 /nfs/dbraw/zinc/53/66/56/351536656.db2.gz VTNNHABDFDZCAP-SECBINFHSA-N 0 0 260.249 2.930 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])CCS1 ZINC000610283326 351548935 /nfs/dbraw/zinc/54/89/35/351548935.db2.gz VXWGVRCLJYSXAJ-LLVKDONJSA-N 0 0 282.365 2.935 20 5 CFBDRN Cc1cnc(N2CCNc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000610284142 351549214 /nfs/dbraw/zinc/54/92/14/351549214.db2.gz LCNCDHVAKRJDRI-UHFFFAOYSA-N 0 0 284.319 2.730 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC(C)(C)C2)c1 ZINC000610282655 351549250 /nfs/dbraw/zinc/54/92/50/351549250.db2.gz DBQBGOPXEWYEBF-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN CCO[C@@H]1C[C@H](N(C)c2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000610284260 351549263 /nfs/dbraw/zinc/54/92/63/351549263.db2.gz IORBQIXAMJATKC-QWHCGFSZSA-N 0 0 293.367 2.938 20 5 CFBDRN C[S@](=O)Cc1cccc(Nc2cccnc2[N+](=O)[O-])c1 ZINC000609670848 351515163 /nfs/dbraw/zinc/51/51/63/351515163.db2.gz UZTMVCRQJCSEIE-FQEVSTJZSA-N 0 0 291.332 2.612 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@@H]1CCc2ccccc2C1 ZINC000609765685 351518353 /nfs/dbraw/zinc/51/83/53/351518353.db2.gz KIPSLARGUBIJBX-LLVKDONJSA-N 0 0 286.335 2.545 20 5 CFBDRN CN(Cc1nc2ccccc2[nH]1)c1ccc([N+](=O)[O-])nc1 ZINC000609797121 351519481 /nfs/dbraw/zinc/51/94/81/351519481.db2.gz QJFVYBUXKBWXOP-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000610414265 351564583 /nfs/dbraw/zinc/56/45/83/351564583.db2.gz KMOIVFIQLFAGEO-UHFFFAOYSA-N 0 0 289.291 2.785 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1CCc2c1cccc2O ZINC000610489048 351569915 /nfs/dbraw/zinc/56/99/15/351569915.db2.gz QSOPHNWBKPGVCI-LLVKDONJSA-N 0 0 271.276 2.795 20 5 CFBDRN C[C@@H](CNc1cccnc1[N+](=O)[O-])c1ccncc1 ZINC000610516882 351575484 /nfs/dbraw/zinc/57/54/84/351575484.db2.gz GTLZFKLXCMGFEH-JTQLQIEISA-N 0 0 258.281 2.600 20 5 CFBDRN COC[C@H]1CCC[N@@H+]1Cc1cccc([N+](=O)[O-])c1C ZINC000610605218 351585558 /nfs/dbraw/zinc/58/55/58/351585558.db2.gz QYCNYMLDPOVDOK-CYBMUJFWSA-N 0 0 264.325 2.514 20 5 CFBDRN COC[C@H]1CCCN1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000610627873 351586538 /nfs/dbraw/zinc/58/65/38/351586538.db2.gz JLIXUSFIQVVLKG-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2ccccc2-n2cncn2)nc1 ZINC000610648482 351587399 /nfs/dbraw/zinc/58/73/99/351587399.db2.gz AUEFRPFDWJKZEY-WAYWQWQTSA-N 0 0 293.286 2.741 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2ccccc2-n2cncn2)nc1 ZINC000610648481 351587494 /nfs/dbraw/zinc/58/74/94/351587494.db2.gz AUEFRPFDWJKZEY-AATRIKPKSA-N 0 0 293.286 2.741 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1ccn(C)c(=O)c1 ZINC000610647993 351587710 /nfs/dbraw/zinc/58/77/10/351587710.db2.gz WCJCZROQFPBDJO-UHFFFAOYSA-N 0 0 287.319 2.522 20 5 CFBDRN COC(=O)CCC1CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000610651813 351588220 /nfs/dbraw/zinc/58/82/20/351588220.db2.gz DHGXOWSUTKDHDZ-UHFFFAOYSA-N 0 0 298.364 2.826 20 5 CFBDRN Cc1c(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cnn1C ZINC000610664458 351589917 /nfs/dbraw/zinc/58/99/17/351589917.db2.gz MHKFQNDNTDZUNC-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cn1c(C(=O)N2CC(C)(C)c3ccccc32)ccc1[N+](=O)[O-] ZINC000610709141 351595227 /nfs/dbraw/zinc/59/52/27/351595227.db2.gz SPDFUXBXHGFWRD-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)c2ccccc21 ZINC000610708971 351595718 /nfs/dbraw/zinc/59/57/18/351595718.db2.gz FEZBYMBDKHNCOJ-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])nc1)[C@@H]1CCCCO1 ZINC000610716715 351596225 /nfs/dbraw/zinc/59/62/25/351596225.db2.gz OPCVBABSECHBIJ-LBPRGKRZSA-N 0 0 279.340 2.997 20 5 CFBDRN Cc1nn(C)c(NCC(C)(C)[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000610716735 351596265 /nfs/dbraw/zinc/59/62/65/351596265.db2.gz POOZEOKTBNUHCT-LLVKDONJSA-N 0 0 296.371 2.644 20 5 CFBDRN C[C@@]1(c2ccccc2)CN(c2ccncc2[N+](=O)[O-])CCO1 ZINC000610734744 351599349 /nfs/dbraw/zinc/59/93/49/351599349.db2.gz JVPHGLHJJIKAIJ-INIZCTEOSA-N 0 0 299.330 2.742 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000610734989 351600330 /nfs/dbraw/zinc/60/03/30/351600330.db2.gz YPRHFKFQEPLISM-RKDXNWHRSA-N 0 0 285.731 2.870 20 5 CFBDRN Cc1cc(N[C@@H](C)C[C@H]2CCOC2)ncc1[N+](=O)[O-] ZINC000610734892 351600395 /nfs/dbraw/zinc/60/03/95/351600395.db2.gz SLXLHRDRSRDFDX-WDEREUQCSA-N 0 0 265.313 2.525 20 5 CFBDRN COCC[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000119988485 186867615 /nfs/dbraw/zinc/86/76/15/186867615.db2.gz ZDCFWRDRTUDAMV-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)C[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000610741006 351601937 /nfs/dbraw/zinc/60/19/37/351601937.db2.gz IRZUFFWMQTXCEI-PSASIEDQSA-N 0 0 298.364 2.510 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1CCOC2(CCCC2)C1 ZINC000610856262 351614032 /nfs/dbraw/zinc/61/40/32/351614032.db2.gz BWHJEVBBSNJIOP-LLVKDONJSA-N 0 0 277.324 2.894 20 5 CFBDRN Cc1cc(CNc2cccc([N+](=O)[O-])c2C)nn1C ZINC000610855932 351614054 /nfs/dbraw/zinc/61/40/54/351614054.db2.gz NOLJAOHSDHTSED-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N(C)CCOC(C)(C)C ZINC000610856202 351614097 /nfs/dbraw/zinc/61/40/97/351614097.db2.gz QRBUKBMBGXCNEN-UHFFFAOYSA-N 0 0 267.329 2.550 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC(F)(F)C1 ZINC000610783883 351607820 /nfs/dbraw/zinc/60/78/20/351607820.db2.gz OCZZLZKLEUDEFO-SECBINFHSA-N 0 0 285.250 2.904 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H]1CCC(F)(F)C1 ZINC000610787667 351608044 /nfs/dbraw/zinc/60/80/44/351608044.db2.gz SLZHGYMLDUJEKZ-JTQLQIEISA-N 0 0 299.277 2.582 20 5 CFBDRN CCOCCCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000120045550 186871484 /nfs/dbraw/zinc/87/14/84/186871484.db2.gz MJLZQRQQQDUGQW-UHFFFAOYSA-N 0 0 295.339 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]([C@@H]3CCOC3)C2)s1 ZINC000611001992 351632800 /nfs/dbraw/zinc/63/28/00/351632800.db2.gz VMNARJZJHFNSAQ-NXEZZACHSA-N 0 0 268.338 2.519 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1cccnc1[N+](=O)[O-] ZINC000611030869 351635578 /nfs/dbraw/zinc/63/55/78/351635578.db2.gz GHPRLPFTFMTRTE-MRVPVSSYSA-N 0 0 276.296 2.810 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC3(CC(F)C3)C2)cs1 ZINC000611080213 351638307 /nfs/dbraw/zinc/63/83/07/351638307.db2.gz IXCVWYCBKXUCFT-UHFFFAOYSA-N 0 0 256.302 2.590 20 5 CFBDRN CN(CCc1nccs1)c1ccsc1[N+](=O)[O-] ZINC000611078703 351638366 /nfs/dbraw/zinc/63/83/66/351638366.db2.gz GDOQIPDEJZKGDO-UHFFFAOYSA-N 0 0 269.351 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](C3CCOCC3)C2)s1 ZINC000611104325 351640331 /nfs/dbraw/zinc/64/03/31/351640331.db2.gz MTKTXSHYNCYAQU-LLVKDONJSA-N 0 0 282.365 2.909 20 5 CFBDRN Cc1cc(CNc2c(F)cc([N+](=O)[O-])cc2F)ncn1 ZINC000611132990 351643367 /nfs/dbraw/zinc/64/33/67/351643367.db2.gz UFYDNYVERCFKGT-UHFFFAOYSA-N 0 0 280.234 2.584 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN1CC2(C1)CC(F)(F)C2 ZINC000611180805 351649546 /nfs/dbraw/zinc/64/95/46/351649546.db2.gz VALIRGQVSIKMEU-UHFFFAOYSA-N 0 0 298.289 2.705 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CC(C(F)F)C1 ZINC000611213081 351652866 /nfs/dbraw/zinc/65/28/66/351652866.db2.gz ZCQPGKWKVQLBRB-UHFFFAOYSA-N 0 0 290.653 2.585 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCS1 ZINC000291498723 198037623 /nfs/dbraw/zinc/03/76/23/198037623.db2.gz UQOCCOVAUPIEHV-GFCCVEGCSA-N 0 0 280.349 2.991 20 5 CFBDRN COc1cc(N2C[C@@H](C)C[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000611255854 351659165 /nfs/dbraw/zinc/65/91/65/351659165.db2.gz OCTRDFCVFIBSNX-DTWKUNHWSA-N 0 0 268.288 2.977 20 5 CFBDRN Cc1nc(N[C@H]2CCOC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000610856598 351614961 /nfs/dbraw/zinc/61/49/61/351614961.db2.gz NDZDEDKYXLIMRY-NSHDSACASA-N 0 0 277.324 2.812 20 5 CFBDRN CCC[C@@H](C)[C@H]1CCCN1c1c([N+](=O)[O-])ncn1C ZINC000610856483 351615004 /nfs/dbraw/zinc/61/50/04/351615004.db2.gz ZTMWEQGLVFSFHY-GHMZBOCLSA-N 0 0 266.345 2.733 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCCC(F)(F)F)c1=O ZINC000120274121 186888898 /nfs/dbraw/zinc/88/88/98/186888898.db2.gz KJMXRKNZKMYKKK-UHFFFAOYSA-N 0 0 278.230 2.798 20 5 CFBDRN Cc1ccc(C(=O)N(C)CCOC(C)(C)C)cc1[N+](=O)[O-] ZINC000610862651 351616690 /nfs/dbraw/zinc/61/66/90/351616690.db2.gz XQOSYPRBTNEGAA-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000610911742 351620415 /nfs/dbraw/zinc/62/04/15/351620415.db2.gz OGQZUNLFJAJVKK-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000610909604 351620798 /nfs/dbraw/zinc/62/07/98/351620798.db2.gz VMNIMWWCTZOXKN-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN CCC(=O)c1cccc(NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000610919590 351621724 /nfs/dbraw/zinc/62/17/24/351621724.db2.gz POZDWRHVYJHRFR-UHFFFAOYSA-N 0 0 299.286 2.835 20 5 CFBDRN CC(=O)C(C)(C)CCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000610949593 351623216 /nfs/dbraw/zinc/62/32/16/351623216.db2.gz MSBBDJZJQFEQMK-UHFFFAOYSA-N 0 0 292.335 2.606 20 5 CFBDRN CC(=O)C(C)(C)CCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000610949461 351623327 /nfs/dbraw/zinc/62/33/27/351623327.db2.gz SVWTXKYEFFJMJU-UHFFFAOYSA-N 0 0 292.335 2.606 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000610970883 351626755 /nfs/dbraw/zinc/62/67/55/351626755.db2.gz POFCYPYWPZWUGX-GHMZBOCLSA-N 0 0 282.365 2.909 20 5 CFBDRN O=C(NCCNc1sccc1[N+](=O)[O-])c1cccs1 ZINC000611411488 351685037 /nfs/dbraw/zinc/68/50/37/351685037.db2.gz GFKUOWJEGZDMKQ-UHFFFAOYSA-N 0 0 297.361 2.560 20 5 CFBDRN C[C@H]1CN(c2sccc2[N+](=O)[O-])C[C@H](C(F)(F)F)O1 ZINC000611412932 351686172 /nfs/dbraw/zinc/68/61/72/351686172.db2.gz QFHWTINNOFPDCZ-POYBYMJQSA-N 0 0 296.270 2.812 20 5 CFBDRN C[C@H]1CN(c2sccc2[N+](=O)[O-])C[C@@H](C(F)(F)F)O1 ZINC000611412935 351686232 /nfs/dbraw/zinc/68/62/32/351686232.db2.gz QFHWTINNOFPDCZ-XPUUQOCRSA-N 0 0 296.270 2.812 20 5 CFBDRN COc1ccc(CN(C)c2sccc2[N+](=O)[O-])cn1 ZINC000611413586 351687028 /nfs/dbraw/zinc/68/70/28/351687028.db2.gz FLIMNTXRLKNNHC-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCN2CCC(F)(F)C2)cc1 ZINC000611463158 351693504 /nfs/dbraw/zinc/69/35/04/351693504.db2.gz DIWUFKHGLMYMSA-UHFFFAOYSA-N 0 0 286.278 2.705 20 5 CFBDRN C[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000611497531 351697642 /nfs/dbraw/zinc/69/76/42/351697642.db2.gz AKLOHQCBSVTFMI-BXKDBHETSA-N 0 0 281.287 2.807 20 5 CFBDRN C[C@@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611502640 351700432 /nfs/dbraw/zinc/70/04/32/351700432.db2.gz WPZUJDASBOYADY-SCZZXKLOSA-N 0 0 258.318 2.836 20 5 CFBDRN COc1cc(CS[C@@H](C)CCO)ccc1[N+](=O)[O-] ZINC000611509359 351701805 /nfs/dbraw/zinc/70/18/05/351701805.db2.gz KYVOAKDBCGHSSO-VIFPVBQESA-N 0 0 271.338 2.608 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@H](C)[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000611507250 351702019 /nfs/dbraw/zinc/70/20/19/351702019.db2.gz ZIUGTWXHEIUXTB-CABZTGNLSA-N 0 0 280.299 2.723 20 5 CFBDRN COc1cc(CN2CC[C@@H](C)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000611516990 351702778 /nfs/dbraw/zinc/70/27/78/351702778.db2.gz RFZQIJWLPMZKTE-PWSUYJOCSA-N 0 0 282.315 2.783 20 5 CFBDRN O=C(CSCC1CC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000291599868 198047020 /nfs/dbraw/zinc/04/70/20/198047020.db2.gz QMPBCZYTFINYMV-UHFFFAOYSA-N 0 0 292.360 2.627 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CC=CCC1 ZINC000611517679 351703635 /nfs/dbraw/zinc/70/36/35/351703635.db2.gz FHSCHHYKTAQHSH-UHFFFAOYSA-N 0 0 274.320 2.922 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1c(N)cccc1[N+](=O)[O-])CC2 ZINC000611527430 351705061 /nfs/dbraw/zinc/70/50/61/351705061.db2.gz JBOMUFSREIRHNR-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN Cc1ccncc1[C@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000611300738 351666142 /nfs/dbraw/zinc/66/61/42/351666142.db2.gz WZCWQAFRJZDNOK-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1ccncc1[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000611299492 351666439 /nfs/dbraw/zinc/66/64/39/351666439.db2.gz BXXFUCGOIPDTCC-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN CCC1(O)CCC(Nc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000611306403 351667581 /nfs/dbraw/zinc/66/75/81/351667581.db2.gz JQXJMIZIZZCFAI-UHFFFAOYSA-N 0 0 279.340 2.794 20 5 CFBDRN Cc1nc(N[C@@H]2CCO[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000611307053 351667844 /nfs/dbraw/zinc/66/78/44/351667844.db2.gz RJKRIKLLGWOLFJ-YPMHNXCESA-N 0 0 279.340 2.914 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])s1)[C@H]1CCCOC1 ZINC000611540290 351705819 /nfs/dbraw/zinc/70/58/19/351705819.db2.gz ACJJDQARZOUCRH-IUCAKERBSA-N 0 0 256.327 2.883 20 5 CFBDRN Cc1cc(CN[C@H](C)c2cc([N+](=O)[O-])ccc2C)ncn1 ZINC000611337274 351670056 /nfs/dbraw/zinc/67/00/56/351670056.db2.gz QBXJDMHVJVEWJU-GFCCVEGCSA-N 0 0 286.335 2.852 20 5 CFBDRN Cc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2C)ncn1 ZINC000611337276 351670204 /nfs/dbraw/zinc/67/02/04/351670204.db2.gz RBWZDSWUJRFPPL-GFCCVEGCSA-N 0 0 286.335 2.852 20 5 CFBDRN CC1(C)c2ccccc2CCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000611356775 351674598 /nfs/dbraw/zinc/67/45/98/351674598.db2.gz QVMSQMYDLMUYIL-UHFFFAOYSA-N 0 0 299.330 2.857 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1nc(C)ccc1[N+](=O)[O-] ZINC000611373296 351676113 /nfs/dbraw/zinc/67/61/13/351676113.db2.gz GKOITUJOJOBWDC-ZYHUDNBSSA-N 0 0 265.313 2.525 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611617546 351716426 /nfs/dbraw/zinc/71/64/26/351716426.db2.gz KGAQEBIGXOZPOR-NWDGAFQWSA-N 0 0 279.340 2.834 20 5 CFBDRN CO[C@H]1CCCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000611931328 351751647 /nfs/dbraw/zinc/75/16/47/351751647.db2.gz NRTBISKVXVZVFS-VIFPVBQESA-N 0 0 256.327 2.662 20 5 CFBDRN C[C@@H]1C[C@H](C)N(c2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000611705058 351726112 /nfs/dbraw/zinc/72/61/12/351726112.db2.gz DQUBKHUXXRPMDB-ZJUUUORDSA-N 0 0 272.308 2.773 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@@H](OC)CC2)c1 ZINC000611931186 351751833 /nfs/dbraw/zinc/75/18/33/351751833.db2.gz ICGQEEZCTKLIMD-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN CN(CCC1CC1)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611710608 351727619 /nfs/dbraw/zinc/72/76/19/351727619.db2.gz DASORVKYZRBBOO-UHFFFAOYSA-N 0 0 272.308 2.774 20 5 CFBDRN CC1=CCN(c2ncnc3ccc([N+](=O)[O-])cc32)CC1 ZINC000611711859 351727999 /nfs/dbraw/zinc/72/79/99/351727999.db2.gz BESQPMMUDBUTCL-UHFFFAOYSA-N 0 0 270.292 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOCC3(CC3)C2)c1 ZINC000611765757 351738450 /nfs/dbraw/zinc/73/84/50/351738450.db2.gz AFKYONFSSOJJDO-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN COc1ccc(NC(=O)N2C[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000611824599 351744136 /nfs/dbraw/zinc/74/41/36/351744136.db2.gz SYQNEDVEACPJFB-KOLCDFICSA-N 0 0 291.307 2.620 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H](OC(F)F)C1 ZINC000611584188 351712335 /nfs/dbraw/zinc/71/23/35/351712335.db2.gz XDQXBXRJUMVHAQ-VIFPVBQESA-N 0 0 290.241 2.942 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](OC(F)F)C2)s1 ZINC000611583622 351712463 /nfs/dbraw/zinc/71/24/63/351712463.db2.gz MMOZKYNVJUJFGU-SSDOTTSWSA-N 0 0 278.280 2.864 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)[C@H]2CCCO2)n1 ZINC000611615750 351714542 /nfs/dbraw/zinc/71/45/42/351714542.db2.gz VWUBCOXIHINLFM-WDEREUQCSA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)[C@H]2CCCO2)n1 ZINC000611615747 351714548 /nfs/dbraw/zinc/71/45/48/351714548.db2.gz VWUBCOXIHINLFM-GHMZBOCLSA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCCC[C@H]2O)n1 ZINC000611616791 351715378 /nfs/dbraw/zinc/71/53/78/351715378.db2.gz HJNAHZYJJVBYPJ-VXGBXAGGSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)C2CCC(CO)CC2)n1 ZINC000611617123 351715673 /nfs/dbraw/zinc/71/56/73/351715673.db2.gz HUDMZYJARVQNIT-UHFFFAOYSA-N 0 0 293.367 2.594 20 5 CFBDRN COC(=O)C1(CNc2cccnc2[N+](=O)[O-])CCCCC1 ZINC000612113805 351777544 /nfs/dbraw/zinc/77/75/44/351777544.db2.gz VSBCBQYOPPWZML-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN Cc1cnc(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000291700056 198062326 /nfs/dbraw/zinc/06/23/26/198062326.db2.gz GKLXVWJQSUHXAX-SNVBAGLBSA-N 0 0 289.291 2.691 20 5 CFBDRN C[C@@H](c1ccncc1)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000612468222 351824346 /nfs/dbraw/zinc/82/43/46/351824346.db2.gz XJDSMBAJLCYUHD-NSHDSACASA-N 0 0 284.319 2.504 20 5 CFBDRN C[C@H](C(=O)N1CC=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000612488064 351826286 /nfs/dbraw/zinc/82/62/86/351826286.db2.gz FZASCXYILSGVHX-JTQLQIEISA-N 0 0 278.283 2.626 20 5 CFBDRN Cc1cc(C(=O)NCCCOCC(C)C)cc([N+](=O)[O-])c1 ZINC000121899238 187003670 /nfs/dbraw/zinc/00/36/70/187003670.db2.gz RTXSTEZSODBZIZ-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000121905966 187003977 /nfs/dbraw/zinc/00/39/77/187003977.db2.gz NLCGOMXBPYDGRF-NSHDSACASA-N 0 0 280.324 2.524 20 5 CFBDRN CCC(C)(C)NC(=O)Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000122013014 187010202 /nfs/dbraw/zinc/01/02/02/187010202.db2.gz BYPJFGKFGBMFFE-UHFFFAOYSA-N 0 0 289.335 2.854 20 5 CFBDRN COCCCCNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000122042045 187012114 /nfs/dbraw/zinc/01/21/14/187012114.db2.gz YDKXFEAPCPOGNA-UHFFFAOYSA-N 0 0 272.276 2.581 20 5 CFBDRN CCN(Cc1nc2cc([N+](=O)[O-])ccc2o1)CC(F)F ZINC000612534720 351833067 /nfs/dbraw/zinc/83/30/67/351833067.db2.gz PXPHQWYTEIMKEU-UHFFFAOYSA-N 0 0 285.250 2.823 20 5 CFBDRN Cc1cc(C(=O)Nc2ccnc(C)c2)cc([N+](=O)[O-])c1 ZINC000123084424 187070724 /nfs/dbraw/zinc/07/07/24/187070724.db2.gz OWIWOSNOFVDRHW-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1CSCCS1 ZINC000122392478 187030373 /nfs/dbraw/zinc/03/03/73/187030373.db2.gz CBCBWMGZCOQUPW-VIFPVBQESA-N 0 0 270.379 2.855 20 5 CFBDRN CCc1nnc(COc2nc(C)cc(C)c2[N+](=O)[O-])s1 ZINC000612788828 351861694 /nfs/dbraw/zinc/86/16/94/351861694.db2.gz YMAVFVROEZNBNN-UHFFFAOYSA-N 0 0 294.336 2.600 20 5 CFBDRN CCNc1ccc(C(=O)N2CC[C@@H](CC)C2)cc1[N+](=O)[O-] ZINC000122546548 187037522 /nfs/dbraw/zinc/03/75/22/187037522.db2.gz XVEONHDBPZMBFQ-LLVKDONJSA-N 0 0 291.351 2.899 20 5 CFBDRN Cn1ccc(CSCCOc2ccc([N+](=O)[O-])cc2)n1 ZINC000612604967 351842516 /nfs/dbraw/zinc/84/25/16/351842516.db2.gz ZVKDWGHQQNAKOF-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN CC(C)c1csc(NC(=O)c2cc([N+](=O)[O-])cn2C)n1 ZINC000612639180 351846895 /nfs/dbraw/zinc/84/68/95/351846895.db2.gz CGDDVWHSPZYKMY-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN CC[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2OC)C1 ZINC000122890651 187058226 /nfs/dbraw/zinc/05/82/26/187058226.db2.gz FSOJSXBRAVWGEA-SNVBAGLBSA-N 0 0 293.323 2.867 20 5 CFBDRN C[C@H]1CC(Nc2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000122894928 187058354 /nfs/dbraw/zinc/05/83/54/187058354.db2.gz QVDCIVZEUUMORF-UWVGGRQHSA-N 0 0 250.298 2.963 20 5 CFBDRN Cc1cnc(NC[C@H]2CSCCS2)c([N+](=O)[O-])c1 ZINC000122916595 187059575 /nfs/dbraw/zinc/05/95/75/187059575.db2.gz KCBDXFGJOODMBR-VIFPVBQESA-N 0 0 285.394 2.559 20 5 CFBDRN CC(C)Oc1nc(NC(C)(C)CF)ccc1[N+](=O)[O-] ZINC000565608751 290313504 /nfs/dbraw/zinc/31/35/04/290313504.db2.gz IVTHFEVLSCKMND-UHFFFAOYSA-N 0 0 271.292 2.937 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000124110550 187122686 /nfs/dbraw/zinc/12/26/86/187122686.db2.gz ORRJWJKSKWXLFN-GFCCVEGCSA-N 0 0 276.336 2.786 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1ccc(C2CC2)cc1F ZINC000291924099 198106705 /nfs/dbraw/zinc/10/67/05/198106705.db2.gz RTNQCMZPORHODI-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000291959359 198117641 /nfs/dbraw/zinc/11/76/41/198117641.db2.gz GKBZRPPBYWJSJO-JTQLQIEISA-N 0 0 279.340 2.828 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCO[C@H](C3CC3)C2)n1 ZINC000613185327 351911546 /nfs/dbraw/zinc/91/15/46/351911546.db2.gz UOQUFASGMHEUTH-STQMWFEESA-N 0 0 291.351 2.976 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCO[C@@H](C3CC3)C2)n1 ZINC000613185324 351911665 /nfs/dbraw/zinc/91/16/65/351911665.db2.gz UOQUFASGMHEUTH-CHWSQXEVSA-N 0 0 291.351 2.976 20 5 CFBDRN O=C(NCCC1=CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000131344768 187558610 /nfs/dbraw/zinc/55/86/10/187558610.db2.gz CZSFVPXQYDPDCB-UHFFFAOYSA-N 0 0 260.293 2.825 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)C(C)(C)C ZINC000131474042 187567956 /nfs/dbraw/zinc/56/79/56/187567956.db2.gz HZKBYAQGTIPIGN-UHFFFAOYSA-N 0 0 251.282 2.897 20 5 CFBDRN C[C@]1(CNc2cccnc2[N+](=O)[O-])CCO[C@@H]1C1CC1 ZINC000613433962 351958960 /nfs/dbraw/zinc/95/89/60/351958960.db2.gz FWBOXEDISOOLLG-TZMCWYRMSA-N 0 0 277.324 2.607 20 5 CFBDRN CC(C)=C[C@H]1[C@@H](C(=O)Nc2c([N+](=O)[O-])cnn2C)C1(C)C ZINC000613849124 352016930 /nfs/dbraw/zinc/01/69/30/352016930.db2.gz FTDUDMJLDLVIOK-ONGXEEELSA-N 0 0 292.339 2.505 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1ccc(OC2COC2)cc1 ZINC000614829818 352124861 /nfs/dbraw/zinc/12/48/61/352124861.db2.gz KODOPIYITFIZNL-UHFFFAOYSA-N 0 0 287.275 2.511 20 5 CFBDRN C[C@H](C1CC1)n1cc(Nc2cccnc2[N+](=O)[O-])cn1 ZINC000614523196 352100669 /nfs/dbraw/zinc/10/06/69/352100669.db2.gz NORKFQYKIQBWOW-SECBINFHSA-N 0 0 273.296 2.901 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1CCC[C@@H]1CCO ZINC000614534253 352102692 /nfs/dbraw/zinc/10/26/92/352102692.db2.gz XWGGLOUIDDCCQX-BDAKNGLRSA-N 0 0 256.327 2.619 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@@H]21 ZINC000614644821 352108371 /nfs/dbraw/zinc/10/83/71/352108371.db2.gz ROBCNGAYXGRQRB-HIFRSBDPSA-N 0 0 288.347 2.928 20 5 CFBDRN CO[C@H]1CN(c2sccc2[N+](=O)[O-])CC[C@@H]1C ZINC000615179183 352163385 /nfs/dbraw/zinc/16/33/85/352163385.db2.gz PYAKNDLABBBIEV-WPRPVWTQSA-N 0 0 256.327 2.518 20 5 CFBDRN COC1CCC(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000614977181 352138437 /nfs/dbraw/zinc/13/84/37/352138437.db2.gz WGCMRWQDLLJXIE-UHFFFAOYSA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1cc(C)nc(SCCn2ccc([N+](=O)[O-])n2)c1 ZINC000615682980 352229087 /nfs/dbraw/zinc/22/90/87/352229087.db2.gz FBZKEERGJNQRHW-UHFFFAOYSA-N 0 0 278.337 2.595 20 5 CFBDRN C=C/C(C)=C/CC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000616034258 352276409 /nfs/dbraw/zinc/27/64/09/352276409.db2.gz FMKGQVXDYDNKLB-NYYWCZLTSA-N 0 0 260.293 2.733 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CC[C@@H](C)C2)c1 ZINC000132987455 187659312 /nfs/dbraw/zinc/65/93/12/187659312.db2.gz BROLRZPYTRJYON-MWLCHTKSSA-N 0 0 278.308 2.982 20 5 CFBDRN CCc1nnc([C@@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000271540093 191200055 /nfs/dbraw/zinc/20/00/55/191200055.db2.gz QQYXURKTYPNSEM-VHSXEESVSA-N 0 0 289.339 2.687 20 5 CFBDRN CCC(CC)(CNC(=O)c1cccc([N+](=O)[O-])c1)OC ZINC000292072072 198152889 /nfs/dbraw/zinc/15/28/89/198152889.db2.gz CPNLAFQJEVTQMK-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1S[C@@H]1CCOC1 ZINC000617000661 352458927 /nfs/dbraw/zinc/45/89/27/352458927.db2.gz GLZBSZTUIGVLJC-SSDOTTSWSA-N 0 0 260.702 2.524 20 5 CFBDRN CSC[C@@H]1CCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000292066795 198151248 /nfs/dbraw/zinc/15/12/48/198151248.db2.gz CFVRAZNPIRPCCJ-LLVKDONJSA-N 0 0 294.376 2.810 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H]2CCC[C@@H]21 ZINC000617410452 352559585 /nfs/dbraw/zinc/55/95/85/352559585.db2.gz ONMIMHKIVKMPGK-GWCFXTLKSA-N 0 0 290.319 2.618 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1Cc2cccc(O)c2C1 ZINC000617480665 352571508 /nfs/dbraw/zinc/57/15/08/352571508.db2.gz JZGILFFFRJBLER-VIFPVBQESA-N 0 0 276.317 2.941 20 5 CFBDRN CC(C)CCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000617687293 352628690 /nfs/dbraw/zinc/62/86/90/352628690.db2.gz JHQYAESWJNTRRD-NSHDSACASA-N 0 0 264.325 2.861 20 5 CFBDRN C[C@H](C(=O)NC1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000617748835 352632490 /nfs/dbraw/zinc/63/24/90/352632490.db2.gz KEGYJDHILRRMCB-JTQLQIEISA-N 0 0 260.293 2.533 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC23CCOCC3)c1 ZINC000617976654 352687705 /nfs/dbraw/zinc/68/77/05/352687705.db2.gz IOWDGKPYDTYLDO-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN CC[C@@]1(C)CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)CCO1 ZINC000135312109 187817989 /nfs/dbraw/zinc/81/79/89/187817989.db2.gz DHUOGCHMNQYXMK-ZDUSSCGKSA-N 0 0 298.364 2.606 20 5 CFBDRN CSCCCOc1ccc([N+](=O)[O-])cc1C=O ZINC000135117952 187796640 /nfs/dbraw/zinc/79/66/40/187796640.db2.gz BJDZNHKPBRYJJC-UHFFFAOYSA-N 0 0 255.295 2.539 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@]2(CNc3ccccc32)C1 ZINC000618166250 352729487 /nfs/dbraw/zinc/72/94/87/352729487.db2.gz GVHJWWUOGPRJJT-MRXNPFEDSA-N 0 0 296.330 2.563 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc2c(n1)CCCC2 ZINC000618172229 352730390 /nfs/dbraw/zinc/73/03/90/352730390.db2.gz HHCDWCUEJGRTOJ-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN C[C@@H]([C@@H]1Cc2ccccc2O1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000135560412 187838995 /nfs/dbraw/zinc/83/89/95/187838995.db2.gz QZJOJEQEFCNYDZ-NHYWBVRUSA-N 0 0 299.330 2.818 20 5 CFBDRN Cc1nc(C(=O)NCc2ccccc2[N+](=O)[O-])sc1C ZINC000618355649 352757910 /nfs/dbraw/zinc/75/79/10/352757910.db2.gz CKDAIPXLHZIJQK-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CC(C)(NC(=O)c1nccs1)c1ccc([N+](=O)[O-])cc1 ZINC000618393482 352765541 /nfs/dbraw/zinc/76/55/41/352765541.db2.gz VELLJXHLSDBYMW-UHFFFAOYSA-N 0 0 291.332 2.716 20 5 CFBDRN COc1ccnc(NC(=O)c2c(C)cccc2[N+](=O)[O-])c1 ZINC000618469229 352780585 /nfs/dbraw/zinc/78/05/85/352780585.db2.gz RLXDYMPUEZFQBT-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1ccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])nc1 ZINC000618462295 352780801 /nfs/dbraw/zinc/78/08/01/352780801.db2.gz WBFOLPLWCGFDKR-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cnc(CNC(C)(C)c2ccccc2[N+](=O)[O-])o1 ZINC000292167730 198188976 /nfs/dbraw/zinc/18/89/76/198188976.db2.gz PGXBABUQQGSPPI-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN Cc1c(NC(=O)N2CC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000618972735 352923617 /nfs/dbraw/zinc/92/36/17/352923617.db2.gz YWZKJHPKRZNPMC-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(C)(C)C1 ZINC000618970990 352923691 /nfs/dbraw/zinc/92/36/91/352923691.db2.gz MWBRAXKXPCLGAM-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN CC1(C)CCN(c2cccnc2[N+](=O)[O-])CCS1 ZINC000618980642 352926065 /nfs/dbraw/zinc/92/60/65/352926065.db2.gz OPDLRAJVDVOEAS-UHFFFAOYSA-N 0 0 267.354 2.712 20 5 CFBDRN O=C(NCc1ccc(Cl)o1)c1ccccc1[N+](=O)[O-] ZINC000619145106 352965719 /nfs/dbraw/zinc/96/57/19/352965719.db2.gz MTUOAFYVUADXKT-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CC1(C)CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000619147245 352965846 /nfs/dbraw/zinc/96/58/46/352965846.db2.gz PYSIWIVZMZMEPU-UHFFFAOYSA-N 0 0 274.276 2.823 20 5 CFBDRN CSc1ccc(C(=O)N2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000619419293 353019705 /nfs/dbraw/zinc/01/97/05/353019705.db2.gz IVXBWDGTBGRYRD-UHFFFAOYSA-N 0 0 284.312 2.501 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1C[C@@H]2CCC[C@@H]2C1 ZINC000151096213 187943578 /nfs/dbraw/zinc/94/35/78/187943578.db2.gz JERMOSOQNHRDID-AOOOYVTPSA-N 0 0 278.283 2.606 20 5 CFBDRN COc1c(C(=O)N2CC[C@@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000619444267 353025101 /nfs/dbraw/zinc/02/51/01/353025101.db2.gz YUBCLVLMBWGIMC-CMPLNLGQSA-N 0 0 290.319 2.618 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1c1cccnc1[N+](=O)[O-] ZINC000619500931 353029637 /nfs/dbraw/zinc/02/96/37/353029637.db2.gz UFFLUTCQEXMNJD-YUMQZZPRSA-N 0 0 275.230 2.767 20 5 CFBDRN Cc1ccncc1CN(C)c1ccsc1[N+](=O)[O-] ZINC000619503614 353030502 /nfs/dbraw/zinc/03/05/02/353030502.db2.gz CTUWHLRAGFFAFN-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN O=C(CCC(F)F)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000619519291 353031726 /nfs/dbraw/zinc/03/17/26/353031726.db2.gz KKXOQWSPQJMQNN-UHFFFAOYSA-N 0 0 284.262 2.919 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)c1c(C)noc1C ZINC000619531797 353033627 /nfs/dbraw/zinc/03/36/27/353033627.db2.gz QJKQHDCQAKQCMH-UHFFFAOYSA-N 0 0 291.307 2.845 20 5 CFBDRN C[C@H]1CC(NC(=O)c2ccc([N+](=O)[O-])o2)C[C@@H](C)C1 ZINC000172469571 290351922 /nfs/dbraw/zinc/35/19/22/290351922.db2.gz QCNMJNYFJVHYCA-ULKQDVFKSA-N 0 0 266.297 2.742 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCCOc1ccc(Cl)cc1 ZINC000518332650 260379054 /nfs/dbraw/zinc/37/90/54/260379054.db2.gz XLBIGULKGGLUAC-UHFFFAOYSA-N 0 0 281.699 2.914 20 5 CFBDRN Cc1c(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)ccn1C ZINC000619613428 353040474 /nfs/dbraw/zinc/04/04/74/353040474.db2.gz TVLZVDDORVWZST-UHFFFAOYSA-N 0 0 299.330 2.835 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](CO)Nc1ncc([N+](=O)[O-])s1 ZINC000619695559 353051920 /nfs/dbraw/zinc/05/19/20/353051920.db2.gz LBIUYTNYCKEILB-KOLCDFICSA-N 0 0 293.348 2.628 20 5 CFBDRN CCC1(O)CCC(Nc2ncc([N+](=O)[O-])s2)CC1 ZINC000619697324 353052574 /nfs/dbraw/zinc/05/25/74/353052574.db2.gz RJROAYWRYWNNOZ-UHFFFAOYSA-N 0 0 271.342 2.547 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@H]1C(F)(F)F ZINC000619698228 353053085 /nfs/dbraw/zinc/05/30/85/353053085.db2.gz ODVIHWBAOZAPEF-HTQZYQBOSA-N 0 0 261.203 2.661 20 5 CFBDRN CC(C)(C)n1ncnc1CNc1ccc([N+](=O)[O-])s1 ZINC000619704752 353055590 /nfs/dbraw/zinc/05/55/90/353055590.db2.gz HJOCIULJVHFJPD-UHFFFAOYSA-N 0 0 281.341 2.615 20 5 CFBDRN Cc1ncoc1COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000292284585 198230708 /nfs/dbraw/zinc/23/07/08/198230708.db2.gz SNBDSHYMEAIBFH-UHFFFAOYSA-N 0 0 290.275 2.567 20 5 CFBDRN O=C(C[C@H]1CSCCS1)Oc1cccc([N+](=O)[O-])c1 ZINC000152449370 188019859 /nfs/dbraw/zinc/01/98/59/188019859.db2.gz OIYFPRWNHYDMPH-NSHDSACASA-N 0 0 299.373 2.739 20 5 CFBDRN CCCCNC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152534381 188026848 /nfs/dbraw/zinc/02/68/48/188026848.db2.gz DDDYRZANGGMAMX-UHFFFAOYSA-N 0 0 256.689 2.778 20 5 CFBDRN CC(C)c1nnc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)[nH]1 ZINC000292303428 198236655 /nfs/dbraw/zinc/23/66/55/198236655.db2.gz AAZCOOFGQXHPPI-SNVBAGLBSA-N 0 0 289.339 2.687 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCCSC ZINC000153649787 188095100 /nfs/dbraw/zinc/09/51/00/188095100.db2.gz MSJSCJWDGZUTDZ-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN CSC[C@@H](C)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153803825 188104224 /nfs/dbraw/zinc/10/42/24/188104224.db2.gz UFXFAXKRVBISCQ-ZCFIWIBFSA-N 0 0 261.734 2.807 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCCC[C@H]1CO ZINC000153814427 188105228 /nfs/dbraw/zinc/10/52/28/188105228.db2.gz XGMBQDQTXXOGTC-WPRPVWTQSA-N 0 0 285.731 2.606 20 5 CFBDRN C[C@]1(CNC(=O)c2cccc([N+](=O)[O-])c2)CCCS1 ZINC000153848503 188107298 /nfs/dbraw/zinc/10/72/98/188107298.db2.gz UFLHEPBGBVROJJ-CYBMUJFWSA-N 0 0 280.349 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@@H](n2cccn2)C1 ZINC000292369897 198261637 /nfs/dbraw/zinc/26/16/37/198261637.db2.gz PJVOLSARFDSFJK-LLVKDONJSA-N 0 0 292.726 2.896 20 5 CFBDRN CO[C@@](C)(c1nc(-c2cccc([N+](=O)[O-])c2)no1)C1CC1 ZINC000292387554 198267851 /nfs/dbraw/zinc/26/78/51/198267851.db2.gz DOBNZJAVIRCOOS-CQSZACIVSA-N 0 0 289.291 2.916 20 5 CFBDRN CS[C@H](C)CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000154029642 188118759 /nfs/dbraw/zinc/11/87/59/188118759.db2.gz BSHOYKAWTLPXES-SECBINFHSA-N 0 0 283.353 2.776 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccccc2[N+](=O)[O-])CCCS1 ZINC000154114272 188123705 /nfs/dbraw/zinc/12/37/05/188123705.db2.gz SHRRAEAHRUUGFR-ZDUSSCGKSA-N 0 0 280.349 2.610 20 5 CFBDRN CN(CCC1CC1)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000154181146 188127670 /nfs/dbraw/zinc/12/76/70/188127670.db2.gz OYTPJOLXJJPRGJ-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@]2(C)CCCS2)c1 ZINC000154775300 188160607 /nfs/dbraw/zinc/16/06/07/188160607.db2.gz JXAAIERRMBWHFS-AWEZNQCLSA-N 0 0 294.376 2.919 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000155552524 188213753 /nfs/dbraw/zinc/21/37/53/188213753.db2.gz HOXBNCZNZLJCMF-ZWNOBZJWSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1nc(Nc2cn(C)nc2C2CC2)ccc1[N+](=O)[O-] ZINC000292472004 198300395 /nfs/dbraw/zinc/30/03/95/198300395.db2.gz YWBRVBDWGFZPDP-UHFFFAOYSA-N 0 0 273.296 2.653 20 5 CFBDRN O=[N+]([O-])c1ccn(CCN2CCc3c2cccc3Cl)n1 ZINC000156094876 188242451 /nfs/dbraw/zinc/24/24/51/188242451.db2.gz GIOWTTNCGGVHLG-UHFFFAOYSA-N 0 0 292.726 2.507 20 5 CFBDRN CN(CCC1CC1)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000156165230 188247005 /nfs/dbraw/zinc/24/70/05/188247005.db2.gz PRGGPWDROZCBIU-UHFFFAOYSA-N 0 0 284.262 2.745 20 5 CFBDRN COC[C@@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000292518969 198317175 /nfs/dbraw/zinc/31/71/75/198317175.db2.gz GXPNTOGCSSXNCY-SNVBAGLBSA-N 0 0 268.288 2.515 20 5 CFBDRN CCN(C[C@@H](C)OC)c1ccc([N+](=O)[O-])cc1F ZINC000292478093 198302678 /nfs/dbraw/zinc/30/26/78/198302678.db2.gz ZJEWQHCQDKICSQ-SECBINFHSA-N 0 0 256.277 2.595 20 5 CFBDRN CO[C@H](C)[C@@H](C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000292528048 198320552 /nfs/dbraw/zinc/32/05/52/198320552.db2.gz LRLWACHKOYGJTG-GHMZBOCLSA-N 0 0 294.351 2.871 20 5 CFBDRN CCc1nc(C(C)C)ccc1Cn1cc([N+](=O)[O-])cn1 ZINC000292530152 198321340 /nfs/dbraw/zinc/32/13/40/198321340.db2.gz AARMIIKULFQNDL-UHFFFAOYSA-N 0 0 274.324 2.920 20 5 CFBDRN Cc1cc(N[C@@H]2CC(C)(C)OC2=O)c(F)cc1[N+](=O)[O-] ZINC000292597147 198345452 /nfs/dbraw/zinc/34/54/52/198345452.db2.gz MAGNNHOXJUAGEJ-SNVBAGLBSA-N 0 0 282.271 2.548 20 5 CFBDRN Cc1cccc(NCCc2nccnc2C)c1[N+](=O)[O-] ZINC000292630861 198357538 /nfs/dbraw/zinc/35/75/38/198357538.db2.gz ADUHSEFCZRWTPM-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN COc1ccc(C(=O)N2CCC3(CCC3)C2)cc1[N+](=O)[O-] ZINC000158427143 188375057 /nfs/dbraw/zinc/37/50/57/188375057.db2.gz PQTSJXVXAUEVJP-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN CN(C(=O)C[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000158520133 188379920 /nfs/dbraw/zinc/37/99/20/188379920.db2.gz NLNWXNOITZRMGA-NSHDSACASA-N 0 0 260.293 2.914 20 5 CFBDRN Cc1cc(NC(=O)COC(C)(C)C)ccc1[N+](=O)[O-] ZINC000158536764 188380783 /nfs/dbraw/zinc/38/07/83/188380783.db2.gz VFUYYFLRKSUVRZ-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN CN(C(=O)CSC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000158567824 188382608 /nfs/dbraw/zinc/38/26/08/188382608.db2.gz BLGKDGVUXYSDFE-UHFFFAOYSA-N 0 0 294.254 2.811 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OCCOCC(F)F ZINC000292729607 198392446 /nfs/dbraw/zinc/39/24/46/198392446.db2.gz TTWOXUCDXUJZAP-UHFFFAOYSA-N 0 0 281.642 2.909 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000292723322 198389943 /nfs/dbraw/zinc/38/99/43/198389943.db2.gz IFYMPSVQIMDNHG-STQMWFEESA-N 0 0 279.292 2.705 20 5 CFBDRN CCCC[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000271619534 282919937 /nfs/dbraw/zinc/91/99/37/282919937.db2.gz MKYDTXMPWCKXDW-DGCLKSJQSA-N 0 0 294.351 2.977 20 5 CFBDRN CCOC(C)(C)CNc1nccc(C)c1[N+](=O)[O-] ZINC000159513458 188429580 /nfs/dbraw/zinc/42/95/80/188429580.db2.gz OPEKFEPHWMRVIY-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1cccc(C(=O)NCC2(C3CC3)CC2)c1[N+](=O)[O-] ZINC000159907495 188447249 /nfs/dbraw/zinc/44/72/49/188447249.db2.gz QZFSWUJYEBTYMH-UHFFFAOYSA-N 0 0 274.320 2.823 20 5 CFBDRN O=C(NCCC1=CCCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000160267697 188463043 /nfs/dbraw/zinc/46/30/43/188463043.db2.gz XBPXSNFYTAFQQS-UHFFFAOYSA-N 0 0 278.283 2.964 20 5 CFBDRN CC(C)(C)[C@H]1CCCN(C(=O)c2n[nH]cc2[N+](=O)[O-])CC1 ZINC000160281106 188463752 /nfs/dbraw/zinc/46/37/52/188463752.db2.gz BDDUPSODFCLOPB-JTQLQIEISA-N 0 0 294.355 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@@H](C3CC3)C2)n1 ZINC000160335728 188467052 /nfs/dbraw/zinc/46/70/52/188467052.db2.gz UHHLYJJAPKKCRB-DGCLKSJQSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCO[C@H](C2CC2)C1 ZINC000160366236 188467963 /nfs/dbraw/zinc/46/79/63/188467963.db2.gz MJNDITPUCFXXST-YPMHNXCESA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc(N[C@@H](C)CO)c([N+](=O)[O-])cc1C(F)(F)F ZINC000293028709 198501034 /nfs/dbraw/zinc/50/10/34/198501034.db2.gz JTOHKPRTKZIVEJ-ZETCQYMHSA-N 0 0 278.230 2.715 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1Oc1ccc(F)nc1 ZINC000161237423 188516404 /nfs/dbraw/zinc/51/64/04/188516404.db2.gz LKSLBNQDHRWOBO-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN CC(C)(C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000161447961 188528724 /nfs/dbraw/zinc/52/87/24/188528724.db2.gz WUBSKSGKRSFOLL-SECBINFHSA-N 0 0 297.311 2.712 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NOCCC(F)(F)F ZINC000162604405 188580404 /nfs/dbraw/zinc/58/04/04/188580404.db2.gz DBEKSBITHHLZGG-UHFFFAOYSA-N 0 0 292.213 2.517 20 5 CFBDRN C[C@H](NCC(=O)OC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000164065387 188619607 /nfs/dbraw/zinc/61/96/07/188619607.db2.gz WNHNFFSSHYIDHQ-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN COCCC[C@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295286781 199440970 /nfs/dbraw/zinc/44/09/70/199440970.db2.gz IEQVCBZZYDSCRG-RYUDHWBXSA-N 0 0 294.351 2.835 20 5 CFBDRN COc1cccc(NCC2(O)CCCCC2)c1[N+](=O)[O-] ZINC000167664177 188644235 /nfs/dbraw/zinc/64/42/35/188644235.db2.gz VSDNQLNVOULBIU-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1CCCOC1 ZINC000171550271 188742318 /nfs/dbraw/zinc/74/23/18/188742318.db2.gz FLCNQOYHHSFZBQ-MRVPVSSYSA-N 0 0 299.714 2.549 20 5 CFBDRN C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000172105511 188781650 /nfs/dbraw/zinc/78/16/50/188781650.db2.gz UTYUHPISPMAQIF-JTQLQIEISA-N 0 0 262.309 2.903 20 5 CFBDRN CSCCCCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000172385625 188799221 /nfs/dbraw/zinc/79/92/21/188799221.db2.gz ACLWXNYEJCAMHD-UHFFFAOYSA-N 0 0 282.365 2.744 20 5 CFBDRN CC(C)n1ccc(COc2ccccc2[N+](=O)[O-])n1 ZINC000172216685 188788851 /nfs/dbraw/zinc/78/88/51/188788851.db2.gz ZOBAXCNJMDALKA-UHFFFAOYSA-N 0 0 261.281 2.951 20 5 CFBDRN CCCN(CC(F)F)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172918718 188834222 /nfs/dbraw/zinc/83/42/22/188834222.db2.gz GYIAIWBVBSZLKE-UHFFFAOYSA-N 0 0 286.278 2.641 20 5 CFBDRN COC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000271796471 191331848 /nfs/dbraw/zinc/33/18/48/191331848.db2.gz VSIHDAQHEBKIRV-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@H](NC(=O)/C=C/C1CC1)c1ccccc1[N+](=O)[O-] ZINC000271794027 191332310 /nfs/dbraw/zinc/33/23/10/191332310.db2.gz KQXBQYXFLPCNSJ-DDXVTDLHSA-N 0 0 260.293 2.738 20 5 CFBDRN CS[C@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271798684 191334160 /nfs/dbraw/zinc/33/41/60/191334160.db2.gz RSULRXAQRFFPJE-RKDXNWHRSA-N 0 0 268.338 2.524 20 5 CFBDRN Cc1nc(Cn2nc(C)c([N+](=O)[O-])c2C)sc1C ZINC000173317012 188849993 /nfs/dbraw/zinc/84/99/93/188849993.db2.gz MWGHEZSYCAOLLM-UHFFFAOYSA-N 0 0 266.326 2.530 20 5 CFBDRN CCCCN(CCCO)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000271805738 191339277 /nfs/dbraw/zinc/33/92/77/191339277.db2.gz XMXZUVRGPDNGJF-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000173810565 188869656 /nfs/dbraw/zinc/86/96/56/188869656.db2.gz CEKLHCSTYQSTQF-LLVKDONJSA-N 0 0 294.351 2.837 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000271883933 191387372 /nfs/dbraw/zinc/38/73/72/191387372.db2.gz MXECZCXJXPSJSU-QWRGUYRKSA-N 0 0 278.308 2.657 20 5 CFBDRN CCCCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271848110 191364426 /nfs/dbraw/zinc/36/44/26/191364426.db2.gz VWCUFMWBAKGSOV-JTQLQIEISA-N 0 0 250.298 2.962 20 5 CFBDRN CC(C)[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000174258535 188889189 /nfs/dbraw/zinc/88/91/89/188889189.db2.gz QFRBELGRZGCTBD-CYBMUJFWSA-N 0 0 294.376 2.808 20 5 CFBDRN Cc1nn(CCC(=O)O[C@H]2CC[C@H](C)C2)c(C)c1[N+](=O)[O-] ZINC000272371489 191683429 /nfs/dbraw/zinc/68/34/29/191683429.db2.gz URGJYXIHZALEJW-CABZTGNLSA-N 0 0 295.339 2.530 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]2CCC[C@H]21 ZINC000174326863 188892360 /nfs/dbraw/zinc/89/23/60/188892360.db2.gz SFUMLVSQBDTXGO-UKRRQHHQSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1c(NC(=O)[C@]2(C)CCCOC2)cccc1[N+](=O)[O-] ZINC000272673905 191860486 /nfs/dbraw/zinc/86/04/86/191860486.db2.gz WVVMITQQRAKHTO-CQSZACIVSA-N 0 0 278.308 2.658 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000272704294 191874642 /nfs/dbraw/zinc/87/46/42/191874642.db2.gz WTPLVCBJHJHJAE-UWVGGRQHSA-N 0 0 279.340 2.732 20 5 CFBDRN CC(C)OCCCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000272973990 192002610 /nfs/dbraw/zinc/00/26/10/192002610.db2.gz IBQWNEFJDMDRFD-GFCCVEGCSA-N 0 0 294.351 2.977 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@H]1F)c1ccc([N+](=O)[O-])cc1 ZINC000216056629 540222500 /nfs/dbraw/zinc/22/25/00/540222500.db2.gz OJUPXRNZMLHVBI-VXGBXAGGSA-N 0 0 266.272 2.605 20 5 CFBDRN CCC[C@@H](CNC(=O)c1cc([N+](=O)[O-])cc(C)c1F)OC ZINC000293626849 198708835 /nfs/dbraw/zinc/70/88/35/198708835.db2.gz IRQXQBLHWDBLCS-NSHDSACASA-N 0 0 298.314 2.587 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2)[C@@]12CCCO2 ZINC000273537833 192193086 /nfs/dbraw/zinc/19/30/86/192193086.db2.gz WBXHBACZSCDMKH-ZNMIVQPWSA-N 0 0 292.335 2.733 20 5 CFBDRN CCc1ccc(CCNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000273572887 192211027 /nfs/dbraw/zinc/21/10/27/192211027.db2.gz DKUXZNCIVOVTHD-UHFFFAOYSA-N 0 0 272.308 2.602 20 5 CFBDRN CCC1CCC(N(C)c2ncc([N+](=O)[O-])cn2)CC1 ZINC000273594001 192221031 /nfs/dbraw/zinc/22/10/31/192221031.db2.gz IAANFJQLKHJQSU-UHFFFAOYSA-N 0 0 264.329 2.790 20 5 CFBDRN C[C@H]1C[C@H](CCNC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000273593783 192222242 /nfs/dbraw/zinc/22/22/42/192222242.db2.gz QOBVNEYPIARLIA-NWDGAFQWSA-N 0 0 292.335 2.530 20 5 CFBDRN CC(C)[C@H]1CCCN(c2ncc([N+](=O)[O-])cn2)CC1 ZINC000273593982 192222484 /nfs/dbraw/zinc/22/24/84/192222484.db2.gz HXUJZCVGYBYJGU-NSHDSACASA-N 0 0 264.329 2.647 20 5 CFBDRN CCS[C@@H]1CCC[C@H](Nc2ncc([N+](=O)[O-])cn2)C1 ZINC000273599180 192224810 /nfs/dbraw/zinc/22/48/10/192224810.db2.gz IZOPRVTWUFBOIU-GXSJLCMTSA-N 0 0 282.369 2.861 20 5 CFBDRN Cc1nccn1CCCN1CCc2c1cccc2[N+](=O)[O-] ZINC000273600604 192224868 /nfs/dbraw/zinc/22/48/68/192224868.db2.gz LFKWGBXOQNRVOZ-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN Cc1ccc(-c2noc(COC(C)C)n2)cc1[N+](=O)[O-] ZINC000273719000 192277182 /nfs/dbraw/zinc/27/71/82/192277182.db2.gz IZYQKOVWOUCXMZ-UHFFFAOYSA-N 0 0 277.280 2.878 20 5 CFBDRN CCCCc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273728668 192281310 /nfs/dbraw/zinc/28/13/10/192281310.db2.gz ROPCIHPYCCAJFE-UHFFFAOYSA-N 0 0 262.269 2.570 20 5 CFBDRN CS[C@@H](C)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000273733711 192283305 /nfs/dbraw/zinc/28/33/05/192283305.db2.gz SFCZAHNGPCNWGV-LURJTMIESA-N 0 0 280.309 2.651 20 5 CFBDRN Cc1cc(-c2nc([C@H]3CCCO3)no2)cc([N+](=O)[O-])c1 ZINC000273774561 192301696 /nfs/dbraw/zinc/30/16/96/192301696.db2.gz BXTLGDOQCKCVAT-LLVKDONJSA-N 0 0 275.264 2.805 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H](O)CC(C)(C)C1 ZINC000296015364 199752265 /nfs/dbraw/zinc/75/22/65/199752265.db2.gz NTAVINIUKORYKR-CYBMUJFWSA-N 0 0 278.352 2.891 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000567998762 290513848 /nfs/dbraw/zinc/51/38/48/290513848.db2.gz ZQECOCDIBJCHGC-QMMMGPOBSA-N 0 0 290.316 2.664 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)[C@H]1C ZINC000293935364 198833830 /nfs/dbraw/zinc/83/38/30/198833830.db2.gz DUUYPCKCABKKOU-BDAKNGLRSA-N 0 0 273.292 2.557 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000274576402 192660350 /nfs/dbraw/zinc/66/03/50/192660350.db2.gz APXFKOJMNULVDR-STQMWFEESA-N 0 0 276.336 2.546 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)[C@H]1C ZINC000293966953 198850437 /nfs/dbraw/zinc/85/04/37/198850437.db2.gz GJXCITUULBWSEP-BDAKNGLRSA-N 0 0 273.292 2.557 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000294061117 198891797 /nfs/dbraw/zinc/89/17/97/198891797.db2.gz KYSHZFCABXQOLA-VHSXEESVSA-N 0 0 285.303 2.623 20 5 CFBDRN COc1ccc(NC(=O)N2CCC=C(F)C2)cc1[N+](=O)[O-] ZINC000274620399 192690576 /nfs/dbraw/zinc/69/05/76/192690576.db2.gz RMISWKVTCVLVJL-UHFFFAOYSA-N 0 0 295.270 2.694 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@H]1CF ZINC000294168959 198936212 /nfs/dbraw/zinc/93/62/12/198936212.db2.gz SMAKRUDTICUEOO-QMMMGPOBSA-N 0 0 286.690 2.822 20 5 CFBDRN CC(F)(F)CCNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000294234995 198963035 /nfs/dbraw/zinc/96/30/35/198963035.db2.gz OQPZBFZRUWUZGB-UHFFFAOYSA-N 0 0 270.239 2.928 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000294330057 199000897 /nfs/dbraw/zinc/00/08/97/199000897.db2.gz KPNALTIXYQAXTB-GFCCVEGCSA-N 0 0 266.297 2.657 20 5 CFBDRN CS[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000294424659 199034499 /nfs/dbraw/zinc/03/44/99/199034499.db2.gz OQCIJCNHRISFKG-LLVKDONJSA-N 0 0 280.349 2.739 20 5 CFBDRN Cc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc1F ZINC000294589532 199098065 /nfs/dbraw/zinc/09/80/65/199098065.db2.gz MYQDIDIFKMFOMI-UHFFFAOYSA-N 0 0 268.288 2.908 20 5 CFBDRN CC[C@H](C)CON=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1 ZINC000294829125 199201779 /nfs/dbraw/zinc/20/17/79/199201779.db2.gz AOWHEJBANDIPRN-QMMMGPOBSA-N 0 0 264.285 2.861 20 5 CFBDRN C[C@@H](CCO)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000294839587 199206696 /nfs/dbraw/zinc/20/66/96/199206696.db2.gz BAJGQQTVSFLLCX-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN Cc1cccc(NCC[C@H](C)CCO)c1[N+](=O)[O-] ZINC000294858557 199216162 /nfs/dbraw/zinc/21/61/62/199216162.db2.gz CXIVQOBWXUZASF-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN CCc1nnc(CNc2ccc([N+](=O)[O-])cc2Cl)[nH]1 ZINC000294877677 199226749 /nfs/dbraw/zinc/22/67/49/199226749.db2.gz FQJYLRVEKKBWGM-UHFFFAOYSA-N 0 0 281.703 2.541 20 5 CFBDRN CC(C)(CO)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000294882066 199229475 /nfs/dbraw/zinc/22/94/75/199229475.db2.gz FHELNNLEZCFMCD-UHFFFAOYSA-N 0 0 256.277 2.554 20 5 CFBDRN Cc1cc(NC[C@H](C)CCCO)c(F)cc1[N+](=O)[O-] ZINC000294893430 199235135 /nfs/dbraw/zinc/23/51/35/199235135.db2.gz GJFOLFGKLIQHGC-SECBINFHSA-N 0 0 270.304 2.863 20 5 CFBDRN CCn1cc([C@H](C)NCc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000294904423 199241037 /nfs/dbraw/zinc/24/10/37/199241037.db2.gz RWNQLMDVGZTHGK-JTQLQIEISA-N 0 0 292.314 2.801 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cn1)Cc1ccccc1 ZINC000294943388 199262877 /nfs/dbraw/zinc/26/28/77/199262877.db2.gz LMNXDKMAGBSJFW-CQSZACIVSA-N 0 0 287.319 2.659 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1nnc(C2CC2)[nH]1 ZINC000294948457 199265591 /nfs/dbraw/zinc/26/55/91/199265591.db2.gz LWAGPTCZLYZGAW-UHFFFAOYSA-N 0 0 273.296 2.511 20 5 CFBDRN C[C@@H](CCCCO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000296398611 199879198 /nfs/dbraw/zinc/87/91/98/199879198.db2.gz VPQVNLRGPHQSRS-QMMMGPOBSA-N 0 0 273.720 2.606 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000296404257 199881342 /nfs/dbraw/zinc/88/13/42/199881342.db2.gz QLXBSQBXVWFXOC-SFYZADRCSA-N 0 0 267.260 2.606 20 5 CFBDRN COc1cc(N[C@H](C)CCCCO)c([N+](=O)[O-])cc1F ZINC000296436011 199891093 /nfs/dbraw/zinc/89/10/93/199891093.db2.gz YSZSIVZGKUTRGV-SECBINFHSA-N 0 0 286.303 2.706 20 5 CFBDRN Cc1cc(F)c(NC(=O)NCC2CCC2)cc1[N+](=O)[O-] ZINC000296646603 199957382 /nfs/dbraw/zinc/95/73/82/199957382.db2.gz DTWBQQDTFZAETE-UHFFFAOYSA-N 0 0 281.287 2.964 20 5 CFBDRN CC(C)C[C@@H]1OCCC[C@@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000274785136 192774647 /nfs/dbraw/zinc/77/46/47/192774647.db2.gz PZXKGLURMBIQJX-STQMWFEESA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@H](NC(=O)N1C[C@H](C)[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000296839796 200011820 /nfs/dbraw/zinc/01/18/20/200011820.db2.gz NWIXDASYVOMZEF-SRVKXCTJSA-N 0 0 291.351 2.953 20 5 CFBDRN CCOCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000296897865 200029433 /nfs/dbraw/zinc/02/94/33/200029433.db2.gz RTEIRSASEAMODG-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN Cc1nc(N2C[C@H](C)[C@@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000296910210 200031984 /nfs/dbraw/zinc/03/19/84/200031984.db2.gz ADVSVPBOFSCWHJ-IINYFYTJSA-N 0 0 284.319 2.891 20 5 CFBDRN COC1(CNc2ncc([N+](=O)[O-])c(C)n2)CCC(C)CC1 ZINC000296891409 200027136 /nfs/dbraw/zinc/02/71/36/200027136.db2.gz XYPYARKMRCWCRQ-UHFFFAOYSA-N 0 0 294.355 2.700 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCCCCCO)c1 ZINC000296891548 200027206 /nfs/dbraw/zinc/02/72/06/200027206.db2.gz YACHLGKUWLJQAY-UHFFFAOYSA-N 0 0 275.308 2.718 20 5 CFBDRN CS[C@@H]1CCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000296964333 200047373 /nfs/dbraw/zinc/04/73/73/200047373.db2.gz PXGJHJTXCNUAFD-NNNHXZLVSA-N 0 0 292.360 2.572 20 5 CFBDRN CS[C@@H]1CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000296972579 200050979 /nfs/dbraw/zinc/05/09/79/200050979.db2.gz QOYAJWVACPLDHU-WXJAXGNASA-N 0 0 292.360 2.572 20 5 CFBDRN C[C@H](NC(=O)OC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000297045597 200070028 /nfs/dbraw/zinc/07/00/28/200070028.db2.gz LVXMAJGRSLWXHQ-GXFFZTMASA-N 0 0 294.307 2.561 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000297007206 200060230 /nfs/dbraw/zinc/06/02/30/200060230.db2.gz GGSPAYXPEUPVNH-SSDOTTSWSA-N 0 0 295.320 2.515 20 5 CFBDRN CCC[C@H](OC)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000297014624 200062128 /nfs/dbraw/zinc/06/21/28/200062128.db2.gz QVSAIYNBIZWYCP-ZDUSSCGKSA-N 0 0 281.308 2.762 20 5 CFBDRN CCC[C@@H](OC)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000297014622 200062367 /nfs/dbraw/zinc/06/23/67/200062367.db2.gz QVSAIYNBIZWYCP-CYBMUJFWSA-N 0 0 281.308 2.762 20 5 CFBDRN COCc1ccccc1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000297151961 200099405 /nfs/dbraw/zinc/09/94/05/200099405.db2.gz YMWRTHZINAIQHO-UHFFFAOYSA-N 0 0 295.335 2.712 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000297422106 200184283 /nfs/dbraw/zinc/18/42/83/200184283.db2.gz BLAHKPOKKAKBGW-STQMWFEESA-N 0 0 278.308 2.739 20 5 CFBDRN CC[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1C)C(C)(C)O ZINC000297439274 200190822 /nfs/dbraw/zinc/19/08/22/200190822.db2.gz LDOSSXNULOEDOH-LBPRGKRZSA-N 0 0 295.339 2.574 20 5 CFBDRN Cc1ccc2c(c1)CCN2C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000297474077 200203421 /nfs/dbraw/zinc/20/34/21/200203421.db2.gz LBPSPWYAVWVISI-UHFFFAOYSA-N 0 0 276.336 2.720 20 5 CFBDRN C[C@H](CCCO)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297492552 200209445 /nfs/dbraw/zinc/20/94/45/200209445.db2.gz MMRZKAYGPOUISZ-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000435852829 240358534 /nfs/dbraw/zinc/35/85/34/240358534.db2.gz AMOZCOXAWIFHNV-CMPLNLGQSA-N 0 0 277.324 2.583 20 5 CFBDRN Cc1nc(N[C@H]2CCOC3(CCCC3)C2)ncc1[N+](=O)[O-] ZINC000297562330 200228646 /nfs/dbraw/zinc/22/86/46/200228646.db2.gz ROWBNTIXPVAWLG-NSHDSACASA-N 0 0 292.339 2.597 20 5 CFBDRN C[C@@H](C(=O)N(C)c1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000297597220 200237893 /nfs/dbraw/zinc/23/78/93/200237893.db2.gz CTDRTRXKWOVWCR-QMMMGPOBSA-N 0 0 272.251 2.849 20 5 CFBDRN C[C@H](NC(=O)N(C)C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000297584555 200234088 /nfs/dbraw/zinc/23/40/88/200234088.db2.gz MDPMLBRKWWCHTN-JTQLQIEISA-N 0 0 277.324 2.850 20 5 CFBDRN CCC1(NC(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 ZINC000297592092 200236211 /nfs/dbraw/zinc/23/62/11/200236211.db2.gz YMSLAULTQQDMIM-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN CCC1(NC(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000297823594 200286894 /nfs/dbraw/zinc/28/68/94/200286894.db2.gz ZNZVEOURWNTFQJ-JTQLQIEISA-N 0 0 277.324 2.898 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CC1(F)F ZINC000297847663 200291144 /nfs/dbraw/zinc/29/11/44/200291144.db2.gz RSTISPANEHMOQO-LURJTMIESA-N 0 0 274.198 2.636 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000297997498 200315528 /nfs/dbraw/zinc/31/55/28/200315528.db2.gz ZTOJUXFSSXOTHD-SSDOTTSWSA-N 0 0 258.224 2.825 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000297997502 200315653 /nfs/dbraw/zinc/31/56/53/200315653.db2.gz ZTOJUXFSSXOTHD-ZETCQYMHSA-N 0 0 258.224 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2nnc(C3CC3)[nH]2)cc1 ZINC000298101648 200333063 /nfs/dbraw/zinc/33/30/63/200333063.db2.gz PXFQXCVHCDMPOO-UHFFFAOYSA-N 0 0 262.294 2.742 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1cc(C)no1 ZINC000298101786 200333504 /nfs/dbraw/zinc/33/35/04/200333504.db2.gz ZPIHDGPZZDJWOP-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CCC(CC)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000298177252 200353408 /nfs/dbraw/zinc/35/34/08/200353408.db2.gz UYNNIMOHGDVXNF-UHFFFAOYSA-N 0 0 267.281 2.945 20 5 CFBDRN COC(=O)/C=C\c1ccc(NC(C)C)c([N+](=O)[O-])c1 ZINC000298183810 200354798 /nfs/dbraw/zinc/35/47/98/200354798.db2.gz FBSVWPJQIMLIBI-ALCCZGGFSA-N 0 0 264.281 2.601 20 5 CFBDRN COC(=O)CCSc1ccc(Cl)cc1[N+](=O)[O-] ZINC000298196406 200357275 /nfs/dbraw/zinc/35/72/75/200357275.db2.gz DWGWAKCULDHSNF-UHFFFAOYSA-N 0 0 275.713 2.903 20 5 CFBDRN CCCCc1noc([C@H](C)Oc2cccnc2[N+](=O)[O-])n1 ZINC000298203281 200359215 /nfs/dbraw/zinc/35/92/15/200359215.db2.gz JAOZMDOYVVCVIN-VIFPVBQESA-N 0 0 292.295 2.855 20 5 CFBDRN CC(C)CN(C(=O)COc1ccccc1[N+](=O)[O-])C(C)C ZINC000298310398 200391025 /nfs/dbraw/zinc/39/10/25/200391025.db2.gz VLIIAJAMMAOEKJ-UHFFFAOYSA-N 0 0 294.351 2.867 20 5 CFBDRN Cc1sc(C(=O)N2CCCCCC2)cc1[N+](=O)[O-] ZINC000298321108 200394070 /nfs/dbraw/zinc/39/40/70/200394070.db2.gz UBOJWTQCBYSSDS-UHFFFAOYSA-N 0 0 268.338 2.981 20 5 CFBDRN Cc1ccc(NC(=O)CC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000176547735 188999821 /nfs/dbraw/zinc/99/98/21/188999821.db2.gz HZJGKGBIIFLUEC-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN CCc1ccccc1Cn1c(C)c([N+](=O)[O-])ccc1=O ZINC000298272782 200379755 /nfs/dbraw/zinc/37/97/55/200379755.db2.gz PIQIUGAABCBVAO-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000298365954 200407444 /nfs/dbraw/zinc/40/74/44/200407444.db2.gz BYOSDIPZGHCLGP-JTQLQIEISA-N 0 0 250.298 2.711 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000176963731 189017514 /nfs/dbraw/zinc/01/75/14/189017514.db2.gz KWNHQHKXIHGCMS-DTORHVGOSA-N 0 0 266.322 2.528 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000176998817 189019805 /nfs/dbraw/zinc/01/98/05/189019805.db2.gz HBCJRPIXVWNSOH-MWLCHTKSSA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@H]1CCN(C(=O)CCNc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000177007203 189020274 /nfs/dbraw/zinc/02/02/74/189020274.db2.gz LEGCKBOARQLQTI-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN CC(=O)c1ccn(Cc2c(Cl)cccc2[N+](=O)[O-])n1 ZINC000431510933 383680306 /nfs/dbraw/zinc/68/03/06/383680306.db2.gz JCXHWVNJIYSIPM-UHFFFAOYSA-N 0 0 279.683 2.696 20 5 CFBDRN CCCC[C@@H](CCC)NC(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000177293605 189042116 /nfs/dbraw/zinc/04/21/16/189042116.db2.gz WFOZUNCBLFGDKA-LLVKDONJSA-N 0 0 296.371 2.725 20 5 CFBDRN CC[C@H]1CCC[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000298593951 200479501 /nfs/dbraw/zinc/47/95/01/200479501.db2.gz JAEZREJHLKKCIE-CMPLNLGQSA-N 0 0 277.324 2.779 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@H]1CCO ZINC000275048762 192892597 /nfs/dbraw/zinc/89/25/97/192892597.db2.gz SCNOIAHCSZRBEG-SMDDNHRTSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000177841503 189073631 /nfs/dbraw/zinc/07/36/31/189073631.db2.gz BESXMKZMEHCDTJ-DGCLKSJQSA-N 0 0 292.335 2.507 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000177840348 189073746 /nfs/dbraw/zinc/07/37/46/189073746.db2.gz LTGJQEDFZMHOEI-YPMHNXCESA-N 0 0 292.335 2.650 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177866846 189075628 /nfs/dbraw/zinc/07/56/28/189075628.db2.gz GWOAZHZTBBYFIQ-VHRBIJSZSA-N 0 0 292.335 2.505 20 5 CFBDRN CCC1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000298773946 200530760 /nfs/dbraw/zinc/53/07/60/200530760.db2.gz HGORUJNNYWJPQL-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN CC[C@H](C)CN(CC)C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000177984555 189082917 /nfs/dbraw/zinc/08/29/17/189082917.db2.gz VUDAQXQMXYZLCI-VIFPVBQESA-N 0 0 297.330 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](CCO)CC(C)C)n1 ZINC000178243402 189099877 /nfs/dbraw/zinc/09/98/77/189099877.db2.gz OSNHGEJJSUGBMO-GFCCVEGCSA-N 0 0 281.356 2.755 20 5 CFBDRN Cc1cnc(OCc2noc(C(C)(C)C)n2)c([N+](=O)[O-])c1 ZINC000178283944 189103274 /nfs/dbraw/zinc/10/32/74/189103274.db2.gz CMKILDPRGFMENY-UHFFFAOYSA-N 0 0 292.295 2.558 20 5 CFBDRN CN(CCCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000299056394 200593902 /nfs/dbraw/zinc/59/39/02/200593902.db2.gz ULSSVNDSAKKMSX-UHFFFAOYSA-N 0 0 287.319 2.628 20 5 CFBDRN CCC1(NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)CCC1 ZINC000178593106 189129643 /nfs/dbraw/zinc/12/96/43/189129643.db2.gz YJXJZUSCQIJSCD-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN CC(C)c1nc(CN2CCc3c2cccc3[N+](=O)[O-])no1 ZINC000178947333 189156876 /nfs/dbraw/zinc/15/68/76/189156876.db2.gz UFEWCJZKSWXCMQ-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN CC(C)c1nnc(CN2CCc3c2cccc3[N+](=O)[O-])o1 ZINC000178948957 189156969 /nfs/dbraw/zinc/15/69/69/189156969.db2.gz GTGSDNMSYWSUHB-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN CC[C@H](SCc1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000179151711 189173190 /nfs/dbraw/zinc/17/31/90/189173190.db2.gz BTWPGLNGMILRDC-NSHDSACASA-N 0 0 269.322 2.780 20 5 CFBDRN CS[C@@H](C)CC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000179173016 189174310 /nfs/dbraw/zinc/17/43/10/189174310.db2.gz FFZUKOXBXKPGEX-JTQLQIEISA-N 0 0 282.365 2.695 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H]1CC=CCC1 ZINC000179502584 189198349 /nfs/dbraw/zinc/19/83/49/189198349.db2.gz OMXNSOUSOUJGTK-GFCCVEGCSA-N 0 0 299.330 2.844 20 5 CFBDRN Cc1c(NC(=O)c2ccc(CO)cc2)cccc1[N+](=O)[O-] ZINC000205439077 540897353 /nfs/dbraw/zinc/89/73/53/540897353.db2.gz DIBGKJZJLCFYNY-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CC(C)C ZINC000299663606 200826223 /nfs/dbraw/zinc/82/62/23/200826223.db2.gz RCVLTQAHYXEQEG-UHFFFAOYSA-N 0 0 250.298 2.631 20 5 CFBDRN CC(C)CCCSCCn1ccc([N+](=O)[O-])n1 ZINC000180117016 189237596 /nfs/dbraw/zinc/23/75/96/189237596.db2.gz OHGJWEBFRULCAM-UHFFFAOYSA-N 0 0 257.359 2.961 20 5 CFBDRN CCc1ccnc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c1 ZINC000180215332 189244546 /nfs/dbraw/zinc/24/45/46/189244546.db2.gz CWRMIMBVJHCOMB-UHFFFAOYSA-N 0 0 297.314 2.755 20 5 CFBDRN CCCc1nc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000180416162 189254107 /nfs/dbraw/zinc/25/41/07/189254107.db2.gz LYGXHKUPRYMOOC-SNVBAGLBSA-N 0 0 290.323 2.781 20 5 CFBDRN CCOC(=O)[C@H](CC)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180454854 189256438 /nfs/dbraw/zinc/25/64/38/189256438.db2.gz ZHXARZZOWIYCHT-GWCFXTLKSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1nn(C)c(C)c1CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000301063559 200905204 /nfs/dbraw/zinc/90/52/04/200905204.db2.gz CDYFCWXECMJWIQ-UHFFFAOYSA-N 0 0 292.314 2.739 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ccccc1[N+](=O)[O-] ZINC000301220647 200939672 /nfs/dbraw/zinc/93/96/72/200939672.db2.gz BFATUSVBBCOGRA-GWCFXTLKSA-N 0 0 252.314 2.804 20 5 CFBDRN CO[C@@H](C)CCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000180835908 189277922 /nfs/dbraw/zinc/27/79/22/189277922.db2.gz AHCIUUFOFOMKOH-JTQLQIEISA-N 0 0 266.297 2.657 20 5 CFBDRN C[S@@](=O)Cc1cccc(Nc2ncccc2[N+](=O)[O-])c1 ZINC000301295100 200966882 /nfs/dbraw/zinc/96/68/82/200966882.db2.gz OXLLNECHZJVOGP-HXUWFJFHSA-N 0 0 291.332 2.612 20 5 CFBDRN CCOc1cc(N(C)C[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000301444729 201011608 /nfs/dbraw/zinc/01/16/08/201011608.db2.gz OZEXYIMJCWCJQF-ZDUSSCGKSA-N 0 0 294.351 2.999 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC[C@@H](O)C1 ZINC000301464819 201017242 /nfs/dbraw/zinc/01/72/42/201017242.db2.gz GWBHHOWORSSSGD-BXUZGUMPSA-N 0 0 294.351 2.704 20 5 CFBDRN CCOC[C@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000301475904 201021861 /nfs/dbraw/zinc/02/18/61/201021861.db2.gz AVCZMPXDQMJGMY-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1nn(Cc2csc(C(C)(C)C)n2)cc1[N+](=O)[O-] ZINC000181818248 189307675 /nfs/dbraw/zinc/30/76/75/189307675.db2.gz JFLVVSGQUSWVHB-UHFFFAOYSA-N 0 0 280.353 2.902 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000301506929 201038262 /nfs/dbraw/zinc/03/82/62/201038262.db2.gz DATBACSBJJQNQX-BFHYXJOUSA-N 0 0 276.336 2.845 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])cc2C(=O)NC)CC1 ZINC000301551317 201066149 /nfs/dbraw/zinc/06/61/49/201066149.db2.gz MGIYEMZBACOSGX-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CCOc1cc(N[C@H]2CCC[C@@]2(C)CO)ccc1[N+](=O)[O-] ZINC000301596904 201088312 /nfs/dbraw/zinc/08/83/12/201088312.db2.gz SOQBTHTUWDLDCF-GJZGRUSLSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1ccnc(N[C@H](C)C[C@@H](O)c2ccco2)c1[N+](=O)[O-] ZINC000301647664 201113136 /nfs/dbraw/zinc/11/31/36/201113136.db2.gz QHCMQADYIZQOJZ-GHMZBOCLSA-N 0 0 291.307 2.815 20 5 CFBDRN Cc1nc(Nc2ccc(N(C)C)nc2)ccc1[N+](=O)[O-] ZINC000301631185 201106174 /nfs/dbraw/zinc/10/61/74/201106174.db2.gz JEPUTSWVPQIKKD-UHFFFAOYSA-N 0 0 273.296 2.503 20 5 CFBDRN CSCC[C@H](C)N(C)c1ccc([N+](=O)[O-])c(C)n1 ZINC000301637713 201110527 /nfs/dbraw/zinc/11/05/27/201110527.db2.gz FOZKFQDJWYQOLH-VIFPVBQESA-N 0 0 269.370 2.876 20 5 CFBDRN Cc1nc(N(C)CCc2ccccc2)ccc1[N+](=O)[O-] ZINC000301642632 201112528 /nfs/dbraw/zinc/11/25/28/201112528.db2.gz MQWUMDDJFNSURS-UHFFFAOYSA-N 0 0 271.320 2.977 20 5 CFBDRN CCC[C@H]1CCCN(c2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000301612030 201095270 /nfs/dbraw/zinc/09/52/70/201095270.db2.gz FKUJQNBPXKHPTL-NSHDSACASA-N 0 0 266.345 2.653 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@H](O)c3ccccc3C2)c1 ZINC000367174620 283666885 /nfs/dbraw/zinc/66/68/85/283666885.db2.gz WPFUHIPNXZFUSX-INIZCTEOSA-N 0 0 299.330 2.621 20 5 CFBDRN Cc1cc(N2CC[C@@H](Oc3ccncc3)C2)ccc1[N+](=O)[O-] ZINC000301619491 201098793 /nfs/dbraw/zinc/09/87/93/201098793.db2.gz BYVFSFICNUVIFW-OAHLLOKOSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1nc(NCc2ccc(Cl)nc2)ccc1[N+](=O)[O-] ZINC000301626267 201103815 /nfs/dbraw/zinc/10/38/15/201103815.db2.gz HKRLIZMZMUNXPB-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1noc(C)c1CCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000301626673 201104250 /nfs/dbraw/zinc/10/42/50/201104250.db2.gz AYNMKASXVLFUET-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN Cc1cccc2nc(CNc3ccc([N+](=O)[O-])cn3)n(C)c21 ZINC000301652520 201116476 /nfs/dbraw/zinc/11/64/76/201116476.db2.gz HARRVMUJZVBUEV-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)C(C)(C)O1 ZINC000183073432 189345678 /nfs/dbraw/zinc/34/56/78/189345678.db2.gz WTSXKEAYZZBIJM-VIFPVBQESA-N 0 0 298.364 2.732 20 5 CFBDRN Cc1nc(N(C)[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000301686862 201137324 /nfs/dbraw/zinc/13/73/24/201137324.db2.gz XGVXESYJMSVUMF-ZLKJLUDKSA-N 0 0 291.351 2.548 20 5 CFBDRN Cn1ccnc1[C@@H](NCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000183306782 189354489 /nfs/dbraw/zinc/35/44/89/189354489.db2.gz MLWGYFAQEPMYID-AWEZNQCLSA-N 0 0 286.335 2.569 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C)[C@H](C)C(=O)OC(C)C ZINC000183381867 189357519 /nfs/dbraw/zinc/35/75/19/189357519.db2.gz UYFQPVYBKXPZBO-GFCCVEGCSA-N 0 0 294.351 2.675 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183564064 189362491 /nfs/dbraw/zinc/36/24/91/189362491.db2.gz CVIRENDILPSJMH-IUCAKERBSA-N 0 0 266.301 2.546 20 5 CFBDRN CC(C)C[C@@H]1CCN(C(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000183569801 189362581 /nfs/dbraw/zinc/36/25/81/189362581.db2.gz BMAPNIKFBFTAEV-NSHDSACASA-N 0 0 292.339 2.890 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCCCCCO ZINC000183627709 189364611 /nfs/dbraw/zinc/36/46/11/189364611.db2.gz AGCBKBARWFUQPR-UHFFFAOYSA-N 0 0 264.325 2.510 20 5 CFBDRN CC[C@@H](C)Nc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000301709210 201152650 /nfs/dbraw/zinc/15/26/50/201152650.db2.gz WUJWOKOFKWZWGX-SECBINFHSA-N 0 0 266.297 2.900 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)c1ncc(F)cn1 ZINC000301764049 201182491 /nfs/dbraw/zinc/18/24/91/201182491.db2.gz HEIQSZUBDDXXCX-UHFFFAOYSA-N 0 0 296.689 2.814 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000301768469 201185675 /nfs/dbraw/zinc/18/56/75/201185675.db2.gz DTQFLPFYTCJMIY-SNVBAGLBSA-N 0 0 286.278 2.878 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1cccc(OCC)c1[N+](=O)[O-] ZINC000184132392 189376848 /nfs/dbraw/zinc/37/68/48/189376848.db2.gz VQKQCALICPMFBM-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000424897984 238899697 /nfs/dbraw/zinc/89/96/97/238899697.db2.gz SFMHCZWUENRUPC-JSGCOSHPSA-N 0 0 274.320 2.761 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(c1ncccn1)C1CC1 ZINC000301778471 201192258 /nfs/dbraw/zinc/19/22/58/201192258.db2.gz MJAWHYFQNZOJKM-UHFFFAOYSA-N 0 0 270.292 2.554 20 5 CFBDRN CC(C)OC(=O)CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000301803528 201209288 /nfs/dbraw/zinc/20/92/88/201209288.db2.gz UVCBCKPJUODPDW-UHFFFAOYSA-N 0 0 288.250 2.627 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCCCC[C@H]2C(N)=O)c1 ZINC000301907289 201258241 /nfs/dbraw/zinc/25/82/41/201258241.db2.gz ALLJYLCICVSLBF-VXGBXAGGSA-N 0 0 291.351 2.749 20 5 CFBDRN C[C@H]1CC(Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000301913007 201259311 /nfs/dbraw/zinc/25/93/11/201259311.db2.gz CHWAPHSNYJOHPF-IUCAKERBSA-N 0 0 295.295 2.871 20 5 CFBDRN CC(C)(C)Oc1cc(N2CC[C@@H](CO)C2)ccc1[N+](=O)[O-] ZINC000301914658 201261621 /nfs/dbraw/zinc/26/16/21/201261621.db2.gz UZNKEBZKMYFTQK-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301959528 201278609 /nfs/dbraw/zinc/27/86/09/201278609.db2.gz HCJKPEMYHSRCHL-GHMZBOCLSA-N 0 0 289.339 2.876 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H]1COc2ccccc2O1 ZINC000301985614 201289044 /nfs/dbraw/zinc/28/90/44/201289044.db2.gz JSYYTAFQODPOLK-VIFPVBQESA-N 0 0 292.316 2.908 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCc1ccc(CO)cc1 ZINC000302030251 201309388 /nfs/dbraw/zinc/30/93/88/201309388.db2.gz RUDPDZYJWPBKLY-UHFFFAOYSA-N 0 0 290.294 2.881 20 5 CFBDRN C[C@](O)(CNc1sccc1[N+](=O)[O-])c1ccccc1 ZINC000302004768 201294738 /nfs/dbraw/zinc/29/47/38/201294738.db2.gz KMSKDCBUJHQCBC-ZDUSSCGKSA-N 0 0 278.333 2.976 20 5 CFBDRN Cn1c(Sc2ncccc2[N+](=O)[O-])nnc1C1CCC1 ZINC000302054514 201322649 /nfs/dbraw/zinc/32/26/49/201322649.db2.gz PXAMFHMNRZJZKL-UHFFFAOYSA-N 0 0 291.336 2.537 20 5 CFBDRN Cn1c(Sc2ccc([N+](=O)[O-])cn2)nnc1C1CCC1 ZINC000302072576 201331705 /nfs/dbraw/zinc/33/17/05/201331705.db2.gz ZIJRGBBVMJUOOL-UHFFFAOYSA-N 0 0 291.336 2.537 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccc(Cl)cn1 ZINC000302045432 201315838 /nfs/dbraw/zinc/31/58/38/201315838.db2.gz XXDTYDILMSQJNP-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CC(C)(C)OC2(C)C)c1[N+](=O)[O-] ZINC000302075684 201333135 /nfs/dbraw/zinc/33/31/35/201333135.db2.gz WDMUGJHHJYHQBV-JTQLQIEISA-N 0 0 296.371 2.649 20 5 CFBDRN CCN(CC(F)F)c1c(F)cc([N+](=O)[O-])cc1F ZINC000302121670 201348886 /nfs/dbraw/zinc/34/88/86/201348886.db2.gz GLOLNTJDJCGJHH-UHFFFAOYSA-N 0 0 266.194 2.964 20 5 CFBDRN COc1ccc(C)cc1CSc1ncc([N+](=O)[O-])cn1 ZINC000302124968 201350804 /nfs/dbraw/zinc/35/08/04/201350804.db2.gz AEYVEJRQEJIVMT-UHFFFAOYSA-N 0 0 291.332 2.994 20 5 CFBDRN CC[C@](C)(O)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000302151312 201368247 /nfs/dbraw/zinc/36/82/47/201368247.db2.gz KMFMLZBTFFOELZ-LBPRGKRZSA-N 0 0 290.266 2.769 20 5 CFBDRN CN(CCc1ccc(Cl)cc1)c1ncc([N+](=O)[O-])cn1 ZINC000302138552 201360295 /nfs/dbraw/zinc/36/02/95/201360295.db2.gz CLHMCSKXVIBYTO-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN Cc1ccc(NCc2ccc3[nH]c(=O)[nH]c3c2)c([N+](=O)[O-])c1 ZINC000302192711 201389018 /nfs/dbraw/zinc/38/90/18/201389018.db2.gz WCJZKFJSODSUNW-UHFFFAOYSA-N 0 0 298.302 2.685 20 5 CFBDRN Cc1cncc(N(Cc2ccccc2[N+](=O)[O-])C2CC2)n1 ZINC000302164471 201375228 /nfs/dbraw/zinc/37/52/28/201375228.db2.gz QUWKZOQMGOGMEC-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN Cc1cccc2[nH]cc(CCNc3ncc([N+](=O)[O-])cn3)c21 ZINC000302167912 201377566 /nfs/dbraw/zinc/37/75/66/201377566.db2.gz SJEVYQSBDIALOO-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2CC(c3ccccc3)C2)nc1 ZINC000302172865 201380450 /nfs/dbraw/zinc/38/04/50/201380450.db2.gz VMMUCVQEHBHJKA-UHFFFAOYSA-N 0 0 270.292 2.743 20 5 CFBDRN Cc1ccc(C[NH2+]CCCCCC(=O)[O-])cc1[N+](=O)[O-] ZINC000426701234 239250992 /nfs/dbraw/zinc/25/09/92/239250992.db2.gz ZCNALZYRFJKDLF-UHFFFAOYSA-N 0 0 280.324 2.638 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2C[C@@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000186840775 189452863 /nfs/dbraw/zinc/45/28/63/189452863.db2.gz DOUXZBUHMXRKCZ-ZJUUUORDSA-N 0 0 263.297 2.529 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000302176429 201384338 /nfs/dbraw/zinc/38/43/38/201384338.db2.gz XGOMRPZKVPBWJC-YUMQZZPRSA-N 0 0 290.266 2.625 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])ccc2C)ncn1 ZINC000427529545 239315918 /nfs/dbraw/zinc/31/59/18/239315918.db2.gz WRQSCAFNMHZOPB-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1cccnc1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000427741899 239334746 /nfs/dbraw/zinc/33/47/46/239334746.db2.gz FAUPFADMYIJZLC-NSHDSACASA-N 0 0 297.314 2.890 20 5 CFBDRN CSCCCCCNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000188252535 189495853 /nfs/dbraw/zinc/49/58/53/189495853.db2.gz QUJVENCOHAHSCE-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000428659197 239506268 /nfs/dbraw/zinc/50/62/68/239506268.db2.gz GOUAHVMUYFPVNJ-BDAKNGLRSA-N 0 0 280.353 2.929 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H](C)CCC2CC2)c([N+](=O)[O-])c1 ZINC000302224589 201408675 /nfs/dbraw/zinc/40/86/75/201408675.db2.gz QNKVGUYVRJMZGA-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCC[C@@H]2CCCO2)c1 ZINC000189619052 189540158 /nfs/dbraw/zinc/54/01/58/189540158.db2.gz UWVPEOJNJHPWGB-JTQLQIEISA-N 0 0 254.261 2.715 20 5 CFBDRN CC(C)Oc1nccnc1NCc1cccc([N+](=O)[O-])c1 ZINC000302227410 201411015 /nfs/dbraw/zinc/41/10/15/201411015.db2.gz QHBYGSXVYBSSEB-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@@H](C)CCCO)cc1[N+](=O)[O-] ZINC000190081160 189554054 /nfs/dbraw/zinc/55/40/54/189554054.db2.gz NFASOPILWBIVJS-NSHDSACASA-N 0 0 294.351 2.609 20 5 CFBDRN O=[N+]([O-])c1ccc(CO[C@@H]2CCCc3c[nH]nc32)cc1 ZINC000190141097 189555265 /nfs/dbraw/zinc/55/52/65/189555265.db2.gz PJKJUNSUVQERPX-CYBMUJFWSA-N 0 0 273.292 2.912 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCC=C(C)C ZINC000190584241 189569320 /nfs/dbraw/zinc/56/93/20/189569320.db2.gz DMWQWKYVNULKGQ-UHFFFAOYSA-N 0 0 279.296 2.691 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CC12CCCC2 ZINC000430235521 239731228 /nfs/dbraw/zinc/73/12/28/239731228.db2.gz DPIOTVKBHLYZBU-AWEZNQCLSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1ccc(C2CC2)nn1 ZINC000432424998 240003698 /nfs/dbraw/zinc/00/36/98/240003698.db2.gz SIWSRLZKTVAWCW-UHFFFAOYSA-N 0 0 284.319 2.605 20 5 CFBDRN Cc1ccnc(-c2noc(-c3cc([N+](=O)[O-])ccc3C)n2)n1 ZINC000432689870 240030167 /nfs/dbraw/zinc/03/01/67/240030167.db2.gz MCFBPCNFXMSFMK-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cccc(CO)n2)c(Cl)c1 ZINC000432747567 240037855 /nfs/dbraw/zinc/03/78/55/240037855.db2.gz KQGRUOUYAYAIFO-UHFFFAOYSA-N 0 0 293.710 2.748 20 5 CFBDRN CC(C)(C(=O)NCc1ccoc1)c1ccccc1[N+](=O)[O-] ZINC000432883784 240062690 /nfs/dbraw/zinc/06/26/90/240062690.db2.gz KKXHDIPTRDWTPZ-UHFFFAOYSA-N 0 0 288.303 2.782 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000193803068 189650377 /nfs/dbraw/zinc/65/03/77/189650377.db2.gz JXBXLUIJHXKEQR-PWSUYJOCSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])C(C)(C)C ZINC000433784254 240174678 /nfs/dbraw/zinc/17/46/78/240174678.db2.gz VGSKMMVAXFNJHY-LBPRGKRZSA-N 0 0 279.340 2.930 20 5 CFBDRN COc1ccc(OCCS[C@H](C)C(C)=O)c([N+](=O)[O-])c1 ZINC000434795316 240275388 /nfs/dbraw/zinc/27/53/88/240275388.db2.gz QAIXQWZHPCNCPY-SNVBAGLBSA-N 0 0 299.348 2.693 20 5 CFBDRN Cc1ccc(CN2C[C@H](O)Cc3ccccc32)cc1[N+](=O)[O-] ZINC000435046518 240283742 /nfs/dbraw/zinc/28/37/42/240283742.db2.gz SUPJBSBWISONMQ-OAHLLOKOSA-N 0 0 298.342 2.827 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000435970140 240374613 /nfs/dbraw/zinc/37/46/13/240374613.db2.gz VTKPYIGPHLSCPF-WDEREUQCSA-N 0 0 280.711 2.777 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cnoc2C2CC2)nc1C1CC1 ZINC000436210873 240409740 /nfs/dbraw/zinc/40/97/40/240409740.db2.gz OTIILQHXXSULFY-UHFFFAOYSA-N 0 0 274.280 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCCSCC1)CCN2 ZINC000275374615 193013124 /nfs/dbraw/zinc/01/31/24/193013124.db2.gz OAIHDOXGUKXOSO-UHFFFAOYSA-N 0 0 279.365 2.506 20 5 CFBDRN CC(=O)N1CCC(CNc2cccc(C)c2[N+](=O)[O-])CC1 ZINC000275482854 193054732 /nfs/dbraw/zinc/05/47/32/193054732.db2.gz PZLWRNXGTRDSFG-UHFFFAOYSA-N 0 0 291.351 2.574 20 5 CFBDRN CC1(C)CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CC[C@H]1O ZINC000275520769 193065258 /nfs/dbraw/zinc/06/52/58/193065258.db2.gz VIMREBSCOKWIRZ-CYBMUJFWSA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1cccc(N(C)Cc2ncc(Cl)n2C)c1[N+](=O)[O-] ZINC000275524535 193066681 /nfs/dbraw/zinc/06/66/81/193066681.db2.gz XAKRMGVHMJFUAX-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN Cc1nn(C)c(Nc2cnn([C@H](C)C3CC3)c2)c1[N+](=O)[O-] ZINC000302410890 201445435 /nfs/dbraw/zinc/44/54/35/201445435.db2.gz LKDJRUSOMOLCAA-SECBINFHSA-N 0 0 290.327 2.548 20 5 CFBDRN CO[C@@H](C)CCNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000275755660 193121703 /nfs/dbraw/zinc/12/17/03/193121703.db2.gz DWSKTZRMUZCMEZ-NSHDSACASA-N 0 0 294.351 2.873 20 5 CFBDRN CC(C)(C)CCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000275776620 193124470 /nfs/dbraw/zinc/12/44/70/193124470.db2.gz WWFGIEXOAGELBR-UHFFFAOYSA-N 0 0 264.281 2.939 20 5 CFBDRN COC(=O)Cc1ccc(N2CC[C@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000297383861 283886169 /nfs/dbraw/zinc/88/61/69/283886169.db2.gz DPGUWMPAMDCMET-WDEREUQCSA-N 0 0 292.335 2.545 20 5 CFBDRN COC[C@@H](Cc1ccccc1)Nc1ncc([N+](=O)[O-])s1 ZINC000443507873 241068173 /nfs/dbraw/zinc/06/81/73/241068173.db2.gz HSDYNVUYEKCQDN-LLVKDONJSA-N 0 0 293.348 2.721 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000443864304 241090920 /nfs/dbraw/zinc/09/09/20/241090920.db2.gz ZNKRWZKKACQYEO-YFKPBYRVSA-N 0 0 280.177 2.871 20 5 CFBDRN Cn1cc([C@H](Nc2ccc([N+](=O)[O-])cn2)C(C)(C)C)cn1 ZINC000444084071 241112897 /nfs/dbraw/zinc/11/28/97/241112897.db2.gz KCACNXUHMCPFQO-ZDUSSCGKSA-N 0 0 289.339 2.923 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000444469063 241144611 /nfs/dbraw/zinc/14/46/11/241144611.db2.gz SBZCKKNFTLXXJO-ZJUUUORDSA-N 0 0 287.319 2.995 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC[C@@H](C)C1 ZINC000444921335 241201021 /nfs/dbraw/zinc/20/10/21/241201021.db2.gz LOTWHCILVCYVOC-NXEZZACHSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000444309439 241135457 /nfs/dbraw/zinc/13/54/57/241135457.db2.gz ACRAZMIFZRHKQB-APPZFPTMSA-N 0 0 268.338 2.883 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000444309442 241135890 /nfs/dbraw/zinc/13/58/90/241135890.db2.gz ACRAZMIFZRHKQB-VXNVDRBHSA-N 0 0 268.338 2.883 20 5 CFBDRN COC[C@@H](C)CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000446059235 241268138 /nfs/dbraw/zinc/26/81/38/241268138.db2.gz IRGQFGBDTOCREC-QWRGUYRKSA-N 0 0 292.335 2.545 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(-c2cccc(O)c2)n1 ZINC000445185322 241208559 /nfs/dbraw/zinc/20/85/59/241208559.db2.gz IGSXQIISUAMUHJ-UHFFFAOYSA-N 0 0 297.270 2.941 20 5 CFBDRN COC[C@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000445644934 241228381 /nfs/dbraw/zinc/22/83/81/241228381.db2.gz YPAMFCNMIMORTA-SECBINFHSA-N 0 0 266.297 2.514 20 5 CFBDRN Cc1ncsc1CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000446095942 241277861 /nfs/dbraw/zinc/27/78/61/241277861.db2.gz PXENDUDHLGWWFF-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCCCCNc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000302587897 201484181 /nfs/dbraw/zinc/48/41/81/201484181.db2.gz AHIUKJPEYPZGRG-UHFFFAOYSA-N 0 0 286.353 2.600 20 5 CFBDRN Cc1cc(OCC(=O)c2nccs2)c(F)cc1[N+](=O)[O-] ZINC000447303443 241366111 /nfs/dbraw/zinc/36/61/11/241366111.db2.gz FHZOZJJZTRVOKI-UHFFFAOYSA-N 0 0 296.279 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOc2ncccc2F)cc1 ZINC000446871078 241335096 /nfs/dbraw/zinc/33/50/96/241335096.db2.gz LCHYDNBWDDAPNH-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN CC(C)c1nc(-n2ccc([N+](=O)[O-])n2)cc(C(C)(C)C)n1 ZINC000302661417 201502492 /nfs/dbraw/zinc/50/24/92/201502492.db2.gz BAVCUOCKPLEYAM-UHFFFAOYSA-N 0 0 289.339 2.991 20 5 CFBDRN C[C@]1(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CC=CCC1 ZINC000448774874 241549431 /nfs/dbraw/zinc/54/94/31/241549431.db2.gz NYAPOAGNUXUAPC-INIZCTEOSA-N 0 0 288.347 3.000 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCOCC1CC1 ZINC000224513084 189742107 /nfs/dbraw/zinc/74/21/07/189742107.db2.gz BDAZCXXTPICILN-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@H]2O)c2cccc([N+](=O)[O-])c2n1 ZINC000302667415 201504090 /nfs/dbraw/zinc/50/40/90/201504090.db2.gz MWYSJWBTIFOCSC-BXUZGUMPSA-N 0 0 287.319 2.777 20 5 CFBDRN CCn1ccnc1[C@H](C)NCc1ccc([N+](=O)[O-])cc1F ZINC000449563142 242034698 /nfs/dbraw/zinc/03/46/98/242034698.db2.gz RTOOJQUSDPIDIK-JTQLQIEISA-N 0 0 292.314 2.801 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cnc(C3CC3)o2)cc1 ZINC000449697433 242111896 /nfs/dbraw/zinc/11/18/96/242111896.db2.gz KYIXPUQDMOBHSF-UHFFFAOYSA-N 0 0 273.292 2.750 20 5 CFBDRN COc1cc(C(=O)NC[C@H](C)C(C)C)c([N+](=O)[O-])cc1F ZINC000449919703 242208752 /nfs/dbraw/zinc/20/87/52/242208752.db2.gz SNTZVJGSSDWFNQ-VIFPVBQESA-N 0 0 298.314 2.764 20 5 CFBDRN Cc1nc(Nc2ccc3c(c2)CCCO3)ncc1[N+](=O)[O-] ZINC000450303491 242349508 /nfs/dbraw/zinc/34/95/08/242349508.db2.gz NEWZQYTXJZGLIN-UHFFFAOYSA-N 0 0 286.291 2.762 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CC3)C2)cc1OC(F)F ZINC000450582802 242490080 /nfs/dbraw/zinc/49/00/80/242490080.db2.gz RFUQNFMFJPYGSE-UHFFFAOYSA-N 0 0 270.235 2.796 20 5 CFBDRN CC(C)c1nnc(N(C)CCc2cccc([N+](=O)[O-])c2)o1 ZINC000450511036 242460107 /nfs/dbraw/zinc/46/01/07/242460107.db2.gz PKQTYDMBUOVLGJ-UHFFFAOYSA-N 0 0 290.323 2.780 20 5 CFBDRN CO[C@](C)(CNc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC000450730202 242569275 /nfs/dbraw/zinc/56/92/75/242569275.db2.gz VSZGVGWCBNELBE-OAHLLOKOSA-N 0 0 287.319 2.964 20 5 CFBDRN Cc1nc(NC[C@H]2CCO[C@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000450739798 242574742 /nfs/dbraw/zinc/57/47/42/242574742.db2.gz RSPGLDCFLKIHMZ-JSGCOSHPSA-N 0 0 293.367 2.583 20 5 CFBDRN COc1cc(N(C)CC[C@@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000302726959 201527684 /nfs/dbraw/zinc/52/76/84/201527684.db2.gz SKNBGAKXHBCGGZ-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CC23CCOCC3)n1 ZINC000450789487 242607394 /nfs/dbraw/zinc/60/73/94/242607394.db2.gz GAEYSJSBPJDYFL-LLVKDONJSA-N 0 0 277.324 2.588 20 5 CFBDRN C[C@H]1CCC(=O)[C@@H](Sc2ncccc2[N+](=O)[O-])C1 ZINC000450814715 242617568 /nfs/dbraw/zinc/61/75/68/242617568.db2.gz ZKCWRWJBATZPOY-KWQFWETISA-N 0 0 266.322 2.840 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000451134834 242744892 /nfs/dbraw/zinc/74/48/92/242744892.db2.gz SGAONPDEJCPEFI-ZYHUDNBSSA-N 0 0 262.309 2.566 20 5 CFBDRN CCOC1CC(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000286716183 196686549 /nfs/dbraw/zinc/68/65/49/196686549.db2.gz ORCBMWBGPWVOSB-UHFFFAOYSA-N 0 0 278.308 2.657 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452182416 243077646 /nfs/dbraw/zinc/07/76/46/243077646.db2.gz HLOMJPYXSHAQIB-SUNKGSAMSA-N 0 0 294.351 2.833 20 5 CFBDRN O=C(NCCCC1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000452206346 243084580 /nfs/dbraw/zinc/08/45/80/243084580.db2.gz CPQSHAQEBPTCCA-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN O=C(NCCCC1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000452209599 243086499 /nfs/dbraw/zinc/08/64/99/243086499.db2.gz QVQCVCQCHATCMR-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(F)F ZINC000452241409 243095899 /nfs/dbraw/zinc/09/58/99/243095899.db2.gz HAWSDLLFWRCXMC-SNVBAGLBSA-N 0 0 272.251 2.677 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CC[C@H]1C1CC1 ZINC000452501914 243198123 /nfs/dbraw/zinc/19/81/23/243198123.db2.gz RGADPQNVPLKDSI-HNNXBMFYSA-N 0 0 299.330 2.560 20 5 CFBDRN CC/C=C\CNC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000452604332 243240029 /nfs/dbraw/zinc/24/00/29/243240029.db2.gz QAYLGUSBGOKRHV-ARJAWSKDSA-N 0 0 290.319 2.640 20 5 CFBDRN Cc1ccccc1CCN(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000452758878 243289023 /nfs/dbraw/zinc/28/90/23/243289023.db2.gz SKOICXZLOVWDGF-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1CCCC1(F)F ZINC000452814534 243307595 /nfs/dbraw/zinc/30/75/95/243307595.db2.gz QKAZIDZAMSVRJH-NSHDSACASA-N 0 0 298.289 2.689 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC[C@H]1C1CC1 ZINC000452992356 243358226 /nfs/dbraw/zinc/35/82/26/243358226.db2.gz NWFWDRMVKQBRLR-ZDUSSCGKSA-N 0 0 297.314 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C(C)(F)F ZINC000452861815 243323948 /nfs/dbraw/zinc/32/39/48/243323948.db2.gz JTGOCUNSFNLIHF-MRVPVSSYSA-N 0 0 272.251 2.677 20 5 CFBDRN CCO[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@@H]1C ZINC000452900003 243333043 /nfs/dbraw/zinc/33/30/43/243333043.db2.gz GQDQNAIHWIJJHQ-VHSXEESVSA-N 0 0 298.364 2.543 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(/C=C\[C@H]3CCOC3)n2)c1 ZINC000453025895 243367804 /nfs/dbraw/zinc/36/78/04/243367804.db2.gz MJPVRBITYZXZQR-LWTINBJPSA-N 0 0 287.275 2.695 20 5 CFBDRN Cn1c(C(=O)NC(C)(C)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000330563595 202977677 /nfs/dbraw/zinc/97/76/77/202977677.db2.gz UMMSUTVLPCPKMO-UHFFFAOYSA-N 0 0 281.356 2.878 20 5 CFBDRN C[C@H]1N(Cc2cccc([N+](=O)[O-])c2)CCOC1(C)C ZINC000330609250 203034002 /nfs/dbraw/zinc/03/40/02/203034002.db2.gz LVYANOASWALQAJ-LLVKDONJSA-N 0 0 264.325 2.594 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCC[C@@H]2CCCO2)c1 ZINC000276034265 193194426 /nfs/dbraw/zinc/19/44/26/193194426.db2.gz DYMBHJWRDDOQGU-NSHDSACASA-N 0 0 255.245 2.682 20 5 CFBDRN CCC[C@@H](NC(=O)c1[nH]nc(CC)c1[N+](=O)[O-])C(C)(C)C ZINC000456105324 244075398 /nfs/dbraw/zinc/07/53/98/244075398.db2.gz GKDJAWJVPPWMBC-SNVBAGLBSA-N 0 0 296.371 2.825 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000330693856 203126337 /nfs/dbraw/zinc/12/63/37/203126337.db2.gz BRINUYGCDJSDIU-UMSPYCQHSA-N 0 0 262.309 2.903 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1C[C@H]1C(C)C ZINC000456290907 244128299 /nfs/dbraw/zinc/12/82/99/244128299.db2.gz CHLLFSSHQACXAY-GWCFXTLKSA-N 0 0 292.335 2.625 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000456325580 244140046 /nfs/dbraw/zinc/14/00/46/244140046.db2.gz HPRZQLWKAJOWDO-IUCAKERBSA-N 0 0 268.338 2.678 20 5 CFBDRN CC[C@H](NC(=O)c1nn(CC)cc1[N+](=O)[O-])C1CCCC1 ZINC000456669153 244257143 /nfs/dbraw/zinc/25/71/43/244257143.db2.gz WCFTWVOLXNHNLT-NSHDSACASA-N 0 0 294.355 2.510 20 5 CFBDRN COCC1(CNC(=O)c2ccccc2[N+](=O)[O-])CCCC1 ZINC000456886084 244318872 /nfs/dbraw/zinc/31/88/72/244318872.db2.gz SHBGCQSLMAWSED-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN Cc1cccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000457023809 244362937 /nfs/dbraw/zinc/36/29/37/244362937.db2.gz FBTVKKKTTOLFRB-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN COc1ccc(C(=O)N2CC(C(C)(C)C)C2)cc1[N+](=O)[O-] ZINC000457334931 244485631 /nfs/dbraw/zinc/48/56/31/244485631.db2.gz XOVIEECVRCKPQH-UHFFFAOYSA-N 0 0 292.335 2.722 20 5 CFBDRN CC(C)[C@H](C)CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000330857939 203319279 /nfs/dbraw/zinc/31/92/79/203319279.db2.gz UQYDKGLKWRSNGU-SNVBAGLBSA-N 0 0 265.313 2.635 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@]1(C)CCCC[C@H]1C ZINC000457253234 244456485 /nfs/dbraw/zinc/45/64/85/244456485.db2.gz KIDCEEWWAYNNSV-BMIGLBTASA-N 0 0 291.351 2.997 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@]2(C)CCOC2)cc1[N+](=O)[O-] ZINC000330858104 203321956 /nfs/dbraw/zinc/32/19/56/203321956.db2.gz OIBWLHPSOWBBMC-IAQYHMDHSA-N 0 0 292.335 2.507 20 5 CFBDRN CC1(C)C[C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C(=O)O1 ZINC000276242104 193253522 /nfs/dbraw/zinc/25/35/22/193253522.db2.gz PMVXAZFSVIEKDE-SECBINFHSA-N 0 0 284.699 2.754 20 5 CFBDRN Cc1cc(N[C@H]2CSC2(C)C)ncc1[N+](=O)[O-] ZINC000276249689 193256823 /nfs/dbraw/zinc/25/68/23/193256823.db2.gz AXMOTXGQOMBGNN-VIFPVBQESA-N 0 0 253.327 2.604 20 5 CFBDRN CCC(O)(CC)CCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000276261078 193260659 /nfs/dbraw/zinc/26/06/59/193260659.db2.gz SNDMJFHCRGYBPG-UHFFFAOYSA-N 0 0 267.329 2.651 20 5 CFBDRN C[C@H](Cn1cc([N+](=O)[O-])ccc1=O)c1ccccc1 ZINC000116609969 376197325 /nfs/dbraw/zinc/19/73/25/376197325.db2.gz PTUNLYFVBXBSTH-LLVKDONJSA-N 0 0 258.277 2.560 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@]1(C)CCOC1 ZINC000276475429 193346503 /nfs/dbraw/zinc/34/65/03/193346503.db2.gz ZQNNFDCMDOKMRS-CQSZACIVSA-N 0 0 293.323 2.512 20 5 CFBDRN C[C@@H](Nc1nc2ccccc2cc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000276594734 193394392 /nfs/dbraw/zinc/39/43/92/193394392.db2.gz JVRMYPWUTQCXOB-ZYHUDNBSSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000331090660 203532712 /nfs/dbraw/zinc/53/27/12/203532712.db2.gz VVPBLLSNHQSPCZ-WCQYABFASA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H](O)C(C)(C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276686176 193427857 /nfs/dbraw/zinc/42/78/57/193427857.db2.gz VRDHRFRYHYFEKH-VIFPVBQESA-N 0 0 275.308 2.714 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000458122878 244773364 /nfs/dbraw/zinc/77/33/64/244773364.db2.gz AUSCZXSNHVXVEL-BXKDBHETSA-N 0 0 268.338 2.821 20 5 CFBDRN Cc1c(CC(=O)NC[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000331090378 203533749 /nfs/dbraw/zinc/53/37/49/203533749.db2.gz VOHDOFKSQRLCHH-AAEUAGOBSA-N 0 0 290.363 2.998 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)C1CC(C)(C)C1 ZINC000458187210 244794658 /nfs/dbraw/zinc/79/46/58/244794658.db2.gz NLEDWYJQDBMQPE-UHFFFAOYSA-N 0 0 294.326 2.923 20 5 CFBDRN CCS[C@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000331146339 203573633 /nfs/dbraw/zinc/57/36/33/203573633.db2.gz ZJTXVLJSKFAEKN-ONGXEEELSA-N 0 0 297.380 2.717 20 5 CFBDRN Cc1c(CNc2ncc(Cl)cn2)cccc1[N+](=O)[O-] ZINC000231868710 189815638 /nfs/dbraw/zinc/81/56/38/189815638.db2.gz RFPRJNCFTMSAIY-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1ccc(NC(=O)NC2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000277164294 193571950 /nfs/dbraw/zinc/57/19/50/193571950.db2.gz XLAYBQLKEBKYRD-UHFFFAOYSA-N 0 0 285.250 2.822 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000331273219 203672744 /nfs/dbraw/zinc/67/27/44/203672744.db2.gz VWVZEJUSHFCILN-GVXVVHGQSA-N 0 0 292.335 2.505 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000459195515 245092795 /nfs/dbraw/zinc/09/27/95/245092795.db2.gz NXWCIGSKGRHRCI-PHIMTYICSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@H](NC(=O)NC1CC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000277438971 193654123 /nfs/dbraw/zinc/65/41/23/193654123.db2.gz IYGIDOFZTYOFAX-QMMMGPOBSA-N 0 0 299.277 2.753 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2CCn1cc(Cl)cn1 ZINC000459369220 245158931 /nfs/dbraw/zinc/15/89/31/245158931.db2.gz FZVVMBJVQJPUNT-UHFFFAOYSA-N 0 0 292.726 2.507 20 5 CFBDRN CCc1cccc(N(C)Cc2c([N+](=O)[O-])ncn2C)c1 ZINC000459595656 245233810 /nfs/dbraw/zinc/23/38/10/245233810.db2.gz RTFZBBCWPXUTMO-UHFFFAOYSA-N 0 0 274.324 2.527 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNCc1cnc(C)o1 ZINC000459674152 245268074 /nfs/dbraw/zinc/26/80/74/245268074.db2.gz KFIPHYQRTATCPP-UHFFFAOYSA-N 0 0 291.307 2.580 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(C2)CCOCC3)c(Cl)c1 ZINC000459617894 245242861 /nfs/dbraw/zinc/24/28/61/245242861.db2.gz JVHQZONGNAEEPI-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN CC(C)C[C@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000331676670 204066098 /nfs/dbraw/zinc/06/60/98/204066098.db2.gz SSUQOKGRGHYLNS-LLVKDONJSA-N 0 0 294.355 2.749 20 5 CFBDRN C[C@@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1ccn(C)n1 ZINC000459773596 245317691 /nfs/dbraw/zinc/31/76/91/245317691.db2.gz CEPFNJBXCFVNCL-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccn(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000459801083 245335332 /nfs/dbraw/zinc/33/53/32/245335332.db2.gz XDNMAJVMLBNLKI-MNOVXSKESA-N 0 0 274.324 2.740 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@H]2[C@@H](C)C2(F)F)cc1[N+](=O)[O-] ZINC000461025410 245365228 /nfs/dbraw/zinc/36/52/28/245365228.db2.gz QJNYKIKXSOJISY-VDDIYKPWSA-N 0 0 298.289 2.982 20 5 CFBDRN COCCC1(NC(=O)c2cc([N+](=O)[O-])c(C)s2)CCC1 ZINC000331762892 204132994 /nfs/dbraw/zinc/13/29/94/204132994.db2.gz JOKMPJAWTQMMJY-UHFFFAOYSA-N 0 0 298.364 2.654 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116748121 376204079 /nfs/dbraw/zinc/20/40/79/376204079.db2.gz QADDNJJISSXKOY-PSASIEDQSA-N 0 0 298.726 2.542 20 5 CFBDRN CN(CC1CCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000331861965 204239705 /nfs/dbraw/zinc/23/97/05/204239705.db2.gz KPYJAOSBDYKTEK-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)NC1CCCCCC1 ZINC000003070615 371771076 /nfs/dbraw/zinc/77/10/76/371771076.db2.gz HFUPYGHEJDKQHX-UHFFFAOYSA-N 0 0 292.335 2.813 20 5 CFBDRN O=C(Nc1ccccc1O)c1ccccc1[N+](=O)[O-] ZINC000012021353 371940430 /nfs/dbraw/zinc/94/04/30/371940430.db2.gz ZULDBYHOYHDUNK-UHFFFAOYSA-N 0 0 258.233 2.553 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccccc1O ZINC000011639197 371923132 /nfs/dbraw/zinc/92/31/32/371923132.db2.gz YCTYFLQUCZNZTE-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cc1ccc(C(=O)NCCc2ccsc2)cc1[N+](=O)[O-] ZINC000013698264 372042746 /nfs/dbraw/zinc/04/27/46/372042746.db2.gz CGMXCTNTHHPQTP-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CC(C)CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000013952830 372055185 /nfs/dbraw/zinc/05/51/85/372055185.db2.gz BYFHLJUMCZFPEI-UHFFFAOYSA-N 0 0 256.689 2.634 20 5 CFBDRN NC(=O)c1ccc(CSC2CCCC2)c([N+](=O)[O-])c1 ZINC000016753014 372118147 /nfs/dbraw/zinc/11/81/47/372118147.db2.gz MTCKWUXPAKFYCJ-UHFFFAOYSA-N 0 0 280.349 2.870 20 5 CFBDRN COc1cc(Nc2ccc([N+](=O)[O-])cn2)cc(OC)c1 ZINC000014206168 372063996 /nfs/dbraw/zinc/06/39/96/372063996.db2.gz PZQBMOOZSYSUDR-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN O=C(NCCc1ccccc1F)c1ccc([N+](=O)[O-])cc1 ZINC000023149547 372254220 /nfs/dbraw/zinc/25/42/20/372254220.db2.gz JXDQBGOXNGXOGT-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN COC(=O)c1ccoc1COc1ccc([N+](=O)[O-])cc1 ZINC000023444818 372260310 /nfs/dbraw/zinc/26/03/10/372260310.db2.gz DWBPKCUTUAAJKV-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN CCOc1cc(C(=O)N[C@H](C)CC)c([N+](=O)[O-])cc1OC ZINC000027338336 372339021 /nfs/dbraw/zinc/33/90/21/372339021.db2.gz UDUWHVKZCYWCNG-SECBINFHSA-N 0 0 296.323 2.530 20 5 CFBDRN CC(C)c1ccccc1NC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000028193599 372358383 /nfs/dbraw/zinc/35/83/83/372358383.db2.gz COSRWQZJVYOWNN-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NCc1cccs1 ZINC000028700820 372370034 /nfs/dbraw/zinc/37/00/34/372370034.db2.gz UDXSCUCLOLYZEH-BQYQJAHWSA-N 0 0 288.328 2.986 20 5 CFBDRN O=C(Nc1ccc2c[nH]nc2c1)c1ccc([N+](=O)[O-])cc1 ZINC000029371050 372377807 /nfs/dbraw/zinc/37/78/07/372377807.db2.gz HHWQMSYVFJCIHW-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCO2)c2ccncc21 ZINC000035084427 372536938 /nfs/dbraw/zinc/53/69/38/372536938.db2.gz KWGXWHKTCVHFCG-SNVBAGLBSA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@@H]1CN(C/C=C\c2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000033394616 372492836 /nfs/dbraw/zinc/49/28/36/372492836.db2.gz KUPZNMSOLPZTTB-BXLSQVKPSA-N 0 0 276.336 2.717 20 5 CFBDRN CC[C@H](Nc1ncccc1[N+](=O)[O-])c1ccncc1 ZINC000033358762 372492999 /nfs/dbraw/zinc/49/29/99/372492999.db2.gz KACCUBOONVCGSM-NSHDSACASA-N 0 0 258.281 2.948 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1ccncc1 ZINC000035125202 372543654 /nfs/dbraw/zinc/54/36/54/372543654.db2.gz DFPKEQFZMIUWOG-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1nn(C)c(NCC2CCCCC2)c1[N+](=O)[O-] ZINC000036749344 372589011 /nfs/dbraw/zinc/58/90/11/372589011.db2.gz PSVAVAUTHYGIPC-UHFFFAOYSA-N 0 0 252.318 2.629 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCCn1ccnc1 ZINC000036950040 372614753 /nfs/dbraw/zinc/61/47/53/372614753.db2.gz QOFHFWZQCORZFK-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN CC(C)CN(C)C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000037023406 372637498 /nfs/dbraw/zinc/63/74/98/372637498.db2.gz FCEOURVIANOIDR-UHFFFAOYSA-N 0 0 270.716 2.976 20 5 CFBDRN COCCn1cc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000037090327 372647670 /nfs/dbraw/zinc/64/76/70/372647670.db2.gz QHWHZWKIBFASDL-LLVKDONJSA-N 0 0 290.323 2.611 20 5 CFBDRN COCc1ccccc1CNc1ccncc1[N+](=O)[O-] ZINC000037763107 372699294 /nfs/dbraw/zinc/69/92/94/372699294.db2.gz UJCNEZLRZWUFAQ-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1Cc2ccccc21 ZINC000037566350 372666880 /nfs/dbraw/zinc/66/68/80/372666880.db2.gz STZPNWQRMYGAGD-NSHDSACASA-N 0 0 255.277 2.742 20 5 CFBDRN CNC(=O)c1ccc(CNc2cccc([N+](=O)[O-])c2)cc1 ZINC000037901946 372733246 /nfs/dbraw/zinc/73/32/46/372733246.db2.gz NUYJUTQSDJWFPZ-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC(=O)C(C)(C)C ZINC000037974456 372741198 /nfs/dbraw/zinc/74/11/98/372741198.db2.gz YOIOHIFDKDBBAY-UHFFFAOYSA-N 0 0 267.281 2.597 20 5 CFBDRN CN(CC1CC1)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000038000551 372758684 /nfs/dbraw/zinc/75/86/84/372758684.db2.gz DEICEXKZESTGMJ-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1n[nH]cc1CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000038011942 372766728 /nfs/dbraw/zinc/76/67/28/372766728.db2.gz XJWMKDQYLIQONN-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1csc(C)n1 ZINC000041011007 372803261 /nfs/dbraw/zinc/80/32/61/372803261.db2.gz NSABQCHLMFXUIL-UHFFFAOYSA-N 0 0 293.348 2.658 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCC1CCC1 ZINC000041861752 372864263 /nfs/dbraw/zinc/86/42/63/372864263.db2.gz KIBPKHCYRPFYDK-RMKNXTFCSA-N 0 0 260.293 2.524 20 5 CFBDRN Cc1nc(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)cs1 ZINC000042125140 372876836 /nfs/dbraw/zinc/87/68/36/372876836.db2.gz HMGOEYHWIISFCI-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN COc1cc(CNc2ccc([N+](=O)[O-])cc2)ccn1 ZINC000042677927 372944274 /nfs/dbraw/zinc/94/42/74/372944274.db2.gz XGTZOXODPNHRLT-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CC[C@@H](C)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000042843778 372960516 /nfs/dbraw/zinc/96/05/16/372960516.db2.gz DMPBRVWVZMIQFC-SNVBAGLBSA-N 0 0 265.313 2.535 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000043043745 372973259 /nfs/dbraw/zinc/97/32/59/372973259.db2.gz CEYCYOSJVFAGCT-WCQYABFASA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC(C)C ZINC000043149847 372978933 /nfs/dbraw/zinc/97/89/33/372978933.db2.gz QGMVCYPKUFJNIN-UHFFFAOYSA-N 0 0 251.286 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC1CCC1 ZINC000043148740 372978985 /nfs/dbraw/zinc/97/89/85/372978985.db2.gz XIHKVZIJJZOHIR-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000043147427 372979022 /nfs/dbraw/zinc/97/90/22/372979022.db2.gz WJLIQMYXHZOEFR-VIFPVBQESA-N 0 0 263.297 2.823 20 5 CFBDRN C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000289863893 197510018 /nfs/dbraw/zinc/51/00/18/197510018.db2.gz VWVPYVDUNHFCKL-LURJTMIESA-N 0 0 277.202 2.667 20 5 CFBDRN CC(C)CCN(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000045472412 373018882 /nfs/dbraw/zinc/01/88/82/373018882.db2.gz JRCATMWDGDNSCY-UHFFFAOYSA-N 0 0 250.298 2.713 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCN1c1ccc(F)cc1[N+](=O)[O-] ZINC000447818208 296195489 /nfs/dbraw/zinc/19/54/89/296195489.db2.gz RNCWRFLVMKKOCF-ZYHUDNBSSA-N 0 0 282.315 2.864 20 5 CFBDRN C[C@@H](c1ccncc1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000047277751 373087444 /nfs/dbraw/zinc/08/74/44/373087444.db2.gz UWADSTKQWOUJPK-NSHDSACASA-N 0 0 285.303 2.823 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000486767867 245700082 /nfs/dbraw/zinc/70/00/82/245700082.db2.gz LXWAUPJHDZSNOW-PTEHBNRSSA-N 0 0 275.308 2.823 20 5 CFBDRN NC(=O)c1ccc(N[C@@H]2CCCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000486921537 245702681 /nfs/dbraw/zinc/70/26/81/245702681.db2.gz RWYNEGGIEREWJZ-SECBINFHSA-N 0 0 299.277 2.684 20 5 CFBDRN C[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])c1nncn1C ZINC000048337118 373166152 /nfs/dbraw/zinc/16/61/52/373166152.db2.gz YPMZHMSBRQRXOK-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN CCOC(=O)C1CCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000047873256 373125299 /nfs/dbraw/zinc/12/52/99/373125299.db2.gz NRHMMZQSGNDPFL-UHFFFAOYSA-N 0 0 296.298 2.513 20 5 CFBDRN COc1cccc(CCNc2ncccc2[N+](=O)[O-])c1 ZINC000048560952 373198510 /nfs/dbraw/zinc/19/85/10/373198510.db2.gz RKRBSAHUMUBNIE-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CCc1ccc(CNc2c([N+](=O)[O-])c(C)nn2C)s1 ZINC000048560010 373198625 /nfs/dbraw/zinc/19/86/25/373198625.db2.gz XDEQCUWAWYHLRP-UHFFFAOYSA-N 0 0 280.353 2.873 20 5 CFBDRN COc1cc(CNc2ccc([N+](=O)[O-])c(C)c2)ccn1 ZINC000048554845 373198773 /nfs/dbraw/zinc/19/87/73/373198773.db2.gz QWDITYCBYMSUKJ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN CCO[C@@H](CC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000048963725 373241694 /nfs/dbraw/zinc/24/16/94/373241694.db2.gz SXBLHQAEZBJFIW-LBPRGKRZSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Oc1ccc([N+](=O)[O-])cn1 ZINC000048976660 373243607 /nfs/dbraw/zinc/24/36/07/373243607.db2.gz BUWYZIWGJUJOSO-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)c2ccccc2)cc1 ZINC000487687238 245777504 /nfs/dbraw/zinc/77/75/04/245777504.db2.gz KSVJLNJPIVLTGX-CYBMUJFWSA-N 0 0 272.304 2.783 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037463 373342505 /nfs/dbraw/zinc/34/25/05/373342505.db2.gz FOUINHKBNIOWFR-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037925 373342719 /nfs/dbraw/zinc/34/27/19/373342719.db2.gz NVUUEIAKHSPDNO-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN CCN(Cc1csc([N+](=O)[O-])c1)C[C@H]1CCCO1 ZINC000051554739 373396813 /nfs/dbraw/zinc/39/68/13/373396813.db2.gz WVXWXRRVCXPPKG-LLVKDONJSA-N 0 0 270.354 2.657 20 5 CFBDRN COc1ccc(CCNc2ncc([N+](=O)[O-])cc2C)cc1 ZINC000052812197 373450315 /nfs/dbraw/zinc/45/03/15/373450315.db2.gz XQBZDZHWMJKNOK-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CCCCOCCNc1ncc([N+](=O)[O-])cc1C ZINC000052812546 373451679 /nfs/dbraw/zinc/45/16/79/373451679.db2.gz CGCNYULCMPVFQU-UHFFFAOYSA-N 0 0 253.302 2.527 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000054982421 373532772 /nfs/dbraw/zinc/53/27/72/373532772.db2.gz NJZKFSWGOUQXAC-GXSJLCMTSA-N 0 0 266.345 2.875 20 5 CFBDRN CCCN(CC)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000055464217 373554352 /nfs/dbraw/zinc/55/43/52/373554352.db2.gz BCOOXDWZRHRGJG-UHFFFAOYSA-N 0 0 265.313 2.509 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000057071739 373577605 /nfs/dbraw/zinc/57/76/05/373577605.db2.gz IWDVIXPLJYZCEJ-GHMZBOCLSA-N 0 0 264.325 2.688 20 5 CFBDRN Cn1cc(-c2cc(CNc3cccc([N+](=O)[O-])c3)on2)cn1 ZINC000183981866 220221753 /nfs/dbraw/zinc/22/17/53/220221753.db2.gz PRBKQXXJFALCAM-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCCC1 ZINC000079762293 296204653 /nfs/dbraw/zinc/20/46/53/296204653.db2.gz MUYLPUCRCWXIQF-UHFFFAOYSA-N 0 0 299.124 2.593 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000334888569 220235783 /nfs/dbraw/zinc/23/57/83/220235783.db2.gz QKOJIVLDDISKKK-SECBINFHSA-N 0 0 266.322 2.589 20 5 CFBDRN CN(CCC(F)(F)F)Cc1ccccc1[N+](=O)[O-] ZINC000064635231 373832824 /nfs/dbraw/zinc/83/28/24/373832824.db2.gz MTNPNVJKZTYYOU-UHFFFAOYSA-N 0 0 262.231 2.979 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H](CO)c1ccccc1 ZINC000063001403 373780642 /nfs/dbraw/zinc/78/06/42/373780642.db2.gz QFERBWWMAUMRPX-ZDUSSCGKSA-N 0 0 276.267 2.879 20 5 CFBDRN CCCCCC[C@@H](C)NC(=O)Cn1cc([N+](=O)[O-])nc1C ZINC000065842443 373899542 /nfs/dbraw/zinc/89/95/42/373899542.db2.gz FZIDGVNDMRWCSI-LLVKDONJSA-N 0 0 296.371 2.575 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000489315073 245937704 /nfs/dbraw/zinc/93/77/04/245937704.db2.gz PXHFWNUHHPALFC-NSHDSACASA-N 0 0 298.339 2.702 20 5 CFBDRN CC1(CNC(=O)NCc2ccccc2[N+](=O)[O-])CCCC1 ZINC000066597084 373942383 /nfs/dbraw/zinc/94/23/83/373942383.db2.gz KQGSGTOTANHUIE-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN CC(C)CCO[C@@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067073026 373968627 /nfs/dbraw/zinc/96/86/27/373968627.db2.gz ZGEDSCJHEVTITH-LBPRGKRZSA-N 0 0 294.351 2.662 20 5 CFBDRN Cc1cccnc1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000067183280 373971125 /nfs/dbraw/zinc/97/11/25/373971125.db2.gz XGSXOVQWPRTWEV-CMDGGOBGSA-N 0 0 283.287 2.950 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1Cc2ccccc2O1 ZINC000068262190 374025817 /nfs/dbraw/zinc/02/58/17/374025817.db2.gz GTNWKILZRJMYAE-CQSZACIVSA-N 0 0 285.255 2.504 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1ccc(Cl)cc1F ZINC000489937974 245987387 /nfs/dbraw/zinc/98/73/87/245987387.db2.gz OJEQWIFAJXJOMK-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CSCCNc1ncc(Br)cc1[N+](=O)[O-] ZINC000070079720 374123646 /nfs/dbraw/zinc/12/36/46/374123646.db2.gz XUSDZQVQEOABDP-UHFFFAOYSA-N 0 0 292.158 2.527 20 5 CFBDRN COC(=O)CCCOc1cc([N+](=O)[O-])ccc1Cl ZINC000070088974 374125564 /nfs/dbraw/zinc/12/55/64/374125564.db2.gz YNWZDGQLHPKUFH-UHFFFAOYSA-N 0 0 273.672 2.580 20 5 CFBDRN Cc1sc(C(=O)Nc2nc(C3CC3)c[nH]2)cc1[N+](=O)[O-] ZINC000289495708 197377729 /nfs/dbraw/zinc/37/77/29/197377729.db2.gz YSXLQERFXLDLAB-UHFFFAOYSA-N 0 0 292.320 2.818 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H]1CCCOC1 ZINC000070164804 374133267 /nfs/dbraw/zinc/13/32/67/374133267.db2.gz KMYFHIGTSKSAKG-SECBINFHSA-N 0 0 254.261 2.572 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCCCC1 ZINC000070236728 374150914 /nfs/dbraw/zinc/15/09/14/374150914.db2.gz UHSXZIKIBOYWCK-UHFFFAOYSA-N 0 0 280.349 2.699 20 5 CFBDRN C/C=C/C=C\C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000490549807 246012114 /nfs/dbraw/zinc/01/21/14/246012114.db2.gz AFBMVCQZADEFEM-IAROGAJJSA-N 0 0 258.277 2.616 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000070360382 374170177 /nfs/dbraw/zinc/17/01/77/374170177.db2.gz MKRYHWZOUORHEY-RKDXNWHRSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000071208636 374214506 /nfs/dbraw/zinc/21/45/06/374214506.db2.gz ZMECBBCMKZOOIW-WRWORJQWSA-N 0 0 284.262 2.791 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000491291638 246058119 /nfs/dbraw/zinc/05/81/19/246058119.db2.gz RYUGNJWVZPRMPH-WAPJZHGLSA-N 0 0 290.294 2.901 20 5 CFBDRN CN(Cc1ccoc1)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000491223683 246052778 /nfs/dbraw/zinc/05/27/78/246052778.db2.gz JIGYYVLYZZIUTD-VOTSOKGWSA-N 0 0 286.287 2.860 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000072897491 374300337 /nfs/dbraw/zinc/30/03/37/374300337.db2.gz LUVPBRJZQUJQQK-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN CCOc1cc(NC(=O)CC)c([N+](=O)[O-])cc1OCC ZINC000073081878 374321203 /nfs/dbraw/zinc/32/12/03/374321203.db2.gz DAGPQIXKSZCCKR-UHFFFAOYSA-N 0 0 282.296 2.741 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CC=CCC1 ZINC000491847790 246098751 /nfs/dbraw/zinc/09/87/51/246098751.db2.gz RSRXEQUXEBCWNF-FNOHHLHBSA-N 0 0 290.294 2.972 20 5 CFBDRN CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)(C)C ZINC000073218537 374331431 /nfs/dbraw/zinc/33/14/31/374331431.db2.gz KBEHBAWACMQRGP-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN CC(=O)c1ccc(N(C)Cc2cc(C)on2)c([N+](=O)[O-])c1 ZINC000073588172 374353885 /nfs/dbraw/zinc/35/38/85/374353885.db2.gz KIMJWBMXYFXDAF-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CCC12CCC2 ZINC000491935282 246127425 /nfs/dbraw/zinc/12/74/25/246127425.db2.gz GDYNKROEDXBCPW-AATRIKPKSA-N 0 0 272.304 2.763 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000491985043 246146521 /nfs/dbraw/zinc/14/65/21/246146521.db2.gz SPWXTTZFYBFRFC-XUWCJYAZSA-N 0 0 278.283 2.756 20 5 CFBDRN CCN(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F ZINC000073804335 374386304 /nfs/dbraw/zinc/38/63/04/374386304.db2.gz FWFGMKJWCYISTC-UHFFFAOYSA-N 0 0 262.231 2.979 20 5 CFBDRN Cc1cc(NC[C@H](O)C2CCCCC2)ncc1[N+](=O)[O-] ZINC000074747116 374435849 /nfs/dbraw/zinc/43/58/49/374435849.db2.gz ODIYDRZCDILPAF-ZDUSSCGKSA-N 0 0 279.340 2.651 20 5 CFBDRN CSCCCCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074764491 374436103 /nfs/dbraw/zinc/43/61/03/374436103.db2.gz HBABUQRXLJRBTH-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN Cc1cnc(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])o1 ZINC000492044518 246167023 /nfs/dbraw/zinc/16/70/23/246167023.db2.gz SGFWCDHOONZCLY-VOTSOKGWSA-N 0 0 273.248 2.543 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000334147885 206085862 /nfs/dbraw/zinc/08/58/62/206085862.db2.gz FLKFCGBFVPZKRQ-WDEREUQCSA-N 0 0 277.324 2.535 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000491995889 246151545 /nfs/dbraw/zinc/15/15/45/246151545.db2.gz CPUHHUCXBPQIKM-UGMIWWEVSA-N 0 0 260.293 2.617 20 5 CFBDRN Cc1cnc(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)o1 ZINC000492086923 246181483 /nfs/dbraw/zinc/18/14/83/246181483.db2.gz QJSUVNWPQVPKSO-WAYWQWQTSA-N 0 0 273.248 2.543 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC1(C2CC2)CC1 ZINC000492105417 246187539 /nfs/dbraw/zinc/18/75/39/246187539.db2.gz AGKPGMXHKOYWKT-FPYGCLRLSA-N 0 0 272.304 2.667 20 5 CFBDRN COc1ccc(NC(=O)/C=C/C2CCC2)c([N+](=O)[O-])c1 ZINC000492078860 246177609 /nfs/dbraw/zinc/17/76/09/246177609.db2.gz APHYDIVYAPERJD-VMPITWQZSA-N 0 0 276.292 2.898 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCCC2CC2)c1 ZINC000075584366 374493309 /nfs/dbraw/zinc/49/33/09/374493309.db2.gz ZVEGLAGMYGSLKS-UHFFFAOYSA-N 0 0 292.335 2.588 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)Nc2cccc([N+](=O)[O-])c2)O1 ZINC000075182487 374466568 /nfs/dbraw/zinc/46/65/68/374466568.db2.gz QICLMKONZHOZTA-ZWNOBZJWSA-N 0 0 278.308 2.881 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000075287655 374473706 /nfs/dbraw/zinc/47/37/06/374473706.db2.gz GRDXFQFUIZRTDJ-VXGBXAGGSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1cc(NC(=O)C=Cc2cccc([N+](=O)[O-])c2)c(C)cn1 ZINC000492256546 246234466 /nfs/dbraw/zinc/23/44/66/246234466.db2.gz BRYAOQXIUUSFSF-VOTSOKGWSA-N 0 0 297.314 2.680 20 5 CFBDRN CS[C@H](C)C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000075881911 374511433 /nfs/dbraw/zinc/51/14/33/374511433.db2.gz PZLMPRPVGNDAJH-SECBINFHSA-N 0 0 269.322 2.869 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492346448 246263677 /nfs/dbraw/zinc/26/36/77/246263677.db2.gz UCZOGDONMDWVSO-AFNCTOJWSA-N 0 0 274.320 2.865 20 5 CFBDRN O=C(/C=C\c1cccc(O)c1)NCc1ccc([N+](=O)[O-])cc1 ZINC000492518983 246315780 /nfs/dbraw/zinc/31/57/80/246315780.db2.gz DCSAADWDCQHDLV-TWGQIWQCSA-N 0 0 298.298 2.630 20 5 CFBDRN Cc1cccnc1/C=C\C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000492578051 246333559 /nfs/dbraw/zinc/33/35/59/246333559.db2.gz WSLGOQTUDVIGQI-HJWRWDBZSA-N 0 0 297.314 2.628 20 5 CFBDRN O=C(/C=C/C1CC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000492711676 246366792 /nfs/dbraw/zinc/36/67/92/246366792.db2.gz UDTYJPOXROTOPT-ONEGZZNKSA-N 0 0 250.229 2.639 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1Cc2ccccc2O1 ZINC000079202839 374598198 /nfs/dbraw/zinc/59/81/98/374598198.db2.gz ZNVUZRTZKHBHGM-HNNXBMFYSA-N 0 0 299.282 2.812 20 5 CFBDRN C[C@@H](O)[C@@H](C)SCCCOc1ccc([N+](=O)[O-])cc1 ZINC000079041398 374585838 /nfs/dbraw/zinc/58/58/38/374585838.db2.gz WNPQZWVIXXBWHZ-GHMZBOCLSA-N 0 0 285.365 2.866 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@H]1CCCSC1 ZINC000492798043 246388164 /nfs/dbraw/zinc/38/81/64/246388164.db2.gz SMJVHGQIIWIWPS-KRZKBDHCSA-N 0 0 292.360 2.620 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000492798596 246388303 /nfs/dbraw/zinc/38/83/03/246388303.db2.gz UGOXXABULRTVKP-AVPHQYITSA-N 0 0 278.283 2.662 20 5 CFBDRN CC[C@H](O)CC(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000451765619 383701440 /nfs/dbraw/zinc/70/14/40/383701440.db2.gz YRMFPJLJAALOGQ-NSHDSACASA-N 0 0 294.351 2.992 20 5 CFBDRN Cc1ccc(N2CCC([C@@H](C)O)CC2)c([N+](=O)[O-])c1 ZINC000080637654 374665892 /nfs/dbraw/zinc/66/58/92/374665892.db2.gz DCGDSOBDLXTCKW-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccc(N[C@@H](CCO)C(C)C)c([N+](=O)[O-])c1 ZINC000080651360 374667031 /nfs/dbraw/zinc/66/70/31/374667031.db2.gz OSMGQCGIXRPZCO-NSHDSACASA-N 0 0 252.314 2.722 20 5 CFBDRN CC(C)N1CC[C@@H](Nc2ccc(Cl)c([N+](=O)[O-])c2)C1=O ZINC000081083080 374683877 /nfs/dbraw/zinc/68/38/77/374683877.db2.gz MBIAIJGKEDNSOU-LLVKDONJSA-N 0 0 297.742 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C\[C@H]1CCOC1 ZINC000493017217 246457781 /nfs/dbraw/zinc/45/77/81/246457781.db2.gz ICASVAVAJAFQFN-RNZFLTOJSA-N 0 0 290.319 2.743 20 5 CFBDRN C[C@H](F)CCNC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000493019342 246459110 /nfs/dbraw/zinc/45/91/10/246459110.db2.gz FSSSLMSAPVZFIW-LVCFMKKZSA-N 0 0 284.262 2.611 20 5 CFBDRN CCc1ccc(C(=O)Nc2cccnc2)cc1[N+](=O)[O-] ZINC000081827223 374718161 /nfs/dbraw/zinc/71/81/61/374718161.db2.gz BMWDLLKXDVIHOM-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CCC(CC)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000086965879 374805972 /nfs/dbraw/zinc/80/59/72/374805972.db2.gz CRJMOYNCVICZNJ-UHFFFAOYSA-N 0 0 264.325 2.832 20 5 CFBDRN CC[C@](C)(CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000493185596 246512665 /nfs/dbraw/zinc/51/26/65/246512665.db2.gz GFUXHYSPPSZXQW-YYRKOSNBSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1CF ZINC000493114816 246491582 /nfs/dbraw/zinc/49/15/82/246491582.db2.gz HQVNLNCKOCTPHX-OQHXTRMZSA-N 0 0 278.283 2.569 20 5 CFBDRN C[C@@H](CCCO)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000088503714 374849976 /nfs/dbraw/zinc/84/99/76/374849976.db2.gz NFEDDUFUHALPNI-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@@H]1C1CCCC1 ZINC000335720192 296225552 /nfs/dbraw/zinc/22/55/52/296225552.db2.gz UWNAYUWUPPQJKS-GFCCVEGCSA-N 0 0 277.324 2.718 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2cccc3[nH]ccc32)c1[N+](=O)[O-] ZINC000493703358 246675910 /nfs/dbraw/zinc/67/59/10/246675910.db2.gz CXSOTNOZJUMKHM-UHFFFAOYSA-N 0 0 299.334 2.754 20 5 CFBDRN CCSCCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000091588668 375052585 /nfs/dbraw/zinc/05/25/85/375052585.db2.gz ZPLNZJXLXWPEFA-UHFFFAOYSA-N 0 0 269.322 2.929 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCCC12CCC2 ZINC000336182588 296225867 /nfs/dbraw/zinc/22/58/67/296225867.db2.gz AHONEAFPECWLJE-UHFFFAOYSA-N 0 0 260.293 2.754 20 5 CFBDRN Cc1nc(CNc2ncc([N+](=O)[O-])cc2C)oc1C ZINC000092621330 375130558 /nfs/dbraw/zinc/13/05/58/375130558.db2.gz XJWXBYMPQOBJIP-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN C[C@@H](CO)Sc1ccc([N+](=O)[O-])c2cccnc12 ZINC000092658979 375135565 /nfs/dbraw/zinc/13/55/65/375135565.db2.gz WDUSSKYAOGUNPT-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN CC(C)(F)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000092825584 375163099 /nfs/dbraw/zinc/16/30/99/375163099.db2.gz KZVFFOJWJIVMHH-UHFFFAOYSA-N 0 0 260.652 2.935 20 5 CFBDRN CCc1nn(C)cc1CNc1ccc([N+](=O)[O-])cc1 ZINC000092959749 375169293 /nfs/dbraw/zinc/16/92/93/375169293.db2.gz KDLJDLRSJTTYAP-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccon2)c2ncccc12 ZINC000093297665 375202974 /nfs/dbraw/zinc/20/29/74/375202974.db2.gz ONDSQTUTZBOKML-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1ccco1)CC2 ZINC000093338223 375218270 /nfs/dbraw/zinc/21/82/70/375218270.db2.gz GMHLVWQDOAQVKZ-UHFFFAOYSA-N 0 0 258.277 2.746 20 5 CFBDRN Cc1ncsc1CN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000093338392 375218720 /nfs/dbraw/zinc/21/87/20/375218720.db2.gz UMUOHTWZPMFZPI-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN COc1ccc(F)cc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000093548493 375265978 /nfs/dbraw/zinc/26/59/78/375265978.db2.gz SBVOFADGYYSWHG-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1COc1ccc(CO)nc1 ZINC000094214287 375346672 /nfs/dbraw/zinc/34/66/72/375346672.db2.gz CJPFVWCMASAWAF-UHFFFAOYSA-N 0 0 294.694 2.715 20 5 CFBDRN CC1(C)CCC[C@H]1Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000094267174 375348809 /nfs/dbraw/zinc/34/88/09/375348809.db2.gz MGUABUGTVGQHHY-GFCCVEGCSA-N 0 0 277.324 2.684 20 5 CFBDRN CSCCCNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000094771946 375377664 /nfs/dbraw/zinc/37/76/64/375377664.db2.gz PVQMHYFQISOYDE-UHFFFAOYSA-N 0 0 266.326 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ncnc3c2CCCC3)cc1 ZINC000094871901 375387185 /nfs/dbraw/zinc/38/71/85/375387185.db2.gz FBIDLNXUBAFIRW-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN CC(C)OC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000496297504 246967867 /nfs/dbraw/zinc/96/78/67/246967867.db2.gz VKJKRHCKAYAEEC-UHFFFAOYSA-N 0 0 272.688 2.883 20 5 CFBDRN Cc1ncc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)s1 ZINC000098022739 375591678 /nfs/dbraw/zinc/59/16/78/375591678.db2.gz IAWOSOZAMQSPTD-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)OCC1CC1 ZINC000496791834 247017035 /nfs/dbraw/zinc/01/70/35/247017035.db2.gz GTMIXVHQFYAZIJ-UHFFFAOYSA-N 0 0 284.699 2.884 20 5 CFBDRN CCN(CCO)C(=O)c1cc2ccccc2c2cccnc12 ZINC000097367571 375551970 /nfs/dbraw/zinc/55/19/70/375551970.db2.gz CJKRHCVZLNSVAK-UHFFFAOYSA-N 0 0 294.354 2.842 20 5 CFBDRN Cc1c(CNC(=O)OC2CCC2)cccc1[N+](=O)[O-] ZINC000497011643 247038565 /nfs/dbraw/zinc/03/85/65/247038565.db2.gz RFEGQFBLOODKGC-UHFFFAOYSA-N 0 0 264.281 2.682 20 5 CFBDRN C[C@H]1C[N@@H+](CCOc2ccc([N+](=O)[O-])cc2)CC[C@@H]1C ZINC000501791721 247106561 /nfs/dbraw/zinc/10/65/61/247106561.db2.gz BPKJAHWXCMDABL-STQMWFEESA-N 0 0 278.352 2.952 20 5 CFBDRN O=C(COc1ccccc1)COc1ccc([N+](=O)[O-])cc1 ZINC000505449346 247138280 /nfs/dbraw/zinc/13/82/80/247138280.db2.gz NMJZWBSWVOPJLV-UHFFFAOYSA-N 0 0 287.271 2.622 20 5 CFBDRN CCCC[C@@H](CC)Cn1cccc([N+](=O)[O-])c1=O ZINC000102204136 375666311 /nfs/dbraw/zinc/66/63/11/375666311.db2.gz HZCYKBPIHBYQSX-LLVKDONJSA-N 0 0 252.314 2.973 20 5 CFBDRN COC(=O)[C@@H](C)SCc1c(F)cc([N+](=O)[O-])cc1F ZINC000505659266 247147431 /nfs/dbraw/zinc/14/74/31/247147431.db2.gz ISUOZEAUMGHNKS-ZCFIWIBFSA-N 0 0 291.275 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCCCC2)c([N+](=O)[O-])c1 ZINC000111355899 375838741 /nfs/dbraw/zinc/83/87/41/375838741.db2.gz ZXBHDMAFACCCQR-UHFFFAOYSA-N 0 0 295.295 2.610 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(Cc2noc(CC(C)C)n2)c1 ZINC000277981506 193810140 /nfs/dbraw/zinc/81/01/40/193810140.db2.gz ULIUJMZMOZQOQJ-UHFFFAOYSA-N 0 0 291.307 2.776 20 5 CFBDRN COc1cc(NCCOC2CCCC2)ccc1[N+](=O)[O-] ZINC000111639302 375859879 /nfs/dbraw/zinc/85/98/79/375859879.db2.gz ORGXHRYUQHKJBC-UHFFFAOYSA-N 0 0 280.324 2.975 20 5 CFBDRN CCN(CC1CC1)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000112181296 375888037 /nfs/dbraw/zinc/88/80/37/375888037.db2.gz IXKMSDWWNIDKNI-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H]1CCCCO1 ZINC000112209183 375890088 /nfs/dbraw/zinc/89/00/88/375890088.db2.gz QGMUENPRLYYXSA-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN CCC(C)(CC)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000112827610 375968153 /nfs/dbraw/zinc/96/81/53/375968153.db2.gz OZEIBNNKRYUXSN-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@H]1CCC[C@H](CCNc2c([N+](=O)[O-])ncn2C)C1 ZINC000112400938 375919100 /nfs/dbraw/zinc/91/91/00/375919100.db2.gz ZCMSZQAQNTWEDK-WDEREUQCSA-N 0 0 266.345 2.957 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC(C)(C)C1 ZINC000112686662 375944484 /nfs/dbraw/zinc/94/44/84/375944484.db2.gz FGINTVAGDUIDBW-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1ccc(C(=O)NCCOCCC(C)C)cc1[N+](=O)[O-] ZINC000195101413 270250298 /nfs/dbraw/zinc/25/02/98/270250298.db2.gz OAOHHEKGFOLFMB-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN Cc1cc(-c2nc(C3CCOCC3)no2)cc([N+](=O)[O-])c1 ZINC000113749466 376033567 /nfs/dbraw/zinc/03/35/67/376033567.db2.gz XTRWJKLAMRKOJD-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN COC(=O)CSc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000113918437 376039970 /nfs/dbraw/zinc/03/99/70/376039970.db2.gz TWYYQELXNAOLCY-UHFFFAOYSA-N 0 0 295.238 2.879 20 5 CFBDRN CC(C)C[C@@H]1COCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000113941062 376043006 /nfs/dbraw/zinc/04/30/06/376043006.db2.gz UCBBVVJWSRGZGY-OAHLLOKOSA-N 0 0 278.352 2.842 20 5 CFBDRN Cc1nn(C)cc1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000113016031 375987122 /nfs/dbraw/zinc/98/71/22/375987122.db2.gz UDLMLUOXLINFDC-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCOc1cccnc1 ZINC000114450978 376066309 /nfs/dbraw/zinc/06/63/09/376066309.db2.gz BAERYFIAQJHFFS-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CC1CCOCC1 ZINC000117131894 376222925 /nfs/dbraw/zinc/22/29/25/376222925.db2.gz VWMXZHRUBRRJFD-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)C1CC1 ZINC000118296423 376285812 /nfs/dbraw/zinc/28/58/12/376285812.db2.gz URNLZGGMVCDVKR-UHFFFAOYSA-N 0 0 255.657 2.872 20 5 CFBDRN Cc1c(C(=O)N2CCS[C@@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000118293296 376286379 /nfs/dbraw/zinc/28/63/79/376286379.db2.gz QIYYGEKFCCCQIZ-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCS[C@@H](C)[C@H]1C ZINC000118415428 376294725 /nfs/dbraw/zinc/29/47/25/376294725.db2.gz XHVNYGDVJSNQFP-MNOVXSKESA-N 0 0 296.392 2.929 20 5 CFBDRN CCSCCOc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC000118611060 376304297 /nfs/dbraw/zinc/30/42/97/376304297.db2.gz BKIKZTIKXWZYQS-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN Cc1ccc(NC(=O)NC2(C)CCOCC2)cc1[N+](=O)[O-] ZINC000119151554 376362356 /nfs/dbraw/zinc/36/23/56/376362356.db2.gz QQDNMOQSBDUCDP-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCCC(F)(F)F ZINC000119196585 376371156 /nfs/dbraw/zinc/37/11/56/376371156.db2.gz BSWRFOJTAOSTQK-UHFFFAOYSA-N 0 0 251.208 2.832 20 5 CFBDRN CC(C)=CCSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000278048668 193823873 /nfs/dbraw/zinc/82/38/73/193823873.db2.gz SUGJBLNGZZQGPF-UHFFFAOYSA-N 0 0 280.349 2.893 20 5 CFBDRN O=C(Cn1nccc1[N+](=O)[O-])c1ccc2ccccc2c1 ZINC000119733714 376461385 /nfs/dbraw/zinc/46/13/85/376461385.db2.gz KBHCDGLOIMDPNG-UHFFFAOYSA-N 0 0 281.271 2.827 20 5 CFBDRN COc1ccccc1CN(C)c1nc(C)ccc1[N+](=O)[O-] ZINC000119778834 376470436 /nfs/dbraw/zinc/47/04/36/376470436.db2.gz SBQXIKUXDFWJLG-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CCC(C)(C)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000119849500 376487119 /nfs/dbraw/zinc/48/71/19/376487119.db2.gz XGISZNPGNYLRRW-UHFFFAOYSA-N 0 0 275.308 2.995 20 5 CFBDRN Cc1n[nH]cc1NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000120006043 376523274 /nfs/dbraw/zinc/52/32/74/376523274.db2.gz GFAGQWWRIJFHQM-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN Cc1nccn1CCCN(C)c1c(C)cccc1[N+](=O)[O-] ZINC000120179568 376562626 /nfs/dbraw/zinc/56/26/26/376562626.db2.gz HQWHHHAIXNEWCO-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN COCCCCNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000120069162 376540899 /nfs/dbraw/zinc/54/08/99/376540899.db2.gz WKCWFWBBHQHBAW-UHFFFAOYSA-N 0 0 295.339 2.760 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H]1CCO[C@@H]1C ZINC000120229060 376573429 /nfs/dbraw/zinc/57/34/29/376573429.db2.gz DILGMKPZIBJRAD-MNOVXSKESA-N 0 0 293.323 2.511 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Nc1cn[nH]c1 ZINC000120249548 376578500 /nfs/dbraw/zinc/57/85/00/376578500.db2.gz OWPALMYZSFKDKL-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCSCC(C)C)c1=O ZINC000120273379 376582660 /nfs/dbraw/zinc/58/26/60/376582660.db2.gz MTOYJHJFSCSQRY-UHFFFAOYSA-N 0 0 284.381 2.844 20 5 CFBDRN CN(CCC1CC1)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000120274038 376583638 /nfs/dbraw/zinc/58/36/38/376583638.db2.gz VWJIWNYYEFYWNN-UHFFFAOYSA-N 0 0 289.335 2.716 20 5 CFBDRN CN(CCC1CC1)c1nc2sccn2c1[N+](=O)[O-] ZINC000120296711 376587591 /nfs/dbraw/zinc/58/75/91/376587591.db2.gz OPVMFNGGGZZZSM-UHFFFAOYSA-N 0 0 266.326 2.540 20 5 CFBDRN O=C(Cc1ccccc1F)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000120886266 376715677 /nfs/dbraw/zinc/71/56/77/376715677.db2.gz RFDFCHZYOKYAAI-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN COc1cc(NCCc2ccco2)c([N+](=O)[O-])cc1F ZINC000121137917 376767961 /nfs/dbraw/zinc/76/79/61/376767961.db2.gz OHAPWPUUVWCGHC-UHFFFAOYSA-N 0 0 280.255 2.990 20 5 CFBDRN Cc1[nH]nc(C(=O)N(C)c2cc(C)cc(C)c2)c1[N+](=O)[O-] ZINC000264248984 383715572 /nfs/dbraw/zinc/71/55/72/383715572.db2.gz MWKZTERWKYPDRN-UHFFFAOYSA-N 0 0 288.307 2.520 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1ccco1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000121400229 376823679 /nfs/dbraw/zinc/82/36/79/376823679.db2.gz XVSSYHQXAZVJQF-QWHCGFSZSA-N 0 0 298.298 2.881 20 5 CFBDRN Cc1c(CC(=O)N(CC(F)F)C2CC2)cccc1[N+](=O)[O-] ZINC000121495206 376843717 /nfs/dbraw/zinc/84/37/17/376843717.db2.gz IFGYLECSIPTSTC-UHFFFAOYSA-N 0 0 298.289 2.702 20 5 CFBDRN Cc1cncc(CNC(=O)c2cccc(C)c2[N+](=O)[O-])c1 ZINC000124244554 377031101 /nfs/dbraw/zinc/03/11/01/377031101.db2.gz UQYCYVLWNZNGTR-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN C[C@H](c1ccco1)N(C)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124617845 377069480 /nfs/dbraw/zinc/06/94/80/377069480.db2.gz PJCREOQBIQYSKX-SECBINFHSA-N 0 0 290.279 2.808 20 5 CFBDRN Cc1sc(C(=O)NCc2cncc(C)c2)cc1[N+](=O)[O-] ZINC000124616041 377071057 /nfs/dbraw/zinc/07/10/57/377071057.db2.gz VZEPABWWXDUNNN-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N1C[C@@H]2CCC[C@@H]2C1 ZINC000124630856 377071965 /nfs/dbraw/zinc/07/19/65/377071965.db2.gz ZBCKGFHVTRVUSZ-TXEJJXNPSA-N 0 0 292.310 2.535 20 5 CFBDRN Cc1cncc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)c1 ZINC000124946015 377103545 /nfs/dbraw/zinc/10/35/45/377103545.db2.gz CXVATCFXLLKECN-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCCS2)ncc1[N+](=O)[O-] ZINC000126404783 377226499 /nfs/dbraw/zinc/22/64/99/377226499.db2.gz NTZUZWGUCUGLHN-JTQLQIEISA-N 0 0 267.354 2.996 20 5 CFBDRN C[C@H](C(=O)N1CCCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000571716506 383719391 /nfs/dbraw/zinc/71/93/91/383719391.db2.gz UJMRVLMVFIMHSB-NSHDSACASA-N 0 0 278.308 2.642 20 5 CFBDRN CCOCCCn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000126730441 377245390 /nfs/dbraw/zinc/24/53/90/377245390.db2.gz ZIJFVYUOCADIMC-UHFFFAOYSA-N 0 0 275.308 2.885 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1CC[C@@H](C)O1 ZINC000128037717 377313082 /nfs/dbraw/zinc/31/30/82/377313082.db2.gz BNKNBAURUGWKFB-PWSUYJOCSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000128978602 377367375 /nfs/dbraw/zinc/36/73/75/377367375.db2.gz IHCAXMQRIKYHIB-CMPLNLGQSA-N 0 0 294.326 2.971 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000129109198 377386341 /nfs/dbraw/zinc/38/63/41/377386341.db2.gz LSSNBGXKGZSCCC-QWHCGFSZSA-N 0 0 287.319 2.714 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1ccc2ccccc2c1 ZINC000128802013 377357283 /nfs/dbraw/zinc/35/72/83/377357283.db2.gz HTQTVMRLWXMYLA-UHFFFAOYSA-N 0 0 280.283 2.958 20 5 CFBDRN COC[C@H](Nc1ccc(C(C)=O)cc1[N+](=O)[O-])C1CC1 ZINC000129555229 377445906 /nfs/dbraw/zinc/44/59/06/377445906.db2.gz LPFUUQPHZNXNQX-ZDUSSCGKSA-N 0 0 278.308 2.634 20 5 CFBDRN COC(=O)[C@H](C)CSc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129748863 377470028 /nfs/dbraw/zinc/47/00/28/377470028.db2.gz WXMBQKDLKOUFGN-ZCFIWIBFSA-N 0 0 290.728 2.544 20 5 CFBDRN O=C(CC1CC1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129873584 377483003 /nfs/dbraw/zinc/48/30/03/377483003.db2.gz GKPBHVOQHMATNZ-UHFFFAOYSA-N 0 0 274.320 2.886 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Sc1ccc(CO)cc1 ZINC000130315444 377535945 /nfs/dbraw/zinc/53/59/45/377535945.db2.gz YJONPQQPUNTLTN-UHFFFAOYSA-N 0 0 293.348 2.763 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC[C@@H]1CCCOC1 ZINC000130362685 377545352 /nfs/dbraw/zinc/54/53/52/377545352.db2.gz WXBATUGYFXESFA-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000130927048 377633459 /nfs/dbraw/zinc/63/34/59/377633459.db2.gz BRJZHOFRSIKAJK-UHFFFAOYSA-N 0 0 262.309 2.785 20 5 CFBDRN CCc1nnc(CSCc2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000131118686 377660955 /nfs/dbraw/zinc/66/09/55/377660955.db2.gz MWJFYYOEVNHFBR-UHFFFAOYSA-N 0 0 278.337 2.709 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCC1(CO)CCCC1 ZINC000131308569 377692369 /nfs/dbraw/zinc/69/23/69/377692369.db2.gz WDRSHSWTKRWVRC-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000131475971 377717924 /nfs/dbraw/zinc/71/79/24/377717924.db2.gz SPUXPWXEGDEJHY-GHMZBOCLSA-N 0 0 262.309 2.713 20 5 CFBDRN Cc1c(C(=O)NC[C@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000131519322 377722245 /nfs/dbraw/zinc/72/22/45/377722245.db2.gz MMRVPNAJCUMFST-SNVBAGLBSA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1ccc(OCC(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000131547089 377727348 /nfs/dbraw/zinc/72/73/48/377727348.db2.gz HJQAIMPLQAKXLP-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN CCC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)CCO1 ZINC000131770580 377758281 /nfs/dbraw/zinc/75/82/81/377758281.db2.gz KQUMPXVJVBUMRI-CQSZACIVSA-N 0 0 264.325 2.596 20 5 CFBDRN Cc1cc(NC(=O)NCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000132187774 377812881 /nfs/dbraw/zinc/81/28/81/377812881.db2.gz UBGKJYGQYGUSHP-UHFFFAOYSA-N 0 0 277.202 2.587 20 5 CFBDRN CSC[C@@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132366501 377832246 /nfs/dbraw/zinc/83/22/46/377832246.db2.gz FZTNLZHJOMWBOC-SSDOTTSWSA-N 0 0 273.285 2.638 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)Oc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000132672258 377865197 /nfs/dbraw/zinc/86/51/97/377865197.db2.gz ZCNKDVHYYGLWJC-JOYOIKCWSA-N 0 0 297.282 2.987 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccccc2[N+](=O)[O-])CC[C@@H]1C ZINC000132947264 377889735 /nfs/dbraw/zinc/88/97/35/377889735.db2.gz RFVNJCMFMWTEGW-RYUDHWBXSA-N 0 0 276.336 2.642 20 5 CFBDRN CC[C@H](O)COc1c(Br)cccc1[N+](=O)[O-] ZINC000133618622 377944938 /nfs/dbraw/zinc/94/49/38/377944938.db2.gz QBGRHKLCAXGWAA-ZETCQYMHSA-N 0 0 290.113 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCC(=O)C[C@@H]1C ZINC000134368182 378006165 /nfs/dbraw/zinc/00/61/65/378006165.db2.gz XYESPDBYLBVZRH-GWCFXTLKSA-N 0 0 290.319 2.847 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000134368398 378006356 /nfs/dbraw/zinc/00/63/56/378006356.db2.gz FEKFQBQOXSOJIG-GZMMTYOYSA-N 0 0 294.282 2.678 20 5 CFBDRN Cc1nc(N[C@H](C)C[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000134095005 377982691 /nfs/dbraw/zinc/98/26/91/377982691.db2.gz ZHVGHYGOLJJVGN-KOLCDFICSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000134105704 377983327 /nfs/dbraw/zinc/98/33/27/377983327.db2.gz FCWSPVKVWIYFOI-VHSXEESVSA-N 0 0 275.308 2.572 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cccc(CO)c2)c1 ZINC000134203979 377992497 /nfs/dbraw/zinc/99/24/97/377992497.db2.gz PNROXINGEZEDJJ-UHFFFAOYSA-N 0 0 288.303 2.708 20 5 CFBDRN COCCOCCCCNc1c(C)cccc1[N+](=O)[O-] ZINC000134268096 377997615 /nfs/dbraw/zinc/99/76/15/377997615.db2.gz WBMJYESQJHEXTH-UHFFFAOYSA-N 0 0 282.340 2.758 20 5 CFBDRN CCC[C@@H](O)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000134546356 378031123 /nfs/dbraw/zinc/03/11/23/378031123.db2.gz HCZGPYXABKWFTF-SECBINFHSA-N 0 0 258.705 2.821 20 5 CFBDRN Cc1ccc(N[C@H](C)C(=O)NC(C)(C)C)c([N+](=O)[O-])c1 ZINC000134834939 378053374 /nfs/dbraw/zinc/05/33/74/378053374.db2.gz JOBGTSKXYMDQPG-SNVBAGLBSA-N 0 0 279.340 2.618 20 5 CFBDRN CCOc1cccc(NC[C@@H](C)COC)c1[N+](=O)[O-] ZINC000134915327 378064217 /nfs/dbraw/zinc/06/42/17/378064217.db2.gz RRFHSHDJKMDNNS-SNVBAGLBSA-N 0 0 268.313 2.688 20 5 CFBDRN COc1cccc(NCc2scnc2C)c1[N+](=O)[O-] ZINC000134918572 378064359 /nfs/dbraw/zinc/06/43/59/378064359.db2.gz HATRSCRFQFKWJY-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN Cc1cnc([C@@H](C)Nc2ccc([N+](=O)[O-])cn2)s1 ZINC000134929639 378064979 /nfs/dbraw/zinc/06/49/79/378064979.db2.gz QLUQPOAXFZFWLV-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN COc1cccc(NC[C@H]2CCCS2)c1[N+](=O)[O-] ZINC000134927167 378065321 /nfs/dbraw/zinc/06/53/21/378065321.db2.gz XIZWBABFARJRCX-SECBINFHSA-N 0 0 268.338 2.911 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC(=O)N2CCCCC2)c1 ZINC000134986566 378072743 /nfs/dbraw/zinc/07/27/43/378072743.db2.gz DXNJMCKDABEORX-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC(=O)C1CCCC1 ZINC000135029418 378076225 /nfs/dbraw/zinc/07/62/25/378076225.db2.gz YZVCNHIZDUERRA-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000135300829 378113760 /nfs/dbraw/zinc/11/37/60/378113760.db2.gz SWSNIXPGEYGJBB-ZJUUUORDSA-N 0 0 279.340 2.801 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000571768399 383725311 /nfs/dbraw/zinc/72/53/11/383725311.db2.gz WIQCTMABXHFFCU-SCZZXKLOSA-N 0 0 287.747 2.852 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000135250922 378105609 /nfs/dbraw/zinc/10/56/09/378105609.db2.gz RUEANFTWOFTZIS-IUCAKERBSA-N 0 0 254.261 2.571 20 5 CFBDRN CC[C@@]1(C)CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000135273410 378108104 /nfs/dbraw/zinc/10/81/04/378108104.db2.gz HYTYAQOREUPOMX-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1nccn1CCC[N@@H+](C)Cc1cccc([N+](=O)[O-])c1 ZINC000136301929 378194232 /nfs/dbraw/zinc/19/42/32/378194232.db2.gz SXAPQATZMUPOCB-UHFFFAOYSA-N 0 0 288.351 2.622 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCOC(C)(C)C)c1 ZINC000135801938 378164394 /nfs/dbraw/zinc/16/43/94/378164394.db2.gz JAUAYLWDCPYSPH-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN C[C@@H](CCO)Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000149394629 378263951 /nfs/dbraw/zinc/26/39/51/378263951.db2.gz BDCCHBUTODGUMN-LURJTMIESA-N 0 0 262.718 2.506 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])[C@@H]1C ZINC000150743942 378269605 /nfs/dbraw/zinc/26/96/05/378269605.db2.gz YEEXDWPDBIINJO-RKDXNWHRSA-N 0 0 266.272 2.604 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)CCC[C@@H]1C ZINC000150839973 378275764 /nfs/dbraw/zinc/27/57/64/378275764.db2.gz SWTLVJPJHPOITB-QWRGUYRKSA-N 0 0 291.351 2.949 20 5 CFBDRN CCC[C@@H](Sc1ncccc1[N+](=O)[O-])C(=O)OCC ZINC000150915620 378279503 /nfs/dbraw/zinc/27/95/03/378279503.db2.gz GLOPBMKXYMGXGM-SNVBAGLBSA-N 0 0 284.337 2.814 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCCCCCO ZINC000140347257 378238814 /nfs/dbraw/zinc/23/88/14/378238814.db2.gz ASMCQMITHISPAD-UHFFFAOYSA-N 0 0 275.308 2.718 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)c1ncc[nH]1 ZINC000140625328 378240540 /nfs/dbraw/zinc/24/05/40/378240540.db2.gz QRGVSAUXHWJBGY-LBPRGKRZSA-N 0 0 288.307 2.507 20 5 CFBDRN CCCC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152225507 378403451 /nfs/dbraw/zinc/40/34/51/378403451.db2.gz BLKKTVGVZYTSRW-UHFFFAOYSA-N 0 0 262.309 2.886 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H]2OCCC[C@@H]2C1 ZINC000152324319 378409498 /nfs/dbraw/zinc/40/94/98/378409498.db2.gz CSBKZZRPICVVSU-YGRLFVJLSA-N 0 0 280.299 2.739 20 5 CFBDRN CCc1nnc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])s1 ZINC000151863018 378366976 /nfs/dbraw/zinc/36/69/76/378366976.db2.gz NQTXTLSDUROVLZ-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN COCC1CCN(c2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000153758289 378509518 /nfs/dbraw/zinc/50/95/18/378509518.db2.gz SCDLYYXLUUVOPX-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN C[C@@H]1C[C@@H](N(C)c2ncc(Cl)cc2[N+](=O)[O-])CC[N@@H+]1C ZINC000153829923 378514223 /nfs/dbraw/zinc/51/42/23/378514223.db2.gz ZMUAVCGYQOBUIG-KOLCDFICSA-N 0 0 298.774 2.562 20 5 CFBDRN CN(Cc1nccs1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153885466 378520610 /nfs/dbraw/zinc/52/06/10/378520610.db2.gz WCHRNXVDJMBQHI-UHFFFAOYSA-N 0 0 284.728 2.736 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000154128158 378541466 /nfs/dbraw/zinc/54/14/66/378541466.db2.gz NJRPOAYCEYVFGL-GFCCVEGCSA-N 0 0 293.367 2.871 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)C[C@@H]1CCCO1 ZINC000330431008 378553479 /nfs/dbraw/zinc/55/34/79/378553479.db2.gz JGHKOVWLKSCLMX-YPMHNXCESA-N 0 0 292.335 2.591 20 5 CFBDRN COc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])c(C)n1 ZINC000154605715 378582878 /nfs/dbraw/zinc/58/28/78/378582878.db2.gz XHGJAZFGGMFBEM-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CN(CC1CCC1)C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000154053656 378534833 /nfs/dbraw/zinc/53/48/33/378534833.db2.gz VSHSBGQAOYLDNH-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000331085279 378629700 /nfs/dbraw/zinc/62/97/00/378629700.db2.gz QLRUNELFJHAIRE-ZJUUUORDSA-N 0 0 294.355 2.607 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000331090659 378631148 /nfs/dbraw/zinc/63/11/48/378631148.db2.gz VVPBLLSNHQSPCZ-DGCLKSJQSA-N 0 0 276.336 2.690 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC(C)(C)OC[C@@H]1C ZINC000331133211 378638905 /nfs/dbraw/zinc/63/89/05/378638905.db2.gz ULBOKOIJTBDOMB-NSHDSACASA-N 0 0 294.351 2.603 20 5 CFBDRN CCC[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330877973 378597503 /nfs/dbraw/zinc/59/75/03/378597503.db2.gz XSZMQGLIHOTODY-SNVBAGLBSA-N 0 0 265.313 2.718 20 5 CFBDRN CCS[C@@H]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000331146324 378639913 /nfs/dbraw/zinc/63/99/13/378639913.db2.gz ZJTXVLJSKFAEKN-GXSJLCMTSA-N 0 0 297.380 2.717 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCSC1)c1cccc([N+](=O)[O-])c1 ZINC000331032031 378617387 /nfs/dbraw/zinc/61/73/87/378617387.db2.gz UNJJPGVBARURAD-KOLCDFICSA-N 0 0 280.349 2.525 20 5 CFBDRN C[C@@H](Cn1nccc1[N+](=O)[O-])C(=O)c1ccc(F)c(F)c1 ZINC000155275614 378618597 /nfs/dbraw/zinc/61/85/97/378618597.db2.gz OFTAGMNBEXOHTD-QMMMGPOBSA-N 0 0 295.245 2.589 20 5 CFBDRN CC1(C)CCC[C@@H]1CNc1ncc([N+](=O)[O-])s1 ZINC000338805734 220254572 /nfs/dbraw/zinc/25/45/72/220254572.db2.gz BFFTYDIZTYAQKF-MRVPVSSYSA-N 0 0 255.343 2.711 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2ncccc2[N+](=O)[O-])C1(C)C ZINC000156780660 378644680 /nfs/dbraw/zinc/64/46/80/378644680.db2.gz SILQHZLQXHFYFH-NEPJUHHUSA-N 0 0 279.340 2.630 20 5 CFBDRN CC1(Nc2nc3sccn3c2[N+](=O)[O-])CCC1 ZINC000159901180 378736841 /nfs/dbraw/zinc/73/68/41/378736841.db2.gz WZQAJVFERFMYFI-UHFFFAOYSA-N 0 0 252.299 2.659 20 5 CFBDRN CC1=CCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000332255731 378739760 /nfs/dbraw/zinc/73/97/60/378739760.db2.gz UYFHPHLXTNALEW-UHFFFAOYSA-N 0 0 272.308 2.623 20 5 CFBDRN Cc1cc(C)c2c(c1)CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)CC2 ZINC000332031455 378719344 /nfs/dbraw/zinc/71/93/44/378719344.db2.gz VHTMMYAGZPRGFY-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN CC(C)CC1(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)CCC1 ZINC000332047259 378721058 /nfs/dbraw/zinc/72/10/58/378721058.db2.gz SLVYTTQBLKAEOF-UHFFFAOYSA-N 0 0 279.340 2.869 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000332129207 378728432 /nfs/dbraw/zinc/72/84/32/378728432.db2.gz JKCZQLZFUUCPAJ-CBAPKCEASA-N 0 0 268.700 2.634 20 5 CFBDRN Cc1c(CNC(=O)[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000332159032 378731157 /nfs/dbraw/zinc/73/11/57/378731157.db2.gz KFZSGWJBVLBIOR-LLVKDONJSA-N 0 0 262.309 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCCC2=CCCC2)c1 ZINC000160275356 378761154 /nfs/dbraw/zinc/76/11/54/378761154.db2.gz VCBKGEOGVNAVIU-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@@H](C3CC3)C2)ncc1[N+](=O)[O-] ZINC000160388195 378768238 /nfs/dbraw/zinc/76/82/38/378768238.db2.gz LGYQAHXAQGESCM-WCQYABFASA-N 0 0 277.324 2.668 20 5 CFBDRN COC1([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2C)CCC1 ZINC000332507618 378771390 /nfs/dbraw/zinc/77/13/90/378771390.db2.gz ZBCLBLWEIWVLMC-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCC(F)(F)F ZINC000160471070 378773688 /nfs/dbraw/zinc/77/36/88/378773688.db2.gz DMFZDIKDCZSKLZ-UHFFFAOYSA-N 0 0 277.202 2.587 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@H]2C=CCCC2)c1[N+](=O)[O-] ZINC000160716287 378793729 /nfs/dbraw/zinc/79/37/29/378793729.db2.gz JRVYYDOMFRDCQY-SNVBAGLBSA-N 0 0 291.307 2.687 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCCCOC(C)C ZINC000162622051 378922718 /nfs/dbraw/zinc/92/27/18/378922718.db2.gz FLILYAVLQJKXRE-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@@H]1C(F)F ZINC000334220044 378943062 /nfs/dbraw/zinc/94/30/62/378943062.db2.gz UZOLXCRBZCSDPK-SSDOTTSWSA-N 0 0 276.264 2.526 20 5 CFBDRN Cc1sc(C(=O)N(C)[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000162931922 378943644 /nfs/dbraw/zinc/94/36/44/378943644.db2.gz MUMYCVYDZUAPJL-MRVPVSSYSA-N 0 0 286.378 2.542 20 5 CFBDRN O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CCC2(CCC2)C1 ZINC000163115735 378955316 /nfs/dbraw/zinc/95/53/16/378955316.db2.gz MXXLHNZXMPSGPO-UHFFFAOYSA-N 0 0 296.273 2.889 20 5 CFBDRN C[C@H](NCc1ccccc1[N+](=O)[O-])c1cscn1 ZINC000163248856 378961996 /nfs/dbraw/zinc/96/19/96/378961996.db2.gz KHXISKUYNPXYDD-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)[C@@H]2CCC[C@H]21 ZINC000334253427 378986203 /nfs/dbraw/zinc/98/62/03/378986203.db2.gz LZZLKIFYJTVIIZ-VXGBXAGGSA-N 0 0 291.351 2.584 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccoc1 ZINC000335266757 378991287 /nfs/dbraw/zinc/99/12/87/378991287.db2.gz OFVNHGFWMOYJCK-UHFFFAOYSA-N 0 0 250.185 2.579 20 5 CFBDRN C[C@H](O)CCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000278610193 194066583 /nfs/dbraw/zinc/06/65/83/194066583.db2.gz FVEHOFNNEDDKMI-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CCC(C)(C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000164601201 379019575 /nfs/dbraw/zinc/01/95/75/379019575.db2.gz MDFQCIUTZWBBLV-UHFFFAOYSA-N 0 0 279.340 2.625 20 5 CFBDRN O=C(COc1cccnc1[N+](=O)[O-])C1CCCCCC1 ZINC000278627670 194074190 /nfs/dbraw/zinc/07/41/90/194074190.db2.gz HVCJJMMZHVNJDI-UHFFFAOYSA-N 0 0 278.308 2.908 20 5 CFBDRN Cc1cccc(C)c1CCNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000165069616 379031642 /nfs/dbraw/zinc/03/16/42/379031642.db2.gz GAOJAXUTAZJWCV-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCCC(C)C)n1 ZINC000166757709 379062320 /nfs/dbraw/zinc/06/23/20/379062320.db2.gz FIBAQBHEVQMNDE-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2c2cc[nH]n2)cc1 ZINC000278723069 194121747 /nfs/dbraw/zinc/12/17/47/194121747.db2.gz VHCGYJOREMCAOD-CQSZACIVSA-N 0 0 272.308 2.655 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H](C)S1 ZINC000335340936 379122925 /nfs/dbraw/zinc/12/29/25/379122925.db2.gz UWKCOVOVMDVMEC-UWVGGRQHSA-N 0 0 295.364 2.953 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000335338112 379116824 /nfs/dbraw/zinc/11/68/24/379116824.db2.gz FUVDTTPINHGELO-VIFPVBQESA-N 0 0 280.299 2.995 20 5 CFBDRN CCc1ccc(OCC(=O)CC2CC2)c([N+](=O)[O-])c1 ZINC000278765117 194144568 /nfs/dbraw/zinc/14/45/68/194144568.db2.gz SCBVQUBBQHJFCD-UHFFFAOYSA-N 0 0 263.293 2.905 20 5 CFBDRN CC(C)c1nnc(COc2ccc([N+](=O)[O-])cc2F)o1 ZINC000170698004 379143713 /nfs/dbraw/zinc/14/37/13/379143713.db2.gz YSKFUUIPNRPBGG-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C1CCCC1 ZINC000334354779 379145315 /nfs/dbraw/zinc/14/53/15/379145315.db2.gz CFHWUHAYGIGBGU-CYBMUJFWSA-N 0 0 291.351 2.728 20 5 CFBDRN CCOCCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000170840105 379173577 /nfs/dbraw/zinc/17/35/77/379173577.db2.gz QNUDHWMGJDUMNS-UHFFFAOYSA-N 0 0 266.297 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)CCCCCO ZINC000278810941 194165278 /nfs/dbraw/zinc/16/52/78/194165278.db2.gz NOTOSLLRZHVQRA-UHFFFAOYSA-N 0 0 295.339 2.530 20 5 CFBDRN CC(C)CCSCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000170941781 379193287 /nfs/dbraw/zinc/19/32/87/379193287.db2.gz HYTZAOGCOVFFLO-UHFFFAOYSA-N 0 0 282.365 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC2(CCC2(F)F)C1 ZINC000334391146 379212612 /nfs/dbraw/zinc/21/26/12/379212612.db2.gz UQUVNHLYIKUBFP-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN CN(Cc1ncc[nH]1)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432308104 383735001 /nfs/dbraw/zinc/73/50/01/383735001.db2.gz QOONRMGMPJOUBJ-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN Cc1c(NC(=O)N(C)CCCCCO)cccc1[N+](=O)[O-] ZINC000278843940 194181038 /nfs/dbraw/zinc/18/10/38/194181038.db2.gz LDGBIBUZMVNMCT-UHFFFAOYSA-N 0 0 295.339 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSC[C@H]2CCCO2)cc1 ZINC000170992098 379207621 /nfs/dbraw/zinc/20/76/21/379207621.db2.gz YRFWNKCIUMLCMC-CYBMUJFWSA-N 0 0 283.349 2.886 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cc(C)ccc2C)c1[N+](=O)[O-] ZINC000171366433 379290635 /nfs/dbraw/zinc/29/06/35/379290635.db2.gz VKTTVVYBDXKAPS-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000334408510 379247237 /nfs/dbraw/zinc/24/72/37/379247237.db2.gz JDCNSTHUYGUHTN-WDEREUQCSA-N 0 0 277.324 2.535 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000335415836 379253393 /nfs/dbraw/zinc/25/33/93/379253393.db2.gz DYNLOUJTPDLLIY-DTWKUNHWSA-N 0 0 284.262 2.744 20 5 CFBDRN CC1(F)CC(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000335457645 379329093 /nfs/dbraw/zinc/32/90/93/379329093.db2.gz PTIMLRISZZKJCH-UHFFFAOYSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1ccc(C(=O)N2CCC=C(C)C2)cc1[N+](=O)[O-] ZINC000335461335 379335658 /nfs/dbraw/zinc/33/56/58/379335658.db2.gz SVUVMANYXHCNAV-UHFFFAOYSA-N 0 0 260.293 2.695 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000171398597 379298317 /nfs/dbraw/zinc/29/83/17/379298317.db2.gz HWKBNXWAZFKVBT-VIFPVBQESA-N 0 0 282.344 2.579 20 5 CFBDRN CC(C)(C)n1nccc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000171704747 379380095 /nfs/dbraw/zinc/38/00/95/379380095.db2.gz DYUQSVDDEYSYKN-UHFFFAOYSA-N 0 0 294.336 2.860 20 5 CFBDRN CCc1n[nH]c(C(=O)N(CC)CC(CC)CC)c1[N+](=O)[O-] ZINC000171730627 379386328 /nfs/dbraw/zinc/38/63/28/379386328.db2.gz FXPFAEMDTPZPID-UHFFFAOYSA-N 0 0 296.371 2.779 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1sccc1Cl ZINC000334515439 379435237 /nfs/dbraw/zinc/43/52/37/379435237.db2.gz SCDCYKCEIDNAOI-UHFFFAOYSA-N 0 0 257.702 2.863 20 5 CFBDRN CC(C)[C@@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000171915967 379436978 /nfs/dbraw/zinc/43/69/78/379436978.db2.gz JIFDXPPTUMHDLM-SNVBAGLBSA-N 0 0 262.309 2.776 20 5 CFBDRN CC(C)[C@@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000171920405 379439252 /nfs/dbraw/zinc/43/92/52/379439252.db2.gz FNSQZXIMTTXLQV-LLVKDONJSA-N 0 0 264.325 2.845 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H]2F)c1 ZINC000334521097 379445503 /nfs/dbraw/zinc/44/55/03/379445503.db2.gz NCHQPUSYUZTXLX-WDEREUQCSA-N 0 0 266.272 2.524 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H]1F ZINC000334500792 379402219 /nfs/dbraw/zinc/40/22/19/379402219.db2.gz ZLTIYQGSBXUVTH-WDEREUQCSA-N 0 0 267.260 2.607 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ccnc(C2CC2)n1 ZINC000171813975 379407872 /nfs/dbraw/zinc/40/78/72/379407872.db2.gz PXRIEBSUJKKTGB-UHFFFAOYSA-N 0 0 284.319 2.899 20 5 CFBDRN O=C(CC1CC(F)(F)C1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000334512946 379428614 /nfs/dbraw/zinc/42/86/14/379428614.db2.gz CXTWZLUMTWKPBY-UHFFFAOYSA-N 0 0 296.273 2.919 20 5 CFBDRN CC1(CC(=O)N2CCc3c2cccc3[N+](=O)[O-])CC1 ZINC000334538024 379482743 /nfs/dbraw/zinc/48/27/43/379482743.db2.gz NXXRLESTVFUAJL-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CCC(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000334541375 379489832 /nfs/dbraw/zinc/48/98/32/379489832.db2.gz DOTBOOPKGSVLQS-GFCCVEGCSA-N 0 0 262.309 2.711 20 5 CFBDRN COc1ccc(C(=O)N[C@H](C)C2CCCC2)cc1[N+](=O)[O-] ZINC000172105633 379496468 /nfs/dbraw/zinc/49/64/68/379496468.db2.gz WLWUAITUSAMYIU-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN CC(C)N1C[C@@H](Nc2c(Cl)cccc2[N+](=O)[O-])CC1=O ZINC000432333717 383737916 /nfs/dbraw/zinc/73/79/16/383737916.db2.gz CSFHQAKXVOYQBV-VIFPVBQESA-N 0 0 297.742 2.669 20 5 CFBDRN COC[C@H]1CCN(c2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000432329110 383737939 /nfs/dbraw/zinc/73/79/39/383737939.db2.gz ZQARFXNDJQYNBW-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])C1CCC1 ZINC000334556527 379520712 /nfs/dbraw/zinc/52/07/12/379520712.db2.gz DRGHKXAVYJMLFS-JTQLQIEISA-N 0 0 274.320 2.920 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC=CCC1 ZINC000172489440 379580965 /nfs/dbraw/zinc/58/09/65/379580965.db2.gz LDTATWRWZNAIKP-LLVKDONJSA-N 0 0 292.360 2.912 20 5 CFBDRN CSCC[C@H](C)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000172499780 379583373 /nfs/dbraw/zinc/58/33/73/379583373.db2.gz YUVWTGVWLWHWTM-QMMMGPOBSA-N 0 0 288.394 2.870 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000334594270 379593775 /nfs/dbraw/zinc/59/37/75/379593775.db2.gz GSZABUOYMBKFAC-DOMZBBRYSA-N 0 0 288.347 2.847 20 5 CFBDRN C[C@@H]1CCC[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000334596004 379596829 /nfs/dbraw/zinc/59/68/29/379596829.db2.gz PTDHRSNGSWMXOP-VHSXEESVSA-N 0 0 265.313 2.574 20 5 CFBDRN Cc1csc(CN(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000172402022 379559433 /nfs/dbraw/zinc/55/94/33/379559433.db2.gz FALOIUMDFDOETJ-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000172638507 379613628 /nfs/dbraw/zinc/61/36/28/379613628.db2.gz YVOYDDSYRSBVAL-VXGBXAGGSA-N 0 0 276.336 2.784 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000173074316 379692450 /nfs/dbraw/zinc/69/24/50/379692450.db2.gz PZIANMXDDCFAIW-GFCCVEGCSA-N 0 0 294.351 2.838 20 5 CFBDRN O=C(N[C@@H]1CC[C@H](F)C1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000335671756 379694464 /nfs/dbraw/zinc/69/44/64/379694464.db2.gz SLMQSSUOUHWKIU-JGVFFNPUSA-N 0 0 286.690 2.869 20 5 CFBDRN CSc1ccc(OCCn2nccc2[N+](=O)[O-])cc1 ZINC000172918897 379670602 /nfs/dbraw/zinc/67/06/02/379670602.db2.gz KLSCLSICLCUFLQ-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN CCc1ccc(C(=O)NCC(C)C)cc1[N+](=O)[O-] ZINC000173251124 379717176 /nfs/dbraw/zinc/71/71/76/379717176.db2.gz PRAPSFSUXNOWHR-UHFFFAOYSA-N 0 0 250.298 2.543 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCCc2ccccc2CC1 ZINC000334667625 379738261 /nfs/dbraw/zinc/73/82/61/379738261.db2.gz CPJROYQZKVCALP-UHFFFAOYSA-N 0 0 299.330 2.554 20 5 CFBDRN CCc1ccc(C(=O)NCCCSC)cc1[N+](=O)[O-] ZINC000173443836 379740997 /nfs/dbraw/zinc/74/09/97/379740997.db2.gz YIZRLCOAMHCBFF-UHFFFAOYSA-N 0 0 282.365 2.640 20 5 CFBDRN CCc1ccc(C(=O)N2C[C@@H]3[C@H](C2)C3(C)C)cc1[N+](=O)[O-] ZINC000173475893 379743305 /nfs/dbraw/zinc/74/33/05/379743305.db2.gz PDOUAYDVTWVVCH-BETUJISGSA-N 0 0 288.347 2.885 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000335676871 379706243 /nfs/dbraw/zinc/70/62/43/379706243.db2.gz WQYDCCFIWDBYCO-ZJUUUORDSA-N 0 0 260.293 2.530 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)S[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000335677215 379706790 /nfs/dbraw/zinc/70/67/90/379706790.db2.gz PBBJQVPYVXOSFU-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000173899017 379795197 /nfs/dbraw/zinc/79/51/97/379795197.db2.gz BXIIAPJCWHEGIQ-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN C[C@H]1CCC[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000335737913 379808290 /nfs/dbraw/zinc/80/82/90/379808290.db2.gz JLMAGEQVAPQUGY-GWCFXTLKSA-N 0 0 262.309 2.994 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)[C@H]1C ZINC000335721669 379782250 /nfs/dbraw/zinc/78/22/50/379782250.db2.gz IREGWIKWEKBZBC-BDAKNGLRSA-N 0 0 266.272 2.604 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000335755904 379838103 /nfs/dbraw/zinc/83/81/03/379838103.db2.gz LUFNKGPDIYBGKD-BDAKNGLRSA-N 0 0 268.338 2.917 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000335775125 379868909 /nfs/dbraw/zinc/86/89/09/379868909.db2.gz RRWTYFFCZGFGKK-GFCCVEGCSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@@H]3[C@H](C2)C3(C)C)cc1[N+](=O)[O-] ZINC000174024920 379815396 /nfs/dbraw/zinc/81/53/96/379815396.db2.gz HXSQLMGQUANENP-TXEJJXNPSA-N 0 0 274.320 2.631 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000335782851 379880412 /nfs/dbraw/zinc/88/04/12/379880412.db2.gz DNEZVALKXSAELK-LLVKDONJSA-N 0 0 274.320 2.562 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)C1(C)CCCC1 ZINC000335818103 379939658 /nfs/dbraw/zinc/93/96/58/379939658.db2.gz MQFLIPJZOJXKLA-UHFFFAOYSA-N 0 0 252.270 2.593 20 5 CFBDRN Cc1ccn(C[C@@H]2CCc3ccccc32)c(=O)c1[N+](=O)[O-] ZINC000335002124 379954212 /nfs/dbraw/zinc/95/42/12/379954212.db2.gz LCJZUZBIWROKJG-ZDUSSCGKSA-N 0 0 284.315 2.795 20 5 CFBDRN CC(C)[C@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000335853079 379997779 /nfs/dbraw/zinc/99/77/79/379997779.db2.gz UFSWHTVJRUGUSD-NSHDSACASA-N 0 0 276.336 2.772 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CS[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000335203518 380002799 /nfs/dbraw/zinc/00/27/99/380002799.db2.gz QTDWFZBYJZTUFA-SCZZXKLOSA-N 0 0 280.349 2.527 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@@H]1C ZINC000175153885 380008754 /nfs/dbraw/zinc/00/87/54/380008754.db2.gz JUGXHMJYKSCJTE-JTQLQIEISA-N 0 0 250.298 2.730 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CS[C@@H](C)C1 ZINC000335216625 380022872 /nfs/dbraw/zinc/02/28/72/380022872.db2.gz CWPBLRFEWGNODY-UWVGGRQHSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)NCC(C)C)cc1[N+](=O)[O-] ZINC000175512299 380078939 /nfs/dbraw/zinc/07/89/39/380078939.db2.gz XMKLKYDZIWHLJP-LLVKDONJSA-N 0 0 279.340 2.919 20 5 CFBDRN C[C@@H]1CCCCCN1S(=O)(=O)c1ccccc1[N+](=O)[O-] ZINC000358400317 296305078 /nfs/dbraw/zinc/30/50/78/296305078.db2.gz NFHWXMCHIQODSE-LLVKDONJSA-N 0 0 298.364 2.548 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)c1ccccc1[N+](=O)[O-] ZINC000335950227 380148256 /nfs/dbraw/zinc/14/82/56/380148256.db2.gz BFWXOBQQHDGAFF-MNOVXSKESA-N 0 0 262.309 2.998 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000335986580 380199450 /nfs/dbraw/zinc/19/94/50/380199450.db2.gz PPSFRQBLEFVQBM-DTWKUNHWSA-N 0 0 298.289 2.672 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000335988367 380200649 /nfs/dbraw/zinc/20/06/49/380200649.db2.gz HZFPTPCUJNARTK-JTQLQIEISA-N 0 0 265.313 2.575 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000176547511 380251999 /nfs/dbraw/zinc/25/19/99/380251999.db2.gz JQPKQBGYVCYIEZ-WDEREUQCSA-N 0 0 276.292 2.588 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2C[C@@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000176548580 380253507 /nfs/dbraw/zinc/25/35/07/380253507.db2.gz DGNJBYZAYFDLQB-GHMZBOCLSA-N 0 0 260.293 2.888 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1C[C@H]1C1CC1 ZINC000176681579 380264149 /nfs/dbraw/zinc/26/41/49/380264149.db2.gz UOMSWQVUODZYPN-QWHCGFSZSA-N 0 0 274.320 2.599 20 5 CFBDRN Cc1cc(C)c(C(=O)N2CCc3c2cccc3[N+](=O)[O-])[nH]1 ZINC000177699131 380370694 /nfs/dbraw/zinc/37/06/94/380370694.db2.gz QXCADDWTHYKMOT-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN C[C@H]1CCC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000336400901 380339547 /nfs/dbraw/zinc/33/95/47/380339547.db2.gz WZIGVKIZHGQYRG-QWRGUYRKSA-N 0 0 279.340 2.584 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000336540722 380437974 /nfs/dbraw/zinc/43/79/74/380437974.db2.gz BYNCXURNRAVVRH-VHSXEESVSA-N 0 0 280.349 2.979 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000178391546 380447320 /nfs/dbraw/zinc/44/73/20/380447320.db2.gz DPCCADIUPZYUOL-QMMMGPOBSA-N 0 0 264.281 2.588 20 5 CFBDRN Cc1c[nH]cc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000336551004 380454900 /nfs/dbraw/zinc/45/49/00/380454900.db2.gz ONNMDZWNLOKCGB-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N(C)C)[C@H]1C ZINC000178170580 380417916 /nfs/dbraw/zinc/41/79/16/380417916.db2.gz HESPBJLWDRJJRA-MNOVXSKESA-N 0 0 291.351 2.531 20 5 CFBDRN CC1CCC(C)(NC(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000178185122 380418151 /nfs/dbraw/zinc/41/81/51/380418151.db2.gz MAPRDCQRZSQKCR-UHFFFAOYSA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1ccc2nc(-c3cccc([N+](=O)[O-])c3)[nH]c2n1 ZINC000178980487 380498106 /nfs/dbraw/zinc/49/81/06/380498106.db2.gz JRBZVSDEHFKMHL-UHFFFAOYSA-N 0 0 254.249 2.842 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CC[C@H](F)C2)c1[N+](=O)[O-] ZINC000336557360 380462833 /nfs/dbraw/zinc/46/28/33/380462833.db2.gz MIZCSVKNFNXDPK-UWVGGRQHSA-N 0 0 266.272 2.524 20 5 CFBDRN COc1ccc(Cn2cnc([N+](=O)[O-])c2)cc1Cl ZINC000179268878 380524678 /nfs/dbraw/zinc/52/46/78/380524678.db2.gz FDIDSOSOBBPHOE-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN C[C@@H](O)CCCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000336669566 380587759 /nfs/dbraw/zinc/58/77/59/380587759.db2.gz ANLTYVDPOFFSON-MRVPVSSYSA-N 0 0 259.689 2.788 20 5 CFBDRN CC(C)(O)CCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000336693386 380596193 /nfs/dbraw/zinc/59/61/93/380596193.db2.gz HWZCXZNLWSWWEQ-UHFFFAOYSA-N 0 0 258.705 2.821 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000336716428 380608225 /nfs/dbraw/zinc/60/82/25/380608225.db2.gz TTWGISVXRIGWFW-BQBZGAKWSA-N 0 0 252.299 2.514 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(C(C)C)CC1 ZINC000336809620 380668954 /nfs/dbraw/zinc/66/89/54/380668954.db2.gz FWKKQXXUEKRSAL-UHFFFAOYSA-N 0 0 266.345 2.968 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000336809020 380669405 /nfs/dbraw/zinc/66/94/05/380669405.db2.gz DNNZIIMIJZFTHU-SKDRFNHKSA-N 0 0 257.293 2.775 20 5 CFBDRN Cc1nc(C)c(CNc2cc(C)c([N+](=O)[O-])cn2)o1 ZINC000336813317 380674345 /nfs/dbraw/zinc/67/43/45/380674345.db2.gz CDGFVKNUNHVQMU-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN O=C(Nc1ccc(O)cc1F)c1csc([N+](=O)[O-])c1 ZINC000180862209 380706712 /nfs/dbraw/zinc/70/67/12/380706712.db2.gz XIXBKOSURIDDBH-UHFFFAOYSA-N 0 0 282.252 2.753 20 5 CFBDRN Cn1c(COc2ccccc2[N+](=O)[O-])nnc1C1CCC1 ZINC000180977028 380720028 /nfs/dbraw/zinc/72/00/28/380720028.db2.gz OFXIKOCGMKNBMR-UHFFFAOYSA-N 0 0 288.307 2.570 20 5 CFBDRN Cc1cc(N[C@@H]2Cc3ccccc3[C@@H]2O)ccc1[N+](=O)[O-] ZINC000181068076 380733442 /nfs/dbraw/zinc/73/34/42/380733442.db2.gz PFIRIWRFOKPVBS-ZBFHGGJFSA-N 0 0 284.315 2.973 20 5 CFBDRN COC[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000279167607 194313403 /nfs/dbraw/zinc/31/34/03/194313403.db2.gz ULTQGBVNTADGSS-TZMCWYRMSA-N 0 0 280.324 2.509 20 5 CFBDRN CCC[C@H](OC)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000295389939 380824447 /nfs/dbraw/zinc/82/44/47/380824447.db2.gz SZBHQQBCDKNEES-RISCZKNCSA-N 0 0 294.351 2.896 20 5 CFBDRN Cc1c(Cl)cnn1CCOc1cccc([N+](=O)[O-])c1 ZINC000181726355 380877274 /nfs/dbraw/zinc/87/72/74/380877274.db2.gz KMSZRUXORHGHQH-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCC[C@H]1CCOC1 ZINC000401985521 380877984 /nfs/dbraw/zinc/87/79/84/380877984.db2.gz BZNXJLBGEMOXGR-JTQLQIEISA-N 0 0 268.288 2.963 20 5 CFBDRN Cc1nccn1CCCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000287432415 380829842 /nfs/dbraw/zinc/82/98/42/380829842.db2.gz UTBLGPPKZAWEJL-UHFFFAOYSA-N 0 0 289.339 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC2CC2)c(F)c1 ZINC000181583016 380834446 /nfs/dbraw/zinc/83/44/46/380834446.db2.gz LFQVAZULCXAMES-UHFFFAOYSA-N 0 0 255.245 2.539 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC12CCCC2 ZINC000353767309 380837505 /nfs/dbraw/zinc/83/75/05/380837505.db2.gz MAHHUFWREQJWQN-AWEZNQCLSA-N 0 0 288.347 2.834 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@@](F)(CO)C1 ZINC000367828420 380841202 /nfs/dbraw/zinc/84/12/02/380841202.db2.gz GTBDORHCSUGOTB-LBPRGKRZSA-N 0 0 288.706 2.549 20 5 CFBDRN Cc1cn2c(n1)CN(c1cc(Cl)ccc1[N+](=O)[O-])CC2 ZINC000367844407 380843220 /nfs/dbraw/zinc/84/32/20/380843220.db2.gz VPRLTVUGOJHCRA-UHFFFAOYSA-N 0 0 292.726 2.773 20 5 CFBDRN CC[C@@](C)(OC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295431825 380851061 /nfs/dbraw/zinc/85/10/61/380851061.db2.gz UVNBKSFMOKSGSJ-IINYFYTJSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)(C)[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000279223616 194339990 /nfs/dbraw/zinc/33/99/90/194339990.db2.gz FWJNTUGRDNSMJN-SNVBAGLBSA-N 0 0 298.364 2.543 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CCC1(C)C ZINC000287546437 380860960 /nfs/dbraw/zinc/86/09/60/380860960.db2.gz YFTYIBQCQZQKLQ-ZDUSSCGKSA-N 0 0 291.351 2.925 20 5 CFBDRN CC(C)(CCC(=O)N1CCc2ccc(F)cc2C1)[N+](=O)[O-] ZINC000295447808 380861747 /nfs/dbraw/zinc/86/17/47/380861747.db2.gz NMFBDWSYDVPCMQ-UHFFFAOYSA-N 0 0 294.326 2.546 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N[C@H](C)CF)cc1[N+](=O)[O-] ZINC000295450695 380861781 /nfs/dbraw/zinc/86/17/81/380861781.db2.gz KOTBDUISSBNRLO-SSDOTTSWSA-N 0 0 274.679 2.644 20 5 CFBDRN CCc1cncc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)c1 ZINC000279227818 194343234 /nfs/dbraw/zinc/34/32/34/194343234.db2.gz MOVOMQFQZIDXDH-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCC[C@H](O)C(F)(F)F ZINC000358493632 380881630 /nfs/dbraw/zinc/88/16/30/380881630.db2.gz GYAAXUKGISJEOS-VIFPVBQESA-N 0 0 298.648 2.973 20 5 CFBDRN Cc1cccc(OCCOc2cccc([N+](=O)[O-])c2)n1 ZINC000181743374 380881683 /nfs/dbraw/zinc/88/16/83/380881683.db2.gz XARDGVKQBIEXOC-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN C[C@](O)(CNc1ccc(N)cc1[N+](=O)[O-])C1CCCCC1 ZINC000287607764 380884074 /nfs/dbraw/zinc/88/40/74/380884074.db2.gz FXVVRXQZKXJXAG-HNNXBMFYSA-N 0 0 293.367 2.920 20 5 CFBDRN CC(C)CNC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000279237704 194346315 /nfs/dbraw/zinc/34/63/15/194346315.db2.gz ZMARMBHCIOFOAZ-UHFFFAOYSA-N 0 0 288.706 2.702 20 5 CFBDRN CC(=O)c1cc(NCc2ccccn2)ccc1[N+](=O)[O-] ZINC000341272066 380890192 /nfs/dbraw/zinc/89/01/92/380890192.db2.gz WLILQFBSCUJTNB-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000279239988 194347616 /nfs/dbraw/zinc/34/76/16/194347616.db2.gz QGJJZVTYLFBMAC-UHFFFAOYSA-N 0 0 297.330 2.718 20 5 CFBDRN C[C@H]1CN(Cc2ccccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000279240351 194348706 /nfs/dbraw/zinc/34/87/06/194348706.db2.gz FXFZWMILTDIIIZ-NHYWBVRUSA-N 0 0 276.336 2.594 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCO[C@@H]2C[C@@H]21 ZINC000368047675 380900773 /nfs/dbraw/zinc/90/07/73/380900773.db2.gz ULMSAVQZDAAGES-WDEREUQCSA-N 0 0 288.225 2.591 20 5 CFBDRN Cc1nn(Cc2ccccc2OC(F)F)cc1[N+](=O)[O-] ZINC000181818747 380905058 /nfs/dbraw/zinc/90/50/58/380905058.db2.gz GESDJDGYKLNNOF-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000288553119 197110250 /nfs/dbraw/zinc/11/02/50/197110250.db2.gz VTOJWFHJVTYJQW-SNVBAGLBSA-N 0 0 266.322 2.715 20 5 CFBDRN Cc1nn(Cc2cc(F)cc3cccnc32)cc1[N+](=O)[O-] ZINC000181818119 380906460 /nfs/dbraw/zinc/90/64/60/380906460.db2.gz VKSIBPADVZPMGY-UHFFFAOYSA-N 0 0 286.266 2.835 20 5 CFBDRN Cc1nn(Cc2nc(C(F)(F)F)cs2)cc1[N+](=O)[O-] ZINC000181830456 380908006 /nfs/dbraw/zinc/90/80/06/380908006.db2.gz OWECZMHQPSAFLK-UHFFFAOYSA-N 0 0 292.242 2.623 20 5 CFBDRN Cc1nn([C@@H](C)c2ncc(C(C)(C)C)o2)cc1[N+](=O)[O-] ZINC000181832768 380909367 /nfs/dbraw/zinc/90/93/67/380909367.db2.gz KAHSARRWOXSRPS-VIFPVBQESA-N 0 0 278.312 2.995 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@@H]3CCC[C@@H]32)nc2sccn21 ZINC000408361004 380921795 /nfs/dbraw/zinc/92/17/95/380921795.db2.gz BHODBZWAKPLVGR-IUCAKERBSA-N 0 0 278.337 2.683 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000408356126 380921902 /nfs/dbraw/zinc/92/19/02/380921902.db2.gz PMQHXUFRDYQWLH-JTQLQIEISA-N 0 0 294.355 2.846 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000341307611 380921938 /nfs/dbraw/zinc/92/19/38/380921938.db2.gz RZWUZPKLEQHJLM-ZJUUUORDSA-N 0 0 280.349 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOC(C)(C)[C@@H]2C)c1 ZINC000408320290 380922023 /nfs/dbraw/zinc/92/20/23/380922023.db2.gz KEIUSQSHSLAJFL-NSHDSACASA-N 0 0 264.325 2.907 20 5 CFBDRN CCc1csc(NC(=O)Cc2cccc([N+](=O)[O-])c2)n1 ZINC000358593983 380955531 /nfs/dbraw/zinc/95/55/31/380955531.db2.gz OVLVYCVWMPRHRL-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)[C@@H]1C1CC1 ZINC000368211000 380956934 /nfs/dbraw/zinc/95/69/34/380956934.db2.gz FNGKMPFNINSSLX-ZDUSSCGKSA-N 0 0 289.335 2.559 20 5 CFBDRN COC1(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCC1 ZINC000181996583 380960247 /nfs/dbraw/zinc/96/02/47/380960247.db2.gz LGMLTSNUGXDMJY-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)C1=CCC1 ZINC000341361664 380966563 /nfs/dbraw/zinc/96/65/63/380966563.db2.gz YNLXPQPAIYONKH-UHFFFAOYSA-N 0 0 266.684 2.585 20 5 CFBDRN C[C@H]1CC=CC[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341365523 380968011 /nfs/dbraw/zinc/96/80/11/380968011.db2.gz QMAOHEQSVRRFPK-GXTWGEPZSA-N 0 0 288.347 2.856 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H]3CCCC[C@H]32)cc1[N+](=O)[O-] ZINC000368251089 380968941 /nfs/dbraw/zinc/96/89/41/380968941.db2.gz HPIXWGWUKJITRP-CHWSQXEVSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1ccc(CN(C)Cc2cccnc2)cc1[N+](=O)[O-] ZINC000182032139 380969332 /nfs/dbraw/zinc/96/93/32/380969332.db2.gz IUECFSMCKZEERJ-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN Cn1cc(CCCNc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000287928826 380975202 /nfs/dbraw/zinc/97/52/02/380975202.db2.gz PHKSILRSWXQDSI-UHFFFAOYSA-N 0 0 278.287 2.512 20 5 CFBDRN COC[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000347157806 380975957 /nfs/dbraw/zinc/97/59/57/380975957.db2.gz DSWKYPYONAGIIR-SECBINFHSA-N 0 0 266.297 2.514 20 5 CFBDRN O=C1NC(=O)C(=Cc2ccc(Cl)c([N+](=O)[O-])c2)S1 ZINC000033326284 284857285 /nfs/dbraw/zinc/85/72/85/284857285.db2.gz DDEPWSMFBOMYQO-YWEYNIOJSA-N 0 0 284.680 2.572 20 5 CFBDRN CN(CC1CC1)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000295623980 380981264 /nfs/dbraw/zinc/98/12/64/380981264.db2.gz WSKVHANCBNLYOR-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000341327942 380937099 /nfs/dbraw/zinc/93/70/99/380937099.db2.gz IVWKDOPTFVVMDQ-BIMULSAOSA-N 0 0 274.320 2.818 20 5 CFBDRN CC(=O)OCCCSc1c(F)cccc1[N+](=O)[O-] ZINC000287794598 380940035 /nfs/dbraw/zinc/94/00/35/380940035.db2.gz OZAYLFHZKLXFEY-UHFFFAOYSA-N 0 0 273.285 2.779 20 5 CFBDRN CCC[C@](C)(CC)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347107090 380942116 /nfs/dbraw/zinc/94/21/16/380942116.db2.gz DXTULWGOAYLTOD-ZDUSSCGKSA-N 0 0 293.327 2.846 20 5 CFBDRN C[C@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CO1 ZINC000347109956 380945256 /nfs/dbraw/zinc/94/52/56/380945256.db2.gz TVKZPCUFIPQSGC-NKWVEPMBSA-N 0 0 281.293 2.599 20 5 CFBDRN CC(=O)c1cc(NCCc2cccnc2)ccc1[N+](=O)[O-] ZINC000341437086 381013561 /nfs/dbraw/zinc/01/35/61/381013561.db2.gz XDHDNBNXEPEFHS-UHFFFAOYSA-N 0 0 285.303 2.847 20 5 CFBDRN Cc1cc(NCCc2csc(N)n2)c(F)cc1[N+](=O)[O-] ZINC000295704209 381025153 /nfs/dbraw/zinc/02/51/53/381025153.db2.gz QOOCAPDAIFURMI-UHFFFAOYSA-N 0 0 296.327 2.736 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000358708629 381025431 /nfs/dbraw/zinc/02/54/31/381025431.db2.gz QFTQISBQKQOHRA-NSHDSACASA-N 0 0 294.351 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OC[C@@](C)(O)C(F)(F)F ZINC000358646052 380989474 /nfs/dbraw/zinc/98/94/74/380989474.db2.gz FDDDYDMWRGUKBV-LLVKDONJSA-N 0 0 293.241 2.904 20 5 CFBDRN CCCOc1cccc(Cn2cnc([N+](=O)[O-])c2)c1 ZINC000295644320 380993450 /nfs/dbraw/zinc/99/34/50/380993450.db2.gz CJVZZTGSYWDDEP-UHFFFAOYSA-N 0 0 261.281 2.628 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000182120361 380993520 /nfs/dbraw/zinc/99/35/20/380993520.db2.gz FAETXKNUUWJDRG-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN CC[C@H](C)NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182154390 381003556 /nfs/dbraw/zinc/00/35/56/381003556.db2.gz JBFCCHRUALIZNP-IUCAKERBSA-N 0 0 268.288 2.752 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCCC[C@@H](CO)C1 ZINC000368388562 381008011 /nfs/dbraw/zinc/00/80/11/381008011.db2.gz RMXWCQPTXJGKQY-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN COCCC[C@H](C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000182289260 381049850 /nfs/dbraw/zinc/04/98/50/381049850.db2.gz ZZPJKDRRJTXBOC-NSHDSACASA-N 0 0 292.335 2.547 20 5 CFBDRN C[C@@H]1C[C@@H]1N(CCn1cc([N+](=O)[O-])cn1)c1ccccc1 ZINC000411918900 381055398 /nfs/dbraw/zinc/05/53/98/381055398.db2.gz DJUQSELENJAFEA-DOMZBBRYSA-N 0 0 286.335 2.706 20 5 CFBDRN C[C@@H]1C[C@@H]1N(CCn1ccc([N+](=O)[O-])n1)c1ccccc1 ZINC000411919632 381055892 /nfs/dbraw/zinc/05/58/92/381055892.db2.gz FFMYNPIBEJSWPN-OCCSQVGLSA-N 0 0 286.335 2.706 20 5 CFBDRN C[C@H]1C[C@@H]1N(CCn1ccc([N+](=O)[O-])n1)c1ccccc1 ZINC000411919631 381056755 /nfs/dbraw/zinc/05/67/55/381056755.db2.gz FFMYNPIBEJSWPN-JSGCOSHPSA-N 0 0 286.335 2.706 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Nc1ccc(OC)cc1 ZINC000288641935 197134342 /nfs/dbraw/zinc/13/43/42/197134342.db2.gz RZRMQTMQTYYPKU-UHFFFAOYSA-N 0 0 276.296 2.872 20 5 CFBDRN CC[C@@H](C)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)OC ZINC000295770310 381065566 /nfs/dbraw/zinc/06/55/66/381065566.db2.gz PQZKGMBJBAMPEI-YGRLFVJLSA-N 0 0 294.351 2.694 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)OC ZINC000295770303 381065951 /nfs/dbraw/zinc/06/59/51/381065951.db2.gz PQZKGMBJBAMPEI-QMTHXVAHSA-N 0 0 294.351 2.694 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(CCF)CC1 ZINC000289609609 381066335 /nfs/dbraw/zinc/06/63/35/381066335.db2.gz QWJOVYOMHIQFRE-UHFFFAOYSA-N 0 0 280.299 2.693 20 5 CFBDRN COCCC[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000182238403 381034008 /nfs/dbraw/zinc/03/40/08/381034008.db2.gz CFJONJZYJQHGCZ-JTQLQIEISA-N 0 0 296.323 2.605 20 5 CFBDRN O=[N+]([O-])c1cccc(CN[C@@H]2COc3ccc(F)cc32)c1 ZINC000341555471 381084277 /nfs/dbraw/zinc/08/42/77/381084277.db2.gz AQZZKAICDCEHOB-CQSZACIVSA-N 0 0 288.278 2.957 20 5 CFBDRN NC(=O)c1cccc(NCCC2CCCC2)c1[N+](=O)[O-] ZINC000341559573 381088568 /nfs/dbraw/zinc/08/85/68/381088568.db2.gz GKKSQGHRVACFOF-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN C[C@@](O)(COc1cccc(Cl)c1[N+](=O)[O-])C(F)(F)F ZINC000295813033 381091414 /nfs/dbraw/zinc/09/14/14/381091414.db2.gz BQVLFLODYPLJJN-SECBINFHSA-N 0 0 299.632 2.940 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)C[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000347370094 381102938 /nfs/dbraw/zinc/10/29/38/381102938.db2.gz URNVYVGAWFHPPF-WOVASVFFSA-N 0 0 288.347 2.861 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCc2ccncc21 ZINC000354154902 381109687 /nfs/dbraw/zinc/10/96/87/381109687.db2.gz FSILFDDJHAGUPI-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ocnc1C1CC1 ZINC000341593872 381110971 /nfs/dbraw/zinc/11/09/71/381110971.db2.gz NYIMUVIVNYDTHF-UHFFFAOYSA-N 0 0 291.238 2.852 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNc1nnc(CC)o1 ZINC000295859404 381120532 /nfs/dbraw/zinc/12/05/32/381120532.db2.gz GNKIHCHCKXBBAG-UHFFFAOYSA-N 0 0 292.295 2.551 20 5 CFBDRN Cc1c(C(=O)NCCCCOC(C)C)cccc1[N+](=O)[O-] ZINC000182363087 381076901 /nfs/dbraw/zinc/07/69/01/381076901.db2.gz RXCWLZCIJAKBIT-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1cccnc1CCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000295793213 381079147 /nfs/dbraw/zinc/07/91/47/381079147.db2.gz RRWVFRYYAWYBFJ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)Cc1noc(C2CC2)n1 ZINC000412065399 381138828 /nfs/dbraw/zinc/13/88/28/381138828.db2.gz AQOKSDYVBUHZPX-UHFFFAOYSA-N 0 0 294.336 2.549 20 5 CFBDRN CCn1cc([C@@H](C)Nc2ccc([N+](=O)[O-])c(N)c2F)cn1 ZINC000295894969 381142491 /nfs/dbraw/zinc/14/24/91/381142491.db2.gz DSBBBSOLQLYPBJ-MRVPVSSYSA-N 0 0 293.302 2.706 20 5 CFBDRN Cc1ccsc1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000358900208 381145207 /nfs/dbraw/zinc/14/52/07/381145207.db2.gz GWCXNNDOTUUROG-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CCCCN(CCO)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182764724 381152270 /nfs/dbraw/zinc/15/22/70/381152270.db2.gz FYPRADHQAZKACS-UHFFFAOYSA-N 0 0 295.339 2.530 20 5 CFBDRN COc1ccc2c(c1)CCN(c1ccc([N+](=O)[O-])cn1)CC2 ZINC000368880543 381154900 /nfs/dbraw/zinc/15/49/00/381154900.db2.gz TZFNBLPKFBRDOB-UHFFFAOYSA-N 0 0 299.330 2.604 20 5 CFBDRN Cc1c(Br)c(N(C)C(C)C)ncc1[N+](=O)[O-] ZINC000347443841 381154925 /nfs/dbraw/zinc/15/49/25/381154925.db2.gz ZGQJMYUBARQHRQ-UHFFFAOYSA-N 0 0 288.145 2.905 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412109377 381165353 /nfs/dbraw/zinc/16/53/53/381165353.db2.gz BXFOVYLPQNJUAA-KWCYVHTRSA-N 0 0 288.347 2.861 20 5 CFBDRN CN(Cc1cccnc1)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000347400374 381125679 /nfs/dbraw/zinc/12/56/79/381125679.db2.gz OOKCKDSVQAEXHS-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ocnc1C ZINC000341618609 381128827 /nfs/dbraw/zinc/12/88/27/381128827.db2.gz SVTHNGIUSTVNEG-UHFFFAOYSA-N 0 0 291.263 2.542 20 5 CFBDRN CN(CCC1CC1)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000347408242 381129450 /nfs/dbraw/zinc/12/94/50/381129450.db2.gz MHDOHRPRTAEUEA-UHFFFAOYSA-N 0 0 272.308 2.774 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000358953469 381174380 /nfs/dbraw/zinc/17/43/80/381174380.db2.gz NFXUFAOTTZMAMK-SSDOTTSWSA-N 0 0 276.214 2.523 20 5 CFBDRN Cc1c(C(=O)NCC2CCCC2)cccc1[N+](=O)[O-] ZINC000347476162 381174804 /nfs/dbraw/zinc/17/48/04/381174804.db2.gz IUDRUORSWPSONP-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)CF ZINC000289839988 381182641 /nfs/dbraw/zinc/18/26/41/381182641.db2.gz POMIDVMNOHHCNT-MRVPVSSYSA-N 0 0 269.276 2.637 20 5 CFBDRN CSCCN(C)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412173911 381196250 /nfs/dbraw/zinc/19/62/50/381196250.db2.gz OVBQFHDSZNJWRR-QWHCGFSZSA-N 0 0 294.376 2.520 20 5 CFBDRN CC(C)n1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)nn1 ZINC000289886562 381202062 /nfs/dbraw/zinc/20/20/62/381202062.db2.gz XODCJUABXIZDON-NSHDSACASA-N 0 0 289.339 2.618 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1(C)C ZINC000296004834 381206495 /nfs/dbraw/zinc/20/64/95/381206495.db2.gz KWEWLVRDNYGKIG-JTQLQIEISA-N 0 0 298.364 2.543 20 5 CFBDRN Cc1ocnc1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359099059 381263671 /nfs/dbraw/zinc/26/36/71/381263671.db2.gz NPGKGFDPZGQTLG-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN CCC(C)(C)NC(=O)CN[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000342306041 381264323 /nfs/dbraw/zinc/26/43/23/381264323.db2.gz RJRBUROPSFFNOU-NSHDSACASA-N 0 0 293.367 2.550 20 5 CFBDRN Cc1cc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)nn1C ZINC000342235193 381228914 /nfs/dbraw/zinc/22/89/14/381228914.db2.gz IWFZGRJWXOSRPG-UHFFFAOYSA-N 0 0 288.351 2.662 20 5 CFBDRN Cc1cnc(NCc2ccn3ccnc3c2)c([N+](=O)[O-])c1 ZINC000354385245 381237988 /nfs/dbraw/zinc/23/79/88/381237988.db2.gz ICTOHSZGTAVTIS-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000296169117 381286710 /nfs/dbraw/zinc/28/67/10/381286710.db2.gz FRKGNZZJCARYGM-SCVCMEIPSA-N 0 0 277.324 2.611 20 5 CFBDRN CC1(C)OCC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000296179192 381288825 /nfs/dbraw/zinc/28/88/25/381288825.db2.gz CRPYIEJMDBZVCC-LLVKDONJSA-N 0 0 254.261 2.713 20 5 CFBDRN CC/C=C/CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000296196484 381296684 /nfs/dbraw/zinc/29/66/84/381296684.db2.gz DGAMUVPTNQLVLT-ONEGZZNKSA-N 0 0 267.260 2.822 20 5 CFBDRN Cc1ccc(NC(=O)Nc2ccncc2[N+](=O)[O-])cc1 ZINC000183632802 381298745 /nfs/dbraw/zinc/29/87/45/381298745.db2.gz NXJZBPMLDKAJFO-UHFFFAOYSA-N 0 0 272.264 2.942 20 5 CFBDRN CS[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000296147215 381274362 /nfs/dbraw/zinc/27/43/62/381274362.db2.gz DEYABBJGXPESFY-LLVKDONJSA-N 0 0 281.337 2.564 20 5 CFBDRN Cc1ncccc1O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000369527611 381279230 /nfs/dbraw/zinc/27/92/30/381279230.db2.gz NIAAOJXLGWOFSN-HNNXBMFYSA-N 0 0 299.330 2.956 20 5 CFBDRN CC1(F)CC(NC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000412381351 381280348 /nfs/dbraw/zinc/28/03/48/381280348.db2.gz ZSOJPECKMYISBJ-HFAULKRZSA-N 0 0 292.310 2.705 20 5 CFBDRN CCOC(=O)CN(Cc1csc([N+](=O)[O-])c1)C1CCC1 ZINC000342337105 381283107 /nfs/dbraw/zinc/28/31/07/381283107.db2.gz JYZFFNOMGINTQK-UHFFFAOYSA-N 0 0 298.364 2.574 20 5 CFBDRN Cc1ccc(NC(=O)c2cn(C(C)C)cn2)cc1[N+](=O)[O-] ZINC000342339166 381284174 /nfs/dbraw/zinc/28/41/74/381284174.db2.gz OMROBZYZJGALTF-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN COc1cc(COc2nc(C)cc(C)c2[N+](=O)[O-])ccn1 ZINC000354546557 381332307 /nfs/dbraw/zinc/33/23/07/381332307.db2.gz PCVNNEZKJWQCTI-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN Cc1cnc(NC[C@@H](CO)CC2CCCC2)c([N+](=O)[O-])c1 ZINC000359297772 381333946 /nfs/dbraw/zinc/33/39/46/381333946.db2.gz QINJRLRKTGJOGS-ZDUSSCGKSA-N 0 0 293.367 2.899 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1CF ZINC000296295172 381336342 /nfs/dbraw/zinc/33/63/42/381336342.db2.gz VJLLZIHPGILBNL-JTQLQIEISA-N 0 0 297.286 2.569 20 5 CFBDRN CSc1cncc(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000183917287 381339919 /nfs/dbraw/zinc/33/99/19/381339919.db2.gz DQPVCPBTDYIDKE-UHFFFAOYSA-N 0 0 290.348 2.743 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/C[N@@H+]1CC[C@H]2OCC[C@H]2C1 ZINC000369670699 381311285 /nfs/dbraw/zinc/31/12/85/381311285.db2.gz PKJSECZZQWBDTH-ICGFCLIJSA-N 0 0 288.347 2.719 20 5 CFBDRN Cc1cc(C)cc(NCc2c([N+](=O)[O-])ncn2C)c1 ZINC000347730476 381319781 /nfs/dbraw/zinc/31/97/81/381319781.db2.gz IYHNPCRIFVZVNE-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1[nH]c2ccc(CNc3ncc([N+](=O)[O-])cn3)cc2c1C ZINC000354523598 381320483 /nfs/dbraw/zinc/32/04/83/381320483.db2.gz QQLDLVLMMBRCEW-UHFFFAOYSA-N 0 0 297.318 2.517 20 5 CFBDRN CC(C)=CCC[C@H](C)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000296334864 381351516 /nfs/dbraw/zinc/35/15/16/381351516.db2.gz POMJUHGWVQXCDT-JTQLQIEISA-N 0 0 292.339 2.636 20 5 CFBDRN CCOC1CC(N(C)C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000290244053 381355179 /nfs/dbraw/zinc/35/51/79/381355179.db2.gz SGAKXNFVADNLOB-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H](O)CCNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354586691 381355164 /nfs/dbraw/zinc/35/51/64/381355164.db2.gz BACSXCCORIFAGR-SECBINFHSA-N 0 0 290.266 2.769 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCCOC(C)(C)C1 ZINC000369958236 381356341 /nfs/dbraw/zinc/35/63/41/381356341.db2.gz LCTZKPBJQFAGCY-UHFFFAOYSA-N 0 0 294.351 2.747 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000347828967 381368660 /nfs/dbraw/zinc/36/86/60/381368660.db2.gz JTPDTVVQWBSLIT-DGCLKSJQSA-N 0 0 291.351 2.577 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCc2cccc(F)c2F)c1=O ZINC000342516027 381370518 /nfs/dbraw/zinc/37/05/18/381370518.db2.gz UCNHEMDDRUNFFD-UHFFFAOYSA-N 0 0 294.257 2.586 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CC=C(c2ccccc2)CC1 ZINC000370047733 381372474 /nfs/dbraw/zinc/37/24/74/381372474.db2.gz ZZBRUAVHCROJNP-UHFFFAOYSA-N 0 0 298.346 2.618 20 5 CFBDRN CCOc1cccc(N(C)CCSC)c1[N+](=O)[O-] ZINC000184134090 381374805 /nfs/dbraw/zinc/37/48/05/381374805.db2.gz IQUGIFLYUIUSCK-UHFFFAOYSA-N 0 0 270.354 2.793 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ncccc2C)cc([N+](=O)[O-])c1 ZINC000347905247 381405985 /nfs/dbraw/zinc/40/59/85/381405985.db2.gz XHANJUCUMAIWBD-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCCCNC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000184363485 381406426 /nfs/dbraw/zinc/40/64/26/381406426.db2.gz NOWBNBJCNSSYPG-UHFFFAOYSA-N 0 0 285.731 2.848 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ncccc2C)cc1[N+](=O)[O-] ZINC000347912782 381411064 /nfs/dbraw/zinc/41/10/64/381411064.db2.gz LCRPEXRADXNKMK-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCC1(C)CCN(c2ccc3ncc([N+](=O)[O-])n3n2)CC1 ZINC000347914037 381411521 /nfs/dbraw/zinc/41/15/21/381411521.db2.gz DTMYHMSYCRDZNM-UHFFFAOYSA-N 0 0 289.339 2.654 20 5 CFBDRN Cc1cn(C[C@@H]2C[C@H]2c2ccccc2)nc1[N+](=O)[O-] ZINC000359380080 381385383 /nfs/dbraw/zinc/38/53/83/381385383.db2.gz CXGWDHLMVJFIBL-STQMWFEESA-N 0 0 257.293 2.903 20 5 CFBDRN CC[N@@H+](Cc1cc(OC)c(F)cc1[N+](=O)[O-])CC1CC1 ZINC000184213988 381385840 /nfs/dbraw/zinc/38/58/40/381385840.db2.gz ZFTPSVYPMWEBPB-UHFFFAOYSA-N 0 0 282.315 2.974 20 5 CFBDRN CN(CC1CCOCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000342550116 381390460 /nfs/dbraw/zinc/39/04/60/381390460.db2.gz UFBKUIPODOYDNH-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN Cc1c(C[N@@H+]2CCC[C@H]3OCC[C@H]32)cccc1[N+](=O)[O-] ZINC000370204367 381403274 /nfs/dbraw/zinc/40/32/74/381403274.db2.gz PJJWWDMZUFQGLR-HUUCEWRRSA-N 0 0 276.336 2.657 20 5 CFBDRN CCC[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1)OC ZINC000296502917 381423073 /nfs/dbraw/zinc/42/30/73/381423073.db2.gz BUUHJLQRXLOIJG-LBPRGKRZSA-N 0 0 295.339 2.840 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000359521246 381450282 /nfs/dbraw/zinc/45/02/82/381450282.db2.gz GLDWNDBOBBBPPT-LBPRGKRZSA-N 0 0 292.335 2.926 20 5 CFBDRN Cc1cnc(OCc2ccncc2Cl)c([N+](=O)[O-])c1 ZINC000184551984 381432040 /nfs/dbraw/zinc/43/20/40/381432040.db2.gz XTYMXOLQGILFHE-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000347962974 381435622 /nfs/dbraw/zinc/43/56/22/381435622.db2.gz IMNDKXJWEQUJCM-VXGBXAGGSA-N 0 0 295.314 2.627 20 5 CFBDRN C[C@H](C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C1CCOCC1 ZINC000347965856 381437650 /nfs/dbraw/zinc/43/76/50/381437650.db2.gz XFGSRHGZJROGTD-VIFPVBQESA-N 0 0 296.298 2.735 20 5 CFBDRN C[C@@H](C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C1CCOCC1 ZINC000347965851 381437998 /nfs/dbraw/zinc/43/79/98/381437998.db2.gz XFGSRHGZJROGTD-SECBINFHSA-N 0 0 296.298 2.735 20 5 CFBDRN CCc1noc([C@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000296540197 381438955 /nfs/dbraw/zinc/43/89/55/381438955.db2.gz DZSOAXZIWWGYOC-UWVGGRQHSA-N 0 0 290.323 2.952 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000412785208 381441655 /nfs/dbraw/zinc/44/16/55/381441655.db2.gz RTNZDFLKNVEHRU-JTQLQIEISA-N 0 0 294.326 2.923 20 5 CFBDRN O=C(Cc1ccco1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347982076 381442481 /nfs/dbraw/zinc/44/24/81/381442481.db2.gz OAUQZZVTBWKHHG-UHFFFAOYSA-N 0 0 264.212 2.508 20 5 CFBDRN CC(C)(C(=O)Nc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000347981014 381443016 /nfs/dbraw/zinc/44/30/16/381443016.db2.gz BPNJIBHWEADNEQ-UHFFFAOYSA-N 0 0 258.224 2.825 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000412786068 381443023 /nfs/dbraw/zinc/44/30/23/381443023.db2.gz UUQRXCXKYXMIFE-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC(C)(C)C[C@H]2C)c1 ZINC000412785643 381443320 /nfs/dbraw/zinc/44/33/20/381443320.db2.gz TXOIUEAQQYHPER-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H]2CCCCS2)cc1[N+](=O)[O-] ZINC000342633962 381445397 /nfs/dbraw/zinc/44/53/97/381445397.db2.gz GKNLZPVLKYRUPS-GFCCVEGCSA-N 0 0 294.376 2.919 20 5 CFBDRN CC[C@@H]1OCCC[C@@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347993303 381447720 /nfs/dbraw/zinc/44/77/20/381447720.db2.gz WKJJDKULHQHZFY-STQMWFEESA-N 0 0 296.298 2.878 20 5 CFBDRN CCC(=O)c1ccc(Nc2cn[nH]c2)c([N+](=O)[O-])c1 ZINC000359450113 381418225 /nfs/dbraw/zinc/41/82/25/381418225.db2.gz RYKYURHGDAWBMK-UHFFFAOYSA-N 0 0 260.253 2.654 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000348238753 381474760 /nfs/dbraw/zinc/47/47/60/381474760.db2.gz ZGGXAOFNVUOWKB-MEBBXXQBSA-N 0 0 294.326 2.733 20 5 CFBDRN C[C@@H](CC(C)(C)O)[NH2+][C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000296639565 381485225 /nfs/dbraw/zinc/48/52/25/381485225.db2.gz XZYNKQOPXDZBGY-QWRGUYRKSA-N 0 0 266.341 2.795 20 5 CFBDRN CC[C@H](CNc1ccc([N+](=O)[O-])cc1COC)OC ZINC000290563447 381482274 /nfs/dbraw/zinc/48/22/74/381482274.db2.gz AOPSHRAJUADEIQ-GFCCVEGCSA-N 0 0 268.313 2.578 20 5 CFBDRN O=C(NC[C@H]1CCCCS1)c1ccc([N+](=O)[O-])s1 ZINC000342641913 381451764 /nfs/dbraw/zinc/45/17/64/381451764.db2.gz RFXFMJFTDZWBPQ-MRVPVSSYSA-N 0 0 286.378 2.672 20 5 CFBDRN CCOCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000296567760 381452500 /nfs/dbraw/zinc/45/25/00/381452500.db2.gz LJLHAYDCUXAQMZ-UHFFFAOYSA-N 0 0 299.302 2.671 20 5 CFBDRN Cc1nn(Cc2ccccc2Br)cc1[N+](=O)[O-] ZINC000354916552 381520415 /nfs/dbraw/zinc/52/04/15/381520415.db2.gz GYRYIGPZGGUXAM-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN C[C@H](NC(=O)NC1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000296707188 381513445 /nfs/dbraw/zinc/51/34/45/381513445.db2.gz FGWWZVSEZSWYMD-QMMMGPOBSA-N 0 0 299.277 2.753 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H]1CCC(C)(C)C1=O ZINC000412977417 381513512 /nfs/dbraw/zinc/51/35/12/381513512.db2.gz KTRLIKCIQFNXMV-LLVKDONJSA-N 0 0 279.292 2.740 20 5 CFBDRN COC(=O)c1coc(COc2c(F)cccc2[N+](=O)[O-])c1 ZINC000185040316 381520904 /nfs/dbraw/zinc/52/09/04/381520904.db2.gz JPIUQOWHSXDINW-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000354852434 381488513 /nfs/dbraw/zinc/48/85/13/381488513.db2.gz QCHXQUHEKXFMHI-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN CC(=O)c1cc(NCCc2ccncc2)ccc1[N+](=O)[O-] ZINC000342704214 381490371 /nfs/dbraw/zinc/49/03/71/381490371.db2.gz LWUUHRPINYQOBA-UHFFFAOYSA-N 0 0 285.303 2.847 20 5 CFBDRN NC(=O)c1ccnc(SC2CCCCC2)c1[N+](=O)[O-] ZINC000290589618 381491772 /nfs/dbraw/zinc/49/17/72/381491772.db2.gz XGIDLVNJZLIOTJ-UHFFFAOYSA-N 0 0 281.337 2.514 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1ncnn1C(C)C ZINC000290612909 381498212 /nfs/dbraw/zinc/49/82/12/381498212.db2.gz KNDILRVDGXWYDO-UHFFFAOYSA-N 0 0 289.339 2.942 20 5 CFBDRN CC/C=C/CNC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000296788357 381541545 /nfs/dbraw/zinc/54/15/45/381541545.db2.gz KSJCHNXOCNZAOF-SNAWJCMRSA-N 0 0 279.296 2.691 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCCC[C@H](CO)C1 ZINC000371060571 381541778 /nfs/dbraw/zinc/54/17/78/381541778.db2.gz ASUXICPQKSNSII-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000342796081 381547522 /nfs/dbraw/zinc/54/75/22/381547522.db2.gz ORZPLBAYPMWKMW-ZMLRMANQSA-N 0 0 279.340 2.770 20 5 CFBDRN Cc1ccc(Cn2ccc(C)c([N+](=O)[O-])c2=O)c(C)c1 ZINC000412997874 381529146 /nfs/dbraw/zinc/52/91/46/381529146.db2.gz IZCJJRGGEGUVMV-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN CC1(C)CCC[C@H](Oc2ccc([N+](=O)[O-])c(CO)c2)C1=O ZINC000413001182 381531172 /nfs/dbraw/zinc/53/11/72/381531172.db2.gz CIWSQPGKCBLQED-ZDUSSCGKSA-N 0 0 293.319 2.614 20 5 CFBDRN Cc1nc(N[C@@H](CCO)c2ccccc2)ccc1[N+](=O)[O-] ZINC000348365739 381534547 /nfs/dbraw/zinc/53/45/47/381534547.db2.gz PBYGWDLAODDHDZ-ZDUSSCGKSA-N 0 0 287.319 2.834 20 5 CFBDRN COC1(C(=O)Oc2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000185099963 381535857 /nfs/dbraw/zinc/53/58/57/381535857.db2.gz JLDKBPBJQHRXOZ-UHFFFAOYSA-N 0 0 279.292 2.850 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCS[C@@H]3CCCC[C@H]32)c(F)c1 ZINC000413190131 381536691 /nfs/dbraw/zinc/53/66/91/381536691.db2.gz WYQUSHQXHIKYJM-VXGBXAGGSA-N 0 0 297.355 2.993 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@@H](C)C(=O)OC(C)(C)C ZINC000185258168 381577988 /nfs/dbraw/zinc/57/79/88/381577988.db2.gz IZKHRWRBCPEUPP-NSHDSACASA-N 0 0 294.351 2.723 20 5 CFBDRN CCN(CC)c1nnc(-c2cccc([N+](=O)[O-])c2)n1CC ZINC000290875237 381582137 /nfs/dbraw/zinc/58/21/37/381582137.db2.gz FKERKQOMAIZXEU-UHFFFAOYSA-N 0 0 289.339 2.719 20 5 CFBDRN CCOC(=O)c1cc(NC[C@H](CC)OC)ccc1[N+](=O)[O-] ZINC000290811744 381560342 /nfs/dbraw/zinc/56/03/42/381560342.db2.gz ZRIPCUBJVNXHKQ-NSHDSACASA-N 0 0 296.323 2.608 20 5 CFBDRN C[C@H](SCc1cnn(C)n1)c1ccccc1[N+](=O)[O-] ZINC000290818510 381561877 /nfs/dbraw/zinc/56/18/77/381561877.db2.gz SCVUQAKGKGVFHY-VIFPVBQESA-N 0 0 278.337 2.718 20 5 CFBDRN CC[C@H](COC)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000290817160 381562431 /nfs/dbraw/zinc/56/24/31/381562431.db2.gz RYZLAWHYPKYNBL-SNVBAGLBSA-N 0 0 266.297 2.634 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc(Cl)cn2)n1 ZINC000342818011 381565738 /nfs/dbraw/zinc/56/57/38/381565738.db2.gz SUZJBOYNUVWDCO-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1cc(Oc2ncnc3n[nH]cc32)c(F)cc1[N+](=O)[O-] ZINC000359700948 381566249 /nfs/dbraw/zinc/56/62/49/381566249.db2.gz QPSVJKXDIVRLBX-UHFFFAOYSA-N 0 0 289.226 2.501 20 5 CFBDRN C[C@H](C(=O)NCCNc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000359703097 381567394 /nfs/dbraw/zinc/56/73/94/381567394.db2.gz BCZWVSDPRLNMBX-LLVKDONJSA-N 0 0 293.367 2.805 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1C[C@@H]2CCCCCC[C@H]21 ZINC000371212368 381569544 /nfs/dbraw/zinc/56/95/44/381569544.db2.gz JSDKAHAOWNBPCC-SMDDNHRTSA-N 0 0 289.335 2.785 20 5 CFBDRN O=C(NCc1ccoc1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342907577 381607941 /nfs/dbraw/zinc/60/79/41/381607941.db2.gz QHNHDLXSMXPHJU-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](C2CCOCC2)C1 ZINC000371372336 381603784 /nfs/dbraw/zinc/60/37/84/381603784.db2.gz WHXIBTPWUMQISW-ZDUSSCGKSA-N 0 0 276.336 2.848 20 5 CFBDRN CC(C)[C@@H](O)C1(CNc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000342850885 381584484 /nfs/dbraw/zinc/58/44/84/381584484.db2.gz PVWUMQVQOGKKFJ-CYBMUJFWSA-N 0 0 282.315 2.943 20 5 CFBDRN CCCCN(C(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000298359664 381592207 /nfs/dbraw/zinc/59/22/07/381592207.db2.gz PRSBVBZZULYIOA-UHFFFAOYSA-N 0 0 262.309 3.000 20 5 CFBDRN CCCCN(C(=O)COc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000298365327 381594261 /nfs/dbraw/zinc/59/42/61/381594261.db2.gz RRXKSNBCKVFGLH-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN O=C(NCc1ccc(F)cc1F)c1ccccc1[N+](=O)[O-] ZINC000348524342 381608400 /nfs/dbraw/zinc/60/84/00/381608400.db2.gz CZTMSEVUIBDBDY-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN CN(CC1CC1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342907836 381608641 /nfs/dbraw/zinc/60/86/41/381608641.db2.gz RTUONLQKYBMILW-UHFFFAOYSA-N 0 0 273.292 2.558 20 5 CFBDRN C[C@@H](Nc1c(F)cccc1[N+](=O)[O-])c1ccon1 ZINC000342916049 381613814 /nfs/dbraw/zinc/61/38/14/381613814.db2.gz HNLLLONZYRFKDJ-SSDOTTSWSA-N 0 0 251.217 2.895 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342922262 381617820 /nfs/dbraw/zinc/61/78/20/381617820.db2.gz VXECVYPMFKXJFV-MRVPVSSYSA-N 0 0 293.348 2.557 20 5 CFBDRN CCCN(CC)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342924933 381618634 /nfs/dbraw/zinc/61/86/34/381618634.db2.gz LXHANJDTOSEQTA-UHFFFAOYSA-N 0 0 275.308 2.948 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCCC2(CC2)C1 ZINC000185383433 381618873 /nfs/dbraw/zinc/61/88/73/381618873.db2.gz NOHOUCXHYWXKAV-UHFFFAOYSA-N 0 0 266.322 2.673 20 5 CFBDRN NC(=O)c1cccc(N[C@H]2CC23CCCCC3)c1[N+](=O)[O-] ZINC000342935879 381624870 /nfs/dbraw/zinc/62/48/70/381624870.db2.gz UKQXFGBXRAQWDW-LBPRGKRZSA-N 0 0 289.335 2.828 20 5 CFBDRN CC1(CCNc2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000359821666 381626211 /nfs/dbraw/zinc/62/62/11/381626211.db2.gz YFPOFBFYCAKKMT-UHFFFAOYSA-N 0 0 253.277 2.918 20 5 CFBDRN Cc1cc(N[C@H]2CCc3c[nH]nc3C2)ccc1[N+](=O)[O-] ZINC000371440036 381627264 /nfs/dbraw/zinc/62/72/64/381627264.db2.gz OKXGSBAJCWLLSA-LBPRGKRZSA-N 0 0 272.308 2.596 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000414936282 381627267 /nfs/dbraw/zinc/62/72/67/381627267.db2.gz BYEGYPZGXCXKEE-RISCZKNCSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1cc(NCCCn2ccnc2)c(F)cc1[N+](=O)[O-] ZINC000291022972 381637090 /nfs/dbraw/zinc/63/70/90/381637090.db2.gz WGSUHNQGZMFJRM-UHFFFAOYSA-N 0 0 278.287 2.741 20 5 CFBDRN CC(=O)c1cc(N(C)CC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000342960357 381638000 /nfs/dbraw/zinc/63/80/00/381638000.db2.gz NFTZFFLVPMYENS-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN COC1(Cc2nc(-c3ccc([N+](=O)[O-])s3)no2)CCC1 ZINC000289182111 197255668 /nfs/dbraw/zinc/25/56/68/197255668.db2.gz WLWQIUCQCVFTOG-UHFFFAOYSA-N 0 0 295.320 2.818 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c([O-])c1)N1CCC[C@@H](C2CC2)C1 ZINC000291037018 381642760 /nfs/dbraw/zinc/64/27/60/381642760.db2.gz YVSCMAKPABSRSW-GFCCVEGCSA-N 0 0 290.319 2.563 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCC[C@@H](CO)C2)c(F)c1 ZINC000298505826 381655225 /nfs/dbraw/zinc/65/52/25/381655225.db2.gz GXAGIHCVDDRBTO-RKDXNWHRSA-N 0 0 286.278 2.836 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccccc2[N+](=O)[O-])CCC1(F)F ZINC000291071412 381656044 /nfs/dbraw/zinc/65/60/44/381656044.db2.gz YTMVXAAPAZVETM-SNVBAGLBSA-N 0 0 298.289 2.641 20 5 CFBDRN Cc1ccc2c(c1)CN(c1cccc(C(N)=O)c1[N+](=O)[O-])C2 ZINC000343046451 381660677 /nfs/dbraw/zinc/66/06/77/381660677.db2.gz RJLPPLDVGJIXHU-UHFFFAOYSA-N 0 0 297.314 2.522 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCO[C@H](C)C1 ZINC000348669680 381661822 /nfs/dbraw/zinc/66/18/22/381661822.db2.gz HGBZRBDIQCFABJ-LLBKUYECSA-N 0 0 276.336 2.719 20 5 CFBDRN C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)CCC1(F)F ZINC000291087402 381663298 /nfs/dbraw/zinc/66/32/98/381663298.db2.gz ZZTXYHPXWBPYHU-VIFPVBQESA-N 0 0 284.262 2.712 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC(F)(F)[C@H](C)C1 ZINC000291095261 381665041 /nfs/dbraw/zinc/66/50/41/381665041.db2.gz JUPSICGPXAEYBR-MRVPVSSYSA-N 0 0 288.298 2.601 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCCc1cn[nH]c1 ZINC000291056760 381650660 /nfs/dbraw/zinc/65/06/60/381650660.db2.gz FGRDYQHMRDQZEB-UHFFFAOYSA-N 0 0 280.715 2.934 20 5 CFBDRN COc1cc(C)nc(Oc2ccc([N+](=O)[O-])c(OC)c2)n1 ZINC000359874974 381652910 /nfs/dbraw/zinc/65/29/10/381652910.db2.gz KSEIKFSYYZZXCE-UHFFFAOYSA-N 0 0 291.263 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)CCC(=O)OC(C)C)n1 ZINC000359874609 381653065 /nfs/dbraw/zinc/65/30/65/381653065.db2.gz KXWACUQOELTPJW-NSHDSACASA-N 0 0 295.339 2.830 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000355166783 381653242 /nfs/dbraw/zinc/65/32/42/381653242.db2.gz GTZBGMDTXRTUIG-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN Cc1ccc(C[N@@H+](C)[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000348707678 381675266 /nfs/dbraw/zinc/67/52/66/381675266.db2.gz JQGDCBYCPVTJHS-CYBMUJFWSA-N 0 0 264.325 2.514 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CC1 ZINC000371680637 381675866 /nfs/dbraw/zinc/67/58/66/381675866.db2.gz KRPQZOGTSKGKDR-CQSZACIVSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@@H](CO)C2)c1 ZINC000298570678 381678071 /nfs/dbraw/zinc/67/80/71/381678071.db2.gz KMHMQWIJAQGTAV-NEPJUHHUSA-N 0 0 264.325 2.866 20 5 CFBDRN CC(C)n1nccc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000291143022 381682499 /nfs/dbraw/zinc/68/24/99/381682499.db2.gz YIHHVMGQPHJMNJ-UHFFFAOYSA-N 0 0 293.327 2.502 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CCC[C@@H]1C ZINC000359929798 381683866 /nfs/dbraw/zinc/68/38/66/381683866.db2.gz MPRFSHBVELTWQX-QWRGUYRKSA-N 0 0 291.351 2.803 20 5 CFBDRN CC(C)(CCc1noc(-c2conc2C2CC2)n1)[N+](=O)[O-] ZINC000291147698 381684602 /nfs/dbraw/zinc/68/46/02/381684602.db2.gz YYTFRHHTXZPMRE-UHFFFAOYSA-N 0 0 292.295 2.590 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC12CCC(CC1)C2 ZINC000371711254 381684924 /nfs/dbraw/zinc/68/49/24/381684924.db2.gz RIGQAXPJQJMGPV-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN CC(C)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000298606851 381688126 /nfs/dbraw/zinc/68/81/26/381688126.db2.gz PSYDHEGAAGVRIP-UHFFFAOYSA-N 0 0 262.265 2.727 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000371724695 381688462 /nfs/dbraw/zinc/68/84/62/381688462.db2.gz BGUHHVBOFMAMQY-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cc(OCc2ccon2)c(F)cc1[N+](=O)[O-] ZINC000185658459 381695595 /nfs/dbraw/zinc/69/55/95/381695595.db2.gz OVRNUBSPVBXURG-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H](C)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000185663128 381697405 /nfs/dbraw/zinc/69/74/05/381697405.db2.gz IVBGDRJNBWBYNO-SNVBAGLBSA-N 0 0 298.314 2.582 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000355243833 381700028 /nfs/dbraw/zinc/70/00/28/381700028.db2.gz YPESRCAUPOQENR-PSASIEDQSA-N 0 0 282.727 2.911 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@]1(CO)C[C@H]1c1ccccc1 ZINC000291186711 381700525 /nfs/dbraw/zinc/70/05/25/381700525.db2.gz SHLOFNCQXWRMOU-XJKSGUPXSA-N 0 0 299.330 2.568 20 5 CFBDRN COC1CC(N(C)c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000359969929 381703618 /nfs/dbraw/zinc/70/36/18/381703618.db2.gz WZOXOLMSNVJFNV-UHFFFAOYSA-N 0 0 268.288 2.656 20 5 CFBDRN COc1cccc(NCC[N@@H+]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000355312166 381744653 /nfs/dbraw/zinc/74/46/53/381744653.db2.gz JJPSQWIJEDSJGI-LBPRGKRZSA-N 0 0 293.367 2.890 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000360040059 381745316 /nfs/dbraw/zinc/74/53/16/381745316.db2.gz WPPSWBMMPYVTSF-VXGBXAGGSA-N 0 0 292.335 2.656 20 5 CFBDRN CCN(CC(F)F)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000185837764 381749689 /nfs/dbraw/zinc/74/96/89/381749689.db2.gz XKUJKHJZZYZDMT-UHFFFAOYSA-N 0 0 272.251 2.630 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000185839865 381751224 /nfs/dbraw/zinc/75/12/24/381751224.db2.gz OOIMKTNHOVXFHS-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nnc3n2CCCCC3)cc1 ZINC000298719189 381722553 /nfs/dbraw/zinc/72/25/53/381722553.db2.gz JUXJJOWLHIZHGF-UHFFFAOYSA-N 0 0 287.323 2.525 20 5 CFBDRN Cc1cccnc1N(C)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000348817583 381723534 /nfs/dbraw/zinc/72/35/34/381723534.db2.gz YLINZZBOFILEKQ-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CN(C[C@H]1CCCCO1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000298925333 381782369 /nfs/dbraw/zinc/78/23/69/381782369.db2.gz CBGCMVXETZXDKY-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN CN(CCC(=O)OC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000343548037 381787537 /nfs/dbraw/zinc/78/75/37/381787537.db2.gz JNOREROYDXUQDO-UHFFFAOYSA-N 0 0 280.324 2.763 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CSc1nncn1C1CC1 ZINC000349005833 381788187 /nfs/dbraw/zinc/78/81/87/381788187.db2.gz MNWHCWSKOXNZTQ-UHFFFAOYSA-N 0 0 294.311 2.953 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@@H]1c1ccsc1 ZINC000298919092 381780023 /nfs/dbraw/zinc/78/00/23/381780023.db2.gz ALGPFEIIWBXHBV-LLVKDONJSA-N 0 0 291.332 2.962 20 5 CFBDRN CC(C)c1ccc(NC(=O)Cn2nccc2[N+](=O)[O-])cc1 ZINC000299091934 381822033 /nfs/dbraw/zinc/82/20/33/381822033.db2.gz ZHGJCURDEPDWMX-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN COCC[C@H](Cc1ccco1)Nc1ncccc1[N+](=O)[O-] ZINC000343616589 381844538 /nfs/dbraw/zinc/84/45/38/381844538.db2.gz ZCYCBYVYHSBPSL-LLVKDONJSA-N 0 0 291.307 2.643 20 5 CFBDRN CCOCCN(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000292762636 381825223 /nfs/dbraw/zinc/82/52/23/381825223.db2.gz WECULNVZEJLFMN-UHFFFAOYSA-N 0 0 256.277 2.515 20 5 CFBDRN Cc1cc(CNc2cc(C)c([N+](=O)[O-])cc2F)n(C)n1 ZINC000292770258 381828841 /nfs/dbraw/zinc/82/88/41/381828841.db2.gz WSIKUKDHJRIKJF-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN COc1ccc(C(=O)N2CCC3(CC3)CC2)cc1[N+](=O)[O-] ZINC000372589088 381839018 /nfs/dbraw/zinc/83/90/18/381839018.db2.gz ZGJDLJJMXLCNDD-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC2(CC2)CC1 ZINC000372585038 381839210 /nfs/dbraw/zinc/83/92/10/381839210.db2.gz QCVUSECTSCYUCE-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN CCCCc1ccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)cc1 ZINC000349043766 381802790 /nfs/dbraw/zinc/80/27/90/381802790.db2.gz MAIMKINSQLHEDL-UHFFFAOYSA-N 0 0 288.307 2.913 20 5 CFBDRN CSC[C@H]1CCCN(c2cc(N)ccc2[N+](=O)[O-])C1 ZINC000292398784 381803239 /nfs/dbraw/zinc/80/32/39/381803239.db2.gz UQQPVNUYSYINOR-JTQLQIEISA-N 0 0 281.381 2.756 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1cccc(F)c1Cl ZINC000349190904 381843508 /nfs/dbraw/zinc/84/35/08/381843508.db2.gz MGEPUJINKRYXSY-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@](C)(OC)C1CC1 ZINC000292449881 381806087 /nfs/dbraw/zinc/80/60/87/381806087.db2.gz WUAIXAXJRDUCDP-HNNXBMFYSA-N 0 0 294.351 2.968 20 5 CFBDRN CN(Cc1cnn(C)c1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000292640885 381815251 /nfs/dbraw/zinc/81/52/51/381815251.db2.gz YWZIPWKWCFXNCX-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)c2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000416076400 381876889 /nfs/dbraw/zinc/87/68/89/381876889.db2.gz HEPZLGRJJIINOB-GMTAPVOTSA-N 0 0 262.309 2.711 20 5 CFBDRN CO[C@@H](CNc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000292872185 381876819 /nfs/dbraw/zinc/87/68/19/381876819.db2.gz TYLHVDLUSMBJOI-NSHDSACASA-N 0 0 254.261 2.571 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H]2c2ccco2)cc1 ZINC000362000389 381878200 /nfs/dbraw/zinc/87/82/00/381878200.db2.gz CLVRLPUPYSULOQ-ZDUSSCGKSA-N 0 0 274.276 2.766 20 5 CFBDRN C[C@H](c1noc(CCn2cc([N+](=O)[O-])cn2)n1)C(C)(C)C ZINC000356882990 381883344 /nfs/dbraw/zinc/88/33/44/381883344.db2.gz RCPRPJKGCGJQGJ-SECBINFHSA-N 0 0 293.327 2.567 20 5 CFBDRN CN(CC(F)F)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000349199331 381845903 /nfs/dbraw/zinc/84/59/03/381845903.db2.gz DIMFEMUUQAGNHF-UHFFFAOYSA-N 0 0 284.218 2.678 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc([C@H]2CCOC2)cc1 ZINC000292821610 381850185 /nfs/dbraw/zinc/85/01/85/381850185.db2.gz OZRYQCCFIAXQBX-NSHDSACASA-N 0 0 288.307 2.576 20 5 CFBDRN CN(C(=O)[C@H]1C[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000415595834 381858495 /nfs/dbraw/zinc/85/84/95/381858495.db2.gz ROUNBDQXABWTQR-KGLIPLIRSA-N 0 0 297.314 2.756 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H]1CCn2ccnc2C1 ZINC000349238642 381859437 /nfs/dbraw/zinc/85/94/37/381859437.db2.gz DAKNQIVWWJXDAX-JTQLQIEISA-N 0 0 292.726 2.872 20 5 CFBDRN Cc1ccnc(N2CCN(C)c3ccccc3C2)c1[N+](=O)[O-] ZINC000361831120 381865633 /nfs/dbraw/zinc/86/56/33/381865633.db2.gz SDEDIARETPGTLH-UHFFFAOYSA-N 0 0 298.346 2.755 20 5 CFBDRN O=C(NC1(Cc2ccccc2)CC1)c1ccc([N+](=O)[O-])o1 ZINC000186291323 381874941 /nfs/dbraw/zinc/87/49/41/381874941.db2.gz MBFAPWVCDNABDM-UHFFFAOYSA-N 0 0 286.287 2.693 20 5 CFBDRN CCc1nocc1CN(C)c1cccc(F)c1[N+](=O)[O-] ZINC000292916813 381901461 /nfs/dbraw/zinc/90/14/61/381901461.db2.gz XZIIYHLSQVQVST-UHFFFAOYSA-N 0 0 279.271 2.921 20 5 CFBDRN Cc1c(NC(=O)NC[C@H]2CC23CC3)cccc1[N+](=O)[O-] ZINC000416733237 381910108 /nfs/dbraw/zinc/91/01/08/381910108.db2.gz LNFSRHGVQXYEHR-SNVBAGLBSA-N 0 0 275.308 2.825 20 5 CFBDRN Cc1noc([C@@H](C)NC/C=C/c2ccccc2[N+](=O)[O-])n1 ZINC000356933490 381911690 /nfs/dbraw/zinc/91/16/90/381911690.db2.gz ZZLBDIJFHOUMCZ-BREXMAIKSA-N 0 0 288.307 2.650 20 5 CFBDRN Cc1ccc([C@H](C)CNC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000349374087 381914267 /nfs/dbraw/zinc/91/42/67/381914267.db2.gz YDGYSOUXJBEJMC-LLVKDONJSA-N 0 0 287.319 2.765 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000299311443 381891000 /nfs/dbraw/zinc/89/10/00/381891000.db2.gz VSJDCRSGTWJSPX-GFCCVEGCSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000299315752 381892736 /nfs/dbraw/zinc/89/27/36/381892736.db2.gz WSHMCUVFGZMSHO-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CC[C@@H]1C ZINC000343809361 381955113 /nfs/dbraw/zinc/95/51/13/381955113.db2.gz OJFOYMFVPPWTSN-JOYOIKCWSA-N 0 0 250.298 2.952 20 5 CFBDRN Cc1ncccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000357003930 381955705 /nfs/dbraw/zinc/95/57/05/381955705.db2.gz YYFNIMATFFZTJM-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCOCC1CCC1 ZINC000416889043 381960123 /nfs/dbraw/zinc/96/01/23/381960123.db2.gz QVZRQJDMMZYQAA-UHFFFAOYSA-N 0 0 251.282 2.790 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCC2CCC2)c(F)c1 ZINC000416912487 381966202 /nfs/dbraw/zinc/96/62/02/381966202.db2.gz NMEOXQHQRXTZSV-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN Cc1cc(C(=O)N(C(C)C)[C@@H]2CCOC2)cc([N+](=O)[O-])c1 ZINC000362246431 381973715 /nfs/dbraw/zinc/97/37/15/381973715.db2.gz QPPMRZHAYQZPBK-CYBMUJFWSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(C(=O)N2CC3CCC2CC3)ccc1[N+](=O)[O-] ZINC000373156612 381936946 /nfs/dbraw/zinc/93/69/46/381936946.db2.gz XTUXEJHKTOKPNY-UHFFFAOYSA-N 0 0 274.320 2.918 20 5 CFBDRN O=C(c1ncoc1C1CC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000292989804 381939757 /nfs/dbraw/zinc/93/97/57/381939757.db2.gz GKXAKAUHFJYWNN-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN COC(=O)c1cc(C)nc(N[C@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000343787125 381942192 /nfs/dbraw/zinc/94/21/92/381942192.db2.gz HTRNKVCFEILJIZ-JTQLQIEISA-N 0 0 293.323 2.685 20 5 CFBDRN CC(C)Oc1ccc(Cn2cnc([N+](=O)[O-])n2)cc1Cl ZINC000186573213 381951921 /nfs/dbraw/zinc/95/19/21/381951921.db2.gz NWEUOWSYKJXWQA-UHFFFAOYSA-N 0 0 296.714 2.675 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N[C@@H]1C=C[C@H](CO)C1 ZINC000293020664 381952729 /nfs/dbraw/zinc/95/27/29/381952729.db2.gz KQEFXBPNYXDGFE-DTWKUNHWSA-N 0 0 268.700 2.597 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@@H]2CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000343805767 381953174 /nfs/dbraw/zinc/95/31/74/381953174.db2.gz JOLDZTCIVJFAPV-ZYHUDNBSSA-N 0 0 291.351 2.943 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000343882156 382000938 /nfs/dbraw/zinc/00/09/38/382000938.db2.gz NKZDWIPMWNFSOY-IUCAKERBSA-N 0 0 298.289 2.720 20 5 CFBDRN CCOC(=O)c1cc(NCC2(C)CC2)ccc1[N+](=O)[O-] ZINC000343906619 382013736 /nfs/dbraw/zinc/01/37/36/382013736.db2.gz IJDJTYNXVZULHZ-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN CCOC(=O)c1cc(N[C@@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000343905927 382013877 /nfs/dbraw/zinc/01/38/77/382013877.db2.gz HCYDBHYHPFDDOW-GFCCVEGCSA-N 0 0 278.308 2.982 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2C[C@H]2C(F)F)s1 ZINC000343852131 381982933 /nfs/dbraw/zinc/98/29/33/381982933.db2.gz KEYKFSKDTPDPLI-INEUFUBQSA-N 0 0 286.263 2.665 20 5 CFBDRN C[C@@H](C(=O)NCc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000293093231 381986100 /nfs/dbraw/zinc/98/61/00/381986100.db2.gz NIHFIEQBYFGNFO-QMMMGPOBSA-N 0 0 272.251 2.502 20 5 CFBDRN COCC[C@H](C)CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000417062099 381994191 /nfs/dbraw/zinc/99/41/91/381994191.db2.gz GLQTTXQTQHDEMS-VIFPVBQESA-N 0 0 299.302 2.528 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@@H](C3CC3)C2)nc2sccn21 ZINC000362396256 382048758 /nfs/dbraw/zinc/04/87/58/382048758.db2.gz NFIRAKYQCHPWJA-SECBINFHSA-N 0 0 278.337 2.540 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC([C@@H]2CCOC2)CC1 ZINC000374642646 382051047 /nfs/dbraw/zinc/05/10/47/382051047.db2.gz CJTZFUCGHKXYSP-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000300295987 382060738 /nfs/dbraw/zinc/06/07/38/382060738.db2.gz ULLDLISZINEBPM-ZJUUUORDSA-N 0 0 278.308 2.609 20 5 CFBDRN CC(C)[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000357216577 382062523 /nfs/dbraw/zinc/06/25/23/382062523.db2.gz YHGFIQUBNOMGTJ-ZYHUDNBSSA-N 0 0 265.313 2.605 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000362345097 382018061 /nfs/dbraw/zinc/01/80/61/382018061.db2.gz KLMSOIRFZWHWSZ-YUTCNCBUSA-N 0 0 290.319 2.521 20 5 CFBDRN Cc1cc(CCNc2cc(C)ccc2[N+](=O)[O-])on1 ZINC000343918288 382018804 /nfs/dbraw/zinc/01/88/04/382018804.db2.gz RHKXFOBYGSPEQQ-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2C[C@]2(C)C(C)C)ccc1[N+](=O)[O-] ZINC000186840621 382028762 /nfs/dbraw/zinc/02/87/62/382028762.db2.gz NPGGUTMBLUOAAV-QMTHXVAHSA-N 0 0 277.324 2.919 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]2C2CC2)c1 ZINC000362373563 382033526 /nfs/dbraw/zinc/03/35/26/382033526.db2.gz MQQBCOOLOZTUTK-WDEREUQCSA-N 0 0 276.292 2.592 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N[C@H]1C[C@@H]1C1CC1 ZINC000362375008 382034639 /nfs/dbraw/zinc/03/46/39/382034639.db2.gz FGZFRKOQQGKPOZ-BDAKNGLRSA-N 0 0 298.140 2.963 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(C)=C[C@@H](C)C1 ZINC000362377244 382035110 /nfs/dbraw/zinc/03/51/10/382035110.db2.gz AZTOVRIEMQSWDQ-SECBINFHSA-N 0 0 264.329 2.522 20 5 CFBDRN Cc1c(NC(=O)c2cccc(CO)c2)cccc1[N+](=O)[O-] ZINC000362376767 382035807 /nfs/dbraw/zinc/03/58/07/382035807.db2.gz UHDINJRQAXQPBL-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000357171906 382037797 /nfs/dbraw/zinc/03/77/97/382037797.db2.gz QXSJQXGSOKEYFI-QPUJVOFHSA-N 0 0 284.287 2.734 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@H]2C)c([N+](=O)[O-])cc1F ZINC000357312483 382102360 /nfs/dbraw/zinc/10/23/60/382102360.db2.gz JFZWBIXUJHKHAF-SFYZADRCSA-N 0 0 254.261 2.810 20 5 CFBDRN Cc1nn(C)c(NCCCc2cccc(F)c2)c1[N+](=O)[O-] ZINC000301215569 382106797 /nfs/dbraw/zinc/10/67/97/382106797.db2.gz ZNVIAALYADPYKV-UHFFFAOYSA-N 0 0 292.314 2.821 20 5 CFBDRN CCc1nc(CNc2ncccc2[N+](=O)[O-])cs1 ZINC000301214080 382107112 /nfs/dbraw/zinc/10/71/12/382107112.db2.gz YUOBBSVHWFINTI-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H](O)c1ccc(F)cc1 ZINC000301214097 382107125 /nfs/dbraw/zinc/10/71/25/382107125.db2.gz YVUHGUNERANMPA-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN CCCC[C@H]1CCC[C@@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301209201 382107207 /nfs/dbraw/zinc/10/72/07/382107207.db2.gz VIRXXAMKWNULIL-UWVGGRQHSA-N 0 0 279.344 2.738 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCc3ccccc3F)c21 ZINC000357326198 382108729 /nfs/dbraw/zinc/10/87/29/382108729.db2.gz XLCUGHQNZLARBF-UHFFFAOYSA-N 0 0 298.277 2.711 20 5 CFBDRN O=C(NCC1(C(F)F)CC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000344072597 382109358 /nfs/dbraw/zinc/10/93/58/382109358.db2.gz XOLCCSYJRFCJLY-UHFFFAOYSA-N 0 0 285.250 2.762 20 5 CFBDRN CC1(C)CC[C@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)O1 ZINC000187145725 382110513 /nfs/dbraw/zinc/11/05/13/382110513.db2.gz WJTIVVUTFNHBPQ-GFCCVEGCSA-N 0 0 293.323 2.674 20 5 CFBDRN CC(C)NC(=O)c1ccc(NC[C@@H]2C[C@H]2C)c([N+](=O)[O-])c1 ZINC000357328279 382111204 /nfs/dbraw/zinc/11/12/04/382111204.db2.gz XBWCKGVFFZEAKA-PWSUYJOCSA-N 0 0 291.351 2.801 20 5 CFBDRN Cc1ccoc1-c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357335551 382116057 /nfs/dbraw/zinc/11/60/57/382116057.db2.gz RBAWAEAKWYKZMV-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN Cc1nn(C)c(Nc2ccc3c(c2)CCC3)c1[N+](=O)[O-] ZINC000301248080 382120297 /nfs/dbraw/zinc/12/02/97/382120297.db2.gz CCWCEIATROSDHJ-UHFFFAOYSA-N 0 0 272.308 2.869 20 5 CFBDRN CN(Cc1cnccn1)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000301264572 382128863 /nfs/dbraw/zinc/12/88/63/382128863.db2.gz DYIAFHJEUMVZJI-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCCC1(C)CC1 ZINC000344089008 382122318 /nfs/dbraw/zinc/12/23/18/382122318.db2.gz LHDUOSSENMURDA-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN COc1ccc([C@H](O)CNc2ccc([N+](=O)[O-])cc2)cc1 ZINC000301079573 382075988 /nfs/dbraw/zinc/07/59/88/382075988.db2.gz JYLQFRLXWWKEGZ-OAHLLOKOSA-N 0 0 288.303 2.749 20 5 CFBDRN C[C@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000301092274 382079889 /nfs/dbraw/zinc/07/98/89/382079889.db2.gz MPAOPBGEUZKXBS-IUCAKERBSA-N 0 0 270.716 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nccn2C(F)F)cc1 ZINC000301095506 382080844 /nfs/dbraw/zinc/08/08/44/382080844.db2.gz VGPWWGRVZDRCEG-UHFFFAOYSA-N 0 0 268.223 2.799 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCC(C)(C)O ZINC000357263797 382081857 /nfs/dbraw/zinc/08/18/57/382081857.db2.gz AGGBKRUXKZQOLJ-UHFFFAOYSA-N 0 0 252.314 2.730 20 5 CFBDRN COC1(CNC(=O)c2ccccc2[N+](=O)[O-])CCCCC1 ZINC000187034045 382082078 /nfs/dbraw/zinc/08/20/78/382082078.db2.gz BJLZBKSEVCHARD-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])cnc1Oc1cccc(Cl)c1 ZINC000301105118 382082386 /nfs/dbraw/zinc/08/23/86/382082386.db2.gz RYMOBRTUTBGPMF-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN CCNC(=O)c1cccc(Oc2ncccc2[N+](=O)[O-])c1 ZINC000301133593 382089685 /nfs/dbraw/zinc/08/96/85/382089685.db2.gz VSZGVUFQTDYEIT-UHFFFAOYSA-N 0 0 287.275 2.532 20 5 CFBDRN COc1ncccc1OCc1ccc([N+](=O)[O-])cc1F ZINC000357295332 382093294 /nfs/dbraw/zinc/09/32/94/382093294.db2.gz ALWRXYKBUHTHCB-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H](O)Cc1ccccc1 ZINC000301168565 382095864 /nfs/dbraw/zinc/09/58/64/382095864.db2.gz DNAXJZQPGXKBAV-AWEZNQCLSA-N 0 0 286.331 2.919 20 5 CFBDRN Cc1ccc(C)c(Sc2ncc([N+](=O)[O-])c(N)n2)c1 ZINC000301162806 382096152 /nfs/dbraw/zinc/09/61/52/382096152.db2.gz PXRKKHFWKXBMIL-UHFFFAOYSA-N 0 0 276.321 2.735 20 5 CFBDRN COc1cc(CN(C)Cc2cscn2)ccc1[N+](=O)[O-] ZINC000351971813 382099310 /nfs/dbraw/zinc/09/93/10/382099310.db2.gz XEXXILYWRCNCAH-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN CN(CCN(C)c1ncccc1[N+](=O)[O-])c1ccccc1 ZINC000301177887 382099798 /nfs/dbraw/zinc/09/97/98/382099798.db2.gz GOZPCPMYHNPYPF-UHFFFAOYSA-N 0 0 286.335 2.562 20 5 CFBDRN O=C(C1CCC1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000357452557 382177722 /nfs/dbraw/zinc/17/77/22/382177722.db2.gz LPLWYUKQIVKOER-AWEZNQCLSA-N 0 0 292.360 2.969 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccc2nccn21 ZINC000357457543 382179826 /nfs/dbraw/zinc/17/98/26/382179826.db2.gz WMIVWXLIPGPTTE-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN COc1ccc(OCCCn2cc([N+](=O)[O-])c(C)n2)cc1 ZINC000187206953 382130362 /nfs/dbraw/zinc/13/03/62/382130362.db2.gz ZPSKLAKOQQFFJA-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN Cc1ccnc(Oc2ccc3c(c2)CCC(=O)N3)c1[N+](=O)[O-] ZINC000301276769 382133735 /nfs/dbraw/zinc/13/37/35/382133735.db2.gz JCFHMEJSOIMRCO-UHFFFAOYSA-N 0 0 299.286 2.975 20 5 CFBDRN CC(=O)c1ccc(Oc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000301275972 382133977 /nfs/dbraw/zinc/13/39/77/382133977.db2.gz KZPDXWXRXKQBCQ-UHFFFAOYSA-N 0 0 275.264 2.632 20 5 CFBDRN Cc1ccnc(CNC(=O)c2cc([N+](=O)[O-])ccc2C)c1 ZINC000357368919 382137760 /nfs/dbraw/zinc/13/77/60/382137760.db2.gz ITESDGNSSMMJQP-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1ccnc(NCCOc2cccc(F)c2)c1[N+](=O)[O-] ZINC000301290275 382138565 /nfs/dbraw/zinc/13/85/65/382138565.db2.gz NGHNSVFTWSIJOD-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000301293669 382140454 /nfs/dbraw/zinc/14/04/54/382140454.db2.gz WFQUNBJPMDNSTR-UFBFGSQYSA-N 0 0 287.747 2.852 20 5 CFBDRN COC[C@@H](C)SCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000352040504 382144303 /nfs/dbraw/zinc/14/43/03/382144303.db2.gz OYCKIZBLTJQJEP-SECBINFHSA-N 0 0 271.338 2.872 20 5 CFBDRN COC1(COc2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000418872832 382185314 /nfs/dbraw/zinc/18/53/14/382185314.db2.gz XAVWPPHBYGZNAU-UHFFFAOYSA-N 0 0 255.245 2.682 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000301423712 382170780 /nfs/dbraw/zinc/17/07/80/382170780.db2.gz AUTWCPBCDJNRND-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H](C)c2ccon2)cc1[N+](=O)[O-] ZINC000357441567 382172319 /nfs/dbraw/zinc/17/23/19/382172319.db2.gz JKGGCUBLQGQEQN-VIFPVBQESA-N 0 0 290.279 2.774 20 5 CFBDRN C[C@H]1COCCN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301848681 382196882 /nfs/dbraw/zinc/19/68/82/382196882.db2.gz ACBZQFVQPSIHPP-NSHDSACASA-N 0 0 294.351 2.997 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000293619786 382198819 /nfs/dbraw/zinc/19/88/19/382198819.db2.gz ZHMXFPYQGKRDJI-ZETCQYMHSA-N 0 0 289.234 2.800 20 5 CFBDRN C[C@H]1CN(c2nc3sccn3c2[N+](=O)[O-])CC[C@@H]1C ZINC000301943736 382200693 /nfs/dbraw/zinc/20/06/93/382200693.db2.gz WGYWREAOIHRLSH-IUCAKERBSA-N 0 0 280.353 2.786 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2ccnn2C)cc1[N+](=O)[O-] ZINC000187525314 382201352 /nfs/dbraw/zinc/20/13/52/382201352.db2.gz LGZSVODMHKBZKW-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC[C@H](COc1ccc([N+](=O)[O-])c2ncccc12)OC ZINC000418964218 382201707 /nfs/dbraw/zinc/20/17/07/382201707.db2.gz MZQKOJXBMNLQGO-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN Cc1noc(C)c1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344215493 382204111 /nfs/dbraw/zinc/20/41/11/382204111.db2.gz OMKQZDKNKPUXQR-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cn1)[C@@H]1CC1(C)C ZINC000418987175 382207142 /nfs/dbraw/zinc/20/71/42/382207142.db2.gz HHLGGPZUTYZING-UWVGGRQHSA-N 0 0 250.302 2.621 20 5 CFBDRN CC[C@H]1CCCN1C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000362718196 382212133 /nfs/dbraw/zinc/21/21/33/382212133.db2.gz UYLDYSHHZCFHOX-VIFPVBQESA-N 0 0 298.289 2.817 20 5 CFBDRN CC[C@@H](O)CCCNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000357533150 382213558 /nfs/dbraw/zinc/21/35/58/382213558.db2.gz PZJRNEXTJQBTPY-SNVBAGLBSA-N 0 0 279.340 2.526 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000419005815 382214287 /nfs/dbraw/zinc/21/42/87/382214287.db2.gz VWBYSTOFULTMQM-DKTWLAJQSA-N 0 0 256.689 2.574 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000362720827 382214465 /nfs/dbraw/zinc/21/44/65/382214465.db2.gz AWGPDOYLADUFRF-GFCCVEGCSA-N 0 0 278.308 2.799 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cc1)C1(O)CCOCC1 ZINC000419006842 382214774 /nfs/dbraw/zinc/21/47/74/382214774.db2.gz INIIWNXILVWPCE-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN C[C@H]1CCC[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])nc2)C1 ZINC000344232569 382217938 /nfs/dbraw/zinc/21/79/38/382217938.db2.gz ZHEBNIJBVOMGBQ-WDEREUQCSA-N 0 0 277.324 2.779 20 5 CFBDRN CC(=O)c1ccc(Oc2ccc(C)[n+]([O-])c2)c([N+](=O)[O-])c1 ZINC000302219768 382218097 /nfs/dbraw/zinc/21/80/97/382218097.db2.gz MBTXQNHKDBLWIY-UHFFFAOYSA-N 0 0 288.259 2.532 20 5 CFBDRN O=[N+]([O-])c1cccnc1Oc1cccc2c1OCCO2 ZINC000302222212 382220090 /nfs/dbraw/zinc/22/00/90/382220090.db2.gz MRUUSFFMSQMMFM-UHFFFAOYSA-N 0 0 274.232 2.553 20 5 CFBDRN CC(C)(C)c1ncn(CCc2ccc([N+](=O)[O-])cc2)n1 ZINC000419029847 382220858 /nfs/dbraw/zinc/22/08/58/382220858.db2.gz GGQXDRFHGHZNKL-UHFFFAOYSA-N 0 0 274.324 2.727 20 5 CFBDRN O=C(NCc1ccccc1F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344238180 382221822 /nfs/dbraw/zinc/22/18/22/382221822.db2.gz LWEIPXNZFDDMKE-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN Cc1ccc2nc(CNc3nc(C)ccc3[N+](=O)[O-])cn2c1 ZINC000357552561 382223251 /nfs/dbraw/zinc/22/32/51/382223251.db2.gz SRSMMAPYKFWHMU-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN O=[N+]([O-])c1cccc(CSc2nccn3ccnc23)c1 ZINC000187609758 382224800 /nfs/dbraw/zinc/22/48/00/382224800.db2.gz UZVFEKRDAGHHTH-UHFFFAOYSA-N 0 0 286.316 2.930 20 5 CFBDRN Cc1cccc(C)c1[C@@H](C)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000302235576 382225144 /nfs/dbraw/zinc/22/51/44/382225144.db2.gz UYXYWMUYZQOFKJ-SNVBAGLBSA-N 0 0 287.323 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccsc2)c(F)c1 ZINC000302238090 382226830 /nfs/dbraw/zinc/22/68/30/382226830.db2.gz GWARRPZVZGXUER-LBPRGKRZSA-N 0 0 282.296 2.941 20 5 CFBDRN CCC1(CC)[C@H](Nc2ncc([N+](=O)[O-])cn2)[C@H](C)[C@H]1OC ZINC000302245463 382229678 /nfs/dbraw/zinc/22/96/78/382229678.db2.gz ZWJYIWQRGDTOOL-MVWJERBFSA-N 0 0 294.355 2.636 20 5 CFBDRN CCc1nn(C)c(N2C[C@H]3CCCCC[C@H]32)c1[N+](=O)[O-] ZINC000377159067 382229968 /nfs/dbraw/zinc/22/99/68/382229968.db2.gz OSKKABJUVJGHHO-ZYHUDNBSSA-N 0 0 278.356 2.660 20 5 CFBDRN CCc1nn(C)c(N2CCC23CCCCC3)c1[N+](=O)[O-] ZINC000377174826 382231519 /nfs/dbraw/zinc/23/15/19/382231519.db2.gz ITQUMBJLLJKQRV-UHFFFAOYSA-N 0 0 278.356 2.804 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc3cc[nH]c3n2)n1 ZINC000357570755 382231803 /nfs/dbraw/zinc/23/18/03/382231803.db2.gz QVJCGPJRFCBOLK-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@H]2CC2[N+](=O)[O-])c2ccccc2S1 ZINC000363462393 382233124 /nfs/dbraw/zinc/23/31/24/382233124.db2.gz HHCNENIHOWAMMH-JFGNBEQYSA-N 0 0 292.360 2.569 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H]2OCCC[C@H]2C1 ZINC000301712582 382188038 /nfs/dbraw/zinc/18/80/38/382188038.db2.gz ILVRAUJRMQOWRY-SMDDNHRTSA-N 0 0 262.309 2.600 20 5 CFBDRN Cc1cc(N2CCC[C@H]([C@@H](C)O)C2)ccc1[N+](=O)[O-] ZINC000301791938 382192082 /nfs/dbraw/zinc/19/20/82/382192082.db2.gz RKOSMYSDOWZDFC-NEPJUHHUSA-N 0 0 264.325 2.500 20 5 CFBDRN CN(C(=O)c1ccoc1Cl)c1ccc([N+](=O)[O-])nc1 ZINC000344197784 382193950 /nfs/dbraw/zinc/19/39/50/382193950.db2.gz AOVZTYMKHCOQRR-UHFFFAOYSA-N 0 0 281.655 2.513 20 5 CFBDRN CN(C(=O)[C@H]1C[C@@H]1c1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000377532379 382283848 /nfs/dbraw/zinc/28/38/48/382283848.db2.gz VHELDIBPMCGBJO-CABCVRRESA-N 0 0 297.314 2.756 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCC1(C)CC1 ZINC000357700563 382284752 /nfs/dbraw/zinc/28/47/52/382284752.db2.gz VBMDUDGELLGZDZ-UHFFFAOYSA-N 0 0 279.296 2.525 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1C1CC1 ZINC000377545536 382287269 /nfs/dbraw/zinc/28/72/69/382287269.db2.gz RNGPZHQHKGCTER-CYBMUJFWSA-N 0 0 274.320 2.538 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCc1cccnc1 ZINC000352357321 382287589 /nfs/dbraw/zinc/28/75/89/382287589.db2.gz QBDMXGIFFOSDFH-UHFFFAOYSA-N 0 0 280.287 2.572 20 5 CFBDRN CCC[C@H](CNc1ccc([N+](=O)[O-])c(C)n1)OC ZINC000293809647 382288018 /nfs/dbraw/zinc/28/80/18/382288018.db2.gz YWWQWBSZDYQEED-SNVBAGLBSA-N 0 0 253.302 2.525 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCC1CC1 ZINC000352359153 382288764 /nfs/dbraw/zinc/28/87/64/382288764.db2.gz CUYQWXPJVJXXGQ-UHFFFAOYSA-N 0 0 257.293 2.777 20 5 CFBDRN O=c1ccn(Cc2ccc(Cl)cc2F)cc1[N+](=O)[O-] ZINC000187895541 382289949 /nfs/dbraw/zinc/28/99/49/382289949.db2.gz ZPGLZDPSSMOBHT-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@H]3CCC[C@H]32)c(F)c1 ZINC000364243858 382289935 /nfs/dbraw/zinc/28/99/35/382289935.db2.gz MJLTZUPCEXKWEL-KGLIPLIRSA-N 0 0 280.299 2.882 20 5 CFBDRN COCC[C@H]1CCCCN(c2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000377252428 382243257 /nfs/dbraw/zinc/24/32/57/382243257.db2.gz BEGJEDCIIZKLOF-CYBMUJFWSA-N 0 0 293.367 2.941 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1C(F)F ZINC000344280572 382248786 /nfs/dbraw/zinc/24/87/86/382248786.db2.gz ZXNXQRCBJSPBBX-NSHDSACASA-N 0 0 299.277 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCC[C@@H]2CCO)c(F)c1 ZINC000302461524 382252324 /nfs/dbraw/zinc/25/23/24/382252324.db2.gz RODVJTDFNMSPGE-BXKDBHETSA-N 0 0 268.288 2.697 20 5 CFBDRN CCOc1cc(N[C@H]2CCC[C@H]2CCO)ccc1[N+](=O)[O-] ZINC000302454482 382252400 /nfs/dbraw/zinc/25/24/00/382252400.db2.gz PZCUHRCUJZLZMA-AAEUAGOBSA-N 0 0 294.351 2.957 20 5 CFBDRN Cc1cccc(NCC(=O)NC2CCCCC2)c1[N+](=O)[O-] ZINC000302472777 382253418 /nfs/dbraw/zinc/25/34/18/382253418.db2.gz DIOJVFCJUMDZLP-UHFFFAOYSA-N 0 0 291.351 2.764 20 5 CFBDRN Cc1cccc(NCCc2nnc3ccccn32)c1[N+](=O)[O-] ZINC000302501808 382257953 /nfs/dbraw/zinc/25/79/53/382257953.db2.gz OUPGXVJQERPFAP-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CC2(C)C)cc([N+](=O)[O-])c1 ZINC000352280846 382260275 /nfs/dbraw/zinc/26/02/75/382260275.db2.gz SERXCSIVXWPESA-NSHDSACASA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1cccc(NC[C@@H](C)Cn2cccn2)c1[N+](=O)[O-] ZINC000302516351 382260556 /nfs/dbraw/zinc/26/05/56/382260556.db2.gz VKIDMKFIGNKZHM-LLVKDONJSA-N 0 0 274.324 2.848 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000302515330 382261361 /nfs/dbraw/zinc/26/13/61/382261361.db2.gz AJWKECUZLLQLCM-IQJOONFLSA-N 0 0 283.715 2.623 20 5 CFBDRN Cc1cc(N(C)[C@@H](C)C(=O)NC(C)(C)C)ccc1[N+](=O)[O-] ZINC000302519848 382261942 /nfs/dbraw/zinc/26/19/42/382261942.db2.gz YQJYNDNNJDUTLE-NSHDSACASA-N 0 0 293.367 2.643 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CC[C@H](C)C1 ZINC000302521278 382262418 /nfs/dbraw/zinc/26/24/18/382262418.db2.gz ITOXXPDHPXYHPB-UWVGGRQHSA-N 0 0 277.324 2.555 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CC[C@@H](C)C1 ZINC000302521280 382262493 /nfs/dbraw/zinc/26/24/93/382262493.db2.gz ITOXXPDHPXYHPB-ZJUUUORDSA-N 0 0 277.324 2.555 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H]3OCCC[C@H]23)ccc1[N+](=O)[O-] ZINC000302531271 382263648 /nfs/dbraw/zinc/26/36/48/382263648.db2.gz FMRFXHIYNGXPBY-YRGRVCCFSA-N 0 0 262.309 2.883 20 5 CFBDRN CCC[C@@H](CNc1cccc(F)c1[N+](=O)[O-])OC ZINC000293765745 382268833 /nfs/dbraw/zinc/26/88/33/382268833.db2.gz VKSQRJNKWHNDOK-VIFPVBQESA-N 0 0 256.277 2.961 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c([N+](=O)[O-])cc1F ZINC000302575277 382272630 /nfs/dbraw/zinc/27/26/30/382272630.db2.gz RMEJBFZFFPVSFK-PLMOITTCSA-N 0 0 296.298 2.722 20 5 CFBDRN COCCCSCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000187936498 382302765 /nfs/dbraw/zinc/30/27/65/382302765.db2.gz XKHXEKREONKEGI-UHFFFAOYSA-N 0 0 299.348 2.731 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])c(C)n1)[C@H]1CC[C@H](C)C1 ZINC000302734957 382305551 /nfs/dbraw/zinc/30/55/51/382305551.db2.gz WCNNSWVVEYPJDS-AAEUAGOBSA-N 0 0 293.367 2.940 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])c(C)n1)[C@@H]1CC[C@H](C)C1 ZINC000302734959 382306129 /nfs/dbraw/zinc/30/61/29/382306129.db2.gz WCNNSWVVEYPJDS-WCQYABFASA-N 0 0 293.367 2.940 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOC[C@@H]1c1ccco1 ZINC000364332881 382309718 /nfs/dbraw/zinc/30/97/18/382309718.db2.gz ZCKHFYXWERQHSA-CYBMUJFWSA-N 0 0 292.266 2.905 20 5 CFBDRN COC(=O)c1cnc(Sc2ccccc2)c([N+](=O)[O-])c1 ZINC000302751147 382309799 /nfs/dbraw/zinc/30/97/99/382309799.db2.gz HEOSUFYHTQQAHR-UHFFFAOYSA-N 0 0 290.300 2.928 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1Cc2ccccc2CO1 ZINC000345748555 382311232 /nfs/dbraw/zinc/31/12/32/382311232.db2.gz NHRXFAQUPQLQLV-UHFFFAOYSA-N 0 0 298.298 2.991 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])ccn1 ZINC000293864873 382312545 /nfs/dbraw/zinc/31/25/45/382312545.db2.gz DOJGKCYCVWJNQO-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN COc1cc(CN(C)CCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000352407248 382313910 /nfs/dbraw/zinc/31/39/10/382313910.db2.gz TUKUWVNSGDSWCG-UHFFFAOYSA-N 0 0 292.257 2.988 20 5 CFBDRN NC(=O)c1cccc(Sc2ccccc2[N+](=O)[O-])c1 ZINC000357776346 382314721 /nfs/dbraw/zinc/31/47/21/382314721.db2.gz USXDSRMRWUIVRC-UHFFFAOYSA-N 0 0 274.301 2.845 20 5 CFBDRN COc1cc(N[C@H]2CC[C@@H](OC)C2)c([N+](=O)[O-])cc1F ZINC000302784906 382317289 /nfs/dbraw/zinc/31/72/89/382317289.db2.gz UYYWRHGPDLCNOW-DTWKUNHWSA-N 0 0 284.287 2.722 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000293886612 382323340 /nfs/dbraw/zinc/32/33/40/382323340.db2.gz BJJXFAMIUSBLQE-BDAKNGLRSA-N 0 0 282.727 2.658 20 5 CFBDRN COc1cc(CN2CCC[C@H]2CF)c([N+](=O)[O-])cc1OC ZINC000293896149 382326184 /nfs/dbraw/zinc/32/61/84/382326184.db2.gz FCKFWROGNWHQMR-NSHDSACASA-N 0 0 298.314 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2ccc3c(c2)CC(=O)N3C)n1 ZINC000302844407 382332144 /nfs/dbraw/zinc/33/21/44/382332144.db2.gz FVRJNKLBXUQYGW-UHFFFAOYSA-N 0 0 298.302 2.561 20 5 CFBDRN COc1cc(C)nc(-c2nc3cc([N+](=O)[O-])ccc3n2C)c1 ZINC000346171851 382333691 /nfs/dbraw/zinc/33/36/91/382333691.db2.gz BMQOTUSRUDHWJL-UHFFFAOYSA-N 0 0 298.302 2.861 20 5 CFBDRN CC1(C)CC[C@H](CNc2c3c(ccc2[N+](=O)[O-])NCC3)O1 ZINC000302879884 382341911 /nfs/dbraw/zinc/34/19/11/382341911.db2.gz HWTMJVUFWQYVAR-SNVBAGLBSA-N 0 0 291.351 2.932 20 5 CFBDRN COCC1(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000352457318 382342855 /nfs/dbraw/zinc/34/28/55/382342855.db2.gz WCCKHTNSOSCFPF-NSHDSACASA-N 0 0 292.335 2.507 20 5 CFBDRN COc1cc(N2CC(C)(C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000302690882 382293716 /nfs/dbraw/zinc/29/37/16/382293716.db2.gz VFHKFQHVWODEAJ-UHFFFAOYSA-N 0 0 294.351 2.997 20 5 CFBDRN COC1(CCNC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCC1 ZINC000293831914 382296841 /nfs/dbraw/zinc/29/68/41/382296841.db2.gz GPLOQTAAZZJUBW-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN COCCN(c1ncc(C)cc1[N+](=O)[O-])[C@@H]1CC[C@@H](C)C1 ZINC000302708306 382298766 /nfs/dbraw/zinc/29/87/66/382298766.db2.gz JWSKTZBFDQHIRA-DGCLKSJQSA-N 0 0 293.367 2.940 20 5 CFBDRN CN(Cc1ccc(O)cc1)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302884255 382343702 /nfs/dbraw/zinc/34/37/02/382343702.db2.gz LNZBJVWZFONQNP-UHFFFAOYSA-N 0 0 299.330 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H](CO)c3ccccc32)n1 ZINC000364286837 382299238 /nfs/dbraw/zinc/29/92/38/382299238.db2.gz YYYCKKBUQQYMPM-FZMZJTMJSA-N 0 0 299.330 2.931 20 5 CFBDRN COc1cccc(N(C)CC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000302710922 382300264 /nfs/dbraw/zinc/30/02/64/382300264.db2.gz LHWFIDSAHVFWDA-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C(C)C)C(C)(C)O)n1 ZINC000357741074 382301156 /nfs/dbraw/zinc/30/11/56/382301156.db2.gz CTVDFYQVEZQNMV-GFCCVEGCSA-N 0 0 281.356 2.814 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@@H](c2ccco2)C1 ZINC000377610916 382301674 /nfs/dbraw/zinc/30/16/74/382301674.db2.gz YYNLCNKCBMSQAX-SECBINFHSA-N 0 0 292.316 2.879 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCCOCC1 ZINC000377847986 382357271 /nfs/dbraw/zinc/35/72/71/382357271.db2.gz SHFAYQPVMGPOCH-UHFFFAOYSA-N 0 0 299.714 2.502 20 5 CFBDRN CC(C)[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000293980899 382364287 /nfs/dbraw/zinc/36/42/87/382364287.db2.gz IKXWYVTZARESOP-LBPRGKRZSA-N 0 0 299.758 2.895 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H]2CF)c1 ZINC000293987439 382365803 /nfs/dbraw/zinc/36/58/03/382365803.db2.gz GWLRSOMEGJUAOV-SECBINFHSA-N 0 0 298.339 2.891 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000377907025 382367664 /nfs/dbraw/zinc/36/76/64/382367664.db2.gz SFXWGVDPHUIGQN-TXEJJXNPSA-N 0 0 282.727 2.611 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)[C@H](C)C(F)(F)F ZINC000357903566 382368278 /nfs/dbraw/zinc/36/82/78/382368278.db2.gz RDPVYIUGRASCDF-ZCFIWIBFSA-N 0 0 280.202 2.601 20 5 CFBDRN CC(C)CC(CC(C)C)NC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000357914211 382372919 /nfs/dbraw/zinc/37/29/19/382372919.db2.gz RODROSDLAWIOSK-UHFFFAOYSA-N 0 0 296.371 2.519 20 5 CFBDRN COc1cc(C(=O)N(C)C2CCC2)cc([N+](=O)[O-])c1C ZINC000188244783 382375372 /nfs/dbraw/zinc/37/53/72/382375372.db2.gz CFWXACBUDZIVOM-UHFFFAOYSA-N 0 0 278.308 2.536 20 5 CFBDRN Cc1cc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])c[nH]2)c(C)o1 ZINC000346472335 382377094 /nfs/dbraw/zinc/37/70/94/382377094.db2.gz VPFDJFQWTVQPBW-MRVPVSSYSA-N 0 0 277.280 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(CN2C[C@]3(CCCO3)[C@H]2C2CC2)cs1 ZINC000294014186 382377827 /nfs/dbraw/zinc/37/78/27/382377827.db2.gz MZUKAUGBUCZVHK-ZIAGYGMSSA-N 0 0 294.376 2.800 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@H]1CF ZINC000294020141 382382149 /nfs/dbraw/zinc/38/21/49/382382149.db2.gz JHBHIGWXUVLUNK-JTQLQIEISA-N 0 0 296.298 2.568 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1C[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000294030764 382386504 /nfs/dbraw/zinc/38/65/04/382386504.db2.gz OFVHFEOSKHHUCV-HOTGVXAUSA-N 0 0 288.347 2.738 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)CCS1 ZINC000352544936 382390804 /nfs/dbraw/zinc/39/08/04/382390804.db2.gz DULDGFCJFNDTDX-LBPRGKRZSA-N 0 0 296.392 2.931 20 5 CFBDRN COc1cc(N2CCCO[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000294042439 382391061 /nfs/dbraw/zinc/39/10/61/382391061.db2.gz LTYRLSZBAQHVDJ-OAHLLOKOSA-N 0 0 294.351 2.855 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000294042879 382391644 /nfs/dbraw/zinc/39/16/44/382391644.db2.gz ITRSRAQLUVKQCJ-ZDUSSCGKSA-N 0 0 292.335 2.515 20 5 CFBDRN CCO[C@H]1CCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000302899225 382347830 /nfs/dbraw/zinc/34/78/30/382347830.db2.gz SEHNMKJWLLUDGT-NSHDSACASA-N 0 0 291.351 2.568 20 5 CFBDRN O=C(Nc1ccc2[nH]cnc2c1)c1csc([N+](=O)[O-])c1 ZINC000352625359 382422231 /nfs/dbraw/zinc/42/22/31/382422231.db2.gz GEQDJEWLSQWCBV-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)co1 ZINC000188490027 382423258 /nfs/dbraw/zinc/42/32/58/382423258.db2.gz KFLZQDRZBXUJAM-SNVBAGLBSA-N 0 0 274.276 2.987 20 5 CFBDRN CCC(C)(C)CNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420613965 382426324 /nfs/dbraw/zinc/42/63/24/382426324.db2.gz UXCPNEWCVKSJJD-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN C[C@@H](c1ccccn1)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352673693 382447705 /nfs/dbraw/zinc/44/77/05/382447705.db2.gz ASLAEDVWIUMYNM-LBPRGKRZSA-N 0 0 299.330 2.945 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(N)c2F)CCO1 ZINC000420615396 382427665 /nfs/dbraw/zinc/42/76/65/382427665.db2.gz DDXJXJZPQMEZNN-DTWKUNHWSA-N 0 0 283.303 2.686 20 5 CFBDRN Cc1cnccc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000294136637 382428769 /nfs/dbraw/zinc/42/87/69/382428769.db2.gz OZAGUFHQPXBBNF-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)C[C@@H](CC)O1 ZINC000420625477 382432262 /nfs/dbraw/zinc/43/22/62/382432262.db2.gz LHODLOLVUHVSCO-CHWSQXEVSA-N 0 0 294.351 2.997 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCCC1(O)CCC1 ZINC000420625877 382432611 /nfs/dbraw/zinc/43/26/11/382432611.db2.gz BRIWPCSSGWDXOX-UHFFFAOYSA-N 0 0 294.351 2.848 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)C[C@H](CC)O1 ZINC000420625478 382432696 /nfs/dbraw/zinc/43/26/96/382432696.db2.gz LHODLOLVUHVSCO-STQMWFEESA-N 0 0 294.351 2.997 20 5 CFBDRN COC1([C@@H](C)Nc2ccc([N+](=O)[O-])cc2)CCOCC1 ZINC000420638518 382439167 /nfs/dbraw/zinc/43/91/67/382439167.db2.gz JYHIZQYDMAMDDB-LLVKDONJSA-N 0 0 280.324 2.591 20 5 CFBDRN C[C@@H](NCc1ccc(F)cn1)c1cccc([N+](=O)[O-])c1 ZINC000346616791 382439636 /nfs/dbraw/zinc/43/96/36/382439636.db2.gz PFFASESVROSJDN-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CCOc1cc(OCC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] ZINC000188593692 382441498 /nfs/dbraw/zinc/44/14/98/382441498.db2.gz JFOKJGUQYBTRQY-UHFFFAOYSA-N 0 0 297.307 2.714 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)[C@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000358082689 382443565 /nfs/dbraw/zinc/44/35/65/382443565.db2.gz CBJVATRDNJMPFX-VIFPVBQESA-N 0 0 294.355 2.531 20 5 CFBDRN CCCN1C[C@@H](CNc2cccc(C)c2[N+](=O)[O-])CC1=O ZINC000420657139 382448648 /nfs/dbraw/zinc/44/86/48/382448648.db2.gz WEUJQRQTBJHHJD-GFCCVEGCSA-N 0 0 291.351 2.574 20 5 CFBDRN CCCN1C[C@H](CNc2ccc([N+](=O)[O-])cc2C)CC1=O ZINC000420653890 382447033 /nfs/dbraw/zinc/44/70/33/382447033.db2.gz PKRKYVMDZKWXCB-LBPRGKRZSA-N 0 0 291.351 2.574 20 5 CFBDRN CCC(CC)C(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000188471250 382420085 /nfs/dbraw/zinc/42/00/85/382420085.db2.gz NXMCCXUXVIKXQC-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN COCCN(C[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])cn1 ZINC000358038867 382421517 /nfs/dbraw/zinc/42/15/17/382421517.db2.gz CYVCQXQCFQRTKW-ZDUSSCGKSA-N 0 0 291.351 2.799 20 5 CFBDRN C[C@H]1CSC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000306086931 382450946 /nfs/dbraw/zinc/45/09/46/382450946.db2.gz HDEBIQXWEWKXIG-OIBJUYFYSA-N 0 0 256.302 2.897 20 5 CFBDRN CC[C@@H](C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000191744059 535471013 /nfs/dbraw/zinc/47/10/13/535471013.db2.gz DMZBGCLGYHYDOE-SNVBAGLBSA-N 0 0 262.309 2.920 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000294222074 382465483 /nfs/dbraw/zinc/46/54/83/382465483.db2.gz YUHZWMRLZPNLJI-QMMMGPOBSA-N 0 0 254.311 2.589 20 5 CFBDRN CC(C)NC(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000294285043 382493152 /nfs/dbraw/zinc/49/31/52/382493152.db2.gz UNFOOKMUBHWMHA-UHFFFAOYSA-N 0 0 276.214 2.752 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000358240963 382522218 /nfs/dbraw/zinc/52/22/18/382522218.db2.gz HZJMYIZEOURXEH-KOLCDFICSA-N 0 0 294.355 2.573 20 5 CFBDRN CC[C@@H](Cc1ccccc1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294669904 382523887 /nfs/dbraw/zinc/52/38/87/382523887.db2.gz SMXALRHZMVUUHV-AWEZNQCLSA-N 0 0 292.379 2.959 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cc2c([nH]1)CCCC2 ZINC000294302737 382501708 /nfs/dbraw/zinc/50/17/08/382501708.db2.gz CSCNBONPYLTTJM-UHFFFAOYSA-N 0 0 299.330 2.732 20 5 CFBDRN Cc1nn(C)c(NCc2coc3ccccc23)c1[N+](=O)[O-] ZINC000358217575 382509401 /nfs/dbraw/zinc/50/94/01/382509401.db2.gz XWPNXAXWNOBUOM-UHFFFAOYSA-N 0 0 286.291 2.995 20 5 CFBDRN C[C@H](CCNC(=O)c1[nH]ncc1[N+](=O)[O-])CC(C)(C)C ZINC000188949233 382510906 /nfs/dbraw/zinc/51/09/06/382510906.db2.gz XEYLEBZJYKMSGU-SECBINFHSA-N 0 0 282.344 2.510 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H]2C(F)F)cs1 ZINC000353175076 382513945 /nfs/dbraw/zinc/51/39/45/382513945.db2.gz RSVFAWKEYFVMBZ-QMMMGPOBSA-N 0 0 262.281 2.886 20 5 CFBDRN O=[N+]([O-])c1ncn(C/C=C/c2ccc(Cl)cc2)n1 ZINC000188970798 382515488 /nfs/dbraw/zinc/51/54/88/382515488.db2.gz ZXGULOYMPVYSNK-OWOJBTEDSA-N 0 0 264.672 2.553 20 5 CFBDRN CCn1cc(NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)cn1 ZINC000421444981 382540166 /nfs/dbraw/zinc/54/01/66/382540166.db2.gz JTTZHLOOBRKZRL-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN NC(=O)c1ccc(NCC2=CCCCC2)c([N+](=O)[O-])c1 ZINC000294890127 382540372 /nfs/dbraw/zinc/54/03/72/382540372.db2.gz GWUXLNUZDSIYLR-UHFFFAOYSA-N 0 0 275.308 2.606 20 5 CFBDRN CSCCCNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421470488 382548234 /nfs/dbraw/zinc/54/82/34/382548234.db2.gz IEZMMBQWTWGZQM-UHFFFAOYSA-N 0 0 282.365 2.695 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1CC12CC2 ZINC000421481660 382553463 /nfs/dbraw/zinc/55/34/63/382553463.db2.gz SNIJDQPNBKPUAO-CQSZACIVSA-N 0 0 288.347 2.834 20 5 CFBDRN CC1(C)[C@H](Nc2nccc3cc([N+](=O)[O-])ccc32)C[C@@H]1O ZINC000294964562 382555594 /nfs/dbraw/zinc/55/55/94/382555594.db2.gz LGZCMZVCOQJMCW-OLZOCXBDSA-N 0 0 287.319 2.714 20 5 CFBDRN COC[C@]1(C)CCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000295006512 382561270 /nfs/dbraw/zinc/56/12/70/382561270.db2.gz RZSYKICQGBGSHO-CQSZACIVSA-N 0 0 264.325 2.766 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OCCOCC(F)F ZINC000189207068 382566097 /nfs/dbraw/zinc/56/60/97/382566097.db2.gz WRWNOGHQQVZSSZ-UHFFFAOYSA-N 0 0 281.642 2.909 20 5 CFBDRN C[C@H](NC(=O)[C@]12C[C@H]1CCC2)c1ccccc1[N+](=O)[O-] ZINC000421517632 382566968 /nfs/dbraw/zinc/56/69/68/382566968.db2.gz UTSJLIRMAJBVCR-FIXISWKDSA-N 0 0 274.320 2.962 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1C[C@@H]1c1ccccc1 ZINC000358340619 382577524 /nfs/dbraw/zinc/57/75/24/382577524.db2.gz GLUQQRHSDSNMBE-CVEARBPZSA-N 0 0 296.326 2.810 20 5 CFBDRN Cc1nscc1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000358341685 382577852 /nfs/dbraw/zinc/57/78/52/382577852.db2.gz SJZCXYFPIVTKAL-QMMMGPOBSA-N 0 0 291.332 2.851 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000358255648 382531211 /nfs/dbraw/zinc/53/12/11/382531211.db2.gz AHNMGCQCLPFCKX-MFKMUULPSA-N 0 0 291.351 2.928 20 5 CFBDRN Cc1c(CNc2ccc3nccnc3n2)cccc1[N+](=O)[O-] ZINC000318597812 382533531 /nfs/dbraw/zinc/53/35/31/382533531.db2.gz PDZFOZKBSHJIEQ-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000421432968 382534267 /nfs/dbraw/zinc/53/42/67/382534267.db2.gz RFPLXNCLHDKRTB-SCRDCRAPSA-N 0 0 288.347 2.989 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])ncn1C)C1CCCC1 ZINC000382806273 382536089 /nfs/dbraw/zinc/53/60/89/382536089.db2.gz DBENCLRNLXFFQU-SNVBAGLBSA-N 0 0 252.318 2.709 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000421435366 382536933 /nfs/dbraw/zinc/53/69/33/382536933.db2.gz PRROBTZGGJUBFH-NQBHXWOUSA-N 0 0 274.320 2.647 20 5 CFBDRN Cc1cc(F)ccc1CCNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295206524 382583746 /nfs/dbraw/zinc/58/37/46/382583746.db2.gz WQKSENQPSDJENR-UHFFFAOYSA-N 0 0 296.342 2.628 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CCOC1(C)C ZINC000421569842 382584088 /nfs/dbraw/zinc/58/40/88/382584088.db2.gz OFPZDJFYPBSBAW-CYBMUJFWSA-N 0 0 292.335 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2cc(C)nc(C)c2)c1 ZINC000421573577 382586831 /nfs/dbraw/zinc/58/68/31/382586831.db2.gz BKOYGEUMEQJYAP-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC[C@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000421579292 382589079 /nfs/dbraw/zinc/58/90/79/382589079.db2.gz KFHSJTHAFJZAHS-VIFPVBQESA-N 0 0 250.298 2.679 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)O[C@H](C)[C@H]1C ZINC000353697057 382608698 /nfs/dbraw/zinc/60/86/98/382608698.db2.gz PCPYARFRESZHQR-QJPTWQEYSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCO[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000295290876 382608995 /nfs/dbraw/zinc/60/89/95/382608995.db2.gz IPYCSPHTFHBERO-GVXVVHGQSA-N 0 0 292.335 2.587 20 5 CFBDRN CO[C@@H]1CC[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000189448313 382609946 /nfs/dbraw/zinc/60/99/46/382609946.db2.gz LGUGVNGNATUBGP-GHMZBOCLSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@H](NC(=O)C1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000295325405 382617618 /nfs/dbraw/zinc/61/76/18/382617618.db2.gz LKKXBMGQANKLQE-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN COc1cccc(NCC(C)(C)C(C)(C)O)c1[N+](=O)[O-] ZINC000393572231 382623700 /nfs/dbraw/zinc/62/37/00/382623700.db2.gz OQWDVZYWKAYDIF-UHFFFAOYSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1cccc(CN(C)Cc2ccc([N+](=O)[O-])cc2)n1 ZINC000353746793 382623726 /nfs/dbraw/zinc/62/37/26/382623726.db2.gz DIIHGFMKMGSHEU-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H]1CCSC1 ZINC000421557114 382579464 /nfs/dbraw/zinc/57/94/64/382579464.db2.gz MOCNQVXGLGSQGS-LLVKDONJSA-N 0 0 294.376 2.695 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCSC1 ZINC000421557118 382579470 /nfs/dbraw/zinc/57/94/70/382579470.db2.gz MOCNQVXGLGSQGS-NSHDSACASA-N 0 0 294.376 2.695 20 5 CFBDRN Cc1cc(CNC(=O)c2c(C)cccc2[N+](=O)[O-])cc(C)n1 ZINC000421564382 382581334 /nfs/dbraw/zinc/58/13/34/382581334.db2.gz YXELCEVWYRRJND-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN COc1cc(N[C@H](CO)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000386063043 382582335 /nfs/dbraw/zinc/58/23/35/382582335.db2.gz BNYCXEFQJUUXSC-CYBMUJFWSA-N 0 0 294.351 2.957 20 5 CFBDRN CC(C)c1ccc(C(=O)NCc2ccc[nH]2)cc1[N+](=O)[O-] ZINC000189942091 382646451 /nfs/dbraw/zinc/64/64/51/382646451.db2.gz MTAUMCXWTFMEOJ-UHFFFAOYSA-N 0 0 287.319 2.976 20 5 CFBDRN C[C@H]1CC[C@@H](CSc2ccc([N+](=O)[O-])cn2)O1 ZINC000190026706 382650867 /nfs/dbraw/zinc/65/08/67/382650867.db2.gz VBQMAWKPKIDEQQ-WPRPVWTQSA-N 0 0 254.311 2.649 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cc1Cl)c1nncn1C ZINC000190286559 382660724 /nfs/dbraw/zinc/66/07/24/382660724.db2.gz KVMHJAHXUCPCDG-MRVPVSSYSA-N 0 0 295.730 2.592 20 5 CFBDRN Cc1ccccc1OCCN(C)c1ncc([N+](=O)[O-])s1 ZINC000190308552 382661609 /nfs/dbraw/zinc/66/16/09/382661609.db2.gz RRMVRAYWXHZDGD-UHFFFAOYSA-N 0 0 293.348 2.875 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2ncc([N+](=O)[O-])s2)C1(C)C ZINC000190329994 382662353 /nfs/dbraw/zinc/66/23/53/382662353.db2.gz OINWTEOJTBMFDW-IUCAKERBSA-N 0 0 285.369 2.691 20 5 CFBDRN C[C@@H]1CSCCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000190443318 382668379 /nfs/dbraw/zinc/66/83/79/382668379.db2.gz PNSGVCJJFBVBRH-JTQLQIEISA-N 0 0 284.356 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCCCO2)c1 ZINC000090794587 184616637 /nfs/dbraw/zinc/61/66/37/184616637.db2.gz QGTULCNXIJPYGZ-LLVKDONJSA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1ccc(OC[C@@H]2CC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000190678011 382677389 /nfs/dbraw/zinc/67/73/89/382677389.db2.gz CZNPGCFNSVUTBN-JQWIXIFHSA-N 0 0 251.282 2.850 20 5 CFBDRN CCOC(=O)[C@H](C)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000190814941 382681321 /nfs/dbraw/zinc/68/13/21/382681321.db2.gz UNMSTNHCLOABSW-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000191019011 382688652 /nfs/dbraw/zinc/68/86/52/382688652.db2.gz BXJXNOXEGIKRRP-JTQLQIEISA-N 0 0 277.276 2.865 20 5 CFBDRN C[C@@H]1CC[C@H](CN2CCc3c2cccc3[N+](=O)[O-])O1 ZINC000190957728 382686257 /nfs/dbraw/zinc/68/62/57/382686257.db2.gz MXZDPYMMZUUNAG-GHMZBOCLSA-N 0 0 262.309 2.525 20 5 CFBDRN Cc1cnc(COC(=O)[C@@H]2C[C@H]2C(C)C)c(C)c1[N+](=O)[O-] ZINC000191368553 382699172 /nfs/dbraw/zinc/69/91/72/382699172.db2.gz CMJUFIUARNMQMY-NWDGAFQWSA-N 0 0 292.335 2.942 20 5 CFBDRN O=C1O[C@H](COc2cc(F)ccc2[N+](=O)[O-])CC12CCC2 ZINC000191487694 382701096 /nfs/dbraw/zinc/70/10/96/382701096.db2.gz ILRSDYIYLQVUNO-JTQLQIEISA-N 0 0 295.266 2.599 20 5 CFBDRN Cc1nc(C)c(CCNc2ncc([N+](=O)[O-])s2)s1 ZINC000192452495 382709043 /nfs/dbraw/zinc/70/90/43/382709043.db2.gz HABVBTVQVRTZLQ-UHFFFAOYSA-N 0 0 284.366 2.779 20 5 CFBDRN COc1ccccc1CCN(C)c1ncc([N+](=O)[O-])s1 ZINC000192450917 382709080 /nfs/dbraw/zinc/70/90/80/382709080.db2.gz MTAZNZXDFYIJFZ-UHFFFAOYSA-N 0 0 293.348 2.739 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2cccc3c2OCCO3)s1 ZINC000192530702 382709798 /nfs/dbraw/zinc/70/97/98/382709798.db2.gz OIKRTYIZMCNFAD-UHFFFAOYSA-N 0 0 280.261 2.615 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H]1CCC(C)(C)O1 ZINC000192586475 382710532 /nfs/dbraw/zinc/71/05/32/382710532.db2.gz WQTSMULVJLRXBN-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](CC(C)C)C1 ZINC000192640004 382710898 /nfs/dbraw/zinc/71/08/98/382710898.db2.gz SHIRVAFRSQMZFP-LBPRGKRZSA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Oc1ccc(Cl)nc1 ZINC000192700595 382711280 /nfs/dbraw/zinc/71/12/80/382711280.db2.gz IKBJPRMBULLXQW-UHFFFAOYSA-N 0 0 282.687 2.960 20 5 CFBDRN CCCC(O)(CCC)CNc1cc(N)ccc1[N+](=O)[O-] ZINC000193451379 382719948 /nfs/dbraw/zinc/71/99/48/382719948.db2.gz OACSBCRCWGQLLX-UHFFFAOYSA-N 0 0 281.356 2.920 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336844348 382733204 /nfs/dbraw/zinc/73/32/04/382733204.db2.gz ZEVXEIZDPULKIR-NXEZZACHSA-N 0 0 280.299 2.900 20 5 CFBDRN CCC[C@H](CCO)CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000219361169 382793631 /nfs/dbraw/zinc/79/36/31/382793631.db2.gz FDCSETYYMRLJAZ-SNVBAGLBSA-N 0 0 292.339 2.682 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000195411387 382767584 /nfs/dbraw/zinc/76/75/84/382767584.db2.gz JTLNEXAAACMELH-ONGXEEELSA-N 0 0 294.355 2.531 20 5 CFBDRN Cn1ccc(CNc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000212262165 382776138 /nfs/dbraw/zinc/77/61/38/382776138.db2.gz HNLGPJURCXTDNV-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN CCC(O)(CC)CNc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000213641099 382777019 /nfs/dbraw/zinc/77/70/19/382777019.db2.gz IKYWVORSAZAASL-UHFFFAOYSA-N 0 0 298.339 2.575 20 5 CFBDRN Cc1nn(Cc2cnc3ccccc3c2)cc1[N+](=O)[O-] ZINC000337231739 382781705 /nfs/dbraw/zinc/78/17/05/382781705.db2.gz UTLMATAYWDQEIA-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN C[C@H]1C[C@H](Nc2c(F)cc([N+](=O)[O-])cc2F)CCO1 ZINC000220074129 382795094 /nfs/dbraw/zinc/79/50/94/382795094.db2.gz YTNSYPBNUMGTTH-JGVFFNPUSA-N 0 0 272.251 2.852 20 5 CFBDRN Cc1cccc(NCCC[C@@H](C)CO)c1[N+](=O)[O-] ZINC000218871907 382791104 /nfs/dbraw/zinc/79/11/04/382791104.db2.gz BEGIVEYXXOTSOJ-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC[C@@H]1C ZINC000336926012 382743090 /nfs/dbraw/zinc/74/30/90/382743090.db2.gz LOQDTXCESMPDSW-JOYOIKCWSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@@H](C)c1nnc([C@H](C)Nc2ncc([N+](=O)[O-])s2)[nH]1 ZINC000336937452 382744298 /nfs/dbraw/zinc/74/42/98/382744298.db2.gz VKYYMOGEDSFQPS-RQJHMYQMSA-N 0 0 296.356 2.856 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CCc1ccccn1 ZINC000194493833 382746036 /nfs/dbraw/zinc/74/60/36/382746036.db2.gz OFKSOIWGTKXNIH-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CS[C@@H](CO)[C@H](C)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000228324553 382846632 /nfs/dbraw/zinc/84/66/32/382846632.db2.gz JZQPSUFRSJMSSJ-XVKPBYJWSA-N 0 0 290.772 2.773 20 5 CFBDRN Cc1cc(NC[C@@H]2CCOC2)c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000228484453 382847129 /nfs/dbraw/zinc/84/71/29/382847129.db2.gz KJQGZIFHPAPCQH-NSHDSACASA-N 0 0 287.319 2.900 20 5 CFBDRN Cc1cc(N(C)CC(C)(C)O)c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000228485191 382847196 /nfs/dbraw/zinc/84/71/96/382847196.db2.gz OUPQJAUXPCNQRJ-UHFFFAOYSA-N 0 0 289.335 2.659 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CO1 ZINC000577103527 382848024 /nfs/dbraw/zinc/84/80/24/382848024.db2.gz NKUZLHQDPBNQLT-YPMHNXCESA-N 0 0 299.330 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(N(CCO)C2CCC2)c(Cl)c1 ZINC000221033342 382796845 /nfs/dbraw/zinc/79/68/45/382796845.db2.gz ZZQJQAZLMMVTLG-UHFFFAOYSA-N 0 0 270.716 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(CCO)C2CCC2)c(Cl)c1 ZINC000221148212 382797323 /nfs/dbraw/zinc/79/73/23/382797323.db2.gz FLEDKXCQSREFNX-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN CCc1cccnc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000337628919 382849934 /nfs/dbraw/zinc/84/99/34/382849934.db2.gz LFSDNQHWMIEKSU-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCS[C@H](C)CC1 ZINC000575935006 382804514 /nfs/dbraw/zinc/80/45/14/382804514.db2.gz BDDHKPKEWZCXJB-SNVBAGLBSA-N 0 0 282.365 2.935 20 5 CFBDRN Cc1ccc([C@@H](C)NCc2ccno2)cc1[N+](=O)[O-] ZINC000223920916 382808116 /nfs/dbraw/zinc/80/81/16/382808116.db2.gz RDAITPYNTFJIDL-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN COC1(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CCCC1 ZINC000576054526 382808994 /nfs/dbraw/zinc/80/89/94/382808994.db2.gz WCRLFTTUKZDSEX-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN CC(C)C[C@H](O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000224284864 382809877 /nfs/dbraw/zinc/80/98/77/382809877.db2.gz VLZHLOCBNIDBID-VIFPVBQESA-N 0 0 256.277 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCOCC2CC2)c(F)c1 ZINC000224507626 382811362 /nfs/dbraw/zinc/81/13/62/382811362.db2.gz XDYHMPKANGWOCP-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN COCCC1(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000225365846 382814515 /nfs/dbraw/zinc/81/45/15/382814515.db2.gz LPDCKMKGZAQHHF-UHFFFAOYSA-N 0 0 295.295 2.732 20 5 CFBDRN C[C@H](C(=O)N1CC[C@](C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000576213907 382814537 /nfs/dbraw/zinc/81/45/37/382814537.db2.gz OKAOOXPOYKKAKN-HZMBPMFUSA-N 0 0 280.299 2.659 20 5 CFBDRN COCCC1(CNc2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000225370750 382814711 /nfs/dbraw/zinc/81/47/11/382814711.db2.gz DLIQNFIZXYLRTI-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CCO[C@@H](CC)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000576250609 382816412 /nfs/dbraw/zinc/81/64/12/382816412.db2.gz KQOYNKXAKKRPHX-YGRLFVJLSA-N 0 0 292.335 2.688 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC1CCSCC1 ZINC000229301236 382851034 /nfs/dbraw/zinc/85/10/34/382851034.db2.gz QPYGTSPZSHEZIL-UHFFFAOYSA-N 0 0 284.385 2.675 20 5 CFBDRN COc1ccc(F)c(NCCc2cccc([N+](=O)[O-])c2)n1 ZINC000576549796 382826527 /nfs/dbraw/zinc/82/65/27/382826527.db2.gz MNCUHRIICOWPLM-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN CCOc1cc(NC[C@@H]2CCC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000226571714 382830083 /nfs/dbraw/zinc/83/00/83/382830083.db2.gz SKHPRCYHMCXNRX-YPMHNXCESA-N 0 0 294.351 2.957 20 5 CFBDRN CC1(C)[C@H]([NH2+]Cc2cccc([N+](=O)[O-])c2)[C@@H]2CCCO[C@H]21 ZINC000227084340 382833005 /nfs/dbraw/zinc/83/30/05/382833005.db2.gz CRJSTVHQWFZQME-RRFJBIMHSA-N 0 0 290.363 2.888 20 5 CFBDRN CC1(C)[C@H]([NH2+]Cc2ccccc2[N+](=O)[O-])[C@H]2CCCO[C@H]21 ZINC000227084083 382833131 /nfs/dbraw/zinc/83/31/31/382833131.db2.gz REAAPTVSHJXWOE-BPLDGKMQSA-N 0 0 290.363 2.888 20 5 CFBDRN COc1cccc(CCNc2nccc(C)c2[N+](=O)[O-])c1 ZINC000227115442 382834008 /nfs/dbraw/zinc/83/40/08/382834008.db2.gz XHZDZXJSGHOQFI-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CCC[C@](C)(O)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000227284683 382835082 /nfs/dbraw/zinc/83/50/82/382835082.db2.gz ACERXINBRACVTP-NSHDSACASA-N 0 0 273.720 2.606 20 5 CFBDRN Cc1cnc(NCC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000227316871 382835929 /nfs/dbraw/zinc/83/59/29/382835929.db2.gz IKHOHIPJXGVIPC-NSHDSACASA-N 0 0 265.313 2.669 20 5 CFBDRN C[C@H]1CCC[C@H](Nc2ncc([N+](=O)[O-])cn2)CC1 ZINC000227539885 382837728 /nfs/dbraw/zinc/83/77/28/382837728.db2.gz RIUGNBFIACNLRG-UWVGGRQHSA-N 0 0 250.302 2.766 20 5 CFBDRN CC1(CNc2nc3sccn3c2[N+](=O)[O-])CCOCC1 ZINC000227824650 382841082 /nfs/dbraw/zinc/84/10/82/382841082.db2.gz QUMMEAKGJDMRTR-UHFFFAOYSA-N 0 0 296.352 2.533 20 5 CFBDRN CC(C)C[C@]1(C)CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000576939648 382842217 /nfs/dbraw/zinc/84/22/17/382842217.db2.gz RDTPFAQCISOTQR-HNNXBMFYSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1nc(Cn2cc([N+](=O)[O-])cc(Cl)c2=O)c(C)s1 ZINC000337683328 382855230 /nfs/dbraw/zinc/85/52/30/382855230.db2.gz WWPWHNOKBLXLIN-UHFFFAOYSA-N 0 0 299.739 2.532 20 5 CFBDRN C[C@@H](CO)CCCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000230147862 382856409 /nfs/dbraw/zinc/85/64/09/382856409.db2.gz ZPDKAMZINHNBEQ-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CCC(O)(CC)CCNc1c(OC)cccc1[N+](=O)[O-] ZINC000577388576 382857903 /nfs/dbraw/zinc/85/79/03/382857903.db2.gz LDGVQEKWTDOPQF-UHFFFAOYSA-N 0 0 282.340 2.957 20 5 CFBDRN CO[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000337749526 382861358 /nfs/dbraw/zinc/86/13/58/382861358.db2.gz PIGLGOMRTNTNRH-KGLIPLIRSA-N 0 0 292.335 2.591 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2C)[C@@H](C)C1 ZINC000337756066 382861984 /nfs/dbraw/zinc/86/19/84/382861984.db2.gz XHPXVVCOTWNJAA-JQWIXIFHSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@@H](O)C2)cc1 ZINC000230909701 382863512 /nfs/dbraw/zinc/86/35/12/382863512.db2.gz WJUBYMQMEBBHFC-GXFFZTMASA-N 0 0 250.298 2.558 20 5 CFBDRN CC(=O)c1ccc(S[C@@H](C)[C@H](C)O)c([N+](=O)[O-])c1 ZINC000230921775 382863730 /nfs/dbraw/zinc/86/37/30/382863730.db2.gz IZRZRWNPQBFXSP-CBAPKCEASA-N 0 0 269.322 2.659 20 5 CFBDRN COc1cc(N[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000230919592 382863778 /nfs/dbraw/zinc/86/37/78/382863778.db2.gz ZGKRABOVPUDBMZ-SECBINFHSA-N 0 0 254.311 2.521 20 5 CFBDRN CCc1cnc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000231635088 382865529 /nfs/dbraw/zinc/86/55/29/382865529.db2.gz RORAYIRGGGVFIQ-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCC[C@H]1F ZINC000577650023 382866744 /nfs/dbraw/zinc/86/67/44/382866744.db2.gz AFLZEMQGHQAMEC-BDAKNGLRSA-N 0 0 254.261 2.906 20 5 CFBDRN CC(C)=CCNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000232649017 382869021 /nfs/dbraw/zinc/86/90/21/382869021.db2.gz FHCKGNLTYWFEAN-UHFFFAOYSA-N 0 0 275.308 2.858 20 5 CFBDRN CCOCCN(CC)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233731056 382871535 /nfs/dbraw/zinc/87/15/35/382871535.db2.gz YGWJLSYWFJTHNO-UHFFFAOYSA-N 0 0 298.314 2.541 20 5 CFBDRN CC(C)CNC(=O)[C@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337934744 382873923 /nfs/dbraw/zinc/87/39/23/382873923.db2.gz FKQTZBVBWNGEPA-JTQLQIEISA-N 0 0 282.365 2.848 20 5 CFBDRN Cc1cn(Cc2cccc(Cl)c2F)nc1[N+](=O)[O-] ZINC000337938095 382874705 /nfs/dbraw/zinc/87/47/05/382874705.db2.gz LTIHQLXOPJIBMO-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1ccncc1F ZINC000337941993 382875605 /nfs/dbraw/zinc/87/56/05/382875605.db2.gz IICMEYVALDEPAK-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CC[C@H](C)CNC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000421584864 382876727 /nfs/dbraw/zinc/87/67/27/382876727.db2.gz BOLVVSDYIQANNE-QMMMGPOBSA-N 0 0 286.278 2.578 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)[C@@H](C)C2CC2)c1F ZINC000235075737 382876881 /nfs/dbraw/zinc/87/68/81/382876881.db2.gz DUISFMKOTKZTNG-VIFPVBQESA-N 0 0 280.299 2.913 20 5 CFBDRN CS[C@H](C)CNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000235073308 382876913 /nfs/dbraw/zinc/87/69/13/382876913.db2.gz VPLBISYCAORYMG-MRVPVSSYSA-N 0 0 286.328 2.524 20 5 CFBDRN CC[C@](C)(CNC(=O)Nc1ccc([N+](=O)[O-])cc1C)OC ZINC000455202246 382878485 /nfs/dbraw/zinc/87/84/85/382878485.db2.gz XCOCMUPDECOYNB-CQSZACIVSA-N 0 0 295.339 2.840 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000421598257 382883195 /nfs/dbraw/zinc/88/31/95/382883195.db2.gz ZIVFESNHCMTQJW-JTQLQIEISA-N 0 0 264.325 2.933 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC(CF)CF ZINC000455250275 382885455 /nfs/dbraw/zinc/88/54/55/382885455.db2.gz REWCNPSDNBIGSY-UHFFFAOYSA-N 0 0 287.266 2.641 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000421662046 382888026 /nfs/dbraw/zinc/88/80/26/382888026.db2.gz JPHWNULJLOFXFK-VXGBXAGGSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2C)CCO1 ZINC000421662463 382888402 /nfs/dbraw/zinc/88/84/02/382888402.db2.gz LGYXTZXPBGIACH-RYUDHWBXSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCc1ccccc1 ZINC000338049004 382911209 /nfs/dbraw/zinc/91/12/09/382911209.db2.gz VOGVIEFEGXDSBO-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@H](O)C(F)(F)F ZINC000236537604 382894544 /nfs/dbraw/zinc/89/45/44/382894544.db2.gz WIXWUPAPBUVKMO-ZETCQYMHSA-N 0 0 284.621 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@@H](O)C2)c(Cl)c1 ZINC000236551314 382895118 /nfs/dbraw/zinc/89/51/18/382895118.db2.gz BELMWMDWVAMOGD-WCBMZHEXSA-N 0 0 270.716 2.821 20 5 CFBDRN Nc1nc(SCCC2=CCCCC2)ncc1[N+](=O)[O-] ZINC000578143901 382895429 /nfs/dbraw/zinc/89/54/29/382895429.db2.gz BGDRPRLEQXEHCT-UHFFFAOYSA-N 0 0 280.353 2.780 20 5 CFBDRN CCCC[C@H](C(=O)[O-])[N@H+](C)CCc1cccc([N+](=O)[O-])c1 ZINC000578778527 382954958 /nfs/dbraw/zinc/95/49/58/382954958.db2.gz ZRFQFNNFNZSEBD-CQSZACIVSA-N 0 0 294.351 2.713 20 5 CFBDRN CCOc1cccc(N2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000578380763 382916014 /nfs/dbraw/zinc/91/60/14/382916014.db2.gz QTKYGFSZXHXWSM-JTQLQIEISA-N 0 0 268.288 2.932 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H](C)C(C)C ZINC000494683647 382919624 /nfs/dbraw/zinc/91/96/24/382919624.db2.gz WKVAAGIGCRPBRO-JTQLQIEISA-N 0 0 280.324 2.625 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccc4c(c3)CCO4)no2)c1 ZINC000338093834 382919875 /nfs/dbraw/zinc/91/98/75/382919875.db2.gz YCASILIAQIANJE-UHFFFAOYSA-N 0 0 298.258 2.575 20 5 CFBDRN Cc1nc(NC(=O)c2cccc([N+](=O)[O-])c2C)cs1 ZINC000338131595 382928635 /nfs/dbraw/zinc/92/86/35/382928635.db2.gz CHIRKUNVFKOHPB-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1nc(NC(=O)Cc2ccccc2[N+](=O)[O-])cs1 ZINC000338134170 382929811 /nfs/dbraw/zinc/92/98/11/382929811.db2.gz MNNVZESFZQLECH-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN CC[C@H](F)CNc1cc(C(=O)OC)cc([N+](=O)[O-])c1C ZINC000442107119 382930062 /nfs/dbraw/zinc/93/00/62/382930062.db2.gz KWUINCPIWPVNQJ-JTQLQIEISA-N 0 0 284.287 2.850 20 5 CFBDRN CC[C@@H](SC)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000338145345 382934102 /nfs/dbraw/zinc/93/41/02/382934102.db2.gz VPOZFULSHHBXHX-CYBMUJFWSA-N 0 0 296.392 2.737 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000579106101 382983323 /nfs/dbraw/zinc/98/33/23/382983323.db2.gz ODYLFJGIARTCMD-IINYFYTJSA-N 0 0 293.367 2.688 20 5 CFBDRN CNc1nc(C(=O)N[C@H](C)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000457192552 382985072 /nfs/dbraw/zinc/98/50/72/382985072.db2.gz LQGGDIDDWXWVQE-SECBINFHSA-N 0 0 294.355 2.586 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCC1CC(F)(F)C1 ZINC000457216071 382992369 /nfs/dbraw/zinc/99/23/69/382992369.db2.gz OXZQEHIQBMGCRU-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000457226729 382996900 /nfs/dbraw/zinc/99/69/00/382996900.db2.gz DCXQWOGWXJWEIF-MFKMUULPSA-N 0 0 266.297 2.742 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])O1 ZINC000457351534 383030686 /nfs/dbraw/zinc/03/06/86/383030686.db2.gz DMHLIFQFEBNHQA-BDAKNGLRSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1cnc(N[C@@H](C)c2cc[nH]c(=O)c2)c([N+](=O)[O-])c1 ZINC000338735422 383033861 /nfs/dbraw/zinc/03/38/61/383033861.db2.gz XLVUTYAQKHJDOE-VIFPVBQESA-N 0 0 274.280 2.572 20 5 CFBDRN C[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1cc[nH]c(=O)c1 ZINC000338735461 383033986 /nfs/dbraw/zinc/03/39/86/383033986.db2.gz YGGQBERCBJLNOH-ZETCQYMHSA-N 0 0 294.698 2.917 20 5 CFBDRN Cc1cc(C)cc(CN(C)C(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000579667746 383039645 /nfs/dbraw/zinc/03/96/45/383039645.db2.gz XKQNMNFCSVJKHY-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CC(=O)c1ccc(NCC[C@@H](C)F)c([N+](=O)[O-])c1 ZINC000338756063 383040309 /nfs/dbraw/zinc/04/03/09/383040309.db2.gz PVLAHYIORPMOAT-MRVPVSSYSA-N 0 0 254.261 2.957 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NCC[C@@H](C)F ZINC000338754622 383040552 /nfs/dbraw/zinc/04/05/52/383040552.db2.gz MPYHFDJVTQFMDP-SECBINFHSA-N 0 0 284.287 2.850 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](CO)C2CCCCC2)n1 ZINC000338765846 383043086 /nfs/dbraw/zinc/04/30/86/383043086.db2.gz IKOAYEIZRKANNB-ZDUSSCGKSA-N 0 0 293.367 2.899 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCO[C@H]2CCC[C@@H]21 ZINC000551732274 383049352 /nfs/dbraw/zinc/04/93/52/383049352.db2.gz ALBFOPUIIZYXSK-ZFWWWQNUSA-N 0 0 299.330 2.901 20 5 CFBDRN NC(=O)C[C@@H](Nc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000338781602 383050100 /nfs/dbraw/zinc/05/01/00/383050100.db2.gz HKFZRMXTGRUZMC-CYBMUJFWSA-N 0 0 285.303 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1CCC[C@H]1F)CCN2 ZINC000338841768 383065460 /nfs/dbraw/zinc/06/54/60/383065460.db2.gz GYUCKMBCBRTMAT-MWLCHTKSSA-N 0 0 265.288 2.865 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000457710808 383080174 /nfs/dbraw/zinc/08/01/74/383080174.db2.gz PBZFNOAHOYMFFA-XHDPSFHLSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1cc(N[C@@H](C)C2(CO)CC2)c(F)cc1[N+](=O)[O-] ZINC000596435137 383126660 /nfs/dbraw/zinc/12/66/60/383126660.db2.gz CMJBYBSXJFLZFK-VIFPVBQESA-N 0 0 268.288 2.615 20 5 CFBDRN CC(C)(C)OCCCNc1c(Cl)cncc1[N+](=O)[O-] ZINC000596464134 383127503 /nfs/dbraw/zinc/12/75/03/383127503.db2.gz GEVHPGFZODQGGJ-UHFFFAOYSA-N 0 0 287.747 2.682 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@](O)(C3CC3)C2)c1 ZINC000596460739 383127572 /nfs/dbraw/zinc/12/75/72/383127572.db2.gz AMMWWWAFVOQHOT-HNNXBMFYSA-N 0 0 276.336 2.645 20 5 CFBDRN CN(C(=O)COc1ccccc1[N+](=O)[O-])C1CC(C)(C)C1 ZINC000458218068 383134240 /nfs/dbraw/zinc/13/42/40/383134240.db2.gz WUQCHGCNXPANNI-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN Nc1c(C(=O)NC2(C3CCC3)CCC2)cccc1[N+](=O)[O-] ZINC000458244921 383140676 /nfs/dbraw/zinc/14/06/76/383140676.db2.gz XJEJCKJEZCAZOS-UHFFFAOYSA-N 0 0 289.335 2.630 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC000457726591 383083310 /nfs/dbraw/zinc/08/33/10/383083310.db2.gz FIYCJGIJKZGVEH-SECBINFHSA-N 0 0 278.308 2.978 20 5 CFBDRN CC(C)(C)C(C)(C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000552402416 383084062 /nfs/dbraw/zinc/08/40/62/383084062.db2.gz NBYOPFJZSNTOIU-UHFFFAOYSA-N 0 0 265.313 2.544 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000552408765 383085798 /nfs/dbraw/zinc/08/57/98/383085798.db2.gz YRRWTBPRYUYBOM-NRUUGDAUSA-N 0 0 262.309 2.613 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2ccc([N+](=O)[O-])cn2)CC12CCC2 ZINC000552730509 383091150 /nfs/dbraw/zinc/09/11/50/383091150.db2.gz LJDHVEUIERTTCK-ZDUSSCGKSA-N 0 0 289.335 2.641 20 5 CFBDRN CC[C@H](C)[C@@H](C)[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000339083975 383143832 /nfs/dbraw/zinc/14/38/32/383143832.db2.gz OOKHTZCYMHMVSA-VHSXEESVSA-N 0 0 252.314 2.825 20 5 CFBDRN CN(C(=O)c1ccc2c(c1)COC2)c1cccc([N+](=O)[O-])c1 ZINC000338938163 383092379 /nfs/dbraw/zinc/09/23/79/383092379.db2.gz LZFYSWLSUJMIDU-UHFFFAOYSA-N 0 0 298.298 2.902 20 5 CFBDRN CCC(C)(C)OCC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000457770880 383094885 /nfs/dbraw/zinc/09/48/85/383094885.db2.gz HFJWSJJYAJHXAS-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN CC1(C)CC(CNc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000553193943 383101569 /nfs/dbraw/zinc/10/15/69/383101569.db2.gz URLAJQSHSJCIBY-UHFFFAOYSA-N 0 0 277.324 2.542 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2CC(C)(C)C2)n1 ZINC000553194001 383102092 /nfs/dbraw/zinc/10/20/92/383102092.db2.gz XSTMRWJVOHNULQ-UHFFFAOYSA-N 0 0 293.323 2.625 20 5 CFBDRN C[C@H](NC(=O)OCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000496454807 383103497 /nfs/dbraw/zinc/10/34/97/383103497.db2.gz HYXRXIWXUVTNAB-VIFPVBQESA-N 0 0 270.260 2.742 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(c1ccc(F)cn1)C2 ZINC000553478314 383110299 /nfs/dbraw/zinc/11/02/99/383110299.db2.gz LMYVQEQABBDODF-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000553832416 383118491 /nfs/dbraw/zinc/11/84/91/383118491.db2.gz SJUASRXDJVZEQL-LBPRGKRZSA-N 0 0 277.324 2.583 20 5 CFBDRN CCN(C[C@H](C)OC)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000517247092 383173516 /nfs/dbraw/zinc/17/35/16/383173516.db2.gz GOVAIUBDJMOHIH-NSHDSACASA-N 0 0 297.355 2.638 20 5 CFBDRN Cc1noc([C@@H](C)N[C@@H](C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000449276183 383195933 /nfs/dbraw/zinc/19/59/33/383195933.db2.gz BGSVGKMVVQBXAV-VHSXEESVSA-N 0 0 290.323 2.568 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@H]2CCC[C@H]21 ZINC000339099677 383149115 /nfs/dbraw/zinc/14/91/15/383149115.db2.gz NZXZNXDTKLWMIE-ZWNOBZJWSA-N 0 0 262.309 2.675 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1cncs1 ZINC000339108734 383151390 /nfs/dbraw/zinc/15/13/90/383151390.db2.gz JFRORZSPYDDDNO-MRVPVSSYSA-N 0 0 292.320 2.934 20 5 CFBDRN CCC[C@H](NC(=O)c1[nH]nc(CC)c1[N+](=O)[O-])C1CCC1 ZINC000458347030 383169750 /nfs/dbraw/zinc/16/97/50/383169750.db2.gz XUCLSRNYDPGYRA-NSHDSACASA-N 0 0 294.355 2.579 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)C[C@H]1F)c1ccccc1[N+](=O)[O-] ZINC000424758153 383252704 /nfs/dbraw/zinc/25/27/04/383252704.db2.gz RSKRKQHWESYPJV-KDDOJWQBSA-N 0 0 266.272 2.520 20 5 CFBDRN C[C@]1(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)C[C@H]1F ZINC000424776075 383257797 /nfs/dbraw/zinc/25/77/97/383257797.db2.gz IISWGUVALNLJAQ-PWSUYJOCSA-N 0 0 286.690 2.613 20 5 CFBDRN CC(=O)N1CCC[C@@H](CNc2c(C)cccc2[N+](=O)[O-])C1 ZINC000280547776 194879209 /nfs/dbraw/zinc/87/92/09/194879209.db2.gz SXNHLJHAUHSBMR-ZDUSSCGKSA-N 0 0 291.351 2.574 20 5 CFBDRN Cc1ccc(CC(=O)NCc2cccc([N+](=O)[O-])c2C)o1 ZINC000566890413 383221943 /nfs/dbraw/zinc/22/19/43/383221943.db2.gz CZXNORJEYWBAMO-UHFFFAOYSA-N 0 0 288.303 2.664 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CCC[C@H](F)C1 ZINC000424682116 383229367 /nfs/dbraw/zinc/22/93/67/383229367.db2.gz DKQPMVMRKNAYTA-OLZOCXBDSA-N 0 0 294.326 2.782 20 5 CFBDRN COc1ccc(C(=O)NC[C@@H]2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000339519537 383229711 /nfs/dbraw/zinc/22/97/11/383229711.db2.gz SMNDDXMKVFEMOX-JQWIXIFHSA-N 0 0 292.335 2.769 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@H](F)C1 ZINC000567106107 383241016 /nfs/dbraw/zinc/24/10/16/383241016.db2.gz BTVAVTUGTMOWQV-ONGXEEELSA-N 0 0 281.287 2.997 20 5 CFBDRN Cc1c(NC(=O)C2(CF)CCC2)cccc1[N+](=O)[O-] ZINC000567918974 383331048 /nfs/dbraw/zinc/33/10/48/383331048.db2.gz MZMPWLPCJFFRNO-UHFFFAOYSA-N 0 0 266.272 2.982 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1cc[nH]c1C1CC1 ZINC000339828478 383333012 /nfs/dbraw/zinc/33/30/12/383333012.db2.gz BBJBQSWKDKUFEC-UHFFFAOYSA-N 0 0 285.303 2.730 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(CC(=O)N(C)C(C)(C)C)c1F ZINC000567939842 383333845 /nfs/dbraw/zinc/33/38/45/383333845.db2.gz AZOZOMLPAQWHPF-UHFFFAOYSA-N 0 0 298.314 2.542 20 5 CFBDRN CC[C@@H](C)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000339984393 383346092 /nfs/dbraw/zinc/34/60/92/383346092.db2.gz LDEWUKFSKYAGGJ-SECBINFHSA-N 0 0 268.338 2.602 20 5 CFBDRN CSc1ccc(C(=O)NCC(C)(F)F)cc1[N+](=O)[O-] ZINC000521094101 383285198 /nfs/dbraw/zinc/28/51/98/383285198.db2.gz QEFFEMWLZKDSCB-UHFFFAOYSA-N 0 0 290.291 2.702 20 5 CFBDRN CCCCCNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000339984136 383346548 /nfs/dbraw/zinc/34/65/48/383346548.db2.gz SFTCBKMJDMMDNY-UHFFFAOYSA-N 0 0 282.365 2.993 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCC1(C)C ZINC000567628633 383295810 /nfs/dbraw/zinc/29/58/10/383295810.db2.gz TZMORKGQAHRJIT-UHFFFAOYSA-N 0 0 262.309 2.836 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1C1CCC1 ZINC000424973729 383301459 /nfs/dbraw/zinc/30/14/59/383301459.db2.gz YTDRXMFZWDLQOH-NWDGAFQWSA-N 0 0 274.320 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2C2CCC2)c1 ZINC000424980697 383303468 /nfs/dbraw/zinc/30/34/68/383303468.db2.gz LOMMXKARZXMLQC-WCQYABFASA-N 0 0 290.319 2.522 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000424985312 383305704 /nfs/dbraw/zinc/30/57/04/383305704.db2.gz VJOIHGXTMJDJOQ-GXFFZTMASA-N 0 0 299.330 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(CC(F)F)C2)cc1 ZINC000567722785 383306540 /nfs/dbraw/zinc/30/65/40/383306540.db2.gz ULMLALCANUUYAO-UHFFFAOYSA-N 0 0 256.252 2.682 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000464262501 383309788 /nfs/dbraw/zinc/30/97/88/383309788.db2.gz NHXMDZWBDWXGNR-QWRGUYRKSA-N 0 0 276.336 2.992 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@H](c2cccnc2)C1 ZINC000567796404 383317746 /nfs/dbraw/zinc/31/77/46/383317746.db2.gz AQOCSBBXFKHJBM-ZDUSSCGKSA-N 0 0 299.330 2.685 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(CC(F)F)C2)c1 ZINC000425075387 383319515 /nfs/dbraw/zinc/31/95/15/383319515.db2.gz HOZJFPKLPWIJSH-UHFFFAOYSA-N 0 0 284.262 2.630 20 5 CFBDRN CCN(C[C@@H](C)OC)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000292279687 285017509 /nfs/dbraw/zinc/01/75/09/285017509.db2.gz JMGRFPRNOGCHQZ-MRVPVSSYSA-N 0 0 273.720 2.504 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N1CC[C@H](C)C1 ZINC000450300232 383390147 /nfs/dbraw/zinc/39/01/47/383390147.db2.gz QJYWFJWRFLEBRF-JTQLQIEISA-N 0 0 287.319 2.998 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000450409401 383397156 /nfs/dbraw/zinc/39/71/56/383397156.db2.gz LIPWPNMRRIXLTO-CKYFFXLPSA-N 0 0 262.309 2.818 20 5 CFBDRN C[C@H]1COCCN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000450411100 383397492 /nfs/dbraw/zinc/39/74/92/383397492.db2.gz YOHQDNIKXZXNJH-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CNc1ccc(-c2nc(CC3CC3)no2)cc1[N+](=O)[O-] ZINC000525237280 383400592 /nfs/dbraw/zinc/40/05/92/383400592.db2.gz FEAJOGCGGBQQHA-UHFFFAOYSA-N 0 0 274.280 2.639 20 5 CFBDRN CCN(C(=O)NCCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000340296658 383409210 /nfs/dbraw/zinc/40/92/10/383409210.db2.gz DAGYAUBXHVOPLQ-UHFFFAOYSA-N 0 0 279.340 2.577 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@]2(F)c2ccccc2)ncc1[N+](=O)[O-] ZINC000425272142 383355990 /nfs/dbraw/zinc/35/59/90/383355990.db2.gz KFMSSMVVUWZZPO-OCCSQVGLSA-N 0 0 288.282 2.742 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1C[C@H]1C1CCC1 ZINC000425282048 383359369 /nfs/dbraw/zinc/35/93/69/383359369.db2.gz MVQNUYIJJWZKFQ-RYUDHWBXSA-N 0 0 278.356 2.968 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1ccc2c(c1)CCO2 ZINC000523746265 383360666 /nfs/dbraw/zinc/36/06/66/383360666.db2.gz WFRGHELLFUFZPS-UHFFFAOYSA-N 0 0 271.276 2.537 20 5 CFBDRN Cc1nn(C)c(N(C)C[C@@H](C)c2ccccc2)c1[N+](=O)[O-] ZINC000340152052 383363031 /nfs/dbraw/zinc/36/30/31/383363031.db2.gz KEMJFOJANZWXLF-LLVKDONJSA-N 0 0 288.351 2.877 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H]1c1cccnc1 ZINC000340157646 383363933 /nfs/dbraw/zinc/36/39/33/383363933.db2.gz ILPBCVMENKEQSU-GFCCVEGCSA-N 0 0 270.292 2.726 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@H]2[C@@H]2CCCO2)n1 ZINC000343067540 291825344 /nfs/dbraw/zinc/82/53/44/291825344.db2.gz BCBPHUQPUGAVGS-STQMWFEESA-N 0 0 291.351 2.754 20 5 CFBDRN Cc1nc(CCNc2ccc([N+](=O)[O-])cc2F)c(C)o1 ZINC000340205873 383381589 /nfs/dbraw/zinc/38/15/89/383381589.db2.gz LMSYDJLCJBVVSD-UHFFFAOYSA-N 0 0 279.271 2.993 20 5 CFBDRN COC1CCN(c2nccc3c2cccc3[N+](=O)[O-])CC1 ZINC000450236097 383387415 /nfs/dbraw/zinc/38/74/15/383387415.db2.gz YPDKUEYMQVMXPU-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CC1(C)CN(c2nccc3c2cccc3[N+](=O)[O-])CCO1 ZINC000450249691 383387601 /nfs/dbraw/zinc/38/76/01/383387601.db2.gz BQWUIFDOUBEWFB-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000450471487 383425289 /nfs/dbraw/zinc/42/52/89/383425289.db2.gz VZTDQKHEZSCDBU-PWSUYJOCSA-N 0 0 292.335 2.911 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@H](C)O[C@@H](C3CC3)C2)n1 ZINC000359726812 291826626 /nfs/dbraw/zinc/82/66/26/291826626.db2.gz XVXNYCBVIPLBIX-WCQYABFASA-N 0 0 291.351 2.610 20 5 CFBDRN Cc1ccccc1CN(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340479268 383436876 /nfs/dbraw/zinc/43/68/76/383436876.db2.gz GAVYVXWGSNWLGD-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN CC(C)c1nnc(N(C)CCc2ccccc2[N+](=O)[O-])o1 ZINC000450530799 383449263 /nfs/dbraw/zinc/44/92/63/383449263.db2.gz QRCOXAUWISCLNV-UHFFFAOYSA-N 0 0 290.323 2.780 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H]2C[C@@H]2C(F)F)c1 ZINC000450534596 383449960 /nfs/dbraw/zinc/44/99/60/383449960.db2.gz GHIRSHXLNKMSHG-QWRGUYRKSA-N 0 0 279.246 2.630 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2[C@H]2CCC[C@H]2O)nc1 ZINC000450685611 383482027 /nfs/dbraw/zinc/48/20/27/383482027.db2.gz STLFSORCVUCORD-MGPQQGTHSA-N 0 0 291.351 2.510 20 5 CFBDRN CO[C@@H](C)CN(C)c1nccc2c1cccc2[N+](=O)[O-] ZINC000450538725 383451994 /nfs/dbraw/zinc/45/19/94/383451994.db2.gz ZXCLADBOVPVZHH-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN CO[C@@H](CNc1nccc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000450541036 383453384 /nfs/dbraw/zinc/45/33/84/383453384.db2.gz BULYJVWEMRUOSB-AWEZNQCLSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]2[C@@H]2CCC[C@@H]2O)s1 ZINC000450686337 383482234 /nfs/dbraw/zinc/48/22/34/383482234.db2.gz UMYSNOPUEVKWOE-AXFHLTTASA-N 0 0 297.380 2.571 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000568994831 383457926 /nfs/dbraw/zinc/45/79/26/383457926.db2.gz OCWAEHFEEXTDOA-RYUDHWBXSA-N 0 0 291.351 2.848 20 5 CFBDRN CSCCCNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000340526066 383458937 /nfs/dbraw/zinc/45/89/37/383458937.db2.gz YKVFLJPHGWSZGS-UHFFFAOYSA-N 0 0 296.392 2.742 20 5 CFBDRN CC1(CCNC(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000527162589 383459731 /nfs/dbraw/zinc/45/97/31/383459731.db2.gz OQTNSBOFEAODST-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2CC(C)(F)C2)c1 ZINC000450583581 383470005 /nfs/dbraw/zinc/47/00/05/383470005.db2.gz VFWGZZBXVCEPSD-UHFFFAOYSA-N 0 0 282.271 2.684 20 5 CFBDRN CCC[C@@H](CNc1cc(OC)c(F)cc1[N+](=O)[O-])OC ZINC000450588158 383472640 /nfs/dbraw/zinc/47/26/40/383472640.db2.gz UTSPDLMZLPCURV-VIFPVBQESA-N 0 0 286.303 2.970 20 5 CFBDRN C[C@@H]1CCCN1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000486940149 383475624 /nfs/dbraw/zinc/47/56/24/383475624.db2.gz KMYUAMBESPHYOE-SECBINFHSA-N 0 0 274.280 2.634 20 5 CFBDRN CCOc1cc(N2CC[C@@H](CO)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000341060322 383548904 /nfs/dbraw/zinc/54/89/04/383548904.db2.gz YXTNZUCLYRRCRZ-VXGBXAGGSA-N 0 0 294.351 2.591 20 5 CFBDRN Cc1ccnc(N[C@@H]([C@H](CO)C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000450778037 383488167 /nfs/dbraw/zinc/48/81/67/383488167.db2.gz NAUPURUBISZLBL-CHWSQXEVSA-N 0 0 291.351 2.507 20 5 CFBDRN Cc1cccc(CNC(=O)c2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000569918283 383549613 /nfs/dbraw/zinc/54/96/13/383549613.db2.gz JRJJWABFHXZJCO-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1nn(C)cc1COc1cccc([N+](=O)[O-])c1C ZINC000450805331 383497201 /nfs/dbraw/zinc/49/72/01/383497201.db2.gz AKJFFUYHMNHZEE-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1cc(N2CC[C@@H]3C[C@@H](O)CC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000450810143 383498890 /nfs/dbraw/zinc/49/88/90/383498890.db2.gz KJJKDBDOMDEEDZ-IPYPFGDCSA-N 0 0 290.363 2.891 20 5 CFBDRN Cc1noc([C@@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000340909639 383501038 /nfs/dbraw/zinc/50/10/38/383501038.db2.gz ZYFKWJPHWDFFBP-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN CCOc1cccc([C@@H]2C[C@@H]2Nc2ccncc2[N+](=O)[O-])c1 ZINC000340913058 383502386 /nfs/dbraw/zinc/50/23/86/383502386.db2.gz VMFMLPWDDBFJHY-ZFWWWQNUSA-N 0 0 299.330 2.778 20 5 CFBDRN Nc1c(F)c(NCc2cnc(C3CC3)o2)ccc1[N+](=O)[O-] ZINC000450919166 383550604 /nfs/dbraw/zinc/55/06/04/383550604.db2.gz XVBJROWTZZBQLI-UHFFFAOYSA-N 0 0 292.270 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cnccn2)c(Cl)c1 ZINC000340946252 383507333 /nfs/dbraw/zinc/50/73/33/383507333.db2.gz ARXBHXADVDDOFV-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])[C@H](C)[N@@H+]1Cc1cccc([N+](=O)[O-])c1 ZINC000569503699 383507577 /nfs/dbraw/zinc/50/75/77/383507577.db2.gz PLFXQBYIRMUIMX-SUNKGSAMSA-N 0 0 292.335 2.668 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)c2nccn21 ZINC000450827385 383508155 /nfs/dbraw/zinc/50/81/55/383508155.db2.gz HQBRVNUXAFISPD-OIBJUYFYSA-N 0 0 293.714 2.958 20 5 CFBDRN CCOc1cc(OCc2cc(C)ncn2)ccc1[N+](=O)[O-] ZINC000488276010 383511676 /nfs/dbraw/zinc/51/16/76/383511676.db2.gz BXOVZOAIGVDLQH-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H]1C[C@@H](C)CCC1=O ZINC000450835602 383513583 /nfs/dbraw/zinc/51/35/83/383513583.db2.gz XHITZGJBDFBMOK-ZANVPECISA-N 0 0 279.292 2.740 20 5 CFBDRN Cc1ccc(C)c(CN(C)Cc2c([N+](=O)[O-])ncn2C)c1 ZINC000429294601 383513918 /nfs/dbraw/zinc/51/39/18/383513918.db2.gz NINSJEYMIKOWRX-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H](C)Cc1cnn(C)c1 ZINC000450839407 383516275 /nfs/dbraw/zinc/51/62/75/383516275.db2.gz GXWLZBXPBGGGOL-NSHDSACASA-N 0 0 274.324 2.680 20 5 CFBDRN CCc1ncc(CNc2cc(OC)c([N+](=O)[O-])cc2F)o1 ZINC000450842416 383517326 /nfs/dbraw/zinc/51/73/26/383517326.db2.gz BEPIZWXHYWDVJE-UHFFFAOYSA-N 0 0 295.270 2.905 20 5 CFBDRN CCC1(CNc2c(C)cccc2[N+](=O)[O-])COC1 ZINC000450842334 383518256 /nfs/dbraw/zinc/51/82/56/383518256.db2.gz FSORDSVIJXSLPY-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC[C@@H](C)C1 ZINC000429350415 383521674 /nfs/dbraw/zinc/52/16/74/383521674.db2.gz PQGFJUMXZLDYFN-SNVBAGLBSA-N 0 0 292.335 2.926 20 5 CFBDRN CO[C@H]([C@H](C)Nc1ccc([N+](=O)[O-])c(N)c1F)C1CC1 ZINC000450863266 383526527 /nfs/dbraw/zinc/52/65/27/383526527.db2.gz SSQXOBCRKXCWFL-WPPNPWJKSA-N 0 0 283.303 2.542 20 5 CFBDRN COc1cc(N2CCC[C@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000450880328 383532817 /nfs/dbraw/zinc/53/28/17/383532817.db2.gz KSEZETKLFHEQHV-HNNXBMFYSA-N 0 0 292.335 2.610 20 5 CFBDRN C[C@@H]1CCC(=O)[C@H](Sc2ccc([N+](=O)[O-])cn2)C1 ZINC000450892602 383537769 /nfs/dbraw/zinc/53/77/69/383537769.db2.gz PBMNQFHPRXWNGE-LDYMZIIASA-N 0 0 266.322 2.840 20 5 CFBDRN Cc1ccc2nc(CNCc3ccccc3[N+](=O)[O-])cn2c1 ZINC000341038344 383539600 /nfs/dbraw/zinc/53/96/00/383539600.db2.gz BVOMYVCWJXVRDK-UHFFFAOYSA-N 0 0 296.330 2.841 20 5 CFBDRN O=C1[C@H](SC2CCC2)CCN1c1cccc([N+](=O)[O-])c1 ZINC000450985209 383577649 /nfs/dbraw/zinc/57/76/49/383577649.db2.gz IFWDJYMHSBBSGA-CYBMUJFWSA-N 0 0 292.360 2.986 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCS[C@H](C)[C@H]1C ZINC000430214466 383591112 /nfs/dbraw/zinc/59/11/12/383591112.db2.gz ZJVHOBNQSQXMNP-GHMZBOCLSA-N 0 0 296.392 2.929 20 5 CFBDRN Cc1ccc(NC(=O)NCCn2cccc2)cc1[N+](=O)[O-] ZINC000489025845 383591990 /nfs/dbraw/zinc/59/19/90/383591990.db2.gz JCTPPPYAUWGPFY-UHFFFAOYSA-N 0 0 288.307 2.526 20 5 CFBDRN CCc1ccc(CCn2cc([N+](=O)[O-])cc(C)c2=O)cc1 ZINC000489085966 383596243 /nfs/dbraw/zinc/59/62/43/383596243.db2.gz ATXSKLLPYZIZOW-UHFFFAOYSA-N 0 0 286.331 2.870 20 5 CFBDRN Cc1cc(NC[C@@H]2C[C@H]2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000489161077 383598344 /nfs/dbraw/zinc/59/83/44/383598344.db2.gz HSCVCVDIKRFQQO-MFKMUULPSA-N 0 0 298.346 2.850 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000430784459 383602035 /nfs/dbraw/zinc/60/20/35/383602035.db2.gz BITUXACESZXJIK-GFCCVEGCSA-N 0 0 290.319 2.507 20 5 CFBDRN CCC[C@H](C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000489271347 383602587 /nfs/dbraw/zinc/60/25/87/383602587.db2.gz QKKLGWZEQBITDY-NWDGAFQWSA-N 0 0 293.367 2.974 20 5 CFBDRN Cc1c(CC(=O)N(C)[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000489347551 383609404 /nfs/dbraw/zinc/60/94/04/383609404.db2.gz GYBFWEUONAMFLZ-ZDUSSCGKSA-N 0 0 276.336 2.703 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000569939453 383553925 /nfs/dbraw/zinc/55/39/25/383553925.db2.gz CBUFPQWDAKNWGD-CYBMUJFWSA-N 0 0 287.319 2.814 20 5 CFBDRN Cc1cccc(CN(C)c2c3c(ccc2[N+](=O)[O-])NCC3)n1 ZINC000450934313 383556365 /nfs/dbraw/zinc/55/63/65/383556365.db2.gz WRZPGBWFNMSNEN-UHFFFAOYSA-N 0 0 298.346 2.903 20 5 CFBDRN CN(C(=O)CCOc1ccccc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000489356690 383610508 /nfs/dbraw/zinc/61/05/08/383610508.db2.gz VCKHBVVWNRFJMN-CYBMUJFWSA-N 0 0 292.335 2.621 20 5 CFBDRN COC[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000450948695 383563828 /nfs/dbraw/zinc/56/38/28/383563828.db2.gz QDHUOBOKASNRGK-SNVBAGLBSA-N 0 0 299.758 2.896 20 5 CFBDRN CCOc1cc(N2CC[C@H](CCCO)C2)ccc1[N+](=O)[O-] ZINC000450955394 383566881 /nfs/dbraw/zinc/56/68/81/383566881.db2.gz PKFLLLXQBFFBEO-LBPRGKRZSA-N 0 0 294.351 2.592 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000570862473 383643006 /nfs/dbraw/zinc/64/30/06/383643006.db2.gz HIYDNPUMQTTWLI-JTQLQIEISA-N 0 0 265.313 2.623 20 5 CFBDRN CCO[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000451552584 383645439 /nfs/dbraw/zinc/64/54/39/383645439.db2.gz YWXHEBDZNVQGJX-XHDPSFHLSA-N 0 0 296.342 2.981 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc([C@@H]3C[C@@H]3C)no2)c1 ZINC000570741046 383630247 /nfs/dbraw/zinc/63/02/47/383630247.db2.gz STZOKZDEFDUVST-IONNQARKSA-N 0 0 275.264 2.777 20 5 CFBDRN C[C@@H](NC(=O)N1CCC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000530630182 383778930 /nfs/dbraw/zinc/77/89/30/383778930.db2.gz GVBJBOHNAFMMMS-SNVBAGLBSA-N 0 0 277.324 2.850 20 5 CFBDRN COc1cc([N+](=O)[O-])c(C(=O)NC2CCC2)cc1SC ZINC000264571882 383756267 /nfs/dbraw/zinc/75/62/67/383756267.db2.gz XFGYHZHGGRAJBT-UHFFFAOYSA-N 0 0 296.348 2.608 20 5 CFBDRN COC1(CNC(=O)c2cc([N+](=O)[O-])c(C)cc2C)CCC1 ZINC000421516875 533001602 /nfs/dbraw/zinc/00/16/02/533001602.db2.gz TXVRFWYWZMTCFU-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN COCc1noc([C@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 ZINC000264637031 383766947 /nfs/dbraw/zinc/76/69/47/383766947.db2.gz UQCLEYQZXXMKOK-QMMMGPOBSA-N 0 0 295.320 2.978 20 5 CFBDRN Cc1c(CN2C[C@@H](O)Cc3ccccc32)cccc1[N+](=O)[O-] ZINC000434675381 383771365 /nfs/dbraw/zinc/77/13/65/383771365.db2.gz LSUHVVAJOWAALJ-HNNXBMFYSA-N 0 0 298.342 2.827 20 5 CFBDRN O=C1CCN1c1cccc(NCc2ccccc2[N+](=O)[O-])c1 ZINC000571133571 383666676 /nfs/dbraw/zinc/66/66/76/383666676.db2.gz MVGJTDFACFOQNY-UHFFFAOYSA-N 0 0 297.314 2.944 20 5 CFBDRN COc1ccncc1CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000431418476 383669897 /nfs/dbraw/zinc/66/98/97/383669897.db2.gz ZARJTWTVMSIZNW-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1ccccc1[C@H](C)N(C)c1c([N+](=O)[O-])ncn1C ZINC000264937000 383803882 /nfs/dbraw/zinc/80/38/82/383803882.db2.gz RSWKQQXIIGLYPU-NSHDSACASA-N 0 0 274.324 2.834 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])c3cccnc23)COC1 ZINC000264935376 383804596 /nfs/dbraw/zinc/80/45/96/383804596.db2.gz ZOSHSVLZMTURBE-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN O=C1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 ZINC000436318579 383804926 /nfs/dbraw/zinc/80/49/26/383804926.db2.gz NXAGWWTYCJXJHF-UHFFFAOYSA-N 0 0 297.314 2.826 20 5 CFBDRN Cc1cc(NCc2cccnn2)c2cccc([N+](=O)[O-])c2n1 ZINC000436438008 383818257 /nfs/dbraw/zinc/81/82/57/383818257.db2.gz YZZKHKVUWYDDEH-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(C3CCOCC3)n2)c1 ZINC000265032491 383819773 /nfs/dbraw/zinc/81/97/73/383819773.db2.gz WLPSOAINIHMONZ-UHFFFAOYSA-N 0 0 275.264 2.539 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000264770620 383781138 /nfs/dbraw/zinc/78/11/38/383781138.db2.gz FZPZZIYFOXPVHH-TVQRCGJNSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1noc(C)c1CCn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000436192724 383786878 /nfs/dbraw/zinc/78/68/78/383786878.db2.gz FSUAWNORJNAMHY-UHFFFAOYSA-N 0 0 276.296 2.516 20 5 CFBDRN Cc1cc(NCCN(C)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000264863672 383792925 /nfs/dbraw/zinc/79/29/25/383792925.db2.gz SQSLCFGXXHGFLG-UHFFFAOYSA-N 0 0 291.273 2.809 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N(Cc1cccs1)C1CC1 ZINC000264898516 383798531 /nfs/dbraw/zinc/79/85/31/383798531.db2.gz HHYLLTOJLJSUSD-UHFFFAOYSA-N 0 0 278.337 2.559 20 5 CFBDRN Cc1ccnc(Sc2nnc(C(C)C)n2C)c1[N+](=O)[O-] ZINC000265155072 383844575 /nfs/dbraw/zinc/84/45/75/383844575.db2.gz CILZOYRFLYXQCR-UHFFFAOYSA-N 0 0 293.352 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc3c(c2)CCO3)nc1 ZINC000265159384 383846701 /nfs/dbraw/zinc/84/67/01/383846701.db2.gz BFYSJXUQFZGGPV-UHFFFAOYSA-N 0 0 285.303 2.579 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC(C)(C)C1 ZINC000281027876 195075107 /nfs/dbraw/zinc/07/51/07/195075107.db2.gz UZWFFXNFIVAAQA-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCN(c1ncccc1[N+](=O)[O-])[C@H](C)c1cccnc1 ZINC000265206318 383860585 /nfs/dbraw/zinc/86/05/85/383860585.db2.gz KRZHFTZSRVCNMT-LLVKDONJSA-N 0 0 272.308 2.972 20 5 CFBDRN CC(C)OC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000531392425 383861028 /nfs/dbraw/zinc/86/10/28/383861028.db2.gz WZKSMWFJGSJLHS-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN C[C@@H](CO)N(CC1CCC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000280991484 195060737 /nfs/dbraw/zinc/06/07/37/195060737.db2.gz OQQPCYZXIVCHRQ-VIFPVBQESA-N 0 0 299.758 2.631 20 5 CFBDRN CCC(C)(CC)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000265083229 383828533 /nfs/dbraw/zinc/82/85/33/383828533.db2.gz VVCMNWDMDPBKGP-UHFFFAOYSA-N 0 0 264.325 2.832 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H]1C1CC1 ZINC000454131942 383907569 /nfs/dbraw/zinc/90/75/69/383907569.db2.gz KJFSDDLROWOJSD-GFCCVEGCSA-N 0 0 291.307 2.620 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000573373819 383919703 /nfs/dbraw/zinc/91/97/03/383919703.db2.gz JZPZZWXNNSEHJW-NSHDSACASA-N 0 0 283.353 2.717 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000531536389 383882587 /nfs/dbraw/zinc/88/25/87/383882587.db2.gz DDQBEIFMZHQCAY-OCCSQVGLSA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Nc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420577689 296357301 /nfs/dbraw/zinc/35/73/01/296357301.db2.gz BADMCJNYDODMTA-MWLCHTKSSA-N 0 0 277.324 2.684 20 5 CFBDRN CC(C)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265332694 383895340 /nfs/dbraw/zinc/89/53/40/383895340.db2.gz NBEKGACNGGAQHK-NSHDSACASA-N 0 0 265.313 2.574 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000531631443 383900594 /nfs/dbraw/zinc/90/05/94/383900594.db2.gz UKJGVQJQSISRIB-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CSCCCN(C)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000281035890 195078232 /nfs/dbraw/zinc/07/82/32/195078232.db2.gz DXAGUMHHKLYKIH-UHFFFAOYSA-N 0 0 296.392 2.739 20 5 CFBDRN Cc1cnc(NCCOCC2CCC2)c([N+](=O)[O-])c1 ZINC000573297832 383903347 /nfs/dbraw/zinc/90/33/47/383903347.db2.gz UIPOLXPQOWGDBG-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cn2)s1 ZINC000532139166 383981992 /nfs/dbraw/zinc/98/19/92/383981992.db2.gz NISFRVHLPCEYRD-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1cn([C@@H](C)c2cccc([N+](=O)[O-])c2)nc1[N+](=O)[O-] ZINC000281085852 195097693 /nfs/dbraw/zinc/09/76/93/195097693.db2.gz COBXCYIGROVERW-VIFPVBQESA-N 0 0 276.252 2.617 20 5 CFBDRN Cc1sc(C(=O)N2C[C@H](C)O[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000438426398 384002373 /nfs/dbraw/zinc/00/23/73/384002373.db2.gz LTOWHDUKJRTFKS-DJLDLDEBSA-N 0 0 298.364 2.603 20 5 CFBDRN Cc1c(CN(C)Cc2ccon2)cccc1[N+](=O)[O-] ZINC000265745931 383990119 /nfs/dbraw/zinc/99/01/19/383990119.db2.gz DFTQXYMJOGLGCF-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000573689457 383973086 /nfs/dbraw/zinc/97/30/86/383973086.db2.gz RZOXRUAUCXEABI-PWSUYJOCSA-N 0 0 295.314 2.799 20 5 CFBDRN Cc1ccccc1[C@@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000532110480 383976108 /nfs/dbraw/zinc/97/61/08/383976108.db2.gz WQHCWVOHNLWCAN-CYBMUJFWSA-N 0 0 287.319 2.752 20 5 CFBDRN CCO[C@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000532376142 384019429 /nfs/dbraw/zinc/01/94/29/384019429.db2.gz DIERXIZUMPBJJX-CQSZACIVSA-N 0 0 294.351 2.662 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C[C@@H](C)C1 ZINC000532392624 384023173 /nfs/dbraw/zinc/02/31/73/384023173.db2.gz AWUNEQPNIKFGTC-URLYPYJESA-N 0 0 277.324 2.544 20 5 CFBDRN CCc1ccc(O)c(NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000532399806 384024393 /nfs/dbraw/zinc/02/43/93/384024393.db2.gz VHRWQNJQZFFFKH-UHFFFAOYSA-N 0 0 287.275 2.510 20 5 CFBDRN CC(C)[C@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000438594175 384024452 /nfs/dbraw/zinc/02/44/52/384024452.db2.gz YCMRPIPIMZVLHZ-ZETCQYMHSA-N 0 0 294.229 2.895 20 5 CFBDRN C[C@H]1CCC[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532393378 384024568 /nfs/dbraw/zinc/02/45/68/384024568.db2.gz RMYPJXFVXKMHOQ-QWRGUYRKSA-N 0 0 277.324 2.641 20 5 CFBDRN CC/C=C\CNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000454358007 384005766 /nfs/dbraw/zinc/00/57/66/384005766.db2.gz IPGYWCAXEFOQCT-DOGVGXBMSA-N 0 0 277.324 2.921 20 5 CFBDRN COc1ccc(CC(=O)N2[C@H](C)CC[C@H]2C)cc1[N+](=O)[O-] ZINC000532324567 384010112 /nfs/dbraw/zinc/01/01/12/384010112.db2.gz GMGNLBCJUJIZIG-GHMZBOCLSA-N 0 0 292.335 2.545 20 5 CFBDRN CSCCCCC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266004593 384050744 /nfs/dbraw/zinc/05/07/44/384050744.db2.gz ARVJTQZMRUQSMR-UHFFFAOYSA-N 0 0 296.392 2.787 20 5 CFBDRN CC(C)[C@H](C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266009406 384052488 /nfs/dbraw/zinc/05/24/88/384052488.db2.gz CCXNRNKRXHQIEG-NSHDSACASA-N 0 0 264.325 2.546 20 5 CFBDRN Cc1ccc([C@@H]2CCN(c3ncc([N+](=O)[O-])c(C)n3)C2)cc1 ZINC000574030519 384032875 /nfs/dbraw/zinc/03/28/75/384032875.db2.gz FWZMXQPKKOKIPM-CQSZACIVSA-N 0 0 298.346 2.996 20 5 CFBDRN Cc1noc([C@H](C)N(C)Cc2ccc([N+](=O)[O-])cc2C)n1 ZINC000574171358 384057540 /nfs/dbraw/zinc/05/75/40/384057540.db2.gz WHCYVHHPDAMOCY-JTQLQIEISA-N 0 0 290.323 2.788 20 5 CFBDRN Cc1c(CNC(=O)NC2CC(C)(F)C2)cccc1[N+](=O)[O-] ZINC000454859464 384060041 /nfs/dbraw/zinc/06/00/41/384060041.db2.gz STORMHIZBCAALN-UHFFFAOYSA-N 0 0 295.314 2.593 20 5 CFBDRN CCC(C)(C)[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000532738199 384065953 /nfs/dbraw/zinc/06/59/53/384065953.db2.gz FUJYFEDQEBOTIL-CYBMUJFWSA-N 0 0 293.367 2.974 20 5 CFBDRN CC[C@@H](F)Cn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O ZINC000440594881 384110677 /nfs/dbraw/zinc/11/06/77/384110677.db2.gz CSTPSGPVYOKOFE-LLVKDONJSA-N 0 0 291.282 2.567 20 5 CFBDRN COc1cc(NCCc2c(C)noc2C)ccc1[N+](=O)[O-] ZINC000266194618 384112340 /nfs/dbraw/zinc/11/23/40/384112340.db2.gz CDKRPXLKCKSYNU-UHFFFAOYSA-N 0 0 291.307 2.863 20 5 CFBDRN CC[C@@H](F)Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000440602458 384112565 /nfs/dbraw/zinc/11/25/65/384112565.db2.gz YPEYWCIPBJZQHK-SNVBAGLBSA-N 0 0 264.260 2.601 20 5 CFBDRN CCN(C[C@H](C)OC)c1ccc(F)cc1[N+](=O)[O-] ZINC000292279898 285076318 /nfs/dbraw/zinc/07/63/18/285076318.db2.gz JPHCISOEAXDSCB-VIFPVBQESA-N 0 0 256.277 2.595 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@@H]2Cc2ccccc2)c1[N+](=O)[O-] ZINC000266219426 384119406 /nfs/dbraw/zinc/11/94/06/384119406.db2.gz HNNKXCYNIWYZJH-QWHCGFSZSA-N 0 0 286.335 2.680 20 5 CFBDRN CC1(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)COC1 ZINC000266335070 384150896 /nfs/dbraw/zinc/15/08/96/384150896.db2.gz HMJJXSLPTYPKSS-UHFFFAOYSA-N 0 0 288.250 2.645 20 5 CFBDRN Cc1n[nH]cc1CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000266338693 384152735 /nfs/dbraw/zinc/15/27/35/384152735.db2.gz HVAGQSDJOWIYNV-UHFFFAOYSA-N 0 0 298.249 2.840 20 5 CFBDRN CC[C@@H](CC(F)F)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000574854557 384155301 /nfs/dbraw/zinc/15/53/01/384155301.db2.gz ODQCOHPEDONEKW-JTQLQIEISA-N 0 0 286.278 2.892 20 5 CFBDRN CC/C=C\CNC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000455100237 384140850 /nfs/dbraw/zinc/14/08/50/384140850.db2.gz KSJCHNXOCNZAOF-PLNGDYQASA-N 0 0 279.296 2.691 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000266314085 384145193 /nfs/dbraw/zinc/14/51/93/384145193.db2.gz FPTNREMOMIAUJC-JTQLQIEISA-N 0 0 281.337 2.530 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1nccn1C(F)F ZINC000266425708 384172351 /nfs/dbraw/zinc/17/23/51/384172351.db2.gz SVFMWYHSUIPCAS-UHFFFAOYSA-N 0 0 283.238 2.502 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@H]1C(C)C ZINC000455173624 384160260 /nfs/dbraw/zinc/16/02/60/384160260.db2.gz UBLXTPADTZEAAC-LBPRGKRZSA-N 0 0 293.323 2.866 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@@H]1CCOC1 ZINC000112759218 285085850 /nfs/dbraw/zinc/08/58/50/285085850.db2.gz KMPMCRWXRIKBME-MRVPVSSYSA-N 0 0 276.214 2.814 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1CC[C@H](C)O1 ZINC000455184327 384162685 /nfs/dbraw/zinc/16/26/85/384162685.db2.gz LJEIMNLJNJPWGP-CMPLNLGQSA-N 0 0 293.323 2.592 20 5 CFBDRN CC/C=C\CNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000455190406 384163485 /nfs/dbraw/zinc/16/34/85/384163485.db2.gz OKNYWVJUBKDRTR-PLNGDYQASA-N 0 0 263.297 2.991 20 5 CFBDRN CNc1c(C(=O)N2CC[C@@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000161473052 533040597 /nfs/dbraw/zinc/04/05/97/533040597.db2.gz KSKUMLNGDFUGSW-GHMZBOCLSA-N 0 0 291.351 2.897 20 5 CFBDRN COC1CCC(CNC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000426845020 533040820 /nfs/dbraw/zinc/04/08/20/533040820.db2.gz HWGAVQHMASKPCX-UHFFFAOYSA-N 0 0 298.364 2.591 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266452363 384179067 /nfs/dbraw/zinc/17/90/67/384179067.db2.gz BGFASXOBMSBFRY-YPMHNXCESA-N 0 0 291.351 2.625 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCCSC1 ZINC000153899751 285092493 /nfs/dbraw/zinc/09/24/93/285092493.db2.gz YVUFICLGGLYRAK-MRVPVSSYSA-N 0 0 273.745 2.951 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCS1 ZINC000266545062 384196109 /nfs/dbraw/zinc/19/61/09/384196109.db2.gz JPUQNWLSIHSSIX-NSHDSACASA-N 0 0 266.322 2.737 20 5 CFBDRN COC(=O)[C@@H]1CCC[N@@H+]([C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000266564323 384199115 /nfs/dbraw/zinc/19/91/15/384199115.db2.gz ZCNXVFKUZNSZGK-VXGBXAGGSA-N 0 0 292.335 2.541 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCCCC2)s1 ZINC000287053688 285099090 /nfs/dbraw/zinc/09/90/90/285099090.db2.gz SBIJBJSVOKMOQK-UHFFFAOYSA-N 0 0 264.310 2.590 20 5 CFBDRN C[C@@H]1CN(C(=O)CNc2ccccc2[N+](=O)[O-])C(C)(C)C1 ZINC000266936289 384265233 /nfs/dbraw/zinc/26/52/33/384265233.db2.gz VDTVXZWELPVGSJ-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1cnc(COC(=O)[C@@H]2CCCS2)c(C)c1[N+](=O)[O-] ZINC000277317632 384265812 /nfs/dbraw/zinc/26/58/12/384265812.db2.gz WZMIZKAIEXVKQJ-NSHDSACASA-N 0 0 296.348 2.545 20 5 CFBDRN C[C@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000266947360 384268220 /nfs/dbraw/zinc/26/82/20/384268220.db2.gz YJKHTHQSQRTYIB-MRVPVSSYSA-N 0 0 268.338 2.917 20 5 CFBDRN CCCC[C@H](CCC)NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000267132761 384304992 /nfs/dbraw/zinc/30/49/92/384304992.db2.gz FFSLNALMGXAGEA-JTQLQIEISA-N 0 0 282.344 2.715 20 5 CFBDRN CCc1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000267134541 384306000 /nfs/dbraw/zinc/30/60/00/384306000.db2.gz WNGRLNKWDRCCGL-UHFFFAOYSA-N 0 0 261.281 2.866 20 5 CFBDRN CCOC[C@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000267138083 384306686 /nfs/dbraw/zinc/30/66/86/384306686.db2.gz XFFJYTXBMPXXKD-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN COc1cc(N[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000277453845 384306716 /nfs/dbraw/zinc/30/67/16/384306716.db2.gz JZQAGJREJOZMDA-PSASIEDQSA-N 0 0 284.287 2.579 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@H]2[C@@H]3CCC[C@@H]32)s1 ZINC000343052729 285100898 /nfs/dbraw/zinc/10/08/98/285100898.db2.gz KLKBGVQGNCFBBV-MTBHXBHISA-N 0 0 276.321 2.810 20 5 CFBDRN CN(C[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000267204182 384322639 /nfs/dbraw/zinc/32/26/39/384322639.db2.gz JZZQHNUNXZEQMY-SECBINFHSA-N 0 0 270.716 2.721 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CCO[C@@H]2C(C)C)c1[N+](=O)[O-] ZINC000267189674 384318273 /nfs/dbraw/zinc/31/82/73/384318273.db2.gz HBFLAXTTXHEBQJ-WCQYABFASA-N 0 0 279.340 2.771 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H](C)C[C@H](C)O ZINC000267021730 384280199 /nfs/dbraw/zinc/28/01/99/384280199.db2.gz JUQVIADAMDZQBD-MNOVXSKESA-N 0 0 282.340 2.560 20 5 CFBDRN COC(=O)C[C@H](C)SCc1ccc([N+](=O)[O-])cc1F ZINC000267027551 384281900 /nfs/dbraw/zinc/28/19/00/384281900.db2.gz KTNKPJNFWMPNPP-QMMMGPOBSA-N 0 0 287.312 2.919 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000267049431 384287725 /nfs/dbraw/zinc/28/77/25/384287725.db2.gz WZBPPZLIHKNCEG-QWRGUYRKSA-N 0 0 287.319 2.845 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000267093892 384297411 /nfs/dbraw/zinc/29/74/11/384297411.db2.gz UBVVRLCOCSNBRT-SSDOTTSWSA-N 0 0 252.245 2.719 20 5 CFBDRN CC1(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000267524578 384408098 /nfs/dbraw/zinc/40/80/98/384408098.db2.gz NOWCJMWDNMLXGD-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1C[C@H](C)[C@H](C)C1 ZINC000267599415 384422495 /nfs/dbraw/zinc/42/24/95/384422495.db2.gz FFKKSOUCJJCSJY-PHIMTYICSA-N 0 0 264.325 2.833 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000267606825 384424674 /nfs/dbraw/zinc/42/46/74/384424674.db2.gz GGPCTAGNKYVHKV-DTWKUNHWSA-N 0 0 265.269 2.738 20 5 CFBDRN CCN(CC(C)(C)O)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000267392471 384377169 /nfs/dbraw/zinc/37/71/69/384377169.db2.gz QQDLCQRAODWIDO-UHFFFAOYSA-N 0 0 295.339 2.528 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@H](C)[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000267677381 384440884 /nfs/dbraw/zinc/44/08/84/384440884.db2.gz GDQOTEMOVBEKEE-GRYCIOLGSA-N 0 0 291.351 2.953 20 5 CFBDRN CCOc1cc(Cn2cc(C)cn2)ccc1[N+](=O)[O-] ZINC000277926160 384442846 /nfs/dbraw/zinc/44/28/46/384442846.db2.gz TVEUDJWMWRIAFJ-UHFFFAOYSA-N 0 0 261.281 2.547 20 5 CFBDRN Cc1ccc(NC(=O)NC2CC(C)C2)cc1[N+](=O)[O-] ZINC000267792219 384466036 /nfs/dbraw/zinc/46/60/36/384466036.db2.gz VELBJFCFTIERNC-UHFFFAOYSA-N 0 0 263.297 2.823 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)[C@H]1C ZINC000267989446 384505053 /nfs/dbraw/zinc/50/50/53/384505053.db2.gz WAZLDMNKNYOZCC-ZJUUUORDSA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CCSC1 ZINC000268021014 384510531 /nfs/dbraw/zinc/51/05/31/384510531.db2.gz YTIZPTHEBFKTQY-SNVBAGLBSA-N 0 0 295.364 2.839 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCc3ccccc3[C@@H]2CO)c1 ZINC000268077142 384524898 /nfs/dbraw/zinc/52/48/98/384524898.db2.gz FHVPVOZSHOXFMD-KRWDZBQOSA-N 0 0 298.342 2.687 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCc3ccccc3[C@H]2CO)c1 ZINC000268077143 384525759 /nfs/dbraw/zinc/52/57/59/384525759.db2.gz FHVPVOZSHOXFMD-QGZVFWFLSA-N 0 0 298.342 2.687 20 5 CFBDRN CC(C)OCCCN(C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000268144663 384542287 /nfs/dbraw/zinc/54/22/87/384542287.db2.gz UVRFAHCCOTYGPN-UHFFFAOYSA-N 0 0 298.314 2.621 20 5 CFBDRN CCOC(=O)c1cc(N[C@H](C)CSC)ccc1[N+](=O)[O-] ZINC000268146080 384542842 /nfs/dbraw/zinc/54/28/42/384542842.db2.gz DLUAUHBPQPLQAA-SECBINFHSA-N 0 0 298.364 2.935 20 5 CFBDRN COc1cc(NC[C@H](C)C[C@H](C)O)c([N+](=O)[O-])cc1F ZINC000268131350 384539456 /nfs/dbraw/zinc/53/94/56/384539456.db2.gz ASODFIRJSARSDC-BDAKNGLRSA-N 0 0 286.303 2.561 20 5 CFBDRN CO[C@@H](C)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000359946426 220317247 /nfs/dbraw/zinc/31/72/47/220317247.db2.gz JYALSCADBKNJNI-ZETCQYMHSA-N 0 0 269.688 2.633 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000268137144 384541562 /nfs/dbraw/zinc/54/15/62/384541562.db2.gz PAVCHWORSOUVOI-WPRPVWTQSA-N 0 0 268.338 2.965 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000268238808 384575359 /nfs/dbraw/zinc/57/53/59/384575359.db2.gz GYCHEOMFQPHWRZ-LLVKDONJSA-N 0 0 294.355 2.525 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)O1 ZINC000268277591 384589507 /nfs/dbraw/zinc/58/95/07/384589507.db2.gz UZNAZWRBUBMTIC-SKDRFNHKSA-N 0 0 282.271 2.630 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)O1 ZINC000268277585 384589705 /nfs/dbraw/zinc/58/97/05/384589705.db2.gz UZNAZWRBUBMTIC-CABZTGNLSA-N 0 0 282.271 2.630 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)N(C)Cc2ccccc2[N+](=O)[O-])O1 ZINC000268370538 384617261 /nfs/dbraw/zinc/61/72/61/384617261.db2.gz VZMGFTADCRPHKC-OCCSQVGLSA-N 0 0 292.335 2.511 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CC[C@H](CO)CC2)c(Cl)c1 ZINC000268304126 384596300 /nfs/dbraw/zinc/59/63/00/384596300.db2.gz ZDLHOIJXTKKBAO-KYZUINATSA-N 0 0 285.731 2.606 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(C2)OCc2ccccc23)cn1 ZINC000350828618 285131379 /nfs/dbraw/zinc/13/13/79/285131379.db2.gz XAPCCKRPWMTSEG-MRXNPFEDSA-N 0 0 297.314 2.626 20 5 CFBDRN CCN(C[C@H](O)c1cccc([N+](=O)[O-])c1)CC(F)(F)F ZINC000269649182 384819244 /nfs/dbraw/zinc/81/92/44/384819244.db2.gz LWHSBNDWWZKFHO-NSHDSACASA-N 0 0 292.257 2.512 20 5 CFBDRN Cc1c(CC(=O)N(C)CC(C)C)cccc1[N+](=O)[O-] ZINC000269663934 384825132 /nfs/dbraw/zinc/82/51/32/384825132.db2.gz XEZINXUXMULFBQ-UHFFFAOYSA-N 0 0 264.325 2.560 20 5 CFBDRN Cc1noc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)c1C ZINC000269684502 384835096 /nfs/dbraw/zinc/83/50/96/384835096.db2.gz NJYOLQPEJFAFSJ-UHFFFAOYSA-N 0 0 289.291 2.689 20 5 CFBDRN CCOC(=O)CSc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000280063442 384870888 /nfs/dbraw/zinc/87/08/88/384870888.db2.gz OZXGCNWGBDYEPW-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN CS[C@@H](C)CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000269816958 384874217 /nfs/dbraw/zinc/87/42/17/384874217.db2.gz HORYBKZAWUVAFD-JTQLQIEISA-N 0 0 296.392 2.740 20 5 CFBDRN CS[C@H](C)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000269816973 384874676 /nfs/dbraw/zinc/87/46/76/384874676.db2.gz HQGSOJQWFTYAHN-MRVPVSSYSA-N 0 0 294.332 2.822 20 5 CFBDRN C[C@H](O)C[C@H]1CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000269840064 384878039 /nfs/dbraw/zinc/87/80/39/384878039.db2.gz HNFUFUIMGGWXST-GXSJLCMTSA-N 0 0 284.743 2.988 20 5 CFBDRN Cc1cc(NC[C@@]2(O)CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000269863690 384885368 /nfs/dbraw/zinc/88/53/68/384885368.db2.gz LGPOWGWMIGQTOI-INIZCTEOSA-N 0 0 299.330 2.544 20 5 CFBDRN Cc1ccncc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000269718353 384849656 /nfs/dbraw/zinc/84/96/56/384849656.db2.gz SQZMXXWXODCQSA-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN CCOCCO[C@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000269753532 384861319 /nfs/dbraw/zinc/86/13/19/384861319.db2.gz WKLCZWNJGVETJP-ZDUSSCGKSA-N 0 0 294.351 2.535 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)Cc1cccs1 ZINC000280133136 384897750 /nfs/dbraw/zinc/89/77/50/384897750.db2.gz YCGWGBDWWHKQBR-UHFFFAOYSA-N 0 0 280.353 2.818 20 5 CFBDRN Cc1cc(N2CCC[C@H]2C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000269910698 384901602 /nfs/dbraw/zinc/90/16/02/384901602.db2.gz VVRMDHDLQKAOFP-NEPJUHHUSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000269976716 384926984 /nfs/dbraw/zinc/92/69/84/384926984.db2.gz MIDYWSCIBBSEBO-FZMZJTMJSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@H]1CCC[C@H]1O ZINC000269977731 384927253 /nfs/dbraw/zinc/92/72/53/384927253.db2.gz MOQDSWIKODDKJP-BXUZGUMPSA-N 0 0 264.325 2.500 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N(C)C1CCC1 ZINC000280230120 384935839 /nfs/dbraw/zinc/93/58/39/384935839.db2.gz AZMAXMORJTYILP-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CC[C@@](C)(OC)C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000280450278 385021679 /nfs/dbraw/zinc/02/16/79/385021679.db2.gz WFHLJNMTLQYGCC-CQSZACIVSA-N 0 0 281.308 2.762 20 5 CFBDRN O=c1[nH]c(N2CCCCCC2)nc2ccc([N+](=O)[O-])cc12 ZINC000280931612 285148446 /nfs/dbraw/zinc/14/84/46/285148446.db2.gz HJRUIAZCQAXYGM-UHFFFAOYSA-N 0 0 288.307 2.624 20 5 CFBDRN Cc1ccnc(SC2CCOCC2)c1[N+](=O)[O-] ZINC000270227181 385023163 /nfs/dbraw/zinc/02/31/63/385023163.db2.gz UPGKTZVPIRERPS-UHFFFAOYSA-N 0 0 254.311 2.569 20 5 CFBDRN Cc1nonc1CSCc1ccc([N+](=O)[O-])cc1 ZINC000270056244 384962249 /nfs/dbraw/zinc/96/22/49/384962249.db2.gz WCVRZVCZQHXOCS-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN CC[C@@](C)(OC)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000280291088 384962503 /nfs/dbraw/zinc/96/25/03/384962503.db2.gz MSPGPYZDWKYBCB-CYBMUJFWSA-N 0 0 277.280 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3C[C@@H]4C[C@H]3CS4)ccc2c1 ZINC000413460619 285151005 /nfs/dbraw/zinc/15/10/05/285151005.db2.gz SWVALBIFPPUVQL-RYUDHWBXSA-N 0 0 287.344 2.837 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000280506472 385039518 /nfs/dbraw/zinc/03/95/18/385039518.db2.gz PSASWKYMZRMIML-PELKAZGASA-N 0 0 297.742 2.647 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H](C)COC)c([N+](=O)[O-])c1 ZINC000280707641 385122673 /nfs/dbraw/zinc/12/26/73/385122673.db2.gz KVWWKNDOUMDMKZ-SNVBAGLBSA-N 0 0 280.324 2.882 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)CC(C)(C)CO ZINC000270690571 385192249 /nfs/dbraw/zinc/19/22/49/385192249.db2.gz XKQHQOQCHUPLTH-UHFFFAOYSA-N 0 0 286.759 2.699 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CC[C@H](N2CC=CC2)C1 ZINC000292524373 285155159 /nfs/dbraw/zinc/15/51/59/285155159.db2.gz PHXUJXHWNVFJLX-NSHDSACASA-N 0 0 293.754 2.699 20 5 CFBDRN CCc1ccc(OCc2ccc(OC)nn2)c([N+](=O)[O-])c1 ZINC000282132076 385220386 /nfs/dbraw/zinc/22/03/86/385220386.db2.gz CPZZSQZNBWDJGU-UHFFFAOYSA-N 0 0 289.291 2.535 20 5 CFBDRN Cn1cc2c(n1)CCC[C@H]2Nc1ccc(F)cc1[N+](=O)[O-] ZINC000282152930 385228393 /nfs/dbraw/zinc/22/83/93/385228393.db2.gz CABDWKDRBKJGGA-LLVKDONJSA-N 0 0 290.298 2.957 20 5 CFBDRN CC(C)CCN(C(=O)c1n[nH]cc1[N+](=O)[O-])C1CCCC1 ZINC000270716816 385202798 /nfs/dbraw/zinc/20/27/98/385202798.db2.gz CPOKVWMWUQBYCT-UHFFFAOYSA-N 0 0 294.355 2.749 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000282432644 385309539 /nfs/dbraw/zinc/30/95/39/385309539.db2.gz MMCURDAPELQYKD-NXEZZACHSA-N 0 0 296.298 2.796 20 5 CFBDRN COC(=O)/C=C/c1ccc(NOCC(C)C)c([N+](=O)[O-])c1 ZINC000271037406 385314301 /nfs/dbraw/zinc/31/43/01/385314301.db2.gz MFPWLRIKWCICBO-FNORWQNLSA-N 0 0 294.307 2.781 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CCO[C@H](C)C1 ZINC000282468585 385319617 /nfs/dbraw/zinc/31/96/17/385319617.db2.gz WSXHDSTXVIGRGU-PSASIEDQSA-N 0 0 296.298 2.796 20 5 CFBDRN CN(CC1CC1)c1c(Br)cncc1[N+](=O)[O-] ZINC000270884268 385258501 /nfs/dbraw/zinc/25/85/01/385258501.db2.gz FHQSWBJAEQKOTJ-UHFFFAOYSA-N 0 0 286.129 2.599 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@H]2CCCO[C@H]2C)cc1[N+](=O)[O-] ZINC000282285695 385270518 /nfs/dbraw/zinc/27/05/18/385270518.db2.gz BQIKQZZGCOWMIU-UWVGGRQHSA-N 0 0 296.298 2.796 20 5 CFBDRN Cc1cn(Cc2cccc(OC(F)F)c2)nc1[N+](=O)[O-] ZINC000282329746 385283605 /nfs/dbraw/zinc/28/36/05/385283605.db2.gz UWGDZSVYBSDFOF-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)[C@@H](C)CCSC ZINC000289028785 197217903 /nfs/dbraw/zinc/21/79/03/197217903.db2.gz YKQOZLOGHGXPCR-VIFPVBQESA-N 0 0 286.401 2.697 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000282559783 385341945 /nfs/dbraw/zinc/34/19/45/385341945.db2.gz FMKYAPSVCMIVPU-VHSXEESVSA-N 0 0 299.710 2.973 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCC2CCCC2)c1 ZINC000282586485 385346996 /nfs/dbraw/zinc/34/69/96/385346996.db2.gz DIMNXGVUPQCWAO-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000282607128 385351811 /nfs/dbraw/zinc/35/18/11/385351811.db2.gz JBROGBYEARYWEY-BDAKNGLRSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H](C)CC(C)C ZINC000281185904 195139830 /nfs/dbraw/zinc/13/98/30/195139830.db2.gz BFTKQKSYJJPCIR-JTQLQIEISA-N 0 0 252.314 2.747 20 5 CFBDRN Cc1nc(Cn2cc(Cl)cc([N+](=O)[O-])c2=O)sc1C ZINC000271184887 385367645 /nfs/dbraw/zinc/36/76/45/385367645.db2.gz NAYBKAIKSAGHEQ-UHFFFAOYSA-N 0 0 299.739 2.532 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](CC)C2)c1 ZINC000282905337 385409225 /nfs/dbraw/zinc/40/92/25/385409225.db2.gz UTBLHCDAEUIOPI-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000271948500 385409394 /nfs/dbraw/zinc/40/93/94/385409394.db2.gz BDNFPPBQUVVEIJ-KWQFWETISA-N 0 0 298.364 2.652 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2CC(c3ccccc3)C2)nc1 ZINC000282911163 385409433 /nfs/dbraw/zinc/40/94/33/385409433.db2.gz VGPAZTWHALUNQI-UHFFFAOYSA-N 0 0 284.319 2.991 20 5 CFBDRN Cc1ccc(OC[C@@H](O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000282928069 385412543 /nfs/dbraw/zinc/41/25/43/385412543.db2.gz GRVOFMATFOMINJ-GFCCVEGCSA-N 0 0 253.298 2.689 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NC1CCCC1 ZINC000282942253 385413352 /nfs/dbraw/zinc/41/33/52/385413352.db2.gz IXDUTLQIMJVOIH-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN C[C@@H](NC(=O)CCc1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000272113168 385451392 /nfs/dbraw/zinc/45/13/92/385451392.db2.gz OBQALAOFAMIULA-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@H](NC(=O)CCc1ccncc1)c1ccccc1[N+](=O)[O-] ZINC000272113175 385451597 /nfs/dbraw/zinc/45/15/97/385451597.db2.gz OBQALAOFAMIULA-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000272114564 385451616 /nfs/dbraw/zinc/45/16/16/385451616.db2.gz PRPARXQQSKICDR-MRVPVSSYSA-N 0 0 284.262 2.601 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1C[C@@]12CCOc1ccccc12 ZINC000361827572 285167374 /nfs/dbraw/zinc/16/73/74/285167374.db2.gz ADRLRUXFDWRMOO-GOEBONIOSA-N 0 0 297.314 2.895 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@@H]2CCCCO2)ncc1[N+](=O)[O-] ZINC000272023004 385428171 /nfs/dbraw/zinc/42/81/71/385428171.db2.gz PUCWQVWRFZHBOF-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@@H]1CC=CCC1 ZINC000283069273 385431544 /nfs/dbraw/zinc/43/15/44/385431544.db2.gz CXXPEOXJLYJKRV-CQSZACIVSA-N 0 0 288.347 2.952 20 5 CFBDRN CCC(CC)(CCO)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000283070630 385432342 /nfs/dbraw/zinc/43/23/42/385432342.db2.gz KCIGIWZQPXXNLK-UHFFFAOYSA-N 0 0 294.351 2.574 20 5 CFBDRN CN(Cc1ncc(Cl)n1C)c1ccc([N+](=O)[O-])cc1 ZINC000272190660 385470032 /nfs/dbraw/zinc/47/00/32/385470032.db2.gz FIHJIRYIDZINJD-UHFFFAOYSA-N 0 0 280.715 2.618 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC2(C)C)c1 ZINC000283541594 385475236 /nfs/dbraw/zinc/47/52/36/385475236.db2.gz SIBBQPFXJQFJBR-LBPRGKRZSA-N 0 0 278.308 2.522 20 5 CFBDRN C/C(=C\C(=O)N(C)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000283665099 385486366 /nfs/dbraw/zinc/48/63/66/385486366.db2.gz RAUIWYMRFGZLAV-ZRDIBKRKSA-N 0 0 288.347 2.952 20 5 CFBDRN CCCN(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1CC ZINC000283367779 385459262 /nfs/dbraw/zinc/45/92/62/385459262.db2.gz DUEHXFSIYBBCFQ-UHFFFAOYSA-N 0 0 289.339 2.719 20 5 CFBDRN Cc1cc(N(C)Cc2ncc(Cl)n2C)ccc1[N+](=O)[O-] ZINC000272265350 385493065 /nfs/dbraw/zinc/49/30/65/385493065.db2.gz NCOPQIHNZUJDNZ-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN Nc1ccc(NCC2(O)CCCCCC2)c([N+](=O)[O-])c1 ZINC000283766248 385497103 /nfs/dbraw/zinc/49/71/03/385497103.db2.gz NZMBSTFZYVNRCC-UHFFFAOYSA-N 0 0 279.340 2.674 20 5 CFBDRN CC(C)(C)CCn1cc(Cl)cc([N+](=O)[O-])c1=O ZINC000283783163 385499155 /nfs/dbraw/zinc/49/91/55/385499155.db2.gz DOTCBJQKMPBUOQ-UHFFFAOYSA-N 0 0 258.705 2.846 20 5 CFBDRN CCOC1(C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCCC1 ZINC000283816892 385503037 /nfs/dbraw/zinc/50/30/37/385503037.db2.gz CXPUDKDZJYWHMS-UHFFFAOYSA-N 0 0 292.335 2.907 20 5 CFBDRN Cc1cc(NC(=O)C2SCCS2)ccc1[N+](=O)[O-] ZINC000272310434 385506361 /nfs/dbraw/zinc/50/63/61/385506361.db2.gz SZNVMJFORDSGJY-UHFFFAOYSA-N 0 0 284.362 2.648 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283962616 385518049 /nfs/dbraw/zinc/51/80/49/385518049.db2.gz LWCPITGBQDXIHV-RKDXNWHRSA-N 0 0 295.314 2.719 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(Cc3ccccc3)CC2)nc1 ZINC000273188941 285172393 /nfs/dbraw/zinc/17/23/93/285172393.db2.gz PKWMSLNYLUNSNR-UHFFFAOYSA-N 0 0 298.346 2.844 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3c2cccc3Cl)nc1 ZINC000302243554 285178335 /nfs/dbraw/zinc/17/83/35/285178335.db2.gz ZCZMJLBJFPNEIQ-UHFFFAOYSA-N 0 0 276.683 2.732 20 5 CFBDRN Cc1cnc(S[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000272417323 385532327 /nfs/dbraw/zinc/53/23/27/385532327.db2.gz DJRCGBGMZBCQIC-SECBINFHSA-N 0 0 254.311 2.569 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CCCC1 ZINC000284161285 385541999 /nfs/dbraw/zinc/54/19/99/385541999.db2.gz XFAOKHMZERWIBL-QMMMGPOBSA-N 0 0 295.314 2.625 20 5 CFBDRN Cc1cc(N[C@@H](C)c2nnc3n2CCC3)ccc1[N+](=O)[O-] ZINC000272361592 385518933 /nfs/dbraw/zinc/51/89/33/385518933.db2.gz ZHQWLDQZVMLFGT-JTQLQIEISA-N 0 0 287.323 2.614 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@@H](C)[S@@]1=O ZINC000286234111 385575437 /nfs/dbraw/zinc/57/54/37/385575437.db2.gz DWVICLDMJWAXTN-YZRASEIHSA-N 0 0 296.392 2.639 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCc3c[nH]nc32)c(Cl)c1 ZINC000268805004 285182403 /nfs/dbraw/zinc/18/24/03/285182403.db2.gz FKSZUQLESQPJEU-JTQLQIEISA-N 0 0 293.714 2.856 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC=C(F)C1 ZINC000272540715 385561121 /nfs/dbraw/zinc/56/11/21/385561121.db2.gz GTFRDLCACXTLJM-UHFFFAOYSA-N 0 0 265.244 2.686 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCc3cc(F)ccc32)nc1 ZINC000302135864 285183235 /nfs/dbraw/zinc/18/32/35/285183235.db2.gz AZAJPQMLECKVSN-LBPRGKRZSA-N 0 0 274.255 2.623 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2COc3ccc(F)cc32)s1 ZINC000337021877 285183689 /nfs/dbraw/zinc/18/36/89/285183689.db2.gz SEPPISLUQAFZNK-QMMMGPOBSA-N 0 0 281.268 2.736 20 5 CFBDRN CO[C@@H]1[C@H]2C[C@H]3CN(c4ccc([N+](=O)[O-])cc4F)[C@H]1[C@H]3C2 ZINC000286179250 385567659 /nfs/dbraw/zinc/56/76/59/385567659.db2.gz AJFZUNWQHVDMPJ-NOORDTQPSA-N 0 0 292.310 2.594 20 5 CFBDRN CC[C@H](C)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286187532 385568760 /nfs/dbraw/zinc/56/87/60/385568760.db2.gz BKDWBCWANGTOBB-LURJTMIESA-N 0 0 252.299 2.810 20 5 CFBDRN Cc1nc(N2CCCCC[C@H]2C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000286204510 385571925 /nfs/dbraw/zinc/57/19/25/385571925.db2.gz BZYXUQMSSXSKSU-AAEUAGOBSA-N 0 0 293.367 2.818 20 5 CFBDRN Cc1nc(N2CCCCC[C@H]2C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000286206104 385571993 /nfs/dbraw/zinc/57/19/93/385571993.db2.gz BZYXUQMSSXSKSU-YPMHNXCESA-N 0 0 293.367 2.818 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1NC1CCC1 ZINC000231629583 285187330 /nfs/dbraw/zinc/18/73/30/285187330.db2.gz MLJVLDXAIFBWNG-UHFFFAOYSA-N 0 0 272.102 2.717 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc(OCCCO)cc2)s1 ZINC000286379040 385602715 /nfs/dbraw/zinc/60/27/15/385602715.db2.gz LYADLWQITOWBAE-UHFFFAOYSA-N 0 0 295.320 2.556 20 5 CFBDRN CO[C@H](C)CN(C)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000286252080 385577825 /nfs/dbraw/zinc/57/78/25/385577825.db2.gz DNVSLGRXXMDQHU-SNVBAGLBSA-N 0 0 275.308 2.614 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCOC[C@@H]1C ZINC000286264616 385579907 /nfs/dbraw/zinc/57/99/07/385579907.db2.gz FTQLRFVWLVPFJO-RYUDHWBXSA-N 0 0 264.325 2.988 20 5 CFBDRN Cc1cnc(NC[C@@H]2COc3ccccc32)c([N+](=O)[O-])c1 ZINC000288783730 197164837 /nfs/dbraw/zinc/16/48/37/197164837.db2.gz DQXXOTFYNJMGEL-LLVKDONJSA-N 0 0 285.303 2.886 20 5 CFBDRN Cc1cc(NC[C@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000286312620 385587291 /nfs/dbraw/zinc/58/72/91/385587291.db2.gz JBQXPNDPBGIJIV-VXGBXAGGSA-N 0 0 264.325 2.988 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000286426675 385617402 /nfs/dbraw/zinc/61/74/02/385617402.db2.gz HTKHYWMOPQFBTI-UHFFFAOYSA-N 0 0 272.326 2.706 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCc2c(F)ccc(F)c2C1 ZINC000360984327 285188779 /nfs/dbraw/zinc/18/87/79/285188779.db2.gz JIUGCEKQCKMTIY-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN CN(C(=O)CC1CCCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000288735766 197155280 /nfs/dbraw/zinc/15/52/80/197155280.db2.gz GYSKULYHSSMYOM-UHFFFAOYSA-N 0 0 277.324 2.923 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC(C)(C)C[C@H]1C ZINC000412763856 533134293 /nfs/dbraw/zinc/13/42/93/533134293.db2.gz GENDLLBEDIQTHX-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc(NC(=O)CC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000272844734 385631260 /nfs/dbraw/zinc/63/12/60/385631260.db2.gz UNCUSITUAPHFBD-NSHDSACASA-N 0 0 294.307 2.501 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)CC1CC1 ZINC000427607171 533134961 /nfs/dbraw/zinc/13/49/61/533134961.db2.gz RXIYRLDVAGDAFI-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN CCN(CC1CC1)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272762610 385607138 /nfs/dbraw/zinc/60/71/38/385607138.db2.gz AQODVAOWUXDTEP-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CC(C)c1ccoc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288715916 197151069 /nfs/dbraw/zinc/15/10/69/197151069.db2.gz FOWONGAGZPADDA-UHFFFAOYSA-N 0 0 289.291 2.983 20 5 CFBDRN CC(C)CC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288712104 197150010 /nfs/dbraw/zinc/15/00/10/197150010.db2.gz CIRJWWLOQIIQQH-UHFFFAOYSA-N 0 0 264.325 2.642 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CCO1 ZINC000286527777 385641435 /nfs/dbraw/zinc/64/14/35/385641435.db2.gz CAIWLFJZCVGCJJ-HNNXBMFYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(=O)c1cc(N2CCOC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000286539551 385643462 /nfs/dbraw/zinc/64/34/62/385643462.db2.gz PMEVWVCMWVOPPB-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCC2(C)C)c([N+](=O)[O-])c1 ZINC000286532390 385643667 /nfs/dbraw/zinc/64/36/67/385643667.db2.gz VCUOQEUSYUZKQY-LBPRGKRZSA-N 0 0 277.324 2.555 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000286687110 385686527 /nfs/dbraw/zinc/68/65/27/385686527.db2.gz NAHBEMZUQZILGJ-ZDUSSCGKSA-N 0 0 291.351 2.625 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC=C(c2ccccc2)C1 ZINC000286723119 385695579 /nfs/dbraw/zinc/69/55/79/385695579.db2.gz LXQPJVHWVQLAKW-UHFFFAOYSA-N 0 0 284.319 2.622 20 5 CFBDRN CCC(C)(C)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000274037958 385705589 /nfs/dbraw/zinc/70/55/89/385705589.db2.gz UOPFAWVRNZFPDS-UHFFFAOYSA-N 0 0 276.296 2.651 20 5 CFBDRN COc1nc(C)cc(C)c1COc1cccnc1[N+](=O)[O-] ZINC000286789736 385710324 /nfs/dbraw/zinc/71/03/24/385710324.db2.gz ZOYQUHSYQCBETD-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000286804365 385713099 /nfs/dbraw/zinc/71/30/99/385713099.db2.gz SVVLNQRQDZAGQM-ZDUSSCGKSA-N 0 0 276.336 2.751 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1ccccc1 ZINC000288659212 197139477 /nfs/dbraw/zinc/13/94/77/197139477.db2.gz TYRATNAXLBZZFZ-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN O=C(NC[C@@H]1CCCSC1)c1ccc([N+](=O)[O-])s1 ZINC000286925326 385740857 /nfs/dbraw/zinc/74/08/57/385740857.db2.gz VBVQCKOKORIAEN-QMMMGPOBSA-N 0 0 286.378 2.529 20 5 CFBDRN CC1CC(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])C1 ZINC000286952010 385748465 /nfs/dbraw/zinc/74/84/65/385748465.db2.gz HYQGDGUKHWRKCN-UHFFFAOYSA-N 0 0 291.351 2.577 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000287008426 385760661 /nfs/dbraw/zinc/76/06/61/385760661.db2.gz QDLJWIHVDUNMSV-LLVKDONJSA-N 0 0 263.297 2.905 20 5 CFBDRN CC[C@H]1Cc2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000274340785 385771414 /nfs/dbraw/zinc/77/14/14/385771414.db2.gz PTFYVHWBNOFNLF-ZDUSSCGKSA-N 0 0 299.330 2.900 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC(CCCO)CC1 ZINC000287099294 385781419 /nfs/dbraw/zinc/78/14/19/385781419.db2.gz ZCBYFOKNMBWMFT-UHFFFAOYSA-N 0 0 282.315 2.723 20 5 CFBDRN CCC(=O)c1ccc(NCC2=CCCOC2)c([N+](=O)[O-])c1 ZINC000288611697 197127125 /nfs/dbraw/zinc/12/71/25/197127125.db2.gz UTLFWHFUJVXRQX-UHFFFAOYSA-N 0 0 290.319 2.946 20 5 CFBDRN CCCCN(C)C(=O)CSc1ncc(C)cc1[N+](=O)[O-] ZINC000274144262 385726818 /nfs/dbraw/zinc/72/68/18/385726818.db2.gz JVKAEAHZGQCPAL-UHFFFAOYSA-N 0 0 297.380 2.649 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000288602050 197125138 /nfs/dbraw/zinc/12/51/38/197125138.db2.gz MOXUXAJUSDWFRD-SSDOTTSWSA-N 0 0 276.214 2.584 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000287268899 385816905 /nfs/dbraw/zinc/81/69/05/385816905.db2.gz LGCWJVZVWRISDT-MRVPVSSYSA-N 0 0 290.323 2.825 20 5 CFBDRN COc1ccncc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000287292795 385820122 /nfs/dbraw/zinc/82/01/22/385820122.db2.gz MHLJZQQATBJQDG-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN COCC1=CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)CC1 ZINC000274608339 385832612 /nfs/dbraw/zinc/83/26/12/385832612.db2.gz GXMNGEXLDAEBAQ-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(F)(F)F ZINC000288568574 197114705 /nfs/dbraw/zinc/11/47/05/197114705.db2.gz JSAIHHCVDDFESL-YFKPBYRVSA-N 0 0 296.632 2.929 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000274890461 385890877 /nfs/dbraw/zinc/89/08/77/385890877.db2.gz LTANRZSLSMGHPO-NXEZZACHSA-N 0 0 266.272 2.965 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000274990445 385908201 /nfs/dbraw/zinc/90/82/01/385908201.db2.gz YTYLSIQVAUYSHA-HOCLYGCPSA-N 0 0 290.363 2.936 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCC[C@@H]1CCO ZINC000275016880 385913010 /nfs/dbraw/zinc/91/30/10/385913010.db2.gz KXGPSZDHQYKTGL-MNOVXSKESA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CCC[C@H]1CCO ZINC000275047629 385920889 /nfs/dbraw/zinc/92/08/89/385920889.db2.gz SCNOIAHCSZRBEG-FZMZJTMJSA-N 0 0 264.325 2.866 20 5 CFBDRN COCCC1(CNc2ncc([N+](=O)[O-])cn2)CCCCC1 ZINC000274735663 385859506 /nfs/dbraw/zinc/85/95/06/385859506.db2.gz DCUHISYLAYUSGB-UHFFFAOYSA-N 0 0 294.355 2.784 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC=C(COC)CC1 ZINC000274754764 385863799 /nfs/dbraw/zinc/86/37/99/385863799.db2.gz QBRYEIRBLWMUMD-UHFFFAOYSA-N 0 0 292.335 2.524 20 5 CFBDRN CC(=O)CCCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000274813726 385877299 /nfs/dbraw/zinc/87/72/99/385877299.db2.gz PEECQVKDQBNUQD-NSHDSACASA-N 0 0 278.308 2.531 20 5 CFBDRN CC(C)(C)n1cc(/C=C/c2ccc([N+](=O)[O-])cn2)nn1 ZINC000276618748 385994201 /nfs/dbraw/zinc/99/42/01/385994201.db2.gz KJAIWNXVQBHZDU-SNAWJCMRSA-N 0 0 273.296 2.507 20 5 CFBDRN C[C@](O)(CNc1ccc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000276829441 386054941 /nfs/dbraw/zinc/05/49/41/386054941.db2.gz UYZAAKIWTLBKRZ-AWEZNQCLSA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1cc(NC(=O)NC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000277051997 386117217 /nfs/dbraw/zinc/11/72/17/386117217.db2.gz IKYDJCLXKLSEKI-UHFFFAOYSA-N 0 0 285.250 2.822 20 5 CFBDRN COC1(CC(=O)OCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000276877488 386067877 /nfs/dbraw/zinc/06/78/77/386067877.db2.gz UTCFFDGMKUQDSM-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN O=[N+]([O-])c1c(SC[C@H]2CCCO2)nc2sccn21 ZINC000276902495 386074152 /nfs/dbraw/zinc/07/41/52/386074152.db2.gz LZSQXCKSELPEMK-SSDOTTSWSA-N 0 0 285.350 2.575 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@@H](O)c2ccccc2)ncc1[N+](=O)[O-] ZINC000288387389 197064603 /nfs/dbraw/zinc/06/46/03/197064603.db2.gz ZZMLBQLTGVPINX-XHDPSFHLSA-N 0 0 287.319 2.832 20 5 CFBDRN O=[N+]([O-])c1cc(OCCOCC(F)F)ccc1Cl ZINC000288382863 197063771 /nfs/dbraw/zinc/06/37/71/197063771.db2.gz IZTFNXDOCNCKHD-UHFFFAOYSA-N 0 0 281.642 2.909 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1CCC[C@H]1O ZINC000277086660 386125431 /nfs/dbraw/zinc/12/54/31/386125431.db2.gz KITPYJMPCLSJGE-MWLCHTKSSA-N 0 0 256.689 2.574 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCC1(C)C ZINC000288345560 197055166 /nfs/dbraw/zinc/05/51/66/197055166.db2.gz FZLIXOKJKMGDHH-VIFPVBQESA-N 0 0 252.318 2.720 20 5 CFBDRN CCC[C@@]1(NC(=O)c2cc([N+](=O)[O-])ccc2C)CCOC1 ZINC000287905952 196967005 /nfs/dbraw/zinc/96/70/05/196967005.db2.gz PKMWUWFDZXHJFK-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000425410572 533196982 /nfs/dbraw/zinc/19/69/82/533196982.db2.gz BJCXKOWLJUEFQN-BXKDBHETSA-N 0 0 275.308 2.508 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000287558234 196885239 /nfs/dbraw/zinc/88/52/39/196885239.db2.gz WOICEFDXJTVDLQ-YMTOWFKASA-N 0 0 280.324 2.616 20 5 CFBDRN CCCCN(C)C(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000281264856 195171792 /nfs/dbraw/zinc/17/17/92/195171792.db2.gz PHKUYSKCQDXDLN-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000267058862 285273619 /nfs/dbraw/zinc/27/36/19/285273619.db2.gz OZRNUDWAZFLGKI-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CC[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)CCCO1 ZINC000281382036 195219685 /nfs/dbraw/zinc/21/96/85/195219685.db2.gz PEJYVYKRWUITDZ-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN Cc1cc(N2CCCC[C@@H]2c2cc[nH]n2)ncc1[N+](=O)[O-] ZINC000288888821 291932849 /nfs/dbraw/zinc/93/28/49/291932849.db2.gz HHPPIFBNHMBHCU-GFCCVEGCSA-N 0 0 287.323 2.753 20 5 CFBDRN Cc1cc(N2CCOC[C@H]2C)c2cccc([N+](=O)[O-])c2n1 ZINC000301981419 291937823 /nfs/dbraw/zinc/93/78/23/291937823.db2.gz BAZKOWIWIPTZOZ-LLVKDONJSA-N 0 0 287.319 2.677 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cncc(C)c1 ZINC000176150079 533219854 /nfs/dbraw/zinc/21/98/54/533219854.db2.gz KQWKYCMUTQDASO-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1ccc(C(=O)NCCSC(C)C)cc1[N+](=O)[O-] ZINC000286633182 196662202 /nfs/dbraw/zinc/66/22/02/196662202.db2.gz HFXXSICXXQQKRV-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCC[C@H](SC)C2)c1[N+](=O)[O-] ZINC000094161571 184758127 /nfs/dbraw/zinc/75/81/27/184758127.db2.gz GYJLFOMNNJYIIQ-ZJUUUORDSA-N 0 0 298.412 2.977 20 5 CFBDRN CC1=CCCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000281702437 195345138 /nfs/dbraw/zinc/34/51/38/195345138.db2.gz CRIOVFQXFZKLGM-RMKNXTFCSA-N 0 0 272.304 2.787 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cc(C2CC2)no1 ZINC000281642726 195318621 /nfs/dbraw/zinc/31/86/21/195318621.db2.gz MPWRYWLZXRTREV-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN CCCN(C(=O)C(=O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000281818795 195394357 /nfs/dbraw/zinc/39/43/57/195394357.db2.gz MUFJOTQJHUBTKY-UHFFFAOYSA-N 0 0 292.335 2.953 20 5 CFBDRN Cc1cn(Cc2cccc(OC(C)C)c2)nc1[N+](=O)[O-] ZINC000282348057 195582421 /nfs/dbraw/zinc/58/24/21/195582421.db2.gz WLPHEGTZIIDLQD-UHFFFAOYSA-N 0 0 275.308 2.935 20 5 CFBDRN CC(C)OC(=O)[C@H](C)N(C)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000283238949 195824419 /nfs/dbraw/zinc/82/44/19/195824419.db2.gz UQSQRARXRBRABU-JTQLQIEISA-N 0 0 298.314 2.506 20 5 CFBDRN C/C=C(\C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283624011 195889464 /nfs/dbraw/zinc/88/94/64/195889464.db2.gz OLPINOWBQDHENF-NYYWCZLTSA-N 0 0 262.309 2.562 20 5 CFBDRN CC(C)NC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000283684676 195900177 /nfs/dbraw/zinc/90/01/77/195900177.db2.gz GHVQRBXMAGOFBD-UHFFFAOYSA-N 0 0 257.677 2.778 20 5 CFBDRN CC(=O)c1cc(N[C@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000283746532 195912501 /nfs/dbraw/zinc/91/25/01/195912501.db2.gz KDBYLUNPRPFECL-LBPRGKRZSA-N 0 0 280.324 2.616 20 5 CFBDRN C[C@@H](C(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000428095099 533311524 /nfs/dbraw/zinc/31/15/24/533311524.db2.gz XKMQZSGTXOMOQA-XFOOOJQKSA-N 0 0 292.310 2.752 20 5 CFBDRN C[C@@H](CCCC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000427957980 533530082 /nfs/dbraw/zinc/53/00/82/533530082.db2.gz LRXLZZDMVHIURS-ZETCQYMHSA-N 0 0 293.245 2.774 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000413557910 533540170 /nfs/dbraw/zinc/54/01/70/533540170.db2.gz GXOLWKZFGPDHGB-OCAPTIKFSA-N 0 0 284.699 2.612 20 5 CFBDRN CSCCCCCNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420613710 533553920 /nfs/dbraw/zinc/55/39/20/533553920.db2.gz SOZUMOYMKHRGKM-UHFFFAOYSA-N 0 0 297.380 2.639 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cn1)c1nc2c(s1)CCC2 ZINC000413391439 533565700 /nfs/dbraw/zinc/56/57/00/533565700.db2.gz GIFJHKVURLJADW-SSDOTTSWSA-N 0 0 291.336 2.503 20 5 CFBDRN Cc1ccc(C(=O)Nc2c([N+](=O)[O-])cnn2C)c(Cl)c1 ZINC000191712722 533605679 /nfs/dbraw/zinc/60/56/79/533605679.db2.gz PAMVAQOEGDCEJJ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cc1F ZINC000175678293 533609001 /nfs/dbraw/zinc/60/90/01/533609001.db2.gz PMPRJJNNKJPWMI-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN C[C@@H](CC[S@](C)=O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000130110420 533652958 /nfs/dbraw/zinc/65/29/58/533652958.db2.gz DGZTWJPRKUIELZ-DCXZOGHSSA-N 0 0 290.772 2.817 20 5 CFBDRN CC(C)COCCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000341773504 130010549 /nfs/dbraw/zinc/01/05/49/130010549.db2.gz FBSQVPSVCHTOHM-GFCCVEGCSA-N 0 0 294.351 2.835 20 5 CFBDRN C[C@@H](NC(=O)COC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000341775070 130010807 /nfs/dbraw/zinc/01/08/07/130010807.db2.gz JAHYULOKTGHQCT-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1cccc(CNc2cccc(C(N)=O)c2[N+](=O)[O-])c1 ZINC000341739854 130014027 /nfs/dbraw/zinc/01/40/27/130014027.db2.gz AIBZHFRENAKMTI-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN CCCO[C@@H]1CCCN(c2ccncc2[N+](=O)[O-])CC1 ZINC000341927647 130157966 /nfs/dbraw/zinc/15/79/66/130157966.db2.gz DHOUYHAOFMWAHF-GFCCVEGCSA-N 0 0 279.340 2.775 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H](O)Cc1ccccc1 ZINC000341983128 130167671 /nfs/dbraw/zinc/16/76/71/130167671.db2.gz MBDQOBQUDVKXPD-GFCCVEGCSA-N 0 0 290.294 2.749 20 5 CFBDRN O=[N+]([O-])c1cccc([C@H](O)CSC[C@@H]2CCCO2)c1 ZINC000191615545 130263770 /nfs/dbraw/zinc/26/37/70/130263770.db2.gz PFXYRNNIMIYRQY-QWHCGFSZSA-N 0 0 283.349 2.540 20 5 CFBDRN C[N@@H+](C/C=C\c1ccccc1[N+](=O)[O-])CCc1cnccn1 ZINC000355361413 130290141 /nfs/dbraw/zinc/29/01/41/130290141.db2.gz HNUIOAODZRBEBJ-XQRVVYSFSA-N 0 0 298.346 2.573 20 5 CFBDRN COc1cc(Cn2ccnc2C(C)C)ccc1[N+](=O)[O-] ZINC000355431851 130304337 /nfs/dbraw/zinc/30/43/37/130304337.db2.gz ILXYJHXGMNCNOX-UHFFFAOYSA-N 0 0 275.308 2.972 20 5 CFBDRN CCc1[nH]ccc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000355433272 130304848 /nfs/dbraw/zinc/30/48/48/130304848.db2.gz GMPACQWHZARGRK-UHFFFAOYSA-N 0 0 277.255 2.877 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000355578174 130317177 /nfs/dbraw/zinc/31/71/77/130317177.db2.gz QCJVIQISEBFGHU-WPRPVWTQSA-N 0 0 298.364 2.510 20 5 CFBDRN Cc1[nH]nc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)c1C ZINC000355674772 130330618 /nfs/dbraw/zinc/33/06/18/130330618.db2.gz OUFAEDHLPWZFGX-UHFFFAOYSA-N 0 0 299.290 2.576 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC(C)(C)c1ccccc1F ZINC000355861652 130350012 /nfs/dbraw/zinc/35/00/12/130350012.db2.gz JJSHQIFMSMOERU-UHFFFAOYSA-N 0 0 292.314 2.857 20 5 CFBDRN COc1ccc(COc2ccc([N+](=O)[O-])c(OC)c2)cn1 ZINC000355928368 130354556 /nfs/dbraw/zinc/35/45/56/130354556.db2.gz DZOBADHQIZRWJO-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCC(=O)C2CCCC2)n1 ZINC000355925886 130354988 /nfs/dbraw/zinc/35/49/88/130354988.db2.gz CZWMLIPCWCXIRF-UHFFFAOYSA-N 0 0 278.308 2.745 20 5 CFBDRN C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000355955630 130358959 /nfs/dbraw/zinc/35/89/59/130358959.db2.gz NLHNGLKCVMLCBD-WDEREUQCSA-N 0 0 293.323 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccn2Cc2nc(CC3CC3)no2)c1 ZINC000355969223 130363703 /nfs/dbraw/zinc/36/37/03/130363703.db2.gz AJLRYPMBVWXUFB-UHFFFAOYSA-N 0 0 298.302 2.933 20 5 CFBDRN Cc1ccc(Cc2noc(-c3ccccc3[N+](=O)[O-])n2)cn1 ZINC000356132678 130377755 /nfs/dbraw/zinc/37/77/55/130377755.db2.gz VOZZABMHKIQYQO-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000356559332 130389910 /nfs/dbraw/zinc/38/99/10/130389910.db2.gz GNAWJYRZFCUWBX-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCC[C@@H](C)F)c1 ZINC000356648047 130391819 /nfs/dbraw/zinc/39/18/19/130391819.db2.gz NVMBNMOVHLVEGJ-MRVPVSSYSA-N 0 0 286.328 2.795 20 5 CFBDRN CCC(C)(C)CNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000192203076 130395094 /nfs/dbraw/zinc/39/50/94/130395094.db2.gz PBOBLTGQKNRSOF-UHFFFAOYSA-N 0 0 278.352 2.998 20 5 CFBDRN CCc1ccc(C(=O)NCC[C@@H](C)F)cc1[N+](=O)[O-] ZINC000356691845 130397923 /nfs/dbraw/zinc/39/79/23/130397923.db2.gz FPJSFDFAYDYBIT-SECBINFHSA-N 0 0 268.288 2.635 20 5 CFBDRN C[C@@H]1CSCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000192269775 130409333 /nfs/dbraw/zinc/40/93/33/130409333.db2.gz XFVXWIXMLVRNSH-JTQLQIEISA-N 0 0 295.364 2.812 20 5 CFBDRN Cc1cc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)n[nH]1 ZINC000357322012 130440285 /nfs/dbraw/zinc/44/02/85/130440285.db2.gz ZZZAOKRZJGCXRM-UHFFFAOYSA-N 0 0 299.290 2.576 20 5 CFBDRN CC(C)[C@H]1OCCC[C@@H]1CNc1ncc([N+](=O)[O-])s1 ZINC000192478759 130456251 /nfs/dbraw/zinc/45/62/51/130456251.db2.gz FHQJRWNUTJLTPE-MWLCHTKSSA-N 0 0 285.369 2.914 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C/c2nc(-c3ccon3)no2)c1 ZINC000357383456 130457200 /nfs/dbraw/zinc/45/72/00/130457200.db2.gz WHTOTULKQWSRHG-SNAWJCMRSA-N 0 0 284.231 2.803 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@@H]2CCCCO2)s1 ZINC000192530627 130464302 /nfs/dbraw/zinc/46/43/02/130464302.db2.gz XOMRAESJSNKEGP-VIFPVBQESA-N 0 0 271.342 2.813 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2ccc(N3CCC3=O)cc2)n1 ZINC000359297811 130506553 /nfs/dbraw/zinc/50/65/53/130506553.db2.gz QMKJYZFHJLNWOC-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN CSc1cccc(C(=O)N2CCC[C@H]2CF)c1[N+](=O)[O-] ZINC000359547343 130520721 /nfs/dbraw/zinc/52/07/21/130520721.db2.gz RYLMTMHLXBSVSO-VIFPVBQESA-N 0 0 298.339 2.891 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000359547560 130520830 /nfs/dbraw/zinc/52/08/30/130520830.db2.gz PPKWSZGDAWXBJE-SNVBAGLBSA-N 0 0 279.340 2.843 20 5 CFBDRN Cc1nc(COc2nc(C)cc(C)c2[N+](=O)[O-])oc1C ZINC000359592830 130524062 /nfs/dbraw/zinc/52/40/62/130524062.db2.gz ZHKKJPJZAKFCIY-UHFFFAOYSA-N 0 0 277.280 2.790 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000359623672 130526021 /nfs/dbraw/zinc/52/60/21/130526021.db2.gz VWINDDQJUCSHTL-ZJUUUORDSA-N 0 0 268.288 2.961 20 5 CFBDRN CCCc1noc(CNc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000359621659 130526153 /nfs/dbraw/zinc/52/61/53/130526153.db2.gz WBCNWLXSRQCRKG-UHFFFAOYSA-N 0 0 280.259 2.682 20 5 CFBDRN CN(CCc1ccccc1)c1ncc([N+](=O)[O-])s1 ZINC000195509614 130744949 /nfs/dbraw/zinc/74/49/49/130744949.db2.gz QYAJIXPEAUIAJA-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN C[C@H](c1ccccn1)N(C)c1ncc([N+](=O)[O-])s1 ZINC000195511387 130745923 /nfs/dbraw/zinc/74/59/23/130745923.db2.gz KZUQQVOMOVDUKC-MRVPVSSYSA-N 0 0 264.310 2.644 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCCOCC1CC1 ZINC000218112645 130767257 /nfs/dbraw/zinc/76/72/57/130767257.db2.gz HAAAMWJYRDYBKJ-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN COCC1(C(=O)Nc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000284031562 195966290 /nfs/dbraw/zinc/96/62/90/195966290.db2.gz WMCNTWLTCOQHCB-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCO1 ZINC000220210707 130779041 /nfs/dbraw/zinc/77/90/41/130779041.db2.gz WEAYEKHKVDFCMY-SCZZXKLOSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000226146635 130819815 /nfs/dbraw/zinc/81/98/15/130819815.db2.gz YYSGFJGVLPUBAE-YUMQZZPRSA-N 0 0 258.705 2.677 20 5 CFBDRN Cc1cc(N2CCC[C@](C)(O)CC2)ccc1[N+](=O)[O-] ZINC000230373044 130902882 /nfs/dbraw/zinc/90/28/82/130902882.db2.gz NAEKUCXNKCJQLT-AWEZNQCLSA-N 0 0 264.325 2.645 20 5 CFBDRN C[C@H](O)[C@H](C)SCc1cc(F)ccc1[N+](=O)[O-] ZINC000231168405 130913101 /nfs/dbraw/zinc/91/31/01/130913101.db2.gz RFXROPCRNYUPER-YUMQZZPRSA-N 0 0 259.302 2.736 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(S[C@@H](C)C(C)C)n1 ZINC000234553517 130936049 /nfs/dbraw/zinc/93/60/49/130936049.db2.gz BNBAHRGBEJGKTI-QMMMGPOBSA-N 0 0 284.337 2.913 20 5 CFBDRN CCCCCOCC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000263809821 131336129 /nfs/dbraw/zinc/33/61/29/131336129.db2.gz XMHBSXCVBHLJAM-UHFFFAOYSA-N 0 0 284.287 2.879 20 5 CFBDRN CCN(C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 ZINC000263855644 131346934 /nfs/dbraw/zinc/34/69/34/131346934.db2.gz ZZNQUZJMSQUBEB-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN CC1(C)CCCN1C(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000264027507 131381732 /nfs/dbraw/zinc/38/17/32/131381732.db2.gz YUWJNBZEILYXKY-UHFFFAOYSA-N 0 0 296.298 2.514 20 5 CFBDRN CC[C@@H](C)[C@@H](C)N(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CC1 ZINC000264170579 131405506 /nfs/dbraw/zinc/40/55/06/131405506.db2.gz KPHJAQBFEMTADA-NXEZZACHSA-N 0 0 279.340 2.962 20 5 CFBDRN C[C@@H](NC(=O)C1CCSCC1)c1cccc([N+](=O)[O-])c1 ZINC000264816449 131505366 /nfs/dbraw/zinc/50/53/66/131505366.db2.gz DHRVCVMGFGANLF-SNVBAGLBSA-N 0 0 294.376 2.915 20 5 CFBDRN Cc1ccc(C(=O)NCC2CCSCC2)cc1[N+](=O)[O-] ZINC000264879592 131516436 /nfs/dbraw/zinc/51/64/36/131516436.db2.gz CQMQBQCQWLJOSG-UHFFFAOYSA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1c(C(=O)N(C)CCCOC(C)C)cccc1[N+](=O)[O-] ZINC000265801831 131640395 /nfs/dbraw/zinc/64/03/95/131640395.db2.gz QVGOLXHHLVKSQC-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000266631810 131760332 /nfs/dbraw/zinc/76/03/32/131760332.db2.gz XASKKMFNTIXCAY-BXKDBHETSA-N 0 0 280.349 2.668 20 5 CFBDRN O=C(CCC(F)(F)F)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000266709239 131768008 /nfs/dbraw/zinc/76/80/08/131768008.db2.gz ZZHBHFMLTCFYOA-UHFFFAOYSA-N 0 0 288.225 2.826 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@]1(C)CCCC[C@@H]1O ZINC000267029660 131811037 /nfs/dbraw/zinc/81/10/37/131811037.db2.gz AUFBHVHZWQHYQN-GXTWGEPZSA-N 0 0 279.340 2.651 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000267204185 131835008 /nfs/dbraw/zinc/83/50/08/131835008.db2.gz JZZQHNUNXZEQMY-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@H]1CN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C[C@@H]1C ZINC000267658395 131898772 /nfs/dbraw/zinc/89/87/72/131898772.db2.gz MXDYZJVZZLUFFM-UWVGGRQHSA-N 0 0 287.319 2.804 20 5 CFBDRN O=C(NCCCC(F)(F)F)c1ccc(F)cc1[N+](=O)[O-] ZINC000268109570 131959908 /nfs/dbraw/zinc/95/99/08/131959908.db2.gz HVYONKKSXNCRPY-UHFFFAOYSA-N 0 0 294.204 2.806 20 5 CFBDRN COc1cc(NCC[C@H](C)OC)c([N+](=O)[O-])cc1F ZINC000268193927 131975353 /nfs/dbraw/zinc/97/53/53/131975353.db2.gz WZAISXKFIXIJSE-QMMMGPOBSA-N 0 0 272.276 2.579 20 5 CFBDRN Cc1nc(N[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000268476355 132014505 /nfs/dbraw/zinc/01/45/05/132014505.db2.gz KHLGZLCIZLVKKH-BIMULSAOSA-N 0 0 277.324 2.524 20 5 CFBDRN Cc1nccn1CCCCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000268541588 132025246 /nfs/dbraw/zinc/02/52/46/132025246.db2.gz ZNLKWUXWYZTDSZ-UHFFFAOYSA-N 0 0 289.339 2.695 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCc2nccs2)n1 ZINC000268791750 132066565 /nfs/dbraw/zinc/06/65/65/132066565.db2.gz GROGRCXKZSVUOA-UHFFFAOYSA-N 0 0 278.337 2.799 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)C1CCSCC1 ZINC000269321571 132154841 /nfs/dbraw/zinc/15/48/41/132154841.db2.gz BNRULTSUVAJITR-UHFFFAOYSA-N 0 0 285.296 2.783 20 5 CFBDRN COCCN(Cc1ccccc1)c1ncccc1[N+](=O)[O-] ZINC000269339787 132157397 /nfs/dbraw/zinc/15/73/97/132157397.db2.gz GSVQBLQPKIILID-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN COCCN(Cc1ccccc1)c1ccc([N+](=O)[O-])cn1 ZINC000269373909 132161671 /nfs/dbraw/zinc/16/16/71/132161671.db2.gz QEMUQMCCAOUMRC-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN CCC(C)(CC)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269443452 132172170 /nfs/dbraw/zinc/17/21/70/132172170.db2.gz PYZWWYIWDPUAMX-UHFFFAOYSA-N 0 0 282.344 2.750 20 5 CFBDRN C[C@@H](CNc1ccccc1[N+](=O)[O-])NC(=O)OC(C)(C)C ZINC000179049960 393450868 /nfs/dbraw/zinc/45/08/68/393450868.db2.gz WKWCTZTUIOTQOE-JTQLQIEISA-N 0 0 295.339 2.920 20 5 CFBDRN O=C(N[C@@H]1CCOC1)c1cc2ccccc2c2cccnc12 ZINC000179201225 393457031 /nfs/dbraw/zinc/45/70/31/393457031.db2.gz KMCDMAXLYBKLEV-CYBMUJFWSA-N 0 0 292.338 2.907 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2ncc([N+](=O)[O-])cn2)[C@@H]1C ZINC000040454427 393540820 /nfs/dbraw/zinc/54/08/20/393540820.db2.gz YGYMEQSYAOQVSM-KKZNHRDASA-N 0 0 250.302 2.621 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1ccccc1[N+](=O)[O-] ZINC000302014395 393485353 /nfs/dbraw/zinc/48/53/53/393485353.db2.gz BMRRZQMALIQRAE-BXUZGUMPSA-N 0 0 264.325 2.582 20 5 CFBDRN CC[C@@]1(C)CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000302662087 393494122 /nfs/dbraw/zinc/49/41/22/393494122.db2.gz LVAXECUIIYFTMV-NSHDSACASA-N 0 0 256.327 2.662 20 5 CFBDRN CC[C@@]1(C)CN(c2ccc(C)cc2[N+](=O)[O-])CCO1 ZINC000302658790 393494130 /nfs/dbraw/zinc/49/41/30/393494130.db2.gz LHDQQPYPIZJWKJ-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1c(NC(=O)c2ccc(F)cn2)cccc1[N+](=O)[O-] ZINC000181628990 393497896 /nfs/dbraw/zinc/49/78/96/393497896.db2.gz AFJTXJYTEVHGSM-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2C[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000182443346 393509936 /nfs/dbraw/zinc/50/99/36/393509936.db2.gz ZHZHSIDTEQPJIW-RYUDHWBXSA-N 0 0 260.293 2.888 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@H](C)OC[C@@H]1C ZINC000183279434 393518953 /nfs/dbraw/zinc/51/89/53/393518953.db2.gz FPNGNKYXIHUHBY-RYUDHWBXSA-N 0 0 264.325 2.512 20 5 CFBDRN CCCCNC(=O)CSc1ccc([N+](=O)[O-])cc1F ZINC000185159194 393531454 /nfs/dbraw/zinc/53/14/54/393531454.db2.gz PCCMQKZDFQKKOT-UHFFFAOYSA-N 0 0 286.328 2.742 20 5 CFBDRN NC(=O)c1cc(NCc2csc([N+](=O)[O-])c2)ccc1F ZINC000037562894 393531700 /nfs/dbraw/zinc/53/17/00/393531700.db2.gz HOGNXQRXIYKBIV-UHFFFAOYSA-N 0 0 295.295 2.506 20 5 CFBDRN CCC1(CO)CCN(c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000185650632 393535179 /nfs/dbraw/zinc/53/51/79/393535179.db2.gz USVTVDAHBKAMEA-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN Cc1ccncc1CN1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000332520147 393561836 /nfs/dbraw/zinc/56/18/36/393561836.db2.gz OZKSUYNIIDYANT-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN Cc1oc(-c2ccccc2)nc1Cn1cnc([N+](=O)[O-])c1 ZINC000045914211 393574110 /nfs/dbraw/zinc/57/41/10/393574110.db2.gz AILVPDGFVMJXNA-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H](C2CCOCC2)C1 ZINC000371369586 296495919 /nfs/dbraw/zinc/49/59/19/296495919.db2.gz JVLWTBXTSQSPHH-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@H](C2CCOCC2)C1 ZINC000371369584 296495934 /nfs/dbraw/zinc/49/59/34/296495934.db2.gz JVLWTBXTSQSPHH-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN Cc1ncc(CN(C)C(=O)c2ccccc2[N+](=O)[O-])s1 ZINC000046771066 393579933 /nfs/dbraw/zinc/57/99/33/393579933.db2.gz YCLCLNJGCVWWDU-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CNC(=O)c1ccc(NCCC(C)(C)C)c([N+](=O)[O-])c1 ZINC000048893261 393607090 /nfs/dbraw/zinc/60/70/90/393607090.db2.gz PTBDWOIMSPMEJM-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN CC(C)(C)n1nnnc1SCc1csc([N+](=O)[O-])c1 ZINC000047172083 393585558 /nfs/dbraw/zinc/58/55/58/393585558.db2.gz NQHMVYAPPUTDGJ-UHFFFAOYSA-N 0 0 299.381 2.690 20 5 CFBDRN CC(C)Cn1nnnc1SCc1csc([N+](=O)[O-])c1 ZINC000047172200 393585865 /nfs/dbraw/zinc/58/58/65/393585865.db2.gz GIUPXHDMFRKBGJ-UHFFFAOYSA-N 0 0 299.381 2.591 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@](C)(F)C2)cc1[N+](=O)[O-] ZINC000334286688 393589029 /nfs/dbraw/zinc/58/90/29/393589029.db2.gz CLPZGHMNCDDPOF-NSHDSACASA-N 0 0 272.301 2.539 20 5 CFBDRN CSc1ccc(C(=O)N(C)CC2CC2)cc1[N+](=O)[O-] ZINC000194083541 393677083 /nfs/dbraw/zinc/67/70/83/393677083.db2.gz UJUPSOYOYMQDAQ-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000194142272 393679480 /nfs/dbraw/zinc/67/94/80/393679480.db2.gz QGJXDXSDUPSDFW-ZJUUUORDSA-N 0 0 280.324 2.768 20 5 CFBDRN Cc1cccc(C(=O)N2CCSCC[C@@H]2C)c1[N+](=O)[O-] ZINC000192354948 393622890 /nfs/dbraw/zinc/62/28/90/393622890.db2.gz BZWNYYPGMCMAMG-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@H]1C[C@H](C)N(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000408218673 393624913 /nfs/dbraw/zinc/62/49/13/393624913.db2.gz JJGDFVUGKGTBGF-YUMQZZPRSA-N 0 0 255.705 2.878 20 5 CFBDRN CSCCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000192729830 393631527 /nfs/dbraw/zinc/63/15/27/393631527.db2.gz BNPWWQPWDJHFSN-UHFFFAOYSA-N 0 0 268.338 2.903 20 5 CFBDRN Cc1sc(C(=O)N(C)C2CCCC2)cc1[N+](=O)[O-] ZINC000193086490 393641237 /nfs/dbraw/zinc/64/12/37/393641237.db2.gz IXFWYZMKGZEMAQ-UHFFFAOYSA-N 0 0 268.338 2.979 20 5 CFBDRN COc1cccc(C(=O)Nc2cc(C)ns2)c1[N+](=O)[O-] ZINC000193227467 393646753 /nfs/dbraw/zinc/64/67/53/393646753.db2.gz KFZVHBOMQCBFEW-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1c(C(=O)N(C)Cc2nccs2)cccc1[N+](=O)[O-] ZINC000074548088 393660663 /nfs/dbraw/zinc/66/06/63/393660663.db2.gz CTJKBAVKXGQATH-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1cc(N(Cc2ccccn2)C2CC2)ncc1[N+](=O)[O-] ZINC000074726822 393661667 /nfs/dbraw/zinc/66/16/67/393661667.db2.gz OPXFFYUZENLLGM-UHFFFAOYSA-N 0 0 284.319 2.862 20 5 CFBDRN O=C(N[C@H]1CC12CC2)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000335494669 393671906 /nfs/dbraw/zinc/67/19/06/393671906.db2.gz HYLQRUCNLJUAIA-JTQLQIEISA-N 0 0 266.684 2.531 20 5 CFBDRN O=C(NC[C@H]1Cc2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000194055848 393674355 /nfs/dbraw/zinc/67/43/55/393674355.db2.gz AKKAJYDTLMBVJI-CYBMUJFWSA-N 0 0 282.299 2.665 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCc2sccc2C1 ZINC000195343043 393738376 /nfs/dbraw/zinc/73/83/76/393738376.db2.gz YGOGLIARHMFFPI-UHFFFAOYSA-N 0 0 292.364 2.744 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(O)c(Cl)c1 ZINC000082192604 393739177 /nfs/dbraw/zinc/73/91/77/393739177.db2.gz PHELNSJDLKVLIE-UHFFFAOYSA-N 0 0 295.682 2.545 20 5 CFBDRN CCOC(=O)CSCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000083090504 393740867 /nfs/dbraw/zinc/74/08/67/393740867.db2.gz UIRZUXDEYJNGSI-UHFFFAOYSA-N 0 0 296.304 2.532 20 5 CFBDRN COC(=O)c1sc(-c2ccccc2[N+](=O)[O-])nc1C ZINC000083015902 393741018 /nfs/dbraw/zinc/74/10/18/393741018.db2.gz AXWJCUAKIHDMLP-UHFFFAOYSA-N 0 0 278.289 2.813 20 5 CFBDRN Cc1noc2ncnc(Oc3cccc([N+](=O)[O-])c3C)c12 ZINC000195509986 393742482 /nfs/dbraw/zinc/74/24/82/393742482.db2.gz VIPBSBVMFVQPLQ-UHFFFAOYSA-N 0 0 286.247 2.935 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC(C(F)(F)F)C1 ZINC000336605367 393743889 /nfs/dbraw/zinc/74/38/89/393743889.db2.gz XWJPVWNMSLQFNP-UHFFFAOYSA-N 0 0 288.225 2.538 20 5 CFBDRN CCOc1cc(NC[C@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000086015244 393752920 /nfs/dbraw/zinc/75/29/20/393752920.db2.gz RNAGWPOTFWORCO-RISCZKNCSA-N 0 0 294.351 2.957 20 5 CFBDRN CCOc1cc(NC[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000086015245 393753084 /nfs/dbraw/zinc/75/30/84/393753084.db2.gz RNAGWPOTFWORCO-SMDDNHRTSA-N 0 0 294.351 2.957 20 5 CFBDRN CN(CC1CCCC1)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000194479856 393699396 /nfs/dbraw/zinc/69/93/96/393699396.db2.gz KWCWMCIUGPFGKG-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000335873557 393705418 /nfs/dbraw/zinc/70/54/18/393705418.db2.gz JJHDCCTYGAQQRE-IUCAKERBSA-N 0 0 274.276 2.964 20 5 CFBDRN CCN(C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000194558023 393705897 /nfs/dbraw/zinc/70/58/97/393705897.db2.gz DGPZCFMGDUFVCH-SNVBAGLBSA-N 0 0 277.324 2.850 20 5 CFBDRN O=C(NCC1CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411386893 393707285 /nfs/dbraw/zinc/70/72/85/393707285.db2.gz QYAOUDODFDJHFD-UONOGXRCSA-N 0 0 274.320 2.615 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000336049842 393716320 /nfs/dbraw/zinc/71/63/20/393716320.db2.gz IKLFMVOTSHNXRF-LBPRGKRZSA-N 0 0 268.338 2.919 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000336176623 393721797 /nfs/dbraw/zinc/72/17/97/393721797.db2.gz VYJYTQQIHGIORM-SECBINFHSA-N 0 0 268.338 2.774 20 5 CFBDRN CC1(C)CC[C@H](Cn2cc([N+](=O)[O-])c(C3CC3)n2)O1 ZINC000195051072 393729652 /nfs/dbraw/zinc/72/96/52/393729652.db2.gz NGUZWRFPRKZROH-SNVBAGLBSA-N 0 0 265.313 2.626 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1ccc(Cl)o1 ZINC000089835977 393771384 /nfs/dbraw/zinc/77/13/84/393771384.db2.gz QDXDRZMBHLNIIZ-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN Cc1cn(Cc2ncccc2C(F)(F)F)nc1[N+](=O)[O-] ZINC000337938096 393771676 /nfs/dbraw/zinc/77/16/76/393771676.db2.gz LXRMQROESMKMMX-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN COc1ccnc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)c1 ZINC000089984647 393774609 /nfs/dbraw/zinc/77/46/09/393774609.db2.gz GLSFXWGMQYQGCS-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CCOc1cccc(N[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000231037658 393780134 /nfs/dbraw/zinc/78/01/34/393780134.db2.gz BFLKFKQDLQJXAX-VIFPVBQESA-N 0 0 268.338 2.911 20 5 CFBDRN CCOc1cccc(NCCC[C@@H](C)CO)c1[N+](=O)[O-] ZINC000231038244 393780468 /nfs/dbraw/zinc/78/04/68/393780468.db2.gz UJQAFMSZIROSLY-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN C[C@]1(O)CCCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000235722355 393784822 /nfs/dbraw/zinc/78/48/22/393784822.db2.gz IKZWBVJBBMDYHV-ZDUSSCGKSA-N 0 0 286.278 2.614 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1cccc(O)c1)C2 ZINC000093443784 393798953 /nfs/dbraw/zinc/79/89/53/393798953.db2.gz MEHJGTWKWXIKGZ-UHFFFAOYSA-N 0 0 284.315 2.859 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(C)c(C)n2)c1 ZINC000458000043 393821666 /nfs/dbraw/zinc/82/16/66/393821666.db2.gz DUYXNPKWIMTWNE-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1ccc(-c2noc([C@@]3(C)C[C@H]3F)n2)cc1[N+](=O)[O-] ZINC000424331956 393832309 /nfs/dbraw/zinc/83/23/09/393832309.db2.gz BKUZQCFBLGCFTA-MFKMUULPSA-N 0 0 277.255 2.953 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000416072428 393863562 /nfs/dbraw/zinc/86/35/62/393863562.db2.gz NGXOGSVLHOMSDT-SNVBAGLBSA-N 0 0 286.287 2.871 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1C[C@H]1C1CC1 ZINC000246587399 393876189 /nfs/dbraw/zinc/87/61/89/393876189.db2.gz UVBJQJLULBUQIX-BIGNPOOSSA-N 0 0 286.331 2.919 20 5 CFBDRN C[C@@H](O)[C@@H]1CCCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119002901 393912439 /nfs/dbraw/zinc/91/24/39/393912439.db2.gz MDFXCFKZXDBKRZ-MFKMUULPSA-N 0 0 298.770 2.984 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000416256622 393890279 /nfs/dbraw/zinc/89/02/79/393890279.db2.gz KSQTVAMJLNRWSV-JTQLQIEISA-N 0 0 285.303 2.606 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000416266461 393892063 /nfs/dbraw/zinc/89/20/63/393892063.db2.gz ZMMADNVXZVJHSI-QMMMGPOBSA-N 0 0 280.711 2.778 20 5 CFBDRN Cc1cccc(CN(C)Cc2c([N+](=O)[O-])ncn2C)c1C ZINC000428754669 393913192 /nfs/dbraw/zinc/91/31/92/393913192.db2.gz VKTSFTMQILWRBQ-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN C[C@H]1CC[C@@H](N(C)c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000428659200 393903561 /nfs/dbraw/zinc/90/35/61/393903561.db2.gz GOUAHVMUYFPVNJ-DTWKUNHWSA-N 0 0 280.353 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC(F)F)c2ccncc21 ZINC000428669072 393904642 /nfs/dbraw/zinc/90/46/42/393904642.db2.gz IMKKVNFHJOTBJH-MRVPVSSYSA-N 0 0 297.261 2.571 20 5 CFBDRN CNC(=O)c1ccc(N(C)[C@@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000428666240 393904661 /nfs/dbraw/zinc/90/46/61/393904661.db2.gz HEDLKCXWKKAYHP-CMPLNLGQSA-N 0 0 291.351 2.579 20 5 CFBDRN COc1c(C(=O)N[C@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000345718000 393913933 /nfs/dbraw/zinc/91/39/33/393913933.db2.gz VNLMZFXLKATPBD-NSHDSACASA-N 0 0 278.308 2.522 20 5 CFBDRN COC1CCC(NC(=O)c2cccc([N+](=O)[O-])c2C)CC1 ZINC000458080461 393842582 /nfs/dbraw/zinc/84/25/82/393842582.db2.gz GVMODWOXFFTTII-UHFFFAOYSA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000458136746 393856371 /nfs/dbraw/zinc/85/63/71/393856371.db2.gz JFGFPYCRVILEFP-UKRRQHHQSA-N 0 0 276.336 2.688 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416059996 393858446 /nfs/dbraw/zinc/85/84/46/393858446.db2.gz CDAGSMUFXNUMJS-GFCCVEGCSA-N 0 0 274.320 2.687 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000458153694 393859917 /nfs/dbraw/zinc/85/99/17/393859917.db2.gz RWZGWEPDYCCSAR-GXTWGEPZSA-N 0 0 262.309 2.759 20 5 CFBDRN CCNc1ccc(C(=O)NC[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416065704 393860553 /nfs/dbraw/zinc/86/05/53/393860553.db2.gz SKBCRWSKHSPZLD-NSHDSACASA-N 0 0 289.335 2.557 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@H]1CCC[C@H](F)C1 ZINC000424596098 393861425 /nfs/dbraw/zinc/86/14/25/393861425.db2.gz ODVAPTSCZZPVOY-RYUDHWBXSA-N 0 0 280.299 2.739 20 5 CFBDRN CCC(CC)CNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000105177128 393862903 /nfs/dbraw/zinc/86/29/03/393862903.db2.gz NXWBQIJVQQANOD-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000416694113 393943146 /nfs/dbraw/zinc/94/31/46/393943146.db2.gz UWPBNIOIXMRUFS-GRYCIOLGSA-N 0 0 291.351 2.781 20 5 CFBDRN C[C@@H]1[C@H](C)CN(C(=O)NCc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000416694116 393943176 /nfs/dbraw/zinc/94/31/76/393943176.db2.gz UWPBNIOIXMRUFS-UTUOFQBUSA-N 0 0 291.351 2.781 20 5 CFBDRN COc1c(C(=O)NCCC(C)(C)C)cccc1[N+](=O)[O-] ZINC000474775844 393946142 /nfs/dbraw/zinc/94/61/42/393946142.db2.gz NRFWXXSUWNKVQI-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN COc1cc(C(=O)N(C)[C@@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000429249947 393948057 /nfs/dbraw/zinc/94/80/57/393948057.db2.gz DCIGQPBNPWNVQR-CMPLNLGQSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1C[C@@H]2CCC[C@H]2C1 ZINC000148320540 296496327 /nfs/dbraw/zinc/49/63/27/296496327.db2.gz DGEMTWWYABJXSH-UWVGGRQHSA-N 0 0 250.273 2.970 20 5 CFBDRN Cc1oncc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429263873 393949730 /nfs/dbraw/zinc/94/97/30/393949730.db2.gz AUNHFZLAYGSPIH-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN C[C@H]1CCC[C@H](CO)N1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119497896 393920410 /nfs/dbraw/zinc/92/04/10/393920410.db2.gz MIFMGGYGCARDRE-WDEREUQCSA-N 0 0 298.770 2.984 20 5 CFBDRN C[C@@H](CNc1ccccc1)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000119642238 393922741 /nfs/dbraw/zinc/92/27/41/393922741.db2.gz KRWLBMLZAJKMBO-LBPRGKRZSA-N 0 0 299.330 2.825 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)Cc1ccncc1 ZINC000487678586 394018892 /nfs/dbraw/zinc/01/88/92/394018892.db2.gz PPTIGHUYGPPUFL-NSHDSACASA-N 0 0 272.308 2.736 20 5 CFBDRN CCCC[C@H](N[C@H](C)c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000271619538 394021688 /nfs/dbraw/zinc/02/16/88/394021688.db2.gz MKYDTXMPWCKXDW-YPMHNXCESA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CC23CCCC3)cc1[N+](=O)[O-] ZINC000430228086 393970562 /nfs/dbraw/zinc/97/05/62/393970562.db2.gz PYDZOWDPJQQIOG-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(N[C@@H]1CC12CCCC2)c1cccc([N+](=O)[O-])c1 ZINC000430264251 393974710 /nfs/dbraw/zinc/97/47/10/393974710.db2.gz ZWHRSTCRUPFVLL-GFCCVEGCSA-N 0 0 260.293 2.657 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCCc1ccc(O)cc1 ZINC000436819391 394024108 /nfs/dbraw/zinc/02/41/08/394024108.db2.gz IGWUEDIKHCVMFJ-UHFFFAOYSA-N 0 0 273.292 2.740 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@H](C)[C@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000417608019 393982780 /nfs/dbraw/zinc/98/27/80/393982780.db2.gz IAIVXPSJFUSVCY-WDEREUQCSA-N 0 0 294.351 2.917 20 5 CFBDRN Cc1cc(N2CCCC3(CCOCC3)C2)ncc1[N+](=O)[O-] ZINC000266850418 393983987 /nfs/dbraw/zinc/98/39/87/393983987.db2.gz QRTVPGOVGHACHP-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H]1C[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000430426544 393986616 /nfs/dbraw/zinc/98/66/16/393986616.db2.gz MHVYFOVWKRCHRD-BIGNPOOSSA-N 0 0 289.335 2.754 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000430449293 393987593 /nfs/dbraw/zinc/98/75/93/393987593.db2.gz JKCOFTFMSVCZGC-NEPJUHHUSA-N 0 0 291.351 2.654 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000267629584 393988088 /nfs/dbraw/zinc/98/80/88/393988088.db2.gz JKGLJTDIHGRKLH-QWRGUYRKSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1nccn1CCCCOc1ncc(C)cc1[N+](=O)[O-] ZINC000124679933 393998332 /nfs/dbraw/zinc/99/83/32/393998332.db2.gz PXMJMOXCZKBZHO-UHFFFAOYSA-N 0 0 290.323 2.662 20 5 CFBDRN C[C@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000487867860 394026139 /nfs/dbraw/zinc/02/61/39/394026139.db2.gz IFLQORKRAFKBMN-JTQLQIEISA-N 0 0 291.351 2.928 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@@H](C)CC2(C)C)c1[N+](=O)[O-] ZINC000269338447 394003698 /nfs/dbraw/zinc/00/36/98/394003698.db2.gz BVNQNUJWHYJWEC-VIFPVBQESA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)Nc2ccsc2)c1[N+](=O)[O-] ZINC000269324397 394003897 /nfs/dbraw/zinc/00/38/97/394003897.db2.gz CNTCTEOMNKURSI-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269386088 394003927 /nfs/dbraw/zinc/00/39/27/394003927.db2.gz KVYWXRVSBYQADC-RKDXNWHRSA-N 0 0 282.344 2.606 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)c([N+](=O)[O-])c1 ZINC000269888546 394007848 /nfs/dbraw/zinc/00/78/48/394007848.db2.gz BTTRVRPZVGTDOX-UHTWSYAYSA-N 0 0 289.335 2.668 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCC[C@@H](C)CO ZINC000270278372 394011466 /nfs/dbraw/zinc/01/14/66/394011466.db2.gz LPIIPQCUARAXBV-LLVKDONJSA-N 0 0 282.340 2.562 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccc(O)cc1F ZINC000436999206 394042504 /nfs/dbraw/zinc/04/25/04/394042504.db2.gz LYQLBFNODQKMOB-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN O=C1c2ccccc2C[C@H]1Sc1ncccc1[N+](=O)[O-] ZINC000127155206 394044676 /nfs/dbraw/zinc/04/46/76/394044676.db2.gz UBMXQQFZCSKWTK-GFCCVEGCSA-N 0 0 286.312 2.890 20 5 CFBDRN CNc1c(C(=O)N2CCCC3(CC3)C2)cccc1[N+](=O)[O-] ZINC000354406413 394046251 /nfs/dbraw/zinc/04/62/51/394046251.db2.gz KFAPSEGTQWYABT-UHFFFAOYSA-N 0 0 289.335 2.653 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000127615002 394053331 /nfs/dbraw/zinc/05/33/31/394053331.db2.gz HVFAXWFCUWHGCU-ZYHUDNBSSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000277172599 394054296 /nfs/dbraw/zinc/05/42/96/394054296.db2.gz UOOLHNFOZGWPKX-GXSJLCMTSA-N 0 0 289.335 2.555 20 5 CFBDRN C[C@@H]1C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CCO1 ZINC000278151057 394060080 /nfs/dbraw/zinc/06/00/80/394060080.db2.gz BJHZXWVGYDYTCN-NXEZZACHSA-N 0 0 277.324 2.542 20 5 CFBDRN CCC(=O)c1ccc(N2CCO[C@@H](CC)C2)c([N+](=O)[O-])c1 ZINC000280091038 394070951 /nfs/dbraw/zinc/07/09/51/394070951.db2.gz SNEKIMSOVQFMSD-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN CCc1ccnc(CNC(=O)c2cccc([N+](=O)[O-])c2C)c1 ZINC000437747115 394072366 /nfs/dbraw/zinc/07/23/66/394072366.db2.gz OLZIKJMSUMFPMM-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000280473695 394072463 /nfs/dbraw/zinc/07/24/63/394072463.db2.gz NEWLNYDLTORANT-LBPRGKRZSA-N 0 0 285.731 2.649 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)c2c[nH]cn2)cc1[N+](=O)[O-] ZINC000356738679 394079333 /nfs/dbraw/zinc/07/93/33/394079333.db2.gz QVFOXGOLVOPACR-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@@H]1CCCSC1 ZINC000492845054 394081052 /nfs/dbraw/zinc/08/10/52/394081052.db2.gz YVXBCMQTSUZMML-NNNHXZLVSA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C[C@@H]1CCCO1 ZINC000281837148 394081801 /nfs/dbraw/zinc/08/18/01/394081801.db2.gz AHNSVIQACMUDGE-VIFPVBQESA-N 0 0 282.271 2.550 20 5 CFBDRN Cc1c(CC(=O)NC[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000438832365 394083062 /nfs/dbraw/zinc/08/30/62/394083062.db2.gz FGHHVRKCITVAQC-LBPRGKRZSA-N 0 0 276.336 2.608 20 5 CFBDRN Cc1c(CC(=O)NC[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000438832363 394083117 /nfs/dbraw/zinc/08/31/17/394083117.db2.gz FGHHVRKCITVAQC-GFCCVEGCSA-N 0 0 276.336 2.608 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@H](C(C)C)C2)cccc1[N+](=O)[O-] ZINC000438934456 394088663 /nfs/dbraw/zinc/08/86/63/394088663.db2.gz ICPAGGZKSBMUFM-AWEZNQCLSA-N 0 0 290.363 2.950 20 5 CFBDRN CC[C@@H](C)CONC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492887549 394089535 /nfs/dbraw/zinc/08/95/35/394089535.db2.gz GELGKKBYRRTMBM-DHHDDZJSSA-N 0 0 278.308 2.702 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@@H]1CC[C@@H](F)C1 ZINC000492892436 394090975 /nfs/dbraw/zinc/09/09/75/394090975.db2.gz LSQSSKRAPURAHZ-ZOUVEMKTSA-N 0 0 278.283 2.615 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC1(C(F)F)CC1 ZINC000492897468 394091893 /nfs/dbraw/zinc/09/18/93/394091893.db2.gz MAKZAPYTIVBTGD-SNAWJCMRSA-N 0 0 282.246 2.522 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1ccnn1C ZINC000439118761 394099741 /nfs/dbraw/zinc/09/97/41/394099741.db2.gz OJBONZLAGBHRCB-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc(N2CC[C@H](C(C)(C)C)[C@@H](O)C2)ncc1[N+](=O)[O-] ZINC000272474434 394029693 /nfs/dbraw/zinc/02/96/93/394029693.db2.gz IORSMKSRPZTSTO-AAEUAGOBSA-N 0 0 293.367 2.532 20 5 CFBDRN CCC(=O)CCCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487920481 394030212 /nfs/dbraw/zinc/03/02/12/394030212.db2.gz USIUNJMRJWZRQL-UHFFFAOYSA-N 0 0 288.303 2.669 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@](C)(O)C(F)(F)F)c1 ZINC000282017563 394110611 /nfs/dbraw/zinc/11/06/11/394110611.db2.gz XJJCTDGRBOEMOL-JTQLQIEISA-N 0 0 279.214 2.595 20 5 CFBDRN C[C@H](C(=O)N(C)c1ccc([N+](=O)[O-])nc1)c1cccs1 ZINC000439295401 394110983 /nfs/dbraw/zinc/11/09/83/394110983.db2.gz NEUKIPLSMWIIMH-VIFPVBQESA-N 0 0 291.332 2.818 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])s1)[C@H](CCO)c1ccccc1 ZINC000282035730 394113796 /nfs/dbraw/zinc/11/37/96/394113796.db2.gz WWPKAFOVTLOZNP-LLVKDONJSA-N 0 0 293.348 2.611 20 5 CFBDRN CCC[C@H](CNC(=O)/C=C/c1cccc([N+](=O)[O-])c1)OC ZINC000492978506 394114162 /nfs/dbraw/zinc/11/41/62/394114162.db2.gz BCQZEQUAYGFRJY-MYSGNRETSA-N 0 0 292.335 2.539 20 5 CFBDRN CCOCC(C)(C)NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492998406 394120042 /nfs/dbraw/zinc/12/00/42/394120042.db2.gz PIAILYNSCKCLJX-HJWRWDBZSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2cccc3c2OCCO3)nc1 ZINC000439515200 394122946 /nfs/dbraw/zinc/12/29/46/394122946.db2.gz PETCAHURFKAPHY-PLNGDYQASA-N 0 0 284.271 2.931 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2)C[C@H](CC)O1 ZINC000420957733 394140830 /nfs/dbraw/zinc/14/08/30/394140830.db2.gz OSWWHNXIEVHSRM-GJZGRUSLSA-N 0 0 278.352 2.984 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2F)CC[C@@H]1C ZINC000131843079 394141870 /nfs/dbraw/zinc/14/18/70/394141870.db2.gz BYQMXWSXNPOPCW-UWVGGRQHSA-N 0 0 280.299 2.852 20 5 CFBDRN Cc1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)cn1 ZINC000133741777 394181437 /nfs/dbraw/zinc/18/14/37/394181437.db2.gz GGURFCOPNFGQJW-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN Cc1cccc(C(=O)N2Cc3ccccc3CO2)c1[N+](=O)[O-] ZINC000360395742 394151143 /nfs/dbraw/zinc/15/11/43/394151143.db2.gz PGSLGSJYWNWKGO-UHFFFAOYSA-N 0 0 298.298 2.991 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCO[C@H](C(C)(C)C)C1 ZINC000447816859 394152763 /nfs/dbraw/zinc/15/27/63/394152763.db2.gz MYSXBRJQTYCKES-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000493218522 394182268 /nfs/dbraw/zinc/18/22/68/394182268.db2.gz KXKDLAJYXYPTOL-KKTNHOPESA-N 0 0 292.310 2.959 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000448675262 394163891 /nfs/dbraw/zinc/16/38/91/394163891.db2.gz MGMTWAZCPLDYSY-ZYHUDNBSSA-N 0 0 262.309 2.599 20 5 CFBDRN CC[C@H](C)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000421037324 394166785 /nfs/dbraw/zinc/16/67/85/394166785.db2.gz PHZDCFHOSYIGJY-VIFPVBQESA-N 0 0 251.286 2.762 20 5 CFBDRN O=C(/C=C/c1cncs1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000493164707 394166742 /nfs/dbraw/zinc/16/67/42/394166742.db2.gz LTDYCCWWIHYYNF-DUXPYHPUSA-N 0 0 293.279 2.842 20 5 CFBDRN CC[C@@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000421220843 394183343 /nfs/dbraw/zinc/18/33/43/394183343.db2.gz PBRSXZCCWDPFHA-MRVPVSSYSA-N 0 0 269.276 2.902 20 5 CFBDRN C[C@]1(C(=O)NCc2ccccc2[N+](=O)[O-])CC=CCC1 ZINC000448722411 394174673 /nfs/dbraw/zinc/17/46/73/394174673.db2.gz CBKOGEFXIPPEHE-HNNXBMFYSA-N 0 0 274.320 2.957 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CC[C@H]1C ZINC000286699497 394199034 /nfs/dbraw/zinc/19/90/34/394199034.db2.gz OIGVGGOIYMMBHW-BMIGLBTASA-N 0 0 292.335 2.659 20 5 CFBDRN COCC1=CCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000286714533 394199556 /nfs/dbraw/zinc/19/95/56/394199556.db2.gz DTTVUAXNPQVLCA-UHFFFAOYSA-N 0 0 266.272 2.517 20 5 CFBDRN CCOC(=O)[C@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000286935723 394201124 /nfs/dbraw/zinc/20/11/24/394201124.db2.gz LVJJXMDVGWTXIP-JTQLQIEISA-N 0 0 296.298 2.513 20 5 CFBDRN COc1cccc(NCCCCn2ccnc2)c1[N+](=O)[O-] ZINC000134915206 394206200 /nfs/dbraw/zinc/20/62/00/394206200.db2.gz XCNGFUOYXRACRV-UHFFFAOYSA-N 0 0 290.323 2.692 20 5 CFBDRN CCC(CC)CNC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000448913423 394209055 /nfs/dbraw/zinc/20/90/55/394209055.db2.gz SOWFNSXCFLCZJM-UHFFFAOYSA-N 0 0 298.314 2.909 20 5 CFBDRN C[C@@H]1CCN(c2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000287764779 394210042 /nfs/dbraw/zinc/21/00/42/394210042.db2.gz NISGRDJEBRSIFS-SECBINFHSA-N 0 0 267.354 2.509 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCCSC1 ZINC000288175980 394211797 /nfs/dbraw/zinc/21/17/97/394211797.db2.gz DQMCUTLFAOMSJE-SNVBAGLBSA-N 0 0 284.385 2.675 20 5 CFBDRN CC1(F)CC(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000493330229 394212845 /nfs/dbraw/zinc/21/28/45/394212845.db2.gz YTFBQAJIXOEIKO-VOTSOKGWSA-N 0 0 278.283 2.615 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000493333846 394214363 /nfs/dbraw/zinc/21/43/63/394214363.db2.gz KUIYVAZAKFPOOX-BKVNPXPRSA-N 0 0 260.293 2.523 20 5 CFBDRN COC(=O)c1occc1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000135343790 394218630 /nfs/dbraw/zinc/21/86/30/394218630.db2.gz JDFDRVMUBPEDJD-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CC[C@@H](C)CONC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000291694532 394257305 /nfs/dbraw/zinc/25/73/05/394257305.db2.gz DUNGHYOQBAEHMM-SECBINFHSA-N 0 0 267.285 2.694 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC1(CF)CCC1 ZINC000493366722 394223450 /nfs/dbraw/zinc/22/34/50/394223450.db2.gz IYDHWIDHMSUBHG-WAYWQWQTSA-N 0 0 278.283 2.616 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)/C=C/[C@H]1CCOC1 ZINC000493377950 394226400 /nfs/dbraw/zinc/22/64/00/394226400.db2.gz ACJBHJIMGKPEMM-PCAWENJQSA-N 0 0 290.319 2.743 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC1CC(F)(F)C1 ZINC000493400334 394232212 /nfs/dbraw/zinc/23/22/12/394232212.db2.gz KZUOMSWKZVNDOI-SNAWJCMRSA-N 0 0 282.246 2.522 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@H](C(C)C)C2)c1 ZINC000136307205 394232796 /nfs/dbraw/zinc/23/27/96/394232796.db2.gz XOYHOTPTLXYPPF-LBPRGKRZSA-N 0 0 292.335 2.864 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\c1cnccn1 ZINC000493403108 394233352 /nfs/dbraw/zinc/23/33/52/394233352.db2.gz SEANMKWXMXPVFC-XQRVVYSFSA-N 0 0 298.302 2.599 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\c2ccn(C)c2)cc1[N+](=O)[O-] ZINC000493402936 394233388 /nfs/dbraw/zinc/23/33/88/394233388.db2.gz PBDWKIMYYYMTDC-XQRVVYSFSA-N 0 0 285.303 2.894 20 5 CFBDRN CCc1ncsc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000136771920 394235751 /nfs/dbraw/zinc/23/57/51/394235751.db2.gz DVAVRFVUQDUWGG-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000133914894 394184503 /nfs/dbraw/zinc/18/45/03/394184503.db2.gz RWYVJCVWDGZPPD-DGCLKSJQSA-N 0 0 293.323 2.592 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCOC2(CCC2)C1 ZINC000286076126 394188300 /nfs/dbraw/zinc/18/83/00/394188300.db2.gz BGXUKMQRWODOPH-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(CC(C)(C)C)OC ZINC000286111319 394192819 /nfs/dbraw/zinc/19/28/19/394192819.db2.gz DPGLIONSTZIVQD-UHFFFAOYSA-N 0 0 296.323 2.653 20 5 CFBDRN Nc1c(F)c(NCC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000291802808 394262544 /nfs/dbraw/zinc/26/25/44/394262544.db2.gz JQBZTLYDARXWQB-UHFFFAOYSA-N 0 0 275.230 2.773 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CC[C@@H]1C1CC1 ZINC000493531436 394271184 /nfs/dbraw/zinc/27/11/84/394271184.db2.gz TXKXUJFHSDLCDP-MEJMFZKBSA-N 0 0 272.304 2.619 20 5 CFBDRN CCC[C@@H](CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000493535594 394272613 /nfs/dbraw/zinc/27/26/13/394272613.db2.gz ZQJXWEADQZXTBL-YHAOYDPOSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cc(N[C@H]2CC(C)(C)OC2=O)c(F)cc1[N+](=O)[O-] ZINC000292595421 394275451 /nfs/dbraw/zinc/27/54/51/394275451.db2.gz MAGNNHOXJUAGEJ-JTQLQIEISA-N 0 0 282.271 2.548 20 5 CFBDRN CCC1(CC)CN(c2ccc(C(=O)NC)cc2[N+](=O)[O-])C1 ZINC000292896552 394277857 /nfs/dbraw/zinc/27/78/57/394277857.db2.gz WHIRFKPOAIRXLS-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN Cc1n[nH]cc1NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000153613714 394287154 /nfs/dbraw/zinc/28/71/54/394287154.db2.gz PCTXPSZQIOTHNY-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN CC(C)(C)NC(=O)c1cc(-c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000294536284 394293458 /nfs/dbraw/zinc/29/34/58/394293458.db2.gz SHKLBRQTMPFWPN-UHFFFAOYSA-N 0 0 288.307 2.513 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@H](c2ccco2)C1 ZINC000370857566 394293431 /nfs/dbraw/zinc/29/34/31/394293431.db2.gz ORBAXCVFHGYUKW-VIFPVBQESA-N 0 0 292.316 2.879 20 5 CFBDRN Cc1nc(N(C)[C@H]2CCC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000450496038 394293759 /nfs/dbraw/zinc/29/37/59/394293759.db2.gz XHQVNBOZCFZARX-JTQLQIEISA-N 0 0 264.329 2.708 20 5 CFBDRN C[C@@H]1CN(Cc2c(Cl)cccc2[N+](=O)[O-])CCCO1 ZINC000493883996 394334804 /nfs/dbraw/zinc/33/48/04/394334804.db2.gz USNFTGCFDGFSFL-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1nc(N[C@H]2CCC[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000296657943 394311289 /nfs/dbraw/zinc/31/12/89/394311289.db2.gz YAXUASRSNPNAAV-WPRPVWTQSA-N 0 0 250.302 2.684 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])c(-c2ccccc2)n1)C1COC1 ZINC000450657170 394311552 /nfs/dbraw/zinc/31/15/52/394311552.db2.gz YPIWPIFNADEQSN-UHFFFAOYSA-N 0 0 299.330 2.882 20 5 CFBDRN CC(=O)c1ccc(N2C[C@H](C)OC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000044477609 134909466 /nfs/dbraw/zinc/90/94/66/134909466.db2.gz ZSMXOBGEZJELCI-JTQLQIEISA-N 0 0 292.335 2.801 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000450665457 394313743 /nfs/dbraw/zinc/31/37/43/394313743.db2.gz GFUXFHRENGTTLN-LDYMZIIASA-N 0 0 282.727 2.911 20 5 CFBDRN CCO/C=C\C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000493790047 394317092 /nfs/dbraw/zinc/31/70/92/394317092.db2.gz OKEAWXZYIDNSCB-FPLPWBNLSA-N 0 0 264.281 2.700 20 5 CFBDRN Cc1nc(N2C[C@@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)ccc1[N+](=O)[O-] ZINC000450695271 394319084 /nfs/dbraw/zinc/31/90/84/394319084.db2.gz RQZHDIPTTQVPDH-IATRGZMQSA-N 0 0 285.347 2.947 20 5 CFBDRN CC(C)(C)OC(=O)C1CN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000450697927 394320739 /nfs/dbraw/zinc/32/07/39/394320739.db2.gz OKZOHKLIBJYHCV-UHFFFAOYSA-N 0 0 296.298 2.512 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C1CC1 ZINC000156570907 394335608 /nfs/dbraw/zinc/33/56/08/394335608.db2.gz SMCOUUKIKATDNX-SNVBAGLBSA-N 0 0 274.320 2.526 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/C1CC1 ZINC000493824503 394323953 /nfs/dbraw/zinc/32/39/53/394323953.db2.gz OTZPBUZXIORWNX-QPJJXVBHSA-N 0 0 261.281 2.541 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000493846428 394328765 /nfs/dbraw/zinc/32/87/65/394328765.db2.gz BQLBKMFIWBEGQE-KHTDBFMXSA-N 0 0 272.304 2.523 20 5 CFBDRN Cc1ccnc(N[C@@H]([C@@H](CO)C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000450778039 394339814 /nfs/dbraw/zinc/33/98/14/394339814.db2.gz NAUPURUBISZLBL-QWHCGFSZSA-N 0 0 291.351 2.507 20 5 CFBDRN Cc1cc(N2C[C@H](C3CC3)[C@@H]2C2CC2)ncc1[N+](=O)[O-] ZINC000450802636 394340911 /nfs/dbraw/zinc/34/09/11/394340911.db2.gz ZNNWIIPGKFQWQK-DOMZBBRYSA-N 0 0 273.336 2.923 20 5 CFBDRN COc1cccc([C@@H]2C[C@H]2Nc2ncccc2[N+](=O)[O-])c1 ZINC000450852047 394341261 /nfs/dbraw/zinc/34/12/61/394341261.db2.gz CASLMDKEGXCBAI-QWHCGFSZSA-N 0 0 285.303 2.966 20 5 CFBDRN COC[C@@H]1CCCN(c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000450950028 394343988 /nfs/dbraw/zinc/34/39/88/394343988.db2.gz UMPDQZMCWLBCPY-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000157618416 394347759 /nfs/dbraw/zinc/34/77/59/394347759.db2.gz IKGJAFSNZIKOBP-GFCCVEGCSA-N 0 0 260.293 2.742 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C=CCCC2)cc([N+](=O)[O-])c1 ZINC000158457882 394357674 /nfs/dbraw/zinc/35/76/74/394357674.db2.gz HLPFRNPDLKUJDO-GFCCVEGCSA-N 0 0 260.293 2.742 20 5 CFBDRN CN(C(=O)c1cccs1)c1cccc([N+](=O)[O-])c1 ZINC000158485972 394357861 /nfs/dbraw/zinc/35/78/61/394357861.db2.gz WPBBMBVIIUJGPC-UHFFFAOYSA-N 0 0 262.290 2.933 20 5 CFBDRN C[C@@]1(F)CCCN(CCOc2cccc([N+](=O)[O-])c2)C1 ZINC000451123150 394361115 /nfs/dbraw/zinc/36/11/15/394361115.db2.gz UMUOYBFGABDQEZ-CQSZACIVSA-N 0 0 282.315 2.798 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCSc1ccccc1 ZINC000159302549 394365393 /nfs/dbraw/zinc/36/53/93/394365393.db2.gz WIGFVLZQRYBBTO-UHFFFAOYSA-N 0 0 276.317 2.549 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000510107260 394368160 /nfs/dbraw/zinc/36/81/60/394368160.db2.gz YTDGACPRYDKPPY-AOOOYVTPSA-N 0 0 284.743 2.857 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@H](OC)C2)c(F)cc1[N+](=O)[O-] ZINC000275766518 135112188 /nfs/dbraw/zinc/11/21/88/135112188.db2.gz AABZZROPFFGHTR-YMTOWFKASA-N 0 0 298.314 2.604 20 5 CFBDRN Cc1cc(N2CCC([C@H]3CCCO3)CC2)ncc1[N+](=O)[O-] ZINC000275801766 135113112 /nfs/dbraw/zinc/11/31/12/135113112.db2.gz DBNGHJZULNIHJT-CQSZACIVSA-N 0 0 291.351 2.694 20 5 CFBDRN C[C@@H](C(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000457492482 394468827 /nfs/dbraw/zinc/46/88/27/394468827.db2.gz WFPKYBHMOJCSBU-LLVKDONJSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@@H](C(=O)NCCNc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000457399853 394446888 /nfs/dbraw/zinc/44/68/88/394446888.db2.gz KXLFEYFIVVCPLZ-LLVKDONJSA-N 0 0 291.351 2.559 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCC[C@@H]1C ZINC000535185828 394532557 /nfs/dbraw/zinc/53/25/57/394532557.db2.gz MZESGVVBIHFNSS-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN CC(C)(C(=O)NCc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000538672304 394608139 /nfs/dbraw/zinc/60/81/39/394608139.db2.gz BXDCILHUCCYVCK-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN COc1cc(NCc2ccccc2CO)ccc1[N+](=O)[O-] ZINC000539188519 394622754 /nfs/dbraw/zinc/62/27/54/394622754.db2.gz HBISZTPVKAGVNR-UHFFFAOYSA-N 0 0 288.303 2.708 20 5 CFBDRN Cc1cccc(F)c1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000539533338 394628364 /nfs/dbraw/zinc/62/83/64/394628364.db2.gz PXMGATOCJVEVEN-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN CCC[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000541636776 394679715 /nfs/dbraw/zinc/67/97/15/394679715.db2.gz DOSGDEXPAUPNNM-LLVKDONJSA-N 0 0 277.324 2.785 20 5 CFBDRN CCCCc1nc(Cc2ccc([N+](=O)[O-])c(OC)c2)no1 ZINC000545048428 394777088 /nfs/dbraw/zinc/77/70/88/394777088.db2.gz GLFMIXQHOXOWAD-UHFFFAOYSA-N 0 0 291.307 2.920 20 5 CFBDRN C[C@@H]1C[C@@H]1c1ccc(CNc2ncc([N+](=O)[O-])cn2)o1 ZINC000546899212 394848478 /nfs/dbraw/zinc/84/84/78/394848478.db2.gz YXGJQZSAIABOMW-KCJUWKMLSA-N 0 0 274.280 2.713 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000547180069 394853967 /nfs/dbraw/zinc/85/39/67/394853967.db2.gz GVBKHNFVNUCJDG-GZMMTYOYSA-N 0 0 259.265 2.692 20 5 CFBDRN O=C(Nc1ccnc2ccccc21)c1ccccc1[N+](=O)[O-] ZINC000547315851 394858666 /nfs/dbraw/zinc/85/86/66/394858666.db2.gz DBIQRGBVHNFBIK-UHFFFAOYSA-N 0 0 293.282 2.817 20 5 CFBDRN Cc1c(CC(=O)NCC2CC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000548057185 394907013 /nfs/dbraw/zinc/90/70/13/394907013.db2.gz UDRTYNLORSVAKL-UHFFFAOYSA-N 0 0 290.363 2.998 20 5 CFBDRN Nc1c(F)c(N2C[C@H]3CCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000548121177 394912470 /nfs/dbraw/zinc/91/24/70/394912470.db2.gz KWVQGFNNQTYOBS-RKDXNWHRSA-N 0 0 265.288 2.552 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H](CO)Cc1ccco1 ZINC000548284500 394921077 /nfs/dbraw/zinc/92/10/77/394921077.db2.gz AVXXHGZZCLLIDT-GFCCVEGCSA-N 0 0 290.319 2.759 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H]2OCCC[C@H]2C1 ZINC000301744778 296500308 /nfs/dbraw/zinc/50/03/08/296500308.db2.gz NIDGLGXMQTWYOE-CABZTGNLSA-N 0 0 297.742 2.649 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)[C@@H]1CCC[C@@H](C)C1 ZINC000556693462 395009416 /nfs/dbraw/zinc/00/94/16/395009416.db2.gz ANLNRLKLOIMBAI-GRYCIOLGSA-N 0 0 291.351 2.934 20 5 CFBDRN CN1C(=O)COc2cc(Nc3ccc([N+](=O)[O-])cc3)ccc21 ZINC000557929460 395049812 /nfs/dbraw/zinc/04/98/12/395049812.db2.gz OZMKQWUNYPLQOU-UHFFFAOYSA-N 0 0 299.286 2.694 20 5 CFBDRN C[C@@H]1C[C@@H]1Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000558520455 395062664 /nfs/dbraw/zinc/06/26/64/395062664.db2.gz UAOWAIQTRCAWBE-RNFRBKRXSA-N 0 0 265.294 2.905 20 5 CFBDRN O=[N+]([O-])c1cccc(SCc2nnc3n2CCCC3)c1 ZINC000558687232 395071173 /nfs/dbraw/zinc/07/11/73/395071173.db2.gz JDDNTALYHUDQHF-UHFFFAOYSA-N 0 0 290.348 2.815 20 5 CFBDRN O=C(Nc1ccc(-c2ccc[nH]2)cc1)c1c[nH]nc1[N+](=O)[O-] ZINC000558754364 395074867 /nfs/dbraw/zinc/07/48/67/395074867.db2.gz DXBPAMYWXUHJBB-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCc2ncsc2C1 ZINC000445676408 296501611 /nfs/dbraw/zinc/50/16/11/296501611.db2.gz RTYDLQHIZVGNPE-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@@]2(O)CCCC[C@H]2C1 ZINC000227374397 296505539 /nfs/dbraw/zinc/50/55/39/296505539.db2.gz IXMZXDCRJKAIBP-NHYWBVRUSA-N 0 0 294.326 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)cc1 ZINC000269882901 296507688 /nfs/dbraw/zinc/50/76/88/296507688.db2.gz AMSOWRWUGDFIFS-MJBXVCDLSA-N 0 0 274.320 2.964 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCC[C@@](F)(CO)C1 ZINC000292957257 296510766 /nfs/dbraw/zinc/51/07/66/296510766.db2.gz GHZWJRFYBRPOKU-LBPRGKRZSA-N 0 0 288.706 2.549 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCO[C@H](C2CC2)C1 ZINC000368394263 296510892 /nfs/dbraw/zinc/51/08/92/296510892.db2.gz UEZRMRBVLNRWBT-LBPRGKRZSA-N 0 0 282.727 2.863 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@@H]1c1cc[nH]n1 ZINC000429716429 296511333 /nfs/dbraw/zinc/51/13/33/296511333.db2.gz OPNQXEYFUXRDNR-CQSZACIVSA-N 0 0 290.298 2.794 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H](OCC2CC2)C1 ZINC000372268851 296514685 /nfs/dbraw/zinc/51/46/85/296514685.db2.gz TZRMIRICFOSHNU-CYBMUJFWSA-N 0 0 276.336 2.990 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1COc2cccc(F)c21 ZINC000360708785 296518026 /nfs/dbraw/zinc/51/80/26/296518026.db2.gz ACOFXXUWILTMDJ-SECBINFHSA-N 0 0 275.239 2.675 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1C[C@]12CCOc1ccccc12 ZINC000361827573 296518293 /nfs/dbraw/zinc/51/82/93/296518293.db2.gz ADRLRUXFDWRMOO-HOCLYGCPSA-N 0 0 297.314 2.895 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)CC1(C)C ZINC000295811307 285721616 /nfs/dbraw/zinc/72/16/16/285721616.db2.gz UFDRGYJLFGYTMR-CYBMUJFWSA-N 0 0 264.325 2.846 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000355980429 155135455 /nfs/dbraw/zinc/13/54/55/155135455.db2.gz FFEYNPFEBYLPGR-JTQLQIEISA-N 0 0 280.324 2.722 20 5 CFBDRN COc1c(C(=O)N[C@H](C)CC2CC2)cccc1[N+](=O)[O-] ZINC000355985306 155136290 /nfs/dbraw/zinc/13/62/90/155136290.db2.gz ABXOFHDAWMXQGT-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1sccc1NCC(O)(C1CC1)C1CC1 ZINC000601195041 397587392 /nfs/dbraw/zinc/58/73/92/397587392.db2.gz BECARQLHTAXPSB-UHFFFAOYSA-N 0 0 268.338 2.619 20 5 CFBDRN Cc1ccc(N2CCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000301459367 292135139 /nfs/dbraw/zinc/13/51/39/292135139.db2.gz YEYQLGCIFYAZJM-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc(N2CCC[C@H](n3cccn3)C2)c([N+](=O)[O-])c1 ZINC000301426505 292134444 /nfs/dbraw/zinc/13/44/44/292134444.db2.gz COYVCYOELTVAAX-ZDUSSCGKSA-N 0 0 286.335 2.941 20 5 CFBDRN Cc1ccc(N2CC[C@@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000301598702 292137435 /nfs/dbraw/zinc/13/74/35/292137435.db2.gz HKFAGLAPTZMAGC-CQSZACIVSA-N 0 0 262.309 2.520 20 5 CFBDRN Cc1ccc(N2CC[C@H]([C@@H]3CCOC3)C2)c([N+](=O)[O-])c1 ZINC000378238517 292139112 /nfs/dbraw/zinc/13/91/12/292139112.db2.gz TUJYZIYBGUBXFL-QWHCGFSZSA-N 0 0 276.336 2.766 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2nc(C)ccc2[N+](=O)[O-])C1(C)C ZINC000360869611 285925707 /nfs/dbraw/zinc/92/57/07/285925707.db2.gz DZZZJAWPJRGZNA-RISCZKNCSA-N 0 0 279.340 2.914 20 5 CFBDRN CC1CCC(c2noc(Cn3cc([N+](=O)[O-])cn3)n2)CC1 ZINC000356197173 155182018 /nfs/dbraw/zinc/18/20/18/155182018.db2.gz UXFQUOGFOTUPMF-UHFFFAOYSA-N 0 0 291.311 2.516 20 5 CFBDRN CCOC1CC(N(C)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000340917763 286014009 /nfs/dbraw/zinc/01/40/09/286014009.db2.gz QCYYNZZSDLFBDL-UHFFFAOYSA-N 0 0 264.325 2.907 20 5 CFBDRN COc1ncccc1-c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000356232022 155188495 /nfs/dbraw/zinc/18/84/95/155188495.db2.gz NWWBVGPXYKPRJD-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN O=C(NCC1(C(F)(F)F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000356268812 155203652 /nfs/dbraw/zinc/20/36/52/155203652.db2.gz FCIVVTVERGQQLI-UHFFFAOYSA-N 0 0 288.225 2.667 20 5 CFBDRN Cc1ccc([C@@H](C)N(C)c2c([N+](=O)[O-])ncn2C)cc1 ZINC000264960246 292191116 /nfs/dbraw/zinc/19/11/16/292191116.db2.gz YXVPDJQXOFLNDL-LLVKDONJSA-N 0 0 274.324 2.834 20 5 CFBDRN C[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000356367027 155241643 /nfs/dbraw/zinc/24/16/43/155241643.db2.gz LYYGNSFKTGKMCT-SSDOTTSWSA-N 0 0 276.214 2.523 20 5 CFBDRN COCCCCc1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000356371257 155243615 /nfs/dbraw/zinc/24/36/15/155243615.db2.gz VPEANEFSGYIGQS-UHFFFAOYSA-N 0 0 295.270 2.753 20 5 CFBDRN CCC[C@@H](CNc1c(F)cccc1[N+](=O)[O-])OC ZINC000356411405 155257535 /nfs/dbraw/zinc/25/75/35/155257535.db2.gz KGXKLNSWOCCHOQ-VIFPVBQESA-N 0 0 256.277 2.961 20 5 CFBDRN CO[C@](C)([C@H](C)Nc1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000413522155 286151428 /nfs/dbraw/zinc/15/14/28/286151428.db2.gz RUNPCSVDOBUEQC-TVQRCGJNSA-N 0 0 265.313 2.605 20 5 CFBDRN CO[C@]1(C)CCCN(c2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000359749034 286162431 /nfs/dbraw/zinc/16/24/31/286162431.db2.gz SPHFLDJEVNUFBR-CQSZACIVSA-N 0 0 279.340 2.612 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000280195178 286161892 /nfs/dbraw/zinc/16/18/92/286161892.db2.gz FSQHVDUHQNAJAZ-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN CCOC[C@H]1CCCCN1c1ncc(C)cc1[N+](=O)[O-] ZINC000413427036 286178551 /nfs/dbraw/zinc/17/85/51/286178551.db2.gz LMWXPTMGXZJAGF-GFCCVEGCSA-N 0 0 279.340 2.694 20 5 CFBDRN COc1cc(CN2C[C@H](C)[C@H]2C)c([N+](=O)[O-])cc1F ZINC000293546563 286220852 /nfs/dbraw/zinc/22/08/52/286220852.db2.gz YPYGIYWBRVJEPG-DTWKUNHWSA-N 0 0 268.288 2.583 20 5 CFBDRN Cc1ccc(CN2CCOc3ccc([N+](=O)[O-])cc3C2)o1 ZINC000356523615 155291200 /nfs/dbraw/zinc/29/12/00/155291200.db2.gz MBPBTMXNYSLZCQ-UHFFFAOYSA-N 0 0 288.303 2.891 20 5 CFBDRN COc1cc(N2CCCCC2)c([N+](=O)[O-])cc1F ZINC000136385379 286228487 /nfs/dbraw/zinc/22/84/87/286228487.db2.gz PBFBGIQQPPCECQ-UHFFFAOYSA-N 0 0 254.261 2.733 20 5 CFBDRN COc1cc(N2C[C@H]3CCCC[C@H]32)ccc1[N+](=O)[O-] ZINC000377975818 286231550 /nfs/dbraw/zinc/23/15/50/286231550.db2.gz HFZGOHPULJHBIL-ZYHUDNBSSA-N 0 0 262.309 2.982 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCCOc1ccccc1 ZINC000356601692 155315107 /nfs/dbraw/zinc/31/51/07/155315107.db2.gz ZTCHSQUDAOIMPB-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000356730999 155344033 /nfs/dbraw/zinc/34/40/33/155344033.db2.gz JILRLSHDUFNQFT-TVQRCGJNSA-N 0 0 296.323 2.603 20 5 CFBDRN CN(Cc1ccon1)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000356738483 155345403 /nfs/dbraw/zinc/34/54/03/155345403.db2.gz IJQBDXUUASAUOC-UHFFFAOYSA-N 0 0 284.275 2.767 20 5 CFBDRN COC(=O)c1cc(Nc2ccc([N+](=O)[O-])c(C)c2)cn1C ZINC000356750556 155352319 /nfs/dbraw/zinc/35/23/19/155352319.db2.gz ZVRVUJOQJWDZEB-UHFFFAOYSA-N 0 0 289.291 2.772 20 5 CFBDRN CSc1ccc(C(=O)N[C@H]2CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000356769224 155361184 /nfs/dbraw/zinc/36/11/84/155361184.db2.gz XXPRYORRZAPBEX-WPRPVWTQSA-N 0 0 280.349 2.845 20 5 CFBDRN Cc1nn(Cc2ccn(-c3ccccc3)n2)c(C)c1[N+](=O)[O-] ZINC000356791857 155370367 /nfs/dbraw/zinc/37/03/67/155370367.db2.gz JZQXTKWDWIOLPV-UHFFFAOYSA-N 0 0 297.318 2.642 20 5 CFBDRN C[C@@H](NCc1c([N+](=O)[O-])ncn1C)c1ccc(Cl)cc1 ZINC000356797525 155371466 /nfs/dbraw/zinc/37/14/66/155371466.db2.gz FRZXONFXTOKCET-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])[C@H](C)[N@@H+]1Cc1csc([N+](=O)[O-])c1 ZINC000574101360 413274451 /nfs/dbraw/zinc/27/44/51/413274451.db2.gz OCAKIIQCXARNHH-YWVKMMECSA-N 0 0 298.364 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(CCCO)CC2)c1 ZINC000272909756 292284395 /nfs/dbraw/zinc/28/43/95/292284395.db2.gz NTODZCHBNQRTLR-UHFFFAOYSA-N 0 0 278.352 2.892 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CC[C@@H](C)O1 ZINC000154020948 292284087 /nfs/dbraw/zinc/28/40/87/292284087.db2.gz AKBLXJREHFPAKU-BXKDBHETSA-N 0 0 278.308 2.718 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC=C(Br)C2)n1 ZINC000281655244 292285089 /nfs/dbraw/zinc/28/50/89/292285089.db2.gz NZVKBOFJBSVCHP-UHFFFAOYSA-N 0 0 298.140 2.787 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC3(CCOCC3)[C@@H]2C)n1 ZINC000374931596 292285313 /nfs/dbraw/zinc/28/53/13/292285313.db2.gz UEUHXBKNQAGHBM-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(OCC(C)C)CC2)n1 ZINC000121823633 292284893 /nfs/dbraw/zinc/28/48/93/292284893.db2.gz IDXVPXVMLZNFLT-UHFFFAOYSA-N 0 0 293.367 2.940 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C)=C[C@H](C)C1 ZINC000435268237 286383737 /nfs/dbraw/zinc/38/37/37/286383737.db2.gz OHVQJXGSYDPTIB-JTQLQIEISA-N 0 0 290.319 2.642 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC(C)=C[C@@H](C)C2)c1 ZINC000436003277 286381119 /nfs/dbraw/zinc/38/11/19/286381119.db2.gz RZVLCNHSIDDLMW-SNVBAGLBSA-N 0 0 290.319 2.642 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC(CF)CC2)c1 ZINC000295026848 286382050 /nfs/dbraw/zinc/38/20/50/286382050.db2.gz RHCZRANBQDXDCY-UHFFFAOYSA-N 0 0 268.288 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CC[C@@H](C)C2)c1 ZINC000263669257 292290880 /nfs/dbraw/zinc/29/08/80/292290880.db2.gz IQWBFWKAQNDWFH-GFCCVEGCSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@H](C)C[C@@H]1C ZINC000282735165 292293656 /nfs/dbraw/zinc/29/36/56/292293656.db2.gz ZZSIUQPWVBNUKO-OPQQBVKSSA-N 0 0 278.308 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Oc1c(C)c(C)nc2ncnn21 ZINC000301568103 292293675 /nfs/dbraw/zinc/29/36/75/292293675.db2.gz QMNYPMBBZBJZIP-UHFFFAOYSA-N 0 0 299.290 2.750 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])cc2F)nc(C)n1 ZINC000343143590 154124093 /nfs/dbraw/zinc/12/40/93/154124093.db2.gz QOTDKKXTGFKZFT-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN CC(=O)c1cc(NCCCOC(F)F)ccc1[N+](=O)[O-] ZINC000343155902 154128489 /nfs/dbraw/zinc/12/84/89/154128489.db2.gz WOOONZSGPJZKLX-UHFFFAOYSA-N 0 0 288.250 2.839 20 5 CFBDRN COC(=O)C(C)(C)CCNc1ccc([N+](=O)[O-])cc1F ZINC000343228919 154148823 /nfs/dbraw/zinc/14/88/23/154148823.db2.gz ZWDDQLDRKCDDHR-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN CO[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000343231160 154148836 /nfs/dbraw/zinc/14/88/36/154148836.db2.gz XWINZOYTAJBUFF-CMPLNLGQSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1cnc(N[C@H](c2nccn2C)C2CC2)c([N+](=O)[O-])c1 ZINC000343255050 154156761 /nfs/dbraw/zinc/15/67/61/154156761.db2.gz UIOLRKQIAXORGJ-LBPRGKRZSA-N 0 0 287.323 2.595 20 5 CFBDRN Cc1cc(N(C)[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000343268784 154163182 /nfs/dbraw/zinc/16/31/82/154163182.db2.gz XATHYCAZLMKZOF-LBPRGKRZSA-N 0 0 250.298 2.518 20 5 CFBDRN CN(c1ccc2cc([N+](=O)[O-])ccc2n1)[C@@H]1CCCOC1 ZINC000343295436 154169519 /nfs/dbraw/zinc/16/95/19/154169519.db2.gz XWIFXFMXGUMQJU-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(C(F)(F)F)CC2)s1 ZINC000343346829 154180873 /nfs/dbraw/zinc/18/08/73/154180873.db2.gz IKEMPQWRDFYFKV-UHFFFAOYSA-N 0 0 267.232 2.806 20 5 CFBDRN Cc1nnc(CSCCOc2ccccc2[N+](=O)[O-])o1 ZINC000345526918 154195466 /nfs/dbraw/zinc/19/54/66/154195466.db2.gz TZAOXWBRQWXFFA-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1C[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000345565132 154206923 /nfs/dbraw/zinc/20/69/23/154206923.db2.gz IWIZAORCBXIICH-HGNGGELXSA-N 0 0 299.277 2.609 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000345605360 154217099 /nfs/dbraw/zinc/21/70/99/154217099.db2.gz JCSOGGSJAXVAII-WDEREUQCSA-N 0 0 296.298 2.614 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000345630849 154224005 /nfs/dbraw/zinc/22/40/05/154224005.db2.gz LFQWINHTHHWXSI-VXGBXAGGSA-N 0 0 280.299 2.778 20 5 CFBDRN COc1cccc(-c2nc(CC(C)C)no2)c1[N+](=O)[O-] ZINC000345641841 154228621 /nfs/dbraw/zinc/22/86/21/154228621.db2.gz FURSGQHQXKJFEV-UHFFFAOYSA-N 0 0 277.280 2.852 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CC[C@@H](F)C2)cc([N+](=O)[O-])c1C ZINC000345653205 154232687 /nfs/dbraw/zinc/23/26/87/154232687.db2.gz XMMFODWZDJRLAV-GHMZBOCLSA-N 0 0 296.298 2.532 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)co1 ZINC000345658512 154233538 /nfs/dbraw/zinc/23/35/38/154233538.db2.gz YYLULJNOOHEHSL-UHFFFAOYSA-N 0 0 286.247 2.795 20 5 CFBDRN C[C@H]1COCC[C@@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000345730513 154251711 /nfs/dbraw/zinc/25/17/11/154251711.db2.gz QLNXURJPCLSWRW-CABZTGNLSA-N 0 0 289.291 2.785 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000345801438 154270264 /nfs/dbraw/zinc/27/02/64/154270264.db2.gz LOWHVIBKUCNJNA-WDEREUQCSA-N 0 0 276.336 2.992 20 5 CFBDRN CC1(CC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000348010532 154285833 /nfs/dbraw/zinc/28/58/33/154285833.db2.gz NBSIZIUTUGBFRT-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1cncc(F)c1 ZINC000348020864 154288644 /nfs/dbraw/zinc/28/86/44/154288644.db2.gz UPGJBWAFVVFCOC-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN C[C@H](C(=O)NC[C@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000348036260 154293831 /nfs/dbraw/zinc/29/38/31/154293831.db2.gz JEQYUEDTLMXFEW-KXUCPTDWSA-N 0 0 280.299 2.610 20 5 CFBDRN Cc1cccc(CNC(=O)CNc2cccc([N+](=O)[O-])c2)c1 ZINC000348076722 154308433 /nfs/dbraw/zinc/30/84/33/154308433.db2.gz XFGYKGYFNKIPJX-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)C(F)(F)F ZINC000348084015 154313093 /nfs/dbraw/zinc/31/30/93/154313093.db2.gz XTMYONMAFYBJAS-SNVBAGLBSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCCOC1 ZINC000348167039 154346109 /nfs/dbraw/zinc/34/61/09/154346109.db2.gz MEZQQBAHTOBKPS-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN COC1CCC(CCNC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000348233729 154372042 /nfs/dbraw/zinc/37/20/42/154372042.db2.gz VGIJLJHYXBLFLP-UHFFFAOYSA-N 0 0 296.323 2.513 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1cncs1 ZINC000348269294 154388992 /nfs/dbraw/zinc/38/89/92/154388992.db2.gz DIHONBVBICFINU-MRVPVSSYSA-N 0 0 277.305 2.542 20 5 CFBDRN Cc1cc(C(=O)NCC2(C(F)F)CC2)ccc1[N+](=O)[O-] ZINC000348773290 154404070 /nfs/dbraw/zinc/40/40/70/154404070.db2.gz XLMAPMSCALYSGR-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN CC(C)(C)[C@H](CO)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352354902 154541921 /nfs/dbraw/zinc/54/19/21/154541921.db2.gz FYHMOYGHBHGJEK-ZDUSSCGKSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@H](CO)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352359604 154543808 /nfs/dbraw/zinc/54/38/08/154543808.db2.gz IISWKPZOBXMMFT-LBPRGKRZSA-N 0 0 275.308 2.572 20 5 CFBDRN CC(C)CC[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000352427191 154567502 /nfs/dbraw/zinc/56/75/02/154567502.db2.gz CNABIDBZAUBZKS-WDEREUQCSA-N 0 0 294.355 2.653 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccc2cc[nH]c2c1 ZINC000352434393 154570661 /nfs/dbraw/zinc/57/06/61/154570661.db2.gz VTKRMIVTDOSBED-UHFFFAOYSA-N 0 0 284.275 2.681 20 5 CFBDRN COc1cccc(N2CCC(CCCO)CC2)c1[N+](=O)[O-] ZINC000274684652 286455671 /nfs/dbraw/zinc/45/56/71/286455671.db2.gz HZXSRVGLYLPKDZ-UHFFFAOYSA-N 0 0 294.351 2.592 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2nc(C(C)C)no2)n1 ZINC000354550082 154744349 /nfs/dbraw/zinc/74/43/49/154744349.db2.gz WILIHFFPDRDELX-UHFFFAOYSA-N 0 0 292.295 2.692 20 5 CFBDRN COc1cccc(N2C[C@H](C)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000274718412 286458437 /nfs/dbraw/zinc/45/84/37/286458437.db2.gz WZVVTKXHNHBDAS-UWVGGRQHSA-N 0 0 250.298 2.696 20 5 CFBDRN C/C=C/C=C/c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355440166 155007928 /nfs/dbraw/zinc/00/79/28/155007928.db2.gz LQWREWDAWQWXCT-MQQKCMAXSA-N 0 0 272.264 2.816 20 5 CFBDRN Cc1ccc(CN(CCO)Cc2csc([N+](=O)[O-])c2)o1 ZINC000355650167 155055204 /nfs/dbraw/zinc/05/52/04/155055204.db2.gz MEFMGEZSMFAAOS-UHFFFAOYSA-N 0 0 296.348 2.552 20 5 CFBDRN CCc1ccccc1-c1noc(-c2nn(C)cc2[N+](=O)[O-])n1 ZINC000355819560 155096731 /nfs/dbraw/zinc/09/67/31/155096731.db2.gz STUQRNNUVSPOBH-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN Cc1cnc(NC[C@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000355784368 155086990 /nfs/dbraw/zinc/08/69/90/155086990.db2.gz OWPXTAAXEIFGIP-ZETCQYMHSA-N 0 0 263.219 2.909 20 5 CFBDRN Cn1c(-c2nc(-c3ccccc3F)no2)ccc1[N+](=O)[O-] ZINC000355790016 155088268 /nfs/dbraw/zinc/08/82/68/155088268.db2.gz HNDHTQPAOUWGLU-UHFFFAOYSA-N 0 0 288.238 2.789 20 5 CFBDRN CCC(=O)c1ccc(NC[C@@H](CO)C2CC2)c([N+](=O)[O-])c1 ZINC000357783459 155534406 /nfs/dbraw/zinc/53/44/06/155534406.db2.gz OUHSLXMYCVNFNN-LBPRGKRZSA-N 0 0 292.335 2.618 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H](CO)C2CC2)ccc2ncccc21 ZINC000357790675 155538923 /nfs/dbraw/zinc/53/89/23/155538923.db2.gz YGMHHDHXJYXXRA-NSHDSACASA-N 0 0 287.319 2.573 20 5 CFBDRN CC(C)(C(=O)NCCCCF)c1ccccc1[N+](=O)[O-] ZINC000357964535 155590426 /nfs/dbraw/zinc/59/04/26/155590426.db2.gz NOGYDSLEHSWFAO-UHFFFAOYSA-N 0 0 282.315 2.738 20 5 CFBDRN CC[C@H](C)c1ncc(NC(=O)c2ccc([N+](=O)[O-])o2)cn1 ZINC000358196533 155667154 /nfs/dbraw/zinc/66/71/54/155667154.db2.gz ZGIXZRYQBQVYEI-QMMMGPOBSA-N 0 0 290.279 2.744 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCSc2cccnn2)cc1 ZINC000358266051 155697166 /nfs/dbraw/zinc/69/71/66/155697166.db2.gz MRNFUFSZBSOFFD-UHFFFAOYSA-N 0 0 291.332 2.946 20 5 CFBDRN Cc1csc(Sc2ccncc2[N+](=O)[O-])n1 ZINC000359014876 155954646 /nfs/dbraw/zinc/95/46/46/155954646.db2.gz YWADJSWSSVIAIJ-UHFFFAOYSA-N 0 0 253.308 2.906 20 5 CFBDRN Cc1nccc(CSc2ccc([N+](=O)[O-])cc2)n1 ZINC000359132769 155998011 /nfs/dbraw/zinc/99/80/11/155998011.db2.gz NNHXTWHUBZCTLG-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(S[C@H]2CCCOC2)c1 ZINC000360173854 156041378 /nfs/dbraw/zinc/04/13/78/156041378.db2.gz FTYOBWDPEZUAMN-JTQLQIEISA-N 0 0 297.332 2.653 20 5 CFBDRN Cc1ncc(NC(=O)c2c(C)cccc2[N+](=O)[O-])s1 ZINC000362793981 156085683 /nfs/dbraw/zinc/08/56/83/156085683.db2.gz KHVYOPXTVQNQNW-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1COc2ccccc21 ZINC000366947342 156258118 /nfs/dbraw/zinc/25/81/18/156258118.db2.gz OZTBHIJCXMIRTG-LBPRGKRZSA-N 0 0 299.282 2.985 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@H]2CCCOCC2)cc1[N+](=O)[O-] ZINC000366979025 156262433 /nfs/dbraw/zinc/26/24/33/156262433.db2.gz ABZQYIOVVULGPJ-JTQLQIEISA-N 0 0 296.298 2.798 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC[C@@H](C2CC2)C1 ZINC000367104268 156282420 /nfs/dbraw/zinc/28/24/20/156282420.db2.gz ZMCZROWLYOPCPE-CQSZACIVSA-N 0 0 288.347 2.786 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCC2(CCC2)C1 ZINC000369616300 156598173 /nfs/dbraw/zinc/59/81/73/156598173.db2.gz QTMUOKCKZLOXTO-UHFFFAOYSA-N 0 0 264.281 2.594 20 5 CFBDRN CCOc1cccc(N2CC[C@@H](OC)[C@H](C)C2)c1[N+](=O)[O-] ZINC000450761744 286573458 /nfs/dbraw/zinc/57/34/58/286573458.db2.gz OYJHVEOIWARWOT-DGCLKSJQSA-N 0 0 294.351 2.855 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)Cc3ccccc3C2)cc1 ZINC000371712552 156814281 /nfs/dbraw/zinc/81/42/81/156814281.db2.gz GJGQIGHEDHOYJB-UHFFFAOYSA-N 0 0 284.315 2.537 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC[C@@H](Oc2ccccc2)C1 ZINC000374224076 157085566 /nfs/dbraw/zinc/08/55/66/157085566.db2.gz QOMQNKFSWOUBNZ-CYBMUJFWSA-N 0 0 285.303 2.648 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC([C@@H]3CCCOC3)CC2)s1 ZINC000374492602 157120976 /nfs/dbraw/zinc/12/09/76/157120976.db2.gz PPYHWXRYNXIIMN-LLVKDONJSA-N 0 0 297.380 2.694 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2cccnc2)s1 ZINC000374496537 157121607 /nfs/dbraw/zinc/12/16/07/157121607.db2.gz OFDLAQPHVSQVEJ-SNVBAGLBSA-N 0 0 276.321 2.788 20 5 CFBDRN CO[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000374717626 157153810 /nfs/dbraw/zinc/15/38/10/157153810.db2.gz RJBFSDNAFUKUAM-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN COC[C@@H]1CCCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000375142645 157199840 /nfs/dbraw/zinc/19/98/40/157199840.db2.gz OQTFEXWENGYBPR-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CCCOCC2)cccc1[N+](=O)[O-] ZINC000376117297 157324305 /nfs/dbraw/zinc/32/43/05/157324305.db2.gz RYXKHOYPRAPSRE-LLVKDONJSA-N 0 0 279.292 2.625 20 5 CFBDRN Nc1ccc(N2CC[C@H](c3ccco3)C2)c([N+](=O)[O-])c1 ZINC000376212750 157334782 /nfs/dbraw/zinc/33/47/82/157334782.db2.gz PAFXCNKSUFQGDT-JTQLQIEISA-N 0 0 273.292 2.764 20 5 CFBDRN Nc1ccc(N2CC[C@@H](c3ccco3)C2)c([N+](=O)[O-])c1 ZINC000376212756 157335370 /nfs/dbraw/zinc/33/53/70/157335370.db2.gz PAFXCNKSUFQGDT-SNVBAGLBSA-N 0 0 273.292 2.764 20 5 CFBDRN Cc1nc(N2CC(F)(c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000376236875 157337870 /nfs/dbraw/zinc/33/78/70/157337870.db2.gz LXFVNFIPGSSWLR-UHFFFAOYSA-N 0 0 287.294 2.983 20 5 CFBDRN CC(=O)c1cc(N2CCC3(CCO3)CC2)ccc1[N+](=O)[O-] ZINC000376379624 157348124 /nfs/dbraw/zinc/34/81/24/157348124.db2.gz ALDFMJZHUXWEAZ-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCCCC(C)(C)C2)c1[N+](=O)[O-] ZINC000408412705 157396832 /nfs/dbraw/zinc/39/68/32/157396832.db2.gz RRYUVULPWRCYSI-UHFFFAOYSA-N 0 0 294.355 2.533 20 5 CFBDRN CSC[C@H]1CCCN(c2ccncc2[N+](=O)[O-])C1 ZINC000488953925 286684190 /nfs/dbraw/zinc/68/41/90/286684190.db2.gz LJNCVPWDWBQUOU-JTQLQIEISA-N 0 0 267.354 2.569 20 5 CFBDRN COc1ccc(O)c(NC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000340311287 161595039 /nfs/dbraw/zinc/59/50/39/161595039.db2.gz BKWBXXUJELPZJJ-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CC[C@H](NCc1nnc(C)s1)c1cccc([N+](=O)[O-])c1 ZINC000342270859 161611238 /nfs/dbraw/zinc/61/12/38/161611238.db2.gz WYQOEPKIXHIYSS-LBPRGKRZSA-N 0 0 292.364 2.996 20 5 CFBDRN CCC(CC)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342872525 161731798 /nfs/dbraw/zinc/73/17/98/161731798.db2.gz CDPZMUAKRHVRKE-UHFFFAOYSA-N 0 0 275.308 2.995 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1c(F)cccc1[N+](=O)[O-] ZINC000342928286 161740102 /nfs/dbraw/zinc/74/01/02/161740102.db2.gz BXQORSOIUFGGHR-BXKDBHETSA-N 0 0 268.288 2.961 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1ccc(Cl)cn1 ZINC000343474789 161778883 /nfs/dbraw/zinc/77/88/83/161778883.db2.gz LJUJBDFDWDOATF-UHFFFAOYSA-N 0 0 293.710 2.686 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCOC[C@@H]2C)n1 ZINC000343498882 161783103 /nfs/dbraw/zinc/78/31/03/161783103.db2.gz BYXTWRFCZFAACI-CMPLNLGQSA-N 0 0 279.340 2.691 20 5 CFBDRN CC[C@]1(C)CCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000343603495 161815589 /nfs/dbraw/zinc/81/55/89/161815589.db2.gz NLSVGGSSGFCZSN-GFCCVEGCSA-N 0 0 280.353 2.930 20 5 CFBDRN CCc1nnc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)s1 ZINC000343681344 161837249 /nfs/dbraw/zinc/83/72/49/161837249.db2.gz GIMZXLBVHGWWRS-SECBINFHSA-N 0 0 292.364 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3C[C@H]3C(F)F)ccc2c1 ZINC000343760369 161856326 /nfs/dbraw/zinc/85/63/26/161856326.db2.gz IHSPVEOTJGTFRU-MWLCHTKSSA-N 0 0 279.246 2.630 20 5 CFBDRN COc1ccc(CNc2ncccc2[N+](=O)[O-])c(F)c1 ZINC000343909626 161890179 /nfs/dbraw/zinc/89/01/79/161890179.db2.gz RAXHMVOUDOVUCZ-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC(=O)c1ccc(NCCc2cc(C)no2)c([N+](=O)[O-])c1 ZINC000343992588 161907931 /nfs/dbraw/zinc/90/79/31/161907931.db2.gz ZAZCCAFFSPBJCH-UHFFFAOYSA-N 0 0 289.291 2.748 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000344369501 162027517 /nfs/dbraw/zinc/02/75/17/162027517.db2.gz GMVCWUJUNWDDBI-NRUUGDAUSA-N 0 0 277.324 2.754 20 5 CFBDRN Cc1ccsc1CN(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000344397578 162036088 /nfs/dbraw/zinc/03/60/88/162036088.db2.gz JLSWOHIKOZSULE-UHFFFAOYSA-N 0 0 293.348 2.575 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])nc1)[C@@H](C)c1cccnc1 ZINC000344430393 162041417 /nfs/dbraw/zinc/04/14/17/162041417.db2.gz KACFUBJAVQZHDC-NSHDSACASA-N 0 0 272.308 2.972 20 5 CFBDRN CCCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])nc2)CC1 ZINC000344442307 162044281 /nfs/dbraw/zinc/04/42/81/162044281.db2.gz SBUICOSJSSQSGB-CYBMUJFWSA-N 0 0 279.340 2.775 20 5 CFBDRN C[C@H](CC(=O)OC(C)(C)C)Nc1ccc([N+](=O)[O-])cn1 ZINC000344449121 162045807 /nfs/dbraw/zinc/04/58/07/162045807.db2.gz WMRISMKVSVLDSP-SECBINFHSA-N 0 0 281.312 2.522 20 5 CFBDRN Cc1cc(Cl)ccc1NC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000344607678 162077302 /nfs/dbraw/zinc/07/73/02/162077302.db2.gz RKKMHXJLAQGYIM-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC(C)C[C@H](C)Cn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000344607826 162078269 /nfs/dbraw/zinc/07/82/69/162078269.db2.gz GCJUIAGGGBFJPR-NSHDSACASA-N 0 0 289.335 2.987 20 5 CFBDRN Cc1ccc(NC(=O)c2nn(C)cc2[N+](=O)[O-])c(Cl)c1 ZINC000344610433 162081023 /nfs/dbraw/zinc/08/10/23/162081023.db2.gz WJRKMYIACUPGOJ-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1ccc(F)cn1 ZINC000344612741 162081331 /nfs/dbraw/zinc/08/13/31/162081331.db2.gz JGHHHSSZFRWKRS-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN Cc1csc(CNc2cc(C)c([N+](=O)[O-])cn2)c1 ZINC000344639780 162087858 /nfs/dbraw/zinc/08/78/58/162087858.db2.gz YTZCALHRSGMLCT-UHFFFAOYSA-N 0 0 263.322 2.702 20 5 CFBDRN COc1ccc(OCc2ccc(F)cn2)c([N+](=O)[O-])c1 ZINC000344640528 162088437 /nfs/dbraw/zinc/08/84/37/162088437.db2.gz IGUWYAYRLMJXOC-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN COc1cc(NC(=O)c2cccc([N+](=O)[O-])c2C)ccn1 ZINC000344665411 162092433 /nfs/dbraw/zinc/09/24/33/162092433.db2.gz RDWGAZMWUCSXQV-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CN(C)c1ccc(NCC=Cc2ccccc2[N+](=O)[O-])nc1 ZINC000345028770 162164976 /nfs/dbraw/zinc/16/49/76/162164976.db2.gz DADICCFWXFJLJP-ALCCZGGFSA-N 0 0 298.346 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCc2ccc(O)cc2)cn1 ZINC000345117053 162181807 /nfs/dbraw/zinc/18/18/07/162181807.db2.gz XBDAILXUJVSNCA-UHFFFAOYSA-N 0 0 273.292 2.740 20 5 CFBDRN Cc1cccc(F)c1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000345359094 162234145 /nfs/dbraw/zinc/23/41/45/162234145.db2.gz XCLXODTXLYCMKO-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1ncc(C)cn1 ZINC000345362557 162235765 /nfs/dbraw/zinc/23/57/65/162235765.db2.gz GFWMTASQUMGJLJ-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCCSC2)cc([N+](=O)[O-])c1 ZINC000345873193 162269058 /nfs/dbraw/zinc/26/90/58/162269058.db2.gz VBONAXDHBFCFER-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ns1 ZINC000345924130 162276827 /nfs/dbraw/zinc/27/68/27/162276827.db2.gz KKDQUEYWCAODJM-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN COc1cc(-c2nc(CC3CC3)no2)cc([N+](=O)[O-])c1C ZINC000345927023 162277478 /nfs/dbraw/zinc/27/74/78/162277478.db2.gz HDKJABOFICHJBH-UHFFFAOYSA-N 0 0 289.291 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2ccn(C(F)F)n2)nc1 ZINC000346087137 162315719 /nfs/dbraw/zinc/31/57/19/162315719.db2.gz WDQLFNUFSZXBAU-OWOJBTEDSA-N 0 0 266.207 2.752 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2csc([N+](=O)[O-])c2)nc1 ZINC000346225245 162357993 /nfs/dbraw/zinc/35/79/93/162357993.db2.gz WAFSMYMXKFHUSM-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CCN(CC1CC1)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347300172 162648915 /nfs/dbraw/zinc/64/89/15/162648915.db2.gz KFVCCRKKPIFWIV-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN C[C@@H](C(=O)N1C[C@H](C)[C@H](C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000347580455 162730650 /nfs/dbraw/zinc/73/06/50/162730650.db2.gz BUKPQTNEANFGDU-OUAUKWLOSA-N 0 0 294.326 2.952 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000347770745 162773293 /nfs/dbraw/zinc/77/32/93/162773293.db2.gz HJPFPKWWXOCQCQ-BXUZGUMPSA-N 0 0 291.351 2.577 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H](C)CCC2CC2)c1[N+](=O)[O-] ZINC000347828455 162781683 /nfs/dbraw/zinc/78/16/83/162781683.db2.gz NZEGMQMYHQBFBK-SECBINFHSA-N 0 0 294.355 2.579 20 5 CFBDRN COC1CCC(CCNc2ccc([N+](=O)[O-])nc2)CC1 ZINC000347871589 162792758 /nfs/dbraw/zinc/79/27/58/162792758.db2.gz HFPOFCGVEILHAW-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN CCN(CC(F)F)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000347881782 162794634 /nfs/dbraw/zinc/79/46/34/162794634.db2.gz TVYQJGRBKTXNJP-UHFFFAOYSA-N 0 0 259.256 2.698 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c(C)s1)C1CCC1 ZINC000348312485 162824581 /nfs/dbraw/zinc/82/45/81/162824581.db2.gz DBJIMRLXHLSSRV-UHFFFAOYSA-N 0 0 268.338 2.979 20 5 CFBDRN CCc1ccc(CCn2ccc(C)c([N+](=O)[O-])c2=O)cc1 ZINC000349147601 162946509 /nfs/dbraw/zinc/94/65/09/162946509.db2.gz ZVGJVFFARBAJQG-UHFFFAOYSA-N 0 0 286.331 2.870 20 5 CFBDRN Cc1ccc(C[C@@H](C)NC(=O)c2cc([N+](=O)[O-])c[nH]2)s1 ZINC000349358122 162971102 /nfs/dbraw/zinc/97/11/02/162971102.db2.gz XMSGWLRHTKPZKS-MRVPVSSYSA-N 0 0 293.348 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cccs3)no2)[nH]1 ZINC000349854655 163025346 /nfs/dbraw/zinc/02/53/46/163025346.db2.gz BPMCBNKRQAPBKG-UHFFFAOYSA-N 0 0 262.250 2.701 20 5 CFBDRN COc1ccc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)cn1 ZINC000349863249 163027857 /nfs/dbraw/zinc/02/78/57/163027857.db2.gz ZCAIUAJERWFAPI-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cccc(F)c3)no2)[nH]1 ZINC000349860776 163027862 /nfs/dbraw/zinc/02/78/62/163027862.db2.gz MVFQNBDSTGOWQU-UHFFFAOYSA-N 0 0 274.211 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccc(F)c(F)c3)no2)[nH]1 ZINC000349858705 163028175 /nfs/dbraw/zinc/02/81/75/163028175.db2.gz DWACZXGAVPVFKW-UHFFFAOYSA-N 0 0 292.201 2.918 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1ccnc2ccnn21 ZINC000349867518 163029753 /nfs/dbraw/zinc/02/97/53/163029753.db2.gz JHELBYKSMASFSK-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1ncc(COc2c(Cl)cncc2[N+](=O)[O-])s1 ZINC000349914171 163035826 /nfs/dbraw/zinc/03/58/26/163035826.db2.gz MSDBEVXDPDRHSK-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CC(C)c1nnc(COc2c(Cl)cncc2[N+](=O)[O-])o1 ZINC000349919263 163037313 /nfs/dbraw/zinc/03/73/13/163037313.db2.gz DJZODWLKGWOBIJ-UHFFFAOYSA-N 0 0 298.686 2.729 20 5 CFBDRN CCCCC1CCC(NC(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000350144683 163062518 /nfs/dbraw/zinc/06/25/18/163062518.db2.gz FHQGMDWVJOSRRC-UHFFFAOYSA-N 0 0 294.355 2.797 20 5 CFBDRN CO[C@@](C)(c1noc(-c2ccc([N+](=O)[O-])s2)n1)C1CC1 ZINC000350471171 163085275 /nfs/dbraw/zinc/08/52/75/163085275.db2.gz KSGBCDRJCATQIX-GFCCVEGCSA-N 0 0 295.320 2.978 20 5 CFBDRN CCOCCSCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000350665765 163097231 /nfs/dbraw/zinc/09/72/31/163097231.db2.gz FZPRJGMEKQVCQW-UHFFFAOYSA-N 0 0 271.338 2.873 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000350678278 163098120 /nfs/dbraw/zinc/09/81/20/163098120.db2.gz UKEYUDJZSKVYOJ-PSASIEDQSA-N 0 0 279.296 2.523 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC[C@H]1C ZINC000351146063 163121167 /nfs/dbraw/zinc/12/11/67/163121167.db2.gz PDBPODGKRFVLDC-PSASIEDQSA-N 0 0 279.296 2.523 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1C[C@H]1C(F)F ZINC000351200266 163122276 /nfs/dbraw/zinc/12/22/76/163122276.db2.gz JBIVHMLMZRJFHE-SCZZXKLOSA-N 0 0 285.250 2.678 20 5 CFBDRN COc1cc(N2CC[C@H](C3CC3)C2)c(F)cc1[N+](=O)[O-] ZINC000351499831 163136237 /nfs/dbraw/zinc/13/62/37/163136237.db2.gz CUGRBJCKLSPZRG-JTQLQIEISA-N 0 0 280.299 2.979 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccnc(C2CC2)n1 ZINC000351509059 163138156 /nfs/dbraw/zinc/13/81/56/163138156.db2.gz XFAQMDPFAUYVMT-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccon1 ZINC000351617238 163158499 /nfs/dbraw/zinc/15/84/99/163158499.db2.gz BKPKIOGGDXWBNL-SSDOTTSWSA-N 0 0 294.242 2.605 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCCCCO1 ZINC000351678908 163171794 /nfs/dbraw/zinc/17/17/94/163171794.db2.gz KSCZRSHWWUASMY-UHFFFAOYSA-N 0 0 296.348 2.631 20 5 CFBDRN Cc1ncsc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000351679710 163173157 /nfs/dbraw/zinc/17/31/57/163173157.db2.gz NZVBKTHSKBBILL-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN Cc1ncsc1NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000351680444 163173390 /nfs/dbraw/zinc/17/33/90/163173390.db2.gz SGBAKWVLCLYMSK-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(N[C@H]1CC2CCC1CC2)c1ccccc1[N+](=O)[O-] ZINC000351688738 163175450 /nfs/dbraw/zinc/17/54/50/163175450.db2.gz YGZXYMXNEQMZRP-XIVSLSHWSA-N 0 0 274.320 2.903 20 5 CFBDRN CC(C)C(=O)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000351699582 163177964 /nfs/dbraw/zinc/17/79/64/163177964.db2.gz ZNZFFXPIPLJFIF-UHFFFAOYSA-N 0 0 281.699 2.823 20 5 CFBDRN CCCCOCCN1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352676745 163222129 /nfs/dbraw/zinc/22/21/29/163222129.db2.gz IRLXVRNLPHPQRN-UHFFFAOYSA-N 0 0 294.351 2.606 20 5 CFBDRN CN(C/C=C\c1ccccc1[N+](=O)[O-])CC(F)F ZINC000352766193 163230540 /nfs/dbraw/zinc/23/05/40/163230540.db2.gz VIEDHMZARKQOKF-XQRVVYSFSA-N 0 0 256.252 2.805 20 5 CFBDRN COc1cc(CN(C)Cc2cccnc2)ccc1[N+](=O)[O-] ZINC000352766445 163231496 /nfs/dbraw/zinc/23/14/96/163231496.db2.gz CNJHBYRPDFUNKS-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN COCCC(C)(C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000353261204 163330483 /nfs/dbraw/zinc/33/04/83/163330483.db2.gz CMYKHOCXCQOQQQ-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN CCO[C@H]1COCC[C@H]1Nc1cc(C)ccc1[N+](=O)[O-] ZINC000353267096 163333416 /nfs/dbraw/zinc/33/34/16/163333416.db2.gz OQJPKGHXWCQVSU-RISCZKNCSA-N 0 0 280.324 2.509 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)c2ccon2)n1 ZINC000353270175 163334944 /nfs/dbraw/zinc/33/49/44/163334944.db2.gz VGWUZXPCZYMDCD-SECBINFHSA-N 0 0 262.269 2.768 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1csc([N+](=O)[O-])c1)C(C)C ZINC000353916167 163482719 /nfs/dbraw/zinc/48/27/19/163482719.db2.gz WNYJCODHULQHTG-SECBINFHSA-N 0 0 286.353 2.542 20 5 CFBDRN O=C(N[C@@H]1CC(=O)c2ccccc21)c1ccccc1[N+](=O)[O-] ZINC000354112681 163524371 /nfs/dbraw/zinc/52/43/71/163524371.db2.gz LPLAKUPEQJYAHO-CYBMUJFWSA-N 0 0 296.282 2.652 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000228129439 287104161 /nfs/dbraw/zinc/10/41/61/287104161.db2.gz KNRQANQRMAUNPQ-VHSXEESVSA-N 0 0 275.308 2.714 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)c1ccccc1[N+](=O)[O-] ZINC000335950226 287100945 /nfs/dbraw/zinc/10/09/45/287100945.db2.gz BFWXOBQQHDGAFF-GHMZBOCLSA-N 0 0 262.309 2.998 20 5 CFBDRN O=C(NC[C@H]1CCCO1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000284092038 195978129 /nfs/dbraw/zinc/97/81/29/195978129.db2.gz SBZNFELIIOSBSH-MRVPVSSYSA-N 0 0 299.714 2.549 20 5 CFBDRN CC[C@@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CCCO1 ZINC000281943350 287212527 /nfs/dbraw/zinc/21/25/27/287212527.db2.gz SLCNUZWGEUIDHE-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@@H]1CC(C)(C)CC[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000408345041 287213599 /nfs/dbraw/zinc/21/35/99/287213599.db2.gz DYAXXZDZQIKUIV-MWLCHTKSSA-N 0 0 279.340 2.868 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000005591514 170117111 /nfs/dbraw/zinc/11/71/11/170117111.db2.gz PZLUXNNVQHTFIJ-QMMMGPOBSA-N 0 0 295.295 2.730 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H]1CCCO1 ZINC000005816268 170142671 /nfs/dbraw/zinc/14/26/71/170142671.db2.gz HKPCMJNCIPOCHZ-SECBINFHSA-N 0 0 256.689 2.839 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000006120951 170177844 /nfs/dbraw/zinc/17/78/44/170177844.db2.gz JSDZGSKDHMJREX-ZETCQYMHSA-N 0 0 256.689 2.777 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000007889779 170306302 /nfs/dbraw/zinc/30/63/02/170306302.db2.gz SLUSJVCUVDIDNE-GFCCVEGCSA-N 0 0 294.351 2.915 20 5 CFBDRN COCC1(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000284356790 196037529 /nfs/dbraw/zinc/03/75/29/196037529.db2.gz YVIWYTOJISAXPT-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@@H](Oc1ccccc1C(N)=O)c1cccc([N+](=O)[O-])c1 ZINC000008221262 170325062 /nfs/dbraw/zinc/32/50/62/170325062.db2.gz KNFYXYKARNWYCL-SNVBAGLBSA-N 0 0 286.287 2.834 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1c1ncc([N+](=O)[O-])s1 ZINC000374422200 287235956 /nfs/dbraw/zinc/23/59/56/287235956.db2.gz JEZMFUDGKJVVKB-MRVPVSSYSA-N 0 0 253.327 2.820 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(-c3cccnc3)n2)c1 ZINC000013921045 170607911 /nfs/dbraw/zinc/60/79/11/170607911.db2.gz AFVRVPHPSWAWFD-UHFFFAOYSA-N 0 0 268.232 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1cccs1 ZINC000014601189 170638762 /nfs/dbraw/zinc/63/87/62/170638762.db2.gz YSWLAWVMWARCIU-UHFFFAOYSA-N 0 0 279.273 2.884 20 5 CFBDRN O=C(NCc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])o1 ZINC000016024414 170685358 /nfs/dbraw/zinc/68/53/58/170685358.db2.gz XTDUDYAUBSTBCY-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1c(F)cccc1[N+](=O)[O-] ZINC000505600912 287245937 /nfs/dbraw/zinc/24/59/37/287245937.db2.gz BEJIRXKAZUXHQA-WDEREUQCSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc(O)cc2)cc1[N+](=O)[O-] ZINC000020211395 171074966 /nfs/dbraw/zinc/07/49/66/171074966.db2.gz RSNKSASTOYVXHS-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN O=C(NCCCc1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000020448717 171207226 /nfs/dbraw/zinc/20/72/26/171207226.db2.gz YZBXSTIFUQVRET-UHFFFAOYSA-N 0 0 274.276 2.550 20 5 CFBDRN O=C(COc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000021355159 171303442 /nfs/dbraw/zinc/30/34/42/171303442.db2.gz KYGMONIPNXYCAY-UHFFFAOYSA-N 0 0 298.298 2.563 20 5 CFBDRN CCCCN(C)c1nc2sccn2c1[N+](=O)[O-] ZINC000022157458 171363004 /nfs/dbraw/zinc/36/30/04/171363004.db2.gz QNUUMJXNFKUYSW-UHFFFAOYSA-N 0 0 254.315 2.540 20 5 CFBDRN C[C@H]1CCC[C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000025571531 171460874 /nfs/dbraw/zinc/46/08/74/171460874.db2.gz NFOOHGVEGWPKGJ-ONGXEEELSA-N 0 0 277.324 2.684 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000269391585 287257795 /nfs/dbraw/zinc/25/77/95/287257795.db2.gz SCMNMXIYJFMUBA-PSASIEDQSA-N 0 0 285.731 2.647 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000032384546 171725043 /nfs/dbraw/zinc/72/50/43/171725043.db2.gz YXJJGZVVSDGZDF-JGVFFNPUSA-N 0 0 268.700 2.634 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSC1=NCCS1 ZINC000034639200 171846654 /nfs/dbraw/zinc/84/66/54/171846654.db2.gz VEECZKKDEXQCLS-UHFFFAOYSA-N 0 0 254.336 2.931 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000035134475 172001089 /nfs/dbraw/zinc/00/10/89/172001089.db2.gz YEYOVRATNWAKRG-BQYQJAHWSA-N 0 0 286.291 2.587 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@@H]1CCOC1 ZINC000035255718 172085119 /nfs/dbraw/zinc/08/51/19/172085119.db2.gz QOHXKQRXYWSQOA-QMMMGPOBSA-N 0 0 256.689 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCOC2)c(Cl)c1 ZINC000035255715 172085142 /nfs/dbraw/zinc/08/51/42/172085142.db2.gz DUGWRZGVNXZWDY-MRVPVSSYSA-N 0 0 256.689 2.697 20 5 CFBDRN CC[C@H](O)COc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000285309150 196247684 /nfs/dbraw/zinc/24/76/84/196247684.db2.gz YXQUTNYZLQWYDJ-LURJTMIESA-N 0 0 263.652 2.537 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000285336887 196254902 /nfs/dbraw/zinc/25/49/02/196254902.db2.gz KFFMERPLYHSGPF-TXEJJXNPSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccc(N[C@H](C)[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000035736180 172388739 /nfs/dbraw/zinc/38/87/39/172388739.db2.gz RTEGCGNAFZMUCV-MFKMUULPSA-N 0 0 250.298 2.883 20 5 CFBDRN COCC1(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCC1 ZINC000285382500 196267447 /nfs/dbraw/zinc/26/74/47/196267447.db2.gz LAUDPMPNNDEYOH-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1ncsc1CCN1CCc2c1cccc2[N+](=O)[O-] ZINC000285658307 196357073 /nfs/dbraw/zinc/35/70/73/196357073.db2.gz OXYYPMARJWHAPK-UHFFFAOYSA-N 0 0 289.360 2.965 20 5 CFBDRN CC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)CC1 ZINC000037285924 173091800 /nfs/dbraw/zinc/09/18/00/173091800.db2.gz CPFSXSPDOBVXPG-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286211 173092548 /nfs/dbraw/zinc/09/25/48/173092548.db2.gz HOJHDSYOVPKUAD-SECBINFHSA-N 0 0 268.288 2.995 20 5 CFBDRN COC(=O)CCNc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000037485721 173229306 /nfs/dbraw/zinc/22/93/06/173229306.db2.gz YZXPFYPUCMPMLO-UHFFFAOYSA-N 0 0 292.213 2.589 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H](O)c2ccccc2)cc1 ZINC000037492120 173233008 /nfs/dbraw/zinc/23/30/08/173233008.db2.gz NOWQWDRMJMSGGP-AWEZNQCLSA-N 0 0 259.261 2.707 20 5 CFBDRN Cc1ccc(NCCc2cccnc2)c([N+](=O)[O-])c1 ZINC000037718619 173636828 /nfs/dbraw/zinc/63/68/28/173636828.db2.gz LEKMBNPZGMRDKV-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1c(C(=O)NCc2cccc(O)c2)cccc1[N+](=O)[O-] ZINC000038032233 174027867 /nfs/dbraw/zinc/02/78/67/174027867.db2.gz SKGXRUGYBQSPMO-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000040454781 174267187 /nfs/dbraw/zinc/26/71/87/174267187.db2.gz XXTJHFXXYLWNHU-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN CC(C)(C)CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000040494834 174293822 /nfs/dbraw/zinc/29/38/22/174293822.db2.gz CPDBNLKOUDSMMX-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN O=C(CCc1ccco1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000040663414 174366102 /nfs/dbraw/zinc/36/61/02/174366102.db2.gz ROUUWPWIROPMDM-UHFFFAOYSA-N 0 0 286.287 2.710 20 5 CFBDRN CC(C)C[C@@H](CO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000040779241 174404211 /nfs/dbraw/zinc/40/42/11/174404211.db2.gz VVYRIZQUXZFLHR-LBPRGKRZSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cc(C)nc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000041005371 174448249 /nfs/dbraw/zinc/44/82/49/174448249.db2.gz APNHBOOAZHVRSF-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1nn(CC(=O)c2cc(F)ccc2F)c(C)c1[N+](=O)[O-] ZINC000041103220 174480609 /nfs/dbraw/zinc/48/06/09/174480609.db2.gz ZMHYVXRLVCIRBI-UHFFFAOYSA-N 0 0 295.245 2.569 20 5 CFBDRN O=C(NC[C@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1Cl ZINC000042821441 174887607 /nfs/dbraw/zinc/88/76/07/174887607.db2.gz CJVUGOUCEGZFQE-SNVBAGLBSA-N 0 0 298.726 2.547 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000043441629 175045651 /nfs/dbraw/zinc/04/56/51/175045651.db2.gz HWXNFGQJBPVCEC-LLVKDONJSA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccc(O)cc2)cc1[N+](=O)[O-] ZINC000043484874 175055607 /nfs/dbraw/zinc/05/56/07/175055607.db2.gz CIURHKCNRVCNLB-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1Cc1cccc(Cl)c1Cl ZINC000043669840 175086910 /nfs/dbraw/zinc/08/69/10/175086910.db2.gz GAGAIRVUUFSEFS-UHFFFAOYSA-N 0 0 287.106 2.729 20 5 CFBDRN C[C@H]1COCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000286290865 196555822 /nfs/dbraw/zinc/55/58/22/196555822.db2.gz HOMQNGXOQKMYFS-VHSXEESVSA-N 0 0 295.295 2.588 20 5 CFBDRN COC[C@@H](C)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000044477240 175178538 /nfs/dbraw/zinc/17/85/38/175178538.db2.gz FMMSIGAYZNYMQM-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000044692595 175233709 /nfs/dbraw/zinc/23/37/09/175233709.db2.gz RHWAYMSEWAFESP-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN C[C@@H]1CCC[C@@H]1Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000071208444 176081460 /nfs/dbraw/zinc/08/14/60/176081460.db2.gz JWUGLDRCTGNREK-KOLCDFICSA-N 0 0 298.364 2.599 20 5 CFBDRN CC[C@H](NCc1nccn1C)c1cccc([N+](=O)[O-])c1 ZINC000071273081 176104989 /nfs/dbraw/zinc/10/49/89/176104989.db2.gz BYZMDPMAKAPEHG-ZDUSSCGKSA-N 0 0 274.324 2.569 20 5 CFBDRN Cc1cc(NCC2CCSCC2)ncc1[N+](=O)[O-] ZINC000074751526 176608137 /nfs/dbraw/zinc/60/81/37/176608137.db2.gz BQNYRMNWQCMVSD-UHFFFAOYSA-N 0 0 267.354 2.853 20 5 CFBDRN C[C@@H]1CC[C@H](C)N(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000075287653 176683428 /nfs/dbraw/zinc/68/34/28/176683428.db2.gz GRDXFQFUIZRTDJ-NEPJUHHUSA-N 0 0 276.336 2.784 20 5 CFBDRN O=C(CCC(F)(F)F)OCc1ccc([N+](=O)[O-])cc1 ZINC000076857421 177122664 /nfs/dbraw/zinc/12/26/64/177122664.db2.gz KTDOBVWGVORPEZ-UHFFFAOYSA-N 0 0 277.198 2.981 20 5 CFBDRN COc1cc(NC[C@H]2CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000077208363 177235011 /nfs/dbraw/zinc/23/50/11/177235011.db2.gz PQEYGVWPKMOJBW-MFKMUULPSA-N 0 0 280.324 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@H]2CO)c(F)c1 ZINC000077209940 177235325 /nfs/dbraw/zinc/23/53/25/177235325.db2.gz IODHOHYEZXOZRH-JOYOIKCWSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCCC[C@@H]1CO ZINC000077209871 177235445 /nfs/dbraw/zinc/23/54/45/177235445.db2.gz HAIQHYZOUBEAHO-MNOVXSKESA-N 0 0 250.298 2.558 20 5 CFBDRN C[C@@H](CNc1ccc2ncccc2c1[N+](=O)[O-])C[C@H](C)O ZINC000077228406 177236282 /nfs/dbraw/zinc/23/62/82/177236282.db2.gz DNCDOLJPPGZRAO-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2cccnc12)[C@H](C)CO ZINC000077228140 177236310 /nfs/dbraw/zinc/23/63/10/177236310.db2.gz VFXNSYYZCCULBY-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@H](C)CO ZINC000077227868 177236604 /nfs/dbraw/zinc/23/66/04/177236604.db2.gz XLRKCBXVRJSKRX-NXEZZACHSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000078002566 177316087 /nfs/dbraw/zinc/31/60/87/177316087.db2.gz BANLCFXCIHGKJQ-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN CCC[C@H](CCO)CNc1ncc([N+](=O)[O-])cc1C ZINC000078672840 177368916 /nfs/dbraw/zinc/36/89/16/177368916.db2.gz LQTGVVMHZBIWEW-LLVKDONJSA-N 0 0 267.329 2.509 20 5 CFBDRN COc1c(C)cnc(COc2cccnc2[N+](=O)[O-])c1C ZINC000078807406 177391106 /nfs/dbraw/zinc/39/11/06/177391106.db2.gz KAXQDHUTSPUXGL-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN CCOCCCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000078812604 177391507 /nfs/dbraw/zinc/39/15/07/177391507.db2.gz GRGYPDDZVYRLGT-UHFFFAOYSA-N 0 0 288.250 2.628 20 5 CFBDRN COC(=O)C[C@H](C)Sc1ccc(F)cc1[N+](=O)[O-] ZINC000078836462 177396516 /nfs/dbraw/zinc/39/65/16/177396516.db2.gz JAPNEVJVPTVQJS-ZETCQYMHSA-N 0 0 273.285 2.778 20 5 CFBDRN C[C@H](O)[C@H](C)SCCCOc1ccc([N+](=O)[O-])cc1 ZINC000079041402 177419922 /nfs/dbraw/zinc/41/99/22/177419922.db2.gz WNPQZWVIXXBWHZ-QWRGUYRKSA-N 0 0 285.365 2.866 20 5 CFBDRN Cc1noc([C@H]2CCCN2c2ccc([N+](=O)[O-])cc2)n1 ZINC000286625370 196660611 /nfs/dbraw/zinc/66/06/11/196660611.db2.gz UVDVTMIHLYSGBI-GFCCVEGCSA-N 0 0 274.280 2.628 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCC1(F)CCC1 ZINC000345718648 225582601 /nfs/dbraw/zinc/58/26/01/225582601.db2.gz VZHJOASAKBLVSP-UHFFFAOYSA-N 0 0 280.299 2.536 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)C1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000078266002 287377772 /nfs/dbraw/zinc/37/77/72/287377772.db2.gz XJJXNVILHRJUAR-RKDXNWHRSA-N 0 0 266.297 2.742 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000286818452 287436337 /nfs/dbraw/zinc/43/63/37/287436337.db2.gz YAJFZSHBWXTJBM-CQSZACIVSA-N 0 0 282.315 2.723 20 5 CFBDRN C[C@@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)[C@@H]1C ZINC000302880545 287601586 /nfs/dbraw/zinc/60/15/86/287601586.db2.gz IJXHTEZXKBCUMK-NXEZZACHSA-N 0 0 261.325 2.798 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)[C@@H]1C ZINC001154800398 782024866 /nfs/dbraw/zinc/02/48/66/782024866.db2.gz AABSSRWMIOSPTL-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1nc(N[C@H]2CS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000397990061 270321475 /nfs/dbraw/zinc/32/14/75/270321475.db2.gz WMKWLWPCFIRAOG-VXNVDRBHSA-N 0 0 253.327 2.604 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1ccc(C)cc1[N+](=O)[O-] ZINC000313123031 260069065 /nfs/dbraw/zinc/06/90/65/260069065.db2.gz VRAPWIQJWGBVMN-GXFFZTMASA-N 0 0 252.314 2.865 20 5 CFBDRN C[C@@H](C(=O)N(CC(F)F)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000521339852 260081846 /nfs/dbraw/zinc/08/18/46/260081846.db2.gz VDLOJIPVQBPUSE-SECBINFHSA-N 0 0 298.289 2.954 20 5 CFBDRN C[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)c1cncc(F)c1 ZINC000089513217 260184460 /nfs/dbraw/zinc/18/44/60/260184460.db2.gz XSLWUCQLCXXPPK-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN COc1cccc(CNc2ccc([N+](=O)[O-])nc2)c1 ZINC000051449035 260209466 /nfs/dbraw/zinc/20/94/66/260209466.db2.gz VFGANAWGBCAFNL-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CCOc1cc(N[C@@H](CC)[C@@H](O)CC)ccc1[N+](=O)[O-] ZINC000313133990 260252589 /nfs/dbraw/zinc/25/25/89/260252589.db2.gz DLFAUKMAUHPDTA-AAEUAGOBSA-N 0 0 282.340 2.955 20 5 CFBDRN C[C@@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)n1cccc1 ZINC000517802770 260307703 /nfs/dbraw/zinc/30/77/03/260307703.db2.gz AAWZOTFHNOGQDA-LBPRGKRZSA-N 0 0 287.319 2.664 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000083794775 260356148 /nfs/dbraw/zinc/35/61/48/260356148.db2.gz JOZRQQPMYZYHJL-ZETCQYMHSA-N 0 0 256.689 2.777 20 5 CFBDRN CC(C)(C)OC(=O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000177328370 261346324 /nfs/dbraw/zinc/34/63/24/261346324.db2.gz BQWFRQIMYMHZMO-UHFFFAOYSA-N 0 0 287.699 2.969 20 5 CFBDRN C[C@H](C(=O)N[C@@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000182350353 261441610 /nfs/dbraw/zinc/44/16/10/261441610.db2.gz HCZPRDLALUZDBE-IUCAKERBSA-N 0 0 280.299 2.752 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NCc1ccc(O)cc1 ZINC000318804488 262064727 /nfs/dbraw/zinc/06/47/27/262064727.db2.gz SAPQRTNJYCLSIT-YFHOEESVSA-N 0 0 298.298 2.630 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCCC1(F)F ZINC000390860794 262355841 /nfs/dbraw/zinc/35/58/41/262355841.db2.gz HURZRLAWIFCNLG-VIFPVBQESA-N 0 0 288.298 2.967 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2cccs2)C2CC2)nc1 ZINC000273125840 263012979 /nfs/dbraw/zinc/01/29/79/263012979.db2.gz APVHTBMLWCJIDB-UHFFFAOYSA-N 0 0 276.321 2.615 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000297933097 263212421 /nfs/dbraw/zinc/21/24/21/263212421.db2.gz VEJMWQXUAHCCHL-KBPBESRZSA-N 0 0 292.335 2.763 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC[C@H](C)C(C)(C)C1 ZINC000518824436 264326378 /nfs/dbraw/zinc/32/63/78/264326378.db2.gz YPUBKGUDXBYKEK-JTQLQIEISA-N 0 0 280.372 2.992 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@@H](C)C(C)(C)C ZINC000518973562 265266415 /nfs/dbraw/zinc/26/64/15/265266415.db2.gz FSAHTUIOIBAVBJ-JTQLQIEISA-N 0 0 279.340 2.805 20 5 CFBDRN Cc1cc(NC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)n[nH]1 ZINC000192383628 270231495 /nfs/dbraw/zinc/23/14/95/270231495.db2.gz NLTRNWAMXWNMIG-UHFFFAOYSA-N 0 0 289.295 2.887 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@H](C)C1 ZINC000192639834 270232933 /nfs/dbraw/zinc/23/29/33/270232933.db2.gz YKSBIAWACLZBEM-JTQLQIEISA-N 0 0 262.309 2.775 20 5 CFBDRN COc1ccc(NC(=O)/C=C/c2cccnc2)c([N+](=O)[O-])c1 ZINC000192689373 270233670 /nfs/dbraw/zinc/23/36/70/270233670.db2.gz GALAPTKZUKQDHI-QPJJXVBHSA-N 0 0 299.286 2.650 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cccn1C ZINC000193678951 270239228 /nfs/dbraw/zinc/23/92/28/270239228.db2.gz ZUSFNDIGBRWJEG-UHFFFAOYSA-N 0 0 273.292 2.802 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000194297881 270243839 /nfs/dbraw/zinc/24/38/39/270243839.db2.gz GISQXYMKONRAPH-VHSXEESVSA-N 0 0 280.324 2.768 20 5 CFBDRN C/C=C/C=C\C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000211802757 270254530 /nfs/dbraw/zinc/25/45/30/270254530.db2.gz LPYJAZFNZWHWEK-IAROGAJJSA-N 0 0 250.229 2.805 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2F)C1(C)C ZINC000227821787 270258234 /nfs/dbraw/zinc/25/82/34/270258234.db2.gz GAXHWXVHXUBWEL-RYUDHWBXSA-N 0 0 268.288 2.959 20 5 CFBDRN CN(Cc1cccnc1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000229942150 270259275 /nfs/dbraw/zinc/25/92/75/270259275.db2.gz XDTAWGQYNRLXFR-TWGQIWQCSA-N 0 0 297.314 2.662 20 5 CFBDRN Cc1[nH]nc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c1C ZINC000235443829 270262104 /nfs/dbraw/zinc/26/21/04/270262104.db2.gz SKGIYBZHRWQRNY-VIFPVBQESA-N 0 0 288.307 2.677 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCOCC1CCCC1 ZINC000313465791 270290323 /nfs/dbraw/zinc/29/03/23/270290323.db2.gz DKPQATQLLZSFGX-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN NC(=O)c1ccc(N[C@H](C2CC2)C2CCC2)c([N+](=O)[O-])c1 ZINC000324974347 270298100 /nfs/dbraw/zinc/29/81/00/270298100.db2.gz OZPHPDAGBBTPTC-AWEZNQCLSA-N 0 0 289.335 2.684 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])c3ncccc23)CCOC1 ZINC000397185333 270315700 /nfs/dbraw/zinc/31/57/00/270315700.db2.gz LRXNZMOLAXEVTE-OAHLLOKOSA-N 0 0 287.319 2.982 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nc3sccn3c2[N+](=O)[O-])CS1 ZINC000397781313 270319321 /nfs/dbraw/zinc/31/93/21/270319321.db2.gz PBQZLESYMTURGM-RQJHMYQMSA-N 0 0 284.366 2.610 20 5 CFBDRN C[C@H]1C[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])CS1 ZINC000397781309 270319422 /nfs/dbraw/zinc/31/94/22/270319422.db2.gz PBQZLESYMTURGM-NKWVEPMBSA-N 0 0 284.366 2.610 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1csnn1 ZINC000398366694 270321919 /nfs/dbraw/zinc/32/19/19/270321919.db2.gz GDQNMUPPUPHZHZ-DTWKUNHWSA-N 0 0 278.337 2.858 20 5 CFBDRN Cc1c(CNC(=O)[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000481490765 270341023 /nfs/dbraw/zinc/34/10/23/270341023.db2.gz JIRLRBBPZLTMJC-JTQLQIEISA-N 0 0 264.325 2.812 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000514683299 270349473 /nfs/dbraw/zinc/34/94/73/270349473.db2.gz RVLKCKGPONSXLT-HTQZYQBOSA-N 0 0 256.252 2.856 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H](C3CCC3)C2)c1 ZINC000514781134 270353048 /nfs/dbraw/zinc/35/30/48/270353048.db2.gz NZIXPBINTMGRGV-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)CC2(CCC2)O1 ZINC000515349656 270369167 /nfs/dbraw/zinc/36/91/67/270369167.db2.gz BVWBPTAALJYOPO-SNVBAGLBSA-N 0 0 282.365 2.800 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C1CC2(CCC2)C1 ZINC000520815763 270420433 /nfs/dbraw/zinc/42/04/33/270420433.db2.gz YWFQMCVOMCMLMT-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000525943552 270476534 /nfs/dbraw/zinc/47/65/34/270476534.db2.gz IJMUPKPYOXHMHY-RYUDHWBXSA-N 0 0 291.351 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2cnc(N3CCCC3)nc2)nc1 ZINC000530994973 270818207 /nfs/dbraw/zinc/81/82/07/270818207.db2.gz QUSUXRUSBYGGOE-ARJAWSKDSA-N 0 0 297.318 2.550 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531880883 270846458 /nfs/dbraw/zinc/84/64/58/270846458.db2.gz UHDAYJJPLQKBGH-GHMZBOCLSA-N 0 0 277.324 2.546 20 5 CFBDRN CCNc1ccc(C(=O)NC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000532381647 270859041 /nfs/dbraw/zinc/85/90/41/270859041.db2.gz XLRUQOYFHHZFIO-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN CCn1nc(C)c(CNc2nc(C)ccc2[N+](=O)[O-])c1C ZINC000532463705 270860498 /nfs/dbraw/zinc/86/04/98/270860498.db2.gz QTBUNAYBXSVWAP-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OC[C@H]2CCCOC2)c1 ZINC000533228725 270876483 /nfs/dbraw/zinc/87/64/83/270876483.db2.gz XZEQVSSHYFMQMZ-VIFPVBQESA-N 0 0 255.245 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](N3CC=CC3)C2)c(Cl)c1 ZINC000266231252 275036859 /nfs/dbraw/zinc/03/68/59/275036859.db2.gz JMVKKJIDFSJZDI-GFCCVEGCSA-N 0 0 293.754 2.699 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC=C(c3ccccc3)C2)nc1 ZINC000376474103 275040810 /nfs/dbraw/zinc/04/08/10/275040810.db2.gz JLADDGPKICSNFM-UHFFFAOYSA-N 0 0 282.303 2.679 20 5 CFBDRN CC1(C)CCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 ZINC000172787715 275824474 /nfs/dbraw/zinc/82/44/74/275824474.db2.gz CVPOWGBMSCYNHC-UHFFFAOYSA-N 0 0 289.335 2.716 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H]1O ZINC000408150857 275843331 /nfs/dbraw/zinc/84/33/31/275843331.db2.gz SGMTUBPXLKUIGZ-FZMZJTMJSA-N 0 0 296.342 2.967 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000299103424 275863367 /nfs/dbraw/zinc/86/33/67/275863367.db2.gz IMFSEIDYXWTVDF-LBPRGKRZSA-N 0 0 274.276 2.869 20 5 CFBDRN CC(C)C1(C)CCN(c2ncc([N+](=O)[O-])cn2)CC1 ZINC000275051526 275871512 /nfs/dbraw/zinc/87/15/12/275871512.db2.gz SZLTYDPBVNUPIL-UHFFFAOYSA-N 0 0 264.329 2.647 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000129108970 275892758 /nfs/dbraw/zinc/89/27/58/275892758.db2.gz LSSNBGXKGZSCCC-CHWSQXEVSA-N 0 0 287.319 2.714 20 5 CFBDRN CCC1(CC)CCN(c2ncc([N+](=O)[O-])cn2)CC1 ZINC000275029780 275961541 /nfs/dbraw/zinc/96/15/41/275961541.db2.gz VXOYLIWYGHYJLL-UHFFFAOYSA-N 0 0 264.329 2.791 20 5 CFBDRN CCC1(c2ccccc2)CN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000413439573 275966281 /nfs/dbraw/zinc/96/62/81/275966281.db2.gz AYSJSGRUIAAGSI-UHFFFAOYSA-N 0 0 284.319 2.553 20 5 CFBDRN CC(C)[C@H]1N(c2ncc([N+](=O)[O-])s2)CC1(C)C ZINC000292717634 276035169 /nfs/dbraw/zinc/03/51/69/276035169.db2.gz LHKONMGWIOGEGR-SECBINFHSA-N 0 0 255.343 2.922 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000081851946 276128843 /nfs/dbraw/zinc/12/88/43/276128843.db2.gz IOBZHCZAVSOUHY-UHFFFAOYSA-N 0 0 280.349 2.562 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2F)CC(C)(C)O1 ZINC000408470040 276137006 /nfs/dbraw/zinc/13/70/06/276137006.db2.gz QAAILXMOFGOYBT-UHFFFAOYSA-N 0 0 283.303 2.523 20 5 CFBDRN CC1(C)CN(c2nccc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000413087775 276137009 /nfs/dbraw/zinc/13/70/09/276137009.db2.gz FKSDBDFYRVLSEP-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CC1(C)[C@H](Nc2ccc(F)cc2[N+](=O)[O-])[C@@H]2CCO[C@@H]21 ZINC000085632490 276163972 /nfs/dbraw/zinc/16/39/72/276163972.db2.gz WJPXBDVJKGHXNK-BIMULSAOSA-N 0 0 280.299 2.959 20 5 CFBDRN CC1(F)CC(Nc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000450581960 276169451 /nfs/dbraw/zinc/16/94/51/276169451.db2.gz RDMLALSKNRQEBB-UHFFFAOYSA-N 0 0 276.271 2.841 20 5 CFBDRN CC1CC(Nc2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000347411512 276187994 /nfs/dbraw/zinc/18/79/94/276187994.db2.gz IMHDUDAQQZQNNF-UHFFFAOYSA-N 0 0 258.281 2.748 20 5 CFBDRN CCC1(C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)CC1 ZINC000336492624 276214510 /nfs/dbraw/zinc/21/45/10/276214510.db2.gz SOFBSSBVNJBNRR-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN CCOC1CN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)C1 ZINC000338835740 276312690 /nfs/dbraw/zinc/31/26/90/276312690.db2.gz XJQDPOUAGYJJGM-UHFFFAOYSA-N 0 0 299.330 2.882 20 5 CFBDRN CCS[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000176709463 276397672 /nfs/dbraw/zinc/39/76/72/276397672.db2.gz YVQRMGOHOQVABL-NXEZZACHSA-N 0 0 298.364 2.982 20 5 CFBDRN CCO[C@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000450748703 276486300 /nfs/dbraw/zinc/48/63/00/276486300.db2.gz XGOSTRBVOHGKRN-RISCZKNCSA-N 0 0 264.325 2.846 20 5 CFBDRN CCOc1cc(F)c(Br)cc1[N+](=O)[O-] ZINC000188647937 276493062 /nfs/dbraw/zinc/49/30/62/276493062.db2.gz KXXRNECOCFGAGQ-UHFFFAOYSA-N 0 0 264.050 2.895 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000187668593 276596930 /nfs/dbraw/zinc/59/69/30/276596930.db2.gz AYANLMVIACBJPG-MWLCHTKSSA-N 0 0 278.312 2.642 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(C(F)(F)F)c1)[C@@H]1CCOC1 ZINC000266972611 277002866 /nfs/dbraw/zinc/00/28/66/277002866.db2.gz MMUPWAGDRHRRAE-SECBINFHSA-N 0 0 290.241 2.839 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@@H]2C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000301776622 277111083 /nfs/dbraw/zinc/11/10/83/277111083.db2.gz OMYZWYDHDKUBHK-ZWNOBZJWSA-N 0 0 292.335 2.537 20 5 CFBDRN CC(=O)c1ccc(N2CCOC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000270821390 277111425 /nfs/dbraw/zinc/11/14/25/277111425.db2.gz RVPGQFAHLRXTSM-UHFFFAOYSA-N 0 0 290.319 2.557 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC=C(C)C1 ZINC000340872865 277113805 /nfs/dbraw/zinc/11/38/05/277113805.db2.gz PTXFWCJZRJOJME-UHFFFAOYSA-N 0 0 260.293 2.954 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@@](C)(F)C1 ZINC000361045417 277114053 /nfs/dbraw/zinc/11/40/53/277114053.db2.gz LGXNYQISVVRXJG-CYBMUJFWSA-N 0 0 266.272 2.736 20 5 CFBDRN CC(C)(C)OCC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000182852935 277212224 /nfs/dbraw/zinc/21/22/24/277212224.db2.gz CFRCIXLXRNHGCH-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000135120531 277229168 /nfs/dbraw/zinc/22/91/68/277229168.db2.gz LFUCRUDDHVQISL-ZJUUUORDSA-N 0 0 294.355 2.653 20 5 CFBDRN CC(C)(C)[C@@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000349203840 277229363 /nfs/dbraw/zinc/22/93/63/277229363.db2.gz VJVBKEFLMAFEJU-NXEZZACHSA-N 0 0 294.355 2.653 20 5 CFBDRN CO[C@@H]1CCCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000363677890 277285807 /nfs/dbraw/zinc/28/58/07/277285807.db2.gz ZFXMOEVQJFFODX-SNVBAGLBSA-N 0 0 286.278 2.878 20 5 CFBDRN CO[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000371729221 277285911 /nfs/dbraw/zinc/28/59/11/277285911.db2.gz ZDXGTYLQUAMJBQ-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN CNc1c(C(=O)Nc2ccncc2C)cccc1[N+](=O)[O-] ZINC000161486030 277301485 /nfs/dbraw/zinc/30/14/85/277301485.db2.gz CUFFEQWJSXEENG-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CNc1c(C(=O)Nc2cnc(C)s2)cccc1[N+](=O)[O-] ZINC000360947848 277301532 /nfs/dbraw/zinc/30/15/32/277301532.db2.gz YHCZZBFCWYNPGP-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN COc1cc(N2CCC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000119794791 277381673 /nfs/dbraw/zinc/38/16/73/277381673.db2.gz KNDVNCFNZAHSKH-MRVPVSSYSA-N 0 0 254.261 2.731 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H]1CF ZINC000296973384 277389784 /nfs/dbraw/zinc/38/97/84/277389784.db2.gz SLLFOVREGBBBDE-SNVBAGLBSA-N 0 0 297.286 2.569 20 5 CFBDRN COC(=O)c1cc(N2CC[C@@H](C)[C@@H]2C)cc(C)c1[N+](=O)[O-] ZINC000301676468 277423432 /nfs/dbraw/zinc/42/34/32/277423432.db2.gz HTTBESNQAVGLSX-KOLCDFICSA-N 0 0 292.335 2.925 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000413529910 277431677 /nfs/dbraw/zinc/43/16/77/277431677.db2.gz JDCHERGRZAQJOC-MXWKQRLJSA-N 0 0 280.324 2.829 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]3OCCC[C@@H]23)c1 ZINC000338715589 277431690 /nfs/dbraw/zinc/43/16/90/277431690.db2.gz RLBOOBIVAAAMGY-MISXGVKJSA-N 0 0 278.308 2.583 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N(C)CC(C)(C)C)c1 ZINC000136301678 277436284 /nfs/dbraw/zinc/43/62/84/277436284.db2.gz OZLOGKWEXFNKMT-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN C[C@@H](CO)Nc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000309749155 277590465 /nfs/dbraw/zinc/59/04/65/277590465.db2.gz JZZARCOFVTUKBK-YFKPBYRVSA-N 0 0 265.096 2.694 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cn[nH]c1)c1ccccc1[N+](=O)[O-] ZINC000222455808 277627070 /nfs/dbraw/zinc/62/70/70/277627070.db2.gz OKXHZSNRIBERSF-VHSXEESVSA-N 0 0 260.297 2.730 20 5 CFBDRN CO[C@@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2F)C[C@H]1C ZINC000450768087 277658631 /nfs/dbraw/zinc/65/86/31/277658631.db2.gz UWITVDQBHSZUOL-QMTHXVAHSA-N 0 0 282.315 2.904 20 5 CFBDRN CC(C)OC(=O)[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1 ZINC000302746057 277670894 /nfs/dbraw/zinc/67/08/94/277670894.db2.gz SVIDYUQVKVMXHS-ZDUSSCGKSA-N 0 0 278.308 2.515 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])[C@@H]1C ZINC000193320311 277729225 /nfs/dbraw/zinc/72/92/25/277729225.db2.gz JFXCYUHGYPGMPG-FOGDFJRCSA-N 0 0 291.351 2.732 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000374657769 277739370 /nfs/dbraw/zinc/73/93/70/277739370.db2.gz FFBBYOFMUYCCRC-NSHDSACASA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1c1nc2sccn2c1[N+](=O)[O-] ZINC000301454547 277786042 /nfs/dbraw/zinc/78/60/42/277786042.db2.gz RLUNREDNIJHCDD-DTWKUNHWSA-N 0 0 280.353 2.929 20 5 CFBDRN COc1cc(N2C[C@H]3CCC[C@@H]3C2)c([N+](=O)[O-])cc1F ZINC000301619984 277827645 /nfs/dbraw/zinc/82/76/45/277827645.db2.gz CIRLVBRWVXSSEU-NXEZZACHSA-N 0 0 280.299 2.979 20 5 CFBDRN COc1cc(N[C@@H]2CC23CCOCC3)c([N+](=O)[O-])cc1F ZINC000450791255 277832763 /nfs/dbraw/zinc/83/27/63/277832763.db2.gz IDEZKINISKPGBF-CYBMUJFWSA-N 0 0 296.298 2.724 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CCC[C@@H](C)C1 ZINC000494592522 277840060 /nfs/dbraw/zinc/84/00/60/277840060.db2.gz SPTRBABQSCYCEZ-GHMZBOCLSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1cccc2c1CCN(c1ccc([N+](=O)[O-])nc1)C2 ZINC000360183571 278031075 /nfs/dbraw/zinc/03/10/75/278031075.db2.gz FDUPNZCQKLRLCM-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@@H](C)[C@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000417608018 278037571 /nfs/dbraw/zinc/03/75/71/278037571.db2.gz IAIVXPSJFUSVCY-QWRGUYRKSA-N 0 0 294.351 2.917 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)CCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000336312190 278088877 /nfs/dbraw/zinc/08/88/77/278088877.db2.gz IVEJAKAWTKXETD-RNFRBKRXSA-N 0 0 292.213 2.601 20 5 CFBDRN CC(C)c1nnc(Sc2ccccc2[N+](=O)[O-])n1C ZINC000263673642 278104316 /nfs/dbraw/zinc/10/43/16/278104316.db2.gz CZBRUOSOIGXITR-UHFFFAOYSA-N 0 0 278.337 2.998 20 5 CFBDRN C[C@@]1(F)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000408048828 278125763 /nfs/dbraw/zinc/12/57/63/278125763.db2.gz QJMKLCMRZCYVSF-SNVBAGLBSA-N 0 0 259.668 2.582 20 5 CFBDRN CS[C@@H](C)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161971811 278141035 /nfs/dbraw/zinc/14/10/35/278141035.db2.gz BEGWCPAVMORBRG-VIFPVBQESA-N 0 0 280.349 2.626 20 5 CFBDRN CC1(C)CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000157111077 278210887 /nfs/dbraw/zinc/21/08/87/278210887.db2.gz STCIEHUOSOAEEI-SNVBAGLBSA-N 0 0 266.297 2.886 20 5 CFBDRN CC1(C)CCCN1C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000077353217 278218715 /nfs/dbraw/zinc/21/87/15/278218715.db2.gz RUWWOFQTNZWVOL-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@@]23CCOC3)c([N+](=O)[O-])c1 ZINC000314632186 278246868 /nfs/dbraw/zinc/24/68/68/278246868.db2.gz TVEQYIQRMYZPQF-HNNXBMFYSA-N 0 0 290.319 2.557 20 5 CFBDRN CC1(C)CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000302880816 278260094 /nfs/dbraw/zinc/26/00/94/278260094.db2.gz IPQDGMARYXIMSE-UHFFFAOYSA-N 0 0 261.325 2.799 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCOC(C)(C)C1 ZINC000330618006 278310667 /nfs/dbraw/zinc/31/06/67/278310667.db2.gz XDETXPZUHRFMDK-LLVKDONJSA-N 0 0 264.325 2.767 20 5 CFBDRN CC(C)(C)CCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000283856576 278320858 /nfs/dbraw/zinc/32/08/58/278320858.db2.gz HNBFOXOHEAKDTB-UHFFFAOYSA-N 0 0 271.338 2.805 20 5 CFBDRN CC1(C)CN(c2c(Cl)cccc2[N+](=O)[O-])CCO1 ZINC000432327972 278341579 /nfs/dbraw/zinc/34/15/79/278341579.db2.gz IQMBRMBWQJIYQU-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])nc2)[C@@H]1c1cccnc1 ZINC000450540185 278343463 /nfs/dbraw/zinc/34/34/63/278343463.db2.gz OBICSTRUHHISCY-CQSZACIVSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@H]1CCCN1c1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000278423575 278346941 /nfs/dbraw/zinc/34/69/41/278346941.db2.gz OWXCLISNCBWOLF-ZETCQYMHSA-N 0 0 275.230 2.997 20 5 CFBDRN C[C@H]1CCCN1c1ncc([N+](=O)[O-])cc1Br ZINC000177546593 278347014 /nfs/dbraw/zinc/34/70/14/278347014.db2.gz AVSFBUBGPIFMDI-ZETCQYMHSA-N 0 0 286.129 2.741 20 5 CFBDRN C[C@H]1C[C@H](c2cccnc2)N(c2ccc([N+](=O)[O-])cn2)C1 ZINC000302139651 278500566 /nfs/dbraw/zinc/50/05/66/278500566.db2.gz OJPPHKZIVHLCJQ-SMDDNHRTSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@H]1SCCN(c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000301254639 278532284 /nfs/dbraw/zinc/53/22/84/278532284.db2.gz BAXMPHAFFAZYHQ-VHSXEESVSA-N 0 0 252.339 2.925 20 5 CFBDRN C[C@@H]1CCOCCN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000301986086 278572236 /nfs/dbraw/zinc/57/22/36/278572236.db2.gz JZCAAZOYNJTZBK-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)N2CCc3c2cccc3[N+](=O)[O-])C1 ZINC000331578441 278592392 /nfs/dbraw/zinc/59/23/92/278592392.db2.gz LHJVOWKIJFSPGF-MNOVXSKESA-N 0 0 274.320 2.920 20 5 CFBDRN CCC1(CNC(=O)c2cccc([N+](=O)[O-])c2NC)CC1 ZINC000231524236 278640087 /nfs/dbraw/zinc/64/00/87/278640087.db2.gz LFTFURKTUSSFKI-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCCCC[C@H]2O)n1 ZINC000340956442 278665565 /nfs/dbraw/zinc/66/55/65/278665565.db2.gz BCYHNQFDNJBJRK-VXGBXAGGSA-N 0 0 279.340 2.712 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@H]1C ZINC000335548274 278665740 /nfs/dbraw/zinc/66/57/40/278665740.db2.gz AGJCYMSZGZIZJD-WDEREUQCSA-N 0 0 262.309 2.692 20 5 CFBDRN C[C@@H]1CSC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000343609085 278871382 /nfs/dbraw/zinc/87/13/82/278871382.db2.gz MFHVNOVXYDXLEV-NOZJJQNGSA-N 0 0 289.360 2.728 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000465057291 278953151 /nfs/dbraw/zinc/95/31/51/278953151.db2.gz NVLBDSDHLLLJOQ-VHSXEESVSA-N 0 0 288.307 2.880 20 5 CFBDRN Cc1cnc(N[C@H]2CSC2(C)C)c([N+](=O)[O-])c1 ZINC000282751353 279020504 /nfs/dbraw/zinc/02/05/04/279020504.db2.gz BJXWCIABNWFIFC-VIFPVBQESA-N 0 0 253.327 2.604 20 5 CFBDRN CC(C)C[C@@H]1COCCN1c1ccccc1[N+](=O)[O-] ZINC000301607435 279043588 /nfs/dbraw/zinc/04/35/88/279043588.db2.gz ZHWFATPTFGAZAA-GFCCVEGCSA-N 0 0 264.325 2.846 20 5 CFBDRN CCN(CC)C(=O)[C@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000037126563 279079545 /nfs/dbraw/zinc/07/95/45/279079545.db2.gz ZPISZMYENUEDDU-NEPJUHHUSA-N 0 0 293.367 2.502 20 5 CFBDRN Cc1nc(N2C[C@H](C)C[C@H]2c2cccnc2)ncc1[N+](=O)[O-] ZINC000450444635 279094812 /nfs/dbraw/zinc/09/48/12/279094812.db2.gz ZIEFUPZTKGNDJH-MFKMUULPSA-N 0 0 299.334 2.676 20 5 CFBDRN Cc1nn(C)c(C)c1N=c1ccc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000432121595 279192514 /nfs/dbraw/zinc/19/25/14/279192514.db2.gz HFXLXROKPKLACY-UHFFFAOYSA-N 0 0 297.318 2.659 20 5 CFBDRN Cc1nn(C)c(Oc2cccc([N+](=O)[O-])c2C)c1[N+](=O)[O-] ZINC000265153273 279201388 /nfs/dbraw/zinc/20/13/88/279201388.db2.gz UASGJUVKMNINPZ-UHFFFAOYSA-N 0 0 292.251 2.646 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000078181248 279314297 /nfs/dbraw/zinc/31/42/97/279314297.db2.gz DFTDPAYUKGIOPK-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN O=C(C(C1CC1)C1CC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000178924368 279732815 /nfs/dbraw/zinc/73/28/15/279732815.db2.gz QGRNKUPLXKGBPJ-UHFFFAOYSA-N 0 0 286.331 2.920 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000334406088 279750438 /nfs/dbraw/zinc/75/04/38/279750438.db2.gz JQVUBTTWVQONTA-UFBFGSQYSA-N 0 0 273.292 2.604 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000296898903 279920501 /nfs/dbraw/zinc/92/05/01/279920501.db2.gz YTSHKZZYCXDKNH-IINYFYTJSA-N 0 0 287.319 2.980 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCCCC2)c1 ZINC000283598788 279965434 /nfs/dbraw/zinc/96/54/34/279965434.db2.gz MMIJXRJSVZMXBY-UHFFFAOYSA-N 0 0 278.308 2.620 20 5 CFBDRN C[C@H]1c2ccc(F)cc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000167568893 280124263 /nfs/dbraw/zinc/12/42/63/280124263.db2.gz FWRKMGGEHCIUJS-VIFPVBQESA-N 0 0 288.282 2.648 20 5 CFBDRN C[C@]1(F)CCCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000295920075 280162259 /nfs/dbraw/zinc/16/22/59/280162259.db2.gz DYMUGBJIBTXARC-ZDUSSCGKSA-N 0 0 297.286 2.827 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCSC[C@@H]1C1CC1 ZINC000334977872 280192186 /nfs/dbraw/zinc/19/21/86/280192186.db2.gz ILJWLOWXSCWPHI-SECBINFHSA-N 0 0 298.389 2.624 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC000361014760 280355158 /nfs/dbraw/zinc/35/51/58/280355158.db2.gz OMEHSPLXJLMYKL-CZUORRHYSA-N 0 0 299.330 2.744 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1N1CCCCO1 ZINC000228046329 280355802 /nfs/dbraw/zinc/35/58/02/280355802.db2.gz NSTBRBQQGNOPSA-UHFFFAOYSA-N 0 0 287.113 2.889 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1C[C@@H]2C[C@H]1CS2 ZINC000413452811 280358262 /nfs/dbraw/zinc/35/82/62/280358262.db2.gz KAAKWIYMMYNCQU-IUCAKERBSA-N 0 0 270.741 2.942 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CC[C@H](F)C1 ZINC000340982015 280359280 /nfs/dbraw/zinc/35/92/80/280359280.db2.gz VMAPYHFXDZMIIB-YUMQZZPRSA-N 0 0 259.668 2.946 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOc3c(F)cccc3C2)nc1 ZINC000369631221 280367250 /nfs/dbraw/zinc/36/72/50/280367250.db2.gz OCGVXOOIDSAFAA-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOc3c(F)cccc3C2)cn1 ZINC000432928259 280367384 /nfs/dbraw/zinc/36/73/84/280367384.db2.gz LTTUBDSCEZVDMG-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](C3CC3)[C@H]2C2CC2)cn1 ZINC000450791842 280368339 /nfs/dbraw/zinc/36/83/39/280368339.db2.gz JKGWBAKMUHCQKJ-GXTWGEPZSA-N 0 0 259.309 2.615 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@@H]2O)c2cccnc21 ZINC000052006398 280368616 /nfs/dbraw/zinc/36/86/16/280368616.db2.gz NFONEYDUPUBZEP-JSGCOSHPSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1ccccc1CO[C@@H]1CCCc2c[nH]nc21 ZINC000190156149 280374223 /nfs/dbraw/zinc/37/42/23/280374223.db2.gz XSKMKNKHVSQMRL-CYBMUJFWSA-N 0 0 273.292 2.912 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCCC2)c(C(F)(F)F)c1 ZINC000413024676 280378893 /nfs/dbraw/zinc/37/88/93/280378893.db2.gz NOCLKUGPIJFZOR-UHFFFAOYSA-N 0 0 275.230 2.999 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cncs1 ZINC000340473183 280401566 /nfs/dbraw/zinc/40/15/66/280401566.db2.gz MUBLVYXJUCRICQ-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CCC(C)(C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000047624399 280428171 /nfs/dbraw/zinc/42/81/71/280428171.db2.gz GNGNHWWMWVATQV-UHFFFAOYSA-N 0 0 280.324 2.669 20 5 CFBDRN CCc1nc(CN(C)c2ncccc2[N+](=O)[O-])cs1 ZINC000049056434 280436444 /nfs/dbraw/zinc/43/64/44/280436444.db2.gz UXGBKKJOHDZRRZ-UHFFFAOYSA-N 0 0 278.337 2.645 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NCC1CCCC1 ZINC000049397150 280438442 /nfs/dbraw/zinc/43/84/42/280438442.db2.gz ZROIAMFCXIJODD-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000049516376 280442139 /nfs/dbraw/zinc/44/21/39/280442139.db2.gz GVSNLXOTOUVPAT-UHFFFAOYSA-N 0 0 269.688 2.874 20 5 CFBDRN CSCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000056988198 280451905 /nfs/dbraw/zinc/45/19/05/280451905.db2.gz WSLLOWFKAXKWMZ-UHFFFAOYSA-N 0 0 282.365 2.695 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000065510778 280466449 /nfs/dbraw/zinc/46/64/49/280466449.db2.gz SATLFJPWCJGMQV-LLVKDONJSA-N 0 0 291.351 2.577 20 5 CFBDRN CNc1ccc(C(=O)Nc2cnccc2C)cc1[N+](=O)[O-] ZINC000071414902 280486632 /nfs/dbraw/zinc/48/66/32/280486632.db2.gz PTELTLGMMQYXFN-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN O=C(/C=C/c1cccnc1)Nc1cc([N+](=O)[O-])ccc1F ZINC000073751644 280497074 /nfs/dbraw/zinc/49/70/74/280497074.db2.gz MPCURVJZDUKIGK-ZZXKWVIFSA-N 0 0 287.250 2.781 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CCCCO1 ZINC000276184725 280503838 /nfs/dbraw/zinc/50/38/38/280503838.db2.gz VQOMUSCBBXIAJV-UHFFFAOYSA-N 0 0 284.699 2.724 20 5 CFBDRN CCOc1ccc(C(=O)N(C)[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000078305266 280528287 /nfs/dbraw/zinc/52/82/87/280528287.db2.gz HKMLANDDWOKUJY-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN CCC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F ZINC000078898250 280531965 /nfs/dbraw/zinc/53/19/65/280531965.db2.gz WSIPUXWAWLRQTJ-UHFFFAOYSA-N 0 0 290.241 2.896 20 5 CFBDRN CC(C)CNC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079941297 280537025 /nfs/dbraw/zinc/53/70/25/280537025.db2.gz YLBIVUXKDWKUPR-UHFFFAOYSA-N 0 0 279.340 2.785 20 5 CFBDRN CC(C)[C@]1(CO)CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000293917215 280553641 /nfs/dbraw/zinc/55/36/41/280553641.db2.gz DKJMPSJTTIWZFD-OAHLLOKOSA-N 0 0 278.352 2.830 20 5 CFBDRN CN(C(=O)CCc1cccc([N+](=O)[O-])c1)c1nccs1 ZINC000087143579 280556701 /nfs/dbraw/zinc/55/67/01/280556701.db2.gz GKOZICDZCCOFQL-UHFFFAOYSA-N 0 0 291.332 2.647 20 5 CFBDRN Cc1ccsc1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089835919 280566105 /nfs/dbraw/zinc/56/61/05/280566105.db2.gz DNTDRYVIUPWXAL-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN CCc1nn(C)c(N[C@H](C)Cc2ccsc2)c1[N+](=O)[O-] ZINC000091562519 280571840 /nfs/dbraw/zinc/57/18/40/280571840.db2.gz OLEFZBHPRWDZQZ-SECBINFHSA-N 0 0 294.380 2.995 20 5 CFBDRN CCc1ccc(OCC(=O)C2CCOCC2)c([N+](=O)[O-])c1 ZINC000092264217 280573005 /nfs/dbraw/zinc/57/30/05/280573005.db2.gz DSFIVGNHTLAGST-UHFFFAOYSA-N 0 0 293.319 2.532 20 5 CFBDRN Cc1cc(N2C[C@@H](C)OC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000290927977 280582993 /nfs/dbraw/zinc/58/29/93/280582993.db2.gz IOMDQOSBUWGBRH-NXEZZACHSA-N 0 0 268.288 2.656 20 5 CFBDRN Cc1cc(N2C[C@H]3CCCC[C@@H]3C2)ncc1[N+](=O)[O-] ZINC000301595921 280589049 /nfs/dbraw/zinc/58/90/49/280589049.db2.gz ZCXWUYBJPCGIGS-VXGBXAGGSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cc(N2Cc3ccccc3CO2)ncc1[N+](=O)[O-] ZINC000367934333 280590022 /nfs/dbraw/zinc/59/00/22/280590022.db2.gz HKGHKWHWSZGBAO-UHFFFAOYSA-N 0 0 271.276 2.750 20 5 CFBDRN O=C1[C@@H](Nc2cccc([N+](=O)[O-])c2)CCN1c1ccccc1 ZINC000109321959 280591006 /nfs/dbraw/zinc/59/10/06/280591006.db2.gz YQADFUBMAZFNJV-HNNXBMFYSA-N 0 0 297.314 2.812 20 5 CFBDRN CC(C)c1ccc(C(=O)N2C[C@@H](F)[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000295805310 280597691 /nfs/dbraw/zinc/59/76/91/280597691.db2.gz WCPVILGSNHOBSN-TXEJJXNPSA-N 0 0 298.289 2.850 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])CC(F)F ZINC000118970087 280604931 /nfs/dbraw/zinc/60/49/31/280604931.db2.gz KUCMYJYFBHHQGM-UHFFFAOYSA-N 0 0 264.659 2.945 20 5 CFBDRN C[C@H]1OCCN(Cc2c(Cl)cccc2[N+](=O)[O-])[C@@H]1C ZINC000119358123 280607949 /nfs/dbraw/zinc/60/79/49/280607949.db2.gz YTARTKIDPIWRBU-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN CN(CCC1CC1)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000120282342 280613468 /nfs/dbraw/zinc/61/34/68/280613468.db2.gz YWHIVCPIUJDATF-UHFFFAOYSA-N 0 0 288.307 2.881 20 5 CFBDRN CCCC[C@@H](CCC)CNC(=O)c1c([N+](=O)[O-])cnn1C ZINC000126123594 280649708 /nfs/dbraw/zinc/64/97/08/280649708.db2.gz JLEDMHALLBRJHZ-LLVKDONJSA-N 0 0 296.371 2.665 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCc1c(C)noc1C ZINC000126355266 280652754 /nfs/dbraw/zinc/65/27/54/280652754.db2.gz KDGZYRXOFQMFPD-UHFFFAOYSA-N 0 0 292.291 2.830 20 5 CFBDRN Cc1cc([C@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)cs1 ZINC000364392175 280654036 /nfs/dbraw/zinc/65/40/36/280654036.db2.gz YEYDESKJJAXVQM-JTQLQIEISA-N 0 0 290.348 2.749 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1C[C@H]2CC[C@@H](C1)O2 ZINC000370469189 280657136 /nfs/dbraw/zinc/65/71/36/280657136.db2.gz OBPMBXCUHUJBNI-PHIMTYICSA-N 0 0 282.727 2.924 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H]2OCC[C@H]2C1 ZINC000366789393 280661905 /nfs/dbraw/zinc/66/19/05/280661905.db2.gz PNNSHYOIRNUJPP-SMDDNHRTSA-N 0 0 262.309 2.518 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC([C@@H]2CCOC2)CC1 ZINC000364644784 280663703 /nfs/dbraw/zinc/66/37/03/280663703.db2.gz JFYSOPCTQTWKMQ-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN C[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000287856429 288256113 /nfs/dbraw/zinc/25/61/13/288256113.db2.gz SHWNGOTWFIAHIG-RNCFNFMXSA-N 0 0 280.299 2.738 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2C)sn1 ZINC000130341300 280703009 /nfs/dbraw/zinc/70/30/09/280703009.db2.gz KBUGLXLAIOOWAS-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000131218925 280713668 /nfs/dbraw/zinc/71/36/68/280713668.db2.gz WHFQMXJSCJICHU-LLVKDONJSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ncccc2F)ccc1[N+](=O)[O-] ZINC000131188521 280713989 /nfs/dbraw/zinc/71/39/89/280713989.db2.gz SKALGCDPOSMGMA-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN CCc1ccc(C(=O)Nc2ccncc2F)cc1[N+](=O)[O-] ZINC000132137599 280726720 /nfs/dbraw/zinc/72/67/20/280726720.db2.gz AHMLORTWANWQBN-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CCC(CC)NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000133015996 280739379 /nfs/dbraw/zinc/73/93/79/280739379.db2.gz JBQGVQWDYZVDJP-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN CC[C@H](CCO)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000134440660 280758371 /nfs/dbraw/zinc/75/83/71/280758371.db2.gz GFHQDZXQUQGUHA-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN C[C@@H]1CN(c2cccc(F)c2[N+](=O)[O-])C[C@@H](C2CC2)O1 ZINC000280112106 288264593 /nfs/dbraw/zinc/26/45/93/288264593.db2.gz ABSSLINOTCFMAU-RNCFNFMXSA-N 0 0 280.299 2.738 20 5 CFBDRN O=C(Nc1cccc2[nH]cnc21)c1ccc([N+](=O)[O-])s1 ZINC000136230515 280781305 /nfs/dbraw/zinc/78/13/05/280781305.db2.gz LGADIQORZWUVEY-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC2CCCC2)c1 ZINC000136296640 280782245 /nfs/dbraw/zinc/78/22/45/280782245.db2.gz OWHOHOZIGZWRKG-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2cc(C)ccc2C)n1 ZINC000138491282 280790579 /nfs/dbraw/zinc/79/05/79/280790579.db2.gz BOHGQXMEXRUQQH-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1cscc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000151265314 280802072 /nfs/dbraw/zinc/80/20/72/280802072.db2.gz JCGFQNRGGYBQEX-UHFFFAOYSA-N 0 0 295.295 2.938 20 5 CFBDRN Cc1ccc(OC[C@@H]2CC[C@@H](C)O2)c([N+](=O)[O-])c1 ZINC000191029522 280894593 /nfs/dbraw/zinc/89/45/93/280894593.db2.gz SCXWOFMYXZLDQY-MNOVXSKESA-N 0 0 251.282 2.850 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000153854463 288278378 /nfs/dbraw/zinc/27/83/78/288278378.db2.gz YGKWIBHUDMROGW-HTQZYQBOSA-N 0 0 287.772 2.973 20 5 CFBDRN COCCCCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000194810473 280927113 /nfs/dbraw/zinc/92/71/13/280927113.db2.gz MRGLYCXBEAXXAW-NSHDSACASA-N 0 0 280.324 2.589 20 5 CFBDRN Cc1ccc([C@@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)c(C)c1 ZINC000280405045 280961223 /nfs/dbraw/zinc/96/12/23/280961223.db2.gz ISFGPGMNQBVISA-CYBMUJFWSA-N 0 0 298.346 2.996 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000246213005 280977034 /nfs/dbraw/zinc/97/70/34/280977034.db2.gz FWLXYDNGLPBTHV-IWIIMEHWSA-N 0 0 275.308 2.673 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ncccc2N(C)C)c1 ZINC000291047252 281014767 /nfs/dbraw/zinc/01/47/67/281014767.db2.gz DEDOMJGARSGALN-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C[C@H]1C ZINC000248636543 281029279 /nfs/dbraw/zinc/02/92/79/281029279.db2.gz YEMRCUYJPPDTOU-BBBLOLIVSA-N 0 0 266.297 2.742 20 5 CFBDRN Cc1ccc2c(c1)CCN(c1ncc([N+](=O)[O-])s1)C2 ZINC000336922851 281055990 /nfs/dbraw/zinc/05/59/90/281055990.db2.gz INXLMYJZEGGDMK-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN Cc1ccc2c(c1)CN(c1ncc([N+](=O)[O-])c(C)n1)C2 ZINC000450498628 281074034 /nfs/dbraw/zinc/07/40/34/281074034.db2.gz GOLSBZVKHUOJTJ-UHFFFAOYSA-N 0 0 270.292 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@@H]2CCCC[C@H]2O)c1 ZINC000252936591 281078619 /nfs/dbraw/zinc/07/86/19/281078619.db2.gz XVERPWJPPOFXKI-TZMCWYRMSA-N 0 0 264.325 2.643 20 5 CFBDRN CC[C@H]1CCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000340696894 281081946 /nfs/dbraw/zinc/08/19/46/281081946.db2.gz KOAKVEPZPYHZEJ-QMMMGPOBSA-N 0 0 266.326 2.683 20 5 CFBDRN Cc1cccnc1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000255029470 281084448 /nfs/dbraw/zinc/08/44/48/281084448.db2.gz XGSXOVQWPRTWEV-HJWRWDBZSA-N 0 0 283.287 2.950 20 5 CFBDRN CN(C(=O)/C=C\c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000255322538 281094122 /nfs/dbraw/zinc/09/41/22/281094122.db2.gz NXJJEYKOUPFRHF-HJWRWDBZSA-N 0 0 260.293 2.619 20 5 CFBDRN CC1(C)CCN(c2ncccc2[N+](=O)[O-])CCS1 ZINC000278815721 281094371 /nfs/dbraw/zinc/09/43/71/281094371.db2.gz ISMZEFFQIPXSLC-UHFFFAOYSA-N 0 0 267.354 2.712 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255383117 281096534 /nfs/dbraw/zinc/09/65/34/281096534.db2.gz HTKWYBHTXOGNDI-TYBABMIJSA-N 0 0 262.309 2.865 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000294217207 281100545 /nfs/dbraw/zinc/10/05/45/281100545.db2.gz YPDRTPZVKPIFEV-VIFPVBQESA-N 0 0 273.292 2.701 20 5 CFBDRN CCC(=O)c1ccc(NC2CCOCC2)c([N+](=O)[O-])c1 ZINC000280650970 281129961 /nfs/dbraw/zinc/12/99/61/281129961.db2.gz FLQAHOGRVLYAPC-UHFFFAOYSA-N 0 0 278.308 2.778 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(CC)CC1 ZINC000289036492 281134293 /nfs/dbraw/zinc/13/42/93/281134293.db2.gz YWQGCWZEXLETOV-UHFFFAOYSA-N 0 0 252.318 2.722 20 5 CFBDRN Cc1ccc2nc(-c3ccc([N+](=O)[O-])s3)[nH]c2n1 ZINC000180733092 281149414 /nfs/dbraw/zinc/14/94/14/281149414.db2.gz HGPRIWBRFVYDCB-UHFFFAOYSA-N 0 0 260.278 2.903 20 5 CFBDRN CC[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000264735699 281178027 /nfs/dbraw/zinc/17/80/27/281178027.db2.gz JSKUUBHQCTXMOH-UWVGGRQHSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1nn(C)cc1Cl ZINC000393348387 281193648 /nfs/dbraw/zinc/19/36/48/281193648.db2.gz KUIDOVCNEUWHNN-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN Cc1cccc(OCCC2(O)CCC2)c1[N+](=O)[O-] ZINC000396795001 281197995 /nfs/dbraw/zinc/19/79/95/281197995.db2.gz WJYUMFZEUSMQSW-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN Cc1cc(NCC[C@@H](C)CCO)ccc1[N+](=O)[O-] ZINC000397624629 281200589 /nfs/dbraw/zinc/20/05/89/281200589.db2.gz IVXJSABIIAKKJR-SNVBAGLBSA-N 0 0 252.314 2.724 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc3cc([N+](=O)[O-])ccc3n2)CS1 ZINC000397975506 281203083 /nfs/dbraw/zinc/20/30/83/281203083.db2.gz JBEZDNHCUFZDFL-KOLCDFICSA-N 0 0 289.360 2.871 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1csnn1 ZINC000398435822 281206380 /nfs/dbraw/zinc/20/63/80/281206380.db2.gz XEFRRGOMYBPHFO-IUCAKERBSA-N 0 0 278.337 2.858 20 5 CFBDRN C[C@@H]1C[C@@H](CO)CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000398733202 281208175 /nfs/dbraw/zinc/20/81/75/281208175.db2.gz JRFSGHNFUFQXES-GHMZBOCLSA-N 0 0 298.770 2.699 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2OC)CCS1 ZINC000430239388 281232662 /nfs/dbraw/zinc/23/26/62/281232662.db2.gz MAFHEFIHTFJTIV-CYBMUJFWSA-N 0 0 296.392 2.931 20 5 CFBDRN CCOc1cc(N(C)[C@H]2CC[C@H](O)CC2)ccc1[N+](=O)[O-] ZINC000438901293 281237965 /nfs/dbraw/zinc/23/79/65/281237965.db2.gz ZJGNDJVGQZEVAH-AULYBMBSSA-N 0 0 294.351 2.733 20 5 CFBDRN CCc1ncc(CNc2c3c(ccc2[N+](=O)[O-])NCC3)o1 ZINC000450847413 281244739 /nfs/dbraw/zinc/24/47/39/281244739.db2.gz PALRXONTOLNADL-UHFFFAOYSA-N 0 0 288.307 2.725 20 5 CFBDRN Cc1cc(Cc2nc3cccnc3c(=O)[nH]2)ccc1[N+](=O)[O-] ZINC000491757904 281274986 /nfs/dbraw/zinc/27/49/86/281274986.db2.gz DKRIKRCOFAZCSN-UHFFFAOYSA-N 0 0 296.286 2.538 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCc1cccs1 ZINC000494790738 281284497 /nfs/dbraw/zinc/28/44/97/281284497.db2.gz BBGTYCDNPRSYOD-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN Cc1cccc2c1OC[C@@H](Nc1ncccc1[N+](=O)[O-])C2 ZINC000357775152 281296369 /nfs/dbraw/zinc/29/63/69/281296369.db2.gz NWJAMQCDZYNJAC-LBPRGKRZSA-N 0 0 285.303 2.714 20 5 CFBDRN Cc1noc(C)c1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000515728725 281296811 /nfs/dbraw/zinc/29/68/11/281296811.db2.gz OHKCITITVDZXEF-QMMMGPOBSA-N 0 0 289.291 2.942 20 5 CFBDRN COc1ccc(COc2c(C)c(C)ncc2[N+](=O)[O-])cn1 ZINC000516194617 281301198 /nfs/dbraw/zinc/30/11/98/281301198.db2.gz OWBZFWBNPKOPSE-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN CC(=O)c1cc(N2CC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000516798454 281305941 /nfs/dbraw/zinc/30/59/41/281305941.db2.gz XSWTVTMYSIVHNM-CYBMUJFWSA-N 0 0 266.272 2.736 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@H](F)C2)cc([N+](=O)[O-])c1 ZINC000519610969 281309136 /nfs/dbraw/zinc/30/91/36/281309136.db2.gz UUJAIZOTQUYBEQ-RYUDHWBXSA-N 0 0 280.299 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](C)C[C@@H]1C ZINC000525411035 281317691 /nfs/dbraw/zinc/31/76/91/281317691.db2.gz NLZBBGJTOVFFAE-ZJUUUORDSA-N 0 0 293.323 2.866 20 5 CFBDRN CC[C@]1(C)CN(c2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000276105603 281323684 /nfs/dbraw/zinc/32/36/84/281323684.db2.gz YVOTVKVAODBPJA-GFCCVEGCSA-N 0 0 285.731 2.649 20 5 CFBDRN C[C@H](C(=O)N1[C@H](C)C[C@@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000527471404 281332211 /nfs/dbraw/zinc/33/22/11/281332211.db2.gz VEMISNUGWQBODM-AEJSXWLSSA-N 0 0 280.299 2.847 20 5 CFBDRN CCOc1ccc(C(=O)N2[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000527474159 281333354 /nfs/dbraw/zinc/33/33/54/281333354.db2.gz CAHNMUHZBITPDT-UWVGGRQHSA-N 0 0 278.308 2.616 20 5 CFBDRN Cc1cccnc1C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000427741898 281408212 /nfs/dbraw/zinc/40/82/12/281408212.db2.gz FAUPFADMYIJZLC-LLVKDONJSA-N 0 0 297.314 2.890 20 5 CFBDRN COc1cc(N(C)CC(C)(C)OC)c([N+](=O)[O-])cc1F ZINC000531563160 281432775 /nfs/dbraw/zinc/43/27/75/281432775.db2.gz XHQWGTWYFYZYQG-UHFFFAOYSA-N 0 0 286.303 2.604 20 5 CFBDRN C[C@H]1CCC[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000531628842 281435717 /nfs/dbraw/zinc/43/57/17/281435717.db2.gz RKVRYQRGSCINRD-RYUDHWBXSA-N 0 0 291.351 2.936 20 5 CFBDRN Cc1ccc([C@@H](C)CNC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000531629164 281436630 /nfs/dbraw/zinc/43/66/30/281436630.db2.gz JIVIKSWIZHSNMJ-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000532324109 281451213 /nfs/dbraw/zinc/45/12/13/281451213.db2.gz DBGQZXAEZZURGG-AAEUAGOBSA-N 0 0 293.367 2.974 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)[C@@H](C)C1 ZINC000532393233 281453005 /nfs/dbraw/zinc/45/30/05/281453005.db2.gz QLHLFUCIGIWCOT-JFGNBEQYSA-N 0 0 277.324 2.544 20 5 CFBDRN Cc1ccnc(N[C@H]2CCCSC2)c1[N+](=O)[O-] ZINC000135658720 281473877 /nfs/dbraw/zinc/47/38/77/281473877.db2.gz SQLKWXRWMCLEMV-VIFPVBQESA-N 0 0 253.327 2.606 20 5 CFBDRN CC(C)(NC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000535743186 281506805 /nfs/dbraw/zinc/50/68/05/281506805.db2.gz DILYQRIPJMCEAL-UHFFFAOYSA-N 0 0 254.311 2.575 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000536206796 281517267 /nfs/dbraw/zinc/51/72/67/281517267.db2.gz VIUTUWPYFHHRMA-VXGBXAGGSA-N 0 0 291.351 2.577 20 5 CFBDRN O=C1C[C@H](c2cccc(Nc3ccc([N+](=O)[O-])cc3)c2)CN1 ZINC000539196012 281567065 /nfs/dbraw/zinc/56/70/65/281567065.db2.gz KOMDICKQTAZLET-LBPRGKRZSA-N 0 0 297.314 2.942 20 5 CFBDRN Cc1cc(NC(=O)CSC(C)C)ccc1[N+](=O)[O-] ZINC000539652797 281571286 /nfs/dbraw/zinc/57/12/86/281571286.db2.gz PTVJHIBKYCBZQI-UHFFFAOYSA-N 0 0 268.338 2.983 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCO[C@@H](C(C)C)C1 ZINC000361060115 281597696 /nfs/dbraw/zinc/59/76/96/281597696.db2.gz UOSMKRQTKXHNAO-NWDGAFQWSA-N 0 0 296.371 2.735 20 5 CFBDRN CCn1nccc1CN(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000429706216 281678894 /nfs/dbraw/zinc/67/88/94/281678894.db2.gz CHWGBDVOEGDKPM-UHFFFAOYSA-N 0 0 292.314 2.582 20 5 CFBDRN Cc1ccncc1N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000545215766 281681866 /nfs/dbraw/zinc/68/18/66/281681866.db2.gz JQWUVHHTOFIUCI-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CSCc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000545688125 281691858 /nfs/dbraw/zinc/69/18/58/281691858.db2.gz BCWUQVDRFHYACN-UHFFFAOYSA-N 0 0 265.294 2.816 20 5 CFBDRN COc1cc(Cc2noc(C(C)(C)F)n2)ccc1[N+](=O)[O-] ZINC000545779267 281694121 /nfs/dbraw/zinc/69/41/21/281694121.db2.gz IOZAZGUXRYWKSX-UHFFFAOYSA-N 0 0 295.270 2.782 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3c(C)cccc3[N+](=O)[O-])C2)c1 ZINC000290854505 281698049 /nfs/dbraw/zinc/69/80/49/281698049.db2.gz QCTLUWNIWNHZNK-CYBMUJFWSA-N 0 0 286.335 2.860 20 5 CFBDRN Cc1ccccc1Cc1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000546938061 281723434 /nfs/dbraw/zinc/72/34/34/281723434.db2.gz JEUCXBNMXTXGEH-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN COC[C@@H](C)Cc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000547107595 281725780 /nfs/dbraw/zinc/72/57/80/281725780.db2.gz YWBOBPPIFDVZSF-VIFPVBQESA-N 0 0 291.307 2.778 20 5 CFBDRN CNc1ccc(C(=O)N2CC3(C2)CCCC3)cc1[N+](=O)[O-] ZINC000548312120 281777507 /nfs/dbraw/zinc/77/75/07/281777507.db2.gz VYNCIOIJOJWZIR-UHFFFAOYSA-N 0 0 289.335 2.653 20 5 CFBDRN Cc1cccc(CNc2ccc([N+](=O)[O-])c(N)c2F)n1 ZINC000548449141 281785116 /nfs/dbraw/zinc/78/51/16/281785116.db2.gz JPHCITJSVVRRRJ-UHFFFAOYSA-N 0 0 276.271 2.632 20 5 CFBDRN CC(C)SCC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000549088149 281811226 /nfs/dbraw/zinc/81/12/26/281811226.db2.gz FSUQZDDHSHFPTD-UHFFFAOYSA-N 0 0 296.392 2.737 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(C(F)(F)F)C2)c(Cl)c1 ZINC000554801196 281903728 /nfs/dbraw/zinc/90/37/28/281903728.db2.gz NBGHHIXJQCRSOB-UHFFFAOYSA-N 0 0 281.621 2.642 20 5 CFBDRN Cc1cccc(NC[C@@H](CO)Cc2ccco2)c1[N+](=O)[O-] ZINC000555122532 281909226 /nfs/dbraw/zinc/90/92/26/281909226.db2.gz GTNYWMPHWRZDJU-LBPRGKRZSA-N 0 0 290.319 2.759 20 5 CFBDRN COC(=O)c1cc(N[C@@H]2CCC[C@@H]2F)cc(C)c1[N+](=O)[O-] ZINC000555273676 281912503 /nfs/dbraw/zinc/91/25/03/281912503.db2.gz XIKPVPJSJXCFMY-NWDGAFQWSA-N 0 0 296.298 2.992 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2ccccn2)s1 ZINC000555960085 281924325 /nfs/dbraw/zinc/92/43/25/281924325.db2.gz ATXHSIRBBWJRRQ-SNVBAGLBSA-N 0 0 276.321 2.788 20 5 CFBDRN COC(=O)c1cc(OCC=C(C)C)ccc1[N+](=O)[O-] ZINC000556325455 281932670 /nfs/dbraw/zinc/93/26/70/281932670.db2.gz YDHINLLKVOHWAR-UHFFFAOYSA-N 0 0 265.265 2.726 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC3(CCO3)CC2)c1 ZINC000558564725 281985468 /nfs/dbraw/zinc/98/54/68/281985468.db2.gz QCDZCLYFXLPDSV-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN CC(C)CC[C@@H]1CCCCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000558764579 281996128 /nfs/dbraw/zinc/99/61/28/281996128.db2.gz HHVIBLWBIPHLSN-NSHDSACASA-N 0 0 294.355 2.749 20 5 CFBDRN Cc1c(CN(C)[C@H](C)c2cccc([N+](=O)[O-])c2)cnn1C ZINC000560435757 282026856 /nfs/dbraw/zinc/02/68/56/282026856.db2.gz DYYNSAYKSKOOPB-LLVKDONJSA-N 0 0 288.351 2.830 20 5 CFBDRN CCC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C1CCC1 ZINC000560507703 282031771 /nfs/dbraw/zinc/03/17/71/282031771.db2.gz MESVRTOTKXQLMA-GFCCVEGCSA-N 0 0 277.324 2.688 20 5 CFBDRN CC(C)(C)C(C)(C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000560802724 282042234 /nfs/dbraw/zinc/04/22/34/282042234.db2.gz UNGGYJJQEDBKDR-UHFFFAOYSA-N 0 0 294.351 2.915 20 5 CFBDRN C[C@@H]1C[C@H](CO)CCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000341032654 288700528 /nfs/dbraw/zinc/70/05/28/288700528.db2.gz HIBCUXRVABTUDK-NXEZZACHSA-N 0 0 284.743 2.845 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000294368888 288966543 /nfs/dbraw/zinc/96/65/43/288966543.db2.gz XKUFVHSUDKVKBA-JGVFFNPUSA-N 0 0 268.700 2.729 20 5 CFBDRN CN(Cc1cccn1C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000152038248 289836874 /nfs/dbraw/zinc/83/68/74/289836874.db2.gz AXEUFBSGEUTOEI-UHFFFAOYSA-N 0 0 288.307 2.597 20 5 CFBDRN CC1CCC(O)(COc2cccc([N+](=O)[O-])c2)CC1 ZINC000152739023 289853819 /nfs/dbraw/zinc/85/38/19/289853819.db2.gz CUNYIJAWSPBSFO-UHFFFAOYSA-N 0 0 265.309 2.915 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1COCc1ncc[nH]1 ZINC000154670054 289900896 /nfs/dbraw/zinc/90/08/96/289900896.db2.gz YXOVPBBKVSMGQV-UHFFFAOYSA-N 0 0 267.672 2.688 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1CC1(C)C ZINC000155534294 289920022 /nfs/dbraw/zinc/92/00/22/289920022.db2.gz WJBVWRZVIIJJEE-SKDRFNHKSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1ccoc1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156520161 289939922 /nfs/dbraw/zinc/93/99/22/289939922.db2.gz VMJDUEACXYPUCF-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN C[C@@H](C(=O)N(C)c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000158318078 289973733 /nfs/dbraw/zinc/97/37/33/289973733.db2.gz RBLYKABIJQOCMS-LLVKDONJSA-N 0 0 285.303 2.756 20 5 CFBDRN Cc1cnc(NCc2cccc([N+](=O)[O-])c2)nc1C ZINC000301895560 290006413 /nfs/dbraw/zinc/00/64/13/290006413.db2.gz UCMABGORMAZJQB-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN COc1cccc(NCCOC(C)(C)C)c1[N+](=O)[O-] ZINC000308527754 290012269 /nfs/dbraw/zinc/01/22/69/290012269.db2.gz KDDHHVNDBKVSAC-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN COc1cc(NCCOC(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000308535959 290013201 /nfs/dbraw/zinc/01/32/01/290013201.db2.gz XYNIPORNUJZEGA-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN Cc1nc(NCCc2cccc([N+](=O)[O-])c2)sc1C ZINC000340080875 290020856 /nfs/dbraw/zinc/02/08/56/290020856.db2.gz TZEGATQDIBSSNR-UHFFFAOYSA-N 0 0 277.349 2.745 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCOc3ccccc32)c1 ZINC000359707674 290024186 /nfs/dbraw/zinc/02/41/86/290024186.db2.gz PGMBUWBGKQHAHK-UHFFFAOYSA-N 0 0 298.298 2.942 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@H]2CCO[C@H](C)C2)n1 ZINC000447300766 290032019 /nfs/dbraw/zinc/03/20/19/290032019.db2.gz FGCRTTNNTHMQMC-NEPJUHHUSA-N 0 0 280.324 2.801 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C1CCCC1 ZINC000562636226 290061360 /nfs/dbraw/zinc/06/13/60/290061360.db2.gz HBUJXBVORFSKJO-GFCCVEGCSA-N 0 0 277.324 2.688 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000563022663 290094366 /nfs/dbraw/zinc/09/43/66/290094366.db2.gz FPCMCQJNHRNZOI-ZFWWWQNUSA-N 0 0 291.351 2.829 20 5 CFBDRN COCC1(CCNc2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000563054283 290098988 /nfs/dbraw/zinc/09/89/88/290098988.db2.gz WHSLJQFVONQDHK-UHFFFAOYSA-N 0 0 283.303 2.545 20 5 CFBDRN C[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000563082038 290101821 /nfs/dbraw/zinc/10/18/21/290101821.db2.gz UMIHFQKLCZXUBW-GFCCVEGCSA-N 0 0 276.336 2.738 20 5 CFBDRN CC(C)(O)CN[C@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000563265572 290125929 /nfs/dbraw/zinc/12/59/29/290125929.db2.gz YUJXJDJPTNGYMA-SNVBAGLBSA-N 0 0 292.257 2.559 20 5 CFBDRN CCC(CC)(CO)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000563388466 290142127 /nfs/dbraw/zinc/14/21/27/290142127.db2.gz KKLWKGMLAGZOBN-LLVKDONJSA-N 0 0 294.351 2.571 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCOC[C@@H]1C1CCC1 ZINC000563811836 290175908 /nfs/dbraw/zinc/17/59/08/290175908.db2.gz ONWWPEGLNNOARJ-MRXNPFEDSA-N 0 0 290.363 2.904 20 5 CFBDRN C[C@@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000564030854 290189568 /nfs/dbraw/zinc/18/95/68/290189568.db2.gz YNLRWZHHBQBKMY-ZJUUUORDSA-N 0 0 294.355 2.605 20 5 CFBDRN COC(=O)[C@@H](CNc1c(F)cccc1[N+](=O)[O-])CC1CC1 ZINC000564217978 290198120 /nfs/dbraw/zinc/19/81/20/290198120.db2.gz ZSMZJMCGRPMMCD-SNVBAGLBSA-N 0 0 296.298 2.735 20 5 CFBDRN O=C1CCN(Cc2cccc([N+](=O)[O-])c2)C2(CCC2)C1 ZINC000564341261 290204615 /nfs/dbraw/zinc/20/46/15/290204615.db2.gz AKCYJDOEGJHJPS-UHFFFAOYSA-N 0 0 274.320 2.682 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000564727964 290227061 /nfs/dbraw/zinc/22/70/61/290227061.db2.gz OGHKDSWDIVMWBG-MRVPVSSYSA-N 0 0 281.287 2.996 20 5 CFBDRN Cc1c(CC(=O)N(C)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000565012161 290258908 /nfs/dbraw/zinc/25/89/08/290258908.db2.gz NNHJPGMRGLXCGV-UHFFFAOYSA-N 0 0 264.325 2.703 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCN(CC(F)F)CC1 ZINC000266526948 290266779 /nfs/dbraw/zinc/26/67/79/290266779.db2.gz KROWFNDQIDKHMZ-LLVKDONJSA-N 0 0 299.321 2.539 20 5 CFBDRN C[C@@H](N[C@@H]1CCc2c1cccc2[N+](=O)[O-])c1csnn1 ZINC000565219644 290283811 /nfs/dbraw/zinc/28/38/11/290283811.db2.gz XKCICCPJKBRPEW-LDYMZIIASA-N 0 0 290.348 2.784 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CCC[C@@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000565972855 290338292 /nfs/dbraw/zinc/33/82/92/290338292.db2.gz OFAREUUXZDPTCG-TVYUQYBPSA-N 0 0 280.299 2.705 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2C[C@H](C)CCC2=O)c1 ZINC000566213981 290358466 /nfs/dbraw/zinc/35/84/66/290358466.db2.gz CKEYMWVIMJDFQX-YMTOWFKASA-N 0 0 279.292 2.740 20 5 CFBDRN CCOc1ccc(C(=O)N(C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000566268730 290365311 /nfs/dbraw/zinc/36/53/11/290365311.db2.gz IAIPGMLPRUZMPH-UHFFFAOYSA-N 0 0 280.324 2.864 20 5 CFBDRN Cc1ccnc(SCCC(C)(C)O)c1[N+](=O)[O-] ZINC000566481995 290393029 /nfs/dbraw/zinc/39/30/29/290393029.db2.gz LGCRSNWKSGKJQU-UHFFFAOYSA-N 0 0 256.327 2.551 20 5 CFBDRN CCC[C@@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])C(=O)OC ZINC000566484467 290393933 /nfs/dbraw/zinc/39/39/33/290393933.db2.gz UNLCQICASFUGBM-QWHCGFSZSA-N 0 0 292.335 2.513 20 5 CFBDRN CCO[C@@H](CC)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000566607295 290404374 /nfs/dbraw/zinc/40/43/74/290404374.db2.gz JSPZYUOSIBZHLL-ZDUSSCGKSA-N 0 0 294.351 2.761 20 5 CFBDRN C[C@H]1CCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000302440694 290452839 /nfs/dbraw/zinc/45/28/39/290452839.db2.gz NABHKOMBJPUYHL-JTQLQIEISA-N 0 0 261.325 2.799 20 5 CFBDRN COc1cncc(/C=C/CCn2cc([N+](=O)[O-])c(C)n2)c1 ZINC000567842883 290499033 /nfs/dbraw/zinc/49/90/33/290499033.db2.gz AWKAHQHCUXKNBZ-HWKANZROSA-N 0 0 288.307 2.607 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCc2csc(C)n2)c1 ZINC000567851672 290499565 /nfs/dbraw/zinc/49/95/65/290499565.db2.gz VYNDYIYCRYNXMY-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000568356088 290548791 /nfs/dbraw/zinc/54/87/91/290548791.db2.gz VNVKQRVSMNYJRR-QWRGUYRKSA-N 0 0 290.319 2.567 20 5 CFBDRN O=[N+]([O-])c1cccc(CN=c2[nH]c3c(cccc3F)o2)c1 ZINC000568361612 290549285 /nfs/dbraw/zinc/54/92/85/290549285.db2.gz RFVHVGGDQDYGOQ-UHFFFAOYSA-N 0 0 287.250 2.909 20 5 CFBDRN CC1(C)CN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H]2COC[C@@H]21 ZINC000568838394 290572516 /nfs/dbraw/zinc/57/25/16/290572516.db2.gz UMALWGCOCCGVIZ-GXSJLCMTSA-N 0 0 297.742 2.504 20 5 CFBDRN Cc1cnc(N2CC[C@H](C3CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000407989270 292914158 /nfs/dbraw/zinc/91/41/58/292914158.db2.gz GTNKQHMGPXUCDD-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1csc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000345192910 293033466 /nfs/dbraw/zinc/03/34/66/293033466.db2.gz OBCPGNDWROXNAR-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000353903896 293426872 /nfs/dbraw/zinc/42/68/72/293426872.db2.gz KQPMQUIALIOZHK-JTQLQIEISA-N 0 0 298.314 2.619 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000415393239 293485680 /nfs/dbraw/zinc/48/56/80/293485680.db2.gz OUJZSKZVMQBSBA-FZMZJTMJSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1noc([C@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000340909641 293973318 /nfs/dbraw/zinc/97/33/18/293973318.db2.gz ZYFKWJPHWDFFBP-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN CO[C@@]1(C)C[C@H](N(C)c2ncccc2[N+](=O)[O-])C1(C)C ZINC000301430128 294159096 /nfs/dbraw/zinc/15/90/96/294159096.db2.gz JIUFIGOXFFYFKE-FZMZJTMJSA-N 0 0 279.340 2.630 20 5 CFBDRN C[C@@H]1CN(c2cc(F)ccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000373641683 297077421 /nfs/dbraw/zinc/07/74/21/297077421.db2.gz SPLGALHGXQYNSF-YMTOWFKASA-N 0 0 280.299 2.738 20 5 CFBDRN CO[C@H]1CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC[C@@H]1C ZINC000221014923 294578818 /nfs/dbraw/zinc/57/88/18/294578818.db2.gz CYNAXUQZIOGVBC-BONVTDFDSA-N 0 0 292.335 2.659 20 5 CFBDRN COc1ccc(C(=O)NC2(C(C)C)CC2)cc1[N+](=O)[O-] ZINC000293926468 295095078 /nfs/dbraw/zinc/09/50/78/295095078.db2.gz MKTIFBPDUXLEKP-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cccc(C(=O)N2CCCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000194913388 295283671 /nfs/dbraw/zinc/28/36/71/295283671.db2.gz FJNSMVICKDGJGB-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cccc(N2CCC(C)(OC)CC2)c1[N+](=O)[O-] ZINC000274654385 295304307 /nfs/dbraw/zinc/30/43/07/295304307.db2.gz DFIONXYVYHQJAE-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cccc(N2CCCO[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000294156180 295304858 /nfs/dbraw/zinc/30/48/58/295304858.db2.gz RGLHISSPIDGXOB-CQSZACIVSA-N 0 0 294.351 2.855 20 5 CFBDRN Nc1c(C(=O)N2CC[C@@H]2c2ccccc2)cccc1[N+](=O)[O-] ZINC000344998832 295345371 /nfs/dbraw/zinc/34/53/71/295345371.db2.gz VPPZTMKYRCVHMP-CYBMUJFWSA-N 0 0 297.314 2.764 20 5 CFBDRN Nc1ccc(N2CCCc3occc3C2)c([N+](=O)[O-])c1 ZINC000288879262 295353574 /nfs/dbraw/zinc/35/35/74/295353574.db2.gz LZXMRKHEQFCDFW-UHFFFAOYSA-N 0 0 273.292 2.723 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@@]3(CCCCO3)C2)c1 ZINC000367169504 295356060 /nfs/dbraw/zinc/35/60/60/295356060.db2.gz FSCJTSAYFXGKPE-HNNXBMFYSA-N 0 0 291.351 2.717 20 5 CFBDRN C[C@H]1CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000420611441 299988418 /nfs/dbraw/zinc/98/84/18/299988418.db2.gz NKALEHCLHGGWGE-QWRGUYRKSA-N 0 0 291.351 2.566 20 5 CFBDRN C[C@]1(F)CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c32)C1 ZINC000334211441 300815445 /nfs/dbraw/zinc/81/54/45/300815445.db2.gz YWPKYEPTQZCESQ-AWEZNQCLSA-N 0 0 291.282 2.650 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCCO[C@H](C(C)C)C2)n1 ZINC000338846732 301203186 /nfs/dbraw/zinc/20/31/86/301203186.db2.gz OQZGABQSRXBICZ-ZDUSSCGKSA-N 0 0 293.367 2.858 20 5 CFBDRN Cc1cc(N(C)[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)ncc1[N+](=O)[O-] ZINC000301461454 301330038 /nfs/dbraw/zinc/33/00/38/301330038.db2.gz YZHCXIQUYNCHJT-GDLCADMTSA-N 0 0 291.351 2.548 20 5 CFBDRN Cc1cc(N2CCOC[C@@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000364150256 301339536 /nfs/dbraw/zinc/33/95/36/301339536.db2.gz YLNXWKRNPKPSJB-OAHLLOKOSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1cc(N2CCOC[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000364150255 301340079 /nfs/dbraw/zinc/34/00/79/301340079.db2.gz YLNXWKRNPKPSJB-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](CO)[C@H]2C)c1 ZINC000413594166 301852956 /nfs/dbraw/zinc/85/29/56/301852956.db2.gz MKIGIVSUCKVQKP-VXGBXAGGSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000267624517 301953441 /nfs/dbraw/zinc/95/34/41/301953441.db2.gz INRGQZOZXCJKHU-GHMZBOCLSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1ccnc(N2CCC[C@]3(CCCCO3)C2)c1[N+](=O)[O-] ZINC000375930735 302271833 /nfs/dbraw/zinc/27/18/33/302271833.db2.gz IXYFXNZBMXXXEO-OAHLLOKOSA-N 0 0 291.351 2.838 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCCO[C@H]2CCC[C@H]21 ZINC000369465951 304800660 /nfs/dbraw/zinc/80/06/60/304800660.db2.gz ILJVNJYCURXAOQ-OCCSQVGLSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CC[C@H]2OCCC[C@H]2C1 ZINC000302821059 304802638 /nfs/dbraw/zinc/80/26/38/304802638.db2.gz QBXWNNVZHZUCIH-IINYFYTJSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000376392155 304802676 /nfs/dbraw/zinc/80/26/76/304802676.db2.gz QUTBECBPQGGAGX-OCCSQVGLSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H]2C2CC2)c(Cl)c1 ZINC000159637113 304803406 /nfs/dbraw/zinc/80/34/06/304803406.db2.gz RESXSRSUJZMIKY-ZDUSSCGKSA-N 0 0 282.727 2.863 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000450711503 304807750 /nfs/dbraw/zinc/80/77/50/304807750.db2.gz UYRPZGYRENEEKD-ZOWXZIJZSA-N 0 0 276.336 2.725 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC000301826077 304808258 /nfs/dbraw/zinc/80/82/58/304808258.db2.gz OVPAZOIZNMYWME-GDLCADMTSA-N 0 0 274.320 2.964 20 5 CFBDRN CN(CCC1CC1)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935011284 649864804 /nfs/dbraw/zinc/86/48/04/649864804.db2.gz OTNDUQTVZAVHMP-LSDHHAIUSA-N 0 0 288.347 2.957 20 5 CFBDRN CN(CCC1CC1)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935011280 649864907 /nfs/dbraw/zinc/86/49/07/649864907.db2.gz OTNDUQTVZAVHMP-CABCVRRESA-N 0 0 288.347 2.957 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NCC1(C2CC2)CC1 ZINC000159930012 322330618 /nfs/dbraw/zinc/33/06/18/322330618.db2.gz SVMUDHPHVIWBGR-QPJJXVBHSA-N 0 0 286.331 2.914 20 5 CFBDRN CCCC1(CNC(=O)CNc2ccccc2[N+](=O)[O-])CC1 ZINC000160679633 322341248 /nfs/dbraw/zinc/34/12/48/322341248.db2.gz RUXZYZJLZDQPKN-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161159051 322348032 /nfs/dbraw/zinc/34/80/32/322348032.db2.gz LEIZAMIEAMHRNJ-GFCCVEGCSA-N 0 0 286.331 2.836 20 5 CFBDRN CC(C)(C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161400399 322350876 /nfs/dbraw/zinc/35/08/76/322350876.db2.gz TWSOGQQVIDBHFA-UHFFFAOYSA-N 0 0 262.309 2.526 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2[C@H](C)CC[C@H]2C)c1 ZINC000161574476 322353903 /nfs/dbraw/zinc/35/39/03/322353903.db2.gz WNTWQUDPGADEIY-NXEZZACHSA-N 0 0 278.308 2.616 20 5 CFBDRN O=C(NC1CCCC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000161574238 322353960 /nfs/dbraw/zinc/35/39/60/322353960.db2.gz HZDBTTVCJXGLPJ-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1c(F)cccc1Cl ZINC000161690502 322356099 /nfs/dbraw/zinc/35/60/99/322356099.db2.gz MUWHGRSXEPPCDP-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN O=C(c1ccccn1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162010516 322359929 /nfs/dbraw/zinc/35/99/29/322359929.db2.gz CSWWJWLGPUQZTM-UHFFFAOYSA-N 0 0 283.287 2.583 20 5 CFBDRN C[C@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000165358850 322384445 /nfs/dbraw/zinc/38/44/45/322384445.db2.gz IOPXZHHJYOZZQT-NSHDSACASA-N 0 0 292.335 2.620 20 5 CFBDRN Cc1cccc(N(C)Cc2ccc(C(N)=O)cc2[N+](=O)[O-])c1 ZINC000170728577 322393061 /nfs/dbraw/zinc/39/30/61/322393061.db2.gz LJGXMAHRISQZGF-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC/C=C/c1ccccc1 ZINC000171993398 322418014 /nfs/dbraw/zinc/41/80/14/322418014.db2.gz JUDVDPBNUGCBRS-QPJJXVBHSA-N 0 0 296.326 2.967 20 5 CFBDRN CC1(NC(=O)Cc2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000172868406 322430197 /nfs/dbraw/zinc/43/01/97/322430197.db2.gz VBUBOSCENIRBPA-UHFFFAOYSA-N 0 0 276.336 2.976 20 5 CFBDRN CC(C)N(C(=O)c1cc([N+](=O)[O-])cn1C)C1CC(C)(C)C1 ZINC000569313948 322463470 /nfs/dbraw/zinc/46/34/70/322463470.db2.gz XLNQRCBEMZVVRY-UHFFFAOYSA-N 0 0 293.367 2.973 20 5 CFBDRN COc1c(C(=O)NC2(C3(C)CC3)CC2)cccc1[N+](=O)[O-] ZINC000570290204 322524991 /nfs/dbraw/zinc/52/49/91/322524991.db2.gz WXHYCFQQZQSOED-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CC(C)Oc1nc(S[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000570739199 322549421 /nfs/dbraw/zinc/54/94/21/322549421.db2.gz LPVHTTHSNXKMEF-VIFPVBQESA-N 0 0 284.337 2.658 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(CC2CCC2)[C@H](C)CO)n1 ZINC000570833790 322554570 /nfs/dbraw/zinc/55/45/70/322554570.db2.gz DVQJIVHNTGWGIK-GFCCVEGCSA-N 0 0 293.367 2.594 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)[C@H](C)[C@@H]1C ZINC000570912506 322558685 /nfs/dbraw/zinc/55/86/85/322558685.db2.gz AEJXQRCWSZBPPJ-GUBZILKMSA-N 0 0 266.345 2.600 20 5 CFBDRN CO[C@H]1C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C1(C)C ZINC000571124678 322570415 /nfs/dbraw/zinc/57/04/15/322570415.db2.gz AZQDMVPGPDHZDJ-STQMWFEESA-N 0 0 291.351 2.788 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1C[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000571597777 322588949 /nfs/dbraw/zinc/58/89/49/322588949.db2.gz UYQNFWXQGNCZFB-BIGNPOOSSA-N 0 0 274.320 2.613 20 5 CFBDRN C[C@@H](C(=O)N1CCCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000571716505 322593725 /nfs/dbraw/zinc/59/37/25/322593725.db2.gz UJMRVLMVFIMHSB-LLVKDONJSA-N 0 0 278.308 2.642 20 5 CFBDRN C[C@@H](NC(=O)C1(CF)CCC1)c1ccccc1[N+](=O)[O-] ZINC000572525047 322621996 /nfs/dbraw/zinc/62/19/96/322621996.db2.gz BEHXZNCXVQCQTD-SNVBAGLBSA-N 0 0 280.299 2.912 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC(CF)CC1 ZINC000574530761 322696715 /nfs/dbraw/zinc/69/67/15/322696715.db2.gz GCJHITZOTGGQEA-UHFFFAOYSA-N 0 0 268.288 2.789 20 5 CFBDRN CC(C)[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000574660537 322701252 /nfs/dbraw/zinc/70/12/52/322701252.db2.gz RALBMXDZPSQWDB-SKDRFNHKSA-N 0 0 283.303 2.744 20 5 CFBDRN C[C@H]1C[C@@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000575873674 322732847 /nfs/dbraw/zinc/73/28/47/322732847.db2.gz NHPADITWYOVRNZ-YUMQZZPRSA-N 0 0 290.245 2.552 20 5 CFBDRN COc1csc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000176667960 323681167 /nfs/dbraw/zinc/68/11/67/323681167.db2.gz PSYZYJWQDMFPSI-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN CC1(CNC(=O)NCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000177457661 323695925 /nfs/dbraw/zinc/69/59/25/323695925.db2.gz PUPFIUVBGBBHSF-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN Cc1cc(NC(=O)c2ccccn2)ccc1[N+](=O)[O-] ZINC000182408130 323862884 /nfs/dbraw/zinc/86/28/84/323862884.db2.gz YQLBLOAKTVPHRU-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN O=C(CCc1cncc(F)c1)Nc1ccc([N+](=O)[O-])cc1 ZINC000182775061 323882717 /nfs/dbraw/zinc/88/27/17/323882717.db2.gz AJHLBEJNJCFABN-UHFFFAOYSA-N 0 0 289.266 2.700 20 5 CFBDRN CCc1ccc(OCCOCC2CC2)c([N+](=O)[O-])c1 ZINC000185554200 323967702 /nfs/dbraw/zinc/96/77/02/323967702.db2.gz ZDTIEMLOGVCHRH-UHFFFAOYSA-N 0 0 265.309 2.963 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000186570090 323982963 /nfs/dbraw/zinc/98/29/63/323982963.db2.gz FUKWEMBIAZKTIV-DZGCQCFKSA-N 0 0 276.336 2.893 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000187675250 323999878 /nfs/dbraw/zinc/99/98/78/323999878.db2.gz QLUBIPAFJFFXOW-FZMZJTMJSA-N 0 0 291.351 2.925 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000187675204 324000016 /nfs/dbraw/zinc/00/00/16/324000016.db2.gz QLUBIPAFJFFXOW-RISCZKNCSA-N 0 0 291.351 2.925 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cc(Cl)ccc2F)cn1 ZINC000188917260 324022460 /nfs/dbraw/zinc/02/24/60/324022460.db2.gz UNQOGDXLGDAUHM-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN Cc1cc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)co1 ZINC000189596053 324030706 /nfs/dbraw/zinc/03/07/06/324030706.db2.gz REIDYIKZQDEFCN-UHFFFAOYSA-N 0 0 260.249 2.773 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)CCC(C)C ZINC000193588527 324074202 /nfs/dbraw/zinc/07/42/02/324074202.db2.gz GPKHPOXVTNUSHM-UHFFFAOYSA-N 0 0 280.324 2.656 20 5 CFBDRN O=[N+]([O-])c1ncn(C/C=C\c2ccc(Cl)cc2)n1 ZINC000344738704 324133503 /nfs/dbraw/zinc/13/35/03/324133503.db2.gz ZXGULOYMPVYSNK-UPHRSURJSA-N 0 0 264.672 2.553 20 5 CFBDRN COc1cccc(-c2nc([C@@H]3C[C@@H]3C)no2)c1[N+](=O)[O-] ZINC000350141253 324201736 /nfs/dbraw/zinc/20/17/36/324201736.db2.gz COXXBCUDVDICAN-IONNQARKSA-N 0 0 275.264 2.777 20 5 CFBDRN C[C@H]1C[C@@H]1Cc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000350398208 324214132 /nfs/dbraw/zinc/21/41/32/324214132.db2.gz LFODINSZDMOETL-GXSJLCMTSA-N 0 0 273.292 2.767 20 5 CFBDRN C[C@@H]1COCC[C@@H]1c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000350609087 324245076 /nfs/dbraw/zinc/24/50/76/324245076.db2.gz JNEKRZCGSFMWHJ-SFYZADRCSA-N 0 0 295.320 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(C3CCSCC3)no2)[nH]1 ZINC000350875036 324273883 /nfs/dbraw/zinc/27/38/83/324273883.db2.gz VECLMFUCXLLQDM-UHFFFAOYSA-N 0 0 280.309 2.584 20 5 CFBDRN Cc1ocnc1-c1noc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000351011331 324294473 /nfs/dbraw/zinc/29/44/73/324294473.db2.gz GFOWBYKTEPCPER-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1ocnc1-c1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000351040970 324298533 /nfs/dbraw/zinc/29/85/33/324298533.db2.gz QSQSRBDGIRJQEL-UHFFFAOYSA-N 0 0 290.210 2.747 20 5 CFBDRN Cc1ocnc1-c1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000351044516 324298549 /nfs/dbraw/zinc/29/85/49/324298549.db2.gz WXIVUZHQFJMJJU-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN COc1cc(-c2nc([C@H]3C[C@H]3C)no2)c([N+](=O)[O-])cc1F ZINC000351081396 324306211 /nfs/dbraw/zinc/30/62/11/324306211.db2.gz KPCACFHDWCJNHN-RQJHMYQMSA-N 0 0 293.254 2.916 20 5 CFBDRN CO[C@@H](C)CCc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351140680 324324188 /nfs/dbraw/zinc/32/41/88/324324188.db2.gz BVPYBEWNMLXUGY-VIFPVBQESA-N 0 0 291.307 2.921 20 5 CFBDRN Cc1c(-c2noc(COC(F)F)n2)cccc1[N+](=O)[O-] ZINC000351139924 324324292 /nfs/dbraw/zinc/32/42/92/324324292.db2.gz AYAOLFHNJQXPGM-UHFFFAOYSA-N 0 0 285.206 2.692 20 5 CFBDRN CC(C)(C)C[C@@H](O)Cc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351140624 324324441 /nfs/dbraw/zinc/32/44/41/324324441.db2.gz BPIJHRZONSSTIH-QMMMGPOBSA-N 0 0 295.295 2.577 20 5 CFBDRN CO[C@@H](C)c1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351144369 324326643 /nfs/dbraw/zinc/32/66/43/324326643.db2.gz IFKSVOZLSOQJSH-QMMMGPOBSA-N 0 0 263.253 2.661 20 5 CFBDRN CC(C)n1cnc(-c2nc(-c3ccc([N+](=O)[O-])o3)no2)c1 ZINC000351146389 324327385 /nfs/dbraw/zinc/32/73/85/324327385.db2.gz MMZJBKIBQVUMTF-UHFFFAOYSA-N 0 0 289.251 2.682 20 5 CFBDRN Cc1c(-c2noc([C@H]3CO[C@H](C)C3)n2)cccc1[N+](=O)[O-] ZINC000351146852 324327752 /nfs/dbraw/zinc/32/77/52/324327752.db2.gz NNNHRTRQVWHIST-PSASIEDQSA-N 0 0 289.291 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3ncoc3C3CC3)n2)o1 ZINC000351149282 324329032 /nfs/dbraw/zinc/32/90/32/324329032.db2.gz RDUVZVILBUDMLM-UHFFFAOYSA-N 0 0 288.219 2.770 20 5 CFBDRN C[C@@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCC1=O ZINC000351151253 324330249 /nfs/dbraw/zinc/33/02/49/324330249.db2.gz VFFNQNOOYWXTOX-SFYZADRCSA-N 0 0 291.263 2.711 20 5 CFBDRN C[C@@H](c1nc(Cc2ccc([N+](=O)[O-])cn2)no1)C1CCC1 ZINC000351294590 324374177 /nfs/dbraw/zinc/37/41/77/324374177.db2.gz SPXFEHHENQOPLK-SECBINFHSA-N 0 0 288.307 2.867 20 5 CFBDRN C[C@@]1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)C[C@@H]1F ZINC000351334142 324386649 /nfs/dbraw/zinc/38/66/49/324386649.db2.gz WZROCKPQNXTGTN-QUBYGPBYSA-N 0 0 269.257 2.706 20 5 CFBDRN COc1cc(N[C@H]2CC23CCOCC3)c(F)cc1[N+](=O)[O-] ZINC000387221792 324489386 /nfs/dbraw/zinc/48/93/86/324489386.db2.gz ZQFYRTQBLPAVRH-ZDUSSCGKSA-N 0 0 296.298 2.724 20 5 CFBDRN CC(F)(F)CNc1ncc([N+](=O)[O-])cc1Br ZINC000393558959 324531071 /nfs/dbraw/zinc/53/10/71/324531071.db2.gz NKVXKVYXKSZAMF-UHFFFAOYSA-N 0 0 296.071 2.819 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H](CO)C(C)C ZINC000394063368 324532891 /nfs/dbraw/zinc/53/28/91/324532891.db2.gz QXZZVLRNTIMBLO-LLVKDONJSA-N 0 0 252.314 2.580 20 5 CFBDRN CNc1ccc(C(=O)N(C)C2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000395429795 324541550 /nfs/dbraw/zinc/54/15/50/324541550.db2.gz YUYRMDIPMWGQJF-UHFFFAOYSA-N 0 0 291.351 2.897 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC2(CCC2)[C@@H]2COC[C@@H]21 ZINC000575969261 324561624 /nfs/dbraw/zinc/56/16/24/324561624.db2.gz VYGMEFJISLXAIX-OCCSQVGLSA-N 0 0 288.347 2.909 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc(CC3CC3)no2)c1 ZINC000576649423 324642663 /nfs/dbraw/zinc/64/26/63/324642663.db2.gz NDDMCCCEGQDACS-UHFFFAOYSA-N 0 0 275.264 2.606 20 5 CFBDRN COC(C)(C)CN(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000576773766 324658765 /nfs/dbraw/zinc/65/87/65/324658765.db2.gz YSSPIGOZIVEDBL-NSHDSACASA-N 0 0 294.351 2.582 20 5 CFBDRN Cc1c(CNC(=O)[C@H](C)OCC(C)C)cccc1[N+](=O)[O-] ZINC000576882030 324670910 /nfs/dbraw/zinc/67/09/10/324670910.db2.gz GPBFTCTWXORLLQ-LBPRGKRZSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1cccnc1CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000577158188 324703138 /nfs/dbraw/zinc/70/31/38/324703138.db2.gz XKZFPZOGCBMFJA-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@@H]2OCC[C@@H]2C1 ZINC000579225907 324932430 /nfs/dbraw/zinc/93/24/30/324932430.db2.gz RXGTZDMEHNSIND-DOMZBBRYSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@@H](C(=O)N1C[C@@H]2CCC[C@@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000580509685 325041149 /nfs/dbraw/zinc/04/11/49/325041149.db2.gz SXKMQXJPWQIKRU-BNOWGMLFSA-N 0 0 288.347 2.957 20 5 CFBDRN COCC1(CCNC(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000580711352 325057628 /nfs/dbraw/zinc/05/76/28/325057628.db2.gz BFWKUNAYYXJVAC-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@H](F)C1 ZINC000580716259 325058411 /nfs/dbraw/zinc/05/84/11/325058411.db2.gz IROLQUWOWYEDKK-NWDGAFQWSA-N 0 0 280.299 2.534 20 5 CFBDRN CN(C(=O)c1c[nH]nc1[N+](=O)[O-])[C@H]1CCC[C@H]1C(C)(C)C ZINC000581000311 325081227 /nfs/dbraw/zinc/08/12/27/325081227.db2.gz VNGUQOONBMNSQF-MNOVXSKESA-N 0 0 294.355 2.605 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@]2(C)C[C@H]2F)n1 ZINC000581125948 325092200 /nfs/dbraw/zinc/09/22/00/325092200.db2.gz PJQFZWXTRAEQJG-MFKMUULPSA-N 0 0 277.255 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H]2CCCN(c3cccnn3)C2)cc1 ZINC000581291649 325107524 /nfs/dbraw/zinc/10/75/24/325107524.db2.gz WYVAWRXIAIBJDX-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN C[C@@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H](C)S1 ZINC000581350154 325112527 /nfs/dbraw/zinc/11/25/27/325112527.db2.gz OJLYMDBPVFULCS-DTORHVGOSA-N 0 0 292.364 2.801 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](c2cccnc2)C1 ZINC000581559279 325133315 /nfs/dbraw/zinc/13/33/15/325133315.db2.gz SYYDBINKVQCQPT-CYBMUJFWSA-N 0 0 299.330 2.992 20 5 CFBDRN Cc1sc(C(=O)NC2(CF)CCC2)cc1[N+](=O)[O-] ZINC000581886472 325157252 /nfs/dbraw/zinc/15/72/52/325157252.db2.gz FSWCZQDBBXHSKN-UHFFFAOYSA-N 0 0 272.301 2.587 20 5 CFBDRN CC[C@@H]1CN(c2c(OC)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000582013355 325169495 /nfs/dbraw/zinc/16/94/95/325169495.db2.gz IZHXSNDDXOWMLL-WDEREUQCSA-N 0 0 280.324 2.607 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])cn1C)C1CCCCC1 ZINC000582094480 325175782 /nfs/dbraw/zinc/17/57/82/325175782.db2.gz PDDDIUXXGMKENH-JTQLQIEISA-N 0 0 279.340 2.632 20 5 CFBDRN Cc1cccc(N2CCO[C@]3(CCSC3)C2)c1[N+](=O)[O-] ZINC000582178753 325183530 /nfs/dbraw/zinc/18/35/30/325183530.db2.gz RATWVLLGQMMCNP-CQSZACIVSA-N 0 0 294.376 2.616 20 5 CFBDRN CNc1ccc(C(=O)N2CC(C)(C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000582533185 325212301 /nfs/dbraw/zinc/21/23/01/325212301.db2.gz QQFORGUNYXKUGR-JTQLQIEISA-N 0 0 291.351 2.897 20 5 CFBDRN COC(=O)c1cnc(NCC2CC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000583118437 325260790 /nfs/dbraw/zinc/26/07/90/325260790.db2.gz FQSBUJRQSGCMIC-UHFFFAOYSA-N 0 0 293.323 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1CO[C@@H](C)C1 ZINC000583320480 325277370 /nfs/dbraw/zinc/27/73/70/325277370.db2.gz WKVCYKLFTJECQR-WDEREUQCSA-N 0 0 293.323 2.511 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CCC[C@@H](F)C1 ZINC000583561224 325296135 /nfs/dbraw/zinc/29/61/35/325296135.db2.gz IWJBCTYZGRMCQA-VXGBXAGGSA-N 0 0 295.314 2.675 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSc1cnccn1 ZINC000584088805 325329538 /nfs/dbraw/zinc/32/95/38/325329538.db2.gz FNMKRBRUYXRXSC-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CCC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000584115887 325331665 /nfs/dbraw/zinc/33/16/65/325331665.db2.gz YMMJGMOLLRMRCX-UWVGGRQHSA-N 0 0 295.314 2.647 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1CCC[C@H](F)C1 ZINC000584233403 325339801 /nfs/dbraw/zinc/33/98/01/325339801.db2.gz VBZZTTSGHZSMHK-RYUDHWBXSA-N 0 0 280.299 2.534 20 5 CFBDRN Cc1nn(Cc2cc3c(c(Cl)c2)OCO3)cc1[N+](=O)[O-] ZINC000584429181 329467280 /nfs/dbraw/zinc/46/72/80/329467280.db2.gz LPNJSPIMEWDATB-UHFFFAOYSA-N 0 0 295.682 2.530 20 5 CFBDRN O=C(NC1CCC1)c1cccc2c(=O)c3ccccc3[nH]c12 ZINC000445171866 330829145 /nfs/dbraw/zinc/82/91/45/330829145.db2.gz IFPYJFZYULAHSK-UHFFFAOYSA-N 0 0 292.338 2.964 20 5 CFBDRN CC(C)C[C@H](CO)Nc1ccc2c(cccc2[N+](=O)[O-])n1 ZINC001154957222 782104997 /nfs/dbraw/zinc/10/49/97/782104997.db2.gz MPLHZXMRVAKOAY-LLVKDONJSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H]1COC[C@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000829437545 782130418 /nfs/dbraw/zinc/13/04/18/782130418.db2.gz ICXVSQAFFNUUEN-NOZJJQNGSA-N 0 0 273.292 2.590 20 5 CFBDRN C[C@@H]1COC[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000829437215 782131105 /nfs/dbraw/zinc/13/11/05/782131105.db2.gz BXLKKGCRIJTIIQ-RNCFNFMXSA-N 0 0 273.292 2.590 20 5 CFBDRN CN(CCC1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000268148964 500613730 /nfs/dbraw/zinc/61/37/30/500613730.db2.gz MGNVCQBBMBWGDQ-UHFFFAOYSA-N 0 0 264.325 2.848 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CCOC[C@@H]1C ZINC000277437139 500822936 /nfs/dbraw/zinc/82/29/36/500822936.db2.gz JCJMOPWRPJJBGL-GXFFZTMASA-N 0 0 280.324 2.578 20 5 CFBDRN Cc1nnc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000391792201 501010901 /nfs/dbraw/zinc/01/09/01/501010901.db2.gz AMZGEPFFYJRSQS-MRVPVSSYSA-N 0 0 278.337 2.606 20 5 CFBDRN CCCC[C@@H](COC)Nc1c([N+](=O)[O-])nc(C)n1CC ZINC000360986376 521057766 /nfs/dbraw/zinc/05/77/66/521057766.db2.gz TVPHZAPREXTFCF-NSHDSACASA-N 0 0 284.360 2.737 20 5 CFBDRN CCC[C@](C)(O)CNc1cccc(C)c1[N+](=O)[O-] ZINC000218854077 521294657 /nfs/dbraw/zinc/29/46/57/521294657.db2.gz MVGUVHMBDHRPCE-ZDUSSCGKSA-N 0 0 252.314 2.866 20 5 CFBDRN CCC[C@](C)(O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000226232893 521295520 /nfs/dbraw/zinc/29/55/20/521295520.db2.gz LRIQALCCMXYXEE-LBPRGKRZSA-N 0 0 256.277 2.697 20 5 CFBDRN COc1cccc2c1C[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)C2 ZINC000413421471 533893616 /nfs/dbraw/zinc/89/36/16/533893616.db2.gz BBRBKFQPZWZWTD-GFCCVEGCSA-N 0 0 299.330 2.886 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nccc3cc([N+](=O)[O-])ccc32)CS1 ZINC000413503699 533903206 /nfs/dbraw/zinc/90/32/06/533903206.db2.gz BOYHDYQWFDPTPK-KOLCDFICSA-N 0 0 289.360 2.871 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC12CC2 ZINC000424988936 534008361 /nfs/dbraw/zinc/00/83/61/534008361.db2.gz PSVPYAAZMQZFQL-SKDRFNHKSA-N 0 0 292.360 2.744 20 5 CFBDRN C[C@@H](CNc1nccc2ccc([N+](=O)[O-])cc21)C[C@H](C)O ZINC000413149377 534100021 /nfs/dbraw/zinc/10/00/21/534100021.db2.gz BKLFIQUCAMVQOK-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN COc1ccc(NC(=O)[C@H](CC(C)C)OC)c([N+](=O)[O-])c1 ZINC000414726593 534160603 /nfs/dbraw/zinc/16/06/03/534160603.db2.gz ZEKVAXPSGAEYTI-ZDUSSCGKSA-N 0 0 296.323 2.603 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000429229247 534172889 /nfs/dbraw/zinc/17/28/89/534172889.db2.gz TXYYBTUMNZGVBA-ZYHUDNBSSA-N 0 0 294.326 2.923 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OCCC(C)C)c1 ZINC000158567872 534247658 /nfs/dbraw/zinc/24/76/58/534247658.db2.gz VTVNGORDJUOHSN-UHFFFAOYSA-N 0 0 267.281 2.806 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC(CC(F)F)C1 ZINC000425080969 534332046 /nfs/dbraw/zinc/33/20/46/534332046.db2.gz LHEAQKYXOAMLAV-UHFFFAOYSA-N 0 0 284.262 2.630 20 5 CFBDRN O=C(Nc1cccc(O)c1)c1csc([N+](=O)[O-])c1 ZINC000178866414 534361045 /nfs/dbraw/zinc/36/10/45/534361045.db2.gz ZNLIKZOGELVSNT-UHFFFAOYSA-N 0 0 264.262 2.614 20 5 CFBDRN Cc1ccnc(N[C@@H]2C[C@H]2c2ccco2)c1[N+](=O)[O-] ZINC000413608436 534406833 /nfs/dbraw/zinc/40/68/33/534406833.db2.gz TTZIPTRWUZOJGX-NXEZZACHSA-N 0 0 259.265 2.859 20 5 CFBDRN CC(C)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000056287698 519454638 /nfs/dbraw/zinc/45/46/38/519454638.db2.gz XEAUUDMYBVOSPZ-UHFFFAOYSA-N 0 0 264.325 2.988 20 5 CFBDRN CC(C)N(C(=O)Cc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000357221668 519561642 /nfs/dbraw/zinc/56/16/42/519561642.db2.gz WMZQLROZROHSFJ-UHFFFAOYSA-N 0 0 280.299 2.676 20 5 CFBDRN CC(C)N(C)C(=O)[C@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337916211 519602481 /nfs/dbraw/zinc/60/24/81/519602481.db2.gz QIMKNNDWHYOPBC-JTQLQIEISA-N 0 0 282.365 2.942 20 5 CFBDRN CC(C)CC[C@@H](O)CSc1ccc([N+](=O)[O-])cn1 ZINC000360686225 519684564 /nfs/dbraw/zinc/68/45/64/519684564.db2.gz RLGBRSHWJAMHDV-LLVKDONJSA-N 0 0 270.354 2.879 20 5 CFBDRN CC(C)[C@@H](CCO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000231087959 519793212 /nfs/dbraw/zinc/79/32/12/519793212.db2.gz VKLUXIDPPCADDT-GFCCVEGCSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000336335739 519803170 /nfs/dbraw/zinc/80/31/70/519803170.db2.gz UAXIWUSIWUVSPX-AWEZNQCLSA-N 0 0 287.319 2.947 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037593 519811705 /nfs/dbraw/zinc/81/17/05/519811705.db2.gz OKCKRYYFYOSMFG-QMMMGPOBSA-N 0 0 286.278 2.990 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])cn1)c1ncc[nH]1 ZINC000339970148 519821796 /nfs/dbraw/zinc/82/17/96/519821796.db2.gz OFDRMXYAFFXPHM-NSHDSACASA-N 0 0 261.285 2.522 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000186526320 519830099 /nfs/dbraw/zinc/83/00/99/519830099.db2.gz OSLDGVMBQZXUGU-HOCLYGCPSA-N 0 0 290.363 2.936 20 5 CFBDRN CCC1(CNc2ccc(C(=O)NC)cc2[N+](=O)[O-])CCC1 ZINC000084489403 519843284 /nfs/dbraw/zinc/84/32/84/519843284.db2.gz XFLDJYPBWVRVAA-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CC(C)c1nc(COc2cccc([N+](=O)[O-])c2)no1 ZINC000067096134 519864834 /nfs/dbraw/zinc/86/48/34/519864834.db2.gz KRKFJKWEIZQQRC-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN CC(C)c1nccc(NCCc2ccccc2[N+](=O)[O-])n1 ZINC000361285252 519877596 /nfs/dbraw/zinc/87/75/96/519877596.db2.gz CEXCUWDXKLCNAC-UHFFFAOYSA-N 0 0 286.335 2.585 20 5 CFBDRN CC(C)c1noc(CNc2cc([N+](=O)[O-])ccc2F)n1 ZINC000049282049 519905206 /nfs/dbraw/zinc/90/52/06/519905206.db2.gz LRXZWRCOMZWKLI-UHFFFAOYSA-N 0 0 280.259 2.852 20 5 CFBDRN Cc1nc(NC(=O)CC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000410553991 534458818 /nfs/dbraw/zinc/45/88/18/534458818.db2.gz PBMIJJPNZGBCKO-UHFFFAOYSA-N 0 0 285.250 2.672 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@H](C)c1cccnc1 ZINC000360050901 520072954 /nfs/dbraw/zinc/07/29/54/520072954.db2.gz BYHDYTGJDAKPRM-SNVBAGLBSA-N 0 0 288.307 2.541 20 5 CFBDRN CCCNc1ccc(CNc2ccncc2[N+](=O)[O-])cc1 ZINC000340924902 520156419 /nfs/dbraw/zinc/15/64/19/520156419.db2.gz NYYYXQTVINQTEQ-UHFFFAOYSA-N 0 0 286.335 2.846 20 5 CFBDRN CCCCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054056183 520222496 /nfs/dbraw/zinc/22/24/96/520222496.db2.gz ZBWXSONQWGBUPF-UHFFFAOYSA-N 0 0 254.261 2.654 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000425524083 534477984 /nfs/dbraw/zinc/47/79/84/534477984.db2.gz CANVJVVUUOOWGS-NXEZZACHSA-N 0 0 281.287 2.765 20 5 CFBDRN CCNc1ccc(C(=O)N(C)CCC2CC2)cc1[N+](=O)[O-] ZINC000313827499 520279296 /nfs/dbraw/zinc/27/92/96/520279296.db2.gz CKRFDCQNWBVIFC-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CCNc1ccc(C(=O)NC(C)(C)CC)cc1[N+](=O)[O-] ZINC000048931067 520286366 /nfs/dbraw/zinc/28/63/66/520286366.db2.gz GLJBDSJAWUMSCY-UHFFFAOYSA-N 0 0 279.340 2.945 20 5 CFBDRN CCN(C1CCCC1)S(=O)(=O)c1ccccc1[N+](=O)[O-] ZINC000056077739 520295027 /nfs/dbraw/zinc/29/50/27/520295027.db2.gz OMILVDLTKFZMMK-UHFFFAOYSA-N 0 0 298.364 2.548 20 5 CFBDRN CCO[C@@H]1COCC[C@@H]1Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360082664 520330354 /nfs/dbraw/zinc/33/03/54/520330354.db2.gz MSQDLCIRPFBAPB-SMDDNHRTSA-N 0 0 298.314 2.648 20 5 CFBDRN CCCCN(C(=O)CCn1cc([N+](=O)[O-])cn1)[C@@H](C)CC ZINC000068992878 520341658 /nfs/dbraw/zinc/34/16/58/520341658.db2.gz SZBPGFOAPJLJMP-LBPRGKRZSA-N 0 0 296.371 2.609 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000162498164 520391943 /nfs/dbraw/zinc/39/19/43/520391943.db2.gz IBNCFGXEMRMYCN-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000162520514 520393923 /nfs/dbraw/zinc/39/39/23/520393923.db2.gz FKKOYAGINCJJFM-MRVPVSSYSA-N 0 0 268.338 2.965 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050701304 520410048 /nfs/dbraw/zinc/41/00/48/520410048.db2.gz FOHDBPTVAIXOEG-UHFFFAOYSA-N 0 0 299.758 2.949 20 5 CFBDRN CCN(CC(F)F)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000182441669 520423003 /nfs/dbraw/zinc/42/30/03/520423003.db2.gz MKSAAYFMVJCXTO-VMPITWQZSA-N 0 0 284.262 2.722 20 5 CFBDRN CCCCN(CCCC)C(=O)Cn1cc([N+](=O)[O-])nc1C ZINC000065724394 520490191 /nfs/dbraw/zinc/49/01/91/520490191.db2.gz HDAJHWOCKYWCLF-UHFFFAOYSA-N 0 0 296.371 2.529 20 5 CFBDRN Cc1nc(N[C@@H]2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000160624539 534495901 /nfs/dbraw/zinc/49/59/01/534495901.db2.gz HTGVHDXKNQPOTL-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN CCC[C@@H](CCO)CNc1ccccc1[N+](=O)[O-] ZINC000078672910 520702601 /nfs/dbraw/zinc/70/26/01/520702601.db2.gz VNDXRJHKAXOTFP-NSHDSACASA-N 0 0 252.314 2.805 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000068501453 520812394 /nfs/dbraw/zinc/81/23/94/520812394.db2.gz CMFKTPXHERZIDF-NSHDSACASA-N 0 0 280.324 2.763 20 5 CFBDRN CCOC(=O)C[C@H](C)Sc1nc(C)ccc1[N+](=O)[O-] ZINC000360874102 520883715 /nfs/dbraw/zinc/88/37/15/520883715.db2.gz TXHBLYGPOSKKGU-VIFPVBQESA-N 0 0 284.337 2.732 20 5 CFBDRN CCC[C@@](C)(CO)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000304753802 520913172 /nfs/dbraw/zinc/91/31/72/520913172.db2.gz YOMDDVIAGONUPR-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN CCN(CC1CC1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000171944761 520958307 /nfs/dbraw/zinc/95/83/07/520958307.db2.gz PUPWECOQMZLXOF-UHFFFAOYSA-N 0 0 254.311 2.528 20 5 CFBDRN CC1CCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)CC1 ZINC000336282417 520986769 /nfs/dbraw/zinc/98/67/69/520986769.db2.gz BKQVGEWWXBBMGM-LSDHHAIUSA-N 0 0 288.347 2.957 20 5 CFBDRN CCOC(=O)[C@@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000054614287 521120130 /nfs/dbraw/zinc/12/01/30/521120130.db2.gz CIJOTCUMVRKEBK-SNVBAGLBSA-N 0 0 296.298 2.513 20 5 CFBDRN CCOC(=O)[C@H](CC)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181841838 521236392 /nfs/dbraw/zinc/23/63/92/521236392.db2.gz ZIJMJHOJCXCDBW-AAEUAGOBSA-N 0 0 294.351 2.896 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H](F)C2)c1 ZINC000360359541 521279271 /nfs/dbraw/zinc/27/92/71/521279271.db2.gz IWNNYUNBZFTAHA-VHSXEESVSA-N 0 0 296.298 2.614 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccco2)c1 ZINC000338411125 521279422 /nfs/dbraw/zinc/27/94/22/521279422.db2.gz JSCOOQRYMSLVKN-UHFFFAOYSA-N 0 0 290.275 2.517 20 5 CFBDRN CCOC(=O)[C@H]1CCCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000157597304 521303729 /nfs/dbraw/zinc/30/37/29/521303729.db2.gz HVXYBKIAOVQJLZ-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN CCN(Cc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000361597376 521309695 /nfs/dbraw/zinc/30/96/95/521309695.db2.gz YHJPASSIDCSXEA-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@@H]1F ZINC000340805162 521426023 /nfs/dbraw/zinc/42/60/23/521426023.db2.gz CCSDNZNTGVYQTO-UWVGGRQHSA-N 0 0 296.298 2.614 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@@H](C)C1 ZINC000157340044 521426927 /nfs/dbraw/zinc/42/69/27/521426927.db2.gz GUNCZTLDPSSYSV-GHMZBOCLSA-N 0 0 292.335 2.912 20 5 CFBDRN CCCc1nc(COc2ccc(F)cc2[N+](=O)[O-])no1 ZINC000067099550 521520425 /nfs/dbraw/zinc/52/04/25/521520425.db2.gz HHOVRGMFWRQBLN-UHFFFAOYSA-N 0 0 281.243 2.648 20 5 CFBDRN CCOC1CC(CCNc2c([N+](=O)[O-])c(CC)nn2C)C1 ZINC000191621940 521646448 /nfs/dbraw/zinc/64/64/48/521646448.db2.gz GEHNAYMORQHNHJ-UHFFFAOYSA-N 0 0 296.371 2.508 20 5 CFBDRN CCSCCCNC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000312671921 521703433 /nfs/dbraw/zinc/70/34/33/521703433.db2.gz XWWFIBSYAUQPPR-UHFFFAOYSA-N 0 0 297.380 2.510 20 5 CFBDRN CC[C@H](C)c1ccc(C(=O)Cn2cnc([N+](=O)[O-])n2)cc1 ZINC000053834936 522035238 /nfs/dbraw/zinc/03/52/38/522035238.db2.gz WRIYTGXGWMDDGR-JTQLQIEISA-N 0 0 288.307 2.583 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCCSC2)c1 ZINC000167338284 522179517 /nfs/dbraw/zinc/17/95/17/522179517.db2.gz YHVYOCBEMDDSLC-JTQLQIEISA-N 0 0 296.348 2.689 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CC[C@H](F)C2)c1 ZINC000340909642 522179639 /nfs/dbraw/zinc/17/96/39/522179639.db2.gz IXBCQECZYGEDBW-UWVGGRQHSA-N 0 0 282.271 2.684 20 5 CFBDRN COc1cc(C)ccc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000340339712 522270499 /nfs/dbraw/zinc/27/04/99/522270499.db2.gz MQCUFDWLLAKXQZ-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC1CCC1 ZINC000361220374 522302146 /nfs/dbraw/zinc/30/21/46/522302146.db2.gz JXCOTRLJTHFWFI-GFCCVEGCSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC1CC1 ZINC000185259848 522303678 /nfs/dbraw/zinc/30/36/78/522303678.db2.gz BMZSMPZQOSUATE-CYBMUJFWSA-N 0 0 276.336 2.690 20 5 CFBDRN COC(C)(C)CCSc1ccc([N+](=O)[O-])cn1 ZINC000340708698 522477275 /nfs/dbraw/zinc/47/72/75/522477275.db2.gz MEDWPRCVJXCLFP-UHFFFAOYSA-N 0 0 256.327 2.897 20 5 CFBDRN COC(C)(C)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000078471742 522496023 /nfs/dbraw/zinc/49/60/23/522496023.db2.gz ZDIPYFQIGCNANA-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN COc1cc(CSc2cccnn2)c([N+](=O)[O-])cc1F ZINC000361591984 522499627 /nfs/dbraw/zinc/49/96/27/522499627.db2.gz UNHAXJUAWLUSJL-UHFFFAOYSA-N 0 0 295.295 2.825 20 5 CFBDRN CNc1c(C(=O)N2CCC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000161416448 522537495 /nfs/dbraw/zinc/53/74/95/522537495.db2.gz LYEFWUNJJNCZOP-JTQLQIEISA-N 0 0 277.324 2.509 20 5 CFBDRN CNc1c(C(=O)NC[C@H]2CC=CCC2)cccc1[N+](=O)[O-] ZINC000361160164 522540320 /nfs/dbraw/zinc/54/03/20/522540320.db2.gz UTTPIRYUAAIARB-NSHDSACASA-N 0 0 289.335 2.723 20 5 CFBDRN CNc1c(C(=O)NC[C@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000230713928 522540862 /nfs/dbraw/zinc/54/08/62/522540862.db2.gz BQHVNGQMOVPDMQ-WDEREUQCSA-N 0 0 291.351 2.803 20 5 CFBDRN CNc1ccc(C(=O)N(CC(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000050777119 522582084 /nfs/dbraw/zinc/58/20/84/522582084.db2.gz MHWQIQBQKIMFNW-UHFFFAOYSA-N 0 0 291.351 2.897 20 5 CFBDRN CN(CC1(O)CCCC1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000228671124 522603096 /nfs/dbraw/zinc/60/30/96/522603096.db2.gz UKBQNHDAIJCWDX-UHFFFAOYSA-N 0 0 286.278 2.614 20 5 CFBDRN CCc1ncnc(NCc2ccc([N+](=O)[O-])cc2)c1F ZINC000217981672 522610591 /nfs/dbraw/zinc/61/05/91/522610591.db2.gz FYVPYYOVUCEEEN-UHFFFAOYSA-N 0 0 276.271 2.698 20 5 CFBDRN COc1cc(N(C)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000172821419 522622594 /nfs/dbraw/zinc/62/25/94/522622594.db2.gz VBNPZXZCTDDASF-UHFFFAOYSA-N 0 0 262.265 2.833 20 5 CFBDRN COC1(CNc2cccc(C)c2[N+](=O)[O-])CCC1 ZINC000218874106 522635583 /nfs/dbraw/zinc/63/55/83/522635583.db2.gz ICSPXJSZUWTBFF-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN CCc1nn(C)c(N[C@H](C)c2cncs2)c1[N+](=O)[O-] ZINC000340843574 522669770 /nfs/dbraw/zinc/66/97/70/522669770.db2.gz AVPSJMPUTBJKND-SSDOTTSWSA-N 0 0 281.341 2.520 20 5 CFBDRN CCc1nn(C)cc1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000314435052 522694121 /nfs/dbraw/zinc/69/41/21/522694121.db2.gz ZFKSZFXPYQGTBX-UHFFFAOYSA-N 0 0 292.314 2.950 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@H](CO)C2)ccc1[N+](=O)[O-] ZINC000315089436 522745742 /nfs/dbraw/zinc/74/57/42/522745742.db2.gz KLPSWLDJMVMSSC-RYUDHWBXSA-N 0 0 294.351 2.814 20 5 CFBDRN CC[C@H](O)CCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000135078578 522806286 /nfs/dbraw/zinc/80/62/86/522806286.db2.gz ZWFKCULQKSJUGF-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H](C)CCCO ZINC000294967227 522939446 /nfs/dbraw/zinc/93/94/46/522939446.db2.gz NPFVWNHZYHQCAM-LLVKDONJSA-N 0 0 282.340 2.562 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1ccc(F)cn1 ZINC000357175002 522939762 /nfs/dbraw/zinc/93/97/62/522939762.db2.gz UCGBTQXUUCLBCH-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCCCCF ZINC000361646346 522955164 /nfs/dbraw/zinc/95/51/64/522955164.db2.gz UJEULXJNKDFPFM-UHFFFAOYSA-N 0 0 297.330 2.528 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)c1ncc[nH]1 ZINC000221224801 522968353 /nfs/dbraw/zinc/96/83/53/522968353.db2.gz BIQGYEBRIQCSPK-SECBINFHSA-N 0 0 281.703 2.930 20 5 CFBDRN CC[C@@H](O)CCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000361079559 522976463 /nfs/dbraw/zinc/97/64/63/522976463.db2.gz LJHHJFXOJZKVKA-SNVBAGLBSA-N 0 0 256.277 2.697 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCC(C)(C)OC ZINC000340673351 522982433 /nfs/dbraw/zinc/98/24/33/522982433.db2.gz HEUPCLFPYAYMTA-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000067548050 523081955 /nfs/dbraw/zinc/08/19/55/523081955.db2.gz RFWPQEYRRWWTNL-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCC12CCC2 ZINC000340903692 523140227 /nfs/dbraw/zinc/14/02/27/523140227.db2.gz MYNBWITYHMXQFB-UHFFFAOYSA-N 0 0 264.329 2.643 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CCC[C@@H]1C ZINC000311770526 523143322 /nfs/dbraw/zinc/14/33/22/523143322.db2.gz VYDJQIMTYJWDKA-ONGXEEELSA-N 0 0 266.345 2.968 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H](OC)C(C)(C)C ZINC000360995208 523143346 /nfs/dbraw/zinc/14/33/46/523143346.db2.gz MVHWSJMWXDNSNG-SNVBAGLBSA-N 0 0 284.360 2.593 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(C2CC2)CC1 ZINC000311648369 523144545 /nfs/dbraw/zinc/14/45/45/523144545.db2.gz DJTYBQAJXZKLCD-UHFFFAOYSA-N 0 0 264.329 2.722 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1cncs1 ZINC000340898116 523145265 /nfs/dbraw/zinc/14/52/65/523145265.db2.gz IPUSKJAPIVXCBW-ZETCQYMHSA-N 0 0 281.341 2.749 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1cncc(F)c1 ZINC000361073967 523147197 /nfs/dbraw/zinc/14/71/97/523147197.db2.gz NVCUZUOAKCQXHG-QMMMGPOBSA-N 0 0 293.302 2.827 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000162560148 523311206 /nfs/dbraw/zinc/31/12/06/523311206.db2.gz KSMCUULRRHDIQE-VXGBXAGGSA-N 0 0 291.351 2.702 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000182569446 523393271 /nfs/dbraw/zinc/39/32/71/523393271.db2.gz AFHHYMKDGBCVHW-MFKMUULPSA-N 0 0 278.308 2.739 20 5 CFBDRN CC[C@@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)CCCO1 ZINC000340868051 523470978 /nfs/dbraw/zinc/47/09/78/523470978.db2.gz BQBWAPQUNZRUTI-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CC1 ZINC000370667394 523605316 /nfs/dbraw/zinc/60/53/16/523605316.db2.gz ZVXCTJDWMNDYCB-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN CN(c1cccc(F)c1[N+](=O)[O-])[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000301562692 523646033 /nfs/dbraw/zinc/64/60/33/523646033.db2.gz IUZVMMAGGHLYSU-CUOATXAZSA-N 0 0 294.326 2.984 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CC1(C)C ZINC000234209104 523669609 /nfs/dbraw/zinc/66/96/09/523669609.db2.gz VBWLOOSOPZSFLT-VIFPVBQESA-N 0 0 252.318 2.578 20 5 CFBDRN CO[C@@H]1[C@H]2C[C@H]3CN(c4ccc(F)cc4[N+](=O)[O-])[C@H]1[C@H]3C2 ZINC000286298072 523789939 /nfs/dbraw/zinc/78/99/39/523789939.db2.gz JILVJEYCWPZTQN-NOORDTQPSA-N 0 0 292.310 2.594 20 5 CFBDRN CCc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)n[nH]1 ZINC000049139282 523817278 /nfs/dbraw/zinc/81/72/78/523817278.db2.gz DBJUJKDWTUCDNU-UHFFFAOYSA-N 0 0 280.309 2.503 20 5 CFBDRN CC[C@H](C)CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000167253638 523887986 /nfs/dbraw/zinc/88/79/86/523887986.db2.gz OIDHVKYYSGJWHQ-VIFPVBQESA-N 0 0 277.324 2.938 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CC[C@H]2C)cc1[N+](=O)[O-] ZINC000356715393 523893695 /nfs/dbraw/zinc/89/36/95/523893695.db2.gz AJTJTUQARKNRMM-BXKDBHETSA-N 0 0 262.309 2.686 20 5 CFBDRN CN(C(=O)[C@@]12C[C@@H]1CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000334999290 523904699 /nfs/dbraw/zinc/90/46/99/523904699.db2.gz GPTCCQMUTNIESK-HZMBPMFUSA-N 0 0 260.293 2.748 20 5 CFBDRN CN(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)[C@@H]1CC1(C)C ZINC000334184628 523916331 /nfs/dbraw/zinc/91/63/31/523916331.db2.gz BZIPQUINQINAKG-CYBMUJFWSA-N 0 0 287.319 2.947 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])cn1C)C1CCC(C)(C)CC1 ZINC000334852025 523923431 /nfs/dbraw/zinc/92/34/31/523923431.db2.gz JMMIQHULAPUYBG-UHFFFAOYSA-N 0 0 293.367 2.974 20 5 CFBDRN CO[C@H](C)c1noc(CSc2cccc([N+](=O)[O-])c2)n1 ZINC000337915172 523924034 /nfs/dbraw/zinc/92/40/34/523924034.db2.gz OIZVFBIRZBIYRL-MRVPVSSYSA-N 0 0 295.320 2.978 20 5 CFBDRN CN(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)[C@H]1CC1(C)C ZINC000335892572 523926221 /nfs/dbraw/zinc/92/62/21/523926221.db2.gz NUWRNKCVMGXMMS-LBPRGKRZSA-N 0 0 287.319 2.947 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])n1C)C1CCCCCC1 ZINC000334189349 523938076 /nfs/dbraw/zinc/93/80/76/523938076.db2.gz HVGZEBCOTJJWPC-UHFFFAOYSA-N 0 0 279.340 2.728 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1)C1CC(C)(C)C1 ZINC000335130246 523938132 /nfs/dbraw/zinc/93/81/32/523938132.db2.gz LFQVPZVUJRAHHJ-UHFFFAOYSA-N 0 0 262.309 2.855 20 5 CFBDRN CN(C(=O)c1cccc([N+](=O)[O-])c1)C1CC(C)(C)C1 ZINC000335130294 523945826 /nfs/dbraw/zinc/94/58/26/523945826.db2.gz NZTDXAQPISCCIZ-UHFFFAOYSA-N 0 0 262.309 2.855 20 5 CFBDRN CN(C(=O)c1ccccc1[N+](=O)[O-])C1(C)CCCC1 ZINC000336454324 523949226 /nfs/dbraw/zinc/94/92/26/523949226.db2.gz WOVUYXILJWYXKG-UHFFFAOYSA-N 0 0 262.309 3.000 20 5 CFBDRN CC[C@H](C)NC(=O)CCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000314170465 523969343 /nfs/dbraw/zinc/96/93/43/523969343.db2.gz OSWPKMQPQYYCOL-JTQLQIEISA-N 0 0 297.330 2.759 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000171998689 524096970 /nfs/dbraw/zinc/09/69/70/524096970.db2.gz OMZIBOMQMPKWRN-SSDOTTSWSA-N 0 0 254.311 2.575 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157321712 524159479 /nfs/dbraw/zinc/15/94/79/524159479.db2.gz NBNDLYXUMFHLLJ-MNOVXSKESA-N 0 0 291.351 2.733 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000340084746 524160476 /nfs/dbraw/zinc/16/04/76/524160476.db2.gz PGTVMFXCVKOMIR-NWDGAFQWSA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000339793462 524161396 /nfs/dbraw/zinc/16/13/96/524161396.db2.gz ZRAANEDEXTYSFE-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN Cc1cc(C)c(C(=O)Nc2n[nH]c3ccc([N+](=O)[O-])cc32)[nH]1 ZINC000089205083 524242065 /nfs/dbraw/zinc/24/20/65/524242065.db2.gz SPKQPQZFSZOLEA-UHFFFAOYSA-N 0 0 299.290 2.668 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000334376279 524263567 /nfs/dbraw/zinc/26/35/67/524263567.db2.gz DYJVBVJFZICVLC-VIFPVBQESA-N 0 0 273.292 2.558 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000180971434 524294705 /nfs/dbraw/zinc/29/47/05/524294705.db2.gz AMSCBJYHUDVRRX-GHMZBOCLSA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2C[C@@]23CCOC3)c([N+](=O)[O-])c1 ZINC000364130185 524307343 /nfs/dbraw/zinc/30/73/43/524307343.db2.gz RRVDZBQQSHGYPJ-XHDPSFHLSA-N 0 0 291.303 2.544 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@]2(O)CCCC2(C)C)n1 ZINC000338735081 524335490 /nfs/dbraw/zinc/33/54/90/524335490.db2.gz ZTADWLZHQCDCGE-OAHLLOKOSA-N 0 0 293.367 2.960 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@@](C)(F)C1 ZINC000335196098 524337957 /nfs/dbraw/zinc/33/79/57/524337957.db2.gz VOEKYFWPZLKBSL-CQSZACIVSA-N 0 0 280.299 2.786 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@@H]1C1CC1 ZINC000335010009 524338759 /nfs/dbraw/zinc/33/87/59/524338759.db2.gz ZRMXSOLVUSVDRW-CYBMUJFWSA-N 0 0 274.320 2.836 20 5 CFBDRN Cc1cccc(CCNC(=O)c2cccc([N+](=O)[O-])c2)c1 ZINC000338661740 524360793 /nfs/dbraw/zinc/36/07/93/524360793.db2.gz RJPWYTQRHILNFY-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN Cc1cccc(CCNc2ccc(C(N)=O)cc2[N+](=O)[O-])c1 ZINC000315678169 524362583 /nfs/dbraw/zinc/36/25/83/524362583.db2.gz XMGOQJJJLOIONE-UHFFFAOYSA-N 0 0 299.330 2.657 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000334070461 524409337 /nfs/dbraw/zinc/40/93/37/524409337.db2.gz JINUVUARKLJWGB-QWRGUYRKSA-N 0 0 262.309 2.761 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000337159256 524421718 /nfs/dbraw/zinc/42/17/18/524421718.db2.gz RUSXZBOUZAAULI-GHMZBOCLSA-N 0 0 294.376 2.992 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000157359363 524421944 /nfs/dbraw/zinc/42/19/44/524421944.db2.gz GZXCAAVKUAYJEL-IUCAKERBSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1ncc(Br)cc1[N+](=O)[O-] ZINC000309400445 524426970 /nfs/dbraw/zinc/42/69/70/524426970.db2.gz HFANTUWVZIGFMH-POYBYMJQSA-N 0 0 286.129 2.963 20 5 CFBDRN Cc1ccc(CNC(=O)Cc2ccccc2[N+](=O)[O-])s1 ZINC000048783615 524512066 /nfs/dbraw/zinc/51/20/66/524512066.db2.gz PLZRYGIZPZSTBJ-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN COc1ccc(NC(=O)NC[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000360395408 524567876 /nfs/dbraw/zinc/56/78/76/524567876.db2.gz NZXKAJAJQKCFNV-SECBINFHSA-N 0 0 293.323 2.771 20 5 CFBDRN COc1ccc(NC(=O)N[C@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000360405165 524574705 /nfs/dbraw/zinc/57/47/05/524574705.db2.gz GGRKDYHHIYXHIN-SECBINFHSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1cccc(NCc2ccc([N+](=O)[O-])cc2)c1C(N)=O ZINC000079178605 524687389 /nfs/dbraw/zinc/68/73/89/524687389.db2.gz RXVOYEFFNSUERJ-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334168803 524712869 /nfs/dbraw/zinc/71/28/69/524712869.db2.gz AUZFCXDHKGWUBW-PSASIEDQSA-N 0 0 273.292 2.604 20 5 CFBDRN C[C@@H](CNc1nc2sccn2c1[N+](=O)[O-])C(F)(F)F ZINC000355781608 524759448 /nfs/dbraw/zinc/75/94/48/524759448.db2.gz JWLGXEKFAMHGRC-YFKPBYRVSA-N 0 0 294.258 2.914 20 5 CFBDRN Cc1ccc(Cn2cccc([N+](=O)[O-])c2=O)c(Cl)c1 ZINC000336179991 524773470 /nfs/dbraw/zinc/77/34/70/524773470.db2.gz KLLITSUTGLQUQP-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN C[C@@H]1CN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000335122848 524793331 /nfs/dbraw/zinc/79/33/31/524793331.db2.gz AHQMTZLMXVCWSR-RKDXNWHRSA-N 0 0 298.289 2.530 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1ncc(Br)cc1[N+](=O)[O-] ZINC000131348796 524846122 /nfs/dbraw/zinc/84/61/22/524846122.db2.gz RUGIZMBSEBPSMC-NKWVEPMBSA-N 0 0 286.129 2.820 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H](C)S[C@H](C)C1 ZINC000336504175 524903266 /nfs/dbraw/zinc/90/32/66/524903266.db2.gz BAGYVSLTZUXWDL-GHMZBOCLSA-N 0 0 294.376 2.869 20 5 CFBDRN C[C@@H](Cn1ccnc1)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000049236056 524965797 /nfs/dbraw/zinc/96/57/97/524965797.db2.gz URVORFLOZMNFAF-VIFPVBQESA-N 0 0 280.715 2.945 20 5 CFBDRN Cc1cc(N(C)C(=O)Cc2ccc([N+](=O)[O-])cc2)ccn1 ZINC000361949158 525013526 /nfs/dbraw/zinc/01/35/26/525013526.db2.gz CVFPNCYYIZPERW-UHFFFAOYSA-N 0 0 285.303 2.504 20 5 CFBDRN Cc1ccc(NC(=O)C2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000334617264 525021991 /nfs/dbraw/zinc/02/19/91/525021991.db2.gz DDFZTTWSKMAXKL-UHFFFAOYSA-N 0 0 270.235 2.887 20 5 CFBDRN Cc1cc(N(C)[C@@H](C)c2cnn(C)c2)c(F)cc1[N+](=O)[O-] ZINC000360069803 525029928 /nfs/dbraw/zinc/02/99/28/525029928.db2.gz FTQFXNPZTGJPCL-JTQLQIEISA-N 0 0 292.314 2.973 20 5 CFBDRN Cc1ccccc1C1(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000338685661 525082248 /nfs/dbraw/zinc/08/22/48/525082248.db2.gz QPCNQHXNPICJMA-UHFFFAOYSA-N 0 0 299.330 2.693 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C(C)C)C2)c(F)cc1[N+](=O)[O-] ZINC000360081827 525088087 /nfs/dbraw/zinc/08/80/87/525088087.db2.gz HQVGWUQHVQGHNI-CQSZACIVSA-N 0 0 282.315 2.904 20 5 CFBDRN Cc1cc(NC(=O)CC[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000182448820 525129561 /nfs/dbraw/zinc/12/95/61/525129561.db2.gz ARJPQPMGBMKPDQ-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@](O)(CNc1ccc2ncccc2c1[N+](=O)[O-])C1CC1 ZINC000314120464 525181415 /nfs/dbraw/zinc/18/14/15/525181415.db2.gz DGYGBUHJOZPYQP-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2CSCCS2)ccc1[N+](=O)[O-] ZINC000182444432 525219880 /nfs/dbraw/zinc/21/98/80/525219880.db2.gz UAIXDJDVOGUVLN-NSHDSACASA-N 0 0 298.389 2.690 20 5 CFBDRN C[C@@H]1C[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])o2)C[C@H](C)O1 ZINC000338492794 525232354 /nfs/dbraw/zinc/23/23/54/525232354.db2.gz BBOAWVWUTJKAJY-JGPRNRPPSA-N 0 0 296.323 2.511 20 5 CFBDRN Cc1cc(NCC2(CCO)CC2)c(F)cc1[N+](=O)[O-] ZINC000311922406 525266549 /nfs/dbraw/zinc/26/65/49/525266549.db2.gz FEIWFFFGMWXPMT-UHFFFAOYSA-N 0 0 268.288 2.617 20 5 CFBDRN Cc1cc(NC[C@H](C)CO)c([N+](=O)[O-])cc1C(F)(F)F ZINC000338655898 525276282 /nfs/dbraw/zinc/27/62/82/525276282.db2.gz LRIXGDQBGKVNDG-ZETCQYMHSA-N 0 0 292.257 2.962 20 5 CFBDRN Cc1cc(NCc2cccc([N+](=O)[O-])c2C)ccc1C(N)=O ZINC000172903055 525280704 /nfs/dbraw/zinc/28/07/04/525280704.db2.gz YJQHYFQRJZSMCA-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN Cc1cc(NCc2nc(CO)cs2)c(F)cc1[N+](=O)[O-] ZINC000360065497 525283285 /nfs/dbraw/zinc/28/32/85/525283285.db2.gz IRZYHFWEXOJURF-UHFFFAOYSA-N 0 0 297.311 2.603 20 5 CFBDRN C[C@]1(NC(=O)c2ccc([N+](=O)[O-])[nH]2)CCCc2ccccc21 ZINC000337608393 525294228 /nfs/dbraw/zinc/29/42/28/525294228.db2.gz SZAUIRHVPNSLBO-INIZCTEOSA-N 0 0 299.330 2.904 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230307215 525296355 /nfs/dbraw/zinc/29/63/55/525296355.db2.gz WSZNUPKQVHDFKH-TZMCWYRMSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000230307222 525304215 /nfs/dbraw/zinc/30/42/15/525304215.db2.gz WSZNUPKQVHDFKH-JSGCOSHPSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1cc(N[C@]2(C)CCO[C@@H]2C)ccc1[N+](=O)[O-] ZINC000230100317 525305266 /nfs/dbraw/zinc/30/52/66/525305266.db2.gz DUPWGAXKLWIZRQ-ZWNOBZJWSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1ccc(N[C@@H](C)Cn2ccnc2)c([N+](=O)[O-])c1 ZINC000049236088 525309879 /nfs/dbraw/zinc/30/98/79/525309879.db2.gz NBTNEQPLGUPLBR-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN Cc1cc(OCC2CCOCC2)ccc1[N+](=O)[O-] ZINC000172293867 525370390 /nfs/dbraw/zinc/37/03/90/525370390.db2.gz VNJAUXWCSNFAIA-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1cc(S(=O)(=O)NC2CCCCC2)ccc1[N+](=O)[O-] ZINC000172014546 525403982 /nfs/dbraw/zinc/40/39/82/525403982.db2.gz JHPRUNUCUFVZIS-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2F)CS1 ZINC000335271001 525428273 /nfs/dbraw/zinc/42/82/73/525428273.db2.gz XGYZHPZTXUGURA-SFYZADRCSA-N 0 0 299.327 2.749 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCC[C@@H]2C)c1 ZINC000340032339 525449538 /nfs/dbraw/zinc/44/95/38/525449538.db2.gz JKHSLJDMZNVYOV-WDEREUQCSA-N 0 0 292.335 2.769 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cncc(C)c2)c1 ZINC000338686141 525453003 /nfs/dbraw/zinc/45/30/03/525453003.db2.gz DOKMWJAVZVQHBQ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CC[C@H](C)C1 ZINC000334070987 525454431 /nfs/dbraw/zinc/45/44/31/525454431.db2.gz USHGNBQJIBVBNL-QWRGUYRKSA-N 0 0 292.335 2.769 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H](C)C1 ZINC000157166520 525454818 /nfs/dbraw/zinc/45/48/18/525454818.db2.gz URYRKVJRYRNVKC-NXEZZACHSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSC1=NCCS1 ZINC000069051894 525462929 /nfs/dbraw/zinc/46/29/29/525462929.db2.gz VHRLTJJWXDKZCG-UHFFFAOYSA-N 0 0 284.362 2.939 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCC1CCCC1 ZINC000217576606 525468385 /nfs/dbraw/zinc/46/83/85/525468385.db2.gz FWAVZWJJWUYCDG-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN Cc1cccnc1CNCc1cccc([N+](=O)[O-])c1 ZINC000071339561 525525039 /nfs/dbraw/zinc/52/50/39/525525039.db2.gz ZQWVVNLKONCZCY-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCc1ccncc1 ZINC000052684474 525570510 /nfs/dbraw/zinc/57/05/10/525570510.db2.gz VNIMHIWKTPKPNU-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H](C)CC(C)C)c1=O ZINC000337217162 525572119 /nfs/dbraw/zinc/57/21/19/525572119.db2.gz IDEPOGXAVNMLKC-JTQLQIEISA-N 0 0 252.314 2.747 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCO[C@H]2CCCC[C@H]2C)c1=O ZINC000155871554 525573197 /nfs/dbraw/zinc/57/31/97/525573197.db2.gz LCCOHSBFDWQIFK-RISCZKNCSA-N 0 0 294.351 2.660 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(OCC2CC2)CC1 ZINC000377879189 525575108 /nfs/dbraw/zinc/57/51/08/525575108.db2.gz LAZZXCOKZAYUSW-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1C[C@H](CO)c2ccccc21 ZINC000376991490 525578780 /nfs/dbraw/zinc/57/87/80/525578780.db2.gz KPTRTDMJXNWBAZ-ABAIWWIYSA-N 0 0 299.330 2.931 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000336312191 525632533 /nfs/dbraw/zinc/63/25/33/525632533.db2.gz IVEJAKAWTKXETD-RQJHMYQMSA-N 0 0 292.213 2.601 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000156926215 525649749 /nfs/dbraw/zinc/64/97/49/525649749.db2.gz CTSJIVBGOCBZGZ-KOLCDFICSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000058668170 525653323 /nfs/dbraw/zinc/65/33/23/525653323.db2.gz XWQLOVUCTGKRDG-QPUJVOFHSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1cn[nH]c1 ZINC000229871626 525681442 /nfs/dbraw/zinc/68/14/42/525681442.db2.gz QYARUDTUFPVZLF-LURJTMIESA-N 0 0 267.676 2.539 20 5 CFBDRN Cc1ccnc(NCCOCCC(C)C)c1[N+](=O)[O-] ZINC000070496227 525689886 /nfs/dbraw/zinc/68/98/86/525689886.db2.gz FWBWZGRSNVZBNV-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN Cc1cc[nH]c1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000335768271 525707043 /nfs/dbraw/zinc/70/70/43/525707043.db2.gz NKFHFAPOPIUDFM-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1c(CNC(=O)N2[C@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000336051076 525713701 /nfs/dbraw/zinc/71/37/01/525713701.db2.gz PIGYBEDQSZRYPQ-NXEZZACHSA-N 0 0 277.324 2.596 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000360540475 525718745 /nfs/dbraw/zinc/71/87/45/525718745.db2.gz PHYSFBLPMIKGDL-ZYHUDNBSSA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000360540472 525719184 /nfs/dbraw/zinc/71/91/84/525719184.db2.gz PHYSFBLPMIKGDL-CMPLNLGQSA-N 0 0 276.336 2.956 20 5 CFBDRN C[C@@](O)(CNc1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC000311101195 525777022 /nfs/dbraw/zinc/77/70/22/525777022.db2.gz PTTHORBMXYSWBV-GFCCVEGCSA-N 0 0 270.716 2.821 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182440448 525782200 /nfs/dbraw/zinc/78/22/00/525782200.db2.gz URZWRAHCYPTYOL-QMMMGPOBSA-N 0 0 254.311 2.593 20 5 CFBDRN Cc1c(F)cccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000336041832 525812834 /nfs/dbraw/zinc/81/28/34/525812834.db2.gz GPOUEMOFKYHVDG-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN Cc1c(F)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050706737 525813065 /nfs/dbraw/zinc/81/30/65/525813065.db2.gz QUOGOHGYGZOCBW-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1c(F)cccc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000336328816 525813412 /nfs/dbraw/zinc/81/34/12/525813412.db2.gz GTRQGFUDWNFXCE-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCCOCC(F)F)c2c1 ZINC000413258396 534885335 /nfs/dbraw/zinc/88/53/35/534885335.db2.gz PJSFGMUYHLSTFE-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN Cc1c(NC(=O)C2CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000336168991 525832191 /nfs/dbraw/zinc/83/21/91/525832191.db2.gz HFWCNQQYNWMALV-UHFFFAOYSA-N 0 0 270.235 2.887 20 5 CFBDRN Cc1c(NC(=O)N(C)CC(F)F)cccc1[N+](=O)[O-] ZINC000164608540 525840723 /nfs/dbraw/zinc/84/07/23/525840723.db2.gz OCVMJHJNNICKJL-UHFFFAOYSA-N 0 0 273.239 2.632 20 5 CFBDRN Cc1c(NC(=O)NC2CC(C)(F)C2)cccc1[N+](=O)[O-] ZINC000336307990 525846302 /nfs/dbraw/zinc/84/63/02/525846302.db2.gz LFMQYHBRCIKYPJ-UHFFFAOYSA-N 0 0 281.287 2.915 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2cccnc2)c(F)c1 ZINC000413030282 534888341 /nfs/dbraw/zinc/88/83/41/534888341.db2.gz GNYWGLZJJFMSGI-ZDUSSCGKSA-N 0 0 288.282 2.865 20 5 CFBDRN Cc1cn(Cc2ccc(Cl)cc2F)nc1[N+](=O)[O-] ZINC000337945158 525910544 /nfs/dbraw/zinc/91/05/44/525910544.db2.gz VYFLMDGFGQEQEZ-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCCC1 ZINC000066059760 525932623 /nfs/dbraw/zinc/93/26/23/525932623.db2.gz AHNKKMPSZJNECW-VIFPVBQESA-N 0 0 298.726 2.638 20 5 CFBDRN C[C@H](C(=O)NCCCCF)c1ccc([N+](=O)[O-])cc1F ZINC000360502869 526044830 /nfs/dbraw/zinc/04/48/30/526044830.db2.gz GVHQTQZRSNSHNP-VIFPVBQESA-N 0 0 286.278 2.703 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)c2cccnc2)c1 ZINC000173026231 526052302 /nfs/dbraw/zinc/05/23/02/526052302.db2.gz YRQJSKNZEJDRRP-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1cnc(CNc2nccc(C)c2[N+](=O)[O-])s1 ZINC000078425354 526055732 /nfs/dbraw/zinc/05/57/32/526055732.db2.gz GADFINGZVUQNCV-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC(CF)C1 ZINC000334895475 526055955 /nfs/dbraw/zinc/05/59/55/526055955.db2.gz WREYAGLVEQDNML-UHFFFAOYSA-N 0 0 281.287 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CC12CC2 ZINC000335135292 526058260 /nfs/dbraw/zinc/05/82/60/526058260.db2.gz BVSSMGQKOGCHER-LLVKDONJSA-N 0 0 275.308 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2cccnc2)c1 ZINC000084726331 526060230 /nfs/dbraw/zinc/06/02/30/526060230.db2.gz CEZJBMLAJMWGEB-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](CO)Cc2ccccc2)c1 ZINC000084726550 526064013 /nfs/dbraw/zinc/06/40/13/526064013.db2.gz QUZQSTRADNBDSN-AWEZNQCLSA-N 0 0 286.331 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2nccc(C)n2)c1 ZINC000339304007 526064714 /nfs/dbraw/zinc/06/47/14/526064714.db2.gz QKSSWCFCDQPKNR-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C)CC1 ZINC000049375865 526070482 /nfs/dbraw/zinc/07/04/82/526070482.db2.gz OWGISLCTZHTEKN-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@@H]1CCCCO1 ZINC000181553893 526072741 /nfs/dbraw/zinc/07/27/41/526072741.db2.gz OIBRWSLUWPINMN-ZDUSSCGKSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H](C)C1 ZINC000157075031 526073296 /nfs/dbraw/zinc/07/32/96/526073296.db2.gz HVGWWDIYBUSRJT-MWLCHTKSSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@H](C)C1 ZINC000157074879 526074563 /nfs/dbraw/zinc/07/45/63/526074563.db2.gz HVGWWDIYBUSRJT-ONGXEEELSA-N 0 0 262.309 2.822 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H]2F)c1 ZINC000340846045 526079119 /nfs/dbraw/zinc/07/91/19/526079119.db2.gz KGBBTIRGZZCKPN-GHMZBOCLSA-N 0 0 298.339 2.937 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](F)C2)c1 ZINC000334868958 526080046 /nfs/dbraw/zinc/08/00/46/526080046.db2.gz VBFRNZDALOPMBL-MRVPVSSYSA-N 0 0 284.312 2.501 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Oc1ncnc2[nH]ccc21 ZINC000339132846 526085146 /nfs/dbraw/zinc/08/51/46/526085146.db2.gz LBFJVKYFIAYZHB-UHFFFAOYSA-N 0 0 270.248 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)NC1(C)CCCC1 ZINC000049078763 526086570 /nfs/dbraw/zinc/08/65/70/526086570.db2.gz WVDARPANERYSDM-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN Cc1cnc(NCCOc2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000361266017 526090293 /nfs/dbraw/zinc/09/02/93/526090293.db2.gz QJDDDHLVMBIKQR-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN Cc1cnc(NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000336928847 526090395 /nfs/dbraw/zinc/09/03/95/526090395.db2.gz NZZFAGWIFMGJCE-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN CSc1cccc(C(=O)Nc2ccncc2)c1[N+](=O)[O-] ZINC000186222044 526092061 /nfs/dbraw/zinc/09/20/61/526092061.db2.gz SBRXVHHYRXZFBQ-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCC[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000312309046 526093489 /nfs/dbraw/zinc/09/34/89/526093489.db2.gz PLWGVEIWLOZPME-NEPJUHHUSA-N 0 0 279.340 2.509 20 5 CFBDRN Cc1cc(=O)n(C/C=C/c2ccccc2)cc1[N+](=O)[O-] ZINC000128739527 526140685 /nfs/dbraw/zinc/14/06/85/526140685.db2.gz QKNOSCYIDIUJRQ-VMPITWQZSA-N 0 0 270.288 2.778 20 5 CFBDRN Cc1ccc(C(=O)N2Cc3ccccc3CO2)cc1[N+](=O)[O-] ZINC000360406682 526141517 /nfs/dbraw/zinc/14/15/17/526141517.db2.gz LLVJYVGTLFSIRH-UHFFFAOYSA-N 0 0 298.298 2.991 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000156831547 526215303 /nfs/dbraw/zinc/21/53/03/526215303.db2.gz JAENPYISULLOSU-SKDRFNHKSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc2nc(-c3ccc([N+](=O)[O-])cc3)[nH]c(=O)c2c1 ZINC000080128458 526242108 /nfs/dbraw/zinc/24/21/08/526242108.db2.gz PELOLAFLHDZWGA-UHFFFAOYSA-N 0 0 281.271 2.807 20 5 CFBDRN C[C@H]1CCCCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049397181 526243852 /nfs/dbraw/zinc/24/38/52/526243852.db2.gz AGDKYLVPUVZZPF-NSHDSACASA-N 0 0 277.324 2.679 20 5 CFBDRN Cc1cc(C(=O)NC2CCCCC2)c(N)c([N+](=O)[O-])c1 ZINC000068509759 526264377 /nfs/dbraw/zinc/26/43/77/526264377.db2.gz JHMYBAKVWKYNST-UHFFFAOYSA-N 0 0 277.324 2.548 20 5 CFBDRN Cc1nn([C@H](C)c2cc(F)ccc2F)cc1[N+](=O)[O-] ZINC000336267095 526306091 /nfs/dbraw/zinc/30/60/91/526306091.db2.gz ZIFWNIAMMFKBEK-MRVPVSSYSA-N 0 0 267.235 2.987 20 5 CFBDRN CC(C)(C)NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000007669825 526314057 /nfs/dbraw/zinc/31/40/57/526314057.db2.gz FVZXUFFEURDVLP-UHFFFAOYSA-N 0 0 268.338 2.602 20 5 CFBDRN Cc1csc(NC(=O)NCc2cccc([N+](=O)[O-])c2)n1 ZINC000049395969 526389838 /nfs/dbraw/zinc/38/98/38/526389838.db2.gz ZYNXEXPVCFEGJY-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN O=C(CCC1CCOCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000170230230 526414543 /nfs/dbraw/zinc/41/45/43/526414543.db2.gz KEBAOMBHLZYORC-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1COc2ccccc21 ZINC000113012761 526426076 /nfs/dbraw/zinc/42/60/76/526426076.db2.gz KNZSFKIPNWDYEB-CQSZACIVSA-N 0 0 299.282 2.814 20 5 CFBDRN O=C(NCCc1ccccc1Cl)c1ccc([N+](=O)[O-])o1 ZINC000049387530 526429814 /nfs/dbraw/zinc/42/98/14/526429814.db2.gz VAHONFCKFHMDED-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN Cc1noc(C)c1CC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182445345 526483501 /nfs/dbraw/zinc/48/35/01/526483501.db2.gz XOKBFLWZPLFMSD-UHFFFAOYSA-N 0 0 289.291 2.689 20 5 CFBDRN Cc1noc(C)c1CN(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153573467 526500071 /nfs/dbraw/zinc/50/00/71/526500071.db2.gz TWFVQGPGLOXTOS-UHFFFAOYSA-N 0 0 296.714 2.884 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000049295613 526501717 /nfs/dbraw/zinc/50/17/17/526501717.db2.gz QPZQVCPPIUUMJM-LLVKDONJSA-N 0 0 260.293 2.681 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@H]1C1CC1 ZINC000334890739 526581489 /nfs/dbraw/zinc/58/14/89/526581489.db2.gz XEBCTVUKRJJCHC-AWEZNQCLSA-N 0 0 285.303 2.701 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cccc(F)c1 ZINC000048826147 526694543 /nfs/dbraw/zinc/69/45/43/526694543.db2.gz ATLPLTFWMSJLSM-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cccs1 ZINC000049379761 526726115 /nfs/dbraw/zinc/72/61/15/526726115.db2.gz GLLHSZGEFYJVME-UHFFFAOYSA-N 0 0 262.290 2.586 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@H]2CCC[C@H]21 ZINC000336110382 526778982 /nfs/dbraw/zinc/77/89/82/526778982.db2.gz PMNLMVQMVRYVMA-ZWNOBZJWSA-N 0 0 260.293 2.609 20 5 CFBDRN Nc1nc(N[C@@H]2CC[C@H]3CCCC[C@H]3C2)ncc1[N+](=O)[O-] ZINC000338968055 526840753 /nfs/dbraw/zinc/84/07/53/526840753.db2.gz WIKKVSGQEGOVHZ-OUAUKWLOSA-N 0 0 291.355 2.568 20 5 CFBDRN Cc1sc(C(=O)N2C[C@H]3CCCC[C@H]32)cc1[N+](=O)[O-] ZINC000367907521 526872575 /nfs/dbraw/zinc/87/25/75/526872575.db2.gz RROLIWBZYUSSLS-NXEZZACHSA-N 0 0 280.349 2.979 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@H]1F)c1csc([N+](=O)[O-])c1 ZINC000335215444 526888673 /nfs/dbraw/zinc/88/86/73/526888673.db2.gz USOKWVGXGWDAHH-RKDXNWHRSA-N 0 0 272.301 2.667 20 5 CFBDRN Cc1nc(CSc2ncccc2[N+](=O)[O-])oc1C ZINC000049418413 526916939 /nfs/dbraw/zinc/91/69/39/526916939.db2.gz KZGGWQFJTQHCTI-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN O=C(N[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1Cl ZINC000335120778 527015781 /nfs/dbraw/zinc/01/57/81/527015781.db2.gz ZPNIRGNXDOKBBX-JTQLQIEISA-N 0 0 266.684 2.531 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1F)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000335988287 527038153 /nfs/dbraw/zinc/03/81/53/527038153.db2.gz MVWDGJRURIAHHP-ZJUUUORDSA-N 0 0 291.282 2.697 20 5 CFBDRN Cn1c(C(=O)NCc2ccc(C3CC3)cc2)ccc1[N+](=O)[O-] ZINC000360649378 527042738 /nfs/dbraw/zinc/04/27/38/527042738.db2.gz VHSLGYFDIVPKLZ-UHFFFAOYSA-N 0 0 299.330 2.741 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CC[C@H]1C1CC1 ZINC000335242125 527122337 /nfs/dbraw/zinc/12/23/37/527122337.db2.gz WWBMHEOSCYSJIK-LBPRGKRZSA-N 0 0 279.271 2.750 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@H]1CCCSC1 ZINC000336534292 527124009 /nfs/dbraw/zinc/12/40/09/527124009.db2.gz GALQURDGDMAIEU-VIFPVBQESA-N 0 0 299.327 2.751 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@H]2C1 ZINC000336056272 527124193 /nfs/dbraw/zinc/12/41/93/527124193.db2.gz ARUMUVLOCMZDJX-UWVGGRQHSA-N 0 0 293.298 2.998 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)NC(C1CC1)C1CC1 ZINC000055404620 527259867 /nfs/dbraw/zinc/25/98/67/527259867.db2.gz MCNJOKZJPDTLFI-UHFFFAOYSA-N 0 0 275.308 2.905 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC(C2CCC2)C1 ZINC000336361700 527260194 /nfs/dbraw/zinc/26/01/94/527260194.db2.gz PCXKXOWPPOGRJB-UHFFFAOYSA-N 0 0 275.308 2.859 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccncc1Cl ZINC000073846266 527261321 /nfs/dbraw/zinc/26/13/21/527261321.db2.gz CEAKJUCLZSSMOE-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN Cc1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2)c(C2CC2)n1 ZINC000339198872 527301038 /nfs/dbraw/zinc/30/10/38/527301038.db2.gz ZAIGXAYSMUEONL-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCC[C@@H](C)C1 ZINC000055483258 527313067 /nfs/dbraw/zinc/31/30/67/527313067.db2.gz JSFRTTRWBGWPLP-ZYHUDNBSSA-N 0 0 291.351 2.854 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCC[C@H](C)C1 ZINC000055483255 527313109 /nfs/dbraw/zinc/31/31/09/527313109.db2.gz JSFRTTRWBGWPLP-JQWIXIFHSA-N 0 0 291.351 2.854 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCC1(C)C ZINC000360876682 527313595 /nfs/dbraw/zinc/31/35/95/527313595.db2.gz XVJDFIGDAJIFJF-NSHDSACASA-N 0 0 291.351 2.854 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc([C@H]3CCCS3)no2)c1 ZINC000357067507 527322939 /nfs/dbraw/zinc/32/29/39/527322939.db2.gz CSXZZQIBEZDONI-MRVPVSSYSA-N 0 0 266.282 2.541 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC000340925560 527324972 /nfs/dbraw/zinc/32/49/72/527324972.db2.gz NZIFWRUBOASUMF-WCQYABFASA-N 0 0 273.267 2.519 20 5 CFBDRN CC(C)(O)CCNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413227468 527328539 /nfs/dbraw/zinc/32/85/39/527328539.db2.gz JEIHGKXEAMLSBN-UHFFFAOYSA-N 0 0 293.245 2.582 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCO[C@@H](C2CC2)C1 ZINC000372263690 527332919 /nfs/dbraw/zinc/33/29/19/527332919.db2.gz ZKTYXSKVYSYCGL-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1CCC[C@@H](O)C1 ZINC000078304145 527336531 /nfs/dbraw/zinc/33/65/31/527336531.db2.gz PLLOFQMIZSQRDO-MWLCHTKSSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCO[C@@H]2C2CC2)c(F)c1 ZINC000230308977 527337255 /nfs/dbraw/zinc/33/72/55/527337255.db2.gz KNSPUEBZJNHVIO-WCQYABFASA-N 0 0 284.262 2.852 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccco3)no2)s1 ZINC000340658715 527342182 /nfs/dbraw/zinc/34/21/82/527342182.db2.gz JVJWFUARNBJHOD-UHFFFAOYSA-N 0 0 263.234 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2nccs2)nc1 ZINC000364926353 527362940 /nfs/dbraw/zinc/36/29/40/527362940.db2.gz JQNGHDCWCFNSOW-JTQLQIEISA-N 0 0 276.321 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCOC2)c(F)c1 ZINC000230102622 527365381 /nfs/dbraw/zinc/36/53/81/527365381.db2.gz MUZNHRLTNSQEQG-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccnn2)c2ncccc12 ZINC000236422541 527366518 /nfs/dbraw/zinc/36/65/18/527366518.db2.gz PASSFSSRJLNWCT-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2OCCc3ccccc32)nc1 ZINC000364232733 527366556 /nfs/dbraw/zinc/36/65/56/527366556.db2.gz ZANRHCPASJXGOQ-CQSZACIVSA-N 0 0 285.303 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cscn2)c([N+](=O)[O-])c1 ZINC000050932938 527366761 /nfs/dbraw/zinc/36/67/61/527366761.db2.gz ZAUIOJDHTCSXOE-UHFFFAOYSA-N 0 0 280.265 2.572 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccnc2-n2ccnc2)cc1 ZINC000192805908 527367061 /nfs/dbraw/zinc/36/70/61/527367061.db2.gz NSYUSNVHXPHHDF-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])cn1)c1ccc(C(F)(F)F)cc1 ZINC000067800396 527373162 /nfs/dbraw/zinc/37/31/62/527373162.db2.gz MSNLWWFPKYKXFZ-UHFFFAOYSA-N 0 0 299.208 2.693 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nccn2C(F)F)cc1 ZINC000302916236 527373846 /nfs/dbraw/zinc/37/38/46/527373846.db2.gz YIRBWVNUTNXQRR-UHFFFAOYSA-N 0 0 269.207 2.765 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1C[C@@]12CCOC2 ZINC000366016028 527375438 /nfs/dbraw/zinc/37/54/38/527375438.db2.gz DSPYDCXQLGYEBB-AMIZOPFISA-N 0 0 296.710 2.613 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2ccc(CO)cc2)nc1 ZINC000305796361 527377736 /nfs/dbraw/zinc/37/77/36/527377736.db2.gz CKSNBAPEMUFEOW-UHFFFAOYSA-N 0 0 276.317 2.774 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC[C@H]3CCOC3)ccc2c1 ZINC000230103986 527381640 /nfs/dbraw/zinc/38/16/40/527381640.db2.gz LZDCLKLMHNNEBD-NSHDSACASA-N 0 0 287.319 2.982 20 5 CFBDRN Cn1cccc1C(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000313547460 527386467 /nfs/dbraw/zinc/38/64/67/527386467.db2.gz OZXZVBFJPVCYHH-UHFFFAOYSA-N 0 0 276.317 2.908 20 5 CFBDRN O=[N+]([O-])c1cccc(Cc2nc(-c3ccncc3)no2)c1 ZINC000174848055 527387975 /nfs/dbraw/zinc/38/79/75/527387975.db2.gz ZBYVJKRSEPPJJR-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCNc1ccccn1 ZINC000341362034 527389778 /nfs/dbraw/zinc/38/97/78/527389778.db2.gz SOWIZDJEOBKVTR-UHFFFAOYSA-N 0 0 276.271 2.653 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCc1ccccn1 ZINC000336810683 527389831 /nfs/dbraw/zinc/38/98/31/527389831.db2.gz IXUBXIUYAMLOFM-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2ccncn2)no1 ZINC000338194436 527397101 /nfs/dbraw/zinc/39/71/01/527397101.db2.gz XHBHPZGVDYVNLW-WAYWQWQTSA-N 0 0 295.258 2.605 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H]1CCC[C@H](CO)C1 ZINC000311580487 527403192 /nfs/dbraw/zinc/40/31/92/527403192.db2.gz WUXXHSHRVKCBNA-NEPJUHHUSA-N 0 0 264.325 2.805 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1cccc(-n2ccnc2)c1 ZINC000360325676 527406772 /nfs/dbraw/zinc/40/67/72/527406772.db2.gz PPUPQYGKNJARMI-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCc1noc(C2CC2)n1 ZINC000049418416 527407062 /nfs/dbraw/zinc/40/70/62/527407062.db2.gz ABACSQXNFJOKAF-UHFFFAOYSA-N 0 0 278.293 2.543 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCCOCC1CC1 ZINC000173824390 527407323 /nfs/dbraw/zinc/40/73/23/527407323.db2.gz QMLAYGDXZKAGOR-UHFFFAOYSA-N 0 0 254.311 2.509 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(F)cc2F)s1 ZINC000226772058 527410685 /nfs/dbraw/zinc/41/06/85/527410685.db2.gz OFFGLWCLNABOOQ-UHFFFAOYSA-N 0 0 271.248 2.942 20 5 CFBDRN O=[N+]([O-])c1sc(NCCC2CC2)nc1NCCC1CC1 ZINC000361294059 527416741 /nfs/dbraw/zinc/41/67/41/527416741.db2.gz CCYCOKQQCBYZFK-UHFFFAOYSA-N 0 0 296.396 2.897 20 5 CFBDRN O=c1ccc(F)cn1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000336053908 527484814 /nfs/dbraw/zinc/48/48/14/527484814.db2.gz YVYRXWCOFVJKAE-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN O=C(NC1CCC(F)(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000336373554 527499937 /nfs/dbraw/zinc/49/99/37/527499937.db2.gz UFYRYCDNYRHVHW-UHFFFAOYSA-N 0 0 284.262 2.903 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(F)cc(F)c1 ZINC000050699752 527503772 /nfs/dbraw/zinc/50/37/72/527503772.db2.gz KZTDBUREFBQCGU-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H]2CCCCC[C@@H]21 ZINC000364980791 527520530 /nfs/dbraw/zinc/52/05/30/527520530.db2.gz MBBCNSIMJWTRKO-PWSUYJOCSA-N 0 0 289.335 2.582 20 5 CFBDRN Cc1nn(-c2ccccc2)cc1Nc1ccncc1[N+](=O)[O-] ZINC000361043963 527562284 /nfs/dbraw/zinc/56/22/84/527562284.db2.gz QIMXRROBHFZXDR-UHFFFAOYSA-N 0 0 295.302 2.649 20 5 CFBDRN Cn1nccc1CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000071334370 527612996 /nfs/dbraw/zinc/61/29/96/527612996.db2.gz AETBCNVGMNVRMS-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN Cn1ncnc1SCc1ccc([N+](=O)[O-])cc1Cl ZINC000185738779 527639404 /nfs/dbraw/zinc/63/94/04/527639404.db2.gz WEQCSQIWQADJQX-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN CCC1CN(c2cc(C)c([N+](=O)[O-])cc2C(=O)OC)C1 ZINC000413360234 527877078 /nfs/dbraw/zinc/87/70/78/527877078.db2.gz IRFTXSVXMXWRHG-UHFFFAOYSA-N 0 0 278.308 2.536 20 5 CFBDRN CC1(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCOCC1 ZINC000130108205 528030930 /nfs/dbraw/zinc/03/09/30/528030930.db2.gz OKCNVQOELWIXDJ-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN CCC[C@H](C)C[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000426792295 528039142 /nfs/dbraw/zinc/03/91/42/528039142.db2.gz ITGBHQQTQSQSCC-FZMZJTMJSA-N 0 0 294.351 2.964 20 5 CFBDRN CC(C)CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000096474141 528059151 /nfs/dbraw/zinc/05/91/51/528059151.db2.gz UOYJIHPRJPXIKT-UHFFFAOYSA-N 0 0 256.689 2.634 20 5 CFBDRN CCC[C@H](CNc1ncc([N+](=O)[O-])cc1F)C(=O)OCC ZINC000413259377 528155434 /nfs/dbraw/zinc/15/54/34/528155434.db2.gz DCNUHXYZEPTRMF-SECBINFHSA-N 0 0 299.302 2.520 20 5 CFBDRN CCCN1CC(=O)N[C@H]1c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000414201394 528179345 /nfs/dbraw/zinc/17/93/45/528179345.db2.gz DUMBGWXLDSLGAA-OAHLLOKOSA-N 0 0 291.351 2.559 20 5 CFBDRN CCOc1cc(NC[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000111047351 528328615 /nfs/dbraw/zinc/32/86/15/528328615.db2.gz GEYGVXYZDFRICO-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN CC(C)c1nccc(Oc2ccc([N+](=O)[O-])c(CO)c2)n1 ZINC000428662251 528367246 /nfs/dbraw/zinc/36/72/46/528367246.db2.gz XYMFNLYROANPDG-UHFFFAOYSA-N 0 0 289.291 2.793 20 5 CFBDRN CCOC1(C)CN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000413332546 528462762 /nfs/dbraw/zinc/46/27/62/528462762.db2.gz QLNSLXPAVADWPM-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H](C)CC ZINC000421586069 528693594 /nfs/dbraw/zinc/69/35/94/528693594.db2.gz DLCJGPXBSBFVRY-JTQLQIEISA-N 0 0 280.324 2.769 20 5 CFBDRN CCOCCCNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413027377 528693863 /nfs/dbraw/zinc/69/38/63/528693863.db2.gz YOPKHNCSUPUJRL-UHFFFAOYSA-N 0 0 293.245 2.847 20 5 CFBDRN CCOCCN(C)c1ccc([N+](=O)[O-])c2ncccc12 ZINC000413218549 528715740 /nfs/dbraw/zinc/71/57/40/528715740.db2.gz AWMVFQKZVIRXRE-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CC(C)Cn1cc(Nc2ncc([N+](=O)[O-])cc2F)cn1 ZINC000413179734 528724287 /nfs/dbraw/zinc/72/42/87/528724287.db2.gz ZHJRELASTOAZJM-UHFFFAOYSA-N 0 0 279.275 2.725 20 5 CFBDRN CCC(O)(CC)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000165225092 528977693 /nfs/dbraw/zinc/97/76/93/528977693.db2.gz WYVVHNRHMWRFQM-UHFFFAOYSA-N 0 0 253.298 2.833 20 5 CFBDRN CCCCc1nc(Cn2c(C)ncc2[N+](=O)[O-])cs1 ZINC000426581231 529045816 /nfs/dbraw/zinc/04/58/16/529045816.db2.gz POHSBFSENKANEF-UHFFFAOYSA-N 0 0 280.353 2.947 20 5 CFBDRN CC[C@@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413554807 529213296 /nfs/dbraw/zinc/21/32/96/529213296.db2.gz QNBWKOWCQDVNGF-YPMHNXCESA-N 0 0 281.356 2.814 20 5 CFBDRN CC[C@@H](O)CNC(=O)c1cc2ccccc2c2cccnc12 ZINC000344394319 529231191 /nfs/dbraw/zinc/23/11/91/529231191.db2.gz RVCXACRISPSQDV-CYBMUJFWSA-N 0 0 294.354 2.889 20 5 CFBDRN CC[C@H]1C[C@@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])CCO1 ZINC000421670894 529320088 /nfs/dbraw/zinc/32/00/88/529320088.db2.gz OZECUPLHMBKUQD-RYUDHWBXSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1c1ncc([N+](=O)[O-])cc1F ZINC000413184863 529325929 /nfs/dbraw/zinc/32/59/29/529325929.db2.gz OQYRXYJXMXUMQC-IUCAKERBSA-N 0 0 253.277 2.754 20 5 CFBDRN CC[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000421664265 529328885 /nfs/dbraw/zinc/32/88/85/529328885.db2.gz AUSCVYPUJAAUAS-YPMHNXCESA-N 0 0 292.335 2.591 20 5 CFBDRN CC(C)(C)OCc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355429855 534994206 /nfs/dbraw/zinc/99/42/06/534994206.db2.gz POKVIBKSHSZCHP-UHFFFAOYSA-N 0 0 292.295 2.542 20 5 CFBDRN CC1(C)[C@@H]2CN(Cc3nc4cc([N+](=O)[O-])ccc4[nH]3)C[C@@H]21 ZINC000444647360 535043690 /nfs/dbraw/zinc/04/36/90/535043690.db2.gz DRMHZJVNNIIOFL-PHIMTYICSA-N 0 0 286.335 2.559 20 5 CFBDRN CC(C)(C)[C@@H](CO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000265387656 535050111 /nfs/dbraw/zinc/05/01/11/535050111.db2.gz BCGXUFQJHQKXAG-CQSZACIVSA-N 0 0 289.335 2.962 20 5 CFBDRN CC1(CNC(=O)/C=C\c2cccc([N+](=O)[O-])c2)CC1 ZINC000492102606 535122544 /nfs/dbraw/zinc/12/25/44/535122544.db2.gz HWFMCIDOZFZVCI-WAYWQWQTSA-N 0 0 260.293 2.524 20 5 CFBDRN CC(C)(C)c1csc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000341771369 535151105 /nfs/dbraw/zinc/15/11/05/535151105.db2.gz KOZLEPOLPSBVRM-UHFFFAOYSA-N 0 0 294.336 2.929 20 5 CFBDRN CC(C)[C@@H](CCO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000229941630 535184373 /nfs/dbraw/zinc/18/43/73/535184373.db2.gz OKUKRIWKZOKWSQ-CYBMUJFWSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000446336035 535193406 /nfs/dbraw/zinc/19/34/06/535193406.db2.gz CWCGDYSQJSHHLS-ZDUSSCGKSA-N 0 0 276.336 2.642 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000446329201 535193410 /nfs/dbraw/zinc/19/34/10/535193410.db2.gz FLQZEYOODQTYSD-ZDUSSCGKSA-N 0 0 276.336 2.642 20 5 CFBDRN CC(C)(NC(=O)OCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000496780214 535230694 /nfs/dbraw/zinc/23/06/94/535230694.db2.gz FLQRLLQDPZBOAF-UHFFFAOYSA-N 0 0 278.308 2.966 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC000342160540 535240949 /nfs/dbraw/zinc/24/09/49/535240949.db2.gz MWRXPMSERUGKMK-UHFFFAOYSA-N 0 0 273.292 2.588 20 5 CFBDRN CCC1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)CC1 ZINC000226722935 535325921 /nfs/dbraw/zinc/32/59/21/535325921.db2.gz CTMGXTVJUXXBPG-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN CC(C)c1c[nH]nc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000456742317 535334609 /nfs/dbraw/zinc/33/46/09/535334609.db2.gz ZYQUMOIJZXBESS-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000452981764 535701898 /nfs/dbraw/zinc/70/18/98/535701898.db2.gz ANIHJWIIXMTQKE-LBPRGKRZSA-N 0 0 290.319 2.618 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1c(C)nn(C)c1C ZINC000450360921 535809853 /nfs/dbraw/zinc/80/98/53/535809853.db2.gz LRMSEGOHZJTAOG-GFCCVEGCSA-N 0 0 289.339 2.903 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNc1c([N+](=O)[O-])ncn1C ZINC000450659339 535822803 /nfs/dbraw/zinc/82/28/03/535822803.db2.gz HFZLNIBLBWIWQX-ZJUUUORDSA-N 0 0 252.318 2.567 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1cc([N+](=O)[O-])ccc1OC ZINC000268744983 535853787 /nfs/dbraw/zinc/85/37/87/535853787.db2.gz RKUWJOVXZPQEII-DGCLKSJQSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000452760738 535916265 /nfs/dbraw/zinc/91/62/65/535916265.db2.gz AYHIYZWHVIIFBQ-ZJUUUORDSA-N 0 0 287.319 2.852 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000452774402 535916331 /nfs/dbraw/zinc/91/63/31/535916331.db2.gz NFZZNCOVDUHPFJ-ZJUUUORDSA-N 0 0 287.319 2.852 20 5 CFBDRN CN(C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000491914496 535935417 /nfs/dbraw/zinc/93/54/17/535935417.db2.gz LKWHKWMELMARND-SOFGYWHQSA-N 0 0 278.283 2.758 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1SCc1cccnc1 ZINC000450877356 535971629 /nfs/dbraw/zinc/97/16/29/535971629.db2.gz KSVTYXPFVMSTLJ-UHFFFAOYSA-N 0 0 278.337 2.807 20 5 CFBDRN CC[C@H]1CCC[C@@H]1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000456039259 536073577 /nfs/dbraw/zinc/07/35/77/536073577.db2.gz GBWAJDNMRBCLLA-WDEREUQCSA-N 0 0 291.351 2.733 20 5 CFBDRN CCc1coc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)n1 ZINC000492764895 536107472 /nfs/dbraw/zinc/10/74/72/536107472.db2.gz LGBNOPALMQKZNV-YVMONPNESA-N 0 0 287.275 2.797 20 5 CFBDRN CNc1nc(-c2nc([C@@H]3CC3(C)C)no2)ccc1[N+](=O)[O-] ZINC000453070862 536422095 /nfs/dbraw/zinc/42/20/95/536422095.db2.gz POMYGQNBQRMUPH-ZETCQYMHSA-N 0 0 289.295 2.595 20 5 CFBDRN CNc1nc(-c2nc([C@H]3CC3(C)C)no2)ccc1[N+](=O)[O-] ZINC000453070861 536422357 /nfs/dbraw/zinc/42/23/57/536422357.db2.gz POMYGQNBQRMUPH-SSDOTTSWSA-N 0 0 289.295 2.595 20 5 CFBDRN CNc1nc(-c2nc(CCC(C)C)no2)ccc1[N+](=O)[O-] ZINC000453071540 536422407 /nfs/dbraw/zinc/42/24/07/536422407.db2.gz QVBGOFFCITYBCN-UHFFFAOYSA-N 0 0 291.311 2.670 20 5 CFBDRN CN(Cc1c(F)cccc1[N+](=O)[O-])C[C@@H]1CCCCO1 ZINC000505904037 536454332 /nfs/dbraw/zinc/45/43/32/536454332.db2.gz YQNUOTNXPGTFPX-NSHDSACASA-N 0 0 282.315 2.735 20 5 CFBDRN COC[C@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000456972477 536503095 /nfs/dbraw/zinc/50/30/95/536503095.db2.gz VEWLNBUTVRKXJY-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452186383 536583310 /nfs/dbraw/zinc/58/33/10/536583310.db2.gz XTZUTNUFLHVSNP-WOPDTQHZSA-N 0 0 294.351 2.691 20 5 CFBDRN COC(=O)[C@H](C)N(Cc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000191653118 536626892 /nfs/dbraw/zinc/62/68/92/536626892.db2.gz WCRXARDZEPBYPQ-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN CO[C@@H]1CCC[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000460060387 536628162 /nfs/dbraw/zinc/62/81/62/536628162.db2.gz LDRGYXDWSMCXDA-GXSJLCMTSA-N 0 0 296.298 2.878 20 5 CFBDRN CC1(NC(=O)CCc2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000935076778 649871882 /nfs/dbraw/zinc/87/18/82/649871882.db2.gz AMJMFMFDDAZCME-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN COCCSCc1nnc(-c2ccccc2[N+](=O)[O-])o1 ZINC000753850149 574051131 /nfs/dbraw/zinc/05/11/31/574051131.db2.gz HUGRQESVDLLJTN-UHFFFAOYSA-N 0 0 295.320 2.524 20 5 CFBDRN C[C@@H](C(=O)OCc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000744077999 574052292 /nfs/dbraw/zinc/05/22/92/574052292.db2.gz FSRGJOUFIPBOFH-ZETCQYMHSA-N 0 0 277.198 2.836 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)C1=COCC1 ZINC000744153619 574056639 /nfs/dbraw/zinc/05/66/39/574056639.db2.gz ZYXFRWGEJAXHKR-UHFFFAOYSA-N 0 0 283.667 2.596 20 5 CFBDRN COC(=O)/C(C)=C\CSCc1ccc([N+](=O)[O-])cc1 ZINC000753942573 574057077 /nfs/dbraw/zinc/05/70/77/574057077.db2.gz KMQRVXYWJASPTK-YFHOEESVSA-N 0 0 281.333 2.947 20 5 CFBDRN CC(C)CN(C)c1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000744170723 574058434 /nfs/dbraw/zinc/05/84/34/574058434.db2.gz BKIVCPSETNENPB-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@@H]1O ZINC000754063937 574068172 /nfs/dbraw/zinc/06/81/72/574068172.db2.gz DIECBPWQKDMVLE-GWCFXTLKSA-N 0 0 296.342 2.967 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C1CC(F)(F)C1 ZINC000753635981 574070902 /nfs/dbraw/zinc/07/09/02/574070902.db2.gz QNQKPTXKUPJRKK-UHFFFAOYSA-N 0 0 292.188 2.857 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)/C=C/C1CC1 ZINC000744361178 574071280 /nfs/dbraw/zinc/07/12/80/574071280.db2.gz FOYBZWXDTVTIAA-QBBOHKLWSA-N 0 0 272.304 2.839 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000727906586 574071887 /nfs/dbraw/zinc/07/18/87/574071887.db2.gz HVAFUQGTTDIAMK-CMPLNLGQSA-N 0 0 279.292 2.626 20 5 CFBDRN O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CC2(CC(F)C2)C1 ZINC000753664195 574071970 /nfs/dbraw/zinc/07/19/70/574071970.db2.gz IVNRIWFPZZBEQS-UHFFFAOYSA-N 0 0 298.701 2.822 20 5 CFBDRN CCc1ccc(C(=O)OCC(=O)C2CCC2)cc1[N+](=O)[O-] ZINC000754114255 574074373 /nfs/dbraw/zinc/07/43/73/574074373.db2.gz LKMFUNCEXLXKPZ-UHFFFAOYSA-N 0 0 291.303 2.683 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000727955302 574074612 /nfs/dbraw/zinc/07/46/12/574074612.db2.gz BXDSTPPOMBPDAB-WDEREUQCSA-N 0 0 279.292 2.626 20 5 CFBDRN CCc1cnc(COC(=O)c2ccccc2[N+](=O)[O-])o1 ZINC000744473625 574076617 /nfs/dbraw/zinc/07/66/17/574076617.db2.gz HRSXWSGKVWJZPF-UHFFFAOYSA-N 0 0 276.248 2.502 20 5 CFBDRN CCc1cnc(COC(=O)c2c(C)cccc2[N+](=O)[O-])o1 ZINC000744530472 574079328 /nfs/dbraw/zinc/07/93/28/574079328.db2.gz VFEJSZBXONGJMG-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCS1 ZINC000750908985 574081921 /nfs/dbraw/zinc/08/19/21/574081921.db2.gz YMDUAAVHILOQJK-LLVKDONJSA-N 0 0 285.296 2.673 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)CCOC1 ZINC000754270531 574085593 /nfs/dbraw/zinc/08/55/93/574085593.db2.gz OOUMFMFQVPWLLE-ZDUSSCGKSA-N 0 0 299.710 2.718 20 5 CFBDRN COc1ccc(OCCOC(=O)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000744765325 574086487 /nfs/dbraw/zinc/08/64/87/574086487.db2.gz BCBILJGJSLWASH-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN O=C(COC(=O)c1cc([N+](=O)[O-])ccc1F)C1CCCC1 ZINC000744776485 574088056 /nfs/dbraw/zinc/08/80/56/574088056.db2.gz OTHTWWLGHILUQV-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN CSCCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000744824047 574089525 /nfs/dbraw/zinc/08/95/25/574089525.db2.gz BAXWSRLYZHGUPP-UHFFFAOYSA-N 0 0 294.332 2.986 20 5 CFBDRN O=C(OCc1cscn1)c1cc([N+](=O)[O-])ccc1F ZINC000728326592 574091111 /nfs/dbraw/zinc/09/11/11/574091111.db2.gz BQAIWYKMTLRACC-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN Cc1cc(N)ccc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000728363674 574094307 /nfs/dbraw/zinc/09/43/07/574094307.db2.gz DQAPIVUFKHZKRY-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])c(F)c1)C1CCCC1 ZINC000744943430 574094551 /nfs/dbraw/zinc/09/45/51/574094551.db2.gz MMUFOELHNIDJOM-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN CC(C)CONC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000754425829 574095265 /nfs/dbraw/zinc/09/52/65/574095265.db2.gz CBQXDVREDPVKOY-VIFPVBQESA-N 0 0 284.287 2.541 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)CCOC1 ZINC000754432478 574095956 /nfs/dbraw/zinc/09/59/56/574095956.db2.gz OODQHIWEUJVHSJ-CYBMUJFWSA-N 0 0 299.710 2.718 20 5 CFBDRN COC1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCCC1 ZINC000754525648 574100747 /nfs/dbraw/zinc/10/07/47/574100747.db2.gz DNNGSGKVYMZDSY-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN O=Cc1cc(C(=O)OCc2cccc([N+](=O)[O-])c2)cs1 ZINC000754564124 574103124 /nfs/dbraw/zinc/10/31/24/574103124.db2.gz OTDJTHULGBOFKQ-UHFFFAOYSA-N 0 0 291.284 2.826 20 5 CFBDRN COCC[C@H](C)OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000754585231 574105627 /nfs/dbraw/zinc/10/56/27/574105627.db2.gz QWZHDGDEOLLCLS-BWNDKRECSA-N 0 0 279.292 2.576 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCC1CC1 ZINC000728764289 574106684 /nfs/dbraw/zinc/10/66/84/574106684.db2.gz MCECRCFSOZRUCB-MRVPVSSYSA-N 0 0 299.710 2.969 20 5 CFBDRN O=C(OCC1CC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000728765468 574107167 /nfs/dbraw/zinc/10/71/67/574107167.db2.gz XHUFJHQMYOBDHT-UHFFFAOYSA-N 0 0 261.233 2.908 20 5 CFBDRN COCC[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000754608980 574108904 /nfs/dbraw/zinc/10/89/04/574108904.db2.gz LZNUYFGICSNWNB-VIFPVBQESA-N 0 0 292.291 2.658 20 5 CFBDRN C[Si](C)(C)Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000731158349 574111948 /nfs/dbraw/zinc/11/19/48/574111948.db2.gz FSJQNBMXCSMPBB-UHFFFAOYSA-N 0 0 276.372 2.731 20 5 CFBDRN CC[C@H](CCOC)OC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000754578884 574112275 /nfs/dbraw/zinc/11/22/75/574112275.db2.gz VPLGDBBLWSCEIL-GFCCVEGCSA-N 0 0 281.308 2.875 20 5 CFBDRN CCN(CCOC)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000731208646 574112630 /nfs/dbraw/zinc/11/26/30/574112630.db2.gz BQSYNTLQSYIACN-UHFFFAOYSA-N 0 0 281.337 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCn3ccnc3)sc2c1 ZINC000731205589 574112656 /nfs/dbraw/zinc/11/26/56/574112656.db2.gz ADLQMRFNLTWMEA-UHFFFAOYSA-N 0 0 289.320 2.513 20 5 CFBDRN Cc1noc([C@@H](C)NCc2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000754686082 574113801 /nfs/dbraw/zinc/11/38/01/574113801.db2.gz NPOLKHNWLPJPKN-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000731323733 574117330 /nfs/dbraw/zinc/11/73/30/574117330.db2.gz HSQWXQUZGITLSS-QMMMGPOBSA-N 0 0 287.312 2.776 20 5 CFBDRN O=C(CC1CCC1)OCCOc1ccccc1[N+](=O)[O-] ZINC000745570953 574118476 /nfs/dbraw/zinc/11/84/76/574118476.db2.gz ONUQPYMTLBVYCU-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CCOc1ccc(C(=O)O[C@@H](C)CCOC)cc1[N+](=O)[O-] ZINC000754595392 574118761 /nfs/dbraw/zinc/11/87/61/574118761.db2.gz CGKCZHXIATYKHF-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN CSC[C@H](C)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000731392810 574118951 /nfs/dbraw/zinc/11/89/51/574118951.db2.gz VSSCYMKNKIHQOV-JTQLQIEISA-N 0 0 299.348 2.516 20 5 CFBDRN CCC(CC)OC(=O)COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000754810660 574122633 /nfs/dbraw/zinc/12/26/33/574122633.db2.gz FJLGVDLLLZVBAY-UHFFFAOYSA-N 0 0 295.291 2.518 20 5 CFBDRN CCC(CC)OC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000754846759 574124495 /nfs/dbraw/zinc/12/44/95/574124495.db2.gz TWIHZNPOMZIEJR-UHFFFAOYSA-N 0 0 253.254 2.646 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)CSC[C@@H]2CCCO2)cc1 ZINC000754862909 574125310 /nfs/dbraw/zinc/12/53/10/574125310.db2.gz OMWPTXDEAWPALU-STQMWFEESA-N 0 0 283.349 2.540 20 5 CFBDRN CN(CCc1ccccc1F)c1cccnc1[N+](=O)[O-] ZINC000731664065 574126306 /nfs/dbraw/zinc/12/63/06/574126306.db2.gz RYQVMVMZJQYBPN-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000751749275 574127165 /nfs/dbraw/zinc/12/71/65/574127165.db2.gz ZCXDAHAWQJVLGQ-QWHCGFSZSA-N 0 0 275.304 2.993 20 5 CFBDRN CCC/C=C\C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000731710159 574127814 /nfs/dbraw/zinc/12/78/14/574127814.db2.gz NHNXBQDHVBYBIT-YWEYNIOJSA-N 0 0 277.276 2.677 20 5 CFBDRN C/C(=C\C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000731714687 574128170 /nfs/dbraw/zinc/12/81/70/574128170.db2.gz VENCXMVXBAWURU-JXMROGBWSA-N 0 0 289.287 2.677 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCCS2)c1 ZINC000745960750 574129593 /nfs/dbraw/zinc/12/95/93/574129593.db2.gz ZKVFQQMGSIMIJX-SNVBAGLBSA-N 0 0 297.332 2.656 20 5 CFBDRN COc1ccc(C(=O)OC[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000745961169 574129630 /nfs/dbraw/zinc/12/96/30/574129630.db2.gz MNUVEIVIPVYDLI-SNVBAGLBSA-N 0 0 297.332 2.656 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755010101 574132018 /nfs/dbraw/zinc/13/20/18/574132018.db2.gz IBVBLTGWLZIVBL-USKTWTLRSA-N 0 0 291.303 2.909 20 5 CFBDRN CCc1noc(C)c1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000755151922 574143266 /nfs/dbraw/zinc/14/32/66/574143266.db2.gz GTPVTBQWSNWQRI-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN Cc1ccc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])cn1 ZINC000728991444 574144002 /nfs/dbraw/zinc/14/40/02/574144002.db2.gz SHVDDFLWXNCRFF-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728989526 574144088 /nfs/dbraw/zinc/14/40/88/574144088.db2.gz XICZGZMBXNBYFK-MRVPVSSYSA-N 0 0 255.245 2.823 20 5 CFBDRN O=C(CC1CC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728992378 574144562 /nfs/dbraw/zinc/14/45/62/574144562.db2.gz OSVWRBIUGSYNDZ-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CSc1cnccn1 ZINC000746389955 574147493 /nfs/dbraw/zinc/14/74/93/574147493.db2.gz LIEXQOBOEDWDAP-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN COC[C@@H](C)CC(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000755224697 574147764 /nfs/dbraw/zinc/14/77/64/574147764.db2.gz XZASHQGKSDCKFS-QWRGUYRKSA-N 0 0 281.308 2.872 20 5 CFBDRN Cc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)o1 ZINC000746437999 574148940 /nfs/dbraw/zinc/14/89/40/574148940.db2.gz CMAYZZXCWSNESF-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN Cc1ccc(OC(=O)COC2(C)CCC2)cc1[N+](=O)[O-] ZINC000755252185 574150130 /nfs/dbraw/zinc/15/01/30/574150130.db2.gz ZSCYMJJMXDMDBB-UHFFFAOYSA-N 0 0 279.292 2.768 20 5 CFBDRN COC[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000755283269 574151819 /nfs/dbraw/zinc/15/18/19/574151819.db2.gz VLEMBFWISGCPCY-MRVPVSSYSA-N 0 0 286.715 2.859 20 5 CFBDRN Cc1ncc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])s1 ZINC000729001115 574155409 /nfs/dbraw/zinc/15/54/09/574155409.db2.gz SFEAFGYJZIRADT-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)c1ccnc(C2CC2)n1 ZINC000732627243 574163412 /nfs/dbraw/zinc/16/34/12/574163412.db2.gz FTDJHGUQQWMNMW-UHFFFAOYSA-N 0 0 299.286 2.619 20 5 CFBDRN O=C(/C=C\C1CCOCC1)OCc1cccc([N+](=O)[O-])c1 ZINC000732636110 574164137 /nfs/dbraw/zinc/16/41/37/574164137.db2.gz JNIRXBFWBQDMKX-PLNGDYQASA-N 0 0 291.303 2.621 20 5 CFBDRN CO[C@H](C)COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754988542 574164199 /nfs/dbraw/zinc/16/41/99/574164199.db2.gz NZAKYAYHENJEAL-ZCFIWIBFSA-N 0 0 291.662 2.579 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCC2CCCCCC2)n1 ZINC000755571832 574168933 /nfs/dbraw/zinc/16/89/33/574168933.db2.gz FHKLWRQQSPENQL-UHFFFAOYSA-N 0 0 295.339 2.938 20 5 CFBDRN Cc1ccc2c(c1)N(C(=O)c1ccc(N)c([N+](=O)[O-])c1)CC2 ZINC000746882097 574169942 /nfs/dbraw/zinc/16/99/42/574169942.db2.gz IRFDRDRWQLXUKY-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746910620 574170760 /nfs/dbraw/zinc/17/07/60/574170760.db2.gz VCIYBQZQLXFDPV-MRVPVSSYSA-N 0 0 255.245 2.823 20 5 CFBDRN CC(C)(C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746964014 574173007 /nfs/dbraw/zinc/17/30/07/574173007.db2.gz MGWGILGRABPOPI-UHFFFAOYSA-N 0 0 255.245 2.823 20 5 CFBDRN COCc1cccc(COC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000746964709 574173268 /nfs/dbraw/zinc/17/32/68/574173268.db2.gz IXGMHRPJDALUCT-UHFFFAOYSA-N 0 0 291.259 2.691 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000747013593 574175793 /nfs/dbraw/zinc/17/57/93/574175793.db2.gz YVDDZXGXMNJBIH-SECBINFHSA-N 0 0 279.292 2.682 20 5 CFBDRN CCc1ccc(C(=O)O[C@H]2CCCCC2=O)cc1[N+](=O)[O-] ZINC000747013234 574175943 /nfs/dbraw/zinc/17/59/43/574175943.db2.gz VZEXLEDTWPJSAQ-AWEZNQCLSA-N 0 0 291.303 2.826 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCOC(C)(C)C ZINC000755658666 574176257 /nfs/dbraw/zinc/17/62/57/574176257.db2.gz REODXTQXQJIGAO-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CC[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000738616481 574176454 /nfs/dbraw/zinc/17/64/54/574176454.db2.gz KFCWTUOGVAUFDB-ZETCQYMHSA-N 0 0 282.252 2.767 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCc2nccs2)c1[N+](=O)[O-] ZINC000747081148 574178725 /nfs/dbraw/zinc/17/87/25/574178725.db2.gz PVYVAVGPQRWXTN-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCc1ccoc1C ZINC000755780792 574187325 /nfs/dbraw/zinc/18/73/25/574187325.db2.gz YZBGTHQFKMKDMS-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CO[C@H](CNc1ccc(C)cc1[N+](=O)[O-])C(F)(F)F ZINC000747221117 574187880 /nfs/dbraw/zinc/18/78/80/574187880.db2.gz ZZIIXOQTTBQKII-SNVBAGLBSA-N 0 0 278.230 2.892 20 5 CFBDRN Cc1nn(CN2Cc3ccccc3[C@H]2C)c(C)c1[N+](=O)[O-] ZINC000747464802 574196854 /nfs/dbraw/zinc/19/68/54/574196854.db2.gz XWIWBTQLKDSUFE-LLVKDONJSA-N 0 0 286.335 2.942 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])ncn1C)c1ccccc1OC ZINC000733187941 574208915 /nfs/dbraw/zinc/20/89/15/574208915.db2.gz WVZPXLIDNVTHRK-NSHDSACASA-N 0 0 290.323 2.900 20 5 CFBDRN Cc1nn(Cc2ncc(C(C)C)o2)c(C)c1[N+](=O)[O-] ZINC000733206616 574211668 /nfs/dbraw/zinc/21/16/68/574211668.db2.gz BUSGXRJVIXPDDZ-UHFFFAOYSA-N 0 0 264.285 2.568 20 5 CFBDRN Cc1noc(C)c1CCOC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000756160547 574214279 /nfs/dbraw/zinc/21/42/79/574214279.db2.gz LZMMOOIOFFLKCH-UHFFFAOYSA-N 0 0 290.275 2.599 20 5 CFBDRN NC(=NOCc1ccc([N+](=O)[O-])cc1F)c1ccsc1 ZINC000747828016 574214686 /nfs/dbraw/zinc/21/46/86/574214686.db2.gz QAOHTXJAICIRKK-UHFFFAOYSA-N 0 0 295.295 2.842 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OC[C@@H]1CCCS1 ZINC000756296916 574222769 /nfs/dbraw/zinc/22/27/69/574222769.db2.gz ZCGYMGPHHHNBMJ-ZDUSSCGKSA-N 0 0 295.360 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccsc2)s1 ZINC000739890804 574227668 /nfs/dbraw/zinc/22/76/68/574227668.db2.gz WYGINVGSIYTNAR-MRVPVSSYSA-N 0 0 270.335 2.863 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCCCOC(C)C ZINC000733404450 574228129 /nfs/dbraw/zinc/22/81/29/574228129.db2.gz SXSIDMPPQYVMAE-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN CC/C=C(/C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000729698408 574229485 /nfs/dbraw/zinc/22/94/85/574229485.db2.gz AGLQSRLFICPNBX-WMZJFQQLSA-N 0 0 277.276 2.677 20 5 CFBDRN C[C@H]1CCC[C@H](CC(=O)OCCn2cc([N+](=O)[O-])cn2)C1 ZINC000748120369 574230162 /nfs/dbraw/zinc/23/01/62/574230162.db2.gz KBEUWVILFRKQEA-RYUDHWBXSA-N 0 0 295.339 2.551 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000748116977 574230408 /nfs/dbraw/zinc/23/04/08/574230408.db2.gz UNKFYSNTJMXYLZ-SSDOTTSWSA-N 0 0 270.260 2.662 20 5 CFBDRN CC[C@H](C)COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000756396333 574233663 /nfs/dbraw/zinc/23/36/63/574233663.db2.gz ZAECQOQGOWBOHK-QMMMGPOBSA-N 0 0 255.245 2.937 20 5 CFBDRN C[C@@H](C(=O)OCc1csc([N+](=O)[O-])c1)C1CCOCC1 ZINC000756409551 574234582 /nfs/dbraw/zinc/23/45/82/574234582.db2.gz FIOMLIVRKUSUMQ-SECBINFHSA-N 0 0 299.348 2.762 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1csc([N+](=O)[O-])c1 ZINC000748177124 574235335 /nfs/dbraw/zinc/23/53/35/574235335.db2.gz DFTGORLNXIKNII-QMMMGPOBSA-N 0 0 283.305 2.572 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000748181336 574235677 /nfs/dbraw/zinc/23/56/77/574235677.db2.gz GSYZBAXMKZRLOQ-VIFPVBQESA-N 0 0 295.266 2.650 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000756427455 574235886 /nfs/dbraw/zinc/23/58/86/574235886.db2.gz OMPVTUCMFMJDAX-MRVPVSSYSA-N 0 0 297.282 2.814 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000729896688 574236403 /nfs/dbraw/zinc/23/64/03/574236403.db2.gz YCSPRIVAHRFSMG-QWRGUYRKSA-N 0 0 279.292 2.563 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(N)c([N+](=O)[O-])c1)C(F)(F)F ZINC000748217133 574240369 /nfs/dbraw/zinc/24/03/69/574240369.db2.gz MTFGJIZHVJVEBY-LURJTMIESA-N 0 0 292.213 2.532 20 5 CFBDRN CCN(CCc1ccccn1)c1cc(C)c([N+](=O)[O-])cn1 ZINC000748279105 574244645 /nfs/dbraw/zinc/24/46/45/574244645.db2.gz AWTHUVVTLNVMAO-UHFFFAOYSA-N 0 0 286.335 2.762 20 5 CFBDRN CCc1ocnc1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000740273750 574245483 /nfs/dbraw/zinc/24/54/83/574245483.db2.gz XCUDGQPGNSARAE-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=C(C=C1CCC1)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000756720613 574258818 /nfs/dbraw/zinc/25/88/18/574258818.db2.gz AZIRIDBPBXRSMY-UHFFFAOYSA-N 0 0 288.259 2.890 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@@H](CO)C1CC1 ZINC000734146251 574274517 /nfs/dbraw/zinc/27/45/17/574274517.db2.gz FXRHZTGPSBBHIO-JTQLQIEISA-N 0 0 290.241 2.796 20 5 CFBDRN CC[C@@H](OC)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000749053133 574278045 /nfs/dbraw/zinc/27/80/45/574278045.db2.gz DBMWAMUDKOPKLZ-JOYOIKCWSA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1c(CC(=O)O[C@@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000749151864 574282455 /nfs/dbraw/zinc/28/24/55/574282455.db2.gz ZXCCNBLGMJYQQP-QMMMGPOBSA-N 0 0 291.225 2.940 20 5 CFBDRN Cc1ccnc(Nc2cccc(CO)c2)c1[N+](=O)[O-] ZINC000734481302 574288336 /nfs/dbraw/zinc/28/83/36/574288336.db2.gz OJNDAMPBGASRRM-UHFFFAOYSA-N 0 0 259.265 2.534 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCCCF ZINC000741445154 574289573 /nfs/dbraw/zinc/28/95/73/574289573.db2.gz OONPWVKYQVWJQV-QPJJXVBHSA-N 0 0 253.229 2.511 20 5 CFBDRN O=C(CCC1CC1)OCCOc1cccc([N+](=O)[O-])c1 ZINC000734615374 574296017 /nfs/dbraw/zinc/29/60/17/574296017.db2.gz YRDJJVCTCKKWFE-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CC(C)(C)C(=O)C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734779879 574302991 /nfs/dbraw/zinc/30/29/91/574302991.db2.gz KXXSFZHBPNFWLR-UHFFFAOYSA-N 0 0 299.710 2.907 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@H]2Nc1cccnc1[N+](=O)[O-] ZINC000734832025 574304439 /nfs/dbraw/zinc/30/44/39/574304439.db2.gz BXYRXMVKOWGCLU-GFCCVEGCSA-N 0 0 285.307 2.578 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@H]2Nc1ncccc1[N+](=O)[O-] ZINC000734832961 574305063 /nfs/dbraw/zinc/30/50/63/574305063.db2.gz MXPGFWZSJNUCOY-GFCCVEGCSA-N 0 0 285.307 2.578 20 5 CFBDRN CCOCCCOC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000741829179 574305756 /nfs/dbraw/zinc/30/57/56/574305756.db2.gz OXCOIYFVNKGCIY-UHFFFAOYSA-N 0 0 299.348 2.657 20 5 CFBDRN CCc1noc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000741831555 574305847 /nfs/dbraw/zinc/30/58/47/574305847.db2.gz SWSLDQWPQYTLFF-ZCFIWIBFSA-N 0 0 297.292 2.520 20 5 CFBDRN CCC/C=C\C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC000735210810 574317003 /nfs/dbraw/zinc/31/70/03/574317003.db2.gz PNSDIUWDDKXAAO-WAYWQWQTSA-N 0 0 292.335 2.931 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CC[C@@H]1c1ccc(Cl)cc1 ZINC000742172990 574317537 /nfs/dbraw/zinc/31/75/37/574317537.db2.gz FMRYOQODLTTWFI-GFCCVEGCSA-N 0 0 292.726 2.849 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCc1ccccc1F ZINC000750085854 574323624 /nfs/dbraw/zinc/32/36/24/574323624.db2.gz XYTRINOODUSNCU-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCC1 ZINC000750165537 574329292 /nfs/dbraw/zinc/32/92/92/574329292.db2.gz USGRTAKVCKOIKV-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN CC1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000750185620 574329988 /nfs/dbraw/zinc/32/99/88/574329988.db2.gz RBPZHEPFKXEVFE-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN CCOCCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000735601881 574330657 /nfs/dbraw/zinc/33/06/57/574330657.db2.gz FQYLLFNEUVWGGL-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN C[C@H](OC(=O)[C@@H](O)C(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000742455244 574331213 /nfs/dbraw/zinc/33/12/13/574331213.db2.gz ZUNUBJOFWOKPQO-JOYOIKCWSA-N 0 0 281.308 2.606 20 5 CFBDRN CCCCOCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000735728436 574333968 /nfs/dbraw/zinc/33/39/68/574333968.db2.gz OBAZAERLZWAGNM-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN Cc1ccc([C@H](C)C(=O)NCc2ccc([N+](=O)[O-])cc2)o1 ZINC000742563340 574334500 /nfs/dbraw/zinc/33/45/00/574334500.db2.gz XQLIOJFXMDSDDL-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000742742934 574342323 /nfs/dbraw/zinc/34/23/23/574342323.db2.gz XJOPKXDUPIJIAT-GHMZBOCLSA-N 0 0 294.376 2.917 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000742746996 574342610 /nfs/dbraw/zinc/34/26/10/574342610.db2.gz WSAOXEDQJIFUDZ-SFYZADRCSA-N 0 0 286.378 2.670 20 5 CFBDRN O=C(OCCCOc1ccc([N+](=O)[O-])cc1)c1cc[nH]c1 ZINC000750496335 574343728 /nfs/dbraw/zinc/34/37/28/574343728.db2.gz QKMRZWCCPUCFDP-UHFFFAOYSA-N 0 0 290.275 2.549 20 5 CFBDRN C[Si](C)(C)COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000735960958 574344179 /nfs/dbraw/zinc/34/41/79/574344179.db2.gz WFVQUGROSZLLLY-UHFFFAOYSA-N 0 0 267.357 2.558 20 5 CFBDRN Cc1[nH]ccc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000750525851 574345290 /nfs/dbraw/zinc/34/52/90/574345290.db2.gz VUOPVRQVUYBPSS-UHFFFAOYSA-N 0 0 266.278 2.650 20 5 CFBDRN C/C=C\C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 ZINC000742874610 574346784 /nfs/dbraw/zinc/34/67/84/574346784.db2.gz UTLGRKFIMNYNDE-IHWYPQMZSA-N 0 0 290.319 2.541 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000736048247 574348727 /nfs/dbraw/zinc/34/87/27/574348727.db2.gz DNTLFFIPEYWRNM-JTQLQIEISA-N 0 0 298.314 2.669 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cc2occc2[nH]1 ZINC000750857673 574358114 /nfs/dbraw/zinc/35/81/14/574358114.db2.gz ZZPPTLZWGQCVQA-UHFFFAOYSA-N 0 0 285.259 2.599 20 5 CFBDRN O=[N+]([O-])c1ccccc1Sc1nnnn1C1CCCC1 ZINC000743354933 574359903 /nfs/dbraw/zinc/35/99/03/574359903.db2.gz YTFMBEHQFCWGRH-UHFFFAOYSA-N 0 0 291.336 2.848 20 5 CFBDRN C/C=C(/C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000750898620 574360515 /nfs/dbraw/zinc/36/05/15/574360515.db2.gz YERGQPOWEBCQIE-BAQGIRSFSA-N 0 0 253.229 2.743 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC000751157966 574370418 /nfs/dbraw/zinc/37/04/18/574370418.db2.gz RHQQYCYXZIOFKJ-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN C[C@@H](OC(=O)C1CCC(=O)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000751239708 574372775 /nfs/dbraw/zinc/37/27/75/574372775.db2.gz RHZUQTKAYAQSNG-SNVBAGLBSA-N 0 0 291.303 2.958 20 5 CFBDRN CS/C=C\C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000751557469 574378851 /nfs/dbraw/zinc/37/88/51/574378851.db2.gz DCXCWGMGIDFVLH-SREVYHEPSA-N 0 0 252.295 2.718 20 5 CFBDRN CS/C=C/C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000751563858 574379137 /nfs/dbraw/zinc/37/91/37/574379137.db2.gz CVHXWTTXNYYXOD-UCUJLANTSA-N 0 0 266.322 2.649 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1C[C@@H]1C1CC1 ZINC000751735823 574381743 /nfs/dbraw/zinc/38/17/43/574381743.db2.gz IDWXDYWIYHXTIN-OLZOCXBDSA-N 0 0 291.303 2.693 20 5 CFBDRN CC(C)OCCCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000751737687 574381832 /nfs/dbraw/zinc/38/18/32/574381832.db2.gz BOMQAQXFZKXFCZ-UHFFFAOYSA-N 0 0 299.298 2.982 20 5 CFBDRN C[C@@H](OC(=O)[C@H](O)CC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000751777827 574382763 /nfs/dbraw/zinc/38/27/63/574382763.db2.gz XAZHVBCKZYTLNX-ZWNOBZJWSA-N 0 0 295.335 2.996 20 5 CFBDRN CC1(C)C[C@H]1C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751796840 574383091 /nfs/dbraw/zinc/38/30/91/574383091.db2.gz NLAWCUAQEMODNW-VIFPVBQESA-N 0 0 290.275 2.825 20 5 CFBDRN CS/C=C/C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000751894518 574384420 /nfs/dbraw/zinc/38/44/20/574384420.db2.gz UDYVFQXAKDPXMP-SNAWJCMRSA-N 0 0 256.258 2.549 20 5 CFBDRN COc1cccc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])n1 ZINC000752164501 574388747 /nfs/dbraw/zinc/38/87/47/574388747.db2.gz IVLFJYZMEGXSKY-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCC(C)C)c1[N+](=O)[O-] ZINC000752557449 574392754 /nfs/dbraw/zinc/39/27/54/574392754.db2.gz QBJPWDFIKQNHRY-UHFFFAOYSA-N 0 0 255.245 2.855 20 5 CFBDRN C/C=C/COC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000752559800 574392760 /nfs/dbraw/zinc/39/27/60/574392760.db2.gz RFQNDXJWXXUCDO-NSCUHMNNSA-N 0 0 257.192 2.606 20 5 CFBDRN CS/C=C\C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000752746929 574394552 /nfs/dbraw/zinc/39/45/52/574394552.db2.gz QWUJKSKDZRGABP-JYESYGNLSA-N 0 0 280.349 2.957 20 5 CFBDRN C/C(=C\C(=O)Nc1ncccc1O)c1ccc([N+](=O)[O-])cc1 ZINC000752772945 574395084 /nfs/dbraw/zinc/39/50/84/574395084.db2.gz QXLOABWPTYCAAP-MDZDMXLPSA-N 0 0 299.286 2.737 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(S[C@H]2CCOC2)c1 ZINC000752973747 574397031 /nfs/dbraw/zinc/39/70/31/574397031.db2.gz PNCSBALJQLLKBS-QMMMGPOBSA-N 0 0 273.285 2.624 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(S[C@@H]2CCOC2)c1 ZINC000752973745 574397071 /nfs/dbraw/zinc/39/70/71/574397071.db2.gz PNCSBALJQLLKBS-MRVPVSSYSA-N 0 0 273.285 2.624 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)on1 ZINC000752985638 574397254 /nfs/dbraw/zinc/39/72/54/574397254.db2.gz WVPROBNCPUSEIV-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2C[C@H](OC)C2(C)C)c1 ZINC000753361513 574401789 /nfs/dbraw/zinc/40/17/89/574401789.db2.gz NOJMWCLQSOOCDJ-NEPJUHHUSA-N 0 0 298.314 2.968 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(N)cc1OC ZINC000753449428 574402535 /nfs/dbraw/zinc/40/25/35/574402535.db2.gz TZRGNEXMKRCVJP-QMMMGPOBSA-N 0 0 282.296 2.531 20 5 CFBDRN COC1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000753496019 574403228 /nfs/dbraw/zinc/40/32/28/574403228.db2.gz BCLCGEYLLSBEPG-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN Cc1nnccc1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000753536854 574404220 /nfs/dbraw/zinc/40/42/20/574404220.db2.gz BVCLLCAQANCBIM-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC2(CC(F)C2)C1 ZINC000753819595 574410841 /nfs/dbraw/zinc/41/08/41/574410841.db2.gz BCODMNYUEDJKQT-UHFFFAOYSA-N 0 0 298.701 2.822 20 5 CFBDRN CS[C@H]1C[C@H]([NH2+]Cc2csc([N+](=O)[O-])c2)C1 ZINC000865734095 620257021 /nfs/dbraw/zinc/25/70/21/620257021.db2.gz IOTBXNSXBFIQTB-KYZUINATSA-N 0 0 258.368 2.640 20 5 CFBDRN C[C@@H]([NH2+]CCCCC(=O)[O-])c1ccccc1[N+](=O)[O-] ZINC000820142195 600743935 /nfs/dbraw/zinc/74/39/35/600743935.db2.gz PJOSUKQUDFMZGD-SNVBAGLBSA-N 0 0 266.297 2.500 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000935541624 649915970 /nfs/dbraw/zinc/91/59/70/649915970.db2.gz UYWSSSGTYQSUAI-GXFFZTMASA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@]([NH2+]Cc1ccc(Cl)cc1[N+](=O)[O-])(C(=O)[O-])C1CC1 ZINC000827741979 601175570 /nfs/dbraw/zinc/17/55/70/601175570.db2.gz YVCLXRUPKGKLQH-CYBMUJFWSA-N 0 0 298.726 2.591 20 5 CFBDRN CCC(CC)(CC(=O)[O-])[NH2+]Cc1csc([N+](=O)[O-])c1 ZINC000828804458 601260628 /nfs/dbraw/zinc/26/06/28/601260628.db2.gz NTVMBSXBFAOKOP-UHFFFAOYSA-N 0 0 286.353 2.779 20 5 CFBDRN O=C([C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)N1CCCCCO1 ZINC000935592171 649920382 /nfs/dbraw/zinc/92/03/82/649920382.db2.gz GMDOWKAGWCZKSE-ZIAGYGMSSA-N 0 0 290.319 2.642 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935701900 649931095 /nfs/dbraw/zinc/93/10/95/649931095.db2.gz MEMBBHUUICUXRS-XPABHHOTSA-N 0 0 286.331 2.613 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935701898 649930947 /nfs/dbraw/zinc/93/09/47/649930947.db2.gz MEMBBHUUICUXRS-KHMAMNHCSA-N 0 0 286.331 2.613 20 5 CFBDRN C/C(Cl)=C\C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000900268147 620656052 /nfs/dbraw/zinc/65/60/52/620656052.db2.gz NNXSLEZAEDNMRD-ORZBULNSSA-N 0 0 268.700 2.915 20 5 CFBDRN CC1=CCCN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935792156 649945701 /nfs/dbraw/zinc/94/57/01/649945701.db2.gz OVMIZEAOTZLXSG-HUUCEWRRSA-N 0 0 286.331 2.877 20 5 CFBDRN C[C@@]1([NH2+]Cc2ccccc2[N+](=O)[O-])CCCC[C@H]1C(=O)[O-] ZINC000833569444 604813265 /nfs/dbraw/zinc/81/32/65/604813265.db2.gz KALLUBBEXYJTFZ-SWLSCSKDSA-N 0 0 292.335 2.718 20 5 CFBDRN C[C@@]1([NH2+]Cc2ccc([N+](=O)[O-])cc2)CCCC[C@H]1C(=O)[O-] ZINC000833571618 604817762 /nfs/dbraw/zinc/81/77/62/604817762.db2.gz QXDKJYVMHSXGAO-DZGCQCFKSA-N 0 0 292.335 2.718 20 5 CFBDRN O=C(NC1(C(F)F)CC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935839102 649953393 /nfs/dbraw/zinc/95/33/93/649953393.db2.gz ZHYFXFYMJADPES-WDEREUQCSA-N 0 0 296.273 2.612 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@]1(C)C=CCC1 ZINC000935859508 649955736 /nfs/dbraw/zinc/95/57/36/649955736.db2.gz XKMPPGSCKZRMKY-MRXNPFEDSA-N 0 0 288.347 2.952 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@H+](C)CCCNC(=O)[O-] ZINC000833907309 605547695 /nfs/dbraw/zinc/54/76/95/605547695.db2.gz GDSRZAXKWAWNMW-NSHDSACASA-N 0 0 295.339 2.554 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000900539630 620687646 /nfs/dbraw/zinc/68/76/46/620687646.db2.gz CYOQLNCFYCLMII-SVGQVSJJSA-N 0 0 272.663 2.526 20 5 CFBDRN CC[C@H](C)CSc1ccc([N+](=O)[O-])cc1-c1nn[nH]n1 ZINC000820868562 606799195 /nfs/dbraw/zinc/79/91/95/606799195.db2.gz AFTHDWWMRBUIIO-QMMMGPOBSA-N 0 0 293.352 2.913 20 5 CFBDRN CCCCOCCOC(=O)c1cccc([N+](=O)[O-])c1C ZINC000079387988 617693395 /nfs/dbraw/zinc/69/33/95/617693395.db2.gz YUQWKISXMCHFHR-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN C/C(Cl)=C/C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000900770346 620710535 /nfs/dbraw/zinc/71/05/35/620710535.db2.gz AZJJUKASDBYCRC-FPLPWBNLSA-N 0 0 266.684 2.627 20 5 CFBDRN O=C(Nc1noc2c1CCCC2)c1ccc([N+](=O)[O-])s1 ZINC000900774500 620711182 /nfs/dbraw/zinc/71/11/82/620711182.db2.gz QMILYVAJEXHPMS-UHFFFAOYSA-N 0 0 293.304 2.775 20 5 CFBDRN CN(CCC1CC1)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866857237 617914513 /nfs/dbraw/zinc/91/45/13/617914513.db2.gz BTXCAZNDTSKPTD-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1CCCOCC1 ZINC000892125744 617963093 /nfs/dbraw/zinc/96/30/93/617963093.db2.gz KOAWNBMOGUERJV-UHFFFAOYSA-N 0 0 291.282 2.509 20 5 CFBDRN CC1(CNC(=O)c2cc(Cl)c(F)c([N+](=O)[O-])c2)CC1 ZINC000900944301 620734439 /nfs/dbraw/zinc/73/44/39/620734439.db2.gz YBQPUOPRRZMNSS-UHFFFAOYSA-N 0 0 286.690 2.917 20 5 CFBDRN CCc1cc(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000891217554 618049731 /nfs/dbraw/zinc/04/97/31/618049731.db2.gz WAPXIVGIXJYOQK-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN C[C@H]1CCC[C@@H](CCOC(=O)Cn2ccc([N+](=O)[O-])n2)C1 ZINC000160222472 617624134 /nfs/dbraw/zinc/62/41/34/617624134.db2.gz IIRDLHLEDOIBFS-RYUDHWBXSA-N 0 0 295.339 2.551 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCc1ccc(OC(F)F)cc1 ZINC000891555093 617664028 /nfs/dbraw/zinc/66/40/28/617664028.db2.gz ODGULQXRMMRDAM-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN COCC[C@@H](C)CNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000891596940 617680602 /nfs/dbraw/zinc/68/06/02/617680602.db2.gz HMHUJLDQHIXJDF-SNVBAGLBSA-N 0 0 294.351 2.614 20 5 CFBDRN CC[C@@H]1CCC[N@H+](Cc2ccc(O)c(OC)c2[N+](=O)[O-])C1 ZINC000891706372 617720419 /nfs/dbraw/zinc/72/04/19/617720419.db2.gz NDGYCERHYMUWBK-LLVKDONJSA-N 0 0 294.351 2.931 20 5 CFBDRN O=C(NC1CC=CC1)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000901049998 620747440 /nfs/dbraw/zinc/74/74/40/620747440.db2.gz IZAAFHLVRBORJU-UHFFFAOYSA-N 0 0 284.674 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccc(Cl)nn2)c(F)c1 ZINC000811836945 617729193 /nfs/dbraw/zinc/72/91/93/617729193.db2.gz URFYWEIVQMCPJW-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000120664064 617760762 /nfs/dbraw/zinc/76/07/62/617760762.db2.gz IBMPFNQSRKMCQT-KOLCDFICSA-N 0 0 288.303 2.818 20 5 CFBDRN C[C@H]1CN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000933818672 617782763 /nfs/dbraw/zinc/78/27/63/617782763.db2.gz XHWFHFWAAGJAMX-IDTSFGKNSA-N 0 0 288.347 2.813 20 5 CFBDRN COC(=O)c1ccc(OC/C=C\Cl)c([N+](=O)[O-])c1 ZINC000255043648 617784567 /nfs/dbraw/zinc/78/45/67/617784567.db2.gz ZEZANGSEOPBMOR-DJWKRKHSSA-N 0 0 271.656 2.513 20 5 CFBDRN C[C@@H]1OCC[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000778094316 617787870 /nfs/dbraw/zinc/78/78/70/617787870.db2.gz ADJZSPBRNUMUGL-GZMMTYOYSA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@@H]1OCC[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000778094324 617787922 /nfs/dbraw/zinc/78/79/22/617787922.db2.gz ADJZSPBRNUMUGL-KWQFWETISA-N 0 0 299.710 2.716 20 5 CFBDRN CCOCCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000176117401 617791132 /nfs/dbraw/zinc/79/11/32/617791132.db2.gz SGGJKZUOXYEILW-UHFFFAOYSA-N 0 0 273.672 2.580 20 5 CFBDRN CC[C@@H](C)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000023520626 617801421 /nfs/dbraw/zinc/80/14/21/617801421.db2.gz UUDMCHANMBQGMV-ZJUUUORDSA-N 0 0 294.307 2.511 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCc1cc2c(s1)CCCC2 ZINC000891895200 617809863 /nfs/dbraw/zinc/80/98/63/617809863.db2.gz DTRQSIGOXYEPKU-UHFFFAOYSA-N 0 0 292.364 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3C[C@H]32)cc1OC(F)F ZINC000804687527 617811685 /nfs/dbraw/zinc/81/16/85/617811685.db2.gz BHKQGDPIZOVIHU-OIBJUYFYSA-N 0 0 270.235 2.795 20 5 CFBDRN Cc1cc(N2CC[C@@H]3C[C@@H]32)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000804690111 617815597 /nfs/dbraw/zinc/81/55/97/617815597.db2.gz YQLVTFGNXIXHFV-OCCSQVGLSA-N 0 0 296.330 2.959 20 5 CFBDRN Nc1ccc(NCCc2ccc3c[nH]nc3c2)c([N+](=O)[O-])c1 ZINC000804705096 617828728 /nfs/dbraw/zinc/82/87/28/617828728.db2.gz DQKOCLRATXIRJW-UHFFFAOYSA-N 0 0 297.318 2.708 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCC[C@@H]2CCO)c(F)c1 ZINC000804705698 617829757 /nfs/dbraw/zinc/82/97/57/617829757.db2.gz ICORMOPSCLBMEK-LLVKDONJSA-N 0 0 282.315 2.865 20 5 CFBDRN CC[C@H](C)OC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 ZINC000121638762 617843956 /nfs/dbraw/zinc/84/39/56/617843956.db2.gz WTPGLOHCKOTOFR-JTQLQIEISA-N 0 0 289.291 2.736 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000890768670 617860570 /nfs/dbraw/zinc/86/05/70/617860570.db2.gz LRDMMIDDWJDWPK-IUODEOHRSA-N 0 0 288.347 2.998 20 5 CFBDRN Cc1nc(C)c(C(=O)Oc2cccc([N+](=O)[O-])c2C)o1 ZINC000175655096 617872830 /nfs/dbraw/zinc/87/28/30/617872830.db2.gz YEJUMBZQIRTAPO-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN Cc1ccc([C@@H](O)[C@H](C)Nc2ncccc2[N+](=O)[O-])cc1 ZINC000804809397 617891867 /nfs/dbraw/zinc/89/18/67/617891867.db2.gz AGLMFVNFQCAEAP-FZMZJTMJSA-N 0 0 287.319 2.832 20 5 CFBDRN C[C@H](COC(=O)CCCSC(C)(C)C)[N+](=O)[O-] ZINC000813104402 617925638 /nfs/dbraw/zinc/92/56/38/617925638.db2.gz ZYCUXYQFGRYDJB-SECBINFHSA-N 0 0 263.359 2.507 20 5 CFBDRN C[C@@H](COC(=O)c1cccc(-c2cscn2)c1)[N+](=O)[O-] ZINC000813104841 617925671 /nfs/dbraw/zinc/92/56/71/617925671.db2.gz SGEBTUSEDFRXQA-VIFPVBQESA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@@H](COC(=O)c1[nH]c2ccccc2c1Cl)[N+](=O)[O-] ZINC000813109035 617934282 /nfs/dbraw/zinc/93/42/82/617934282.db2.gz DNOPDRGUPSKLJE-ZETCQYMHSA-N 0 0 282.683 2.643 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CC[C@H]2C)c1 ZINC000804840547 617936977 /nfs/dbraw/zinc/93/69/77/617936977.db2.gz MRRAMAXZKZRVIM-XCBNKYQSSA-N 0 0 254.261 2.953 20 5 CFBDRN C[C@@H](COC(=O)[C@H](OC(C)(C)C)c1ccccc1)[N+](=O)[O-] ZINC000813115423 617953911 /nfs/dbraw/zinc/95/39/11/617953911.db2.gz RJINYAZZAKAPJR-WCQYABFASA-N 0 0 295.335 2.751 20 5 CFBDRN Cc1c(C(=O)OC[C@@]2(C)CCCO2)cccc1[N+](=O)[O-] ZINC000177247742 617963032 /nfs/dbraw/zinc/96/30/32/617963032.db2.gz BBQJFMGOMWAZGD-CQSZACIVSA-N 0 0 279.292 2.629 20 5 CFBDRN C[C@@H](CCNc1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000892195758 617995534 /nfs/dbraw/zinc/99/55/34/617995534.db2.gz ACKUHMPGKMTTAY-NSHDSACASA-N 0 0 274.324 2.934 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C\C1CCCCCC1 ZINC000845575945 618014549 /nfs/dbraw/zinc/01/45/49/618014549.db2.gz ABUCMMLGWDTUFA-TYBABMIJSA-N 0 0 255.314 2.721 20 5 CFBDRN CC/C=C(/C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000255165305 618026083 /nfs/dbraw/zinc/02/60/83/618026083.db2.gz FWXMXBWKGBLOIZ-YHYXMXQVSA-N 0 0 278.308 2.576 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC/C=C/Cl ZINC000891196649 618032911 /nfs/dbraw/zinc/03/29/11/618032911.db2.gz DWHWCGRZZIXODT-ZZXKWVIFSA-N 0 0 268.700 2.694 20 5 CFBDRN C[C@@]1(C2CC2)COCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000934480047 618051637 /nfs/dbraw/zinc/05/16/37/618051637.db2.gz FQQWDWNZAJKPGX-HNNXBMFYSA-N 0 0 276.336 2.596 20 5 CFBDRN CCC[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1Cl)C(=O)[O-] ZINC000049964243 618054666 /nfs/dbraw/zinc/05/46/66/618054666.db2.gz HJEKKMIUAOHLRW-LLVKDONJSA-N 0 0 286.715 2.591 20 5 CFBDRN Cc1cc(F)cc(C(=O)Nc2nccs2)c1[N+](=O)[O-] ZINC000176681597 618058806 /nfs/dbraw/zinc/05/88/06/618058806.db2.gz VQXQYZYFBITUMT-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037353 618061964 /nfs/dbraw/zinc/06/19/64/618061964.db2.gz CYQRLEAMQKIUKB-ZETCQYMHSA-N 0 0 272.251 2.791 20 5 CFBDRN CSCc1ccc(Nc2c([N+](=O)[O-])cnn2C)cc1 ZINC000892299828 618100111 /nfs/dbraw/zinc/10/01/11/618100111.db2.gz CLPPHLSNWIYXJU-UHFFFAOYSA-N 0 0 278.337 2.935 20 5 CFBDRN CSCCc1ccc(Nc2c([N+](=O)[O-])cnn2C)cc1 ZINC000892302986 618101413 /nfs/dbraw/zinc/10/14/13/618101413.db2.gz NLTUOVOSGCIBKN-UHFFFAOYSA-N 0 0 292.364 2.977 20 5 CFBDRN CCC[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000177778441 618106750 /nfs/dbraw/zinc/10/67/50/618106750.db2.gz MSHVTIPGVPWTEG-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000050373118 618117573 /nfs/dbraw/zinc/11/75/73/618117573.db2.gz XSRUCBXBVDLZKH-ZYHUDNBSSA-N 0 0 298.726 2.546 20 5 CFBDRN CCCC[C@H](C(=O)Nc1c([N+](=O)[O-])ncn1C)C(C)C ZINC000891344616 618141604 /nfs/dbraw/zinc/14/16/04/618141604.db2.gz KIWDPOHBLGEMDV-JTQLQIEISA-N 0 0 282.344 2.729 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)Cc1ccco1 ZINC000156706205 618141965 /nfs/dbraw/zinc/14/19/65/618141965.db2.gz BIAHPWYCSHOZLE-UHFFFAOYSA-N 0 0 276.292 2.971 20 5 CFBDRN CC[C@H](CC1CCCC1)C(=O)Nc1c([N+](=O)[O-])ncn1C ZINC000891348299 618142335 /nfs/dbraw/zinc/14/23/35/618142335.db2.gz NVGALURWIMOMDC-LLVKDONJSA-N 0 0 294.355 2.873 20 5 CFBDRN CS[C@H](C)CNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935043582 618173553 /nfs/dbraw/zinc/17/35/53/618173553.db2.gz LFGQVAJKBOGDEZ-ICCXJUOJSA-N 0 0 294.376 2.566 20 5 CFBDRN COC[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000255331119 618203283 /nfs/dbraw/zinc/20/32/83/618203283.db2.gz HNTUVIZFAZCKSK-DFGFTWQDSA-N 0 0 291.303 2.576 20 5 CFBDRN CC/C=C(/C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000255334341 618203590 /nfs/dbraw/zinc/20/35/90/618203590.db2.gz PJCUYAQFHBRIEO-UITAMQMPSA-N 0 0 264.281 2.898 20 5 CFBDRN C[C@@H]1CCCCN1c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000891442422 618205793 /nfs/dbraw/zinc/20/57/93/618205793.db2.gz QJNCLDWSNOGLJR-SECBINFHSA-N 0 0 265.269 2.882 20 5 CFBDRN O=C(OCc1cc(F)cc(F)c1)c1ccc([N+](=O)[O-])o1 ZINC000782241757 618213418 /nfs/dbraw/zinc/21/34/18/618213418.db2.gz YVKXEXNDFJOTIJ-UHFFFAOYSA-N 0 0 283.186 2.823 20 5 CFBDRN CC/C=C\COC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000255614745 618231171 /nfs/dbraw/zinc/23/11/71/618231171.db2.gz APSLBPDRNIZGAE-WAYWQWQTSA-N 0 0 295.291 2.735 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1NCC1CC(F)(F)C1 ZINC000893945275 618249680 /nfs/dbraw/zinc/24/96/80/618249680.db2.gz GMKWSAVNHKWPOP-UHFFFAOYSA-N 0 0 284.222 2.588 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H]1CSCCS1 ZINC000309991048 618260017 /nfs/dbraw/zinc/26/00/17/618260017.db2.gz BANFMIJKQCFIOY-ZETCQYMHSA-N 0 0 276.408 2.917 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C2CCCC2)c(N)c([N+](=O)[O-])c1 ZINC000179325723 618260079 /nfs/dbraw/zinc/26/00/79/618260079.db2.gz DSPLIJDHTQYDBS-JTQLQIEISA-N 0 0 291.351 2.794 20 5 CFBDRN C[C@@H](NC(=O)NC/C=C/Cl)c1ccccc1[N+](=O)[O-] ZINC000894015614 618275007 /nfs/dbraw/zinc/27/50/07/618275007.db2.gz RSKRWTPVFJJQKH-ILFKPUCNSA-N 0 0 283.715 2.708 20 5 CFBDRN Cc1nc(C)c(CNCc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000312465839 618282207 /nfs/dbraw/zinc/28/22/07/618282207.db2.gz CFOYGRZPNWTNBU-UHFFFAOYSA-N 0 0 275.308 2.798 20 5 CFBDRN CN(C(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccon1 ZINC000892455518 618290354 /nfs/dbraw/zinc/29/03/54/618290354.db2.gz DWSDUNWKWRZPGI-UHFFFAOYSA-N 0 0 289.291 2.523 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)C(F)F)c1 ZINC000894054854 618294185 /nfs/dbraw/zinc/29/41/85/618294185.db2.gz UOFCMBKWCJWGQU-LURJTMIESA-N 0 0 290.291 2.700 20 5 CFBDRN CC[C@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000892461282 618297748 /nfs/dbraw/zinc/29/77/48/618297748.db2.gz FVFGQYYLZKSMJJ-ZANVPECISA-N 0 0 295.295 2.507 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@H]2CC[C@@H]2C)c1F ZINC000312516615 618300155 /nfs/dbraw/zinc/30/01/55/618300155.db2.gz HRJTYUGDHQBRCU-XPUUQOCRSA-N 0 0 284.262 2.710 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC[C@@]1(C)CC1(Cl)Cl ZINC000894082024 618304958 /nfs/dbraw/zinc/30/49/58/618304958.db2.gz NXHIXZYTXVWVPQ-VIFPVBQESA-N 0 0 293.154 2.714 20 5 CFBDRN CC1(CCC(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000930920821 618335714 /nfs/dbraw/zinc/33/57/14/618335714.db2.gz IYZMZFTZDVFEQF-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN O=[N+]([O-])c1ccc(N(CCO)CCc2ccccc2)cc1 ZINC000220086355 618336875 /nfs/dbraw/zinc/33/68/75/618336875.db2.gz MAPGAPPOIZHQHL-UHFFFAOYSA-N 0 0 286.331 2.636 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Br)c1)C(F)F ZINC000236141857 618342305 /nfs/dbraw/zinc/34/23/05/618342305.db2.gz CNLMBGAGIYSOEU-UHFFFAOYSA-N 0 0 295.039 2.561 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC1CCOCC1 ZINC000236164893 618347331 /nfs/dbraw/zinc/34/73/31/618347331.db2.gz UWQATQKUXNNKPA-UHFFFAOYSA-N 0 0 274.679 2.978 20 5 CFBDRN C[C@@H]1CCC[C@H](CCOC(=O)Cn2cc([N+](=O)[O-])cn2)C1 ZINC000177133071 618349673 /nfs/dbraw/zinc/34/96/73/618349673.db2.gz IABIKZQCPSLIKA-VXGBXAGGSA-N 0 0 295.339 2.551 20 5 CFBDRN Cn1c(=O)c([N+](=O)[O-])c(NC[C@H]2C=CCC2)c2ccccc21 ZINC000895129839 618353151 /nfs/dbraw/zinc/35/31/51/618353151.db2.gz HKMMKEKAJCPEOJ-NSHDSACASA-N 0 0 299.330 2.825 20 5 CFBDRN Cc1cn(C)nc1COc1ccc([N+](=O)[O-])cc1Cl ZINC000901373808 620798267 /nfs/dbraw/zinc/79/82/67/620798267.db2.gz RPSOPYOQKJIEPB-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN C/C(=C/C(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000049379615 618362071 /nfs/dbraw/zinc/36/20/71/618362071.db2.gz YRXGPHICXTWEDX-YFHOEESVSA-N 0 0 260.293 2.567 20 5 CFBDRN CCNc1ccc(C(=O)NC[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000893329338 618371544 /nfs/dbraw/zinc/37/15/44/618371544.db2.gz JWLAXQNJDDGBRT-NSHDSACASA-N 0 0 289.335 2.723 20 5 CFBDRN CCCSCC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000077569524 618390331 /nfs/dbraw/zinc/39/03/31/618390331.db2.gz OFCZDPFLKKVGDS-UHFFFAOYSA-N 0 0 269.322 2.952 20 5 CFBDRN Cc1nc(N[C@H](C)Cc2ccco2)ccc1[N+](=O)[O-] ZINC000161519372 618403296 /nfs/dbraw/zinc/40/32/96/618403296.db2.gz JHMOMTWTPKVBNC-SECBINFHSA-N 0 0 261.281 2.934 20 5 CFBDRN O=[N+]([O-])c1ccccc1SCc1nnc(NC2CC2)o1 ZINC000901406733 620808413 /nfs/dbraw/zinc/80/84/13/620808413.db2.gz FFAVJFHNJCMJGL-UHFFFAOYSA-N 0 0 292.320 2.844 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cc(C[C@H](O)C2CC2)on1 ZINC000901396722 620805285 /nfs/dbraw/zinc/80/52/85/620805285.db2.gz WSNMODQSBSDAOM-AWEZNQCLSA-N 0 0 274.276 2.563 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC1CCC(C(F)(F)F)CC1 ZINC000814038238 618437208 /nfs/dbraw/zinc/43/72/08/618437208.db2.gz ORTIOCILKLOVSR-XNWIYYODSA-N 0 0 297.273 2.954 20 5 CFBDRN Cc1cccc2cc(C(=O)O[C@@H](C)C[N+](=O)[O-])oc21 ZINC000814036552 618437414 /nfs/dbraw/zinc/43/74/14/618437414.db2.gz ZRRLIDDCRBVKIS-VIFPVBQESA-N 0 0 263.249 2.563 20 5 CFBDRN O=[N+]([O-])c1c(NCCc2ccc(O)cc2)nc2ccccn21 ZINC000053575845 618440259 /nfs/dbraw/zinc/44/02/59/618440259.db2.gz LJZJSRSAZPSVFW-UHFFFAOYSA-N 0 0 298.302 2.603 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H](C)CC(F)(F)F ZINC000894198351 618440771 /nfs/dbraw/zinc/44/07/71/618440771.db2.gz LJCNUSBJNAUESE-ZETCQYMHSA-N 0 0 293.245 2.999 20 5 CFBDRN Cc1c2cc(F)ccc2oc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814042495 618441590 /nfs/dbraw/zinc/44/15/90/618441590.db2.gz LQKWRYWVHANNSN-SSDOTTSWSA-N 0 0 281.239 2.702 20 5 CFBDRN C/C(=C/c1ccc(C)cc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814042392 618442086 /nfs/dbraw/zinc/44/20/86/618442086.db2.gz JOELNGDGBAXBGS-KGTBHZDVSA-N 0 0 263.293 2.607 20 5 CFBDRN Cc1cc(NC(=O)c2cncc(Cl)n2)ccc1[N+](=O)[O-] ZINC000235834405 618447622 /nfs/dbraw/zinc/44/76/22/618447622.db2.gz ILMSZQNHKKRZJM-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC(C)c1cccc(O[C@@H](C)C(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000814045811 618449193 /nfs/dbraw/zinc/44/91/93/618449193.db2.gz AAAQWUWAQMZESC-NEPJUHHUSA-N 0 0 295.335 2.786 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CC(C)(C)C[C@@H]1C ZINC000894205043 618452920 /nfs/dbraw/zinc/45/29/20/618452920.db2.gz GNWRDZHVZNEEKA-VIFPVBQESA-N 0 0 265.313 2.623 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccncc2)c1F ZINC000235887820 618456955 /nfs/dbraw/zinc/45/69/55/618456955.db2.gz AKTVBUODYJOUQI-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC1(C)C(CNC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1(C)C ZINC000092832744 618475804 /nfs/dbraw/zinc/47/58/04/618475804.db2.gz NUIJRHUDRAPPEG-UHFFFAOYSA-N 0 0 291.351 2.589 20 5 CFBDRN CSC1(CNc2c([N+](=O)[O-])cnn2C)CCCCC1 ZINC000895221648 618483275 /nfs/dbraw/zinc/48/32/75/618483275.db2.gz SIMPDGCMIZOASA-UHFFFAOYSA-N 0 0 284.385 2.806 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)N(C)CCCF)cc1Cl ZINC000895300504 618519528 /nfs/dbraw/zinc/51/95/28/618519528.db2.gz NUMPTBLYRUCRFU-UHFFFAOYSA-N 0 0 288.706 2.988 20 5 CFBDRN CC[N@H+](CC(=O)[O-])Cc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000901426700 620815544 /nfs/dbraw/zinc/81/55/44/620815544.db2.gz NLVDDTIHEBTOSC-UHFFFAOYSA-N 0 0 280.324 2.625 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@H]3C[C@@]3(c3ccccc3)C2)s1 ZINC000895395600 618566897 /nfs/dbraw/zinc/56/68/97/618566897.db2.gz LWAQDEDAOOZRTB-RISCZKNCSA-N 0 0 287.344 2.829 20 5 CFBDRN Nc1ccc(N2C[C@H]3C[C@@]3(c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000895397026 618568253 /nfs/dbraw/zinc/56/82/53/618568253.db2.gz UYZWZXWYDRXRSE-DYVFJYSZSA-N 0 0 295.342 2.955 20 5 CFBDRN O=C(CCC1CCCCC1)OCCn1cc([N+](=O)[O-])cn1 ZINC000177738194 618604753 /nfs/dbraw/zinc/60/47/53/618604753.db2.gz YLQPNLIHNGUQSG-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN CCC[C@@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000177740480 618604821 /nfs/dbraw/zinc/60/48/21/618604821.db2.gz AJWPDMDLTMNJAK-SNVBAGLBSA-N 0 0 267.281 2.705 20 5 CFBDRN Cc1ccc(C(=O)OCC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000177920987 618613091 /nfs/dbraw/zinc/61/30/91/618613091.db2.gz YCDUBYGSKYSSLC-LBPRGKRZSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1cccc(C(=O)OCC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000177924471 618613980 /nfs/dbraw/zinc/61/39/80/618613980.db2.gz PCFHSOGKXMHQLL-NSHDSACASA-N 0 0 279.292 2.629 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@]2(CC=CCC2)C1 ZINC000892534984 618617324 /nfs/dbraw/zinc/61/73/24/618617324.db2.gz CDQRRKOHJLICNB-CQSZACIVSA-N 0 0 276.340 2.655 20 5 CFBDRN Cn1c2ccccc2c(N[C@@H]2CC=CCC2)c([N+](=O)[O-])c1=O ZINC000892605322 618651236 /nfs/dbraw/zinc/65/12/36/618651236.db2.gz PEVTWVXIUIIAKA-LLVKDONJSA-N 0 0 299.330 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC(F)(F)C2)n1 ZINC000310917472 618655997 /nfs/dbraw/zinc/65/59/97/618655997.db2.gz FKFPFWPPCXXCRO-QMMMGPOBSA-N 0 0 257.240 2.898 20 5 CFBDRN Cc1cccc(CCC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000851122290 618687003 /nfs/dbraw/zinc/68/70/03/618687003.db2.gz AAZGLMSYRMNSGV-UHFFFAOYSA-N 0 0 265.309 2.526 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125490 618687664 /nfs/dbraw/zinc/68/76/64/618687664.db2.gz GISFFOQXWGOILU-WDEREUQCSA-N 0 0 257.330 2.801 20 5 CFBDRN Nc1ccc(NC(=O)C[C@@H]2CCCC2(F)F)cc1[N+](=O)[O-] ZINC000936024457 618731177 /nfs/dbraw/zinc/73/11/77/618731177.db2.gz MXDCEPVPNNFOJQ-QMMMGPOBSA-N 0 0 299.277 2.941 20 5 CFBDRN CC[C@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCS1 ZINC000892734324 618734998 /nfs/dbraw/zinc/73/49/98/618734998.db2.gz WEHGHLMPCMOMRT-LBPRGKRZSA-N 0 0 297.336 2.835 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)CSC)c([N+](=O)[O-])c1 ZINC000066753946 618736041 /nfs/dbraw/zinc/73/60/41/618736041.db2.gz GRZGRGRLFHPIJW-QMMMGPOBSA-N 0 0 285.321 2.508 20 5 CFBDRN CCOc1cc(NC(=O)C2(OC)CCC2)ccc1[N+](=O)[O-] ZINC000892744718 618738783 /nfs/dbraw/zinc/73/87/83/618738783.db2.gz MFJQEAPXIVVDJI-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN CCOc1cc(NC(=O)[C@@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000892744294 618738845 /nfs/dbraw/zinc/73/88/45/618738845.db2.gz BKUQLNYDWLOKJE-CQSZACIVSA-N 0 0 294.307 2.501 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178297260 618742113 /nfs/dbraw/zinc/74/21/13/618742113.db2.gz UUMUTUBMQXNOMX-PHIMTYICSA-N 0 0 292.310 2.996 20 5 CFBDRN CCC1(COC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])COC1 ZINC000892841273 618756061 /nfs/dbraw/zinc/75/60/61/618756061.db2.gz URHLBPIYDLCDIC-UHFFFAOYSA-N 0 0 293.319 2.795 20 5 CFBDRN O=C(c1cccnc1)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892862216 618759299 /nfs/dbraw/zinc/75/92/99/618759299.db2.gz VYTJXUFIRAPRDL-UHFFFAOYSA-N 0 0 297.314 2.973 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])CO1 ZINC000784273126 618760806 /nfs/dbraw/zinc/76/08/06/618760806.db2.gz LLKMWCGDDMDBCM-WCBMZHEXSA-N 0 0 299.710 2.716 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCc2c1cccc2Cl ZINC000892891544 618764765 /nfs/dbraw/zinc/76/47/65/618764765.db2.gz CQBLTBOJHGODFH-UHFFFAOYSA-N 0 0 278.699 2.676 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])c3nonc32)cs1 ZINC000040444471 618785158 /nfs/dbraw/zinc/78/51/58/618785158.db2.gz MOPZCIQAKOXMRV-UHFFFAOYSA-N 0 0 291.292 2.508 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1CCO[C@@H](C(C)C)C1 ZINC000893094729 618828978 /nfs/dbraw/zinc/82/89/78/618828978.db2.gz BMVCFMJHOZRAKC-CMPLNLGQSA-N 0 0 295.339 2.614 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@H]1CCO[C@H](C(C)C)C1 ZINC000893094730 618829017 /nfs/dbraw/zinc/82/90/17/618829017.db2.gz BMVCFMJHOZRAKC-JQWIXIFHSA-N 0 0 295.339 2.614 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCCCOC(C)C ZINC000893126143 618840276 /nfs/dbraw/zinc/84/02/76/618840276.db2.gz STXYSDOQLXEZQP-UHFFFAOYSA-N 0 0 283.328 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H](C)O[C@@H]2C)c1C ZINC000893129756 618841744 /nfs/dbraw/zinc/84/17/44/618841744.db2.gz BKMQQILJIDGKFB-MVWJERBFSA-N 0 0 292.335 2.507 20 5 CFBDRN Cc1c(C(=O)OCC2(O)CCCCC2)cccc1[N+](=O)[O-] ZINC000893165205 618856728 /nfs/dbraw/zinc/85/67/28/618856728.db2.gz VSDBAQZASLCYRR-UHFFFAOYSA-N 0 0 293.319 2.755 20 5 CFBDRN O=[N+]([O-])CCN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC000930562580 618865229 /nfs/dbraw/zinc/86/52/29/618865229.db2.gz ZCKKMROTOOWTBD-LBPRGKRZSA-N 0 0 286.784 2.783 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CSCCS2)s1 ZINC000309544803 618897648 /nfs/dbraw/zinc/89/76/48/618897648.db2.gz ZICYRFJLIWVJHG-ZETCQYMHSA-N 0 0 276.408 2.917 20 5 CFBDRN C[C@]1(O)CCCN(c2ccc(C(F)F)cc2[N+](=O)[O-])C1 ZINC000312214745 618909800 /nfs/dbraw/zinc/90/98/00/618909800.db2.gz ATJSYOSEIAHOQS-ZDUSSCGKSA-N 0 0 286.278 2.884 20 5 CFBDRN CC(C)=CCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000775277786 618928239 /nfs/dbraw/zinc/92/82/39/618928239.db2.gz ACZRRWUGEAUUPB-UHFFFAOYSA-N 0 0 253.229 2.857 20 5 CFBDRN CC(C)(C)OC(=O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000312949824 618968150 /nfs/dbraw/zinc/96/81/50/618968150.db2.gz OTERIJYGMMCMFZ-UHFFFAOYSA-N 0 0 287.699 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2nc3c(s2)CCC3)cc1 ZINC000093063389 618976780 /nfs/dbraw/zinc/97/67/80/618976780.db2.gz PYUBAQJFPHFRKE-UHFFFAOYSA-N 0 0 289.360 2.830 20 5 CFBDRN COC[C@@H](COC(=O)c1csc([N+](=O)[O-])c1)C(C)C ZINC000893456092 618999794 /nfs/dbraw/zinc/99/97/94/618999794.db2.gz MQMSQAQTCAWRLS-JTQLQIEISA-N 0 0 287.337 2.732 20 5 CFBDRN COc1cccc(Cl)c1CNc1c([N+](=O)[O-])cnn1C ZINC000893456046 618999902 /nfs/dbraw/zinc/99/99/02/618999902.db2.gz XYIGUOAXTRCCHM-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN COC[C@H](COC(=O)c1ccccc1[N+](=O)[O-])C(C)C ZINC000893456899 619000550 /nfs/dbraw/zinc/00/05/50/619000550.db2.gz SDCTZXSPDCESED-LLVKDONJSA-N 0 0 281.308 2.670 20 5 CFBDRN CN(Cc1ccc(F)c(Cl)c1)c1c([N+](=O)[O-])cnn1C ZINC000893497032 619020800 /nfs/dbraw/zinc/02/08/00/619020800.db2.gz GWEBIUMRKFYDKG-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN C[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1)[C@H]1CCCCO1 ZINC000893504429 619023653 /nfs/dbraw/zinc/02/36/53/619023653.db2.gz ZQPCHSFVKSNBAY-SMDDNHRTSA-N 0 0 293.319 2.957 20 5 CFBDRN C[C@H](COC(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000893509961 619028525 /nfs/dbraw/zinc/02/85/25/619028525.db2.gz AOYNVINERRZMIK-NEPJUHHUSA-N 0 0 287.356 2.570 20 5 CFBDRN CCO[C@H](CNc1c([N+](=O)[O-])cnn1C)c1ccccc1 ZINC000893531905 619040533 /nfs/dbraw/zinc/04/05/33/619040533.db2.gz NJPAEDZCDJYXJG-CYBMUJFWSA-N 0 0 290.323 2.518 20 5 CFBDRN Nc1ccc(C(=O)OCc2ccccc2F)cc1[N+](=O)[O-] ZINC000005228611 619095054 /nfs/dbraw/zinc/09/50/54/619095054.db2.gz MLPWHOLRHGWKJK-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN Cc1ccc(COC(=O)C2(C(C)C)COC2)cc1[N+](=O)[O-] ZINC000924203629 619108914 /nfs/dbraw/zinc/10/89/14/619108914.db2.gz GNLJTVAEVQXTPI-UHFFFAOYSA-N 0 0 293.319 2.619 20 5 CFBDRN COc1c(O)ccc(CN2CCCC3(CC3)C2)c1[N+](=O)[O-] ZINC000893663644 619149369 /nfs/dbraw/zinc/14/93/69/619149369.db2.gz JZRSNHMEXJWTMX-UHFFFAOYSA-N 0 0 292.335 2.685 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCOC2CC2)c1C ZINC000893673033 619152939 /nfs/dbraw/zinc/15/29/39/619152939.db2.gz XVUJDYQYJXURKD-UHFFFAOYSA-N 0 0 279.292 2.547 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@H]1CCCC(F)(F)C1 ZINC000893693371 619161818 /nfs/dbraw/zinc/16/18/18/619161818.db2.gz BMXDPFODBJYBTN-QMMMGPOBSA-N 0 0 274.271 2.566 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])c(F)c2)on1 ZINC000067015655 619163064 /nfs/dbraw/zinc/16/30/64/619163064.db2.gz MTNHRKHDKIYVOL-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN CCCCOC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000064444316 619163259 /nfs/dbraw/zinc/16/32/59/619163259.db2.gz JVSFROXVNDZUSX-UHFFFAOYSA-N 0 0 289.291 2.737 20 5 CFBDRN CC[S@@](C)(=O)=NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000925839399 619173005 /nfs/dbraw/zinc/17/30/05/619173005.db2.gz QXWVLUCGBCLKPO-GOSISDBHSA-N 0 0 290.728 2.506 20 5 CFBDRN CCCOC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000924243163 619180584 /nfs/dbraw/zinc/18/05/84/619180584.db2.gz PAQAGRBFNMBMRO-UHFFFAOYSA-N 0 0 257.673 2.744 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000925893366 619207748 /nfs/dbraw/zinc/20/77/48/619207748.db2.gz CHZHDKOULZOBOD-UHFFFAOYSA-N 0 0 290.366 2.613 20 5 CFBDRN CC(C)(C(=O)OCCC1CCCC1)n1cc([N+](=O)[O-])cn1 ZINC000726736541 619258903 /nfs/dbraw/zinc/25/89/03/619258903.db2.gz ZDXAUPHJTBSFRE-UHFFFAOYSA-N 0 0 295.339 2.650 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000928047436 619301697 /nfs/dbraw/zinc/30/16/97/619301697.db2.gz VHJPUIAREABKMN-WRSRJMLGSA-N 0 0 274.320 2.678 20 5 CFBDRN Cc1cc(C)c([C@@H](C)OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c(C)c1 ZINC000840670928 619303662 /nfs/dbraw/zinc/30/36/62/619303662.db2.gz NJBJMIWOVBISEA-JHJVBQTASA-N 0 0 277.320 2.881 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc(Cl)c1F ZINC000840672987 619330458 /nfs/dbraw/zinc/33/04/58/619330458.db2.gz HCLUEGBDOGFWGM-SKWCMTHISA-N 0 0 287.674 2.749 20 5 CFBDRN O=C(OCc1cccc(Cl)c1Cl)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840673047 619330615 /nfs/dbraw/zinc/33/06/15/619330615.db2.gz HLXGCYOYOXKONQ-VXNVDRBHSA-N 0 0 290.102 2.702 20 5 CFBDRN C[C@@H]1SCC[C@H]1Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000894363743 619352153 /nfs/dbraw/zinc/35/21/53/619352153.db2.gz NOKXJWLOVMUFCJ-WRWORJQWSA-N 0 0 283.309 2.809 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1S[C@H]1CCc2ccccc2C1 ZINC000894496428 619403222 /nfs/dbraw/zinc/40/32/22/619403222.db2.gz QAJCBFMGOLRWKW-LBPRGKRZSA-N 0 0 289.360 2.978 20 5 CFBDRN CSC1(CNC(=O)c2ccc([N+](=O)[O-])o2)CCCCC1 ZINC000894564633 619419975 /nfs/dbraw/zinc/41/99/75/619419975.db2.gz ARINTQXFPTYRLK-UHFFFAOYSA-N 0 0 298.364 2.984 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN(C)Cc1ncc[nH]1 ZINC000894586986 619424868 /nfs/dbraw/zinc/42/48/68/619424868.db2.gz XTEWXJCTQNZGMX-WQLSENKSSA-N 0 0 286.335 2.853 20 5 CFBDRN CC[C@]1([NH2+]Cc2ccc([N+](=O)[O-])c(Cl)c2)CCOC1 ZINC000792192519 619447224 /nfs/dbraw/zinc/44/72/24/619447224.db2.gz LLWKPRQFXNVHBS-ZDUSSCGKSA-N 0 0 284.743 2.907 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000792534247 619479267 /nfs/dbraw/zinc/47/92/67/619479267.db2.gz PVVGMQHUNFHEHW-OCAPTIKFSA-N 0 0 268.700 2.871 20 5 CFBDRN Cc1occc1CN(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000048913319 619485868 /nfs/dbraw/zinc/48/58/68/619485868.db2.gz KSYWTPWBIKQJIT-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN CCn1ccc(CNCc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000894893144 619501321 /nfs/dbraw/zinc/50/13/21/619501321.db2.gz FDKXTAHOPGRDQO-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN Cc1cc(N2Cc3c[nH]nc3[C@H](C)C2)c([N+](=O)[O-])s1 ZINC000894893214 619501505 /nfs/dbraw/zinc/50/15/05/619501505.db2.gz FONURWUXXUAGMD-SSDOTTSWSA-N 0 0 278.337 2.812 20 5 CFBDRN C[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000894896297 619502805 /nfs/dbraw/zinc/50/28/05/619502805.db2.gz WHYHWHDUPXIEKP-MRVPVSSYSA-N 0 0 276.271 2.581 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2ccncc2F)c([N+](=O)[O-])c1 ZINC000078073417 619513227 /nfs/dbraw/zinc/51/32/27/619513227.db2.gz HRIPXIHDRKSREB-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN C[C@H]1CNc2c(Nc3ccc([N+](=O)[O-])cc3F)cnn2C1 ZINC000894949812 619517117 /nfs/dbraw/zinc/51/71/17/619517117.db2.gz UDFULPJRTIGJOK-QMMMGPOBSA-N 0 0 291.286 2.736 20 5 CFBDRN CC(C)OC1CN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000894971663 619519039 /nfs/dbraw/zinc/51/90/39/619519039.db2.gz MIHQSYMLEFBUKB-UHFFFAOYSA-N 0 0 287.319 2.757 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC[C@@H]1CCCS1 ZINC000894980174 619521042 /nfs/dbraw/zinc/52/10/42/619521042.db2.gz FKSNHJKCBNMPOA-JTQLQIEISA-N 0 0 283.353 2.696 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2Cc3cncn3C2)c(C(F)F)c1 ZINC000894997640 619524650 /nfs/dbraw/zinc/52/46/50/619524650.db2.gz WTCMNNHNXUYTPO-QMMMGPOBSA-N 0 0 294.261 2.766 20 5 CFBDRN Cn1ccc(C2CCN(c3ccc([N+](=O)[O-])s3)CC2)n1 ZINC000895644786 619576132 /nfs/dbraw/zinc/57/61/32/619576132.db2.gz ZJHAXMDHNIUYNI-UHFFFAOYSA-N 0 0 292.364 2.774 20 5 CFBDRN C[C@@H](Nc1cc(N(C)C)ncn1)c1ccc([N+](=O)[O-])cc1 ZINC000895667170 619581626 /nfs/dbraw/zinc/58/16/26/619581626.db2.gz ATZKGQYLOKCZGC-SNVBAGLBSA-N 0 0 287.323 2.624 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN1c1sccc1[N+](=O)[O-] ZINC000895720528 619590633 /nfs/dbraw/zinc/59/06/33/619590633.db2.gz XVFPGRUAFZLAJP-JTQLQIEISA-N 0 0 278.337 2.731 20 5 CFBDRN Cc1cc(CO)cc(C)c1CNc1cccnc1[N+](=O)[O-] ZINC000895738579 619598397 /nfs/dbraw/zinc/59/83/97/619598397.db2.gz MKTIKQDRZCYGIG-UHFFFAOYSA-N 0 0 287.319 2.711 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])c3ccccc32)CCO1 ZINC000895765921 619598695 /nfs/dbraw/zinc/59/86/95/619598695.db2.gz RTPUZOSBTCSKDD-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CCOc1cc(N2CCO[C@@H](C)CC2)ccc1[N+](=O)[O-] ZINC000895757779 619600566 /nfs/dbraw/zinc/60/05/66/619600566.db2.gz DRJZVQRJAFZFSU-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cc(N2CCO[C@H](C)CC2)c([N+](=O)[O-])cc1C ZINC000895761873 619601949 /nfs/dbraw/zinc/60/19/49/619601949.db2.gz KRXQZIBJWUNFMU-LLVKDONJSA-N 0 0 280.324 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](c2ccccn2)C2CC2)cn1 ZINC000895794678 619610105 /nfs/dbraw/zinc/61/01/05/619610105.db2.gz YFBYZQVLOZOGGN-CQSZACIVSA-N 0 0 270.292 2.948 20 5 CFBDRN C[C@@H](COCC1CCCCC1)Nc1ncc([N+](=O)[O-])cn1 ZINC000895833309 619619238 /nfs/dbraw/zinc/61/92/38/619619238.db2.gz MMAUEUMOTHZZCF-NSHDSACASA-N 0 0 294.355 2.782 20 5 CFBDRN Cc1cc(N[C@H]2COC[C@H]2C)c2cccc([N+](=O)[O-])c2n1 ZINC000895908228 619632238 /nfs/dbraw/zinc/63/22/38/619632238.db2.gz GVQXUKQMBSBVHC-RNCFNFMXSA-N 0 0 287.319 2.898 20 5 CFBDRN C[C@H]1COC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000895908935 619632646 /nfs/dbraw/zinc/63/26/46/619632646.db2.gz KDNLFLSHVNYRHC-TVQRCGJNSA-N 0 0 273.292 2.590 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000928602695 619660954 /nfs/dbraw/zinc/66/09/54/619660954.db2.gz USERIPSUBRDFGD-LLVKDONJSA-N 0 0 294.351 2.755 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2C=C[C@H]3C[C@@H]2CO3)c1 ZINC000896078648 619667948 /nfs/dbraw/zinc/66/79/48/619667948.db2.gz QARJKJXQPPAXQE-LOWVWBTDSA-N 0 0 290.319 2.606 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCN2CCc3ccccc32)c1 ZINC000896102468 619670955 /nfs/dbraw/zinc/67/09/55/619670955.db2.gz BJPGAEFAYCJMPB-UHFFFAOYSA-N 0 0 298.346 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C[C@H]2C(F)(F)F)cn1 ZINC000896091134 619671705 /nfs/dbraw/zinc/67/17/05/619671705.db2.gz QUWPLYWFJQYERY-POYBYMJQSA-N 0 0 261.203 2.600 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2C[C@H]2C(F)(F)F)c1[N+](=O)[O-] ZINC000896092448 619672068 /nfs/dbraw/zinc/67/20/68/619672068.db2.gz KIVJYIVVSXFBPW-NKWVEPMBSA-N 0 0 292.261 2.501 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC1CC=CC1 ZINC000896094791 619672555 /nfs/dbraw/zinc/67/25/55/619672555.db2.gz SKCYVXRSAAKJAE-UHFFFAOYSA-N 0 0 264.329 2.888 20 5 CFBDRN CCC[C@@H](O)CCNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000896186029 619690132 /nfs/dbraw/zinc/69/01/32/619690132.db2.gz LJPOIUQVXVYTKD-GFCCVEGCSA-N 0 0 280.324 2.760 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCn2nc(C3CC3)cc2C1 ZINC000896218602 619696223 /nfs/dbraw/zinc/69/62/23/619696223.db2.gz IEPLRHAYOFPIAK-UHFFFAOYSA-N 0 0 290.348 2.750 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c3nonc32)CCS1 ZINC000896244199 619699475 /nfs/dbraw/zinc/69/94/75/619699475.db2.gz GDJIYNPVVBUGBQ-SFYZADRCSA-N 0 0 294.336 2.827 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccnc(F)c2[N+](=O)[O-])CCS1 ZINC000896245094 619699910 /nfs/dbraw/zinc/69/99/10/619699910.db2.gz DRQDAVIKBAJHOM-HTQZYQBOSA-N 0 0 271.317 2.825 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCS[C@H](C)C1 ZINC000896245686 619700202 /nfs/dbraw/zinc/70/02/02/619700202.db2.gz ABWUCQXRKHGVCA-PSASIEDQSA-N 0 0 284.385 2.816 20 5 CFBDRN C[C@@H]1CCC(=O)CN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000896339540 619719611 /nfs/dbraw/zinc/71/96/11/619719611.db2.gz NOPMNBKIMYZXJG-SNVBAGLBSA-N 0 0 285.303 2.701 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]3OC(C)(C)O[C@H]3C2)c1 ZINC000896358535 619724085 /nfs/dbraw/zinc/72/40/85/619724085.db2.gz FMDNGRUKWONRGV-OJNYZHBWSA-N 0 0 292.335 2.998 20 5 CFBDRN CCO[C@H]1CCN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000896405605 619730398 /nfs/dbraw/zinc/73/03/98/619730398.db2.gz GFJBUHRFHYFNPG-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000896409124 619731121 /nfs/dbraw/zinc/73/11/21/619731121.db2.gz IHXNWHDVYHUSEH-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCc3cccnc32)cn1 ZINC000896419843 619733935 /nfs/dbraw/zinc/73/39/35/619733935.db2.gz XURIQTNZBUALNB-LBPRGKRZSA-N 0 0 270.292 2.874 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOC2CCOCC2)c1 ZINC000896471390 619741335 /nfs/dbraw/zinc/74/13/35/619741335.db2.gz JXOGAPSAUCAOER-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN COC[C@H](CNc1ccc(N)cc1[N+](=O)[O-])C(C)C ZINC000896506578 619753236 /nfs/dbraw/zinc/75/32/36/619753236.db2.gz WEMYEBQNKLIILY-JTQLQIEISA-N 0 0 267.329 2.508 20 5 CFBDRN Cn1nc(CNc2ccc([N+](=O)[O-])cc2F)cc1C1CC1 ZINC000896528413 619753673 /nfs/dbraw/zinc/75/36/73/619753673.db2.gz VWYUMNKWLMHDIP-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nc3c(s2)COCC3)s1 ZINC000896542326 619758638 /nfs/dbraw/zinc/75/86/38/619758638.db2.gz BAHFDNNPXGWUKY-UHFFFAOYSA-N 0 0 297.361 2.798 20 5 CFBDRN COCC(C)(C)c1noc(-c2cc([N+](=O)[O-])ccc2C)n1 ZINC000896572490 619764498 /nfs/dbraw/zinc/76/44/98/619764498.db2.gz PQDCAAKEXWBQKD-UHFFFAOYSA-N 0 0 291.307 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H]2CC2CC2)cc1 ZINC000896636985 619779937 /nfs/dbraw/zinc/77/99/37/619779937.db2.gz HLFDHILKFQDECS-CQSZACIVSA-N 0 0 262.309 2.600 20 5 CFBDRN Cn1c2ccccc2c(N[C@]23C[C@H]2CCC3)c([N+](=O)[O-])c1=O ZINC000896727497 619797909 /nfs/dbraw/zinc/79/79/09/619797909.db2.gz ZIXPDTKIHNFYOM-QLJPJBMISA-N 0 0 299.330 2.801 20 5 CFBDRN Cc1nn(C)c(NCC[C@H]2CCC(C)(C)CO2)c1[N+](=O)[O-] ZINC000896789807 619818554 /nfs/dbraw/zinc/81/85/54/619818554.db2.gz LUQGKVWILOGQFY-LLVKDONJSA-N 0 0 296.371 2.644 20 5 CFBDRN Cc1nnc(NC[C@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC000896792969 619819841 /nfs/dbraw/zinc/81/98/41/619819841.db2.gz CNLFJJMEQWBLFI-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN Cc1cncnc1NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000896793105 619820025 /nfs/dbraw/zinc/82/00/25/619820025.db2.gz DNDCAJFRFLNECO-SNVBAGLBSA-N 0 0 272.308 2.909 20 5 CFBDRN CNc1cc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000896794143 619820510 /nfs/dbraw/zinc/82/05/10/619820510.db2.gz RFGZZKKKDYWKGZ-SNVBAGLBSA-N 0 0 287.323 2.642 20 5 CFBDRN Nc1ccc(NC[C@@H]2CC[C@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000896801306 619822461 /nfs/dbraw/zinc/82/24/61/619822461.db2.gz MKISWYQPZZIYNI-SMDDNHRTSA-N 0 0 277.324 2.547 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCCC[C@H]2O)cc1 ZINC000896816598 619829165 /nfs/dbraw/zinc/82/91/65/619829165.db2.gz GTIMINRQNVWBRY-BXUZGUMPSA-N 0 0 264.325 2.948 20 5 CFBDRN CC1CC(NC(=O)c2cc3ccccc3c([N+](=O)[O-])c2N)C1 ZINC000896826500 619831713 /nfs/dbraw/zinc/83/17/13/619831713.db2.gz XWQARHDRHGJMEU-UHFFFAOYSA-N 0 0 299.330 2.859 20 5 CFBDRN Cc1ccc(CSc2c([N+](=O)[O-])cnn2C)o1 ZINC000897046442 619918487 /nfs/dbraw/zinc/91/84/87/619918487.db2.gz ZDMYKQMMGNNTTH-UHFFFAOYSA-N 0 0 253.283 2.522 20 5 CFBDRN C[C@@H](CNC(=O)N1CCCCC1)c1ccccc1[N+](=O)[O-] ZINC000897049092 619919350 /nfs/dbraw/zinc/91/93/50/619919350.db2.gz BXHSVUQKTDIJOX-LBPRGKRZSA-N 0 0 291.351 2.894 20 5 CFBDRN CCCCN(O)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000897048947 619919352 /nfs/dbraw/zinc/91/93/52/619919352.db2.gz AFRCQVMJIYLGJY-NSHDSACASA-N 0 0 295.339 2.899 20 5 CFBDRN C[C@@H](CNC(=O)N1CC[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897049381 619919640 /nfs/dbraw/zinc/91/96/40/619919640.db2.gz DYTZXCLJNHAVFT-QWRGUYRKSA-N 0 0 277.324 2.502 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC1CC2(C1)CO[C@H](C)C2 ZINC000897052021 619920771 /nfs/dbraw/zinc/92/07/71/619920771.db2.gz KGWYEZRKIGRFRM-CDWSIMAYSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@]2(C)CCCCO2)c1 ZINC000832488917 625381129 /nfs/dbraw/zinc/38/11/29/625381129.db2.gz WGGHZOMMUGOZSZ-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1cc(Nc2cnn(C3CCC3)c2)ncc1[N+](=O)[O-] ZINC000897102042 619933593 /nfs/dbraw/zinc/93/35/93/619933593.db2.gz DYQNMOSARSYNBB-UHFFFAOYSA-N 0 0 273.296 2.963 20 5 CFBDRN COc1cc(N[C@@H](CCO)C2CCCC2)ccc1[N+](=O)[O-] ZINC000897114048 619935586 /nfs/dbraw/zinc/93/55/86/619935586.db2.gz PVSVQVWWZBYFNZ-ZDUSSCGKSA-N 0 0 294.351 2.957 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000897148176 619939927 /nfs/dbraw/zinc/93/99/27/619939927.db2.gz DEKPLOYMAJDVIL-MNOVXSKESA-N 0 0 293.348 2.818 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000897148769 619939955 /nfs/dbraw/zinc/93/99/55/619939955.db2.gz IRMWLHMMPHTPNZ-GXSJLCMTSA-N 0 0 270.716 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC1CC(CCO)C1 ZINC000897233513 619955175 /nfs/dbraw/zinc/95/51/75/619955175.db2.gz SXEFWNQWOPBHHY-UHFFFAOYSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2ccc[nH]2)c1C ZINC000897262505 619961811 /nfs/dbraw/zinc/96/18/11/619961811.db2.gz OAGIQWNMIAQLFQ-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000121302447 619967543 /nfs/dbraw/zinc/96/75/43/619967543.db2.gz MPECHXCSBBHWAX-ZIAGYGMSSA-N 0 0 293.319 2.638 20 5 CFBDRN COc1ccc(C(=O)O[C@@H](C)[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000797198448 619976080 /nfs/dbraw/zinc/97/60/80/619976080.db2.gz UMEZBJVVAZJESE-DTWKUNHWSA-N 0 0 299.348 2.900 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@@H]1CC1(C)C ZINC000797476427 620002905 /nfs/dbraw/zinc/00/29/05/620002905.db2.gz PJYNLMRHMNLEMW-NSHDSACASA-N 0 0 279.292 2.693 20 5 CFBDRN COCCCCN(C)C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000841368196 620006715 /nfs/dbraw/zinc/00/67/15/620006715.db2.gz FRCTUSFWKFAPKF-UHFFFAOYSA-N 0 0 298.314 2.541 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])cn1 ZINC000022888735 620023170 /nfs/dbraw/zinc/02/31/70/620023170.db2.gz HKBYMEDNLJVYRC-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)c1ccc(C)o1 ZINC000026136321 620035745 /nfs/dbraw/zinc/03/57/45/620035745.db2.gz YSLQFNVDLCZYKO-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@H](C)[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000925247154 620067561 /nfs/dbraw/zinc/06/75/61/620067561.db2.gz KKYOMMZGXHPJKT-HBNTYKKESA-N 0 0 277.324 2.706 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@H](C)[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000925247152 620067607 /nfs/dbraw/zinc/06/76/07/620067607.db2.gz KKYOMMZGXHPJKT-AXFHLTTASA-N 0 0 277.324 2.706 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1c(F)cccc1[N+](=O)[O-] ZINC000889617040 620083501 /nfs/dbraw/zinc/08/35/01/620083501.db2.gz SBQRRYOHUFBHMB-WCABBAIRSA-N 0 0 278.283 2.652 20 5 CFBDRN Cc1cc(NCC[C@H](O)C2CC2)c([N+](=O)[O-])s1 ZINC000897385528 620089079 /nfs/dbraw/zinc/08/90/79/620089079.db2.gz YOIMNSOMSDRBDO-JTQLQIEISA-N 0 0 256.327 2.538 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000897392570 620092919 /nfs/dbraw/zinc/09/29/19/620092919.db2.gz GPSIHOJIGWHIMK-BXKDBHETSA-N 0 0 280.299 2.993 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C)[C@@H]1C ZINC000888111184 620102030 /nfs/dbraw/zinc/10/20/30/620102030.db2.gz FHCXRCBBPPOQTO-ZJUUUORDSA-N 0 0 293.323 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC1(SC)CC1 ZINC000799688150 620117786 /nfs/dbraw/zinc/11/77/86/620117786.db2.gz FEMJORHCKUQGAF-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000889581254 620150511 /nfs/dbraw/zinc/15/05/11/620150511.db2.gz OZGGZJSKIVXKIN-SUZMYJTESA-N 0 0 289.335 2.555 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CCCO2)cc1Cl ZINC000109793224 620153968 /nfs/dbraw/zinc/15/39/68/620153968.db2.gz VSNRUVHJOFRDTM-SECBINFHSA-N 0 0 257.673 2.806 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2COC[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000897452470 620174456 /nfs/dbraw/zinc/17/44/56/620174456.db2.gz VOUPCXLQCVMDOO-ZIAGYGMSSA-N 0 0 290.319 2.634 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2COC[C@@H]2C2CC2)c1 ZINC000897447366 620175468 /nfs/dbraw/zinc/17/54/68/620175468.db2.gz OMYVWSDPQLUEHL-DGCLKSJQSA-N 0 0 262.309 2.740 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@H]21)c1cc(F)ccc1[N+](=O)[O-] ZINC000889591243 620183706 /nfs/dbraw/zinc/18/37/06/620183706.db2.gz PYIICDVPGDOPET-HLUHBDAQSA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)NC(=O)c1ccc(NC/C=C\Cl)c([N+](=O)[O-])c1 ZINC000897507279 620196852 /nfs/dbraw/zinc/19/68/52/620196852.db2.gz AFPDIEHEBIJRAD-UTCJRWHESA-N 0 0 297.742 2.897 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCOC1CCSCC1 ZINC000897515509 620200760 /nfs/dbraw/zinc/20/07/60/620200760.db2.gz SXHZOAKCYYHEPX-UHFFFAOYSA-N 0 0 297.380 2.622 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H](O)c1ccccc1 ZINC000035100518 620202386 /nfs/dbraw/zinc/20/23/86/620202386.db2.gz RJUAWPUDDUTLGM-CQSZACIVSA-N 0 0 258.277 2.740 20 5 CFBDRN CS(=O)(=O)Oc1ccc([N+](=O)[O-])cc1-c1cccs1 ZINC000070831801 625404218 /nfs/dbraw/zinc/40/42/18/625404218.db2.gz HQHAAFRQLRETJD-UHFFFAOYSA-N 0 0 299.329 2.662 20 5 CFBDRN CCSCCOC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000067626632 620212476 /nfs/dbraw/zinc/21/24/76/620212476.db2.gz JTGNGZDTPQBXSA-VMPITWQZSA-N 0 0 281.333 2.904 20 5 CFBDRN Cc1nnc([C@H](C)OC(=O)c2cccc(C)c2[N+](=O)[O-])o1 ZINC000067739234 620219717 /nfs/dbraw/zinc/21/97/17/620219717.db2.gz HYOCZSIOEMALDJ-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)C1SCCCS1 ZINC000841010604 620221018 /nfs/dbraw/zinc/22/10/18/620221018.db2.gz GUCKTFATZUBCRT-UHFFFAOYSA-N 0 0 299.373 2.834 20 5 CFBDRN CC1(C)C[C@H](OC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000785909893 620236989 /nfs/dbraw/zinc/23/69/89/620236989.db2.gz ZJYIBFHTOZUDEG-SNVBAGLBSA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NOC/C=C\Cl)c1C ZINC000897805939 620288296 /nfs/dbraw/zinc/28/82/96/620288296.db2.gz NILOYGRPMAYRGS-UTCJRWHESA-N 0 0 284.699 2.626 20 5 CFBDRN CCCCOC(=O)CSc1cc(C)c([N+](=O)[O-])cn1 ZINC000866030674 620339163 /nfs/dbraw/zinc/33/91/63/620339163.db2.gz DUOCUJQLDCMCMD-UHFFFAOYSA-N 0 0 284.337 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3CSC[C@H]3C2)s1 ZINC000866204767 620382829 /nfs/dbraw/zinc/38/28/29/620382829.db2.gz ZNGIICVGELHHMF-RKDXNWHRSA-N 0 0 270.379 2.846 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000787717491 620415579 /nfs/dbraw/zinc/41/55/79/620415579.db2.gz ARJXKZSRHMTNNE-BZBKMWRSSA-N 0 0 280.711 2.777 20 5 CFBDRN O=C(Nc1ccc(OCC[N+](=O)[O-])cc1)C1CCCCC1 ZINC000899232265 620534114 /nfs/dbraw/zinc/53/41/14/620534114.db2.gz XKRWXWDDRQCHQG-UHFFFAOYSA-N 0 0 292.335 2.861 20 5 CFBDRN Cc1noc(C)c1-c1ccc(OCC[N+](=O)[O-])cc1 ZINC000899232883 620534443 /nfs/dbraw/zinc/53/44/43/620534443.db2.gz WWOXWFCRGOOWCL-UHFFFAOYSA-N 0 0 262.265 2.614 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000899416286 620566585 /nfs/dbraw/zinc/56/65/85/620566585.db2.gz FEVRIVGLKOSRMU-DGFQIJBJSA-N 0 0 274.320 2.617 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000899417904 620567000 /nfs/dbraw/zinc/56/70/00/620567000.db2.gz MUISFNOHRKXAOU-ZZVYKPCYSA-N 0 0 288.347 2.546 20 5 CFBDRN C[C@@H](CNC(=S)NCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000899621187 620586909 /nfs/dbraw/zinc/58/69/09/620586909.db2.gz ICAIFMXDLAEUFN-JTQLQIEISA-N 0 0 293.392 2.572 20 5 CFBDRN O=[N+]([O-])CCN[C@H]1CCSc2ccc(Cl)cc21 ZINC000899865356 620607933 /nfs/dbraw/zinc/60/79/33/620607933.db2.gz RQOISLRQRZAOKY-JTQLQIEISA-N 0 0 272.757 2.743 20 5 CFBDRN O=[N+]([O-])c1c(OC[C@H](O)C2CC2)cccc1C(F)(F)F ZINC000899906867 620611591 /nfs/dbraw/zinc/61/15/91/620611591.db2.gz RBGYYJXJEXKISB-VIFPVBQESA-N 0 0 291.225 2.763 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCN2C(C)(C)C)cccc1[N+](=O)[O-] ZINC000899935248 620615316 /nfs/dbraw/zinc/61/53/16/620615316.db2.gz HRGZOXAYNVOSDB-CYBMUJFWSA-N 0 0 291.351 2.715 20 5 CFBDRN CC[C@@H](O)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000899937656 620615622 /nfs/dbraw/zinc/61/56/22/620615622.db2.gz RGPMJTLWVXKSSB-ZWNOBZJWSA-N 0 0 280.324 2.557 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)CCCc1ccccc1 ZINC000901476427 620825710 /nfs/dbraw/zinc/82/57/10/620825710.db2.gz GZIFLTJFWWRFRB-UHFFFAOYSA-N 0 0 287.319 2.692 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H]1CCCC2(CCC2)O1 ZINC000901478299 620826799 /nfs/dbraw/zinc/82/67/99/620826799.db2.gz VQFPQASQGPIARY-LLVKDONJSA-N 0 0 265.313 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@@H]2C[C@H]3COC[C@H]3O2)cc1 ZINC000901519516 620854779 /nfs/dbraw/zinc/85/47/79/620854779.db2.gz IGZSKZUFRZCZDD-FPMFFAJLSA-N 0 0 295.360 2.632 20 5 CFBDRN Cc1nn(CCOc2ccc(F)c(Cl)c2)cc1[N+](=O)[O-] ZINC000901547298 620860815 /nfs/dbraw/zinc/86/08/15/620860815.db2.gz HPZNGCMNOJXIKQ-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN COC/C(C)=C/COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000901548887 620861485 /nfs/dbraw/zinc/86/14/85/620861485.db2.gz SUVXOWMLTQHXJW-UXBLZVDNSA-N 0 0 267.281 2.575 20 5 CFBDRN CO[C@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000901585381 620869774 /nfs/dbraw/zinc/86/97/74/620869774.db2.gz KSRXVPYWIKFZGD-VIFPVBQESA-N 0 0 257.311 2.699 20 5 CFBDRN O=C([O-])C1=CC[C@@H]([NH2+]Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000901728535 620902161 /nfs/dbraw/zinc/90/21/61/620902161.db2.gz AAENIRRUNWIIKJ-SNVBAGLBSA-N 0 0 296.710 2.511 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)OC1CCCC1 ZINC000042485627 620911005 /nfs/dbraw/zinc/91/10/05/620911005.db2.gz MEPIIGJLQMVIIV-UHFFFAOYSA-N 0 0 278.308 2.760 20 5 CFBDRN O=C(Oc1ccccc1F)c1ccccc1[N+](=O)[O-] ZINC000042486039 620912708 /nfs/dbraw/zinc/91/27/08/620912708.db2.gz BVHDGAPRPZPTLQ-UHFFFAOYSA-N 0 0 261.208 2.953 20 5 CFBDRN CCc1onc(C)c1CSCc1cn(C)nc1[N+](=O)[O-] ZINC000901821735 620928067 /nfs/dbraw/zinc/92/80/67/620928067.db2.gz JSZUFBAOUJNVPM-UHFFFAOYSA-N 0 0 296.352 2.621 20 5 CFBDRN CCc1noc([C@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)n1 ZINC000901908814 620937926 /nfs/dbraw/zinc/93/79/26/620937926.db2.gz MRPSGRCTSOXIGF-MNOVXSKESA-N 0 0 259.265 2.811 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)n2)cc1 ZINC000901910031 620938355 /nfs/dbraw/zinc/93/83/55/620938355.db2.gz ZLSDKKYARBIIIZ-FHUSYTEZSA-N 0 0 299.286 2.536 20 5 CFBDRN C=C/C=C\CCNc1cc([N+](=O)[O-])ccc1NCCO ZINC000901932316 620938850 /nfs/dbraw/zinc/93/88/50/620938850.db2.gz TZESDFYBKHYJGF-ARJAWSKDSA-N 0 0 277.324 2.543 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)C[N@H+](CC(=O)[O-])C1CC1 ZINC000901970513 620947476 /nfs/dbraw/zinc/94/74/76/620947476.db2.gz MFJYCACIBMLFDU-YRNVUSSQSA-N 0 0 290.319 2.547 20 5 CFBDRN O=C([O-])C[C@H]1CCC[N@@H+]1Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000902008019 620956238 /nfs/dbraw/zinc/95/62/38/620956238.db2.gz RKONKPPHEGEGCE-SNVBAGLBSA-N 0 0 298.726 2.687 20 5 CFBDRN CCc1ncc(NCc2ccc(OC)c([N+](=O)[O-])c2)cn1 ZINC000902204458 620997463 /nfs/dbraw/zinc/99/74/63/620997463.db2.gz GMRXKEKSTXXJEL-UHFFFAOYSA-N 0 0 288.307 2.568 20 5 CFBDRN Cc1nonc1CSCc1cccc([N+](=O)[O-])c1 ZINC000902270731 621019432 /nfs/dbraw/zinc/01/94/32/621019432.db2.gz OWNSIBWZQROJKX-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN Cc1ccc(OCCCc2cncn2C)cc1[N+](=O)[O-] ZINC000902309434 621029035 /nfs/dbraw/zinc/02/90/35/621029035.db2.gz NDTGOSZRMUWWRH-UHFFFAOYSA-N 0 0 275.308 2.648 20 5 CFBDRN Cn1cc(CSCC2CC(C)(C)C2)c([N+](=O)[O-])n1 ZINC000902427914 621075384 /nfs/dbraw/zinc/07/53/84/621075384.db2.gz IXWAHMLLWNAZND-UHFFFAOYSA-N 0 0 269.370 2.998 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSC[C@@H]1C[C@@]12CCOC2 ZINC000902540527 621113979 /nfs/dbraw/zinc/11/39/79/621113979.db2.gz FZFXYEKJNREOAX-WCQYABFASA-N 0 0 280.349 2.650 20 5 CFBDRN CC(C)n1ncnc1COc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902696544 621149321 /nfs/dbraw/zinc/14/93/21/621149321.db2.gz DCYWEDIJBNZWBK-UHFFFAOYSA-N 0 0 298.249 2.624 20 5 CFBDRN C[C@H]1OCC[C@H]1COc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000902696505 621149341 /nfs/dbraw/zinc/14/93/41/621149341.db2.gz BKPHXGUTFGJDTA-SFYZADRCSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OCc1noc(C2CC2)n1 ZINC000902698897 621149520 /nfs/dbraw/zinc/14/95/20/621149520.db2.gz YABMCLHZZVXGGH-UHFFFAOYSA-N 0 0 297.217 2.712 20 5 CFBDRN CN(C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000902693532 621152762 /nfs/dbraw/zinc/15/27/62/621152762.db2.gz HIKQUKPZNVBABR-KGLIPLIRSA-N 0 0 297.314 2.756 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC[C@H](F)C1 ZINC000902993766 621219865 /nfs/dbraw/zinc/21/98/65/621219865.db2.gz XMULKRGLPJIBFE-NSHDSACASA-N 0 0 256.252 2.668 20 5 CFBDRN COc1cc([C@H](C)N[C@H](C)C(F)F)cc([N+](=O)[O-])c1 ZINC000903221883 621292969 /nfs/dbraw/zinc/29/29/69/621292969.db2.gz VHLJUQCBBZSHFB-JGVFFNPUSA-N 0 0 274.267 2.908 20 5 CFBDRN C[C@@H]([NH2+][C@@H]1CCO[C@H]1C1CC1)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903266196 621306309 /nfs/dbraw/zinc/30/63/09/621306309.db2.gz UXKJZWNCPGXGJJ-PKPZWWHKSA-N 0 0 292.335 2.519 20 5 CFBDRN C[C@@H](N[C@H]1CCn2ccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000903287325 621318399 /nfs/dbraw/zinc/31/83/99/621318399.db2.gz NXZGUIUNGVLBSU-MFKMUULPSA-N 0 0 272.308 2.587 20 5 CFBDRN C[C@@H](N[C@@H]1CCCn2ccnc21)c1ccc([N+](=O)[O-])cc1 ZINC000903287486 621318506 /nfs/dbraw/zinc/31/85/06/621318506.db2.gz PNXISQRMLOYJHQ-BXUZGUMPSA-N 0 0 286.335 2.977 20 5 CFBDRN CC[C@H](C)[C@@H](C(=O)[O-])[N@H+](C)CCc1cccc([N+](=O)[O-])c1 ZINC000903596875 621404405 /nfs/dbraw/zinc/40/44/05/621404405.db2.gz UXEOMBPZNCBKFW-FZMZJTMJSA-N 0 0 294.351 2.568 20 5 CFBDRN Cc1ccc(-c2noc([C@@H]3[C@H]4CCC(=O)[C@H]43)n2)cc1[N+](=O)[O-] ZINC000903643353 621410706 /nfs/dbraw/zinc/41/07/06/621410706.db2.gz WJSBMKSDGSVDHG-TVYUQYBPSA-N 0 0 299.286 2.646 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000903669438 621413466 /nfs/dbraw/zinc/41/34/66/621413466.db2.gz CFWFWVDDAAQAOR-BDODKLCJSA-N 0 0 295.320 2.845 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2[C@@H]3CCC(=O)[C@H]23)n1 ZINC000903651293 621414346 /nfs/dbraw/zinc/41/43/46/621414346.db2.gz ZZCBYIHTUMJGNS-RAIGVLPGSA-N 0 0 299.286 2.646 20 5 CFBDRN CSCCCCc1noc(CCC(C)(C)[N+](=O)[O-])n1 ZINC000903781606 621441066 /nfs/dbraw/zinc/44/10/66/621441066.db2.gz XDQFDLYZZQVNIX-UHFFFAOYSA-N 0 0 287.385 2.743 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nc([C@H](C)C(C)(C)C)no1 ZINC000904011417 621506437 /nfs/dbraw/zinc/50/64/37/621506437.db2.gz IMADQVSGPRQUMO-QMMMGPOBSA-N 0 0 293.327 2.681 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@]13C[C@H]1CCC3)CC2 ZINC000904169475 621540993 /nfs/dbraw/zinc/54/09/93/621540993.db2.gz CKZBTUIWNTUDKF-MLGOLLRUSA-N 0 0 286.331 2.983 20 5 CFBDRN CC[C@H](C)CONC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000832733621 625501479 /nfs/dbraw/zinc/50/14/79/625501479.db2.gz URVVJXJGAXKQJI-QMMMGPOBSA-N 0 0 286.715 2.956 20 5 CFBDRN CCc1ccc(-c2noc(-c3cc([N+](=O)[O-])n[nH]3)n2)cc1 ZINC000904574165 621611811 /nfs/dbraw/zinc/61/18/11/621611811.db2.gz KHQGRMRATQWEGA-UHFFFAOYSA-N 0 0 285.263 2.597 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1-c1nc(-c2cnccn2)no1 ZINC000904648267 621620156 /nfs/dbraw/zinc/62/01/56/621620156.db2.gz AWMWYGVXVMMBBN-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnc(C3CCOCC3)o2)cn1 ZINC000904798908 621650326 /nfs/dbraw/zinc/65/03/26/621650326.db2.gz JNZFGHJHPSCQQL-UHFFFAOYSA-N 0 0 275.264 2.539 20 5 CFBDRN CCc1ccc(-c2nc(-c3ccn(C)n3)no2)cc1[N+](=O)[O-] ZINC000904875550 621667919 /nfs/dbraw/zinc/66/79/19/621667919.db2.gz ABGGEDXVEVADFV-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000905086809 621692616 /nfs/dbraw/zinc/69/26/16/621692616.db2.gz ZHJCCKOPRMJOPH-ZWNOBZJWSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1c(NC(=O)[C@@H](C)[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000905086806 621692924 /nfs/dbraw/zinc/69/29/24/621692924.db2.gz ZHJCCKOPRMJOPH-GWCFXTLKSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)COC(F)(F)F ZINC000905186416 621713348 /nfs/dbraw/zinc/71/33/48/621713348.db2.gz ATEGVQYTTBAGSA-UHFFFAOYSA-N 0 0 296.176 2.517 20 5 CFBDRN Cc1cc(OC[C@@H]2C[C@]23CCOC3)ccc1[N+](=O)[O-] ZINC000905235234 621730867 /nfs/dbraw/zinc/73/08/67/621730867.db2.gz REKSQNUYSVQEHV-FZMZJTMJSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1cc(OC[C@H]2C[C@@]23CCOC3)ccc1[N+](=O)[O-] ZINC000905235217 621731100 /nfs/dbraw/zinc/73/11/00/621731100.db2.gz REKSQNUYSVQEHV-BXUZGUMPSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1c(OC[C@@H]2C[C@@]23CCOC3)cccc1[N+](=O)[O-] ZINC000905234341 621731195 /nfs/dbraw/zinc/73/11/95/621731195.db2.gz JDHOYTZWGLKVSO-SMDDNHRTSA-N 0 0 263.293 2.709 20 5 CFBDRN O=[N+]([O-])CCNc1ccc2nc(C3CC3)sc2c1 ZINC000905316413 621740451 /nfs/dbraw/zinc/74/04/51/621740451.db2.gz WBYINBCDWMPZPK-UHFFFAOYSA-N 0 0 263.322 2.862 20 5 CFBDRN CC(C)(C)OC(=O)Nc1ccc(NCC[N+](=O)[O-])cc1 ZINC000905318799 621741094 /nfs/dbraw/zinc/74/10/94/621741094.db2.gz KINQMOYNZJKCAZ-UHFFFAOYSA-N 0 0 281.312 2.722 20 5 CFBDRN O=[N+]([O-])CCN[C@@H](CCc1ccccc1)c1ccccn1 ZINC000905398004 621758339 /nfs/dbraw/zinc/75/83/39/621758339.db2.gz DZXXQDHOGASMQM-INIZCTEOSA-N 0 0 285.347 2.622 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC[C@@H]2C=CCC2)cc1 ZINC000905513872 621784140 /nfs/dbraw/zinc/78/41/40/621784140.db2.gz AYCKTYVZQAECIZ-SNVBAGLBSA-N 0 0 277.349 2.847 20 5 CFBDRN CCCNC(=S)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905642463 621816676 /nfs/dbraw/zinc/81/66/76/621816676.db2.gz XBVCUBRLGOAGKN-SECBINFHSA-N 0 0 267.354 2.530 20 5 CFBDRN CCCC[C@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000905854524 621888652 /nfs/dbraw/zinc/88/86/52/621888652.db2.gz WYCDAAMCQFURTJ-RISCZKNCSA-N 0 0 294.351 2.977 20 5 CFBDRN CC[C@@H](C)[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000905852684 621888791 /nfs/dbraw/zinc/88/87/91/621888791.db2.gz MSWMPBAGDACIFM-JTNHKYCSSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1noc([C@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000905852896 621889023 /nfs/dbraw/zinc/88/90/23/621889023.db2.gz OBKREYNRMPWVEV-BDAKNGLRSA-N 0 0 276.296 2.698 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N(CC(F)F)CC(F)F ZINC000906159757 621936289 /nfs/dbraw/zinc/93/62/89/621936289.db2.gz RXKPHFHAVRUNSG-UHFFFAOYSA-N 0 0 294.204 2.567 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C(F)F ZINC000906132806 621937098 /nfs/dbraw/zinc/93/70/98/621937098.db2.gz BHGXUHLZNODUCK-LURJTMIESA-N 0 0 290.291 2.700 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000906165012 621941110 /nfs/dbraw/zinc/94/11/10/621941110.db2.gz YPKAFKIEQZXVMF-KOLCDFICSA-N 0 0 296.754 2.953 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCCC23CCC3)c1 ZINC000906202473 621952011 /nfs/dbraw/zinc/95/20/11/621952011.db2.gz WOBKTVZKRWASLY-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN CC(C)(C)[S@](C)(=O)=NC(=O)c1ccccc1[N+](=O)[O-] ZINC000906309550 621971838 /nfs/dbraw/zinc/97/18/38/621971838.db2.gz RPLVYMDCFKBBIK-IBGZPJMESA-N 0 0 284.337 2.631 20 5 CFBDRN CC(C)(C)[S@](C)(=O)=NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000906309303 621971893 /nfs/dbraw/zinc/97/18/93/621971893.db2.gz PNTKRJPRKCZDOQ-IBGZPJMESA-N 0 0 284.337 2.631 20 5 CFBDRN CC(C)(C)[S@@](C)(=O)=NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000906309583 621972031 /nfs/dbraw/zinc/97/20/31/621972031.db2.gz SLQNABIOXVIFEI-LJQANCHMSA-N 0 0 284.337 2.631 20 5 CFBDRN Cc1ccc(C(=O)N=[S@@](C)(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000906311122 621972457 /nfs/dbraw/zinc/97/24/57/621972457.db2.gz UHYZNYSURVBZAF-FQEVSTJZSA-N 0 0 298.364 2.940 20 5 CFBDRN CCCOC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000907458359 622130169 /nfs/dbraw/zinc/13/01/69/622130169.db2.gz VQPGQICQRNXHDP-JTQLQIEISA-N 0 0 266.297 2.835 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000908511300 622240994 /nfs/dbraw/zinc/24/09/94/622240994.db2.gz BHLPKCPCJTWGNF-MJVIPROJSA-N 0 0 292.335 2.587 20 5 CFBDRN COC/C(C)=C/COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000908700179 622265004 /nfs/dbraw/zinc/26/50/04/622265004.db2.gz HGBQCBJIOXZWLY-WEVVVXLNSA-N 0 0 299.710 2.998 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000908712060 622267445 /nfs/dbraw/zinc/26/74/45/622267445.db2.gz FJGJTVQJGSGPKK-YFHOEESVSA-N 0 0 279.292 2.653 20 5 CFBDRN COC/C(C)=C\COC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000908966460 622290702 /nfs/dbraw/zinc/29/07/02/622290702.db2.gz LMWHOLCIUUSYON-POHAHGRESA-N 0 0 279.292 2.653 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027810 622388242 /nfs/dbraw/zinc/38/82/42/622388242.db2.gz NSZPRGCAQLOVMP-XDTLVQLUSA-N 0 0 291.303 2.609 20 5 CFBDRN CCCOC(=O)c1cc(Br)cc([N+](=O)[O-])c1 ZINC000150169623 622414576 /nfs/dbraw/zinc/41/45/76/622414576.db2.gz JPKJHYRTFXOZHX-UHFFFAOYSA-N 0 0 288.097 2.924 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)NCc2cc([N+](=O)[O-])c(F)cc2F)C1 ZINC000911477227 622522307 /nfs/dbraw/zinc/52/23/07/622522307.db2.gz UHJIENDPBBZABF-BDAKNGLRSA-N 0 0 298.289 2.925 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])c(F)cc1F)[C@H]1C[C@@H]1C1CC1 ZINC000911473201 622522392 /nfs/dbraw/zinc/52/23/92/622522392.db2.gz AVLFVNKVBXLHEO-ZJUUUORDSA-N 0 0 296.273 2.535 20 5 CFBDRN CC/C=C(\C)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911478341 622524650 /nfs/dbraw/zinc/52/46/50/622524650.db2.gz OPXPCOYBEBHFIF-XBXARRHUSA-N 0 0 284.262 2.846 20 5 CFBDRN CCCONC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000911552723 622527264 /nfs/dbraw/zinc/52/72/64/622527264.db2.gz KHOUDJMLAYRJOB-UHFFFAOYSA-N 0 0 286.715 2.639 20 5 CFBDRN CN(Cc1noc(C2CC2)n1)c1ccc([N+](=O)[O-])cc1F ZINC000840389871 622541080 /nfs/dbraw/zinc/54/10/80/622541080.db2.gz WMKFLVTYOCSZNV-UHFFFAOYSA-N 0 0 292.270 2.631 20 5 CFBDRN COc1cc(C(=O)N(C)C[Si](C)(C)C)ccc1[N+](=O)[O-] ZINC000911732196 622548584 /nfs/dbraw/zinc/54/85/84/622548584.db2.gz KEFKJZRLVXWVNP-UHFFFAOYSA-N 0 0 296.399 2.553 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819920112 622571931 /nfs/dbraw/zinc/57/19/31/622571931.db2.gz LKRWJHXVIVADHM-IUCAKERBSA-N 0 0 265.313 2.798 20 5 CFBDRN Nc1ccc(NC(=O)c2cc3ccccc3[nH]2)cc1[N+](=O)[O-] ZINC000819920585 622572091 /nfs/dbraw/zinc/57/20/91/622572091.db2.gz OQNFEWPIGUCSSK-UHFFFAOYSA-N 0 0 296.286 2.911 20 5 CFBDRN CN1CC[C@@H](CNc2cc(Cl)ccc2[N+](=O)[O-])CC1=O ZINC000819941876 622578656 /nfs/dbraw/zinc/57/86/56/622578656.db2.gz VFULVVQHFZWBPD-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN Cc1ccc(OC(=O)C[C@@H](C)n2cccn2)c([N+](=O)[O-])c1 ZINC000819946764 622579491 /nfs/dbraw/zinc/57/94/91/622579491.db2.gz QKLLWNUWUCGMQG-LLVKDONJSA-N 0 0 289.291 2.656 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000819960798 622585959 /nfs/dbraw/zinc/58/59/59/622585959.db2.gz GOYDHGXNLHREBP-OMJLJAAMSA-N 0 0 291.303 2.934 20 5 CFBDRN CCc1ccc(OC(=O)/C=C\[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000820080158 622608111 /nfs/dbraw/zinc/60/81/11/622608111.db2.gz VARDIVMXSFRWTJ-VFFGBVLKSA-N 0 0 291.303 2.798 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)C1CCC1 ZINC000820317780 622648242 /nfs/dbraw/zinc/64/82/42/622648242.db2.gz QWSPUDXJEKKFJM-UHFFFAOYSA-N 0 0 262.309 2.836 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000820441128 622675969 /nfs/dbraw/zinc/67/59/69/622675969.db2.gz PVXGORBORJZADB-JTQLQIEISA-N 0 0 264.281 2.725 20 5 CFBDRN CO[C@@H](CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C)C(C)C ZINC000820473284 622683698 /nfs/dbraw/zinc/68/36/98/622683698.db2.gz ZGNISTFQDXWSRW-ZDUSSCGKSA-N 0 0 294.351 2.612 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@H]2CCC(C)(C)C2)n1 ZINC000820558039 622702351 /nfs/dbraw/zinc/70/23/51/622702351.db2.gz ZMWCJQSHLGROKE-VIFPVBQESA-N 0 0 281.312 2.547 20 5 CFBDRN CCN1CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])CC1 ZINC000820599691 622712811 /nfs/dbraw/zinc/71/28/11/622712811.db2.gz YFNFXNJJMGKDBM-UHFFFAOYSA-N 0 0 287.722 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CCCSC1 ZINC000820603127 622713663 /nfs/dbraw/zinc/71/36/63/622713663.db2.gz PCWJPVROIXXVJZ-LLVKDONJSA-N 0 0 294.376 2.837 20 5 CFBDRN COC[C@@H]1CCCN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000820665407 622731863 /nfs/dbraw/zinc/73/18/63/622731863.db2.gz YAYWTXCDGCWACW-GFCCVEGCSA-N 0 0 294.351 2.775 20 5 CFBDRN CC1(CNc2cc(Cl)c(F)cc2[N+](=O)[O-])COC1 ZINC000820665132 622732329 /nfs/dbraw/zinc/73/23/29/622732329.db2.gz VKMXBPZRGBXRES-UHFFFAOYSA-N 0 0 274.679 2.836 20 5 CFBDRN O=C(c1cc(Cl)c(F)c([N+](=O)[O-])c1)N1CC[C@@H]2C[C@@H]2C1 ZINC000912679750 622759024 /nfs/dbraw/zinc/75/90/24/622759024.db2.gz BLNXQRFYMMDZSC-VXNVDRBHSA-N 0 0 298.701 2.869 20 5 CFBDRN CCC(=O)COC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000923966413 622786255 /nfs/dbraw/zinc/78/62/55/622786255.db2.gz GXJUVOPKMOEYNR-UHFFFAOYSA-N 0 0 299.710 2.703 20 5 CFBDRN Nc1c(Cl)cc(C(=O)N(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000913012680 622823823 /nfs/dbraw/zinc/82/38/23/622823823.db2.gz FIVNTKXHJSOWMP-UHFFFAOYSA-N 0 0 295.726 2.597 20 5 CFBDRN Cc1c(C(=O)N2CC(c3ccccn3)C2)cccc1[N+](=O)[O-] ZINC000913370730 622882502 /nfs/dbraw/zinc/88/25/02/622882502.db2.gz CNGJEONCMDUFKW-UHFFFAOYSA-N 0 0 297.314 2.538 20 5 CFBDRN Cc1ccc(COC(=O)/C=C\[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000821273881 622883133 /nfs/dbraw/zinc/88/31/33/622883133.db2.gz LGVUZVVXCJBORR-FMFIFOJESA-N 0 0 291.303 2.682 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCc1ccncn1 ZINC000054789115 622920426 /nfs/dbraw/zinc/92/04/26/622920426.db2.gz HXMSKLRINPBEDW-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c(C)n1 ZINC000054915755 622923841 /nfs/dbraw/zinc/92/38/41/622923841.db2.gz MHEUCJAOUXLIQN-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CS[C@@H](C)CC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000156386399 622938327 /nfs/dbraw/zinc/93/83/27/622938327.db2.gz YDBDALYDBNIQLF-VIFPVBQESA-N 0 0 269.322 2.950 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 ZINC000156645980 622952506 /nfs/dbraw/zinc/95/25/06/622952506.db2.gz BKLWZOHBRCOZOC-SVRRBLITSA-N 0 0 299.124 2.952 20 5 CFBDRN CC(C)CCOCCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000045108149 622964840 /nfs/dbraw/zinc/96/48/40/622964840.db2.gz AASIFYOZPZDIQD-UHFFFAOYSA-N 0 0 294.311 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CCCOCC1 ZINC000821738399 622967778 /nfs/dbraw/zinc/96/77/78/622967778.db2.gz GDOWDMJBSZNUJQ-LBPRGKRZSA-N 0 0 292.335 2.511 20 5 CFBDRN CCCCN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)C1CC1 ZINC000045368259 622975671 /nfs/dbraw/zinc/97/56/71/622975671.db2.gz PKRRDCLGJQHORG-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN C[C@]1(COC(=O)CCNc2ccccc2[N+](=O)[O-])C[C@H]1F ZINC000822102075 623036525 /nfs/dbraw/zinc/03/65/25/623036525.db2.gz XPLVAPRZGWTOAM-TZMCWYRMSA-N 0 0 296.298 2.688 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]1C ZINC000822188198 623057745 /nfs/dbraw/zinc/05/77/45/623057745.db2.gz BRVVHKDFQCUDBE-UTUOFQBUSA-N 0 0 270.373 2.763 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)Oc1cccc([N+](=O)[O-])c1 ZINC000822251790 623063910 /nfs/dbraw/zinc/06/39/10/623063910.db2.gz WJXKLDICLLMTPB-NRUUGDAUSA-N 0 0 261.277 2.936 20 5 CFBDRN Cc1ccc(NC(=O)c2cnc(Cl)cn2)cc1[N+](=O)[O-] ZINC000226550563 623082854 /nfs/dbraw/zinc/08/28/54/623082854.db2.gz RVWXXUAWTCYFGZ-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CS[C@@H](C)CC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000158086625 623093547 /nfs/dbraw/zinc/09/35/47/623093547.db2.gz CNDCUPXZEWNNCV-VIFPVBQESA-N 0 0 269.322 2.950 20 5 CFBDRN CCCOC(=O)c1cccc([N+](=O)[O-])c1Br ZINC000227634330 623114797 /nfs/dbraw/zinc/11/47/97/623114797.db2.gz FXFKWNPWTYRWKK-UHFFFAOYSA-N 0 0 288.097 2.924 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2cccc(F)c2[N+](=O)[O-])C1(C)C ZINC000227820381 623121901 /nfs/dbraw/zinc/12/19/01/623121901.db2.gz VQGMODSGKHSVAP-WDEREUQCSA-N 0 0 268.288 2.959 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1[C@H](C)C[C@@H]1C ZINC000822570818 623128926 /nfs/dbraw/zinc/12/89/26/623128926.db2.gz JOXWTJBQISSSNH-AOOOYVTPSA-N 0 0 262.309 2.835 20 5 CFBDRN Cc1noc(C)c1CC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000060526319 623136787 /nfs/dbraw/zinc/13/67/87/623136787.db2.gz BYWAKXNYXBYRAI-UHFFFAOYSA-N 0 0 293.254 2.520 20 5 CFBDRN CCC(F)(F)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000822673218 623148739 /nfs/dbraw/zinc/14/87/39/623148739.db2.gz ZNCUSYUWIMSNRM-QMMMGPOBSA-N 0 0 272.251 2.817 20 5 CFBDRN Cc1nc(Cl)ccc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000228674173 623156059 /nfs/dbraw/zinc/15/60/59/623156059.db2.gz MMWQQISMRBVKEV-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc(OCC2(O)CCCCC2)ccc1[N+](=O)[O-] ZINC000087458450 623160541 /nfs/dbraw/zinc/16/05/41/623160541.db2.gz PQNIHOGZPNKQAK-UHFFFAOYSA-N 0 0 265.309 2.977 20 5 CFBDRN Cc1cccc(OCC2(O)CCCCC2)c1[N+](=O)[O-] ZINC000087458434 623160576 /nfs/dbraw/zinc/16/05/76/623160576.db2.gz ACAVKPLZVGTHDS-UHFFFAOYSA-N 0 0 265.309 2.977 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCCC[C@@H]1C=CCC1 ZINC000834343146 625626163 /nfs/dbraw/zinc/62/61/63/625626163.db2.gz YWRAUGPPZOCPKB-CYBMUJFWSA-N 0 0 288.347 3.000 20 5 CFBDRN O=C(NCCC1=CCCC1)c1cc([N+](=O)[O-])ccc1F ZINC000229000263 623173184 /nfs/dbraw/zinc/17/31/84/623173184.db2.gz KPRWYNAEPNCQII-UHFFFAOYSA-N 0 0 278.283 2.964 20 5 CFBDRN Cc1cccc2c1CCN2C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822858525 623190889 /nfs/dbraw/zinc/19/08/89/623190889.db2.gz HELZISRCCSHXPG-UHFFFAOYSA-N 0 0 276.336 2.720 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CSC[C@H]1C ZINC000822877196 623195905 /nfs/dbraw/zinc/19/59/05/623195905.db2.gz LVPVNOFKBSKRBC-KOLCDFICSA-N 0 0 294.376 2.693 20 5 CFBDRN C[C@H](CCNc1cc(Cl)ccc1[N+](=O)[O-])[S@](C)=O ZINC000229824714 623226295 /nfs/dbraw/zinc/22/62/95/623226295.db2.gz LTHMJUIKGCYSBM-CVJBHZAOSA-N 0 0 290.772 2.817 20 5 CFBDRN CSC[C@@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000171836325 623245184 /nfs/dbraw/zinc/24/51/84/623245184.db2.gz ZDQBQFDOGPFVHI-SNVBAGLBSA-N 0 0 283.349 2.680 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)OCC(F)F ZINC000923594963 623250361 /nfs/dbraw/zinc/25/03/61/623250361.db2.gz PEICCUAQNIBXTP-UHFFFAOYSA-N 0 0 293.653 2.989 20 5 CFBDRN COCCN(C)c1nc(-c2cccc([N+](=O)[O-])c2)cs1 ZINC000823209768 623264013 /nfs/dbraw/zinc/26/40/13/623264013.db2.gz YQTJLAOAJXRHIW-UHFFFAOYSA-N 0 0 293.348 2.801 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000230415403 623274441 /nfs/dbraw/zinc/27/44/41/623274441.db2.gz XSISKRCZPWGRAN-ZJUUUORDSA-N 0 0 280.299 2.900 20 5 CFBDRN CCOc1ccc(C(=O)OCC2CC2)cc1[N+](=O)[O-] ZINC000062699490 623288967 /nfs/dbraw/zinc/28/89/67/623288967.db2.gz MWZKOOSLUHWXRP-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000823312101 623290395 /nfs/dbraw/zinc/29/03/95/623290395.db2.gz GBYAEVFXJGNZMJ-PTEHBNRSSA-N 0 0 292.310 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC(F)(F)C(F)F)c([N+](=O)[O-])c1 ZINC000230714506 623293971 /nfs/dbraw/zinc/29/39/71/623293971.db2.gz WLSCAXKTQJGGNW-UHFFFAOYSA-N 0 0 297.164 2.815 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2cccnc2[N+](=O)[O-])C1 ZINC000230917998 623310400 /nfs/dbraw/zinc/31/04/00/623310400.db2.gz SXSOUWLYKZMXLM-IUCAKERBSA-N 0 0 253.327 2.686 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCCCCCCO ZINC000172899762 623315994 /nfs/dbraw/zinc/31/59/94/623315994.db2.gz JXYSVZKKLYTRAP-UHFFFAOYSA-N 0 0 283.324 2.925 20 5 CFBDRN COC(=O)c1occc1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000172920333 623316958 /nfs/dbraw/zinc/31/69/58/623316958.db2.gz GNKCGUMNJWHCJX-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CC1 ZINC000231347206 623337785 /nfs/dbraw/zinc/33/77/85/623337785.db2.gz AZCJUIBHJOTJKJ-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000823564343 623345933 /nfs/dbraw/zinc/34/59/33/623345933.db2.gz LHUGOESFLGSSHE-SECBINFHSA-N 0 0 297.330 2.718 20 5 CFBDRN C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000173502184 623353922 /nfs/dbraw/zinc/35/39/22/623353922.db2.gz FRRPPFROEUVCCP-NSHDSACASA-N 0 0 286.287 2.837 20 5 CFBDRN C[C@H](O)COc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC000231650589 623363494 /nfs/dbraw/zinc/36/34/94/623363494.db2.gz VBSPXYNQLJISEK-YFKPBYRVSA-N 0 0 266.080 2.661 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(NC=O)cc2)cc1[N+](=O)[O-] ZINC000174357904 623415425 /nfs/dbraw/zinc/41/54/25/623415425.db2.gz DTCOTELOQARHPT-UHFFFAOYSA-N 0 0 299.286 2.724 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823926778 623420023 /nfs/dbraw/zinc/42/00/23/623420023.db2.gz GWNWBLPWQOGEAY-OCCSQVGLSA-N 0 0 292.339 2.733 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N[C@H]1CC12CC2 ZINC000823972005 623427189 /nfs/dbraw/zinc/42/71/89/623427189.db2.gz IHTFHEANDDSELD-JTQLQIEISA-N 0 0 281.699 2.922 20 5 CFBDRN CCOCCCCOC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000174556785 623427710 /nfs/dbraw/zinc/42/77/10/623427710.db2.gz WZQZTGVDHDXUMQ-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C(C)(C)C1 ZINC000823988223 623429915 /nfs/dbraw/zinc/42/99/15/623429915.db2.gz VEIWJROEGQPCAI-VIFPVBQESA-N 0 0 292.339 2.829 20 5 CFBDRN Nc1ccc(NC(=O)NCCC2CCCC2)cc1[N+](=O)[O-] ZINC000824003303 623431553 /nfs/dbraw/zinc/43/15/53/623431553.db2.gz WRNCHMUONKNGQY-UHFFFAOYSA-N 0 0 292.339 2.879 20 5 CFBDRN CS[C@@H](C)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000075881941 623444752 /nfs/dbraw/zinc/44/47/52/623444752.db2.gz BSSQVRAMDQJXJE-QMMMGPOBSA-N 0 0 255.295 2.560 20 5 CFBDRN Cc1c(CNC(=S)NC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000840744349 623465445 /nfs/dbraw/zinc/46/54/45/623465445.db2.gz FINLMEOVJSMPSC-UHFFFAOYSA-N 0 0 293.392 2.810 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)N[C@@H]1CC12CC2 ZINC000824331770 623491529 /nfs/dbraw/zinc/49/15/29/623491529.db2.gz OWIBGCPTQGNRGL-SECBINFHSA-N 0 0 281.699 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1C=CCC1 ZINC000824438795 623505761 /nfs/dbraw/zinc/50/57/61/623505761.db2.gz ZTVBAPUIONTHRA-NSHDSACASA-N 0 0 260.293 2.660 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H]2CCn3ccnc32)cc1Cl ZINC000824525519 623516499 /nfs/dbraw/zinc/51/64/99/623516499.db2.gz AVQIJKCWJSVCCF-NSHDSACASA-N 0 0 292.726 2.679 20 5 CFBDRN Cc1ccc(OS(=O)(=O)c2cccs2)cc1[N+](=O)[O-] ZINC000151694452 623552279 /nfs/dbraw/zinc/55/22/79/623552279.db2.gz XWCIGAWMQIPZBY-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OS(=O)(=O)CCC(C)C ZINC000151683512 623553570 /nfs/dbraw/zinc/55/35/70/623553570.db2.gz FMSYFDAMSUKDQV-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN Cn1c(C(=O)OC2CCCCCC2)ccc1[N+](=O)[O-] ZINC000825304012 623666994 /nfs/dbraw/zinc/66/69/94/623666994.db2.gz YGRBIGHCEIIREZ-UHFFFAOYSA-N 0 0 266.297 2.813 20 5 CFBDRN O=[N+]([O-])c1cc(C[N@H+]2CC[C@H](CCCO)C2)ccc1Cl ZINC000825336960 623675446 /nfs/dbraw/zinc/67/54/46/623675446.db2.gz BDVMBCNHZCDVAM-NSHDSACASA-N 0 0 298.770 2.843 20 5 CFBDRN CC(=O)c1ccc(N2CCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000313318725 623678918 /nfs/dbraw/zinc/67/89/18/623678918.db2.gz VVFXSNYMHPDEDU-UHFFFAOYSA-N 0 0 270.235 2.643 20 5 CFBDRN CCCCN(C)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929793311 623701066 /nfs/dbraw/zinc/70/10/66/623701066.db2.gz WAGOVXYCHDEIPB-KGLIPLIRSA-N 0 0 276.336 2.957 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]2C[C@H]2C1 ZINC000825606302 623722593 /nfs/dbraw/zinc/72/25/93/623722593.db2.gz UKSXSHYKWIJQJD-UWVGGRQHSA-N 0 0 292.360 2.799 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000825647503 623729925 /nfs/dbraw/zinc/72/99/25/623729925.db2.gz GWCZWMLLIFXRGF-STQMWFEESA-N 0 0 276.336 2.812 20 5 CFBDRN CCC1(C(=O)NCc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000825648332 623730033 /nfs/dbraw/zinc/73/00/33/623730033.db2.gz PXXJSRFHKZUOGI-UHFFFAOYSA-N 0 0 262.309 2.710 20 5 CFBDRN Cc1ccc(CNC(=O)CC(C)C)cc1[N+](=O)[O-] ZINC000825655429 623730824 /nfs/dbraw/zinc/73/08/24/623730824.db2.gz NESNPRHLZWIJGQ-UHFFFAOYSA-N 0 0 250.298 2.566 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000825648591 623731409 /nfs/dbraw/zinc/73/14/09/623731409.db2.gz VDJQELWRSCTMOP-QMMMGPOBSA-N 0 0 290.241 2.718 20 5 CFBDRN Cc1ccc(CNC(=O)CC(C)(C)C)cc1[N+](=O)[O-] ZINC000825655687 623732548 /nfs/dbraw/zinc/73/25/48/623732548.db2.gz TWCRZVPTLGETQP-UHFFFAOYSA-N 0 0 264.325 2.956 20 5 CFBDRN C[C@@H](NC(=O)N[C@]12C[C@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000914238890 623743687 /nfs/dbraw/zinc/74/36/87/623743687.db2.gz MEBKVXHWCVSQEK-IXPVHAAZSA-N 0 0 289.335 2.898 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NC[C@H]2C=CCC2)c1F ZINC000914285835 623754042 /nfs/dbraw/zinc/75/40/42/623754042.db2.gz WKVAGCWMXBIEGZ-VIFPVBQESA-N 0 0 296.273 2.877 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C[C@@H](C)C1 ZINC000826001402 623780229 /nfs/dbraw/zinc/78/02/29/623780229.db2.gz MQOLWOZQVILWMO-GDNZZTSVSA-N 0 0 270.373 2.763 20 5 CFBDRN O=[N+]([O-])CCN1CCC(=Cc2ccccc2F)CC1 ZINC000929828045 623858613 /nfs/dbraw/zinc/85/86/13/623858613.db2.gz XBNRQVOCYCOZJR-UHFFFAOYSA-N 0 0 264.300 2.582 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CSC(C)C ZINC000914465323 623869448 /nfs/dbraw/zinc/86/94/48/623869448.db2.gz RGXJJLYHRXBNMX-UHFFFAOYSA-N 0 0 285.321 2.650 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)CC2(CCC2)O1 ZINC000826790983 623877293 /nfs/dbraw/zinc/87/72/93/623877293.db2.gz KHNQOXVQPBSWHX-LLVKDONJSA-N 0 0 262.309 2.743 20 5 CFBDRN CSC(C)(C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000834330996 623878543 /nfs/dbraw/zinc/87/85/43/623878543.db2.gz HSXTYVSNAUXOEK-UHFFFAOYSA-N 0 0 282.365 2.661 20 5 CFBDRN Cc1sc(C(=O)O[C@@H]2CCCCC2=O)cc1[N+](=O)[O-] ZINC000914640185 623912513 /nfs/dbraw/zinc/91/25/13/623912513.db2.gz TXJDWXRRWWKCDX-SNVBAGLBSA-N 0 0 283.305 2.633 20 5 CFBDRN CC(=O)CCCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000762825574 623913516 /nfs/dbraw/zinc/91/35/16/623913516.db2.gz VQMGCQVGZCZMJD-YFHOEESVSA-N 0 0 291.303 2.911 20 5 CFBDRN CCCCn1ncc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1C ZINC000827143016 623952451 /nfs/dbraw/zinc/95/24/51/623952451.db2.gz YODJQVKHXXYQKT-UHFFFAOYSA-N 0 0 296.371 2.766 20 5 CFBDRN C[C@]12COC[C@H]1C[N@H+](Cc1ccc([N+](=O)[O-])c(Cl)c1)C2 ZINC000827279989 623980240 /nfs/dbraw/zinc/98/02/40/623980240.db2.gz CNSVKIJWEUNTCK-RISCZKNCSA-N 0 0 296.754 2.717 20 5 CFBDRN Cn1c(C(=O)Oc2cccc3ncccc32)ccc1[N+](=O)[O-] ZINC000915162409 623990442 /nfs/dbraw/zinc/99/04/42/623990442.db2.gz KJHWUHHIFKKTKB-UHFFFAOYSA-N 0 0 297.270 2.701 20 5 CFBDRN Cc1ccc(OC(=O)c2cccnc2)c([N+](=O)[O-])c1 ZINC000193424177 624002788 /nfs/dbraw/zinc/00/27/88/624002788.db2.gz IVPRSSBAWBCDPZ-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)[C@@H]1CC=CCC1 ZINC000915375173 624034804 /nfs/dbraw/zinc/03/48/04/624034804.db2.gz LTBXHGIVGTVQEP-GFCCVEGCSA-N 0 0 291.303 2.873 20 5 CFBDRN CC(C)CCOC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000915448609 624044722 /nfs/dbraw/zinc/04/47/22/624044722.db2.gz PQCQDYFYDWYXKR-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\SC2=NCCS2)o1 ZINC000915453249 624045881 /nfs/dbraw/zinc/04/58/81/624045881.db2.gz YWQFSXVHCFFUEH-HYXAFXHYSA-N 0 0 256.308 2.995 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)N1CCC[C@@H](CF)C1 ZINC000888278325 624053771 /nfs/dbraw/zinc/05/37/71/624053771.db2.gz QBPPVUYPSSCHHS-VIFPVBQESA-N 0 0 299.277 2.947 20 5 CFBDRN CCCCC[C@H](Nc1ccc([N+](=O)[O-])nc1)C(=O)OC ZINC000827731695 624079223 /nfs/dbraw/zinc/07/92/23/624079223.db2.gz ONVJNYNGYWQTRP-NSHDSACASA-N 0 0 281.312 2.524 20 5 CFBDRN COC(=O)Cc1ccc(N(C)CCC2CC2)c([N+](=O)[O-])c1 ZINC000827793671 624094852 /nfs/dbraw/zinc/09/48/52/624094852.db2.gz BJOFDVSSJAPEOM-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCCC(C)(C)O ZINC000765510629 624102167 /nfs/dbraw/zinc/10/21/67/624102167.db2.gz XXUUDFQEZCBVSJ-ZHACJKMWSA-N 0 0 293.319 2.702 20 5 CFBDRN O=Cc1ccc(OC[C@H]2CC[C@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000915865188 624134608 /nfs/dbraw/zinc/13/46/08/624134608.db2.gz DCGUHBBJMDSLFM-TZMCWYRMSA-N 0 0 291.303 2.744 20 5 CFBDRN CCOc1ccc(C(=O)OCC[C@H](C)OC)cc1[N+](=O)[O-] ZINC000174818171 624140402 /nfs/dbraw/zinc/14/04/02/624140402.db2.gz XTVJJSSLEQMXDP-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN CCC[C@H](CC)OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000766402060 624141691 /nfs/dbraw/zinc/14/16/91/624141691.db2.gz IIIGMGSPSBBFQG-JTQLQIEISA-N 0 0 266.297 2.913 20 5 CFBDRN O=C(CCNc1ccc([N+](=O)[O-])nc1)OC1CCCCC1 ZINC000828105416 624174326 /nfs/dbraw/zinc/17/43/26/624174326.db2.gz BYPFRVQVJLGZAL-UHFFFAOYSA-N 0 0 293.323 2.668 20 5 CFBDRN COc1ccc(OC[C@@H]2CC[C@@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000915921754 624188210 /nfs/dbraw/zinc/18/82/10/624188210.db2.gz PGPSUGWQRRGKJR-JSGCOSHPSA-N 0 0 293.319 2.940 20 5 CFBDRN C[C@H]1CC[C@]2(CCN(C(=O)CCC(C)(C)[N+](=O)[O-])C2)C1 ZINC000828183911 624196537 /nfs/dbraw/zinc/19/65/37/624196537.db2.gz NACZBVBZLFZBNS-WFASDCNBSA-N 0 0 282.384 2.861 20 5 CFBDRN COC(=O)/C=C(/C)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000916079400 624219567 /nfs/dbraw/zinc/21/95/67/624219567.db2.gz GZQJNSUJVCTBTM-YVMONPNESA-N 0 0 285.683 2.746 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=S)NC1CC1 ZINC000916112279 624223426 /nfs/dbraw/zinc/22/34/26/624223426.db2.gz LDHDJKMLPMBYLE-UHFFFAOYSA-N 0 0 265.338 2.660 20 5 CFBDRN CCN(CC)C(=O)OCOc1ccc(C)cc1[N+](=O)[O-] ZINC000916202406 624228668 /nfs/dbraw/zinc/22/86/68/624228668.db2.gz ACKZNPXRWARPEM-UHFFFAOYSA-N 0 0 282.296 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC4(CC4)C3)sc2c1 ZINC000828365256 624234435 /nfs/dbraw/zinc/23/44/35/624234435.db2.gz SWJMFNAABSMKDF-UHFFFAOYSA-N 0 0 261.306 2.805 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C[C@@H]1C ZINC000828398849 624238106 /nfs/dbraw/zinc/23/81/06/624238106.db2.gz GXQNPHPRILKFJF-GRYCIOLGSA-N 0 0 270.373 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)CCc1cccnc1 ZINC000916402586 624243839 /nfs/dbraw/zinc/24/38/39/624243839.db2.gz QXQIRCUMLOADDJ-UHFFFAOYSA-N 0 0 286.287 2.836 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@H](F)C3)sc2c1 ZINC000828448503 624248879 /nfs/dbraw/zinc/24/88/79/624248879.db2.gz GJGPLEZUFIIDND-ZETCQYMHSA-N 0 0 267.285 2.753 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCC(C)(C)CO)c1 ZINC000828519108 624260989 /nfs/dbraw/zinc/26/09/89/624260989.db2.gz FWNJDSKGMFLJKX-UHFFFAOYSA-N 0 0 286.303 2.563 20 5 CFBDRN Cc1ccsc1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000916826638 624286206 /nfs/dbraw/zinc/28/62/06/624286206.db2.gz MGELEPFFPLIUAS-UHFFFAOYSA-N 0 0 277.305 2.799 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCOC(=O)CC(C)C ZINC000916843020 624287437 /nfs/dbraw/zinc/28/74/37/624287437.db2.gz RXIHFQNORJZHAB-UHFFFAOYSA-N 0 0 283.280 2.529 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000828711340 624290041 /nfs/dbraw/zinc/29/00/41/624290041.db2.gz ASROZGYHGSDFOR-SNVBAGLBSA-N 0 0 256.346 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@@H]2CCC[C@H]2O)c(F)c1 ZINC000828752422 624296153 /nfs/dbraw/zinc/29/61/53/624296153.db2.gz YHBSTERYXZOGTK-NJZAAPMLSA-N 0 0 294.326 2.864 20 5 CFBDRN CC[C@@](C)(CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])OC ZINC000828778828 624299214 /nfs/dbraw/zinc/29/92/14/624299214.db2.gz CMCIGFRUZHXBJO-LBPRGKRZSA-N 0 0 283.284 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OC[C@@H]2CCC3(COC3)O2)c1 ZINC000917142245 624318378 /nfs/dbraw/zinc/31/83/78/624318378.db2.gz WSUBYRYOKOAZNN-JTQLQIEISA-N 0 0 299.710 2.575 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@H](OC)[C@@H](C)C1 ZINC000828903327 624325781 /nfs/dbraw/zinc/32/57/81/624325781.db2.gz GSLDGLKGKNUTCV-NHYWBVRUSA-N 0 0 294.351 2.602 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C[C@@H]1C ZINC000828903773 624326622 /nfs/dbraw/zinc/32/66/22/624326622.db2.gz JRNHNZSXWCAPSH-ZUZCIYMTSA-N 0 0 292.335 2.659 20 5 CFBDRN CO[C@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C[C@@H]1C ZINC000828905008 624326735 /nfs/dbraw/zinc/32/67/35/624326735.db2.gz SDXCARCGWKHXFG-FZMZJTMJSA-N 0 0 264.325 2.764 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@@H]1C ZINC000828904454 624326985 /nfs/dbraw/zinc/32/69/85/624326985.db2.gz OIOIFHRGGULSIG-TVQRCGJNSA-N 0 0 268.288 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@@H]2CCC3(COC3)O2)cc1 ZINC000917350795 624334390 /nfs/dbraw/zinc/33/43/90/624334390.db2.gz MWISUXOGASEHLY-ZDUSSCGKSA-N 0 0 295.360 2.776 20 5 CFBDRN O=C(/C=C\c1ccco1)Nc1cccc([N+](=O)[O-])c1 ZINC000033303193 624335499 /nfs/dbraw/zinc/33/54/99/624335499.db2.gz HEXFJLORZXYVTA-SREVYHEPSA-N 0 0 258.233 2.840 20 5 CFBDRN Cc1occc1C1=N/C(=C\c2cccc([N+](=O)[O-])c2)C(=O)O1 ZINC000917418104 624338918 /nfs/dbraw/zinc/33/89/18/624338918.db2.gz ZWOZDVOAMISJJL-JYRVWZFOSA-N 0 0 298.254 2.841 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000917390435 624339109 /nfs/dbraw/zinc/33/91/09/624339109.db2.gz RNIZIAYOHYHJTH-UWVGGRQHSA-N 0 0 295.314 2.642 20 5 CFBDRN Cc1ccc([C@H]2CCCN2Cn2nccc2[N+](=O)[O-])cc1 ZINC000917425243 624342508 /nfs/dbraw/zinc/34/25/08/624342508.db2.gz VELFWUIYOKJEIM-CQSZACIVSA-N 0 0 286.335 2.894 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCO[C@H]2CCOC2)c(F)c1 ZINC000917478042 624345106 /nfs/dbraw/zinc/34/51/06/624345106.db2.gz SWDFKMKEFWTZBS-JTQLQIEISA-N 0 0 287.312 2.632 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(N3CCCCCCCC3)nn21 ZINC000829083334 624368772 /nfs/dbraw/zinc/36/87/72/624368772.db2.gz LCSOOXFPOPGWII-UHFFFAOYSA-N 0 0 289.339 2.798 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000917610518 624372177 /nfs/dbraw/zinc/37/21/77/624372177.db2.gz NOXXDLQCZNUBKH-VIFPVBQESA-N 0 0 284.287 2.734 20 5 CFBDRN CCCCSCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000917793475 624385235 /nfs/dbraw/zinc/38/52/35/624385235.db2.gz TTZMWYVBFWXTMR-UHFFFAOYSA-N 0 0 282.365 2.744 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)C=C1CCCC1 ZINC000917831564 624390201 /nfs/dbraw/zinc/39/02/01/624390201.db2.gz WAHCXNZKRTVAKT-UHFFFAOYSA-N 0 0 290.319 2.720 20 5 CFBDRN C=C/C=C\CCNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000829186568 624394957 /nfs/dbraw/zinc/39/49/57/624394957.db2.gz OHFMSAMKDPJFCS-LPUOJRKPSA-N 0 0 286.331 2.947 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CCC(F)CC1 ZINC000829206242 624400425 /nfs/dbraw/zinc/40/04/25/624400425.db2.gz VAVSGLAKTSONGL-UHFFFAOYSA-N 0 0 298.289 2.627 20 5 CFBDRN CCC1(CNc2cc([N+](=O)[O-])ccc2C(C)=O)COC1 ZINC000829213475 624401836 /nfs/dbraw/zinc/40/18/36/624401836.db2.gz XUDJUCFZBOSREU-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C(C)C ZINC000829286167 624411661 /nfs/dbraw/zinc/41/16/61/624411661.db2.gz ISGRRJVXQQVYQN-CQSZACIVSA-N 0 0 293.319 2.982 20 5 CFBDRN O=C(/C=C\c1ccco1)Oc1cccc([N+](=O)[O-])c1 ZINC000033472597 624416453 /nfs/dbraw/zinc/41/64/53/624416453.db2.gz RZZUHYMZNFMWQT-SREVYHEPSA-N 0 0 259.217 2.807 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCC[C@H]1CCOC1 ZINC000829345012 624422242 /nfs/dbraw/zinc/42/22/42/624422242.db2.gz FSEROQDMJRNBAU-JTQLQIEISA-N 0 0 295.295 2.732 20 5 CFBDRN CC1=NO[C@H](CSCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000918158425 624424293 /nfs/dbraw/zinc/42/42/93/624424293.db2.gz AGOUBRAQFBGPOA-ZDUSSCGKSA-N 0 0 296.348 2.872 20 5 CFBDRN O=C(Cn1nccc1[N+](=O)[O-])Nc1ccc2ccccc2c1 ZINC000918161134 624425141 /nfs/dbraw/zinc/42/51/41/624425141.db2.gz XPLSDBJWSRCHFA-UHFFFAOYSA-N 0 0 296.286 2.583 20 5 CFBDRN CC(C)(C)c1nc(Cn2ccnc2[N+](=O)[O-])cs1 ZINC000918343679 624432909 /nfs/dbraw/zinc/43/29/09/624432909.db2.gz SICSZXVDNJAHNC-UHFFFAOYSA-N 0 0 266.326 2.594 20 5 CFBDRN CC(=O)c1c(C)cc(C)c(Cn2ccnc2[N+](=O)[O-])c1C ZINC000918343485 624433037 /nfs/dbraw/zinc/43/30/37/624433037.db2.gz QZWYTJBBSUMSBE-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN Cc1nc(C(F)(F)F)c(Cn2ccnc2[N+](=O)[O-])s1 ZINC000918379459 624433840 /nfs/dbraw/zinc/43/38/40/624433840.db2.gz XOMYWINWHWBPNC-UHFFFAOYSA-N 0 0 292.242 2.623 20 5 CFBDRN Cc1ccc(OC(F)F)c(Cn2ccnc2[N+](=O)[O-])c1 ZINC000918387536 624435343 /nfs/dbraw/zinc/43/53/43/624435343.db2.gz QQEXTCBMRKAVJA-UHFFFAOYSA-N 0 0 283.234 2.749 20 5 CFBDRN CC(C)CCCOC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000918496341 624443379 /nfs/dbraw/zinc/44/33/79/624443379.db2.gz VWYZLZUFEIKEMB-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN O=C(OCCOC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000918518206 624447468 /nfs/dbraw/zinc/44/74/68/624447468.db2.gz MUBONNFBEMUUHH-UHFFFAOYSA-N 0 0 279.292 2.711 20 5 CFBDRN COC[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000829562151 624455996 /nfs/dbraw/zinc/45/59/96/624455996.db2.gz ZAQHGNKAKRRGCG-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C1CCCC1 ZINC000918849807 624502648 /nfs/dbraw/zinc/50/26/48/624502648.db2.gz LODPXDULHZOBQJ-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN Cc1c(COC(=O)c2ccncc2)cccc1[N+](=O)[O-] ZINC000918853628 624503879 /nfs/dbraw/zinc/50/38/79/624503879.db2.gz RPNWWPMHHXKISD-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1ccco1 ZINC000918856306 624503913 /nfs/dbraw/zinc/50/39/13/624503913.db2.gz UVDGPYFPTDODRW-UHFFFAOYSA-N 0 0 265.196 2.684 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000918854789 624504047 /nfs/dbraw/zinc/50/40/47/624504047.db2.gz DYRBRYKIVXFJCM-SSDOTTSWSA-N 0 0 285.683 2.773 20 5 CFBDRN C/C=C/C=C/C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000918869083 624506151 /nfs/dbraw/zinc/50/61/51/624506151.db2.gz XZTBAKYFIUGXSS-MQQKCMAXSA-N 0 0 253.279 2.832 20 5 CFBDRN O=C(CC[C@H]1CCCO1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000919093183 624523167 /nfs/dbraw/zinc/52/31/67/624523167.db2.gz IZCNHEBOOMQSGY-GFCCVEGCSA-N 0 0 297.282 2.736 20 5 CFBDRN O=C(NCCNc1ccc([N+](=O)[O-])cc1)OC1CCCC1 ZINC000829793099 624540772 /nfs/dbraw/zinc/54/07/72/624540772.db2.gz MGOUIFFWFVBSLV-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n(CCCCc2ccccc2)n1 ZINC000829837297 624563288 /nfs/dbraw/zinc/56/32/88/624563288.db2.gz BWGZLCBKQUFVQP-UHFFFAOYSA-N 0 0 290.279 2.722 20 5 CFBDRN COCC1(CC(=O)OCc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000829879614 624576173 /nfs/dbraw/zinc/57/61/73/624576173.db2.gz WJBREPMQNIHXCU-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN COCC1(CC(=O)OCc2cccc([N+](=O)[O-])c2C)CC1 ZINC000829888230 624578398 /nfs/dbraw/zinc/57/83/98/624578398.db2.gz YFYGKAIKWCIFSA-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000829903005 624580422 /nfs/dbraw/zinc/58/04/22/624580422.db2.gz YHVMSJRPKAFLTC-NEPJUHHUSA-N 0 0 270.373 2.907 20 5 CFBDRN COCCCOC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000919668380 624594600 /nfs/dbraw/zinc/59/46/00/624594600.db2.gz JWSVBYMKOICNJU-JTQLQIEISA-N 0 0 299.348 2.655 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])n2C)s1 ZINC000919703980 624602808 /nfs/dbraw/zinc/60/28/08/624602808.db2.gz NGQYXDZNMNVJSR-SECBINFHSA-N 0 0 293.348 2.794 20 5 CFBDRN CCC(F)(F)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000851490848 634394746 /nfs/dbraw/zinc/39/47/46/634394746.db2.gz NOXZWDXTSLTDHC-UHFFFAOYSA-N 0 0 277.198 2.936 20 5 CFBDRN CC(C)CCc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])n[nH]1 ZINC000830156005 624647205 /nfs/dbraw/zinc/64/72/05/624647205.db2.gz NPHLRQFGCXDDPB-UHFFFAOYSA-N 0 0 296.371 2.772 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H](CO)Cc1ccco1 ZINC000919963258 624650845 /nfs/dbraw/zinc/65/08/45/624650845.db2.gz SFKGXHYURRYCQX-JTQLQIEISA-N 0 0 294.282 2.590 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2ccc([N+](=O)[O-])cc2)nn1C ZINC000920159441 624687943 /nfs/dbraw/zinc/68/79/43/624687943.db2.gz XYZCEAWDJLQSPZ-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CC(=O)OCCOc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC000920243445 624704420 /nfs/dbraw/zinc/70/44/20/624704420.db2.gz HKXCZBXOIFEGNW-UHFFFAOYSA-N 0 0 294.090 2.844 20 5 CFBDRN Cc1ncc(COC(=O)c2cc([N+](=O)[O-])ccc2F)s1 ZINC000920348739 624711168 /nfs/dbraw/zinc/71/11/68/624711168.db2.gz QEKAZCSCYAGBGE-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CCOCCn1nc(-c2cccc([N+](=O)[O-])c2)nc1Cl ZINC000920398648 624718287 /nfs/dbraw/zinc/71/82/87/624718287.db2.gz JDMQIUPVJABSTO-UHFFFAOYSA-N 0 0 296.714 2.543 20 5 CFBDRN CCOCCCOC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830365981 624723301 /nfs/dbraw/zinc/72/33/01/624723301.db2.gz XLPIZGFLLVSXSN-UHFFFAOYSA-N 0 0 285.271 2.626 20 5 CFBDRN CC[C@H](C)OC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830365742 624723564 /nfs/dbraw/zinc/72/35/64/624723564.db2.gz MPXLFVJEYZFAIB-ZETCQYMHSA-N 0 0 255.245 2.998 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830369935 624724504 /nfs/dbraw/zinc/72/45/04/624724504.db2.gz RKFRMZINMSCJGM-MRVPVSSYSA-N 0 0 285.271 2.624 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)O[C@H](C)C(=O)C1CC1 ZINC000830369083 624724533 /nfs/dbraw/zinc/72/45/33/624724533.db2.gz GTWQWUKYEWOXHP-MRVPVSSYSA-N 0 0 295.266 2.567 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000920580214 624743633 /nfs/dbraw/zinc/74/36/33/624743633.db2.gz SARSEVLOUXMKBT-UHFFFAOYSA-N 0 0 266.278 2.650 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Oc1cccc(F)c1F ZINC000170815355 624755607 /nfs/dbraw/zinc/75/56/07/624755607.db2.gz LHUGXMKDJFYMQF-UHFFFAOYSA-N 0 0 294.213 2.796 20 5 CFBDRN C[C@@H](CC(=O)OCCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000920726528 624761610 /nfs/dbraw/zinc/76/16/10/624761610.db2.gz DKHBZGHTAKNSOQ-NSHDSACASA-N 0 0 293.319 2.953 20 5 CFBDRN Cc1sc(C(=O)N2CC(OC(C)(C)C)C2)cc1[N+](=O)[O-] ZINC000830546314 624777032 /nfs/dbraw/zinc/77/70/32/624777032.db2.gz JMWXZEDONCKCAH-UHFFFAOYSA-N 0 0 298.364 2.604 20 5 CFBDRN Cc1cc(C(=O)OCC(=O)C(C)(C)C)cc([N+](=O)[O-])c1 ZINC000920902734 624781219 /nfs/dbraw/zinc/78/12/19/624781219.db2.gz JPFHGGGTKSAQPE-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CCCCO[C@H](C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000920918114 624784889 /nfs/dbraw/zinc/78/48/89/624784889.db2.gz ZNXKSDXXBVIXMB-SECBINFHSA-N 0 0 287.337 2.905 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1cc([O-])ccc1[N+](=O)[O-] ZINC000232977338 624917045 /nfs/dbraw/zinc/91/70/45/624917045.db2.gz APIMBZXMIIDRLY-JTQLQIEISA-N 0 0 280.324 2.999 20 5 CFBDRN CN(CC(=O)OC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000175031636 624924979 /nfs/dbraw/zinc/92/49/79/624924979.db2.gz ZXKAYUIUTSXDRG-UHFFFAOYSA-N 0 0 278.308 2.517 20 5 CFBDRN Cc1ccc(N2CCSC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000175136453 624928476 /nfs/dbraw/zinc/92/84/76/624928476.db2.gz GBHUCNFGYWVBRS-JTQLQIEISA-N 0 0 252.339 2.845 20 5 CFBDRN CCN(CCSC)Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000830999094 624950387 /nfs/dbraw/zinc/95/03/87/624950387.db2.gz DJCNGOOWXWZMPR-UHFFFAOYSA-N 0 0 299.352 2.688 20 5 CFBDRN CC[C@@H](CCO)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000233872475 624958842 /nfs/dbraw/zinc/95/88/42/624958842.db2.gz QUHYWFGMTPFMJH-ZETCQYMHSA-N 0 0 276.695 2.960 20 5 CFBDRN Cc1nc(C)c(C(=O)Oc2cc([N+](=O)[O-])ccc2C)o1 ZINC000175650129 624959728 /nfs/dbraw/zinc/95/97/28/624959728.db2.gz VRWWQASIJFFBOM-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN CC[C@@H](O)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000233905344 624959923 /nfs/dbraw/zinc/95/99/23/624959923.db2.gz WOXAGEAUSLLFRT-ZCFIWIBFSA-N 0 0 262.668 2.570 20 5 CFBDRN O=C(C1CC2(CC2)C1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000831244033 624976886 /nfs/dbraw/zinc/97/68/86/624976886.db2.gz VVLGZMFQBVBVRG-UHFFFAOYSA-N 0 0 286.331 2.670 20 5 CFBDRN CC(C)(CCC(=O)NC[C@@H]1CCCC(F)(F)C1)[N+](=O)[O-] ZINC000831275632 624981234 /nfs/dbraw/zinc/98/12/34/624981234.db2.gz AEVFDHGJDMOVPS-SNVBAGLBSA-N 0 0 292.326 2.764 20 5 CFBDRN C[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1ccsc1 ZINC000831368001 625000892 /nfs/dbraw/zinc/00/08/92/625000892.db2.gz HNPPNTNJOGVBPH-SECBINFHSA-N 0 0 270.354 2.761 20 5 CFBDRN Cc1cccnc1COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000180257712 625010055 /nfs/dbraw/zinc/01/00/55/625010055.db2.gz WSCMOADAOQRBNK-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000831410840 625013235 /nfs/dbraw/zinc/01/32/35/625013235.db2.gz OJFNVMOTDKYRKF-WCBMZHEXSA-N 0 0 288.225 2.523 20 5 CFBDRN CCOC1(COC(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000851552675 634427839 /nfs/dbraw/zinc/42/78/39/634427839.db2.gz LYWOYJPJYWIKGW-UHFFFAOYSA-N 0 0 279.292 2.711 20 5 CFBDRN COc1cc(C(=O)NCCC2CC=CC2)ccc1[N+](=O)[O-] ZINC000831446109 625023579 /nfs/dbraw/zinc/02/35/79/625023579.db2.gz JYRGYRWLQVFYOA-UHFFFAOYSA-N 0 0 290.319 2.690 20 5 CFBDRN COC1(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCC1 ZINC000180876408 625046768 /nfs/dbraw/zinc/04/67/68/625046768.db2.gz NOWDDQMMLLPLMU-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN COc1ccc(NC(=O)C(C)(C)SC)cc1[N+](=O)[O-] ZINC000831972540 625198486 /nfs/dbraw/zinc/19/84/86/625198486.db2.gz JBBYWOUFRPFPIO-UHFFFAOYSA-N 0 0 284.337 2.684 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1nccn1C ZINC000851579256 634443174 /nfs/dbraw/zinc/44/31/74/634443174.db2.gz DOZQVXLDNBBPKX-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1cccc(C(=O)O[C@H](C)c2nccn2C)c1[N+](=O)[O-] ZINC000851581003 634443740 /nfs/dbraw/zinc/44/37/40/634443740.db2.gz NMDQNEWNHBHVKD-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2nccn2C)ccc1[N+](=O)[O-] ZINC000851583459 634445312 /nfs/dbraw/zinc/44/53/12/634445312.db2.gz LUTKDQBZBAORTJ-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN Cn1cccc1C(=O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000070089322 625264273 /nfs/dbraw/zinc/26/42/73/625264273.db2.gz DCRBYKXORLCJQK-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN COc1cccc(NC[C@H](O)c2ccsc2)c1[N+](=O)[O-] ZINC000185457064 625729197 /nfs/dbraw/zinc/72/91/97/625729197.db2.gz HEUDOBFYLVTHGC-NSHDSACASA-N 0 0 294.332 2.810 20 5 CFBDRN CC(C)OC1(CNC(=O)c2csc([N+](=O)[O-])c2)CCC1 ZINC000834593085 625730446 /nfs/dbraw/zinc/73/04/46/625730446.db2.gz IVGOQKFZZQYBFD-UHFFFAOYSA-N 0 0 298.364 2.734 20 5 CFBDRN CN(CCOC(C)(C)C)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000767907167 625744453 /nfs/dbraw/zinc/74/44/53/625744453.db2.gz SUVFWGPFODGNOZ-UHFFFAOYSA-N 0 0 284.331 2.981 20 5 CFBDRN C[C@H](c1noc(CCC(C)(C)[N+](=O)[O-])n1)C1CC1 ZINC000834645601 625751559 /nfs/dbraw/zinc/75/15/59/625751559.db2.gz HHDPVLWBWWHSPM-QMMMGPOBSA-N 0 0 253.302 2.571 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])CCS1 ZINC000834679303 625780001 /nfs/dbraw/zinc/78/00/01/625780001.db2.gz YJNSDBYDNUMCNN-SCZZXKLOSA-N 0 0 298.339 2.748 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])CCS1 ZINC000834679302 625780815 /nfs/dbraw/zinc/78/08/15/625780815.db2.gz YJNSDBYDNUMCNN-PSASIEDQSA-N 0 0 298.339 2.748 20 5 CFBDRN CCc1nc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)co1 ZINC000834899479 625914039 /nfs/dbraw/zinc/91/40/39/625914039.db2.gz HRPPUCRVZJAXOI-JTQLQIEISA-N 0 0 275.308 2.996 20 5 CFBDRN CCCOC(=O)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC000921513209 625963448 /nfs/dbraw/zinc/96/34/48/625963448.db2.gz PRTVUCYYRYQBLT-UHFFFAOYSA-N 0 0 261.180 2.798 20 5 CFBDRN O=C(NC1C[C@H]2CC[C@@H](C1)S2)c1ccc([N+](=O)[O-])s1 ZINC000888352886 626000533 /nfs/dbraw/zinc/00/05/33/626000533.db2.gz KLOVOXDHPYDAMM-CBLAIPOGSA-N 0 0 298.389 2.813 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CSC3=NCCS3)nc2c1 ZINC000026439974 626008812 /nfs/dbraw/zinc/00/88/12/626008812.db2.gz FNCOAIFLYUJHJK-UHFFFAOYSA-N 0 0 294.361 2.807 20 5 CFBDRN Cc1ccccc1CN(C)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000018081470 626098352 /nfs/dbraw/zinc/09/83/52/626098352.db2.gz UVAFWOORXBHHSH-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN CC(C)=CCNC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000922166554 626227733 /nfs/dbraw/zinc/22/77/33/626227733.db2.gz PUGBMQBIUBZWTN-LLVKDONJSA-N 0 0 277.324 2.921 20 5 CFBDRN CCc1onc(C)c1COC(=O)c1ccccc1[N+](=O)[O-] ZINC000922173751 626230863 /nfs/dbraw/zinc/23/08/63/626230863.db2.gz LLOHKSLQQQYQSR-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CSC2COC2)c1 ZINC000086194797 626258451 /nfs/dbraw/zinc/25/84/51/626258451.db2.gz QNFDRAMMVSZZRF-UHFFFAOYSA-N 0 0 259.714 2.880 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OCCOC2CC2)cc1[N+](=O)[O-] ZINC000922762795 626360277 /nfs/dbraw/zinc/36/02/77/626360277.db2.gz LITRJNHSFSNJIF-UHFFFAOYSA-N 0 0 299.710 2.892 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])N1CC=CC1 ZINC000822547567 626417026 /nfs/dbraw/zinc/41/70/26/626417026.db2.gz NWAMLLONXHOUHU-UHFFFAOYSA-N 0 0 280.711 2.579 20 5 CFBDRN Cc1c(COC(=O)[C@H](C)[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000923047883 626446438 /nfs/dbraw/zinc/44/64/38/626446438.db2.gz HFFFHXZHWJJYKV-RISCZKNCSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000923053430 626446693 /nfs/dbraw/zinc/44/66/93/626446693.db2.gz WZERHJINJLYDIF-RNCFNFMXSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000923055065 626446870 /nfs/dbraw/zinc/44/68/70/626446870.db2.gz VLWWJFLDNDFYTB-RNCFNFMXSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H](C)[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000923061021 626447416 /nfs/dbraw/zinc/44/74/16/626447416.db2.gz UBFAQUWNLQKQLK-SMDDNHRTSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc(F)cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000923056904 626447861 /nfs/dbraw/zinc/44/78/61/626447861.db2.gz DSENKZMGAIXSPD-RNCFNFMXSA-N 0 0 297.282 2.592 20 5 CFBDRN CC1(C)CCC(OC(=O)CCn2cc([N+](=O)[O-])cn2)CC1 ZINC000774763249 626482591 /nfs/dbraw/zinc/48/25/91/626482591.db2.gz YFBQJXJNQLZSEL-UHFFFAOYSA-N 0 0 295.339 2.693 20 5 CFBDRN CC[C@@](C)(CNC(=S)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000840791839 626485164 /nfs/dbraw/zinc/48/51/64/626485164.db2.gz HVMCCFKHKHSKKY-ZDUSSCGKSA-N 0 0 297.380 2.696 20 5 CFBDRN CC[C@@](C)(CO)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000838209114 626488628 /nfs/dbraw/zinc/48/86/28/626488628.db2.gz MDDNYNBLZSGOKH-YGRLFVJLSA-N 0 0 281.308 2.608 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NC1(C2CCC2)CCC1 ZINC000838179285 626488963 /nfs/dbraw/zinc/48/89/63/626488963.db2.gz VZLGBAPUUWOMKU-UHFFFAOYSA-N 0 0 289.335 2.630 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1cc(F)ccc1[N+](=O)[O-] ZINC000838254882 626491062 /nfs/dbraw/zinc/49/10/62/626491062.db2.gz HLGPCPSJCMVNHQ-QRTLGDNMSA-N 0 0 278.283 2.652 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])o1)c1ccc(F)cc1 ZINC000103053519 626494241 /nfs/dbraw/zinc/49/42/41/626494241.db2.gz IXQFYSOQGIEFCR-UHFFFAOYSA-N 0 0 292.266 2.992 20 5 CFBDRN C[C@H](C(=O)OCCOc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000838386859 626494896 /nfs/dbraw/zinc/49/48/96/626494896.db2.gz BEIAFFNQNWLAFE-NSHDSACASA-N 0 0 293.319 2.953 20 5 CFBDRN C[C@H](NC(=O)N1CC(C2CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000838626942 626502212 /nfs/dbraw/zinc/50/22/12/626502212.db2.gz PSQJYMUTZKODJS-JTQLQIEISA-N 0 0 289.335 2.707 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)cc1[N+](=O)[O-] ZINC000838970233 626517579 /nfs/dbraw/zinc/51/75/79/626517579.db2.gz BIJBERBQZRXJCU-BZPMIXESSA-N 0 0 291.303 2.514 20 5 CFBDRN CC[C@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1ccncc1 ZINC000839003168 626519784 /nfs/dbraw/zinc/51/97/84/626519784.db2.gz CKOGALSDWFSPBH-LBPRGKRZSA-N 0 0 289.291 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCC[C@@H]2COC[C@@H]21 ZINC000839187818 626530430 /nfs/dbraw/zinc/53/04/30/626530430.db2.gz PCZWRJJGEQNKMZ-YGRLFVJLSA-N 0 0 296.754 2.859 20 5 CFBDRN COCC1(C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCCC1 ZINC000839225634 626531624 /nfs/dbraw/zinc/53/16/24/626531624.db2.gz XSEGNDFWVHFRPC-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN CCC(C)(C)OCC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000839222474 626531691 /nfs/dbraw/zinc/53/16/91/626531691.db2.gz FQTRALFXPHCTPD-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cncnc1C1CC1 ZINC000839254159 626532509 /nfs/dbraw/zinc/53/25/09/626532509.db2.gz SQSSJRJPDUTTPB-UHFFFAOYSA-N 0 0 299.286 2.790 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CSC(F)F ZINC000839311134 626533529 /nfs/dbraw/zinc/53/35/29/626533529.db2.gz FSDWYGLUCDCJTR-UHFFFAOYSA-N 0 0 277.248 2.764 20 5 CFBDRN C[C@@H](OC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F)C1CC1 ZINC000839312627 626533573 /nfs/dbraw/zinc/53/35/73/626533573.db2.gz OMVRRBNTCLDAQA-MRVPVSSYSA-N 0 0 296.298 2.755 20 5 CFBDRN CCCCc1cnc(NC(=O)CCC(C)(C)[N+](=O)[O-])nc1 ZINC000839431965 626536302 /nfs/dbraw/zinc/53/63/02/626536302.db2.gz IDKVSLQEILNOEQ-UHFFFAOYSA-N 0 0 294.355 2.593 20 5 CFBDRN CN(CCC1(O)CCC1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000839506213 626538332 /nfs/dbraw/zinc/53/83/32/626538332.db2.gz OCWZIGZVSCQZNU-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN C[C@H]1CC[C@@H](COC(=O)c2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000789000832 626538824 /nfs/dbraw/zinc/53/88/24/626538824.db2.gz QGVFHRLNBILQMP-WPRPVWTQSA-N 0 0 299.710 2.973 20 5 CFBDRN Cn1cccc1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000756996922 626543188 /nfs/dbraw/zinc/54/31/88/626543188.db2.gz MEZDMFNNQACNNS-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCCc2ccc([N+](=O)[O-])cc2)O1 ZINC000757118704 626545275 /nfs/dbraw/zinc/54/52/75/626545275.db2.gz XAFPHECDSWFBID-ZIAGYGMSSA-N 0 0 293.319 2.638 20 5 CFBDRN CCCN(C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])[C@H]1CCc2ccccc21 ZINC000839599676 626547721 /nfs/dbraw/zinc/54/77/21/626547721.db2.gz PUYIOAUXXXULIF-QLFBSQMISA-N 0 0 288.347 2.578 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Oc2ccc(C)cc2[N+](=O)[O-])O1 ZINC000757304676 626547916 /nfs/dbraw/zinc/54/79/16/626547916.db2.gz LXOOJDXBPGCDTE-GWCFXTLKSA-N 0 0 279.292 2.766 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C(C)=C(C)C ZINC000757344631 626548729 /nfs/dbraw/zinc/54/87/29/626548729.db2.gz SRYZEKYQRBGBAP-UHFFFAOYSA-N 0 0 264.281 2.898 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CC2[N+](=O)[O-])ccc1-c1ccccc1 ZINC000839777257 626555048 /nfs/dbraw/zinc/55/50/48/626555048.db2.gz PXBNTPWMQCGMLL-ZIAGYGMSSA-N 0 0 297.314 2.661 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(OC)C1CCCC1 ZINC000757599398 626556258 /nfs/dbraw/zinc/55/62/58/626556258.db2.gz VRRHLYMYEVXNQK-UHFFFAOYSA-N 0 0 294.307 2.550 20 5 CFBDRN C[C@@H](CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1)C1CC1 ZINC000757606329 626556345 /nfs/dbraw/zinc/55/63/45/626556345.db2.gz OIYJUXCQCUEQAF-NSHDSACASA-N 0 0 288.347 2.916 20 5 CFBDRN Cc1cc(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)c(C)[nH]1 ZINC000757642651 626557009 /nfs/dbraw/zinc/55/70/09/626557009.db2.gz OZHVUCNGUOEHII-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])s1)c1ccncc1 ZINC000757669326 626557577 /nfs/dbraw/zinc/55/75/77/626557577.db2.gz XRUYGQOEVZCETR-QMMMGPOBSA-N 0 0 278.289 2.969 20 5 CFBDRN CCC[C@H](C)S(=O)(=O)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000757723411 626560761 /nfs/dbraw/zinc/56/07/61/626560761.db2.gz CONXRNWNBVOKNQ-QMMMGPOBSA-N 0 0 291.300 2.631 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000758088338 626575833 /nfs/dbraw/zinc/57/58/33/626575833.db2.gz XXCKMIYNPAUWKW-SSDOTTSWSA-N 0 0 270.260 2.519 20 5 CFBDRN CCc1cnc(COC(=O)c2ccc(F)cc2[N+](=O)[O-])o1 ZINC000758115965 626577377 /nfs/dbraw/zinc/57/73/77/626577377.db2.gz MAFAIDRIEQUFBE-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN C/C(=C\C(=O)NC1CC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000758288440 626587002 /nfs/dbraw/zinc/58/70/02/626587002.db2.gz OVYVFKVMEXGXPS-RMKNXTFCSA-N 0 0 296.273 2.912 20 5 CFBDRN CN(CCC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000758487036 626595450 /nfs/dbraw/zinc/59/54/50/626595450.db2.gz SRNMLAJOSXFDOA-UHFFFAOYSA-N 0 0 298.314 2.902 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cn2)cc1C ZINC000758516252 626596667 /nfs/dbraw/zinc/59/66/67/626596667.db2.gz SYTLGVDFADRZFM-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC1(CC(=O)OCc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000758829373 626611094 /nfs/dbraw/zinc/61/10/94/626611094.db2.gz MFCNHSWLLHBRCH-UHFFFAOYSA-N 0 0 267.256 2.967 20 5 CFBDRN O=c1c([N+](=O)[O-])cn(CC2CCCCCC2)cc1[N+](=O)[O-] ZINC000758867945 626614268 /nfs/dbraw/zinc/61/42/68/626614268.db2.gz YCNJREAEIYEFKA-UHFFFAOYSA-N 0 0 295.295 2.635 20 5 CFBDRN CC(C)(C)OCCCOC(=O)c1cccc([N+](=O)[O-])c1N ZINC000758963962 626620955 /nfs/dbraw/zinc/62/09/55/626620955.db2.gz FGULENPVIYBFSE-UHFFFAOYSA-N 0 0 296.323 2.539 20 5 CFBDRN CNc1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])cc1 ZINC000759621971 626676645 /nfs/dbraw/zinc/67/66/45/626676645.db2.gz RMWJWTHNZDSYAK-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@H]1CC12CCC2 ZINC000759973706 626705781 /nfs/dbraw/zinc/70/57/81/626705781.db2.gz CHJIOVZWYAUVPO-LLVKDONJSA-N 0 0 261.277 2.828 20 5 CFBDRN C[C@H]1CC/C(=C\C(=O)NCCc2cccc([N+](=O)[O-])c2)C1 ZINC000759995537 626707969 /nfs/dbraw/zinc/70/79/69/626707969.db2.gz QLIKUDHUTMUJLJ-SEVUAYLXSA-N 0 0 288.347 3.000 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000760015733 626709545 /nfs/dbraw/zinc/70/95/45/626709545.db2.gz HNRPMPZGPUVOIM-WDEREUQCSA-N 0 0 261.277 2.855 20 5 CFBDRN CCCCOCC(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000760017045 626709665 /nfs/dbraw/zinc/70/96/65/626709665.db2.gz LZFYBRQILXLWAL-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1cncc(F)c1 ZINC000760021643 626710276 /nfs/dbraw/zinc/71/02/76/626710276.db2.gz DJDARDZOZULZEK-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@@H]1CC12CCC2 ZINC000760147535 626716414 /nfs/dbraw/zinc/71/64/14/626716414.db2.gz GTECBWVXIUXPTC-VIFPVBQESA-N 0 0 264.256 2.863 20 5 CFBDRN CCO[C@@H](CC(=O)Oc1cccc([N+](=O)[O-])c1)C(C)C ZINC000760225502 626721574 /nfs/dbraw/zinc/72/15/74/626721574.db2.gz DELRTFTVCBGUII-ZDUSSCGKSA-N 0 0 281.308 2.951 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)c([N+](=O)[O-])cc2Cl)C[C@@H]1O ZINC000760267671 626727070 /nfs/dbraw/zinc/72/70/70/626727070.db2.gz IPQDKPDEKJGGHK-RNCFNFMXSA-N 0 0 284.743 2.764 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2ccc[nH]2)ccc1[N+](=O)[O-] ZINC000760408796 626742784 /nfs/dbraw/zinc/74/27/84/626742784.db2.gz FZOFOQJEVVHCEH-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000760416068 626743568 /nfs/dbraw/zinc/74/35/68/626743568.db2.gz CCWXQJMNZOJSKS-QMMMGPOBSA-N 0 0 277.255 2.553 20 5 CFBDRN C[C@@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000760425568 626745526 /nfs/dbraw/zinc/74/55/26/626745526.db2.gz DBEPWORNPHQBCG-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC23CCCC3)c(N)c([N+](=O)[O-])c1 ZINC000760591465 626757747 /nfs/dbraw/zinc/75/77/47/626757747.db2.gz WZMQPHFKPOMDSV-GFCCVEGCSA-N 0 0 289.335 2.548 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC23CCCC3)c(N)c([N+](=O)[O-])c1 ZINC000760591466 626757836 /nfs/dbraw/zinc/75/78/36/626757836.db2.gz WZMQPHFKPOMDSV-LBPRGKRZSA-N 0 0 289.335 2.548 20 5 CFBDRN O=C(OC[C@H]1CCCOC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000760719665 626766524 /nfs/dbraw/zinc/76/65/24/626766524.db2.gz QUSLVJHCXHSDBY-VIFPVBQESA-N 0 0 299.710 2.832 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1ccc[nH]1 ZINC000760742539 626769636 /nfs/dbraw/zinc/76/96/36/626769636.db2.gz ZSQUOPQDTWXZKR-ZETCQYMHSA-N 0 0 295.245 2.692 20 5 CFBDRN C[C@@H](Cc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1)C1CC1 ZINC000761244093 626818727 /nfs/dbraw/zinc/81/87/27/626818727.db2.gz HSQLHRLLBYDWNM-QMMMGPOBSA-N 0 0 288.307 2.816 20 5 CFBDRN Cc1nn(CN2CC=C(C)CC2)c2cc([N+](=O)[O-])ccc12 ZINC000761292915 626821946 /nfs/dbraw/zinc/82/19/46/626821946.db2.gz NQLFJMOVYWENCS-UHFFFAOYSA-N 0 0 286.335 2.862 20 5 CFBDRN O=C(O[C@@H]1CCCOC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000761352086 626827419 /nfs/dbraw/zinc/82/74/19/626827419.db2.gz RDGWCQQGOJLQOM-SECBINFHSA-N 0 0 285.683 2.584 20 5 CFBDRN O=C(O[C@@H]1CCCOC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000761354325 626828120 /nfs/dbraw/zinc/82/81/20/626828120.db2.gz UERQSOSYZKJWFQ-LLVKDONJSA-N 0 0 291.259 2.677 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000761466132 626838019 /nfs/dbraw/zinc/83/80/19/626838019.db2.gz LYCSOJCYVDZVRK-PWSUYJOCSA-N 0 0 291.303 2.643 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@H+]2CCC[C@H](CCO)C2)cc1Cl ZINC000761511051 626841675 /nfs/dbraw/zinc/84/16/75/626841675.db2.gz JATVZOKMOGNRFJ-LLVKDONJSA-N 0 0 298.770 2.843 20 5 CFBDRN CO[C@@H](C)Cc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000761639452 626850703 /nfs/dbraw/zinc/85/07/03/626850703.db2.gz RQOXUDUZHVEESQ-VIFPVBQESA-N 0 0 277.280 2.531 20 5 CFBDRN Cc1cc(F)cc(C(=O)OCC2CC2)c1[N+](=O)[O-] ZINC000761736337 626856051 /nfs/dbraw/zinc/85/60/51/626856051.db2.gz RDGVEELBZDAKJG-UHFFFAOYSA-N 0 0 253.229 2.609 20 5 CFBDRN O=C(NC1CCCCCCC1)c1ccc([N+](=O)[O-])cn1 ZINC000761784015 626858290 /nfs/dbraw/zinc/85/82/90/626858290.db2.gz NCWPDFMZJFCZHA-UHFFFAOYSA-N 0 0 277.324 2.833 20 5 CFBDRN CCC[C@H](C)c1noc(-c2c([N+](=O)[O-])c(C)nn2C)n1 ZINC000761847875 626863651 /nfs/dbraw/zinc/86/36/51/626863651.db2.gz HHFZPEMTXBEFLI-ZETCQYMHSA-N 0 0 279.300 2.590 20 5 CFBDRN COCCC(=O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000761865141 626866359 /nfs/dbraw/zinc/86/63/59/626866359.db2.gz OMTGODCHCIWIJI-UHFFFAOYSA-N 0 0 289.287 2.732 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCSC1 ZINC000762141381 626896888 /nfs/dbraw/zinc/89/68/88/626896888.db2.gz ALPMVGARMOXZRZ-VIFPVBQESA-N 0 0 297.332 2.513 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OC[C@H]1CCSC1 ZINC000762149780 626898051 /nfs/dbraw/zinc/89/80/51/626898051.db2.gz QBPZFIHXSCPPFC-GCLPIYDQSA-N 0 0 293.344 2.904 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CC[C@H](C)O2)c1 ZINC000762181162 626901124 /nfs/dbraw/zinc/90/11/24/626901124.db2.gz XLHDOFIZJWIEOO-QWRGUYRKSA-N 0 0 279.292 2.628 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(COc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000762252005 626907237 /nfs/dbraw/zinc/90/72/37/626907237.db2.gz IJNXBMMBVFUWIG-CBAPKCEASA-N 0 0 293.254 2.819 20 5 CFBDRN O=C(NCCCCF)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000936068698 649982978 /nfs/dbraw/zinc/98/29/78/649982978.db2.gz RZCKDQNCMBUQLK-CHWSQXEVSA-N 0 0 280.299 2.564 20 5 CFBDRN C/C(=C\C(=O)N[C@H]1C[C@@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000805243553 626909943 /nfs/dbraw/zinc/90/99/43/626909943.db2.gz ZVBRAKYZWLAUHT-BIVWETNQSA-N 0 0 296.273 2.768 20 5 CFBDRN Cc1nc(NC(=O)C=C2CCCC2)ccc1[N+](=O)[O-] ZINC000762339519 626911496 /nfs/dbraw/zinc/91/14/96/626911496.db2.gz BWLKVQAQSGAENJ-UHFFFAOYSA-N 0 0 261.281 2.737 20 5 CFBDRN O=C1O[C@H](CSc2ccc([N+](=O)[O-])cc2)CC12CC2 ZINC000762429458 626915797 /nfs/dbraw/zinc/91/57/97/626915797.db2.gz VPYLEPRWTVXFLM-JTQLQIEISA-N 0 0 279.317 2.783 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCC1=O ZINC000762434025 626916070 /nfs/dbraw/zinc/91/60/70/626916070.db2.gz QWKFELXXQIWOOW-DTWKUNHWSA-N 0 0 294.282 2.678 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCCc2ccccc2[N+](=O)[O-])O1 ZINC000762706405 626931450 /nfs/dbraw/zinc/93/14/50/626931450.db2.gz QKAPHSZHSSKIRH-JSGCOSHPSA-N 0 0 293.319 2.638 20 5 CFBDRN CC(C)OCCOC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000762895828 626948176 /nfs/dbraw/zinc/94/81/76/626948176.db2.gz DUQVJCHBGWZDKZ-UHFFFAOYSA-N 0 0 292.291 2.658 20 5 CFBDRN CC(C)COC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000762907306 626949431 /nfs/dbraw/zinc/94/94/31/626949431.db2.gz ZTBDWPMTOOFCML-UHFFFAOYSA-N 0 0 262.265 2.889 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CCOC[C@@H]2C)c1 ZINC000763052317 626959532 /nfs/dbraw/zinc/95/95/32/626959532.db2.gz KJEJOYBESKDCMO-GZMMTYOYSA-N 0 0 284.287 2.579 20 5 CFBDRN CCOC(=O)[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(C)(C)C ZINC000763070074 626961898 /nfs/dbraw/zinc/96/18/98/626961898.db2.gz DWRUXUYWHREETJ-GFCCVEGCSA-N 0 0 295.339 2.688 20 5 CFBDRN CC(=O)c1c(N(C)C[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000763202864 626970199 /nfs/dbraw/zinc/97/01/99/626970199.db2.gz IXSRDPMRJGDSDY-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@@]1(c2noc(-c3ccc([N+](=O)[O-])cc3)n2)CCCO1 ZINC000763327572 626979433 /nfs/dbraw/zinc/97/94/33/626979433.db2.gz YKRNQFDHESBKEH-ZDUSSCGKSA-N 0 0 275.264 2.670 20 5 CFBDRN C[C@]1(c2noc(-c3ccccc3[N+](=O)[O-])n2)CCCO1 ZINC000763330565 626980103 /nfs/dbraw/zinc/98/01/03/626980103.db2.gz LAFCIBUWWMXWKO-CYBMUJFWSA-N 0 0 275.264 2.670 20 5 CFBDRN CC(=O)c1ccc(N2CCOC(C)(C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000763377403 626984277 /nfs/dbraw/zinc/98/42/77/626984277.db2.gz XJRJUSLICPFBEB-LLVKDONJSA-N 0 0 292.335 2.801 20 5 CFBDRN CCOCCCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763405694 626985746 /nfs/dbraw/zinc/98/57/46/626985746.db2.gz WAJMKWPDZIRCPP-NSHDSACASA-N 0 0 281.308 2.668 20 5 CFBDRN C[C@@H](C(=O)OCC(=O)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000763405286 626985839 /nfs/dbraw/zinc/98/58/39/626985839.db2.gz LDCOLZFEEFJJRJ-SNVBAGLBSA-N 0 0 291.303 2.611 20 5 CFBDRN C[C@@H](C(=O)OCCCCCCO)c1cccc([N+](=O)[O-])c1 ZINC000763405912 626986369 /nfs/dbraw/zinc/98/63/69/626986369.db2.gz NERLAPXQIBCYQH-GFCCVEGCSA-N 0 0 295.335 2.794 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763407441 626986428 /nfs/dbraw/zinc/98/64/28/626986428.db2.gz WLGIHTNUYUEVFH-VHSXEESVSA-N 0 0 279.292 2.609 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@@]12CCOC2)c1ccc([N+](=O)[O-])cc1 ZINC000763427324 626988563 /nfs/dbraw/zinc/98/85/63/626988563.db2.gz YICHZROLGWTBDG-VZJVUDMVSA-N 0 0 291.303 2.626 20 5 CFBDRN Cn1ccc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)c1 ZINC000763514580 626993520 /nfs/dbraw/zinc/99/35/20/626993520.db2.gz NTLUBBOCICQUQG-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN CNc1ccc(C(=O)O[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000763541877 626996501 /nfs/dbraw/zinc/99/65/01/626996501.db2.gz KBIZZISSAIVKPK-VIFPVBQESA-N 0 0 266.297 2.838 20 5 CFBDRN C[C@H](C(=O)OC[C@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000763953583 627025757 /nfs/dbraw/zinc/02/57/57/627025757.db2.gz ZJAFWTIRBVHMSO-JBLDHEPKSA-N 0 0 263.293 2.898 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC/C(Cl)=C/Cl ZINC000763986809 627029467 /nfs/dbraw/zinc/02/94/67/627029467.db2.gz MDKDECIOZGGQLH-TWGQIWQCSA-N 0 0 290.102 3.000 20 5 CFBDRN C[C@H](C(=O)OC[C@@]1(C)CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000764014301 627032138 /nfs/dbraw/zinc/03/21/38/627032138.db2.gz XEOYMCAIQJSLGC-XHDPSFHLSA-N 0 0 293.319 2.811 20 5 CFBDRN C[C@@H](C(=O)OC[C@@]1(C)CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000764014298 627032144 /nfs/dbraw/zinc/03/21/44/627032144.db2.gz XEOYMCAIQJSLGC-IAQYHMDHSA-N 0 0 293.319 2.811 20 5 CFBDRN CN(CC(=O)OCC1(C)CCC1)c1ccccc1[N+](=O)[O-] ZINC000764119289 627039837 /nfs/dbraw/zinc/03/98/37/627039837.db2.gz DNYZXUAVCDDPSP-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN C[C@H](C[C@@H](O)c1ccco1)Nc1ccc([N+](=O)[O-])nc1 ZINC000764167838 627043019 /nfs/dbraw/zinc/04/30/19/627043019.db2.gz ZBOMZBCISJRTQG-MWLCHTKSSA-N 0 0 277.280 2.507 20 5 CFBDRN CS/C=C\C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000764224828 627045987 /nfs/dbraw/zinc/04/59/87/627045987.db2.gz RXBFBYXBSALDAF-SREVYHEPSA-N 0 0 253.279 2.515 20 5 CFBDRN CS/C=C\C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000764230905 627046654 /nfs/dbraw/zinc/04/66/54/627046654.db2.gz ROUOGSXFEOFCML-PLNGDYQASA-N 0 0 267.306 2.994 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H]1CCCC1(F)F ZINC000805291942 627089795 /nfs/dbraw/zinc/08/97/95/627089795.db2.gz GGJQFOPWZWJOFB-ZCRIDZFUSA-N 0 0 296.273 2.912 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@@]12CCOC2)c1ccccc1[N+](=O)[O-] ZINC000764761720 627090996 /nfs/dbraw/zinc/09/09/96/627090996.db2.gz RFCXLNSRDKBEGQ-ITDIGPHOSA-N 0 0 291.303 2.626 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1C[C@@]12CCOC2 ZINC000764759631 627091075 /nfs/dbraw/zinc/09/10/75/627091075.db2.gz LTODWVQKYSYOSS-NOZJJQNGSA-N 0 0 297.694 2.580 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)[nH]n2)c1 ZINC000765029067 627114201 /nfs/dbraw/zinc/11/42/01/627114201.db2.gz PXYRGAURDSGVDO-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1nc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cs1 ZINC000765140149 627121982 /nfs/dbraw/zinc/12/19/82/627121982.db2.gz XXKSSBHCJUYMJC-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN CNc1c(C(=O)O[C@@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC000765522653 627144701 /nfs/dbraw/zinc/14/47/01/627144701.db2.gz PDDSXKUJVKJLSR-SNVBAGLBSA-N 0 0 276.292 2.902 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](C)c2ccncc2)n1 ZINC000765514057 627144772 /nfs/dbraw/zinc/14/47/72/627144772.db2.gz GVCTVFCAFCEQRP-SNVBAGLBSA-N 0 0 272.308 2.909 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)C[C@@H](O)c2ccco2)n1 ZINC000765526907 627145264 /nfs/dbraw/zinc/14/52/64/627145264.db2.gz PMUTVAJUIXRHSX-ZYHUDNBSSA-N 0 0 291.307 2.815 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC(C(F)F)CC2)nc2ccccn21 ZINC000765608690 627150552 /nfs/dbraw/zinc/15/05/52/627150552.db2.gz IWJDVOUSFUKERO-UHFFFAOYSA-N 0 0 296.277 2.724 20 5 CFBDRN CCCCOCCOC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000765965122 627167724 /nfs/dbraw/zinc/16/77/24/627167724.db2.gz DKQPLKALTWTTMQ-UHFFFAOYSA-N 0 0 296.323 2.610 20 5 CFBDRN COc1cc(COC(=O)c2ccoc2)c([N+](=O)[O-])cc1F ZINC000766180785 627182195 /nfs/dbraw/zinc/18/21/95/627182195.db2.gz KYNJFXQJDVCURX-UHFFFAOYSA-N 0 0 295.222 2.693 20 5 CFBDRN Cc1c([C@@H](C)NCc2ccon2)cccc1[N+](=O)[O-] ZINC000766422003 627201987 /nfs/dbraw/zinc/20/19/87/627201987.db2.gz ZEDBIJGGSKIQIS-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])c3nonc32)C[C@@H]1C ZINC000766443159 627203552 /nfs/dbraw/zinc/20/35/52/627203552.db2.gz KKAYYUIHWMYSFE-BDAKNGLRSA-N 0 0 276.296 2.613 20 5 CFBDRN Cc1cccc([C@H](C)OC(=O)c2c([N+](=O)[O-])cnn2C)c1 ZINC000766651290 627213051 /nfs/dbraw/zinc/21/30/51/627213051.db2.gz ROAZTKUEOIZFEP-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1cccc([C@@H](C)OC(=O)c2c([N+](=O)[O-])cnn2C)c1 ZINC000766651291 627213209 /nfs/dbraw/zinc/21/32/09/627213209.db2.gz ROAZTKUEOIZFEP-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@@H](O)c2ccccc2F)nc1C1CC1 ZINC000767058007 627232915 /nfs/dbraw/zinc/23/29/15/627232915.db2.gz QMTFRCWGFNQYQY-CYBMUJFWSA-N 0 0 291.282 2.541 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@H]1CC1(Cl)Cl ZINC000767212648 627239531 /nfs/dbraw/zinc/23/95/31/627239531.db2.gz JQYIWLWYXBNHKB-RXMQYKEDSA-N 0 0 297.525 2.604 20 5 CFBDRN Cn1ccnc1[C@H]1CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000767453193 627252406 /nfs/dbraw/zinc/25/24/06/627252406.db2.gz FUQWWYKOJCAIEQ-JTQLQIEISA-N 0 0 292.364 2.774 20 5 CFBDRN O=C(Cc1ccco1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000767508823 627256176 /nfs/dbraw/zinc/25/61/76/627256176.db2.gz AUAGBKPRWDJTEL-UHFFFAOYSA-N 0 0 279.223 2.613 20 5 CFBDRN CC[C@H](SC)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000767672077 627273329 /nfs/dbraw/zinc/27/33/29/627273329.db2.gz DSNPVFLHWGLDRL-LBPRGKRZSA-N 0 0 299.348 2.658 20 5 CFBDRN CC[C@H](SC)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000767676590 627273735 /nfs/dbraw/zinc/27/37/35/627273735.db2.gz LVQSUDKJYGONOJ-LBPRGKRZSA-N 0 0 299.348 2.658 20 5 CFBDRN Cc1cc(CN2c3ccc([N+](=O)[O-])cc3C[C@H]2C)nn1C ZINC000767810286 627287077 /nfs/dbraw/zinc/28/70/77/627287077.db2.gz JZUBUYBKECEJKO-LLVKDONJSA-N 0 0 286.335 2.588 20 5 CFBDRN CC(=O)CC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000769239862 627414925 /nfs/dbraw/zinc/41/49/25/627414925.db2.gz FJSJPMALPZCMOF-UHFFFAOYSA-N 0 0 291.303 2.787 20 5 CFBDRN Cc1c(COC(=O)[C@@H]2CCOC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000769272170 627418011 /nfs/dbraw/zinc/41/80/11/627418011.db2.gz XGOZNPYUZXCNJE-GXFFZTMASA-N 0 0 293.319 2.619 20 5 CFBDRN CCC(=O)COC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000769886107 627484946 /nfs/dbraw/zinc/48/49/46/627484946.db2.gz FFHIZZSBMKSPEY-UHFFFAOYSA-N 0 0 279.292 2.656 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCC(F)F ZINC000769891726 627485409 /nfs/dbraw/zinc/48/54/09/627485409.db2.gz ZSNHBEGLASXYEU-UHFFFAOYSA-N 0 0 273.235 2.942 20 5 CFBDRN CO[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000770018105 627496663 /nfs/dbraw/zinc/49/66/63/627496663.db2.gz GKGMMPLSAYJYCO-SECBINFHSA-N 0 0 299.714 2.501 20 5 CFBDRN CC[S@](=O)CCNc1c(C)cc([N+](=O)[O-])cc1Cl ZINC000770096884 627501792 /nfs/dbraw/zinc/50/17/92/627501792.db2.gz OJAWPODTBOYHET-SFHVURJKSA-N 0 0 290.772 2.737 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)Cc1cc[nH]n1 ZINC000770096829 627501909 /nfs/dbraw/zinc/50/19/09/627501909.db2.gz NKJFWBJPCOCDDV-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN Cn1ncc(Nc2ncc([N+](=O)[O-])cc2Cl)c1C1CC1 ZINC000770130371 627503996 /nfs/dbraw/zinc/50/39/96/627503996.db2.gz JXRYPFIRASONJZ-UHFFFAOYSA-N 0 0 293.714 2.998 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCCOC(C)C)c1 ZINC000770442719 627522197 /nfs/dbraw/zinc/52/21/97/627522197.db2.gz DYXONYAVHGVXPK-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C1=CCCCO1 ZINC000770478291 627524830 /nfs/dbraw/zinc/52/48/30/627524830.db2.gz ROZOLXQBAGTRMQ-UHFFFAOYSA-N 0 0 276.292 2.844 20 5 CFBDRN O=C(OCC1(O)CCCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000770886219 627554670 /nfs/dbraw/zinc/55/46/70/627554670.db2.gz IRQZPBMIKZGYAW-UHFFFAOYSA-N 0 0 299.710 2.710 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCO[C@@H](C)C2)c1 ZINC000771258514 627589141 /nfs/dbraw/zinc/58/91/41/627589141.db2.gz IMXLOWMNONWRJH-VHSXEESVSA-N 0 0 298.314 2.970 20 5 CFBDRN C/C=C/C=C\C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771483147 627607617 /nfs/dbraw/zinc/60/76/17/627607617.db2.gz YZPJQDCSWVUOKB-IAROGAJJSA-N 0 0 251.213 2.772 20 5 CFBDRN Cc1ncc(CCNc2nccc(C)c2[N+](=O)[O-])s1 ZINC000771921542 627648086 /nfs/dbraw/zinc/64/80/86/627648086.db2.gz IBFMONNZTLWZLD-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN C[C@H]1CCC[C@H](CCOC(=O)c2nn(C)cc2[N+](=O)[O-])C1 ZINC000772056744 627668877 /nfs/dbraw/zinc/66/88/77/627668877.db2.gz KXPAONCUYCVNGU-WDEREUQCSA-N 0 0 295.339 2.702 20 5 CFBDRN COc1cccc(NC[C@@H](OC)C(F)(F)F)c1[N+](=O)[O-] ZINC000772065312 627669576 /nfs/dbraw/zinc/66/95/76/627669576.db2.gz BURYEKCVSGLCLX-SECBINFHSA-N 0 0 294.229 2.593 20 5 CFBDRN Cc1ccc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)nc1 ZINC000772272295 627695541 /nfs/dbraw/zinc/69/55/41/627695541.db2.gz MQRYMSBCDNDMPU-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCc1ccc(C(=O)Nc2ncc(C)cn2)cc1[N+](=O)[O-] ZINC000772325709 627702080 /nfs/dbraw/zinc/70/20/80/627702080.db2.gz ABABUFXIWGQTIB-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CC(=O)CCCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000772368052 627704555 /nfs/dbraw/zinc/70/45/55/627704555.db2.gz FPHUGAJTEFQZPA-UHFFFAOYSA-N 0 0 283.255 2.536 20 5 CFBDRN O=[N+]([O-])c1c(Sc2ccncc2)nc2ccccn21 ZINC000772944998 627760427 /nfs/dbraw/zinc/76/04/27/627760427.db2.gz FETGKUROWPTGIY-UHFFFAOYSA-N 0 0 272.289 2.789 20 5 CFBDRN COCCC1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000772975905 627764087 /nfs/dbraw/zinc/76/40/87/627764087.db2.gz XEJOQLQPYMAIGE-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1nnc(CNc2cc([N+](=O)[O-])ccc2F)s1 ZINC000773202154 627795274 /nfs/dbraw/zinc/79/52/74/627795274.db2.gz UCEHNPJSBPNWHB-UHFFFAOYSA-N 0 0 268.273 2.506 20 5 CFBDRN CCC(=O)OCc1ccc(Br)c([N+](=O)[O-])c1 ZINC000773359807 627808919 /nfs/dbraw/zinc/80/89/19/627808919.db2.gz BDLJTEBRWRVYGJ-UHFFFAOYSA-N 0 0 288.097 2.811 20 5 CFBDRN Cc1cccc(C(=O)NOc2ccccc2)c1[N+](=O)[O-] ZINC000773465801 627823250 /nfs/dbraw/zinc/82/32/50/627823250.db2.gz INWBZZIPYDHMLN-UHFFFAOYSA-N 0 0 272.260 2.627 20 5 CFBDRN Cc1ccc(C(=O)NOc2ccccc2)cc1[N+](=O)[O-] ZINC000773464351 627823484 /nfs/dbraw/zinc/82/34/84/627823484.db2.gz GLAVYQLGXUNUIE-UHFFFAOYSA-N 0 0 272.260 2.627 20 5 CFBDRN Cc1ccncc1[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000773934467 627871727 /nfs/dbraw/zinc/87/17/27/627871727.db2.gz RWQRRGMDZACKDV-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccoc1)CC2 ZINC000773991186 627876774 /nfs/dbraw/zinc/87/67/74/627876774.db2.gz IUUQDKQCBZGTAR-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H]1[C@@H]3CCC[C@@H]31)CC2 ZINC000773991902 627876782 /nfs/dbraw/zinc/87/67/82/627876782.db2.gz ZLSZCLZCWMHGCT-JYAVWHMHSA-N 0 0 286.331 2.838 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H](C)C1CC1)CC2 ZINC000773995729 627876989 /nfs/dbraw/zinc/87/69/89/627876989.db2.gz IAMASXAMPCVWGI-SNVBAGLBSA-N 0 0 274.320 2.838 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCOC[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000774064246 627883642 /nfs/dbraw/zinc/88/36/42/627883642.db2.gz MGPXSUOMFYRFQD-WOPDTQHZSA-N 0 0 293.319 2.872 20 5 CFBDRN CCOc1nc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)co1 ZINC000774245857 627908286 /nfs/dbraw/zinc/90/82/86/627908286.db2.gz QXUUFFPKCQNIIT-UHFFFAOYSA-N 0 0 292.247 2.509 20 5 CFBDRN Cc1cc(NCc2cc[nH]n2)c(Cl)cc1[N+](=O)[O-] ZINC000774514118 627933445 /nfs/dbraw/zinc/93/34/45/627933445.db2.gz LTWSVDQDGHPJOW-UHFFFAOYSA-N 0 0 266.688 2.892 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(Cc1ccccn1)CC2 ZINC000774543587 627936728 /nfs/dbraw/zinc/93/67/28/627936728.db2.gz YSOMTWRDCPQKEC-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1cccc(C(=O)O[C@H](C)C[C@H]2CCOC2)c1[N+](=O)[O-] ZINC000774723416 627952996 /nfs/dbraw/zinc/95/29/96/627952996.db2.gz XSUQRRQQYDOWHY-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCC1=O ZINC000775494597 628031583 /nfs/dbraw/zinc/03/15/83/628031583.db2.gz XLHNJHCIOVLLBI-RKDXNWHRSA-N 0 0 295.266 2.645 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000775668464 628052281 /nfs/dbraw/zinc/05/22/81/628052281.db2.gz AEYJTEQXFWKAFH-SNVBAGLBSA-N 0 0 292.364 2.774 20 5 CFBDRN COc1cccnc1NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000776252900 628122710 /nfs/dbraw/zinc/12/27/10/628122710.db2.gz GISOUTVDMJIEJZ-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](O)COc2cccc(F)c2)cc1 ZINC000776276727 628125200 /nfs/dbraw/zinc/12/52/00/628125200.db2.gz JKVNKMVKADTORE-AWEZNQCLSA-N 0 0 277.251 2.846 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000776311001 628130048 /nfs/dbraw/zinc/13/00/48/628130048.db2.gz WMXJVGMZTWXOPS-UWVGGRQHSA-N 0 0 297.282 2.592 20 5 CFBDRN O=C(OCc1cncs1)c1cc([N+](=O)[O-])ccc1F ZINC000776353385 628134442 /nfs/dbraw/zinc/13/44/42/628134442.db2.gz DVNMJGDDNGURET-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCCCCCO ZINC000776379720 628138938 /nfs/dbraw/zinc/13/89/38/628138938.db2.gz RLNNZPGKKNZIMG-UHFFFAOYSA-N 0 0 281.308 2.613 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000776406055 628144611 /nfs/dbraw/zinc/14/46/11/628144611.db2.gz FZVFNDYZAHDNKR-UWVGGRQHSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000776513843 628154867 /nfs/dbraw/zinc/15/48/67/628154867.db2.gz TUYMTNUOVOATBO-BDAKNGLRSA-N 0 0 299.710 2.969 20 5 CFBDRN CSc1cccc(C(=O)O[C@@H]2CCSC2)c1[N+](=O)[O-] ZINC000777306526 628212899 /nfs/dbraw/zinc/21/28/99/628212899.db2.gz FKLUBZOHPQXRLG-MRVPVSSYSA-N 0 0 299.373 2.979 20 5 CFBDRN CC1(C)[C@H](O)C[C@@H]1Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000777806966 628254108 /nfs/dbraw/zinc/25/41/08/628254108.db2.gz CJCSBAMVNYMVTK-WDEREUQCSA-N 0 0 293.348 2.776 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000777939517 628266721 /nfs/dbraw/zinc/26/67/21/628266721.db2.gz FWFTVJBZLOGPLN-SECBINFHSA-N 0 0 286.278 2.944 20 5 CFBDRN COc1ccc(C(=O)NOC2CCCCC2)cc1[N+](=O)[O-] ZINC000777964558 628268667 /nfs/dbraw/zinc/26/86/67/628268667.db2.gz KWKUPIOITOHBOE-UHFFFAOYSA-N 0 0 294.307 2.598 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C)[C@H](F)C1 ZINC000777998855 628271577 /nfs/dbraw/zinc/27/15/77/628271577.db2.gz FHBGXEFPNVRMNR-ZWNOBZJWSA-N 0 0 282.315 2.926 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCO[C@@H](C(C)C)C2)c1 ZINC000778008430 628272401 /nfs/dbraw/zinc/27/24/01/628272401.db2.gz GOFOOFNAJUILRU-CYBMUJFWSA-N 0 0 298.314 2.604 20 5 CFBDRN CC(=O)c1ccc(N2CCO[C@@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000778008718 628272415 /nfs/dbraw/zinc/27/24/15/628272415.db2.gz IDYLMDOQUXATPT-OAHLLOKOSA-N 0 0 292.335 2.659 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCO[C@@H](C(C)C)C1 ZINC000778008422 628272515 /nfs/dbraw/zinc/27/25/15/628272515.db2.gz GIKZINLAUPFUBK-OAHLLOKOSA-N 0 0 294.351 2.602 20 5 CFBDRN CC[C@@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1Cl ZINC000778093182 628276940 /nfs/dbraw/zinc/27/69/40/628276940.db2.gz QBUSVTPOOOJTPU-LLVKDONJSA-N 0 0 287.699 2.716 20 5 CFBDRN O=C(OCC1CC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778169979 628282982 /nfs/dbraw/zinc/28/29/82/628282982.db2.gz PCQLTSCBHWHQOQ-UHFFFAOYSA-N 0 0 271.219 2.640 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778304136 628295787 /nfs/dbraw/zinc/29/57/87/628295787.db2.gz PXZBEYCTSTXKPY-BDAKNGLRSA-N 0 0 285.246 2.886 20 5 CFBDRN Cc1cccc(C(=O)OC[C@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000778363789 628299633 /nfs/dbraw/zinc/29/96/33/628299633.db2.gz DZIYEXNQPFLQBE-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCO[C@H](C)C2)c1 ZINC000778374969 628302207 /nfs/dbraw/zinc/30/22/07/628302207.db2.gz WRAXQSYWTRREQO-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000778375835 628302217 /nfs/dbraw/zinc/30/22/17/628302217.db2.gz ZDRUJPGFVYOEDH-UWVGGRQHSA-N 0 0 297.282 2.706 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778418384 628306222 /nfs/dbraw/zinc/30/62/22/628306222.db2.gz DPHMVKSINWROLP-GFCCVEGCSA-N 0 0 298.289 2.944 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@H](O)C(C)(C)C ZINC000778557093 628315456 /nfs/dbraw/zinc/31/54/56/628315456.db2.gz MWXMJBMXJCDTCO-MFKMUULPSA-N 0 0 295.335 2.914 20 5 CFBDRN COc1cc(COC(=O)/C=C/C2CC2)ccc1[N+](=O)[O-] ZINC000778812973 628333499 /nfs/dbraw/zinc/33/34/99/628333499.db2.gz SKVAORMJFIUWNJ-FNORWQNLSA-N 0 0 277.276 2.613 20 5 CFBDRN COc1cc(COC(=O)[C@@H]2CC23CCC3)ccc1[N+](=O)[O-] ZINC000778819863 628333887 /nfs/dbraw/zinc/33/38/87/628333887.db2.gz LTNRMDAPORCBAH-NSHDSACASA-N 0 0 291.303 2.837 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000778826758 628335360 /nfs/dbraw/zinc/33/53/60/628335360.db2.gz MADMOLSBCMSMSP-GFCCVEGCSA-N 0 0 299.348 2.788 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1CCO[C@@H]1C ZINC000778839304 628335627 /nfs/dbraw/zinc/33/56/27/628335627.db2.gz HGQBHIWMNROKNY-NTZNESFSSA-N 0 0 293.319 2.932 20 5 CFBDRN COCC1(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000778874056 628337806 /nfs/dbraw/zinc/33/78/06/628337806.db2.gz UWAQUBRUZFCXAV-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000778901115 628339162 /nfs/dbraw/zinc/33/91/62/628339162.db2.gz YKCDSXCDPZPZAX-MRVPVSSYSA-N 0 0 281.264 2.526 20 5 CFBDRN COCC1(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000779198096 628359787 /nfs/dbraw/zinc/35/97/87/628359787.db2.gz FEOZQUCQMATAAG-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc32)C1 ZINC000779263870 628366514 /nfs/dbraw/zinc/36/65/14/628366514.db2.gz OBPYLVLWHANSTG-PSASIEDQSA-N 0 0 289.291 2.817 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)C1 ZINC000779271626 628367830 /nfs/dbraw/zinc/36/78/30/628367830.db2.gz VHQVQVDPPORKEU-BDAKNGLRSA-N 0 0 293.275 2.669 20 5 CFBDRN CC[C@@H]1C[C@H](OC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000779293946 628370370 /nfs/dbraw/zinc/37/03/70/628370370.db2.gz CXLYKOSIXRLNPJ-GHMZBOCLSA-N 0 0 279.292 2.709 20 5 CFBDRN CC[C@H]1C[C@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000779303128 628372302 /nfs/dbraw/zinc/37/23/02/628372302.db2.gz VVBIHUOKDLJULR-UONOGXRCSA-N 0 0 293.319 2.638 20 5 CFBDRN CCCN(c1nc2ccccn2c1[N+](=O)[O-])C1CC1 ZINC000779427011 628381230 /nfs/dbraw/zinc/38/12/30/628381230.db2.gz VRASOBZOMAIXPC-UHFFFAOYSA-N 0 0 260.297 2.621 20 5 CFBDRN C[C@H](CNC(=O)c1c(N)cccc1[N+](=O)[O-])C(C)(C)C ZINC000779522139 628386265 /nfs/dbraw/zinc/38/62/65/628386265.db2.gz SFWWRAWTMGJNSY-SECBINFHSA-N 0 0 279.340 2.589 20 5 CFBDRN Cc1cnc(C(=O)Oc2cccc([N+](=O)[O-])c2)c(C)c1 ZINC000779712240 628394724 /nfs/dbraw/zinc/39/47/24/628394724.db2.gz DVQVOKDQTXSIMH-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CCOC1CC(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000805644091 628396493 /nfs/dbraw/zinc/39/64/93/628396493.db2.gz VJRQTOHBJOIFQR-UHFFFAOYSA-N 0 0 297.282 2.592 20 5 CFBDRN CC/C(C)=C(\C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000779756163 628399982 /nfs/dbraw/zinc/39/99/82/628399982.db2.gz XQYSJQJGTXCQBF-VAWYXSNFSA-N 0 0 291.351 2.869 20 5 CFBDRN CC/C(C)=C(\C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000779851879 628413871 /nfs/dbraw/zinc/41/38/71/628413871.db2.gz ZFEHEJGIPPTCBC-ZHACJKMWSA-N 0 0 262.309 2.957 20 5 CFBDRN CCc1ccc(CN(C)Cn2cc([N+](=O)[O-])c(C)n2)cc1 ZINC000779852934 628414306 /nfs/dbraw/zinc/41/43/06/628414306.db2.gz CDYJTLMLLAMMPV-UHFFFAOYSA-N 0 0 288.351 2.752 20 5 CFBDRN CC/C(C)=C(/C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000779855387 628414379 /nfs/dbraw/zinc/41/43/79/628414379.db2.gz JRFMGMRFMHIAMB-KHPPLWFESA-N 0 0 262.309 2.957 20 5 CFBDRN Cc1nn(CN2CCCc3c(C)cccc32)cc1[N+](=O)[O-] ZINC000779867391 628415264 /nfs/dbraw/zinc/41/52/64/628415264.db2.gz IBRAZYHSNJBRFW-UHFFFAOYSA-N 0 0 286.335 2.818 20 5 CFBDRN Cc1cnc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c(C)c1 ZINC000779878258 628416014 /nfs/dbraw/zinc/41/60/14/628416014.db2.gz DCGUOWZWAJMVEB-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC(C)(C)CCOC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780073746 628430569 /nfs/dbraw/zinc/43/05/69/628430569.db2.gz DQWXRGCZUPRFNS-UHFFFAOYSA-N 0 0 267.281 2.893 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000780354858 628457938 /nfs/dbraw/zinc/45/79/38/628457938.db2.gz XQNPHKPBONHMDP-ZYHUDNBSSA-N 0 0 277.324 2.583 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)O[C@H]1C=CCC1 ZINC000780560273 628477381 /nfs/dbraw/zinc/47/73/81/628477381.db2.gz OVBZFBIRUWXUPD-ZDUSSCGKSA-N 0 0 286.287 2.811 20 5 CFBDRN O=C(O[C@@H]1C=CCC1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 ZINC000780563270 628477676 /nfs/dbraw/zinc/47/76/76/628477676.db2.gz RRRBIKWIDFJYGH-CQSZACIVSA-N 0 0 299.286 2.656 20 5 CFBDRN C[C@H]1CC[C@H](Nc2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000780628577 628483165 /nfs/dbraw/zinc/48/31/65/628483165.db2.gz WUKOOFONIWJVLY-UWVGGRQHSA-N 0 0 260.297 2.843 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)CC(C)C ZINC000780634371 628483708 /nfs/dbraw/zinc/48/37/08/628483708.db2.gz NZQVQKQCTPTTBB-UHFFFAOYSA-N 0 0 281.308 2.871 20 5 CFBDRN CC[C@@H](C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1C ZINC000780635529 628483908 /nfs/dbraw/zinc/48/39/08/628483908.db2.gz YQDBXHUKXQBQQN-SNVBAGLBSA-N 0 0 281.308 2.871 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)C1(C)CC1 ZINC000780635345 628483939 /nfs/dbraw/zinc/48/39/39/628483939.db2.gz WLMQZQHFZWMQOH-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CC/C(C)=C(/C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000780855277 628500345 /nfs/dbraw/zinc/50/03/45/628500345.db2.gz IHQZKZPYZBYTHN-QXMHVHEDSA-N 0 0 291.351 2.869 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OCCCC(C)(C)C ZINC000781168326 628528287 /nfs/dbraw/zinc/52/82/87/628528287.db2.gz FMBUEXZDXDDRIY-UHFFFAOYSA-N 0 0 297.355 2.859 20 5 CFBDRN Cc1ncoc1C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000781171891 628528830 /nfs/dbraw/zinc/52/88/30/628528830.db2.gz QRZHAAFSMUCZOR-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1cc(COC(=O)C2(C)CCOCC2)ccc1[N+](=O)[O-] ZINC000781170352 628528920 /nfs/dbraw/zinc/52/89/20/628528920.db2.gz MGKYJEQDUSEFMY-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN COC1CCC(C(=O)OCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000781239853 628535302 /nfs/dbraw/zinc/53/53/02/628535302.db2.gz RWQLQTNMAOKISL-UHFFFAOYSA-N 0 0 293.319 2.843 20 5 CFBDRN C[C@H](OC(=O)/C=C/c1ccncn1)c1ccccc1[N+](=O)[O-] ZINC000781458137 628549921 /nfs/dbraw/zinc/54/99/21/628549921.db2.gz IULNMBXGCBTTAJ-MLRMMBSGSA-N 0 0 299.286 2.702 20 5 CFBDRN CC(C)C[C@@H](C)C[C@H](C)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000781482069 628552975 /nfs/dbraw/zinc/55/29/75/628552975.db2.gz RWGGUJGXIFDCCD-NEPJUHHUSA-N 0 0 297.355 2.795 20 5 CFBDRN CC(C)C[C@@H](C)C[C@@H](C)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000781482752 628553002 /nfs/dbraw/zinc/55/30/02/628553002.db2.gz FNABGRVFMZOJQC-VXGBXAGGSA-N 0 0 297.355 2.795 20 5 CFBDRN C/C=C/COC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000781712913 628575293 /nfs/dbraw/zinc/57/52/93/628575293.db2.gz MHBSPGRKEOZRJG-NSCUHMNNSA-N 0 0 287.218 2.929 20 5 CFBDRN CSC1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000781869651 628586973 /nfs/dbraw/zinc/58/69/73/628586973.db2.gz SKGZWGNZOYNVLI-UHFFFAOYSA-N 0 0 281.333 2.924 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)o1 ZINC000781966112 628593991 /nfs/dbraw/zinc/59/39/91/628593991.db2.gz HVLOUYLWTNYPDF-UHFFFAOYSA-N 0 0 292.222 2.782 20 5 CFBDRN COCCSCCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000781987039 628595521 /nfs/dbraw/zinc/59/55/21/628595521.db2.gz JHHQACCKTCYYGQ-UHFFFAOYSA-N 0 0 299.348 2.521 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000782009326 628596549 /nfs/dbraw/zinc/59/65/49/628596549.db2.gz UXUBFDPQAPFCPQ-MRVPVSSYSA-N 0 0 287.699 2.830 20 5 CFBDRN COCc1cc(Oc2ccc([N+](=O)[O-])c(Cl)c2)ncn1 ZINC000782136657 628606841 /nfs/dbraw/zinc/60/68/41/628606841.db2.gz VLBDCRMAPVCNFV-UHFFFAOYSA-N 0 0 295.682 2.977 20 5 CFBDRN CO[C@H](C)CCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000782252056 628619474 /nfs/dbraw/zinc/61/94/74/628619474.db2.gz RHCKKMJFSRHSLZ-SECBINFHSA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ccc2cc[nH]c2n1 ZINC000782365967 628632747 /nfs/dbraw/zinc/63/27/47/628632747.db2.gz YZAPYFQPMRHTKA-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ncsc3C2)cc1 ZINC000782418568 628636507 /nfs/dbraw/zinc/63/65/07/628636507.db2.gz NSEVGLVIKMDBCY-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN C[C@@H]1C[C@@H](CN2CCc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000783193679 628684161 /nfs/dbraw/zinc/68/41/61/628684161.db2.gz MKRGWHKGNZIOPC-NEPJUHHUSA-N 0 0 276.336 2.772 20 5 CFBDRN C[C@H](OCC1CC1)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783204407 628685495 /nfs/dbraw/zinc/68/54/95/628685495.db2.gz RWAPFKXBRQPDAZ-VIFPVBQESA-N 0 0 297.282 2.592 20 5 CFBDRN CCCCO[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783209349 628686125 /nfs/dbraw/zinc/68/61/25/628686125.db2.gz FQHFRDGUYHPCEX-SNVBAGLBSA-N 0 0 299.298 2.982 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccnc(F)c1 ZINC000783256098 628693764 /nfs/dbraw/zinc/69/37/64/628693764.db2.gz IYOICSJXFKLUOS-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000783440627 628707970 /nfs/dbraw/zinc/70/79/70/628707970.db2.gz VNUMOUMFDJNGSK-UWVGGRQHSA-N 0 0 297.282 2.706 20 5 CFBDRN CC[C@H](C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000783685741 628734870 /nfs/dbraw/zinc/73/48/70/628734870.db2.gz RFKSVAGBVIVPJK-USKTWTLRSA-N 0 0 262.309 2.913 20 5 CFBDRN C/C(=C\C(=O)NCC(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000783693050 628735474 /nfs/dbraw/zinc/73/54/74/628735474.db2.gz HQZLNPWSMIWGFX-DHZHZOJOSA-N 0 0 262.309 2.770 20 5 CFBDRN CSCCCNC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784013457 628763298 /nfs/dbraw/zinc/76/32/98/628763298.db2.gz IRCXHZLFNDYKDK-ZHACJKMWSA-N 0 0 294.376 2.867 20 5 CFBDRN CSCCCNC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784013455 628763719 /nfs/dbraw/zinc/76/37/19/628763719.db2.gz IRCXHZLFNDYKDK-KHPPLWFESA-N 0 0 294.376 2.867 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](F)C(C)C ZINC000784024796 628765803 /nfs/dbraw/zinc/76/58/03/628765803.db2.gz UBXGZXLOMRWIBV-NSHDSACASA-N 0 0 270.260 2.536 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000784051574 628769227 /nfs/dbraw/zinc/76/92/27/628769227.db2.gz JGMRATNBRVGSPO-GFCCVEGCSA-N 0 0 268.288 2.547 20 5 CFBDRN CC(C)[C@H](F)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000784145357 628782919 /nfs/dbraw/zinc/78/29/19/628782919.db2.gz MHJHHJJSDFNFKO-SKDRFNHKSA-N 0 0 268.288 2.766 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1ccc(N(C)C)c([N+](=O)[O-])c1 ZINC000784156396 628784957 /nfs/dbraw/zinc/78/49/57/628784957.db2.gz DEURNWYDKGFFHD-ZDUSSCGKSA-N 0 0 298.314 2.698 20 5 CFBDRN CO[C@H]1Cc2ccc(Nc3cccnc3[N+](=O)[O-])cc2C1 ZINC000784193012 628789711 /nfs/dbraw/zinc/78/97/11/628789711.db2.gz VWWPLZJKSBJPEL-ZDUSSCGKSA-N 0 0 285.303 2.847 20 5 CFBDRN CCCCCCC(=O)COC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000784231768 628793395 /nfs/dbraw/zinc/79/33/95/628793395.db2.gz IDRYNFRDUXVGMP-UHFFFAOYSA-N 0 0 294.307 2.686 20 5 CFBDRN C[C@@H](OC(=O)c1cc[nH]c(=O)c1)c1ccccc1[N+](=O)[O-] ZINC000784235209 628793705 /nfs/dbraw/zinc/79/37/05/628793705.db2.gz QDEZSBMRGPKOCJ-SECBINFHSA-N 0 0 288.259 2.613 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000784302007 628802510 /nfs/dbraw/zinc/80/25/10/628802510.db2.gz BFKJGHKGBSRPEX-SECBINFHSA-N 0 0 261.274 2.694 20 5 CFBDRN Cc1c(COC(=O)[C@@H](F)C(C)C)cccc1[N+](=O)[O-] ZINC000784305838 628802943 /nfs/dbraw/zinc/80/29/43/628802943.db2.gz QBTARSFDLOYSSE-LBPRGKRZSA-N 0 0 269.272 2.941 20 5 CFBDRN COCC[C@@H](C)OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000784436229 628816692 /nfs/dbraw/zinc/81/66/92/628816692.db2.gz VMQZNPHWSHRJAR-SECBINFHSA-N 0 0 292.291 2.658 20 5 CFBDRN CCC1(C(=O)OCc2ccc(N(C)C)c([N+](=O)[O-])c2)CC1 ZINC000784496235 628821856 /nfs/dbraw/zinc/82/18/56/628821856.db2.gz YLDFNJGYDLYLOA-UHFFFAOYSA-N 0 0 292.335 2.894 20 5 CFBDRN CN(C)c1ccc(COC(=O)CCC2CC2)cc1[N+](=O)[O-] ZINC000784501940 628822603 /nfs/dbraw/zinc/82/26/03/628822603.db2.gz OQPZHSQOKVGTDX-UHFFFAOYSA-N 0 0 292.335 2.894 20 5 CFBDRN C/C(=C/C(=O)N1CC[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000784634512 628833066 /nfs/dbraw/zinc/83/30/66/628833066.db2.gz TVQQMMZAKZMRCK-AWPPVZKDSA-N 0 0 274.320 2.867 20 5 CFBDRN C/C(=C/C(=O)N1CC[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000784634521 628833244 /nfs/dbraw/zinc/83/32/44/628833244.db2.gz TVQQMMZAKZMRCK-ZGSOTFDTSA-N 0 0 274.320 2.867 20 5 CFBDRN C/C(=C/C(=O)OCC1(C)COC1)c1ccc([N+](=O)[O-])cc1 ZINC000784846802 628845832 /nfs/dbraw/zinc/84/58/32/628845832.db2.gz WXSPDILGFMCPOY-XFFZJAGNSA-N 0 0 291.303 2.578 20 5 CFBDRN C[C@@H](OC(=O)Cc1cncs1)c1ccccc1[N+](=O)[O-] ZINC000784855558 628846137 /nfs/dbraw/zinc/84/61/37/628846137.db2.gz MQCWVTDNCVCNKS-SECBINFHSA-N 0 0 292.316 2.898 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000784952102 628855215 /nfs/dbraw/zinc/85/52/15/628855215.db2.gz CWFFFFBIAUFFIE-CYBMUJFWSA-N 0 0 279.292 2.711 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000784958895 628856169 /nfs/dbraw/zinc/85/61/69/628856169.db2.gz RXPZEDASCYAPKP-LLVKDONJSA-N 0 0 279.292 2.711 20 5 CFBDRN COc1ccc(COC(=O)/C=C/C(C)C)cc1[N+](=O)[O-] ZINC000785057585 628862950 /nfs/dbraw/zinc/86/29/50/628862950.db2.gz BLMVXFJWPLPKKM-QPJJXVBHSA-N 0 0 279.292 2.859 20 5 CFBDRN CC(C)/C=C\C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000785058773 628863081 /nfs/dbraw/zinc/86/30/81/628863081.db2.gz KISPXNXUXJDYOL-UTCJRWHESA-N 0 0 267.256 2.989 20 5 CFBDRN C/C(=C/C(=O)NC[C@@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000785258359 628873333 /nfs/dbraw/zinc/87/33/33/628873333.db2.gz VQCXTGRPCWGOAW-RGRUTMMBSA-N 0 0 274.320 2.770 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])ncn1 ZINC000786106645 628937755 /nfs/dbraw/zinc/93/77/55/628937755.db2.gz GDOXWQCGBCKHLY-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CSC1(C(=O)OCCc2ccccc2[N+](=O)[O-])CCC1 ZINC000786468296 628959489 /nfs/dbraw/zinc/95/94/89/628959489.db2.gz CGLZNVCXQDQQJU-UHFFFAOYSA-N 0 0 295.360 2.966 20 5 CFBDRN C[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)F ZINC000786511917 628962958 /nfs/dbraw/zinc/96/29/58/628962958.db2.gz BLWOFJQZJZTIOH-YFKPBYRVSA-N 0 0 263.171 2.544 20 5 CFBDRN CCOc1ccc(C(=O)O[C@@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000786516428 628964250 /nfs/dbraw/zinc/96/42/50/628964250.db2.gz JZMSISQCSCUXJY-ZETCQYMHSA-N 0 0 289.234 2.804 20 5 CFBDRN CN(Cc1cccc(F)c1)c1ccc(N)cc1[N+](=O)[O-] ZINC000786562186 628968433 /nfs/dbraw/zinc/96/84/33/628968433.db2.gz RKGWXDWANLYNJX-UHFFFAOYSA-N 0 0 275.283 2.953 20 5 CFBDRN Nc1ccc(N2C[C@H]3CCCC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000786804016 628979995 /nfs/dbraw/zinc/97/99/95/628979995.db2.gz MGUNZUBEBXIRSX-GHMZBOCLSA-N 0 0 261.325 2.803 20 5 CFBDRN O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CCC=CO1 ZINC000787030746 628989232 /nfs/dbraw/zinc/98/92/32/628989232.db2.gz JLOAGUTWMHBBCV-GFCCVEGCSA-N 0 0 297.694 2.984 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCC=CO1)c1ccccc1[N+](=O)[O-] ZINC000787035679 628989614 /nfs/dbraw/zinc/98/96/14/628989614.db2.gz OABDKHILNVJWOM-ZWNOBZJWSA-N 0 0 277.276 2.892 20 5 CFBDRN Cc1nc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2N)s1 ZINC000787116784 628994651 /nfs/dbraw/zinc/99/46/51/628994651.db2.gz PJSIXFXLRGUJMR-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN CSC1(C(=O)OCc2csc([N+](=O)[O-])c2)CCC1 ZINC000787234225 629001179 /nfs/dbraw/zinc/00/11/79/629001179.db2.gz ZROKSFNROFFEJC-UHFFFAOYSA-N 0 0 287.362 2.985 20 5 CFBDRN CCOC1CC(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000805856827 629041272 /nfs/dbraw/zinc/04/12/72/629041272.db2.gz CRWXYNNTOFBTSQ-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(OCCCCCO)c1ccc([N+](=O)[O-])cc1Cl ZINC000787974662 629045341 /nfs/dbraw/zinc/04/53/41/629045341.db2.gz SDQXQGKDISQAIQ-UHFFFAOYSA-N 0 0 287.699 2.568 20 5 CFBDRN Cc1c(OC(=O)Cc2ccc(F)cn2)cccc1[N+](=O)[O-] ZINC000805859490 629045705 /nfs/dbraw/zinc/04/57/05/629045705.db2.gz QODDOPXHZUYEDV-UHFFFAOYSA-N 0 0 290.250 2.585 20 5 CFBDRN C[C@@H](OC(=O)c1csc(N)n1)c1ccccc1[N+](=O)[O-] ZINC000788962597 629111901 /nfs/dbraw/zinc/11/19/01/629111901.db2.gz FHJSWDSFSOXUSQ-SSDOTTSWSA-N 0 0 293.304 2.552 20 5 CFBDRN COc1nn(C)cc1NCc1cc([N+](=O)[O-])ccc1Cl ZINC000788972117 629112134 /nfs/dbraw/zinc/11/21/34/629112134.db2.gz VORJLQWCFUZFCP-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CC[C@@H](C)O1 ZINC000789015699 629117300 /nfs/dbraw/zinc/11/73/00/629117300.db2.gz NHYRCYZQHJNSRP-MNOVXSKESA-N 0 0 279.292 2.628 20 5 CFBDRN CC1(C)CCCC[C@@H]1C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000789057268 629120977 /nfs/dbraw/zinc/12/09/77/629120977.db2.gz DQBKXZKGJSCXGU-LLVKDONJSA-N 0 0 295.339 2.551 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=S)NC1(C)CC1 ZINC000789319242 629146923 /nfs/dbraw/zinc/14/69/23/629146923.db2.gz RZECCFGNVZETDK-UHFFFAOYSA-N 0 0 295.364 2.832 20 5 CFBDRN CCc1ccc(C(=O)OCC2(F)CC2)cc1[N+](=O)[O-] ZINC000789581356 629168293 /nfs/dbraw/zinc/16/82/93/629168293.db2.gz MXWMDDUATDPSHE-UHFFFAOYSA-N 0 0 267.256 2.816 20 5 CFBDRN CNc1c(C(=O)O[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000789804316 629189275 /nfs/dbraw/zinc/18/92/75/629189275.db2.gz NLSRXLPKAVXICH-SECBINFHSA-N 0 0 266.297 2.838 20 5 CFBDRN CCc1[nH]nc(C(=O)OCc2ccccc2C)c1[N+](=O)[O-] ZINC000790074952 629208755 /nfs/dbraw/zinc/20/87/55/629208755.db2.gz WUORUQXMMBGMHM-UHFFFAOYSA-N 0 0 289.291 2.546 20 5 CFBDRN Cn1ncc(-c2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 ZINC000790585877 629250616 /nfs/dbraw/zinc/25/06/16/629250616.db2.gz GRIODIWUOFLOBZ-UHFFFAOYSA-N 0 0 287.304 2.514 20 5 CFBDRN C[C@@]1(C2CC2)CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000852322211 634720731 /nfs/dbraw/zinc/72/07/31/634720731.db2.gz DNAISSOSVFOHMY-HNNXBMFYSA-N 0 0 294.326 2.735 20 5 CFBDRN COc1cc(C(=O)OCC2=CCCC2)ccc1[N+](=O)[O-] ZINC000790821836 629266551 /nfs/dbraw/zinc/26/65/51/629266551.db2.gz BRBDSZVFOFCDOO-UHFFFAOYSA-N 0 0 277.276 2.871 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])OCC1=CCCC1 ZINC000790824822 629267132 /nfs/dbraw/zinc/26/71/32/629267132.db2.gz NUYOFFAELPPTPA-UHFFFAOYSA-N 0 0 276.292 2.660 20 5 CFBDRN Cc1cnc(COC(=O)c2cc([N+](=O)[O-])cc(C)c2F)o1 ZINC000790914339 629271247 /nfs/dbraw/zinc/27/12/47/629271247.db2.gz OVDGAJWOLFPMKZ-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2ccc([N+](=O)[O-])cc2F)c1Cl ZINC000790978379 629276639 /nfs/dbraw/zinc/27/66/39/629276639.db2.gz ANUSUDPHEWSDGC-UHFFFAOYSA-N 0 0 298.661 2.671 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2csc([N+](=O)[O-])c2)c1Cl ZINC000790994270 629279139 /nfs/dbraw/zinc/27/91/39/629279139.db2.gz KNAIKWODBGLMMW-UHFFFAOYSA-N 0 0 286.700 2.594 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@@H]1Cc1ccccc1Cl ZINC000791054058 629284298 /nfs/dbraw/zinc/28/42/98/629284298.db2.gz WTHPZJGPVVVUIU-GFCCVEGCSA-N 0 0 268.744 2.624 20 5 CFBDRN COC1(COC(=O)c2ccc([N+](=O)[O-])s2)CCCC1 ZINC000791259878 629294711 /nfs/dbraw/zinc/29/47/11/629294711.db2.gz YPMLQUMJZVMFRG-UHFFFAOYSA-N 0 0 285.321 2.772 20 5 CFBDRN Cc1ccnc(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000791268518 629296081 /nfs/dbraw/zinc/29/60/81/629296081.db2.gz IDXXJFZCBNYPLN-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccnc(COC(=O)c2c(C)cccc2[N+](=O)[O-])c1 ZINC000791283772 629297035 /nfs/dbraw/zinc/29/70/35/629297035.db2.gz IFUDEDDDNVJPCQ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CN(C[C@H]1CCCO1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000791408016 629310600 /nfs/dbraw/zinc/31/06/00/629310600.db2.gz OYXOSPFQAQAMJP-SNVBAGLBSA-N 0 0 270.716 2.863 20 5 CFBDRN COCCCN(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000791406983 629310673 /nfs/dbraw/zinc/31/06/73/629310673.db2.gz BBOPJCRJQQYNLL-UHFFFAOYSA-N 0 0 258.705 2.721 20 5 CFBDRN CC[C@H](C)CC(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000791498825 629321911 /nfs/dbraw/zinc/32/19/11/629321911.db2.gz SFHLRVKKPJPACX-NSHDSACASA-N 0 0 281.308 2.953 20 5 CFBDRN CCc1ccccc1OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835045520 629341979 /nfs/dbraw/zinc/34/19/79/629341979.db2.gz IFSFHMUOHYAHBB-UHFFFAOYSA-N 0 0 265.309 2.990 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1ccnc(F)c1F ZINC000791670256 629344155 /nfs/dbraw/zinc/34/41/55/629344155.db2.gz HQCVJPZJODOMHZ-UHFFFAOYSA-N 0 0 294.213 2.796 20 5 CFBDRN CCC[C@H](C)COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000791895432 629372877 /nfs/dbraw/zinc/37/28/77/629372877.db2.gz QENNAOKNLRVRIV-VIFPVBQESA-N 0 0 254.286 2.526 20 5 CFBDRN CC(C)COC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835079120 629375212 /nfs/dbraw/zinc/37/52/12/629375212.db2.gz KBZZQRBLZUNNNV-UHFFFAOYSA-N 0 0 279.292 2.757 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2ccnn2C)ccc1[N+](=O)[O-] ZINC000791936954 629380940 /nfs/dbraw/zinc/38/09/40/629380940.db2.gz SJLRDHHUEZVQNN-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CC1(C(=O)OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCC1 ZINC000791993210 629385129 /nfs/dbraw/zinc/38/51/29/629385129.db2.gz CECHCLPYPRXDQM-UHFFFAOYSA-N 0 0 294.263 2.736 20 5 CFBDRN C[C@@H](COc1ccccc1)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835101847 629406401 /nfs/dbraw/zinc/40/64/01/629406401.db2.gz UUGQJCHEORHBTC-LBPRGKRZSA-N 0 0 295.335 2.833 20 5 CFBDRN O=C(COCC1CCCC1)OCc1cccc([N+](=O)[O-])c1 ZINC000835114027 629419496 /nfs/dbraw/zinc/41/94/96/629419496.db2.gz KZACBBCUYCQBEG-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN COC(=O)c1cc(NC/C=C\Cl)c(C)c([N+](=O)[O-])c1 ZINC000792735957 629448071 /nfs/dbraw/zinc/44/80/71/629448071.db2.gz BAMSUHGIKBPACD-ARJAWSKDSA-N 0 0 284.699 2.854 20 5 CFBDRN C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1cccnc1 ZINC000835158894 629474554 /nfs/dbraw/zinc/47/45/54/629474554.db2.gz MISQFLHBKJFWGX-JTQLQIEISA-N 0 0 266.297 2.521 20 5 CFBDRN CNc1c(C(=O)OCC(C)(C)SC)cccc1[N+](=O)[O-] ZINC000793185387 629501457 /nfs/dbraw/zinc/50/14/57/629501457.db2.gz JYOPADKNALUXDS-UHFFFAOYSA-N 0 0 298.364 2.935 20 5 CFBDRN CCCNC(=S)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000793309811 629525080 /nfs/dbraw/zinc/52/50/80/629525080.db2.gz RKDMTOKFAXUQIU-UHFFFAOYSA-N 0 0 281.381 2.704 20 5 CFBDRN CCc1ccc(C(=O)OC[C@H]2CCO[C@H]2C)cc1[N+](=O)[O-] ZINC000793433992 629545965 /nfs/dbraw/zinc/54/59/65/629545965.db2.gz ZONVUONDSDCLFC-GXFFZTMASA-N 0 0 293.319 2.739 20 5 CFBDRN CCc1ccc(C(=O)OC[C@@H]2CCO[C@H]2C)cc1[N+](=O)[O-] ZINC000793433982 629546098 /nfs/dbraw/zinc/54/60/98/629546098.db2.gz ZONVUONDSDCLFC-GWCFXTLKSA-N 0 0 293.319 2.739 20 5 CFBDRN C[C@@H]1OCC[C@H]1COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000793436064 629546473 /nfs/dbraw/zinc/54/64/73/629546473.db2.gz XTYVWKIWGJZXHW-IUCAKERBSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(CCC(=O)c1cccc([N+](=O)[O-])c1)O[C@H]1C=CCC1 ZINC000835283237 629595425 /nfs/dbraw/zinc/59/54/25/629595425.db2.gz DFIQTRMRAGUJHR-ZDUSSCGKSA-N 0 0 289.287 2.820 20 5 CFBDRN Cc1cc(COC(=O)/C=C/[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000835287756 629599636 /nfs/dbraw/zinc/59/96/36/629599636.db2.gz SOANGJKENSFQEM-OGOUPESXSA-N 0 0 291.303 2.539 20 5 CFBDRN Cc1cc(COC(=O)/C=C\[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000835287754 629599748 /nfs/dbraw/zinc/59/97/48/629599748.db2.gz SOANGJKENSFQEM-FHMRSRPSSA-N 0 0 291.303 2.539 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC(F)(F)CC1 ZINC000794295203 629632959 /nfs/dbraw/zinc/63/29/59/629632959.db2.gz RBNTXFYRWYBRCY-ZZXKWVIFSA-N 0 0 296.273 2.866 20 5 CFBDRN CCC[C@@H](CC(C)C)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000835337685 629641145 /nfs/dbraw/zinc/64/11/45/629641145.db2.gz WJDZFWWSMWOHFK-LBPRGKRZSA-N 0 0 297.355 2.797 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C[C@H]1C ZINC000867120976 629670195 /nfs/dbraw/zinc/67/01/95/629670195.db2.gz JAOKLSONVJXUJU-WDEREUQCSA-N 0 0 294.326 2.781 20 5 CFBDRN CC(C)[C@@](C)(O)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000794660902 629672068 /nfs/dbraw/zinc/67/20/68/629672068.db2.gz IEAZSWKVNABYOF-CYBMUJFWSA-N 0 0 277.280 2.508 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CCCCS1)[N+](=O)[O-] ZINC000835413538 629698791 /nfs/dbraw/zinc/69/87/91/629698791.db2.gz PFZKHSYRJOMMMD-SNVBAGLBSA-N 0 0 275.370 2.651 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC(O)(c2ccc(F)cc2)C1 ZINC000795139394 629738288 /nfs/dbraw/zinc/73/82/88/629738288.db2.gz DXHNXHQQDLWIQZ-UHFFFAOYSA-N 0 0 294.307 2.503 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])n[nH]1)c1ccc(Cl)cc1 ZINC000795143443 629739210 /nfs/dbraw/zinc/73/92/10/629739210.db2.gz MIVSYLAADMBORE-UHFFFAOYSA-N 0 0 294.698 2.638 20 5 CFBDRN Cc1ccoc1C[N@H+](C)C[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000795160676 629740343 /nfs/dbraw/zinc/74/03/43/629740343.db2.gz ILLJADGADWZRRS-AWEZNQCLSA-N 0 0 290.319 2.662 20 5 CFBDRN CC1(CCNc2nc3ccccn3c2[N+](=O)[O-])CC1 ZINC000795175557 629742712 /nfs/dbraw/zinc/74/27/12/629742712.db2.gz AKRFDSSYASLTKJ-UHFFFAOYSA-N 0 0 260.297 2.845 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000795191466 629742921 /nfs/dbraw/zinc/74/29/21/629742921.db2.gz XYHRTFJSRNRHBR-XHDPSFHLSA-N 0 0 276.336 2.907 20 5 CFBDRN CCC(=O)c1ccc(N2CC(C)(F)C2)c([N+](=O)[O-])c1 ZINC000795196699 629743648 /nfs/dbraw/zinc/74/36/48/629743648.db2.gz YCPKMJGSGOMPGX-UHFFFAOYSA-N 0 0 266.272 2.736 20 5 CFBDRN Cc1cc(OCc2c(F)cc([N+](=O)[O-])cc2F)nnc1C ZINC000795218806 629747075 /nfs/dbraw/zinc/74/70/75/629747075.db2.gz ZDAUUADPQMFHGY-UHFFFAOYSA-N 0 0 295.245 2.859 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCCCCCCCO ZINC000795247122 629750924 /nfs/dbraw/zinc/75/09/24/629750924.db2.gz CXIBMZZSQONXQO-UHFFFAOYSA-N 0 0 288.731 2.965 20 5 CFBDRN Cc1c(C(=O)OC[C@H]2CCC[C@H](O)C2)cccc1[N+](=O)[O-] ZINC000795255878 629753826 /nfs/dbraw/zinc/75/38/26/629753826.db2.gz SOLFHTLQHYZKAL-RYUDHWBXSA-N 0 0 293.319 2.611 20 5 CFBDRN O=C(OCc1cncc(F)c1)c1ccc([N+](=O)[O-])s1 ZINC000795339648 629763118 /nfs/dbraw/zinc/76/31/18/629763118.db2.gz KYRVMVUGWZYNQQ-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCC[C@H](O)C1 ZINC000795355952 629766114 /nfs/dbraw/zinc/76/61/14/629766114.db2.gz QMUFVIWHQNFCRB-YPMHNXCESA-N 0 0 293.319 2.611 20 5 CFBDRN COCC(C)(C)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835481440 629769844 /nfs/dbraw/zinc/76/98/44/629769844.db2.gz VUQITLVRYXITHY-UHFFFAOYSA-N 0 0 281.308 2.619 20 5 CFBDRN Cc1ccn(C)c1C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835480845 629770328 /nfs/dbraw/zinc/77/03/28/629770328.db2.gz QGQJFAUWYKODPF-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC000835481787 629770908 /nfs/dbraw/zinc/77/09/08/629770908.db2.gz ZZEBDZMDUMBDMJ-AAEUAGOBSA-N 0 0 293.319 2.619 20 5 CFBDRN Cc1ccc(COC(=O)C2(C)CCOCC2)cc1[N+](=O)[O-] ZINC000835483448 629775517 /nfs/dbraw/zinc/77/55/17/629775517.db2.gz IYCSUPZNLXHRGL-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCC[C@H](O)C2)c1 ZINC000795432257 629777444 /nfs/dbraw/zinc/77/74/44/629777444.db2.gz HFCRVAWVUVHHEB-RYUDHWBXSA-N 0 0 293.319 2.611 20 5 CFBDRN C[C@H](O)CCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000795520361 629792527 /nfs/dbraw/zinc/79/25/27/629792527.db2.gz FFRUPUULKIDLJO-NSHDSACASA-N 0 0 295.335 2.577 20 5 CFBDRN C/C(=C\C(=O)OCCC[C@H](C)O)c1ccc([N+](=O)[O-])cc1 ZINC000795589125 629808403 /nfs/dbraw/zinc/80/84/03/629808403.db2.gz XSRTXWSILORQPE-IIANPFDCSA-N 0 0 293.319 2.702 20 5 CFBDRN CCc1[nH]nc(C(=O)O[C@H](C)c2ccccc2)c1[N+](=O)[O-] ZINC000795612585 629812139 /nfs/dbraw/zinc/81/21/39/629812139.db2.gz OMQBAYPETBCWCM-SECBINFHSA-N 0 0 289.291 2.798 20 5 CFBDRN C[C@H](C(=O)OCC(=O)C1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000795626858 629813155 /nfs/dbraw/zinc/81/31/55/629813155.db2.gz LTQGHURDMKPREZ-JTQLQIEISA-N 0 0 291.303 2.611 20 5 CFBDRN C/C=C\COC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000795846770 629841761 /nfs/dbraw/zinc/84/17/61/629841761.db2.gz NVCBFMOWHLJMFA-HYXAFXHYSA-N 0 0 264.281 2.760 20 5 CFBDRN CC[C@@H](C)[C@@H](CC)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000835588141 629859354 /nfs/dbraw/zinc/85/93/54/629859354.db2.gz KCGDTFYMFJYSIT-BXKDBHETSA-N 0 0 268.313 2.915 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000796000570 629861547 /nfs/dbraw/zinc/86/15/47/629861547.db2.gz KLFZQJLCRJRDPD-TVQRCGJNSA-N 0 0 299.298 2.838 20 5 CFBDRN C/C=C\COC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796443898 629918497 /nfs/dbraw/zinc/91/84/97/629918497.db2.gz SHZWFHDZYGGSTH-PLNGDYQASA-N 0 0 263.293 2.992 20 5 CFBDRN CON(C[C@H](O)c1ccc([N+](=O)[O-])cc1)CC(C)(C)C ZINC000796471119 629922387 /nfs/dbraw/zinc/92/23/87/629922387.db2.gz IWBIXRUWYZSVBK-ZDUSSCGKSA-N 0 0 282.340 2.538 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC[C@@H](C)SC ZINC000835662709 629926060 /nfs/dbraw/zinc/92/60/60/629926060.db2.gz FJJXUVFELCGXKB-SECBINFHSA-N 0 0 299.348 2.902 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000796526342 629930784 /nfs/dbraw/zinc/93/07/84/629930784.db2.gz VIIMUIAJPPAVBB-RNCFNFMXSA-N 0 0 297.307 2.570 20 5 CFBDRN COc1cccc(C(=O)OC[C@H](C)CSC)c1[N+](=O)[O-] ZINC000835680974 629946499 /nfs/dbraw/zinc/94/64/99/629946499.db2.gz HXQVUFNHNDEOPP-VIFPVBQESA-N 0 0 299.348 2.759 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000796790375 629967428 /nfs/dbraw/zinc/96/74/28/629967428.db2.gz WWMIWEITLMPSGV-ZANVPECISA-N 0 0 281.308 2.870 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1cncs1 ZINC000796806963 629970254 /nfs/dbraw/zinc/97/02/54/629970254.db2.gz JRLNGJYPKYELCF-UHFFFAOYSA-N 0 0 284.680 2.924 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CCC(F)F ZINC000796868918 629982515 /nfs/dbraw/zinc/98/25/15/629982515.db2.gz SUMNPGQLPNMJTK-UHFFFAOYSA-N 0 0 259.208 2.854 20 5 CFBDRN Cc1cc(C(=O)OCCc2nccs2)ccc1[N+](=O)[O-] ZINC000796955099 629995730 /nfs/dbraw/zinc/99/57/30/629995730.db2.gz VNKTWPSXVYUZDB-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN C[C@@H]1OCC[C@H]1OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000797096578 630016626 /nfs/dbraw/zinc/01/66/26/630016626.db2.gz GQEAVQWCXKONAW-GXFFZTMASA-N 0 0 293.319 2.593 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)[C@H](C)SC ZINC000797209739 630038793 /nfs/dbraw/zinc/03/87/93/630038793.db2.gz XGOMVVMXVKSRIY-IUCAKERBSA-N 0 0 299.348 2.900 20 5 CFBDRN CS[C@@H](C)[C@@H](C)OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000797210506 630039466 /nfs/dbraw/zinc/03/94/66/630039466.db2.gz NTHSSQUWHNURLA-ZJUUUORDSA-N 0 0 283.349 2.821 20 5 CFBDRN CS[C@H](C)[C@@H](C)OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000797210502 630039735 /nfs/dbraw/zinc/03/97/35/630039735.db2.gz NTHSSQUWHNURLA-NXEZZACHSA-N 0 0 283.349 2.821 20 5 CFBDRN C[C@@H]1COCC[C@@H]1OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000835786589 630044455 /nfs/dbraw/zinc/04/44/55/630044455.db2.gz PJPHVAKCKDUVBQ-QDDUCLRZSA-N 0 0 291.303 2.576 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSCCCOC(C)=O ZINC000797407478 630062429 /nfs/dbraw/zinc/06/24/29/630062429.db2.gz PGXWKSIZBRUAEB-UHFFFAOYSA-N 0 0 299.348 2.790 20 5 CFBDRN CC1=C(Br)CN(c2ccc([N+](=O)[O-])nc2)CC1 ZINC000797439395 630065997 /nfs/dbraw/zinc/06/59/97/630065997.db2.gz IYHREIHLQBYVIS-UHFFFAOYSA-N 0 0 298.140 2.869 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)c1ccc(C)o1 ZINC000797471188 630070749 /nfs/dbraw/zinc/07/07/49/630070749.db2.gz CVRKAQSFTPWMOQ-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)c1ccco1 ZINC000797471685 630071074 /nfs/dbraw/zinc/07/10/74/630071074.db2.gz NOUIOQYMLPCAQJ-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN COc1c(C(=O)O[C@@H](C)CCSC)cccc1[N+](=O)[O-] ZINC000835813080 630079095 /nfs/dbraw/zinc/07/90/95/630079095.db2.gz MHKJHZKLMHHSBS-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN CSCC[C@H](C)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000835818378 630084377 /nfs/dbraw/zinc/08/43/77/630084377.db2.gz IWZATRSJHNTMDH-VIFPVBQESA-N 0 0 269.322 2.893 20 5 CFBDRN CC1=CCCN(Cn2ncc3ccc([N+](=O)[O-])cc32)C1 ZINC000797823236 630108602 /nfs/dbraw/zinc/10/86/02/630108602.db2.gz OZKBKEXXNFHHDH-UHFFFAOYSA-N 0 0 272.308 2.554 20 5 CFBDRN Cc1cc[nH]c1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000797927222 630125997 /nfs/dbraw/zinc/12/59/97/630125997.db2.gz VPJQJDAWHBVHOP-UHFFFAOYSA-N 0 0 293.710 2.815 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)C12CCC2 ZINC000835884955 630134162 /nfs/dbraw/zinc/13/41/62/630134162.db2.gz NWDAPVCYFMELCO-OLZOCXBDSA-N 0 0 291.303 2.709 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)C12CCC2 ZINC000835884958 630134176 /nfs/dbraw/zinc/13/41/76/630134176.db2.gz NWDAPVCYFMELCO-QWHCGFSZSA-N 0 0 291.303 2.709 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867150227 630138667 /nfs/dbraw/zinc/13/86/67/630138667.db2.gz VIVLTJXLRGNVFO-NSHDSACASA-N 0 0 294.326 2.971 20 5 CFBDRN CC[C@](C)(COC(=O)c1cccc([N+](=O)[O-])c1NC)OC ZINC000835958877 630179251 /nfs/dbraw/zinc/17/92/51/630179251.db2.gz DUZVWFFYKGPGML-CQSZACIVSA-N 0 0 296.323 2.608 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCC(=O)OCC(C)C ZINC000798390572 630185414 /nfs/dbraw/zinc/18/54/14/630185414.db2.gz QLRSKWGIAAKAJU-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](F)C(C)C ZINC000798542177 630203629 /nfs/dbraw/zinc/20/36/29/630203629.db2.gz JJGBVTCJKIECOD-GFCCVEGCSA-N 0 0 284.287 2.926 20 5 CFBDRN CCC(CC)COC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000798891725 630255477 /nfs/dbraw/zinc/25/54/77/630255477.db2.gz PKPSCVBLTTXSQU-UHFFFAOYSA-N 0 0 283.328 2.506 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC[C@H]1CCC(F)(F)C1 ZINC000798916468 630260949 /nfs/dbraw/zinc/26/09/49/630260949.db2.gz DDNSKXHCEURGSA-QMMMGPOBSA-N 0 0 288.250 2.526 20 5 CFBDRN O=C(OC[C@@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cn1 ZINC000798921054 630261724 /nfs/dbraw/zinc/26/17/24/630261724.db2.gz QIJSMEZTVDYQOG-MRVPVSSYSA-N 0 0 286.234 2.582 20 5 CFBDRN O=C(OC[C@@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])o1 ZINC000798919574 630261746 /nfs/dbraw/zinc/26/17/46/630261746.db2.gz HBCGRXYEADPUKD-SSDOTTSWSA-N 0 0 275.207 2.780 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1CC[C@H](c2ccccn2)C1 ZINC000798962160 630264748 /nfs/dbraw/zinc/26/47/48/630264748.db2.gz JJUKGOSKMWIGQM-LBPRGKRZSA-N 0 0 299.330 2.685 20 5 CFBDRN CCCN(C(=S)NCC)c1cccc([N+](=O)[O-])c1 ZINC000799507669 630316845 /nfs/dbraw/zinc/31/68/45/630316845.db2.gz MCWHPFIHWFSKTD-UHFFFAOYSA-N 0 0 267.354 2.706 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H](C)c2csnn2)c1[N+](=O)[O-] ZINC000836094071 630335232 /nfs/dbraw/zinc/33/52/32/630335232.db2.gz BYVICMHSUBIBDL-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2csnn2)c1 ZINC000836094537 630335588 /nfs/dbraw/zinc/33/55/88/630335588.db2.gz JCQYYHDJLOXRRB-QMMMGPOBSA-N 0 0 293.304 2.673 20 5 CFBDRN Cc1c(OS(=O)(=O)CC(C)(C)C)cccc1[N+](=O)[O-] ZINC000799764079 630343109 /nfs/dbraw/zinc/34/31/09/630343109.db2.gz UKZALUYFDGBSOE-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OS(=O)(=O)CC(C)(C)C ZINC000799762978 630343398 /nfs/dbraw/zinc/34/33/98/630343398.db2.gz TYJAQTQHFWHECW-UHFFFAOYSA-N 0 0 287.337 2.658 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2Cc3ccc(Cl)cc3C2)nc1 ZINC000799967201 630357484 /nfs/dbraw/zinc/35/74/84/630357484.db2.gz QRLKUFZAINCSCH-LLVKDONJSA-N 0 0 290.710 2.618 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2cc(N)ccc2[N+](=O)[O-])no1 ZINC000800845922 630410649 /nfs/dbraw/zinc/41/06/49/630410649.db2.gz SNPZWKFMNPGODN-LBPRGKRZSA-N 0 0 288.307 2.815 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@@H]2c2ccccn2)c1 ZINC000800847923 630411037 /nfs/dbraw/zinc/41/10/37/630411037.db2.gz OVRZJXSISIGPLN-CYBMUJFWSA-N 0 0 284.319 2.914 20 5 CFBDRN C[C@@H]1CN(c2cc(N)ccc2[N+](=O)[O-])C[C@H](C)S1 ZINC000800856665 630411557 /nfs/dbraw/zinc/41/15/57/630411557.db2.gz RCDVYYPTRRQOFE-DTORHVGOSA-N 0 0 267.354 2.507 20 5 CFBDRN CCCSCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000801323919 630441783 /nfs/dbraw/zinc/44/17/83/630441783.db2.gz GPJSORZCWQCKGL-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@H]1C[C@@H]1C(F)F ZINC000801477672 630449376 /nfs/dbraw/zinc/44/93/76/630449376.db2.gz HRKFHCFGJUJZNQ-BQBZGAKWSA-N 0 0 289.209 2.678 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H](OC(F)F)C1 ZINC000801679547 630465242 /nfs/dbraw/zinc/46/52/42/630465242.db2.gz GLEUQNLBXMDESJ-ZETCQYMHSA-N 0 0 276.214 2.552 20 5 CFBDRN C[C@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801901726 630484563 /nfs/dbraw/zinc/48/45/63/630484563.db2.gz OBIOIYBBCLHLRF-VIFPVBQESA-N 0 0 295.314 2.721 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801913278 630485661 /nfs/dbraw/zinc/48/56/61/630485661.db2.gz BVUDNBOIYIIYJU-DTWKUNHWSA-N 0 0 295.314 2.577 20 5 CFBDRN CC[C@@H](C)OC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000103664790 630500590 /nfs/dbraw/zinc/50/05/90/630500590.db2.gz OUCNNRZEYJDAKP-SNVBAGLBSA-N 0 0 289.291 2.736 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCO[C@@H](C(F)F)C1 ZINC000802654353 630535664 /nfs/dbraw/zinc/53/56/64/630535664.db2.gz HOQREIXHPJYUQG-MASHWEEQSA-N 0 0 298.289 2.574 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@@H]1CCCCS1 ZINC000802927816 630566805 /nfs/dbraw/zinc/56/68/05/630566805.db2.gz AMUMPWAGTCFGDT-ZDUSSCGKSA-N 0 0 295.360 2.966 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)OCCCCF ZINC000803269553 630597165 /nfs/dbraw/zinc/59/71/65/630597165.db2.gz PCZAGZKQKMSPIJ-UHFFFAOYSA-N 0 0 284.287 2.567 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCSC(C)C)c1 ZINC000803314955 630601161 /nfs/dbraw/zinc/60/11/61/630601161.db2.gz MDEWMAUUWJEKLV-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN Cc1cc(C(=O)OCCCCF)cc([N+](=O)[O-])c1 ZINC000803318898 630601206 /nfs/dbraw/zinc/60/12/06/630601206.db2.gz BIYFKXJSFUWZBU-UHFFFAOYSA-N 0 0 255.245 2.810 20 5 CFBDRN COc1cc(C(=O)OCCSC(C)C)ccc1[N+](=O)[O-] ZINC000803328660 630602592 /nfs/dbraw/zinc/60/25/92/630602592.db2.gz SMHHTAPAISJXBE-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN O=C(OCCCCF)c1ccc(F)cc1[N+](=O)[O-] ZINC000803390905 630611586 /nfs/dbraw/zinc/61/15/86/630611586.db2.gz NPOFATVGWZLFHD-UHFFFAOYSA-N 0 0 259.208 2.640 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCCCCCO ZINC000803431829 630617429 /nfs/dbraw/zinc/61/74/29/630617429.db2.gz GQCSTSKVUSNZHW-QXMHVHEDSA-N 0 0 293.319 2.704 20 5 CFBDRN O=C(OCCCCF)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000803435835 630618059 /nfs/dbraw/zinc/61/80/59/630618059.db2.gz MZFPIMMNANLUGP-UHFFFAOYSA-N 0 0 299.283 2.506 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCC[C@@H]2CCCO2)c1F ZINC000803458470 630619824 /nfs/dbraw/zinc/61/98/24/630619824.db2.gz IFEAAVUZMGYGTG-NSHDSACASA-N 0 0 297.282 2.768 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCc2ccncc2)c1F ZINC000803460413 630620296 /nfs/dbraw/zinc/62/02/96/630620296.db2.gz AFDXZIXTWZAYPO-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803461780 630620359 /nfs/dbraw/zinc/62/03/59/630620359.db2.gz GJNGKPXLOUHDLH-MRVPVSSYSA-N 0 0 283.255 2.567 20 5 CFBDRN O=C(CCC(F)F)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803513867 630625190 /nfs/dbraw/zinc/62/51/90/630625190.db2.gz YMFTZFPEJLIVPH-UHFFFAOYSA-N 0 0 295.188 2.962 20 5 CFBDRN O=C(OCCC1(O)CCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000840008060 630630040 /nfs/dbraw/zinc/63/00/40/630630040.db2.gz HRMPILDAXHLHHR-UHFFFAOYSA-N 0 0 299.710 2.710 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCC[C@H]3C[C@H]32)c1[N+](=O)[O-] ZINC000804294163 630668386 /nfs/dbraw/zinc/66/83/86/630668386.db2.gz KNZSAXBKMPUOFU-JOYOIKCWSA-N 0 0 278.283 2.667 20 5 CFBDRN CC(C)COCCCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804331457 630672803 /nfs/dbraw/zinc/67/28/03/630672803.db2.gz ACQISLLSTCNQEJ-UHFFFAOYSA-N 0 0 297.311 2.978 20 5 CFBDRN Nc1ccc(NCCc2ccsc2)c([N+](=O)[O-])c1 ZINC000804345307 630674343 /nfs/dbraw/zinc/67/43/43/630674343.db2.gz JDDLXIHWKHEAPQ-UHFFFAOYSA-N 0 0 263.322 2.893 20 5 CFBDRN CC(C)CCOCCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804386882 630678376 /nfs/dbraw/zinc/67/83/76/630678376.db2.gz IQMUCJCBWYWEFC-UHFFFAOYSA-N 0 0 297.311 2.978 20 5 CFBDRN Nc1ccc(NCc2ccc3c(c2)CCO3)c([N+](=O)[O-])c1 ZINC000804405113 630679529 /nfs/dbraw/zinc/67/95/29/630679529.db2.gz HJTAVOPLKXXPDY-UHFFFAOYSA-N 0 0 285.303 2.724 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCc1nccs1 ZINC000804398063 630679664 /nfs/dbraw/zinc/67/96/64/630679664.db2.gz QJBWIVWFZZIGLR-UHFFFAOYSA-N 0 0 294.292 2.614 20 5 CFBDRN NC(=O)[C@@H]1CCC[C@@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000804473376 630685151 /nfs/dbraw/zinc/68/51/51/630685151.db2.gz HELMPXJVFOQVSA-PSASIEDQSA-N 0 0 297.742 2.704 20 5 CFBDRN C[C@](O)(CNc1c(F)cccc1[N+](=O)[O-])c1ccco1 ZINC000804503122 630688823 /nfs/dbraw/zinc/68/88/23/630688823.db2.gz ALLPVHBQPOEECU-ZDUSSCGKSA-N 0 0 280.255 2.647 20 5 CFBDRN Cc1ccc2[nH]c(CNc3ccc(N)cc3[N+](=O)[O-])nc2c1 ZINC000804528521 630689947 /nfs/dbraw/zinc/68/99/47/630689947.db2.gz HEQGCHNAUNZWMC-UHFFFAOYSA-N 0 0 297.318 2.974 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1(C)C ZINC000804539317 630691317 /nfs/dbraw/zinc/69/13/17/630691317.db2.gz ZLXVBKZFRVDYMQ-WDEREUQCSA-N 0 0 295.295 2.728 20 5 CFBDRN C[C@H](C[C@H](O)c1ccco1)Nc1ccc(N)cc1[N+](=O)[O-] ZINC000804549749 630692315 /nfs/dbraw/zinc/69/23/15/630692315.db2.gz KSUWIARUVKPABL-RNCFNFMXSA-N 0 0 291.307 2.694 20 5 CFBDRN Nc1ccc(N2CCc3ccc(F)cc3C2)c([N+](=O)[O-])c1 ZINC000804562970 630693205 /nfs/dbraw/zinc/69/32/05/630693205.db2.gz PGQKXQBHBPPOQJ-UHFFFAOYSA-N 0 0 287.294 2.879 20 5 CFBDRN CON(CC(C)(C)C)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000804580774 630758445 /nfs/dbraw/zinc/75/84/45/630758445.db2.gz FNVAENQGBNUOBY-UHFFFAOYSA-N 0 0 294.351 2.882 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000804587241 630761922 /nfs/dbraw/zinc/76/19/22/630761922.db2.gz JEQMZANJEUNGLK-ILWJIGKKSA-N 0 0 295.295 2.871 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NOC1CCC1 ZINC000836185416 630767568 /nfs/dbraw/zinc/76/75/68/630767568.db2.gz HBLLUWGTFAVSMY-UHFFFAOYSA-N 0 0 265.269 2.509 20 5 CFBDRN CC1(C)SC[C@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804645881 630768343 /nfs/dbraw/zinc/76/83/43/630768343.db2.gz DEAZZQWVDPOAET-SECBINFHSA-N 0 0 283.309 2.809 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1SC[C@H]1CCCO1 ZINC000804649695 630768776 /nfs/dbraw/zinc/76/87/76/630768776.db2.gz JTYURABXBOHRIW-MRVPVSSYSA-N 0 0 284.293 2.774 20 5 CFBDRN O=C(NOC1CCC1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000836205169 630771295 /nfs/dbraw/zinc/77/12/95/630771295.db2.gz SVAAIQBGZGCSLP-UHFFFAOYSA-N 0 0 285.687 2.854 20 5 CFBDRN Cc1cc(NC(=O)NOC2CCC2)ccc1[N+](=O)[O-] ZINC000836494907 630833831 /nfs/dbraw/zinc/83/38/31/630833831.db2.gz UWEDSIAMOKZJBF-UHFFFAOYSA-N 0 0 265.269 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(Sc2nccn2C2CC2)cn1 ZINC000836585424 630854057 /nfs/dbraw/zinc/85/40/57/630854057.db2.gz OTRQTBCXHPLOEH-UHFFFAOYSA-N 0 0 262.294 2.672 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NN2CCCCC2)cc1Cl ZINC000836780459 630881362 /nfs/dbraw/zinc/88/13/62/630881362.db2.gz VYURZXDYUFILCW-UHFFFAOYSA-N 0 0 297.742 2.687 20 5 CFBDRN C/C(=C/C(=O)NOC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000836800002 630886836 /nfs/dbraw/zinc/88/68/36/630886836.db2.gz PPTPWTKJRRYPPT-KTKRTIGZSA-N 0 0 276.292 2.598 20 5 CFBDRN O=C(OC/C=C\Cl)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000807628576 630901320 /nfs/dbraw/zinc/90/13/20/630901320.db2.gz ZHYYUIBPZLGZHV-RJRFIUFISA-N 0 0 280.667 2.985 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC1(CCO)CCC1 ZINC000807997177 630934740 /nfs/dbraw/zinc/93/47/40/630934740.db2.gz SXEDSTXVIYVUOO-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1CCC=CO1 ZINC000808042022 630944429 /nfs/dbraw/zinc/94/44/29/630944429.db2.gz UIMXWBXWNHVSKZ-GFCCVEGCSA-N 0 0 276.292 2.902 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1CCOC[C@H]1C ZINC000808071400 630947528 /nfs/dbraw/zinc/94/75/28/630947528.db2.gz YAZJNMKXWFIOFZ-ZYHUDNBSSA-N 0 0 292.335 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccc(C3(O)COC3)cc2)cc1 ZINC000808111310 630952629 /nfs/dbraw/zinc/95/26/29/630952629.db2.gz TYOWMQLVBMIFGR-UHFFFAOYSA-N 0 0 286.287 2.556 20 5 CFBDRN COc1cncc(COc2cc(F)ccc2[N+](=O)[O-])c1 ZINC000808244355 630970550 /nfs/dbraw/zinc/97/05/50/630970550.db2.gz WRBZUBVSRVURAD-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN Nc1ccc(OCc2ccc([N+](=O)[O-])cc2)cc1[N+](=O)[O-] ZINC000808256712 630972193 /nfs/dbraw/zinc/97/21/93/630972193.db2.gz UNAVCCYYLCOMFM-UHFFFAOYSA-N 0 0 289.247 2.664 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cccnc1F ZINC000808271933 630973923 /nfs/dbraw/zinc/97/39/23/630973923.db2.gz WIMUFKZACAWCSR-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN C[C@H]1CCCC[C@]1(C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837255231 630987878 /nfs/dbraw/zinc/98/78/78/630987878.db2.gz UQIQPEDLWMRFBS-FZMZJTMJSA-N 0 0 270.373 2.907 20 5 CFBDRN CC(C)c1nnc(COC(=O)c2ccc([N+](=O)[O-])o2)s1 ZINC000837334511 631003126 /nfs/dbraw/zinc/00/31/26/631003126.db2.gz IBLSXBSPMVFHDX-UHFFFAOYSA-N 0 0 297.292 2.520 20 5 CFBDRN CC(C)=CCOC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000808512694 631004971 /nfs/dbraw/zinc/00/49/71/631004971.db2.gz RITPBYWVCICXEC-UHFFFAOYSA-N 0 0 271.219 2.996 20 5 CFBDRN CC(C)=CCOC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000808563059 631013913 /nfs/dbraw/zinc/01/39/13/631013913.db2.gz GSFPQFFWFGMVKD-UHFFFAOYSA-N 0 0 285.246 2.925 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000808707269 631032659 /nfs/dbraw/zinc/03/26/59/631032659.db2.gz JSAVLVQEPCPOOU-YPMHNXCESA-N 0 0 278.308 2.657 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1c1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000808795272 631049215 /nfs/dbraw/zinc/04/92/15/631049215.db2.gz ROUNBDQXABWTQR-UONOGXRCSA-N 0 0 297.314 2.756 20 5 CFBDRN CCCOC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000808827987 631053514 /nfs/dbraw/zinc/05/35/14/631053514.db2.gz JGCLYFGBRRITMF-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN C/C=C(/C)C(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000809225714 631101250 /nfs/dbraw/zinc/10/12/50/631101250.db2.gz DNCWOPJJKTZFDI-KMKOMSMNSA-N 0 0 287.319 2.701 20 5 CFBDRN CCC[C@H]1CCC[C@@H]1C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000837832984 631139567 /nfs/dbraw/zinc/13/95/67/631139567.db2.gz TZKFBFHAVFMBAF-RYUDHWBXSA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(CSC1CC1)OCc1ccc([N+](=O)[O-])cc1F ZINC000837872739 631146198 /nfs/dbraw/zinc/14/61/98/631146198.db2.gz LYFQQKRZXYUFRE-UHFFFAOYSA-N 0 0 285.296 2.673 20 5 CFBDRN Cc1cccc(COC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000837924585 631151693 /nfs/dbraw/zinc/15/16/93/631151693.db2.gz VGFYQZMCNQTQFZ-UHFFFAOYSA-N 0 0 265.309 2.874 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CC1(F)F ZINC000837981094 631157759 /nfs/dbraw/zinc/15/77/59/631157759.db2.gz ONUOCPPNFIDZCT-ZETCQYMHSA-N 0 0 293.172 2.571 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CC[C@@H](C2CCC2)C1 ZINC000840571890 631165609 /nfs/dbraw/zinc/16/56/09/631165609.db2.gz HDAKDGPPWMKEMJ-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1Cc2ccncc2C1 ZINC000809565135 631235845 /nfs/dbraw/zinc/23/58/45/631235845.db2.gz UXNJJMGWOYPIGH-UHFFFAOYSA-N 0 0 285.303 2.514 20 5 CFBDRN CC(C)[C@H](C)NC(=O)CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000042471220 649999240 /nfs/dbraw/zinc/99/92/40/649999240.db2.gz JHCNYMWOELGLJC-VIFPVBQESA-N 0 0 299.758 2.821 20 5 CFBDRN CC1(C)C[C@@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000840857531 631243846 /nfs/dbraw/zinc/24/38/46/631243846.db2.gz YGSFNOLPAOQREU-JTQLQIEISA-N 0 0 265.338 2.680 20 5 CFBDRN Cc1noc([C@@H](C)OC(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000042650914 649999954 /nfs/dbraw/zinc/99/99/54/649999954.db2.gz QZXIUWWAIKDXCM-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OS(=O)(=O)CCC1CCC1 ZINC000809809211 631293500 /nfs/dbraw/zinc/29/35/00/631293500.db2.gz SFPLHXIFRQUAPU-UHFFFAOYSA-N 0 0 299.348 2.802 20 5 CFBDRN O=C(NCc1ccon1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000809914442 631301042 /nfs/dbraw/zinc/30/10/42/631301042.db2.gz JSCKJAORTGRCEA-UHFFFAOYSA-N 0 0 296.670 2.558 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NOC/C=C/Cl ZINC000810141923 631333334 /nfs/dbraw/zinc/33/33/34/631333334.db2.gz PJKABWNVBKYTFI-GORDUTHDSA-N 0 0 285.687 2.709 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1CCCSC1 ZINC000810558886 631391183 /nfs/dbraw/zinc/39/11/83/631391183.db2.gz XYCIFAUECZGAHZ-LLVKDONJSA-N 0 0 281.333 2.781 20 5 CFBDRN Cc1nn(Cc2cc(C)nc(Cl)c2)cc1[N+](=O)[O-] ZINC000840208621 631439126 /nfs/dbraw/zinc/43/91/26/631439126.db2.gz NFYODZQKEJHFIN-UHFFFAOYSA-N 0 0 266.688 2.505 20 5 CFBDRN Cc1cc([C@H](C)OC(=O)c2cc(F)cc([N+](=O)[O-])c2)no1 ZINC000810789503 631444315 /nfs/dbraw/zinc/44/43/15/631444315.db2.gz HILVUBUPMVZGLO-QMMMGPOBSA-N 0 0 294.238 2.948 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n(CC2CCC(F)(F)CC2)n1 ZINC000840210390 631448693 /nfs/dbraw/zinc/44/86/93/631448693.db2.gz ZJRWMOAERAVFJX-UHFFFAOYSA-N 0 0 290.226 2.525 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(-c2ccc(Cl)cc2)no1 ZINC000841734547 631461759 /nfs/dbraw/zinc/46/17/59/631461759.db2.gz QGIQKXJWYPAMOR-RKDXNWHRSA-N 0 0 265.656 2.523 20 5 CFBDRN CCC(CC)CNC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000104550054 631488426 /nfs/dbraw/zinc/48/84/26/631488426.db2.gz JAVBMJSSZVUQPN-UHFFFAOYSA-N 0 0 295.295 2.669 20 5 CFBDRN CCn1nc(C)c(Cn2ccc3c2cccc3[N+](=O)[O-])n1 ZINC000842031733 631507067 /nfs/dbraw/zinc/50/70/67/631507067.db2.gz YVYXJZPOQPSBPQ-UHFFFAOYSA-N 0 0 285.307 2.518 20 5 CFBDRN COCC1(COC(=O)c2cc([N+](=O)[O-])c(C)s2)CCC1 ZINC000811065251 631510870 /nfs/dbraw/zinc/51/08/70/631510870.db2.gz DEYNHDOXNFOALU-UHFFFAOYSA-N 0 0 299.348 2.938 20 5 CFBDRN CC[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1Cl)OC ZINC000842055684 631516604 /nfs/dbraw/zinc/51/66/04/631516604.db2.gz OBAIZUIECKKUEP-SECBINFHSA-N 0 0 287.699 2.830 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1)CC(C)C ZINC000842062661 631520292 /nfs/dbraw/zinc/52/02/92/631520292.db2.gz JSSQQBHVXGGZEC-ZDUSSCGKSA-N 0 0 281.308 2.813 20 5 CFBDRN O=C(OCCc1cncs1)c1csc([N+](=O)[O-])c1 ZINC000811122877 631521223 /nfs/dbraw/zinc/52/12/23/631521223.db2.gz AGVKKDFUTMMMKP-UHFFFAOYSA-N 0 0 284.318 2.512 20 5 CFBDRN CC[C@H](COC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)OC ZINC000842068489 631523122 /nfs/dbraw/zinc/52/31/22/631523122.db2.gz DQWVVDWYWBUJAV-SNVBAGLBSA-N 0 0 292.291 2.658 20 5 CFBDRN CC[C@@H](COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)OC ZINC000842084515 631531639 /nfs/dbraw/zinc/53/16/39/631531639.db2.gz GAMRIYLTLKGCFX-VIFPVBQESA-N 0 0 287.699 2.830 20 5 CFBDRN CC[C@@H](COC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000842090161 631535618 /nfs/dbraw/zinc/53/56/18/631535618.db2.gz SFDUPEMHQVTPEQ-FPAJZGIOSA-N 0 0 293.319 2.966 20 5 CFBDRN CCC(CC)(CO)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000842109926 631545446 /nfs/dbraw/zinc/54/54/46/631545446.db2.gz BMCLLJINBJOLSQ-UHFFFAOYSA-N 0 0 299.298 2.576 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000842114037 631546573 /nfs/dbraw/zinc/54/65/73/631546573.db2.gz PPUMQMGZFGNIEO-CQSZACIVSA-N 0 0 295.335 2.742 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1CCCSC1 ZINC000811413025 631561125 /nfs/dbraw/zinc/56/11/25/631561125.db2.gz WHNREKNACNAIJH-SECBINFHSA-N 0 0 287.362 2.843 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000842344188 631599374 /nfs/dbraw/zinc/59/93/74/631599374.db2.gz YYDRQNFAMCRVFA-PPKCKEKNSA-N 0 0 285.246 2.895 20 5 CFBDRN Cc1cc(NC[C@@H](O)C(C)C)c(Cl)cc1[N+](=O)[O-] ZINC000811807447 631624753 /nfs/dbraw/zinc/62/47/53/631624753.db2.gz WAWLTKBIJYGLSF-GFCCVEGCSA-N 0 0 272.732 2.985 20 5 CFBDRN CC[C@H](O)CCCNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000811808163 631626017 /nfs/dbraw/zinc/62/60/17/631626017.db2.gz XHQNRNBXHOGRMM-LBPRGKRZSA-N 0 0 280.324 2.760 20 5 CFBDRN CON(C[C@H]1CCC[C@@H](C)C1)C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000811812988 631626812 /nfs/dbraw/zinc/62/68/12/631626812.db2.gz GNSGWJUABXOQMZ-MNOVXSKESA-N 0 0 295.339 2.753 20 5 CFBDRN C[C@@H](COC(=O)Cc1ccc([N+](=O)[O-])cc1)CC(F)F ZINC000842433221 631627320 /nfs/dbraw/zinc/62/73/20/631627320.db2.gz CRTSIKHIRONYRD-SECBINFHSA-N 0 0 287.262 2.972 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2ncc([N+](=O)[O-])cc2F)no1 ZINC000840240589 631641723 /nfs/dbraw/zinc/64/17/23/631641723.db2.gz GZLURQQJLNZNSP-LBPRGKRZSA-N 0 0 292.270 2.767 20 5 CFBDRN O=[N+]([O-])c1cnc(Sc2nccn2C2CC2)c(F)c1 ZINC000840242129 631642939 /nfs/dbraw/zinc/64/29/39/631642939.db2.gz WPZPFKZVHUCZPK-UHFFFAOYSA-N 0 0 280.284 2.812 20 5 CFBDRN CCC[C@@](C)(O)c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000842488142 631643150 /nfs/dbraw/zinc/64/31/50/631643150.db2.gz PFEBRHWXVMOHCS-CQSZACIVSA-N 0 0 291.307 2.961 20 5 CFBDRN CC(C)c1ccc(Cc2noc([C@@H]3CC3[N+](=O)[O-])n2)cc1 ZINC000842488107 631643169 /nfs/dbraw/zinc/64/31/69/631643169.db2.gz RUYHFLZIJPOPIN-CHWSQXEVSA-N 0 0 287.319 2.916 20 5 CFBDRN CCCOc1cccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)c1 ZINC000842491600 631644671 /nfs/dbraw/zinc/64/46/71/631644671.db2.gz UYQJURALHIDCOY-VXGBXAGGSA-N 0 0 289.291 2.658 20 5 CFBDRN CCC[C@@](C)(O)c1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000842506395 631649201 /nfs/dbraw/zinc/64/92/01/631649201.db2.gz FJYMKMOBQVTULB-CQSZACIVSA-N 0 0 291.307 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NCCCCF)cc1 ZINC000812258176 631679816 /nfs/dbraw/zinc/67/98/16/631679816.db2.gz CTMNNTZQDSSOSQ-UHFFFAOYSA-N 0 0 271.317 2.631 20 5 CFBDRN CC(C)(C)OCC(N)=NOCc1ccc([N+](=O)[O-])c(F)c1 ZINC000842649434 631691959 /nfs/dbraw/zinc/69/19/59/631691959.db2.gz LXUOPTGEPYHPOQ-UHFFFAOYSA-N 0 0 299.302 2.547 20 5 CFBDRN O=[N+]([O-])c1c(F)c(F)c(OCCCF)c(F)c1F ZINC000842663904 631696432 /nfs/dbraw/zinc/69/64/32/631696432.db2.gz NGCNASSTQZHJBT-UHFFFAOYSA-N 0 0 271.141 2.890 20 5 CFBDRN O=C(NOC/C=C/Cl)c1cccc(Cl)c1[N+](=O)[O-] ZINC000812406161 631706029 /nfs/dbraw/zinc/70/60/29/631706029.db2.gz NXJABYJJJIRREG-GORDUTHDSA-N 0 0 291.090 2.662 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCCCCF ZINC000812479605 631724217 /nfs/dbraw/zinc/72/42/17/631724217.db2.gz KAHCKPRZWFCRIM-UHFFFAOYSA-N 0 0 254.261 2.959 20 5 CFBDRN CO[C@@](C)(CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])C1CC1 ZINC000812479152 631724312 /nfs/dbraw/zinc/72/43/12/631724312.db2.gz OETCEWUEPIXKAI-ZDUSSCGKSA-N 0 0 295.295 2.730 20 5 CFBDRN Cc1cc(NOCCOC(C)C)ccc1[N+](=O)[O-] ZINC000812488968 631726088 /nfs/dbraw/zinc/72/60/88/631726088.db2.gz PPPAYASRXNGEKL-UHFFFAOYSA-N 0 0 254.286 2.672 20 5 CFBDRN Cc1ccc(CNC(=O)c2ccc(N)c([N+](=O)[O-])c2)c(C)c1 ZINC000043744019 650024430 /nfs/dbraw/zinc/02/44/30/650024430.db2.gz LCZWRDUHAIOBQI-UHFFFAOYSA-N 0 0 299.330 2.724 20 5 CFBDRN COCC1(COC(=O)c2c(C)cccc2[N+](=O)[O-])CCC1 ZINC000812575848 631735480 /nfs/dbraw/zinc/73/54/80/631735480.db2.gz PAADNFXZALCAEK-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000812621919 631746220 /nfs/dbraw/zinc/74/62/20/631746220.db2.gz DFAMTANDUYEGBL-RYUDHWBXSA-N 0 0 295.335 2.599 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000812626202 631746857 /nfs/dbraw/zinc/74/68/57/631746857.db2.gz UDLOHKWANQAXED-QWRGUYRKSA-N 0 0 281.308 2.557 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000812627206 631748133 /nfs/dbraw/zinc/74/81/33/631748133.db2.gz RPIVVARFSCKTIM-GHMZBOCLSA-N 0 0 281.308 2.557 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000812633127 631748842 /nfs/dbraw/zinc/74/88/42/631748842.db2.gz WGMVVHLUIJNYCE-MNOVXSKESA-N 0 0 295.335 2.865 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccncc1Cl)[N+](=O)[O-] ZINC000842856471 631768104 /nfs/dbraw/zinc/76/81/04/631768104.db2.gz VKPFXQHETDSRED-UHFFFAOYSA-N 0 0 286.715 2.614 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NOC2CCC2)c1 ZINC000812788796 631776440 /nfs/dbraw/zinc/77/64/40/631776440.db2.gz BAJVBNMAOCDJMM-UHFFFAOYSA-N 0 0 282.321 2.531 20 5 CFBDRN Cc1cnc(CCOC(=O)CCC(C)(C)[N+](=O)[O-])c(C)c1 ZINC000842894112 631776962 /nfs/dbraw/zinc/77/69/62/631776962.db2.gz PDZCXCWOGZOFTD-UHFFFAOYSA-N 0 0 294.351 2.620 20 5 CFBDRN CC(C)SCc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812811778 631788797 /nfs/dbraw/zinc/78/87/97/631788797.db2.gz IZUNOMAIQVYLIF-UHFFFAOYSA-N 0 0 273.358 2.699 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2C[C@H]2C2CC2)n1)[N+](=O)[O-] ZINC000812811800 631788813 /nfs/dbraw/zinc/78/88/13/631788813.db2.gz KAVSOYIXKXBYNU-VHSXEESVSA-N 0 0 265.313 2.571 20 5 CFBDRN CCCSCc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812813326 631789310 /nfs/dbraw/zinc/78/93/10/631789310.db2.gz IMKOOEGDNZJJPI-UHFFFAOYSA-N 0 0 273.358 2.701 20 5 CFBDRN CCO[C@H](Cc1nc(CCC(C)(C)[N+](=O)[O-])no1)C(C)C ZINC000812814921 631789566 /nfs/dbraw/zinc/78/95/66/631789566.db2.gz UYXFPFPGQDSNMQ-LLVKDONJSA-N 0 0 299.371 2.661 20 5 CFBDRN CC(C)(CCc1noc(Cc2cccs2)n1)[N+](=O)[O-] ZINC000812815628 631789846 /nfs/dbraw/zinc/78/98/46/631789846.db2.gz SRFLZAKZJMCAEK-UHFFFAOYSA-N 0 0 281.337 2.710 20 5 CFBDRN C[C@@H]1CC[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)C1 ZINC000812814469 631789920 /nfs/dbraw/zinc/78/99/20/631789920.db2.gz YABFOGSVAFMRLE-NXEZZACHSA-N 0 0 267.329 2.961 20 5 CFBDRN C[C@H](COC(=O)Cc1cccc2ccccc21)[N+](=O)[O-] ZINC000813100296 631836745 /nfs/dbraw/zinc/83/67/45/631836745.db2.gz QCEBWRZPFDFULE-LLVKDONJSA-N 0 0 273.288 2.591 20 5 CFBDRN C[C@@H](COC(=O)Cc1csc2ccccc12)[N+](=O)[O-] ZINC000813103627 631839985 /nfs/dbraw/zinc/83/99/85/631839985.db2.gz RWHJZGTTZLQTNK-VIFPVBQESA-N 0 0 279.317 2.652 20 5 CFBDRN CC[C@H](C)n1ncc(C(=O)OC[C@@H](C)[N+](=O)[O-])c1C1CC1 ZINC000813105197 631840067 /nfs/dbraw/zinc/84/00/67/631840067.db2.gz KIYIUUFRRNAXNC-VHSXEESVSA-N 0 0 295.339 2.554 20 5 CFBDRN C[C@H](COC(=O)c1cc2c(s1)CC[C@@H](C)C2)[N+](=O)[O-] ZINC000813105369 631841178 /nfs/dbraw/zinc/84/11/78/631841178.db2.gz YCUVKYILRVGGKL-RKDXNWHRSA-N 0 0 283.349 2.695 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc1-n1cccc1 ZINC000813106612 631841469 /nfs/dbraw/zinc/84/14/69/631841469.db2.gz FURGRSCFWCZNNQ-GFCCVEGCSA-N 0 0 288.303 2.608 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(-c2ccccc2)o1)[N+](=O)[O-] ZINC000813106871 631841690 /nfs/dbraw/zinc/84/16/90/631841690.db2.gz HBRXPSJRVBJSMZ-JTQLQIEISA-N 0 0 275.260 2.769 20 5 CFBDRN C[C@@H](COC(=O)CCc1cc(Cl)cs1)[N+](=O)[O-] ZINC000813107061 631841787 /nfs/dbraw/zinc/84/17/87/631841787.db2.gz LKSQDYSORVUXAW-ZETCQYMHSA-N 0 0 277.729 2.543 20 5 CFBDRN Cc1cc2cc(C(=O)OC[C@H](C)[N+](=O)[O-])oc2cc1C ZINC000813108648 631843130 /nfs/dbraw/zinc/84/31/30/631843130.db2.gz JGJSOEFTHSEVGA-JTQLQIEISA-N 0 0 277.276 2.872 20 5 CFBDRN C[C@@H](COC(=O)[C@H](C)c1ccc(Cl)s1)[N+](=O)[O-] ZINC000813110088 631843257 /nfs/dbraw/zinc/84/32/57/631843257.db2.gz ZGMNZADVPHSPQI-NKWVEPMBSA-N 0 0 277.729 2.713 20 5 CFBDRN C/C(=C\c1ccc(C)cc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813109132 631843818 /nfs/dbraw/zinc/84/38/18/631843818.db2.gz OKNYKYRWFSFLOM-OBIHZWKSSA-N 0 0 263.293 2.607 20 5 CFBDRN C[C@H](COC(=O)c1cc2ccccc2s1)[N+](=O)[O-] ZINC000813113758 631844831 /nfs/dbraw/zinc/84/48/31/631844831.db2.gz UXGSFVRJAUAFGT-MRVPVSSYSA-N 0 0 265.290 2.723 20 5 CFBDRN Cc1csc(C(=O)OC[C@H](C)[N+](=O)[O-])c1Cl ZINC000813113196 631845007 /nfs/dbraw/zinc/84/50/07/631845007.db2.gz OIOSDYZOBOQNBY-LURJTMIESA-N 0 0 263.702 2.532 20 5 CFBDRN Cc1ccc2oc(C(=O)OC[C@@H](C)[N+](=O)[O-])cc2c1 ZINC000813114258 631845967 /nfs/dbraw/zinc/84/59/67/631845967.db2.gz OLYMRKPBAXTKFR-SECBINFHSA-N 0 0 263.249 2.563 20 5 CFBDRN CC[C@H](C)c1ccccc1OCC(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813114183 631846079 /nfs/dbraw/zinc/84/60/79/631846079.db2.gz ZRTISDFUMQOFFI-RYUDHWBXSA-N 0 0 295.335 2.787 20 5 CFBDRN CC(C)COc1ccccc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813114016 631846659 /nfs/dbraw/zinc/84/66/59/631846659.db2.gz KZOKQRGMFQIYEM-LLVKDONJSA-N 0 0 281.308 2.543 20 5 CFBDRN COc1cc(C(=O)OC2CC(C)C2)c([N+](=O)[O-])cc1OC ZINC000813228667 631886142 /nfs/dbraw/zinc/88/61/42/631886142.db2.gz CFXJTXQQDSYKLT-UHFFFAOYSA-N 0 0 295.291 2.567 20 5 CFBDRN CC1CC(OC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000813231597 631887923 /nfs/dbraw/zinc/88/79/23/631887923.db2.gz OOLKFSRNOCRRLZ-DAXSKMNVSA-N 0 0 261.277 2.950 20 5 CFBDRN CCOc1ccc(C(=O)OC2CC(C)C2)cc1[N+](=O)[O-] ZINC000813231062 631888049 /nfs/dbraw/zinc/88/80/49/631888049.db2.gz PSTLCGKSLQNKJZ-UHFFFAOYSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H](N)CC(F)F)n1 ZINC000843187970 631890700 /nfs/dbraw/zinc/89/07/00/631890700.db2.gz VUPAEJBCNLERBC-VIFPVBQESA-N 0 0 298.249 2.608 20 5 CFBDRN CCC[C@@H](CC)NC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000813428426 631920214 /nfs/dbraw/zinc/92/02/14/631920214.db2.gz XAUGOLTXYBPVML-SNVBAGLBSA-N 0 0 279.340 2.794 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000867324327 631920273 /nfs/dbraw/zinc/92/02/73/631920273.db2.gz BQRLAQIBIHAJKH-HJQYOEGKSA-N 0 0 290.319 2.834 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000867324330 631920488 /nfs/dbraw/zinc/92/04/88/631920488.db2.gz BQRLAQIBIHAJKH-SASUGWTJSA-N 0 0 290.319 2.834 20 5 CFBDRN CCc1cc(CC)n(C[C@H](O)c2cccc([N+](=O)[O-])c2)n1 ZINC000843294769 631920937 /nfs/dbraw/zinc/92/09/37/631920937.db2.gz JGKJZQRUDCFPGW-HNNXBMFYSA-N 0 0 289.335 2.650 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1nnc(C(C)C)o1 ZINC000843299158 631921786 /nfs/dbraw/zinc/92/17/86/631921786.db2.gz VHXCZPXRHDCUOV-UHFFFAOYSA-N 0 0 291.311 2.755 20 5 CFBDRN CCSCCNc1cc([N+](=O)[O-])ccc1NC ZINC000843300353 631921894 /nfs/dbraw/zinc/92/18/94/631921894.db2.gz KFMKXXZKGLGKDA-UHFFFAOYSA-N 0 0 255.343 2.802 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCCOC1CCC1 ZINC000813594445 631936783 /nfs/dbraw/zinc/93/67/83/631936783.db2.gz JKYWHPZSHKSWKJ-UHFFFAOYSA-N 0 0 287.319 2.546 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1(F)CC1 ZINC000843551398 631983651 /nfs/dbraw/zinc/98/36/51/631983651.db2.gz NRIUEWMCSHXQIS-UHFFFAOYSA-N 0 0 272.663 2.997 20 5 CFBDRN COCC(C)(C)COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000813993072 631987052 /nfs/dbraw/zinc/98/70/52/631987052.db2.gz DSJLKTXKFRQWAD-TWGQIWQCSA-N 0 0 293.319 2.824 20 5 CFBDRN C[C@@H](SCc1ccccc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814033823 631996515 /nfs/dbraw/zinc/99/65/15/631996515.db2.gz DRKZAPMVZHKBPC-WDEREUQCSA-N 0 0 283.349 2.517 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC[C@H](C)c1ccccc1 ZINC000814035475 631997111 /nfs/dbraw/zinc/99/71/11/631997111.db2.gz NRRMTIAWVMXBRO-NWDGAFQWSA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCc2c1cccc2Cl ZINC000814035741 631997263 /nfs/dbraw/zinc/99/72/63/631997263.db2.gz CIYMOBHJCCBTJY-LDYMZIIASA-N 0 0 283.711 2.578 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ncsc1-c1ccccc1 ZINC000814033805 631997317 /nfs/dbraw/zinc/99/73/17/631997317.db2.gz DJYPEBJVDHURSQ-SECBINFHSA-N 0 0 292.316 2.632 20 5 CFBDRN Cc1cc(C(=O)CCC(=O)O[C@@H](C)C[N+](=O)[O-])c(C)s1 ZINC000814038016 631997753 /nfs/dbraw/zinc/99/77/53/631997753.db2.gz KQQBZKZFIGDVMW-QMMMGPOBSA-N 0 0 299.348 2.536 20 5 CFBDRN CC[C@@H](CC1CCCC1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814036365 631997968 /nfs/dbraw/zinc/99/79/68/631997968.db2.gz WJTZTZCDGYPJIQ-PWSUYJOCSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)C[N+](=O)[O-])cnn1C1CCCCC1 ZINC000814039550 631998682 /nfs/dbraw/zinc/99/86/82/631998682.db2.gz RZNJAKKCSPMCPD-JTQLQIEISA-N 0 0 295.339 2.519 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1(C(F)F)CC1 ZINC000843593342 631998774 /nfs/dbraw/zinc/99/87/74/631998774.db2.gz KQNKEIVOOUIOEG-UHFFFAOYSA-N 0 0 298.289 2.641 20 5 CFBDRN Cc1cc2occ(C(=O)O[C@H](C)C[N+](=O)[O-])c2cc1C ZINC000814040107 631998888 /nfs/dbraw/zinc/99/88/88/631998888.db2.gz CXZLSGBXLOIKED-SNVBAGLBSA-N 0 0 277.276 2.872 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H](OC(C)(C)C)c1ccccc1 ZINC000814039378 631998943 /nfs/dbraw/zinc/99/89/43/631998943.db2.gz OPOWHFKWLRSPAQ-AAEUAGOBSA-N 0 0 295.335 2.751 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)Cc1ccccc1Cl ZINC000814041561 631999624 /nfs/dbraw/zinc/99/96/24/631999624.db2.gz QMWXOZBLKJBKLA-VHSXEESVSA-N 0 0 285.727 2.727 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1ccc(C(C)(C)C)cc1 ZINC000814044080 632000292 /nfs/dbraw/zinc/00/02/92/632000292.db2.gz AXVULQCLMDPZQE-NSHDSACASA-N 0 0 279.336 2.735 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc2c(s1)CC[C@@H](C)C2 ZINC000814043134 632000391 /nfs/dbraw/zinc/00/03/91/632000391.db2.gz TZGOTNVUZICCHG-RKDXNWHRSA-N 0 0 283.349 2.695 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccccc1C(C)(C)C ZINC000814043545 632000639 /nfs/dbraw/zinc/00/06/39/632000639.db2.gz FZNHOYZUKLSMDY-JTQLQIEISA-N 0 0 265.309 2.806 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)Cc1ccc(Cl)c(Cl)c1 ZINC000814048294 632001164 /nfs/dbraw/zinc/00/11/64/632001164.db2.gz RRKJLNSZBFTIFL-ZETCQYMHSA-N 0 0 292.118 2.744 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCSCc1ccccc1 ZINC000814047771 632001298 /nfs/dbraw/zinc/00/12/98/632001298.db2.gz FSAXCACYZCGPHE-NSHDSACASA-N 0 0 283.349 2.518 20 5 CFBDRN Nc1ccc(NCCOCC2CCCC2)c([N+](=O)[O-])c1 ZINC000840312682 632009116 /nfs/dbraw/zinc/00/91/16/632009116.db2.gz OPYOLNCLNYGTSP-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN CN(CCC(C)(C)C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000843684658 632026971 /nfs/dbraw/zinc/02/69/71/632026971.db2.gz XZLAMDUXFOAORX-UHFFFAOYSA-N 0 0 258.362 2.717 20 5 CFBDRN C[C@@H](CF)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000814262525 632048655 /nfs/dbraw/zinc/04/86/55/632048655.db2.gz UNPIUAJWJLBHGP-LURJTMIESA-N 0 0 255.274 2.974 20 5 CFBDRN CCC[C@H](C)NC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843760685 632050040 /nfs/dbraw/zinc/05/00/40/632050040.db2.gz ATJBCFYFCFYOQQ-QMMMGPOBSA-N 0 0 268.288 2.961 20 5 CFBDRN O=C(NOCCC1CC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000814308654 632051609 /nfs/dbraw/zinc/05/16/09/632051609.db2.gz OUPBSKAKEXBBDA-UHFFFAOYSA-N 0 0 283.259 2.587 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCC[C@H]1C ZINC000843791636 632056786 /nfs/dbraw/zinc/05/67/86/632056786.db2.gz RVJLYHADBUFMAB-LDYMZIIASA-N 0 0 280.299 2.961 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@H]1CCCS1 ZINC000843822832 632062177 /nfs/dbraw/zinc/06/21/77/632062177.db2.gz VZGZTALZBZRUKM-SECBINFHSA-N 0 0 298.339 2.668 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@H]1CCSC1 ZINC000843871908 632069713 /nfs/dbraw/zinc/06/97/13/632069713.db2.gz BCORAMUEJGVAOE-SECBINFHSA-N 0 0 298.339 2.525 20 5 CFBDRN CC(C)(C)C1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000840323106 632073139 /nfs/dbraw/zinc/07/31/39/632073139.db2.gz GFYSEOXVKUTGDH-UHFFFAOYSA-N 0 0 279.296 2.985 20 5 CFBDRN CC(C)=CCOC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000814482351 632075460 /nfs/dbraw/zinc/07/54/60/632075460.db2.gz VBBZVFFHYNVPAY-UHFFFAOYSA-N 0 0 296.298 2.923 20 5 CFBDRN Cc1nc(C(=O)OCc2ccccc2[N+](=O)[O-])c(C)o1 ZINC000814504973 632081916 /nfs/dbraw/zinc/08/19/16/632081916.db2.gz DKVOTHOCFBAVKY-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](F)C(C)C ZINC000843965923 632085674 /nfs/dbraw/zinc/08/56/74/632085674.db2.gz HKCKRMQMRUTCPR-NSHDSACASA-N 0 0 269.276 2.569 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)OCc2cccc([N+](=O)[O-])c2)C1 ZINC000814557837 632091198 /nfs/dbraw/zinc/09/11/98/632091198.db2.gz QBLVZJQZBHUZPK-TZMCWYRMSA-N 0 0 293.319 2.843 20 5 CFBDRN O=C(NOCC1CC1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000814629895 632100265 /nfs/dbraw/zinc/10/02/65/632100265.db2.gz KSBIVGLLRCPALS-UHFFFAOYSA-N 0 0 285.687 2.711 20 5 CFBDRN CCON(C(=O)c1cccc(C)c1[N+](=O)[O-])C(C)C ZINC000844082077 632111259 /nfs/dbraw/zinc/11/12/59/632111259.db2.gz NDVHDHKQWZOZIM-UHFFFAOYSA-N 0 0 266.297 2.705 20 5 CFBDRN CCCONC(=O)c1cc([N+](=O)[O-])ccc1SCC ZINC000844084875 632113590 /nfs/dbraw/zinc/11/35/90/632113590.db2.gz CTWOWUUYWXSQQY-UHFFFAOYSA-N 0 0 284.337 2.778 20 5 CFBDRN CO[C@@H]1CCC[C@H](OC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000844259756 632147148 /nfs/dbraw/zinc/14/71/48/632147148.db2.gz RGJLMBBRNGCHJN-OLZOCXBDSA-N 0 0 293.319 2.638 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC[C@H](C)F ZINC000814915025 632162756 /nfs/dbraw/zinc/16/27/56/632162756.db2.gz MIFPNSDGEFBPMG-QMMMGPOBSA-N 0 0 254.261 2.957 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(Cc1cc3n(n1)CCC3)CC2 ZINC000844314041 632166102 /nfs/dbraw/zinc/16/61/02/632166102.db2.gz BIYOOEMIAVYENB-UHFFFAOYSA-N 0 0 298.346 2.609 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@@H]1CCc2ccccc21 ZINC000844325111 632170205 /nfs/dbraw/zinc/17/02/05/632170205.db2.gz PNWWEKGEQFVYHP-CQSZACIVSA-N 0 0 286.287 2.778 20 5 CFBDRN COC/C(C)=C\C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000844422710 632205248 /nfs/dbraw/zinc/20/52/48/632205248.db2.gz IETIAWGDPHKXIZ-LUAWRHEFSA-N 0 0 292.335 2.539 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)O[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000815243234 632238175 /nfs/dbraw/zinc/23/81/75/632238175.db2.gz CZRGWFLBRVIZFV-DTWKUNHWSA-N 0 0 281.312 2.787 20 5 CFBDRN C[C@]1(CCNC(=O)c2ccc([N+](=O)[O-])o2)C[C@]1(F)Cl ZINC000844821096 632317306 /nfs/dbraw/zinc/31/73/06/632317306.db2.gz KRJJYXQGBMMGLU-WDEREUQCSA-N 0 0 290.678 2.622 20 5 CFBDRN CC(F)(F)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000815848973 632326258 /nfs/dbraw/zinc/32/62/58/632326258.db2.gz SPVSSVHYTJRAFN-UHFFFAOYSA-N 0 0 284.262 2.741 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCCCC2)[C@@H]1CC1[N+](=O)[O-] ZINC000844893481 632329135 /nfs/dbraw/zinc/32/91/35/632329135.db2.gz GXCSUMOYFZJENM-ZIAGYGMSSA-N 0 0 274.320 2.559 20 5 CFBDRN C[C@H](C(=O)OCCc1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000815982848 632346778 /nfs/dbraw/zinc/34/67/78/632346778.db2.gz JDQBJKNLDINJFG-SECBINFHSA-N 0 0 287.262 2.972 20 5 CFBDRN C[C@@H](COC(=O)/C(F)=C/C1CCCCC1)[N+](=O)[O-] ZINC000816091310 632370033 /nfs/dbraw/zinc/37/00/33/632370033.db2.gz RONWHJJRMKJFCM-MKVDPYIPSA-N 0 0 259.277 2.629 20 5 CFBDRN Cc1ccoc1COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000816101223 632372613 /nfs/dbraw/zinc/37/26/13/632372613.db2.gz KZMHRSPJKFYGIH-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN CCC1(COC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)CC1 ZINC000816186451 632390439 /nfs/dbraw/zinc/39/04/39/632390439.db2.gz CTJJCGNQUQZMFN-UHFFFAOYSA-N 0 0 293.275 2.671 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000816225368 632398832 /nfs/dbraw/zinc/39/88/32/632398832.db2.gz RLHDBVIXAWVPHR-FOGDFJRCSA-N 0 0 280.324 2.915 20 5 CFBDRN CNc1c(C(=O)OCc2coc(C)c2)cccc1[N+](=O)[O-] ZINC000816243179 632407421 /nfs/dbraw/zinc/40/74/21/632407421.db2.gz KBBJDKLXXUYMBF-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN Cc1c(CC(=O)OC[C@@H]2CC2(F)F)cccc1[N+](=O)[O-] ZINC000816415778 632447662 /nfs/dbraw/zinc/44/76/62/632447662.db2.gz BYEPRVOCWLCMCG-JTQLQIEISA-N 0 0 285.246 2.644 20 5 CFBDRN COC[C@H]1CCN(c2cc(Cl)c(F)cc2[N+](=O)[O-])C1 ZINC000845491501 632448233 /nfs/dbraw/zinc/44/82/33/632448233.db2.gz NTMFKDGLDAQSAQ-QMMMGPOBSA-N 0 0 288.706 2.860 20 5 CFBDRN O=C(OC[C@H]1CC1(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000816423803 632452364 /nfs/dbraw/zinc/45/23/64/632452364.db2.gz OEYYNTJBCKQOFV-SSDOTTSWSA-N 0 0 275.182 2.546 20 5 CFBDRN CCn1ncnc1CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000845526954 632458434 /nfs/dbraw/zinc/45/84/34/632458434.db2.gz DFLFKHRQCGQZSV-UHFFFAOYSA-N 0 0 299.693 2.611 20 5 CFBDRN C[C@H](C(=O)OCC[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000816448063 632465854 /nfs/dbraw/zinc/46/58/54/632465854.db2.gz SPPVJOLBGQJJTH-NWDGAFQWSA-N 0 0 293.319 2.668 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC3(CC3(F)F)CC2)c1 ZINC000845703198 632528428 /nfs/dbraw/zinc/52/84/28/632528428.db2.gz UMTNHXAWKQFMCV-UHFFFAOYSA-N 0 0 283.278 2.803 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC([C@H](C)CO)CC1 ZINC000845707315 632529781 /nfs/dbraw/zinc/52/97/81/632529781.db2.gz NUUKKGRTTOATAV-GFCCVEGCSA-N 0 0 278.352 2.748 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2nc3ccccn3c2[N+](=O)[O-])CCO1 ZINC000817123948 632561050 /nfs/dbraw/zinc/56/10/50/632561050.db2.gz COLLXHVHSGNXPT-GHMZBOCLSA-N 0 0 290.323 2.612 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1CC12CC2 ZINC000817234718 632578167 /nfs/dbraw/zinc/57/81/67/632578167.db2.gz BZSRHYUKZKRMHK-SNVBAGLBSA-N 0 0 265.240 2.577 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(=O)C(C)(C)C ZINC000817238035 632579731 /nfs/dbraw/zinc/57/97/31/632579731.db2.gz FNXFCQONVLPJFE-UHFFFAOYSA-N 0 0 293.319 2.984 20 5 CFBDRN CC[C@H](OC(=O)COc1ccccc1[N+](=O)[O-])C(C)C ZINC000845848387 632579861 /nfs/dbraw/zinc/57/98/61/632579861.db2.gz AWMDEGIFVVMYTB-LBPRGKRZSA-N 0 0 281.308 2.951 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC[C@@H]1CCCO1 ZINC000817238613 632580728 /nfs/dbraw/zinc/58/07/28/632580728.db2.gz KUMQRAKVDZRKMN-LBPRGKRZSA-N 0 0 293.319 2.938 20 5 CFBDRN CC(C)CCNC(=O)[C@@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC000106074817 632583995 /nfs/dbraw/zinc/58/39/95/632583995.db2.gz BFVKREHMFRXGBA-SNVBAGLBSA-N 0 0 298.314 2.664 20 5 CFBDRN CCCC(=O)O[C@@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845873827 632588828 /nfs/dbraw/zinc/58/88/28/632588828.db2.gz WXJZRHPCIAJEON-NOZJJQNGSA-N 0 0 269.272 2.875 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@@H]1CC12CC2 ZINC000817424020 632629812 /nfs/dbraw/zinc/62/98/12/632629812.db2.gz FWGKXIKHFQKZIZ-LURJTMIESA-N 0 0 268.219 2.612 20 5 CFBDRN C[C@H](C(=O)NOCCC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000817425767 632630519 /nfs/dbraw/zinc/63/05/19/632630519.db2.gz MSFXBSWASBYJMC-VIFPVBQESA-N 0 0 296.298 2.685 20 5 CFBDRN Cc1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)cc1[N+](=O)[O-] ZINC000846109090 632633416 /nfs/dbraw/zinc/63/34/16/632633416.db2.gz CVMBLYODFXFJJQ-PHIMTYICSA-N 0 0 268.263 2.600 20 5 CFBDRN Cc1ccc(COC(=O)[C@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000817455363 632640587 /nfs/dbraw/zinc/64/05/87/632640587.db2.gz WTSFTZOMAXFDLE-LBPRGKRZSA-N 0 0 285.246 2.992 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])C12CCC2 ZINC000840342747 632654869 /nfs/dbraw/zinc/65/48/69/632654869.db2.gz PXLHZAYFSPSJHA-QWHCGFSZSA-N 0 0 277.324 2.547 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NOC/C=C\Cl ZINC000817606604 632675521 /nfs/dbraw/zinc/67/55/21/632675521.db2.gz CYYWNQMEYQMBSN-ARJAWSKDSA-N 0 0 284.699 2.626 20 5 CFBDRN C[C@@H](Nc1cccc(F)c1[N+](=O)[O-])c1ccn(C)n1 ZINC000840346754 632685595 /nfs/dbraw/zinc/68/55/95/632685595.db2.gz KNELOTWNFOKONK-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN CCO[C@H]1C[C@H]1COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817730962 632696453 /nfs/dbraw/zinc/69/64/53/632696453.db2.gz LBOGSMZBIJYYMJ-FZMZJTMJSA-N 0 0 293.319 2.793 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@@]1(C)CCOC1 ZINC000817736035 632698622 /nfs/dbraw/zinc/69/86/22/632698622.db2.gz RHJPSTKBBPLOHV-AWEZNQCLSA-N 0 0 279.292 2.547 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCO[C@H]1C ZINC000817736701 632698684 /nfs/dbraw/zinc/69/86/84/632698684.db2.gz WHTBQPMEADTKOB-RYUDHWBXSA-N 0 0 293.319 2.793 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCc1cccs1 ZINC000818416921 632841194 /nfs/dbraw/zinc/84/11/94/632841194.db2.gz IEGMBJCOUWXRBL-UHFFFAOYSA-N 0 0 277.301 2.942 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nc3ccccn3c2[N+](=O)[O-])CS1 ZINC000840364172 632870413 /nfs/dbraw/zinc/87/04/13/632870413.db2.gz AEGHYLGJWHTORW-BDAKNGLRSA-N 0 0 278.337 2.548 20 5 CFBDRN O=C1CCN(Cc2ccc([N+](=O)[O-])c(F)c2)C2(CCC2)C1 ZINC000847000086 632875527 /nfs/dbraw/zinc/87/55/27/632875527.db2.gz XGBYMUUHESDATI-UHFFFAOYSA-N 0 0 292.310 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)CC2CC2)c1C ZINC000885424860 632877437 /nfs/dbraw/zinc/87/74/37/632877437.db2.gz XIJILZVRJZAZCE-UHFFFAOYSA-N 0 0 291.303 2.738 20 5 CFBDRN Cc1nocc1COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885424859 632878014 /nfs/dbraw/zinc/87/80/14/632878014.db2.gz XIHRQVGBLHKWDX-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CCCCOC(=O)C(C)(C)NCc1cccc([N+](=O)[O-])c1 ZINC000847065191 632908992 /nfs/dbraw/zinc/90/89/92/632908992.db2.gz RXHMUXMKFCAURZ-UHFFFAOYSA-N 0 0 294.351 2.806 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000840370595 632924807 /nfs/dbraw/zinc/92/48/07/632924807.db2.gz XWZRCLPYVQYZLR-GUBZILKMSA-N 0 0 298.314 2.968 20 5 CFBDRN Cc1c(NC(=O)/C=C/[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000819001807 632927049 /nfs/dbraw/zinc/92/70/49/632927049.db2.gz FFGJANDALUQJJH-AEZGRPFRSA-N 0 0 276.292 2.577 20 5 CFBDRN O=C(NCCNc1ccc([N+](=O)[O-])cc1)C(F)=C1CCC1 ZINC000819023720 632932112 /nfs/dbraw/zinc/93/21/12/632932112.db2.gz RKDYZNOMPVEION-UHFFFAOYSA-N 0 0 293.298 2.530 20 5 CFBDRN CC(C)(C)N1CC[C@H](Nc2ccc(F)c([N+](=O)[O-])c2)C1=O ZINC000819065877 632951264 /nfs/dbraw/zinc/95/12/64/632951264.db2.gz PLQFMWVBKGWLDW-NSHDSACASA-N 0 0 295.314 2.545 20 5 CFBDRN C[C@H]1CC(C)(C)CN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000840375109 632993008 /nfs/dbraw/zinc/99/30/08/632993008.db2.gz HXIOBQYONRIWJW-JTQLQIEISA-N 0 0 274.324 2.867 20 5 CFBDRN CCc1ccc(C(=O)OCC[C@@H](C)COC)cc1[N+](=O)[O-] ZINC000847874333 633122092 /nfs/dbraw/zinc/12/20/92/633122092.db2.gz GIWICSXLJHWALD-LLVKDONJSA-N 0 0 295.335 2.987 20 5 CFBDRN CCO[C@H]1C[C@H](OC(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000847918352 633144388 /nfs/dbraw/zinc/14/43/88/633144388.db2.gz UUTSIGKFIJZXDF-HAQNSBGRSA-N 0 0 279.292 2.628 20 5 CFBDRN COC(=O)[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000840387026 633202451 /nfs/dbraw/zinc/20/24/51/633202451.db2.gz LOKVWMSYCZKKLC-HNNXBMFYSA-N 0 0 292.335 2.683 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C1=COCCC1 ZINC000107453460 633221127 /nfs/dbraw/zinc/22/11/27/633221127.db2.gz PBVIJMHTOVZXQG-UHFFFAOYSA-N 0 0 263.249 2.503 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@H]2N[C@@H]1CCn2ccnc21 ZINC000848554598 633288273 /nfs/dbraw/zinc/28/82/73/633288273.db2.gz XPRKRUVQOZSVRO-CHWSQXEVSA-N 0 0 284.319 2.513 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)Cc1c(C)noc1C ZINC000848645044 633307394 /nfs/dbraw/zinc/30/73/94/633307394.db2.gz WSOHJQQAXKTOJB-UHFFFAOYSA-N 0 0 291.307 2.845 20 5 CFBDRN CCC[C@@](C)(O)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000848665162 633313175 /nfs/dbraw/zinc/31/31/75/633313175.db2.gz OAEYTIFATMQQPY-CYBMUJFWSA-N 0 0 268.313 2.566 20 5 CFBDRN CCc1nocc1COC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000848690010 633317968 /nfs/dbraw/zinc/31/79/68/633317968.db2.gz PFUXENLZALGWKK-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Nc1ccc(N[C@H](C2CC2)[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000848744470 633332100 /nfs/dbraw/zinc/33/21/00/633332100.db2.gz NLLWCNSOTFBQKC-HUUCEWRRSA-N 0 0 291.351 2.937 20 5 CFBDRN Nc1nccc(C(=O)OCCC2=CCCCC2)c1[N+](=O)[O-] ZINC000848789553 633343666 /nfs/dbraw/zinc/34/36/66/633343666.db2.gz CAOWWXBRHFHEQW-UHFFFAOYSA-N 0 0 291.307 2.619 20 5 CFBDRN CN(Cc1cnc(Cl)n1C)Cc1ccccc1[N+](=O)[O-] ZINC000848880199 633357698 /nfs/dbraw/zinc/35/76/98/633357698.db2.gz CCFFCZOYRIWERR-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN COC(=O)[C@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000840387909 633380786 /nfs/dbraw/zinc/38/07/86/633380786.db2.gz RGBOGHOOUJYPPQ-CQSZACIVSA-N 0 0 296.298 2.513 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@H](C3CCC3)C2)nc2ccccn21 ZINC000840391807 633401121 /nfs/dbraw/zinc/40/11/21/633401121.db2.gz OUWSVQXALKYHJO-LBPRGKRZSA-N 0 0 286.335 2.869 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@@H](C3CCC3)C2)nc2ccccn21 ZINC000840391805 633401472 /nfs/dbraw/zinc/40/14/72/633401472.db2.gz OUWSVQXALKYHJO-GFCCVEGCSA-N 0 0 286.335 2.869 20 5 CFBDRN CSC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000857604414 635992402 /nfs/dbraw/zinc/99/24/02/635992402.db2.gz SEZWHFHXHYVHOH-UHFFFAOYSA-N 0 0 268.338 2.983 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1CCO[C@@H]1CC1(F)F ZINC000849313247 633612900 /nfs/dbraw/zinc/61/29/00/633612900.db2.gz QMIWCNPUWVRCPY-TVQRCGJNSA-N 0 0 298.289 2.770 20 5 CFBDRN O=[N+]([O-])c1cc(NCCO[C@@H]2CC2(F)F)ccc1F ZINC000849312088 633612991 /nfs/dbraw/zinc/61/29/91/633612991.db2.gz XBYBPGVKZPPSKI-SNVBAGLBSA-N 0 0 276.214 2.570 20 5 CFBDRN Cn1c(C(=O)OCCCC2CCC2)ccc1[N+](=O)[O-] ZINC000849667697 633664928 /nfs/dbraw/zinc/66/49/28/633664928.db2.gz DLIDIWBOACUPEX-UHFFFAOYSA-N 0 0 266.297 2.670 20 5 CFBDRN CC(C)SCC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849679017 633667709 /nfs/dbraw/zinc/66/77/09/633667709.db2.gz ISDJNLKZEHFWSY-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000849678119 633667828 /nfs/dbraw/zinc/66/78/28/633667828.db2.gz NTGBVGQTNHQEGO-ZETCQYMHSA-N 0 0 285.321 2.737 20 5 CFBDRN O=C(CC[C@@H]1CCCO1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849682223 633672525 /nfs/dbraw/zinc/67/25/25/633672525.db2.gz HILWTSBWSCEHML-LBPRGKRZSA-N 0 0 297.282 2.736 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@H]1[C@@H]2CCC[C@@H]21 ZINC000849752091 633702534 /nfs/dbraw/zinc/70/25/34/633702534.db2.gz ACQDMMNTRIYKKQ-PJXYFTJBSA-N 0 0 279.267 2.823 20 5 CFBDRN O=C(/C=C\[C@@H]1CCCO1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849812399 633731433 /nfs/dbraw/zinc/73/14/33/633731433.db2.gz YLOLBGBJPAZDHF-FJOGCWAESA-N 0 0 295.266 2.512 20 5 CFBDRN CNc1nccc(C(=O)OCCCC2CCC2)c1[N+](=O)[O-] ZINC000849822097 633735598 /nfs/dbraw/zinc/73/55/98/633735598.db2.gz ZEJZHBGLVVMKFI-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN Cc1nn(Cc2ccc(F)cc2[N+](=O)[O-])c(C)c1[N+](=O)[O-] ZINC000849849004 633742398 /nfs/dbraw/zinc/74/23/98/633742398.db2.gz VDXAYCAYOQJNRO-UHFFFAOYSA-N 0 0 294.242 2.504 20 5 CFBDRN COCCCCCOc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849955984 633774893 /nfs/dbraw/zinc/77/48/93/633774893.db2.gz CKVSETDLKSNTMB-UHFFFAOYSA-N 0 0 284.268 2.699 20 5 CFBDRN CCCCOCCOc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849957080 633774951 /nfs/dbraw/zinc/77/49/51/633774951.db2.gz PPAVBZCWUUAFQO-UHFFFAOYSA-N 0 0 284.268 2.699 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000850103780 633841628 /nfs/dbraw/zinc/84/16/28/633841628.db2.gz CKQZGWDPYGACDI-CHWSQXEVSA-N 0 0 293.319 2.842 20 5 CFBDRN CO[C@@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000850174925 633872654 /nfs/dbraw/zinc/87/26/54/633872654.db2.gz JJXZKIBVCAUCPF-CYBMUJFWSA-N 0 0 297.282 2.592 20 5 CFBDRN COc1cc(COC(=O)C2CC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000850215875 633898382 /nfs/dbraw/zinc/89/83/82/633898382.db2.gz VTGJPERFWHFCPQ-UHFFFAOYSA-N 0 0 291.303 2.837 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)C1CC2(CC2)C1 ZINC000850215376 633898627 /nfs/dbraw/zinc/89/86/27/633898627.db2.gz STOCHSVHPAXPCC-UHFFFAOYSA-N 0 0 267.306 2.890 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@H]2C[C@H](O)c3ccccc32)cs1 ZINC000850370703 633959904 /nfs/dbraw/zinc/95/99/04/633959904.db2.gz FORBDOCXDFGABI-STQMWFEESA-N 0 0 290.344 2.924 20 5 CFBDRN CCc1ccc(OC(=O)CCCF)c([N+](=O)[O-])c1 ZINC000850847701 634109279 /nfs/dbraw/zinc/10/92/79/634109279.db2.gz GKCZJRNMXLJWSN-UHFFFAOYSA-N 0 0 255.245 2.812 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)CCCF ZINC000850935240 634144205 /nfs/dbraw/zinc/14/42/05/634144205.db2.gz SJJMNJLEUJXPLN-UHFFFAOYSA-N 0 0 285.271 2.575 20 5 CFBDRN Cc1cnc(COC(=O)C2CC3(CC3)C2)c(C)c1[N+](=O)[O-] ZINC000850980919 634164999 /nfs/dbraw/zinc/16/49/99/634164999.db2.gz UQZKBMSRBSXJFS-UHFFFAOYSA-N 0 0 290.319 2.840 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(C(F)(F)F)CCCCC1 ZINC000851044109 634193352 /nfs/dbraw/zinc/19/33/52/634193352.db2.gz BFHOIUPQMKBRAP-MRVPVSSYSA-N 0 0 283.246 2.708 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCCC[C@H]1C(F)(F)F ZINC000851046064 634194370 /nfs/dbraw/zinc/19/43/70/634194370.db2.gz NQOMDCXOXRBIGR-DJLDLDEBSA-N 0 0 283.246 2.564 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000851053882 634197855 /nfs/dbraw/zinc/19/78/55/634197855.db2.gz LVQJKJLISLMCRS-STQMWFEESA-N 0 0 293.319 2.762 20 5 CFBDRN Cc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1C ZINC000851122347 634233930 /nfs/dbraw/zinc/23/39/30/634233930.db2.gz FETLHOCJTBFFHG-UHFFFAOYSA-N 0 0 251.282 2.516 20 5 CFBDRN Cc1cc2ccccc2n1CC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851124359 634234285 /nfs/dbraw/zinc/23/42/85/634234285.db2.gz SXDNWKYQRSEUET-UHFFFAOYSA-N 0 0 290.319 2.548 20 5 CFBDRN Cc1ccc(C(=O)CCC(=O)OCC(C)(C)[N+](=O)[O-])s1 ZINC000851124475 634234780 /nfs/dbraw/zinc/23/47/80/634234780.db2.gz XNOPJMMLHKWFPW-UHFFFAOYSA-N 0 0 299.348 2.618 20 5 CFBDRN CC(C)(COC(=O)c1ccc(C(F)(F)F)cc1)[N+](=O)[O-] ZINC000851123922 634234886 /nfs/dbraw/zinc/23/48/86/634234886.db2.gz XYWQQCZUGDFMTJ-UHFFFAOYSA-N 0 0 291.225 2.918 20 5 CFBDRN CC(C)(COC(=O)C1(Cc2ccccc2)CCC1)[N+](=O)[O-] ZINC000851126411 634236447 /nfs/dbraw/zinc/23/64/47/634236447.db2.gz MYCBJORTIMFURI-UHFFFAOYSA-N 0 0 291.347 2.998 20 5 CFBDRN C[C@@H](OC1CCCCCC1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126061 634236836 /nfs/dbraw/zinc/23/68/36/634236836.db2.gz LLXGLXDOOXJDST-LLVKDONJSA-N 0 0 287.356 2.713 20 5 CFBDRN COc1ccc(C(C)(C)C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851127352 634237775 /nfs/dbraw/zinc/23/77/75/634237775.db2.gz VSKSHCIOWJQBGZ-UHFFFAOYSA-N 0 0 295.335 2.571 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])C[C@H]1C ZINC000851129157 634238422 /nfs/dbraw/zinc/23/84/22/634238422.db2.gz JRUYJTZEHVGGRE-MXWKQRLJSA-N 0 0 257.330 2.657 20 5 CFBDRN Cc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])c(C(C)C)n1 ZINC000851129690 634238586 /nfs/dbraw/zinc/23/85/86/634238586.db2.gz QSUNJSSLIPBIRY-UHFFFAOYSA-N 0 0 280.324 2.726 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])C[C@H]1C ZINC000851129156 634238665 /nfs/dbraw/zinc/23/86/65/634238665.db2.gz JRUYJTZEHVGGRE-GMTAPVOTSA-N 0 0 257.330 2.657 20 5 CFBDRN C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129358 634238692 /nfs/dbraw/zinc/23/86/92/634238692.db2.gz KURXKWZOZIQOBM-DRZSPHRISA-N 0 0 277.320 2.635 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCCC[C@@H]1C1CC1)[N+](=O)[O-] ZINC000851129284 634238768 /nfs/dbraw/zinc/23/87/68/634238768.db2.gz LXSURDHUCVIORZ-VXGBXAGGSA-N 0 0 269.341 2.801 20 5 CFBDRN Cc1nc(C(C)C)ccc1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851128785 634238838 /nfs/dbraw/zinc/23/88/38/634238838.db2.gz FKEVOQLFDDKMLK-UHFFFAOYSA-N 0 0 280.324 2.726 20 5 CFBDRN Cc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])c2c1CCC2 ZINC000851130244 634239574 /nfs/dbraw/zinc/23/95/74/634239574.db2.gz YHKPZFIHEJFDLE-UHFFFAOYSA-N 0 0 277.320 2.696 20 5 CFBDRN CCc1ccccc1[C@@H]1C[C@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851130114 634239742 /nfs/dbraw/zinc/23/97/42/634239742.db2.gz RFZUJAFNRLKOLJ-UONOGXRCSA-N 0 0 291.347 2.951 20 5 CFBDRN C[C@H](OC(=O)c1cc([N+](=O)[O-])cn1C)C1CCCC1 ZINC000851138160 634242800 /nfs/dbraw/zinc/24/28/00/634242800.db2.gz FYFUGMNIBOWPNM-VIFPVBQESA-N 0 0 266.297 2.669 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@@H]1CCC1(F)F ZINC000851320030 634323618 /nfs/dbraw/zinc/32/36/18/634323618.db2.gz RNBWXCINKUWYEX-JTQLQIEISA-N 0 0 285.246 2.726 20 5 CFBDRN COc1cc(C(=O)OC[C@H]2C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000851413019 634356946 /nfs/dbraw/zinc/35/69/46/634356946.db2.gz YFAKMVHHSHDXGL-WLLOZRIZSA-N 0 0 291.303 2.806 20 5 CFBDRN O=C(OC[C@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000851415355 634358449 /nfs/dbraw/zinc/35/84/49/634358449.db2.gz MHJMPVBNKQPSLJ-WLLOZRIZSA-N 0 0 261.277 2.798 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OC[C@H]1C[C@H]2C[C@H]2C1 ZINC000851417072 634359106 /nfs/dbraw/zinc/35/91/06/634359106.db2.gz QFVBHXAQIGLBTG-XYYAHUGASA-N 0 0 275.304 2.727 20 5 CFBDRN CSc1cc(C)c(C(=O)OCC(C)(C)[N+](=O)[O-])o1 ZINC000853134160 634917276 /nfs/dbraw/zinc/91/72/76/634917276.db2.gz JWOYHYLEMUGKIU-UHFFFAOYSA-N 0 0 273.310 2.522 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2ccco2)c([N+](=O)[O-])s1 ZINC000853155366 634920733 /nfs/dbraw/zinc/92/07/33/634920733.db2.gz MGJPIVYEOJJWQX-SECBINFHSA-N 0 0 268.294 2.703 20 5 CFBDRN CN(Cc1ncc[nH]1)c1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000853343072 634976720 /nfs/dbraw/zinc/97/67/20/634976720.db2.gz VVKFAMORFBCNRC-UHFFFAOYSA-N 0 0 282.250 2.892 20 5 CFBDRN CCOC[C@H]1CCN(c2cc(OC)c(C)cc2[N+](=O)[O-])C1 ZINC000853401938 634994731 /nfs/dbraw/zinc/99/47/31/634994731.db2.gz IXKBQAWKZFQGNH-LBPRGKRZSA-N 0 0 294.351 2.775 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@@H]2CCCOC2)ccc1F ZINC000111319287 634996967 /nfs/dbraw/zinc/99/69/67/634996967.db2.gz ASLYZMODXYINKN-VIFPVBQESA-N 0 0 254.261 2.572 20 5 CFBDRN COCC1=CCN(c2cc(OC)c(C)cc2[N+](=O)[O-])CC1 ZINC000853483471 635013258 /nfs/dbraw/zinc/01/32/58/635013258.db2.gz FBKCZGXBIBGIMG-UHFFFAOYSA-N 0 0 292.335 2.695 20 5 CFBDRN CC[C@@]1(O)CCN(c2ccc(C(F)F)cc2[N+](=O)[O-])C1 ZINC000853487817 635014775 /nfs/dbraw/zinc/01/47/75/635014775.db2.gz YGQZUGKFFDRGFY-CYBMUJFWSA-N 0 0 286.278 2.884 20 5 CFBDRN Cc1nn(CN2CC[C@@H]2c2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000853544379 635033249 /nfs/dbraw/zinc/03/32/49/635033249.db2.gz GUIRPOFWIAGHSR-CYBMUJFWSA-N 0 0 290.298 2.643 20 5 CFBDRN COc1cc(NCc2cncn2C)c(Cl)cc1[N+](=O)[O-] ZINC000853635818 635055442 /nfs/dbraw/zinc/05/54/42/635055442.db2.gz JTSKTHKQYBAUBQ-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN CC1(C)C[C@H](Oc2cc(F)ccc2[N+](=O)[O-])CO1 ZINC000853636362 635055606 /nfs/dbraw/zinc/05/56/06/635055606.db2.gz CDJBTISPALNZRI-VIFPVBQESA-N 0 0 255.245 2.680 20 5 CFBDRN CCCCC(N)=NOCc1ccc(F)cc1[N+](=O)[O-] ZINC000853659190 635065285 /nfs/dbraw/zinc/06/52/85/635065285.db2.gz TXIHHLHHGLFRIA-UHFFFAOYSA-N 0 0 269.276 2.923 20 5 CFBDRN CC1=NO[C@@H](CNc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000853661920 635065450 /nfs/dbraw/zinc/06/54/50/635065450.db2.gz VCDYFIJOYGYPLP-SECBINFHSA-N 0 0 269.688 2.825 20 5 CFBDRN CCc1cc(CCCOc2ccc(N)c([N+](=O)[O-])c2)on1 ZINC000853662319 635065697 /nfs/dbraw/zinc/06/56/97/635065697.db2.gz FRPNNUMVUGPTAI-UHFFFAOYSA-N 0 0 291.307 2.739 20 5 CFBDRN CC1=NO[C@H](CNc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000853661921 635065822 /nfs/dbraw/zinc/06/58/22/635065822.db2.gz VCDYFIJOYGYPLP-VIFPVBQESA-N 0 0 269.688 2.825 20 5 CFBDRN COc1cc(N2CC[C@@H](OC)[C@H](C)C2)c([N+](=O)[O-])cc1C ZINC000853665306 635067478 /nfs/dbraw/zinc/06/74/78/635067478.db2.gz ZLVBOCCDLHJSNG-BXUZGUMPSA-N 0 0 294.351 2.773 20 5 CFBDRN NC(=O)c1cc(N2CCCCCCCC2)ccc1[N+](=O)[O-] ZINC000853669510 635069232 /nfs/dbraw/zinc/06/92/32/635069232.db2.gz PWWQKCWTIMJNHN-UHFFFAOYSA-N 0 0 291.351 2.854 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(NC[C@@H]2COCO2)c(Cl)c1 ZINC000853687352 635075384 /nfs/dbraw/zinc/07/53/84/635075384.db2.gz SZSPSXKNMIOTLV-SSDOTTSWSA-N 0 0 293.106 2.686 20 5 CFBDRN C[C@@]1(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@]1(F)Cl ZINC000853711854 635081905 /nfs/dbraw/zinc/08/19/05/635081905.db2.gz ILYPZESCMCAPOR-GHMZBOCLSA-N 0 0 289.694 2.812 20 5 CFBDRN O=[N+]([O-])c1ccc(SC2CCC3(CC2)OCCO3)cn1 ZINC000853739634 635089949 /nfs/dbraw/zinc/08/99/49/635089949.db2.gz FMQBFCPFVWOGQN-UHFFFAOYSA-N 0 0 296.348 2.768 20 5 CFBDRN C[C@@H]1C[C@H](COc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCO1 ZINC000853740197 635090164 /nfs/dbraw/zinc/09/01/64/635090164.db2.gz UIYCKOSTXNVWJG-NXEZZACHSA-N 0 0 296.279 2.697 20 5 CFBDRN COC1(CCOc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCC1 ZINC000853740314 635090237 /nfs/dbraw/zinc/09/02/37/635090237.db2.gz UUXUSNLUQVFFEJ-UHFFFAOYSA-N 0 0 296.279 2.841 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2COC(C)(C)C2)c1 ZINC000853752194 635093999 /nfs/dbraw/zinc/09/39/99/635093999.db2.gz QVNLJLSZGHNZSI-MRVPVSSYSA-N 0 0 284.287 2.722 20 5 CFBDRN COCCN(CCF)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000853818999 635117004 /nfs/dbraw/zinc/11/70/04/635117004.db2.gz KCCQMDJCCJXCNN-UHFFFAOYSA-N 0 0 293.298 2.565 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2COC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000853826536 635119084 /nfs/dbraw/zinc/11/90/84/635119084.db2.gz NRPSDHFIZXGNQM-GFCCVEGCSA-N 0 0 290.319 2.921 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1COC2(CCC2)C1 ZINC000853827589 635119824 /nfs/dbraw/zinc/11/98/24/635119824.db2.gz CWBCEGHFOBAKAQ-NSHDSACASA-N 0 0 299.330 2.688 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N[C@H]1COC2(CCC2)C1 ZINC000853828174 635119986 /nfs/dbraw/zinc/11/99/86/635119986.db2.gz IYHJGPJXXWGNFU-SECBINFHSA-N 0 0 293.279 2.627 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1COC2(CCC2)C1 ZINC000853829349 635121368 /nfs/dbraw/zinc/12/13/68/635121368.db2.gz UYPDWZDRDHYNJJ-NSHDSACASA-N 0 0 290.319 2.921 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H](O)c3ccccc32)ccc1[N+](=O)[O-] ZINC000853840769 635126084 /nfs/dbraw/zinc/12/60/84/635126084.db2.gz XVYHVJNNMZAQLM-OCCSQVGLSA-N 0 0 285.303 2.889 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]23OCCC[C@H]2C3(F)F)cc1 ZINC000853847955 635129995 /nfs/dbraw/zinc/12/99/95/635129995.db2.gz JKLXNYFXGQEFPU-NEPJUHHUSA-N 0 0 284.262 2.821 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1cc(Cl)ccc1[N+](=O)[O-])C2 ZINC000853859401 635135018 /nfs/dbraw/zinc/13/50/18/635135018.db2.gz UPSZQSAJEKMZQR-ZANVPECISA-N 0 0 282.727 2.721 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1cc(Cl)ccc1[N+](=O)[O-])C2 ZINC000853859398 635135649 /nfs/dbraw/zinc/13/56/49/635135649.db2.gz UPSZQSAJEKMZQR-NOZJJQNGSA-N 0 0 282.727 2.721 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2[C@@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000853861463 635136386 /nfs/dbraw/zinc/13/63/86/635136386.db2.gz BGDVEYIIMFBRQG-MWLCHTKSSA-N 0 0 284.743 2.906 20 5 CFBDRN Cc1noc(COC(=O)CCc2ccsc2)c1[N+](=O)[O-] ZINC000853887576 635146777 /nfs/dbraw/zinc/14/67/77/635146777.db2.gz YBYUXDNZEQFSSA-UHFFFAOYSA-N 0 0 296.304 2.629 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000853901236 635151657 /nfs/dbraw/zinc/15/16/57/635151657.db2.gz VUWZOBBIIWYKIU-WPRPVWTQSA-N 0 0 282.296 2.761 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@H]3C[C@H]3C2)c(F)c1 ZINC000853901491 635152862 /nfs/dbraw/zinc/15/28/62/635152862.db2.gz CFRAAJWKIFSWHG-YUMQZZPRSA-N 0 0 254.236 2.719 20 5 CFBDRN COc1cc(N2CC[C@@H]3C[C@@H]3C2)c([N+](=O)[O-])cc1C ZINC000853902905 635154027 /nfs/dbraw/zinc/15/40/27/635154027.db2.gz OIPLKHZWONUYPP-GHMZBOCLSA-N 0 0 262.309 2.758 20 5 CFBDRN C/C=C(/C=C\C(=O)OCc1onc(C)c1[N+](=O)[O-])CC ZINC000853904793 635154890 /nfs/dbraw/zinc/15/48/90/635154890.db2.gz BTTNTXMKNGCSMN-UPRYYGGVSA-N 0 0 280.280 2.847 20 5 CFBDRN CC1(C)[C@@H](CNc2ccc([N+](=O)[O-])c3nonc32)C1(F)F ZINC000853904289 635155277 /nfs/dbraw/zinc/15/52/77/635155277.db2.gz MYFGYWBSMFHWIM-MRVPVSSYSA-N 0 0 298.249 2.834 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000853912152 635159660 /nfs/dbraw/zinc/15/96/60/635159660.db2.gz WLYSRHJOLPRSRV-VIFPVBQESA-N 0 0 282.296 2.761 20 5 CFBDRN Cc1noc(COC(=O)C2(F)CCCCC2)c1[N+](=O)[O-] ZINC000853918872 635163322 /nfs/dbraw/zinc/16/33/22/635163322.db2.gz UMGZUBMFPISHAK-UHFFFAOYSA-N 0 0 286.259 2.607 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2CCCC3(CC3)C2)c1[N+](=O)[O-] ZINC000853926287 635166936 /nfs/dbraw/zinc/16/69/36/635166936.db2.gz UXRDDNVHAJGHMU-JTQLQIEISA-N 0 0 294.307 2.905 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000853968162 635181494 /nfs/dbraw/zinc/18/14/94/635181494.db2.gz UFQBNVDCXIAFJS-PWSUYJOCSA-N 0 0 279.321 2.794 20 5 CFBDRN CC(=O)c1ccc(N(C)CC2=CCSC2)c([N+](=O)[O-])c1 ZINC000853980546 635182986 /nfs/dbraw/zinc/18/29/86/635182986.db2.gz GTJQOFRHPSXAFM-UHFFFAOYSA-N 0 0 292.360 2.907 20 5 CFBDRN CN(CC1=CCSC1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000853980831 635183510 /nfs/dbraw/zinc/18/35/10/635183510.db2.gz KXTJRSNHPPXUPW-UHFFFAOYSA-N 0 0 295.320 2.612 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CCC2(C)C)n1)[N+](=O)[O-] ZINC000854061163 635202296 /nfs/dbraw/zinc/20/22/96/635202296.db2.gz YEMQKBMBNDUXGQ-VIFPVBQESA-N 0 0 267.329 2.961 20 5 CFBDRN CC[C@H](NC)c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 ZINC000854142322 635228647 /nfs/dbraw/zinc/22/86/47/635228647.db2.gz JFYBCUBBORHTRP-NSHDSACASA-N 0 0 290.323 2.548 20 5 CFBDRN CC[C@H](CC(F)F)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496723 635317413 /nfs/dbraw/zinc/31/74/13/635317413.db2.gz PRGZPQPNIXMIRE-SSDOTTSWSA-N 0 0 287.266 2.797 20 5 CFBDRN Nc1ccc(NC(=O)C[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000854497220 635318716 /nfs/dbraw/zinc/31/87/16/635318716.db2.gz KVASJGQFQSXABB-JTQLQIEISA-N 0 0 275.308 2.862 20 5 CFBDRN CCC1(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CCC1 ZINC000854497784 635318724 /nfs/dbraw/zinc/31/87/24/635318724.db2.gz YRECLRYXZVOHGD-UHFFFAOYSA-N 0 0 263.297 2.696 20 5 CFBDRN C[C@H](NC(=O)CCCF)c1ccc([N+](=O)[O-])cc1 ZINC000854678842 635363207 /nfs/dbraw/zinc/36/32/07/635363207.db2.gz JHFSLYUGARGXGQ-VIFPVBQESA-N 0 0 254.261 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CC[C@H]2C2CC2)c1F ZINC000854750677 635384694 /nfs/dbraw/zinc/38/46/94/635384694.db2.gz WOLHKBSHEOUBEX-VIFPVBQESA-N 0 0 296.273 2.806 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000854897865 635417226 /nfs/dbraw/zinc/41/72/26/635417226.db2.gz JNISPYCADGBZBT-VIFPVBQESA-N 0 0 277.324 2.580 20 5 CFBDRN CC(C)(CCC(=O)Nc1ccc(O)cc1Cl)[N+](=O)[O-] ZINC000855136265 635465313 /nfs/dbraw/zinc/46/53/13/635465313.db2.gz BHEDKXFUIMRNOE-UHFFFAOYSA-N 0 0 286.715 2.820 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1C=CCC1 ZINC000855418437 635535356 /nfs/dbraw/zinc/53/53/56/635535356.db2.gz BPJSVBJYKCWHDK-MNOVXSKESA-N 0 0 292.360 2.910 20 5 CFBDRN O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@H]1C=CCC1 ZINC000855434591 635547637 /nfs/dbraw/zinc/54/76/37/635547637.db2.gz ZKMZJANRWGAYLX-VIFPVBQESA-N 0 0 298.701 2.765 20 5 CFBDRN CC(C)(CCC(=O)N1CCC(C2CCC2)CC1)[N+](=O)[O-] ZINC000855437495 635549991 /nfs/dbraw/zinc/54/99/91/635549991.db2.gz FQMYRHCMMOFNKV-UHFFFAOYSA-N 0 0 282.384 2.861 20 5 CFBDRN C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000113465199 635586875 /nfs/dbraw/zinc/58/68/75/635586875.db2.gz CNCYWXPOVXALLK-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN CC[C@@](C)(NC(=O)CCC(C)(C)[N+](=O)[O-])C1CC1 ZINC000855876495 635679608 /nfs/dbraw/zinc/67/96/08/635679608.db2.gz FFYCNTCJFOTGQA-CYBMUJFWSA-N 0 0 256.346 2.517 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000856137678 635737171 /nfs/dbraw/zinc/73/71/71/635737171.db2.gz ARFVERRRLIURHS-PPYMECGQSA-N 0 0 274.320 2.913 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)NC1CC(C)(C)C1 ZINC000856338112 635785098 /nfs/dbraw/zinc/78/50/98/635785098.db2.gz QQJCYHMUNCZSFK-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1cc(C(=O)N(C)C[C@H]2CC2(C)C)c(N)c([N+](=O)[O-])c1 ZINC000856462381 635817536 /nfs/dbraw/zinc/81/75/36/635817536.db2.gz MHAQTGBIYRTODR-SNVBAGLBSA-N 0 0 291.351 2.604 20 5 CFBDRN O=c1[nH]ccc(NCC[C@H]2CC2(Cl)Cl)c1[N+](=O)[O-] ZINC000856784215 635858943 /nfs/dbraw/zinc/85/89/43/635858943.db2.gz UDKQYNITAYFNNN-LURJTMIESA-N 0 0 292.122 2.691 20 5 CFBDRN Nc1ccc(C(=O)OCCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000157711489 650219157 /nfs/dbraw/zinc/21/91/57/650219157.db2.gz ZTAOQLLHSZWSGA-UHFFFAOYSA-N 0 0 292.213 2.676 20 5 CFBDRN C[C@H]1CCN(Cn2nccc2[N+](=O)[O-])c2ccccc21 ZINC000158349719 650224282 /nfs/dbraw/zinc/22/42/82/650224282.db2.gz XIHLYOGUYQJBNQ-NSHDSACASA-N 0 0 272.308 2.763 20 5 CFBDRN CC[C@H](SC)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000158345691 650224453 /nfs/dbraw/zinc/22/44/53/650224453.db2.gz UOTTWCDURHNPQZ-LBPRGKRZSA-N 0 0 283.349 2.822 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000857598998 635991959 /nfs/dbraw/zinc/99/19/59/635991959.db2.gz FHGZLPKWGSVDHW-URLYPYJESA-N 0 0 261.277 2.855 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Oc2c(C)cccc2[N+](=O)[O-])C1 ZINC000857600429 635992034 /nfs/dbraw/zinc/99/20/34/635992034.db2.gz PIGMFXIQUXPTIP-WDEREUQCSA-N 0 0 279.292 2.624 20 5 CFBDRN CSC(C)(C)C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000857610137 635993557 /nfs/dbraw/zinc/99/35/57/635993557.db2.gz ZJGPOOYKBVBJDM-UHFFFAOYSA-N 0 0 298.364 2.791 20 5 CFBDRN Cc1cnc(NCC(F)(F)Br)c([N+](=O)[O-])c1 ZINC000858645488 636316368 /nfs/dbraw/zinc/31/63/68/636316368.db2.gz NZZYGTCSNGMMNT-UHFFFAOYSA-N 0 0 296.071 2.698 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC[C@@H]2CCCOC2)c1 ZINC000858652820 636317195 /nfs/dbraw/zinc/31/71/95/636317195.db2.gz BGZWYRVWCGWKRL-JTQLQIEISA-N 0 0 298.314 2.971 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2Cc3ccccc3CO2)n1 ZINC000858659975 636319142 /nfs/dbraw/zinc/31/91/42/636319142.db2.gz LNMFTJPCAYQVJT-AWEZNQCLSA-N 0 0 299.330 2.852 20 5 CFBDRN CCC[C@H](CNc1nc2ccccn2c1[N+](=O)[O-])OCC ZINC000858657054 636319615 /nfs/dbraw/zinc/31/96/15/636319615.db2.gz SBCSUPSOZCIMNC-LLVKDONJSA-N 0 0 292.339 2.860 20 5 CFBDRN CC1(C)C[C@H](Sc2nc3ccccn3c2[N+](=O)[O-])CO1 ZINC000858677394 636322059 /nfs/dbraw/zinc/32/20/59/636322059.db2.gz WUDHHZVRXAAWSO-VIFPVBQESA-N 0 0 293.348 2.902 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000858689607 636326100 /nfs/dbraw/zinc/32/61/00/636326100.db2.gz HTTFYQSJIFMGEH-LLVKDONJSA-N 0 0 276.292 2.577 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000858692510 636326912 /nfs/dbraw/zinc/32/69/12/636326912.db2.gz RTIUIQUTYHBOTN-NSHDSACASA-N 0 0 276.292 2.577 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000858733811 636335783 /nfs/dbraw/zinc/33/57/83/636335783.db2.gz JURUZTAAOMRXSG-LLVKDONJSA-N 0 0 276.292 2.659 20 5 CFBDRN C[C@@H](Cc1nc(CCC(C)(C)[N+](=O)[O-])no1)C(F)(F)F ZINC000858773915 636341783 /nfs/dbraw/zinc/34/17/83/636341783.db2.gz SRQUQENQGQZKTF-ZETCQYMHSA-N 0 0 295.261 2.798 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000858773718 636342598 /nfs/dbraw/zinc/34/25/98/636342598.db2.gz ORMMMSZNTPMJCX-DTHBNOIPSA-N 0 0 297.355 2.584 20 5 CFBDRN C[C@@H]1CC(F)(F)CCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000858776928 636344079 /nfs/dbraw/zinc/34/40/79/636344079.db2.gz CXICRIYJGMFTAP-SECBINFHSA-N 0 0 296.277 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCO[C@H](CCF)C1 ZINC000858797632 636346270 /nfs/dbraw/zinc/34/62/70/636346270.db2.gz MHTNLVBTYNXAPQ-SNVBAGLBSA-N 0 0 288.706 2.813 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(Cc2ccc(F)c(Cl)c2)no1 ZINC000858813833 636349749 /nfs/dbraw/zinc/34/97/49/636349749.db2.gz BHPNMSBDHRWAAL-GMSGAONNSA-N 0 0 297.673 2.586 20 5 CFBDRN CC(C)CCOC(=O)Oc1ccc(C=O)cc1[N+](=O)[O-] ZINC000858877218 636367772 /nfs/dbraw/zinc/36/77/72/636367772.db2.gz HZWLDGFGPSLCSG-UHFFFAOYSA-N 0 0 281.264 2.969 20 5 CFBDRN CC(C)CCOC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000858912643 636381406 /nfs/dbraw/zinc/38/14/06/636381406.db2.gz MIGDQOLBAUWQKN-UHFFFAOYSA-N 0 0 266.297 2.867 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCc2ccccc2[N+](=O)[O-])c1 ZINC000048068831 650254285 /nfs/dbraw/zinc/25/42/85/650254285.db2.gz XBICTZCCYOXQEZ-UHFFFAOYSA-N 0 0 287.275 2.793 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCc2nc[nH]c2C1 ZINC000859308523 636536866 /nfs/dbraw/zinc/53/68/66/636536866.db2.gz NNKOWRLMUABIDH-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000859614657 636622733 /nfs/dbraw/zinc/62/27/33/636622733.db2.gz IUINSEPQMMTICH-BDAKNGLRSA-N 0 0 274.276 2.889 20 5 CFBDRN CCC[C@@H](C)CCCOC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000859621919 636625615 /nfs/dbraw/zinc/62/56/15/636625615.db2.gz VGGSUKLMUSNQLO-SNVBAGLBSA-N 0 0 283.328 2.702 20 5 CFBDRN Cc1nc(CC(=O)Oc2cccc([N+](=O)[O-])c2C)cs1 ZINC000048556489 650271132 /nfs/dbraw/zinc/27/11/32/650271132.db2.gz UXZRCMXOTFAZGB-UHFFFAOYSA-N 0 0 292.316 2.816 20 5 CFBDRN CC[C@H](C)CC(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859651356 636638536 /nfs/dbraw/zinc/63/85/36/636638536.db2.gz FLQLBIUSRWNUFK-VIFPVBQESA-N 0 0 297.282 2.896 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000859692410 636654257 /nfs/dbraw/zinc/65/42/57/636654257.db2.gz VGUYJXGYZLSSCU-JGVFFNPUSA-N 0 0 285.246 2.615 20 5 CFBDRN COC1CC(C(=O)O[C@H](C)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000859846803 636722997 /nfs/dbraw/zinc/72/29/97/636722997.db2.gz IFZCSCQEEQDMGS-XSRFYTQQSA-N 0 0 293.319 2.932 20 5 CFBDRN CCO[C@@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000859867007 636732390 /nfs/dbraw/zinc/73/23/90/636732390.db2.gz PHHNEXOYUYYSPL-CYBMUJFWSA-N 0 0 297.282 2.592 20 5 CFBDRN O=C(Nc1cccc(Cl)n1)c1ccc([N+](=O)[O-])s1 ZINC000081550154 636793058 /nfs/dbraw/zinc/79/30/58/636793058.db2.gz MOSPDFUUKUSPSQ-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CON(C)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000049081400 650286900 /nfs/dbraw/zinc/28/69/00/650286900.db2.gz XWTGQTSHVDVBHC-UHFFFAOYSA-N 0 0 266.297 2.526 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C12CC(C1)C2 ZINC000860271251 636845060 /nfs/dbraw/zinc/84/50/60/636845060.db2.gz WISCWUATQLHPIK-UHFFFAOYSA-N 0 0 265.240 2.577 20 5 CFBDRN COc1cc(COC(=O)C23CC(C2)C3)c([N+](=O)[O-])cc1F ZINC000860272326 636846212 /nfs/dbraw/zinc/84/62/12/636846212.db2.gz ZVYPGHNCDDCEAJ-UHFFFAOYSA-N 0 0 295.266 2.586 20 5 CFBDRN CC(C)(COC(=O)c1cc(C2CC2)[nH]c1C1CC1)[N+](=O)[O-] ZINC000860868626 636995839 /nfs/dbraw/zinc/99/58/39/636995839.db2.gz WQMGVMCYVSVSEG-UHFFFAOYSA-N 0 0 292.335 2.982 20 5 CFBDRN CCOc1cccc(CCC(=O)OCC(C)(C)[N+](=O)[O-])c1 ZINC000860868689 636996140 /nfs/dbraw/zinc/99/61/40/636996140.db2.gz XRRQQPGOVQHGSO-UHFFFAOYSA-N 0 0 295.335 2.616 20 5 CFBDRN CC(C)CCCCOC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000860895700 637005396 /nfs/dbraw/zinc/00/53/96/637005396.db2.gz UHJBBCCEJCYPSI-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc2ccc(F)cc2s1 ZINC000860912090 637014588 /nfs/dbraw/zinc/01/45/88/637014588.db2.gz FCEZESZZMNQXMT-UHFFFAOYSA-N 0 0 283.280 2.864 20 5 CFBDRN CCc1ccc([C@H](C)CC(=O)OCCC[N+](=O)[O-])cc1 ZINC000860914785 637015362 /nfs/dbraw/zinc/01/53/62/637015362.db2.gz GZDKBOHTPVRVLV-GFCCVEGCSA-N 0 0 279.336 2.953 20 5 CFBDRN O=C(Cc1c[nH]c2ccc(Cl)cc12)OCCC[N+](=O)[O-] ZINC000860915537 637016390 /nfs/dbraw/zinc/01/63/90/637016390.db2.gz RQPFPNSFGADYEK-UHFFFAOYSA-N 0 0 296.710 2.574 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc2sccc2s1 ZINC000860916461 637016401 /nfs/dbraw/zinc/01/64/01/637016401.db2.gz TYEZUWUFEQNZML-UHFFFAOYSA-N 0 0 271.319 2.786 20 5 CFBDRN CC(C)(C)c1ncc(/C=C/C(=O)OCCC[N+](=O)[O-])s1 ZINC000860917837 637016870 /nfs/dbraw/zinc/01/68/70/637016870.db2.gz ROGWQWDRXVPBPD-AATRIKPKSA-N 0 0 298.364 2.664 20 5 CFBDRN C/C(=C/C(=O)OCCC[N+](=O)[O-])c1cccc(Cl)c1 ZINC000860918179 637017056 /nfs/dbraw/zinc/01/70/56/637017056.db2.gz ZYMOTQSGTZGSAV-NTMALXAHSA-N 0 0 283.711 2.953 20 5 CFBDRN CC(C)(C)c1ncc(/C=C\C(=O)OCCC[N+](=O)[O-])s1 ZINC000860917838 637017226 /nfs/dbraw/zinc/01/72/26/637017226.db2.gz ROGWQWDRXVPBPD-WAYWQWQTSA-N 0 0 298.364 2.664 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1CC(c2ccc(F)cc2)C1 ZINC000860918915 637017276 /nfs/dbraw/zinc/01/72/76/637017276.db2.gz RPRAPPBELJDZRH-UHFFFAOYSA-N 0 0 281.283 2.529 20 5 CFBDRN CCc1c2ccccc2oc1C(=O)OCCC[N+](=O)[O-] ZINC000860919526 637017316 /nfs/dbraw/zinc/01/73/16/637017316.db2.gz ZVZKNKTXDIBSMQ-UHFFFAOYSA-N 0 0 277.276 2.819 20 5 CFBDRN Cc1cc(C(=O)CCC(=O)OCCC[N+](=O)[O-])c(C)s1 ZINC000860920124 637017394 /nfs/dbraw/zinc/01/73/94/637017394.db2.gz SGZRWEXENIRKTQ-UHFFFAOYSA-N 0 0 299.348 2.538 20 5 CFBDRN O=C(Cc1coc2cc(Cl)ccc12)OCCC[N+](=O)[O-] ZINC000860922510 637017745 /nfs/dbraw/zinc/01/77/45/637017745.db2.gz BFOMHCFSCZDNLA-UHFFFAOYSA-N 0 0 297.694 2.839 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2C(=O)OCCC[N+](=O)[O-])cc1C ZINC000860921977 637018331 /nfs/dbraw/zinc/01/83/31/637018331.db2.gz CENNKSMATHFSHP-UONOGXRCSA-N 0 0 277.320 2.617 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@H]1CCC=CCCC1 ZINC000861231336 637128476 /nfs/dbraw/zinc/12/84/76/637128476.db2.gz LZNDUKPVQXCIPU-LBPRGKRZSA-N 0 0 278.308 2.979 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)O[C@@H]1CCC=CCCC1 ZINC000861231335 637128669 /nfs/dbraw/zinc/12/86/69/637128669.db2.gz LZNDUKPVQXCIPU-GFCCVEGCSA-N 0 0 278.308 2.979 20 5 CFBDRN O=C(N[C@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1Cl ZINC000861381011 637181993 /nfs/dbraw/zinc/18/19/93/637181993.db2.gz JOHDARXCRSOWRK-JDICNCQASA-N 0 0 280.711 2.777 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000861817316 637240383 /nfs/dbraw/zinc/24/03/83/637240383.db2.gz YAHOKISFZPBRIM-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@@H]1CN(C(=O)CCC(C)(C)[N+](=O)[O-])C[C@@H](C)C1(F)F ZINC000862441355 637379956 /nfs/dbraw/zinc/37/99/56/637379956.db2.gz UCBCCCQKIRPNNX-NXEZZACHSA-N 0 0 292.326 2.572 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000862862856 637512512 /nfs/dbraw/zinc/51/25/12/637512512.db2.gz SADHGQOFRRNRLZ-YPMHNXCESA-N 0 0 298.726 2.546 20 5 CFBDRN CC(C)(C)OC(=O)NOCc1ccc([N+](=O)[O-])c(F)c1 ZINC000863462655 637667190 /nfs/dbraw/zinc/66/71/90/637667190.db2.gz VHVIITOGQCWKTJ-UHFFFAOYSA-N 0 0 286.259 2.690 20 5 CFBDRN CNC(=O)c1ccccc1NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000863993889 637834393 /nfs/dbraw/zinc/83/43/93/637834393.db2.gz QFTQHYCJIKROEN-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1CC2(CSC2)C1 ZINC000864406755 637965041 /nfs/dbraw/zinc/96/50/41/637965041.db2.gz SWTDBKHZSMCZKG-UHFFFAOYSA-N 0 0 292.360 2.985 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000864409930 637966559 /nfs/dbraw/zinc/96/65/59/637966559.db2.gz MJKNECVPNAFSRN-GHMZBOCLSA-N 0 0 294.326 2.781 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000864905386 638120421 /nfs/dbraw/zinc/12/04/21/638120421.db2.gz BRUNFFDVXGQFJB-GWNIPJSYSA-N 0 0 274.320 2.503 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc([N+](=O)[O-])cc2)s1 ZINC000189682660 650393714 /nfs/dbraw/zinc/39/37/14/650393714.db2.gz WOKSFFMNDDAHKO-UHFFFAOYSA-N 0 0 280.265 2.572 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccc([N+](=O)[O-])cc2)s1 ZINC000189683890 650394568 /nfs/dbraw/zinc/39/45/68/650394568.db2.gz QACFWBZDPNGMHM-UHFFFAOYSA-N 0 0 294.292 2.614 20 5 CFBDRN CCCCOC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000120459542 638243046 /nfs/dbraw/zinc/24/30/46/638243046.db2.gz XLDPXOROFOFRQL-UHFFFAOYSA-N 0 0 251.282 2.871 20 5 CFBDRN CCCCOCCOC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000076854106 650407463 /nfs/dbraw/zinc/40/74/63/650407463.db2.gz KGCOJBAGEXKURR-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC2CC3(CCC3)C2)c1=O ZINC000865361132 638282826 /nfs/dbraw/zinc/28/28/26/638282826.db2.gz OOGUUXWHDIBIRT-UHFFFAOYSA-N 0 0 262.309 2.645 20 5 CFBDRN O=[N+]([O-])c1nc(Br)n(CC2CCCCC2)n1 ZINC000865389336 638302896 /nfs/dbraw/zinc/30/28/96/638302896.db2.gz PSYQBMPFJVYHER-UHFFFAOYSA-N 0 0 289.133 2.529 20 5 CFBDRN C[C@H](NCc1cn(C2CC2)cn1)c1cccc([N+](=O)[O-])c1 ZINC000865417756 638322479 /nfs/dbraw/zinc/32/24/79/638322479.db2.gz CKQKWAVIXWAWFR-NSHDSACASA-N 0 0 286.335 2.977 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C(F)F)CCO1 ZINC000865424659 638326900 /nfs/dbraw/zinc/32/69/00/638326900.db2.gz ZKCBJDORVGQLAT-MRVPVSSYSA-N 0 0 272.251 2.758 20 5 CFBDRN Cc1ncsc1CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865510997 638387430 /nfs/dbraw/zinc/38/74/30/638387430.db2.gz ZCAAPROTFUUILO-UHFFFAOYSA-N 0 0 297.311 2.603 20 5 CFBDRN C[C@H](NCc1cn(C2CC2)cn1)c1ccccc1[N+](=O)[O-] ZINC000865521156 638392996 /nfs/dbraw/zinc/39/29/96/638392996.db2.gz MDEWEFRHJDUPMV-NSHDSACASA-N 0 0 286.335 2.977 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N[C@@H]2CC=CCC2)cc1F ZINC000865546965 638413663 /nfs/dbraw/zinc/41/36/63/638413663.db2.gz LLGSGAIMGQQJMF-SNVBAGLBSA-N 0 0 266.272 2.747 20 5 CFBDRN CC(C)[C@@H](C)CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865550557 638415501 /nfs/dbraw/zinc/41/55/01/638415501.db2.gz AFNZSTAYVAGWBJ-VIFPVBQESA-N 0 0 270.304 2.930 20 5 CFBDRN C[C@H](NCc1cn(C2CC2)cn1)c1ccc([N+](=O)[O-])cc1 ZINC000865581016 638437588 /nfs/dbraw/zinc/43/75/88/638437588.db2.gz HVQBVZVLEPICED-NSHDSACASA-N 0 0 286.335 2.977 20 5 CFBDRN CC[C@]1(C)CCN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865610120 638457980 /nfs/dbraw/zinc/45/79/80/638457980.db2.gz CHIJQWWKRPFQAB-CQSZACIVSA-N 0 0 282.315 2.853 20 5 CFBDRN C[C@H]1CN(c2cc(F)c([N+](=O)[O-])cc2CO)CC[C@@H]1C ZINC000865650887 638487586 /nfs/dbraw/zinc/48/75/86/638487586.db2.gz LBZSEOFFWVKDSY-UWVGGRQHSA-N 0 0 282.315 2.709 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)c(Cl)ccc2[N+](=O)[O-])C[C@H]1O ZINC000865652804 638490313 /nfs/dbraw/zinc/49/03/13/638490313.db2.gz IHGRWVNRUUDANM-HZGVNTEJSA-N 0 0 291.134 2.719 20 5 CFBDRN COc1cc(CN[C@@H]2CCCC[C@@H]2F)c([N+](=O)[O-])cc1O ZINC000865699264 638523249 /nfs/dbraw/zinc/52/32/49/638523249.db2.gz NLQSNWIIRIVYFE-WDEREUQCSA-N 0 0 298.314 2.679 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1c1cc(F)c([N+](=O)[O-])cc1CO ZINC000865727746 638538838 /nfs/dbraw/zinc/53/88/38/638538838.db2.gz HAVQVWVZFGDZBE-JTQLQIEISA-N 0 0 294.326 2.995 20 5 CFBDRN CC1=C[C@H](C)CN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865752410 638552031 /nfs/dbraw/zinc/55/20/31/638552031.db2.gz UUODNDUUQREAOY-VIFPVBQESA-N 0 0 280.299 2.629 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCc2cc(Cl)nnc2C1 ZINC000865899288 638649202 /nfs/dbraw/zinc/64/92/02/638649202.db2.gz ZCAKJYCCICOYIO-UHFFFAOYSA-N 0 0 296.739 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]2C(F)(F)F)c([N+](=O)[O-])c1 ZINC000865923681 638659874 /nfs/dbraw/zinc/65/98/74/638659874.db2.gz VAIWBPXZDATEDA-VIFPVBQESA-N 0 0 291.185 2.644 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1CCCN(CCF)C1 ZINC000865927650 638661085 /nfs/dbraw/zinc/66/10/85/638661085.db2.gz FEMFNDSIECWIBT-SECBINFHSA-N 0 0 273.333 2.502 20 5 CFBDRN Nc1ccc(NCC[C@H]2CCSC2)c([N+](=O)[O-])c1 ZINC000865983918 638686437 /nfs/dbraw/zinc/68/64/37/638686437.db2.gz LNHDZFPRHCJQBE-VIFPVBQESA-N 0 0 267.354 2.732 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(CCF)CC2)n1 ZINC000866024134 638702239 /nfs/dbraw/zinc/70/22/39/638702239.db2.gz DZRHUFFBTHHQJD-UHFFFAOYSA-N 0 0 267.304 2.874 20 5 CFBDRN CCCCOC(=O)CSc1nc(C)ccc1[N+](=O)[O-] ZINC000866031032 638705581 /nfs/dbraw/zinc/70/55/81/638705581.db2.gz UIVDAJPHQYXYOF-UHFFFAOYSA-N 0 0 284.337 2.734 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000866042947 638708540 /nfs/dbraw/zinc/70/85/40/638708540.db2.gz HHZPRXSJHHPLMW-SOCHQFKDSA-N 0 0 284.287 2.720 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2 ZINC000866047541 638711876 /nfs/dbraw/zinc/71/18/76/638711876.db2.gz ZKUZXMPFVIYRLX-YMYJPDDMSA-N 0 0 274.320 2.739 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC1CCC(OC(C)(C)C)CC1 ZINC000866048846 638712599 /nfs/dbraw/zinc/71/25/99/638712599.db2.gz HPOSTEDNBIYARA-UHFFFAOYSA-N 0 0 296.371 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@@H](O)c2ccccc2)cn1 ZINC000866051655 638714050 /nfs/dbraw/zinc/71/40/50/638714050.db2.gz MWEGNOIYQKHUJT-ZBFHGGJFSA-N 0 0 299.330 2.692 20 5 CFBDRN Nc1ccc(NCC[C@@H]2CCCS2)c([N+](=O)[O-])c1 ZINC000866092181 638732570 /nfs/dbraw/zinc/73/25/70/638732570.db2.gz TZKNSHWHSXLAAM-JTQLQIEISA-N 0 0 267.354 2.875 20 5 CFBDRN CS[C@H]1C[C@H](Nc2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000866100875 638738078 /nfs/dbraw/zinc/73/80/78/638738078.db2.gz AINIVGFDGWTKPL-KYZUINATSA-N 0 0 278.337 2.548 20 5 CFBDRN CS[C@H]1C[C@H](Nc2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000866102233 638738764 /nfs/dbraw/zinc/73/87/64/638738764.db2.gz IGKXQJQVSWHLDY-LJGSYFOKSA-N 0 0 296.377 2.905 20 5 CFBDRN CS[C@H]1C[C@H](Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000866103077 638739589 /nfs/dbraw/zinc/73/95/89/638739589.db2.gz XEMPASCMQRXJOJ-XWEPSHTISA-N 0 0 278.337 2.777 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000866114119 638743914 /nfs/dbraw/zinc/74/39/14/638743914.db2.gz VSJVLACTXCZOSK-VZZFWQQMSA-N 0 0 288.347 2.546 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCCCC2)cc1[N+](=O)[O-] ZINC000866140380 638754938 /nfs/dbraw/zinc/75/49/38/638754938.db2.gz JIUMQYNPKAXGCV-UHFFFAOYSA-N 0 0 277.324 2.599 20 5 CFBDRN Cc1cc(N[C@@H](C2CCC2)[C@@H]2CCOC2)ncc1[N+](=O)[O-] ZINC000866143985 638756543 /nfs/dbraw/zinc/75/65/43/638756543.db2.gz SRGPDBNDNVVFIQ-DOMZBBRYSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1ccnc(N[C@H](C2CCC2)[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000866142947 638756577 /nfs/dbraw/zinc/75/65/77/638756577.db2.gz DKLOBPBNWZSQMR-CHWSQXEVSA-N 0 0 291.351 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3C[C@@H]4CC=CC[C@@H]4C3)c2c1 ZINC000866163351 638761346 /nfs/dbraw/zinc/76/13/46/638761346.db2.gz UQWFDEOOUVGSNT-TXEJJXNPSA-N 0 0 296.330 2.940 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CCC12CCOCC2 ZINC000866175140 638763841 /nfs/dbraw/zinc/76/38/41/638763841.db2.gz ORQRFQQZYDVLON-NSHDSACASA-N 0 0 277.324 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H]2C2CCOCC2)n1 ZINC000866180796 638764911 /nfs/dbraw/zinc/76/49/11/638764911.db2.gz XLGURWFYADOIRF-STQMWFEESA-N 0 0 291.351 2.773 20 5 CFBDRN CN1Cc2ccccc2[C@@H]1CNc1ncc([N+](=O)[O-])s1 ZINC000866193146 638766971 /nfs/dbraw/zinc/76/69/71/638766971.db2.gz AQGYHLDWYGLGEY-NSHDSACASA-N 0 0 290.348 2.650 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1nn(C)cc1C(F)F ZINC000866194251 638767378 /nfs/dbraw/zinc/76/73/78/638767378.db2.gz VGGIFADCLXCLHT-UHFFFAOYSA-N 0 0 297.265 2.581 20 5 CFBDRN CCOc1cc(N[C@H](C)c2ccnn2C)ccc1[N+](=O)[O-] ZINC000866251949 638780679 /nfs/dbraw/zinc/78/06/79/638780679.db2.gz TVAKXONGRCOJLG-SNVBAGLBSA-N 0 0 290.323 2.900 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2ccc3cc[nH]c3c2)c1[N+](=O)[O-] ZINC000866272102 638786104 /nfs/dbraw/zinc/78/61/04/638786104.db2.gz SFXLFZGJQYRPGR-UHFFFAOYSA-N 0 0 299.334 2.754 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1C[C@H]1C1CCOCC1 ZINC000866273639 638786336 /nfs/dbraw/zinc/78/63/36/638786336.db2.gz QPNIJOVBIUUSDQ-WCQYABFASA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000866301396 638791992 /nfs/dbraw/zinc/79/19/92/638791992.db2.gz KPQSVSTVWCQDJG-ONGXEEELSA-N 0 0 280.324 2.759 20 5 CFBDRN Cc1ccnc(NCC[C@@H](O)C2CCCC2)c1[N+](=O)[O-] ZINC000866302888 638792607 /nfs/dbraw/zinc/79/26/07/638792607.db2.gz HSGSHCCNJOZTJA-GFCCVEGCSA-N 0 0 279.340 2.651 20 5 CFBDRN C[C@@H](OC(=O)C(C)(C)C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000866455078 638825291 /nfs/dbraw/zinc/82/52/91/638825291.db2.gz VKVHBAJDVZBKCH-SECBINFHSA-N 0 0 294.307 2.511 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000121093156 638832505 /nfs/dbraw/zinc/83/25/05/638832505.db2.gz ZRUPZHPCIRSFGW-ZWNOBZJWSA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000121092997 638832517 /nfs/dbraw/zinc/83/25/17/638832517.db2.gz ZRUPZHPCIRSFGW-GXFFZTMASA-N 0 0 279.292 2.768 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CCC2(CCC2)C1 ZINC000866550190 638843103 /nfs/dbraw/zinc/84/31/03/638843103.db2.gz MTSMBZLERJLYSJ-UHFFFAOYSA-N 0 0 292.310 2.679 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)OCCC(F)(F)F ZINC000866641759 638860477 /nfs/dbraw/zinc/86/04/77/638860477.db2.gz YEYWGUWMSUXYQU-UHFFFAOYSA-N 0 0 292.213 2.773 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](O)c2ccc(F)cc2)n1 ZINC000121176121 638865617 /nfs/dbraw/zinc/86/56/17/638865617.db2.gz MMSCHHKZRQQXLW-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN Nc1ccc2c(c1)OCCN(Cc1ccccc1[N+](=O)[O-])C2 ZINC000866691935 638866519 /nfs/dbraw/zinc/86/65/19/638866519.db2.gz SQGPBRRHXHABRZ-UHFFFAOYSA-N 0 0 299.330 2.572 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867647914 638889136 /nfs/dbraw/zinc/88/91/36/638889136.db2.gz VIKIYVIZWSCCHW-KOLCDFICSA-N 0 0 280.299 2.581 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000868333804 638938653 /nfs/dbraw/zinc/93/86/53/638938653.db2.gz OKFRAPQIXXKWGJ-LLVKDONJSA-N 0 0 280.299 2.581 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000868610552 638961580 /nfs/dbraw/zinc/96/15/80/638961580.db2.gz HBKWTWRDRLRWPG-ZDUSSCGKSA-N 0 0 291.351 2.891 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000868853390 638986286 /nfs/dbraw/zinc/98/62/86/638986286.db2.gz RASWHBSUJVELAT-CDMKHQONSA-N 0 0 292.310 2.581 20 5 CFBDRN C[C@@H]1OCC[C@H]1COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000869313424 639163308 /nfs/dbraw/zinc/16/33/08/639163308.db2.gz DGXDERDTIHDFNB-WPRPVWTQSA-N 0 0 299.710 2.830 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000869326693 639179364 /nfs/dbraw/zinc/17/93/64/639179364.db2.gz WELOKVZBOPVOMX-LLVKDONJSA-N 0 0 294.326 2.781 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000869383232 639204789 /nfs/dbraw/zinc/20/47/89/639204789.db2.gz JGCCTBVQAIYEBY-UHFFFAOYSA-N 0 0 283.255 2.650 20 5 CFBDRN O=C(NCCC1CCC1)c1cc([N+](=O)[O-])ccc1F ZINC000122573947 639220725 /nfs/dbraw/zinc/22/07/25/639220725.db2.gz FXTIDJXEAKKAFG-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN Cc1cc(C)c(C(=O)OCc2onc(C)c2[N+](=O)[O-])s1 ZINC000869579246 639305665 /nfs/dbraw/zinc/30/56/65/639305665.db2.gz ZPJJXIVGFDASFC-UHFFFAOYSA-N 0 0 296.304 2.927 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC[C@@H]1CCSC1 ZINC000869598981 639314439 /nfs/dbraw/zinc/31/44/39/639314439.db2.gz ZCWJPKXWKFNDFJ-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCC(C)(C)F ZINC000869624314 639329446 /nfs/dbraw/zinc/32/94/46/639329446.db2.gz XRGSYKQFXWSBGN-NTMALXAHSA-N 0 0 280.299 2.862 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCCC23CC3)c(N)c([N+](=O)[O-])c1 ZINC000869747443 639378970 /nfs/dbraw/zinc/37/89/70/639378970.db2.gz DCLYQBSNOFISEU-LBPRGKRZSA-N 0 0 289.335 2.548 20 5 CFBDRN CC(C)(C)C(=O)COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897309 639458844 /nfs/dbraw/zinc/45/88/44/639458844.db2.gz PTPPDLQFZNXMOO-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869897270 639459109 /nfs/dbraw/zinc/45/91/09/639459109.db2.gz PBZZGVGURSWKKH-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN C[C@@H](OC(=O)C1(F)CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000870172665 639533411 /nfs/dbraw/zinc/53/34/11/639533411.db2.gz WZNCIFZESSJDEG-SNVBAGLBSA-N 0 0 297.282 2.718 20 5 CFBDRN C[C@@H](CCc1ccc(F)cc1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000870378455 639616871 /nfs/dbraw/zinc/61/68/71/639616871.db2.gz WYVUHAPXNPZIMX-QWRGUYRKSA-N 0 0 283.299 2.603 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)CCc1ccc(F)cc1 ZINC000870387114 639619885 /nfs/dbraw/zinc/61/98/85/639619885.db2.gz KTZPUBUOGZCJJL-WDEREUQCSA-N 0 0 283.299 2.603 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)[C@]12C[C@H]1CCCC2 ZINC000870530927 639707235 /nfs/dbraw/zinc/70/72/35/639707235.db2.gz XOWCVRWTYQCKFN-RBZYPMLTSA-N 0 0 277.324 2.622 20 5 CFBDRN Cc1cc(C(=O)OCc2c(C)ccc([N+](=O)[O-])c2C)on1 ZINC000870700154 639777794 /nfs/dbraw/zinc/77/77/94/639777794.db2.gz RVENJMXXRSMBIE-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CO[C@H](C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C)C1CC1 ZINC000870700023 639777839 /nfs/dbraw/zinc/77/78/39/639777839.db2.gz ARHRSUPDADATSG-AWEZNQCLSA-N 0 0 293.319 2.680 20 5 CFBDRN COC/C(C)=C/C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870701425 639778803 /nfs/dbraw/zinc/77/88/03/639778803.db2.gz SNTNHEISDATVGZ-JXMROGBWSA-N 0 0 293.319 2.848 20 5 CFBDRN CO[C@@H]1CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000078781273 650532142 /nfs/dbraw/zinc/53/21/42/650532142.db2.gz SDSMXAFCAQEIPF-CHWSQXEVSA-N 0 0 279.292 2.709 20 5 CFBDRN CC(C)(C)CCOC(=O)COc1ccccc1[N+](=O)[O-] ZINC000079078016 650558920 /nfs/dbraw/zinc/55/89/20/650558920.db2.gz SGPKMQFAMHPEBC-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN Cc1c(OCc2ncc(Cl)n2C)cccc1[N+](=O)[O-] ZINC000192801096 650597894 /nfs/dbraw/zinc/59/78/94/650597894.db2.gz BWRYTBYKCDMKFS-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=C(OC1CC(n2cc([N+](=O)[O-])cn2)C1)C1CCCCC1 ZINC000870826631 641090159 /nfs/dbraw/zinc/09/01/59/641090159.db2.gz IBZBCPUFBZENIZ-UHFFFAOYSA-N 0 0 293.323 2.618 20 5 CFBDRN CCC(CC)CC(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870829219 641090797 /nfs/dbraw/zinc/09/07/97/641090797.db2.gz INTHRYVPKZNGKL-UHFFFAOYSA-N 0 0 295.339 2.864 20 5 CFBDRN O=C(NCC[C@@H]1CCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000871023934 641158236 /nfs/dbraw/zinc/15/82/36/641158236.db2.gz KQDKKWUEAOYHML-LBPRGKRZSA-N 0 0 280.349 2.610 20 5 CFBDRN Cc1cc(C(=O)NCC[C@@H]2CCCS2)ccc1[N+](=O)[O-] ZINC000871024621 641159075 /nfs/dbraw/zinc/15/90/75/641159075.db2.gz TXBWMFSKDFNEQM-LBPRGKRZSA-N 0 0 294.376 2.919 20 5 CFBDRN O=C(NCC[C@@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000871026162 641159086 /nfs/dbraw/zinc/15/90/86/641159086.db2.gz JPTBNBUHTRYLPA-JTQLQIEISA-N 0 0 280.349 2.610 20 5 CFBDRN O=C(NCC[C@H]1CCCS1)c1csc([N+](=O)[O-])c1 ZINC000871029484 641161804 /nfs/dbraw/zinc/16/18/04/641161804.db2.gz PXLWLWWNTVXOBG-SECBINFHSA-N 0 0 286.378 2.672 20 5 CFBDRN Cc1nn(C2CC(CF)(CF)C2)c(C)c1[N+](=O)[O-] ZINC000871624501 641371708 /nfs/dbraw/zinc/37/17/08/641371708.db2.gz XVGAGEQLEMCHGL-UHFFFAOYSA-N 0 0 259.256 2.668 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2CC3(CCC3)CO2)c1 ZINC000871630808 641374800 /nfs/dbraw/zinc/37/48/00/641374800.db2.gz SUSYGNZKWDVGNT-CYBMUJFWSA-N 0 0 263.293 2.933 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2C[C@@H]3CCC[C@H]3O2)c([N+](=O)[O-])c1 ZINC000871636900 641377087 /nfs/dbraw/zinc/37/70/87/641377087.db2.gz UYGGTEIZLPBUAM-SGMGOOAPSA-N 0 0 291.303 2.744 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1noc2c1CC(C)(C)CC2 ZINC000871650511 641382318 /nfs/dbraw/zinc/38/23/18/641382318.db2.gz XFQHKXXQCYMEFW-UHFFFAOYSA-N 0 0 290.323 2.651 20 5 CFBDRN Cc1cnc(OC[C@@H]2CC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000871656396 641385411 /nfs/dbraw/zinc/38/54/11/641385411.db2.gz HKKGZVIDRPIIFU-NSHDSACASA-N 0 0 278.308 2.636 20 5 CFBDRN Cc1cc(=O)n(CSC(C)(C)C)cc1[N+](=O)[O-] ZINC000871659097 641386681 /nfs/dbraw/zinc/38/66/81/641386681.db2.gz YIPCWEQCWNOJGP-UHFFFAOYSA-N 0 0 256.327 2.554 20 5 CFBDRN CO[C@H]1C[C@@H](COc2ccc([N+](=O)[O-])c(F)c2F)C1 ZINC000871673883 641395995 /nfs/dbraw/zinc/39/59/95/641395995.db2.gz QSWGHQYZVGYTNF-OCAPTIKFSA-N 0 0 273.235 2.677 20 5 CFBDRN CC(C)COc1ccc(Br)nc1[N+](=O)[O-] ZINC000871694210 641408601 /nfs/dbraw/zinc/40/86/01/641408601.db2.gz ZVYDTEMQTZSSCK-UHFFFAOYSA-N 0 0 275.102 2.787 20 5 CFBDRN CC(C)Oc1ccc(Br)nc1[N+](=O)[O-] ZINC000871694020 641409052 /nfs/dbraw/zinc/40/90/52/641409052.db2.gz XKPIWQVKWLKDND-UHFFFAOYSA-N 0 0 261.075 2.540 20 5 CFBDRN CC(C)(O)COc1cc(Cl)c([N+](=O)[O-])cc1F ZINC000871694652 641409301 /nfs/dbraw/zinc/40/93/01/641409301.db2.gz PYUTUOGFMUWLDX-UHFFFAOYSA-N 0 0 263.652 2.537 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCC1CC(F)(F)C1 ZINC000871704551 641414280 /nfs/dbraw/zinc/41/42/80/641414280.db2.gz WOOGCOIHTKBXLH-UHFFFAOYSA-N 0 0 291.323 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)Cc1ccoc1 ZINC000123764044 641441076 /nfs/dbraw/zinc/44/10/76/641441076.db2.gz KWQCZUOHDRCDCO-UHFFFAOYSA-N 0 0 261.233 2.644 20 5 CFBDRN CCC1(CC)CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000871759000 641442315 /nfs/dbraw/zinc/44/23/15/641442315.db2.gz RNXHOWJFEZJFBG-UHFFFAOYSA-N 0 0 279.340 2.728 20 5 CFBDRN Cc1c[nH]nc1COCc1ccc(C)c([N+](=O)[O-])c1 ZINC000871758980 641442527 /nfs/dbraw/zinc/44/25/27/641442527.db2.gz QLBLVYIPKWMWGF-UHFFFAOYSA-N 0 0 261.281 2.652 20 5 CFBDRN C[C@@H](ON=C(N)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000871764951 641447719 /nfs/dbraw/zinc/44/77/19/641447719.db2.gz NUVSCQJCMYKGAE-SECBINFHSA-N 0 0 263.297 2.954 20 5 CFBDRN C[C@@H]1CC[C@H](C(=O)[O-])C[C@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000871875563 641493932 /nfs/dbraw/zinc/49/39/32/641493932.db2.gz LTLRRIQESQKAHD-SCDSUCTJSA-N 0 0 292.335 2.574 20 5 CFBDRN CC[C@@](C)(C[NH2+]Cc1c(C)ccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000871877821 641495005 /nfs/dbraw/zinc/49/50/05/641495005.db2.gz VOMQMMHJJPJPML-HNNXBMFYSA-N 0 0 294.351 2.802 20 5 CFBDRN C[C@H](NC[C@@H](O)c1ccco1)c1c(F)cccc1[N+](=O)[O-] ZINC000872019965 641550515 /nfs/dbraw/zinc/55/05/15/641550515.db2.gz BDMSUJKXKPIFRA-JOYOIKCWSA-N 0 0 294.282 2.711 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cnn(C)c1)c1c(F)cccc1[N+](=O)[O-] ZINC000872038649 641572323 /nfs/dbraw/zinc/57/23/23/641572323.db2.gz NVZQQTPATPPUFS-VHSXEESVSA-N 0 0 292.314 2.879 20 5 CFBDRN O=C(Nc1ccc(F)nc1F)c1ccc([N+](=O)[O-])s1 ZINC000193679306 650684417 /nfs/dbraw/zinc/68/44/17/650684417.db2.gz LZRWVVSMKMWSPB-UHFFFAOYSA-N 0 0 285.231 2.582 20 5 CFBDRN CC[C@@H](C)COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000124054260 641763759 /nfs/dbraw/zinc/76/37/59/641763759.db2.gz BGQOHHAZEJXAPR-SNVBAGLBSA-N 0 0 267.281 2.563 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000116062909 651278101 /nfs/dbraw/zinc/27/81/01/651278101.db2.gz NUEKGJKVSKEGOC-UHFFFAOYSA-N 0 0 255.657 2.701 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@H]3CCC[C@@H]3O2)ccc1[N+](=O)[O-] ZINC000872697743 641901980 /nfs/dbraw/zinc/90/19/80/641901980.db2.gz QDNPNOZECWLKTR-OSMZGAPFSA-N 0 0 292.335 2.973 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000124209914 641909606 /nfs/dbraw/zinc/90/96/06/641909606.db2.gz HRAMAPWDYIJLKS-SECBINFHSA-N 0 0 267.281 2.806 20 5 CFBDRN Cc1csc([C@@H]2CCN(c3cccnc3[N+](=O)[O-])C2)n1 ZINC000872734427 641916152 /nfs/dbraw/zinc/91/61/52/641916152.db2.gz JADVWWPRDMZRDD-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN Cc1csc([C@@H]2CCN(c3ncccc3[N+](=O)[O-])C2)n1 ZINC000872733911 641916432 /nfs/dbraw/zinc/91/64/32/641916432.db2.gz RGTVATCZLWLNNM-SNVBAGLBSA-N 0 0 290.348 2.749 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCO[C@@H](C(F)(F)F)CC1 ZINC000872749237 641919792 /nfs/dbraw/zinc/91/97/92/641919792.db2.gz CTCJJDRDMNOQBR-MRVPVSSYSA-N 0 0 296.270 2.814 20 5 CFBDRN Cc1cc(N2CC[C@H](C(C)(C)O)C2)c([N+](=O)[O-])s1 ZINC000872782356 641937192 /nfs/dbraw/zinc/93/71/92/641937192.db2.gz PYHYVZWSVCVMDE-VIFPVBQESA-N 0 0 270.354 2.562 20 5 CFBDRN O=C(Nc1cccc(Cl)n1)c1csc([N+](=O)[O-])c1 ZINC000080797752 650710065 /nfs/dbraw/zinc/71/00/65/650710065.db2.gz MNLIBLMRKOWGHA-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@H](C)[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000873231612 642085399 /nfs/dbraw/zinc/08/53/99/642085399.db2.gz SHZSENLUXZDXGT-SDDRHHMPSA-N 0 0 291.351 2.953 20 5 CFBDRN O=C(OC[C@H]1CC2(CCC2)CO1)c1ccc([N+](=O)[O-])s1 ZINC000873316583 642133744 /nfs/dbraw/zinc/13/37/44/642133744.db2.gz RJFBYIFPFBXTQO-SECBINFHSA-N 0 0 297.332 2.772 20 5 CFBDRN CO[C@H]1C[C@@H](COC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000873318504 642134742 /nfs/dbraw/zinc/13/47/42/642134742.db2.gz LTDDOYJSNMVWGJ-WAAGHKOSSA-N 0 0 299.710 2.830 20 5 CFBDRN CC[C@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(C)=O ZINC000873365175 642156396 /nfs/dbraw/zinc/15/63/96/642156396.db2.gz JTJDVYHPHNHNIT-JTQLQIEISA-N 0 0 285.683 2.773 20 5 CFBDRN CC[C@H](OC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873378670 642166473 /nfs/dbraw/zinc/16/64/73/642166473.db2.gz MSNUQOUSKOVXAU-CMUBDUJZSA-N 0 0 291.303 2.909 20 5 CFBDRN CC[C@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(C)=O ZINC000873379914 642167635 /nfs/dbraw/zinc/16/76/35/642167635.db2.gz YZQRRPLWXZGSGR-OQPBUACISA-N 0 0 297.282 2.748 20 5 CFBDRN CC[C@@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C(C)=O ZINC000873379913 642167706 /nfs/dbraw/zinc/16/77/06/642167706.db2.gz YZQRRPLWXZGSGR-ISVAXAHUSA-N 0 0 297.282 2.748 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC[C@@H]1CCCO1 ZINC000873438345 642204689 /nfs/dbraw/zinc/20/46/89/642204689.db2.gz JPBBZFYUAGXQRO-LBPRGKRZSA-N 0 0 293.319 2.938 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OCC(F)F ZINC000873438453 642204762 /nfs/dbraw/zinc/20/47/62/642204762.db2.gz MZIOPEKWAVPAMR-UHFFFAOYSA-N 0 0 259.208 2.634 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000873528169 642235621 /nfs/dbraw/zinc/23/56/21/642235621.db2.gz CQNOZHXCXBUTFQ-RYUDHWBXSA-N 0 0 293.319 2.936 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)O[C@@H](C)CC(C)(C)O ZINC000873529891 642236571 /nfs/dbraw/zinc/23/65/71/642236571.db2.gz HFPROKBUATUVRW-JTQLQIEISA-N 0 0 295.335 2.918 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cccc(OC(C)(C)C)c1 ZINC000873533831 642238164 /nfs/dbraw/zinc/23/81/64/642238164.db2.gz MBDYCKHBMCXRTH-SNVBAGLBSA-N 0 0 281.308 2.686 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CO[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000873578769 642259204 /nfs/dbraw/zinc/25/92/04/642259204.db2.gz SWWVOXSFEAKAKS-AXFHLTTASA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](OC(=O)COc1ccccc1[N+](=O)[O-])[C@H]1CC12CC2 ZINC000873627666 642278807 /nfs/dbraw/zinc/27/88/07/642278807.db2.gz BEQZHEKOWXOTBP-GHMZBOCLSA-N 0 0 291.303 2.705 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCCCC1 ZINC000873720539 642289449 /nfs/dbraw/zinc/28/94/49/642289449.db2.gz LUJTXVOFTULYRN-UHFFFAOYSA-N 0 0 262.309 2.838 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N(C)Cc1ccncc1 ZINC000873897386 642305390 /nfs/dbraw/zinc/30/53/90/642305390.db2.gz MLRYIALBVMXRQU-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCCc2ccccc21 ZINC000195131250 650739407 /nfs/dbraw/zinc/73/94/07/650739407.db2.gz UZSIBHRBIUROJG-UHFFFAOYSA-N 0 0 297.314 2.770 20 5 CFBDRN CCn1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c1C ZINC000873929324 642309358 /nfs/dbraw/zinc/30/93/58/642309358.db2.gz VLEMDFDXTPNLCB-UHFFFAOYSA-N 0 0 299.330 2.928 20 5 CFBDRN CC1(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CC(F)(F)C1 ZINC000874151646 642344002 /nfs/dbraw/zinc/34/40/02/642344002.db2.gz XUIMUWLQTYBSPJ-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@H](C)C1CC1 ZINC000874181995 642349759 /nfs/dbraw/zinc/34/97/59/642349759.db2.gz NIGJRJIUFDFMNI-JTQLQIEISA-N 0 0 276.336 2.988 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000195263010 650745179 /nfs/dbraw/zinc/74/51/79/650745179.db2.gz RINXOHCUEYNSCR-WCBMZHEXSA-N 0 0 285.683 2.579 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000195351866 650748674 /nfs/dbraw/zinc/74/86/74/650748674.db2.gz OMESKZJLLVIAQY-SSDOTTSWSA-N 0 0 272.688 2.612 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000874778969 642479422 /nfs/dbraw/zinc/47/94/22/642479422.db2.gz ZWTGFOFMRJMCDT-MJBXVCDLSA-N 0 0 286.331 2.757 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000874788823 642489868 /nfs/dbraw/zinc/48/98/68/642489868.db2.gz BBZOOACRLKXXMK-CYBMUJFWSA-N 0 0 285.303 2.749 20 5 CFBDRN CCn1ncc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])c1C ZINC000874817682 642505612 /nfs/dbraw/zinc/50/56/12/642505612.db2.gz CSISXBVHHTYVAX-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000125194474 642538287 /nfs/dbraw/zinc/53/82/87/642538287.db2.gz ZQEWJQFRICPOJL-GHMZBOCLSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000875054538 642581143 /nfs/dbraw/zinc/58/11/43/642581143.db2.gz VQVJNRMSOMCBIC-GRLWKWRFSA-N 0 0 278.283 2.965 20 5 CFBDRN CCOC1CC2(C[C@H]2C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000875053998 642581181 /nfs/dbraw/zinc/58/11/81/642581181.db2.gz DVXYANWXSKQQSD-OWYJLGKBSA-N 0 0 290.319 2.739 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000875054539 642581252 /nfs/dbraw/zinc/58/12/52/642581252.db2.gz VQVJNRMSOMCBIC-PXIYARARSA-N 0 0 278.283 2.965 20 5 CFBDRN CC1(OC(=O)COc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000125428538 642624035 /nfs/dbraw/zinc/62/40/35/642624035.db2.gz GCECOYRWNKGZBE-UHFFFAOYSA-N 0 0 279.292 2.850 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1(F)CCOCC1 ZINC000875745677 642677290 /nfs/dbraw/zinc/67/72/90/642677290.db2.gz SNYOMWWNPVXOID-UHFFFAOYSA-N 0 0 296.298 2.614 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])Oc1ccccc1F ZINC000876009720 642741660 /nfs/dbraw/zinc/74/16/60/642741660.db2.gz HAYLZMMWDFWWFB-UHFFFAOYSA-N 0 0 275.235 2.882 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000876160173 642782401 /nfs/dbraw/zinc/78/24/01/642782401.db2.gz YLADWVJLNLCIBL-LBPRGKRZSA-N 0 0 296.273 2.936 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC2(CC2)C1 ZINC000888706688 642789965 /nfs/dbraw/zinc/78/99/65/642789965.db2.gz MMKHMZHHTYBDHZ-NSHDSACASA-N 0 0 289.335 2.751 20 5 CFBDRN CC(C)(C)c1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCOC1 ZINC000876339554 642834494 /nfs/dbraw/zinc/83/44/94/642834494.db2.gz CLRPFNJWFBILAU-SNVBAGLBSA-N 0 0 292.335 2.867 20 5 CFBDRN C[C@@H](OC(=O)[C@@]1(C)CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000125929950 642862281 /nfs/dbraw/zinc/86/22/81/642862281.db2.gz ZSOAJGGDZZMQAC-QMTHXVAHSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC12CCC2 ZINC000876427365 642864875 /nfs/dbraw/zinc/86/48/75/642864875.db2.gz OGXBPTOETDZZCR-GFCCVEGCSA-N 0 0 275.308 2.967 20 5 CFBDRN CCc1cc(NCc2cn(C)nc2[N+](=O)[O-])ccc1C ZINC000877164711 643184123 /nfs/dbraw/zinc/18/41/23/643184123.db2.gz DDQYILSKTKEBQJ-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN CCSCCn1c(C)nc([N+](=O)[O-])c1Br ZINC000126560878 643332621 /nfs/dbraw/zinc/33/26/21/643332621.db2.gz UIAIXYFSNCYFFK-UHFFFAOYSA-N 0 0 294.174 2.615 20 5 CFBDRN CC(C)(C)CCCNC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000126578105 643346441 /nfs/dbraw/zinc/34/64/41/643346441.db2.gz LOTNWNCMCNGGSO-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CN1CC(OC(F)F)C1 ZINC000877581628 643377927 /nfs/dbraw/zinc/37/79/27/643377927.db2.gz LRVXIZPYWAPBTH-UHFFFAOYSA-N 0 0 286.278 2.635 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCc1ccns1 ZINC000877879513 643618024 /nfs/dbraw/zinc/61/80/24/643618024.db2.gz GJQWFTQJKDYVEE-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cc(C)nnc2C)c1 ZINC000877888043 643625115 /nfs/dbraw/zinc/62/51/15/643625115.db2.gz GFPPBINAZYTKML-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN CC1CC(Cn2c(=O)oc3cccc([N+](=O)[O-])c32)C1 ZINC000877889681 643625271 /nfs/dbraw/zinc/62/52/71/643625271.db2.gz KWURIXYSSUKWQQ-UHFFFAOYSA-N 0 0 262.265 2.549 20 5 CFBDRN CCC(CC)(NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000878422489 643887996 /nfs/dbraw/zinc/88/79/96/643887996.db2.gz JHRFDPHVOSMCGH-UHFFFAOYSA-N 0 0 294.351 2.725 20 5 CFBDRN C[C@@H](NC(=O)c1cccc(F)n1)c1cccc([N+](=O)[O-])c1 ZINC000127299539 644007218 /nfs/dbraw/zinc/00/72/18/644007218.db2.gz OGUMRLNPGOVKCC-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)NCCc1ccccc1[N+](=O)[O-] ZINC000878987532 644098322 /nfs/dbraw/zinc/09/83/22/644098322.db2.gz PYECWHHSBBTZCQ-KBPBESRZSA-N 0 0 288.347 2.690 20 5 CFBDRN CCON(CC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000879123531 644151348 /nfs/dbraw/zinc/15/13/48/644151348.db2.gz LNNSGVUEAKCJEQ-UHFFFAOYSA-N 0 0 267.285 2.709 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)OCC(F)(F)F ZINC000879511940 644296917 /nfs/dbraw/zinc/29/69/17/644296917.db2.gz QXSGODBGZZOVAP-UHFFFAOYSA-N 0 0 270.232 2.582 20 5 CFBDRN CN(Cc1ccc(F)cc1[N+](=O)[O-])OCC(F)(F)F ZINC000879516598 644299493 /nfs/dbraw/zinc/29/94/93/644299493.db2.gz VNBFCYQVVRPZIJ-UHFFFAOYSA-N 0 0 282.193 2.660 20 5 CFBDRN CC(C)(O)C1CCN(Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000879629525 644355601 /nfs/dbraw/zinc/35/56/01/644355601.db2.gz QERKJBFPJWWZRD-UHFFFAOYSA-N 0 0 296.342 2.717 20 5 CFBDRN C[C@@H](NCc1cc(Cl)ccc1[N+](=O)[O-])c1ccnn1C ZINC000879685616 644380417 /nfs/dbraw/zinc/38/04/17/644380417.db2.gz YOPMUKRUAALJOZ-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN CSCCCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000128103068 644467801 /nfs/dbraw/zinc/46/78/01/644467801.db2.gz ODBJPBQUGAMIES-UHFFFAOYSA-N 0 0 269.322 2.781 20 5 CFBDRN CN(CC(C)(C)O)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000092970023 650930962 /nfs/dbraw/zinc/93/09/62/650930962.db2.gz POXVOQOKMIQKRO-UHFFFAOYSA-N 0 0 274.267 2.740 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H](CF)C2)cs1 ZINC000880232784 644593708 /nfs/dbraw/zinc/59/37/08/644593708.db2.gz QHMIQMDFXXNNRN-VIFPVBQESA-N 0 0 258.318 2.838 20 5 CFBDRN COc1ccc(CN2CCC(F)CC2)cc1[N+](=O)[O-] ZINC000880242685 644605235 /nfs/dbraw/zinc/60/52/35/644605235.db2.gz HTXYLKCSYYPMNE-UHFFFAOYSA-N 0 0 268.288 2.537 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)CCS1 ZINC000888814969 644700737 /nfs/dbraw/zinc/70/07/37/644700737.db2.gz KQQSQVBVWBFARZ-MRVPVSSYSA-N 0 0 284.337 2.592 20 5 CFBDRN C[C@@H](CCO)SCc1ccc([N+](=O)[O-])c(F)c1 ZINC000094775047 650963018 /nfs/dbraw/zinc/96/30/18/650963018.db2.gz VZIMYRPTEGEIRA-QMMMGPOBSA-N 0 0 259.302 2.738 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000881490852 644990152 /nfs/dbraw/zinc/99/01/52/644990152.db2.gz TZVKPKVTXBLENO-SECBINFHSA-N 0 0 280.711 2.944 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000881490979 644990214 /nfs/dbraw/zinc/99/02/14/644990214.db2.gz UMJKXNHUJGGRIP-NSHDSACASA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000881491704 644991300 /nfs/dbraw/zinc/99/13/00/644991300.db2.gz ZWYIEWXOWUBNHI-JTQLQIEISA-N 0 0 285.303 2.772 20 5 CFBDRN Cc1cccc(C(=O)N2CCC[C@@H](CF)C2)c1[N+](=O)[O-] ZINC000881588032 645062273 /nfs/dbraw/zinc/06/22/73/645062273.db2.gz CLCASUNJAWOFPI-NSHDSACASA-N 0 0 280.299 2.725 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@@H](CF)C1 ZINC000881669936 645097644 /nfs/dbraw/zinc/09/76/44/645097644.db2.gz YDHKVMSFFODZQG-VIFPVBQESA-N 0 0 291.282 2.508 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@H](CF)C1 ZINC000881671527 645099397 /nfs/dbraw/zinc/09/93/97/645099397.db2.gz HONVTEVSEFHTNI-SECBINFHSA-N 0 0 291.282 2.508 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000129256234 645133572 /nfs/dbraw/zinc/13/35/72/645133572.db2.gz YPVYKTGSROXKOO-GHMZBOCLSA-N 0 0 270.716 2.820 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OS(=O)(=O)C2CCC2)c1 ZINC000881959068 645164065 /nfs/dbraw/zinc/16/40/65/645164065.db2.gz FFCFFLXNDAIXAZ-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCOC(F)(F)F)cc1 ZINC000882034533 645183623 /nfs/dbraw/zinc/18/36/23/645183623.db2.gz UOCYNSJAXUGWDD-UHFFFAOYSA-N 0 0 265.187 2.900 20 5 CFBDRN CO[C@H](CNc1ccnc(F)c1[N+](=O)[O-])C(C)(C)C ZINC000882039241 645185111 /nfs/dbraw/zinc/18/51/11/645185111.db2.gz AMXJQCZJAPTRMI-SECBINFHSA-N 0 0 271.292 2.602 20 5 CFBDRN CCOC[C@@H]1CCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882049928 645187812 /nfs/dbraw/zinc/18/78/12/645187812.db2.gz IYYVORNFSRKWHY-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN COC(C)(C)CN(C)c1cccc([N+](=O)[O-])c1C(C)=O ZINC000882083641 645195603 /nfs/dbraw/zinc/19/56/03/645195603.db2.gz IAXXEZPALWQAGT-UHFFFAOYSA-N 0 0 280.324 2.659 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@@H]2CC[C@H](c3ccccc3)O2)c1 ZINC000882093669 645199334 /nfs/dbraw/zinc/19/93/34/645199334.db2.gz CFYRKBAHUJNKRH-UONOGXRCSA-N 0 0 273.292 2.712 20 5 CFBDRN Cc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc(O)c1C ZINC000882124541 645212261 /nfs/dbraw/zinc/21/22/61/645212261.db2.gz XAUSBMSJCRYING-UHFFFAOYSA-N 0 0 280.324 2.783 20 5 CFBDRN Cc1nn([C@H](C)C(=O)C(C)(C)C)c2ncc([N+](=O)[O-])cc12 ZINC000882133438 645215494 /nfs/dbraw/zinc/21/54/94/645215494.db2.gz PWJNGDPVICVPIV-SECBINFHSA-N 0 0 290.323 2.824 20 5 CFBDRN CC(=O)c1c(NCCC[C@H](C)CO)cccc1[N+](=O)[O-] ZINC000882135598 645216601 /nfs/dbraw/zinc/21/66/01/645216601.db2.gz DKAAVIUBLBYPJE-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](Nc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882156205 645222551 /nfs/dbraw/zinc/22/25/51/645222551.db2.gz MWPKFFHDUFBIJV-ONGXEEELSA-N 0 0 283.303 2.744 20 5 CFBDRN CC(C)[C@@H](O)CCOc1ccc([N+](=O)[O-])c(F)c1F ZINC000882173820 645229587 /nfs/dbraw/zinc/22/95/87/645229587.db2.gz LKVIURONGUNMQU-VIFPVBQESA-N 0 0 275.251 2.659 20 5 CFBDRN CC(C)[C@H](O)CCOc1cc([N+](=O)[O-])c(F)cc1F ZINC000882175319 645230909 /nfs/dbraw/zinc/23/09/09/645230909.db2.gz PODXVEWFIFSNNF-LLVKDONJSA-N 0 0 275.251 2.659 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCCC2(CC2)C1 ZINC000882198955 645241815 /nfs/dbraw/zinc/24/18/15/645241815.db2.gz ALXZZMAENYZNFH-UHFFFAOYSA-N 0 0 251.261 2.509 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1c1ccnc(F)c1[N+](=O)[O-] ZINC000882264447 645257454 /nfs/dbraw/zinc/25/74/54/645257454.db2.gz KULPSQQHFMRTNG-VIFPVBQESA-N 0 0 265.288 2.898 20 5 CFBDRN CC[C@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)CCCO1 ZINC000882310023 645276796 /nfs/dbraw/zinc/27/67/96/645276796.db2.gz SOTLOLJGADNYOG-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC[C@@H](F)C1 ZINC000882310571 645277538 /nfs/dbraw/zinc/27/75/38/645277538.db2.gz NQPNGCGCEZGZCI-SNVBAGLBSA-N 0 0 266.272 2.736 20 5 CFBDRN O=C(NCC(F)(F)F)c1cccc([N+](=O)[O-])c1Cl ZINC000129582371 645280130 /nfs/dbraw/zinc/28/01/30/645280130.db2.gz RCAFUKJQZGIJKL-UHFFFAOYSA-N 0 0 282.605 2.540 20 5 CFBDRN CCC(CC)(CNc1ccnc(F)c1[N+](=O)[O-])OC ZINC000882396912 645298588 /nfs/dbraw/zinc/29/85/88/645298588.db2.gz MZPBWUCKFVZOMU-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1ccnc(F)c1[N+](=O)[O-])OC ZINC000882407992 645302647 /nfs/dbraw/zinc/30/26/47/645302647.db2.gz IBQZJLGEZGQVDO-SCZZXKLOSA-N 0 0 271.292 2.602 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1C[C@H]1C1CC1 ZINC000882432577 645311052 /nfs/dbraw/zinc/31/10/52/645311052.db2.gz LCPFMKOQYKNTFU-IUCAKERBSA-N 0 0 251.261 2.587 20 5 CFBDRN CC[C@H]1CN(c2ccnc(F)c2[N+](=O)[O-])C[C@H](CC)O1 ZINC000882471597 645332035 /nfs/dbraw/zinc/33/20/35/645332035.db2.gz XMDSZQCJYAYXMN-UWVGGRQHSA-N 0 0 283.303 2.523 20 5 CFBDRN C[C@@H](CNc1ccnc(F)c1[N+](=O)[O-])CC(F)F ZINC000882513803 645350964 /nfs/dbraw/zinc/35/09/64/645350964.db2.gz QDXKAXMGSOETNX-ZCFIWIBFSA-N 0 0 263.219 2.832 20 5 CFBDRN Cc1cnc(CCNc2ccnc(F)c2[N+](=O)[O-])c(C)c1 ZINC000882543599 645362656 /nfs/dbraw/zinc/36/26/56/645362656.db2.gz IVDVFTWRONDATJ-UHFFFAOYSA-N 0 0 290.298 2.795 20 5 CFBDRN C[C@H](CNc1ccnc(F)c1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000882545123 645363459 /nfs/dbraw/zinc/36/34/59/645363459.db2.gz BWQXBCGCAVHTFI-MRVPVSSYSA-N 0 0 299.302 2.519 20 5 CFBDRN O=[N+]([O-])c1c(NC2CSC2)ccc2cnccc21 ZINC000882625365 645393444 /nfs/dbraw/zinc/39/34/44/645393444.db2.gz GNHVCUJZAQPWEZ-UHFFFAOYSA-N 0 0 261.306 2.670 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCC1SCCS1 ZINC000882635659 645397682 /nfs/dbraw/zinc/39/76/82/645397682.db2.gz YOGYPVIMQBTWRB-UHFFFAOYSA-N 0 0 289.357 2.737 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882671426 645406321 /nfs/dbraw/zinc/40/63/21/645406321.db2.gz WGEAXFWJSGZUPJ-PHIMTYICSA-N 0 0 278.308 2.634 20 5 CFBDRN CC[C@]1(O)CCCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000882682756 645408889 /nfs/dbraw/zinc/40/88/89/645408889.db2.gz LRAXVZWKCHQICZ-HNNXBMFYSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1ccc(CNc2ncnc(C)c2C)cc1[N+](=O)[O-] ZINC000882695799 645414078 /nfs/dbraw/zinc/41/40/78/645414078.db2.gz YJRCCSPLHBLOIM-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1nc(N[C@@]2(c3ccccc3)C[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000882735573 645436691 /nfs/dbraw/zinc/43/66/91/645436691.db2.gz LTSNKJJMJOGBEF-AKAXFMLLSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC[C@H]2C=CCC2)cc1F ZINC000882756288 645444027 /nfs/dbraw/zinc/44/40/27/645444027.db2.gz VVFNICHQRUBXMT-VIFPVBQESA-N 0 0 266.272 2.604 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NC[C@H]3C=CCC3)c21 ZINC000882757094 645444824 /nfs/dbraw/zinc/44/48/24/645444824.db2.gz XJRWVPUJXJVXDZ-JTQLQIEISA-N 0 0 270.292 2.916 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1ccns1 ZINC000882761158 645445523 /nfs/dbraw/zinc/44/55/23/645445523.db2.gz UOXVXYUKZRJORZ-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N3CCC[C@@H](CF)C3)c21 ZINC000882802162 645462617 /nfs/dbraw/zinc/46/26/17/645462617.db2.gz SMHPMPRBACVCAT-JTQLQIEISA-N 0 0 290.298 2.724 20 5 CFBDRN COC(=O)c1cc(N2CCC(F)CC2)cc(C)c1[N+](=O)[O-] ZINC000882806628 645466089 /nfs/dbraw/zinc/46/60/89/645466089.db2.gz JRSVWTYRTIBAEI-UHFFFAOYSA-N 0 0 296.298 2.628 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC[C@@H](CF)C1 ZINC000882838291 645474109 /nfs/dbraw/zinc/47/41/09/645474109.db2.gz DKLNWRAAAVGOPS-JTQLQIEISA-N 0 0 266.272 2.593 20 5 CFBDRN CO[C@]1(C(F)(F)F)CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000882847382 645478158 /nfs/dbraw/zinc/47/81/58/645478158.db2.gz IFNTVBWPYQATJZ-LLVKDONJSA-N 0 0 290.241 2.752 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCCCO3)CC2)s1 ZINC000882949778 645524795 /nfs/dbraw/zinc/52/47/95/645524795.db2.gz HSZCAKUKKPGNPF-UHFFFAOYSA-N 0 0 283.353 2.591 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C)C1CCC1 ZINC000130740279 645561734 /nfs/dbraw/zinc/56/17/34/645561734.db2.gz XACUEZDFJPUQSG-JTQLQIEISA-N 0 0 291.351 2.579 20 5 CFBDRN CCOc1ccn(Cc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000883018559 645565642 /nfs/dbraw/zinc/56/56/42/645565642.db2.gz HVVMKMDWMDTIAC-UHFFFAOYSA-N 0 0 281.699 2.892 20 5 CFBDRN O=C(O[C@H]1CCSC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000131191630 645651631 /nfs/dbraw/zinc/65/16/31/645651631.db2.gz VLZKGXIGVABJIQ-JTQLQIEISA-N 0 0 287.724 2.911 20 5 CFBDRN Cc1nc(COC(=O)c2ccc(F)cc2[N+](=O)[O-])oc1C ZINC000131277535 645680944 /nfs/dbraw/zinc/68/09/44/645680944.db2.gz UMFKDJUZGAWSEI-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN Cc1ccc(OC(=O)CC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000131748045 645801919 /nfs/dbraw/zinc/80/19/19/645801919.db2.gz IZZDYFOZNOBKIM-LLVKDONJSA-N 0 0 279.292 2.768 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000253358252 651047288 /nfs/dbraw/zinc/04/72/88/651047288.db2.gz NUXIQMVLPSVHNX-JIMOISOXSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](NC(=O)NC[C@H]1C=CCC1)c1ccccc1[N+](=O)[O-] ZINC000883553333 645885984 /nfs/dbraw/zinc/88/59/84/645885984.db2.gz QIJFXTNTIBMXTN-NEPJUHHUSA-N 0 0 289.335 2.921 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)[C@H]1CC1(Cl)Cl ZINC000132516505 645924650 /nfs/dbraw/zinc/92/46/50/645924650.db2.gz FJJJEDAVXKFFTB-MRVPVSSYSA-N 0 0 294.065 2.833 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H](CF)C1)c1ccc([N+](=O)[O-])cc1 ZINC000883669066 645924858 /nfs/dbraw/zinc/92/48/58/645924858.db2.gz VRYCKBUPJKVLDE-QWRGUYRKSA-N 0 0 295.314 2.657 20 5 CFBDRN O=C(/C=C/c1ccco1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132661375 645947226 /nfs/dbraw/zinc/94/72/26/645947226.db2.gz SSSBZRQCEUTGCS-ONEGZZNKSA-N 0 0 277.207 2.946 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000133336688 646074703 /nfs/dbraw/zinc/07/47/03/646074703.db2.gz UFAUMMGNRVPCEI-SFYZADRCSA-N 0 0 262.269 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2cccnc2F)c([N+](=O)[O-])c1 ZINC000133333651 646075078 /nfs/dbraw/zinc/07/50/78/646075078.db2.gz IPAJITIXOKCYMC-UHFFFAOYSA-N 0 0 293.210 2.616 20 5 CFBDRN Cc1c(CC(=O)Nc2ccc(F)nc2)cccc1[N+](=O)[O-] ZINC000133375639 646079273 /nfs/dbraw/zinc/07/92/73/646079273.db2.gz WIUZYUHTCAXSAG-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC[C@@H](F)C(F)(F)F ZINC000800082219 646130102 /nfs/dbraw/zinc/13/01/02/646130102.db2.gz TYDCITFVGZVTPJ-SECBINFHSA-N 0 0 295.188 2.581 20 5 CFBDRN O=C(OC[C@H](F)C(F)(F)F)c1cc([N+](=O)[O-])ccc1F ZINC000800138667 646152603 /nfs/dbraw/zinc/15/26/03/646152603.db2.gz VVWXDLLILBOEPN-QMMMGPOBSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccccn1 ZINC000800147562 646156102 /nfs/dbraw/zinc/15/61/02/646156102.db2.gz QPRIITOWMGAVKN-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(F)cn1 ZINC000800147100 646156176 /nfs/dbraw/zinc/15/61/76/646156176.db2.gz NFYAJDNIVRDVKA-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1cccc(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)n1 ZINC000800144597 646156548 /nfs/dbraw/zinc/15/65/48/646156548.db2.gz XVBKJSNWSYSDSF-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1c(NC(=O)[C@H](F)C(C)C)c(F)ccc1[N+](=O)[O-] ZINC000800151999 646159115 /nfs/dbraw/zinc/15/91/15/646159115.db2.gz QPWNDYQPZFLUPY-SNVBAGLBSA-N 0 0 272.251 2.975 20 5 CFBDRN CCCn1ncnc1COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000133617965 646196191 /nfs/dbraw/zinc/19/61/91/646196191.db2.gz PZRKRIWECLJXPX-UHFFFAOYSA-N 0 0 296.714 2.829 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000134270796 646317446 /nfs/dbraw/zinc/31/74/46/646317446.db2.gz OVPIOVVWFSNYDT-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN CCC[C@@H](C)CC(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884483918 646390367 /nfs/dbraw/zinc/39/03/67/646390367.db2.gz CEMJTUJYKKTTDN-SNVBAGLBSA-N 0 0 266.297 2.859 20 5 CFBDRN CN(Cc1ccc(Cl)c(F)c1)Cn1nccc1[N+](=O)[O-] ZINC000134817597 646390473 /nfs/dbraw/zinc/39/04/73/646390473.db2.gz XGZWIDLNHQFMSM-UHFFFAOYSA-N 0 0 298.705 2.673 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])c1ccoc1C1CC1 ZINC000884489502 646395915 /nfs/dbraw/zinc/39/59/15/646395915.db2.gz ZLNMNIMTBGFESC-UHFFFAOYSA-N 0 0 288.259 2.817 20 5 CFBDRN C[C@@H](CCO)CCNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884518581 646414992 /nfs/dbraw/zinc/41/49/92/646414992.db2.gz UDFNPQWNBWLHJZ-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N(C)CCF)c2c1 ZINC000884517485 646415118 /nfs/dbraw/zinc/41/51/18/646415118.db2.gz OSYQCLGCNDAVAN-UHFFFAOYSA-N 0 0 279.271 2.557 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2(CO)CC2)c2ccccc21 ZINC000884522770 646418569 /nfs/dbraw/zinc/41/85/69/646418569.db2.gz HOPHHANZCOVBNH-UHFFFAOYSA-N 0 0 287.319 2.718 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000134969840 646423022 /nfs/dbraw/zinc/42/30/22/646423022.db2.gz BXETWCJCNSHQDS-SECBINFHSA-N 0 0 266.272 2.606 20 5 CFBDRN O=[N+]([O-])c1c(N2CC=CC2)cccc1C(F)(F)F ZINC000884528152 646424546 /nfs/dbraw/zinc/42/45/46/646424546.db2.gz HRGQRLIXCYQQAB-UHFFFAOYSA-N 0 0 258.199 2.990 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc(F)nc2)c1[N+](=O)[O-] ZINC000134988835 646425972 /nfs/dbraw/zinc/42/59/72/646425972.db2.gz SQRSNQVUZULREO-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1c[nH]nc1COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000884554121 646445220 /nfs/dbraw/zinc/44/52/20/646445220.db2.gz NKODEJUBCCCDLI-UHFFFAOYSA-N 0 0 289.291 2.600 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@@H](O)C23CCC3)c2ccccc21 ZINC000884557963 646447501 /nfs/dbraw/zinc/44/75/01/646447501.db2.gz FZJCRDFHIWICQY-UONOGXRCSA-N 0 0 299.330 2.858 20 5 CFBDRN CCC(CC)OC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000135344372 646519769 /nfs/dbraw/zinc/51/97/69/646519769.db2.gz JJUSRVZSFKUFIZ-UHFFFAOYSA-N 0 0 283.328 2.530 20 5 CFBDRN C[C@@H]1C[C@]2(CC[C@H](CNc3ccccc3[N+](=O)[O-])O2)CO1 ZINC000884698639 646532412 /nfs/dbraw/zinc/53/24/12/646532412.db2.gz QJLVUHOSSFOJOZ-LALPHHSUSA-N 0 0 292.335 2.733 20 5 CFBDRN C[C@@H]1C[C@@]2(CC[C@@H](CNc3ccc([N+](=O)[O-])cc3)O2)CO1 ZINC000884698201 646532893 /nfs/dbraw/zinc/53/28/93/646532893.db2.gz KOXSWPWBENZHDW-UGFHNGPFSA-N 0 0 292.335 2.733 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnsn1 ZINC000135498068 646541399 /nfs/dbraw/zinc/54/13/99/646541399.db2.gz YPOUBMKYPSBXRU-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H]([C@H]2CCCO2)C1 ZINC000884730504 646543252 /nfs/dbraw/zinc/54/32/52/646543252.db2.gz JUNFYPWDMIOYIJ-GXTWGEPZSA-N 0 0 276.336 2.909 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000884730734 646544227 /nfs/dbraw/zinc/54/42/27/646544227.db2.gz MWVFRQLBBVMYSL-ZWNOBZJWSA-N 0 0 280.299 2.739 20 5 CFBDRN CC[C@H](C)[C@H](Nc1ccc(Cl)cc1[N+](=O)[O-])C(N)=O ZINC000884830569 646555551 /nfs/dbraw/zinc/55/55/51/646555551.db2.gz BTOIXMILSQUVHE-CPCISQLKSA-N 0 0 285.731 2.560 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000885279042 646655128 /nfs/dbraw/zinc/65/51/28/646655128.db2.gz BFKAZHNOAVAPEQ-JBLDHEPKSA-N 0 0 297.332 2.515 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC[C@H]2CCOC2)c1C ZINC000885423977 646684659 /nfs/dbraw/zinc/68/46/59/646684659.db2.gz IFFBKHXFXAFIKP-GFCCVEGCSA-N 0 0 293.319 2.795 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)C2(C)CC2)c1C ZINC000885424307 646684761 /nfs/dbraw/zinc/68/47/61/646684761.db2.gz NWHRWQPNFVUBQJ-UHFFFAOYSA-N 0 0 291.303 2.738 20 5 CFBDRN COCC[C@H](C)OC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000885424376 646684974 /nfs/dbraw/zinc/68/49/74/646684974.db2.gz OVSUNODQQQECBE-JTQLQIEISA-N 0 0 281.308 2.793 20 5 CFBDRN COc1ccc(COC(=O)C2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000885735092 646742425 /nfs/dbraw/zinc/74/24/25/646742425.db2.gz YLOBKVBSTBTGPF-UHFFFAOYSA-N 0 0 291.303 2.837 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)C1(C2CC2)CC1 ZINC000885734764 646742450 /nfs/dbraw/zinc/74/24/50/646742450.db2.gz LUNQCHLPFNNMQP-UHFFFAOYSA-N 0 0 261.277 2.828 20 5 CFBDRN COC[C@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000885806034 646751487 /nfs/dbraw/zinc/75/14/87/646751487.db2.gz FEXLFFUJZUJEKC-LBPRGKRZSA-N 0 0 294.351 2.552 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCCc2sccc2C1 ZINC000886002278 646806800 /nfs/dbraw/zinc/80/68/00/646806800.db2.gz VCRWOTWBHPGLSP-UHFFFAOYSA-N 0 0 289.360 3.000 20 5 CFBDRN CNc1ccc(C(=O)NC[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000886009575 646812658 /nfs/dbraw/zinc/81/26/58/646812658.db2.gz ULSVTYOJQXAFHN-ABAIWWIYSA-N 0 0 289.335 2.557 20 5 CFBDRN O=[N+]([O-])c1ncccc1CNC1(c2cccc(F)c2)CC1 ZINC000886111696 646876928 /nfs/dbraw/zinc/87/69/28/646876928.db2.gz HBLIAMZIWYDNLY-UHFFFAOYSA-N 0 0 287.294 2.908 20 5 CFBDRN CC[C@@H](NCc1cccnc1[N+](=O)[O-])c1nc(C)cs1 ZINC000886125330 646883526 /nfs/dbraw/zinc/88/35/26/646883526.db2.gz OJDCWYKCLKIUPD-LLVKDONJSA-N 0 0 292.364 2.996 20 5 CFBDRN Cc1n[nH]c(CNC(C)(C)c2ccccc2[N+](=O)[O-])c1C ZINC000886125134 646883534 /nfs/dbraw/zinc/88/35/34/646883534.db2.gz JXJRRPVAUHDPMD-UHFFFAOYSA-N 0 0 288.351 2.960 20 5 CFBDRN Cc1csc([C@@H](C)NCc2cccnc2[N+](=O)[O-])n1 ZINC000886129989 646886317 /nfs/dbraw/zinc/88/63/17/646886317.db2.gz SHDMXKARVYMJAL-SECBINFHSA-N 0 0 278.337 2.606 20 5 CFBDRN C[C@@H](NCc1cccnc1[N+](=O)[O-])c1ccsc1 ZINC000886192217 646914290 /nfs/dbraw/zinc/91/42/90/646914290.db2.gz LMTQHYYIVNUGON-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCCNc2ccccc21 ZINC000886839927 647159168 /nfs/dbraw/zinc/15/91/68/647159168.db2.gz OVTNDTSGEMHWFU-UHFFFAOYSA-N 0 0 284.319 2.812 20 5 CFBDRN C[C@H](CNC(=O)C12CC(C1)C2)c1ccccc1[N+](=O)[O-] ZINC000887399210 647302534 /nfs/dbraw/zinc/30/25/34/647302534.db2.gz QCGKYHRRKAEMSP-RWWNRMGGSA-N 0 0 274.320 2.615 20 5 CFBDRN C[C@@H](CNC(=O)C1(CCF)CC1)c1ccccc1[N+](=O)[O-] ZINC000887400517 647303119 /nfs/dbraw/zinc/30/31/19/647303119.db2.gz CVZMSZFTHQOUEF-NSHDSACASA-N 0 0 294.326 2.954 20 5 CFBDRN C[C@@H](CNC(=O)C1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000887403120 647303863 /nfs/dbraw/zinc/30/38/63/647303863.db2.gz OYNLIAYBJJGFIW-NSHDSACASA-N 0 0 274.320 2.781 20 5 CFBDRN CC[C@@H](SC)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403054 647303966 /nfs/dbraw/zinc/30/39/66/647303966.db2.gz NTKFPZPJRDFHFL-GXFFZTMASA-N 0 0 296.392 2.956 20 5 CFBDRN C[C@H](CNC(=O)C1=CCCC1)c1ccccc1[N+](=O)[O-] ZINC000887403037 647304056 /nfs/dbraw/zinc/30/40/56/647304056.db2.gz NIERZKIYWOFRDK-LLVKDONJSA-N 0 0 274.320 2.925 20 5 CFBDRN CCOCCCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404840 647304409 /nfs/dbraw/zinc/30/44/09/647304409.db2.gz NBGSYVAMHQZUMX-GFCCVEGCSA-N 0 0 294.351 2.631 20 5 CFBDRN CC(C)OCCC(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404930 647304414 /nfs/dbraw/zinc/30/44/14/647304414.db2.gz PFHMNCLGCKWTNH-GFCCVEGCSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@H](CNC(=O)[C@@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000887403503 647304543 /nfs/dbraw/zinc/30/45/43/647304543.db2.gz XZUDFVRXGPYITL-MFKMUULPSA-N 0 0 294.376 2.710 20 5 CFBDRN Cc1cccnc1C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403377 647304640 /nfs/dbraw/zinc/30/46/40/647304640.db2.gz VJMYHEXWLHYXTA-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN CC(=O)CCCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887405345 647305225 /nfs/dbraw/zinc/30/52/25/647305225.db2.gz YEEBWYXZMBWDKW-NSHDSACASA-N 0 0 292.335 2.574 20 5 CFBDRN CC(C)CC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887405427 647305262 /nfs/dbraw/zinc/30/52/62/647305262.db2.gz ZPYJKYCIMXLOHA-NSHDSACASA-N 0 0 264.325 2.861 20 5 CFBDRN Cc1c[nH]cc1C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887405017 647305266 /nfs/dbraw/zinc/30/52/66/647305266.db2.gz QVOKQSOWRNIVTP-NSHDSACASA-N 0 0 287.319 2.765 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCSC2)c1C ZINC000890309649 647343559 /nfs/dbraw/zinc/34/35/59/647343559.db2.gz JDSHLMJJRNCLPA-NSHDSACASA-N 0 0 294.376 2.695 20 5 CFBDRN CCCCNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000887599033 647367889 /nfs/dbraw/zinc/36/78/89/647367889.db2.gz QTAFMFGZWXEHSN-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN CC1(C)CO[C@@H](CC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC000887732108 647401638 /nfs/dbraw/zinc/40/16/38/647401638.db2.gz HNYSPDWORCOVRX-JTQLQIEISA-N 0 0 296.298 2.878 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H](C)CO1 ZINC000887732044 647401864 /nfs/dbraw/zinc/40/18/64/647401864.db2.gz FKLKNXQTHYSPRV-ISVAXAHUSA-N 0 0 278.308 2.575 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)C1(C2CC2)CC1 ZINC000887730062 647401903 /nfs/dbraw/zinc/40/19/03/647401903.db2.gz CVZFJXSWNGBECO-UHFFFAOYSA-N 0 0 264.256 2.863 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCOC2(CCC2)C1 ZINC000887730214 647402055 /nfs/dbraw/zinc/40/20/55/647402055.db2.gz JMVUUTRTXYYYII-LLVKDONJSA-N 0 0 290.319 2.883 20 5 CFBDRN Cc1cnn(CCOc2cc([N+](=O)[O-])ccc2Cl)c1 ZINC000302448167 647407719 /nfs/dbraw/zinc/40/77/19/647407719.db2.gz CCNIQJPNMNHBQS-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000887875188 647426552 /nfs/dbraw/zinc/42/65/52/647426552.db2.gz IFGUPSKYVKGGNB-PHIMTYICSA-N 0 0 275.308 2.695 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000889077586 647490029 /nfs/dbraw/zinc/49/00/29/647490029.db2.gz BFBDDZQZHLMWND-AAEUAGOBSA-N 0 0 286.331 2.920 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@H]1C1CCCC1 ZINC000889392808 647558434 /nfs/dbraw/zinc/55/84/34/647558434.db2.gz UTOXJSUHEOXUHO-AWEZNQCLSA-N 0 0 274.320 3.000 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)cc1[N+](=O)[O-] ZINC000889579989 647603678 /nfs/dbraw/zinc/60/36/78/647603678.db2.gz GXWVNGHOIYRBAP-CYZMBNFOSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cnc(COC(=O)C2(C3CC3)CC2)c(C)c1[N+](=O)[O-] ZINC000890153170 647758381 /nfs/dbraw/zinc/75/83/81/647758381.db2.gz DAABEPDJNSUWDQ-UHFFFAOYSA-N 0 0 290.319 2.840 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000006219674 647855718 /nfs/dbraw/zinc/85/57/18/647855718.db2.gz FVQQTDLLIMGKPN-LBPRGKRZSA-N 0 0 292.247 2.769 20 5 CFBDRN Cc1c(Cc2noc(C3CSC3)n2)cccc1[N+](=O)[O-] ZINC000923951405 647908397 /nfs/dbraw/zinc/90/83/97/647908397.db2.gz UIHCOORTZBITTH-UHFFFAOYSA-N 0 0 291.332 2.707 20 5 CFBDRN CC(C)N1CCC[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000924077381 647918885 /nfs/dbraw/zinc/91/88/85/647918885.db2.gz GHQCGZBGZUSSTH-NSHDSACASA-N 0 0 296.371 2.603 20 5 CFBDRN O=C(C[C@H]1CC=CCC1)OCc1cccnc1[N+](=O)[O-] ZINC000924249172 647947992 /nfs/dbraw/zinc/94/79/92/647947992.db2.gz FSAUEQLDKAAWIT-NSHDSACASA-N 0 0 276.292 2.779 20 5 CFBDRN C[C@@H](NC(=O)N1CCC(F)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000925253321 648143716 /nfs/dbraw/zinc/14/37/16/648143716.db2.gz WOPHPPXRDFLYRH-SNVBAGLBSA-N 0 0 295.314 2.799 20 5 CFBDRN CC[S@@](C)(=O)=NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000925829590 648336777 /nfs/dbraw/zinc/33/67/77/648336777.db2.gz PFUWCECYWGVWGE-SQUSKLHYSA-N 0 0 296.348 2.642 20 5 CFBDRN Cc1sc(C(=O)N=[S@@](C)(=O)C(C)C)cc1[N+](=O)[O-] ZINC000926067806 648397388 /nfs/dbraw/zinc/39/73/88/648397388.db2.gz JJGZOMFZWPUYQC-SFHVURJKSA-N 0 0 290.366 2.611 20 5 CFBDRN Cc1nccn1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000034423539 648514549 /nfs/dbraw/zinc/51/45/49/648514549.db2.gz LYZSFOKCLVAHSN-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN C[C@@H](N[C@H]1C[C@@H](C)n2ncnc21)c1ccc([N+](=O)[O-])cc1 ZINC000926891274 648647450 /nfs/dbraw/zinc/64/74/50/648647450.db2.gz IKCSLIVOMGWNKV-BREBYQMCSA-N 0 0 287.323 2.543 20 5 CFBDRN C[C@@]1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CCCCO1 ZINC000927190583 648736734 /nfs/dbraw/zinc/73/67/34/648736734.db2.gz KVWYOOGXDLCBBJ-AWEZNQCLSA-N 0 0 293.323 2.676 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC[C@@H]1C[C@H]1C1CC1 ZINC000927425204 648774608 /nfs/dbraw/zinc/77/46/08/648774608.db2.gz VWICXYUDUCUCOS-OCCSQVGLSA-N 0 0 288.347 2.690 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@]12C[C@H]1CCCC2 ZINC000927445989 648780404 /nfs/dbraw/zinc/78/04/04/648780404.db2.gz WEZBEWWUEAKQAW-CJNGLKHVSA-N 0 0 288.347 2.834 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCCC2)c1csc([N+](=O)[O-])c1 ZINC000927450864 648781563 /nfs/dbraw/zinc/78/15/63/648781563.db2.gz KCUYIHBJFVMGFB-MFKMUULPSA-N 0 0 280.349 2.966 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCCC2)c1cccc([N+](=O)[O-])c1 ZINC000927454934 648782818 /nfs/dbraw/zinc/78/28/18/648782818.db2.gz FSIARXHFFOYMIF-DOMZBBRYSA-N 0 0 274.320 2.905 20 5 CFBDRN O=C(NC[C@@]12C[C@@H]1CCCC2)c1ccccc1[N+](=O)[O-] ZINC000927460038 648783421 /nfs/dbraw/zinc/78/34/21/648783421.db2.gz HFUQQBFOHPHKOT-XHDPSFHLSA-N 0 0 274.320 2.905 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000928033617 648845304 /nfs/dbraw/zinc/84/53/04/648845304.db2.gz QOKQUTVGEFKFEO-SDNRWEOFSA-N 0 0 278.283 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000928046837 648850488 /nfs/dbraw/zinc/85/04/88/648850488.db2.gz HXXOGKUFPYEIOA-QIRAZROLSA-N 0 0 274.320 2.678 20 5 CFBDRN C[C@@H](NC(=O)CC(C)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000928244888 648886420 /nfs/dbraw/zinc/88/64/20/648886420.db2.gz FOSJOCJUUYYGOC-MRVPVSSYSA-N 0 0 272.251 2.817 20 5 CFBDRN CCc1[nH]ccc1C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928244862 648886575 /nfs/dbraw/zinc/88/65/75/648886575.db2.gz FCRUPJKXMUPRIT-SNVBAGLBSA-N 0 0 287.319 2.976 20 5 CFBDRN CSC(C)(C)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246219 648887491 /nfs/dbraw/zinc/88/74/91/648887491.db2.gz DGJNGVGVGRSIDJ-SECBINFHSA-N 0 0 282.365 2.914 20 5 CFBDRN CCCCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246542 648887881 /nfs/dbraw/zinc/88/78/81/648887881.db2.gz GJPGMEPHKVUCRN-SNVBAGLBSA-N 0 0 250.298 2.962 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000928246343 648888014 /nfs/dbraw/zinc/88/80/14/648888014.db2.gz BHLPKCPCJTWGNF-GYSYKLTISA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H](NC(=O)C1(C)CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000928248642 648888635 /nfs/dbraw/zinc/88/86/35/648888635.db2.gz LNRADLGRIWLYJS-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN CCc1ocnc1C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928248333 648888783 /nfs/dbraw/zinc/88/87/83/648888783.db2.gz KKUMOAAINMQHKF-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN CC(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])cn2C)cn1 ZINC000928620888 648936429 /nfs/dbraw/zinc/93/64/29/648936429.db2.gz BMLNGWDIPDNRSF-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC(C)(C)/C=C\Cl ZINC000928938774 648968117 /nfs/dbraw/zinc/96/81/17/648968117.db2.gz ZFPUQZNBUCKJBV-PLNGDYQASA-N 0 0 283.715 2.559 20 5 CFBDRN O=C(NCc1ccco1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929092529 648977262 /nfs/dbraw/zinc/97/72/62/648977262.db2.gz STUJKEUIMJSQMJ-KGLIPLIRSA-N 0 0 286.287 2.608 20 5 CFBDRN Cc1ccc(NC(=O)N2CCO[C@H](C)CC2)cc1[N+](=O)[O-] ZINC000929117925 648982973 /nfs/dbraw/zinc/98/29/73/648982973.db2.gz BPRVCZKXJUWQOB-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@]12CCO[C@@H]1CCCC2 ZINC000929134177 648986749 /nfs/dbraw/zinc/98/67/49/648986749.db2.gz SWMUYYJLSRJEKZ-HIFRSBDPSA-N 0 0 290.319 2.883 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929707700 649103117 /nfs/dbraw/zinc/10/31/17/649103117.db2.gz NKKZRYUJDZKQEQ-KMUNFCNLSA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H](C)CCN2CC[N+](=O)[O-])o1 ZINC000929760273 649115033 /nfs/dbraw/zinc/11/50/33/649115033.db2.gz QRQIFFZFEHSSAB-PWSUYJOCSA-N 0 0 252.314 2.638 20 5 CFBDRN CCCCN(C)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929793263 649123955 /nfs/dbraw/zinc/12/39/55/649123955.db2.gz VDUKETOCQCMUSR-UHFFFAOYSA-N 0 0 282.315 2.925 20 5 CFBDRN CN(Cc1nncs1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000929864098 649141884 /nfs/dbraw/zinc/14/18/84/649141884.db2.gz TZDJPEOIZPEYCK-UHFFFAOYSA-N 0 0 298.755 2.732 20 5 CFBDRN CN(CCOC1CC1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000929864171 649141897 /nfs/dbraw/zinc/14/18/97/649141897.db2.gz WBPPNSCHPFVHLH-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@H](CNC(=O)N[C@@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000929921387 649150270 /nfs/dbraw/zinc/15/02/70/649150270.db2.gz PBNNGPAHLWZBEX-MNOVXSKESA-N 0 0 291.351 2.796 20 5 CFBDRN Cc1ncc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)o1 ZINC000930182509 649200075 /nfs/dbraw/zinc/20/00/75/649200075.db2.gz NJCSYXONCFSLJL-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN CC(NCC[N+](=O)[O-])(c1ccccc1)c1ccccc1 ZINC000930215307 649208135 /nfs/dbraw/zinc/20/81/35/649208135.db2.gz SJGKUCVNJHSYLJ-UHFFFAOYSA-N 0 0 270.332 2.816 20 5 CFBDRN CC(C)(C)[C@H](NCC[N+](=O)[O-])c1ccc(F)cc1F ZINC000930232405 649210653 /nfs/dbraw/zinc/21/06/53/649210653.db2.gz CYBCUOJYVILEKB-GFCCVEGCSA-N 0 0 272.295 2.918 20 5 CFBDRN CCN(C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C(C)C ZINC000930311466 649228395 /nfs/dbraw/zinc/22/83/95/649228395.db2.gz MXTLUIDMNZSPNI-KBPBESRZSA-N 0 0 276.336 2.955 20 5 CFBDRN CC(C)C[C@H](N)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000035697465 649299575 /nfs/dbraw/zinc/29/95/75/649299575.db2.gz QCQSYWFZXYJMHC-NSHDSACASA-N 0 0 276.296 2.691 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CC[C@H]1C(F)F ZINC000931419015 649420699 /nfs/dbraw/zinc/42/06/99/649420699.db2.gz OGPQULIDGQBIQG-KCJUWKMLSA-N 0 0 256.252 2.995 20 5 CFBDRN C[C@H]1CCN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000931426821 649424104 /nfs/dbraw/zinc/42/41/04/649424104.db2.gz NXWUWFMPTXHIDY-JTQLQIEISA-N 0 0 280.299 2.535 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)C1 ZINC000931428458 649424695 /nfs/dbraw/zinc/42/46/95/649424695.db2.gz OHLYFCHAQKSOAB-VIFPVBQESA-N 0 0 280.349 2.799 20 5 CFBDRN CCN(CC1CC1)C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000932586215 649623679 /nfs/dbraw/zinc/62/36/79/649623679.db2.gz MMFACITXOHIPIY-GJZGRUSLSA-N 0 0 288.347 2.957 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CC1(C)COC1 ZINC000933078287 649670285 /nfs/dbraw/zinc/67/02/85/649670285.db2.gz LDBMNQVFRBEUPG-UHFFFAOYSA-N 0 0 298.726 2.922 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N[C@@H]1CC=CCC1 ZINC000933183529 649678097 /nfs/dbraw/zinc/67/80/97/649678097.db2.gz RBRXBMZSOSOXDU-CYBMUJFWSA-N 0 0 292.310 2.891 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@H]2CC2CC2)cc1 ZINC000933212411 649679906 /nfs/dbraw/zinc/67/99/06/649679906.db2.gz GUQCJACJSUSECY-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C1CCC1 ZINC000933614235 649706798 /nfs/dbraw/zinc/70/67/98/649706798.db2.gz MKSGLAVTTWIKHB-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C ZINC000933813342 649723100 /nfs/dbraw/zinc/72/31/00/649723100.db2.gz AWGRLFCKWJAELU-VIFPVBQESA-N 0 0 280.349 2.941 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])NCC(F)F ZINC000933889536 649728979 /nfs/dbraw/zinc/72/89/79/649728979.db2.gz CRXRZTMLFCPZFP-UHFFFAOYSA-N 0 0 292.669 2.562 20 5 CFBDRN Cc1noc(CN[C@@H](c2ccncc2)C2CC2)c1[N+](=O)[O-] ZINC000934701162 649817298 /nfs/dbraw/zinc/81/72/98/649817298.db2.gz LMBOWTOGSRXUNF-CYBMUJFWSA-N 0 0 288.307 2.527 20 5 CFBDRN Cc1cc(C2=N/C(=C\c3ccco3)C(=O)O2)ccc1[N+](=O)[O-] ZINC000013927015 651181051 /nfs/dbraw/zinc/18/10/51/651181051.db2.gz RDEIQGIPOFHUEB-WQLSENKSSA-N 0 0 298.254 2.841 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1-c1nc(-c2cccs2)no1 ZINC000020560358 651299788 /nfs/dbraw/zinc/29/97/88/651299788.db2.gz UDGVLLRTPKUZEF-UHFFFAOYSA-N 0 0 288.288 2.956 20 5 CFBDRN C[C@@]1(CNC(=O)c2cccc([N+](=O)[O-])c2Cl)CCCO1 ZINC000160459710 778605323 /nfs/dbraw/zinc/60/53/23/778605323.db2.gz MUFIGYLHZSENNF-ZDUSSCGKSA-N 0 0 298.726 2.547 20 5 CFBDRN COC(=O)c1cc(C)cnc1Nc1cccc([N+](=O)[O-])c1 ZINC001204416544 769851882 /nfs/dbraw/zinc/85/18/82/769851882.db2.gz WDOIVPYUEXIXFH-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)c1ncc(F)cc1[N+](=O)[O-] ZINC001167093264 769979398 /nfs/dbraw/zinc/97/93/98/769979398.db2.gz ZBUUSMWDPPYELY-QMMMGPOBSA-N 0 0 253.277 2.611 20 5 CFBDRN CCC(CC)N(CC)c1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC001167111079 770035625 /nfs/dbraw/zinc/03/56/25/770035625.db2.gz BPJOVCZWGJOPDA-UHFFFAOYSA-N 0 0 295.339 2.791 20 5 CFBDRN CN(c1cccc([N+](=O)[O-])c1)c1nc(Cl)ccc1N ZINC001204439550 770057591 /nfs/dbraw/zinc/05/75/91/770057591.db2.gz GTSWHCCIKGIMNN-UHFFFAOYSA-N 0 0 278.699 2.993 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cccnc2OC2COC2)c1 ZINC001204439546 770057602 /nfs/dbraw/zinc/05/76/02/770057602.db2.gz GSFLOIMLJQTMBL-UHFFFAOYSA-N 0 0 287.275 2.511 20 5 CFBDRN COC(=O)c1cc(N)cc(Nc2cccc([N+](=O)[O-])c2)c1 ZINC001204457478 770127787 /nfs/dbraw/zinc/12/77/87/770127787.db2.gz YWRQMNKIWHRIBY-UHFFFAOYSA-N 0 0 287.275 2.707 20 5 CFBDRN Nc1ccc(Nc2cccc3nnsc32)cc1[N+](=O)[O-] ZINC001209937838 770165064 /nfs/dbraw/zinc/16/50/64/770165064.db2.gz AIPUFINLYFQZRU-UHFFFAOYSA-N 0 0 287.304 2.925 20 5 CFBDRN NC(=O)c1cccc(F)c1Nc1cccc([N+](=O)[O-])c1 ZINC001204476056 770169515 /nfs/dbraw/zinc/16/95/15/770169515.db2.gz KMGSJWGRYPSQSR-UHFFFAOYSA-N 0 0 275.239 2.576 20 5 CFBDRN COc1cccc(N)c1Nc1cccc([N+](=O)[O-])c1 ZINC001204477390 770173760 /nfs/dbraw/zinc/17/37/60/770173760.db2.gz UWFMEWZCTPJADU-UHFFFAOYSA-N 0 0 259.265 2.929 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc2ccc(NCc3cncnc3)nc21 ZINC001167258364 770182531 /nfs/dbraw/zinc/18/25/31/770182531.db2.gz AKRGBKRNEFHPQH-UHFFFAOYSA-N 0 0 299.265 2.684 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1NCc1cncnc1 ZINC001167261300 770186732 /nfs/dbraw/zinc/18/67/32/770186732.db2.gz KPLAEOHFAYXWFP-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN COc1ncc(Nc2cc(C(C)C)no2)cc1[N+](=O)[O-] ZINC001210135147 770214463 /nfs/dbraw/zinc/21/44/63/770214463.db2.gz OXZKJDMUXIFTHE-UHFFFAOYSA-N 0 0 278.268 2.853 20 5 CFBDRN Nc1cn(-c2cccc([N+](=O)[O-])c2F)c2cccnc12 ZINC001210381760 770274783 /nfs/dbraw/zinc/27/47/83/770274783.db2.gz PJUVLHUTXNAVLX-UHFFFAOYSA-N 0 0 272.239 2.655 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-n1cc2c(n1)c(N)ccc2C ZINC001210444946 770285009 /nfs/dbraw/zinc/28/50/09/770285009.db2.gz QIUQQRXNTCTONX-UHFFFAOYSA-N 0 0 298.302 2.833 20 5 CFBDRN CCc1nccnc1Nc1cc([N+](=O)[O-])ccc1OC ZINC001210445049 770286761 /nfs/dbraw/zinc/28/67/61/770286761.db2.gz WTEKPXDHBXQLDC-UHFFFAOYSA-N 0 0 274.280 2.699 20 5 CFBDRN COc1ccc(NC(=O)Cc2occc2C)cc1[N+](=O)[O-] ZINC001266541310 770302638 /nfs/dbraw/zinc/30/26/38/770302638.db2.gz FIWONHBKJHPKCT-UHFFFAOYSA-N 0 0 290.275 2.686 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Nc1cncc(Cl)n1 ZINC001210950623 770403961 /nfs/dbraw/zinc/40/39/61/770403961.db2.gz ZERCLKMMPZOVAO-UHFFFAOYSA-N 0 0 268.635 2.921 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Nc1ccnc2cccnc21 ZINC001210952456 770404340 /nfs/dbraw/zinc/40/43/40/770404340.db2.gz IUJZOEWLFPYLNG-UHFFFAOYSA-N 0 0 284.250 2.843 20 5 CFBDRN C[C@@]1(CO)CCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000723014138 770445931 /nfs/dbraw/zinc/44/59/31/770445931.db2.gz CWZTZFDDHDKCOR-ZDUSSCGKSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1ncc(Nc2ccc(F)c([N+](=O)[O-])c2)nc1C ZINC001211191295 770448340 /nfs/dbraw/zinc/44/83/40/770448340.db2.gz UUODAUGZGXOHLN-UHFFFAOYSA-N 0 0 262.244 2.884 20 5 CFBDRN Cc1cc(C(N)=O)c(Nc2ccc(F)c([N+](=O)[O-])c2)s1 ZINC001211191144 770449895 /nfs/dbraw/zinc/44/98/95/770449895.db2.gz SBNRXMFCWVKQBW-UHFFFAOYSA-N 0 0 295.295 2.946 20 5 CFBDRN COC(=O)c1cncc(Nc2cc([N+](=O)[O-])ccc2C)c1 ZINC001211286450 770476525 /nfs/dbraw/zinc/47/65/25/770476525.db2.gz UNTOEBPJCZOADB-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN Cc1nccc(NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC001266748074 770573730 /nfs/dbraw/zinc/57/37/30/770573730.db2.gz VIAIAVVQMGIZDK-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CC(=O)NCC1(Nc2ccc([N+](=O)[O-])c(C)c2)CCCC1 ZINC001104358017 770583776 /nfs/dbraw/zinc/58/37/76/770583776.db2.gz QNTULDQHBRMKPO-UHFFFAOYSA-N 0 0 291.351 2.764 20 5 CFBDRN COc1ccc([N+](=O)[O-])nc1N[C@@H](C)c1ccc(F)cn1 ZINC001167479590 770631548 /nfs/dbraw/zinc/63/15/48/770631548.db2.gz QGZHDARMXHIUKT-QMMMGPOBSA-N 0 0 292.270 2.706 20 5 CFBDRN COc1cc(N[C@@H](C)c2ccc(F)cn2)cnc1[N+](=O)[O-] ZINC001167485945 770654871 /nfs/dbraw/zinc/65/48/71/770654871.db2.gz FXNZYGOUTQHVGB-QMMMGPOBSA-N 0 0 292.270 2.706 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H](C1CC1)[C@@H]1CCCOC1 ZINC000723847138 770684886 /nfs/dbraw/zinc/68/48/86/770684886.db2.gz YRWISPUOOYMRRQ-DGCLKSJQSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H](C1CC1)[C@H]1CCCOC1 ZINC000723847137 770685033 /nfs/dbraw/zinc/68/50/33/770685033.db2.gz YRWISPUOOYMRRQ-AAEUAGOBSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@@H]1CCCOC1 ZINC000723871971 770698354 /nfs/dbraw/zinc/69/83/54/770698354.db2.gz YTTGQFPTTSGGQS-VXGBXAGGSA-N 0 0 279.340 2.915 20 5 CFBDRN CC(=Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnc(C)c1 ZINC000588441564 770699197 /nfs/dbraw/zinc/69/91/97/770699197.db2.gz BHWGNHMOPDKYOY-LUAWRHEFSA-N 0 0 297.314 2.762 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@]12C[C@H]1CCC2 ZINC000693544912 770813130 /nfs/dbraw/zinc/81/31/30/770813130.db2.gz QZAHJQHZVGLOJR-MFKMUULPSA-N 0 0 264.329 2.722 20 5 CFBDRN Nc1ccc2n(C(=O)[C@H]3C[C@@H]3F)c3ccccc3cc-2c1=O ZINC001143438284 770889227 /nfs/dbraw/zinc/88/92/27/770889227.db2.gz BEPOSJOVFOAWCJ-JQWIXIFHSA-N 0 0 296.301 2.687 20 5 CFBDRN O=C(/C=C\c1cccnc1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000588510168 770902241 /nfs/dbraw/zinc/90/22/41/770902241.db2.gz XRYJSOXURSPPNC-YVMONPNESA-N 0 0 298.298 2.789 20 5 CFBDRN Cc1cnc(Nc2cc(F)cc([N+](=O)[O-])c2)c(N)c1 ZINC001216481621 770944940 /nfs/dbraw/zinc/94/49/40/770944940.db2.gz VDLLAUFBGRGLRV-UHFFFAOYSA-N 0 0 262.244 2.763 20 5 CFBDRN Nc1cc(CNc2cc3cccnc3c([N+](=O)[O-])c2)ccn1 ZINC001167616714 770991442 /nfs/dbraw/zinc/99/14/42/770991442.db2.gz NIRZDSMHPNJTQD-UHFFFAOYSA-N 0 0 295.302 2.732 20 5 CFBDRN CCc1cnc(Nc2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC001213661528 773208148 /nfs/dbraw/zinc/20/81/48/773208148.db2.gz LUGMJSCSXAKOBZ-UHFFFAOYSA-N 0 0 258.281 2.999 20 5 CFBDRN COc1ncc(Nc2ccc(C)c([N+](=O)[O-])c2)c(C)n1 ZINC001213663539 773210483 /nfs/dbraw/zinc/21/04/83/773210483.db2.gz LNJKUHVMUXOTFQ-UHFFFAOYSA-N 0 0 274.280 2.754 20 5 CFBDRN C[C@@H](OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(F)(F)F ZINC001224095059 775100746 /nfs/dbraw/zinc/10/07/46/775100746.db2.gz MIQOAIVZLZZUEQ-ZCFIWIBFSA-N 0 0 294.185 2.970 20 5 CFBDRN C[C@H](OCc1ccc([N+](=O)[O-])nc1)c1ccncc1 ZINC001224109339 775102378 /nfs/dbraw/zinc/10/23/78/775102378.db2.gz RFHOBPOYOIMYHO-JTQLQIEISA-N 0 0 259.265 2.663 20 5 CFBDRN C[C@H](OCc1ccc([N+](=O)[O-])nc1)c1ccccn1 ZINC001224107987 775102427 /nfs/dbraw/zinc/10/24/27/775102427.db2.gz AQSUNCDAKZJWLD-JTQLQIEISA-N 0 0 259.265 2.663 20 5 CFBDRN CC[C@H](COC)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC001224185100 775121501 /nfs/dbraw/zinc/12/15/01/775121501.db2.gz BTLWBZVAOHGOSZ-LLVKDONJSA-N 0 0 268.313 2.548 20 5 CFBDRN O=C1OCC[C@@H]1OCc1ccc([N+](=O)[O-])c2ccccc12 ZINC001224201460 775127469 /nfs/dbraw/zinc/12/74/69/775127469.db2.gz BYPITKVCXNVSQO-AWEZNQCLSA-N 0 0 287.271 2.580 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2N)C1 ZINC000721527699 775128579 /nfs/dbraw/zinc/12/85/79/775128579.db2.gz FJPALHGXGBOPFM-WCBMZHEXSA-N 0 0 264.281 2.522 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000721530953 775129055 /nfs/dbraw/zinc/12/90/55/775129055.db2.gz OSKNVNPTPRWTFI-PSASIEDQSA-N 0 0 264.281 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(COC2COCOC2)c2ccccc21 ZINC001224201965 775129112 /nfs/dbraw/zinc/12/91/12/775129112.db2.gz FUJVACHHQQKZCJ-UHFFFAOYSA-N 0 0 289.287 2.638 20 5 CFBDRN C[C@H](OCCN1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC001224283068 775143897 /nfs/dbraw/zinc/14/38/97/775143897.db2.gz RTLSPKIDEWIMGQ-LBPRGKRZSA-N 0 0 280.324 2.700 20 5 CFBDRN CO[C@@H](C)CCOCCc1ccc([N+](=O)[O-])cc1 ZINC001224330660 775159204 /nfs/dbraw/zinc/15/92/04/775159204.db2.gz JMCXETRFQUPQHQ-NSHDSACASA-N 0 0 253.298 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N[C@@H]1CC12CC(O)C2 ZINC000724932388 775186125 /nfs/dbraw/zinc/18/61/25/775186125.db2.gz WDURPFDOTNUTHD-UHLWVNKISA-N 0 0 284.262 2.858 20 5 CFBDRN CC/C=C(/C)C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000588587406 775218036 /nfs/dbraw/zinc/21/80/36/775218036.db2.gz GKXDEXPMWPGXTG-UITAMQMPSA-N 0 0 265.265 2.865 20 5 CFBDRN C[C@H]1C[C@H](OCCOc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001224778829 775271314 /nfs/dbraw/zinc/27/13/14/775271314.db2.gz MUPKMFHSWIKIQO-SMDDNHRTSA-N 0 0 281.308 2.558 20 5 CFBDRN CC1(C)CC[C@@H](COCCOc2ccc([N+](=O)[O-])cc2)O1 ZINC001224777078 775271528 /nfs/dbraw/zinc/27/15/28/775271528.db2.gz KUFDEMNABFYBIJ-AWEZNQCLSA-N 0 0 295.335 2.948 20 5 CFBDRN C[C@H](OCCOc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC001224777506 775271856 /nfs/dbraw/zinc/27/18/56/775271856.db2.gz YNCDTBSGVCTYFI-QMMMGPOBSA-N 0 0 279.214 2.941 20 5 CFBDRN COC1CCC(CO[C@H](C[N+](=O)[O-])c2cccnc2)CC1 ZINC001224843293 775288665 /nfs/dbraw/zinc/28/86/65/775288665.db2.gz PFJVWZUCCHIRHZ-PESDSKBTSA-N 0 0 294.351 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](OCCc1ccncc1)C2 ZINC001224953022 775316213 /nfs/dbraw/zinc/31/62/13/775316213.db2.gz BGBVTHMEBLNFIP-INIZCTEOSA-N 0 0 284.315 2.716 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccccc1F)c1cccnc1 ZINC001225200910 775365297 /nfs/dbraw/zinc/36/52/97/775365297.db2.gz WPMWECQWMOXJJD-ZDUSSCGKSA-N 0 0 262.240 2.618 20 5 CFBDRN CCOc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)cc1 ZINC001225256112 775381601 /nfs/dbraw/zinc/38/16/01/775381601.db2.gz QPXIXPLBMSYFHK-CYBMUJFWSA-N 0 0 251.282 2.519 20 5 CFBDRN COc1cc(C)ccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225262689 775382590 /nfs/dbraw/zinc/38/25/90/775382590.db2.gz NLJZDYUHJBAVMJ-HNNXBMFYSA-N 0 0 288.303 2.795 20 5 CFBDRN C[C@H](Oc1cccnc1)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001225282823 775390818 /nfs/dbraw/zinc/39/08/18/775390818.db2.gz BFODPFFWCGXMCK-VIFPVBQESA-N 0 0 288.259 2.859 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1ccc(F)c([N+](=O)[O-])c1 ZINC001225382204 775437367 /nfs/dbraw/zinc/43/73/67/775437367.db2.gz MRRSGUNMQPDGMU-GWCFXTLKSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2ccc(F)c([N+](=O)[O-])c2)CCO1 ZINC001225385443 775437840 /nfs/dbraw/zinc/43/78/40/775437840.db2.gz SAYLQHJDSGZDEH-SCZZXKLOSA-N 0 0 255.245 2.680 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385953 775438508 /nfs/dbraw/zinc/43/85/08/775438508.db2.gz VHASMFGSFVISOS-UWVGGRQHSA-N 0 0 287.287 2.553 20 5 CFBDRN CC[NH+]1CCC(Oc2c(Cl)nccc2[N+](=O)[O-])CC1 ZINC001225431376 775454327 /nfs/dbraw/zinc/45/43/27/775454327.db2.gz OYDOVUPUQBDZLP-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN CCCOC[C@H](C)Oc1cc([N+](=O)[O-])ccc1C(=O)OC ZINC001225449765 775460988 /nfs/dbraw/zinc/46/09/88/775460988.db2.gz AFFORRGSKYEFMZ-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H]1C[C@@H]2CC[C@H]1C2 ZINC001225450440 775461515 /nfs/dbraw/zinc/46/15/15/775461515.db2.gz HVVXQTSOMUMDNA-GBIKHYSHSA-N 0 0 291.303 2.949 20 5 CFBDRN CCc1ncccc1O[C@@H](C)Cn1c(C)ncc1[N+](=O)[O-] ZINC001225455837 775462899 /nfs/dbraw/zinc/46/28/99/775462899.db2.gz HIWYCACQZMQBJV-JTQLQIEISA-N 0 0 290.323 2.525 20 5 CFBDRN C[C@H](Oc1cnc(CF)nc1)c1cccc([N+](=O)[O-])c1 ZINC001225538320 775496254 /nfs/dbraw/zinc/49/62/54/775496254.db2.gz YCDJLAIIKQPXQE-VIFPVBQESA-N 0 0 277.255 2.994 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225575124 775507506 /nfs/dbraw/zinc/50/75/06/775507506.db2.gz KMRKRKKTPCMNLU-ZYHUDNBSSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2cc(F)ccc2[N+](=O)[O-])C1 ZINC001225577723 775509929 /nfs/dbraw/zinc/50/99/29/775509929.db2.gz IJAPOIVNWZPVGQ-ONGXEEELSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225580322 775511454 /nfs/dbraw/zinc/51/14/54/775511454.db2.gz XODBLSSLDVCVER-GZMMTYOYSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H](Oc1ncccc1[N+](=O)[O-])c1cnc2ccccn21 ZINC001225589759 775513923 /nfs/dbraw/zinc/51/39/23/775513923.db2.gz DLMNKACBTVOOLN-SNVBAGLBSA-N 0 0 284.275 2.778 20 5 CFBDRN O=[N+]([O-])c1cccnc1O[C@H]1CCCc2cccnc21 ZINC001225590433 775515696 /nfs/dbraw/zinc/51/56/96/775515696.db2.gz ONXZDBJXLCRQLA-LBPRGKRZSA-N 0 0 271.276 2.841 20 5 CFBDRN Cc1ccc([C@H](C)Oc2ncccc2[N+](=O)[O-])cn1 ZINC001225593471 775515891 /nfs/dbraw/zinc/51/58/91/775515891.db2.gz RKSYNNNVONLLIN-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC1(C)CC(=O)CC[C@@H]1Oc1ncccc1[N+](=O)[O-] ZINC001225593537 775516966 /nfs/dbraw/zinc/51/69/66/775516966.db2.gz TZIJTLDPSRMRRO-NSHDSACASA-N 0 0 264.281 2.516 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@@H]2CCO[C@@H]2C)c([N+](=O)[O-])c1 ZINC001225595983 775518395 /nfs/dbraw/zinc/51/83/95/775518395.db2.gz OKNURGVBLMQRID-ZJUUUORDSA-N 0 0 296.279 2.615 20 5 CFBDRN CC[C@H](C)Oc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225602503 775519364 /nfs/dbraw/zinc/51/93/64/775519364.db2.gz XIEZVWMSGOVDIC-QMMMGPOBSA-N 0 0 254.242 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC001225601171 775519665 /nfs/dbraw/zinc/51/96/65/775519665.db2.gz MNQVEPPHPAWZOH-SECBINFHSA-N 0 0 264.237 2.909 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H](C)c1cn(C)nc1C ZINC001225614058 775523072 /nfs/dbraw/zinc/52/30/72/775523072.db2.gz YRDKILOZSTYDOJ-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H]1CCO[C@H](C)C1 ZINC001225614811 775523719 /nfs/dbraw/zinc/52/37/19/775523719.db2.gz FPSWITLXJAGQCY-KOLCDFICSA-N 0 0 267.281 2.550 20 5 CFBDRN C[C@H](COC(C)(C)C)Oc1cccnc1[N+](=O)[O-] ZINC001225628039 775530485 /nfs/dbraw/zinc/53/04/85/775530485.db2.gz BNJSRPOYUAAATF-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN CCCC(=O)[C@H](CCC)Oc1cccnc1[N+](=O)[O-] ZINC001225628967 775531532 /nfs/dbraw/zinc/53/15/32/775531532.db2.gz BHKZTHBJACXRHK-NSHDSACASA-N 0 0 266.297 2.907 20 5 CFBDRN Cc1ccc([C@H](C)Oc2cccnc2[N+](=O)[O-])cn1 ZINC001225629584 775531656 /nfs/dbraw/zinc/53/16/56/775531656.db2.gz PXUFFSYYRGEZNT-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cccc(C)c1[N+](=O)[O-] ZINC001225633902 775533776 /nfs/dbraw/zinc/53/37/76/775533776.db2.gz VUIYWFGGNVNICR-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cccc(C)c2[N+](=O)[O-])C1 ZINC001225636000 775534685 /nfs/dbraw/zinc/53/46/85/775534685.db2.gz SOCQWXGLYJAOJE-PHIMTYICSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(O[C@H](C)COC(C)(C)C)ncc1[N+](=O)[O-] ZINC001225657440 775545969 /nfs/dbraw/zinc/54/59/69/775545969.db2.gz ICAWVDKGSOOGSV-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1cc(O[C@H](C)C(=O)OCCC(C)C)ncc1[N+](=O)[O-] ZINC001225663713 775546592 /nfs/dbraw/zinc/54/65/92/775546592.db2.gz UABHKRQLLPDNQF-LLVKDONJSA-N 0 0 296.323 2.655 20 5 CFBDRN C[C@H](COc1ccccc1)Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225666764 775549221 /nfs/dbraw/zinc/54/92/21/775549221.db2.gz MBMWPPNXEDJSHO-SNVBAGLBSA-N 0 0 290.275 2.542 20 5 CFBDRN O=c1cc[nH]c(O[C@@H]2CCC=CCCC2)c1[N+](=O)[O-] ZINC001225667040 775549369 /nfs/dbraw/zinc/54/93/69/775549369.db2.gz OPXLEPJRNIAZNJ-SNVBAGLBSA-N 0 0 264.281 2.963 20 5 CFBDRN C[C@H]1CCCC[C@H]1Oc1[nH]ccc(=O)c1[N+](=O)[O-] ZINC001225667998 775550124 /nfs/dbraw/zinc/55/01/24/775550124.db2.gz XQHXQIIBIIQGOP-WCBMZHEXSA-N 0 0 252.270 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCOC[C@@H]2C)c1 ZINC001225680928 775555326 /nfs/dbraw/zinc/55/53/26/775555326.db2.gz GIAJCEABQXPUSL-JQWIXIFHSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC2CCN(CCF)CC2)c1 ZINC001225682568 775556979 /nfs/dbraw/zinc/55/69/79/775556979.db2.gz KMSGLTZAPHJZST-UHFFFAOYSA-N 0 0 282.315 2.716 20 5 CFBDRN Cc1c(O[C@@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC001225689888 775560118 /nfs/dbraw/zinc/56/01/18/775560118.db2.gz QMFNNDKSDAHRAU-GXSJLCMTSA-N 0 0 251.282 2.850 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC001225713781 775569656 /nfs/dbraw/zinc/56/96/56/775569656.db2.gz XDSVCVOKAFBTSC-KOLCDFICSA-N 0 0 299.710 2.969 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC001225712301 775569968 /nfs/dbraw/zinc/56/99/68/775569968.db2.gz FBXLVRLSTRXMHA-SWZMTVOYSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1O[C@@H]1CCOC[C@H]1F ZINC001225713829 775570342 /nfs/dbraw/zinc/57/03/42/775570342.db2.gz XLTSKBGHYANRNS-PSASIEDQSA-N 0 0 275.663 2.754 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2CCO2)c1Br ZINC001225758663 775584270 /nfs/dbraw/zinc/58/42/70/775584270.db2.gz JSIJSLRCZDVNJV-ZETCQYMHSA-N 0 0 288.097 2.525 20 5 CFBDRN COc1ccc(O[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001225772968 775587845 /nfs/dbraw/zinc/58/78/45/775587845.db2.gz SSDNEXCLKWXRRZ-GXSJLCMTSA-N 0 0 267.281 2.550 20 5 CFBDRN COc1ccc(O[C@H](C)c2cncnc2)c([N+](=O)[O-])c1 ZINC001225769827 775588043 /nfs/dbraw/zinc/58/80/43/775588043.db2.gz PCSGTHUUULZGNH-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)cc1OC[C@@H]1CCC=CO1 ZINC001225780076 775590671 /nfs/dbraw/zinc/59/06/71/775590671.db2.gz TWMSDDBOJIGZJJ-QMMMGPOBSA-N 0 0 271.219 2.945 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225788290 775593537 /nfs/dbraw/zinc/59/35/37/775593537.db2.gz KWAAXPIIQFMXEE-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN C[C@@H](Oc1cccc([N+](=O)[O-])c1F)c1ncccn1 ZINC001225794438 775596092 /nfs/dbraw/zinc/59/60/92/775596092.db2.gz QVQZUGVSSNQXQT-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1cccc([N+](=O)[O-])c1F ZINC001225795930 775596191 /nfs/dbraw/zinc/59/61/91/775596191.db2.gz IPKOJWTVKJQJPO-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225803228 775598818 /nfs/dbraw/zinc/59/88/18/775598818.db2.gz BHJCDLIQNUIFRJ-SNVBAGLBSA-N 0 0 281.308 2.991 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2CCO[C@@H](C)C2)c1 ZINC001225805000 775598895 /nfs/dbraw/zinc/59/88/95/775598895.db2.gz GACLCFSIQQDHDJ-CABZTGNLSA-N 0 0 279.292 2.744 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)cnc1OC1CCC1 ZINC001225844054 775612221 /nfs/dbraw/zinc/61/22/21/775612221.db2.gz VEUNIHGBLOXYBZ-UHFFFAOYSA-N 0 0 262.187 2.940 20 5 CFBDRN CCO[C@H](C)COc1ncc(C(F)(F)F)cc1[N+](=O)[O-] ZINC001225848062 775612368 /nfs/dbraw/zinc/61/23/68/775612368.db2.gz VIJFASYOZHLHRJ-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN CC[C@@H](COc1ncc([N+](=O)[O-])cc1C(F)(F)F)OC ZINC001225892352 775624784 /nfs/dbraw/zinc/62/47/84/775624784.db2.gz AFQOISPVQCXBAP-QMMMGPOBSA-N 0 0 294.229 2.812 20 5 CFBDRN CC[C@H](COc1ncc([N+](=O)[O-])cc1C(F)(F)F)OC ZINC001225892351 775625383 /nfs/dbraw/zinc/62/53/83/775625383.db2.gz AFQOISPVQCXBAP-MRVPVSSYSA-N 0 0 294.229 2.812 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@H]1CCC=CO1 ZINC001225905877 775631073 /nfs/dbraw/zinc/63/10/73/775631073.db2.gz ZDFAPEUHOIZTGU-SNVBAGLBSA-N 0 0 253.229 2.805 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1O[C@H]1CCCC2(C1)OCCO2 ZINC001225908222 775631479 /nfs/dbraw/zinc/63/14/79/775631479.db2.gz ZBFSETAJUYCRQQ-NSHDSACASA-N 0 0 297.282 2.798 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225916226 775633737 /nfs/dbraw/zinc/63/37/37/775633737.db2.gz OZUWKVJGXJXLQN-GFCCVEGCSA-N 0 0 253.229 2.624 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225915421 775633924 /nfs/dbraw/zinc/63/39/24/775633924.db2.gz IVOUDJSXBUVDHJ-QPUJVOFHSA-N 0 0 255.245 2.538 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])cc2F)C1 ZINC001225942017 775642282 /nfs/dbraw/zinc/64/22/82/775642282.db2.gz LVCNMXIKDFWBSB-GXSJLCMTSA-N 0 0 297.282 2.845 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225961769 775648577 /nfs/dbraw/zinc/64/85/77/775648577.db2.gz ZQWPKXRAJFCPIS-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2c(F)c(F)ccc2[N+](=O)[O-])=C1C ZINC001225959575 775648697 /nfs/dbraw/zinc/64/86/97/775648697.db2.gz MRLHSHFGBKSPEQ-VIFPVBQESA-N 0 0 299.229 2.861 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(F)c1O[C@@H]1COc2cnccc21 ZINC001225963400 775648792 /nfs/dbraw/zinc/64/87/92/775648792.db2.gz RBGHGRNMEQBDQK-LLVKDONJSA-N 0 0 294.213 2.781 20 5 CFBDRN CC(C)(C)N1CC(Oc2c(F)c(F)ccc2[N+](=O)[O-])C1 ZINC001225961438 775649016 /nfs/dbraw/zinc/64/90/16/775649016.db2.gz WZABKPMJKIQKIM-UHFFFAOYSA-N 0 0 286.278 2.735 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225963466 775649227 /nfs/dbraw/zinc/64/92/27/775649227.db2.gz SGIAETNDLAMXTD-GMSGAONNSA-N 0 0 273.235 2.677 20 5 CFBDRN Cc1cc(O)cc(C)c1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225965204 775650391 /nfs/dbraw/zinc/65/03/91/775650391.db2.gz YRZVAHBZJOLKLT-CQSZACIVSA-N 0 0 288.303 2.801 20 5 CFBDRN Cc1c(=O)[nH]cnc1O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001226077324 775690305 /nfs/dbraw/zinc/69/03/05/775690305.db2.gz RDGHTKYGPINURQ-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN CC1(C)C[C@H](Oc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC001226132355 775711438 /nfs/dbraw/zinc/71/14/38/775711438.db2.gz YQCBSJDJIYYVQD-SECBINFHSA-N 0 0 286.715 2.980 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1OCCOC1CCCC1 ZINC001226131106 775711792 /nfs/dbraw/zinc/71/17/92/775711792.db2.gz AMKJSHNYOZVHIH-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ncc(Cl)cc1[N+](=O)[O-] ZINC001226132340 775711927 /nfs/dbraw/zinc/71/19/27/775711927.db2.gz YJCBYEMXSPGTCK-SNVBAGLBSA-N 0 0 272.688 2.780 20 5 CFBDRN COC(=O)c1cc(O[C@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC001226149735 775715814 /nfs/dbraw/zinc/71/58/14/775715814.db2.gz AQZZYAYZEQSCOT-MRVPVSSYSA-N 0 0 292.247 2.509 20 5 CFBDRN CC[C@@H](C)[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168773 775723545 /nfs/dbraw/zinc/72/35/45/775723545.db2.gz USZSRKMGJHPGIR-BDAKNGLRSA-N 0 0 266.297 2.507 20 5 CFBDRN CC[C@H](C)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168774 775723618 /nfs/dbraw/zinc/72/36/18/775723618.db2.gz USZSRKMGJHPGIR-DTWKUNHWSA-N 0 0 266.297 2.507 20 5 CFBDRN COC(C[C@H](C)Oc1cc([N+](=O)[O-])c(F)cc1F)OC ZINC001226179400 775726141 /nfs/dbraw/zinc/72/61/41/775726141.db2.gz AIGMZNVXHDQPGS-ZETCQYMHSA-N 0 0 291.250 2.649 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226182114 775727864 /nfs/dbraw/zinc/72/78/64/775727864.db2.gz XCQSSEJDOGTQHJ-JTQLQIEISA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@H]1C[C@H](Oc2cc([N+](=O)[O-])c(F)cc2F)CCO1 ZINC001226182059 775727874 /nfs/dbraw/zinc/72/78/74/775727874.db2.gz WMNULNYYLJXWIT-JGVFFNPUSA-N 0 0 273.235 2.819 20 5 CFBDRN CCOC[C@@H](C)Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226192511 775732770 /nfs/dbraw/zinc/73/27/70/775732770.db2.gz VZLLGURQJHJPPK-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN COC(=O)Cc1ccc(O[C@@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC001226213290 775739815 /nfs/dbraw/zinc/73/98/15/775739815.db2.gz AKUTVHQNMDPMKS-JTQLQIEISA-N 0 0 293.319 2.878 20 5 CFBDRN COC(=O)Cc1ccc(O[C@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001226215517 775739821 /nfs/dbraw/zinc/73/98/21/775739821.db2.gz XTIVAVMPCGPIJL-PWSUYJOCSA-N 0 0 293.319 2.878 20 5 CFBDRN CC(C)C(=O)N(C)CCCN(C)c1ccc([N+](=O)[O-])cc1 ZINC001112032010 775741475 /nfs/dbraw/zinc/74/14/75/775741475.db2.gz MIJPLJHQDDMSFZ-UHFFFAOYSA-N 0 0 293.367 2.536 20 5 CFBDRN CC1(C)C[C@H](Oc2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC001226258304 775759558 /nfs/dbraw/zinc/75/95/58/775759558.db2.gz MKKFAZBTNSVQCV-SECBINFHSA-N 0 0 286.715 2.980 20 5 CFBDRN CCCCOC(=O)[C@H](C)Oc1cc([N+](=O)[O-])ccc1F ZINC001226264753 775762055 /nfs/dbraw/zinc/76/20/55/775762055.db2.gz BCDKCGLQANLVIO-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cc([N+](=O)[O-])ccc2F)CCO1 ZINC001226271102 775763017 /nfs/dbraw/zinc/76/30/17/775763017.db2.gz XRTQMZZQHGEGFD-PSASIEDQSA-N 0 0 255.245 2.680 20 5 CFBDRN CC(=O)c1ccc(O[C@H](C)c2ncccn2)c([N+](=O)[O-])c1 ZINC001226288811 775768649 /nfs/dbraw/zinc/76/86/49/775768649.db2.gz SELRQQWVBRNQDD-SNVBAGLBSA-N 0 0 287.275 2.727 20 5 CFBDRN CC(=O)c1ccc(O[C@@H](C)c2cncnc2)c([N+](=O)[O-])c1 ZINC001226293653 775770227 /nfs/dbraw/zinc/77/02/27/775770227.db2.gz ZFKNYGTVARUUJO-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN C[C@@H]1c2cnccc2C[C@@H]1Oc1c(O)cccc1[N+](=O)[O-] ZINC001226340712 775785235 /nfs/dbraw/zinc/78/52/35/775785235.db2.gz PGFZYXINBVSOLC-OTYXRUKQSA-N 0 0 286.287 2.803 20 5 CFBDRN O=[N+]([O-])c1cccc(O)c1O[C@@H]1CC(F)(F)C1(F)F ZINC001226341807 775785600 /nfs/dbraw/zinc/78/56/00/775785600.db2.gz UQQMUXAZOVSYAN-SSDOTTSWSA-N 0 0 281.161 2.722 20 5 CFBDRN Cc1c([C@@H](C)Oc2nc(Cl)ccc2[N+](=O)[O-])cnn1C ZINC001226365261 775792452 /nfs/dbraw/zinc/79/24/52/775792452.db2.gz BKAJIUNGXCCRFP-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN COC(=O)c1cc(OC)c(O[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC001226431967 775821497 /nfs/dbraw/zinc/82/14/97/775821497.db2.gz WGIIVMYGSDXXLS-MRVPVSSYSA-N 0 0 295.291 2.567 20 5 CFBDRN CCC[C@H](C)Oc1cc(C(=O)OC)c([N+](=O)[O-])cc1OC ZINC001226439783 775822776 /nfs/dbraw/zinc/82/27/76/775822776.db2.gz PZOPXXZNHYGUDF-VIFPVBQESA-N 0 0 297.307 2.957 20 5 CFBDRN CC[C@@H](O)C(=O)Oc1ccc(C(C)(C)C)cc1[N+](=O)[O-] ZINC001226445999 775825849 /nfs/dbraw/zinc/82/58/49/775825849.db2.gz JEXGSXFPGZIHMO-LLVKDONJSA-N 0 0 281.308 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2COC[C@H]2F)c2ccccc21 ZINC001226508931 775852757 /nfs/dbraw/zinc/85/27/57/775852757.db2.gz HHVDFMNIUDQMBA-BXUZGUMPSA-N 0 0 277.251 2.864 20 5 CFBDRN CC[C@@H](O)C(=O)Oc1ccc2c(c1)oc(=O)c1ccccc21 ZINC001226515040 775856950 /nfs/dbraw/zinc/85/69/50/775856950.db2.gz OTPRNJCNTBNTRD-CQSZACIVSA-N 0 0 298.294 2.623 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](C)C1CCOCC1 ZINC001226529827 775861019 /nfs/dbraw/zinc/86/10/19/775861019.db2.gz NFWHGJUIWQLRIE-LLVKDONJSA-N 0 0 293.319 2.991 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226531616 775862202 /nfs/dbraw/zinc/86/22/02/775862202.db2.gz LSQJJUZESDUORK-NSHDSACASA-N 0 0 295.291 2.518 20 5 CFBDRN COc1cc(OC2Cc3ccccc3C2)ncc1[N+](=O)[O-] ZINC001226576115 775879751 /nfs/dbraw/zinc/87/97/51/775879751.db2.gz MCDZIQKEONOVID-UHFFFAOYSA-N 0 0 286.287 2.545 20 5 CFBDRN COc1cc(O[C@@H](C)Cc2ccco2)ncc1[N+](=O)[O-] ZINC001226581103 775880867 /nfs/dbraw/zinc/88/08/67/775880867.db2.gz KXRNBMABZKMNQQ-VIFPVBQESA-N 0 0 278.264 2.601 20 5 CFBDRN COc1cc(O[C@H]2CCC[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC001226580326 775881128 /nfs/dbraw/zinc/88/11/28/775881128.db2.gz ZAFDQVAEVDJIJT-ZJUUUORDSA-N 0 0 266.297 2.956 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2ncccc21)C1CC1 ZINC001226658959 775907158 /nfs/dbraw/zinc/90/71/58/775907158.db2.gz LPHJBEQCZGXBJM-AWEZNQCLSA-N 0 0 258.277 2.669 20 5 CFBDRN CCC[C@@H](C)[C@H](CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226732832 775941427 /nfs/dbraw/zinc/94/14/27/775941427.db2.gz AAJLTIUMFXHESO-KOLCDFICSA-N 0 0 253.302 2.978 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2CCc3cc(F)ccc32)nc1 ZINC001226732942 775941684 /nfs/dbraw/zinc/94/16/84/775941684.db2.gz BWOKUJVKHINKMQ-GFCCVEGCSA-N 0 0 275.239 2.590 20 5 CFBDRN COc1cccc([C@H](C)Oc2ncc([N+](=O)[O-])cn2)c1 ZINC001226734234 775942296 /nfs/dbraw/zinc/94/22/96/775942296.db2.gz WHCXDMNRRJWHKC-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CC/C=C(\C)[C@@H](CC)Oc1ncc([N+](=O)[O-])cn1 ZINC001226734331 775942408 /nfs/dbraw/zinc/94/24/08/775942408.db2.gz XWBQIVQKZYSMDU-OALRPVAKSA-N 0 0 251.286 2.899 20 5 CFBDRN CCCC/C=C/[C@@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733710 775942459 /nfs/dbraw/zinc/94/24/59/775942459.db2.gz SHSPJSUVUDHIFN-VQCYPWCPSA-N 0 0 251.286 2.899 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2ncc([N+](=O)[O-])cn2)[C@H]1C ZINC001226734012 775942548 /nfs/dbraw/zinc/94/25/48/775942548.db2.gz VSRFAYSGOIYPRY-YWVKMMECSA-N 0 0 251.286 2.588 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@H]2CCCCO2)c(F)c1 ZINC001226745112 775945271 /nfs/dbraw/zinc/94/52/71/775945271.db2.gz YIDKTZMYKRTVGR-SECBINFHSA-N 0 0 273.235 2.821 20 5 CFBDRN C[C@H](Oc1ncc(F)cc1[N+](=O)[O-])c1cccnc1F ZINC001226752062 775948417 /nfs/dbraw/zinc/94/84/17/775948417.db2.gz XBGGHMMTHQUQKZ-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@H](COc1ccccc1)Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226756039 775950295 /nfs/dbraw/zinc/95/02/95/775950295.db2.gz OKCMMYPHWNDRRO-SNVBAGLBSA-N 0 0 292.266 2.975 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1OC[C@@H]1CCC(C)(C)O1 ZINC001226761344 775951714 /nfs/dbraw/zinc/95/17/14/775951714.db2.gz SZQCSENEWCUFPN-NSHDSACASA-N 0 0 266.297 2.635 20 5 CFBDRN CCOC(=O)[C@@H](CC(C)C)Oc1ncc([N+](=O)[O-])cc1C ZINC001226762271 775952300 /nfs/dbraw/zinc/95/23/00/775952300.db2.gz SMPMSWKOYJSLHY-GFCCVEGCSA-N 0 0 296.323 2.655 20 5 CFBDRN CCOC(=O)N1CCC(Oc2cccc([N+](=O)[O-])c2)CC1 ZINC001226763385 775952986 /nfs/dbraw/zinc/95/29/86/775952986.db2.gz RKXOMCLUGHCRBG-UHFFFAOYSA-N 0 0 294.307 2.595 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2COc3cnccc32)c1 ZINC001226766159 775953083 /nfs/dbraw/zinc/95/30/83/775953083.db2.gz ANMOHADGJIETPS-ZDUSSCGKSA-N 0 0 258.233 2.502 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])cc1Cl ZINC001226761954 775953261 /nfs/dbraw/zinc/95/32/61/775953261.db2.gz IXLVAFSOEKIMOE-VIFPVBQESA-N 0 0 287.699 2.969 20 5 CFBDRN Cc1c([C@H](C)Oc2cccc([N+](=O)[O-])c2)cnn1C ZINC001226765187 775954730 /nfs/dbraw/zinc/95/47/30/775954730.db2.gz QFOKOTKMKJKDFB-JTQLQIEISA-N 0 0 261.281 2.777 20 5 CFBDRN C[C@@H](Oc1ccc(N)nc1)c1cccc([N+](=O)[O-])c1 ZINC001226779214 775958648 /nfs/dbraw/zinc/95/86/48/775958648.db2.gz DDNYSKMLVZADOU-SECBINFHSA-N 0 0 259.265 2.712 20 5 CFBDRN O=C1CCCC[C@H]1Oc1cc(Cl)c([N+](=O)[O-])cn1 ZINC001226914913 776015258 /nfs/dbraw/zinc/01/52/58/776015258.db2.gz APXPHXYEJJDFHD-SNVBAGLBSA-N 0 0 270.672 2.534 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1csc(Cl)n1 ZINC000692700103 776021601 /nfs/dbraw/zinc/02/16/01/776021601.db2.gz XBKDZHZFZFBVLH-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1ccc(O[C@@H]2CCn3ccnc32)cc1[N+](=O)[O-] ZINC001226950953 776031762 /nfs/dbraw/zinc/03/17/62/776031762.db2.gz QZPLWXOMJHTENE-GFCCVEGCSA-N 0 0 259.265 2.624 20 5 CFBDRN Cc1ccc(O[C@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC001226952601 776032460 /nfs/dbraw/zinc/03/24/60/776032460.db2.gz GVSOPYQLBWINJD-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccc(O[C@@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC001226953202 776033160 /nfs/dbraw/zinc/03/31/60/776033160.db2.gz WBSOBXIDFVKRMN-ZWNOBZJWSA-N 0 0 251.282 2.707 20 5 CFBDRN COC(=O)c1cccc(Cl)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001226980618 776045031 /nfs/dbraw/zinc/04/50/31/776045031.db2.gz LIDIGFVYNAUIHP-NSHDSACASA-N 0 0 299.710 2.561 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1c(C)ccc([N+](=O)[O-])c1Cl ZINC001226987666 776049511 /nfs/dbraw/zinc/04/95/11/776049511.db2.gz BJDKSFURWNVIAH-QMMMGPOBSA-N 0 0 287.699 2.887 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc([N+](=O)[O-])ccc2C)=C1C ZINC001226994565 776052864 /nfs/dbraw/zinc/05/28/64/776052864.db2.gz DNVKJWCHPHDAPX-NSHDSACASA-N 0 0 277.276 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)c2ncccc2F)n1 ZINC001227062197 776080583 /nfs/dbraw/zinc/08/05/83/776080583.db2.gz ISSNCVHMGDYLAN-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1nc(C)ccc1[N+](=O)[O-] ZINC001227065392 776081390 /nfs/dbraw/zinc/08/13/90/776081390.db2.gz UZSSYQAZZOHAJR-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN C[C@H](CON)Oc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC001227126918 776108694 /nfs/dbraw/zinc/10/86/94/776108694.db2.gz UROSYCFOAONKIT-RXMQYKEDSA-N 0 0 281.095 2.559 20 5 CFBDRN CCCc1ccc(O)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001227150720 776117802 /nfs/dbraw/zinc/11/78/02/776117802.db2.gz QMLOVELRUFOGJO-AWEZNQCLSA-N 0 0 265.309 2.779 20 5 CFBDRN CC(C)OC(=O)COc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC001227155487 776119066 /nfs/dbraw/zinc/11/90/66/776119066.db2.gz ZWVIIKTYWNSOIW-UHFFFAOYSA-N 0 0 291.662 2.718 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H]1CCCC[C@@H]1OC ZINC001227188869 776128976 /nfs/dbraw/zinc/12/89/76/776128976.db2.gz YEEIIAAMANBVFP-RYUDHWBXSA-N 0 0 281.308 2.940 20 5 CFBDRN Cc1ccc(OC2Cc3ccccc3C2)c([N+](=O)[O-])n1 ZINC001227220093 776142200 /nfs/dbraw/zinc/14/22/00/776142200.db2.gz PNZVSHUJUOHSNA-UHFFFAOYSA-N 0 0 270.288 2.844 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1ccc(C)nc1[N+](=O)[O-] ZINC001227221528 776143764 /nfs/dbraw/zinc/14/37/64/776143764.db2.gz BEHPDOLRHJSUTF-LBPRGKRZSA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1ccc(O[C@H](C)Cc2ccco2)c([N+](=O)[O-])n1 ZINC001227222992 776143903 /nfs/dbraw/zinc/14/39/03/776143903.db2.gz ZLZSRCDAFHUFQO-SNVBAGLBSA-N 0 0 262.265 2.901 20 5 CFBDRN Cc1ccc(O[C@H](C)c2nccs2)c([N+](=O)[O-])n1 ZINC001227224448 776145710 /nfs/dbraw/zinc/14/57/10/776145710.db2.gz VNOGGHVVCQLMRD-MRVPVSSYSA-N 0 0 265.294 2.895 20 5 CFBDRN Cc1cnc(O[C@@H](C)c2ccncc2)c([N+](=O)[O-])c1 ZINC001227234316 776149201 /nfs/dbraw/zinc/14/92/01/776149201.db2.gz GERXAYRRLAIXDN-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CCCCOC[C@H](C)Oc1ncc(C)cc1[N+](=O)[O-] ZINC001227235639 776150072 /nfs/dbraw/zinc/15/00/72/776150072.db2.gz VKHSKIJCRBLUNS-NSHDSACASA-N 0 0 268.313 2.882 20 5 CFBDRN O=[N+]([O-])c1cccc2oc(OC[C@H]3CCCCO3)nc21 ZINC001227239345 776152569 /nfs/dbraw/zinc/15/25/69/776152569.db2.gz XISWTKVETVYSRB-SECBINFHSA-N 0 0 278.264 2.684 20 5 CFBDRN Cc1ccc2cc(O[C@@H](C[N+](=O)[O-])C3CC3)ccc2n1 ZINC001227275652 776168124 /nfs/dbraw/zinc/16/81/24/776168124.db2.gz BPMQFTQAESVBHK-HNNXBMFYSA-N 0 0 272.304 2.977 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(Cl)nc1F)c1cccnc1 ZINC001227286167 776169977 /nfs/dbraw/zinc/16/99/77/776169977.db2.gz FYDDGTWCSZJVIB-JTQLQIEISA-N 0 0 297.673 2.666 20 5 CFBDRN Cc1cc(CNc2cccc(C)c2[N+](=O)[O-])nn1C ZINC001167765734 776170636 /nfs/dbraw/zinc/17/06/36/776170636.db2.gz OKIPRJAYDIAAKF-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN C[C@H](Oc1[nH]c(=S)ncc1F)c1ccccc1[N+](=O)[O-] ZINC001227383962 776189155 /nfs/dbraw/zinc/18/91/55/776189155.db2.gz UXKAEBWFCPESSY-ZETCQYMHSA-N 0 0 295.295 2.953 20 5 CFBDRN COc1cc(C)c([N+](=O)[O-])cc1NCc1cc(C)n(C)n1 ZINC001167768483 776191191 /nfs/dbraw/zinc/19/11/91/776191191.db2.gz CVSDJQCMCWVBBN-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN CCc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(OC)c1 ZINC001227405896 776195051 /nfs/dbraw/zinc/19/50/51/776195051.db2.gz CZCUWPLRGCUNAI-AWEZNQCLSA-N 0 0 265.309 2.692 20 5 CFBDRN CC(C)[C@@H](C)Oc1ccc2c(c1)oc1cc(=O)ccc-1[n+]2[O-] ZINC001227448813 776206076 /nfs/dbraw/zinc/20/60/76/776206076.db2.gz IXCPESTZFFKGKL-LLVKDONJSA-N 0 0 299.326 2.955 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C=CCCC2)c([N+](=O)[O-])c1 ZINC001227465525 776208979 /nfs/dbraw/zinc/20/89/79/776208979.db2.gz FNGNIPWIKSDDHH-SNVBAGLBSA-N 0 0 264.237 2.991 20 5 CFBDRN COC(=O)[C@@H](Oc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC001227481392 776211978 /nfs/dbraw/zinc/21/19/78/776211978.db2.gz VDHQWUQTHWXPOO-AWEZNQCLSA-N 0 0 287.271 2.888 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccccc1O)C2 ZINC001227485778 776213935 /nfs/dbraw/zinc/21/39/35/776213935.db2.gz DESQRPZHIQZVME-CYBMUJFWSA-N 0 0 271.272 2.847 20 5 CFBDRN C[C@@H](Oc1c([N+](=O)[O-])cc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC001227498347 776214346 /nfs/dbraw/zinc/21/43/46/776214346.db2.gz NIYHRVLTTHTTDI-ZCFIWIBFSA-N 0 0 297.223 2.589 20 5 CFBDRN CCOC(=O)[C@H](CC(C)C)Oc1ccc([N+](=O)[O-])cc1 ZINC001227521542 776218689 /nfs/dbraw/zinc/21/86/89/776218689.db2.gz SKDIYNXZFAVZAY-ZDUSSCGKSA-N 0 0 281.308 2.951 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1O[C@H](C)C1CC1 ZINC001227541677 776224443 /nfs/dbraw/zinc/22/44/43/776224443.db2.gz LJWSNSBYJYAPRG-ZCFIWIBFSA-N 0 0 271.294 2.620 20 5 CFBDRN C[C@@H](OCc1ccnn1C)c1ccccc1[N+](=O)[O-] ZINC001222711606 776247979 /nfs/dbraw/zinc/24/79/79/776247979.db2.gz UFVSEIMTKUCGIH-SNVBAGLBSA-N 0 0 261.281 2.606 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])c2nc[nH]c21)C1CCCC1 ZINC001227692559 776258707 /nfs/dbraw/zinc/25/87/07/776258707.db2.gz ZOHLDOSAYMKJML-QMMMGPOBSA-N 0 0 276.296 2.824 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H](C)c1cnn(C)c1C ZINC001227697992 776260625 /nfs/dbraw/zinc/26/06/25/776260625.db2.gz UGUXDCKMRBOLNX-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001227700887 776262821 /nfs/dbraw/zinc/26/28/21/776262821.db2.gz IVNIBNVRYWVFQQ-CWSCBRNRSA-N 0 0 261.277 2.947 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC001227743908 776277714 /nfs/dbraw/zinc/27/77/14/776277714.db2.gz CBWFLILVVJRFMT-GFCCVEGCSA-N 0 0 277.280 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1nnccc1Cl)C2 ZINC001227788083 776290436 /nfs/dbraw/zinc/29/04/36/776290436.db2.gz UOIHVAMCRZBXTF-LLVKDONJSA-N 0 0 291.694 2.584 20 5 CFBDRN COC(=O)c1cccc(O[C@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC001227891586 776318945 /nfs/dbraw/zinc/31/89/45/776318945.db2.gz CKGVWTPKJZRIKL-ZCFIWIBFSA-N 0 0 293.197 2.711 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1cncc([N+](=O)[O-])c1 ZINC001227987883 776350720 /nfs/dbraw/zinc/35/07/20/776350720.db2.gz LKOPMJYXMJZGFO-CYBMUJFWSA-N 0 0 266.297 2.907 20 5 CFBDRN CCCCOC[C@H](C)Oc1cncc([N+](=O)[O-])c1 ZINC001227987820 776350831 /nfs/dbraw/zinc/35/08/31/776350831.db2.gz KHKONOHSZGELSN-JTQLQIEISA-N 0 0 254.286 2.574 20 5 CFBDRN C[C@@H](COc1ccccc1)Oc1cncc([N+](=O)[O-])c1 ZINC001227987902 776351332 /nfs/dbraw/zinc/35/13/32/776351332.db2.gz LQVASJXKPQWHLQ-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC001228001107 776355938 /nfs/dbraw/zinc/35/59/38/776355938.db2.gz RQNHTDMQUSVJOH-SNVBAGLBSA-N 0 0 298.726 2.638 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228017001 776364417 /nfs/dbraw/zinc/36/44/17/776364417.db2.gz TUGPKSNPAQIUGC-VIFPVBQESA-N 0 0 289.234 2.594 20 5 CFBDRN CC(C)OCCOc1cc([N+](=O)[O-])cc(F)c1F ZINC001228016504 776364989 /nfs/dbraw/zinc/36/49/89/776364989.db2.gz NITAZYKSYXEEQQ-UHFFFAOYSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1O[C@@H]1COc2cnccc21 ZINC001228018660 776366075 /nfs/dbraw/zinc/36/60/75/776366075.db2.gz OBBZHSSVDOGBKX-SNVBAGLBSA-N 0 0 293.666 2.551 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228022623 776367068 /nfs/dbraw/zinc/36/70/68/776367068.db2.gz ZMZJTNXGCVXLHB-JTQLQIEISA-N 0 0 271.219 2.764 20 5 CFBDRN COc1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc1F ZINC001228064771 776382615 /nfs/dbraw/zinc/38/26/15/776382615.db2.gz WGNDGJGGUYWQOE-CQSZACIVSA-N 0 0 292.266 2.626 20 5 CFBDRN CCC(=O)c1cccc(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001228115690 776399714 /nfs/dbraw/zinc/39/97/14/776399714.db2.gz VSIIAACJERWGPQ-AWEZNQCLSA-N 0 0 263.293 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCO2)c2ncccc12 ZINC001228135512 776405470 /nfs/dbraw/zinc/40/54/70/776405470.db2.gz IRULMRCGAOOECR-JTQLQIEISA-N 0 0 274.276 2.701 20 5 CFBDRN CC[C@@H](COC)Oc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228139697 776406915 /nfs/dbraw/zinc/40/69/15/776406915.db2.gz WRYOJYLTDYZAFK-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN C[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])c1cccc(Cl)c1 ZINC001228141941 776408000 /nfs/dbraw/zinc/40/80/00/776408000.db2.gz XGRXUHZJLJHDAC-SSDOTTSWSA-N 0 0 295.682 2.884 20 5 CFBDRN O=S1(=O)CC(Oc2cccc3cc4ccccc4nc32)C1 ZINC001228177196 776416496 /nfs/dbraw/zinc/41/64/96/776416496.db2.gz YNDMTLBVCJSAEU-UHFFFAOYSA-N 0 0 299.351 2.564 20 5 CFBDRN CC[C@@H](O)C(=O)Oc1ccc2c(c1)oc1ccccc1c2=O ZINC001228179928 776416575 /nfs/dbraw/zinc/41/65/75/776416575.db2.gz LOVVBVOAAMVYIW-CYBMUJFWSA-N 0 0 298.294 2.623 20 5 CFBDRN Cc1cc(C)c(O[C@H]2C(=O)OCC2(C)C)c([N+](=O)[O-])c1 ZINC001228230610 776429315 /nfs/dbraw/zinc/42/93/15/776429315.db2.gz UHIDORULZHUURH-LBPRGKRZSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1cc(C)c(OC(=O)CCC[C@@H](C)O)c([N+](=O)[O-])c1 ZINC001228237970 776430942 /nfs/dbraw/zinc/43/09/42/776430942.db2.gz RHINAOSEGMYRDM-LLVKDONJSA-N 0 0 281.308 2.668 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2[nH]c(=O)nc3cc([N+](=O)[O-])ccc32)C1 ZINC001228243456 776431574 /nfs/dbraw/zinc/43/15/74/776431574.db2.gz YPYYMOVTZBPYOP-PSASIEDQSA-N 0 0 289.291 2.811 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228258108 776435426 /nfs/dbraw/zinc/43/54/26/776435426.db2.gz QEIGHPDGTUWCLA-BJHJDKERSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@@H](O)CCCC(=O)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228257807 776435637 /nfs/dbraw/zinc/43/56/37/776435637.db2.gz MTCDOATUERUYOJ-MRVPVSSYSA-N 0 0 287.699 2.705 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc2cc(Cl)cnc2n1)C1CC1 ZINC001228383950 776477256 /nfs/dbraw/zinc/47/72/56/776477256.db2.gz CVYQYDXBWYLZQN-LLVKDONJSA-N 0 0 293.710 2.717 20 5 CFBDRN Cc1nc(NC[C@@H]2CC[C@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000722333191 776479117 /nfs/dbraw/zinc/47/91/17/776479117.db2.gz KYMPTQWKQLCZTH-WCQYABFASA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1nc(NC[C@@H]2CC[C@@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000722333189 776479425 /nfs/dbraw/zinc/47/94/25/776479425.db2.gz KYMPTQWKQLCZTH-AAEUAGOBSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CC[C@H](C2CC2)O1 ZINC000722335372 776482390 /nfs/dbraw/zinc/48/23/90/776482390.db2.gz ZSONUALSBQCMBH-QWHCGFSZSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1CC[C@@H](C2CC2)O1 ZINC000722335374 776482471 /nfs/dbraw/zinc/48/24/71/776482471.db2.gz ZSONUALSBQCMBH-STQMWFEESA-N 0 0 277.324 2.668 20 5 CFBDRN CCc1cnc(=O)[nH]c1O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001228415041 776485794 /nfs/dbraw/zinc/48/57/94/776485794.db2.gz HVKYLFJTXYKRMH-SECBINFHSA-N 0 0 289.291 2.793 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@H]1CCOC[C@@H]1C ZINC001228451371 776495380 /nfs/dbraw/zinc/49/53/80/776495380.db2.gz VOWPUIROWSHDCF-HZMBPMFUSA-N 0 0 293.319 2.910 20 5 CFBDRN O=C1CC(Oc2cccc3nc4ccccc4nc32)C1 ZINC001228519841 776510734 /nfs/dbraw/zinc/51/07/34/776510734.db2.gz SMTJCTYAHNBTMM-UHFFFAOYSA-N 0 0 264.284 2.893 20 5 CFBDRN COC(=O)[C@@H](C)Oc1cccc2nc3ccccc3nc21 ZINC001228523142 776511658 /nfs/dbraw/zinc/51/16/58/776511658.db2.gz RRYIPVFVSJHCBU-SNVBAGLBSA-N 0 0 282.299 2.723 20 5 CFBDRN COc1nccc(O[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001228560748 776521689 /nfs/dbraw/zinc/52/16/89/776521689.db2.gz BQRMQGJATZWMGB-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1c(C)cc([N+](=O)[O-])cc1C ZINC001228571382 776523831 /nfs/dbraw/zinc/52/38/31/776523831.db2.gz OCQJUXCXWHKTEZ-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN CCOC(=O)[C@H](Oc1c(C)cc([N+](=O)[O-])cc1C)C1CC1 ZINC001228571253 776524767 /nfs/dbraw/zinc/52/47/67/776524767.db2.gz NCQQJFTYELRMGD-CQSZACIVSA-N 0 0 293.319 2.932 20 5 CFBDRN CC(=O)N1CCC(Oc2c(C)cc([N+](=O)[O-])cc2C)CC1 ZINC001228572299 776525686 /nfs/dbraw/zinc/52/56/86/776525686.db2.gz YSQUJSLOFAOOFV-UHFFFAOYSA-N 0 0 292.335 2.601 20 5 CFBDRN COc1ncccc1O[C@@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228593029 776532838 /nfs/dbraw/zinc/53/28/38/776532838.db2.gz LOSMUNDGSVHXAM-CYBMUJFWSA-N 0 0 286.287 2.545 20 5 CFBDRN CC[C@H](COC)Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228610915 776538895 /nfs/dbraw/zinc/53/88/95/776538895.db2.gz BFMIOKBNFGESQJ-MRVPVSSYSA-N 0 0 261.224 2.677 20 5 CFBDRN CCO[C@H](C)COc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228613406 776539417 /nfs/dbraw/zinc/53/94/17/776539417.db2.gz PJSQDOZMONQSFB-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228612085 776540160 /nfs/dbraw/zinc/54/01/60/776540160.db2.gz YBAVNYIXZDLLAK-XCBNKYQSSA-N 0 0 273.235 2.677 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001228614202 776540883 /nfs/dbraw/zinc/54/08/83/776540883.db2.gz ULIRCLQUQVLRHB-VIFPVBQESA-N 0 0 289.234 2.594 20 5 CFBDRN Cc1ccc2c(ccnc2O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001228638855 776549760 /nfs/dbraw/zinc/54/97/60/776549760.db2.gz ILTIOXHOZYHUJH-AWEZNQCLSA-N 0 0 272.304 2.977 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2ncncc2[N+](=O)[O-])[C@H]1C ZINC001228655368 776553613 /nfs/dbraw/zinc/55/36/13/776553613.db2.gz RFUYIJLACFAORM-YWVKMMECSA-N 0 0 251.286 2.588 20 5 CFBDRN C[C@@H](Oc1ncncc1[N+](=O)[O-])c1ccc(F)cc1 ZINC001228656437 776554775 /nfs/dbraw/zinc/55/47/75/776554775.db2.gz DQPHWIQIEANCFQ-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ncncc2[N+](=O)[O-])CC(C)(C)C1 ZINC001228655945 776555204 /nfs/dbraw/zinc/55/52/04/776555204.db2.gz XSWBTICSWBVHOR-VHSXEESVSA-N 0 0 265.313 2.978 20 5 CFBDRN O=[N+]([O-])c1cncnc1O[C@@H]1CCc2cc(F)ccc21 ZINC001228660509 776556134 /nfs/dbraw/zinc/55/61/34/776556134.db2.gz RPSZGPJCDZUIIE-GFCCVEGCSA-N 0 0 275.239 2.590 20 5 CFBDRN Cc1cc2[nH]c(O[C@H](C)C3CC3)nc2cc1[N+](=O)[O-] ZINC001228672132 776561765 /nfs/dbraw/zinc/56/17/65/776561765.db2.gz PRFFGFUIMGVRKU-MRVPVSSYSA-N 0 0 261.281 2.957 20 5 CFBDRN CO[C@@H](C)CCOc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228673279 776561881 /nfs/dbraw/zinc/56/18/81/776561881.db2.gz FCUDNABVSYWXIC-VIFPVBQESA-N 0 0 279.296 2.583 20 5 CFBDRN COC(=O)c1c(CO[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC001223293468 776563004 /nfs/dbraw/zinc/56/30/04/776563004.db2.gz RSUQPBLSNIHOSB-VIFPVBQESA-N 0 0 279.292 2.697 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228674729 776564183 /nfs/dbraw/zinc/56/41/83/776564183.db2.gz LWYSJHPIRIHEII-CYBMUJFWSA-N 0 0 291.307 2.916 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)COC(C)(C)C)c1[N+](=O)[O-] ZINC001228684873 776568123 /nfs/dbraw/zinc/56/81/23/776568123.db2.gz UFJFHHGVGVHQAR-MRVPVSSYSA-N 0 0 284.312 2.586 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CC[C@H](C(F)(F)F)O1 ZINC000722392879 776576154 /nfs/dbraw/zinc/57/61/54/776576154.db2.gz XDXJGAYZFYICQV-VXNVDRBHSA-N 0 0 291.229 2.512 20 5 CFBDRN CCOc1ccc(C)c(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001228726597 776585864 /nfs/dbraw/zinc/58/58/64/776585864.db2.gz GGBCMQREFOFMQC-CQSZACIVSA-N 0 0 265.309 2.828 20 5 CFBDRN COC(=O)[C@@H](C)Oc1ccc2nc3ccccc3nc2c1 ZINC001228739268 776592130 /nfs/dbraw/zinc/59/21/30/776592130.db2.gz YDTVMKFZAHNZFP-SNVBAGLBSA-N 0 0 282.299 2.723 20 5 CFBDRN COCC(COC)Oc1ccc2nc3ccccc3nc2c1 ZINC001228741049 776592820 /nfs/dbraw/zinc/59/28/20/776592820.db2.gz PCOIFXOGPUGKIR-UHFFFAOYSA-N 0 0 298.342 2.823 20 5 CFBDRN c1ccc2nc3cc(OC[C@@H]4COCCO4)ccc3nc2c1 ZINC001228740081 776592994 /nfs/dbraw/zinc/59/29/94/776592994.db2.gz GOVYESVPXDJGFR-ZDUSSCGKSA-N 0 0 296.326 2.577 20 5 CFBDRN O=C1OC[C@H](COc2ccc3nc4ccccc4nc3c2)O1 ZINC001228742007 776593108 /nfs/dbraw/zinc/59/31/08/776593108.db2.gz UVXGMWRQQXIMOZ-NSHDSACASA-N 0 0 296.282 2.697 20 5 CFBDRN COC(=O)c1cc(O[C@H](C)C(F)(F)F)cc([N+](=O)[O-])c1 ZINC001228769706 776602946 /nfs/dbraw/zinc/60/29/46/776602946.db2.gz YERJBSURGMWBJN-ZCFIWIBFSA-N 0 0 293.197 2.711 20 5 CFBDRN COC(=O)c1cc(O[C@H](C)C2CC2)cc([N+](=O)[O-])c1 ZINC001228768964 776603026 /nfs/dbraw/zinc/60/30/26/776603026.db2.gz NTEVAIMISZWOIB-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ncnc2c3ccccc3[nH]c21)C1CC1 ZINC001228782522 776610232 /nfs/dbraw/zinc/61/02/32/776610232.db2.gz DRUFYMUNYSRJQO-GFCCVEGCSA-N 0 0 298.302 2.545 20 5 CFBDRN Cc1cc(OCC[C@H]2COC(C)(C)O2)cc([N+](=O)[O-])c1 ZINC001228833670 776625888 /nfs/dbraw/zinc/62/58/88/776625888.db2.gz NIYJUXQCIOUUAA-LBPRGKRZSA-N 0 0 281.308 2.824 20 5 CFBDRN Cc1cc(O[C@H]2CCO[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC001228839524 776629274 /nfs/dbraw/zinc/62/92/74/776629274.db2.gz WIBZBKLZHZMOKF-JQWIXIFHSA-N 0 0 251.282 2.850 20 5 CFBDRN O=C1CCc2cccc(O[C@H](C[N+](=O)[O-])c3cccnc3)c21 ZINC001228838792 776629294 /nfs/dbraw/zinc/62/92/94/776629294.db2.gz ZTQWCPNRYNAQHB-OAHLLOKOSA-N 0 0 298.298 2.607 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC1CN(C(C)(C)C)C1 ZINC001228916658 776657305 /nfs/dbraw/zinc/65/73/05/776657305.db2.gz WZOOQJLIPBNVKK-UHFFFAOYSA-N 0 0 264.325 2.765 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(Cl)n1)c1cncnc1 ZINC001228934098 776661271 /nfs/dbraw/zinc/66/12/71/776661271.db2.gz LUFOPXBCJBKTTC-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2cc(O)cc([O-])c2[N+](=O)[O-])C1 ZINC001228971966 776672039 /nfs/dbraw/zinc/67/20/39/776672039.db2.gz TXBXPEJNYQRDQG-PSASIEDQSA-N 0 0 267.281 2.964 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2cc(O)cc([O-])c2[N+](=O)[O-])cn1 ZINC001228971191 776672366 /nfs/dbraw/zinc/67/23/66/776672366.db2.gz LIROMAQSJDZFCN-SECBINFHSA-N 0 0 290.275 2.849 20 5 CFBDRN C[C@H]1CC[C@@H](Oc2cc(O)cc([O-])c2[N+](=O)[O-])C1 ZINC001228971943 776672439 /nfs/dbraw/zinc/67/24/39/776672439.db2.gz TUWTWIRVEFDKEM-IONNQARKSA-N 0 0 253.254 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(O[C@@H]3CCCOC3)c2c1 ZINC001229005389 776685993 /nfs/dbraw/zinc/68/59/93/776685993.db2.gz HALMALUJYLBAKN-GFCCVEGCSA-N 0 0 274.276 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(OC[C@@H]3CCCO3)c2c1 ZINC001229005527 776686326 /nfs/dbraw/zinc/68/63/26/776686326.db2.gz KMXOHILYDDIFJO-LBPRGKRZSA-N 0 0 274.276 2.701 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@@H]1CCC[C@@H]1C ZINC001229056584 776702983 /nfs/dbraw/zinc/70/29/83/776702983.db2.gz QCZVWGVMIFBJGE-JOYOIKCWSA-N 0 0 279.292 2.949 20 5 CFBDRN CC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])c(Br)c1 ZINC001229084835 776712432 /nfs/dbraw/zinc/71/24/32/776712432.db2.gz CMZJTUDLIDGGMN-ZETCQYMHSA-N 0 0 288.097 2.714 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(Cl)nc1Cl)C1CC1 ZINC001229091279 776714860 /nfs/dbraw/zinc/71/48/60/776714860.db2.gz KOPOBXMMHMQIGN-MRVPVSSYSA-N 0 0 277.107 2.823 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(O[C@@H]2CCc3nccn3C2)cc1 ZINC001229114267 776722980 /nfs/dbraw/zinc/72/29/80/776722980.db2.gz IAVFGBISIXRAAN-RCQQVGEISA-N 0 0 285.303 2.524 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(O)cccc1Cl)c1cccnc1 ZINC001229115447 776724248 /nfs/dbraw/zinc/72/42/48/776724248.db2.gz BDUHIMMANYPMOB-LBPRGKRZSA-N 0 0 294.694 2.837 20 5 CFBDRN CC(=O)c1cccc(OC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC001229132604 776729092 /nfs/dbraw/zinc/72/90/92/776729092.db2.gz UYYGWJKEKXVPOI-UHFFFAOYSA-N 0 0 271.219 2.974 20 5 CFBDRN CC[C@@H](COC)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229139371 776729817 /nfs/dbraw/zinc/72/98/17/776729817.db2.gz YCKCHUCTBZMASB-JTQLQIEISA-N 0 0 267.281 2.601 20 5 CFBDRN COc1ccc(CO[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC001223649929 776744209 /nfs/dbraw/zinc/74/42/09/776744209.db2.gz WYCQRIYIYMOPLE-PWSUYJOCSA-N 0 0 281.308 2.688 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2c(C)cc(F)cc2[N+](=O)[O-])C1 ZINC001229201230 776751543 /nfs/dbraw/zinc/75/15/43/776751543.db2.gz MKTSCTHEWVQBGN-WJONMLJTSA-N 0 0 297.282 2.763 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2n[nH]c(=O)c3ccc([N+](=O)[O-])cc32)C1 ZINC001229363396 776790580 /nfs/dbraw/zinc/79/05/80/776790580.db2.gz CXDWNAJWEJMQRA-PSASIEDQSA-N 0 0 289.291 2.811 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OC1CC2(COC2)C1 ZINC001229378339 776794819 /nfs/dbraw/zinc/79/48/19/776794819.db2.gz VXMNQQHWZDBNDV-UHFFFAOYSA-N 0 0 269.684 2.806 20 5 CFBDRN C[C@H](O)CCCC(=O)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC001229376316 776795471 /nfs/dbraw/zinc/79/54/71/776795471.db2.gz HMAHVIATVMXUNY-QMMMGPOBSA-N 0 0 287.699 2.705 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(OC[C@H]2Cc3ccccc3O2)c1 ZINC001229397216 776798985 /nfs/dbraw/zinc/79/89/85/776798985.db2.gz DNTYSSRKNYGLMK-GFCCVEGCSA-N 0 0 287.271 2.683 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)ncnc2O[C@@H]1C=CCC1 ZINC001229401242 776801060 /nfs/dbraw/zinc/80/10/60/776801060.db2.gz CQPZJWYJKPXWLK-SNVBAGLBSA-N 0 0 257.249 2.635 20 5 CFBDRN Cc1nc2nc[nH]c2c(O[C@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001229438289 776811179 /nfs/dbraw/zinc/81/11/79/776811179.db2.gz HFNOBPVWXGAFTO-MRVPVSSYSA-N 0 0 299.290 2.710 20 5 CFBDRN CC(C)=CCC[C@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452323 776817934 /nfs/dbraw/zinc/81/79/34/776817934.db2.gz PNZFNESAISSQKG-NSHDSACASA-N 0 0 266.297 2.742 20 5 CFBDRN O=C1CCC(Oc2nc3cc([N+](=O)[O-])ccc3o2)CC1 ZINC001229484799 776826646 /nfs/dbraw/zinc/82/66/46/776826646.db2.gz RTKBWLBJROCGKA-UHFFFAOYSA-N 0 0 276.248 2.627 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229490937 776828155 /nfs/dbraw/zinc/82/81/55/776828155.db2.gz OFABGKQQHDZSNW-GZMMTYOYSA-N 0 0 278.264 2.540 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229490938 776828474 /nfs/dbraw/zinc/82/84/74/776828474.db2.gz OFABGKQQHDZSNW-KCJUWKMLSA-N 0 0 278.264 2.540 20 5 CFBDRN CC(=O)n1cc(O[C@H](C[N+](=O)[O-])C2CC2)c2ccccc21 ZINC001229534731 776842449 /nfs/dbraw/zinc/84/24/49/776842449.db2.gz QYPMXLYDQLTTDT-CQSZACIVSA-N 0 0 288.303 2.736 20 5 CFBDRN CC[C@H](C)Oc1cc(Br)cnc1[N+](=O)[O-] ZINC001229563351 776848111 /nfs/dbraw/zinc/84/81/11/776848111.db2.gz OSUCMYCWKABDHZ-LURJTMIESA-N 0 0 275.102 2.930 20 5 CFBDRN Cc1nc(O[C@@H](C)c2ccccc2[N+](=O)[O-])c(C)c(=O)[nH]1 ZINC001229684788 776872442 /nfs/dbraw/zinc/87/24/42/776872442.db2.gz GPKKLMLFHWRIQV-VIFPVBQESA-N 0 0 289.291 2.847 20 5 CFBDRN Cc1cc(O)c(O[C@@H](C)c2ncccn2)cc1[N+](=O)[O-] ZINC001229749553 776886038 /nfs/dbraw/zinc/88/60/38/776886038.db2.gz DEQPPDKQDHHXPV-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN CCC[C@@H](Oc1cc([N+](=O)[O-])c(C)cc1O)C(=O)OCC ZINC001229750719 776887939 /nfs/dbraw/zinc/88/79/39/776887939.db2.gz QNCXLRNSSHEIPN-GFCCVEGCSA-N 0 0 297.307 2.719 20 5 CFBDRN COc1nc(C)cc(O[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001229822387 776897991 /nfs/dbraw/zinc/89/79/91/776897991.db2.gz BXXSPIXIFQCPHB-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN CCN1CC(Oc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)C1 ZINC001229834927 776899205 /nfs/dbraw/zinc/89/92/05/776899205.db2.gz ZKDHWTPPRGQGLM-UHFFFAOYSA-N 0 0 290.241 2.697 20 5 CFBDRN COc1c(C)cc([N+](=O)[O-])c(O[C@@H]2C=CCC2)c1[N+](=O)[O-] ZINC001229868643 776908631 /nfs/dbraw/zinc/90/86/31/776908631.db2.gz LKQIIFIQMPCHGV-SECBINFHSA-N 0 0 294.263 2.917 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@H]1COC[C@@H]1C ZINC001229883211 776909178 /nfs/dbraw/zinc/90/91/78/776909178.db2.gz UEBQMMVUGCAASH-SDBXPKJASA-N 0 0 251.282 2.625 20 5 CFBDRN CCOC(=O)C1CC(Oc2ccc([N+](=O)[O-])c(C)c2C)C1 ZINC001229882509 776909580 /nfs/dbraw/zinc/90/95/80/776909580.db2.gz OAZAJGSAYLQFAR-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(C)c1C ZINC001229880259 776909630 /nfs/dbraw/zinc/90/96/30/776909630.db2.gz AGDPRADQQMQQKQ-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OCC[C@H]2COC(C)(C)O2)cc1F ZINC001229895138 776912632 /nfs/dbraw/zinc/91/26/32/776912632.db2.gz PCHRNIZZWHPFQX-JTQLQIEISA-N 0 0 299.298 2.963 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCc3nccn3C2)cc1F ZINC001229892510 776912826 /nfs/dbraw/zinc/91/28/26/776912826.db2.gz BNAJRTTXEQSUTQ-SNVBAGLBSA-N 0 0 291.282 2.633 20 5 CFBDRN COC(=O)c1ccc(C)c([N+](=O)[O-])c1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001229900082 776914371 /nfs/dbraw/zinc/91/43/71/776914371.db2.gz QBKDNBCZAXACOT-JGPRNRPPSA-N 0 0 291.303 2.867 20 5 CFBDRN Cc1cc(=O)oc2c1ccc(OC(C)C)c2[N+](=O)[O-] ZINC001229945295 776921408 /nfs/dbraw/zinc/92/14/08/776921408.db2.gz WRACNWVJSUXFKM-UHFFFAOYSA-N 0 0 263.249 2.797 20 5 CFBDRN C[N@@H+]1CCC[C@@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229962126 776926274 /nfs/dbraw/zinc/92/62/74/776926274.db2.gz OTOGNMVKTNAPPL-SNVBAGLBSA-N 0 0 270.716 2.721 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001229962895 776926329 /nfs/dbraw/zinc/92/63/29/776926329.db2.gz DJDLGLFKKLWUQJ-SKDRFNHKSA-N 0 0 299.710 2.969 20 5 CFBDRN O=C(OCC1CC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000126261610 776943272 /nfs/dbraw/zinc/94/32/72/776943272.db2.gz GOIMCRMGZSSYLN-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000696234330 776947282 /nfs/dbraw/zinc/94/72/82/776947282.db2.gz HVFBMLLLPHXSRN-SECBINFHSA-N 0 0 268.288 2.900 20 5 CFBDRN C[C@H](Oc1ccc2c(c1)CNC2=O)c1ccccc1[N+](=O)[O-] ZINC001230044952 776949409 /nfs/dbraw/zinc/94/94/09/776949409.db2.gz UEGCYFVVOIINNY-JTQLQIEISA-N 0 0 298.298 2.978 20 5 CFBDRN Cc1ccc(O[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC001230146398 776955494 /nfs/dbraw/zinc/95/54/94/776955494.db2.gz OGGQVWPGUOGVCC-QWRGUYRKSA-N 0 0 251.282 2.850 20 5 CFBDRN O=[N+]([O-])c1c[nH]cc2nc(OC3CCC(F)(F)CC3)nc1-2 ZINC001230230122 776961349 /nfs/dbraw/zinc/96/13/49/776961349.db2.gz KXQPIBMALCAWNW-UHFFFAOYSA-N 0 0 298.249 2.823 20 5 CFBDRN O=[N+]([O-])c1c[nH]cc2nc(O[C@@H]3CCc4ccccc43)nc1-2 ZINC001230225027 776961719 /nfs/dbraw/zinc/96/17/19/776961719.db2.gz FTTRRBFENCKFRC-CYBMUJFWSA-N 0 0 296.286 2.932 20 5 CFBDRN C[C@H]1CC[C@H](Oc2nc3c[nH]cc([N+](=O)[O-])c-3n2)CC1 ZINC001230230404 776961817 /nfs/dbraw/zinc/96/18/17/776961817.db2.gz PVWIISCSZMNOOT-KYZUINATSA-N 0 0 276.296 2.824 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1OC1CCSCC1 ZINC001230247325 776965471 /nfs/dbraw/zinc/96/54/71/776965471.db2.gz KHJHYMXMDWRXFB-UHFFFAOYSA-N 0 0 296.348 2.828 20 5 CFBDRN COC1CCC(Oc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC001230313086 776977498 /nfs/dbraw/zinc/97/74/98/776977498.db2.gz URADGBUSILMXLI-UHFFFAOYSA-N 0 0 296.279 2.839 20 5 CFBDRN CCCOC[C@H](C)Oc1c(Cl)nccc1[N+](=O)[O-] ZINC001225431535 776986365 /nfs/dbraw/zinc/98/63/65/776986365.db2.gz ROUZEPWCIKJBAS-QMMMGPOBSA-N 0 0 274.704 2.837 20 5 CFBDRN Cc1cc(F)c(F)cc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001230447864 776993667 /nfs/dbraw/zinc/99/36/67/776993667.db2.gz YXWRXCPUWMAYBU-LBPRGKRZSA-N 0 0 257.236 2.707 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2cc(C)c(C)c([N+](=O)[O-])c2)C1 ZINC001230471886 776996126 /nfs/dbraw/zinc/99/61/26/776996126.db2.gz UMPCXSMMJALPCV-CYBMUJFWSA-N 0 0 292.335 2.601 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(C)c(C)c([N+](=O)[O-])c1 ZINC001230471954 776996300 /nfs/dbraw/zinc/99/63/00/776996300.db2.gz WHCPGXZYNZZOJZ-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cc(C)c(C)c([N+](=O)[O-])c2)C1 ZINC001230476533 776996340 /nfs/dbraw/zinc/99/63/40/776996340.db2.gz ABPCAASMUZRELF-BJHJDKERSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1ccc(O[C@H]2CCOC[C@H]2C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230491497 776999524 /nfs/dbraw/zinc/99/95/24/776999524.db2.gz UBMGPHVPOIIBOZ-ZJUUUORDSA-N 0 0 296.279 2.615 20 5 CFBDRN CCOC[C@H](C)Oc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492700 776999950 /nfs/dbraw/zinc/99/99/50/776999950.db2.gz SUUYQYYNCUSEIT-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cc(C)c([N+](=O)[O-])cc2C)C1 ZINC001230723841 777052248 /nfs/dbraw/zinc/05/22/48/777052248.db2.gz PCVPHHZKLBKQAU-TXEJJXNPSA-N 0 0 293.319 2.932 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1OC[C@H]1COCO1 ZINC001230767778 777059105 /nfs/dbraw/zinc/05/91/05/777059105.db2.gz CIFBAVGAFTXVOW-SSDOTTSWSA-N 0 0 294.090 2.653 20 5 CFBDRN CC[C@H](COc1ccc([N+](=O)[O-])c(CC(C)=O)c1F)OC ZINC001230795942 777066838 /nfs/dbraw/zinc/06/68/38/777066838.db2.gz DLJMSNXTHHTJJM-SNVBAGLBSA-N 0 0 299.298 2.669 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccc(Cl)nc1 ZINC001225596994 777067787 /nfs/dbraw/zinc/06/77/87/777067787.db2.gz FVVWQSLOVXYEBD-QMMMGPOBSA-N 0 0 296.714 2.616 20 5 CFBDRN CC(C)[N@@H+]1CC[C@H](Oc2ccc(Cl)nc2[N+](=O)[O-])C1 ZINC001230878610 777079546 /nfs/dbraw/zinc/07/95/46/777079546.db2.gz GQPBGNBFUOORMR-VIFPVBQESA-N 0 0 285.731 2.505 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1ccc(Cl)nc1[N+](=O)[O-] ZINC001230886197 777082296 /nfs/dbraw/zinc/08/22/96/777082296.db2.gz YHPBVFBKBJDBDW-QMMMGPOBSA-N 0 0 270.672 2.534 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H]1CCOC(C)(C)C1 ZINC001225614201 777089141 /nfs/dbraw/zinc/08/91/41/777089141.db2.gz ALXNPVSCRAJZOG-LLVKDONJSA-N 0 0 281.308 2.940 20 5 CFBDRN CCOC(=O)c1ccc(OC[C@@H](C)OCC)cc1[N+](=O)[O-] ZINC001230916985 777092936 /nfs/dbraw/zinc/09/29/36/777092936.db2.gz GNQGSMYVLCSNEM-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230919593 777094069 /nfs/dbraw/zinc/09/40/69/777094069.db2.gz XFTVDUUMEOHASY-SSDOTTSWSA-N 0 0 273.672 2.579 20 5 CFBDRN O=C1OCC[C@@H]1Oc1cccc(-c2cccc([N+](=O)[O-])c2)c1 ZINC001230937788 777098142 /nfs/dbraw/zinc/09/81/42/777098142.db2.gz BCJHBHTYPMJFTH-HNNXBMFYSA-N 0 0 299.282 2.956 20 5 CFBDRN C[C@H](COc1cccnc1[N+](=O)[O-])Oc1ccccc1 ZINC001225630523 777099665 /nfs/dbraw/zinc/09/96/65/777099665.db2.gz ZJBDBDUMKUWPKM-LLVKDONJSA-N 0 0 274.276 2.836 20 5 CFBDRN O=C1SCC[C@@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC001167946411 777126925 /nfs/dbraw/zinc/12/69/25/777126925.db2.gz RZTIREYLERATCI-NSHDSACASA-N 0 0 289.316 2.587 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2c(C)ccc(C)c2[N+](=O)[O-])C1 ZINC001231058362 777128433 /nfs/dbraw/zinc/12/84/33/777128433.db2.gz XDDVURZPAYOASE-CYBMUJFWSA-N 0 0 292.335 2.601 20 5 CFBDRN Cc1ccc(C)c([N+](=O)[O-])c1OC1CC2(COC2)C1 ZINC001231059059 777128865 /nfs/dbraw/zinc/12/88/65/777128865.db2.gz FXVXFBPJYGPWDP-UHFFFAOYSA-N 0 0 263.293 2.769 20 5 CFBDRN C[C@H]1C[C@H](Oc2[nH]ccc(=O)c2[N+](=O)[O-])C[C@@H](C)C1 ZINC001225666034 777155100 /nfs/dbraw/zinc/15/51/00/777155100.db2.gz FROJJBMAYMFZJG-MYJAWHEDSA-N 0 0 266.297 2.899 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc2c(F)cccc2c1)C1CC1 ZINC001231147215 777156287 /nfs/dbraw/zinc/15/62/87/777156287.db2.gz YCOUUVGHFIBUNN-CYBMUJFWSA-N 0 0 276.267 2.808 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2cc(F)cnc21)C1CC1 ZINC001231151023 777157889 /nfs/dbraw/zinc/15/78/89/777157889.db2.gz LRROIDWGCPGIOJ-CYBMUJFWSA-N 0 0 276.267 2.808 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc2c(ccc(F)c2F)c1)C1CC1 ZINC001231152130 777157946 /nfs/dbraw/zinc/15/79/46/777157946.db2.gz GIKRZOWWKCKHKZ-LBPRGKRZSA-N 0 0 294.257 2.947 20 5 CFBDRN CO[C@H](C)CCOc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231155902 777159509 /nfs/dbraw/zinc/15/95/09/777159509.db2.gz FQLXFBGGYKDURD-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(OC3CCOCC3)cnc21 ZINC001231157229 777159583 /nfs/dbraw/zinc/15/95/83/777159583.db2.gz BOKYOQGLOZLNNG-UHFFFAOYSA-N 0 0 274.276 2.701 20 5 CFBDRN COC[C@H](C)OC[C@H](C)Oc1cc(C)ccc1[N+](=O)[O-] ZINC001225682199 777178244 /nfs/dbraw/zinc/17/82/44/777178244.db2.gz ISBRIJQHOLZUCW-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225780285 777273707 /nfs/dbraw/zinc/27/37/07/777273707.db2.gz XLHAMFGZQCYDRJ-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccnc(Cl)c1)c1cccnc1 ZINC001225781712 777274635 /nfs/dbraw/zinc/27/46/35/777274635.db2.gz NRJKQBOKBLDECA-LLVKDONJSA-N 0 0 279.683 2.527 20 5 CFBDRN CC[C@@H](COC)Oc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC001225892453 777327893 /nfs/dbraw/zinc/32/78/93/777327893.db2.gz CADFMTHMXAXGDZ-QMMMGPOBSA-N 0 0 294.229 2.812 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1ccc([N+](=O)[O-])cc1F ZINC001225945679 777344909 /nfs/dbraw/zinc/34/49/09/777344909.db2.gz DPOOGGYWOVEGSZ-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN C/C(=C/C(=O)N(C)c1ccc([N+](=O)[O-])nc1)c1ccco1 ZINC001270303342 777352084 /nfs/dbraw/zinc/35/20/84/777352084.db2.gz NJKAGYNKNJSQCO-NTMALXAHSA-N 0 0 287.275 2.649 20 5 CFBDRN CC[C@H](C)Nc1cc([N+](=O)[O-])ccc1C(=O)OC ZINC001167999147 777548141 /nfs/dbraw/zinc/54/81/41/777548141.db2.gz HNAXGQUCUNQXPC-QMMMGPOBSA-N 0 0 252.270 2.592 20 5 CFBDRN C[C@H]1C[C@@H](Nc2cc(Cl)ncc2[N+](=O)[O-])CCO1 ZINC001161690891 777571851 /nfs/dbraw/zinc/57/18/51/777571851.db2.gz KMXAQMVEEAYXQW-YUMQZZPRSA-N 0 0 271.704 2.623 20 5 CFBDRN Cc1c(C)c(N[C@@H]2CCO[C@H](C)C2)ncc1[N+](=O)[O-] ZINC001161692164 777579174 /nfs/dbraw/zinc/57/91/74/777579174.db2.gz IZSDNSHDJPFUMX-LDYMZIIASA-N 0 0 265.313 2.586 20 5 CFBDRN COc1cc(O[C@@H]2CC[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC001226582655 777579905 /nfs/dbraw/zinc/57/99/05/777579905.db2.gz YPNJYCWLPRTLPL-RKDXNWHRSA-N 0 0 252.270 2.566 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cn1)c1ccc(F)c(F)c1 ZINC001226733323 777628715 /nfs/dbraw/zinc/62/87/15/777628715.db2.gz MKLZOOFCXPSHSX-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)c2ccccc21 ZINC000126938395 777647286 /nfs/dbraw/zinc/64/72/86/777647286.db2.gz KMMMDNNKEJAVOR-SNVBAGLBSA-N 0 0 297.314 2.941 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc(F)c1Cl)C1CC1 ZINC001226829200 777660715 /nfs/dbraw/zinc/66/07/15/777660715.db2.gz IANLWSVQSSXEDG-JTQLQIEISA-N 0 0 259.664 2.913 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H](C)c1ncccn1 ZINC001227184086 777785361 /nfs/dbraw/zinc/78/53/61/777785361.db2.gz CUSYZBZIROOYGR-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CC[C@H](C)[C@@H](C)Oc1ncc([N+](=O)[O-])c2nc[nH]c21 ZINC001227691884 777865003 /nfs/dbraw/zinc/86/50/03/777865003.db2.gz RPRVUYHPMFUKNU-JGVFFNPUSA-N 0 0 264.285 2.680 20 5 CFBDRN C/C(=C/C(=O)OCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000127166606 777899258 /nfs/dbraw/zinc/89/92/58/777899258.db2.gz NSJROWVBMUBWTE-NTMALXAHSA-N 0 0 261.277 2.994 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccccc1OCC1CC1)C1CC1 ZINC001233509450 777973850 /nfs/dbraw/zinc/97/38/50/777973850.db2.gz YMZUZZOERFPCBG-OAHLLOKOSA-N 0 0 277.320 2.910 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H]1CCOC(C)(C)C1 ZINC001233555633 777989329 /nfs/dbraw/zinc/98/93/29/777989329.db2.gz IMQYXQSDWSLPNN-SNVBAGLBSA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H]1COCc2ccccc21 ZINC001233557881 777990968 /nfs/dbraw/zinc/99/09/68/777990968.db2.gz WUQGANKIJYPEGQ-CYBMUJFWSA-N 0 0 286.287 2.949 20 5 CFBDRN COC1=CC[C@H](Oc2cccc([N+](=O)[O-])c2[N+](=O)[O-])CC1 ZINC001233577482 777996867 /nfs/dbraw/zinc/99/68/67/777996867.db2.gz IEUIEHJFNMZTLK-JTQLQIEISA-N 0 0 294.263 2.965 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1O[C@@H]1CCn2ccnc21 ZINC001233697101 778045049 /nfs/dbraw/zinc/04/50/49/778045049.db2.gz XDQMUWNONOWAQV-SNVBAGLBSA-N 0 0 281.218 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC001233702298 778048431 /nfs/dbraw/zinc/04/84/31/778048431.db2.gz NLJINMGNBALOTI-MRVPVSSYSA-N 0 0 250.210 2.600 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233749409 778062721 /nfs/dbraw/zinc/06/27/21/778062721.db2.gz GHNYZHZWUYRTFN-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN CC[C@H](COc1cc(C(F)(F)F)ncc1[N+](=O)[O-])OC ZINC001233745347 778062979 /nfs/dbraw/zinc/06/29/79/778062979.db2.gz LZVJRWDKLQLGKY-SSDOTTSWSA-N 0 0 294.229 2.812 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1O[C@H]1CCCOC1 ZINC001233746221 778063463 /nfs/dbraw/zinc/06/34/63/778063463.db2.gz VIYTYCYMUURQLX-ZETCQYMHSA-N 0 0 292.213 2.566 20 5 CFBDRN C[C@H]1C[C@H](Oc2cc(F)c([N+](=O)[O-])c(F)c2)CCO1 ZINC001233753871 778063821 /nfs/dbraw/zinc/06/38/21/778063821.db2.gz POQMCGIAFZHNKK-JGVFFNPUSA-N 0 0 273.235 2.819 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233770045 778070930 /nfs/dbraw/zinc/07/09/30/778070930.db2.gz KUSDVIFNQLTWRJ-APPZFPTMSA-N 0 0 273.235 2.677 20 5 CFBDRN CCOc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)cc1F ZINC001228357687 778079683 /nfs/dbraw/zinc/07/96/83/778079683.db2.gz RBCNHCISVMQDJQ-ZDUSSCGKSA-N 0 0 269.272 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cc(O)cc(F)c1)C2 ZINC001233820516 778087093 /nfs/dbraw/zinc/08/70/93/778087093.db2.gz QAHZBNSMXSRZSK-CQSZACIVSA-N 0 0 289.262 2.986 20 5 CFBDRN CC(C)C[C@@H](Oc1ccnc([N+](=O)[O-])c1)C(=O)OC(C)C ZINC001233858385 778097191 /nfs/dbraw/zinc/09/71/91/778097191.db2.gz WBGBHYCKYGTLAA-GFCCVEGCSA-N 0 0 296.323 2.735 20 5 CFBDRN COC(=O)c1cnc(O[C@H]2CC[C@@H](C)CC2)c([N+](=O)[O-])c1 ZINC001233861799 778098613 /nfs/dbraw/zinc/09/86/13/778098613.db2.gz KZSHRVKSEPCCIB-JGZJWPJOSA-N 0 0 294.307 2.734 20 5 CFBDRN CCCNc1ccc([N+](=O)[O-])c(C(=O)OCC)c1 ZINC001168138042 778137609 /nfs/dbraw/zinc/13/76/09/778137609.db2.gz XRZBSCCTHLQOAA-UHFFFAOYSA-N 0 0 252.270 2.593 20 5 CFBDRN Cc1cc2c[nH]nc2cc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001234033057 778147234 /nfs/dbraw/zinc/14/72/34/778147234.db2.gz KZVVBWVNAHGTGG-OAHLLOKOSA-N 0 0 298.302 2.663 20 5 CFBDRN Cc1cc(F)c(O[C@@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC001234060327 778154851 /nfs/dbraw/zinc/15/48/51/778154851.db2.gz OUQRACXAPMTAPW-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1c(F)cnc(NC2(c3ccccn3)CC2)c1[N+](=O)[O-] ZINC001168146462 778159972 /nfs/dbraw/zinc/15/99/72/778159972.db2.gz KYTADNLAQIAYBS-UHFFFAOYSA-N 0 0 288.282 2.934 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC1(c2ccccn2)CC1 ZINC001168151525 778165912 /nfs/dbraw/zinc/16/59/12/778165912.db2.gz PPXZWDFQJVDGSX-UHFFFAOYSA-N 0 0 290.710 2.561 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H](O)C2CC2)c2ccccc21 ZINC000897384800 778171522 /nfs/dbraw/zinc/17/15/22/778171522.db2.gz OAESEPDQMGHPHW-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cccc(N2CC[C@@H](C(C)(C)O)C2)c1[N+](=O)[O-] ZINC000721848907 778191453 /nfs/dbraw/zinc/19/14/53/778191453.db2.gz VAAABGLMKUODND-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN COC(=O)c1sc(C)c(C)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001228878001 778204111 /nfs/dbraw/zinc/20/41/11/778204111.db2.gz KYGRJCKZZSBCRW-JTQLQIEISA-N 0 0 299.348 2.586 20 5 CFBDRN COC(=O)c1sc(C)c(C)c1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001228878004 778204171 /nfs/dbraw/zinc/20/41/71/778204171.db2.gz KYGRJCKZZSBCRW-SNVBAGLBSA-N 0 0 299.348 2.586 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc2ccsc2c1)C1CC1 ZINC001228901080 778206765 /nfs/dbraw/zinc/20/67/65/778206765.db2.gz HIBMHAZMNHNNDN-LLVKDONJSA-N 0 0 264.306 2.730 20 5 CFBDRN CC(C)[C@H](C)Oc1cc(N2CCOCC2)ccc1[N+](=O)[O-] ZINC001234359559 778214290 /nfs/dbraw/zinc/21/42/90/778214290.db2.gz HKYCOZPDFWHNTG-LBPRGKRZSA-N 0 0 294.351 2.855 20 5 CFBDRN CC(=O)[C@H](C)Oc1cc(N2CCCCC2)ccc1[N+](=O)[O-] ZINC001234369100 778215590 /nfs/dbraw/zinc/21/55/90/778215590.db2.gz QBDMQNIGTZIACQ-LBPRGKRZSA-N 0 0 292.335 2.941 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1cccc([N+](=O)[O-])c1Cl ZINC000697429667 778216467 /nfs/dbraw/zinc/21/64/67/778216467.db2.gz DQQZLRCAJKPPKZ-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1C=CCCC1 ZINC001229056563 778240920 /nfs/dbraw/zinc/24/09/20/778240920.db2.gz PXZLXIAGOPQYHV-NSHDSACASA-N 0 0 277.276 2.869 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1 ZINC001229468051 778306849 /nfs/dbraw/zinc/30/68/49/778306849.db2.gz BIJBBPWQVOMPOH-LLVKDONJSA-N 0 0 281.308 2.932 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cc(C)c([N+](=O)[O-])c(C)c1 ZINC001229470657 778308003 /nfs/dbraw/zinc/30/80/03/778308003.db2.gz SEZSHISEXDQXHB-LBPRGKRZSA-N 0 0 281.308 2.932 20 5 CFBDRN COC[C@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1C ZINC001234872636 778318292 /nfs/dbraw/zinc/31/82/92/778318292.db2.gz ZFRFTWQDUROWDN-VIFPVBQESA-N 0 0 253.298 2.934 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)C2CCC2)n1 ZINC001234878600 778319819 /nfs/dbraw/zinc/31/98/19/778319819.db2.gz ICPXBJWEORJYFE-MRVPVSSYSA-N 0 0 252.270 2.566 20 5 CFBDRN CC[C@H](C)[C@@H](C)Oc1nc(OC)ccc1[N+](=O)[O-] ZINC001234880316 778319917 /nfs/dbraw/zinc/31/99/17/778319917.db2.gz BBCMFTDRKYWNOE-DTWKUNHWSA-N 0 0 254.286 2.812 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)CC(C)(C)OC)n1 ZINC001234880618 778320253 /nfs/dbraw/zinc/32/02/53/778320253.db2.gz FCUROOUIBKZMJY-VIFPVBQESA-N 0 0 284.312 2.581 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1OC[C@@H]1CCC(C)(C)O1 ZINC001234903605 778325102 /nfs/dbraw/zinc/32/51/02/778325102.db2.gz OOKZANOANQGMDD-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H]1Cc2ccncc2[C@H]1C ZINC001234906227 778326750 /nfs/dbraw/zinc/32/67/50/778326750.db2.gz YBZVAFBJFPHDON-OTYXRUKQSA-N 0 0 285.303 2.800 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H]1CCCC[C@@H]1F ZINC001234905009 778326816 /nfs/dbraw/zinc/32/68/16/778326816.db2.gz KTGGTPGFJVKIPP-ONGXEEELSA-N 0 0 254.261 2.958 20 5 CFBDRN CCC1(Nc2cc3cccnc3c([N+](=O)[O-])c2)COC1 ZINC001168322290 778430980 /nfs/dbraw/zinc/43/09/80/778430980.db2.gz XADMWDOUUZSQLQ-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN C[C@H](OC[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC001224478883 778532895 /nfs/dbraw/zinc/53/28/95/778532895.db2.gz DWKICWOUDXCXLY-QWRGUYRKSA-N 0 0 251.282 2.709 20 5 CFBDRN Cc1nccn1Cc1ccc(Br)c([N+](=O)[O-])c1 ZINC000159435758 778566870 /nfs/dbraw/zinc/56/68/70/778566870.db2.gz LTECWNGZWBVRDI-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN CC(C)CN(C(=O)[C@@H]1CC1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC001127126380 778782719 /nfs/dbraw/zinc/78/27/19/778782719.db2.gz JXJJIFMLPUNJTA-CHWSQXEVSA-N 0 0 296.754 2.994 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])cc(C)n1 ZINC001127156376 778835356 /nfs/dbraw/zinc/83/53/56/778835356.db2.gz PNKDLIVHMIOQCY-CMPLNLGQSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(OCCCF)CC1 ZINC001225157622 778853443 /nfs/dbraw/zinc/85/34/43/778853443.db2.gz OAUJYBUIPSGDLZ-UHFFFAOYSA-N 0 0 282.315 2.940 20 5 CFBDRN CC[C@@H](F)C(=O)N[C@H](CC)c1cccc([N+](=O)[O-])c1 ZINC001127197821 778918386 /nfs/dbraw/zinc/91/83/86/778918386.db2.gz NPUDJIRXRHVBKK-VXGBXAGGSA-N 0 0 268.288 2.910 20 5 CFBDRN CCOC[C@H](C)Oc1cc(F)c([N+](=O)[O-])cc1F ZINC001233597933 779058005 /nfs/dbraw/zinc/05/80/05/779058005.db2.gz QWOAVIZBGQSGAI-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)OCCC(C)C ZINC001205086329 779112575 /nfs/dbraw/zinc/11/25/75/779112575.db2.gz YXVMZERWWNCKFM-SNVBAGLBSA-N 0 0 255.318 2.551 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2CCCCC2=O)cc1[N+](=O)[O-] ZINC001234060852 779129483 /nfs/dbraw/zinc/12/94/83/779129483.db2.gz RAODNFCHVBUIHX-GFCCVEGCSA-N 0 0 267.256 2.933 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)c1ccccc1C(F)F ZINC001272512868 779159155 /nfs/dbraw/zinc/15/91/55/779159155.db2.gz GTNNXGQXZZPTIQ-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN CC(=O)Nc1ccc(NC[C@@H]2CC(C)(C)O2)cc1[N+](=O)[O-] ZINC001168455082 779181944 /nfs/dbraw/zinc/18/19/44/779181944.db2.gz DQOMHQFVXVCWCY-NSHDSACASA-N 0 0 293.323 2.533 20 5 CFBDRN C/C(=C\C(=O)Oc1cc([N+](=O)[O-])ccc1C)c1cnccn1 ZINC001125082390 779763014 /nfs/dbraw/zinc/76/30/14/779763014.db2.gz KOAULIILSBISAY-YRNVUSSQSA-N 0 0 299.286 2.702 20 5 CFBDRN Cc1c(F)cccc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC001125249064 779783108 /nfs/dbraw/zinc/78/31/08/779783108.db2.gz CJVXUWMAYJSTEC-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CC[C@H](F)C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC001127864027 779907344 /nfs/dbraw/zinc/90/73/44/779907344.db2.gz GYZROZQYLFRATO-VIFPVBQESA-N 0 0 277.255 2.830 20 5 CFBDRN CCCc1ccc(CNc2cnc([N+](=O)[O-])cn2)cc1 ZINC001168635938 780148089 /nfs/dbraw/zinc/14/80/89/780148089.db2.gz RINCRBJKUVXAIF-UHFFFAOYSA-N 0 0 272.308 2.949 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000131747548 780258191 /nfs/dbraw/zinc/25/81/91/780258191.db2.gz VICIBZQDKSDKBF-JGVFFNPUSA-N 0 0 268.700 2.634 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000131747972 780258379 /nfs/dbraw/zinc/25/83/79/780258379.db2.gz VICIBZQDKSDKBF-YUMQZZPRSA-N 0 0 268.700 2.634 20 5 CFBDRN Cc1c(F)cnc(NCCCOC(C)C)c1[N+](=O)[O-] ZINC001168676494 780272478 /nfs/dbraw/zinc/27/24/78/780272478.db2.gz BIKYDQCXFSKDQM-UHFFFAOYSA-N 0 0 271.292 2.664 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1nc(OC)ncc1[N+](=O)[O-] ZINC001168690857 780340619 /nfs/dbraw/zinc/34/06/19/780340619.db2.gz AIUWFLYWCUXLJD-BDAKNGLRSA-N 0 0 268.317 2.630 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1c(F)c([N+](=O)[O-])cc[n+]1[O-] ZINC001168691746 780345170 /nfs/dbraw/zinc/34/51/70/780345170.db2.gz CYPZUECXSLDPFW-BDAKNGLRSA-N 0 0 271.292 2.604 20 5 CFBDRN COc1cnc(N[C@@H](C)CCCC(C)(C)O)cc1[N+](=O)[O-] ZINC001168697631 780360588 /nfs/dbraw/zinc/36/05/88/780360588.db2.gz DYHVCZLDMZSGDY-JTQLQIEISA-N 0 0 297.355 2.740 20 5 CFBDRN COc1ccc(N[C@H](C)CCCC(C)(C)O)nc1[N+](=O)[O-] ZINC001168697868 780364823 /nfs/dbraw/zinc/36/48/23/780364823.db2.gz SDKBUEXILHHIGG-SNVBAGLBSA-N 0 0 297.355 2.740 20 5 CFBDRN CC[C@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC001128279614 780378597 /nfs/dbraw/zinc/37/85/97/780378597.db2.gz FFZVGAYHKPIVNC-YPMHNXCESA-N 0 0 276.336 2.784 20 5 CFBDRN CN(C(=O)c1cccc2[nH]ccc21)c1ccc([N+](=O)[O-])nc1 ZINC001128454529 780450445 /nfs/dbraw/zinc/45/04/45/780450445.db2.gz PWSLYGHNRRDTIQ-UHFFFAOYSA-N 0 0 296.286 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ncc(NCC(F)F)cn2)cc1 ZINC001161813752 780544492 /nfs/dbraw/zinc/54/44/92/780544492.db2.gz YHUNYIGDQYDFFY-UHFFFAOYSA-N 0 0 296.233 2.854 20 5 CFBDRN O=[N+]([O-])c1cc(NCC2COC2)cc(C(F)(F)F)c1 ZINC001161949464 780567257 /nfs/dbraw/zinc/56/72/57/780567257.db2.gz REJAAWPRGNGTSK-UHFFFAOYSA-N 0 0 276.214 2.672 20 5 CFBDRN C[C@H]1CCCC[C@@H]1CNc1c([N+](=O)[O-])cnn1C ZINC001162345018 780789045 /nfs/dbraw/zinc/78/90/45/780789045.db2.gz DIEXXNYPTQDTCB-VHSXEESVSA-N 0 0 252.318 2.567 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@H](C)O2)n1 ZINC000701513523 780810691 /nfs/dbraw/zinc/81/06/91/780810691.db2.gz YRXHRVFHZRCZNC-QWRGUYRKSA-N 0 0 265.313 2.668 20 5 CFBDRN CCO[C@H]1CCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000701698492 780826731 /nfs/dbraw/zinc/82/67/31/780826731.db2.gz FNQGGTAYUHPBJU-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN O=C(NCC[C@H]1CC1(F)F)c1cc([N+](=O)[O-])ccc1F ZINC000701992872 780861254 /nfs/dbraw/zinc/86/12/54/780861254.db2.gz HKCOHIDDPSEAHH-ZETCQYMHSA-N 0 0 288.225 2.509 20 5 CFBDRN Cc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)nn1 ZINC001128847757 780900935 /nfs/dbraw/zinc/90/09/35/780900935.db2.gz TXETYNWFVWCNPI-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC1(C)C[C@@]1(C)CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000702367646 780918643 /nfs/dbraw/zinc/91/86/43/780918643.db2.gz PREKXGJJUQFNIC-AWEZNQCLSA-N 0 0 280.299 2.900 20 5 CFBDRN COc1ncc([N+](=O)[O-])cc1N[C@@H]1CCCC[C@H]1F ZINC001162508711 781023369 /nfs/dbraw/zinc/02/33/69/781023369.db2.gz OWCSWWCEGSXHGS-NXEZZACHSA-N 0 0 269.276 2.691 20 5 CFBDRN CSc1ccccc1OC(=O)c1ccc([N+](=O)[O-])cn1 ZINC001129022605 781087159 /nfs/dbraw/zinc/08/71/59/781087159.db2.gz GMPDBGXESZXEDN-UHFFFAOYSA-N 0 0 290.300 2.931 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H]2CCSC2)n1 ZINC000704838999 781118477 /nfs/dbraw/zinc/11/84/77/781118477.db2.gz VBKYHUSXBFBGNJ-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN C/C=C/C=C\C(=O)N1CCc2c1ccc([N+](=O)[O-])c2F ZINC001129107608 781126981 /nfs/dbraw/zinc/12/69/81/781126981.db2.gz IYFOBXONNGQANP-IAROGAJJSA-N 0 0 276.267 2.755 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC[C@@H](C(F)F)C1 ZINC000707147593 781231164 /nfs/dbraw/zinc/23/11/64/781231164.db2.gz OFXITVFQXMDBEQ-SECBINFHSA-N 0 0 284.262 2.889 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cn1)[C@@]12C[C@@H]1CCCC2 ZINC000708866526 781282765 /nfs/dbraw/zinc/28/27/65/781282765.db2.gz IWPZQQLQAFLFBC-OUJBWJOFSA-N 0 0 262.313 2.766 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cn1)[C@]12C[C@H]1CCCC2 ZINC000708866519 781282877 /nfs/dbraw/zinc/28/28/77/781282877.db2.gz IWPZQQLQAFLFBC-CWSCBRNRSA-N 0 0 262.313 2.766 20 5 CFBDRN Cc1ccc(NCCOC2CCC2)c([N+](=O)[O-])c1 ZINC000709149373 781289927 /nfs/dbraw/zinc/28/99/27/781289927.db2.gz YIEIYAZWKMZDPM-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1cn(C)cn1 ZINC000710153481 781329354 /nfs/dbraw/zinc/32/93/54/781329354.db2.gz KKPGUAHCEMWCCD-WDEREUQCSA-N 0 0 274.324 2.740 20 5 CFBDRN CC[C@@]1(O)CCCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000710667415 781346305 /nfs/dbraw/zinc/34/63/05/781346305.db2.gz LASYIHPTAZJHEZ-CYBMUJFWSA-N 0 0 286.278 2.614 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@H](CF)C2)c([N+](=O)[O-])c1 ZINC000711409297 781429883 /nfs/dbraw/zinc/42/98/83/781429883.db2.gz KKQBYSPGRKFADH-SNVBAGLBSA-N 0 0 266.272 2.593 20 5 CFBDRN Cc1ccncc1-c1noc(-c2ccc([N+](=O)[O-])o2)n1 ZINC001212570121 781435236 /nfs/dbraw/zinc/43/52/36/781435236.db2.gz OMKYYVKCUWSHGP-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H](CF)C2)c(F)c1 ZINC000711467832 781436797 /nfs/dbraw/zinc/43/67/97/781436797.db2.gz BMWUZMZHFPICAH-SNVBAGLBSA-N 0 0 270.279 2.915 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OCCOC2CC2)cc1F ZINC000711686006 781464438 /nfs/dbraw/zinc/46/44/38/781464438.db2.gz CMAYEXQDQOCQGM-UHFFFAOYSA-N 0 0 275.663 2.945 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000711784392 781472366 /nfs/dbraw/zinc/47/23/66/781472366.db2.gz GZPSKLHNUAGZHX-VIFPVBQESA-N 0 0 280.711 2.944 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nnc([C@@H]2CCO[C@H]2C)o1 ZINC001118192922 781472407 /nfs/dbraw/zinc/47/24/07/781472407.db2.gz INCUPACWJANGQN-JOYOIKCWSA-N 0 0 289.291 2.846 20 5 CFBDRN CC(=O)N[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC001063343361 781515687 /nfs/dbraw/zinc/51/56/87/781515687.db2.gz WZHBBCJAHQEMJZ-XYPYZODXSA-N 0 0 295.314 2.593 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(Cl)nc1N1CC=CC1 ZINC001163916950 781605527 /nfs/dbraw/zinc/60/55/27/781605527.db2.gz GEJBUVQUSHYFDM-UHFFFAOYSA-N 0 0 260.080 2.673 20 5 CFBDRN CC1CC(N(C)c2ncnc3cc([N+](=O)[O-])ccc32)C1 ZINC001164195105 781686070 /nfs/dbraw/zinc/68/60/70/781686070.db2.gz ICDRDFLJWCEVBK-UHFFFAOYSA-N 0 0 272.308 2.773 20 5 CFBDRN Cc1cnc(N2CC[C@@](C)(F)[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC001164327410 781706024 /nfs/dbraw/zinc/70/60/24/781706024.db2.gz OZYQDQOSIKGXRP-CMPLNLGQSA-N 0 0 271.267 2.575 20 5 CFBDRN COc1cc(C)nc(N2CCC[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC001166608891 781766031 /nfs/dbraw/zinc/76/60/31/781766031.db2.gz CDZQALQICRBJIN-KOLCDFICSA-N 0 0 279.340 2.932 20 5 CFBDRN CC(=CC(=O)OC(C)C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC001166748470 781801853 /nfs/dbraw/zinc/80/18/53/781801853.db2.gz YADKRSYMFDQTDS-POHAHGRESA-N 0 0 279.296 2.566 20 5 CFBDRN Cn1cc(CSc2nc(C(C)(C)C)co2)c([N+](=O)[O-])n1 ZINC001166963162 781825262 /nfs/dbraw/zinc/82/52/62/781825262.db2.gz CEIDROQHLWYNSX-UHFFFAOYSA-N 0 0 296.352 2.906 20 5 CFBDRN O=C(NCCCC(F)F)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC001119826550 781865907 /nfs/dbraw/zinc/86/59/07/781865907.db2.gz OMCZYBCNZYEISS-UHFFFAOYSA-N 0 0 291.229 2.901 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000822369418 781899084 /nfs/dbraw/zinc/89/90/84/781899084.db2.gz CFNOKHVAGNCLST-HTRCEHHLSA-N 0 0 286.690 2.773 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CC[C@H](OC)C1 ZINC000594572208 349450021 /nfs/dbraw/zinc/45/00/21/349450021.db2.gz RUDDNTPQBKBIOF-UWVGGRQHSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@@](C)(F)C1 ZINC000594581206 349451520 /nfs/dbraw/zinc/45/15/20/349451520.db2.gz UXTSOIZPBUFELP-CYBMUJFWSA-N 0 0 268.288 2.932 20 5 CFBDRN CCO[C@@H]1CCN(c2c(OC)cccc2[N+](=O)[O-])C[C@H]1C ZINC000594583548 349451595 /nfs/dbraw/zinc/45/15/95/349451595.db2.gz VIKGGKCKMPHLHH-DGCLKSJQSA-N 0 0 294.351 2.855 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@](C)(F)C1 ZINC000594581207 349451881 /nfs/dbraw/zinc/45/18/81/349451881.db2.gz UXTSOIZPBUFELP-ZDUSSCGKSA-N 0 0 268.288 2.932 20 5 CFBDRN CC(C)Oc1nc(N[C@@H]2CCS[C@@H]2C)ccc1[N+](=O)[O-] ZINC000594585492 349452307 /nfs/dbraw/zinc/45/23/07/349452307.db2.gz BYKRYQDIYMDZSW-NXEZZACHSA-N 0 0 297.380 2.505 20 5 CFBDRN Cc1cc(N2CC3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000594588631 349452990 /nfs/dbraw/zinc/45/29/90/349452990.db2.gz HNLFMGCNHYDZMG-UHFFFAOYSA-N 0 0 254.236 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CC2)[C@@H]2CCCCO2)nc1 ZINC000594590918 349454024 /nfs/dbraw/zinc/45/40/24/349454024.db2.gz OICINRQDTHXRBI-JSGCOSHPSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](OC3CCC3)C2)c(F)c1 ZINC000594594248 349454639 /nfs/dbraw/zinc/45/46/39/349454639.db2.gz MPTZNZXMKQZZFV-LBPRGKRZSA-N 0 0 295.314 2.667 20 5 CFBDRN COC[C@H](CC(C)(C)C)Nc1ccc([N+](=O)[O-])cn1 ZINC000594598273 349456341 /nfs/dbraw/zinc/45/63/41/349456341.db2.gz HDYJLQBRLSTLLY-JTQLQIEISA-N 0 0 267.329 2.853 20 5 CFBDRN C[C@H](CNc1ccccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000594597191 349456358 /nfs/dbraw/zinc/45/63/58/349456358.db2.gz ZDFSQDRUIVGWTM-SNVBAGLBSA-N 0 0 280.324 2.985 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cn2)CC2(CCCCC2)O1 ZINC000594601789 349458391 /nfs/dbraw/zinc/45/83/91/349458391.db2.gz USSDWBIKYUQRQI-LBPRGKRZSA-N 0 0 291.351 2.918 20 5 CFBDRN O=C(NCCC1CCCC1)NCc1cccc([N+](=O)[O-])c1 ZINC000067536306 346808873 /nfs/dbraw/zinc/80/88/73/346808873.db2.gz MXIWDVNGDWCOLU-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN CC(C)/C=C/c1cn(Cc2ccc([N+](=O)[O-])cc2)nn1 ZINC000594650231 349468483 /nfs/dbraw/zinc/46/84/83/349468483.db2.gz GPRPVENRCYRRDU-ZZXKWVIFSA-N 0 0 272.308 2.904 20 5 CFBDRN CCc1ccc(OC[C@@H](OC)[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000594887413 349493996 /nfs/dbraw/zinc/49/39/96/349493996.db2.gz LHAJAIPOOHTIBM-IUODEOHRSA-N 0 0 295.335 2.588 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ncn1C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000594880959 349492383 /nfs/dbraw/zinc/49/23/83/349492383.db2.gz IDHFFDBWACWVMD-GRYCIOLGSA-N 0 0 299.330 2.741 20 5 CFBDRN Cc1ccc(OC[C@]2(OC(C)C)CCOC2)c([N+](=O)[O-])c1 ZINC000594881357 349492446 /nfs/dbraw/zinc/49/24/46/349492446.db2.gz WOAORGBYLLUMOS-HNNXBMFYSA-N 0 0 295.335 2.866 20 5 CFBDRN O=[N+]([O-])c1ncn(C[C@@H]2CC[C@@H](c3ccccc3)C2)n1 ZINC000594886029 349493749 /nfs/dbraw/zinc/49/37/49/349493749.db2.gz LMSBLYQOYNAPHM-DGCLKSJQSA-N 0 0 272.308 2.770 20 5 CFBDRN CC[C@@H](C)[N@H+](CC)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000594734066 349483976 /nfs/dbraw/zinc/48/39/76/349483976.db2.gz NNGBHHBKVFXMTB-SNVBAGLBSA-N 0 0 280.324 2.913 20 5 CFBDRN CC1(C)C[C@@H](Sc2ncccc2[N+](=O)[O-])CO1 ZINC000594885908 349493823 /nfs/dbraw/zinc/49/38/23/349493823.db2.gz JIQAQHCOGGPCBE-MRVPVSSYSA-N 0 0 254.311 2.649 20 5 CFBDRN COc1cccc(SCCn2c(C)ncc2[N+](=O)[O-])c1 ZINC000594887854 349494337 /nfs/dbraw/zinc/49/43/37/349494337.db2.gz RKYLEBJDKVIATN-UHFFFAOYSA-N 0 0 293.348 2.901 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CCCC1CCC1 ZINC000594892740 349495930 /nfs/dbraw/zinc/49/59/30/349495930.db2.gz GCQGGKJKSXJIFD-UHFFFAOYSA-N 0 0 270.716 2.990 20 5 CFBDRN CO[C@H](COc1ccc(C(C)=O)cc1[N+](=O)[O-])C1CCC1 ZINC000594893322 349495971 /nfs/dbraw/zinc/49/59/71/349495971.db2.gz XKQHOMNFQFDUCX-OAHLLOKOSA-N 0 0 293.319 2.991 20 5 CFBDRN CO[C@H](COc1cc(F)cc([N+](=O)[O-])c1)C1CCC1 ZINC000594892845 349496170 /nfs/dbraw/zinc/49/61/70/349496170.db2.gz JVHVUEYZSINMNR-CYBMUJFWSA-N 0 0 269.272 2.928 20 5 CFBDRN COc1ccc([C@@H](C)Cn2cc([N+](=O)[O-])cn2)cc1 ZINC000594892092 349496348 /nfs/dbraw/zinc/49/63/48/349496348.db2.gz XBENJVWVMQHJJE-JTQLQIEISA-N 0 0 261.281 2.604 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CCCC[C@H]1C ZINC000594897309 349498200 /nfs/dbraw/zinc/49/82/00/349498200.db2.gz JZRCZARRGKYWAQ-ZYHUDNBSSA-N 0 0 264.325 2.891 20 5 CFBDRN CC(C)=CCCn1c(=O)onc1-c1cccc([N+](=O)[O-])c1 ZINC000594899102 349498887 /nfs/dbraw/zinc/49/88/87/349498887.db2.gz NEXNEJYDPAAEHO-UHFFFAOYSA-N 0 0 289.291 2.768 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000067710896 346816124 /nfs/dbraw/zinc/81/61/24/346816124.db2.gz WFTDZSXFXKPANK-NSHDSACASA-N 0 0 295.295 2.508 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1CCC1CCC1)CCCC2 ZINC000594914481 349504046 /nfs/dbraw/zinc/50/40/46/349504046.db2.gz UCMQQCXYNFZICY-UHFFFAOYSA-N 0 0 276.336 2.826 20 5 CFBDRN O=[N+]([O-])c1cn(CCCCCF)nc1-c1ccccn1 ZINC000594916148 349504823 /nfs/dbraw/zinc/50/48/23/349504823.db2.gz WRYSODWIRJZXCD-UHFFFAOYSA-N 0 0 278.287 2.993 20 5 CFBDRN CC(C)(C)OCCn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000594915588 349505084 /nfs/dbraw/zinc/50/50/84/349505084.db2.gz UOWJBWFMDTUIRB-UHFFFAOYSA-N 0 0 290.323 2.668 20 5 CFBDRN C[C@H]1CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000067722648 346817194 /nfs/dbraw/zinc/81/71/94/346817194.db2.gz IOGNVNNTCGMVJE-NSHDSACASA-N 0 0 277.324 2.536 20 5 CFBDRN CC(C)n1ccnc1CNCc1ccc([N+](=O)[O-])cc1 ZINC000594926689 349512202 /nfs/dbraw/zinc/51/22/02/349512202.db2.gz VIGUYRRXFUNJDJ-UHFFFAOYSA-N 0 0 274.324 2.662 20 5 CFBDRN COc1ccc(CC(=O)NC2CCCCC2)cc1[N+](=O)[O-] ZINC000067785743 346818542 /nfs/dbraw/zinc/81/85/42/346818542.db2.gz AUUUNJFNJRJOQI-UHFFFAOYSA-N 0 0 292.335 2.595 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000127699730 187327673 /nfs/dbraw/zinc/32/76/73/187327673.db2.gz KHNCSZHTAOMKDH-MRVPVSSYSA-N 0 0 252.245 2.719 20 5 CFBDRN Cc1c[nH]c(CNC(C)(C)c2ccccc2[N+](=O)[O-])n1 ZINC000594945739 349523607 /nfs/dbraw/zinc/52/36/07/349523607.db2.gz WOXINXDMILWITM-UHFFFAOYSA-N 0 0 274.324 2.651 20 5 CFBDRN C[C@@H](CCCO)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124799962 187154560 /nfs/dbraw/zinc/15/45/60/187154560.db2.gz TWDVZFJMHWGLOP-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN O=C(N[C@@H]1CCCc2occc21)c1ccccc1[N+](=O)[O-] ZINC000125019382 187166312 /nfs/dbraw/zinc/16/63/12/187166312.db2.gz LIFDTAACFOZYPJ-GFCCVEGCSA-N 0 0 286.287 2.995 20 5 CFBDRN CCOCCc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273868386 192340470 /nfs/dbraw/zinc/34/04/70/192340470.db2.gz SONYAHZFYHBVCP-UHFFFAOYSA-N 0 0 277.280 2.532 20 5 CFBDRN C[C@H](N[C@@H]1C[C@H](C)n2ncnc21)c1cccc([N+](=O)[O-])c1 ZINC000595064130 349572322 /nfs/dbraw/zinc/57/23/22/349572322.db2.gz CXKSTVRIZZFGQS-OUJBWJOFSA-N 0 0 287.323 2.543 20 5 CFBDRN Cc1noc(CN2CCCc3ccccc3C2)c1[N+](=O)[O-] ZINC000595315067 349600128 /nfs/dbraw/zinc/60/01/28/349600128.db2.gz XABQFFRHQBHQAN-UHFFFAOYSA-N 0 0 287.319 2.840 20 5 CFBDRN C[C@@H](CCO)Nc1ccc(Br)cc1[N+](=O)[O-] ZINC000096810478 185114540 /nfs/dbraw/zinc/11/45/40/185114540.db2.gz LSKQXXQFEIIXPJ-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN CC(C)C[C@H]1COCCN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595323732 349602449 /nfs/dbraw/zinc/60/24/49/349602449.db2.gz OAYXIMZVRXMROG-AWEZNQCLSA-N 0 0 296.342 2.981 20 5 CFBDRN Cc1noc(CN2CCC(C(C)C)CC2)c1[N+](=O)[O-] ZINC000595328555 349602481 /nfs/dbraw/zinc/60/24/81/349602481.db2.gz WNOVWHBSDWUPHG-UHFFFAOYSA-N 0 0 267.329 2.759 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)c1cccnc1 ZINC000068304325 346846420 /nfs/dbraw/zinc/84/64/20/346846420.db2.gz GMLNSSBCIGXICA-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CN(Cc1ccc(F)cc1[N+](=O)[O-])C[C@H]1CCCCO1 ZINC000595296031 349595175 /nfs/dbraw/zinc/59/51/75/349595175.db2.gz VYRZJRYXRZXIDB-CYBMUJFWSA-N 0 0 282.315 2.735 20 5 CFBDRN CC(C)CCc1nc(Cn2cnc([N+](=O)[O-])c2)cs1 ZINC000068396945 346851481 /nfs/dbraw/zinc/85/14/81/346851481.db2.gz WSSVATBDVXGLDQ-UHFFFAOYSA-N 0 0 280.353 2.885 20 5 CFBDRN Cc1cc([C@H]2CCCN2Cc2onc(C)c2[N+](=O)[O-])on1 ZINC000595299322 349595902 /nfs/dbraw/zinc/59/59/02/349595902.db2.gz XNHCGKOWGSYEKD-SNVBAGLBSA-N 0 0 292.295 2.525 20 5 CFBDRN O=C1CC[C@@H](COc2ccc([N+](=O)[O-])cc2Cl)CCN1 ZINC000294970168 199275491 /nfs/dbraw/zinc/27/54/91/199275491.db2.gz NZMKCVPAYHFDBK-SECBINFHSA-N 0 0 298.726 2.543 20 5 CFBDRN Cc1noc(CN2CCc3cc(F)c(F)cc32)c1[N+](=O)[O-] ZINC000595356419 349609713 /nfs/dbraw/zinc/60/97/13/349609713.db2.gz MJNDDOYFKMXNQR-UHFFFAOYSA-N 0 0 295.245 2.732 20 5 CFBDRN CCSCC[C@H](C)N(C)Cc1onc(C)c1[N+](=O)[O-] ZINC000595375689 349612393 /nfs/dbraw/zinc/61/23/93/349612393.db2.gz CCXVMGDXNABEKV-VIFPVBQESA-N 0 0 287.385 2.855 20 5 CFBDRN CC[C@@](C)(NCc1onc(C)c1[N+](=O)[O-])C(F)(F)F ZINC000595385737 349613069 /nfs/dbraw/zinc/61/30/69/349613069.db2.gz KJWRQVQLSSVYTG-SECBINFHSA-N 0 0 281.234 2.712 20 5 CFBDRN Cc1noc(CN2CCC[C@H]2c2ccncc2)c1[N+](=O)[O-] ZINC000595396700 349616177 /nfs/dbraw/zinc/61/61/77/349616177.db2.gz DWAIUVYEXWXRRA-LBPRGKRZSA-N 0 0 288.307 2.623 20 5 CFBDRN Cc1cnc(C(C)(C)NCc2onc(C)c2[N+](=O)[O-])s1 ZINC000595428246 349623585 /nfs/dbraw/zinc/62/35/85/349623585.db2.gz GTNCJFAUIBZHEH-UHFFFAOYSA-N 0 0 296.352 2.681 20 5 CFBDRN Cc1csc([C@@H](C)NCc2onc(C)c2[N+](=O)[O-])n1 ZINC000595430937 349624023 /nfs/dbraw/zinc/62/40/23/349624023.db2.gz XZCWSLDGZKJPLQ-MRVPVSSYSA-N 0 0 282.325 2.507 20 5 CFBDRN CCN(CCC1CC1)Cc1onc(C)c1[N+](=O)[O-] ZINC000595437412 349625948 /nfs/dbraw/zinc/62/59/48/349625948.db2.gz HHUPFXAOCGQBKO-UHFFFAOYSA-N 0 0 253.302 2.513 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@H]2CCO[C@H](C)C2)n1 ZINC000273108477 192045902 /nfs/dbraw/zinc/04/59/02/192045902.db2.gz FZNUVIWXHKNQKV-NEPJUHHUSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1ncc([N+](=O)[O-])cc1F)OC ZINC000413321051 529398085 /nfs/dbraw/zinc/39/80/85/529398085.db2.gz FUADZBDAHDTTBT-LDYMZIIASA-N 0 0 271.292 2.602 20 5 CFBDRN CC(C)n1cnnc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269654762 190529393 /nfs/dbraw/zinc/52/93/93/190529393.db2.gz RIEFMGFJLGRUEO-UHFFFAOYSA-N 0 0 297.265 2.658 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000595445591 349628990 /nfs/dbraw/zinc/62/89/90/349628990.db2.gz FCGHYSGIAAVFSB-GFCCVEGCSA-N 0 0 291.351 2.782 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334168813 290639634 /nfs/dbraw/zinc/63/96/34/290639634.db2.gz AUZFCXDHKGWUBW-WCBMZHEXSA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1ccnc(N(C)C[C@@H](C)c2nccs2)c1[N+](=O)[O-] ZINC000273122264 192049715 /nfs/dbraw/zinc/04/97/15/192049715.db2.gz HPNBDYPNGSALPK-SNVBAGLBSA-N 0 0 292.364 2.995 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@@H]1C(F)(F)F ZINC000595493232 349641336 /nfs/dbraw/zinc/64/13/36/349641336.db2.gz DXXCZEGATFMTHL-SNVBAGLBSA-N 0 0 278.205 2.871 20 5 CFBDRN C[C@@]1(Nc2ccc([N+](=O)[O-])cc2Cl)CCOC1 ZINC000125586417 187192441 /nfs/dbraw/zinc/19/24/41/187192441.db2.gz RVSBDYMLMRPDKB-LLVKDONJSA-N 0 0 256.689 2.839 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595470027 349636804 /nfs/dbraw/zinc/63/68/04/349636804.db2.gz ZHUUKKUJYNFEQO-FZMZJTMJSA-N 0 0 296.342 2.859 20 5 CFBDRN CC[C@H](C)CCNC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000595469514 349637025 /nfs/dbraw/zinc/63/70/25/349637025.db2.gz PKRNHOHXUAKCIJ-LBPRGKRZSA-N 0 0 293.367 2.873 20 5 CFBDRN CCCC[C@H](C)N(C)Cc1onc(C)c1[N+](=O)[O-] ZINC000595492913 349641582 /nfs/dbraw/zinc/64/15/82/349641582.db2.gz ZIUGDTJRWVSBEH-VIFPVBQESA-N 0 0 255.318 2.902 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCC[C@H]1c1cc[nH]n1 ZINC000595480541 349639078 /nfs/dbraw/zinc/63/90/78/349639078.db2.gz LYSSNFDNVZABMF-ZDUSSCGKSA-N 0 0 290.298 2.794 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1C[C@H]1c1cccnc1 ZINC000068936616 346877246 /nfs/dbraw/zinc/87/72/46/346877246.db2.gz DNOOSHOSCXXWJE-KBPBESRZSA-N 0 0 283.287 2.732 20 5 CFBDRN O=[N+]([O-])c1cccnc1Nc1cnn(-c2ccccc2)c1 ZINC000069000363 346879774 /nfs/dbraw/zinc/87/97/74/346879774.db2.gz SPVXJEOPGDFKDY-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN C[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000069099070 346882397 /nfs/dbraw/zinc/88/23/97/346882397.db2.gz JIUFFQYFUYXAQE-QMMMGPOBSA-N 0 0 254.311 2.671 20 5 CFBDRN O=C(N[C@H]1CCc2ccccc2C1)c1ccc([N+](=O)[O-])cc1 ZINC000069321124 346888541 /nfs/dbraw/zinc/88/85/41/346888541.db2.gz BBVFJKWLIUMXSG-HNNXBMFYSA-N 0 0 296.326 2.882 20 5 CFBDRN Cc1c(CNC(=O)NCC2=CCCC2)cccc1[N+](=O)[O-] ZINC000595588709 349667053 /nfs/dbraw/zinc/66/70/53/349667053.db2.gz SWIQQEYBMDLNGZ-UHFFFAOYSA-N 0 0 289.335 2.813 20 5 CFBDRN O=[N+]([O-])c1cc(CN2c3ccccc3C[C@@H]2CO)cs1 ZINC000595658820 349691984 /nfs/dbraw/zinc/69/19/84/349691984.db2.gz WLFPLVGZJYPMIA-GFCCVEGCSA-N 0 0 290.344 2.580 20 5 CFBDRN COc1cc(CN[C@@H](C)c2cscn2)ccc1[N+](=O)[O-] ZINC000595666796 349693323 /nfs/dbraw/zinc/69/33/23/349693323.db2.gz CRIOEGABQZRYHT-VIFPVBQESA-N 0 0 293.348 2.911 20 5 CFBDRN C[C@@H](NCCOc1ccccc1[N+](=O)[O-])c1cscn1 ZINC000595666572 349693773 /nfs/dbraw/zinc/69/37/73/349693773.db2.gz BPYJJDRCKCVSGH-SNVBAGLBSA-N 0 0 293.348 2.781 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000413374206 529521258 /nfs/dbraw/zinc/52/12/58/529521258.db2.gz IOQKMHYPFXZVOM-PWSUYJOCSA-N 0 0 250.302 2.621 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000177205701 529535230 /nfs/dbraw/zinc/53/52/30/529535230.db2.gz CUERAIDYRIQBTG-OCCSQVGLSA-N 0 0 262.309 2.647 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)CC(C)C ZINC000070747556 346912577 /nfs/dbraw/zinc/91/25/77/346912577.db2.gz MSEMDAJPEPMKOV-UHFFFAOYSA-N 0 0 253.254 2.555 20 5 CFBDRN C[C@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@H](C)C1O ZINC000595750096 349722543 /nfs/dbraw/zinc/72/25/43/349722543.db2.gz NUMMURJPIAUARO-UWVGGRQHSA-N 0 0 298.770 2.697 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1F)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000335120501 303966502 /nfs/dbraw/zinc/96/65/02/303966502.db2.gz GZRKYPQSDFYATN-ZJUUUORDSA-N 0 0 291.282 2.697 20 5 CFBDRN Cc1c(CN2C[C@@H](C)C(=O)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000595716107 349714998 /nfs/dbraw/zinc/71/49/98/349714998.db2.gz VJYZOXCNIJHQDK-GHMZBOCLSA-N 0 0 276.336 2.560 20 5 CFBDRN COc1cc(CN2CC[C@H]3C[C@H]3C2)c([N+](=O)[O-])cc1F ZINC000595811245 349737652 /nfs/dbraw/zinc/73/76/52/349737652.db2.gz UWGATURSXHTWJW-UWVGGRQHSA-N 0 0 280.299 2.584 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000428098077 529607951 /nfs/dbraw/zinc/60/79/51/529607951.db2.gz UBXCRWSEYHZXME-DABQJJPHSA-N 0 0 274.320 2.686 20 5 CFBDRN COC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000126150851 187221320 /nfs/dbraw/zinc/22/13/20/187221320.db2.gz QBORCHYULWNHHL-LLVKDONJSA-N 0 0 254.261 2.571 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCCc2n[nH]cc2C1 ZINC000596002907 349775633 /nfs/dbraw/zinc/77/56/33/349775633.db2.gz LYOWQZGECJKMRT-UHFFFAOYSA-N 0 0 292.726 2.924 20 5 CFBDRN Cc1noc(CSc2ncccc2C)c1[N+](=O)[O-] ZINC000596019845 349776239 /nfs/dbraw/zinc/77/62/39/349776239.db2.gz ICCPBBARXBGLSS-UHFFFAOYSA-N 0 0 265.294 2.887 20 5 CFBDRN CC(C)c1cccc(Cn2cccc([N+](=O)[O-])c2=O)c1 ZINC000596022982 349777176 /nfs/dbraw/zinc/77/71/76/349777176.db2.gz RBHYYEFUSSGQIV-UHFFFAOYSA-N 0 0 272.304 2.928 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@H]3C[C@H]3C2)cc1CO ZINC000596024455 349777937 /nfs/dbraw/zinc/77/79/37/349777937.db2.gz SAXMSPIJKYISSG-JGPRNRPPSA-N 0 0 263.293 2.512 20 5 CFBDRN Cc1noc(CNc2ccccc2OCCF)c1[N+](=O)[O-] ZINC000596037444 349779469 /nfs/dbraw/zinc/77/94/69/349779469.db2.gz JZDBJDHJSHWROB-UHFFFAOYSA-N 0 0 295.270 2.852 20 5 CFBDRN COC(=O)CSc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596049245 349781041 /nfs/dbraw/zinc/78/10/41/349781041.db2.gz HRJWFKBONZABNO-UHFFFAOYSA-N 0 0 291.712 2.522 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596054890 349782734 /nfs/dbraw/zinc/78/27/34/349782734.db2.gz JEEHAXGVEBXDEB-BDAKNGLRSA-N 0 0 251.286 2.686 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596054893 349782858 /nfs/dbraw/zinc/78/28/58/349782858.db2.gz JEEHAXGVEBXDEB-RKDXNWHRSA-N 0 0 251.286 2.686 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000070951294 346918407 /nfs/dbraw/zinc/91/84/07/346918407.db2.gz BQESNWWBXKSBMW-ZCFIWIBFSA-N 0 0 295.320 2.752 20 5 CFBDRN COc1cc(N2CCSCC2)c(Cl)cc1[N+](=O)[O-] ZINC000596079976 349789124 /nfs/dbraw/zinc/78/91/24/349789124.db2.gz CEGKZXCOUTWKCV-UHFFFAOYSA-N 0 0 288.756 2.810 20 5 CFBDRN CC(C)c1cc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)on1 ZINC000269987853 190580864 /nfs/dbraw/zinc/58/08/64/190580864.db2.gz ICVYNFNFKWBPLR-UHFFFAOYSA-N 0 0 289.291 2.983 20 5 CFBDRN Cc1cc(NCc2ccnc(C)n2)c([N+](=O)[O-])s1 ZINC000596082844 349789938 /nfs/dbraw/zinc/78/99/38/349789938.db2.gz NYNFADBJDAYVST-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCOCCNc1c(Br)cccc1[N+](=O)[O-] ZINC000596081922 349789970 /nfs/dbraw/zinc/78/99/70/349789970.db2.gz CLBUIVBFWLHVOD-UHFFFAOYSA-N 0 0 289.129 2.806 20 5 CFBDRN COCCn1cc(Nc2cc(C)sc2[N+](=O)[O-])cn1 ZINC000596086593 349790501 /nfs/dbraw/zinc/79/05/01/349790501.db2.gz SRAZWHDIVMVWPH-UHFFFAOYSA-N 0 0 282.325 2.551 20 5 CFBDRN CC[C@H](SC)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000126217608 187224700 /nfs/dbraw/zinc/22/47/00/187224700.db2.gz HYOWUEMAPSVNJW-LBPRGKRZSA-N 0 0 280.349 2.626 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596086379 349790606 /nfs/dbraw/zinc/79/06/06/349790606.db2.gz YGPKEWAVMCMXSC-GHMZBOCLSA-N 0 0 291.351 2.932 20 5 CFBDRN Cc1cc(CNc2cc(C)sc2[N+](=O)[O-])on1 ZINC000596089295 349792034 /nfs/dbraw/zinc/79/20/34/349792034.db2.gz FPOVLUBJECALMS-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1C2CCC1CC2 ZINC000596069971 349786335 /nfs/dbraw/zinc/78/63/35/349786335.db2.gz LNPAVGMIKCFMFL-UHFFFAOYSA-N 0 0 253.689 2.774 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(C(N)=O)c1)C1CCCCCC1 ZINC000596073359 349787054 /nfs/dbraw/zinc/78/70/54/349787054.db2.gz MRSYZMREULKVLI-UHFFFAOYSA-N 0 0 291.351 2.853 20 5 CFBDRN CC(C)(O)CNc1ccc([N+](=O)[O-])cc1Br ZINC000083466539 178489775 /nfs/dbraw/zinc/48/97/75/178489775.db2.gz HVSFQCNIEIWGBX-UHFFFAOYSA-N 0 0 289.129 2.540 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCO2)c([N+](=O)[O-])s1 ZINC000596103944 349794492 /nfs/dbraw/zinc/79/44/92/349794492.db2.gz IGSJAUCZZAIUIB-VIFPVBQESA-N 0 0 256.327 2.580 20 5 CFBDRN Cc1ccc(CN(C)c2cc[nH]c(=O)c2[N+](=O)[O-])s1 ZINC000596109540 349796286 /nfs/dbraw/zinc/79/62/86/349796286.db2.gz CVASGYTZAGRYPP-UHFFFAOYSA-N 0 0 279.321 2.702 20 5 CFBDRN C[C@@H](CN(C)c1ccc([N+](=O)[O-])cn1)c1nccs1 ZINC000273155885 192060323 /nfs/dbraw/zinc/06/03/23/192060323.db2.gz MTBGZVYIWJHLGJ-VIFPVBQESA-N 0 0 278.337 2.686 20 5 CFBDRN CC(C)(O)CNc1c(Br)cccc1[N+](=O)[O-] ZINC000596111021 349797448 /nfs/dbraw/zinc/79/74/48/349797448.db2.gz USCWOPPNKZSYMG-UHFFFAOYSA-N 0 0 289.129 2.540 20 5 CFBDRN Cc1cc(NCC(=O)NC2CCCCC2)c([N+](=O)[O-])s1 ZINC000596115785 349797804 /nfs/dbraw/zinc/79/78/04/349797804.db2.gz FMJICGCANBQSFL-UHFFFAOYSA-N 0 0 297.380 2.826 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)Cc1cnn(C)c1 ZINC000072557346 346928628 /nfs/dbraw/zinc/92/86/28/346928628.db2.gz LEANGNPJLOYFTJ-NSHDSACASA-N 0 0 274.324 2.521 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCCOC(C)C ZINC000126626084 187252619 /nfs/dbraw/zinc/25/26/19/187252619.db2.gz PXVUOYGJUJGCAQ-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN CC[C@@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596133582 349803133 /nfs/dbraw/zinc/80/31/33/349803133.db2.gz XJABSKDFIGUUME-SNVBAGLBSA-N 0 0 265.313 2.712 20 5 CFBDRN Cc1cc(NC[C@H]2CCC[C@H](O)C2)c([N+](=O)[O-])s1 ZINC000596137346 349805125 /nfs/dbraw/zinc/80/51/25/349805125.db2.gz SBGPSUUEFUSXNT-UWVGGRQHSA-N 0 0 270.354 2.928 20 5 CFBDRN CC(C)Cn1cc(Nc2c(Cl)cncc2[N+](=O)[O-])cn1 ZINC000596141204 349806302 /nfs/dbraw/zinc/80/63/02/349806302.db2.gz JBJVUWKJJFUMFL-UHFFFAOYSA-N 0 0 295.730 2.661 20 5 CFBDRN CC[C@@]1(C)CCCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596139444 349805377 /nfs/dbraw/zinc/80/53/77/349805377.db2.gz AMEPCNZDYGERAG-ZDUSSCGKSA-N 0 0 264.329 2.589 20 5 CFBDRN CCC(CC)[C@H](CCNc1ccncc1[N+](=O)[O-])OC ZINC000361044342 282266703 /nfs/dbraw/zinc/26/67/03/282266703.db2.gz SVTVSXWMSJVFRD-AWEZNQCLSA-N 0 0 281.356 2.665 20 5 CFBDRN CC1(C)CN(c2ccc(N)cc2[N+](=O)[O-])CCS1 ZINC000287971566 136396885 /nfs/dbraw/zinc/39/68/85/136396885.db2.gz UFRPNQWNMDIZCI-UHFFFAOYSA-N 0 0 267.354 2.509 20 5 CFBDRN COc1cc(N[C@@H](C)CCCO)c(Cl)cc1[N+](=O)[O-] ZINC000596156468 349811760 /nfs/dbraw/zinc/81/17/60/349811760.db2.gz HUTOSKINWNLMOQ-QMMMGPOBSA-N 0 0 288.731 2.830 20 5 CFBDRN C[C@@H]1CCc2ccccc2N1Cc1c([N+](=O)[O-])ncn1C ZINC000332003722 136383532 /nfs/dbraw/zinc/38/35/32/136383532.db2.gz DWASPWFVUICMOC-LLVKDONJSA-N 0 0 286.335 2.670 20 5 CFBDRN C[C@@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@@H]1n1ccnc1 ZINC000134046636 136391001 /nfs/dbraw/zinc/39/10/01/136391001.db2.gz BIBIAUQFFCGOSD-DOMZBBRYSA-N 0 0 286.335 2.879 20 5 CFBDRN CS[C@@H]1CCN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000295000760 199294380 /nfs/dbraw/zinc/29/43/80/199294380.db2.gz OFIGXNRYRKIGQH-MRVPVSSYSA-N 0 0 274.292 2.815 20 5 CFBDRN Cc1cc(NCCOc2ncccc2F)c([N+](=O)[O-])s1 ZINC000596170896 349816339 /nfs/dbraw/zinc/81/63/39/349816339.db2.gz YXAQBOXKJOMUJZ-UHFFFAOYSA-N 0 0 297.311 2.990 20 5 CFBDRN CCc1nc(CNC(C)(C)c2ccccc2[N+](=O)[O-])no1 ZINC000429260006 529740050 /nfs/dbraw/zinc/74/00/50/529740050.db2.gz VGSSYUGIKQJCIA-UHFFFAOYSA-N 0 0 290.323 2.565 20 5 CFBDRN C[C@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C[C@H](C)C1 ZINC000596172079 349817082 /nfs/dbraw/zinc/81/70/82/349817082.db2.gz JAPPFOROBVRUSG-VHSXEESVSA-N 0 0 265.313 2.568 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)COc1ccc([N+](=O)[O-])cc1 ZINC000295028421 199307729 /nfs/dbraw/zinc/30/77/29/199307729.db2.gz VHRQPZBTLBQKTD-AWEZNQCLSA-N 0 0 293.319 2.892 20 5 CFBDRN O=c1[nH]ccc(NCC2(C3CC3)CCC2)c1[N+](=O)[O-] ZINC000596175320 349817539 /nfs/dbraw/zinc/81/75/39/349817539.db2.gz RJTPQAHRKVPGEA-UHFFFAOYSA-N 0 0 263.297 2.688 20 5 CFBDRN CC[C@H](SC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000126824764 187264202 /nfs/dbraw/zinc/26/42/02/187264202.db2.gz SSSFHSNQHWHDOG-SKDRFNHKSA-N 0 0 282.365 2.914 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCCC[C@@H]2O)c([N+](=O)[O-])s1 ZINC000596187145 349820699 /nfs/dbraw/zinc/82/06/99/349820699.db2.gz OFLSQPKKBNAHSG-JQWIXIFHSA-N 0 0 284.381 2.952 20 5 CFBDRN CC(C)(C)[C@H]1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596193278 349822950 /nfs/dbraw/zinc/82/29/50/349822950.db2.gz MIYYHSQKHCGJSQ-VIFPVBQESA-N 0 0 265.313 2.568 20 5 CFBDRN C[C@@H]1C[C@H](CCNc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596193260 349823218 /nfs/dbraw/zinc/82/32/18/349823218.db2.gz MAWUFUHAHDFKIO-NXEZZACHSA-N 0 0 299.758 2.682 20 5 CFBDRN Cc1cc(N2CCC(C)(CO)CC2)c([N+](=O)[O-])s1 ZINC000596196005 349823975 /nfs/dbraw/zinc/82/39/75/349823975.db2.gz HLOPPCRHHAOWES-UHFFFAOYSA-N 0 0 270.354 2.564 20 5 CFBDRN CC[C@H](CC(F)(F)F)Nc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596195110 349823987 /nfs/dbraw/zinc/82/39/87/349823987.db2.gz GSXWLIGQOMLOJK-ZCFIWIBFSA-N 0 0 279.218 2.838 20 5 CFBDRN CCOC(=O)C1(CNc2cc(C)sc2[N+](=O)[O-])CC1 ZINC000596194125 349824058 /nfs/dbraw/zinc/82/40/58/349824058.db2.gz JCMYIAJWKBBBQP-UHFFFAOYSA-N 0 0 284.337 2.720 20 5 CFBDRN COC[C@H]1CCCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596194493 349824172 /nfs/dbraw/zinc/82/41/72/349824172.db2.gz MIDVBNKHGUDQQD-JTQLQIEISA-N 0 0 299.758 2.896 20 5 CFBDRN CO[C@H]1CN(c2cc(C)sc2[N+](=O)[O-])CC[C@@H]1C ZINC000596203470 349826426 /nfs/dbraw/zinc/82/64/26/349826426.db2.gz NSIRUOTZDPKLFH-KWQFWETISA-N 0 0 270.354 2.826 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](c3nccs3)C2)nc1 ZINC000301120057 136423619 /nfs/dbraw/zinc/42/36/19/136423619.db2.gz LZEYWPYWHNDKLH-JTQLQIEISA-N 0 0 290.348 2.830 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@@H](O)C2)c([N+](=O)[O-])s1 ZINC000596207575 349827117 /nfs/dbraw/zinc/82/71/17/349827117.db2.gz SPNNABIJLYIFSQ-DTWKUNHWSA-N 0 0 256.327 2.538 20 5 CFBDRN NC(=O)c1cc(N[C@@H]2CC23CCCCC3)ccc1[N+](=O)[O-] ZINC000596181534 349819418 /nfs/dbraw/zinc/81/94/18/349819418.db2.gz GPXBEKATTVTEJV-CYBMUJFWSA-N 0 0 289.335 2.828 20 5 CFBDRN CC(=O)N1CCC[C@@H](CNc2cc(C)sc2[N+](=O)[O-])C1 ZINC000596226833 349833952 /nfs/dbraw/zinc/83/39/52/349833952.db2.gz YSQLCTMEOAEDKM-NSHDSACASA-N 0 0 297.380 2.635 20 5 CFBDRN Cc1cc(C)cc(CN(C)c2cc[nH]c(=O)c2[N+](=O)[O-])c1 ZINC000596210445 349827848 /nfs/dbraw/zinc/82/78/48/349827848.db2.gz JSSSBKVKGSBVEV-UHFFFAOYSA-N 0 0 287.319 2.949 20 5 CFBDRN Cc1cc(N2CC[C@@H](O)C(C)(C)C2)c([N+](=O)[O-])s1 ZINC000596220504 349831190 /nfs/dbraw/zinc/83/11/90/349831190.db2.gz CQKQJNWFJBJQRP-SNVBAGLBSA-N 0 0 270.354 2.562 20 5 CFBDRN CC(C)(C)[C@H]1CCCN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596219846 349831258 /nfs/dbraw/zinc/83/12/58/349831258.db2.gz XKXPWUURFKVPDH-JTQLQIEISA-N 0 0 279.340 2.958 20 5 CFBDRN Cc1cc(N2CC[N@@H+](C3CC3)C[C@@H](C)C2)c([N+](=O)[O-])s1 ZINC000596255732 349842779 /nfs/dbraw/zinc/84/27/79/349842779.db2.gz RVVAWZUOTHZUQR-SNVBAGLBSA-N 0 0 295.408 2.885 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC[C@@H]1C(F)F)CCCC2 ZINC000596255500 349842831 /nfs/dbraw/zinc/84/28/31/349842831.db2.gz PRDVYJULTOUBCP-SNVBAGLBSA-N 0 0 283.278 2.712 20 5 CFBDRN COc1cc(NCCc2cn[nH]c2)c(Cl)cc1[N+](=O)[O-] ZINC000596237630 349836136 /nfs/dbraw/zinc/83/61/36/349836136.db2.gz VXTIURIGMWDPHT-UHFFFAOYSA-N 0 0 296.714 2.635 20 5 CFBDRN CCOc1cc(N2CC[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000596245999 349838766 /nfs/dbraw/zinc/83/87/66/349838766.db2.gz CTZNHYYRWMNJFM-JTQLQIEISA-N 0 0 272.251 2.837 20 5 CFBDRN CCOc1cc(N2CC[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000596246001 349838930 /nfs/dbraw/zinc/83/89/30/349838930.db2.gz CTZNHYYRWMNJFM-SNVBAGLBSA-N 0 0 272.251 2.837 20 5 CFBDRN CC1(C)CCC[C@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596246037 349838933 /nfs/dbraw/zinc/83/89/33/349838933.db2.gz GDQJLFWCCOEWSI-VIFPVBQESA-N 0 0 265.313 2.934 20 5 CFBDRN Cc1cc(NCc2nnc(C(C)C)[nH]2)c([N+](=O)[O-])s1 ZINC000596250362 349840225 /nfs/dbraw/zinc/84/02/25/349840225.db2.gz ODXLWGWFHNDKEU-UHFFFAOYSA-N 0 0 281.341 2.818 20 5 CFBDRN C[C@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])[C@H]1C ZINC000596251582 349841137 /nfs/dbraw/zinc/84/11/37/349841137.db2.gz YEKRHACYJHMZDT-UWVGGRQHSA-N 0 0 257.293 2.988 20 5 CFBDRN CO[C@@H]1CCN(c2cc(C)sc2[N+](=O)[O-])C[C@H]1C ZINC000596255006 349842045 /nfs/dbraw/zinc/84/20/45/349842045.db2.gz OJJUFCYTAGYZDL-LDYMZIIASA-N 0 0 270.354 2.826 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596272257 349849307 /nfs/dbraw/zinc/84/93/07/349849307.db2.gz VSZKMCVRHYSVLZ-GXTWGEPZSA-N 0 0 277.324 2.540 20 5 CFBDRN O=c1[nH]ccc(NC[C@@H]2CC23CCSCC3)c1[N+](=O)[O-] ZINC000596278061 349850873 /nfs/dbraw/zinc/85/08/73/349850873.db2.gz JLQGUQBHXKJYAI-VIFPVBQESA-N 0 0 295.364 2.641 20 5 CFBDRN CC[C@H](CO)N(C)c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596260132 349844908 /nfs/dbraw/zinc/84/49/08/349844908.db2.gz XYFBFZXNNHETQG-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN Cc1cc(N2CCC[C@]3(CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596260452 349845646 /nfs/dbraw/zinc/84/56/46/349845646.db2.gz IETAHQPYRFEXGW-ZDUSSCGKSA-N 0 0 282.365 2.972 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1ccccc1[N+](=O)[O-] ZINC000596260707 349845723 /nfs/dbraw/zinc/84/57/23/349845723.db2.gz KQQYEEFJRIPRSP-UHFFFAOYSA-N 0 0 260.297 2.589 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1ncc2c(n1)CCC2 ZINC000596265849 349846902 /nfs/dbraw/zinc/84/69/02/349846902.db2.gz VKNSWUHKIOUVSP-UHFFFAOYSA-N 0 0 288.282 2.625 20 5 CFBDRN Cc1cc(N2CC[C@H](CCCO)C2)c([N+](=O)[O-])s1 ZINC000596265243 349847025 /nfs/dbraw/zinc/84/70/25/349847025.db2.gz IDEDCIVJUAXRIB-JTQLQIEISA-N 0 0 270.354 2.564 20 5 CFBDRN Cc1ccc(OCCO[C@@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000596264671 349847207 /nfs/dbraw/zinc/84/72/07/349847207.db2.gz LFNXPJUUZWPLBC-LLVKDONJSA-N 0 0 273.235 2.706 20 5 CFBDRN Cc1noc(COc2ccc(F)c(C)c2)c1[N+](=O)[O-] ZINC000596263681 349847376 /nfs/dbraw/zinc/84/73/76/349847376.db2.gz IMPNEEGXHRLPMM-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN COC1CC(CCNc2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000596308766 349858848 /nfs/dbraw/zinc/85/88/48/349858848.db2.gz NNDJRKJSBGXGAP-UHFFFAOYSA-N 0 0 285.731 2.870 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000073325483 346969721 /nfs/dbraw/zinc/96/97/21/346969721.db2.gz BTEMNTCYDBCJAD-DGCLKSJQSA-N 0 0 276.336 2.784 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC(CC(F)F)C1 ZINC000596281243 349852365 /nfs/dbraw/zinc/85/23/65/349852365.db2.gz KAPGZUKEVSQEGD-UHFFFAOYSA-N 0 0 277.658 2.735 20 5 CFBDRN CN(CCC(C)(C)C)c1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596286536 349852823 /nfs/dbraw/zinc/85/28/23/349852823.db2.gz MKEXMUSQNDFYJJ-UHFFFAOYSA-N 0 0 279.340 2.566 20 5 CFBDRN CCc1noc(C)c1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000073303962 346968201 /nfs/dbraw/zinc/96/82/01/346968201.db2.gz LKDAXTLZQNDWHN-UHFFFAOYSA-N 0 0 289.291 2.730 20 5 CFBDRN C[C@@H](CNc1c(Cl)cncc1[N+](=O)[O-])CC(F)F ZINC000596285474 349852888 /nfs/dbraw/zinc/85/28/88/349852888.db2.gz CICARKVGXJZVPE-ZCFIWIBFSA-N 0 0 279.674 2.768 20 5 CFBDRN Cc1ncsc1CCNc1ccc([N+](=O)[O-])c(N)c1F ZINC000295080102 199334040 /nfs/dbraw/zinc/33/40/40/199334040.db2.gz XRLSUIIWHBPGDB-UHFFFAOYSA-N 0 0 296.327 2.736 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596291899 349854859 /nfs/dbraw/zinc/85/48/59/349854859.db2.gz LYQQBTJWPODUDB-YUMQZZPRSA-N 0 0 286.715 2.846 20 5 CFBDRN Cc1cc(NCCC2(CO)CCC2)c([N+](=O)[O-])s1 ZINC000596294636 349855517 /nfs/dbraw/zinc/85/55/17/349855517.db2.gz HHQWNHWGXAEJQO-UHFFFAOYSA-N 0 0 270.354 2.929 20 5 CFBDRN CN(Cc1c[nH]cn1)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000596304707 349857130 /nfs/dbraw/zinc/85/71/30/349857130.db2.gz CGNXHWLYCJDPCL-UHFFFAOYSA-N 0 0 298.249 2.556 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000073333568 346971066 /nfs/dbraw/zinc/97/10/66/346971066.db2.gz VDNQGIUNTBEFFX-JQWIXIFHSA-N 0 0 262.309 2.855 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000073331774 346971212 /nfs/dbraw/zinc/97/12/12/346971212.db2.gz CUELRTQTJYOORY-IUCAKERBSA-N 0 0 268.338 2.917 20 5 CFBDRN CO[C@H](CNc1cc(C)c([N+](=O)[O-])cc1F)[C@@H]1CCOC1 ZINC000596318576 349862116 /nfs/dbraw/zinc/86/21/16/349862116.db2.gz OMNVRTSWFPDNKX-QMTHXVAHSA-N 0 0 298.314 2.506 20 5 CFBDRN CO[C@@H](CNc1cc(C)c([N+](=O)[O-])cc1F)[C@H]1CCOC1 ZINC000596318574 349862311 /nfs/dbraw/zinc/86/23/11/349862311.db2.gz OMNVRTSWFPDNKX-HZMBPMFUSA-N 0 0 298.314 2.506 20 5 CFBDRN CO[C@@H](CSCc1cccc([N+](=O)[O-])c1)[C@H]1CCOC1 ZINC000596325519 349863944 /nfs/dbraw/zinc/86/39/44/349863944.db2.gz WUIBDORSXJZNHI-JSGCOSHPSA-N 0 0 297.376 2.880 20 5 CFBDRN CC[C@@H](COCC1CC1)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000596327598 349864425 /nfs/dbraw/zinc/86/44/25/349864425.db2.gz UOQGVMWCFZYRCR-JTQLQIEISA-N 0 0 297.330 2.933 20 5 CFBDRN CC[C@@H](COCC1CC1)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000596327373 349864593 /nfs/dbraw/zinc/86/45/93/349864593.db2.gz QHPIQGCFOLPZLI-LBPRGKRZSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1noc(CSC[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000596329280 349865186 /nfs/dbraw/zinc/86/51/86/349865186.db2.gz VXRLCLJUDSIARI-VIFPVBQESA-N 0 0 272.326 2.694 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)C1CCOCC1 ZINC000596328802 349865268 /nfs/dbraw/zinc/86/52/68/349865268.db2.gz GKPBXBKQBYDVQI-CQSZACIVSA-N 0 0 298.314 2.587 20 5 CFBDRN C[C@]1(C2CC2)CN(c2ccsc2[N+](=O)[O-])CCO1 ZINC000596332666 349866465 /nfs/dbraw/zinc/86/64/65/349866465.db2.gz AIODMTTUQLFSSB-GFCCVEGCSA-N 0 0 268.338 2.662 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000073327582 346970356 /nfs/dbraw/zinc/97/03/56/346970356.db2.gz ARWJQHMBFVUUFO-AAEUAGOBSA-N 0 0 276.336 2.784 20 5 CFBDRN CCCc1nc(CSCc2onc(C)c2[N+](=O)[O-])no1 ZINC000596333376 349867644 /nfs/dbraw/zinc/86/76/44/349867644.db2.gz GYLSUNRJPOQGPX-UHFFFAOYSA-N 0 0 298.324 2.660 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](C)n3ncnc32)ccc1[N+](=O)[O-] ZINC000596345119 349871658 /nfs/dbraw/zinc/87/16/58/349871658.db2.gz ZNQVQOKLVAXAKT-MWLCHTKSSA-N 0 0 273.296 2.613 20 5 CFBDRN CO[C@@H]1CCC[C@H]([C@@H](CO)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000596366312 349879144 /nfs/dbraw/zinc/87/91/44/349879144.db2.gz JTPCHOPOIZYOGT-NILFDRSVSA-N 0 0 294.351 2.573 20 5 CFBDRN COCCC1CN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000596367026 349879248 /nfs/dbraw/zinc/87/92/48/349879248.db2.gz UWJFRNYRXWHXKT-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN CN(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1cccnc1 ZINC000074078307 346995956 /nfs/dbraw/zinc/99/59/56/346995956.db2.gz PUCICRXXZKLYDG-UHFFFAOYSA-N 0 0 296.286 2.748 20 5 CFBDRN CCC(C)(C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000074023767 346989863 /nfs/dbraw/zinc/98/98/63/346989863.db2.gz JVYIIHITXUEEHC-UHFFFAOYSA-N 0 0 250.298 2.855 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCCC2(CCCC2)CC1 ZINC000596375236 349882848 /nfs/dbraw/zinc/88/28/48/349882848.db2.gz JUSCKECTQRMDCC-UHFFFAOYSA-N 0 0 278.356 2.879 20 5 CFBDRN C[S@](=O)[C@@H]1CCC[C@@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000596373785 349881892 /nfs/dbraw/zinc/88/18/92/349881892.db2.gz LBJYBZPAAOOEND-OOSSNNJISA-N 0 0 288.394 2.758 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCCC2CCC2)c1 ZINC000596421975 349898184 /nfs/dbraw/zinc/89/81/84/349898184.db2.gz IXJJFMGUQPICMX-UHFFFAOYSA-N 0 0 255.343 2.715 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)C1CCOCC1 ZINC000074422247 347014026 /nfs/dbraw/zinc/01/40/26/347014026.db2.gz YFCFLSJHZZAFCE-SNVBAGLBSA-N 0 0 298.364 2.591 20 5 CFBDRN CCc1nn(C)c(NCC[C@@H](C)CC)c1[N+](=O)[O-] ZINC000596415834 349895930 /nfs/dbraw/zinc/89/59/30/349895930.db2.gz NLVLMTUSCKCUJW-VIFPVBQESA-N 0 0 254.334 2.739 20 5 CFBDRN CSCC1(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596418353 349897591 /nfs/dbraw/zinc/89/75/91/349897591.db2.gz DVNIQIRUOPCNNW-UHFFFAOYSA-N 0 0 283.353 2.641 20 5 CFBDRN Cc1cc(NC/C=C/CO)c([N+](=O)[O-])cc1C(F)(F)F ZINC000596422827 349899105 /nfs/dbraw/zinc/89/91/05/349899105.db2.gz HGAWWSINEGTMPN-NSCUHMNNSA-N 0 0 290.241 2.882 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCSC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000596429038 349900580 /nfs/dbraw/zinc/90/05/80/349900580.db2.gz ONACIPKTIHORGK-ZSBIGDGJSA-N 0 0 294.376 2.536 20 5 CFBDRN Cc1cc(N[C@H](C)c2cscn2)ncc1[N+](=O)[O-] ZINC000596431551 349901060 /nfs/dbraw/zinc/90/10/60/349901060.db2.gz MCJVFFUXDIVLOC-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2c(F)cccc2[N+](=O)[O-])C1 ZINC000596436726 349902251 /nfs/dbraw/zinc/90/22/51/349902251.db2.gz POHQTWVUAFMRSD-KYZUINATSA-N 0 0 254.261 2.713 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000596437070 349902426 /nfs/dbraw/zinc/90/24/26/349902426.db2.gz RDPJWRVPZWWSTP-XWEPSHTISA-N 0 0 271.704 2.623 20 5 CFBDRN CCc1cnc(CCNc2cc(C)c([N+](=O)[O-])cn2)s1 ZINC000074767884 347031466 /nfs/dbraw/zinc/03/14/66/347031466.db2.gz HYBOCYIWXBJVHO-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN CCn1nc(C)c(Nc2cc(C)c([N+](=O)[O-])cn2)c1C ZINC000074767327 347031277 /nfs/dbraw/zinc/03/12/77/347031277.db2.gz HCFRXJARYDKTEX-UHFFFAOYSA-N 0 0 275.312 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](C)[C@@H]2CCO)c1 ZINC000596444323 349904869 /nfs/dbraw/zinc/90/48/69/349904869.db2.gz WAEWYAJIEGARKA-OLZOCXBDSA-N 0 0 278.352 2.891 20 5 CFBDRN COc1ccnc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000273188038 192069462 /nfs/dbraw/zinc/06/94/62/192069462.db2.gz QZJDGOBZTJNVLS-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN COCC1(C2CCC2)CN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000596443507 349905182 /nfs/dbraw/zinc/90/51/82/349905182.db2.gz YNPDKUICGJEMPZ-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1ccc(NCCO[C@@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000596446032 349905609 /nfs/dbraw/zinc/90/56/09/349905609.db2.gz CNZWOVAPDRYHOE-LLVKDONJSA-N 0 0 272.251 2.739 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](C3CCCCC3)C2)nc1 ZINC000596452524 349906926 /nfs/dbraw/zinc/90/69/26/349906926.db2.gz GDOYAEOIDIRYFY-LBPRGKRZSA-N 0 0 276.340 2.791 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])C[C@H](C)[C@H]1O ZINC000596463737 349908597 /nfs/dbraw/zinc/90/85/97/349908597.db2.gz PMLKAJYOYRVSNP-WOFXILAISA-N 0 0 284.743 2.701 20 5 CFBDRN CN(CC1=CCCOC1)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000596463864 349908621 /nfs/dbraw/zinc/90/86/21/349908621.db2.gz AAPDQLVNLVLWKL-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN C[C@@]1(CNC(=O)Nc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000596471238 349910594 /nfs/dbraw/zinc/91/05/94/349910594.db2.gz XRUXVFHZUXGQNO-NSHDSACASA-N 0 0 285.250 2.762 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2ccccc2[N+](=O)[O-])O1 ZINC000596472493 349910682 /nfs/dbraw/zinc/91/06/82/349910682.db2.gz BMBCHVARLJKZMO-QWRGUYRKSA-N 0 0 250.298 2.964 20 5 CFBDRN CC(C)(F)CCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000596471344 349910683 /nfs/dbraw/zinc/91/06/83/349910683.db2.gz OEWIBFJEPWCXOW-UHFFFAOYSA-N 0 0 269.276 2.855 20 5 CFBDRN C[C@]1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)CC1(F)F ZINC000596470470 349909920 /nfs/dbraw/zinc/90/99/20/349909920.db2.gz MWVPGWJFGMHJPX-LLVKDONJSA-N 0 0 285.250 2.762 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000075064682 347052934 /nfs/dbraw/zinc/05/29/34/347052934.db2.gz TUGHZNGSKPLHKI-GWCFXTLKSA-N 0 0 265.313 2.605 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@@H](C)O2)ccc1[N+](=O)[O-] ZINC000596474851 349911843 /nfs/dbraw/zinc/91/18/43/349911843.db2.gz PLCQZJSANPTPSW-PWSUYJOCSA-N 0 0 280.324 2.973 20 5 CFBDRN COC(=O)c1cnc(NCCCC2CCC2)c([N+](=O)[O-])c1 ZINC000596475771 349912358 /nfs/dbraw/zinc/91/23/58/349912358.db2.gz DDNWOIINPGLVMQ-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN NC(=O)c1cc(NCCCC2CCC2)ccc1[N+](=O)[O-] ZINC000596477197 349913311 /nfs/dbraw/zinc/91/33/11/349913311.db2.gz NLUOQVOQFRTMHC-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@]1(C)CC1(F)F ZINC000596479437 349914510 /nfs/dbraw/zinc/91/45/10/349914510.db2.gz VHGARSRHQQRHQO-JTQLQIEISA-N 0 0 257.240 2.755 20 5 CFBDRN CC[C@@H](Nc1nc(C)cc(C(=O)OC)c1[N+](=O)[O-])C1CC1 ZINC000596485501 349916621 /nfs/dbraw/zinc/91/66/21/349916621.db2.gz KNBLFNRBNKBDPH-LLVKDONJSA-N 0 0 293.323 2.685 20 5 CFBDRN C[C@@H](C[NH+]1CCC(C)CC1)Nc1ncccc1[N+](=O)[O-] ZINC000596487796 349917420 /nfs/dbraw/zinc/91/74/20/349917420.db2.gz JWQMHXTVEVOHHJ-LBPRGKRZSA-N 0 0 278.356 2.522 20 5 CFBDRN CCN(CC)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] ZINC000075460282 347077544 /nfs/dbraw/zinc/07/75/44/347077544.db2.gz ZBVCBEDSWKPDHA-UHFFFAOYSA-N 0 0 298.364 2.807 20 5 CFBDRN COC(=O)C[C@@H](CNc1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000596491760 349918674 /nfs/dbraw/zinc/91/86/74/349918674.db2.gz LHIJYKBQVSJGRI-LBPRGKRZSA-N 0 0 292.335 2.904 20 5 CFBDRN Cc1cc(NC[C@H](CO)CC2CC2)c(F)cc1[N+](=O)[O-] ZINC000596491467 349918741 /nfs/dbraw/zinc/91/87/41/349918741.db2.gz FCOYNPUGIVOPPK-LLVKDONJSA-N 0 0 282.315 2.863 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@H]1CCCS1 ZINC000075547336 347083857 /nfs/dbraw/zinc/08/38/57/347083857.db2.gz PGKXGRICPLTBBX-SNVBAGLBSA-N 0 0 270.285 2.568 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC(O)(C1CC1)C1CC1 ZINC000596494618 349919629 /nfs/dbraw/zinc/91/96/29/349919629.db2.gz TXKXKYDABWAJSV-UHFFFAOYSA-N 0 0 268.338 2.619 20 5 CFBDRN COc1ccccc1[C@@H]1C[C@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000596496901 349920428 /nfs/dbraw/zinc/92/04/28/349920428.db2.gz PTBFIXNHQKXPEF-QWHCGFSZSA-N 0 0 285.303 2.966 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1ccccc1Cl ZINC000596496886 349920464 /nfs/dbraw/zinc/92/04/64/349920464.db2.gz IRKPUKLYSUBVBH-GXSJLCMTSA-N 0 0 292.726 2.950 20 5 CFBDRN COc1ccccc1[C@@H]1C[C@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000596496533 349920479 /nfs/dbraw/zinc/92/04/79/349920479.db2.gz GGHWUMFIJJZBAE-QWHCGFSZSA-N 0 0 285.303 2.966 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596500528 349921652 /nfs/dbraw/zinc/92/16/52/349921652.db2.gz CDAKONPYVOZWKC-QWHCGFSZSA-N 0 0 262.309 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H]1C[C@H](O)C12CCC2 ZINC000596502771 349922551 /nfs/dbraw/zinc/92/25/51/349922551.db2.gz GFFRDSJFYYDHBJ-GJZGRUSLSA-N 0 0 299.330 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]([C@@H]3CCCCO3)C2)cn1 ZINC000596506756 349923292 /nfs/dbraw/zinc/92/32/92/349923292.db2.gz SYGZEDYPXCOJGX-JSGCOSHPSA-N 0 0 291.351 2.775 20 5 CFBDRN CCSC1(CN=c2[nH]c3ccc([N+](=O)[O-])cc3[nH]2)CC1 ZINC000596510095 349924818 /nfs/dbraw/zinc/92/48/18/349924818.db2.gz CYZPZUALBFYDKA-UHFFFAOYSA-N 0 0 292.364 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@@H]3C[C@@H]3C2)c1 ZINC000596513046 349926084 /nfs/dbraw/zinc/92/60/84/349926084.db2.gz YHTSGGGOWXLXBQ-ZYHUDNBSSA-N 0 0 269.304 2.989 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N1CC[C@H]2C[C@H]2C1 ZINC000596513152 349926157 /nfs/dbraw/zinc/92/61/57/349926157.db2.gz ZVGMJSONGPTHJS-YUMQZZPRSA-N 0 0 298.140 2.599 20 5 CFBDRN Cc1nc(N[C@H]2CCO[C@H](CC(C)C)C2)ncc1[N+](=O)[O-] ZINC000295104873 199347646 /nfs/dbraw/zinc/34/76/46/199347646.db2.gz UPRUYQMJESZLGO-NWDGAFQWSA-N 0 0 294.355 2.699 20 5 CFBDRN CCOc1cccc(N[C@H]2C[C@H](O)C23CCC3)c1[N+](=O)[O-] ZINC000596515533 349927142 /nfs/dbraw/zinc/92/71/42/349927142.db2.gz ZIMWQLQWEZMELZ-STQMWFEESA-N 0 0 292.335 2.709 20 5 CFBDRN C[C@H](O)[C@H]1CCCCN1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000116278987 232194423 /nfs/dbraw/zinc/19/44/23/232194423.db2.gz JWBLTJUEOCFDOY-GXFFZTMASA-N 0 0 298.770 2.984 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(c3ccon3)CC2)c(F)c1 ZINC000596526111 349929648 /nfs/dbraw/zinc/92/96/48/349929648.db2.gz IXEWDMLMBKICPU-UHFFFAOYSA-N 0 0 292.270 2.501 20 5 CFBDRN CC(C)[C@H](Nc1c(C(N)=O)cccc1[N+](=O)[O-])C1CC1 ZINC000596523493 349929845 /nfs/dbraw/zinc/92/98/45/349929845.db2.gz WDASABGPHJDCNL-LBPRGKRZSA-N 0 0 277.324 2.540 20 5 CFBDRN CC(C)[C@H](Nc1cc[nH]c(=O)c1[N+](=O)[O-])C1CC1 ZINC000596522314 349928913 /nfs/dbraw/zinc/92/89/13/349928913.db2.gz PDPPDZPUELABAQ-JTQLQIEISA-N 0 0 251.286 2.542 20 5 CFBDRN O=[N+]([O-])c1c(NCC2=CCCC2)nc2sccn21 ZINC000596519188 349929074 /nfs/dbraw/zinc/92/90/74/349929074.db2.gz HGFARDRKNKLLSW-UHFFFAOYSA-N 0 0 264.310 2.826 20 5 CFBDRN C[C@H](CC[S@](C)=O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000130110667 232204941 /nfs/dbraw/zinc/20/49/41/232204941.db2.gz DGZTWJPRKUIELZ-NTCNTBNZSA-N 0 0 290.772 2.817 20 5 CFBDRN CC(C)(C)C(C)(C)CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000431489938 383677681 /nfs/dbraw/zinc/67/76/81/383677681.db2.gz IRLWLLAWTDAYHA-UHFFFAOYSA-N 0 0 291.355 2.544 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])nc1)C1CCCC1 ZINC000596531803 349933363 /nfs/dbraw/zinc/93/33/63/349933363.db2.gz XINPPQDSJHMGLX-LBPRGKRZSA-N 0 0 265.313 2.607 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC[C@H]4COC[C@@H]4C3)ccnc21 ZINC000596530019 349932039 /nfs/dbraw/zinc/93/20/39/349932039.db2.gz SVUZAVGIJWXOOU-RYUDHWBXSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1[C@@H]2Cc3cc(F)ccc3[C@@H]21 ZINC000596539716 349934708 /nfs/dbraw/zinc/93/47/08/349934708.db2.gz LMYNPPLFDRHLKR-KWCYVHTRSA-N 0 0 285.278 2.879 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1[C@H]2Cc3cc(F)ccc3[C@@H]12 ZINC000596539715 349934825 /nfs/dbraw/zinc/93/48/25/349934825.db2.gz LMYNPPLFDRHLKR-IACUBPJLSA-N 0 0 285.278 2.879 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])C[C@]1(C)CO ZINC000596539487 349934918 /nfs/dbraw/zinc/93/49/18/349934918.db2.gz BSURZSRBKQDAFQ-NOZJJQNGSA-N 0 0 284.743 2.703 20 5 CFBDRN NC(=O)CC1(CNc2ccsc2[N+](=O)[O-])CCCCC1 ZINC000596544338 349937179 /nfs/dbraw/zinc/93/71/79/349937179.db2.gz OQNUSNVROGVQLG-UHFFFAOYSA-N 0 0 297.380 2.894 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000596540622 349935612 /nfs/dbraw/zinc/93/56/12/349935612.db2.gz SDLUNFSFTPMGDD-OCCSQVGLSA-N 0 0 273.292 2.732 20 5 CFBDRN Nc1c(C(=O)Nc2ccc(F)cc2)cccc1[N+](=O)[O-] ZINC000157270833 232236436 /nfs/dbraw/zinc/23/64/36/232236436.db2.gz HCHXDNPNUFJRHS-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1N)C1CCCCC1 ZINC000157348551 232237077 /nfs/dbraw/zinc/23/70/77/232237077.db2.gz VDPFVCDDWZNWLG-JTQLQIEISA-N 0 0 291.351 2.876 20 5 CFBDRN CCOC(=O)[C@]1(C)CCC[C@@H]1Nc1ccc([N+](=O)[O-])cn1 ZINC000596549323 349938689 /nfs/dbraw/zinc/93/86/89/349938689.db2.gz IKLXRCWEAPZSPG-SMDDNHRTSA-N 0 0 293.323 2.524 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1CCCS1 ZINC000163134405 232256814 /nfs/dbraw/zinc/25/68/14/232256814.db2.gz HOIGHOFUPVXRRN-NSHDSACASA-N 0 0 295.364 2.920 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)ccn1 ZINC000170234115 232263177 /nfs/dbraw/zinc/26/31/77/232263177.db2.gz QSHXXDLENHNCMM-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN C[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])c1cccc(O)c1 ZINC000171385992 232265541 /nfs/dbraw/zinc/26/55/41/232265541.db2.gz WKVWVOLTOPEMTK-SNVBAGLBSA-N 0 0 286.287 2.791 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1cccc(O)c1 ZINC000171387033 232266318 /nfs/dbraw/zinc/26/63/18/232266318.db2.gz XXHWKBXRFWURTJ-JTQLQIEISA-N 0 0 286.287 2.791 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C1CCCC1 ZINC000172098664 232268440 /nfs/dbraw/zinc/26/84/40/232268440.db2.gz FIAOARYUFKNOLE-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(CNc2ncc([N+](=O)[O-])cc2Cl)on1 ZINC000172409154 232269932 /nfs/dbraw/zinc/26/99/32/232269932.db2.gz OBCMUFAIXIKOFV-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000128279128 187360864 /nfs/dbraw/zinc/36/08/64/187360864.db2.gz WRCPJUNHOPQGIH-TXEJJXNPSA-N 0 0 291.351 2.720 20 5 CFBDRN CC(C)(C)[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@@H]1O ZINC000273268637 192094309 /nfs/dbraw/zinc/09/43/09/192094309.db2.gz JJBBCAFSVDMUAQ-RYUDHWBXSA-N 0 0 298.408 2.885 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2N)cc1 ZINC000175924509 232322259 /nfs/dbraw/zinc/32/22/59/232322259.db2.gz VKXKTPPTJQKPRD-NSHDSACASA-N 0 0 299.330 2.976 20 5 CFBDRN O=C(CCc1ccoc1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000177283850 232356181 /nfs/dbraw/zinc/35/61/81/232356181.db2.gz FWQVPUGCYQLYTE-UHFFFAOYSA-N 0 0 286.287 2.710 20 5 CFBDRN Cc1cc(C)c(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])[nH]1 ZINC000177863421 232371515 /nfs/dbraw/zinc/37/15/15/232371515.db2.gz CPZFRUNMZZOUOQ-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN C[C@H](c1ccco1)N(C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000178162651 232375219 /nfs/dbraw/zinc/37/52/19/232375219.db2.gz XUASKDPOVDOGMM-SECBINFHSA-N 0 0 289.291 2.603 20 5 CFBDRN Cc1ccc(NC(=O)Nc2cccc([N+](=O)[O-])c2)cn1 ZINC000178175376 232375366 /nfs/dbraw/zinc/37/53/66/232375366.db2.gz JLQNGUTYZFQRNY-UHFFFAOYSA-N 0 0 272.264 2.942 20 5 CFBDRN Cc1cc(N[C@@H](c2ccccc2)[C@H](C)O)ncc1[N+](=O)[O-] ZINC000596564053 349942409 /nfs/dbraw/zinc/94/24/09/349942409.db2.gz AYAPNCJBQNREHV-XHDPSFHLSA-N 0 0 287.319 2.832 20 5 CFBDRN CCc1nnc(CNc2c(C)ccc([N+](=O)[O-])c2C)o1 ZINC000085288573 179005249 /nfs/dbraw/zinc/00/52/49/179005249.db2.gz GXFHCKCVTAPRQN-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN Cc1cnc(N[C@@H](c2ccccc2)[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000596568117 349943639 /nfs/dbraw/zinc/94/36/39/349943639.db2.gz ZQVNJCNMUMCGJJ-BXUZGUMPSA-N 0 0 287.319 2.832 20 5 CFBDRN Cc1ccccc1OCCN(C)c1ncccc1[N+](=O)[O-] ZINC000015142660 346234696 /nfs/dbraw/zinc/23/46/96/346234696.db2.gz IZKUWVCRCQBJQO-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN CC(C)CC[C@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000007489553 346217960 /nfs/dbraw/zinc/21/79/60/346217960.db2.gz WLFVHHGJOOIMSD-LBPRGKRZSA-N 0 0 294.351 2.915 20 5 CFBDRN O=C(CN1CCCCC1)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000007780842 346218815 /nfs/dbraw/zinc/21/88/15/346218815.db2.gz MWCCABZVMVYPGQ-UHFFFAOYSA-N 0 0 297.742 2.673 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N1CCCC1 ZINC000016445426 346238440 /nfs/dbraw/zinc/23/84/40/346238440.db2.gz XJEZYJPLGMSQOX-SECBINFHSA-N 0 0 297.742 2.671 20 5 CFBDRN CCC[C@@H](OC)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000295564139 199565772 /nfs/dbraw/zinc/56/57/72/199565772.db2.gz ZXROGXDKGWHIEF-CYBMUJFWSA-N 0 0 294.351 2.761 20 5 CFBDRN Cc1nc(SCC(=O)c2ccc([N+](=O)[O-])cc2)[nH]c1C ZINC000019824347 346243099 /nfs/dbraw/zinc/24/30/99/346243099.db2.gz DEZPTINEGQGCFD-UHFFFAOYSA-N 0 0 291.332 2.910 20 5 CFBDRN COc1cccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000020448445 346244199 /nfs/dbraw/zinc/24/41/99/346244199.db2.gz SKQSDBGQXPNOHW-VIFPVBQESA-N 0 0 290.275 2.687 20 5 CFBDRN C[C@@H]1CN(c2sccc2[N+](=O)[O-])C[C@@](C)(C(F)F)O1 ZINC000596573015 349945179 /nfs/dbraw/zinc/94/51/79/349945179.db2.gz JLCPZNOAXAJYSU-HQJQHLMTSA-N 0 0 292.307 2.905 20 5 CFBDRN C[C@@]1(C(F)F)CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000596573181 349945252 /nfs/dbraw/zinc/94/52/52/349945252.db2.gz WMPJINNEZDGVNO-LBPRGKRZSA-N 0 0 290.241 2.594 20 5 CFBDRN CC12CC(C1)CN2c1c(Br)cncc1[N+](=O)[O-] ZINC000596573978 349945338 /nfs/dbraw/zinc/94/53/38/349945338.db2.gz BYKGNMBGLNZVML-UHFFFAOYSA-N 0 0 298.140 2.741 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCc1ccccc1Cl ZINC000027477920 346269852 /nfs/dbraw/zinc/26/98/52/346269852.db2.gz CJDZTRQIFNADQB-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN Cc1cc(C)nc(NCCNc2ccccc2[N+](=O)[O-])n1 ZINC000029427214 346281435 /nfs/dbraw/zinc/28/14/35/346281435.db2.gz BKHPRAGNNXKNKE-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CCCc2ccccc21 ZINC000029526197 346281884 /nfs/dbraw/zinc/28/18/84/346281884.db2.gz JJCOXKJRHFIDGW-GFCCVEGCSA-N 0 0 286.335 2.860 20 5 CFBDRN CCOc1ccc(C(=O)NCC2CCCC2)cc1[N+](=O)[O-] ZINC000031985279 346299578 /nfs/dbraw/zinc/29/95/78/346299578.db2.gz HUKTYLNESFHNCS-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000075649528 347090202 /nfs/dbraw/zinc/09/02/02/347090202.db2.gz UETBZMYNJRTAAL-ONGXEEELSA-N 0 0 265.313 2.622 20 5 CFBDRN CCCCCC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000033180263 346309778 /nfs/dbraw/zinc/30/97/78/346309778.db2.gz NAULXZYYNNJFRR-UHFFFAOYSA-N 0 0 279.340 2.703 20 5 CFBDRN CCC1(CC)[C@H](Nc2c([N+](=O)[O-])ncn2C)[C@H](C)[C@H]1OC ZINC000356796733 282461905 /nfs/dbraw/zinc/46/19/05/282461905.db2.gz RWYRSILMRIFBRD-HBNTYKKESA-N 0 0 296.371 2.580 20 5 CFBDRN O=C1CC[C@H](COc2ccc(Cl)c([N+](=O)[O-])c2)CCN1 ZINC000295145123 199370589 /nfs/dbraw/zinc/37/05/89/199370589.db2.gz FGXIIEKXUDSUAA-VIFPVBQESA-N 0 0 298.726 2.543 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000042565570 346367408 /nfs/dbraw/zinc/36/74/08/346367408.db2.gz ZDYBBUDQMUVVSY-MRVPVSSYSA-N 0 0 254.311 2.528 20 5 CFBDRN Cn1ncc2c1CCC[C@@H]2Nc1ccc([N+](=O)[O-])cc1F ZINC000042098465 346360078 /nfs/dbraw/zinc/36/00/78/346360078.db2.gz WVPJWPFLZKCULS-LBPRGKRZSA-N 0 0 290.298 2.957 20 5 CFBDRN Cc1c(CSc2nccn2C)cccc1[N+](=O)[O-] ZINC000042128242 346360693 /nfs/dbraw/zinc/36/06/93/346360693.db2.gz XUKUKDIAAOAHKT-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN CNc1ccc(Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cn1 ZINC000042739680 346370599 /nfs/dbraw/zinc/37/05/99/346370599.db2.gz CJXRVQQOQOUMLJ-UHFFFAOYSA-N 0 0 289.251 2.683 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000042978696 346375442 /nfs/dbraw/zinc/37/54/42/346375442.db2.gz TVEKEAZLLNTGDF-GXSJLCMTSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)[C@@H]1CCCO1 ZINC000043149059 346380183 /nfs/dbraw/zinc/38/01/83/346380183.db2.gz VPZLTMQMSNBHGO-MFKMUULPSA-N 0 0 293.323 2.592 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])c[nH]1)[C@@H]1CCc2ccccc21 ZINC000042626386 346368374 /nfs/dbraw/zinc/36/83/74/346368374.db2.gz IBMNSNFXUWHPOC-CQSZACIVSA-N 0 0 285.303 2.682 20 5 CFBDRN CC(C)CCCCCCNC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000044714253 346398093 /nfs/dbraw/zinc/39/80/93/346398093.db2.gz CRHIHOMPFVNYIA-UHFFFAOYSA-N 0 0 296.371 2.514 20 5 CFBDRN Cc1noc(CN2CC[C@@H](OCCC(C)C)C2)c1[N+](=O)[O-] ZINC000596617348 349957469 /nfs/dbraw/zinc/95/74/69/349957469.db2.gz HSPZRKFHQDHMOC-GFCCVEGCSA-N 0 0 297.355 2.528 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000045204961 346404766 /nfs/dbraw/zinc/40/47/66/346404766.db2.gz MWPPDQKTXKMHGP-WCQYABFASA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000045364819 346407177 /nfs/dbraw/zinc/40/71/77/346407177.db2.gz MDXOSTBQKRPEER-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN CCCCN(C(=O)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000045368586 346407224 /nfs/dbraw/zinc/40/72/24/346407224.db2.gz AXDDDVOTYPEJTO-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000044484696 346394280 /nfs/dbraw/zinc/39/42/80/346394280.db2.gz LNGWFYAAEATVPH-WCBMZHEXSA-N 0 0 268.338 2.965 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000045770370 346413623 /nfs/dbraw/zinc/41/36/23/346413623.db2.gz HNDKDCHEWGCJOY-ZJUUUORDSA-N 0 0 266.297 2.839 20 5 CFBDRN CCO[C@@H](CC)c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000596622071 349959527 /nfs/dbraw/zinc/95/95/27/349959527.db2.gz VJFSYCRIIBONTN-JTQLQIEISA-N 0 0 278.268 2.527 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)NCCC1CCCC1 ZINC000046198663 346422422 /nfs/dbraw/zinc/42/24/22/346422422.db2.gz UQUWLWUFBSTFEA-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN Cc1ccc(OCc2cccc(C(N)=O)c2)c([N+](=O)[O-])c1 ZINC000047438859 346451457 /nfs/dbraw/zinc/45/14/57/346451457.db2.gz LNPPQNDCWHEPDY-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN O=C(Nc1cccc(-n2cccn2)c1)c1ccc([N+](=O)[O-])o1 ZINC000049758704 346485636 /nfs/dbraw/zinc/48/56/36/346485636.db2.gz JJDRHNSVRVARRE-UHFFFAOYSA-N 0 0 298.258 2.626 20 5 CFBDRN O=[N+]([O-])c1ccc(CO)c(-c2ccc3c(c2)CCOC3)c1 ZINC000596634601 349963578 /nfs/dbraw/zinc/96/35/78/349963578.db2.gz LWKGVCULSFFSBD-UHFFFAOYSA-N 0 0 285.299 2.827 20 5 CFBDRN Cc1c(NC(=O)N[C@]23C[C@H]2CCC3)cccc1[N+](=O)[O-] ZINC000596635170 349963646 /nfs/dbraw/zinc/96/36/46/349963646.db2.gz IEGVELBZCZHDTC-QMTHXVAHSA-N 0 0 275.308 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1COC(C)(C)C1 ZINC000596633770 349963844 /nfs/dbraw/zinc/96/38/44/349963844.db2.gz AXYWQISDGBWBFN-SNVBAGLBSA-N 0 0 293.323 2.592 20 5 CFBDRN CCC[C@@H](C)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000048893085 346477161 /nfs/dbraw/zinc/47/71/61/346477161.db2.gz VFFCDPSIHGEWQP-SECBINFHSA-N 0 0 265.313 2.555 20 5 CFBDRN CC(C)C(=O)N1CCC(Nc2ccsc2[N+](=O)[O-])CC1 ZINC000049056978 346478818 /nfs/dbraw/zinc/47/88/18/346478818.db2.gz XOTGXCKCCQEKJI-UHFFFAOYSA-N 0 0 297.380 2.715 20 5 CFBDRN Cc1nc([C@@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC000273296987 192103756 /nfs/dbraw/zinc/10/37/56/192103756.db2.gz JQILIQRVQNHSNV-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN Cc1cnc(-c2cc([N+](=O)[O-])ccc2CCO)s1 ZINC000596647662 349967469 /nfs/dbraw/zinc/96/74/69/349967469.db2.gz UHJBQFKDGKAVBE-UHFFFAOYSA-N 0 0 264.306 2.562 20 5 CFBDRN CN(C(=O)c1csc([N+](=O)[O-])c1)C(C1CC1)C1CC1 ZINC000050399790 346493561 /nfs/dbraw/zinc/49/35/61/346493561.db2.gz YYCUHUMWQISWCW-UHFFFAOYSA-N 0 0 280.349 2.917 20 5 CFBDRN CN(C(=O)Cc1ccccc1[N+](=O)[O-])C(C1CC1)C1CC1 ZINC000050401897 346494250 /nfs/dbraw/zinc/49/42/50/346494250.db2.gz FWUUYENLQATYQE-UHFFFAOYSA-N 0 0 288.347 2.784 20 5 CFBDRN COCCOc1cccc(Nc2ccc([N+](=O)[O-])cn2)c1 ZINC000052468505 346523047 /nfs/dbraw/zinc/52/30/47/346523047.db2.gz KCZKXKZUBMXSDA-UHFFFAOYSA-N 0 0 289.291 2.759 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052605019 346525671 /nfs/dbraw/zinc/52/56/71/346525671.db2.gz PJECMKFBUSJRPJ-SECBINFHSA-N 0 0 275.308 2.995 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000053437624 346548065 /nfs/dbraw/zinc/54/80/65/346548065.db2.gz MONZBWXMCNLBKE-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCc2ccccc21 ZINC000053777065 346552480 /nfs/dbraw/zinc/55/24/80/346552480.db2.gz RACRJUXZWSTSCS-MRXNPFEDSA-N 0 0 296.326 2.941 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000054646863 346574386 /nfs/dbraw/zinc/57/43/86/346574386.db2.gz ZMMAACSGBCFOFY-GFCCVEGCSA-N 0 0 293.367 2.967 20 5 CFBDRN Cc1c(NC(=O)CCc2nccs2)cccc1[N+](=O)[O-] ZINC000055489640 346590516 /nfs/dbraw/zinc/59/05/16/346590516.db2.gz KFHDJRYWNNLVLJ-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN CN(C(=O)Cc1ccccc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000055750161 346596278 /nfs/dbraw/zinc/59/62/78/346596278.db2.gz CBZYLEJWWPPTLW-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN O=C(Cc1ccc(F)cc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797370 346596769 /nfs/dbraw/zinc/59/67/69/346596769.db2.gz YXKIPWPXEKMNCB-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN CC(C)CCC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797942 346596941 /nfs/dbraw/zinc/59/69/41/346596941.db2.gz WGLLHDPWOLWKQJ-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN Cc1cc(N2CCCC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000054389401 346567886 /nfs/dbraw/zinc/56/78/86/346567886.db2.gz SVPLMECVNMMYSR-UHFFFAOYSA-N 0 0 284.319 2.960 20 5 CFBDRN Cc1occc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000058520354 346645710 /nfs/dbraw/zinc/64/57/10/346645710.db2.gz RRAHMCOIPBHMKE-UHFFFAOYSA-N 0 0 260.249 2.773 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2ccc(F)cc21 ZINC000058553933 346646218 /nfs/dbraw/zinc/64/62/18/346646218.db2.gz VAZZOWUGPGCTAU-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000058841318 346651347 /nfs/dbraw/zinc/65/13/47/346651347.db2.gz XOOJPAMOZOPBTE-VIFPVBQESA-N 0 0 287.319 2.947 20 5 CFBDRN CCOC1CCN(C(=O)c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000059889363 346658094 /nfs/dbraw/zinc/65/80/94/346658094.db2.gz KIVKTVIKZQNEKL-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)C[C@H](C)C2)c1 ZINC000060063685 346659157 /nfs/dbraw/zinc/65/91/57/346659157.db2.gz WAFJVGABULUWEN-PHIMTYICSA-N 0 0 292.335 2.722 20 5 CFBDRN Cc1c(OCC(=O)NC2CCCCC2)cccc1[N+](=O)[O-] ZINC000060810120 346671638 /nfs/dbraw/zinc/67/16/38/346671638.db2.gz KKIAZZJWSJEBRP-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN O=C1COc2cc(OCc3ccccc3[N+](=O)[O-])ccc21 ZINC000057523980 346630671 /nfs/dbraw/zinc/63/06/71/346630671.db2.gz PDKWBKMLKWLXQC-UHFFFAOYSA-N 0 0 285.255 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCN(CC(F)(F)F)C2)s1 ZINC000057855240 346638892 /nfs/dbraw/zinc/63/88/92/346638892.db2.gz PRXZWSMAVLGWRT-ZETCQYMHSA-N 0 0 295.286 2.705 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000058167643 346642574 /nfs/dbraw/zinc/64/25/74/346642574.db2.gz VLHCOKQTMMAQMQ-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN CCCN(C)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000063602547 346704845 /nfs/dbraw/zinc/70/48/45/346704845.db2.gz AFPBHGHNHKNWKX-UHFFFAOYSA-N 0 0 291.351 2.677 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000064089481 346714825 /nfs/dbraw/zinc/71/48/25/346714825.db2.gz JDKPNLOEUOSYSU-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cccc2cccnc21 ZINC000064332313 346717872 /nfs/dbraw/zinc/71/78/72/346717872.db2.gz OLJGMHCKGGCIFN-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN C[C@H]1CCCN(c2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000063282799 346699070 /nfs/dbraw/zinc/69/90/70/346699070.db2.gz MIQAVXFEUJBGTL-VIFPVBQESA-N 0 0 280.353 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccncc2)c(Cl)c1 ZINC000128444454 187370906 /nfs/dbraw/zinc/37/09/06/187370906.db2.gz QGEMHYLZBBAWPX-ZDUSSCGKSA-N 0 0 293.710 2.789 20 5 CFBDRN C[C@@H](CNc1ccncc1[N+](=O)[O-])N(C)c1ccccc1 ZINC000066808936 346780316 /nfs/dbraw/zinc/78/03/16/346780316.db2.gz AFGIKBXFXQWEGU-LBPRGKRZSA-N 0 0 286.335 2.927 20 5 CFBDRN Cc1cc(N2CC[C@H](C(C)(C)C)[C@H](O)C2)ncc1[N+](=O)[O-] ZINC000272474440 136619943 /nfs/dbraw/zinc/61/99/43/136619943.db2.gz IORSMKSRPZTSTO-WCQYABFASA-N 0 0 293.367 2.532 20 5 CFBDRN CCCCN(C)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000065724401 346739113 /nfs/dbraw/zinc/73/91/13/346739113.db2.gz BYYCBUAPRQYISU-UHFFFAOYSA-N 0 0 289.335 2.808 20 5 CFBDRN CCS[C@H](C(=O)NCc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000066330256 346754993 /nfs/dbraw/zinc/75/49/93/346754993.db2.gz VROIKYFRCJDWAZ-ZDUSSCGKSA-N 0 0 296.392 2.989 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1F ZINC000067023165 346789340 /nfs/dbraw/zinc/78/93/40/346789340.db2.gz PXPNLBXFZKSCLE-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@@H](COCC(F)(F)F)Nc1ccccc1[N+](=O)[O-] ZINC000067383488 346800301 /nfs/dbraw/zinc/80/03/01/346800301.db2.gz TVEBCGWAPUWINS-QMMMGPOBSA-N 0 0 278.230 2.974 20 5 CFBDRN O=C(N[C@H]1C[C@H]1c1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000067502176 346805233 /nfs/dbraw/zinc/80/52/33/346805233.db2.gz OPWIDUIIXPYSRD-KBPBESRZSA-N 0 0 282.299 2.881 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1C[C@@H]1c1ccccc1 ZINC000067503275 346806169 /nfs/dbraw/zinc/80/61/69/346806169.db2.gz OVUWCOMXACHCLI-CABCVRRESA-N 0 0 296.326 2.810 20 5 CFBDRN Cc1c(OCC(=O)N2CCC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000076423986 347139575 /nfs/dbraw/zinc/13/95/75/347139575.db2.gz MVSNTVIZPQZCCB-NSHDSACASA-N 0 0 292.335 2.541 20 5 CFBDRN CC[C@H]1CN(c2ccc3cc([N+](=O)[O-])ccc3n2)CCO1 ZINC000076605838 347144932 /nfs/dbraw/zinc/14/49/32/347144932.db2.gz XBTWRWFTGASQST-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN CSC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000292417011 136677285 /nfs/dbraw/zinc/67/72/85/136677285.db2.gz WDZRTSZCSQCIHL-SECBINFHSA-N 0 0 299.371 2.896 20 5 CFBDRN CCCC[C@H](COC)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000076777376 347153257 /nfs/dbraw/zinc/15/32/57/347153257.db2.gz UOQQPMLXZJMWPU-GFCCVEGCSA-N 0 0 295.339 2.922 20 5 CFBDRN Cc1cccn2cc(CNCc3ccc([N+](=O)[O-])cc3)nc12 ZINC000076895012 347160111 /nfs/dbraw/zinc/16/01/11/347160111.db2.gz YBMAAZHZSCHMGU-UHFFFAOYSA-N 0 0 296.330 2.841 20 5 CFBDRN Nc1nc(N2CCC[C@@H]2C2CCCCC2)ncc1[N+](=O)[O-] ZINC000076268517 347131861 /nfs/dbraw/zinc/13/18/61/347131861.db2.gz BNKKNOXRGDRSLT-LLVKDONJSA-N 0 0 291.355 2.516 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000076291006 347133098 /nfs/dbraw/zinc/13/30/98/347133098.db2.gz MRORMERUYAPBCT-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@@H]2CF)cc1[N+](=O)[O-] ZINC000294016030 232611410 /nfs/dbraw/zinc/61/14/10/232611410.db2.gz IZUTUXWPZIKSQZ-MRVPVSSYSA-N 0 0 272.301 2.539 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000077496301 347195705 /nfs/dbraw/zinc/19/57/05/347195705.db2.gz WIYORSLRJKBGJQ-SECBINFHSA-N 0 0 268.288 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOCC2CCOCC2)cc1 ZINC000077228359 347177875 /nfs/dbraw/zinc/17/78/75/347177875.db2.gz NGMUNYOHNXCIII-UHFFFAOYSA-N 0 0 294.351 2.840 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])c2cccnc12)C[C@H](C)O ZINC000077229114 347178325 /nfs/dbraw/zinc/17/83/25/347178325.db2.gz CKSWWIGFHXGQLE-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC[C@H]2CCOC2)c(F)c1 ZINC000077229752 347178444 /nfs/dbraw/zinc/17/84/44/347178444.db2.gz LQRCZMJWGKAQQY-LLVKDONJSA-N 0 0 298.314 2.589 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H](CCO)c1ccccc1 ZINC000077231584 347178594 /nfs/dbraw/zinc/17/85/94/347178594.db2.gz QGQZOHMYZNGVCR-ZDUSSCGKSA-N 0 0 287.319 2.568 20 5 CFBDRN CSC1(CNc2ccccc2[N+](=O)[O-])CCOCC1 ZINC000078412363 347250795 /nfs/dbraw/zinc/25/07/95/347250795.db2.gz LIWKRUAQCKOVBZ-UHFFFAOYSA-N 0 0 282.365 2.919 20 5 CFBDRN COc1cccc(F)c1[C@@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000078420511 347251092 /nfs/dbraw/zinc/25/10/92/347251092.db2.gz SOECSKOGJAXKDO-MRVPVSSYSA-N 0 0 294.286 2.649 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC3(CCCCC3)C2)cn1 ZINC000078423920 347251809 /nfs/dbraw/zinc/25/18/09/347251809.db2.gz UKIOICLSMBDKQD-UHFFFAOYSA-N 0 0 277.324 2.529 20 5 CFBDRN COc1cc(N[C@H]2CCCC[C@@H]2OC)ccc1[N+](=O)[O-] ZINC000078427065 347251901 /nfs/dbraw/zinc/25/19/01/347251901.db2.gz IVZLXURNXAXBCZ-AAEUAGOBSA-N 0 0 280.324 2.973 20 5 CFBDRN CC(C)[C@@H](C)CNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000077897413 347219380 /nfs/dbraw/zinc/21/93/80/347219380.db2.gz IJENIERLKVDVEE-VIFPVBQESA-N 0 0 290.323 2.535 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ccc([N+](=O)[O-])nc1 ZINC000077990683 347223220 /nfs/dbraw/zinc/22/32/20/347223220.db2.gz VSEXLNGRUDBYGG-QMMMGPOBSA-N 0 0 276.296 2.638 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1nccc(C)c1[N+](=O)[O-] ZINC000077991238 347223550 /nfs/dbraw/zinc/22/35/50/347223550.db2.gz NYOJLALSORYOSA-VIFPVBQESA-N 0 0 290.323 2.946 20 5 CFBDRN C[C@@H](Nc1cccc(F)c1[N+](=O)[O-])c1cnn(C)c1 ZINC000078306544 347240286 /nfs/dbraw/zinc/24/02/86/347240286.db2.gz MAWPUNRNXZUTHA-MRVPVSSYSA-N 0 0 264.260 2.641 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)C12CCC2 ZINC000078360629 347245931 /nfs/dbraw/zinc/24/59/31/347245931.db2.gz BPDXRYBLVQWTRF-NEPJUHHUSA-N 0 0 277.324 2.749 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)C12CCC2 ZINC000078360623 347246067 /nfs/dbraw/zinc/24/60/67/347246067.db2.gz BPDXRYBLVQWTRF-RYUDHWBXSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3CC=CC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000078372102 347247508 /nfs/dbraw/zinc/24/75/08/347247508.db2.gz BDRZOJAITLCGPH-PHIMTYICSA-N 0 0 289.291 2.905 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@H]1c1ccc(Cl)cc1 ZINC000078461837 347254722 /nfs/dbraw/zinc/25/47/22/347254722.db2.gz VEAKRWPWAPMRHN-NSHDSACASA-N 0 0 292.726 2.933 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@H](C)S1 ZINC000078495640 347256806 /nfs/dbraw/zinc/25/68/06/347256806.db2.gz WBDHAISOEFKEMP-AOOOYVTPSA-N 0 0 252.339 2.925 20 5 CFBDRN Cc1cnc(N2CCO[C@H](c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000078628990 347266136 /nfs/dbraw/zinc/26/61/36/347266136.db2.gz QSWDPPMCSPWOKA-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN CCCN(CC(F)F)c1ncc(C)cc1[N+](=O)[O-] ZINC000078656372 347267286 /nfs/dbraw/zinc/26/72/86/347267286.db2.gz WOSNVVWTETYLJQ-UHFFFAOYSA-N 0 0 259.256 2.780 20 5 CFBDRN Cc1cnc(N2CC[C@@H](Nc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000078658001 347268180 /nfs/dbraw/zinc/26/81/80/347268180.db2.gz RWAIQLXQLJGCAG-CQSZACIVSA-N 0 0 298.346 2.989 20 5 CFBDRN Cc1cnc(NC[C@](C)(O)CCC(C)C)c([N+](=O)[O-])c1 ZINC000078667198 347268950 /nfs/dbraw/zinc/26/89/50/347268950.db2.gz XHDCCXHDJLYRRL-CQSZACIVSA-N 0 0 281.356 2.897 20 5 CFBDRN CC[C@H]1CN(c2ncc(C)cc2[N+](=O)[O-])CCS1 ZINC000078671215 347269640 /nfs/dbraw/zinc/26/96/40/347269640.db2.gz DOEYRHMIXKBABJ-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN CCOc1ccccc1OCCn1c(C)ncc1[N+](=O)[O-] ZINC000078964091 347281963 /nfs/dbraw/zinc/28/19/63/347281963.db2.gz LRDRVBJDRQTPCJ-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN Cc1ccc(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])c(C)c1 ZINC000079942644 347332974 /nfs/dbraw/zinc/33/29/74/347332974.db2.gz QKHHZFYGNDXHCZ-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN CC1CC(NC(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000080050645 347340341 /nfs/dbraw/zinc/34/03/41/347340341.db2.gz WWRJENYBZJJUPT-UHFFFAOYSA-N 0 0 280.349 2.602 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCc3cccnc3)c2c1 ZINC000080109168 347344156 /nfs/dbraw/zinc/34/41/56/347344156.db2.gz IJOVWZSNTMLOOK-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCc3ccco3)c2c1 ZINC000080109160 347344374 /nfs/dbraw/zinc/34/43/74/347344374.db2.gz GUOFKELJXFYXEK-UHFFFAOYSA-N 0 0 270.248 2.743 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)C(F)(F)F ZINC000079450324 347304775 /nfs/dbraw/zinc/30/47/75/347304775.db2.gz BBJMWNRTEGOZNJ-ZETCQYMHSA-N 0 0 288.225 2.682 20 5 CFBDRN CCN(CC(F)F)c1ncc([N+](=O)[O-])cc1Cl ZINC000273300926 192105484 /nfs/dbraw/zinc/10/54/84/192105484.db2.gz LUOIFCVQPXQHLV-UHFFFAOYSA-N 0 0 265.647 2.735 20 5 CFBDRN CC[C@@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000081322448 347413355 /nfs/dbraw/zinc/41/33/55/347413355.db2.gz AWGJDWQVSWXCAW-ZDUSSCGKSA-N 0 0 265.313 2.575 20 5 CFBDRN CCC(O)(CC)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080607754 347369712 /nfs/dbraw/zinc/36/97/12/347369712.db2.gz IBBGEZHAYWMIFM-UHFFFAOYSA-N 0 0 282.340 2.704 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](C)C[C@H](C)O ZINC000080647153 347371572 /nfs/dbraw/zinc/37/15/72/347371572.db2.gz NAHYMSXDAOWQEG-KOLCDFICSA-N 0 0 252.314 2.722 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000080638601 347371689 /nfs/dbraw/zinc/37/16/89/347371689.db2.gz IMXNQSZYVPCDBN-ZWNOBZJWSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCOc1cccnc1 ZINC000080640095 347371763 /nfs/dbraw/zinc/37/17/63/347371763.db2.gz LZLXMQYZRZQJGR-UHFFFAOYSA-N 0 0 273.292 2.789 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H](OC)C(C)C ZINC000080669092 347373250 /nfs/dbraw/zinc/37/32/50/347373250.db2.gz DPKBRKULPGBWTL-CQSZACIVSA-N 0 0 282.340 2.824 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)[C@@H](C)c1ccccc1 ZINC000080688014 347375590 /nfs/dbraw/zinc/37/55/90/347375590.db2.gz GMAMFBICZWPDJY-MNOVXSKESA-N 0 0 274.324 2.932 20 5 CFBDRN Cc1noc(C)c1N(C)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000080944703 347386435 /nfs/dbraw/zinc/38/64/35/347386435.db2.gz PMGIMBUPXXPHEN-UHFFFAOYSA-N 0 0 289.291 2.785 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C[C@H](C)S1 ZINC000080962498 347389538 /nfs/dbraw/zinc/38/95/38/347389538.db2.gz PSRBPFSGFSDABO-DTORHVGOSA-N 0 0 298.339 2.700 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2)CCS1 ZINC000081032813 347398888 /nfs/dbraw/zinc/39/88/88/347398888.db2.gz VRDSBJPPQQNTEF-UHFFFAOYSA-N 0 0 252.339 2.927 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCC[C@H]2CCOC2)c1 ZINC000402148953 232683833 /nfs/dbraw/zinc/68/38/33/232683833.db2.gz OHGCVCVNYYSPAG-NSHDSACASA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@@H]2CCOC2)cc1 ZINC000402360077 232683895 /nfs/dbraw/zinc/68/38/95/232683895.db2.gz VHBVXAXUIFINNQ-LLVKDONJSA-N 0 0 250.298 2.823 20 5 CFBDRN COc1ccc(CN2CCC2(C)C)cc1[N+](=O)[O-] ZINC000085821765 347483183 /nfs/dbraw/zinc/48/31/83/347483183.db2.gz PGYPKZVLRDIUGT-UHFFFAOYSA-N 0 0 250.298 2.588 20 5 CFBDRN CC1(C)C[C@H]1Nc1ncc([N+](=O)[O-])cc1Br ZINC000086482043 347486251 /nfs/dbraw/zinc/48/62/51/347486251.db2.gz OZFSMWJVJHBKEO-MRVPVSSYSA-N 0 0 286.129 2.963 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[NH+]1CCC(C)(C(=O)[O-])CC1 ZINC000086777065 347489140 /nfs/dbraw/zinc/48/91/40/347489140.db2.gz SINCOKGJLCCKEK-LLVKDONJSA-N 0 0 292.335 2.843 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000086167278 179242201 /nfs/dbraw/zinc/24/22/01/179242201.db2.gz SHXDQKOSCKKSFQ-VIFPVBQESA-N 0 0 268.338 2.902 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](CCO)C2)c1 ZINC000084726770 347470433 /nfs/dbraw/zinc/47/04/33/347470433.db2.gz PVHWCFHLLCGOGR-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776205 347474698 /nfs/dbraw/zinc/47/46/98/347474698.db2.gz MGGQDEDWUURMBL-KCJUWKMLSA-N 0 0 271.276 2.738 20 5 CFBDRN Cc1noc(C)c1CCCNc1nccc(C)c1[N+](=O)[O-] ZINC000084928479 347476351 /nfs/dbraw/zinc/47/63/51/347476351.db2.gz JSXOAFHTZDJPME-UHFFFAOYSA-N 0 0 290.323 2.948 20 5 CFBDRN CC(C)c1nc(CN2CCc3cc([N+](=O)[O-])ccc32)no1 ZINC000086190111 179248027 /nfs/dbraw/zinc/24/80/27/179248027.db2.gz TWWPDJKEBWJQMM-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN CCn1cc(CN(C)c2cc(C)ccc2[N+](=O)[O-])cn1 ZINC000088723216 347507801 /nfs/dbraw/zinc/50/78/01/347507801.db2.gz NBGQGSSGMJSYRO-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN CC[C@H]1COCCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000088723584 347508393 /nfs/dbraw/zinc/50/83/93/347508393.db2.gz GMMKEGGSHJJGJO-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H](O)C(C)C)c1 ZINC000088725757 347508499 /nfs/dbraw/zinc/50/84/99/347508499.db2.gz DYQBXMXBDGIPIU-CYBMUJFWSA-N 0 0 252.314 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCOC2)nc1-c1ccccc1 ZINC000090139320 347544305 /nfs/dbraw/zinc/54/43/05/347544305.db2.gz GBSCNKLSDPKKGC-LBPRGKRZSA-N 0 0 285.303 2.858 20 5 CFBDRN Cc1nn(C)c(C)c1CCCNc1ccccc1[N+](=O)[O-] ZINC000089264934 347514743 /nfs/dbraw/zinc/51/47/43/347514743.db2.gz KAUBIMNYMSPHMG-UHFFFAOYSA-N 0 0 288.351 2.990 20 5 CFBDRN CCOC(=O)CC[C@H](C)Nc1ccccc1[N+](=O)[O-] ZINC000089657010 347525212 /nfs/dbraw/zinc/52/52/12/347525212.db2.gz PCVCVAPWHQXBSE-JTQLQIEISA-N 0 0 266.297 2.739 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000089650232 347525219 /nfs/dbraw/zinc/52/52/19/347525219.db2.gz ADYGAPOWTDQBKZ-SECBINFHSA-N 0 0 284.287 2.735 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1ccccc1[N+](=O)[O-] ZINC000089650338 347525227 /nfs/dbraw/zinc/52/52/27/347525227.db2.gz UGYUVSPGNVDNNR-SNVBAGLBSA-N 0 0 266.297 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](O)C2)nc1-c1ccccc1 ZINC000090065790 347538230 /nfs/dbraw/zinc/53/82/30/347538230.db2.gz BFVAOBSXEZFBSA-ZDUSSCGKSA-N 0 0 299.330 2.618 20 5 CFBDRN O=[N+]([O-])c1c(SCCCO)ccc2ncccc21 ZINC000090106965 347541991 /nfs/dbraw/zinc/54/19/91/347541991.db2.gz DVCTUJRKBHIBMJ-UHFFFAOYSA-N 0 0 264.306 2.618 20 5 CFBDRN CC(C)c1nc(CNc2ccc(F)c([N+](=O)[O-])c2)no1 ZINC000086188751 179247693 /nfs/dbraw/zinc/24/76/93/179247693.db2.gz NMFHYISITZCNDT-UHFFFAOYSA-N 0 0 280.259 2.852 20 5 CFBDRN CC[C@H](CSC)N(C)c1cc(C)c([N+](=O)[O-])cn1 ZINC000091022785 347566943 /nfs/dbraw/zinc/56/69/43/347566943.db2.gz CUFCYHJYAAUHCQ-SNVBAGLBSA-N 0 0 269.370 2.876 20 5 CFBDRN C[C@H](CCO)SCCc1ccc([N+](=O)[O-])cc1 ZINC000091266183 347581458 /nfs/dbraw/zinc/58/14/58/347581458.db2.gz OEUXBKHNMKAYHV-SNVBAGLBSA-N 0 0 255.339 2.641 20 5 CFBDRN CC(C)C[C@@H]1COCCN1c1ccc([N+](=O)[O-])cc1 ZINC000091485367 347590412 /nfs/dbraw/zinc/59/04/12/347590412.db2.gz AESIPPQIPDOYOW-CQSZACIVSA-N 0 0 264.325 2.846 20 5 CFBDRN CSC[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1 ZINC000091486166 347590458 /nfs/dbraw/zinc/59/04/58/347590458.db2.gz OLODDKRDKDZLGJ-LBPRGKRZSA-N 0 0 252.339 2.927 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])cc1)c1ccco1 ZINC000091485376 347590595 /nfs/dbraw/zinc/59/05/95/347590595.db2.gz ITNFLCBCSSAWPX-LBPRGKRZSA-N 0 0 262.265 2.987 20 5 CFBDRN Cc1cnc(NCc2cn3cccc(C)c3n2)c([N+](=O)[O-])c1 ZINC000091628304 347594054 /nfs/dbraw/zinc/59/40/54/347594054.db2.gz RLDWQIHYLLEVFR-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2ccncc2)c1 ZINC000092581597 347632453 /nfs/dbraw/zinc/63/24/53/347632453.db2.gz LEWMWKPDADADKM-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cn[nH]c2)c2ncccc12 ZINC000092606108 347634302 /nfs/dbraw/zinc/63/43/02/347634302.db2.gz KYKYLMOIYHYGLK-UHFFFAOYSA-N 0 0 255.237 2.610 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000092624283 347635930 /nfs/dbraw/zinc/63/59/30/347635930.db2.gz GCQILTBRUMTLCZ-GHMZBOCLSA-N 0 0 279.340 2.618 20 5 CFBDRN CCOCCN(C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000092963293 347655927 /nfs/dbraw/zinc/65/59/27/347655927.db2.gz LKOKQHMPTPNNIA-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN C[C@@H]1CC[C@H](C)[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000093498126 347677354 /nfs/dbraw/zinc/67/73/54/347677354.db2.gz GVEBQYCVMFOHTI-MNOVXSKESA-N 0 0 264.325 2.921 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[NH+]1CCC(CC(=O)[O-])CC1 ZINC000093682408 347681546 /nfs/dbraw/zinc/68/15/46/347681546.db2.gz JIRLLYZIWHNKCY-LLVKDONJSA-N 0 0 292.335 2.843 20 5 CFBDRN COC(=O)C(C)(C)CSc1ccc([N+](=O)[O-])cc1 ZINC000093703685 347682002 /nfs/dbraw/zinc/68/20/02/347682002.db2.gz YPHOHINRIFTKHE-UHFFFAOYSA-N 0 0 269.322 2.886 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000098122502 347712929 /nfs/dbraw/zinc/71/29/29/347712929.db2.gz OXUBSQZMPZWDRA-MNOVXSKESA-N 0 0 279.340 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH+]1C[C@@H]2CCC[C@H]2C1 ZINC000093442907 347673945 /nfs/dbraw/zinc/67/39/45/347673945.db2.gz IYDRDEGXCBITJA-QWRGUYRKSA-N 0 0 262.309 2.532 20 5 CFBDRN CN(c1nc2sccn2c1[N+](=O)[O-])C(C1CC1)C1CC1 ZINC000112965562 347765740 /nfs/dbraw/zinc/76/57/40/347765740.db2.gz OEWBCOMOPQBQDU-UHFFFAOYSA-N 0 0 292.364 2.929 20 5 CFBDRN CC(C)N(C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000086402690 179285872 /nfs/dbraw/zinc/28/58/72/179285872.db2.gz CXEGVJAPAGXCTR-UHFFFAOYSA-N 0 0 293.367 2.967 20 5 CFBDRN COc1cc(NCc2cc(C)on2)ccc1[N+](=O)[O-] ZINC000111048988 347752265 /nfs/dbraw/zinc/75/22/65/347752265.db2.gz UVBBZKQPOZGEEE-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN C[C@@H](NC(=O)NCc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000112447026 347761090 /nfs/dbraw/zinc/76/10/90/347761090.db2.gz QJYIJKKQVMGNJW-SNVBAGLBSA-N 0 0 279.340 2.829 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H](O)C2CCCCC2)c(Cl)c1 ZINC000122795592 347816829 /nfs/dbraw/zinc/81/68/29/347816829.db2.gz LJNAJFSZWFZPMS-LBPRGKRZSA-N 0 0 299.758 2.996 20 5 CFBDRN Cc1ccc(CNCc2cccc([N+](=O)[O-])c2)nc1 ZINC000127013770 347838699 /nfs/dbraw/zinc/83/86/99/347838699.db2.gz CARLMXNIKSVNGB-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCc1nnsc1Cl ZINC000127896904 347845533 /nfs/dbraw/zinc/84/55/33/347845533.db2.gz XWPJMQSNGXFEPP-UHFFFAOYSA-N 0 0 288.741 2.787 20 5 CFBDRN Cc1noc(C)c1CCOc1ccc([N+](=O)[O-])cc1 ZINC000126368853 347834309 /nfs/dbraw/zinc/83/43/09/347834309.db2.gz JIGVBPFCTIJUCC-UHFFFAOYSA-N 0 0 262.265 2.821 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)C2CCC2)ccc1[N+](=O)[O-] ZINC000129683199 347863768 /nfs/dbraw/zinc/86/37/68/347863768.db2.gz OMMSTHIWMLUSMH-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN CC(C)c1noc(CCc2cccc([N+](=O)[O-])c2)n1 ZINC000130569737 347872566 /nfs/dbraw/zinc/87/25/66/347872566.db2.gz FFXQLQZWZONKPB-UHFFFAOYSA-N 0 0 261.281 2.886 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1cccc(O)c1 ZINC000130888682 347875431 /nfs/dbraw/zinc/87/54/31/347875431.db2.gz YMYXMDIFGAISGV-UHFFFAOYSA-N 0 0 279.321 2.598 20 5 CFBDRN Cc1noc(C)c1[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000131028974 347875831 /nfs/dbraw/zinc/87/58/31/347875831.db2.gz MKQFSSKKEUUAJA-QMMMGPOBSA-N 0 0 289.291 2.942 20 5 CFBDRN C[C@H](CC(F)F)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000411584403 232769201 /nfs/dbraw/zinc/76/92/01/232769201.db2.gz QEUWSEAJTAEREX-SECBINFHSA-N 0 0 286.278 2.545 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1C[C@H]1c1ccccc1 ZINC000134403149 347898173 /nfs/dbraw/zinc/89/81/73/347898173.db2.gz RIAHKEAYLUVIFB-WCQYABFASA-N 0 0 255.277 2.958 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC1CCSCC1 ZINC000134597826 347900486 /nfs/dbraw/zinc/90/04/86/347900486.db2.gz JWPCOXJABXRNRM-UHFFFAOYSA-N 0 0 253.327 2.545 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)c2cccnc2)cc1[N+](=O)[O-] ZINC000143724797 347938402 /nfs/dbraw/zinc/93/84/02/347938402.db2.gz CYBOOFZXYFSERM-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN CCc1ccc(CN(C)c2c([N+](=O)[O-])ncn2C)cc1 ZINC000137643287 347914432 /nfs/dbraw/zinc/91/44/32/347914432.db2.gz CDRJCRPPGWTMNJ-UHFFFAOYSA-N 0 0 274.324 2.527 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@H](C)C2CCC2)c1[N+](=O)[O-] ZINC000153159546 347972051 /nfs/dbraw/zinc/97/20/51/347972051.db2.gz ACJPCKSEHYKPKW-VIFPVBQESA-N 0 0 293.323 2.767 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H]1CCCCO1 ZINC000147381002 347947693 /nfs/dbraw/zinc/94/76/93/347947693.db2.gz CEXJGKPJCPBBSF-GFCCVEGCSA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CCCCO1 ZINC000147380775 347947700 /nfs/dbraw/zinc/94/77/00/347947700.db2.gz CEXJGKPJCPBBSF-LBPRGKRZSA-N 0 0 251.282 2.851 20 5 CFBDRN COc1cc(NCC2(CO)CCCCC2)ccc1[N+](=O)[O-] ZINC000157366407 347999899 /nfs/dbraw/zinc/99/98/99/347999899.db2.gz FAEXANVEOIKWFA-UHFFFAOYSA-N 0 0 294.351 2.958 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)/C=C\c1ccc[nH]1 ZINC000491952580 282580388 /nfs/dbraw/zinc/58/03/88/282580388.db2.gz HYORJRREAHFOGA-HJWRWDBZSA-N 0 0 285.303 2.595 20 5 CFBDRN C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1N)c1ccsc1 ZINC000161477282 348024585 /nfs/dbraw/zinc/02/45/85/348024585.db2.gz IFZFUGPCGZANQB-MRVPVSSYSA-N 0 0 291.332 2.730 20 5 CFBDRN COc1ccc(CSc2ncccc2[N+](=O)[O-])cn1 ZINC000154351908 347979958 /nfs/dbraw/zinc/97/99/58/347979958.db2.gz OTWZWFNUXCSOHF-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN O=C(NC1CC=CC1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000154468512 347980272 /nfs/dbraw/zinc/98/02/72/347980272.db2.gz JWKGNPCEWYZOMV-UHFFFAOYSA-N 0 0 287.319 2.618 20 5 CFBDRN O=c1c2ccccc2ccn1Cc1cccc([N+](=O)[O-])c1 ZINC000171133767 348070403 /nfs/dbraw/zinc/07/04/03/348070403.db2.gz HAAADZOCIZJVSW-UHFFFAOYSA-N 0 0 280.283 2.958 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H]1CCCCO1 ZINC000165552584 348040111 /nfs/dbraw/zinc/04/01/11/348040111.db2.gz XHHXZJXPYOWDNY-LLVKDONJSA-N 0 0 267.281 2.551 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000172645989 348100830 /nfs/dbraw/zinc/10/08/30/348100830.db2.gz WABOGMKZWFUBSP-DTWKUNHWSA-N 0 0 268.338 2.917 20 5 CFBDRN O=C(Nc1cnn(CC2CC2)c1)c1csc([N+](=O)[O-])c1 ZINC000173358600 348108169 /nfs/dbraw/zinc/10/81/69/348108169.db2.gz HEMVRYQCMKHOMW-UHFFFAOYSA-N 0 0 292.320 2.515 20 5 CFBDRN COc1cccc(NC2C[C@@H](C)O[C@H](C)C2)c1[N+](=O)[O-] ZINC000185658466 348128906 /nfs/dbraw/zinc/12/89/06/348128906.db2.gz KTDAAMJQLJVQOB-NXEZZACHSA-N 0 0 280.324 2.971 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000171877015 348082902 /nfs/dbraw/zinc/08/29/02/348082902.db2.gz WNRCDXADADNKJQ-SNVBAGLBSA-N 0 0 280.324 2.722 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000172371402 348091668 /nfs/dbraw/zinc/09/16/68/348091668.db2.gz YRGZCMXWVGRTTI-LLVKDONJSA-N 0 0 279.340 2.686 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000172444576 348094386 /nfs/dbraw/zinc/09/43/86/348094386.db2.gz ATCBIAMICLBLNI-JTQLQIEISA-N 0 0 250.298 2.617 20 5 CFBDRN COc1cccc(N2C[C@H](C)OC3(CCC3)C2)c1[N+](=O)[O-] ZINC000564325044 290203217 /nfs/dbraw/zinc/20/32/17/290203217.db2.gz OLBMYXONVAMVAB-NSHDSACASA-N 0 0 292.335 2.751 20 5 CFBDRN CC(C)c1nc(COc2cc(F)ccc2[N+](=O)[O-])no1 ZINC000104055558 185886990 /nfs/dbraw/zinc/88/69/90/185886990.db2.gz WOBGDUIGMACXQA-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C1CC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412310339 232880630 /nfs/dbraw/zinc/88/06/30/232880630.db2.gz LYUKQWHOSVCOBI-BYNSBNAKSA-N 0 0 286.331 2.613 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1CCCOCC1 ZINC000086871074 179364017 /nfs/dbraw/zinc/36/40/17/179364017.db2.gz QGQJFIUVVCQKRN-JTQLQIEISA-N 0 0 254.261 2.715 20 5 CFBDRN CCCCNc1ccc(CC(=O)OCC)cc1[N+](=O)[O-] ZINC000220675498 348170360 /nfs/dbraw/zinc/17/03/60/348170360.db2.gz OPFHOELOBIHGOE-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN C[C@H]([NH2+]C[C@@H]1CCO[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000235505623 348227725 /nfs/dbraw/zinc/22/77/25/348227725.db2.gz MVFIRONDQYCRHG-TUAOUCFPSA-N 0 0 264.325 2.670 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])C1(C)C ZINC000227286478 348185873 /nfs/dbraw/zinc/18/58/73/348185873.db2.gz IRDWIDXOKMVAKN-NXEZZACHSA-N 0 0 285.731 2.869 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000227821158 348190828 /nfs/dbraw/zinc/19/08/28/348190828.db2.gz BBYFKRKJWHPSKX-NWDGAFQWSA-N 0 0 250.298 2.820 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2C)C1(C)C ZINC000227822839 348191034 /nfs/dbraw/zinc/19/10/34/348191034.db2.gz DJWRRYCSMGDDDT-WDEREUQCSA-N 0 0 265.313 2.524 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000227819798 348191129 /nfs/dbraw/zinc/19/11/29/348191129.db2.gz DBKLJWHYCRFZNM-WDEREUQCSA-N 0 0 265.313 2.524 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000227867300 348191988 /nfs/dbraw/zinc/19/19/88/348191988.db2.gz SJQRRXKLVWDUIG-VHSXEESVSA-N 0 0 288.307 2.517 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000230187154 348205271 /nfs/dbraw/zinc/20/52/71/348205271.db2.gz JBIXVMSPISSAHB-WDEREUQCSA-N 0 0 265.313 2.524 20 5 CFBDRN COc1cc(C)nc(NCc2cccc([N+](=O)[O-])c2C)n1 ZINC000231869247 348208873 /nfs/dbraw/zinc/20/88/73/348208873.db2.gz SJNVUODTIXWLMT-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN C[C@]1(CCCO)CCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000273339300 192118663 /nfs/dbraw/zinc/11/86/63/192118663.db2.gz SNTYXHUEMGJSRK-CYBMUJFWSA-N 0 0 299.758 2.775 20 5 CFBDRN C[C@@H](CC[S@](C)=O)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000128841019 187393368 /nfs/dbraw/zinc/39/33/68/187393368.db2.gz SAALFULCWIQCII-DCXZOGHSSA-N 0 0 290.772 2.817 20 5 CFBDRN Nc1nc(N2CCCC[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000273852591 348334130 /nfs/dbraw/zinc/33/41/30/348334130.db2.gz KFIKUTYUASDHRA-LBPRGKRZSA-N 0 0 299.334 2.699 20 5 CFBDRN CCOC(=O)CC[C@@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000285059002 348345780 /nfs/dbraw/zinc/34/57/80/348345780.db2.gz GWUQYJJPHQHHHV-SECBINFHSA-N 0 0 284.337 2.814 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCc1ccccn1 ZINC000302562089 348374328 /nfs/dbraw/zinc/37/43/28/348374328.db2.gz MPPINKKBCVFMLQ-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1c(CN2CCC[C@@H]2CF)cccc1[N+](=O)[O-] ZINC000292921624 348360546 /nfs/dbraw/zinc/36/05/46/348360546.db2.gz BURFPWXWQZTEHZ-GFCCVEGCSA-N 0 0 252.289 2.837 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCc1ccco1 ZINC000310526241 348409749 /nfs/dbraw/zinc/40/97/49/348409749.db2.gz WXYFBLAMJUZGIL-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H](C)C1CC1 ZINC000311046686 348411285 /nfs/dbraw/zinc/41/12/85/348411285.db2.gz HKAICAMCANGYBM-MRVPVSSYSA-N 0 0 252.318 2.578 20 5 CFBDRN COc1cc(N[C@@H]2CCCOC2)c([N+](=O)[O-])cc1C ZINC000311528379 348413132 /nfs/dbraw/zinc/41/31/32/348413132.db2.gz KRTPVUMDCYWMIP-SNVBAGLBSA-N 0 0 266.297 2.503 20 5 CFBDRN COc1cc(NC[C@H]2CCCO2)c([N+](=O)[O-])cc1C ZINC000311625431 348413869 /nfs/dbraw/zinc/41/38/69/348413869.db2.gz NCAHAAMFNGIGPC-SNVBAGLBSA-N 0 0 266.297 2.503 20 5 CFBDRN CCS[C@@H]1CCC[C@H]1Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000311619733 348413884 /nfs/dbraw/zinc/41/38/84/348413884.db2.gz HARPQEFSWBHXIL-NXEZZACHSA-N 0 0 282.369 2.658 20 5 CFBDRN COc1cc(NC[C@@H]2CCCO2)c([N+](=O)[O-])cc1C ZINC000311625430 348413934 /nfs/dbraw/zinc/41/39/34/348413934.db2.gz NCAHAAMFNGIGPC-JTQLQIEISA-N 0 0 266.297 2.503 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCCOCC1CC1 ZINC000311935209 348415625 /nfs/dbraw/zinc/41/56/25/348415625.db2.gz FFQRGNITKRBPHR-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000413022359 233005571 /nfs/dbraw/zinc/00/55/71/233005571.db2.gz DCOHJPUBSRVCAT-UHFFFAOYSA-N 0 0 280.324 2.904 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000323890017 348438894 /nfs/dbraw/zinc/43/88/94/348438894.db2.gz OSJGHQFUTGWUNP-LLVKDONJSA-N 0 0 266.272 2.652 20 5 CFBDRN CC[C@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])C1CC1 ZINC000324248199 348439051 /nfs/dbraw/zinc/43/90/51/348439051.db2.gz ASPTXBFVIYSSLN-NSHDSACASA-N 0 0 298.364 2.599 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCS[C@@H]2C)c1 ZINC000313378711 348422623 /nfs/dbraw/zinc/42/26/23/348422623.db2.gz QYOYIZODXCSQQA-SCZZXKLOSA-N 0 0 296.348 2.687 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCS[C@H]2C)c1 ZINC000313379524 348422672 /nfs/dbraw/zinc/42/26/72/348422672.db2.gz QYOYIZODXCSQQA-WPRPVWTQSA-N 0 0 296.348 2.687 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCOCC1CCCC1 ZINC000313472722 348423192 /nfs/dbraw/zinc/42/31/92/348423192.db2.gz RWOVVORZZJXXTG-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1c(C)noc1C ZINC000313808327 348423918 /nfs/dbraw/zinc/42/39/18/348423918.db2.gz HTQRCDSTAKTPOK-ZETCQYMHSA-N 0 0 293.327 2.898 20 5 CFBDRN CN1C[C@@H](Nc2ccc(C(F)F)cc2[N+](=O)[O-])CCC1=O ZINC000314510000 348426459 /nfs/dbraw/zinc/42/64/59/348426459.db2.gz JFGRGLZVDLMXIP-VIFPVBQESA-N 0 0 299.277 2.565 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1noc(C2(F)CC2)n1 ZINC000351341204 348493376 /nfs/dbraw/zinc/49/33/76/348493376.db2.gz IHGLSORUJQEGLT-UHFFFAOYSA-N 0 0 292.270 2.591 20 5 CFBDRN C[C@@H](OCC1CC1)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351145713 348485847 /nfs/dbraw/zinc/48/58/47/348485847.db2.gz KFNXAMYVZBVRDD-SSDOTTSWSA-N 0 0 279.252 2.725 20 5 CFBDRN C[C@H](OCC1CC1)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351145714 348485986 /nfs/dbraw/zinc/48/59/86/348485986.db2.gz KFNXAMYVZBVRDD-ZETCQYMHSA-N 0 0 279.252 2.725 20 5 CFBDRN C[C@H](CC(=O)OC(C)(C)C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413144241 233023409 /nfs/dbraw/zinc/02/34/09/233023409.db2.gz XMQYKGDXCUQAEN-MRVPVSSYSA-N 0 0 299.302 2.661 20 5 CFBDRN CC(C)n1ccc(CN2CCc3ccc([N+](=O)[O-])cc32)n1 ZINC000273402012 192138935 /nfs/dbraw/zinc/13/89/35/192138935.db2.gz MLYJLVRYNOFCSI-UHFFFAOYSA-N 0 0 286.335 2.935 20 5 CFBDRN Cc1cc(NC(=O)N2CC3CC2(C)C3)ccc1[N+](=O)[O-] ZINC000596822297 349993743 /nfs/dbraw/zinc/99/37/43/349993743.db2.gz BUOLDKOIOOQBQF-UHFFFAOYSA-N 0 0 275.308 2.919 20 5 CFBDRN COc1ccc(NC(=O)N[C@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000596827548 349994441 /nfs/dbraw/zinc/99/44/41/349994441.db2.gz DFBOECGKINBKMC-CQSZACIVSA-N 0 0 293.323 2.914 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(C2(F)CC2)n1 ZINC000351327507 348492830 /nfs/dbraw/zinc/49/28/30/348492830.db2.gz LJALGQOORAXDDW-UHFFFAOYSA-N 0 0 263.228 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C3(F)CC3)n2)s1 ZINC000351366911 348494631 /nfs/dbraw/zinc/49/46/31/348494631.db2.gz MENHQJHMKGGUAV-UHFFFAOYSA-N 0 0 255.230 2.665 20 5 CFBDRN COC/C(C)=C/c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000351516798 348497641 /nfs/dbraw/zinc/49/76/41/348497641.db2.gz RQLGOASGKUDMEJ-FNORWQNLSA-N 0 0 281.293 2.756 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1NCCCCO ZINC000385354468 348533840 /nfs/dbraw/zinc/53/38/40/348533840.db2.gz DJKVQGNPDBNIIU-UHFFFAOYSA-N 0 0 260.240 2.717 20 5 CFBDRN C[C@@H](CO)CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000385359433 348533863 /nfs/dbraw/zinc/53/38/63/348533863.db2.gz FFAQFJCQRBPKFF-SSDOTTSWSA-N 0 0 260.240 2.573 20 5 CFBDRN CCSCCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000401112543 348577300 /nfs/dbraw/zinc/57/73/00/348577300.db2.gz CQYMMRKLKPOSAB-UHFFFAOYSA-N 0 0 259.306 2.684 20 5 CFBDRN CCOc1cc(N[C@H]2CO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000402207598 348580638 /nfs/dbraw/zinc/58/06/38/348580638.db2.gz IJENPBBJAIEJBS-MWLCHTKSSA-N 0 0 266.297 2.583 20 5 CFBDRN O=[N+]([O-])c1cccc(NCCC[C@@H]2CCOC2)c1 ZINC000402360050 348581538 /nfs/dbraw/zinc/58/15/38/348581538.db2.gz VFSDLDWXLWVYKC-LLVKDONJSA-N 0 0 250.298 2.823 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H](O)C2CCCCC2)c1 ZINC000402457706 348582260 /nfs/dbraw/zinc/58/22/60/348582260.db2.gz WEUJFISEEFGXOP-CQSZACIVSA-N 0 0 295.335 2.923 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H](O)C2CCCCC2)c1 ZINC000402457705 348582284 /nfs/dbraw/zinc/58/22/84/348582284.db2.gz WEUJFISEEFGXOP-AWEZNQCLSA-N 0 0 295.335 2.923 20 5 CFBDRN CO[C@@H](CCNc1ncc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000413257287 233042896 /nfs/dbraw/zinc/04/28/96/233042896.db2.gz NQFVOJLUZDRLQG-QMMMGPOBSA-N 0 0 297.208 2.508 20 5 CFBDRN O=C1CCCN1CCNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000405392885 348597657 /nfs/dbraw/zinc/59/76/57/348597657.db2.gz HCQORQBXOSNMSV-UHFFFAOYSA-N 0 0 299.277 2.567 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)c(F)c1 ZINC000413213222 233035738 /nfs/dbraw/zinc/03/57/38/233035738.db2.gz YFRSWHLAGMYWMV-KWCYVHTRSA-N 0 0 285.278 2.879 20 5 CFBDRN Cc1nc(CCNc2ncc([N+](=O)[O-])cc2F)sc1C ZINC000413211588 233035855 /nfs/dbraw/zinc/03/58/55/233035855.db2.gz WRTMYVOHKQGMAL-UHFFFAOYSA-N 0 0 296.327 2.857 20 5 CFBDRN COC1(CNc2ncc([N+](=O)[O-])cc2F)CCCCC1 ZINC000413226265 233037537 /nfs/dbraw/zinc/03/75/37/233037537.db2.gz HTZWGOKPIUTAFV-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN CC1(C)[C@H](Nc2ncc([N+](=O)[O-])cc2F)[C@@H]2CCCO[C@@H]21 ZINC000584766372 348713578 /nfs/dbraw/zinc/71/35/78/348713578.db2.gz ATWLQXOFCGZAAZ-WCQGTBRESA-N 0 0 295.314 2.744 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC2(C1)CC(F)(F)C2 ZINC000588040510 348768126 /nfs/dbraw/zinc/76/81/26/348768126.db2.gz AWQQWOBTFFFEAI-UHFFFAOYSA-N 0 0 272.226 2.969 20 5 CFBDRN Cc1nc(N2CC3(C2)CC(F)(F)C3)ccc1[N+](=O)[O-] ZINC000588040908 348768919 /nfs/dbraw/zinc/76/89/19/348768919.db2.gz WYTLNVCGRPZGSO-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN CCOC(=O)c1cc(N2CCC[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000588066221 348769906 /nfs/dbraw/zinc/76/99/06/348769906.db2.gz JLMCYJAXLXDNPF-IINYFYTJSA-N 0 0 290.319 2.760 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]3C[C@H]32)c(Br)c1 ZINC000588066520 348770021 /nfs/dbraw/zinc/77/00/21/348770021.db2.gz QXCIAKFUEVHOGU-OIBJUYFYSA-N 0 0 298.140 2.741 20 5 CFBDRN O=C(N[C@@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000588179917 348778348 /nfs/dbraw/zinc/77/83/48/348778348.db2.gz CRFWBKVEPVAFBN-SNVBAGLBSA-N 0 0 288.225 2.652 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H](OC)C23CCC3)ccc1[N+](=O)[O-] ZINC000413432115 233072097 /nfs/dbraw/zinc/07/20/97/233072097.db2.gz BDBPSJKVPPTEFW-KGLIPLIRSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1nc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)co1 ZINC000588848469 348798065 /nfs/dbraw/zinc/79/80/65/348798065.db2.gz PDBGAYMFNIGNTD-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@@H]2C[C@@H]21 ZINC000586890208 348759978 /nfs/dbraw/zinc/75/99/78/348759978.db2.gz LCLOAMPYVDJZER-OTYXRUKQSA-N 0 0 285.303 2.701 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000586892839 348759980 /nfs/dbraw/zinc/75/99/80/348759980.db2.gz WPYWUDVHTDWCGC-MFKMUULPSA-N 0 0 260.293 2.528 20 5 CFBDRN C[C@@H](N(C)c1cc(N)c([N+](=O)[O-])c(CO)c1)C(C)(C)C ZINC000587132995 348761074 /nfs/dbraw/zinc/76/10/74/348761074.db2.gz JZKWFKNQGGUYPZ-SECBINFHSA-N 0 0 281.356 2.540 20 5 CFBDRN C[C@H]1CCC[C@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587165250 348761910 /nfs/dbraw/zinc/76/19/10/348761910.db2.gz ZHLFZFYHFYHDKT-ONGXEEELSA-N 0 0 279.340 2.660 20 5 CFBDRN CC(C)CC[C@@H](C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587473036 348762464 /nfs/dbraw/zinc/76/24/64/348762464.db2.gz MCDXHGATFKQYCO-SNVBAGLBSA-N 0 0 281.356 2.906 20 5 CFBDRN CC(=O)c1cc(NCc2cc(C)on2)ccc1[N+](=O)[O-] ZINC000587473057 348762571 /nfs/dbraw/zinc/76/25/71/348762571.db2.gz RNTZKSZTARCZIT-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CC(C)C1(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)CC1 ZINC000587994275 348764928 /nfs/dbraw/zinc/76/49/28/348764928.db2.gz IVPGNKOXANTRQM-UHFFFAOYSA-N 0 0 279.340 2.517 20 5 CFBDRN Nc1cc(N[C@H]2CC23CCCCC3)cc(CO)c1[N+](=O)[O-] ZINC000588011212 348765874 /nfs/dbraw/zinc/76/58/74/348765874.db2.gz GCYFHDBGVWQEPB-ZDUSSCGKSA-N 0 0 291.351 2.804 20 5 CFBDRN CCC[C@H]1CN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)CCO1 ZINC000588008362 348765949 /nfs/dbraw/zinc/76/59/49/348765949.db2.gz ICMHUHQMYVAWQY-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN CN(C(=O)[C@H]1CC12CCCCC2)c1ccc([N+](=O)[O-])nc1 ZINC000588984080 348807463 /nfs/dbraw/zinc/80/74/63/348807463.db2.gz NTZAKAVQIYSQIC-GFCCVEGCSA-N 0 0 289.335 2.923 20 5 CFBDRN COCC[C@H](C)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000413487487 233081411 /nfs/dbraw/zinc/08/14/11/233081411.db2.gz CKMJHTLLVGXLMT-NSHDSACASA-N 0 0 289.335 2.649 20 5 CFBDRN CC[C@H](CO)Nc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000589103811 348810842 /nfs/dbraw/zinc/81/08/42/348810842.db2.gz NLJDDCRECCMHSB-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN C[C@H]1CN(c2ccc(C(F)(F)F)c([N+](=O)[O-])c2)CCO1 ZINC000589104743 348810871 /nfs/dbraw/zinc/81/08/71/348810871.db2.gz ZXAZGWQUZHCAQS-QMMMGPOBSA-N 0 0 290.241 2.839 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1C[C@H]2CCC[C@@H]2C1 ZINC000589113516 348811293 /nfs/dbraw/zinc/81/12/93/348811293.db2.gz PANBESPNJBJQKR-RKDXNWHRSA-N 0 0 267.716 2.880 20 5 CFBDRN CC(C)(O)CNc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000589114959 348811726 /nfs/dbraw/zinc/81/17/26/348811726.db2.gz YPKAPVQESNMQOQ-UHFFFAOYSA-N 0 0 278.230 2.796 20 5 CFBDRN C[C@H]1C[C@@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000589147310 348813406 /nfs/dbraw/zinc/81/34/06/348813406.db2.gz VMPRNYXNLYCYBG-WDSKDSINSA-N 0 0 251.267 2.830 20 5 CFBDRN COCC[C@@H](C)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000413499577 233084437 /nfs/dbraw/zinc/08/44/37/233084437.db2.gz YZSVXCRAFBSHDA-MRVPVSSYSA-N 0 0 273.720 2.728 20 5 CFBDRN Cc1cc(N2C[C@H](O)C[C@@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000413501802 233085050 /nfs/dbraw/zinc/08/50/50/233085050.db2.gz MBRZYOLIQGGKIT-ZIAGYGMSSA-N 0 0 299.330 2.611 20 5 CFBDRN COCC1CCC(Nc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000413528877 233089864 /nfs/dbraw/zinc/08/98/64/233089864.db2.gz FVGYKGHXVNYJBR-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000413529906 233089902 /nfs/dbraw/zinc/08/99/02/233089902.db2.gz JDCHERGRZAQJOC-AXFHLTTASA-N 0 0 280.324 2.829 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])c(N)c2F)[C@H](C)O1 ZINC000413531822 233091229 /nfs/dbraw/zinc/09/12/29/233091229.db2.gz MEDDPKBSXKPYAE-VGMNWLOBSA-N 0 0 283.303 2.542 20 5 CFBDRN Cc1cnc(NC[C@@H]2C[C@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000413541766 233093519 /nfs/dbraw/zinc/09/35/19/233093519.db2.gz WCSTYZFFRLHTAP-DCAQKATOSA-N 0 0 265.313 2.524 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC(CO)(c2ccccc2)C1 ZINC000413558397 233095561 /nfs/dbraw/zinc/09/55/61/233095561.db2.gz WFUHRMONORLBPW-UHFFFAOYSA-N 0 0 298.342 2.654 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)c2csnn2)n1 ZINC000413560310 233095635 /nfs/dbraw/zinc/09/56/35/233095635.db2.gz WPGQSNOQHXZPES-QMMMGPOBSA-N 0 0 279.325 2.631 20 5 CFBDRN CC[C@@H](C)CN(CC)c1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000589581593 348839634 /nfs/dbraw/zinc/83/96/34/348839634.db2.gz KKKOQHCDPTZSNT-SNVBAGLBSA-N 0 0 281.356 2.542 20 5 CFBDRN C[C@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)C1CCCC1 ZINC000589573859 348839715 /nfs/dbraw/zinc/83/97/15/348839715.db2.gz QZJHVQNYRHXSFO-VIFPVBQESA-N 0 0 279.340 2.660 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000589585195 348840056 /nfs/dbraw/zinc/84/00/56/348840056.db2.gz VHYNMZMTKWFWJM-QWRGUYRKSA-N 0 0 291.351 2.790 20 5 CFBDRN NC(=O)c1ccnc(Nc2ccc(C3CC3)cc2)c1[N+](=O)[O-] ZINC000589587800 348840083 /nfs/dbraw/zinc/84/00/83/348840083.db2.gz XDWFMSRTTGPVGL-UHFFFAOYSA-N 0 0 298.302 2.710 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(N)c2F)C12CCC2 ZINC000413448046 233074795 /nfs/dbraw/zinc/07/47/95/233074795.db2.gz QJFUTHBOBKHYPL-QWRGUYRKSA-N 0 0 295.314 2.686 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@@H](F)C2)c1 ZINC000589598547 348841064 /nfs/dbraw/zinc/84/10/64/348841064.db2.gz ZLTYEWGTMBSEFP-SECBINFHSA-N 0 0 254.261 2.542 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@@H](C(F)F)C1 ZINC000589599272 348841362 /nfs/dbraw/zinc/84/13/62/348841362.db2.gz BXQURFCHHTYAQO-SECBINFHSA-N 0 0 284.262 2.889 20 5 CFBDRN Cc1ccc(NCc2ccc(CC(N)=O)cc2)c([N+](=O)[O-])c1 ZINC000589601769 348841928 /nfs/dbraw/zinc/84/19/28/348841928.db2.gz ZBBWDEFCZOEYPU-UHFFFAOYSA-N 0 0 299.330 2.543 20 5 CFBDRN COc1cc(N2CCC3(CC3)C2)c([N+](=O)[O-])cc1F ZINC000589600104 348841938 /nfs/dbraw/zinc/84/19/38/348841938.db2.gz PNOBHWNQFLPEGO-UHFFFAOYSA-N 0 0 266.272 2.733 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@]1(CO)C[C@H]1c1ccccc1 ZINC000589603246 348842093 /nfs/dbraw/zinc/84/20/93/348842093.db2.gz NHHLSENYXQTTTB-XJKSGUPXSA-N 0 0 299.330 2.568 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3ccsc3[N+](=O)[O-])C2)c1 ZINC000589608675 348842738 /nfs/dbraw/zinc/84/27/38/348842738.db2.gz CSVDIHZMALFIET-JTQLQIEISA-N 0 0 278.337 2.613 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)C12CCC2 ZINC000413454292 233076416 /nfs/dbraw/zinc/07/64/16/233076416.db2.gz VRKHAIFUPRPYPP-VXGBXAGGSA-N 0 0 277.324 2.668 20 5 CFBDRN O=C(CCCC1CC1)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000589684402 348850169 /nfs/dbraw/zinc/85/01/69/348850169.db2.gz HWDOXSJHRYUAAH-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CO[C@@](C)(CNc1ccc([N+](=O)[O-])s1)C1CC1 ZINC000589692881 348851102 /nfs/dbraw/zinc/85/11/02/348851102.db2.gz CYDGPCWFBAPJSL-NSHDSACASA-N 0 0 256.327 2.883 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)OCC1CC1 ZINC000589810960 348857669 /nfs/dbraw/zinc/85/76/69/348857669.db2.gz KLDDCUWIZQSTCX-JTQLQIEISA-N 0 0 292.335 2.911 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1nccs1 ZINC000589807811 348857868 /nfs/dbraw/zinc/85/78/68/348857868.db2.gz CIIHXNCAKKQVIT-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN COCC[C@@H](C)CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000413628101 233107259 /nfs/dbraw/zinc/10/72/59/233107259.db2.gz VJINNSOMCKYENQ-SNVBAGLBSA-N 0 0 295.339 2.697 20 5 CFBDRN COc1ccncc1CNc1ccsc1[N+](=O)[O-] ZINC000590061084 348871783 /nfs/dbraw/zinc/87/17/83/348871783.db2.gz IDZOKGFBAATXFO-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN CC(F)(F)CN[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000590260908 348887731 /nfs/dbraw/zinc/88/77/31/348887731.db2.gz WWTSCPPCPIZZHR-UWVGGRQHSA-N 0 0 288.298 2.520 20 5 CFBDRN COC[C@]1(C)CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000590707914 348932344 /nfs/dbraw/zinc/93/23/44/348932344.db2.gz QCGCEAHRBHQQOZ-LLVKDONJSA-N 0 0 256.327 2.519 20 5 CFBDRN COC[C@@]1(C)CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000590707920 348932396 /nfs/dbraw/zinc/93/23/96/348932396.db2.gz RMPHPSRODFLBSN-NSHDSACASA-N 0 0 256.327 2.519 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC1(CF)CCOCC1 ZINC000590715499 348935095 /nfs/dbraw/zinc/93/50/95/348935095.db2.gz LWTHUSQMWUUGRA-UHFFFAOYSA-N 0 0 274.317 2.835 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])s2)CC1(C)C ZINC000590718781 348935481 /nfs/dbraw/zinc/93/54/81/348935481.db2.gz HWSQXTTUYSNXDA-VIFPVBQESA-N 0 0 270.354 2.908 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@@H]1CCCC[C@H]1O ZINC000590725312 348937032 /nfs/dbraw/zinc/93/70/32/348937032.db2.gz MMRGJUUXZQFSLU-WCBMZHEXSA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCC[C@@H]2O)s1 ZINC000590724245 348937120 /nfs/dbraw/zinc/93/71/20/348937120.db2.gz PXSTYHOLRCMPFO-BDAKNGLRSA-N 0 0 256.327 2.619 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCCc2ccccc2CC1 ZINC000590723396 348937301 /nfs/dbraw/zinc/93/73/01/348937301.db2.gz SSXPNKADBLJGKA-UHFFFAOYSA-N 0 0 283.331 2.985 20 5 CFBDRN CC(C)[C@H]1CCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000590723593 348937368 /nfs/dbraw/zinc/93/73/68/348937368.db2.gz NWUOWRHTTNEDCZ-CYBMUJFWSA-N 0 0 272.308 2.773 20 5 CFBDRN CO[C@H]([C@H](C)Nc1ccc([N+](=O)[O-])s1)C1CC1 ZINC000590728785 348937871 /nfs/dbraw/zinc/93/78/71/348937871.db2.gz UGKYTGQLXINZJQ-WRWORJQWSA-N 0 0 256.327 2.882 20 5 CFBDRN Cc1ccc(C(=O)N2CC[C@@H]2C(F)(F)F)cc1[N+](=O)[O-] ZINC000590380147 348898035 /nfs/dbraw/zinc/89/80/35/348898035.db2.gz YHZMMRZGAVMNIX-SNVBAGLBSA-N 0 0 288.225 2.680 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC(C2CC2)CC1 ZINC000590425108 348899965 /nfs/dbraw/zinc/89/99/65/348899965.db2.gz GJLDGLDDEUSATE-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000590455886 348901438 /nfs/dbraw/zinc/90/14/38/348901438.db2.gz QARFKGXJCOCJER-ZJUUUORDSA-N 0 0 262.309 2.602 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCc2nc[nH]c2C1 ZINC000590567513 348909061 /nfs/dbraw/zinc/90/90/61/348909061.db2.gz LQMFOJIZZMIIHC-UHFFFAOYSA-N 0 0 278.699 2.534 20 5 CFBDRN Cc1cc(C(=O)NC/C=C\c2cccnc2)cc([N+](=O)[O-])c1 ZINC000590565103 348909255 /nfs/dbraw/zinc/90/92/55/348909255.db2.gz ODFNYKKRVUFIIP-HYXAFXHYSA-N 0 0 297.314 2.741 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC1CCN(CC(F)F)CC1 ZINC000590567523 348909382 /nfs/dbraw/zinc/90/93/82/348909382.db2.gz LYYZMSSHBRKFMX-UHFFFAOYSA-N 0 0 299.321 2.984 20 5 CFBDRN C[C@H](Cn1cncn1)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000590575333 348910587 /nfs/dbraw/zinc/91/05/87/348910587.db2.gz MCSVFVNHDAFIFO-MRVPVSSYSA-N 0 0 297.265 2.625 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CC(C(F)F)C1 ZINC000590968794 348974794 /nfs/dbraw/zinc/97/47/94/348974794.db2.gz OYTDYXMWNWRXHN-UHFFFAOYSA-N 0 0 286.278 2.690 20 5 CFBDRN Cc1ccc(C[N@@H+]2CCC[C@@H]3COC[C@@H]32)cc1[N+](=O)[O-] ZINC000590972216 348975172 /nfs/dbraw/zinc/97/51/72/348975172.db2.gz ZWTRNYPMZKZNTB-HIFRSBDPSA-N 0 0 276.336 2.514 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cn1)C1CC(C)(C)C1 ZINC000591103489 348988039 /nfs/dbraw/zinc/98/80/39/348988039.db2.gz MCBKJVUEPXEFMP-UHFFFAOYSA-N 0 0 277.324 2.641 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@@H](C2CCC2)C1 ZINC000591125564 348989817 /nfs/dbraw/zinc/98/98/17/348989817.db2.gz BSDPORAXCFPDAV-SNVBAGLBSA-N 0 0 280.349 2.919 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@H](C2CCC2)C1 ZINC000591126678 348990312 /nfs/dbraw/zinc/99/03/12/348990312.db2.gz KARNTJXODCAEKY-JTQLQIEISA-N 0 0 280.349 2.919 20 5 CFBDRN C[C@@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)C[C@@H](C)O1 ZINC000591136815 348992092 /nfs/dbraw/zinc/99/20/92/348992092.db2.gz OXPULJYSHLAMKJ-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2C2CC2)c(F)c1 ZINC000591144282 348992607 /nfs/dbraw/zinc/99/26/07/348992607.db2.gz HMAQKIOQOXULFW-LLVKDONJSA-N 0 0 251.261 2.508 20 5 CFBDRN CC(C)(CO)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000591150706 348993387 /nfs/dbraw/zinc/99/33/87/348993387.db2.gz QDXUFZZEUVIIIP-UHFFFAOYSA-N 0 0 275.308 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CCOCC4(CC4)C3)c2c1 ZINC000591153045 348993745 /nfs/dbraw/zinc/99/37/45/348993745.db2.gz QHRDHSWXTBTDMX-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2F)CCC1(F)F ZINC000591161058 348995564 /nfs/dbraw/zinc/99/55/64/348995564.db2.gz DGVRRPCMOBVVSM-SSDOTTSWSA-N 0 0 275.230 2.610 20 5 CFBDRN Nc1cc(N[C@@H](C2CC2)C2CCC2)cc(CO)c1[N+](=O)[O-] ZINC000591167958 348996476 /nfs/dbraw/zinc/99/64/76/348996476.db2.gz JKAROIZNWBYIAJ-CQSZACIVSA-N 0 0 291.351 2.660 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1nnc(C2CC2)s1 ZINC000591199556 348999821 /nfs/dbraw/zinc/99/98/21/348999821.db2.gz NZDGRVXOVRIWCP-UHFFFAOYSA-N 0 0 282.350 2.997 20 5 CFBDRN CC1(C2(NC(=O)c3ccc([N+](=O)[O-])cc3F)CC2)CC1 ZINC000590883447 348961637 /nfs/dbraw/zinc/96/16/37/348961637.db2.gz FAYSYIFZJSIPMN-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN CN(C(=O)NCc1cccc([N+](=O)[O-])c1)C1CC(C)(C)C1 ZINC000590920198 348967281 /nfs/dbraw/zinc/96/72/81/348967281.db2.gz XWBMLCLTNHTDPJ-UHFFFAOYSA-N 0 0 291.351 2.925 20 5 CFBDRN COC1([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000413979167 233145687 /nfs/dbraw/zinc/14/56/87/233145687.db2.gz WVLXFSPVHVCDPU-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN CS[C@H](C)CNC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000129236611 187418873 /nfs/dbraw/zinc/41/88/73/187418873.db2.gz DPWPVVDQNLFARW-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN C[C@H]1C[C@@H]1N(C(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000591310385 349007314 /nfs/dbraw/zinc/00/73/14/349007314.db2.gz JGUXTQQNQBPUKA-FZMZJTMJSA-N 0 0 299.330 2.989 20 5 CFBDRN CCOC(=O)Cc1ccc(NCC(C)C)c([N+](=O)[O-])c1 ZINC000591889470 349054898 /nfs/dbraw/zinc/05/48/98/349054898.db2.gz PTZDGTZOYZYBHV-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN CC[C@H](Nc1cc(N)c([N+](=O)[O-])c(CO)c1)[C@@H]1CC1(C)C ZINC000591368673 349012598 /nfs/dbraw/zinc/01/25/98/349012598.db2.gz VOTHZDOIVXWRMY-AAEUAGOBSA-N 0 0 293.367 2.906 20 5 CFBDRN C[C@H]1[C@@H](C)N(c2ncc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000591369021 349013180 /nfs/dbraw/zinc/01/31/80/349013180.db2.gz LDGCSCRYUBRJPB-IWSPIJDZSA-N 0 0 253.277 2.610 20 5 CFBDRN CCC1(C)CN(C(=O)c2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000295631366 199597045 /nfs/dbraw/zinc/59/70/45/199597045.db2.gz BWBJDHHHZIVKIK-UHFFFAOYSA-N 0 0 296.298 2.615 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC1CC=CC1 ZINC000591510137 349027481 /nfs/dbraw/zinc/02/74/81/349027481.db2.gz MENSOLGDOZMDBC-UHFFFAOYSA-N 0 0 260.293 2.660 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCc1nccs1)CCCC2 ZINC000591896827 349056597 /nfs/dbraw/zinc/05/65/97/349056597.db2.gz BCEYEOQIPUOMLT-UHFFFAOYSA-N 0 0 290.348 2.937 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000591982542 349069849 /nfs/dbraw/zinc/06/98/49/349069849.db2.gz QPUWEXGJANTCPI-ONGXEEELSA-N 0 0 267.329 2.507 20 5 CFBDRN COc1ccc(OCCC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000592357877 349108558 /nfs/dbraw/zinc/10/85/58/349108558.db2.gz RYZZKPSUBQLMRR-LLVKDONJSA-N 0 0 281.308 2.799 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)(C)C ZINC000591990951 349071160 /nfs/dbraw/zinc/07/11/60/349071160.db2.gz BQENVAOMGZBDCB-JTQLQIEISA-N 0 0 281.312 2.522 20 5 CFBDRN Cc1ccnc(N2CC3(C[C@H]2C)CCOCC3)c1[N+](=O)[O-] ZINC000591993958 349071913 /nfs/dbraw/zinc/07/19/13/349071913.db2.gz ZTQOJGJGFHFSRA-GFCCVEGCSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1nc(N2C[C@@H](C)OC3(CCCC3)C2)ccc1[N+](=O)[O-] ZINC000591998793 349073233 /nfs/dbraw/zinc/07/32/33/349073233.db2.gz IORKPJJELJMWAG-LLVKDONJSA-N 0 0 291.351 2.836 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CCS[C@@H]1C ZINC000592248902 349103258 /nfs/dbraw/zinc/10/32/58/349103258.db2.gz DUQAXUABSATRLF-SKDRFNHKSA-N 0 0 295.364 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC[C@H]2CCOC2)cc1 ZINC000592343138 349105494 /nfs/dbraw/zinc/10/54/94/349105494.db2.gz HBBAADKOYXUGAC-NSHDSACASA-N 0 0 251.282 2.790 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCC[C@@H]1CCOC1 ZINC000592346986 349106153 /nfs/dbraw/zinc/10/61/53/349106153.db2.gz QQJPOAAQHXJYFP-LLVKDONJSA-N 0 0 281.308 2.799 20 5 CFBDRN C[C@@]1(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)CCCO1 ZINC000591924972 349060935 /nfs/dbraw/zinc/06/09/35/349060935.db2.gz FKCWNOAVQMDSCA-HNNXBMFYSA-N 0 0 291.351 2.850 20 5 CFBDRN CC(F)(F)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000591948530 349064040 /nfs/dbraw/zinc/06/40/40/349064040.db2.gz MDNKMSGBYVADHN-UHFFFAOYSA-N 0 0 271.267 2.936 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](Oc3ccccc3)C2)cn1 ZINC000591960524 349065703 /nfs/dbraw/zinc/06/57/03/349065703.db2.gz NJXFNZWHFYRVDB-AWEZNQCLSA-N 0 0 285.303 2.648 20 5 CFBDRN CN(CCC(C)(C)C)c1ccc2ncc([N+](=O)[O-])n2n1 ZINC000591970246 349066895 /nfs/dbraw/zinc/06/68/95/349066895.db2.gz HERPWVXNXQONMP-UHFFFAOYSA-N 0 0 277.328 2.510 20 5 CFBDRN Cc1cc(N2CC(C)(CC(F)F)C2)ncc1[N+](=O)[O-] ZINC000591973263 349067810 /nfs/dbraw/zinc/06/78/10/349067810.db2.gz VYEAWUDZQDGEPV-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN Cc1c(C(=O)NC[C@@H](C)CC(F)F)cccc1[N+](=O)[O-] ZINC000592672906 349149312 /nfs/dbraw/zinc/14/93/12/349149312.db2.gz PRCHMDOVHTZVNX-QMMMGPOBSA-N 0 0 286.278 2.924 20 5 CFBDRN Cc1c(NCc2cccc([N+](=O)[O-])c2)n[nH]c1C(C)C ZINC000592375717 349112051 /nfs/dbraw/zinc/11/20/51/349112051.db2.gz ZONJBCGFEKUIMO-UHFFFAOYSA-N 0 0 274.324 2.784 20 5 CFBDRN Nc1ccc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)cn1 ZINC000592431263 349118549 /nfs/dbraw/zinc/11/85/49/349118549.db2.gz MOIPLIGGDVHPOK-UHFFFAOYSA-N 0 0 298.346 2.567 20 5 CFBDRN CC[C@H](CSC)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000089264047 179762542 /nfs/dbraw/zinc/76/25/42/179762542.db2.gz YEJMAOWCVFKLTJ-SECBINFHSA-N 0 0 283.353 2.858 20 5 CFBDRN CCCCN(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593023030 349189556 /nfs/dbraw/zinc/18/95/56/349189556.db2.gz NPKBTLLSPHVBKP-UHFFFAOYSA-N 0 0 268.288 2.535 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593023934 349189649 /nfs/dbraw/zinc/18/96/49/349189649.db2.gz HGEKXHLCTSHPSY-ZWNOBZJWSA-N 0 0 294.326 2.971 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593028526 349190573 /nfs/dbraw/zinc/19/05/73/349190573.db2.gz OUXCROFRDRCTKE-JTQLQIEISA-N 0 0 282.315 2.923 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000592697368 349153526 /nfs/dbraw/zinc/15/35/26/349153526.db2.gz UIYPAWLRVPDDGC-PHIMTYICSA-N 0 0 292.335 2.671 20 5 CFBDRN O=C(NCC1CCC(F)CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000592720206 349157326 /nfs/dbraw/zinc/15/73/26/349157326.db2.gz CBVZJRNJAMKXFX-UHFFFAOYSA-N 0 0 298.289 2.992 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000592732243 349158120 /nfs/dbraw/zinc/15/81/20/349158120.db2.gz BWGIIMBPHWXKHA-IJLUTSLNSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCS[C@@H]2C)cccc1[N+](=O)[O-] ZINC000592735692 349159569 /nfs/dbraw/zinc/15/95/69/349159569.db2.gz PNQOMRDSFVEAAY-MWLCHTKSSA-N 0 0 280.349 2.527 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)c1 ZINC000592737185 349160300 /nfs/dbraw/zinc/16/03/00/349160300.db2.gz UJMWBBPMFCTJBW-GARJFASQSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCS[C@H]2C)cc([N+](=O)[O-])c1 ZINC000592736965 349160342 /nfs/dbraw/zinc/16/03/42/349160342.db2.gz SMMQKZKFRHWXDV-CABZTGNLSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCS[C@H]2C)ccc1[N+](=O)[O-] ZINC000592737372 349160437 /nfs/dbraw/zinc/16/04/37/349160437.db2.gz WJRRVFKIVSXXGZ-GXSJLCMTSA-N 0 0 280.349 2.527 20 5 CFBDRN CC1(CC(F)F)CN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000592836549 349170108 /nfs/dbraw/zinc/17/01/08/349170108.db2.gz XMZGAPWVHOCQGP-UHFFFAOYSA-N 0 0 284.262 2.712 20 5 CFBDRN CCCC[C@](C)(CO)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000592854991 349170684 /nfs/dbraw/zinc/17/06/84/349170684.db2.gz QKCHUHIMUCKVQU-OAHLLOKOSA-N 0 0 294.351 2.574 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593173971 349223151 /nfs/dbraw/zinc/22/31/51/349223151.db2.gz JCMICFFDMQWBSO-JTQLQIEISA-N 0 0 294.326 2.923 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCCC(F)(F)C1 ZINC000593182652 349224747 /nfs/dbraw/zinc/22/47/47/349224747.db2.gz ZDBYIIAKXMEQLB-UHFFFAOYSA-N 0 0 285.250 2.858 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCC(F)(F)C2)c1 ZINC000593236710 349233292 /nfs/dbraw/zinc/23/32/92/349233292.db2.gz XDNMKTJVRJGTFQ-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN CC[C@H](OCCn1cc([N+](=O)[O-])cn1)c1ccccc1 ZINC000593236456 349233368 /nfs/dbraw/zinc/23/33/68/349233368.db2.gz KFZYOXSLXMTZOW-AWEZNQCLSA-N 0 0 275.308 2.959 20 5 CFBDRN CC(C)O[C@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000593242078 349234794 /nfs/dbraw/zinc/23/47/94/349234794.db2.gz UZETXVPMAZDSQS-NSHDSACASA-N 0 0 298.364 2.686 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)CCc2ccccc21 ZINC000593248921 349235557 /nfs/dbraw/zinc/23/55/57/349235557.db2.gz HCRRRQVUVOZEPM-NSHDSACASA-N 0 0 299.330 2.725 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@H](CC)CO1 ZINC000593257804 349236817 /nfs/dbraw/zinc/23/68/17/349236817.db2.gz HVMNGRDHUGRQNO-TZMCWYRMSA-N 0 0 292.335 2.624 20 5 CFBDRN CC[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2C)[C@@H](C)CO1 ZINC000593063291 349199324 /nfs/dbraw/zinc/19/93/24/349199324.db2.gz LFSXCKXKYGSGLX-SMDDNHRTSA-N 0 0 278.352 2.903 20 5 CFBDRN C[C@H](N(C)C(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1(C)CC1 ZINC000593086964 349204791 /nfs/dbraw/zinc/20/47/91/349204791.db2.gz CKQJTFWWGYWQBJ-JTQLQIEISA-N 0 0 294.326 2.923 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)[C@@H](C)CO1 ZINC000593285009 349241584 /nfs/dbraw/zinc/24/15/84/349241584.db2.gz XSYRWJSNMSOHHQ-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1C)[C@H]1CCCOC1 ZINC000593297256 349243171 /nfs/dbraw/zinc/24/31/71/349243171.db2.gz XURCMADGEDRTNW-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN COC/C(C)=C\c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000593297963 349243328 /nfs/dbraw/zinc/24/33/28/349243328.db2.gz RQLGOASGKUDMEJ-ALCCZGGFSA-N 0 0 281.293 2.756 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC1(C2CCC2)CC1 ZINC000593305170 349244176 /nfs/dbraw/zinc/24/41/76/349244176.db2.gz FGXJJBVJYRYPER-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN Cc1c(C(=O)NC2(C3CCC3)CC2)cccc1[N+](=O)[O-] ZINC000593305092 349244209 /nfs/dbraw/zinc/24/42/09/349244209.db2.gz BIQKXMYVPWHVNS-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN COC[C@H](C)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593457731 349274607 /nfs/dbraw/zinc/27/46/07/349274607.db2.gz NFVHKZIHQODEOM-SNVBAGLBSA-N 0 0 275.308 2.837 20 5 CFBDRN CC[C@@H]1CN(c2ccnc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000593456693 349274726 /nfs/dbraw/zinc/27/47/26/349274726.db2.gz FSNNMVZAPKLIFP-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461825 349275220 /nfs/dbraw/zinc/27/52/20/349275220.db2.gz PGRJKPKRDIZBDX-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@H](Nc1ccnc2cc([N+](=O)[O-])ccc21)[C@@H]1CCOC1 ZINC000593464176 349276111 /nfs/dbraw/zinc/27/61/11/349276111.db2.gz DSRHHNQAHFSSFO-WDEREUQCSA-N 0 0 287.319 2.980 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593468282 349276777 /nfs/dbraw/zinc/27/67/77/349276777.db2.gz QWOHIYOGYOJGGZ-KXMPLOMGSA-N 0 0 287.319 2.882 20 5 CFBDRN CC1(C)[C@H](Nc2ccnc3cc([N+](=O)[O-])ccc32)C[C@@H]1O ZINC000593467695 349276850 /nfs/dbraw/zinc/27/68/50/349276850.db2.gz AKZXQYLPXVPYNF-KGLIPLIRSA-N 0 0 287.319 2.714 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593474041 349277097 /nfs/dbraw/zinc/27/70/97/349277097.db2.gz YUOCLUPRLCUIFV-MFKMUULPSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCC1=CCCOC1 ZINC000593471810 349277185 /nfs/dbraw/zinc/27/71/85/349277185.db2.gz BJQJBRNZMKAAFF-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCCC1(O)CCC1 ZINC000593475644 349278164 /nfs/dbraw/zinc/27/81/64/349278164.db2.gz UYHMNXRRALNSTB-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1CC[C@@H]2OCC[C@@H]2C1 ZINC000593479069 349279040 /nfs/dbraw/zinc/27/90/40/349279040.db2.gz VTZWBFQFLWZIIW-BZNIZROVSA-N 0 0 299.330 2.758 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000593489572 349283263 /nfs/dbraw/zinc/28/32/63/349283263.db2.gz UPQJZJQVLGONLN-TVQRCGJNSA-N 0 0 293.367 2.906 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)[C@@H](C)CO1 ZINC000593491895 349283922 /nfs/dbraw/zinc/28/39/22/349283922.db2.gz LNGKJYLZIIUBLR-WCBMZHEXSA-N 0 0 285.731 2.647 20 5 CFBDRN CCN1C[C@H](CNc2ccc([N+](=O)[O-])cc2Cl)CC1=O ZINC000593499040 349285192 /nfs/dbraw/zinc/28/51/92/349285192.db2.gz MENRGGBCZCTXLZ-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN COc1cc(N[C@@H](C)COCC2CC2)ccc1[N+](=O)[O-] ZINC000593509101 349287039 /nfs/dbraw/zinc/28/70/39/349287039.db2.gz SIAVXLXACPIBMX-JTQLQIEISA-N 0 0 280.324 2.830 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@H]1CC12CCCC2 ZINC000593654084 349305154 /nfs/dbraw/zinc/30/51/54/349305154.db2.gz IXVGTAUXQKNPKF-ZDUSSCGKSA-N 0 0 292.310 2.725 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H](F)C2)c(F)c1 ZINC000593696480 349311630 /nfs/dbraw/zinc/31/16/30/349311630.db2.gz IFNZZVTUMUQVQA-JTQLQIEISA-N 0 0 256.252 2.668 20 5 CFBDRN C[C@H]1C[N@@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])CC[C@@H]1C ZINC000593737915 349317017 /nfs/dbraw/zinc/31/70/17/349317017.db2.gz ZQPXSFILPVRJCT-QWRGUYRKSA-N 0 0 292.335 2.771 20 5 CFBDRN CC(C)C[N@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])C1CC1 ZINC000593735515 349317213 /nfs/dbraw/zinc/31/72/13/349317213.db2.gz YHDRVCNEKJRFGE-UHFFFAOYSA-N 0 0 292.335 2.913 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])N1CCC12CCCC2 ZINC000593759484 349322044 /nfs/dbraw/zinc/32/20/44/349322044.db2.gz MGMPDSKWJQWKFN-UHFFFAOYSA-N 0 0 290.319 2.519 20 5 CFBDRN CC1(NC(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000594073328 349369128 /nfs/dbraw/zinc/36/91/28/349369128.db2.gz QYFZKSXBPZTMSJ-UONOGXRCSA-N 0 0 286.331 2.923 20 5 CFBDRN CC(C)N(C)C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597104596 350030091 /nfs/dbraw/zinc/03/00/91/350030091.db2.gz RXGFZMKIIQBLGY-UHFFFAOYSA-N 0 0 286.719 2.703 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000597307499 350080949 /nfs/dbraw/zinc/08/09/49/350080949.db2.gz YJIRSMHLXSWNQY-GFCCVEGCSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@@](C)(C2CC2)C1 ZINC000597180444 350046388 /nfs/dbraw/zinc/04/63/88/350046388.db2.gz RPGBFTHGLXAYEX-MRXNPFEDSA-N 0 0 290.363 2.904 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCCO[C@@H](CF)C1 ZINC000414473609 233262671 /nfs/dbraw/zinc/26/26/71/233262671.db2.gz BELQRSWOBFHLMG-ZRFDWSJLSA-N 0 0 294.326 2.668 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000597539891 350109870 /nfs/dbraw/zinc/10/98/70/350109870.db2.gz WJENXSMJHSJWDJ-MYJAWHEDSA-N 0 0 276.292 2.588 20 5 CFBDRN Cc1cnc(NCC2(O)CCCCCC2)c([N+](=O)[O-])c1 ZINC000090692476 180025237 /nfs/dbraw/zinc/02/52/37/180025237.db2.gz KWTGVHBBPCFBPQ-UHFFFAOYSA-N 0 0 279.340 2.795 20 5 CFBDRN Cc1cc(C(=O)N[C@]23C[C@H]2CCC3)cc([N+](=O)[O-])c1 ZINC000597886944 350148473 /nfs/dbraw/zinc/14/84/73/350148473.db2.gz DJXSFYOALDBYTP-BXUZGUMPSA-N 0 0 260.293 2.576 20 5 CFBDRN CCc1ccc(C(=O)N[C@@]23C[C@@H]2CCC3)cc1[N+](=O)[O-] ZINC000597887366 350148626 /nfs/dbraw/zinc/14/86/26/350148626.db2.gz FORNLDWWIKYSJG-WFASDCNBSA-N 0 0 274.320 2.830 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000104621292 186010972 /nfs/dbraw/zinc/01/09/72/186010972.db2.gz XSMLPMKDPGDDQS-ONGXEEELSA-N 0 0 278.308 2.522 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@@H]1C ZINC000104660049 186011097 /nfs/dbraw/zinc/01/10/97/186011097.db2.gz GJLHYRHLNBJTHY-JOYOIKCWSA-N 0 0 277.324 2.555 20 5 CFBDRN CNc1c(C(=O)N2C[C@@H]3CCCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000597828852 350141701 /nfs/dbraw/zinc/14/17/01/350141701.db2.gz LZVIQADBCYYWBF-JQWIXIFHSA-N 0 0 289.335 2.651 20 5 CFBDRN Cc1c(C(=O)N2C[C@@H]3CCCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000597829677 350141848 /nfs/dbraw/zinc/14/18/48/350141848.db2.gz IACVSHSCDJYAEG-FZMZJTMJSA-N 0 0 274.320 2.918 20 5 CFBDRN CCCCc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)n[nH]1 ZINC000597844107 350142236 /nfs/dbraw/zinc/14/22/36/350142236.db2.gz WXSCBPCYMSIUEM-UHFFFAOYSA-N 0 0 278.268 2.506 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000597853952 350143138 /nfs/dbraw/zinc/14/31/38/350143138.db2.gz FJEHJUDBLCFUTD-NSHDSACASA-N 0 0 264.325 2.784 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](C)c2ccccn2)c1 ZINC000597863654 350145520 /nfs/dbraw/zinc/14/55/20/350145520.db2.gz JCHYGNDOGNZFQK-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000597953002 350162149 /nfs/dbraw/zinc/16/21/49/350162149.db2.gz ZNRYHZLPSHACII-MRVPVSSYSA-N 0 0 254.286 2.742 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])CCC1(F)F ZINC000290903424 197854819 /nfs/dbraw/zinc/85/48/19/197854819.db2.gz LAJMTTLROHHPRL-VIFPVBQESA-N 0 0 284.262 2.712 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnc(CCn3ccnc3)o2)c1 ZINC000104664352 186012602 /nfs/dbraw/zinc/01/26/02/186012602.db2.gz UZZNLSQFBNUGIH-UHFFFAOYSA-N 0 0 284.275 2.689 20 5 CFBDRN CC[C@@H](C)CCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000597978315 350170814 /nfs/dbraw/zinc/17/08/14/350170814.db2.gz QCKBHGCRFYAJFW-LLVKDONJSA-N 0 0 264.325 2.690 20 5 CFBDRN Cc1ccncc1CCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000597979003 350171008 /nfs/dbraw/zinc/17/10/08/350171008.db2.gz XFNIZAXWNWGIIP-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@H](C)CCNC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000597974587 350168720 /nfs/dbraw/zinc/16/87/20/350168720.db2.gz ABYVHTGXWJWVDL-NSHDSACASA-N 0 0 294.351 2.698 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCC[C@@H]2O)cc1OC(F)F ZINC000271302444 191061627 /nfs/dbraw/zinc/06/16/27/191061627.db2.gz KPJQZDAJBBBDQK-WPRPVWTQSA-N 0 0 288.250 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OCCC2OCCCO2)c1 ZINC000091466723 180164453 /nfs/dbraw/zinc/16/44/53/180164453.db2.gz GIAWEZUAJXGWRG-UHFFFAOYSA-N 0 0 287.699 2.780 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000598063534 350183809 /nfs/dbraw/zinc/18/38/09/350183809.db2.gz ABMMIQMQTSHNTC-HAQNSBGRSA-N 0 0 292.335 2.509 20 5 CFBDRN CCc1nn(C)c(NC2CCC(C)CC2)c1[N+](=O)[O-] ZINC000091560232 180191288 /nfs/dbraw/zinc/19/12/88/180191288.db2.gz IPCVFWPUQIKTHV-UHFFFAOYSA-N 0 0 266.345 2.881 20 5 CFBDRN CCc1nn(C)c(NC2CCCCC2)c1[N+](=O)[O-] ZINC000091560223 180191680 /nfs/dbraw/zinc/19/16/80/180191680.db2.gz WYGCBKVHDJXHRH-UHFFFAOYSA-N 0 0 252.318 2.635 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCCCC1CCC1 ZINC000598214868 350216555 /nfs/dbraw/zinc/21/65/55/350216555.db2.gz GZIBNWHOUMWABW-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@H](CCF)C1 ZINC000598218804 350218362 /nfs/dbraw/zinc/21/83/62/350218362.db2.gz DDTFWFVJBORXIQ-GFCCVEGCSA-N 0 0 294.326 2.736 20 5 CFBDRN CNc1c(C(=O)NCCCC2CCC2)cccc1[N+](=O)[O-] ZINC000598218636 350218387 /nfs/dbraw/zinc/21/83/87/350218387.db2.gz NJDNFYQDULBIRL-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN CCc1nn(C)c(NCc2cccc(F)c2)c1[N+](=O)[O-] ZINC000091561045 180192288 /nfs/dbraw/zinc/19/22/88/180192288.db2.gz GRUNBXXAIUBJPT-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000598232085 350220889 /nfs/dbraw/zinc/22/08/89/350220889.db2.gz BQRIZNBDYGZCEA-SECBINFHSA-N 0 0 268.338 2.883 20 5 CFBDRN CC[C@H](NC(=O)c1cc(OC)ccc1[N+](=O)[O-])C1CC1 ZINC000598235080 350222484 /nfs/dbraw/zinc/22/24/84/350222484.db2.gz WZNSCHWORGPFQV-LBPRGKRZSA-N 0 0 278.308 2.522 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000091575846 180196944 /nfs/dbraw/zinc/19/69/44/180196944.db2.gz HIAAZKHFDXLZKW-UWVGGRQHSA-N 0 0 266.345 2.881 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000414722106 233338631 /nfs/dbraw/zinc/33/86/31/233338631.db2.gz KIBVBBCFUGPKIS-LBPRGKRZSA-N 0 0 266.297 2.594 20 5 CFBDRN CC1(C)CCN(C(=O)c2cc([N+](=O)[O-])n[nH]2)CC(C)(C)C1 ZINC000598189323 350211164 /nfs/dbraw/zinc/21/11/64/350211164.db2.gz YGTZKPQCQGHLGL-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN CNc1c(C(=O)NCCC(C)(C)F)cccc1[N+](=O)[O-] ZINC000598199675 350212566 /nfs/dbraw/zinc/21/25/66/350212566.db2.gz SEEFHGBLHSCABS-UHFFFAOYSA-N 0 0 283.303 2.505 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CCC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000598211427 350215139 /nfs/dbraw/zinc/21/51/39/350215139.db2.gz FJAQXIKFMYZGIN-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN CCCCN(CCCO)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000091631015 180210472 /nfs/dbraw/zinc/21/04/72/180210472.db2.gz WQUZHSRHZXVJTE-UHFFFAOYSA-N 0 0 295.339 2.611 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000598298959 350228910 /nfs/dbraw/zinc/22/89/10/350228910.db2.gz YUBJLJSBTJDLCA-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@@H]2C[C@@H]2C1 ZINC000598326327 350234525 /nfs/dbraw/zinc/23/45/25/350234525.db2.gz BISAEJQDWQXYEJ-MWLCHTKSSA-N 0 0 294.738 2.659 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@H]2C[C@H]2C1 ZINC000598326944 350234630 /nfs/dbraw/zinc/23/46/30/350234630.db2.gz FGALANQRHQDKSM-IUCAKERBSA-N 0 0 280.711 2.730 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@H]2C[C@H]2C1 ZINC000598328744 350236216 /nfs/dbraw/zinc/23/62/16/350236216.db2.gz HIMSOWYSDYSRNZ-UWVGGRQHSA-N 0 0 285.303 2.558 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@H]2C[C@H]2C1 ZINC000598334001 350238323 /nfs/dbraw/zinc/23/83/23/350238323.db2.gz XMNDZWFFIATUFY-UWVGGRQHSA-N 0 0 285.303 2.558 20 5 CFBDRN CC1(C)[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)C1(F)F ZINC000598340020 350240172 /nfs/dbraw/zinc/24/01/72/350240172.db2.gz GKELYTJMAIEADB-SNVBAGLBSA-N 0 0 284.262 2.616 20 5 CFBDRN CC[C@@](C)(NC(=O)c1cccc([N+](=O)[O-])c1OC)C1CC1 ZINC000598251442 350224962 /nfs/dbraw/zinc/22/49/62/350224962.db2.gz RXPIJOCPOGSSSQ-OAHLLOKOSA-N 0 0 292.335 2.912 20 5 CFBDRN CCCCCC(C)(C)CNC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000271345081 191085081 /nfs/dbraw/zinc/08/50/81/191085081.db2.gz DCMBWZAKUIXLMG-UHFFFAOYSA-N 0 0 296.371 2.665 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000105384206 186056141 /nfs/dbraw/zinc/05/61/41/186056141.db2.gz IQCROMGABBHMOT-GXFFZTMASA-N 0 0 291.351 2.579 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])n1C)C1CCC(F)CC1 ZINC000598367340 350251430 /nfs/dbraw/zinc/25/14/30/350251430.db2.gz PVWIFBNAQQEQDC-WHXUTIOJSA-N 0 0 297.330 2.580 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000598384039 350254325 /nfs/dbraw/zinc/25/43/25/350254325.db2.gz BLPPPEFIHQTDIZ-HNNXBMFYSA-N 0 0 287.319 2.995 20 5 CFBDRN COc1ccc(C(=O)N[C@@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598384561 350254577 /nfs/dbraw/zinc/25/45/77/350254577.db2.gz CNMFPDJGMMAUGS-AWEZNQCLSA-N 0 0 278.308 2.522 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000598386356 350255370 /nfs/dbraw/zinc/25/53/70/350255370.db2.gz QBKFYSWBAMKEPS-NSHDSACASA-N 0 0 254.311 2.575 20 5 CFBDRN Cc1sc(C(=O)N2CC3CC2(C)C3)cc1[N+](=O)[O-] ZINC000598568361 350283329 /nfs/dbraw/zinc/28/33/29/350283329.db2.gz PWQBVQCQRKNMPQ-UHFFFAOYSA-N 0 0 266.322 2.589 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])[nH]1)CC(F)(F)F ZINC000598581856 350285171 /nfs/dbraw/zinc/28/51/71/350285171.db2.gz RFRFLHCYBNPAPY-UHFFFAOYSA-N 0 0 293.245 2.631 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCCc1ccco1 ZINC000598435914 350268280 /nfs/dbraw/zinc/26/82/80/350268280.db2.gz GATFJNUYSQOBKC-UHFFFAOYSA-N 0 0 288.303 2.859 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC1CC(C)(C)C1 ZINC000598504693 350277574 /nfs/dbraw/zinc/27/75/74/350277574.db2.gz MWGWDVLZDZVLCI-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC1(C)CC(NC(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000598502866 350277827 /nfs/dbraw/zinc/27/78/27/350277827.db2.gz NUUVTIZGAIZORH-UHFFFAOYSA-N 0 0 294.376 2.992 20 5 CFBDRN CC1(C)CC(NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000598505598 350278077 /nfs/dbraw/zinc/27/80/77/350278077.db2.gz UQJWHRRDGDXIPL-UHFFFAOYSA-N 0 0 254.311 2.575 20 5 CFBDRN COC(=O)c1ccnc(NC[C@@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000598626471 350296322 /nfs/dbraw/zinc/29/63/22/350296322.db2.gz WRTATEOGRSPIMV-ZJUUUORDSA-N 0 0 293.323 2.625 20 5 CFBDRN CC1(C)CC[C@H](CO)N(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000598645977 350300793 /nfs/dbraw/zinc/30/07/93/350300793.db2.gz BDEBCQSURZXCKV-CYBMUJFWSA-N 0 0 296.342 2.717 20 5 CFBDRN O=[N+]([O-])c1cnc(SC[C@@H]2CC[C@@H]3C[C@@H]3C2)nc1 ZINC000598646972 350301309 /nfs/dbraw/zinc/30/13/09/350301309.db2.gz IVPWPBVXEMTPEI-BBBLOLIVSA-N 0 0 265.338 2.913 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CC[C@H](C)[C@H](CO)C1 ZINC000598647563 350301630 /nfs/dbraw/zinc/30/16/30/350301630.db2.gz OTZGBJSBBGDBHC-UBHSHLNASA-N 0 0 292.379 2.915 20 5 CFBDRN O=C(NCC[C@H]1CC1(F)F)Nc1cccc([N+](=O)[O-])c1 ZINC000598647857 350301642 /nfs/dbraw/zinc/30/16/42/350301642.db2.gz MNWPZRAMKJOSDD-QMMMGPOBSA-N 0 0 285.250 2.762 20 5 CFBDRN C[C@H]1CC(C(=O)N(C)c2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000091962363 180293040 /nfs/dbraw/zinc/29/30/40/180293040.db2.gz DUQXPEXSUPBHKE-QWRGUYRKSA-N 0 0 292.335 2.761 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000290965307 197873051 /nfs/dbraw/zinc/87/30/51/197873051.db2.gz NWLTUGKUOVQIKP-VIFPVBQESA-N 0 0 254.261 2.633 20 5 CFBDRN C[C@H](C(=O)NCC1CC(C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000598778068 350327825 /nfs/dbraw/zinc/32/78/25/350327825.db2.gz IPQRGABXZGFCAG-YVNMAJEFSA-N 0 0 294.326 3.000 20 5 CFBDRN C[C@@H](C(=O)NCC1CC(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000598777970 350327849 /nfs/dbraw/zinc/32/78/49/350327849.db2.gz DOMJARHYEQTCNE-MOENNCHZSA-N 0 0 276.336 2.861 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC[C@H]1CC1(F)F ZINC000598777945 350327868 /nfs/dbraw/zinc/32/78/68/350327868.db2.gz ZPCHELVJCGAJNS-JTQLQIEISA-N 0 0 298.289 2.987 20 5 CFBDRN CCC[C@H](CC)Nc1nccc(C(=O)OC)c1[N+](=O)[O-] ZINC000598593489 350289191 /nfs/dbraw/zinc/28/91/91/350289191.db2.gz UGLCFTMTCLPSED-VIFPVBQESA-N 0 0 281.312 2.767 20 5 CFBDRN COC(=O)c1ccnc(NCC(C)(C)C(C)C)c1[N+](=O)[O-] ZINC000598616712 350294914 /nfs/dbraw/zinc/29/49/14/350294914.db2.gz FPHLYDDKIPNCLN-UHFFFAOYSA-N 0 0 295.339 2.871 20 5 CFBDRN Cc1cc(NC(=O)[C@@]23C[C@@H]2COC3(C)C)ccc1[N+](=O)[O-] ZINC000598829149 350335797 /nfs/dbraw/zinc/33/57/97/350335797.db2.gz SIAZIDFJRRBGRY-MEBBXXQBSA-N 0 0 290.319 2.657 20 5 CFBDRN CSc1cccc(C(=O)N[C@]23C[C@H]2CCC3)c1[N+](=O)[O-] ZINC000598858346 350342080 /nfs/dbraw/zinc/34/20/80/350342080.db2.gz VELYPWOLRMEHHG-YMTOWFKASA-N 0 0 292.360 2.989 20 5 CFBDRN CCO[C@@H](C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)C1CC1 ZINC000598963136 350349524 /nfs/dbraw/zinc/34/95/24/350349524.db2.gz XNRISBVIUDLXHO-CQSZACIVSA-N 0 0 292.335 2.965 20 5 CFBDRN COCCCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599014012 350359000 /nfs/dbraw/zinc/35/90/00/350359000.db2.gz NKRAWEYSYRLEFJ-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN C/C=C/CNC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000599022215 350360339 /nfs/dbraw/zinc/36/03/39/350360339.db2.gz OPWZKLHELMCBRL-HMDXOVGESA-N 0 0 263.297 2.531 20 5 CFBDRN CN(C[C@H]1CCOC1)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000599053634 350366642 /nfs/dbraw/zinc/36/66/42/350366642.db2.gz FQDJQEIOUAIUDZ-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1cc(C(=O)NCC2CC(C)C2)cc([N+](=O)[O-])c1 ZINC000598778777 350328475 /nfs/dbraw/zinc/32/84/75/350328475.db2.gz WNZDVAUZEWCEPZ-UHFFFAOYSA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC(CC2CC2)C1 ZINC000598780760 350329236 /nfs/dbraw/zinc/32/92/36/350329236.db2.gz FWIWPOYWQBWDCH-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cc(NCCOCCC(C)C)ncc1[N+](=O)[O-] ZINC000092027087 180308462 /nfs/dbraw/zinc/30/84/62/180308462.db2.gz AVJWPIWVQFYMOF-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN CN(C(=O)CCCc1cccnc1)c1ccc([N+](=O)[O-])cc1 ZINC000598802291 350330387 /nfs/dbraw/zinc/33/03/87/350330387.db2.gz BMVPSOVDFZHEFD-UHFFFAOYSA-N 0 0 299.330 2.976 20 5 CFBDRN Cc1ccoc1CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000598803808 350331227 /nfs/dbraw/zinc/33/12/27/350331227.db2.gz PQSRAAYKPBDFMX-UHFFFAOYSA-N 0 0 286.287 2.628 20 5 CFBDRN Cc1cc(NCC2(O)CCCCCC2)ncc1[N+](=O)[O-] ZINC000092027402 180309679 /nfs/dbraw/zinc/30/96/79/180309679.db2.gz ZUVARJZDYGSNRZ-UHFFFAOYSA-N 0 0 279.340 2.795 20 5 CFBDRN O=[N+]([O-])c1c(NCCOCC(F)F)ccc2cnccc21 ZINC000599068444 350369979 /nfs/dbraw/zinc/36/99/79/350369979.db2.gz LIERUPAYMIDBOB-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN CC[C@@H](CNc1ccc2cnccc2c1[N+](=O)[O-])OC ZINC000599080857 350372723 /nfs/dbraw/zinc/37/27/23/350372723.db2.gz KVURMIWEPICTPM-NSHDSACASA-N 0 0 275.308 2.980 20 5 CFBDRN CCn1nnc(C)c1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000599089160 350374115 /nfs/dbraw/zinc/37/41/15/350374115.db2.gz NOMSBQGMKNZYPD-UHFFFAOYSA-N 0 0 293.302 2.574 20 5 CFBDRN CCn1nnc(C)c1CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000599090990 350374516 /nfs/dbraw/zinc/37/45/16/350374516.db2.gz VKXYGZOIFMLOHB-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](c3ccncc3)C2)c(F)c1 ZINC000599100425 350375883 /nfs/dbraw/zinc/37/58/83/350375883.db2.gz HMPWVKDTUDYCLL-LLVKDONJSA-N 0 0 288.282 2.518 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@@H](O)C23CCC3)ccc2cnccc21 ZINC000599105922 350376331 /nfs/dbraw/zinc/37/63/31/350376331.db2.gz GNGHJXZBYAUIQZ-ZIAGYGMSSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1ccnc([C@@H]2CCN(c3ccc([N+](=O)[O-])c(C)n3)C2)c1 ZINC000599102714 350376721 /nfs/dbraw/zinc/37/67/21/350376721.db2.gz SSDPORPAFKYSPR-CYBMUJFWSA-N 0 0 298.346 2.996 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000271362371 191097736 /nfs/dbraw/zinc/09/77/36/191097736.db2.gz FRQXRLYDCOJQCY-NEPJUHHUSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cnc(NCC[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000599116120 350377840 /nfs/dbraw/zinc/37/78/40/350377840.db2.gz FCIZMENMAICCLC-NSHDSACASA-N 0 0 265.313 2.527 20 5 CFBDRN CCC[C@@H](CNc1ncc(C)cc1[N+](=O)[O-])OCC ZINC000599118046 350378413 /nfs/dbraw/zinc/37/84/13/350378413.db2.gz GUHTXQKCUFPZRU-NSHDSACASA-N 0 0 267.329 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CCCOC2)s1 ZINC000599117450 350378517 /nfs/dbraw/zinc/37/85/17/350378517.db2.gz UCDJQPKRNAVLMS-VIFPVBQESA-N 0 0 256.327 2.885 20 5 CFBDRN Cc1cccc2c1C[C@@H](Nc1ccc([N+](=O)[O-])cn1)CO2 ZINC000599122257 350379745 /nfs/dbraw/zinc/37/97/45/350379745.db2.gz DGIJJEROBOSZAR-LLVKDONJSA-N 0 0 285.303 2.714 20 5 CFBDRN CCc1nn(C)c(S[C@@H]2COC(C)(C)C2)c1[N+](=O)[O-] ZINC000599125164 350380643 /nfs/dbraw/zinc/38/06/43/350380643.db2.gz KRHUGDLBDFQVHQ-QMMMGPOBSA-N 0 0 285.369 2.550 20 5 CFBDRN CCOC(=O)c1ccnc(NCC2CC=CC2)c1[N+](=O)[O-] ZINC000599125867 350380830 /nfs/dbraw/zinc/38/08/30/350380830.db2.gz DURUYONFDUORBR-UHFFFAOYSA-N 0 0 291.307 2.545 20 5 CFBDRN Cc1ccnc(N2CC[C@H]3CC[C@@H](C2)S3)c1[N+](=O)[O-] ZINC000599134009 350381497 /nfs/dbraw/zinc/38/14/97/350381497.db2.gz DNGDWZLVJFPOEY-MNOVXSKESA-N 0 0 279.365 2.773 20 5 CFBDRN Cc1cnc(N2CC[C@H]3CC[C@@H](C2)S3)c([N+](=O)[O-])c1 ZINC000599135288 350382156 /nfs/dbraw/zinc/38/21/56/350382156.db2.gz RRDDCEVDGBCBJF-MNOVXSKESA-N 0 0 279.365 2.773 20 5 CFBDRN CC(C)CC[C@@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000599138025 350383098 /nfs/dbraw/zinc/38/30/98/350383098.db2.gz XPMBCPSHHHIBGE-NSHDSACASA-N 0 0 252.314 2.804 20 5 CFBDRN CC1(C)OC[C@H]2C[C@]21Nc1ccc([N+](=O)[O-])cc1F ZINC000599143893 350384224 /nfs/dbraw/zinc/38/42/24/350384224.db2.gz YLNARSOLLREXAV-OQPBUACISA-N 0 0 266.272 2.713 20 5 CFBDRN CC1(C)OC[C@@H]2C[C@@]21Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000599143362 350384294 /nfs/dbraw/zinc/38/42/94/350384294.db2.gz PIGLYVAUWIDZEZ-WPPNPWJKSA-N 0 0 284.262 2.852 20 5 CFBDRN CC(C)c1c[nH]c(Sc2ncccc2[N+](=O)[O-])n1 ZINC000599150178 350385625 /nfs/dbraw/zinc/38/56/25/350385625.db2.gz GOWHBTXRYJTYSO-UHFFFAOYSA-N 0 0 264.310 2.988 20 5 CFBDRN Cc1cnc(N2CC(Cc3ccco3)C2)c([N+](=O)[O-])c1 ZINC000599152396 350386101 /nfs/dbraw/zinc/38/61/01/350386101.db2.gz XCOIUEOXYNPEHS-UHFFFAOYSA-N 0 0 273.292 2.570 20 5 CFBDRN O=c1[nH]ccc(NCC[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000599156990 350386707 /nfs/dbraw/zinc/38/67/07/350386707.db2.gz PQETVQISEFRJSK-JTQLQIEISA-N 0 0 263.297 2.854 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1Nc1ccccc1[N+](=O)[O-] ZINC000599164792 350387466 /nfs/dbraw/zinc/38/74/66/350387466.db2.gz NKIFHEGJKWBBNW-COMAGPEQSA-N 0 0 250.298 2.819 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000599163900 350387553 /nfs/dbraw/zinc/38/75/53/350387553.db2.gz HEBWEFJRBJSLPA-SVDPJWKOSA-N 0 0 265.313 2.522 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000599166099 350388075 /nfs/dbraw/zinc/38/80/75/350388075.db2.gz VHQJXQKNUOVYLE-LITAXDCLSA-N 0 0 285.731 2.867 20 5 CFBDRN CCO[C@@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C(C)C ZINC000599166236 350388117 /nfs/dbraw/zinc/38/81/17/350388117.db2.gz XJBRMZPOAKNMLM-SNVBAGLBSA-N 0 0 281.268 2.971 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000599165542 350388163 /nfs/dbraw/zinc/38/81/63/350388163.db2.gz UARJPKTXAFBLTN-NMSKTSORSA-N 0 0 268.288 2.958 20 5 CFBDRN C/C=C/CNc1ccc([N+](=O)[O-])c(N2CCOCC2)c1F ZINC000599171493 350388664 /nfs/dbraw/zinc/38/86/64/350388664.db2.gz ISWCSCQJSQHXNS-NSCUHMNNSA-N 0 0 295.314 2.559 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2C[C@@H](O)C2)c(C(F)(F)F)c1 ZINC000599175310 350389927 /nfs/dbraw/zinc/38/99/27/350389927.db2.gz YXRSIQBKWKOAFT-OTSSQURYSA-N 0 0 290.241 2.796 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC1COC1 ZINC000599177888 350389945 /nfs/dbraw/zinc/38/99/45/350389945.db2.gz ALIRAOIMSDXYPL-UHFFFAOYSA-N 0 0 256.689 2.615 20 5 CFBDRN O=[N+]([O-])c1cc(NCC2COC2)ccc1C(F)(F)F ZINC000599179619 350390305 /nfs/dbraw/zinc/39/03/05/350390305.db2.gz ZHDBLNUCDXXBTP-UHFFFAOYSA-N 0 0 276.214 2.672 20 5 CFBDRN COc1cc(N[C@H]2CO[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599179770 350390511 /nfs/dbraw/zinc/39/05/11/350390511.db2.gz HOLVAPCCHDSIMM-YPMHNXCESA-N 0 0 278.308 2.583 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCc3c(O)cccc3C2)n1 ZINC000599183318 350392320 /nfs/dbraw/zinc/39/23/20/350392320.db2.gz VZTLEXGARFCXTI-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CCc1nn(C)c(N2CCCC3(CC3)CC2)c1[N+](=O)[O-] ZINC000599190739 350392725 /nfs/dbraw/zinc/39/27/25/350392725.db2.gz DZRNCJZGJDCGOX-UHFFFAOYSA-N 0 0 278.356 2.661 20 5 CFBDRN Cc1cc(N2CC[C@H](c3ccc(O)cc3)C2)ncc1[N+](=O)[O-] ZINC000599190950 350392863 /nfs/dbraw/zinc/39/28/63/350392863.db2.gz IDEYEOFRNXWCMM-ZDUSSCGKSA-N 0 0 299.330 2.998 20 5 CFBDRN CCC(O)(CC)CN(C)c1c(OC)cccc1[N+](=O)[O-] ZINC000599192291 350393307 /nfs/dbraw/zinc/39/33/07/350393307.db2.gz RFMFXYQJWMZYSV-UHFFFAOYSA-N 0 0 282.340 2.591 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCCC2(CC2)CC1 ZINC000599193419 350393515 /nfs/dbraw/zinc/39/35/15/350393515.db2.gz YHSIWPLYXRFTGM-UHFFFAOYSA-N 0 0 278.356 2.890 20 5 CFBDRN Cc1cnc(N2CC[C@H](c3ccc(O)cc3)C2)c([N+](=O)[O-])c1 ZINC000599192513 350393758 /nfs/dbraw/zinc/39/37/58/350393758.db2.gz SMWDCGHKPWKYKA-ZDUSSCGKSA-N 0 0 299.330 2.998 20 5 CFBDRN NC(=O)CCSc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000599195479 350394355 /nfs/dbraw/zinc/39/43/55/350394355.db2.gz RBXXFSJIXFUVSD-UHFFFAOYSA-N 0 0 294.254 2.581 20 5 CFBDRN C/C=C/C[C@H]1CCCN(c2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000599203421 350395953 /nfs/dbraw/zinc/39/59/53/350395953.db2.gz YWKFFSCBOQYTCG-ITKZLYELSA-N 0 0 278.356 2.819 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2C)C1 ZINC000599205447 350395958 /nfs/dbraw/zinc/39/59/58/350395958.db2.gz GFKRWDRQJHLVNC-KLPPZKSPSA-N 0 0 250.298 2.740 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(C2(C)CCCC2)no1 ZINC000599207631 350396298 /nfs/dbraw/zinc/39/62/98/350396298.db2.gz XWNUOGRGZPOTIO-UHFFFAOYSA-N 0 0 276.296 2.815 20 5 CFBDRN Cc1ccnc(N[C@H]2CCO[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000271374329 191104185 /nfs/dbraw/zinc/10/41/85/191104185.db2.gz DXDVCDFOFSHHCM-RYUDHWBXSA-N 0 0 279.340 2.914 20 5 CFBDRN CC(C)(CCc1noc(C[C@@H]2C=CCCC2)n1)[N+](=O)[O-] ZINC000291051937 197901314 /nfs/dbraw/zinc/90/13/14/197901314.db2.gz IMAWXSXUCIDHCR-LLVKDONJSA-N 0 0 279.340 2.956 20 5 CFBDRN CC(C)CC[C@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000599779571 350492625 /nfs/dbraw/zinc/49/26/25/350492625.db2.gz MMWPMDZPAWGPDU-GFCCVEGCSA-N 0 0 295.339 2.513 20 5 CFBDRN COC(=O)C[C@H](C)Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129748210 187450591 /nfs/dbraw/zinc/45/05/91/187450591.db2.gz TWYSBFFYHWGKHN-LURJTMIESA-N 0 0 290.728 2.687 20 5 CFBDRN CO[C@H]1C[C@H](NC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)C1 ZINC000599791333 350493165 /nfs/dbraw/zinc/49/31/65/350493165.db2.gz NSFFKCUPDRVYLV-XYPYZODXSA-N 0 0 293.323 2.511 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN(CC(F)F)C1CC1 ZINC000106870934 186143332 /nfs/dbraw/zinc/14/33/32/186143332.db2.gz WKNMDOKFIZIXCR-UHFFFAOYSA-N 0 0 274.242 2.963 20 5 CFBDRN CC(C)c1noc(OCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000107418257 186173772 /nfs/dbraw/zinc/17/37/72/186173772.db2.gz IVYOGYIABJXFIO-UHFFFAOYSA-N 0 0 277.280 2.723 20 5 CFBDRN CC(=O)c1ccc(N(C)C[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000107064686 186158570 /nfs/dbraw/zinc/15/85/70/186158570.db2.gz IVDIXYATXMLNHZ-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccccc1 ZINC000107150144 186161000 /nfs/dbraw/zinc/16/10/00/186161000.db2.gz YMYPDTUTVVPWHJ-JTQLQIEISA-N 0 0 285.303 2.789 20 5 CFBDRN CCC[C@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)OCC ZINC000600175129 350531089 /nfs/dbraw/zinc/53/10/89/350531089.db2.gz QCUNEMZKFHXGLT-CYBMUJFWSA-N 0 0 298.314 2.669 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@](=O)C1CCCC1 ZINC000107950542 186199674 /nfs/dbraw/zinc/19/96/74/186199674.db2.gz GFZOHMIBKUQFCM-LJQANCHMSA-N 0 0 283.349 2.795 20 5 CFBDRN CC1(C)[C@@H](c2ccccc2)[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000600207745 350539506 /nfs/dbraw/zinc/53/95/06/350539506.db2.gz MGMNVRTYOZRUQJ-KBPBESRZSA-N 0 0 299.330 2.845 20 5 CFBDRN O=C(NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000600161880 350525322 /nfs/dbraw/zinc/52/53/22/350525322.db2.gz MOKRBWBEPZZLHL-RTXFEEFZSA-N 0 0 274.320 2.761 20 5 CFBDRN CCO[C@H](COc1cc(OC)ccc1[N+](=O)[O-])C1CC1 ZINC000600563655 350571213 /nfs/dbraw/zinc/57/12/13/350571213.db2.gz ZBDOJBYWKGDXMQ-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN COC1CC(SCCOc2ccc([N+](=O)[O-])cc2)C1 ZINC000600571181 350572723 /nfs/dbraw/zinc/57/27/23/350572723.db2.gz UEEOBZMCVLMKFH-UHFFFAOYSA-N 0 0 283.349 2.884 20 5 CFBDRN CO[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1F)CC(C)C ZINC000416049388 233527162 /nfs/dbraw/zinc/52/71/62/233527162.db2.gz XTUBAKBCWUBBAI-NSHDSACASA-N 0 0 298.314 2.525 20 5 CFBDRN O=C(NCC1CC=CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000600224314 350542838 /nfs/dbraw/zinc/54/28/38/350542838.db2.gz LAYRLNSHWSSBQM-UHFFFAOYSA-N 0 0 285.303 2.772 20 5 CFBDRN O=C(NCC1CC=CC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000600224683 350543799 /nfs/dbraw/zinc/54/37/99/350543799.db2.gz PVFIJCMHPRPVBK-UHFFFAOYSA-N 0 0 297.314 2.839 20 5 CFBDRN C[C@@H](C(=O)N(C1CC1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000600360490 350549771 /nfs/dbraw/zinc/54/97/71/350549771.db2.gz QELIUKGGCXFQBJ-SNVBAGLBSA-N 0 0 274.320 2.852 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000600369515 350551328 /nfs/dbraw/zinc/55/13/28/350551328.db2.gz RCBMPNOWEPYMOM-YPMHNXCESA-N 0 0 298.726 2.546 20 5 CFBDRN CCC(F)(F)CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000600380016 350552169 /nfs/dbraw/zinc/55/21/69/350552169.db2.gz MNNLGHXFDNWHCW-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000600380105 350552200 /nfs/dbraw/zinc/55/22/00/350552200.db2.gz UXDVAXJSACQHSS-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1c1ccsc1)c1ccc([N+](=O)[O-])o1 ZINC000600440056 350555200 /nfs/dbraw/zinc/55/52/00/350555200.db2.gz NYADJELYWVRJPA-DTWKUNHWSA-N 0 0 278.289 2.535 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000600446499 350556484 /nfs/dbraw/zinc/55/64/84/350556484.db2.gz NBXNJZYNJKPNSD-QZOVBDONSA-N 0 0 298.364 2.506 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000600447058 350556494 /nfs/dbraw/zinc/55/64/94/350556494.db2.gz LXAFMDLDBCSFPG-ZETCQYMHSA-N 0 0 290.291 2.916 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000600451412 350557463 /nfs/dbraw/zinc/55/74/63/350557463.db2.gz YUSJQLKDXBZBIB-VIFPVBQESA-N 0 0 284.262 2.855 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1n[nH]cc1[N+](=O)[O-])C1CCCCC1 ZINC000600477605 350557891 /nfs/dbraw/zinc/55/78/91/350557891.db2.gz JYOYSBWEZWIDSA-JTQLQIEISA-N 0 0 294.355 2.606 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])cc2F)C[C@H]2C[C@H]2C1 ZINC000600495465 350559594 /nfs/dbraw/zinc/55/95/94/350559594.db2.gz AOUVOSFJRALPRM-RTUWITSCSA-N 0 0 292.310 2.900 20 5 CFBDRN C/C=C\CNC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000600495671 350559717 /nfs/dbraw/zinc/55/97/17/350559717.db2.gz WPEISOKKDKNQER-ZBJFTSOASA-N 0 0 298.726 2.708 20 5 CFBDRN CCO[C@H](COc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000600507863 350560363 /nfs/dbraw/zinc/56/03/63/350560363.db2.gz ACPKZDWCHJOPCE-CYBMUJFWSA-N 0 0 251.282 2.789 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCCc2cnoc2)c1 ZINC000600512260 350560736 /nfs/dbraw/zinc/56/07/36/350560736.db2.gz BKITZNSMNSMSLU-UHFFFAOYSA-N 0 0 262.265 2.903 20 5 CFBDRN CCC[C@H](C)CCCn1cc([N+](=O)[O-])ccc1=O ZINC000600510458 350561197 /nfs/dbraw/zinc/56/11/97/350561197.db2.gz OUUSCZLHJCOINN-NSHDSACASA-N 0 0 252.314 2.973 20 5 CFBDRN CCC[C@@H](C)CCCn1cccc([N+](=O)[O-])c1=O ZINC000600521958 350563233 /nfs/dbraw/zinc/56/32/33/350563233.db2.gz AQXOXVBXGNIJEN-LLVKDONJSA-N 0 0 252.314 2.973 20 5 CFBDRN CCc1ccc(OCC(=O)NCC(C)(C)C)c([N+](=O)[O-])c1 ZINC000600528718 350565270 /nfs/dbraw/zinc/56/52/70/350565270.db2.gz IKDXFKNREGUQNJ-UHFFFAOYSA-N 0 0 294.351 2.698 20 5 CFBDRN CCO[C@H](CSc1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000600535560 350566753 /nfs/dbraw/zinc/56/67/53/350566753.db2.gz JFXYMQYBZPLPSA-LLVKDONJSA-N 0 0 268.338 2.897 20 5 CFBDRN Cc1cccc(OCCCc2cnoc2)c1[N+](=O)[O-] ZINC000600536278 350566819 /nfs/dbraw/zinc/56/68/19/350566819.db2.gz LRZDZJYTLUJMQD-UHFFFAOYSA-N 0 0 262.265 2.903 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCc1nc(C)no1 ZINC000108411572 186215185 /nfs/dbraw/zinc/21/51/85/186215185.db2.gz YJMWYPIQEGIAPW-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(O)CCCC2)c(Cl)c1 ZINC000108644317 186225261 /nfs/dbraw/zinc/22/52/61/186225261.db2.gz YMMCAPJVTMKDBN-UHFFFAOYSA-N 0 0 270.716 2.965 20 5 CFBDRN C[C@H](CO)Sc1ccc([N+](=O)[O-])c2cccnc12 ZINC000092658980 180425456 /nfs/dbraw/zinc/42/54/56/180425456.db2.gz WDUSSKYAOGUNPT-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN Cc1cn(Cc2ccccc2OC2CC2)nc1[N+](=O)[O-] ZINC000600675495 350594717 /nfs/dbraw/zinc/59/47/17/350594717.db2.gz SWUKJRDLFLYSMI-UHFFFAOYSA-N 0 0 273.292 2.689 20 5 CFBDRN C[C@H]1C[C@H]1Cn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000600676540 350595413 /nfs/dbraw/zinc/59/54/13/350595413.db2.gz WWNFBAOZGFGWBD-ONGXEEELSA-N 0 0 258.277 2.566 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2CCCc3ccccc32)cn1 ZINC000108954021 186238011 /nfs/dbraw/zinc/23/80/11/186238011.db2.gz VDZDYEQISJSUER-GFCCVEGCSA-N 0 0 257.293 2.911 20 5 CFBDRN CC(C)(C)c1noc(CCNc2ccccc2[N+](=O)[O-])n1 ZINC000109522401 186259019 /nfs/dbraw/zinc/25/90/19/186259019.db2.gz XBJMGEVOHKORLC-UHFFFAOYSA-N 0 0 290.323 2.930 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1Cc1nc(C2CCCCC2)no1 ZINC000109529670 186259682 /nfs/dbraw/zinc/25/96/82/186259682.db2.gz XLNUMKDYJDRUAM-UHFFFAOYSA-N 0 0 291.311 2.579 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc(C(C)(C)C)no1 ZINC000109525207 186259881 /nfs/dbraw/zinc/25/98/81/186259881.db2.gz ILVKEVPMUBMDBZ-UHFFFAOYSA-N 0 0 262.269 2.646 20 5 CFBDRN C/C=C\C[S@@](=O)CCCOc1ccc([N+](=O)[O-])cc1 ZINC000600899228 350625597 /nfs/dbraw/zinc/62/55/97/350625597.db2.gz KLPZCYPJCGZEEX-FFPCURRISA-N 0 0 283.349 2.689 20 5 CFBDRN CCCCN(CC)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600894148 350624041 /nfs/dbraw/zinc/62/40/41/350624041.db2.gz LTFSKLOHMMTOBE-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)cccc1[N+](=O)[O-] ZINC000600892435 350624166 /nfs/dbraw/zinc/62/41/66/350624166.db2.gz POMZUXRDJRHHEF-JMJZKYOTSA-N 0 0 278.308 2.655 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2C[C@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000600892434 350624249 /nfs/dbraw/zinc/62/42/49/350624249.db2.gz POMZUXRDJRHHEF-INTQDDNPSA-N 0 0 278.308 2.655 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Cn1cc(C(C)(C)C)nn1 ZINC000600973628 350640410 /nfs/dbraw/zinc/64/04/10/350640410.db2.gz PWSFHRJZQIHXSK-UHFFFAOYSA-N 0 0 290.323 2.541 20 5 CFBDRN Cc1n[nH]c(C)c1[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000110038340 186282699 /nfs/dbraw/zinc/28/26/99/186282699.db2.gz MIAVHFGOQMRXEV-MRVPVSSYSA-N 0 0 288.307 2.677 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccncc1 ZINC000600994283 350643512 /nfs/dbraw/zinc/64/35/12/350643512.db2.gz YHTYRDOCVQQMMF-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN O=Cc1ccc(Oc2ccnc(C3CC3)n2)c([N+](=O)[O-])c1 ZINC000110112860 186285922 /nfs/dbraw/zinc/28/59/22/186285922.db2.gz SFUOTHWJGPHWNK-UHFFFAOYSA-N 0 0 285.259 2.867 20 5 CFBDRN COC(=O)C1(NCc2csc([N+](=O)[O-])c2)CCCCC1 ZINC000109800519 186273794 /nfs/dbraw/zinc/27/37/94/186273794.db2.gz YGCXSXAIGGZISX-UHFFFAOYSA-N 0 0 298.364 2.622 20 5 CFBDRN CSC[C@@H](C)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601118968 350673234 /nfs/dbraw/zinc/67/32/34/350673234.db2.gz SGZCGVSERJHEAI-SECBINFHSA-N 0 0 277.349 2.728 20 5 CFBDRN CSC[C@H](C)Nc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601118969 350673275 /nfs/dbraw/zinc/67/32/75/350673275.db2.gz SGZCGVSERJHEAI-VIFPVBQESA-N 0 0 277.349 2.728 20 5 CFBDRN CSCCCNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601118616 350673339 /nfs/dbraw/zinc/67/33/39/350673339.db2.gz NYFHVCHIWNUKRT-UHFFFAOYSA-N 0 0 277.349 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2nc(CC3CC3)no2)c1 ZINC000601072460 350658262 /nfs/dbraw/zinc/65/82/62/350658262.db2.gz KGGQPAAQMLUQSX-UHFFFAOYSA-N 0 0 289.291 2.818 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1cccc2c1CCOC2 ZINC000601072271 350658389 /nfs/dbraw/zinc/65/83/89/350658389.db2.gz HPBPKDUKGABLOQ-UHFFFAOYSA-N 0 0 286.287 2.642 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H]1CCO[C@H]1C ZINC000601079642 350660956 /nfs/dbraw/zinc/66/09/56/350660956.db2.gz AKZIZDZPRKDEPE-QWRGUYRKSA-N 0 0 251.282 2.707 20 5 CFBDRN CCOc1cc(Cn2cc(C)nc2C)ccc1[N+](=O)[O-] ZINC000601080038 350661650 /nfs/dbraw/zinc/66/16/50/350661650.db2.gz GUNJJXAQZDSACJ-UHFFFAOYSA-N 0 0 275.308 2.855 20 5 CFBDRN CC(=O)c1ccc(OC[C@@H]2CC(C)(C)CO2)c([N+](=O)[O-])c1 ZINC000601080063 350661693 /nfs/dbraw/zinc/66/16/93/350661693.db2.gz HNFYEHOARUURHW-LBPRGKRZSA-N 0 0 293.319 2.991 20 5 CFBDRN Cc1cccc(OCc2nc(CC3CC3)no2)c1[N+](=O)[O-] ZINC000601081386 350662534 /nfs/dbraw/zinc/66/25/34/350662534.db2.gz WVLXXHOKDDSKNK-UHFFFAOYSA-N 0 0 289.291 2.818 20 5 CFBDRN CCOC(=O)CCSCc1ccc([N+](=O)[O-])cc1F ZINC000601096545 350667248 /nfs/dbraw/zinc/66/72/48/350667248.db2.gz WFRTZSWDNYILRF-UHFFFAOYSA-N 0 0 287.312 2.920 20 5 CFBDRN CC[C@@H](C)Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601098652 350667971 /nfs/dbraw/zinc/66/79/71/350667971.db2.gz CLEFPAGKJWGBOO-RXMQYKEDSA-N 0 0 266.223 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@@H](C(F)(F)F)C1 ZINC000601100658 350668438 /nfs/dbraw/zinc/66/84/38/350668438.db2.gz YSHRXHCENMQPOH-SSDOTTSWSA-N 0 0 293.220 2.908 20 5 CFBDRN CC[C@H]1CCCN(c2ncc(F)cc2[N+](=O)[O-])C1 ZINC000601104249 350669341 /nfs/dbraw/zinc/66/93/41/350669341.db2.gz QQZKVLKNNIPQHE-VIFPVBQESA-N 0 0 253.277 2.755 20 5 CFBDRN CCn1nc(C)c(CNc2ncc(F)cc2[N+](=O)[O-])c1C ZINC000601111006 350671579 /nfs/dbraw/zinc/67/15/79/350671579.db2.gz NZSMYDNAVXICGW-UHFFFAOYSA-N 0 0 293.302 2.574 20 5 CFBDRN CCCO[C@@H]1CCCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601116156 350672248 /nfs/dbraw/zinc/67/22/48/350672248.db2.gz OYCHOLPUTGGBCS-GFCCVEGCSA-N 0 0 297.330 2.914 20 5 CFBDRN CCOC(C)(C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000601281022 350716314 /nfs/dbraw/zinc/71/63/14/350716314.db2.gz KMXCVJPHIOMAQX-NSHDSACASA-N 0 0 294.351 2.896 20 5 CFBDRN Cc1ncc([C@@H](C)Nc2ncccc2[N+](=O)[O-])s1 ZINC000601227731 350703566 /nfs/dbraw/zinc/70/35/66/350703566.db2.gz IOMJQLDVTNMMBD-SSDOTTSWSA-N 0 0 264.310 2.928 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCO[C@H](C(F)F)CC1 ZINC000601232918 350704543 /nfs/dbraw/zinc/70/45/43/350704543.db2.gz VKXOAFWDMNENSJ-QMMMGPOBSA-N 0 0 278.280 2.517 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCO[C@@H](C(F)F)CC1 ZINC000601232917 350705073 /nfs/dbraw/zinc/70/50/73/350705073.db2.gz VKXOAFWDMNENSJ-MRVPVSSYSA-N 0 0 278.280 2.517 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Nc2cnn3c2CCCC3)n1 ZINC000601233184 350705608 /nfs/dbraw/zinc/70/56/08/350705608.db2.gz ZAQYDZAMCRSVOB-UHFFFAOYSA-N 0 0 287.323 2.883 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@H](O)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000601237701 350706983 /nfs/dbraw/zinc/70/69/83/350706983.db2.gz KMFYNNNBAJBBFI-XVKPBYJWSA-N 0 0 278.230 2.627 20 5 CFBDRN Cc1cc(N[C@H](C)[C@@H](O)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000601237973 350707143 /nfs/dbraw/zinc/70/71/43/350707143.db2.gz PVAJAYDUKGYEOR-LHLIQPBNSA-N 0 0 296.220 2.766 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](C1CCC1)[C@@H]1CCCO1 ZINC000601249677 350709121 /nfs/dbraw/zinc/70/91/21/350709121.db2.gz HIJYXVANQXQNAY-QWHCGFSZSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCCn2nccc21 ZINC000601269526 350713188 /nfs/dbraw/zinc/71/31/88/350713188.db2.gz GZPHBZNYSAGGKR-SNVBAGLBSA-N 0 0 276.271 2.877 20 5 CFBDRN Cc1nc(CNc2ncc(F)cc2[N+](=O)[O-])sc1C ZINC000601126037 350675826 /nfs/dbraw/zinc/67/58/26/350675826.db2.gz XXPVFPVZYUOCOM-UHFFFAOYSA-N 0 0 282.300 2.814 20 5 CFBDRN CCc1noc(CC)c1CNc1ncc(F)cc1[N+](=O)[O-] ZINC000601127928 350675950 /nfs/dbraw/zinc/67/59/50/350675950.db2.gz VVXBKTAGASJUOC-UHFFFAOYSA-N 0 0 294.286 2.854 20 5 CFBDRN C[C@H]1OCCN(c2ccnc3ccc([N+](=O)[O-])cc32)[C@H]1C ZINC000601130476 350677464 /nfs/dbraw/zinc/67/74/64/350677464.db2.gz LFTZCHSMBOJQTO-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CCOC4(CCC4)C3)c2c1 ZINC000601135614 350678068 /nfs/dbraw/zinc/67/80/68/350678068.db2.gz HVXAGGQIHLWYRM-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000601136315 350679257 /nfs/dbraw/zinc/67/92/57/350679257.db2.gz CPJWGXSVOVJAMY-QWRGUYRKSA-N 0 0 287.319 2.544 20 5 CFBDRN CCCN(c1c([N+](=O)[O-])c(C(F)(F)F)nn1C)C1CC1 ZINC000601138761 350680023 /nfs/dbraw/zinc/68/00/23/350680023.db2.gz XZYZVWSEBNUBOJ-UHFFFAOYSA-N 0 0 292.261 2.726 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@H]1C ZINC000601277150 350715111 /nfs/dbraw/zinc/71/51/11/350715111.db2.gz TYPUTOJENWPUTC-BDAKNGLRSA-N 0 0 266.272 2.604 20 5 CFBDRN CC1(C)CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601161481 350685387 /nfs/dbraw/zinc/68/53/87/350685387.db2.gz KBWWTOSQYQHKBR-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN COC(=O)C(C)(C)CCNc1ccsc1[N+](=O)[O-] ZINC000601166073 350686693 /nfs/dbraw/zinc/68/66/93/350686693.db2.gz XUEMPSWZHNJIAV-UHFFFAOYSA-N 0 0 272.326 2.658 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2C)C[C@@H](C)O1 ZINC000111022046 186345400 /nfs/dbraw/zinc/34/54/00/186345400.db2.gz JVURBRKQKNEEIF-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CCC1(Nc2c([N+](=O)[O-])c(C(F)(F)F)nn2C)CC1 ZINC000601170646 350687976 /nfs/dbraw/zinc/68/79/76/350687976.db2.gz SKKNUHMRSWEKDD-UHFFFAOYSA-N 0 0 278.234 2.702 20 5 CFBDRN CC(C)[C@@H]1CCN1c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601171169 350688147 /nfs/dbraw/zinc/68/81/47/350688147.db2.gz HGHWJGHLAWBOBA-ZETCQYMHSA-N 0 0 292.261 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H]2CCCn3nccc32)c(F)c1 ZINC000601174093 350688718 /nfs/dbraw/zinc/68/87/18/350688718.db2.gz OOEQGMQVIWFAOW-ZDUSSCGKSA-N 0 0 290.298 2.555 20 5 CFBDRN CC[C@@H]1CN(c2ncc(F)cc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000601174381 350689708 /nfs/dbraw/zinc/68/97/08/350689708.db2.gz ABHMBMVJFNMGHZ-PHIMTYICSA-N 0 0 283.303 2.523 20 5 CFBDRN COCC[C@H](C)CNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601177196 350690195 /nfs/dbraw/zinc/69/01/95/350690195.db2.gz WWPRHUKQWPCIGD-NSHDSACASA-N 0 0 289.335 2.649 20 5 CFBDRN C[C@H]1CC2(CN1c1ncc(F)cc1[N+](=O)[O-])CCOCC2 ZINC000601184468 350691684 /nfs/dbraw/zinc/69/16/84/350691684.db2.gz LZERMZZEOXDBOY-JTQLQIEISA-N 0 0 295.314 2.524 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NCC1=CCCC1 ZINC000601196926 350694017 /nfs/dbraw/zinc/69/40/17/350694017.db2.gz ZLZVWGPRJDUTFJ-UHFFFAOYSA-N 0 0 290.245 2.869 20 5 CFBDRN C[C@@H]1CN(c2ncc(F)cc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000601201284 350695679 /nfs/dbraw/zinc/69/56/79/350695679.db2.gz NEWYDUYFFOCCCE-OCAPTIKFSA-N 0 0 289.257 2.856 20 5 CFBDRN C[C@@H]1CN(c2ncc(F)cc2[N+](=O)[O-])C[C@@H](C)C1(F)F ZINC000601201283 350695784 /nfs/dbraw/zinc/69/57/84/350695784.db2.gz NEWYDUYFFOCCCE-HTQZYQBOSA-N 0 0 289.257 2.856 20 5 CFBDRN CSC1CCN(c2nccc(C)c2[N+](=O)[O-])CC1 ZINC000601206887 350696736 /nfs/dbraw/zinc/69/67/36/350696736.db2.gz LEBKSHBQDUGYKX-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN CSC1CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000601205549 350696740 /nfs/dbraw/zinc/69/67/40/350696740.db2.gz AODYNHQEASANEL-UHFFFAOYSA-N 0 0 258.368 2.988 20 5 CFBDRN CSC1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000601206751 350696824 /nfs/dbraw/zinc/69/68/24/350696824.db2.gz CIXXRUCVEDEGDJ-UHFFFAOYSA-N 0 0 292.364 2.803 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cn2)[C@@H]1c1ccco1 ZINC000601211247 350698403 /nfs/dbraw/zinc/69/84/03/350698403.db2.gz XNNMDTSYGGMENR-LLVKDONJSA-N 0 0 274.280 2.565 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(c3ccccc3)C2)s1 ZINC000601210717 350698415 /nfs/dbraw/zinc/69/84/15/350698415.db2.gz UESPNFHKCYCEAT-UHFFFAOYSA-N 0 0 261.306 2.655 20 5 CFBDRN CCC[C@@H](CCO)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000601213000 350699481 /nfs/dbraw/zinc/69/94/81/350699481.db2.gz VOJMZYSIWCBBKY-LBPRGKRZSA-N 0 0 280.324 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H](O)C(F)F)c2ncccc21 ZINC000601222856 350701540 /nfs/dbraw/zinc/70/15/40/350701540.db2.gz SVSIWFHMBCMDQP-NSHDSACASA-N 0 0 297.261 2.571 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H](O)C(F)F)c(Cl)c1 ZINC000601221825 350701716 /nfs/dbraw/zinc/70/17/16/350701716.db2.gz ARWJYODHFOOOCV-VIFPVBQESA-N 0 0 280.658 2.676 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCC[C@@H](O)C(F)F ZINC000601222750 350701752 /nfs/dbraw/zinc/70/17/52/350701752.db2.gz PCBFAUUKIIPCAH-LLVKDONJSA-N 0 0 297.261 2.571 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@@H]1C(F)F ZINC000601603680 350745876 /nfs/dbraw/zinc/74/58/76/350745876.db2.gz LPYRBADERSRUMZ-SNVBAGLBSA-N 0 0 295.245 2.556 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@H]1C(F)F ZINC000601604913 350746021 /nfs/dbraw/zinc/74/60/21/350746021.db2.gz FTNZYTLTFQKRQW-QMMMGPOBSA-N 0 0 290.653 2.728 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])n[nH]1)[C@H]1CCC[C@H]1C(C)(C)C ZINC000416297563 233566803 /nfs/dbraw/zinc/56/68/03/233566803.db2.gz XMJFNXQYDAIMKE-KOLCDFICSA-N 0 0 294.355 2.605 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC(C)(C)F ZINC000601687717 350759359 /nfs/dbraw/zinc/75/93/59/350759359.db2.gz IKWVHESJMDGNDF-UHFFFAOYSA-N 0 0 282.315 2.998 20 5 CFBDRN CC(C)(F)CNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000601688942 350760755 /nfs/dbraw/zinc/76/07/55/350760755.db2.gz OXFOQDQFTMUGOA-UHFFFAOYSA-N 0 0 286.328 2.551 20 5 CFBDRN O=C(NC1CC=CC1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601316087 350722107 /nfs/dbraw/zinc/72/21/07/350722107.db2.gz GRBSPWARXKGYDT-UHFFFAOYSA-N 0 0 286.331 2.851 20 5 CFBDRN CC[C@H](C)Cc1noc(-c2ccc([N+](=O)[O-])[nH]2)n1 ZINC000601400284 350730276 /nfs/dbraw/zinc/73/02/76/350730276.db2.gz XWSNNZXOZNARLD-ZETCQYMHSA-N 0 0 250.258 2.562 20 5 CFBDRN CC1(C)CC(CNC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601512272 350736109 /nfs/dbraw/zinc/73/61/09/350736109.db2.gz AVJRWVWBIQWBIN-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN Cc1c(CNC(=O)C2(C(F)F)CCC2)cccc1[N+](=O)[O-] ZINC000601525300 350736589 /nfs/dbraw/zinc/73/65/89/350736589.db2.gz KZEKNLCCZAWGID-UHFFFAOYSA-N 0 0 298.289 2.955 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601548869 350738716 /nfs/dbraw/zinc/73/87/16/350738716.db2.gz VBIZMWRMKHSDTI-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601554936 350739432 /nfs/dbraw/zinc/73/94/32/350739432.db2.gz BLDZGEWQJUGBGQ-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN CCC[C@H](C)C(=O)NCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000431500065 383678606 /nfs/dbraw/zinc/67/86/06/383678606.db2.gz NRVFHRUUBFYBOM-LBPRGKRZSA-N 0 0 293.367 2.868 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601584915 350742973 /nfs/dbraw/zinc/74/29/73/350742973.db2.gz ZUKIMYRZXKEHSJ-SMDDNHRTSA-N 0 0 288.347 2.931 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1CCCC12CC2 ZINC000601701806 350764290 /nfs/dbraw/zinc/76/42/90/350764290.db2.gz ISSPCOGQHXCGJF-ZDUSSCGKSA-N 0 0 274.320 2.586 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CCCC12CC2 ZINC000601705593 350765745 /nfs/dbraw/zinc/76/57/45/350765745.db2.gz PWRWXNBVRAOHIP-CYBMUJFWSA-N 0 0 292.310 2.725 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCCC12CC2 ZINC000601706048 350765778 /nfs/dbraw/zinc/76/57/78/350765778.db2.gz RKCXOOMCEMAQQG-LBPRGKRZSA-N 0 0 274.320 2.966 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc(C3CCC3)no2)c1 ZINC000601970372 350821780 /nfs/dbraw/zinc/82/17/80/350821780.db2.gz YAGKHLFGPQXSRR-UHFFFAOYSA-N 0 0 275.264 2.921 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000601768828 350773486 /nfs/dbraw/zinc/77/34/86/350773486.db2.gz OPCBMFJNEFBYLK-GMXVVIOVSA-N 0 0 292.335 2.507 20 5 CFBDRN Cc1sccc1NC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000601825539 350787748 /nfs/dbraw/zinc/78/77/48/350787748.db2.gz PVBQXYBEGFAFQZ-UHFFFAOYSA-N 0 0 294.336 2.535 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1nc(C(C)C)c[nH]1 ZINC000601917273 350801515 /nfs/dbraw/zinc/80/15/15/350801515.db2.gz HPZULGDAXTUTBZ-UHFFFAOYSA-N 0 0 295.368 2.739 20 5 CFBDRN CC[C@@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2)N=C1C(C)C ZINC000601933192 350806148 /nfs/dbraw/zinc/80/61/48/350806148.db2.gz CLKGKBAUVJBEHX-LBPRGKRZSA-N 0 0 275.308 2.980 20 5 CFBDRN CCC[C@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2OC)N=C1C ZINC000601934764 350808031 /nfs/dbraw/zinc/80/80/31/350808031.db2.gz FHHCPOJESKLXLR-LLVKDONJSA-N 0 0 291.307 2.742 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1N=C2CC[C@@H](C)[C@@H]2C1=O ZINC000601944230 350812663 /nfs/dbraw/zinc/81/26/63/350812663.db2.gz XJZMGVKKWXMARR-OQPBUACISA-N 0 0 273.292 2.652 20 5 CFBDRN Cc1cc(C2(C(=O)Nc3cccc([N+](=O)[O-])c3)CC2)on1 ZINC000602026255 350834926 /nfs/dbraw/zinc/83/49/26/350834926.db2.gz KYFSNUCKEHSNBZ-UHFFFAOYSA-N 0 0 287.275 2.562 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1OC2CCC1CC2 ZINC000602217926 350853197 /nfs/dbraw/zinc/85/31/97/350853197.db2.gz UTZCLZVXUUZHPJ-UHFFFAOYSA-N 0 0 276.292 2.602 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc21)C1(C(F)F)CCC1 ZINC000602236381 350855045 /nfs/dbraw/zinc/85/50/45/350855045.db2.gz OEJOFUFZYGISLP-UHFFFAOYSA-N 0 0 296.273 2.919 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000602289524 350860038 /nfs/dbraw/zinc/86/00/38/350860038.db2.gz OWKCHMYQJFCCCM-VIFPVBQESA-N 0 0 263.297 2.857 20 5 CFBDRN C[C@H](NC(=O)N(C1CC1)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000602293578 350860548 /nfs/dbraw/zinc/86/05/48/350860548.db2.gz RFJRUKDFTFUCQB-JTQLQIEISA-N 0 0 289.335 2.992 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000602303946 350861706 /nfs/dbraw/zinc/86/17/06/350861706.db2.gz YNBWSSLVLHLVKE-ZHPDPMBESA-N 0 0 292.335 2.901 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)C12CC(C1)C2 ZINC000602310945 350862397 /nfs/dbraw/zinc/86/23/97/350862397.db2.gz GIPNHKDUAAHEHX-UHFFFAOYSA-N 0 0 283.287 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cc(F)ccc2F)nc1 ZINC000111235070 186373906 /nfs/dbraw/zinc/37/39/06/186373906.db2.gz LIJSHYLHXBDYAY-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN COc1ncc(CN[C@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC000602583771 350934477 /nfs/dbraw/zinc/93/44/77/350934477.db2.gz UJFCIAWUJNGDAJ-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1C2CCC1CC2 ZINC000602361046 350870445 /nfs/dbraw/zinc/87/04/45/350870445.db2.gz BPIIZCXRNYRGFK-UHFFFAOYSA-N 0 0 261.281 2.754 20 5 CFBDRN C/C=C\CNC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000602376213 350871752 /nfs/dbraw/zinc/87/17/52/350871752.db2.gz UPFAFXCOIBSHJP-IHWYPQMZSA-N 0 0 289.335 2.845 20 5 CFBDRN Cc1ccc(C(=O)Nc2nccc(C3CC3)n2)cc1[N+](=O)[O-] ZINC000602382951 350872189 /nfs/dbraw/zinc/87/21/89/350872189.db2.gz LQAOGNKZOZYVCT-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CC(C)(NCCc1ccc([N+](=O)[O-])cc1)C(F)F ZINC000602648158 350960749 /nfs/dbraw/zinc/96/07/49/350960749.db2.gz MDPMKQQZTOQHPF-UHFFFAOYSA-N 0 0 258.268 2.771 20 5 CFBDRN CC/C=C\CNCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602676475 350973872 /nfs/dbraw/zinc/97/38/72/350973872.db2.gz QMAITMDXWAPMBD-ARJAWSKDSA-N 0 0 261.281 2.792 20 5 CFBDRN CCC(F)(F)CNCCc1ccc([N+](=O)[O-])cc1 ZINC000602727922 351003176 /nfs/dbraw/zinc/00/31/76/351003176.db2.gz YKGMRUJMDDDIPZ-UHFFFAOYSA-N 0 0 258.268 2.772 20 5 CFBDRN COCC[C@@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000112012998 186467713 /nfs/dbraw/zinc/46/77/13/186467713.db2.gz SXFIRVBFNKHYQV-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN COCC1(C2CC2)CN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000602734076 351006726 /nfs/dbraw/zinc/00/67/26/351006726.db2.gz XWFRYCJCLNPUEK-UHFFFAOYSA-N 0 0 282.365 2.515 20 5 CFBDRN CC(C)=CCNCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602633984 350954338 /nfs/dbraw/zinc/95/43/38/350954338.db2.gz NHEVOOWUZOMASM-UHFFFAOYSA-N 0 0 261.281 2.792 20 5 CFBDRN CCC[C@@H]1CCCC[C@@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602812835 351035311 /nfs/dbraw/zinc/03/53/11/351035311.db2.gz RRZSIHFQFLIBQH-YPMHNXCESA-N 0 0 280.372 2.777 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@H]1c1cn[nH]c1 ZINC000602882280 351056812 /nfs/dbraw/zinc/05/68/12/351056812.db2.gz UIQALAWDHILTNQ-ZDUSSCGKSA-N 0 0 290.298 2.794 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCC[C@@H]2c2cn[nH]c2)c1 ZINC000602883074 351057240 /nfs/dbraw/zinc/05/72/40/351057240.db2.gz WAYXVOMOEZFCNP-CQSZACIVSA-N 0 0 272.308 2.655 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@@H]2c2ncccn2)cs1 ZINC000602895274 351059071 /nfs/dbraw/zinc/05/90/71/351059071.db2.gz AXCJZQJKCWZRPQ-LLVKDONJSA-N 0 0 290.348 2.783 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1cn(CCC2CC2)nn1 ZINC000603223893 351121050 /nfs/dbraw/zinc/12/10/50/351121050.db2.gz LGOHMKNALIKHNB-UHFFFAOYSA-N 0 0 288.307 2.565 20 5 CFBDRN C/C=C/c1cn(Cc2ccc([N+](=O)[O-])c(OCC)c2)nn1 ZINC000603239183 351123582 /nfs/dbraw/zinc/12/35/82/351123582.db2.gz UTADKYFFKPJHBH-HWKANZROSA-N 0 0 288.307 2.666 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602951843 351071562 /nfs/dbraw/zinc/07/15/62/351071562.db2.gz JMUVLPZNTYXDLX-GHMZBOCLSA-N 0 0 292.339 2.515 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602951845 351071925 /nfs/dbraw/zinc/07/19/25/351071925.db2.gz JMUVLPZNTYXDLX-QWRGUYRKSA-N 0 0 292.339 2.515 20 5 CFBDRN CCCCN(C(=O)Nc1c(C)cncc1[N+](=O)[O-])C1CC1 ZINC000602957718 351072506 /nfs/dbraw/zinc/07/25/06/351072506.db2.gz PGWUQBAJTSKBHP-UHFFFAOYSA-N 0 0 292.339 2.517 20 5 CFBDRN CCN(c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)C(C)C ZINC000112965010 186543153 /nfs/dbraw/zinc/54/31/53/186543153.db2.gz GOMUROINHAZDRN-UHFFFAOYSA-N 0 0 277.324 2.714 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCCCCO1 ZINC000603009569 351090296 /nfs/dbraw/zinc/09/02/96/351090296.db2.gz RARJCCXXPDNCIX-UHFFFAOYSA-N 0 0 254.261 2.651 20 5 CFBDRN Cc1noc(CN[C@H](CF)c2ccc(F)cc2)c1[N+](=O)[O-] ZINC000603020822 351091724 /nfs/dbraw/zinc/09/17/24/351091724.db2.gz RSFMHTLGYAXSJM-LLVKDONJSA-N 0 0 297.261 2.831 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN[C@H]1CCCn2nccc21 ZINC000603028872 351092010 /nfs/dbraw/zinc/09/20/10/351092010.db2.gz HEQUEBNCBNFNDL-LBPRGKRZSA-N 0 0 290.298 2.555 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1OC2CCC1CC2 ZINC000603031873 351092518 /nfs/dbraw/zinc/09/25/18/351092518.db2.gz LEGDPEFOWXKWTA-UHFFFAOYSA-N 0 0 278.308 2.662 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(C[C@@H]2CCCC[C@H]2C)c1=O ZINC000603365492 351148088 /nfs/dbraw/zinc/14/80/88/351148088.db2.gz HUKSUBAVJQGLKG-MNOVXSKESA-N 0 0 280.324 2.591 20 5 CFBDRN CCc1nnc(Sc2cc(C)ccc2[N+](=O)[O-])o1 ZINC000603417318 351160445 /nfs/dbraw/zinc/16/04/45/351160445.db2.gz DTJHBHBRCBVJFQ-UHFFFAOYSA-N 0 0 265.294 3.000 20 5 CFBDRN C[C@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])C(F)F ZINC000603427124 351162536 /nfs/dbraw/zinc/16/25/36/351162536.db2.gz BFGGXQLJHKYSOV-XVKPBYJWSA-N 0 0 256.252 2.825 20 5 CFBDRN Cc1cccc(CN(C)C(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000603521598 351171798 /nfs/dbraw/zinc/17/17/98/351171798.db2.gz ZALSHIPAOFLXDD-UHFFFAOYSA-N 0 0 287.319 2.514 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOCC(F)(F)F)cc1 ZINC000603553128 351173369 /nfs/dbraw/zinc/17/33/69/351173369.db2.gz ZYLKMFYUVBPGNG-UHFFFAOYSA-N 0 0 278.230 2.976 20 5 CFBDRN CN(Cc1ccc(F)cc1)c1cccnc1[N+](=O)[O-] ZINC000603837206 351184157 /nfs/dbraw/zinc/18/41/57/351184157.db2.gz IAMSPZFTRVQHTJ-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN CN(CCOc1ccccc1F)c1cccnc1[N+](=O)[O-] ZINC000603844253 351185537 /nfs/dbraw/zinc/18/55/37/351185537.db2.gz FABDASXLSNUYJK-UHFFFAOYSA-N 0 0 291.282 2.644 20 5 CFBDRN NC(=O)c1ccc(NCc2ccc(Cl)o2)c([N+](=O)[O-])c1 ZINC000603872796 351188242 /nfs/dbraw/zinc/18/82/42/351188242.db2.gz NZZOUNVISKZQOG-UHFFFAOYSA-N 0 0 295.682 2.552 20 5 CFBDRN C[C@H]1CCN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000603264446 351127223 /nfs/dbraw/zinc/12/72/23/351127223.db2.gz CBBPCVGFLAVRJC-VIFPVBQESA-N 0 0 280.299 2.632 20 5 CFBDRN Cc1cc(C)cc(OCc2cn(C)nc2[N+](=O)[O-])c1 ZINC000603298790 351133674 /nfs/dbraw/zinc/13/36/74/351133674.db2.gz CLOVNWBPMSEBQQ-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN Cc1nn(COC2CCCCC2)c2ncc([N+](=O)[O-])cc12 ZINC000603318565 351138153 /nfs/dbraw/zinc/13/81/53/351138153.db2.gz GOJHTCWTEOHBRU-UHFFFAOYSA-N 0 0 290.323 2.955 20 5 CFBDRN CS[C@H](C)CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000130166932 187475064 /nfs/dbraw/zinc/47/50/64/187475064.db2.gz QSNBFOKIYVZKQP-SECBINFHSA-N 0 0 280.349 2.626 20 5 CFBDRN CNc1ccc(C(=O)N(C)Cc2ccoc2)cc1[N+](=O)[O-] ZINC000604200916 351201843 /nfs/dbraw/zinc/20/18/43/351201843.db2.gz NOYJMQGBIMXXPU-UHFFFAOYSA-N 0 0 289.291 2.502 20 5 CFBDRN Cc1occc1CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000604311212 351205981 /nfs/dbraw/zinc/20/59/81/351205981.db2.gz DSEWCGFMCANRPN-UHFFFAOYSA-N 0 0 280.305 2.796 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H]1c1ccccn1 ZINC000604380186 351209623 /nfs/dbraw/zinc/20/96/23/351209623.db2.gz VLKKZOIRMDZAFO-GFCCVEGCSA-N 0 0 270.292 2.726 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000604396303 351211020 /nfs/dbraw/zinc/21/10/20/351211020.db2.gz VJJJAABPKXQOCL-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H](C)C1CC1 ZINC000604395967 351211053 /nfs/dbraw/zinc/21/10/53/351211053.db2.gz KQNALJZAZCJPJL-SNVBAGLBSA-N 0 0 262.309 2.679 20 5 CFBDRN Cc1c(NC(=O)CCCn2ccnc2)cccc1[N+](=O)[O-] ZINC000604453341 351213279 /nfs/dbraw/zinc/21/32/79/351213279.db2.gz RRSOUGNGFSSSJN-UHFFFAOYSA-N 0 0 288.307 2.519 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2cc3c(nn2)CCC3)c1 ZINC000604500011 351215620 /nfs/dbraw/zinc/21/56/20/351215620.db2.gz GSHXKYOIUCQGIY-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000604537733 351217533 /nfs/dbraw/zinc/21/75/33/351217533.db2.gz HCCXRYCUEUWWOJ-SECBINFHSA-N 0 0 275.308 2.995 20 5 CFBDRN CC(C)c1noc(CCCNc2cccnc2[N+](=O)[O-])n1 ZINC000604546235 351218495 /nfs/dbraw/zinc/21/84/95/351218495.db2.gz HREZNAGWWDLGOI-UHFFFAOYSA-N 0 0 291.311 2.541 20 5 CFBDRN C[C@H]1c2ccccc2OCCN1c1cccnc1[N+](=O)[O-] ZINC000604557796 351219321 /nfs/dbraw/zinc/21/93/21/351219321.db2.gz ZJOCEYJJTPQZJT-NSHDSACASA-N 0 0 285.303 2.950 20 5 CFBDRN CC(C)(NC(=O)c1cccc([N+](=O)[O-])c1)c1nccs1 ZINC000116140680 186697574 /nfs/dbraw/zinc/69/75/74/186697574.db2.gz QYZMDEAIJYDSKU-UHFFFAOYSA-N 0 0 291.332 2.716 20 5 CFBDRN C[C@@H](NC(=O)C1(CCF)CC1)c1ccccc1[N+](=O)[O-] ZINC000291355215 197997650 /nfs/dbraw/zinc/99/76/50/197997650.db2.gz SRGWVWUMJUZDBU-SNVBAGLBSA-N 0 0 280.299 2.912 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCCO[C@@H](c2ccccc2)C1 ZINC000609232865 351492879 /nfs/dbraw/zinc/49/28/79/351492879.db2.gz JIOCXVYIPDOTTH-MRXNPFEDSA-N 0 0 299.330 2.958 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)c1cc([N+](=O)[O-])cn1C)CC2 ZINC000609304106 351496104 /nfs/dbraw/zinc/49/61/04/351496104.db2.gz LJQBJVKAFGZWKH-AWEZNQCLSA-N 0 0 299.330 2.659 20 5 CFBDRN CNc1ccc(C(=O)N2CCC3(CC3)CC2)cc1[N+](=O)[O-] ZINC000609476952 351503123 /nfs/dbraw/zinc/50/31/23/351503123.db2.gz KXAXWHKJBADJJX-UHFFFAOYSA-N 0 0 289.335 2.653 20 5 CFBDRN C[C@H](c1ccccc1)[C@@H](CO)Nc1ccc([N+](=O)[O-])cn1 ZINC000609496285 351504501 /nfs/dbraw/zinc/50/45/01/351504501.db2.gz FGZAEOKHSUBDBV-BXUZGUMPSA-N 0 0 287.319 2.566 20 5 CFBDRN CC(C)N(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)C(C)C ZINC000609504794 351504597 /nfs/dbraw/zinc/50/45/97/351504597.db2.gz AAFQNTYQEIMIKE-UHFFFAOYSA-N 0 0 294.307 2.583 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1-c1nc([C@@H]2CCOC2)no1 ZINC000119245795 186813482 /nfs/dbraw/zinc/81/34/82/186813482.db2.gz BABPZCVJTMFQMF-SSDOTTSWSA-N 0 0 295.682 2.802 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc3nccn3c2)cc([N+](=O)[O-])c1 ZINC000609623182 351512029 /nfs/dbraw/zinc/51/20/29/351512029.db2.gz RQYILBUIGWHELJ-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)C1CCCCC1 ZINC000608779103 351470639 /nfs/dbraw/zinc/47/06/39/351470639.db2.gz JQTKLJNBIILMFJ-JTQLQIEISA-N 0 0 279.344 2.568 20 5 CFBDRN CN(C[C@H]1OCCc2ccccc21)c1cccnc1[N+](=O)[O-] ZINC000608779058 351470646 /nfs/dbraw/zinc/47/06/46/351470646.db2.gz BCOUMIODUDAUHZ-OAHLLOKOSA-N 0 0 299.330 2.740 20 5 CFBDRN Cn1ccc2c1cccc2NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000608881971 351480997 /nfs/dbraw/zinc/48/09/97/351480997.db2.gz CXDHXFOFTCFLMX-UHFFFAOYSA-N 0 0 298.302 2.677 20 5 CFBDRN Cc1ccc(CN(C)c2cccnc2[N+](=O)[O-])s1 ZINC000609075119 351483434 /nfs/dbraw/zinc/48/34/34/351483434.db2.gz HROJRUVYUBKQHV-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CCC[C@H](CC)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000609012471 351483617 /nfs/dbraw/zinc/48/36/17/351483617.db2.gz YDPYSLURFJJLPQ-LBPRGKRZSA-N 0 0 294.351 2.841 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H](n2ccnc2)C1 ZINC000609132917 351485545 /nfs/dbraw/zinc/48/55/45/351485545.db2.gz UMGKNZJRXDYUCP-GFCCVEGCSA-N 0 0 290.298 2.772 20 5 CFBDRN CCC[C@H]1CCCC[C@@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000609120608 351485589 /nfs/dbraw/zinc/48/55/89/351485589.db2.gz QOSZBAPYXITEDQ-UWVGGRQHSA-N 0 0 279.344 2.568 20 5 CFBDRN Cc1n[nH]c(C(=O)N(C2CC2)C2CCCCC2)c1[N+](=O)[O-] ZINC000609166755 351489538 /nfs/dbraw/zinc/48/95/38/351489538.db2.gz LGEKZAOWZARFOT-UHFFFAOYSA-N 0 0 292.339 2.564 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000609189889 351490467 /nfs/dbraw/zinc/49/04/67/351490467.db2.gz PQHKWYUGNUCWBA-LBPRGKRZSA-N 0 0 286.287 2.914 20 5 CFBDRN CCC[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccccn1 ZINC000609179406 351490753 /nfs/dbraw/zinc/49/07/53/351490753.db2.gz LMSPRPYVXNEPPO-NSHDSACASA-N 0 0 289.291 2.854 20 5 CFBDRN Cc1cccc2c1CC[C@H]2NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000609189787 351490808 /nfs/dbraw/zinc/49/08/08/351490808.db2.gz NLCOLLVPRMPMAK-CYBMUJFWSA-N 0 0 285.303 2.649 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1C2CCC1CC2 ZINC000609783158 351519679 /nfs/dbraw/zinc/51/96/79/351519679.db2.gz GPRZOSGWGLKCBM-UHFFFAOYSA-N 0 0 294.738 2.944 20 5 CFBDRN COc1nc(C)cc(C)c1CNc1ccc([N+](=O)[O-])nc1 ZINC000609797191 351519815 /nfs/dbraw/zinc/51/98/15/351519815.db2.gz NFHUENPNFZXDPG-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000609797113 351519984 /nfs/dbraw/zinc/51/99/84/351519984.db2.gz OWVQIJCALYIWCM-QMMMGPOBSA-N 0 0 299.290 2.745 20 5 CFBDRN Cc1nc(Sc2ccc([N+](=O)[O-])nc2)oc1C ZINC000609797143 351520038 /nfs/dbraw/zinc/52/00/38/351520038.db2.gz XSOIDXBJEUSDDL-UHFFFAOYSA-N 0 0 251.267 2.746 20 5 CFBDRN C[C@@H](CCC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609868375 351524028 /nfs/dbraw/zinc/52/40/28/351524028.db2.gz PPWPMXOZALGZNG-JTQLQIEISA-N 0 0 281.356 2.878 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1cccnc1[N+](=O)[O-] ZINC000609964936 351528189 /nfs/dbraw/zinc/52/81/89/351528189.db2.gz YVXQZKDPATWWNG-MRVPVSSYSA-N 0 0 276.296 2.638 20 5 CFBDRN CC(C)SCC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000609974001 351529493 /nfs/dbraw/zinc/52/94/93/351529493.db2.gz WXEOAGKYGPXHOM-UHFFFAOYSA-N 0 0 280.349 2.626 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000609972501 351529596 /nfs/dbraw/zinc/52/95/96/351529596.db2.gz LKTGDKVVTIJRRL-NSHDSACASA-N 0 0 292.335 2.545 20 5 CFBDRN CO[C@H](C)[C@@H](C)NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000291451163 198025447 /nfs/dbraw/zinc/02/54/47/198025447.db2.gz NYEDXMFYWKYXEO-VXGBXAGGSA-N 0 0 294.351 2.673 20 5 CFBDRN Cc1occc1CNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000610228083 351541501 /nfs/dbraw/zinc/54/15/01/351541501.db2.gz GCIIVNYDUCKVLQ-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cnc(C3CC3)nc2)c1 ZINC000610231096 351542901 /nfs/dbraw/zinc/54/29/01/351542901.db2.gz XJICNAXQXRLDQX-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN COc1ccc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)nc1 ZINC000610244448 351543721 /nfs/dbraw/zinc/54/37/21/351543721.db2.gz LOXWAJYNMXKXJE-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN O=C(Nc1ncc(Cl)s1)c1cccc([N+](=O)[O-])c1 ZINC000610247070 351544610 /nfs/dbraw/zinc/54/46/10/351544610.db2.gz PLKHUIUIPCDBDD-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN O=C(Nc1ncc(Cl)s1)c1ccc([N+](=O)[O-])o1 ZINC000610247020 351544636 /nfs/dbraw/zinc/54/46/36/351544636.db2.gz HNDRVHNUOKELJB-UHFFFAOYSA-N 0 0 273.657 2.550 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCCOC[C@H]1CCCO1 ZINC000610272614 351546139 /nfs/dbraw/zinc/54/61/39/351546139.db2.gz ACPQALDNOWPOGM-SNVBAGLBSA-N 0 0 286.353 2.654 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])CCS1 ZINC000610283329 351549200 /nfs/dbraw/zinc/54/92/00/351549200.db2.gz VXWGVRCLJYSXAJ-NSHDSACASA-N 0 0 282.365 2.935 20 5 CFBDRN C[C@@H](c1cccc(O)c1)N(C)c1ncccc1[N+](=O)[O-] ZINC000610431874 351565570 /nfs/dbraw/zinc/56/55/70/351565570.db2.gz LAUVNJPGHLNEJZ-JTQLQIEISA-N 0 0 273.292 2.893 20 5 CFBDRN Cc1nccn1CCCN(C)c1cccc(F)c1[N+](=O)[O-] ZINC000120225779 186884537 /nfs/dbraw/zinc/88/45/37/186884537.db2.gz JPUUEQDXMUIXOO-UHFFFAOYSA-N 0 0 292.314 2.765 20 5 CFBDRN Cc1cc(C)c(CNc2ccsc2[N+](=O)[O-])c(=O)[nH]1 ZINC000610514424 351575270 /nfs/dbraw/zinc/57/52/70/351575270.db2.gz YMWKICOPPJFGRS-UHFFFAOYSA-N 0 0 279.321 2.986 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)Cc2ccccc2)s1 ZINC000610514778 351575664 /nfs/dbraw/zinc/57/56/64/351575664.db2.gz NSWXGACGOVETOO-GFCCVEGCSA-N 0 0 292.360 2.919 20 5 CFBDRN CC(C)[C@H]1OCCC[C@H]1CNc1cccnc1[N+](=O)[O-] ZINC000610588045 351585001 /nfs/dbraw/zinc/58/50/01/351585001.db2.gz XWWNURLHFJSGSO-WCQYABFASA-N 0 0 279.340 2.853 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H](OC)C1(C)C ZINC000610651860 351588129 /nfs/dbraw/zinc/58/81/29/351588129.db2.gz BJYLRTIYYAVGMR-ZIAGYGMSSA-N 0 0 294.351 2.967 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H](OC)C1(C)C ZINC000610651858 351588342 /nfs/dbraw/zinc/58/83/42/351588342.db2.gz BJYLRTIYYAVGMR-KGLIPLIRSA-N 0 0 294.351 2.967 20 5 CFBDRN CN(CCC1CCOCC1)c1ccsc1[N+](=O)[O-] ZINC000610709159 351595115 /nfs/dbraw/zinc/59/51/15/351595115.db2.gz UOLOYLFUILFHNU-UHFFFAOYSA-N 0 0 270.354 2.909 20 5 CFBDRN CC[C@H](C[NH+]1CCCC1)Nc1ccc([N+](=O)[O-])s1 ZINC000610715997 351596453 /nfs/dbraw/zinc/59/64/53/351596453.db2.gz YFWYEYSLFILBGV-SNVBAGLBSA-N 0 0 269.370 2.943 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000610726328 351598408 /nfs/dbraw/zinc/59/84/08/351598408.db2.gz WZLXAGPKEGXQIJ-SNVBAGLBSA-N 0 0 270.354 2.908 20 5 CFBDRN CC(C)CO[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000610726236 351598900 /nfs/dbraw/zinc/59/89/00/351598900.db2.gz DPJKQSQTVBMMNA-SNVBAGLBSA-N 0 0 270.354 2.908 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1ccccc1[N+](=O)[O-] ZINC000610734697 351599132 /nfs/dbraw/zinc/59/91/32/351599132.db2.gz GRCFQGLZYPBTGF-MNOVXSKESA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000610734987 351600356 /nfs/dbraw/zinc/60/03/56/351600356.db2.gz YPRHFKFQEPLISM-DTWKUNHWSA-N 0 0 285.731 2.870 20 5 CFBDRN Cc1nc(N2CC(CC(F)(F)F)C2)ccc1[N+](=O)[O-] ZINC000610755426 351604484 /nfs/dbraw/zinc/60/44/84/351604484.db2.gz BTGSORDNPSIRIY-UHFFFAOYSA-N 0 0 275.230 2.687 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCC(F)(F)C1 ZINC000610787507 351608029 /nfs/dbraw/zinc/60/80/29/351608029.db2.gz FNGXZWIVFPTIEL-SNVBAGLBSA-N 0 0 299.277 2.582 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000610786414 351608316 /nfs/dbraw/zinc/60/83/16/351608316.db2.gz DATGPCQWRYRDPB-KWCYVHTRSA-N 0 0 267.288 2.740 20 5 CFBDRN CCC1(CC)[C@H](OC)C[C@@H]1Nc1cccnc1[N+](=O)[O-] ZINC000610808382 351610584 /nfs/dbraw/zinc/61/05/84/351610584.db2.gz GAGQFMJXZXTPPI-NWDGAFQWSA-N 0 0 279.340 2.995 20 5 CFBDRN Cn1cnc2cc(Nc3cccnc3[N+](=O)[O-])ccc21 ZINC000610340229 351555232 /nfs/dbraw/zinc/55/52/32/351555232.db2.gz FEWGLOXUHUXAIP-UHFFFAOYSA-N 0 0 269.264 2.620 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1ccc([N+](=O)[O-])s1 ZINC000610340472 351555955 /nfs/dbraw/zinc/55/59/55/351555955.db2.gz BCSYFYREJRJENP-BDAKNGLRSA-N 0 0 258.343 2.865 20 5 CFBDRN COc1cc(C)cc(CNc2cccnc2[N+](=O)[O-])c1 ZINC000611030616 351635114 /nfs/dbraw/zinc/63/51/14/351635114.db2.gz PDBQSOBOKUOJKX-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1cc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)ncn1 ZINC000611131497 351643355 /nfs/dbraw/zinc/64/33/55/351643355.db2.gz DOBDHZUQPOGFAG-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(C2)CC(F)(F)C3)c(F)c1 ZINC000611180697 351649802 /nfs/dbraw/zinc/64/98/02/351649802.db2.gz POEUZIYNBYJBCW-UHFFFAOYSA-N 0 0 286.253 2.965 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC3(C2)CC(F)(F)C3)cs1 ZINC000611180840 351650042 /nfs/dbraw/zinc/65/00/42/351650042.db2.gz XGUPEZJMWBXXRO-UHFFFAOYSA-N 0 0 274.292 2.887 20 5 CFBDRN CC[N@@H+]1C[C@@H](C)N(c2ccc([N+](=O)[O-])s2)C[C@H]1C ZINC000611222022 351652399 /nfs/dbraw/zinc/65/23/99/351652399.db2.gz HMAXENQELHFMEW-NXEZZACHSA-N 0 0 269.370 2.575 20 5 CFBDRN CC(C)C1CN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000611223980 351653436 /nfs/dbraw/zinc/65/34/36/351653436.db2.gz QAVOWDHXFUVFLM-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN CSc1cc(NC(=O)c2ccccc2[N+](=O)[O-])ccn1 ZINC000611230899 351653439 /nfs/dbraw/zinc/65/34/39/351653439.db2.gz DTJOBWMQFDIHBL-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN Cc1cc(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)nn1C ZINC000610856700 351614877 /nfs/dbraw/zinc/61/48/77/351614877.db2.gz ILWHJMTUMSJNQF-UHFFFAOYSA-N 0 0 297.318 2.549 20 5 CFBDRN CCc1cc(Oc2cc(F)cc([N+](=O)[O-])c2)ncn1 ZINC000610915412 351621599 /nfs/dbraw/zinc/62/15/99/351621599.db2.gz NIVTYPYEYCTEBA-UHFFFAOYSA-N 0 0 263.228 2.879 20 5 CFBDRN CS[C@H]1CCCCN(c2cccnc2[N+](=O)[O-])C1 ZINC000610930166 351622622 /nfs/dbraw/zinc/62/26/22/351622622.db2.gz JKTNQNZXUFBWBF-JTQLQIEISA-N 0 0 267.354 2.712 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1F ZINC000611373273 351676704 /nfs/dbraw/zinc/67/67/04/351676704.db2.gz CRCLYJDBGRVJDJ-NOZJJQNGSA-N 0 0 268.288 2.961 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1cccc2c1OCO2 ZINC000611411820 351684875 /nfs/dbraw/zinc/68/48/75/351684875.db2.gz SFPUJCLWJUORJW-UHFFFAOYSA-N 0 0 278.289 2.997 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCCNc1ccccn1 ZINC000611411476 351685272 /nfs/dbraw/zinc/68/52/72/351685272.db2.gz FDMDGIPTXSQLRC-UHFFFAOYSA-N 0 0 278.337 2.965 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@H](n2ccnc2)C1 ZINC000611412380 351685868 /nfs/dbraw/zinc/68/58/68/351685868.db2.gz SOTNSBNZXLMDHR-JTQLQIEISA-N 0 0 278.337 2.694 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC[C@@H](n2ccnc2)C1 ZINC000611412381 351686154 /nfs/dbraw/zinc/68/61/54/351686154.db2.gz SOTNSBNZXLMDHR-SNVBAGLBSA-N 0 0 278.337 2.694 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2sccc2[N+](=O)[O-])n[nH]1 ZINC000611413616 351686616 /nfs/dbraw/zinc/68/66/16/351686616.db2.gz IIKIKFLJLORCEL-ZETCQYMHSA-N 0 0 266.326 2.731 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1sccc1[N+](=O)[O-] ZINC000611414351 351686635 /nfs/dbraw/zinc/68/66/35/351686635.db2.gz VOXRMCHTAHKZOT-WPRPVWTQSA-N 0 0 256.327 2.883 20 5 CFBDRN CC1(CNc2sccc2[N+](=O)[O-])CCOCC1 ZINC000611413395 351686708 /nfs/dbraw/zinc/68/67/08/351686708.db2.gz OELBHGWXWNBBEE-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN CN(CCOC(C)(C)C)c1sccc1[N+](=O)[O-] ZINC000611413787 351687019 /nfs/dbraw/zinc/68/70/19/351687019.db2.gz UQGNDTVKRNXGIH-UHFFFAOYSA-N 0 0 258.343 2.908 20 5 CFBDRN C[C@H]1C[C@@H](CNc2sccc2[N+](=O)[O-])CCO1 ZINC000611414079 351687063 /nfs/dbraw/zinc/68/70/63/351687063.db2.gz GUMGDETXVXPZSX-IUCAKERBSA-N 0 0 256.327 2.883 20 5 CFBDRN COc1ccc(CN2CCc3c(cccc3[N+](=O)[O-])C2)nc1 ZINC000611431479 351689327 /nfs/dbraw/zinc/68/93/27/351689327.db2.gz RJPALCANXYNVQX-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN Cc1cc(N2CCC[C@H]2C2CCOCC2)ncc1[N+](=O)[O-] ZINC000611467795 351693489 /nfs/dbraw/zinc/69/34/89/351693489.db2.gz VYYJSEGWYASHBJ-ZDUSSCGKSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1c(C(=O)N2CC[C@@H](C)[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000611505670 351700365 /nfs/dbraw/zinc/70/03/65/351700365.db2.gz LKZIVXKRPQPZNQ-BXKDBHETSA-N 0 0 280.299 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)[C@@H](F)C2)c1 ZINC000611506084 351701209 /nfs/dbraw/zinc/70/12/09/351701209.db2.gz GMWRLUROSSSYMO-PWSUYJOCSA-N 0 0 280.299 2.723 20 5 CFBDRN C[C@H]1CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C[C@H]1F ZINC000611505904 351701224 /nfs/dbraw/zinc/70/12/24/351701224.db2.gz FRUGYPKCVDGYJP-SMDDNHRTSA-N 0 0 294.326 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=CCC2)c2ccncc21 ZINC000611510020 351701555 /nfs/dbraw/zinc/70/15/55/351701555.db2.gz XZXMZIVSKQRQNE-UHFFFAOYSA-N 0 0 255.277 2.909 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@H]1F ZINC000611507208 351701988 /nfs/dbraw/zinc/70/19/88/351701988.db2.gz YXCLWFNOQJKQAX-LDYMZIIASA-N 0 0 284.262 2.554 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCc2c[nH]nc2C1 ZINC000611516724 351702511 /nfs/dbraw/zinc/70/25/11/351702511.db2.gz GZHXIMWORPKIBP-NSHDSACASA-N 0 0 286.335 2.746 20 5 CFBDRN COc1cc(CN2CC[C@@H](C)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000611516991 351702842 /nfs/dbraw/zinc/70/28/42/351702842.db2.gz RFZQIJWLPMZKTE-ZYHUDNBSSA-N 0 0 282.315 2.783 20 5 CFBDRN CCOc1cc(N2C[C@H](C)OC[C@H]2C)ccc1[N+](=O)[O-] ZINC000611295697 351665081 /nfs/dbraw/zinc/66/50/81/351665081.db2.gz PXZPUUWZPAZZTE-MNOVXSKESA-N 0 0 280.324 2.607 20 5 CFBDRN Cc1cc(N2CCCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000611298338 351665201 /nfs/dbraw/zinc/66/52/01/351665201.db2.gz BFYPKUQBHXFHKM-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN C[C@H]1CCN1c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000611296450 351665312 /nfs/dbraw/zinc/66/53/12/351665312.db2.gz NAPAWTKIKWAPDT-ZETCQYMHSA-N 0 0 258.224 2.795 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cnn(C)c1C(F)F ZINC000611298420 351665359 /nfs/dbraw/zinc/66/53/59/351665359.db2.gz LXAVCAFDKUAVJC-UHFFFAOYSA-N 0 0 283.238 2.713 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])s2)CCO1 ZINC000611298589 351665622 /nfs/dbraw/zinc/66/56/22/351665622.db2.gz CZQODUALUPGYJK-BDAKNGLRSA-N 0 0 256.327 2.883 20 5 CFBDRN COCC1(C)CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000611338974 351671083 /nfs/dbraw/zinc/67/10/83/351671083.db2.gz LXIQVIPJMJDXRU-UHFFFAOYSA-N 0 0 299.758 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2c3ccccc3C[C@H]2O)s1 ZINC000611369971 351675633 /nfs/dbraw/zinc/67/56/33/351675633.db2.gz DOMFPVXRVRRUJB-MFKMUULPSA-N 0 0 276.317 2.727 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(c2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000611705055 351726031 /nfs/dbraw/zinc/72/60/31/351726031.db2.gz DQUBKHUXXRPMDB-NXEZZACHSA-N 0 0 272.308 2.773 20 5 CFBDRN C[C@@H]1OCC[C@H]1Sc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611711891 351727828 /nfs/dbraw/zinc/72/78/28/351727828.db2.gz BILIUSRSQSQIIV-QPUJVOFHSA-N 0 0 291.332 2.808 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H](OC(F)F)C1 ZINC000611583656 351711919 /nfs/dbraw/zinc/71/19/19/351711919.db2.gz NLCMBPMGBCXGMT-VIFPVBQESA-N 0 0 272.251 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](OC(F)F)C2)s1 ZINC000611583624 351712597 /nfs/dbraw/zinc/71/25/97/351712597.db2.gz MMOZKYNVJUJFGU-ZETCQYMHSA-N 0 0 278.280 2.864 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Sc2n[nH]c(C3CC3)n2)n1 ZINC000611615712 351714587 /nfs/dbraw/zinc/71/45/87/351714587.db2.gz OLNBYKDWGCMGNU-UHFFFAOYSA-N 0 0 291.336 2.753 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616609 351714643 /nfs/dbraw/zinc/71/46/43/351714643.db2.gz GYZCQAPYOBQGII-LBPRGKRZSA-N 0 0 279.340 2.612 20 5 CFBDRN CCCN(CC(C)(C)O)c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611617249 351715330 /nfs/dbraw/zinc/71/53/30/351715330.db2.gz UYYKDBQAAYIRFR-UHFFFAOYSA-N 0 0 281.356 2.594 20 5 CFBDRN CCc1nn(C)c(NCC[C@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000612104277 351775310 /nfs/dbraw/zinc/77/53/10/351775310.db2.gz UQAKZDOJQMQQBC-QWRGUYRKSA-N 0 0 296.371 2.508 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000431500064 383678880 /nfs/dbraw/zinc/67/88/80/383678880.db2.gz NRVFHRUUBFYBOM-GFCCVEGCSA-N 0 0 293.367 2.868 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCCCC1 ZINC000121335243 186966399 /nfs/dbraw/zinc/96/63/99/186966399.db2.gz RVLPLKJFUKTDJM-UHFFFAOYSA-N 0 0 287.319 2.631 20 5 CFBDRN COc1ccc(NCc2ccc([N+](=O)[O-])cc2Cl)nc1 ZINC000612005001 351760770 /nfs/dbraw/zinc/76/07/70/351760770.db2.gz DXZSMDAFAQTJLU-UHFFFAOYSA-N 0 0 293.710 2.686 20 5 CFBDRN CC(C)c1nnc(CNc2sccc2[N+](=O)[O-])n1C ZINC000612090820 351773034 /nfs/dbraw/zinc/77/30/34/351773034.db2.gz WQRSMETYGCDWGX-UHFFFAOYSA-N 0 0 281.341 2.520 20 5 CFBDRN COc1ccc2c(c1)CC[C@H](Nc1ncccc1[N+](=O)[O-])C2 ZINC000612098124 351774375 /nfs/dbraw/zinc/77/43/75/351774375.db2.gz DHSLCSBAWCCDAL-ZDUSSCGKSA-N 0 0 299.330 2.968 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3CCCOCC3)c21 ZINC000612449417 351822119 /nfs/dbraw/zinc/82/21/19/351822119.db2.gz ZOXPDQZTJNJTAJ-JTQLQIEISA-N 0 0 288.307 2.519 20 5 CFBDRN Cc1cc(C(=O)N2CCC(C)CC2)cc([N+](=O)[O-])c1 ZINC000121836409 186999467 /nfs/dbraw/zinc/99/94/67/186999467.db2.gz DELPQHRUOTYEBB-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN COCCN(C)c1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000122023843 187010853 /nfs/dbraw/zinc/01/08/53/187010853.db2.gz HKJAIXYZGNXWNZ-UHFFFAOYSA-N 0 0 279.123 2.984 20 5 CFBDRN CC1(O)CCN(Cc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000122052468 187013012 /nfs/dbraw/zinc/01/30/12/187013012.db2.gz FNWKBFVRGXNZNX-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@@H](Nc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CCOC1 ZINC000121740374 186993114 /nfs/dbraw/zinc/99/31/14/186993114.db2.gz WDSXCVBHMWYYBZ-SFYZADRCSA-N 0 0 272.251 2.710 20 5 CFBDRN CC(C)CONC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000123089857 187070282 /nfs/dbraw/zinc/07/02/82/187070282.db2.gz SGPNDNXSWLOZGR-UHFFFAOYSA-N 0 0 272.688 2.566 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H]1C ZINC000123100863 187071076 /nfs/dbraw/zinc/07/10/76/187071076.db2.gz LLHKVBODLLNTNH-SECBINFHSA-N 0 0 279.296 2.620 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000122397557 187030250 /nfs/dbraw/zinc/03/02/50/187030250.db2.gz HYHQHYPUMVSPRR-YGRLFVJLSA-N 0 0 294.326 2.971 20 5 CFBDRN COCCC1(C(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 ZINC000122439263 187032239 /nfs/dbraw/zinc/03/22/39/187032239.db2.gz TWUDJTSNIUMFOP-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN CCCN(Cc1ccccc1F)c1ncc([N+](=O)[O-])cn1 ZINC000612779254 351861774 /nfs/dbraw/zinc/86/17/74/351861774.db2.gz LNEFXQKRNYOGJA-UHFFFAOYSA-N 0 0 290.298 2.941 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+]C1(CO)CCCCC1 ZINC000612610441 351843710 /nfs/dbraw/zinc/84/37/10/351843710.db2.gz BZCIBSUDJVLIOA-UHFFFAOYSA-N 0 0 278.352 2.688 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)NC1CC=CC1 ZINC000612615987 351844471 /nfs/dbraw/zinc/84/44/71/351844471.db2.gz LOPKMQOCUBKELD-UHFFFAOYSA-N 0 0 265.244 2.574 20 5 CFBDRN C[C@H]1C[C@H]1c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000612864367 351871631 /nfs/dbraw/zinc/87/16/31/351871631.db2.gz TXLJBDQXQWQCIX-WCBMZHEXSA-N 0 0 259.265 2.692 20 5 CFBDRN CC(C)c1[nH]nc(-c2nc(C3CCC3)no2)c1[N+](=O)[O-] ZINC000612896972 351876039 /nfs/dbraw/zinc/87/60/39/351876039.db2.gz DIJMHIIKWVHWAH-UHFFFAOYSA-N 0 0 277.284 2.759 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1nc(C2CCC2)no1 ZINC000612897232 351876101 /nfs/dbraw/zinc/87/61/01/351876101.db2.gz JUBBWYAJYDBKBF-UHFFFAOYSA-N 0 0 288.307 2.900 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[C@H]1CCCCO1 ZINC000123902267 187114125 /nfs/dbraw/zinc/11/41/25/187114125.db2.gz FVCPYWVFSRGAOE-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C[C@H]2CCOC2)C2CC2)n1 ZINC000613284221 351932208 /nfs/dbraw/zinc/93/22/08/351932208.db2.gz BFWJVKVUHAMRES-GFCCVEGCSA-N 0 0 291.351 2.612 20 5 CFBDRN CCc1nnc(COc2c(Cl)cccc2[N+](=O)[O-])o1 ZINC000130757141 187518302 /nfs/dbraw/zinc/51/83/02/187518302.db2.gz NAZCFIAFGGRKHL-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnoc1 ZINC000613180483 351910453 /nfs/dbraw/zinc/91/04/53/351910453.db2.gz HVKUOGINHNBABT-LLVKDONJSA-N 0 0 289.291 2.790 20 5 CFBDRN CCC(C)(CC)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000613400146 351951794 /nfs/dbraw/zinc/95/17/94/351951794.db2.gz OZRZGJPBRPBBSO-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 ZINC000613604210 351986019 /nfs/dbraw/zinc/98/60/19/351986019.db2.gz USKNQAVXURLQJC-DUFXMDAXSA-N 0 0 292.360 2.825 20 5 CFBDRN C[C@H]1[C@H](C(F)(F)F)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000614150160 352052930 /nfs/dbraw/zinc/05/29/30/352052930.db2.gz FIEUXSXOBJZPHY-IONNQARKSA-N 0 0 290.245 2.552 20 5 CFBDRN CCCC[C@@H](NCc1ccc([N+](=O)[O-])cc1F)C(=O)OC ZINC000614248703 352068983 /nfs/dbraw/zinc/06/89/83/352068983.db2.gz JXPRBZWTOQMFIE-CYBMUJFWSA-N 0 0 298.314 2.555 20 5 CFBDRN Cc1cnc(N2CCOCC3(CCCC3)C2)c([N+](=O)[O-])c1 ZINC000613902784 352024570 /nfs/dbraw/zinc/02/45/70/352024570.db2.gz BKLODTUOQMCEJX-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000614672506 352110421 /nfs/dbraw/zinc/11/04/21/352110421.db2.gz WKPZBPOGFWRBLS-JQWIXIFHSA-N 0 0 290.319 2.618 20 5 CFBDRN COc1cc(N2C[C@H](C)O[C@H](C)[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000614980196 352139146 /nfs/dbraw/zinc/13/91/46/352139146.db2.gz IHGWAOKOFNHFFG-LPEHRKFASA-N 0 0 298.314 2.745 20 5 CFBDRN CN(Cc1cccc(O)c1)c1ccsc1[N+](=O)[O-] ZINC000615708392 352234129 /nfs/dbraw/zinc/23/41/29/352234129.db2.gz CYWACAFTAZZQCE-UHFFFAOYSA-N 0 0 264.306 2.998 20 5 CFBDRN Cc1cccc2c1OCC[C@H]2Nc1c([N+](=O)[O-])ncn1C ZINC000616064538 352280815 /nfs/dbraw/zinc/28/08/15/352280815.db2.gz GWTZOZDGHYQRQA-LLVKDONJSA-N 0 0 288.307 2.572 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000616113547 352285873 /nfs/dbraw/zinc/28/58/73/352285873.db2.gz ALKKMEQZXKTZKK-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CO)CCC2)c(Cl)c1 ZINC000133024803 187660376 /nfs/dbraw/zinc/66/03/76/187660376.db2.gz MYTSEWGAFXFKFT-UHFFFAOYSA-N 0 0 256.689 2.575 20 5 CFBDRN O=C(N[C@H]1CC12CCCCC2)c1ccc([N+](=O)[O-])o1 ZINC000180720607 295841287 /nfs/dbraw/zinc/84/12/87/295841287.db2.gz DSWQLEYCXWMKPU-JTQLQIEISA-N 0 0 264.281 2.640 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000133134483 187667642 /nfs/dbraw/zinc/66/76/42/187667642.db2.gz QCWZARHINMZMKE-UWVGGRQHSA-N 0 0 284.743 2.988 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@H]1C[C@@H](C)O ZINC000133141321 187668052 /nfs/dbraw/zinc/66/80/52/187668052.db2.gz GTYCNZYWQZXICO-NEPJUHHUSA-N 0 0 264.325 2.643 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000134528429 187757361 /nfs/dbraw/zinc/75/73/61/187757361.db2.gz NFFGVGIWURNCOB-YUMQZZPRSA-N 0 0 268.700 2.634 20 5 CFBDRN Cc1cccc2c1CN(c1cccnc1[N+](=O)[O-])CC2 ZINC000617485251 352571960 /nfs/dbraw/zinc/57/19/60/352571960.db2.gz NCRJZJSVGXBHBX-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CCOc1cccc(NC[C@]2(C)CCCO2)c1[N+](=O)[O-] ZINC000134926578 187781959 /nfs/dbraw/zinc/78/19/59/187781959.db2.gz IDGIEEWHKZEUFK-AWEZNQCLSA-N 0 0 280.324 2.975 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000617688836 352629339 /nfs/dbraw/zinc/62/93/39/352629339.db2.gz BYWUYDHLKYBRIQ-VXGBXAGGSA-N 0 0 299.330 2.971 20 5 CFBDRN C[C@H](C(=O)NCC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000617698766 352630415 /nfs/dbraw/zinc/63/04/15/352630415.db2.gz FZKXTALJTVWBLS-JTQLQIEISA-N 0 0 262.309 2.615 20 5 CFBDRN C[C@H](C(=O)NCC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000617709623 352630421 /nfs/dbraw/zinc/63/04/21/352630421.db2.gz QOXYYUUZNNDRRA-JTQLQIEISA-N 0 0 264.325 2.861 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC[C@H](CC(F)(F)F)C1 ZINC000617972509 352687725 /nfs/dbraw/zinc/68/77/25/352687725.db2.gz ZZNWZXLYZGXDMU-MRVPVSSYSA-N 0 0 275.230 2.769 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000271604289 191238088 /nfs/dbraw/zinc/23/80/88/191238088.db2.gz JDNQOFPRDKZFKW-JOYOIKCWSA-N 0 0 277.324 2.754 20 5 CFBDRN Cc1nc(CNc2c3c(ccc2[N+](=O)[O-])NCC3)cs1 ZINC000618150787 352724140 /nfs/dbraw/zinc/72/41/40/352724140.db2.gz WZUBHPNPVMVHFI-UHFFFAOYSA-N 0 0 290.348 2.940 20 5 CFBDRN CC[C@H](C)NC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271622635 191246404 /nfs/dbraw/zinc/24/64/04/191246404.db2.gz NATKNJCACHDLOP-UWVGGRQHSA-N 0 0 265.313 2.754 20 5 CFBDRN CC(C)(NCc1ccon1)c1ccccc1[N+](=O)[O-] ZINC000292136781 198177110 /nfs/dbraw/zinc/17/71/10/198177110.db2.gz LXHOQNNEVRGJAR-UHFFFAOYSA-N 0 0 261.281 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(Sc3ncco3)c2c1 ZINC000618345882 352756341 /nfs/dbraw/zinc/75/63/41/352756341.db2.gz MUQFBIJYAYXSJH-UHFFFAOYSA-N 0 0 274.261 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cn3ccsc3n2)s1 ZINC000618674023 352857443 /nfs/dbraw/zinc/85/74/43/352857443.db2.gz YVOHPBCGVIETPW-UHFFFAOYSA-N 0 0 280.334 2.978 20 5 CFBDRN Cc1cc(NC(=O)c2nccs2)ccc1[N+](=O)[O-] ZINC000618686724 352859649 /nfs/dbraw/zinc/85/96/49/352859649.db2.gz UAPJWQXUIDLJEB-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC(C)(C)C1 ZINC000619004026 352930676 /nfs/dbraw/zinc/93/06/76/352930676.db2.gz RESAJHMMEKQUBM-UHFFFAOYSA-N 0 0 263.297 2.777 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)OC(C)(C)C ZINC000148728643 187918840 /nfs/dbraw/zinc/91/88/40/187918840.db2.gz VAFRKQGBMOBSHN-UHFFFAOYSA-N 0 0 282.296 2.628 20 5 CFBDRN O=C(NC[C@@H]1CCCCO1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149187339 187920113 /nfs/dbraw/zinc/92/01/13/187920113.db2.gz LTWMNSHWSRSAAQ-VIFPVBQESA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1c(CN(C)c2c(C)cccc2[N+](=O)[O-])cnn1C ZINC000619158987 352968946 /nfs/dbraw/zinc/96/89/46/352968946.db2.gz FWSMZQOGUUCSFO-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN C[C@@H](NC(=O)CCC(F)F)c1ccccc1[N+](=O)[O-] ZINC000619441908 353024431 /nfs/dbraw/zinc/02/44/31/353024431.db2.gz CUWBQQKMOMRXIL-MRVPVSSYSA-N 0 0 272.251 2.817 20 5 CFBDRN COc1c(C(=O)N2CC[C@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000619444270 353024980 /nfs/dbraw/zinc/02/49/80/353024980.db2.gz YUBCLVLMBWGIMC-ZYHUDNBSSA-N 0 0 290.319 2.618 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC(F)(F)CC1 ZINC000619457259 353026311 /nfs/dbraw/zinc/02/63/11/353026311.db2.gz STQKHZDXDHUSFC-UHFFFAOYSA-N 0 0 288.225 2.605 20 5 CFBDRN CC(C)(NC(=O)Nc1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000619464283 353026954 /nfs/dbraw/zinc/02/69/54/353026954.db2.gz LXOHQDISHXCNKR-UHFFFAOYSA-N 0 0 291.229 2.899 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC(C)(C)CC1 ZINC000619479601 353028148 /nfs/dbraw/zinc/02/81/48/353028148.db2.gz ACBSJZWPNJXCNO-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN CO[C@]1(C)CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000619500219 353029635 /nfs/dbraw/zinc/02/96/35/353029635.db2.gz WZPCFEAVFKGBBE-LLVKDONJSA-N 0 0 256.327 2.662 20 5 CFBDRN C[C@H](NC(=O)C[C@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000271654538 191262666 /nfs/dbraw/zinc/26/26/66/191262666.db2.gz FTLNKGQELLBJGJ-NWDGAFQWSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)Cc1c[nH]cn1 ZINC000619510789 353030668 /nfs/dbraw/zinc/03/06/68/353030668.db2.gz URQJEORYUIAXRB-JTQLQIEISA-N 0 0 260.297 2.511 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC[C@H](C(F)F)C1 ZINC000619531707 353033482 /nfs/dbraw/zinc/03/34/82/353033482.db2.gz JLOAABUTCCWHPA-JTQLQIEISA-N 0 0 286.278 2.690 20 5 CFBDRN O=[N+]([O-])c1ccccc1OC[C@@H]1COc2ccccc2O1 ZINC000619552548 353035732 /nfs/dbraw/zinc/03/57/32/353035732.db2.gz BARZZLRZDCHEEA-LLVKDONJSA-N 0 0 287.271 2.814 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1Cl)C1(CO)CCC1 ZINC000292253130 198218526 /nfs/dbraw/zinc/21/85/26/198218526.db2.gz XXBHHHVFKLMNAO-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@@H](NC(=O)C[C@H]1CCCCO1)c1ccccc1[N+](=O)[O-] ZINC000271654545 191262192 /nfs/dbraw/zinc/26/21/92/191262192.db2.gz FTLNKGQELLBJGJ-VXGBXAGGSA-N 0 0 292.335 2.731 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ncc([N+](=O)[O-])s2)C1 ZINC000619697703 353052588 /nfs/dbraw/zinc/05/25/88/353052588.db2.gz XSYPEABIQNAGOX-MRVPVSSYSA-N 0 0 255.343 2.924 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC(C2CCOCC2)C1 ZINC000619699373 353053147 /nfs/dbraw/zinc/05/31/47/353053147.db2.gz MDJVDNRUQOAVJL-UHFFFAOYSA-N 0 0 297.742 2.506 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])NCC1(C2CC2)CC1 ZINC000152329019 188009518 /nfs/dbraw/zinc/00/95/18/188009518.db2.gz ISTBCFQTPONOAT-UHFFFAOYSA-N 0 0 289.335 2.584 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H]3CCC[C@H]3C2)cc([N+](=O)[O-])c1 ZINC000152827714 188046369 /nfs/dbraw/zinc/04/63/69/188046369.db2.gz BWCHBVNGZSLFAX-RYUDHWBXSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1sc(C(=O)N2C[C@H]3CCC[C@@H]3C2)cc1[N+](=O)[O-] ZINC000152831193 188046399 /nfs/dbraw/zinc/04/63/99/188046399.db2.gz VCTRTRRWZALIBB-NXEZZACHSA-N 0 0 280.349 2.837 20 5 CFBDRN CN(CCc1ccccn1)Cc1ccc([N+](=O)[O-])cc1F ZINC000153009074 188059066 /nfs/dbraw/zinc/05/90/66/188059066.db2.gz JZNQQQFFSYSGHN-UHFFFAOYSA-N 0 0 289.310 2.803 20 5 CFBDRN CCOc1cccc(NCc2snnc2C)c1[N+](=O)[O-] ZINC000292307782 198239040 /nfs/dbraw/zinc/23/90/40/198239040.db2.gz OCRAIHULFZSEQO-UHFFFAOYSA-N 0 0 294.336 2.766 20 5 CFBDRN CN(C[C@H]1CCCOC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153740387 188100874 /nfs/dbraw/zinc/10/08/74/188100874.db2.gz NUYLKYCPQKDLIL-SECBINFHSA-N 0 0 285.731 2.506 20 5 CFBDRN CCCCCN(CCO)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000153767288 188102691 /nfs/dbraw/zinc/10/26/91/188102691.db2.gz NDJYQYNWWHRWTM-UHFFFAOYSA-N 0 0 294.351 2.528 20 5 CFBDRN CSC[C@H](C)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153803718 188104600 /nfs/dbraw/zinc/10/46/00/188104600.db2.gz UFXFAXKRVBISCQ-LURJTMIESA-N 0 0 261.734 2.807 20 5 CFBDRN Cc1cc(NC(=O)N2CCO[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000153834733 188106500 /nfs/dbraw/zinc/10/65/00/188106500.db2.gz BOIUGBXHIKGQAG-GHMZBOCLSA-N 0 0 293.323 2.544 20 5 CFBDRN C[C@@]1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCCS1 ZINC000153854345 188108316 /nfs/dbraw/zinc/10/83/16/188108316.db2.gz DJZRSKWWGQMPKQ-NSHDSACASA-N 0 0 286.378 2.672 20 5 CFBDRN CC(C)CO[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000153877877 188109190 /nfs/dbraw/zinc/10/91/90/188109190.db2.gz GLQZFUMDMRPOIE-SNVBAGLBSA-N 0 0 298.364 2.543 20 5 CFBDRN CC[C@@H]1CCN(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000153948548 188113685 /nfs/dbraw/zinc/11/36/85/188113685.db2.gz JFPBGSDHMWUPOI-GFCCVEGCSA-N 0 0 291.351 2.579 20 5 CFBDRN CS[C@@H](C)CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000154021401 188118180 /nfs/dbraw/zinc/11/81/80/188118180.db2.gz YZNFSDCVLLNTME-VIFPVBQESA-N 0 0 283.353 2.776 20 5 CFBDRN COc1ccncc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000292393230 198271062 /nfs/dbraw/zinc/27/10/62/198271062.db2.gz IKSGGPSPKDQTRH-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1cc(N[C@H](C)c2cnn(C)c2)c(F)cc1[N+](=O)[O-] ZINC000292358846 198257596 /nfs/dbraw/zinc/25/75/96/198257596.db2.gz COGKQGWKUDAGPC-SECBINFHSA-N 0 0 278.287 2.949 20 5 CFBDRN CC1(C)C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000154132221 188125083 /nfs/dbraw/zinc/12/50/83/188125083.db2.gz BDBJVHSPTXWGNW-QMMMGPOBSA-N 0 0 252.245 2.719 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@]2(C)CCCO2)c([N+](=O)[O-])c1 ZINC000154143541 188125514 /nfs/dbraw/zinc/12/55/14/188125514.db2.gz LAZFPHYYGZOGRE-CQSZACIVSA-N 0 0 279.292 2.686 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(Cc2cncnc2)C2CC2)c1 ZINC000154275641 188133812 /nfs/dbraw/zinc/13/38/12/188133812.db2.gz XFDODZYESHZLSG-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN CS[C@H]1CCCCN(c2ccc([N+](=O)[O-])cn2)C1 ZINC000154665082 188155698 /nfs/dbraw/zinc/15/56/98/188155698.db2.gz OVBZNZLNMULIRJ-NSHDSACASA-N 0 0 267.354 2.712 20 5 CFBDRN CS[C@@H](C)CC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000154948618 188171490 /nfs/dbraw/zinc/17/14/90/188171490.db2.gz YOVZGVQSLZERTB-VIFPVBQESA-N 0 0 268.338 2.983 20 5 CFBDRN CCCN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000156024196 188239249 /nfs/dbraw/zinc/23/92/49/188239249.db2.gz WAWVKENVFHWTMK-UHFFFAOYSA-N 0 0 251.286 2.777 20 5 CFBDRN Cc1sc(C(=O)N(C)CCC2CC2)cc1[N+](=O)[O-] ZINC000156199701 188248426 /nfs/dbraw/zinc/24/84/26/188248426.db2.gz YEXZODVBJPDOOI-UHFFFAOYSA-N 0 0 268.338 2.837 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2cc(C)ccc2[N+](=O)[O-])[C@@H]1OC ZINC000157231353 188305060 /nfs/dbraw/zinc/30/50/60/188305060.db2.gz ACSCTUKFRAPJOO-YUTCNCBUSA-N 0 0 280.324 2.508 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000157311127 188309723 /nfs/dbraw/zinc/30/97/23/188309723.db2.gz RDTVLCPZDKNRSR-GHMZBOCLSA-N 0 0 291.351 2.828 20 5 CFBDRN O=C(COc1cccc(Cl)c1[N+](=O)[O-])C1CC1 ZINC000292563826 198334266 /nfs/dbraw/zinc/33/42/66/198334266.db2.gz DGRABECINKDINF-UHFFFAOYSA-N 0 0 255.657 2.606 20 5 CFBDRN CSC[C@@H]1CCCN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000157566109 188324784 /nfs/dbraw/zinc/32/47/84/188324784.db2.gz TYVHCJUOFRIFRY-LBPRGKRZSA-N 0 0 294.376 2.871 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000157638727 188329513 /nfs/dbraw/zinc/32/95/13/188329513.db2.gz YBSMUTZDLHGZGR-BETUJISGSA-N 0 0 289.335 2.536 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCN(c2ccccn2)C1 ZINC000157669319 188331594 /nfs/dbraw/zinc/33/15/94/188331594.db2.gz WUIKGCLUUJJYSJ-CYBMUJFWSA-N 0 0 298.346 2.989 20 5 CFBDRN CSC[C@@](C)(O)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292614561 198351499 /nfs/dbraw/zinc/35/14/99/198351499.db2.gz NBXWCAHBCKSJOS-LBPRGKRZSA-N 0 0 288.344 2.568 20 5 CFBDRN CO[C@@H](C)CCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000292614523 198352091 /nfs/dbraw/zinc/35/20/91/198352091.db2.gz NBVBHACZEJAODH-VIFPVBQESA-N 0 0 256.277 2.879 20 5 CFBDRN CN(CCc1nccs1)c1ccc(F)cc1[N+](=O)[O-] ZINC000157834546 188341639 /nfs/dbraw/zinc/34/16/39/188341639.db2.gz JIDOLTBKMCTHGT-UHFFFAOYSA-N 0 0 281.312 2.869 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC2(CCC2)C1 ZINC000158419802 188375368 /nfs/dbraw/zinc/37/53/68/188375368.db2.gz QKJBGHHXXDDXHD-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN CN(C(=O)C(C1CC1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000158325671 188370040 /nfs/dbraw/zinc/37/00/40/188370040.db2.gz WKIFUZBIIBLWSQ-UHFFFAOYSA-N 0 0 274.320 2.994 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158472614 188377439 /nfs/dbraw/zinc/37/74/39/188377439.db2.gz SHYTXKBHLUEGSI-NSHDSACASA-N 0 0 280.324 2.763 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000292699969 198381138 /nfs/dbraw/zinc/38/11/38/198381138.db2.gz QOZDQVIKHGBWSJ-ZYHUDNBSSA-N 0 0 291.351 2.928 20 5 CFBDRN CCOCCC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000158625740 188386095 /nfs/dbraw/zinc/38/60/95/188386095.db2.gz KCNPEYIDPQGHRF-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(S[C@@H]2CCO[C@@H]2C)n1 ZINC000158749573 188391701 /nfs/dbraw/zinc/39/17/01/188391701.db2.gz KBMUXQGZRDLDPT-PSASIEDQSA-N 0 0 254.311 2.568 20 5 CFBDRN Cc1cc(C(=O)N2CCC3(CCC3)C2)cc([N+](=O)[O-])c1 ZINC000158777709 188393733 /nfs/dbraw/zinc/39/37/33/188393733.db2.gz DGCRZPXTLMDIRG-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCC2(C3CC3)CC2)c1 ZINC000159763715 188439763 /nfs/dbraw/zinc/43/97/63/188439763.db2.gz ZTCDLICLEYPGCP-UHFFFAOYSA-N 0 0 290.319 2.523 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000160659125 188485668 /nfs/dbraw/zinc/48/56/68/188485668.db2.gz PAOLSPBOGBWVOY-UHFFFAOYSA-N 0 0 278.283 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc(F)nc2)c([N+](=O)[O-])c1 ZINC000161252901 188518101 /nfs/dbraw/zinc/51/81/01/188518101.db2.gz ZZKPAWYWHACVAV-UHFFFAOYSA-N 0 0 279.183 2.829 20 5 CFBDRN C[C@H](NC(=O)C(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000295273109 199433831 /nfs/dbraw/zinc/43/38/31/199433831.db2.gz HAVBNXWMWSAVTO-VIFPVBQESA-N 0 0 250.298 2.818 20 5 CFBDRN C[C@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000168992059 188653919 /nfs/dbraw/zinc/65/39/19/188653919.db2.gz CXYVPSRQKZVHBN-VIFPVBQESA-N 0 0 281.287 2.998 20 5 CFBDRN CC[C@](C)(O)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000169231165 188655462 /nfs/dbraw/zinc/65/54/62/188655462.db2.gz INZHGHFUNONFIQ-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN CC1(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCC1 ZINC000168009748 188645224 /nfs/dbraw/zinc/64/52/24/188645224.db2.gz BKTONQNWBYSRBW-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN CCc1n[nH]c(C(=O)NC2(C)CCC(C)CC2)c1[N+](=O)[O-] ZINC000171681138 188752166 /nfs/dbraw/zinc/75/21/66/188752166.db2.gz XPMVREVDGKREFH-UHFFFAOYSA-N 0 0 294.355 2.579 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000171876771 188764782 /nfs/dbraw/zinc/76/47/82/188764782.db2.gz QBCRCLCMTYCDSV-MRVPVSSYSA-N 0 0 256.327 2.774 20 5 CFBDRN CC(C)[C@H](O)C1(CNc2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000271783778 191323563 /nfs/dbraw/zinc/32/35/63/191323563.db2.gz HXYRVRUADOSWDT-NSHDSACASA-N 0 0 299.758 2.852 20 5 CFBDRN C[C@@H]1CCCN(C(=O)COc2ccc([N+](=O)[O-])cc2)[C@@H]1C ZINC000172659881 188819930 /nfs/dbraw/zinc/81/99/30/188819930.db2.gz FOJGHVVYLGUAFD-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000172750478 188824580 /nfs/dbraw/zinc/82/45/80/188824580.db2.gz WPKRBOLFGMYQNF-DGCLKSJQSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@@H](NCc1nonc1C)c1cccc([N+](=O)[O-])c1 ZINC000271791190 191330362 /nfs/dbraw/zinc/33/03/62/191330362.db2.gz NCIPPWJLTZWTTM-GFCCVEGCSA-N 0 0 276.296 2.527 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000271829472 191354569 /nfs/dbraw/zinc/35/45/69/191354569.db2.gz RRDPBWNIWYEPGY-VHSXEESVSA-N 0 0 262.309 2.818 20 5 CFBDRN CCc1ccc(C(=O)N(C)CC2CC2)cc1[N+](=O)[O-] ZINC000173322840 188849727 /nfs/dbraw/zinc/84/97/27/188849727.db2.gz UBYULCLJBSAEKA-UHFFFAOYSA-N 0 0 262.309 2.639 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000173879184 188872385 /nfs/dbraw/zinc/87/23/85/188872385.db2.gz BGRAUCACZVFEOM-JTQLQIEISA-N 0 0 294.351 2.837 20 5 CFBDRN CC(C)C[C@@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])CCO1 ZINC000272043473 191483367 /nfs/dbraw/zinc/48/33/67/191483367.db2.gz VFWYTRHWBNPBHB-VXGBXAGGSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCCC1CC1 ZINC000174191679 188886318 /nfs/dbraw/zinc/88/63/18/188886318.db2.gz HTLQZMGBFJPRPZ-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN Cc1cc(NC(=O)c2ccn(C(C)C)n2)ccc1[N+](=O)[O-] ZINC000272168594 191555399 /nfs/dbraw/zinc/55/53/99/191555399.db2.gz CTFYAZKRTDRQQB-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272382961 191689741 /nfs/dbraw/zinc/68/97/41/191689741.db2.gz BTTYDCINBHLJBT-MNOVXSKESA-N 0 0 294.351 2.902 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000272662077 191851760 /nfs/dbraw/zinc/85/17/60/191851760.db2.gz HAQBHSGGSYVEJA-DGCLKSJQSA-N 0 0 293.319 2.762 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000272850917 191944980 /nfs/dbraw/zinc/94/49/80/191944980.db2.gz VMHWCLJQLSPXKJ-SECBINFHSA-N 0 0 264.281 2.588 20 5 CFBDRN CC(C)OCCCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000272973992 192002616 /nfs/dbraw/zinc/00/26/16/192002616.db2.gz IBQWNEFJDMDRFD-LBPRGKRZSA-N 0 0 294.351 2.977 20 5 CFBDRN CC[C@H](Cc1ccccc1)Nc1ncc([N+](=O)[O-])cn1 ZINC000273616836 192230805 /nfs/dbraw/zinc/23/08/05/192230805.db2.gz NNPGQVCUFRITPQ-GFCCVEGCSA-N 0 0 272.308 2.818 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CCC2(C)C)c([N+](=O)[O-])cc1F ZINC000295848417 199689950 /nfs/dbraw/zinc/68/99/50/199689950.db2.gz WYIUMYVCYWCNHO-LBPRGKRZSA-N 0 0 296.298 2.661 20 5 CFBDRN CC[C@H](CNc1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000273634823 192239331 /nfs/dbraw/zinc/23/93/31/192239331.db2.gz QYXCGBSSVAHUOZ-LLVKDONJSA-N 0 0 272.308 2.991 20 5 CFBDRN CC(C)(C)c1csc(CNc2ncc([N+](=O)[O-])cn2)n1 ZINC000273634801 192240203 /nfs/dbraw/zinc/24/02/03/192240203.db2.gz QYOJSCGPBHUKPC-UHFFFAOYSA-N 0 0 293.352 2.751 20 5 CFBDRN C[C@H]1C[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000273619868 192233025 /nfs/dbraw/zinc/23/30/25/192233025.db2.gz VOZLXFUMBMTNFY-RYUDHWBXSA-N 0 0 292.335 2.530 20 5 CFBDRN C[C@H]1C[C@@H](c2cccnc2)N(c2ccc([N+](=O)[O-])nc2)C1 ZINC000347883728 291093674 /nfs/dbraw/zinc/09/36/74/291093674.db2.gz VOTXLNJETHGSTE-FZMZJTMJSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000175169710 188937528 /nfs/dbraw/zinc/93/75/28/188937528.db2.gz XZKONJJCNTUGIK-NWDGAFQWSA-N 0 0 291.351 2.925 20 5 CFBDRN COc1cc(C(=O)NCC2=CCCCC2)ccc1[N+](=O)[O-] ZINC000295881699 199704780 /nfs/dbraw/zinc/70/47/80/199704780.db2.gz VTZYTYBSUQFBJH-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN CC[C@H](C)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293831754 198789398 /nfs/dbraw/zinc/78/93/98/198789398.db2.gz BHVDRYDZWNRSDS-JTQLQIEISA-N 0 0 264.325 2.992 20 5 CFBDRN COc1cccc(N(C)C[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000274578901 192663000 /nfs/dbraw/zinc/66/30/00/192663000.db2.gz GONFOKHOWBUXMO-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@@H]1CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000294206388 198951247 /nfs/dbraw/zinc/95/12/47/198951247.db2.gz QTAUDURTIDXTTG-BDAKNGLRSA-N 0 0 284.262 2.553 20 5 CFBDRN COc1ccc(C)cc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294274543 198979121 /nfs/dbraw/zinc/97/91/21/198979121.db2.gz HBYRDAQLPRYJBG-UHFFFAOYSA-N 0 0 280.324 2.778 20 5 CFBDRN C[C@H](Cc1cccs1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294262108 198974905 /nfs/dbraw/zinc/97/49/05/198974905.db2.gz GPMKUKDLGHQTJB-SNVBAGLBSA-N 0 0 284.381 2.631 20 5 CFBDRN CC(C)(CCC(=O)Nc1cc(F)cc(F)c1)[N+](=O)[O-] ZINC000294332245 199002077 /nfs/dbraw/zinc/00/20/77/199002077.db2.gz IEIPJZQXZHOXJL-UHFFFAOYSA-N 0 0 272.251 2.739 20 5 CFBDRN C[C@H](CCc1ccco1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294457341 199047914 /nfs/dbraw/zinc/04/79/14/199047914.db2.gz UAUQGFMCXAOJST-LLVKDONJSA-N 0 0 282.340 2.552 20 5 CFBDRN CCN(C(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000294482404 199056650 /nfs/dbraw/zinc/05/66/50/199056650.db2.gz UGFGCASHUIQXAI-UHFFFAOYSA-N 0 0 264.325 2.875 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000294482108 199057176 /nfs/dbraw/zinc/05/71/76/199057176.db2.gz ROQHUJUSXHXGPO-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN CN(Cc1cccs1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294520728 199071035 /nfs/dbraw/zinc/07/10/35/199071035.db2.gz YMZRACWXSDOFIM-UHFFFAOYSA-N 0 0 270.354 2.542 20 5 CFBDRN NC(=O)[C@H]1CCCCC[C@H]1Nc1ccc([N+](=O)[O-])cc1F ZINC000301792540 283346065 /nfs/dbraw/zinc/34/60/65/283346065.db2.gz RHRVBJULHGJWML-CMPLNLGQSA-N 0 0 295.314 2.580 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c(C)n1C ZINC000294792456 199185394 /nfs/dbraw/zinc/18/53/94/199185394.db2.gz HRGFEUVSXAZMQS-UHFFFAOYSA-N 0 0 299.330 2.753 20 5 CFBDRN CCc1ccc(OC(=O)CCC(C)(C)[N+](=O)[O-])c(OC)c1 ZINC000294895974 199237270 /nfs/dbraw/zinc/23/72/70/199237270.db2.gz GQTHFYTUSJUPQY-UHFFFAOYSA-N 0 0 295.335 2.999 20 5 CFBDRN CC(C)(CO)CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000294917898 199247002 /nfs/dbraw/zinc/24/70/02/199247002.db2.gz IWJFAJSGVDBMGY-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN CCn1ccnc1CNc1ccc([N+](=O)[O-])cc1COC ZINC000294920426 199250077 /nfs/dbraw/zinc/25/00/77/199250077.db2.gz GOVVQXICPRXEJS-UHFFFAOYSA-N 0 0 290.323 2.570 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2CCOC2(C)C)c([N+](=O)[O-])c1 ZINC000296296782 199845091 /nfs/dbraw/zinc/84/50/91/199845091.db2.gz MJANOOFJKUHOCC-CYBMUJFWSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC(F)(F)F ZINC000421416086 236808410 /nfs/dbraw/zinc/80/84/10/236808410.db2.gz DUWCETGGFTWCDK-UHFFFAOYSA-N 0 0 276.214 2.504 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2ncccc2C)c1 ZINC000296401843 199880648 /nfs/dbraw/zinc/88/06/48/199880648.db2.gz JPZKMPHRUZBWIQ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1cc(NC(=O)N[C@H](C)CCCCO)ccc1[N+](=O)[O-] ZINC000296509508 199915363 /nfs/dbraw/zinc/91/53/63/199915363.db2.gz CGQQPBIPTCLUFW-LLVKDONJSA-N 0 0 295.339 2.576 20 5 CFBDRN CC(C)N(CCn1ccc([N+](=O)[O-])n1)c1ccccc1 ZINC000176215480 188984044 /nfs/dbraw/zinc/98/40/44/188984044.db2.gz RVAAYOIZUSRCEL-UHFFFAOYSA-N 0 0 274.324 2.706 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1CF ZINC000296658783 199961151 /nfs/dbraw/zinc/96/11/51/199961151.db2.gz URJXDKVQCTWREZ-LLVKDONJSA-N 0 0 267.260 2.561 20 5 CFBDRN C[C@H]1N(c2ccc(N)cc2[N+](=O)[O-])CCC12CCOCC2 ZINC000376473584 291180510 /nfs/dbraw/zinc/18/05/10/291180510.db2.gz IMLJAYDGLYNOES-LLVKDONJSA-N 0 0 291.351 2.572 20 5 CFBDRN C[C@H]1CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])[C@@H]1CO ZINC000119516355 540467767 /nfs/dbraw/zinc/46/77/67/540467767.db2.gz BQRWVEBAFILFIR-IINYFYTJSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)OC1CCCC1 ZINC000296825855 200007888 /nfs/dbraw/zinc/00/78/88/200007888.db2.gz VZNYSKXYXLYHPQ-UHFFFAOYSA-N 0 0 264.281 2.764 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000296807279 200003324 /nfs/dbraw/zinc/00/33/24/200003324.db2.gz RDPBWEMJQYOREM-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN CCCc1nc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)co1 ZINC000274917550 192840065 /nfs/dbraw/zinc/84/00/65/192840065.db2.gz MCOBXBPFTAVUKA-UHFFFAOYSA-N 0 0 289.291 2.812 20 5 CFBDRN CC[C@H]1CCN1C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000296899952 200029554 /nfs/dbraw/zinc/02/95/54/200029554.db2.gz RVOXYYCPPAUKMT-JTQLQIEISA-N 0 0 279.296 2.620 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000296936654 200039669 /nfs/dbraw/zinc/03/96/69/200039669.db2.gz GJNGITSLELJOLV-MNOVXSKESA-N 0 0 277.324 2.707 20 5 CFBDRN Cc1nc(N[C@@H](C)C2CCCC2)ncc1[N+](=O)[O-] ZINC000297088046 200081847 /nfs/dbraw/zinc/08/18/47/200081847.db2.gz KJEQSUAVDDNQAJ-QMMMGPOBSA-N 0 0 250.302 2.684 20 5 CFBDRN CC(C)C1(NC(=O)NCCc2cccc([N+](=O)[O-])c2)CC1 ZINC000297099115 200084453 /nfs/dbraw/zinc/08/44/53/200084453.db2.gz AKPSYPQVBHGBTG-UHFFFAOYSA-N 0 0 291.351 2.625 20 5 CFBDRN O=C([C@@H]1CC1(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000297126016 200091096 /nfs/dbraw/zinc/09/10/96/200091096.db2.gz MTYDJMSJXMSICV-LBPRGKRZSA-N 0 0 296.273 2.741 20 5 CFBDRN CCC1(NC(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000297206981 200114133 /nfs/dbraw/zinc/11/41/33/200114133.db2.gz GUIPRUSCHUABHX-SNVBAGLBSA-N 0 0 277.324 2.898 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccc(Cl)nc1)[N+](=O)[O-] ZINC000297325001 200149053 /nfs/dbraw/zinc/14/90/53/200149053.db2.gz JAGIEBNEBGBHLM-UHFFFAOYSA-N 0 0 286.715 2.614 20 5 CFBDRN CCOCC(C)(C)NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000297422510 200184514 /nfs/dbraw/zinc/18/45/14/200184514.db2.gz JTVRQRXZCQTOJE-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(SC3CCOCC3)nc2c1 ZINC000297386527 200172134 /nfs/dbraw/zinc/17/21/34/200172134.db2.gz DVBNWWFCQHNGQN-UHFFFAOYSA-N 0 0 279.321 2.742 20 5 CFBDRN CC[C@@H]1CCC[C@H]1Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000297582644 200233696 /nfs/dbraw/zinc/23/36/96/200233696.db2.gz SVWVQWQLLCASSP-NXEZZACHSA-N 0 0 250.302 2.684 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H]1CC ZINC000297660324 200255362 /nfs/dbraw/zinc/25/53/62/200255362.db2.gz CMVHFTPSHLHVSG-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN C[C@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000298094664 200332430 /nfs/dbraw/zinc/33/24/30/200332430.db2.gz NKOBQWNAKLDUFB-MRVPVSSYSA-N 0 0 272.251 2.849 20 5 CFBDRN CCCCCCC(=O)Cn1c(C)c([N+](=O)[O-])ccc1=O ZINC000298220278 200363955 /nfs/dbraw/zinc/36/39/55/200363955.db2.gz JVJIZRIKGICJRZ-UHFFFAOYSA-N 0 0 280.324 2.604 20 5 CFBDRN CCc1cccc(Cn2c(C)c([N+](=O)[O-])ccc2=O)c1 ZINC000298206893 200360377 /nfs/dbraw/zinc/36/03/77/200360377.db2.gz IQINAAKMYBQCQR-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN CN(CCC1CCOCC1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000176725665 189006104 /nfs/dbraw/zinc/00/61/04/189006104.db2.gz DZWCMYMYJUGYTJ-UHFFFAOYSA-N 0 0 298.364 2.545 20 5 CFBDRN C[C@@H](F)CCNC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000454768380 296011002 /nfs/dbraw/zinc/01/10/02/296011002.db2.gz SUNRRVFLDYIWMN-NXEZZACHSA-N 0 0 283.303 2.703 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000177011713 189020414 /nfs/dbraw/zinc/02/04/14/189020414.db2.gz PSXIPNUUSHXHTQ-JGVFFNPUSA-N 0 0 254.311 2.527 20 5 CFBDRN O=C1OCC[C@H]1CCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000177581713 189058569 /nfs/dbraw/zinc/05/85/69/189058569.db2.gz FWIWKTILZIGWPB-MRVPVSSYSA-N 0 0 285.683 2.580 20 5 CFBDRN Cc1cc(NC(=O)NC[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000298746855 200524278 /nfs/dbraw/zinc/52/42/78/200524278.db2.gz UTTXINBDAMXARC-SCZZXKLOSA-N 0 0 263.297 2.681 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)COCC2CC2)cc1[N+](=O)[O-] ZINC000177855941 189074312 /nfs/dbraw/zinc/07/43/12/189074312.db2.gz KLJIMSYHHYGWDI-NSHDSACASA-N 0 0 292.335 2.507 20 5 CFBDRN CC(=O)CCCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000177888906 189077007 /nfs/dbraw/zinc/07/70/07/189077007.db2.gz MDDAMHAVRGMKHZ-UHFFFAOYSA-N 0 0 264.281 2.601 20 5 CFBDRN CC(C)C(=O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000177989751 189083940 /nfs/dbraw/zinc/08/39/40/189083940.db2.gz WDFGMYDZMPWCCV-UHFFFAOYSA-N 0 0 257.673 2.852 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(CC)C[C@@H](C)CC)c1[N+](=O)[O-] ZINC000177993790 189084140 /nfs/dbraw/zinc/08/41/40/189084140.db2.gz XVTDFCIKLRDHIY-JTQLQIEISA-N 0 0 296.371 2.779 20 5 CFBDRN CCS[C@H]1CCC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000178099255 189090489 /nfs/dbraw/zinc/09/04/89/189090489.db2.gz DDUZTCZZQPDQRB-STQMWFEESA-N 0 0 294.376 2.999 20 5 CFBDRN COc1ccc(SCCn2ccc([N+](=O)[O-])n2)cc1 ZINC000178476158 189119757 /nfs/dbraw/zinc/11/97/57/189119757.db2.gz RIOPJCAVXQEDTA-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN CC[C@@H](SC)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000178600821 189130130 /nfs/dbraw/zinc/13/01/30/189130130.db2.gz SEUWTVWBCDHESM-GFCCVEGCSA-N 0 0 280.349 2.626 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000299101916 200607939 /nfs/dbraw/zinc/60/79/39/200607939.db2.gz ANDWSHQYIXKQFN-GFCCVEGCSA-N 0 0 296.371 2.514 20 5 CFBDRN O=C(NCCC1=CCCC1)c1csc([N+](=O)[O-])c1 ZINC000178896454 189153139 /nfs/dbraw/zinc/15/31/39/189153139.db2.gz QTDIJIZQVMOLGL-UHFFFAOYSA-N 0 0 266.322 2.887 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000299315749 200675084 /nfs/dbraw/zinc/67/50/84/200675084.db2.gz WSHMCUVFGZMSHO-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)ns1 ZINC000435900875 240366975 /nfs/dbraw/zinc/36/69/75/240366975.db2.gz DKYYLTPKOOEEDD-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN CC1=C(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])CCCO1 ZINC000179281767 189183352 /nfs/dbraw/zinc/18/33/52/189183352.db2.gz WXEULUYAGPHDEY-UHFFFAOYSA-N 0 0 290.319 2.638 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C[C@@H]1C ZINC000179334354 189186619 /nfs/dbraw/zinc/18/66/19/189186619.db2.gz YLTAPBHGVRXRNZ-BDAKNGLRSA-N 0 0 268.338 2.774 20 5 CFBDRN C[C@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)CC[C@@H]1C ZINC000179334358 189186792 /nfs/dbraw/zinc/18/67/92/189186792.db2.gz YLTAPBHGVRXRNZ-IUCAKERBSA-N 0 0 268.338 2.774 20 5 CFBDRN CS[C@H](C)CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000179341944 189187391 /nfs/dbraw/zinc/18/73/91/189187391.db2.gz RVYNMSQHKSLCDF-SECBINFHSA-N 0 0 280.349 2.626 20 5 CFBDRN CCC[C@@](C)(NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000180036131 189233896 /nfs/dbraw/zinc/23/38/96/189233896.db2.gz RCLCGPHFZHDKNJ-OAHLLOKOSA-N 0 0 294.351 2.725 20 5 CFBDRN COc1cc(NCCCCCCO)c([N+](=O)[O-])cc1F ZINC000180211541 189243666 /nfs/dbraw/zinc/24/36/66/189243666.db2.gz NIJZYLPCXBWKSI-UHFFFAOYSA-N 0 0 286.303 2.707 20 5 CFBDRN CCCc1noc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000180392348 189252856 /nfs/dbraw/zinc/25/28/56/189252856.db2.gz SLVFDRLIKXEZMH-JTQLQIEISA-N 0 0 290.323 2.781 20 5 CFBDRN Cc1nccc(COc2cccc([N+](=O)[O-])c2C)n1 ZINC000356059160 541000283 /nfs/dbraw/zinc/00/02/83/541000283.db2.gz MJULZFHTETVGJR-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CC[C@@]1(C)CN(c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000302626225 540984481 /nfs/dbraw/zinc/98/44/81/540984481.db2.gz FMNDQQBLFOPFFP-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN CC[C@]1(C)CN(c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000302626227 540984775 /nfs/dbraw/zinc/98/47/75/540984775.db2.gz FMNDQQBLFOPFFP-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000180578111 189262960 /nfs/dbraw/zinc/26/29/60/189262960.db2.gz HSJZBYIPHIWGKX-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180630202 189266961 /nfs/dbraw/zinc/26/69/61/189266961.db2.gz YEMSCPWVFNFUBV-SNVBAGLBSA-N 0 0 279.340 2.876 20 5 CFBDRN CC[C@@H](C)N(C(=O)Cn1cc([N+](=O)[O-])nc1C)[C@H](C)CC ZINC000180518451 189259932 /nfs/dbraw/zinc/25/99/32/189259932.db2.gz HQYBEHSJFFDDBL-GHMZBOCLSA-N 0 0 296.371 2.525 20 5 CFBDRN C[C@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000118286153 291257355 /nfs/dbraw/zinc/25/73/55/291257355.db2.gz UYTVVQQWEHBWES-VHSXEESVSA-N 0 0 280.349 2.561 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000301220425 200940735 /nfs/dbraw/zinc/94/07/35/200940735.db2.gz AYPXUHSMIXAQPH-LKFCYVNXSA-N 0 0 280.324 2.616 20 5 CFBDRN Cc1nn(C)c(Sc2ccccc2F)c1[N+](=O)[O-] ZINC000301256141 200954048 /nfs/dbraw/zinc/95/40/48/200954048.db2.gz FRXOFNVGWUDOIW-UHFFFAOYSA-N 0 0 267.285 2.927 20 5 CFBDRN Cc1noc(C)c1CN(C)c1nccc(C)c1[N+](=O)[O-] ZINC000301304978 200971090 /nfs/dbraw/zinc/97/10/90/200971090.db2.gz UABSRBCJWNMORB-UHFFFAOYSA-N 0 0 276.296 2.539 20 5 CFBDRN Cc1ccnc(NCCc2cccc(O)c2)c1[N+](=O)[O-] ZINC000301310190 200971747 /nfs/dbraw/zinc/97/17/47/200971747.db2.gz YZYYFKNOKUFBDP-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN Cc1ccnc(Oc2ccc(-n3cncn3)cc2)c1[N+](=O)[O-] ZINC000301369544 200988184 /nfs/dbraw/zinc/98/81/84/200988184.db2.gz PLPGCFWGWIFMAM-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN COc1cc(N2C[C@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000301425073 201004286 /nfs/dbraw/zinc/00/42/86/201004286.db2.gz GJXQVWRIEZVZNS-AOOOYVTPSA-N 0 0 250.298 2.696 20 5 CFBDRN Cc1nn(C)c(NCCc2cc(F)ccc2F)c1[N+](=O)[O-] ZINC000301472395 201020419 /nfs/dbraw/zinc/02/04/19/201020419.db2.gz KVFXXAYZHPBKOE-UHFFFAOYSA-N 0 0 296.277 2.570 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000301475902 201021357 /nfs/dbraw/zinc/02/13/57/201021357.db2.gz AVCZMPXDQMJGMY-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1ccc2nccnc2n1 ZINC000301476329 201021398 /nfs/dbraw/zinc/02/13/98/201021398.db2.gz WKQLQPHBTGCVNT-UHFFFAOYSA-N 0 0 295.302 2.588 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccon2)cc1OC(F)F ZINC000301475158 201021739 /nfs/dbraw/zinc/02/17/39/201021739.db2.gz NLOUCJACJORTHP-UHFFFAOYSA-N 0 0 285.206 2.796 20 5 CFBDRN CCOc1cc(N2C[C@H](C)O[C@@H](CC)C2)ccc1[N+](=O)[O-] ZINC000301505072 201035113 /nfs/dbraw/zinc/03/51/13/201035113.db2.gz GOYHUPLSHBKCJS-AAEUAGOBSA-N 0 0 294.351 2.997 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H](O)c1ccccc1F ZINC000301549839 201062922 /nfs/dbraw/zinc/06/29/22/201062922.db2.gz VAXYCSJKKBUTFZ-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCOC(C)C ZINC000182642825 189329700 /nfs/dbraw/zinc/32/97/00/189329700.db2.gz WLJNBUKKXCYCEM-UHFFFAOYSA-N 0 0 268.313 2.578 20 5 CFBDRN Cc1cc(Nc2ccn(CC(F)F)n2)ncc1[N+](=O)[O-] ZINC000301575994 201077158 /nfs/dbraw/zinc/07/71/58/201077158.db2.gz YSEORKLOMQEOIE-UHFFFAOYSA-N 0 0 283.238 2.503 20 5 CFBDRN Cc1nc(Nc2ccc3n[nH]cc3c2)ccc1[N+](=O)[O-] ZINC000301629936 201105159 /nfs/dbraw/zinc/10/51/59/201105159.db2.gz INOUHVBBEXFUFS-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN Cc1nc(NCCc2nc3ccccc3[nH]2)ccc1[N+](=O)[O-] ZINC000301644208 201111327 /nfs/dbraw/zinc/11/13/27/201111327.db2.gz NCNLBMKTPWUGFO-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN COc1cc(C)ccc1CNc1ncccc1[N+](=O)[O-] ZINC000301612909 201095369 /nfs/dbraw/zinc/09/53/69/201095369.db2.gz GMQGXESVSLEZTD-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1cc(Oc2ccc3c[nH]nc3c2)ncc1[N+](=O)[O-] ZINC000301623025 201101234 /nfs/dbraw/zinc/10/12/34/201101234.db2.gz OJFDRURYVJIDAN-UHFFFAOYSA-N 0 0 270.248 2.967 20 5 CFBDRN Cc1cnc(N[C@H](C)C[C@H](O)c2ccco2)c([N+](=O)[O-])c1 ZINC000301624835 201101651 /nfs/dbraw/zinc/10/16/51/201101651.db2.gz GBHPOCIULAAWIU-PWSUYJOCSA-N 0 0 291.307 2.815 20 5 CFBDRN CC[C@H](C)N(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000301625893 201104060 /nfs/dbraw/zinc/10/40/60/201104060.db2.gz PZFDQULMXWYILM-QMMMGPOBSA-N 0 0 253.258 2.738 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000183246016 189352153 /nfs/dbraw/zinc/35/21/53/189352153.db2.gz SFHQBAJBQHILOR-GHMZBOCLSA-N 0 0 264.325 2.893 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(NCC2CC2)cc1Cl ZINC000301662064 201122713 /nfs/dbraw/zinc/12/27/13/201122713.db2.gz JVCHWIXTOVYJNG-UHFFFAOYSA-N 0 0 284.699 2.857 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000301666001 201124758 /nfs/dbraw/zinc/12/47/58/201124758.db2.gz OKDKEJGSQWGKSB-FZMZJTMJSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1ccc(NC(=O)C[C@H](C)n2cccn2)cc1[N+](=O)[O-] ZINC000424521752 238779975 /nfs/dbraw/zinc/77/99/75/238779975.db2.gz FREZNQFMRNWSEA-NSHDSACASA-N 0 0 288.307 2.690 20 5 CFBDRN COC(=O)c1cc(NCC(C)C)cc(C)c1[N+](=O)[O-] ZINC000301670941 201127122 /nfs/dbraw/zinc/12/71/22/201127122.db2.gz FGKYYUKIKFTTKF-UHFFFAOYSA-N 0 0 266.297 2.758 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)COC(C)(C)C)cc1[N+](=O)[O-] ZINC000183161420 189348716 /nfs/dbraw/zinc/34/87/16/189348716.db2.gz NJMCCJXHFKWYGF-LLVKDONJSA-N 0 0 294.351 2.896 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000183314473 189354527 /nfs/dbraw/zinc/35/45/27/189354527.db2.gz ZPAXWSFURQNGFA-VXGBXAGGSA-N 0 0 278.352 2.936 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+](C)C[C@@H]1CCCOC1 ZINC000183330869 189354902 /nfs/dbraw/zinc/35/49/02/189354902.db2.gz RGNQSMUVKRDQQR-ZDUSSCGKSA-N 0 0 278.352 2.762 20 5 CFBDRN Cc1nc(N[C@@H](C)C[C@@H](O)c2ccco2)ccc1[N+](=O)[O-] ZINC000301688616 201140955 /nfs/dbraw/zinc/14/09/55/201140955.db2.gz XTTOFONPTKWGKQ-JOYOIKCWSA-N 0 0 291.307 2.815 20 5 CFBDRN COc1cc(CSc2nccn2C)c([N+](=O)[O-])cc1F ZINC000183825858 189368759 /nfs/dbraw/zinc/36/87/59/189368759.db2.gz ZJXIYQGBHVYQDZ-UHFFFAOYSA-N 0 0 297.311 2.768 20 5 CFBDRN CCC1(CC)[C@H](Nc2ncccc2[N+](=O)[O-])C[C@H]1OC ZINC000301725363 201160992 /nfs/dbraw/zinc/16/09/92/201160992.db2.gz UPNYKOQAIDSFCQ-VXGBXAGGSA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000183552407 189361951 /nfs/dbraw/zinc/36/19/51/189361951.db2.gz AFQYJDSFMSMZAO-SNVBAGLBSA-N 0 0 278.312 2.644 20 5 CFBDRN CCCC(C)(C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183581864 189362926 /nfs/dbraw/zinc/36/29/26/189362926.db2.gz XJPLXVGYKLLURG-UHFFFAOYSA-N 0 0 266.301 2.690 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N[C@H]1CC12CCCCC2 ZINC000183574946 189363103 /nfs/dbraw/zinc/36/31/03/189363103.db2.gz CMKZCLXIMRSGNQ-LBPRGKRZSA-N 0 0 290.323 2.834 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183648847 189365109 /nfs/dbraw/zinc/36/51/09/189365109.db2.gz VJYNDACYCMCCSD-SECBINFHSA-N 0 0 266.301 2.546 20 5 CFBDRN CCNC(=O)CN(CC)c1cc(C)c([N+](=O)[O-])cc1Cl ZINC000301744223 201172872 /nfs/dbraw/zinc/17/28/72/201172872.db2.gz WHROZDQRKHAMGB-UHFFFAOYSA-N 0 0 299.758 2.519 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCC2CCCC2)c1 ZINC000184339666 189383363 /nfs/dbraw/zinc/38/33/63/189383363.db2.gz JKLLMIAYAKTYCU-UHFFFAOYSA-N 0 0 255.343 2.715 20 5 CFBDRN COC[C@H](C)CNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000184480740 189386904 /nfs/dbraw/zinc/38/69/04/189386904.db2.gz ZGINECDIOVWHKJ-SECBINFHSA-N 0 0 295.339 2.616 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CC23CC3)c(Br)c1 ZINC000425273885 238978598 /nfs/dbraw/zinc/97/85/98/238978598.db2.gz PMEYJRDGCALJFN-MRVPVSSYSA-N 0 0 284.113 2.717 20 5 CFBDRN Cc1c(CNc2ccc([N+](=O)[O-])c3cccnc23)cnn1C ZINC000301855126 201232936 /nfs/dbraw/zinc/23/29/36/201232936.db2.gz YQTGHERKKCGQBI-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@]2(C)CO)c(F)cc1[N+](=O)[O-] ZINC000301897901 201253752 /nfs/dbraw/zinc/25/37/52/201253752.db2.gz WEKARTYXHVMODW-ZIAGYGMSSA-N 0 0 298.314 2.706 20 5 CFBDRN O=C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000421434528 296045289 /nfs/dbraw/zinc/04/52/89/296045289.db2.gz RBAYIXYELYWFJH-JHJVBQTASA-N 0 0 286.331 2.920 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])cn1)c1ccncc1 ZINC000301921507 201264224 /nfs/dbraw/zinc/26/42/24/201264224.db2.gz JNBZNHCITMWSET-UHFFFAOYSA-N 0 0 272.308 2.775 20 5 CFBDRN C[C@@H]1CN(CCCNc2sccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000301922994 201264927 /nfs/dbraw/zinc/26/49/27/201264927.db2.gz FHEIVJPYFRGAKX-GHMZBOCLSA-N 0 0 299.396 2.568 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1C[C@H]1C1CCC1 ZINC000425423964 239054570 /nfs/dbraw/zinc/05/45/70/239054570.db2.gz VRSPDXZIWYIUMX-QWHCGFSZSA-N 0 0 289.335 2.583 20 5 CFBDRN Cc1cc(C)n(CCCNc2sccc2[N+](=O)[O-])n1 ZINC000301936509 201269162 /nfs/dbraw/zinc/26/91/62/201269162.db2.gz QENPZIXBKGFBJU-UHFFFAOYSA-N 0 0 280.353 2.972 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000425534055 239092382 /nfs/dbraw/zinc/09/23/82/239092382.db2.gz AUSLGVGASNXMCL-CABZTGNLSA-N 0 0 275.308 2.508 20 5 CFBDRN CCc1cc(CNc2sccc2[N+](=O)[O-])on1 ZINC000301979185 201285001 /nfs/dbraw/zinc/28/50/01/201285001.db2.gz AFEILLNPWRWMCR-UHFFFAOYSA-N 0 0 253.283 2.819 20 5 CFBDRN Cc1cc(NCC(C)(C)O)c2cccc([N+](=O)[O-])c2n1 ZINC000301983064 201286973 /nfs/dbraw/zinc/28/69/73/201286973.db2.gz BQHHBUPZSFAQFO-UHFFFAOYSA-N 0 0 275.308 2.634 20 5 CFBDRN Cc1nnc(Sc2sccc2[N+](=O)[O-])s1 ZINC000301983431 201287115 /nfs/dbraw/zinc/28/71/15/201287115.db2.gz IINSZGBSMNVCLL-UHFFFAOYSA-N 0 0 259.337 2.967 20 5 CFBDRN Cc1nsc(Oc2cccc(C)c2[N+](=O)[O-])n1 ZINC000301984470 201287656 /nfs/dbraw/zinc/28/76/56/201287656.db2.gz SFHFFDAEBOSPTN-UHFFFAOYSA-N 0 0 251.267 2.855 20 5 CFBDRN Cc1cc(N(C)CC2CCOCC2)ccc1[N+](=O)[O-] ZINC000301986827 201289566 /nfs/dbraw/zinc/28/95/66/201289566.db2.gz ZNXGRAUVSHRQMX-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@@H](Oc2ccncc2)C1 ZINC000301990689 201290861 /nfs/dbraw/zinc/29/08/61/201290861.db2.gz FSZKNFSAPPBLBY-LLVKDONJSA-N 0 0 291.332 2.709 20 5 CFBDRN CC[C@@H]1CCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000185549924 189416114 /nfs/dbraw/zinc/41/61/14/189416114.db2.gz GGDNVPNGTGRDLP-SNVBAGLBSA-N 0 0 250.298 2.840 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CCCC2(CC2)C1 ZINC000185546707 189416366 /nfs/dbraw/zinc/41/63/66/189416366.db2.gz XEPVFSVHTVAMBT-UHFFFAOYSA-N 0 0 289.335 2.680 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1ccc([N+](=O)[O-])cc1 ZINC000302014428 201298361 /nfs/dbraw/zinc/29/83/61/201298361.db2.gz BOLXNRPRCOBYOA-RISCZKNCSA-N 0 0 264.325 2.582 20 5 CFBDRN CC[C@@H](CO)Nc1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000301998308 201293656 /nfs/dbraw/zinc/29/36/56/201293656.db2.gz IGPYSICXLBTZBV-JTQLQIEISA-N 0 0 275.308 2.634 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NC/C=C/c3ccccc3)nn21 ZINC000302002992 201295490 /nfs/dbraw/zinc/29/54/90/201295490.db2.gz REKBHMCGNKDQAL-QPJJXVBHSA-N 0 0 295.302 2.763 20 5 CFBDRN O=C(NCc1ccc(Cl)cc1F)c1cc([N+](=O)[O-])c[nH]1 ZINC000426048386 239159312 /nfs/dbraw/zinc/15/93/12/239159312.db2.gz UHIUKODEPXWCEQ-UHFFFAOYSA-N 0 0 297.673 2.645 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)CNc1sccc1[N+](=O)[O-] ZINC000302039802 201314684 /nfs/dbraw/zinc/31/46/84/201314684.db2.gz WACJCPQNSFEOLU-QMMMGPOBSA-N 0 0 272.326 2.656 20 5 CFBDRN COCc1ccc(Sc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302145944 201364775 /nfs/dbraw/zinc/36/47/75/201364775.db2.gz HTRXUWFSYTXDEJ-UHFFFAOYSA-N 0 0 277.305 2.682 20 5 CFBDRN C[C@H](c1ccc(F)cc1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302152884 201368462 /nfs/dbraw/zinc/36/84/62/201368462.db2.gz LGQOOGMHOBDWHY-SECBINFHSA-N 0 0 276.271 2.721 20 5 CFBDRN Cc1ccccc1CSc1ncc([N+](=O)[O-])cn1 ZINC000302153861 201368725 /nfs/dbraw/zinc/36/87/25/201368725.db2.gz LQENYVCTSPQYNK-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN Cc1ccc(N2CCC[C@@H]2C(C)(C)O)c([N+](=O)[O-])c1 ZINC000302157408 201370647 /nfs/dbraw/zinc/37/06/47/201370647.db2.gz WVTQYUBTPFOQEC-CYBMUJFWSA-N 0 0 264.325 2.643 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2cc3ccccc3o2)nc1 ZINC000302159019 201372918 /nfs/dbraw/zinc/37/29/18/201372918.db2.gz OFLFLGFEPBAYNL-UHFFFAOYSA-N 0 0 284.275 2.786 20 5 CFBDRN C[C@H](Cc1ccccc1F)Nc1ncc([N+](=O)[O-])cn1 ZINC000302160820 201374615 /nfs/dbraw/zinc/37/46/15/201374615.db2.gz PCPCLQGFEUWYSC-SECBINFHSA-N 0 0 276.271 2.567 20 5 CFBDRN COc1ccccc1CSc1ncc([N+](=O)[O-])cn1 ZINC000302167890 201375956 /nfs/dbraw/zinc/37/59/56/201375956.db2.gz SHZLMBYDXGDKGJ-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cccc(-n3ccnn3)c2)cc1 ZINC000302169550 201378429 /nfs/dbraw/zinc/37/84/29/201378429.db2.gz TWQTYGPHYYXPTD-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2C[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000186840810 189452838 /nfs/dbraw/zinc/45/28/38/189452838.db2.gz DOUXZBUHMXRKCZ-UWVGGRQHSA-N 0 0 263.297 2.529 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCn2ccnc2)c(OC(F)F)c1 ZINC000302178406 201383390 /nfs/dbraw/zinc/38/33/90/201383390.db2.gz YCQYEEAYGWOLQX-UHFFFAOYSA-N 0 0 298.249 2.505 20 5 CFBDRN COc1ccccc1Sc1ncc([N+](=O)[O-])cn1 ZINC000302180718 201384828 /nfs/dbraw/zinc/38/48/28/201384828.db2.gz ZJIKQRDINQLIHK-UHFFFAOYSA-N 0 0 263.278 2.545 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000187433547 189467451 /nfs/dbraw/zinc/46/74/51/189467451.db2.gz QJPALAKOPXXSGT-AAEUAGOBSA-N 0 0 291.351 2.654 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000187635805 189472028 /nfs/dbraw/zinc/47/20/28/189472028.db2.gz NCYCAJINGBTNCV-UHFFFAOYSA-N 0 0 294.351 2.772 20 5 CFBDRN Cc1c(OC[C@H]2CC3(CCC3)C(=O)O2)cccc1[N+](=O)[O-] ZINC000187902210 189481052 /nfs/dbraw/zinc/48/10/52/189481052.db2.gz WESMXSSSCKGBGF-LLVKDONJSA-N 0 0 291.303 2.768 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)C1 ZINC000189212662 189525923 /nfs/dbraw/zinc/52/59/23/189525923.db2.gz ZNKRWZKDKZAXED-LLVKDONJSA-N 0 0 292.335 2.784 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1Cc2cccc(O)c2C1 ZINC000428673876 239510242 /nfs/dbraw/zinc/51/02/42/239510242.db2.gz PBJODSRTDLZEDD-LLVKDONJSA-N 0 0 270.288 2.880 20 5 CFBDRN C[C@H]1[C@H](CO)CCCN1c1ccc(Cl)cc1[N+](=O)[O-] ZINC000413586842 291303224 /nfs/dbraw/zinc/30/32/24/291303224.db2.gz DVZOHDNCWZVPSW-UWVGGRQHSA-N 0 0 284.743 2.845 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@@H](O)CC(F)(F)F ZINC000189413257 189533218 /nfs/dbraw/zinc/53/32/18/189533218.db2.gz DXSIFSPCYKQUAK-VIFPVBQESA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OC[C@H](O)CC(F)(F)F ZINC000189413238 189533363 /nfs/dbraw/zinc/53/33/63/189533363.db2.gz DXSIFSPCYKQUAK-SECBINFHSA-N 0 0 279.214 2.595 20 5 CFBDRN COCc1ccccc1CNc1ncc([N+](=O)[O-])s1 ZINC000189682924 189542565 /nfs/dbraw/zinc/54/25/65/189542565.db2.gz TXALFTVUFDCWRH-UHFFFAOYSA-N 0 0 279.321 2.810 20 5 CFBDRN Cc1ccc(C(=O)N2CCSC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000191229757 189587848 /nfs/dbraw/zinc/58/78/48/189587848.db2.gz ZZMYRPOUSPSGEQ-JTQLQIEISA-N 0 0 294.376 2.728 20 5 CFBDRN Cc1cc(C(=O)N2CCSC[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000191237638 189588168 /nfs/dbraw/zinc/58/81/68/189588168.db2.gz FYOUDGLXEGTTPF-NSHDSACASA-N 0 0 294.376 2.728 20 5 CFBDRN COC[C@H](C)CNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191381401 189594284 /nfs/dbraw/zinc/59/42/84/189594284.db2.gz BKMUDRUJHBZMLO-SECBINFHSA-N 0 0 295.339 2.616 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432134838 239968030 /nfs/dbraw/zinc/96/80/30/239968030.db2.gz WHBAGRNHLGFPRK-VUDBWIFFSA-N 0 0 287.319 2.882 20 5 CFBDRN CC(F)(F)c1ccc(CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000432128833 239968045 /nfs/dbraw/zinc/96/80/45/239968045.db2.gz HMRJVMYSGQGBAQ-UHFFFAOYSA-N 0 0 294.261 2.531 20 5 CFBDRN CN(C[C@H]1CCCO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432161561 239971095 /nfs/dbraw/zinc/97/10/95/239971095.db2.gz GNCRYWKSIOABIC-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1ccnc(-c2noc(-c3ccc([N+](=O)[O-])c(C)c3)n2)n1 ZINC000432686870 240030758 /nfs/dbraw/zinc/03/07/58/240030758.db2.gz WMQHUKRDVCZGFD-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000193511038 189644334 /nfs/dbraw/zinc/64/43/34/189644334.db2.gz RWQBBDLBHJXRNT-VIFPVBQESA-N 0 0 251.286 2.823 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCOCC1CC1 ZINC000433520460 240157546 /nfs/dbraw/zinc/15/75/46/240157546.db2.gz IBFKBQDATHTGEP-UHFFFAOYSA-N 0 0 278.308 2.658 20 5 CFBDRN COC[C@H](Nc1ncccc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000435944026 240374016 /nfs/dbraw/zinc/37/40/16/240374016.db2.gz FTKSJTOOINLWHE-LBPRGKRZSA-N 0 0 291.282 2.929 20 5 CFBDRN COc1cccc(C(=O)N(C)[C@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000435987041 240376047 /nfs/dbraw/zinc/37/60/47/240376047.db2.gz XNDASVZMIGUTSS-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc(C(=O)N2CC(C)=C[C@H](C)C2)cc1[N+](=O)[O-] ZINC000436000471 240377456 /nfs/dbraw/zinc/37/74/56/240377456.db2.gz LSIONVQTRBBKFL-JTQLQIEISA-N 0 0 290.319 2.642 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCc1ccccn1)CCN2 ZINC000275350625 193006972 /nfs/dbraw/zinc/00/69/72/193006972.db2.gz JPXQCJHVYWDCFC-UHFFFAOYSA-N 0 0 284.319 2.612 20 5 CFBDRN CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCF ZINC000437679920 240580433 /nfs/dbraw/zinc/58/04/33/240580433.db2.gz ZOSSOANNVOGBQE-UHFFFAOYSA-N 0 0 286.328 2.795 20 5 CFBDRN Cc1cccc(N(C)C[C@@H]2CCC[C@H]2O)c1[N+](=O)[O-] ZINC000275447886 193041923 /nfs/dbraw/zinc/04/19/23/193041923.db2.gz LFPAHPUZXRRTJZ-WCQYABFASA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CCSC1 ZINC000275593075 193081155 /nfs/dbraw/zinc/08/11/55/193081155.db2.gz FPTBNOKFSYEOIK-VIFPVBQESA-N 0 0 281.337 2.530 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1ccccc1[N+](=O)[O-] ZINC000439713683 240790421 /nfs/dbraw/zinc/79/04/21/240790421.db2.gz JBYPDCWKWLESAX-MNOVXSKESA-N 0 0 252.314 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2ccc3[nH]ccc3c2)nc1 ZINC000440538966 240850344 /nfs/dbraw/zinc/85/03/44/240850344.db2.gz JKVXBKBVTDBDOU-UHFFFAOYSA-N 0 0 282.303 2.548 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CCO)CCCCC2)cn1 ZINC000440214455 240823452 /nfs/dbraw/zinc/82/34/52/240823452.db2.gz JHKYLTSORJWSIS-UHFFFAOYSA-N 0 0 279.340 2.735 20 5 CFBDRN COC[C@H](Nc1ncc([N+](=O)[O-])s1)c1ccc(F)cc1 ZINC000443510370 241067889 /nfs/dbraw/zinc/06/78/89/241067889.db2.gz NYXMLBNUAIEGTE-JTQLQIEISA-N 0 0 297.311 2.990 20 5 CFBDRN Cc1cnc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])o1 ZINC000443936574 241096490 /nfs/dbraw/zinc/09/64/90/241096490.db2.gz RAJGIRNDCAYPFD-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN C[C@@H]1C[C@@H](COc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000447297179 241363419 /nfs/dbraw/zinc/36/34/19/241363419.db2.gz UTRNACKNBIUKBO-MNOVXSKESA-N 0 0 251.282 2.789 20 5 CFBDRN C[C@H]1C[C@@H](COc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000447312183 241367473 /nfs/dbraw/zinc/36/74/73/241367473.db2.gz LCIOLTXCRDKTDN-UWVGGRQHSA-N 0 0 269.272 2.928 20 5 CFBDRN COc1ccc(NC(=O)c2ccnc(C)c2)cc1[N+](=O)[O-] ZINC000447527886 241381664 /nfs/dbraw/zinc/38/16/64/241381664.db2.gz GOIBIUXSGHXJLN-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCOc1cc(N(C)CCSC)ccc1[N+](=O)[O-] ZINC000446841391 241332521 /nfs/dbraw/zinc/33/25/21/241332521.db2.gz PCEUIISZNFYRFG-UHFFFAOYSA-N 0 0 270.354 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOc2ncccc2F)c1 ZINC000446889117 241335479 /nfs/dbraw/zinc/33/54/79/241335479.db2.gz RYXDBMBEYFDQFK-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN CN(CC(C)(C)C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000447679173 241399336 /nfs/dbraw/zinc/39/93/36/241399336.db2.gz JOLNDEGWCDUOLR-UHFFFAOYSA-N 0 0 262.313 2.953 20 5 CFBDRN O=[N+]([O-])c1cccc(C[N@@H+]2CCSC[C@H]2C2CC2)c1 ZINC000449528397 242005823 /nfs/dbraw/zinc/00/58/23/242005823.db2.gz PCDGBPJGDYWWEL-AWEZNQCLSA-N 0 0 278.377 2.922 20 5 CFBDRN CC(C)OC(=O)[C@H](C)NC/C=C\c1ccccc1[N+](=O)[O-] ZINC000449663060 242096104 /nfs/dbraw/zinc/09/61/04/242096104.db2.gz NLRCAGQWMNJNNY-VFFGBVLKSA-N 0 0 292.335 2.538 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)N1CCc2c1cccc2[N+](=O)[O-] ZINC000449952254 242223566 /nfs/dbraw/zinc/22/35/66/242223566.db2.gz VEBZRVJCUAPKME-MDZLAQPJSA-N 0 0 286.331 2.920 20 5 CFBDRN C[C@H]1C[C@@H]1CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450258983 242329181 /nfs/dbraw/zinc/32/91/81/242329181.db2.gz UNASGYUGCNFYOL-GXSJLCMTSA-N 0 0 257.293 2.633 20 5 CFBDRN CCCN(CC(F)F)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000450294659 242345888 /nfs/dbraw/zinc/34/58/88/242345888.db2.gz QATABYMSCRINCE-UHFFFAOYSA-N 0 0 284.266 2.953 20 5 CFBDRN CC(C)(C)[C@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450329168 242358806 /nfs/dbraw/zinc/35/88/06/242358806.db2.gz KIAGVDNXWKYEHJ-CYBMUJFWSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)[C@@H](O)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450346414 242369098 /nfs/dbraw/zinc/36/90/98/242369098.db2.gz HBTQOHSEMFPQTC-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450348805 242369865 /nfs/dbraw/zinc/36/98/65/242369865.db2.gz NGPMPXILLDMCGE-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2C[C@H]3OCCC[C@H]23)c1 ZINC000450475311 242440626 /nfs/dbraw/zinc/44/06/26/242440626.db2.gz WYOUJWSLAGZKIW-RBSFLKMASA-N 0 0 299.330 2.544 20 5 CFBDRN C[C@@H](CCO)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450363170 242378771 /nfs/dbraw/zinc/37/87/71/242378771.db2.gz UFYHVYUGEHKWJM-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN CCC1(CNc2ccc(CC(=O)OC)cc2[N+](=O)[O-])CC1 ZINC000450373228 242383107 /nfs/dbraw/zinc/38/31/07/242383107.db2.gz SVZDQRVFAHXGMK-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@@H]2CCCS2)c1 ZINC000450379708 242387227 /nfs/dbraw/zinc/38/72/27/242387227.db2.gz OTAMHKLSFRAYOG-LBPRGKRZSA-N 0 0 289.360 2.872 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])nc2)C12CCC2 ZINC000450396885 242395643 /nfs/dbraw/zinc/39/56/43/242395643.db2.gz WXHBWJRNAXIEHJ-CHWSQXEVSA-N 0 0 291.351 2.774 20 5 CFBDRN Cc1nn(C)cc1COc1ccc([N+](=O)[O-])cc1Cl ZINC000450625277 242510680 /nfs/dbraw/zinc/51/06/80/242510680.db2.gz QAOGNXKPZGTHLD-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1nn(C)cc1COc1ccc(C)cc1[N+](=O)[O-] ZINC000450731348 242568827 /nfs/dbraw/zinc/56/88/27/242568827.db2.gz ZMBPTWMRJGBGKB-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN CC(C)(CC(=O)COc1cccnc1[N+](=O)[O-])C1CC1 ZINC000450678118 242538656 /nfs/dbraw/zinc/53/86/56/242538656.db2.gz SLBIGFCCUSYIHA-UHFFFAOYSA-N 0 0 278.308 2.764 20 5 CFBDRN COc1cc(N(C)CC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000302736323 201532654 /nfs/dbraw/zinc/53/26/54/201532654.db2.gz ZOUNOCMASIJXGX-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1nc(N(C)[C@@H](CO)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000450833569 242629936 /nfs/dbraw/zinc/62/99/36/242629936.db2.gz KIBQAFYPVMDAIO-LLVKDONJSA-N 0 0 281.356 2.532 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1SCc1cccnc1 ZINC000450874780 242650352 /nfs/dbraw/zinc/65/03/52/242650352.db2.gz GIEAMCDGFRFNPS-UHFFFAOYSA-N 0 0 278.337 2.807 20 5 CFBDRN O=[N+]([O-])c1ccc(SCC[C@H]2CCOC2)nc1 ZINC000450891573 242658211 /nfs/dbraw/zinc/65/82/11/242658211.db2.gz KJLOBEODWAQYKL-SECBINFHSA-N 0 0 254.311 2.509 20 5 CFBDRN Cc1noc(C)c1C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452182331 243078082 /nfs/dbraw/zinc/07/80/82/243078082.db2.gz KXBHZEJUKOKQEV-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN C[C@H](C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000452182395 243078190 /nfs/dbraw/zinc/07/81/90/243078190.db2.gz LPOWLNKTZHZWKQ-SFYZADRCSA-N 0 0 290.241 2.970 20 5 CFBDRN CNc1c(C(=O)N2CCC[C@@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000452206072 243084711 /nfs/dbraw/zinc/08/47/11/243084711.db2.gz KWRRRXIKRCZNBE-CQSZACIVSA-N 0 0 295.314 2.601 20 5 CFBDRN COc1cccc(C(=O)N2CCC[C@@](C)(F)C2)c1[N+](=O)[O-] ZINC000452209832 243086541 /nfs/dbraw/zinc/08/65/41/243086541.db2.gz SWDVXROGDHPUPX-CQSZACIVSA-N 0 0 296.298 2.568 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000452527597 243215675 /nfs/dbraw/zinc/21/56/75/243215675.db2.gz MGCUWSFNUJCFSX-GHMZBOCLSA-N 0 0 291.351 2.733 20 5 CFBDRN CCC1(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)CC1 ZINC000452467524 243186916 /nfs/dbraw/zinc/18/69/16/243186916.db2.gz WRXYVPWJTVSWQC-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000452768980 243292261 /nfs/dbraw/zinc/29/22/61/243292261.db2.gz ZEVFXLQXBGLNAD-MNOVXSKESA-N 0 0 294.376 2.849 20 5 CFBDRN O=C(NC[C@H]1CCCC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000452897554 243333281 /nfs/dbraw/zinc/33/32/81/243333281.db2.gz RNCSGTCRZKSNLM-SNVBAGLBSA-N 0 0 284.262 2.760 20 5 CFBDRN NC(=O)C[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000330532549 202933198 /nfs/dbraw/zinc/93/31/98/202933198.db2.gz HOYGJSDKKHNERS-QMMMGPOBSA-N 0 0 297.336 2.576 20 5 CFBDRN Cc1nn(C[C@@H](O)[C@@H](C)c2ccccc2)c(C)c1[N+](=O)[O-] ZINC000453021895 243365221 /nfs/dbraw/zinc/36/52/21/243365221.db2.gz RHUUTEINCIMPIB-IINYFYTJSA-N 0 0 289.335 2.573 20 5 CFBDRN CC/C(C)=C\c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000453145820 243426123 /nfs/dbraw/zinc/42/61/23/243426123.db2.gz WZFUCNSZJGJUOW-TWGQIWQCSA-N 0 0 274.280 2.777 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H]2CCCC[C@@H]2C(C)C)c1[N+](=O)[O-] ZINC000330608745 203032854 /nfs/dbraw/zinc/03/28/54/203032854.db2.gz QUXMQLCELPBTCZ-GHMZBOCLSA-N 0 0 294.355 2.571 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000330592488 203012255 /nfs/dbraw/zinc/01/22/55/203012255.db2.gz YLOOALADMJDOGN-CYBMUJFWSA-N 0 0 276.336 2.784 20 5 CFBDRN CCCC1CCC(N(C)C(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000330621107 203049284 /nfs/dbraw/zinc/04/92/84/203049284.db2.gz LPAPKXTXYOOBSZ-UHFFFAOYSA-N 0 0 294.355 2.749 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000454129166 243636404 /nfs/dbraw/zinc/63/64/04/243636404.db2.gz FADNESBSOQPHPG-ZJUUUORDSA-N 0 0 293.323 2.771 20 5 CFBDRN C[C@@H]1CC=C(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000454955202 243854088 /nfs/dbraw/zinc/85/40/88/243854088.db2.gz GMWHDUXOCSPIQY-GFCCVEGCSA-N 0 0 288.347 3.000 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2CCC[C@@H]2F)cc1[N+](=O)[O-] ZINC000455108448 243893981 /nfs/dbraw/zinc/89/39/81/243893981.db2.gz UJZPPIDNEGAYDG-VHSXEESVSA-N 0 0 297.286 2.616 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000455782413 244018010 /nfs/dbraw/zinc/01/80/10/244018010.db2.gz HFPYMRCYJDGXHD-UWVGGRQHSA-N 0 0 294.355 2.586 20 5 CFBDRN Cc1cccc(C(=O)N(C)CC(C)C)c1[N+](=O)[O-] ZINC000330671090 203099610 /nfs/dbraw/zinc/09/96/10/203099610.db2.gz MNIFSNPNYXLWTO-UHFFFAOYSA-N 0 0 250.298 2.631 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NC(=O)COC(C)C ZINC000456196166 244101587 /nfs/dbraw/zinc/10/15/87/244101587.db2.gz WPFSCFYMPCSVII-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN C[C@@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C1CCCC1 ZINC000330448089 296135154 /nfs/dbraw/zinc/13/51/54/296135154.db2.gz GTTPYBZRGNWJGH-SNVBAGLBSA-N 0 0 264.325 2.969 20 5 CFBDRN CC(C)[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)[C@H]1C(C)C ZINC000456289864 244127967 /nfs/dbraw/zinc/12/79/67/244127967.db2.gz NHTBAVYQIPRRPJ-MFKMUULPSA-N 0 0 280.324 2.940 20 5 CFBDRN C[C@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)[C@H]1CO ZINC000330812310 203264554 /nfs/dbraw/zinc/26/45/54/203264554.db2.gz PBHIYSJMUUJERF-HZMBPMFUSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(NCCC1CC(F)(F)C1)c1ccc([N+](=O)[O-])s1 ZINC000457212853 244437200 /nfs/dbraw/zinc/43/72/00/244437200.db2.gz JYGRPUSNTFDNLS-UHFFFAOYSA-N 0 0 290.291 2.822 20 5 CFBDRN CC[C@]1(C(C)C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000457226727 244444709 /nfs/dbraw/zinc/44/47/09/244444709.db2.gz DCXQWOGWXJWEIF-GXFFZTMASA-N 0 0 266.297 2.742 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC2CCC(O)CC2)c(F)c1 ZINC000227887344 189789152 /nfs/dbraw/zinc/78/91/52/189789152.db2.gz BCDJUASLEZGPGU-UHFFFAOYSA-N 0 0 272.251 2.588 20 5 CFBDRN CCC1CN(C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)C1 ZINC000457292064 244470975 /nfs/dbraw/zinc/47/09/75/244470975.db2.gz CZLXPMSZOILUPB-UHFFFAOYSA-N 0 0 289.335 2.651 20 5 CFBDRN Cc1nc(NCCCOCC2CC2)ccc1[N+](=O)[O-] ZINC000230182264 189806653 /nfs/dbraw/zinc/80/66/53/189806653.db2.gz ZUECEWBLPLFJHU-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000276249777 193256848 /nfs/dbraw/zinc/25/68/48/193256848.db2.gz DGUSSFWRCWHONB-VHSXEESVSA-N 0 0 275.308 2.978 20 5 CFBDRN C/C=C(\CC)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000276407899 193320411 /nfs/dbraw/zinc/32/04/11/193320411.db2.gz APGWMEOZJJUJIB-NYYWCZLTSA-N 0 0 278.308 2.576 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)CCCC1 ZINC000330976297 203433886 /nfs/dbraw/zinc/43/38/86/203433886.db2.gz MAOGLEDPXXQKTR-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN Cc1cnc(COC(=O)[C@@H]2CC2(C)C)c(C)c1[N+](=O)[O-] ZINC000276472804 193344928 /nfs/dbraw/zinc/34/49/28/193344928.db2.gz YYMZSDNPYSRIGF-JTQLQIEISA-N 0 0 278.308 2.696 20 5 CFBDRN CC1(CNc2nc3ccccc3cc2[N+](=O)[O-])COC1 ZINC000276533597 193370508 /nfs/dbraw/zinc/37/05/08/193370508.db2.gz CIIXRELXOZXDDB-UHFFFAOYSA-N 0 0 273.292 2.591 20 5 CFBDRN COC(=O)C[C@@H](C)Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276569226 193383936 /nfs/dbraw/zinc/38/39/36/193383936.db2.gz HFLKHQFKLWXCJG-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N(C)c1ccn(C)n1 ZINC000276639070 193410858 /nfs/dbraw/zinc/41/08/58/193410858.db2.gz XJAMOAXFSILZNH-LLVKDONJSA-N 0 0 274.324 2.834 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000458292013 284182173 /nfs/dbraw/zinc/18/21/73/284182173.db2.gz YMCXZVKLKFLVJI-WXHSDQCUSA-N 0 0 260.293 2.513 20 5 CFBDRN CC(C)=CCCNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000276681029 193425680 /nfs/dbraw/zinc/42/56/80/193425680.db2.gz HSAVSNAXQQSLNE-UHFFFAOYSA-N 0 0 262.309 2.989 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000458116255 244770878 /nfs/dbraw/zinc/77/08/78/244770878.db2.gz SNMGRHYPOMXAMO-ZFWWWQNUSA-N 0 0 292.335 2.524 20 5 CFBDRN COCCCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276688254 193429204 /nfs/dbraw/zinc/42/92/04/193429204.db2.gz VYHVCODNCIZQTI-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2C[C@@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000458149722 244781676 /nfs/dbraw/zinc/78/16/76/244781676.db2.gz WMRQSXJZTXVCDD-ZBFHGGJFSA-N 0 0 290.363 2.997 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1ccc(F)cc1[N+](=O)[O-] ZINC000458267861 244822369 /nfs/dbraw/zinc/82/23/69/244822369.db2.gz YIFRBVMNRJTMNZ-ZHAHWJHGSA-N 0 0 278.283 2.652 20 5 CFBDRN CCC1CCN(C(=O)c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000331289071 203685866 /nfs/dbraw/zinc/68/58/66/203685866.db2.gz OQWPPPCWKLPFPU-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000459184643 245086626 /nfs/dbraw/zinc/08/66/26/245086626.db2.gz FBKMISCPKYTNMU-KLPPZKSPSA-N 0 0 293.323 2.592 20 5 CFBDRN CCO[C@H]1C[C@@H](NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000459184642 245086635 /nfs/dbraw/zinc/08/66/35/245086635.db2.gz FBIXPXLDHPIEMQ-TXEJJXNPSA-N 0 0 293.323 2.592 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000459324797 245140593 /nfs/dbraw/zinc/14/05/93/245140593.db2.gz WQAQKWYGYNVHCF-ICCXJUOJSA-N 0 0 275.308 2.905 20 5 CFBDRN CCOC1CN(C/C(C)=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000459612026 245241843 /nfs/dbraw/zinc/24/18/43/245241843.db2.gz TZFHHQKHJHIPDS-GHXNOFRVSA-N 0 0 276.336 2.719 20 5 CFBDRN CCOC1CN(C/C(C)=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000459612027 245240907 /nfs/dbraw/zinc/24/09/07/245240907.db2.gz TZFHHQKHJHIPDS-KPKJPENVSA-N 0 0 276.336 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CN(Cc3ccsc3)C2)cc1 ZINC000459616993 245242525 /nfs/dbraw/zinc/24/25/25/245242525.db2.gz AWOODZYIKSBZEX-UHFFFAOYSA-N 0 0 290.344 2.920 20 5 CFBDRN C[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1ccn(C)n1 ZINC000459743652 245299408 /nfs/dbraw/zinc/29/94/08/245299408.db2.gz HHGKJQSTNRSVOW-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)Nc1ccc([N+](=O)[O-])cc1 ZINC000459894213 245341192 /nfs/dbraw/zinc/34/11/92/245341192.db2.gz VPKDYYDVAIAOFP-NRUUGDAUSA-N 0 0 260.293 2.970 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000331798134 204173608 /nfs/dbraw/zinc/17/36/08/204173608.db2.gz UTXNPQAEERDWTH-KOLCDFICSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1c(CNc2cc(Cl)nc(N)n2)cccc1[N+](=O)[O-] ZINC000235820185 189831478 /nfs/dbraw/zinc/83/14/78/189831478.db2.gz FDNPLDSCEURWEI-UHFFFAOYSA-N 0 0 293.714 2.541 20 5 CFBDRN Cc1c(C(=O)N2C[C@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000267760723 291518208 /nfs/dbraw/zinc/51/82/08/291518208.db2.gz YUEILHWEDUZHQA-AOOOYVTPSA-N 0 0 262.309 2.631 20 5 CFBDRN C[C@H]1CCc2ccccc2[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000331940930 204317706 /nfs/dbraw/zinc/31/77/06/204317706.db2.gz MACWMKOUERBMLW-BONVTDFDSA-N 0 0 299.330 2.976 20 5 CFBDRN O=C(Nc1cc2c(cn1)CCC2)c1ccc([N+](=O)[O-])s1 ZINC000359751727 284287452 /nfs/dbraw/zinc/28/74/52/284287452.db2.gz NYGYPSSLYVNIPQ-UHFFFAOYSA-N 0 0 289.316 2.792 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1cn2ccccc2n1 ZINC000003074498 371770829 /nfs/dbraw/zinc/77/08/29/371770829.db2.gz LUSTWYJEQSOBQM-UHFFFAOYSA-N 0 0 269.260 2.822 20 5 CFBDRN CCCCN(C)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000003157342 371776012 /nfs/dbraw/zinc/77/60/12/371776012.db2.gz PXDGTGNXCPYRHI-CMDGGOBGSA-N 0 0 262.309 2.867 20 5 CFBDRN COC(=O)[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])CC(C)C ZINC000462877231 245393649 /nfs/dbraw/zinc/39/36/49/245393649.db2.gz ZFUWKZRXZGDDQK-SNVBAGLBSA-N 0 0 298.314 2.981 20 5 CFBDRN COC(=O)c1ccc(COc2ccccc2[N+](=O)[O-])cc1 ZINC000003382221 371796826 /nfs/dbraw/zinc/79/68/26/371796826.db2.gz MPORAZBLRURXCU-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN Cc1cccc(CN2C(=O)c3cccc([N+](=O)[O-])c3C2=O)c1 ZINC000004434392 371857383 /nfs/dbraw/zinc/85/73/83/371857383.db2.gz VHXRXVPZSYZCPM-UHFFFAOYSA-N 0 0 296.282 2.699 20 5 CFBDRN CC(C)CCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000005643374 371883650 /nfs/dbraw/zinc/88/36/50/371883650.db2.gz MICADJBGMFYXMV-UHFFFAOYSA-N 0 0 250.298 2.761 20 5 CFBDRN CCC(CC)NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000015084192 372078828 /nfs/dbraw/zinc/07/88/28/372078828.db2.gz JNDOHOJXJZGFOT-UHFFFAOYSA-N 0 0 282.365 2.992 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCCC[C@H]2C)cc1[N+](=O)[O-] ZINC000015797296 372093229 /nfs/dbraw/zinc/09/32/29/372093229.db2.gz NZVLTKBJZDMUMY-ZYHUDNBSSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)ccn1 ZINC000017820980 372136442 /nfs/dbraw/zinc/13/64/42/372136442.db2.gz MRRYVSBEBWYOGV-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COC1([C@H](C)NC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000332486659 204743539 /nfs/dbraw/zinc/74/35/39/204743539.db2.gz RNTTYKBMZNMVEO-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCCC12CCC2 ZINC000365597550 296181759 /nfs/dbraw/zinc/18/17/59/296181759.db2.gz IPFXIRJGTDHDQD-UHFFFAOYSA-N 0 0 278.283 2.893 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000023352784 372258083 /nfs/dbraw/zinc/25/80/83/372258083.db2.gz ZSGVMWOOXGOJNX-UHFFFAOYSA-N 0 0 260.293 2.513 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])s2)C[C@H]1C ZINC000332655780 204860577 /nfs/dbraw/zinc/86/05/77/204860577.db2.gz DWHSSYWDWNPKJL-IWSPIJDZSA-N 0 0 268.338 2.773 20 5 CFBDRN O=C(c1ccccc1F)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029891812 372387436 /nfs/dbraw/zinc/38/74/36/372387436.db2.gz UNFQVOHZPSXJDO-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000032032133 372424835 /nfs/dbraw/zinc/42/48/35/372424835.db2.gz IGKPGKAVQBYOJI-ZYHUDNBSSA-N 0 0 262.309 2.903 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000032394567 372438067 /nfs/dbraw/zinc/43/80/67/372438067.db2.gz KFZLTLNCWPSBEL-HTQZYQBOSA-N 0 0 268.700 2.634 20 5 CFBDRN CC[C@@H](CO)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000034457158 372508333 /nfs/dbraw/zinc/50/83/33/372508333.db2.gz GEWIPCVXUKANAM-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN COc1ccc(CNc2ccncc2[N+](=O)[O-])cc1OC ZINC000036750322 372588797 /nfs/dbraw/zinc/58/87/97/372588797.db2.gz IGKMTTMSNKFKCN-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CC[C@H](N[C@H](C)c1nncn1C)c1cccc([N+](=O)[O-])c1 ZINC000037017021 372632913 /nfs/dbraw/zinc/63/29/13/372632913.db2.gz JYWLFRGRELZGIS-MFKMUULPSA-N 0 0 289.339 2.525 20 5 CFBDRN COc1ccc(CNCc2csc(C)n2)cc1[N+](=O)[O-] ZINC000041011059 372802487 /nfs/dbraw/zinc/80/24/87/372802487.db2.gz FSQUABOTTPXGGC-UHFFFAOYSA-N 0 0 293.348 2.658 20 5 CFBDRN Cc1cn2cc(NC(=O)c3ccc([N+](=O)[O-])cc3)ccc2n1 ZINC000041306078 372831620 /nfs/dbraw/zinc/83/16/20/372831620.db2.gz NLEMFZUTISXETA-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000279609186 296191381 /nfs/dbraw/zinc/19/13/81/296191381.db2.gz XMVGQMFGLKJRMM-MNOVXSKESA-N 0 0 282.315 2.864 20 5 CFBDRN COC[C@H](C)N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000042518196 372932587 /nfs/dbraw/zinc/93/25/87/372932587.db2.gz RXVSFTCNLCHURA-VIFPVBQESA-N 0 0 272.732 2.715 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000042580930 372936351 /nfs/dbraw/zinc/93/63/51/372936351.db2.gz COBSGJLLRGULRX-KOLCDFICSA-N 0 0 250.302 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1C[C@@H]1C ZINC000043148945 372979952 /nfs/dbraw/zinc/97/99/52/372979952.db2.gz KSGMKKSPWYISDS-VHSXEESVSA-N 0 0 263.297 2.681 20 5 CFBDRN O=C(c1cc2ccccc2c2cccnc12)N1CCOCC1 ZINC000341104566 296195102 /nfs/dbraw/zinc/19/51/02/296195102.db2.gz QCTAJSAGHMEHTH-UHFFFAOYSA-N 0 0 292.338 2.860 20 5 CFBDRN CCCCN(CC)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000045623742 373022635 /nfs/dbraw/zinc/02/26/35/373022635.db2.gz IRDWRDSGIJUKGC-UHFFFAOYSA-N 0 0 279.340 2.926 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])c(O)c1 ZINC000046135948 373042503 /nfs/dbraw/zinc/04/25/03/373042503.db2.gz ZWZCBTHBGXFFIJ-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000047319539 373086872 /nfs/dbraw/zinc/08/68/72/373086872.db2.gz QPQZAYNADGJYDR-VIFPVBQESA-N 0 0 281.312 2.866 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)OCC(C)C)cccc1[N+](=O)[O-] ZINC000047382795 373090331 /nfs/dbraw/zinc/09/03/31/373090331.db2.gz PPAANBLNPITKMO-NSHDSACASA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N([C@@H](C)C1CC1)C1CC1 ZINC000047448953 373094161 /nfs/dbraw/zinc/09/41/61/373094161.db2.gz WLTVLEOBYFINRS-JTQLQIEISA-N 0 0 289.335 2.701 20 5 CFBDRN Cc1nn(C)c(N[C@@H](C)Cc2ccsc2)c1[N+](=O)[O-] ZINC000048553107 373198638 /nfs/dbraw/zinc/19/86/38/373198638.db2.gz FDYWDOMMAJLMQI-QMMMGPOBSA-N 0 0 280.353 2.741 20 5 CFBDRN CCc1nc(COc2ccc(Cl)cc2[N+](=O)[O-])no1 ZINC000048872718 373229543 /nfs/dbraw/zinc/22/95/43/373229543.db2.gz JZVXQEALENKEBN-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])o1)c1ccc(F)c(F)c1 ZINC000048944969 373234991 /nfs/dbraw/zinc/23/49/91/373234991.db2.gz KOLSQTQYYJSCNI-UHFFFAOYSA-N 0 0 282.202 2.743 20 5 CFBDRN CCc1ncc(C(=O)NCc2ccccc2[N+](=O)[O-])s1 ZINC000049221844 373278299 /nfs/dbraw/zinc/27/82/99/373278299.db2.gz HGTOOPPXRXAFAP-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN Cc1c(NC(=O)CC(F)(F)F)cccc1[N+](=O)[O-] ZINC000049031875 373254313 /nfs/dbraw/zinc/25/43/13/373254313.db2.gz HLHBEUOKRRFMBQ-UHFFFAOYSA-N 0 0 262.187 2.794 20 5 CFBDRN CCCN(CC(=O)OCC)Cc1cccc([N+](=O)[O-])c1C ZINC000049947320 373332418 /nfs/dbraw/zinc/33/24/18/373332418.db2.gz CUZHJGIPDDGDLU-UHFFFAOYSA-N 0 0 294.351 2.678 20 5 CFBDRN CCCN(CC(=O)OC)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000050086553 373352036 /nfs/dbraw/zinc/35/20/36/373352036.db2.gz RKOJTMKTCHYTMF-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN CCC[C@@H](CC)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000050165021 373360066 /nfs/dbraw/zinc/36/00/66/373360066.db2.gz PDJHVXYWYCYXGY-SNVBAGLBSA-N 0 0 265.313 2.684 20 5 CFBDRN Cn1ccnc1CNc1ccc([N+](=O)[O-])cc1Cl ZINC000051921961 373417538 /nfs/dbraw/zinc/41/75/38/373417538.db2.gz ATZWGOIXRZZTRI-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCCC[C@H]2O)ccc2ncccc21 ZINC000052006846 373428916 /nfs/dbraw/zinc/42/89/16/373428916.db2.gz IAAOXWVSJPXJCJ-GXTWGEPZSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1c(NCc2ccsc2)nc2sccn21 ZINC000052129380 373438822 /nfs/dbraw/zinc/43/88/22/373438822.db2.gz QLUKYQRMKNTWEN-UHFFFAOYSA-N 0 0 280.334 2.978 20 5 CFBDRN CN(C)C(=O)c1ccc(NCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000052658583 373449128 /nfs/dbraw/zinc/44/91/28/373449128.db2.gz LGAXAUMOQWVLQE-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000054982295 220199962 /nfs/dbraw/zinc/19/99/62/220199962.db2.gz MBFPHADTVDUHBM-GHMZBOCLSA-N 0 0 291.351 2.932 20 5 CFBDRN O=C(Nc1cccnc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052954734 373458339 /nfs/dbraw/zinc/45/83/39/373458339.db2.gz YOGVDZPSOGCHSG-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN C[C@@H]1CCCN(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000054646403 373527749 /nfs/dbraw/zinc/52/77/49/373527749.db2.gz GAMSZOHAZSFYQY-GFCCVEGCSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000055466667 373554057 /nfs/dbraw/zinc/55/40/57/373554057.db2.gz QPCWVTBWBKZQTN-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN CCOCCCCNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000055497378 373555583 /nfs/dbraw/zinc/55/55/83/373555583.db2.gz HPBIQHZWYIRGGZ-CMDGGOBGSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1occc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057836940 373614557 /nfs/dbraw/zinc/61/45/57/373614557.db2.gz DHQMZFJHIRTKNN-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000058800133 373671756 /nfs/dbraw/zinc/67/17/56/373671756.db2.gz DIIVSQFGAQQTNE-LBPRGKRZSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1c(COc2ccccc2C(N)=O)cccc1[N+](=O)[O-] ZINC000059009166 373677077 /nfs/dbraw/zinc/67/70/77/373677077.db2.gz AFISUMVPNGRBRE-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN Cc1ccc(CNCc2csc([N+](=O)[O-])c2)cn1 ZINC000060390871 373694417 /nfs/dbraw/zinc/69/44/17/373694417.db2.gz IFHMEEZRNUOORZ-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN COc1ccc(Cn2cc(Cl)cn2)cc1[N+](=O)[O-] ZINC000063457198 373804951 /nfs/dbraw/zinc/80/49/51/373804951.db2.gz SRGKOXZPKGLZLR-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN Cc1c(CN(C)Cc2cscn2)cccc1[N+](=O)[O-] ZINC000063591859 373811552 /nfs/dbraw/zinc/81/15/52/373811552.db2.gz NCJBVMZCFGJBSV-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN Cc1ccc(N[C@H](CO)Cc2ccccc2)c([N+](=O)[O-])c1 ZINC000063218723 373798803 /nfs/dbraw/zinc/79/88/03/373798803.db2.gz YULLBVZFZGSEQG-AWEZNQCLSA-N 0 0 286.331 2.919 20 5 CFBDRN CC(C)(C)c1noc(COc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000067014834 373966923 /nfs/dbraw/zinc/96/69/23/373966923.db2.gz FWKZHYYLTDFTPW-UHFFFAOYSA-N 0 0 295.270 2.993 20 5 CFBDRN C[C@H](NC(=O)CCc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000068733785 374035919 /nfs/dbraw/zinc/03/59/19/374035919.db2.gz YKDCWQCJXDAERG-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN CN(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)C1CC1 ZINC000289514550 197385632 /nfs/dbraw/zinc/38/56/32/197385632.db2.gz BVPSMTIMNWUDJP-UHFFFAOYSA-N 0 0 269.688 2.874 20 5 CFBDRN O=C(OC[C@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1Cl ZINC000070166385 374133868 /nfs/dbraw/zinc/13/38/68/374133868.db2.gz KNZITBGJXBIIIJ-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN CCCN(C)C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000070198539 374146770 /nfs/dbraw/zinc/14/67/70/374146770.db2.gz JVITZZZHWUKIIU-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN C[C@H]1CCC[C@@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000071208245 374214480 /nfs/dbraw/zinc/21/44/80/374214480.db2.gz BIRCHYSJFCLZRQ-YUMQZZPRSA-N 0 0 266.326 2.905 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)CC[C@H]1C ZINC000072545269 374262417 /nfs/dbraw/zinc/26/24/17/374262417.db2.gz SBLIEXRZEKNZDL-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CC(C)(C)OCCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000491335890 246063261 /nfs/dbraw/zinc/06/32/61/246063261.db2.gz RBKOUGIQDCJBNT-RMKNXTFCSA-N 0 0 292.335 2.539 20 5 CFBDRN CN(Cc1ccccn1)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491187030 246048665 /nfs/dbraw/zinc/04/86/65/246048665.db2.gz REORJSLTQMVINF-KTKRTIGZSA-N 0 0 297.314 2.662 20 5 CFBDRN Cc1nc(C)c(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000072986879 374312345 /nfs/dbraw/zinc/31/23/45/374312345.db2.gz QTIYHPICJLHKBC-MRVPVSSYSA-N 0 0 289.291 2.691 20 5 CFBDRN CC(C)C[C@@H]1COCCN1Cc1ccc([N+](=O)[O-])cc1 ZINC000072979476 374311218 /nfs/dbraw/zinc/31/12/18/374311218.db2.gz HBEMSHUWQZPEPU-OAHLLOKOSA-N 0 0 278.352 2.842 20 5 CFBDRN Cc1ccc(NC(=O)/C=C\C2CCOCC2)cc1[N+](=O)[O-] ZINC000491855154 246100561 /nfs/dbraw/zinc/10/05/61/246100561.db2.gz ZXTXTULLUFFDAC-HYXAFXHYSA-N 0 0 290.319 2.825 20 5 CFBDRN CCN(CC(C)C)c1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000341576615 284446719 /nfs/dbraw/zinc/44/67/19/284446719.db2.gz SURLSDOHPQFOCS-UHFFFAOYSA-N 0 0 295.339 2.567 20 5 CFBDRN CO[C@]1(C)C[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1(C)C ZINC000073272327 374334437 /nfs/dbraw/zinc/33/44/37/374334437.db2.gz MLSGLSFWMDCXGS-NOZJJQNGSA-N 0 0 298.364 2.590 20 5 CFBDRN O=C(COc1cccnc1[N+](=O)[O-])C1CCCCC1 ZINC000073299951 374334626 /nfs/dbraw/zinc/33/46/26/374334626.db2.gz CQFBSNHOHKIMCY-UHFFFAOYSA-N 0 0 264.281 2.518 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCCC(F)(F)F ZINC000491940917 246128702 /nfs/dbraw/zinc/12/87/02/246128702.db2.gz QLOZWOADACFUIP-UTCJRWHESA-N 0 0 288.225 2.677 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000073785746 374384957 /nfs/dbraw/zinc/38/49/57/374384957.db2.gz CWUXEZMFBBOBEZ-SSDOTTSWSA-N 0 0 254.311 2.527 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CCC(F)(F)F ZINC000074234641 374411182 /nfs/dbraw/zinc/41/11/82/374411182.db2.gz HEBZCEOPCVZIRV-UHFFFAOYSA-N 0 0 292.213 2.884 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000074361360 374417430 /nfs/dbraw/zinc/41/74/30/374417430.db2.gz VYUVGYQAMGVWFP-SECBINFHSA-N 0 0 285.321 2.691 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/C1CCC1 ZINC000492041650 246166079 /nfs/dbraw/zinc/16/60/79/246166079.db2.gz DERQWPPXRKJHFB-VMPITWQZSA-N 0 0 276.292 2.898 20 5 CFBDRN O=C(/C=C/C1CCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000492111460 246190830 /nfs/dbraw/zinc/19/08/30/246190830.db2.gz QZWUZAFYEFUHRH-QPJJXVBHSA-N 0 0 286.331 2.836 20 5 CFBDRN C[C@@H]1CCN(C(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000492074692 246178259 /nfs/dbraw/zinc/17/82/59/246178259.db2.gz VVRQOQHFJPTRCS-RXNUUUNCSA-N 0 0 278.283 2.616 20 5 CFBDRN C[C@@H]1C[C@@H]1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000075524215 374488381 /nfs/dbraw/zinc/48/83/81/374488381.db2.gz RXMCJULFXZYMJX-XCBNKYQSSA-N 0 0 276.317 2.948 20 5 CFBDRN CCC[C@H](CCO)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000075542382 374492199 /nfs/dbraw/zinc/49/21/99/374492199.db2.gz JNNMXCMTYJMICT-LLVKDONJSA-N 0 0 295.339 2.515 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])NC1(C2CC2)CC1 ZINC000492129115 246197342 /nfs/dbraw/zinc/19/73/42/246197342.db2.gz HBXXTVUELHKRSL-QHHAFSJGSA-N 0 0 290.294 2.806 20 5 CFBDRN CSC(C)(C)CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000075362748 374476881 /nfs/dbraw/zinc/47/68/81/374476881.db2.gz GJCBMIPUVIOEFI-UHFFFAOYSA-N 0 0 288.394 2.836 20 5 CFBDRN CC(C)(NC(=O)/C=C/c1ccccc1[N+](=O)[O-])C1CC1 ZINC000492270859 246239553 /nfs/dbraw/zinc/23/95/53/246239553.db2.gz FDLUEAUDAOFERL-JXMROGBWSA-N 0 0 274.320 2.913 20 5 CFBDRN C[C@]1(F)CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000492296767 246249607 /nfs/dbraw/zinc/24/96/07/246249607.db2.gz PQLIRDIATBHYSX-GBXSZLQWSA-N 0 0 278.283 2.569 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492346453 246263545 /nfs/dbraw/zinc/26/35/45/246263545.db2.gz UCZOGDONMDWVSO-UZYOAWRESA-N 0 0 274.320 2.865 20 5 CFBDRN Cc1ccc(/C=C/C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cn1 ZINC000492422324 246287938 /nfs/dbraw/zinc/28/79/38/246287938.db2.gz BHJXJBZVEZADRA-VMPITWQZSA-N 0 0 298.302 2.654 20 5 CFBDRN COc1ccc(NC(=O)/C=C/c2cccnc2)cc1[N+](=O)[O-] ZINC000492456217 246296772 /nfs/dbraw/zinc/29/67/72/246296772.db2.gz LQQFTNKFAQXZJP-QPJJXVBHSA-N 0 0 299.286 2.650 20 5 CFBDRN O=C(N[C@@H]1CCc2c1cccc2O)c1ccccc1[N+](=O)[O-] ZINC000076687800 374556087 /nfs/dbraw/zinc/55/60/87/374556087.db2.gz YFXFVYXXZBANCE-CYBMUJFWSA-N 0 0 298.298 2.718 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CCc2ccncc21 ZINC000492393783 246278116 /nfs/dbraw/zinc/27/81/16/246278116.db2.gz FDMOHDVGPWKQQA-PLNGDYQASA-N 0 0 295.298 2.592 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC000282468589 291600393 /nfs/dbraw/zinc/60/03/93/291600393.db2.gz WSXHDSTXVIGRGU-WCBMZHEXSA-N 0 0 296.298 2.796 20 5 CFBDRN CC[C@]1(C)CCCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000078559145 374569441 /nfs/dbraw/zinc/56/94/41/374569441.db2.gz AXCFPZZHDHKJIB-OAHLLOKOSA-N 0 0 291.351 2.710 20 5 CFBDRN COCCC(C)(C)NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000492615742 246343943 /nfs/dbraw/zinc/34/39/43/246343943.db2.gz AFPJEGLZJLGXOB-CMDGGOBGSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C1[C@@H]2CCCC[C@H]12 ZINC000079386581 374608432 /nfs/dbraw/zinc/60/84/32/374608432.db2.gz AFNMMTHJVWDQGR-BVUQATHDSA-N 0 0 291.303 2.945 20 5 CFBDRN Cc1ccc(C[C@@H](C)Nc2ncc([N+](=O)[O-])cn2)s1 ZINC000080249492 374647754 /nfs/dbraw/zinc/64/77/54/374647754.db2.gz XJNVPBCWIIWNIC-MRVPVSSYSA-N 0 0 278.337 2.798 20 5 CFBDRN CC(C)[C@@H](CO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000080789748 374673509 /nfs/dbraw/zinc/67/35/09/374673509.db2.gz XPWFUIKTWINREO-SNVBAGLBSA-N 0 0 258.705 2.677 20 5 CFBDRN CCC(=O)COc1ccc(Br)cc1[N+](=O)[O-] ZINC000083827057 374756588 /nfs/dbraw/zinc/75/65/88/374756588.db2.gz SXFDDKNBMPKAQZ-UHFFFAOYSA-N 0 0 288.097 2.715 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCSC(C)(C)C1 ZINC000081851855 374720086 /nfs/dbraw/zinc/72/00/86/374720086.db2.gz HKGQSGOLFIZKTH-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN COC(C)(C)c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000085236407 374800282 /nfs/dbraw/zinc/80/02/82/374800282.db2.gz MZVUZWJESNIZBB-UHFFFAOYSA-N 0 0 269.282 2.588 20 5 CFBDRN Cc1cccc(C(N)=O)c1NCc1cccc([N+](=O)[O-])c1 ZINC000085280175 374800416 /nfs/dbraw/zinc/80/04/16/374800416.db2.gz QOEDOZXGUFGTCW-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CCC[C@@H](F)C1 ZINC000493167747 246507753 /nfs/dbraw/zinc/50/77/53/246507753.db2.gz AUGRTWCDQDHKRX-NNNHXZLVSA-N 0 0 278.283 2.569 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccccc1[N+](=O)[O-])C1CC1 ZINC000493230016 246527369 /nfs/dbraw/zinc/52/73/69/246527369.db2.gz ZUFMTPZVNPUFPX-HSTULFTRSA-N 0 0 260.293 2.523 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000084203853 374768698 /nfs/dbraw/zinc/76/86/98/374768698.db2.gz URNYRDKXGQDLST-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN C/C(=C/C(=O)NCCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000089303051 374896063 /nfs/dbraw/zinc/89/60/63/374896063.db2.gz HTEMYZKCWNXDPY-LUAWRHEFSA-N 0 0 274.320 2.610 20 5 CFBDRN COc1ccc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000088218741 374836566 /nfs/dbraw/zinc/83/65/66/374836566.db2.gz LAXDALDMIZGLOZ-SECBINFHSA-N 0 0 276.296 2.510 20 5 CFBDRN CN(CCOCC1CC1)c1cccc(F)c1[N+](=O)[O-] ZINC000088558814 374853484 /nfs/dbraw/zinc/85/34/84/374853484.db2.gz DBEQZFZFAMOLKE-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)c2cnn(C)c2)c1 ZINC000088725603 374860827 /nfs/dbraw/zinc/86/08/27/374860827.db2.gz CYSXDFOHEOZKPC-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccccc1[N+](=O)[O-])C(C)(F)F ZINC000493459361 246594936 /nfs/dbraw/zinc/59/49/36/246594936.db2.gz VVYLUENJMKKIKP-FUOZMLNRSA-N 0 0 284.262 2.768 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CC[C@@H]1C1CC1 ZINC000493531437 246616734 /nfs/dbraw/zinc/61/67/34/246616734.db2.gz TXKXUJFHSDLCDP-SBDDDAINSA-N 0 0 272.304 2.619 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCCC[C@H]2O)c1 ZINC000090794878 375030188 /nfs/dbraw/zinc/03/01/88/375030188.db2.gz GURITGLILOSWSK-QMTHXVAHSA-N 0 0 280.324 2.566 20 5 CFBDRN C[C@@H](c1cc(F)ccc1F)N(C)c1c([N+](=O)[O-])ncn1C ZINC000354047943 296224957 /nfs/dbraw/zinc/22/49/57/296224957.db2.gz NSQINZGCTDALLE-QMMMGPOBSA-N 0 0 296.277 2.804 20 5 CFBDRN CCc1nn(C)cc1Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000091026389 375038334 /nfs/dbraw/zinc/03/83/34/375038334.db2.gz JJOLILGZNGFAEV-UHFFFAOYSA-N 0 0 260.297 2.943 20 5 CFBDRN CCc1nn(C)cc1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000091026465 375038868 /nfs/dbraw/zinc/03/88/68/375038868.db2.gz JGTJKNRHHKZMAM-UHFFFAOYSA-N 0 0 282.250 2.913 20 5 CFBDRN CCCN(C(=O)/C=C/OCC)c1cccc([N+](=O)[O-])c1 ZINC000493610442 246642282 /nfs/dbraw/zinc/64/22/82/246642282.db2.gz GMCAJBPDWQUIHK-CSKARUKUSA-N 0 0 278.308 2.888 20 5 CFBDRN Cc1c(C(=O)Nc2cccc([N+](=O)[O-])c2)cnn1C(C)C ZINC000092198199 375094540 /nfs/dbraw/zinc/09/45/40/375094540.db2.gz WCUPLIMPMYLFPV-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN Cc1noc(C)c1CNc1cc(F)cc([N+](=O)[O-])c1 ZINC000092340451 375101821 /nfs/dbraw/zinc/10/18/21/375101821.db2.gz CNDIEVYFNDJPOV-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CCc1nn(C)c(NCCOC2CCCCC2)c1[N+](=O)[O-] ZINC000091559508 375054750 /nfs/dbraw/zinc/05/47/50/375054750.db2.gz MWADTHIFXTUKSD-UHFFFAOYSA-N 0 0 296.371 2.652 20 5 CFBDRN Cc1ocnc1CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000092710810 375145133 /nfs/dbraw/zinc/14/51/33/375145133.db2.gz HHWLQVAQEYARPP-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN CCc1nn(C)c(N(C)Cc2ccc(C)cc2)c1[N+](=O)[O-] ZINC000092826840 375162809 /nfs/dbraw/zinc/16/28/09/375162809.db2.gz SYISQBWOUHJWTQ-UHFFFAOYSA-N 0 0 288.351 2.836 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1ncccc1C ZINC000092851387 375164845 /nfs/dbraw/zinc/16/48/45/375164845.db2.gz BEPNBQICSIDEPI-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CCc1nn(C)cc1CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000092959455 375168955 /nfs/dbraw/zinc/16/89/55/375168955.db2.gz PELYCYLOSOQIAY-UHFFFAOYSA-N 0 0 290.323 2.511 20 5 CFBDRN CCOc1cc(N(CC)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000092970649 375173175 /nfs/dbraw/zinc/17/31/75/375173175.db2.gz DWVAYABUDSHLQW-UHFFFAOYSA-N 0 0 282.340 2.591 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC2(C1)CCCCC2 ZINC000273032757 296227480 /nfs/dbraw/zinc/22/74/80/296227480.db2.gz TYQJSSJFMDGOCT-UHFFFAOYSA-N 0 0 264.281 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCCC[C@H]1CO ZINC000093097695 375186450 /nfs/dbraw/zinc/18/64/50/375186450.db2.gz HBODVJFWEHAJRV-LBPRGKRZSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCCC[C@@H]1CO ZINC000093097694 375187040 /nfs/dbraw/zinc/18/70/40/375187040.db2.gz HBODVJFWEHAJRV-GFCCVEGCSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1nncs1 ZINC000094018845 375335144 /nfs/dbraw/zinc/33/51/44/375335144.db2.gz WGGVPQORJXVLCH-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000094957549 375398526 /nfs/dbraw/zinc/39/85/26/375398526.db2.gz PGNLITOJBFHWAP-NSHDSACASA-N 0 0 252.314 2.724 20 5 CFBDRN C[C@@H](O)[C@H](C)Oc1ccc([N+](=O)[O-])c2ccccc12 ZINC000094654941 375371266 /nfs/dbraw/zinc/37/12/66/375371266.db2.gz PKHXBFLUIGIDKQ-ZJUUUORDSA-N 0 0 261.277 2.896 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(O)CCCC2)c1 ZINC000094659754 375372401 /nfs/dbraw/zinc/37/24/01/375372401.db2.gz JACYUUOSBBCDJS-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN Cc1ccc(CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)cn1 ZINC000094771907 375377945 /nfs/dbraw/zinc/37/79/45/375377945.db2.gz XVKFCQYLQDCKHI-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN CCOC(=O)CN(Cc1ccc([N+](=O)[O-])c(F)c1)C(C)C ZINC000094775820 375379852 /nfs/dbraw/zinc/37/98/52/375379852.db2.gz PFNHFUADVWNTOA-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](CO)Cc1ccc(F)cc1 ZINC000499706567 247088376 /nfs/dbraw/zinc/08/83/76/247088376.db2.gz PFVLGLDQUJWENU-ZDUSSCGKSA-N 0 0 290.294 2.749 20 5 CFBDRN CCC(C)(C)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000101346069 375635083 /nfs/dbraw/zinc/63/50/83/375635083.db2.gz KBEDWHJVYFDYFB-YFHOEESVSA-N 0 0 262.309 2.913 20 5 CFBDRN CC(C)CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000103377512 375736716 /nfs/dbraw/zinc/73/67/16/375736716.db2.gz BBVJBROMLOYYEZ-SNVBAGLBSA-N 0 0 262.309 2.919 20 5 CFBDRN CN(CC1CCC1)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000111475381 375851820 /nfs/dbraw/zinc/85/18/20/375851820.db2.gz ACSTWLRIEKHXCL-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN C[C@@H](NCc1cscn1)c1ccccc1[N+](=O)[O-] ZINC000111625105 375857662 /nfs/dbraw/zinc/85/76/62/375857662.db2.gz RQZGIQBTNKBXSL-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN COCC[C@H](C)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000112012994 375877117 /nfs/dbraw/zinc/87/71/17/375877117.db2.gz SXFIRVBFNKHYQV-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000112178935 375887585 /nfs/dbraw/zinc/88/75/85/375887585.db2.gz GVYILMZKHOJJJO-UHFFFAOYSA-N 0 0 291.351 2.533 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1c(C)cccc1[N+](=O)[O-] ZINC000112334402 375905078 /nfs/dbraw/zinc/90/50/78/375905078.db2.gz KQVPAYVTNAXQRG-BXKDBHETSA-N 0 0 252.314 2.722 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000112385767 375915861 /nfs/dbraw/zinc/91/58/61/375915861.db2.gz SDNVWBCKZLKKPH-HZMBPMFUSA-N 0 0 295.339 2.513 20 5 CFBDRN CC1(C)C[C@H](O)CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000451801372 383710867 /nfs/dbraw/zinc/71/08/67/383710867.db2.gz LAJFPZZIYXGURM-LLVKDONJSA-N 0 0 296.342 2.717 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000112610004 375937372 /nfs/dbraw/zinc/93/73/72/375937372.db2.gz CUBIZGUGRRJDCX-JTQLQIEISA-N 0 0 262.309 2.822 20 5 CFBDRN CCC[C@@H](O)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000112615088 375937556 /nfs/dbraw/zinc/93/75/56/375937556.db2.gz KKBZEZRVDVPVLX-SECBINFHSA-N 0 0 258.705 2.821 20 5 CFBDRN COC(=O)C[C@H](C)SCc1c(F)cccc1[N+](=O)[O-] ZINC000115191859 376114746 /nfs/dbraw/zinc/11/47/46/376114746.db2.gz BXGVWXJPGXWIDZ-QMMMGPOBSA-N 0 0 287.312 2.919 20 5 CFBDRN Cc1ccnc(NCc2nccn2C(F)F)c1[N+](=O)[O-] ZINC000115516345 376134951 /nfs/dbraw/zinc/13/49/51/376134951.db2.gz FQRQYJNYMBUEFC-UHFFFAOYSA-N 0 0 283.238 2.502 20 5 CFBDRN Cc1ccnc(NCc2cn3ccccc3n2)c1[N+](=O)[O-] ZINC000115515567 376135557 /nfs/dbraw/zinc/13/55/57/376135557.db2.gz YQKHYKCYBOLUCJ-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cnc(-c3ccccc3)n2)cc1 ZINC000117556057 376247537 /nfs/dbraw/zinc/24/75/37/376247537.db2.gz DGWGISMWGPUMHF-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)[C@H]1C ZINC000118965081 376327555 /nfs/dbraw/zinc/32/75/55/376327555.db2.gz KBALAWTVOBKNPX-UWVGGRQHSA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000118974889 376329441 /nfs/dbraw/zinc/32/94/41/376329441.db2.gz ZISUQNORANHEPN-XHDPSFHLSA-N 0 0 292.335 2.650 20 5 CFBDRN C[C@@H](O)C1CCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000119101622 376353596 /nfs/dbraw/zinc/35/35/96/376353596.db2.gz WXJYODPHHCRRPJ-SNVBAGLBSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(NCCOC1CCCCCC1)c1ccc([N+](=O)[O-])o1 ZINC000118362334 376289532 /nfs/dbraw/zinc/28/95/32/376289532.db2.gz ZZMGSGATHDIRBF-UHFFFAOYSA-N 0 0 296.323 2.657 20 5 CFBDRN Cc1c(NC(=O)NC2(C)CCOCC2)cccc1[N+](=O)[O-] ZINC000119490883 376424953 /nfs/dbraw/zinc/42/49/53/376424953.db2.gz QRBMEYVMTKDJJB-UHFFFAOYSA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1cc(=O)n(CCCSCC(C)C)cc1[N+](=O)[O-] ZINC000119768278 376467962 /nfs/dbraw/zinc/46/79/62/376467962.db2.gz ASCBPEJOULBHHP-UHFFFAOYSA-N 0 0 284.381 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3CCOC3)no2)c(Cl)c1 ZINC000120371090 376604122 /nfs/dbraw/zinc/60/41/22/376604122.db2.gz GMEODFROIDZIIN-ZETCQYMHSA-N 0 0 295.682 2.802 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H]1CCO[C@H]1C ZINC000120229387 376573485 /nfs/dbraw/zinc/57/34/85/376573485.db2.gz DILGMKPZIBJRAD-QWRGUYRKSA-N 0 0 293.323 2.511 20 5 CFBDRN C[C@@H](O)CNc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000120513814 376631602 /nfs/dbraw/zinc/63/16/02/376631602.db2.gz IBVVKXRSZAIPPU-SECBINFHSA-N 0 0 268.313 2.565 20 5 CFBDRN CC(C)OCCC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000120972750 376734607 /nfs/dbraw/zinc/73/46/07/376734607.db2.gz HQMWDXDILZSVJY-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CC=CCC2)cc([N+](=O)[O-])c1 ZINC000121593160 376866028 /nfs/dbraw/zinc/86/60/28/376866028.db2.gz QHEWNMDTZWMWQV-GFCCVEGCSA-N 0 0 274.320 2.989 20 5 CFBDRN CCc1cccc(OCCn2c(C)ncc2[N+](=O)[O-])c1 ZINC000123598514 376959616 /nfs/dbraw/zinc/95/96/16/376959616.db2.gz PTDPNWSBLBYYQI-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN Cc1cccc(OCc2nc(C3CC3)no2)c1[N+](=O)[O-] ZINC000123951232 377000430 /nfs/dbraw/zinc/00/04/30/377000430.db2.gz RLZOOYNLHYPASO-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000432043947 383717080 /nfs/dbraw/zinc/71/70/80/383717080.db2.gz XXWHPQBAMNDYSA-ZWNOBZJWSA-N 0 0 278.308 2.739 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cn3c(cccc3C)n2)n1 ZINC000125399833 377152585 /nfs/dbraw/zinc/15/25/85/377152585.db2.gz YBMJKZXMHVBWLF-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000125538432 377167666 /nfs/dbraw/zinc/16/76/66/377167666.db2.gz YZMKXQDLAQWYAJ-CYBMUJFWSA-N 0 0 264.325 2.514 20 5 CFBDRN C[C@]1(CNc2ccncc2[N+](=O)[O-])CCCS1 ZINC000126413446 377225782 /nfs/dbraw/zinc/22/57/82/377225782.db2.gz HTOGCUXLIVHGIJ-LLVKDONJSA-N 0 0 253.327 2.687 20 5 CFBDRN COC(=O)[C@@]1(C)CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000413585427 291667391 /nfs/dbraw/zinc/66/73/91/291667391.db2.gz FJSLHJCWYNZPDM-HNNXBMFYSA-N 0 0 292.335 2.683 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CSCCS2)n1 ZINC000129208605 377398565 /nfs/dbraw/zinc/39/85/65/377398565.db2.gz HZMYHTBISYYUFQ-SECBINFHSA-N 0 0 285.394 2.559 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000129108779 377385913 /nfs/dbraw/zinc/38/59/13/377385913.db2.gz LSSNBGXKGZSCCC-STQMWFEESA-N 0 0 287.319 2.714 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000129206882 377399054 /nfs/dbraw/zinc/39/90/54/377399054.db2.gz SCHQWNWXSUGMSC-PWSUYJOCSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1cc2c(NCc3cccc([N+](=O)[O-])c3)nccn2n1 ZINC000129391350 377419611 /nfs/dbraw/zinc/41/96/11/377419611.db2.gz MRJIKKHPPPEKBW-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC(C)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129663690 377458677 /nfs/dbraw/zinc/45/86/77/377458677.db2.gz GAHXBLGMCIREHZ-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](OCC(C)C)C2)n1 ZINC000129775228 377472018 /nfs/dbraw/zinc/47/20/18/377472018.db2.gz ZPPGPMQPUCIANZ-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN CC(C)c1nnc(CNc2cc([N+](=O)[O-])ccc2F)o1 ZINC000129843249 377481444 /nfs/dbraw/zinc/48/14/44/377481444.db2.gz FUIOQWWFKJDVMY-UHFFFAOYSA-N 0 0 280.259 2.852 20 5 CFBDRN CCOCCO[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000129960963 377494280 /nfs/dbraw/zinc/49/42/80/377494280.db2.gz SLJNWGYBYXRCFU-AWEZNQCLSA-N 0 0 294.351 2.535 20 5 CFBDRN COc1ccc(NC(=O)C[C@@H](C)SC)c([N+](=O)[O-])c1 ZINC000130106711 377512603 /nfs/dbraw/zinc/51/26/03/377512603.db2.gz VVPWTHSPTAFVOJ-MRVPVSSYSA-N 0 0 284.337 2.684 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Cn1cnc2c1CCCC2 ZINC000130430087 377557101 /nfs/dbraw/zinc/55/71/01/377557101.db2.gz UEGIMLWCNKARLO-UHFFFAOYSA-N 0 0 287.319 2.727 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCS1 ZINC000130477424 377564231 /nfs/dbraw/zinc/56/42/31/377564231.db2.gz NPPVCROADJPLEN-NSHDSACASA-N 0 0 280.349 2.529 20 5 CFBDRN O=C1OCC[C@H]1CCSCc1ccc([N+](=O)[O-])cc1 ZINC000131319633 377694567 /nfs/dbraw/zinc/69/45/67/377694567.db2.gz YRYOFUUYPSFSMY-NSHDSACASA-N 0 0 281.333 2.781 20 5 CFBDRN CC[C@H]1CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000131326618 377696392 /nfs/dbraw/zinc/69/63/92/377696392.db2.gz PHTWOULDNAUZPH-NSHDSACASA-N 0 0 294.376 2.945 20 5 CFBDRN CC1CC(Nc2ncc(Br)cc2[N+](=O)[O-])C1 ZINC000131349742 377697638 /nfs/dbraw/zinc/69/76/38/377697638.db2.gz QJPQLCAPKKLTHK-UHFFFAOYSA-N 0 0 286.129 2.963 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1ncc(Br)cc1[N+](=O)[O-] ZINC000131348567 377697794 /nfs/dbraw/zinc/69/77/94/377697794.db2.gz RUGIZMBSEBPSMC-RNFRBKRXSA-N 0 0 286.129 2.820 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)OC(C)(C)C ZINC000131364820 377700814 /nfs/dbraw/zinc/70/08/14/377700814.db2.gz XVUOAYHMVKSCAO-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN CCC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000131251138 377682146 /nfs/dbraw/zinc/68/21/46/377682146.db2.gz JAGRLZILTBQITN-CQSZACIVSA-N 0 0 264.325 2.596 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000131432735 377711867 /nfs/dbraw/zinc/71/18/67/377711867.db2.gz FKRACVHHNBDVDS-SECBINFHSA-N 0 0 266.272 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccncc1C ZINC000131768137 377757360 /nfs/dbraw/zinc/75/73/60/377757360.db2.gz HMUFAYADDCMYPG-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)Cc1cccnc1 ZINC000132309481 377824277 /nfs/dbraw/zinc/82/42/77/377824277.db2.gz RIKCXGKVBFVCJR-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN CSC[C@@H]1CCCN1c1ccc([N+](=O)[O-])c(C)n1 ZINC000133334944 377921470 /nfs/dbraw/zinc/92/14/70/377921470.db2.gz KYHKYDBSRLHODM-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H](Oc2ccncc2)C1 ZINC000133770930 377955383 /nfs/dbraw/zinc/95/53/83/377955383.db2.gz VXFNDLCEXIVMRE-CQSZACIVSA-N 0 0 299.330 2.956 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000133143674 377908133 /nfs/dbraw/zinc/90/81/33/377908133.db2.gz TXNMEBZIFHUGPW-CMPLNLGQSA-N 0 0 292.335 2.912 20 5 CFBDRN CCC[C@H](O)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000134446346 378016758 /nfs/dbraw/zinc/01/67/58/378016758.db2.gz DAVRFMBEEDHTLK-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CC(C)[C@@H](C)Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000134221782 377993657 /nfs/dbraw/zinc/99/36/57/377993657.db2.gz CJTVZOJNSLZLIV-SECBINFHSA-N 0 0 277.324 2.936 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC3CCOCC3)n2)s1 ZINC000278254904 193885821 /nfs/dbraw/zinc/88/58/21/193885821.db2.gz UFVRHQDKOJJOIC-UHFFFAOYSA-N 0 0 295.320 2.675 20 5 CFBDRN CCOCCCNc1cccc(OCC)c1[N+](=O)[O-] ZINC000134902956 378060805 /nfs/dbraw/zinc/06/08/05/378060805.db2.gz OVQJPZUZJHPSLY-UHFFFAOYSA-N 0 0 268.313 2.832 20 5 CFBDRN CCOc1cccc(NCCCn2ccnc2)c1[N+](=O)[O-] ZINC000134903406 378060981 /nfs/dbraw/zinc/06/09/81/378060981.db2.gz BZZDYGYKMHXTEC-UHFFFAOYSA-N 0 0 290.323 2.692 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)c[nH]2)c1 ZINC000135651628 378153496 /nfs/dbraw/zinc/15/34/96/378153496.db2.gz BFYCNRXHXDUILY-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN C[C@H]([C@H]1Cc2ccccc2O1)N(C)c1ncccc1[N+](=O)[O-] ZINC000135560798 378145264 /nfs/dbraw/zinc/14/52/64/378145264.db2.gz SAOUZUNMVFWYEB-IAQYHMDHSA-N 0 0 299.330 2.818 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCSC2)c([N+](=O)[O-])c1 ZINC000135657640 378155037 /nfs/dbraw/zinc/15/50/37/378155037.db2.gz DKVNDKQTLLVLSC-MRVPVSSYSA-N 0 0 283.309 2.811 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NOC1CCCC1 ZINC000135139576 378089295 /nfs/dbraw/zinc/08/92/95/378089295.db2.gz QOJONQREQVGCTA-MDZDMXLPSA-N 0 0 276.292 2.598 20 5 CFBDRN CCC[C@H](C)[C@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000571768403 383725668 /nfs/dbraw/zinc/72/56/68/383725668.db2.gz WIQCTMABXHFFCU-WPRPVWTQSA-N 0 0 287.747 2.852 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(Cc1ccon1)C1CC1 ZINC000135219793 378099835 /nfs/dbraw/zinc/09/98/35/378099835.db2.gz SFFZSFIRZMDOAT-UHFFFAOYSA-N 0 0 273.292 2.747 20 5 CFBDRN Cc1cnc(NCc2ccc(O)cc2)c([N+](=O)[O-])c1 ZINC000135266618 378107129 /nfs/dbraw/zinc/10/71/29/378107129.db2.gz FHQWBSYXGMZTGX-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN CCOc1cc(N[C@H](C)C[C@H](C)O)ccc1[N+](=O)[O-] ZINC000136366514 378198075 /nfs/dbraw/zinc/19/80/75/378198075.db2.gz NJJVPPNHKYDUKA-ZJUUUORDSA-N 0 0 268.313 2.565 20 5 CFBDRN COc1cc(NCc2c(C)noc2C)c([N+](=O)[O-])cc1F ZINC000136439225 378200495 /nfs/dbraw/zinc/20/04/95/378200495.db2.gz KWIQBOKEEJHNKH-UHFFFAOYSA-N 0 0 295.270 2.959 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000135801676 378164110 /nfs/dbraw/zinc/16/41/10/378164110.db2.gz HYBOXNAGFQHPIC-JGVFFNPUSA-N 0 0 268.700 2.634 20 5 CFBDRN CN(C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C1CCC1 ZINC000136100591 378180662 /nfs/dbraw/zinc/18/06/62/378180662.db2.gz ONCXSOIVLSAVES-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)CCC[C@H]1C ZINC000150840031 378275631 /nfs/dbraw/zinc/27/56/31/378275631.db2.gz SWTLVJPJHPOITB-WDEREUQCSA-N 0 0 291.351 2.949 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC2(CCCC2)CC1 ZINC000150928153 378280824 /nfs/dbraw/zinc/28/08/24/378280824.db2.gz CHDGOXATLZVALR-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)c1ncc[nH]1 ZINC000139773638 378237110 /nfs/dbraw/zinc/23/71/10/378237110.db2.gz WSPKQTAKHOMSCY-VIFPVBQESA-N 0 0 261.285 2.585 20 5 CFBDRN Cc1ccc(C)c(NC(=O)[C@H](C)n2cc([N+](=O)[O-])cn2)c1 ZINC000144753492 378249059 /nfs/dbraw/zinc/24/90/59/378249059.db2.gz SFJDYNHAWJOTFV-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCC(F)F)c1 ZINC000147282642 378252445 /nfs/dbraw/zinc/25/24/45/378252445.db2.gz JKYGDHLRZNFZIF-UHFFFAOYSA-N 0 0 250.632 2.603 20 5 CFBDRN CCCC1(CNC(=O)c2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000151935051 378375803 /nfs/dbraw/zinc/37/58/03/378375803.db2.gz CKVGOJVBFYHWAP-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@@H](O)c1ccsc1 ZINC000153815247 378513555 /nfs/dbraw/zinc/51/35/55/378513555.db2.gz ZMMUYEIJHPXWDJ-SNVBAGLBSA-N 0 0 299.739 2.850 20 5 CFBDRN Cc1cc(NC(=O)NC[C@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000153824670 378515114 /nfs/dbraw/zinc/51/51/14/378515114.db2.gz LZKFGRZSWLGWHS-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN Cc1ccc(CN2CCc3ccc([N+](=O)[O-])cc3C2)cn1 ZINC000153890459 378520666 /nfs/dbraw/zinc/52/06/66/378520666.db2.gz OTVHEALQRBOJEZ-UHFFFAOYSA-N 0 0 283.331 2.857 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CSc1c[nH]nn1 ZINC000154590242 378583383 /nfs/dbraw/zinc/58/33/83/378583383.db2.gz PLJPRSNMTDEQTM-UHFFFAOYSA-N 0 0 270.701 2.659 20 5 CFBDRN CCC1CCN(C(=O)c2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000330389991 378543378 /nfs/dbraw/zinc/54/33/78/378543378.db2.gz FRSNBBMDEBUWSA-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CC1(C)SC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C1=O ZINC000154211583 378549385 /nfs/dbraw/zinc/54/93/85/378549385.db2.gz VAMWHMVUXSPDPK-UHFFFAOYSA-N 0 0 280.305 2.569 20 5 CFBDRN CCCC[C@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000154626688 378584289 /nfs/dbraw/zinc/58/42/89/378584289.db2.gz YCZCAXXAWWUTHQ-ZDUSSCGKSA-N 0 0 294.351 2.725 20 5 CFBDRN CC1(C)C[C@H]1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000154310622 378557333 /nfs/dbraw/zinc/55/73/33/378557333.db2.gz QOGQNESIMZTEIA-NSHDSACASA-N 0 0 260.293 2.530 20 5 CFBDRN CC[C@@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C1CCOCC1 ZINC000330446694 378557471 /nfs/dbraw/zinc/55/74/71/378557471.db2.gz FLRJQMMUTIXLGC-CQSZACIVSA-N 0 0 294.351 2.595 20 5 CFBDRN COc1ccc(COc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000154357984 378560332 /nfs/dbraw/zinc/56/03/32/378560332.db2.gz FAKDATODMUDPGJ-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCC[C@@H]1CCCC[C@@H]1O ZINC000154455264 378567522 /nfs/dbraw/zinc/56/75/22/378567522.db2.gz NFCQRMBICDOIPI-AAEUAGOBSA-N 0 0 279.340 2.733 20 5 CFBDRN CC(C)(C)CCN1C(=O)c2cccc([N+](=O)[O-])c2C1=O ZINC000330498849 378571908 /nfs/dbraw/zinc/57/19/08/378571908.db2.gz DRSMWXOYBVQFIC-UHFFFAOYSA-N 0 0 276.292 2.627 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000330528481 378579184 /nfs/dbraw/zinc/57/91/84/378579184.db2.gz QKXALMZVOFXCSS-GXSJLCMTSA-N 0 0 290.319 2.847 20 5 CFBDRN C[C@]1(NC(=O)Cc2ccc([N+](=O)[O-])cc2)CC=CCC1 ZINC000331309921 378667117 /nfs/dbraw/zinc/66/71/17/378667117.db2.gz BPKSJFRGXIAFHB-HNNXBMFYSA-N 0 0 274.320 2.752 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H](C)C[C@H](C)C1 ZINC000331169353 378644358 /nfs/dbraw/zinc/64/43/58/378644358.db2.gz UVMUWQDMIYOHPX-QWRGUYRKSA-N 0 0 292.335 2.722 20 5 CFBDRN CO[C@@]1(C)CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000332254142 378739853 /nfs/dbraw/zinc/73/98/53/378739853.db2.gz YYYDDPZDSXCNJX-HNNXBMFYSA-N 0 0 294.351 2.691 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCCCCO1 ZINC000332251502 378739970 /nfs/dbraw/zinc/73/99/70/378739970.db2.gz YEZPIMCMBHYZLF-UHFFFAOYSA-N 0 0 284.699 2.806 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1nnc(C(C)C)n1C ZINC000159544699 378715664 /nfs/dbraw/zinc/71/56/64/378715664.db2.gz LMEDJOSXQTTXLQ-UHFFFAOYSA-N 0 0 289.339 2.767 20 5 CFBDRN Cc1cc(C(=O)NCC2(C3CC3)CC2)ccc1[N+](=O)[O-] ZINC000159826590 378731711 /nfs/dbraw/zinc/73/17/11/378731711.db2.gz ZYOMWLRBBGLTIA-UHFFFAOYSA-N 0 0 274.320 2.823 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCc2cncnc2C1 ZINC000332499101 378770670 /nfs/dbraw/zinc/77/06/70/378770670.db2.gz FVIUYUGELJEXKQ-NSHDSACASA-N 0 0 284.319 2.504 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000160650315 378787933 /nfs/dbraw/zinc/78/79/33/378787933.db2.gz LGEXNDBTBDXHRD-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN CC1(C)CCC[C@H]1CNc1ncc([N+](=O)[O-])s1 ZINC000338805735 220255124 /nfs/dbraw/zinc/25/51/24/220255124.db2.gz BFFTYDIZTYAQKF-QMMMGPOBSA-N 0 0 255.343 2.711 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000162828149 378936378 /nfs/dbraw/zinc/93/63/78/378936378.db2.gz JYRVXEMVXMRNPE-TZMCWYRMSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1nnc([C@@H](C)N(C)Cc2ccccc2[N+](=O)[O-])s1 ZINC000278614543 194069200 /nfs/dbraw/zinc/06/92/00/194069200.db2.gz MTXZYAINPPZJJO-SECBINFHSA-N 0 0 292.364 2.948 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2F)CS1 ZINC000335271000 378999365 /nfs/dbraw/zinc/99/93/65/378999365.db2.gz XGYZHPZTXUGURA-JGVFFNPUSA-N 0 0 299.327 2.749 20 5 CFBDRN CCCCCC(C)(C)C(=O)NCCn1cc([N+](=O)[O-])cn1 ZINC000164505937 379017067 /nfs/dbraw/zinc/01/70/67/379017067.db2.gz PEXVYJRXSQZQHV-UHFFFAOYSA-N 0 0 296.371 2.514 20 5 CFBDRN Cc1nn(C[C@@H]2CCC(F)(F)C2)c(C)c1[N+](=O)[O-] ZINC000334279277 379024971 /nfs/dbraw/zinc/02/49/71/379024971.db2.gz QBKUCJZEQIHYAH-SECBINFHSA-N 0 0 259.256 2.844 20 5 CFBDRN CC[C@H](C)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000164267699 379011574 /nfs/dbraw/zinc/01/15/74/379011574.db2.gz BXYIAFCTUNIJOP-JTQLQIEISA-N 0 0 264.325 2.703 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)NC1CCSCC1 ZINC000334289189 379040113 /nfs/dbraw/zinc/04/01/13/379040113.db2.gz AOULCMQLPZPHML-UHFFFAOYSA-N 0 0 299.327 2.751 20 5 CFBDRN COCC(C)(C)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000165078081 379032235 /nfs/dbraw/zinc/03/22/35/379032235.db2.gz FOGOZWZPSSLJOU-UHFFFAOYSA-N 0 0 286.715 2.859 20 5 CFBDRN O=C(NC1CCC(F)(F)CC1)c1ccc([N+](=O)[O-])s1 ZINC000335310580 379059226 /nfs/dbraw/zinc/05/92/26/379059226.db2.gz JXDBKEJNQIEJFU-UHFFFAOYSA-N 0 0 290.291 2.964 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1CC[C@@H](F)C1 ZINC000334291583 379043698 /nfs/dbraw/zinc/04/36/98/379043698.db2.gz MTKLPBMDVGKHLW-SCZZXKLOSA-N 0 0 267.260 2.607 20 5 CFBDRN O=C(CCC(F)(F)F)Nc1ccc([O-])c([N+](=O)[O-])c1 ZINC000166188296 379052404 /nfs/dbraw/zinc/05/24/04/379052404.db2.gz OIVAEARBEWGBCP-UHFFFAOYSA-N 0 0 278.186 2.581 20 5 CFBDRN CCC[C@@H](CC)Nc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000166745439 379062698 /nfs/dbraw/zinc/06/26/98/379062698.db2.gz JEECIHAOSQOTOO-SECBINFHSA-N 0 0 281.312 2.767 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000335315185 379071351 /nfs/dbraw/zinc/07/13/51/379071351.db2.gz BCHOBDVDRNTULP-MNOVXSKESA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000334321506 379089411 /nfs/dbraw/zinc/08/94/11/379089411.db2.gz IDBGFZSWHQEMOM-VHSXEESVSA-N 0 0 281.287 2.915 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000335333559 379109765 /nfs/dbraw/zinc/10/97/65/379109765.db2.gz KGKZJMPBRYQLGS-MRVPVSSYSA-N 0 0 266.322 2.695 20 5 CFBDRN C[C@H](CO)Sc1ccc([N+](=O)[O-])c2cnccc12 ZINC000168747774 379094006 /nfs/dbraw/zinc/09/40/06/379094006.db2.gz JPQHBDJAIARMMG-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)[C@@H]1c1ccccc1 ZINC000334325263 379097796 /nfs/dbraw/zinc/09/77/96/379097796.db2.gz ARPKONGROWQRCI-ABAIWWIYSA-N 0 0 299.330 2.767 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])[C@@H]1C ZINC000334343495 379127881 /nfs/dbraw/zinc/12/78/81/379127881.db2.gz MFRFOPRZPVCHMW-HTQZYQBOSA-N 0 0 268.700 2.729 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c(F)c1 ZINC000334335467 379113882 /nfs/dbraw/zinc/11/38/82/379113882.db2.gz FOIVLFAOCSBLHR-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN COC(C)(C)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000170968514 379200225 /nfs/dbraw/zinc/20/02/25/379200225.db2.gz FTUAGNNNCWQXSM-UHFFFAOYSA-N 0 0 272.688 2.612 20 5 CFBDRN CC1(C)CC[C@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000278840104 194178362 /nfs/dbraw/zinc/17/83/62/194178362.db2.gz VZEDZIOXPOLASQ-LLVKDONJSA-N 0 0 283.255 2.597 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSC[C@@H]2CCCO2)cc1 ZINC000170992096 379208192 /nfs/dbraw/zinc/20/81/92/379208192.db2.gz YRFWNKCIUMLCMC-ZDUSSCGKSA-N 0 0 283.349 2.886 20 5 CFBDRN CCC[C@@H]1CN(C(=O)c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000278897282 194201502 /nfs/dbraw/zinc/20/15/02/194201502.db2.gz ZZVSCWBDFOLOOD-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN CCC[C@@H](CC)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000171202604 379255585 /nfs/dbraw/zinc/25/55/85/379255585.db2.gz HCEUNDSPBOPDBH-GFCCVEGCSA-N 0 0 279.340 2.973 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]2CCCC[C@H]21 ZINC000334415501 379258811 /nfs/dbraw/zinc/25/88/11/379258811.db2.gz PSERSLMGWKNLQU-WCQYABFASA-N 0 0 291.351 2.728 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000278891201 194197734 /nfs/dbraw/zinc/19/77/34/194197734.db2.gz ZKOJLKFPLKWGFZ-LLVKDONJSA-N 0 0 262.309 2.775 20 5 CFBDRN NC(=O)c1ccc(CNc2c(Cl)cccc2[N+](=O)[O-])o1 ZINC000432317763 383735825 /nfs/dbraw/zinc/73/58/25/383735825.db2.gz LMTUQENPBGZKNW-UHFFFAOYSA-N 0 0 295.682 2.552 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2c(C)cccc2[N+](=O)[O-])C1 ZINC000278891206 194198850 /nfs/dbraw/zinc/19/88/50/194198850.db2.gz ZKOJLKFPLKWGFZ-NSHDSACASA-N 0 0 262.309 2.775 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@H]2CCC[C@@H]21 ZINC000335427664 379280135 /nfs/dbraw/zinc/28/01/35/379280135.db2.gz LWTNJWDLMRFSEP-RNCFNFMXSA-N 0 0 278.283 2.749 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@@H]1CCCC(F)(F)C1 ZINC000334429233 379283170 /nfs/dbraw/zinc/28/31/70/379283170.db2.gz YSUQAGHOBILKDR-SECBINFHSA-N 0 0 272.251 2.582 20 5 CFBDRN CCCN(C(=O)c1cc(OC)ccc1[N+](=O)[O-])C1CC1 ZINC000171482223 379320277 /nfs/dbraw/zinc/32/02/77/379320277.db2.gz MEIMYHGIPNTCMX-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1CCCOC1 ZINC000171550274 379337319 /nfs/dbraw/zinc/33/73/19/379337319.db2.gz FLCNQOYHHSFZBQ-QMMMGPOBSA-N 0 0 299.714 2.549 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CSc1ncccn1 ZINC000171419988 379304089 /nfs/dbraw/zinc/30/40/89/379304089.db2.gz LTGPYOMNCCEVPV-UHFFFAOYSA-N 0 0 265.269 2.816 20 5 CFBDRN CN(Cc1cnccn1)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432314403 383736091 /nfs/dbraw/zinc/73/60/91/383736091.db2.gz OAGSMTJCFJLMOI-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000334504834 379411069 /nfs/dbraw/zinc/41/10/69/379411069.db2.gz BDEXIVZLWWYUTO-CBAPKCEASA-N 0 0 254.311 2.575 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000334504833 379411176 /nfs/dbraw/zinc/41/11/76/379411176.db2.gz BDEXIVZLWWYUTO-APPZFPTMSA-N 0 0 254.311 2.575 20 5 CFBDRN CNC(=O)[C@@H]1CCC[C@H]1Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000432329979 383737591 /nfs/dbraw/zinc/73/75/91/383737591.db2.gz WDCPVJXOHNZOCW-PSASIEDQSA-N 0 0 297.742 2.575 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000172372191 379551818 /nfs/dbraw/zinc/55/18/18/379551818.db2.gz OJAICRIQCRLNFF-SNVBAGLBSA-N 0 0 291.307 2.687 20 5 CFBDRN C[C@@H]1CSCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000172334295 379545189 /nfs/dbraw/zinc/54/51/89/379545189.db2.gz FRWRAIFTBWSZIR-SECBINFHSA-N 0 0 281.337 2.564 20 5 CFBDRN C[C@@H](C(=O)OC(C)(C)C)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000172526410 379590332 /nfs/dbraw/zinc/59/03/32/379590332.db2.gz BSHDGSCFSIYJHG-NSHDSACASA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1c(C(=O)NC[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000172444312 379570472 /nfs/dbraw/zinc/57/04/72/379570472.db2.gz IBVJFOJGHLKSQR-JTQLQIEISA-N 0 0 264.325 2.925 20 5 CFBDRN CCn1nc(C)c(NC(=O)c2cccc([N+](=O)[O-])c2)c1C ZINC000172812185 379651665 /nfs/dbraw/zinc/65/16/65/379651665.db2.gz QEHKYOLFVGIMNK-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCS[C@H](C)C1 ZINC000335614947 379607086 /nfs/dbraw/zinc/60/70/86/379607086.db2.gz UFSPAZCARQLBEX-LLVKDONJSA-N 0 0 294.376 2.789 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000172920746 379669872 /nfs/dbraw/zinc/66/98/72/379669872.db2.gz TXDDROOYEGREBG-TXEJJXNPSA-N 0 0 276.336 2.642 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000335688444 379724324 /nfs/dbraw/zinc/72/43/24/379724324.db2.gz PFTJTBBERMKEHV-AWEZNQCLSA-N 0 0 262.309 2.857 20 5 CFBDRN C[C@H](CO)CSCCCOc1ccc([N+](=O)[O-])cc1 ZINC000173314168 379724500 /nfs/dbraw/zinc/72/45/00/379724500.db2.gz VZWLAGJPVISYPP-LLVKDONJSA-N 0 0 285.365 2.725 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC(C2CCCC2)C1 ZINC000335690807 379728349 /nfs/dbraw/zinc/72/83/49/379728349.db2.gz SGQBAYHETZMDGJ-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@@H]1C1CC1 ZINC000334667219 379735490 /nfs/dbraw/zinc/73/54/90/379735490.db2.gz BZUXSSBESRBKKX-GFCCVEGCSA-N 0 0 285.303 2.701 20 5 CFBDRN CC[C@@H](C)[C@@H](O)C[NH2+][C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000173410249 379735965 /nfs/dbraw/zinc/73/59/65/379735965.db2.gz RMIAAHLDSJYDAB-SUNKGSAMSA-N 0 0 266.341 2.652 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H]2C2CC2)c1 ZINC000334671010 379742551 /nfs/dbraw/zinc/74/25/51/379742551.db2.gz SEWUBLCYTVXCCQ-LBPRGKRZSA-N 0 0 260.293 2.528 20 5 CFBDRN CC[C@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000173211007 379711731 /nfs/dbraw/zinc/71/17/31/379711731.db2.gz SFFOBIGIJVMJIA-HNNXBMFYSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@@H](NC(=O)CC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000173625889 379758978 /nfs/dbraw/zinc/75/89/78/379758978.db2.gz VVMFVNANQWCFMC-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000335758485 379842659 /nfs/dbraw/zinc/84/26/59/379842659.db2.gz WACHDPOQQQBFMD-ZANVPECISA-N 0 0 280.299 2.995 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)/C=C/c3ccccc3[N+](=O)[O-])C[C@@H]21 ZINC000174101819 379827512 /nfs/dbraw/zinc/82/75/12/379827512.db2.gz ZJUFFQFQWBWLFY-LZGDYBNZSA-N 0 0 286.331 2.722 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@]2(CCSC2)C1 ZINC000334752165 379882802 /nfs/dbraw/zinc/88/28/02/379882802.db2.gz KHAOPRDQAOFEPQ-ZDUSSCGKSA-N 0 0 296.348 2.547 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000334753986 379888225 /nfs/dbraw/zinc/88/82/25/379888225.db2.gz HBZZANCAELHFLT-UWVGGRQHSA-N 0 0 287.319 2.947 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC=C(c2ccco2)C1 ZINC000335815744 379936522 /nfs/dbraw/zinc/93/65/22/379936522.db2.gz YMGZJXJBGFFICM-UHFFFAOYSA-N 0 0 288.259 2.710 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000174786282 379939240 /nfs/dbraw/zinc/93/92/40/379939240.db2.gz CQVSTFAYYNSFNE-LBPRGKRZSA-N 0 0 280.349 2.562 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)CCS1 ZINC000174790103 379941272 /nfs/dbraw/zinc/94/12/72/379941272.db2.gz GXRKACSYLXCSKG-LBPRGKRZSA-N 0 0 294.376 2.871 20 5 CFBDRN COc1ccc(-c2noc(-c3ccccc3[N+](=O)[O-])n2)cn1 ZINC000174840460 379949745 /nfs/dbraw/zinc/94/97/45/379949745.db2.gz GHBWKZDSVNHIDY-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN COc1cc(-c2noc(-c3ccccc3[N+](=O)[O-])n2)ccn1 ZINC000174851791 379952123 /nfs/dbraw/zinc/95/21/23/379952123.db2.gz MKELAMYJHPTZPP-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN Cc1ccc2c(c1)N(CCn1cc([N+](=O)[O-])cn1)CCC2 ZINC000174857133 379952130 /nfs/dbraw/zinc/95/21/30/379952130.db2.gz BNZSOGXNDPQQIR-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN CCC[C@]1(C)CCC[N@H+](CCn2cc([N+](=O)[O-])cn2)C1 ZINC000174882159 379955754 /nfs/dbraw/zinc/95/57/54/379955754.db2.gz VHUBQDZJHVISKI-CQSZACIVSA-N 0 0 280.372 2.694 20 5 CFBDRN CCc1ccc(C(=O)N2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000335853092 379996888 /nfs/dbraw/zinc/99/68/88/379996888.db2.gz VVPZNPJUCOFYPY-UHFFFAOYSA-N 0 0 284.262 2.638 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC2(C1)CCCC2 ZINC000335199606 379997125 /nfs/dbraw/zinc/99/71/25/379997125.db2.gz JFJQRRXOOUYODJ-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN CC1CC(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000335860066 380008144 /nfs/dbraw/zinc/00/81/44/380008144.db2.gz FNGZYQBVWNWOCK-UHFFFAOYSA-N 0 0 273.292 2.604 20 5 CFBDRN CC(=O)[C@H](C)S[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000175198662 380019410 /nfs/dbraw/zinc/01/94/10/380019410.db2.gz OZSSOCMWMMEHHP-VHSXEESVSA-N 0 0 296.348 2.633 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000335881158 380043961 /nfs/dbraw/zinc/04/39/61/380043961.db2.gz IVBLUXKNRRUCQZ-YUMQZZPRSA-N 0 0 268.700 2.871 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000335881156 380044190 /nfs/dbraw/zinc/04/41/90/380044190.db2.gz IVBLUXKNRRUCQZ-HTQZYQBOSA-N 0 0 268.700 2.871 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2ccccc2[N+](=O)[O-])CC1(F)F ZINC000335907776 380088852 /nfs/dbraw/zinc/08/88/52/380088852.db2.gz HBHXKYTZUCHLLP-JTQLQIEISA-N 0 0 298.289 2.641 20 5 CFBDRN Cc1cc(C)cc(N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000335936785 380128025 /nfs/dbraw/zinc/12/80/25/380128025.db2.gz IUCAIQZHUDHYSW-UHFFFAOYSA-N 0 0 273.292 2.816 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@H]1CC[C@@H](F)C1 ZINC000335964133 380168374 /nfs/dbraw/zinc/16/83/74/380168374.db2.gz RVWUZYIBNMQVNJ-APPZFPTMSA-N 0 0 285.250 2.746 20 5 CFBDRN C[C@H](NC(=O)C(F)(F)C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000176055457 380173424 /nfs/dbraw/zinc/17/34/24/380173424.db2.gz UTICAEKYVDHGJG-LURJTMIESA-N 0 0 294.204 2.672 20 5 CFBDRN C[C@@H](NC(=O)C(F)(F)C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000176055469 380173841 /nfs/dbraw/zinc/17/38/41/380173841.db2.gz UTICAEKYVDHGJG-ZCFIWIBFSA-N 0 0 294.204 2.672 20 5 CFBDRN COc1ccnc(CN(C)Cc2ccccc2[N+](=O)[O-])c1 ZINC000264466022 383743703 /nfs/dbraw/zinc/74/37/03/383743703.db2.gz WBBPBFNDMDGGHU-UHFFFAOYSA-N 0 0 287.319 2.630 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC1CC1 ZINC000176217566 380197443 /nfs/dbraw/zinc/19/74/43/380197443.db2.gz ZZPHNPBWWXUJNS-UHFFFAOYSA-N 0 0 263.297 2.743 20 5 CFBDRN Cc1c(NC(=O)Nc2cc([N+](=O)[O-])ccc2C)cnn1C ZINC000176257327 380203133 /nfs/dbraw/zinc/20/31/33/380203133.db2.gz GRETXBXBFDAFHS-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN Cc1c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cnn1C ZINC000176323734 380214971 /nfs/dbraw/zinc/21/49/71/380214971.db2.gz XJSIOIQPBUUTHK-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])s2)sn1 ZINC000176412330 380229222 /nfs/dbraw/zinc/22/92/22/380229222.db2.gz RIBHRISOJIBENC-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCSCCn2cccn2)cc1 ZINC000176851684 380279638 /nfs/dbraw/zinc/27/96/38/380279638.db2.gz UUUUSOZMGGZHOE-UHFFFAOYSA-N 0 0 293.348 2.604 20 5 CFBDRN CC[C@]1(C)CCCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000176958503 380286963 /nfs/dbraw/zinc/28/69/63/380286963.db2.gz NZNZIPDOMXURBA-OAHLLOKOSA-N 0 0 291.351 2.829 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2CCCSC2)cccc1[N+](=O)[O-] ZINC000336531468 380422872 /nfs/dbraw/zinc/42/28/72/380422872.db2.gz JWXDUXPWGKCTKR-SNVBAGLBSA-N 0 0 280.349 2.985 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2ccc3c(c2)CCO3)n1 ZINC000178225242 380423841 /nfs/dbraw/zinc/42/38/41/380423841.db2.gz CHCBHCNDYKXZII-UHFFFAOYSA-N 0 0 299.330 2.888 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@@H]1CCCSC1 ZINC000336534291 380427494 /nfs/dbraw/zinc/42/74/94/380427494.db2.gz GALQURDGDMAIEU-SECBINFHSA-N 0 0 299.327 2.751 20 5 CFBDRN O=C(N[C@@H]1CC12CCCC2)c1csc([N+](=O)[O-])c1 ZINC000336543024 380442201 /nfs/dbraw/zinc/44/22/01/380442201.db2.gz PWJVGIHLQOEINW-SECBINFHSA-N 0 0 266.322 2.719 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000178159629 380414850 /nfs/dbraw/zinc/41/48/50/380414850.db2.gz PNPXWLXCWDHDQZ-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccc(CNc2nc(C)ccc2[N+](=O)[O-])cc1O ZINC000178172561 380418590 /nfs/dbraw/zinc/41/85/90/380418590.db2.gz YLBNZBRDAQJURS-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN CC1(C)CN(C(=O)c2ccccc2[N+](=O)[O-])[C@@H]1C1CC1 ZINC000336570411 380483098 /nfs/dbraw/zinc/48/30/98/380483098.db2.gz UEFGMESVFRNHDM-CYBMUJFWSA-N 0 0 274.320 2.855 20 5 CFBDRN Cc1nscc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000179494615 380548597 /nfs/dbraw/zinc/54/85/97/380548597.db2.gz BJIMWHVXJMDHKY-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN CCc1nn(C)c(NCc2cc(F)cc(F)c2)c1[N+](=O)[O-] ZINC000179567552 380559292 /nfs/dbraw/zinc/55/92/92/380559292.db2.gz SGDCCDKMPNNNQR-UHFFFAOYSA-N 0 0 296.277 2.781 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2ncc(Cl)cc2Cl)c1 ZINC000179756802 380578534 /nfs/dbraw/zinc/57/85/34/380578534.db2.gz LXEJJOGTPZYDOE-UHFFFAOYSA-N 0 0 273.079 2.541 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CC[C@H](C)C1 ZINC000336793012 380639802 /nfs/dbraw/zinc/63/98/02/380639802.db2.gz VBULLLLXJZBXDT-WPRPVWTQSA-N 0 0 252.318 2.720 20 5 CFBDRN C[C@H](O)CCCOc1c(Cl)cccc1[N+](=O)[O-] ZINC000336669571 380589148 /nfs/dbraw/zinc/58/91/48/380589148.db2.gz ANLTYVDPOFFSON-QMMMGPOBSA-N 0 0 259.689 2.788 20 5 CFBDRN CC(C)SCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336810579 380670683 /nfs/dbraw/zinc/67/06/83/380670683.db2.gz INIBDYGFVGFVEM-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CCC[C@H](C)C2)c1=O ZINC000180839302 380704795 /nfs/dbraw/zinc/70/47/95/380704795.db2.gz KPXUXTGDKVQEBD-JQWIXIFHSA-N 0 0 264.325 2.891 20 5 CFBDRN NC(=O)c1ccc(N[C@H]2CC23CCCCC3)c([N+](=O)[O-])c1 ZINC000181266911 380766849 /nfs/dbraw/zinc/76/68/49/380766849.db2.gz UJNOTXVGKOOGJE-ZDUSSCGKSA-N 0 0 289.335 2.828 20 5 CFBDRN CCC[C@@H](C)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159712225 322327045 /nfs/dbraw/zinc/32/70/45/322327045.db2.gz YVGPHPZQQGMFAN-NXEZZACHSA-N 0 0 297.380 2.775 20 5 CFBDRN CC(=O)c1ccc(N(C)Cc2ccccc2[N+](=O)[O-])nc1 ZINC000180973394 380719974 /nfs/dbraw/zinc/71/99/74/380719974.db2.gz OZXKTUKJARQWRV-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN CC[C@H](CSC)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000180975342 380720163 /nfs/dbraw/zinc/72/01/63/380720163.db2.gz CFSCFKZHRDTNLN-GFCCVEGCSA-N 0 0 296.392 2.737 20 5 CFBDRN COC[C@H](Nc1cc(C)ccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000279167603 194314307 /nfs/dbraw/zinc/31/43/07/194314307.db2.gz ULTQGBVNTADGSS-JSGCOSHPSA-N 0 0 280.324 2.509 20 5 CFBDRN COC[C@H](Nc1cc(C)ccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000279167601 194314458 /nfs/dbraw/zinc/31/44/58/194314458.db2.gz ULTQGBVNTADGSS-GXTWGEPZSA-N 0 0 280.324 2.509 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC12CCCCC2 ZINC000181307566 380773577 /nfs/dbraw/zinc/77/35/77/380773577.db2.gz KMBIRDXLHLYZKB-GFCCVEGCSA-N 0 0 289.335 2.630 20 5 CFBDRN C[C@@H](CNc1c(F)cccc1[N+](=O)[O-])C[C@H](C)O ZINC000287330610 380806461 /nfs/dbraw/zinc/80/64/61/380806461.db2.gz OIPCFGUPCXPVOP-BDAKNGLRSA-N 0 0 256.277 2.553 20 5 CFBDRN CS[C@H](C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295358450 380807441 /nfs/dbraw/zinc/80/74/41/380807441.db2.gz NTZUAKNAAHUOIS-DTWKUNHWSA-N 0 0 268.338 2.524 20 5 CFBDRN CCC(CC)Nc1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000341171343 380814354 /nfs/dbraw/zinc/81/43/54/380814354.db2.gz PRXNUQNFZCYTLY-UHFFFAOYSA-N 0 0 281.312 2.685 20 5 CFBDRN CS[C@@H](C)CCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000358472351 380867401 /nfs/dbraw/zinc/86/74/01/380867401.db2.gz KPEQLONSNRIALD-JTQLQIEISA-N 0 0 282.365 2.743 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1OC[C@H]2CCC[C@H]12 ZINC000367987663 380876216 /nfs/dbraw/zinc/87/62/16/380876216.db2.gz OOTVINFWDXIGSH-SCDSUCTJSA-N 0 0 291.303 2.624 20 5 CFBDRN CC(C)NC(=O)c1ccc(NC2CCC2)c([N+](=O)[O-])c1 ZINC000264523679 383750398 /nfs/dbraw/zinc/75/03/98/383750398.db2.gz MVCHXDASVMXENJ-UHFFFAOYSA-N 0 0 277.324 2.697 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@@H]2CCOC2)c(Cl)c1 ZINC000401987523 380876087 /nfs/dbraw/zinc/87/60/87/380876087.db2.gz FJVPDUJYAYMUNH-SECBINFHSA-N 0 0 285.731 2.872 20 5 CFBDRN CC(C)c1ccccc1NC(=O)Cn1nccc1[N+](=O)[O-] ZINC000341215831 380835414 /nfs/dbraw/zinc/83/54/14/380835414.db2.gz RJKPDOSMMWGHFN-UHFFFAOYSA-N 0 0 288.307 2.553 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCOc1ccc(F)cc1 ZINC000358429456 380842011 /nfs/dbraw/zinc/84/20/11/380842011.db2.gz SEYWTCDGUNDQMF-UHFFFAOYSA-N 0 0 279.271 2.708 20 5 CFBDRN CC(C)(C)[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000279223613 194339682 /nfs/dbraw/zinc/33/96/82/194339682.db2.gz FWJNTUGRDNSMJN-JTQLQIEISA-N 0 0 298.364 2.543 20 5 CFBDRN CC(C)(C)CC[C@@H](CO)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000358447583 380852882 /nfs/dbraw/zinc/85/28/82/380852882.db2.gz PRJLQHXTIMXBSN-NSHDSACASA-N 0 0 294.351 2.512 20 5 CFBDRN CCc1nn(C)c(N2CC(C3CCCC3)C2)c1[N+](=O)[O-] ZINC000367936381 380859560 /nfs/dbraw/zinc/85/95/60/380859560.db2.gz WQXOGAXVJDMKHE-UHFFFAOYSA-N 0 0 278.356 2.517 20 5 CFBDRN CCc1nn(C)c(N2CC=C(c3ccccc3)C2)c1[N+](=O)[O-] ZINC000367935506 380859962 /nfs/dbraw/zinc/85/99/62/380859962.db2.gz OWWNIVHULDDRAR-UHFFFAOYSA-N 0 0 298.346 2.794 20 5 CFBDRN O=C([C@H]1Cc2ccccc21)N1CCc2c1cccc2[N+](=O)[O-] ZINC000358562794 380928851 /nfs/dbraw/zinc/92/88/51/380928851.db2.gz DIEXAKOQISWSMU-AWEZNQCLSA-N 0 0 294.310 2.824 20 5 CFBDRN Cc1c(NC(=O)Cc2cccc(O)c2)cccc1[N+](=O)[O-] ZINC000181769999 380891409 /nfs/dbraw/zinc/89/14/09/380891409.db2.gz FXJNLRJQRYPXDR-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1nn(Cc2cc(-c3ccccc3)on2)cc1[N+](=O)[O-] ZINC000181813516 380902385 /nfs/dbraw/zinc/90/23/85/380902385.db2.gz NFSAXHPQNOHSDQ-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN Cc1nn([C@H](C)C(=O)Nc2ccccc2C)cc1[N+](=O)[O-] ZINC000181810838 380902944 /nfs/dbraw/zinc/90/29/44/380902944.db2.gz JRHYTRGODMVKOW-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC([C@H]3CCCO3)CC2)c(F)c1 ZINC000408471201 380930898 /nfs/dbraw/zinc/93/08/98/380930898.db2.gz SCOSLZUQZDZULG-CYBMUJFWSA-N 0 0 295.314 2.524 20 5 CFBDRN COc1cccc2c1CCN(c1nc(C)ccc1[N+](=O)[O-])C2 ZINC000181823835 380906026 /nfs/dbraw/zinc/90/60/26/380906026.db2.gz OSRDFJHNIVWLDG-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN CC[C@H](N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000181831605 380908013 /nfs/dbraw/zinc/90/80/13/380908013.db2.gz DMVULZOYOYXFKJ-PWSUYJOCSA-N 0 0 280.324 2.505 20 5 CFBDRN Cc1cnc(OCC[C@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000287694225 380908893 /nfs/dbraw/zinc/90/88/93/380908893.db2.gz ZQIJXAOCWLAOPJ-AWEZNQCLSA-N 0 0 288.303 2.801 20 5 CFBDRN O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1C[C@@H]2CCC[C@H]2C1 ZINC000408025973 380911533 /nfs/dbraw/zinc/91/15/33/380911533.db2.gz UZQPJFOKTWZIEW-QWRGUYRKSA-N 0 0 299.330 2.948 20 5 CFBDRN CC(=O)c1ccc(OCc2cncc(F)c2)c([N+](=O)[O-])c1 ZINC000341298199 380914705 /nfs/dbraw/zinc/91/47/05/380914705.db2.gz YSOXKEZDEGMMGB-UHFFFAOYSA-N 0 0 290.250 2.911 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)N[C@@H](C)CF)cc1[N+](=O)[O-] ZINC000287715095 380917450 /nfs/dbraw/zinc/91/74/50/380917450.db2.gz RFBNDCHPNAWDER-UWVGGRQHSA-N 0 0 283.303 2.621 20 5 CFBDRN CCCCCCNC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000279250883 194352583 /nfs/dbraw/zinc/35/25/83/194352583.db2.gz RTDQXQDNROJABO-UHFFFAOYSA-N 0 0 283.303 2.626 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@H]3CCC[C@@H]32)nc2sccn21 ZINC000408361002 380922110 /nfs/dbraw/zinc/92/21/10/380922110.db2.gz BHODBZWAKPLVGR-BDAKNGLRSA-N 0 0 278.337 2.683 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCSC1)c1ccccc1[N+](=O)[O-] ZINC000341307610 380922738 /nfs/dbraw/zinc/92/27/38/380922738.db2.gz RZWUZPKLEQHJLM-VHSXEESVSA-N 0 0 280.349 2.525 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCSC[C@H](C)C1 ZINC000287969855 380985189 /nfs/dbraw/zinc/98/51/89/380985189.db2.gz ZPFMWKJMGFTBDJ-SNVBAGLBSA-N 0 0 294.376 2.987 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@](C)(F)C1 ZINC000295592216 380963103 /nfs/dbraw/zinc/96/31/03/380963103.db2.gz OHHBQSRZCPKYCG-CQSZACIVSA-N 0 0 280.299 2.867 20 5 CFBDRN C[C@@H]1CN(c2ncnc3sc([N+](=O)[O-])cc32)CCS1 ZINC000287920881 380971681 /nfs/dbraw/zinc/97/16/81/380971681.db2.gz OXHKJDYAOJUZIR-SSDOTTSWSA-N 0 0 296.377 2.541 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@](C)(O)C(F)(F)F ZINC000358632963 380980031 /nfs/dbraw/zinc/98/00/31/380980031.db2.gz NFLRENBDPOIRMK-JTQLQIEISA-N 0 0 279.214 2.595 20 5 CFBDRN O=[N+]([O-])c1cccnc1N(CC(F)F)CC1CCC1 ZINC000341333996 380941400 /nfs/dbraw/zinc/94/14/00/380941400.db2.gz GTHSSBOKSDMMCU-UHFFFAOYSA-N 0 0 271.267 2.861 20 5 CFBDRN COc1ccncc1COc1c(F)cccc1[N+](=O)[O-] ZINC000341336387 380944577 /nfs/dbraw/zinc/94/45/77/380944577.db2.gz HNYWJMIAKGLMDZ-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCC[C@H](O)C3)n2)s1 ZINC000347112040 380946838 /nfs/dbraw/zinc/94/68/38/380946838.db2.gz WCOBGIJTHOEHHF-YUMQZZPRSA-N 0 0 295.320 2.725 20 5 CFBDRN CC[C@H](NCc1cocn1)c1cccc([N+](=O)[O-])c1 ZINC000182077058 380984705 /nfs/dbraw/zinc/98/47/05/380984705.db2.gz ASEADEHPWYUEIF-ZDUSSCGKSA-N 0 0 261.281 2.824 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC([C@H]3CCOC3)C2)c(Cl)c1 ZINC000368441662 381025134 /nfs/dbraw/zinc/02/51/34/381025134.db2.gz LXLQBJYFQZGYAT-NSHDSACASA-N 0 0 296.754 2.717 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c(C)c(C)c1O ZINC000358712449 381027373 /nfs/dbraw/zinc/02/73/73/381027373.db2.gz KWBZVGFRRQRMKX-UHFFFAOYSA-N 0 0 289.291 2.806 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C1 ZINC000279293080 194372699 /nfs/dbraw/zinc/37/26/99/194372699.db2.gz YKUUOOZCLSKRAS-SECBINFHSA-N 0 0 295.314 2.578 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCC2=CCCOC2)c1 ZINC000295644401 380993334 /nfs/dbraw/zinc/99/33/34/380993334.db2.gz FDLLOCDXPOVZNY-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN C[C@H](CF)NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000288019125 380993725 /nfs/dbraw/zinc/99/37/25/380993725.db2.gz JVTYPARGKNDJBX-SSDOTTSWSA-N 0 0 282.296 2.897 20 5 CFBDRN CC(C)(C)OCCNc1ccc([N+](=O)[O-])cc1F ZINC000308536035 270288748 /nfs/dbraw/zinc/28/87/48/270288748.db2.gz ZICIMIUBKYHIQL-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN COc1cc(C(=O)N2CCC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000295659460 381002343 /nfs/dbraw/zinc/00/23/43/381002343.db2.gz VUTDEFRTGUAEJB-CQSZACIVSA-N 0 0 296.298 2.568 20 5 CFBDRN CC(F)(F)CCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000295668091 381005805 /nfs/dbraw/zinc/00/58/05/381005805.db2.gz WRWINRDTHJHVME-UHFFFAOYSA-N 0 0 276.214 2.509 20 5 CFBDRN C[C@@H](O)[C@H]1CCCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182155830 381006542 /nfs/dbraw/zinc/00/65/42/381006542.db2.gz HOZPQYNEONUUHQ-QMTHXVAHSA-N 0 0 298.770 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cncc(F)c2)c(F)c1 ZINC000341422310 381008241 /nfs/dbraw/zinc/00/82/41/381008241.db2.gz KYHNSFZBTNQNSQ-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN Cc1nc(-c2noc(-c3cccc([N+](=O)[O-])c3)n2)co1 ZINC000347279283 381046381 /nfs/dbraw/zinc/04/63/81/381046381.db2.gz CMMDQBYBIWMNAV-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1cccc(CCCn2cccc([N+](=O)[O-])c2=O)c1 ZINC000182322386 381061346 /nfs/dbraw/zinc/06/13/46/381061346.db2.gz KEQZQYIGPDFPOP-UHFFFAOYSA-N 0 0 272.304 2.698 20 5 CFBDRN Cc1c(CC(=O)N2CCC(CF)CC2)cccc1[N+](=O)[O-] ZINC000295806243 381087065 /nfs/dbraw/zinc/08/70/65/381087065.db2.gz SGXXDMHPHAFGDH-UHFFFAOYSA-N 0 0 294.326 2.654 20 5 CFBDRN CC(C)CONC(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000289657162 381088226 /nfs/dbraw/zinc/08/82/26/381088226.db2.gz LZAIOYLYZBGURS-UHFFFAOYSA-N 0 0 287.703 2.957 20 5 CFBDRN COCCCCC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182447825 381091265 /nfs/dbraw/zinc/09/12/65/381091265.db2.gz AEPBRICSZQUNLW-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN CC1(C)OCC[C@@H]1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000295819121 381097246 /nfs/dbraw/zinc/09/72/46/381097246.db2.gz HNVUNBJNRMPWAI-NSHDSACASA-N 0 0 298.726 2.546 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OC[C@@H](O)CC(F)(F)F ZINC000295841410 381109312 /nfs/dbraw/zinc/10/93/12/381109312.db2.gz DCJVCLKSXKSOFR-LURJTMIESA-N 0 0 299.632 2.940 20 5 CFBDRN COc1ncccc1COc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000358842096 381116574 /nfs/dbraw/zinc/11/65/74/381116574.db2.gz PKQNEHZIYTYDQQ-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN CCn1ccnc1CN[C@H](C)c1cccc([N+](=O)[O-])c1C ZINC000295854000 381118607 /nfs/dbraw/zinc/11/86/07/381118607.db2.gz BCTJRHOXQMOBBP-GFCCVEGCSA-N 0 0 288.351 2.970 20 5 CFBDRN CC1(C)C[C@H](O)CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000295889502 381138632 /nfs/dbraw/zinc/13/86/32/381138632.db2.gz DNOBZXZNRHQSEG-LLVKDONJSA-N 0 0 264.325 2.582 20 5 CFBDRN Cc1ccc(CC(=O)NCCc2ccccc2[N+](=O)[O-])cc1 ZINC000358894560 381143660 /nfs/dbraw/zinc/14/36/60/381143660.db2.gz UUIMGNPLUNDCMX-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1cccc(F)c1 ZINC000358910135 381148442 /nfs/dbraw/zinc/14/84/42/381148442.db2.gz STTNFVRNIDCHFJ-UHFFFAOYSA-N 0 0 288.278 2.706 20 5 CFBDRN Cc1noc(C)c1CN1CCc2ccc([N+](=O)[O-])cc21 ZINC000182746531 381148863 /nfs/dbraw/zinc/14/88/63/381148863.db2.gz JCWDSFKNGSUYOY-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)OC ZINC000295909665 381149977 /nfs/dbraw/zinc/14/99/77/381149977.db2.gz ZPWHYCSTXZVLMF-QMTHXVAHSA-N 0 0 294.351 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(C[C@@H](O)Cc1ccccc1)CC2 ZINC000182794379 381158390 /nfs/dbraw/zinc/15/83/90/381158390.db2.gz YJUFUYMMAXZNMM-INIZCTEOSA-N 0 0 298.342 2.561 20 5 CFBDRN CC(C)CCCNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000347402988 381127297 /nfs/dbraw/zinc/12/72/97/381127297.db2.gz ICSDCJQXTNRVTN-UHFFFAOYSA-N 0 0 274.324 2.808 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncnc3cccc([N+](=O)[O-])c32)CCO1 ZINC000347407182 381129359 /nfs/dbraw/zinc/12/93/59/381129359.db2.gz LFAWRUNTBZUMNZ-NXEZZACHSA-N 0 0 288.307 2.517 20 5 CFBDRN O=C(NC[C@@H]1CCCCC1(F)F)c1ccc([N+](=O)[O-])o1 ZINC000295942921 381171788 /nfs/dbraw/zinc/17/17/88/381171788.db2.gz GDYLMFCVFDLSPS-QMMMGPOBSA-N 0 0 288.250 2.743 20 5 CFBDRN CCn1cc([C@@H](C)Nc2ccc([N+](=O)[O-])c(OC)c2)cn1 ZINC000295949833 381175066 /nfs/dbraw/zinc/17/50/66/381175066.db2.gz INAMWIANLAJCKN-SNVBAGLBSA-N 0 0 290.323 2.993 20 5 CFBDRN Cc1ccc(C(=O)NCCc2ccccc2[N+](=O)[O-])nc1C ZINC000412145048 381181759 /nfs/dbraw/zinc/18/17/59/381181759.db2.gz MMEVVOGPCAYNDA-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000347496513 381187313 /nfs/dbraw/zinc/18/73/13/381187313.db2.gz NPTVNILDLUZTNZ-LLVKDONJSA-N 0 0 276.336 2.832 20 5 CFBDRN COc1ccc(C(=O)N[C@H](C)CCC2CC2)cc1[N+](=O)[O-] ZINC000347496846 381188071 /nfs/dbraw/zinc/18/80/71/381188071.db2.gz OLQLQXHLDCHTTQ-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(CF)CC1 ZINC000295995910 381202785 /nfs/dbraw/zinc/20/27/85/381202785.db2.gz RGWASCVMVBIZEN-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000354325314 381203801 /nfs/dbraw/zinc/20/38/01/381203801.db2.gz RUQCKZDMGWDWCC-VXGBXAGGSA-N 0 0 279.340 2.630 20 5 CFBDRN CC[C@@H]1CCN1C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000347605547 381251371 /nfs/dbraw/zinc/25/13/71/381251371.db2.gz AZVQHBDZDMJBOG-VHSXEESVSA-N 0 0 280.299 2.848 20 5 CFBDRN CCc1ccc(C(=O)NC(C)(C)CF)cc1[N+](=O)[O-] ZINC000354422876 381256308 /nfs/dbraw/zinc/25/63/08/381256308.db2.gz WAMRLBRMECQWPO-UHFFFAOYSA-N 0 0 268.288 2.635 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@@H+]1CC[C@@H]2OCCC[C@@H]2C1 ZINC000183356082 381260202 /nfs/dbraw/zinc/26/02/02/381260202.db2.gz OUGLIDLDEJXZGM-ZBFHGGJFSA-N 0 0 290.363 2.904 20 5 CFBDRN CC(C)Oc1cc(C(=O)N2C[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000412361469 381270692 /nfs/dbraw/zinc/27/06/92/381270692.db2.gz LDAZJSRFYNVNBE-QWRGUYRKSA-N 0 0 292.335 2.862 20 5 CFBDRN C[C@]1(F)CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000296115136 381261395 /nfs/dbraw/zinc/26/13/95/381261395.db2.gz SPRPIEMWNRTMSE-NSHDSACASA-N 0 0 258.318 2.980 20 5 CFBDRN C[C@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(F)(F)F ZINC000290022362 381265480 /nfs/dbraw/zinc/26/54/80/381265480.db2.gz GZUPEVMWHZVVSY-YFKPBYRVSA-N 0 0 296.632 2.929 20 5 CFBDRN COc1cc(C)cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1C ZINC000347637226 381267955 /nfs/dbraw/zinc/26/79/55/381267955.db2.gz YEQRWLCYDLIRDP-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C=C[C@@H](CO)C2)c(Cl)c1 ZINC000359025939 381220987 /nfs/dbraw/zinc/22/09/87/381220987.db2.gz DSZRWEKFFIWJCB-RKDXNWHRSA-N 0 0 268.700 2.597 20 5 CFBDRN Cc1cnc(NC(=O)CSc2ccccc2[N+](=O)[O-])o1 ZINC000342308775 381268888 /nfs/dbraw/zinc/26/88/88/381268888.db2.gz GNVONUXIVYHQLU-UHFFFAOYSA-N 0 0 293.304 2.622 20 5 CFBDRN CC1(C)C[C@H](O)CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000296134017 381269130 /nfs/dbraw/zinc/26/91/30/381269130.db2.gz WUUQZBWGWKVZQH-LLVKDONJSA-N 0 0 282.315 2.721 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)cc2n[nH]cc21 ZINC000347577075 381232805 /nfs/dbraw/zinc/23/28/05/381232805.db2.gz ZVNWUNYWLBFMKR-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN CC(C)OCCCC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000183198365 381235168 /nfs/dbraw/zinc/23/51/68/381235168.db2.gz MCLTYLMHOSFDOJ-UHFFFAOYSA-N 0 0 280.324 2.763 20 5 CFBDRN CC(C)(CCc1nc(CCc2ccccc2)no1)[N+](=O)[O-] ZINC000296066567 381240300 /nfs/dbraw/zinc/24/03/00/381240300.db2.gz XPYQREFNWAHTIF-UHFFFAOYSA-N 0 0 289.335 2.843 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000412361804 381269826 /nfs/dbraw/zinc/26/98/26/381269826.db2.gz AMIHNONVNFKILL-KPXOXKRLSA-N 0 0 298.289 2.858 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCOC1(C)C ZINC000296177128 381288158 /nfs/dbraw/zinc/28/81/58/381288158.db2.gz LQOJXBIXMJDFOB-LBPRGKRZSA-N 0 0 293.323 2.592 20 5 CFBDRN CC(C)OC(=O)CSc1c(F)cccc1[N+](=O)[O-] ZINC000342355191 381292432 /nfs/dbraw/zinc/29/24/32/381292432.db2.gz CXLBSYSQKJUVFK-UHFFFAOYSA-N 0 0 273.285 2.778 20 5 CFBDRN Cc1ccc(C(=O)N(C)Cc2ccc[nH]2)cc1[N+](=O)[O-] ZINC000354477565 381294237 /nfs/dbraw/zinc/29/42/37/381294237.db2.gz PFGOHCNIBCZCBE-UHFFFAOYSA-N 0 0 273.292 2.504 20 5 CFBDRN CC(C)CN(C)C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000347687875 381298655 /nfs/dbraw/zinc/29/86/55/381298655.db2.gz USAUHUZKESGFGC-JTQLQIEISA-N 0 0 282.315 2.952 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CCC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000342368689 381299748 /nfs/dbraw/zinc/29/97/48/381299748.db2.gz MMVNSLDIWQUGNS-YPMHNXCESA-N 0 0 292.335 2.760 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1F)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412365364 381271811 /nfs/dbraw/zinc/27/18/11/381271811.db2.gz KELLPAPVAVBMNI-DGAVXFQQSA-N 0 0 292.310 2.705 20 5 CFBDRN COc1ccc(CNc2nc(C)cc(C)c2[N+](=O)[O-])cn1 ZINC000359111372 381272777 /nfs/dbraw/zinc/27/27/77/381272777.db2.gz VNABOMNKWNGYHV-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000412368569 381273335 /nfs/dbraw/zinc/27/33/35/381273335.db2.gz VNSQTFYGFMPMPF-XXSPCDMZSA-N 0 0 274.320 2.565 20 5 CFBDRN C[C@H](CNC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccc(F)cc1 ZINC000347649615 381276409 /nfs/dbraw/zinc/27/64/09/381276409.db2.gz PWMLRKQECXLBFN-SECBINFHSA-N 0 0 291.282 2.596 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)c2cc([N+](=O)[O-])c[nH]2)[C@@H]1C ZINC000359117708 381277583 /nfs/dbraw/zinc/27/75/83/381277583.db2.gz JYIZWCMLVFPOIE-MXWKQRLJSA-N 0 0 279.340 2.725 20 5 CFBDRN COC1(CCNC(=O)Nc2cccc([N+](=O)[O-])c2)CCC1 ZINC000296154086 381278377 /nfs/dbraw/zinc/27/83/77/381278377.db2.gz JXROZYNULKDLPH-UHFFFAOYSA-N 0 0 293.323 2.676 20 5 CFBDRN CCC[C@@H](CNC(=O)Nc1cc([N+](=O)[O-])ccc1C)OC ZINC000296156116 381280472 /nfs/dbraw/zinc/28/04/72/381280472.db2.gz KCCXWLFCAZWRTB-LBPRGKRZSA-N 0 0 295.339 2.840 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412387357 381282589 /nfs/dbraw/zinc/28/25/89/381282589.db2.gz WACYSDOJZZWYMD-UEEZHKSASA-N 0 0 288.347 2.861 20 5 CFBDRN Cc1cc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)n[nH]1 ZINC000183528592 381283497 /nfs/dbraw/zinc/28/34/97/381283497.db2.gz RWDFZZWMBTZPCK-MRVPVSSYSA-N 0 0 292.270 2.508 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC(CF)CC1 ZINC000296165922 381284177 /nfs/dbraw/zinc/28/41/77/381284177.db2.gz BDBNFWWXRVHDIA-UHFFFAOYSA-N 0 0 281.287 2.808 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000290148761 381321203 /nfs/dbraw/zinc/32/12/03/381321203.db2.gz ISHYOVUPADDZRV-UWVGGRQHSA-N 0 0 288.307 2.987 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2ccc(CO)cc2)n1 ZINC000354534082 381325269 /nfs/dbraw/zinc/32/52/69/381325269.db2.gz FQQWFLRBGZNWBN-UHFFFAOYSA-N 0 0 288.303 2.678 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCC(F)(F)F)n1 ZINC000354536357 381327167 /nfs/dbraw/zinc/32/71/67/381327167.db2.gz ATRPCOWCOULENN-UHFFFAOYSA-N 0 0 264.203 2.938 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000354566678 381342135 /nfs/dbraw/zinc/34/21/35/381342135.db2.gz ICFYTUREJXIINB-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CC[C@@H](CO)C2)c2ccncc21 ZINC000296277657 381329551 /nfs/dbraw/zinc/32/95/51/381329551.db2.gz KSEVLYXNYRMTMQ-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000412507170 381331970 /nfs/dbraw/zinc/33/19/70/381331970.db2.gz FPBNLCLWKKHMRK-LBPRGKRZSA-N 0 0 262.309 2.686 20 5 CFBDRN CC(C)C[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000354545292 381331942 /nfs/dbraw/zinc/33/19/42/381331942.db2.gz FJYVVQHVPAHFEJ-LLVKDONJSA-N 0 0 279.340 2.584 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000347767273 381340027 /nfs/dbraw/zinc/34/00/27/381340027.db2.gz CQAVVWGGBADAOM-PWSUYJOCSA-N 0 0 277.324 2.535 20 5 CFBDRN CCc1cnccc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000347711897 381309274 /nfs/dbraw/zinc/30/92/74/381309274.db2.gz ZKTMQQSKESDSST-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN Cc1ccn(C[C@@H](C)CC(C)C)c(=O)c1[N+](=O)[O-] ZINC000347779030 381345163 /nfs/dbraw/zinc/34/51/63/381345163.db2.gz OXBXEYYZGGKOBW-JTQLQIEISA-N 0 0 252.314 2.747 20 5 CFBDRN C[C@@H](O)C[C@@H](C)Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354576819 381347503 /nfs/dbraw/zinc/34/75/03/381347503.db2.gz WNJYKJRXIFNYEU-HTQZYQBOSA-N 0 0 290.266 2.768 20 5 CFBDRN CCOc1cccc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000342479398 381352495 /nfs/dbraw/zinc/35/24/95/381352495.db2.gz MGAIERQJEZAGFF-UHFFFAOYSA-N 0 0 274.276 2.968 20 5 CFBDRN CC[C@H](O)CCNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354586692 381355214 /nfs/dbraw/zinc/35/52/14/381355214.db2.gz BACSXCCORIFAGR-VIFPVBQESA-N 0 0 290.266 2.769 20 5 CFBDRN COc1c(C(=O)Nc2cc(C)ccn2)cccc1[N+](=O)[O-] ZINC000354594005 381358082 /nfs/dbraw/zinc/35/80/82/381358082.db2.gz KEMYCHPNHJURLA-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC(C)(C)CCCCNC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000184370002 381407224 /nfs/dbraw/zinc/40/72/24/381407224.db2.gz BFKXYOUYMRSCJU-UHFFFAOYSA-N 0 0 294.355 2.518 20 5 CFBDRN C[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000184365471 381407233 /nfs/dbraw/zinc/40/72/33/381407233.db2.gz JSTFGCQKLBPVSR-MRVPVSSYSA-N 0 0 297.742 2.846 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)c1ccc2ccccc2c1 ZINC000184403868 381410392 /nfs/dbraw/zinc/41/03/92/381410392.db2.gz STEJZZVONHQOQW-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)CCCCO ZINC000296408054 381381450 /nfs/dbraw/zinc/38/14/50/381381450.db2.gz UWHOKZHGFYNZQC-NSHDSACASA-N 0 0 295.339 2.576 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])s1)CC(F)(F)F ZINC000412664692 381390547 /nfs/dbraw/zinc/39/05/47/381390547.db2.gz WOOGQFHLEYHKFR-ZCFIWIBFSA-N 0 0 296.270 2.975 20 5 CFBDRN COC1CCC(CCNc2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000347871860 381393782 /nfs/dbraw/zinc/39/37/82/381393782.db2.gz SOZXINFOJRHTRN-UHFFFAOYSA-N 0 0 293.367 2.727 20 5 CFBDRN C[C@H](CNC(=O)c1ccccc1[N+](=O)[O-])c1nccs1 ZINC000184296333 381397158 /nfs/dbraw/zinc/39/71/58/381397158.db2.gz CTUKGWZJDJUMQX-SECBINFHSA-N 0 0 291.332 2.585 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@@H](C2CC2)C1 ZINC000290375037 381403751 /nfs/dbraw/zinc/40/37/51/381403751.db2.gz ATFQIBCGPJJHJE-SNVBAGLBSA-N 0 0 280.349 2.919 20 5 CFBDRN CC[C@@H](NC(=O)c1[nH]nc(C)c1[N+](=O)[O-])c1cccs1 ZINC000354735076 381427566 /nfs/dbraw/zinc/42/75/66/381427566.db2.gz RHBVSOHTRFFWSQ-MRVPVSSYSA-N 0 0 294.336 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCO[C@@H](C)C1 ZINC000354739322 381431546 /nfs/dbraw/zinc/43/15/46/381431546.db2.gz WLGNUVZNOITQMY-QWRGUYRKSA-N 0 0 293.323 2.592 20 5 CFBDRN COc1cccc(C(=O)N2CC(C)(C)C[C@H]2C)c1[N+](=O)[O-] ZINC000412767705 381434183 /nfs/dbraw/zinc/43/41/83/381434183.db2.gz PCOQMUASRLYYOK-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@H](C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(F)(F)F ZINC000347973163 381439219 /nfs/dbraw/zinc/43/92/19/381439219.db2.gz UELQNMYRJUNRSH-RXMQYKEDSA-N 0 0 280.177 2.871 20 5 CFBDRN Cc1noc(C)c1CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347979116 381440893 /nfs/dbraw/zinc/44/08/93/381440893.db2.gz ZTCUXUYZALCGLQ-UHFFFAOYSA-N 0 0 293.254 2.520 20 5 CFBDRN CCCCCC[C@@H](C)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290475917 381445153 /nfs/dbraw/zinc/44/51/53/381445153.db2.gz AXNZWWPAXQLKHO-SNVBAGLBSA-N 0 0 294.355 2.860 20 5 CFBDRN CC[C@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000296616727 381473596 /nfs/dbraw/zinc/47/35/96/381473596.db2.gz IQBALKDNHMJLCS-VIFPVBQESA-N 0 0 279.296 2.620 20 5 CFBDRN Cc1ccc(C)c([C@@H]2CCCN2C(=O)[C@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000370594149 381473875 /nfs/dbraw/zinc/47/38/75/381473875.db2.gz OKFWFCOTYYOSGT-SOUVJXGZSA-N 0 0 288.347 2.632 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000296616722 381473798 /nfs/dbraw/zinc/47/37/98/381473798.db2.gz IQBALKDNHMJLCS-SECBINFHSA-N 0 0 279.296 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NN1CCCCC1 ZINC000296631703 381482542 /nfs/dbraw/zinc/48/25/42/381482542.db2.gz NOIWBMWIYSUPDE-UHFFFAOYSA-N 0 0 292.339 2.734 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@H]2CCC[C@@H]2C(C)(C)C)c1[N+](=O)[O-] ZINC000412808048 381455618 /nfs/dbraw/zinc/45/56/18/381455618.db2.gz GKLBTBLRFOXJLM-UWVGGRQHSA-N 0 0 294.355 2.571 20 5 CFBDRN O=C(NC[C@@H]1CCCCS1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000342655337 381460029 /nfs/dbraw/zinc/46/00/29/381460029.db2.gz KFSOEBKXDOBYPO-LBPRGKRZSA-N 0 0 298.339 2.749 20 5 CFBDRN O=C(NC[C@H]1COc2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000342656601 381461575 /nfs/dbraw/zinc/46/15/75/381461575.db2.gz PATOKVSWCKXXGO-LBPRGKRZSA-N 0 0 298.298 2.501 20 5 CFBDRN Cc1nc(N(C)CC2CCCCC2)ncc1[N+](=O)[O-] ZINC000296594498 381463172 /nfs/dbraw/zinc/46/31/72/381463172.db2.gz SHDLSTGXKSKJQT-UHFFFAOYSA-N 0 0 264.329 2.710 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1c1ccco1 ZINC000412935504 381503904 /nfs/dbraw/zinc/50/39/04/381503904.db2.gz ZNQHLINYUZIZRJ-CHWSQXEVSA-N 0 0 286.287 2.782 20 5 CFBDRN Cc1ccc([C@@H](C)Nc2nnc(C)c(C)n2)cc1[N+](=O)[O-] ZINC000359619390 381511934 /nfs/dbraw/zinc/51/19/34/381511934.db2.gz NVNQKOYULJLMKG-LLVKDONJSA-N 0 0 287.323 2.878 20 5 CFBDRN Cc1noc(C)c1COc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359583889 381488080 /nfs/dbraw/zinc/48/80/80/381488080.db2.gz KHXIDXYVTURLRV-UHFFFAOYSA-N 0 0 277.280 2.790 20 5 CFBDRN Cc1nc(NC[C@H](C)c2ccccc2)ncc1[N+](=O)[O-] ZINC000296649843 381489423 /nfs/dbraw/zinc/48/94/23/381489423.db2.gz XHNVJRRYFBZIOO-JTQLQIEISA-N 0 0 272.308 2.909 20 5 CFBDRN CCC(=O)c1ccc(NCC2(CO)CCC2)c([N+](=O)[O-])c1 ZINC000359585937 381489816 /nfs/dbraw/zinc/48/98/16/381489816.db2.gz VELQWRLHBZAICJ-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN Cc1ccc(CC[C@H](C)NC(=O)c2cc([N+](=O)[O-])c[nH]2)o1 ZINC000359596431 381495800 /nfs/dbraw/zinc/49/58/00/381495800.db2.gz HNOUADWNGYYUGR-VIFPVBQESA-N 0 0 291.307 2.575 20 5 CFBDRN CCC[C@H]([NH2+]Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)[O-] ZINC000185045355 381520058 /nfs/dbraw/zinc/52/00/58/381520058.db2.gz WYHHWLDOBAOGOW-NSHDSACASA-N 0 0 286.715 2.591 20 5 CFBDRN C[C@@H]1COCCN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000413195026 381537490 /nfs/dbraw/zinc/53/74/90/381537490.db2.gz BZPDRZLCTFXKLH-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@@H]1CCCN1c1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413169908 381537643 /nfs/dbraw/zinc/53/76/43/381537643.db2.gz NFIVAEMLSXWUND-SSDOTTSWSA-N 0 0 275.230 2.997 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000413432578 381542879 /nfs/dbraw/zinc/54/28/79/381542879.db2.gz ICOBPVYMNGTYRV-GXSJLCMTSA-N 0 0 272.308 2.994 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCc2cccc(Cl)c2C1 ZINC000413407237 381543126 /nfs/dbraw/zinc/54/31/26/381543126.db2.gz HJKMGVSGSBKVER-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000342792506 381544270 /nfs/dbraw/zinc/54/42/70/381544270.db2.gz HBDSIFOQVGSVLA-OASPWFOLSA-N 0 0 279.340 2.770 20 5 CFBDRN CCOCc1nc([C@H](C)Nc2ccccc2[N+](=O)[O-])no1 ZINC000290774860 381546807 /nfs/dbraw/zinc/54/68/07/381546807.db2.gz VSOUSYXNYCEMGC-VIFPVBQESA-N 0 0 292.295 2.687 20 5 CFBDRN COc1cccc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)c1 ZINC000185168516 381551668 /nfs/dbraw/zinc/55/16/68/381551668.db2.gz GHUWODGHGSNDNM-UHFFFAOYSA-N 0 0 273.292 2.726 20 5 CFBDRN Cc1cnc(O[C@H]2CCCC(C)(C)C2=O)c([N+](=O)[O-])c1 ZINC000412998462 381529573 /nfs/dbraw/zinc/52/95/73/381529573.db2.gz KGVKYBLFSLSCLV-NSHDSACASA-N 0 0 278.308 2.825 20 5 CFBDRN Cc1ccc2[nH]c(CNc3ccc([N+](=O)[O-])c(C)n3)nc2c1 ZINC000348361753 381532796 /nfs/dbraw/zinc/53/27/96/381532796.db2.gz GQLQIQICUKHMHE-UHFFFAOYSA-N 0 0 297.318 2.517 20 5 CFBDRN CC(C)[C@@H](C)C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359648186 381533088 /nfs/dbraw/zinc/53/30/88/381533088.db2.gz OUASMJFFXZCWAL-LLVKDONJSA-N 0 0 292.335 2.608 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000354989240 381552194 /nfs/dbraw/zinc/55/21/94/381552194.db2.gz WVJAODQBYDLJOT-JTQLQIEISA-N 0 0 280.349 2.985 20 5 CFBDRN O=C(CSCC1CC1)Nc1cc([N+](=O)[O-])ccc1F ZINC000290850888 381573247 /nfs/dbraw/zinc/57/32/47/381573247.db2.gz ACXWGFUEMAIBNU-UHFFFAOYSA-N 0 0 284.312 2.816 20 5 CFBDRN CC(C)[C@H](O)C1(CNc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000342841946 381579614 /nfs/dbraw/zinc/57/96/14/381579614.db2.gz CARMDTYLBVRJGL-ZDUSSCGKSA-N 0 0 282.315 2.943 20 5 CFBDRN CCC[C@@H](OC)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000297761218 381571117 /nfs/dbraw/zinc/57/11/17/381571117.db2.gz LNISKFOESZEZMX-CQSZACIVSA-N 0 0 292.335 2.689 20 5 CFBDRN CCCN(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342903104 381606197 /nfs/dbraw/zinc/60/61/97/381606197.db2.gz LFHFPRXIOAKOIO-UHFFFAOYSA-N 0 0 261.281 2.558 20 5 CFBDRN CC[C@H](C)[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000348487830 381591687 /nfs/dbraw/zinc/59/16/87/381591687.db2.gz CORJLMQMZWESIT-GWCFXTLKSA-N 0 0 279.340 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2CCc3ccc(CO)cc32)cc1 ZINC000371337977 381596016 /nfs/dbraw/zinc/59/60/16/381596016.db2.gz XPPNNHMLVGPTIC-UHFFFAOYSA-N 0 0 298.342 2.692 20 5 CFBDRN CCCN(CC)C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000298371443 381596744 /nfs/dbraw/zinc/59/67/44/381596744.db2.gz YMWONUYHWBYCSK-UHFFFAOYSA-N 0 0 256.327 2.837 20 5 CFBDRN CS[C@H](C)CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342910488 381609460 /nfs/dbraw/zinc/60/94/60/381609460.db2.gz JNLREYNYNYRCQC-MRVPVSSYSA-N 0 0 293.348 2.557 20 5 CFBDRN Cc1n[nH]cc1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000290957326 381610032 /nfs/dbraw/zinc/61/00/32/381610032.db2.gz MWAIDGPVLINLOB-UHFFFAOYSA-N 0 0 264.260 2.686 20 5 CFBDRN CCN(C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CC1 ZINC000342924921 381619345 /nfs/dbraw/zinc/61/93/45/381619345.db2.gz LMTIJHHXYZRILJ-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000414936275 381626610 /nfs/dbraw/zinc/62/66/10/381626610.db2.gz BYEGYPZGXCXKEE-BXUZGUMPSA-N 0 0 294.351 2.833 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1cccnc1 ZINC000371476029 381638239 /nfs/dbraw/zinc/63/82/39/381638239.db2.gz UAFMJGVJYDORET-HUUCEWRRSA-N 0 0 298.298 2.837 20 5 CFBDRN CCO[C@H](CC(=O)NCc1ccccc1[N+](=O)[O-])C(C)C ZINC000348553701 381632615 /nfs/dbraw/zinc/63/26/15/381632615.db2.gz OMKAQCLQSWTILX-CQSZACIVSA-N 0 0 294.351 2.662 20 5 CFBDRN CC(C)(CCc1noc(Cc2ccccc2F)n1)[N+](=O)[O-] ZINC000291053879 381648725 /nfs/dbraw/zinc/64/87/25/381648725.db2.gz IUXWDKDTMHHZCV-UHFFFAOYSA-N 0 0 293.298 2.787 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC=C(c2cccnc2)CC1 ZINC000371524907 381649640 /nfs/dbraw/zinc/64/96/40/381649640.db2.gz KMSIIFZIVXUCOT-UHFFFAOYSA-N 0 0 299.286 2.512 20 5 CFBDRN COc1cccc(NCCCC(C)(C)CO)c1[N+](=O)[O-] ZINC000185469439 381641765 /nfs/dbraw/zinc/64/17/65/381641765.db2.gz KKYBJPWADIHPKS-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN Cc1coc(C)c1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000291033518 381642602 /nfs/dbraw/zinc/64/26/02/381642602.db2.gz FJYSWFWMDSSVAV-UHFFFAOYSA-N 0 0 279.296 2.934 20 5 CFBDRN CC1(C)CC(C(=O)NCCNc2ccccc2[N+](=O)[O-])C1 ZINC000348651028 381655347 /nfs/dbraw/zinc/65/53/47/381655347.db2.gz GSTOMXVMBYLZDC-UHFFFAOYSA-N 0 0 291.351 2.559 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](C)CCC(=O)OC(C)C ZINC000359897537 381665400 /nfs/dbraw/zinc/66/54/00/381665400.db2.gz JESDHBFLBJBABE-NSHDSACASA-N 0 0 295.339 2.830 20 5 CFBDRN O=C(NC[C@H](c1ccccc1)C1CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000348691398 381670327 /nfs/dbraw/zinc/67/03/27/381670327.db2.gz CNVLHAHPSWRRRO-CQSZACIVSA-N 0 0 299.330 2.847 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@@H]1C1CC1 ZINC000371532255 381652407 /nfs/dbraw/zinc/65/24/07/381652407.db2.gz SJQAMTZHTFUBKS-GFCCVEGCSA-N 0 0 278.283 2.749 20 5 CFBDRN CC(C)n1cnc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 ZINC000291067338 381654171 /nfs/dbraw/zinc/65/41/71/381654171.db2.gz KXQJAMIXFVUTAE-UHFFFAOYSA-N 0 0 293.327 2.502 20 5 CFBDRN Cc1cnc(COc2c(Cl)cccc2[N+](=O)[O-])cn1 ZINC000348786255 381712941 /nfs/dbraw/zinc/71/29/41/381712941.db2.gz KQPFJKHRDZIOPL-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CCN(CCOC)c1cc(C)c([N+](=O)[O-])cc1F ZINC000359915913 381676343 /nfs/dbraw/zinc/67/63/43/381676343.db2.gz YFEOPACJJQYSQS-UHFFFAOYSA-N 0 0 256.277 2.515 20 5 CFBDRN COC1(CNc2c([N+](=O)[O-])c(C)nn2C)CCC(C)CC1 ZINC000359932488 381686796 /nfs/dbraw/zinc/68/67/96/381686796.db2.gz GYHCYRLAEKWXTC-UHFFFAOYSA-N 0 0 296.371 2.644 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@H](OC)CC1 ZINC000371734720 381688566 /nfs/dbraw/zinc/68/85/66/381688566.db2.gz HBWGTQGEGHCJDU-AWEZNQCLSA-N 0 0 294.351 2.747 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])nc2)CCC(C)CC1 ZINC000359938638 381691291 /nfs/dbraw/zinc/69/12/91/381691291.db2.gz ZNFIRPKNLSPQLY-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN COc1ccc(COc2ccc([N+](=O)[O-])cc2OC)cn1 ZINC000348800624 381716801 /nfs/dbraw/zinc/71/68/01/381716801.db2.gz IBGJAQFRVNJCAV-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN CC(=O)c1cc(NCCCCF)ccc1[N+](=O)[O-] ZINC000359953374 381696653 /nfs/dbraw/zinc/69/66/53/381696653.db2.gz WHBXTZKFLVYUBA-UHFFFAOYSA-N 0 0 254.261 2.959 20 5 CFBDRN COc1ccc(NC(=O)C2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000359961456 381700737 /nfs/dbraw/zinc/70/07/37/381700737.db2.gz BJILKCIQVCRXEI-UHFFFAOYSA-N 0 0 286.234 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2(C(F)F)CC2)c1 ZINC000348765099 381700969 /nfs/dbraw/zinc/70/09/69/381700969.db2.gz QXUUQHHKXDXALU-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H]1CCC[C@H](CO)C1 ZINC000298657516 381701979 /nfs/dbraw/zinc/70/19/79/381701979.db2.gz SRDHXHQXPSQQGX-QWRGUYRKSA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1c(OC(=O)[C@H](F)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000298666561 381703565 /nfs/dbraw/zinc/70/35/65/381703565.db2.gz XHDDMSAXCMHZKO-QMMMGPOBSA-N 0 0 281.161 2.709 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CCc1ccccc1 ZINC000348771691 381705937 /nfs/dbraw/zinc/70/59/37/381705937.db2.gz VRXISBJUPYMFFE-UHFFFAOYSA-N 0 0 278.695 2.653 20 5 CFBDRN CC(=O)c1ccc(N[C@]2(C)CCO[C@@H]2C)c([N+](=O)[O-])c1 ZINC000343434427 381706442 /nfs/dbraw/zinc/70/64/42/381706442.db2.gz AODOCEBDUDLVLO-QMTHXVAHSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000298744822 381735296 /nfs/dbraw/zinc/73/52/96/381735296.db2.gz DHXQTZMDBNTLKR-SCZZXKLOSA-N 0 0 274.276 2.727 20 5 CFBDRN Cc1cc(NC(=O)NC[C@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000298746857 381735395 /nfs/dbraw/zinc/73/53/95/381735395.db2.gz UTTXINBDAMXARC-WCBMZHEXSA-N 0 0 263.297 2.681 20 5 CFBDRN C[C@@H]1CCC[C@H](c2ccccc2)N1C(=O)[C@@H]1C[C@@H]1[N+](=O)[O-] ZINC000372016702 381736543 /nfs/dbraw/zinc/73/65/43/381736543.db2.gz HWRVGAYQTNHERK-NGFQHRJXSA-N 0 0 288.347 2.794 20 5 CFBDRN COC[C@H]1CCCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000372038373 381739266 /nfs/dbraw/zinc/73/92/66/381739266.db2.gz NTDSZZBJDRJLHK-JTQLQIEISA-N 0 0 299.758 2.896 20 5 CFBDRN CC(C)CN(C(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000298774824 381745130 /nfs/dbraw/zinc/74/51/30/381745130.db2.gz VYFSJBWDRYDJJV-UHFFFAOYSA-N 0 0 268.338 2.917 20 5 CFBDRN O=C(Nc1ccc(-c2cnco2)cc1)c1ccc([N+](=O)[O-])[nH]1 ZINC000343484194 381745090 /nfs/dbraw/zinc/74/50/90/381745090.db2.gz XDOZBJFJHVTXMU-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN Cc1cc(N2CCC(C)(CO)CC2)c(F)cc1[N+](=O)[O-] ZINC000360048862 381750230 /nfs/dbraw/zinc/75/02/30/381750230.db2.gz ZEPXIRBHNROXNA-UHFFFAOYSA-N 0 0 282.315 2.641 20 5 CFBDRN CCC1(C)CN(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000291340665 381754383 /nfs/dbraw/zinc/75/43/83/381754383.db2.gz MRNLVVNOWVUMMZ-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCOC[C@H]2C)n1 ZINC000343498892 381755094 /nfs/dbraw/zinc/75/50/94/381755094.db2.gz BYXTWRFCZFAACI-ZYHUDNBSSA-N 0 0 279.340 2.691 20 5 CFBDRN CCN(CC(F)F)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000185847792 381755289 /nfs/dbraw/zinc/75/52/89/381755289.db2.gz VRCUQPCBEHUJSU-UHFFFAOYSA-N 0 0 272.251 2.630 20 5 CFBDRN O=C(Nc1cnn(C(F)F)c1)c1ccc([N+](=O)[O-])s1 ZINC000343502901 381756751 /nfs/dbraw/zinc/75/67/51/381756751.db2.gz BKSYSHGOUTUOGA-UHFFFAOYSA-N 0 0 288.235 2.500 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1CCOC[C@H]1C ZINC000343551884 381792719 /nfs/dbraw/zinc/79/27/19/381792719.db2.gz VLZRPSUDTAGFJX-VXGBXAGGSA-N 0 0 294.351 2.826 20 5 CFBDRN COc1ccc(CNC(=O)C[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000298839560 381761501 /nfs/dbraw/zinc/76/15/01/381761501.db2.gz GIXLAIAWXSSUCH-NSHDSACASA-N 0 0 290.319 2.576 20 5 CFBDRN CCCCCC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000298839556 381761755 /nfs/dbraw/zinc/76/17/55/381761755.db2.gz GINWUHAPCKFKOD-UHFFFAOYSA-N 0 0 280.324 2.800 20 5 CFBDRN CS[C@H](CNc1c([N+](=O)[O-])ncn1C)C(C)(C)C ZINC000343509272 381762323 /nfs/dbraw/zinc/76/23/23/381762323.db2.gz HXAPQDDQFJYYJD-MRVPVSSYSA-N 0 0 272.374 2.518 20 5 CFBDRN Cc1cccc2[nH]cc(CCNc3ccncc3[N+](=O)[O-])c21 ZINC000343513905 381765639 /nfs/dbraw/zinc/76/56/39/381765639.db2.gz HXHICGCSHMROSH-UHFFFAOYSA-N 0 0 296.330 2.856 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccc(C(F)F)cc1 ZINC000185886354 381767286 /nfs/dbraw/zinc/76/72/86/381767286.db2.gz RJOOOHOSUIPHAM-UHFFFAOYSA-N 0 0 253.208 2.777 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCc1ccc(F)cc1 ZINC000185898535 381773638 /nfs/dbraw/zinc/77/36/38/381773638.db2.gz RRXRQUSHFJVXNN-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](COC(F)F)C2)c1 ZINC000343526337 381775429 /nfs/dbraw/zinc/77/54/29/381775429.db2.gz YPSQLCIEYHGFSL-SNVBAGLBSA-N 0 0 286.278 2.969 20 5 CFBDRN CCN(Cc1cccs1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298884925 381775552 /nfs/dbraw/zinc/77/55/52/381775552.db2.gz QLGNOTIUUPGBMD-UHFFFAOYSA-N 0 0 279.321 2.647 20 5 CFBDRN Cc1[nH]nc2ncc(NCc3ccc([N+](=O)[O-])cc3)cc12 ZINC000185910441 381775723 /nfs/dbraw/zinc/77/57/23/381775723.db2.gz VHBBTAQPDDCDOA-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN Cc1nc(NC(=O)[C@H](C)CC(F)F)ccc1[N+](=O)[O-] ZINC000415265262 381820658 /nfs/dbraw/zinc/82/06/58/381820658.db2.gz KAALKQKOOWKJNC-ZCFIWIBFSA-N 0 0 273.239 2.528 20 5 CFBDRN Cc1ncc(CNc2ccc([N+](=O)[O-])cc2Cl)c(=O)[nH]1 ZINC000343593826 381823760 /nfs/dbraw/zinc/82/37/60/381823760.db2.gz FDTFQZPYQDUSSP-UHFFFAOYSA-N 0 0 294.698 2.664 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC2(C)C)c1 ZINC000299128960 381834373 /nfs/dbraw/zinc/83/43/73/381834373.db2.gz MECFTQHONLPCQJ-LLVKDONJSA-N 0 0 280.349 2.845 20 5 CFBDRN C[C@H](c1ccccc1)N(C)Cc1c([N+](=O)[O-])ncn1C ZINC000355784093 381804358 /nfs/dbraw/zinc/80/43/58/381804358.db2.gz IMJBDVQDLRQNMI-LLVKDONJSA-N 0 0 274.324 2.521 20 5 CFBDRN C[C@@H](c1cccs1)N(C)Cc1c([N+](=O)[O-])ncn1C ZINC000355791606 381805486 /nfs/dbraw/zinc/80/54/86/381805486.db2.gz JBXWGLUISJMDKZ-VIFPVBQESA-N 0 0 280.353 2.583 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000355810435 381807128 /nfs/dbraw/zinc/80/71/28/381807128.db2.gz LIWJHUHHDDPLBT-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000415232331 381815873 /nfs/dbraw/zinc/81/58/73/381815873.db2.gz ZLTPXRJMSOGOOQ-AWEZNQCLSA-N 0 0 292.335 2.545 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC2(CCC2)C[C@H]1C ZINC000372890403 381884531 /nfs/dbraw/zinc/88/45/31/381884531.db2.gz XKVHBESSBPBUEK-SNVBAGLBSA-N 0 0 289.335 2.703 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1ccco1 ZINC000186339545 381885257 /nfs/dbraw/zinc/88/52/57/381885257.db2.gz KGQFVRBUOOZVHU-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC12CCOCC2 ZINC000372712130 381855820 /nfs/dbraw/zinc/85/58/20/381855820.db2.gz CEZJBGBPTMGYFS-GFCCVEGCSA-N 0 0 290.319 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cnn3ccccc23)n1 ZINC000343631773 381856371 /nfs/dbraw/zinc/85/63/71/381856371.db2.gz NUNUABBGEOGBOD-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccon1)c1cccc([N+](=O)[O-])c1 ZINC000349237092 381857106 /nfs/dbraw/zinc/85/71/06/381857106.db2.gz IDXATWAEKJGERJ-ZJUUUORDSA-N 0 0 261.281 2.995 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c(C(C)=O)c1)C1CC1 ZINC000292842431 381860097 /nfs/dbraw/zinc/86/00/97/381860097.db2.gz QPBPMAVUWHNZJX-AWEZNQCLSA-N 0 0 278.308 2.634 20 5 CFBDRN Cc1c(CO[C@H](C)Cc2ncc[nH]2)cccc1[N+](=O)[O-] ZINC000343652421 381869655 /nfs/dbraw/zinc/86/96/55/381869655.db2.gz RXNPIPSHOZGXGM-SNVBAGLBSA-N 0 0 275.308 2.774 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000292861508 381871013 /nfs/dbraw/zinc/87/10/13/381871013.db2.gz AIFZCHAVYSYMBV-DTWKUNHWSA-N 0 0 297.742 2.527 20 5 CFBDRN CC[C@H](OC)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000299340712 381902248 /nfs/dbraw/zinc/90/22/48/381902248.db2.gz KXEQSWUIHYWCBG-JTQLQIEISA-N 0 0 272.688 2.612 20 5 CFBDRN CC(C)[C@H](CO)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000343760397 381929828 /nfs/dbraw/zinc/92/98/28/381929828.db2.gz IKEMKARXXNPQNU-NSHDSACASA-N 0 0 289.335 2.819 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C/C=C\c1ccccc1 ZINC000356933386 381911950 /nfs/dbraw/zinc/91/19/50/381911950.db2.gz YACUUFXBDDEFDV-YVMONPNESA-N 0 0 270.288 2.778 20 5 CFBDRN CCC(=O)N1CC[C@H](Nc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000279578791 194488312 /nfs/dbraw/zinc/48/83/12/194488312.db2.gz ZNEDIDGICZCKNO-JTQLQIEISA-N 0 0 297.742 2.671 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000362125424 381920950 /nfs/dbraw/zinc/92/09/50/381920950.db2.gz OHKDVKHKTKDSAW-VHSXEESVSA-N 0 0 266.345 2.955 20 5 CFBDRN CCCCO[C@@H]1C[C@H](Nc2c([N+](=O)[O-])ncn2C)C1(C)C ZINC000362127186 381921695 /nfs/dbraw/zinc/92/16/95/381921695.db2.gz YCIAEEBIMGXYSH-WDEREUQCSA-N 0 0 296.371 2.724 20 5 CFBDRN CCc1ccc(-c2nc(-c3cnn(C)c3)no2)cc1[N+](=O)[O-] ZINC000356954414 381923907 /nfs/dbraw/zinc/92/39/07/381923907.db2.gz IUHPICFBISVHID-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000186486874 381925016 /nfs/dbraw/zinc/92/50/16/381925016.db2.gz DLYBGNHSGGGPSG-DOMZBBRYSA-N 0 0 276.336 2.893 20 5 CFBDRN C[C@H](c1ccncc1)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000299309413 381890835 /nfs/dbraw/zinc/89/08/35/381890835.db2.gz VKPGNAKNSZILKR-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN COC1(CCNc2nccc(C)c2[N+](=O)[O-])CCC1 ZINC000292901100 381893472 /nfs/dbraw/zinc/89/34/72/381893472.db2.gz WUUMIGLPVDVWDP-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000343808055 381955004 /nfs/dbraw/zinc/95/50/04/381955004.db2.gz MAWRWPQQFWZROU-CABZTGNLSA-N 0 0 289.335 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2C[C@H]2C(F)F)c(F)c1 ZINC000343808181 381955520 /nfs/dbraw/zinc/95/55/20/381955520.db2.gz MOXUCBDMKAGBGM-XRGYYRRGSA-N 0 0 264.178 2.939 20 5 CFBDRN CSc1cccc(C(=O)N(C)C2CCC2)c1[N+](=O)[O-] ZINC000186591816 381957628 /nfs/dbraw/zinc/95/76/28/381957628.db2.gz OXSXAAQNCGZRBG-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN Cc1noc(C)c1C(=O)COc1cc([N+](=O)[O-])ccc1C ZINC000186590843 381957806 /nfs/dbraw/zinc/95/78/06/381957806.db2.gz XBVAVDMFHBRMKX-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@@H](CO)c2ccsc2)c(F)c1 ZINC000349563283 381959911 /nfs/dbraw/zinc/95/99/11/381959911.db2.gz HSSKENYBGURRBW-ZDUSSCGKSA-N 0 0 296.323 2.619 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)c1c(F)cncc1F ZINC000343816909 381961363 /nfs/dbraw/zinc/96/13/63/381961363.db2.gz XQZXTNBBKFJLBL-RXMQYKEDSA-N 0 0 286.263 2.898 20 5 CFBDRN CCc1ccc(OC[C@@H](CC)OC)c([N+](=O)[O-])c1 ZINC000416903980 381964574 /nfs/dbraw/zinc/96/45/74/381964574.db2.gz CYMYJOWFMGDXNC-LLVKDONJSA-N 0 0 253.298 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc3cc[nH]cc-3n2)c(F)c1 ZINC000349582982 381965837 /nfs/dbraw/zinc/96/58/37/381965837.db2.gz DDTAPWZRBMREOZ-UHFFFAOYSA-N 0 0 258.212 2.672 20 5 CFBDRN Cc1c(OCCOC2CCC2)cccc1[N+](=O)[O-] ZINC000343826559 381966532 /nfs/dbraw/zinc/96/65/32/381966532.db2.gz BKMOFFIYUWCPDD-UHFFFAOYSA-N 0 0 251.282 2.851 20 5 CFBDRN CCc1noc(C)c1CNCc1csc([N+](=O)[O-])c1 ZINC000293054421 381969250 /nfs/dbraw/zinc/96/92/50/381969250.db2.gz UDEFMJHISBHTQE-UHFFFAOYSA-N 0 0 281.337 2.805 20 5 CFBDRN Cn1ccnc1-c1noc(/C=C/c2ccccc2[N+](=O)[O-])n1 ZINC000356968198 381932379 /nfs/dbraw/zinc/93/23/79/381932379.db2.gz ARDATBJYHDTSBW-VOTSOKGWSA-N 0 0 297.274 2.549 20 5 CFBDRN COc1ccc(NC(=O)[C@H]2C[C@@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000186641962 381972299 /nfs/dbraw/zinc/97/22/99/381972299.db2.gz BFXQODAVRBSEHH-MNOVXSKESA-N 0 0 278.308 2.834 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CC(F)(F)F)c1 ZINC000362176372 381945410 /nfs/dbraw/zinc/94/54/10/381945410.db2.gz BMRZXVWIMXKLAT-QMMMGPOBSA-N 0 0 290.241 2.974 20 5 CFBDRN CCCCCC[C@@H](C)NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000299449222 381946981 /nfs/dbraw/zinc/94/69/81/381946981.db2.gz PWVVKRWXYQEBTD-SECBINFHSA-N 0 0 282.344 2.715 20 5 CFBDRN CC(C)(C)c1nnc(CNc2ccc([N+](=O)[O-])nc2)s1 ZINC000343871411 381995303 /nfs/dbraw/zinc/99/53/03/381995303.db2.gz AUKUBDPWOFUXDS-UHFFFAOYSA-N 0 0 293.352 2.751 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC=CCC1 ZINC000186783834 382012546 /nfs/dbraw/zinc/01/25/46/382012546.db2.gz RBFWNPZJSOSIBO-SNVBAGLBSA-N 0 0 291.307 2.834 20 5 CFBDRN Cc1cc(C)cc(OCCCn2cnc([N+](=O)[O-])c2)c1 ZINC000357035291 381980180 /nfs/dbraw/zinc/98/01/80/381980180.db2.gz IMNYWVPCFDFAQY-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN COC(=O)C[C@H](C)SCc1ccc([N+](=O)[O-])cc1OC ZINC000362340785 382014862 /nfs/dbraw/zinc/01/48/62/382014862.db2.gz UEMHGYVFYYYXMF-VIFPVBQESA-N 0 0 299.348 2.788 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc([C@@H]2CCCS2)no1 ZINC000357066067 381994262 /nfs/dbraw/zinc/99/42/62/381994262.db2.gz BNHIMJNNCLBZSH-JTQLQIEISA-N 0 0 292.320 2.916 20 5 CFBDRN Cc1cc(CCNc2ccc([N+](=O)[O-])cc2C)on1 ZINC000343979610 382046057 /nfs/dbraw/zinc/04/60/57/382046057.db2.gz LVGLVVLEGKWVAP-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000293265273 382053889 /nfs/dbraw/zinc/05/38/89/382053889.db2.gz BOPWRDSJYPOHSS-DTWKUNHWSA-N 0 0 297.742 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2ncc[nH]2)c(F)c1 ZINC000374781687 382055470 /nfs/dbraw/zinc/05/54/70/382055470.db2.gz OIHTVMOOGFXTJG-LBPRGKRZSA-N 0 0 276.271 2.799 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000186973213 382062821 /nfs/dbraw/zinc/06/28/21/382062821.db2.gz GYWKLNCZEOOLNJ-STQMWFEESA-N 0 0 262.309 2.850 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CO[C@@H](C)C1 ZINC000362345308 382017960 /nfs/dbraw/zinc/01/79/60/382017960.db2.gz MKLQSWSCZPHLOR-GXSJLCMTSA-N 0 0 278.308 2.521 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](C)COC ZINC000362345720 382018075 /nfs/dbraw/zinc/01/80/75/382018075.db2.gz PGUIYTGWMSNNBU-JTQLQIEISA-N 0 0 280.324 2.768 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCOCC1 ZINC000362345330 382018089 /nfs/dbraw/zinc/01/80/89/382018089.db2.gz MSXHTCSIEUAINV-GFCCVEGCSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1nc(C(C)C)no1 ZINC000362347116 382018720 /nfs/dbraw/zinc/01/87/20/382018720.db2.gz QXZOEJZMDBCTFL-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN CCc1nnc(NC(=O)c2ccc([N+](=O)[O-])cc2C)s1 ZINC000299667129 382021478 /nfs/dbraw/zinc/02/14/78/382021478.db2.gz GVDHZDHDFYSHLM-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN COc1cc(C(=O)NC2(C)CCCC2)ccc1[N+](=O)[O-] ZINC000299670306 382023380 /nfs/dbraw/zinc/02/33/80/382023380.db2.gz OZJPKISNPXIUKB-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN COc1cc(C(=O)NCC2CCCC2)ccc1[N+](=O)[O-] ZINC000299684282 382026530 /nfs/dbraw/zinc/02/65/30/382026530.db2.gz KBUXVVDHFPXNFE-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN C[C@@H]1N(c2cc(N)ccc2[N+](=O)[O-])CCC12CCOCC2 ZINC000373864222 382029581 /nfs/dbraw/zinc/02/95/81/382029581.db2.gz FEUYCPYPMKOQID-NSHDSACASA-N 0 0 291.351 2.572 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000301221455 382108933 /nfs/dbraw/zinc/10/89/33/382108933.db2.gz BZPZHPWBOGSVBO-RNCFNFMXSA-N 0 0 270.304 2.943 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCc3cccs3)c21 ZINC000357326535 382110883 /nfs/dbraw/zinc/11/08/83/382110883.db2.gz ZBVGLQGJRCSGTP-UHFFFAOYSA-N 0 0 286.316 2.634 20 5 CFBDRN CC[C@@]1(C)C[C@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357332891 382114815 /nfs/dbraw/zinc/11/48/15/382114815.db2.gz MULYZLQDQUQRDX-FZMZJTMJSA-N 0 0 288.307 2.867 20 5 CFBDRN C[C@H]1N(C(=O)Nc2cccc([N+](=O)[O-])c2)CCOC1(C)C ZINC000357339614 382117321 /nfs/dbraw/zinc/11/73/21/382117321.db2.gz XQVRDIXOUZKKMA-SNVBAGLBSA-N 0 0 293.323 2.626 20 5 CFBDRN Cc1ccc(CNc2ncnc3cccc([N+](=O)[O-])c32)cc1 ZINC000357337021 382117952 /nfs/dbraw/zinc/11/79/52/382117952.db2.gz NFWXZDUERQSLOQ-UHFFFAOYSA-N 0 0 294.314 2.880 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CC12CCCC2 ZINC000344085786 382120891 /nfs/dbraw/zinc/12/08/91/382120891.db2.gz DQPBBSYBPSOYOF-ZDUSSCGKSA-N 0 0 288.347 2.834 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000301249269 382121137 /nfs/dbraw/zinc/12/11/37/382121137.db2.gz RFEIJMPNYXFLCN-PELKAZGASA-N 0 0 256.277 2.553 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1ccc(F)cc1[N+](=O)[O-] ZINC000301072692 382073948 /nfs/dbraw/zinc/07/39/48/382073948.db2.gz HMDRHOHHACEXJF-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000293324032 382079087 /nfs/dbraw/zinc/07/90/87/382079087.db2.gz AJUZTRVQRSPNSP-STQMWFEESA-N 0 0 297.282 2.845 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000357261585 382080270 /nfs/dbraw/zinc/08/02/70/382080270.db2.gz RACSRQVQOJFIHS-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ccc(F)cc1[N+](=O)[O-] ZINC000301113357 382084358 /nfs/dbraw/zinc/08/43/58/382084358.db2.gz LFVMRVMBWWWNBE-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN CCOCC(C)(C)NCc1c(F)cc([N+](=O)[O-])cc1F ZINC000293351737 382090243 /nfs/dbraw/zinc/09/02/43/382090243.db2.gz TYZGLPQEDSRBNN-UHFFFAOYSA-N 0 0 288.294 2.778 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1ncc(C)cn1 ZINC000357287572 382090487 /nfs/dbraw/zinc/09/04/87/382090487.db2.gz TUXTYLYZUYKHTD-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN CN(c1ncc([N+](=O)[O-])c(N)n1)C1CCCCCCC1 ZINC000301140695 382090862 /nfs/dbraw/zinc/09/08/62/382090862.db2.gz AWZJGDCSMLKERN-UHFFFAOYSA-N 0 0 279.344 2.516 20 5 CFBDRN CC(C)NC(=O)c1ccc(N2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000301144230 382091412 /nfs/dbraw/zinc/09/14/12/382091412.db2.gz KMZZBCFHRSWHDA-LLVKDONJSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1ccnc(N2C[C@@H]3CCCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000301549805 382175037 /nfs/dbraw/zinc/17/50/37/382175037.db2.gz LXQBPWNZZVZKLF-TXEJJXNPSA-N 0 0 261.325 2.925 20 5 CFBDRN COC(=O)c1cc(NCc2ccco2)cc(C)c1[N+](=O)[O-] ZINC000301560332 382176666 /nfs/dbraw/zinc/17/66/66/382176666.db2.gz KDYOSLGXBZPLQJ-UHFFFAOYSA-N 0 0 290.275 2.895 20 5 CFBDRN C[C@H]1C[C@@H](c2noc(-c3cccc([N+](=O)[O-])c3)n2)CCO1 ZINC000357465246 382182244 /nfs/dbraw/zinc/18/22/44/382182244.db2.gz IAYOLCOJWRKZDO-UWVGGRQHSA-N 0 0 289.291 2.927 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000344178991 382183106 /nfs/dbraw/zinc/18/31/06/382183106.db2.gz NIBQBNVLKSMNMA-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN CC(C)c1cc(CNc2ncccc2[N+](=O)[O-])on1 ZINC000301276039 382133577 /nfs/dbraw/zinc/13/35/77/382133577.db2.gz LBGBHROAYZZIFV-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN Cc1ccnc(NC[C@H](O)c2ccc(F)cc2)c1[N+](=O)[O-] ZINC000301295063 382140212 /nfs/dbraw/zinc/14/02/12/382140212.db2.gz OVRIRAMFOSVYEZ-LBPRGKRZSA-N 0 0 291.282 2.583 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN(c2ncc([N+](=O)[O-])c(N)n2)CC1 ZINC000301315135 382146055 /nfs/dbraw/zinc/14/60/55/382146055.db2.gz LXKJOMLIISQSNO-SNVBAGLBSA-N 0 0 293.371 2.620 20 5 CFBDRN CN(CCOCc1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000362560833 382146094 /nfs/dbraw/zinc/14/60/94/382146094.db2.gz JQIKVAMIVCKCSB-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN O=[N+]([O-])c1ccc(CN=c2[nH]c3c(cccc3F)o2)cc1 ZINC000362573543 382152359 /nfs/dbraw/zinc/15/23/59/382152359.db2.gz UMOMZGRYMJZAHJ-UHFFFAOYSA-N 0 0 287.250 2.909 20 5 CFBDRN C[C@](O)(CNc1ccccc1[N+](=O)[O-])c1cccs1 ZINC000301341881 382153149 /nfs/dbraw/zinc/15/31/49/382153149.db2.gz GTZKRPQJVGOBFL-ZDUSSCGKSA-N 0 0 278.333 2.976 20 5 CFBDRN CCO[C@H]1CCCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000301353263 382156187 /nfs/dbraw/zinc/15/61/87/382156187.db2.gz LAVKEUCCVUZGGW-LBPRGKRZSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCc2ccccn2)c1 ZINC000301376611 382163221 /nfs/dbraw/zinc/16/32/21/382163221.db2.gz XTJLHHVWRMMEIQ-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN CCOc1cc(N2CCC(OCC)CC2)ccc1[N+](=O)[O-] ZINC000301509622 382173564 /nfs/dbraw/zinc/17/35/64/382173564.db2.gz IAHVWLXWHKNDBJ-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN Cc1cccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1F ZINC000344204729 382197593 /nfs/dbraw/zinc/19/75/93/382197593.db2.gz DUEIJGCZJTUTBR-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000293619782 382199261 /nfs/dbraw/zinc/19/92/61/382199261.db2.gz ZHMXFPYQGKRDJI-SSDOTTSWSA-N 0 0 289.234 2.800 20 5 CFBDRN CC[C@H](O)CCCNc1cccc(OC)c1[N+](=O)[O-] ZINC000357514956 382201208 /nfs/dbraw/zinc/20/12/08/382201208.db2.gz PLAQGNQFEDPUJN-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cnns2)c(Cl)c1 ZINC000352161396 382201593 /nfs/dbraw/zinc/20/15/93/382201593.db2.gz WUQLMTPKAJFMHV-UHFFFAOYSA-N 0 0 271.685 2.679 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000357517521 382202467 /nfs/dbraw/zinc/20/24/67/382202467.db2.gz UDAMPKQWZXIVTL-VHSXEESVSA-N 0 0 279.340 2.930 20 5 CFBDRN CO[C@@H](CNc1nccc(C)c1[N+](=O)[O-])CC(C)C ZINC000418982512 382206248 /nfs/dbraw/zinc/20/62/48/382206248.db2.gz BDMJXAGNGCBNIL-LLVKDONJSA-N 0 0 267.329 2.771 20 5 CFBDRN COc1cc(NC[C@@H]2CC23CC3)c(F)cc1[N+](=O)[O-] ZINC000418980306 382206392 /nfs/dbraw/zinc/20/63/92/382206392.db2.gz OVEMGBTUIIVWDR-QMMMGPOBSA-N 0 0 266.272 2.955 20 5 CFBDRN Cc1csc(N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000344222025 382207622 /nfs/dbraw/zinc/20/76/22/382207622.db2.gz RGQATGQRAVAEAJ-UHFFFAOYSA-N 0 0 295.295 2.775 20 5 CFBDRN COc1ccnc(NCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000302213720 382216663 /nfs/dbraw/zinc/21/66/63/382216663.db2.gz NSFXDOKUZNBMLT-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN Cc1ccc(Oc2ccc3ncccc3c2[N+](=O)[O-])c[n+]1[O-] ZINC000302219856 382218237 /nfs/dbraw/zinc/21/82/37/382218237.db2.gz MJHBZABQDXFASK-UHFFFAOYSA-N 0 0 297.270 2.877 20 5 CFBDRN C[C@H](C(=O)N1C[C@@H](C)[C@@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000357546792 382219122 /nfs/dbraw/zinc/21/91/22/382219122.db2.gz PXUOQBJXAHJTHN-UTLUCORTSA-N 0 0 280.299 2.704 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H](CCO)c1ccco1 ZINC000302223562 382219993 /nfs/dbraw/zinc/21/99/93/382219993.db2.gz PQYGQVYIHZGFFH-NSHDSACASA-N 0 0 262.265 2.723 20 5 CFBDRN CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)c1cccnc1 ZINC000344240746 382224017 /nfs/dbraw/zinc/22/40/17/382224017.db2.gz SGUYESXZOQNBIW-UHFFFAOYSA-N 0 0 289.266 2.796 20 5 CFBDRN CC[C@@]1(CO)CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000187627604 382229669 /nfs/dbraw/zinc/22/96/69/382229669.db2.gz TYPMJLJNVSESPP-CYBMUJFWSA-N 0 0 284.381 2.641 20 5 CFBDRN CCc1nn(C)c(N2C[C@@H]3CCCCC[C@@H]32)c1[N+](=O)[O-] ZINC000377159065 382230263 /nfs/dbraw/zinc/23/02/63/382230263.db2.gz OSKKABJUVJGHHO-JQWIXIFHSA-N 0 0 278.356 2.660 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1Cc2ccccc2CO1 ZINC000377173001 382231380 /nfs/dbraw/zinc/23/13/80/382231380.db2.gz ZLULNPAXHUARSM-UHFFFAOYSA-N 0 0 290.300 2.744 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H](C2CCOCC2)C1 ZINC000377186602 382232952 /nfs/dbraw/zinc/23/29/52/382232952.db2.gz XUXRCXDKJNHLBK-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1COc2ccccc2[C@@H]1O ZINC000377193215 382234261 /nfs/dbraw/zinc/23/42/61/382234261.db2.gz QDNIWHWHDMXBLI-DOMZBBRYSA-N 0 0 286.287 2.501 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1cccnc1 ZINC000344186898 382187768 /nfs/dbraw/zinc/18/77/68/382187768.db2.gz FVTRYFSAHDAFHP-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN C[C@@H](O)[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000301750085 382190044 /nfs/dbraw/zinc/19/00/44/382190044.db2.gz AMFDVMXCWXOKES-NXEZZACHSA-N 0 0 284.743 2.845 20 5 CFBDRN CCCN(CC)c1cc(OC)c([N+](=O)[O-])cc1F ZINC000357485367 382190152 /nfs/dbraw/zinc/19/01/52/382190152.db2.gz MIAAKBSTIWPFJF-UHFFFAOYSA-N 0 0 256.277 2.979 20 5 CFBDRN COCC1(CCNC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000293779184 382275778 /nfs/dbraw/zinc/27/57/78/382275778.db2.gz BPDKKCPQBGRVPO-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN CN(CC[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000302616493 382278029 /nfs/dbraw/zinc/27/80/29/382278029.db2.gz DATNDQSTNLXLSR-LLVKDONJSA-N 0 0 250.298 2.600 20 5 CFBDRN CO[C@H](C)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000302619543 382278509 /nfs/dbraw/zinc/27/85/09/382278509.db2.gz DGPLBXNQWYLSLG-SSDOTTSWSA-N 0 0 276.239 2.643 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[N@@H+]1CCC[C@H]1C1CC1 ZINC000377532884 382284333 /nfs/dbraw/zinc/28/43/33/382284333.db2.gz CDHCKGVSIKGXPQ-AWEZNQCLSA-N 0 0 276.336 2.978 20 5 CFBDRN COC(=O)c1cnc(NCC2(C(C)C)CC2)c([N+](=O)[O-])c1 ZINC000302662456 382284764 /nfs/dbraw/zinc/28/47/64/382284764.db2.gz BHRJJFTZHFKPND-UHFFFAOYSA-N 0 0 293.323 2.625 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])cn1)[C@@H]1CC[C@@H](C)C1 ZINC000302660617 382285053 /nfs/dbraw/zinc/28/50/53/382285053.db2.gz DYLDANHYEJMBIP-VXGBXAGGSA-N 0 0 279.340 2.631 20 5 CFBDRN COC(=O)[C@@H](C)Sc1nc2ccccc2cc1[N+](=O)[O-] ZINC000352358004 382288983 /nfs/dbraw/zinc/28/89/83/382288983.db2.gz UXCJEMZKBQNLGU-MRVPVSSYSA-N 0 0 292.316 2.797 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCC(C)(C)O2)c1[N+](=O)[O-] ZINC000302389606 382244857 /nfs/dbraw/zinc/24/48/57/382244857.db2.gz HZFZDCDVEULBOQ-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1ccc(CCNc2c3c(ccc2[N+](=O)[O-])NCC3)cn1 ZINC000302429184 382249057 /nfs/dbraw/zinc/24/90/57/382249057.db2.gz KUERVNSCFMZDAU-UHFFFAOYSA-N 0 0 298.346 2.921 20 5 CFBDRN CN(Cc1ccon1)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000352264400 382253767 /nfs/dbraw/zinc/25/37/67/382253767.db2.gz HCVUDJZNKFVMFE-UHFFFAOYSA-N 0 0 284.275 2.767 20 5 CFBDRN Cc1cccc(N2CC[C@H](Oc3cccnc3)C2)c1[N+](=O)[O-] ZINC000302471909 382254251 /nfs/dbraw/zinc/25/42/51/382254251.db2.gz DCLBPSABRKZESC-AWEZNQCLSA-N 0 0 299.330 2.956 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(Cl)cc2F)nc1 ZINC000302511557 382259446 /nfs/dbraw/zinc/25/94/46/382259446.db2.gz OHWZGNPVOPRXRE-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000302515328 382260613 /nfs/dbraw/zinc/26/06/13/382260613.db2.gz AJWKECUZLLQLCM-FXPVBKGRSA-N 0 0 283.715 2.623 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000352288391 382261860 /nfs/dbraw/zinc/26/18/60/382261860.db2.gz AFDPGIQWCJUOFY-SECBINFHSA-N 0 0 287.319 2.852 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000302534024 382263698 /nfs/dbraw/zinc/26/36/98/382263698.db2.gz GCJCIFQCLNTDPF-NDMJEZRESA-N 0 0 266.272 2.713 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000352301863 382267248 /nfs/dbraw/zinc/26/72/48/382267248.db2.gz JSWYVQUSHSPDTD-SNVBAGLBSA-N 0 0 287.319 2.852 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000302596896 382273358 /nfs/dbraw/zinc/27/33/58/382273358.db2.gz XRFBFRXNTBVEBW-LOWVWBTDSA-N 0 0 278.308 2.583 20 5 CFBDRN COCC1(CCNC(=O)c2ccc([N+](=O)[O-])s2)CCC1 ZINC000293776511 382273959 /nfs/dbraw/zinc/27/39/59/382273959.db2.gz BHWOYUKWQKXIDJ-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000293848804 382304801 /nfs/dbraw/zinc/30/48/01/382304801.db2.gz XAGVITARYKZOQD-SECBINFHSA-N 0 0 266.297 2.611 20 5 CFBDRN CN(CC[C@@H]1CCCO1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000302732364 382305475 /nfs/dbraw/zinc/30/54/75/382305475.db2.gz XCWJOMYGXOUGAG-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN C[C@H]1CCC[C@]1(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000293935863 382344180 /nfs/dbraw/zinc/34/41/80/382344180.db2.gz FPTRGJIOBZTLPY-ZANVPECISA-N 0 0 268.288 2.697 20 5 CFBDRN CCOc1cc(C[N@H+](C)CCCCOC)ccc1[N+](=O)[O-] ZINC000419384290 382309683 /nfs/dbraw/zinc/30/96/83/382309683.db2.gz JYDOJGGPPICUKW-UHFFFAOYSA-N 0 0 296.367 2.852 20 5 CFBDRN COCCCCN(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000419387344 382311610 /nfs/dbraw/zinc/31/16/10/382311610.db2.gz QTWNGEJRVQMGJF-UHFFFAOYSA-N 0 0 270.304 2.592 20 5 CFBDRN COC(=O)c1cnc(S[C@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC000302784188 382317470 /nfs/dbraw/zinc/31/74/70/382317470.db2.gz UPHDGHMPJCDDEJ-MRVPVSSYSA-N 0 0 284.337 2.913 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN[C@@H]2CCO[C@@H]2C2CC2)c(F)c1 ZINC000352414614 382318809 /nfs/dbraw/zinc/31/88/09/382318809.db2.gz UMSIPRIPIVJIHE-ZIAGYGMSSA-N 0 0 298.289 2.530 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN[C@H]2CCO[C@H]2C2CC2)c(F)c1 ZINC000352414611 382319141 /nfs/dbraw/zinc/31/91/41/382319141.db2.gz UMSIPRIPIVJIHE-KBPBESRZSA-N 0 0 298.289 2.530 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)C2CC2)c2ccncc21 ZINC000357783494 382319649 /nfs/dbraw/zinc/31/96/49/382319649.db2.gz OYMOVQXGFCLXNP-LLVKDONJSA-N 0 0 287.319 2.573 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000357800534 382328000 /nfs/dbraw/zinc/32/80/00/382328000.db2.gz MUVWCSKXMIHYKD-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc2cc[nH]c2c1 ZINC000352434698 382331461 /nfs/dbraw/zinc/33/14/61/382331461.db2.gz ZPTQHIXRSKEXGP-UHFFFAOYSA-N 0 0 284.275 2.681 20 5 CFBDRN CCOC(=O)[C@@H](C)[C@@H](C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000302886471 382346147 /nfs/dbraw/zinc/34/61/47/382346147.db2.gz NDVRGBYTIWMFJJ-WDEREUQCSA-N 0 0 280.324 2.903 20 5 CFBDRN CCc1ccc(C(=O)Nc2ccnc(C)n2)cc1[N+](=O)[O-] ZINC000357834538 382342651 /nfs/dbraw/zinc/34/26/51/382342651.db2.gz FNPJWHDIMMLQQD-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN O=C1COc2c(NCc3ccccc3[N+](=O)[O-])cccc2N1 ZINC000377779364 382342908 /nfs/dbraw/zinc/34/29/08/382342908.db2.gz XYIHJSXLMRFFSL-UHFFFAOYSA-N 0 0 299.286 2.538 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N[C@@H]1CCOC1 ZINC000302695443 382293680 /nfs/dbraw/zinc/29/36/80/382293680.db2.gz UMQBZRPOOVOKDH-MRVPVSSYSA-N 0 0 258.224 2.733 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1ncncc1Cl ZINC000302692157 382293840 /nfs/dbraw/zinc/29/38/40/382293840.db2.gz ZVNFYQLJRJDONX-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC2(CC2)CC1 ZINC000377593210 382297721 /nfs/dbraw/zinc/29/77/21/382297721.db2.gz MCVUCCLAQKCDOZ-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN CCCc1cc(C(=O)NCc2ccccc2[N+](=O)[O-])ccn1 ZINC000352483343 382356867 /nfs/dbraw/zinc/35/68/67/382356867.db2.gz YSHITLFSMXQLKH-UHFFFAOYSA-N 0 0 299.330 2.872 20 5 CFBDRN C[C@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccon1 ZINC000357941570 382383154 /nfs/dbraw/zinc/38/31/54/382383154.db2.gz JNOIHDOOFUPMDF-ZETCQYMHSA-N 0 0 295.682 2.727 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000357981912 382398073 /nfs/dbraw/zinc/39/80/73/382398073.db2.gz CDJHFBUZJSYGNW-RYUDHWBXSA-N 0 0 292.335 2.526 20 5 CFBDRN C[C@@H]1CN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)[C@H]1C ZINC000294033094 382387181 /nfs/dbraw/zinc/38/71/81/382387181.db2.gz JTMHDNGLDCBNAC-BDAKNGLRSA-N 0 0 273.292 2.557 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(OC)c2)CCS1 ZINC000352544935 382390752 /nfs/dbraw/zinc/39/07/52/382390752.db2.gz DULDGFCJFNDTDX-GFCCVEGCSA-N 0 0 296.392 2.931 20 5 CFBDRN COC[C@@H](C)CNC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000188110408 382346931 /nfs/dbraw/zinc/34/69/31/382346931.db2.gz QGXLJCPTAXSYSE-NSHDSACASA-N 0 0 294.351 2.731 20 5 CFBDRN C[C@H](C[C@@H]1CCCO1)Nc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302895689 382348175 /nfs/dbraw/zinc/34/81/75/382348175.db2.gz QUSAEBKEZIFTNM-MNOVXSKESA-N 0 0 291.351 2.932 20 5 CFBDRN CCC1(CNc2c(C(N)=O)cccc2[N+](=O)[O-])CCC1 ZINC000420604733 382422244 /nfs/dbraw/zinc/42/22/44/382422244.db2.gz SWGPUXHEAGSZIG-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN CCC1(CC)CN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000294117330 382422405 /nfs/dbraw/zinc/42/24/05/382422405.db2.gz JVZXKVZFXWWXSA-UHFFFAOYSA-N 0 0 268.338 2.919 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1nccc(C)c1[N+](=O)[O-])OC ZINC000294119355 382423232 /nfs/dbraw/zinc/42/32/32/382423232.db2.gz DNRWUTJUMVIOKI-KOLCDFICSA-N 0 0 267.329 2.771 20 5 CFBDRN COc1ccccc1CCN(C)c1ccncc1[N+](=O)[O-] ZINC000352632961 382424620 /nfs/dbraw/zinc/42/46/20/382424620.db2.gz IXSUZZVQBYJXNG-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)N1CCCC12CCC2 ZINC000365633698 382426922 /nfs/dbraw/zinc/42/69/22/382426922.db2.gz LTHNSBMACAASRP-UHFFFAOYSA-N 0 0 290.319 2.519 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(OC)c2)CCO1 ZINC000420617032 382427449 /nfs/dbraw/zinc/42/74/49/382427449.db2.gz JCZMLEDNTMBCRM-VXGBXAGGSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCCC(=O)c1ccccc1 ZINC000346606343 382433255 /nfs/dbraw/zinc/43/32/55/382433255.db2.gz IDCNGDDGMLQMIJ-UHFFFAOYSA-N 0 0 273.292 2.763 20 5 CFBDRN COc1cc(NCCCC2(O)CCC2)ccc1[N+](=O)[O-] ZINC000420626431 382433793 /nfs/dbraw/zinc/43/37/93/382433793.db2.gz CBOLMSKLIURVPK-UHFFFAOYSA-N 0 0 280.324 2.711 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1cc(C)nc(C)c1 ZINC000420659263 382448253 /nfs/dbraw/zinc/44/82/53/382448253.db2.gz ZUCJIOLZRHWJAN-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNc1c(C(N)=O)cccc1[N+](=O)[O-] ZINC000420635063 382436666 /nfs/dbraw/zinc/43/66/66/382436666.db2.gz YVUHXCCSNXCRMZ-ZJUUUORDSA-N 0 0 277.324 2.542 20 5 CFBDRN CC[C@@H](C)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000352677612 382448339 /nfs/dbraw/zinc/44/83/39/382448339.db2.gz LFBHPIOURWHCBL-SNVBAGLBSA-N 0 0 250.298 2.588 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2C)C[C@@H](CC)O1 ZINC000420636124 382438434 /nfs/dbraw/zinc/43/84/34/382438434.db2.gz VFLKRLGHOPISJI-CHWSQXEVSA-N 0 0 279.340 2.692 20 5 CFBDRN CCOc1cc(OCC(=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000188599957 382441379 /nfs/dbraw/zinc/44/13/79/382441379.db2.gz IBVWCPOLZJONPZ-UHFFFAOYSA-N 0 0 281.308 2.988 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H]3CCCC[C@H]32)c(N)c([N+](=O)[O-])c1 ZINC000365779830 382442309 /nfs/dbraw/zinc/44/23/09/382442309.db2.gz MHMYVWFRSRVJKO-ZYHUDNBSSA-N 0 0 289.335 2.500 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000357997788 382402848 /nfs/dbraw/zinc/40/28/48/382402848.db2.gz YXFVAUALEKARNY-VXGBXAGGSA-N 0 0 292.335 2.526 20 5 CFBDRN CC[C@H](C)CC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000188392174 382404913 /nfs/dbraw/zinc/40/49/13/382404913.db2.gz AICWBRWYHJJCLT-NSHDSACASA-N 0 0 264.325 2.989 20 5 CFBDRN CC(C)CCCCc1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000420493751 382407028 /nfs/dbraw/zinc/40/70/28/382407028.db2.gz PDNWGOWAXFQPMS-UHFFFAOYSA-N 0 0 293.327 2.747 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC1CCCCCC1 ZINC000420586903 382411666 /nfs/dbraw/zinc/41/16/66/382411666.db2.gz OUDMTFHYOLFTOA-UHFFFAOYSA-N 0 0 277.324 2.828 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CCCS1)c1ccccc1[N+](=O)[O-] ZINC000294109591 382419552 /nfs/dbraw/zinc/41/95/52/382419552.db2.gz NELSXIVRLWYIFL-GFCCVEGCSA-N 0 0 294.376 2.842 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)N1CCCC12CCC2 ZINC000365604124 382419805 /nfs/dbraw/zinc/41/98/05/382419805.db2.gz VLTWLBZUDTXBKB-UHFFFAOYSA-N 0 0 290.319 2.519 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[N@@H+](CCC1CC1)CCO2 ZINC000352622278 382420665 /nfs/dbraw/zinc/42/06/65/382420665.db2.gz ZNLHXFQOARRPLH-UHFFFAOYSA-N 0 0 262.309 2.589 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1ncc([N+](=O)[O-])cc1C)OC ZINC000294235004 382470449 /nfs/dbraw/zinc/47/04/49/382470449.db2.gz OQWLEBIRGFSNHD-BXKDBHETSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCC[C@H]1CF ZINC000294183113 382449204 /nfs/dbraw/zinc/44/92/04/382449204.db2.gz XAJPWIYNXOOZAB-ZDUSSCGKSA-N 0 0 252.289 2.837 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2C[C@H]2c2ccco2)ccc1[N+](=O)[O-] ZINC000365922527 382457545 /nfs/dbraw/zinc/45/75/45/382457545.db2.gz GTVBEYAVYAHHGH-ZJUUUORDSA-N 0 0 287.275 2.633 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2c2ncon2)ccc1[N+](=O)[O-] ZINC000378432331 382459970 /nfs/dbraw/zinc/45/99/70/382459970.db2.gz XZJUWCVMORHKOW-GFCCVEGCSA-N 0 0 274.280 2.628 20 5 CFBDRN COc1ccccc1[C@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294209322 382460735 /nfs/dbraw/zinc/46/07/35/382460735.db2.gz CCUZCLHHSMTFHA-NSHDSACASA-N 0 0 294.351 2.708 20 5 CFBDRN C[C@H]1CCOCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000378501245 382474179 /nfs/dbraw/zinc/47/41/79/382474179.db2.gz MUFSGNDDLGTTTG-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN CCC(C)(C)OCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000308511802 382478501 /nfs/dbraw/zinc/47/85/01/382478501.db2.gz JOCKPBIJSAVNPG-UHFFFAOYSA-N 0 0 298.368 2.921 20 5 CFBDRN C[C@@H]1CCCC[C@]1(C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000308704078 382485237 /nfs/dbraw/zinc/48/52/37/382485237.db2.gz HUVGLNIENLCYMY-BMIGLBTASA-N 0 0 291.351 2.876 20 5 CFBDRN CCCc1ccc(C(=O)Cn2cc([N+](=O)[O-])c(C)n2)cc1 ZINC000294271323 382487702 /nfs/dbraw/zinc/48/77/02/382487702.db2.gz RWKKHYYTDSSHFT-UHFFFAOYSA-N 0 0 287.319 2.935 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCC[C@@H]1CCCO1 ZINC000189004348 382522602 /nfs/dbraw/zinc/52/26/02/382522602.db2.gz MQFYIARYMXNOGX-JTQLQIEISA-N 0 0 255.245 2.682 20 5 CFBDRN CCN(CC1CCC1)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000358190169 382497306 /nfs/dbraw/zinc/49/73/06/382497306.db2.gz UHRIQUUVUCFFKH-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccncc2C)cc1[N+](=O)[O-] ZINC000188893251 382500446 /nfs/dbraw/zinc/50/04/46/382500446.db2.gz CNPOUAZYYPRVKT-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN COCC(C)(C)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000311117896 382500599 /nfs/dbraw/zinc/50/05/99/382500599.db2.gz WVJGQHPKAWBOQQ-UHFFFAOYSA-N 0 0 252.314 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CS(=O)(=O)c1ccccc1 ZINC000039415988 184547297 /nfs/dbraw/zinc/54/72/97/184547297.db2.gz JUJNRYMMUSVJEW-UHFFFAOYSA-N 0 0 295.291 2.708 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@@H]2CCCO2)c(F)c1 ZINC000188961869 382513106 /nfs/dbraw/zinc/51/31/06/382513106.db2.gz SMXQELGFSQOGOX-JTQLQIEISA-N 0 0 255.245 2.682 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1ccc(F)c(Cl)c1 ZINC000188968365 382513814 /nfs/dbraw/zinc/51/38/14/382513814.db2.gz QOTNLEKHFSNRMR-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN Cc1c(CNC(=O)NCc2ccccc2)cccc1[N+](=O)[O-] ZINC000358272419 382540375 /nfs/dbraw/zinc/54/03/75/382540375.db2.gz GVBXEMGSWGHSCA-UHFFFAOYSA-N 0 0 299.330 2.903 20 5 CFBDRN CC[C@H](C)CC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000189104114 382543799 /nfs/dbraw/zinc/54/37/99/382543799.db2.gz ZJRVFBVMYIJWDT-JTQLQIEISA-N 0 0 250.298 2.647 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCC[C@@H]2c2nc[nH]n2)c1 ZINC000294922764 382544704 /nfs/dbraw/zinc/54/47/04/382544704.db2.gz JKGLZVIPRVHIKL-GFCCVEGCSA-N 0 0 287.323 2.753 20 5 CFBDRN COc1cc(NC[C@@H](C)CCCO)c(F)cc1[N+](=O)[O-] ZINC000294965712 382555663 /nfs/dbraw/zinc/55/56/63/382555663.db2.gz NNYMOFQXRQXNFQ-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]1c1ccccc1 ZINC000358340625 382577027 /nfs/dbraw/zinc/57/70/27/382577027.db2.gz GLUQQRHSDSNMBE-JKSUJKDBSA-N 0 0 296.326 2.810 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1nnc(C(C)C)[nH]1 ZINC000295046086 382564940 /nfs/dbraw/zinc/56/49/40/382564940.db2.gz XFIISLGYMVPRPF-UHFFFAOYSA-N 0 0 275.312 2.757 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1Cc2ccccc21 ZINC000358341128 382577353 /nfs/dbraw/zinc/57/73/53/382577353.db2.gz HSYDCCVTKCHFDI-CQSZACIVSA-N 0 0 282.299 2.551 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCC[C@]12CCOC2 ZINC000367434999 382569132 /nfs/dbraw/zinc/56/91/32/382569132.db2.gz LGKPCYSEWWJPPJ-MRXNPFEDSA-N 0 0 299.330 2.902 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCC1CC(F)(F)C1 ZINC000295056282 382570462 /nfs/dbraw/zinc/57/04/62/382570462.db2.gz UYAOQRLSQRYLRH-UHFFFAOYSA-N 0 0 271.219 2.831 20 5 CFBDRN CN(CCC(F)(F)F)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000358335103 382573887 /nfs/dbraw/zinc/57/38/87/382573887.db2.gz YOUJSWIKHOREQU-UHFFFAOYSA-N 0 0 290.241 2.548 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@]12C[C@H]1CCC2 ZINC000421432181 382534354 /nfs/dbraw/zinc/53/43/54/382534354.db2.gz QMDYJNDOGQPBOP-IUODEOHRSA-N 0 0 274.320 2.743 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@]1(C)CC1(F)F ZINC000421438378 382537718 /nfs/dbraw/zinc/53/77/18/382537718.db2.gz ZVVZLSVAIOKVQY-LBPRGKRZSA-N 0 0 284.262 2.599 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1cccc(Cl)c1 ZINC000358269194 382537991 /nfs/dbraw/zinc/53/79/91/382537991.db2.gz CCVYQCMZNOHGOS-UHFFFAOYSA-N 0 0 294.742 2.971 20 5 CFBDRN CN(Cc1c(F)cccc1F)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000358353774 382584014 /nfs/dbraw/zinc/58/40/14/382584014.db2.gz SFFBMFHZSBEORN-UHFFFAOYSA-N 0 0 296.229 2.738 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000189292723 382583993 /nfs/dbraw/zinc/58/39/93/382583993.db2.gz DSNZLSMQGFMTJH-UHFFFAOYSA-N 0 0 297.330 2.728 20 5 CFBDRN CC[C@H](C)CNC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000421583433 382592145 /nfs/dbraw/zinc/59/21/45/382592145.db2.gz YDRBNJJDTFXHGG-NSHDSACASA-N 0 0 280.324 2.526 20 5 CFBDRN CCOc1cc(N[C@@H]2CC23CCOCC3)ccc1[N+](=O)[O-] ZINC000387126508 382596560 /nfs/dbraw/zinc/59/65/60/382596560.db2.gz LITASHQTFQLZIS-CQSZACIVSA-N 0 0 292.335 2.975 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@H]2CCCO2)c1 ZINC000295259295 382601268 /nfs/dbraw/zinc/60/12/68/382601268.db2.gz HMBXIQQNFCSDPR-GFCCVEGCSA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OC[C@@H](O)CC(F)(F)F ZINC000189409674 382604641 /nfs/dbraw/zinc/60/46/41/382604641.db2.gz UZODDTBYYVOXMS-ZETCQYMHSA-N 0 0 299.632 2.940 20 5 CFBDRN CC(C)(C)CC[C@H](CO)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000358418488 382605470 /nfs/dbraw/zinc/60/54/70/382605470.db2.gz WTYXTCFLSNPOIQ-GFCCVEGCSA-N 0 0 294.351 2.512 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1cc(C)c([N+](=O)[O-])cn1)C1CC1 ZINC000388348896 382606411 /nfs/dbraw/zinc/60/64/11/382606411.db2.gz NOAKACRIESMUSF-RNCFNFMXSA-N 0 0 265.313 2.524 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000388696625 382608198 /nfs/dbraw/zinc/60/81/98/382608198.db2.gz MJLMJBFQYDQFKW-CMPLNLGQSA-N 0 0 291.351 2.945 20 5 CFBDRN CO[C@@H]1CC[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000189448330 382609760 /nfs/dbraw/zinc/60/97/60/382609760.db2.gz LGUGVNGNATUBGP-WDEREUQCSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000389277811 382612907 /nfs/dbraw/zinc/61/29/07/382612907.db2.gz JVVPNFQMTMFHLL-UWVGGRQHSA-N 0 0 268.288 2.879 20 5 CFBDRN COc1ccc(CN[C@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000389450630 382613909 /nfs/dbraw/zinc/61/39/09/382613909.db2.gz SGJPYHCTKRWMKI-MRVPVSSYSA-N 0 0 274.267 2.737 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C(C)(F)F ZINC000389531821 382615792 /nfs/dbraw/zinc/61/57/92/382615792.db2.gz VPWHBYHJTQRXQW-ZCFIWIBFSA-N 0 0 276.214 2.507 20 5 CFBDRN Nc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccsc1Cl ZINC000189505859 382617807 /nfs/dbraw/zinc/61/78/07/382617807.db2.gz ZWUAUESQJLRIMB-UHFFFAOYSA-N 0 0 298.711 2.539 20 5 CFBDRN COc1cc(N[C@H]2CSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000397816918 382634147 /nfs/dbraw/zinc/63/41/47/382634147.db2.gz RWZQGYVPNPRVBR-SCZZXKLOSA-N 0 0 268.338 2.767 20 5 CFBDRN CCOc1cc(N[C@@H](CO)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000393926438 382625120 /nfs/dbraw/zinc/62/51/20/382625120.db2.gz BWSBPDAREBLUDQ-ZDUSSCGKSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1cc(CNC(=O)c2cccc([N+](=O)[O-])c2C)cc(C)n1 ZINC000421557094 382578297 /nfs/dbraw/zinc/57/82/97/382578297.db2.gz KQTIQYLRDNYQPK-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CCC(CC)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358343346 382578897 /nfs/dbraw/zinc/57/88/97/382578897.db2.gz SSMDWQWAYULBIO-UHFFFAOYSA-N 0 0 268.288 2.581 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1CC[C@@H](C)O1 ZINC000421562889 382581346 /nfs/dbraw/zinc/58/13/46/382581346.db2.gz YIIVSSSSDAONOV-NEPJUHHUSA-N 0 0 292.335 2.509 20 5 CFBDRN CSc1ccccc1Cn1cc([N+](=O)[O-])c(C)n1 ZINC000358351956 382583177 /nfs/dbraw/zinc/58/31/77/382583177.db2.gz QMKYLEJJIALCTM-UHFFFAOYSA-N 0 0 263.322 2.870 20 5 CFBDRN Cc1cncc(CN2CCc3c2cccc3[N+](=O)[O-])c1 ZINC000189972595 382648028 /nfs/dbraw/zinc/64/80/28/382648028.db2.gz CMUWPLQQXZBSPA-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CCOC1CC(CCNC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000190029387 382650930 /nfs/dbraw/zinc/65/09/30/382650930.db2.gz ZPWRCKHUEIMBOW-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN CCOC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)C ZINC000190979609 382687094 /nfs/dbraw/zinc/68/70/94/382687094.db2.gz XGHUWFVLCHMKNL-CQSZACIVSA-N 0 0 294.351 2.694 20 5 CFBDRN CC[C@H](CCO)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190169486 382655690 /nfs/dbraw/zinc/65/56/90/382655690.db2.gz ISSJEOQDUSUOGC-GFCCVEGCSA-N 0 0 294.351 2.609 20 5 CFBDRN COc1ccc(OCCCSC)cc1[N+](=O)[O-] ZINC000190180027 382656159 /nfs/dbraw/zinc/65/61/59/382656159.db2.gz CABXYGKTYARJFA-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN COc1ccc(OCc2nccn2C(F)F)cc1[N+](=O)[O-] ZINC000190184423 382656369 /nfs/dbraw/zinc/65/63/69/382656369.db2.gz CQMLRSDGEJPUTD-UHFFFAOYSA-N 0 0 299.233 2.774 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)[C@H](O)c1ccc(F)cc1 ZINC000190328387 382662292 /nfs/dbraw/zinc/66/22/92/382662292.db2.gz WIYGHSDNCGUYFR-CPCISQLKSA-N 0 0 297.311 2.724 20 5 CFBDRN Cc1cnc([C@@H](C)Nc2ncc([N+](=O)[O-])s2)s1 ZINC000189689402 382635556 /nfs/dbraw/zinc/63/55/56/382635556.db2.gz DSPUYKXVFBAHPU-ZCFIWIBFSA-N 0 0 270.339 2.989 20 5 CFBDRN Cc1nc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2C)no1 ZINC000191299381 382698092 /nfs/dbraw/zinc/69/80/92/382698092.db2.gz XRZOHRUXGSGYMF-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN CCC[C@@H]1CCCCN1C(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000191708450 382702130 /nfs/dbraw/zinc/70/21/30/382702130.db2.gz GNKXODUDQCDGCV-LLVKDONJSA-N 0 0 294.355 2.606 20 5 CFBDRN O=[N+]([O-])c1cnc(N(C[C@H]2CCCO2)C2CCCC2)s1 ZINC000192466615 382709327 /nfs/dbraw/zinc/70/93/27/382709327.db2.gz WCIYJQBZRXAAAE-LLVKDONJSA-N 0 0 297.380 2.979 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])s1)C(C)(C)C ZINC000192473230 382709337 /nfs/dbraw/zinc/70/93/37/382709337.db2.gz QHGGSDRVLTVSGG-ZETCQYMHSA-N 0 0 259.331 2.524 20 5 CFBDRN COCCC(C)(C)CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000192483771 382709500 /nfs/dbraw/zinc/70/95/00/382709500.db2.gz BYKWBMGCUWPQOA-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN COc1cc(C)cc(CNc2ncc([N+](=O)[O-])s2)c1 ZINC000192505630 382709513 /nfs/dbraw/zinc/70/95/13/382709513.db2.gz RWUYSBMSUPWGKZ-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN CC(C)[C@H](O)C(C)(C)CNc1ncc([N+](=O)[O-])s1 ZINC000192508725 382709597 /nfs/dbraw/zinc/70/95/97/382709597.db2.gz QKTDIYANTBEGSJ-VIFPVBQESA-N 0 0 273.358 2.506 20 5 CFBDRN CN(CC1(O)CCCCCC1)c1ncc([N+](=O)[O-])s1 ZINC000192540386 382709941 /nfs/dbraw/zinc/70/99/41/382709941.db2.gz VASOHFIRLAYBIT-UHFFFAOYSA-N 0 0 285.369 2.573 20 5 CFBDRN CN(CC1(O)CCCCCC1)c1cc(N)ccc1[N+](=O)[O-] ZINC000193516120 382720620 /nfs/dbraw/zinc/72/06/20/382720620.db2.gz ZMYLNBRWUCQFEA-UHFFFAOYSA-N 0 0 293.367 2.699 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H](C)[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000193709172 382723014 /nfs/dbraw/zinc/72/30/14/382723014.db2.gz FNZKVKNDTXHMQQ-GXFFZTMASA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1c(NC(=O)[C@H]2CCOC[C@H]2C)cccc1[N+](=O)[O-] ZINC000193869106 382727888 /nfs/dbraw/zinc/72/78/88/382727888.db2.gz HXVRAERYPILTKE-KOLCDFICSA-N 0 0 278.308 2.514 20 5 CFBDRN COc1cc(NCc2oc(C)nc2C)ccc1[N+](=O)[O-] ZINC000336834115 382727991 /nfs/dbraw/zinc/72/79/91/382727991.db2.gz PVQGVXWSJILYDQ-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN CCOCC(C)(C)CNc1c([N+](=O)[O-])nc(C)n1CC ZINC000336838341 382730396 /nfs/dbraw/zinc/73/03/96/382730396.db2.gz MYPBDMRULQWZEA-UHFFFAOYSA-N 0 0 284.360 2.594 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCOC[C@H]1C ZINC000193956337 382730780 /nfs/dbraw/zinc/73/07/80/382730780.db2.gz YNSNSYYDYHEMLR-ZYHUDNBSSA-N 0 0 292.335 2.823 20 5 CFBDRN CC(C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000336846877 382734399 /nfs/dbraw/zinc/73/43/99/382734399.db2.gz BUUVVXAKHDBMCK-HNNXBMFYSA-N 0 0 289.335 2.962 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)[C@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000195414147 382767331 /nfs/dbraw/zinc/76/73/31/382767331.db2.gz CDSMAVLCKMJNII-UWVGGRQHSA-N 0 0 294.355 2.531 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000195414163 382767520 /nfs/dbraw/zinc/76/75/20/382767520.db2.gz CDSMAVLCKMJNII-ZJUUUORDSA-N 0 0 294.355 2.531 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC[C@H]3CCOC3)ccnc21 ZINC000214729975 382777132 /nfs/dbraw/zinc/77/71/32/382777132.db2.gz HQLXQTRRQMWXMB-SNVBAGLBSA-N 0 0 273.292 2.591 20 5 CFBDRN Cc1cccc(NCCCn2ccnc2)c1[N+](=O)[O-] ZINC000218822569 382791065 /nfs/dbraw/zinc/79/10/65/382791065.db2.gz IVNHMCUBDNMXAP-UHFFFAOYSA-N 0 0 260.297 2.602 20 5 CFBDRN Cc1cc(NC[C@]2(O)CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000336888076 382737697 /nfs/dbraw/zinc/73/76/97/382737697.db2.gz DVIGXBKKHNMZIO-SMDDNHRTSA-N 0 0 264.325 2.866 20 5 CFBDRN CSC1(CNC(=O)Cc2cccc([N+](=O)[O-])c2)CCC1 ZINC000194497711 382746141 /nfs/dbraw/zinc/74/61/41/382746141.db2.gz QPTARMUXOWPMJG-UHFFFAOYSA-N 0 0 294.376 2.539 20 5 CFBDRN CN(Cc1cc(F)cc(F)c1)c1ncc([N+](=O)[O-])s1 ZINC000336961409 382746486 /nfs/dbraw/zinc/74/64/86/382746486.db2.gz BANXYMNWGARDEX-UHFFFAOYSA-N 0 0 285.275 2.966 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCCC2(C)C)c1[N+](=O)[O-] ZINC000194612006 382749911 /nfs/dbraw/zinc/74/99/11/382749911.db2.gz MJSGZOWLLPWZNI-GFCCVEGCSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C1CCN1c1ccc(Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000336998319 382750034 /nfs/dbraw/zinc/75/00/34/382750034.db2.gz QBQKMHVCTQQJLP-UHFFFAOYSA-N 0 0 290.304 2.532 20 5 CFBDRN CCCCN(CCOC)C(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000194661409 382751546 /nfs/dbraw/zinc/75/15/46/382751546.db2.gz FNXGLKAFBVHNNJ-UHFFFAOYSA-N 0 0 294.351 2.792 20 5 CFBDRN Cc1cccc2oc(CCNc3ccc([N+](=O)[O-])cn3)nc21 ZINC000337281070 382793131 /nfs/dbraw/zinc/79/31/31/382793131.db2.gz VYCYCNIPRCWMLM-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN C[C@@H](NC(=O)C1(CF)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000577063625 382846585 /nfs/dbraw/zinc/84/65/85/382846585.db2.gz PNPJLQAGGSWAQQ-SNVBAGLBSA-N 0 0 280.299 2.912 20 5 CFBDRN Cc1ncc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)s1 ZINC000337293704 382795830 /nfs/dbraw/zinc/79/58/30/382795830.db2.gz OCRWPKPDJHUCRC-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1ncc(NC(=O)Cc2ccccc2[N+](=O)[O-])s1 ZINC000337302593 382797777 /nfs/dbraw/zinc/79/77/77/382797777.db2.gz YKAPZKGKCDNOPN-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN CC(C)(CCCO)CNc1ccc([N+](=O)[O-])cc1 ZINC000221192950 382797941 /nfs/dbraw/zinc/79/79/41/382797941.db2.gz CNNBZPGKKMHYKE-UHFFFAOYSA-N 0 0 252.314 2.805 20 5 CFBDRN C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC1CCC1 ZINC000337329553 382802942 /nfs/dbraw/zinc/80/29/42/382802942.db2.gz ZTDDLBSWSIUJDY-QMMMGPOBSA-N 0 0 298.726 2.684 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncc([N+](=O)[O-])cc2C(F)(F)F)CO1 ZINC000575984179 382806199 /nfs/dbraw/zinc/80/61/99/382806199.db2.gz PLAMADVXGABEFH-NKWVEPMBSA-N 0 0 291.229 2.598 20 5 CFBDRN COc1cccc(CCNc2ncc([N+](=O)[O-])cc2C)c1 ZINC000224503244 382811366 /nfs/dbraw/zinc/81/13/66/382811366.db2.gz AONIGLFJMGIPAM-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COc1cc(N[C@@H]2CCSC2)c([N+](=O)[O-])cc1OC ZINC000225504378 382817271 /nfs/dbraw/zinc/81/72/71/382817271.db2.gz CRDPIUSHJZUJCZ-MRVPVSSYSA-N 0 0 284.337 2.529 20 5 CFBDRN CCC1(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)COC1 ZINC000577217631 382851847 /nfs/dbraw/zinc/85/18/47/382851847.db2.gz BMVUNVMVQSUQAU-UHFFFAOYSA-N 0 0 291.351 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@H]1CC[C@@H](O)C1 ZINC000227661379 382838901 /nfs/dbraw/zinc/83/89/01/382838901.db2.gz WGPTWCHCZJSHEU-WCBMZHEXSA-N 0 0 270.716 2.821 20 5 CFBDRN CC(C)CCCn1cnc2sc([N+](=O)[O-])cc2c1=O ZINC000576872597 382839115 /nfs/dbraw/zinc/83/91/15/382839115.db2.gz MFKPLDKBJKPVMA-UHFFFAOYSA-N 0 0 281.337 2.802 20 5 CFBDRN Cc1nc(N2CCC[C@@H]2[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000576868912 382839427 /nfs/dbraw/zinc/83/94/27/382839427.db2.gz PMCWTVFIMXVJMC-TZMCWYRMSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1(C)CCOCC1 ZINC000227825363 382841055 /nfs/dbraw/zinc/84/10/55/382841055.db2.gz JMJKNNRYJNWYKH-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CC[C@H]1CCN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000576926108 382841199 /nfs/dbraw/zinc/84/11/99/382841199.db2.gz JHTDLBOZAYFZHV-NEPJUHHUSA-N 0 0 276.336 2.957 20 5 CFBDRN CNC(=O)c1ccc(NCC2(C(C)C)CC2)c([N+](=O)[O-])c1 ZINC000227824136 382841330 /nfs/dbraw/zinc/84/13/30/382841330.db2.gz RIAIDBWCUSSXQB-UHFFFAOYSA-N 0 0 291.351 2.803 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2CCCC[C@H]2CO)c(F)c1 ZINC000227890611 382841930 /nfs/dbraw/zinc/84/19/30/382841930.db2.gz ZSWBJFCZOKPCAG-QPUJVOFHSA-N 0 0 286.278 2.836 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCCn2cccn2)c(F)c1 ZINC000227888066 382841971 /nfs/dbraw/zinc/84/19/71/382841971.db2.gz MCQBMUBXLRZHRX-UHFFFAOYSA-N 0 0 282.250 2.572 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1c(F)cc([N+](=O)[O-])cc1F ZINC000227890357 382842015 /nfs/dbraw/zinc/84/20/15/382842015.db2.gz YZALRBBAYSPQMX-ZCFIWIBFSA-N 0 0 291.275 2.917 20 5 CFBDRN COc1ccc(CNc2c(F)cc([N+](=O)[O-])cc2F)cn1 ZINC000227887818 382842100 /nfs/dbraw/zinc/84/21/00/382842100.db2.gz BWSUEWMVBPDPMZ-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC1(C(F)F)CC1 ZINC000337561219 382842295 /nfs/dbraw/zinc/84/22/95/382842295.db2.gz KROLTURNRGGTEK-UHFFFAOYSA-N 0 0 285.250 2.822 20 5 CFBDRN C[C@H](O)CCCNc1ccc([N+](=O)[O-])cc1Cl ZINC000227995807 382843268 /nfs/dbraw/zinc/84/32/68/382843268.db2.gz AVZBCBJCQNCPOP-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN CC(=O)c1cc(N(C)CCOCC2CC2)ccc1[N+](=O)[O-] ZINC000228261384 382845983 /nfs/dbraw/zinc/84/59/83/382845983.db2.gz PXHRRRKVEYFYQQ-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN COc1cc(C(=O)NCCC(C)(C)C)ccc1[N+](=O)[O-] ZINC000338024929 382906088 /nfs/dbraw/zinc/90/60/88/382906088.db2.gz VNQYOPLDNZAKNK-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN COc1ccc(NC(=O)Cc2ccc(C)o2)c([N+](=O)[O-])c1 ZINC000533607126 382907329 /nfs/dbraw/zinc/90/73/29/382907329.db2.gz VSIHQKYDUGWPHY-UHFFFAOYSA-N 0 0 290.275 2.686 20 5 CFBDRN C[C@@H]1CCCN(C(=O)CSc2cccc([N+](=O)[O-])c2)C1 ZINC000337744042 382860764 /nfs/dbraw/zinc/86/07/64/382860764.db2.gz FJFWSZIPSFTFCX-LLVKDONJSA-N 0 0 294.376 2.945 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000337753513 382861573 /nfs/dbraw/zinc/86/15/73/382861573.db2.gz ALDGRSDPOPCOET-HGNGGELXSA-N 0 0 284.262 2.673 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2N[C@@H]1CCCc2c[nH]nc21 ZINC000577529392 382862837 /nfs/dbraw/zinc/86/28/37/382862837.db2.gz SROMMFGGEHMQNO-UONOGXRCSA-N 0 0 298.346 2.972 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@H](OC)C2)ccc1[N+](=O)[O-] ZINC000231684867 382866479 /nfs/dbraw/zinc/86/64/79/382866479.db2.gz JZCSXOLUCWKDBP-KOLCDFICSA-N 0 0 266.297 2.583 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000231685409 382866594 /nfs/dbraw/zinc/86/65/94/382866594.db2.gz NBRYPOZNPYEDTM-WPRPVWTQSA-N 0 0 254.261 2.713 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2C[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000337818541 382868351 /nfs/dbraw/zinc/86/83/51/382868351.db2.gz OBFWRWXZCMJHKL-BDAKNGLRSA-N 0 0 270.235 2.743 20 5 CFBDRN Cc1cn(Cc2cc3ccccc3o2)nc1[N+](=O)[O-] ZINC000337936317 382874394 /nfs/dbraw/zinc/87/43/94/382874394.db2.gz IDRHGNPMSKBDPD-UHFFFAOYSA-N 0 0 257.249 2.894 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@H]1CCCOC1 ZINC000533202218 382885962 /nfs/dbraw/zinc/88/59/62/382885962.db2.gz WBZGTSMLBKBZHZ-VIFPVBQESA-N 0 0 255.245 2.539 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)CCO1 ZINC000421660297 382887155 /nfs/dbraw/zinc/88/71/55/382887155.db2.gz BFEOBAKNGYWNGF-VHSXEESVSA-N 0 0 298.364 2.652 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2CC=CCC2)c1F ZINC000235883827 382889554 /nfs/dbraw/zinc/88/95/54/382889554.db2.gz FCMLGWCQSNHFHN-JTQLQIEISA-N 0 0 278.283 2.881 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H]2CCCSC2)c1F ZINC000235885408 382889641 /nfs/dbraw/zinc/88/96/41/382889641.db2.gz SZGUCGDKCJPXGJ-VIFPVBQESA-N 0 0 298.339 2.668 20 5 CFBDRN CCCc1nc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)co1 ZINC000337992526 382892229 /nfs/dbraw/zinc/89/22/29/382892229.db2.gz BXULYKXWUZQQKN-UHFFFAOYSA-N 0 0 293.254 2.927 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@H](O)C(F)(F)F ZINC000236541367 382895294 /nfs/dbraw/zinc/89/52/94/382895294.db2.gz SGHIMEMCRXDWTB-QMMMGPOBSA-N 0 0 284.621 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@H](O)C2)c2ncccc12 ZINC000236571605 382896286 /nfs/dbraw/zinc/89/62/86/382896286.db2.gz UBYFIUKSYRTRPZ-QWRGUYRKSA-N 0 0 287.319 2.716 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@@H]1CCC[C@@H]1F ZINC000455327760 382896515 /nfs/dbraw/zinc/89/65/15/382896515.db2.gz HMFVWQAZIWMYRP-WDEREUQCSA-N 0 0 285.250 2.746 20 5 CFBDRN CC(F)(F)CCNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000455336757 382898726 /nfs/dbraw/zinc/89/87/26/382898726.db2.gz QJMAMDGHBLXACS-UHFFFAOYSA-N 0 0 291.229 2.901 20 5 CFBDRN CNC(=O)CCS[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000578633683 382939316 /nfs/dbraw/zinc/93/93/16/382939316.db2.gz ZOEDTFCPPLTUHL-SECBINFHSA-N 0 0 268.338 2.525 20 5 CFBDRN CC[C@@H](F)CNc1cc(C(=O)OC)cc([N+](=O)[O-])c1C ZINC000442107120 382930239 /nfs/dbraw/zinc/93/02/39/382930239.db2.gz KWUINCPIWPVNQJ-SNVBAGLBSA-N 0 0 284.287 2.850 20 5 CFBDRN COCC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000338139679 382931541 /nfs/dbraw/zinc/93/15/41/382931541.db2.gz BDHGSRQVWKCHPO-ZDUSSCGKSA-N 0 0 294.351 2.776 20 5 CFBDRN COc1ccc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000338288882 382977887 /nfs/dbraw/zinc/97/78/87/382977887.db2.gz RFTIDDHNNHYQBS-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1ccc(NCCOc2ncccc2F)c([N+](=O)[O-])c1 ZINC000338292154 382979475 /nfs/dbraw/zinc/97/94/75/382979475.db2.gz ZHDYRVCEUGIMFH-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN CC(C)CC[C@@H](O)COc1ccc(F)cc1[N+](=O)[O-] ZINC000280285736 194781939 /nfs/dbraw/zinc/78/19/39/194781939.db2.gz MEBDWRIWIIAOGC-LLVKDONJSA-N 0 0 271.288 2.910 20 5 CFBDRN CC[C@]1(C(C)C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000457226730 382997053 /nfs/dbraw/zinc/99/70/53/382997053.db2.gz DCXQWOGWXJWEIF-ZWNOBZJWSA-N 0 0 266.297 2.742 20 5 CFBDRN CC(C)(CNC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000549058221 382997638 /nfs/dbraw/zinc/99/76/38/382997638.db2.gz ZFISSRXTBSMEIG-UHFFFAOYSA-N 0 0 290.241 2.913 20 5 CFBDRN CN(CCc1ccccn1)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000447688589 382999716 /nfs/dbraw/zinc/99/97/16/382999716.db2.gz MLYNUFFBSIGZDO-UHFFFAOYSA-N 0 0 297.318 2.545 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CC[C@H](F)C1 ZINC000579373678 383009458 /nfs/dbraw/zinc/00/94/58/383009458.db2.gz BSTHGROQPGWMSV-DTWKUNHWSA-N 0 0 254.261 2.906 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1NC1CCC1 ZINC000447817424 383012477 /nfs/dbraw/zinc/01/24/77/383012477.db2.gz OHABQTFSTBRBIT-UHFFFAOYSA-N 0 0 284.699 2.999 20 5 CFBDRN COc1cc(OC[C@H](O)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000280324649 194799322 /nfs/dbraw/zinc/79/93/22/194799322.db2.gz PRTOZYRELYKWMG-AWEZNQCLSA-N 0 0 295.335 2.923 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@]1(C)CCCCO1 ZINC000457444868 383038692 /nfs/dbraw/zinc/03/86/92/383038692.db2.gz PWLPYWPIPCYREA-OAHLLOKOSA-N 0 0 292.335 2.512 20 5 CFBDRN CCc1cncc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000448137220 383045965 /nfs/dbraw/zinc/04/59/65/383045965.db2.gz CQFFZXXIUJPBHJ-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN CC(C)C(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000551650363 383046805 /nfs/dbraw/zinc/04/68/05/383046805.db2.gz HEBVGHSGPUFKBP-UHFFFAOYSA-N 0 0 268.288 2.898 20 5 CFBDRN CCc1cncc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c1 ZINC000448203901 383048008 /nfs/dbraw/zinc/04/80/08/383048008.db2.gz MDGDUDLJXKIDHM-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CC(C)CC[C@@H](O)COc1ccccc1[N+](=O)[O-] ZINC000280371178 194820179 /nfs/dbraw/zinc/82/01/79/194820179.db2.gz UUSQITBEVIXTKU-LLVKDONJSA-N 0 0 253.298 2.771 20 5 CFBDRN Cc1cn(CCCN(C)c2ccccc2)nc1[N+](=O)[O-] ZINC000579958722 383070079 /nfs/dbraw/zinc/07/00/79/383070079.db2.gz QMVXDJJQPGXODE-UHFFFAOYSA-N 0 0 274.324 2.626 20 5 CFBDRN C[C@H](c1nc(-c2ccncn2)no1)c1cccc([N+](=O)[O-])c1 ZINC000580003921 383074470 /nfs/dbraw/zinc/07/44/70/383074470.db2.gz UDWYVNBHOROSHR-VIFPVBQESA-N 0 0 297.274 2.587 20 5 CFBDRN CC[C@H](C)[C@@H](O)C[NH2+][C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000579494498 383023017 /nfs/dbraw/zinc/02/30/17/383023017.db2.gz QPYUGMDXJXWHGZ-ZBINZKHDSA-N 0 0 278.352 2.579 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000457328247 383024025 /nfs/dbraw/zinc/02/40/25/383024025.db2.gz GGHKHSTVFINZTE-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ccnc3cccnc32)cc1 ZINC000338651452 383026002 /nfs/dbraw/zinc/02/60/02/383026002.db2.gz KSIRMKOBPXOFQY-UHFFFAOYSA-N 0 0 280.287 2.572 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000246464290 383077866 /nfs/dbraw/zinc/07/78/66/383077866.db2.gz JVDYACPIWVJFAF-QCZZGDTMSA-N 0 0 274.320 2.818 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000596435579 383126566 /nfs/dbraw/zinc/12/65/66/383126566.db2.gz BKKCDZCBLXXGSV-HAQNSBGRSA-N 0 0 287.319 2.544 20 5 CFBDRN COC1(CCN2CCc3c2cccc3[N+](=O)[O-])CCC1 ZINC000339053047 383133625 /nfs/dbraw/zinc/13/36/25/383133625.db2.gz SORIMBOWLQZCJH-UHFFFAOYSA-N 0 0 276.336 2.917 20 5 CFBDRN CN(C(=O)COc1cccc([N+](=O)[O-])c1)C1CC(C)(C)C1 ZINC000458218023 383134147 /nfs/dbraw/zinc/13/41/47/383134147.db2.gz WIPMJNQJUWVJRP-UHFFFAOYSA-N 0 0 292.335 2.621 20 5 CFBDRN O=C(NCc1cc(F)cc(F)c1)c1csc([N+](=O)[O-])c1 ZINC000339080005 383141850 /nfs/dbraw/zinc/14/18/50/383141850.db2.gz WEKDTNATQCFEOF-UHFFFAOYSA-N 0 0 298.270 2.865 20 5 CFBDRN COC(=O)CC1CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000338907569 383081711 /nfs/dbraw/zinc/08/17/11/383081711.db2.gz KJRVEXIHONRZSK-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN CC(C)CCCC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000448596310 383081879 /nfs/dbraw/zinc/08/18/79/383081879.db2.gz UFUSGMQWBAHAOL-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000552408763 383085772 /nfs/dbraw/zinc/08/57/72/383085772.db2.gz YRRWTBPRYUYBOM-GBIKHYSHSA-N 0 0 262.309 2.613 20 5 CFBDRN CCc1noc(C)c1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000339086065 383143940 /nfs/dbraw/zinc/14/39/40/383143940.db2.gz WCKXKODNVZMMIH-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000448646460 383093052 /nfs/dbraw/zinc/09/30/52/383093052.db2.gz IAJMNNGEHQLRBM-SGMGOOAPSA-N 0 0 286.331 2.920 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])[C@H]1C ZINC000552885337 383093427 /nfs/dbraw/zinc/09/34/27/383093427.db2.gz LUIYTOFYTJHWPV-JFGNBEQYSA-N 0 0 291.351 2.930 20 5 CFBDRN CO[C@H](COc1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000424084122 383176672 /nfs/dbraw/zinc/17/66/72/383176672.db2.gz DMVXLTINFNLFAD-CYBMUJFWSA-N 0 0 251.282 2.707 20 5 CFBDRN CCCc1nc(COc2ccc(C)cc2[N+](=O)[O-])no1 ZINC000424093177 383178156 /nfs/dbraw/zinc/17/81/56/383178156.db2.gz RMDZUZNXEGABDQ-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN CO[C@H](COc1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000424092739 383178685 /nfs/dbraw/zinc/17/86/85/383178685.db2.gz PNZVBYYIZQVIGZ-GFCCVEGCSA-N 0 0 251.282 2.707 20 5 CFBDRN CO[C@@H](COc1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000424092740 383178841 /nfs/dbraw/zinc/17/88/41/383178841.db2.gz PNZVBYYIZQVIGZ-LBPRGKRZSA-N 0 0 251.282 2.707 20 5 CFBDRN CO[C@@H](COc1ccc(C)cc1[N+](=O)[O-])C1CC1 ZINC000424094637 383179505 /nfs/dbraw/zinc/17/95/05/383179505.db2.gz UZKJLMAGVKNENP-ZDUSSCGKSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1cc(OCC(=O)NC(C)(C)C2CC2)ccc1[N+](=O)[O-] ZINC000518467977 383198212 /nfs/dbraw/zinc/19/82/12/383198212.db2.gz ZVTUSIFPMVUHBQ-UHFFFAOYSA-N 0 0 292.335 2.587 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]1C1CC1)c1ccc([N+](=O)[O-])cn1 ZINC000566724891 383205351 /nfs/dbraw/zinc/20/53/51/383205351.db2.gz QGZITDMSXAPMLO-AAEUAGOBSA-N 0 0 289.335 2.546 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC[C@H](F)C1 ZINC000424613100 383210759 /nfs/dbraw/zinc/21/07/59/383210759.db2.gz UOFHMVJWUJRIMA-OLZOCXBDSA-N 0 0 294.326 2.782 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@@H](F)C1 ZINC000424745722 383249325 /nfs/dbraw/zinc/24/93/25/383249325.db2.gz CYNCUNQVRWKWDK-CHWSQXEVSA-N 0 0 294.326 2.782 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NCCOC(C)(C)C ZINC000520182325 383249435 /nfs/dbraw/zinc/24/94/35/383249435.db2.gz YVGHTTUYVMJYFJ-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CC(C)[C@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000520466829 383258243 /nfs/dbraw/zinc/25/82/43/383258243.db2.gz RITSVPDSMWMVAE-NWDGAFQWSA-N 0 0 293.367 2.878 20 5 CFBDRN Cc1cc(N2CC[C@H](O)CC23CCC3)c(F)cc1[N+](=O)[O-] ZINC000519533562 383221522 /nfs/dbraw/zinc/22/15/22/383221522.db2.gz VUUWDKZIFJZBNX-NSHDSACASA-N 0 0 294.326 2.926 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C2CCC1CC2 ZINC000522250711 383334546 /nfs/dbraw/zinc/33/45/46/383334546.db2.gz BWYMLAMSYAPFIC-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN Cc1cc(OCC(=O)NCCC2(C)CC2)ccc1[N+](=O)[O-] ZINC000280678098 194925595 /nfs/dbraw/zinc/92/55/95/194925595.db2.gz FSARUXXPONRHHH-UHFFFAOYSA-N 0 0 292.335 2.588 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1C2CCC1CC2 ZINC000521556447 383300198 /nfs/dbraw/zinc/30/01/98/383300198.db2.gz WEIRFNQWQXPHKH-UHFFFAOYSA-N 0 0 299.330 2.703 20 5 CFBDRN CCOCCCCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000521746903 383307177 /nfs/dbraw/zinc/30/71/77/383307177.db2.gz JKVODFFONQBOBD-LBPRGKRZSA-N 0 0 294.351 2.631 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCC[C@H](O)CC1 ZINC000339789010 383318443 /nfs/dbraw/zinc/31/84/43/383318443.db2.gz USWVLSVIFGNWRP-LBPRGKRZSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCOCC1CCC1 ZINC000568309096 383390697 /nfs/dbraw/zinc/39/06/97/383390697.db2.gz JOWCKQIJQPZYQV-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N[C@H]1CC[C@H](O)CC1 ZINC000450411561 383397359 /nfs/dbraw/zinc/39/73/59/383397359.db2.gz XOJNASRAKNKPCI-XYPYZODXSA-N 0 0 287.319 2.858 20 5 CFBDRN C[C@H](CO)N(C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450411489 383397514 /nfs/dbraw/zinc/39/75/14/383397514.db2.gz ZLZKYDSLBPLERC-SECBINFHSA-N 0 0 293.348 2.535 20 5 CFBDRN Cc1c(CNC(=O)N2C[C@@H](C)[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000480064884 383415831 /nfs/dbraw/zinc/41/58/31/383415831.db2.gz IPEBZBKELFNUSS-GHMZBOCLSA-N 0 0 291.351 2.701 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCOC(C)(C)[C@@H]2C)n1 ZINC000408172043 291825647 /nfs/dbraw/zinc/82/56/47/291825647.db2.gz QYVNDFRUNZYFHB-NSHDSACASA-N 0 0 279.340 2.610 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000252183124 383405645 /nfs/dbraw/zinc/40/56/45/383405645.db2.gz TXQJMZLHKTXKBO-PEGIJTEDSA-N 0 0 250.298 2.963 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000340096473 383353249 /nfs/dbraw/zinc/35/32/49/383353249.db2.gz VNHMKGDMYMNJTD-VXGBXAGGSA-N 0 0 293.367 2.871 20 5 CFBDRN CCCN(C)c1ccc([N+](=O)[O-])c(C(=O)OCC)c1 ZINC000467770135 383356129 /nfs/dbraw/zinc/35/61/29/383356129.db2.gz KWCSLRJXZQYXBX-UHFFFAOYSA-N 0 0 266.297 2.618 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000425272923 383356309 /nfs/dbraw/zinc/35/63/09/383356309.db2.gz MWBNIRJGZHYOBX-ZDUSSCGKSA-N 0 0 289.335 2.697 20 5 CFBDRN CC(C)COc1ccc(Oc2c([N+](=O)[O-])ncn2C)cn1 ZINC000425282215 383359241 /nfs/dbraw/zinc/35/92/41/383359241.db2.gz NHNSLFADCZQTBV-UHFFFAOYSA-N 0 0 292.295 2.550 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1c[nH]c2ccccc12 ZINC000340151982 383363132 /nfs/dbraw/zinc/36/31/32/383363132.db2.gz ZREHWMDTTOZFNR-UHFFFAOYSA-N 0 0 282.303 2.548 20 5 CFBDRN C[C@@H](CNc1ccncc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000340151316 383363316 /nfs/dbraw/zinc/36/33/16/383363316.db2.gz XFKDMJFSDJHTBV-JTQLQIEISA-N 0 0 275.283 2.766 20 5 CFBDRN CC[C@@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])CCO1 ZINC000450237939 383387159 /nfs/dbraw/zinc/38/71/59/383387159.db2.gz RVTCHNOMBZKKES-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000568751307 383433895 /nfs/dbraw/zinc/43/38/95/383433895.db2.gz GHGBDPPTAGQZGS-MNOVXSKESA-N 0 0 295.314 2.647 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000526933831 383438644 /nfs/dbraw/zinc/43/86/44/383438644.db2.gz VOKMKEAEYODRCY-QWRGUYRKSA-N 0 0 262.309 2.537 20 5 CFBDRN CN(c1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCCC[C@H]1O ZINC000252926928 383439824 /nfs/dbraw/zinc/43/98/24/383439824.db2.gz OPHWEFLDUGJAHT-DGCLKSJQSA-N 0 0 284.743 2.988 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCO[C@H](C)C2)n1 ZINC000359098374 291827040 /nfs/dbraw/zinc/82/70/40/291827040.db2.gz SKDRVXLTJWTUIH-GHMZBOCLSA-N 0 0 265.313 2.586 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCC(C)(C)OC ZINC000340601863 383483784 /nfs/dbraw/zinc/48/37/84/383483784.db2.gz YBQMHGCIDIHENL-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)c1cccc(C2CC2)c1 ZINC000450549387 383456618 /nfs/dbraw/zinc/45/66/18/383456618.db2.gz BPMPZPFVTCJPKU-VIFPVBQESA-N 0 0 299.334 2.848 20 5 CFBDRN CSCCCN(C)c1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000569000274 383459186 /nfs/dbraw/zinc/45/91/86/383459186.db2.gz FNSLNBVQSGSBNP-UHFFFAOYSA-N 0 0 299.396 2.966 20 5 CFBDRN CCOC1(C)CN(c2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000450582816 383470155 /nfs/dbraw/zinc/47/01/55/383470155.db2.gz SSVSCKXUAJTBDL-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCC1=CCCCC1 ZINC000450588208 383472009 /nfs/dbraw/zinc/47/20/09/383472009.db2.gz VGNLVSUQUCSNJB-UHFFFAOYSA-N 0 0 289.335 2.867 20 5 CFBDRN Cc1cn([C@H](C)c2noc(-c3ccccc3)n2)nc1[N+](=O)[O-] ZINC000340596307 383483257 /nfs/dbraw/zinc/48/32/57/383483257.db2.gz OCBDPAHYYGUAQJ-SNVBAGLBSA-N 0 0 299.290 2.759 20 5 CFBDRN C[C@H](c1ccc(F)cc1)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340571926 383474359 /nfs/dbraw/zinc/47/43/59/383474359.db2.gz SSRFUCZTHXZAIB-SECBINFHSA-N 0 0 291.282 2.895 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000427982305 383418753 /nfs/dbraw/zinc/41/87/53/383418753.db2.gz QMRNTSUDGKFRMU-UHFFFAOYSA-N 0 0 286.295 2.565 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])nc1)C1(O)CCCC1 ZINC000450912824 383547204 /nfs/dbraw/zinc/54/72/04/383547204.db2.gz HWXBAJCSWYAVKO-UHFFFAOYSA-N 0 0 279.340 2.733 20 5 CFBDRN CCOc1cc(OCCC[C@H](C)O)ccc1[N+](=O)[O-] ZINC000450915715 383548016 /nfs/dbraw/zinc/54/80/16/383548016.db2.gz RBQJNIYEQXMHFM-JTQLQIEISA-N 0 0 269.297 2.533 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C(C)C)[C@@H](CO)C(C)C ZINC000450778199 383487989 /nfs/dbraw/zinc/48/79/89/383487989.db2.gz ZBPWAONGMHVCIG-UONOGXRCSA-N 0 0 295.383 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]([C@H](CO)C2CC2)C2CC2)c(F)c1 ZINC000450783594 383489898 /nfs/dbraw/zinc/48/98/98/383489898.db2.gz XUZDUDVPHNNFIQ-DOMZBBRYSA-N 0 0 294.326 2.943 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@@H](C)O ZINC000450796466 383493865 /nfs/dbraw/zinc/49/38/65/383493865.db2.gz MKWFYQOBXAOLHG-VXNVDRBHSA-N 0 0 290.266 2.768 20 5 CFBDRN CC1(CO)CN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 ZINC000450795799 383494262 /nfs/dbraw/zinc/49/42/62/383494262.db2.gz OJJKEIZAGJICKY-UHFFFAOYSA-N 0 0 291.134 2.720 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2cnccc2C)n1 ZINC000450802088 383495959 /nfs/dbraw/zinc/49/59/59/383495959.db2.gz UHDZXLATIVWELA-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN COc1cccc(N[C@@H]2CC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000340913542 383502022 /nfs/dbraw/zinc/50/20/22/383502022.db2.gz MQVBNMOJHJSJSD-RKDXNWHRSA-N 0 0 254.261 2.906 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCCCC[C@@H]2O)n1 ZINC000340956441 383510057 /nfs/dbraw/zinc/51/00/57/383510057.db2.gz BCYHNQFDNJBJRK-RYUDHWBXSA-N 0 0 279.340 2.712 20 5 CFBDRN Cc1cc(N(C)[C@H](CO)CC(C)(C)C)ncc1[N+](=O)[O-] ZINC000450837250 383514677 /nfs/dbraw/zinc/51/46/77/383514677.db2.gz SVQNKWPBRQYWKS-NSHDSACASA-N 0 0 281.356 2.532 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H](C)Cc1cnn(C)c1 ZINC000450839406 383516719 /nfs/dbraw/zinc/51/67/19/383516719.db2.gz GXWLZBXPBGGGOL-LLVKDONJSA-N 0 0 274.324 2.680 20 5 CFBDRN O=C(Nc1ccc2ncccc2c1)c1ccc([N+](=O)[O-])o1 ZINC000340987625 383519508 /nfs/dbraw/zinc/51/95/08/383519508.db2.gz YMTUSGDSWLZETE-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN C[C@H]1CCC(=O)[C@H](Oc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000450889606 383536803 /nfs/dbraw/zinc/53/68/03/383536803.db2.gz RLIJFBXMYRMXDK-ISVAXAHUSA-N 0 0 267.256 2.870 20 5 CFBDRN COc1cc(NCCC[C@@H]2CCOC2)c([N+](=O)[O-])cc1F ZINC000450888552 383536851 /nfs/dbraw/zinc/53/68/51/383536851.db2.gz NGIOZJYOEDFDPR-SNVBAGLBSA-N 0 0 298.314 2.971 20 5 CFBDRN Cc1ccc2nc(CNCc3ccc([N+](=O)[O-])cc3)cn2c1 ZINC000341039804 383540303 /nfs/dbraw/zinc/54/03/03/383540303.db2.gz DHZFIAVHZGYTFX-UHFFFAOYSA-N 0 0 296.330 2.841 20 5 CFBDRN CSC[C@H]1CCCN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000488935621 383584259 /nfs/dbraw/zinc/58/42/59/383584259.db2.gz FZKCKSQILCXRAT-NSHDSACASA-N 0 0 281.381 2.878 20 5 CFBDRN COC(=O)c1cc(N[C@@H]2CC[C@@H]2C)cc(C)c1[N+](=O)[O-] ZINC000570233817 383585496 /nfs/dbraw/zinc/58/54/96/383585496.db2.gz PARJYLBDQDIERN-QPUJVOFHSA-N 0 0 278.308 2.900 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000430786961 383602100 /nfs/dbraw/zinc/60/21/00/383602100.db2.gz DPNYRNGOHLEHJB-PWSUYJOCSA-N 0 0 292.335 2.587 20 5 CFBDRN CCc1occc1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000430839551 383605411 /nfs/dbraw/zinc/60/54/11/383605411.db2.gz TURSMPQNDXCMLQ-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Cc1ccc(CN(C)c2ncc([N+](=O)[O-])cn2)cc1C ZINC000450934691 383556777 /nfs/dbraw/zinc/55/67/77/383556777.db2.gz SEYMHSDAFIZOSI-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN CS[C@H](C)CNC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000341083049 383558476 /nfs/dbraw/zinc/55/84/76/383558476.db2.gz BNRLPJVJGBNLAG-NXEZZACHSA-N 0 0 297.380 2.707 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC[C@H](CC(C)(C)C)C1 ZINC000450939926 383559034 /nfs/dbraw/zinc/55/90/34/383559034.db2.gz CNILTKNFAXATMY-SNVBAGLBSA-N 0 0 266.345 2.591 20 5 CFBDRN COC[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000450948694 383563535 /nfs/dbraw/zinc/56/35/35/383563535.db2.gz QDHUOBOKASNRGK-JTQLQIEISA-N 0 0 299.758 2.896 20 5 CFBDRN CCn1nnc(C)c1CSc1cccc([N+](=O)[O-])c1 ZINC000450950154 383564813 /nfs/dbraw/zinc/56/48/13/383564813.db2.gz MDQVFLGLDLUARS-UHFFFAOYSA-N 0 0 278.337 2.807 20 5 CFBDRN COc1cccc([C@H](C)n2cc([N+](=O)[O-])c(C)n2)c1 ZINC000450961331 383569345 /nfs/dbraw/zinc/56/93/45/383569345.db2.gz QSRUUYMANXFOSN-JTQLQIEISA-N 0 0 261.281 2.718 20 5 CFBDRN Cc1cc(N[C@H]2CCn3ccnc3C2)ccc1[N+](=O)[O-] ZINC000489895627 383642123 /nfs/dbraw/zinc/64/21/23/383642123.db2.gz LDJXHNBGIIYRBB-LBPRGKRZSA-N 0 0 272.308 2.527 20 5 CFBDRN COC(C)(C)C(=O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000280894699 195019115 /nfs/dbraw/zinc/01/91/15/195019115.db2.gz DGEGPLATARLKAT-UHFFFAOYSA-N 0 0 287.699 2.621 20 5 CFBDRN Cc1cnc(N(C)CC2(O)CCCCCC2)c([N+](=O)[O-])c1 ZINC000489978555 383646915 /nfs/dbraw/zinc/64/69/15/383646915.db2.gz FWWGYRDYQSXLME-UHFFFAOYSA-N 0 0 293.367 2.820 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)[C@H](C)[C@H]1C ZINC000570912508 383647026 /nfs/dbraw/zinc/64/70/26/383647026.db2.gz AEJXQRCWSZBPPJ-LPEHRKFASA-N 0 0 266.345 2.600 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])[N@@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000263137425 383655168 /nfs/dbraw/zinc/65/51/68/383655168.db2.gz DHWDHZGAKHXHQC-GRYCIOLGSA-N 0 0 292.335 2.698 20 5 CFBDRN CC(C)(C)c1nnc(CSc2ccc([N+](=O)[O-])cn2)[nH]1 ZINC000430971745 383617550 /nfs/dbraw/zinc/61/75/50/383617550.db2.gz PFLZFFHQLWFBPA-UHFFFAOYSA-N 0 0 293.352 2.698 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000431153375 383635030 /nfs/dbraw/zinc/63/50/30/383635030.db2.gz VBWVAYYAFSFYRC-WCQYABFASA-N 0 0 289.335 2.555 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H]1C[C@H]1C1CC1 ZINC000431159919 383635843 /nfs/dbraw/zinc/63/58/43/383635843.db2.gz JOJRCYCJLQASGV-DZGCQCFKSA-N 0 0 299.330 2.533 20 5 CFBDRN CCCCN(C(=O)c1[nH]nc(C)c1[N+](=O)[O-])[C@@H](C)CC ZINC000264735808 383777540 /nfs/dbraw/zinc/77/75/40/383777540.db2.gz IUTINBBIZYLPSK-VIFPVBQESA-N 0 0 282.344 2.667 20 5 CFBDRN COC1(COc2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000418928843 533008835 /nfs/dbraw/zinc/00/88/35/533008835.db2.gz ZVGNLAVQWSYIAG-UHFFFAOYSA-N 0 0 251.282 2.851 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1ncccc1C ZINC000572662808 383804659 /nfs/dbraw/zinc/80/46/59/383804659.db2.gz VUAYEULIGANPBB-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN Cc1cccc(C(=O)NCC2CCSCC2)c1[N+](=O)[O-] ZINC000264961304 383807731 /nfs/dbraw/zinc/80/77/31/383807731.db2.gz YWNRBJLDVQDXAS-UHFFFAOYSA-N 0 0 294.376 2.776 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000436378693 383809724 /nfs/dbraw/zinc/80/97/24/383809724.db2.gz MZGPSLHQDLXSPF-PSASIEDQSA-N 0 0 279.296 2.523 20 5 CFBDRN CCC(C)(CC)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000264989458 383813396 /nfs/dbraw/zinc/81/33/96/383813396.db2.gz IGBYECVKSNKJIA-UHFFFAOYSA-N 0 0 280.324 2.669 20 5 CFBDRN COc1ccc(CNc2ncc(Cl)cc2[N+](=O)[O-])nc1 ZINC000436424925 383816377 /nfs/dbraw/zinc/81/63/77/383816377.db2.gz KDITZIZHNCZAPZ-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000264772269 383781526 /nfs/dbraw/zinc/78/15/26/383781526.db2.gz GOOMYPFZLGKKHW-IINYFYTJSA-N 0 0 289.335 2.962 20 5 CFBDRN O=C(NCC1CC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 ZINC000435942943 383781854 /nfs/dbraw/zinc/78/18/54/383781854.db2.gz OTKHCSSFCNLUNJ-UHFFFAOYSA-N 0 0 292.338 2.821 20 5 CFBDRN Cc1ccccc1[C@@H](O)CNc1ncc([N+](=O)[O-])cc1C ZINC000264777110 383782339 /nfs/dbraw/zinc/78/23/39/383782339.db2.gz HRJOEXSEKBABEK-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN CS[C@@H]1CCN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000572455258 383782719 /nfs/dbraw/zinc/78/27/19/383782719.db2.gz WJBIOEOJJKVSFI-GXFFZTMASA-N 0 0 294.376 2.662 20 5 CFBDRN Cc1ccccc1[C@H](O)CNc1ncc([N+](=O)[O-])cc1C ZINC000264777113 383782838 /nfs/dbraw/zinc/78/28/38/383782838.db2.gz HRJOEXSEKBABEK-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN CCC[C@](C)(O)CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000264865237 383792457 /nfs/dbraw/zinc/79/24/57/383792457.db2.gz OLBNOMXSFWTVRK-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N(CC1CC1)CC1CCC1 ZINC000572545768 383792346 /nfs/dbraw/zinc/79/23/46/383792346.db2.gz FFUUCTWYPGKXOT-UHFFFAOYSA-N 0 0 289.335 2.642 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCC[C@H]1CCCO1 ZINC000280990529 195061078 /nfs/dbraw/zinc/06/10/78/195061078.db2.gz JBTLPFLPSIFOJL-GFCCVEGCSA-N 0 0 280.324 2.975 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@](C)(CC)OC ZINC000280997767 195063055 /nfs/dbraw/zinc/06/30/55/195063055.db2.gz AYBXIWWMNWXGGL-CQSZACIVSA-N 0 0 296.323 2.747 20 5 CFBDRN CC(C)(C)/C=C/C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000265087246 383829692 /nfs/dbraw/zinc/82/96/92/383829692.db2.gz LNCDSCQUTICPIP-CSKARUKUSA-N 0 0 276.336 2.856 20 5 CFBDRN CN(C)C(=O)[C@H]1CCC[C@@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000265101083 383832717 /nfs/dbraw/zinc/83/27/17/383832717.db2.gz DVSPFHWORXQYDE-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN CO[C@@H]([C@@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000454137566 383911171 /nfs/dbraw/zinc/91/11/71/383911171.db2.gz MFFQPVTYKVNNQG-RNCFNFMXSA-N 0 0 293.323 2.530 20 5 CFBDRN CC/C=C\CNC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000454142519 383914694 /nfs/dbraw/zinc/91/46/94/383914694.db2.gz YOVOYQBUKSIISW-PLNGDYQASA-N 0 0 279.296 2.691 20 5 CFBDRN CC(C)(C)[C@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000265442232 383917110 /nfs/dbraw/zinc/91/71/10/383917110.db2.gz ZLKBGEBPZZYYDA-NSHDSACASA-N 0 0 256.277 2.553 20 5 CFBDRN Cc1ccnc(N[C@@H](C)COCC(F)(F)F)c1[N+](=O)[O-] ZINC000265299564 383889353 /nfs/dbraw/zinc/88/93/53/383889353.db2.gz ZRCNPHXVJNXABI-QMMMGPOBSA-N 0 0 293.245 2.678 20 5 CFBDRN Cc1cccc(C(C)(C)NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000531629128 383899703 /nfs/dbraw/zinc/89/97/03/383899703.db2.gz QZCCCMUIXAWSCL-UHFFFAOYSA-N 0 0 299.330 2.963 20 5 CFBDRN C[C@H]1CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000531627102 383899990 /nfs/dbraw/zinc/89/99/90/383899990.db2.gz YUFGIOHTUKPDEK-WDEREUQCSA-N 0 0 277.324 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3ccccc3)no2)nc1 ZINC000531629415 383900133 /nfs/dbraw/zinc/90/01/33/383900133.db2.gz CDTHUKCSTGWMJP-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN CC(C)(C)[S@](=O)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000265362339 383901292 /nfs/dbraw/zinc/90/12/92/383901292.db2.gz XOHOYOYDFXKWMS-LJQANCHMSA-N 0 0 288.344 2.693 20 5 CFBDRN CCCC[C@H](C(=O)[O-])[N@H+](C)CCc1ccccc1[N+](=O)[O-] ZINC000573267357 383901982 /nfs/dbraw/zinc/90/19/82/383901982.db2.gz FTMYVXTZXYVSPB-CQSZACIVSA-N 0 0 294.351 2.713 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1(C2CC2)CC1 ZINC000532131788 383979431 /nfs/dbraw/zinc/97/94/31/383979431.db2.gz DZCGWPBMIBWZAD-UHFFFAOYSA-N 0 0 275.308 2.967 20 5 CFBDRN COc1ccc(OCc2cccnc2OC)c([N+](=O)[O-])c1 ZINC000176252231 533022548 /nfs/dbraw/zinc/02/25/48/533022548.db2.gz QQDVYVNKBBWXAM-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN O=C(Nc1cc(Cl)ccc1O)c1ccc([N+](=O)[O-])cn1 ZINC000532153639 383983389 /nfs/dbraw/zinc/98/33/89/383983389.db2.gz CDBLOJDBVIZEHE-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN O=C(Nc1ccc(F)c(F)c1F)c1ccc([N+](=O)[O-])cn1 ZINC000532144209 383984094 /nfs/dbraw/zinc/98/40/94/383984094.db2.gz LFWONEHLINXDNT-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265763910 383993590 /nfs/dbraw/zinc/99/35/90/383993590.db2.gz HBKOBXMBYYAXLG-MNOVXSKESA-N 0 0 276.336 2.645 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCc3ncsc3C2)cs1 ZINC000438364668 383995102 /nfs/dbraw/zinc/99/51/02/383995102.db2.gz OPXJHBDTESMTKO-UHFFFAOYSA-N 0 0 281.362 2.671 20 5 CFBDRN CO[C@H]([C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000454330418 383995921 /nfs/dbraw/zinc/99/59/21/383995921.db2.gz IVYNIXALXJASTA-TVQRCGJNSA-N 0 0 293.323 2.530 20 5 CFBDRN CCCC(O)(CCC)CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000265776833 383997970 /nfs/dbraw/zinc/99/79/70/383997970.db2.gz PWKGXYVVOGSADF-UHFFFAOYSA-N 0 0 294.351 2.656 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000265598931 383953896 /nfs/dbraw/zinc/95/38/96/383953896.db2.gz ZJQITOXZHBQJCV-UHFFFAOYSA-N 0 0 281.703 2.737 20 5 CFBDRN Cc1ccc([C@H](C)CNC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000265687021 383977437 /nfs/dbraw/zinc/97/74/37/383977437.db2.gz PJELTCFRACOIRU-LLVKDONJSA-N 0 0 287.319 2.765 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000265853430 384016187 /nfs/dbraw/zinc/01/61/87/384016187.db2.gz QKZHQOWKVOAULW-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@H](CCc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532391603 384023168 /nfs/dbraw/zinc/02/31/68/384023168.db2.gz UCMXOOXCSOWVKJ-GFCCVEGCSA-N 0 0 299.330 2.741 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC1(C)C ZINC000439870065 384046599 /nfs/dbraw/zinc/04/65/99/384046599.db2.gz KWKSVKLUMKLFME-GFCCVEGCSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1ccc(CNc2cc(C)c([N+](=O)[O-])cn2)c(C)c1 ZINC000532488245 384035381 /nfs/dbraw/zinc/03/53/81/384035381.db2.gz RLVJXCROQOGTND-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])[C@H](C)C1 ZINC000265966273 384037664 /nfs/dbraw/zinc/03/76/64/384037664.db2.gz ZKBJIYWADYKODH-VXGBXAGGSA-N 0 0 291.351 2.925 20 5 CFBDRN CC(C)CCC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266070665 384070543 /nfs/dbraw/zinc/07/05/43/384070543.db2.gz SXDSNDMIAZMIJE-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN CO[C@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)C1(C)C ZINC000440261768 384074328 /nfs/dbraw/zinc/07/43/28/384074328.db2.gz UUYKOUYJTCXXFS-RYUDHWBXSA-N 0 0 293.323 2.530 20 5 CFBDRN CN(Cc1cccc([N+](=O)[O-])c1)c1cccc(O)c1 ZINC000533060625 384097128 /nfs/dbraw/zinc/09/71/28/384097128.db2.gz FTUIOKDIUCBTAT-UHFFFAOYSA-N 0 0 258.277 2.937 20 5 CFBDRN C[C@H](NC(=O)NCCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000454975774 384100126 /nfs/dbraw/zinc/10/01/26/384100126.db2.gz FKMUUYXTYGZLLV-JTQLQIEISA-N 0 0 283.303 2.705 20 5 CFBDRN C[C@@H](F)CCNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000455056984 384127294 /nfs/dbraw/zinc/12/72/94/384127294.db2.gz WMUAZLNEMDZNGI-ZJUUUORDSA-N 0 0 283.303 2.703 20 5 CFBDRN CC[C@@H]1CN(c2nc3sccn3c2[N+](=O)[O-])CCS1 ZINC000266246890 384127783 /nfs/dbraw/zinc/12/77/83/384127783.db2.gz ZGCZMHOFQIQLNY-MRVPVSSYSA-N 0 0 298.393 2.636 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CCC[C@H]1F ZINC000455109754 384143779 /nfs/dbraw/zinc/14/37/79/384143779.db2.gz RNCVXBJJPVULRS-NEPJUHHUSA-N 0 0 295.314 2.627 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCOC2)cc1OC(F)F ZINC000266411037 384169847 /nfs/dbraw/zinc/16/98/47/384169847.db2.gz QOTCGUFZZDPVCG-SECBINFHSA-N 0 0 288.250 2.787 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CCS1 ZINC000266458530 384179130 /nfs/dbraw/zinc/17/91/30/384179130.db2.gz ZBVAHGYGTRXVLP-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1CCc2n[nH]cc2C1 ZINC000302761472 285091222 /nfs/dbraw/zinc/09/12/22/285091222.db2.gz KJSUHMQFEIVJKV-SNVBAGLBSA-N 0 0 292.726 2.941 20 5 CFBDRN CCSCC[C@@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000266480013 384182838 /nfs/dbraw/zinc/18/28/38/384182838.db2.gz OHGUYVWNIFUOPK-SECBINFHSA-N 0 0 285.369 2.527 20 5 CFBDRN O=C(NCCCC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000266573742 384201572 /nfs/dbraw/zinc/20/15/72/384201572.db2.gz SZVJYROHGQUQGN-UHFFFAOYSA-N 0 0 276.214 2.667 20 5 CFBDRN CN(CC(C)(C)C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266550105 384196202 /nfs/dbraw/zinc/19/62/02/384196202.db2.gz LITLTYBGTIGCKD-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1S[C@@H]1CCCOC1 ZINC000272455369 285093186 /nfs/dbraw/zinc/09/31/86/285093186.db2.gz KJWOTAVSCBGGNY-MRVPVSSYSA-N 0 0 274.729 2.914 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1C[C@@H]2CCC[C@@H]2C1 ZINC000301612380 285096705 /nfs/dbraw/zinc/09/67/05/285096705.db2.gz SFSKYOLNWIEIRI-AOOOYVTPSA-N 0 0 250.273 2.970 20 5 CFBDRN CCC1(CNC(=O)c2csc([N+](=O)[O-])c2)CCOCC1 ZINC000266637668 384211705 /nfs/dbraw/zinc/21/17/05/384211705.db2.gz JZXYHTBQMXHZCK-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC[C@H]3CCC[C@@H]32)s1 ZINC000408107995 285099568 /nfs/dbraw/zinc/09/95/68/285099568.db2.gz QLLDTCYIVSRSIJ-SCZZXKLOSA-N 0 0 290.348 2.978 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC[C@@H]3CCC[C@@H]32)s1 ZINC000408108000 285099579 /nfs/dbraw/zinc/09/95/79/285099579.db2.gz QLLDTCYIVSRSIJ-WPRPVWTQSA-N 0 0 290.348 2.978 20 5 CFBDRN CCc1ccc(C(=O)Nc2c(C)n[nH]c2C)cc1[N+](=O)[O-] ZINC000266936787 384265298 /nfs/dbraw/zinc/26/52/98/384265298.db2.gz OXKHTFLFMAQMFA-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)Cc1nccs1 ZINC000266989617 384275236 /nfs/dbraw/zinc/27/52/36/384275236.db2.gz BWGDFDYIBPAJQN-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1nccn1CCCNc1ccc(C)cc1[N+](=O)[O-] ZINC000266989735 384275628 /nfs/dbraw/zinc/27/56/28/384275628.db2.gz CBEOEMJVBSLVAD-UHFFFAOYSA-N 0 0 274.324 2.910 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1F)CC(F)(F)F ZINC000267015152 384278605 /nfs/dbraw/zinc/27/86/05/384278605.db2.gz IUYSLVBHVDLAEC-UHFFFAOYSA-N 0 0 266.194 2.728 20 5 CFBDRN CCc1cnc(CN(C)c2ccc([N+](=O)[O-])cn2)s1 ZINC000267023747 384279983 /nfs/dbraw/zinc/27/99/83/384279983.db2.gz JLMMOIWHARBHNN-UHFFFAOYSA-N 0 0 278.337 2.645 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ccc([N+](=O)[O-])cc2C)o1 ZINC000267031615 384282010 /nfs/dbraw/zinc/28/20/10/384282010.db2.gz LHDGCDBGHGKERM-CYBMUJFWSA-N 0 0 276.292 2.950 20 5 CFBDRN CCCN(CCc1ccccn1)c1ncccc1[N+](=O)[O-] ZINC000267048125 384286932 /nfs/dbraw/zinc/28/69/32/384286932.db2.gz DUGZTKNXYPLNOS-UHFFFAOYSA-N 0 0 286.335 2.844 20 5 CFBDRN Cc1ccnc(NC[C@]2(C)CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000267049874 384287735 /nfs/dbraw/zinc/28/77/35/384287735.db2.gz FCRHYURMWXAXQK-FZMZJTMJSA-N 0 0 279.340 2.651 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC2CC(F)(F)C2)s1 ZINC000287525598 285100220 /nfs/dbraw/zinc/10/02/20/285100220.db2.gz AWOYRTGOOASPBF-UHFFFAOYSA-N 0 0 286.263 2.809 20 5 CFBDRN CCCN(CCc1ccccn1)c1ccc([N+](=O)[O-])cn1 ZINC000267088785 384297335 /nfs/dbraw/zinc/29/73/35/384297335.db2.gz MAYNSTLBOGDSCD-UHFFFAOYSA-N 0 0 286.335 2.844 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1CCOC[C@H]1C ZINC000277437143 384301056 /nfs/dbraw/zinc/30/10/56/384301056.db2.gz JCJMOPWRPJJBGL-ZWNOBZJWSA-N 0 0 280.324 2.578 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](C)C[C@@H]1C ZINC000277581188 384345525 /nfs/dbraw/zinc/34/55/25/384345525.db2.gz MICSRUBTCUFPDJ-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H](C)C[C@H]1C ZINC000277581201 384346249 /nfs/dbraw/zinc/34/62/49/384346249.db2.gz MICSRUBTCUFPDJ-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN CC[C@@H](NC(=O)COC(C)C)c1cccc([N+](=O)[O-])c1 ZINC000267305063 384353268 /nfs/dbraw/zinc/35/32/68/384353268.db2.gz RJJYPTVKYDLRFK-CYBMUJFWSA-N 0 0 280.324 2.587 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(CC(C)C)C1CC1 ZINC000277652232 384364314 /nfs/dbraw/zinc/36/43/14/384364314.db2.gz VCSYAOBGRCIFNC-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ccncc3)no2)s1 ZINC000113739108 285104971 /nfs/dbraw/zinc/10/49/71/285104971.db2.gz DOBRFVLHUHMKGZ-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN CCc1n[nH]c(C(=O)N2CCC[C@@H]2C(C)(C)C)c1[N+](=O)[O-] ZINC000277681366 384373236 /nfs/dbraw/zinc/37/32/36/384373236.db2.gz FRSJXGFIBAQQFP-SNVBAGLBSA-N 0 0 294.355 2.531 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1CCCOC1 ZINC000288860507 285102709 /nfs/dbraw/zinc/10/27/09/285102709.db2.gz KMLYILQBOLYYDL-LLVKDONJSA-N 0 0 273.292 2.734 20 5 CFBDRN COC[C@@H](CNc1c(C)cc([N+](=O)[O-])cc1Cl)OC ZINC000277793875 384407512 /nfs/dbraw/zinc/40/75/12/384407512.db2.gz NPDRAWFFUXZFIY-SNVBAGLBSA-N 0 0 288.731 2.630 20 5 CFBDRN CO[C@H](C)CCC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293580727 533060420 /nfs/dbraw/zinc/06/04/20/533060420.db2.gz ARPVODWIOMFFBV-LLVKDONJSA-N 0 0 294.351 2.761 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H]1C ZINC000267633584 384429155 /nfs/dbraw/zinc/42/91/55/384429155.db2.gz JXLBZKOAQJRPIT-OCAPTIKFSA-N 0 0 255.705 2.735 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000267637142 384429935 /nfs/dbraw/zinc/42/99/35/384429935.db2.gz AAHYRJXADPTIRP-SECBINFHSA-N 0 0 273.292 2.701 20 5 CFBDRN CC[C@@H](OC)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000267673892 384438914 /nfs/dbraw/zinc/43/89/14/384438914.db2.gz AJGSMBZSKWJFST-ZWNOBZJWSA-N 0 0 280.324 2.505 20 5 CFBDRN C[C@@H]1CC/C(=C\C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000267898050 384485333 /nfs/dbraw/zinc/48/53/33/384485333.db2.gz ZAGYQDITSONYNV-SLQMCABGSA-N 0 0 288.347 3.000 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC1(C)CCOCC1 ZINC000267837808 384475760 /nfs/dbraw/zinc/47/57/60/384475760.db2.gz ZMGJZFYMEUATQI-UHFFFAOYSA-N 0 0 294.351 2.970 20 5 CFBDRN O=C(CCc1ccco1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347971751 220288583 /nfs/dbraw/zinc/28/85/83/220288583.db2.gz SZBOECVEWPNVQE-UHFFFAOYSA-N 0 0 278.239 2.898 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)[C@@H]1C ZINC000267989431 384504908 /nfs/dbraw/zinc/50/49/08/384504908.db2.gz WAZLDMNKNYOZCC-NXEZZACHSA-N 0 0 287.319 2.947 20 5 CFBDRN Cc1nn(CCc2ccccc2F)c(C)c1[N+](=O)[O-] ZINC000278160353 384486466 /nfs/dbraw/zinc/48/64/66/384486466.db2.gz RNFBRHHITUQKSE-UHFFFAOYSA-N 0 0 263.272 2.790 20 5 CFBDRN CC1(CNC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)CCC1 ZINC000267910252 384488811 /nfs/dbraw/zinc/48/88/11/384488811.db2.gz VENWKMAGXWPOGQ-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000352290942 220301371 /nfs/dbraw/zinc/30/13/71/220301371.db2.gz VEWIIABYDYCYOV-NSHDSACASA-N 0 0 262.309 2.679 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H](C)c2ccon2)c1 ZINC000353279787 220305068 /nfs/dbraw/zinc/30/50/68/220305068.db2.gz XLIBLSXFGTWKRZ-MRVPVSSYSA-N 0 0 291.263 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC=C(c3ccncc3)C2)cn1 ZINC000343951214 285122147 /nfs/dbraw/zinc/12/21/47/285122147.db2.gz IWJDNYVOPKFHLC-UHFFFAOYSA-N 0 0 282.303 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(Cc4ccccc4C3)C2)nc1 ZINC000378301806 285122155 /nfs/dbraw/zinc/12/21/55/285122155.db2.gz PRRQGWJSNSOTTN-UHFFFAOYSA-N 0 0 295.342 2.985 20 5 CFBDRN CN(C(=O)NCc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000268163335 384550299 /nfs/dbraw/zinc/55/02/99/384550299.db2.gz QXOBLWZIUSZZDO-UHFFFAOYSA-N 0 0 283.715 2.552 20 5 CFBDRN COc1cc(NCC(C)(C)CCO)c([N+](=O)[O-])cc1F ZINC000268166355 384552737 /nfs/dbraw/zinc/55/27/37/384552737.db2.gz IDVITROEECLVFL-UHFFFAOYSA-N 0 0 286.303 2.563 20 5 CFBDRN CCN(C(=O)CCOc1cc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000281145973 195122614 /nfs/dbraw/zinc/12/26/14/195122614.db2.gz HBYCHDRHRZDYOO-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCO[C@@H](c2ccsc2)C1 ZINC000268242165 384577222 /nfs/dbraw/zinc/57/72/22/384577222.db2.gz XITZLVCJLUYRQO-GFCCVEGCSA-N 0 0 291.332 2.629 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCc3occc3C2)nc1 ZINC000288822759 285125559 /nfs/dbraw/zinc/12/55/59/285125559.db2.gz HPPIENDIVSMJLC-UHFFFAOYSA-N 0 0 259.265 2.536 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@@H]3OCC[C@H]32)ccc1[N+](=O)[O-] ZINC000268360204 384613932 /nfs/dbraw/zinc/61/39/32/384613932.db2.gz CGTQIDDGFNBHSH-LOWVWBTDSA-N 0 0 277.324 2.668 20 5 CFBDRN COc1ccc(NC(=O)NCCC2(C)CC2)cc1[N+](=O)[O-] ZINC000279426887 384633196 /nfs/dbraw/zinc/63/31/96/384633196.db2.gz KZWOMWHJLACWBE-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000374327851 285128359 /nfs/dbraw/zinc/12/83/59/285128359.db2.gz XLCZLCHXHCJIMB-SNVBAGLBSA-N 0 0 277.280 2.739 20 5 CFBDRN C[C@@H](N(C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1(C)CC1 ZINC000279391852 384624217 /nfs/dbraw/zinc/62/42/17/384624217.db2.gz IYCVMTLSFFWILV-MRVPVSSYSA-N 0 0 295.314 2.577 20 5 CFBDRN CO[C@@]1(C)CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000279409196 384627173 /nfs/dbraw/zinc/62/71/73/384627173.db2.gz VTTZESSLANHSTJ-LBPRGKRZSA-N 0 0 270.354 2.657 20 5 CFBDRN CC(C)CC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268289851 384591377 /nfs/dbraw/zinc/59/13/77/384591377.db2.gz YJJMVOBYSIVWKW-UHFFFAOYSA-N 0 0 270.716 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](F)C2)c2ncccc12 ZINC000450605432 285130235 /nfs/dbraw/zinc/13/02/35/285130235.db2.gz ZNQHFBYHFMIYSI-VIFPVBQESA-N 0 0 261.256 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCn3c(cc4ccccc43)C2)cn1 ZINC000360225459 285132562 /nfs/dbraw/zinc/13/25/62/285132562.db2.gz QDWTWDCZYVSXAJ-UHFFFAOYSA-N 0 0 294.314 2.965 20 5 CFBDRN COCc1cccc(Cn2nc(C)c([N+](=O)[O-])c2C)c1 ZINC000269485192 384763821 /nfs/dbraw/zinc/76/38/21/384763821.db2.gz QFMOGKLBKHJGSM-UHFFFAOYSA-N 0 0 275.308 2.603 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H]2CCCN(c3ncccn3)C2)cc1 ZINC000450765444 285138740 /nfs/dbraw/zinc/13/87/40/285138740.db2.gz RXWXMCWLGGUFCX-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc(SC2CCOCC2)c([N+](=O)[O-])c1 ZINC000270223680 285138752 /nfs/dbraw/zinc/13/87/52/285138752.db2.gz UJASEUUWUJATBK-UHFFFAOYSA-N 0 0 284.293 2.774 20 5 CFBDRN CC1(CCNC(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000279858485 384788828 /nfs/dbraw/zinc/78/88/28/384788828.db2.gz BKJNLYQZPVHCHR-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN COCC(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000279882664 384796839 /nfs/dbraw/zinc/79/68/39/384796839.db2.gz HKTPGDUETAGAGO-UHFFFAOYSA-N 0 0 298.345 2.512 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000269817097 384874106 /nfs/dbraw/zinc/87/41/06/384874106.db2.gz IFPGEAPRFRGJKO-MRVPVSSYSA-N 0 0 252.245 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@H]3CCCSC3)nc2c1 ZINC000136444009 285141449 /nfs/dbraw/zinc/14/14/49/285141449.db2.gz QKKXNLQNHLKUNE-QMMMGPOBSA-N 0 0 278.337 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC=C(F)C3)nc2c1 ZINC000278709817 285140209 /nfs/dbraw/zinc/14/02/09/285140209.db2.gz JBVQSVVNPJQDPD-UHFFFAOYSA-N 0 0 262.244 2.535 20 5 CFBDRN Cc1cccc(C(=O)NCCC2(C)CC2)c1[N+](=O)[O-] ZINC000280043634 384862977 /nfs/dbraw/zinc/86/29/77/384862977.db2.gz OBZKEIRJFXRXLE-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)c([N+](=O)[O-])c1 ZINC000269888544 384893754 /nfs/dbraw/zinc/89/37/54/384893754.db2.gz BTTRVRPZVGTDOX-RTXFEEFZSA-N 0 0 289.335 2.668 20 5 CFBDRN CC[C@@](C)(OC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000280146485 384903187 /nfs/dbraw/zinc/90/31/87/384903187.db2.gz ZQDGUKOSQPGKJB-QMTHXVAHSA-N 0 0 280.324 2.587 20 5 CFBDRN CC1=C(C)CN(C(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000280170745 384913124 /nfs/dbraw/zinc/91/31/24/384913124.db2.gz FZCMXRUOJKYDHA-UHFFFAOYSA-N 0 0 290.319 2.542 20 5 CFBDRN Cc1ccc(Sc2nnc(N(C)C)s2)c([N+](=O)[O-])c1 ZINC000269946497 384914611 /nfs/dbraw/zinc/91/46/11/384914611.db2.gz QJVQOOYMWHYKDM-UHFFFAOYSA-N 0 0 296.377 2.972 20 5 CFBDRN CCN(CCc1nccs1)c1ncc(C)cc1[N+](=O)[O-] ZINC000269947523 384915317 /nfs/dbraw/zinc/91/53/17/384915317.db2.gz QOLZUDRMAJJUSF-UHFFFAOYSA-N 0 0 292.364 2.824 20 5 CFBDRN COc1cc(O[C@@H]2CCC(C)(C)C2=O)ccc1[N+](=O)[O-] ZINC000413008625 533095358 /nfs/dbraw/zinc/09/53/58/533095358.db2.gz YMWYDRXJWUNLPY-LLVKDONJSA-N 0 0 279.292 2.740 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000269976719 384926744 /nfs/dbraw/zinc/92/67/44/384926744.db2.gz MIDYWSCIBBSEBO-RISCZKNCSA-N 0 0 264.325 2.500 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC=C(C)CC1 ZINC000270090490 384973861 /nfs/dbraw/zinc/97/38/61/384973861.db2.gz ANVMQUCOIIYURC-UHFFFAOYSA-N 0 0 262.309 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[N@H+]2CC=CCC2)c2ccncc21 ZINC000280351361 384985583 /nfs/dbraw/zinc/98/55/83/384985583.db2.gz WRKYVYUKQVRIRM-UHFFFAOYSA-N 0 0 298.346 2.817 20 5 CFBDRN CS[C@H](C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000270151162 384994253 /nfs/dbraw/zinc/99/42/53/384994253.db2.gz RJEUPTUWFVDJLQ-MRVPVSSYSA-N 0 0 279.321 2.939 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@H]1C ZINC000280399217 385004606 /nfs/dbraw/zinc/00/46/06/385004606.db2.gz KRXCHETXXLJNGH-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@@H]2CCOCO2)cc1 ZINC000270061716 384964224 /nfs/dbraw/zinc/96/42/24/384964224.db2.gz XBXKRPRADHDODJ-LBPRGKRZSA-N 0 0 269.322 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@@H]4CCC[C@@H]43)nc2c1 ZINC000408458618 285150478 /nfs/dbraw/zinc/15/04/78/285150478.db2.gz URPZNZWRWYJAHO-ZANVPECISA-N 0 0 272.308 2.850 20 5 CFBDRN CC(=O)N1CCC[C@H](CNc2c(C)cccc2[N+](=O)[O-])C1 ZINC000280547775 385056600 /nfs/dbraw/zinc/05/66/00/385056600.db2.gz SXNHLJHAUHSBMR-CYBMUJFWSA-N 0 0 291.351 2.574 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3C[C@@H](F)[C@@H](F)C3)ccc2c1 ZINC000294968272 285150988 /nfs/dbraw/zinc/15/09/88/285150988.db2.gz KRQZJGIITUKJAZ-PHIMTYICSA-N 0 0 279.246 2.639 20 5 CFBDRN CC1=CCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)CC1 ZINC000270352569 385067303 /nfs/dbraw/zinc/06/73/03/385067303.db2.gz QFQPXESKDLXHTN-UHFFFAOYSA-N 0 0 273.292 2.888 20 5 CFBDRN Cc1ccc(NCC2([S@@](C)=O)CCC2)c([N+](=O)[O-])c1 ZINC000280488017 385033327 /nfs/dbraw/zinc/03/33/27/385033327.db2.gz AUFOYOJNKONZLT-LJQANCHMSA-N 0 0 282.365 2.616 20 5 CFBDRN CO[C@H](C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000280504617 385039068 /nfs/dbraw/zinc/03/90/68/385039068.db2.gz RAXWRNYXSQYRMQ-HZMBPMFUSA-N 0 0 292.335 2.505 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCSCC(C)C ZINC000280681768 385110667 /nfs/dbraw/zinc/11/06/67/385110667.db2.gz ILBDRQJTXRTODH-UHFFFAOYSA-N 0 0 286.401 2.921 20 5 CFBDRN CCCN(CC)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270468413 385112812 /nfs/dbraw/zinc/11/28/12/385112812.db2.gz HCZPNWHFSUMYPG-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN O=c1[nH]c(N[C@@H]2CCC[C@@H]2F)nc2ccc([N+](=O)[O-])cc12 ZINC000338842722 285151750 /nfs/dbraw/zinc/15/17/50/285151750.db2.gz KXFSOYHBFRBTJO-GXSJLCMTSA-N 0 0 292.270 2.546 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1COc2ccccc21 ZINC000289199210 197261222 /nfs/dbraw/zinc/26/12/22/197261222.db2.gz XJIDMPPYXHGVAU-LLVKDONJSA-N 0 0 285.303 2.886 20 5 CFBDRN CC(C)(C)NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000280714614 385126254 /nfs/dbraw/zinc/12/62/54/385126254.db2.gz TUWGHLGEZPPXHX-UHFFFAOYSA-N 0 0 288.706 2.845 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2C[C@H](c3ccccc3)C2)nc1 ZINC000280646133 385099005 /nfs/dbraw/zinc/09/90/05/385099005.db2.gz VMMUCVQEHBHJKA-HAQNSBGRSA-N 0 0 270.292 2.743 20 5 CFBDRN CCc1ccc(Oc2c([N+](=O)[O-])ncn2C)c(OC)c1 ZINC000270541203 385141189 /nfs/dbraw/zinc/14/11/89/385141189.db2.gz XYVNNWAKXINQJL-UHFFFAOYSA-N 0 0 277.280 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(Cc2ccno2)C2CC2)cc1 ZINC000270704316 385198997 /nfs/dbraw/zinc/19/89/97/385198997.db2.gz AGGNIMJYMAOXLA-UHFFFAOYSA-N 0 0 273.292 2.747 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCc2n[nH]cc2C1 ZINC000492125408 285154326 /nfs/dbraw/zinc/15/43/26/285154326.db2.gz ACERMADTQAIKEP-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN CO[C@@H]1CCN(c2cc(C)ccc2[N+](=O)[O-])[C@H](C)C1 ZINC000281706749 385202466 /nfs/dbraw/zinc/20/24/66/385202466.db2.gz UFCVEYUDGBODAK-VXGBXAGGSA-N 0 0 264.325 2.907 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000280842510 385176122 /nfs/dbraw/zinc/17/61/22/385176122.db2.gz VPQJRQPMEYRISZ-VXGBXAGGSA-N 0 0 292.335 2.587 20 5 CFBDRN CC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@H](O)C(F)(F)F ZINC000270750594 385213720 /nfs/dbraw/zinc/21/37/20/385213720.db2.gz AJSBBJFECNBCKK-XVKPBYJWSA-N 0 0 296.220 2.848 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC2(CO)CC3(CCC3)C2)c1 ZINC000282178179 385237870 /nfs/dbraw/zinc/23/78/70/385237870.db2.gz FWVOTBGSQZCKPC-UHFFFAOYSA-N 0 0 291.351 2.532 20 5 CFBDRN CCOc1ccc(OCCn2cc(C)c([N+](=O)[O-])n2)cc1 ZINC000282178063 385238352 /nfs/dbraw/zinc/23/83/52/385238352.db2.gz FUIJOEQIASFBBU-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC[C@@]4(CCOC4)C3)ccnc21 ZINC000432324245 285156934 /nfs/dbraw/zinc/15/69/34/285156934.db2.gz UMZPDDHTLOEFIF-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN CC(C)(C)n1ncnc1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000282220358 385251676 /nfs/dbraw/zinc/25/16/76/385251676.db2.gz NVJRUTARNVJBFC-UHFFFAOYSA-N 0 0 294.286 2.659 20 5 CFBDRN CC[C@@H](OC)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000270714152 385202788 /nfs/dbraw/zinc/20/27/88/385202788.db2.gz PIANWCBAHKZMNS-CQSZACIVSA-N 0 0 292.335 2.511 20 5 CFBDRN CC(C)(F)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000271026089 385308742 /nfs/dbraw/zinc/30/87/42/385308742.db2.gz AITOMMBJWIHNDT-UHFFFAOYSA-N 0 0 251.217 2.849 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3CC[C@H](F)C3)c21 ZINC000340942252 285159239 /nfs/dbraw/zinc/15/92/39/285159239.db2.gz DHEFMQGHSHTLOR-DTWKUNHWSA-N 0 0 276.271 2.841 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@H]2CCC(C)(C)O2)cc1[N+](=O)[O-] ZINC000282437002 385310993 /nfs/dbraw/zinc/31/09/93/385310993.db2.gz MTVNQIYNKHKZKE-GFCCVEGCSA-N 0 0 296.298 2.938 20 5 CFBDRN COC(C)(C)CC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282446808 385314674 /nfs/dbraw/zinc/31/46/74/385314674.db2.gz NNEUEVOUUVIFPG-UHFFFAOYSA-N 0 0 284.287 2.796 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3CCCSC3)c21 ZINC000347413897 285159495 /nfs/dbraw/zinc/15/94/95/285159495.db2.gz LHVFXFSHKMIVMW-SECBINFHSA-N 0 0 290.348 2.846 20 5 CFBDRN Cc1cc(F)c(NC(=O)CCOC(C)C)cc1[N+](=O)[O-] ZINC000282468142 385319471 /nfs/dbraw/zinc/31/94/71/385319471.db2.gz PEBRDZMUUIWPLP-UHFFFAOYSA-N 0 0 284.287 2.796 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)c([N+](=O)[O-])c1 ZINC000282471044 385320803 /nfs/dbraw/zinc/32/08/03/385320803.db2.gz HVIUASXJSRMHJF-UHIISALHSA-N 0 0 293.319 2.931 20 5 CFBDRN COC(=O)c1ccc(OCCSC(C)C)c([N+](=O)[O-])c1 ZINC000282259152 385263143 /nfs/dbraw/zinc/26/31/43/385263143.db2.gz RZBGWQCQHRAWQS-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN CCOC(=O)C1CCC(Nc2ncc([N+](=O)[O-])s2)CC1 ZINC000282341197 385286828 /nfs/dbraw/zinc/28/68/28/385286828.db2.gz ZQKJLJGXRQJWOD-UHFFFAOYSA-N 0 0 299.352 2.585 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CC[C@@H]1CCOC1 ZINC000282359895 385292949 /nfs/dbraw/zinc/29/29/49/385292949.db2.gz PVBRZEHOSORUTM-SNVBAGLBSA-N 0 0 296.298 2.798 20 5 CFBDRN CO[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)[C@H](C)C1 ZINC000282363759 385294106 /nfs/dbraw/zinc/29/41/06/385294106.db2.gz NMIWUJMQNUZKAI-ZWNOBZJWSA-N 0 0 282.315 2.733 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CCC(C)(C)O1 ZINC000282393119 385300836 /nfs/dbraw/zinc/30/08/36/385300836.db2.gz RQAICJFQOIVFTE-LLVKDONJSA-N 0 0 296.298 2.938 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1c1cc[nH]n1 ZINC000278749756 285162559 /nfs/dbraw/zinc/16/25/59/285162559.db2.gz RWFAHEILMIWEIX-LLVKDONJSA-N 0 0 258.281 2.659 20 5 CFBDRN CC(C)(F)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000271115327 385339907 /nfs/dbraw/zinc/33/99/07/385339907.db2.gz VWWAUKBRUCZZHV-UHFFFAOYSA-N 0 0 274.679 2.613 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCO[C@@H]2CCC[C@@H]21 ZINC000271120307 385340705 /nfs/dbraw/zinc/34/07/05/385340705.db2.gz JJQQAJIBJSZXMM-INCBCAAFSA-N 0 0 288.347 2.861 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000282559772 385342078 /nfs/dbraw/zinc/34/20/78/385342078.db2.gz FMKYAPSVCMIVPU-UWVGGRQHSA-N 0 0 299.710 2.973 20 5 CFBDRN COc1cc(CN2CC=C(C)CC2)c([N+](=O)[O-])cc1OC ZINC000271198556 385373546 /nfs/dbraw/zinc/37/35/46/385373546.db2.gz SDTFWEXKVLJSRU-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000282703404 385373635 /nfs/dbraw/zinc/37/36/35/385373635.db2.gz QHDOXFDFOPSRIZ-NWDGAFQWSA-N 0 0 279.292 2.628 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000282764598 385384749 /nfs/dbraw/zinc/38/47/49/385384749.db2.gz VQCWDOWVFZDWKD-NXEZZACHSA-N 0 0 299.710 2.973 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCC2(CC)CC2)c1 ZINC000282764700 385385382 /nfs/dbraw/zinc/38/53/82/385385382.db2.gz DABSQEZVNFFTNZ-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CCSCCCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000282770634 385385555 /nfs/dbraw/zinc/38/55/55/385385555.db2.gz WFCFLFWWYIALAZ-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN CC(C)N(Cc1ccn(C)n1)Cc1ccc([N+](=O)[O-])cc1 ZINC000271063505 385326082 /nfs/dbraw/zinc/32/60/82/385326082.db2.gz IBKXJMAZAWYTLN-UHFFFAOYSA-N 0 0 288.351 2.739 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)C1CCC1 ZINC000283016183 385423910 /nfs/dbraw/zinc/42/39/10/385423910.db2.gz LXXQPLAWTFMRIU-UHFFFAOYSA-N 0 0 254.673 2.987 20 5 CFBDRN CC(C)[C@H](C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000282878890 385404831 /nfs/dbraw/zinc/40/48/31/385404831.db2.gz ARFSOJBJALBBNY-LBPRGKRZSA-N 0 0 278.352 2.888 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(-c2nc(CC)no2)c1 ZINC000282971122 385417646 /nfs/dbraw/zinc/41/76/46/385417646.db2.gz LCTONQIARRQYTP-UHFFFAOYSA-N 0 0 263.253 2.606 20 5 CFBDRN CCCN(CC)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272094318 385446167 /nfs/dbraw/zinc/44/61/67/385446167.db2.gz JPPIYOPWEXKWNO-UHFFFAOYSA-N 0 0 286.278 2.945 20 5 CFBDRN CC(C)N(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272110259 385450451 /nfs/dbraw/zinc/45/04/51/385450451.db2.gz OMDMZYIFVHLUEH-UHFFFAOYSA-N 0 0 272.251 2.553 20 5 CFBDRN C[C@H](C1CCCCC1)N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000283292805 385454055 /nfs/dbraw/zinc/45/40/55/385454055.db2.gz TUMGKLBRWQPCLW-SNVBAGLBSA-N 0 0 279.340 2.964 20 5 CFBDRN CC(C)C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)CCO1 ZINC000272024832 385428846 /nfs/dbraw/zinc/42/88/46/385428846.db2.gz QEBTXZQYJPFMGG-WCQYABFASA-N 0 0 279.340 2.995 20 5 CFBDRN C[C@H](Nc1ccccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000272024913 385428854 /nfs/dbraw/zinc/42/88/54/385428854.db2.gz QJLFEECMGMOHMX-GWCFXTLKSA-N 0 0 250.298 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC3(CCCC3)C2)cc1F ZINC000283062466 385431856 /nfs/dbraw/zinc/43/18/56/385431856.db2.gz JPFVHYOZTGDGST-UHFFFAOYSA-N 0 0 294.326 2.879 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283503697 385470601 /nfs/dbraw/zinc/47/06/01/385470601.db2.gz PDAXSKAAYJIYBZ-GFCCVEGCSA-N 0 0 295.314 2.577 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1O[C@H](C)C[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000283553211 385475028 /nfs/dbraw/zinc/47/50/28/385475028.db2.gz PEMDUUDCIDJEJO-ICUOPCATSA-N 0 0 292.335 2.586 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC2(C)C)c1 ZINC000283541583 385475088 /nfs/dbraw/zinc/47/50/88/385475088.db2.gz SIBBQPFXJQFJBR-GFCCVEGCSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1ccc(NC(=O)C(C)(C)CSC)cc1[N+](=O)[O-] ZINC000283645290 385484353 /nfs/dbraw/zinc/48/43/53/385484353.db2.gz WOBXZVPYRVYEGG-UHFFFAOYSA-N 0 0 298.364 2.931 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]3CCC[C@H]32)c(F)c1 ZINC000408463532 285173933 /nfs/dbraw/zinc/17/39/33/285173933.db2.gz CBKSWRBVJGKFDY-BXKDBHETSA-N 0 0 265.288 2.898 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2C2CCCC2)nc1 ZINC000273203864 285173938 /nfs/dbraw/zinc/17/39/38/285173938.db2.gz RWHYWXYZPINBFV-LBPRGKRZSA-N 0 0 262.313 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC2CCOCC2)c([N+](=O)[O-])c1 ZINC000283757737 385495589 /nfs/dbraw/zinc/49/55/89/385495589.db2.gz ADJIAFWYISQCKV-UHFFFAOYSA-N 0 0 298.320 2.915 20 5 CFBDRN Cc1nc(CNc2ccc([N+](=O)[O-])cc2)ccc1[C@H](C)O ZINC000272291906 385500544 /nfs/dbraw/zinc/50/05/44/385500544.db2.gz ZXUMPZYYKLBDSH-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN Cc1cc(NC(=O)N2CCCSCC2)ccc1[N+](=O)[O-] ZINC000272312240 385507637 /nfs/dbraw/zinc/50/76/37/385507637.db2.gz GHNSPYOJSNHZQN-UHFFFAOYSA-N 0 0 295.364 2.874 20 5 CFBDRN CC1(C)CCN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000272314712 385507716 /nfs/dbraw/zinc/50/77/16/385507716.db2.gz ITBHNANVNFNOEJ-UHFFFAOYSA-N 0 0 298.289 2.945 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283962602 385518147 /nfs/dbraw/zinc/51/81/47/385518147.db2.gz LWCPITGBQDXIHV-DTORHVGOSA-N 0 0 295.314 2.719 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCCCO2)c(Cl)c1 ZINC000408290557 285172883 /nfs/dbraw/zinc/17/28/83/285172883.db2.gz KBTLSTBIDHTDCR-UHFFFAOYSA-N 0 0 257.677 2.565 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000272404619 385529003 /nfs/dbraw/zinc/52/90/03/385529003.db2.gz BHOVLYVZUDYMIP-BXKDBHETSA-N 0 0 297.282 2.845 20 5 CFBDRN CCC[C@]1(CO)CCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000288860313 197180449 /nfs/dbraw/zinc/18/04/49/197180449.db2.gz KKJNNHIQDXMULU-HNNXBMFYSA-N 0 0 278.352 2.892 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3cccc(F)c32)s1 ZINC000336969315 285178403 /nfs/dbraw/zinc/17/84/03/285178403.db2.gz JJIZOKWOTPPADK-UHFFFAOYSA-N 0 0 265.269 2.885 20 5 CFBDRN CN(C)c1ccccc1CN(C)c1ccc([N+](=O)[O-])cn1 ZINC000288850826 197179126 /nfs/dbraw/zinc/17/91/26/197179126.db2.gz JUPFUOYQLLVKRB-UHFFFAOYSA-N 0 0 286.335 2.692 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCOCC2)c(Cl)c1 ZINC000182992817 285180289 /nfs/dbraw/zinc/18/02/89/285180289.db2.gz VWCCEXPXEWWNSI-MRVPVSSYSA-N 0 0 271.704 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC=C(F)C2)c(F)c1 ZINC000272452315 385541415 /nfs/dbraw/zinc/54/14/15/385541415.db2.gz JPXUZRALLOTGCC-UHFFFAOYSA-N 0 0 254.236 2.793 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3c(Cl)cccc3C2)nc1 ZINC000302134835 285177184 /nfs/dbraw/zinc/17/71/84/285177184.db2.gz ZMKYYVGQEKTMIF-UHFFFAOYSA-N 0 0 290.710 2.601 20 5 CFBDRN Cc1cc(F)c(NC(=O)NC(C)C)cc1[N+](=O)[O-] ZINC000284045794 385527344 /nfs/dbraw/zinc/52/73/44/385527344.db2.gz PMACOOJLFWPKHH-UHFFFAOYSA-N 0 0 255.249 2.572 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000288860318 197180779 /nfs/dbraw/zinc/18/07/79/197180779.db2.gz KKJNNHIQDXMULU-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2COCc3ccccc32)s1 ZINC000418995882 285181900 /nfs/dbraw/zinc/18/19/00/285181900.db2.gz MWVSRPGRTNTOBV-SNVBAGLBSA-N 0 0 277.305 2.735 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2COc3c2cccc3Cl)nc1 ZINC000371845700 285182008 /nfs/dbraw/zinc/18/20/08/285182008.db2.gz HPZMRASCQDTWNG-SNVBAGLBSA-N 0 0 292.682 2.584 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(S[C@H]2CCCOC2)n1 ZINC000272538603 385560304 /nfs/dbraw/zinc/56/03/04/385560304.db2.gz RNFFFDDPKORKQC-VIFPVBQESA-N 0 0 254.311 2.569 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CC[C@H](O)CC1 ZINC000286117557 385561100 /nfs/dbraw/zinc/56/11/00/385561100.db2.gz GLRZOKKRMRFSAF-UMSPYCQHSA-N 0 0 278.308 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2[C@@H]2CCCO2)c(F)c1 ZINC000286124380 385562052 /nfs/dbraw/zinc/56/20/52/385562052.db2.gz ADXQYMAJCOSGBQ-KGLIPLIRSA-N 0 0 280.299 2.882 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])n[nH]1)N1CCCC2(CCCCC2)C1 ZINC000286155187 385565708 /nfs/dbraw/zinc/56/57/08/385565708.db2.gz WBWCDUDXLXINFG-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2COCc3ccccc32)s1 ZINC000418995877 285183599 /nfs/dbraw/zinc/18/35/99/285183599.db2.gz MWVSRPGRTNTOBV-JTQLQIEISA-N 0 0 277.305 2.735 20 5 CFBDRN C[C@@H]1C[C@@H]1CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286186714 385568915 /nfs/dbraw/zinc/56/89/15/385568915.db2.gz QQKAXAVJDHNWQI-RNFRBKRXSA-N 0 0 264.310 2.667 20 5 CFBDRN C[C@@H]1CCSCC[N@@H+]1CCOc1ccc([N+](=O)[O-])cc1 ZINC000286343175 385594000 /nfs/dbraw/zinc/59/40/00/385594000.db2.gz XMYIKAFXEHBJIT-GFCCVEGCSA-N 0 0 296.392 2.801 20 5 CFBDRN CN(C(=O)c1ccoc1C1CC1)c1ccc([N+](=O)[O-])nc1 ZINC000288769621 197161616 /nfs/dbraw/zinc/16/16/16/197161616.db2.gz JNKJJIWLDBFXQK-UHFFFAOYSA-N 0 0 287.275 2.737 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC=C(c3ccco3)C2)s1 ZINC000286357053 385597671 /nfs/dbraw/zinc/59/76/71/385597671.db2.gz DEEMWYXJBZQARI-UHFFFAOYSA-N 0 0 277.305 2.938 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@H]1CCOC[C@H]1C ZINC000286262441 385579434 /nfs/dbraw/zinc/57/94/34/385579434.db2.gz FSEQNKSEBQXDGC-VXGBXAGGSA-N 0 0 264.325 2.988 20 5 CFBDRN Cc1ccc(NC(=O)[C@@]2(C)CCCOC2)cc1[N+](=O)[O-] ZINC000272676600 385587145 /nfs/dbraw/zinc/58/71/45/385587145.db2.gz XLUVVWJJEDUSGE-AWEZNQCLSA-N 0 0 278.308 2.658 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC(OC(F)F)C1 ZINC000487740356 285186218 /nfs/dbraw/zinc/18/62/18/285186218.db2.gz PFSQADQEOFDHHZ-UHFFFAOYSA-N 0 0 295.245 2.571 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)C1CC(C)(C)C1 ZINC000458175100 533133875 /nfs/dbraw/zinc/13/38/75/533133875.db2.gz AVDPZGMHFGDLDR-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@H](C)C1CCC1 ZINC000427382691 533133494 /nfs/dbraw/zinc/13/34/94/533133494.db2.gz NWMCPWZMGNPQMH-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@](F)(CO)C2)c(Cl)c1 ZINC000286322584 385590139 /nfs/dbraw/zinc/59/01/39/385590139.db2.gz HJBUMIXVFPSDFK-LBPRGKRZSA-N 0 0 288.706 2.549 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2C(C)=O)C[C@H](C)O1 ZINC000286431630 385618715 /nfs/dbraw/zinc/61/87/15/385618715.db2.gz BQGRQSOBGFIJCZ-GWCFXTLKSA-N 0 0 292.335 2.801 20 5 CFBDRN C[C@H]1C[C@H](CCNc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000286505221 385636459 /nfs/dbraw/zinc/63/64/59/385636459.db2.gz AXSZAGDSKXRYAT-WDEREUQCSA-N 0 0 279.340 2.794 20 5 CFBDRN COc1cc(NC[C@@H]2CCOC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000286448955 385623811 /nfs/dbraw/zinc/62/38/11/385623811.db2.gz RXCDHAKXRIGCMX-ZJUUUORDSA-N 0 0 298.314 2.827 20 5 CFBDRN CC[C@H](C)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000272826339 385626199 /nfs/dbraw/zinc/62/61/99/385626199.db2.gz QBPRDXLLJSHRQJ-QMMMGPOBSA-N 0 0 252.270 2.588 20 5 CFBDRN CCc1nn(C)c(Oc2ccc([N+](=O)[O-])cc2)c1[N+](=O)[O-] ZINC000288734087 197154342 /nfs/dbraw/zinc/15/43/42/197154342.db2.gz ZHPLKAMBGRJNHW-UHFFFAOYSA-N 0 0 292.251 2.591 20 5 CFBDRN Cc1ccc(NC[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000286492418 385634605 /nfs/dbraw/zinc/63/46/05/385634605.db2.gz VUYUWVLPLNZQHG-NWDGAFQWSA-N 0 0 264.325 2.988 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1ccccc1[N+](=O)[O-] ZINC000286396558 385607958 /nfs/dbraw/zinc/60/79/58/385607958.db2.gz OBNSKONRNARADN-QWRGUYRKSA-N 0 0 250.298 2.679 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCOC[C@@H]2C)c1 ZINC000286406871 385610578 /nfs/dbraw/zinc/61/05/78/385610578.db2.gz OSHHTUOHOALHPG-QWRGUYRKSA-N 0 0 280.324 2.688 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2cc(C)ccc2[N+](=O)[O-])[nH]1 ZINC000286413817 385613271 /nfs/dbraw/zinc/61/32/71/385613271.db2.gz OCGGAHNNZCHWLC-MRVPVSSYSA-N 0 0 261.285 2.503 20 5 CFBDRN CC(C)[C@H](Nc1ccccc1[N+](=O)[O-])c1nncn1C ZINC000286563420 385650406 /nfs/dbraw/zinc/65/04/06/385650406.db2.gz KWSYRJXGCILXHF-LBPRGKRZSA-N 0 0 275.312 2.533 20 5 CFBDRN CCOC1CC(N(C)c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000288680798 197143895 /nfs/dbraw/zinc/14/38/95/197143895.db2.gz ZXXMMIURNHWVKG-UHFFFAOYSA-N 0 0 285.731 2.647 20 5 CFBDRN Cc1nc(N2CC[C@@H](C(C)(C)C)[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000273385720 385680842 /nfs/dbraw/zinc/68/08/42/385680842.db2.gz ZKCCKFHHLZQHIF-DGCLKSJQSA-N 0 0 293.367 2.532 20 5 CFBDRN CC(=O)c1ccc(NCC2=CCCOC2)c([N+](=O)[O-])c1 ZINC000288659319 197139782 /nfs/dbraw/zinc/13/97/82/197139782.db2.gz YQAOVRDRZFOLRX-UHFFFAOYSA-N 0 0 276.292 2.556 20 5 CFBDRN CN(Cc1ncc(Cl)n1C)c1c(F)cccc1[N+](=O)[O-] ZINC000286620306 385667804 /nfs/dbraw/zinc/66/78/04/385667804.db2.gz VWDQKYNBRARIQM-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN CO[C@@H](C)CN(C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000286619703 385667838 /nfs/dbraw/zinc/66/78/38/385667838.db2.gz UOCCPWVWSYEXDF-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN Cc1cccc(C(=O)NCCSC(C)C)c1[N+](=O)[O-] ZINC000286866848 385728063 /nfs/dbraw/zinc/72/80/63/385728063.db2.gz ZBOVUTVTOAAMQY-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCCO3)no2)s1 ZINC000274157596 385729551 /nfs/dbraw/zinc/72/95/51/385729551.db2.gz NLULRGLQYDYJSG-LURJTMIESA-N 0 0 267.266 2.558 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1(C)C ZINC000274191873 385736690 /nfs/dbraw/zinc/73/66/90/385736690.db2.gz KRYVUNUWUHIMMD-JTQLQIEISA-N 0 0 291.351 2.685 20 5 CFBDRN CCCN(CCc1cccs1)c1c([N+](=O)[O-])ncn1C ZINC000274206734 385740376 /nfs/dbraw/zinc/74/03/76/385740376.db2.gz JRFQZCIIDPVXPC-UHFFFAOYSA-N 0 0 294.380 2.849 20 5 CFBDRN COC1(CNc2ccc(N)cc2[N+](=O)[O-])CCCCC1 ZINC000287038880 385767671 /nfs/dbraw/zinc/76/76/71/385767671.db2.gz WJZBUJICXSZJCJ-UHFFFAOYSA-N 0 0 279.340 2.938 20 5 CFBDRN C[C@@H](CN(C)c1ccc(N)cc1[N+](=O)[O-])c1nccs1 ZINC000287041757 385768381 /nfs/dbraw/zinc/76/83/81/385768381.db2.gz WNAHFBFKHXRKTH-VIFPVBQESA-N 0 0 292.364 2.873 20 5 CFBDRN CCC(CC)Nc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000286860236 385726525 /nfs/dbraw/zinc/72/65/25/385726525.db2.gz HCIXPZSLGITNNY-UHFFFAOYSA-N 0 0 276.296 2.844 20 5 CFBDRN COc1cc(CN2CCC=C(F)C2)c([N+](=O)[O-])cc1OC ZINC000274496095 385811974 /nfs/dbraw/zinc/81/19/74/385811974.db2.gz QIOCSIMKWCGETD-UHFFFAOYSA-N 0 0 296.298 2.671 20 5 CFBDRN COc1cccc(N(C)C[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000274578899 385827316 /nfs/dbraw/zinc/82/73/16/385827316.db2.gz GONFOKHOWBUXMO-LLVKDONJSA-N 0 0 280.324 2.609 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000274890466 385891491 /nfs/dbraw/zinc/89/14/91/385891491.db2.gz LTANRZSLSMGHPO-VHSXEESVSA-N 0 0 266.272 2.965 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000288645899 197135553 /nfs/dbraw/zinc/13/55/53/197135553.db2.gz QWHLBFSBUCMUMJ-RXMQYKEDSA-N 0 0 282.243 2.645 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000275003213 385910050 /nfs/dbraw/zinc/91/00/50/385910050.db2.gz GZJUNVWWGDGTQV-ZYHUDNBSSA-N 0 0 280.324 2.566 20 5 CFBDRN Cc1ccc(N[C@@H]2CCC[C@H]2CCO)c([N+](=O)[O-])c1 ZINC000275068096 385925345 /nfs/dbraw/zinc/92/53/45/385925345.db2.gz YKVHQTRZNJZPIZ-NWDGAFQWSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H](O)C(C)(C)C1 ZINC000275402992 385976367 /nfs/dbraw/zinc/97/63/67/385976367.db2.gz FCXVYYOCBJPIMO-CYBMUJFWSA-N 0 0 264.325 2.500 20 5 CFBDRN COC[C@H](Nc1nc2ccccc2cc1[N+](=O)[O-])C1CC1 ZINC000276646812 386001355 /nfs/dbraw/zinc/00/13/55/386001355.db2.gz QBTBZMIIYHCGGQ-ZDUSSCGKSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@@H](CO)Sc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276655041 386004877 /nfs/dbraw/zinc/00/48/77/386004877.db2.gz RERQZNRAIXCEMF-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCCC=C(C)C)c1 ZINC000276654747 386005363 /nfs/dbraw/zinc/00/53/63/386005363.db2.gz URZVXIIDYAYZRM-UHFFFAOYSA-N 0 0 278.308 2.690 20 5 CFBDRN CC(C)=CCCNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000276676873 386013055 /nfs/dbraw/zinc/01/30/55/386013055.db2.gz ZTLQNLUAGFAHNS-UHFFFAOYSA-N 0 0 262.309 2.610 20 5 CFBDRN CC(C)=CCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000276731461 386028717 /nfs/dbraw/zinc/02/87/17/386028717.db2.gz VQNLLIDUFZFBER-UHFFFAOYSA-N 0 0 266.272 2.820 20 5 CFBDRN COC1(CC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000276771926 386040382 /nfs/dbraw/zinc/04/03/82/386040382.db2.gz BFFQPQMBYMEIQE-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCCSC1 ZINC000288464683 197085391 /nfs/dbraw/zinc/08/53/91/197085391.db2.gz KDEWDOYMGWTMMB-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCCSC2)c1[N+](=O)[O-] ZINC000288460641 197083971 /nfs/dbraw/zinc/08/39/71/197083971.db2.gz JYSYXECWEPQJEZ-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN C[C@@H]1CCSCCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000276848052 386058150 /nfs/dbraw/zinc/05/81/50/386058150.db2.gz QTJWQWKNWFZLAE-MRVPVSSYSA-N 0 0 298.393 2.636 20 5 CFBDRN CCOc1cc(CS[C@H](C)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000276872582 386065576 /nfs/dbraw/zinc/06/55/76/386065576.db2.gz HSQSWCIVEVNDNO-VHSXEESVSA-N 0 0 285.365 2.996 20 5 CFBDRN CCOc1cc(Cn2ccnc2CC)ccc1[N+](=O)[O-] ZINC000276888791 386069841 /nfs/dbraw/zinc/06/98/41/386069841.db2.gz JVRXNOVKROSXOV-UHFFFAOYSA-N 0 0 275.308 2.801 20 5 CFBDRN Nc1ccc(NCCOC2CCCCC2)c([N+](=O)[O-])c1 ZINC000288434639 197077976 /nfs/dbraw/zinc/07/79/76/197077976.db2.gz MWYYPKMXOCYZJY-UHFFFAOYSA-N 0 0 279.340 2.938 20 5 CFBDRN COc1cc(SC[C@H]2CCCO2)c([N+](=O)[O-])cc1OC ZINC000276962148 386092743 /nfs/dbraw/zinc/09/27/43/386092743.db2.gz VTAPPYGVZJHAQP-SECBINFHSA-N 0 0 299.348 2.883 20 5 CFBDRN O=[N+]([O-])c1c(NC2CC(F)(F)C2)nc2sccn21 ZINC000276973725 386095541 /nfs/dbraw/zinc/09/55/41/386095541.db2.gz DVLBFSJHJCGJNE-UHFFFAOYSA-N 0 0 274.252 2.514 20 5 CFBDRN O=c1ccc(C(F)(F)F)cn1Cc1ccccc1[N+](=O)[O-] ZINC000073313644 285220873 /nfs/dbraw/zinc/22/08/73/285220873.db2.gz VCEIADJZYAARBC-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN CCOC[C@H]1CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000288336916 197053874 /nfs/dbraw/zinc/05/38/74/197053874.db2.gz JRZBIOVUQUOAMZ-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H](C3CC3)C2)s1 ZINC000288297725 197046623 /nfs/dbraw/zinc/04/66/23/197046623.db2.gz SGIYAADOIXVREQ-SECBINFHSA-N 0 0 253.327 2.678 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1ccccc1F ZINC000288205614 197026510 /nfs/dbraw/zinc/02/65/10/197026510.db2.gz TXOGWNHRUFABRZ-UHFFFAOYSA-N 0 0 292.314 2.895 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)Cc1cccc(F)c1 ZINC000288147388 197014203 /nfs/dbraw/zinc/01/42/03/197014203.db2.gz NWSKZJNQYMLWHD-UHFFFAOYSA-N 0 0 292.314 2.895 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Oc1cccc([N+](=O)[O-])c1 ZINC000288127778 197009473 /nfs/dbraw/zinc/00/94/73/197009473.db2.gz MGOWMMIHYWROMO-UHFFFAOYSA-N 0 0 292.251 2.820 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000288118110 197007765 /nfs/dbraw/zinc/00/77/65/197007765.db2.gz BPGKQKUBCSJNSY-NHAGDIPZSA-N 0 0 288.347 2.642 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccn(C(C)C)n1 ZINC000281232199 195159023 /nfs/dbraw/zinc/15/90/23/195159023.db2.gz PFRADYYENCDNKB-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CSCCCN(C)Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000281304292 195190122 /nfs/dbraw/zinc/19/01/22/195190122.db2.gz PXMIQCLKGJLNEN-UHFFFAOYSA-N 0 0 299.352 2.688 20 5 CFBDRN COC[C@@H]1CCCN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000301431788 285273796 /nfs/dbraw/zinc/27/37/96/285273796.db2.gz HBWGEFYNCSAMJC-SNVBAGLBSA-N 0 0 298.314 2.605 20 5 CFBDRN COC(=O)[C@H](C)SCCCOc1ccc([N+](=O)[O-])cc1 ZINC000177239543 533209281 /nfs/dbraw/zinc/20/92/81/533209281.db2.gz LHNSTEHMYHABIW-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN CCOC1CC(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000287301622 196827738 /nfs/dbraw/zinc/82/77/38/196827738.db2.gz KRXBZBDRIBVISU-UHFFFAOYSA-N 0 0 289.291 2.927 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H]2CC[C@@H](C)C2)c1 ZINC000429250133 533211287 /nfs/dbraw/zinc/21/12/87/533211287.db2.gz WSJLNRQSEYADTF-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CC(C)(C)O1 ZINC000153625529 297084578 /nfs/dbraw/zinc/08/45/78/297084578.db2.gz BEBZFVPKPCATKS-MRVPVSSYSA-N 0 0 285.731 2.647 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000286813338 196711535 /nfs/dbraw/zinc/71/15/35/196711535.db2.gz UKJIRPFAJFYAIF-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc(N2C[C@@H](C)OC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000290927979 291943114 /nfs/dbraw/zinc/94/31/14/291943114.db2.gz IOMDQOSBUWGBRH-VHSXEESVSA-N 0 0 268.288 2.656 20 5 CFBDRN COc1ccc(OC(=O)CC2CCC2)cc1[N+](=O)[O-] ZINC000191030438 262188262 /nfs/dbraw/zinc/18/82/62/262188262.db2.gz GDORZSCBXWOITP-UHFFFAOYSA-N 0 0 265.265 2.699 20 5 CFBDRN COC(=O)C1(CSc2cccc([N+](=O)[O-])c2)CC1 ZINC000413007874 533222171 /nfs/dbraw/zinc/22/21/71/533222171.db2.gz VLDFUMQYLQLNFV-UHFFFAOYSA-N 0 0 267.306 2.640 20 5 CFBDRN CC1(C)CCN(C(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000081353135 177791651 /nfs/dbraw/zinc/79/16/51/177791651.db2.gz HFNXRVPUXQZDMP-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CC[C@H](COC)NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000094165478 184758702 /nfs/dbraw/zinc/75/87/02/184758702.db2.gz BCBXDQWWSJHJKW-GFCCVEGCSA-N 0 0 294.351 2.675 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CCCO1 ZINC000281519562 195272452 /nfs/dbraw/zinc/27/24/52/195272452.db2.gz LJPYIXBTQLPQTC-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000281583282 195297406 /nfs/dbraw/zinc/29/74/06/195297406.db2.gz PRJHRHNQWJFUDY-ZYHUDNBSSA-N 0 0 277.324 2.535 20 5 CFBDRN CCc1ccc(OC[C@](C)(O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000281722340 195351673 /nfs/dbraw/zinc/35/16/73/195351673.db2.gz DCUODDQQYGSAJF-NSHDSACASA-N 0 0 293.241 2.849 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2C)[C@@H](C)C1 ZINC000281860319 195412383 /nfs/dbraw/zinc/41/23/83/195412383.db2.gz PFHCQSFRQVTKET-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)(C)n1ncnc1COc1cccc([N+](=O)[O-])c1 ZINC000282242553 195548494 /nfs/dbraw/zinc/54/84/94/195548494.db2.gz QIWGHIYQCRWZKE-UHFFFAOYSA-N 0 0 276.296 2.520 20 5 CFBDRN O=[N+]([O-])c1ccc(CN[C@H](CCO)c2ccco2)cc1F ZINC000283152725 195803847 /nfs/dbraw/zinc/80/38/47/195803847.db2.gz OMMGCHCMQBRUBI-GFCCVEGCSA-N 0 0 294.282 2.540 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@H]2C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000283739964 195910153 /nfs/dbraw/zinc/91/01/53/195910153.db2.gz IZSSSQKSEFMBOW-PWSUYJOCSA-N 0 0 292.335 2.537 20 5 CFBDRN COCCCCN(C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000416730463 533246086 /nfs/dbraw/zinc/24/60/86/533246086.db2.gz NKVABOJNZAPJTL-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN COc1ncccc1COc1cccc([N+](=O)[O-])c1C ZINC000176257163 533262118 /nfs/dbraw/zinc/26/21/18/533262118.db2.gz XQAILNRFNDNZSV-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1cccc(O)c1 ZINC000171387040 533292490 /nfs/dbraw/zinc/29/24/90/533292490.db2.gz XXHWKBXRFWURTJ-SNVBAGLBSA-N 0 0 286.287 2.791 20 5 CFBDRN C[C@@H](CC(F)F)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000412151003 533488253 /nfs/dbraw/zinc/48/82/53/533488253.db2.gz YDBIVOBVXYRQRH-VIFPVBQESA-N 0 0 286.278 2.545 20 5 CFBDRN COc1cc(C(=O)Nc2cccc(O)c2)ccc1[N+](=O)[O-] ZINC000158238446 533558250 /nfs/dbraw/zinc/55/82/50/533558250.db2.gz QGKYTOAGBFENJJ-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000175674599 533609173 /nfs/dbraw/zinc/60/91/73/533609173.db2.gz ODCFMBPGNWCDCK-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1ccc(CNc2cccc(C(N)=O)c2[N+](=O)[O-])c(C)c1 ZINC000341735833 130005873 /nfs/dbraw/zinc/00/58/73/130005873.db2.gz WALNROBYSYJRPY-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN COC(=O)CN(C)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000341746239 130017024 /nfs/dbraw/zinc/01/70/24/130017024.db2.gz VMOLMORSWSJGHY-UHFFFAOYSA-N 0 0 293.106 2.511 20 5 CFBDRN NC(=O)Cc1ccc(Nc2c(F)cccc2[N+](=O)[O-])cc1 ZINC000341746366 130018117 /nfs/dbraw/zinc/01/81/17/130018117.db2.gz VYMORASKJDZKMR-UHFFFAOYSA-N 0 0 289.266 2.505 20 5 CFBDRN CO[C@@H](C)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000341784319 130045839 /nfs/dbraw/zinc/04/58/39/130045839.db2.gz ZLNCXQHXQNSJFA-VIFPVBQESA-N 0 0 280.324 2.866 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000341886825 130112696 /nfs/dbraw/zinc/11/26/96/130112696.db2.gz GCBCRCYIXXXBJO-GHMZBOCLSA-N 0 0 290.319 2.563 20 5 CFBDRN CCC[C@H](CC)Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000341926011 130156297 /nfs/dbraw/zinc/15/62/97/130156297.db2.gz IRNBIWOUWBWCKA-VIFPVBQESA-N 0 0 265.313 2.684 20 5 CFBDRN Cc1oc2ccccc2c1CNc1c([N+](=O)[O-])ncn1C ZINC000342016355 130200159 /nfs/dbraw/zinc/20/01/59/130200159.db2.gz JTGYWZCFZSRHIP-UHFFFAOYSA-N 0 0 286.291 2.995 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000342071257 130234845 /nfs/dbraw/zinc/23/48/45/130234845.db2.gz SHXRNJXCAPZLRL-AMIZOPFISA-N 0 0 298.289 2.720 20 5 CFBDRN CC(=O)NC1CCC(Nc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000342095900 130256878 /nfs/dbraw/zinc/25/68/78/130256878.db2.gz NERYMUUCBLEYIG-UHFFFAOYSA-N 0 0 295.314 2.593 20 5 CFBDRN COc1cccc(N[C@H](C)C[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000355411760 130300412 /nfs/dbraw/zinc/30/04/12/130300412.db2.gz KCDWFQVHIYQPOD-MNOVXSKESA-N 0 0 280.324 2.973 20 5 CFBDRN CC(C)=CCNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000191783510 130301450 /nfs/dbraw/zinc/30/14/50/130301450.db2.gz PPTPXICSUHXATB-UHFFFAOYSA-N 0 0 289.335 2.865 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(Cc3ccccc3F)no2)c1 ZINC000355433952 130305087 /nfs/dbraw/zinc/30/50/87/130305087.db2.gz XZAUTDKZFXOODS-UHFFFAOYSA-N 0 0 288.238 2.703 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@@H]3CCSC3)n2)c1 ZINC000355543845 130312335 /nfs/dbraw/zinc/31/23/35/130312335.db2.gz GOQKJVGDIRGYNK-SECBINFHSA-N 0 0 277.305 2.865 20 5 CFBDRN Cc1cc(=O)[nH]cc1-c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000355545443 130312915 /nfs/dbraw/zinc/31/29/15/130312915.db2.gz KYCRBYGYJDDRFF-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCCO[C@@H]1C ZINC000191866681 130320750 /nfs/dbraw/zinc/32/07/50/130320750.db2.gz LVGABIBBGYDTCD-VXGBXAGGSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1cc(C(=O)N2CCS[C@H](C)CC2)ccc1[N+](=O)[O-] ZINC000191937653 130332744 /nfs/dbraw/zinc/33/27/44/130332744.db2.gz PZJVOSJSVJDQNM-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(Cc2ccco2)n1 ZINC000355681017 130334332 /nfs/dbraw/zinc/33/43/32/130334332.db2.gz UWTGHJHWLDIJLM-UHFFFAOYSA-N 0 0 285.259 2.752 20 5 CFBDRN COCc1noc(/C=C(\C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000342170188 130359445 /nfs/dbraw/zinc/35/94/45/130359445.db2.gz QXHPFCWCFCOSIM-VQHVLOKHSA-N 0 0 275.264 2.685 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000356557402 130389526 /nfs/dbraw/zinc/38/95/26/130389526.db2.gz BZKLYPBCRPXLNQ-MRVPVSSYSA-N 0 0 268.338 2.917 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000356560097 130389652 /nfs/dbraw/zinc/38/96/52/130389652.db2.gz IBNHNPARFVMMAD-MRVPVSSYSA-N 0 0 268.338 2.917 20 5 CFBDRN C[C@@H]1CC[N@H+](CCOc2ccccc2[N+](=O)[O-])CCS1 ZINC000192186696 130390431 /nfs/dbraw/zinc/39/04/31/130390431.db2.gz PZNBFQDOYMWPKY-GFCCVEGCSA-N 0 0 296.392 2.801 20 5 CFBDRN CC1CCC(C)(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000357388526 130458578 /nfs/dbraw/zinc/45/85/78/130458578.db2.gz ZQFOFJJWXNDYSO-UHFFFAOYSA-N 0 0 279.340 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cn[nH]c2)c2ncccc12 ZINC000357631282 130496713 /nfs/dbraw/zinc/49/67/13/130496713.db2.gz RYXWKECJLDGZFS-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN CCN(C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1)C1CCC1 ZINC000359478459 130516257 /nfs/dbraw/zinc/51/62/57/130516257.db2.gz CHWJEOCYYVKGMN-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN CCC[C@H]1C[C@@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000360025270 130577407 /nfs/dbraw/zinc/57/74/07/130577407.db2.gz QKHFBXVCDYQQNV-GWCFXTLKSA-N 0 0 292.335 2.656 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000360031944 130578382 /nfs/dbraw/zinc/57/83/82/130578382.db2.gz HFLYTKNUKVWSTE-SRVKXCTJSA-N 0 0 294.351 2.691 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000284035521 195966204 /nfs/dbraw/zinc/96/62/04/195966204.db2.gz OYXIYDVADRGBCT-QMMMGPOBSA-N 0 0 269.276 2.962 20 5 CFBDRN C[C@H](O)c1ccc(CNc2ncc([N+](=O)[O-])s2)cc1 ZINC000195510620 130744715 /nfs/dbraw/zinc/74/47/15/130744715.db2.gz MUJNVTQBWQXLAK-QMMMGPOBSA-N 0 0 279.321 2.717 20 5 CFBDRN Cc1ccc(OCCNc2ncc([N+](=O)[O-])s2)cc1 ZINC000195505653 130744734 /nfs/dbraw/zinc/74/47/34/130744734.db2.gz YEOUCWQEEYWYGW-UHFFFAOYSA-N 0 0 279.321 2.851 20 5 CFBDRN Cc1c(CNc2cccc(C)c2[N+](=O)[O-])cnn1C ZINC000218871814 130771654 /nfs/dbraw/zinc/77/16/54/130771654.db2.gz MRYUGGUCENCHFG-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1cnc(NCC(C)(C)CCCO)c([N+](=O)[O-])c1 ZINC000221192232 130783019 /nfs/dbraw/zinc/78/30/19/130783019.db2.gz MQLQYTADZUCVQY-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN CCC(CC)(CNc1c([N+](=O)[O-])c(C)nn1C)SC ZINC000230920960 130910358 /nfs/dbraw/zinc/91/03/58/130910358.db2.gz FTLJKRQADZXZOL-UHFFFAOYSA-N 0 0 286.401 2.970 20 5 CFBDRN CCCN(C)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233022008 130929166 /nfs/dbraw/zinc/92/91/66/130929166.db2.gz RQPAGIIWXRFSNC-UHFFFAOYSA-N 0 0 254.261 2.524 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)COc2ccccc2[N+](=O)[O-])CC1 ZINC000247173707 131101558 /nfs/dbraw/zinc/10/15/58/131101558.db2.gz OZJRTKSMZBOGQI-HAQNSBGRSA-N 0 0 292.335 2.669 20 5 CFBDRN CN(CC(C)(C)C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000263746299 131321187 /nfs/dbraw/zinc/32/11/87/131321187.db2.gz FYUVIYOMMNSZDZ-UHFFFAOYSA-N 0 0 279.340 2.782 20 5 CFBDRN Cc1ccccc1C1(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000263771870 131326955 /nfs/dbraw/zinc/32/69/55/131326955.db2.gz HJBOUJVZTOPFKV-UHFFFAOYSA-N 0 0 299.330 2.693 20 5 CFBDRN Cc1[nH]c2ccccc2c1CCNc1c([N+](=O)[O-])ncn1C ZINC000263779025 131329595 /nfs/dbraw/zinc/32/95/95/131329595.db2.gz LHCOIBQNVFYKOF-UHFFFAOYSA-N 0 0 299.334 2.773 20 5 CFBDRN CN(C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000263893922 131355003 /nfs/dbraw/zinc/35/50/03/131355003.db2.gz GWLAJYQTRHLFNF-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@@H](C)CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000263942769 131365824 /nfs/dbraw/zinc/36/58/24/131365824.db2.gz YEEWZXHAQAVVIK-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1cccc(OS(=O)(=O)C2CCCCC2)c1 ZINC000264126681 131397813 /nfs/dbraw/zinc/39/78/13/131397813.db2.gz LRFPBOJMXMAABG-UHFFFAOYSA-N 0 0 285.321 2.636 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC1CC(C)(c2ccccc2)C1 ZINC000264459634 131456514 /nfs/dbraw/zinc/45/65/14/131456514.db2.gz FTHJOANWHYWPMI-UHFFFAOYSA-N 0 0 286.335 2.861 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C1CCSCC1 ZINC000264889628 131518100 /nfs/dbraw/zinc/51/81/00/131518100.db2.gz YSGNZURHHHXQBE-UHFFFAOYSA-N 0 0 297.332 2.652 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@@H](C)C1 ZINC000265989152 131666755 /nfs/dbraw/zinc/66/67/55/131666755.db2.gz HVWFMXIBQQGEEA-LLVKDONJSA-N 0 0 264.325 2.978 20 5 CFBDRN Cc1nc(C)c(CNc2ccc([N+](=O)[O-])cn2)s1 ZINC000266208026 131700782 /nfs/dbraw/zinc/70/07/82/131700782.db2.gz FOZWYWBAEZJNMZ-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@@H]1CCCSC1 ZINC000267282684 131848686 /nfs/dbraw/zinc/84/86/86/131848686.db2.gz SMJVHGQIIWIWPS-ABZNLYFFSA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1cc(N2CC[C@@H](OCCC(C)C)C2)ncc1[N+](=O)[O-] ZINC000267680499 131902583 /nfs/dbraw/zinc/90/25/83/131902583.db2.gz PHXVCOGVXKPHSX-CYBMUJFWSA-N 0 0 293.367 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@@H]2CCCOC2)c1 ZINC000268043620 131950807 /nfs/dbraw/zinc/95/08/07/131950807.db2.gz PKPMHSWENFPYNP-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)CO[C@@H]2CCOC2)c1 ZINC000268050886 131951120 /nfs/dbraw/zinc/95/11/20/131951120.db2.gz SQLLPCQCUCVCGK-VXGBXAGGSA-N 0 0 280.324 2.509 20 5 CFBDRN CCCCNC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268095915 131957914 /nfs/dbraw/zinc/95/79/14/131957914.db2.gz VOYCMHVHXJUWLA-UHFFFAOYSA-N 0 0 285.731 2.848 20 5 CFBDRN Cc1noc(C)c1C[C@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000268490373 132016875 /nfs/dbraw/zinc/01/68/75/132016875.db2.gz NMURDDVDDNESMJ-QMMMGPOBSA-N 0 0 290.323 2.946 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCc1ccncc1 ZINC000268530080 132022277 /nfs/dbraw/zinc/02/22/77/132022277.db2.gz ACZKBLBMDRDEDV-UHFFFAOYSA-N 0 0 287.319 2.791 20 5 CFBDRN Cc1nc(N(C)[C@H](C)c2cccnc2)ccc1[N+](=O)[O-] ZINC000268539997 132023949 /nfs/dbraw/zinc/02/39/49/132023949.db2.gz ZEAOAICOEJLPQF-LLVKDONJSA-N 0 0 272.308 2.891 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CC1CCCC1 ZINC000268619230 132036120 /nfs/dbraw/zinc/03/61/20/132036120.db2.gz MYRRCRNETDPTSF-UHFFFAOYSA-N 0 0 256.689 2.600 20 5 CFBDRN CCC[C@@H](C)[C@@H]1CCCN1C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000268999548 132097914 /nfs/dbraw/zinc/09/79/14/132097914.db2.gz TXYBKJZKMJPHIM-KOLCDFICSA-N 0 0 294.355 2.667 20 5 CFBDRN CC(C)N(CC(F)F)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000269141144 132118986 /nfs/dbraw/zinc/11/89/86/132118986.db2.gz IIFXXZKYRNKIFO-UHFFFAOYSA-N 0 0 290.241 2.850 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000269321519 132154923 /nfs/dbraw/zinc/15/49/23/132154923.db2.gz BMHRSXUCXJTSPL-ZYHUDNBSSA-N 0 0 283.255 2.597 20 5 CFBDRN Cc1c(OCc2noc(C(C)C)n2)cccc1[N+](=O)[O-] ZINC000269424640 132168739 /nfs/dbraw/zinc/16/87/39/132168739.db2.gz NDVHPBMDPVIWPJ-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H](O)c1ccccc1F ZINC000301927043 393482301 /nfs/dbraw/zinc/48/23/01/393482301.db2.gz HVZGQQTWEVQFOK-NSHDSACASA-N 0 0 282.296 2.941 20 5 CFBDRN O=C(N[C@H]1CCOC1)c1cc2ccccc2c2cccnc12 ZINC000179201239 393456957 /nfs/dbraw/zinc/45/69/57/393456957.db2.gz KMCDMAXLYBKLEV-ZDUSSCGKSA-N 0 0 292.338 2.907 20 5 CFBDRN Cc1noc(C2CCN(c3sccc3[N+](=O)[O-])CC2)n1 ZINC000301927089 393482778 /nfs/dbraw/zinc/48/27/78/393482778.db2.gz HZDFXYHNMJNGMK-UHFFFAOYSA-N 0 0 294.336 2.732 20 5 CFBDRN Cc1cc(N(C)[C@@H]2CCOC2)c(Cl)cc1[N+](=O)[O-] ZINC000301449542 393470067 /nfs/dbraw/zinc/47/00/67/393470067.db2.gz SDYNDOZQFXDQLV-SECBINFHSA-N 0 0 270.716 2.782 20 5 CFBDRN CCOC(=O)[C@H](Sc1ncccc1[N+](=O)[O-])C(C)C ZINC000179853277 393470866 /nfs/dbraw/zinc/47/08/66/393470866.db2.gz NGDIGPZEPQFCDA-SNVBAGLBSA-N 0 0 284.337 2.670 20 5 CFBDRN CC(C)[N@@H+]1CC[C@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000301990456 393485238 /nfs/dbraw/zinc/48/52/38/393485238.db2.gz MQQOPLDZRWLVCW-VIFPVBQESA-N 0 0 255.343 2.551 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2C[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000182443283 393510438 /nfs/dbraw/zinc/51/04/38/393510438.db2.gz ZHZHSIDTEQPJIW-VXGBXAGGSA-N 0 0 260.293 2.888 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCOC[C@@H]1C1CC1 ZINC000183366113 393519927 /nfs/dbraw/zinc/51/99/27/393519927.db2.gz MDVKPUGBTOTXSC-OAHLLOKOSA-N 0 0 276.336 2.514 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCn2cccn2)c(F)c1 ZINC000185155013 393531550 /nfs/dbraw/zinc/53/15/50/393531550.db2.gz HOUSDGASKIDLKA-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@](O)(C2CC2)C1 ZINC000377467222 393531854 /nfs/dbraw/zinc/53/18/54/393531854.db2.gz DFCPDZGVKVXWLW-CQSZACIVSA-N 0 0 296.754 2.908 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000330513222 393553094 /nfs/dbraw/zinc/55/30/94/393553094.db2.gz SZIVFABFBFJOLI-CMPLNLGQSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(Nc1ccccc1O)c1csc([N+](=O)[O-])c1 ZINC000045012445 393568349 /nfs/dbraw/zinc/56/83/49/393568349.db2.gz VKXUPMDDYIAQMM-UHFFFAOYSA-N 0 0 264.262 2.614 20 5 CFBDRN CC(C)(O)CN(Cc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000189894229 393576322 /nfs/dbraw/zinc/57/63/22/393576322.db2.gz YBFVTNILAOEDMC-UHFFFAOYSA-N 0 0 298.770 2.984 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000334385238 393597752 /nfs/dbraw/zinc/59/77/52/393597752.db2.gz MVJYIQIJCPFSID-KCJUWKMLSA-N 0 0 274.276 2.869 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H]1C1CCCC1 ZINC000048816214 393603983 /nfs/dbraw/zinc/60/39/83/393603983.db2.gz VSEFZXKCBMULOZ-NSHDSACASA-N 0 0 278.308 2.983 20 5 CFBDRN CO[C@@H]1CC[C@@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC000191713417 393604260 /nfs/dbraw/zinc/60/42/60/393604260.db2.gz HPRZYDSTUZNETK-ZYHUDNBSSA-N 0 0 298.726 2.546 20 5 CFBDRN CC(C)Cn1nnnc1SCc1ccccc1[N+](=O)[O-] ZINC000048396302 393588410 /nfs/dbraw/zinc/58/84/10/393588410.db2.gz BZZNPBLJTJGUEK-UHFFFAOYSA-N 0 0 293.352 2.530 20 5 CFBDRN Cc1cc(N2C[C@@H](C)O[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000048543812 393588605 /nfs/dbraw/zinc/58/86/05/393588605.db2.gz PNYOGSBDXJQKPO-GHMZBOCLSA-N 0 0 250.298 2.517 20 5 CFBDRN CC(C)CCNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411167097 393678747 /nfs/dbraw/zinc/67/87/47/393678747.db2.gz WZDQJGQGVOGUCR-UONOGXRCSA-N 0 0 276.336 2.861 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000194142251 393679714 /nfs/dbraw/zinc/67/97/14/393679714.db2.gz QGJXDXSDUPSDFW-NXEZZACHSA-N 0 0 280.324 2.768 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000408455919 393627246 /nfs/dbraw/zinc/62/72/46/393627246.db2.gz YYJSHLIQENDVRL-RKDXNWHRSA-N 0 0 260.297 2.848 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](C)C[C@H](C)C1 ZINC000192714564 393631037 /nfs/dbraw/zinc/63/10/37/393631037.db2.gz VXBDCYLKMWLSCI-MNOVXSKESA-N 0 0 280.372 2.992 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCCC[C@@H](C)C1 ZINC000192781165 393632901 /nfs/dbraw/zinc/63/29/01/393632901.db2.gz SSEXPVYGOSNINM-SNVBAGLBSA-N 0 0 266.345 2.746 20 5 CFBDRN CN(C(=O)CC1CC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000410615340 393632876 /nfs/dbraw/zinc/63/28/76/393632876.db2.gz OWRBZHIVZAYUCN-UHFFFAOYSA-N 0 0 284.262 2.993 20 5 CFBDRN CC1(C)CCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000070259627 393638415 /nfs/dbraw/zinc/63/84/15/393638415.db2.gz VOHHFVXFCHIDLP-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1ccc(NCCCOC(F)F)c([N+](=O)[O-])c1 ZINC000193613829 393658046 /nfs/dbraw/zinc/65/80/46/393658046.db2.gz NTPWILUHFIQYIO-UHFFFAOYSA-N 0 0 260.240 2.944 20 5 CFBDRN Cc1cc(C(=O)N(C)Cc2nccs2)ccc1[N+](=O)[O-] ZINC000074548085 393660542 /nfs/dbraw/zinc/66/05/42/393660542.db2.gz QGJPVNISQBTVMC-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN C[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000411147141 393674115 /nfs/dbraw/zinc/67/41/15/393674115.db2.gz WYUUJQOQNMEJID-TUKIKUTGSA-N 0 0 288.347 2.957 20 5 CFBDRN CCOCCCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000194072757 393675666 /nfs/dbraw/zinc/67/56/66/393675666.db2.gz LDQRXGGHSOLKTI-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN CCCC(C)(C)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000195167377 393733484 /nfs/dbraw/zinc/73/34/84/393733484.db2.gz OHINPNQLOAXIKY-UHFFFAOYSA-N 0 0 265.313 2.607 20 5 CFBDRN C[C@@H]1[C@H](C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)C1(F)F ZINC000336404702 393733761 /nfs/dbraw/zinc/73/37/61/393733761.db2.gz RYEKMPIVIQXBMY-PRHODGIISA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1cccc2c1CCN2C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000195245029 393735531 /nfs/dbraw/zinc/73/55/31/393735531.db2.gz WPFZAGIWTMYIKM-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1c(Br)c(N2CCCC2)ncc1[N+](=O)[O-] ZINC000336648685 393744623 /nfs/dbraw/zinc/74/46/23/393744623.db2.gz GHDWGVNNXYOMGF-UHFFFAOYSA-N 0 0 286.129 2.661 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)no1 ZINC000084775891 393749934 /nfs/dbraw/zinc/74/99/34/393749934.db2.gz NOCPAWATQONQBJ-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN C[C@@H](CCO)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000077226281 393695087 /nfs/dbraw/zinc/69/50/87/393695087.db2.gz OFURJQJVOYCBHD-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN COc1cccc(C(=O)NC[C@@H](C)C(C)C)c1[N+](=O)[O-] ZINC000194435388 393695444 /nfs/dbraw/zinc/69/54/44/393695444.db2.gz BOVFQSMAWFWJHW-SNVBAGLBSA-N 0 0 280.324 2.625 20 5 CFBDRN CC(C)Oc1cc(C(=O)NC[C@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000411423903 393710533 /nfs/dbraw/zinc/71/05/33/393710533.db2.gz OYFUNHSAWSYPKM-ZYHUDNBSSA-N 0 0 292.335 2.768 20 5 CFBDRN CC(C)(C)CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000194631808 393711049 /nfs/dbraw/zinc/71/10/49/393711049.db2.gz LLSDLVBYVXYQIS-UHFFFAOYSA-N 0 0 275.308 2.852 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1ccccc1[N+](=O)[O-] ZINC000078829417 393712849 /nfs/dbraw/zinc/71/28/49/393712849.db2.gz AJJOXZSRYKJMLB-VIFPVBQESA-N 0 0 269.322 2.637 20 5 CFBDRN COc1cccc(C(=O)N[C@@H](C)CC(C)C)c1[N+](=O)[O-] ZINC000194752018 393715715 /nfs/dbraw/zinc/71/57/15/393715715.db2.gz ROCMFSHEZCFGKB-JTQLQIEISA-N 0 0 280.324 2.768 20 5 CFBDRN CCC[C@@H](CC)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000194878870 393721548 /nfs/dbraw/zinc/72/15/48/393721548.db2.gz LPQHRFONALSCCT-LLVKDONJSA-N 0 0 280.324 2.669 20 5 CFBDRN C[C@H](CC(F)F)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000411530433 393723027 /nfs/dbraw/zinc/72/30/27/393723027.db2.gz JQNSUBDHLLFWIQ-MRVPVSSYSA-N 0 0 272.251 2.502 20 5 CFBDRN Cc1ccc2nc(Cc3ccc([N+](=O)[O-])cc3)[nH]c(=O)c2c1 ZINC000080128344 393724257 /nfs/dbraw/zinc/72/42/57/393724257.db2.gz KFDZEQXMIWBRAP-UHFFFAOYSA-N 0 0 295.298 2.731 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCO1 ZINC000219936076 393760993 /nfs/dbraw/zinc/76/09/93/393760993.db2.gz ANEJGCPRWYUQHR-BDAKNGLRSA-N 0 0 298.726 2.546 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411582846 393727732 /nfs/dbraw/zinc/72/77/32/393727732.db2.gz HLXBIRFOPKLQMF-YNEHKIRRSA-N 0 0 274.320 2.613 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc(F)cc2F)nc1C1CC1 ZINC000195042421 393729239 /nfs/dbraw/zinc/72/92/39/393729239.db2.gz SXWLELIXANAYJF-UHFFFAOYSA-N 0 0 279.246 2.995 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000089853914 393771145 /nfs/dbraw/zinc/77/11/45/393771145.db2.gz JYUKZLVABRWJFT-UHFFFAOYSA-N 0 0 289.295 2.887 20 5 CFBDRN Cc1cccc(C(=O)NCCc2cccc([N+](=O)[O-])c2)c1 ZINC000089835168 393771431 /nfs/dbraw/zinc/77/14/31/393771431.db2.gz ORJLQTCMCFPWLG-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccnc1 ZINC000338085269 393774493 /nfs/dbraw/zinc/77/44/93/393774493.db2.gz OZRXZEJIAGSOGY-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1CC[C@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000090466931 393778161 /nfs/dbraw/zinc/77/81/61/393778161.db2.gz CNNMJTDYLZDSGZ-BPNCWPANSA-N 0 0 292.335 2.730 20 5 CFBDRN C[C@]1(O)CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000230476374 393779094 /nfs/dbraw/zinc/77/90/94/393779094.db2.gz KCMQIYMUQLBHAW-NSHDSACASA-N 0 0 290.241 2.575 20 5 CFBDRN COC1CCC(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CC1 ZINC000458049779 393833370 /nfs/dbraw/zinc/83/33/70/393833370.db2.gz YUWQOQLGQBSGCM-UHFFFAOYSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000416083309 393869354 /nfs/dbraw/zinc/86/93/54/393869354.db2.gz XZSCDSWOJYBLDC-OUAUKWLOSA-N 0 0 294.326 2.779 20 5 CFBDRN CCCCOC1CCN(c2ncccc2[N+](=O)[O-])CC1 ZINC000343907896 393891708 /nfs/dbraw/zinc/89/17/08/393891708.db2.gz PTLTXPMXBVKSRF-UHFFFAOYSA-N 0 0 279.340 2.775 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1Cc2cccc(O)c2C1 ZINC000428660742 393903380 /nfs/dbraw/zinc/90/33/80/393903380.db2.gz OCQROPPBFVYXHA-LLVKDONJSA-N 0 0 285.303 2.583 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)CC(F)F)c(Cl)c1 ZINC000428671976 393904462 /nfs/dbraw/zinc/90/44/62/393904462.db2.gz PSPUUORSRIJWDW-LURJTMIESA-N 0 0 280.658 2.676 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C[C@]2(C)C(C)C)ccc1[N+](=O)[O-] ZINC000458115320 393850045 /nfs/dbraw/zinc/85/00/45/393850045.db2.gz RUGSJWPWWDUHAJ-UKRRQHHQSA-N 0 0 292.335 2.768 20 5 CFBDRN CSc1cccc(C(=O)NC[C@H]2CC23CC3)c1[N+](=O)[O-] ZINC000416040196 393852278 /nfs/dbraw/zinc/85/22/78/393852278.db2.gz BGGZJMVMYGAVKI-SECBINFHSA-N 0 0 292.360 2.847 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2C[C@]2(C)C(C)C)cc1[N+](=O)[O-] ZINC000458125608 393853633 /nfs/dbraw/zinc/85/36/33/393853633.db2.gz CAHODTKBGVITHO-DZGCQCFKSA-N 0 0 292.335 2.768 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000458130599 393854990 /nfs/dbraw/zinc/85/49/90/393854990.db2.gz NWUXJHIPECTJIZ-UKRRQHHQSA-N 0 0 294.326 2.827 20 5 CFBDRN Cc1cnc(N[C@H]2COc3ccc(F)cc32)c([N+](=O)[O-])c1 ZINC000341631028 393856123 /nfs/dbraw/zinc/85/61/23/393856123.db2.gz HONPICFIEIEHLV-NSHDSACASA-N 0 0 289.266 2.983 20 5 CFBDRN O=C(NC1CCC(C(F)F)CC1)c1ccc([N+](=O)[O-])o1 ZINC000458138603 393857262 /nfs/dbraw/zinc/85/72/62/393857262.db2.gz HEESUAMFQSORNE-UHFFFAOYSA-N 0 0 288.250 2.742 20 5 CFBDRN Cc1c(CC(=O)N[C@H]2C[C@@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000458149720 393859335 /nfs/dbraw/zinc/85/93/35/393859335.db2.gz WMRQSXJZTXVCDD-HOCLYGCPSA-N 0 0 290.363 2.997 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NCC1(O)CCC1 ZINC000472342646 393931488 /nfs/dbraw/zinc/93/14/88/393931488.db2.gz JDFSBGDMBBVNTM-UHFFFAOYSA-N 0 0 270.716 2.884 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)C[C@H]1C ZINC000120494215 393934568 /nfs/dbraw/zinc/93/45/68/393934568.db2.gz NKQQOJHUIHNMPO-NXEZZACHSA-N 0 0 277.324 2.559 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)NCCCF)cc1[N+](=O)[O-] ZINC000121212134 393951846 /nfs/dbraw/zinc/95/18/46/393951846.db2.gz HGWQLGNYGLVFFM-SNVBAGLBSA-N 0 0 283.303 2.623 20 5 CFBDRN COc1c(C(=O)NCCC2CCCC2)cccc1[N+](=O)[O-] ZINC000474704376 393945162 /nfs/dbraw/zinc/94/51/62/393945162.db2.gz PEPGRWUANJLJAY-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CNc1c(C(=O)N[C@@H](C)CCC2CC2)cccc1[N+](=O)[O-] ZINC000347851535 393945250 /nfs/dbraw/zinc/94/52/50/393945250.db2.gz VJBGQWVDWYRVKC-JTQLQIEISA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1cnns1 ZINC000428926351 393921993 /nfs/dbraw/zinc/92/19/93/393921993.db2.gz BORXZICZWCDDMP-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN Cc1cnc(N[C@H](C)Cc2ccncc2)c([N+](=O)[O-])c1 ZINC000487659233 394017723 /nfs/dbraw/zinc/01/77/23/394017723.db2.gz BFJXTOVNONZLOT-LLVKDONJSA-N 0 0 272.308 2.736 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000271701068 394021851 /nfs/dbraw/zinc/02/18/51/394021851.db2.gz LGPRELZVWDGKQB-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN COc1c(C(=O)NC[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000476098627 393958049 /nfs/dbraw/zinc/95/80/49/393958049.db2.gz KWMHUAVKLOTZFU-JTQLQIEISA-N 0 0 280.324 2.625 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@@H](C3CC3)C2)nc2sccn21 ZINC000340770032 296496519 /nfs/dbraw/zinc/49/65/19/296496519.db2.gz BXIPDCNUWUREJM-SNVBAGLBSA-N 0 0 292.364 2.930 20 5 CFBDRN Cc1cccc2c1N(C(=O)c1cccc([N+](=O)[O-])c1N)CC2 ZINC000126227669 394023153 /nfs/dbraw/zinc/02/31/53/394023153.db2.gz SPXNAFMBRSYGIY-UHFFFAOYSA-N 0 0 297.314 2.688 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(Cc3ccncc3)c2)c1 ZINC000349160547 393964118 /nfs/dbraw/zinc/96/41/18/393964118.db2.gz QCEIYCBLABMVMC-UHFFFAOYSA-N 0 0 280.287 2.902 20 5 CFBDRN CC[C@]1(C)CN(Cc2ccc([N+](=O)[O-])cc2OC)CCO1 ZINC000430195628 393966123 /nfs/dbraw/zinc/96/61/23/393966123.db2.gz ORRCEMQRQHFZMM-OAHLLOKOSA-N 0 0 294.351 2.604 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(O)cc1 ZINC000122267880 393967226 /nfs/dbraw/zinc/96/72/26/393967226.db2.gz HCQWYZICPAOXRO-UHFFFAOYSA-N 0 0 286.287 2.872 20 5 CFBDRN Cc1ccc(C(=O)N[C@H]2CC23CCCC3)cc1[N+](=O)[O-] ZINC000430228088 393970660 /nfs/dbraw/zinc/97/06/60/393970660.db2.gz PYDZOWDPJQQIOG-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN NC(=O)c1ccc(N[C@H]2CCCC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000486921549 393971755 /nfs/dbraw/zinc/97/17/55/393971755.db2.gz RWYNEGGIEREWJZ-VIFPVBQESA-N 0 0 299.277 2.684 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@H]3CCC[C@@H]3C2)nc2sccn21 ZINC000268209836 296496678 /nfs/dbraw/zinc/49/66/78/296496678.db2.gz IARLOBZUHZYFOE-RKDXNWHRSA-N 0 0 278.337 2.540 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC12CCCC2 ZINC000430264790 393975111 /nfs/dbraw/zinc/97/51/11/393975111.db2.gz XYPQTHRAVFQODC-CYBMUJFWSA-N 0 0 290.319 2.666 20 5 CFBDRN CN(C1(C)CCCC1)S(=O)(=O)c1ccccc1[N+](=O)[O-] ZINC000486963401 393976076 /nfs/dbraw/zinc/97/60/76/393976076.db2.gz FZPZICIIQOUJJX-UHFFFAOYSA-N 0 0 298.364 2.548 20 5 CFBDRN Cc1conc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000123644061 393985585 /nfs/dbraw/zinc/98/55/85/393985585.db2.gz YDHGYXINLRIITP-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CCC[C@H](CC)NC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430413888 393985968 /nfs/dbraw/zinc/98/59/68/393985968.db2.gz APORBRFQPRBBRC-LBPRGKRZSA-N 0 0 294.351 2.977 20 5 CFBDRN CCC[C@@H](CC)NC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430413887 393986026 /nfs/dbraw/zinc/98/60/26/393986026.db2.gz APORBRFQPRBBRC-GFCCVEGCSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@@H]1C ZINC000267606832 393987911 /nfs/dbraw/zinc/98/79/11/393987911.db2.gz GGPCTAGNKYVHKV-RKDXNWHRSA-N 0 0 265.269 2.738 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1ccc(F)cc1[N+](=O)[O-] ZINC000268062060 393990344 /nfs/dbraw/zinc/99/03/44/393990344.db2.gz YYVVEWSLFCEDIK-MWLCHTKSSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCC[C@H]2OCC[C@H]21 ZINC000268392540 393992176 /nfs/dbraw/zinc/99/21/76/393992176.db2.gz MSSCTEWDRWUQCY-YNEHKIRRSA-N 0 0 277.324 2.668 20 5 CFBDRN CCC[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000270283121 394011313 /nfs/dbraw/zinc/01/13/13/394011313.db2.gz SSFNQNQCVRXVAE-JTQLQIEISA-N 0 0 268.288 2.739 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000352367165 394013037 /nfs/dbraw/zinc/01/30/37/394013037.db2.gz LZKHBMPBTHKGAG-NEPJUHHUSA-N 0 0 287.319 2.544 20 5 CFBDRN CCC1(CO)CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000270779107 394014081 /nfs/dbraw/zinc/01/40/81/394014081.db2.gz OGULVYMNGUYQMK-UHFFFAOYSA-N 0 0 264.325 2.584 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000437112236 394047054 /nfs/dbraw/zinc/04/70/54/394047054.db2.gz HDMXEJPCZDEAET-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC1=C(C(=O)NCCNc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000437162616 394048339 /nfs/dbraw/zinc/04/83/39/394048339.db2.gz JAGDMDKXHFHCQO-UHFFFAOYSA-N 0 0 289.335 2.623 20 5 CFBDRN Cc1cc(N2CCS[C@H](C)CC2)ncc1[N+](=O)[O-] ZINC000276851546 394052412 /nfs/dbraw/zinc/05/24/12/394052412.db2.gz DQXMHBWXXUCVAS-SNVBAGLBSA-N 0 0 267.354 2.630 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCc1nnc(C)o1 ZINC000419593764 394057158 /nfs/dbraw/zinc/05/71/58/394057158.db2.gz UDFFJKZNDFKLNY-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN COC[C@H](C)SCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000437454328 394057401 /nfs/dbraw/zinc/05/74/01/394057401.db2.gz ODMPWMGBAZZLPQ-VIFPVBQESA-N 0 0 271.338 2.872 20 5 CFBDRN CCC(=O)c1ccc(N2CCO[C@H](CC)C2)c([N+](=O)[O-])c1 ZINC000280091036 394070726 /nfs/dbraw/zinc/07/07/26/394070726.db2.gz SNEKIMSOVQFMSD-GFCCVEGCSA-N 0 0 292.335 2.803 20 5 CFBDRN CC[C@H](O)CCCNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000437719456 394070930 /nfs/dbraw/zinc/07/09/30/394070930.db2.gz LNACQQIMMYSZBK-LBPRGKRZSA-N 0 0 295.339 2.576 20 5 CFBDRN CCOc1cccc(N2CCC[C@@](C)(OC)C2)c1[N+](=O)[O-] ZINC000280543899 394072988 /nfs/dbraw/zinc/07/29/88/394072988.db2.gz SROJNLHKQAVUPH-OAHLLOKOSA-N 0 0 294.351 2.999 20 5 CFBDRN Cc1ccc(-c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)cc1 ZINC000356071542 394074220 /nfs/dbraw/zinc/07/42/20/394074220.db2.gz CBEDLBJGYHZVDX-UHFFFAOYSA-N 0 0 270.248 2.948 20 5 CFBDRN COCC1(CNc2nc3sccn3c2[N+](=O)[O-])CCC1 ZINC000281972970 394103663 /nfs/dbraw/zinc/10/36/63/394103663.db2.gz ROEZRNHBCGIQTJ-UHFFFAOYSA-N 0 0 296.352 2.533 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C[C@H]1CCCO1 ZINC000281837143 394081531 /nfs/dbraw/zinc/08/15/31/394081531.db2.gz AHNSVIQACMUDGE-SECBINFHSA-N 0 0 282.271 2.550 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000129947332 394098149 /nfs/dbraw/zinc/09/81/49/394098149.db2.gz XMQBSSCPADUZTM-SECBINFHSA-N 0 0 268.288 2.852 20 5 CFBDRN CC(C)(C)CCCNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000126528382 394030644 /nfs/dbraw/zinc/03/06/44/394030644.db2.gz OKSJZCOHKOQPGF-UHFFFAOYSA-N 0 0 254.286 2.744 20 5 CFBDRN C[C@@H]1CCC[C@H](CO)N1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000272681221 394031601 /nfs/dbraw/zinc/03/16/01/394031601.db2.gz RYQYGTYYDVVORQ-ZWNOBZJWSA-N 0 0 298.770 2.984 20 5 CFBDRN CCO/C=C\C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000493205273 394178676 /nfs/dbraw/zinc/17/86/76/394178676.db2.gz KNESQWVGGDHKMR-WAYWQWQTSA-N 0 0 270.672 2.737 20 5 CFBDRN CC(C)C(C)(C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000439249551 394106182 /nfs/dbraw/zinc/10/61/82/394106182.db2.gz RTDDHWBOEGMBPQ-UHFFFAOYSA-N 0 0 293.367 2.805 20 5 CFBDRN Cc1cc(OC[C@@](C)(O)C(F)(F)F)c(F)cc1[N+](=O)[O-] ZINC000281986867 394106263 /nfs/dbraw/zinc/10/62/63/394106263.db2.gz VKNFHOVERJZSNX-SNVBAGLBSA-N 0 0 297.204 2.734 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC[C@H](O)C1 ZINC000439244692 394106630 /nfs/dbraw/zinc/10/66/30/394106630.db2.gz HIJZHWPGYODYQU-NEPJUHHUSA-N 0 0 292.335 2.701 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CCO[C@H]1C(C)C ZINC000358888123 394114246 /nfs/dbraw/zinc/11/42/46/394114246.db2.gz RKGYAVGBYUFPOK-YPMHNXCESA-N 0 0 296.371 2.593 20 5 CFBDRN C[C@H]1CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C[C@H](C)C1 ZINC000420611442 394120329 /nfs/dbraw/zinc/12/03/29/394120329.db2.gz NKALEHCLHGGWGE-WDEREUQCSA-N 0 0 291.351 2.566 20 5 CFBDRN CC[C@@H]1CCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000130947434 394122608 /nfs/dbraw/zinc/12/26/08/394122608.db2.gz IOUMJYRWCGXENK-ABZNLYFFSA-N 0 0 274.320 2.867 20 5 CFBDRN CC1=CCCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000493047812 394133622 /nfs/dbraw/zinc/13/36/22/394133622.db2.gz CRIOVFQXFZKLGM-TWGQIWQCSA-N 0 0 272.304 2.787 20 5 CFBDRN COC(=O)c1coc(COc2ccc([N+](=O)[O-])cc2C)c1 ZINC000131453741 394133877 /nfs/dbraw/zinc/13/38/77/394133877.db2.gz OYRJLQKFIOGUNV-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@@H](O)CC12CCC2 ZINC000421001478 394152572 /nfs/dbraw/zinc/15/25/72/394152572.db2.gz WZUQUIILXVDXSL-LLVKDONJSA-N 0 0 294.326 2.613 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NCC1CC(F)(F)C1 ZINC000493157682 394164506 /nfs/dbraw/zinc/16/45/06/394164506.db2.gz OOQQAHUUFKYNSF-WAYWQWQTSA-N 0 0 296.273 2.770 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](F)C1 ZINC000493162821 394166065 /nfs/dbraw/zinc/16/60/65/394166065.db2.gz SVRSHVYBJFHJMA-VVEJJEBESA-N 0 0 278.283 2.569 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000448918059 394210137 /nfs/dbraw/zinc/21/01/37/394210137.db2.gz OUXHXTLUHTVNJH-LDYMZIIASA-N 0 0 296.298 2.661 20 5 CFBDRN CC(C)O[C@@H](Cn1cc([N+](=O)[O-])cn1)c1ccccc1 ZINC000291688912 394256559 /nfs/dbraw/zinc/25/65/59/394256559.db2.gz NXLNNJXLMYMHBJ-AWEZNQCLSA-N 0 0 275.308 2.958 20 5 CFBDRN Cc1nc(N2CCC[C@@H](OCC3CC3)C2)ccc1[N+](=O)[O-] ZINC000364416804 394211546 /nfs/dbraw/zinc/21/15/46/394211546.db2.gz NPMJPYWKZDHQRZ-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2nnc(C3CC3)o2)c1 ZINC000135341583 394218557 /nfs/dbraw/zinc/21/85/57/394218557.db2.gz LTVHBDVKXLGXAT-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN Cc1cc(N2C[C@H](C)OC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000290927978 394235824 /nfs/dbraw/zinc/23/58/24/394235824.db2.gz IOMDQOSBUWGBRH-UWVGGRQHSA-N 0 0 268.288 2.656 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCc1ncccc1N(C)C ZINC000291069757 394236317 /nfs/dbraw/zinc/23/63/17/394236317.db2.gz GXZINDHXXVLIOH-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CN(C(=O)/C=C/c1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000493423449 394239361 /nfs/dbraw/zinc/23/93/61/394239361.db2.gz NLLKFWMEUQFFMF-MDZDMXLPSA-N 0 0 262.309 2.865 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@@H]3CCC[C@@H]3C2)cc1[N+](=O)[O-] ZINC000148384911 394248535 /nfs/dbraw/zinc/24/85/35/394248535.db2.gz LYPAQWOHUSYAPX-TXEJJXNPSA-N 0 0 289.335 2.509 20 5 CFBDRN Nc1ccc(-c2noc([C@@]34C[C@@H]3CCC4)n2)cc1[N+](=O)[O-] ZINC000421255779 394185083 /nfs/dbraw/zinc/18/50/83/394185083.db2.gz GQAVETQUURMNDG-XPTSAGLGSA-N 0 0 286.291 2.669 20 5 CFBDRN CC(C)(NCc1ccc(CO)o1)c1ccccc1[N+](=O)[O-] ZINC000291798392 394262616 /nfs/dbraw/zinc/26/26/16/394262616.db2.gz WWKOHRSZHHLVJW-UHFFFAOYSA-N 0 0 290.319 2.705 20 5 CFBDRN COCC(C)(C)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000291810560 394264328 /nfs/dbraw/zinc/26/43/28/394264328.db2.gz KDODGRRVFBKLFS-UHFFFAOYSA-N 0 0 283.284 2.588 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCO[C@@H](C(C)C)C1 ZINC000450741752 394331595 /nfs/dbraw/zinc/33/15/95/394331595.db2.gz XZWQQTSGRFEXCJ-GXTWGEPZSA-N 0 0 293.367 2.583 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H]2CCC[C@H]2C1 ZINC000152807697 394278254 /nfs/dbraw/zinc/27/82/54/394278254.db2.gz BIVRXRDPDHWWPY-RYUDHWBXSA-N 0 0 274.320 2.775 20 5 CFBDRN CN(Cc1cccnc1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153515538 394285772 /nfs/dbraw/zinc/28/57/72/394285772.db2.gz KHAVEOYABIMILU-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H]1CF ZINC000294020145 394287768 /nfs/dbraw/zinc/28/77/68/394287768.db2.gz JHBHIGWXUVLUNK-SNVBAGLBSA-N 0 0 296.298 2.568 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSc2ccc(F)c(F)c2)c1 ZINC000153742833 394291245 /nfs/dbraw/zinc/29/12/45/394291245.db2.gz BCHGQGMXLWGAHY-UHFFFAOYSA-N 0 0 285.275 2.862 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2ccc([N+](=O)[O-])cc2F)c1 ZINC000154993645 394307934 /nfs/dbraw/zinc/30/79/34/394307934.db2.gz BDAWYLAFSHRMMA-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN COC(=O)[C@H](CC(C)C)N(C)c1ccccc1[N+](=O)[O-] ZINC000450653049 394310458 /nfs/dbraw/zinc/31/04/58/394310458.db2.gz GJBDLVVGLNVVTC-ZDUSSCGKSA-N 0 0 280.324 2.619 20 5 CFBDRN COc1ccc(O[C@H]2C[C@@H](C)CCC2=O)c([N+](=O)[O-])c1 ZINC000450687081 394317959 /nfs/dbraw/zinc/31/79/59/394317959.db2.gz WOQJXYAUWZGQSR-XPTSAGLGSA-N 0 0 279.292 2.740 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC1C[C@H]2C[C@H]2C1 ZINC000493828679 394325091 /nfs/dbraw/zinc/32/50/91/394325091.db2.gz VNOSRDZPEMITFQ-AVTFGLMISA-N 0 0 272.304 2.523 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC1C[C@H]2C[C@H]2C1 ZINC000493828682 394325159 /nfs/dbraw/zinc/32/51/59/394325159.db2.gz VNOSRDZPEMITFQ-YXOPQZBOSA-N 0 0 272.304 2.523 20 5 CFBDRN CO[C@@](C)(CNc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC000450731166 394328713 /nfs/dbraw/zinc/32/87/13/394328713.db2.gz YZCJKHDCXACZGJ-HNNXBMFYSA-N 0 0 287.319 2.964 20 5 CFBDRN CCOc1cccc(N2CC[C@H](OC)[C@H](C)C2)c1[N+](=O)[O-] ZINC000450761751 394336900 /nfs/dbraw/zinc/33/69/00/394336900.db2.gz OYJHVEOIWARWOT-YPMHNXCESA-N 0 0 294.351 2.855 20 5 CFBDRN CO[C@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2F)C[C@H]1C ZINC000450768088 394337971 /nfs/dbraw/zinc/33/79/71/394337971.db2.gz UWITVDQBHSZUOL-YGRLFVJLSA-N 0 0 282.315 2.904 20 5 CFBDRN CC(C)OC(=O)[C@@H](Nc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000450769464 394338511 /nfs/dbraw/zinc/33/85/11/394338511.db2.gz DQQDLRIPFFCGLM-ZDUSSCGKSA-N 0 0 280.324 2.983 20 5 CFBDRN Cc1cnc(N[C@@H](C(C)C)[C@@H](CO)C(C)C)c([N+](=O)[O-])c1 ZINC000450773706 394338968 /nfs/dbraw/zinc/33/89/68/394338968.db2.gz RLTVZOFIJSGMFV-JSGCOSHPSA-N 0 0 295.383 2.999 20 5 CFBDRN Cc1ccnc(N[C@H]([C@@H](CO)C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000450778040 394340099 /nfs/dbraw/zinc/34/00/99/394340099.db2.gz NAUPURUBISZLBL-STQMWFEESA-N 0 0 291.351 2.507 20 5 CFBDRN Cc1cncc(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c1 ZINC000158204390 394355764 /nfs/dbraw/zinc/35/57/64/394355764.db2.gz MVVBMGHZMXSRAT-QPJJXVBHSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1c(Br)c(NC(C)C)ncc1[N+](=O)[O-] ZINC000167051243 394369997 /nfs/dbraw/zinc/36/99/97/394369997.db2.gz AMGXUVRVEIQIDY-UHFFFAOYSA-N 0 0 274.118 2.881 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000519740097 394377039 /nfs/dbraw/zinc/37/70/39/394377039.db2.gz CDODYKVXMLIVEN-SMDDNHRTSA-N 0 0 276.336 2.955 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H](C)[C@H]1C ZINC000177014919 394382680 /nfs/dbraw/zinc/38/26/80/394382680.db2.gz SEAOWVMTEPYGJF-GXSJLCMTSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000177021024 394382697 /nfs/dbraw/zinc/38/26/97/394382697.db2.gz SWYUDZGOJQZBEJ-KOLCDFICSA-N 0 0 262.309 2.774 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1[nH]ccc1C1CC1 ZINC000457484838 394466705 /nfs/dbraw/zinc/46/67/05/394466705.db2.gz NIDMRAXTQNWIKI-UHFFFAOYSA-N 0 0 299.330 2.773 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC(C)(C)C1CC1 ZINC000536576694 394560943 /nfs/dbraw/zinc/56/09/43/394560943.db2.gz LDSCEZXWRIXFER-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531887534 394530690 /nfs/dbraw/zinc/53/06/90/394530690.db2.gz DYPPKWLSOJUREH-MFKMUULPSA-N 0 0 277.324 2.641 20 5 CFBDRN Cc1cnc(N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000535763925 394543579 /nfs/dbraw/zinc/54/35/79/394543579.db2.gz SEHLDQVFYDQUEM-JGPRNRPPSA-N 0 0 265.313 2.666 20 5 CFBDRN CN(CCCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000536084593 394552664 /nfs/dbraw/zinc/55/26/64/394552664.db2.gz AFAWWAJXKSRTFH-UHFFFAOYSA-N 0 0 299.330 2.695 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCCCn2ccnc2)n1 ZINC000541006101 394662252 /nfs/dbraw/zinc/66/22/52/394662252.db2.gz XENYSEVOJIVWPW-UHFFFAOYSA-N 0 0 289.339 2.695 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2n[nH]cc2Br)cc1 ZINC000538089021 394598550 /nfs/dbraw/zinc/59/85/50/394598550.db2.gz ULKGIOZAOVTYPL-UHFFFAOYSA-N 0 0 297.112 2.693 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)C1 ZINC000538443946 394603793 /nfs/dbraw/zinc/60/37/93/394603793.db2.gz UHNXGXWVCLEFHC-NWDGAFQWSA-N 0 0 288.347 2.916 20 5 CFBDRN Cc1cc(NC(=O)[C@H](C)OCC(C)C)ccc1[N+](=O)[O-] ZINC000538550970 394605751 /nfs/dbraw/zinc/60/57/51/394605751.db2.gz GKGGXPJFWJZKQZ-NSHDSACASA-N 0 0 280.324 2.903 20 5 CFBDRN CS[C@H](C)CCC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000539120846 394623019 /nfs/dbraw/zinc/62/30/19/394623019.db2.gz PEQULSULIGZHNW-SNVBAGLBSA-N 0 0 282.365 2.743 20 5 CFBDRN CC(C)SCC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000540451139 394646547 /nfs/dbraw/zinc/64/65/47/394646547.db2.gz BCELNMUOLXWKGZ-JTQLQIEISA-N 0 0 282.365 2.914 20 5 CFBDRN Cc1ccc(N[C@H]2CN(c3ccccc3)C2=O)c([N+](=O)[O-])c1 ZINC000543437136 394730332 /nfs/dbraw/zinc/73/03/32/394730332.db2.gz QRNUVWPYTMFAJE-AWEZNQCLSA-N 0 0 297.314 2.731 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@@](O)(C2CC2)C1 ZINC000365973483 296499328 /nfs/dbraw/zinc/49/93/28/296499328.db2.gz CGAFZKGJUCYYPK-ZDUSSCGKSA-N 0 0 282.727 2.599 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@H](C)S[C@@H](C)C2)n1 ZINC000543791647 394743809 /nfs/dbraw/zinc/74/38/09/394743809.db2.gz QBZKEUWBVNSREA-QWRGUYRKSA-N 0 0 281.381 2.937 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc([C@H]2Cc3ccccc32)no1 ZINC000544971827 394775284 /nfs/dbraw/zinc/77/52/84/394775284.db2.gz GQMFSZGFRIKRQH-LBPRGKRZSA-N 0 0 296.286 2.671 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccoc1 ZINC000547373009 394863006 /nfs/dbraw/zinc/86/30/06/394863006.db2.gz ZENUVKWFQXOFNK-UHFFFAOYSA-N 0 0 276.248 2.839 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(COc2ccc([N+](=O)[O-])cc2)n1 ZINC000546480461 394828339 /nfs/dbraw/zinc/82/83/39/394828339.db2.gz VBABVHULDLFWPD-LDYMZIIASA-N 0 0 275.264 2.680 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccccn1 ZINC000546666183 394836855 /nfs/dbraw/zinc/83/68/55/394836855.db2.gz XTLJESFWMBUDSS-UHFFFAOYSA-N 0 0 287.275 2.641 20 5 CFBDRN O=C(c1c[nH]nc1[N+](=O)[O-])N(CC1CCCCC1)C1CC1 ZINC000547755944 394887333 /nfs/dbraw/zinc/88/73/33/394887333.db2.gz IPGXGQNXUCBLAF-UHFFFAOYSA-N 0 0 292.339 2.503 20 5 CFBDRN C[C@H]1C[C@H](C)N1CC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000548098205 394911506 /nfs/dbraw/zinc/91/15/06/394911506.db2.gz DDXXQQYVQHEGRG-IUCAKERBSA-N 0 0 297.742 2.669 20 5 CFBDRN Nc1c(F)c(N2C[C@H]3CCCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000548121769 394912377 /nfs/dbraw/zinc/91/23/77/394912377.db2.gz XQJSOEUOABSYBJ-NXEZZACHSA-N 0 0 279.315 2.943 20 5 CFBDRN O=C(Nc1cccc2cc[nH]c21)c1ccc([N+](=O)[O-])o1 ZINC000548144881 394914346 /nfs/dbraw/zinc/91/43/46/394914346.db2.gz CGSDNGDXRVGOQJ-UHFFFAOYSA-N 0 0 271.232 2.921 20 5 CFBDRN O=C(Nc1cccc2cc[nH]c21)c1cc([N+](=O)[O-])c[nH]1 ZINC000548472776 394934292 /nfs/dbraw/zinc/93/42/92/394934292.db2.gz VXRTXBIGWSVNTP-UHFFFAOYSA-N 0 0 270.248 2.657 20 5 CFBDRN COC(C)(C)CN(C)c1c(C)cccc1[N+](=O)[O-] ZINC000548615500 394940389 /nfs/dbraw/zinc/94/03/89/394940389.db2.gz LJTZCBWNKPYWOO-UHFFFAOYSA-N 0 0 252.314 2.764 20 5 CFBDRN C[C@@H](C1CC1)N(C)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000555835929 394983597 /nfs/dbraw/zinc/98/35/97/394983597.db2.gz PHPYMNKSVAWZBJ-ZETCQYMHSA-N 0 0 255.705 2.878 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)C(=O)OC(C)(C)C ZINC000557200429 395032762 /nfs/dbraw/zinc/03/27/62/395032762.db2.gz AXHGAWBCYLICIM-SNVBAGLBSA-N 0 0 295.339 2.830 20 5 CFBDRN CC[C@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2C(=O)OC)C1 ZINC000557602136 395041421 /nfs/dbraw/zinc/04/14/21/395041421.db2.gz ABNMSDZFVQWQBH-NSHDSACASA-N 0 0 292.335 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=C(C(F)(F)F)CC2)cn1 ZINC000339696911 296505903 /nfs/dbraw/zinc/50/59/03/296505903.db2.gz NGZHJFVIHBQWQT-UHFFFAOYSA-N 0 0 273.214 2.689 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccco1 ZINC000355902618 155132602 /nfs/dbraw/zinc/13/26/02/155132602.db2.gz AZEMGHKANGVRBM-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC2(Cc3ccccc3C2)C1 ZINC000378300542 296516858 /nfs/dbraw/zinc/51/68/58/296516858.db2.gz BAUPVSFKADXJSG-UHFFFAOYSA-N 0 0 295.342 2.985 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000290783576 296516504 /nfs/dbraw/zinc/51/65/04/296516504.db2.gz WSDJROSPOMOZMJ-OLZOCXBDSA-N 0 0 289.335 2.528 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H]1CCCC(F)(F)C1 ZINC000362992192 296518020 /nfs/dbraw/zinc/51/80/20/296518020.db2.gz HVZVSIHDZKIBRP-QMMMGPOBSA-N 0 0 257.240 2.980 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H](C3CC3)[C@@H]2C2CC2)s1 ZINC000450801837 296520524 /nfs/dbraw/zinc/52/05/24/296520524.db2.gz XLKCDOFJZFLMRK-ONGXEEELSA-N 0 0 265.338 2.676 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H](C)O[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000353737095 292069126 /nfs/dbraw/zinc/06/91/26/292069126.db2.gz YKRNBOMNAPQMJM-SDDRHHMPSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccccc2[N+](=O)[O-])C[C@@H]1C ZINC000131965517 296542752 /nfs/dbraw/zinc/54/27/52/296542752.db2.gz OPCBMXWRONQPEG-MNOVXSKESA-N 0 0 262.309 2.713 20 5 CFBDRN Cc1ccc(CN2C[C@H](C)OC[C@H]2C)cc1[N+](=O)[O-] ZINC000245513165 292102741 /nfs/dbraw/zinc/10/27/41/292102741.db2.gz CCCMEZDRNBPSRH-NEPJUHHUSA-N 0 0 264.325 2.512 20 5 CFBDRN CO[C@@H]1CN(c2ccc([N+](=O)[O-])cc2C)CC[C@@H]1C ZINC000302551839 285775168 /nfs/dbraw/zinc/77/51/68/285775168.db2.gz KEIFGEKYTTZTCA-IINYFYTJSA-N 0 0 264.325 2.764 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1scnc1C ZINC000355950777 155133939 /nfs/dbraw/zinc/13/39/39/155133939.db2.gz JUTOOIVOEHWBEQ-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CCC(=O)c1ccc(N2C[C@@H](F)[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC000294963544 397633457 /nfs/dbraw/zinc/63/34/57/397633457.db2.gz KHGHCSNWFJLJTB-AOOOYVTPSA-N 0 0 284.262 2.684 20 5 CFBDRN C[C@H]1CCSCCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000294931327 397633547 /nfs/dbraw/zinc/63/35/47/397633547.db2.gz JBOYHLFXWDOMIY-VIFPVBQESA-N 0 0 292.364 2.803 20 5 CFBDRN Cc1cc(N2CCCC[C@H]2c2nc[nH]n2)ccc1[N+](=O)[O-] ZINC000294909024 397633598 /nfs/dbraw/zinc/63/35/98/397633598.db2.gz IBXCRWRGQHZJQH-ZDUSSCGKSA-N 0 0 287.323 2.753 20 5 CFBDRN Cc1ccc(N2CCC[C@@H]([C@H](C)O)C2)c([N+](=O)[O-])c1 ZINC000301751250 292131772 /nfs/dbraw/zinc/13/17/72/292131772.db2.gz AUMGJIIIFITNLY-NWDGAFQWSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccc(N2CCO[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000269914253 292135713 /nfs/dbraw/zinc/13/57/13/292135713.db2.gz JBBCQHDFORETOT-GXTWGEPZSA-N 0 0 262.309 2.661 20 5 CFBDRN Cc1ccc(N2CCO[C@H]3CCC[C@H]32)c([N+](=O)[O-])c1 ZINC000269914258 292135809 /nfs/dbraw/zinc/13/58/09/292135809.db2.gz JBBCQHDFORETOT-OCCSQVGLSA-N 0 0 262.309 2.661 20 5 CFBDRN Cc1ccc(N2CC[C@@H]3OCCC[C@H]3C2)c([N+](=O)[O-])c1 ZINC000301714373 292137242 /nfs/dbraw/zinc/13/72/42/292137242.db2.gz JFLOHYQUMIBICW-WFASDCNBSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1ccc(Cc2noc(-c3cccc([N+](=O)[O-])c3)n2)cn1 ZINC000356123689 155166849 /nfs/dbraw/zinc/16/68/49/155166849.db2.gz ICLZCKZKIVANPK-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN O=C(NCC1(C(F)(F)F)CC1)c1csc([N+](=O)[O-])c1 ZINC000356296040 155213106 /nfs/dbraw/zinc/21/31/06/155213106.db2.gz KBWDVZROTDIQNQ-UHFFFAOYSA-N 0 0 294.254 2.729 20 5 CFBDRN COc1c(C(=O)NC2C(C)(C)C2(C)C)cccc1[N+](=O)[O-] ZINC000356324939 155226476 /nfs/dbraw/zinc/22/64/76/155226476.db2.gz SCLCLUJTIPBVFA-UHFFFAOYSA-N 0 0 292.335 2.768 20 5 CFBDRN C[C@H](CNC(=O)c1csc([N+](=O)[O-])c1)C(F)(F)F ZINC000356357056 155238235 /nfs/dbraw/zinc/23/82/35/155238235.db2.gz DIYXAHHQXRKRGF-RXMQYKEDSA-N 0 0 282.243 2.585 20 5 CFBDRN CO[C@](C)(CNc1nc(C)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000359966788 286147162 /nfs/dbraw/zinc/14/71/62/286147162.db2.gz RYRUQEIGACQQFR-CQSZACIVSA-N 0 0 279.340 2.834 20 5 CFBDRN CCC[C@H](CNc1ccc([N+](=O)[O-])cc1F)OC ZINC000356425505 155260841 /nfs/dbraw/zinc/26/08/41/155260841.db2.gz YPQYXDSWNPCFCO-SNVBAGLBSA-N 0 0 256.277 2.961 20 5 CFBDRN CO[C@]1(C)CCCN(c2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000280385895 286162088 /nfs/dbraw/zinc/16/20/88/286162088.db2.gz ZUNIQQQDQPMCIQ-CQSZACIVSA-N 0 0 279.340 2.694 20 5 CFBDRN Cc1ccc(Cl)cc1NC(=O)c1cc([N+](=O)[O-])nn1C ZINC000356495786 155283684 /nfs/dbraw/zinc/28/36/84/155283684.db2.gz GBBMJXUERCRSIA-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN COc1cc(N2CCC(CF)CC2)c([N+](=O)[O-])cc1F ZINC000294906814 286228320 /nfs/dbraw/zinc/22/83/20/286228320.db2.gz FHDVSHRZAPEYSI-UHFFFAOYSA-N 0 0 286.278 2.928 20 5 CFBDRN COc1ccc(CN2CC3(CCC3(F)F)C2)cc1[N+](=O)[O-] ZINC000356536140 155295246 /nfs/dbraw/zinc/29/52/46/155295246.db2.gz YGFACEXUWJAQST-UHFFFAOYSA-N 0 0 298.289 2.835 20 5 CFBDRN COc1cc(N2CC[C@@H](OC)[C@@H](C)C2)c([N+](=O)[O-])cc1F ZINC000450766084 286230476 /nfs/dbraw/zinc/23/04/76/286230476.db2.gz SGDMYVKXNBZBPL-TVQRCGJNSA-N 0 0 298.314 2.604 20 5 CFBDRN COc1c(C(=O)Nc2csc(C)n2)cccc1[N+](=O)[O-] ZINC000356545796 155298469 /nfs/dbraw/zinc/29/84/69/155298469.db2.gz UXOPBGBOEZVNBY-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CCn1c(C)nnc1SCc1ccc([N+](=O)[O-])cc1F ZINC000356550827 155300372 /nfs/dbraw/zinc/30/03/72/155300372.db2.gz SOBPBYAARZJJBV-UHFFFAOYSA-N 0 0 296.327 2.946 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSc1nc2ccccc2o1 ZINC000356561474 155301762 /nfs/dbraw/zinc/30/17/62/155301762.db2.gz CJWFDKPIQZGVDD-UHFFFAOYSA-N 0 0 290.304 2.762 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC[C@@H](C)C1 ZINC000277615572 286248942 /nfs/dbraw/zinc/24/89/42/286248942.db2.gz QJLBKOBYSCSJQU-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@@H]2C)c1 ZINC000356719452 155338791 /nfs/dbraw/zinc/33/87/91/155338791.db2.gz BGWIXKTZAYZTNF-KWQFWETISA-N 0 0 280.349 2.845 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000356730333 155342135 /nfs/dbraw/zinc/34/21/35/155342135.db2.gz OWPATMXWEQKTCC-RDDDGLTNSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC[C@@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000356752163 155353349 /nfs/dbraw/zinc/35/33/49/155353349.db2.gz WJMGMBUOKLTAKH-RVBZMBCESA-N 0 0 280.299 2.752 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCS[C@@H](C)C2)c1 ZINC000266179127 286382730 /nfs/dbraw/zinc/38/27/30/286382730.db2.gz AHFOIPOAWORJPI-VIFPVBQESA-N 0 0 268.338 2.545 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000343071952 154104307 /nfs/dbraw/zinc/10/43/07/154104307.db2.gz QYJPQQKFRGFBKU-ZJUUUORDSA-N 0 0 277.324 2.542 20 5 CFBDRN NC(=O)c1cccc(NC[C@H]2CCC(F)(F)C2)c1[N+](=O)[O-] ZINC000343074385 154104835 /nfs/dbraw/zinc/10/48/35/154104835.db2.gz WCKLFDKAKPNPSH-QMMMGPOBSA-N 0 0 299.277 2.541 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1Sc1ncco1 ZINC000343087592 154111438 /nfs/dbraw/zinc/11/14/38/154111438.db2.gz WOMZZWUBJMXBNB-UHFFFAOYSA-N 0 0 294.288 2.829 20 5 CFBDRN COC(=O)C(C)(C)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000343227676 154149252 /nfs/dbraw/zinc/14/92/52/154149252.db2.gz VHMGDNACDAXGPR-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC=C(c3ccco3)C2)cn1 ZINC000343227668 154149366 /nfs/dbraw/zinc/14/93/66/154149366.db2.gz NWLWOUJVFJJZRO-UHFFFAOYSA-N 0 0 271.276 2.877 20 5 CFBDRN Cc1cnc(N(C)Cc2ccccc2[N+](=O)[O-])nc1C ZINC000343254306 154156505 /nfs/dbraw/zinc/15/65/05/154156505.db2.gz WVGOTEYCLLJJPS-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000343290539 154168248 /nfs/dbraw/zinc/16/82/48/154168248.db2.gz OMULFIZHAJDQFY-LBPRGKRZSA-N 0 0 292.335 2.621 20 5 CFBDRN CCOc1cc(F)ccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000343319595 154174428 /nfs/dbraw/zinc/17/44/28/154174428.db2.gz OJXQYXSQEOQYCZ-UHFFFAOYSA-N 0 0 293.254 2.713 20 5 CFBDRN CC[C@@](C)(OC)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000345539146 154200611 /nfs/dbraw/zinc/20/06/11/154200611.db2.gz LAAGJACXMQBSBM-CQSZACIVSA-N 0 0 291.307 2.840 20 5 CFBDRN CC1(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 ZINC000345543870 154201654 /nfs/dbraw/zinc/20/16/54/154201654.db2.gz PFBAIDFMSJTEKS-UHFFFAOYSA-N 0 0 259.265 2.987 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C[C@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000345565130 154206949 /nfs/dbraw/zinc/20/69/49/154206949.db2.gz IWIZAORCBXIICH-FXBDTBDDSA-N 0 0 299.277 2.609 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CC[C@@H](F)C1 ZINC000345621118 154221091 /nfs/dbraw/zinc/22/10/91/154221091.db2.gz COMPOSMKOUSWQG-VXGBXAGGSA-N 0 0 280.299 2.534 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CC[C@H](F)C1 ZINC000345621116 154221749 /nfs/dbraw/zinc/22/17/49/154221749.db2.gz COMPOSMKOUSWQG-RYUDHWBXSA-N 0 0 280.299 2.534 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC1CCC(CO)CC1 ZINC000345691295 154241124 /nfs/dbraw/zinc/24/11/24/154241124.db2.gz KSHOIQLZTUAQJM-UHFFFAOYSA-N 0 0 250.298 2.558 20 5 CFBDRN COc1ccc(C(=O)NCCC2(F)CCC2)cc1[N+](=O)[O-] ZINC000345711483 154247279 /nfs/dbraw/zinc/24/72/79/154247279.db2.gz MNZWCSSCMSZDDT-UHFFFAOYSA-N 0 0 296.298 2.616 20 5 CFBDRN O=C(NCCC1(F)CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000345715874 154248522 /nfs/dbraw/zinc/24/85/22/154248522.db2.gz SJURUOAGCSVBCT-UHFFFAOYSA-N 0 0 266.272 2.607 20 5 CFBDRN Cc1c[nH]cc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000345785088 154266562 /nfs/dbraw/zinc/26/65/62/154266562.db2.gz SYCWPRBIJHIQGB-UHFFFAOYSA-N 0 0 287.319 2.896 20 5 CFBDRN CC(C)(NC(=O)C1CC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000345786712 154267448 /nfs/dbraw/zinc/26/74/48/154267448.db2.gz UYIQIZKAFXAXPG-UHFFFAOYSA-N 0 0 298.289 2.991 20 5 CFBDRN CC(C)[C@H](NC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000348070963 154306983 /nfs/dbraw/zinc/30/69/83/154306983.db2.gz KVALAQIADZNHBV-JTQLQIEISA-N 0 0 290.241 2.912 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(C)[nH]c2=O)cc([N+](=O)[O-])c1 ZINC000348135445 154335081 /nfs/dbraw/zinc/33/50/81/154335081.db2.gz UGTZFSSGECQWEF-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccc(Cl)cc1 ZINC000348145440 154339560 /nfs/dbraw/zinc/33/95/60/154339560.db2.gz SVGXGHXLDLCSLF-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1cncs1 ZINC000348240853 154375733 /nfs/dbraw/zinc/37/57/33/154375733.db2.gz BXLOPHDPXXSCKM-MRVPVSSYSA-N 0 0 277.305 2.542 20 5 CFBDRN COCCCCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271778938 292315774 /nfs/dbraw/zinc/31/57/74/292315774.db2.gz HDLCCYORWCGTBA-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN Cc1occc1-c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355424203 155008172 /nfs/dbraw/zinc/00/81/72/155008172.db2.gz FZKVGVVQWJDSHD-UHFFFAOYSA-N 0 0 286.247 2.795 20 5 CFBDRN Nc1ccc(-c2noc(CCC3CCC3)n2)cc1[N+](=O)[O-] ZINC000355444151 155010451 /nfs/dbraw/zinc/01/04/51/155010451.db2.gz RPZCDNCSKAVZFA-UHFFFAOYSA-N 0 0 288.307 2.960 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2CCSC2)n1 ZINC000355685744 155063203 /nfs/dbraw/zinc/06/32/03/155063203.db2.gz CZPJGXZDPSMETE-SNVBAGLBSA-N 0 0 291.332 2.789 20 5 CFBDRN CC(C)(C(=O)NCc1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000355699227 155065576 /nfs/dbraw/zinc/06/55/76/155065576.db2.gz DHTFWFKNYUKRCB-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN CN(Cc1c([N+](=O)[O-])ncn1C)Cc1ccc(Cl)cc1 ZINC000355794459 155089748 /nfs/dbraw/zinc/08/97/48/155089748.db2.gz ZLWGSQNRBGLWNW-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN Cc1ccc([C@H](C)N(C)Cc2c([N+](=O)[O-])ncn2C)cc1 ZINC000355803157 155092290 /nfs/dbraw/zinc/09/22/90/155092290.db2.gz QZJISNSNUVVJJE-LBPRGKRZSA-N 0 0 288.351 2.830 20 5 CFBDRN COc1cc(C)c(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1F ZINC000355888634 155108446 /nfs/dbraw/zinc/10/84/46/155108446.db2.gz ATCKFDLNUGHRJH-UHFFFAOYSA-N 0 0 293.254 2.631 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nc([C@H]3CCCO3)no2)cc1 ZINC000357106670 155500796 /nfs/dbraw/zinc/50/07/96/155500796.db2.gz PWHXBPFPDDMOGB-VVEJJEBESA-N 0 0 287.275 3.000 20 5 CFBDRN Cc1nc(C(C)(C)NCc2cccc([N+](=O)[O-])c2C)no1 ZINC000357107850 155502524 /nfs/dbraw/zinc/50/25/24/155502524.db2.gz FKEPTMDNQCRDQH-UHFFFAOYSA-N 0 0 290.323 2.620 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H](CO)C2CC2)c([N+](=O)[O-])c1 ZINC000357783452 155534413 /nfs/dbraw/zinc/53/44/13/155534413.db2.gz OUHSLXMYCVNFNN-GFCCVEGCSA-N 0 0 292.335 2.618 20 5 CFBDRN CCCOc1ncccc1CNc1ccc([N+](=O)[O-])nc1 ZINC000357944347 155582993 /nfs/dbraw/zinc/58/29/93/155582993.db2.gz KQLYEVYCNNQHDN-UHFFFAOYSA-N 0 0 288.307 2.786 20 5 CFBDRN C[C@@H]1CC[C@H](CNC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000358029343 155606266 /nfs/dbraw/zinc/60/62/66/155606266.db2.gz FEQYODNGYJWTIQ-NEPJUHHUSA-N 0 0 292.335 2.526 20 5 CFBDRN O=C([C@@H]1Cc2ccccc21)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000358438109 155765263 /nfs/dbraw/zinc/76/52/63/155765263.db2.gz OJJKZUJMFRMKMB-OAHLLOKOSA-N 0 0 294.310 2.824 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1[C@@H](C)C1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000359031148 155959870 /nfs/dbraw/zinc/95/98/70/155959870.db2.gz NTVRYQJRTJKNFW-FYBVGQRMSA-N 0 0 284.262 2.673 20 5 CFBDRN CNc1c(C(=O)NCCC2(F)CCC2)cccc1[N+](=O)[O-] ZINC000360211554 156052864 /nfs/dbraw/zinc/05/28/64/156052864.db2.gz FCBJRRBGPANDLC-UHFFFAOYSA-N 0 0 295.314 2.649 20 5 CFBDRN CC(=O)c1ccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)o1 ZINC000362826367 156093513 /nfs/dbraw/zinc/09/35/13/156093513.db2.gz NFMPZDNWDFBUPA-UHFFFAOYSA-N 0 0 288.259 2.667 20 5 CFBDRN Cc1cnccc1CCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000362832322 156095558 /nfs/dbraw/zinc/09/55/58/156095558.db2.gz XMNKAIDGSAXUOH-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1cc(C)c([N+](=O)[O-])cn1)OC ZINC000362975139 156132321 /nfs/dbraw/zinc/13/23/21/156132321.db2.gz DERCJCMIUJSVTG-SKDRFNHKSA-N 0 0 267.329 2.771 20 5 CFBDRN CO[C@H](CNc1c2ccccc2ncc1[N+](=O)[O-])C(C)C ZINC000362976950 156134391 /nfs/dbraw/zinc/13/43/91/156134391.db2.gz NBKZTXZYPGASRW-CQSZACIVSA-N 0 0 289.335 2.648 20 5 CFBDRN CC[C@H](C)[C@H](CNc1c([N+](=O)[O-])nc(C)n1CC)OC ZINC000362976438 156134446 /nfs/dbraw/zinc/13/44/46/156134446.db2.gz KFOWVOMXLLYFIK-ONGXEEELSA-N 0 0 284.360 2.593 20 5 CFBDRN Cc1ccc([C@@H]2CCCCCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])o1 ZINC000363415725 156175620 /nfs/dbraw/zinc/17/56/20/156175620.db2.gz JLXZCWMBGDCQPF-FRRDWIJNSA-N 0 0 292.335 2.697 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H](C)CCN2C(=O)[C@@H]2C[C@@H]2[N+](=O)[O-])o1 ZINC000363571824 156183675 /nfs/dbraw/zinc/18/36/75/156183675.db2.gz VFQFKHQVLBVPKY-XEZLXBQYSA-N 0 0 292.335 2.553 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCC[C@H]1c1ncon1 ZINC000363888613 156202852 /nfs/dbraw/zinc/20/28/52/156202852.db2.gz NQECFCHDXDUYHM-ZDUSSCGKSA-N 0 0 288.307 2.623 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@@H]1CCc2c[nH]nc2C1 ZINC000367355918 156318301 /nfs/dbraw/zinc/31/83/01/156318301.db2.gz HXEADQALYSVIAB-SNVBAGLBSA-N 0 0 292.726 2.941 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1noc([C@H]2CCCOCC2)n1 ZINC000367378238 156322028 /nfs/dbraw/zinc/32/20/28/156322028.db2.gz PVODEPBQDOWZJE-JTQLQIEISA-N 0 0 289.291 2.929 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(C3CC3)CCOCC2)cc1 ZINC000375122637 157198264 /nfs/dbraw/zinc/19/82/64/157198264.db2.gz CWNGWCPSTQTQRV-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC2(C1)CCCC2 ZINC000375434934 157244642 /nfs/dbraw/zinc/24/46/42/157244642.db2.gz AGUQHHRSLUZDII-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN COc1cc(C(=O)NC23CCC(CC2)C3)ccc1[N+](=O)[O-] ZINC000376353321 157345559 /nfs/dbraw/zinc/34/55/59/157345559.db2.gz OHGAFUDKAASTHP-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN Nc1ccc(N2CC[C@@H](Cc3ccncc3)C2)c([N+](=O)[O-])c1 ZINC000376454405 157357798 /nfs/dbraw/zinc/35/77/98/157357798.db2.gz KQGLOUZDFBRSQW-ZDUSSCGKSA-N 0 0 298.346 2.641 20 5 CFBDRN C[C@@H]1N(Cc2csc([N+](=O)[O-])c2)CCOC1(C)C ZINC000408072448 157377201 /nfs/dbraw/zinc/37/72/01/157377201.db2.gz GZSRFSFAOBTPLT-VIFPVBQESA-N 0 0 270.354 2.656 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000408303764 157392567 /nfs/dbraw/zinc/39/25/67/157392567.db2.gz SPNNPGVHQYNOSX-VHSXEESVSA-N 0 0 265.313 2.622 20 5 CFBDRN CSC[C@@H]1CCCN(c2nccc(C)c2[N+](=O)[O-])C1 ZINC000488935619 286677262 /nfs/dbraw/zinc/67/72/62/286677262.db2.gz FZKCKSQILCXRAT-LLVKDONJSA-N 0 0 281.381 2.878 20 5 CFBDRN Cc1cccc(C(=O)N2CC(C)=C[C@@H](C)C2)c1[N+](=O)[O-] ZINC000336550882 292413651 /nfs/dbraw/zinc/41/36/51/292413651.db2.gz PVEHDAXAFYNSKY-SNVBAGLBSA-N 0 0 274.320 2.941 20 5 CFBDRN COc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000090340521 161509003 /nfs/dbraw/zinc/50/90/03/161509003.db2.gz CRDUJXBOSHHJJH-SECBINFHSA-N 0 0 274.280 2.567 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCC2CCOCC2)c1 ZINC000312780157 161553138 /nfs/dbraw/zinc/55/31/38/161553138.db2.gz NDKQVZSWQVQSKH-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ccnc2cccnc21 ZINC000338648539 161576628 /nfs/dbraw/zinc/57/66/28/161576628.db2.gz CWANKZKNHNEPMU-UHFFFAOYSA-N 0 0 280.287 2.572 20 5 CFBDRN CO[C@H](CNc1cccc(C)c1[N+](=O)[O-])C(C)C ZINC000338673998 161576734 /nfs/dbraw/zinc/57/67/34/161576734.db2.gz FSFUOWBURQHHNF-GFCCVEGCSA-N 0 0 252.314 2.986 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1cccs1 ZINC000342377417 161618344 /nfs/dbraw/zinc/61/83/44/161618344.db2.gz OVLYPNJKGCWIEG-UHFFFAOYSA-N 0 0 279.321 2.650 20 5 CFBDRN O=C(NC[C@@H]1COc2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000342637779 161676183 /nfs/dbraw/zinc/67/61/83/161676183.db2.gz VVGBKYNGCBXCOK-GFCCVEGCSA-N 0 0 298.298 2.501 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC=C(F)C1 ZINC000342941166 161742728 /nfs/dbraw/zinc/74/27/28/161742728.db2.gz VPUQZWPGTDIHGM-UHFFFAOYSA-N 0 0 294.282 2.753 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000343781217 161860195 /nfs/dbraw/zinc/86/01/95/161860195.db2.gz OPRKPCIBLHTXIN-LLVKDONJSA-N 0 0 280.349 2.845 20 5 CFBDRN CO[C@H](C)CN(C)c1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000343803418 161864987 /nfs/dbraw/zinc/86/49/87/161864987.db2.gz GJDURGYVQKZYMA-LLVKDONJSA-N 0 0 289.335 2.923 20 5 CFBDRN CC(C)n1nccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344180448 161966186 /nfs/dbraw/zinc/96/61/86/161966186.db2.gz MWEAPZKEVYMFAX-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN C[C@H](Cc1ccccc1)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344197400 161970400 /nfs/dbraw/zinc/97/04/00/161970400.db2.gz IAYRESGHSZQPLE-GFCCVEGCSA-N 0 0 299.330 2.831 20 5 CFBDRN CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000344230244 161979712 /nfs/dbraw/zinc/97/97/12/161979712.db2.gz JCTOXPTUDUVXAA-UHFFFAOYSA-N 0 0 254.261 2.604 20 5 CFBDRN CC1(C)C[C@H]1CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000344400756 162036046 /nfs/dbraw/zinc/03/60/46/162036046.db2.gz KYAAZIPSFAURFD-JTQLQIEISA-N 0 0 296.754 2.953 20 5 CFBDRN Cc1ccc(CCNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000344419374 162039468 /nfs/dbraw/zinc/03/94/68/162039468.db2.gz MXZISTJLGYYWNC-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3nccs3)C2)cn1 ZINC000344421416 162040080 /nfs/dbraw/zinc/04/00/80/162040080.db2.gz PDEYTTUVVGCXHC-SNVBAGLBSA-N 0 0 290.348 2.830 20 5 CFBDRN Cc1csc(CN=c2[nH]c3ccc([N+](=O)[O-])cc3[nH]2)c1 ZINC000344625971 162082024 /nfs/dbraw/zinc/08/20/24/162082024.db2.gz KRENPCJJSJXLGY-UHFFFAOYSA-N 0 0 288.332 2.875 20 5 CFBDRN C[C@H]1CC[C@H](Nc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)C1 ZINC000344988986 162156817 /nfs/dbraw/zinc/15/68/17/162156817.db2.gz VOAWHZCUGPIMSA-UWVGGRQHSA-N 0 0 298.364 2.599 20 5 CFBDRN Cc1ncc(C(=O)Nc2cccc([N+](=O)[O-])c2)s1 ZINC000345067507 162173388 /nfs/dbraw/zinc/17/33/88/162173388.db2.gz OEEXXUOCAQYGRQ-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000345081427 162176105 /nfs/dbraw/zinc/17/61/05/162176105.db2.gz XVYZBICJPBBIME-NXEZZACHSA-N 0 0 278.308 2.978 20 5 CFBDRN CNC(=O)[C@H]1CCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000345159331 162190344 /nfs/dbraw/zinc/19/03/44/162190344.db2.gz GRZZDKLHHAIRRR-ONGXEEELSA-N 0 0 297.742 2.575 20 5 CFBDRN CCc1cccc(NC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000345167165 162193030 /nfs/dbraw/zinc/19/30/30/162193030.db2.gz XNYCCCOCAUNSFZ-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000345252816 162212244 /nfs/dbraw/zinc/21/22/44/162212244.db2.gz GETIVMFUSAUQNK-AAEUAGOBSA-N 0 0 276.336 2.690 20 5 CFBDRN Cn1c(C(=O)Nc2cccc3ncccc32)ccc1[N+](=O)[O-] ZINC000345444339 162249104 /nfs/dbraw/zinc/24/91/04/162249104.db2.gz UHWJKAROXYYAHH-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN O=C(N[C@H]1C[C@H]1C1CCCCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000345482643 162256426 /nfs/dbraw/zinc/25/64/26/162256426.db2.gz UMXUFZBWSFYYPU-RYUDHWBXSA-N 0 0 277.324 2.622 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)C(F)(F)F ZINC000345933229 162279791 /nfs/dbraw/zinc/27/97/91/162279791.db2.gz SFPUBKOVWVRZBB-SSDOTTSWSA-N 0 0 276.214 2.584 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000345937587 162279997 /nfs/dbraw/zinc/27/99/97/162279997.db2.gz UEKPJGWAUZXOLI-RYUDHWBXSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1ccc(-c2noc([C@H]3CO[C@H](C)C3)n2)cc1[N+](=O)[O-] ZINC000345970533 162289533 /nfs/dbraw/zinc/28/95/33/162289533.db2.gz CIOYWPHQIFPLCB-MWLCHTKSSA-N 0 0 289.291 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3ccccn3)no2)s1 ZINC000346067128 162311027 /nfs/dbraw/zinc/31/10/27/162311027.db2.gz ZTODSSXVOMTQCY-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN CO[C@@H](C)C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000346082064 162312371 /nfs/dbraw/zinc/31/23/71/162312371.db2.gz TUDVBBWCDXJDHF-NSHDSACASA-N 0 0 292.335 2.905 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000346159593 162335766 /nfs/dbraw/zinc/33/57/66/162335766.db2.gz CNBHRMBMVXBWOU-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cc(-c3ccccc3)no2)nc1 ZINC000346176324 162341380 /nfs/dbraw/zinc/34/13/80/162341380.db2.gz MSIJXVKDHOQVNA-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN Cc1c(NC(=O)c2ccc([N+](=O)[O-])o2)cnn1CC(C)C ZINC000346757747 162509441 /nfs/dbraw/zinc/50/94/41/162509441.db2.gz BDOWQKKTZOOYGO-UHFFFAOYSA-N 0 0 292.295 2.601 20 5 CFBDRN Cc1nc(CCNc2ncc(C)cc2[N+](=O)[O-])c(C)o1 ZINC000346857710 162537683 /nfs/dbraw/zinc/53/76/83/162537683.db2.gz YDODDHVTCXCAHB-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN CCC(CC)(CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000346916077 162555866 /nfs/dbraw/zinc/55/58/66/162555866.db2.gz GKXSSOHDCGLILE-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN C[C@]1(F)CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000346975461 162569132 /nfs/dbraw/zinc/56/91/32/162569132.db2.gz LYYHZYGPTJAIJT-ZDUSSCGKSA-N 0 0 298.339 2.647 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347251022 162637456 /nfs/dbraw/zinc/63/74/56/162637456.db2.gz FHWDWVDEJLYYGF-MRVPVSSYSA-N 0 0 281.287 2.902 20 5 CFBDRN Cc1nc(-c2noc(-c3cc(F)cc([N+](=O)[O-])c3)n2)co1 ZINC000347295950 162645981 /nfs/dbraw/zinc/64/59/81/162645981.db2.gz JLDJZBGOCHEZKE-UHFFFAOYSA-N 0 0 290.210 2.747 20 5 CFBDRN Cn1ccc(-c2noc(-c3ccc([N+](=O)[O-])s3)n2)c1 ZINC000347523274 162713766 /nfs/dbraw/zinc/71/37/66/162713766.db2.gz CJHFBJPJTDTXTF-UHFFFAOYSA-N 0 0 276.277 2.712 20 5 CFBDRN CC(C)n1nccc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347965246 162810483 /nfs/dbraw/zinc/81/04/83/162810483.db2.gz WAOSHWDLRDFQEU-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN O=C(Nc1c[nH]nc1-c1ccccc1)c1cc([N+](=O)[O-])c[nH]1 ZINC000348556668 162873817 /nfs/dbraw/zinc/87/38/17/162873817.db2.gz PGAMLTXZUCXIRK-UHFFFAOYSA-N 0 0 297.274 2.565 20 5 CFBDRN Cc1cc(C(=O)NCC2(C(F)F)CC2)cc([N+](=O)[O-])c1 ZINC000348761768 162899003 /nfs/dbraw/zinc/89/90/03/162899003.db2.gz DKRPTVCJXKUFJV-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C/c2nc(-c3ncc[nH]3)no2)c1 ZINC000349761395 163010895 /nfs/dbraw/zinc/01/08/95/163010895.db2.gz UEZSOXQHXMQBIP-SNAWJCMRSA-N 0 0 283.247 2.538 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCCOC1CCCC1 ZINC000349852637 163025299 /nfs/dbraw/zinc/02/52/99/163025299.db2.gz FKJNKSJWXRKVPR-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCc3ccncc3)n2)cc1 ZINC000349877252 163029086 /nfs/dbraw/zinc/02/90/86/163029086.db2.gz IYJXBJSWVQPTIY-UHFFFAOYSA-N 0 0 296.286 2.825 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1C[C@H]1C(F)F ZINC000349867533 163029138 /nfs/dbraw/zinc/02/91/38/163029138.db2.gz JKTFAXOVPXBMLQ-RKDXNWHRSA-N 0 0 299.277 2.987 20 5 CFBDRN Cc1nnc(COc2cc(C)c([N+](=O)[O-])cc2Cl)o1 ZINC000349910126 163035036 /nfs/dbraw/zinc/03/50/36/163035036.db2.gz GKTGPJPDEDUXON-UHFFFAOYSA-N 0 0 283.671 2.827 20 5 CFBDRN CC1(C)CC(C(=O)NCc2ccccc2[N+](=O)[O-])C1 ZINC000350022068 163051255 /nfs/dbraw/zinc/05/12/55/163051255.db2.gz ZDMRBHHQWQGKKV-UHFFFAOYSA-N 0 0 262.309 2.647 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3C[C@]34CCOC4)n2)s1 ZINC000350265408 163070234 /nfs/dbraw/zinc/07/02/34/163070234.db2.gz WOXCIVKVSVJFEB-KRTXAFLBSA-N 0 0 293.304 2.600 20 5 CFBDRN CCc1ocnc1-c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000350385083 163073803 /nfs/dbraw/zinc/07/38/03/163073803.db2.gz CJJURGKUNIJLGT-UHFFFAOYSA-N 0 0 286.247 2.862 20 5 CFBDRN CO[C@@](C)(c1noc(-c2ccc([N+](=O)[O-])cc2)n1)C1CC1 ZINC000350442758 163082162 /nfs/dbraw/zinc/08/21/62/163082162.db2.gz YGKPLKJCPHIFTQ-CQSZACIVSA-N 0 0 289.291 2.916 20 5 CFBDRN Cc1cnccc1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000350554462 163091997 /nfs/dbraw/zinc/09/19/97/163091997.db2.gz IKJUAYJJAUEXHG-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccccc1CS(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000350674812 163097608 /nfs/dbraw/zinc/09/76/08/163097608.db2.gz DAFAZRVTLQXYHL-UHFFFAOYSA-N 0 0 291.328 2.877 20 5 CFBDRN CO[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000351058520 163116649 /nfs/dbraw/zinc/11/66/49/163116649.db2.gz RJTFAENVEBIXPT-LBPRGKRZSA-N 0 0 266.297 2.513 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CCC1(C)C ZINC000351208773 163122823 /nfs/dbraw/zinc/12/28/23/163122823.db2.gz XRUOQXWHNVIWFS-GFCCVEGCSA-N 0 0 293.323 2.914 20 5 CFBDRN C[C@H](NC(=O)CSc1ccccc1[N+](=O)[O-])C1CC1 ZINC000351263708 163123973 /nfs/dbraw/zinc/12/39/73/163123973.db2.gz RVRPVIQIKRXLMM-VIFPVBQESA-N 0 0 280.349 2.602 20 5 CFBDRN CCc1ocnc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000351386560 163129590 /nfs/dbraw/zinc/12/95/90/163129590.db2.gz NWUIOZCMZGOFSQ-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN C/C=C\C[C@@H](CO)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000351585070 163150222 /nfs/dbraw/zinc/15/02/22/163150222.db2.gz KLNUDICJALLVTF-FHMRSRPSSA-N 0 0 280.324 2.733 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000351596392 163153156 /nfs/dbraw/zinc/15/31/56/163153156.db2.gz UPIVUUVOSSRAHD-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCC[C@H]1CCCCO1 ZINC000351630764 163161867 /nfs/dbraw/zinc/16/18/67/163161867.db2.gz SEZBCMMXHXXCNP-LLVKDONJSA-N 0 0 251.282 2.933 20 5 CFBDRN CCCC(=O)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000351668069 163169474 /nfs/dbraw/zinc/16/94/74/163169474.db2.gz QUIXNYAYAOTVGO-UHFFFAOYSA-N 0 0 273.292 2.828 20 5 CFBDRN Cc1sc(C(=O)N(C)CCC(F)(F)F)cc1[N+](=O)[O-] ZINC000351661826 163170298 /nfs/dbraw/zinc/17/02/98/163170298.db2.gz PHLUQVUOVRCBRP-UHFFFAOYSA-N 0 0 296.270 2.989 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000351675773 163172111 /nfs/dbraw/zinc/17/21/11/163172111.db2.gz WQCATHNPXUNDKS-LBPRGKRZSA-N 0 0 291.351 2.973 20 5 CFBDRN COCCCn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000351699318 163178554 /nfs/dbraw/zinc/17/85/54/163178554.db2.gz JJVKPTFUXYLBAV-UHFFFAOYSA-N 0 0 269.688 2.634 20 5 CFBDRN C[C@@]1(F)CCN(Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000351737099 163184782 /nfs/dbraw/zinc/18/47/82/163184782.db2.gz SSPIURSWMFTHJD-GFCCVEGCSA-N 0 0 274.242 2.807 20 5 CFBDRN CC[C@H](O)CCCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000351763779 163190824 /nfs/dbraw/zinc/19/08/24/163190824.db2.gz PGDLVBRHOGYNQL-LBPRGKRZSA-N 0 0 280.324 2.760 20 5 CFBDRN COC(=O)C[C@H](C)SCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000351825931 163200325 /nfs/dbraw/zinc/20/03/25/163200325.db2.gz CBNBPLHHXJVWEV-VIFPVBQESA-N 0 0 299.348 2.788 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000352849150 163251608 /nfs/dbraw/zinc/25/16/08/163251608.db2.gz NNOHEKWKSYYSIC-QMMMGPOBSA-N 0 0 266.272 2.652 20 5 CFBDRN CCCc1nccn1Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000352899416 163265233 /nfs/dbraw/zinc/26/52/33/163265233.db2.gz GJCUIEOCPBUQBG-UHFFFAOYSA-N 0 0 285.307 2.668 20 5 CFBDRN CSc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000352975548 163281906 /nfs/dbraw/zinc/28/19/06/163281906.db2.gz FMJUZEZPCFAHDG-UHFFFAOYSA-N 0 0 291.332 2.921 20 5 CFBDRN CC(C)CN(CCn1cc([N+](=O)[O-])cn1)c1ccccc1 ZINC000353545263 163391044 /nfs/dbraw/zinc/39/10/44/163391044.db2.gz DBHCRDRPGKDWRP-UHFFFAOYSA-N 0 0 288.351 2.954 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCCN1c1ncc([N+](=O)[O-])s1 ZINC000286403382 287101713 /nfs/dbraw/zinc/10/17/13/287101713.db2.gz PSZTZWISTLWZQA-ZJUUUORDSA-N 0 0 285.369 2.571 20 5 CFBDRN CC[C@@H]1CN(c2cc(C)ccc2[N+](=O)[O-])CCCO1 ZINC000281826182 287211975 /nfs/dbraw/zinc/21/19/75/287211975.db2.gz KGEIBLFMVKYYHN-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN CC[C@@H]1CN(c2cccc(OC)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000185400313 287213152 /nfs/dbraw/zinc/21/31/52/287213152.db2.gz VRCVAIPAAJZAMW-WDEREUQCSA-N 0 0 280.324 2.607 20 5 CFBDRN CC[C@@H]1CN(c2nc(C)ccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420635431 287213837 /nfs/dbraw/zinc/21/38/37/287213837.db2.gz URCKDEHLHYIBKW-TXEJJXNPSA-N 0 0 279.340 2.692 20 5 CFBDRN COc1ccc(OCc2cn3ccccc3n2)c([N+](=O)[O-])c1 ZINC000005174072 170073581 /nfs/dbraw/zinc/07/35/81/170073581.db2.gz XXLLIGHKBYCGGW-UHFFFAOYSA-N 0 0 299.286 2.830 20 5 CFBDRN Cc1nn([C@@H](C)C(=O)Nc2ccccc2)c(C)c1[N+](=O)[O-] ZINC000005346626 170088270 /nfs/dbraw/zinc/08/82/70/170088270.db2.gz PGJWKUKKUCSXEQ-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN CCc1ccc(C(=O)COc2cccnc2[N+](=O)[O-])cc1 ZINC000005970999 170164530 /nfs/dbraw/zinc/16/45/30/170164530.db2.gz YPMKHHFAFOHPJW-UHFFFAOYSA-N 0 0 286.287 2.814 20 5 CFBDRN CN(C(=O)COc1ccccc1[N+](=O)[O-])C1CCCCC1 ZINC000006178097 170180770 /nfs/dbraw/zinc/18/07/70/170180770.db2.gz PSLYFIYLSBEZJU-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN CCCCOCCCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000006310711 170190252 /nfs/dbraw/zinc/19/02/52/170190252.db2.gz WMJOANWQBUNJGR-UHFFFAOYSA-N 0 0 294.351 2.840 20 5 CFBDRN O=C(CNc1cccc([N+](=O)[O-])c1)Nc1ccc(F)cc1 ZINC000007954284 170309623 /nfs/dbraw/zinc/30/96/23/170309623.db2.gz PHLXWEIPTDKHIE-UHFFFAOYSA-N 0 0 289.266 2.785 20 5 CFBDRN Cc1ccc(NC(=O)CNc2cccc([N+](=O)[O-])c2)cc1 ZINC000007954175 170309667 /nfs/dbraw/zinc/30/96/67/170309667.db2.gz GFSLREBLGRNSJC-UHFFFAOYSA-N 0 0 285.303 2.954 20 5 CFBDRN O=c1c2ccccc2ccn1Cc1ccc([N+](=O)[O-])cc1 ZINC000008222783 170325679 /nfs/dbraw/zinc/32/56/79/170325679.db2.gz VQBGGAIEURLONZ-UHFFFAOYSA-N 0 0 280.283 2.958 20 5 CFBDRN O=[N+]([O-])c1ccccc1CSc1nncn1C1CC1 ZINC000009982218 170392804 /nfs/dbraw/zinc/39/28/04/170392804.db2.gz GWZSZCSABKPAQA-UHFFFAOYSA-N 0 0 276.321 2.814 20 5 CFBDRN COCC1(C(=O)Oc2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000284445101 196056982 /nfs/dbraw/zinc/05/69/82/196056982.db2.gz WRSKTMJWLSRJPH-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284498775 196071073 /nfs/dbraw/zinc/07/10/73/196071073.db2.gz UWLJIFFEEQCWHY-BDAKNGLRSA-N 0 0 295.314 2.719 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCC(F)(F)F ZINC000284636654 196101079 /nfs/dbraw/zinc/10/10/79/196101079.db2.gz LOWQVSWRYTZDKH-UHFFFAOYSA-N 0 0 291.229 2.895 20 5 CFBDRN O=C(COc1cccnc1[N+](=O)[O-])c1ccc(F)cc1F ZINC000022436253 171387756 /nfs/dbraw/zinc/38/77/56/171387756.db2.gz HRHMPYLEJYURFX-UHFFFAOYSA-N 0 0 294.213 2.530 20 5 CFBDRN COC(=O)c1ccoc1COc1ccccc1[N+](=O)[O-] ZINC000023444906 171421843 /nfs/dbraw/zinc/42/18/43/171421843.db2.gz QZEIDTZHNQQEJF-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)c2ccn(C)c2)c1 ZINC000024542673 171436661 /nfs/dbraw/zinc/43/66/61/171436661.db2.gz CJXMODCCZTWTRW-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN COC(=O)[C@@H](N[C@@H](C)c1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000284882043 196149962 /nfs/dbraw/zinc/14/99/62/196149962.db2.gz FLAKWZRUWKUFFP-HZMBPMFUSA-N 0 0 292.335 2.505 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000033097814 171770658 /nfs/dbraw/zinc/77/06/58/171770658.db2.gz VADSVXMWGAZIJB-ZYHUDNBSSA-N 0 0 291.351 2.828 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)c1ccn(C)n1 ZINC000284921492 196157631 /nfs/dbraw/zinc/15/76/31/196157631.db2.gz HQZARXNAWLFQMF-JTQLQIEISA-N 0 0 260.297 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H]3CCCO3)ccc2c1 ZINC000035343490 172136153 /nfs/dbraw/zinc/13/61/53/172136153.db2.gz POBUPXJPOKLRLM-GFCCVEGCSA-N 0 0 273.292 2.734 20 5 CFBDRN CCCCCNC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000285192265 196217835 /nfs/dbraw/zinc/21/78/35/196217835.db2.gz VKJACMPMMVNCGP-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCCCCF ZINC000285249086 196231767 /nfs/dbraw/zinc/23/17/67/196231767.db2.gz RQHBWHAIBTWSFX-UHFFFAOYSA-N 0 0 255.245 2.926 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])n[nH]1)N(CC1CCCCC1)C1CC1 ZINC000285252718 196233125 /nfs/dbraw/zinc/23/31/25/196233125.db2.gz ZUVWXEBHMJAASJ-UHFFFAOYSA-N 0 0 292.339 2.503 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC1CCC(=O)CC1 ZINC000285326940 196251531 /nfs/dbraw/zinc/25/15/31/196251531.db2.gz RWNOXCMMOQGCGD-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN Cc1cccc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)c1 ZINC000036136658 172460024 /nfs/dbraw/zinc/46/00/24/172460024.db2.gz ZRDWZDPFZUYBBN-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN CCOC1CC2(C1)CC[N@H+](Cc1ccc([N+](=O)[O-])cc1)C2 ZINC000285516328 196310523 /nfs/dbraw/zinc/31/05/23/196310523.db2.gz OPFSPGIJWUJLNJ-UHFFFAOYSA-N 0 0 290.363 2.986 20 5 CFBDRN O=[N+]([O-])c1ccn(CCN2CCc3cc(Cl)ccc32)n1 ZINC000285563891 196327869 /nfs/dbraw/zinc/32/78/69/196327869.db2.gz VOSDIIVZFLMWHA-UHFFFAOYSA-N 0 0 292.726 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC(F)(F)F ZINC000285611110 196343393 /nfs/dbraw/zinc/34/33/93/196343393.db2.gz CXXJJHQDDZJCFQ-UHFFFAOYSA-N 0 0 291.229 2.895 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1cccc2c1OCO2 ZINC000037058866 172924362 /nfs/dbraw/zinc/92/43/62/172924362.db2.gz ZZHLNGVGQWKLJJ-UHFFFAOYSA-N 0 0 272.260 2.936 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286212 173092554 /nfs/dbraw/zinc/09/25/54/173092554.db2.gz HOJHDSYOVPKUAD-VIFPVBQESA-N 0 0 268.288 2.995 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2F)nc1 ZINC000037286115 173092605 /nfs/dbraw/zinc/09/26/05/173092605.db2.gz SBFBIKOAPVUASS-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cn1cnnc1SCc1cc([N+](=O)[O-])ccc1Cl ZINC000037404396 173180902 /nfs/dbraw/zinc/18/09/02/173180902.db2.gz ILTNZLPBHNXFSW-UHFFFAOYSA-N 0 0 284.728 2.669 20 5 CFBDRN CC[C@@H]([NH2+]CCCC(=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000037418087 173195538 /nfs/dbraw/zinc/19/55/38/173195538.db2.gz WBPHHUXKCIBRIS-GFCCVEGCSA-N 0 0 266.297 2.500 20 5 CFBDRN CC(C)CCN(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000038105025 174119978 /nfs/dbraw/zinc/11/99/78/174119978.db2.gz NSZKVJKFUNMYJU-UHFFFAOYSA-N 0 0 268.288 2.852 20 5 CFBDRN Cc1ccccc1S(=O)(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000039973900 174180661 /nfs/dbraw/zinc/18/06/61/174180661.db2.gz KABFFRDIIRVYRS-UHFFFAOYSA-N 0 0 292.316 2.704 20 5 CFBDRN CCCCOCCNc1ccc([N+](=O)[O-])cc1F ZINC000040507595 174303197 /nfs/dbraw/zinc/30/31/97/174303197.db2.gz QFICUJIHODSXET-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000040724332 174386688 /nfs/dbraw/zinc/38/66/88/174386688.db2.gz QXCAFINLPLLJDB-MRVPVSSYSA-N 0 0 268.700 2.730 20 5 CFBDRN CS[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl ZINC000042847758 174891395 /nfs/dbraw/zinc/89/13/95/174891395.db2.gz KJPZXSBOVCUQTI-ZCFIWIBFSA-N 0 0 274.729 2.938 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)NC1CC1 ZINC000043331656 175010194 /nfs/dbraw/zinc/01/01/94/175010194.db2.gz CYDVQAGVCUWOLS-UHFFFAOYSA-N 0 0 255.661 2.532 20 5 CFBDRN O=C(NC[C@@H]1CCCO1)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000043343309 175015600 /nfs/dbraw/zinc/01/56/00/175015600.db2.gz AWJFSDQWBRMNPV-VIFPVBQESA-N 0 0 299.714 2.549 20 5 CFBDRN Cc1ccc([C@H](C)[NH2+][C@@H](C(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000043430250 175042620 /nfs/dbraw/zinc/04/26/20/175042620.db2.gz BULJLUKINGYNJY-GXFFZTMASA-N 0 0 280.324 2.663 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CC=CCC1 ZINC000043544383 175064192 /nfs/dbraw/zinc/06/41/92/175064192.db2.gz HCWFKJSFFSYARS-JTQLQIEISA-N 0 0 277.276 2.865 20 5 CFBDRN O=C(Nc1cccc(O)c1)c1ccc([N+](=O)[O-])cc1F ZINC000043570861 175068480 /nfs/dbraw/zinc/06/84/80/175068480.db2.gz UDTDSJYUEOMPDK-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN COC[C@H](C)Nc1ccc([N+](=O)[O-])cc1Br ZINC000043596431 175076604 /nfs/dbraw/zinc/07/66/04/175076604.db2.gz PCTIREDFZYDMKW-ZETCQYMHSA-N 0 0 289.129 2.804 20 5 CFBDRN CCCNC(=O)CCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000044350824 175165873 /nfs/dbraw/zinc/16/58/73/175165873.db2.gz PLZFIZUIHUUSLL-UHFFFAOYSA-N 0 0 285.731 2.576 20 5 CFBDRN CN(C(=O)c1csc([N+](=O)[O-])c1)C1CCCC1 ZINC000044379834 175169194 /nfs/dbraw/zinc/16/91/94/175169194.db2.gz LYXWFPPBRGYRQU-UHFFFAOYSA-N 0 0 254.311 2.671 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)CC1CCCCC1 ZINC000044710706 175235712 /nfs/dbraw/zinc/23/57/12/175235712.db2.gz CZAABXYCOOFVNR-UHFFFAOYSA-N 0 0 291.351 2.951 20 5 CFBDRN CCN(c1ncnc2sc([N+](=O)[O-])cc21)C(C)C ZINC000286324505 196568052 /nfs/dbraw/zinc/56/80/52/196568052.db2.gz JJRPVXRCAYHTTF-UHFFFAOYSA-N 0 0 266.326 2.834 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000049243539 175470155 /nfs/dbraw/zinc/47/01/55/175470155.db2.gz BISMAQJKTAQGCI-JFGNBEQYSA-N 0 0 277.324 2.611 20 5 CFBDRN CNC(=O)c1ccc(NCC2(C)CCCC2)c([N+](=O)[O-])c1 ZINC000066468075 175759966 /nfs/dbraw/zinc/75/99/66/175759966.db2.gz YUWUXWNPKNCQKQ-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN O=C(/C=C/c1cccnc1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000070451374 175939561 /nfs/dbraw/zinc/93/95/61/175939561.db2.gz WEDBEMFYDSWKLV-ZZXKWVIFSA-N 0 0 287.250 2.781 20 5 CFBDRN CC(C)c1nnc(COc2cccc([N+](=O)[O-])c2)o1 ZINC000072086434 176313954 /nfs/dbraw/zinc/31/39/54/176313954.db2.gz KCUWEVWUFUTBRX-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN COc1cc(N2CCC[C@H]2[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000286456834 196609191 /nfs/dbraw/zinc/60/91/91/196609191.db2.gz NKYVVBMORPOQHJ-JSGCOSHPSA-N 0 0 292.335 2.751 20 5 CFBDRN Cc1cc(NCCc2ccccc2)ncc1[N+](=O)[O-] ZINC000073000234 176448269 /nfs/dbraw/zinc/44/82/69/176448269.db2.gz XXUBNQAYEMCTAB-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN C[C@H]1C[C@@H](CCNc2ccc(N)cc2[N+](=O)[O-])CCO1 ZINC000286505217 196625169 /nfs/dbraw/zinc/62/51/69/196625169.db2.gz AXSZAGDSKXRYAT-QWRGUYRKSA-N 0 0 279.340 2.794 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCC[C@H]2O)c(F)c1 ZINC000077208380 177234832 /nfs/dbraw/zinc/23/48/32/177234832.db2.gz NYEGZMGHYMZQMV-TVQRCGJNSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCC[C@@H]2O)cc1 ZINC000077208310 177234833 /nfs/dbraw/zinc/23/48/33/177234833.db2.gz WPLSJPSIDGNHMS-GWCFXTLKSA-N 0 0 250.298 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCC[C@@H]2O)cc1 ZINC000077208311 177234877 /nfs/dbraw/zinc/23/48/77/177234877.db2.gz WPLSJPSIDGNHMS-MFKMUULPSA-N 0 0 250.298 2.558 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000286576823 196646031 /nfs/dbraw/zinc/64/60/31/196646031.db2.gz CGOIBZPTFQSWKG-CYBMUJFWSA-N 0 0 292.335 2.669 20 5 CFBDRN COc1ccc(C(=O)N2CCCC2(C)C)cc1[N+](=O)[O-] ZINC000077354645 177256701 /nfs/dbraw/zinc/25/67/01/177256701.db2.gz GZTQWBDDKNAURP-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1c(CN2[C@H](C)COC[C@H]2C)cccc1[N+](=O)[O-] ZINC000077367942 177258452 /nfs/dbraw/zinc/25/84/52/177258452.db2.gz MJCKFFDVFFLNPT-GHMZBOCLSA-N 0 0 264.325 2.512 20 5 CFBDRN Cc1c(CN2[C@@H](C)COC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000077367941 177258728 /nfs/dbraw/zinc/25/87/28/177258728.db2.gz MJCKFFDVFFLNPT-QWRGUYRKSA-N 0 0 264.325 2.512 20 5 CFBDRN C[C@@H](O)CCN(C)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000078416372 177339936 /nfs/dbraw/zinc/33/99/36/177339936.db2.gz UDGVCEMZDGNDPM-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCC[C@@H]1C ZINC000078438034 177341448 /nfs/dbraw/zinc/34/14/48/177341448.db2.gz XOFVOQGDKRHNJG-GWCFXTLKSA-N 0 0 292.335 2.912 20 5 CFBDRN CC(C)c1cc(NC(=O)c2ccccc2[N+](=O)[O-])n[nH]1 ZINC000078480352 177344621 /nfs/dbraw/zinc/34/46/21/177344621.db2.gz NWNIWHFYJSGMDE-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CCc1cnc(CNc2ccc([N+](=O)[O-])cn2)s1 ZINC000078513516 177348498 /nfs/dbraw/zinc/34/84/98/177348498.db2.gz XBFNQJINIGDYRJ-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN CCC[C@@H](CCO)CNc1ncc([N+](=O)[O-])cc1C ZINC000078672837 177368738 /nfs/dbraw/zinc/36/87/38/177368738.db2.gz LQTGVVMHZBIWEW-NSHDSACASA-N 0 0 267.329 2.509 20 5 CFBDRN Cc1cccc2c(=O)n(Cc3ccc([N+](=O)[O-])cc3)cnc12 ZINC000078702253 177373577 /nfs/dbraw/zinc/37/35/77/177373577.db2.gz DNFHGKZFSBGDCF-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@]2(CCOC2)C1 ZINC000129130182 292585728 /nfs/dbraw/zinc/58/57/28/292585728.db2.gz SHFFGPIVHBMQPI-AWEZNQCLSA-N 0 0 262.309 2.520 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H](C)CC(C)(C)O ZINC000294960433 292586562 /nfs/dbraw/zinc/58/65/62/292586562.db2.gz JYZMPFQYZYRGOZ-JTQLQIEISA-N 0 0 252.314 2.865 20 5 CFBDRN Cc1ncsc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347968844 226391669 /nfs/dbraw/zinc/39/16/69/226391669.db2.gz RATKFZGZXWWXQR-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](C)[C@H](C)C1 ZINC000192734429 227283703 /nfs/dbraw/zinc/28/37/03/227283703.db2.gz HQZGBVYSWYHJCV-NXEZZACHSA-N 0 0 266.345 2.602 20 5 CFBDRN Cc1ccc(N[C@H]2CCC[C@@]2(C)CO)c([N+](=O)[O-])c1 ZINC000301474264 227552665 /nfs/dbraw/zinc/55/26/65/227552665.db2.gz MSQQZACPIDPWLN-KBPBESRZSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@@H]1CCN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000265827682 287517901 /nfs/dbraw/zinc/51/79/01/287517901.db2.gz SFGYBNGJFZWHOP-LLVKDONJSA-N 0 0 276.336 2.741 20 5 CFBDRN C[C@@H]1CCN(c2nc(N3CC[C@@H](C)C3)c([N+](=O)[O-])s2)C1 ZINC000301267268 287606295 /nfs/dbraw/zinc/60/62/95/287606295.db2.gz FUHHGPCVXWSBFX-NXEZZACHSA-N 0 0 296.396 2.744 20 5 CFBDRN COc1cc(C(=O)NC(C2CC2)C2CC2)ccc1[N+](=O)[O-] ZINC000195251630 270251643 /nfs/dbraw/zinc/25/16/43/270251643.db2.gz YVPQKSUPBBJOAB-UHFFFAOYSA-N 0 0 290.319 2.522 20 5 CFBDRN CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000007070755 420500729 /nfs/dbraw/zinc/50/07/29/420500729.db2.gz CHQJEHHVZGPZAY-UHFFFAOYSA-N 0 0 275.264 2.574 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000523504371 260230754 /nfs/dbraw/zinc/23/07/54/260230754.db2.gz UKOOSWOUVAPADA-VIFPVBQESA-N 0 0 268.288 2.756 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H](C)[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000516903997 260242115 /nfs/dbraw/zinc/24/21/15/260242115.db2.gz AHTRSWHCZGECOX-WOPDTQHZSA-N 0 0 276.336 2.955 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(OC)c1)[C@@H](O)CC ZINC000313094240 260246654 /nfs/dbraw/zinc/24/66/54/260246654.db2.gz VLZWYXDDLXISIR-PWSUYJOCSA-N 0 0 268.313 2.565 20 5 CFBDRN CC1(C)COC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000313120412 260250688 /nfs/dbraw/zinc/25/06/88/260250688.db2.gz KNILXVKDGSVBKE-LLVKDONJSA-N 0 0 254.261 2.571 20 5 CFBDRN Cn1cnc(CCNc2c(Cl)cccc2[N+](=O)[O-])c1 ZINC000313487889 260298520 /nfs/dbraw/zinc/29/85/20/260298520.db2.gz ZVICKBJQTXCLBR-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN Cc1cc(NC(=O)Nc2cc([N+](=O)[O-])ccc2C)n[nH]1 ZINC000192196210 260949748 /nfs/dbraw/zinc/94/97/48/260949748.db2.gz WRBQIKPHILBAOS-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000176882779 261315599 /nfs/dbraw/zinc/31/55/99/261315599.db2.gz ZRCIODARCXCWHQ-MNOVXSKESA-N 0 0 291.351 2.828 20 5 CFBDRN O=C(NCc1ccsc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000177162508 261337346 /nfs/dbraw/zinc/33/73/46/261337346.db2.gz XGXRNGGISNZVOL-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CCCC2(F)F)c1[N+](=O)[O-] ZINC000390904061 262360829 /nfs/dbraw/zinc/36/08/29/262360829.db2.gz QGZAZUQPTWSIQQ-QMMMGPOBSA-N 0 0 288.298 2.738 20 5 CFBDRN CO[C@](C)(c1nc(-c2ccc([N+](=O)[O-])s2)no1)C1CC1 ZINC000291098843 263120102 /nfs/dbraw/zinc/12/01/02/263120102.db2.gz QVNSWWBTORAYGQ-LBPRGKRZSA-N 0 0 295.320 2.978 20 5 CFBDRN COCC[C@@H](C)CNc1c(OC)cccc1[N+](=O)[O-] ZINC000519181959 265360309 /nfs/dbraw/zinc/36/03/09/265360309.db2.gz XHMQXALQXDJLTI-SNVBAGLBSA-N 0 0 268.313 2.688 20 5 CFBDRN CNC(=O)c1ccc(NC[C@H](C)C(C)C)c([N+](=O)[O-])c1 ZINC000096832863 265389212 /nfs/dbraw/zinc/38/92/12/265389212.db2.gz SQSOUCIIFSQQGT-JTQLQIEISA-N 0 0 279.340 2.658 20 5 CFBDRN CN(Cc1cccnc1)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000097631753 265445819 /nfs/dbraw/zinc/44/58/19/265445819.db2.gz LXQSWVNAMBBZRB-BQYQJAHWSA-N 0 0 297.314 2.662 20 5 CFBDRN CN(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])C1CC(O)C1 ZINC000353277722 266037567 /nfs/dbraw/zinc/03/75/67/266037567.db2.gz VOCFLVXEHYSNOS-UHFFFAOYSA-N 0 0 290.241 2.573 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000033182714 270224904 /nfs/dbraw/zinc/22/49/04/270224904.db2.gz ALWWHFIRGKISDW-CMPLNLGQSA-N 0 0 291.351 2.945 20 5 CFBDRN CC(C)c1noc(CN(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000192643730 270232929 /nfs/dbraw/zinc/23/29/29/270232929.db2.gz MIHJVJCFBWZSMH-UHFFFAOYSA-N 0 0 290.323 2.733 20 5 CFBDRN CCC[C@H](CC)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000195268816 270251610 /nfs/dbraw/zinc/25/16/10/270251610.db2.gz IYOUDPZYFZDJTP-LBPRGKRZSA-N 0 0 279.340 2.973 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ccc(F)cc2[N+](=O)[O-])C1(C)C ZINC000227822311 270257909 /nfs/dbraw/zinc/25/79/09/270257909.db2.gz PDCJGIIULASHLN-NWDGAFQWSA-N 0 0 268.288 2.959 20 5 CFBDRN CN(Cc1cccnc1)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000229942261 270259453 /nfs/dbraw/zinc/25/94/53/270259453.db2.gz GYPRGXGWGWSGQW-HJWRWDBZSA-N 0 0 297.314 2.662 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000264512272 270275850 /nfs/dbraw/zinc/27/58/50/270275850.db2.gz XPKFUYSFFDHBHA-ZETCQYMHSA-N 0 0 272.301 2.672 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NC2CCC2)c1 ZINC000264512005 270275908 /nfs/dbraw/zinc/27/59/08/270275908.db2.gz KJWDSWLLDDTXMV-UHFFFAOYSA-N 0 0 266.322 2.599 20 5 CFBDRN Cc1c(NC(=O)C(=O)C(C)(C)C)c(F)ccc1[N+](=O)[O-] ZINC000282314067 270282114 /nfs/dbraw/zinc/28/21/14/270282114.db2.gz MZNZTWWYVLNCPS-UHFFFAOYSA-N 0 0 282.271 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CC34CCOCC4)ccc2c1 ZINC000395644001 270312878 /nfs/dbraw/zinc/31/28/78/270312878.db2.gz GFAMGOWDIPPZGA-CQSZACIVSA-N 0 0 299.330 2.546 20 5 CFBDRN CSCC1CCC(Nc2c([N+](=O)[O-])ncn2C)CC1 ZINC000395415410 270313160 /nfs/dbraw/zinc/31/31/60/270313160.db2.gz SZPAWLSXXVMBIZ-UHFFFAOYSA-N 0 0 284.385 2.662 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2ncc([N+](=O)[O-])cc2Cl)O1 ZINC000397858216 270320226 /nfs/dbraw/zinc/32/02/26/270320226.db2.gz CGWMWDOIXXLWLA-APPZFPTMSA-N 0 0 271.704 2.623 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000397879242 270320336 /nfs/dbraw/zinc/32/03/36/270320336.db2.gz MUTSIUQDJKWLND-SRSLHRDFSA-N 0 0 298.140 2.963 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ncc(Cl)cc2[N+](=O)[O-])CS1 ZINC000397889637 270320354 /nfs/dbraw/zinc/32/03/54/270320354.db2.gz LKMBFKCWVOJMGA-HTRCEHHLSA-N 0 0 273.745 2.949 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000399163507 270326644 /nfs/dbraw/zinc/32/66/44/270326644.db2.gz MNBCBWDROIKECP-ZYHUDNBSSA-N 0 0 291.351 2.897 20 5 CFBDRN CNc1c(C(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)cccc1[N+](=O)[O-] ZINC000399668138 270329777 /nfs/dbraw/zinc/32/97/77/270329777.db2.gz MXOYPHDPDJQVPR-DCAQKATOSA-N 0 0 291.351 2.753 20 5 CFBDRN CO[C@@H](COc1cc([N+](=O)[O-])ccc1C)C1CC1 ZINC000514603055 270346827 /nfs/dbraw/zinc/34/68/27/270346827.db2.gz YYFQTEDPBMGAOJ-ZDUSSCGKSA-N 0 0 251.282 2.707 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000514781288 270353084 /nfs/dbraw/zinc/35/30/84/270353084.db2.gz HLWNRJLNDJIYSH-CABZTGNLSA-N 0 0 262.309 2.613 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1cccc([N+](=O)[O-])c1 ZINC000516279103 270380332 /nfs/dbraw/zinc/38/03/32/270380332.db2.gz IYTDYYFOMPHDDU-FOUMNBMASA-N 0 0 274.320 2.613 20 5 CFBDRN CCC[C@H](OC)c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000516541564 270383888 /nfs/dbraw/zinc/38/38/88/270383888.db2.gz LWVPKFWLTLVWPX-JTQLQIEISA-N 0 0 278.268 2.527 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2cc([N+](=O)[O-])cn2C)[C@H]1C ZINC000516613275 270385179 /nfs/dbraw/zinc/38/51/79/270385179.db2.gz JOYOWCZMDADDCW-GRYCIOLGSA-N 0 0 293.367 2.735 20 5 CFBDRN CCCCCOCC(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000516773400 270388021 /nfs/dbraw/zinc/38/80/21/270388021.db2.gz RLAZIWBUWBKVBU-UHFFFAOYSA-N 0 0 295.339 2.782 20 5 CFBDRN Cc1cc(N2CC[C@H](C)C(F)(F)C2)ncc1[N+](=O)[O-] ZINC000517006149 270394662 /nfs/dbraw/zinc/39/46/62/270394662.db2.gz OWRQBMWFMWYVSP-VIFPVBQESA-N 0 0 271.267 2.780 20 5 CFBDRN CCO[C@H](CC)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000531220155 270827280 /nfs/dbraw/zinc/82/72/80/270827280.db2.gz AZJAYFKNAODPPM-SMDDNHRTSA-N 0 0 294.351 2.896 20 5 CFBDRN CC1(O)CCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000168717266 273595018 /nfs/dbraw/zinc/59/50/18/273595018.db2.gz CSJYZDMNCSNUMD-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452182414 287681301 /nfs/dbraw/zinc/68/13/01/287681301.db2.gz HLOMJPYXSHAQIB-COPLHBTASA-N 0 0 294.351 2.833 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452182415 287777693 /nfs/dbraw/zinc/77/76/93/287777693.db2.gz HLOMJPYXSHAQIB-MJVIPROJSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](C)n3ccnc32)ncc1[N+](=O)[O-] ZINC000450828091 274719593 /nfs/dbraw/zinc/71/95/93/274719593.db2.gz JRDKKSZTQANHAB-NXEZZACHSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1nc(N2CCc3ccccc3CC2)ccc1[N+](=O)[O-] ZINC000301631642 274842829 /nfs/dbraw/zinc/84/28/29/274842829.db2.gz CTOBLZKWIOZKMD-UHFFFAOYSA-N 0 0 283.331 2.903 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)CCO1 ZINC000271079859 275838903 /nfs/dbraw/zinc/83/89/03/275838903.db2.gz ZKVVIOAPCBTMPU-KOLCDFICSA-N 0 0 296.323 2.511 20 5 CFBDRN CC1=CCCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000280696726 275908135 /nfs/dbraw/zinc/90/81/35/275908135.db2.gz GHURQTWSLYJCKU-UHFFFAOYSA-N 0 0 263.253 2.659 20 5 CFBDRN CCC1CN(c2ncc(Br)cc2[N+](=O)[O-])C1 ZINC000413356661 275967093 /nfs/dbraw/zinc/96/70/93/275967093.db2.gz CHNWWRYSAQZGMT-UHFFFAOYSA-N 0 0 286.129 2.599 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000413448789 276038907 /nfs/dbraw/zinc/03/89/07/276038907.db2.gz ZDBJDTLKZDRTKN-RISCZKNCSA-N 0 0 277.324 2.540 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000332656720 276041363 /nfs/dbraw/zinc/04/13/63/276041363.db2.gz FEEJWNALNVAXAD-AEJSXWLSSA-N 0 0 294.355 2.558 20 5 CFBDRN CC1(C)CCC[C@H]1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000294005507 276110908 /nfs/dbraw/zinc/11/09/08/276110908.db2.gz AWXAZOIGAAQQRI-JTQLQIEISA-N 0 0 291.351 2.733 20 5 CFBDRN CC1(C)C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000155136914 276149671 /nfs/dbraw/zinc/14/96/71/276149671.db2.gz OKKCGASOZLKMFS-NSHDSACASA-N 0 0 260.293 2.530 20 5 CFBDRN CC1(C)SC[C@@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000276247589 276158142 /nfs/dbraw/zinc/15/81/42/276158142.db2.gz AVLVNBHRUBTHTL-QMMMGPOBSA-N 0 0 273.745 2.949 20 5 CFBDRN CCO[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H]1C ZINC000450743139 276359751 /nfs/dbraw/zinc/35/97/51/276359751.db2.gz FVQAOHNFCAPICL-SKDRFNHKSA-N 0 0 299.758 2.895 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000047202965 276443886 /nfs/dbraw/zinc/44/38/86/276443886.db2.gz UGMVRTZLPSXUBC-UHFFFAOYSA-N 0 0 263.171 2.790 20 5 CFBDRN CCO[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H]1C ZINC000450744788 276469134 /nfs/dbraw/zinc/46/91/34/276469134.db2.gz NUZBEMIJVBSRIS-JOYOIKCWSA-N 0 0 299.758 2.895 20 5 CFBDRN CC[C@@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)C[C@@H](C)O1 ZINC000278407742 276497116 /nfs/dbraw/zinc/49/71/16/276497116.db2.gz NKBVXIZWSYHSIS-GHMZBOCLSA-N 0 0 291.351 2.567 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)C[C@@H](CC)O1 ZINC000420617258 276576555 /nfs/dbraw/zinc/57/65/55/276576555.db2.gz AZYDPMDREATMTD-NXEZZACHSA-N 0 0 297.330 2.710 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272650009 276655197 /nfs/dbraw/zinc/65/51/97/276655197.db2.gz DYHXVYZQXVUJHG-UWVGGRQHSA-N 0 0 278.312 2.785 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cn1)C1Cc2ccccc2C1 ZINC000048976730 277002979 /nfs/dbraw/zinc/00/29/79/277002979.db2.gz IDQHAEGMWICRAH-UHFFFAOYSA-N 0 0 269.304 2.593 20 5 CFBDRN CC(=O)c1cc(N2CCO[C@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000230741528 277106340 /nfs/dbraw/zinc/10/63/40/277106340.db2.gz FWQJELWQZUPPAM-GJZGRUSLSA-N 0 0 290.319 2.555 20 5 CFBDRN COC(=O)[C@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])[C@@H]1C ZINC000344973817 277130930 /nfs/dbraw/zinc/13/09/30/277130930.db2.gz CCFLNHHIZZDCOY-SCZZXKLOSA-N 0 0 298.726 2.636 20 5 CFBDRN COC(=O)[C@]1(C)CCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000287941537 277144954 /nfs/dbraw/zinc/14/49/54/277144954.db2.gz ROUSSGIGDFFBDB-CYBMUJFWSA-N 0 0 298.726 2.638 20 5 CFBDRN COC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000022797053 277159635 /nfs/dbraw/zinc/15/96/35/277159635.db2.gz MWVYRXPTYBDZHQ-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN COC[C@H]1CCCN(c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000450951071 277266553 /nfs/dbraw/zinc/26/65/53/277266553.db2.gz XBDMRGZXOZQAQL-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1C[C@@H]2CCC[C@H]2C1 ZINC000268241450 277270093 /nfs/dbraw/zinc/27/00/93/277270093.db2.gz NNWUILFGYVRCPY-RYUDHWBXSA-N 0 0 276.336 2.978 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@@H](Nc2ncc(C)cc2[N+](=O)[O-])C1(C)C ZINC000342796079 277314586 /nfs/dbraw/zinc/31/45/86/277314586.db2.gz ORZPLBAYPMWKMW-MVWJERBFSA-N 0 0 279.340 2.770 20 5 CFBDRN CO[C@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000266196426 277336610 /nfs/dbraw/zinc/33/66/10/277336610.db2.gz CKUKZFSCYBBJML-KCJUWKMLSA-N 0 0 292.295 2.501 20 5 CFBDRN CC(C)C1(c2ccccc2)CN(c2ncc([N+](=O)[O-])cn2)C1 ZINC000413548942 277339676 /nfs/dbraw/zinc/33/96/76/277339676.db2.gz FXIABAVZPCYGNK-UHFFFAOYSA-N 0 0 298.346 2.799 20 5 CFBDRN CO[C@](C)(CNC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000293236959 277357400 /nfs/dbraw/zinc/35/74/00/277357400.db2.gz KGBFMUFNGHHQDY-CYBMUJFWSA-N 0 0 298.364 2.510 20 5 CFBDRN COc1cc(N[C@@H]2CCCOCC2)c(F)cc1[N+](=O)[O-] ZINC000271888110 277385708 /nfs/dbraw/zinc/38/57/08/277385708.db2.gz NVLHHFFIAVSAMA-SECBINFHSA-N 0 0 284.287 2.724 20 5 CFBDRN COC(=O)c1cc(N2CC[C@@H](C)C2)cc(C)c1[N+](=O)[O-] ZINC000268490499 277423403 /nfs/dbraw/zinc/42/34/03/277423403.db2.gz OEBBGKYDODGDKC-SECBINFHSA-N 0 0 278.308 2.536 20 5 CFBDRN CC(C)C[C@H]1CCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420596742 277500259 /nfs/dbraw/zinc/50/02/59/277500259.db2.gz ICBYHMXFDJJOLK-LLVKDONJSA-N 0 0 291.351 2.566 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)n2)CC1(C)C ZINC000295752066 277658777 /nfs/dbraw/zinc/65/87/77/277658777.db2.gz NWFCTMDOXKTEGP-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C[C@H]1C ZINC000179334369 277749575 /nfs/dbraw/zinc/74/95/75/277749575.db2.gz YLTAPBHGVRXRNZ-RKDXNWHRSA-N 0 0 268.338 2.774 20 5 CFBDRN COc1cc(-c2noc(-c3ccc([N+](=O)[O-])cc3)n2)ccn1 ZINC000073341252 277793685 /nfs/dbraw/zinc/79/36/85/277793685.db2.gz APCJNQQUOZPVQH-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CC(C)[C@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000330532980 277933893 /nfs/dbraw/zinc/93/38/93/277933893.db2.gz OCJLFEVRIXWZEX-NEPJUHHUSA-N 0 0 279.340 2.868 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000408468822 278004197 /nfs/dbraw/zinc/00/41/97/278004197.db2.gz OGJNCVTUNRTERJ-DTORHVGOSA-N 0 0 253.277 2.611 20 5 CFBDRN C[C@@H]1C[C@H](CNc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000271275688 278013455 /nfs/dbraw/zinc/01/34/55/278013455.db2.gz BJEBJQICQIEFRI-NXEZZACHSA-N 0 0 268.288 2.961 20 5 CFBDRN COc1cccc2c1CCN(c1ccc([N+](=O)[O-])cn1)C2 ZINC000302181943 278031184 /nfs/dbraw/zinc/03/11/84/278031184.db2.gz OBTDSWRCOVFHCG-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)C1=CCCC1 ZINC000331656010 278038475 /nfs/dbraw/zinc/03/84/75/278038475.db2.gz WJVAQDCMRVFERH-SNVBAGLBSA-N 0 0 272.304 2.983 20 5 CFBDRN C[C@@H]1OCCN(c2ccc([N+](=O)[O-])c3cnccc32)[C@H]1C ZINC000268808481 278053524 /nfs/dbraw/zinc/05/35/24/278053524.db2.gz LOBHPLMFIBGXKK-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN CC(C)c1nn(C)cc1Nc1ncccc1[N+](=O)[O-] ZINC000281068787 278096930 /nfs/dbraw/zinc/09/69/30/278096930.db2.gz ULOMMWSHAOOIQX-UHFFFAOYSA-N 0 0 261.285 2.590 20 5 CFBDRN C[C@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000178401030 278143834 /nfs/dbraw/zinc/14/38/34/278143834.db2.gz RCZJYUSHZLORLZ-VIFPVBQESA-N 0 0 260.293 2.530 20 5 CFBDRN C[C@H](Nc1nc2ccccc2cc1[N+](=O)[O-])C1CC1 ZINC000352349088 278232853 /nfs/dbraw/zinc/23/28/53/278232853.db2.gz AKTSRGRSODXXAI-VIFPVBQESA-N 0 0 257.293 2.775 20 5 CFBDRN C[C@H](NC(=O)NOC1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000271696201 278244857 /nfs/dbraw/zinc/24/48/57/278244857.db2.gz MPQXUVQNXZKEIK-JTQLQIEISA-N 0 0 293.323 2.829 20 5 CFBDRN C[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1N1CCOC1=O ZINC000267605250 278346824 /nfs/dbraw/zinc/34/68/24/278346824.db2.gz FYMHJPPYFWBXMY-JTQLQIEISA-N 0 0 291.307 2.540 20 5 CFBDRN C[C@H]1CCOCCN1c1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000302520382 278374253 /nfs/dbraw/zinc/37/42/53/278374253.db2.gz AWOGGHNPRGLZID-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@H]1CC[C@H](C)N1c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000408106461 278381959 /nfs/dbraw/zinc/38/19/59/278381959.db2.gz YKFLFMPPLGEQPP-IUCAKERBSA-N 0 0 288.307 2.621 20 5 CFBDRN CC1(C)C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])C(C)(C)O1 ZINC000193495398 278390267 /nfs/dbraw/zinc/39/02/67/278390267.db2.gz CQIXWYBKOKXBBI-LBPRGKRZSA-N 0 0 279.340 2.935 20 5 CFBDRN CC1(C)[C@H](Nc2ccccc2[N+](=O)[O-])[C@@H]2CCO[C@@H]21 ZINC000085633374 278446914 /nfs/dbraw/zinc/44/69/14/278446914.db2.gz BOUCCILVDIZVHP-BIMULSAOSA-N 0 0 262.309 2.820 20 5 CFBDRN C[C@H]1COCCN1c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000294983449 278457978 /nfs/dbraw/zinc/45/79/78/278457978.db2.gz PMHXOERWJRNJIT-QMMMGPOBSA-N 0 0 290.241 2.839 20 5 CFBDRN C[C@H]1c2ccsc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000273570008 278550763 /nfs/dbraw/zinc/55/07/63/278550763.db2.gz DBVISZSHNOXMTP-QMMMGPOBSA-N 0 0 276.321 2.570 20 5 CFBDRN C[C@]1(O)CCCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000230373532 278568973 /nfs/dbraw/zinc/56/89/73/278568973.db2.gz LDSGUANJHZJJLX-ZDUSSCGKSA-N 0 0 284.743 2.990 20 5 CFBDRN Cc1c(Br)c(NC2CC2)ncc1[N+](=O)[O-] ZINC000167051677 278582853 /nfs/dbraw/zinc/58/28/53/278582853.db2.gz RMZHPBNUFRFILF-UHFFFAOYSA-N 0 0 272.102 2.635 20 5 CFBDRN C[C@@H]1CC[C@@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000336787229 278590852 /nfs/dbraw/zinc/59/08/52/278590852.db2.gz DNMHJILFSHGRTO-SVRRBLITSA-N 0 0 264.310 2.810 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)c2ccccc2O1 ZINC000347123836 278686966 /nfs/dbraw/zinc/68/69/66/278686966.db2.gz CTWKQVOUMLTCQG-SECBINFHSA-N 0 0 288.259 2.616 20 5 CFBDRN Cc1cc(N2CCCO[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000292743263 278708962 /nfs/dbraw/zinc/70/89/62/278708962.db2.gz VGBGQVFQWQWFLS-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@](C)(F)C1 ZINC000294846945 278747219 /nfs/dbraw/zinc/74/72/19/278747219.db2.gz BPJMRVRGKMFPBQ-LBPRGKRZSA-N 0 0 253.277 2.627 20 5 CFBDRN CC(C)CC[C@@H]1CCCN1c1c([N+](=O)[O-])ncn1C ZINC000362999765 278788428 /nfs/dbraw/zinc/78/84/28/278788428.db2.gz ASPFCQXZWQSVBH-NSHDSACASA-N 0 0 266.345 2.733 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000276657198 278812989 /nfs/dbraw/zinc/81/29/89/278812989.db2.gz RLUWVFJGKSFSJT-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1COCC[C@H]1Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413258744 278855201 /nfs/dbraw/zinc/85/52/01/278855201.db2.gz FRHBUXGMQIAYFM-QMTHXVAHSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccnc(NC2C[C@H](C)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000301924362 278987687 /nfs/dbraw/zinc/98/76/87/278987687.db2.gz GPEUEUKCCDXWSV-UWVGGRQHSA-N 0 0 265.313 2.666 20 5 CFBDRN Cc1ccnc(N[C@H]2COCc3ccccc32)c1[N+](=O)[O-] ZINC000418993823 278988039 /nfs/dbraw/zinc/98/80/39/278988039.db2.gz LCUPJBBTNCXWOM-ZDUSSCGKSA-N 0 0 285.303 2.982 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3c(F)cccc3[N+](=O)[O-])C2)c1 ZINC000290908042 279035024 /nfs/dbraw/zinc/03/50/24/279035024.db2.gz VYDCMTDXLFKIGT-NSHDSACASA-N 0 0 290.298 2.690 20 5 CFBDRN C[C@@H]1OCCN(c2ccc3cc([N+](=O)[O-])ccc3n2)[C@H]1C ZINC000268781790 279041713 /nfs/dbraw/zinc/04/17/13/279041713.db2.gz CLYKNMJRSRYPSS-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN Cc1csc2[nH]c(-c3cccc([N+](=O)[O-])c3)nc(=O)c12 ZINC000077251576 279048231 /nfs/dbraw/zinc/04/82/31/279048231.db2.gz LEBBOYTUQWJURT-UHFFFAOYSA-N 0 0 287.300 2.868 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@@H]3CCC[C@H]32)ncc1[N+](=O)[O-] ZINC000295006418 279088871 /nfs/dbraw/zinc/08/88/71/279088871.db2.gz SIRAEWTUIZVZPZ-GHMZBOCLSA-N 0 0 276.340 2.708 20 5 CFBDRN Cc1nc(N2CC[C@@H](CC(C)C)C2)ncc1[N+](=O)[O-] ZINC000297120983 279093209 /nfs/dbraw/zinc/09/32/09/279093209.db2.gz MJNWBJAEOFODPY-NSHDSACASA-N 0 0 264.329 2.566 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1c1ccc([N+](=O)[O-])c2cnccc21 ZINC000268808477 279107210 /nfs/dbraw/zinc/10/72/10/279107210.db2.gz LOBHPLMFIBGXKK-MNOVXSKESA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@]1(NC(=O)c2ccc([N+](=O)[O-])[nH]2)CCCc2ccccc21 ZINC000337608394 279171157 /nfs/dbraw/zinc/17/11/57/279171157.db2.gz SZAUIRHVPNSLBO-MRXNPFEDSA-N 0 0 299.330 2.904 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000265430300 279282652 /nfs/dbraw/zinc/28/26/52/279282652.db2.gz FWKQOFXCGQJOLL-VIFPVBQESA-N 0 0 266.322 2.803 20 5 CFBDRN Cc1sc(CNc2c([N+](=O)[O-])ncn2C)nc1C(C)C ZINC000347207961 279284266 /nfs/dbraw/zinc/28/42/66/279284266.db2.gz STKLPGIRERYMGV-UHFFFAOYSA-N 0 0 295.368 2.829 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@H]3CCCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000334262331 279305864 /nfs/dbraw/zinc/30/58/64/279305864.db2.gz ZJOYIORJQXGIJS-NEPJUHHUSA-N 0 0 291.351 2.728 20 5 CFBDRN CC(C)OC(C)(C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000450407821 279650834 /nfs/dbraw/zinc/65/08/34/279650834.db2.gz JFONLWYHSCIBLW-LLVKDONJSA-N 0 0 294.351 2.976 20 5 CFBDRN CCOC1(C)CN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000450585745 279659062 /nfs/dbraw/zinc/65/90/62/279659062.db2.gz ZKUINYQCHXXFPL-UHFFFAOYSA-N 0 0 268.288 2.658 20 5 CFBDRN C[C@H]1CCC[C@@H]1Nc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000287913606 279682672 /nfs/dbraw/zinc/68/26/72/279682672.db2.gz OOIFDWCGKQAEMG-KWQFWETISA-N 0 0 288.307 2.844 20 5 CFBDRN CCO[C@H]1CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153620038 279902450 /nfs/dbraw/zinc/90/24/50/279902450.db2.gz FMRRGICIOOMJDZ-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN CCOc1cccc(N2CC[C@H](C)[C@H](OC)C2)c1[N+](=O)[O-] ZINC000276128869 279972800 /nfs/dbraw/zinc/97/28/00/279972800.db2.gz ZUTKLQOUMYLHFJ-SMDDNHRTSA-N 0 0 294.351 2.855 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CC1CC1 ZINC000335983616 280023121 /nfs/dbraw/zinc/02/31/21/280023121.db2.gz CBEOUCSUDCNYKK-VIFPVBQESA-N 0 0 260.293 2.673 20 5 CFBDRN C[C@H]1OCC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000149988468 280068676 /nfs/dbraw/zinc/06/86/76/280068676.db2.gz SRQPDKRKHIQURO-BXKDBHETSA-N 0 0 273.292 2.732 20 5 CFBDRN C[C@]1(C(=O)N2CCc3c2cccc3[N+](=O)[O-])C[C@H]2C[C@H]2C1 ZINC000410496854 280145856 /nfs/dbraw/zinc/14/58/56/280145856.db2.gz BTSOTILAYOHWJK-CDMJZVDBSA-N 0 0 286.331 2.920 20 5 CFBDRN CC[C@@H](CSC)[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000339062390 280155771 /nfs/dbraw/zinc/15/57/71/280155771.db2.gz DRRKQBDLNKBWSV-NSHDSACASA-N 0 0 284.381 2.874 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000456273245 280190932 /nfs/dbraw/zinc/19/09/32/280190932.db2.gz HTZIYEMGDVNPCI-GJZGRUSLSA-N 0 0 286.331 2.855 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC2(CC2)C1 ZINC000450581919 280354873 /nfs/dbraw/zinc/35/48/73/280354873.db2.gz PTVMMTAVWLUSOL-UHFFFAOYSA-N 0 0 255.277 2.743 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H]3OCCC[C@@H]3C2)c(F)c1 ZINC000121782946 280359657 /nfs/dbraw/zinc/35/96/57/280359657.db2.gz OSGCXFHBQKNPHE-RNCFNFMXSA-N 0 0 298.289 2.878 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC(OC(F)F)C1 ZINC000352359543 280361390 /nfs/dbraw/zinc/36/13/90/280361390.db2.gz HRGWLTXXMSGJOS-UHFFFAOYSA-N 0 0 295.245 2.571 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO2)nc1-c1ccccc1 ZINC000302138020 280366036 /nfs/dbraw/zinc/36/60/36/280366036.db2.gz NMQYALZQHZXBSG-UHFFFAOYSA-N 0 0 271.276 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2[C@@H]2CCCO2)c(F)c1 ZINC000286124377 280366520 /nfs/dbraw/zinc/36/65/20/280366520.db2.gz ADXQYMAJCOSGBQ-KBPBESRZSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000364033284 280366902 /nfs/dbraw/zinc/36/69/02/280366902.db2.gz LFEZHBRZPAMZAG-LBPRGKRZSA-N 0 0 258.281 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C3CCCCC3)C2)nc1 ZINC000364636370 280366957 /nfs/dbraw/zinc/36/69/57/280366957.db2.gz WITKWESJJDBXBA-CQSZACIVSA-N 0 0 291.351 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@H]1CCCOCC1)CCN2 ZINC000278413869 280370915 /nfs/dbraw/zinc/37/09/15/280370915.db2.gz NZZSBOJMTRAOLG-JTQLQIEISA-N 0 0 277.324 2.544 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000287730250 280372635 /nfs/dbraw/zinc/37/26/35/280372635.db2.gz GFAIFBBOPLCGPF-SNVBAGLBSA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(C(F)(F)F)CC2)s1 ZINC000192469988 280378113 /nfs/dbraw/zinc/37/81/13/280378113.db2.gz AXNLHWQJMGMZIP-UHFFFAOYSA-N 0 0 281.259 2.830 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC2)c(C(F)(F)F)c1 ZINC000413022563 280378696 /nfs/dbraw/zinc/37/86/96/280378696.db2.gz FBHFCXUIHWEJTM-UHFFFAOYSA-N 0 0 261.203 2.609 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]2C2CC2)c(Br)c1 ZINC000450644267 280380909 /nfs/dbraw/zinc/38/09/09/280380909.db2.gz MQDBJXYXRASAMJ-JTQLQIEISA-N 0 0 298.140 2.741 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000053755695 280447176 /nfs/dbraw/zinc/44/71/76/280447176.db2.gz GJCAKBPOZCHTSD-CYBMUJFWSA-N 0 0 293.323 2.628 20 5 CFBDRN CC1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000057005986 280451722 /nfs/dbraw/zinc/45/17/22/280451722.db2.gz GXTTYOBAMLBTPP-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000057075558 280451892 /nfs/dbraw/zinc/45/18/92/280451892.db2.gz MHYPDPRYDFDMRB-JGVFFNPUSA-N 0 0 256.327 2.821 20 5 CFBDRN CCOC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000061326257 280460354 /nfs/dbraw/zinc/46/03/54/280460354.db2.gz FTCGXEUZFCQPOD-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccccn1 ZINC000067129975 280471632 /nfs/dbraw/zinc/47/16/32/280471632.db2.gz AXXQDZBWPBHZTM-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1ccc(N[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000070352734 280484406 /nfs/dbraw/zinc/48/44/06/280484406.db2.gz UEKXSWQSMLBGJL-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN CC[C@H]1CC[C@@H](C)N1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000271423069 288238914 /nfs/dbraw/zinc/23/89/14/288238914.db2.gz LVVHVKDYQQKBCC-PWSUYJOCSA-N 0 0 291.351 2.722 20 5 CFBDRN CCOC(=O)c1ccnc(NCCCC2CC2)c1[N+](=O)[O-] ZINC000077981445 280527555 /nfs/dbraw/zinc/52/75/55/280527555.db2.gz HFQDKEVNVWAPMT-UHFFFAOYSA-N 0 0 293.323 2.769 20 5 CFBDRN CC[C@@H](COC)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000079745424 280536280 /nfs/dbraw/zinc/53/62/80/280536280.db2.gz MJIGBCLDKFIFBI-JTQLQIEISA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000079777685 280536534 /nfs/dbraw/zinc/53/65/34/280536534.db2.gz UEMHXEZYADGQTI-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284498784 288244269 /nfs/dbraw/zinc/24/42/69/288244269.db2.gz UWLJIFFEEQCWHY-IUCAKERBSA-N 0 0 295.314 2.719 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084775914 280552070 /nfs/dbraw/zinc/55/20/70/280552070.db2.gz IRGUIWHAXNPVSG-QMMMGPOBSA-N 0 0 291.332 2.833 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1OCC1(O)CCCC1 ZINC000087464158 280558383 /nfs/dbraw/zinc/55/83/83/280558383.db2.gz QWDNCUJPKPGZMG-UHFFFAOYSA-N 0 0 265.309 2.896 20 5 CFBDRN COc1ccnc(NC(=O)c2cccc(C)c2[N+](=O)[O-])c1 ZINC000089982655 280566980 /nfs/dbraw/zinc/56/69/80/280566980.db2.gz AUFXAUUKVFGVQN-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COC(=O)c1ccccc1COc1ccc([N+](=O)[O-])cc1 ZINC000108861461 280590995 /nfs/dbraw/zinc/59/09/95/280590995.db2.gz YGSOQAFEHVBSRQ-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CN(CC1CCC1)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000110467727 280592120 /nfs/dbraw/zinc/59/21/20/280592120.db2.gz OQYZJUIBEYTBFN-MDZDMXLPSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)ncn1 ZINC000122363876 280627053 /nfs/dbraw/zinc/62/70/53/280627053.db2.gz ADSTZSQWSMBZPU-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1cc(N[C@@H]2Cc3cccc(O)c3C2)ncc1[N+](=O)[O-] ZINC000428674641 280631003 /nfs/dbraw/zinc/63/10/03/280631003.db2.gz XRXJUMMNJGYGIC-LLVKDONJSA-N 0 0 285.303 2.583 20 5 CFBDRN CSCCCCNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000124231982 280637689 /nfs/dbraw/zinc/63/76/89/280637689.db2.gz IMRUIECVCHBZNW-UHFFFAOYSA-N 0 0 296.392 2.705 20 5 CFBDRN Cc1ccc(OCCCn2nccc2[N+](=O)[O-])cc1C ZINC000126423641 280653174 /nfs/dbraw/zinc/65/31/74/280653174.db2.gz FMYLDUZHRQRGRE-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN COCC(C)(C)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000127807258 280669100 /nfs/dbraw/zinc/66/91/00/280669100.db2.gz WEJRIFUUYDNXLE-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN CCCCc1noc(CNc2cccc([N+](=O)[O-])c2)n1 ZINC000128483897 280678404 /nfs/dbraw/zinc/67/84/04/280678404.db2.gz XGTLELLJIVEZIX-UHFFFAOYSA-N 0 0 276.296 2.933 20 5 CFBDRN Cc1ccn(CCO[C@@H]2CCCC[C@H]2C)c(=O)c1[N+](=O)[O-] ZINC000129941545 280696987 /nfs/dbraw/zinc/69/69/87/280696987.db2.gz QFVBBDFNCMWCDJ-DGCLKSJQSA-N 0 0 294.351 2.660 20 5 CFBDRN COC(=O)c1ccc(COc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000131592219 280720664 /nfs/dbraw/zinc/72/06/64/280720664.db2.gz TVYYSCBEHCDWHR-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccncc1F ZINC000132100156 280726797 /nfs/dbraw/zinc/72/67/97/280726797.db2.gz NSZZSPBETMKRIM-ZZXKWVIFSA-N 0 0 287.250 2.781 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])ccn1 ZINC000135508249 280773779 /nfs/dbraw/zinc/77/37/79/280773779.db2.gz WZAXGDRKICAKTF-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCc1cnccc1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000136595766 280784952 /nfs/dbraw/zinc/78/49/52/280784952.db2.gz XXXWJBODMOGDNO-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H]3CCC[C@@H]3C2)cc1[N+](=O)[O-] ZINC000148385101 280793448 /nfs/dbraw/zinc/79/34/48/280793448.db2.gz LYPAQWOHUSYAPX-VXGBXAGGSA-N 0 0 289.335 2.509 20 5 CFBDRN COc1ccc(Cn2cc([N+](=O)[O-])cn2)cc1Cl ZINC000179030317 280815447 /nfs/dbraw/zinc/81/54/47/280815447.db2.gz VSUNZUOCLIWLSO-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN Cc1cc(NC(=O)/C=C/c2cccnc2)ccc1[N+](=O)[O-] ZINC000182414714 280819806 /nfs/dbraw/zinc/81/98/06/280819806.db2.gz NEEVONQUHXSFBU-QPJJXVBHSA-N 0 0 283.287 2.950 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)NC1CCCC1 ZINC000184119076 280824153 /nfs/dbraw/zinc/82/41/53/280824153.db2.gz XWZFRWTYRUIDAO-UHFFFAOYSA-N 0 0 297.742 2.990 20 5 CFBDRN Cn1cccc1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186342705 280845133 /nfs/dbraw/zinc/84/51/33/280845133.db2.gz KAJVJCDADDHLOD-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN O=c1ccn(Cc2ccc(F)c(Cl)c2)cc1[N+](=O)[O-] ZINC000189047864 280869094 /nfs/dbraw/zinc/86/90/94/280869094.db2.gz MMFAFYOICCTOLJ-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN Cc1cc(NC[C@@H](C)N2CCCCC2=O)ccc1[N+](=O)[O-] ZINC000190290563 280886479 /nfs/dbraw/zinc/88/64/79/280886479.db2.gz UQEOFRSSDKAVOV-GFCCVEGCSA-N 0 0 291.351 2.716 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc3c(c2)OCCO3)s1 ZINC000190299374 280886778 /nfs/dbraw/zinc/88/67/78/280886778.db2.gz SODFMDSASXNXTF-UHFFFAOYSA-N 0 0 279.277 2.566 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H](O)C2CCCCC2)c1 ZINC000191360665 280898843 /nfs/dbraw/zinc/89/88/43/280898843.db2.gz UEDPUIPFOWPWFM-CQSZACIVSA-N 0 0 265.309 2.915 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000276657201 288275927 /nfs/dbraw/zinc/27/59/27/288275927.db2.gz RLUWVFJGKSFSJT-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN CSCCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000194054244 280916815 /nfs/dbraw/zinc/91/68/15/280916815.db2.gz BPXFUXZBPMOWJW-SECBINFHSA-N 0 0 268.338 2.525 20 5 CFBDRN CCc1cnc(CN[C@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000224009375 280933790 /nfs/dbraw/zinc/93/37/90/280933790.db2.gz CROMUAFNOMFTMD-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN CNc1ccc(C(=O)NCC2(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000228742197 280936692 /nfs/dbraw/zinc/93/66/92/280936692.db2.gz LKRORRHBWKUONF-UHFFFAOYSA-N 0 0 289.335 2.557 20 5 CFBDRN COc1cccc(N[C@@H]2C[C@H](OC)C2(C)C)c1[N+](=O)[O-] ZINC000228855648 280937134 /nfs/dbraw/zinc/93/71/34/280937134.db2.gz PEWKCWLRQYATTF-NEPJUHHUSA-N 0 0 280.324 2.829 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000245509092 280951939 /nfs/dbraw/zinc/95/19/39/280951939.db2.gz TWRQANZZVFKABS-PEGIJTEDSA-N 0 0 293.323 2.672 20 5 CFBDRN Cc1ccc([C@@H]2CCCN2c2ncc([N+](=O)[O-])c(C)n2)o1 ZINC000297121307 280956578 /nfs/dbraw/zinc/95/65/78/280956578.db2.gz MLKZIPPHGXVSHV-NSHDSACASA-N 0 0 288.307 2.936 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC12CCCC2 ZINC000430250983 281016960 /nfs/dbraw/zinc/01/69/60/281016960.db2.gz WOQNOQNXEIIUED-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@H](F)C1 ZINC000335418424 281017047 /nfs/dbraw/zinc/01/70/47/281017047.db2.gz NKNSICXGOTUGRX-WDEREUQCSA-N 0 0 280.299 2.914 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000176095206 281067267 /nfs/dbraw/zinc/06/72/67/281067267.db2.gz IBMKVCIDUHPHSY-GFCCVEGCSA-N 0 0 262.309 2.903 20 5 CFBDRN CC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000356211445 281076381 /nfs/dbraw/zinc/07/63/81/281076381.db2.gz IIONZBAZJUQJPY-VIFPVBQESA-N 0 0 267.304 2.943 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@H]3CC[C@H](O)CC3)ccnc21 ZINC000253113310 281078757 /nfs/dbraw/zinc/07/87/57/281078757.db2.gz DWWQYTJKRACYLO-XYPYZODXSA-N 0 0 287.319 2.858 20 5 CFBDRN CC1(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000254951237 281082119 /nfs/dbraw/zinc/08/21/19/281082119.db2.gz NAEZXOBYYUTFOH-YVMONPNESA-N 0 0 260.293 2.667 20 5 CFBDRN CSC(C)(C)CNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255215931 281091480 /nfs/dbraw/zinc/09/14/80/281091480.db2.gz SUTKPJPZJDIKQN-FPLPWBNLSA-N 0 0 294.376 2.866 20 5 CFBDRN CSC(C)(C)CNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255380991 281096174 /nfs/dbraw/zinc/09/61/74/281096174.db2.gz NMPYZSFTKKBTAZ-TWGQIWQCSA-N 0 0 294.376 2.866 20 5 CFBDRN CC[C@H]1CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 ZINC000255435276 281098046 /nfs/dbraw/zinc/09/80/46/281098046.db2.gz DJHKIJPWMFCSGC-JECSTDCCSA-N 0 0 274.320 2.867 20 5 CFBDRN CC[C@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])CCCO1 ZINC000281799707 288299373 /nfs/dbraw/zinc/29/93/73/288299373.db2.gz IHGIRVLNLYEQNE-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255657932 281104136 /nfs/dbraw/zinc/10/41/36/281104136.db2.gz JLEMAFKDOXKWGJ-YVMONPNESA-N 0 0 286.291 2.587 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H]1CC=CCC1 ZINC000255636118 281104408 /nfs/dbraw/zinc/10/44/08/281104408.db2.gz WHCRYNPGFIUHBM-BIRHUZIMSA-N 0 0 272.304 2.833 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC[C@@H]1CCCS1 ZINC000255658998 281104427 /nfs/dbraw/zinc/10/44/27/281104427.db2.gz ITXNXSKTLOIMRE-FWWRYZNZSA-N 0 0 292.360 2.620 20 5 CFBDRN CC[C@H]1CCN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)C1 ZINC000255772342 281107442 /nfs/dbraw/zinc/10/74/42/281107442.db2.gz NDDRBDWCMJUQNB-DGMVEKRQSA-N 0 0 274.320 2.867 20 5 CFBDRN CSCCCCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255890490 281109096 /nfs/dbraw/zinc/10/90/96/281109096.db2.gz VZAORYVKXRKMBP-TWGQIWQCSA-N 0 0 294.376 2.867 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCC[C@H]2C1 ZINC000255899945 281109717 /nfs/dbraw/zinc/10/97/17/281109717.db2.gz DGFXBLPVMNLHQF-GZINKLOPSA-N 0 0 286.331 2.867 20 5 CFBDRN COC(C)(C)C[C@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000266242463 281114405 /nfs/dbraw/zinc/11/44/05/281114405.db2.gz LPJIVZUSYWBUCG-QMMMGPOBSA-N 0 0 294.311 2.747 20 5 CFBDRN CCC1(NC(=O)Nc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000296231815 281142294 /nfs/dbraw/zinc/14/22/94/281142294.db2.gz PJKQFQVBPXCLFI-UHFFFAOYSA-N 0 0 263.297 2.967 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)C[C@@H](C)O1 ZINC000264712927 281177429 /nfs/dbraw/zinc/17/74/29/281177429.db2.gz GQIZNVYCRRXAHG-KOLCDFICSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@H]1CN(c2cccc(C)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000302490989 281177870 /nfs/dbraw/zinc/17/78/70/281177870.db2.gz JZNJRUMZEYWYMT-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN CC[C@H]1CN(c2nc(C)ccc2[N+](=O)[O-])CCS1 ZINC000128103704 281180264 /nfs/dbraw/zinc/18/02/64/281180264.db2.gz HGFOAPFSZNZRFF-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN CC[C@@H](O)CCCNc1ncc([N+](=O)[O-])cc1Cl ZINC000361081334 281185276 /nfs/dbraw/zinc/18/52/76/281185276.db2.gz QTIHMTZUGQQTQH-SECBINFHSA-N 0 0 273.720 2.606 20 5 CFBDRN CC[C@H](O)CCCNc1ncc([N+](=O)[O-])cc1Cl ZINC000361081336 281185312 /nfs/dbraw/zinc/18/53/12/281185312.db2.gz QTIHMTZUGQQTQH-VIFPVBQESA-N 0 0 273.720 2.606 20 5 CFBDRN Cc1ccnc(N[C@@H]2CN(C)Cc3ccccc32)c1[N+](=O)[O-] ZINC000374342208 281190812 /nfs/dbraw/zinc/19/08/12/281190812.db2.gz SPAOXOAGIRDTFW-CQSZACIVSA-N 0 0 298.346 2.897 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCCC1(O)CCC1 ZINC000396779306 281197318 /nfs/dbraw/zinc/19/73/18/281197318.db2.gz FRMMUPGMRRQWTF-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN Cc1nn(C)c(NC[C@@H](C)CC(F)(F)F)c1[N+](=O)[O-] ZINC000396923955 281199153 /nfs/dbraw/zinc/19/91/53/281199153.db2.gz IJNMAYQFTQXVGY-LURJTMIESA-N 0 0 280.250 2.637 20 5 CFBDRN Cc1cc(N[C@H]2CS[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000397985401 281203139 /nfs/dbraw/zinc/20/31/39/281203139.db2.gz UIJKYZXRFNKDKO-DTWKUNHWSA-N 0 0 253.327 2.604 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1CS[C@H](C)C1 ZINC000397975482 281203631 /nfs/dbraw/zinc/20/36/31/281203631.db2.gz ONTGSUZIORDKHC-RKDXNWHRSA-N 0 0 253.327 2.604 20 5 CFBDRN CNc1ccc(C(=O)NC(C)(C)C2CCC2)cc1[N+](=O)[O-] ZINC000398170374 281204812 /nfs/dbraw/zinc/20/48/12/281204812.db2.gz OATYGENMYNVGHG-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@@H]1C[C@H](CO)CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000398733203 281208063 /nfs/dbraw/zinc/20/80/63/281208063.db2.gz JRFSGHNFUFQXES-MNOVXSKESA-N 0 0 298.770 2.699 20 5 CFBDRN O=C(N[C@H]1CC12CCCC2)c1cc(F)cc([N+](=O)[O-])c1 ZINC000430239008 281232654 /nfs/dbraw/zinc/23/26/54/281232654.db2.gz MJCHLCJWSXDFMR-LBPRGKRZSA-N 0 0 278.283 2.796 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334384017 281234375 /nfs/dbraw/zinc/23/43/75/281234375.db2.gz LKMPQLUJWKVPQX-CABZTGNLSA-N 0 0 280.299 2.995 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN(CC1CC1)c1ccccc1 ZINC000437522955 281237238 /nfs/dbraw/zinc/23/72/38/281237238.db2.gz YQOPUFUZJLXDTQ-UHFFFAOYSA-N 0 0 286.335 2.745 20 5 CFBDRN C[C@@H]1C[C@H](COc2cccc([N+](=O)[O-])c2)CCO1 ZINC000447300832 281240479 /nfs/dbraw/zinc/24/04/79/281240479.db2.gz ZJDTVBXJYCPWJO-GHMZBOCLSA-N 0 0 251.282 2.789 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCC[C@@H](F)C2)cc([N+](=O)[O-])c1 ZINC000519610982 281308618 /nfs/dbraw/zinc/30/86/18/281308618.db2.gz UUJAIZOTQUYBEQ-VXGBXAGGSA-N 0 0 280.299 2.914 20 5 CFBDRN CC1(C)C[C@@H](Nc2ncccc2[N+](=O)[O-])C(C)(C)O1 ZINC000272163332 281319659 /nfs/dbraw/zinc/31/96/59/281319659.db2.gz FOJBLUFTDZXLSJ-SNVBAGLBSA-N 0 0 265.313 2.748 20 5 CFBDRN CC(C)CCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531633802 281436750 /nfs/dbraw/zinc/43/67/50/281436750.db2.gz UIJSCRGZSNPUHB-LLVKDONJSA-N 0 0 279.340 2.934 20 5 CFBDRN O=C(Nc1ccc(Cl)cc1O)c1ccc([N+](=O)[O-])cn1 ZINC000533160144 281463049 /nfs/dbraw/zinc/46/30/49/281463049.db2.gz PZGIQOFGOLZHPH-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN CCOc1cc(N2CC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000534301305 281482504 /nfs/dbraw/zinc/48/25/04/281482504.db2.gz PRTLRIHJXWGHFV-CYBMUJFWSA-N 0 0 268.288 2.932 20 5 CFBDRN Cc1cc(N(C)Cc2cccc(O)c2)ncc1[N+](=O)[O-] ZINC000535628712 281504243 /nfs/dbraw/zinc/50/42/43/281504243.db2.gz BNOREWKDUHTMMQ-UHFFFAOYSA-N 0 0 273.292 2.640 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCCc2ccccc2C1 ZINC000535741953 281506861 /nfs/dbraw/zinc/50/68/61/281506861.db2.gz QEMDXKGICQILQJ-UHFFFAOYSA-N 0 0 297.314 2.578 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC1(C)CCCC1 ZINC000288176213 281597822 /nfs/dbraw/zinc/59/78/22/281597822.db2.gz QRXZOCHWLBPREZ-UHFFFAOYSA-N 0 0 252.318 2.864 20 5 CFBDRN COc1ccc(CSC[C@H](C)OC)cc1[N+](=O)[O-] ZINC000542006524 281618813 /nfs/dbraw/zinc/61/88/13/281618813.db2.gz HRYUQXQLWCMQNL-VIFPVBQESA-N 0 0 271.338 2.872 20 5 CFBDRN O=C(Cc1ccc2c(c1)COC2)Nc1ccc([N+](=O)[O-])cc1 ZINC000543443433 281647493 /nfs/dbraw/zinc/64/74/93/281647493.db2.gz MWUDZSVHEJKMCP-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN Cc1cncc(C(=O)N2c3ccc([N+](=O)[O-])cc3C[C@@H]2C)c1 ZINC000175120564 281649953 /nfs/dbraw/zinc/64/99/53/281649953.db2.gz IROFNCFBRSSEFE-NSHDSACASA-N 0 0 297.314 2.890 20 5 CFBDRN CC1=C(c2ccco2)CN(c2c([N+](=O)[O-])ncn2C)CC1 ZINC000337822951 281667542 /nfs/dbraw/zinc/66/75/42/281667542.db2.gz ROPKXNHEHFNNNH-UHFFFAOYSA-N 0 0 288.307 2.605 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCc3ccc(F)cc32)nc1 ZINC000544843926 281674829 /nfs/dbraw/zinc/67/48/29/281674829.db2.gz AIWGYOGZNRZUBQ-GFCCVEGCSA-N 0 0 274.255 2.623 20 5 CFBDRN CSCc1cccc(Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000544847940 281674884 /nfs/dbraw/zinc/67/48/84/281674884.db2.gz SBYYEZWQCCGCGP-UHFFFAOYSA-N 0 0 276.321 2.991 20 5 CFBDRN COc1ccc(Cc2nc([C@@H]3C[C@H]3C)no2)cc1[N+](=O)[O-] ZINC000545065130 281677711 /nfs/dbraw/zinc/67/77/11/281677711.db2.gz GINMVHSONMRJCD-PSASIEDQSA-N 0 0 289.291 2.701 20 5 CFBDRN Cc1ccc(Cc2noc(-c3ccc([N+](=O)[O-])cn3)n2)cc1 ZINC000545592384 281690584 /nfs/dbraw/zinc/69/05/84/281690584.db2.gz QFVIUDTUGLIPFG-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN CCCc1nc(Cc2ccc([N+](=O)[O-])c(OC)c2)no1 ZINC000547178447 281727998 /nfs/dbraw/zinc/72/79/98/281727998.db2.gz UFBDUXHNUUSGAQ-UHFFFAOYSA-N 0 0 277.280 2.530 20 5 CFBDRN COc1cc(C(=O)NCC2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000548384588 281782019 /nfs/dbraw/zinc/78/20/19/281782019.db2.gz IERYWEYORJEVCW-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1c(CN(C)c2cccc(C)c2[N+](=O)[O-])cnn1C ZINC000549186355 281813272 /nfs/dbraw/zinc/81/32/72/281813272.db2.gz XYOCSQOYTANOOM-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN CC(C)C(C)(C)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000551622021 281839410 /nfs/dbraw/zinc/83/94/10/281839410.db2.gz LNLUZYLRMBCBOF-UHFFFAOYSA-N 0 0 293.367 2.948 20 5 CFBDRN O=C(NCCCF)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000551879817 281844092 /nfs/dbraw/zinc/84/40/92/281844092.db2.gz WVTAAGNBZJDGLG-UHFFFAOYSA-N 0 0 294.204 2.703 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Nc2c(F)cccc2[N+](=O)[O-])C1 ZINC000553556504 281878146 /nfs/dbraw/zinc/87/81/46/281878146.db2.gz KQBWITPJZGJKJR-ZJUUUORDSA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@H]1[C@@H](C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])C1(F)F ZINC000553713489 281880426 /nfs/dbraw/zinc/88/04/26/281880426.db2.gz AUBPTTDOJTUETC-KWQFWETISA-N 0 0 298.289 2.847 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCC(=O)NC(C)(C)CC ZINC000555126828 281909090 /nfs/dbraw/zinc/90/90/90/281909090.db2.gz HPZSHQAGMGRPKO-UHFFFAOYSA-N 0 0 293.367 2.874 20 5 CFBDRN COc1cc(Oc2nc(C)cc(C)n2)ccc1[N+](=O)[O-] ZINC000555395411 281914679 /nfs/dbraw/zinc/91/46/79/281914679.db2.gz LGZYHTPFPGCFSO-UHFFFAOYSA-N 0 0 275.264 2.803 20 5 CFBDRN CC(C)C(C)(C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000556433229 281935159 /nfs/dbraw/zinc/93/51/59/281935159.db2.gz JGHVCPJDDOWLKL-UHFFFAOYSA-N 0 0 280.324 2.524 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1Oc1cccnn1 ZINC000557588417 281966370 /nfs/dbraw/zinc/96/63/70/281966370.db2.gz OPMHMSZSADFFFJ-UHFFFAOYSA-N 0 0 296.080 2.940 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000557676743 281969652 /nfs/dbraw/zinc/96/96/52/281969652.db2.gz ZGEUIAKAFVVOGM-LBPRGKRZSA-N 0 0 282.315 2.985 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]nc1[N+](=O)[O-])[C@@H](C)C1CCCCC1 ZINC000558757868 281996126 /nfs/dbraw/zinc/99/61/26/281996126.db2.gz QIZIDSACYBMNRE-NXEZZACHSA-N 0 0 294.355 2.653 20 5 CFBDRN CCN(CC)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000559337273 282005437 /nfs/dbraw/zinc/00/54/37/282005437.db2.gz NZXPRIVKKHLJEC-SNVBAGLBSA-N 0 0 250.298 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CC3CCCC3)no2)nc1 ZINC000560036583 282014170 /nfs/dbraw/zinc/01/41/70/282014170.db2.gz WRDSJIIQRDDQIB-UHFFFAOYSA-N 0 0 274.280 2.773 20 5 CFBDRN CCC[C@@H](CC)Nc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000561175991 282048741 /nfs/dbraw/zinc/04/87/41/282048741.db2.gz POEPWQRRLCUTCD-SECBINFHSA-N 0 0 290.323 2.656 20 5 CFBDRN NC(=O)c1cccc(NCC(C2CC2)C2CC2)c1[N+](=O)[O-] ZINC000561205117 282049533 /nfs/dbraw/zinc/04/95/33/282049533.db2.gz SXQFDGWAMGWEBO-UHFFFAOYSA-N 0 0 289.335 2.542 20 5 CFBDRN Cc1cc(N2CCC(c3nnco3)CC2)ccc1[N+](=O)[O-] ZINC000561385021 282053550 /nfs/dbraw/zinc/05/35/50/282053550.db2.gz JQQQLTIPRAJJLK-UHFFFAOYSA-N 0 0 288.307 2.670 20 5 CFBDRN COc1cc(N[C@H]2CC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000561407714 282054384 /nfs/dbraw/zinc/05/43/84/282054384.db2.gz CRFBMCFSDFAASI-CBAPKCEASA-N 0 0 254.261 2.953 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cc3n(n2)CCCC3)c(Cl)c1 ZINC000561449611 282055739 /nfs/dbraw/zinc/05/57/39/282055739.db2.gz YXUDVOFYNWFJIX-UHFFFAOYSA-N 0 0 292.726 2.947 20 5 CFBDRN COCC(C)(C)N(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000561647040 282059012 /nfs/dbraw/zinc/05/90/12/282059012.db2.gz OWTGDQMDBCXXSL-NSHDSACASA-N 0 0 294.351 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2ccccn2)cn1 ZINC000561804170 282061754 /nfs/dbraw/zinc/06/17/54/282061754.db2.gz HNVVYEOBJRUTAB-ZDUSSCGKSA-N 0 0 270.292 2.726 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000154716394 289021648 /nfs/dbraw/zinc/02/16/48/289021648.db2.gz YVGAZDDFAFGUSI-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@@]12CCN(C(=O)c3ccc([N+](=O)[O-])cc3)C[C@@H]1C2(F)F ZINC000292952872 289225616 /nfs/dbraw/zinc/22/56/16/289225616.db2.gz VJWXUKYWFRUEIV-WCQYABFASA-N 0 0 296.273 2.712 20 5 CFBDRN C[C@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000178504997 289305081 /nfs/dbraw/zinc/30/50/81/289305081.db2.gz UXQHWFPWQCRUOR-VIFPVBQESA-N 0 0 260.293 2.530 20 5 CFBDRN CN(c1c(Cl)cccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000432137717 289783098 /nfs/dbraw/zinc/78/30/98/289783098.db2.gz FUUDLMGDPQQFQJ-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN CN(c1ccccc1[N+](=O)[O-])[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000301381576 289785846 /nfs/dbraw/zinc/78/58/46/289785846.db2.gz YWKKRIJEAKZIBU-LERXQTSPSA-N 0 0 276.336 2.845 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1CCc1ccccc1 ZINC000153596160 289871538 /nfs/dbraw/zinc/87/15/38/289871538.db2.gz YNCLPXAWHHADRC-UHFFFAOYSA-N 0 0 278.695 2.653 20 5 CFBDRN CN(CCc1ccncc1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000153641487 289873026 /nfs/dbraw/zinc/87/30/26/289873026.db2.gz FJNATMGDPBYENI-UHFFFAOYSA-N 0 0 292.726 2.717 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@H](O)Cc1ccccc1 ZINC000153893852 289879718 /nfs/dbraw/zinc/87/97/18/289879718.db2.gz GLDWMUDHDORUPN-CYBMUJFWSA-N 0 0 291.278 2.716 20 5 CFBDRN COc1ccc(O)c(NC(=O)c2ccc([N+](=O)[O-])s2)c1 ZINC000154034212 289883614 /nfs/dbraw/zinc/88/36/14/289883614.db2.gz RYVLHTGDZOUDCL-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN C[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)[C@H]1CCC[C@H](C)C1 ZINC000454354833 289919487 /nfs/dbraw/zinc/91/94/87/289919487.db2.gz DMIYHSYCZUWPKX-DCAQKATOSA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C=CCCC1 ZINC000158070727 289969518 /nfs/dbraw/zinc/96/95/18/289969518.db2.gz SQFKCKZNZNTCDC-LLVKDONJSA-N 0 0 260.293 2.742 20 5 CFBDRN CN(C(=O)Cc1cccs1)c1cccc([N+](=O)[O-])c1 ZINC000158173407 289970980 /nfs/dbraw/zinc/97/09/80/289970980.db2.gz PDJAFDDDAFQNSL-UHFFFAOYSA-N 0 0 276.317 2.862 20 5 CFBDRN CCCC[C@H](NCc1cccc([N+](=O)[O-])c1C)C(=O)OC ZINC000233024776 289995505 /nfs/dbraw/zinc/99/55/05/289995505.db2.gz UQBMWXVWCNNKQN-ZDUSSCGKSA-N 0 0 294.351 2.725 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])C12CCC2 ZINC000308354327 290012304 /nfs/dbraw/zinc/01/23/04/290012304.db2.gz XTHCTWRCGLXYTQ-CHWSQXEVSA-N 0 0 262.309 2.964 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC(C1CC1)C1CC1 ZINC000313508834 290017993 /nfs/dbraw/zinc/01/79/93/290017993.db2.gz LRTSHQJYXZURQV-UHFFFAOYSA-N 0 0 278.356 2.968 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CCO[C@@H](C4CC4)C3)ccnc21 ZINC000432174355 290031199 /nfs/dbraw/zinc/03/11/99/290031199.db2.gz GESWYTPSEHZEDI-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN Cc1c(NC(=O)N2CCCCCO2)cccc1[N+](=O)[O-] ZINC000562441872 290043914 /nfs/dbraw/zinc/04/39/14/290043914.db2.gz PKQGZQHTVAQMQF-UHFFFAOYSA-N 0 0 279.296 2.853 20 5 CFBDRN O=C(NC1(C2CC2)CC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000562728078 290071755 /nfs/dbraw/zinc/07/17/55/290071755.db2.gz XFVQJBIROIQKPI-UONOGXRCSA-N 0 0 286.331 2.757 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000562732823 290071803 /nfs/dbraw/zinc/07/18/03/290071803.db2.gz OZCLVXASAXNVIX-NWDGAFQWSA-N 0 0 293.367 2.878 20 5 CFBDRN C[C@@H]1CC=C(C(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000562884402 290080683 /nfs/dbraw/zinc/08/06/83/290080683.db2.gz OSZHARJZJSPTGI-LLVKDONJSA-N 0 0 274.320 2.957 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](c2cccnc2)C1 ZINC000563048602 290097760 /nfs/dbraw/zinc/09/77/60/290097760.db2.gz LFKYYTUAJLRMIP-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN COc1cc(C(=O)NC(C)(C)C(C)C)c([N+](=O)[O-])cc1F ZINC000563145613 290108451 /nfs/dbraw/zinc/10/84/51/290108451.db2.gz FZZJGYXRLHYPKH-UHFFFAOYSA-N 0 0 298.314 2.907 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000563245083 290123255 /nfs/dbraw/zinc/12/32/55/290123255.db2.gz JSNSUENBIXNBEE-WDEREUQCSA-N 0 0 267.329 2.507 20 5 CFBDRN CCO[C@@H](CC)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000563333332 290133576 /nfs/dbraw/zinc/13/35/76/290133576.db2.gz ZVFXATURWXPECV-ZDUSSCGKSA-N 0 0 294.351 2.761 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCC[C@H]1F ZINC000563795406 290174948 /nfs/dbraw/zinc/17/49/48/290174948.db2.gz YBOUPVIDSREZFR-CHWSQXEVSA-N 0 0 295.314 2.675 20 5 CFBDRN Cc1ccc(CCNC(=O)c2c(C)cccc2[N+](=O)[O-])o1 ZINC000563949567 290186066 /nfs/dbraw/zinc/18/60/66/290186066.db2.gz QIZCVGFULMVIIL-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1Cc1ccsc1)CCCC2 ZINC000563976157 290187673 /nfs/dbraw/zinc/18/76/73/290187673.db2.gz RPXHGAOSRSFBSL-UHFFFAOYSA-N 0 0 290.344 2.745 20 5 CFBDRN CC[C@H](C)CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000564778413 290231941 /nfs/dbraw/zinc/23/19/41/290231941.db2.gz KLSMACIGHLCHAD-NSHDSACASA-N 0 0 276.336 2.916 20 5 CFBDRN CNC(=O)CCS[C@@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000564800250 290233635 /nfs/dbraw/zinc/23/36/35/290233635.db2.gz DFQAYRWTXARPJL-JTQLQIEISA-N 0 0 282.365 2.834 20 5 CFBDRN CC(C)C(CNC(=O)c1ccc([N+](=O)[O-])cn1)C(C)C ZINC000564871128 290243341 /nfs/dbraw/zinc/24/33/41/290243341.db2.gz IAQQPQBBXTWLJC-UHFFFAOYSA-N 0 0 279.340 2.648 20 5 CFBDRN C[C@]1(F)CCN(c2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000564892401 290245328 /nfs/dbraw/zinc/24/53/28/290245328.db2.gz XMGRNLQXSZYJSB-AWEZNQCLSA-N 0 0 279.315 2.807 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000565074974 290265232 /nfs/dbraw/zinc/26/52/32/290265232.db2.gz UDYSSTPAASCTBJ-KOLCDFICSA-N 0 0 267.329 2.507 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@@H](F)C1 ZINC000565227967 290285680 /nfs/dbraw/zinc/28/56/80/290285680.db2.gz CKZSRLSKOBMVOY-SECBINFHSA-N 0 0 254.261 2.542 20 5 CFBDRN Cc1ccncc1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000358458676 292801151 /nfs/dbraw/zinc/80/11/51/292801151.db2.gz AMCPMRBETWSASM-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000565713556 290319607 /nfs/dbraw/zinc/31/96/07/290319607.db2.gz HTQAAAPTYYESNV-NXEZZACHSA-N 0 0 253.277 2.991 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000336136431 290360263 /nfs/dbraw/zinc/36/02/63/290360263.db2.gz ZEPPUXCRDNBINU-VIFPVBQESA-N 0 0 264.281 2.593 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](SC)C1 ZINC000566482303 290393251 /nfs/dbraw/zinc/39/32/51/290393251.db2.gz MGHTXWSDHRFRBH-SECBINFHSA-N 0 0 268.338 2.545 20 5 CFBDRN Cc1nc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])[nH]c1C ZINC000566496142 290394850 /nfs/dbraw/zinc/39/48/50/290394850.db2.gz BUJKYLDSDDGTKO-NSHDSACASA-N 0 0 274.324 2.786 20 5 CFBDRN CC(=O)[C@H](C)SCc1ccc(F)cc1[N+](=O)[O-] ZINC000566497891 290395321 /nfs/dbraw/zinc/39/53/21/290395321.db2.gz NWDRFUIZYDXNJH-QMMMGPOBSA-N 0 0 257.286 2.945 20 5 CFBDRN C[C@]1(NC(=O)NCc2cccc([N+](=O)[O-])c2)CC=CCC1 ZINC000566547077 290398762 /nfs/dbraw/zinc/39/87/62/290398762.db2.gz NZLYFJIUCIIGMK-HNNXBMFYSA-N 0 0 289.335 2.893 20 5 CFBDRN C[C@H]1CCCC[C@H]1CCNc1c([N+](=O)[O-])ncn1C ZINC000358195080 290411081 /nfs/dbraw/zinc/41/10/81/290411081.db2.gz POZXTQDVRDJLNU-QWRGUYRKSA-N 0 0 266.345 2.957 20 5 CFBDRN Cc1c(OC[C@@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000566831190 290420385 /nfs/dbraw/zinc/42/03/85/290420385.db2.gz UDBXFQDZFBYWQD-LLVKDONJSA-N 0 0 251.282 2.709 20 5 CFBDRN CCC[C@@H](CNc1c(OC)cccc1[N+](=O)[O-])OC ZINC000567290853 290452854 /nfs/dbraw/zinc/45/28/54/290452854.db2.gz NYIFYVXOYLWUIZ-JTQLQIEISA-N 0 0 268.313 2.830 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(C[C@H]2CCCOC2)c1 ZINC000567406498 290461673 /nfs/dbraw/zinc/46/16/73/290461673.db2.gz KGVQLMSKTBKVFE-GFCCVEGCSA-N 0 0 287.319 2.885 20 5 CFBDRN COCCCCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000567750147 290490836 /nfs/dbraw/zinc/49/08/36/290490836.db2.gz IXJFOYIDEMSRJT-UHFFFAOYSA-N 0 0 279.340 2.707 20 5 CFBDRN COCC1(CC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000567920779 290505444 /nfs/dbraw/zinc/50/54/44/290505444.db2.gz YWYLZRWWRWXSKG-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CC1(OC)CCC1 ZINC000567965539 290509978 /nfs/dbraw/zinc/50/99/78/290509978.db2.gz ODLFUDPHFRKFMI-UHFFFAOYSA-N 0 0 293.323 2.534 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1c(C)noc1C ZINC000568812206 290571430 /nfs/dbraw/zinc/57/14/30/290571430.db2.gz FFACBGUOAWEBQL-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN COC[C@@H]1CCCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000364132662 292868055 /nfs/dbraw/zinc/86/80/55/292868055.db2.gz BMNHZTHWPJMOAB-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN COC[C@@H]1CCCCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000450944422 292877931 /nfs/dbraw/zinc/87/79/31/292877931.db2.gz XVMPKZKJBRKWHH-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cnc(N2C[C@H](C3CC3)[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000450800641 292918321 /nfs/dbraw/zinc/91/83/21/292918321.db2.gz VIINCXGZRKKUSP-OCCSQVGLSA-N 0 0 273.336 2.923 20 5 CFBDRN COC[C@@H]1CN(c2cccc(C)c2[N+](=O)[O-])CC(C)(C)O1 ZINC000285252087 292925882 /nfs/dbraw/zinc/92/58/82/292925882.db2.gz ZDGVRRPAMSSRIB-LBPRGKRZSA-N 0 0 294.351 2.533 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@@H]2[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000291067634 293292444 /nfs/dbraw/zinc/29/24/44/293292444.db2.gz GRGPVMJZHCTTNF-JSGCOSHPSA-N 0 0 291.351 2.692 20 5 CFBDRN Cc1nc(N[C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)ccc1[N+](=O)[O-] ZINC000370531930 293342016 /nfs/dbraw/zinc/34/20/16/293342016.db2.gz VMVSWUQFGIIHDF-GDLCADMTSA-N 0 0 291.351 2.914 20 5 CFBDRN CON(CC1CCOCC1)[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000282462661 293363356 /nfs/dbraw/zinc/36/33/56/293363356.db2.gz KJHDGKFSLBYCJQ-GFCCVEGCSA-N 0 0 294.351 2.946 20 5 CFBDRN Cn1c(C(=O)N2CCCCc3ccccc32)ccc1[N+](=O)[O-] ZINC000334223635 294148384 /nfs/dbraw/zinc/14/83/84/294148384.db2.gz XKYVIZIGVOONTP-UHFFFAOYSA-N 0 0 299.330 2.916 20 5 CFBDRN C[C@@H]1CN(c2ccncc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000488770614 297083242 /nfs/dbraw/zinc/08/32/42/297083242.db2.gz UCNNKLJBBUFDBH-LLVKDONJSA-N 0 0 285.303 2.777 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1Cc2cccc(Cl)c2C1 ZINC000288492940 294461205 /nfs/dbraw/zinc/46/12/05/294461205.db2.gz LYJZJPTXBWOZEK-UHFFFAOYSA-N 0 0 278.699 2.502 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1COc2cccc(Cl)c21 ZINC000341039688 294461793 /nfs/dbraw/zinc/46/17/93/294461793.db2.gz FIRBQEQFARGPLM-MRVPVSSYSA-N 0 0 294.698 2.527 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000280089562 294827515 /nfs/dbraw/zinc/82/75/15/294827515.db2.gz SZSSDZGYVNDXFB-OAHLLOKOSA-N 0 0 292.335 2.626 20 5 CFBDRN COc1cccc(C(=O)N2CC3(C2)CCCC3)c1[N+](=O)[O-] ZINC000444357655 295283433 /nfs/dbraw/zinc/28/34/33/295283433.db2.gz IRIVTONAGRQVEH-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN COc1cccc(C(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000416102462 295287395 /nfs/dbraw/zinc/28/73/95/295287395.db2.gz BUYVXDIIZRAQST-DCAQKATOSA-N 0 0 292.335 2.720 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000333971437 295288808 /nfs/dbraw/zinc/28/88/08/295288808.db2.gz XIESJJLMFGBNEW-ONGXEEELSA-N 0 0 278.308 2.522 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CCC[C@H]3OCC[C@H]32)ccc1[N+](=O)[O-] ZINC000274704337 295346424 /nfs/dbraw/zinc/34/64/24/295346424.db2.gz VUHUYVCCPLVUCP-YGOYTEALSA-N 0 0 295.314 2.686 20 5 CFBDRN Nc1ccc(C(=O)N2CCC[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000087784007 295350574 /nfs/dbraw/zinc/35/05/74/295350574.db2.gz PLFWWASCFSJCMO-MFKMUULPSA-N 0 0 289.335 2.582 20 5 CFBDRN Nc1ccc(N2CCO[C@H](c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000283459518 295353881 /nfs/dbraw/zinc/35/38/81/295353881.db2.gz FGZSODSZZKJKKU-INIZCTEOSA-N 0 0 299.330 2.755 20 5 CFBDRN C[C@@H]1CO[C@@H](c2ccccc2)CN1c1ncccc1[N+](=O)[O-] ZINC000267327883 297163517 /nfs/dbraw/zinc/16/35/17/297163517.db2.gz ZYPUNUCDCFRKHH-IUODEOHRSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1cc(N(C)[C@@H](CO)CC(C)C)c(F)cc1[N+](=O)[O-] ZINC000360052293 301330315 /nfs/dbraw/zinc/33/03/15/301330315.db2.gz PKTPJFHRLFBCLI-LLVKDONJSA-N 0 0 284.331 2.886 20 5 CFBDRN Cc1ccc(N2CCO[C@@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000268841371 301628914 /nfs/dbraw/zinc/62/89/14/301628914.db2.gz VRUMSLBUCVHRIY-QWRGUYRKSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC3(CCC3)C2)c1 ZINC000177445593 301848239 /nfs/dbraw/zinc/84/82/39/301848239.db2.gz STXGUWMNDDOJKF-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]2C[C@H](C)O)c1 ZINC000269891092 301853134 /nfs/dbraw/zinc/85/31/34/301853134.db2.gz QZNZFMHQBOIEFH-RYUDHWBXSA-N 0 0 264.325 2.643 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](O)CC(C)(C)C2)c1 ZINC000295660136 301854575 /nfs/dbraw/zinc/85/45/75/301854575.db2.gz AQYBNKOTZFIPFP-GFCCVEGCSA-N 0 0 278.352 2.891 20 5 CFBDRN Cc1cccc(C(=O)N2CC[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000334403459 301951615 /nfs/dbraw/zinc/95/16/15/301951615.db2.gz DWXYFBYKOITSSG-GFCCVEGCSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1nccs1 ZINC000185907757 302039734 /nfs/dbraw/zinc/03/97/34/302039734.db2.gz MKBQBDDNIBCQDJ-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@@H](C2CC2)C1 ZINC000368790573 302040227 /nfs/dbraw/zinc/04/02/27/302040227.db2.gz HVUOBENPMZGBKR-CYBMUJFWSA-N 0 0 262.309 2.518 20 5 CFBDRN Cc1cnc(N2CCCC[C@@H]2c2cc[nH]n2)c([N+](=O)[O-])c1 ZINC000295750360 302348817 /nfs/dbraw/zinc/34/88/17/302348817.db2.gz ZZDPRJBMSJZSPN-GFCCVEGCSA-N 0 0 287.323 2.753 20 5 CFBDRN Cc1cnc(N2CCC[C@H]([C@H]3CCOC3)C2)c([N+](=O)[O-])c1 ZINC000439083243 302350076 /nfs/dbraw/zinc/35/00/76/302350076.db2.gz GQZYHAOWNNINGS-STQMWFEESA-N 0 0 291.351 2.551 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H]2CCCCC[C@@H]21 ZINC000364980790 303500470 /nfs/dbraw/zinc/50/04/70/303500470.db2.gz MBBCNSIMJWTRKO-JQWIXIFHSA-N 0 0 289.335 2.582 20 5 CFBDRN O=C(NC12CCC(CC1)C2)c1ccccc1[N+](=O)[O-] ZINC000369910497 303724936 /nfs/dbraw/zinc/72/49/36/303724936.db2.gz ULYHVWGDQFXYMY-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H]1[C@H]1CCCO1 ZINC000286309652 304797437 /nfs/dbraw/zinc/79/74/37/304797437.db2.gz FCDPGMPTWLOSDD-DGCLKSJQSA-N 0 0 280.299 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H]3CCC[C@H]32)c2ccncc21 ZINC000267218629 304803409 /nfs/dbraw/zinc/80/34/09/304803409.db2.gz CNWDXXMUJRWQSF-HZPDHXFCSA-N 0 0 299.330 2.901 20 5 CFBDRN C[C@@H]1CCCN1C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000173153837 533705073 /nfs/dbraw/zinc/70/50/73/533705073.db2.gz VMTVCAWWSTWTCL-WSKFYRRCSA-N 0 0 260.293 2.619 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1c(C)noc1C ZINC000160116526 322333052 /nfs/dbraw/zinc/33/30/52/322333052.db2.gz YQLVEHTXNOXSOG-VIFPVBQESA-N 0 0 293.279 2.674 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000160275575 322335691 /nfs/dbraw/zinc/33/56/91/322335691.db2.gz KAHIVLGZYHAODS-GXTWGEPZSA-N 0 0 262.309 2.994 20 5 CFBDRN CN(Cc1cccn1C)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000160429748 322338191 /nfs/dbraw/zinc/33/81/91/322338191.db2.gz PDVCNXBVQLHFQW-CMDGGOBGSA-N 0 0 299.330 2.605 20 5 CFBDRN Cc1cc(Cl)ccc1NC(=O)c1c([N+](=O)[O-])cnn1C ZINC000160565820 322339429 /nfs/dbraw/zinc/33/94/29/322339429.db2.gz WRIOGLZUYFJRBG-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C/C=C/C=C/C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161338585 322350175 /nfs/dbraw/zinc/35/01/75/322350175.db2.gz ZRRPLSRYIRCHNN-CRBCFSCISA-N 0 0 272.304 2.612 20 5 CFBDRN CCCSCC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161491505 322352616 /nfs/dbraw/zinc/35/26/16/322352616.db2.gz DOMCSRUVAGYCLA-UHFFFAOYSA-N 0 0 294.376 2.623 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161502420 322352876 /nfs/dbraw/zinc/35/28/76/322352876.db2.gz POLLEKHOBKYZBI-CZUORRHYSA-N 0 0 288.347 2.916 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000161902817 322358302 /nfs/dbraw/zinc/35/83/02/322358302.db2.gz FBYLCNIQRWWKGQ-RYUDHWBXSA-N 0 0 292.335 2.763 20 5 CFBDRN CCOCCCC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161998581 322359257 /nfs/dbraw/zinc/35/92/57/322359257.db2.gz MWEUSBNKEVNMGQ-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN CN(C(=O)/C=C/c1cccc([N+](=O)[O-])c1)[C@@H]1CCSC1 ZINC000162791480 322369294 /nfs/dbraw/zinc/36/92/94/322369294.db2.gz RUMRKKCBWMCJDS-URWSZGRFSA-N 0 0 292.360 2.572 20 5 CFBDRN Cc1c(NC(=O)c2cncc(F)c2)cccc1[N+](=O)[O-] ZINC000164219253 322380768 /nfs/dbraw/zinc/38/07/68/322380768.db2.gz OFBHXQDRGXKKFT-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000172444598 322425024 /nfs/dbraw/zinc/42/50/24/322425024.db2.gz XPKMYELMXDSAIL-SNVBAGLBSA-N 0 0 250.298 2.617 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2CO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000569806663 322497744 /nfs/dbraw/zinc/49/77/44/322497744.db2.gz QBPPIYLTIQVTOE-MWLCHTKSSA-N 0 0 278.308 2.777 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(/C=C\C2CCCC2)n1 ZINC000570569357 322539051 /nfs/dbraw/zinc/53/90/51/322539051.db2.gz PANHXRDHGNFLHO-SREVYHEPSA-N 0 0 289.295 2.582 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCC2(CC2)C1 ZINC000570597462 322540776 /nfs/dbraw/zinc/54/07/76/322540776.db2.gz VUVDHTBHBVUPLG-UHFFFAOYSA-N 0 0 264.329 2.500 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)C1(C)C ZINC000571124677 322570419 /nfs/dbraw/zinc/57/04/19/322570419.db2.gz AZQDMVPGPDHZDJ-QWHCGFSZSA-N 0 0 291.351 2.788 20 5 CFBDRN CC1(C)CCC[C@](O)(CNc2ncc([N+](=O)[O-])cc2F)C1 ZINC000572222907 322611178 /nfs/dbraw/zinc/61/11/78/322611178.db2.gz CEIMQHWPXPWNHL-CQSZACIVSA-N 0 0 297.330 2.872 20 5 CFBDRN Cc1nn(C)c(N2C[C@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)c1[N+](=O)[O-] ZINC000572692848 322628103 /nfs/dbraw/zinc/62/81/03/322628103.db2.gz UUXHFFSQNDOEGV-OXJKWZBOSA-N 0 0 290.367 2.509 20 5 CFBDRN COCC1(CCNc2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC000574514830 322695571 /nfs/dbraw/zinc/69/55/71/322695571.db2.gz OMOMVQHIHJNIEP-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN C[C@H]1CCN(c2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000575119294 322718798 /nfs/dbraw/zinc/71/87/98/322718798.db2.gz KYCUCYFVXYVXIT-JTQLQIEISA-N 0 0 261.325 2.715 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Br)cn1[C@@H]1C=CCCC1 ZINC000179279705 323739789 /nfs/dbraw/zinc/73/97/89/323739789.db2.gz LVOLTPMZROSJMB-SECBINFHSA-N 0 0 299.124 2.800 20 5 CFBDRN CCOC(=O)[C@H](Sc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000179872317 323762623 /nfs/dbraw/zinc/76/26/23/323762623.db2.gz MKBQFSPMICULKL-LLVKDONJSA-N 0 0 284.337 2.670 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000180557143 323784751 /nfs/dbraw/zinc/78/47/51/323784751.db2.gz OLFFNVXVKDXFRL-LLVKDONJSA-N 0 0 282.365 2.775 20 5 CFBDRN CO[C@@H](C)CSCCOc1ccc([N+](=O)[O-])cc1 ZINC000181167142 323803770 /nfs/dbraw/zinc/80/37/70/323803770.db2.gz CPDFFJRJJHDVGM-JTQLQIEISA-N 0 0 271.338 2.742 20 5 CFBDRN CCOC(=O)C[C@@H](C)N(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000182093896 323843152 /nfs/dbraw/zinc/84/31/52/323843152.db2.gz NXBOZMSEEYPULU-LLVKDONJSA-N 0 0 280.324 2.681 20 5 CFBDRN CCCCNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182186187 323845888 /nfs/dbraw/zinc/84/58/88/323845888.db2.gz OZVOLQOKYZVSLE-VIFPVBQESA-N 0 0 268.288 2.754 20 5 CFBDRN C[C@H](C(=O)Nc1ccccn1)c1ccc([N+](=O)[O-])cc1F ZINC000184808605 323954330 /nfs/dbraw/zinc/95/43/30/323954330.db2.gz PATDMIKJRHOZFZ-VIFPVBQESA-N 0 0 289.266 2.871 20 5 CFBDRN Cc1cc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)no1 ZINC000184919616 323955921 /nfs/dbraw/zinc/95/59/21/323955921.db2.gz NQXYJEDRSWLJOJ-QMMMGPOBSA-N 0 0 293.254 2.773 20 5 CFBDRN Cc1cc(=O)n(CC2CCC(C)CC2)cc1[N+](=O)[O-] ZINC000185536237 323967858 /nfs/dbraw/zinc/96/78/58/323967858.db2.gz DKRYSULWUODSFN-UHFFFAOYSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2Cc3ccccc3C2)c1 ZINC000185705459 323970653 /nfs/dbraw/zinc/97/06/53/323970653.db2.gz GRZOBLNFMWWBFQ-UHFFFAOYSA-N 0 0 296.326 2.800 20 5 CFBDRN CSc1cccc(C(=O)N2CCCC2)c1[N+](=O)[O-] ZINC000188015130 324005988 /nfs/dbraw/zinc/00/59/88/324005988.db2.gz HADYTFSSXZIAKG-UHFFFAOYSA-N 0 0 266.322 2.553 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000188537228 324017002 /nfs/dbraw/zinc/01/70/02/324017002.db2.gz RNVPZCMKKBAECQ-GFCCVEGCSA-N 0 0 291.351 2.926 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC(C)(C)C ZINC000193678587 324075744 /nfs/dbraw/zinc/07/57/44/324075744.db2.gz VHKXQQPDFFMFLX-UHFFFAOYSA-N 0 0 265.313 2.535 20 5 CFBDRN Cc1nc(NCc2cc(F)cc(F)c2)ccc1[N+](=O)[O-] ZINC000349423731 324173635 /nfs/dbraw/zinc/17/36/35/324173635.db2.gz MNGXSSICRFVRGG-UHFFFAOYSA-N 0 0 279.246 2.610 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(Cc2ccccc2)no1 ZINC000349866682 324190871 /nfs/dbraw/zinc/19/08/71/324190871.db2.gz OURCYZVUQHLRDK-UHFFFAOYSA-N 0 0 284.275 2.574 20 5 CFBDRN C[C@@H]1COCC[C@H]1c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000350592947 324240684 /nfs/dbraw/zinc/24/06/84/324240684.db2.gz INMIKYRRPGEHLD-NXEZZACHSA-N 0 0 289.291 2.785 20 5 CFBDRN CC[C@]1(C)C[C@H]1c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350918909 324282750 /nfs/dbraw/zinc/28/27/50/324282750.db2.gz BNEZJGNRBOIENS-ISVAXAHUSA-N 0 0 291.311 2.590 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(/C=C/[C@H]3CCOC3)n2)c1 ZINC000351052289 324299982 /nfs/dbraw/zinc/29/99/82/324299982.db2.gz MJPVRBITYZXZQR-YEZKRMTDSA-N 0 0 287.275 2.695 20 5 CFBDRN COc1cc(-c2nc(C3CC3)no2)c([N+](=O)[O-])cc1F ZINC000351055367 324300755 /nfs/dbraw/zinc/30/07/55/324300755.db2.gz SSVMCHHRMUTXMJ-UHFFFAOYSA-N 0 0 279.227 2.670 20 5 CFBDRN COC[C@@H](C)Cc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351139922 324324102 /nfs/dbraw/zinc/32/41/02/324324102.db2.gz AXYVNBDJJJCWBR-VIFPVBQESA-N 0 0 291.307 2.778 20 5 CFBDRN COCC1(c2nc(-c3cccc([N+](=O)[O-])c3C)no2)CC1 ZINC000351140011 324324600 /nfs/dbraw/zinc/32/46/00/324324600.db2.gz AZDMNDRAJLGQLT-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN Cc1cc(-c2noc([C@@H]3CCO[C@H]3C)n2)cc([N+](=O)[O-])c1 ZINC000351142729 324325693 /nfs/dbraw/zinc/32/56/93/324325693.db2.gz FGLVJTVBBJORSM-JOYOIKCWSA-N 0 0 289.291 2.846 20 5 CFBDRN CCCc1cc(-c2nc(-c3ccc([N+](=O)[O-])o3)no2)no1 ZINC000351144842 324326703 /nfs/dbraw/zinc/32/67/03/324326703.db2.gz IZBGKBCGSGYPOA-UHFFFAOYSA-N 0 0 290.235 2.845 20 5 CFBDRN Cc1cc(-c2noc([C@H]3CCCO3)n2)cc([N+](=O)[O-])c1 ZINC000351145248 324327030 /nfs/dbraw/zinc/32/70/30/324327030.db2.gz JHTIDRDQNRGXPS-LLVKDONJSA-N 0 0 275.264 2.805 20 5 CFBDRN COCC1(c2nc(-c3cc(C)cc([N+](=O)[O-])c3)no2)CC1 ZINC000351147303 324327817 /nfs/dbraw/zinc/32/78/17/324327817.db2.gz OASAPKGVGFMGSY-UHFFFAOYSA-N 0 0 289.291 2.631 20 5 CFBDRN C[C@@H]1[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1(F)F ZINC000351147799 324328488 /nfs/dbraw/zinc/32/84/88/324328488.db2.gz OXJAEDJPBBQZEC-CLZZGJSISA-N 0 0 271.179 2.606 20 5 CFBDRN CCOCCCc1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351149260 324329157 /nfs/dbraw/zinc/32/91/57/324329157.db2.gz QYZZPDVVIXQBAF-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN CC(C)(C)Cc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351152344 324330726 /nfs/dbraw/zinc/33/07/26/324330726.db2.gz WVOOSBBKZIYIKL-UHFFFAOYSA-N 0 0 251.242 2.826 20 5 CFBDRN CC1(c2noc(COc3ccccc3[N+](=O)[O-])n2)CC1 ZINC000351176503 324338719 /nfs/dbraw/zinc/33/87/19/324338719.db2.gz QGFGOXATUQKNCS-UHFFFAOYSA-N 0 0 275.264 2.608 20 5 CFBDRN CC[C@@H]1C[C@H]1CNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000388182525 324500326 /nfs/dbraw/zinc/50/03/26/324500326.db2.gz JRGMRLSYGRXCAH-BDAKNGLRSA-N 0 0 288.307 2.702 20 5 CFBDRN CO[C@H]([C@H](C)Nc1ncc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000388254191 324501071 /nfs/dbraw/zinc/50/10/71/324501071.db2.gz ASZGMSGENMEFKO-WRWORJQWSA-N 0 0 285.731 2.869 20 5 CFBDRN Cc1nnc(CNc2ccc(F)cc2[N+](=O)[O-])s1 ZINC000392000426 324527302 /nfs/dbraw/zinc/52/73/02/324527302.db2.gz YOEUAMIUNFDZRT-UHFFFAOYSA-N 0 0 268.273 2.506 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H]3CC[C@H](O)C[C@H]3C2)c1 ZINC000398842208 324552356 /nfs/dbraw/zinc/55/23/56/324552356.db2.gz PEJIMFJQCIFXCL-AVGNSLFASA-N 0 0 276.336 2.500 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1C[C@@H]2CC[C@H](O)C[C@H]2C1 ZINC000398916770 324552576 /nfs/dbraw/zinc/55/25/76/324552576.db2.gz POZKFUCNCOOVCG-DCAQKATOSA-N 0 0 296.754 2.845 20 5 CFBDRN Cc1cnc(N2CCC[C@@H]2[C@@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000576819750 324663603 /nfs/dbraw/zinc/66/36/03/324663603.db2.gz MEMALHGRBFFRJM-CHWSQXEVSA-N 0 0 291.351 2.694 20 5 CFBDRN CCn1cc(CN(C)c2cccc(C)c2[N+](=O)[O-])cn1 ZINC000576839974 324666881 /nfs/dbraw/zinc/66/68/81/324666881.db2.gz AJVXZHKQXAXBFG-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCCCCO1 ZINC000576898571 324673793 /nfs/dbraw/zinc/67/37/93/324673793.db2.gz HFOXUQSGMHJBQA-UHFFFAOYSA-N 0 0 265.269 2.544 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CO1 ZINC000577103525 324696953 /nfs/dbraw/zinc/69/69/53/324696953.db2.gz NKUZLHQDPBNQLT-DGCLKSJQSA-N 0 0 299.330 2.668 20 5 CFBDRN COC1(C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCCC1 ZINC000577163381 324704345 /nfs/dbraw/zinc/70/43/45/324704345.db2.gz BPTNNOOUPXXWPC-UHFFFAOYSA-N 0 0 278.308 2.517 20 5 CFBDRN CCN(C(=O)c1cccc([N+](=O)[O-])c1N)[C@@H](C)C(C)C ZINC000578051067 324811192 /nfs/dbraw/zinc/81/11/92/324811192.db2.gz UFBPCZPVHGXFJU-JTQLQIEISA-N 0 0 279.340 2.684 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@H](F)C1 ZINC000578074036 324813512 /nfs/dbraw/zinc/81/35/12/324813512.db2.gz IEMXUOSFXRPLIS-VIFPVBQESA-N 0 0 267.260 2.561 20 5 CFBDRN C[C@H](C(=O)NC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000578480041 324855626 /nfs/dbraw/zinc/85/56/26/324855626.db2.gz KXOFAOIRSIASEC-JTQLQIEISA-N 0 0 262.309 2.757 20 5 CFBDRN CCC(C)(C)CNC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000579307000 324938641 /nfs/dbraw/zinc/93/86/41/324938641.db2.gz NXYNJHIPLLFCQJ-UHFFFAOYSA-N 0 0 294.351 2.698 20 5 CFBDRN Cc1nc(N2C[C@H](C)CC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000579435921 324949827 /nfs/dbraw/zinc/94/98/27/324949827.db2.gz VCHIAJARVJYOHJ-SECBINFHSA-N 0 0 264.329 2.566 20 5 CFBDRN COc1ccc(C(=O)N[C@H](C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000579480688 324954138 /nfs/dbraw/zinc/95/41/38/324954138.db2.gz JFGLCYDSXYDIOR-SECBINFHSA-N 0 0 280.324 2.768 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000580047101 325001651 /nfs/dbraw/zinc/00/16/51/325001651.db2.gz XJTLFPRISYWBPF-GIFSMMMISA-N 0 0 274.320 2.708 20 5 CFBDRN CCc1nocc1COc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000580726607 325059870 /nfs/dbraw/zinc/05/98/70/325059870.db2.gz XILWKOVUGDDXOA-UHFFFAOYSA-N 0 0 277.280 2.736 20 5 CFBDRN CC(C)(CCO)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000581069687 325087137 /nfs/dbraw/zinc/08/71/37/325087137.db2.gz TZICNEMLTMPFPH-UHFFFAOYSA-N 0 0 293.367 2.689 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000581166308 325096179 /nfs/dbraw/zinc/09/61/79/325096179.db2.gz OHXISEIXUDAIIE-JTQLQIEISA-N 0 0 277.324 2.688 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1coc(C)n1 ZINC000581199517 325099735 /nfs/dbraw/zinc/09/97/35/325099735.db2.gz GPQJPXFRKSXTMA-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN C[C@H]1CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C[C@H](C)S1 ZINC000581350155 325112705 /nfs/dbraw/zinc/11/27/05/325112705.db2.gz OJLYMDBPVFULCS-IUCAKERBSA-N 0 0 292.364 2.801 20 5 CFBDRN COC(=O)[C@@H](CNc1ccc([N+](=O)[O-])cc1F)CC1CC1 ZINC000581730427 325146829 /nfs/dbraw/zinc/14/68/29/325146829.db2.gz HBDPSGLELKSSAI-SNVBAGLBSA-N 0 0 296.298 2.735 20 5 CFBDRN CC(C)[N@@H+](Cc1cc([O-])ccc1[N+](=O)[O-])CC1CC1 ZINC000581991258 325166709 /nfs/dbraw/zinc/16/67/09/325166709.db2.gz JQQOPKABDVTMIY-UHFFFAOYSA-N 0 0 264.325 2.921 20 5 CFBDRN CC[C@H](C)C[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)C(=O)OC ZINC000582000613 325167977 /nfs/dbraw/zinc/16/79/77/325167977.db2.gz TUWTXIBQMGTROH-ONGXEEELSA-N 0 0 295.339 2.688 20 5 CFBDRN Cc1cc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c(C)cn1 ZINC000582159002 325181230 /nfs/dbraw/zinc/18/12/30/325181230.db2.gz XZKMHHZTMJHCFS-GFCCVEGCSA-N 0 0 299.330 2.771 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCOC(C)(C)C1 ZINC000582312189 325195167 /nfs/dbraw/zinc/19/51/67/325195167.db2.gz NFCBFYFESQQFJR-SNVBAGLBSA-N 0 0 280.324 2.973 20 5 CFBDRN CC(C)c1scnc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000582442060 325206021 /nfs/dbraw/zinc/20/60/21/325206021.db2.gz CTELLFFEMYOYBC-UHFFFAOYSA-N 0 0 280.309 2.755 20 5 CFBDRN CCCc1nc(COc2c(C)c(C)ncc2[N+](=O)[O-])no1 ZINC000582557335 325215632 /nfs/dbraw/zinc/21/56/32/325215632.db2.gz MJOSUBWQPFQTIE-UHFFFAOYSA-N 0 0 292.295 2.521 20 5 CFBDRN COc1cccc(COc2c(C)c(C)ncc2[N+](=O)[O-])n1 ZINC000582617850 325220720 /nfs/dbraw/zinc/22/07/20/325220720.db2.gz RCVAPZIHHBDFHF-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CCC1 ZINC000582849760 325239376 /nfs/dbraw/zinc/23/93/76/325239376.db2.gz XXDJCQUMAPRIJD-QWHCGFSZSA-N 0 0 260.293 2.970 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])cc(Cl)c2ncn1CC1CCC1 ZINC000582963714 325248482 /nfs/dbraw/zinc/24/84/82/325248482.db2.gz BHTSZPWDNQRLGZ-UHFFFAOYSA-N 0 0 293.710 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1CO[C@H](C)C1 ZINC000583320477 325277471 /nfs/dbraw/zinc/27/74/71/325277471.db2.gz WKVCYKLFTJECQR-GHMZBOCLSA-N 0 0 293.323 2.511 20 5 CFBDRN CNc1ccc(C(=O)N[C@@H]2CCCC[C@H]2F)cc1[N+](=O)[O-] ZINC000583486234 325290669 /nfs/dbraw/zinc/29/06/69/325290669.db2.gz DWAHQKYXHPQYNB-GHMZBOCLSA-N 0 0 295.314 2.647 20 5 CFBDRN CCOc1cccc(Cl)c1Cn1nccc1[N+](=O)[O-] ZINC000583575877 325297921 /nfs/dbraw/zinc/29/79/21/325297921.db2.gz VHYZURMRHQNYBI-UHFFFAOYSA-N 0 0 281.699 2.892 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@@H]2C2CC2)cn1 ZINC000583605683 325299118 /nfs/dbraw/zinc/29/91/18/325299118.db2.gz MCKRRIZNBGHGEW-ZDUSSCGKSA-N 0 0 259.309 2.759 20 5 CFBDRN Cc1nc(Oc2cccc3c2CCC3)ncc1[N+](=O)[O-] ZINC000583627814 325299743 /nfs/dbraw/zinc/29/97/43/325299743.db2.gz BKXXEUBHUREHJE-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000583965973 325321622 /nfs/dbraw/zinc/32/16/22/325321622.db2.gz FSCUFHJTPKSRCP-KOLCDFICSA-N 0 0 296.754 2.953 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](OC(C)C)C1 ZINC000584055208 325328032 /nfs/dbraw/zinc/32/80/32/325328032.db2.gz VJZRATCBKHRLBS-CYBMUJFWSA-N 0 0 292.335 2.543 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000584115888 325331382 /nfs/dbraw/zinc/33/13/82/325331382.db2.gz YMMJGMOLLRMRCX-VHSXEESVSA-N 0 0 295.314 2.647 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccon1 ZINC000584387882 329317571 /nfs/dbraw/zinc/31/75/71/329317571.db2.gz ZQGXWTIIKGZLMX-NXEZZACHSA-N 0 0 289.291 2.564 20 5 CFBDRN CCOC(C)(C)CNc1ncc([N+](=O)[O-])cc1C ZINC000159520928 521626775 /nfs/dbraw/zinc/62/67/75/521626775.db2.gz XFXGFBZMSCXBEE-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN C[C@H](CC(F)F)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000413758087 533750134 /nfs/dbraw/zinc/75/01/34/533750134.db2.gz NLXBKUZUBFASHT-ZCFIWIBFSA-N 0 0 276.214 2.964 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2C[C@H]2C(C)C)c([N+](=O)[O-])c1 ZINC000413428795 533763762 /nfs/dbraw/zinc/76/37/62/533763762.db2.gz AGWTYZMCNWGDCS-WCQYABFASA-N 0 0 292.335 2.767 20 5 CFBDRN Cc1ccc(CCNc2nc(C)cc(C)c2[N+](=O)[O-])nc1 ZINC000413348472 533809386 /nfs/dbraw/zinc/80/93/86/533809386.db2.gz DFTPYJOWUKVIOL-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000181206269 522284658 /nfs/dbraw/zinc/28/46/58/522284658.db2.gz XTJPGIMXCIPAOM-MRVPVSSYSA-N 0 0 274.367 2.528 20 5 CFBDRN COc1cccc2c1C[C@@H](Nc1ncc([N+](=O)[O-])s1)C2 ZINC000413423408 533884759 /nfs/dbraw/zinc/88/47/59/533884759.db2.gz DUBPVQYXAXDDKO-VIFPVBQESA-N 0 0 291.332 2.639 20 5 CFBDRN Cc1c(NC(=O)c2ncncc2Cl)cccc1[N+](=O)[O-] ZINC000174929056 533922341 /nfs/dbraw/zinc/92/23/41/533922341.db2.gz ABFBOVWNGYGYJA-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCSC)c1 ZINC000152005424 522589689 /nfs/dbraw/zinc/58/96/89/522589689.db2.gz CYGJBZCHYZELQG-UHFFFAOYSA-N 0 0 260.290 2.517 20 5 CFBDRN Cc1cc(OCc2nn(C)cc2Cl)ccc1[N+](=O)[O-] ZINC000421840023 534028208 /nfs/dbraw/zinc/02/82/08/534028208.db2.gz XZWNBIKGLCTSQU-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN COc1ccccc1COc1ncc(C)cc1[N+](=O)[O-] ZINC000426583771 534097231 /nfs/dbraw/zinc/09/72/31/534097231.db2.gz LILRFMZEXDUPFP-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@H](C)O[C@H]2C)n1 ZINC000413544695 534327875 /nfs/dbraw/zinc/32/78/75/534327875.db2.gz YUAIAHNXAXNVBO-DCAQKATOSA-N 0 0 265.313 2.524 20 5 CFBDRN C[C@@]1(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000424686847 518181206 /nfs/dbraw/zinc/18/12/06/518181206.db2.gz QLVDHAJADOGTAF-UKRRQHHQSA-N 0 0 294.326 2.782 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)CC[C@H]1CCCO1 ZINC000340917078 518411677 /nfs/dbraw/zinc/41/16/77/518411677.db2.gz ZBYGTAWVDXTELP-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N(C)C(C)C ZINC000182502896 518442508 /nfs/dbraw/zinc/44/25/08/518442508.db2.gz RFZZHTBZRCZWGH-PKNBQFBNSA-N 0 0 262.309 2.865 20 5 CFBDRN C/C=C/c1ccc(NC(=O)c2n[nH]c(C)c2[N+](=O)[O-])cc1 ZINC000360327054 518551900 /nfs/dbraw/zinc/55/19/00/518551900.db2.gz VMRMRNOTGWDZRZ-ONEGZZNKSA-N 0 0 286.291 2.912 20 5 CFBDRN C/C=C\c1ccc(NC(=O)c2n[nH]c(C)c2[N+](=O)[O-])cc1 ZINC000360327053 518567820 /nfs/dbraw/zinc/56/78/20/518567820.db2.gz VMRMRNOTGWDZRZ-ARJAWSKDSA-N 0 0 286.291 2.912 20 5 CFBDRN CC(C)(C)CCNc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000341534678 518649427 /nfs/dbraw/zinc/64/94/27/518649427.db2.gz RAAXAKCIEJUALA-UHFFFAOYSA-N 0 0 290.323 2.514 20 5 CFBDRN CC(C)(C)C[C@H](O)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000227356617 518694011 /nfs/dbraw/zinc/69/40/11/518694011.db2.gz WXCVXUBTVVKKKF-JTQLQIEISA-N 0 0 270.304 2.943 20 5 CFBDRN CC(C)(C)NC(=O)COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000049302863 518736245 /nfs/dbraw/zinc/73/62/45/518736245.db2.gz SBKVAHDJEYHXQM-UHFFFAOYSA-N 0 0 286.715 2.542 20 5 CFBDRN CC(C)(C)[C@H]1OCCC[C@@H]1CNc1ccncc1[N+](=O)[O-] ZINC000360991467 518982289 /nfs/dbraw/zinc/98/22/89/518982289.db2.gz SZYLLNBJGGUQIC-RISCZKNCSA-N 0 0 293.367 2.665 20 5 CFBDRN CC(C)(C)c1noc(CNc2cccc([N+](=O)[O-])c2)n1 ZINC000048657153 519137131 /nfs/dbraw/zinc/13/71/31/519137131.db2.gz AWCKKVRNNDIETL-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN CC(C)(O)CCNc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000361422014 519348357 /nfs/dbraw/zinc/34/83/57/519348357.db2.gz XVFSXKWKHKSQHM-UHFFFAOYSA-N 0 0 290.266 2.769 20 5 CFBDRN CC(C)(O)CCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000336838642 519349146 /nfs/dbraw/zinc/34/91/46/519349146.db2.gz NLLOGOKGIRHPBL-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H]1C[C@@H]1C ZINC000056287749 519655789 /nfs/dbraw/zinc/65/57/89/519655789.db2.gz QGVKDVVLMHUXKR-FZMZJTMJSA-N 0 0 276.336 2.988 20 5 CFBDRN CC(C)CCNC(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050695273 519662119 /nfs/dbraw/zinc/66/21/19/519662119.db2.gz QBMQQYQGUUAIBY-UHFFFAOYSA-N 0 0 285.731 2.606 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180620344 519681820 /nfs/dbraw/zinc/68/18/20/519681820.db2.gz IPAJUDGBXUJMFR-SNVBAGLBSA-N 0 0 279.340 2.732 20 5 CFBDRN CC(C)NC(=O)c1ccc(NCC[C@@H](C)F)c([N+](=O)[O-])c1 ZINC000338750975 519717596 /nfs/dbraw/zinc/71/75/96/519717596.db2.gz NNCORNJUUAMOLN-SNVBAGLBSA-N 0 0 297.330 2.893 20 5 CFBDRN CC(C)OCCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000160596438 519768377 /nfs/dbraw/zinc/76/83/77/519768377.db2.gz RRNAOMXHTCOVNR-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN CC(C)C[C@@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000339339140 519772387 /nfs/dbraw/zinc/77/23/87/519772387.db2.gz CAYHVZVMWKXPTA-GFCCVEGCSA-N 0 0 294.351 2.867 20 5 CFBDRN CC(C)[C@@H](C)CC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000067815806 519790377 /nfs/dbraw/zinc/79/03/77/519790377.db2.gz LLDNLOLXDJNPLV-NSHDSACASA-N 0 0 264.325 2.893 20 5 CFBDRN CC(C)[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000336365684 519801936 /nfs/dbraw/zinc/80/19/36/519801936.db2.gz WZBSTUDEDYTKGB-LBPRGKRZSA-N 0 0 279.340 2.584 20 5 CFBDRN CC(C)c1ccc(C(=O)Nc2cc[nH]n2)cc1[N+](=O)[O-] ZINC000189366313 519835316 /nfs/dbraw/zinc/83/53/16/519835316.db2.gz BSZPTDLSAZWGQY-UHFFFAOYSA-N 0 0 274.280 2.694 20 5 CFBDRN CCCn1nccc1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000125611272 519891528 /nfs/dbraw/zinc/89/15/28/519891528.db2.gz IILRZXUHIAKSRE-UHFFFAOYSA-N 0 0 292.270 2.593 20 5 CFBDRN CC(C)c1noc(COc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000049373651 519904754 /nfs/dbraw/zinc/90/47/54/519904754.db2.gz PNWNFSMLXKEZFI-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccc(OC)cc1 ZINC000340717552 520090795 /nfs/dbraw/zinc/09/07/95/520090795.db2.gz ZNPYZVUJQWWZFE-UHFFFAOYSA-N 0 0 289.291 2.598 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)[C@@H](C)C(C)C ZINC000067113746 520092590 /nfs/dbraw/zinc/09/25/90/520092590.db2.gz SJZLYXZADZBEOL-VIFPVBQESA-N 0 0 254.286 2.694 20 5 CFBDRN CCNc1ccc(C(=O)N(C)[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000053674252 520279975 /nfs/dbraw/zinc/27/99/75/520279975.db2.gz PQKDWCCXHYRMDI-JTQLQIEISA-N 0 0 291.351 2.897 20 5 CFBDRN CCNc1ccc(C(=O)NCCCSC)cc1[N+](=O)[O-] ZINC000069464513 520288449 /nfs/dbraw/zinc/28/84/49/520288449.db2.gz BNYATFCGSLSGEF-UHFFFAOYSA-N 0 0 297.380 2.510 20 5 CFBDRN CCO[C@@H]1CCCN(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000067519930 520321157 /nfs/dbraw/zinc/32/11/57/520321157.db2.gz OKDSXBFRAXPOMA-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN CCCCN(C)C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000340940705 520383690 /nfs/dbraw/zinc/38/36/90/520383690.db2.gz BCZHZRPGEVDJQB-UHFFFAOYSA-N 0 0 286.278 2.674 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161429633 520413026 /nfs/dbraw/zinc/41/30/26/520413026.db2.gz WVVMOURCNWTQCU-UHFFFAOYSA-N 0 0 279.340 2.755 20 5 CFBDRN CCC[C@@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000193226728 520601573 /nfs/dbraw/zinc/60/15/73/520601573.db2.gz KPUOARYBFGWDTI-LLVKDONJSA-N 0 0 264.325 2.989 20 5 CFBDRN CC1(CNc2nc3sccn3c2[N+](=O)[O-])CC1 ZINC000224434696 520738117 /nfs/dbraw/zinc/73/81/17/520738117.db2.gz KNZWCTYVFWNWDH-UHFFFAOYSA-N 0 0 252.299 2.516 20 5 CFBDRN CCOc1cc(N2CCC[C@](C)(O)CC2)ccc1[N+](=O)[O-] ZINC000230373683 520749882 /nfs/dbraw/zinc/74/98/82/520749882.db2.gz UMEDIIDIISCJFV-HNNXBMFYSA-N 0 0 294.351 2.735 20 5 CFBDRN CCOC(=O)CN(Cc1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000049572963 520766489 /nfs/dbraw/zinc/76/64/89/520766489.db2.gz IDTTVVSRZMVKNC-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN CCOc1cc(Nc2cnn(C)c2C)ccc1[N+](=O)[O-] ZINC000181213223 520766615 /nfs/dbraw/zinc/76/66/15/520766615.db2.gz MGWWEJFUECJESC-UHFFFAOYSA-N 0 0 276.296 2.779 20 5 CFBDRN CCC[C@@H]1[C@@H](C)CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000361958177 520900360 /nfs/dbraw/zinc/90/03/60/520900360.db2.gz DPZRWZYPPXTLFQ-NWDGAFQWSA-N 0 0 293.367 2.974 20 5 CFBDRN CCC[C@@](C)(O)CNc1c(C)cccc1[N+](=O)[O-] ZINC000226233797 520939144 /nfs/dbraw/zinc/93/91/44/520939144.db2.gz DDHZMDQVHKTXPO-CYBMUJFWSA-N 0 0 252.314 2.866 20 5 CFBDRN CCC[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)OCC ZINC000160288727 521196627 /nfs/dbraw/zinc/19/66/27/521196627.db2.gz KEHOTBZHDFYDIC-JTQLQIEISA-N 0 0 284.337 2.814 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nccs2)c1 ZINC000338413587 521280853 /nfs/dbraw/zinc/28/08/53/521280853.db2.gz PYDHXVVUNDRISI-UHFFFAOYSA-N 0 0 293.304 2.702 20 5 CFBDRN CCC[C@](C)(O)CNc1ccc(C)cc1[N+](=O)[O-] ZINC000223245567 521295916 /nfs/dbraw/zinc/29/59/16/521295916.db2.gz AXCRWHNTYMCHAR-ZDUSSCGKSA-N 0 0 252.314 2.866 20 5 CFBDRN CCOC(=O)[C@H]1CCCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000050069857 521303134 /nfs/dbraw/zinc/30/31/34/521303134.db2.gz QXXQYSMOIATDSC-JTQLQIEISA-N 0 0 296.298 2.513 20 5 CFBDRN CCCCc1nc(Cn2cnc([N+](=O)[O-])c2)cs1 ZINC000075479958 521309426 /nfs/dbraw/zinc/30/94/26/521309426.db2.gz BWYPFAGXUVSRNU-UHFFFAOYSA-N 0 0 266.326 2.639 20 5 CFBDRN CCOc1cccc(N[C@H]2CC[C@@H](OC)C2)c1[N+](=O)[O-] ZINC000231683637 521400734 /nfs/dbraw/zinc/40/07/34/521400734.db2.gz PRYVIXCQCJYMRM-WDEREUQCSA-N 0 0 280.324 2.973 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(Br)c1C ZINC000083890985 521447195 /nfs/dbraw/zinc/44/71/95/521447195.db2.gz QINVBJZKLQZCRZ-UHFFFAOYSA-N 0 0 288.097 2.842 20 5 CFBDRN CCC(CC)(CO)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000084726513 521460441 /nfs/dbraw/zinc/46/04/41/521460441.db2.gz IRTXRFPJCWRSGQ-UHFFFAOYSA-N 0 0 252.314 2.866 20 5 CFBDRN CCCN(C)C(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000050197532 521471871 /nfs/dbraw/zinc/47/18/71/521471871.db2.gz FFBKZPYDWNCCLR-UHFFFAOYSA-N 0 0 265.313 2.509 20 5 CFBDRN CCC(CC)([NH2+]Cc1ccc([N+](=O)[O-])cc1C)C(=O)[O-] ZINC000340680131 521474736 /nfs/dbraw/zinc/47/47/36/521474736.db2.gz NHLBYMKJOCMNNN-UHFFFAOYSA-N 0 0 280.324 2.636 20 5 CFBDRN CCC(CC)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000078474473 521483864 /nfs/dbraw/zinc/48/38/64/521483864.db2.gz CHKGVQLUTIKKGE-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN CCCN(CC1CC1)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000049535504 521619356 /nfs/dbraw/zinc/61/93/56/521619356.db2.gz HOUXUWFCBDJTAL-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CCCN(CCC)c1c([N+](=O)[O-])nc(C)n1CC ZINC000360882133 521650318 /nfs/dbraw/zinc/65/03/18/521650318.db2.gz YXUAQMROOBNVLB-UHFFFAOYSA-N 0 0 254.334 2.746 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCC1CCCC1 ZINC000339906854 521792857 /nfs/dbraw/zinc/79/28/57/521792857.db2.gz IYVKKAYVQXSYHD-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000057307555 521817590 /nfs/dbraw/zinc/81/75/90/521817590.db2.gz LINWHSPXKUNJRJ-DTWKUNHWSA-N 0 0 268.288 2.898 20 5 CFBDRN Cc1ocnc1CNC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000163242852 534592787 /nfs/dbraw/zinc/59/27/87/534592787.db2.gz NDIGDLVYWSQQQG-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN CC[C@@H](C)C(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000133102657 521901212 /nfs/dbraw/zinc/90/12/12/521901212.db2.gz WFRWLFGCNQAWMJ-SECBINFHSA-N 0 0 251.282 2.897 20 5 CFBDRN CNC(=O)c1cccc(NCc2ccc([N+](=O)[O-])cc2)c1C ZINC000078995717 521910061 /nfs/dbraw/zinc/91/00/61/521910061.db2.gz GNDVZYAYAZTYRI-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN COCC[C@H]1CCCCN(c2ccncc2[N+](=O)[O-])C1 ZINC000361007692 521986006 /nfs/dbraw/zinc/98/60/06/521986006.db2.gz RFXIPANKRDBRPK-GFCCVEGCSA-N 0 0 279.340 2.633 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000304070249 522016133 /nfs/dbraw/zinc/01/61/33/522016133.db2.gz VDUDERLJCKBTMR-IINYFYTJSA-N 0 0 295.339 2.513 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000050748043 522082972 /nfs/dbraw/zinc/08/29/72/522082972.db2.gz POSULSOFGXXXCH-SNVBAGLBSA-N 0 0 279.340 2.897 20 5 CFBDRN CC[C@@H](C)NC(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000337920205 522168871 /nfs/dbraw/zinc/16/88/71/522168871.db2.gz YJZLLSNOHLSQIO-SECBINFHSA-N 0 0 268.338 2.602 20 5 CFBDRN CCc1nc(C)cc(NCCc2ccc([N+](=O)[O-])cc2)n1 ZINC000314326240 522236538 /nfs/dbraw/zinc/23/65/38/522236538.db2.gz LNEONFFYJUGZOW-UHFFFAOYSA-N 0 0 286.335 2.910 20 5 CFBDRN CC[C@H](COC)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000079745084 522263369 /nfs/dbraw/zinc/26/33/69/522263369.db2.gz JHUUARRAGWYYBC-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CN(C)c1ccccc1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172765308 522294474 /nfs/dbraw/zinc/29/44/74/522294474.db2.gz FLZOGEVHRSCTGO-UHFFFAOYSA-N 0 0 299.330 2.842 20 5 CFBDRN COC(=O)c1cnc(NC[C@@H]2CC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000360114326 522339509 /nfs/dbraw/zinc/33/95/09/522339509.db2.gz GKMHEVPPNJYXDB-NXEZZACHSA-N 0 0 293.323 2.625 20 5 CFBDRN CCc1nc(CNc2c([N+](=O)[O-])nc(C)n2CC)cs1 ZINC000328190103 522357667 /nfs/dbraw/zinc/35/76/67/522357667.db2.gz CUHOANIMTKUSQU-UHFFFAOYSA-N 0 0 295.368 2.751 20 5 CFBDRN COc1cc(CN[C@@H](C)c2ccccn2)ccc1[N+](=O)[O-] ZINC000361147294 522473587 /nfs/dbraw/zinc/47/35/87/522473587.db2.gz UEFRUHRQYBQXBA-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN COc1cc(CSc2ncc[nH]2)c([N+](=O)[O-])cc1F ZINC000183859661 522502762 /nfs/dbraw/zinc/50/27/62/522502762.db2.gz AWSCIBAPNHWQRN-UHFFFAOYSA-N 0 0 283.284 2.758 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000173864095 522512855 /nfs/dbraw/zinc/51/28/55/522512855.db2.gz BJQRRSRHQWJQIG-VIFPVBQESA-N 0 0 298.314 2.667 20 5 CFBDRN CC[C@@H](CCO)Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354564051 522526568 /nfs/dbraw/zinc/52/65/68/522526568.db2.gz IYYWVMNBPVHXMF-QMMMGPOBSA-N 0 0 290.266 2.769 20 5 CFBDRN CNc1c(C(=O)N(C)C2CCCC2)cccc1[N+](=O)[O-] ZINC000161429032 522534656 /nfs/dbraw/zinc/53/46/56/522534656.db2.gz DSPPQZUZAOBYCQ-UHFFFAOYSA-N 0 0 277.324 2.651 20 5 CFBDRN CNc1c(C(=O)NCC2(C3CC3)CC2)cccc1[N+](=O)[O-] ZINC000231524737 522537359 /nfs/dbraw/zinc/53/73/59/522537359.db2.gz UNVXKVRENCHOKV-UHFFFAOYSA-N 0 0 289.335 2.557 20 5 CFBDRN CNc1c(C(=O)NCC2CCCC2)cccc1[N+](=O)[O-] ZINC000161433063 522537747 /nfs/dbraw/zinc/53/77/47/522537747.db2.gz WCDSBAPPDJQPDH-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CNc1c(C(=O)N2C[C@@H]3CCC[C@H]3C2)cccc1[N+](=O)[O-] ZINC000161513939 522537894 /nfs/dbraw/zinc/53/78/94/522537894.db2.gz NDBZFQARDRHGCB-QWRGUYRKSA-N 0 0 289.335 2.509 20 5 CFBDRN COC1(C)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000340903124 522567475 /nfs/dbraw/zinc/56/74/75/522567475.db2.gz VIBFWNLJWRCWAT-UHFFFAOYSA-N 0 0 293.323 2.628 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000362036088 522661074 /nfs/dbraw/zinc/66/10/74/522661074.db2.gz IYVSKPAYHWTOIB-QWRGUYRKSA-N 0 0 280.324 2.760 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000230691574 522670455 /nfs/dbraw/zinc/67/04/55/522670455.db2.gz LCKBCRKGWDPAES-NXEZZACHSA-N 0 0 266.345 2.739 20 5 CFBDRN CN(CCCCCO)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000227118899 522730750 /nfs/dbraw/zinc/73/07/50/522730750.db2.gz MBXZWTSPWYJZQA-UHFFFAOYSA-N 0 0 286.759 2.843 20 5 CFBDRN CN(CCCCCO)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000227109001 522732127 /nfs/dbraw/zinc/73/21/27/522732127.db2.gz DIRVPVZZEZIZIT-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN COc1cc(NCCC2CC2)c(F)cc1[N+](=O)[O-] ZINC000218864832 522738733 /nfs/dbraw/zinc/73/87/33/522738733.db2.gz YAIXPPRZZDSLJI-UHFFFAOYSA-N 0 0 254.261 2.955 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@H]2OC)ccc1[N+](=O)[O-] ZINC000340952586 522744873 /nfs/dbraw/zinc/74/48/73/522744873.db2.gz KLDPGZGJFXHAMD-GXFFZTMASA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cc(N[C@H]2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000340890376 522767158 /nfs/dbraw/zinc/76/71/58/522767158.db2.gz ZDOCSPFFOOSCRS-BDAKNGLRSA-N 0 0 254.261 2.906 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000158073068 522790815 /nfs/dbraw/zinc/79/08/15/522790815.db2.gz KMFAOAJOBGGWDN-CQSZACIVSA-N 0 0 285.303 2.871 20 5 CFBDRN COCC1(CNc2nc(C)cc(C)c2[N+](=O)[O-])CCC1 ZINC000337002619 522905103 /nfs/dbraw/zinc/90/51/03/522905103.db2.gz WAAKQXIIOHESMW-UHFFFAOYSA-N 0 0 279.340 2.835 20 5 CFBDRN COc1cc([C@@H](C)Nc2ccc([N+](=O)[O-])cn2)ccn1 ZINC000340932681 522909676 /nfs/dbraw/zinc/90/96/76/522909676.db2.gz FBPXWFNBIZKJPR-SECBINFHSA-N 0 0 274.280 2.567 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])nc(C)n1CC)C1CCOCC1 ZINC000360991185 522954193 /nfs/dbraw/zinc/95/41/93/522954193.db2.gz RCFCAXYDPUCDEV-GFCCVEGCSA-N 0 0 296.371 2.737 20 5 CFBDRN CCc1nsc(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000087641703 522969564 /nfs/dbraw/zinc/96/95/64/522969564.db2.gz NVVRJTIXLNBPRI-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CC[C@@H](C)C1 ZINC000156828570 522976111 /nfs/dbraw/zinc/97/61/11/522976111.db2.gz XJEXLRBRQYWBJO-NXEZZACHSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157289551 523042686 /nfs/dbraw/zinc/04/26/86/523042686.db2.gz UQMKLRBNWBSYFU-JQWIXIFHSA-N 0 0 291.351 2.876 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182425762 523075150 /nfs/dbraw/zinc/07/51/50/523075150.db2.gz MMWWSOJONAUXAL-LLVKDONJSA-N 0 0 268.338 2.983 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000156456702 523133684 /nfs/dbraw/zinc/13/36/84/523133684.db2.gz ORXVCCQVXAZSGF-GWCFXTLKSA-N 0 0 262.309 2.903 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC(C(F)F)CC1 ZINC000361046655 523141816 /nfs/dbraw/zinc/14/18/16/523141816.db2.gz QLSGATQVNQUPLY-UHFFFAOYSA-N 0 0 288.298 2.601 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@](C)(OC)CC1 ZINC000340932979 523141854 /nfs/dbraw/zinc/14/18/54/523141854.db2.gz UGPXATQBIMIUEB-CQSZACIVSA-N 0 0 296.371 2.515 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1CCC(OC)CC1 ZINC000360990195 523143151 /nfs/dbraw/zinc/14/31/51/523143151.db2.gz JMNITJWJMDMJOA-UHFFFAOYSA-N 0 0 296.371 2.737 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC(C)(C)CCOC ZINC000312574029 523143369 /nfs/dbraw/zinc/14/33/69/523143369.db2.gz MOEUQWZSIYUFLB-UHFFFAOYSA-N 0 0 284.360 2.594 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCc1ccccc1F ZINC000313840272 523144283 /nfs/dbraw/zinc/14/42/83/523144283.db2.gz PZEFNOGDRCLTLU-UHFFFAOYSA-N 0 0 292.314 2.913 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Nc1ccc2c(c1)COC2 ZINC000361047317 523145338 /nfs/dbraw/zinc/14/53/38/523145338.db2.gz XNBUGHVVXSSHGY-UHFFFAOYSA-N 0 0 288.307 2.893 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](CO)CCC(C)(C)C ZINC000340898235 523145585 /nfs/dbraw/zinc/14/55/85/523145585.db2.gz JIQPYUWQMRZWCR-NSHDSACASA-N 0 0 298.387 2.719 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1[C@H](C)[C@H](OC)C1(C)C ZINC000361042816 523145845 /nfs/dbraw/zinc/14/58/45/523145845.db2.gz MLVCGLVQLNILJM-GDPRMGEGSA-N 0 0 296.371 2.591 20 5 CFBDRN COCc1csc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000231720491 523154360 /nfs/dbraw/zinc/15/43/60/523154360.db2.gz CJHTUVIFWAOMSM-UHFFFAOYSA-N 0 0 250.279 2.865 20 5 CFBDRN CC[C@H]1CN(c2cc([N+](=O)[O-])ccc2C(C)=O)CCCO1 ZINC000340868052 523304801 /nfs/dbraw/zinc/30/48/01/523304801.db2.gz BQBWAPQUNZRUTI-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC(F)(F)C1 ZINC000427353218 534703924 /nfs/dbraw/zinc/70/39/24/534703924.db2.gz XBXQTRFJMJFLJE-LLVKDONJSA-N 0 0 298.289 2.831 20 5 CFBDRN CO[C@@H](C)CCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000181024249 523376534 /nfs/dbraw/zinc/37/65/34/523376534.db2.gz JNQUPGAPDXPZDP-QWRGUYRKSA-N 0 0 292.335 2.688 20 5 CFBDRN CN(Cc1ccco1)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000195204061 523432907 /nfs/dbraw/zinc/43/29/07/523432907.db2.gz OPXFNZLUIZNPED-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN CN(Cc1cn2c(n1)CCCC2)c1ccc([N+](=O)[O-])cc1 ZINC000365046288 523493236 /nfs/dbraw/zinc/49/32/36/523493236.db2.gz QGJRYVRQZSGCES-UHFFFAOYSA-N 0 0 286.335 2.764 20 5 CFBDRN CC[C@](C)(O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000214059345 523512936 /nfs/dbraw/zinc/51/29/36/523512936.db2.gz CJRKSAMSNOQVCC-AWEZNQCLSA-N 0 0 275.308 2.716 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000338462961 523559178 /nfs/dbraw/zinc/55/91/78/523559178.db2.gz VGZWSKYXLDTWQW-QWHCGFSZSA-N 0 0 278.308 2.739 20 5 CFBDRN CCn1cnc2c1ncnc2Oc1cccc(C)c1[N+](=O)[O-] ZINC000339288810 523573656 /nfs/dbraw/zinc/57/36/56/523573656.db2.gz FJHFKHHHNNJADQ-UHFFFAOYSA-N 0 0 299.290 2.855 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N(CC(F)(F)F)C1CCC1 ZINC000175060694 534720254 /nfs/dbraw/zinc/72/02/54/534720254.db2.gz MIZGVAQIGJFJAA-UHFFFAOYSA-N 0 0 292.213 2.745 20 5 CFBDRN COC(=O)[C@@H](Sc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000313477715 523652258 /nfs/dbraw/zinc/65/22/58/523652258.db2.gz YZHHMYCWRPOXSJ-NSHDSACASA-N 0 0 267.306 2.639 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H](C)C(C)C ZINC000091556184 523668626 /nfs/dbraw/zinc/66/86/26/523668626.db2.gz XQVYXJHZQCYHAI-SECBINFHSA-N 0 0 254.334 2.824 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CC[C@@H](C)C1 ZINC000230431444 523669397 /nfs/dbraw/zinc/66/93/97/523669397.db2.gz XZWQZRNQPOARAD-MWLCHTKSSA-N 0 0 266.345 2.968 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000231682556 523703714 /nfs/dbraw/zinc/70/37/14/523703714.db2.gz ZRABOGUDRRFBSO-VXNVDRBHSA-N 0 0 271.704 2.623 20 5 CFBDRN CCc1c(C(=O)Nc2cccc([N+](=O)[O-])c2)ccn1C ZINC000361334980 523703765 /nfs/dbraw/zinc/70/37/65/523703765.db2.gz IWXMDJNCVYOPAN-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN CCc1c(C(=O)Nc2ccc([N+](=O)[O-])cc2)ccn1C ZINC000361329130 523705090 /nfs/dbraw/zinc/70/50/90/523705090.db2.gz WHVFJZUTIGRSAT-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN CCn1nccc1CN(C)c1cc(C)ccc1[N+](=O)[O-] ZINC000340869260 523723595 /nfs/dbraw/zinc/72/35/95/523723595.db2.gz CZYWTODWRAMLJE-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN COc1ccc(C(=O)Nc2csc(C)n2)cc1[N+](=O)[O-] ZINC000338130926 523762061 /nfs/dbraw/zinc/76/20/61/523762061.db2.gz BBAAUEJUXZMFIW-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CCc1cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)ccc1F ZINC000340755124 523816368 /nfs/dbraw/zinc/81/63/68/523816368.db2.gz SNMKWSGZSOOSSF-UHFFFAOYSA-N 0 0 277.255 2.877 20 5 CFBDRN CN(C(=O)Cc1cccc([N+](=O)[O-])c1)c1ccc(F)cc1 ZINC000172844017 523851213 /nfs/dbraw/zinc/85/12/13/523851213.db2.gz LTCSWVNYNQHERN-UHFFFAOYSA-N 0 0 288.278 2.939 20 5 CFBDRN CO[C@H](C)CCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000181636261 523863151 /nfs/dbraw/zinc/86/31/51/523863151.db2.gz FKHPOQBKMSAYOI-VXGBXAGGSA-N 0 0 294.351 2.896 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000050748660 523868042 /nfs/dbraw/zinc/86/80/42/523868042.db2.gz DGXAIUOMNCZEKC-JTQLQIEISA-N 0 0 279.340 2.755 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CC1(C)C ZINC000362420694 523886898 /nfs/dbraw/zinc/88/68/98/523886898.db2.gz RPQUADYIZLKXFS-NSHDSACASA-N 0 0 263.297 2.857 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000174129862 523893718 /nfs/dbraw/zinc/89/37/18/523893718.db2.gz FYWAUEGPUPCXNM-NWDGAFQWSA-N 0 0 294.351 2.915 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CSC2(C)C)cc1[N+](=O)[O-] ZINC000338490429 523893977 /nfs/dbraw/zinc/89/39/77/523893977.db2.gz DACWNZULOJDMCT-GFCCVEGCSA-N 0 0 294.376 2.781 20 5 CFBDRN CN(C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12)[C@H]1CC1(C)C ZINC000336110107 523916905 /nfs/dbraw/zinc/91/69/05/523916905.db2.gz NYYLGKTYYQRKTJ-ZDUSSCGKSA-N 0 0 287.319 2.947 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])ccc1N)c1ccc(F)cc1 ZINC000050708084 523923545 /nfs/dbraw/zinc/92/35/45/523923545.db2.gz YRFJZSGRBXXOIB-UHFFFAOYSA-N 0 0 289.266 2.593 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccccn1 ZINC000070231837 523937515 /nfs/dbraw/zinc/93/75/15/523937515.db2.gz CREYUPWVTIYDOF-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CCc1ccc(NC(=O)c2ccc([N+](=O)[O-])n2C)cc1 ZINC000340661647 523991875 /nfs/dbraw/zinc/99/18/75/523991875.db2.gz XFDJIWMFPIFDHO-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1nccc(C)n1 ZINC000362344887 524057078 /nfs/dbraw/zinc/05/70/78/524057078.db2.gz IXLJZNOYDNCVHX-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(F)cn1 ZINC000362345894 524057240 /nfs/dbraw/zinc/05/72/40/524057240.db2.gz QKFNMNXJPPHCGJ-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cscn1 ZINC000311479915 524057503 /nfs/dbraw/zinc/05/75/03/524057503.db2.gz ASEVRZQUNZIISF-UHFFFAOYSA-N 0 0 277.305 2.866 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000157094472 524134579 /nfs/dbraw/zinc/13/45/79/524134579.db2.gz VMBPXHHBNNUFTP-BXKDBHETSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H]1CCC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000056265912 524139087 /nfs/dbraw/zinc/13/90/87/524139087.db2.gz HSCCBFAILZVETN-VHSXEESVSA-N 0 0 266.297 2.744 20 5 CFBDRN C[C@H](CCCO)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000088503645 524152557 /nfs/dbraw/zinc/15/25/57/524152557.db2.gz CWIBGJMSGZFMJF-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN COc1cccc([C@H](C)Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000354524064 524159698 /nfs/dbraw/zinc/15/96/98/524159698.db2.gz RRXQZZNJXCBXNI-VIFPVBQESA-N 0 0 274.280 2.567 20 5 CFBDRN Cc1cc(C(=O)Nc2ccncc2)cc([N+](=O)[O-])c1 ZINC000049157598 524182015 /nfs/dbraw/zinc/18/20/15/524182015.db2.gz GLMMKJUNIKUVDH-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2c([N+](=O)[O-])ncn2C)C1 ZINC000217923637 524198058 /nfs/dbraw/zinc/19/80/58/524198058.db2.gz AMRAKJTXCHHUFI-UWVGGRQHSA-N 0 0 252.318 2.567 20 5 CFBDRN C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000157371097 524272258 /nfs/dbraw/zinc/27/22/58/524272258.db2.gz AQMQYWZWZMNKIU-SNVBAGLBSA-N 0 0 278.308 2.596 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])o2)c(C)c1 ZINC000065572424 524335011 /nfs/dbraw/zinc/33/50/11/524335011.db2.gz DEQLAVHDRKEPCP-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](CO)CC2CCC2)n1 ZINC000360100219 524335842 /nfs/dbraw/zinc/33/58/42/524335842.db2.gz COFMTRCDJKTEJR-GFCCVEGCSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CS[C@@H](C)C1 ZINC000335054651 524338918 /nfs/dbraw/zinc/33/89/18/524338918.db2.gz STNSSJOPNWZLAZ-QWRGUYRKSA-N 0 0 294.376 2.835 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000334070799 524356559 /nfs/dbraw/zinc/35/65/59/524356559.db2.gz RYEWUJXWNRJSJM-WDEREUQCSA-N 0 0 262.309 2.761 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000158404730 524366247 /nfs/dbraw/zinc/36/62/47/524366247.db2.gz UJOMNFAVAMQKBU-GXSJLCMTSA-N 0 0 263.297 2.905 20 5 CFBDRN C[C@@H]1CCC[C@H](N(C)C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000074510282 524389626 /nfs/dbraw/zinc/38/96/26/524389626.db2.gz ZLNKJXBIOMBTNY-ZJUUUORDSA-N 0 0 266.297 2.839 20 5 CFBDRN C[C@H](CO)CCCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000228306524 524523282 /nfs/dbraw/zinc/52/32/82/524523282.db2.gz SKVQIOMTHAYDTE-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN COc1ccc(NC(=O)NCCC2CC2)cc1[N+](=O)[O-] ZINC000360409405 524562342 /nfs/dbraw/zinc/56/23/42/524562342.db2.gz WFKOWSWYKULGTQ-UHFFFAOYSA-N 0 0 279.296 2.525 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336448768 524622460 /nfs/dbraw/zinc/62/24/60/524622460.db2.gz JTXBLBPHAYFDIP-SCZZXKLOSA-N 0 0 273.292 2.604 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000228129430 524639878 /nfs/dbraw/zinc/63/98/78/524639878.db2.gz KNRQANQRMAUNPQ-ZJUUUORDSA-N 0 0 275.308 2.714 20 5 CFBDRN COc1ccc(NC(=O)c2scnc2C)c([N+](=O)[O-])c1 ZINC000340179995 524664503 /nfs/dbraw/zinc/66/45/03/524664503.db2.gz DVCJMECPVRXLHU-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1cccc(NC[C@H]2CCC[C@H](O)C2)c1[N+](=O)[O-] ZINC000218859495 524682346 /nfs/dbraw/zinc/68/23/46/524682346.db2.gz VJJXYWLJOYOIJW-RYUDHWBXSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@@H](CO)CCCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000228304504 524771336 /nfs/dbraw/zinc/77/13/36/524771336.db2.gz ZUSOSHVMDLNMQN-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@H](CO)CNC(=O)c1cc2ccccc2c2cccnc12 ZINC000305223341 524774944 /nfs/dbraw/zinc/77/49/44/524774944.db2.gz URJYLXNKNRVIAH-GFCCVEGCSA-N 0 0 294.354 2.746 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000336184272 524889171 /nfs/dbraw/zinc/88/91/71/524889171.db2.gz PBDMFYYVUYMRSE-IUCAKERBSA-N 0 0 266.272 2.604 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@H]2CCC[C@@H](C1)C2O ZINC000172016014 524907284 /nfs/dbraw/zinc/90/72/84/524907284.db2.gz CYTIUBWUYVRHKT-ODOQXGPZSA-N 0 0 276.336 2.500 20 5 CFBDRN Cc1cc(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)no1 ZINC000072626289 524922988 /nfs/dbraw/zinc/92/29/88/524922988.db2.gz XNUBVBQSAXIJLS-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN C[C@@H](Cn1cccn1)Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000315265844 524953879 /nfs/dbraw/zinc/95/38/79/524953879.db2.gz CXRCOILAFQIFQZ-NSHDSACASA-N 0 0 297.318 2.840 20 5 CFBDRN CC(=O)c1cc(NC[C@]2(C)CCOC2)ccc1[N+](=O)[O-] ZINC000342133905 534822555 /nfs/dbraw/zinc/82/25/55/534822555.db2.gz RJYWPUWZPBVWIZ-AWEZNQCLSA-N 0 0 278.308 2.636 20 5 CFBDRN COc1ccc(OCc2ccnc(OC)c2)c([N+](=O)[O-])c1 ZINC000339611877 524974077 /nfs/dbraw/zinc/97/40/77/524974077.db2.gz XTCNCWSXVSTSOM-UHFFFAOYSA-N 0 0 290.275 2.586 20 5 CFBDRN Cc1cc(N(C)[C@@H](C)C(=O)OC(C)C)c(F)cc1[N+](=O)[O-] ZINC000360083555 525028774 /nfs/dbraw/zinc/02/87/74/525028774.db2.gz QHXKHHMEAOAXLY-JTQLQIEISA-N 0 0 298.314 2.819 20 5 CFBDRN Cc1ccccc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049379755 525058299 /nfs/dbraw/zinc/05/82/99/525058299.db2.gz WKDGRJQGFGNVEN-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN Cc1cc(NC(=O)C(C)C)n(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000068120611 525114139 /nfs/dbraw/zinc/11/41/39/525114139.db2.gz DCDSEBLXLPYULQ-UHFFFAOYSA-N 0 0 288.307 2.683 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000339263638 525129678 /nfs/dbraw/zinc/12/96/78/525129678.db2.gz HUDYXPRNAXXISJ-RYUDHWBXSA-N 0 0 299.330 2.971 20 5 CFBDRN Cc1ccccc1CNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000056459677 525156880 /nfs/dbraw/zinc/15/68/80/525156880.db2.gz DXFOEDLTCUYAEV-UHFFFAOYSA-N 0 0 299.330 2.903 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000364816862 525232412 /nfs/dbraw/zinc/23/24/12/525232412.db2.gz UIRVJDAPBCRSFV-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN C[C@@H]1C[C@@H](CCNc2ccc([N+](=O)[O-])nc2)C[C@H](C)O1 ZINC000338484427 525235083 /nfs/dbraw/zinc/23/50/83/525235083.db2.gz KLZNZPVZIFWXPY-ZSBIGDGJSA-N 0 0 279.340 2.995 20 5 CFBDRN Cc1cc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])n[nH]1 ZINC000070163222 525247078 /nfs/dbraw/zinc/24/70/78/525247078.db2.gz FSLWCKOVSHNHNY-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN C[C@H](NC(=O)c1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000049217378 525255633 /nfs/dbraw/zinc/25/56/33/525255633.db2.gz ONUQPBWBWBDZDQ-VIFPVBQESA-N 0 0 260.249 2.679 20 5 CFBDRN Cc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)nc1 ZINC000340647326 525257739 /nfs/dbraw/zinc/25/77/39/525257739.db2.gz CKCOMZJOLUBIKL-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1cc(NCCC(=O)NC(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000313879581 525267006 /nfs/dbraw/zinc/26/70/06/525267006.db2.gz AGSJYWVRPCBEJS-UHFFFAOYSA-N 0 0 297.330 2.759 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000086015909 525272905 /nfs/dbraw/zinc/27/29/05/525272905.db2.gz JGLWMGDONKLWGC-SMDDNHRTSA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@]1(F)CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000334179158 525281017 /nfs/dbraw/zinc/28/10/17/525281017.db2.gz CGILFLLTGLPTMR-AWEZNQCLSA-N 0 0 292.266 2.915 20 5 CFBDRN Cc1ccc(NCc2ccc(C(N)=O)cc2[N+](=O)[O-])cc1 ZINC000071813439 525282794 /nfs/dbraw/zinc/28/27/94/525282794.db2.gz VBINUUKHLRKKDY-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cc1cc(N[C@@H](C)c2ccccn2)ncc1[N+](=O)[O-] ZINC000073000262 525292246 /nfs/dbraw/zinc/29/22/46/525292246.db2.gz MHKQMUCUZGEDOE-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCCOCC1)c1ccccc1[N+](=O)[O-] ZINC000362722333 525293524 /nfs/dbraw/zinc/29/35/24/525293524.db2.gz FXWKNAIKYBERRB-NEPJUHHUSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1cc(N[C@H](C)Cn2ccnc2)ccc1[N+](=O)[O-] ZINC000049236103 525300711 /nfs/dbraw/zinc/30/07/11/525300711.db2.gz XNYWIMLBXGPFIN-LLVKDONJSA-N 0 0 260.297 2.600 20 5 CFBDRN Cc1cc(O[C@H](C)[C@H](C)O)c(Cl)cc1[N+](=O)[O-] ZINC000310248480 525381771 /nfs/dbraw/zinc/38/17/71/525381771.db2.gz VPGLVNNPJUJVRZ-JGVFFNPUSA-N 0 0 259.689 2.705 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000336064737 525392583 /nfs/dbraw/zinc/39/25/83/525392583.db2.gz CJGIDCWALSYDLH-AOOOYVTPSA-N 0 0 287.319 2.628 20 5 CFBDRN Cc1ccc(OC[C@@](C)(O)C(F)(F)F)cc1[N+](=O)[O-] ZINC000358626041 525434214 /nfs/dbraw/zinc/43/42/14/525434214.db2.gz AJEXGFPROPDFNY-SNVBAGLBSA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1ccc(OCc2ncc(C)cn2)c([N+](=O)[O-])c1 ZINC000361085973 525450513 /nfs/dbraw/zinc/45/05/13/525450513.db2.gz GVAUHJPMGJGDJL-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@H]2CCC[C@H](CO)C2)c1 ZINC000315093297 525452784 /nfs/dbraw/zinc/45/27/84/525452784.db2.gz LBLDFAOYCKFLCU-RYUDHWBXSA-N 0 0 294.351 2.814 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC[C@@H]1C ZINC000061197728 525456067 /nfs/dbraw/zinc/45/60/67/525456067.db2.gz XOFVOQGDKRHNJG-GXFFZTMASA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H]1C[C@H]1CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000361545828 525483409 /nfs/dbraw/zinc/48/34/09/525483409.db2.gz OQRDJNBLLHJDRH-VWYCJHECSA-N 0 0 274.320 2.919 20 5 CFBDRN C[C@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccnc1 ZINC000181625435 525485981 /nfs/dbraw/zinc/48/59/81/525485981.db2.gz SLQOBKWLLFIHKO-JTQLQIEISA-N 0 0 298.302 2.982 20 5 CFBDRN Cc1cccnc1CNCc1ccccc1[N+](=O)[O-] ZINC000071339562 525525556 /nfs/dbraw/zinc/52/55/56/525525556.db2.gz RVJLVJMNIVVJLX-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN C[C@@H](NCc1ccccc1[N+](=O)[O-])c1nccs1 ZINC000080268422 525539956 /nfs/dbraw/zinc/53/99/56/525539956.db2.gz VKTAKQVPQHZFIO-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC(CF)C1 ZINC000334901990 525553620 /nfs/dbraw/zinc/55/36/20/525553620.db2.gz CJRLSTMAJPZRQZ-UHFFFAOYSA-N 0 0 281.287 2.645 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCCOC(C)(C)C1 ZINC000377711649 525558543 /nfs/dbraw/zinc/55/85/43/525558543.db2.gz AFLMFNFUMGZYML-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC1CC(F)(F)C1 ZINC000336421377 525569441 /nfs/dbraw/zinc/56/94/41/525569441.db2.gz SGSCYCILSSYAAL-UHFFFAOYSA-N 0 0 285.250 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CSC[C@@H]1C ZINC000334286567 525570221 /nfs/dbraw/zinc/57/02/21/525570221.db2.gz HCRSSYNTJJOSAF-CABZTGNLSA-N 0 0 295.364 2.776 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1CC[C@H](C)O1 ZINC000128037274 525571018 /nfs/dbraw/zinc/57/10/18/525571018.db2.gz BNKNBAURUGWKFB-JQWIXIFHSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC2CCC(F)(F)CC2)c1=O ZINC000334810900 525573052 /nfs/dbraw/zinc/57/30/52/525573052.db2.gz BQRPFVLWMOQECL-UHFFFAOYSA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(Cc2cccc3cccnc32)c1=O ZINC000155866587 525575325 /nfs/dbraw/zinc/57/53/25/525575325.db2.gz RVFASSVQPNPOHW-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1ccc(O)cc1 ZINC000052812567 525576103 /nfs/dbraw/zinc/57/61/03/525576103.db2.gz JEZBTNRHCADVEF-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@H](CO)c2ccccc21 ZINC000376991491 525578977 /nfs/dbraw/zinc/57/89/77/525578977.db2.gz KPTRTDMJXNWBAZ-IAQYHMDHSA-N 0 0 299.330 2.931 20 5 CFBDRN Cc1ccn(C[C@H]2CCCC2(F)F)c(=O)c1[N+](=O)[O-] ZINC000336260705 525603660 /nfs/dbraw/zinc/60/36/60/525603660.db2.gz UOECKBXXOHJUFZ-SECBINFHSA-N 0 0 272.251 2.500 20 5 CFBDRN Cc1ccnc(COc2cc([N+](=O)[O-])ccc2C)n1 ZINC000339304065 525653381 /nfs/dbraw/zinc/65/33/81/525653381.db2.gz JNBKSHAYJPJAEU-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CSC[C@H](C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000066763735 525671042 /nfs/dbraw/zinc/67/10/42/525671042.db2.gz KJJSKIPTSSTLEX-UWVGGRQHSA-N 0 0 282.365 2.771 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000066756185 525674677 /nfs/dbraw/zinc/67/46/77/525674677.db2.gz FSFBVVQZGZZRCU-QMMMGPOBSA-N 0 0 254.311 2.532 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cn1)C1CCCCC1 ZINC000052340900 525681679 /nfs/dbraw/zinc/68/16/79/525681679.db2.gz OBWDRLDCEDJQAT-VIFPVBQESA-N 0 0 250.302 2.766 20 5 CFBDRN Cc1c(CC(=O)NC2CCCCC2)cccc1[N+](=O)[O-] ZINC000158112315 525691562 /nfs/dbraw/zinc/69/15/62/525691562.db2.gz BTUDQXLMJDQREU-UHFFFAOYSA-N 0 0 276.336 2.895 20 5 CFBDRN C[C@H](O)C1CCN(Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000182164387 525700445 /nfs/dbraw/zinc/70/04/45/525700445.db2.gz FZLYGVFTTCTIRJ-JTQLQIEISA-N 0 0 298.770 2.841 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000336308708 525702345 /nfs/dbraw/zinc/70/23/45/525702345.db2.gz SKQBLYPEJVNUHM-PWSUYJOCSA-N 0 0 295.314 2.718 20 5 CFBDRN C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])c1cn[nH]c1 ZINC000229871879 525706538 /nfs/dbraw/zinc/70/65/38/525706538.db2.gz HYYBGRIAIHCPIG-SSDOTTSWSA-N 0 0 250.233 2.630 20 5 CFBDRN Cc1c(CNC(=O)CC(C)(C)C)cccc1[N+](=O)[O-] ZINC000360524612 525711536 /nfs/dbraw/zinc/71/15/36/525711536.db2.gz JACOESXDQIQMKG-UHFFFAOYSA-N 0 0 264.325 2.956 20 5 CFBDRN Cc1c(CNC(=O)N2CC[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000334073723 525714201 /nfs/dbraw/zinc/71/42/01/525714201.db2.gz AGFKCYSBOZGXLX-ZYHUDNBSSA-N 0 0 291.351 2.843 20 5 CFBDRN C[C@@H](O)CCCOc1ccc([N+](=O)[O-])cc1Cl ZINC000310214270 525758961 /nfs/dbraw/zinc/75/89/61/525758961.db2.gz FNURJRKKYJYIPI-MRVPVSSYSA-N 0 0 259.689 2.788 20 5 CFBDRN Cc1c(NC(=O)COc2ccsc2)cccc1[N+](=O)[O-] ZINC000337202800 525836176 /nfs/dbraw/zinc/83/61/76/525836176.db2.gz FMNJQXJAUBPIAK-UHFFFAOYSA-N 0 0 292.316 2.982 20 5 CFBDRN Cc1c(NC(=O)N2CCC[C@H]2CF)cccc1[N+](=O)[O-] ZINC000336307966 525841442 /nfs/dbraw/zinc/84/14/42/525841442.db2.gz IUJBLMHKBGHENV-JTQLQIEISA-N 0 0 281.287 2.869 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000335078427 525862255 /nfs/dbraw/zinc/86/22/55/525862255.db2.gz FENQCCBKMYGFPO-CQSZACIVSA-N 0 0 291.282 2.650 20 5 CFBDRN Cc1c(Nc2cc(C)c([N+](=O)[O-])cc2F)cnn1C ZINC000360073243 525878679 /nfs/dbraw/zinc/87/86/79/525878679.db2.gz OLDGHFAOIDZDDN-UHFFFAOYSA-N 0 0 264.260 2.828 20 5 CFBDRN Cc1c(OCC2(O)CCCC2)cccc1[N+](=O)[O-] ZINC000087464020 525881309 /nfs/dbraw/zinc/88/13/09/525881309.db2.gz GLJDOXWICYQGQE-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](CC(F)(F)F)C2)c(F)c1 ZINC000413269664 534888940 /nfs/dbraw/zinc/88/89/40/534888940.db2.gz SURGMQZSKYRGFR-SSDOTTSWSA-N 0 0 293.220 2.908 20 5 CFBDRN CSc1ccc(CNc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000048962895 526007472 /nfs/dbraw/zinc/00/74/72/526007472.db2.gz ODBIJZOBEGXEFF-UHFFFAOYSA-N 0 0 292.364 2.971 20 5 CFBDRN Cc1c[nH]cc1C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000334385939 526011481 /nfs/dbraw/zinc/01/14/81/526011481.db2.gz NNTGMTFVBNUEOC-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H]1CCCC(F)(F)C1 ZINC000336576378 526053273 /nfs/dbraw/zinc/05/32/73/526053273.db2.gz AOMNGMAANQYWCH-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)c2ccnc(C)c2)c1 ZINC000361949504 526053669 /nfs/dbraw/zinc/05/36/69/526053669.db2.gz KJDPMGRTKPWVAJ-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1cnc(COc2ccc([N+](=O)[O-])cc2C)cn1 ZINC000339180580 526056292 /nfs/dbraw/zinc/05/62/92/526056292.db2.gz FFHBFAFEEWKCSY-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCCCS2)n1 ZINC000162643267 526061034 /nfs/dbraw/zinc/06/10/34/526061034.db2.gz PTTJPRHWOBSVSP-JTQLQIEISA-N 0 0 267.354 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ncccc2C)n1 ZINC000162623773 526061575 /nfs/dbraw/zinc/06/15/75/526061575.db2.gz CJLWONASSWDONM-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](CO)CC(C)C)c1 ZINC000084726412 526062128 /nfs/dbraw/zinc/06/21/28/526062128.db2.gz JDZWPWDOFGIWBR-LLVKDONJSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc(C(N)=O)cc2)c1 ZINC000157392604 526062326 /nfs/dbraw/zinc/06/23/26/526062326.db2.gz SSIGJXOCYLCQIU-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ccc(-n3cncn3)cc2)n1 ZINC000360869269 526064036 /nfs/dbraw/zinc/06/40/36/526064036.db2.gz DSSZPPNKXHJEEW-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000334814197 526066545 /nfs/dbraw/zinc/06/65/45/526066545.db2.gz KPZDVWCMASAULE-UHFFFAOYSA-N 0 0 260.249 2.773 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CS[C@@H](C)C1 ZINC000335450623 526073796 /nfs/dbraw/zinc/07/37/96/526073796.db2.gz AHYAPQPZRZWEBJ-VHSXEESVSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)C[C@@H](O)C(F)(F)F ZINC000305781355 526079060 /nfs/dbraw/zinc/07/90/60/526079060.db2.gz UMUZKAISTUTBOS-GZMMTYOYSA-N 0 0 292.257 2.511 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](F)C2)c1 ZINC000334868960 526079303 /nfs/dbraw/zinc/07/93/03/526079303.db2.gz VBFRNZDALOPMBL-QMMMGPOBSA-N 0 0 284.312 2.501 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CC(F)F ZINC000164187913 526081759 /nfs/dbraw/zinc/08/17/59/526081759.db2.gz KUYGATXXRLMUBB-UHFFFAOYSA-N 0 0 273.239 2.632 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CS[C@@H](C)C1 ZINC000334824586 526082462 /nfs/dbraw/zinc/08/24/62/526082462.db2.gz ZDQCBHUUDUWWBW-VHSXEESVSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CS[C@H](C)C1 ZINC000334824582 526082821 /nfs/dbraw/zinc/08/28/21/526082821.db2.gz ZDQCBHUUDUWWBW-NXEZZACHSA-N 0 0 295.364 2.919 20 5 CFBDRN CSc1cccc(C(=O)N2[C@H](C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000335768365 526090257 /nfs/dbraw/zinc/09/02/57/526090257.db2.gz CRCCACMHXBMWTH-DTORHVGOSA-N 0 0 280.349 2.940 20 5 CFBDRN CC(C)O[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])nc2)C1(C)C ZINC000463486347 534904191 /nfs/dbraw/zinc/90/41/91/534904191.db2.gz ZDJMJCBXGADQLD-VXGBXAGGSA-N 0 0 279.340 2.994 20 5 CFBDRN C[C@H](c1ccccn1)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000159620116 526125837 /nfs/dbraw/zinc/12/58/37/526125837.db2.gz LEVIHVAAJGFFRM-LLVKDONJSA-N 0 0 284.319 2.504 20 5 CFBDRN C[C@H](c1ccncc1)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000049349428 526159204 /nfs/dbraw/zinc/15/92/04/526159204.db2.gz QLMIMVSWNOCGFB-SECBINFHSA-N 0 0 291.332 2.885 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c(O)c1 ZINC000055796834 526187205 /nfs/dbraw/zinc/18/72/05/526187205.db2.gz HVLYIBQDSULGRK-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN COc1cccc(NCCc2ccco2)c1[N+](=O)[O-] ZINC000134907856 526207803 /nfs/dbraw/zinc/20/78/03/526207803.db2.gz VJCUUOFOQFBIDT-UHFFFAOYSA-N 0 0 262.265 2.851 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000336137856 526233495 /nfs/dbraw/zinc/23/34/95/526233495.db2.gz QCRGEFFVGVYPNA-LBPRGKRZSA-N 0 0 288.347 2.928 20 5 CFBDRN Cc1cc(C(=O)N2CC(C)=C[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000335275261 526235082 /nfs/dbraw/zinc/23/50/82/526235082.db2.gz UVYDNMPTSCUPFU-LLVKDONJSA-N 0 0 274.320 2.941 20 5 CFBDRN Cc1cnccc1CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000228704733 526235586 /nfs/dbraw/zinc/23/55/86/526235586.db2.gz LJKVPWCUBPKRRN-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1noc(C)c1CCNc1nc(C)ccc1[N+](=O)[O-] ZINC000178217503 526498286 /nfs/dbraw/zinc/49/82/86/526498286.db2.gz JITUNRIRTOJQIT-UHFFFAOYSA-N 0 0 276.296 2.558 20 5 CFBDRN O=C(NC[C@@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000049278457 526501443 /nfs/dbraw/zinc/50/14/43/526501443.db2.gz KYRQPINVLIDPLH-LLVKDONJSA-N 0 0 260.293 2.681 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCCCC1 ZINC000336281524 526509916 /nfs/dbraw/zinc/50/99/16/526509916.db2.gz GADLCTAQXZSMBO-UONOGXRCSA-N 0 0 274.320 2.711 20 5 CFBDRN CN(C[C@H]1CCOC1)c1nccc2c1cccc2[N+](=O)[O-] ZINC000450370561 536451896 /nfs/dbraw/zinc/45/18/96/536451896.db2.gz ZFVYMCSLDQHVSA-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CC[C@@H](C2CC2)C1 ZINC000378318369 526616082 /nfs/dbraw/zinc/61/60/82/526616082.db2.gz UHGIBMDOJJASMN-SECBINFHSA-N 0 0 296.273 2.745 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@H]1CF ZINC000336034534 526636805 /nfs/dbraw/zinc/63/68/05/526636805.db2.gz QPEHXFPOBMDVOD-NSHDSACASA-N 0 0 292.266 2.915 20 5 CFBDRN CC(C)(C)[C@@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000413985333 526659602 /nfs/dbraw/zinc/65/96/02/526659602.db2.gz IQOARYMGHFYULL-MNOVXSKESA-N 0 0 279.340 2.868 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccnc(C2CC2)c1 ZINC000361100047 526761914 /nfs/dbraw/zinc/76/19/14/526761914.db2.gz HFBUIMXLTPITLM-UHFFFAOYSA-N 0 0 297.314 2.797 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCc2cc(F)ccc21 ZINC000336334694 526778673 /nfs/dbraw/zinc/77/86/73/526778673.db2.gz FANYRXVBPNCGKF-UHFFFAOYSA-N 0 0 286.262 2.937 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)NCCF)cc1[N+](=O)[O-] ZINC000347214344 526782887 /nfs/dbraw/zinc/78/28/87/526782887.db2.gz KRMLPYAPADRQCV-UHFFFAOYSA-N 0 0 283.303 2.983 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC2CCC1CC2 ZINC000361991089 526811957 /nfs/dbraw/zinc/81/19/57/526811957.db2.gz MDPNOMLCMYMCBI-UHFFFAOYSA-N 0 0 260.293 2.609 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccccc1F ZINC000067704037 526902369 /nfs/dbraw/zinc/90/23/69/526902369.db2.gz SAJRPQWICFAELZ-UHFFFAOYSA-N 0 0 289.266 2.785 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)NCCC1CCCC1 ZINC000193178507 526967075 /nfs/dbraw/zinc/96/70/75/526967075.db2.gz MEFRFABNAPAQOR-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])Nc1ccsc1 ZINC000118628214 526995742 /nfs/dbraw/zinc/99/57/42/526995742.db2.gz RVNYRZZNSVHBPV-UHFFFAOYSA-N 0 0 278.289 2.674 20 5 CFBDRN NC(=O)[C@H]1CCC[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000150897619 527006490 /nfs/dbraw/zinc/00/64/90/527006490.db2.gz RGGJPFNMVODCSF-DTWKUNHWSA-N 0 0 297.742 2.704 20 5 CFBDRN O=C(N[C@H](c1cccs1)C1CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000340561767 527010464 /nfs/dbraw/zinc/01/04/64/527010464.db2.gz OVRPTLZPQLWGJV-LBPRGKRZSA-N 0 0 291.332 2.866 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@H]1CC[C@H](F)C1 ZINC000335964134 527123870 /nfs/dbraw/zinc/12/38/70/527123870.db2.gz RVWUZYIBNMQVNJ-CBAPKCEASA-N 0 0 285.250 2.746 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@@]12C[C@@H]1CCC2 ZINC000335049574 527124680 /nfs/dbraw/zinc/12/46/80/527124680.db2.gz YGCHDMIPQCKKHV-SDBXPKJASA-N 0 0 264.256 2.863 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@H]1C=CCCC1 ZINC000127884641 527248754 /nfs/dbraw/zinc/24/87/54/527248754.db2.gz ANLHXBXUZJQAOD-NSHDSACASA-N 0 0 278.283 2.501 20 5 CFBDRN CC(C)(NC(=O)CC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000176506211 527265177 /nfs/dbraw/zinc/26/51/77/527265177.db2.gz BIMHJXCIWIGIIP-UHFFFAOYSA-N 0 0 290.241 2.899 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC2(CC2)CC1 ZINC000364614995 527271106 /nfs/dbraw/zinc/27/11/06/527271106.db2.gz TXETUUXNLIZCDH-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN O=C(Nc1ccc2scnc2c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000337570452 527307077 /nfs/dbraw/zinc/30/70/77/527307077.db2.gz CVQAZFPAJZOBJQ-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1C1CCC1 ZINC000170852426 527311207 /nfs/dbraw/zinc/31/12/07/527311207.db2.gz BLJNYJKGYVJNCR-AWEZNQCLSA-N 0 0 289.335 2.703 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H]2CCCCC[C@@H]21 ZINC000378092680 527313271 /nfs/dbraw/zinc/31/32/71/527313271.db2.gz WKVKHGWQXBOCKY-RISCZKNCSA-N 0 0 289.335 2.703 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H]2CCCCC[C@H]21 ZINC000378092674 527313626 /nfs/dbraw/zinc/31/36/26/527313626.db2.gz WKVKHGWQXBOCKY-BXUZGUMPSA-N 0 0 289.335 2.703 20 5 CFBDRN O=[N+]([O-])c1c(NCc2cccc(O)c2)nc2sccn21 ZINC000049227844 527321562 /nfs/dbraw/zinc/32/15/62/527321562.db2.gz LBESSTNDPDAWJJ-UHFFFAOYSA-N 0 0 290.304 2.622 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H]1CCC[C@H](O)C1 ZINC000078304142 527336604 /nfs/dbraw/zinc/33/66/04/527336604.db2.gz PLLOFQMIZSQRDO-KOLCDFICSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000193846960 527336845 /nfs/dbraw/zinc/33/68/45/527336845.db2.gz AWPZLKSVDSZMDP-SNVBAGLBSA-N 0 0 290.298 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3ncsc3Cl)no2)[nH]1 ZINC000361357049 527343306 /nfs/dbraw/zinc/34/33/06/527343306.db2.gz VAPZXLCKBBJLEC-UHFFFAOYSA-N 0 0 297.683 2.750 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(Cc3ccc(O)cc3)n2)cc1 ZINC000316053914 527344142 /nfs/dbraw/zinc/34/41/42/527344142.db2.gz NWMNOAYBGFVMJX-UHFFFAOYSA-N 0 0 297.270 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3ncccc3C2)c(F)c1 ZINC000367850627 527351692 /nfs/dbraw/zinc/35/16/92/527351692.db2.gz RKXAGFPSZPCWGN-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOCC2CC2)cc1 ZINC000061174454 527363673 /nfs/dbraw/zinc/36/36/73/527363673.db2.gz BLAJFZXBJIJMAU-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2nccs2)c(F)c1 ZINC000060229481 527365791 /nfs/dbraw/zinc/36/57/91/527365791.db2.gz YBVFZRDNYOUGDQ-UHFFFAOYSA-N 0 0 267.285 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccsc2)c(F)c1 ZINC000218905742 527365917 /nfs/dbraw/zinc/36/59/17/527365917.db2.gz IOSGNSLQFNYBRF-GFCCVEGCSA-N 0 0 282.296 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(F)cc2F)cn1 ZINC000053297768 527367235 /nfs/dbraw/zinc/36/72/35/527367235.db2.gz SRFAODWSWGMVNQ-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCC2CCOCC2)c(F)c1 ZINC000338544748 527371098 /nfs/dbraw/zinc/37/10/98/527371098.db2.gz ABDPEBZWYNBCFP-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN O=C(Nc1cccc2[nH]ccc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000339276024 527377163 /nfs/dbraw/zinc/37/71/63/527377163.db2.gz ZTPNAPDZYNIPQN-UHFFFAOYSA-N 0 0 270.248 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCc3cccc(CO)c3)nc2c1 ZINC000317168023 527378554 /nfs/dbraw/zinc/37/85/54/527378554.db2.gz VZQRDTWCTJOHDW-UHFFFAOYSA-N 0 0 298.302 2.576 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(CCO)c2ccccc2)c1 ZINC000078659830 527385775 /nfs/dbraw/zinc/38/57/75/527385775.db2.gz HOVOJKCQLCOZMB-UHFFFAOYSA-N 0 0 272.304 2.594 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2cccnc2-n2cccn2)c1 ZINC000192831517 527388404 /nfs/dbraw/zinc/38/84/04/527388404.db2.gz AYMKNACCIKQTAU-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2nc(C3CC3)ns2)c1 ZINC000087641701 527388438 /nfs/dbraw/zinc/38/84/38/527388438.db2.gz CSRSGKKFZODKJV-UHFFFAOYSA-N 0 0 276.321 2.936 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCC[C@@H]1CCO ZINC000311567727 527388544 /nfs/dbraw/zinc/38/85/44/527388544.db2.gz DZHAAWRUZLBLAF-SNVBAGLBSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCOCC(F)(F)F ZINC000311336944 527389975 /nfs/dbraw/zinc/38/99/75/527389975.db2.gz GMERIAMMWHLMHG-UHFFFAOYSA-N 0 0 282.193 2.725 20 5 CFBDRN O=[N+]([O-])c1cccc(Oc2ncnc3[nH]ccc32)c1 ZINC000339227817 527392932 /nfs/dbraw/zinc/39/29/32/527392932.db2.gz FIUBVODHOSNOGF-UHFFFAOYSA-N 0 0 256.221 2.658 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1nccnc1C(F)F ZINC000360994925 527398657 /nfs/dbraw/zinc/39/86/57/527398657.db2.gz LFGDGJMTYSLFKX-UHFFFAOYSA-N 0 0 294.261 2.977 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC(Cc2ccccn2)CC1 ZINC000171631939 527405529 /nfs/dbraw/zinc/40/55/29/527405529.db2.gz KFLSYXDTBYRPKO-UHFFFAOYSA-N 0 0 298.346 2.844 20 5 CFBDRN O=[N+]([O-])c1ccn(C[C@@H](O)Cc2ccc3ccccc3c2)n1 ZINC000360686727 527408687 /nfs/dbraw/zinc/40/86/87/527408687.db2.gz ZULMMARRBXHHBP-HNNXBMFYSA-N 0 0 297.314 2.548 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCC[C@@H]2n2cccn2)s1 ZINC000192474227 527411254 /nfs/dbraw/zinc/41/12/54/527411254.db2.gz VWAYWRVRFIVRAL-UWVGGRQHSA-N 0 0 293.352 2.844 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCO[C@H](c2ccsc2)C1 ZINC000361074023 527412256 /nfs/dbraw/zinc/41/22/56/527412256.db2.gz OQHDCSYMCAIMMP-ZDUSSCGKSA-N 0 0 291.332 2.629 20 5 CFBDRN O=[N+]([O-])c1cnn(CCOc2ccc(Cl)cc2)c1 ZINC000074061541 527414548 /nfs/dbraw/zinc/41/45/48/527414548.db2.gz YUFDLFYSGVWOPG-UHFFFAOYSA-N 0 0 267.672 2.524 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ccc(F)cc1F ZINC000051017592 527414687 /nfs/dbraw/zinc/41/46/87/527414687.db2.gz MLYSZNXLWPOJSK-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN Nc1c(C(=O)N2CC3(C2)CCCCC3)cccc1[N+](=O)[O-] ZINC000364917546 527424867 /nfs/dbraw/zinc/42/48/67/527424867.db2.gz LSWFBICOAWABGL-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN Cn1ccnc1CNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000051922498 527437405 /nfs/dbraw/zinc/43/74/05/527437405.db2.gz IDDNEZHPCDKKSO-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN Cc1nccnc1[C@H](C)NCc1cccc([N+](=O)[O-])c1C ZINC000235526393 527458308 /nfs/dbraw/zinc/45/83/08/527458308.db2.gz SDBUCPOCPLOHRQ-LBPRGKRZSA-N 0 0 286.335 2.852 20 5 CFBDRN O=C(NC1CC(C(F)(F)F)C1)c1csc([N+](=O)[O-])c1 ZINC000360528976 527481449 /nfs/dbraw/zinc/48/14/49/527481449.db2.gz PHZKZZOZHOOGBB-UHFFFAOYSA-N 0 0 294.254 2.727 20 5 CFBDRN Cc1ncsc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000049249040 527481648 /nfs/dbraw/zinc/48/16/48/527481648.db2.gz KEXGWKILCHXLLR-MRVPVSSYSA-N 0 0 291.332 2.851 20 5 CFBDRN O=C(Nc1n[nH]c2ccccc21)c1ccc([N+](=O)[O-])s1 ZINC000055391180 527496406 /nfs/dbraw/zinc/49/64/06/527496406.db2.gz QVJXYUAWEYHXSW-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN O=C(NC1CCCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000083794885 527509475 /nfs/dbraw/zinc/50/94/75/527509475.db2.gz WFPQFLOCSUEOAM-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN O=C(/C=C\c1ccccc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000055797274 527658183 /nfs/dbraw/zinc/65/81/83/527658183.db2.gz CJEQBOVYRUOYCW-FLIBITNWSA-N 0 0 282.299 2.924 20 5 CFBDRN Cc1nn(C)c(OCc2cccc([N+](=O)[O-])c2)c1C ZINC000340052701 527680464 /nfs/dbraw/zinc/68/04/64/527680464.db2.gz CKQGJGALBGZFFN-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN CCC1(CNC(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000176593746 527725709 /nfs/dbraw/zinc/72/57/09/527725709.db2.gz FKWKUMKWAJLFHL-UHFFFAOYSA-N 0 0 277.324 2.584 20 5 CFBDRN CCC1(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2)CC1 ZINC000178175891 527740057 /nfs/dbraw/zinc/74/00/57/527740057.db2.gz XDQKSPRJHKCWOB-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN CCCC(O)(CCC)CNc1ncc([N+](=O)[O-])cc1F ZINC000413157981 528019716 /nfs/dbraw/zinc/01/97/16/528019716.db2.gz RHCLHPNFXCFHBL-UHFFFAOYSA-N 0 0 285.319 2.872 20 5 CFBDRN CCCN(CC1CC1)c1ncc([N+](=O)[O-])cc1F ZINC000413212672 528029360 /nfs/dbraw/zinc/02/93/60/528029360.db2.gz YVGUFDHTNJIOMF-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN CC(C)Oc1cc(C(=O)NCCC2CC2)ccc1[N+](=O)[O-] ZINC000412144928 528102044 /nfs/dbraw/zinc/10/20/44/528102044.db2.gz HQJWIASVZCZFAX-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000413386267 528105719 /nfs/dbraw/zinc/10/57/19/528105719.db2.gz JZCLVAYHVNVCOI-TXEJJXNPSA-N 0 0 278.308 2.777 20 5 CFBDRN CCCCN(CCCC)C(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000191500018 528404504 /nfs/dbraw/zinc/40/45/04/528404504.db2.gz PTBSBUJMLWJPQB-UHFFFAOYSA-N 0 0 296.371 2.854 20 5 CFBDRN CCCCN(c1ncc([N+](=O)[O-])cc1F)C1CC1 ZINC000413208851 528448239 /nfs/dbraw/zinc/44/82/39/528448239.db2.gz UCNLKODSDPQOOJ-UHFFFAOYSA-N 0 0 253.277 2.898 20 5 CFBDRN CCC[C@](C)(CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000162583974 528460686 /nfs/dbraw/zinc/46/06/86/528460686.db2.gz RKLULXLBAJTTQI-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN CCC(C)(C)CNc1ncnc2cccc([N+](=O)[O-])c21 ZINC000428659287 528506970 /nfs/dbraw/zinc/50/69/70/528506970.db2.gz UVMYEUQSJZVDGN-UHFFFAOYSA-N 0 0 274.324 2.808 20 5 CFBDRN CCOC1CC2(C1)CCN(c1ncc([N+](=O)[O-])cc1F)C2 ZINC000413296859 528533920 /nfs/dbraw/zinc/53/39/20/528533920.db2.gz QVGPCTFEGZBCNB-UHFFFAOYSA-N 0 0 295.314 2.524 20 5 CFBDRN CC(C)[C@@H](O)CCNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413156785 528625421 /nfs/dbraw/zinc/62/54/21/528625421.db2.gz GFKJUKGVNBDMPB-AWEZNQCLSA-N 0 0 289.335 2.962 20 5 CFBDRN CCC(CC)(CNc1ncc([N+](=O)[O-])cc1F)C(=O)OC ZINC000413203983 528696795 /nfs/dbraw/zinc/69/67/95/528696795.db2.gz NGWDYWBERFCRQH-UHFFFAOYSA-N 0 0 299.302 2.520 20 5 CFBDRN CCOCCNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413058844 528780463 /nfs/dbraw/zinc/78/04/63/528780463.db2.gz CHHXISWZWDSFFD-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN CCCC[S@](=O)Cc1cc([N+](=O)[O-])ccc1OC ZINC000414255991 529012085 /nfs/dbraw/zinc/01/20/85/529012085.db2.gz KUSIBNQURQUVHM-SFHVURJKSA-N 0 0 271.338 2.652 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC(C)(C)C1 ZINC000413985636 529075602 /nfs/dbraw/zinc/07/56/02/529075602.db2.gz HERZPMQQMUZHMW-UHFFFAOYSA-N 0 0 265.313 2.574 20 5 CFBDRN CCn1ccc(CNCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000414483143 529170795 /nfs/dbraw/zinc/17/07/95/529170795.db2.gz OAFUAPLAMLAXAL-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN CCSCC[C@@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000173261409 529186226 /nfs/dbraw/zinc/18/62/26/529186226.db2.gz BKXNQXHGKWOJRT-LLVKDONJSA-N 0 0 296.392 2.785 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000421583210 529326177 /nfs/dbraw/zinc/32/61/77/529326177.db2.gz WYTNAQCGXTVXLS-SECBINFHSA-N 0 0 250.298 2.679 20 5 CFBDRN CC[C@H](CNC(=O)c1ccc([N+](=O)[O-])[nH]1)CC(F)(F)F ZINC000414003415 529358621 /nfs/dbraw/zinc/35/86/21/529358621.db2.gz JTRFILDQOGKEMZ-ZETCQYMHSA-N 0 0 293.245 2.631 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000459163207 534924339 /nfs/dbraw/zinc/92/43/39/534924339.db2.gz SYCNJCMWTJPVFR-BJHJDKERSA-N 0 0 293.323 2.672 20 5 CFBDRN CC1(C2(NC(=O)Cc3ccc([N+](=O)[O-])cc3F)CC2)CC1 ZINC000456640636 535102077 /nfs/dbraw/zinc/10/20/77/535102077.db2.gz FUHOBRCRMVMQCD-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN CC1=CCN(C(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000492284671 535241945 /nfs/dbraw/zinc/24/19/45/535241945.db2.gz RSRPNLIESRCALH-HYXAFXHYSA-N 0 0 290.294 2.926 20 5 CFBDRN CC/C=C\CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000454153702 535253715 /nfs/dbraw/zinc/25/37/15/535253715.db2.gz VDHDCXPJVYYKQI-PLNGDYQASA-N 0 0 263.297 2.991 20 5 CFBDRN CC/C=C\CNC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000456095431 535258641 /nfs/dbraw/zinc/25/86/41/535258641.db2.gz KPOMURKWPJDNPD-WAYWQWQTSA-N 0 0 278.308 2.690 20 5 CFBDRN CC(C)=CCC[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000452542680 535363252 /nfs/dbraw/zinc/36/32/52/535363252.db2.gz BYTKPGLOGHNZOH-LLVKDONJSA-N 0 0 279.340 2.798 20 5 CFBDRN CC1(C)C[C@@H](CNC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000457161349 535364722 /nfs/dbraw/zinc/36/47/22/535364722.db2.gz QMHAZMGHQBHDMA-VIFPVBQESA-N 0 0 298.364 2.591 20 5 CFBDRN CCC[C@@H]1C[C@@H]1NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000450005072 535464970 /nfs/dbraw/zinc/46/49/70/535464970.db2.gz NGDPQBMBERRABV-KCJUWKMLSA-N 0 0 296.298 2.661 20 5 CFBDRN CCN(C(=O)/C=C/c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000491461879 535653314 /nfs/dbraw/zinc/65/33/14/535653314.db2.gz DTQBHEUJINWURO-RMKNXTFCSA-N 0 0 260.293 2.619 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452182417 535699198 /nfs/dbraw/zinc/69/91/98/535699198.db2.gz HLOMJPYXSHAQIB-UHIISALHSA-N 0 0 294.351 2.833 20 5 CFBDRN CCN(C(=O)c1cccc(OC)c1[N+](=O)[O-])C1CCC1 ZINC000435860289 535700572 /nfs/dbraw/zinc/70/05/72/535700572.db2.gz NNGBXEBDDPDHTF-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1ccccc1[N+](=O)[O-] ZINC000439713684 535770261 /nfs/dbraw/zinc/77/02/61/535770261.db2.gz JBYPDCWKWLESAX-QWRGUYRKSA-N 0 0 252.314 2.804 20 5 CFBDRN CC[C@@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])C(F)F ZINC000452670396 535799051 /nfs/dbraw/zinc/79/90/51/535799051.db2.gz LDUIOCGYASIAPL-MRVPVSSYSA-N 0 0 272.251 2.677 20 5 CFBDRN CC[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21)C(F)F ZINC000452245770 535799307 /nfs/dbraw/zinc/79/93/07/535799307.db2.gz KPMOFTHAUFJPFC-SNVBAGLBSA-N 0 0 297.261 2.850 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000452349979 535905599 /nfs/dbraw/zinc/90/55/99/535905599.db2.gz XYNPLGKZYBIZKC-GHMZBOCLSA-N 0 0 276.336 2.992 20 5 CFBDRN CC[C@@H]1C[C@H]1c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000453054162 535922510 /nfs/dbraw/zinc/92/25/10/535922510.db2.gz SFEUCDXIIYUUHC-VXNVDRBHSA-N 0 0 274.280 2.741 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000227014365 535922968 /nfs/dbraw/zinc/92/29/68/535922968.db2.gz YOPISXZPPPJORK-PSASIEDQSA-N 0 0 275.308 2.690 20 5 CFBDRN CN(C(=O)/C=C/c1cscn1)c1ccc([N+](=O)[O-])cc1 ZINC000491438079 535938195 /nfs/dbraw/zinc/93/81/95/535938195.db2.gz ZGXWOORVHUZWOF-FARCUNLSSA-N 0 0 289.316 2.728 20 5 CFBDRN CC[C@H](C)[C@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000452405260 535948062 /nfs/dbraw/zinc/94/80/62/535948062.db2.gz DPKLYYPBNUOFEY-ZANVPECISA-N 0 0 298.314 2.525 20 5 CFBDRN CCc1ccnc(CNc2ncccc2[N+](=O)[O-])c1 ZINC000435593134 536013593 /nfs/dbraw/zinc/01/35/93/536013593.db2.gz LHUVLPUTNFBIDE-UHFFFAOYSA-N 0 0 258.281 2.559 20 5 CFBDRN CCn1cccc(CNc2ccc([N+](=O)[O-])c(C)c2)c1=O ZINC000432844539 536098303 /nfs/dbraw/zinc/09/83/03/536098303.db2.gz FHASQGMOOHLABU-UHFFFAOYSA-N 0 0 287.319 2.697 20 5 CFBDRN CN1C(=O)Cc2cc(OCc3ccc([N+](=O)[O-])cc3)ccc21 ZINC000435892404 536320075 /nfs/dbraw/zinc/32/00/75/536320075.db2.gz GMFJSPSUAQJXBP-UHFFFAOYSA-N 0 0 298.298 2.693 20 5 CFBDRN COC1(C)CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000271712661 536566288 /nfs/dbraw/zinc/56/62/88/536566288.db2.gz PRCJUVBFINBOKN-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN COC(=O)[C@H](C)N(Cc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000191646396 536626998 /nfs/dbraw/zinc/62/69/98/536626998.db2.gz XDMMGBIPDRRCIJ-NSHDSACASA-N 0 0 292.335 2.511 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2C[C@H]2CC(C)C)cc1[N+](=O)[O-] ZINC000458024985 536765557 /nfs/dbraw/zinc/76/55/57/536765557.db2.gz WYVPMVCKMVEJMO-VXGBXAGGSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1ccc(C(=O)N[C@H]2C[C@@]2(C)C(C)C)cc1[N+](=O)[O-] ZINC000458125616 536774804 /nfs/dbraw/zinc/77/48/04/536774804.db2.gz CAHODTKBGVITHO-ZFWWWQNUSA-N 0 0 292.335 2.768 20 5 CFBDRN CCc1ocnc1C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000740273502 574049370 /nfs/dbraw/zinc/04/93/70/574049370.db2.gz MZYFYMCODLADJB-UHFFFAOYSA-N 0 0 290.275 2.545 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCSC(C)(C)C ZINC000727401254 574049432 /nfs/dbraw/zinc/04/94/32/574049432.db2.gz SUDWEWRLRZPQHV-UHFFFAOYSA-N 0 0 297.380 2.560 20 5 CFBDRN Cc1nn(C)c(C(=O)OCCC2CCCCC2)c1[N+](=O)[O-] ZINC000744247195 574061922 /nfs/dbraw/zinc/06/19/22/574061922.db2.gz JDWKPQHLRJMVDD-UHFFFAOYSA-N 0 0 295.339 2.764 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(Nc3cccc(CO)c3)c21 ZINC000754001034 574062825 /nfs/dbraw/zinc/06/28/25/574062825.db2.gz WTWFWNQTPSCZCJ-UHFFFAOYSA-N 0 0 296.286 2.774 20 5 CFBDRN O=C(COC(=O)c1cc([N+](=O)[O-])ccc1Cl)C1CCC1 ZINC000754054611 574066428 /nfs/dbraw/zinc/06/64/28/574066428.db2.gz MVFGACWVGNYARV-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN O=[N+]([O-])c1ncccc1Nc1ccn(-c2ccccc2)n1 ZINC000727829390 574067612 /nfs/dbraw/zinc/06/76/12/574067612.db2.gz PMKCHLBCUDIAQW-UHFFFAOYSA-N 0 0 281.275 2.919 20 5 CFBDRN COc1ccc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)cn1 ZINC000754114375 574074509 /nfs/dbraw/zinc/07/45/09/574074509.db2.gz OHGDYORBEQDAGJ-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)C1CC(F)(F)C1 ZINC000754128201 574076475 /nfs/dbraw/zinc/07/64/75/574076475.db2.gz KUVDYIMCIVTYFB-UHFFFAOYSA-N 0 0 289.209 2.822 20 5 CFBDRN Cc1cc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)nc(C)n1 ZINC000754137950 574076779 /nfs/dbraw/zinc/07/67/79/574076779.db2.gz VRDRDAPSBQOWTH-UHFFFAOYSA-N 0 0 287.275 2.529 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000736866612 574082590 /nfs/dbraw/zinc/08/25/90/574082590.db2.gz MAOZXSVMZWRTOF-ZETCQYMHSA-N 0 0 285.683 2.773 20 5 CFBDRN O=C(O[C@@H]1CCCCC1=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000736866091 574082640 /nfs/dbraw/zinc/08/26/40/574082640.db2.gz JGMYEGXPCOHDQJ-GFCCVEGCSA-N 0 0 297.694 2.917 20 5 CFBDRN CSCCCOC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000744682770 574083699 /nfs/dbraw/zinc/08/36/99/574083699.db2.gz XUAVVYGZHFOMQX-UHFFFAOYSA-N 0 0 275.351 2.875 20 5 CFBDRN O=C(CCOc1cccc([N+](=O)[O-])c1)OCC1CCC1 ZINC000744732983 574085055 /nfs/dbraw/zinc/08/50/55/574085055.db2.gz XENVXJLOTBPAPA-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN O=C(OC[C@H]1CCCO1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000730643830 574090901 /nfs/dbraw/zinc/09/09/01/574090901.db2.gz GSXRUUSAWLALBK-MRVPVSSYSA-N 0 0 285.683 2.584 20 5 CFBDRN CCSCCOC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000744910544 574092514 /nfs/dbraw/zinc/09/25/14/574092514.db2.gz RQLJPKQGKJRZFA-UHFFFAOYSA-N 0 0 291.275 2.783 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCc1cscn1 ZINC000728345184 574092714 /nfs/dbraw/zinc/09/27/14/574092714.db2.gz YXLCKRKAFABLSB-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CCSCCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000744943447 574094455 /nfs/dbraw/zinc/09/44/55/574094455.db2.gz NKBMLIGNEGEZKT-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])cn2C)cc1 ZINC000751216017 574098310 /nfs/dbraw/zinc/09/83/10/574098310.db2.gz CAMHCUCYKDIQPB-UHFFFAOYSA-N 0 0 273.292 2.518 20 5 CFBDRN Cc1c(COC(=O)C2=COCCC2)cccc1[N+](=O)[O-] ZINC000730852346 574101089 /nfs/dbraw/zinc/10/10/89/574101089.db2.gz MWVBXSHLZFCNJP-UHFFFAOYSA-N 0 0 277.276 2.641 20 5 CFBDRN O=Cc1cc(C(=O)OCc2ccccc2[N+](=O)[O-])cs1 ZINC000754571413 574104061 /nfs/dbraw/zinc/10/40/61/574104061.db2.gz XDOZCSBKXSDEBA-UHFFFAOYSA-N 0 0 291.284 2.826 20 5 CFBDRN COCC[C@@H](C)OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000754585229 574105588 /nfs/dbraw/zinc/10/55/88/574105588.db2.gz QWZHDGDEOLLCLS-AYLMVEPYSA-N 0 0 279.292 2.576 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2ncc([N+](=O)[O-])cc2C)no1 ZINC000731027906 574106896 /nfs/dbraw/zinc/10/68/96/574106896.db2.gz SPDVCHFMIDRCON-ZDUSSCGKSA-N 0 0 288.307 2.936 20 5 CFBDRN CS[C@H](C)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000728769712 574107590 /nfs/dbraw/zinc/10/75/90/574107590.db2.gz IIIPFWFZJFYOSK-RKDXNWHRSA-N 0 0 269.322 2.951 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[Si](C)(C)C ZINC000731158854 574111710 /nfs/dbraw/zinc/11/17/10/574111710.db2.gz VJFQMWNNFWEKPV-UHFFFAOYSA-N 0 0 255.346 2.860 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCCOC(C)C)c1 ZINC000745389539 574112420 /nfs/dbraw/zinc/11/24/20/574112420.db2.gz OWOKGVPGSNNWJM-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN CCC(CC)OC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000754733094 574117475 /nfs/dbraw/zinc/11/74/75/574117475.db2.gz HQBYDFVZUHJNDP-UHFFFAOYSA-N 0 0 297.307 2.957 20 5 CFBDRN O=C(CC1CCC1)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000745570233 574118186 /nfs/dbraw/zinc/11/81/86/574118186.db2.gz ARFCDTXCAAYWAG-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)CC1CCC1 ZINC000745571372 574118404 /nfs/dbraw/zinc/11/84/04/574118404.db2.gz WYOJXPCSINJOOE-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN CC1CCC(COC(=O)CCn2cc([N+](=O)[O-])cn2)CC1 ZINC000754803139 574122191 /nfs/dbraw/zinc/12/21/91/574122191.db2.gz QGOOCDWLIMGYDB-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(OCc1cscn1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731529531 574123129 /nfs/dbraw/zinc/12/31/29/574123129.db2.gz WTJCBSQYDPCIJI-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCCS1 ZINC000745963098 574129565 /nfs/dbraw/zinc/12/95/65/574129565.db2.gz IBXLXJWTYRPRGK-NSHDSACASA-N 0 0 281.333 2.956 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)Cc2ccccc21 ZINC000754965481 574129954 /nfs/dbraw/zinc/12/99/54/574129954.db2.gz OWRYZWGEMMAVKU-LLVKDONJSA-N 0 0 299.330 2.693 20 5 CFBDRN CC(C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754983936 574131098 /nfs/dbraw/zinc/13/10/98/574131098.db2.gz OXGGQTGNPWBCGK-UHFFFAOYSA-N 0 0 261.636 2.953 20 5 CFBDRN CC(C)N(Cc1ccc(F)cc1)Cn1nccc1[N+](=O)[O-] ZINC000746027116 574131599 /nfs/dbraw/zinc/13/15/99/574131599.db2.gz WBLYSXLGPBVXAT-UHFFFAOYSA-N 0 0 292.314 2.799 20 5 CFBDRN CCOCCC(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746072993 574132673 /nfs/dbraw/zinc/13/26/73/574132673.db2.gz UKIMTLXOXPHELA-UHFFFAOYSA-N 0 0 287.699 2.718 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccno1 ZINC000755016452 574132672 /nfs/dbraw/zinc/13/26/72/574132672.db2.gz YYJDXBCJEFWZKO-NTMALXAHSA-N 0 0 288.259 2.730 20 5 CFBDRN CS/C=C\C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000751893623 574140088 /nfs/dbraw/zinc/14/00/88/574140088.db2.gz OLDCUPOXFIJKFN-PLNGDYQASA-N 0 0 256.258 2.549 20 5 CFBDRN COC[C@@H](C)CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000755122285 574140362 /nfs/dbraw/zinc/14/03/62/574140362.db2.gz MSCUCDXOHDJHBU-QMMMGPOBSA-N 0 0 286.715 2.859 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@H](O)c1cccc(F)c1 ZINC000751964040 574148330 /nfs/dbraw/zinc/14/83/30/574148330.db2.gz AAQWCTHLNJUNDT-OTYXRUKQSA-N 0 0 291.282 2.663 20 5 CFBDRN CC1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCOCC1 ZINC000728997389 574149497 /nfs/dbraw/zinc/14/94/97/574149497.db2.gz NPVBAQCQHGUHPT-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN C[C@](O)(CNc1nc2ccc([N+](=O)[O-])cc2s1)C1CC1 ZINC000732666367 574165248 /nfs/dbraw/zinc/16/52/48/574165248.db2.gz QSELNDYSTHWVRD-ZDUSSCGKSA-N 0 0 293.348 2.777 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCCC1CCC1 ZINC000755504794 574166580 /nfs/dbraw/zinc/16/65/80/574166580.db2.gz ZZOOAGYIJPGCNW-TWGQIWQCSA-N 0 0 274.320 2.914 20 5 CFBDRN CSCCC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000729085439 574171887 /nfs/dbraw/zinc/17/18/87/574171887.db2.gz ABLNELFCOBEKDH-VIFPVBQESA-N 0 0 269.322 2.952 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1ccoc1 ZINC000746939627 574172200 /nfs/dbraw/zinc/17/22/00/574172200.db2.gz FHCMSZAIQZNFRG-UHFFFAOYSA-N 0 0 265.196 2.684 20 5 CFBDRN CCO[C@@H](CC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746970385 574173494 /nfs/dbraw/zinc/17/34/94/574173494.db2.gz FTOUVIANTGTMBQ-LBPRGKRZSA-N 0 0 285.271 2.592 20 5 CFBDRN CCCCOCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000746987552 574174572 /nfs/dbraw/zinc/17/45/72/574174572.db2.gz QKHFBUBDXUGJJZ-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[Si](C)(C)C ZINC000755681226 574177390 /nfs/dbraw/zinc/17/73/90/574177390.db2.gz VHVSUECPAHGQAZ-UHFFFAOYSA-N 0 0 283.356 2.638 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCCCC(C)=O ZINC000755675372 574177568 /nfs/dbraw/zinc/17/75/68/574177568.db2.gz SLMBNGDZMVLTKJ-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OCCC1CC1 ZINC000755676024 574177588 /nfs/dbraw/zinc/17/75/88/574177588.db2.gz GUHWZAVMWDKIEM-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN COC(=O)CC1CCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000747210690 574187070 /nfs/dbraw/zinc/18/70/70/574187070.db2.gz RUCLOJOFEVWPIG-UHFFFAOYSA-N 0 0 292.335 2.683 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N(C)Cc2cscn2)c1 ZINC000747213853 574187442 /nfs/dbraw/zinc/18/74/42/574187442.db2.gz ZZGSHGPCSSJFPM-UHFFFAOYSA-N 0 0 297.311 2.835 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)Cc1nnc2ccccn21 ZINC000747212068 574187589 /nfs/dbraw/zinc/18/75/89/574187589.db2.gz QVKOPTCPFGVBQY-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@H]2C[C@@]2(C)C(C)C)n1 ZINC000755855931 574192538 /nfs/dbraw/zinc/19/25/38/574192538.db2.gz JDOYKOAXJARLMB-YGRLFVJLSA-N 0 0 295.339 2.650 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC=C(C)CC2)c1 ZINC000755916702 574197867 /nfs/dbraw/zinc/19/78/67/574197867.db2.gz ZSHZDBSINVODHG-UHFFFAOYSA-N 0 0 266.272 2.899 20 5 CFBDRN CSCC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000729344408 574201632 /nfs/dbraw/zinc/20/16/32/574201632.db2.gz GWRHXEKBLFOXSV-MRVPVSSYSA-N 0 0 255.295 2.562 20 5 CFBDRN CC(C)[C@@H](C)N(C)c1ccc([N+](=O)[O-])c2nonc21 ZINC000733187448 574208890 /nfs/dbraw/zinc/20/88/90/574208890.db2.gz SYWNQTNVSCGYNP-MRVPVSSYSA-N 0 0 264.285 2.612 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1ccc([N+](=O)[O-])cc1F ZINC000756129553 574211776 /nfs/dbraw/zinc/21/17/76/574211776.db2.gz ZMYYENNVQQXJCG-NXEZZACHSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1ncoc1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000747824476 574214455 /nfs/dbraw/zinc/21/44/55/574214455.db2.gz XGZFJMUPWBWTQT-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CCc1ocnc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000739477795 574215029 /nfs/dbraw/zinc/21/50/29/574215029.db2.gz BRUZKDNTCCDMFA-UHFFFAOYSA-N 0 0 276.248 2.502 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2cccc(F)n2)c1 ZINC000747845099 574215469 /nfs/dbraw/zinc/21/54/69/574215469.db2.gz OGEUEGNHRGUYPR-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1cccc(F)n1 ZINC000747847806 574215689 /nfs/dbraw/zinc/21/56/89/574215689.db2.gz RFSOVMVAEVYAHI-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000733304457 574216669 /nfs/dbraw/zinc/21/66/69/574216669.db2.gz YYGJUDUYAVSXFB-VIFPVBQESA-N 0 0 282.193 2.723 20 5 CFBDRN C[C@@H]1CCC[C@H]1Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000729471484 574218505 /nfs/dbraw/zinc/21/85/05/574218505.db2.gz YABRYWBDBFQGRF-NXEZZACHSA-N 0 0 260.297 2.843 20 5 CFBDRN CC[C@@H](C)COC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000756332388 574225496 /nfs/dbraw/zinc/22/54/96/574225496.db2.gz PEWAVZNLWJECEB-SNVBAGLBSA-N 0 0 267.281 2.563 20 5 CFBDRN C[C@H]1CCC[C@H](CC(=O)OCCn2ccc([N+](=O)[O-])n2)C1 ZINC000748120049 574230203 /nfs/dbraw/zinc/23/02/03/574230203.db2.gz IMMFPKPJZMMVIN-RYUDHWBXSA-N 0 0 295.339 2.551 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000756385359 574232304 /nfs/dbraw/zinc/23/23/04/574232304.db2.gz XLZXVNQLIREDJX-SSDOTTSWSA-N 0 0 270.260 2.519 20 5 CFBDRN Cc1c(C(=O)OCC[C@H]2CCCC2=O)cccc1[N+](=O)[O-] ZINC000748160803 574233819 /nfs/dbraw/zinc/23/38/19/574233819.db2.gz UEBOSVSQEAMCSE-LLVKDONJSA-N 0 0 291.303 2.819 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000756412504 574235035 /nfs/dbraw/zinc/23/50/35/574235035.db2.gz XJWKQNBXVJQPQM-MRVPVSSYSA-N 0 0 283.255 2.506 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000729895189 574235996 /nfs/dbraw/zinc/23/59/96/574235996.db2.gz HLDZPXBXUIXGOK-DTWKUNHWSA-N 0 0 274.276 2.889 20 5 CFBDRN CC(C)OCCCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000733469528 574240935 /nfs/dbraw/zinc/24/09/35/574240935.db2.gz IOIOKURMHXIEBG-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN Cc1c(COC(=O)[C@]2(C)CCCO2)cccc1[N+](=O)[O-] ZINC000756540875 574244847 /nfs/dbraw/zinc/24/48/47/574244847.db2.gz ADTOWSGQOIWQLF-AWEZNQCLSA-N 0 0 279.292 2.516 20 5 CFBDRN CC(C)[C@H](C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000733581216 574248071 /nfs/dbraw/zinc/24/80/71/574248071.db2.gz SZPDAGMFWWZTFX-JTQLQIEISA-N 0 0 279.292 2.613 20 5 CFBDRN CC(C)(C(=O)OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000740387571 574249162 /nfs/dbraw/zinc/24/91/62/574249162.db2.gz JGEYSDDBTHSIET-UHFFFAOYSA-N 0 0 291.225 2.978 20 5 CFBDRN Cc1occc1COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000733700856 574251837 /nfs/dbraw/zinc/25/18/37/574251837.db2.gz GBVWUEGHKIRFEP-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN Cc1c(CC(=O)OCCOC(C)(C)C)cccc1[N+](=O)[O-] ZINC000748466905 574253825 /nfs/dbraw/zinc/25/38/25/574253825.db2.gz HYAOJUWBCXCIKR-UHFFFAOYSA-N 0 0 295.335 2.804 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1Cc2ccccc21 ZINC000740701900 574263123 /nfs/dbraw/zinc/26/31/23/574263123.db2.gz HDORKWXFZCUVPA-HNNXBMFYSA-N 0 0 283.283 2.978 20 5 CFBDRN COCCONC(=O)c1cc2ccccc2c2cccnc12 ZINC000748771003 574265449 /nfs/dbraw/zinc/26/54/49/574265449.db2.gz XLNHJNWJZOLGNX-UHFFFAOYSA-N 0 0 296.326 2.696 20 5 CFBDRN CN(c1cccnc1[N+](=O)[O-])[C@H]1CCc2ccccc2C1 ZINC000733994176 574267838 /nfs/dbraw/zinc/26/78/38/574267838.db2.gz BQLHHJSUOVIBPF-AWEZNQCLSA-N 0 0 283.331 2.984 20 5 CFBDRN O=[N+]([O-])c1sccc1NCCOc1cccnc1 ZINC000733993598 574267913 /nfs/dbraw/zinc/26/79/13/574267913.db2.gz ZXZSUYSCGQGAPP-UHFFFAOYSA-N 0 0 265.294 2.542 20 5 CFBDRN CC(C)[C@@H](O)CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000740871125 574270834 /nfs/dbraw/zinc/27/08/34/574270834.db2.gz OWKAIRUHBSIZRO-JTQLQIEISA-N 0 0 281.337 2.633 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H](O)c1ccccc1Cl ZINC000740871875 574271758 /nfs/dbraw/zinc/27/17/58/574271758.db2.gz QWOBPIAPXAWYOV-GFCCVEGCSA-N 0 0 293.710 2.789 20 5 CFBDRN Cc1nonc1CN(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000734147583 574274775 /nfs/dbraw/zinc/27/47/75/574274775.db2.gz MRMMPDFEPHPLOE-UHFFFAOYSA-N 0 0 296.714 2.572 20 5 CFBDRN C[Si](C)(C)COC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000740955794 574275214 /nfs/dbraw/zinc/27/52/14/574275214.db2.gz FYTMZPJOWKKFBX-UHFFFAOYSA-N 0 0 297.383 2.784 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[Si](C)(C)C ZINC000740957704 574275685 /nfs/dbraw/zinc/27/56/85/574275685.db2.gz JCSAKGSAYFTOGC-UHFFFAOYSA-N 0 0 267.357 2.937 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[Si](C)(C)C ZINC000740958565 574276095 /nfs/dbraw/zinc/27/60/95/574276095.db2.gz XSYYZKJNLJWQJV-UHFFFAOYSA-N 0 0 267.357 2.937 20 5 CFBDRN CC1(C)CCC[C@@H](COC(=O)Cn2cc([N+](=O)[O-])cn2)C1 ZINC000749011740 574276606 /nfs/dbraw/zinc/27/66/06/574276606.db2.gz RABSAFSSDVALFY-LLVKDONJSA-N 0 0 295.339 2.551 20 5 CFBDRN C[C@@H](OC(=O)C[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000749056189 574277971 /nfs/dbraw/zinc/27/79/71/574277971.db2.gz NATOXNJAOXULGD-MNOVXSKESA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@@H](OC(=O)C[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000749056188 574278182 /nfs/dbraw/zinc/27/81/82/574278182.db2.gz NATOXNJAOXULGD-GHMZBOCLSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1sc(C(=O)OCC[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000749200291 574284092 /nfs/dbraw/zinc/28/40/92/574284092.db2.gz DDVRFOFXWXKAFT-VIFPVBQESA-N 0 0 285.321 2.691 20 5 CFBDRN C[C@H](CNc1nc2ccccn2c1[N+](=O)[O-])c1ccncc1 ZINC000749286144 574288127 /nfs/dbraw/zinc/28/81/27/574288127.db2.gz KKNGIXKXJLWJDM-LLVKDONJSA-N 0 0 297.318 2.853 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CC2(Cl)Cl)c1[N+](=O)[O-] ZINC000734481807 574288307 /nfs/dbraw/zinc/28/83/07/574288307.db2.gz XQKYXGAIDKPYLT-ZETCQYMHSA-N 0 0 276.123 2.904 20 5 CFBDRN O=C(CCC1CC1)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000734610345 574295259 /nfs/dbraw/zinc/29/52/59/574295259.db2.gz IGEJPNARIVKEBA-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCCc3nc(C)ncc32)c1[N+](=O)[O-] ZINC000734833650 574305205 /nfs/dbraw/zinc/30/52/05/574305205.db2.gz RATSXOZKBXTONK-CYBMUJFWSA-N 0 0 299.334 2.886 20 5 CFBDRN CCN(Cc1c(F)cccc1F)Cn1nccc1[N+](=O)[O-] ZINC000725781320 574307118 /nfs/dbraw/zinc/30/71/18/574307118.db2.gz IMOFXTDEHGWCSJ-UHFFFAOYSA-N 0 0 296.277 2.549 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1Cc2ccccc21 ZINC000741882158 574309001 /nfs/dbraw/zinc/30/90/01/574309001.db2.gz WMPCOJSYHUUARO-AWEZNQCLSA-N 0 0 269.256 2.840 20 5 CFBDRN Cc1ccncc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000741895258 574309379 /nfs/dbraw/zinc/30/93/79/574309379.db2.gz UGTAQTIPNAPNRU-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN C[C@H](ON=C(N)C1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000749795564 574309693 /nfs/dbraw/zinc/30/96/93/574309693.db2.gz MLWRYGNTCXIBQO-JTQLQIEISA-N 0 0 293.323 2.581 20 5 CFBDRN CC(C)n1ccc(COC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000735134201 574314507 /nfs/dbraw/zinc/31/45/07/574314507.db2.gz MVLABRCBKOGVSB-UHFFFAOYSA-N 0 0 295.320 2.791 20 5 CFBDRN Cc1ccc(C=Cc2nccc(=O)[nH]2)cc1[N+](=O)[O-] ZINC000742220161 574319269 /nfs/dbraw/zinc/31/92/69/574319269.db2.gz JSAIPHIXXKHUFU-PLNGDYQASA-N 0 0 257.249 2.569 20 5 CFBDRN Cc1ccc(NCCNc2ccccc2[N+](=O)[O-])nc1 ZINC000742257204 574321816 /nfs/dbraw/zinc/32/18/16/574321816.db2.gz QSHSENPLNZWFHS-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN C[C@@H]1CCCN(c2nc3ccccn3c2[N+](=O)[O-])[C@H]1C ZINC000742410962 574328602 /nfs/dbraw/zinc/32/86/02/574328602.db2.gz FRMOAGOKVZWIIB-MNOVXSKESA-N 0 0 274.324 2.867 20 5 CFBDRN Cc1cccc(NCCNc2ccsc2[N+](=O)[O-])n1 ZINC000750170832 574329169 /nfs/dbraw/zinc/32/91/69/574329169.db2.gz AWDGTXWBBRNBAF-UHFFFAOYSA-N 0 0 278.337 2.884 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccn3c2[N+](=O)[O-])C[C@H](C)S1 ZINC000742420374 574329439 /nfs/dbraw/zinc/32/94/39/574329439.db2.gz BRTXSKWGDNUHCL-AOOOYVTPSA-N 0 0 292.364 2.573 20 5 CFBDRN COC(=O)/C=C\c1ccc(NCCC2CC2)c([N+](=O)[O-])c1 ZINC000742422810 574329601 /nfs/dbraw/zinc/32/96/01/574329601.db2.gz IVFLDYMZPYONRZ-ALCCZGGFSA-N 0 0 290.319 2.993 20 5 CFBDRN Cc1cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])ncn1 ZINC000750198290 574330439 /nfs/dbraw/zinc/33/04/39/574330439.db2.gz NAOHWSMTOZLWEV-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@H]1SCCN(c2nc3ccccn3c2[N+](=O)[O-])[C@@H]1C ZINC000735669555 574332526 /nfs/dbraw/zinc/33/25/26/574332526.db2.gz SGGXINGPDUVTTM-NXEZZACHSA-N 0 0 292.364 2.573 20 5 CFBDRN CN(Cc1ncc[nH]1)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000735833004 574337508 /nfs/dbraw/zinc/33/75/08/574337508.db2.gz VFRMGTWVPPYARY-UHFFFAOYSA-N 0 0 289.320 2.564 20 5 CFBDRN CCCn1cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000742721925 574341198 /nfs/dbraw/zinc/34/11/98/574341198.db2.gz BHIGGQXYMVHBEZ-UHFFFAOYSA-N 0 0 292.270 2.593 20 5 CFBDRN CC/C=C(\C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000742862556 574346444 /nfs/dbraw/zinc/34/64/44/574346444.db2.gz GICNUPOAFVFBLD-NYYWCZLTSA-N 0 0 279.292 2.873 20 5 CFBDRN CSCCCC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000735991847 574347022 /nfs/dbraw/zinc/34/70/22/574347022.db2.gz DTHKZMAHOGDRCQ-UHFFFAOYSA-N 0 0 272.301 2.816 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2ccncc2[N+](=O)[O-])no1 ZINC000750605404 574349150 /nfs/dbraw/zinc/34/91/50/574349150.db2.gz JGVMBVVPVOIQLM-NSHDSACASA-N 0 0 274.280 2.628 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)Cc1ccco1 ZINC000750715956 574352445 /nfs/dbraw/zinc/35/24/45/574352445.db2.gz IYWOIUKIBQPEBF-LLVKDONJSA-N 0 0 288.303 2.857 20 5 CFBDRN CCC/C=C/C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC000736194628 574354753 /nfs/dbraw/zinc/35/47/53/574354753.db2.gz FVUSQNBCWUMOOC-AATRIKPKSA-N 0 0 292.291 2.676 20 5 CFBDRN O=C(OC/C=C\Cl)c1cc(F)ccc1[N+](=O)[O-] ZINC000743198381 574354816 /nfs/dbraw/zinc/35/48/16/574354816.db2.gz YQNKAIMIAYWLLR-RJRFIUFISA-N 0 0 259.620 2.643 20 5 CFBDRN O=C(OCCOC1CCC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000750776669 574355072 /nfs/dbraw/zinc/35/50/72/574355072.db2.gz QSWANIFCGBBIIO-UHFFFAOYSA-N 0 0 299.710 2.974 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1CCCS1 ZINC000750896961 574360072 /nfs/dbraw/zinc/36/00/72/574360072.db2.gz OULLFRRGWIOJHH-MRVPVSSYSA-N 0 0 273.335 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCNc2ccccc2)c1 ZINC000743460634 574365702 /nfs/dbraw/zinc/36/57/02/574365702.db2.gz KUEYAQJJOINURP-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN C[C@H]1CC[C@H](C)N(c2nc3ccccn3c2[N+](=O)[O-])C1 ZINC000743461283 574365787 /nfs/dbraw/zinc/36/57/87/574365787.db2.gz XJWXQPXHHDGWOI-QWRGUYRKSA-N 0 0 274.324 2.867 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)c1cnccn1 ZINC000751169389 574370776 /nfs/dbraw/zinc/37/07/76/574370776.db2.gz ORNOOMONLPZWDL-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN O=C(Cc1ccccc1O)OCc1cccc([N+](=O)[O-])c1 ZINC000725939398 574372734 /nfs/dbraw/zinc/37/27/34/574372734.db2.gz QPUMNONOWOTFEC-UHFFFAOYSA-N 0 0 287.271 2.586 20 5 CFBDRN CS/C=C\C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000751563856 574379188 /nfs/dbraw/zinc/37/91/88/574379188.db2.gz CVHXWTTXNYYXOD-NMTCXDENSA-N 0 0 266.322 2.649 20 5 CFBDRN Cc1c(C(=O)OCC(=O)CC(C)C)cccc1[N+](=O)[O-] ZINC000751630755 574380389 /nfs/dbraw/zinc/38/03/89/574380389.db2.gz JJVMGWPUVIGNHN-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCC(=O)CC(C)C ZINC000751633374 574380456 /nfs/dbraw/zinc/38/04/56/574380456.db2.gz XEEAROLQLCDWBH-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])C1CCC(=O)CC1 ZINC000751677489 574380897 /nfs/dbraw/zinc/38/08/97/574380897.db2.gz DOMHJYOQLPKKGV-UHFFFAOYSA-N 0 0 295.266 2.536 20 5 CFBDRN C[C@H](OC(=O)[C@H](O)CC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000751777825 574382718 /nfs/dbraw/zinc/38/27/18/574382718.db2.gz XAZHVBCKZYTLNX-GXFFZTMASA-N 0 0 295.335 2.996 20 5 CFBDRN Cc1c(COC(=O)c2ccc(F)cn2)cccc1[N+](=O)[O-] ZINC000751829942 574383535 /nfs/dbraw/zinc/38/35/35/574383535.db2.gz RYZAAVFJEHSHPJ-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(OC1(C2CC2)CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000751850291 574383879 /nfs/dbraw/zinc/38/38/79/574383879.db2.gz IITCTQIGCHYHHO-UHFFFAOYSA-N 0 0 265.240 2.833 20 5 CFBDRN C[C@H]1CCCN1C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000751900778 574384549 /nfs/dbraw/zinc/38/45/49/574384549.db2.gz XLWRJQHLFAHQSH-VIFPVBQESA-N 0 0 265.338 2.776 20 5 CFBDRN CCCNC(=S)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000751912985 574384854 /nfs/dbraw/zinc/38/48/54/574384854.db2.gz DANAJIPXRNQESX-JTQLQIEISA-N 0 0 281.381 2.838 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2([C@@H](O)c3ccccc3)CC2)cn1 ZINC000751948491 574385556 /nfs/dbraw/zinc/38/55/56/574385556.db2.gz KTRCVZYUDGKZOF-HNNXBMFYSA-N 0 0 299.330 2.916 20 5 CFBDRN COc1cccc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)n1 ZINC000752165262 574388750 /nfs/dbraw/zinc/38/87/50/574388750.db2.gz HQDDBTOTLKJKEW-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CN(CCOC(=O)[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000752488217 574391854 /nfs/dbraw/zinc/39/18/54/574391854.db2.gz RFOJIBFWAZDSIU-ZDUSSCGKSA-N 0 0 292.335 2.620 20 5 CFBDRN CC(=O)CCCOC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000752558683 574392716 /nfs/dbraw/zinc/39/27/16/574392716.db2.gz XGQZXHIIFZAFQZ-UHFFFAOYSA-N 0 0 283.255 2.568 20 5 CFBDRN C/C(=C\C(=O)NN1CCCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000752681346 574393587 /nfs/dbraw/zinc/39/35/87/574393587.db2.gz SRBKZEKLYLJYQY-VAWYXSNFSA-N 0 0 289.335 2.515 20 5 CFBDRN C/C=C\COC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000752754301 574394652 /nfs/dbraw/zinc/39/46/52/574394652.db2.gz FEOSRTWATYFQGK-IHWYPQMZSA-N 0 0 290.319 2.928 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccc2nonc21 ZINC000752948456 574396812 /nfs/dbraw/zinc/39/68/12/574396812.db2.gz GSLJFCDNNRYXSA-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN C[C@@H](N(C)c1nc2ccccn2c1[N+](=O)[O-])C1(C)CC1 ZINC000753117734 574398904 /nfs/dbraw/zinc/39/89/04/574398904.db2.gz HMHHDFPNKJFAEE-SNVBAGLBSA-N 0 0 274.324 2.867 20 5 CFBDRN CNc1ccc(C(=O)NC23CCC(CC2)C3)cc1[N+](=O)[O-] ZINC000753133754 574399137 /nfs/dbraw/zinc/39/91/37/574399137.db2.gz YQAYWFDVPHTAHC-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2C[C@@H](OC)C2(C)C)c1 ZINC000753361514 574401844 /nfs/dbraw/zinc/40/18/44/574401844.db2.gz NOJMWCLQSOOCDJ-NWDGAFQWSA-N 0 0 298.314 2.968 20 5 CFBDRN COC1(C(=O)OCc2c(F)cccc2[N+](=O)[O-])CCCC1 ZINC000753504609 574403492 /nfs/dbraw/zinc/40/34/92/574403492.db2.gz LGIITYXNKIDNCZ-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN Cc1cc(C)n(Cc2nnc(-c3ccccc3[N+](=O)[O-])o2)n1 ZINC000753586951 574405640 /nfs/dbraw/zinc/40/56/40/574405640.db2.gz MAKSAKIHNKGPTG-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN CCc1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)co1 ZINC000753622670 574406853 /nfs/dbraw/zinc/40/68/53/574406853.db2.gz CIAJIZJEAAANAW-UHFFFAOYSA-N 0 0 279.227 2.537 20 5 CFBDRN O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC2(CC(F)C2)C1 ZINC000753670887 574407844 /nfs/dbraw/zinc/40/78/44/574407844.db2.gz PDQPBNZDYNAPOI-UHFFFAOYSA-N 0 0 298.701 2.822 20 5 CFBDRN CC(C)N(C)C(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000900121050 620639102 /nfs/dbraw/zinc/63/91/02/620639102.db2.gz ORZBACZVUPPUGU-UHFFFAOYSA-N 0 0 274.679 2.868 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[NH2+][C@H](C(=O)[O-])C(C)C ZINC000821103433 598196740 /nfs/dbraw/zinc/19/67/40/598196740.db2.gz HUFWLZMXWNBVNT-MFKMUULPSA-N 0 0 280.324 2.663 20 5 CFBDRN C[C@@H]([NH2+]C1CCC(C(=O)[O-])CC1)c1cccc([N+](=O)[O-])c1 ZINC000086735729 600863660 /nfs/dbraw/zinc/86/36/60/600863660.db2.gz FNKKKLQYLPZMOP-XSRFYTQQSA-N 0 0 292.335 2.889 20 5 CFBDRN C[C@@]([NH2+]Cc1ccc(Cl)cc1[N+](=O)[O-])(C(=O)[O-])C1CC1 ZINC000827741980 601175683 /nfs/dbraw/zinc/17/56/83/601175683.db2.gz YVCLXRUPKGKLQH-ZDUSSCGKSA-N 0 0 298.726 2.591 20 5 CFBDRN C[C@@]([NH2+]Cc1cc([N+](=O)[O-])ccc1Cl)(C(=O)[O-])C1CC1 ZINC000827740843 601176937 /nfs/dbraw/zinc/17/69/37/601176937.db2.gz CKNYZWCVRUANBR-ZDUSSCGKSA-N 0 0 298.726 2.591 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCCCO1 ZINC000935593840 649920379 /nfs/dbraw/zinc/92/03/79/649920379.db2.gz SVNWBXPTMOHHQP-UHFFFAOYSA-N 0 0 296.348 2.874 20 5 CFBDRN O=C(NC1CC(F)(F)C1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935649214 649926267 /nfs/dbraw/zinc/92/62/67/649926267.db2.gz PWFZFYHWVARBAV-NEPJUHHUSA-N 0 0 296.273 2.612 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000935702308 649931007 /nfs/dbraw/zinc/93/10/07/649931007.db2.gz WWINYWRBPBJELB-DDFAGTSDSA-N 0 0 292.360 2.845 20 5 CFBDRN CCC(C)(C)C(=O)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000900268040 620656058 /nfs/dbraw/zinc/65/60/58/620656058.db2.gz LYMFTJHKESCOFK-SNVBAGLBSA-N 0 0 292.335 2.777 20 5 CFBDRN CN(CC1CC1)C(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000900268429 620656278 /nfs/dbraw/zinc/65/62/78/620656278.db2.gz BNWJYKJNYQRDCA-UHFFFAOYSA-N 0 0 286.690 2.869 20 5 CFBDRN CC(C)[C@@H](C[NH2+][C@@H](C)c1cccc([N+](=O)[O-])c1)NC(=O)[O-] ZINC000825486378 603621425 /nfs/dbraw/zinc/62/14/25/603621425.db2.gz XPHULSJGUZISSP-GXFFZTMASA-N 0 0 295.339 2.538 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000935790473 649945292 /nfs/dbraw/zinc/94/52/92/649945292.db2.gz CUJWUNMLTGJMRJ-LDYMZIIASA-N 0 0 280.349 2.845 20 5 CFBDRN CCN(CC[NH2+][C@H](C)c1cccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000827151036 604956529 /nfs/dbraw/zinc/95/65/29/604956529.db2.gz RZSQBKSOANPFKG-LLVKDONJSA-N 0 0 295.339 2.554 20 5 CFBDRN CC[C@H]([NH2+]CC(C)(C)NC(=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000826621132 605107777 /nfs/dbraw/zinc/10/77/77/605107777.db2.gz YYXSIDKFZZWOOL-LBPRGKRZSA-N 0 0 295.339 2.682 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1(C)CC=CC1 ZINC000935858761 649955799 /nfs/dbraw/zinc/95/57/99/649955799.db2.gz PREKLHBCYDMNMK-UHFFFAOYSA-N 0 0 288.347 2.952 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]2C[C@H]21 ZINC000935922580 649960543 /nfs/dbraw/zinc/96/05/43/649960543.db2.gz HSACFARYBICAAO-JOYOIKCWSA-N 0 0 292.360 2.941 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822192175 623057019 /nfs/dbraw/zinc/05/70/19/623057019.db2.gz BYCUXJQZQPIBPF-MNOVXSKESA-N 0 0 256.346 2.517 20 5 CFBDRN C/C(=C\c1ccc(Cl)cc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813107238 617932028 /nfs/dbraw/zinc/93/20/28/617932028.db2.gz NJWBZXGRTJURFH-TTZKWOQHSA-N 0 0 283.711 2.952 20 5 CFBDRN C[C@@H]1CCc2ccccc2N1Cn1nccc1[N+](=O)[O-] ZINC000158109398 618028517 /nfs/dbraw/zinc/02/85/17/618028517.db2.gz VTRDGSLEMYOHQO-LLVKDONJSA-N 0 0 272.308 2.590 20 5 CFBDRN C[C@@H](CCO)SCc1cc(F)ccc1[N+](=O)[O-] ZINC000091264932 618049069 /nfs/dbraw/zinc/04/90/69/618049069.db2.gz GCXARWJFGZJFKN-QMMMGPOBSA-N 0 0 259.302 2.738 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC(Cc2ccoc2)C1 ZINC000890565565 617657469 /nfs/dbraw/zinc/65/74/69/617657469.db2.gz FQQVAADCHUDCGV-UHFFFAOYSA-N 0 0 286.287 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CS[C@@H](C)C2)c1C ZINC000891604600 617683716 /nfs/dbraw/zinc/68/37/16/617683716.db2.gz ZGUOLMRICBWZJE-GXSJLCMTSA-N 0 0 294.376 2.835 20 5 CFBDRN CCOc1ccc([C@@H](C)Nc2c([N+](=O)[O-])cnn2C)cc1 ZINC000891646787 617695338 /nfs/dbraw/zinc/69/53/38/617695338.db2.gz BQPYCFFDXYIZLW-SNVBAGLBSA-N 0 0 290.323 2.900 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@](C)(O)c2ccccc2)n1 ZINC000178225286 617697352 /nfs/dbraw/zinc/69/73/52/617697352.db2.gz GIQAAIPPPLUHHF-HNNXBMFYSA-N 0 0 287.319 2.618 20 5 CFBDRN CC[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2OC)C1 ZINC000891783695 617750437 /nfs/dbraw/zinc/75/04/37/617750437.db2.gz KMWRITVEBAXZTK-SNVBAGLBSA-N 0 0 265.313 2.625 20 5 CFBDRN C[C@H](O)[C@@H]1CCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000120657508 617756998 /nfs/dbraw/zinc/75/69/98/617756998.db2.gz ZLMRACVIQDBIBV-CMPLNLGQSA-N 0 0 298.770 2.841 20 5 CFBDRN CSCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000134798847 617760538 /nfs/dbraw/zinc/76/05/38/617760538.db2.gz IUYFIUYGCJSHEE-MRVPVSSYSA-N 0 0 286.328 2.605 20 5 CFBDRN C[C@@H]1CN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000933818674 617782628 /nfs/dbraw/zinc/78/26/28/617782628.db2.gz XHWFHFWAAGJAMX-YIKOMLBNSA-N 0 0 288.347 2.813 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC[C@H]1CCSC1 ZINC000804678476 617799662 /nfs/dbraw/zinc/79/96/62/617799662.db2.gz ODWIKOCBRCKQRQ-MRVPVSSYSA-N 0 0 283.309 2.668 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCc1cccnc1F ZINC000804681041 617800646 /nfs/dbraw/zinc/80/06/46/617800646.db2.gz YAZFSHLDQUKLGK-UHFFFAOYSA-N 0 0 292.226 2.649 20 5 CFBDRN CN(C)c1nnc(S/C=C\c2ccc([N+](=O)[O-])o2)s1 ZINC000255051371 617813492 /nfs/dbraw/zinc/81/34/92/617813492.db2.gz OTTKWNDEFGORFI-WAYWQWQTSA-N 0 0 298.349 2.868 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H]1C(F)(F)F ZINC000804690572 617815782 /nfs/dbraw/zinc/81/57/82/617815782.db2.gz AZDOUZGSOITIQX-PHDIDXHHSA-N 0 0 291.185 2.866 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000890764468 617845645 /nfs/dbraw/zinc/84/56/45/617845645.db2.gz PTOOLHCSPKVCQL-MWLCHTKSSA-N 0 0 278.283 2.818 20 5 CFBDRN CCc1ccc(OC(=O)CC[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000176143993 617868173 /nfs/dbraw/zinc/86/81/73/617868173.db2.gz LPLRRCPPTMOULK-LBPRGKRZSA-N 0 0 293.319 2.879 20 5 CFBDRN Cc1ccc([C@H](O)[C@H](C)Nc2ncccc2[N+](=O)[O-])cc1 ZINC000804809399 617892170 /nfs/dbraw/zinc/89/21/70/617892170.db2.gz AGLMFVNFQCAEAP-SMDDNHRTSA-N 0 0 287.319 2.832 20 5 CFBDRN CCN(CC)C(=S)Sc1ccc([N+](=O)[O-])cn1 ZINC000060846439 617896143 /nfs/dbraw/zinc/89/61/43/617896143.db2.gz VTDAWPPETOGRKP-UHFFFAOYSA-N 0 0 271.367 2.709 20 5 CFBDRN CSc1ccc(C(=O)NOC2CCC2)cc1[N+](=O)[O-] ZINC000812791536 617899373 /nfs/dbraw/zinc/89/93/73/617899373.db2.gz QCGKWQLTAVBIQZ-UHFFFAOYSA-N 0 0 282.321 2.531 20 5 CFBDRN O=C(OCc1ccccn1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000901173254 620761809 /nfs/dbraw/zinc/76/18/09/620761809.db2.gz SKOJQPUARXQZGI-LSDHHAIUSA-N 0 0 298.298 2.837 20 5 CFBDRN C[C@@H](COC(=O)c1cnc(-c2ccccc2)s1)[N+](=O)[O-] ZINC000813103981 617924367 /nfs/dbraw/zinc/92/43/67/617924367.db2.gz VPJAOLGTWVVVNZ-VIFPVBQESA-N 0 0 292.316 2.632 20 5 CFBDRN C[C@H](COC(=O)[C@H](C)SCc1ccccc1)[N+](=O)[O-] ZINC000813111123 617936302 /nfs/dbraw/zinc/93/63/02/617936302.db2.gz OFJVJCHPFYDANF-MNOVXSKESA-N 0 0 283.349 2.517 20 5 CFBDRN CO[C@H](C)CN(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000804839944 617936333 /nfs/dbraw/zinc/93/63/33/617936333.db2.gz BEKBZOSMHVUCHP-MRVPVSSYSA-N 0 0 258.705 2.719 20 5 CFBDRN CO[C@H](C)CN(C)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000804841288 617938713 /nfs/dbraw/zinc/93/87/13/617938713.db2.gz XOXXWMFSEXFIOJ-MRVPVSSYSA-N 0 0 281.337 2.676 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC[C@@H](C)CC1 ZINC000892101596 617949800 /nfs/dbraw/zinc/94/98/00/617949800.db2.gz OIHOWAQAAUFSAE-SNVBAGLBSA-N 0 0 265.313 2.625 20 5 CFBDRN Cc1[nH]nc2c1CCCN2Cc1ccc([N+](=O)[O-])cc1 ZINC000934307118 617995435 /nfs/dbraw/zinc/99/54/35/617995435.db2.gz YTSQGOCDZFKBGS-UHFFFAOYSA-N 0 0 272.308 2.579 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ccnc(Cl)c1 ZINC000048620074 617997498 /nfs/dbraw/zinc/99/74/98/617997498.db2.gz FFNKLFJZYWDIFE-UHFFFAOYSA-N 0 0 278.651 2.862 20 5 CFBDRN CCCN(CC(=O)OC)c1ccc([N+](=O)[O-])cc1Cl ZINC000050086501 618054035 /nfs/dbraw/zinc/05/40/35/618054035.db2.gz BUSJJLKBLOFQQD-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN COc1ccc(C(=O)O[C@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000127789942 618057087 /nfs/dbraw/zinc/05/70/87/618057087.db2.gz FFKDYOWXHPWDOS-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000175903826 618057257 /nfs/dbraw/zinc/05/72/57/618057257.db2.gz FJXFTCKUDSMWAG-SSDOTTSWSA-N 0 0 277.198 2.631 20 5 CFBDRN Cc1cccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])n1 ZINC000050037378 618061992 /nfs/dbraw/zinc/06/19/92/618061992.db2.gz WYZGTZDVIUITBN-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1csc(CCCCNc2c([N+](=O)[O-])cnn2C)n1 ZINC000892285983 618089839 /nfs/dbraw/zinc/08/98/39/618089839.db2.gz BWUFZWAYJWXBTI-UHFFFAOYSA-N 0 0 295.368 2.528 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000161390659 618096873 /nfs/dbraw/zinc/09/68/73/618096873.db2.gz INDWFFFGHMPGNO-AOOOYVTPSA-N 0 0 289.335 2.857 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000161390928 618097067 /nfs/dbraw/zinc/09/70/67/618097067.db2.gz INDWFFFGHMPGNO-NXEZZACHSA-N 0 0 289.335 2.857 20 5 CFBDRN C[C@@H](CNC(=O)OC(C)(C)C)Nc1ccccc1[N+](=O)[O-] ZINC000235130269 618115734 /nfs/dbraw/zinc/11/57/34/618115734.db2.gz GYUMIHOEKLGEBB-JTQLQIEISA-N 0 0 295.339 2.920 20 5 CFBDRN COC1CCC(C(=O)OCc2ccccc2[N+](=O)[O-])CC1 ZINC000781238321 618140473 /nfs/dbraw/zinc/14/04/73/618140473.db2.gz CGEOPPQFQDZYPJ-UHFFFAOYSA-N 0 0 293.319 2.843 20 5 CFBDRN CCCC[C@@H](C(=O)Nc1c([N+](=O)[O-])ncn1C)C(C)C ZINC000891344617 618141714 /nfs/dbraw/zinc/14/17/14/618141714.db2.gz KIWDPOHBLGEMDV-SNVBAGLBSA-N 0 0 282.344 2.729 20 5 CFBDRN CCCc1ccc(C(=O)Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000891348215 618142508 /nfs/dbraw/zinc/14/25/08/618142508.db2.gz PUAJYHPYHCMBMN-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN O=C(/C=C\c1ccco1)OCCc1ccccc1[N+](=O)[O-] ZINC000255268560 618153925 /nfs/dbraw/zinc/15/39/25/618153925.db2.gz ADIBZVYDICYBGX-FPLPWBNLSA-N 0 0 287.271 2.987 20 5 CFBDRN Cc1nn(C)c(NC[C@H](C)C(C)(C)C)c1[N+](=O)[O-] ZINC000096609835 618156298 /nfs/dbraw/zinc/15/62/98/618156298.db2.gz NHAHEQCHQHOGRV-QMMMGPOBSA-N 0 0 254.334 2.731 20 5 CFBDRN O=C(OCC[C@@H]1CCCO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000177946042 618157478 /nfs/dbraw/zinc/15/74/78/618157478.db2.gz HSVNBKNMUMFNSV-JTQLQIEISA-N 0 0 299.710 2.974 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2ccncc2)c([N+](=O)[O-])c1 ZINC000022367984 618160449 /nfs/dbraw/zinc/16/04/49/618160449.db2.gz XDRRGRUGEXSPOW-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@H]2C2CC2)c1C ZINC000891373181 618169865 /nfs/dbraw/zinc/16/98/65/618169865.db2.gz YJAAKNLIDWBSLR-STQMWFEESA-N 0 0 288.347 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CC2(Cl)Cl)nc1 ZINC000309492916 618170961 /nfs/dbraw/zinc/17/09/61/618170961.db2.gz JFZXJZVZCHIOKV-LURJTMIESA-N 0 0 262.096 2.596 20 5 CFBDRN CCC[N@H+](CC)Cc1ccc(O)c(OC)c1[N+](=O)[O-] ZINC000891398302 618185086 /nfs/dbraw/zinc/18/50/86/618185086.db2.gz BEMPKDZLTHFLDW-UHFFFAOYSA-N 0 0 268.313 2.541 20 5 CFBDRN Cc1nc(CNc2cc([N+](=O)[O-])ccc2F)oc1C ZINC000176874641 618219765 /nfs/dbraw/zinc/21/97/65/618219765.db2.gz QSKNGHKJYIRXEE-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CCCCCCNc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000166779106 618220958 /nfs/dbraw/zinc/22/09/58/618220958.db2.gz RKLQOYIALFWBQD-UHFFFAOYSA-N 0 0 281.312 2.769 20 5 CFBDRN CN(Cc1ccc(F)cc1Cl)c1c([N+](=O)[O-])cnn1C ZINC000892403362 618228183 /nfs/dbraw/zinc/22/81/83/618228183.db2.gz NVXYRKRRAOXHIW-UHFFFAOYSA-N 0 0 298.705 2.757 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000892417929 618234670 /nfs/dbraw/zinc/23/46/70/618234670.db2.gz KOXQFBCSIQFOBE-BXUZGUMPSA-N 0 0 289.335 2.749 20 5 CFBDRN Cc1cc(C(=O)OCc2cccnc2)cc([N+](=O)[O-])c1 ZINC000049155849 618247906 /nfs/dbraw/zinc/24/79/06/618247906.db2.gz OARQMOSNBBZDDO-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CCO/C=C\C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000840588380 618251973 /nfs/dbraw/zinc/25/19/73/618251973.db2.gz LNYJVXFELFDXFQ-GQYWMQPJSA-N 0 0 265.265 2.749 20 5 CFBDRN CC(C)OCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255671418 618258631 /nfs/dbraw/zinc/25/86/31/618258631.db2.gz VSZYZHVCBBNJHW-YVMONPNESA-N 0 0 279.292 2.576 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1C=Cc1n[nH]cc1C=O ZINC000255688434 618264318 /nfs/dbraw/zinc/26/43/18/618264318.db2.gz SNDLGMRYMYOQLA-HYXAFXHYSA-N 0 0 287.275 2.700 20 5 CFBDRN Cc1c(Cl)cnn1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000178959835 618268429 /nfs/dbraw/zinc/26/84/29/618268429.db2.gz WQFFOKAEHWPCAT-UHFFFAOYSA-N 0 0 294.742 2.843 20 5 CFBDRN CN(CCF)c1c2cc(F)ccc2ncc1[N+](=O)[O-] ZINC000894001392 618269355 /nfs/dbraw/zinc/26/93/55/618269355.db2.gz VYDOVZFFENAZQR-UHFFFAOYSA-N 0 0 267.235 2.688 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000793420765 618275580 /nfs/dbraw/zinc/27/55/80/618275580.db2.gz NXVKMUOYLFGGGG-DTWKUNHWSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000894042643 618286081 /nfs/dbraw/zinc/28/60/81/618286081.db2.gz CCPFOTDSCRSLPB-MRVPVSSYSA-N 0 0 286.278 2.642 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)C(F)F ZINC000894043124 618286117 /nfs/dbraw/zinc/28/61/17/618286117.db2.gz OJIBLRZOGQHLJI-FLOXNTQESA-N 0 0 284.262 2.768 20 5 CFBDRN C[C@@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(F)F ZINC000894046979 618288198 /nfs/dbraw/zinc/28/81/98/618288198.db2.gz YPRBSPMHORZWQU-RXMQYKEDSA-N 0 0 278.642 2.632 20 5 CFBDRN Cc1nn(C[C@H]2CCCC3(CCC3)O2)c(C)c1[N+](=O)[O-] ZINC000901351558 620792652 /nfs/dbraw/zinc/79/26/52/620792652.db2.gz JFFMLAFUXMFWEN-GFCCVEGCSA-N 0 0 279.340 2.900 20 5 CFBDRN Cc1nn(C[C@H]2C[C@@H](C)O[C@@H](C)C2)c(C)c1[N+](=O)[O-] ZINC000901351668 620792778 /nfs/dbraw/zinc/79/27/78/620792778.db2.gz LOKGPDHZCPXEAD-GDGBQDQQSA-N 0 0 267.329 2.612 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC(C)(C)C1CCC1 ZINC000894056051 618295032 /nfs/dbraw/zinc/29/50/32/618295032.db2.gz GZKAKYDZAFNECM-UHFFFAOYSA-N 0 0 252.318 2.567 20 5 CFBDRN COc1cc(NCCCCCCO)c([N+](=O)[O-])cc1C ZINC000312506735 618297916 /nfs/dbraw/zinc/29/79/16/618297916.db2.gz RKAZLJSPYGLFAM-UHFFFAOYSA-N 0 0 282.340 2.876 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@H]2CC[C@H]2C)c1F ZINC000312516613 618299712 /nfs/dbraw/zinc/29/97/12/618299712.db2.gz HRJTYUGDHQBRCU-SVRRBLITSA-N 0 0 284.262 2.710 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCC[C@]1(C)CC1(Cl)Cl ZINC000894082023 618304860 /nfs/dbraw/zinc/30/48/60/618304860.db2.gz NXHIXZYTXVWVPQ-SECBINFHSA-N 0 0 293.154 2.714 20 5 CFBDRN C[C@@H](O)CCCOc1cc(Cl)ccc1[N+](=O)[O-] ZINC000310451962 618324160 /nfs/dbraw/zinc/32/41/60/618324160.db2.gz VWTQNKGADNZCQZ-MRVPVSSYSA-N 0 0 259.689 2.788 20 5 CFBDRN CN(CCc1ccccn1)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000179553075 618326307 /nfs/dbraw/zinc/32/63/07/618326307.db2.gz SGAWUHBQQHUIHQ-UHFFFAOYSA-N 0 0 289.310 2.803 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1CC1(Cl)Cl ZINC000023243660 618328590 /nfs/dbraw/zinc/32/85/90/618328590.db2.gz QUCVYTHVJHJMTC-QMMMGPOBSA-N 0 0 275.091 2.727 20 5 CFBDRN CC[C@@H](SC)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000179614490 618329033 /nfs/dbraw/zinc/32/90/33/618329033.db2.gz XIDLNKSICOVXTL-GFCCVEGCSA-N 0 0 283.349 2.822 20 5 CFBDRN CCCCOCCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255841594 618334765 /nfs/dbraw/zinc/33/47/65/618334765.db2.gz FCGSCXKJAPCHPZ-TWGQIWQCSA-N 0 0 293.319 2.968 20 5 CFBDRN COc1ccc([C@@H](NCC[N+](=O)[O-])c2ccccc2)cc1 ZINC000930928430 618338378 /nfs/dbraw/zinc/33/83/78/618338378.db2.gz FZZVMUMHIBSDPU-INIZCTEOSA-N 0 0 286.331 2.651 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCc1cnc(C)cc1C ZINC000894117284 618350881 /nfs/dbraw/zinc/35/08/81/618350881.db2.gz COMPLOFZQJWRCN-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C=CCC2)c1C ZINC000893326603 618360465 /nfs/dbraw/zinc/36/04/65/618360465.db2.gz OBINDQQVGBOIOH-GFCCVEGCSA-N 0 0 274.320 2.908 20 5 CFBDRN O=C(NCCCCF)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000311063691 618401349 /nfs/dbraw/zinc/40/13/49/618401349.db2.gz ZOLYPIANPDGUDO-UHFFFAOYSA-N 0 0 274.679 2.728 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000178380375 618407094 /nfs/dbraw/zinc/40/70/94/618407094.db2.gz SRGNMQJXVXJAMP-MRVPVSSYSA-N 0 0 265.265 2.555 20 5 CFBDRN C[C@H]1CN(c2cc(Cl)c(F)cc2[N+](=O)[O-])CC[C@@H]1O ZINC000235671819 618407756 /nfs/dbraw/zinc/40/77/56/618407756.db2.gz MRGNPKLHHAIDIF-MADCSZMMSA-N 0 0 288.706 2.594 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cc([C@H](O)C2CC2)on1 ZINC000901394350 620803842 /nfs/dbraw/zinc/80/38/42/620803842.db2.gz FAJXCQOAIXORMH-CYBMUJFWSA-N 0 0 260.249 2.693 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000776342297 618433432 /nfs/dbraw/zinc/43/34/32/618433432.db2.gz FTXUMHVSSJGILL-WDEREUQCSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CC1CCC(C(F)(F)F)CC1 ZINC000814038235 618437245 /nfs/dbraw/zinc/43/72/45/618437245.db2.gz ORTIOCILKLOVSR-IDKOKCKLSA-N 0 0 297.273 2.954 20 5 CFBDRN Cc1noc(C)c1N(C)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000749846079 618440544 /nfs/dbraw/zinc/44/05/44/618440544.db2.gz JGYZILOSTDJSQL-UHFFFAOYSA-N 0 0 279.271 2.975 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1occc1-c1ccccc1 ZINC000814040494 618442093 /nfs/dbraw/zinc/44/20/93/618442093.db2.gz HOBNRUMRJBTFQO-JTQLQIEISA-N 0 0 275.260 2.769 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(C(C)(C)C)cc1 ZINC000814042396 618442245 /nfs/dbraw/zinc/44/22/45/618442245.db2.gz JQWNHOSAIRMALF-SNVBAGLBSA-N 0 0 265.309 2.806 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCC1CCSCC1 ZINC000747149874 618451863 /nfs/dbraw/zinc/45/18/63/618451863.db2.gz XSDQTXKSBDYFOC-UHFFFAOYSA-N 0 0 295.360 2.824 20 5 CFBDRN Cc1ncoc1COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000814095731 618454079 /nfs/dbraw/zinc/45/40/79/618454079.db2.gz INSQLRHZFWAUTH-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN Cc1nc([C@@H]2CCCN2c2ncccc2[N+](=O)[O-])[nH]c1C ZINC000895193863 618459428 /nfs/dbraw/zinc/45/94/28/618459428.db2.gz VNSUUBXPZIDIFS-NSHDSACASA-N 0 0 287.323 2.671 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCCc1ccsc1 ZINC000726339838 618463710 /nfs/dbraw/zinc/46/37/10/618463710.db2.gz KXHKZVKBUWZNJN-UHFFFAOYSA-N 0 0 291.328 2.985 20 5 CFBDRN O=C(NC1CCC=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000895204382 618469207 /nfs/dbraw/zinc/46/92/07/618469207.db2.gz ISTRNZORAWSZDS-UHFFFAOYSA-N 0 0 260.293 2.823 20 5 CFBDRN COC/C(C)=C\COc1ccc([N+](=O)[O-])c(C)c1 ZINC000901412827 620810912 /nfs/dbraw/zinc/81/09/12/620810912.db2.gz NHRJVTMTXCXSIS-POHAHGRESA-N 0 0 251.282 2.875 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCc1nn(C)cc1C ZINC000901412408 620811037 /nfs/dbraw/zinc/81/10/37/620811037.db2.gz IMCOPBAIPRJHOE-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN CC(C)Oc1cc(C(=O)N(C)CCCF)ccc1[N+](=O)[O-] ZINC000895300638 618519569 /nfs/dbraw/zinc/51/95/69/618519569.db2.gz PJONLCDYWZFMAB-UHFFFAOYSA-N 0 0 298.314 2.814 20 5 CFBDRN O=C(NC1(C2CC2)CCC1)c1csc([N+](=O)[O-])c1 ZINC000895364511 618551426 /nfs/dbraw/zinc/55/14/26/618551426.db2.gz PAOHJTNTPRRTDW-UHFFFAOYSA-N 0 0 266.322 2.719 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC000895395519 618567033 /nfs/dbraw/zinc/56/70/33/618567033.db2.gz LRSRLEXIJCCRLC-CJNGLKHVSA-N 0 0 281.315 2.768 20 5 CFBDRN Cc1nc([C@@H]2CCN(c3ccc(C)cc3[N+](=O)[O-])C2)no1 ZINC000895404005 618575068 /nfs/dbraw/zinc/57/50/68/618575068.db2.gz OWBKMVBFAINUMD-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000310689457 618606699 /nfs/dbraw/zinc/60/66/99/618606699.db2.gz NJGGSSGSAOTCBZ-WRWORJQWSA-N 0 0 268.700 2.777 20 5 CFBDRN CO[C@@H](C)CCOC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255883008 618607075 /nfs/dbraw/zinc/60/70/75/618607075.db2.gz QDVLZYNLIXODPI-OFSNVTPPSA-N 0 0 279.292 2.576 20 5 CFBDRN O=C(OC[C@@H]1Cc2ccccc2O1)c1cccc([N+](=O)[O-])c1 ZINC000177822435 618607076 /nfs/dbraw/zinc/60/70/76/618607076.db2.gz MLOIWLUDRZRVLO-AWEZNQCLSA-N 0 0 299.282 2.755 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC[C@@H]1CCCO1 ZINC000236175853 618608299 /nfs/dbraw/zinc/60/82/99/618608299.db2.gz XXJFYYZUDHCKJN-ZETCQYMHSA-N 0 0 274.679 2.978 20 5 CFBDRN Cc1cc(C(=O)OCC[C@@H]2CCCO2)cc([N+](=O)[O-])c1 ZINC000177938107 618614310 /nfs/dbraw/zinc/61/43/10/618614310.db2.gz QEMBQDFQHAKWKQ-ZDUSSCGKSA-N 0 0 279.292 2.629 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000935737548 618633026 /nfs/dbraw/zinc/63/30/26/618633026.db2.gz SMOAEQMGTGMWSL-UWVGGRQHSA-N 0 0 280.299 2.676 20 5 CFBDRN Cc1cc(C(=O)NCC2(C)CCCC2)c(N)c([N+](=O)[O-])c1 ZINC000066650864 618637564 /nfs/dbraw/zinc/63/75/64/618637564.db2.gz URVRGWJETISKPA-UHFFFAOYSA-N 0 0 291.351 2.796 20 5 CFBDRN Cn1c2ccccc2c(N[C@H]2CC=CCC2)c([N+](=O)[O-])c1=O ZINC000892605323 618651147 /nfs/dbraw/zinc/65/11/47/618651147.db2.gz PEVTWVXIUIIAKA-NSHDSACASA-N 0 0 299.330 2.967 20 5 CFBDRN CC[C@@H](OC)C(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000892638742 618667327 /nfs/dbraw/zinc/66/73/27/618667327.db2.gz XBLNBWWLIBKOGC-GFCCVEGCSA-N 0 0 289.291 2.507 20 5 CFBDRN COC(=O)c1cc(OC/C=C/Cl)ccc1[N+](=O)[O-] ZINC000178229713 618694018 /nfs/dbraw/zinc/69/40/18/618694018.db2.gz KOUBESYCTVQLDP-GORDUTHDSA-N 0 0 271.656 2.513 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000892688092 618710356 /nfs/dbraw/zinc/71/03/56/618710356.db2.gz QHEMRTOGCLXVKZ-GMTAPVOTSA-N 0 0 264.329 2.709 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CC1(Cl)Cl ZINC000309514844 618730799 /nfs/dbraw/zinc/73/07/99/618730799.db2.gz RADSQFFZQYBHEO-ZCFIWIBFSA-N 0 0 262.096 2.596 20 5 CFBDRN CCOc1cc(NC(=O)[C@H](CC)OCC)ccc1[N+](=O)[O-] ZINC000892746146 618738564 /nfs/dbraw/zinc/73/85/64/618738564.db2.gz FNXCFCVDTIFJGR-LBPRGKRZSA-N 0 0 296.323 2.747 20 5 CFBDRN CCOc1cc(NC(=O)CC(C)(C)OC)ccc1[N+](=O)[O-] ZINC000892744475 618738802 /nfs/dbraw/zinc/73/88/02/618738802.db2.gz JSLKTGMWPBZCPN-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000892744837 618738923 /nfs/dbraw/zinc/73/89/23/618738923.db2.gz OCXWZMLWYBUVLF-PSASIEDQSA-N 0 0 264.281 2.588 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2CCCOC2)c1C ZINC000892848359 618756762 /nfs/dbraw/zinc/75/67/62/618756762.db2.gz VBTPNHPBOFSJAC-NSHDSACASA-N 0 0 279.292 2.547 20 5 CFBDRN CCOc1cc(C)ccc1CNc1c([N+](=O)[O-])cnn1C ZINC000892888725 618764288 /nfs/dbraw/zinc/76/42/88/618764288.db2.gz LATYPTWFZBCFEO-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1N[C@@H]1CCC(F)(F)C1 ZINC000892938215 618773730 /nfs/dbraw/zinc/77/37/30/618773730.db2.gz KZMSYKNWTLVLPV-ZCFIWIBFSA-N 0 0 284.222 2.731 20 5 CFBDRN C[C@H](COC(=O)CC(C)(C)CC(F)(F)F)[N+](=O)[O-] ZINC000893047480 618814871 /nfs/dbraw/zinc/81/48/71/618814871.db2.gz LRERUYNIYDOYEE-SSDOTTSWSA-N 0 0 271.235 2.564 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000893094732 618829116 /nfs/dbraw/zinc/82/91/16/618829116.db2.gz BMVCFMJHOZRAKC-ZYHUDNBSSA-N 0 0 295.339 2.614 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCCC[C@H]1CCCCO1 ZINC000893184157 618870653 /nfs/dbraw/zinc/87/06/53/618870653.db2.gz VWFXSOYAULNBFV-GFCCVEGCSA-N 0 0 295.339 2.760 20 5 CFBDRN C[C@H](OC(=O)[C@H]1C[C@@H](C)CO1)c1ccccc1[N+](=O)[O-] ZINC000893221949 618892486 /nfs/dbraw/zinc/89/24/86/618892486.db2.gz BHDQRRFYBYGOGS-GBIKHYSHSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])nn1C ZINC000312241832 618914098 /nfs/dbraw/zinc/91/40/98/618914098.db2.gz ICISSJDJESISDX-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C[C@H](NCc1nccn1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000893280416 618929399 /nfs/dbraw/zinc/92/93/99/618929399.db2.gz XRZXQAVUFTUXCK-NSHDSACASA-N 0 0 286.335 2.977 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@H]1C(F)F ZINC000931420925 618965648 /nfs/dbraw/zinc/96/56/48/618965648.db2.gz KFKVAAWRYRHZTF-JTQLQIEISA-N 0 0 260.215 2.573 20 5 CFBDRN CO[C@@H](C)CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000195381903 618971086 /nfs/dbraw/zinc/97/10/86/618971086.db2.gz MBAWFDADZKINLX-LBPRGKRZSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NC2CCCC2)c1F ZINC000313112751 618974830 /nfs/dbraw/zinc/97/48/30/618974830.db2.gz OLKDSRMDNNPQKG-UHFFFAOYSA-N 0 0 284.262 2.854 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H](CF)C1 ZINC000893415542 618983124 /nfs/dbraw/zinc/98/31/24/618983124.db2.gz PXPRQYKWZRLNHH-NSHDSACASA-N 0 0 280.299 2.725 20 5 CFBDRN COC(=O)/C(C)=C/CSc1cccc([N+](=O)[O-])c1 ZINC000313476722 618990130 /nfs/dbraw/zinc/99/01/30/618990130.db2.gz WUDQYEKDNYIJLT-RMKNXTFCSA-N 0 0 267.306 2.806 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCc2cc(Cl)ccc2C1 ZINC000893443880 618995122 /nfs/dbraw/zinc/99/51/22/618995122.db2.gz NFGBCATVFGEGOF-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN COC[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000893455718 618999913 /nfs/dbraw/zinc/99/99/13/618999913.db2.gz SQNMJQBJNYSEOW-GFCCVEGCSA-N 0 0 281.308 2.670 20 5 CFBDRN C[C@@H](OC(=O)C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000066878234 619003460 /nfs/dbraw/zinc/00/34/60/619003460.db2.gz NOAUGESQBDVENN-MFKMUULPSA-N 0 0 279.292 2.768 20 5 CFBDRN C/C(=C\C(=O)N1CC[C@H](CF)C1)c1ccc([N+](=O)[O-])cc1 ZINC000893494076 619019623 /nfs/dbraw/zinc/01/96/23/619019623.db2.gz NOSLMANTBYVXJM-JATZPVMKSA-N 0 0 292.310 2.816 20 5 CFBDRN C[C@H](COC(=O)c1ccccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000893501510 619021848 /nfs/dbraw/zinc/02/18/48/619021848.db2.gz WQFKKLOSJCTNSF-BXUZGUMPSA-N 0 0 293.319 2.957 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])cnn1C)c1ccc(Cl)cn1 ZINC000893586328 619079418 /nfs/dbraw/zinc/07/94/18/619079418.db2.gz YOUXSYSYKJNICW-ZETCQYMHSA-N 0 0 281.703 2.550 20 5 CFBDRN C/C=C/COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000105247775 619083098 /nfs/dbraw/zinc/08/30/98/619083098.db2.gz UNNOCCWHMOLEPP-NSCUHMNNSA-N 0 0 255.657 2.981 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cc(C(C)C)[nH]n1 ZINC000051706539 619090685 /nfs/dbraw/zinc/09/06/85/619090685.db2.gz RNOGNQPUQHLPIG-UHFFFAOYSA-N 0 0 289.291 2.969 20 5 CFBDRN CC[C@@H]1CN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCCO1 ZINC000893673927 619152668 /nfs/dbraw/zinc/15/26/68/619152668.db2.gz BGQGBBNDXNSFCR-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN CC[S@](C)(=O)=NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000925828353 619158258 /nfs/dbraw/zinc/15/82/58/619158258.db2.gz FMLCDOPTLLFKTK-XTXLJPNXSA-N 0 0 296.348 2.642 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCCC[C@H]12)c1ccc([N+](=O)[O-])s1 ZINC000893696062 619165471 /nfs/dbraw/zinc/16/54/71/619165471.db2.gz DMQXHRJWXVMNCK-LPEHRKFASA-N 0 0 280.349 2.965 20 5 CFBDRN CC(=O)CCCOC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000924244581 619182751 /nfs/dbraw/zinc/18/27/51/619182751.db2.gz XJBANTGGKKBBRU-UHFFFAOYSA-N 0 0 299.710 2.703 20 5 CFBDRN CC1=C(c2ccco2)CN(c2c([N+](=O)[O-])cnn2C)CC1 ZINC000893717800 619201891 /nfs/dbraw/zinc/20/18/91/619201891.db2.gz VZVCNLBIVJMPIQ-UHFFFAOYSA-N 0 0 288.307 2.605 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC[C@H](C2CC2)C1 ZINC000893886154 619236092 /nfs/dbraw/zinc/23/60/92/619236092.db2.gz SXNNHSPZTSLJDH-NSHDSACASA-N 0 0 277.324 2.625 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ncoc1C1CC1 ZINC000814813401 619261574 /nfs/dbraw/zinc/26/15/74/619261574.db2.gz DDRNNIPANVRMBU-UHFFFAOYSA-N 0 0 274.232 2.679 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1cnccn1 ZINC000747744955 619266125 /nfs/dbraw/zinc/26/61/25/619266125.db2.gz LVNFLLJLOGMYGX-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CCCCOC(=O)COc1ccc(CC)cc1[N+](=O)[O-] ZINC000070497359 625332065 /nfs/dbraw/zinc/33/20/65/625332065.db2.gz ORGWBJFLDMHAQJ-UHFFFAOYSA-N 0 0 281.308 2.879 20 5 CFBDRN CC1(C)CN(c2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000791407434 619313365 /nfs/dbraw/zinc/31/33/65/619313365.db2.gz JJEAFLHTZJNHMA-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN C[C@@H](NC(=O)CCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000928246469 619328262 /nfs/dbraw/zinc/32/82/62/619328262.db2.gz AARFNQVVXDRQCZ-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000894363740 619352054 /nfs/dbraw/zinc/35/20/54/619352054.db2.gz NOKXJWLOVMUFCJ-CPCISQLKSA-N 0 0 283.309 2.809 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1cccc([N+](=O)[O-])c1 ZINC000748166348 619353973 /nfs/dbraw/zinc/35/39/73/619353973.db2.gz YWCMJURJADORTP-SNVBAGLBSA-N 0 0 277.276 2.511 20 5 CFBDRN O=C(COC(=O)C1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000139978993 619354738 /nfs/dbraw/zinc/35/47/38/619354738.db2.gz QGSWVWUDFKNXBB-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN O=C(OCC[C@H]1CCCC1=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000748184533 619359564 /nfs/dbraw/zinc/35/95/64/619359564.db2.gz ITWWSZNXBWTQSN-SECBINFHSA-N 0 0 295.266 2.650 20 5 CFBDRN CC[C@H](C)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000023520627 619365030 /nfs/dbraw/zinc/36/50/30/619365030.db2.gz UUDMCHANMBQGMV-UWVGGRQHSA-N 0 0 294.307 2.511 20 5 CFBDRN C[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1N)C(F)(F)F ZINC000748235066 619369662 /nfs/dbraw/zinc/36/96/62/619369662.db2.gz BSLCNAOHEQYEQW-ZCFIWIBFSA-N 0 0 292.213 2.532 20 5 CFBDRN O=[N+]([O-])c1c2nonc2ccc1N1C[C@@H]2CCCC[C@@H]21 ZINC000894440778 619383460 /nfs/dbraw/zinc/38/34/60/619383460.db2.gz KCPFLJQBKDXXIQ-WPRPVWTQSA-N 0 0 274.280 2.510 20 5 CFBDRN Cc1c[nH]c(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)n1 ZINC000894791075 619465049 /nfs/dbraw/zinc/46/50/49/619465049.db2.gz SEXSTWZHZHAGHT-UHFFFAOYSA-N 0 0 287.323 2.512 20 5 CFBDRN CC[C@@H](C)[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000048866523 619478012 /nfs/dbraw/zinc/47/80/12/619478012.db2.gz PISIAWMTDWXJRG-BDAKNGLRSA-N 0 0 268.288 2.898 20 5 CFBDRN Cc1ncoc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000894847168 619481654 /nfs/dbraw/zinc/48/16/54/619481654.db2.gz BYQOKLBHQRTKQM-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN Cc1cc(N2Cc3c[nH]nc3[C@@H](C)C2)c([N+](=O)[O-])s1 ZINC000894893216 619501375 /nfs/dbraw/zinc/50/13/75/619501375.db2.gz FONURWUXXUAGMD-ZETCQYMHSA-N 0 0 278.337 2.812 20 5 CFBDRN C[C@@H]1CN(c2ccsc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000894893995 619501392 /nfs/dbraw/zinc/50/13/92/619501392.db2.gz KYUGUQKSVKCIJH-SSDOTTSWSA-N 0 0 264.310 2.503 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC(c2ncc(C3CC3)cn2)C1 ZINC000894898933 619503912 /nfs/dbraw/zinc/50/39/12/619503912.db2.gz WRCNVRDAHLUOCO-UHFFFAOYSA-N 0 0 296.330 2.866 20 5 CFBDRN Cc1cc(Nc2cnn3c2NC[C@H](C)C3)ccc1[N+](=O)[O-] ZINC000894954197 619517854 /nfs/dbraw/zinc/51/78/54/619517854.db2.gz KKXNUWXMNPBHCJ-VIFPVBQESA-N 0 0 287.323 2.905 20 5 CFBDRN CNc1c(C(=O)OC[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000793177228 619536070 /nfs/dbraw/zinc/53/60/70/619536070.db2.gz JYYHYZONIWKWJQ-SECBINFHSA-N 0 0 296.348 2.546 20 5 CFBDRN COC(=O)CC1CC(Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000895498714 619543631 /nfs/dbraw/zinc/54/36/31/619543631.db2.gz HMPKZPDUSNFDLB-UHFFFAOYSA-N 0 0 278.308 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCN3CCSC[C@@H]23)s1 ZINC000895572120 619556725 /nfs/dbraw/zinc/55/67/25/619556725.db2.gz SKCSIEYESODLPI-ZJUUUORDSA-N 0 0 299.421 2.648 20 5 CFBDRN CCC(=O)c1ccc(N2CCO[C@H](C)CC2)c([N+](=O)[O-])c1 ZINC000895760223 619601593 /nfs/dbraw/zinc/60/15/93/619601593.db2.gz GLTGLXHZXFHLQO-LLVKDONJSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(C)O[C@@]1(CNc2ccc([N+](=O)[O-])s2)CCOC1 ZINC000895870391 619624772 /nfs/dbraw/zinc/62/47/72/619624772.db2.gz YJJVVKCEBMBZIZ-GFCCVEGCSA-N 0 0 286.353 2.652 20 5 CFBDRN C[C@H]1COC[C@H]1Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000895908978 619632890 /nfs/dbraw/zinc/63/28/90/619632890.db2.gz KOYAQIKPPSNUGQ-LKFCYVNXSA-N 0 0 273.292 2.590 20 5 CFBDRN C[C@H]1Cc2cc(CNc3ncc([N+](=O)[O-])s3)ccc2O1 ZINC000896047818 619660072 /nfs/dbraw/zinc/66/00/72/619660072.db2.gz WHXLDOBPXLGUNI-QMMMGPOBSA-N 0 0 291.332 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2nc3c(s2)CCC3)nc1 ZINC000896068428 619665543 /nfs/dbraw/zinc/66/55/43/619665543.db2.gz NKBVNRNBOIZMAQ-UHFFFAOYSA-N 0 0 290.348 2.590 20 5 CFBDRN Cc1cccc(NC[C@@H]2C=C[C@H]3C[C@@H]2CO3)c1[N+](=O)[O-] ZINC000896078576 619668167 /nfs/dbraw/zinc/66/81/67/619668167.db2.gz OTDZKHTXYZFNBU-XQQFMLRXSA-N 0 0 274.320 2.906 20 5 CFBDRN CN(C)[C@H](CCNc1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000896109318 619676012 /nfs/dbraw/zinc/67/60/12/619676012.db2.gz MDWLABGWJWALOM-SSDOTTSWSA-N 0 0 297.302 2.951 20 5 CFBDRN CN(C)[C@@H](CCNc1sccc1[N+](=O)[O-])C(F)(F)F ZINC000896110459 619676373 /nfs/dbraw/zinc/67/63/73/619676373.db2.gz LOEDZSJLYWKJCV-QMMMGPOBSA-N 0 0 297.302 2.951 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCC1CC2(C1)OCCO2 ZINC000896159400 619684555 /nfs/dbraw/zinc/68/45/55/619684555.db2.gz RGDVRAHNDWXVEU-UHFFFAOYSA-N 0 0 298.726 2.813 20 5 CFBDRN Cc1nn(C)c(NC[C@H]2CCCC3(CCC3)O2)c1[N+](=O)[O-] ZINC000896169140 619685400 /nfs/dbraw/zinc/68/54/00/619685400.db2.gz AYRAXHQQIPQAOE-LLVKDONJSA-N 0 0 294.355 2.540 20 5 CFBDRN CCC[C@@H](O)CCNc1cc(C)sc1[N+](=O)[O-] ZINC000896185327 619690126 /nfs/dbraw/zinc/69/01/26/619690126.db2.gz ADGJXRWXPUYBDK-SECBINFHSA-N 0 0 258.343 2.928 20 5 CFBDRN CCC[C@@H](O)CCNc1ccc([N+](=O)[O-])c(OC(C)C)n1 ZINC000896187218 619690503 /nfs/dbraw/zinc/69/05/03/619690503.db2.gz XDZLBJLNZQIZTR-LLVKDONJSA-N 0 0 297.355 2.740 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCn2nc(C3CC3)cc2C1 ZINC000896222274 619693616 /nfs/dbraw/zinc/69/36/16/619693616.db2.gz JFWFXHFSTNDJRW-UHFFFAOYSA-N 0 0 298.346 2.997 20 5 CFBDRN C[C@H]1CO[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000896222467 619693761 /nfs/dbraw/zinc/69/37/61/619693761.db2.gz NUBOWGXUIYMGMH-RNFRBKRXSA-N 0 0 281.293 2.804 20 5 CFBDRN Cc1cccc(N2CCn3nc(C4CC4)cc3C2)c1[N+](=O)[O-] ZINC000896218388 619695882 /nfs/dbraw/zinc/69/58/82/619695882.db2.gz ZSVAYEIIMNPFPA-UHFFFAOYSA-N 0 0 298.346 2.997 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c(Cl)cncc2[N+](=O)[O-])CCS1 ZINC000896249162 619705384 /nfs/dbraw/zinc/70/53/84/619705384.db2.gz MMNXHSMKJIXTHN-SFYZADRCSA-N 0 0 287.772 2.761 20 5 CFBDRN C[C@H]1C(=O)CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000896333952 619718125 /nfs/dbraw/zinc/71/81/25/619718125.db2.gz BZMQEEHFPCVCID-QMMMGPOBSA-N 0 0 268.700 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCc3cccnc32)nc1 ZINC000896412741 619731683 /nfs/dbraw/zinc/73/16/83/619731683.db2.gz YBZLMSHOOIIXOT-GFCCVEGCSA-N 0 0 270.292 2.874 20 5 CFBDRN CC(C)[C@H](Nc1cc(N)ccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000896427493 619736138 /nfs/dbraw/zinc/73/61/38/619736138.db2.gz PKJWMFYQROSWPT-AWEZNQCLSA-N 0 0 289.339 2.720 20 5 CFBDRN Cc1cc(NCCOC2CCOCC2)c([N+](=O)[O-])s1 ZINC000896464316 619740408 /nfs/dbraw/zinc/74/04/08/619740408.db2.gz ZUAXTJQQUOFRKW-UHFFFAOYSA-N 0 0 286.353 2.572 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NC[C@]23C[C@H]2CCC3)cc1F ZINC000896546336 619759442 /nfs/dbraw/zinc/75/94/42/619759442.db2.gz XHYYDBSBBRFGDM-YGRLFVJLSA-N 0 0 280.299 2.828 20 5 CFBDRN COCC(C)(C)c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000896571454 619764653 /nfs/dbraw/zinc/76/46/53/619764653.db2.gz JPZSLQAOHQZBHR-UHFFFAOYSA-N 0 0 283.309 2.630 20 5 CFBDRN CCn1cnc2c1CCN(c1ccc([N+](=O)[O-])c(C)c1)C2 ZINC000896660936 619782887 /nfs/dbraw/zinc/78/28/87/619782887.db2.gz VRKSQECSTKHEBO-UHFFFAOYSA-N 0 0 286.335 2.682 20 5 CFBDRN CCc1onc(C)c1CNc1ncc([N+](=O)[O-])s1 ZINC000896663710 619786500 /nfs/dbraw/zinc/78/65/00/619786500.db2.gz FHGKLJCMZBDKBE-UHFFFAOYSA-N 0 0 268.298 2.522 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(C2CSC2)no1 ZINC000896719393 619794076 /nfs/dbraw/zinc/79/40/76/619794076.db2.gz SVCIXBMKPZQGIT-UHFFFAOYSA-N 0 0 277.305 2.784 20 5 CFBDRN Cc1cc(NCc2noc3c2COCC3)c([N+](=O)[O-])s1 ZINC000896710274 619795332 /nfs/dbraw/zinc/79/53/32/619795332.db2.gz NDBIFQVBADDNFT-UHFFFAOYSA-N 0 0 295.320 2.638 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])nc1)C1CCOCC1 ZINC000896785100 619814502 /nfs/dbraw/zinc/81/45/02/619814502.db2.gz KRJHPOCLSLPBFH-UHFFFAOYSA-N 0 0 279.340 2.855 20 5 CFBDRN COc1cc(NC[C@H]2CC[C@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000896803955 619816966 /nfs/dbraw/zinc/81/69/66/619816966.db2.gz LNTPCKCGWRJVKL-TZMCWYRMSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000108865808 619866827 /nfs/dbraw/zinc/86/68/27/619866827.db2.gz NQAGJYODTBWKFK-NXEZZACHSA-N 0 0 295.314 2.642 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1cnc(Cl)s1 ZINC000094195108 619884666 /nfs/dbraw/zinc/88/46/66/619884666.db2.gz NGCPXTWXQAPCTL-UHFFFAOYSA-N 0 0 271.685 2.679 20 5 CFBDRN O=[N+]([O-])c1ccc(SC2COC2)c(Br)c1 ZINC000896959570 619902736 /nfs/dbraw/zinc/90/27/36/619902736.db2.gz BWVJNCSNVJAMNB-UHFFFAOYSA-N 0 0 290.138 2.848 20 5 CFBDRN CCN(CCC(=O)NC)c1c(C)cc([N+](=O)[O-])cc1Cl ZINC000896978356 619907161 /nfs/dbraw/zinc/90/71/61/619907161.db2.gz OLZVDXSOBSOGLS-UHFFFAOYSA-N 0 0 299.758 2.519 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCOC12CCCCC2 ZINC000896992266 619909510 /nfs/dbraw/zinc/90/95/10/619909510.db2.gz NSTPYIJNOVJUOD-GFCCVEGCSA-N 0 0 277.324 2.894 20 5 CFBDRN CCN(CCC(=O)NC)c1cc(C)c([N+](=O)[O-])cc1Cl ZINC000896985381 619910985 /nfs/dbraw/zinc/91/09/85/619910985.db2.gz VOFPMEGJBBIWKS-UHFFFAOYSA-N 0 0 299.758 2.519 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@H]1C1OCCO1 ZINC000897005276 619911775 /nfs/dbraw/zinc/91/17/75/619911775.db2.gz RJAUHVLKBBEXOW-NSHDSACASA-N 0 0 298.726 2.590 20 5 CFBDRN O=[N+]([O-])c1cnc(NC2C[C@H]3CC[C@@H](C2)S3)c(F)c1 ZINC000896997329 619912073 /nfs/dbraw/zinc/91/20/73/619912073.db2.gz NSRYPISRSGGQIA-RSMPGPOFSA-N 0 0 283.328 2.967 20 5 CFBDRN Cc1cc(C(=O)NC[C@@]2(C)CCCCO2)cc([N+](=O)[O-])c1 ZINC000832495525 625382920 /nfs/dbraw/zinc/38/29/20/625382920.db2.gz YUBJFTHUWSIZGJ-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN NC(=O)c1ccc(N[C@@H]2CCCC3(CC3)C2)c([N+](=O)[O-])c1 ZINC000897046422 619918604 /nfs/dbraw/zinc/91/86/04/619918604.db2.gz YSIIQEYSTHBDAM-LLVKDONJSA-N 0 0 289.335 2.828 20 5 CFBDRN C[C@H](CNC(=O)N(C)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000897068406 619924928 /nfs/dbraw/zinc/92/49/28/619924928.db2.gz MRABFLJCWIDRIN-LLVKDONJSA-N 0 0 291.351 2.892 20 5 CFBDRN COc1cc(N[C@H](CCO)C2CCCC2)ccc1[N+](=O)[O-] ZINC000897114047 619935789 /nfs/dbraw/zinc/93/57/89/619935789.db2.gz PVSVQVWWZBYFNZ-CYBMUJFWSA-N 0 0 294.351 2.957 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ncc([N+](=O)[O-])c2ccccc21 ZINC000897140571 619938107 /nfs/dbraw/zinc/93/81/07/619938107.db2.gz YVJNYTQMNOFYCF-GXTWGEPZSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1nccc2ccc([N+](=O)[O-])cc21 ZINC000897142188 619938646 /nfs/dbraw/zinc/93/86/46/619938646.db2.gz HLKFFPHQPJUAHN-ZIAGYGMSSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1cc(C)sc1[N+](=O)[O-] ZINC000897142505 619938874 /nfs/dbraw/zinc/93/88/74/619938874.db2.gz NHCFZZMVGVQNMP-WCBMZHEXSA-N 0 0 256.327 2.578 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000897148771 619939872 /nfs/dbraw/zinc/93/98/72/619939872.db2.gz IRMWLHMMPHTPNZ-MWLCHTKSSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccc([N+](=O)[O-])cc1C ZINC000897148442 619939877 /nfs/dbraw/zinc/93/98/77/619939877.db2.gz XLVVULGLVYIVQX-QWHCGFSZSA-N 0 0 250.298 2.517 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1c(Cl)cccc1[N+](=O)[O-] ZINC000897148770 619939933 /nfs/dbraw/zinc/93/99/33/619939933.db2.gz IRMWLHMMPHTPNZ-KOLCDFICSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1nccc2c1cccc2[N+](=O)[O-] ZINC000897144045 619940616 /nfs/dbraw/zinc/94/06/16/619940616.db2.gz HHOLDXAZTGHYBG-KBPBESRZSA-N 0 0 287.319 2.757 20 5 CFBDRN COc1cc(N(C)[C@H]2CC[C@H]2OC)c([N+](=O)[O-])cc1C ZINC000897145615 619940928 /nfs/dbraw/zinc/94/09/28/619940928.db2.gz SPGIFJSSZDRILJ-GXFFZTMASA-N 0 0 280.324 2.525 20 5 CFBDRN COc1cc(N(C)[C@@H]2CC[C@@H]2OC)c([N+](=O)[O-])cc1C ZINC000897145616 619940980 /nfs/dbraw/zinc/94/09/80/619940980.db2.gz SPGIFJSSZDRILJ-MFKMUULPSA-N 0 0 280.324 2.525 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccc(C)cc1[N+](=O)[O-] ZINC000897147443 619941177 /nfs/dbraw/zinc/94/11/77/619941177.db2.gz MGJCZIZOKPRPRM-AAEUAGOBSA-N 0 0 250.298 2.517 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000897147194 619941192 /nfs/dbraw/zinc/94/11/92/619941192.db2.gz HVOXETVEHYBRPM-STQMWFEESA-N 0 0 250.298 2.517 20 5 CFBDRN CCOc1cccc(N(C)[C@H]2CC[C@H]2OC)c1[N+](=O)[O-] ZINC000897146996 619941302 /nfs/dbraw/zinc/94/13/02/619941302.db2.gz DVFBBXPVSWBUMZ-CMPLNLGQSA-N 0 0 280.324 2.607 20 5 CFBDRN CC(C)(C)c1coc(CNc2cccnc2[N+](=O)[O-])n1 ZINC000897172632 619944264 /nfs/dbraw/zinc/94/42/64/619944264.db2.gz QSLQIBWRKYXBAB-UHFFFAOYSA-N 0 0 276.296 2.887 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]3CCC[C@@H]32)c(F)c1 ZINC000897176363 619944668 /nfs/dbraw/zinc/94/46/68/619944668.db2.gz UAAUUXFHOAVLBC-POZPLHJXSA-N 0 0 251.261 2.729 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897181676 619945690 /nfs/dbraw/zinc/94/56/90/619945690.db2.gz IODDBNDBTIIDJC-OLUVUFQESA-N 0 0 289.335 2.555 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1NC1CC(CCO)C1 ZINC000897233168 619955910 /nfs/dbraw/zinc/95/59/10/619955910.db2.gz FQKZIASJXUWJIV-UHFFFAOYSA-N 0 0 288.706 2.960 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@]2(C)CCCCO2)c1[N+](=O)[O-] ZINC000832507754 625388895 /nfs/dbraw/zinc/38/88/95/625388895.db2.gz JGXBHLDYWQRLRB-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN COc1nn(C)cc1CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000897244635 619958326 /nfs/dbraw/zinc/95/83/26/619958326.db2.gz KGNVNCITVFFXAC-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCC1CCC(F)(F)CC1 ZINC000897247837 619958783 /nfs/dbraw/zinc/95/87/83/619958783.db2.gz GPMLOHMIQOZKJM-UHFFFAOYSA-N 0 0 288.298 2.956 20 5 CFBDRN O=C(OC1CCCC1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000070738596 625384080 /nfs/dbraw/zinc/38/40/80/625384080.db2.gz FKQZTBSWWSMVFP-UHFFFAOYSA-N 0 0 280.236 2.602 20 5 CFBDRN CC1(C)CCC[C@@H](CNc2ccc(N)cc2[N+](=O)[O-])O1 ZINC000897254234 619960255 /nfs/dbraw/zinc/96/02/55/619960255.db2.gz MNGVBLGNZJJNBF-NSHDSACASA-N 0 0 279.340 2.937 20 5 CFBDRN CC1(C)CCC[C@H](CNc2ccc([N+](=O)[O-])nc2)O1 ZINC000897254786 619960592 /nfs/dbraw/zinc/96/05/92/619960592.db2.gz VPZHGNBWGZWXSP-LLVKDONJSA-N 0 0 265.313 2.749 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)C(C)C ZINC000909593729 619967195 /nfs/dbraw/zinc/96/71/95/619967195.db2.gz MGGWSVFFLSMXOG-UHFFFAOYSA-N 0 0 297.332 2.699 20 5 CFBDRN CS[C@H](C)[C@H](C)OC(=O)c1ccccc1[N+](=O)[O-] ZINC000797201020 619977119 /nfs/dbraw/zinc/97/71/19/619977119.db2.gz RTCAYBKZWPHEHU-DTWKUNHWSA-N 0 0 269.322 2.892 20 5 CFBDRN CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000841357471 619979162 /nfs/dbraw/zinc/97/91/62/619979162.db2.gz APOPFJLRVXYMRO-QWRGUYRKSA-N 0 0 270.373 2.763 20 5 CFBDRN CSCC[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074764597 619982688 /nfs/dbraw/zinc/98/26/88/619982688.db2.gz NTDIVKAYGCEDOF-SECBINFHSA-N 0 0 255.343 2.852 20 5 CFBDRN Cc1cc(N[C@@H]2CCOC23CCOCC3)ccc1[N+](=O)[O-] ZINC000897323897 619993525 /nfs/dbraw/zinc/99/35/25/619993525.db2.gz RVAFNSZERAYOCG-CQSZACIVSA-N 0 0 292.335 2.653 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@]1(C)CCCCO1 ZINC000832494916 625383295 /nfs/dbraw/zinc/38/32/95/625383295.db2.gz LKXDQZFIFNJODP-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN C[C@H](OC(=O)c1ccc[nH]1)c1ccccc1[N+](=O)[O-] ZINC000797857438 620030203 /nfs/dbraw/zinc/03/02/03/620030203.db2.gz YDZSWLDTLHLEAU-VIFPVBQESA-N 0 0 260.249 2.841 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(F)cc1 ZINC000007790952 620077932 /nfs/dbraw/zinc/07/79/32/620077932.db2.gz LHKOPEHYPWALEW-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN CC(C)(/C=C\Cl)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000928936722 620084746 /nfs/dbraw/zinc/08/47/46/620084746.db2.gz FROLYUQPWOAHAZ-FPLPWBNLSA-N 0 0 268.700 2.856 20 5 CFBDRN C[C@@H](C(=O)[O-])[N@H+](Cc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC000263058259 620100415 /nfs/dbraw/zinc/10/04/15/620100415.db2.gz FFHVRKKRQWBUHK-QMMMGPOBSA-N 0 0 298.726 2.686 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)O[C@@H]2CCCC2(C)C)n1 ZINC000799511908 620113830 /nfs/dbraw/zinc/11/38/30/620113830.db2.gz BEMJKPULGVGLPO-SNVBAGLBSA-N 0 0 281.312 2.547 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1C[C@@H]2C[C@@H]2C1 ZINC000888122801 620123445 /nfs/dbraw/zinc/12/34/45/620123445.db2.gz WHYNOGVIOUTXDO-OCAPTIKFSA-N 0 0 281.699 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCOC2)cc1Cl ZINC000109793063 620153389 /nfs/dbraw/zinc/15/33/89/620153389.db2.gz DIIAUJPNEDVEEG-MRVPVSSYSA-N 0 0 257.673 2.664 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1cc(F)ccc1[N+](=O)[O-] ZINC000889591244 620183764 /nfs/dbraw/zinc/18/37/64/620183764.db2.gz PYIICDVPGDOPET-MKPLZMMCSA-N 0 0 278.283 2.652 20 5 CFBDRN O=C1OCCN1c1cc([N+](=O)[O-])ccc1NC/C=C/Cl ZINC000897505979 620195773 /nfs/dbraw/zinc/19/57/73/620195773.db2.gz SBDQSPHVUIRJKY-DAFODLJHSA-N 0 0 297.698 2.716 20 5 CFBDRN CCC[C@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000179155021 620205271 /nfs/dbraw/zinc/20/52/71/620205271.db2.gz UFXZYNQMCYFTSD-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN CC(C)c1cc(C(=O)Oc2cccc([N+](=O)[O-])c2)no1 ZINC000179196761 620214705 /nfs/dbraw/zinc/21/47/05/620214705.db2.gz RHZHRXDSDPWWHF-UHFFFAOYSA-N 0 0 276.248 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nccn2C(F)F)cc1F ZINC000110049197 620215626 /nfs/dbraw/zinc/21/56/26/620215626.db2.gz JPHKYTHLASFCQG-UHFFFAOYSA-N 0 0 287.197 2.905 20 5 CFBDRN Cc1nnc([C@@H](C)OC(=O)c2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000067739332 620219738 /nfs/dbraw/zinc/21/97/38/620219738.db2.gz KNHDBBBWGWYZKO-MRVPVSSYSA-N 0 0 291.263 2.513 20 5 CFBDRN COC(=O)C[C@@H]1CC[C@H](Nc2c(F)cccc2[N+](=O)[O-])C1 ZINC000897542483 620234414 /nfs/dbraw/zinc/23/44/14/620234414.db2.gz VLODNDCJFLWFMM-ZJUUUORDSA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@H](C(=O)C(C)(C)C)n1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000865357884 620240949 /nfs/dbraw/zinc/24/09/49/620240949.db2.gz RJFPROAPOSGANY-SSDOTTSWSA-N 0 0 286.715 2.586 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@H]([C@@H]3CCOC3)C2)s1 ZINC000897567330 620248703 /nfs/dbraw/zinc/24/87/03/620248703.db2.gz JDTYXYVABDJSKA-WDEREUQCSA-N 0 0 297.380 2.694 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)C(F)F)cccc1[N+](=O)[O-] ZINC000786528524 620254009 /nfs/dbraw/zinc/25/40/09/620254009.db2.gz ZYRWUOWKHFUNTK-SSDOTTSWSA-N 0 0 259.208 2.714 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCC=C(Cl)C1 ZINC000898191738 620350581 /nfs/dbraw/zinc/35/05/81/620350581.db2.gz SOTPTHJRMRJXCD-UHFFFAOYSA-N 0 0 272.713 2.625 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC=C(Cl)C1 ZINC000898192998 620351145 /nfs/dbraw/zinc/35/11/45/620351145.db2.gz FWKWWWOELSLGFQ-UHFFFAOYSA-N 0 0 284.674 2.703 20 5 CFBDRN O=C(OCC[C@H]1CCCO1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000787573736 620409680 /nfs/dbraw/zinc/40/96/80/620409680.db2.gz ALOGAKAFRYVJKY-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN CC(C)c1cncc(NC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000888238188 620415458 /nfs/dbraw/zinc/41/54/58/620415458.db2.gz FUOAQBDWBKAXHG-UHFFFAOYSA-N 0 0 279.340 2.979 20 5 CFBDRN O=C(OC1CCSCC1)c1cccc([N+](=O)[O-])c1 ZINC000169549470 620439000 /nfs/dbraw/zinc/43/90/00/620439000.db2.gz SOZSSSXFSIQCTH-UHFFFAOYSA-N 0 0 267.306 2.647 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)c1[N+](=O)[O-] ZINC000899418707 620562134 /nfs/dbraw/zinc/56/21/34/620562134.db2.gz UMOVDKQRXRMQBQ-VTPLQMEGSA-N 0 0 288.347 2.925 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000899419461 620562409 /nfs/dbraw/zinc/56/24/09/620562409.db2.gz YPNQDDJZCXUPSZ-QIRAZROLSA-N 0 0 274.320 2.617 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000899415256 620566145 /nfs/dbraw/zinc/56/61/45/620566145.db2.gz TYRWKMJOMPRFLZ-YKDSUIRESA-N 0 0 280.349 2.678 20 5 CFBDRN O=[N+]([O-])CCSc1nnc(NCc2ccccc2)s1 ZINC000899860145 620606489 /nfs/dbraw/zinc/60/64/89/620606489.db2.gz ILLXFFFEMGNLMC-UHFFFAOYSA-N 0 0 296.377 2.519 20 5 CFBDRN O=[N+]([O-])CCSc1nnc(-c2ccc(Cl)s2)o1 ZINC000899877641 620608437 /nfs/dbraw/zinc/60/84/37/620608437.db2.gz KAAFIYXCFJVSTO-UHFFFAOYSA-N 0 0 291.741 2.820 20 5 CFBDRN COC/C(C)=C/COc1cc([N+](=O)[O-])ccc1C ZINC000901470203 620832047 /nfs/dbraw/zinc/83/20/47/620832047.db2.gz ALELMMFVZNEGCO-UXBLZVDNSA-N 0 0 251.282 2.875 20 5 CFBDRN COC/C(C)=C/COc1cc(F)cc([N+](=O)[O-])c1 ZINC000901521202 620844301 /nfs/dbraw/zinc/84/43/01/620844301.db2.gz YQCAAOHFPDPPNZ-YCRREMRBSA-N 0 0 255.245 2.705 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C1(C(C)C)COC1 ZINC000901520959 620844351 /nfs/dbraw/zinc/84/43/51/620844351.db2.gz VSLYKCXSDRPHBB-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H]2C[C@H]3COC[C@H]3O2)c(F)c1 ZINC000901534777 620848668 /nfs/dbraw/zinc/84/86/68/620848668.db2.gz LFVVSLNZSYNLCW-PTOFAABTSA-N 0 0 299.323 2.630 20 5 CFBDRN O=C(C[C@@H]1CC[C@H](C2CC2)O1)Nc1ccc([N+](=O)[O-])cc1 ZINC000901517965 620854257 /nfs/dbraw/zinc/85/42/57/620854257.db2.gz OGVUYBNUAANQNN-UONOGXRCSA-N 0 0 290.319 2.881 20 5 CFBDRN COC/C(C)=C\COc1ccc([N+](=O)[O-])c(OC)c1 ZINC000901548885 620861237 /nfs/dbraw/zinc/86/12/37/620861237.db2.gz SUVXOWMLTQHXJW-POHAHGRESA-N 0 0 267.281 2.575 20 5 CFBDRN CO[C@@H](C)SCCOc1ccc([N+](=O)[O-])cc1 ZINC000901585380 620870095 /nfs/dbraw/zinc/87/00/95/620870095.db2.gz KSRXVPYWIKFZGD-SECBINFHSA-N 0 0 257.311 2.699 20 5 CFBDRN C[C@@H]([NH2+]Cc1cnn(CCF)c1)c1ccc([N+](=O)[O-])cc1 ZINC000901657935 620884351 /nfs/dbraw/zinc/88/43/51/620884351.db2.gz VDNLIHJWAIFQLP-LLVKDONJSA-N 0 0 292.314 2.612 20 5 CFBDRN O=C([O-])[C@H](CC1CC1)[NH2+]Cc1cc([N+](=O)[O-])ccc1Cl ZINC000901718438 620905956 /nfs/dbraw/zinc/90/59/56/620905956.db2.gz QRARZEYYICDLNS-LBPRGKRZSA-N 0 0 298.726 2.591 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2noc3c2COCC3)cc1 ZINC000901823128 620923616 /nfs/dbraw/zinc/92/36/16/620923616.db2.gz MDHDCJCWDHQYAW-UHFFFAOYSA-N 0 0 292.316 2.948 20 5 CFBDRN CCc1noc([C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)n1 ZINC000901908816 620937906 /nfs/dbraw/zinc/93/79/06/620937906.db2.gz MRPSGRCTSOXIGF-WDEREUQCSA-N 0 0 259.265 2.811 20 5 CFBDRN O=C([O-])C[C@H]1CCC[N@@H+]1C/C=C\c1ccccc1[N+](=O)[O-] ZINC000902010214 620956979 /nfs/dbraw/zinc/95/69/79/620956979.db2.gz SONXOLXIVNOLGZ-ACVFHECNSA-N 0 0 290.319 2.547 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC[C@@H]1C[C@@]12CCOC2 ZINC000902057559 620962698 /nfs/dbraw/zinc/96/26/98/620962698.db2.gz OCFLFNNLRDFQLQ-SWLSCSKDSA-N 0 0 276.336 2.996 20 5 CFBDRN C[C@@H]1[C@@H](CC(=O)[O-])CC[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000902101841 620973728 /nfs/dbraw/zinc/97/37/28/620973728.db2.gz WBOYSBXFNZNQLS-QKCSRTOESA-N 0 0 292.335 2.574 20 5 CFBDRN C[C@@H]1C[C@H]([NH2+]Cc2ccc([N+](=O)[O-])cc2)CC[C@@H]1C(=O)[O-] ZINC000902101631 620974003 /nfs/dbraw/zinc/97/40/03/620974003.db2.gz RYKPVQRXSSKYRE-QKCSRTOESA-N 0 0 292.335 2.574 20 5 CFBDRN COc1cc(NCc2c(C)ccc([N+](=O)[O-])c2C)ncn1 ZINC000902182421 620990411 /nfs/dbraw/zinc/99/04/11/620990411.db2.gz RLLLSMFCAXPFHV-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN Nc1ccc(OC[C@H]2C[C@@H]3[C@H](C2)C3(F)F)cc1[N+](=O)[O-] ZINC000902235919 621003812 /nfs/dbraw/zinc/00/38/12/621003812.db2.gz OTYKJIOJANOSPY-LETLQTKMSA-N 0 0 284.262 2.847 20 5 CFBDRN Cc1nonc1CSCCOc1cccc([N+](=O)[O-])c1 ZINC000902294366 621017427 /nfs/dbraw/zinc/01/74/27/621017427.db2.gz DJMZBCNTYGFPMV-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN Cc1ccc(OC[C@H]2C[C@@]23CCOC3)cc1[N+](=O)[O-] ZINC000902309382 621028723 /nfs/dbraw/zinc/02/87/23/621028723.db2.gz MEEWWJXGZIKYKP-BXUZGUMPSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1cccc(OC[C@@H]2C[C@]23CCOC3)c1[N+](=O)[O-] ZINC000902309410 621028744 /nfs/dbraw/zinc/02/87/44/621028744.db2.gz MLXIIXKUHMPOHR-FZMZJTMJSA-N 0 0 263.293 2.709 20 5 CFBDRN Cc1cc(OC[C@@H]2C[C@@]23CCOC3)c(F)cc1[N+](=O)[O-] ZINC000902341161 621032331 /nfs/dbraw/zinc/03/23/31/621032331.db2.gz BGLAUCWTBWAXEE-IINYFYTJSA-N 0 0 281.283 2.848 20 5 CFBDRN Cc1nc(C)c(CSCc2cn(C)nc2[N+](=O)[O-])s1 ZINC000902329352 621037770 /nfs/dbraw/zinc/03/77/70/621037770.db2.gz BBFRAOCXBGDQJG-UHFFFAOYSA-N 0 0 298.393 2.835 20 5 CFBDRN CC/C=C\CCSCc1cn(C)nc1[N+](=O)[O-] ZINC000902368105 621043512 /nfs/dbraw/zinc/04/35/12/621043512.db2.gz GURVXOWZBGJIEP-PLNGDYQASA-N 0 0 255.343 2.918 20 5 CFBDRN CCCC[C@H](CC)C(=O)NOCc1cccnc1[N+](=O)[O-] ZINC000902355373 621050483 /nfs/dbraw/zinc/05/04/83/621050483.db2.gz RLQIOEPDHYTCOQ-NSHDSACASA-N 0 0 295.339 2.754 20 5 CFBDRN CO[C@H](CSCc1cn(C)nc1[N+](=O)[O-])CC(C)C ZINC000902408396 621056222 /nfs/dbraw/zinc/05/62/22/621056222.db2.gz DSRRPAHJMSHJML-NSHDSACASA-N 0 0 287.385 2.623 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSCCOC1CCC1 ZINC000902390399 621062316 /nfs/dbraw/zinc/06/23/16/621062316.db2.gz FURKLQRZVXJRLC-UHFFFAOYSA-N 0 0 268.338 2.792 20 5 CFBDRN Cn1cc(CSCC=C(Cl)Cl)c([N+](=O)[O-])n1 ZINC000902425216 621074613 /nfs/dbraw/zinc/07/46/13/621074613.db2.gz WYRPIOQBQSFDHM-UHFFFAOYSA-N 0 0 282.152 2.881 20 5 CFBDRN Cn1nc(CSCc2cccnc2[N+](=O)[O-])cc1Cl ZINC000902429583 621076751 /nfs/dbraw/zinc/07/67/51/621076751.db2.gz FETGWYCRDCXHRA-UHFFFAOYSA-N 0 0 298.755 2.810 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCc1nonc1C ZINC000902438674 621080611 /nfs/dbraw/zinc/08/06/11/621080611.db2.gz QOWUIHYPFHCIHC-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN Cn1cc(CSC[C@H]2CCCC(C)(C)O2)c([N+](=O)[O-])n1 ZINC000902474957 621086400 /nfs/dbraw/zinc/08/64/00/621086400.db2.gz BMQFFGBPZLUBDH-LLVKDONJSA-N 0 0 299.396 2.909 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(F)c1OC[C@H]1C[C@@]12CCOC2 ZINC000902696892 621149031 /nfs/dbraw/zinc/14/90/31/621149031.db2.gz KQWIJJSEBDOYDO-AMIZOPFISA-N 0 0 285.246 2.678 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2CCCN2C(C)C)cccc1[N+](=O)[O-] ZINC000902718232 621154841 /nfs/dbraw/zinc/15/48/41/621154841.db2.gz BOVZJLGWILLMAT-ZDUSSCGKSA-N 0 0 292.335 2.681 20 5 CFBDRN Cc1c(OC(=O)[C@@H](C)[C@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000902722892 621157767 /nfs/dbraw/zinc/15/77/67/621157767.db2.gz NVYFQASCIMVXQP-CMPLNLGQSA-N 0 0 279.292 2.624 20 5 CFBDRN CCn1cc(N[C@@H](C)c2cc(OC)cc([N+](=O)[O-])c2)cn1 ZINC000903141608 621266502 /nfs/dbraw/zinc/26/65/02/621266502.db2.gz PVTIKYODCJMKJY-JTQLQIEISA-N 0 0 290.323 2.993 20 5 CFBDRN CC1(C(=O)N2CCc3c2cccc3[N+](=O)[O-])CC=CC1 ZINC000903478813 621391383 /nfs/dbraw/zinc/39/13/83/621391383.db2.gz NRXYRTWTDNVQFO-UHFFFAOYSA-N 0 0 272.304 2.840 20 5 CFBDRN Cc1cc(-c2noc([C@@H]3[C@H]4CCC(=O)[C@H]43)n2)cc([N+](=O)[O-])c1 ZINC000903714974 621431245 /nfs/dbraw/zinc/43/12/45/621431245.db2.gz NDLBQPYOSXVHLK-WCFLWFBJSA-N 0 0 299.286 2.646 20 5 CFBDRN C[C@@H](c1noc(-c2cccc([N+](=O)[O-])c2)n1)[C@H]1CCCO1 ZINC000903792968 621439682 /nfs/dbraw/zinc/43/96/82/621439682.db2.gz VPBBDJHKYGSRQH-BXKDBHETSA-N 0 0 289.291 2.927 20 5 CFBDRN CC(C)(CCc1noc([C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)n1)[N+](=O)[O-] ZINC000904079235 621518025 /nfs/dbraw/zinc/51/80/25/621518025.db2.gz CMCIAIOLGIZVOE-NVRFYQKYSA-N 0 0 291.351 2.817 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nc(CCC(C)(C)C)no1 ZINC000904069355 621521312 /nfs/dbraw/zinc/52/13/12/621521312.db2.gz LLCHSSSXDDNETP-UHFFFAOYSA-N 0 0 293.327 2.510 20 5 CFBDRN CCO[C@H](c1noc(-c2cc([N+](=O)[O-])cn2C)n1)C(C)C ZINC000904118238 621526381 /nfs/dbraw/zinc/52/63/81/621526381.db2.gz CKGLULSAWUZLDA-NSHDSACASA-N 0 0 294.311 2.717 20 5 CFBDRN Cc1cnc(COC(=O)[C@]23C[C@H]2CCC3)c(C)c1[N+](=O)[O-] ZINC000904813902 621646455 /nfs/dbraw/zinc/64/64/55/621646455.db2.gz YKIBBAAHVUYLED-IAQYHMDHSA-N 0 0 290.319 2.840 20 5 CFBDRN O=[N+]([O-])c1ccc(CO)c(-c2ccc([C@H]3C[C@@H](O)C3)cc2)c1 ZINC000904796635 621649405 /nfs/dbraw/zinc/64/94/05/621649405.db2.gz NDAMGRFUXPUSSF-FZNQNYSPSA-N 0 0 299.326 2.992 20 5 CFBDRN Cc1nc(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)sc1C ZINC000043003631 621679178 /nfs/dbraw/zinc/67/91/78/621679178.db2.gz DSUQOYVXBRZCMB-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H](C)[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000905032644 621683729 /nfs/dbraw/zinc/68/37/29/621683729.db2.gz VNNFDDDWBSQCPY-GWCFXTLKSA-N 0 0 278.308 2.657 20 5 CFBDRN C[C@@H](NC(=O)N[C@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000905142487 621709952 /nfs/dbraw/zinc/70/99/52/621709952.db2.gz IPKXOLDTQCDDMM-NXEZZACHSA-N 0 0 277.324 2.754 20 5 CFBDRN CC(C)CCOc1cccc(NCC[N+](=O)[O-])c1 ZINC000905312223 621739104 /nfs/dbraw/zinc/73/91/04/621739104.db2.gz DTHFSISVEZPXAS-UHFFFAOYSA-N 0 0 252.314 2.800 20 5 CFBDRN O=[N+]([O-])CCNc1ccc(CN2CCc3ccccc32)cc1 ZINC000905316535 621740425 /nfs/dbraw/zinc/74/04/25/621740425.db2.gz ZEABMEUWFFDXHA-UHFFFAOYSA-N 0 0 297.358 2.938 20 5 CFBDRN CC1CCN(c2ccc(NCC[N+](=O)[O-])cc2)CC1 ZINC000905299404 621744794 /nfs/dbraw/zinc/74/47/94/621744794.db2.gz IQEHOGPJIKWYIN-UHFFFAOYSA-N 0 0 263.341 2.612 20 5 CFBDRN Cc1cc(F)c(Br)cc1NCC[N+](=O)[O-] ZINC000905333228 621749962 /nfs/dbraw/zinc/74/99/62/621749962.db2.gz BQBCTIJBZGOIHN-UHFFFAOYSA-N 0 0 277.093 2.585 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000189283079 625522159 /nfs/dbraw/zinc/52/21/59/625522159.db2.gz IMNSUWHYFCMEAB-UHFFFAOYSA-N 0 0 279.340 2.589 20 5 CFBDRN CCCC[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000905854522 621888965 /nfs/dbraw/zinc/88/89/65/621888965.db2.gz WYCDAAMCQFURTJ-BXUZGUMPSA-N 0 0 294.351 2.977 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N(CC(F)F)CC(F)F ZINC000906156875 621935289 /nfs/dbraw/zinc/93/52/89/621935289.db2.gz ANKZNVOSEATYKJ-UHFFFAOYSA-N 0 0 294.204 2.567 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1ncn2c1CCCC2 ZINC000906173211 621944474 /nfs/dbraw/zinc/94/44/74/621944474.db2.gz PUSNAFSMEZSACF-UHFFFAOYSA-N 0 0 261.256 2.934 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N=[S@](C)(=O)C(C)C)c1C ZINC000906189484 621949125 /nfs/dbraw/zinc/94/91/25/621949125.db2.gz YXFRGKLJKCASLK-HXUWFJFHSA-N 0 0 298.364 2.858 20 5 CFBDRN Cc1cccc(C(=O)N=[S@@](C)(=O)C(C)C)c1[N+](=O)[O-] ZINC000906204570 621952324 /nfs/dbraw/zinc/95/23/24/621952324.db2.gz RNVXWDLLXHLNQU-IBGZPJMESA-N 0 0 284.337 2.550 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000906243592 621958231 /nfs/dbraw/zinc/95/82/31/621958231.db2.gz KAQHSSXOOBBTHS-NSHDSACASA-N 0 0 294.351 2.755 20 5 CFBDRN CC(C)(C)[S@@](C)(=O)=NC(=O)c1ccccc1[N+](=O)[O-] ZINC000906309551 621971978 /nfs/dbraw/zinc/97/19/78/621971978.db2.gz RPLVYMDCFKBBIK-LJQANCHMSA-N 0 0 284.337 2.631 20 5 CFBDRN CC(C)c1nccnc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000906438436 621986202 /nfs/dbraw/zinc/98/62/02/621986202.db2.gz UHXLBMYXQMENIO-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000906540920 622002477 /nfs/dbraw/zinc/00/24/77/622002477.db2.gz LXAXJDVOBQCKMZ-PRHODGIISA-N 0 0 282.727 2.705 20 5 CFBDRN CC1CN(C(=O)CCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000907765297 622160349 /nfs/dbraw/zinc/16/03/49/622160349.db2.gz MMCLFYKWYQDQFM-UHFFFAOYSA-N 0 0 282.727 2.659 20 5 CFBDRN CCC/C=C\[C@@H](O)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000908269233 622210172 /nfs/dbraw/zinc/21/01/72/622210172.db2.gz SWUWDQIEKHOKFU-FMFIFOJESA-N 0 0 292.335 2.867 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCC=CO1 ZINC000908277645 622211414 /nfs/dbraw/zinc/21/14/14/622211414.db2.gz CLZXMPMPALOSQP-ZDUSSCGKSA-N 0 0 292.291 2.625 20 5 CFBDRN COC/C(C)=C/COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000908665102 622257041 /nfs/dbraw/zinc/25/70/41/622257041.db2.gz ILIKLNCYWWPMJV-JXMROGBWSA-N 0 0 279.292 2.653 20 5 CFBDRN COC/C(C)=C\COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000908694934 622261482 /nfs/dbraw/zinc/26/14/82/622261482.db2.gz ZPXAISPHHZMPRP-POHAHGRESA-N 0 0 279.292 2.653 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000909048077 622303357 /nfs/dbraw/zinc/30/33/57/622303357.db2.gz CNMFWZQKUSRKEW-VXNVDRBHSA-N 0 0 297.694 2.630 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCc1ccccc1 ZINC000071783547 625555582 /nfs/dbraw/zinc/55/55/82/625555582.db2.gz PVOLVGFQIIACPQ-UHFFFAOYSA-N 0 0 271.272 2.881 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000909110251 622318496 /nfs/dbraw/zinc/31/84/96/622318496.db2.gz SQBQUYGTXPKFGS-UHFFFAOYSA-N 0 0 296.298 2.613 20 5 CFBDRN CC(C)OCCOC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027387 622388101 /nfs/dbraw/zinc/38/81/01/622388101.db2.gz KKWPHAKLSUYSQC-UONOGXRCSA-N 0 0 293.319 2.667 20 5 CFBDRN CSCCOC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910027145 622388258 /nfs/dbraw/zinc/38/82/58/622388258.db2.gz IGKZCXYZJKQLNK-NEPJUHHUSA-N 0 0 281.333 2.605 20 5 CFBDRN O=C(OC/C=C/Cl)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910029571 622388539 /nfs/dbraw/zinc/38/85/39/622388539.db2.gz YTDDDPFJDPJNDM-JAVOVTSZSA-N 0 0 281.695 2.994 20 5 CFBDRN COCC[C@@H](C)OC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923961141 622415554 /nfs/dbraw/zinc/41/55/54/622415554.db2.gz HIJGXKWOXWBRCX-SNVBAGLBSA-N 0 0 299.298 2.635 20 5 CFBDRN CCc1noc(CNc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000071833348 625567826 /nfs/dbraw/zinc/56/78/26/625567826.db2.gz ZRQADNBYERLDRP-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC2CSC2)c1C ZINC000911263239 622516350 /nfs/dbraw/zinc/51/63/50/622516350.db2.gz VQHSRXJICPNVTC-UHFFFAOYSA-N 0 0 294.376 2.695 20 5 CFBDRN CC[C@@H](C)CC(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475299 622522035 /nfs/dbraw/zinc/52/20/35/622522035.db2.gz UZCKLAFISNUFFV-MRVPVSSYSA-N 0 0 286.278 2.925 20 5 CFBDRN CC(C)(C)C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911478380 622524789 /nfs/dbraw/zinc/52/47/89/622524789.db2.gz PCFIUCSZKHEJLY-UHFFFAOYSA-N 0 0 272.251 2.535 20 5 CFBDRN CN(C[Si](C)(C)C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000911729839 622544273 /nfs/dbraw/zinc/54/42/73/622544273.db2.gz LWVKTDJOFWLFTD-UHFFFAOYSA-N 0 0 284.363 2.683 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC=C(Cl)C1 ZINC000911830763 622557878 /nfs/dbraw/zinc/55/78/78/622557878.db2.gz LGURMJYWNQZAGZ-UHFFFAOYSA-N 0 0 280.711 2.872 20 5 CFBDRN CC(C)(C)/C=C\C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819886462 622567369 /nfs/dbraw/zinc/56/73/69/622567369.db2.gz FGRSYCPGMXWKKZ-SREVYHEPSA-N 0 0 263.297 2.718 20 5 CFBDRN COC(=O)/C=C\c1ccc(N[C@@H]2CC23CC3)c([N+](=O)[O-])c1 ZINC000819941503 622578927 /nfs/dbraw/zinc/57/89/27/622578927.db2.gz NVHCGUSXYQROBC-HSBHDXMMSA-N 0 0 288.303 2.745 20 5 CFBDRN CCC1(C)CN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000819969532 622588002 /nfs/dbraw/zinc/58/80/02/622588002.db2.gz NJOXXDWBRAOIKI-UONOGXRCSA-N 0 0 288.347 2.957 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)[C@H](C)C(C)C ZINC000819994529 622594048 /nfs/dbraw/zinc/59/40/48/622594048.db2.gz BCZVVAHCCQBKPA-SECBINFHSA-N 0 0 297.330 2.823 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)CCCF ZINC000820153605 622618981 /nfs/dbraw/zinc/61/89/81/622618981.db2.gz YQGGQABBZCATLX-UHFFFAOYSA-N 0 0 288.706 2.956 20 5 CFBDRN CC(F)(F)C(=O)N1CCC[C@@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000833908471 625582040 /nfs/dbraw/zinc/58/20/40/625582040.db2.gz JXAOGLLXBDULCI-LLVKDONJSA-N 0 0 298.289 2.956 20 5 CFBDRN CC(C)COCCC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000071971427 625584103 /nfs/dbraw/zinc/58/41/03/625584103.db2.gz QEESFIPHRQZTJH-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN Cc1ccc(OC(=O)C[C@@H](C)n2cccn2)cc1[N+](=O)[O-] ZINC000820381088 622659130 /nfs/dbraw/zinc/65/91/30/622659130.db2.gz HKNUXBDXGRHBIY-LLVKDONJSA-N 0 0 289.291 2.656 20 5 CFBDRN CO[C@H](C)COc1c(F)cc([N+](=O)[O-])cc1Cl ZINC000912359625 622693227 /nfs/dbraw/zinc/69/32/27/622693227.db2.gz SVDDFVHNTBUVJK-ZCFIWIBFSA-N 0 0 263.652 2.801 20 5 CFBDRN CO[C@@]1(C)C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000820827955 622768999 /nfs/dbraw/zinc/76/89/99/622768999.db2.gz QDDKKAWNVXOPTA-PWSUYJOCSA-N 0 0 299.714 2.547 20 5 CFBDRN Cc1ncoc1-c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000820898423 622785800 /nfs/dbraw/zinc/78/58/00/622785800.db2.gz XWPGKQBMPALAEA-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CC(=O)[C@@H](C)OC(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000923966778 622787138 /nfs/dbraw/zinc/78/71/38/622787138.db2.gz KPGYALTVYMSMRU-SECBINFHSA-N 0 0 299.710 2.702 20 5 CFBDRN Cc1ccc2c(Cn3cnc([N+](=O)[O-])c3)cc(=O)oc2c1C ZINC000029211014 622788030 /nfs/dbraw/zinc/78/80/30/622788030.db2.gz HOEZJJIIFFDZNK-UHFFFAOYSA-N 0 0 299.286 2.563 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC(C(F)F)C1 ZINC000821007945 622818396 /nfs/dbraw/zinc/81/83/96/622818396.db2.gz SKRXBYWPYTZIRS-UHFFFAOYSA-N 0 0 284.262 2.549 20 5 CFBDRN Cc1nccn1CCCOc1ccc([N+](=O)[O-])c(F)c1F ZINC000842075690 622837288 /nfs/dbraw/zinc/83/72/88/622837288.db2.gz HFXZXOPDNVOKHK-UHFFFAOYSA-N 0 0 297.261 2.847 20 5 CFBDRN O=C(O[C@@H]1Cc2ccccc2C1=O)c1ccc([N+](=O)[O-])cc1 ZINC000210356313 622837676 /nfs/dbraw/zinc/83/76/76/622837676.db2.gz POPOPIPMYDAQFN-CQSZACIVSA-N 0 0 297.266 2.559 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000821179932 622863113 /nfs/dbraw/zinc/86/31/13/622863113.db2.gz XAHKXNUZPIDXKN-OWYVNGRQSA-N 0 0 274.320 2.613 20 5 CFBDRN CC[C@@H](C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000151267815 622876751 /nfs/dbraw/zinc/87/67/51/622876751.db2.gz IXOKARYSUVFBBG-SNVBAGLBSA-N 0 0 251.282 2.727 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@H]2C2CC2)c1[N+](=O)[O-] ZINC000834318748 625602903 /nfs/dbraw/zinc/60/29/03/625602903.db2.gz JWVMVSGDIORVEU-LBPRGKRZSA-N 0 0 278.283 2.667 20 5 CFBDRN C/C=C(\C)C(=O)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913385128 622892013 /nfs/dbraw/zinc/89/20/13/622892013.db2.gz QNSNLFQNYQUBMB-XVNBXDOJSA-N 0 0 286.690 2.970 20 5 CFBDRN CC(C)=CC(=O)NCc1cc(F)c(Cl)c([N+](=O)[O-])c1 ZINC000913387743 622892077 /nfs/dbraw/zinc/89/20/77/622892077.db2.gz RKORZEVSQFGMCN-UHFFFAOYSA-N 0 0 286.690 2.970 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C[C@@H](C)SC ZINC000156300434 622918325 /nfs/dbraw/zinc/91/83/25/622918325.db2.gz HHRYFMPQGNBOLI-MRVPVSSYSA-N 0 0 285.321 2.650 20 5 CFBDRN CSC(C)(C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000821464144 622928363 /nfs/dbraw/zinc/92/83/63/622928363.db2.gz DCYMAWCSNVKRRM-UHFFFAOYSA-N 0 0 282.365 2.695 20 5 CFBDRN CN(OCc1ccccc1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000156322237 622934733 /nfs/dbraw/zinc/93/47/33/622934733.db2.gz PNIDPLIUCGGGML-UHFFFAOYSA-N 0 0 286.287 2.799 20 5 CFBDRN Cc1ccc(NC(=O)N2C[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000821508346 622936307 /nfs/dbraw/zinc/93/63/07/622936307.db2.gz TVZSHDOUIQBDTN-VHSXEESVSA-N 0 0 263.297 2.775 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])cn1)Oc1cccc2ccccc21 ZINC000044666939 622940269 /nfs/dbraw/zinc/94/02/69/622940269.db2.gz KCHDUIUOIHIUJF-UHFFFAOYSA-N 0 0 297.270 2.550 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2F)cn1 ZINC000164758567 622968083 /nfs/dbraw/zinc/96/80/83/622968083.db2.gz JLKXOZPKDWWFBJ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COc1cc(C(=O)O[C@@H]2CCC2(C)C)ccc1[N+](=O)[O-] ZINC000821842157 622990783 /nfs/dbraw/zinc/99/07/83/622990783.db2.gz OCJPALXAVVQZOZ-GFCCVEGCSA-N 0 0 279.292 2.949 20 5 CFBDRN Cn1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1 ZINC000223632700 622991521 /nfs/dbraw/zinc/99/15/21/622991521.db2.gz VCBVZBZJJJBBNV-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CC=CCC1 ZINC000166022000 623000203 /nfs/dbraw/zinc/00/02/03/623000203.db2.gz JUFXFOIGPHWOTA-UHFFFAOYSA-N 0 0 266.684 2.650 20 5 CFBDRN COc1ccc(C(=O)OC[C@]2(C)C[C@H]2F)cc1[N+](=O)[O-] ZINC000822081276 623030441 /nfs/dbraw/zinc/03/04/41/623030441.db2.gz YACJVFIADRZGOY-YPMHNXCESA-N 0 0 283.255 2.508 20 5 CFBDRN C[C@]1(COC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C[C@@H]1F ZINC000822102214 623036862 /nfs/dbraw/zinc/03/68/62/623036862.db2.gz YBARAVFYURROKW-SMDDNHRTSA-N 0 0 292.266 2.981 20 5 CFBDRN C[C@@]1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H]1F ZINC000822109588 623038518 /nfs/dbraw/zinc/03/85/18/623038518.db2.gz IRAIDMDRBUWDJV-PWSUYJOCSA-N 0 0 271.219 2.639 20 5 CFBDRN CC1(C)CCC[C@@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000166951760 623039089 /nfs/dbraw/zinc/03/90/89/623039089.db2.gz AOVKGQOBPIASDX-LLVKDONJSA-N 0 0 291.351 2.876 20 5 CFBDRN COc1cccc(C(=O)OC[C@]2(C)C[C@@H]2F)c1[N+](=O)[O-] ZINC000822141826 623048947 /nfs/dbraw/zinc/04/89/47/623048947.db2.gz YWTDKLQXYMSZTO-GWCFXTLKSA-N 0 0 283.255 2.508 20 5 CFBDRN Cc1ccc(CC(=O)Oc2cc([N+](=O)[O-])ccc2C)cn1 ZINC000058527151 623051301 /nfs/dbraw/zinc/05/13/01/623051301.db2.gz CCFPBCCMHXZDAT-UHFFFAOYSA-N 0 0 286.287 2.755 20 5 CFBDRN Cc1cc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])ccn1 ZINC000078468096 623051722 /nfs/dbraw/zinc/05/17/22/623051722.db2.gz SROHTHNVWRMBRH-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000226228880 623063505 /nfs/dbraw/zinc/06/35/05/623063505.db2.gz IIKJNQZNKIQVRF-MRVPVSSYSA-N 0 0 266.272 2.510 20 5 CFBDRN CC(C)OCCOC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000058827342 623067822 /nfs/dbraw/zinc/06/78/22/623067822.db2.gz VJNQDRXZYUNXMZ-UHFFFAOYSA-N 0 0 299.348 2.655 20 5 CFBDRN CCOC(C)(C)CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000822283162 623070519 /nfs/dbraw/zinc/07/05/19/623070519.db2.gz USQCVTXESCJFOJ-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1nc2cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccc2[nH]1 ZINC000822302428 623075571 /nfs/dbraw/zinc/07/55/71/623075571.db2.gz BMNIIJRMDBZLKT-UHFFFAOYSA-N 0 0 290.323 2.645 20 5 CFBDRN CCCCCC(=O)OCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000058972308 623077576 /nfs/dbraw/zinc/07/75/76/623077576.db2.gz XSKGFHAOJLHAJH-UHFFFAOYSA-N 0 0 294.307 2.657 20 5 CFBDRN CC[C@@H](COC(=O)c1cccc(Cl)c1[N+](=O)[O-])OC ZINC000842082542 623096832 /nfs/dbraw/zinc/09/68/32/623096832.db2.gz NTERQTLLDKUZGW-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCCCC1 ZINC000168991689 623110527 /nfs/dbraw/zinc/11/05/27/623110527.db2.gz BNJRRDGCGNBMOR-UHFFFAOYSA-N 0 0 267.260 2.752 20 5 CFBDRN C[C@@H](O)CCCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000227682209 623115912 /nfs/dbraw/zinc/11/59/12/623115912.db2.gz ZXYQLZWDEXXAMJ-SSDOTTSWSA-N 0 0 276.695 2.960 20 5 CFBDRN CC(C)C1(CNC(=O)c2cc([N+](=O)[O-])ccc2F)CC1 ZINC000227816944 623121105 /nfs/dbraw/zinc/12/11/05/623121105.db2.gz AONUSXVOOKRIHV-UHFFFAOYSA-N 0 0 280.299 2.900 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)cnc1Cl ZINC000228031246 623125691 /nfs/dbraw/zinc/12/56/91/623125691.db2.gz ACSVPZLTYBJVQD-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CC1(C)C[C@H](Nc2ccc([N+](=O)[O-])c3nonc32)CCO1 ZINC000228087009 623130258 /nfs/dbraw/zinc/13/02/58/623130258.db2.gz NFCLINWJGHRHHS-MRVPVSSYSA-N 0 0 292.295 2.501 20 5 CFBDRN C[C@@H]1C[C@H]1c1ccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])o1 ZINC000822614639 623136060 /nfs/dbraw/zinc/13/60/60/623136060.db2.gz YMLXQBNDAVPQFD-ZYHUDNBSSA-N 0 0 294.351 2.855 20 5 CFBDRN C[C@@H]1C[C@@H]1c1ccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])o1 ZINC000822614638 623136525 /nfs/dbraw/zinc/13/65/25/623136525.db2.gz YMLXQBNDAVPQFD-PWSUYJOCSA-N 0 0 294.351 2.855 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000822637731 623140952 /nfs/dbraw/zinc/14/09/52/623140952.db2.gz ZNHSQMSYZYVFBV-UPJWGTAASA-N 0 0 274.320 2.613 20 5 CFBDRN Cc1ccc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)o1 ZINC000060751250 623151662 /nfs/dbraw/zinc/15/16/62/623151662.db2.gz LFVACQDSPBHYSH-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN COc1ccc(OCC2(O)CCCCC2)c([N+](=O)[O-])c1 ZINC000087458489 623160868 /nfs/dbraw/zinc/16/08/68/623160868.db2.gz NJRLSZHJXZQVNF-UHFFFAOYSA-N 0 0 281.308 2.677 20 5 CFBDRN O=C(/C=C/[C@@H]1CCCO1)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000822739166 623164145 /nfs/dbraw/zinc/16/41/45/623164145.db2.gz AFZXGUPKXDUWCY-RTMURIBGSA-N 0 0 298.245 2.547 20 5 CFBDRN CCN(CC)C(=O)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000228839805 623164719 /nfs/dbraw/zinc/16/47/19/623164719.db2.gz LTSTXLXZYGTCPU-UHFFFAOYSA-N 0 0 285.731 2.529 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)/C=C/[C@@H]1CCCO1 ZINC000822797459 623176794 /nfs/dbraw/zinc/17/67/94/623176794.db2.gz GMEPUZTWEQMYRA-WMADIVHISA-N 0 0 290.319 2.885 20 5 CFBDRN CCc1cccc2c1N(C(=O)CCC(C)(C)[N+](=O)[O-])CC2 ZINC000822867566 623192085 /nfs/dbraw/zinc/19/20/85/623192085.db2.gz BZPLNONHYODZCX-UHFFFAOYSA-N 0 0 290.363 2.974 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cccnc1C ZINC000170989634 623197283 /nfs/dbraw/zinc/19/72/83/623197283.db2.gz RQBYQHGMKXUGBN-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)C[C@@H]1CCCCO1 ZINC000061569868 623220377 /nfs/dbraw/zinc/22/03/77/623220377.db2.gz YMQNYLTZTXRJSS-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN CC[C@H](C)OC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 ZINC000171489370 623224604 /nfs/dbraw/zinc/22/46/04/623224604.db2.gz UJKCPHWFPRFMJY-JTQLQIEISA-N 0 0 289.291 2.736 20 5 CFBDRN CSCCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000171498620 623227052 /nfs/dbraw/zinc/22/70/52/623227052.db2.gz UBWDIURWICLIIJ-UHFFFAOYSA-N 0 0 275.713 2.907 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2COc3ccccc32)c([N+](=O)[O-])c1 ZINC000172001299 623251123 /nfs/dbraw/zinc/25/11/23/623251123.db2.gz CWTIDYZYTBSPEE-GFCCVEGCSA-N 0 0 299.282 2.985 20 5 CFBDRN C[C@H]1OCC[C@@]1(C)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000230105445 623252842 /nfs/dbraw/zinc/25/28/42/623252842.db2.gz MAAMIECHAOBUGW-AMIZOPFISA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])N[C@H]1CC12CC2 ZINC000823220786 623266175 /nfs/dbraw/zinc/26/61/75/623266175.db2.gz JGCNBZDYBRQKAU-LBPRGKRZSA-N 0 0 294.738 2.850 20 5 CFBDRN COC(C)(C)CCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000230421213 623275574 /nfs/dbraw/zinc/27/55/74/623275574.db2.gz SVDXZTUOFSDCLT-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN Cc1cc(CNC(=O)c2c(C)ccc([N+](=O)[O-])c2C)ccn1 ZINC000823268304 623280080 /nfs/dbraw/zinc/28/00/80/623280080.db2.gz ROLCTOFVDVGSGY-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000172446544 623282236 /nfs/dbraw/zinc/28/22/36/623282236.db2.gz JGSQKOKQNRSPNI-SECBINFHSA-N 0 0 295.295 2.525 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000172446538 623282434 /nfs/dbraw/zinc/28/24/34/623282434.db2.gz JGSQKOKQNRSPNI-VIFPVBQESA-N 0 0 295.295 2.525 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2C[C@H]2C1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000823313355 623290942 /nfs/dbraw/zinc/29/09/42/623290942.db2.gz ZBDIBKOTPXCALJ-OGMFBOKVSA-N 0 0 286.331 2.613 20 5 CFBDRN Cc1c(CNCC(F)(F)C(F)F)cccc1[N+](=O)[O-] ZINC000230690569 623294324 /nfs/dbraw/zinc/29/43/24/623294324.db2.gz KOVSRKBRTJARMV-UHFFFAOYSA-N 0 0 280.221 2.893 20 5 CFBDRN O=C(CCc1ccccn1)OCc1ccc([N+](=O)[O-])cc1 ZINC000062864442 623300533 /nfs/dbraw/zinc/30/05/33/623300533.db2.gz LUNHOJUPOFDDIE-UHFFFAOYSA-N 0 0 286.287 2.666 20 5 CFBDRN CN(CC(=O)Oc1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000173140527 623335554 /nfs/dbraw/zinc/33/55/54/623335554.db2.gz WCRMKRKRIVLOKC-UHFFFAOYSA-N 0 0 286.287 2.637 20 5 CFBDRN Cc1nc(COC(=O)c2cc(C)ccc2[N+](=O)[O-])oc1C ZINC000173575431 623358543 /nfs/dbraw/zinc/35/85/43/623358543.db2.gz ADVORHIGOWQBNE-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN Cc1cc(NCCSC(F)(F)F)ncc1[N+](=O)[O-] ZINC000173913641 623375646 /nfs/dbraw/zinc/37/56/46/623375646.db2.gz NDDMOMTXWMNVGY-UHFFFAOYSA-N 0 0 281.259 2.963 20 5 CFBDRN O=C(/C=C\[C@@H]1CCCO1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000823814742 623400234 /nfs/dbraw/zinc/40/02/34/623400234.db2.gz PEAVMXLDTCLSQW-NMTCXDENSA-N 0 0 296.710 2.922 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCCOC(C)(C)C ZINC000063921149 623404245 /nfs/dbraw/zinc/40/42/45/623404245.db2.gz ODKVNEWMAQKECI-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCCC2)c1Br ZINC000232478047 623417875 /nfs/dbraw/zinc/41/78/75/623417875.db2.gz JTXGXRSNQREGLC-UHFFFAOYSA-N 0 0 285.141 2.953 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823979754 623428068 /nfs/dbraw/zinc/42/80/68/623428068.db2.gz BIRBPOYBJJDXCV-BXKDBHETSA-N 0 0 292.339 2.877 20 5 CFBDRN CC1(C)CCCN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823987883 623429928 /nfs/dbraw/zinc/42/99/28/623429928.db2.gz SMWHZMYRWPGXBQ-UHFFFAOYSA-N 0 0 278.312 2.583 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823986824 623430109 /nfs/dbraw/zinc/43/01/09/623430109.db2.gz MPOGZRGEXSIIJQ-VIFPVBQESA-N 0 0 280.328 2.591 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])OCc1ccsc1 ZINC000174628072 623443703 /nfs/dbraw/zinc/44/37/03/623443703.db2.gz GMCGWBOZXIBCHZ-UHFFFAOYSA-N 0 0 292.316 2.812 20 5 CFBDRN C[C@H](COC(=O)Cc1ccccc1[N+](=O)[O-])CC(F)F ZINC000842434866 623456158 /nfs/dbraw/zinc/45/61/58/623456158.db2.gz XDWDAUFYFDHQEU-VIFPVBQESA-N 0 0 287.262 2.972 20 5 CFBDRN CC1(NC(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000824253466 623477616 /nfs/dbraw/zinc/47/76/16/623477616.db2.gz CPELUKQLDGURKJ-ZIAGYGMSSA-N 0 0 286.331 2.923 20 5 CFBDRN CCCONC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000828222271 623488421 /nfs/dbraw/zinc/48/84/21/623488421.db2.gz SYKKXZKRNSWJAR-UHFFFAOYSA-N 0 0 273.676 2.711 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCCC1CC1 ZINC000174686529 623498721 /nfs/dbraw/zinc/49/87/21/623498721.db2.gz NPZKRDJDQBNAPW-VMPITWQZSA-N 0 0 260.293 2.524 20 5 CFBDRN C[C@H](NCc1cnn(C)c1Cl)c1ccc([N+](=O)[O-])cc1 ZINC000824462744 623510467 /nfs/dbraw/zinc/51/04/67/623510467.db2.gz KGZWWSKWHSNGSA-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN C[C@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCCO1 ZINC000094801108 623584220 /nfs/dbraw/zinc/58/42/20/623584220.db2.gz IANBUTQTVUMSLY-CYBMUJFWSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H](C)OCC(C)C ZINC000760017134 623614223 /nfs/dbraw/zinc/61/42/23/623614223.db2.gz MXTQOSBAYCIGBZ-LLVKDONJSA-N 0 0 281.308 2.870 20 5 CFBDRN CC(C)(CCc1nc(C2CCCC2)no1)[N+](=O)[O-] ZINC000834409418 625664423 /nfs/dbraw/zinc/66/44/23/625664423.db2.gz WCGHOCGOQNSMQP-UHFFFAOYSA-N 0 0 253.302 2.715 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000825633136 623727717 /nfs/dbraw/zinc/72/77/17/623727717.db2.gz BGMSPRGWUCAXDE-YXCITZCRSA-N 0 0 288.347 2.812 20 5 CFBDRN Cc1ccc(CNC(=O)[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000825640832 623729050 /nfs/dbraw/zinc/72/90/50/623729050.db2.gz LHZMMLKRLBBHQS-LLVKDONJSA-N 0 0 276.336 2.956 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825641202 623729437 /nfs/dbraw/zinc/72/94/37/623729437.db2.gz RVSZYHAKQFSONC-YGRLFVJLSA-N 0 0 294.351 2.581 20 5 CFBDRN CC(C)=C(C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825647441 623729942 /nfs/dbraw/zinc/72/99/42/623729942.db2.gz DUWGXVXDDUOAMJ-UHFFFAOYSA-N 0 0 262.309 2.876 20 5 CFBDRN Cc1ccc(CNC(=O)C2CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000825648291 623730208 /nfs/dbraw/zinc/73/02/08/623730208.db2.gz OUIIKZZBXGNKBC-UHFFFAOYSA-N 0 0 284.262 2.565 20 5 CFBDRN Cc1ccc(CNC(=O)C2CCSCC2)cc1[N+](=O)[O-] ZINC000825648330 623730273 /nfs/dbraw/zinc/73/02/73/623730273.db2.gz PVUSIUZZGYKRNG-UHFFFAOYSA-N 0 0 294.376 2.663 20 5 CFBDRN CC[C@@H](SC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825647724 623730459 /nfs/dbraw/zinc/73/04/59/623730459.db2.gz IELLCZPHDTVTKE-GFCCVEGCSA-N 0 0 282.365 2.661 20 5 CFBDRN Cc1cnc(C(=O)NCc2ccc(C)c([N+](=O)[O-])c2)c(C)c1 ZINC000825647152 623730531 /nfs/dbraw/zinc/73/05/31/623730531.db2.gz CWUQSSQLRDTBJY-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CCCSCC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825655989 623731936 /nfs/dbraw/zinc/73/19/36/623731936.db2.gz ZENFCZGMHJEDGC-UHFFFAOYSA-N 0 0 282.365 2.663 20 5 CFBDRN O=C(NCc1nccs1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000152709723 623758474 /nfs/dbraw/zinc/75/84/74/623758474.db2.gz QNSLZMYUJKWRGS-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN CC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1nccs1 ZINC000825969396 623777599 /nfs/dbraw/zinc/77/75/99/623777599.db2.gz LZXBEJOYNKRIPZ-SECBINFHSA-N 0 0 285.369 2.546 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NC[C@@H]1CCC=CO1)CCCC2 ZINC000825979146 623778332 /nfs/dbraw/zinc/77/83/32/623778332.db2.gz JCEIDBPZWVIICA-LBPRGKRZSA-N 0 0 289.335 2.973 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)C1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826009408 623780895 /nfs/dbraw/zinc/78/08/95/623780895.db2.gz SVKQWHWZHIRLLQ-GHMZBOCLSA-N 0 0 270.373 2.763 20 5 CFBDRN C[C@H]1c2ccccc2CN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826579575 623816877 /nfs/dbraw/zinc/81/68/77/623816877.db2.gz JOTPKMYOCWKGPU-NSHDSACASA-N 0 0 276.336 2.925 20 5 CFBDRN CCS[C@@H]1CCC[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826646855 623829231 /nfs/dbraw/zinc/82/92/31/623829231.db2.gz GNVYQTFGAAEVQI-GHMZBOCLSA-N 0 0 288.413 2.612 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000826670835 623836243 /nfs/dbraw/zinc/83/62/43/623836243.db2.gz ZWMVPWZMFGYFJE-ZJUUUORDSA-N 0 0 297.311 2.712 20 5 CFBDRN CCN(CCSC)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000826850202 623891967 /nfs/dbraw/zinc/89/19/67/623891967.db2.gz AMBFUJDDHFIFQJ-UHFFFAOYSA-N 0 0 285.325 2.692 20 5 CFBDRN CC(C)(C)[C@H]1CCCN(Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000153273792 623911769 /nfs/dbraw/zinc/91/17/69/623911769.db2.gz NZDVJZJNJWEBTQ-LBPRGKRZSA-N 0 0 280.372 2.897 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC/C=C\CO ZINC000826943749 623912626 /nfs/dbraw/zinc/91/26/26/623912626.db2.gz ZSJQYAVROPILDP-UPHRSURJSA-N 0 0 291.134 2.540 20 5 CFBDRN O=C(O[C@@H]1COc2ccccc21)c1ccccc1[N+](=O)[O-] ZINC000153312209 623923285 /nfs/dbraw/zinc/92/32/85/623923285.db2.gz NIZGVEMIYGTDSY-CQSZACIVSA-N 0 0 285.255 2.885 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ccc([N+](=O)[O-])cn1 ZINC000914787593 623938006 /nfs/dbraw/zinc/93/80/06/623938006.db2.gz ZVNVNLLFMVXZIK-UHFFFAOYSA-N 0 0 288.263 2.534 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CC=CCC1)[N+](=O)[O-] ZINC000835131529 623947394 /nfs/dbraw/zinc/94/73/94/623947394.db2.gz PJRLTFJIHBENCY-NSHDSACASA-N 0 0 255.314 2.721 20 5 CFBDRN CSCC[C@H](C)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835813424 624040214 /nfs/dbraw/zinc/04/02/14/624040214.db2.gz OOXLWWDGHICQBC-VIFPVBQESA-N 0 0 263.359 2.507 20 5 CFBDRN CSCCOC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000915442557 624045008 /nfs/dbraw/zinc/04/50/08/624045008.db2.gz PPALWFYDGPTCDQ-UHFFFAOYSA-N 0 0 299.348 2.578 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H]2CC[C@@H](C3CC3)O2)c1 ZINC000915602531 624083063 /nfs/dbraw/zinc/08/30/63/624083063.db2.gz AQPUQJZKJIJOLB-KGLIPLIRSA-N 0 0 263.293 2.931 20 5 CFBDRN O=C(OCOc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000915603560 624083076 /nfs/dbraw/zinc/08/30/76/624083076.db2.gz MONHENWNRHVPLM-UHFFFAOYSA-N 0 0 271.656 2.538 20 5 CFBDRN CCN(CC)C(=S)SCc1cccnc1[N+](=O)[O-] ZINC000915657666 624090235 /nfs/dbraw/zinc/09/02/35/624090235.db2.gz VPEIZMUEKRPMJZ-UHFFFAOYSA-N 0 0 285.394 2.850 20 5 CFBDRN CCc1cnccc1[C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000827783711 624091471 /nfs/dbraw/zinc/09/14/71/624091471.db2.gz OWSMMHRIWZMQGQ-LLVKDONJSA-N 0 0 293.367 2.657 20 5 CFBDRN CC(C)(F)CNC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000827805531 624098341 /nfs/dbraw/zinc/09/83/41/624098341.db2.gz FIKPXSPNIQHMRP-RYUDHWBXSA-N 0 0 280.299 2.563 20 5 CFBDRN O=C(Oc1ccc(Cl)c([N+](=O)[O-])c1)O[C@H]1CCOC1 ZINC000915708799 624098324 /nfs/dbraw/zinc/09/83/24/624098324.db2.gz WLIMMNNLFPQKON-QMMMGPOBSA-N 0 0 287.655 2.553 20 5 CFBDRN CC(C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21)=C1CCC1 ZINC000827876965 624118332 /nfs/dbraw/zinc/11/83/32/624118332.db2.gz BTFSVUJIPSPHGY-UHFFFAOYSA-N 0 0 286.291 2.910 20 5 CFBDRN CCC[C@@H](CC)OC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000766402064 624141381 /nfs/dbraw/zinc/14/13/81/624141381.db2.gz IIIGMGSPSBBFQG-SNVBAGLBSA-N 0 0 266.297 2.913 20 5 CFBDRN CCn1cc(NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])cn1 ZINC000888286501 624142320 /nfs/dbraw/zinc/14/23/20/624142320.db2.gz LKYUENQFEAXFIG-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c2nonc21)C1CC1 ZINC000324525539 624163720 /nfs/dbraw/zinc/16/37/20/624163720.db2.gz XDCWIYRAGKSXFV-MRVPVSSYSA-N 0 0 262.269 2.732 20 5 CFBDRN Cc1nc(CC(=O)Oc2ccc(C)cc2[N+](=O)[O-])cs1 ZINC000072636749 625700061 /nfs/dbraw/zinc/70/00/61/625700061.db2.gz UCRDISAYKWVIGR-UHFFFAOYSA-N 0 0 292.316 2.816 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000828286950 624222438 /nfs/dbraw/zinc/22/24/38/624222438.db2.gz KQLCNRDXIFYAOQ-GFCCVEGCSA-N 0 0 268.357 2.637 20 5 CFBDRN COC[C@H](C)NC(=S)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000916112323 624223626 /nfs/dbraw/zinc/22/36/26/624223626.db2.gz UPRDBQQJONXSFV-JTQLQIEISA-N 0 0 297.380 2.533 20 5 CFBDRN Cc1cc(OCC(=O)C2(Cl)CC2)ccc1[N+](=O)[O-] ZINC000916153779 624226345 /nfs/dbraw/zinc/22/63/45/624226345.db2.gz LKXILSWUKYUWMA-UHFFFAOYSA-N 0 0 269.684 2.623 20 5 CFBDRN Cc1ccc(OCOC(=O)CC(C)C)c([N+](=O)[O-])c1 ZINC000916203684 624228468 /nfs/dbraw/zinc/22/84/68/624228468.db2.gz ZPIQSLDPDZZOLR-UHFFFAOYSA-N 0 0 267.281 2.829 20 5 CFBDRN Cc1ccc(OCC(=O)C2(Cl)CC2)c([N+](=O)[O-])c1 ZINC000916203282 624228623 /nfs/dbraw/zinc/22/86/23/624228623.db2.gz OHZSOSAUQLRXTK-UHFFFAOYSA-N 0 0 269.684 2.623 20 5 CFBDRN Cc1nn(C[C@]2(C)CC2(Cl)Cl)c(C)c1[N+](=O)[O-] ZINC000828349599 624232373 /nfs/dbraw/zinc/23/23/73/624232373.db2.gz XAKSEELIFGKOJF-VIFPVBQESA-N 0 0 278.139 2.992 20 5 CFBDRN CCOC1(C)CN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000828364856 624233428 /nfs/dbraw/zinc/23/34/28/624233428.db2.gz LNZVURRBHDJTKR-UHFFFAOYSA-N 0 0 270.716 2.863 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1nccs1 ZINC000153956737 624241020 /nfs/dbraw/zinc/24/10/20/624241020.db2.gz PNHWHNXYIOMDFF-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN CC[C@@H](C)[C@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])OC ZINC000828492997 624256397 /nfs/dbraw/zinc/25/63/97/624256397.db2.gz XXNKWIBBVKAWOE-SKDRFNHKSA-N 0 0 297.311 2.976 20 5 CFBDRN CCCCC[C@@H](O)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000828511500 624259383 /nfs/dbraw/zinc/25/93/83/624259383.db2.gz OICYKBVTSFIKBU-BXUZGUMPSA-N 0 0 294.351 2.713 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000916586439 624261327 /nfs/dbraw/zinc/26/13/27/624261327.db2.gz YCXMMCVZLZXCCI-PHIMTYICSA-N 0 0 277.324 2.503 20 5 CFBDRN CC(=O)[C@@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000828531987 624263398 /nfs/dbraw/zinc/26/33/98/624263398.db2.gz QBMBYBUJFVHZGQ-AJHXJQRKSA-N 0 0 291.303 2.765 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000828610394 624276600 /nfs/dbraw/zinc/27/66/00/624276600.db2.gz ALOGRPJOVHHENX-GFCCVEGCSA-N 0 0 260.293 2.786 20 5 CFBDRN Nc1ccc(NC(=O)/C=C\c2ccccc2)cc1[N+](=O)[O-] ZINC000916827244 624286135 /nfs/dbraw/zinc/28/61/35/624286135.db2.gz NAKVJDSPHCKNHW-TWGQIWQCSA-N 0 0 283.287 2.829 20 5 CFBDRN Nc1ccc(NC(=O)c2ccccc2F)cc1[N+](=O)[O-] ZINC000916827249 624286162 /nfs/dbraw/zinc/28/61/62/624286162.db2.gz NIUXRLAAAJORDE-UHFFFAOYSA-N 0 0 275.239 2.568 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1C[C@@H]1CC2CCC1CC2 ZINC000916831787 624286227 /nfs/dbraw/zinc/28/62/27/624286227.db2.gz CFWMPBCOLIEXGX-MCIGGMRASA-N 0 0 262.309 2.583 20 5 CFBDRN CCc1nc(C)c(COc2ccc([N+](=O)[O-])cc2C=O)o1 ZINC000828687889 624287323 /nfs/dbraw/zinc/28/73/23/624287323.db2.gz PHOSGPQOXLSTJD-UHFFFAOYSA-N 0 0 290.275 2.845 20 5 CFBDRN CC1(C)SC(=O)N(Cc2c(F)cccc2[N+](=O)[O-])C1=O ZINC000154247300 624298893 /nfs/dbraw/zinc/29/88/93/624298893.db2.gz CNOLMOMGXOCMJH-UHFFFAOYSA-N 0 0 298.295 2.708 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@@H]1COc2ccccc2C1 ZINC000917014470 624299442 /nfs/dbraw/zinc/29/94/42/624299442.db2.gz UVOJVBBQMGWRFZ-LLVKDONJSA-N 0 0 285.303 2.653 20 5 CFBDRN Cc1cc(C(=O)OCCC[C@H]2CCOC2)cc([N+](=O)[O-])c1 ZINC000828786233 624301043 /nfs/dbraw/zinc/30/10/43/624301043.db2.gz DHAPIIDJHBEMHV-LBPRGKRZSA-N 0 0 293.319 2.877 20 5 CFBDRN CCOC(=O)C[C@H](C)SCc1cccnc1[N+](=O)[O-] ZINC000917061286 624304117 /nfs/dbraw/zinc/30/41/17/624304117.db2.gz FFRGGHMBJIEYCF-VIFPVBQESA-N 0 0 284.337 2.565 20 5 CFBDRN O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1NCC1CCC1 ZINC000917060001 624304173 /nfs/dbraw/zinc/30/41/73/624304173.db2.gz OUBXAVJNPPHYGK-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN Cc1cnc(OCCCc2cccnc2)c([N+](=O)[O-])c1 ZINC000917149798 624319436 /nfs/dbraw/zinc/31/94/36/624319436.db2.gz OWWCKCXAKDLTMU-UHFFFAOYSA-N 0 0 273.292 2.705 20 5 CFBDRN COC(=O)/C=C(/C)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000917216714 624323290 /nfs/dbraw/zinc/32/32/90/624323290.db2.gz COTHDIWBFFSZAV-VURMDHGXSA-N 0 0 285.683 2.746 20 5 CFBDRN C/C(=C/C(=O)OCCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000917337768 624331991 /nfs/dbraw/zinc/33/19/91/624331991.db2.gz QDRRZJPALVGBBJ-KHPPLWFESA-N 0 0 291.303 2.873 20 5 CFBDRN CCN1C[C@H](Nc2cc(C)c([N+](=O)[O-])cc2Cl)CC1=O ZINC000828978463 624346951 /nfs/dbraw/zinc/34/69/51/624346951.db2.gz DEADGEXVCDJJBL-SECBINFHSA-N 0 0 297.742 2.589 20 5 CFBDRN CCc1onc(C)c1COc1ccc([N+](=O)[O-])c(CO)c1 ZINC000917560777 624356671 /nfs/dbraw/zinc/35/66/71/624356671.db2.gz AFCFWQAPMXKRDV-UHFFFAOYSA-N 0 0 292.291 2.525 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CC23CCOCC3)c1 ZINC000829019440 624356689 /nfs/dbraw/zinc/35/66/89/624356689.db2.gz HWWVAWLKHWTOCE-GFCCVEGCSA-N 0 0 296.298 2.724 20 5 CFBDRN CC(C)[C@H]1CCN1c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000829046292 624360205 /nfs/dbraw/zinc/36/02/05/624360205.db2.gz BNFIUTDHAMPJDG-GFCCVEGCSA-N 0 0 289.335 2.714 20 5 CFBDRN CC(=O)[C@@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000829050686 624360459 /nfs/dbraw/zinc/36/04/59/624360459.db2.gz ABWSCWQBSGZQRW-HZMBPMFUSA-N 0 0 293.319 2.855 20 5 CFBDRN COc1cc(OC[C@@H]2CC[C@H](C3CC3)O2)ccc1[N+](=O)[O-] ZINC000917598444 624367724 /nfs/dbraw/zinc/36/77/24/624367724.db2.gz LTINLUCPGBGOIA-GXTWGEPZSA-N 0 0 293.319 2.940 20 5 CFBDRN COc1cccc2c1CN(c1cc(N)ccc1[N+](=O)[O-])CC2 ZINC000829083266 624368555 /nfs/dbraw/zinc/36/85/55/624368555.db2.gz IRONVIKVZRYGKC-UHFFFAOYSA-N 0 0 299.330 2.748 20 5 CFBDRN C[C@H](Cc1cnn(C)c1)Nc1cccc(F)c1[N+](=O)[O-] ZINC000829165938 624390099 /nfs/dbraw/zinc/39/00/99/624390099.db2.gz JXPAPEVHBYGQJK-SECBINFHSA-N 0 0 278.287 2.511 20 5 CFBDRN CCc1nc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])co1 ZINC000829183616 624393536 /nfs/dbraw/zinc/39/35/36/624393536.db2.gz HEDAUTQXUHVOIQ-UHFFFAOYSA-N 0 0 292.251 2.666 20 5 CFBDRN CCc1nc(CNc2cc(OC)ccc2[N+](=O)[O-])co1 ZINC000829183507 624393710 /nfs/dbraw/zinc/39/37/10/624393710.db2.gz CLTNJPSVPCUZIB-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN C=C/C=C/CCNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000829186570 624394264 /nfs/dbraw/zinc/39/42/64/624394264.db2.gz OHFMSAMKDPJFCS-NMONBKHDSA-N 0 0 286.331 2.947 20 5 CFBDRN CCC1(CNc2cccc(F)c2[N+](=O)[O-])COC1 ZINC000829202769 624399363 /nfs/dbraw/zinc/39/93/63/624399363.db2.gz GTVMQVXFHCSTQU-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@]2(CCOC2)C1 ZINC000829327911 624418705 /nfs/dbraw/zinc/41/87/05/624418705.db2.gz OBLCCSIPZMKEQJ-AWEZNQCLSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@]2(CCOC2)C1 ZINC000829327912 624418842 /nfs/dbraw/zinc/41/88/42/624418842.db2.gz OBLCCSIPZMKEQJ-CQSZACIVSA-N 0 0 280.299 2.741 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@]2(C)CC2(Cl)Cl)c1=O ZINC000829336861 624421553 /nfs/dbraw/zinc/42/15/53/624421553.db2.gz TWFHSVGIVJPCCN-SNVBAGLBSA-N 0 0 291.134 2.649 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCC[C@@H]2CCOC2)c1 ZINC000829346170 624423341 /nfs/dbraw/zinc/42/33/41/624423341.db2.gz ZTZBDQBOMBZVLA-SNVBAGLBSA-N 0 0 298.314 2.971 20 5 CFBDRN O=[N+]([O-])c1nccn1CCCOc1ccc(Cl)cc1 ZINC000918343242 624433147 /nfs/dbraw/zinc/43/31/47/624433147.db2.gz NKHSUIGFYVZXHE-UHFFFAOYSA-N 0 0 281.699 2.914 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@@]3(CCSC3)C2)c1 ZINC000829454743 624436475 /nfs/dbraw/zinc/43/64/75/624436475.db2.gz WGXBMCCJZPVFCM-CQSZACIVSA-N 0 0 293.392 2.901 20 5 CFBDRN CCSCCOC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000918489628 624438205 /nfs/dbraw/zinc/43/82/05/624438205.db2.gz FZNXYYFYRMKUBH-UHFFFAOYSA-N 0 0 285.321 2.513 20 5 CFBDRN CCn1nc(C)c(CSc2cccc([N+](=O)[O-])c2)n1 ZINC000829572733 624457590 /nfs/dbraw/zinc/45/75/90/624457590.db2.gz VQCQEOBVDKMTTK-UHFFFAOYSA-N 0 0 278.337 2.807 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])C1CCC1 ZINC000919038035 624519290 /nfs/dbraw/zinc/51/92/90/624519290.db2.gz ZTCIKNPZICCAHH-UHFFFAOYSA-N 0 0 253.229 2.577 20 5 CFBDRN CC(C)SCC(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000919074936 624521809 /nfs/dbraw/zinc/52/18/09/624521809.db2.gz ZHPJXOZVBYEYTM-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN CCOCCCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000919151151 624531976 /nfs/dbraw/zinc/53/19/76/624531976.db2.gz MTQPQANDRVVXPA-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000919212875 624535910 /nfs/dbraw/zinc/53/59/10/624535910.db2.gz YFUDLQBWXAMKBJ-QMMMGPOBSA-N 0 0 291.259 2.865 20 5 CFBDRN C/C=C\COC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000919227522 624542111 /nfs/dbraw/zinc/54/21/11/624542111.db2.gz TVVNQDTUYZFAIZ-ARJAWSKDSA-N 0 0 280.236 2.544 20 5 CFBDRN CC[C@@H](C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1F ZINC000829883427 624576711 /nfs/dbraw/zinc/57/67/11/624576711.db2.gz MNWYZJLRNYQDCL-SSDOTTSWSA-N 0 0 273.235 2.867 20 5 CFBDRN CCC(F)(F)COC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000851491999 634394684 /nfs/dbraw/zinc/39/46/84/634394684.db2.gz ZALVCRVSHYGQPR-UHFFFAOYSA-N 0 0 274.223 2.500 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])n2C)s1 ZINC000919703981 624602214 /nfs/dbraw/zinc/60/22/14/624602214.db2.gz NGQYXDZNMNVJSR-VIFPVBQESA-N 0 0 293.348 2.794 20 5 CFBDRN Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2N)c(C)c1 ZINC000195152232 624625085 /nfs/dbraw/zinc/62/50/85/624625085.db2.gz JPDOZDKDHVFMIM-UHFFFAOYSA-N 0 0 299.330 2.724 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCOC[C@@H]2C2CCC2)cc1F ZINC000830213307 624673568 /nfs/dbraw/zinc/67/35/68/624673568.db2.gz AZNVDGUZCCPIDJ-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000920130483 624686237 /nfs/dbraw/zinc/68/62/37/624686237.db2.gz GJJQQHVVBMDTNH-LLVKDONJSA-N 0 0 281.308 2.951 20 5 CFBDRN CCC/C(C)=C\C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000920223570 624701239 /nfs/dbraw/zinc/70/12/39/624701239.db2.gz LCUAJCDPQSUQFL-QXMHVHEDSA-N 0 0 276.336 3.000 20 5 CFBDRN O=C(Oc1ccc(F)cc1[N+](=O)[O-])OC1CCC1 ZINC000830352931 624719786 /nfs/dbraw/zinc/71/97/86/624719786.db2.gz SVDHAMZYPYMYRI-UHFFFAOYSA-N 0 0 255.201 2.802 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCC(=O)C1(C)CC1 ZINC000830370343 624724467 /nfs/dbraw/zinc/72/44/67/624724467.db2.gz XAPJJZTZTYWRGM-UHFFFAOYSA-N 0 0 295.266 2.568 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCCCCF ZINC000830368702 624724542 /nfs/dbraw/zinc/72/45/42/624724542.db2.gz ABBNVVOYNNISSU-UHFFFAOYSA-N 0 0 273.235 2.949 20 5 CFBDRN CC/C=C(\C)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000920506372 624733684 /nfs/dbraw/zinc/73/36/84/624733684.db2.gz KFTSEYOCHWHUHM-VZUCSPMQSA-N 0 0 279.292 2.873 20 5 CFBDRN C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@@H]1C ZINC000920810176 624772846 /nfs/dbraw/zinc/77/28/46/624772846.db2.gz RKSYQOUWNQFKHU-IUCAKERBSA-N 0 0 298.726 2.636 20 5 CFBDRN CCN(CCc1ccccn1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000921088952 624807928 /nfs/dbraw/zinc/80/79/28/624807928.db2.gz UJQHSMKXSACIAP-UHFFFAOYSA-N 0 0 299.330 2.695 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000830720314 624825788 /nfs/dbraw/zinc/82/57/88/624825788.db2.gz QHGKKBVTRPKTHQ-BZBKMWRSSA-N 0 0 280.711 2.777 20 5 CFBDRN CCC/C(C)=C\C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000921288500 624835820 /nfs/dbraw/zinc/83/58/20/624835820.db2.gz KCCVCRZSQKUZSU-FLIBITNWSA-N 0 0 262.309 2.957 20 5 CFBDRN C[C@@H](NC(=O)NC1CC=CC1)c1ccc([N+](=O)[O-])cc1 ZINC000921454461 624897660 /nfs/dbraw/zinc/89/76/60/624897660.db2.gz KXGFRGTWXIMXBQ-SNVBAGLBSA-N 0 0 275.308 2.674 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCC(=O)C1)c1ccccc1[N+](=O)[O-] ZINC000830891170 624919056 /nfs/dbraw/zinc/91/90/56/624919056.db2.gz CINMFBBOGJBISV-ZJUUUORDSA-N 0 0 277.276 2.568 20 5 CFBDRN O=C(NCc1ccc[nH]1)c1cc([N+](=O)[O-])ccc1Cl ZINC000174966775 624920848 /nfs/dbraw/zinc/92/08/48/624920848.db2.gz QACSKWVIYZJVOC-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1CCC(=O)C1 ZINC000830901443 624925201 /nfs/dbraw/zinc/92/52/01/624925201.db2.gz UIOHQVLRTSWFRS-MRVPVSSYSA-N 0 0 297.694 2.661 20 5 CFBDRN Cc1cnc(OCc2ccc(Cl)nc2)c([N+](=O)[O-])c1 ZINC000175140842 624928258 /nfs/dbraw/zinc/92/82/58/624928258.db2.gz BWVYXTXKGAZNFZ-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN C[C@@H]1[C@@H](c2ccccc2)CC[N@@H+]1Cn1cc([N+](=O)[O-])cn1 ZINC000175263976 624942568 /nfs/dbraw/zinc/94/25/68/624942568.db2.gz AQLIZVHVBJIWLS-DOMZBBRYSA-N 0 0 286.335 2.627 20 5 CFBDRN Cc1cnc(COC(=O)c2c(Cl)cccc2[N+](=O)[O-])o1 ZINC000175427941 624951315 /nfs/dbraw/zinc/95/13/15/624951315.db2.gz PCTSJCMARUGKLD-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN COCCCCOC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000064607570 624951420 /nfs/dbraw/zinc/95/14/20/624951420.db2.gz QFZODEDJIWUUEE-UHFFFAOYSA-N 0 0 299.348 2.657 20 5 CFBDRN CS[C@H](C)CC(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000179663766 624972551 /nfs/dbraw/zinc/97/25/51/624972551.db2.gz ALEVWMKJBNHHPS-SNVBAGLBSA-N 0 0 283.349 2.822 20 5 CFBDRN C[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1ccsc1 ZINC000831368002 625000800 /nfs/dbraw/zinc/00/08/00/625000800.db2.gz HNPPNTNJOGVBPH-VIFPVBQESA-N 0 0 270.354 2.761 20 5 CFBDRN COc1ccc(OC(=O)c2ccc(C)o2)c([N+](=O)[O-])c1 ZINC000068718971 625022423 /nfs/dbraw/zinc/02/24/23/625022423.db2.gz FYMBVZCRUVRHTB-UHFFFAOYSA-N 0 0 277.232 2.724 20 5 CFBDRN CCON(CC)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000831476938 625032539 /nfs/dbraw/zinc/03/25/39/625032539.db2.gz XWJOHMSQRWKWBM-UHFFFAOYSA-N 0 0 272.688 2.662 20 5 CFBDRN CCON(CC)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000831499042 625036682 /nfs/dbraw/zinc/03/66/82/625036682.db2.gz KRJDHBNYFDMHGC-UHFFFAOYSA-N 0 0 293.323 2.583 20 5 CFBDRN CC(C)(CCC(=O)N(CC1CC1)C1CCC1)[N+](=O)[O-] ZINC000831623241 625074930 /nfs/dbraw/zinc/07/49/30/625074930.db2.gz UZLRRVIMYMMGDN-UHFFFAOYSA-N 0 0 268.357 2.613 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc(F)nc2)cc1[N+](=O)[O-] ZINC000069704382 625208820 /nfs/dbraw/zinc/20/88/20/625208820.db2.gz YZVIZIPIBQEMLY-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)(CCC(=O)N1CC(c2ccccc2F)C1)[N+](=O)[O-] ZINC000832310094 625273484 /nfs/dbraw/zinc/27/34/84/625273484.db2.gz OLZLPBFFXGTSSH-UHFFFAOYSA-N 0 0 294.326 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCc2cscn2)c1 ZINC000070168420 625278633 /nfs/dbraw/zinc/27/86/33/625278633.db2.gz QDYHKEPJGGVWHK-UHFFFAOYSA-N 0 0 283.740 2.995 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1nn(CC)nc1C ZINC000834599073 625732173 /nfs/dbraw/zinc/73/21/73/625732173.db2.gz VXNAPKPQPOHLML-UHFFFAOYSA-N 0 0 289.339 2.689 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCS[C@@H](C)C2)c1 ZINC000834669502 625772651 /nfs/dbraw/zinc/77/26/51/625772651.db2.gz AIFMSFBMLZFUCB-QWRGUYRKSA-N 0 0 294.376 2.917 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCS[C@H](C)C1 ZINC000834676403 625778827 /nfs/dbraw/zinc/77/88/27/625778827.db2.gz GMERNFSUVZFDCL-MNOVXSKESA-N 0 0 294.376 2.917 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2ccccc2[N+](=O)[O-])CCS1 ZINC000834695009 625789934 /nfs/dbraw/zinc/78/99/34/625789934.db2.gz LGBOXKRGEKSVDZ-NXEZZACHSA-N 0 0 280.349 2.609 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)CCS1 ZINC000834707000 625794299 /nfs/dbraw/zinc/79/42/99/625794299.db2.gz SDDSTZGCTRNGOG-MWLCHTKSSA-N 0 0 280.349 2.609 20 5 CFBDRN CC(C)(CCc1noc(C[C@@H]2CC[C@H]3C[C@H]32)n1)[N+](=O)[O-] ZINC000834744077 625824017 /nfs/dbraw/zinc/82/40/17/625824017.db2.gz JYTCVIYTBDTXCR-GARJFASQSA-N 0 0 279.340 2.646 20 5 CFBDRN Cc1cc(C(=O)OCCC(C)C)c(N)c([N+](=O)[O-])c1 ZINC000112467084 625870082 /nfs/dbraw/zinc/87/00/82/625870082.db2.gz DPKPALFTXUAYQM-UHFFFAOYSA-N 0 0 266.297 2.688 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC[C@H](O)c1ccccn1 ZINC000788077198 625982437 /nfs/dbraw/zinc/98/24/37/625982437.db2.gz OEQGNYBNSYXEHE-ZDUSSCGKSA-N 0 0 293.710 2.789 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C(F)(F)F ZINC000838020308 625984452 /nfs/dbraw/zinc/98/44/52/625984452.db2.gz JBTZCURMGSHJJV-RNFRBKRXSA-N 0 0 295.238 2.898 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CC1(C)COC1 ZINC000929231225 626090328 /nfs/dbraw/zinc/09/03/28/626090328.db2.gz GNIRBZJLFSNCCK-UHFFFAOYSA-N 0 0 278.308 2.577 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1 ZINC000018083934 626098385 /nfs/dbraw/zinc/09/83/85/626098385.db2.gz WJYFHHUBGPOKAN-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN C[C@H](OC(=O)C[C@](C)(O)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000756318255 626105766 /nfs/dbraw/zinc/10/57/66/626105766.db2.gz ALPQVYWVBJVZGO-BONVTDFDSA-N 0 0 293.319 2.750 20 5 CFBDRN CC(C)CCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000064876425 626185518 /nfs/dbraw/zinc/18/55/18/626185518.db2.gz ZESCGYLTBNJSBK-UHFFFAOYSA-N 0 0 255.245 2.937 20 5 CFBDRN CCCCO[C@@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000065686117 626204085 /nfs/dbraw/zinc/20/40/85/626204085.db2.gz YNWKKLYJZKNRHJ-NSHDSACASA-N 0 0 281.308 2.843 20 5 CFBDRN CCOc1ccc(C(=O)O[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000066546594 626221954 /nfs/dbraw/zinc/22/19/54/626221954.db2.gz LSGAWEOXAGYIOK-SECBINFHSA-N 0 0 279.292 2.949 20 5 CFBDRN O=C(OC[C@H]1C[C@@H]2[C@H](C1)C2(F)F)c1ccc([N+](=O)[O-])o1 ZINC000922175737 626231021 /nfs/dbraw/zinc/23/10/21/626231021.db2.gz URSQLTSCJARBBG-RNLVFQAGSA-N 0 0 287.218 2.636 20 5 CFBDRN COC[C@H](COC(=O)c1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000922393660 626283378 /nfs/dbraw/zinc/28/33/78/626283378.db2.gz RTFXSKKLABNITA-SNVBAGLBSA-N 0 0 299.298 2.809 20 5 CFBDRN C[C@@H](NCc1cn(C2CC2)cn1)c1ccc([N+](=O)[O-])cc1 ZINC000922540230 626306451 /nfs/dbraw/zinc/30/64/51/626306451.db2.gz HVQBVZVLEPICED-LLVKDONJSA-N 0 0 286.335 2.977 20 5 CFBDRN C[C@@H](NC(=O)NCCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000922556308 626310545 /nfs/dbraw/zinc/31/05/45/626310545.db2.gz FKMUUYXTYGZLLV-SNVBAGLBSA-N 0 0 283.303 2.705 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccc(F)n1 ZINC000162264454 626398929 /nfs/dbraw/zinc/39/89/29/626398929.db2.gz LDFGUKWTNXCFJW-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cn1nc(C2CC2)cc1CNCc1csc([N+](=O)[O-])c1 ZINC000922947278 626430726 /nfs/dbraw/zinc/43/07/26/626430726.db2.gz GDVQCGOWIWXOOL-UHFFFAOYSA-N 0 0 292.364 2.557 20 5 CFBDRN C[C@H](C(=O)OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000923053432 626446830 /nfs/dbraw/zinc/44/68/30/626446830.db2.gz WZERHJINJLYDIF-TVQRCGJNSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H](C)[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000923061019 626447415 /nfs/dbraw/zinc/44/74/15/626447415.db2.gz UBFAQUWNLQKQLK-FZMZJTMJSA-N 0 0 293.319 2.762 20 5 CFBDRN O=[N+]([O-])c1c(NC[C@@H]2CCCS2)nc2ccccn21 ZINC000756970619 626480578 /nfs/dbraw/zinc/48/05/78/626480578.db2.gz AFBFXBHWWVNKLA-VIFPVBQESA-N 0 0 278.337 2.550 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000775068458 626483262 /nfs/dbraw/zinc/48/32/62/626483262.db2.gz WYSYCBZHHVNQHO-QMTHXVAHSA-N 0 0 280.324 2.772 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2C[C@]2(C)C(C)C)c(N)c([N+](=O)[O-])c1 ZINC000838041660 626484342 /nfs/dbraw/zinc/48/43/42/626484342.db2.gz NOBRTSJWKWXHCI-SWLSCSKDSA-N 0 0 291.351 2.650 20 5 CFBDRN CC/C(C)=C/C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000838042537 626484498 /nfs/dbraw/zinc/48/44/98/626484498.db2.gz XTHJYGSFHKWYAX-JXMROGBWSA-N 0 0 277.276 2.677 20 5 CFBDRN C[C@@H](OC(=O)CC1(O)CCC1)c1ccccc1[N+](=O)[O-] ZINC000838211932 626488855 /nfs/dbraw/zinc/48/88/55/626488855.db2.gz GYXSDVPKAJVQQD-SNVBAGLBSA-N 0 0 279.292 2.504 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)CC1(O)CCC1 ZINC000838212436 626488873 /nfs/dbraw/zinc/48/88/73/626488873.db2.gz UNMYLJVZUMYBEJ-LLVKDONJSA-N 0 0 293.319 2.813 20 5 CFBDRN Nc1ccc(C(=O)NC2(C3CCC3)CCC2)cc1[N+](=O)[O-] ZINC000838187223 626489239 /nfs/dbraw/zinc/48/92/39/626489239.db2.gz KZTZOTQMUAINAW-UHFFFAOYSA-N 0 0 289.335 2.630 20 5 CFBDRN O=C(OCC1CCCCC1)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838279935 626492609 /nfs/dbraw/zinc/49/26/09/626492609.db2.gz BYEGYBDIPNAZRA-CQSZACIVSA-N 0 0 293.319 2.752 20 5 CFBDRN C/C=C(/C)COC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000842845668 626500872 /nfs/dbraw/zinc/50/08/72/626500872.db2.gz KAXIAUQDLPKATL-WTKPLQERSA-N 0 0 265.265 2.726 20 5 CFBDRN C[C@H](NC(=O)N1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000838627708 626502217 /nfs/dbraw/zinc/50/22/17/626502217.db2.gz UVXBCBCZXDMTHT-SUHUHFCYSA-N 0 0 289.335 2.850 20 5 CFBDRN CC1(c2nccc(NC(=O)c3cccc([N+](=O)[O-])c3)n2)CC1 ZINC000788121745 626514095 /nfs/dbraw/zinc/51/40/95/626514095.db2.gz OOTVFRDXKAYWRR-UHFFFAOYSA-N 0 0 298.302 2.689 20 5 CFBDRN CC(C)c1cccc(CC(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000838973628 626517839 /nfs/dbraw/zinc/51/78/39/626517839.db2.gz YPUCTADGNFCKQL-LLVKDONJSA-N 0 0 265.309 2.561 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000839223507 626531674 /nfs/dbraw/zinc/53/16/74/626531674.db2.gz JRLJEVCRAZATCU-VXNVDRBHSA-N 0 0 252.245 2.719 20 5 CFBDRN CCCc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000839228269 626531734 /nfs/dbraw/zinc/53/17/34/626531734.db2.gz ZXQBVDGNTCNGBI-UHFFFAOYSA-N 0 0 289.291 2.812 20 5 CFBDRN CC[C@@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C(F)F ZINC000839401645 626535447 /nfs/dbraw/zinc/53/54/47/626535447.db2.gz SKECJDOWIOQGJV-MRVPVSSYSA-N 0 0 294.204 2.647 20 5 CFBDRN CC[C@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])C(F)F ZINC000839401647 626535473 /nfs/dbraw/zinc/53/54/73/626535473.db2.gz SKECJDOWIOQGJV-QMMMGPOBSA-N 0 0 294.204 2.647 20 5 CFBDRN O=C(OCCCC1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000789555776 626539886 /nfs/dbraw/zinc/53/98/86/626539886.db2.gz KWFATGMZCVVYGM-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN CCn1cc(CN(C)c2c(F)cc([N+](=O)[O-])cc2F)cn1 ZINC000749320336 626540649 /nfs/dbraw/zinc/54/06/49/626540649.db2.gz MTBFDHZVQCSVGE-UHFFFAOYSA-N 0 0 296.277 2.726 20 5 CFBDRN Cc1cccc(OC(=O)OC[C@@H]2CCCO2)c1[N+](=O)[O-] ZINC000805048848 626541588 /nfs/dbraw/zinc/54/15/88/626541588.db2.gz SGJYARFZCFNOKQ-JTQLQIEISA-N 0 0 281.264 2.598 20 5 CFBDRN CC(C)(C)OCCCOC(=O)c1ccc([N+](=O)[O-])o1 ZINC000757022351 626543618 /nfs/dbraw/zinc/54/36/18/626543618.db2.gz GFDIEJGTNJOXNV-UHFFFAOYSA-N 0 0 271.269 2.550 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCc2c(F)cccc2[N+](=O)[O-])O1 ZINC000757137150 626545693 /nfs/dbraw/zinc/54/56/93/626545693.db2.gz PJIVVIYZDWUNIZ-ZANVPECISA-N 0 0 297.282 2.735 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCC[C@@H]1CC1CCCCC1 ZINC000839605409 626547734 /nfs/dbraw/zinc/54/77/34/626547734.db2.gz WGIIMAIMUFQYAU-MGPQQGTHSA-N 0 0 280.368 2.613 20 5 CFBDRN CCCCN(C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])[C@H](C)c1ccc(C)o1 ZINC000839603045 626547883 /nfs/dbraw/zinc/54/78/83/626547883.db2.gz NXGZNDTYHVIXBM-JHJVBQTASA-N 0 0 294.351 2.943 20 5 CFBDRN CCN[C@@H](c1cccc([N+](=O)[O-])c1F)C(F)(F)F ZINC000839650579 626549482 /nfs/dbraw/zinc/54/94/82/626549482.db2.gz CBHXNXIYTFXHLL-VIFPVBQESA-N 0 0 266.194 2.947 20 5 CFBDRN C[C@@H]1[C@@H](CO)CCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000839669353 626551719 /nfs/dbraw/zinc/55/17/19/626551719.db2.gz OVRVSJVCGLYOCC-GHMZBOCLSA-N 0 0 298.770 2.841 20 5 CFBDRN CON(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000757654944 626557346 /nfs/dbraw/zinc/55/73/46/626557346.db2.gz FLGGBRDKSWEBGB-FLIBITNWSA-N 0 0 290.319 2.941 20 5 CFBDRN O=C(OC[C@@H]1CC12CCOCC2)c1cccc([N+](=O)[O-])c1 ZINC000758014415 626572775 /nfs/dbraw/zinc/57/27/75/626572775.db2.gz IXPZGFQAKBJZQI-LBPRGKRZSA-N 0 0 291.303 2.568 20 5 CFBDRN Cc1cc(O)ccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000758528768 626597101 /nfs/dbraw/zinc/59/71/01/626597101.db2.gz CBKYXPWOGJJKCW-UHFFFAOYSA-N 0 0 287.271 2.966 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ncc(F)cc1F ZINC000758600948 626599436 /nfs/dbraw/zinc/59/94/36/626599436.db2.gz UOIMMZLNONBNDK-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1ncc(F)cc1F ZINC000758756885 626606938 /nfs/dbraw/zinc/60/69/38/626606938.db2.gz HIMQHOLWBKHONZ-UHFFFAOYSA-N 0 0 298.176 2.626 20 5 CFBDRN COC(C)(C)C[N@H+](C)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000758812173 626609617 /nfs/dbraw/zinc/60/96/17/626609617.db2.gz IMHJEODSHORCAP-UHFFFAOYSA-N 0 0 270.304 2.591 20 5 CFBDRN Cc1ccc(COC(=O)c2cccc([N+](=O)[O-])c2N)cc1 ZINC000758953968 626620205 /nfs/dbraw/zinc/62/02/05/626620205.db2.gz IQNFVFHELXCYPJ-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN Nc1c(C(=O)OCc2cccc(F)c2)cccc1[N+](=O)[O-] ZINC000758955769 626620216 /nfs/dbraw/zinc/62/02/16/626620216.db2.gz GMYVZJZIVJBPKQ-UHFFFAOYSA-N 0 0 290.250 2.673 20 5 CFBDRN CCC(=O)CCCOC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000759437151 626658851 /nfs/dbraw/zinc/65/88/51/626658851.db2.gz QDTPHTKMSVBGPZ-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN COCCC(C)(C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000759676974 626682990 /nfs/dbraw/zinc/68/29/90/626682990.db2.gz LGRIFULWQPLKSQ-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000759699985 626684808 /nfs/dbraw/zinc/68/48/08/626684808.db2.gz IPJVTYXEYVOWBM-NXEZZACHSA-N 0 0 295.295 2.730 20 5 CFBDRN CC(C)=CC(=O)O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000759930548 626702639 /nfs/dbraw/zinc/70/26/39/626702639.db2.gz JNOPNFIRRFTVSS-RYUDHWBXSA-N 0 0 293.323 2.784 20 5 CFBDRN Cc1cncc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1 ZINC000760265122 626726688 /nfs/dbraw/zinc/72/66/88/626726688.db2.gz TYEAGADRLRJBQL-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN CC(C)Oc1cccc(CNc2ncc([N+](=O)[O-])cn2)c1 ZINC000760484308 626750353 /nfs/dbraw/zinc/75/03/53/626750353.db2.gz IOKXLKSSNSTKMC-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cn1)c1nc2ccccc2o1 ZINC000760548715 626754862 /nfs/dbraw/zinc/75/48/62/626754862.db2.gz FNPPTUPQMAMOCI-VIFPVBQESA-N 0 0 299.290 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H](C(F)(F)F)C2)cc1 ZINC000761043078 626792716 /nfs/dbraw/zinc/79/27/16/626792716.db2.gz SZYLNAMLCULWHQ-JTQLQIEISA-N 0 0 274.242 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(CN3CC[C@H]4C[C@H]43)co2)cc1 ZINC000761100238 626804525 /nfs/dbraw/zinc/80/45/25/626804525.db2.gz YLXQLAIIYAWWNR-SMDDNHRTSA-N 0 0 285.303 2.844 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000761472720 626838557 /nfs/dbraw/zinc/83/85/57/626838557.db2.gz YANAQLXHFZQQFL-PWSUYJOCSA-N 0 0 291.303 2.643 20 5 CFBDRN O=C(OCCOc1ccccc1[N+](=O)[O-])C1=CCCC1 ZINC000761547529 626844649 /nfs/dbraw/zinc/84/46/49/626844649.db2.gz LJKNDVZBVZLIHQ-UHFFFAOYSA-N 0 0 277.276 2.627 20 5 CFBDRN COc1cc(C)ccc1OC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000761836660 626863139 /nfs/dbraw/zinc/86/31/39/626863139.db2.gz ZZKNMTXHQIYTEF-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CCc1ccc(COC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000761987547 626877417 /nfs/dbraw/zinc/87/74/17/626877417.db2.gz UTFSPHQBISZJPO-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])cn2)c(C)c1 ZINC000762013595 626880806 /nfs/dbraw/zinc/88/08/06/626880806.db2.gz CQZHQJPCDBBNJC-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000762071014 626886641 /nfs/dbraw/zinc/88/66/41/626886641.db2.gz UUTQHLBASZUCRQ-MWLCHTKSSA-N 0 0 281.333 2.952 20 5 CFBDRN O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CCSC1 ZINC000762075900 626887082 /nfs/dbraw/zinc/88/70/82/626887082.db2.gz XCIAXNULKKEKGE-ZETCQYMHSA-N 0 0 287.724 2.907 20 5 CFBDRN Cc1ccncc1COC(=O)c1ccccc1[N+](=O)[O-] ZINC000762112817 626892386 /nfs/dbraw/zinc/89/23/86/626892386.db2.gz OZHXCOXHHNDUKR-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1ccncc1COC(=O)c1cccc([N+](=O)[O-])c1 ZINC000762129393 626894698 /nfs/dbraw/zinc/89/46/98/626894698.db2.gz BLWYONSBPHWESW-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN COc1ccc(C(=O)OC[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000762134769 626895549 /nfs/dbraw/zinc/89/55/49/626895549.db2.gz KBJKLVRCNAHRDJ-SECBINFHSA-N 0 0 297.332 2.513 20 5 CFBDRN C[C@@H](C(=O)Nc1cc(Cl)[nH]n1)c1cccc([N+](=O)[O-])c1 ZINC000762140612 626896090 /nfs/dbraw/zinc/89/60/90/626896090.db2.gz HAEKKJXLABXXMW-SSDOTTSWSA-N 0 0 294.698 2.714 20 5 CFBDRN Cc1cccc(C(=O)OC[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000762141754 626896663 /nfs/dbraw/zinc/89/66/63/626896663.db2.gz CDXWUPXJKUJZLV-SNVBAGLBSA-N 0 0 281.333 2.813 20 5 CFBDRN C[C@H]1CC[C@@H](COC(=O)c2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000762182770 626901717 /nfs/dbraw/zinc/90/17/17/626901717.db2.gz REJVGFYFRXJEFI-WPRPVWTQSA-N 0 0 299.710 2.973 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000762189357 626901929 /nfs/dbraw/zinc/90/19/29/626901929.db2.gz QLHQAEWHJZWNBC-WDEREUQCSA-N 0 0 287.319 2.904 20 5 CFBDRN O=C1O[C@H](Cn2ccc3c2cccc3[N+](=O)[O-])CC12CC2 ZINC000762212643 626904114 /nfs/dbraw/zinc/90/41/14/626904114.db2.gz SPINKFMNGBQPHX-JTQLQIEISA-N 0 0 286.287 2.645 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CCSC1 ZINC000762262694 626908311 /nfs/dbraw/zinc/90/83/11/626908311.db2.gz GMTBMGUYZOEERJ-SSDOTTSWSA-N 0 0 286.740 2.940 20 5 CFBDRN COc1ccc(C(=O)OCCCCC(C)=O)cc1[N+](=O)[O-] ZINC000762825162 626941225 /nfs/dbraw/zinc/94/12/25/626941225.db2.gz SVSOGJGCEKICLB-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CCOC(=O)[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])C(C)(C)C ZINC000763071103 626961947 /nfs/dbraw/zinc/96/19/47/626961947.db2.gz HYJVVIGUIGYUHH-LLVKDONJSA-N 0 0 295.339 2.688 20 5 CFBDRN C[C@@H]1N(c2ccc([N+](=O)[O-])cc2)CCOC1(C)C ZINC000763375532 626984185 /nfs/dbraw/zinc/98/41/85/626984185.db2.gz IQSXEILERFNJMA-JTQLQIEISA-N 0 0 250.298 2.599 20 5 CFBDRN CCSCCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763401388 626985769 /nfs/dbraw/zinc/98/57/69/626985769.db2.gz FVPKVSYAAVJTGK-JTQLQIEISA-N 0 0 283.349 2.995 20 5 CFBDRN C[C@H](OC(=O)[C@H]1C[C@@]12CCOC2)c1cccc([N+](=O)[O-])c1 ZINC000763403294 626985865 /nfs/dbraw/zinc/98/58/65/626985865.db2.gz LDZMMWIRNSRFLQ-PSOPSSQASA-N 0 0 291.303 2.626 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763399576 626985892 /nfs/dbraw/zinc/98/58/92/626985892.db2.gz QVUOYRDLHREGAA-GHMZBOCLSA-N 0 0 293.319 2.857 20 5 CFBDRN CCSCCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763401389 626985926 /nfs/dbraw/zinc/98/59/26/626985926.db2.gz FVPKVSYAAVJTGK-SNVBAGLBSA-N 0 0 283.349 2.995 20 5 CFBDRN CC(C)OCCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763407373 626986338 /nfs/dbraw/zinc/98/63/38/626986338.db2.gz ZNEHSWCBAICXRF-LLVKDONJSA-N 0 0 281.308 2.667 20 5 CFBDRN O=C(Nc1ccnn1CC1CC1)c1csc([N+](=O)[O-])c1 ZINC000763785503 627013474 /nfs/dbraw/zinc/01/34/74/627013474.db2.gz JDWNBTLJIOOEJO-UHFFFAOYSA-N 0 0 292.320 2.515 20 5 CFBDRN Nc1cc([N+](=O)[O-])ccc1C(=O)OC/C(Cl)=C/Cl ZINC000763843247 627017024 /nfs/dbraw/zinc/01/70/24/627017024.db2.gz URPMGCOBDSLLAM-XQRVVYSFSA-N 0 0 291.090 2.653 20 5 CFBDRN CS/C=C\C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000764080441 627036383 /nfs/dbraw/zinc/03/63/83/627036383.db2.gz TZARSMKDJNHTON-HJWRWDBZSA-N 0 0 292.360 2.963 20 5 CFBDRN C[C@@H](C[C@H](O)c1ccco1)Nc1ccc([N+](=O)[O-])nc1 ZINC000764167839 627042777 /nfs/dbraw/zinc/04/27/77/627042777.db2.gz ZBOMZBCISJRTQG-ONGXEEELSA-N 0 0 277.280 2.507 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)C2CC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000764385391 627055471 /nfs/dbraw/zinc/05/54/71/627055471.db2.gz WXGVBIREOMCUHN-MRVPVSSYSA-N 0 0 294.263 2.767 20 5 CFBDRN Cc1cnc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])nc1 ZINC000764403157 627057642 /nfs/dbraw/zinc/05/76/42/627057642.db2.gz ZBZDYISYTVUZMO-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN COCC(C)(C)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000764597647 627074261 /nfs/dbraw/zinc/07/42/61/627074261.db2.gz NIXRYOWSSFOBSS-SNVBAGLBSA-N 0 0 281.308 2.872 20 5 CFBDRN COC(C)(C)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000764594943 627074533 /nfs/dbraw/zinc/07/45/33/627074533.db2.gz CXXTXOMIXZGIHM-SECBINFHSA-N 0 0 267.281 2.624 20 5 CFBDRN CS/C=C/c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000764745137 627089625 /nfs/dbraw/zinc/08/96/25/627089625.db2.gz UKNXZWUDBLTYRK-VOTSOKGWSA-N 0 0 263.278 2.979 20 5 CFBDRN CCC/C=C\C(=O)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000764862817 627102921 /nfs/dbraw/zinc/10/29/21/627102921.db2.gz VUBWXWVQVINUTM-WAYWQWQTSA-N 0 0 293.275 2.643 20 5 CFBDRN CC(C)(O)CCOC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000765440039 627137766 /nfs/dbraw/zinc/13/77/66/627137766.db2.gz ZXRQRXLHXLZYNS-UHFFFAOYSA-N 0 0 293.275 2.659 20 5 CFBDRN CNc1c(C(=O)OCCCOC(C)C)cccc1[N+](=O)[O-] ZINC000765519615 627144797 /nfs/dbraw/zinc/14/47/97/627144797.db2.gz UBRTZENLGOXMIH-UHFFFAOYSA-N 0 0 296.323 2.608 20 5 CFBDRN CCN1C[C@H](C)N(c2c(F)cc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000765636632 627151815 /nfs/dbraw/zinc/15/18/15/627151815.db2.gz SJKODPWEIBXCSX-ZJUUUORDSA-N 0 0 299.321 2.792 20 5 CFBDRN COc1cc(COC(=O)C2=CCCC2)c([N+](=O)[O-])cc1F ZINC000766214541 627184856 /nfs/dbraw/zinc/18/48/56/627184856.db2.gz FILLOYBHZBCEOV-UHFFFAOYSA-N 0 0 295.266 2.896 20 5 CFBDRN O=C(OCC(F)F)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000766225984 627186193 /nfs/dbraw/zinc/18/61/93/627186193.db2.gz YVVZPTAXCOLMJJ-UHFFFAOYSA-N 0 0 282.202 2.565 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OC[C@H]1CCCOC1 ZINC000766296573 627192057 /nfs/dbraw/zinc/19/20/57/627192057.db2.gz AUPLGFGNDKFXSK-LJLILKBBSA-N 0 0 291.303 2.578 20 5 CFBDRN CCC[C@H](CC)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000766401525 627199609 /nfs/dbraw/zinc/19/96/09/627199609.db2.gz FDHJYSKFUXNCGJ-JTQLQIEISA-N 0 0 254.286 2.669 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CCOC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000766398940 627199649 /nfs/dbraw/zinc/19/96/49/627199649.db2.gz KXNYBBGCTZELMS-SUNKGSAMSA-N 0 0 293.319 2.872 20 5 CFBDRN CCC[C@@H](CC)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000766401530 627199876 /nfs/dbraw/zinc/19/98/76/627199876.db2.gz FDHJYSKFUXNCGJ-SNVBAGLBSA-N 0 0 254.286 2.669 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCc1ccccc1O)CCN2 ZINC000767189867 627238496 /nfs/dbraw/zinc/23/84/96/627238496.db2.gz JESLIXGHCFQTKC-UHFFFAOYSA-N 0 0 285.303 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCc3cccnn3)sc2c1 ZINC000767398641 627247884 /nfs/dbraw/zinc/24/78/84/627247884.db2.gz LEYLRKDLBJFAKM-UHFFFAOYSA-N 0 0 287.304 2.607 20 5 CFBDRN Cn1ccnc1[C@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000767458418 627253005 /nfs/dbraw/zinc/25/30/05/627253005.db2.gz HKOHWLXFUXKPRB-JTQLQIEISA-N 0 0 292.364 2.774 20 5 CFBDRN CC/C=C\CCOC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000767566860 627262439 /nfs/dbraw/zinc/26/24/39/627262439.db2.gz RKFABHPFQVGLAJ-ARJAWSKDSA-N 0 0 282.271 2.829 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1cccc2nsnc21 ZINC000768164581 627322085 /nfs/dbraw/zinc/32/20/85/627322085.db2.gz LUGAAQRPLNOTJE-UHFFFAOYSA-N 0 0 288.288 2.574 20 5 CFBDRN C[C@@H]1C[N@H+](C)[C@H](C)CN1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000768771933 627370893 /nfs/dbraw/zinc/37/08/93/627370893.db2.gz MCXPHKZOCURHLT-GHMZBOCLSA-N 0 0 297.786 2.773 20 5 CFBDRN C[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)[C@@H](C)C[N@@H+]1C ZINC000768773172 627371076 /nfs/dbraw/zinc/37/10/76/627371076.db2.gz PTIONFZKFNKIOV-MNOVXSKESA-N 0 0 297.786 2.773 20 5 CFBDRN CS/C=C\C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000768946030 627389082 /nfs/dbraw/zinc/38/90/82/627389082.db2.gz UTVRKPILFZACPL-FPLPWBNLSA-N 0 0 292.360 2.963 20 5 CFBDRN COc1cccc(C(=O)OCCC(C)(C)OC)c1[N+](=O)[O-] ZINC000769599771 627460000 /nfs/dbraw/zinc/46/00/00/627460000.db2.gz HITMTYXJAGXEHH-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN CCc1nn(C)c(NCc2c(C)cccc2F)c1[N+](=O)[O-] ZINC000769908575 627486389 /nfs/dbraw/zinc/48/63/89/627486389.db2.gz YIABPUQWAUGOAL-UHFFFAOYSA-N 0 0 292.314 2.950 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)Cc1ncc[nH]1 ZINC000770103687 627502434 /nfs/dbraw/zinc/50/24/34/627502434.db2.gz QERQVAQIDPGCQL-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN CCC[C@H](C)c1noc(CCn2cc([N+](=O)[O-])nc2C)n1 ZINC000770876697 627553183 /nfs/dbraw/zinc/55/31/83/627553183.db2.gz USVYYEOODZAIHN-VIFPVBQESA-N 0 0 293.327 2.629 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2cccc([N+](=O)[O-])c2)o1 ZINC000770907739 627556933 /nfs/dbraw/zinc/55/69/33/627556933.db2.gz DOAMWIVZDKFUQM-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN O=C(OCc1cccc2nccn21)c1ccccc1[N+](=O)[O-] ZINC000770921884 627558758 /nfs/dbraw/zinc/55/87/58/627558758.db2.gz ILXPCQQRKZWUAC-UHFFFAOYSA-N 0 0 297.270 2.600 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2ccc([N+](=O)[O-])n2C)C[C@H]1C ZINC000770997554 627567668 /nfs/dbraw/zinc/56/76/68/627567668.db2.gz XAPFXBZXYFRENF-MXWKQRLJSA-N 0 0 280.324 2.915 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2ccc(F)cc2[N+](=O)[O-])o1 ZINC000771001475 627568386 /nfs/dbraw/zinc/56/83/86/627568386.db2.gz DQLCIWDQYBANLY-QMMMGPOBSA-N 0 0 294.238 2.948 20 5 CFBDRN C[C@H]1CCC[C@H](COC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000771005206 627568994 /nfs/dbraw/zinc/56/89/94/627568994.db2.gz JAAWLVFOIMNJQB-QWRGUYRKSA-N 0 0 278.308 2.973 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2C[C@@H]2C)c1 ZINC000771081006 627575631 /nfs/dbraw/zinc/57/56/31/627575631.db2.gz UYUBUSSIOUMFRT-YUMQZZPRSA-N 0 0 254.261 2.810 20 5 CFBDRN Cc1ccc(-c2noc(-c3cccc([N+](=O)[O-])c3)n2)c(=O)[nH]1 ZINC000771130557 627580161 /nfs/dbraw/zinc/58/01/61/627580161.db2.gz IFWYCKNFZBXWLP-UHFFFAOYSA-N 0 0 298.258 2.721 20 5 CFBDRN CC(C)(C)CC(=O)COC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000805420678 627583313 /nfs/dbraw/zinc/58/33/13/627583313.db2.gz JUKILARKIOXRPH-UHFFFAOYSA-N 0 0 297.282 2.896 20 5 CFBDRN COCCC1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000771481578 627608020 /nfs/dbraw/zinc/60/80/20/627608020.db2.gz PSMZRLRAFUOTBW-UHFFFAOYSA-N 0 0 297.282 2.846 20 5 CFBDRN Cc1cnc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])s1 ZINC000771940467 627652194 /nfs/dbraw/zinc/65/21/94/627652194.db2.gz OUHKQWOCGBAPLQ-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1ccc(COC(=O)c2cccc([N+](=O)[O-])c2C)nc1 ZINC000772243322 627690193 /nfs/dbraw/zinc/69/01/93/627690193.db2.gz KWPPXGVEPXLHSP-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2F)nc1 ZINC000772264036 627693761 /nfs/dbraw/zinc/69/37/61/627693761.db2.gz YNTUNIXSABYJKX-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cnc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)nc1 ZINC000772302671 627699198 /nfs/dbraw/zinc/69/91/98/627699198.db2.gz KZBCTHCUDKWYOP-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc(C)nc2)cc([N+](=O)[O-])c1 ZINC000772669166 627733108 /nfs/dbraw/zinc/73/31/08/627733108.db2.gz HYKIOTFQRIOPDK-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN O=C(COC(=O)C1(F)CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000772775915 627743626 /nfs/dbraw/zinc/74/36/26/627743626.db2.gz BYRRHTMKKKUQCX-UHFFFAOYSA-N 0 0 295.266 2.603 20 5 CFBDRN CCOC(=O)CN(CC(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000773233844 627798068 /nfs/dbraw/zinc/79/80/68/627798068.db2.gz ZBGXQHXWGCLRAA-UHFFFAOYSA-N 0 0 298.314 2.759 20 5 CFBDRN COCC1(C)CCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000773253763 627799520 /nfs/dbraw/zinc/79/95/20/627799520.db2.gz YZURKDUVACQQCE-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NN1[C@H](C)CCC[C@H]1C ZINC000773397109 627813752 /nfs/dbraw/zinc/81/37/52/627813752.db2.gz XHJKOSFFOVFQAM-VXGBXAGGSA-N 0 0 291.351 2.811 20 5 CFBDRN O=C(NOc1ccccc1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000773463655 627822550 /nfs/dbraw/zinc/82/25/50/627822550.db2.gz DKHZZESABJXKLN-UHFFFAOYSA-N 0 0 292.678 2.972 20 5 CFBDRN Cc1ccncc1[C@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000773934459 627871491 /nfs/dbraw/zinc/87/14/91/627871491.db2.gz RWQRRGMDZACKDV-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CSC[C@H](C)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773992920 627876349 /nfs/dbraw/zinc/87/63/49/627876349.db2.gz JHASJZRABUVTFA-JTQLQIEISA-N 0 0 294.376 2.791 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CSC(C)C)CC2 ZINC000773989474 627876936 /nfs/dbraw/zinc/87/69/36/627876936.db2.gz SRHVOCUWVVANNC-UHFFFAOYSA-N 0 0 294.376 2.934 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1CC=CC1)CC2 ZINC000773996656 627877352 /nfs/dbraw/zinc/87/73/52/627877352.db2.gz NMLMEXWNVBXXBD-UHFFFAOYSA-N 0 0 272.304 2.759 20 5 CFBDRN C[C@@H](OC(=O)c1nccs1)c1ccccc1[N+](=O)[O-] ZINC000774064255 627883663 /nfs/dbraw/zinc/88/36/63/627883663.db2.gz MHVGFRQFXBUEOR-MRVPVSSYSA-N 0 0 278.289 2.969 20 5 CFBDRN CCC(C)(C)NC(=S)NNc1ccccc1[N+](=O)[O-] ZINC000774140296 627893596 /nfs/dbraw/zinc/89/35/96/627893596.db2.gz XIXAGNCPZFHVRQ-UHFFFAOYSA-N 0 0 282.369 2.574 20 5 CFBDRN Cc1cc(C(=O)OCCc2ccccc2[N+](=O)[O-])ns1 ZINC000774148831 627893853 /nfs/dbraw/zinc/89/38/53/627893853.db2.gz SZXZYOHKVDAALZ-UHFFFAOYSA-N 0 0 292.316 2.759 20 5 CFBDRN COc1cccc(C(=O)OCC2CC=CC2)c1[N+](=O)[O-] ZINC000774160201 627896401 /nfs/dbraw/zinc/89/64/01/627896401.db2.gz UIASLGZMWAVGRX-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN CC(C)[C@H]1C[C@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000774216370 627904772 /nfs/dbraw/zinc/90/47/72/627904772.db2.gz SJVYQYQBAMKUDR-VXGBXAGGSA-N 0 0 267.256 2.931 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000774216367 627904724 /nfs/dbraw/zinc/90/47/24/627904724.db2.gz SJVYQYQBAMKUDR-RYUDHWBXSA-N 0 0 267.256 2.931 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C(C)(C)F)CC2 ZINC000774222407 627905380 /nfs/dbraw/zinc/90/53/80/627905380.db2.gz JVTQJYSPBUOGDP-UHFFFAOYSA-N 0 0 266.272 2.540 20 5 CFBDRN COC(=O)CCN(CC(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000774533857 627935846 /nfs/dbraw/zinc/93/58/46/627935846.db2.gz HOYPYZCKFKCZMN-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN CC[C@H](C)NC(=S)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000774581238 627939038 /nfs/dbraw/zinc/93/90/38/627939038.db2.gz MIXFNNPVXLZXOV-UWVGGRQHSA-N 0 0 281.381 2.918 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000774720403 627952272 /nfs/dbraw/zinc/95/22/72/627952272.db2.gz SHHUARGLBIKAHV-GHMZBOCLSA-N 0 0 279.292 2.567 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C[C@@H]2CCOC2)c1 ZINC000774734856 627953953 /nfs/dbraw/zinc/95/39/53/627953953.db2.gz ORLBOTYJMMEMOJ-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C3CCC2CC3)c([N+](=O)[O-])c1 ZINC000774827651 627967626 /nfs/dbraw/zinc/96/76/26/627967626.db2.gz SHIQETFPESPKCV-UHFFFAOYSA-N 0 0 277.280 2.630 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1COC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000774851325 627970862 /nfs/dbraw/zinc/97/08/62/627970862.db2.gz QBPRGTCTMLNSDR-JSGCOSHPSA-N 0 0 277.320 2.973 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1COC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000774853089 627971517 /nfs/dbraw/zinc/97/15/17/627971517.db2.gz UQVQGEWNDOOXKH-STQMWFEESA-N 0 0 277.320 2.973 20 5 CFBDRN CC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C ZINC000775088772 627992765 /nfs/dbraw/zinc/99/27/65/627992765.db2.gz XACVSFYLXGGGBK-UHFFFAOYSA-N 0 0 297.332 2.841 20 5 CFBDRN Cc1ccc(CC(=O)OCCc2ccccc2[N+](=O)[O-])o1 ZINC000775254956 628004343 /nfs/dbraw/zinc/00/43/43/628004343.db2.gz LUXDYMMXHRHTBU-UHFFFAOYSA-N 0 0 289.287 2.825 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H](O)c1ccccc1 ZINC000775664623 628052365 /nfs/dbraw/zinc/05/23/65/628052365.db2.gz NKWDYNAVOZPTNU-NSHDSACASA-N 0 0 264.306 2.802 20 5 CFBDRN Cn1nccc1[C@H]1CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000775668463 628052443 /nfs/dbraw/zinc/05/24/43/628052443.db2.gz AEYJTEQXFWKAFH-JTQLQIEISA-N 0 0 292.364 2.774 20 5 CFBDRN Cc1cccc(NCCNc2sccc2[N+](=O)[O-])n1 ZINC000775677476 628054220 /nfs/dbraw/zinc/05/42/20/628054220.db2.gz ZGRBTXDFARRYGG-UHFFFAOYSA-N 0 0 278.337 2.884 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1cccc(F)n1 ZINC000775680649 628054772 /nfs/dbraw/zinc/05/47/72/628054772.db2.gz HCVDAOXQZXBRDH-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000775976618 628089355 /nfs/dbraw/zinc/08/93/55/628089355.db2.gz HZRQEAPWGUFQHS-NSHDSACASA-N 0 0 266.272 2.604 20 5 CFBDRN Cc1ccc(OC(=O)c2ccn(C(F)F)n2)c([N+](=O)[O-])c1 ZINC000776081976 628099862 /nfs/dbraw/zinc/09/98/62/628099862.db2.gz SAIYKGBOFNYRTB-UHFFFAOYSA-N 0 0 297.217 2.714 20 5 CFBDRN COc1cccc(OC[C@@H](O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000776274576 628125231 /nfs/dbraw/zinc/12/52/31/628125231.db2.gz AEYXTSFOGBNLNW-OAHLLOKOSA-N 0 0 289.287 2.716 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000776287955 628126575 /nfs/dbraw/zinc/12/65/75/628126575.db2.gz DVDIMSFYSCXFFK-UWVGGRQHSA-N 0 0 279.292 2.945 20 5 CFBDRN Cc1c(COC(=O)[C@H]2[C@@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000776699469 628169315 /nfs/dbraw/zinc/16/93/15/628169315.db2.gz CMJGBNNXKWKJCJ-IMRBUKKESA-N 0 0 275.304 2.993 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1coc(C2CC2)n1 ZINC000776723519 628170920 /nfs/dbraw/zinc/17/09/20/628170920.db2.gz BWPBVGPLIVXOBG-UHFFFAOYSA-N 0 0 274.232 2.679 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCCc1ccoc1 ZINC000777377984 628218656 /nfs/dbraw/zinc/21/86/56/628218656.db2.gz KMXNBGRTHNEPBT-UHFFFAOYSA-N 0 0 275.260 2.896 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)OCCc1ccoc1 ZINC000777383799 628220906 /nfs/dbraw/zinc/22/09/06/628220906.db2.gz WVISZMNIESPOEY-UHFFFAOYSA-N 0 0 275.260 2.516 20 5 CFBDRN Cc1nsc(NCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000777505730 628231233 /nfs/dbraw/zinc/23/12/33/628231233.db2.gz YMGDMJPNNFBAHX-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN Cc1nn(Cc2ccc(CF)cc2)c(C)c1[N+](=O)[O-] ZINC000777529622 628233151 /nfs/dbraw/zinc/23/31/51/628233151.db2.gz CZJRGFXVBGNSBN-UHFFFAOYSA-N 0 0 263.272 2.926 20 5 CFBDRN CC(C)(O)CCNc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000777599812 628240306 /nfs/dbraw/zinc/24/03/06/628240306.db2.gz LXRYKAWHLJYGGZ-UHFFFAOYSA-N 0 0 258.705 2.821 20 5 CFBDRN CC1(C)[C@H](Nc2nc3ccc([N+](=O)[O-])cc3s2)C[C@@H]1O ZINC000777806964 628253965 /nfs/dbraw/zinc/25/39/65/628253965.db2.gz CJCSBAMVNYMVTK-MNOVXSKESA-N 0 0 293.348 2.776 20 5 CFBDRN CC1(C)CC[C@H](CNc2nc3ccccn3c2[N+](=O)[O-])O1 ZINC000777834746 628255138 /nfs/dbraw/zinc/25/51/38/628255138.db2.gz LRLCWMCQAPEXIY-SNVBAGLBSA-N 0 0 290.323 2.612 20 5 CFBDRN Cc1c(CC(=O)NOC2CCCCC2)cccc1[N+](=O)[O-] ZINC000777959140 628267698 /nfs/dbraw/zinc/26/76/98/628267698.db2.gz WCCNXPLUGOHOHM-UHFFFAOYSA-N 0 0 292.335 2.826 20 5 CFBDRN CC(=O)c1ccc(N2CC[C@@H](C)[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC000778000993 628271909 /nfs/dbraw/zinc/27/19/09/628271909.db2.gz RSLZMARLRPTVGR-SKDRFNHKSA-N 0 0 280.299 2.982 20 5 CFBDRN Cc1ccc(N2CCO[C@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000778010799 628272394 /nfs/dbraw/zinc/27/23/94/628272394.db2.gz YQFHZTLNDGAQMK-AWEZNQCLSA-N 0 0 264.325 2.764 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCO[C@H](C(C)C)C2)c1 ZINC000778008432 628272609 /nfs/dbraw/zinc/27/26/09/628272609.db2.gz GOFOOFNAJUILRU-ZDUSSCGKSA-N 0 0 298.314 2.604 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)COC(C)(C)C ZINC000778051406 628275371 /nfs/dbraw/zinc/27/53/71/628275371.db2.gz BUQGECJLLSSHKK-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN CCCCCOC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000778139799 628280257 /nfs/dbraw/zinc/28/02/57/628280257.db2.gz HCVGMIRYAZDFLN-UHFFFAOYSA-N 0 0 252.270 2.524 20 5 CFBDRN C[C@@H]1CCN(Cc2cc(F)ccc2[N+](=O)[O-])C[C@H]1F ZINC000778234109 628290653 /nfs/dbraw/zinc/29/06/53/628290653.db2.gz KAMWLBQVVKTIGP-BXKDBHETSA-N 0 0 270.279 2.914 20 5 CFBDRN CC(C)[C@@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000778242074 628291589 /nfs/dbraw/zinc/29/15/89/628291589.db2.gz QUMWWUXMBXMXBJ-AWEZNQCLSA-N 0 0 282.315 2.591 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000778359622 628299022 /nfs/dbraw/zinc/29/90/22/628299022.db2.gz OHRVLYKBURKPPY-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCO[C@@H](C)C1 ZINC000778366503 628300609 /nfs/dbraw/zinc/30/06/09/628300609.db2.gz FTRPRAJTCMAIEC-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1cnccn1 ZINC000778743902 628329231 /nfs/dbraw/zinc/32/92/31/628329231.db2.gz ZJEXVEDPBSKXBR-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN C/C=C/C=C\C(=O)OCc1ccc([N+](=O)[O-])c(OC)c1 ZINC000778746189 628329447 /nfs/dbraw/zinc/32/94/47/628329447.db2.gz LWBMBJNEDDUJSE-ICWBMWKASA-N 0 0 277.276 2.779 20 5 CFBDRN O=C(CC1CC1)O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000778785577 628331447 /nfs/dbraw/zinc/33/14/47/628331447.db2.gz AXENGJXRTYAQKV-AWEZNQCLSA-N 0 0 290.319 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@H]1CCOC1 ZINC000778787700 628331596 /nfs/dbraw/zinc/33/15/96/628331596.db2.gz MHWYSEXKWIGTOG-MNOVXSKESA-N 0 0 279.292 2.544 20 5 CFBDRN CC1(C(=O)O[C@H]2CCN(c3ccc([N+](=O)[O-])cc3)C2)CC1 ZINC000778789910 628332127 /nfs/dbraw/zinc/33/21/27/628332127.db2.gz ZCPZIDWOFKLPDJ-ZDUSSCGKSA-N 0 0 290.319 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1CCC[C@@H]1O ZINC000778812721 628333249 /nfs/dbraw/zinc/33/32/49/628333249.db2.gz WGYHHLOHOHTXKF-SUHUHFCYSA-N 0 0 293.319 2.668 20 5 CFBDRN COc1cc(COC(=O)[C@H]2CC23CCC3)ccc1[N+](=O)[O-] ZINC000778819862 628333993 /nfs/dbraw/zinc/33/39/93/628333993.db2.gz LTNRMDAPORCBAH-LLVKDONJSA-N 0 0 291.303 2.837 20 5 CFBDRN COCC1(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000779198099 628359446 /nfs/dbraw/zinc/35/94/46/628359446.db2.gz FEOZQUCQMATAAG-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)CN(C)c2ccccc2[N+](=O)[O-])C1 ZINC000779262867 628366160 /nfs/dbraw/zinc/36/61/60/628366160.db2.gz JHDGBRQJXLWGCQ-NWDGAFQWSA-N 0 0 292.335 2.763 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H]1CC[C@H](C)C1 ZINC000779262912 628366193 /nfs/dbraw/zinc/36/61/93/628366193.db2.gz KGMXXEBUEMWKEB-ONGXEEELSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H]1CCC[C@@H](OC(=O)c2ccc([N+](=O)[O-])cn2)[C@H]1C ZINC000779269886 628367512 /nfs/dbraw/zinc/36/75/12/628367512.db2.gz JHZHBVGYDXXYNB-GBIKHYSHSA-N 0 0 278.308 2.971 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C[C@H]1O ZINC000779426738 628381049 /nfs/dbraw/zinc/38/10/49/628381049.db2.gz HVZXDOAQEWGPDT-BXUZGUMPSA-N 0 0 296.342 2.967 20 5 CFBDRN C[C@H]1CC[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000779470280 628384809 /nfs/dbraw/zinc/38/48/09/628384809.db2.gz STVYAUKRRXYHKS-GXFFZTMASA-N 0 0 263.293 2.869 20 5 CFBDRN Cc1cnc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)c(C)c1 ZINC000779758710 628399865 /nfs/dbraw/zinc/39/98/65/628399865.db2.gz OVOQSLZRXSVXOP-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CC/C(C)=C(/C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000779756161 628400128 /nfs/dbraw/zinc/40/01/28/628400128.db2.gz XQYSJQJGTXCQBF-QXMHVHEDSA-N 0 0 291.351 2.869 20 5 CFBDRN Cc1cnc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c(C)c1 ZINC000779832646 628412673 /nfs/dbraw/zinc/41/26/73/628412673.db2.gz PGXDIHIWJWEARP-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cc1nn(CN2CCCc3sccc3C2)cc1[N+](=O)[O-] ZINC000779860825 628415058 /nfs/dbraw/zinc/41/50/58/628415058.db2.gz JTNCCBKOHNQOID-UHFFFAOYSA-N 0 0 292.364 2.567 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCC[C@@H]1/C=C/c1ccccc1 ZINC000779889305 628416476 /nfs/dbraw/zinc/41/64/76/628416476.db2.gz PLMCTRRNNMVLRL-XVJNWHFHSA-N 0 0 298.346 2.927 20 5 CFBDRN CC/C(C)=C(\C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000779928650 628419135 /nfs/dbraw/zinc/41/91/35/628419135.db2.gz IKISGZZVNUQXMR-VAWYXSNFSA-N 0 0 292.335 2.836 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@@H]1C=CCC1 ZINC000780550590 628474065 /nfs/dbraw/zinc/47/40/65/628474065.db2.gz AENKKYCSOHMWPC-LLVKDONJSA-N 0 0 279.317 2.949 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])O[C@@H]1C=CCC1 ZINC000780587843 628480163 /nfs/dbraw/zinc/48/01/63/628480163.db2.gz VBDYOROYVCVIEQ-LLVKDONJSA-N 0 0 276.292 2.659 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(OC(F)F)C2)c(Cl)c1 ZINC000780599703 628481364 /nfs/dbraw/zinc/48/13/64/628481364.db2.gz LFZMFDKCIZOGND-UHFFFAOYSA-N 0 0 278.642 2.676 20 5 CFBDRN O=C(NC[C@@H]1CCC=CO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000781064584 628517178 /nfs/dbraw/zinc/51/71/78/628517178.db2.gz AKKNTTORSAFQTR-JTQLQIEISA-N 0 0 296.710 2.671 20 5 CFBDRN Cc1cc(COC(=O)[C@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000781172446 628528820 /nfs/dbraw/zinc/52/88/20/628528820.db2.gz XPGZCVBWFWUYNT-LLVKDONJSA-N 0 0 263.293 2.993 20 5 CFBDRN Cc1cncc(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000781170825 628528948 /nfs/dbraw/zinc/52/89/48/628528948.db2.gz ATUDKEGULMNKKQ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN Cc1cc(C(=O)OCc2ccccc2[N+](=O)[O-])co1 ZINC000781381081 628544930 /nfs/dbraw/zinc/54/49/30/628544930.db2.gz UDCFVBYKZGGOHD-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](OC(F)F)C2)cc1 ZINC000781396782 628545991 /nfs/dbraw/zinc/54/59/91/628545991.db2.gz ROKHRPWHTHSCHM-NSHDSACASA-N 0 0 272.251 2.803 20 5 CFBDRN C[C@H](ONC(=O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000781616588 628566836 /nfs/dbraw/zinc/56/68/36/628566836.db2.gz LIOUDNNBZZRRIV-VIFPVBQESA-N 0 0 266.297 2.750 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]2c2ncc[nH]2)c1 ZINC000781670496 628571946 /nfs/dbraw/zinc/57/19/46/628571946.db2.gz XPYJVQVYDJKTIM-LBPRGKRZSA-N 0 0 272.308 2.968 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCc3cccc(F)n3)c2c1 ZINC000782151128 628608459 /nfs/dbraw/zinc/60/84/59/628608459.db2.gz VTILQHNTVLUWPG-UHFFFAOYSA-N 0 0 299.265 2.684 20 5 CFBDRN O=C(/C=C/c1ccc[nH]1)OCc1ccc([N+](=O)[O-])cc1F ZINC000782327240 628628463 /nfs/dbraw/zinc/62/84/63/628628463.db2.gz AVTCTHUHAWRMSG-GQCTYLIASA-N 0 0 290.250 2.819 20 5 CFBDRN COC(=O)N1CCC[C@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000782345849 628631273 /nfs/dbraw/zinc/63/12/73/628631273.db2.gz ILJNWKYNAPPQTJ-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H](C)[C@H](C)O1 ZINC000782410197 628636133 /nfs/dbraw/zinc/63/61/33/628636133.db2.gz PGSINWIAYMJHDO-BBBLOLIVSA-N 0 0 295.295 2.505 20 5 CFBDRN O=C(Cc1cncs1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000782420642 628636587 /nfs/dbraw/zinc/63/65/87/628636587.db2.gz VTAPVXFDWKTBDW-UHFFFAOYSA-N 0 0 297.723 2.886 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1CCCOCC1 ZINC000782935353 628664807 /nfs/dbraw/zinc/66/48/07/628664807.db2.gz MAMXKKZDLNNPOX-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCC[C@H](OC)CC2)c1 ZINC000782965990 628666905 /nfs/dbraw/zinc/66/69/05/628666905.db2.gz BSZJTIRJALXOSG-JTQLQIEISA-N 0 0 298.314 2.748 20 5 CFBDRN C[C@H]1C[C@H](COc2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000783002478 628668549 /nfs/dbraw/zinc/66/85/49/628668549.db2.gz XITXYLZODAPRRF-VHSXEESVSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1ncc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)s1 ZINC000783248746 628692803 /nfs/dbraw/zinc/69/28/03/628692803.db2.gz HDBUGRPFPQYRAD-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cscn1 ZINC000783264043 628695185 /nfs/dbraw/zinc/69/51/85/628695185.db2.gz NIXBPJDCSZFBDG-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN COCC[C@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000783553182 628719427 /nfs/dbraw/zinc/71/94/27/628719427.db2.gz OBVQSMHBPRKPTJ-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2cocn2)cc1Cl ZINC000783637333 628729220 /nfs/dbraw/zinc/72/92/20/628729220.db2.gz IHAGSICJZKMPFG-UHFFFAOYSA-N 0 0 267.672 2.526 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C1CC=CC1 ZINC000783782858 628745705 /nfs/dbraw/zinc/74/57/05/628745705.db2.gz KODOBRDNMDIKPB-UHFFFAOYSA-N 0 0 265.240 2.743 20 5 CFBDRN C/C(=C/C(=O)Nc1cnoc1)c1ccc([N+](=O)[O-])cc1 ZINC000783944162 628756611 /nfs/dbraw/zinc/75/66/11/628756611.db2.gz DJQWVWYFPMOUBO-TWGQIWQCSA-N 0 0 273.248 2.625 20 5 CFBDRN COCC(C)(C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000783963726 628757947 /nfs/dbraw/zinc/75/79/47/628757947.db2.gz HMMSQAPNUQWDAX-LUAWRHEFSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H](F)C(C)C)c([N+](=O)[O-])c1 ZINC000784026182 628765584 /nfs/dbraw/zinc/76/55/84/628765584.db2.gz QVTCCXRCXUTUDC-NSHDSACASA-N 0 0 255.245 2.803 20 5 CFBDRN CC[C@@H](COC)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784031743 628766018 /nfs/dbraw/zinc/76/60/18/628766018.db2.gz YZUAYLNNFKQQLU-FUWURINLSA-N 0 0 292.335 2.539 20 5 CFBDRN C/C(=C\C(=O)NCC1(C)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000784059309 628770296 /nfs/dbraw/zinc/77/02/96/628770296.db2.gz HFYHIZAMEZGNPB-PKNBQFBNSA-N 0 0 274.320 2.914 20 5 CFBDRN CC(C)[C@@H](F)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000784074161 628772419 /nfs/dbraw/zinc/77/24/19/628772419.db2.gz VYSTXZIWYQBGMI-JOYOIKCWSA-N 0 0 268.288 2.766 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000784103988 628776738 /nfs/dbraw/zinc/77/67/38/628776738.db2.gz LVXWPAHBCSNDRP-ZWNOBZJWSA-N 0 0 297.282 2.735 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H]1CO[C@H](C)C1 ZINC000784108732 628777676 /nfs/dbraw/zinc/77/76/76/628777676.db2.gz KVVGKTKLDMKCGD-RKDXNWHRSA-N 0 0 298.726 2.920 20 5 CFBDRN Cc1cc(COC(=O)[C@@H](F)C(C)C)ccc1[N+](=O)[O-] ZINC000784165665 628787545 /nfs/dbraw/zinc/78/75/45/628787545.db2.gz YXBBIKMFGYKNQR-LBPRGKRZSA-N 0 0 269.272 2.941 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1CC1(Cl)Cl ZINC000784185947 628788335 /nfs/dbraw/zinc/78/83/35/628788335.db2.gz IULRERRPOPEFLM-QMMMGPOBSA-N 0 0 290.102 2.832 20 5 CFBDRN CO[C@@H]1Cc2ccc(Nc3ncccc3[N+](=O)[O-])cc2C1 ZINC000784192257 628789737 /nfs/dbraw/zinc/78/97/37/628789737.db2.gz SLLKBOYASUHUFQ-CYBMUJFWSA-N 0 0 285.303 2.847 20 5 CFBDRN CS/C=C/C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784214095 628791465 /nfs/dbraw/zinc/79/14/65/628791465.db2.gz VXMHLHOVLWKCJR-SNAWJCMRSA-N 0 0 271.269 2.654 20 5 CFBDRN Cc1ccn(C)c1C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000784296031 628801404 /nfs/dbraw/zinc/80/14/04/628801404.db2.gz JDYLHVWDZDXKDC-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN Cc1ccn(C)c1C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000784461734 628819086 /nfs/dbraw/zinc/81/90/86/628819086.db2.gz LHEJNTFGQDFWHK-UHFFFAOYSA-N 0 0 299.330 2.753 20 5 CFBDRN C/C=C(/C)C(=O)OCc1ccc(N(C)C)c([N+](=O)[O-])c1 ZINC000784495700 628821931 /nfs/dbraw/zinc/82/19/31/628821931.db2.gz YEAKMXLXRBZFNN-YHYXMXQVSA-N 0 0 278.308 2.670 20 5 CFBDRN CC[C@H](Nc1nc2ccccn2c1[N+](=O)[O-])c1ncc[nH]1 ZINC000784525810 628824236 /nfs/dbraw/zinc/82/42/36/628824236.db2.gz NLBROBZAWKZXDT-VIFPVBQESA-N 0 0 286.295 2.529 20 5 CFBDRN Cc1ccc(SCCSCCO)c([N+](=O)[O-])c1 ZINC000784687499 628836882 /nfs/dbraw/zinc/83/68/82/628836882.db2.gz MMWIYOQRWODQOV-UHFFFAOYSA-N 0 0 273.379 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2[C@@H]3CCC[C@@H]32)c([N+](=O)[O-])c1 ZINC000784729694 628839467 /nfs/dbraw/zinc/83/94/67/628839467.db2.gz PJBIRHPHKAEION-GDGBQDQQSA-N 0 0 263.253 2.713 20 5 CFBDRN CC(C)[C@@](C)(O)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000784787265 628842610 /nfs/dbraw/zinc/84/26/10/628842610.db2.gz XFMBYHKUJUTFBD-QMTHXVAHSA-N 0 0 281.308 2.606 20 5 CFBDRN CCOCCOC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784835727 628845191 /nfs/dbraw/zinc/84/51/91/628845191.db2.gz VJARTVLGDBOJAI-ZHACJKMWSA-N 0 0 279.292 2.578 20 5 CFBDRN C/C(=C/C(=O)OCC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000784842256 628845601 /nfs/dbraw/zinc/84/56/01/628845601.db2.gz WAVCHMKBAUVEDZ-VURMDHGXSA-N 0 0 271.219 2.806 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)co1 ZINC000784844350 628845712 /nfs/dbraw/zinc/84/57/12/628845712.db2.gz KBHKQDSGHLYRSC-UHFFFAOYSA-N 0 0 279.223 2.992 20 5 CFBDRN CCCOCCOC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784866389 628846846 /nfs/dbraw/zinc/84/68/46/628846846.db2.gz DHKXNYHODBGFJS-QXMHVHEDSA-N 0 0 293.319 2.968 20 5 CFBDRN CCCOCCOC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784866391 628847140 /nfs/dbraw/zinc/84/71/40/628847140.db2.gz DHKXNYHODBGFJS-VAWYXSNFSA-N 0 0 293.319 2.968 20 5 CFBDRN CO[C@@H](C)CCOC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784882938 628848477 /nfs/dbraw/zinc/84/84/77/628848477.db2.gz LHQODRWXCFSKGV-WEHUKYGOSA-N 0 0 293.319 2.966 20 5 CFBDRN COCCCC(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784939448 628853320 /nfs/dbraw/zinc/85/33/20/628853320.db2.gz VMKYZHZXZLDBTC-UHFFFAOYSA-N 0 0 299.348 2.657 20 5 CFBDRN O=C(OCC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000784947458 628854660 /nfs/dbraw/zinc/85/46/60/628854660.db2.gz CFPXWXDWCRSSBJ-ZDUSSCGKSA-N 0 0 279.292 2.711 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)ns1 ZINC000785008093 628859284 /nfs/dbraw/zinc/85/92/84/628859284.db2.gz XBGMHROEESZCGD-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CC(C)/C=C\C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000785035615 628861462 /nfs/dbraw/zinc/86/14/62/628861462.db2.gz LHSHRFLKAINHEN-SREVYHEPSA-N 0 0 277.276 2.533 20 5 CFBDRN C/C(=C/C(=O)NC[C@@H]1C[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000785258361 628873349 /nfs/dbraw/zinc/87/33/49/628873349.db2.gz VQCXTGRPCWGOAW-XAEMRHERSA-N 0 0 274.320 2.770 20 5 CFBDRN CC1(C)CC[C@H](C(=O)OCCc2ccc([N+](=O)[O-])cc2)O1 ZINC000785269433 628874671 /nfs/dbraw/zinc/87/46/71/628874671.db2.gz ZLPWNMOLVZBUMG-CYBMUJFWSA-N 0 0 293.319 2.638 20 5 CFBDRN CC1(C)C[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000785906186 628920838 /nfs/dbraw/zinc/92/08/38/628920838.db2.gz SXZZROCIEFMGJO-LBPRGKRZSA-N 0 0 279.292 2.709 20 5 CFBDRN CC1(C)C[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000785903384 628920873 /nfs/dbraw/zinc/92/08/73/628920873.db2.gz CCSJOSPWXGDVID-GFCCVEGCSA-N 0 0 279.292 2.709 20 5 CFBDRN CC(C)COC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000785935018 628925145 /nfs/dbraw/zinc/92/51/45/628925145.db2.gz IVBLEXBKJDJVEY-UHFFFAOYSA-N 0 0 271.700 2.990 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC(C)(C)F ZINC000785936874 628925619 /nfs/dbraw/zinc/92/56/19/628925619.db2.gz VGDKKOXPUCKECO-UHFFFAOYSA-N 0 0 255.245 2.808 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCc1cscn1 ZINC000805795127 628931341 /nfs/dbraw/zinc/93/13/41/628931341.db2.gz GTILBKBLNUMKPF-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CC(C)(NC(=O)c1ccccc1[N+](=O)[O-])C1CCOCC1 ZINC000786337330 628948976 /nfs/dbraw/zinc/94/89/76/628948976.db2.gz MUNCJGUTIDQTLS-UHFFFAOYSA-N 0 0 292.335 2.530 20 5 CFBDRN C[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(F)F ZINC000786511908 628962793 /nfs/dbraw/zinc/96/27/93/628962793.db2.gz BLWOFJQZJZTIOH-RXMQYKEDSA-N 0 0 263.171 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(F)F ZINC000786521653 628965123 /nfs/dbraw/zinc/96/51/23/628965123.db2.gz FTQGVIWGVAKSEI-SSDOTTSWSA-N 0 0 259.208 2.714 20 5 CFBDRN C[C@H](OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C(F)F ZINC000786528444 628966211 /nfs/dbraw/zinc/96/62/11/628966211.db2.gz ZEPYPCJAJGGGLO-ZETCQYMHSA-N 0 0 298.245 2.815 20 5 CFBDRN COCc1ccc(CNc2ccc(N)cc2[N+](=O)[O-])cc1 ZINC000786575038 628968808 /nfs/dbraw/zinc/96/88/08/628968808.db2.gz IEKSFFWZCWBODL-UHFFFAOYSA-N 0 0 287.319 2.936 20 5 CFBDRN CSc1cnc(N(C)Cc2ccccc2[N+](=O)[O-])nc1 ZINC000786582287 628969965 /nfs/dbraw/zinc/96/99/65/628969965.db2.gz WHYBBDVCOOIZKM-UHFFFAOYSA-N 0 0 290.348 2.743 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])[C@H]1CCCOCC1 ZINC000787116625 628994625 /nfs/dbraw/zinc/99/46/25/628994625.db2.gz MVVXPGWGKTVLPJ-JTQLQIEISA-N 0 0 297.282 2.594 20 5 CFBDRN C/C(=C\C(=O)N[C@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000787720020 629028979 /nfs/dbraw/zinc/02/89/79/629028979.db2.gz QQSIPTKVETYATJ-FEBYZTPTSA-N 0 0 286.331 2.913 20 5 CFBDRN COC(C)(C)CCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000787739734 629030931 /nfs/dbraw/zinc/03/09/31/629030931.db2.gz QTPYZMUYYWWTAV-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN Cc1c(CC(=O)OCC[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000787751033 629031968 /nfs/dbraw/zinc/03/19/68/629031968.db2.gz UTQFRMSQRMORPA-ZDUSSCGKSA-N 0 0 293.319 2.558 20 5 CFBDRN C/C=C/COC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000787941210 629043540 /nfs/dbraw/zinc/04/35/40/629043540.db2.gz YCCRYAVUTYCTHV-ONEGZZNKSA-N 0 0 253.229 2.775 20 5 CFBDRN CCOC1CC(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000805867927 629071804 /nfs/dbraw/zinc/07/18/04/629071804.db2.gz UVKJHIMRUKEXMU-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN Cc1noc(C)c1CN(C)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000788475065 629072589 /nfs/dbraw/zinc/07/25/89/629072589.db2.gz PHFPLQBBNWANSD-UHFFFAOYSA-N 0 0 293.298 2.971 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCCO1 ZINC000788486212 629074159 /nfs/dbraw/zinc/07/41/59/629074159.db2.gz HGTAYHJNSLVGNU-VAWYXSNFSA-N 0 0 290.319 2.942 20 5 CFBDRN CC1(C)OC[C@@H](CSc2ccc([N+](=O)[O-])cc2F)O1 ZINC000788503543 629076008 /nfs/dbraw/zinc/07/60/08/629076008.db2.gz VIDMRRCFINESIE-VIFPVBQESA-N 0 0 287.312 2.978 20 5 CFBDRN CC(C)CC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000788594755 629085010 /nfs/dbraw/zinc/08/50/10/629085010.db2.gz VZQNHZSDVFUVTG-JTQLQIEISA-N 0 0 294.307 2.511 20 5 CFBDRN CCCn1cc(N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)cn1 ZINC000788702242 629093697 /nfs/dbraw/zinc/09/36/97/629093697.db2.gz IAVUGEDKBDEZTP-JTQLQIEISA-N 0 0 289.339 2.957 20 5 CFBDRN Cc1c(CC(=O)OC[C@@H]2CC[C@H](C)O2)cccc1[N+](=O)[O-] ZINC000789080874 629124295 /nfs/dbraw/zinc/12/42/95/629124295.db2.gz WVABPFOCBKXBRT-GWCFXTLKSA-N 0 0 293.319 2.556 20 5 CFBDRN COc1ccc(COC(=O)C2(SC)CC2)cc1[N+](=O)[O-] ZINC000789201359 629134117 /nfs/dbraw/zinc/13/41/17/629134117.db2.gz KEFZJCZMYQXTTR-UHFFFAOYSA-N 0 0 297.332 2.542 20 5 CFBDRN Cc1n[nH]cc1C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000805885421 629146577 /nfs/dbraw/zinc/14/65/77/629146577.db2.gz XZLHCAWHCRRTKP-UHFFFAOYSA-N 0 0 295.682 2.807 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1ccon1 ZINC000789428203 629156127 /nfs/dbraw/zinc/15/61/27/629156127.db2.gz MCMWIVVNRIQBHA-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN CON(Cn1ncc2ccc([N+](=O)[O-])cc21)CC(C)(C)C ZINC000789532879 629164086 /nfs/dbraw/zinc/16/40/86/629164086.db2.gz XPTKYDZSNXGDLI-UHFFFAOYSA-N 0 0 292.339 2.812 20 5 CFBDRN Cc1cc(C(=O)OCC2(F)CC2)cc([N+](=O)[O-])c1 ZINC000789589002 629169457 /nfs/dbraw/zinc/16/94/57/629169457.db2.gz AZLLIJIDEXTZGG-UHFFFAOYSA-N 0 0 253.229 2.562 20 5 CFBDRN CNc1ccc(C(=O)OC[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000789602617 629171660 /nfs/dbraw/zinc/17/16/60/629171660.db2.gz UQKTVWREIGAYKZ-VIFPVBQESA-N 0 0 278.308 2.839 20 5 CFBDRN COc1ccc(CC(=O)OC[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000789602320 629171669 /nfs/dbraw/zinc/17/16/69/629171669.db2.gz PEKWTGFMNJTSGC-JTQLQIEISA-N 0 0 293.319 2.735 20 5 CFBDRN COc1ccc(C(=O)OC[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000789603916 629172300 /nfs/dbraw/zinc/17/23/00/629172300.db2.gz IQEGICJWOPZZSZ-SECBINFHSA-N 0 0 279.292 2.806 20 5 CFBDRN Cc1ccnc(COc2ccc([N+](=O)[O-])cc2C=O)c1 ZINC000790242327 629222246 /nfs/dbraw/zinc/22/22/46/629222246.db2.gz GFUVIXODWJEJDO-UHFFFAOYSA-N 0 0 272.260 2.690 20 5 CFBDRN COCC1(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000790347649 629234459 /nfs/dbraw/zinc/23/44/59/629234459.db2.gz JNNSBDLFCCHSIC-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1[nH]nc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])c1Cl ZINC000790972081 629275828 /nfs/dbraw/zinc/27/58/28/629275828.db2.gz FUSTYCXGLAEKCN-UHFFFAOYSA-N 0 0 298.661 2.671 20 5 CFBDRN CCC/C=C/C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000791016439 629280816 /nfs/dbraw/zinc/28/08/16/629280816.db2.gz OZMCQGPNGQLLDO-WEVVVXLNSA-N 0 0 262.309 2.876 20 5 CFBDRN O=[N+]([O-])CCN1CCC(c2nc3ccccc3s2)CC1 ZINC000791052823 629283873 /nfs/dbraw/zinc/28/38/73/629283873.db2.gz FMKRLOSZCOGAPY-UHFFFAOYSA-N 0 0 291.376 2.752 20 5 CFBDRN C[C@H](c1nc2ccccc2s1)N(C)CC[N+](=O)[O-] ZINC000791052446 629283894 /nfs/dbraw/zinc/28/38/94/629283894.db2.gz OBJOLQVVHBGMOY-SECBINFHSA-N 0 0 265.338 2.566 20 5 CFBDRN O=[N+]([O-])CCN1CCSC[C@H]1c1ccc(Cl)cc1 ZINC000791052489 629283980 /nfs/dbraw/zinc/28/39/80/629283980.db2.gz OLATVJADTWZRGS-LBPRGKRZSA-N 0 0 286.784 2.707 20 5 CFBDRN CN(CC[N+](=O)[O-])Cc1ccc(Cl)c(Cl)c1 ZINC000791053053 629284120 /nfs/dbraw/zinc/28/41/20/629284120.db2.gz GYAMWNAAMZRDSQ-UHFFFAOYSA-N 0 0 263.124 2.702 20 5 CFBDRN COC1(COC(=O)Cc2ccccc2[N+](=O)[O-])CCCC1 ZINC000791267925 629295340 /nfs/dbraw/zinc/29/53/40/629295340.db2.gz ZSCUVNTVGRKWMF-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1cccc(F)c1 ZINC000791291882 629297270 /nfs/dbraw/zinc/29/72/70/629297270.db2.gz ZIPCYPGTJHACFG-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN Cc1ccnc(COC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000791338739 629302022 /nfs/dbraw/zinc/30/20/22/629302022.db2.gz PBNKHXUFRXHZNM-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN CN(C(=O)c1c[nH]nc1[N+](=O)[O-])C1CCCCCCC1 ZINC000791343830 629302475 /nfs/dbraw/zinc/30/24/75/629302475.db2.gz KALXUQCONCTAQA-UHFFFAOYSA-N 0 0 280.328 2.503 20 5 CFBDRN Cc1cc(F)c(F)cc1OC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000791407917 629310708 /nfs/dbraw/zinc/31/07/08/629310708.db2.gz BREHJVRZBQBIIV-UHFFFAOYSA-N 0 0 294.213 2.796 20 5 CFBDRN O=C(OCCCCCF)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000791523476 629326645 /nfs/dbraw/zinc/32/66/45/629326645.db2.gz DDQORTKSEDQKJG-UHFFFAOYSA-N 0 0 299.254 2.620 20 5 CFBDRN CC/C=C(/C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791573464 629335148 /nfs/dbraw/zinc/33/51/48/629335148.db2.gz MPZYEGSOQRDYCC-WTKPLQERSA-N 0 0 294.263 2.903 20 5 CFBDRN CO[C@@H](C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000791592988 629337693 /nfs/dbraw/zinc/33/76/93/629337693.db2.gz JBELRGYBWIQKGF-GFCCVEGCSA-N 0 0 299.710 2.716 20 5 CFBDRN COc1cc(C(=O)OCC(C)C)cc([N+](=O)[O-])c1C ZINC000791688804 629346580 /nfs/dbraw/zinc/34/65/80/629346580.db2.gz PDKFPUFBNUJGAM-UHFFFAOYSA-N 0 0 267.281 2.725 20 5 CFBDRN CCC/C=C/C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791736335 629349560 /nfs/dbraw/zinc/34/95/60/629349560.db2.gz KAAHFQIEEGKIOI-SNAWJCMRSA-N 0 0 294.263 2.903 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C[C@@H]1CC[C@H]2C[C@H]21 ZINC000835058355 629362697 /nfs/dbraw/zinc/36/26/97/629362697.db2.gz FGAQUOOYXCJCEM-JBLDHEPKSA-N 0 0 291.303 2.945 20 5 CFBDRN CCC[C@@H](OC)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000835069755 629368216 /nfs/dbraw/zinc/36/82/16/629368216.db2.gz DJGCLQYCAKWPHT-GFCCVEGCSA-N 0 0 267.281 2.624 20 5 CFBDRN COc1ccc(OC(=O)c2cc(C)[nH]c2C)cc1[N+](=O)[O-] ZINC000792113573 629398749 /nfs/dbraw/zinc/39/87/49/629398749.db2.gz LSXHSFLJZLBHTB-UHFFFAOYSA-N 0 0 290.275 2.768 20 5 CFBDRN C[C@H](COc1ccccc1)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835101739 629405156 /nfs/dbraw/zinc/40/51/56/629405156.db2.gz UUGQJCHEORHBTC-GFCCVEGCSA-N 0 0 295.335 2.833 20 5 CFBDRN Cc1noc([C@@H](C)NCc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000792176826 629407008 /nfs/dbraw/zinc/40/70/08/629407008.db2.gz NRYNLXORBOQPLZ-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000835106336 629411455 /nfs/dbraw/zinc/41/14/55/629411455.db2.gz JOEFWICQVZVJSM-GAOVADHVSA-N 0 0 291.303 2.792 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000835107083 629411570 /nfs/dbraw/zinc/41/15/70/629411570.db2.gz NBBCUDBRDFTQTB-YGABWQLJSA-N 0 0 291.303 2.792 20 5 CFBDRN CC(C)c1[nH]nc(C(=O)OCCCCCF)c1[N+](=O)[O-] ZINC000792281164 629417001 /nfs/dbraw/zinc/41/70/01/629417001.db2.gz PLLFKVGQYHZFDZ-UHFFFAOYSA-N 0 0 287.291 2.738 20 5 CFBDRN CC1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCC(=O)CC1 ZINC000835113768 629419617 /nfs/dbraw/zinc/41/96/17/629419617.db2.gz HISPSFJCAWOZSV-UHFFFAOYSA-N 0 0 291.303 2.787 20 5 CFBDRN CCn1cc(OC(=O)c2cccc(Cl)c2[N+](=O)[O-])cn1 ZINC000792579378 629435738 /nfs/dbraw/zinc/43/57/38/629435738.db2.gz DSGYULGVYLEFOR-UHFFFAOYSA-N 0 0 295.682 2.684 20 5 CFBDRN Cc1ccc(OC(=O)c2cnc(C3CC3)nc2)cc1[N+](=O)[O-] ZINC000835248233 629562495 /nfs/dbraw/zinc/56/24/95/629562495.db2.gz FPUITXVPKNCXEY-UHFFFAOYSA-N 0 0 299.286 2.790 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2CC[C@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000794261228 629628201 /nfs/dbraw/zinc/62/82/01/629628201.db2.gz TZKVQORUGKYEPF-GXSJLCMTSA-N 0 0 291.351 2.651 20 5 CFBDRN Cc1nccc(COc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000794429348 629646103 /nfs/dbraw/zinc/64/61/03/629646103.db2.gz UONZCGKPVIAOSH-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CCc1ccc(C(=O)NOC[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000794854232 629695115 /nfs/dbraw/zinc/69/51/15/629695115.db2.gz QFOQQEJYJVSWOW-JTQLQIEISA-N 0 0 280.324 2.865 20 5 CFBDRN CC(C)(CCC(=O)Oc1ccc2cc[nH]c2c1)[N+](=O)[O-] ZINC000835413504 629699392 /nfs/dbraw/zinc/69/93/92/629699392.db2.gz LMVLUBPOMBHCKB-UHFFFAOYSA-N 0 0 276.292 2.909 20 5 CFBDRN CC[C@H](C)NC(=S)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000795095066 629734283 /nfs/dbraw/zinc/73/42/83/629734283.db2.gz AEUPAYBMIKKCHR-NSHDSACASA-N 0 0 295.408 2.742 20 5 CFBDRN CC1CC(OC(=O)CCC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000835455159 629739878 /nfs/dbraw/zinc/73/98/78/629739878.db2.gz OCWGSKNGEIRICL-UHFFFAOYSA-N 0 0 291.303 2.899 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000795252374 629753034 /nfs/dbraw/zinc/75/30/34/629753034.db2.gz PFUIJUIARCAWQR-YPMHNXCESA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1nc(N2CCC(F)(F)CC2)ccc1[N+](=O)[O-] ZINC000795271004 629755502 /nfs/dbraw/zinc/75/55/02/629755502.db2.gz IDHWJWAQGWKHAT-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN CC(C)(C)OCCCC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000795302746 629759455 /nfs/dbraw/zinc/75/94/55/629759455.db2.gz NFXSXZUYLQRYHN-UHFFFAOYSA-N 0 0 294.351 2.806 20 5 CFBDRN CCC[C@@H](OC)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835480871 629769559 /nfs/dbraw/zinc/76/95/59/629769559.db2.gz KFTPTSKMNBDICR-CYBMUJFWSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1nc(C)c(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000835481447 629769880 /nfs/dbraw/zinc/76/98/80/629769880.db2.gz WEBLBHMFZNJSLC-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN C/C(=C\C(=O)OCCC[C@@H](C)O)c1ccc([N+](=O)[O-])cc1 ZINC000795589124 629808265 /nfs/dbraw/zinc/80/82/65/629808265.db2.gz XSRTXWSILORQPE-HCRIHEDKSA-N 0 0 293.319 2.702 20 5 CFBDRN CC[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000102124628 629815320 /nfs/dbraw/zinc/81/53/20/629815320.db2.gz OPXKDOLKLWPBLA-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN CCCC(=O)COC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000795717124 629826411 /nfs/dbraw/zinc/82/64/11/629826411.db2.gz GYZVTHYBYMFUAD-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CO[C@@H](C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000795832166 629838668 /nfs/dbraw/zinc/83/86/68/629838668.db2.gz KWDCIYUFBPSPRR-NOZJJQNGSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@H](C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000795832168 629838741 /nfs/dbraw/zinc/83/87/41/629838741.db2.gz KWDCIYUFBPSPRR-RNCFNFMXSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1ccc(OS(=O)(=O)c2ccsc2)cc1[N+](=O)[O-] ZINC000795898885 629846649 /nfs/dbraw/zinc/84/66/49/629846649.db2.gz UIEOGEDSWMVIHL-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000795998496 629860830 /nfs/dbraw/zinc/86/08/30/629860830.db2.gz HHTFOQHAYCGLNN-NOZJJQNGSA-N 0 0 299.298 2.838 20 5 CFBDRN O=C(CCC(F)F)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000796025027 629865275 /nfs/dbraw/zinc/86/52/75/629865275.db2.gz CSJAJHYLTPXJKK-UHFFFAOYSA-N 0 0 277.198 2.822 20 5 CFBDRN COc1c(C(=O)O[C@@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC000796311004 629902613 /nfs/dbraw/zinc/90/26/13/629902613.db2.gz YNGPIGISVBYZPM-SNVBAGLBSA-N 0 0 277.276 2.869 20 5 CFBDRN CC[C@@H](C)[C@H](COC(=O)c1ccccc1[N+](=O)[O-])OC ZINC000835644100 629911772 /nfs/dbraw/zinc/91/17/72/629911772.db2.gz PIVAORZPWINCFM-MFKMUULPSA-N 0 0 281.308 2.813 20 5 CFBDRN CC[C@H](C)[C@H](COC(=O)Cc1ccccc1[N+](=O)[O-])OC ZINC000835642488 629912001 /nfs/dbraw/zinc/91/20/01/629912001.db2.gz LGFSILXHHJGXSS-FZMZJTMJSA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000835659589 629923042 /nfs/dbraw/zinc/92/30/42/629923042.db2.gz XOGLLJRWLAIDTM-SSDOTTSWSA-N 0 0 271.235 2.706 20 5 CFBDRN COc1c(C(=O)OCc2ccoc2)cccc1[N+](=O)[O-] ZINC000796651396 629945593 /nfs/dbraw/zinc/94/55/93/629945593.db2.gz LFWYSDOWRVUTIA-UHFFFAOYSA-N 0 0 277.232 2.553 20 5 CFBDRN Cc1ccc(OC(=O)C[C@@H]2CCC(=O)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000796648553 629945716 /nfs/dbraw/zinc/94/57/16/629945716.db2.gz CVMIKCCEOSAASC-MNOVXSKESA-N 0 0 291.303 2.814 20 5 CFBDRN CC/C=C/COC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000796650416 629945856 /nfs/dbraw/zinc/94/58/56/629945856.db2.gz DVOPQKCKQLXRPY-SNAWJCMRSA-N 0 0 265.265 2.726 20 5 CFBDRN CSC[C@@H](C)COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000835684721 629952387 /nfs/dbraw/zinc/95/23/87/629952387.db2.gz USEQDOXMVBSZMT-JTQLQIEISA-N 0 0 283.349 2.680 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000796766996 629962984 /nfs/dbraw/zinc/96/29/84/629962984.db2.gz BICSEQPPEYDTOR-BXUZGUMPSA-N 0 0 295.335 2.742 20 5 CFBDRN C[C@H](C(=O)O[C@@H]1CCOC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000835770140 630018072 /nfs/dbraw/zinc/01/80/72/630018072.db2.gz FSVRVSOUEBNQOJ-UHIISALHSA-N 0 0 293.319 2.667 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000797148374 630027546 /nfs/dbraw/zinc/02/75/46/630027546.db2.gz DBFWTVPVDREYKW-ZIAGYGMSSA-N 0 0 293.319 2.638 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)[C@H](C)SC)c1 ZINC000797200104 630036900 /nfs/dbraw/zinc/03/69/00/630036900.db2.gz XFEUZGRKPOPZCD-IUCAKERBSA-N 0 0 299.348 2.900 20 5 CFBDRN CS[C@@H](C)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000797201756 630037002 /nfs/dbraw/zinc/03/70/02/630037002.db2.gz TYCAPAATOXWTFV-IUCAKERBSA-N 0 0 269.322 2.892 20 5 CFBDRN COc1cccc(C(=O)O[C@H](C)[C@@H](C)SC)c1[N+](=O)[O-] ZINC000797225283 630043507 /nfs/dbraw/zinc/04/35/07/630043507.db2.gz UYUHEBSXMMYKNL-RKDXNWHRSA-N 0 0 299.348 2.900 20 5 CFBDRN C[C@@H](COC(=O)C1CC(c2ccc(F)cc2)C1)[N+](=O)[O-] ZINC000797278996 630050908 /nfs/dbraw/zinc/05/09/08/630050908.db2.gz HNKMQZFOVAECAD-GCVQQVDUSA-N 0 0 281.283 2.528 20 5 CFBDRN CC1=C[C@H](C)CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000797439151 630066313 /nfs/dbraw/zinc/06/63/13/630066313.db2.gz XGNHYIOQCZXOID-VIFPVBQESA-N 0 0 277.280 2.905 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)/C=C\C1CC1 ZINC000797474304 630071064 /nfs/dbraw/zinc/07/10/64/630071064.db2.gz GXSYWPOALMISIP-DAXSKMNVSA-N 0 0 277.276 2.613 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)CCSC)c1 ZINC000835814067 630080299 /nfs/dbraw/zinc/08/02/99/630080299.db2.gz FDIORHVGTBOYTJ-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)c1cccc([N+](=O)[O-])c1C ZINC000835914457 630154607 /nfs/dbraw/zinc/15/46/07/630154607.db2.gz CMFZISCWRNGETK-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CC[C@](C)(COC(=O)c1ccc(NC)c([N+](=O)[O-])c1)OC ZINC000835956508 630176280 /nfs/dbraw/zinc/17/62/80/630176280.db2.gz HCUUGHUPQFGCHL-CQSZACIVSA-N 0 0 296.323 2.608 20 5 CFBDRN CC[C@@](C)(COC(=O)c1ccc(OC)c([N+](=O)[O-])c1)OC ZINC000835958430 630179703 /nfs/dbraw/zinc/17/97/03/630179703.db2.gz VKGUIWNSNXGBAN-AWEZNQCLSA-N 0 0 297.307 2.575 20 5 CFBDRN CCON(C)c1ccc([N+](=O)[O-])cc1Br ZINC000798397553 630183043 /nfs/dbraw/zinc/18/30/43/630183043.db2.gz GOIRHFGRGRADBF-UHFFFAOYSA-N 0 0 275.102 2.745 20 5 CFBDRN C[C@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(=O)C1CC1 ZINC000798587849 630211027 /nfs/dbraw/zinc/21/10/27/630211027.db2.gz FQLHDNWLZQMDHF-ZETCQYMHSA-N 0 0 297.694 2.773 20 5 CFBDRN CCc1ccc(C(=O)O[C@H](C)C(=O)C2CC2)cc1[N+](=O)[O-] ZINC000798628839 630217871 /nfs/dbraw/zinc/21/78/71/630217871.db2.gz PBOFXYZLSANEKW-SECBINFHSA-N 0 0 291.303 2.682 20 5 CFBDRN C[C@@H](C(=O)O[C@@H](C)C(=O)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000798657465 630224536 /nfs/dbraw/zinc/22/45/36/630224536.db2.gz ORSUEHPYKPGJQD-ZJUUUORDSA-N 0 0 291.303 2.609 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@@H](c2ccccn2)C1 ZINC000798720300 630234661 /nfs/dbraw/zinc/23/46/61/630234661.db2.gz MJJBKQSMOSKJNT-CYBMUJFWSA-N 0 0 297.314 2.620 20 5 CFBDRN Cc1c(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)ccn1C ZINC000798841592 630244939 /nfs/dbraw/zinc/24/49/39/630244939.db2.gz OTZIBBULTVDSIJ-UHFFFAOYSA-N 0 0 278.239 2.600 20 5 CFBDRN Cc1cc(C(=O)NC[C@H]2CCC[C@@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000799059172 630271832 /nfs/dbraw/zinc/27/18/32/630271832.db2.gz MWTHSSHQODTCTL-WDEREUQCSA-N 0 0 291.351 2.651 20 5 CFBDRN CC1(C)CCC[C@@H]1OC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000799499317 630316224 /nfs/dbraw/zinc/31/62/24/630316224.db2.gz UYIYUNPGSXGYEB-NSHDSACASA-N 0 0 264.281 2.725 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1O[C@H](C)C[C@H]1C ZINC000799901811 630353173 /nfs/dbraw/zinc/35/31/73/630353173.db2.gz YKBZGCZOCJIOLR-GIPNMCIBSA-N 0 0 279.292 2.622 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])O1 ZINC000799919718 630354158 /nfs/dbraw/zinc/35/41/58/630354158.db2.gz UDWZFECOECHYGW-RWEMILLDSA-N 0 0 297.282 2.591 20 5 CFBDRN COc1ccc(CN(C)c2ncc([N+](=O)[O-])s2)cc1F ZINC000799925099 630354318 /nfs/dbraw/zinc/35/43/18/630354318.db2.gz DFRWJKPGPHQOAB-UHFFFAOYSA-N 0 0 297.311 2.835 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H]2C(F)(F)F)n1 ZINC000799961407 630356243 /nfs/dbraw/zinc/35/62/43/630356243.db2.gz MESXXCJBENFQHT-RNFRBKRXSA-N 0 0 261.203 2.661 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NCC(=O)OCC(C)C ZINC000799971523 630357087 /nfs/dbraw/zinc/35/70/87/630357087.db2.gz KQAZMYHMWCNABM-UHFFFAOYSA-N 0 0 284.287 2.653 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000799975341 630357989 /nfs/dbraw/zinc/35/79/89/630357989.db2.gz NKSTXGJJAXORGZ-OUJBWJOFSA-N 0 0 279.292 2.622 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)O1 ZINC000800297111 630368238 /nfs/dbraw/zinc/36/82/38/630368238.db2.gz BQIGNNJYVLRFHO-KKFJDGPESA-N 0 0 297.282 2.591 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@@H]2c2cccnc2)c1 ZINC000800845695 630410651 /nfs/dbraw/zinc/41/06/51/630410651.db2.gz GSJZUGRJBATVQG-CYBMUJFWSA-N 0 0 284.319 2.914 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@H]2Nc1cc(N)ccc1[N+](=O)[O-] ZINC000800852275 630411054 /nfs/dbraw/zinc/41/10/54/630411054.db2.gz BMQKEGJYEWKYAG-CYBMUJFWSA-N 0 0 299.334 2.765 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC[C@@H](O)c2ccccc2)c1 ZINC000800856819 630411534 /nfs/dbraw/zinc/41/15/34/630411534.db2.gz WDVUWWIOVJSNJA-OAHLLOKOSA-N 0 0 287.319 2.713 20 5 CFBDRN CCCN(C)C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000800972759 630419652 /nfs/dbraw/zinc/41/96/52/630419652.db2.gz OMHMXZNVLHJUID-UHFFFAOYSA-N 0 0 279.340 2.531 20 5 CFBDRN Cc1nc(C(=O)OCc2cccc([N+](=O)[O-])c2C)co1 ZINC000801394387 630443992 /nfs/dbraw/zinc/44/39/92/630443992.db2.gz MUNMGZKUCNNHPZ-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(CCO)c2ccccc2)cc1F ZINC000801520680 630452374 /nfs/dbraw/zinc/45/23/74/630452374.db2.gz JIQVSVMSZMXWPW-UHFFFAOYSA-N 0 0 290.294 2.733 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)C1=CCOCC1 ZINC000802089978 630501724 /nfs/dbraw/zinc/50/17/24/630501724.db2.gz WBVXKAWFZXWBMB-UHFFFAOYSA-N 0 0 297.694 2.809 20 5 CFBDRN O=C(/C=C\c1ccc[nH]1)OCCc1ccccc1[N+](=O)[O-] ZINC000802111250 630503220 /nfs/dbraw/zinc/50/32/20/630503220.db2.gz GZEDTNRYRZHMTN-FPLPWBNLSA-N 0 0 286.287 2.722 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])[C@H]1C[C@@H]1C(F)F ZINC000802111438 630503235 /nfs/dbraw/zinc/50/32/35/630503235.db2.gz KVBLGVPCJLFLLZ-UWVGGRQHSA-N 0 0 285.246 2.582 20 5 CFBDRN Cc1ccc(OC(=O)/C=C/c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000802120370 630504423 /nfs/dbraw/zinc/50/44/23/630504423.db2.gz QSAKVIPDACEYDY-FNORWQNLSA-N 0 0 272.260 2.850 20 5 CFBDRN CC(C)C[C@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000103672446 630513870 /nfs/dbraw/zinc/51/38/70/630513870.db2.gz XRKHIWRQVBITAX-NSHDSACASA-N 0 0 281.308 2.951 20 5 CFBDRN CC1(C)CC(COC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000802291931 630524185 /nfs/dbraw/zinc/52/41/85/630524185.db2.gz GUWOTQXEHLKPNW-UHFFFAOYSA-N 0 0 264.281 2.583 20 5 CFBDRN Cc1c(CC(=O)O[C@@H](C)C(F)F)cccc1[N+](=O)[O-] ZINC000802797660 630549095 /nfs/dbraw/zinc/54/90/95/630549095.db2.gz YGOAGAQZXJRPET-QMMMGPOBSA-N 0 0 273.235 2.643 20 5 CFBDRN O=C(OC[C@@H]1Cc2ccccc21)c1ccc([N+](=O)[O-])o1 ZINC000803225339 630591635 /nfs/dbraw/zinc/59/16/35/630591635.db2.gz ALEFMBSZORCUHJ-JTQLQIEISA-N 0 0 273.244 2.685 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCCCF)c1 ZINC000803369619 630608211 /nfs/dbraw/zinc/60/82/11/630608211.db2.gz ZXZYNHHBBPNMPL-UHFFFAOYSA-N 0 0 255.245 2.810 20 5 CFBDRN C[C@@H](C(=O)OCCCCCO)c1ccc([N+](=O)[O-])cc1F ZINC000803431575 630617627 /nfs/dbraw/zinc/61/76/27/630617627.db2.gz DHDNBHNCFMCZDQ-SNVBAGLBSA-N 0 0 299.298 2.543 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCCCCCCO)c1F ZINC000803457593 630619917 /nfs/dbraw/zinc/61/99/17/630619917.db2.gz PQBQTPRKUDKIBT-UHFFFAOYSA-N 0 0 299.298 2.752 20 5 CFBDRN Cc1cc2c(cc1C)N(C(=O)c1ccc([N+](=O)[O-])n1C)CC2 ZINC000803458557 630619927 /nfs/dbraw/zinc/61/99/27/630619927.db2.gz IVRPFQVLQNLQIP-UHFFFAOYSA-N 0 0 299.330 2.753 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@@H]2CC[C@@H](C)O2)c1F ZINC000803458826 630619990 /nfs/dbraw/zinc/61/99/90/630619990.db2.gz NSNPSEMRXRMTNW-KOLCDFICSA-N 0 0 297.282 2.767 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OCC(=O)C2(C)CC2)c1F ZINC000803462305 630620350 /nfs/dbraw/zinc/62/03/50/630620350.db2.gz PZTRKGCPPXEKJO-UHFFFAOYSA-N 0 0 295.266 2.568 20 5 CFBDRN CC1(C)CC(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000803754618 630639608 /nfs/dbraw/zinc/63/96/08/630639608.db2.gz KLUVTHIUWXAPAE-UHFFFAOYSA-N 0 0 291.303 2.757 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000804292070 630668058 /nfs/dbraw/zinc/66/80/58/630668058.db2.gz VLXASEMXEYACDE-PWSUYJOCSA-N 0 0 290.319 2.618 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000804296675 630669221 /nfs/dbraw/zinc/66/92/21/630669221.db2.gz IBFLGKHHXFITST-PWSUYJOCSA-N 0 0 260.293 2.528 20 5 CFBDRN Nc1ccc(N2CCC[C@H]2c2cccnc2)c([N+](=O)[O-])c1 ZINC000804335550 630673172 /nfs/dbraw/zinc/67/31/72/630673172.db2.gz BIJWUWKNCNUSHL-ZDUSSCGKSA-N 0 0 284.319 2.914 20 5 CFBDRN Nc1ccc(NCCCOCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000804354863 630675199 /nfs/dbraw/zinc/67/51/99/630675199.db2.gz LZODYZCLWJCXBK-UHFFFAOYSA-N 0 0 293.245 2.558 20 5 CFBDRN Cc1ccc(CCNc2ccc(N)cc2[N+](=O)[O-])cn1 ZINC000804446794 630681852 /nfs/dbraw/zinc/68/18/52/630681852.db2.gz OBHARDKMWXJRMC-UHFFFAOYSA-N 0 0 272.308 2.535 20 5 CFBDRN CC(C)n1ccc(CNc2ccc(N)cc2[N+](=O)[O-])n1 ZINC000804472064 630685266 /nfs/dbraw/zinc/68/52/66/630685266.db2.gz LCDJZIBHNNNFKW-UHFFFAOYSA-N 0 0 275.312 2.567 20 5 CFBDRN C[C@@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000804515303 630689338 /nfs/dbraw/zinc/68/93/38/630689338.db2.gz RYHYPTMPEHABPY-RKDXNWHRSA-N 0 0 297.336 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC(OCC(F)F)C1 ZINC000804538981 630691556 /nfs/dbraw/zinc/69/15/56/630691556.db2.gz YGJBPWLOKKTEQV-UHFFFAOYSA-N 0 0 292.669 2.719 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804548725 630692018 /nfs/dbraw/zinc/69/20/18/630692018.db2.gz NDTHEEWZCLDIMN-UWVGGRQHSA-N 0 0 295.295 2.730 20 5 CFBDRN COc1ccc(CNc2ccc(N)cc2[N+](=O)[O-])c(F)c1 ZINC000804546294 630692119 /nfs/dbraw/zinc/69/21/19/630692119.db2.gz MAORTKMQCPFRFM-UHFFFAOYSA-N 0 0 291.282 2.937 20 5 CFBDRN Nc1ccc(NCc2ccc3c(c2)COC3)c([N+](=O)[O-])c1 ZINC000804561799 630693116 /nfs/dbraw/zinc/69/31/16/630693116.db2.gz QGUNRADVLXUYEG-UHFFFAOYSA-N 0 0 285.303 2.819 20 5 CFBDRN CC1=CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000804577857 630757441 /nfs/dbraw/zinc/75/74/41/630757441.db2.gz DFYGSKBESYYQNM-UHFFFAOYSA-N 0 0 263.253 2.659 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1SC1CCOCC1 ZINC000804580275 630758318 /nfs/dbraw/zinc/75/83/18/630758318.db2.gz YELYOVCXHXLNNW-UHFFFAOYSA-N 0 0 284.293 2.774 20 5 CFBDRN COC1(C)CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000804592170 630761851 /nfs/dbraw/zinc/76/18/51/630761851.db2.gz AQNWWCBLQGNXEX-UHFFFAOYSA-N 0 0 295.295 2.508 20 5 CFBDRN Nc1ccc(NCCSCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000804589221 630762049 /nfs/dbraw/zinc/76/20/49/630762049.db2.gz IISFJBRSKLKMRT-UHFFFAOYSA-N 0 0 295.286 2.884 20 5 CFBDRN CO[C@H]1CCCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000804610098 630764010 /nfs/dbraw/zinc/76/40/10/630764010.db2.gz UNUIGEIJADGGEC-JTQLQIEISA-N 0 0 295.295 2.508 20 5 CFBDRN Nc1ccc(NCCC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000804614320 630764637 /nfs/dbraw/zinc/76/46/37/630764637.db2.gz YYQDBAXVQYTQCD-LBPRGKRZSA-N 0 0 279.340 2.938 20 5 CFBDRN CCN(C)C(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000836344368 630798337 /nfs/dbraw/zinc/79/83/37/630798337.db2.gz FGKDYYSIIKFIQH-UHFFFAOYSA-N 0 0 256.689 2.649 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NOC1CCC1 ZINC000836451947 630822015 /nfs/dbraw/zinc/82/20/15/630822015.db2.gz VBRQVCBCBCVXNI-UHFFFAOYSA-N 0 0 279.296 2.817 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NC2CCC2)cc1Cl ZINC000836652189 630862928 /nfs/dbraw/zinc/86/29/28/630862928.db2.gz ZHUVJYLOMHMHKF-UHFFFAOYSA-N 0 0 268.700 2.839 20 5 CFBDRN CC/C=C/CCOC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000102596684 630879718 /nfs/dbraw/zinc/87/97/18/630879718.db2.gz HOMNCCQOPWTQIX-AATRIKPKSA-N 0 0 295.339 2.698 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H]1CCCOC1 ZINC000807936481 630924503 /nfs/dbraw/zinc/92/45/03/630924503.db2.gz ZLMGREKLMLYPIB-LLVKDONJSA-N 0 0 278.308 2.636 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@H](C)C[C@@H](C)O ZINC000807981490 630929714 /nfs/dbraw/zinc/92/97/14/630929714.db2.gz OELAQJXWYGJOQA-NXEZZACHSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1CCOC[C@H]1C ZINC000808049333 630944947 /nfs/dbraw/zinc/94/49/47/630944947.db2.gz XRGIBOQHPDTOJD-NOZJJQNGSA-N 0 0 278.308 2.634 20 5 CFBDRN O=[N+]([O-])c1cc(OCCC2(O)CC2)ccc1Cl ZINC000808056715 630945657 /nfs/dbraw/zinc/94/56/57/630945657.db2.gz HLFHBMMRUQPUAR-UHFFFAOYSA-N 0 0 257.673 2.542 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1Cc2ccncc2C1 ZINC000808103014 630952014 /nfs/dbraw/zinc/95/20/14/630952014.db2.gz BULCUCBYQTXYFW-UTCJRWHESA-N 0 0 295.298 2.545 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(C(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000837094433 630952527 /nfs/dbraw/zinc/95/25/27/630952527.db2.gz AHORWXVFJAHMAM-NWDGAFQWSA-N 0 0 270.373 2.859 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])[C@@H](O)c1ccccc1 ZINC000808129990 630953645 /nfs/dbraw/zinc/95/36/45/630953645.db2.gz ICYBXAKRWONMPV-GXFFZTMASA-N 0 0 273.292 2.524 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H](O)c1ccccc1 ZINC000808130437 630953989 /nfs/dbraw/zinc/95/39/89/630953989.db2.gz NBHBPJAXJWURBJ-QMTHXVAHSA-N 0 0 273.292 2.524 20 5 CFBDRN Nc1ccc(OCc2ccccc2[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000808256508 630972247 /nfs/dbraw/zinc/97/22/47/630972247.db2.gz SJCKFDOCOOJJTN-UHFFFAOYSA-N 0 0 289.247 2.664 20 5 CFBDRN Nc1ccc(OCC[C@H](O)c2ccccc2)cc1[N+](=O)[O-] ZINC000808256927 630972299 /nfs/dbraw/zinc/97/22/99/630972299.db2.gz RMQSIHFBXUPPON-HNNXBMFYSA-N 0 0 288.303 2.680 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837231334 630983983 /nfs/dbraw/zinc/98/39/83/630983983.db2.gz VHAOXCAURXETHN-JTQLQIEISA-N 0 0 258.362 2.763 20 5 CFBDRN C[C@H]1CCCC[C@@]1(C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837255237 630984410 /nfs/dbraw/zinc/98/44/10/630984410.db2.gz UQIQPEDLWMRFBS-SMDDNHRTSA-N 0 0 270.373 2.907 20 5 CFBDRN CC(C)=CCOC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000808536277 631009864 /nfs/dbraw/zinc/00/98/64/631009864.db2.gz GRUVLNRYIGQICW-UHFFFAOYSA-N 0 0 293.304 2.723 20 5 CFBDRN C/C(=C/C(=O)N(C)c1ccc([N+](=O)[O-])nc1)C(C)(C)C ZINC000808794059 631049056 /nfs/dbraw/zinc/04/90/56/631049056.db2.gz FGAOCQQQPWEMLC-NTMALXAHSA-N 0 0 277.324 2.945 20 5 CFBDRN CN(C(=O)[C@H](F)c1ccccc1)c1ccc([N+](=O)[O-])nc1 ZINC000808794068 631049268 /nfs/dbraw/zinc/04/92/68/631049268.db2.gz FRFVOBLEGOEVFL-CYBMUJFWSA-N 0 0 289.266 2.663 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC(=O)CC1(C)CCCC1 ZINC000808978604 631061355 /nfs/dbraw/zinc/06/13/55/631061355.db2.gz MLKDQYIUAOHVKQ-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN COC1(CCOC(=O)Cc2cccc([N+](=O)[O-])c2)CCC1 ZINC000809051040 631071586 /nfs/dbraw/zinc/07/15/86/631071586.db2.gz PFCFDKJSKYIZRU-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000809111588 631082118 /nfs/dbraw/zinc/08/21/18/631082118.db2.gz LWMPSDIFZDWGRF-IEBDPFPHSA-N 0 0 297.282 2.558 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000809114472 631083876 /nfs/dbraw/zinc/08/38/76/631083876.db2.gz GVFVGNZQHWXJMU-BETUJISGSA-N 0 0 279.292 2.628 20 5 CFBDRN CC1(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)CCCC1 ZINC000809136898 631088672 /nfs/dbraw/zinc/08/86/72/631088672.db2.gz OPJOWZXXRCBXJN-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN O=C1OCc2ccc(NCc3ccc([N+](=O)[O-])cc3)cc21 ZINC000809199094 631096605 /nfs/dbraw/zinc/09/66/05/631096605.db2.gz KRDPQILNZQVNOY-UHFFFAOYSA-N 0 0 284.271 2.877 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2oc(C)nc2C)c1 ZINC000809341607 631107852 /nfs/dbraw/zinc/10/78/52/631107852.db2.gz UQUZXUWKGWIJRD-UHFFFAOYSA-N 0 0 295.270 2.959 20 5 CFBDRN CC(C)(CCC(=O)OCc1cc(F)ccc1F)[N+](=O)[O-] ZINC000837921911 631151433 /nfs/dbraw/zinc/15/14/33/631151433.db2.gz BGPLKCLGJVJMQV-UHFFFAOYSA-N 0 0 287.262 2.844 20 5 CFBDRN CC(C)(CCC(=O)OCCOc1ccc(F)cc1)[N+](=O)[O-] ZINC000837923133 631151446 /nfs/dbraw/zinc/15/14/46/631151446.db2.gz LUYXZVTZTYVQAV-UHFFFAOYSA-N 0 0 299.298 2.583 20 5 CFBDRN CC(C)(CCC(=O)OCc1csc(C2CC2)n1)[N+](=O)[O-] ZINC000837925224 631151576 /nfs/dbraw/zinc/15/15/76/631151576.db2.gz LYKYENIKFWFICW-UHFFFAOYSA-N 0 0 298.364 2.899 20 5 CFBDRN C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])C(=O)C1CCCCC1 ZINC000837926385 631152226 /nfs/dbraw/zinc/15/22/26/631152226.db2.gz QDCMFBJFCSAYTF-NSHDSACASA-N 0 0 299.367 2.903 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1OC ZINC000837972077 631155521 /nfs/dbraw/zinc/15/55/21/631155521.db2.gz CWDVUYDKLLFNNT-BXKDBHETSA-N 0 0 279.292 2.693 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000837978401 631156717 /nfs/dbraw/zinc/15/67/17/631156717.db2.gz GTJDTJFDMSKUMA-GHMZBOCLSA-N 0 0 279.292 2.693 20 5 CFBDRN CC(C)(CCC(=O)O[C@H]1CCCC(C)(C)C1=O)[N+](=O)[O-] ZINC000840581252 631166864 /nfs/dbraw/zinc/16/68/64/631166864.db2.gz WVBPZDIAGQKAQC-JTQLQIEISA-N 0 0 285.340 2.513 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840656613 631173706 /nfs/dbraw/zinc/17/37/06/631173706.db2.gz WJBDJTFZVQNCOM-JIMOISOXSA-N 0 0 291.303 2.609 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc(Cl)c1 ZINC000840672149 631174164 /nfs/dbraw/zinc/17/41/64/631174164.db2.gz FBRATJBZNWPOSD-WHGOUJPWSA-N 0 0 269.684 2.609 20 5 CFBDRN C[C@@H](COC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc(Cl)c1 ZINC000840674885 631174192 /nfs/dbraw/zinc/17/41/92/631174192.db2.gz QTWOZBNGPMCZNJ-XXILOJSOSA-N 0 0 283.711 2.652 20 5 CFBDRN CCc1nc(SCc2cc(F)ccc2[N+](=O)[O-])n[nH]1 ZINC000042154892 649995758 /nfs/dbraw/zinc/99/57/58/649995758.db2.gz BZDAIKJDCVDBSK-UHFFFAOYSA-N 0 0 282.300 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CCC3(CC2)OCCO3)c(F)c1 ZINC000042252794 649997088 /nfs/dbraw/zinc/99/70/88/649997088.db2.gz QNNAXRLWAUOWHL-UHFFFAOYSA-N 0 0 296.298 2.832 20 5 CFBDRN CCCCCCNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000042911622 650002633 /nfs/dbraw/zinc/00/26/33/650002633.db2.gz MPINHTHQPMKTQP-UHFFFAOYSA-N 0 0 279.340 2.974 20 5 CFBDRN COCC(C)(C)CC(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000841013250 631305915 /nfs/dbraw/zinc/30/59/15/631305915.db2.gz LSHDEQUNYWSMSG-UHFFFAOYSA-N 0 0 297.307 2.572 20 5 CFBDRN Cc1cc(Cl)cc2c1N(C(=O)[C@@H]1CC1[N+](=O)[O-])CCC2 ZINC000841123488 631343635 /nfs/dbraw/zinc/34/36/35/631343635.db2.gz GGBFOGACQTYKAT-VXGBXAGGSA-N 0 0 294.738 2.593 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCCOCC2CCCC2)c1 ZINC000840193736 631347618 /nfs/dbraw/zinc/34/76/18/631347618.db2.gz NELYNDBWDPBTEB-UHFFFAOYSA-N 0 0 293.319 2.993 20 5 CFBDRN CC[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc(Cl)c1 ZINC000841165261 631351882 /nfs/dbraw/zinc/35/18/82/631351882.db2.gz SQIHXHVJMYFADD-UTUOFQBUSA-N 0 0 283.711 3.000 20 5 CFBDRN CC[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cc(C)ccc1OC ZINC000841170392 631352312 /nfs/dbraw/zinc/35/23/12/631352312.db2.gz FEERVPNVKLWEIR-RTXFEEFZSA-N 0 0 293.319 2.663 20 5 CFBDRN Cc1nc(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)c(C)o1 ZINC000841342152 631390731 /nfs/dbraw/zinc/39/07/31/631390731.db2.gz GIHOKICZCIMEMO-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@@H]1CCCSC1 ZINC000810556429 631391258 /nfs/dbraw/zinc/39/12/58/631391258.db2.gz LXNSDLMEJCNVCQ-SECBINFHSA-N 0 0 299.323 2.920 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)[C@H](C)[C@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000841458510 631408239 /nfs/dbraw/zinc/40/82/39/631408239.db2.gz AFVPMAJLHMJRCA-GARJFASQSA-N 0 0 291.351 2.602 20 5 CFBDRN O=C(OCCCF)Oc1c(F)cccc1[N+](=O)[O-] ZINC000841514505 631421088 /nfs/dbraw/zinc/42/10/88/631421088.db2.gz NCUVVNYQYGJLGD-UHFFFAOYSA-N 0 0 261.180 2.609 20 5 CFBDRN CC[C@@H](COc1ccc([N+](=O)[O-])c(F)c1F)OC ZINC000841670626 631448984 /nfs/dbraw/zinc/44/89/84/631448984.db2.gz NYHQBYZSPCNBDM-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN CC1(C)CCC[C@H](OC(=O)c2csc([N+](=O)[O-])c2)C1=O ZINC000840211649 631450598 /nfs/dbraw/zinc/45/05/98/631450598.db2.gz IKFAYOSDTLJJDS-VIFPVBQESA-N 0 0 297.332 2.961 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc([C@@H]2CCCc3ccccc32)no1 ZINC000841739374 631462415 /nfs/dbraw/zinc/46/24/15/631462415.db2.gz MDXKZHPPEHWGRM-JHJVBQTASA-N 0 0 285.303 2.670 20 5 CFBDRN CCC(CC)CNC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000104552345 631487991 /nfs/dbraw/zinc/48/79/91/631487991.db2.gz SPLUZCKBZQLNHG-UHFFFAOYSA-N 0 0 298.314 2.665 20 5 CFBDRN COCC1(COC(=O)c2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000811063430 631510323 /nfs/dbraw/zinc/51/03/23/631510323.db2.gz TVIWBRKRJOVFAQ-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000842048314 631512416 /nfs/dbraw/zinc/51/24/16/631512416.db2.gz CTOOMSMHACJZCP-UTLUCORTSA-N 0 0 279.296 2.984 20 5 CFBDRN CO[C@H](CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-])CC(C)C ZINC000842046077 631512624 /nfs/dbraw/zinc/51/26/24/631512624.db2.gz HUZHJIIBPJVWSQ-JTQLQIEISA-N 0 0 297.311 2.976 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])OC/C=C/Cl ZINC000842052974 631514842 /nfs/dbraw/zinc/51/48/42/631514842.db2.gz ATWXHJZUXVUPKT-XVNBXDOJSA-N 0 0 284.699 2.693 20 5 CFBDRN Cc1ncccc1COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000811107469 631515319 /nfs/dbraw/zinc/51/53/19/631515319.db2.gz BFPCHADERZSHQN-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CO[C@@H](COC(=O)c1ccccc1[N+](=O)[O-])CC(C)C ZINC000842055760 631516558 /nfs/dbraw/zinc/51/65/58/631516558.db2.gz QDENJIBNGYMMKN-LLVKDONJSA-N 0 0 281.308 2.813 20 5 CFBDRN CC[C@H](COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)OC ZINC000842080859 631529437 /nfs/dbraw/zinc/52/94/37/631529437.db2.gz VAAHJCRSGBYXET-CYBMUJFWSA-N 0 0 295.335 2.841 20 5 CFBDRN COC1(COC(=O)c2ccc([N+](=O)[O-])cc2C)CCC1 ZINC000811176463 631529821 /nfs/dbraw/zinc/52/98/21/631529821.db2.gz IUKMEDWRUOZDQT-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN CCc1ccc(C(=O)OC[C@@H](CC)OC)cc1[N+](=O)[O-] ZINC000842082630 631529930 /nfs/dbraw/zinc/52/99/30/631529930.db2.gz ONMOXFQPHFAEKG-GFCCVEGCSA-N 0 0 281.308 2.739 20 5 CFBDRN CC[C@@H](COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)OC ZINC000842091685 631535813 /nfs/dbraw/zinc/53/58/13/631535813.db2.gz GAWAQENFVGOBPQ-MFKMUULPSA-N 0 0 281.308 2.667 20 5 CFBDRN CCc1c(C(=O)OCc2ccccc2[N+](=O)[O-])ccn1C ZINC000811277204 631545290 /nfs/dbraw/zinc/54/52/90/631545290.db2.gz YXHCGHGHFUGLJK-UHFFFAOYSA-N 0 0 288.303 2.853 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C1(CCF)CC1 ZINC000811341236 631554687 /nfs/dbraw/zinc/55/46/87/631554687.db2.gz QLOYCDAUQFLESQ-UHFFFAOYSA-N 0 0 285.246 2.917 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@@H]1CCCSC1 ZINC000811411920 631561366 /nfs/dbraw/zinc/56/13/66/631561366.db2.gz LGEATAARFKZIRQ-SNVBAGLBSA-N 0 0 299.323 2.920 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])c2ccccc12 ZINC000842367030 631608422 /nfs/dbraw/zinc/60/84/22/631608422.db2.gz GKMQTRYXWFXWOG-NSHDSACASA-N 0 0 273.288 2.970 20 5 CFBDRN Nc1ccc(NCCc2ccccc2O)c([N+](=O)[O-])c1 ZINC000811774583 631621748 /nfs/dbraw/zinc/62/17/48/631621748.db2.gz QTTPSUKIGBVSNO-UHFFFAOYSA-N 0 0 273.292 2.537 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCc2ccccc2O)c1 ZINC000811772754 631622123 /nfs/dbraw/zinc/62/21/23/631622123.db2.gz AQYZEGYADRWIIU-UHFFFAOYSA-N 0 0 273.292 2.537 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CC2(Cl)Cl)c(F)c1 ZINC000840238690 631632443 /nfs/dbraw/zinc/63/24/43/631632443.db2.gz IGCXADJOFOXTFF-YFKPBYRVSA-N 0 0 280.086 2.735 20 5 CFBDRN CCC[C@H](C)c1noc(-c2n[nH]c(CC)c2[N+](=O)[O-])n1 ZINC000842490348 631643604 /nfs/dbraw/zinc/64/36/04/631643604.db2.gz LNALRAOGDNQZFP-ZETCQYMHSA-N 0 0 279.300 2.834 20 5 CFBDRN CC(C)Oc1cccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)c1 ZINC000842490722 631643906 /nfs/dbraw/zinc/64/39/06/631643906.db2.gz PGCXVPLGZUVLFU-VXGBXAGGSA-N 0 0 289.291 2.656 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(Cc2cccc(F)c2Cl)no1 ZINC000842496264 631646129 /nfs/dbraw/zinc/64/61/29/631646129.db2.gz SFGPXAORXWACSD-VXNVDRBHSA-N 0 0 297.673 2.586 20 5 CFBDRN CCSc1ccc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)cc1 ZINC000842499560 631646851 /nfs/dbraw/zinc/64/68/51/631646851.db2.gz OXKGFNNVJGCYJP-GHMZBOCLSA-N 0 0 291.332 2.981 20 5 CFBDRN Cc1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)nc1C ZINC000842504692 631648930 /nfs/dbraw/zinc/64/89/30/631648930.db2.gz JJYBKFDTTWTABX-UHFFFAOYSA-N 0 0 290.323 2.736 20 5 CFBDRN O=C(NOC/C=C/Cl)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000812399561 631702202 /nfs/dbraw/zinc/70/22/02/631702202.db2.gz RIDZJOWKVIFOJA-DAFODLJHSA-N 0 0 296.666 2.755 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2ccncc2)c(F)cc1[N+](=O)[O-] ZINC000812462859 631722607 /nfs/dbraw/zinc/72/26/07/631722607.db2.gz WRHHLJZZHOSSEQ-CQSZACIVSA-N 0 0 291.282 2.583 20 5 CFBDRN CCOc1cc(NOCCOC(C)C)ccc1[N+](=O)[O-] ZINC000812488850 631726043 /nfs/dbraw/zinc/72/60/43/631726043.db2.gz NSVZKQXSZKMOND-UHFFFAOYSA-N 0 0 284.312 2.762 20 5 CFBDRN CSc1ccc(CCCC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000842807744 631747434 /nfs/dbraw/zinc/74/74/34/631747434.db2.gz ZXELKHKKGIFRNX-NSHDSACASA-N 0 0 297.376 2.940 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000812633130 631749033 /nfs/dbraw/zinc/74/90/33/631749033.db2.gz WGMVVHLUIJNYCE-WDEREUQCSA-N 0 0 295.335 2.865 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000812633889 631749935 /nfs/dbraw/zinc/74/99/35/631749935.db2.gz UPFYGLGILIBARQ-UWVGGRQHSA-N 0 0 299.298 2.696 20 5 CFBDRN CO[C@@](C)(C(=O)OCc1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000812665509 631755426 /nfs/dbraw/zinc/75/54/26/631755426.db2.gz SUADUPHBDQGHBR-CQSZACIVSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@H](OC(=O)[C@](C)(O)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000812677795 631757340 /nfs/dbraw/zinc/75/73/40/631757340.db2.gz XZRVMYSOIPVGPF-ZUZCIYMTSA-N 0 0 293.319 2.750 20 5 CFBDRN C[C@@H](OC(=O)[C@](C)(O)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000812677793 631757743 /nfs/dbraw/zinc/75/77/43/631757743.db2.gz XZRVMYSOIPVGPF-MEBBXXQBSA-N 0 0 293.319 2.750 20 5 CFBDRN C[C@H](OC(=O)[C@](C)(O)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000812671538 631757795 /nfs/dbraw/zinc/75/77/95/631757795.db2.gz WHEJTRLTYCMUMB-ZUZCIYMTSA-N 0 0 293.319 2.750 20 5 CFBDRN CC[C@H]1C[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCO1 ZINC000812812867 631788855 /nfs/dbraw/zinc/78/88/55/631788855.db2.gz VUZPUVNKTYOEEI-MNOVXSKESA-N 0 0 297.355 2.730 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812657 631788927 /nfs/dbraw/zinc/78/89/27/631788927.db2.gz OQYTUKLBZAFZRB-MWLCHTKSSA-N 0 0 285.344 2.791 20 5 CFBDRN CCC1(c2nc(CCC(C)(C)[N+](=O)[O-])no2)CCC1 ZINC000812813098 631789759 /nfs/dbraw/zinc/78/97/59/631789759.db2.gz AUPVMJMJKQSFLO-UHFFFAOYSA-N 0 0 267.329 2.889 20 5 CFBDRN CC(=O)c1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)o1 ZINC000812813756 631789810 /nfs/dbraw/zinc/78/98/10/631789810.db2.gz CSEDFNLYNGEQQR-UHFFFAOYSA-N 0 0 293.279 2.520 20 5 CFBDRN C[C@@H](Cc1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CC1 ZINC000812813425 631789899 /nfs/dbraw/zinc/78/98/99/631789899.db2.gz KJFCAAKBBYHHNI-VIFPVBQESA-N 0 0 267.329 2.646 20 5 CFBDRN Cc1cc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)oc1C ZINC000812816649 631790564 /nfs/dbraw/zinc/79/05/64/631790564.db2.gz WHMFRKGEEHALLY-UHFFFAOYSA-N 0 0 279.296 2.934 20 5 CFBDRN C[C@@H](c1ccco1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812815934 631790806 /nfs/dbraw/zinc/79/08/06/631790806.db2.gz FTEHQNCFKCDBEW-VIFPVBQESA-N 0 0 279.296 2.802 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000812835794 631793899 /nfs/dbraw/zinc/79/38/99/631793899.db2.gz DJIHRSSDJBCQJZ-QWRGUYRKSA-N 0 0 281.308 2.727 20 5 CFBDRN Cc1noc(C)c1CCCNc1ncc([N+](=O)[O-])cc1F ZINC000840273439 631808757 /nfs/dbraw/zinc/80/87/57/631808757.db2.gz QKTOTHLBCPGWIG-UHFFFAOYSA-N 0 0 294.286 2.778 20 5 CFBDRN Cc1cc(C(=O)OC[C@H](C)[N+](=O)[O-])c(C)n1C(C)C ZINC000813100146 631837191 /nfs/dbraw/zinc/83/71/91/631837191.db2.gz NYVMESYKYJUARD-JTQLQIEISA-N 0 0 268.313 2.508 20 5 CFBDRN Cc1sc(-c2ccco2)nc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813102793 631837878 /nfs/dbraw/zinc/83/78/78/631837878.db2.gz VSHYZCKFGOKBRK-ZETCQYMHSA-N 0 0 296.304 2.534 20 5 CFBDRN C[C@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1c[nH]c2ccccc21 ZINC000813102593 631838255 /nfs/dbraw/zinc/83/82/55/631838255.db2.gz STPKZGYLNIYKQX-MNOVXSKESA-N 0 0 290.319 2.870 20 5 CFBDRN CCNc1ccc(Cl)cc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813105037 631839540 /nfs/dbraw/zinc/83/95/40/631839540.db2.gz UHGPFLHEHHENNH-QMMMGPOBSA-N 0 0 286.715 2.594 20 5 CFBDRN C[C@@H](COC(=O)C[C@@H]1CCCc2ccccc21)[N+](=O)[O-] ZINC000813105279 631840364 /nfs/dbraw/zinc/84/03/64/631840364.db2.gz XKZYIQKBIMPXJK-AAEUAGOBSA-N 0 0 277.320 2.705 20 5 CFBDRN C[C@H](COC(=O)c1ccc(C(F)(F)F)cc1)[N+](=O)[O-] ZINC000813107103 631841027 /nfs/dbraw/zinc/84/10/27/631841027.db2.gz JSYAIEXMIRWZCI-SSDOTTSWSA-N 0 0 277.198 2.527 20 5 CFBDRN C[C@H](COC(=O)Cc1c[nH]c2ccc(Cl)cc12)[N+](=O)[O-] ZINC000813106106 631842033 /nfs/dbraw/zinc/84/20/33/631842033.db2.gz YNYSKIBLJKDEEM-MRVPVSSYSA-N 0 0 296.710 2.572 20 5 CFBDRN Cc1nc(C(C)(C)C)sc1C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813105388 631842166 /nfs/dbraw/zinc/84/21/66/631842166.db2.gz YNNYAORIDQOGJD-SSDOTTSWSA-N 0 0 286.353 2.571 20 5 CFBDRN C[C@H](COC(=O)Cc1ccc(Cl)c(Cl)c1)[N+](=O)[O-] ZINC000813109722 631842718 /nfs/dbraw/zinc/84/27/18/631842718.db2.gz OIDLENSABQHINK-SSDOTTSWSA-N 0 0 292.118 2.744 20 5 CFBDRN Cc1ccc(C(C)C)c(OCC(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000813109789 631842754 /nfs/dbraw/zinc/84/27/54/631842754.db2.gz OQUGGTJLPISALE-GFCCVEGCSA-N 0 0 295.335 2.706 20 5 CFBDRN CC[C@H](Sc1ccccc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813109638 631842884 /nfs/dbraw/zinc/84/28/84/631842884.db2.gz UXTSBXYBDAEYBV-PWSUYJOCSA-N 0 0 283.349 2.766 20 5 CFBDRN CC(C)[C@@H](C(=O)OC[C@@H](C)[N+](=O)[O-])c1ccc(F)cc1 ZINC000813109307 631843503 /nfs/dbraw/zinc/84/35/03/631843503.db2.gz HSACBXXHUOAADF-ZWNOBZJWSA-N 0 0 283.299 2.774 20 5 CFBDRN C[C@H](COC(=O)c1ccc(SC(F)F)cc1)[N+](=O)[O-] ZINC000813111662 631844772 /nfs/dbraw/zinc/84/47/72/631844772.db2.gz KDDLYBNHVVUMQJ-SSDOTTSWSA-N 0 0 291.275 2.823 20 5 CFBDRN C[C@H](COC(=O)c1cc2cc(F)ccc2s1)[N+](=O)[O-] ZINC000813113784 631844899 /nfs/dbraw/zinc/84/48/99/631844899.db2.gz IWLUGRUORLOTGY-SSDOTTSWSA-N 0 0 283.280 2.862 20 5 CFBDRN Cc1ccc(SCCC(=O)OC[C@H](C)[N+](=O)[O-])cc1C ZINC000813115733 631846015 /nfs/dbraw/zinc/84/60/15/631846015.db2.gz YVIKCGWFQQNFGY-LBPRGKRZSA-N 0 0 297.376 2.994 20 5 CFBDRN C[C@@H](COC(=O)c1cccc(-c2ccoc2)c1)[N+](=O)[O-] ZINC000813114845 631846044 /nfs/dbraw/zinc/84/60/44/631846044.db2.gz HRXHSOJCXNDRFV-JTQLQIEISA-N 0 0 275.260 2.769 20 5 CFBDRN CO[C@@](C)(COC(=O)c1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000813185402 631875487 /nfs/dbraw/zinc/87/54/87/631875487.db2.gz DNXRXSTWLFVJOC-HNNXBMFYSA-N 0 0 293.319 2.875 20 5 CFBDRN CC1CC(OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000813233550 631889114 /nfs/dbraw/zinc/88/91/14/631889114.db2.gz QUUQCZMMIWJGSW-UHFFFAOYSA-N 0 0 253.229 2.689 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H](N)CC(F)F)n1 ZINC000843187969 631890741 /nfs/dbraw/zinc/89/07/41/631890741.db2.gz VUPAEJBCNLERBC-SECBINFHSA-N 0 0 298.249 2.608 20 5 CFBDRN Cc1ncoc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813245626 631891068 /nfs/dbraw/zinc/89/10/68/631891068.db2.gz HORBZVXDIBSSJE-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CO[C@H](C)[C@@H](C)NC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000813292332 631896413 /nfs/dbraw/zinc/89/64/13/631896413.db2.gz OSZRUJMOFGXZQL-CZHKVUGUSA-N 0 0 292.335 2.538 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1ncc(C)o1 ZINC000843297998 631921720 /nfs/dbraw/zinc/92/17/20/631921720.db2.gz KKRATFNNJDOIFC-UHFFFAOYSA-N 0 0 262.269 2.545 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCn1cc(Cl)cn1 ZINC000843300677 631921912 /nfs/dbraw/zinc/92/19/12/631921912.db2.gz PCLJHZGHFHICFF-UHFFFAOYSA-N 0 0 295.730 2.599 20 5 CFBDRN CC(=Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1n[nH]cc1C ZINC000813538067 631930675 /nfs/dbraw/zinc/93/06/75/631930675.db2.gz AVTAWQCBWOSHFU-VQHVLOKHSA-N 0 0 286.291 2.668 20 5 CFBDRN C[C@H](NC(=O)C1(C(F)F)CC1)c1ccccc1[N+](=O)[O-] ZINC000843573212 631992514 /nfs/dbraw/zinc/99/25/14/631992514.db2.gz XPTSRFWKYFXZPO-QMMMGPOBSA-N 0 0 284.262 2.817 20 5 CFBDRN Cc1cccc(OCCCCC(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000814034639 631997180 /nfs/dbraw/zinc/99/71/80/631997180.db2.gz IZZCXZWFHKVFEQ-ZDUSSCGKSA-N 0 0 295.335 2.753 20 5 CFBDRN CCc1ccc([C@H](C)CC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814035215 631997333 /nfs/dbraw/zinc/99/73/33/631997333.db2.gz LOFIMMMXHMCOGZ-NEPJUHHUSA-N 0 0 279.336 2.951 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(c2cccc(Cl)c2)CC1 ZINC000814035202 631997351 /nfs/dbraw/zinc/99/73/51/631997351.db2.gz LKYNXYNSCUPEMH-VIFPVBQESA-N 0 0 283.711 2.580 20 5 CFBDRN Cc1ccc(CCCC(=O)O[C@H](C)C[N+](=O)[O-])s1 ZINC000814037701 631997938 /nfs/dbraw/zinc/99/79/38/631997938.db2.gz DWDCKTGFZKNMKV-SECBINFHSA-N 0 0 271.338 2.588 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1csc2c1CC[C@H](C)C2 ZINC000814037172 631997986 /nfs/dbraw/zinc/99/79/86/631997986.db2.gz SSQTWSUWYVHVLX-DTWKUNHWSA-N 0 0 283.349 2.695 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@H](C)c1c[nH]c2ccccc21 ZINC000814037215 631998020 /nfs/dbraw/zinc/99/80/20/631998020.db2.gz UKQZWEBASAZYGK-QWRGUYRKSA-N 0 0 290.319 2.870 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc2ccc(F)cc2s1 ZINC000814038137 631998853 /nfs/dbraw/zinc/99/88/53/631998853.db2.gz MUKBEELHGLXVJC-SSDOTTSWSA-N 0 0 283.280 2.862 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C\Sc1ccccc1 ZINC000814041916 631999335 /nfs/dbraw/zinc/99/93/35/631999335.db2.gz SWOZTGWQDMRJTL-DMEOUFDRSA-N 0 0 267.306 2.501 20 5 CFBDRN CCc1ccccc1[C@@H]1C[C@H]1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814040929 631999402 /nfs/dbraw/zinc/99/94/02/631999402.db2.gz LMIQOFKCTJCTNM-LEWSCRJBSA-N 0 0 277.320 2.561 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(-c2ccccc2F)o1 ZINC000814041096 631999518 /nfs/dbraw/zinc/99/95/18/631999518.db2.gz NIXOZIDAVCNNBL-VIFPVBQESA-N 0 0 293.250 2.908 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(SC(F)F)cc1 ZINC000814041475 631999645 /nfs/dbraw/zinc/99/96/45/631999645.db2.gz UQXBHEMOHJFUJF-SSDOTTSWSA-N 0 0 291.275 2.823 20 5 CFBDRN Cc1cc2occ(CC(=O)O[C@H](C)C[N+](=O)[O-])c2cc1C ZINC000814042800 631999712 /nfs/dbraw/zinc/99/97/12/631999712.db2.gz ODAQPNYUVOVGTM-LLVKDONJSA-N 0 0 291.303 2.801 20 5 CFBDRN Cc1csc(C(=O)O[C@@H](C)C[N+](=O)[O-])c1Cl ZINC000814044369 632000054 /nfs/dbraw/zinc/00/00/54/632000054.db2.gz FDSFTRLIAQRVCL-LURJTMIESA-N 0 0 263.702 2.532 20 5 CFBDRN CC(C)c1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000814047262 632001189 /nfs/dbraw/zinc/00/11/89/632001189.db2.gz CMQPTMJQHHBTOF-VIFPVBQESA-N 0 0 296.279 2.540 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(c2ccccc2F)CCCC1 ZINC000814046606 632001215 /nfs/dbraw/zinc/00/12/15/632001215.db2.gz HRJGDRPPCSZYLZ-NSHDSACASA-N 0 0 295.310 2.846 20 5 CFBDRN CC(C)[C@H](Cc1ccccc1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814045141 632001400 /nfs/dbraw/zinc/00/14/00/632001400.db2.gz NMZJETCFHNEDBP-OCCSQVGLSA-N 0 0 279.336 2.710 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@@H](F)CC1CCCCC1 ZINC000814049244 632001867 /nfs/dbraw/zinc/00/18/67/632001867.db2.gz QQJXQXXCAXPXJY-ONGXEEELSA-N 0 0 261.293 2.503 20 5 CFBDRN O=C(N[C@H](C1CCC1)C1CCCCC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000843715525 632037324 /nfs/dbraw/zinc/03/73/24/632037324.db2.gz GLECPGVILUTLKO-MCIONIFRSA-N 0 0 280.368 2.517 20 5 CFBDRN CN(OCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000156241276 650049421 /nfs/dbraw/zinc/04/94/21/650049421.db2.gz ZBELMOSKHAEWBG-UHFFFAOYSA-N 0 0 286.287 2.799 20 5 CFBDRN O=C(NCC1CCC(F)CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000843726062 632041050 /nfs/dbraw/zinc/04/10/50/632041050.db2.gz CNLGIVLYEWJWQD-UHFFFAOYSA-N 0 0 298.289 2.992 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCCC1CC1 ZINC000843801202 632059085 /nfs/dbraw/zinc/05/90/85/632059085.db2.gz DNNUHSSAUJPVPJ-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC=C(F)C1 ZINC000843846878 632065544 /nfs/dbraw/zinc/06/55/44/632065544.db2.gz XRMYIWIKQYVRAL-UHFFFAOYSA-N 0 0 282.246 2.742 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@H]1CC1(C)C ZINC000843906148 632076245 /nfs/dbraw/zinc/07/62/45/632076245.db2.gz GFCROCIAXSLLFX-JTQLQIEISA-N 0 0 266.272 2.571 20 5 CFBDRN CN(CCNC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000840323528 632081134 /nfs/dbraw/zinc/08/11/34/632081134.db2.gz OYGVKZXEACVSTA-UHFFFAOYSA-N 0 0 295.339 2.556 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)COC1(C)CCC1 ZINC000843966783 632085369 /nfs/dbraw/zinc/08/53/69/632085369.db2.gz MKZZVHWNXUEPJM-UHFFFAOYSA-N 0 0 293.323 2.534 20 5 CFBDRN CC(C)CN(Cc1cnn(C)c1)c1ccc([N+](=O)[O-])cc1 ZINC000840324214 632100057 /nfs/dbraw/zinc/10/00/57/632100057.db2.gz XUYPLZMMNSBJSI-UHFFFAOYSA-N 0 0 288.351 2.991 20 5 CFBDRN CC(C)c1ccc(C(C)(C)NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000840325096 632104505 /nfs/dbraw/zinc/10/45/05/632104505.db2.gz OPTRIGBFDQXVEX-ZIAGYGMSSA-N 0 0 290.363 2.827 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000844099700 632118733 /nfs/dbraw/zinc/11/87/33/632118733.db2.gz AKAXWFZXAJATDL-KFWWJZLASA-N 0 0 298.342 2.572 20 5 CFBDRN Cn1ccc(COc2cccc(Cl)c2[N+](=O)[O-])cc1=O ZINC000814773890 632120752 /nfs/dbraw/zinc/12/07/52/632120752.db2.gz HPMXGQYSYCXYFW-UHFFFAOYSA-N 0 0 294.694 2.526 20 5 CFBDRN Cc1ncc(COc2cccc(Cl)c2[N+](=O)[O-])cn1 ZINC000814775686 632121644 /nfs/dbraw/zinc/12/16/44/632121644.db2.gz RZWXNDYCNSBTNC-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000840326614 632138941 /nfs/dbraw/zinc/13/89/41/632138941.db2.gz HZPYLDHSRXSMLO-JGZJWPJOSA-N 0 0 295.295 2.871 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000840327112 632154115 /nfs/dbraw/zinc/15/41/15/632154115.db2.gz OQQCPKUZMZHTMM-KLPPZKSPSA-N 0 0 278.308 2.777 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1Oc1ncc(F)cn1 ZINC000814903174 632156639 /nfs/dbraw/zinc/15/66/39/632156639.db2.gz WDFVMZHZVHTZTJ-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN COC/C(C)=C\C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000844326021 632170113 /nfs/dbraw/zinc/17/01/13/632170113.db2.gz DDZHMNVLVXDSAD-BRNRAETOSA-N 0 0 279.292 2.792 20 5 CFBDRN C[C@H](NCc1cc2n(n1)CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000844334873 632172309 /nfs/dbraw/zinc/17/23/09/632172309.db2.gz QYEQDSDLRLKVSR-NSHDSACASA-N 0 0 286.335 2.588 20 5 CFBDRN CC[C@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000844389731 632190250 /nfs/dbraw/zinc/19/02/50/632190250.db2.gz PQPBUXQUVOQUBI-GWCFXTLKSA-N 0 0 282.315 2.733 20 5 CFBDRN COC/C(C)=C/C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000844397158 632193734 /nfs/dbraw/zinc/19/37/34/632193734.db2.gz UGXHTZWYOOLGKP-WEVVVXLNSA-N 0 0 299.710 2.884 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@]3(CC3(F)F)C2)cc1F ZINC000844469358 632223422 /nfs/dbraw/zinc/22/34/22/632223422.db2.gz XHHFVKYUUGURQO-LBPRGKRZSA-N 0 0 286.253 2.965 20 5 CFBDRN C[C@H](COC(=O)Cn1ccc([N+](=O)[O-])n1)C1CCCCC1 ZINC000815194276 632226253 /nfs/dbraw/zinc/22/62/53/632226253.db2.gz QEGQNWVUEGHWPI-LLVKDONJSA-N 0 0 295.339 2.551 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)/C=C\C1CCCCCC1 ZINC000844480729 632227757 /nfs/dbraw/zinc/22/77/57/632227757.db2.gz LJFWMIWCJGUGBX-HJWRWDBZSA-N 0 0 292.339 2.793 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000815379863 632261724 /nfs/dbraw/zinc/26/17/24/632261724.db2.gz TXWIMEYQQLFEGN-GHMZBOCLSA-N 0 0 299.348 2.905 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NC12CCC(CC1)C2 ZINC000867360961 632263596 /nfs/dbraw/zinc/26/35/96/632263596.db2.gz KWYONOPKUMMQMA-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN Cc1cc(Cl)c(C(=O)OCc2cn[nH]c2)cc1[N+](=O)[O-] ZINC000815404725 632268099 /nfs/dbraw/zinc/26/80/99/632268099.db2.gz USVFZZKNCIQKME-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN C[C@H](C(=O)OCCc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000815489404 632281591 /nfs/dbraw/zinc/28/15/91/632281591.db2.gz WCHMVTBVWHEBOW-SECBINFHSA-N 0 0 287.262 2.972 20 5 CFBDRN COc1ccc(N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)nc1 ZINC000815607434 632296707 /nfs/dbraw/zinc/29/67/07/632296707.db2.gz YRVHYKRRIOPHRN-SECBINFHSA-N 0 0 288.307 2.754 20 5 CFBDRN CO[C@H]1CCC[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)C1 ZINC000815697495 632312377 /nfs/dbraw/zinc/31/23/77/632312377.db2.gz CLMZKYSDCDGNFP-QWRGUYRKSA-N 0 0 297.355 2.730 20 5 CFBDRN Cc1c(OC(=O)CCc2cncs2)cccc1[N+](=O)[O-] ZINC000815914035 632332454 /nfs/dbraw/zinc/33/24/54/632332454.db2.gz LOOJIHOQKWGDHW-UHFFFAOYSA-N 0 0 292.316 2.898 20 5 CFBDRN Cc1ocnc1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000105764474 632371090 /nfs/dbraw/zinc/37/10/90/632371090.db2.gz CJWIHWKCAUNDIZ-UHFFFAOYSA-N 0 0 282.639 2.764 20 5 CFBDRN Cn1c(C(=O)OC[C@]2(C)C[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000816138324 632380803 /nfs/dbraw/zinc/38/08/03/632380803.db2.gz XQJPJBKZEHNHOV-WDAIWFPHSA-N 0 0 278.308 2.526 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000816225445 632398868 /nfs/dbraw/zinc/39/88/68/632398868.db2.gz SPEVCHDEXJNQRF-BREBYQMCSA-N 0 0 280.324 2.915 20 5 CFBDRN Cc1cc(COC(=O)Cc2ccccc2[N+](=O)[O-])co1 ZINC000816243173 632407414 /nfs/dbraw/zinc/40/74/14/632407414.db2.gz JPRGHQYORJJIJI-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN O=C1O[C@@H](CSc2cccc([N+](=O)[O-])c2)CN1C1CC1 ZINC000845312716 632409481 /nfs/dbraw/zinc/40/94/81/632409481.db2.gz QLQRVOUCUYZSAT-LLVKDONJSA-N 0 0 294.332 2.670 20 5 CFBDRN CCc1ccc(C(=O)OC[C@H](OC)C(C)C)cc1[N+](=O)[O-] ZINC000816348311 632421475 /nfs/dbraw/zinc/42/14/75/632421475.db2.gz PWBBXCXRXLOREI-AWEZNQCLSA-N 0 0 295.335 2.985 20 5 CFBDRN CO[C@H](COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000816351943 632424704 /nfs/dbraw/zinc/42/47/04/632424704.db2.gz ZURLCMDAUNGMSK-DEBLGDTFSA-N 0 0 293.319 2.822 20 5 CFBDRN CO[C@H](COC(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000816354625 632426372 /nfs/dbraw/zinc/42/63/72/632426372.db2.gz MQHHTRJGOWVHKW-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N1CCCOCC1 ZINC000845474545 632442957 /nfs/dbraw/zinc/44/29/57/632442957.db2.gz BADAIUBVVTXYCO-UHFFFAOYSA-N 0 0 274.679 2.614 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000816416514 632448424 /nfs/dbraw/zinc/44/84/24/632448424.db2.gz UNNVZTGJHJBKDA-VIFPVBQESA-N 0 0 271.219 2.715 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OC[C@H]1CC1(F)F ZINC000816418560 632449027 /nfs/dbraw/zinc/44/90/27/632449027.db2.gz QRSHGZROWZAFOB-SNVBAGLBSA-N 0 0 285.246 2.726 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2)o1 ZINC000816427822 632454897 /nfs/dbraw/zinc/45/48/97/632454897.db2.gz OCVVCIKCNAQFAT-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN Cc1ccc(COC(=O)Cc2ccccc2[N+](=O)[O-])o1 ZINC000816427956 632455217 /nfs/dbraw/zinc/45/52/17/632455217.db2.gz SZCRYIASOSWHMN-UHFFFAOYSA-N 0 0 275.260 2.782 20 5 CFBDRN Cc1ccc(COC(=O)c2ccccc2[N+](=O)[O-])o1 ZINC000816427689 632455321 /nfs/dbraw/zinc/45/53/21/632455321.db2.gz JCPJLIBIMKJZDU-UHFFFAOYSA-N 0 0 261.233 2.853 20 5 CFBDRN Nc1ccc(N[C@H](C2CC2)C2CCOCC2)c([N+](=O)[O-])c1 ZINC000845657990 632508078 /nfs/dbraw/zinc/50/80/78/632508078.db2.gz APCSHTMURAMXMD-OAHLLOKOSA-N 0 0 291.351 2.794 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])cc2)[C@H](C)CO1 ZINC000845660343 632509275 /nfs/dbraw/zinc/50/92/75/632509275.db2.gz CMNRIRQISGOIOO-MFKMUULPSA-N 0 0 250.298 2.599 20 5 CFBDRN CCN(c1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CCCOC1 ZINC000845670759 632513714 /nfs/dbraw/zinc/51/37/14/632513714.db2.gz VTKDTHABBQFYLT-SECBINFHSA-N 0 0 286.278 2.878 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3C[C@H]4[C@@H](C3)C4(F)F)ccc2c1 ZINC000845754018 632552400 /nfs/dbraw/zinc/55/24/00/632552400.db2.gz RIPCQWLVIHEIER-PHIMTYICSA-N 0 0 291.257 2.844 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@]2(CC2(F)F)C1 ZINC000845766237 632557821 /nfs/dbraw/zinc/55/78/21/632557821.db2.gz DPYRPZUBKZGMFQ-NSHDSACASA-N 0 0 272.226 2.969 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@]3(CC3(F)F)C2)n1 ZINC000845768792 632558906 /nfs/dbraw/zinc/55/89/06/632558906.db2.gz GOCCSRAPBXKPBN-LLVKDONJSA-N 0 0 269.251 2.534 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1CC12CC2 ZINC000817231422 632576339 /nfs/dbraw/zinc/57/63/39/632576339.db2.gz STSXEETZIHZACH-GFCCVEGCSA-N 0 0 291.303 2.837 20 5 CFBDRN CCCC(=O)COC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000817238649 632580892 /nfs/dbraw/zinc/58/08/92/632580892.db2.gz LMOPBSJZJSLUKK-UHFFFAOYSA-N 0 0 279.292 2.738 20 5 CFBDRN Cc1c(COC(=O)[C@@]2(C)CC2(F)F)cccc1[N+](=O)[O-] ZINC000817248488 632584403 /nfs/dbraw/zinc/58/44/03/632584403.db2.gz MMALHTPNKPWWTQ-GFCCVEGCSA-N 0 0 285.246 2.992 20 5 CFBDRN C[C@H]([C@@H](OC(=O)[C@H]1C[C@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845873195 632588976 /nfs/dbraw/zinc/58/89/76/632588976.db2.gz RZXPPNLQMPPCTH-MBJVOQIVSA-N 0 0 281.283 2.731 20 5 CFBDRN C[C@H]([C@@H](OC(=O)[C@H]1C[C@@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845878257 632589714 /nfs/dbraw/zinc/58/97/14/632589714.db2.gz RZXPPNLQMPPCTH-IZWKTGJZSA-N 0 0 281.283 2.731 20 5 CFBDRN C[C@H]([C@@H](OC(=O)CC1CC1)c1ccccc1F)[N+](=O)[O-] ZINC000845876880 632589723 /nfs/dbraw/zinc/58/97/23/632589723.db2.gz QZBIZMGFKCJWNE-YMTOWFKASA-N 0 0 281.283 2.875 20 5 CFBDRN CCN(CC)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C ZINC000121596178 632629493 /nfs/dbraw/zinc/62/94/93/632629493.db2.gz OOTVTKGIPKCLMQ-UHFFFAOYSA-N 0 0 295.295 2.602 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000817426199 632630667 /nfs/dbraw/zinc/63/06/67/632630667.db2.gz WOEFRVMUSZULRE-AXFHLTTASA-N 0 0 291.303 2.945 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1CC12CC2 ZINC000817449358 632637796 /nfs/dbraw/zinc/63/77/96/632637796.db2.gz HWXGVMLWWSLXJS-SSDOTTSWSA-N 0 0 266.684 2.987 20 5 CFBDRN CC(C)(CCC(=O)N1CC[C@@H](C2CCCC2)C1)[N+](=O)[O-] ZINC000817467302 632643309 /nfs/dbraw/zinc/64/33/09/632643309.db2.gz YPDIUBBRPTVALL-CYBMUJFWSA-N 0 0 282.384 2.861 20 5 CFBDRN Cc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])nn1C(C)C ZINC000817510749 632654384 /nfs/dbraw/zinc/65/43/84/632654384.db2.gz JZNKDLFEYDMDTN-UHFFFAOYSA-N 0 0 282.344 2.546 20 5 CFBDRN C[C@H]1C[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C(C)(C)C1 ZINC000846246957 632672975 /nfs/dbraw/zinc/67/29/75/632672975.db2.gz MVCCZJXJOZUHLC-SNVBAGLBSA-N 0 0 292.335 2.913 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@]1(C)CCCO1 ZINC000817721034 632692832 /nfs/dbraw/zinc/69/28/32/632692832.db2.gz CMURFFUTVGIAKT-HNNXBMFYSA-N 0 0 293.319 2.938 20 5 CFBDRN C[C@@]1(C(=O)OCCc2ccccc2[N+](=O)[O-])CC1(F)F ZINC000817722480 632693960 /nfs/dbraw/zinc/69/39/60/632693960.db2.gz PBZUGVBYSBALRA-LBPRGKRZSA-N 0 0 285.246 2.726 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCCOC(=O)[C@@H]1CC12CC2 ZINC000817728552 632695686 /nfs/dbraw/zinc/69/56/86/632695686.db2.gz VZXDSEXEGGJFCV-LBPRGKRZSA-N 0 0 291.303 2.625 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000846372284 632699140 /nfs/dbraw/zinc/69/91/40/632699140.db2.gz HRXZJVWEBDENIL-TVQRCGJNSA-N 0 0 291.351 2.732 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1cccc(F)c1[N+](=O)[O-] ZINC000840348170 632704135 /nfs/dbraw/zinc/70/41/35/632704135.db2.gz HWNCGYLHSGNGQN-KOLCDFICSA-N 0 0 254.261 2.713 20 5 CFBDRN CC(C)(C)N1CC[C@@H](Oc2cc(F)ccc2[N+](=O)[O-])C1=O ZINC000818074629 632794810 /nfs/dbraw/zinc/79/48/10/632794810.db2.gz USIHRNIITHKQCO-LLVKDONJSA-N 0 0 296.298 2.512 20 5 CFBDRN CN(Cc1cnsn1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000846817143 632809753 /nfs/dbraw/zinc/80/97/53/632809753.db2.gz LKFFMFPTGOJQBR-UHFFFAOYSA-N 0 0 298.755 2.732 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCO[C@@H](CF)C1 ZINC000840355593 632818464 /nfs/dbraw/zinc/81/84/64/632818464.db2.gz JBXWTNCRYHQDBM-JTQLQIEISA-N 0 0 288.706 2.731 20 5 CFBDRN COCC[C@H](C)CNc1cccc(F)c1[N+](=O)[O-] ZINC000840359497 632841330 /nfs/dbraw/zinc/84/13/30/632841330.db2.gz UJANUVNETBZHBD-VIFPVBQESA-N 0 0 256.277 2.818 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)C2CCC2)c1C ZINC000885424854 632877954 /nfs/dbraw/zinc/87/79/54/632877954.db2.gz XGMCJNLJMXZIFR-UHFFFAOYSA-N 0 0 291.303 2.738 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CC2(Cl)Cl)nc1 ZINC000856785861 635859903 /nfs/dbraw/zinc/85/99/03/635859903.db2.gz MWIJMBJUPCNDJN-SSDOTTSWSA-N 0 0 276.123 2.986 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\[C@@H]1CCCO1 ZINC000818995648 632926192 /nfs/dbraw/zinc/92/61/92/632926192.db2.gz FNKICHKSDHZJCC-DGMVEKRQSA-N 0 0 276.292 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)Oc1cnn(C(C)C)c1 ZINC000847130893 632933538 /nfs/dbraw/zinc/93/35/38/632933538.db2.gz ODCKMJFSLGDXDI-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN CC1(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC=CC1 ZINC000847150573 632938198 /nfs/dbraw/zinc/93/81/98/632938198.db2.gz DDSNYXYQNKFXEM-RMKNXTFCSA-N 0 0 272.304 2.833 20 5 CFBDRN COC1([C@@H](C)Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCC1 ZINC000840374916 632990139 /nfs/dbraw/zinc/99/01/39/632990139.db2.gz CUBYSHSDLKFRCT-SECBINFHSA-N 0 0 295.295 2.873 20 5 CFBDRN COC1([C@@H](C)Nc2nc3ccccn3c2[N+](=O)[O-])CCC1 ZINC000840376234 633012151 /nfs/dbraw/zinc/01/21/51/633012151.db2.gz ZNIAMCJGCCVNBH-SNVBAGLBSA-N 0 0 290.323 2.612 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(C(F)F)cc1F)[N+](=O)[O-] ZINC000847714300 633051518 /nfs/dbraw/zinc/05/15/18/633051518.db2.gz PPERJYAGXDGXIY-LURJTMIESA-N 0 0 277.198 2.585 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CC(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847782836 633075944 /nfs/dbraw/zinc/07/59/44/633075944.db2.gz XHXKWYDSCWTTHT-MNOVXSKESA-N 0 0 295.339 2.588 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])n1C)C1CCCC1 ZINC000847801904 633079262 /nfs/dbraw/zinc/07/92/62/633079262.db2.gz VNUUDXMQJYFNCZ-SECBINFHSA-N 0 0 266.297 2.669 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CCC[C@H](CO)C2)c1 ZINC000840386123 633178417 /nfs/dbraw/zinc/17/84/17/633178417.db2.gz NDUNAWPZVHKDQK-VHSXEESVSA-N 0 0 298.314 2.706 20 5 CFBDRN CCN1C[C@H](CSCc2ccc([N+](=O)[O-])cc2)OC1=O ZINC000848434836 633259299 /nfs/dbraw/zinc/25/92/99/633259299.db2.gz MIZFHJPHMZJJCR-GFCCVEGCSA-N 0 0 296.348 2.669 20 5 CFBDRN CCc1nocc1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000848509979 633269122 /nfs/dbraw/zinc/26/91/22/633269122.db2.gz QJJDNHSYVWLMKC-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN C[C@H]1C[C@@H]1C(N)=NOCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000848520908 633275017 /nfs/dbraw/zinc/27/50/17/633275017.db2.gz RXWADYXIMJTXQS-XVKPBYJWSA-N 0 0 283.715 2.903 20 5 CFBDRN CC(C)Oc1nc(NCCOC2CCC2)ccc1[N+](=O)[O-] ZINC000848670678 633313731 /nfs/dbraw/zinc/31/37/31/633313731.db2.gz VQAFXOCEYPAQSX-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCC=CO1 ZINC000848686832 633317043 /nfs/dbraw/zinc/31/70/43/633317043.db2.gz KXSDGCNKWJNCHZ-JTQLQIEISA-N 0 0 264.281 2.708 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@H](c2ccccn2)C1 ZINC000848696454 633319498 /nfs/dbraw/zinc/31/94/98/633319498.db2.gz JEZGIYDXEOXLTG-LBPRGKRZSA-N 0 0 299.330 2.992 20 5 CFBDRN CC(C)(CCOC(=O)c1cc([N+](=O)[O-])cnc1N)C1CC1 ZINC000848739609 633330527 /nfs/dbraw/zinc/33/05/27/633330527.db2.gz UOKZPTJEFHXXBU-UHFFFAOYSA-N 0 0 293.323 2.555 20 5 CFBDRN Cc1cnc(CCNc2nc(C)ccc2[N+](=O)[O-])c(C)c1 ZINC000848755681 633336513 /nfs/dbraw/zinc/33/65/13/633336513.db2.gz AZEOFXLMGDJTSE-UHFFFAOYSA-N 0 0 286.335 2.965 20 5 CFBDRN Cc1noc([C@H](C)N(C)c2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000848776163 633341914 /nfs/dbraw/zinc/34/19/14/633341914.db2.gz HJGKRQKGDLZKCC-LURJTMIESA-N 0 0 298.249 2.762 20 5 CFBDRN C[C@H](O)CN(c1nc2ccc([N+](=O)[O-])cc2s1)C1CC1 ZINC000848790630 633343125 /nfs/dbraw/zinc/34/31/25/633343125.db2.gz FKLZSTJTJPWOIU-QMMMGPOBSA-N 0 0 293.348 2.554 20 5 CFBDRN CC(C)=CC(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849625315 633643968 /nfs/dbraw/zinc/64/39/68/633643968.db2.gz NVQICSOKSFTUJR-UHFFFAOYSA-N 0 0 253.229 2.743 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])o1)C1CCCCC1 ZINC000849628677 633646189 /nfs/dbraw/zinc/64/61/89/633646189.db2.gz NMDJWMPLBLVRSV-LBPRGKRZSA-N 0 0 297.307 2.940 20 5 CFBDRN CC1(C)C[C@H](OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CO1 ZINC000849632468 633648451 /nfs/dbraw/zinc/64/84/51/633648451.db2.gz IVXODLGALOTOLR-LJLILKBBSA-N 0 0 291.303 2.719 20 5 CFBDRN CO[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1C)C1CCC1 ZINC000849636197 633651427 /nfs/dbraw/zinc/65/14/27/633651427.db2.gz MOGGCBTWARDMOH-AWEZNQCLSA-N 0 0 293.319 2.875 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000849669457 633664945 /nfs/dbraw/zinc/66/49/45/633664945.db2.gz FEXZKAIFZOQSFR-CYBMUJFWSA-N 0 0 279.292 2.567 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000849670550 633665868 /nfs/dbraw/zinc/66/58/68/633665868.db2.gz WVIAXSUDIXXLAK-AWEZNQCLSA-N 0 0 293.319 2.957 20 5 CFBDRN CO[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000849670551 633666015 /nfs/dbraw/zinc/66/60/15/633666015.db2.gz WVIAXSUDIXXLAK-CQSZACIVSA-N 0 0 293.319 2.957 20 5 CFBDRN CC(C)[C@@H](O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000849691915 633676085 /nfs/dbraw/zinc/67/60/85/633676085.db2.gz CXWUKHIFSYAPSC-NSHDSACASA-N 0 0 293.275 2.515 20 5 CFBDRN CO[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1F)C1CCC1 ZINC000849697967 633678909 /nfs/dbraw/zinc/67/89/09/633678909.db2.gz WHVLWLHHQHGMKC-CYBMUJFWSA-N 0 0 297.282 2.706 20 5 CFBDRN CC(C)=CCCOC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000849710767 633683827 /nfs/dbraw/zinc/68/38/27/633683827.db2.gz UXGOQGTYQJHDKG-UHFFFAOYSA-N 0 0 278.308 2.906 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000849714989 633685716 /nfs/dbraw/zinc/68/57/16/633685716.db2.gz VSYVRPSZJDGIJI-JTQLQIEISA-N 0 0 279.292 2.675 20 5 CFBDRN CCC[C@H](OC)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849790256 633721096 /nfs/dbraw/zinc/72/10/96/633721096.db2.gz XIQQRURRFWEFIJ-LBPRGKRZSA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(/C=C\[C@H]1CCCO1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849812400 633731769 /nfs/dbraw/zinc/73/17/69/633731769.db2.gz YLOLBGBJPAZDHF-FOQNGQEVSA-N 0 0 295.266 2.512 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000849815250 633732427 /nfs/dbraw/zinc/73/24/27/633732427.db2.gz QBFBALBFMLDGFD-QMMMGPOBSA-N 0 0 297.282 2.814 20 5 CFBDRN CO[C@@H](COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)CC(C)C ZINC000849957424 633775029 /nfs/dbraw/zinc/77/50/29/633775029.db2.gz ACZJTDFQCQAIPV-CYBMUJFWSA-N 0 0 298.295 2.943 20 5 CFBDRN O=[N+]([O-])c1cn([C@@H]2C=CCCC2)c(Br)n1 ZINC000849991443 633785316 /nfs/dbraw/zinc/78/53/16/633785316.db2.gz KQUYGNNEDFDUBW-SSDOTTSWSA-N 0 0 272.102 2.835 20 5 CFBDRN CC[C@H](C)Cn1cc([N+](=O)[O-])nc1Br ZINC000849992429 633786302 /nfs/dbraw/zinc/78/63/02/633786302.db2.gz XNPKOFAJXBZQRQ-LURJTMIESA-N 0 0 262.107 2.600 20 5 CFBDRN CO[C@@H](C(=O)OCc1cccc([N+](=O)[O-])c1C)C1CCC1 ZINC000850174856 633872410 /nfs/dbraw/zinc/87/24/10/633872410.db2.gz HVORPDIOAYIIPV-CQSZACIVSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@H]1CO[C@@H](C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000885573927 633887746 /nfs/dbraw/zinc/88/77/46/633887746.db2.gz BKTNIUBULKTURY-PRHODGIISA-N 0 0 299.710 2.716 20 5 CFBDRN COc1ccc(COC(=O)[C@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000850263078 633916779 /nfs/dbraw/zinc/91/67/79/633916779.db2.gz FNIHRUTXELGKGK-GDNZZTSVSA-N 0 0 291.303 2.693 20 5 CFBDRN CCOC1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCC1 ZINC000850289085 633927375 /nfs/dbraw/zinc/92/73/75/633927375.db2.gz DDQNLXCUMIYVGW-UHFFFAOYSA-N 0 0 297.282 2.736 20 5 CFBDRN CCOC1(C(=O)OCCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000850288731 633927393 /nfs/dbraw/zinc/92/73/93/633927393.db2.gz UJMLYUOZPFLSBJ-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN[C@H]1C[C@@H](O)c2ccccc21 ZINC000850368838 633958404 /nfs/dbraw/zinc/95/84/04/633958404.db2.gz FISYXUBYCKAOHA-GOEBONIOSA-N 0 0 284.315 2.863 20 5 CFBDRN Cn1ccnc1[C@@H](N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000850507027 634022860 /nfs/dbraw/zinc/02/28/60/634022860.db2.gz DCEACOOOMLYCTK-ZFWWWQNUSA-N 0 0 298.346 2.726 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2CC[C@@H](OC)C2)c([N+](=O)[O-])c1 ZINC000850847956 634109108 /nfs/dbraw/zinc/10/91/08/634109108.db2.gz LHVHHIJUNZUWLG-VXGBXAGGSA-N 0 0 293.319 2.878 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000850901172 634129730 /nfs/dbraw/zinc/12/97/30/634129730.db2.gz MXPMBDSOJBOPFI-VXGBXAGGSA-N 0 0 297.282 2.843 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCCC[C@H]1C(F)(F)F)[N+](=O)[O-] ZINC000851036736 634190561 /nfs/dbraw/zinc/19/05/61/634190561.db2.gz MEBIXBYMJMNLBX-IWSPIJDZSA-N 0 0 283.246 2.564 20 5 CFBDRN CC(C)(COC(=O)C12CC3CC(CC(C3)C1)C2)[N+](=O)[O-] ZINC000851124240 634234492 /nfs/dbraw/zinc/23/44/92/634234492.db2.gz QMTLVQCOAKMLDG-UHFFFAOYSA-N 0 0 281.352 2.801 20 5 CFBDRN CC[C@H](Oc1ccccc1C)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126403 634236782 /nfs/dbraw/zinc/23/67/82/634236782.db2.gz MPIOFFIFIIGBEL-LBPRGKRZSA-N 0 0 295.335 2.751 20 5 CFBDRN CC(C)(C)SCCCC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851125933 634236894 /nfs/dbraw/zinc/23/68/94/634236894.db2.gz IZHWLVXYFMOKSU-UHFFFAOYSA-N 0 0 277.386 2.897 20 5 CFBDRN CC(C)(COC(=O)[C@@H]1CCCc2sccc21)[N+](=O)[O-] ZINC000851126075 634236930 /nfs/dbraw/zinc/23/69/30/634236930.db2.gz LXVQJPQUDYUUFM-SNVBAGLBSA-N 0 0 283.349 2.767 20 5 CFBDRN C[C@H](CC(=O)OCC(C)(C)[N+](=O)[O-])c1cccc(F)c1 ZINC000851127418 634237885 /nfs/dbraw/zinc/23/78/85/634237885.db2.gz WZDBGBSOZXIASH-SNVBAGLBSA-N 0 0 283.299 2.918 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129339 634238490 /nfs/dbraw/zinc/23/84/90/634238490.db2.gz JYRBCIYPIKOVTF-GHMZBOCLSA-N 0 0 257.330 2.801 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851129506 634238883 /nfs/dbraw/zinc/23/88/83/634238883.db2.gz ORIIQZFHTVITET-VHSXEESVSA-N 0 0 257.330 2.657 20 5 CFBDRN CC(C)(COC(=O)Cc1ccc(Cl)s1)[N+](=O)[O-] ZINC000851130128 634239749 /nfs/dbraw/zinc/23/97/49/634239749.db2.gz RJHBWEWWAYYLSX-UHFFFAOYSA-N 0 0 277.729 2.543 20 5 CFBDRN CCC[C@@H]1CCCC[C@@H]1OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000851453564 634369756 /nfs/dbraw/zinc/36/97/56/634369756.db2.gz KUKSCCDLIJNTKJ-NEPJUHHUSA-N 0 0 295.339 2.693 20 5 CFBDRN Cc1noc(CSC(=S)N2CCCC2)c1[N+](=O)[O-] ZINC000853013883 634875786 /nfs/dbraw/zinc/87/57/86/634875786.db2.gz JGVFTXUZGLKMTQ-UHFFFAOYSA-N 0 0 287.366 2.505 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)c([N+](=O)[O-])n2)Cc2ccccc21 ZINC000853091187 634900551 /nfs/dbraw/zinc/90/05/51/634900551.db2.gz ZYXZEUHKBCJHEW-SNVBAGLBSA-N 0 0 284.319 2.696 20 5 CFBDRN COc1cc(NCc2ccn(C)n2)c(Cl)cc1[N+](=O)[O-] ZINC000853464494 635007597 /nfs/dbraw/zinc/00/75/97/635007597.db2.gz LYVYYGYQMYDTKI-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN COc1cc(N2CCC[C@@](C)(OC)C2)c([N+](=O)[O-])cc1C ZINC000853557937 635035408 /nfs/dbraw/zinc/03/54/08/635035408.db2.gz CFPQJLPOACFCCP-OAHLLOKOSA-N 0 0 294.351 2.917 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1N1CC[C@@H]2C[C@@H]21 ZINC000853568921 635038146 /nfs/dbraw/zinc/03/81/46/635038146.db2.gz CTMMJSHBYFUWRR-XCBNKYQSSA-N 0 0 283.125 2.956 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2C[C@H](C)[C@@H]2C)c1 ZINC000853649735 635060359 /nfs/dbraw/zinc/06/03/59/635060359.db2.gz BYUPDOGYQNXDRX-YUMQZZPRSA-N 0 0 254.261 2.587 20 5 CFBDRN CC1=NO[C@H](CNc2ccc([N+](=O)[O-])c3cnccc23)C1 ZINC000853660650 635064935 /nfs/dbraw/zinc/06/49/35/635064935.db2.gz JMEPBQWNILHCNZ-JTQLQIEISA-N 0 0 286.291 2.720 20 5 CFBDRN NC(CC1CC1)=NOCc1ccc(F)cc1[N+](=O)[O-] ZINC000853672843 635069749 /nfs/dbraw/zinc/06/97/49/635069749.db2.gz LYVVOHYBHPVZRZ-UHFFFAOYSA-N 0 0 267.260 2.532 20 5 CFBDRN Cn1cncc1CCOc1cc([N+](=O)[O-])ccc1Cl ZINC000853673598 635069931 /nfs/dbraw/zinc/06/99/31/635069931.db2.gz YIYMWCKFGJTHHU-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN COc1ccc(OCc2oc(C)nc2C)cc1[N+](=O)[O-] ZINC000853691918 635076970 /nfs/dbraw/zinc/07/69/70/635076970.db2.gz JTFSYGDHMRSUNX-UHFFFAOYSA-N 0 0 278.264 2.787 20 5 CFBDRN C[C@@]1(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@@]1(F)Cl ZINC000853711855 635081525 /nfs/dbraw/zinc/08/15/25/635081525.db2.gz ILYPZESCMCAPOR-MNOVXSKESA-N 0 0 289.694 2.812 20 5 CFBDRN Cc1cc(N2C[C@H]3[C@@H](C2)C3(F)F)c([N+](=O)[O-])s1 ZINC000853716518 635083074 /nfs/dbraw/zinc/08/30/74/635083074.db2.gz OUMRYATUZSKTQG-KNVOCYPGSA-N 0 0 260.265 2.666 20 5 CFBDRN Cc1nnc(CN(C)c2cc(Cl)ccc2[N+](=O)[O-])o1 ZINC000853815564 635116169 /nfs/dbraw/zinc/11/61/69/635116169.db2.gz TZVNURXQPSJVRT-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@](O)(C3CC3)C2)c(Cl)c1 ZINC000853834068 635121905 /nfs/dbraw/zinc/12/19/05/635121905.db2.gz RWBOEENHKUCRQL-CQSZACIVSA-N 0 0 296.754 2.990 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@H](O)c2ccccc21 ZINC000853836316 635123134 /nfs/dbraw/zinc/12/31/34/635123134.db2.gz BGJGGLVPUKCWAD-KGLIPLIRSA-N 0 0 285.303 2.889 20 5 CFBDRN C[C@H](O)[C@H]1CCCN1c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000853863018 635137566 /nfs/dbraw/zinc/13/75/66/635137566.db2.gz VSYQWYVGAZPWEY-GZMMTYOYSA-N 0 0 293.348 2.554 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC1CCC(O)CC1 ZINC000853905253 635154888 /nfs/dbraw/zinc/15/48/88/635154888.db2.gz RDJMFDSCKQEHNY-UHFFFAOYSA-N 0 0 292.335 2.760 20 5 CFBDRN CC/C(C)=C(\C)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853909932 635159092 /nfs/dbraw/zinc/15/90/92/635159092.db2.gz CZBZOBXGHQTEON-BQYQJAHWSA-N 0 0 268.269 2.681 20 5 CFBDRN Cc1noc(COC(=O)[C@H]2C[C@@]2(C)C(C)C)c1[N+](=O)[O-] ZINC000853909399 635159166 /nfs/dbraw/zinc/15/91/66/635159166.db2.gz PHWFQIVCNJJRFW-RNCFNFMXSA-N 0 0 282.296 2.617 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](N(C)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000853960406 635177412 /nfs/dbraw/zinc/17/74/12/635177412.db2.gz UAQLWCACHPZABZ-WAAGHKOSSA-N 0 0 298.726 2.636 20 5 CFBDRN CC(C)(CCc1noc([C@@H]2CCC[C@H]3C[C@H]32)n1)[N+](=O)[O-] ZINC000854060577 635201708 /nfs/dbraw/zinc/20/17/08/635201708.db2.gz BGSRBDGDMXNLOJ-HBNTYKKESA-N 0 0 279.340 2.961 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(CCc2ccccc2Cl)no1 ZINC000854109217 635213375 /nfs/dbraw/zinc/21/33/75/635213375.db2.gz DGMFNWFTOVVJMD-MWLCHTKSSA-N 0 0 293.710 2.641 20 5 CFBDRN Cc1ncc(-c2scc3c2OCCO3)cc1[N+](=O)[O-] ZINC000854206335 635253283 /nfs/dbraw/zinc/25/32/83/635253283.db2.gz ONFRFAWCEMXFBJ-UHFFFAOYSA-N 0 0 278.289 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2ccc3c(c2)CC[C@@H]3O)c1 ZINC000854208278 635254588 /nfs/dbraw/zinc/25/45/88/635254588.db2.gz ATQCIJZLYLTWJS-KRWDZBQOSA-N 0 0 299.326 2.776 20 5 CFBDRN CCCCSCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496534 635317373 /nfs/dbraw/zinc/31/73/73/635317373.db2.gz MYRIHRFOBKZJTL-UHFFFAOYSA-N 0 0 283.353 2.649 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2C[C@@H]2C2CCCC2)cc1[N+](=O)[O-] ZINC000854496017 635317434 /nfs/dbraw/zinc/31/74/34/635317434.db2.gz ASFFYYPJHOKPJH-VXGBXAGGSA-N 0 0 289.335 2.942 20 5 CFBDRN C[C@H]1CCC[C@@H]1CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496394 635317472 /nfs/dbraw/zinc/31/74/72/635317472.db2.gz FMBIZMALIBUXSD-VHSXEESVSA-N 0 0 277.324 2.942 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496686 635317559 /nfs/dbraw/zinc/31/75/59/635317559.db2.gz OOYXJNIZQDPIGK-KOLCDFICSA-N 0 0 277.324 2.942 20 5 CFBDRN CCCC(C)(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496466 635317572 /nfs/dbraw/zinc/31/75/72/635317572.db2.gz LRLXLQRBOPTQNQ-UHFFFAOYSA-N 0 0 265.313 2.942 20 5 CFBDRN Cc1ccncc1CC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000854679075 635363644 /nfs/dbraw/zinc/36/36/44/635363644.db2.gz RNOFSUDZDKTFMB-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CC[C@@H]2C2CC2)c1F ZINC000854750672 635385122 /nfs/dbraw/zinc/38/51/22/635385122.db2.gz WOLHKBSHEOUBEX-SECBINFHSA-N 0 0 296.273 2.806 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1COc2ccccc21 ZINC000113029799 635461906 /nfs/dbraw/zinc/46/19/06/635461906.db2.gz ATECOOWSLUYZDC-ZDUSSCGKSA-N 0 0 299.282 2.985 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1C=CCC1 ZINC000855418438 635535277 /nfs/dbraw/zinc/53/52/77/635535277.db2.gz BPJSVBJYKCWHDK-QWRGUYRKSA-N 0 0 292.360 2.910 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)c1cc([N+](=O)[O-])ccc1OC(F)F ZINC000855428958 635542126 /nfs/dbraw/zinc/54/21/26/635542126.db2.gz AILOWQZVFSDZNB-MRVPVSSYSA-N 0 0 298.245 2.645 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1C=CCC1 ZINC000855436019 635548443 /nfs/dbraw/zinc/54/84/43/635548443.db2.gz SYNAMXZUHJSHIL-NSHDSACASA-N 0 0 260.293 2.660 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N2C[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000888492512 635616715 /nfs/dbraw/zinc/61/67/15/635616715.db2.gz ZARWXJMJGKUYEC-RTXFEEFZSA-N 0 0 289.335 2.626 20 5 CFBDRN CC(C)CC1(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC1 ZINC000856192259 635751279 /nfs/dbraw/zinc/75/12/79/635751279.db2.gz UJXSTEWRYBBZOS-UHFFFAOYSA-N 0 0 295.314 2.625 20 5 CFBDRN CC1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000856244308 635763960 /nfs/dbraw/zinc/76/39/60/635763960.db2.gz FWGSMYZDCRCPFD-CLYYMRHHSA-N 0 0 289.335 2.580 20 5 CFBDRN O=C(NOCC1CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000856348747 635791578 /nfs/dbraw/zinc/79/15/78/635791578.db2.gz CUCIELWELNATSC-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000856510332 635828403 /nfs/dbraw/zinc/82/84/03/635828403.db2.gz QCNGUCXRKSRYEK-UHFFFAOYSA-N 0 0 280.711 2.873 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC2CC1(C)C2 ZINC000856514259 635830502 /nfs/dbraw/zinc/83/05/02/635830502.db2.gz ZRHXKNVNHRHYPR-UHFFFAOYSA-N 0 0 278.283 2.667 20 5 CFBDRN C[C@@H]1CCN1c1cccc(Br)c1[N+](=O)[O-] ZINC000856725052 635841386 /nfs/dbraw/zinc/84/13/86/635841386.db2.gz DPWVMLKAZRPNNZ-SSDOTTSWSA-N 0 0 271.114 2.956 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)C1CC2(CC2)C1 ZINC000857571824 635985433 /nfs/dbraw/zinc/98/54/33/635985433.db2.gz NNDKTJCDAIRLGG-UHFFFAOYSA-N 0 0 264.256 2.863 20 5 CFBDRN CO[C@H](C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-])C1CCC1 ZINC000857573089 635985472 /nfs/dbraw/zinc/98/54/72/635985472.db2.gz RLZMZRHZHFAQPI-AWEZNQCLSA-N 0 0 293.319 2.932 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000857572346 635985578 /nfs/dbraw/zinc/98/55/78/635985578.db2.gz ZQIAOXWLWMCMLK-BXKDBHETSA-N 0 0 296.298 2.876 20 5 CFBDRN CO[C@@H](C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F)C1CCC1 ZINC000857617215 635995411 /nfs/dbraw/zinc/99/54/11/635995411.db2.gz DYGJMIRNBSSKJK-CYBMUJFWSA-N 0 0 296.298 2.796 20 5 CFBDRN CO[C@@H]1CC[C@H](C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)C1 ZINC000857618708 635995995 /nfs/dbraw/zinc/99/59/95/635995995.db2.gz UMPNMHIYVZAZRI-VHSXEESVSA-N 0 0 296.298 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCc3n[nH]cc3C2)cc1Cl ZINC000857878895 636045169 /nfs/dbraw/zinc/04/51/69/636045169.db2.gz VMDGEDACDQOONJ-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN O=C(Nc1ccc2ccccc2c1)c1n[nH]cc1[N+](=O)[O-] ZINC000158889427 650240256 /nfs/dbraw/zinc/24/02/56/650240256.db2.gz FLMURFFSVWIUON-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN C/C=C\CNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000858496286 636271067 /nfs/dbraw/zinc/27/10/67/636271067.db2.gz MELNBSOPIHIKQH-XOULXFPDSA-N 0 0 263.297 2.531 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000159072859 650246567 /nfs/dbraw/zinc/24/65/67/650246567.db2.gz YROBRBOYPOPFTL-HTQZYQBOSA-N 0 0 268.700 2.634 20 5 CFBDRN COCOCCCNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000858605933 636306656 /nfs/dbraw/zinc/30/66/56/636306656.db2.gz BCBAFQONPSYWHS-UHFFFAOYSA-N 0 0 297.336 2.627 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC=C(c3ccccn3)C2)n1 ZINC000858605655 636306741 /nfs/dbraw/zinc/30/67/41/636306741.db2.gz YDLYWVTZMDKIKW-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000047868480 650247506 /nfs/dbraw/zinc/24/75/06/650247506.db2.gz XDKDNXUOIVPWDE-GXFFZTMASA-N 0 0 291.351 2.876 20 5 CFBDRN COC(=O)C1=CC[C@H](Nc2cc(C)sc2[N+](=O)[O-])C1 ZINC000858692671 636327348 /nfs/dbraw/zinc/32/73/48/636327348.db2.gz ZEAPKORSRNNIBF-VIFPVBQESA-N 0 0 282.321 2.638 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1cccc(F)c1[N+](=O)[O-] ZINC000858730287 636334064 /nfs/dbraw/zinc/33/40/64/636334064.db2.gz VLIHIOBRQVKTDE-PWSUYJOCSA-N 0 0 254.261 2.713 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000858732484 636334446 /nfs/dbraw/zinc/33/44/46/636334446.db2.gz AIFQOYAKPKZZEP-LBPRGKRZSA-N 0 0 290.319 2.967 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2ccc([N+](=O)[O-])s2)C1 ZINC000858734077 636335468 /nfs/dbraw/zinc/33/54/68/636335468.db2.gz MSYGHLNHTORWTO-VIFPVBQESA-N 0 0 282.321 2.720 20 5 CFBDRN CCOC(=O)C1=CC[C@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000858735329 636335830 /nfs/dbraw/zinc/33/58/30/636335830.db2.gz GZCAIVGNVSCYIE-VIFPVBQESA-N 0 0 282.321 2.720 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000858773719 636342953 /nfs/dbraw/zinc/34/29/53/636342953.db2.gz ORMMMSZNTPMJCX-GUDRVLHUSA-N 0 0 297.355 2.584 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000858809220 636348908 /nfs/dbraw/zinc/34/89/08/636348908.db2.gz MCNRHZJZRULVGA-TVQRCGJNSA-N 0 0 296.298 2.722 20 5 CFBDRN Nc1ccc(N2CC[C@H](c3ccc(O)cc3)C2)c([N+](=O)[O-])c1 ZINC000858837626 636355134 /nfs/dbraw/zinc/35/51/34/636355134.db2.gz UJIHNTVJRNQNNB-LBPRGKRZSA-N 0 0 299.330 2.877 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000858854313 636357468 /nfs/dbraw/zinc/35/74/68/636357468.db2.gz UPJFFFSAZVWBTP-KLPPZKSPSA-N 0 0 278.308 2.634 20 5 CFBDRN CCCOCCOC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000858910479 636379586 /nfs/dbraw/zinc/37/95/86/636379586.db2.gz UWPJCXWVXDPZKN-NSHDSACASA-N 0 0 296.323 2.809 20 5 CFBDRN CC(C)CCOC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000859029740 636417407 /nfs/dbraw/zinc/41/74/07/636417407.db2.gz OSSTVBHYKVSEDX-UHFFFAOYSA-N 0 0 280.324 2.910 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cccnc1[N+](=O)[O-] ZINC000159336354 650255527 /nfs/dbraw/zinc/25/55/27/650255527.db2.gz JOLJTYGPJNXWJW-UHFFFAOYSA-N 0 0 274.236 2.510 20 5 CFBDRN CC(C)CCOC(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000859104197 636456382 /nfs/dbraw/zinc/45/63/82/636456382.db2.gz LOLYHYNVEDEYSL-UHFFFAOYSA-N 0 0 293.323 2.531 20 5 CFBDRN CCCCCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000048246186 650260597 /nfs/dbraw/zinc/26/05/97/650260597.db2.gz PFFONRRYXFYFIJ-UHFFFAOYSA-N 0 0 283.303 2.626 20 5 CFBDRN CCO[C@H](COC(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000859451258 636569219 /nfs/dbraw/zinc/56/92/19/636569219.db2.gz ZYLQMSMAUJDGOO-CYBMUJFWSA-N 0 0 279.292 2.567 20 5 CFBDRN CCO[C@H](COC(=O)c1c(C)cccc1[N+](=O)[O-])C1CC1 ZINC000859462887 636572566 /nfs/dbraw/zinc/57/25/66/636572566.db2.gz UUGLIYGOKJDKKH-CYBMUJFWSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1c(C(=O)OC[C@@H]2C[C@H]2C)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000859506545 636584767 /nfs/dbraw/zinc/58/47/67/636584767.db2.gz RVEIWQJXQDYOMN-APPZFPTMSA-N 0 0 294.263 2.624 20 5 CFBDRN CO[C@]1(C)C[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])C1(C)C ZINC000159576781 650267857 /nfs/dbraw/zinc/26/78/57/650267857.db2.gz OIRDGSSLZWKXNA-IUODEOHRSA-N 0 0 293.319 2.955 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000859527212 636589950 /nfs/dbraw/zinc/58/99/50/636589950.db2.gz PIBRKFVTUPFULR-YUMQZZPRSA-N 0 0 253.229 2.547 20 5 CFBDRN CCC[C@@H](OCC)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000859838182 636719308 /nfs/dbraw/zinc/71/93/08/636719308.db2.gz JBLZCJBMWZZRGX-CQSZACIVSA-N 0 0 295.335 2.886 20 5 CFBDRN Cc1c(C(=O)NCC(C)(C)C)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000194268715 636768272 /nfs/dbraw/zinc/76/82/72/636768272.db2.gz FBZCIBFCVYTURI-UHFFFAOYSA-N 0 0 295.295 2.587 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000860140572 636800623 /nfs/dbraw/zinc/80/06/23/636800623.db2.gz GFNUJXFYUCARBB-FBKDDSFISA-N 0 0 293.319 2.698 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000860140570 636800677 /nfs/dbraw/zinc/80/06/77/636800677.db2.gz GFNUJXFYUCARBB-DEKYYXRVSA-N 0 0 293.319 2.698 20 5 CFBDRN CCn1cc(C(=O)OCc2csc([N+](=O)[O-])c2)c(C)n1 ZINC000860205950 636825942 /nfs/dbraw/zinc/82/59/42/636825942.db2.gz ZGXZVHYZGQVWOM-UHFFFAOYSA-N 0 0 295.320 2.538 20 5 CFBDRN C[C@H](OC(=O)C12CC(C1)C2)c1ccc([N+](=O)[O-])cc1 ZINC000860271799 636844479 /nfs/dbraw/zinc/84/44/79/636844479.db2.gz QVPUVGBHFWVKJT-IPWFMCSPSA-N 0 0 261.277 2.999 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000860614275 636927815 /nfs/dbraw/zinc/92/78/15/636927815.db2.gz JNCPDDCITDCIIF-VXFNFUGZSA-N 0 0 297.282 2.699 20 5 CFBDRN C[C@@H](COC(=O)CC(C1CCC1)C1CCC1)[N+](=O)[O-] ZINC000860776108 636969188 /nfs/dbraw/zinc/96/91/88/636969188.db2.gz BMGZJCAUNMDXTG-JTQLQIEISA-N 0 0 269.341 2.801 20 5 CFBDRN O=C(CCC(=O)c1ccc(Cl)cc1)OCCC[N+](=O)[O-] ZINC000860911476 637014659 /nfs/dbraw/zinc/01/46/59/637014659.db2.gz MSNRUHHLIPXXFF-UHFFFAOYSA-N 0 0 299.710 2.513 20 5 CFBDRN Cc1oc(-c2cccs2)nc1C(=O)OCCC[N+](=O)[O-] ZINC000860914421 637015049 /nfs/dbraw/zinc/01/50/49/637015049.db2.gz UXDCYQFEYHLPLQ-UHFFFAOYSA-N 0 0 296.304 2.535 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1csc2ccccc12 ZINC000860912884 637015427 /nfs/dbraw/zinc/01/54/27/637015427.db2.gz GPRIAQGJJDBWRK-UHFFFAOYSA-N 0 0 265.290 2.725 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(Cc2ccc(Cl)cc2)CC1 ZINC000860917192 637016072 /nfs/dbraw/zinc/01/60/72/637016072.db2.gz HFHIFNDEDIASSH-UHFFFAOYSA-N 0 0 297.738 2.873 20 5 CFBDRN C[C@@H](C(=O)OCCC[N+](=O)[O-])c1ccc(Cl)s1 ZINC000860917340 637016085 /nfs/dbraw/zinc/01/60/85/637016085.db2.gz KDCABDWWENQZNX-SSDOTTSWSA-N 0 0 277.729 2.715 20 5 CFBDRN C[C@@H](CCc1cccc(F)c1)C(=O)OCCC[N+](=O)[O-] ZINC000860917458 637016104 /nfs/dbraw/zinc/01/61/04/637016104.db2.gz LOLQQKCZYMNUJO-NSHDSACASA-N 0 0 283.299 2.604 20 5 CFBDRN C[C@H](CC(=O)OCCC[N+](=O)[O-])C1CCCCC1 ZINC000860916117 637016266 /nfs/dbraw/zinc/01/62/66/637016266.db2.gz NMDQTTWLOBVRQN-LLVKDONJSA-N 0 0 257.330 2.803 20 5 CFBDRN CC[C@H](O[C@H]1CCC[C@@H](C)C1)C(=O)OCCC[N+](=O)[O-] ZINC000860918135 637016884 /nfs/dbraw/zinc/01/68/84/637016884.db2.gz YNOSSPLJDGILNB-AGIUHOORSA-N 0 0 287.356 2.570 20 5 CFBDRN CC(C)=CCC[C@@H](C)CC(=O)OCCC[N+](=O)[O-] ZINC000860918936 637017000 /nfs/dbraw/zinc/01/70/00/637017000.db2.gz HWPZWQSTNSGGPP-GFCCVEGCSA-N 0 0 257.330 2.969 20 5 CFBDRN CC(C)(C)c1ccccc1C(=O)OCCC[N+](=O)[O-] ZINC000860917790 637017051 /nfs/dbraw/zinc/01/70/51/637017051.db2.gz UHYFCGGNQKUPNH-UHFFFAOYSA-N 0 0 265.309 2.808 20 5 CFBDRN CCc1nc(C(C)C)ccc1C(=O)OCCC[N+](=O)[O-] ZINC000860919291 637017099 /nfs/dbraw/zinc/01/70/99/637017099.db2.gz WHWVSLLFWZLLFF-UHFFFAOYSA-N 0 0 280.324 2.591 20 5 CFBDRN Cc1c(C(=O)OC[C@]2(C)CCCOC2)cccc1[N+](=O)[O-] ZINC000861036812 637050817 /nfs/dbraw/zinc/05/08/17/637050817.db2.gz HNLNHJAGFBVTCJ-OAHLLOKOSA-N 0 0 293.319 2.877 20 5 CFBDRN C[C@@]1(COC(=O)c2cccc([N+](=O)[O-])c2)CCCOC1 ZINC000861038591 637051595 /nfs/dbraw/zinc/05/15/95/637051595.db2.gz ITOAXOQVMGNSLZ-CQSZACIVSA-N 0 0 279.292 2.568 20 5 CFBDRN Cc1cccc(C(=O)OC[C@]2(C)CCCOC2)c1[N+](=O)[O-] ZINC000861040956 637052698 /nfs/dbraw/zinc/05/26/98/637052698.db2.gz JOHOKCJOYXNSFU-OAHLLOKOSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@]1(C)CCCOC1 ZINC000861040046 637052705 /nfs/dbraw/zinc/05/27/05/637052705.db2.gz JAAAHMWBVIDPGU-HNNXBMFYSA-N 0 0 293.319 2.877 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC[C@@H]1C[C@H]1C(C)(C)C ZINC000861111083 637089106 /nfs/dbraw/zinc/08/91/06/637089106.db2.gz IELNDQKHQKHMHB-GXSJLCMTSA-N 0 0 280.324 2.772 20 5 CFBDRN Cc1conc1COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000861194530 637109221 /nfs/dbraw/zinc/10/92/21/637109221.db2.gz FVYSAERHQJCXGY-UHFFFAOYSA-N 0 0 290.275 2.567 20 5 CFBDRN CO[C@H]1C[C@H](OC(=O)c2ccc(SC)c([N+](=O)[O-])c2)C1 ZINC000861300042 637155250 /nfs/dbraw/zinc/15/52/50/637155250.db2.gz YEORBAOUFSFKFP-MGCOHNPYSA-N 0 0 297.332 2.651 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2CCCC23CC3)cc1[N+](=O)[O-] ZINC000861749036 637233418 /nfs/dbraw/zinc/23/34/18/637233418.db2.gz WOZSAIGBUBYHKT-JTQLQIEISA-N 0 0 275.308 2.696 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc2ccccc21)N1CC[C@@H](F)C1 ZINC000861861263 637247240 /nfs/dbraw/zinc/24/72/40/637247240.db2.gz PXBHFUFTROFRDX-LLVKDONJSA-N 0 0 288.278 2.932 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000861956443 637260510 /nfs/dbraw/zinc/26/05/10/637260510.db2.gz PNYFSUIWKLZBMV-KOLCDFICSA-N 0 0 298.726 2.546 20 5 CFBDRN CC[C@@H](C)Cn1c(C)nc([N+](=O)[O-])c1Br ZINC000118943590 637388759 /nfs/dbraw/zinc/38/87/59/637388759.db2.gz DQELDVKJZNDYFY-ZCFIWIBFSA-N 0 0 276.134 2.908 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@H]1CC=CCC1 ZINC000862946664 637524020 /nfs/dbraw/zinc/52/40/20/637524020.db2.gz AZSPSDLTMWUJSY-NSHDSACASA-N 0 0 289.335 2.653 20 5 CFBDRN Cc1ccccc1SC[C@H](C)Cn1cnc([N+](=O)[O-])n1 ZINC000863293094 637618155 /nfs/dbraw/zinc/61/81/55/637618155.db2.gz NDUXIXKFNZFYCC-SNVBAGLBSA-N 0 0 292.364 2.923 20 5 CFBDRN Cc1cccc(OCc2cc(Cl)n(C)n2)c1[N+](=O)[O-] ZINC000863315508 637624429 /nfs/dbraw/zinc/62/44/29/637624429.db2.gz ZTWSETXMNWQUHH-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN COc1cc(OCc2cc(Cl)n(C)n2)ccc1[N+](=O)[O-] ZINC000863328429 637627569 /nfs/dbraw/zinc/62/75/69/637627569.db2.gz TYCVUBSHVKMXGU-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCC(F)=C(F)F ZINC000863334860 637629819 /nfs/dbraw/zinc/62/98/19/637629819.db2.gz CKJPUYMLMJUXEQ-UHFFFAOYSA-N 0 0 262.187 2.533 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(Cn2cc([N+](=O)[O-])c(C)n2)C1 ZINC000863341136 637631475 /nfs/dbraw/zinc/63/14/75/637631475.db2.gz PRPLIPNUKIPBTL-ITDFMYJTSA-N 0 0 278.356 2.735 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCc2cnoc2)c(F)c1F ZINC000863344416 637632258 /nfs/dbraw/zinc/63/22/58/637632258.db2.gz DLBQWELXRPFJQP-UHFFFAOYSA-N 0 0 284.218 2.873 20 5 CFBDRN C[C@@H]1C[C@@H]1COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000863347863 637635003 /nfs/dbraw/zinc/63/50/03/637635003.db2.gz URIZXFSYYWDFHS-HTQZYQBOSA-N 0 0 252.226 2.538 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CONC(=O)OC(C)(C)C ZINC000863463327 637667217 /nfs/dbraw/zinc/66/72/17/637667217.db2.gz WWRPXZXHANAIDL-UHFFFAOYSA-N 0 0 298.295 2.560 20 5 CFBDRN C[C@]12CCCC[C@H]1CN2C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000863583691 637702555 /nfs/dbraw/zinc/70/25/55/637702555.db2.gz DMGSHKLYKQVGIO-NHYWBVRUSA-N 0 0 289.335 2.582 20 5 CFBDRN CCN[C@H](C)c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000863664370 637737285 /nfs/dbraw/zinc/73/72/85/637737285.db2.gz YJVUSCQRQVGYGP-SNVBAGLBSA-N 0 0 290.323 2.548 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCc2cccc3c2OCC3)c1 ZINC000864022757 637836751 /nfs/dbraw/zinc/83/67/51/637836751.db2.gz FWLPQBAFWAHPCK-UHFFFAOYSA-N 0 0 285.303 2.724 20 5 CFBDRN Cc1c(CNc2ccc3c(c2)nnn3C)cccc1[N+](=O)[O-] ZINC000864031298 637837127 /nfs/dbraw/zinc/83/71/27/637837127.db2.gz YMDRGFBNIGEEFJ-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000864868771 638111926 /nfs/dbraw/zinc/11/19/26/638111926.db2.gz ZPRDNWFTTNLVIS-JTQLQIEISA-N 0 0 282.315 2.923 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccccc2[N+](=O)[O-])s1 ZINC000189685491 650394453 /nfs/dbraw/zinc/39/44/53/650394453.db2.gz PLFLFMWLKQLKPS-UHFFFAOYSA-N 0 0 280.265 2.572 20 5 CFBDRN CCN(C(=O)Cc1cccc(F)c1[N+](=O)[O-])C(C)C ZINC000864951893 638134712 /nfs/dbraw/zinc/13/47/12/638134712.db2.gz AGFQNUICWGYZPQ-UHFFFAOYSA-N 0 0 268.288 2.533 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000864979973 638145758 /nfs/dbraw/zinc/14/57/58/638145758.db2.gz XEVRXSAMJSPPSB-AQNFWKISSA-N 0 0 288.347 2.546 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865012058 638160009 /nfs/dbraw/zinc/16/00/09/638160009.db2.gz LYKPDLIVICXTLF-ZDUSSCGKSA-N 0 0 294.326 2.923 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)OCc2ccc([N+](=O)[O-])cc2)O1 ZINC000076857296 650407516 /nfs/dbraw/zinc/40/75/16/650407516.db2.gz CPLSZGYOELCVGP-FZMZJTMJSA-N 0 0 293.319 2.986 20 5 CFBDRN C[C@@H](C(=O)C(C)(C)C)n1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000865351797 638277915 /nfs/dbraw/zinc/27/79/15/638277915.db2.gz MAFXGUGMSPECBG-QMMMGPOBSA-N 0 0 292.291 2.679 20 5 CFBDRN O=c1oc2ccc([N+](=O)[O-])cc2n1CCCC1CC1 ZINC000865351500 638277924 /nfs/dbraw/zinc/27/79/24/638277924.db2.gz BHKJDMMKEJFJJX-UHFFFAOYSA-N 0 0 262.265 2.693 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCC2CC2)cc1CO ZINC000865364535 638285658 /nfs/dbraw/zinc/28/56/58/638285658.db2.gz KDEWDYOMIOJUNL-UHFFFAOYSA-N 0 0 251.282 2.656 20 5 CFBDRN C[C@@H](Cn1nc([N+](=O)[O-])nc1Br)C(C)(C)C ZINC000865391942 638303188 /nfs/dbraw/zinc/30/31/88/638303188.db2.gz JAXZSMKACRKJQU-LURJTMIESA-N 0 0 291.149 2.631 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@H]1CC1(Cl)Cl ZINC000865410690 638318657 /nfs/dbraw/zinc/31/86/57/638318657.db2.gz FAQGNTKHNCTHRA-RXMQYKEDSA-N 0 0 280.086 2.735 20 5 CFBDRN C[C@@H](CO)Nc1c(Cl)c(Cl)ccc1[N+](=O)[O-] ZINC000865430416 638330330 /nfs/dbraw/zinc/33/03/30/638330330.db2.gz VKHZLHOUMISUKY-YFKPBYRVSA-N 0 0 265.096 2.694 20 5 CFBDRN CC1(C)CC[C@@H](CNc2cc(F)c([N+](=O)[O-])cc2CO)O1 ZINC000865690591 638513096 /nfs/dbraw/zinc/51/30/96/638513096.db2.gz JFDBULDJIVVSHB-JTQLQIEISA-N 0 0 298.314 2.596 20 5 CFBDRN CC(C)C[C@@H]1CCCN(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000120694366 638524699 /nfs/dbraw/zinc/52/46/99/638524699.db2.gz GWBHQNWNSNTMFW-LBPRGKRZSA-N 0 0 266.345 2.507 20 5 CFBDRN CC1(C)SC[C@H]1Nc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865717113 638532559 /nfs/dbraw/zinc/53/25/59/638532559.db2.gz OVYHBLAZPJSFLE-LLVKDONJSA-N 0 0 286.328 2.532 20 5 CFBDRN C[C@]1(F)CCCN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865841090 638606439 /nfs/dbraw/zinc/60/64/39/638606439.db2.gz RUUVMNNFHMCUOQ-ZDUSSCGKSA-N 0 0 286.278 2.555 20 5 CFBDRN COC1CCC(Nc2cc(F)c([N+](=O)[O-])cc2CO)CC1 ZINC000865870113 638628527 /nfs/dbraw/zinc/62/85/27/638628527.db2.gz OGGILJMQINRWHE-UHFFFAOYSA-N 0 0 298.314 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CCF)c(C(F)F)c1 ZINC000865911262 638653251 /nfs/dbraw/zinc/65/32/51/638653251.db2.gz QJZHAERENAKSQA-SSDOTTSWSA-N 0 0 278.230 2.665 20 5 CFBDRN CSC1CCN(c2nc3ccccn3c2[N+](=O)[O-])CC1 ZINC000865990438 638689798 /nfs/dbraw/zinc/68/97/98/638689798.db2.gz ODFOBXOJLBWDTR-UHFFFAOYSA-N 0 0 292.364 2.574 20 5 CFBDRN CC1(C)[C@H]2[C@H](CCCN2c2ccccc2[N+](=O)[O-])[C@@H]1O ZINC000866000113 638692025 /nfs/dbraw/zinc/69/20/25/638692025.db2.gz QJBQLTNPDVJLEC-GDLCADMTSA-N 0 0 276.336 2.581 20 5 CFBDRN CCC[C@H](CCO)Nc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000866002730 638693201 /nfs/dbraw/zinc/69/32/01/638693201.db2.gz GQZJCZVQDWETMV-LLVKDONJSA-N 0 0 280.324 2.760 20 5 CFBDRN COC(=O)C(C)(C)CSc1nc(C)ccc1[N+](=O)[O-] ZINC000866007699 638695942 /nfs/dbraw/zinc/69/59/42/638695942.db2.gz NGHAYRMSXNFSKR-UHFFFAOYSA-N 0 0 284.337 2.590 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866020819 638700269 /nfs/dbraw/zinc/70/02/69/638700269.db2.gz PPBJSQZUCOOXIW-ZJUUUORDSA-N 0 0 280.299 2.533 20 5 CFBDRN Cc1cc(N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)c([N+](=O)[O-])s1 ZINC000866045090 638709944 /nfs/dbraw/zinc/70/99/44/638709944.db2.gz SKBHNMUXSJBSMZ-VXIZUSDNSA-N 0 0 280.349 2.800 20 5 CFBDRN Cc1cnc(N[C@@H]2CC(C)(C)CC[C@H]2O)c([N+](=O)[O-])c1 ZINC000866049220 638713097 /nfs/dbraw/zinc/71/30/97/638713097.db2.gz HISXHCRDYSTYQL-ZYHUDNBSSA-N 0 0 279.340 2.650 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866054600 638715779 /nfs/dbraw/zinc/71/57/79/638715779.db2.gz GTBRDSLSGMCGNP-WDEREUQCSA-N 0 0 294.326 2.923 20 5 CFBDRN COCC1(C2CC2)CN(c2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000866057338 638717597 /nfs/dbraw/zinc/71/75/97/638717597.db2.gz WMTPZOOOLGVUTM-UHFFFAOYSA-N 0 0 298.289 2.736 20 5 CFBDRN CC(C)(NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000866061197 638718155 /nfs/dbraw/zinc/71/81/55/638718155.db2.gz OVTAWBCJBVHNSY-UHFFFAOYSA-N 0 0 280.299 2.581 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC[C@H]2CCCS2)c1 ZINC000866091508 638732804 /nfs/dbraw/zinc/73/28/04/638732804.db2.gz KGENJSPIVMHFKC-SNVBAGLBSA-N 0 0 267.354 2.875 20 5 CFBDRN CC1(F)CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000866100430 638737229 /nfs/dbraw/zinc/73/72/29/638737229.db2.gz VCTPABWPLCLGFA-UHFFFAOYSA-N 0 0 283.259 2.831 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H](C2CCC2)[C@H]2CCOC2)c1 ZINC000866140203 638754687 /nfs/dbraw/zinc/75/46/87/638754687.db2.gz AZQDNWQGZJWMTP-XHDPSFHLSA-N 0 0 291.351 2.794 20 5 CFBDRN Cc1cc(N[C@@H](C2CCC2)[C@H]2CCOC2)ncc1[N+](=O)[O-] ZINC000866143988 638756567 /nfs/dbraw/zinc/75/65/67/638756567.db2.gz SRGPDBNDNVVFIQ-WFASDCNBSA-N 0 0 291.351 2.915 20 5 CFBDRN C[C@]1(C(F)(F)F)CN(c2ccccc2[N+](=O)[O-])CCO1 ZINC000866159245 638760958 /nfs/dbraw/zinc/76/09/58/638760958.db2.gz CXEBMYRLHOBOJS-LLVKDONJSA-N 0 0 290.241 2.752 20 5 CFBDRN Cc1cc(NC[C@@H]2C[C@H]2C2CCOCC2)ncc1[N+](=O)[O-] ZINC000866182558 638765039 /nfs/dbraw/zinc/76/50/39/638765039.db2.gz VLGWRMZVNCZGFE-STQMWFEESA-N 0 0 291.351 2.773 20 5 CFBDRN CN1Cc2ccccc2[C@@H]1CNc1ccc(N)cc1[N+](=O)[O-] ZINC000866194363 638767409 /nfs/dbraw/zinc/76/74/09/638767409.db2.gz IJEOQGOYTUDOJW-INIZCTEOSA-N 0 0 298.346 2.776 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H]2c3ccccc3CN2C)n1 ZINC000866195374 638767915 /nfs/dbraw/zinc/76/79/15/638767915.db2.gz SKPKUYWRJDIGPC-HNNXBMFYSA-N 0 0 298.346 2.897 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H]3CSC[C@@H]3C2)c1 ZINC000866199980 638768550 /nfs/dbraw/zinc/76/85/50/638768550.db2.gz FFXYZAGBJCDEDC-QWRGUYRKSA-N 0 0 294.376 2.793 20 5 CFBDRN COc1cc(N2CC[C@H]3CSC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000866204323 638769591 /nfs/dbraw/zinc/76/95/91/638769591.db2.gz WFSXLEDVDQCXBZ-WDEREUQCSA-N 0 0 294.376 2.793 20 5 CFBDRN CC(C)(O)C1CCN(c2sccc2[N+](=O)[O-])CC1 ZINC000866232326 638775890 /nfs/dbraw/zinc/77/58/90/638775890.db2.gz JNKZVHQETXRWBS-UHFFFAOYSA-N 0 0 270.354 2.644 20 5 CFBDRN Cc1nn(C)c(N2CC([C@H]3CCC[C@@H](C)C3)C2)c1[N+](=O)[O-] ZINC000866254502 638781189 /nfs/dbraw/zinc/78/11/89/638781189.db2.gz PLRIGERJGJEXBU-PWSUYJOCSA-N 0 0 292.383 2.899 20 5 CFBDRN CC[C@@H](C[C@@H](C)O)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000866298774 638790995 /nfs/dbraw/zinc/79/09/95/638790995.db2.gz CIJKMLIKBJVHSN-SKDRFNHKSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@H](C[C@H](C)O)Nc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000866301125 638791840 /nfs/dbraw/zinc/79/18/40/638791840.db2.gz GVAADBRGTHCGBA-VHSXEESVSA-N 0 0 298.339 2.573 20 5 CFBDRN C[C@H](N(C)C(=O)Cc1cccc(F)c1[N+](=O)[O-])C1(C)CC1 ZINC000866438146 638820933 /nfs/dbraw/zinc/82/09/33/638820933.db2.gz COPYDWCYCJIMNA-JTQLQIEISA-N 0 0 294.326 2.923 20 5 CFBDRN CCCC1(CNC(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000866589353 638849554 /nfs/dbraw/zinc/84/95/54/638849554.db2.gz GKVCXJZKHBFGIC-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CCCC2(CC2)C1 ZINC000867478290 638880331 /nfs/dbraw/zinc/88/03/31/638880331.db2.gz FNXQZNFJZRAPLG-UHFFFAOYSA-N 0 0 292.310 2.679 20 5 CFBDRN CCN1CCCC[C@@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000121748322 638890123 /nfs/dbraw/zinc/89/01/23/638890123.db2.gz NLTKCDCOTLXGBP-CQSZACIVSA-N 0 0 292.335 2.512 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000867733932 638895151 /nfs/dbraw/zinc/89/51/51/638895151.db2.gz QEFWSJBMJABAIK-OLRMPSLUSA-N 0 0 288.347 2.812 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000867785696 638897912 /nfs/dbraw/zinc/89/79/12/638897912.db2.gz UUPPZEWCWGLJOZ-LBPRGKRZSA-N 0 0 291.351 2.987 20 5 CFBDRN CCC(C)(C)CNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867797861 638898690 /nfs/dbraw/zinc/89/86/90/638898690.db2.gz FKUIWAJCVYQNGJ-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN CC[C@@H](C)CONC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000868639778 638964447 /nfs/dbraw/zinc/96/44/47/638964447.db2.gz MKDDMSOJYJKTOV-SNVBAGLBSA-N 0 0 295.339 2.680 20 5 CFBDRN CC/C=C\CNC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000868666052 638967180 /nfs/dbraw/zinc/96/71/80/638967180.db2.gz ISVLLTQGZHTPGW-PLNGDYQASA-N 0 0 277.324 2.669 20 5 CFBDRN C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)C(C)(C)C ZINC000869314971 639165247 /nfs/dbraw/zinc/16/52/47/639165247.db2.gz XZYNKKICBJGOIL-SECBINFHSA-N 0 0 279.292 2.755 20 5 CFBDRN C[C@H](OC(=O)c1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000869315392 639169422 /nfs/dbraw/zinc/16/94/22/639169422.db2.gz ZGZPPSUNBLTBNW-VIFPVBQESA-N 0 0 279.292 2.755 20 5 CFBDRN C[C@H]1OCC[C@H]1COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000869317508 639170576 /nfs/dbraw/zinc/17/05/76/639170576.db2.gz DAKJWCRUTRXXJM-BDAKNGLRSA-N 0 0 299.710 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](O)c2cccc(F)c2)n1 ZINC000122522175 639181577 /nfs/dbraw/zinc/18/15/77/639181577.db2.gz VAHHQUBOEWLWAY-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN CC1(C)CO[C@H](COC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000869338617 639185524 /nfs/dbraw/zinc/18/55/24/639185524.db2.gz NOGGGUNMXKEGFS-LBPRGKRZSA-N 0 0 279.292 2.567 20 5 CFBDRN CCCCC(=O)COC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000869366702 639197374 /nfs/dbraw/zinc/19/73/74/639197374.db2.gz BXHRMOIZWFLNQB-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN Cc1nn(CCC(=O)OCCCC2CC2)c(C)c1[N+](=O)[O-] ZINC000869378481 639203194 /nfs/dbraw/zinc/20/31/94/639203194.db2.gz IWYBZQFTNNOWFV-UHFFFAOYSA-N 0 0 295.339 2.532 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000869433828 639228407 /nfs/dbraw/zinc/22/84/07/639228407.db2.gz OHGYEHZROWNURQ-SNVBAGLBSA-N 0 0 297.282 2.706 20 5 CFBDRN CCNc1ccc(C(=O)NCCC2CCC2)cc1[N+](=O)[O-] ZINC000122589262 639228484 /nfs/dbraw/zinc/22/84/84/639228484.db2.gz FQZAIBCKSKJGTM-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)[C@@H](C)O1 ZINC000869651978 639341159 /nfs/dbraw/zinc/34/11/59/639341159.db2.gz RGSFZUXBPSYTAE-FXPVBKGRSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)[C@@H](C)O1 ZINC000869653648 639341929 /nfs/dbraw/zinc/34/19/29/639341929.db2.gz LXDJEWZNTOXULG-NQMVMOMDSA-N 0 0 285.321 2.513 20 5 CFBDRN C[C@H](OC(=O)C1(F)CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000869728203 639372261 /nfs/dbraw/zinc/37/22/61/639372261.db2.gz KEGXWCMSFOCFIC-JTQLQIEISA-N 0 0 297.282 2.718 20 5 CFBDRN COCCOC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000122813674 639443985 /nfs/dbraw/zinc/44/39/85/639443985.db2.gz UQMHLFRHCNIITI-UHFFFAOYSA-N 0 0 281.308 2.696 20 5 CFBDRN CC1(C)CC[C@H](OC(=O)c2c(F)cccc2[N+](=O)[O-])C1=O ZINC000869896377 639457750 /nfs/dbraw/zinc/45/77/50/639457750.db2.gz AOMZDUDXTIWDOP-JTQLQIEISA-N 0 0 295.266 2.648 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869899690 639460258 /nfs/dbraw/zinc/46/02/58/639460258.db2.gz ZXBQCTPFRXYKGL-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000870159415 639528854 /nfs/dbraw/zinc/52/88/54/639528854.db2.gz BCZSUUVCKPQHPJ-CKYFFXLPSA-N 0 0 279.292 2.622 20 5 CFBDRN CCc1ccc(OC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)c([N+](=O)[O-])c1 ZINC000870205552 639544045 /nfs/dbraw/zinc/54/40/45/639544045.db2.gz QSPUYKUPGSWKPV-JFGNBEQYSA-N 0 0 293.319 2.876 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCCC[C@@H]1C(F)(F)F ZINC000870387432 639619430 /nfs/dbraw/zinc/61/94/30/639619430.db2.gz NQOMDCXOXRBIGR-HLTSFMKQSA-N 0 0 283.246 2.564 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@]12C[C@@H]1CCCC2 ZINC000870530925 639706527 /nfs/dbraw/zinc/70/65/27/639706527.db2.gz XOWCVRWTYQCKFN-PKFCDNJMSA-N 0 0 277.324 2.622 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2C[C@@H](SC)C2)c1 ZINC000870579206 639732542 /nfs/dbraw/zinc/73/25/42/639732542.db2.gz YISSHUIZXGOXQF-AOOOYVTPSA-N 0 0 297.332 2.654 20 5 CFBDRN Cc1ncoc1C(=O)OCc1c(C)ccc([N+](=O)[O-])c1C ZINC000870700914 639779189 /nfs/dbraw/zinc/77/91/89/639779189.db2.gz LMNRHZYAUMLCID-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000870703029 639779478 /nfs/dbraw/zinc/77/94/78/639779478.db2.gz NAZHMKNCLZGGNW-JQWIXIFHSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000870718023 639788069 /nfs/dbraw/zinc/78/80/69/639788069.db2.gz WKEOWIIYNZCTFI-NWDGAFQWSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc(C)c(OC(=O)C[C@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000078796389 650533823 /nfs/dbraw/zinc/53/38/23/650533823.db2.gz GNUWQAFFBFIRNM-LLVKDONJSA-N 0 0 279.292 2.686 20 5 CFBDRN Cc1cc(COc2cc(F)ccc2[N+](=O)[O-])no1 ZINC000079009922 650556239 /nfs/dbraw/zinc/55/62/39/650556239.db2.gz HMVVURICHTUJDG-UHFFFAOYSA-N 0 0 252.201 2.609 20 5 CFBDRN CCN(CC)C(=S)Sc1ncccc1[N+](=O)[O-] ZINC000192911270 650608557 /nfs/dbraw/zinc/60/85/57/650608557.db2.gz UGLUHWVBLAZAPB-UHFFFAOYSA-N 0 0 271.367 2.709 20 5 CFBDRN CC(C)=CC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079624806 650609375 /nfs/dbraw/zinc/60/93/75/650609375.db2.gz LVNJNKOMSIZRAD-UHFFFAOYSA-N 0 0 262.309 2.912 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870828979 641090684 /nfs/dbraw/zinc/09/06/84/641090684.db2.gz YXJOHKDTBQSHFU-XSRFYTQQSA-N 0 0 295.339 2.720 20 5 CFBDRN CCCN(C)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C ZINC000123434110 641139498 /nfs/dbraw/zinc/13/94/98/641139498.db2.gz FGLJBNKNLUAQES-UHFFFAOYSA-N 0 0 295.295 2.602 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@H]1CCCS1 ZINC000871029049 641161729 /nfs/dbraw/zinc/16/17/29/641161729.db2.gz NXMXEZBVVVLCJE-GFCCVEGCSA-N 0 0 294.376 2.919 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC[C@@H]1CCCS1 ZINC000871029973 641162528 /nfs/dbraw/zinc/16/25/28/641162528.db2.gz VHEMCSIBLABLCL-LBPRGKRZSA-N 0 0 294.376 2.919 20 5 CFBDRN CC1(F)CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000871075924 641191208 /nfs/dbraw/zinc/19/12/08/641191208.db2.gz OTOXKXOXAXXGQG-UHFFFAOYSA-N 0 0 298.289 2.627 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000871093726 641200094 /nfs/dbraw/zinc/20/00/94/641200094.db2.gz HUSRMBKMHOMCAF-ZKCHVHJHSA-N 0 0 286.378 2.589 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cc1)[C@H]1CCCCO1 ZINC000871162556 641224746 /nfs/dbraw/zinc/22/47/46/641224746.db2.gz GEVQLCRQZPHMJZ-CYBMUJFWSA-N 0 0 292.335 2.672 20 5 CFBDRN CSCCC(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000193304186 650642845 /nfs/dbraw/zinc/64/28/45/650642845.db2.gz CJTOZBAVQNXZAP-UHFFFAOYSA-N 0 0 255.295 2.562 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871518092 641338299 /nfs/dbraw/zinc/33/82/99/641338299.db2.gz PCDQPQZJKOHOOA-BETUJISGSA-N 0 0 286.331 2.941 20 5 CFBDRN CC(C)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000123715793 641367988 /nfs/dbraw/zinc/36/79/88/641367988.db2.gz XVOXTLMSQFWGER-QMMMGPOBSA-N 0 0 255.245 2.935 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2C[C@@H]3CCC[C@H]3O2)cc1 ZINC000871630910 641375186 /nfs/dbraw/zinc/37/51/86/641375186.db2.gz XKHLQDRDDGVQDH-LEWSCRJBSA-N 0 0 263.293 2.931 20 5 CFBDRN CC(C)(C)SCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000871654271 641384566 /nfs/dbraw/zinc/38/45/66/641384566.db2.gz SNMGNIXZPNATFA-UHFFFAOYSA-N 0 0 276.745 2.899 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1C1CC(CF)(CF)C1 ZINC000871654136 641384975 /nfs/dbraw/zinc/38/49/75/641384975.db2.gz NMEUOTMEPXNRCB-UHFFFAOYSA-N 0 0 292.669 2.670 20 5 CFBDRN Cc1cnc(OC[C@@H]2C[C@H]3CCC[C@@H]3O2)c([N+](=O)[O-])c1 ZINC000871656333 641385332 /nfs/dbraw/zinc/38/53/32/641385332.db2.gz DUERJVWHRYRJMB-MDZLAQPJSA-N 0 0 278.308 2.635 20 5 CFBDRN Cc1cnc(OC[C@@H]2C[C@@H]3CCC[C@H]3O2)c([N+](=O)[O-])c1 ZINC000871656331 641385767 /nfs/dbraw/zinc/38/57/67/641385767.db2.gz DUERJVWHRYRJMB-GMXVVIOVSA-N 0 0 278.308 2.635 20 5 CFBDRN CO[C@H]1C[C@@H](COc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000871661572 641387462 /nfs/dbraw/zinc/38/74/62/641387462.db2.gz SKDMBNVQYZTJKI-DKTWLAJQSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@@H]2C[C@@H]3CCC[C@@H]3O2)n1 ZINC000871665339 641389988 /nfs/dbraw/zinc/38/99/88/641389988.db2.gz HMEKJKSECKWMJS-AVGNSLFASA-N 0 0 292.335 2.943 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CSC(C)(C)C ZINC000871670905 641394431 /nfs/dbraw/zinc/39/44/31/641394431.db2.gz FCQRFWWCCAFWMO-UHFFFAOYSA-N 0 0 256.327 2.554 20 5 CFBDRN Cc1nn(Cc2noc3c2CC(C)(C)CC3)cc1[N+](=O)[O-] ZINC000871671525 641394496 /nfs/dbraw/zinc/39/44/96/641394496.db2.gz MHHGUADVZDPTRM-UHFFFAOYSA-N 0 0 290.323 2.651 20 5 CFBDRN Cc1nn(Cc2cc(C(F)(F)F)co2)cc1[N+](=O)[O-] ZINC000871670991 641394611 /nfs/dbraw/zinc/39/46/11/641394611.db2.gz HMUHZDLNZULTEH-UHFFFAOYSA-N 0 0 275.186 2.760 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@H]2CC3(CCC3)CO2)c1C ZINC000871676019 641397378 /nfs/dbraw/zinc/39/73/78/641397378.db2.gz MSZFNZYNPOCOGA-GFCCVEGCSA-N 0 0 292.335 2.945 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@@H]2C[C@H]3CCC[C@H]3O2)c1C ZINC000871675567 641397734 /nfs/dbraw/zinc/39/77/34/641397734.db2.gz HNECYJGIXFMZNL-MBNYWOFBSA-N 0 0 292.335 2.943 20 5 CFBDRN CO[C@H]1C[C@@H](CSCc2onc(C)c2[N+](=O)[O-])C1 ZINC000871712429 641419036 /nfs/dbraw/zinc/41/90/36/641419036.db2.gz KXKMKCHCUHEAHV-DTORHVGOSA-N 0 0 272.326 2.549 20 5 CFBDRN Cc1c(C[NH2+][C@H](C)[C@H](C(=O)[O-])C(C)C)cccc1[N+](=O)[O-] ZINC000871874740 641492837 /nfs/dbraw/zinc/49/28/37/641492837.db2.gz UEJXGDBBGZTMJI-BXUZGUMPSA-N 0 0 294.351 2.738 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[NH2+]C[C@H](C(=O)[O-])C(C)C ZINC000871872489 641493278 /nfs/dbraw/zinc/49/32/78/641493278.db2.gz MSKIQKZDJQNRNE-LBPRGKRZSA-N 0 0 294.351 2.658 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000871935588 641516464 /nfs/dbraw/zinc/51/64/64/641516464.db2.gz ZGWADJDDIJHIPS-UTUOFQBUSA-N 0 0 293.367 2.549 20 5 CFBDRN CCC1=NN(c2ccc([N+](=O)[O-])cc2Cl)C(=O)[C@@H]1F ZINC000871951296 641525653 /nfs/dbraw/zinc/52/56/53/641525653.db2.gz PAAPKTSVXRZKPD-SNVBAGLBSA-N 0 0 285.662 2.699 20 5 CFBDRN CCOCCOC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000123904714 641541039 /nfs/dbraw/zinc/54/10/39/641541039.db2.gz SDTAPKNEMQLOID-SNVBAGLBSA-N 0 0 299.348 2.655 20 5 CFBDRN C[C@H](N[C@@H](C)c1c(F)cccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000872038648 641571673 /nfs/dbraw/zinc/57/16/73/641571673.db2.gz NVZQQTPATPPUFS-UWVGGRQHSA-N 0 0 292.314 2.879 20 5 CFBDRN C[C@@H](N[C@@H](C)c1c(F)cccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000872038650 641572359 /nfs/dbraw/zinc/57/23/59/641572359.db2.gz NVZQQTPATPPUFS-ZJUUUORDSA-N 0 0 292.314 2.879 20 5 CFBDRN C[C@H](NCc1ccn(C)c1)c1c(F)cccc1[N+](=O)[O-] ZINC000872040654 641574926 /nfs/dbraw/zinc/57/49/26/641574926.db2.gz MOWOPKYTHPAUAO-JTQLQIEISA-N 0 0 277.299 2.923 20 5 CFBDRN CCn1ccc(CN[C@@H](C)c2c(F)cccc2[N+](=O)[O-])n1 ZINC000872079743 641612153 /nfs/dbraw/zinc/61/21/53/641612153.db2.gz PEIIQPPUKXZLMF-JTQLQIEISA-N 0 0 292.314 2.801 20 5 CFBDRN CCn1nccc1CN[C@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872088130 641622534 /nfs/dbraw/zinc/62/25/34/641622534.db2.gz OATWXLRXAZPKAF-SNVBAGLBSA-N 0 0 292.314 2.801 20 5 CFBDRN Cc1ccc(C[N@H+](CC(=O)[O-])C2CCCC2)cc1[N+](=O)[O-] ZINC000872162795 641684261 /nfs/dbraw/zinc/68/42/61/641684261.db2.gz WTLRLXLVFBKYHF-UHFFFAOYSA-N 0 0 292.335 2.732 20 5 CFBDRN CC1(C)[C@H]2[C@H](CCCN2Cc2csc([N+](=O)[O-])c2)[C@@H]1O ZINC000872256286 641720340 /nfs/dbraw/zinc/72/03/40/641720340.db2.gz OBYXPNIVPXSZLN-UHTWSYAYSA-N 0 0 296.392 2.638 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)n1 ZINC000872697397 641900989 /nfs/dbraw/zinc/90/09/89/641900989.db2.gz LBXYYZFMYBCJOA-GVXVVHGQSA-N 0 0 277.324 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)cc1 ZINC000872699515 641903490 /nfs/dbraw/zinc/90/34/90/641903490.db2.gz NSBJPWSAUAPAHM-LEWSCRJBSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCC[C@@H]1CCOC1=O ZINC000872703402 641904633 /nfs/dbraw/zinc/90/46/33/641904633.db2.gz FFDKJTYVAPWLCL-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC[C@H]2CCOC2=O)c1 ZINC000872703368 641905185 /nfs/dbraw/zinc/90/51/85/641905185.db2.gz FAYFRHIREKLJPW-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN CC(C)OC1(CNc2cccnc2[N+](=O)[O-])CCC1 ZINC000872706774 641905902 /nfs/dbraw/zinc/90/59/02/641905902.db2.gz GXRLEABHQUQJKX-UHFFFAOYSA-N 0 0 265.313 2.749 20 5 CFBDRN Cc1cc(NC[C@H]2C[C@H](O)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000872719362 641911105 /nfs/dbraw/zinc/91/11/05/641911105.db2.gz NMZLZMKMDCWZJD-XYPYZODXSA-N 0 0 287.319 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2C[C@H](O)C2)nc1-c1ccccc1 ZINC000872719395 641911155 /nfs/dbraw/zinc/91/11/55/641911155.db2.gz QCDRMNOUEYUXMW-AULYBMBSSA-N 0 0 299.330 2.840 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@H]1C[C@H](O)C1 ZINC000872719859 641911397 /nfs/dbraw/zinc/91/13/97/641911397.db2.gz ICKQOUGHHSNFGD-CZMCAQCFSA-N 0 0 270.716 2.739 20 5 CFBDRN CC(C)(C)OC(=O)[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)C1 ZINC000872763462 641922957 /nfs/dbraw/zinc/92/29/57/641922957.db2.gz HYMIRFPFHYLBAN-HOMQSWHASA-N 0 0 293.323 2.522 20 5 CFBDRN Cc1cnc(N[C@H](C)c2nccnc2C)c([N+](=O)[O-])c1 ZINC000872769122 641925864 /nfs/dbraw/zinc/92/58/64/641925864.db2.gz QIHFKVPRFHRHKG-SNVBAGLBSA-N 0 0 273.296 2.570 20 5 CFBDRN CC[C@@H](Nc1ncccc1[N+](=O)[O-])[C@H](O)c1ccccc1 ZINC000872774298 641928720 /nfs/dbraw/zinc/92/87/20/641928720.db2.gz HLSJUQSBMHDQJY-TZMCWYRMSA-N 0 0 287.319 2.914 20 5 CFBDRN Cc1cc(N2CC[C@@H](C(C)(C)O)C2)c([N+](=O)[O-])s1 ZINC000872782355 641937356 /nfs/dbraw/zinc/93/73/56/641937356.db2.gz PYHYVZWSVCVMDE-SECBINFHSA-N 0 0 270.354 2.562 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1CC12CCC2)c1cccc([N+](=O)[O-])c1 ZINC000872846179 641950779 /nfs/dbraw/zinc/95/07/79/641950779.db2.gz SNMYZYINVHICDY-MFKMUULPSA-N 0 0 289.335 2.898 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC12CCC2)c1ccccc1[N+](=O)[O-] ZINC000872960026 641971758 /nfs/dbraw/zinc/97/17/58/641971758.db2.gz KHLAHJJWVXKCDV-GXFFZTMASA-N 0 0 289.335 2.898 20 5 CFBDRN Cc1c(NCc2cccc([N+](=O)[O-])c2C)cnn1C ZINC000873100325 642012219 /nfs/dbraw/zinc/01/22/19/642012219.db2.gz PFZPLLXUZFEHJA-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN O=C(OCCC(F)(F)F)c1csc([N+](=O)[O-])c1 ZINC000194070363 650715874 /nfs/dbraw/zinc/71/58/74/650715874.db2.gz MYYZRMYMWAPTHS-UHFFFAOYSA-N 0 0 269.200 2.766 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000873232287 642087343 /nfs/dbraw/zinc/08/73/43/642087343.db2.gz OGWCNVQMQSWVFJ-WOPDTQHZSA-N 0 0 289.335 2.707 20 5 CFBDRN O=C(OC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1cccc([N+](=O)[O-])c1 ZINC000873317128 642133808 /nfs/dbraw/zinc/13/38/08/642133808.db2.gz FVPZKZLOLQOYCK-BPNCWPANSA-N 0 0 291.303 2.709 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2CCC[C@H]2O1)c1csc([N+](=O)[O-])c1 ZINC000873348505 642145291 /nfs/dbraw/zinc/14/52/91/642145291.db2.gz LVAXHNABGLMOCR-DVVUODLYSA-N 0 0 297.332 2.771 20 5 CFBDRN CC(C)c1cc(C(=O)Oc2cccc([N+](=O)[O-])c2)n(C)n1 ZINC000081809748 650727582 /nfs/dbraw/zinc/72/75/82/650727582.db2.gz ZWZVWYNISILZID-UHFFFAOYSA-N 0 0 289.291 2.671 20 5 CFBDRN CC[C@H](OC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(C)=O ZINC000873402436 642186098 /nfs/dbraw/zinc/18/60/98/642186098.db2.gz OSYXEBLDCQNEAQ-MJBXVCDLSA-N 0 0 291.303 2.609 20 5 CFBDRN CC[C@@H](COC(=O)c1c(C)ccc([N+](=O)[O-])c1C)OC ZINC000873438331 642204899 /nfs/dbraw/zinc/20/48/99/642204899.db2.gz JBVIGJJDHFEZSD-NSHDSACASA-N 0 0 281.308 2.793 20 5 CFBDRN CCn1cc(OC(=O)c2c(C)ccc([N+](=O)[O-])c2C)cn1 ZINC000873527868 642235375 /nfs/dbraw/zinc/23/53/75/642235375.db2.gz AEFFNKJGURZZAJ-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN CCC[C@@H](CC)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000873553468 642245486 /nfs/dbraw/zinc/24/54/86/642245486.db2.gz SKAOSTWGZKLMDX-XSRFYTQQSA-N 0 0 295.339 2.864 20 5 CFBDRN C[C@H](OC(=O)[C@H]1CO[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000873560454 642251029 /nfs/dbraw/zinc/25/10/29/642251029.db2.gz SWWVOXSFEAKAKS-GARJFASQSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1CCOC2(CCCC2)C1)[N+](=O)[O-] ZINC000873576335 642257828 /nfs/dbraw/zinc/25/78/28/642257828.db2.gz NBQJTXLUHWICTM-GFCCVEGCSA-N 0 0 299.367 2.857 20 5 CFBDRN CCSCCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124680886 642300246 /nfs/dbraw/zinc/30/02/46/642300246.db2.gz NRJKQQMRRGBYMG-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000124823039 642336664 /nfs/dbraw/zinc/33/66/64/642336664.db2.gz GXRHUYZQLNOYKQ-HTQZYQBOSA-N 0 0 253.229 2.547 20 5 CFBDRN C[C@]1(C(F)(F)F)CCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000874487130 642402874 /nfs/dbraw/zinc/40/28/74/642402874.db2.gz PYMGKRBEZWUNGT-JTQLQIEISA-N 0 0 292.213 2.602 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000874625395 642428450 /nfs/dbraw/zinc/42/84/50/642428450.db2.gz MKZXNOGPCBQQAJ-WOPDTQHZSA-N 0 0 294.351 2.691 20 5 CFBDRN COC[C@@H](C)[C@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000874625538 642428463 /nfs/dbraw/zinc/42/84/63/642428463.db2.gz MKZXNOGPCBQQAJ-GRYCIOLGSA-N 0 0 294.351 2.691 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000874625555 642428977 /nfs/dbraw/zinc/42/89/77/642428977.db2.gz PCRQJIOXVPZAGK-WOPDTQHZSA-N 0 0 292.335 2.587 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])O1 ZINC000874625810 642429211 /nfs/dbraw/zinc/42/92/11/642429211.db2.gz OTOOYNKDWNNFBN-UHIISALHSA-N 0 0 292.335 2.730 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CC12CCC2 ZINC000874774277 642475200 /nfs/dbraw/zinc/47/52/00/642475200.db2.gz IBTFJKGNJSPRSH-LBPRGKRZSA-N 0 0 274.320 2.884 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000874784845 642486047 /nfs/dbraw/zinc/48/60/47/642486047.db2.gz NRKLTVNFCIRWEJ-CYBMUJFWSA-N 0 0 274.320 2.505 20 5 CFBDRN CNc1ccc(C(=O)NC2(C)CC(F)(F)C2)cc1[N+](=O)[O-] ZINC000874857914 642530266 /nfs/dbraw/zinc/53/02/66/642530266.db2.gz LIBQJNWEEJPNMQ-UHFFFAOYSA-N 0 0 299.277 2.554 20 5 CFBDRN COCC1(N(C)C(=O)c2cc(C)cc([N+](=O)[O-])c2)CCC1 ZINC000874938772 642559015 /nfs/dbraw/zinc/55/90/15/642559015.db2.gz ZPZUFTSDOVFEOJ-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN COC(=O)CCCN(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000125248609 642577061 /nfs/dbraw/zinc/57/70/61/642577061.db2.gz XVUISHQIWYALFR-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@]12CCC[C@H]1OCC2 ZINC000875054388 642581218 /nfs/dbraw/zinc/58/12/18/642581218.db2.gz PXZQEELDLMTJCT-OCCSQVGLSA-N 0 0 294.282 2.632 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000875077585 642582026 /nfs/dbraw/zinc/58/20/26/642582026.db2.gz DRUGGVBZZBLUIH-HYNSBDGHSA-N 0 0 274.320 2.850 20 5 CFBDRN CC1(NC(=O)NCc2cccc([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000875172122 642593847 /nfs/dbraw/zinc/59/38/47/642593847.db2.gz UZEPJIKFIUFHQP-UHFFFAOYSA-N 0 0 299.277 2.582 20 5 CFBDRN CCOC(C)(C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000875192035 642595797 /nfs/dbraw/zinc/59/57/97/642595797.db2.gz IDMGMQIFZMYGLU-UHFFFAOYSA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)C12CC(C1)C2 ZINC000875294927 642604371 /nfs/dbraw/zinc/60/43/71/642604371.db2.gz FKQHIOJLSOGDCU-UHFFFAOYSA-N 0 0 266.684 2.987 20 5 CFBDRN CCOCCCCCC(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000875423239 642620303 /nfs/dbraw/zinc/62/03/03/642620303.db2.gz IQJILNZKUULENB-UHFFFAOYSA-N 0 0 295.339 2.834 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1C[C@@H](C)O[C@H]1C ZINC000875502223 642630684 /nfs/dbraw/zinc/63/06/84/642630684.db2.gz ZTDJGCAHYJBDBZ-OUAUKWLOSA-N 0 0 279.292 2.622 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000875675631 642664125 /nfs/dbraw/zinc/66/41/25/642664125.db2.gz WKNYLDHKXDCCHQ-SZEHBUNVSA-N 0 0 296.298 2.794 20 5 CFBDRN CO[C@H](C)CC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776361 650781873 /nfs/dbraw/zinc/78/18/73/650781873.db2.gz YQRFJSSRXKGHLP-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1C[C@@H]2C[C@H]1CS2 ZINC000875785392 642689574 /nfs/dbraw/zinc/68/95/74/642689574.db2.gz AKZNYEYXHIGWBC-QWRGUYRKSA-N 0 0 292.360 2.542 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000085067475 650786776 /nfs/dbraw/zinc/78/67/76/650786776.db2.gz MBRPJNNYAYIYCE-ZYHUDNBSSA-N 0 0 291.351 2.876 20 5 CFBDRN Nc1c(C(=O)N[C@H]2CCCC3(CC3)C2)cccc1[N+](=O)[O-] ZINC000888700582 642777275 /nfs/dbraw/zinc/77/72/75/642777275.db2.gz MLDSXTHSSOKVIC-JTQLQIEISA-N 0 0 289.335 2.630 20 5 CFBDRN Cc1ccc(CNC(=O)N(C)[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000876171665 642786357 /nfs/dbraw/zinc/78/63/57/642786357.db2.gz MYCUEOIZCRJXRT-LLVKDONJSA-N 0 0 291.351 2.843 20 5 CFBDRN Cc1ccc(CNC(=O)N2CCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000876170780 642786788 /nfs/dbraw/zinc/78/67/88/642786788.db2.gz FLCCRBOYKJQPMY-UHFFFAOYSA-N 0 0 291.351 2.845 20 5 CFBDRN CCC(C)(C)NC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000876172149 642787635 /nfs/dbraw/zinc/78/76/35/642787635.db2.gz SJQMEACLGSZLNE-UHFFFAOYSA-N 0 0 279.340 2.891 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CCCC2(CC2)C1 ZINC000888708928 642792394 /nfs/dbraw/zinc/79/23/94/642792394.db2.gz SLAIJDGXRGWBRL-CYBMUJFWSA-N 0 0 288.347 2.976 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@H]1CCO[C@@H]1C ZINC000876316768 642821913 /nfs/dbraw/zinc/82/19/13/642821913.db2.gz JRWKXTZMODNKPD-NEPJUHHUSA-N 0 0 293.319 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C(=O)C(C)(C)C ZINC000876317336 642821954 /nfs/dbraw/zinc/82/19/54/642821954.db2.gz VHCOQGCXTQRVMH-UHFFFAOYSA-N 0 0 293.319 2.870 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC12CCC2 ZINC000876426598 642865436 /nfs/dbraw/zinc/86/54/36/642865436.db2.gz PUARRRYXSWYSJI-GFCCVEGCSA-N 0 0 291.307 2.668 20 5 CFBDRN O=C(NCc1ccoc1)c1cccc([N+](=O)[O-])c1Cl ZINC000126011718 642918680 /nfs/dbraw/zinc/91/86/80/642918680.db2.gz YDEBREWWGYDLMX-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN O=[N+]([O-])c1cnn(C2CC(Nc3ccccc3F)C2)c1 ZINC000876831113 643030117 /nfs/dbraw/zinc/03/01/17/643030117.db2.gz VVDLTORKWZUPSS-UHFFFAOYSA-N 0 0 276.271 2.746 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NOCc2ccccc2)c1 ZINC000088147536 650808296 /nfs/dbraw/zinc/80/82/96/650808296.db2.gz TWAVCRXOAWZWCS-UHFFFAOYSA-N 0 0 286.287 2.765 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCC1CCC1 ZINC000088816022 650822429 /nfs/dbraw/zinc/82/24/29/650822429.db2.gz QSGSNSBXCMNDQI-UHFFFAOYSA-N 0 0 263.293 2.871 20 5 CFBDRN Cc1nnc(CN(C)Cc2c(C)ccc([N+](=O)[O-])c2C)o1 ZINC000877627770 643411383 /nfs/dbraw/zinc/41/13/83/643411383.db2.gz YXYRQQUMGVOLPU-UHFFFAOYSA-N 0 0 290.323 2.535 20 5 CFBDRN Cc1nnc(CCOc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000877875034 643615148 /nfs/dbraw/zinc/61/51/48/643615148.db2.gz RUMXRTBMXPPIKM-UHFFFAOYSA-N 0 0 283.671 2.561 20 5 CFBDRN Cc1cc(COc2ccc(C)c([N+](=O)[O-])c2)c(C)nn1 ZINC000877879383 643618582 /nfs/dbraw/zinc/61/85/82/643618582.db2.gz AJSWUMCKSARVAN-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN Cc1nnc(CCOc2c(Cl)cccc2[N+](=O)[O-])o1 ZINC000877879430 643618706 /nfs/dbraw/zinc/61/87/06/643618706.db2.gz CLCIDGJXHYYBNK-UHFFFAOYSA-N 0 0 283.671 2.561 20 5 CFBDRN COc1ccc(OCCc2ccns2)cc1[N+](=O)[O-] ZINC000877881446 643620280 /nfs/dbraw/zinc/62/02/80/643620280.db2.gz RUBNWHHKYZIOOZ-UHFFFAOYSA-N 0 0 280.305 2.681 20 5 CFBDRN COC[C@H](COc1c(C)c(C)ncc1[N+](=O)[O-])C(C)C ZINC000877890483 643625918 /nfs/dbraw/zinc/62/59/18/643625918.db2.gz KHXZLFGKLADJSQ-GFCCVEGCSA-N 0 0 282.340 2.904 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCCc2ccns2)c1C ZINC000877890104 643626191 /nfs/dbraw/zinc/62/61/91/643626191.db2.gz CMZDHQIVLXXKKV-UHFFFAOYSA-N 0 0 279.321 2.685 20 5 CFBDRN COc1cc(OCCc2ccns2)ccc1[N+](=O)[O-] ZINC000877890557 643626209 /nfs/dbraw/zinc/62/62/09/643626209.db2.gz XDGNFWIJGWKZAM-UHFFFAOYSA-N 0 0 280.305 2.681 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C[C@@H](C)C1 ZINC000246233067 650860938 /nfs/dbraw/zinc/86/09/38/650860938.db2.gz SVFIOQQLNZLGJH-IAZYJMLFSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1noc(C)c1CCOc1ccc([N+](=O)[O-])cc1C=O ZINC000126910867 643738197 /nfs/dbraw/zinc/73/81/97/643738197.db2.gz RHFVOIUXOLJONH-UHFFFAOYSA-N 0 0 290.275 2.634 20 5 CFBDRN O=[N+]([O-])c1cc(COc2ccc(F)nc2)cs1 ZINC000127027225 643823803 /nfs/dbraw/zinc/82/38/03/643823803.db2.gz IWOFCZJLYJNFRS-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN CCC(F)(F)CN1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000878303020 643829723 /nfs/dbraw/zinc/82/97/23/643829723.db2.gz DZXDCXLWNLKNRD-UHFFFAOYSA-N 0 0 270.279 2.998 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)C[C@H]1C ZINC000246796087 650883554 /nfs/dbraw/zinc/88/35/54/650883554.db2.gz DHIUHULOUZPWMD-MXWKQRLJSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@H](NC(=O)c1cccc(F)n1)c1cccc([N+](=O)[O-])c1 ZINC000127299312 644006751 /nfs/dbraw/zinc/00/67/51/644006751.db2.gz OGUMRLNPGOVKCC-VIFPVBQESA-N 0 0 289.266 2.620 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2c2cn[nH]c2)cc1F ZINC000878876732 644057807 /nfs/dbraw/zinc/05/78/07/644057807.db2.gz RYZVGFDOYHESJM-CYBMUJFWSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1cc(NC(=O)c2cccc(F)n2)ccc1[N+](=O)[O-] ZINC000127470982 644112728 /nfs/dbraw/zinc/11/27/28/644112728.db2.gz YPOOJGHDMLJXCC-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)N1CCCCCCC1 ZINC000879220839 644179925 /nfs/dbraw/zinc/17/99/25/644179925.db2.gz BFHNLGIAUYBOKL-UHFFFAOYSA-N 0 0 292.339 2.518 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1C=CCC1 ZINC000879229588 644182464 /nfs/dbraw/zinc/18/24/64/644182464.db2.gz ZPFYVSBWJWSPFW-LLVKDONJSA-N 0 0 275.308 2.991 20 5 CFBDRN CC1(C)[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)[C@H]2CCO[C@H]21 ZINC000247312455 650903354 /nfs/dbraw/zinc/90/33/54/650903354.db2.gz HHUJBHKUYXPDOI-YNEHKIRRSA-N 0 0 291.303 2.565 20 5 CFBDRN CC[C@H](C)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000247912592 650919129 /nfs/dbraw/zinc/91/91/29/650919129.db2.gz KWYNZVIJSYESCD-QMMMGPOBSA-N 0 0 253.254 2.555 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCC[C@@H](CF)C3)nc2c1 ZINC000880233515 644594586 /nfs/dbraw/zinc/59/45/86/644594586.db2.gz LDOFLYLFIDKUCL-JTQLQIEISA-N 0 0 293.298 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CCC[C@H](CF)C3)nc2c1 ZINC000880233516 644594846 /nfs/dbraw/zinc/59/48/46/644594846.db2.gz LDOFLYLFIDKUCL-SNVBAGLBSA-N 0 0 293.298 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@@H](CF)C1 ZINC000880294489 644633077 /nfs/dbraw/zinc/63/30/77/644633077.db2.gz BSKMOWWTIRGBPP-VIFPVBQESA-N 0 0 256.252 2.525 20 5 CFBDRN CCOc1cc(NC(=O)N[C@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000888831124 644812825 /nfs/dbraw/zinc/81/28/25/644812825.db2.gz GOTPAGBIZZPNCT-LBPRGKRZSA-N 0 0 291.307 2.668 20 5 CFBDRN CCOc1cc(NC(=O)N2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000888832684 644816992 /nfs/dbraw/zinc/81/69/92/644816992.db2.gz MXQIZXMNPKCQKV-JTQLQIEISA-N 0 0 293.323 2.867 20 5 CFBDRN CC(=O)C1CCN(Cc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000094773957 650962921 /nfs/dbraw/zinc/96/29/21/650962921.db2.gz KXGBCRGGKRQSPP-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H]2C=CCC2)c1[N+](=O)[O-] ZINC000881489242 644988569 /nfs/dbraw/zinc/98/85/69/644988569.db2.gz MIHGKBXUMQAIHI-NSHDSACASA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)NC[C@@H]1C=CCC1 ZINC000881493094 644992306 /nfs/dbraw/zinc/99/23/06/644992306.db2.gz PGXRJOLJDWCPRW-GFCCVEGCSA-N 0 0 299.330 2.632 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000881495003 644994515 /nfs/dbraw/zinc/99/45/15/644994515.db2.gz QIQMEQRHUAKMDS-VIFPVBQESA-N 0 0 280.711 2.944 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NCC1(CC2CC2)CC1 ZINC000881517240 645012071 /nfs/dbraw/zinc/01/20/71/645012071.db2.gz QVRJPESYIUFJTB-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN CC1(CNC(=O)Cc2ccccc2[N+](=O)[O-])CC(F)(F)C1 ZINC000881521407 645016997 /nfs/dbraw/zinc/01/69/97/645016997.db2.gz HSWQUYKKELAEBZ-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN CC1(C)C[C@@]1(C)CNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000881539639 645031914 /nfs/dbraw/zinc/03/19/14/645031914.db2.gz GNHHLWUEDDFWGJ-HNNXBMFYSA-N 0 0 292.335 2.526 20 5 CFBDRN C=C/C=C\CCNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000881564442 645043811 /nfs/dbraw/zinc/04/38/11/645043811.db2.gz VGFMOTQRUKFULF-PLNGDYQASA-N 0 0 266.322 2.827 20 5 CFBDRN C=C/C=C/CCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000881567186 645046737 /nfs/dbraw/zinc/04/67/37/645046737.db2.gz LICAUBMMNIDWTD-ITKZLYELSA-N 0 0 274.320 2.947 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@@H](CF)C1 ZINC000881662855 645092299 /nfs/dbraw/zinc/09/22/99/645092299.db2.gz KGOTVGSIZCWXNL-QMMMGPOBSA-N 0 0 286.690 2.680 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC[C@@H](CF)C1 ZINC000881664873 645094230 /nfs/dbraw/zinc/09/42/30/645094230.db2.gz SQCIDNLFJPBVKJ-JTQLQIEISA-N 0 0 298.339 2.505 20 5 CFBDRN CCCCOCCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000881742708 645119652 /nfs/dbraw/zinc/11/96/52/645119652.db2.gz DHHCVLFMGOLHSK-UHFFFAOYSA-N 0 0 271.292 2.748 20 5 CFBDRN CC(=O)c1c(NC[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000881845648 645139852 /nfs/dbraw/zinc/13/98/52/645139852.db2.gz GMWQVBGRQOXTCG-LLVKDONJSA-N 0 0 278.308 2.778 20 5 CFBDRN C[C@H]1CCCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000881858911 645142432 /nfs/dbraw/zinc/14/24/32/645142432.db2.gz QMTDBLVRNXIZJY-VIFPVBQESA-N 0 0 253.277 2.755 20 5 CFBDRN CC(C)OC1CCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000881955793 645162968 /nfs/dbraw/zinc/16/29/68/645162968.db2.gz NFJNOEKDHKAOHV-UHFFFAOYSA-N 0 0 283.303 2.523 20 5 CFBDRN CC(=O)c1c(N(C)C[C@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000881958737 645163687 /nfs/dbraw/zinc/16/36/87/645163687.db2.gz UNOSNUGPLVCOQR-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN CCCO[C@H]1CCCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000881959417 645164072 /nfs/dbraw/zinc/16/40/72/645164072.db2.gz AKLMLFYRZWXAJH-NSHDSACASA-N 0 0 297.330 2.914 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881977522 645167327 /nfs/dbraw/zinc/16/73/27/645167327.db2.gz PZLUWXIQTQZCOJ-NOZJJQNGSA-N 0 0 280.324 2.616 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OS(=O)(=O)C1CCC1 ZINC000881991406 645170254 /nfs/dbraw/zinc/17/02/54/645170254.db2.gz NJSXDEXIBHRQJA-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN CC(C)n1ccc(CNc2ccnc(F)c2[N+](=O)[O-])n1 ZINC000882001558 645172875 /nfs/dbraw/zinc/17/28/75/645172875.db2.gz PNTYXJGWMVTNSW-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN CSC(C)(C)CNc1ccnc(F)c1[N+](=O)[O-] ZINC000882039184 645184887 /nfs/dbraw/zinc/18/48/87/645184887.db2.gz LUVZNGRCWDMPTG-UHFFFAOYSA-N 0 0 259.306 2.682 20 5 CFBDRN Cc1cc(OCC[C@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000882066347 645191543 /nfs/dbraw/zinc/19/15/43/645191543.db2.gz JKKMAOFODCETTD-ZDUSSCGKSA-N 0 0 253.298 2.689 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2CC[C@@H](c3ccccc3)O2)cn1 ZINC000882092380 645198473 /nfs/dbraw/zinc/19/84/73/645198473.db2.gz GUPKFGAXAMNLAF-OLZOCXBDSA-N 0 0 273.292 2.712 20 5 CFBDRN Cc1cc(=O)n(C[C@@H]2CC(C)(C)OC2(C)C)cc1[N+](=O)[O-] ZINC000882140239 645217535 /nfs/dbraw/zinc/21/75/35/645217535.db2.gz ILBDEGHERIFKJG-NSHDSACASA-N 0 0 294.351 2.659 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC[C@@H]2CCCC2(F)F)c1=O ZINC000882145611 645218402 /nfs/dbraw/zinc/21/84/02/645218402.db2.gz PMHRCCQNOXTDAA-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN C/C(=C\Cl)CSCc1cn(C)nc1[N+](=O)[O-] ZINC000882162340 645225234 /nfs/dbraw/zinc/22/52/34/645225234.db2.gz VTVZGOUBAQDAFH-XVNBXDOJSA-N 0 0 261.734 2.704 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC[C@H]1CCCC1(F)F ZINC000882170450 645228896 /nfs/dbraw/zinc/22/88/96/645228896.db2.gz SUFCSFMHOTTYNC-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000129494009 645238225 /nfs/dbraw/zinc/23/82/25/645238225.db2.gz YZKGVJXYQNGHSC-VIFPVBQESA-N 0 0 266.297 2.575 20 5 CFBDRN CC1(C)CCC[C@](O)(CNc2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882238266 645250220 /nfs/dbraw/zinc/25/02/20/645250220.db2.gz MGARDBDEXMXCMR-CQSZACIVSA-N 0 0 297.330 2.872 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCSC[C@@H](C)C1 ZINC000882257263 645255666 /nfs/dbraw/zinc/25/56/66/645255666.db2.gz YDKKUKMWIJZBOF-JTQLQIEISA-N 0 0 294.376 2.987 20 5 CFBDRN Cc1cccc(COC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000129561387 645273651 /nfs/dbraw/zinc/27/36/51/645273651.db2.gz DRRWTLUBLCQTQF-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CC[C@H](C(F)F)C1 ZINC000882311113 645277301 /nfs/dbraw/zinc/27/73/01/645277301.db2.gz DPBHVMIZKOMCKD-VIFPVBQESA-N 0 0 284.262 2.889 20 5 CFBDRN Cc1cccc(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000129573106 645278446 /nfs/dbraw/zinc/27/84/46/645278446.db2.gz HKDLSBIEDRINGA-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CC[C@H](CNc1cccc([N+](=O)[O-])c1C(C)=O)OC ZINC000882385221 645296453 /nfs/dbraw/zinc/29/64/53/645296453.db2.gz GTOQEFRFWKNGJB-SNVBAGLBSA-N 0 0 266.297 2.634 20 5 CFBDRN CSCCCNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000129626199 645296659 /nfs/dbraw/zinc/29/66/59/645296659.db2.gz VAWKTJMYNXNQQM-UHFFFAOYSA-N 0 0 288.756 2.731 20 5 CFBDRN COC1(CNc2ccnc(F)c2[N+](=O)[O-])CCCC1 ZINC000882406047 645302628 /nfs/dbraw/zinc/30/26/28/645302628.db2.gz MTGDVUMYSKJXFS-UHFFFAOYSA-N 0 0 269.276 2.500 20 5 CFBDRN CC(=O)c1c(N[C@H](C)CCCCO)cccc1[N+](=O)[O-] ZINC000882411176 645303251 /nfs/dbraw/zinc/30/32/51/645303251.db2.gz VRGFZQRQENUXDT-SNVBAGLBSA-N 0 0 280.324 2.760 20 5 CFBDRN CC(=O)c1c(NC[C@H]2CCCC[C@@H]2O)cccc1[N+](=O)[O-] ZINC000882426491 645308386 /nfs/dbraw/zinc/30/83/86/645308386.db2.gz UBOIDWSTATTYNU-RISCZKNCSA-N 0 0 292.335 2.760 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCOC1 ZINC000882447112 645319835 /nfs/dbraw/zinc/31/98/35/645319835.db2.gz ZMTCGEQZWZFSNG-TZMCWYRMSA-N 0 0 292.335 2.530 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@H](C1CC1)[C@H]1CCCCO1 ZINC000882544294 645362487 /nfs/dbraw/zinc/36/24/87/645362487.db2.gz OHWZZFMSJMMSJD-VXGBXAGGSA-N 0 0 295.314 2.889 20 5 CFBDRN C[C@]1(CCNc2ccnc(F)c2[N+](=O)[O-])CC1(F)F ZINC000882620604 645390994 /nfs/dbraw/zinc/39/09/94/645390994.db2.gz PLCUUNQYRMVVID-JTQLQIEISA-N 0 0 275.230 2.976 20 5 CFBDRN CCC(O)(CC)CN(C)c1cccc([N+](=O)[O-])c1C(C)=O ZINC000882669003 645405542 /nfs/dbraw/zinc/40/55/42/645405542.db2.gz NZFYDJWWWJHTDD-UHFFFAOYSA-N 0 0 294.351 2.785 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NC[C@@H]1C=CCC1 ZINC000882756095 645444100 /nfs/dbraw/zinc/44/41/00/645444100.db2.gz NLCVRGNJQYURBO-SSDOTTSWSA-N 0 0 290.245 2.725 20 5 CFBDRN CCC[C@H](C)CCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000882777917 645452987 /nfs/dbraw/zinc/45/29/87/645452987.db2.gz WQUQMVMHBLQRBS-JTQLQIEISA-N 0 0 279.340 2.932 20 5 CFBDRN COCC[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000130099228 645454366 /nfs/dbraw/zinc/45/43/66/645454366.db2.gz YNVMDYOJQJNLPP-CYBMUJFWSA-N 0 0 281.308 2.813 20 5 CFBDRN Cc1nn(C)c(NCC(C)(C)CC(F)F)c1[N+](=O)[O-] ZINC000882783443 645455143 /nfs/dbraw/zinc/45/51/43/645455143.db2.gz ZEFJTFFRIGTINT-UHFFFAOYSA-N 0 0 276.287 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CC[C@H](CF)C2)c1 ZINC000882839008 645473843 /nfs/dbraw/zinc/47/38/43/645473843.db2.gz DNVWWNAHSJFCCQ-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@H](CF)C1 ZINC000882839643 645474979 /nfs/dbraw/zinc/47/49/79/645474979.db2.gz XSXDEUGQWUCEIC-SNVBAGLBSA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@@H](CO)Cc1ccoc1 ZINC000882866655 645481720 /nfs/dbraw/zinc/48/17/20/645481720.db2.gz RMCMHVWOTKSCOL-NSHDSACASA-N 0 0 294.282 2.590 20 5 CFBDRN Cc1cccc(NC[C@@H](CO)Cc2ccoc2)c1[N+](=O)[O-] ZINC000882866110 645482182 /nfs/dbraw/zinc/48/21/82/645482182.db2.gz DPCZHXIBTNKZET-ZDUSSCGKSA-N 0 0 290.319 2.759 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(CC2CCOCC2)C1 ZINC000882885928 645492424 /nfs/dbraw/zinc/49/24/24/645492424.db2.gz UUXSFINYYYRRMG-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN COCCC1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000130534072 645520507 /nfs/dbraw/zinc/52/05/07/645520507.db2.gz XVRGTKGZLSLBII-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN CC[C@@H](Nc1ccnc(F)c1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000882953787 645525540 /nfs/dbraw/zinc/52/55/40/645525540.db2.gz YVQNUQRVRHKYAV-VHSXEESVSA-N 0 0 283.303 2.746 20 5 CFBDRN CC[C@H](Nc1ccnc(F)c1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000882953788 645525741 /nfs/dbraw/zinc/52/57/41/645525741.db2.gz YVQNUQRVRHKYAV-ZJUUUORDSA-N 0 0 283.303 2.746 20 5 CFBDRN CC[C@@H](Nc1ccc(N)cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000882954383 645525743 /nfs/dbraw/zinc/52/57/43/645525743.db2.gz MXMMVSRSMJIUDE-CMPLNLGQSA-N 0 0 279.340 2.794 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cc1F)[C@@H]1CCCOC1 ZINC000882954521 645526404 /nfs/dbraw/zinc/52/64/04/645526404.db2.gz PUZUTDVAEBACRR-SKDRFNHKSA-N 0 0 283.303 2.746 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])nc(C)n1CC)[C@H]1CCCOC1 ZINC000882954844 645526446 /nfs/dbraw/zinc/52/64/46/645526446.db2.gz XEBFFYBVIJJNDM-NWDGAFQWSA-N 0 0 296.371 2.737 20 5 CFBDRN CCc1nn(C)c(N[C@H](CC)[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000882955319 645526716 /nfs/dbraw/zinc/52/67/16/645526716.db2.gz IHSWRCSLIRMORN-GHMZBOCLSA-N 0 0 296.371 2.508 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])c(C)nn1CC)[C@H]1CCCOC1 ZINC000882954890 645526796 /nfs/dbraw/zinc/52/67/96/645526796.db2.gz XZBDSYLBRWAMEH-RYUDHWBXSA-N 0 0 296.371 2.737 20 5 CFBDRN CC[C@@H](Nc1cc(N)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000882956012 645527760 /nfs/dbraw/zinc/52/77/60/645527760.db2.gz XHMUJUQIQFMPDP-CMPLNLGQSA-N 0 0 279.340 2.794 20 5 CFBDRN CC[N@@H+]1CC[C@@H]1CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000882959115 645529208 /nfs/dbraw/zinc/52/92/08/645529208.db2.gz VKABZEKOWXQHST-GFCCVEGCSA-N 0 0 286.335 2.649 20 5 CFBDRN CC(C)[C@H](O)CCOc1ccc([N+](=O)[O-])c2ncccc12 ZINC000882988106 645545104 /nfs/dbraw/zinc/54/51/04/645545104.db2.gz ATIBSHKEFMNFMS-CYBMUJFWSA-N 0 0 290.319 2.929 20 5 CFBDRN Cc1cn(C[C@H]2CC(C)(C)OC2(C)C)nc1[N+](=O)[O-] ZINC000882989749 645547043 /nfs/dbraw/zinc/54/70/43/645547043.db2.gz GWOQBEALTXJZAS-SNVBAGLBSA-N 0 0 267.329 2.693 20 5 CFBDRN C[C@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000131621764 645766238 /nfs/dbraw/zinc/76/62/38/645766238.db2.gz WOTBZKJHZFMAAQ-HUYFXPKMSA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1ccc(OC(=O)CC[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000131713292 645792337 /nfs/dbraw/zinc/79/23/37/645792337.db2.gz STISKVLCERDBOZ-LLVKDONJSA-N 0 0 279.292 2.625 20 5 CFBDRN CC[C@H](SC)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000131740953 645801067 /nfs/dbraw/zinc/80/10/67/645801067.db2.gz KCBVDSXXYGWJMY-NSHDSACASA-N 0 0 269.322 2.780 20 5 CFBDRN Cc1nc(C)c(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000131857734 645838764 /nfs/dbraw/zinc/83/87/64/645838764.db2.gz SJBGNYGRGYHHEC-UHFFFAOYSA-N 0 0 276.248 2.727 20 5 CFBDRN COc1cc(C(=O)OCC2CCC2)c([N+](=O)[O-])cc1OC ZINC000132123726 645881464 /nfs/dbraw/zinc/88/14/64/645881464.db2.gz BVGXWVLINBIUBW-UHFFFAOYSA-N 0 0 295.291 2.569 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000132244099 645900533 /nfs/dbraw/zinc/90/05/33/645900533.db2.gz QYRNHQMBZOANKB-PSASIEDQSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H](NC(=O)NC[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000883668869 645923779 /nfs/dbraw/zinc/92/37/79/645923779.db2.gz OHOZBGVVNOIYFU-NWDGAFQWSA-N 0 0 289.335 2.921 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H](CF)C1)c1ccc([N+](=O)[O-])cc1 ZINC000883669067 645924479 /nfs/dbraw/zinc/92/44/79/645924479.db2.gz VRYCKBUPJKVLDE-WDEREUQCSA-N 0 0 295.314 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCc2cncs2)c1 ZINC000133126817 646035467 /nfs/dbraw/zinc/03/54/67/646035467.db2.gz YEGNHFQKRVRYGQ-UHFFFAOYSA-N 0 0 283.740 2.995 20 5 CFBDRN Cc1cc(C(=O)NCCC2CCC2)cc([N+](=O)[O-])c1 ZINC000133338982 646074060 /nfs/dbraw/zinc/07/40/60/646074060.db2.gz IFBNUNWSVRYICJ-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1ccc(OC(=O)c2ccnn2C(C)C)c([N+](=O)[O-])c1 ZINC000133434156 646088499 /nfs/dbraw/zinc/08/84/99/646088499.db2.gz PPNGCIGFKCKHKS-UHFFFAOYSA-N 0 0 289.291 2.900 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000800031186 646110907 /nfs/dbraw/zinc/11/09/07/646110907.db2.gz VUQJCBHMCKVWNU-GDLCADMTSA-N 0 0 293.319 2.809 20 5 CFBDRN COC[C@@H](C)CC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800145142 646156166 /nfs/dbraw/zinc/15/61/66/646156166.db2.gz DJCVUCHZUMXQAS-QMMMGPOBSA-N 0 0 284.287 2.653 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)COC1(C)CCC1 ZINC000800150428 646158088 /nfs/dbraw/zinc/15/80/88/646158088.db2.gz OTUCROHQFHORFT-UHFFFAOYSA-N 0 0 296.298 2.940 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCCC1CCC1 ZINC000133627520 646197244 /nfs/dbraw/zinc/19/72/44/646197244.db2.gz WLKULQBNYJAPSZ-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CCn1nc(C)cc1C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000133737382 646218221 /nfs/dbraw/zinc/21/82/21/646218221.db2.gz KNJGEGIZPLUOCC-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN Cc1cnc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)s1 ZINC000134030709 646267591 /nfs/dbraw/zinc/26/75/91/646267591.db2.gz WBSKMDKYEVZMKI-UHFFFAOYSA-N 0 0 299.258 2.890 20 5 CFBDRN CSCC[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1C ZINC000134296796 646323468 /nfs/dbraw/zinc/32/34/68/646323468.db2.gz HWQCGSULWUBDJW-VIFPVBQESA-N 0 0 282.365 2.775 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000134766107 646383493 /nfs/dbraw/zinc/38/34/93/646383493.db2.gz MVGGGYRHAXTVSP-BDAKNGLRSA-N 0 0 298.339 2.700 20 5 CFBDRN CCc1nccc(N(C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000884504829 646404971 /nfs/dbraw/zinc/40/49/71/646404971.db2.gz SCIBSTMNZOKPSP-UHFFFAOYSA-N 0 0 286.335 2.626 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(C2)CCCOC3)c2ccccc21 ZINC000884509812 646408913 /nfs/dbraw/zinc/40/89/13/646408913.db2.gz QFRJHPGXXDBANT-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@H]1C[C@H]1C1CCCC1 ZINC000884567762 646457105 /nfs/dbraw/zinc/45/71/05/646457105.db2.gz RCFOSJIKUKTPAL-STQMWFEESA-N 0 0 290.319 2.859 20 5 CFBDRN Cc1cc(N2C[C@@H]3C[C@@H]3C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000884587994 646472034 /nfs/dbraw/zinc/47/20/34/646472034.db2.gz VSIOATHETJGSMY-BETUJISGSA-N 0 0 296.330 2.816 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])[C@]12C[C@H]1CCCC2 ZINC000884603531 646483329 /nfs/dbraw/zinc/48/33/29/646483329.db2.gz LSCNJEHIZBFKND-BXUZGUMPSA-N 0 0 276.292 2.613 20 5 CFBDRN CN(c1nc2ccc([N+](=O)[O-])cc2s1)[C@@H]1CCC[C@H]1O ZINC000884630259 646494099 /nfs/dbraw/zinc/49/40/99/646494099.db2.gz IDHKIOOSCVMAJK-GHMZBOCLSA-N 0 0 293.348 2.554 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H]3[C@H](O)CC[C@@H]3C2)c(C(F)F)c1 ZINC000884646597 646505505 /nfs/dbraw/zinc/50/55/05/646505505.db2.gz YBALWMIGKTVMLF-YDEJPDAXSA-N 0 0 298.289 2.740 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000884649110 646507212 /nfs/dbraw/zinc/50/72/12/646507212.db2.gz SYGUJDLKVIVMJC-LSKIRQOJSA-N 0 0 294.326 2.930 20 5 CFBDRN Nc1ccc(NC[C@@H]2CC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000884661047 646513828 /nfs/dbraw/zinc/51/38/28/646513828.db2.gz JTYPYYKIUUUGPH-NSHDSACASA-N 0 0 277.324 2.548 20 5 CFBDRN Cc1ccnc(NC[C@H]2CC3(CCC3)CO2)c1[N+](=O)[O-] ZINC000884662744 646516272 /nfs/dbraw/zinc/51/62/72/646516272.db2.gz XSKCHPCOSVBHNM-LLVKDONJSA-N 0 0 277.324 2.669 20 5 CFBDRN CC1(C)CO[C@@H](CCNc2cc(N)ccc2[N+](=O)[O-])C1 ZINC000884675590 646523132 /nfs/dbraw/zinc/52/31/32/646523132.db2.gz IIWRTYITACYYEB-NSHDSACASA-N 0 0 279.340 2.794 20 5 CFBDRN CCc1nn(C)c(NCC[C@H]2CC(C)(C)CO2)c1[N+](=O)[O-] ZINC000884676009 646523284 /nfs/dbraw/zinc/52/32/84/646523284.db2.gz YQRSEEKTCOVUET-JTQLQIEISA-N 0 0 296.371 2.508 20 5 CFBDRN C[C@@H]1C[C@]2(CC[C@@H](CNc3ccc([N+](=O)[O-])s3)O2)CO1 ZINC000884699156 646532416 /nfs/dbraw/zinc/53/24/16/646532416.db2.gz YIXMKQLWKZHDTO-GBIKHYSHSA-N 0 0 298.364 2.795 20 5 CFBDRN C[C@@]1(CNc2ncc([N+](=O)[O-])cn2)CCOC2(CCC2)C1 ZINC000884702722 646534773 /nfs/dbraw/zinc/53/47/73/646534773.db2.gz JUEOLDWTPWXANH-CYBMUJFWSA-N 0 0 292.339 2.536 20 5 CFBDRN C[C@]1(CNc2ncc([N+](=O)[O-])cn2)CCOC2(CCC2)C1 ZINC000884702723 646535401 /nfs/dbraw/zinc/53/54/01/646535401.db2.gz JUEOLDWTPWXANH-ZDUSSCGKSA-N 0 0 292.339 2.536 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000884730731 646543132 /nfs/dbraw/zinc/54/31/32/646543132.db2.gz MWVFRQLBBVMYSL-GWCFXTLKSA-N 0 0 280.299 2.739 20 5 CFBDRN COc1cccc(N2CC[C@@H]([C@@H]3CCCO3)C2)c1[N+](=O)[O-] ZINC000884732017 646545072 /nfs/dbraw/zinc/54/50/72/646545072.db2.gz JBJSMQOSAVNDDK-YPMHNXCESA-N 0 0 292.335 2.609 20 5 CFBDRN Cc1cccc(N2CC[C@@H]([C@@H]3CCCO3)C2)c1[N+](=O)[O-] ZINC000884732207 646545169 /nfs/dbraw/zinc/54/51/69/646545169.db2.gz LLVMGQYQZPTQGX-OCCSQVGLSA-N 0 0 276.336 2.909 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]([C@@H]3CCCO3)C2)c(Cl)c1 ZINC000884731808 646545208 /nfs/dbraw/zinc/54/52/08/646545208.db2.gz FVWTVQUGDDHXIQ-SKDRFNHKSA-N 0 0 297.742 2.649 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000884732712 646546082 /nfs/dbraw/zinc/54/60/82/646546082.db2.gz SCIIPCZIZMJULI-WFASDCNBSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@H]3CCCO3)C2)c([N+](=O)[O-])s1 ZINC000884739041 646547139 /nfs/dbraw/zinc/54/71/39/646547139.db2.gz HQHSUVCLZXXCGV-ZYHUDNBSSA-N 0 0 282.365 2.970 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000884743579 646547416 /nfs/dbraw/zinc/54/74/16/646547416.db2.gz ZBSVJWDLTZJOHZ-CABZTGNLSA-N 0 0 297.742 2.649 20 5 CFBDRN CN(CCCF)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000884855698 646560373 /nfs/dbraw/zinc/56/03/73/646560373.db2.gz NWXPBIOUHREPSX-UHFFFAOYSA-N 0 0 263.272 2.939 20 5 CFBDRN CC[C@@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCCCO2 ZINC000885184230 646632490 /nfs/dbraw/zinc/63/24/90/646632490.db2.gz FBKATGCJTVNNDY-SECBINFHSA-N 0 0 295.291 2.711 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])C(C)C ZINC000885424467 646684859 /nfs/dbraw/zinc/68/48/59/646684859.db2.gz QFOUCHNHOYJZQV-AWEZNQCLSA-N 0 0 293.319 2.982 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCC[C@@H]2CCCO2)c1C ZINC000885424400 646684982 /nfs/dbraw/zinc/68/49/82/646684982.db2.gz PEFZPGQCELKFLS-LBPRGKRZSA-N 0 0 293.319 2.938 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H]2CCCCO2)c1C ZINC000885424679 646684992 /nfs/dbraw/zinc/68/49/92/646684992.db2.gz UPRMZHOHCGQBOJ-GFCCVEGCSA-N 0 0 293.319 2.938 20 5 CFBDRN CN(Cc1ccsc1)Cc1cccnc1[N+](=O)[O-] ZINC000885940774 646776944 /nfs/dbraw/zinc/77/69/44/646776944.db2.gz QQUIHIKEKJLWDA-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@]12C[C@H]1CCC2 ZINC000885995239 646801247 /nfs/dbraw/zinc/80/12/47/646801247.db2.gz LOHQDMLVCAXSHM-ABAIWWIYSA-N 0 0 274.320 2.823 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2n[nH]cc2[N+](=O)[O-])cc1Cl ZINC000137071054 646871739 /nfs/dbraw/zinc/87/17/39/646871739.db2.gz UVNZRIPCMLPOPV-UHFFFAOYSA-N 0 0 294.698 2.556 20 5 CFBDRN COc1ccc([C@H](C)NCc2cccnc2[N+](=O)[O-])cc1 ZINC000886107764 646875617 /nfs/dbraw/zinc/87/56/17/646875617.db2.gz ZJNBMPZTRDLKMQ-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCSC[C@H]1C1CCC1 ZINC000886250026 646937177 /nfs/dbraw/zinc/93/71/77/646937177.db2.gz ZABRJNJXMBSEDX-ZDUSSCGKSA-N 0 0 293.392 2.707 20 5 CFBDRN C[C@H]1CN(Cc2cccnc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000886296872 646957077 /nfs/dbraw/zinc/95/70/77/646957077.db2.gz CKKPWKNHIMTHCP-UWVGGRQHSA-N 0 0 285.294 2.713 20 5 CFBDRN CN(Cc1ccccc1)c1nc2ccccn2c1[N+](=O)[O-] ZINC000137627198 646964886 /nfs/dbraw/zinc/96/48/86/646964886.db2.gz CDYVDEXJBNFDQU-UHFFFAOYSA-N 0 0 282.303 2.879 20 5 CFBDRN C[C@@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ccc(F)cc1 ZINC000138160851 647022305 /nfs/dbraw/zinc/02/23/05/647022305.db2.gz UHGUCIIAXZYJMR-SECBINFHSA-N 0 0 292.266 2.990 20 5 CFBDRN CCn1ncc(NC(=O)c2cccc([N+](=O)[O-])c2C)c1C ZINC000138163698 647023916 /nfs/dbraw/zinc/02/39/16/647023916.db2.gz DDRDSWPNIBKRKG-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN C[C@@H](N[C@@H](CCO)C(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000886797683 647141702 /nfs/dbraw/zinc/14/17/02/647141702.db2.gz YVZLHATXZDOJOB-KCJUWKMLSA-N 0 0 292.257 2.559 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@]12C[C@H]1CCC2 ZINC000887031349 647192003 /nfs/dbraw/zinc/19/20/03/647192003.db2.gz XYSOQWAEEHTXHW-YMTOWFKASA-N 0 0 292.360 2.989 20 5 CFBDRN CSC1(C(=O)NC[C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000887401371 647303243 /nfs/dbraw/zinc/30/32/43/647303243.db2.gz UWMBHWYPWAMGJA-JTQLQIEISA-N 0 0 294.376 2.710 20 5 CFBDRN CC[C@@H](C)OCC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887403204 647303665 /nfs/dbraw/zinc/30/36/65/647303665.db2.gz RGQOCAIURGRTSQ-NWDGAFQWSA-N 0 0 294.351 2.630 20 5 CFBDRN C[C@H](CNC(=O)/C=C\C1CC1)c1ccccc1[N+](=O)[O-] ZINC000887402716 647303675 /nfs/dbraw/zinc/30/36/75/647303675.db2.gz HXBROHNEARFFLR-TYBABMIJSA-N 0 0 274.320 2.781 20 5 CFBDRN C[C@H](CNC(=O)C(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000887404243 647304595 /nfs/dbraw/zinc/30/45/95/647304595.db2.gz AIBHHOXRRUIRHF-SNVBAGLBSA-N 0 0 264.325 2.861 20 5 CFBDRN CSc1ccc(C(=O)OCCCC(C)=O)cc1[N+](=O)[O-] ZINC000887572193 647360125 /nfs/dbraw/zinc/36/01/25/647360125.db2.gz YEHFUTLKXMQMFW-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN C[C@H]1CO[C@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000887732459 647402622 /nfs/dbraw/zinc/40/26/22/647402622.db2.gz VRUOBAGIFJGGQI-HQJQHLMTSA-N 0 0 284.699 2.612 20 5 CFBDRN CCc1cc(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])no1 ZINC000887753862 647406921 /nfs/dbraw/zinc/40/69/21/647406921.db2.gz OXELIGHPOJPURB-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN O=C(C[C@@H]1C[C@H]1C1CC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000887817720 647418341 /nfs/dbraw/zinc/41/83/41/647418341.db2.gz JTFLQZVAWGFCKH-JSGCOSHPSA-N 0 0 286.331 2.920 20 5 CFBDRN C[C@]12CN(C(=O)c3cccc([N+](=O)[O-])c3)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000887879238 647428407 /nfs/dbraw/zinc/42/84/07/647428407.db2.gz HNCCZTWIRWCYIY-MAQMMGONSA-N 0 0 298.342 2.879 20 5 CFBDRN C[C@]12CN(C(=O)c3ccc([N+](=O)[O-])cc3)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000887879363 647428435 /nfs/dbraw/zinc/42/84/35/647428435.db2.gz NRQDAUXCQUMLPZ-UICACZKSSA-N 0 0 298.342 2.879 20 5 CFBDRN O=C(Oc1ccc2c(c1)OCO2)c1cccc([N+](=O)[O-])c1 ZINC000006212974 647459074 /nfs/dbraw/zinc/45/90/74/647459074.db2.gz TZRSPLNCZWJFAJ-UHFFFAOYSA-N 0 0 287.227 2.543 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@@H](C(F)(F)F)C1 ZINC000888000233 647459703 /nfs/dbraw/zinc/45/97/03/647459703.db2.gz NIGMSLPKJLTBKM-ZCFIWIBFSA-N 0 0 294.254 2.681 20 5 CFBDRN Cc1nsc(NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])n1 ZINC000889268732 647531035 /nfs/dbraw/zinc/53/10/35/647531035.db2.gz GEICPTHIIDIUQF-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@]2(C)CCCO2)c1C ZINC000889456674 647574700 /nfs/dbraw/zinc/57/47/00/647574700.db2.gz VCYJNLDDNGQJAG-OAHLLOKOSA-N 0 0 292.335 2.511 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000889590445 647607672 /nfs/dbraw/zinc/60/76/72/647607672.db2.gz JLVZVFLTNMPICJ-BPYAMOTFSA-N 0 0 299.330 2.995 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000889611526 647616646 /nfs/dbraw/zinc/61/66/46/647616646.db2.gz KEKRSBNMUIJMRT-OASPWFOLSA-N 0 0 292.310 2.581 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C1(C3CC3)CC1)CC2 ZINC000889852287 647681966 /nfs/dbraw/zinc/68/19/66/647681966.db2.gz MFEABUIKIBXPSB-UHFFFAOYSA-N 0 0 286.331 2.983 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000890421068 647798734 /nfs/dbraw/zinc/79/87/34/647798734.db2.gz FIXOQHYTIFPFHV-NWDGAFQWSA-N 0 0 293.319 2.936 20 5 CFBDRN C[C@@H]1CO[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000890437957 647802055 /nfs/dbraw/zinc/80/20/55/647802055.db2.gz VERDZABHVCLMQU-OIBJUYFYSA-N 0 0 284.699 2.612 20 5 CFBDRN CC(C)OCC(=O)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000828083501 647818914 /nfs/dbraw/zinc/81/89/14/647818914.db2.gz OAKXZWQCRTUIEZ-UHFFFAOYSA-N 0 0 289.291 2.507 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ccc(O)cc1 ZINC000005693726 647851191 /nfs/dbraw/zinc/85/11/91/647851191.db2.gz OEBMKCGUHUIXKR-UHFFFAOYSA-N 0 0 273.244 2.657 20 5 CFBDRN CC(C)CCC(=O)OCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000006001126 647853702 /nfs/dbraw/zinc/85/37/02/647853702.db2.gz PFVSBFRAWXZCNQ-UHFFFAOYSA-N 0 0 294.307 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(COC(F)(F)F)n2)s1 ZINC000924005551 647912332 /nfs/dbraw/zinc/91/23/32/647912332.db2.gz MEKLCTRRIJTUCA-UHFFFAOYSA-N 0 0 295.198 2.743 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000924038307 647915229 /nfs/dbraw/zinc/91/52/29/647915229.db2.gz YYONPWPGQDZDMX-PKZYVASSSA-N 0 0 292.310 2.756 20 5 CFBDRN O=C(OCc1ccc(F)cc1[N+](=O)[O-])[C@]12C[C@H]1CCC2 ZINC000924434113 647981177 /nfs/dbraw/zinc/98/11/77/647981177.db2.gz GBCDKDUNVOFTLE-QMTHXVAHSA-N 0 0 279.267 2.967 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000925245822 648141820 /nfs/dbraw/zinc/14/18/20/648141820.db2.gz UVXBCBCZXDMTHT-SCDSUCTJSA-N 0 0 289.335 2.850 20 5 CFBDRN COC(C)(C)[C@@H](C)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000925486085 648180876 /nfs/dbraw/zinc/18/08/76/648180876.db2.gz QQQXIEOQBBCNGC-SNVBAGLBSA-N 0 0 295.339 2.838 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000925895250 648359036 /nfs/dbraw/zinc/35/90/36/648359036.db2.gz XVDLKHRTMUAWJY-UHFFFAOYSA-N 0 0 284.337 2.551 20 5 CFBDRN Cc1nonc1[C@@H](C)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000926894029 648648290 /nfs/dbraw/zinc/64/82/90/648648290.db2.gz WLTNAJDXUVWPON-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN O=C(NCC1C[C@H]2CC[C@@H](C1)S2)c1ccc([N+](=O)[O-])o1 ZINC000927403490 648767079 /nfs/dbraw/zinc/76/70/79/648767079.db2.gz LUBNMHARQLMTSD-PBINXNQUSA-N 0 0 296.348 2.592 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c1 ZINC000928044262 648849163 /nfs/dbraw/zinc/84/91/63/648849163.db2.gz NBPMWJCBZJEMOL-HNCHTBHHSA-N 0 0 274.320 2.678 20 5 CFBDRN CCC(C)(C)[C@@H](O)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928246258 648887355 /nfs/dbraw/zinc/88/73/55/648887355.db2.gz GDNDTNGXHKRKLL-MFKMUULPSA-N 0 0 294.351 2.569 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000928246314 648887485 /nfs/dbraw/zinc/88/74/85/648887485.db2.gz AMNHDBPPJRHOJG-ZHSDAYTOSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@@H](NC(=O)CC1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000928249074 648888529 /nfs/dbraw/zinc/88/85/29/648888529.db2.gz OUDHGLIKQJUXDT-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN CCC1(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000928249261 648888630 /nfs/dbraw/zinc/88/86/30/648888630.db2.gz QLBINZONKUTIID-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN CC[C@@H](C)OCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928249910 648889691 /nfs/dbraw/zinc/88/96/91/648889691.db2.gz WNTYKHHZVXBMDF-GHMZBOCLSA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@@H](NC(=O)C=C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000928250203 648890400 /nfs/dbraw/zinc/89/04/00/648890400.db2.gz ZRAAFGRLGUJKRY-SNVBAGLBSA-N 0 0 260.293 2.882 20 5 CFBDRN CC(C)(C)OCc1ccccc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000928390720 648905379 /nfs/dbraw/zinc/90/53/79/648905379.db2.gz WMFVLDMXOUWMCS-DGCLKSJQSA-N 0 0 292.335 2.605 20 5 CFBDRN C[C@H](C(=O)N1CCn2cccc2C1)c1cccc([N+](=O)[O-])c1 ZINC000928961972 648969877 /nfs/dbraw/zinc/96/98/77/648969877.db2.gz PIXHBIDDEAEPCA-LBPRGKRZSA-N 0 0 299.330 2.542 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000929104059 648979310 /nfs/dbraw/zinc/97/93/10/648979310.db2.gz RLZKPFANZJMMLU-MRVPVSSYSA-N 0 0 268.338 2.845 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000929117081 648983166 /nfs/dbraw/zinc/98/31/66/648983166.db2.gz ZPSNCNFPRUJREK-OASPWFOLSA-N 0 0 275.308 2.905 20 5 CFBDRN CCN(CC)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000929141153 648988806 /nfs/dbraw/zinc/98/88/06/648988806.db2.gz MRBZOXMFOOIOGD-OLZOCXBDSA-N 0 0 262.309 2.567 20 5 CFBDRN CCN(C)C(=O)CCc1c(Cl)cccc1[N+](=O)[O-] ZINC000930025334 649169220 /nfs/dbraw/zinc/16/92/20/649169220.db2.gz JOOWDMWRUYOSNP-UHFFFAOYSA-N 0 0 270.716 2.659 20 5 CFBDRN CC(C)CN(C)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000930028060 649169913 /nfs/dbraw/zinc/16/99/13/649169913.db2.gz GABSHCJEBJSNBD-KGLIPLIRSA-N 0 0 276.336 2.813 20 5 CFBDRN O=C(NCC1CCC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000930152388 649194404 /nfs/dbraw/zinc/19/44/04/649194404.db2.gz FJBQIPCXQKAFPC-KBPBESRZSA-N 0 0 274.320 2.615 20 5 CFBDRN CCN(C(=O)CCc1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000930311954 649228824 /nfs/dbraw/zinc/22/88/24/649228824.db2.gz UWSFIEZFSPGUCQ-UHFFFAOYSA-N 0 0 282.315 2.923 20 5 CFBDRN C[C@@]1(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C=CCC1 ZINC000930492698 649260469 /nfs/dbraw/zinc/26/04/69/649260469.db2.gz HVVHBRJJMYEDLA-OAHLLOKOSA-N 0 0 274.320 2.610 20 5 CFBDRN O=C(NCc1ccoc1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000930655210 649298521 /nfs/dbraw/zinc/29/85/21/649298521.db2.gz UBZJFARTRYQPLY-KGLIPLIRSA-N 0 0 286.287 2.608 20 5 CFBDRN CN(Cc1ccco1)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000035702048 649300397 /nfs/dbraw/zinc/30/03/97/649300397.db2.gz JCVKVQSOUFUGIB-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN Cc1c2cccc(C)c2oc1CN(C)CC[N+](=O)[O-] ZINC000930856226 649337463 /nfs/dbraw/zinc/33/74/63/649337463.db2.gz MOEZXQVWNZCGOY-UHFFFAOYSA-N 0 0 262.309 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCO[C@H](C)CC1 ZINC000930883217 649342559 /nfs/dbraw/zinc/34/25/59/649342559.db2.gz OVLLYYDKRNNINP-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CC[C@@H]1C(F)F ZINC000931422740 649423376 /nfs/dbraw/zinc/42/33/76/649423376.db2.gz VXHICVBKOHTRSM-SNVBAGLBSA-N 0 0 260.215 2.573 20 5 CFBDRN CCN(CC1CC1)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000932586214 649623534 /nfs/dbraw/zinc/62/35/34/649623534.db2.gz MMFACITXOHIPIY-CABCVRRESA-N 0 0 288.347 2.957 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000932635211 649632269 /nfs/dbraw/zinc/63/22/69/649632269.db2.gz ZXYOIZANDUOVPU-KWCYVHTRSA-N 0 0 288.347 2.861 20 5 CFBDRN Cc1cc(C)c(OC(=O)c2ccc(F)nc2)c([N+](=O)[O-])c1 ZINC000074413953 649633902 /nfs/dbraw/zinc/63/39/02/649633902.db2.gz LVIBSCMLBFAYTD-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN CSC[C@H](C)NC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933175659 649677754 /nfs/dbraw/zinc/67/77/54/649677754.db2.gz AYSQUBDJISSGBR-XDTLVQLUSA-N 0 0 294.376 2.566 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)NCCC1CC1 ZINC000933693825 649714457 /nfs/dbraw/zinc/71/44/57/649714457.db2.gz YUNXWJWSKRYQDV-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN CC1(C)CCN(C(=O)[C@@H]2C[C@@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000933779655 649721226 /nfs/dbraw/zinc/72/12/26/649721226.db2.gz FIHJTXRDJLXGTE-ZIAGYGMSSA-N 0 0 288.347 2.957 20 5 CFBDRN CC(C)OC(=O)C(C)(C)NCc1cc(F)ccc1[N+](=O)[O-] ZINC000934817236 649834269 /nfs/dbraw/zinc/83/42/69/649834269.db2.gz UOVJBWWZCYDCKG-UHFFFAOYSA-N 0 0 298.314 2.554 20 5 CFBDRN O=[N+]([O-])c1ccc(S(=O)(=O)Oc2ccccc2F)cc1 ZINC000016089493 651238445 /nfs/dbraw/zinc/23/84/45/651238445.db2.gz DOBYPBFUCBHCRL-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN C[C@@H]1CCCN(c2nccc(Cl)c2[N+](=O)[O-])C1 ZINC001155200642 782247362 /nfs/dbraw/zinc/24/73/62/782247362.db2.gz ITUGHTSFCGIONJ-MRVPVSSYSA-N 0 0 255.705 2.880 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cn1)[C@H]1CCCOC1 ZINC000723856434 770690525 /nfs/dbraw/zinc/69/05/25/770690525.db2.gz AZKIVNOXERIOPP-JQWIXIFHSA-N 0 0 265.313 2.607 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)c(Cl)cn1)C1CC1 ZINC001229225115 776758574 /nfs/dbraw/zinc/75/85/74/776758574.db2.gz ZPITXZQTMGQBQS-VIFPVBQESA-N 0 0 277.107 2.823 20 5 CFBDRN O=[N+]([O-])c1cc[n+]([O-])c(N2CC[C@@H](C3CCCCC3)C2)c1 ZINC001167063531 769886339 /nfs/dbraw/zinc/88/63/39/769886339.db2.gz CHXHSEBTHOGPAF-CYBMUJFWSA-N 0 0 291.351 2.635 20 5 CFBDRN COc1nc(C)c([N+](=O)[O-])c(N(C)CC2CCCCC2)n1 ZINC001167095985 769990351 /nfs/dbraw/zinc/99/03/51/769990351.db2.gz CMYOBJLYKLCCEA-UHFFFAOYSA-N 0 0 294.355 2.718 20 5 CFBDRN Cc1cc2c(cn1)CN(c1nccc(C)c1[N+](=O)[O-])C2 ZINC001167110645 770036127 /nfs/dbraw/zinc/03/61/27/770036127.db2.gz VQQOCBYSCSQHHW-UHFFFAOYSA-N 0 0 270.292 2.522 20 5 CFBDRN COC(=O)c1ccc(Nc2cccc([N+](=O)[O-])c2)cc1O ZINC001204455701 770124455 /nfs/dbraw/zinc/12/44/55/770124455.db2.gz FNIDNCKGIHPYJA-UHFFFAOYSA-N 0 0 288.259 2.831 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@H]2OCC[C@@H]2C1 ZINC001167217236 770150600 /nfs/dbraw/zinc/15/06/00/770150600.db2.gz RXGTZDMEHNSIND-IUODEOHRSA-N 0 0 299.330 2.758 20 5 CFBDRN Nc1ccc(Nc2c(=O)cc[nH]c2Cl)cc1[N+](=O)[O-] ZINC001209934506 770165669 /nfs/dbraw/zinc/16/56/69/770165669.db2.gz ITOVSRBJYOZHKT-UHFFFAOYSA-N 0 0 280.671 2.675 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1cnsc1 ZINC001210445120 770285030 /nfs/dbraw/zinc/28/50/30/770285030.db2.gz XWIYJTXZRPGPPU-UHFFFAOYSA-N 0 0 251.267 2.804 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Nc1cccc(N)c1O ZINC001210449378 770285612 /nfs/dbraw/zinc/28/56/12/770285612.db2.gz FYWQVLJUKVMQCX-UHFFFAOYSA-N 0 0 275.264 2.635 20 5 CFBDRN CC(C)Oc1cc(C(=O)NC/C=C/Cl)ccc1[N+](=O)[O-] ZINC000891202970 770355279 /nfs/dbraw/zinc/35/52/79/770355279.db2.gz YGXYOGPMHWGQAJ-ZZXKWVIFSA-N 0 0 298.726 2.864 20 5 CFBDRN C/C=C(\C)n1c2ccccc2nc2cc(N)c(=O)cc1-2 ZINC001210798548 770373888 /nfs/dbraw/zinc/37/38/88/770373888.db2.gz XNHNKPSHTJPPHW-XCVCLJGOSA-N 0 0 265.316 2.964 20 5 CFBDRN Nc1cc2nc3ccccc3nc2cc1Nc1ccncn1 ZINC001211026594 770416837 /nfs/dbraw/zinc/41/68/37/770416837.db2.gz APTNMFPTRBLWIS-UHFFFAOYSA-N 0 0 288.314 2.899 20 5 CFBDRN C[C@]1(CO)CCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000723014649 770445799 /nfs/dbraw/zinc/44/57/99/770445799.db2.gz IAHGNZSLGYLHRJ-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSc2nc(CO)cs2)cc1 ZINC000723367481 770560054 /nfs/dbraw/zinc/56/00/54/770560054.db2.gz BCGCOOYPBOMLGO-UHFFFAOYSA-N 0 0 296.373 2.878 20 5 CFBDRN CN1C[C@@H](CCNc2ccc(Cl)cc2[N+](=O)[O-])CC1=O ZINC000723396562 770563354 /nfs/dbraw/zinc/56/33/54/770563354.db2.gz IMTYUEXDFIWFDU-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN CCn1ccnc1SCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000689833627 770630476 /nfs/dbraw/zinc/63/04/76/770630476.db2.gz IEFGPMRCBUUWJL-UHFFFAOYSA-N 0 0 291.332 2.786 20 5 CFBDRN COc1ncc([N+](=O)[O-])cc1N[C@@H](C)c1ccc(F)cn1 ZINC001167482874 770644134 /nfs/dbraw/zinc/64/41/34/770644134.db2.gz DQELWFCSYUPIEO-QMMMGPOBSA-N 0 0 292.270 2.706 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@H]1CCCOC1 ZINC000723870535 770696871 /nfs/dbraw/zinc/69/68/71/770696871.db2.gz IFXIOSXOTLHKMA-RYUDHWBXSA-N 0 0 279.340 2.915 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCC1CC1 ZINC000588445148 770705373 /nfs/dbraw/zinc/70/53/73/770705373.db2.gz LEGJYGITZYNQQH-NTMALXAHSA-N 0 0 261.277 2.951 20 5 CFBDRN Cc1cnc(CNc2ncc([N+](=O)[O-])cc2C)c(C)c1 ZINC000724110540 770788326 /nfs/dbraw/zinc/78/83/26/770788326.db2.gz LAZYYWGTZHVMSD-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN Cc1cnc(COc2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000693536352 770800850 /nfs/dbraw/zinc/80/08/50/770800850.db2.gz XHOVRCVVANWFST-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CC(=O)CNc1ccc(C(=O)OC(C)(C)C)c([N+](=O)[O-])c1 ZINC001167631157 773199703 /nfs/dbraw/zinc/19/97/03/773199703.db2.gz VPYJCNGWTFUSAV-UHFFFAOYSA-N 0 0 294.307 2.551 20 5 CFBDRN CC(=O)CNc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC001167629873 773199769 /nfs/dbraw/zinc/19/97/69/773199769.db2.gz ANXVXIUPYSUZQC-UHFFFAOYSA-N 0 0 262.187 2.615 20 5 CFBDRN CCCCOC[C@H](C)OCc1ccc([N+](=O)[O-])nc1 ZINC001224109958 775102440 /nfs/dbraw/zinc/10/24/40/775102440.db2.gz YIGHNEMFNJOREF-NSHDSACASA-N 0 0 268.313 2.712 20 5 CFBDRN COC(C)(C)C[C@H](C)OCc1ccc([N+](=O)[O-])nc1 ZINC001224112416 775103370 /nfs/dbraw/zinc/10/33/70/775103370.db2.gz CTMNXASWTTXMSC-JTQLQIEISA-N 0 0 268.313 2.710 20 5 CFBDRN O=[N+]([O-])C[C@H](OCc1ccc2scnc2c1)C1CC1 ZINC001224137984 775110216 /nfs/dbraw/zinc/11/02/16/775110216.db2.gz RHABOBCKMXRYOC-LBPRGKRZSA-N 0 0 278.333 2.868 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCc1ccc2scnc2c1)C1CC1 ZINC001224137983 775110252 /nfs/dbraw/zinc/11/02/52/775110252.db2.gz RHABOBCKMXRYOC-GFCCVEGCSA-N 0 0 278.333 2.868 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000934910136 775111349 /nfs/dbraw/zinc/11/13/49/775111349.db2.gz HXOBOPNOAPRYAA-GDLCADMTSA-N 0 0 292.335 2.887 20 5 CFBDRN Cc1cnc(COC[C@H]2CCC=CO2)c(C)c1[N+](=O)[O-] ZINC001224182555 775120967 /nfs/dbraw/zinc/12/09/67/775120967.db2.gz JRJRFGQPYPKSJG-GFCCVEGCSA-N 0 0 278.308 2.816 20 5 CFBDRN Cc1cnc(COC[C@@H]2CCCCO2)c(C)c1[N+](=O)[O-] ZINC001224185369 775121133 /nfs/dbraw/zinc/12/11/33/775121133.db2.gz FDLUCNSAMRVHII-LBPRGKRZSA-N 0 0 280.324 2.692 20 5 CFBDRN COC(C[C@H](C)OCc1ncc(C)c([N+](=O)[O-])c1C)OC ZINC001224185527 775121284 /nfs/dbraw/zinc/12/12/84/775121284.db2.gz INFZAZQYFFYMAV-JTQLQIEISA-N 0 0 298.339 2.521 20 5 CFBDRN Cc1cnc(CO[C@@H](C)C2CCOCC2)c(C)c1[N+](=O)[O-] ZINC001224182549 775121346 /nfs/dbraw/zinc/12/13/46/775121346.db2.gz JPUKMNZVEIRYKC-LBPRGKRZSA-N 0 0 294.351 2.938 20 5 CFBDRN Cc1cnc(CO[C@H](C)c2cncnc2)c(C)c1[N+](=O)[O-] ZINC001224185867 775122632 /nfs/dbraw/zinc/12/26/32/775122632.db2.gz RPCCWCLVWIUSDL-LLVKDONJSA-N 0 0 288.307 2.674 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCCOc1ccc2c(c1)CCC2)C1CC1 ZINC001224302822 775149723 /nfs/dbraw/zinc/14/97/23/775149723.db2.gz QKZZVARQZVDGJS-MRXNPFEDSA-N 0 0 291.347 2.626 20 5 CFBDRN C[C@@H]1COCC[C@@H]1OCCc1ccc([N+](=O)[O-])cc1 ZINC001224333283 775160398 /nfs/dbraw/zinc/16/03/98/775160398.db2.gz DNNKRZRCHUXYPJ-RISCZKNCSA-N 0 0 265.309 2.579 20 5 CFBDRN C[C@H]1COCC[C@H]1OCCc1ccc([N+](=O)[O-])cc1 ZINC001224333284 775161044 /nfs/dbraw/zinc/16/10/44/775161044.db2.gz DNNKRZRCHUXYPJ-SMDDNHRTSA-N 0 0 265.309 2.579 20 5 CFBDRN Cc1conc1CNc1ncc([N+](=O)[O-])cc1Cl ZINC000708372092 775163486 /nfs/dbraw/zinc/16/34/86/775163486.db2.gz JUMRZUDVSFFKFQ-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCC1SCCS1 ZINC000693815915 775178951 /nfs/dbraw/zinc/17/89/51/775178951.db2.gz UMUMWSQJBPWSRX-UHFFFAOYSA-N 0 0 271.367 2.598 20 5 CFBDRN Nc1c(Cl)cc(C(=O)N[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000693838056 775196833 /nfs/dbraw/zinc/19/68/33/775196833.db2.gz MHCABNXLMMQSEX-AMIZOPFISA-N 0 0 295.726 2.503 20 5 CFBDRN C/C=C/C=C\C(=O)Oc1ccc(OC)c([N+](=O)[O-])c1 ZINC000588587410 775217829 /nfs/dbraw/zinc/21/78/29/775217829.db2.gz KMJBDFBIUBWLFT-ICWBMWKASA-N 0 0 263.249 2.641 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC23CC(O)C3)c(Cl)c1 ZINC000725000363 775221942 /nfs/dbraw/zinc/22/19/42/775221942.db2.gz JUDBROGZXZWQDP-BYIYDRLASA-N 0 0 268.700 2.574 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)OCC1CC(C)(C)C1 ZINC001224769013 775269803 /nfs/dbraw/zinc/26/98/03/775269803.db2.gz IOFDHUSWZOHXIJ-JTQLQIEISA-N 0 0 281.356 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCO[C@H]2C[C@H]3C=C[C@@H]2C3)cc1 ZINC001224777107 775271247 /nfs/dbraw/zinc/27/12/47/775271247.db2.gz LJTCGEOXZBZJFM-ZOWXZIJZSA-N 0 0 275.304 2.955 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1nccc(C)n1 ZINC000588616731 775303877 /nfs/dbraw/zinc/30/38/77/775303877.db2.gz FYXQLJCFHGNKCU-KTKRTIGZSA-N 0 0 298.302 2.735 20 5 CFBDRN COC(=O)C1(CO[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC001224981281 775325159 /nfs/dbraw/zinc/32/51/59/775325159.db2.gz NPXMPBIEZDRWIG-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN O=[N+]([O-])C[C@@H](OCC1=CCCCC1)c1cccnc1 ZINC001225000183 775329931 /nfs/dbraw/zinc/32/99/31/775329931.db2.gz DUIHKRJZAPKKKF-CQSZACIVSA-N 0 0 262.309 2.916 20 5 CFBDRN C[C@@H](OC1CCC(O)CC1)c1cccc([N+](=O)[O-])c1 ZINC001217902310 775363725 /nfs/dbraw/zinc/36/37/25/775363725.db2.gz QXMIVJGHOCCIQE-GWMXKSKGSA-N 0 0 265.309 2.976 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccccc1C ZINC001225219668 775368767 /nfs/dbraw/zinc/36/87/67/775368767.db2.gz WKPOUEPDKRXSFM-LLVKDONJSA-N 0 0 275.308 2.876 20 5 CFBDRN C[C@H](Oc1ccc(C(N)=O)cc1)c1ccccc1[N+](=O)[O-] ZINC001225299516 775399100 /nfs/dbraw/zinc/39/91/00/775399100.db2.gz YTYGTVKNHNPHNY-JTQLQIEISA-N 0 0 286.287 2.834 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc(F)c([N+](=O)[O-])c1)OC ZINC001225383162 775436537 /nfs/dbraw/zinc/43/65/37/775436537.db2.gz VRMANVXRUCFDJZ-MRVPVSSYSA-N 0 0 273.260 2.510 20 5 CFBDRN CC1(C)OC[C@H](CCOc2ccc(F)c([N+](=O)[O-])c2)O1 ZINC001225382555 775437124 /nfs/dbraw/zinc/43/71/24/775437124.db2.gz QLFNDJQRYVRJEZ-JTQLQIEISA-N 0 0 285.271 2.654 20 5 CFBDRN CCOC(=O)CC1CC(Oc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC001225382855 775437413 /nfs/dbraw/zinc/43/74/13/775437413.db2.gz UFYMPQBDMFGTEX-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Oc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC001225383717 775437836 /nfs/dbraw/zinc/43/78/36/775437836.db2.gz FVKZOTKFOSHKOD-ZJUUUORDSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H](Oc1ccc(F)c([N+](=O)[O-])c1)c1cnccn1 ZINC001225385906 775438297 /nfs/dbraw/zinc/43/82/97/775438297.db2.gz UTTPRPSNUVFXQJ-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN O=C1CCC(Oc2c(Cl)nccc2[N+](=O)[O-])CC1 ZINC001225432712 775454093 /nfs/dbraw/zinc/45/40/93/775454093.db2.gz IUPLCWOVSVIUDK-UHFFFAOYSA-N 0 0 270.672 2.534 20 5 CFBDRN C[C@@H](Oc1c(Cl)nccc1[N+](=O)[O-])c1cncnc1 ZINC001225432018 775454286 /nfs/dbraw/zinc/45/42/86/775454286.db2.gz XYLQPDGAVBAGFK-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN COc1cc(O[C@@H](C)c2cnn(C)c2C)ccc1[N+](=O)[O-] ZINC001225436877 775456277 /nfs/dbraw/zinc/45/62/77/775456277.db2.gz ZYBYIUCATRPZEU-JTQLQIEISA-N 0 0 291.307 2.785 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001225449891 775461899 /nfs/dbraw/zinc/46/18/99/775461899.db2.gz BOUAWSYLLZIKEC-CWSCBRNRSA-N 0 0 289.287 2.725 20 5 CFBDRN C[C@@H](COC(C)(C)C)Oc1cc([O-])ccc1[N+](=O)[O-] ZINC001225488509 775476883 /nfs/dbraw/zinc/47/68/83/775476883.db2.gz HAMQMERCJLRQQG-VIFPVBQESA-N 0 0 269.297 2.883 20 5 CFBDRN CC(=O)c1ccccc1O[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001225572838 775506263 /nfs/dbraw/zinc/50/62/63/775506263.db2.gz QZPXJJLBMRXELW-OAHLLOKOSA-N 0 0 286.287 2.681 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2cc(F)ccc2[N+](=O)[O-])CC1 ZINC001225579120 775509837 /nfs/dbraw/zinc/50/98/37/775509837.db2.gz RMEJADKQEOKFRI-JGZJWPJOSA-N 0 0 297.282 2.845 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1cc(F)ccc1[N+](=O)[O-] ZINC001225578680 775509881 /nfs/dbraw/zinc/50/98/81/775509881.db2.gz OYDCSJHIMXPQJX-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@@H](COc1ncccc1[N+](=O)[O-])OCc1ccccc1 ZINC001225593783 775516868 /nfs/dbraw/zinc/51/68/68/775516868.db2.gz YKTDMENTAGDIDJ-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN C[C@@H](Oc1ncccc1[N+](=O)[O-])c1cccnc1F ZINC001225595737 775516985 /nfs/dbraw/zinc/51/69/85/775516985.db2.gz KZNAYVZGYRMEAF-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC001225599749 775518250 /nfs/dbraw/zinc/51/82/50/775518250.db2.gz CIAQGMZEPFMZNB-SNVBAGLBSA-N 0 0 296.279 2.759 20 5 CFBDRN C[C@@H](COc1ncccc1[N+](=O)[O-])Oc1ccccc1 ZINC001225598574 775518278 /nfs/dbraw/zinc/51/82/78/775518278.db2.gz WGHMBEROTAYUOI-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC001225599748 775518345 /nfs/dbraw/zinc/51/83/45/775518345.db2.gz CIAQGMZEPFMZNB-JTQLQIEISA-N 0 0 296.279 2.759 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H]1COc2cnccc21 ZINC001225616073 775525666 /nfs/dbraw/zinc/52/56/66/775525666.db2.gz FPDNFTUJJZSVSH-CQSZACIVSA-N 0 0 288.259 2.511 20 5 CFBDRN C[C@@H](COc1ccc([N+](=O)[O-])cn1)OCc1ccccc1 ZINC001225630815 775531605 /nfs/dbraw/zinc/53/16/05/775531605.db2.gz GACBXEARNQILAE-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN CC1(C)CC(=O)CC[C@H]1Oc1ccc([N+](=O)[O-])cn1 ZINC001225632405 775532942 /nfs/dbraw/zinc/53/29/42/775532942.db2.gz KYVXRAGTOHNKGP-LLVKDONJSA-N 0 0 264.281 2.516 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H](c2cccnc2)C2CC2)nc1 ZINC001225634486 775533681 /nfs/dbraw/zinc/53/36/81/775533681.db2.gz YUMNWSSISXUJGY-AWEZNQCLSA-N 0 0 271.276 2.915 20 5 CFBDRN CC1(C)CC(=O)CC[C@@H]1Oc1ccc([N+](=O)[O-])cn1 ZINC001225632407 775533812 /nfs/dbraw/zinc/53/38/12/775533812.db2.gz KYVXRAGTOHNKGP-NSHDSACASA-N 0 0 264.281 2.516 20 5 CFBDRN Cc1cccc(OCC[C@H]2COC(C)(C)O2)c1[N+](=O)[O-] ZINC001225632672 775533847 /nfs/dbraw/zinc/53/38/47/775533847.db2.gz NFZPZZCLTSGHLA-NSHDSACASA-N 0 0 281.308 2.824 20 5 CFBDRN C[C@@H](COCc1ccccc1)Oc1ccc([N+](=O)[O-])cn1 ZINC001225633790 775533891 /nfs/dbraw/zinc/53/38/91/775533891.db2.gz SMTYLDWHDMPWME-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1cccc(C)c1[N+](=O)[O-] ZINC001225635391 775534867 /nfs/dbraw/zinc/53/48/67/775534867.db2.gz GVZNAJZGLIEUKI-RYUDHWBXSA-N 0 0 283.324 2.722 20 5 CFBDRN O=C1CCCc2cc(O[C@H](C[N+](=O)[O-])C3CC3)ccc21 ZINC001225636703 775535120 /nfs/dbraw/zinc/53/51/20/775535120.db2.gz AZPWIEIINUJGJN-OAHLLOKOSA-N 0 0 275.304 2.640 20 5 CFBDRN Cc1cc(OC[C@@H]2Cc3ccccc3O2)ncc1[N+](=O)[O-] ZINC001225657937 775544968 /nfs/dbraw/zinc/54/49/68/775544968.db2.gz UXGGGKLUMKOUEZ-LBPRGKRZSA-N 0 0 286.287 2.681 20 5 CFBDRN Cc1cnc(F)c([C@H](C)Oc2[nH]ccc(=O)c2[N+](=O)[O-])c1 ZINC001225664131 775546907 /nfs/dbraw/zinc/54/69/07/775546907.db2.gz KBPQFTQYSZYUML-QMMMGPOBSA-N 0 0 293.254 2.678 20 5 CFBDRN Cc1cc(O[C@H](C)c2ncccc2F)ncc1[N+](=O)[O-] ZINC001225661994 775547722 /nfs/dbraw/zinc/54/77/22/775547722.db2.gz MOCILWWBZSMWPV-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225666700 775548641 /nfs/dbraw/zinc/54/86/41/775548641.db2.gz LEZSPGGDSJKAEJ-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@@H]1C[C@H](Oc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC001225667393 775549455 /nfs/dbraw/zinc/54/94/55/775549455.db2.gz RDRFLFZTULETLH-RKDXNWHRSA-N 0 0 255.245 2.680 20 5 CFBDRN C[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)C[C@]1(C)CO ZINC000694709175 775552670 /nfs/dbraw/zinc/55/26/70/775552670.db2.gz ZZMUFRSZYSUVMC-IINYFYTJSA-N 0 0 298.770 2.699 20 5 CFBDRN Cc1c(OC2CN(C(C)(C)C)C2)cccc1[N+](=O)[O-] ZINC001225689312 775559889 /nfs/dbraw/zinc/55/98/89/775559889.db2.gz FGWGAGFJSMYKTD-UHFFFAOYSA-N 0 0 264.325 2.765 20 5 CFBDRN CC1=C(Oc2cc(Cl)ccc2[N+](=O)[O-])C(=O)O[C@@H]1C ZINC001225711898 775570277 /nfs/dbraw/zinc/57/02/77/775570277.db2.gz BHAYROCGIZITBI-SSDOTTSWSA-N 0 0 283.667 2.846 20 5 CFBDRN C[C@@H](Oc1cc(=O)[nH]c(=S)[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001225764218 775585141 /nfs/dbraw/zinc/58/51/41/775585141.db2.gz DUVCKXWJBFGJSB-SSDOTTSWSA-N 0 0 293.304 2.519 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(F)cc1O[C@H]1COc2cnccc21 ZINC001225776256 775589337 /nfs/dbraw/zinc/58/93/37/775589337.db2.gz MRFAZAGVMPDWQT-ZDUSSCGKSA-N 0 0 294.213 2.781 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225778026 775590323 /nfs/dbraw/zinc/59/03/23/775590323.db2.gz GPVLGQBEEMCKMU-SNVBAGLBSA-N 0 0 289.234 2.594 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cccc([N+](=O)[O-])c2F)=C1C ZINC001225793786 775594865 /nfs/dbraw/zinc/59/48/65/775594865.db2.gz FMCWJJWAJBOPLF-VIFPVBQESA-N 0 0 281.239 2.722 20 5 CFBDRN O=C1CCC(Oc2cccc([N+](=O)[O-])c2F)CC1 ZINC001225793620 775595133 /nfs/dbraw/zinc/59/51/33/775595133.db2.gz CCTCCIVTQSZODI-UHFFFAOYSA-N 0 0 253.229 2.624 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1cccc([N+](=O)[O-])c1F ZINC001225794216 775596221 /nfs/dbraw/zinc/59/62/21/775596221.db2.gz LBLPGUWREBJSFS-KOLCDFICSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1cccc([N+](=O)[O-])c1F ZINC001225794218 775596403 /nfs/dbraw/zinc/59/64/03/775596403.db2.gz LBLPGUWREBJSFS-ONGXEEELSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cccc([N+](=O)[O-])c1F ZINC001225797219 775597351 /nfs/dbraw/zinc/59/73/51/775597351.db2.gz SBIIXZYYOJTTBL-SCZZXKLOSA-N 0 0 255.245 2.538 20 5 CFBDRN CO[C@@H](C)CCOc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225803822 775599063 /nfs/dbraw/zinc/59/90/63/775599063.db2.gz IPLLPUZFBCSQIV-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN C[C@@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000694883595 775604590 /nfs/dbraw/zinc/60/45/90/775604590.db2.gz MJVOVPVADDWARZ-ZJUUUORDSA-N 0 0 268.288 2.738 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])c2cccnc2)cnc1Cl ZINC001225824632 775605040 /nfs/dbraw/zinc/60/50/40/775605040.db2.gz JUIWGZVOFBZAHH-LBPRGKRZSA-N 0 0 293.710 2.835 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc([N+](=O)[O-])c1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001225888773 775623929 /nfs/dbraw/zinc/62/39/29/775623929.db2.gz GIDBEAHVMCZXGZ-SRSLHRDFSA-N 0 0 282.227 2.819 20 5 CFBDRN C[C@H](Oc1c([N+](=O)[O-])cc(F)cc1[N+](=O)[O-])C1CC1 ZINC001225886933 775624217 /nfs/dbraw/zinc/62/42/17/775624217.db2.gz OBNKQGMOESNYKF-LURJTMIESA-N 0 0 270.216 2.819 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CCC2)c(C(F)(F)F)c1 ZINC001225896569 775626371 /nfs/dbraw/zinc/62/63/71/775626371.db2.gz HHNSGIVDHMPZBW-UHFFFAOYSA-N 0 0 262.187 2.940 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc(F)cc1[N+](=O)[O-])OC ZINC001225905789 775631282 /nfs/dbraw/zinc/63/12/82/775631282.db2.gz YQUKDFDAFKPLMD-MRVPVSSYSA-N 0 0 273.260 2.510 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COc1ccc(F)cc1[N+](=O)[O-] ZINC001225907826 775631288 /nfs/dbraw/zinc/63/12/88/775631288.db2.gz SBPCKWVDYVKAQP-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN O=C1CCC(Oc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC001225912236 775632432 /nfs/dbraw/zinc/63/24/32/775632432.db2.gz GYLJKCHZIBHUFR-UHFFFAOYSA-N 0 0 253.229 2.624 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc(F)c1F)c1cccnc1 ZINC001225925563 775636452 /nfs/dbraw/zinc/63/64/52/775636452.db2.gz XQDDDBVCSCRPQU-LBPRGKRZSA-N 0 0 280.230 2.757 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1c(F)cc(F)cc1F)C1CC1 ZINC001225930190 775637832 /nfs/dbraw/zinc/63/78/32/775637832.db2.gz RHYRDQCTBPQFFA-JTQLQIEISA-N 0 0 261.199 2.538 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1F)c1ncccn1 ZINC001225945697 775643631 /nfs/dbraw/zinc/64/36/31/775643631.db2.gz DWLNPTSFIIHADL-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])cc2F)CC1 ZINC001225945854 775643778 /nfs/dbraw/zinc/64/37/78/775643778.db2.gz IKOQUZCKIRMYIR-JGZJWPJOSA-N 0 0 297.282 2.845 20 5 CFBDRN O=C1CCCC[C@H]1Oc1c(F)c(F)ccc1[N+](=O)[O-] ZINC001225962640 775648543 /nfs/dbraw/zinc/64/85/43/775648543.db2.gz KEQFMONXWUJRBE-SNVBAGLBSA-N 0 0 271.219 2.764 20 5 CFBDRN CN1CC(Oc2ccc(-c3ccc([N+](=O)[O-])cc3)cc2)C1 ZINC001225971427 775651439 /nfs/dbraw/zinc/65/14/39/775651439.db2.gz BEGVRRSBKQTULX-UHFFFAOYSA-N 0 0 284.315 2.955 20 5 CFBDRN Cc1c([C@H](C)Oc2c(F)cccc2[N+](=O)[O-])cnn1C ZINC001226006897 775664182 /nfs/dbraw/zinc/66/41/82/775664182.db2.gz IAEIXAPROGQQNH-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1c(F)cccc1[N+](=O)[O-] ZINC001226006310 775664451 /nfs/dbraw/zinc/66/44/51/775664451.db2.gz BOZGTGJUJKMBMH-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1c(F)cccc1[N+](=O)[O-] ZINC001226010725 775667165 /nfs/dbraw/zinc/66/71/65/775667165.db2.gz VTSSFUVPQFHHOM-NSHDSACASA-N 0 0 253.229 2.624 20 5 CFBDRN Cc1cc(OC[C@H]2C[C@@H](O)C2)c([N+](=O)[O-])cc1Cl ZINC001218433834 775667339 /nfs/dbraw/zinc/66/73/39/775667339.db2.gz KXTVWOBWUAWSMS-DTORHVGOSA-N 0 0 271.700 2.706 20 5 CFBDRN Cc1ccnc(O[C@H](C)c2ncccc2F)c1[N+](=O)[O-] ZINC001226118889 775706094 /nfs/dbraw/zinc/70/60/94/775706094.db2.gz MOJBZDAPODTDJA-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1ccnc(O[C@H](C)c2ccncc2F)c1[N+](=O)[O-] ZINC001226120285 775707543 /nfs/dbraw/zinc/70/75/43/775707543.db2.gz SPAVPZRFPHTUKX-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN CCCOC[C@@H](C)Oc1ncc(Cl)cc1[N+](=O)[O-] ZINC001226129506 775710261 /nfs/dbraw/zinc/71/02/61/775710261.db2.gz DNAINZWHAYWCAX-MRVPVSSYSA-N 0 0 274.704 2.837 20 5 CFBDRN COC(=O)c1cc(O[C@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC001226149816 775716012 /nfs/dbraw/zinc/71/60/12/775716012.db2.gz CGKNTLUHAPCMMT-MRVPVSSYSA-N 0 0 292.247 2.509 20 5 CFBDRN CC[C@H](C)[C@H](C)Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168776 775723953 /nfs/dbraw/zinc/72/39/53/775723953.db2.gz USZSRKMGJHPGIR-IUCAKERBSA-N 0 0 266.297 2.507 20 5 CFBDRN CN1CC[C@H](Oc2cc([N+](=O)[O-])c(Cl)cc2Cl)C1 ZINC001226203509 775737270 /nfs/dbraw/zinc/73/72/70/775737270.db2.gz HLTUIQOOXRTFLH-ZETCQYMHSA-N 0 0 291.134 2.985 20 5 CFBDRN Cc1cc(C(=O)Nn2cnc3ccccc32)cc([N+](=O)[O-])c1 ZINC000122430096 775737858 /nfs/dbraw/zinc/73/78/58/775737858.db2.gz QTVFJKWFGPTGQG-UHFFFAOYSA-N 0 0 296.286 2.637 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cc1Cl)c1ncccn1 ZINC001226252709 775756633 /nfs/dbraw/zinc/75/66/33/775756633.db2.gz SNLVMGALGWOEOH-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cc1Cl)c1cncnc1 ZINC001226254949 775757741 /nfs/dbraw/zinc/75/77/41/775757741.db2.gz FRWWVJGUTGWREM-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CC(C)(C)N1CC(Oc2ncc([N+](=O)[O-])cc2Cl)C1 ZINC001226255595 775757867 /nfs/dbraw/zinc/75/78/67/775757867.db2.gz NLOYHUYJGCIMOB-UHFFFAOYSA-N 0 0 285.731 2.505 20 5 CFBDRN CCOC(=O)CC1CC(Oc2cc([N+](=O)[O-])ccc2F)C1 ZINC001226266525 775761811 /nfs/dbraw/zinc/76/18/11/775761811.db2.gz VDSNIYNHUUSLRS-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN CC[C@@H]1OC(=O)C(Oc2cc([N+](=O)[O-])ccc2F)=C1C ZINC001226264736 775762202 /nfs/dbraw/zinc/76/22/02/775762202.db2.gz AYNUUBZHNIBOAV-JTQLQIEISA-N 0 0 281.239 2.722 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1cc([N+](=O)[O-])ccc1F ZINC001226266843 775763591 /nfs/dbraw/zinc/76/35/91/775763591.db2.gz ZSNYALUIMFLYTG-JTQLQIEISA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@H]1C[C@H](Oc2cc([N+](=O)[O-])ccc2F)CCO1 ZINC001226271108 775763619 /nfs/dbraw/zinc/76/36/19/775763619.db2.gz XRTQMZZQHGEGFD-WCBMZHEXSA-N 0 0 255.245 2.680 20 5 CFBDRN CCCCOC[C@H](C)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226334289 775782832 /nfs/dbraw/zinc/78/28/32/775782832.db2.gz SVIYYLMLSUTWOO-JTQLQIEISA-N 0 0 269.297 2.884 20 5 CFBDRN CCCOC[C@H](C)Oc1nc(Cl)ccc1[N+](=O)[O-] ZINC001226364252 775790241 /nfs/dbraw/zinc/79/02/41/775790241.db2.gz HWGVUBBPJBVBRQ-QMMMGPOBSA-N 0 0 274.704 2.837 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C)C(C)C)c(OC)cc1[N+](=O)[O-] ZINC001226439169 775822914 /nfs/dbraw/zinc/82/29/14/775822914.db2.gz KAFWVAPOPBYJSG-VIFPVBQESA-N 0 0 297.307 2.813 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226530437 775861889 /nfs/dbraw/zinc/86/18/89/775861889.db2.gz CHQRHBFZHMVROC-ZDUSSCGKSA-N 0 0 279.292 2.934 20 5 CFBDRN CC[C@@H](COC)Oc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226532643 775863877 /nfs/dbraw/zinc/86/38/77/775863877.db2.gz VYPKGKLMESTRAD-NSHDSACASA-N 0 0 267.281 2.601 20 5 CFBDRN CCCC[C@H](C)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226578104 775879550 /nfs/dbraw/zinc/87/95/50/775879550.db2.gz BITVVQFVBXAMJD-VIFPVBQESA-N 0 0 254.286 2.956 20 5 CFBDRN COc1cc(O[C@@H]2C[C@@H]3CC[C@H]2C3)ncc1[N+](=O)[O-] ZINC001226578206 775879717 /nfs/dbraw/zinc/87/97/17/775879717.db2.gz FDUPAAYUZXBGBJ-WCABBAIRSA-N 0 0 264.281 2.566 20 5 CFBDRN COc1cc(O[C@@H](C)CC(C)C)ncc1[N+](=O)[O-] ZINC001226582523 775880733 /nfs/dbraw/zinc/88/07/33/775880733.db2.gz XLXLDHJNHNEFLU-VIFPVBQESA-N 0 0 254.286 2.812 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)c(OC[C@@H]2CCO2)c1 ZINC001226581837 775881271 /nfs/dbraw/zinc/88/12/71/775881271.db2.gz CUCSONHIOZEYGA-QMMMGPOBSA-N 0 0 288.097 2.525 20 5 CFBDRN COc1cc(O[C@@H]2CC3CCC2CC3)ncc1[N+](=O)[O-] ZINC001226582435 775881393 /nfs/dbraw/zinc/88/13/93/775881393.db2.gz WVYCGIYAFNWMCB-RTYFJBAXSA-N 0 0 278.308 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1ccc(F)nc1)C2 ZINC001226696895 775924229 /nfs/dbraw/zinc/92/42/29/775924229.db2.gz FXZYJWKRPUCKNM-CYBMUJFWSA-N 0 0 274.251 2.675 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])nc1)c1nccs1 ZINC001226711463 775933554 /nfs/dbraw/zinc/93/35/54/775933554.db2.gz DBNNOSBNZOHYCX-SSDOTTSWSA-N 0 0 251.267 2.586 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cn1)c1c(F)cccc1F ZINC001226729163 775940198 /nfs/dbraw/zinc/94/01/98/775940198.db2.gz BLTMEVVEVZSUMC-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CC[C@@H](C)CC[C@@H](C)Oc1ncc([N+](=O)[O-])cn1 ZINC001226733362 775941591 /nfs/dbraw/zinc/94/15/91/775941591.db2.gz NPBPWNFYKGWVRU-NXEZZACHSA-N 0 0 253.302 2.978 20 5 CFBDRN C[C@@H]1CCC[C@H](C)[C@H]1Oc1ncc([N+](=O)[O-])cn1 ZINC001226734197 775942510 /nfs/dbraw/zinc/94/25/10/775942510.db2.gz VVYPDIOPJGDKQF-JZYVYDRUSA-N 0 0 251.286 2.588 20 5 CFBDRN COc1ccc([C@H](C)Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC001226734557 775943053 /nfs/dbraw/zinc/94/30/53/775943053.db2.gz YJPCFUTWTQOUBZ-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@@H]1CCCC[C@@H]1F ZINC001226751661 775946985 /nfs/dbraw/zinc/94/69/85/775946985.db2.gz OIMQTPPIVIPCPT-WCBMZHEXSA-N 0 0 258.224 2.788 20 5 CFBDRN C[C@@H](COC(C)(C)C)Oc1ncc(F)cc1[N+](=O)[O-] ZINC001226752471 775947769 /nfs/dbraw/zinc/94/77/69/775947769.db2.gz AZCGLHQGFBFBFA-QMMMGPOBSA-N 0 0 272.276 2.711 20 5 CFBDRN C[C@@H](Oc1ncc(F)cc1[N+](=O)[O-])c1ccncc1 ZINC001226757951 775951282 /nfs/dbraw/zinc/95/12/82/775951282.db2.gz YWBJDSRXBGNYIU-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CCOC(=O)[C@H]1CC[C@H](Oc2cccc([N+](=O)[O-])c2)C1 ZINC001226763270 775953255 /nfs/dbraw/zinc/95/32/55/775953255.db2.gz ORGHDESAGRTACZ-GWCFXTLKSA-N 0 0 279.292 2.705 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H]1CCOC(C)(C)C1 ZINC001226764265 775953690 /nfs/dbraw/zinc/95/36/90/775953690.db2.gz HMTGCFMHUNHXMN-NSHDSACASA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1cn2c(n1)[C@@H](Oc1ncc([N+](=O)[O-])cc1C)CCC2 ZINC001226764222 775953955 /nfs/dbraw/zinc/95/39/55/775953955.db2.gz HCMVIEDNNIOWGW-LBPRGKRZSA-N 0 0 288.307 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1OC[C@@H]1CCO1 ZINC001226790518 775967001 /nfs/dbraw/zinc/96/70/01/775967001.db2.gz SAANVFWHJOHAPE-QMMMGPOBSA-N 0 0 288.097 2.525 20 5 CFBDRN CC(=O)N1CCC(Oc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC001226810344 775972947 /nfs/dbraw/zinc/97/29/47/775972947.db2.gz MWDPWLDUWWAIKY-UHFFFAOYSA-N 0 0 298.726 2.638 20 5 CFBDRN Cc1cc(O[C@H]2C=C(C)OC(=O)C2)ccc1[N+](=O)[O-] ZINC001226842596 775987279 /nfs/dbraw/zinc/98/72/79/775987279.db2.gz WVVQWIBWBNDULN-NSHDSACASA-N 0 0 263.249 2.501 20 5 CFBDRN Cc1ccc2[nH]c(=O)cc(O[C@H](C[N+](=O)[O-])C3CC3)c2c1 ZINC001226854029 775992390 /nfs/dbraw/zinc/99/23/90/775992390.db2.gz DLMRMVOANSPWGX-CQSZACIVSA-N 0 0 288.303 2.683 20 5 CFBDRN C[C@H]1CC[C@@H](COc2cc(Cl)c([N+](=O)[O-])cn2)O1 ZINC001226918330 776016662 /nfs/dbraw/zinc/01/66/62/776016662.db2.gz SUFOOASOWDFBRG-YUMQZZPRSA-N 0 0 272.688 2.590 20 5 CFBDRN Cc1ccc(O[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC001226952971 776032552 /nfs/dbraw/zinc/03/25/52/776032552.db2.gz OPOSVFSIFUXOTC-CMPLNLGQSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OCC[C@H]1COC(C)(C)O1 ZINC001226969714 776038942 /nfs/dbraw/zinc/03/89/42/776038942.db2.gz UHUDVKAAOGVWCW-NSHDSACASA-N 0 0 281.308 2.824 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1c(C)cccc1[N+](=O)[O-] ZINC001226971288 776039899 /nfs/dbraw/zinc/03/98/99/776039899.db2.gz LCZPLQARYNUXNR-PWSUYJOCSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1O[C@@H]1CCO[C@@H](C)C1 ZINC001226971592 776040238 /nfs/dbraw/zinc/04/02/38/776040238.db2.gz PLRRKXJDGZKBAL-WDEREUQCSA-N 0 0 251.282 2.850 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cc([N+](=O)[O-])ccc1C ZINC001226995127 776054283 /nfs/dbraw/zinc/05/42/83/776054283.db2.gz LRUYFIVQVNQGAR-NEPJUHHUSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])C2CC2)nc2ccccc12 ZINC001227049153 776072590 /nfs/dbraw/zinc/07/25/90/776072590.db2.gz OZFCBBONLOVERS-CQSZACIVSA-N 0 0 272.304 2.977 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2CCCC(=O)C2(C)C)n1 ZINC001227064221 776080797 /nfs/dbraw/zinc/08/07/97/776080797.db2.gz LPAVUIOTIQGETL-LBPRGKRZSA-N 0 0 278.308 2.825 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1nc(C)ccc1[N+](=O)[O-] ZINC001227064588 776082376 /nfs/dbraw/zinc/08/23/76/776082376.db2.gz OZOYNKJPYIGBOP-RYUDHWBXSA-N 0 0 266.297 2.635 20 5 CFBDRN CC(=O)c1cc(C)ccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001227086389 776089523 /nfs/dbraw/zinc/08/95/23/776089523.db2.gz GYIYOXQHYXUQDL-AWEZNQCLSA-N 0 0 263.293 2.632 20 5 CFBDRN CCCC[N@H+](Cn1nccc1[N+](=O)[O-])[C@H](C)CC ZINC000123704743 776098194 /nfs/dbraw/zinc/09/81/94/776098194.db2.gz GNZREFYGOAREEQ-LLVKDONJSA-N 0 0 254.334 2.649 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227108104 776099543 /nfs/dbraw/zinc/09/95/43/776099543.db2.gz KBFKIDLMNYSMJG-LDYMZIIASA-N 0 0 281.268 2.856 20 5 CFBDRN CC1CCC(Oc2ncc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC001227107835 776100164 /nfs/dbraw/zinc/10/01/64/776100164.db2.gz IPMAHTLWABTJFT-UHFFFAOYSA-N 0 0 281.268 2.856 20 5 CFBDRN C[C@H]1CCC[C@H](Oc2ncc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC001227109342 776100965 /nfs/dbraw/zinc/10/09/65/776100965.db2.gz XBVHBQKMDVVHPO-WPRPVWTQSA-N 0 0 281.268 2.856 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001227122511 776106359 /nfs/dbraw/zinc/10/63/59/776106359.db2.gz QREPJZZYACOKCW-OPQQBVKSSA-N 0 0 289.287 2.725 20 5 CFBDRN CN1CC(Oc2cc(Cl)c(Cl)cc2[N+](=O)[O-])C1 ZINC001227128698 776108907 /nfs/dbraw/zinc/10/89/07/776108907.db2.gz FTSWYCWISONHCC-UHFFFAOYSA-N 0 0 277.107 2.594 20 5 CFBDRN COC(=O)C[C@@H](C)Oc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC001227154884 776117516 /nfs/dbraw/zinc/11/75/16/776117516.db2.gz VOTRSBGJQXTIMS-ZCFIWIBFSA-N 0 0 291.662 2.718 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001227185002 776127802 /nfs/dbraw/zinc/12/78/02/776127802.db2.gz MUYZAPPQQYNMCJ-OPQQBVKSSA-N 0 0 261.277 2.947 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H]1CCO[C@H](C)C1 ZINC001227188902 776129088 /nfs/dbraw/zinc/12/90/88/776129088.db2.gz YZTQMYLGDCGEOD-MWLCHTKSSA-N 0 0 267.281 2.550 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(OC/C=C/Cl)c1 ZINC000123930832 776135249 /nfs/dbraw/zinc/13/52/49/776135249.db2.gz PLPDSVRCPSOSBP-GORDUTHDSA-N 0 0 271.656 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc2ccccc2c1OC[C@H]1CCO1 ZINC001227215173 776141033 /nfs/dbraw/zinc/14/10/33/776141033.db2.gz YFOPKVWYDQLLKY-LLVKDONJSA-N 0 0 259.261 2.916 20 5 CFBDRN Cc1ccc(O[C@@H](C)COC(C)(C)C)c([N+](=O)[O-])n1 ZINC001227217996 776142292 /nfs/dbraw/zinc/14/22/92/776142292.db2.gz FKKXJEIDZWEUBU-JTQLQIEISA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2ncccc2F)c([N+](=O)[O-])n1 ZINC001227222582 776144061 /nfs/dbraw/zinc/14/40/61/776144061.db2.gz RULATCPAYCKRBB-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN C[C@H]1C[C@H](Oc2nc3ccc([N+](=O)[O-])cc3o2)CCO1 ZINC001227232492 776148550 /nfs/dbraw/zinc/14/85/50/776148550.db2.gz SRPZFNKYMJDXPM-WCBMZHEXSA-N 0 0 278.264 2.682 20 5 CFBDRN Cc1cnc(O[C@H]2CC(F)(F)C2(F)F)c([N+](=O)[O-])c1 ZINC001227236097 776149261 /nfs/dbraw/zinc/14/92/61/776149261.db2.gz CGEQCNAOSUZGNS-ZETCQYMHSA-N 0 0 280.177 2.720 20 5 CFBDRN Cc1cnc(O[C@@H](C)C(F)(F)F)c([N+](=O)[O-])c1 ZINC001227234839 776149825 /nfs/dbraw/zinc/14/98/25/776149825.db2.gz KYSPLTLVTSZPGV-LURJTMIESA-N 0 0 250.176 2.628 20 5 CFBDRN CO[C@@H](C)CCOc1nc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC001227243143 776154056 /nfs/dbraw/zinc/15/40/56/776154056.db2.gz HNESGLDZCQFLML-ZETCQYMHSA-N 0 0 294.229 2.812 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccc3c(c1)OCO3)C2 ZINC001227297003 776173920 /nfs/dbraw/zinc/17/39/20/776173920.db2.gz XFWJZTVVNADFII-AWEZNQCLSA-N 0 0 299.282 2.870 20 5 CFBDRN CC(C)Oc1ncnc2cc(Cl)c([N+](=O)[O-])cc21 ZINC001227369451 776186443 /nfs/dbraw/zinc/18/64/43/776186443.db2.gz FLZQOPGSVMYQMK-UHFFFAOYSA-N 0 0 267.672 2.979 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccc(O)cc1C ZINC001227451346 776207418 /nfs/dbraw/zinc/20/74/18/776207418.db2.gz BJEQTDXXZRBBGK-JTQLQIEISA-N 0 0 291.307 2.581 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227467040 776209527 /nfs/dbraw/zinc/20/95/27/776209527.db2.gz QSPNHUXYYVFKHU-LLVKDONJSA-N 0 0 282.252 2.640 20 5 CFBDRN CC1(C)CC[C@@H](COc2ccccc2[N+](=O)[O-])O1 ZINC001227483134 776212652 /nfs/dbraw/zinc/21/26/52/776212652.db2.gz SCMNNRURYOZKSF-JTQLQIEISA-N 0 0 251.282 2.931 20 5 CFBDRN Cc1cc(CNc2ccnc3c2cccc3[N+](=O)[O-])nn1C ZINC001167771720 776215569 /nfs/dbraw/zinc/21/55/69/776215569.db2.gz WCZOOHYYDAPWID-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cc(C)ccc1O ZINC001227539032 776224529 /nfs/dbraw/zinc/22/45/29/776224529.db2.gz ORMQNAOKSMMXHK-SNVBAGLBSA-N 0 0 291.307 2.581 20 5 CFBDRN C[C@H](OCc1ccnn1C)c1ccccc1[N+](=O)[O-] ZINC001222711602 776247607 /nfs/dbraw/zinc/24/76/07/776247607.db2.gz UFVSEIMTKUCGIH-JTQLQIEISA-N 0 0 261.281 2.606 20 5 CFBDRN COCc1ccc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)o1 ZINC000125140136 776253757 /nfs/dbraw/zinc/25/37/57/776253757.db2.gz IKFHYONOSMQTQK-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(O[C@H]3CCc4cccnc43)nc2c1 ZINC001227744010 776276869 /nfs/dbraw/zinc/27/68/69/776276869.db2.gz CPUKSWYEONRLFP-ZDUSSCGKSA-N 0 0 296.286 2.932 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(OCCOC3CCCC3)nc2c1 ZINC001227746560 776277115 /nfs/dbraw/zinc/27/71/15/776277115.db2.gz TYZHXWVNGCQBOW-UHFFFAOYSA-N 0 0 291.307 2.809 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(O[C@H]3C[C@H]4C=C[C@@H]3C4)nc2c1 ZINC001227744733 776277509 /nfs/dbraw/zinc/27/75/09/776277509.db2.gz IODBQJYJWHFCPX-RWEMILLDSA-N 0 0 271.276 2.815 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1nnccc1Cl)C2 ZINC001227788084 776290492 /nfs/dbraw/zinc/29/04/92/776290492.db2.gz UOIHVAMCRZBXTF-NSHDSACASA-N 0 0 291.694 2.584 20 5 CFBDRN CCOC(=O)CC1CC(OCc2ccccc2[N+](=O)[O-])C1 ZINC001222760904 776294346 /nfs/dbraw/zinc/29/43/46/776294346.db2.gz XSGTWNGHKIQBPH-UHFFFAOYSA-N 0 0 293.319 2.843 20 5 CFBDRN Cc1cc(=O)[nH]nc1O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001227802325 776295120 /nfs/dbraw/zinc/29/51/20/776295120.db2.gz URRGSQCRJYGMJO-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1c([C@@H](C)OCc2cccc([N+](=O)[O-])c2)cnn1C ZINC001222767626 776296744 /nfs/dbraw/zinc/29/67/44/776296744.db2.gz BWIXAQPHUVBMIR-LLVKDONJSA-N 0 0 275.308 2.915 20 5 CFBDRN CCOc1cc(F)c(O[C@H](C[N+](=O)[O-])C2CC2)c(F)c1 ZINC001227849934 776307597 /nfs/dbraw/zinc/30/75/97/776307597.db2.gz JAVHDYHCEKPYGA-GFCCVEGCSA-N 0 0 287.262 2.798 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COCc1ccc([N+](=O)[O-])cc1 ZINC001222791943 776308633 /nfs/dbraw/zinc/30/86/33/776308633.db2.gz DJRVQPSCMSNVHV-RYUDHWBXSA-N 0 0 283.324 2.551 20 5 CFBDRN C[C@H]1COCC[C@@H]1OCc1ccc([N+](=O)[O-])cc1 ZINC001222793775 776309281 /nfs/dbraw/zinc/30/92/81/776309281.db2.gz YATZHOYOGPNDQX-GWCFXTLKSA-N 0 0 251.282 2.536 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1nccc2cc(Cl)ncc21)C1CC1 ZINC001227857339 776310779 /nfs/dbraw/zinc/31/07/79/776310779.db2.gz HTCMXTBEXVFLRC-NSHDSACASA-N 0 0 293.710 2.717 20 5 CFBDRN Cc1cccc2c(O[C@@H](C[N+](=O)[O-])C3CC3)cc(=O)[nH]c12 ZINC001227919154 776328323 /nfs/dbraw/zinc/32/83/23/776328323.db2.gz JSTBKXXBDXEHKO-ZDUSSCGKSA-N 0 0 288.303 2.683 20 5 CFBDRN C[C@@H](Oc1cncc([N+](=O)[O-])c1)c1nccs1 ZINC001227990407 776352523 /nfs/dbraw/zinc/35/25/23/776352523.db2.gz MDNQQLRSMRQDNW-SSDOTTSWSA-N 0 0 251.267 2.586 20 5 CFBDRN O=[N+]([O-])c1cncc(O[C@H]2CCc3ccccc3C2)c1 ZINC001227991048 776353574 /nfs/dbraw/zinc/35/35/74/776353574.db2.gz UEIAYBPESZTKSG-AWEZNQCLSA-N 0 0 270.288 2.926 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228001219 776355739 /nfs/dbraw/zinc/35/57/39/776355739.db2.gz SSWBCVCBWASNBW-QMMMGPOBSA-N 0 0 287.699 2.969 20 5 CFBDRN CC1=C(Oc2c(Cl)cccc2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001228001117 776356694 /nfs/dbraw/zinc/35/66/94/776356694.db2.gz RVFDOPRMXCJLFE-ZETCQYMHSA-N 0 0 283.667 2.846 20 5 CFBDRN CC1(C)COC(=O)[C@H]1Oc1c(Cl)cccc1[N+](=O)[O-] ZINC001228001949 776356792 /nfs/dbraw/zinc/35/67/92/776356792.db2.gz GGROQPQTVJUMQR-SNVBAGLBSA-N 0 0 285.683 2.579 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1O[C@@H]1CCn2ccnc21 ZINC001228003083 776358031 /nfs/dbraw/zinc/35/80/31/776358031.db2.gz ULVGEZJRSOANMJ-SNVBAGLBSA-N 0 0 279.683 2.969 20 5 CFBDRN Cc1c[nH]c2ccc(O[C@@H](C[N+](=O)[O-])C3CC3)cc12 ZINC001228013108 776363235 /nfs/dbraw/zinc/36/32/35/776363235.db2.gz KTHLRTVOXIQZHE-AWEZNQCLSA-N 0 0 260.293 2.910 20 5 CFBDRN COC1CCC(Oc2nccc(Cl)c2[N+](=O)[O-])CC1 ZINC001228015316 776364166 /nfs/dbraw/zinc/36/41/66/776364166.db2.gz UEHAHSWQPNJQFG-UHFFFAOYSA-N 0 0 286.715 2.980 20 5 CFBDRN O=C1CCC(Oc2cc([N+](=O)[O-])cc(F)c2F)CC1 ZINC001228024738 776366565 /nfs/dbraw/zinc/36/65/65/776366565.db2.gz OQZNOPUIDRLHLU-UHFFFAOYSA-N 0 0 271.219 2.764 20 5 CFBDRN CC(=O)c1ccncc1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228040950 776373133 /nfs/dbraw/zinc/37/31/33/776373133.db2.gz KFTTYXACRZZNOX-AWEZNQCLSA-N 0 0 298.298 2.739 20 5 CFBDRN Cc1nc(N)ccc1CNc1cc(F)cc(F)c1[N+](=O)[O-] ZINC001167785749 776384487 /nfs/dbraw/zinc/38/44/87/776384487.db2.gz CBURNAVHWOPRPM-UHFFFAOYSA-N 0 0 294.261 2.771 20 5 CFBDRN CC(C)c1nc(CO[C@@H](C[N+](=O)[O-])C2CC2)cs1 ZINC001222991400 776402375 /nfs/dbraw/zinc/40/23/75/776402375.db2.gz QDXFWFCLYRNGGQ-NSHDSACASA-N 0 0 270.354 2.838 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228136475 776405201 /nfs/dbraw/zinc/40/52/01/776405201.db2.gz VWSTWPNKVPHHIP-ZANVPECISA-N 0 0 274.276 2.557 20 5 CFBDRN COC[C@H](C)Oc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228135541 776405914 /nfs/dbraw/zinc/40/59/14/776405914.db2.gz JJMMSWNIIUCRFS-VIFPVBQESA-N 0 0 262.265 2.557 20 5 CFBDRN CC[C@H](COC)Oc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228139698 776407087 /nfs/dbraw/zinc/40/70/87/776407087.db2.gz WRYOJYLTDYZAFK-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN Cc1ccc([C@H](C)Oc2nc[nH]c(=O)c2[N+](=O)[O-])c(C)c1 ZINC001228142196 776408262 /nfs/dbraw/zinc/40/82/62/776408262.db2.gz ZZTIPXWFGMVJAN-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN CC1(C)[C@H](Oc2nc[nH]c(=O)c2[N+](=O)[O-])[C@]2(C)CC[C@H]1C2 ZINC001228143795 776408447 /nfs/dbraw/zinc/40/84/47/776408447.db2.gz QBZKDJCXWALRQI-ORUWWINDSA-N 0 0 293.323 2.684 20 5 CFBDRN CC/C=C(\C)[C@@H](CC)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228141966 776408598 /nfs/dbraw/zinc/40/85/98/776408598.db2.gz XPRCBVFFXJUYMP-BNICOGTQSA-N 0 0 267.285 2.604 20 5 CFBDRN Cc1cc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)nc(C)n1 ZINC001228142084 776408643 /nfs/dbraw/zinc/40/86/43/776408643.db2.gz VXXDSUWBECXNQZ-CQSZACIVSA-N 0 0 285.303 2.548 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OC2COCOC2)cc1Cl ZINC001228153024 776410597 /nfs/dbraw/zinc/41/05/97/776410597.db2.gz YHAAMQLZECYFLV-UHFFFAOYSA-N 0 0 294.090 2.653 20 5 CFBDRN C[C@H](CON)Oc1ccc2c(c1)oc1ccccc1c2=O ZINC001228184617 776417372 /nfs/dbraw/zinc/41/73/72/776417372.db2.gz VIJIIRXGGVMAMB-SNVBAGLBSA-N 0 0 285.299 2.604 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1nc2ccc([N+](=O)[O-])cc2s1 ZINC001228209320 776422431 /nfs/dbraw/zinc/42/24/31/776422431.db2.gz NORYGFSSLSLUFB-XVKPBYJWSA-N 0 0 280.305 2.618 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(O[C@@H]3CCCOC3)sc2c1 ZINC001228209761 776422579 /nfs/dbraw/zinc/42/25/79/776422579.db2.gz YNGNBLNZBRNMOO-SECBINFHSA-N 0 0 280.305 2.762 20 5 CFBDRN Cc1cc(C)c(OC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC001228230049 776427899 /nfs/dbraw/zinc/42/78/99/776427899.db2.gz LMPZTKXMHQXBMQ-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC001228230556 776428753 /nfs/dbraw/zinc/42/87/53/776428753.db2.gz RWRUMWZVMDQMJH-CYBMUJFWSA-N 0 0 292.335 2.601 20 5 CFBDRN Cc1cc(C)c(OC2CC3(COC3)C2)c([N+](=O)[O-])c1 ZINC001228230892 776429191 /nfs/dbraw/zinc/42/91/91/776429191.db2.gz WTNWESPZWAUROC-UHFFFAOYSA-N 0 0 263.293 2.769 20 5 CFBDRN CCOC(=O)C1CC(Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228255644 776435656 /nfs/dbraw/zinc/43/56/56/776435656.db2.gz KBVYPBILSHYLDN-UHFFFAOYSA-N 0 0 299.710 2.969 20 5 CFBDRN CCOC(=O)[C@H](Oc1cc(Cl)cc([N+](=O)[O-])c1)C1CC1 ZINC001228257108 776435704 /nfs/dbraw/zinc/43/57/04/776435704.db2.gz FXIVKXNVYPMHTC-GFCCVEGCSA-N 0 0 299.710 2.969 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1cc([N+](=O)[O-])cc(C)n1 ZINC001126222767 776468507 /nfs/dbraw/zinc/46/85/07/776468507.db2.gz JORNGNNOYWWBFR-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc2cc(Cl)cnc2n1)C1CC1 ZINC001228383951 776477556 /nfs/dbraw/zinc/47/75/56/776477556.db2.gz CVYQYDXBWYLZQN-NSHDSACASA-N 0 0 293.710 2.717 20 5 CFBDRN CCc1cnc(=O)[nH]c1O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC001228415042 776485881 /nfs/dbraw/zinc/48/58/81/776485881.db2.gz HVKYLFJTXYKRMH-VIFPVBQESA-N 0 0 289.291 2.793 20 5 CFBDRN Cc1c(O[C@@H](C)c2cccc([N+](=O)[O-])c2)c(=O)ccn1C ZINC001228435541 776490502 /nfs/dbraw/zinc/49/05/02/776490502.db2.gz NYOIMRMLVKEOSV-NSHDSACASA-N 0 0 288.303 2.742 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1OC1CC2(COC2)C1 ZINC001228452025 776494881 /nfs/dbraw/zinc/49/48/81/776494881.db2.gz FOHVXWUNPXQSFZ-UHFFFAOYSA-N 0 0 291.303 2.664 20 5 CFBDRN CC(=O)c1cc(C)cc([N+](=O)[O-])c1O[C@H]1CCOC[C@H]1C ZINC001228451374 776495018 /nfs/dbraw/zinc/49/50/18/776495018.db2.gz VOWPUIROWSHDCF-YGRLFVJLSA-N 0 0 293.319 2.910 20 5 CFBDRN O=C1OC[C@H](COc2cccc3nc4ccccc4nc32)O1 ZINC001228523976 776511725 /nfs/dbraw/zinc/51/17/25/776511725.db2.gz XCGOILYXZAJWAA-JTQLQIEISA-N 0 0 296.282 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(NC2(CO)CCCC2)cc2cccnc21 ZINC001167806868 776511819 /nfs/dbraw/zinc/51/18/19/776511819.db2.gz NIUUVSJHYQYEBD-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN CCCC[C@@H](C)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604997 776537114 /nfs/dbraw/zinc/53/71/14/776537114.db2.gz ZMHCYGGIYCWHPA-MRVPVSSYSA-N 0 0 281.312 2.925 20 5 CFBDRN CCC[C@@H](CC)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604862 776537365 /nfs/dbraw/zinc/53/73/65/776537365.db2.gz XGZKUYQDALKCTP-SECBINFHSA-N 0 0 281.312 2.925 20 5 CFBDRN C[C@@H](Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-])C1CCC1 ZINC001228604603 776537403 /nfs/dbraw/zinc/53/74/03/776537403.db2.gz VSDWZCNOKMNWMO-SSDOTTSWSA-N 0 0 279.296 2.535 20 5 CFBDRN CC(C)C[C@@H](C)Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-] ZINC001228604225 776537465 /nfs/dbraw/zinc/53/74/65/776537465.db2.gz PUNHSMYNCAWSRL-MRVPVSSYSA-N 0 0 281.312 2.781 20 5 CFBDRN C[C@@H](Oc1nc(C2CC2)[n-]c(=O)c1[N+](=O)[O-])C1CCCC1 ZINC001228610401 776538729 /nfs/dbraw/zinc/53/87/29/776538729.db2.gz UUITZNPCUCVJAZ-MRVPVSSYSA-N 0 0 293.323 2.925 20 5 CFBDRN C[C@@H](Oc1ncncc1[N+](=O)[O-])c1cccc(F)c1 ZINC001228653790 776553665 /nfs/dbraw/zinc/55/36/65/776553665.db2.gz BUXRVTWQFSLGBS-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1cncnc1O[C@H]1CCc2c1cc(F)cc2F ZINC001228655652 776554577 /nfs/dbraw/zinc/55/45/77/776554577.db2.gz UAYUMTLXAHYKFF-LBPRGKRZSA-N 0 0 293.229 2.729 20 5 CFBDRN CC1(C)CCC[C@@H](Oc2ncncc2[N+](=O)[O-])C1 ZINC001228656092 776554969 /nfs/dbraw/zinc/55/49/69/776554969.db2.gz ZBGPCHNZKPTYEA-SECBINFHSA-N 0 0 251.286 2.732 20 5 CFBDRN O=[N+]([O-])c1cncnc1O[C@H]1CC[C@H](C(F)(F)F)CC1 ZINC001228656099 776555102 /nfs/dbraw/zinc/55/51/02/776555102.db2.gz ZEOMMJHXEGCXPC-ZKCHVHJHSA-N 0 0 291.229 2.885 20 5 CFBDRN CC(C)CCC[C@@H](C)Oc1ncncc1[N+](=O)[O-] ZINC001228655837 776555231 /nfs/dbraw/zinc/55/52/31/776555231.db2.gz WKKXTNDPEFAELT-SNVBAGLBSA-N 0 0 253.302 2.978 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC001228684996 776567871 /nfs/dbraw/zinc/56/78/71/776567871.db2.gz COZQOZANWHEVPV-WCBMZHEXSA-N 0 0 266.297 2.961 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C(C)C)C(F)(F)F)c1[N+](=O)[O-] ZINC001228687032 776567883 /nfs/dbraw/zinc/56/78/83/776567883.db2.gz TXZPNGOHEVJPPQ-JTQLQIEISA-N 0 0 294.229 2.970 20 5 CFBDRN Cc1nc(Cl)ccc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001228705339 776578018 /nfs/dbraw/zinc/57/80/18/776578018.db2.gz VZLWXZFRIWOOOR-LBPRGKRZSA-N 0 0 293.710 2.835 20 5 CFBDRN c1ccc2nc3cc(OC[C@H]4CCO4)ccc3nc2c1 ZINC001228739859 776592485 /nfs/dbraw/zinc/59/24/85/776592485.db2.gz FIORJJSSFAWRMP-GFCCVEGCSA-N 0 0 266.300 2.951 20 5 CFBDRN O=C1OC[C@@H](COc2ccc3nc4ccccc4nc3c2)O1 ZINC001228742004 776593679 /nfs/dbraw/zinc/59/36/79/776593679.db2.gz UVXGMWRQQXIMOZ-LLVKDONJSA-N 0 0 296.282 2.697 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC001228758844 776600566 /nfs/dbraw/zinc/60/05/66/776600566.db2.gz NAMPGXVBOWCSRX-XWEPSHTISA-N 0 0 285.683 2.579 20 5 CFBDRN CCO[C@@H](C)COc1c2ccccc2oc(=O)c1[N+](=O)[O-] ZINC001228805024 776618740 /nfs/dbraw/zinc/61/87/40/776618740.db2.gz IDWOMSANYZIQBY-VIFPVBQESA-N 0 0 293.275 2.505 20 5 CFBDRN CC[C@@H](COC)Oc1c2ccccc2oc(=O)c1[N+](=O)[O-] ZINC001228806831 776619715 /nfs/dbraw/zinc/61/97/15/776619715.db2.gz QLKOMMUFPBZJRW-VIFPVBQESA-N 0 0 293.275 2.505 20 5 CFBDRN Cc1cc(OC[C@@H]2CC[C@H](C)O2)cc([N+](=O)[O-])c1 ZINC001228834071 776625678 /nfs/dbraw/zinc/62/56/78/776625678.db2.gz ZXPGAEVWYZSXSG-JQWIXIFHSA-N 0 0 251.282 2.850 20 5 CFBDRN CCOC(=O)C1CC(Oc2cc(C)cc([N+](=O)[O-])c2)C1 ZINC001228833848 776625847 /nfs/dbraw/zinc/62/58/47/776625847.db2.gz SHCHMUCMSLRPFP-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cc(C)cc([N+](=O)[O-])c2)C1 ZINC001228837679 776627728 /nfs/dbraw/zinc/62/77/28/776627728.db2.gz KLMVMVQKYYTUCG-PWSUYJOCSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(O[C@H]2CCOC[C@H]2C)cc([N+](=O)[O-])c1 ZINC001228838572 776628700 /nfs/dbraw/zinc/62/87/00/776628700.db2.gz ONBICJYWVGWPQG-MFKMUULPSA-N 0 0 251.282 2.707 20 5 CFBDRN C[C@H](Oc1ncc(F)cn1)c1ccccc1[N+](=O)[O-] ZINC001228848424 776632251 /nfs/dbraw/zinc/63/22/51/776632251.db2.gz DCHQAXZMBUSYPV-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(=O)c1ccc(CO[C@@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC001223410229 776659045 /nfs/dbraw/zinc/65/90/45/776659045.db2.gz LOVDBPCVRFBOOB-VIFPVBQESA-N 0 0 279.292 2.697 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@H]1COc2cnccc21 ZINC001228922248 776659201 /nfs/dbraw/zinc/65/92/01/776659201.db2.gz XHSSRAICCBMJFA-AWEZNQCLSA-N 0 0 272.260 2.811 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228969835 776672030 /nfs/dbraw/zinc/67/20/30/776672030.db2.gz BPIHIDBROKJRNA-CYBMUJFWSA-N 0 0 279.292 2.934 20 5 CFBDRN C[C@H](Oc1cc(O)cc([O-])c1[N+](=O)[O-])c1ccccn1 ZINC001228971549 776672123 /nfs/dbraw/zinc/67/21/23/776672123.db2.gz QFRVRXRBWLJFOA-QMMMGPOBSA-N 0 0 276.248 2.541 20 5 CFBDRN COC(C[C@H](C)Oc1c(C(C)=O)cccc1[N+](=O)[O-])OC ZINC001228970500 776672474 /nfs/dbraw/zinc/67/24/74/776672474.db2.gz JFOLERQSRCOIIF-VIFPVBQESA-N 0 0 297.307 2.574 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1OC[C@H]1CCC=CO1 ZINC001228972238 776674112 /nfs/dbraw/zinc/67/41/12/776674112.db2.gz XIKBXVQWKAGCLD-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN CCOC[C@H](C)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228972089 776674432 /nfs/dbraw/zinc/67/44/32/776674432.db2.gz UNJTXRZWNPMZSE-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN CC[C@H](COC)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228972278 776674510 /nfs/dbraw/zinc/67/45/10/776674510.db2.gz YDMDKIXYQIXKMG-SNVBAGLBSA-N 0 0 267.281 2.601 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccc3c2OCC3)s1 ZINC000692917661 776685625 /nfs/dbraw/zinc/68/56/25/776685625.db2.gz WTCFBILSOBMYEU-UHFFFAOYSA-N 0 0 277.305 2.598 20 5 CFBDRN C[C@H](O)C(=O)Oc1c2ccccc2c(O)c2ccccc21 ZINC001229026362 776693330 /nfs/dbraw/zinc/69/33/30/776693330.db2.gz INPYJHBPJJWGPG-JTQLQIEISA-N 0 0 282.295 2.985 20 5 CFBDRN Cn1ccc2c1cccc2O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001229040153 776696720 /nfs/dbraw/zinc/69/67/20/776696720.db2.gz UTYCZWOPILPBMZ-AWEZNQCLSA-N 0 0 260.293 2.612 20 5 CFBDRN COC(=O)c1c(OC(C2CC2)C2CC2)cccc1[N+](=O)[O-] ZINC001229047427 776700517 /nfs/dbraw/zinc/70/05/17/776700517.db2.gz ZKAJMKOCYWEIKZ-UHFFFAOYSA-N 0 0 291.303 2.949 20 5 CFBDRN COC(=O)c1c(O[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC001229046962 776700535 /nfs/dbraw/zinc/70/05/35/776700535.db2.gz VXOVDUITDKGQRQ-NXEZZACHSA-N 0 0 279.292 2.949 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(O[C@H]2CCn3ccnc32)cc1 ZINC001229118628 776726011 /nfs/dbraw/zinc/72/60/11/776726011.db2.gz HGUGVMYLCCJNEH-NEXMIYJRSA-N 0 0 271.276 2.654 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(O[C@@H]2CCn3ccnc32)cc1 ZINC001229118630 776726050 /nfs/dbraw/zinc/72/60/50/776726050.db2.gz HGUGVMYLCCJNEH-OMDQHUAHSA-N 0 0 271.276 2.654 20 5 CFBDRN CCO[C@@H](C)COc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229139384 776729971 /nfs/dbraw/zinc/72/99/71/776729971.db2.gz YLDMLGOXAKGESA-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCOC[C@@H]1F ZINC001229178851 776745060 /nfs/dbraw/zinc/74/50/60/776745060.db2.gz DBOWXLINPSLILA-FZMZJTMJSA-N 0 0 297.282 2.693 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1O[C@H](CC)C(=O)OC ZINC001229178750 776745742 /nfs/dbraw/zinc/74/57/42/776745742.db2.gz APZWAIJAPXYHKM-GFCCVEGCSA-N 0 0 295.291 2.518 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC[C@H]1CCCCO1 ZINC001229199792 776750662 /nfs/dbraw/zinc/75/06/62/776750662.db2.gz ZNEVQBQIUBOHIP-LLVKDONJSA-N 0 0 269.272 2.990 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1CCO[C@@H](C)C1 ZINC001229202569 776750744 /nfs/dbraw/zinc/75/07/44/776750744.db2.gz YNEYSNINANZCCX-GXSJLCMTSA-N 0 0 269.272 2.989 20 5 CFBDRN C[C@@H](Oc1n[nH]c2c1CCC2)c1ccccc1[N+](=O)[O-] ZINC001229327404 776780967 /nfs/dbraw/zinc/78/09/67/776780967.db2.gz WZEPBGPLRAXIHZ-SECBINFHSA-N 0 0 273.292 2.947 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229347864 776787026 /nfs/dbraw/zinc/78/70/26/776787026.db2.gz VKKKILYSDLROAX-ZWNOBZJWSA-N 0 0 288.303 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc(O)c(O[C@H]2CC(F)(F)C2(F)F)c1 ZINC001229399860 776801730 /nfs/dbraw/zinc/80/17/30/776801730.db2.gz NZJQKAXVDKAYSV-QMMMGPOBSA-N 0 0 281.161 2.722 20 5 CFBDRN CCCCCC[C@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452324 776816984 /nfs/dbraw/zinc/81/69/84/776816984.db2.gz PPRLCZCMUBAMPI-NSHDSACASA-N 0 0 268.313 2.966 20 5 CFBDRN C[C@H](CCc1ccccc1)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229451054 776817184 /nfs/dbraw/zinc/81/71/84/776817184.db2.gz ARRAJRPXYXKXCK-GFCCVEGCSA-N 0 0 288.303 2.628 20 5 CFBDRN CC(C)C[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])C(C)C ZINC001229452205 776817834 /nfs/dbraw/zinc/81/78/34/776817834.db2.gz NACCBFUYXDCOCG-ZDUSSCGKSA-N 0 0 268.313 2.678 20 5 CFBDRN C[C@@H](CCc1ccccc1)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229451055 776817843 /nfs/dbraw/zinc/81/78/43/776817843.db2.gz ARRAJRPXYXKXCK-LBPRGKRZSA-N 0 0 288.303 2.628 20 5 CFBDRN C[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1ccc(F)cc1 ZINC001229454417 776818716 /nfs/dbraw/zinc/81/87/16/776818716.db2.gz LUJOYXANZFYISE-SECBINFHSA-N 0 0 278.239 2.507 20 5 CFBDRN CCC[C@@H](C)[C@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229455333 776819204 /nfs/dbraw/zinc/81/92/04/776819204.db2.gz DWVWQMHVEFBXSZ-PWSUYJOCSA-N 0 0 268.313 2.822 20 5 CFBDRN COC(=O)C1CC(Oc2cc(C)c([N+](=O)[O-])c(C)c2)C1 ZINC001229465717 776820683 /nfs/dbraw/zinc/82/06/83/776820683.db2.gz FFVGUFFIVBFOCK-UHFFFAOYSA-N 0 0 279.292 2.542 20 5 CFBDRN C[C@@H](Oc1nc2cc([N+](=O)[O-])ccc2o1)c1ncccn1 ZINC001229484625 776826330 /nfs/dbraw/zinc/82/63/30/776826330.db2.gz OFICOZKFZKHXNS-MRVPVSSYSA-N 0 0 286.247 2.666 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(OC[C@H]3CCC=CO3)nc2c1 ZINC001229487501 776827343 /nfs/dbraw/zinc/82/73/43/776827343.db2.gz OULAQEZWGAVHQS-SNVBAGLBSA-N 0 0 276.248 2.808 20 5 CFBDRN O=C(c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])cc1 ZINC001211733150 776832170 /nfs/dbraw/zinc/83/21/70/776832170.db2.gz MVVZCSDSJKMRDQ-UHFFFAOYSA-N 0 0 295.254 2.876 20 5 CFBDRN O=C1CC(Oc2ccc3c(c2)oc2cc(O)ccc2c3=O)C1 ZINC001229516977 776837232 /nfs/dbraw/zinc/83/72/32/776837232.db2.gz LMCGYNUUPICPLC-UHFFFAOYSA-N 0 0 296.278 2.762 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)ccc1O)c1cccnc1 ZINC001229546671 776845852 /nfs/dbraw/zinc/84/58/52/776845852.db2.gz KOEIMVJPSITRIN-CYBMUJFWSA-N 0 0 294.694 2.837 20 5 CFBDRN Cc1ncsc1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000077959358 776848080 /nfs/dbraw/zinc/84/80/80/776848080.db2.gz YXALBVKURXGREO-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN CC(C)c1cccc(O)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001229709038 776875997 /nfs/dbraw/zinc/87/59/97/776875997.db2.gz FLWIJGYUJQZCOC-ZDUSSCGKSA-N 0 0 265.309 2.950 20 5 CFBDRN Cc1cc(O)c(OC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC001229749543 776886006 /nfs/dbraw/zinc/88/60/06/776886006.db2.gz CWYXMSHVPAVKFT-SNVBAGLBSA-N 0 0 267.281 2.557 20 5 CFBDRN COC1CCC(Oc2cc([N+](=O)[O-])c(C)cc2O)CC1 ZINC001229750030 776886073 /nfs/dbraw/zinc/88/60/73/776886073.db2.gz JHVZKZXHWLKCSC-UHFFFAOYSA-N 0 0 281.308 2.945 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc([N+](=O)[O-])c(C)cc1O ZINC001229751167 776887327 /nfs/dbraw/zinc/88/73/27/776887327.db2.gz RJGNNHIFWWCZOF-SNVBAGLBSA-N 0 0 269.297 2.803 20 5 CFBDRN Cc1cc(O)c(O[C@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC001229753030 776888050 /nfs/dbraw/zinc/88/80/50/776888050.db2.gz FVQNJMPAFIIENS-SECBINFHSA-N 0 0 275.264 2.539 20 5 CFBDRN Cc1cc(O)c(O[C@@H](C)c2cnccn2)cc1[N+](=O)[O-] ZINC001229753031 776888105 /nfs/dbraw/zinc/88/81/05/776888105.db2.gz FVQNJMPAFIIENS-VIFPVBQESA-N 0 0 275.264 2.539 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])c(C)c1C ZINC001229880260 776909210 /nfs/dbraw/zinc/90/92/10/776909210.db2.gz AGDPRADQQMQQKQ-NSHDSACASA-N 0 0 281.308 2.932 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1ccc([N+](=O)[O-])c(C)c1C ZINC001229881031 776909345 /nfs/dbraw/zinc/90/93/45/776909345.db2.gz MKQPMQRWDAKVNP-RISCZKNCSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2COc3cnccc32)cc1F ZINC001229895682 776912561 /nfs/dbraw/zinc/91/25/61/776912561.db2.gz DWKASIOMTCYESB-AWEZNQCLSA-N 0 0 290.250 2.950 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCO[C@H](C)C2)cc1F ZINC001229894372 776912949 /nfs/dbraw/zinc/91/29/49/776912949.db2.gz IBDUXLVVYBDOKA-ZJUUUORDSA-N 0 0 269.272 2.989 20 5 CFBDRN COC(=O)c1ccc(C)c([N+](=O)[O-])c1O[C@@H](C)C1CC1 ZINC001229904493 776914746 /nfs/dbraw/zinc/91/47/46/776914746.db2.gz XOAHKKWNXQGPSW-VIFPVBQESA-N 0 0 279.292 2.867 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])nc1Cl)c1cnccn1 ZINC001229920055 776917826 /nfs/dbraw/zinc/91/78/26/776917826.db2.gz QDCRMBOIBIODPE-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CC1(C)C[C@@H](Oc2ccc([N+](=O)[O-])nc2Cl)CCO1 ZINC001229918730 776918819 /nfs/dbraw/zinc/91/88/19/776918819.db2.gz GMXQMPBARCHMBC-QMMMGPOBSA-N 0 0 286.715 2.980 20 5 CFBDRN CC[C@@H](C)Oc1cc(C(=O)OC)c([N+](=O)[O-])cc1F ZINC001229943696 776921290 /nfs/dbraw/zinc/92/12/90/776921290.db2.gz TXLOWGVQPYJZLI-SSDOTTSWSA-N 0 0 271.244 2.698 20 5 CFBDRN CC(=O)N1CCC[C@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229962395 776925814 /nfs/dbraw/zinc/92/58/14/776925814.db2.gz ZFCPQOKTEAEGPJ-NSHDSACASA-N 0 0 298.726 2.638 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000696234331 776947693 /nfs/dbraw/zinc/94/76/93/776947693.db2.gz HVFBMLLLPHXSRN-VIFPVBQESA-N 0 0 268.288 2.900 20 5 CFBDRN C[C@@H](Oc1ccc2c(c1)CNC2=O)c1cccc([N+](=O)[O-])c1 ZINC001230044690 776949610 /nfs/dbraw/zinc/94/96/10/776949610.db2.gz MKHHHMDIFRLDCU-SNVBAGLBSA-N 0 0 298.298 2.978 20 5 CFBDRN CC(C)C[C@@H](C)Oc1nc2c[nH]cc([N+](=O)[O-])c-2n1 ZINC001230229284 776961742 /nfs/dbraw/zinc/96/17/42/776961742.db2.gz DHSFVDQFNFISMD-MRVPVSSYSA-N 0 0 264.285 2.680 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1O[C@H](C)C(C)C ZINC001230246536 776965600 /nfs/dbraw/zinc/96/56/00/776965600.db2.gz MZOGHNQNPSLATG-SECBINFHSA-N 0 0 266.297 2.977 20 5 CFBDRN CC[C@H](C)Oc1cc([N+](=O)[O-])ccc1NC(C)=O ZINC001230247521 776966023 /nfs/dbraw/zinc/96/60/23/776966023.db2.gz OLYXMJIGVMKRPP-QMMMGPOBSA-N 0 0 252.270 2.731 20 5 CFBDRN COC(=O)c1c(C)cc(O[C@H](C[N+](=O)[O-])C2CC2)cc1C ZINC001230308700 776975898 /nfs/dbraw/zinc/97/58/98/776975898.db2.gz RCLIMSNSJFBTGX-CYBMUJFWSA-N 0 0 293.319 2.524 20 5 CFBDRN CC(=O)c1cccc(C)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001230317364 776978656 /nfs/dbraw/zinc/97/86/56/776978656.db2.gz JTEOVGSSRBLUQX-ZDUSSCGKSA-N 0 0 263.293 2.632 20 5 CFBDRN CC(C)[NH+]1CCC(Oc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC001225492708 776993018 /nfs/dbraw/zinc/99/30/18/776993018.db2.gz ZTMHONDPEZTJCN-UHFFFAOYSA-N 0 0 280.324 2.552 20 5 CFBDRN Cc1cc(O[C@@H]2CCc3nccn3C2)cc([N+](=O)[O-])c1C ZINC001230478090 776997101 /nfs/dbraw/zinc/99/71/01/776997101.db2.gz SKCVCEACTUAGCC-GFCCVEGCSA-N 0 0 287.319 2.802 20 5 CFBDRN CCO[C@H](C)COc1ccc(C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492434 776999532 /nfs/dbraw/zinc/99/95/32/776999532.db2.gz OKQDLRRPLLFZPI-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1ccc(O[C@@H]2CCCCC2=O)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230491568 776999593 /nfs/dbraw/zinc/99/95/93/776999593.db2.gz WIAKTDNNPVYZQR-SNVBAGLBSA-N 0 0 294.263 2.702 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC001230493563 776999870 /nfs/dbraw/zinc/99/98/70/776999870.db2.gz GDGWBBRSMIJAGV-BXKDBHETSA-N 0 0 296.279 2.615 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230493747 776999903 /nfs/dbraw/zinc/99/99/03/776999903.db2.gz KMYKBRFKXVSYED-GFCCVEGCSA-N 0 0 296.279 2.948 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC001230493564 777000007 /nfs/dbraw/zinc/00/00/07/777000007.db2.gz GDGWBBRSMIJAGV-CABZTGNLSA-N 0 0 296.279 2.615 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc2ccc(F)cc2c1)C1CC1 ZINC001230592735 777021736 /nfs/dbraw/zinc/02/17/36/777021736.db2.gz KNJGHTRANYQQLE-AWEZNQCLSA-N 0 0 276.267 2.808 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc2ccc(F)cc2c1)C1CC1 ZINC001230592736 777022042 /nfs/dbraw/zinc/02/20/42/777022042.db2.gz KNJGHTRANYQQLE-CQSZACIVSA-N 0 0 276.267 2.808 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1O[C@H]1CCCOC1 ZINC001230723549 777051161 /nfs/dbraw/zinc/05/11/61/777051161.db2.gz LDGKUIKPRGPBMA-NSHDSACASA-N 0 0 251.282 2.769 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cc(C)c([N+](=O)[O-])cc1C)C1CC1 ZINC001230728398 777052113 /nfs/dbraw/zinc/05/21/13/777052113.db2.gz LIPHHVODVWWBIX-AWEZNQCLSA-N 0 0 293.319 2.932 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ncnc2cccc(Cl)c21)C1CC1 ZINC001230755364 777057655 /nfs/dbraw/zinc/05/76/55/777057655.db2.gz MPZWJLVJMNPHIZ-LLVKDONJSA-N 0 0 293.710 2.717 20 5 CFBDRN CC(=O)Cc1c(F)c(O[C@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC001230796741 777066186 /nfs/dbraw/zinc/06/61/86/777066186.db2.gz OCGPVTINICGQLJ-JTQLQIEISA-N 0 0 279.267 2.963 20 5 CFBDRN CC[C@@H](COc1ccc([N+](=O)[O-])c(CC(C)=O)c1F)OC ZINC001230795940 777066920 /nfs/dbraw/zinc/06/69/20/777066920.db2.gz DLJMSNXTHHTJJM-JTQLQIEISA-N 0 0 299.298 2.669 20 5 CFBDRN O=[N+]([O-])c1nc(Cl)ccc1O[C@H]1COc2cnccc21 ZINC001230884330 777081908 /nfs/dbraw/zinc/08/19/08/777081908.db2.gz MUAJYGWSNWPJMI-JTQLQIEISA-N 0 0 293.666 2.551 20 5 CFBDRN C[C@H](Oc1ccnc(Cl)c1[N+](=O)[O-])C(F)(F)F ZINC000710631017 777090423 /nfs/dbraw/zinc/09/04/23/777090423.db2.gz MYLPTIGLCVRFHP-BYPYZUCNSA-N 0 0 270.594 2.973 20 5 CFBDRN CC1=C(Oc2cccc(Cl)c2[N+](=O)[O-])C(=O)O[C@H]1C ZINC001230913825 777091335 /nfs/dbraw/zinc/09/13/35/777091335.db2.gz BQBYDVDYJYPFOP-ZETCQYMHSA-N 0 0 283.667 2.846 20 5 CFBDRN CCOC(=O)c1ccc(O[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC001230912258 777091565 /nfs/dbraw/zinc/09/15/65/777091565.db2.gz DMQKMTGFKRKCEJ-PEGIJTEDSA-N 0 0 291.303 2.949 20 5 CFBDRN CC1(C)COC(=O)[C@H]1Oc1cccc(Cl)c1[N+](=O)[O-] ZINC001230916816 777092925 /nfs/dbraw/zinc/09/29/25/777092925.db2.gz DMMQJQDCOQKQQU-SNVBAGLBSA-N 0 0 285.683 2.579 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1O[C@H]1CCn2ccnc21 ZINC001230918267 777092947 /nfs/dbraw/zinc/09/29/47/777092947.db2.gz NMBQSUXCSIZTRZ-JTQLQIEISA-N 0 0 279.683 2.969 20 5 CFBDRN CC(=O)c1cc(Cl)cc([N+](=O)[O-])c1OC[C@@H]1CCO1 ZINC001230929268 777095031 /nfs/dbraw/zinc/09/50/31/777095031.db2.gz QXIDVCUNRFQKSN-VIFPVBQESA-N 0 0 285.683 2.619 20 5 CFBDRN COC(C[C@H](C)Oc1c(C)ccc(C)c1[N+](=O)[O-])OC ZINC001231059324 777127918 /nfs/dbraw/zinc/12/79/18/777127918.db2.gz GJJWVQAGTHSESB-NSHDSACASA-N 0 0 283.324 2.988 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1c(C)ccc(C)c1[N+](=O)[O-] ZINC001231061851 777128132 /nfs/dbraw/zinc/12/81/32/777128132.db2.gz UWLOHVSWGCWRBN-LLVKDONJSA-N 0 0 279.292 2.708 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225666547 777155207 /nfs/dbraw/zinc/15/52/07/777155207.db2.gz JYKDRBNWOBKWGF-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231156702 777159286 /nfs/dbraw/zinc/15/92/86/777159286.db2.gz OKUAEUKZLBTKKS-HZMBPMFUSA-N 0 0 288.303 2.947 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1cnc2c(cccc2[N+](=O)[O-])c1 ZINC001231156703 777159642 /nfs/dbraw/zinc/15/96/42/777159642.db2.gz OKUAEUKZLBTKKS-IINYFYTJSA-N 0 0 288.303 2.947 20 5 CFBDRN Cc1cccc2nc(O[C@H](C[N+](=O)[O-])c3cccnc3)oc21 ZINC001231161209 777160781 /nfs/dbraw/zinc/16/07/81/777160781.db2.gz GSFNDVGAPVPFTR-CYBMUJFWSA-N 0 0 299.286 2.928 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(O)ccc2ccccc21)C1CC1 ZINC001231230546 777175793 /nfs/dbraw/zinc/17/57/93/777175793.db2.gz KIAHNQPDOZFLAD-CQSZACIVSA-N 0 0 273.288 2.980 20 5 CFBDRN Cc1c(O[C@@H]2CCn3ccnc32)cccc1[N+](=O)[O-] ZINC001225691501 777193332 /nfs/dbraw/zinc/19/33/32/777193332.db2.gz SSQYRRIXTJCYRY-GFCCVEGCSA-N 0 0 259.265 2.624 20 5 CFBDRN Cc1ncsc1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000126502336 777303810 /nfs/dbraw/zinc/30/38/10/777303810.db2.gz LPKAASXALCUBMP-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN Cc1ccc(SCC(=O)c2cccc([N+](=O)[O-])c2)nn1 ZINC000712395665 777318588 /nfs/dbraw/zinc/31/85/88/777318588.db2.gz AJTLXWHPOXHSEF-UHFFFAOYSA-N 0 0 289.316 2.668 20 5 CFBDRN CC(=O)N1CCc2cc(NCC(C)(C)C)cc([N+](=O)[O-])c21 ZINC001161641246 777358268 /nfs/dbraw/zinc/35/82/68/777358268.db2.gz CCDDFAZSPCVULZ-UHFFFAOYSA-N 0 0 291.351 2.962 20 5 CFBDRN O=C1CCCN(Cc2ccc3ccc4cccnc4c3n2)C1 ZINC001232223070 777480401 /nfs/dbraw/zinc/48/04/01/777480401.db2.gz JRPVQIFRSLDLIY-UHFFFAOYSA-N 0 0 291.354 2.948 20 5 CFBDRN C[C@H]1C[C@@H](Nc2cc(Cl)cc([N+](=O)[O-])n2)CCO1 ZINC001161690142 777561692 /nfs/dbraw/zinc/56/16/92/777561692.db2.gz DBNYUZZZQGZQSC-CBAPKCEASA-N 0 0 271.704 2.623 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])cnc1N[C@@H]1CCO[C@@H](C)C1 ZINC001161692161 777578957 /nfs/dbraw/zinc/57/89/57/777578957.db2.gz IZSDNSHDJPFUMX-GZMMTYOYSA-N 0 0 265.313 2.586 20 5 CFBDRN CC[C@H](C)Nc1cc2c(c([N+](=O)[O-])c1)NN(C)C2 ZINC001168009897 777601297 /nfs/dbraw/zinc/60/12/97/777601297.db2.gz LJOXZJMKXXIQIE-QMMMGPOBSA-N 0 0 250.302 2.578 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2cccc([N+](=O)[O-])c2)=C1C ZINC001226763193 777636575 /nfs/dbraw/zinc/63/65/75/777636575.db2.gz LFEIXBXBXOFIKP-LLVKDONJSA-N 0 0 263.249 2.583 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H](C)c1nccs1 ZINC001226764517 777637581 /nfs/dbraw/zinc/63/75/81/777637581.db2.gz JGWQKXJGSHTXSQ-MRVPVSSYSA-N 0 0 265.294 2.895 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)c2ccccc21 ZINC000126938658 777647474 /nfs/dbraw/zinc/64/74/74/777647474.db2.gz KMMMDNNKEJAVOR-JTQLQIEISA-N 0 0 297.314 2.941 20 5 CFBDRN CC(=O)c1c(Cl)cccc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001227010213 777729014 /nfs/dbraw/zinc/72/90/14/777729014.db2.gz RKGYMPRAQUNLQU-GFCCVEGCSA-N 0 0 283.711 2.977 20 5 CFBDRN CCCOC[C@H](C)Oc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC001227043879 777743312 /nfs/dbraw/zinc/74/33/12/777743312.db2.gz ISHLGSQIQXIEAC-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCOC1CCC1 ZINC000690953354 777743975 /nfs/dbraw/zinc/74/39/75/777743975.db2.gz QWRDGPQNLJCCBP-UHFFFAOYSA-N 0 0 271.704 2.624 20 5 CFBDRN CCCCOC[C@@H](C)Oc1ccc(C)nc1[N+](=O)[O-] ZINC001227222947 777792731 /nfs/dbraw/zinc/79/27/31/777792731.db2.gz XYGGWWVWCCNEOT-LLVKDONJSA-N 0 0 268.313 2.882 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CCOC2)c1 ZINC000127047064 777804105 /nfs/dbraw/zinc/80/41/05/777804105.db2.gz LSLMKMNGMQTAJJ-SECBINFHSA-N 0 0 297.332 2.510 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@@H]1CCO[C@@H](C)C1 ZINC001227699102 777865355 /nfs/dbraw/zinc/86/53/55/777865355.db2.gz CJCPHDDOOUFRSS-VHSXEESVSA-N 0 0 267.281 2.550 20 5 CFBDRN Cc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)C1 ZINC000388624223 777969107 /nfs/dbraw/zinc/96/91/07/777969107.db2.gz JHGSAYGRLGQKJP-MRVPVSSYSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@H]1COC[C@@H]1Oc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1 ZINC001233497105 777969337 /nfs/dbraw/zinc/96/93/37/777969337.db2.gz FFWXLXBDPRNFGF-KGFZYKRKSA-N 0 0 297.698 2.538 20 5 CFBDRN CCC[C@@H](CC(=O)OCC)Oc1c(C)ccnc1[N+](=O)[O-] ZINC001233553164 777987893 /nfs/dbraw/zinc/98/78/93/777987893.db2.gz AESVHZLMVQPGKX-NSHDSACASA-N 0 0 296.323 2.799 20 5 CFBDRN C[C@@H](Oc1cccc([N+](=O)[O-])c1[N+](=O)[O-])C(F)(F)F ZINC001233574029 777996720 /nfs/dbraw/zinc/99/67/20/777996720.db2.gz FCYNJKHWAPXXMZ-RXMQYKEDSA-N 0 0 280.158 2.833 20 5 CFBDRN CCCC(=O)c1ccc(O)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001233650801 778024860 /nfs/dbraw/zinc/02/48/60/778024860.db2.gz RMMRZGRCZYWNMT-OAHLLOKOSA-N 0 0 293.319 2.809 20 5 CFBDRN C[C@H]1COCC[C@@H]1Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233698349 778044630 /nfs/dbraw/zinc/04/46/30/778044630.db2.gz NVNWJDYNWAEFJQ-CPCISQLKSA-N 0 0 273.235 2.677 20 5 CFBDRN CC[C@@H](COC)Oc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233700035 778046942 /nfs/dbraw/zinc/04/69/42/778046942.db2.gz XWDWICARYWYDGZ-QMMMGPOBSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1ncc(Cl)cc1OCCOC1CCCC1 ZINC001233715221 778052449 /nfs/dbraw/zinc/05/24/49/778052449.db2.gz GFNUQZATSPNFIT-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN CC(C)Oc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC001233746688 778062834 /nfs/dbraw/zinc/06/28/34/778062834.db2.gz HNONFGDMMVFNEX-UHFFFAOYSA-N 0 0 250.176 2.796 20 5 CFBDRN CC[C@H](COC)Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233753022 778064878 /nfs/dbraw/zinc/06/48/78/778064878.db2.gz IJPYKBSQFROHEN-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2ncccc2c1O[C@H]1CCCOC1 ZINC001233780479 778075969 /nfs/dbraw/zinc/07/59/69/778075969.db2.gz ZJGDPYFVDTXXGT-JTQLQIEISA-N 0 0 274.276 2.701 20 5 CFBDRN C[C@@H](Cc1ccccc1)Oc1ccnc([N+](=O)[O-])c1 ZINC001233858688 778096894 /nfs/dbraw/zinc/09/68/94/778096894.db2.gz ZDWJRQVWBOOGNY-NSHDSACASA-N 0 0 258.277 3.000 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCc3ccccc3O2)ccn1 ZINC001233855578 778097310 /nfs/dbraw/zinc/09/73/10/778097310.db2.gz UKCQRSRVHDBPCV-ZDUSSCGKSA-N 0 0 286.287 2.762 20 5 CFBDRN CC1(C)CC(=O)CC[C@H]1Oc1ccnc([N+](=O)[O-])c1 ZINC001233857727 778097397 /nfs/dbraw/zinc/09/73/97/778097397.db2.gz PEBZUFLQHIBYDV-LLVKDONJSA-N 0 0 264.281 2.516 20 5 CFBDRN Cc1cnc(F)c([C@@H](C)Oc2ccnc([N+](=O)[O-])c2)c1 ZINC001233860229 778098212 /nfs/dbraw/zinc/09/82/12/778098212.db2.gz YGUGCSGJANMLDB-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])c(OC2CCC2)c2ncccc12 ZINC001233901597 778109574 /nfs/dbraw/zinc/10/95/74/778109574.db2.gz LRPIOCFMZXBQGR-UHFFFAOYSA-N 0 0 289.247 2.983 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1OC[C@H]1CCC=CO1 ZINC001233982525 778129947 /nfs/dbraw/zinc/12/99/47/778129947.db2.gz LBONTWRTHBXEEO-CYBMUJFWSA-N 0 0 293.319 2.586 20 5 CFBDRN CCCNc1nccc(Br)c1[N+](=O)[O-] ZINC001168137773 778137736 /nfs/dbraw/zinc/13/77/36/778137736.db2.gz WMMXLVPHMIOWTM-UHFFFAOYSA-N 0 0 260.091 2.574 20 5 CFBDRN COC(=O)c1ccc(O[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC001234051794 778154265 /nfs/dbraw/zinc/15/42/65/778154265.db2.gz QIHIABAOMKJNKG-NXEZZACHSA-N 0 0 279.292 2.949 20 5 CFBDRN CCC(CC)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC001234051321 778154274 /nfs/dbraw/zinc/15/42/74/778154274.db2.gz OQNXPJNTSUGRNN-UHFFFAOYSA-N 0 0 267.281 2.949 20 5 CFBDRN CCOC(=O)C1CC(Oc2cc([N+](=O)[O-])c(C)cc2F)C1 ZINC001234062007 778155975 /nfs/dbraw/zinc/15/59/75/778155975.db2.gz XTHAENJNFBNFHY-UHFFFAOYSA-N 0 0 297.282 2.763 20 5 CFBDRN Cc1cc(F)c(OC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC001234062220 778156109 /nfs/dbraw/zinc/15/61/09/778156109.db2.gz YUMLQPSCQILGEA-SECBINFHSA-N 0 0 255.245 2.600 20 5 CFBDRN Cc1cc(F)c(OC2CC3(COC3)C2)cc1[N+](=O)[O-] ZINC001234062163 778156370 /nfs/dbraw/zinc/15/63/70/778156370.db2.gz YRZNOGKTPYHTSI-UHFFFAOYSA-N 0 0 267.256 2.600 20 5 CFBDRN COc1cc(C)cc(F)c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001234228078 778189383 /nfs/dbraw/zinc/18/93/83/778189383.db2.gz PDJLVGUCISYABQ-LBPRGKRZSA-N 0 0 269.272 2.577 20 5 CFBDRN CSc1ccc(F)c(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001234244647 778193037 /nfs/dbraw/zinc/19/30/37/778193037.db2.gz ISFVCJYINPIXCN-LBPRGKRZSA-N 0 0 271.313 2.982 20 5 CFBDRN CCC[C@@H](C)Oc1cc(N2CCOCC2)ccc1[N+](=O)[O-] ZINC001234359926 778214706 /nfs/dbraw/zinc/21/47/06/778214706.db2.gz MCDZMGLPYHFVMK-GFCCVEGCSA-N 0 0 294.351 2.999 20 5 CFBDRN O=C(NC1(C(F)F)CC1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000697433219 778216346 /nfs/dbraw/zinc/21/63/46/778216346.db2.gz RRQFOXOWOPYDPC-UHFFFAOYSA-N 0 0 290.653 2.776 20 5 CFBDRN CCOC(=O)Cc1ccc([N+](=O)[O-])c(O[C@@H](C)C2CC2)c1 ZINC001234409330 778219484 /nfs/dbraw/zinc/21/94/84/778219484.db2.gz YGCUFUBUSOFFRD-JTQLQIEISA-N 0 0 293.319 2.878 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(O)cc(Cl)cc1F)C1CC1 ZINC001234447536 778229136 /nfs/dbraw/zinc/22/91/36/778229136.db2.gz LDHHZCHHRQTZBQ-SNVBAGLBSA-N 0 0 275.663 2.619 20 5 CFBDRN O=C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])s1 ZINC000889581250 778230523 /nfs/dbraw/zinc/23/05/23/778230523.db2.gz OYPDDLHTTXOURX-HRDYMLBCSA-N 0 0 266.322 2.575 20 5 CFBDRN CC(C)c1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)cn1 ZINC001234486043 778235966 /nfs/dbraw/zinc/23/59/66/778235966.db2.gz PTMNOOGKIWGCPT-OAHLLOKOSA-N 0 0 287.319 2.997 20 5 CFBDRN CCc1cccc(O[C@@H](C[N+](=O)[O-])C2CC2)c1OC ZINC001234646552 778273578 /nfs/dbraw/zinc/27/35/78/778273578.db2.gz FMUKLHRGGSCUDK-ZDUSSCGKSA-N 0 0 265.309 2.692 20 5 CFBDRN CO[C@H](C)COc1nccc2c1cccc2[N+](=O)[O-] ZINC001234673916 778285373 /nfs/dbraw/zinc/28/53/73/778285373.db2.gz DKSZJNZEFOIPHQ-SECBINFHSA-N 0 0 262.265 2.557 20 5 CFBDRN C[C@H]1COCC[C@H]1Oc1nccc2c1cccc2[N+](=O)[O-] ZINC001234676711 778285962 /nfs/dbraw/zinc/28/59/62/778285962.db2.gz NCJCQNJFJMCSLP-IINYFYTJSA-N 0 0 288.303 2.947 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H]1COCc2ccccc21 ZINC001234901936 778325664 /nfs/dbraw/zinc/32/56/64/778325664.db2.gz ULVWGXNJEJJFQG-CQSZACIVSA-N 0 0 286.287 2.949 20 5 CFBDRN CCC[C@@H](CC)Oc1nc(NC(C)=O)nc(C)c1[N+](=O)[O-] ZINC001234910847 778327239 /nfs/dbraw/zinc/32/72/39/778327239.db2.gz OTIDSQRAOUFTTI-SNVBAGLBSA-N 0 0 296.327 2.609 20 5 CFBDRN CCCC[C@@H](C)Oc1nc(NC(C)=O)nc(C)c1[N+](=O)[O-] ZINC001234907634 778327664 /nfs/dbraw/zinc/32/76/64/778327664.db2.gz CMMKRLAXFNMNNX-MRVPVSSYSA-N 0 0 296.327 2.609 20 5 CFBDRN Cc1ncsc1C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000127858795 778397880 /nfs/dbraw/zinc/39/78/80/778397880.db2.gz NWSABGLMUHEGHZ-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN CC(C)(C)c1cnc(NC[C@H]2C[C@@](C)(O)C2)c([N+](=O)[O-])c1 ZINC001168338703 778440009 /nfs/dbraw/zinc/44/00/09/778440009.db2.gz CJGIALQEGYLHOS-AVCCJPFPSA-N 0 0 293.367 2.860 20 5 CFBDRN C[C@@]1(O)C[C@H](CNc2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC001168343550 778443501 /nfs/dbraw/zinc/44/35/01/778443501.db2.gz ASZLPKZMDCKDRQ-AVCCJPFPSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@]1(O)C[C@H](CNc2ccc([N+](=O)[O-])c3cnccc23)C1 ZINC001168345979 778444620 /nfs/dbraw/zinc/44/46/20/778444620.db2.gz LXTOSFIIAJQMII-AVCCJPFPSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cc(C)n2)C1 ZINC001126799262 778449809 /nfs/dbraw/zinc/44/98/09/778449809.db2.gz MPPUHPKHWMTWGG-NSHDSACASA-N 0 0 277.324 2.560 20 5 CFBDRN O=C(NC1CC2(CCC2)C1)c1cc(F)ccc1[N+](=O)[O-] ZINC000697694109 778452257 /nfs/dbraw/zinc/45/22/57/778452257.db2.gz BYGISHSVQZFRSC-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN O=C(NCc1cccnc1)c1cccc([N+](=O)[O-])c1Cl ZINC000160368027 778602236 /nfs/dbraw/zinc/60/22/36/778602236.db2.gz TWGOFXUGVSPJIC-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000436016807 778607452 /nfs/dbraw/zinc/60/74/52/778607452.db2.gz UAJNAYYALCRDKC-VHSXEESVSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1cccc(NCc2cnc(C)nc2)c1[N+](=O)[O-] ZINC001168386772 778763227 /nfs/dbraw/zinc/76/32/27/778763227.db2.gz DMGNIWJNJBBVSC-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN Cc1ncc(CNc2cc(F)cc(F)c2[N+](=O)[O-])cn1 ZINC001168387080 778765376 /nfs/dbraw/zinc/76/53/76/778765376.db2.gz QZTXWSZTQMYHKI-UHFFFAOYSA-N 0 0 280.234 2.584 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]1F ZINC001120902012 779002560 /nfs/dbraw/zinc/00/25/60/779002560.db2.gz XDFDZGYXOWRHKC-DTWKUNHWSA-N 0 0 252.245 2.508 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)OCCC(C)C ZINC001205086328 779112336 /nfs/dbraw/zinc/11/23/36/779112336.db2.gz YXVMZERWWNCKFM-JTQLQIEISA-N 0 0 255.318 2.551 20 5 CFBDRN C[C@@]1(C(F)F)CN(c2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000698993469 779125772 /nfs/dbraw/zinc/12/57/72/779125772.db2.gz HRBBWUFUNIDXFE-LBPRGKRZSA-N 0 0 290.241 2.594 20 5 CFBDRN COc1csc(C(=O)Oc2cccc([N+](=O)[O-])c2)c1 ZINC000176454377 779159716 /nfs/dbraw/zinc/15/97/16/779159716.db2.gz OKPMQEXZMMDNNZ-UHFFFAOYSA-N 0 0 279.273 2.884 20 5 CFBDRN Cc1c(NC[C@@H]2CC(C)(C)O2)ccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001168457002 779184065 /nfs/dbraw/zinc/18/40/65/779184065.db2.gz SBKHQSFQEBWRMR-VIFPVBQESA-N 0 0 295.295 2.791 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CC[C@@H]2C[C@@H]2C1 ZINC000699202817 779213416 /nfs/dbraw/zinc/21/34/16/779213416.db2.gz DBGWVPKZNJWXSP-GHMZBOCLSA-N 0 0 260.293 2.644 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1C[C@H]1C1CC1 ZINC000176531616 779218510 /nfs/dbraw/zinc/21/85/10/779218510.db2.gz KLGMUIRDRAWJRI-QWRGUYRKSA-N 0 0 277.276 2.555 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@@H]1C[C@@H]1C1CC1 ZINC000176548257 779227836 /nfs/dbraw/zinc/22/78/36/779227836.db2.gz VVRSSACVHKERCL-NXEZZACHSA-N 0 0 264.256 2.719 20 5 CFBDRN O=C(OCC1CSC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC001123131930 779349475 /nfs/dbraw/zinc/34/94/75/779349475.db2.gz HLLCVCNNTSCUOF-OLZOCXBDSA-N 0 0 293.344 2.605 20 5 CFBDRN O=C(NCCOC1CCC1)c1cccc([N+](=O)[O-])c1Cl ZINC000691193200 779372475 /nfs/dbraw/zinc/37/24/75/779372475.db2.gz WMDHIKUTMIANGX-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1CC1(Cl)Cl ZINC000918952255 779486703 /nfs/dbraw/zinc/48/67/03/779486703.db2.gz KRAQMJUUDRXRGK-ZCFIWIBFSA-N 0 0 296.131 2.893 20 5 CFBDRN Nc1c(F)c(N[C@H]2CC23CCC3)ccc1[N+](=O)[O-] ZINC000721276764 779539631 /nfs/dbraw/zinc/53/96/31/779539631.db2.gz XPPZPAMPVOOIFL-VIFPVBQESA-N 0 0 251.261 2.671 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cc(Cl)ccc1F ZINC001127581047 779570594 /nfs/dbraw/zinc/57/05/94/779570594.db2.gz ZLFNFCPKZYPJJS-PTHKFVFGSA-N 0 0 287.674 2.749 20 5 CFBDRN Nc1cc2nc3ccccc3nc2cc1NC(=O)C(F)F ZINC001142788922 779602691 /nfs/dbraw/zinc/60/26/91/779602691.db2.gz RGWDDUZLIYAKOM-UHFFFAOYSA-N 0 0 288.257 2.569 20 5 CFBDRN CCCC(=O)NC/C=C\CNc1ccc(F)cc1[N+](=O)[O-] ZINC001107009673 779739412 /nfs/dbraw/zinc/73/94/12/779739412.db2.gz IWUUIHCGNSHBOV-ARJAWSKDSA-N 0 0 295.314 2.618 20 5 CFBDRN CC(C)=CC(=O)NC/C=C\CNc1ccccc1[N+](=O)[O-] ZINC001107018681 779740372 /nfs/dbraw/zinc/74/03/72/779740372.db2.gz SUSQYLDOOUYHHX-WAYWQWQTSA-N 0 0 289.335 2.645 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cncc2[nH]ccc21 ZINC001125150064 779770427 /nfs/dbraw/zinc/77/04/27/779770427.db2.gz NVKMLCFJYDGQDJ-UHFFFAOYSA-N 0 0 297.270 2.999 20 5 CFBDRN CC(=O)[C@H](C)OC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC001205232066 779993441 /nfs/dbraw/zinc/99/34/41/779993441.db2.gz HBLAXIBEDIGZPQ-LBPRGKRZSA-N 0 0 292.335 2.558 20 5 CFBDRN COc1ncnc(NC[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000094739330 780126570 /nfs/dbraw/zinc/12/65/70/780126570.db2.gz DAXWWRGRMUTULZ-UWVGGRQHSA-N 0 0 280.328 2.632 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1ncnc(OC)c1[N+](=O)[O-] ZINC000094739719 780127210 /nfs/dbraw/zinc/12/72/10/780127210.db2.gz XNPHCYZFUYETOJ-IUCAKERBSA-N 0 0 268.317 2.630 20 5 CFBDRN CC[C@@H](C)C[C@H](C)Nc1ncnc(OC)c1[N+](=O)[O-] ZINC000094739718 780127416 /nfs/dbraw/zinc/12/74/16/780127416.db2.gz XNPHCYZFUYETOJ-BDAKNGLRSA-N 0 0 268.317 2.630 20 5 CFBDRN C[C@H](Nc1c[n+]([O-])c(Cl)cc1[N+](=O)[O-])C(C)(C)C ZINC001168682337 780320502 /nfs/dbraw/zinc/32/05/02/780320502.db2.gz NWQOWJSCEAKIRE-ZETCQYMHSA-N 0 0 273.720 2.728 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC001128173475 780345188 /nfs/dbraw/zinc/34/51/88/780345188.db2.gz DYWIYFAWXJNLQG-GHMZBOCLSA-N 0 0 262.309 2.855 20 5 CFBDRN CC[C@H](C)C[C@@H](C)Nc1cc([N+](=O)[O-])c2nncn2c1 ZINC001168695818 780355188 /nfs/dbraw/zinc/35/51/88/780355188.db2.gz VZWIXHPTNFQXSJ-VHSXEESVSA-N 0 0 277.328 2.874 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H]2CCc3cccnc32)n1 ZINC001128193314 780361180 /nfs/dbraw/zinc/36/11/80/780361180.db2.gz NDNPYFYPEMRAAP-CYBMUJFWSA-N 0 0 299.286 2.538 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2OC)[C@@H]1C ZINC001128281762 780377245 /nfs/dbraw/zinc/37/72/45/780377245.db2.gz SCWYPHUNWLGHEJ-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1c(F)cc(NCCOC(C)C)cc1[N+](=O)[O-] ZINC001161788890 780542480 /nfs/dbraw/zinc/54/24/80/780542480.db2.gz ZTANUBSFPKAVNZ-UHFFFAOYSA-N 0 0 272.276 2.579 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1ccc(F)s1 ZINC001128528759 780593704 /nfs/dbraw/zinc/59/37/04/780593704.db2.gz JJPWDJRNULQMEP-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN O=[N+]([O-])c1cnc2cccc(NC(C3CC3)C3CC3)n21 ZINC001168746756 780605947 /nfs/dbraw/zinc/60/59/47/780605947.db2.gz HYQPIONGBKKCIP-UHFFFAOYSA-N 0 0 272.308 2.843 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H](C)O2)n1 ZINC000701513521 780811308 /nfs/dbraw/zinc/81/13/08/780811308.db2.gz YRXHRVFHZRCZNC-MNOVXSKESA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1nnccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000701983401 780860858 /nfs/dbraw/zinc/86/08/58/780860858.db2.gz URBHFHPZLGHNQN-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1NC1CSC1 ZINC000702366360 780918971 /nfs/dbraw/zinc/91/89/71/780918971.db2.gz RDXFCYGZDCBTQB-UHFFFAOYSA-N 0 0 289.154 2.885 20 5 CFBDRN O=C(NCC1=CCCC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000702415726 780922461 /nfs/dbraw/zinc/92/24/61/780922461.db2.gz CYXJRFVPNAQFSU-UHFFFAOYSA-N 0 0 282.246 2.713 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCCC1CC(O)C1 ZINC000702499660 780925638 /nfs/dbraw/zinc/92/56/38/780925638.db2.gz VJVSKPAQZWLOQV-UHFFFAOYSA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCC[C@@H](C)F)c1F ZINC000702570668 780933261 /nfs/dbraw/zinc/93/32/61/780933261.db2.gz PGABJCJUVRLBDD-SSDOTTSWSA-N 0 0 290.241 2.659 20 5 CFBDRN C[C@@H](F)CCNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000702571217 780935221 /nfs/dbraw/zinc/93/52/21/780935221.db2.gz RWHRBGISZKSYMP-SSDOTTSWSA-N 0 0 274.679 2.726 20 5 CFBDRN COC1CC(COc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000704217134 781092623 /nfs/dbraw/zinc/09/26/23/781092623.db2.gz HFEPHKRJXLSRPV-UHFFFAOYSA-N 0 0 255.245 2.538 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC[C@@H]1CCSC1 ZINC000704796556 781116981 /nfs/dbraw/zinc/11/69/81/781116981.db2.gz JBWCAMSNSURRPY-SECBINFHSA-N 0 0 253.327 2.545 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@@H]2CCSC2)s1 ZINC000704795100 781117227 /nfs/dbraw/zinc/11/72/27/781117227.db2.gz LCGIIGBKXALTAD-SSDOTTSWSA-N 0 0 259.356 2.606 20 5 CFBDRN CCC(O)(CC)CN(C)c1cccc(F)c1[N+](=O)[O-] ZINC000706401205 781175777 /nfs/dbraw/zinc/17/57/77/781175777.db2.gz BMCAGSDDGQTVIX-UHFFFAOYSA-N 0 0 270.304 2.721 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC[C@H]3C[C@H]32)c1 ZINC000706766859 781201255 /nfs/dbraw/zinc/20/12/55/781201255.db2.gz WRGIZCJYZUQIBT-QPUJVOFHSA-N 0 0 252.701 2.842 20 5 CFBDRN C[C@H]1CCN1C(=O)c1cccc([N+](=O)[O-])c1Br ZINC000706913642 781208818 /nfs/dbraw/zinc/20/88/18/781208818.db2.gz RIANWEVITBZFKT-ZETCQYMHSA-N 0 0 299.124 2.592 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2Cl)[C@@H]1C ZINC000706927529 781216541 /nfs/dbraw/zinc/21/65/41/781216541.db2.gz MCOHUWRVQWHTKH-HTQZYQBOSA-N 0 0 268.700 2.729 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000706927530 781216546 /nfs/dbraw/zinc/21/65/46/781216546.db2.gz MCOHUWRVQWHTKH-JGVFFNPUSA-N 0 0 268.700 2.729 20 5 CFBDRN Cc1conc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000708225609 781267352 /nfs/dbraw/zinc/26/73/52/781267352.db2.gz LYYDUOQWTWWYLZ-JTQLQIEISA-N 0 0 261.281 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOC2CCC2)c1 ZINC000709142285 781290056 /nfs/dbraw/zinc/29/00/56/781290056.db2.gz GFYQZXJDWILKKQ-UHFFFAOYSA-N 0 0 250.298 2.884 20 5 CFBDRN CC[C@@]1(O)CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000710590222 781345146 /nfs/dbraw/zinc/34/51/46/781345146.db2.gz JHQGEATXUGGDGR-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN Cc1cccc(-c2noc(-c3ccc([N+](=O)[O-])o3)n2)n1 ZINC001212570032 781400167 /nfs/dbraw/zinc/40/01/67/781400167.db2.gz KDMJZBCFFDMNDW-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@@H](CF)C1 ZINC000711494376 781446433 /nfs/dbraw/zinc/44/64/33/781446433.db2.gz ZJJUTKJHLJKKEV-VIFPVBQESA-N 0 0 284.262 2.556 20 5 CFBDRN COC(C)(C)CCNc1nc(C)ccc1[N+](=O)[O-] ZINC000711848202 781476961 /nfs/dbraw/zinc/47/69/61/781476961.db2.gz MUYKHJLFBGUALY-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN Cc1c(C)c(N(C)CC(F)(F)F)ncc1[N+](=O)[O-] ZINC001163710194 781559399 /nfs/dbraw/zinc/55/93/99/781559399.db2.gz RMCPLHLNNBTNIZ-UHFFFAOYSA-N 0 0 263.219 2.605 20 5 CFBDRN CCC1(Nc2ncc([N+](=O)[O-])c(C)n2)CCCC1 ZINC001118597142 781686790 /nfs/dbraw/zinc/68/67/90/781686790.db2.gz UODWBHLSGVCPNU-UHFFFAOYSA-N 0 0 250.302 2.828 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N2CCC[C@@H](O)[C@@H](C)C2)n1 ZINC001164219789 781694360 /nfs/dbraw/zinc/69/43/60/781694360.db2.gz XTBCJDZFEQOSOD-GZMMTYOYSA-N 0 0 299.758 2.549 20 5 CFBDRN O=[N+]([O-])c1ccnc(N2CCC3(CC(F)C3)CC2)c1 ZINC001165308214 781749244 /nfs/dbraw/zinc/74/92/44/781749244.db2.gz CDCDTFSYLHRRHM-UHFFFAOYSA-N 0 0 265.288 2.708 20 5 CFBDRN COC(=O)C1CC(Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229961994 781835315 /nfs/dbraw/zinc/83/53/15/781835315.db2.gz NWAVXUILROFQSX-UHFFFAOYSA-N 0 0 285.683 2.579 20 5 CFBDRN Cc1c(Br)cnc(OC2CCC2)c1[N+](=O)[O-] ZINC001230034306 781845466 /nfs/dbraw/zinc/84/54/66/781845466.db2.gz GUNCYRHHJOBBLB-UHFFFAOYSA-N 0 0 287.113 2.992 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000594572600 349450127 /nfs/dbraw/zinc/45/01/27/349450127.db2.gz POYQYEJDTMLKDX-GIPNMCIBSA-N 0 0 278.308 2.583 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000067520124 346807407 /nfs/dbraw/zinc/80/74/07/346807407.db2.gz ZWXJHHHNNTZDSQ-ZDUSSCGKSA-N 0 0 292.335 2.544 20 5 CFBDRN COC[C@@H]1CCCCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000594571314 349450396 /nfs/dbraw/zinc/45/03/96/349450396.db2.gz UJWNSYQVVHXETJ-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC[C@@H](C)[C@@H](F)C1 ZINC000594577609 349451200 /nfs/dbraw/zinc/45/12/00/349451200.db2.gz UCMWDJXQAZXJAO-ZJUUUORDSA-N 0 0 268.288 2.788 20 5 CFBDRN COCC1(CNc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000594593124 349454414 /nfs/dbraw/zinc/45/44/14/349454414.db2.gz YBDAYSJRDQUVCC-UHFFFAOYSA-N 0 0 272.251 2.712 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])C1 ZINC000594593568 349454428 /nfs/dbraw/zinc/45/44/28/349454428.db2.gz DQOFVJDULWOMIP-MGCOHNPYSA-N 0 0 265.313 2.748 20 5 CFBDRN Cc1cc(N[C@@H](C)Cc2ccccn2)ncc1[N+](=O)[O-] ZINC000594593544 349454454 /nfs/dbraw/zinc/45/44/54/349454454.db2.gz CXZLBXSBINDQAS-NSHDSACASA-N 0 0 272.308 2.736 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)Cc1ccccn1 ZINC000594594134 349454544 /nfs/dbraw/zinc/45/45/44/349454544.db2.gz NDVHFXTTXWWDKS-JTQLQIEISA-N 0 0 289.339 2.558 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@H](OC2CCC2)C1 ZINC000594594759 349455328 /nfs/dbraw/zinc/45/53/28/349455328.db2.gz RFGRWOMDUHVTQP-LBPRGKRZSA-N 0 0 277.324 2.528 20 5 CFBDRN Cc1cnc(CCNc2ncc([N+](=O)[O-])cc2F)c(C)c1 ZINC000594596167 349455513 /nfs/dbraw/zinc/45/55/13/349455513.db2.gz OJHDGDMMBYHUQX-UHFFFAOYSA-N 0 0 290.298 2.795 20 5 CFBDRN C[C@H]1CN(c2cccnc2[N+](=O)[O-])CC2(CCCCC2)O1 ZINC000594601813 349458405 /nfs/dbraw/zinc/45/84/05/349458405.db2.gz VKUUUYYXKGIVAN-LBPRGKRZSA-N 0 0 291.351 2.918 20 5 CFBDRN COC[C@@H](CC(C)(C)C)Nc1ncccc1[N+](=O)[O-] ZINC000594602408 349459202 /nfs/dbraw/zinc/45/92/02/349459202.db2.gz YWBCLPRVXDZSIR-SNVBAGLBSA-N 0 0 267.329 2.853 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CC2(CC2(F)F)C1 ZINC000594609162 349461407 /nfs/dbraw/zinc/46/14/07/349461407.db2.gz RAJOCDZVLASXML-UHFFFAOYSA-N 0 0 268.263 2.744 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCCCC(C)C)c1 ZINC000067547238 346809290 /nfs/dbraw/zinc/80/92/90/346809290.db2.gz JZZSGKKDTYSRAR-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])c(C)c2)nc(C)n1 ZINC000594881563 349492360 /nfs/dbraw/zinc/49/23/60/349492360.db2.gz ZKYHBVTUAYGRHI-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN Cc1cc(OC[C@]2(OC(C)C)CCOC2)ccc1[N+](=O)[O-] ZINC000594880601 349492435 /nfs/dbraw/zinc/49/24/35/349492435.db2.gz NDSHJSYJPMSXJW-HNNXBMFYSA-N 0 0 295.335 2.866 20 5 CFBDRN O=[N+]([O-])c1ccnn1CC[C@H]1CCc2ccccc21 ZINC000594885214 349492841 /nfs/dbraw/zinc/49/28/41/349492841.db2.gz BMCMDNCXTAUYNZ-GFCCVEGCSA-N 0 0 257.293 2.911 20 5 CFBDRN CC(C)=CCCN1C(=O)c2cccc([N+](=O)[O-])c2C1=O ZINC000594884072 349493217 /nfs/dbraw/zinc/49/32/17/349493217.db2.gz UHSSLOBPRDKMNS-UHFFFAOYSA-N 0 0 274.276 2.547 20 5 CFBDRN CC1(C)C[C@H](Sc2ncccc2[N+](=O)[O-])CO1 ZINC000594885917 349493511 /nfs/dbraw/zinc/49/35/11/349493511.db2.gz JIQAQHCOGGPCBE-QMMMGPOBSA-N 0 0 254.311 2.649 20 5 CFBDRN CCc1ccc(OC[C@@H](OC)[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000594887414 349493705 /nfs/dbraw/zinc/49/37/05/349493705.db2.gz LHAJAIPOOHTIBM-SWLSCSKDSA-N 0 0 295.335 2.588 20 5 CFBDRN CC[C@H](C)[N@H+](CC)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000594734065 349484152 /nfs/dbraw/zinc/48/41/52/349484152.db2.gz NNGBHHBKVFXMTB-JTQLQIEISA-N 0 0 280.324 2.913 20 5 CFBDRN Cc1cc(COc2cccc(C)c2[N+](=O)[O-])nc(C)n1 ZINC000594894687 349496832 /nfs/dbraw/zinc/49/68/32/349496832.db2.gz VEHYCQOXWUWQDG-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cc(C3CC3)no2)nc1C1CC1 ZINC000594896413 349497817 /nfs/dbraw/zinc/49/78/17/349497817.db2.gz XNUKSONJIXHBEO-UHFFFAOYSA-N 0 0 274.280 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cc(C3CC3)no2)cc1CO ZINC000594895930 349497823 /nfs/dbraw/zinc/49/78/23/349497823.db2.gz YMSHTPOIIADZEY-UHFFFAOYSA-N 0 0 290.275 2.532 20 5 CFBDRN CO[C@@H](Cn1cc([N+](=O)[O-])c(C)n1)C1CCCCC1 ZINC000594896931 349498499 /nfs/dbraw/zinc/49/84/99/349498499.db2.gz BUUFYSXEKIGRDW-ZDUSSCGKSA-N 0 0 267.329 2.695 20 5 CFBDRN Cc1cccc(CNC(=O)CNc2ccccc2[N+](=O)[O-])c1 ZINC000067708332 346815628 /nfs/dbraw/zinc/81/56/28/346815628.db2.gz LPTJNDKUOSLCGC-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN Cc1nn(CCOCc2ccccc2)c(C)c1[N+](=O)[O-] ZINC000594899355 349499350 /nfs/dbraw/zinc/49/93/50/349499350.db2.gz DWUYWTWUDGCRLL-UHFFFAOYSA-N 0 0 275.308 2.625 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(O[C@H]2CCC(C)(C)C2=O)c1C ZINC000594905117 349500696 /nfs/dbraw/zinc/50/06/96/349500696.db2.gz RUODHXAGPIGSBU-NSHDSACASA-N 0 0 278.308 2.743 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000067710911 346816093 /nfs/dbraw/zinc/81/60/93/346816093.db2.gz IMFXPLZRRZOYEE-LLVKDONJSA-N 0 0 250.298 2.600 20 5 CFBDRN C[C@H](CCCO)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124800164 187154790 /nfs/dbraw/zinc/15/47/90/187154790.db2.gz TWDVZFJMHWGLOP-MRVPVSSYSA-N 0 0 258.705 2.821 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1noc(CC2CC2)n1 ZINC000273858549 192337848 /nfs/dbraw/zinc/33/78/48/192337848.db2.gz MDEHXRGZVDQPBU-UHFFFAOYSA-N 0 0 288.307 2.585 20 5 CFBDRN CC(C)c1ccc(C[NH2+][C@@H](C)C2(CO)CC2)cc1[N+](=O)[O-] ZINC000594965787 349533028 /nfs/dbraw/zinc/53/30/28/349533028.db2.gz JWMSDKPXFBYZTH-LBPRGKRZSA-N 0 0 292.379 2.969 20 5 CFBDRN CC[C@H](O)CNc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000125101275 187169424 /nfs/dbraw/zinc/16/94/24/187169424.db2.gz DWYIIOCWLSXGLM-QMMMGPOBSA-N 0 0 278.230 2.796 20 5 CFBDRN CC[C@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])C1CC1 ZINC000594981345 349538134 /nfs/dbraw/zinc/53/81/34/349538134.db2.gz XVXIUFPOQITTJU-LBPRGKRZSA-N 0 0 250.298 2.579 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)NCc1cc(C)no1 ZINC000595010449 349547910 /nfs/dbraw/zinc/54/79/10/349547910.db2.gz HVZZDJKWYRPRRH-JTQLQIEISA-N 0 0 291.307 2.751 20 5 CFBDRN CCCCN(CC)C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000067797409 346819548 /nfs/dbraw/zinc/81/95/48/346819548.db2.gz LVFRPLTUHHIJML-UHFFFAOYSA-N 0 0 294.351 2.795 20 5 CFBDRN CC(C)[C@H](C)CC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000067818605 346820846 /nfs/dbraw/zinc/82/08/46/346820846.db2.gz PLGHEBPHRIUDLO-GFCCVEGCSA-N 0 0 278.352 2.936 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NCCO ZINC000067949494 346829083 /nfs/dbraw/zinc/82/90/83/346829083.db2.gz NXEWKRDQMCOEPF-UHFFFAOYSA-N 0 0 284.621 2.671 20 5 CFBDRN C[C@H](CCO)Nc1ccc(Br)cc1[N+](=O)[O-] ZINC000096810480 185114533 /nfs/dbraw/zinc/11/45/33/185114533.db2.gz LSKQXXQFEIIXPJ-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)OCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000068289571 346844992 /nfs/dbraw/zinc/84/49/92/346844992.db2.gz UXNSDZUKTMIRAM-MNOVXSKESA-N 0 0 292.335 2.587 20 5 CFBDRN CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000068304179 346846677 /nfs/dbraw/zinc/84/66/77/346846677.db2.gz RVLBZRNMMULUIO-UHFFFAOYSA-N 0 0 285.303 2.585 20 5 CFBDRN Cc1noc(CN2c3ccccc3C[C@@H]2C)c1[N+](=O)[O-] ZINC000595288044 349591578 /nfs/dbraw/zinc/59/15/78/349591578.db2.gz XSAUJYXAUGRYPY-VIFPVBQESA-N 0 0 273.292 2.842 20 5 CFBDRN CCN(Cc1cccs1)Cc1onc(C)c1[N+](=O)[O-] ZINC000595290245 349591794 /nfs/dbraw/zinc/59/17/94/349591794.db2.gz XMVPIUACKRPRDH-UHFFFAOYSA-N 0 0 281.337 2.975 20 5 CFBDRN Cc1noc(CN(CCC(C)C)C2CC2)c1[N+](=O)[O-] ZINC000595291332 349592360 /nfs/dbraw/zinc/59/23/60/349592360.db2.gz QMOKDFNHNXGEHM-UHFFFAOYSA-N 0 0 267.329 2.902 20 5 CFBDRN CN(Cc1ccc(F)cc1[N+](=O)[O-])C[C@@H]1CCCCO1 ZINC000595296032 349594902 /nfs/dbraw/zinc/59/49/02/349594902.db2.gz VYRZJRYXRZXIDB-ZDUSSCGKSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1noc(CN(C)[C@@H](C)C2(C)CC2)c1[N+](=O)[O-] ZINC000595337923 349605649 /nfs/dbraw/zinc/60/56/49/349605649.db2.gz DHGCTIQIILCVFG-VIFPVBQESA-N 0 0 253.302 2.512 20 5 CFBDRN CCCCO[C@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000068501739 346856214 /nfs/dbraw/zinc/85/62/14/346856214.db2.gz CYDVRSSPIFAHFU-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1noc(CN2CCCc3cccc(F)c32)c1[N+](=O)[O-] ZINC000595357112 349609858 /nfs/dbraw/zinc/60/98/58/349609858.db2.gz YMBRTQARAKARFY-UHFFFAOYSA-N 0 0 291.282 2.983 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1C[N@@H+]1CCC[C@H]2COCC[C@@H]21 ZINC000595337887 349605229 /nfs/dbraw/zinc/60/52/29/349605229.db2.gz CJWUYCYPDIPBKD-JSGCOSHPSA-N 0 0 294.326 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCCSCC1 ZINC000595391243 349615369 /nfs/dbraw/zinc/61/53/69/349615369.db2.gz IBWYRMKROHANKN-UHFFFAOYSA-N 0 0 270.329 2.673 20 5 CFBDRN Cc1ccnc(NCC[C@H]2CCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000273097377 192042749 /nfs/dbraw/zinc/04/27/49/192042749.db2.gz DPKKNSCERQHRME-RYUDHWBXSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269608036 190519618 /nfs/dbraw/zinc/51/96/18/190519618.db2.gz DBVKTQLHOJKTLS-WRWORJQWSA-N 0 0 274.267 2.692 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1CN1CCOCC12CCCC2 ZINC000595407590 349617870 /nfs/dbraw/zinc/61/78/70/349617870.db2.gz DSCSCHRPJXYOBN-UHFFFAOYSA-N 0 0 294.326 2.879 20 5 CFBDRN COc1ccccc1[C@@H](C)NCc1onc(C)c1[N+](=O)[O-] ZINC000595407903 349618109 /nfs/dbraw/zinc/61/81/09/349618109.db2.gz GWJKVSCYHOCVEN-SECBINFHSA-N 0 0 291.307 2.751 20 5 CFBDRN COc1ccc([C@H](C)NCc2onc(C)c2[N+](=O)[O-])cc1 ZINC000595410034 349618149 /nfs/dbraw/zinc/61/81/49/349618149.db2.gz JCFYHLZHTJVFTH-VIFPVBQESA-N 0 0 291.307 2.751 20 5 CFBDRN Cc1noc(CN[C@@H](CC(F)(F)F)C(C)C)c1[N+](=O)[O-] ZINC000595447299 349628698 /nfs/dbraw/zinc/62/86/98/349628698.db2.gz GVXAQATUPDHPJA-QMMMGPOBSA-N 0 0 295.261 2.958 20 5 CFBDRN CC[C@@H](NC(=O)NCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000595448711 349629606 /nfs/dbraw/zinc/62/96/06/349629606.db2.gz SLUJQWBMXKPEOY-GFCCVEGCSA-N 0 0 277.324 2.583 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)NCC1=CCCC1 ZINC000595470797 349636882 /nfs/dbraw/zinc/63/68/82/349636882.db2.gz WSZVRTVNZSUYQD-UHFFFAOYSA-N 0 0 289.335 2.547 20 5 CFBDRN CC[C@@H](NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000595475291 349637600 /nfs/dbraw/zinc/63/76/00/349637600.db2.gz HQTGJTWBQOJISO-CYBMUJFWSA-N 0 0 277.324 2.583 20 5 CFBDRN CCOc1cccc([C@@H](C)Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000068824033 346872179 /nfs/dbraw/zinc/87/21/79/346872179.db2.gz YQFQOKTWZCUQLF-SNVBAGLBSA-N 0 0 290.323 2.900 20 5 CFBDRN Cc1noc(CN2CCC=C(c3ccco3)C2)c1[N+](=O)[O-] ZINC000595485088 349639976 /nfs/dbraw/zinc/63/99/76/349639976.db2.gz RCRDODOPVVTAAK-UHFFFAOYSA-N 0 0 289.291 2.774 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC[C@H]1C ZINC000048413021 282168158 /nfs/dbraw/zinc/16/81/58/282168158.db2.gz VBELZFUFZYGXMF-NOZJJQNGSA-N 0 0 277.324 2.607 20 5 CFBDRN CC(C)[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CCCO1 ZINC000595505931 349645898 /nfs/dbraw/zinc/64/58/98/349645898.db2.gz XROUOBQMNIPFGZ-HNNXBMFYSA-N 0 0 296.342 2.981 20 5 CFBDRN CS[C@H]1CCN(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000595511149 349646901 /nfs/dbraw/zinc/64/69/01/349646901.db2.gz QFVNGSNVZFTJEB-NSHDSACASA-N 0 0 270.329 2.671 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000595558651 349658942 /nfs/dbraw/zinc/65/89/42/349658942.db2.gz OHSGNFLUMOWZJS-ZWNOBZJWSA-N 0 0 282.315 2.733 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])C2(CCC2)CO1 ZINC000595563616 349660879 /nfs/dbraw/zinc/66/08/79/349660879.db2.gz NWEFVNWMRBCVIC-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1ncc2c(n1)CC[C@@H](Nc1ccccc1[N+](=O)[O-])C2 ZINC000369224998 282172018 /nfs/dbraw/zinc/17/20/18/282172018.db2.gz HVOZXEXFBXENNX-GFCCVEGCSA-N 0 0 284.319 2.663 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cc(C)cc(F)c2)c1[N+](=O)[O-] ZINC000178774253 529469145 /nfs/dbraw/zinc/46/91/45/529469145.db2.gz JKFJSFBCWQPZTF-UHFFFAOYSA-N 0 0 292.270 2.580 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[N@@H+]1C[C@@H]2CCCC[C@@H]21 ZINC000595566353 349662308 /nfs/dbraw/zinc/66/23/08/349662308.db2.gz HOVWCCFPLCBXPT-GWCFXTLKSA-N 0 0 262.309 2.675 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000413450480 529468533 /nfs/dbraw/zinc/46/85/33/529468533.db2.gz LEHGLSGELOCCIX-KGLIPLIRSA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@]1(C2CC2)CN(Cc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000595616376 349677146 /nfs/dbraw/zinc/67/71/46/349677146.db2.gz BMJNABMOGUQTMT-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CC[C@@H]1OCC[C@H]1Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000413457885 529473382 /nfs/dbraw/zinc/47/33/82/529473382.db2.gz VVTUOVCNMLCXRY-OCCSQVGLSA-N 0 0 278.308 2.777 20 5 CFBDRN COC(=O)C1(CNc2ncccc2[N+](=O)[O-])CCCCC1 ZINC000273144660 192055911 /nfs/dbraw/zinc/05/59/11/192055911.db2.gz KTJBTORGYLZWOF-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2c3ccccc3C[C@@H]2CO)cc1 ZINC000595657487 349692197 /nfs/dbraw/zinc/69/21/97/349692197.db2.gz KPTKZGLHVOMDDG-MRXNPFEDSA-N 0 0 298.342 2.561 20 5 CFBDRN O=[N+]([O-])c1cc(CN2c3ccccc3C[C@H]2CO)cs1 ZINC000595658821 349692275 /nfs/dbraw/zinc/69/22/75/349692275.db2.gz WLFPLVGZJYPMIA-LBPRGKRZSA-N 0 0 290.344 2.580 20 5 CFBDRN CC[C@@H](CSC)Nc1ncc([N+](=O)[O-])cc1F ZINC000413223963 529532109 /nfs/dbraw/zinc/53/21/09/529532109.db2.gz LSKPXNQIIRQVSF-ZETCQYMHSA-N 0 0 259.306 2.682 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1F)CC1=CCCOC1 ZINC000595751008 349722797 /nfs/dbraw/zinc/72/27/97/349722797.db2.gz FHOHGRBUKSDZDF-UHFFFAOYSA-N 0 0 280.299 2.512 20 5 CFBDRN C[C@]12COC[C@@H]1CN(Cc1ccc(Cl)cc1[N+](=O)[O-])C2 ZINC000595755810 349723433 /nfs/dbraw/zinc/72/34/33/349723433.db2.gz CYNODQCANAIEQZ-FZMZJTMJSA-N 0 0 296.754 2.717 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCC1=CCCC1 ZINC000595807878 349736702 /nfs/dbraw/zinc/73/67/02/349736702.db2.gz QKYUHYKMTHESLM-UHFFFAOYSA-N 0 0 289.335 2.847 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCOC[C@@H]1CCCO1 ZINC000070792458 346914327 /nfs/dbraw/zinc/91/43/27/346914327.db2.gz KWGWRLJWWKLJPX-LBPRGKRZSA-N 0 0 280.324 2.592 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N(Cc1ccc(F)cc1)C1CC1 ZINC000070791867 346914522 /nfs/dbraw/zinc/91/45/22/346914522.db2.gz XRNSVLGVGQXRPI-UHFFFAOYSA-N 0 0 290.298 2.636 20 5 CFBDRN C[C@H]1CCCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000070737749 346912789 /nfs/dbraw/zinc/91/27/89/346912789.db2.gz JPZHHNBHQCYVHU-NSHDSACASA-N 0 0 294.376 2.945 20 5 CFBDRN COC[C@H](Nc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000126150631 187220661 /nfs/dbraw/zinc/22/06/61/187220661.db2.gz QBORCHYULWNHHL-NSHDSACASA-N 0 0 254.261 2.571 20 5 CFBDRN CC1(C)CCCC[C@@H]1Cn1cc([N+](=O)[O-])ccc1=O ZINC000596010301 349775736 /nfs/dbraw/zinc/77/57/36/349775736.db2.gz GDEXQNHBHMUZLG-LLVKDONJSA-N 0 0 264.325 2.973 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCc3n[nH]cc3C2)c1 ZINC000596003925 349775752 /nfs/dbraw/zinc/77/57/52/349775752.db2.gz UZTXBFSMXAZNRB-UHFFFAOYSA-N 0 0 272.308 2.579 20 5 CFBDRN COCCc1ccccc1NCc1onc(C)c1[N+](=O)[O-] ZINC000596033565 349779110 /nfs/dbraw/zinc/77/91/10/349779110.db2.gz YPVKQSXHPVUKIF-UHFFFAOYSA-N 0 0 291.307 2.692 20 5 CFBDRN COc1cc(CN2CC3CC2(C)C3)c([N+](=O)[O-])cc1F ZINC000595920156 349770137 /nfs/dbraw/zinc/77/01/37/349770137.db2.gz NZURKVAMRAIKKP-UHFFFAOYSA-N 0 0 280.299 2.727 20 5 CFBDRN Nc1ccc(N2CCC[C@@H]2c2ccsc2)nc1[N+](=O)[O-] ZINC000596075263 349788222 /nfs/dbraw/zinc/78/82/22/349788222.db2.gz GKCSSMLEJXDKFT-LLVKDONJSA-N 0 0 290.348 2.975 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)nc1 ZINC000270006384 190584143 /nfs/dbraw/zinc/58/41/43/190584143.db2.gz ZWEIKBXVKMOYBN-IACUBPJLSA-N 0 0 289.335 2.749 20 5 CFBDRN C[C@H]1CCCC[C@H]1OCCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596077942 349789269 /nfs/dbraw/zinc/78/92/69/349789269.db2.gz WXONUHOOPOYVPI-CMPLNLGQSA-N 0 0 295.339 2.703 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596087120 349791376 /nfs/dbraw/zinc/79/13/76/349791376.db2.gz FVULOYFIHQVXAA-IUCAKERBSA-N 0 0 265.313 2.540 20 5 CFBDRN Cc1cc(NC[C@H](CO)Cc2ccco2)c([N+](=O)[O-])s1 ZINC000596071311 349787600 /nfs/dbraw/zinc/78/76/00/349787600.db2.gz KTZCYTMUTNRGOV-SNVBAGLBSA-N 0 0 296.348 2.821 20 5 CFBDRN CCCN(C)c1ccc([N+](=O)[O-])c(C(=O)OC)c1Cl ZINC000596094054 349793051 /nfs/dbraw/zinc/79/30/51/349793051.db2.gz ICHFGGWJIYSWDY-UHFFFAOYSA-N 0 0 286.715 2.881 20 5 CFBDRN O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccoc1 ZINC000072278381 346925072 /nfs/dbraw/zinc/92/50/72/346925072.db2.gz HEGVGOMZGCMIFC-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN CO[C@H]1CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596109513 349796110 /nfs/dbraw/zinc/79/61/10/349796110.db2.gz BSDPMYPNRPISOF-VIFPVBQESA-N 0 0 256.327 2.580 20 5 CFBDRN COC(=O)c1c(Cl)c(N[C@@H]2C[C@H]2C)ccc1[N+](=O)[O-] ZINC000596109608 349796510 /nfs/dbraw/zinc/79/65/10/349796510.db2.gz ZFZRGRQTHBIMII-HTRCEHHLSA-N 0 0 284.699 2.855 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCc1cccnc1 ZINC000083480100 178497270 /nfs/dbraw/zinc/49/72/70/178497270.db2.gz YYICTLXOIKGSOR-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)Cc1cnn(C)c1 ZINC000072557348 346928740 /nfs/dbraw/zinc/92/87/40/346928740.db2.gz LEANGNPJLOYFTJ-LLVKDONJSA-N 0 0 274.324 2.521 20 5 CFBDRN CC[C@H]1CN(c2cc(C)sc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000596121835 349799769 /nfs/dbraw/zinc/79/97/69/349799769.db2.gz YAYPUIBKKKLKMH-SCZZXKLOSA-N 0 0 270.354 2.968 20 5 CFBDRN CCCN(Cc1ccccc1)c1ncc([N+](=O)[O-])cn1 ZINC000273905989 192356767 /nfs/dbraw/zinc/35/67/67/192356767.db2.gz IFAGJYNTIFQWFX-UHFFFAOYSA-N 0 0 272.308 2.801 20 5 CFBDRN CCC[C@@](C)(O)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596121650 349799431 /nfs/dbraw/zinc/79/94/31/349799431.db2.gz GTMLZPAYGPLHIX-LLVKDONJSA-N 0 0 258.343 2.928 20 5 CFBDRN Cc1cc(N2CCN(C3CCC3)CC2)c([N+](=O)[O-])s1 ZINC000596126151 349800392 /nfs/dbraw/zinc/80/03/92/349800392.db2.gz IKNYCBPQJCWDMP-UHFFFAOYSA-N 0 0 281.381 2.639 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])cc1F)[C@@H]1CCSC1 ZINC000270148099 190603798 /nfs/dbraw/zinc/60/37/98/190603798.db2.gz HGSMHVLUMFTWDT-SECBINFHSA-N 0 0 256.302 2.676 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])[C@@H](C)C1 ZINC000596127315 349801428 /nfs/dbraw/zinc/80/14/28/349801428.db2.gz YOOJFLXVLHEQIG-KXUCPTDWSA-N 0 0 265.313 2.932 20 5 CFBDRN CC1=CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1 ZINC000270184908 190610101 /nfs/dbraw/zinc/61/01/01/190610101.db2.gz XLJJZOFKUQCCCI-QPJJXVBHSA-N 0 0 272.304 2.787 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCC(C)(C)CO ZINC000294988689 199286559 /nfs/dbraw/zinc/28/65/59/199286559.db2.gz QAYCDWHTNNSATP-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN COc1cc(NCC(F)F)c(Cl)cc1[N+](=O)[O-] ZINC000596145550 349808089 /nfs/dbraw/zinc/80/80/89/349808089.db2.gz PWHDKQWTSGXBFO-UHFFFAOYSA-N 0 0 266.631 2.934 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2C[C@@H]2Cc2ccccc2)c1[N+](=O)[O-] ZINC000596147084 349808504 /nfs/dbraw/zinc/80/85/04/349808504.db2.gz RYUDEDQWWLPJQA-WCQYABFASA-N 0 0 285.303 2.739 20 5 CFBDRN Cc1cc(NCCCNC(=O)C2CCC2)c([N+](=O)[O-])s1 ZINC000596150726 349809840 /nfs/dbraw/zinc/80/98/40/349809840.db2.gz JZSFMOYLEAENRG-UHFFFAOYSA-N 0 0 297.380 2.683 20 5 CFBDRN CC(C)=CCN1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000072822310 346941774 /nfs/dbraw/zinc/94/17/74/346941774.db2.gz LEEBYDUKTACNGU-UHFFFAOYSA-N 0 0 275.352 2.683 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1cc(C)sc1[N+](=O)[O-] ZINC000596153985 349811019 /nfs/dbraw/zinc/81/10/19/349811019.db2.gz ZHEDODLSTHBNEF-SSDOTTSWSA-N 0 0 272.326 2.576 20 5 CFBDRN O=C(Nc1nc2ccccc2s1)c1cc([N+](=O)[O-])c[nH]1 ZINC000072843853 346943530 /nfs/dbraw/zinc/94/35/30/346943530.db2.gz JKJHCHKTJNKDKB-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN CCC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596164201 349814408 /nfs/dbraw/zinc/81/44/08/349814408.db2.gz XJCZPWJZUJKKLE-LLVKDONJSA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1cc(NCC[C@H](C)[S@@](C)=O)c([N+](=O)[O-])s1 ZINC000596165472 349814809 /nfs/dbraw/zinc/81/48/09/349814809.db2.gz LANRTBVAMXTVMB-WNWIJWBNSA-N 0 0 276.383 2.534 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CC[C@@H](SC)C1 ZINC000295000580 199292506 /nfs/dbraw/zinc/29/25/06/199292506.db2.gz OBXRAEVQQPSUGN-GFCCVEGCSA-N 0 0 282.365 2.683 20 5 CFBDRN C[C@@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000291584432 136405893 /nfs/dbraw/zinc/40/58/93/136405893.db2.gz GPLFMEQZNNWKSD-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN CC(C)(CNc1cc[nH]c(=O)c1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000596162551 349813743 /nfs/dbraw/zinc/81/37/43/349813743.db2.gz MQVXUTYCUGPAQR-LLVKDONJSA-N 0 0 295.339 2.703 20 5 CFBDRN CCOc1cccc(NCC[C@H](C)CCO)c1[N+](=O)[O-] ZINC000295014483 199301107 /nfs/dbraw/zinc/30/11/07/199301107.db2.gz UECAZICIVUJXRX-NSHDSACASA-N 0 0 282.340 2.814 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC12CC2 ZINC000425679230 529730610 /nfs/dbraw/zinc/73/06/10/529730610.db2.gz GKFTWSMXVHCSCS-LBPRGKRZSA-N 0 0 275.308 2.831 20 5 CFBDRN CCc1nc(CNc2ncc([N+](=O)[O-])cc2F)cs1 ZINC000413130794 529741087 /nfs/dbraw/zinc/74/10/87/529741087.db2.gz HGSTUNJCMHEGIL-UHFFFAOYSA-N 0 0 282.300 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC[C@@H]2CCCO2)cc1 ZINC000072883497 346946765 /nfs/dbraw/zinc/94/67/65/346946765.db2.gz DOWILRNRJFZHOK-AWEZNQCLSA-N 0 0 280.324 2.592 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)COc1ccc([N+](=O)[O-])cc1 ZINC000295028422 199307980 /nfs/dbraw/zinc/30/79/80/199307980.db2.gz VHRQPZBTLBQKTD-CQSZACIVSA-N 0 0 293.319 2.892 20 5 CFBDRN CCc1nc(COc2ccc(CC)cc2[N+](=O)[O-])no1 ZINC000115201159 529741331 /nfs/dbraw/zinc/74/13/31/529741331.db2.gz YMHITWBHGMOIEP-UHFFFAOYSA-N 0 0 277.280 2.682 20 5 CFBDRN CN(C[C@H]1CCCC[C@@H]1O)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596188285 349821574 /nfs/dbraw/zinc/82/15/74/349821574.db2.gz VLSRMGKPQPVVAH-SKDRFNHKSA-N 0 0 299.758 2.631 20 5 CFBDRN CO[C@H]1CCCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596190282 349822340 /nfs/dbraw/zinc/82/23/40/349822340.db2.gz QVBDNXAKNKXVEO-VIFPVBQESA-N 0 0 285.731 2.649 20 5 CFBDRN CC[C@]1(CO)CCCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596207763 349826966 /nfs/dbraw/zinc/82/69/66/349826966.db2.gz GKNWPXOTOJZFRP-ZDUSSCGKSA-N 0 0 299.758 2.632 20 5 CFBDRN Cc1cc(NCCOC(F)(F)F)c([N+](=O)[O-])s1 ZINC000596207530 349827054 /nfs/dbraw/zinc/82/70/54/349827054.db2.gz RQUKQWREVUENQT-UHFFFAOYSA-N 0 0 270.232 2.913 20 5 CFBDRN C[C@@H](C1CC1)n1cc(Nc2cc[nH]c(=O)c2[N+](=O)[O-])cn1 ZINC000596201519 349825691 /nfs/dbraw/zinc/82/56/91/349825691.db2.gz ZOKJNGGSPZAMQI-QMMMGPOBSA-N 0 0 289.295 2.607 20 5 CFBDRN CCCc1nccnc1NCc1cccc([N+](=O)[O-])c1C ZINC000596207554 349827090 /nfs/dbraw/zinc/82/70/90/349827090.db2.gz KIRATJGKNHJNPE-UHFFFAOYSA-N 0 0 286.335 2.680 20 5 CFBDRN C[C@@H]1C[C@H](O)CN1c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596205465 349826173 /nfs/dbraw/zinc/82/61/73/349826173.db2.gz IOKCHJCBUZMIAG-APPZFPTMSA-N 0 0 290.241 2.573 20 5 CFBDRN CO[C@@H](C)CNc1c(Br)cccc1[N+](=O)[O-] ZINC000596205025 349826287 /nfs/dbraw/zinc/82/62/87/349826287.db2.gz YBAAZQSIWZHFPX-ZETCQYMHSA-N 0 0 289.129 2.804 20 5 CFBDRN Cc1cc(NCCOCC(F)F)c([N+](=O)[O-])s1 ZINC000596204700 349826305 /nfs/dbraw/zinc/82/63/05/349826305.db2.gz DBQDEVKEMZYMRB-UHFFFAOYSA-N 0 0 266.269 2.658 20 5 CFBDRN Cc1cc(N[C@H]2CCO[C@]3(CCOC3)C2)c([N+](=O)[O-])s1 ZINC000596182871 349819399 /nfs/dbraw/zinc/81/93/99/349819399.db2.gz SBCBRQRVGRGYSS-GXFFZTMASA-N 0 0 298.364 2.715 20 5 CFBDRN CN(CC1CCOCC1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596180633 349819405 /nfs/dbraw/zinc/81/94/05/349819405.db2.gz RQTHPTOAVUVKRJ-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1cc(CO)cc(N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000596184026 349820005 /nfs/dbraw/zinc/82/00/05/349820005.db2.gz DGNLCXWRGXUZNJ-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN Cc1cc(N2CC(C3CCOCC3)C2)c([N+](=O)[O-])s1 ZINC000596225945 349833405 /nfs/dbraw/zinc/83/34/05/349833405.db2.gz HHYTWHYUVPQTQQ-UHFFFAOYSA-N 0 0 282.365 2.828 20 5 CFBDRN Cc1cc(NCC2=CCCOC2)c([N+](=O)[O-])s1 ZINC000596234783 349834686 /nfs/dbraw/zinc/83/46/86/349834686.db2.gz CLQWNKIASLFJOH-UHFFFAOYSA-N 0 0 254.311 2.723 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@H]2CCO)c([N+](=O)[O-])s1 ZINC000596207127 349827139 /nfs/dbraw/zinc/82/71/39/349827139.db2.gz BOLXPGQEIRBZAV-VHSXEESVSA-N 0 0 270.354 2.928 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596216061 349829668 /nfs/dbraw/zinc/82/96/68/349829668.db2.gz CDTCDSORZORJAD-NXEZZACHSA-N 0 0 277.324 2.542 20 5 CFBDRN CC1(F)CN(c2c(Br)cccc2[N+](=O)[O-])C1 ZINC000596220823 349831206 /nfs/dbraw/zinc/83/12/06/349831206.db2.gz CBYSZMQXBQQNHU-UHFFFAOYSA-N 0 0 289.104 2.906 20 5 CFBDRN C[C@@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596221564 349832067 /nfs/dbraw/zinc/83/20/67/349832067.db2.gz YXIYIPUELNMEIY-NXEZZACHSA-N 0 0 277.324 2.542 20 5 CFBDRN CCO[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@H]1C ZINC000596255184 349842753 /nfs/dbraw/zinc/84/27/53/349842753.db2.gz PKHOVTGBAPEHHT-BXKDBHETSA-N 0 0 299.758 2.895 20 5 CFBDRN CC(C)Oc1nc(N2CC[C@@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000596255716 349843030 /nfs/dbraw/zinc/84/30/30/349843030.db2.gz RCZVZTSVVCEFSE-MRVPVSSYSA-N 0 0 287.266 2.621 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3cc(C)sc3[N+](=O)[O-])C2)c1 ZINC000596236006 349835646 /nfs/dbraw/zinc/83/56/46/349835646.db2.gz HZKLWRTUGIHWEU-LLVKDONJSA-N 0 0 292.364 2.921 20 5 CFBDRN CC[C@H](O)CNc1c(Br)cccc1[N+](=O)[O-] ZINC000596240686 349836928 /nfs/dbraw/zinc/83/69/28/349836928.db2.gz DUSMAIGLWGNUOF-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN C[C@@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])[C@@H]1C ZINC000596245800 349838873 /nfs/dbraw/zinc/83/88/73/349838873.db2.gz ALPYVCPLBLSVRR-NXEZZACHSA-N 0 0 257.293 2.988 20 5 CFBDRN Cc1noc(C)c1CSCc1onc(C)c1[N+](=O)[O-] ZINC000596249426 349840156 /nfs/dbraw/zinc/84/01/56/349840156.db2.gz POHGPPCGVRYOLN-UHFFFAOYSA-N 0 0 283.309 2.929 20 5 CFBDRN CCn1ccnc1CNc1cc(C)sc1[N+](=O)[O-] ZINC000596251105 349840375 /nfs/dbraw/zinc/84/03/75/349840375.db2.gz NKMILUMPKVNGIF-UHFFFAOYSA-N 0 0 266.326 2.793 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H](c2ccncc2)C1 ZINC000596254030 349841985 /nfs/dbraw/zinc/84/19/85/349841985.db2.gz KWBYGGVJPBVKEL-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@H]1C(F)F ZINC000596255005 349842137 /nfs/dbraw/zinc/84/21/37/349842137.db2.gz IEYLRJRXIZVIPR-JTQLQIEISA-N 0 0 279.246 2.987 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])c(C(N)=O)c1)C1CCC1 ZINC000596254708 349842142 /nfs/dbraw/zinc/84/21/42/349842142.db2.gz MOLBHWFDFNALCM-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN CC(C)(CNc1cc[nH]c(=O)c1[N+](=O)[O-])C1CCC1 ZINC000596253793 349842169 /nfs/dbraw/zinc/84/21/69/349842169.db2.gz DXBPHYZJVKOAHN-UHFFFAOYSA-N 0 0 265.313 2.934 20 5 CFBDRN CC(C)(C)[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C(F)(F)F ZINC000073136288 346962397 /nfs/dbraw/zinc/96/23/97/346962397.db2.gz OTACAXKBKOIURZ-VIFPVBQESA-N 0 0 293.245 2.630 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000596272282 349849522 /nfs/dbraw/zinc/84/95/22/349849522.db2.gz WLGDIYIMFVKDCC-KHQFGBGNSA-N 0 0 267.716 2.666 20 5 CFBDRN C[C@@]1(O)C[C@H](Nc2ccc(C(F)(F)F)c([N+](=O)[O-])c2)C1 ZINC000596274135 349849835 /nfs/dbraw/zinc/84/98/35/349849835.db2.gz AHGIVRVVGOKOHD-DKTWLAJQSA-N 0 0 290.241 2.939 20 5 CFBDRN Cc1cc(N2C[C@@H]3CC[C@H](O)C[C@@H]3C2)c([N+](=O)[O-])s1 ZINC000596272644 349849968 /nfs/dbraw/zinc/84/99/68/349849968.db2.gz BJWLCTLCXJJPLV-AXFHLTTASA-N 0 0 282.365 2.562 20 5 CFBDRN Cc1cc(N[C@H]2CCC[C@H](CO)C2)c([N+](=O)[O-])s1 ZINC000596279487 349851758 /nfs/dbraw/zinc/85/17/58/349851758.db2.gz QVTQZVPYPSTYBY-UWVGGRQHSA-N 0 0 270.354 2.928 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CCO)CC2)c([N+](=O)[O-])s1 ZINC000596277457 349850881 /nfs/dbraw/zinc/85/08/81/349850881.db2.gz GCWKAHHRQLMZGZ-LLVKDONJSA-N 0 0 284.381 2.954 20 5 CFBDRN CCOC1(C)CN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596258760 349845008 /nfs/dbraw/zinc/84/50/08/349845008.db2.gz PDEXASHHXZDCCS-UHFFFAOYSA-N 0 0 256.327 2.580 20 5 CFBDRN Cc1cc(N[C@@H]2CC23CCOCC3)c([N+](=O)[O-])s1 ZINC000596259570 349845021 /nfs/dbraw/zinc/84/50/21/349845021.db2.gz WQNCEZIZKKQUJF-SNVBAGLBSA-N 0 0 268.338 2.946 20 5 CFBDRN COC[C@H](COc1ccc([N+](=O)[O-])c2ccccc12)OC ZINC000596260922 349845729 /nfs/dbraw/zinc/84/57/29/349845729.db2.gz QARZYMWLCUDBAC-LLVKDONJSA-N 0 0 291.303 2.788 20 5 CFBDRN Cc1n[nH]c(C)c1CCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000596261261 349845779 /nfs/dbraw/zinc/84/57/79/349845779.db2.gz PBYUEGGOBRYPID-UHFFFAOYSA-N 0 0 289.339 2.601 20 5 CFBDRN CCC(C)(C)CC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000073084610 346960411 /nfs/dbraw/zinc/96/04/11/346960411.db2.gz OZJZWIYWVNOXHF-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN COc1cccnc1CNc1cc(C)sc1[N+](=O)[O-] ZINC000596262444 349846597 /nfs/dbraw/zinc/84/65/97/349846597.db2.gz VNTXLZRPDKSILQ-UHFFFAOYSA-N 0 0 279.321 2.980 20 5 CFBDRN Cc1cc(NC[C@@H]2CC[C@H](C)O2)c([N+](=O)[O-])s1 ZINC000596263665 349847045 /nfs/dbraw/zinc/84/70/45/349847045.db2.gz DWCVOMFRDJFBIL-CBAPKCEASA-N 0 0 256.327 2.944 20 5 CFBDRN Cc1csc(NCCNc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000596266406 349847948 /nfs/dbraw/zinc/84/79/48/349847948.db2.gz QZAQFJSGSGYTGM-UHFFFAOYSA-N 0 0 292.364 2.614 20 5 CFBDRN COC1CC(CCNc2ccc([N+](=O)[O-])cc2)C1 ZINC000596310451 349860075 /nfs/dbraw/zinc/86/00/75/349860075.db2.gz GCVNEPNJECKICG-UHFFFAOYSA-N 0 0 250.298 2.822 20 5 CFBDRN CC1(C)C[C@@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)CO1 ZINC000596310725 349860157 /nfs/dbraw/zinc/86/01/57/349860157.db2.gz KBXDEWHWMJLTFW-LLVKDONJSA-N 0 0 291.351 2.848 20 5 CFBDRN COC1CC(CCNc2c3c(ccc2[N+](=O)[O-])NCC3)C1 ZINC000596309527 349860273 /nfs/dbraw/zinc/86/02/73/349860273.db2.gz TVTSGVPTFYHWFD-UHFFFAOYSA-N 0 0 291.351 2.790 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2C[C@@H]2c2ccccc2)c1[N+](=O)[O-] ZINC000596286930 349853748 /nfs/dbraw/zinc/85/37/48/349853748.db2.gz PRVHOJOOKAZEQA-VXGBXAGGSA-N 0 0 285.303 2.911 20 5 CFBDRN CC(C)(O)CCSc1c(Cl)cncc1[N+](=O)[O-] ZINC000596290742 349854289 /nfs/dbraw/zinc/85/42/89/349854289.db2.gz DRCNVSXTIWEBQA-UHFFFAOYSA-N 0 0 276.745 2.896 20 5 CFBDRN COc1cc(N[C@H]2CO[C@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000596291896 349854631 /nfs/dbraw/zinc/85/46/31/349854631.db2.gz LYQQBTJWPODUDB-HTQZYQBOSA-N 0 0 286.715 2.846 20 5 CFBDRN Nc1c(F)c(N2C[C@@H]3CCCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000596294208 349854832 /nfs/dbraw/zinc/85/48/32/349854832.db2.gz GXEDIEUUCXYDPA-IUCAKERBSA-N 0 0 265.288 2.695 20 5 CFBDRN C[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000596293742 349854866 /nfs/dbraw/zinc/85/48/66/349854866.db2.gz WZTPOEBMNIABRL-SECBINFHSA-N 0 0 297.742 2.791 20 5 CFBDRN Cc1c(Cn2cnc(C(C)C)n2)cccc1[N+](=O)[O-] ZINC000596301554 349857453 /nfs/dbraw/zinc/85/74/53/349857453.db2.gz KHDRUVNFYLXNRH-UHFFFAOYSA-N 0 0 260.297 2.666 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000073325484 346969671 /nfs/dbraw/zinc/96/96/71/346969671.db2.gz BTEMNTCYDBCJAD-YPMHNXCESA-N 0 0 276.336 2.784 20 5 CFBDRN CS[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000295079754 199334382 /nfs/dbraw/zinc/33/43/82/199334382.db2.gz QDMRBBSDKPWFMA-VIFPVBQESA-N 0 0 258.368 2.594 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@]23C[C@@H]2CCC3)s1 ZINC000596315355 349861619 /nfs/dbraw/zinc/86/16/19/349861619.db2.gz BTLHCRHYLYYGQQ-MADCSZMMSA-N 0 0 276.321 2.954 20 5 CFBDRN CC[C@H](COCC1CC1)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000596327888 349865159 /nfs/dbraw/zinc/86/51/59/349865159.db2.gz WONYCEADJJBUHY-GFCCVEGCSA-N 0 0 279.340 2.915 20 5 CFBDRN C[C@]1(C2CC2)CN(c2ccccc2[N+](=O)[O-])CCO1 ZINC000596334070 349867760 /nfs/dbraw/zinc/86/77/60/349867760.db2.gz NWFDKBCEXJTMEI-CQSZACIVSA-N 0 0 262.309 2.600 20 5 CFBDRN C[C@]1(C2CC2)CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000596335084 349868328 /nfs/dbraw/zinc/86/83/28/349868328.db2.gz OJEYSCRXLNWZGQ-CQSZACIVSA-N 0 0 280.299 2.739 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c(F)cc([N+](=O)[O-])cc2F)c2ncnn21 ZINC000596342899 349870991 /nfs/dbraw/zinc/87/09/91/349870991.db2.gz IDUGGBGCYCQPFN-LHLIQPBNSA-N 0 0 295.249 2.582 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H](C)n3ncnc32)ccc1[N+](=O)[O-] ZINC000596345117 349871777 /nfs/dbraw/zinc/87/17/77/349871777.db2.gz ZNQVQOKLVAXAKT-KOLCDFICSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@H](C)n3ncnc32)c1 ZINC000596346149 349871820 /nfs/dbraw/zinc/87/18/20/349871820.db2.gz PCTKRGRAMGDPSJ-ONGXEEELSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1noc(CSC[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000596348337 349873203 /nfs/dbraw/zinc/87/32/03/349873203.db2.gz COLLATWMBYUHTQ-SECBINFHSA-N 0 0 272.326 2.551 20 5 CFBDRN CO[C@@H]1CCC[C@H]([C@H](CO)Nc2ccccc2[N+](=O)[O-])C1 ZINC000596367709 349880077 /nfs/dbraw/zinc/88/00/77/349880077.db2.gz SVUCQNQJDDCQTG-SCRDCRAPSA-N 0 0 294.351 2.573 20 5 CFBDRN COC(C)(C)CCSCc1onc(C)c1[N+](=O)[O-] ZINC000596356797 349875728 /nfs/dbraw/zinc/87/57/28/349875728.db2.gz KNVKCQRVJZGQMI-UHFFFAOYSA-N 0 0 274.342 2.940 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCc1cccnc1N1CCCC1 ZINC000596358139 349875784 /nfs/dbraw/zinc/87/57/84/349875784.db2.gz UTGWTSCGTZFEKW-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN COCCCCSCc1onc(C)c1[N+](=O)[O-] ZINC000596359334 349876484 /nfs/dbraw/zinc/87/64/84/349876484.db2.gz AOIOQEDHGZUMMH-UHFFFAOYSA-N 0 0 260.315 2.551 20 5 CFBDRN CCC(O)(CC)C(C)(C)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000596362520 349877679 /nfs/dbraw/zinc/87/76/79/349877679.db2.gz KBRONUGXIVSAIL-UHFFFAOYSA-N 0 0 295.383 2.709 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1CCCS1 ZINC000074242437 347004370 /nfs/dbraw/zinc/00/43/70/347004370.db2.gz ROVBTJPXUULWTQ-ZANVPECISA-N 0 0 292.360 2.768 20 5 CFBDRN C[C@@H](C(=O)N(C)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000074238275 347004517 /nfs/dbraw/zinc/00/45/17/347004517.db2.gz BSBCZGIHSUBJSL-ZETCQYMHSA-N 0 0 276.214 2.756 20 5 CFBDRN CNc1ccc(-c2nc(C3CC3)no2)cc1[N+](=O)[O-] ZINC000074123992 346998206 /nfs/dbraw/zinc/99/82/06/346998206.db2.gz STJXMHKCFDHVAA-UHFFFAOYSA-N 0 0 260.253 2.564 20 5 CFBDRN CC[C@@H](C)CCNc1c([N+](=O)[O-])c(C)nn1CC ZINC000596415812 349896202 /nfs/dbraw/zinc/89/62/02/349896202.db2.gz MYXJWSPRMLHTMJ-SECBINFHSA-N 0 0 254.334 2.968 20 5 CFBDRN CC[C@H](C)CCNc1ncc(C(=O)OC)cc1[N+](=O)[O-] ZINC000596416208 349896667 /nfs/dbraw/zinc/89/66/67/349896667.db2.gz QOZMWCDMHPOPAG-VIFPVBQESA-N 0 0 281.312 2.625 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NC/C=C\CO ZINC000596421997 349898730 /nfs/dbraw/zinc/89/87/30/349898730.db2.gz NOTULPWHAFKAJM-UPHRSURJSA-N 0 0 276.214 2.574 20 5 CFBDRN Cc1cc(N[C@@H](C)c2cscn2)ncc1[N+](=O)[O-] ZINC000596431553 349901149 /nfs/dbraw/zinc/90/11/49/349901149.db2.gz MCJVFFUXDIVLOC-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000074751012 347030326 /nfs/dbraw/zinc/03/03/26/347030326.db2.gz ZWFPAXUTLMRUMO-GWCFXTLKSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cc(NCc2cccc(N(C)C)c2)ncc1[N+](=O)[O-] ZINC000074745177 347030472 /nfs/dbraw/zinc/03/04/72/347030472.db2.gz ZIRXGCGJKUIGRU-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN Cc1cc(N[C@@H](C)COCC(F)(F)F)ncc1[N+](=O)[O-] ZINC000074743881 347030573 /nfs/dbraw/zinc/03/05/73/347030573.db2.gz PLEDFUILDCRRCY-QMMMGPOBSA-N 0 0 293.245 2.678 20 5 CFBDRN Cc1cc(N2CCc3ccccc3CC2)ncc1[N+](=O)[O-] ZINC000074763923 347031166 /nfs/dbraw/zinc/03/11/66/347031166.db2.gz QXYKBDVDPDASQF-UHFFFAOYSA-N 0 0 283.331 2.903 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CC2CCCCC2)c1=O ZINC000127220963 187289936 /nfs/dbraw/zinc/28/99/36/187289936.db2.gz FNIWPKQOSPFSIK-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSc2cnccn2)cc1 ZINC000074789737 347035022 /nfs/dbraw/zinc/03/50/22/347035022.db2.gz RUBGAJPSKRACTB-UHFFFAOYSA-N 0 0 261.306 2.720 20 5 CFBDRN CO[C@@H](CNC(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000074938018 347044305 /nfs/dbraw/zinc/04/43/05/347044305.db2.gz GSZUWLJRBFEURF-ZDUSSCGKSA-N 0 0 295.339 2.696 20 5 CFBDRN CN(CC1=CCCOC1)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000596466186 349909244 /nfs/dbraw/zinc/90/92/44/349909244.db2.gz TYUANJYMKFZWTB-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000290654667 197778478 /nfs/dbraw/zinc/77/84/78/197778478.db2.gz GTZYWSNAXPRCFR-NSHDSACASA-N 0 0 292.726 2.896 20 5 CFBDRN CC(C)(F)CCNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-] ZINC000596469196 349909895 /nfs/dbraw/zinc/90/98/95/349909895.db2.gz VKZWNZAMAOOXKT-UHFFFAOYSA-N 0 0 294.286 2.794 20 5 CFBDRN CO[C@]1(C)C[C@@H](Nc2ncc([N+](=O)[O-])cc2C)C1(C)C ZINC000075064455 347052931 /nfs/dbraw/zinc/05/29/31/347052931.db2.gz BRNYDBREUJRCAT-BXUZGUMPSA-N 0 0 279.340 2.914 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])cc1C)C(C)(C)C ZINC000075063091 347052943 /nfs/dbraw/zinc/05/29/43/347052943.db2.gz NRQUWEWSYFCBHL-LLVKDONJSA-N 0 0 267.329 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(C)(C)C[C@H](C)O)n1 ZINC000127241038 187291091 /nfs/dbraw/zinc/29/10/91/187291091.db2.gz VZPMAGPPLWKHFG-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1nc(NC[C@@H]2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000596473472 349911386 /nfs/dbraw/zinc/91/13/86/349911386.db2.gz HWNCKQUDNCYBRM-WOPDTQHZSA-N 0 0 261.325 2.568 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCC[C@H](C)O1 ZINC000596475115 349912334 /nfs/dbraw/zinc/91/23/34/349912334.db2.gz QYVRSHKLKQVSPY-WDEREUQCSA-N 0 0 280.324 2.973 20 5 CFBDRN CN(Cc1cccc2[nH]ccc21)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000427165547 529996185 /nfs/dbraw/zinc/99/61/85/529996185.db2.gz UPAIYZYQKHETSR-UHFFFAOYSA-N 0 0 298.302 2.676 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC[C@]1(C)CC1(F)F ZINC000596477262 349913195 /nfs/dbraw/zinc/91/31/95/349913195.db2.gz ILRZMSZWUYLIPS-LLVKDONJSA-N 0 0 288.298 2.967 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])c(N)c2F)CC1(F)F ZINC000596476478 349913268 /nfs/dbraw/zinc/91/32/68/349913268.db2.gz JGLFDKUENNQYQO-JTQLQIEISA-N 0 0 275.230 2.773 20 5 CFBDRN Cc1ccnc(NC[C@]2(C)CC2(F)F)c1[N+](=O)[O-] ZINC000596476446 349913346 /nfs/dbraw/zinc/91/33/46/349913346.db2.gz IOAJEMVSEXJXTH-JTQLQIEISA-N 0 0 257.240 2.755 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@H](CCF)C1 ZINC000596478914 349914038 /nfs/dbraw/zinc/91/40/38/349914038.db2.gz PZPWOENDZZUDTF-LLVKDONJSA-N 0 0 267.304 2.874 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1c3ccccc3ncc1[N+](=O)[O-])C2 ZINC000596479123 349914405 /nfs/dbraw/zinc/91/44/05/349914405.db2.gz JNEJAWMKMGLDKV-MEDUHNTESA-N 0 0 299.330 2.616 20 5 CFBDRN O=C(NC1CCC2(CC2(F)F)CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000596479408 349914463 /nfs/dbraw/zinc/91/44/63/349914463.db2.gz STFFFUBVVJRPNY-UHFFFAOYSA-N 0 0 299.277 2.621 20 5 CFBDRN Cc1cc(N2C[C@@H]3COC[C@@]3(C)C2)c(F)cc1[N+](=O)[O-] ZINC000596480285 349914623 /nfs/dbraw/zinc/91/46/23/349914623.db2.gz MFDYIMINUASBTI-QMTHXVAHSA-N 0 0 280.299 2.515 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@H](CCF)C1 ZINC000596481634 349915184 /nfs/dbraw/zinc/91/51/84/349915184.db2.gz ZECAJBDTCWIYRL-LLVKDONJSA-N 0 0 284.335 2.696 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H](CCF)C1 ZINC000596479481 349914702 /nfs/dbraw/zinc/91/47/02/349914702.db2.gz TXMJJXKFMNBGBD-JTQLQIEISA-N 0 0 253.277 2.566 20 5 CFBDRN C[C@@]12COC[C@H]1CN(c1ccc3cc([N+](=O)[O-])ccc3n1)C2 ZINC000596481529 349915098 /nfs/dbraw/zinc/91/50/98/349915098.db2.gz BSSDUSGQQLPWCC-MLGOLLRUSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1ccnc3cc([N+](=O)[O-])ccc31)C2 ZINC000596480880 349915269 /nfs/dbraw/zinc/91/52/69/349915269.db2.gz RIRKTGCEUYUUBY-ZBEGNZNMSA-N 0 0 299.330 2.616 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)C1CC1 ZINC000596485462 349916425 /nfs/dbraw/zinc/91/64/25/349916425.db2.gz JFCBHRZPJSWVCA-NSHDSACASA-N 0 0 298.364 2.599 20 5 CFBDRN C[C@H]1CCC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000075438134 347077160 /nfs/dbraw/zinc/07/71/60/347077160.db2.gz MFCRNMYLUTXDDD-UWVGGRQHSA-N 0 0 265.313 2.574 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](CO)CC1CC1 ZINC000596492662 349918788 /nfs/dbraw/zinc/91/87/88/349918788.db2.gz LVVXQNZBTAHEGF-GFCCVEGCSA-N 0 0 264.325 2.724 20 5 CFBDRN Cc1cc(NC[C@H](CO)CC2CC2)c([N+](=O)[O-])s1 ZINC000596493076 349919127 /nfs/dbraw/zinc/91/91/27/349919127.db2.gz SUIQMVNAXQJVEP-SNVBAGLBSA-N 0 0 270.354 2.785 20 5 CFBDRN Cc1cc(CNc2c([N+](=O)[O-])ncn2C)ccc1Cl ZINC000273214832 192077388 /nfs/dbraw/zinc/07/73/88/192077388.db2.gz HDMIDAODNYCQJQ-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000596496269 349919807 /nfs/dbraw/zinc/91/98/07/349919807.db2.gz QDCJZPLWKRHAQD-GHMZBOCLSA-N 0 0 289.339 2.652 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H](C)O[C@@H](C)C1 ZINC000596493817 349919660 /nfs/dbraw/zinc/91/96/60/349919660.db2.gz LRSIUJKNEHBZPE-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCC(O)(C1CC1)C1CC1 ZINC000596493318 349919710 /nfs/dbraw/zinc/91/97/10/349919710.db2.gz NSFTUFDZEKIJKV-UHFFFAOYSA-N 0 0 280.299 2.697 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC([C@H]3CCCCO3)CC2)s1 ZINC000596496530 349920411 /nfs/dbraw/zinc/92/04/11/349920411.db2.gz GCXBSRSGSGGISJ-LLVKDONJSA-N 0 0 297.380 2.837 20 5 CFBDRN Cc1ccc(N[C@@H]2C[C@@H](O)C23CCC3)c([N+](=O)[O-])c1 ZINC000596500552 349921930 /nfs/dbraw/zinc/92/19/30/349921930.db2.gz CIGMSMXHMCKBGI-CHWSQXEVSA-N 0 0 262.309 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]([C@@H]3CCCCO3)C2)nc1 ZINC000596501100 349921978 /nfs/dbraw/zinc/92/19/78/349921978.db2.gz BGVQKLCIDKAWJK-OCCSQVGLSA-N 0 0 291.351 2.775 20 5 CFBDRN CCOC(=O)c1cc(N2CCC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000127357275 187299121 /nfs/dbraw/zinc/29/91/21/187299121.db2.gz UWTZXFHUEWIPKY-JTQLQIEISA-N 0 0 278.308 2.760 20 5 CFBDRN C[C@@H]1[C@H](C(F)(F)F)OCCN1c1ccc([N+](=O)[O-])s1 ZINC000596505274 349923243 /nfs/dbraw/zinc/92/32/43/349923243.db2.gz XGKYAQPQOVPGBC-HZGVNTEJSA-N 0 0 296.270 2.812 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2C[C@H](O)C23CCC3)c([N+](=O)[O-])c1 ZINC000596510124 349924868 /nfs/dbraw/zinc/92/48/68/349924868.db2.gz ODWQGRKLPGANTA-KBPBESRZSA-N 0 0 290.319 2.513 20 5 CFBDRN CC1(C)[C@@H](CNc2c(Cl)cncc2[N+](=O)[O-])C1(F)F ZINC000596512429 349926011 /nfs/dbraw/zinc/92/60/11/349926011.db2.gz DZLVVOFMAUXLTI-MRVPVSSYSA-N 0 0 291.685 2.768 20 5 CFBDRN CC1(C)[C@H](CNc2c(Cl)cncc2[N+](=O)[O-])C1(F)F ZINC000596512430 349926046 /nfs/dbraw/zinc/92/60/46/349926046.db2.gz DZLVVOFMAUXLTI-QMMMGPOBSA-N 0 0 291.685 2.768 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2C[C@@H](O)C23CCC3)c1 ZINC000596513854 349926810 /nfs/dbraw/zinc/92/68/10/349926810.db2.gz WOIMNJRBDMSWKF-QWHCGFSZSA-N 0 0 262.309 2.619 20 5 CFBDRN Cc1nc(N[C@H]2CCO[C@@H](CC(C)C)C2)ncc1[N+](=O)[O-] ZINC000295104875 199347508 /nfs/dbraw/zinc/34/75/08/199347508.db2.gz UPRUYQMJESZLGO-RYUDHWBXSA-N 0 0 294.355 2.699 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2CCC(O)CC2)n1 ZINC000596515411 349927213 /nfs/dbraw/zinc/92/72/13/349927213.db2.gz QFUXNKYIAKNFNN-UHFFFAOYSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1sc(C(=O)NCc2ncccc2C)cc1[N+](=O)[O-] ZINC000104564868 232187264 /nfs/dbraw/zinc/18/72/64/232187264.db2.gz FWWCKIRSBYHCIW-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@]2(C)CC2(C)C)c1[N+](=O)[O-] ZINC000596524411 349929700 /nfs/dbraw/zinc/92/97/00/349929700.db2.gz XIVQWMKPPFOLON-CQSZACIVSA-N 0 0 293.323 2.767 20 5 CFBDRN C[C@@H](O)C1(Nc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000596524378 349929733 /nfs/dbraw/zinc/92/97/33/349929733.db2.gz WSUDWQDKOUYOLK-SSDOTTSWSA-N 0 0 256.689 2.574 20 5 CFBDRN CC(C)[C@@H](Nc1cc[nH]c(=O)c1[N+](=O)[O-])C1CC1 ZINC000596522315 349929266 /nfs/dbraw/zinc/92/92/66/349929266.db2.gz PDPPDZPUELABAQ-SNVBAGLBSA-N 0 0 251.286 2.542 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@@H]2COC[C@@H]2C1 ZINC000596528163 349931237 /nfs/dbraw/zinc/93/12/37/349931237.db2.gz MEHBYFDVODIFLV-ZJUUUORDSA-N 0 0 282.727 2.721 20 5 CFBDRN O=C(c1ncoc1C1CC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000295115234 199353506 /nfs/dbraw/zinc/35/35/06/199353506.db2.gz XUUWKVPDKRANKD-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@]1(C)CCOC1 ZINC000120153592 232197365 /nfs/dbraw/zinc/19/73/65/232197365.db2.gz QHURBOPUFLVHKI-CQSZACIVSA-N 0 0 293.323 2.512 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@H]2COC[C@@H]2C1 ZINC000596529964 349932030 /nfs/dbraw/zinc/93/20/30/349932030.db2.gz RZGXZQDABIPIHN-STQMWFEESA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1cnc(NCCCc2ccco2)c([N+](=O)[O-])c1 ZINC000596534218 349934172 /nfs/dbraw/zinc/93/41/72/349934172.db2.gz ZGBQHRJGLADVNR-UHFFFAOYSA-N 0 0 261.281 2.936 20 5 CFBDRN C[C@H]1CCC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000152776142 232219095 /nfs/dbraw/zinc/21/90/95/232219095.db2.gz QTTXBCZYXMDGPK-TVQRCGJNSA-N 0 0 280.299 2.581 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000596541018 349935658 /nfs/dbraw/zinc/93/56/58/349935658.db2.gz WKUKYCGCHBMJDX-SCZZXKLOSA-N 0 0 291.229 2.598 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])c(C)n1)C1CCCCC1 ZINC000596540119 349935906 /nfs/dbraw/zinc/93/59/06/349935906.db2.gz JRNFTUOCPTWZHU-AWEZNQCLSA-N 0 0 293.367 2.727 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCOC[C@@H]2CC(C)C)n1 ZINC000596541249 349935930 /nfs/dbraw/zinc/93/59/30/349935930.db2.gz DOCRIMHRULFOIO-ZDUSSCGKSA-N 0 0 293.367 2.858 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)c2nccn2C)c([N+](=O)[O-])c1 ZINC000596544812 349937447 /nfs/dbraw/zinc/93/74/47/349937447.db2.gz UCCVBMCIQSCLNC-VIFPVBQESA-N 0 0 288.307 2.704 20 5 CFBDRN NC(=O)CC1(CNc2ccccc2[N+](=O)[O-])CCCCC1 ZINC000596545587 349937884 /nfs/dbraw/zinc/93/78/84/349937884.db2.gz UPYDYZBVWRYJRG-UHFFFAOYSA-N 0 0 291.351 2.833 20 5 CFBDRN C[C@H](c1cccc(O)c1)N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000155722651 232231623 /nfs/dbraw/zinc/23/16/23/232231623.db2.gz YRSJMVTWSSLKNI-SECBINFHSA-N 0 0 290.275 2.727 20 5 CFBDRN C[C@@H](c1ccccc1)[C@@H](O)CNc1ccc([N+](=O)[O-])nc1 ZINC000596547966 349938723 /nfs/dbraw/zinc/93/87/23/349938723.db2.gz OFMMAOCCWPWQPN-FZMZJTMJSA-N 0 0 287.319 2.566 20 5 CFBDRN Cc1cnc(N(C)C[C@@H](C)c2nccs2)c([N+](=O)[O-])c1 ZINC000273262006 192092017 /nfs/dbraw/zinc/09/20/17/192092017.db2.gz ZIUZYMFIOYCVBC-SNVBAGLBSA-N 0 0 292.364 2.995 20 5 CFBDRN C[C@H](CO)SCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000160701344 232249619 /nfs/dbraw/zinc/24/96/19/232249619.db2.gz RCBFDZICWJHPOG-SSDOTTSWSA-N 0 0 261.730 2.862 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nccs2)c(F)c1 ZINC000161264653 232251010 /nfs/dbraw/zinc/25/10/10/232251010.db2.gz TXFUDBLNBDFEPO-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN C[C@H](NC(=O)[C@@H](C)c1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000174063239 232287741 /nfs/dbraw/zinc/28/77/41/232287741.db2.gz VOVVSHLTSODCHG-RYUDHWBXSA-N 0 0 299.330 2.971 20 5 CFBDRN O=C(N[C@@H]1CCC[C@H](F)C1)c1csc([N+](=O)[O-])c1 ZINC000335419239 295740553 /nfs/dbraw/zinc/74/05/53/295740553.db2.gz PZJHJAPVVDGEQE-DTWKUNHWSA-N 0 0 272.301 2.667 20 5 CFBDRN Cc1nc(NC(=O)[C@@H](C)OCCC(C)C)ccc1[N+](=O)[O-] ZINC000175675705 232316623 /nfs/dbraw/zinc/31/66/23/232316623.db2.gz JVQQEQZZSOSSDE-LLVKDONJSA-N 0 0 295.339 2.688 20 5 CFBDRN C[C@H]1CCC[C@@H](CNC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000176151838 232327075 /nfs/dbraw/zinc/32/70/75/232327075.db2.gz OLDPYOYLHRTREC-WDEREUQCSA-N 0 0 291.351 2.733 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H]1C=CCCC1 ZINC000177317359 232356511 /nfs/dbraw/zinc/35/65/11/232356511.db2.gz UVGNAYFBZLPWED-LBPRGKRZSA-N 0 0 275.308 2.503 20 5 CFBDRN C[C@H](SCc1cnn(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000290760054 197808955 /nfs/dbraw/zinc/80/89/55/197808955.db2.gz KACDYGZOCWXUQM-VIFPVBQESA-N 0 0 278.337 2.718 20 5 CFBDRN Cc1nnc(CN(C)c2cccc(C)c2[N+](=O)[O-])s1 ZINC000596557881 349941815 /nfs/dbraw/zinc/94/18/15/349941815.db2.gz WVQMURNICOLSBW-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1nc(N[C@@H](c2ccccc2)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000596563978 349942236 /nfs/dbraw/zinc/94/22/36/349942236.db2.gz AGWYXHRACIBYFD-XHDPSFHLSA-N 0 0 287.319 2.832 20 5 CFBDRN Cc1csc(=O)n1CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000010346886 346227706 /nfs/dbraw/zinc/22/77/06/346227706.db2.gz MDKAGHDTWTWFSZ-UHFFFAOYSA-N 0 0 294.332 2.514 20 5 CFBDRN Cc1c(C(=O)n2cccc/c2=N\C(C)C)cccc1[N+](=O)[O-] ZINC000010798402 346228464 /nfs/dbraw/zinc/22/84/64/346228464.db2.gz XNSGVUIHVVPDBU-BMRADRMJSA-N 0 0 299.330 2.702 20 5 CFBDRN Cc1cccc(OCCN(C)c2ncccc2[N+](=O)[O-])c1 ZINC000015142701 346234728 /nfs/dbraw/zinc/23/47/28/346234728.db2.gz WCIBFFQEUJNZJJ-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC[C@@H](C)C1 ZINC000007787640 346219025 /nfs/dbraw/zinc/21/90/25/346219025.db2.gz RQNOSAYGQTXAQW-LLVKDONJSA-N 0 0 291.351 2.574 20 5 CFBDRN CON(C)c1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] ZINC000007979016 346221368 /nfs/dbraw/zinc/22/13/68/346221368.db2.gz CHTLGLAJZVSFSK-UHFFFAOYSA-N 0 0 286.287 2.823 20 5 CFBDRN CCn1nccc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000596570777 349944743 /nfs/dbraw/zinc/94/47/43/349944743.db2.gz CJRIHVYVMDQSPD-UHFFFAOYSA-N 0 0 282.250 2.702 20 5 CFBDRN Cc1ccc(SCCNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000008317811 346223263 /nfs/dbraw/zinc/22/32/63/346223263.db2.gz NDNXFOLZKCLOOR-UHFFFAOYSA-N 0 0 292.364 2.841 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)c1ccc(F)cc1F ZINC000008337936 346224051 /nfs/dbraw/zinc/22/40/51/346224051.db2.gz PXQDNFREFFYQPK-ZETCQYMHSA-N 0 0 282.250 2.780 20 5 CFBDRN CC(C)(C)CC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000009890552 346225621 /nfs/dbraw/zinc/22/56/21/346225621.db2.gz NDZCHEHROWJEIL-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]c2=O)cc1C ZINC000016493537 346238420 /nfs/dbraw/zinc/23/84/20/346238420.db2.gz XTBMVPGOYAKJGQ-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN C[C@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)C1CC1 ZINC000018207361 346241240 /nfs/dbraw/zinc/24/12/40/346241240.db2.gz PESQRDQZNXRXKM-VIFPVBQESA-N 0 0 289.335 2.697 20 5 CFBDRN COc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000020448449 346244018 /nfs/dbraw/zinc/24/40/18/346244018.db2.gz WWPDILJTTLHSCX-VIFPVBQESA-N 0 0 290.275 2.687 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@](C)(C(F)F)O1 ZINC000596575983 349946246 /nfs/dbraw/zinc/94/62/46/349946246.db2.gz XQFORBFBZTVJEN-NOZJJQNGSA-N 0 0 286.278 2.844 20 5 CFBDRN CN(C)c1ccc(Nc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000023618991 346253154 /nfs/dbraw/zinc/25/31/54/346253154.db2.gz PPZMWVBNJZCSJA-UHFFFAOYSA-N 0 0 276.271 2.939 20 5 CFBDRN CN(Cc1ccc(Cl)nc1)c1ccc([N+](=O)[O-])cn1 ZINC000023887964 346253908 /nfs/dbraw/zinc/25/39/08/346253908.db2.gz JFXCYDLKQWLCMY-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN Cc1ccc(C(=O)NCCCOCC(C)C)cc1[N+](=O)[O-] ZINC000025968650 346262669 /nfs/dbraw/zinc/26/26/69/346262669.db2.gz ZRVDESITVRIVCT-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000127581706 187317865 /nfs/dbraw/zinc/31/78/65/187317865.db2.gz ZEULPCWGNGTBIC-QMTHXVAHSA-N 0 0 291.303 2.643 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000027702752 346271518 /nfs/dbraw/zinc/27/15/18/346271518.db2.gz LTWXPQQPGVSEDR-CMPLNLGQSA-N 0 0 279.340 2.632 20 5 CFBDRN O=C(c1cc(C2CC2)on1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000029252056 346279579 /nfs/dbraw/zinc/27/95/79/346279579.db2.gz OLWQFUOBTOOLCN-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2nncn2C2CC2)cc1 ZINC000029740444 346283707 /nfs/dbraw/zinc/28/37/07/346283707.db2.gz ZZAHPZKEFAXVDR-UHFFFAOYSA-N 0 0 276.321 2.814 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000032031430 346299461 /nfs/dbraw/zinc/29/94/61/346299461.db2.gz XKVVQDULYDXEQR-RKDXNWHRSA-N 0 0 268.338 2.965 20 5 CFBDRN Cc1cc(Cc2noc(CCCF)n2)ccc1[N+](=O)[O-] ZINC000596593411 349950998 /nfs/dbraw/zinc/95/09/98/349950998.db2.gz WSOQSKLHBYTITF-UHFFFAOYSA-N 0 0 279.271 2.779 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc([C@H]3CCC3(C)C)n2)n1C ZINC000596591996 349951056 /nfs/dbraw/zinc/95/10/56/349951056.db2.gz AYTNJMBCJOGXAS-MRVPVSSYSA-N 0 0 291.311 2.590 20 5 CFBDRN CO[C@H]1CC[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)C1 ZINC000596594538 349951216 /nfs/dbraw/zinc/95/12/16/349951216.db2.gz YWAOGTBMPMXORX-SFYZADRCSA-N 0 0 295.320 2.989 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000033185906 346310843 /nfs/dbraw/zinc/31/08/43/346310843.db2.gz FCGIABWKNQBZJY-RYUDHWBXSA-N 0 0 292.335 2.669 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000042077361 346360127 /nfs/dbraw/zinc/36/01/27/346360127.db2.gz FNJYQZHEFJDRPT-VIFPVBQESA-N 0 0 290.323 2.732 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1cccnc1-n1cccn1 ZINC000042098725 346360205 /nfs/dbraw/zinc/36/02/05/346360205.db2.gz QTNXJHCPGSGFMB-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccc(F)cc1F ZINC000042671648 346369916 /nfs/dbraw/zinc/36/99/16/346369916.db2.gz GBTFLTFKHLBMTF-ZETCQYMHSA-N 0 0 296.229 2.957 20 5 CFBDRN Cc1sc(C(=O)NCc2ccsc2)cc1[N+](=O)[O-] ZINC000042929894 346373910 /nfs/dbraw/zinc/37/39/10/346373910.db2.gz DMSBARGHQAYWHB-UHFFFAOYSA-N 0 0 282.346 2.956 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H](C)[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000043147342 346379898 /nfs/dbraw/zinc/37/98/98/346379898.db2.gz QLQQWPPIQHNABN-GWCFXTLKSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H](C)[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000043147346 346379953 /nfs/dbraw/zinc/37/99/53/346379953.db2.gz QLQQWPPIQHNABN-GXFFZTMASA-N 0 0 293.323 2.592 20 5 CFBDRN COC1CCN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000043149122 346380022 /nfs/dbraw/zinc/38/00/22/346380022.db2.gz DZFAZEZDYQKLEA-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCC1CCCCC1 ZINC000043765142 346386903 /nfs/dbraw/zinc/38/69/03/346386903.db2.gz RBKITYSHYKEARF-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CCN(C(=O)COc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000045486253 346410312 /nfs/dbraw/zinc/41/03/12/346410312.db2.gz BBFKTYXPTCBAHL-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN CC(C)C(=O)N1CCC(Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000045727040 346412756 /nfs/dbraw/zinc/41/27/56/346412756.db2.gz AOGWRIKQKLMNEA-UHFFFAOYSA-N 0 0 291.351 2.654 20 5 CFBDRN CC[C@@H]1CN([C@@H](C)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000045752846 346413717 /nfs/dbraw/zinc/41/37/17/346413717.db2.gz ABWNTHIVYGGXQE-SMDDNHRTSA-N 0 0 264.325 2.767 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000045819811 346415186 /nfs/dbraw/zinc/41/51/86/346415186.db2.gz MYKCQKWKDQCQOW-SECBINFHSA-N 0 0 266.297 2.522 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)cc1F ZINC000045989301 346417507 /nfs/dbraw/zinc/41/75/07/346417507.db2.gz QFIVJWVAJJJYQO-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CC[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC)C1CC1 ZINC000596624171 349960212 /nfs/dbraw/zinc/96/02/12/349960212.db2.gz GYARZUJYEDQCNO-LLVKDONJSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1ccc(CNC(=O)c2csc([N+](=O)[O-])c2)cc1 ZINC000046899149 346437237 /nfs/dbraw/zinc/43/72/37/346437237.db2.gz PBUGSECJNGETFQ-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000596631519 349961940 /nfs/dbraw/zinc/96/19/40/349961940.db2.gz PUKQHJVFIKNVRH-GHMZBOCLSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)OCC(C)C ZINC000047382667 346449631 /nfs/dbraw/zinc/44/96/31/346449631.db2.gz BHLRNZNUSQIFPV-NSHDSACASA-N 0 0 280.324 2.903 20 5 CFBDRN COC(=O)c1ccoc1COc1cccc([N+](=O)[O-])c1C ZINC000047355397 346450020 /nfs/dbraw/zinc/45/00/20/346450020.db2.gz HLNOVUIOUZWPQV-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COCCc1ccc(-c2cc([N+](=O)[O-])ccc2CO)cc1 ZINC000596633943 349963574 /nfs/dbraw/zinc/96/35/74/349963574.db2.gz AFRBGDKGKSEIJF-UHFFFAOYSA-N 0 0 287.315 2.943 20 5 CFBDRN Cc1sc(-c2ccc([N+](=O)[O-])cc2)nc1CCO ZINC000596635606 349963808 /nfs/dbraw/zinc/96/38/08/349963808.db2.gz FZYPCKLUMXJJIT-UHFFFAOYSA-N 0 0 264.306 2.562 20 5 CFBDRN CCC[C@H](C)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000048688217 346476547 /nfs/dbraw/zinc/47/65/47/346476547.db2.gz NRLWZJZTWIPXID-JTQLQIEISA-N 0 0 265.313 2.583 20 5 CFBDRN CCC[C@@H](C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000048980822 346478470 /nfs/dbraw/zinc/47/84/70/346478470.db2.gz HWHRAASFOLSZAE-LLVKDONJSA-N 0 0 279.340 2.625 20 5 CFBDRN CCC[C@@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049397189 346483445 /nfs/dbraw/zinc/48/34/45/346483445.db2.gz MUBNWUTUTHLYRK-SNVBAGLBSA-N 0 0 265.313 2.583 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCOC1CCCC1 ZINC000194466738 232506361 /nfs/dbraw/zinc/50/63/61/232506361.db2.gz DNBBZLWJHMPQNQ-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1nc(N2CCS[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000268498456 293300496 /nfs/dbraw/zinc/30/04/96/293300496.db2.gz PTFWBSQVGWNAPC-UWVGGRQHSA-N 0 0 267.354 2.628 20 5 CFBDRN CC[C@H](Cc1ccccc1)Nc1c([N+](=O)[O-])ncn1C ZINC000075722364 347095559 /nfs/dbraw/zinc/09/55/59/347095559.db2.gz WDCPFYXOGFVHGZ-GFCCVEGCSA-N 0 0 274.324 2.762 20 5 CFBDRN CCOc1cccc(-c2cc([N+](=O)[O-])ccc2CCO)n1 ZINC000596647475 349967580 /nfs/dbraw/zinc/96/75/80/349967580.db2.gz MJWLRTYXGYEJNU-UHFFFAOYSA-N 0 0 288.303 2.590 20 5 CFBDRN CCCC(C)(C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000050497734 346495752 /nfs/dbraw/zinc/49/57/52/346495752.db2.gz OLUUHPVCKGHQIC-UHFFFAOYSA-N 0 0 280.324 2.669 20 5 CFBDRN COc1ccc(Cl)cc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000050824006 346498146 /nfs/dbraw/zinc/49/81/46/346498146.db2.gz GUYCAOLGJCRWGE-UHFFFAOYSA-N 0 0 295.682 2.837 20 5 CFBDRN CCc1ccc(CN(C)c2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000051213498 346501625 /nfs/dbraw/zinc/50/16/25/346501625.db2.gz FMMDUMPKWWTFMH-UHFFFAOYSA-N 0 0 288.351 2.836 20 5 CFBDRN C[C@@H](Cc1ccccc1F)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000053193251 346540305 /nfs/dbraw/zinc/54/03/05/346540305.db2.gz MSXBOXUBVHVIQO-VIFPVBQESA-N 0 0 292.266 2.688 20 5 CFBDRN Cc1ccc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)c(C)n1 ZINC000053214396 346540864 /nfs/dbraw/zinc/54/08/64/346540864.db2.gz YUBNUAKCTFRRQL-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN Cc1nn(C)c(N[C@H](C)Cc2ccccc2F)c1[N+](=O)[O-] ZINC000053577902 346550079 /nfs/dbraw/zinc/55/00/79/346550079.db2.gz DNMFRGHQXSZNKO-SECBINFHSA-N 0 0 292.314 2.819 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCSCC1 ZINC000085634843 179109389 /nfs/dbraw/zinc/10/93/89/179109389.db2.gz HBKSOPVKQJTFJT-UHFFFAOYSA-N 0 0 295.364 2.792 20 5 CFBDRN C[C@@H]1C[C@@H](C)CN(C(=O)CNc2cccc([N+](=O)[O-])c2)C1 ZINC000054529791 346571062 /nfs/dbraw/zinc/57/10/62/346571062.db2.gz AWMOYCKFDVBJCL-VXGBXAGGSA-N 0 0 291.351 2.511 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccccn1 ZINC000055520425 346592176 /nfs/dbraw/zinc/59/21/76/346592176.db2.gz ALJZCKANJLSCSU-OAHLLOKOSA-N 0 0 297.314 2.967 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(F)c(F)c1 ZINC000055797655 346596724 /nfs/dbraw/zinc/59/67/24/346596724.db2.gz ZWENVAVTGKMHAW-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN Cc1ccc(CC(=O)NCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000055797710 346596750 /nfs/dbraw/zinc/59/67/50/346596750.db2.gz NWFCNSSHWXJCQM-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1cc(F)cc(F)c1 ZINC000055796957 346596807 /nfs/dbraw/zinc/59/68/07/346596807.db2.gz YBOZOHBJMGJIMI-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@H]1c1ccccc1 ZINC000056322260 346606563 /nfs/dbraw/zinc/60/65/63/346606563.db2.gz KCUXVEKALGGLHT-ZDUSSCGKSA-N 0 0 271.276 2.510 20 5 CFBDRN CN(Cc1ccccn1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000057228315 346622717 /nfs/dbraw/zinc/62/27/17/346622717.db2.gz ZKAOLWUJFVFJIT-YFHOEESVSA-N 0 0 297.314 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccc(F)cc32)nc1 ZINC000058553924 346646296 /nfs/dbraw/zinc/64/62/96/346646296.db2.gz VGDYQBHKLDUIQX-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c(O)c1 ZINC000059205553 346656073 /nfs/dbraw/zinc/65/60/73/346656073.db2.gz BOIGNYAZQMOZBP-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN CCOC1CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000060447173 346665346 /nfs/dbraw/zinc/66/53/46/346665346.db2.gz FRMJHOSCUOSXMI-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1c(Cn2c3ccccc3nc2CO)cccc1[N+](=O)[O-] ZINC000060816921 346671688 /nfs/dbraw/zinc/67/16/88/346671688.db2.gz LUDIMMSWJAGLQI-UHFFFAOYSA-N 0 0 297.314 2.794 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1CCN(CC(F)(F)F)C1 ZINC000057855259 346638545 /nfs/dbraw/zinc/63/85/45/346638545.db2.gz SAZGMZUXYAKDDT-ZETCQYMHSA-N 0 0 295.286 2.705 20 5 CFBDRN CCCN(C)c1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 ZINC000063737383 346709011 /nfs/dbraw/zinc/70/90/11/346709011.db2.gz KTFBPEKEUKTTEK-UHFFFAOYSA-N 0 0 291.351 2.677 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000063815617 346711151 /nfs/dbraw/zinc/71/11/51/346711151.db2.gz TUOWERJDUOSNSL-AWEZNQCLSA-N 0 0 292.335 2.621 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])NCc1ccco1 ZINC000063887554 346712592 /nfs/dbraw/zinc/71/25/92/346712592.db2.gz NNXCCFURHGEMHM-GQCTYLIASA-N 0 0 290.250 2.657 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000064088558 346714950 /nfs/dbraw/zinc/71/49/50/346714950.db2.gz FUHIPSSONFVGPH-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CC(=O)c1ccc2c(c1)CCCC2 ZINC000064332033 346717647 /nfs/dbraw/zinc/71/76/47/346717647.db2.gz DQIBGAAGQSOIRZ-UHFFFAOYSA-N 0 0 299.330 2.861 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2cc(Cl)ccc2C)c1[N+](=O)[O-] ZINC000064334595 346717736 /nfs/dbraw/zinc/71/77/36/346717736.db2.gz MMMSCSLMWNOTQO-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])cn1)c1ccsc1 ZINC000061364492 346677596 /nfs/dbraw/zinc/67/75/96/346677596.db2.gz PHKVXPMSACFRFI-ZETCQYMHSA-N 0 0 250.283 2.619 20 5 CFBDRN Cc1cc(CNC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)on1 ZINC000061733364 346681768 /nfs/dbraw/zinc/68/17/68/346681768.db2.gz ZNMCJXKVNPSSAD-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cc1occc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000063446875 346700100 /nfs/dbraw/zinc/70/01/00/346700100.db2.gz BBNCKNAGAINNOU-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN Cc1cccc(C(=O)Nc2cnn(C(C)C)c2)c1[N+](=O)[O-] ZINC000066412334 346759350 /nfs/dbraw/zinc/75/93/50/346759350.db2.gz XQBCDWYXZQXRCQ-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@H]1c1ccccn1 ZINC000066810404 346780890 /nfs/dbraw/zinc/78/08/90/346780890.db2.gz STMGWAGDMVTUAO-LBPRGKRZSA-N 0 0 270.292 2.726 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccccc1 ZINC000066697302 346774276 /nfs/dbraw/zinc/77/42/76/346774276.db2.gz DHCKAZHIRJGPLF-LBPRGKRZSA-N 0 0 299.330 2.712 20 5 CFBDRN CCCCO[C@@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000065552047 346736741 /nfs/dbraw/zinc/73/67/41/346736741.db2.gz OHNFUNRCGPXYDV-NEPJUHHUSA-N 0 0 294.351 2.977 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cc2c(c(Cl)c1)OCO2 ZINC000065693929 346738225 /nfs/dbraw/zinc/73/82/25/346738225.db2.gz JXYYURYWPQGBSY-UHFFFAOYSA-N 0 0 295.682 2.530 20 5 CFBDRN CCCCCC[C@@H](C)NC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000065774178 346741137 /nfs/dbraw/zinc/74/11/37/346741137.db2.gz WAGPPTQRHWKAGJ-GFCCVEGCSA-N 0 0 296.371 2.657 20 5 CFBDRN CCCCN(C(=O)CNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000065865991 346742708 /nfs/dbraw/zinc/74/27/08/346742708.db2.gz JSQFKGMVKXLXQI-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000270738352 190794124 /nfs/dbraw/zinc/79/41/24/190794124.db2.gz FLYSBMLWZIAJKP-YUMQZZPRSA-N 0 0 268.700 2.634 20 5 CFBDRN CN(Cc1ccccc1F)c1ccncc1[N+](=O)[O-] ZINC000066796450 346779823 /nfs/dbraw/zinc/77/98/23/346779823.db2.gz SBFYNQRVIMEAPV-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN COc1cc(Nc2ccncc2[N+](=O)[O-])cc(OC)c1 ZINC000066796317 346780003 /nfs/dbraw/zinc/78/00/03/346780003.db2.gz XMVCNJLEIHQXLB-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN Cc1ccccc1OCCCn1cnc([N+](=O)[O-])c1 ZINC000067006953 346788717 /nfs/dbraw/zinc/78/87/17/346788717.db2.gz VYABOKYIHSICDW-UHFFFAOYSA-N 0 0 261.281 2.569 20 5 CFBDRN Cc1noc(-c2cc([N+](=O)[O-])ccc2N2CCCCC2)n1 ZINC000280153212 136650164 /nfs/dbraw/zinc/65/01/64/136650164.db2.gz AKLSUHUIDYITGG-UHFFFAOYSA-N 0 0 288.307 2.944 20 5 CFBDRN Cc1ccccc1CC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067071327 346790659 /nfs/dbraw/zinc/79/06/59/346790659.db2.gz VRSAFQIIVPOOAP-UHFFFAOYSA-N 0 0 284.315 2.762 20 5 CFBDRN O=C(Cc1ccccc1F)NCc1cccc([N+](=O)[O-])c1 ZINC000067071002 346790989 /nfs/dbraw/zinc/79/09/89/346790989.db2.gz UONNWGGQPHITQZ-UHFFFAOYSA-N 0 0 288.278 2.593 20 5 CFBDRN CSCCCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000076775859 347153027 /nfs/dbraw/zinc/15/30/27/347153027.db2.gz CPGILJJHIQPHRA-UHFFFAOYSA-N 0 0 283.353 2.860 20 5 CFBDRN O=[N+]([O-])c1cccc(Oc2ncnc3c2CCC3)c1 ZINC000076919754 347159934 /nfs/dbraw/zinc/15/99/34/347159934.db2.gz LYQQCYFCMWIZQC-UHFFFAOYSA-N 0 0 257.249 2.666 20 5 CFBDRN Cc1ccc(Sc2ncc([N+](=O)[O-])c(N)n2)cc1C ZINC000076267095 347131021 /nfs/dbraw/zinc/13/10/21/347131021.db2.gz SWWYQVXXEARQEI-UHFFFAOYSA-N 0 0 276.321 2.735 20 5 CFBDRN Nc1nc(Oc2ccc(F)cc2Cl)ncc1[N+](=O)[O-] ZINC000076266938 347131042 /nfs/dbraw/zinc/13/10/42/347131042.db2.gz RTBVUIVMDXRMMH-UHFFFAOYSA-N 0 0 284.634 2.552 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)c(C)n1 ZINC000077580882 347201688 /nfs/dbraw/zinc/20/16/88/347201688.db2.gz SRGIHZCEBQZSDN-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCOC(=O)C1(CNc2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000077232062 347178983 /nfs/dbraw/zinc/17/89/83/347178983.db2.gz VZBVIROCARBANS-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN Cc1nn(C)c(NCCc2cc(C)cc(C)c2)c1[N+](=O)[O-] ZINC000077235366 347179062 /nfs/dbraw/zinc/17/90/62/347179062.db2.gz KXVMIDWFIFVJFH-UHFFFAOYSA-N 0 0 288.351 2.908 20 5 CFBDRN CCOC(=O)C1(CNc2ccccc2[N+](=O)[O-])CCC1 ZINC000077232066 347179506 /nfs/dbraw/zinc/17/95/06/347179506.db2.gz ZHSAJDVESQXYQQ-UHFFFAOYSA-N 0 0 278.308 2.740 20 5 CFBDRN CC(C)COC1CCN(c2ccc([N+](=O)[O-])nc2)CC1 ZINC000077307483 347185555 /nfs/dbraw/zinc/18/55/55/347185555.db2.gz BISZYGBHHJBELC-UHFFFAOYSA-N 0 0 279.340 2.631 20 5 CFBDRN C[C@H](CCc1cccn1C)Nc1ncccc1[N+](=O)[O-] ZINC000078423252 347250928 /nfs/dbraw/zinc/25/09/28/347250928.db2.gz NQOONFLWPZTRHC-LLVKDONJSA-N 0 0 274.324 2.762 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000078427335 347252242 /nfs/dbraw/zinc/25/22/42/347252242.db2.gz HCILRWMCNBBBSK-DGCLKSJQSA-N 0 0 295.295 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccon2)c(Br)c1 ZINC000077939257 347220802 /nfs/dbraw/zinc/22/08/02/347220802.db2.gz BDAIYZCKWPJMRQ-UHFFFAOYSA-N 0 0 299.080 2.924 20 5 CFBDRN CC[C@@H](c1ccncc1)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000077989796 347223627 /nfs/dbraw/zinc/22/36/27/347223627.db2.gz NMONPMUJZIIHMJ-ZDUSSCGKSA-N 0 0 272.308 2.972 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])o1 ZINC000078149690 347230715 /nfs/dbraw/zinc/23/07/15/347230715.db2.gz FTYMSSOTEDSTOL-UHFFFAOYSA-N 0 0 272.260 2.699 20 5 CFBDRN COC(=O)C[C@@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000078262189 347235674 /nfs/dbraw/zinc/23/56/74/347235674.db2.gz PCMAKWVEKOQYNT-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN CC(C)(CO)CCCNc1cccc(F)c1[N+](=O)[O-] ZINC000078303434 347239335 /nfs/dbraw/zinc/23/93/35/347239335.db2.gz AFVIGSVKFXHSRF-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN C[C@H](CNc1c([N+](=O)[O-])ncn1C)Cc1cccs1 ZINC000078377675 347248241 /nfs/dbraw/zinc/24/82/41/347248241.db2.gz CFSSATXRDWGRDE-VIFPVBQESA-N 0 0 280.353 2.681 20 5 CFBDRN Cc1ccccc1O[C@H](C)CNc1c([N+](=O)[O-])ncn1C ZINC000078468442 347255089 /nfs/dbraw/zinc/25/50/89/347255089.db2.gz OZTNURRXPNCQQS-LLVKDONJSA-N 0 0 290.323 2.516 20 5 CFBDRN CC(C)OC(=O)[C@H](C)CNc1ccccc1[N+](=O)[O-] ZINC000078510899 347258866 /nfs/dbraw/zinc/25/88/66/347258866.db2.gz CHLIMWZXSQSXQM-SNVBAGLBSA-N 0 0 266.297 2.594 20 5 CFBDRN CC[C@H]1CN(c2ccccc2[N+](=O)[O-])CCS1 ZINC000078590199 347264345 /nfs/dbraw/zinc/26/43/45/347264345.db2.gz OATUQGOGXJBHMW-JTQLQIEISA-N 0 0 252.339 2.927 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000078591395 347264371 /nfs/dbraw/zinc/26/43/71/347264371.db2.gz JSPFIFLEOJOSLR-QMMMGPOBSA-N 0 0 254.311 2.528 20 5 CFBDRN Cc1cnc(N2CCc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000078624188 347265202 /nfs/dbraw/zinc/26/52/02/347265202.db2.gz XALXJLUQASIMNZ-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1cnc(N[C@H](C)c2nnc3ccccn32)c([N+](=O)[O-])c1 ZINC000078627448 347265228 /nfs/dbraw/zinc/26/52/28/347265228.db2.gz GBMPCXMXCFIBCH-SNVBAGLBSA-N 0 0 298.306 2.514 20 5 CFBDRN Cc1cnc(NCCc2nc3ccccc3[nH]2)c([N+](=O)[O-])c1 ZINC000078626630 347265411 /nfs/dbraw/zinc/26/54/11/347265411.db2.gz ANXJKBRQUOTLJW-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN Cc1cnc(NC[C@@H](O)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000078658734 347268164 /nfs/dbraw/zinc/26/81/64/347268164.db2.gz SQHLKSDEBZNFPZ-CYBMUJFWSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1nccn1CCCCNc1ncc(C)cc1[N+](=O)[O-] ZINC000078667993 347269004 /nfs/dbraw/zinc/26/90/04/347269004.db2.gz OVROOMWAWHORFG-UHFFFAOYSA-N 0 0 289.339 2.695 20 5 CFBDRN CCC[C@@]1(C)CCCN(c2c([N+](=O)[O-])ncn2C)C1 ZINC000078690588 347270408 /nfs/dbraw/zinc/27/04/08/347270408.db2.gz AGPNQWNNKKYGCA-ZDUSSCGKSA-N 0 0 266.345 2.735 20 5 CFBDRN Cc1cc(NCCCOC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000079832732 347328485 /nfs/dbraw/zinc/32/84/85/347328485.db2.gz ZQEAALJZJKCCDX-CQSZACIVSA-N 0 0 294.351 2.901 20 5 CFBDRN O=Cc1ccc(OCC2CC(F)(F)C2)c([N+](=O)[O-])c1 ZINC000295624240 199592113 /nfs/dbraw/zinc/59/21/13/199592113.db2.gz AJBLCOVYPCNSPE-UHFFFAOYSA-N 0 0 271.219 2.831 20 5 CFBDRN CC1CC(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000080050949 347340580 /nfs/dbraw/zinc/34/05/80/347340580.db2.gz LDNNCQARUJGNSI-UHFFFAOYSA-N 0 0 273.292 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCc3nccs3)c2c1 ZINC000080109334 347344052 /nfs/dbraw/zinc/34/40/52/347344052.db2.gz HRGUYFQKBVDJFF-UHFFFAOYSA-N 0 0 287.304 2.607 20 5 CFBDRN CCC[C@@H]1C[C@@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000079657483 347319378 /nfs/dbraw/zinc/31/93/78/347319378.db2.gz SUWUHSSLZGIUQZ-YPMHNXCESA-N 0 0 262.309 2.647 20 5 CFBDRN COC(=O)N1CCC(Nc2ccc([N+](=O)[O-])cc2C)CC1 ZINC000080586644 347368061 /nfs/dbraw/zinc/36/80/61/347368061.db2.gz OSJUGXQEHDGKEA-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1cc(N[C@H](C)C[C@H]2CCCO2)ncc1[N+](=O)[O-] ZINC000080600508 347368570 /nfs/dbraw/zinc/36/85/70/347368570.db2.gz GOPQWDNWXQMTBG-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)C1CCOCC1 ZINC000080606428 347369606 /nfs/dbraw/zinc/36/96/06/347369606.db2.gz AZMRXZUXYRMHQH-GFCCVEGCSA-N 0 0 279.340 2.915 20 5 CFBDRN COCC1CCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000080638436 347371004 /nfs/dbraw/zinc/37/10/04/347371004.db2.gz QGDKCYYGSGAUOA-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCCSC)c1 ZINC000080644581 347371809 /nfs/dbraw/zinc/37/18/09/347371809.db2.gz JMLUOHSXPUPNCU-UHFFFAOYSA-N 0 0 274.317 2.908 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC(C)(C)CCO)c1 ZINC000080653258 347372581 /nfs/dbraw/zinc/37/25/81/347372581.db2.gz KGTXVKKPNHRTTI-UHFFFAOYSA-N 0 0 286.303 2.563 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCC2CC2)c1 ZINC000080652049 347372847 /nfs/dbraw/zinc/37/28/47/347372847.db2.gz UPCSKNOFBJKBNK-UHFFFAOYSA-N 0 0 254.261 2.955 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Oc1cccc2c1CCCC2 ZINC000080676474 347374015 /nfs/dbraw/zinc/37/40/15/347374015.db2.gz VWJGERNTSCNSLL-UHFFFAOYSA-N 0 0 273.292 2.999 20 5 CFBDRN C[C@@H]1CN(c2nc3sccn3c2[N+](=O)[O-])C(C)(C)C1 ZINC000080684273 347374819 /nfs/dbraw/zinc/37/48/19/347374819.db2.gz IEVDBRALAVWNGP-QMMMGPOBSA-N 0 0 280.353 2.929 20 5 CFBDRN Cc1cc(NCCOCc2ccccc2)ncc1[N+](=O)[O-] ZINC000080686272 347374985 /nfs/dbraw/zinc/37/49/85/347374985.db2.gz SJKYRXFEWXEMDB-UHFFFAOYSA-N 0 0 287.319 2.927 20 5 CFBDRN CO[C@]1(C)C[C@H](N(C)c2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000080760974 347378619 /nfs/dbraw/zinc/37/86/19/347378619.db2.gz OZHFNKYTXKJHGD-SMDDNHRTSA-N 0 0 279.340 2.630 20 5 CFBDRN CCOc1cc(N2CCC[C@H](OCC)C2)ccc1[N+](=O)[O-] ZINC000080786133 347380837 /nfs/dbraw/zinc/38/08/37/347380837.db2.gz DTLZBMUALVTVEW-ZDUSSCGKSA-N 0 0 294.351 2.999 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1c(F)c(F)nc(F)c1F ZINC000080915547 347384563 /nfs/dbraw/zinc/38/45/63/347384563.db2.gz KHGBYAPHQOBFRU-UHFFFAOYSA-N 0 0 289.144 2.734 20 5 CFBDRN CN(Cc1nc2ccccc2n1C)c1ccc([N+](=O)[O-])nc1 ZINC000081002065 347395287 /nfs/dbraw/zinc/39/52/87/347395287.db2.gz KTIIYCIQRZTVFB-UHFFFAOYSA-N 0 0 297.318 2.513 20 5 CFBDRN CC(C)CCO[C@H]1CCN(c2ncccc2[N+](=O)[O-])C1 ZINC000081016518 347396967 /nfs/dbraw/zinc/39/69/67/347396967.db2.gz NRPZLFCRFXEVAI-LBPRGKRZSA-N 0 0 279.340 2.631 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCSC2)c1 ZINC000081029593 347398017 /nfs/dbraw/zinc/39/80/17/347398017.db2.gz QQGSGHJXDIXAQQ-QMMMGPOBSA-N 0 0 298.389 2.552 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])s2)CCS1 ZINC000081032520 347398693 /nfs/dbraw/zinc/39/86/93/347398693.db2.gz ZQGJDJFNDLFCBJ-UHFFFAOYSA-N 0 0 258.368 2.988 20 5 CFBDRN COc1ccc(CN2CCC23CCC3)cc1[N+](=O)[O-] ZINC000081515867 347423187 /nfs/dbraw/zinc/42/31/87/347423187.db2.gz RJIUPUFQYUFSSZ-UHFFFAOYSA-N 0 0 262.309 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCC3CCC3)c2c1 ZINC000081589128 347428426 /nfs/dbraw/zinc/42/84/26/347428426.db2.gz YFLVDXLDBJPEIB-UHFFFAOYSA-N 0 0 258.281 2.750 20 5 CFBDRN CCCCCOC1CN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000081844362 347439216 /nfs/dbraw/zinc/43/92/16/347439216.db2.gz AADYSEBLDVODOK-UHFFFAOYSA-N 0 0 298.364 2.688 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087102927 347492070 /nfs/dbraw/zinc/49/20/70/347492070.db2.gz VTUVSYJGUCOOAK-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN COC(=O)c1ccc(/C=C/c2ccc([N+](=O)[O-])cn2)o1 ZINC000084503361 347466771 /nfs/dbraw/zinc/46/67/71/347466771.db2.gz PNHSLRGYMDLOKC-HWKANZROSA-N 0 0 274.232 2.540 20 5 CFBDRN COC(=O)c1ccc(/C=C/c2ccc([N+](=O)[O-])cn2)cc1 ZINC000084503269 347466979 /nfs/dbraw/zinc/46/69/79/347466979.db2.gz ILCACTMJGOROAK-QPJJXVBHSA-N 0 0 284.271 2.947 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C(N)=O)c2ccccc2)c1 ZINC000088699781 347507421 /nfs/dbraw/zinc/50/74/21/347507421.db2.gz KGWYDSOBTYGNDH-CQSZACIVSA-N 0 0 285.303 2.542 20 5 CFBDRN COC[C@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000088722976 347507690 /nfs/dbraw/zinc/50/76/90/347507690.db2.gz JYSUULRACPIYAJ-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOC[C@@H]2CCOC2)c1 ZINC000088725202 347508519 /nfs/dbraw/zinc/50/85/19/347508519.db2.gz SVCMMAGWHXPERX-ZDUSSCGKSA-N 0 0 294.351 2.758 20 5 CFBDRN O=C(NCCc1ccc[nH]1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000089350606 347515783 /nfs/dbraw/zinc/51/57/83/347515783.db2.gz KMQPATLMZQUMHH-UHFFFAOYSA-N 0 0 293.710 2.549 20 5 CFBDRN O=C(Nc1cncc2ccccc21)c1ccc([N+](=O)[O-])cn1 ZINC000089627289 347523659 /nfs/dbraw/zinc/52/36/59/347523659.db2.gz ZXMQRLLHTZJZEJ-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN CN(Cc1ccc(O)cc1)c1ccccc1[N+](=O)[O-] ZINC000089635272 347524384 /nfs/dbraw/zinc/52/43/84/347524384.db2.gz USZIXBMOQLEEJD-UHFFFAOYSA-N 0 0 258.277 2.937 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000089650233 347525185 /nfs/dbraw/zinc/52/51/85/347525185.db2.gz ADYGAPOWTDQBKZ-VIFPVBQESA-N 0 0 284.287 2.735 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000128567222 187377596 /nfs/dbraw/zinc/37/75/96/187377596.db2.gz CJYACTLUEINSPL-LBPRGKRZSA-N 0 0 294.351 2.838 20 5 CFBDRN CC[C@H](CO)Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000090065266 347538172 /nfs/dbraw/zinc/53/81/72/347538172.db2.gz JUXFKORWFJVNJZ-GFCCVEGCSA-N 0 0 287.319 2.840 20 5 CFBDRN CCc1nc(CNc2ccc(Cl)c([N+](=O)[O-])c2)no1 ZINC000086189002 179247815 /nfs/dbraw/zinc/24/78/15/179247815.db2.gz VYOHSRAMGYWWAT-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN C[C@@H](NCc1ncccc1F)c1cccc([N+](=O)[O-])c1 ZINC000090720659 347555446 /nfs/dbraw/zinc/55/54/46/347555446.db2.gz WIMQFBDVSWNKJY-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN CCOc1cc(NCc2ccc([N+](=O)[O-])cc2)nc(C)n1 ZINC000090791870 347557738 /nfs/dbraw/zinc/55/77/38/347557738.db2.gz VNCPUJZKYPWUGI-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1c2ccccc2C[C@H]1O ZINC000091430628 347585474 /nfs/dbraw/zinc/58/54/74/347585474.db2.gz JPIZKZKQXNARBF-CVEARBPZSA-N 0 0 284.315 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2ccc(OCCO)cc2)cc1 ZINC000091483123 347589807 /nfs/dbraw/zinc/58/98/07/347589807.db2.gz QCUSXAZKIWFZSS-UHFFFAOYSA-N 0 0 274.276 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc(-n3cncn3)cc2)cc1 ZINC000091484247 347590168 /nfs/dbraw/zinc/59/01/68/347590168.db2.gz YOUSWIIJVNLNGX-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@]2(O)CCc3ccccc32)cc1 ZINC000091504372 347591052 /nfs/dbraw/zinc/59/10/52/347591052.db2.gz UFEMRTNYOPYIBM-MRXNPFEDSA-N 0 0 284.315 2.841 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC000091506095 347591357 /nfs/dbraw/zinc/59/13/57/347591357.db2.gz NLCCICWLWLAWAP-SECBINFHSA-N 0 0 266.297 2.737 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCO1 ZINC000091505204 347591527 /nfs/dbraw/zinc/59/15/27/347591527.db2.gz GJYKLUPNITVPMW-STQMWFEESA-N 0 0 250.298 2.964 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC000091506093 347591540 /nfs/dbraw/zinc/59/15/40/347591540.db2.gz NLCCICWLWLAWAP-VIFPVBQESA-N 0 0 266.297 2.737 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Nc1cnn(C(C)C)c1 ZINC000091549582 347591759 /nfs/dbraw/zinc/59/17/59/347591759.db2.gz JYJXPJKLMJRMLH-UHFFFAOYSA-N 0 0 278.316 2.641 20 5 CFBDRN CON(C)c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000091601630 347593631 /nfs/dbraw/zinc/59/36/31/347593631.db2.gz RCPKXDCRPFXTSI-UHFFFAOYSA-N 0 0 259.265 2.654 20 5 CFBDRN Cc1cccn2cc(CNc3ccncc3[N+](=O)[O-])nc12 ZINC000091628147 347594370 /nfs/dbraw/zinc/59/43/70/347594370.db2.gz PIYSEOQQMGMWKC-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CCc1nn(C)c(N[C@H]2C[C@@](C)(OC)C2(C)C)c1[N+](=O)[O-] ZINC000091730036 347596643 /nfs/dbraw/zinc/59/66/43/347596643.db2.gz YEDVOMXWTGPVKX-IINYFYTJSA-N 0 0 296.371 2.506 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000092443824 347624606 /nfs/dbraw/zinc/62/46/06/347624606.db2.gz OQXSRUIJELTMQQ-DMDPSCGWSA-N 0 0 292.335 2.505 20 5 CFBDRN COc1cc(CNc2ncc([N+](=O)[O-])cc2C)ccc1O ZINC000092606393 347634365 /nfs/dbraw/zinc/63/43/65/347634365.db2.gz XYNSIAANAUMLHW-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1ccc2c(c1)CC(=O)N2 ZINC000092654440 347637113 /nfs/dbraw/zinc/63/71/13/347637113.db2.gz FACOMRYKWNCRHE-UHFFFAOYSA-N 0 0 284.275 2.536 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cccc(F)c1Cl ZINC000092855381 347649308 /nfs/dbraw/zinc/64/93/08/347649308.db2.gz IKPZRDHKIHWXQP-UHFFFAOYSA-N 0 0 269.663 2.941 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2cccc(F)c2Cl)cn1 ZINC000092855141 347649399 /nfs/dbraw/zinc/64/93/99/347649399.db2.gz BPJMMCUIWNHUSA-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN CCOCCN(C)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000092962977 347655904 /nfs/dbraw/zinc/65/59/04/347655904.db2.gz CQZSQBYTRGWMTQ-UHFFFAOYSA-N 0 0 275.308 2.616 20 5 CFBDRN CCc1nn(C)c(N2CCC(C(C)C)CC2)c1[N+](=O)[O-] ZINC000094168520 347694263 /nfs/dbraw/zinc/69/42/63/347694263.db2.gz UBAZEVKDKJGRMJ-UHFFFAOYSA-N 0 0 280.372 2.763 20 5 CFBDRN COC(=O)C[C@H]1CCCCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000094663521 347696398 /nfs/dbraw/zinc/69/63/98/347696398.db2.gz DZNRTEWLQTVRTM-GFCCVEGCSA-N 0 0 292.335 2.825 20 5 CFBDRN COC[C@@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)C(C)C ZINC000094772021 347696441 /nfs/dbraw/zinc/69/64/41/347696441.db2.gz BJDGDBNHKCUFPQ-GFCCVEGCSA-N 0 0 278.312 2.554 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000098122500 347713001 /nfs/dbraw/zinc/71/30/01/347713001.db2.gz OXUBSQZMPZWDRA-GHMZBOCLSA-N 0 0 279.340 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH+]1C[C@H]2CCCC[C@@H]2C1 ZINC000093441794 347673962 /nfs/dbraw/zinc/67/39/62/347673962.db2.gz DGVVRJDKVWBOBW-VXGBXAGGSA-N 0 0 276.336 2.922 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCCO2)ccc1Cl ZINC000114636370 347774328 /nfs/dbraw/zinc/77/43/28/347774328.db2.gz FQCVNUPOCQRFPR-SECBINFHSA-N 0 0 257.673 2.806 20 5 CFBDRN O=C(Nc1cnc(C2CC2)nc1)c1ccccc1[N+](=O)[O-] ZINC000103019979 347721100 /nfs/dbraw/zinc/72/11/00/347721100.db2.gz SXSPGZQDGNPZOM-UHFFFAOYSA-N 0 0 284.275 2.515 20 5 CFBDRN Cc1c(C(=O)Nc2cnc(C3CC3)nc2)cccc1[N+](=O)[O-] ZINC000103019952 347721244 /nfs/dbraw/zinc/72/12/44/347721244.db2.gz SOAQYXDNGDWJHU-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(CS(=O)(=O)Cc2ccccc2)cc1 ZINC000105519380 347729577 /nfs/dbraw/zinc/72/95/77/347729577.db2.gz CBAITKVPKDJVAO-UHFFFAOYSA-N 0 0 291.328 2.710 20 5 CFBDRN O=C(CCc1cccnc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000105940515 347730495 /nfs/dbraw/zinc/73/04/95/347730495.db2.gz MTJFKQOLKUMJAI-UHFFFAOYSA-N 0 0 271.276 2.561 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1Cc2ccccc2C1 ZINC000106267357 347731122 /nfs/dbraw/zinc/73/11/22/347731122.db2.gz HPRIOXANDTZCJB-UHFFFAOYSA-N 0 0 297.314 2.820 20 5 CFBDRN CCOc1cc(NCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000111045762 347752260 /nfs/dbraw/zinc/75/22/60/347752260.db2.gz KNQMIONDBCVXCC-UHFFFAOYSA-N 0 0 264.203 2.968 20 5 CFBDRN C[C@@H](NC(=O)CNc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000112307989 347760403 /nfs/dbraw/zinc/76/04/03/347760403.db2.gz HUYVTJIPYLACTJ-SNVBAGLBSA-N 0 0 279.340 2.558 20 5 CFBDRN C[C@H](CNc1nc2cc([N+](=O)[O-])ccc2[nH]1)C1CC1 ZINC000125810872 347829801 /nfs/dbraw/zinc/82/98/01/347829801.db2.gz OLNLGBFVADUOJR-MRVPVSSYSA-N 0 0 260.297 2.929 20 5 CFBDRN C[C@@H](O)[C@@H]1CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000119510253 347802458 /nfs/dbraw/zinc/80/24/58/347802458.db2.gz JRSFSULTTGCVIJ-GHMZBOCLSA-N 0 0 298.770 2.841 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124545412 347825080 /nfs/dbraw/zinc/82/50/80/347825080.db2.gz JBWPDYLGAGNOPV-UHFFFAOYSA-N 0 0 290.279 2.637 20 5 CFBDRN CC(=O)Nc1ccc(Oc2ccc([N+](=O)[O-])nc2)cc1 ZINC000116294918 347786053 /nfs/dbraw/zinc/78/60/53/347786053.db2.gz PETUEFUDRXSEEO-UHFFFAOYSA-N 0 0 273.248 2.741 20 5 CFBDRN CSCCCC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000128655556 347853289 /nfs/dbraw/zinc/85/32/89/347853289.db2.gz JROLVHDWFJSIQE-UHFFFAOYSA-N 0 0 268.338 2.701 20 5 CFBDRN CC(C)(C)CCCNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000126478499 347835515 /nfs/dbraw/zinc/83/55/15/347835515.db2.gz KCSYRJMKCREQCA-UHFFFAOYSA-N 0 0 294.351 2.916 20 5 CFBDRN CC1(C)CC[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000596712734 349976862 /nfs/dbraw/zinc/97/68/62/349976862.db2.gz IDHHTKBTSJUWOW-LBPRGKRZSA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1cccc(CNC(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000130331868 347869922 /nfs/dbraw/zinc/86/99/22/347869922.db2.gz CTKMOWQHDQMWQX-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1ccc(NC(=O)C2(O)CCCCC2)cc1[N+](=O)[O-] ZINC000086531085 179306745 /nfs/dbraw/zinc/30/67/45/179306745.db2.gz FLMHEJDAIPCZKR-UHFFFAOYSA-N 0 0 278.308 2.537 20 5 CFBDRN Cn1c(C(=O)N2CCCc3ccccc32)ccc1[N+](=O)[O-] ZINC000336476609 282568584 /nfs/dbraw/zinc/56/85/84/282568584.db2.gz KJLHZDLUYHQTDE-UHFFFAOYSA-N 0 0 285.303 2.526 20 5 CFBDRN CC(C)CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000131962611 347881813 /nfs/dbraw/zinc/88/18/13/347881813.db2.gz WAZAVGPNAOSDLF-UHFFFAOYSA-N 0 0 263.297 2.547 20 5 CFBDRN CC(C)(C(=O)NCC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000273940274 192371438 /nfs/dbraw/zinc/37/14/38/192371438.db2.gz SSSPMCPIOIDRHH-UHFFFAOYSA-N 0 0 294.326 2.928 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)c(O)c1 ZINC000135410453 347906256 /nfs/dbraw/zinc/90/62/56/347906256.db2.gz JORCUGZDILFIPJ-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN O=C(Nc1ccsc1)c1ccc([N+](=O)[O-])s1 ZINC000135350300 347906508 /nfs/dbraw/zinc/90/65/08/347906508.db2.gz NEHCMHANTMSONS-UHFFFAOYSA-N 0 0 254.292 2.970 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Sc1ccc2c(c1)CCC2 ZINC000137660964 347914222 /nfs/dbraw/zinc/91/42/22/347914222.db2.gz FXJKIBPBGSFUFE-UHFFFAOYSA-N 0 0 275.333 2.968 20 5 CFBDRN CCc1cccc(NC(=O)c2cc([N+](=O)[O-])cn2C)c1 ZINC000138083691 347915046 /nfs/dbraw/zinc/91/50/46/347915046.db2.gz JWPQRRXJCCLSAA-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN Cc1ccc(Cl)cc1NC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000138591474 347916522 /nfs/dbraw/zinc/91/65/22/347916522.db2.gz BJTAIIBXHWEQHF-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(-c2nc(-c3ccccc3)no2)n1 ZINC000139394679 347920177 /nfs/dbraw/zinc/92/01/77/347920177.db2.gz ZDROWKJJNYFJIU-UHFFFAOYSA-N 0 0 285.263 2.528 20 5 CFBDRN CC(C)(C)CCC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000151438295 347962429 /nfs/dbraw/zinc/96/24/29/347962429.db2.gz JQMQMGCZPZVUFZ-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H](C)C2)c1 ZINC000146665006 347945993 /nfs/dbraw/zinc/94/59/93/347945993.db2.gz DDKRBVIBOKCDNF-GHMZBOCLSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1ccnc(NCC2(CO)CCCCC2)c1[N+](=O)[O-] ZINC000157368422 347999593 /nfs/dbraw/zinc/99/95/93/347999593.db2.gz SQQFFXNKZQIHHG-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN Cc1csc(CCNc2ccc([N+](=O)[O-])c(C)n2)n1 ZINC000161514734 348024638 /nfs/dbraw/zinc/02/46/38/348024638.db2.gz HMNWBHGOECLXSF-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)NC1CC=CC1 ZINC000154419376 347979786 /nfs/dbraw/zinc/97/97/86/347979786.db2.gz TVMVBCNNKAFWCE-UHFFFAOYSA-N 0 0 278.333 2.522 20 5 CFBDRN O=C(NC1CC=CC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000154485045 347981110 /nfs/dbraw/zinc/98/11/10/347981110.db2.gz PYIWPYXBOJLAMX-UHFFFAOYSA-N 0 0 266.684 2.697 20 5 CFBDRN CC1CC(Nc2ncnc3ccc([N+](=O)[O-])cc32)C1 ZINC000167231616 348045009 /nfs/dbraw/zinc/04/50/09/348045009.db2.gz VZIZZLSRZDUTQE-UHFFFAOYSA-N 0 0 258.281 2.748 20 5 CFBDRN CS[C@@H](C)CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000167234585 348045203 /nfs/dbraw/zinc/04/52/03/348045203.db2.gz CCKVGFMHKNBQLM-QMMMGPOBSA-N 0 0 278.337 2.701 20 5 CFBDRN Cc1cc(=O)[nH]c(C=Cc2cccc([N+](=O)[O-])c2)n1 ZINC000167627696 348046363 /nfs/dbraw/zinc/04/63/63/348046363.db2.gz SWGKOQIDSASFLM-AATRIKPKSA-N 0 0 257.249 2.569 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@H]1C ZINC000172645997 348100787 /nfs/dbraw/zinc/10/07/87/348100787.db2.gz WABOGMKZWFUBSP-IUCAKERBSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1conc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000183388853 348125966 /nfs/dbraw/zinc/12/59/66/348125966.db2.gz HTDKXKYVNSERGP-QMMMGPOBSA-N 0 0 293.254 2.773 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H](C)C(C)C ZINC000172455191 348095184 /nfs/dbraw/zinc/09/51/84/348095184.db2.gz YMMAQBQEMIKTAQ-NSHDSACASA-N 0 0 264.325 2.925 20 5 CFBDRN CC(C)c1nc(COc2ccc([N+](=O)[O-])cc2)no1 ZINC000104055332 185887526 /nfs/dbraw/zinc/88/75/26/185887526.db2.gz MSUGVRCQJOHFNE-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCOCC2)c(F)c1 ZINC000086874264 179364472 /nfs/dbraw/zinc/36/44/72/179364472.db2.gz MVUJXMHVUIWLFG-VIFPVBQESA-N 0 0 254.261 2.715 20 5 CFBDRN CCc1cc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000234159103 348219195 /nfs/dbraw/zinc/21/91/95/348219195.db2.gz MMLAVZUGTCBGSI-SECBINFHSA-N 0 0 288.307 2.623 20 5 CFBDRN CN(Cc1ccsc1)C(=O)c1cc([O-])ccc1[N+](=O)[O-] ZINC000234286076 348220104 /nfs/dbraw/zinc/22/01/04/348220104.db2.gz ITCILSHSWQVOLG-UHFFFAOYSA-N 0 0 292.316 2.634 20 5 CFBDRN Cc1noc(C)c1[C@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000226774582 348184361 /nfs/dbraw/zinc/18/43/61/348184361.db2.gz ZDUNAXUMPLEQIB-YFKPBYRVSA-N 0 0 268.298 2.829 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC1CCC(O)CC1 ZINC000227710949 348189926 /nfs/dbraw/zinc/18/99/26/348189926.db2.gz KGXSKVLRKHNXFI-UHFFFAOYSA-N 0 0 264.325 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2C[C@H](OC)C2(C)C)c1 ZINC000227821616 348190626 /nfs/dbraw/zinc/19/06/26/348190626.db2.gz KAEPUYZOYUQMQV-OLZOCXBDSA-N 0 0 280.324 2.829 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])C1(C)C ZINC000227820662 348191167 /nfs/dbraw/zinc/19/11/67/348191167.db2.gz JWMLSMOMKXSEEJ-HTQZYQBOSA-N 0 0 296.352 2.529 20 5 CFBDRN CC(C)n1cc(OCCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000228150305 348194076 /nfs/dbraw/zinc/19/40/76/348194076.db2.gz FCBVCJZHKMJIBY-UHFFFAOYSA-N 0 0 275.308 2.994 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1-c1nc([C@@H]2CCC[NH2+]2)no1 ZINC000228560409 348195310 /nfs/dbraw/zinc/19/53/10/348195310.db2.gz ZMAZMJRYGDJIED-VIFPVBQESA-N 0 0 294.698 2.723 20 5 CFBDRN C[C@@H]1CCCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000086963914 179369598 /nfs/dbraw/zinc/36/95/98/179369598.db2.gz LWGJCSUUOAPWGT-GFCCVEGCSA-N 0 0 276.336 2.786 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC1CCCC1 ZINC000086965559 179370205 /nfs/dbraw/zinc/37/02/05/179370205.db2.gz SDMSHZOKAGQYIO-UHFFFAOYSA-N 0 0 262.309 2.586 20 5 CFBDRN C[C@@H]1OCC[C@]1(C)Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000230098297 348204513 /nfs/dbraw/zinc/20/45/13/348204513.db2.gz CONBQPJJZRANIJ-XPTSAGLGSA-N 0 0 288.307 2.517 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000230187157 348205355 /nfs/dbraw/zinc/20/53/55/348205355.db2.gz JBIXVMSPISSAHB-QWRGUYRKSA-N 0 0 265.313 2.524 20 5 CFBDRN CO[C@@H]1CC[C@@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000231685089 348208987 /nfs/dbraw/zinc/20/89/87/348208987.db2.gz AMQNEMPRFYUWGU-GHMZBOCLSA-N 0 0 250.298 2.883 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OC[C@H]1CCCCO1 ZINC000087026412 179382073 /nfs/dbraw/zinc/38/20/73/179382073.db2.gz XYHNIKYTINCGMR-CQSZACIVSA-N 0 0 293.319 2.640 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])c([O-])c1)c1ccsc1 ZINC000235498929 348228305 /nfs/dbraw/zinc/22/83/05/348228305.db2.gz PSCPYWOTNRQEOL-QMMMGPOBSA-N 0 0 292.316 2.853 20 5 CFBDRN O=C(C[C@H]1CCCCO1)Nc1cc([N+](=O)[O-])ccc1F ZINC000087060609 179389450 /nfs/dbraw/zinc/38/94/50/179389450.db2.gz OIYWRXMCGBTXQG-SNVBAGLBSA-N 0 0 282.271 2.632 20 5 CFBDRN CCCCN(C)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087054828 179389634 /nfs/dbraw/zinc/38/96/34/179389634.db2.gz HASYVISPHUNHTE-UHFFFAOYSA-N 0 0 264.325 2.786 20 5 CFBDRN CS[C@H](C)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000128827025 187392780 /nfs/dbraw/zinc/39/27/80/187392780.db2.gz YAVIMSYBDNAVAS-ZCFIWIBFSA-N 0 0 261.734 2.807 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CCOC[C@H]1C1CC1 ZINC000255087363 348302833 /nfs/dbraw/zinc/30/28/33/348302833.db2.gz WOSPDUCBFIFEIX-IMMZDGJCSA-N 0 0 288.347 2.719 20 5 CFBDRN C[C@H](NC(=O)C=C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000087142550 179397435 /nfs/dbraw/zinc/39/74/35/179397435.db2.gz SULNSZKDDXWSFL-JTQLQIEISA-N 0 0 260.293 2.882 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@H]1CCCSC1 ZINC000306080022 348383627 /nfs/dbraw/zinc/38/36/27/348383627.db2.gz CJAMHYXBSFMHCB-ZETCQYMHSA-N 0 0 273.745 2.951 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCc1cscn1 ZINC000309505882 348406547 /nfs/dbraw/zinc/40/65/47/348406547.db2.gz NASFCLCNJQQEHF-UHFFFAOYSA-N 0 0 255.324 2.767 20 5 CFBDRN Cc1nc(N[C@H]2CCS[C@H]2C)ccc1[N+](=O)[O-] ZINC000310450782 348409505 /nfs/dbraw/zinc/40/95/05/348409505.db2.gz BMQNLTWSQAMQLG-IUCAKERBSA-N 0 0 253.327 2.604 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC2CC=CC2)s1 ZINC000310618953 348410204 /nfs/dbraw/zinc/41/02/04/348410204.db2.gz GGCHKTBKBCETDH-UHFFFAOYSA-N 0 0 262.294 2.730 20 5 CFBDRN CCC[C@@H](CCO)CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000311649936 348413770 /nfs/dbraw/zinc/41/37/70/348413770.db2.gz ZFIRHFHXVDCOLA-VIFPVBQESA-N 0 0 287.747 2.854 20 5 CFBDRN O=[N+]([O-])c1cn(CCOCC2CCCC2)nc1C1CC1 ZINC000413007934 233001783 /nfs/dbraw/zinc/00/17/83/233001783.db2.gz WDXLZORYSBLCIY-UHFFFAOYSA-N 0 0 279.340 2.876 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCOCC(F)(F)F ZINC000311803461 348414544 /nfs/dbraw/zinc/41/45/44/348414544.db2.gz HJHLMAMIDKXVBI-UHFFFAOYSA-N 0 0 299.636 2.634 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000311815832 348414736 /nfs/dbraw/zinc/41/47/36/348414736.db2.gz JDAFNHFHEIQSOR-NSHDSACASA-N 0 0 274.267 2.961 20 5 CFBDRN COCC(C)(C)CNc1cc(OC)c(C)cc1[N+](=O)[O-] ZINC000312544414 348418944 /nfs/dbraw/zinc/41/89/44/348418944.db2.gz IUVIMDCJNZTSPK-UHFFFAOYSA-N 0 0 282.340 2.996 20 5 CFBDRN CCC(C)(C)OCCNc1cccnc1[N+](=O)[O-] ZINC000308514682 348404308 /nfs/dbraw/zinc/40/43/08/348404308.db2.gz RYQWDGGMYBHNEB-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN CC(C)(C)OCCNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000308529595 348404373 /nfs/dbraw/zinc/40/43/73/348404373.db2.gz MTQQDRRMIAGOFG-UHFFFAOYSA-N 0 0 278.312 2.698 20 5 CFBDRN C[C@H]1CN(Cc2cc(Cl)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000266921039 290861647 /nfs/dbraw/zinc/86/16/47/290861647.db2.gz OZZUZMHHFYGYGG-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN CC[C@H](Nc1c([N+](=O)[O-])nc(C)n1CC)C1CC1 ZINC000323882159 348438800 /nfs/dbraw/zinc/43/88/00/348438800.db2.gz NNJXIBAYEDFFFZ-JTQLQIEISA-N 0 0 252.318 2.720 20 5 CFBDRN CCc1nn(C)c(N[C@H](C(C)C)C2CC2)c1[N+](=O)[O-] ZINC000324207240 348438904 /nfs/dbraw/zinc/43/89/04/348438904.db2.gz CIFZWNVNOLGSPZ-LLVKDONJSA-N 0 0 266.345 2.737 20 5 CFBDRN Nc1ccc(N[C@H]2CCc3ccccc3C2)nc1[N+](=O)[O-] ZINC000313841815 348423741 /nfs/dbraw/zinc/42/37/41/348423741.db2.gz FZAQQVDDPJJNMN-LBPRGKRZSA-N 0 0 284.319 2.541 20 5 CFBDRN CC1CCC(O)(C[NH2+]Cc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000313921354 348424857 /nfs/dbraw/zinc/42/48/57/348424857.db2.gz KWFOJPBEBPKKRC-UHFFFAOYSA-N 0 0 296.342 2.765 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@H](O)C2)c([N+](=O)[O-])cc1C ZINC000314715327 348426712 /nfs/dbraw/zinc/42/67/12/348426712.db2.gz IKWRPACOSCPEOH-NEPJUHHUSA-N 0 0 294.351 2.875 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1C[C@@H]1C ZINC000273400428 192138369 /nfs/dbraw/zinc/13/83/69/192138369.db2.gz QWFGLSLOAOMABE-QYXRMFJKSA-N 0 0 274.320 2.770 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000596825240 349993793 /nfs/dbraw/zinc/99/37/93/349993793.db2.gz AKBIEWWSQQXCJR-RTXFEEFZSA-N 0 0 274.320 2.647 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1CCC[C@H]2C[C@H]21 ZINC000596825238 349993900 /nfs/dbraw/zinc/99/39/00/349993900.db2.gz AKBIEWWSQQXCJR-CYZMBNFOSA-N 0 0 274.320 2.647 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1)C1CC1 ZINC000596830195 349994379 /nfs/dbraw/zinc/99/43/79/349994379.db2.gz AYVOVJPLHYTDFG-NSHDSACASA-N 0 0 293.323 2.914 20 5 CFBDRN COC[C@@H]1CCN(c2ccc([N+](=O)[O-])c3ncccc23)C1 ZINC000413151987 233024747 /nfs/dbraw/zinc/02/47/47/233024747.db2.gz HCNUQZBWIFFZTK-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN COC[C@H](C)Cc1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000351469363 348496840 /nfs/dbraw/zinc/49/68/40/348496840.db2.gz VDMJHLPYLSFDSM-MRVPVSSYSA-N 0 0 295.270 2.609 20 5 CFBDRN C[C@@H](CCCO)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413190815 233031749 /nfs/dbraw/zinc/03/17/49/233031749.db2.gz XQOUTLOAWHQYGQ-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCCC[C@@H]1CCOC1 ZINC000401123026 348577115 /nfs/dbraw/zinc/57/71/15/348577115.db2.gz KGYGVDQMFFLENU-GFCCVEGCSA-N 0 0 293.319 2.993 20 5 CFBDRN C[C@H](CNc1ncc(F)cc1[N+](=O)[O-])c1nccs1 ZINC000401150706 348577307 /nfs/dbraw/zinc/57/73/07/348577307.db2.gz FIMYGWAFAFKQLM-SSDOTTSWSA-N 0 0 282.300 2.801 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC(C1CC1)C1CC1 ZINC000401227540 348578125 /nfs/dbraw/zinc/57/81/25/348578125.db2.gz DTKRWPXCIXCLAW-UHFFFAOYSA-N 0 0 251.261 2.729 20 5 CFBDRN CCc1nc(C)c(CNc2ncc(F)cc2[N+](=O)[O-])o1 ZINC000401188909 348578236 /nfs/dbraw/zinc/57/82/36/348578236.db2.gz PDGHBJONYFPYCP-UHFFFAOYSA-N 0 0 280.259 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cc(C3CC3)cn2)c(F)c1 ZINC000402089561 348580986 /nfs/dbraw/zinc/58/09/86/348580986.db2.gz IWSYTLLYXCJDMA-UHFFFAOYSA-N 0 0 261.256 2.856 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2cc(C(F)(F)F)ncc2[N+](=O)[O-])CO1 ZINC000402340110 348581472 /nfs/dbraw/zinc/58/14/72/348581472.db2.gz VZTFFOUFYBIFCN-RNFRBKRXSA-N 0 0 291.229 2.598 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2ncc([N+](=O)[O-])cc2F)C1 ZINC000413259229 233042661 /nfs/dbraw/zinc/04/26/61/233042661.db2.gz DBJYAZDACCBIHF-RKDXNWHRSA-N 0 0 253.277 2.977 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403437730 348585173 /nfs/dbraw/zinc/58/51/73/348585173.db2.gz CFGKUDUPWRWOHP-XHNCKOQMSA-N 0 0 267.716 2.666 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403561947 348585862 /nfs/dbraw/zinc/58/58/62/348585862.db2.gz VLGYOMZIGUSWSG-GRYCIOLGSA-N 0 0 278.356 2.968 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413230185 233038376 /nfs/dbraw/zinc/03/83/76/233038376.db2.gz ADPUFPMFSQHPIO-UWVGGRQHSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCC2(F)F)c(F)c1 ZINC000413309918 233050587 /nfs/dbraw/zinc/05/05/87/233050587.db2.gz GIVUKTCWQWVJHE-SSDOTTSWSA-N 0 0 275.230 2.976 20 5 CFBDRN CSCCCSc1ncc([N+](=O)[O-])cc1F ZINC000413322009 233052640 /nfs/dbraw/zinc/05/26/40/233052640.db2.gz QYSDFHLCWURVPF-UHFFFAOYSA-N 0 0 262.331 2.974 20 5 CFBDRN Cc1ccc(NCCc2cnc(C)cn2)c([N+](=O)[O-])c1 ZINC000413346424 233057496 /nfs/dbraw/zinc/05/74/96/233057496.db2.gz HXWIHHUBZGBABW-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN C[C@@H](CCCO)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000088503670 179615037 /nfs/dbraw/zinc/61/50/37/179615037.db2.gz CFSAZAFPQIYWJU-QMMMGPOBSA-N 0 0 258.705 2.821 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC2(CCOC2)CC1 ZINC000588040074 348767826 /nfs/dbraw/zinc/76/78/26/348767826.db2.gz ISMTZPGBPYFTNB-UHFFFAOYSA-N 0 0 262.309 2.602 20 5 CFBDRN C[C@@H](CNC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000588894478 348800637 /nfs/dbraw/zinc/80/06/37/348800637.db2.gz JXAZODWWIGWQCI-QMMMGPOBSA-N 0 0 298.289 2.578 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCC[C@@H]4C[C@@H]43)c2c1 ZINC000588066528 348770050 /nfs/dbraw/zinc/77/00/50/348770050.db2.gz QZDYDDBKJMBYMH-RNCFNFMXSA-N 0 0 270.292 2.527 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC1(C(F)(F)F)CC1 ZINC000588074554 348770577 /nfs/dbraw/zinc/77/05/77/348770577.db2.gz BQHWJAPIUPIXCZ-UHFFFAOYSA-N 0 0 261.203 2.744 20 5 CFBDRN C[C@H]1COCC[C@H]1CNc1sccc1[N+](=O)[O-] ZINC000588075379 348770613 /nfs/dbraw/zinc/77/06/13/348770613.db2.gz WMSHRUIJGIETNB-IUCAKERBSA-N 0 0 256.327 2.741 20 5 CFBDRN C[C@H]1C[C@@H]([NH+]2CCCC2)CN1c1ccsc1[N+](=O)[O-] ZINC000588071875 348770685 /nfs/dbraw/zinc/77/06/85/348770685.db2.gz LGGGRRNJWBDHNQ-WDEREUQCSA-N 0 0 281.381 2.719 20 5 CFBDRN CCC[C@H](C)NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000588092106 348770923 /nfs/dbraw/zinc/77/09/23/348770923.db2.gz AURWGMFOPACORT-LBPRGKRZSA-N 0 0 293.367 2.967 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCc1nnc(C(C)(C)C)[nH]1 ZINC000413415938 233069493 /nfs/dbraw/zinc/06/94/93/233069493.db2.gz OOOCCTRSQPQQRN-UHFFFAOYSA-N 0 0 289.339 2.931 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCC(C)(C)C1 ZINC000588817393 348796742 /nfs/dbraw/zinc/79/67/42/348796742.db2.gz LOLSWGUCSQZLQO-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CC[C@@H](C(F)F)C2)c(F)c1 ZINC000586768404 348749250 /nfs/dbraw/zinc/74/92/50/348749250.db2.gz HMRJJRKRIFWHOM-SSDOTTSWSA-N 0 0 292.232 2.960 20 5 CFBDRN C[C@@H]1CC(Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C[C@@H](C)C1 ZINC000587982728 348764112 /nfs/dbraw/zinc/76/41/12/348764112.db2.gz SAXUWMJECYNRJL-UWVGGRQHSA-N 0 0 293.367 2.906 20 5 CFBDRN CC(=O)c1cc(N2CC[C@H](C)[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000588012999 348765912 /nfs/dbraw/zinc/76/59/12/348765912.db2.gz JYDIPNNWAFLWIB-ZANVPECISA-N 0 0 280.299 2.982 20 5 CFBDRN C[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@@H]1F ZINC000588013067 348766523 /nfs/dbraw/zinc/76/65/23/348766523.db2.gz VNJWJWBMIWYYAP-WPRPVWTQSA-N 0 0 256.252 2.918 20 5 CFBDRN C[C@@H]1CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)CC1(C)C ZINC000588017384 348766711 /nfs/dbraw/zinc/76/67/11/348766711.db2.gz TXBMHLPWFOFOQH-SNVBAGLBSA-N 0 0 293.367 2.542 20 5 CFBDRN COc1ccc(Nc2c(Cl)cncc2[N+](=O)[O-])cc1 ZINC000589104286 348810827 /nfs/dbraw/zinc/81/08/27/348810827.db2.gz PSOBFZJQMKRQNT-UHFFFAOYSA-N 0 0 279.683 2.817 20 5 CFBDRN C[C@@H](O)CCNc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000589113907 348811351 /nfs/dbraw/zinc/81/13/51/348811351.db2.gz SZWITKHRHWGMQE-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000589113652 348811504 /nfs/dbraw/zinc/81/15/04/348811504.db2.gz XKICGOCKLHKSMQ-WZRBSPASSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@H]1C[C@H](Nc2nccc3cc([N+](=O)[O-])ccc32)CS1 ZINC000413503704 233085755 /nfs/dbraw/zinc/08/57/55/233085755.db2.gz BOYHDYQWFDPTPK-ONGXEEELSA-N 0 0 289.360 2.871 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCc1cnccc1C(C)(C)C ZINC000413509588 233086800 /nfs/dbraw/zinc/08/68/00/233086800.db2.gz HRGSBCWVUNFQKP-UHFFFAOYSA-N 0 0 289.339 2.633 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)[C@@](C)(OC)C1CC1 ZINC000413515471 233087004 /nfs/dbraw/zinc/08/70/04/233087004.db2.gz KCTNPTYSYIQOQH-LKFCYVNXSA-N 0 0 296.371 2.735 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc(F)cc2[N+](=O)[O-])[C@H](C)O1 ZINC000413528714 233090600 /nfs/dbraw/zinc/09/06/00/233090600.db2.gz HJBQVFYFILBPDH-UTLUCORTSA-N 0 0 268.288 2.959 20 5 CFBDRN Cc1cc(N[C@H](C)c2ccn(C)n2)c(F)cc1[N+](=O)[O-] ZINC000413444416 233074017 /nfs/dbraw/zinc/07/40/17/233074017.db2.gz BRTIKWGYKVDXJX-SECBINFHSA-N 0 0 278.287 2.949 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)NCCCC1CC1 ZINC000588929590 348804924 /nfs/dbraw/zinc/80/49/24/348804924.db2.gz VQZKEJUFXCIBKT-UHFFFAOYSA-N 0 0 298.289 2.722 20 5 CFBDRN C[C@@H](NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CCC1 ZINC000588950605 348805405 /nfs/dbraw/zinc/80/54/05/348805405.db2.gz ISJMTPXSTJTBTB-MRVPVSSYSA-N 0 0 298.289 2.720 20 5 CFBDRN Cc1cc(N2CCC[C@H](CO)[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000413595979 233101548 /nfs/dbraw/zinc/10/15/48/233101548.db2.gz QMKYXUUVMYXBJS-GHMZBOCLSA-N 0 0 282.315 2.640 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3cncn3C2)c(F)cc1[N+](=O)[O-] ZINC000589692672 348850861 /nfs/dbraw/zinc/85/08/61/348850861.db2.gz SVQBLJNYGQTHSC-SNVBAGLBSA-N 0 0 290.298 2.666 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000589709058 348852915 /nfs/dbraw/zinc/85/29/15/348852915.db2.gz ZJCVGDLWZSAZRO-BXUZGUMPSA-N 0 0 288.347 2.916 20 5 CFBDRN O=C(CCCC1CC1)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000589709018 348852920 /nfs/dbraw/zinc/85/29/20/348852920.db2.gz KPQAHUUNTBTKDX-UHFFFAOYSA-N 0 0 288.307 2.990 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CC(C2CC2)C1 ZINC000589725529 348853675 /nfs/dbraw/zinc/85/36/75/348853675.db2.gz PLUQICGZPLQUOZ-UHFFFAOYSA-N 0 0 280.711 2.730 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H]2c2ccco2)ncc1[N+](=O)[O-] ZINC000413602048 233103047 /nfs/dbraw/zinc/10/30/47/233103047.db2.gz IUOCBLNADJIVRE-NXEZZACHSA-N 0 0 259.265 2.859 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@H]2c2ccco2)nc2sccn21 ZINC000413607396 233104179 /nfs/dbraw/zinc/10/41/79/233104179.db2.gz RLJCQXQKGPPYBA-HTQZYQBOSA-N 0 0 290.304 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCc2cccnc21 ZINC000589829503 348858715 /nfs/dbraw/zinc/85/87/15/348858715.db2.gz NYXYRMDWJOMEGI-ZDUSSCGKSA-N 0 0 297.314 2.967 20 5 CFBDRN Cc1ncccc1Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000589857309 348861128 /nfs/dbraw/zinc/86/11/28/348861128.db2.gz WOEVEGFHQDVHPW-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCOC[C@@H](C2CCC2)C1 ZINC000589878668 348862582 /nfs/dbraw/zinc/86/25/82/348862582.db2.gz QKZWTKXJFURSLS-NSHDSACASA-N 0 0 282.365 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@H](C3CCC3)C2)s1 ZINC000589878633 348862622 /nfs/dbraw/zinc/86/26/22/348862622.db2.gz HBJZHGDHWKSPFF-LLVKDONJSA-N 0 0 282.365 2.909 20 5 CFBDRN Nc1cc(N[C@H]2CC3CCC2CC3)cc(CO)c1[N+](=O)[O-] ZINC000590062225 348872558 /nfs/dbraw/zinc/87/25/58/348872558.db2.gz AZWSRKXUVIFKJV-FDZGAKKTSA-N 0 0 291.351 2.660 20 5 CFBDRN Cc1cc(CNc2ccnc3c2cccc3[N+](=O)[O-])ncn1 ZINC000590082313 348873237 /nfs/dbraw/zinc/87/32/37/348873237.db2.gz FUMRPFNEBPDTEZ-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN CCc1nocc1CN(C)c1ccc([N+](=O)[O-])s1 ZINC000590146163 348878442 /nfs/dbraw/zinc/87/84/42/348878442.db2.gz FWFVVGMWFHEKCW-UHFFFAOYSA-N 0 0 267.310 2.843 20 5 CFBDRN CC(C)[C@]1(CO)CCCN(c2sccc2[N+](=O)[O-])C1 ZINC000590146832 348878610 /nfs/dbraw/zinc/87/86/10/348878610.db2.gz WXYNPFIMNJJDNF-CYBMUJFWSA-N 0 0 284.381 2.891 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCC[C@H]1C(C)(C)C ZINC000413572389 233097981 /nfs/dbraw/zinc/09/79/81/233097981.db2.gz IFFJVLCTQPSBNE-NXEZZACHSA-N 0 0 266.345 2.955 20 5 CFBDRN CC(C)[C@H]1C[C@H](CNc2cccnc2[N+](=O)[O-])CCO1 ZINC000590716100 348934925 /nfs/dbraw/zinc/93/49/25/348934925.db2.gz SEQWFMOJUGOPDZ-DGCLKSJQSA-N 0 0 279.340 2.853 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@@H]1C ZINC000590717621 348935013 /nfs/dbraw/zinc/93/50/13/348935013.db2.gz QBOUYAMGWUMUCM-IUCAKERBSA-N 0 0 256.327 2.518 20 5 CFBDRN CCO[C@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@H]1C ZINC000590718147 348935039 /nfs/dbraw/zinc/93/50/39/348935039.db2.gz KJVZURIXPWANIO-ZJUUUORDSA-N 0 0 270.354 2.908 20 5 CFBDRN CO[C@@H]1CCN(c2sccc2[N+](=O)[O-])C[C@@H]1C ZINC000590717613 348935051 /nfs/dbraw/zinc/93/50/51/348935051.db2.gz OKBJWMDZRMXJCO-WCBMZHEXSA-N 0 0 256.327 2.518 20 5 CFBDRN CCO[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@@H]1C ZINC000590718099 348935100 /nfs/dbraw/zinc/93/51/00/348935100.db2.gz FAZPUAZORCHJLV-GXSJLCMTSA-N 0 0 270.354 2.908 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC(C2CC2)CC1 ZINC000590426715 348899937 /nfs/dbraw/zinc/89/99/37/348899937.db2.gz UDSHBFNDSCUURE-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN COC(=O)c1ccnc(Nc2ccc(C)cc2)c1[N+](=O)[O-] ZINC000590569821 348910157 /nfs/dbraw/zinc/91/01/57/348910157.db2.gz SXTDTXONYIKBMK-UHFFFAOYSA-N 0 0 287.275 2.828 20 5 CFBDRN Cc1nsc(C)c1Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000590597241 348913181 /nfs/dbraw/zinc/91/31/81/348913181.db2.gz DKAYZZVJMBEJLP-UHFFFAOYSA-N 0 0 278.337 2.790 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)Cc1ncc[nH]1 ZINC000590600423 348914058 /nfs/dbraw/zinc/91/40/58/348914058.db2.gz OHOODZWBMPGWHT-SNVBAGLBSA-N 0 0 260.297 2.511 20 5 CFBDRN Cc1nc(N(C)[C@H]2CCC[C@H](C)C2)ncc1[N+](=O)[O-] ZINC000590685507 348927646 /nfs/dbraw/zinc/92/76/46/348927646.db2.gz GMQRWQOYRWAHEE-ONGXEEELSA-N 0 0 264.329 2.708 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCC[C@H]2C[C@H]21 ZINC000591081056 348985825 /nfs/dbraw/zinc/98/58/25/348985825.db2.gz MARANJRNONKHMR-SPWCGHHHSA-N 0 0 286.331 2.709 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H](c3ccco3)C2)c(F)c1 ZINC000591147029 348992482 /nfs/dbraw/zinc/99/24/82/348992482.db2.gz DBAPAGCCZPXFIK-SECBINFHSA-N 0 0 277.255 2.716 20 5 CFBDRN C[C@@H](CCO)Sc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000591148855 348993029 /nfs/dbraw/zinc/99/30/29/348993029.db2.gz GXKNSMSJTDTTAA-LURJTMIESA-N 0 0 296.270 2.872 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@H]2CCCCO2)c(F)c1 ZINC000591155142 348994179 /nfs/dbraw/zinc/99/41/79/348994179.db2.gz SHKAVMHVVUQTIX-LLVKDONJSA-N 0 0 283.303 2.890 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC([C@H]4CCOC4)C3)c2c1 ZINC000591159710 348994793 /nfs/dbraw/zinc/99/47/93/348994793.db2.gz OSXALQIPOXMPSK-LBPRGKRZSA-N 0 0 299.330 2.616 20 5 CFBDRN CO[C@H]1C[C@H](N(C)c2ncc([N+](=O)[O-])cc2F)C12CCC2 ZINC000591163078 348995765 /nfs/dbraw/zinc/99/57/65/348995765.db2.gz HCCQHKSCRXAIMD-RYUDHWBXSA-N 0 0 295.314 2.523 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(C3CC3)C2)c(C(F)(F)F)c1 ZINC000591162976 348995832 /nfs/dbraw/zinc/99/58/32/348995832.db2.gz JERSSUGMPFAXLO-UHFFFAOYSA-N 0 0 287.241 2.855 20 5 CFBDRN C[C@H](CC(C)(C)C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000591166766 348996305 /nfs/dbraw/zinc/99/63/05/348996305.db2.gz HESZCMOKPKYBGQ-SECBINFHSA-N 0 0 281.356 2.906 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000590893974 348962921 /nfs/dbraw/zinc/96/29/21/348962921.db2.gz FMKDSJLJTCBJSE-JSGCOSHPSA-N 0 0 277.324 2.544 20 5 CFBDRN C[C@H]1C[C@H]1N(C(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000591310387 349007189 /nfs/dbraw/zinc/00/71/89/349007189.db2.gz JGUXTQQNQBPUKA-SMDDNHRTSA-N 0 0 299.330 2.989 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])s1)C(=O)OC(C)(C)C ZINC000591366369 349012462 /nfs/dbraw/zinc/01/24/62/349012462.db2.gz IKHUFLUPDOXTMA-SSDOTTSWSA-N 0 0 272.326 2.798 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])s1)C(=O)OC(C)(C)C ZINC000591366370 349012573 /nfs/dbraw/zinc/01/25/73/349012573.db2.gz IKHUFLUPDOXTMA-ZETCQYMHSA-N 0 0 272.326 2.798 20 5 CFBDRN C[C@@H]1[C@H](C)CN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)[C@H]1C ZINC000591369159 349013275 /nfs/dbraw/zinc/01/32/75/349013275.db2.gz XKXXKYUEQAJWRU-BBBLOLIVSA-N 0 0 274.324 2.952 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC(C(C)C)C1 ZINC000591529700 349028525 /nfs/dbraw/zinc/02/85/25/349028525.db2.gz GYDJDXFGKFWAFL-UHFFFAOYSA-N 0 0 276.336 2.940 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000591981650 349069005 /nfs/dbraw/zinc/06/90/05/349069005.db2.gz MHIRXIYQFSTXLS-MWLCHTKSSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000591980705 349069082 /nfs/dbraw/zinc/06/90/82/349069082.db2.gz GRTPHRUKBQIPLO-JOYOIKCWSA-N 0 0 281.356 2.816 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000591981649 349069092 /nfs/dbraw/zinc/06/90/92/349069092.db2.gz MHIRXIYQFSTXLS-KOLCDFICSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000591980446 349069167 /nfs/dbraw/zinc/06/91/67/349069167.db2.gz DFHGEJYRFACBLP-QWRGUYRKSA-N 0 0 252.314 2.804 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000591981608 349069295 /nfs/dbraw/zinc/06/92/95/349069295.db2.gz LHFGCHKANFQECI-UWVGGRQHSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@H](C)C[C@@H](CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000591981065 349069307 /nfs/dbraw/zinc/06/93/07/349069307.db2.gz KVDHSVINASTRKK-IUCAKERBSA-N 0 0 298.368 2.513 20 5 CFBDRN Cc1cc(N2CC3(C[C@@H]2C)CCOCC3)ncc1[N+](=O)[O-] ZINC000591993956 349071786 /nfs/dbraw/zinc/07/17/86/349071786.db2.gz ZTGBGFSSMQDQRF-LBPRGKRZSA-N 0 0 291.351 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCC[C@H]1CCOC1)CC2 ZINC000592101193 349083553 /nfs/dbraw/zinc/08/35/53/349083553.db2.gz XECKPUCQFUABAM-LBPRGKRZSA-N 0 0 276.336 2.774 20 5 CFBDRN COc1ccc(OCCC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000592343095 349105744 /nfs/dbraw/zinc/10/57/44/349105744.db2.gz BLYHCXKSJAUZFG-LLVKDONJSA-N 0 0 281.308 2.799 20 5 CFBDRN CC[C@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2C)C1 ZINC000129415335 187428981 /nfs/dbraw/zinc/42/89/81/187428981.db2.gz PDABHOWVMJBJDK-LBPRGKRZSA-N 0 0 276.336 2.704 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCC[C@H](F)C1 ZINC000591958248 349064806 /nfs/dbraw/zinc/06/48/06/349064806.db2.gz PLIKPLDHKPYVCA-VHSXEESVSA-N 0 0 270.308 2.812 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCC[C@H](F)C1 ZINC000591958247 349064891 /nfs/dbraw/zinc/06/48/91/349064891.db2.gz PLIKPLDHKPYVCA-UWVGGRQHSA-N 0 0 270.308 2.812 20 5 CFBDRN CCCC[C@](C)(CO)Nc1ccccc1[N+](=O)[O-] ZINC000591975306 349067644 /nfs/dbraw/zinc/06/76/44/349067644.db2.gz SLKBNHTZEBJUOK-CYBMUJFWSA-N 0 0 252.314 2.948 20 5 CFBDRN CCCC[C@](C)(CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000591974661 349067890 /nfs/dbraw/zinc/06/78/90/349067890.db2.gz OZQXFGQKECFIOR-CYBMUJFWSA-N 0 0 252.314 2.948 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000592666905 349147842 /nfs/dbraw/zinc/14/78/42/349147842.db2.gz VAXKXTALYITYKV-RYUDHWBXSA-N 0 0 280.299 2.914 20 5 CFBDRN C[C@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)CC(F)F ZINC000592671209 349148609 /nfs/dbraw/zinc/14/86/09/349148609.db2.gz FKBGNBKTUOEMMC-ZETCQYMHSA-N 0 0 290.241 2.755 20 5 CFBDRN C[C@@H](CNC(=O)Cc1ccc([N+](=O)[O-])cc1)CC(F)F ZINC000592671484 349148691 /nfs/dbraw/zinc/14/86/91/349148691.db2.gz GXEGBGQPAVXBIP-SECBINFHSA-N 0 0 286.278 2.545 20 5 CFBDRN Cc1ccccc1C1(NC(=O)c2ccc([N+](=O)[O-])o2)CC1 ZINC000592670913 349148715 /nfs/dbraw/zinc/14/87/15/349148715.db2.gz DGROOCRHTIIQHR-UHFFFAOYSA-N 0 0 286.287 2.915 20 5 CFBDRN Cc1c(C(=O)NC[C@H](C)CC(F)F)cccc1[N+](=O)[O-] ZINC000592672905 349149439 /nfs/dbraw/zinc/14/94/39/349149439.db2.gz PRCHMDOVHTZVNX-MRVPVSSYSA-N 0 0 286.278 2.924 20 5 CFBDRN CC[C@H](C)Cn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000592359320 349108781 /nfs/dbraw/zinc/10/87/81/349108781.db2.gz AWEHVJUZKZPKIT-JTQLQIEISA-N 0 0 260.293 2.956 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000592903883 349176754 /nfs/dbraw/zinc/17/67/54/349176754.db2.gz SSHSTYRZDAZJNM-ZMLRMANQSA-N 0 0 299.330 2.995 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CCCCCC1 ZINC000592997749 349183528 /nfs/dbraw/zinc/18/35/28/349183528.db2.gz RSGNMDZPAYBWLW-UHFFFAOYSA-N 0 0 280.299 2.679 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000592998814 349183783 /nfs/dbraw/zinc/18/37/83/349183783.db2.gz XIYSUEKIZLVXFS-GWCFXTLKSA-N 0 0 294.326 2.971 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000592732411 349157889 /nfs/dbraw/zinc/15/78/89/349157889.db2.gz IOYCWUWHBMGWNR-ZMLRMANQSA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCS[C@H]2C)c1[N+](=O)[O-] ZINC000592732493 349157921 /nfs/dbraw/zinc/15/79/21/349157921.db2.gz AWDAKZNKWCOSPF-ONGXEEELSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCS[C@H]1C ZINC000592735110 349159066 /nfs/dbraw/zinc/15/90/66/349159066.db2.gz KLQJQOPOICKTMG-CABZTGNLSA-N 0 0 280.349 2.527 20 5 CFBDRN CCN(CCSC)C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000592745323 349163494 /nfs/dbraw/zinc/16/34/94/349163494.db2.gz TWLHKZPSTDPEMZ-UHFFFAOYSA-N 0 0 282.365 2.728 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCCCO1 ZINC000592828591 349169608 /nfs/dbraw/zinc/16/96/08/349169608.db2.gz WYORCIUWUFBEEE-NSHDSACASA-N 0 0 293.323 2.534 20 5 CFBDRN O=[N+]([O-])c1cnc(N(CCC(F)(F)F)CC2CC2)nc1 ZINC000273996374 192394458 /nfs/dbraw/zinc/39/44/58/192394458.db2.gz SIBQTYLTKFHIBV-UHFFFAOYSA-N 0 0 290.245 2.554 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CCC1 ZINC000593107220 349210468 /nfs/dbraw/zinc/21/04/68/349210468.db2.gz BBTJXEXMWRGASV-LSDHHAIUSA-N 0 0 288.347 2.690 20 5 CFBDRN CCC(C)(C)CNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593142623 349219635 /nfs/dbraw/zinc/21/96/35/349219635.db2.gz VVAGHJWJZGPIOD-UHFFFAOYSA-N 0 0 282.315 2.829 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593173972 349223650 /nfs/dbraw/zinc/22/36/50/349223650.db2.gz JCMICFFDMQWBSO-SNVBAGLBSA-N 0 0 294.326 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cc3n(n2)CCC3)c(Cl)c1 ZINC000593233994 349232502 /nfs/dbraw/zinc/23/25/02/349232502.db2.gz AQSYPTTXKSVXRD-UHFFFAOYSA-N 0 0 293.710 2.970 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NC[C@@H]1CC=CCC1 ZINC000593042086 349193556 /nfs/dbraw/zinc/19/35/56/349193556.db2.gz XQGBOWVTHOGEEV-LLVKDONJSA-N 0 0 292.310 2.749 20 5 CFBDRN CC[C@H]1COC(C)(C)CN1Cc1cccc([N+](=O)[O-])c1 ZINC000593056214 349196330 /nfs/dbraw/zinc/19/63/30/349196330.db2.gz BGZGUMZVDSVSSM-ZDUSSCGKSA-N 0 0 278.352 2.984 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@H]1CC=CCC1 ZINC000593065721 349200492 /nfs/dbraw/zinc/20/04/92/349200492.db2.gz GZLLMJUEKRLHKN-LBPRGKRZSA-N 0 0 278.283 2.501 20 5 CFBDRN CC1(CNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])CCC1 ZINC000593079134 349202887 /nfs/dbraw/zinc/20/28/87/349202887.db2.gz HGHFFYXIZNEEIE-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593081407 349204172 /nfs/dbraw/zinc/20/41/72/349204172.db2.gz CVESSBOMFHPPEJ-VHSXEESVSA-N 0 0 280.299 2.533 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCC1(CO)CCC1 ZINC000593512675 349287913 /nfs/dbraw/zinc/28/79/13/349287913.db2.gz RUVKRNFBRSDMOB-UHFFFAOYSA-N 0 0 294.351 2.706 20 5 CFBDRN C[C@@H](CCO)N(C)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000593517847 349288457 /nfs/dbraw/zinc/28/84/57/349288457.db2.gz HDFDLCXOIXTNCR-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN C[C@H](CCO)N(C)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000593516576 349288544 /nfs/dbraw/zinc/28/85/44/349288544.db2.gz OYSNVONUUGBZPV-LLVKDONJSA-N 0 0 296.367 2.979 20 5 CFBDRN CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000593296021 349242412 /nfs/dbraw/zinc/24/24/12/349242412.db2.gz PCMSCYVNTPRFPV-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1nn(Cc2cc(OC(C)C)ccn2)c(C)c1[N+](=O)[O-] ZINC000593417195 349265056 /nfs/dbraw/zinc/26/50/56/349265056.db2.gz APVXAZACTPQMME-UHFFFAOYSA-N 0 0 290.323 2.639 20 5 CFBDRN CSCCNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593455468 349273976 /nfs/dbraw/zinc/27/39/76/349273976.db2.gz UHPKSBGESMICJC-UHFFFAOYSA-N 0 0 263.322 2.918 20 5 CFBDRN CC(C)[C@@H](CCO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593465756 349276742 /nfs/dbraw/zinc/27/67/42/349276742.db2.gz SJUQQBNIIIMHHK-CYBMUJFWSA-N 0 0 289.335 2.962 20 5 CFBDRN CN(CCCCCO)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593469037 349276787 /nfs/dbraw/zinc/27/67/87/349276787.db2.gz KRMAXFKQPTYKHL-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccnc3cc([N+](=O)[O-])ccc32)CO1 ZINC000593479058 349279172 /nfs/dbraw/zinc/27/91/72/349279172.db2.gz VGPFWDIATUYFHI-ZJUUUORDSA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N1C[C@@H]2C[C@H]1CS2 ZINC000593480821 349279385 /nfs/dbraw/zinc/27/93/85/349279385.db2.gz XTZMPRCJHJVSJT-QWRGUYRKSA-N 0 0 287.344 2.837 20 5 CFBDRN COc1cccc(N2CCCC(F)(F)C2)c1[N+](=O)[O-] ZINC000593486982 349281829 /nfs/dbraw/zinc/28/18/29/349281829.db2.gz JEOHILBADJEBJH-UHFFFAOYSA-N 0 0 272.251 2.839 20 5 CFBDRN Cc1cc(N[C@@H](C2CC2)C2CCOCC2)ncc1[N+](=O)[O-] ZINC000593490673 349283023 /nfs/dbraw/zinc/28/30/23/349283023.db2.gz DLUZICDZHJWANJ-HNNXBMFYSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cc(N[C@H](C2CC2)C2CCOCC2)ncc1[N+](=O)[O-] ZINC000593490674 349283228 /nfs/dbraw/zinc/28/32/28/349283228.db2.gz DLUZICDZHJWANJ-OAHLLOKOSA-N 0 0 291.351 2.915 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)[C@@H](C)CO1 ZINC000593492531 349283717 /nfs/dbraw/zinc/28/37/17/349283717.db2.gz OQHFNZZIOBTQJU-WCQYABFASA-N 0 0 264.325 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000593493366 349283749 /nfs/dbraw/zinc/28/37/49/349283749.db2.gz LYMHWKSCKDOOKS-KMUNFCNLSA-N 0 0 285.303 2.875 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](C1CC1)C1CCOCC1 ZINC000593492545 349284016 /nfs/dbraw/zinc/28/40/16/349284016.db2.gz PPZJWGTYTVAGLH-CYBMUJFWSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@H]1CN(c2ncc(C)cc2[N+](=O)[O-])[C@@H](CC)CO1 ZINC000593495461 349284545 /nfs/dbraw/zinc/28/45/45/349284545.db2.gz ZBFDPCUIMVAWEH-RYUDHWBXSA-N 0 0 279.340 2.692 20 5 CFBDRN CCN(c1ccccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000593497062 349285031 /nfs/dbraw/zinc/28/50/31/349285031.db2.gz ZJJLBIQTSSQWIU-NSHDSACASA-N 0 0 250.298 2.600 20 5 CFBDRN Cc1cnc(N[C@@H](C)COCC2CC2)c([N+](=O)[O-])c1 ZINC000593509541 349287206 /nfs/dbraw/zinc/28/72/06/349287206.db2.gz WOAIFUWAZUUDFE-JTQLQIEISA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CCc2ccccc21 ZINC000593855935 349331559 /nfs/dbraw/zinc/33/15/59/349331559.db2.gz RPHZUPGFRYBILF-LLVKDONJSA-N 0 0 299.330 2.725 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC1CC2(CCC2)C1 ZINC000593883160 349332132 /nfs/dbraw/zinc/33/21/32/349332132.db2.gz UOYAGDQSSQNKQY-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN O=C([O-])c1ccc([N+](=O)[O-])c(C[NH+]2CCCCCC2)c1 ZINC000593732873 349316019 /nfs/dbraw/zinc/31/60/19/349316019.db2.gz SRIDRYXIGBJMRF-UHFFFAOYSA-N 0 0 278.308 2.669 20 5 CFBDRN CCC[N@@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])CC1CC1 ZINC000593731958 349316494 /nfs/dbraw/zinc/31/64/94/349316494.db2.gz IXIYEOSJCQMIAZ-UHFFFAOYSA-N 0 0 292.335 2.915 20 5 CFBDRN CC(C)[N@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])C1CC1 ZINC000593735484 349317112 /nfs/dbraw/zinc/31/71/12/349317112.db2.gz OZJDOVXURITIFF-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN CC1(C)CC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593736573 349317197 /nfs/dbraw/zinc/31/71/97/349317197.db2.gz NLCSDZNWJTWKCK-UHFFFAOYSA-N 0 0 278.308 2.525 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC12CCCC2 ZINC000593761229 349321953 /nfs/dbraw/zinc/32/19/53/349321953.db2.gz YXJTYUQFOOLZFE-UHFFFAOYSA-N 0 0 274.320 2.682 20 5 CFBDRN CC[C@H]([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000594040527 349359779 /nfs/dbraw/zinc/35/97/79/349359779.db2.gz GNBZMXBXPRPJLQ-ZFWWWQNUSA-N 0 0 294.351 2.738 20 5 CFBDRN CCN(Cc1cccc([N+](=O)[O-])c1C)c1cnn(C)c1 ZINC000594039131 349360008 /nfs/dbraw/zinc/36/00/08/349360008.db2.gz QRSBPTCVRRKQPB-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN CC1(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)CC=CC1 ZINC000594073547 349369225 /nfs/dbraw/zinc/36/92/25/349369225.db2.gz ATBOQQSNCAFBKG-UHFFFAOYSA-N 0 0 299.330 2.844 20 5 CFBDRN Cc1sc(C(=O)NC2(C)CC=CC2)cc1[N+](=O)[O-] ZINC000594074896 349369955 /nfs/dbraw/zinc/36/99/55/349369955.db2.gz KNNSJHQSZKTWKZ-UHFFFAOYSA-N 0 0 266.322 2.803 20 5 CFBDRN COC[C@H](CC(C)(C)C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000594145569 349386299 /nfs/dbraw/zinc/38/62/99/349386299.db2.gz XDVJBUWTEYSQTO-LBPRGKRZSA-N 0 0 294.351 2.776 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597091554 350029060 /nfs/dbraw/zinc/02/90/60/350029060.db2.gz CSSMQVKJVMFLBU-HTRCEHHLSA-N 0 0 298.730 2.750 20 5 CFBDRN C[C@H]1CCCN1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597104177 350030157 /nfs/dbraw/zinc/03/01/57/350030157.db2.gz OXCMBRBROAHMSX-ZETCQYMHSA-N 0 0 298.730 2.847 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+](C)CC1=CCCOC1 ZINC000597206909 350055138 /nfs/dbraw/zinc/05/51/38/350055138.db2.gz WOSAPMNZYZKJBV-UHFFFAOYSA-N 0 0 276.336 2.682 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc([C@H]2CCCO2)no1 ZINC000274073904 192430272 /nfs/dbraw/zinc/43/02/72/192430272.db2.gz FUQSPYZZSPTXIN-LLVKDONJSA-N 0 0 275.264 2.805 20 5 CFBDRN COc1ccc(NC(=O)C2CC3(CC3)C2)cc1[N+](=O)[O-] ZINC000597491403 350104146 /nfs/dbraw/zinc/10/41/46/350104146.db2.gz GCXMQOLPQCJJTN-UHFFFAOYSA-N 0 0 276.292 2.732 20 5 CFBDRN Cc1cnc(NCc2ncccc2C)c([N+](=O)[O-])c1 ZINC000090692356 180025523 /nfs/dbraw/zinc/02/55/23/180025523.db2.gz POUCBIOLSOORGW-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000597445821 350098520 /nfs/dbraw/zinc/09/85/20/350098520.db2.gz YPVZSZUXFZCZJP-SECBINFHSA-N 0 0 264.281 2.675 20 5 CFBDRN CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCOC1 ZINC000597723506 350128911 /nfs/dbraw/zinc/12/89/11/350128911.db2.gz MCURSAPHAGAUMD-UHFFFAOYSA-N 0 0 296.348 2.525 20 5 CFBDRN Cc1ncsc1CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000104463970 186006693 /nfs/dbraw/zinc/00/66/93/186006693.db2.gz ZALORPJFEZYCCA-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C1CCC(O)CC1 ZINC000597665227 350122076 /nfs/dbraw/zinc/12/20/76/350122076.db2.gz GAJIXGPXRNXMPY-UHFFFAOYSA-N 0 0 292.335 2.701 20 5 CFBDRN Cc1c(C(=O)N[C@]23C[C@H]2CCC3)cccc1[N+](=O)[O-] ZINC000597880964 350148123 /nfs/dbraw/zinc/14/81/23/350148123.db2.gz GAOGSORVMYHXKG-QMTHXVAHSA-N 0 0 260.293 2.576 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@]12C[C@H]1CCC2 ZINC000597885610 350148560 /nfs/dbraw/zinc/14/85/60/350148560.db2.gz QSMGDUYLZGNMLN-QMTHXVAHSA-N 0 0 292.360 2.746 20 5 CFBDRN Cc1c(CC(=O)N[C@@]23C[C@@H]2CCC3)cccc1[N+](=O)[O-] ZINC000597886927 350148669 /nfs/dbraw/zinc/14/86/69/350148669.db2.gz CWXAREKJQWMKRA-WFASDCNBSA-N 0 0 274.320 2.505 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000597887980 350149054 /nfs/dbraw/zinc/14/90/54/350149054.db2.gz HWOGFVXJUOKFTP-RFAUZJTJSA-N 0 0 285.303 2.749 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@]12C[C@@H]1CCC2 ZINC000597889280 350149218 /nfs/dbraw/zinc/14/92/18/350149218.db2.gz LLEWKJTUACYUAB-ZBEGNZNMSA-N 0 0 299.330 2.677 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H]2CCCC[C@@H]21 ZINC000597828760 350140694 /nfs/dbraw/zinc/14/06/94/350140694.db2.gz JFOPPOYPQZOIAI-GWCFXTLKSA-N 0 0 290.319 2.618 20 5 CFBDRN CC(C)CCc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)n[nH]1 ZINC000597841522 350142291 /nfs/dbraw/zinc/14/22/91/350142291.db2.gz HMOLAOIHPDWKHU-UHFFFAOYSA-N 0 0 292.295 2.752 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000597856949 350143386 /nfs/dbraw/zinc/14/33/86/350143386.db2.gz RSYVVXMMUYIYLY-NSHDSACASA-N 0 0 279.340 2.654 20 5 CFBDRN Cc1cccc(C(=O)NC[C@@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC000597862015 350144729 /nfs/dbraw/zinc/14/47/29/350144729.db2.gz AKXQHDNZCVZIPB-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCc2nnc(C3CC3)s2)c1 ZINC000414554837 233290851 /nfs/dbraw/zinc/29/08/51/233290851.db2.gz LPLHLBKNUIZZEE-UHFFFAOYSA-N 0 0 290.348 2.614 20 5 CFBDRN CC[C@H](CSC)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000091147678 180106610 /nfs/dbraw/zinc/10/66/10/180106610.db2.gz XNEVMRYBGONVIR-LLVKDONJSA-N 0 0 282.365 2.808 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000597951429 350161388 /nfs/dbraw/zinc/16/13/88/350161388.db2.gz IYCXWABQRZRANV-LLVKDONJSA-N 0 0 294.351 2.915 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000597979175 350170807 /nfs/dbraw/zinc/17/08/07/350170807.db2.gz UJDBHMVPVKOZRF-JTQLQIEISA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1nc(NC[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000271284901 191050280 /nfs/dbraw/zinc/05/02/80/191050280.db2.gz CZTKWPVVCZATBF-MWLCHTKSSA-N 0 0 265.313 2.525 20 5 CFBDRN Cc1cc(N[C@H](CO)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000271311189 191065819 /nfs/dbraw/zinc/06/58/19/191065819.db2.gz MMCBYXISIAFRFD-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CO)CCC2)cc1OC(F)F ZINC000271314049 191068209 /nfs/dbraw/zinc/06/82/09/191068209.db2.gz JHDZIUQFLHMRDB-UHFFFAOYSA-N 0 0 288.250 2.523 20 5 CFBDRN Cc1cc(C(=O)N(C)CC2CCCC2)c(N)c([N+](=O)[O-])c1 ZINC000104872433 186029893 /nfs/dbraw/zinc/02/98/93/186029893.db2.gz XLRJUDFUOQUXFV-UHFFFAOYSA-N 0 0 291.351 2.748 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@H]2CCC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000598211588 350215453 /nfs/dbraw/zinc/21/54/53/350215453.db2.gz GKMLCDJFWLZJHA-YPMHNXCESA-N 0 0 292.335 2.591 20 5 CFBDRN CCc1nn(C)c(N[C@@H](C)CCc2ccco2)c1[N+](=O)[O-] ZINC000091560254 180191177 /nfs/dbraw/zinc/19/11/77/180191177.db2.gz KXWURRCSLZVPDN-JTQLQIEISA-N 0 0 292.339 2.917 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2CCC[C@H](C)O2)cc1[N+](=O)[O-] ZINC000598213396 350216033 /nfs/dbraw/zinc/21/60/33/350216033.db2.gz ORZMUACCTDXGLX-WPRPVWTQSA-N 0 0 298.364 2.652 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000091562063 180192115 /nfs/dbraw/zinc/19/21/15/180192115.db2.gz VYXRTKORRYLDTG-KOLCDFICSA-N 0 0 266.345 2.881 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NCCCC2CCC2)c1[N+](=O)[O-] ZINC000598219890 350218119 /nfs/dbraw/zinc/21/81/19/350218119.db2.gz SZVLYIMHWQGSTL-UHFFFAOYSA-N 0 0 294.355 2.751 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@H](CCF)C1 ZINC000598221329 350219135 /nfs/dbraw/zinc/21/91/35/350219135.db2.gz QHRFSKIFSZHRKR-CYBMUJFWSA-N 0 0 294.326 2.736 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H](CCF)C1 ZINC000598221392 350219266 /nfs/dbraw/zinc/21/92/66/350219266.db2.gz RUVDJTGLMFJJTE-JTQLQIEISA-N 0 0 298.289 2.946 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000598232116 350220693 /nfs/dbraw/zinc/22/06/93/350220693.db2.gz BQRIZNBDYGZCEA-VIFPVBQESA-N 0 0 268.338 2.883 20 5 CFBDRN CC[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CC1 ZINC000598233287 350221519 /nfs/dbraw/zinc/22/15/19/350221519.db2.gz NIOYGZMAFXLOGQ-CYBMUJFWSA-N 0 0 287.319 2.995 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1OC)C1CC1 ZINC000598233145 350221797 /nfs/dbraw/zinc/22/17/97/350221797.db2.gz KSTBIEDTHKHSKD-LLVKDONJSA-N 0 0 278.308 2.522 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1OC)C1CC1 ZINC000598233146 350221810 /nfs/dbraw/zinc/22/18/10/350221810.db2.gz KSTBIEDTHKHSKD-NSHDSACASA-N 0 0 278.308 2.522 20 5 CFBDRN CCc1nn(C)c(NCc2ccccc2OC)c1[N+](=O)[O-] ZINC000091562498 180192896 /nfs/dbraw/zinc/19/28/96/180192896.db2.gz VMXKOCQJXHGXOK-UHFFFAOYSA-N 0 0 290.323 2.511 20 5 CFBDRN CC[C@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000598235526 350222263 /nfs/dbraw/zinc/22/22/63/350222263.db2.gz XIZHCYRJTADPML-AWEZNQCLSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@H](NC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000598235584 350222277 /nfs/dbraw/zinc/22/22/77/350222277.db2.gz ZRAINBDSTZTOTL-VIFPVBQESA-N 0 0 254.311 2.575 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000598235590 350222323 /nfs/dbraw/zinc/22/23/23/350222323.db2.gz ZWIYTDLTKFXGNC-LBPRGKRZSA-N 0 0 278.308 2.522 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000414719962 233337365 /nfs/dbraw/zinc/33/73/65/233337365.db2.gz APBUDXNEZCXWOY-ZDUSSCGKSA-N 0 0 280.324 2.903 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000105177062 186044830 /nfs/dbraw/zinc/04/48/30/186044830.db2.gz WITZYOKYFRLAAE-FZMZJTMJSA-N 0 0 291.351 2.625 20 5 CFBDRN CCn1ncc(C)c1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000598198918 350212666 /nfs/dbraw/zinc/21/26/66/350212666.db2.gz HHUQQRCXAVOUFO-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])s1 ZINC000598207472 350213787 /nfs/dbraw/zinc/21/37/87/350213787.db2.gz GDTCTSASARVDBN-LPEHRKFASA-N 0 0 280.349 2.822 20 5 CFBDRN CC[C@](C)(NC(=O)COc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598251404 350225299 /nfs/dbraw/zinc/22/52/99/350225299.db2.gz RBMJAPMJOUKEJG-HNNXBMFYSA-N 0 0 292.335 2.669 20 5 CFBDRN CC[C@@](C)(NC(=O)c1ccc(OC)c([N+](=O)[O-])c1)C1CC1 ZINC000598251411 350225320 /nfs/dbraw/zinc/22/53/20/350225320.db2.gz RDZWIHMMIYRFIV-OAHLLOKOSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@](C)(NC(=O)c1ccc(OC)c([N+](=O)[O-])c1)C1CC1 ZINC000598251410 350225324 /nfs/dbraw/zinc/22/53/24/350225324.db2.gz RDZWIHMMIYRFIV-HNNXBMFYSA-N 0 0 292.335 2.912 20 5 CFBDRN CC[C@](C)(NC(=O)c1csc([N+](=O)[O-])c1)C1CC1 ZINC000598251149 350225327 /nfs/dbraw/zinc/22/53/27/350225327.db2.gz PMBDUOZXBJJBOI-LBPRGKRZSA-N 0 0 268.338 2.965 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@@H](C)O[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000598298684 350229264 /nfs/dbraw/zinc/22/92/64/350229264.db2.gz MBEHQOCLGWRAPC-BDAKNGLRSA-N 0 0 298.364 2.604 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1C(C)(C)C1(F)F ZINC000598340338 350240852 /nfs/dbraw/zinc/24/08/52/350240852.db2.gz JIVQRXHTXVQBHE-NSHDSACASA-N 0 0 298.289 2.924 20 5 CFBDRN Cc1c(C(=O)NC[C@H]2C(C)(C)C2(F)F)cccc1[N+](=O)[O-] ZINC000598340316 350241067 /nfs/dbraw/zinc/24/10/67/350241067.db2.gz JCEXBWBXGLXXOB-NSHDSACASA-N 0 0 298.289 2.924 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)NCC1=CCCC1 ZINC000598375484 350251305 /nfs/dbraw/zinc/25/13/05/350251305.db2.gz HUIUIPCWQAFMIN-UHFFFAOYSA-N 0 0 296.273 2.642 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCC1=CCCC1 ZINC000598375876 350251354 /nfs/dbraw/zinc/25/13/54/350251354.db2.gz IVETWBDUCCEJCH-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN CC(C)[C@@H](NC(=O)COc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598379826 350252228 /nfs/dbraw/zinc/25/22/28/350252228.db2.gz ABZGRWHJFQUAFJ-OAHLLOKOSA-N 0 0 292.335 2.524 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCC1=CCCC1 ZINC000598377678 350252262 /nfs/dbraw/zinc/25/22/62/350252262.db2.gz CGNGHOSSCKYILG-UHFFFAOYSA-N 0 0 278.283 2.503 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C(C)C)C2CC2)c1 ZINC000598382650 350254482 /nfs/dbraw/zinc/25/44/82/350254482.db2.gz ZAAAMEPNTYPMIT-AWEZNQCLSA-N 0 0 292.335 2.768 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000598384434 350254519 /nfs/dbraw/zinc/25/45/19/350254519.db2.gz DNWBSIGOTXSFEA-CQSZACIVSA-N 0 0 298.289 2.720 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@]1(C)CC1(C)C ZINC000598387175 350254949 /nfs/dbraw/zinc/25/49/49/350254949.db2.gz RVKYTRIJGDTKSC-CQSZACIVSA-N 0 0 262.309 2.822 20 5 CFBDRN CCC(CC)CCCN(C)C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000598395982 350255797 /nfs/dbraw/zinc/25/57/97/350255797.db2.gz HLFOXBCFWLGHGL-UHFFFAOYSA-N 0 0 296.371 2.915 20 5 CFBDRN CO[C@@]1(C)C[C@H]1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000598458058 350271438 /nfs/dbraw/zinc/27/14/38/350271438.db2.gz IBNFMMIXMKTTLB-HIFRSBDPSA-N 0 0 292.335 2.625 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC2CC(C)(C)C2)c1 ZINC000598505636 350278721 /nfs/dbraw/zinc/27/87/21/350278721.db2.gz WKFCNSKWNOANON-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccnc([C@@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])n1 ZINC000598638234 350299055 /nfs/dbraw/zinc/29/90/55/350299055.db2.gz CRDYLNNONSHSKR-LLVKDONJSA-N 0 0 287.323 2.878 20 5 CFBDRN Cc1ccnc([C@H](C)Nc2sccc2[N+](=O)[O-])n1 ZINC000598639727 350299780 /nfs/dbraw/zinc/29/97/80/350299780.db2.gz IFKRCJBUSIRECY-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1nn(C)c(N2Cc3ccccc3[C@@H]2C)c1[N+](=O)[O-] ZINC000598646115 350300260 /nfs/dbraw/zinc/30/02/60/350300260.db2.gz KYQDBGCZQWJCGS-JTQLQIEISA-N 0 0 272.308 2.718 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC(Cc2ccsc2)C1 ZINC000598643889 350300289 /nfs/dbraw/zinc/30/02/89/350300289.db2.gz WFPIGEXHWJZECW-UHFFFAOYSA-N 0 0 275.333 2.730 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC(CC3CC3)C2)s1 ZINC000598644317 350300400 /nfs/dbraw/zinc/30/04/00/350300400.db2.gz INRDCVMDESUJIN-UHFFFAOYSA-N 0 0 290.348 2.836 20 5 CFBDRN O=C(NCC[C@@H]1CC1(F)F)Nc1ccc([N+](=O)[O-])cc1 ZINC000598651995 350303459 /nfs/dbraw/zinc/30/34/59/350303459.db2.gz BWCDJLFUESYWAZ-MRVPVSSYSA-N 0 0 285.250 2.762 20 5 CFBDRN Cc1cccc(C(=O)N2CC(CC3CC3)C2)c1[N+](=O)[O-] ZINC000598778177 350328048 /nfs/dbraw/zinc/32/80/48/350328048.db2.gz AOKSAXRLEOKPOR-UHFFFAOYSA-N 0 0 274.320 2.775 20 5 CFBDRN CC(C)c1nnc(CN2CCc3cc([N+](=O)[O-])ccc32)o1 ZINC000129641489 187444446 /nfs/dbraw/zinc/44/44/46/187444446.db2.gz LXKPVDNEFDQORV-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000598854461 350339979 /nfs/dbraw/zinc/33/99/79/350339979.db2.gz MDHILQXHKQNMNG-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598881388 350343759 /nfs/dbraw/zinc/34/37/59/350343759.db2.gz QEYZILHXJQNOTN-GWCFXTLKSA-N 0 0 274.320 2.920 20 5 CFBDRN CCC[C@@H](OCC)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000598998945 350357370 /nfs/dbraw/zinc/35/73/70/350357370.db2.gz ZJACTPWCEUJUQE-CQSZACIVSA-N 0 0 292.335 2.689 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599061196 350368376 /nfs/dbraw/zinc/36/83/76/350368376.db2.gz LBMVNOSYVGUVMG-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@@H]1CN(c2ccc3cnccc3c2[N+](=O)[O-])C[C@H](C)O1 ZINC000599012891 350359028 /nfs/dbraw/zinc/35/90/28/350359028.db2.gz DIOVKRVETOXLFS-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN CC[C@@H]1CN(c2ccc3cnccc3c2[N+](=O)[O-])CCO1 ZINC000599018837 350359841 /nfs/dbraw/zinc/35/98/41/350359841.db2.gz NSTPCVMKQXRIHD-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN CCO[C@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C(C)C ZINC000599043476 350363565 /nfs/dbraw/zinc/36/35/65/350363565.db2.gz SDLROMYMGPCSDE-AWEZNQCLSA-N 0 0 294.351 2.614 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC[C@@H]1CC1(F)F ZINC000598780510 350328542 /nfs/dbraw/zinc/32/85/42/350328542.db2.gz GJBIOPLGUDKTFS-SECBINFHSA-N 0 0 284.262 2.678 20 5 CFBDRN CC1CC(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000598778824 350328619 /nfs/dbraw/zinc/32/86/19/350328619.db2.gz YFUOLFKIJDFYKB-UHFFFAOYSA-N 0 0 266.272 2.510 20 5 CFBDRN Cc1cc(C(=O)NCC[C@@H]2CC2(F)F)cc([N+](=O)[O-])c1 ZINC000598785540 350329999 /nfs/dbraw/zinc/32/99/99/350329999.db2.gz WLGJNKVXNHYHID-SNVBAGLBSA-N 0 0 284.262 2.678 20 5 CFBDRN Cc1cccc(C(=O)NCC[C@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000598786076 350330010 /nfs/dbraw/zinc/33/00/10/350330010.db2.gz ZKIIJBFEVHMDSF-VIFPVBQESA-N 0 0 284.262 2.678 20 5 CFBDRN CCOC1(C(=O)Nc2cc([N+](=O)[O-])ccc2OC)CCC1 ZINC000598801647 350330383 /nfs/dbraw/zinc/33/03/83/350330383.db2.gz MSMMIXSPYUOZPJ-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1CC2(CC2)C1 ZINC000598802023 350330429 /nfs/dbraw/zinc/33/04/29/350330429.db2.gz STOSUPPIJKXBEM-UHFFFAOYSA-N 0 0 276.292 2.732 20 5 CFBDRN C[C@H](CO)Sc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599053751 350366903 /nfs/dbraw/zinc/36/69/03/350366903.db2.gz IPKOIKCNRRHNIK-MRVPVSSYSA-N 0 0 264.306 2.616 20 5 CFBDRN CO[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000598803730 350331047 /nfs/dbraw/zinc/33/10/47/350331047.db2.gz SSHHAUJXPLKIBB-ZDUSSCGKSA-N 0 0 278.308 2.739 20 5 CFBDRN Cc1c(NC(=O)[C@@]23C[C@@H]2COC3(C)C)cccc1[N+](=O)[O-] ZINC000598803545 350331096 /nfs/dbraw/zinc/33/10/96/350331096.db2.gz QTTHVMBQJFWFDY-MEBBXXQBSA-N 0 0 290.319 2.657 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1OC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000598803455 350331157 /nfs/dbraw/zinc/33/11/57/350331157.db2.gz NBYKQWUGWFRIDP-CHWSQXEVSA-N 0 0 292.335 2.761 20 5 CFBDRN CN(c1ccc2cnccc2c1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000599081872 350372508 /nfs/dbraw/zinc/37/25/08/350372508.db2.gz OAJJPTGNLDZEEB-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CC[C@@H](CO)C2)ccc2cnccc21 ZINC000599083696 350372559 /nfs/dbraw/zinc/37/25/59/350372559.db2.gz OPVHWMNZFHGRLI-PWSUYJOCSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc3cnccc3c2[N+](=O)[O-])CO1 ZINC000599092950 350374817 /nfs/dbraw/zinc/37/48/17/350374817.db2.gz LDVCTXBXAIUOQU-GXSJLCMTSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1cc(N2CCN(CCCF)CC2)c(F)cc1[N+](=O)[O-] ZINC000599094882 350374937 /nfs/dbraw/zinc/37/49/37/350374937.db2.gz ZWSODGOFIREDIW-UHFFFAOYSA-N 0 0 299.321 2.524 20 5 CFBDRN Cc1ccnc([C@@H]2CCN(c3ccc([N+](=O)[O-])nc3)C2)c1 ZINC000599103513 350376396 /nfs/dbraw/zinc/37/63/96/350376396.db2.gz WSNAZHPDAQAHIL-GFCCVEGCSA-N 0 0 284.319 2.687 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H]1c1cn[nH]c1 ZINC000599105787 350376493 /nfs/dbraw/zinc/37/64/93/350376493.db2.gz FJPGXJVZOGXZSE-NSHDSACASA-N 0 0 276.271 2.799 20 5 CFBDRN COc1cc(NCC[C@@H]2CCCOC2)c([N+](=O)[O-])cc1F ZINC000599117197 350378151 /nfs/dbraw/zinc/37/81/51/350378151.db2.gz OXKVREMYBYRLGY-JTQLQIEISA-N 0 0 298.314 2.971 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC[C@H]1CCCOC1 ZINC000599117447 350378511 /nfs/dbraw/zinc/37/85/11/350378511.db2.gz UAQBLPKQEQJANB-SECBINFHSA-N 0 0 256.327 2.885 20 5 CFBDRN COCCC1CCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000599120950 350379620 /nfs/dbraw/zinc/37/96/20/350379620.db2.gz LYJNBJJJPMBWMH-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN COCCC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000599120997 350379797 /nfs/dbraw/zinc/37/97/97/350379797.db2.gz PRZRFATUYQVIOP-UHFFFAOYSA-N 0 0 264.325 2.848 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCCC(F)(F)CC1 ZINC000599133154 350381795 /nfs/dbraw/zinc/38/17/95/350381795.db2.gz CFOUUGJMGIBRTJ-UHFFFAOYSA-N 0 0 271.267 2.924 20 5 CFBDRN CCC(C)(C)OC1CN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000599136045 350382205 /nfs/dbraw/zinc/38/22/05/350382205.db2.gz FRQYGBPQKWGZQE-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1nc(N[C@H](CO)CCC(C)C)ccc1[N+](=O)[O-] ZINC000599137171 350382543 /nfs/dbraw/zinc/38/25/43/350382543.db2.gz FYJOSDOQAOKBDE-NSHDSACASA-N 0 0 267.329 2.507 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(OC(C)(C)CC)C1 ZINC000599136568 350382554 /nfs/dbraw/zinc/38/25/54/350382554.db2.gz VLAUHQGRWXAZPS-UHFFFAOYSA-N 0 0 296.371 2.513 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3CC[C@@H](C2)S3)s1 ZINC000599135783 350382556 /nfs/dbraw/zinc/38/25/56/350382556.db2.gz ZCVPERWCVHKZAM-SFYZADRCSA-N 0 0 271.367 2.526 20 5 CFBDRN CC(C)CC[C@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000599138002 350382844 /nfs/dbraw/zinc/38/28/44/350382844.db2.gz XHVWJWBCPXXHPF-SECBINFHSA-N 0 0 285.319 2.525 20 5 CFBDRN CC(C)CC[C@@H](CO)Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000599138003 350382883 /nfs/dbraw/zinc/38/28/83/350382883.db2.gz XHVWJWBCPXXHPF-VIFPVBQESA-N 0 0 285.319 2.525 20 5 CFBDRN Cc1cc(Nc2cnn(CCF)c2)c([N+](=O)[O-])s1 ZINC000599141706 350383780 /nfs/dbraw/zinc/38/37/80/350383780.db2.gz PLFFRELEJCWGJR-UHFFFAOYSA-N 0 0 270.289 2.874 20 5 CFBDRN CC1(C)CC(=O)CCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000599144302 350384358 /nfs/dbraw/zinc/38/43/58/350384358.db2.gz DPIMYFBPDWICJE-UHFFFAOYSA-N 0 0 297.742 2.839 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1c1ncccc1[N+](=O)[O-] ZINC000599163859 350387565 /nfs/dbraw/zinc/38/75/65/350387565.db2.gz YXRMQJICNKJKJN-QMMMGPOBSA-N 0 0 257.240 2.614 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000599163945 350387610 /nfs/dbraw/zinc/38/76/10/350387610.db2.gz IFTYHXQNEUXSAC-NMSKTSORSA-N 0 0 290.323 2.695 20 5 CFBDRN C/C=C\CNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000599172498 350388799 /nfs/dbraw/zinc/38/87/99/350388799.db2.gz OJROMSXCTGSTNZ-IHWYPQMZSA-N 0 0 261.203 2.997 20 5 CFBDRN C[C@@H]1CC[C@H](c2noc(-c3ccc([N+](=O)[O-])cc3)n2)O1 ZINC000599179483 350390239 /nfs/dbraw/zinc/39/02/39/350390239.db2.gz HUJPVJNCGLAYHC-LDYMZIIASA-N 0 0 275.264 2.885 20 5 CFBDRN COc1cc(N[C@H]2CO[C@@H](C3CC3)C2)c([N+](=O)[O-])cc1F ZINC000599180020 350390427 /nfs/dbraw/zinc/39/04/27/350390427.db2.gz IJSBBGQEAZFEBM-NOZJJQNGSA-N 0 0 296.298 2.722 20 5 CFBDRN Cc1cc(N[C@H]2CO[C@@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000599180914 350390728 /nfs/dbraw/zinc/39/07/28/350390728.db2.gz OBYARTNYHMUHRL-TZMCWYRMSA-N 0 0 262.309 2.883 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CO[C@@H](C2CC2)C1 ZINC000599180085 350391155 /nfs/dbraw/zinc/39/11/55/350391155.db2.gz JBRDQTVQIGUTDB-PSASIEDQSA-N 0 0 254.311 2.636 20 5 CFBDRN COc1cc(N[C@H]2CO[C@H](C3CC3)C2)c([N+](=O)[O-])cc1C ZINC000599181888 350391627 /nfs/dbraw/zinc/39/16/27/350391627.db2.gz UUUOUQQGHGLWKN-ABAIWWIYSA-N 0 0 292.335 2.891 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000599189211 350392611 /nfs/dbraw/zinc/39/26/11/350392611.db2.gz NNZQNAZKKFXBEX-UHFFFAOYSA-N 0 0 266.341 2.891 20 5 CFBDRN NC(=O)c1cc(NC[C@@H]2CCCC23CC3)ccc1[N+](=O)[O-] ZINC000599198591 350394963 /nfs/dbraw/zinc/39/49/63/350394963.db2.gz NAULCXATNSMCDA-JTQLQIEISA-N 0 0 289.335 2.686 20 5 CFBDRN COc1cc(NC[C@H]2C[C@@H](OC)C2)c([N+](=O)[O-])cc1F ZINC000599205115 350396015 /nfs/dbraw/zinc/39/60/15/350396015.db2.gz FHEBNATUBSHRFL-DTORHVGOSA-N 0 0 284.287 2.579 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CCCC23CC3)c1[N+](=O)[O-] ZINC000599207680 350396638 /nfs/dbraw/zinc/39/66/38/350396638.db2.gz ZGOVTQHGCNTPSF-SNVBAGLBSA-N 0 0 278.356 2.883 20 5 CFBDRN Cc1cnccc1CN1CCc2ccc([N+](=O)[O-])cc21 ZINC000599359366 350423141 /nfs/dbraw/zinc/42/31/41/350423141.db2.gz PUZSLZVENINJOF-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN(C2CC2)C2CC2)c(F)c1 ZINC000599599604 350463320 /nfs/dbraw/zinc/46/33/20/350463320.db2.gz MYWNNUFSZVNXRY-UHFFFAOYSA-N 0 0 268.263 3.000 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N(C1CC1)C1CC1 ZINC000599779419 350492563 /nfs/dbraw/zinc/49/25/63/350492563.db2.gz HTXYMTNDWWGXTO-UHFFFAOYSA-N 0 0 291.307 2.762 20 5 CFBDRN COc1ccc(NC(=O)NCC2CC=CC2)cc1[N+](=O)[O-] ZINC000599769927 350492669 /nfs/dbraw/zinc/49/26/69/350492669.db2.gz GGRAQARUKHVMEG-UHFFFAOYSA-N 0 0 291.307 2.691 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N(C1CC1)C1CC1 ZINC000599691979 350484401 /nfs/dbraw/zinc/48/44/01/350484401.db2.gz IPHNLTYLJWDSBZ-UHFFFAOYSA-N 0 0 291.307 2.762 20 5 CFBDRN Cc1cnccc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000107288559 186167236 /nfs/dbraw/zinc/16/72/36/186167236.db2.gz RTSQYKYEMLYEGL-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cn1cc(CCNc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000106923811 186150616 /nfs/dbraw/zinc/15/06/16/186150616.db2.gz IFRJLPQCXDNWEO-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN Cc1cocc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000599929089 350499503 /nfs/dbraw/zinc/49/95/03/350499503.db2.gz VURMUQKUXWSPDD-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN COCCC1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000600181668 350533045 /nfs/dbraw/zinc/53/30/45/350533045.db2.gz VAVSHJQNAKYNND-UHFFFAOYSA-N 0 0 298.364 2.545 20 5 CFBDRN Cc1nn(C2CC(Oc3ccccc3)C2)cc1[N+](=O)[O-] ZINC000600544643 350568533 /nfs/dbraw/zinc/56/85/33/350568533.db2.gz LDFOYWDJRHSGFR-UHFFFAOYSA-N 0 0 273.292 2.882 20 5 CFBDRN Cc1noc(CS[C@H]2COC(C)(C)C2)c1[N+](=O)[O-] ZINC000600567703 350571866 /nfs/dbraw/zinc/57/18/66/350571866.db2.gz BSYVRUCZAMTKJX-MRVPVSSYSA-N 0 0 272.326 2.692 20 5 CFBDRN CC[C@H](SCCOc1ccc([N+](=O)[O-])cc1)C(=O)OC ZINC000600571728 350572767 /nfs/dbraw/zinc/57/27/67/350572767.db2.gz PRYMQBGNZOAQOL-LBPRGKRZSA-N 0 0 299.348 2.658 20 5 CFBDRN Cn1ccnc1CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000271388666 191111209 /nfs/dbraw/zinc/11/12/09/191111209.db2.gz YQYQACWGWOPORP-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN C[C@@H](C(=O)NCC1CC=CC1)c1ccc([N+](=O)[O-])cc1F ZINC000600225003 350543764 /nfs/dbraw/zinc/54/37/64/350543764.db2.gz UWPMERFLHCVHNY-SNVBAGLBSA-N 0 0 292.310 2.920 20 5 CFBDRN CCC(NC(=O)c1cc([N+](=O)[O-])c[nH]1)(C1CC1)C1CC1 ZINC000600244935 350545077 /nfs/dbraw/zinc/54/50/77/350545077.db2.gz FBISFPLPAQQTBU-UHFFFAOYSA-N 0 0 277.324 2.622 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CCCC(F)(F)CC1 ZINC000600256168 350545754 /nfs/dbraw/zinc/54/57/54/350545754.db2.gz WXFFEJFQJQCWJS-UHFFFAOYSA-N 0 0 298.289 2.785 20 5 CFBDRN CCC(C)(C)OC1CN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000600268033 350545930 /nfs/dbraw/zinc/54/59/30/350545930.db2.gz LVRXOHTZZRUDDU-UHFFFAOYSA-N 0 0 292.335 2.624 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCCC(F)(F)CC1 ZINC000600256189 350546013 /nfs/dbraw/zinc/54/60/13/350546013.db2.gz YBNTVPDLCSPXCZ-UHFFFAOYSA-N 0 0 290.291 2.918 20 5 CFBDRN CCC(F)(F)CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000600379057 350551598 /nfs/dbraw/zinc/55/15/98/350551598.db2.gz GRLCHFYLWKSGEE-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN CCC(F)(F)CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000600380437 350552189 /nfs/dbraw/zinc/55/21/89/350552189.db2.gz WWLLIVFWBVFGGF-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN CCC(F)(F)CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000600379598 350552441 /nfs/dbraw/zinc/55/24/41/350552441.db2.gz HEOIIGFLDJSNQS-UHFFFAOYSA-N 0 0 297.261 2.851 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000600444674 350556320 /nfs/dbraw/zinc/55/63/20/350556320.db2.gz FKEFGYZZKFLUNH-VIFPVBQESA-N 0 0 284.262 2.855 20 5 CFBDRN C/C=C/CNC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000600490834 350558690 /nfs/dbraw/zinc/55/86/90/350558690.db2.gz MEGWRKJVOISUSH-NSCUHMNNSA-N 0 0 298.726 2.709 20 5 CFBDRN C/C=C\CNC(=O)[C@@H](CC)Cc1ccc([N+](=O)[O-])cc1 ZINC000600493198 350558988 /nfs/dbraw/zinc/55/89/88/350558988.db2.gz SUXRJCOSTHIYTP-DXTPNHAXSA-N 0 0 276.336 2.856 20 5 CFBDRN CC1(C)CC(Cn2cc(Br)c([N+](=O)[O-])n2)C1 ZINC000600521580 350563015 /nfs/dbraw/zinc/56/30/15/350563015.db2.gz RWSCBCVCAYLTSG-UHFFFAOYSA-N 0 0 288.145 2.990 20 5 CFBDRN Cc1cnc(OC[C@@H]2C[C@H]3C=C[C@@H]2C3)c([N+](=O)[O-])c1 ZINC000600535017 350566286 /nfs/dbraw/zinc/56/62/86/350566286.db2.gz JOVSVPINSXIKBG-TUAOUCFPSA-N 0 0 260.293 2.889 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2C[C@H]3C=C[C@@H]2C3)cc1CO ZINC000600535229 350566816 /nfs/dbraw/zinc/56/68/16/350566816.db2.gz YDBOERBWMSZMKV-DMDPSCGWSA-N 0 0 275.304 2.678 20 5 CFBDRN CCCc1nccn1CC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000600535485 350567196 /nfs/dbraw/zinc/56/71/96/350567196.db2.gz IEIYZSZXHVWLMR-UHFFFAOYSA-N 0 0 291.282 2.766 20 5 CFBDRN CCO[C@@H](COc1nc(C)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000600537072 350567274 /nfs/dbraw/zinc/56/72/74/350567274.db2.gz WNGZYMKZSJINPY-LBPRGKRZSA-N 0 0 280.324 2.801 20 5 CFBDRN Cc1cnc(OCc2noc(C3CCC3)n2)c([N+](=O)[O-])c1 ZINC000600538979 350567666 /nfs/dbraw/zinc/56/76/66/350567666.db2.gz ZHYWFQQMASAHLE-UHFFFAOYSA-N 0 0 290.279 2.528 20 5 CFBDRN C[C@@H]1C[C@H]1Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000600538413 350567986 /nfs/dbraw/zinc/56/79/86/350567986.db2.gz SPIJSVPXBLEWDQ-KOLCDFICSA-N 0 0 258.281 2.509 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)OC(C)(C)C ZINC000108641944 186225287 /nfs/dbraw/zinc/22/52/87/186225287.db2.gz MFPWEEAABCLFKM-UHFFFAOYSA-N 0 0 269.253 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCOC2CCOCC2)cc1 ZINC000600651114 350592906 /nfs/dbraw/zinc/59/29/06/350592906.db2.gz VXLGODARZZMOBF-UHFFFAOYSA-N 0 0 283.349 2.883 20 5 CFBDRN C/C=C/C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000600812936 350616256 /nfs/dbraw/zinc/61/62/56/350616256.db2.gz VWQQPFAHEDKGQC-QAVQXKDTSA-N 0 0 289.335 2.808 20 5 CFBDRN O=[N+]([O-])c1cccc(-n2ccc(-c3nc(C4CC4)no3)n2)c1 ZINC000109534046 186260206 /nfs/dbraw/zinc/26/02/06/186260206.db2.gz PQNZSYMJJWQLTC-UHFFFAOYSA-N 0 0 297.274 2.708 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCOC2)c2cccnc21 ZINC000109548153 186261993 /nfs/dbraw/zinc/26/19/93/186261993.db2.gz XTQXBDWZODDBNC-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1ccc(NC(=O)C2(c3ccccn3)CC2)cc1[N+](=O)[O-] ZINC000600882767 350622922 /nfs/dbraw/zinc/62/29/22/350622922.db2.gz CICCJOPWDJNWBM-UHFFFAOYSA-N 0 0 297.314 2.969 20 5 CFBDRN CCOC(C)(C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000600883597 350622931 /nfs/dbraw/zinc/62/29/31/350622931.db2.gz NBENKXNSAVQXOC-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000600884646 350622947 /nfs/dbraw/zinc/62/29/47/350622947.db2.gz XVJISDVYHVESKX-SECBINFHSA-N 0 0 266.272 2.606 20 5 CFBDRN CC(C)CCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000600890647 350623619 /nfs/dbraw/zinc/62/36/19/350623619.db2.gz LGUKWSCNTXKAFO-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN CC[C@@H](C)NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000600886426 350623764 /nfs/dbraw/zinc/62/37/64/350623764.db2.gz NJSSOXAXQOUDRI-LLVKDONJSA-N 0 0 276.336 2.931 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)cccc1[N+](=O)[O-] ZINC000600892433 350624299 /nfs/dbraw/zinc/62/42/99/350624299.db2.gz POMZUXRDJRHHEF-FBIMIBRVSA-N 0 0 278.308 2.655 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N(C)[C@H](C)C1CC1 ZINC000600983262 350640751 /nfs/dbraw/zinc/64/07/51/350640751.db2.gz JCLCUVNMODAOAE-SNVBAGLBSA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ccnc(C3CC3)n2)cc1 ZINC000110263905 186289498 /nfs/dbraw/zinc/28/94/98/186289498.db2.gz OOXUGRQGXWWLJZ-UHFFFAOYSA-N 0 0 284.319 2.917 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601016175 350646132 /nfs/dbraw/zinc/64/61/32/350646132.db2.gz UYFMCCJJUIKMPN-DTWKUNHWSA-N 0 0 268.288 2.898 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000110327720 186291948 /nfs/dbraw/zinc/29/19/48/186291948.db2.gz LVMLWRNCJLSONW-VIFPVBQESA-N 0 0 295.295 2.621 20 5 CFBDRN O=C(NC[C@H]1C[C@H]1c1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000110452447 186297589 /nfs/dbraw/zinc/29/75/89/186297589.db2.gz WXNADHOYCAVIGA-NEPJUHHUSA-N 0 0 286.287 2.721 20 5 CFBDRN Cc1c(C(=O)N(C)CC2CCC2)cccc1[N+](=O)[O-] ZINC000110470184 186298300 /nfs/dbraw/zinc/29/83/00/186298300.db2.gz IGWAGFAZRLOOKJ-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CCC[C@](C)(NCc1cccc([N+](=O)[O-])c1C)C(=O)OC ZINC000109801426 186273844 /nfs/dbraw/zinc/27/38/44/186273844.db2.gz MMKLJIJMIAVFHX-HNNXBMFYSA-N 0 0 294.351 2.725 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC[C@@H](c2ccco2)C1 ZINC000601116841 350672544 /nfs/dbraw/zinc/67/25/44/350672544.db2.gz YTKLXMRTHANQKY-SECBINFHSA-N 0 0 277.255 2.716 20 5 CFBDRN CC(C)OC(=O)[C@H](C)CNc1ccsc1[N+](=O)[O-] ZINC000601120323 350674038 /nfs/dbraw/zinc/67/40/38/350674038.db2.gz JUJDVOBUOMAFTR-MRVPVSSYSA-N 0 0 272.326 2.656 20 5 CFBDRN CC[C@@H](O)CCCNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601120041 350674042 /nfs/dbraw/zinc/67/40/42/350674042.db2.gz ZJBJEOSLXGLRAA-GFCCVEGCSA-N 0 0 289.335 2.528 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H]2CCO[C@H]2C)c1 ZINC000601072139 350658392 /nfs/dbraw/zinc/65/83/92/350658392.db2.gz GIQGGDDQEXGQLC-QWRGUYRKSA-N 0 0 251.282 2.707 20 5 CFBDRN COc1cc(COc2cc([N+](=O)[O-])ccc2C)sn1 ZINC000601075187 350658877 /nfs/dbraw/zinc/65/88/77/350658877.db2.gz LCWBKALUJTZOMU-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN Cc1c(OC[C@H]2CCO[C@@H]2C)cccc1[N+](=O)[O-] ZINC000601075410 350659914 /nfs/dbraw/zinc/65/99/14/350659914.db2.gz AYAFWHVDJXFLFP-GHMZBOCLSA-N 0 0 251.282 2.707 20 5 CFBDRN C[C@H]1OCC[C@@H]1Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000601079540 350660978 /nfs/dbraw/zinc/66/09/78/350660978.db2.gz AMWRVIWKOGHNDA-DGCLKSJQSA-N 0 0 287.319 2.883 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@H]2CC(C)(C)CO2)c1C ZINC000601083421 350663124 /nfs/dbraw/zinc/66/31/24/350663124.db2.gz GIMHRAQHDVWNSG-LLVKDONJSA-N 0 0 280.324 2.801 20 5 CFBDRN C[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H]1C ZINC000301734179 290909735 /nfs/dbraw/zinc/90/97/35/290909735.db2.gz GKIVZYCTJSJRCU-OCAPTIKFSA-N 0 0 255.705 2.735 20 5 CFBDRN CN(C[C@H]1CCCO1)c1ccnc2ccc([N+](=O)[O-])cc21 ZINC000601110478 350670967 /nfs/dbraw/zinc/67/09/67/350670967.db2.gz GVTSLTMIILVMEO-GFCCVEGCSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H](O)C(F)F)c2ccncc21 ZINC000601223575 350702054 /nfs/dbraw/zinc/70/20/54/350702054.db2.gz GYWSOEHJHKACMR-GFCCVEGCSA-N 0 0 297.261 2.571 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CC[C@H]1C(F)(F)F ZINC000601225560 350702671 /nfs/dbraw/zinc/70/26/71/350702671.db2.gz NTTFEWUPQDXBQF-VIFPVBQESA-N 0 0 289.213 2.763 20 5 CFBDRN CC[C@@]1(O)CCCN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000601233744 350705556 /nfs/dbraw/zinc/70/55/56/350705556.db2.gz NTSRMSFMJARNIA-CQSZACIVSA-N 0 0 282.315 2.784 20 5 CFBDRN CC[C@@]1(O)CCCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000601234946 350706358 /nfs/dbraw/zinc/70/63/58/350706358.db2.gz ZYHXUAYWVKBMPT-CQSZACIVSA-N 0 0 264.325 2.645 20 5 CFBDRN Cc1cc(N[C@@H](C)[C@@H](O)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000601237699 350707185 /nfs/dbraw/zinc/70/71/85/350707185.db2.gz KMFYNNNBAJBBFI-OIBJUYFYSA-N 0 0 278.230 2.627 20 5 CFBDRN CO[C@@](C)(C(=O)Nc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000291154412 197934851 /nfs/dbraw/zinc/93/48/51/197934851.db2.gz LJPNXECAKSMVHD-CQSZACIVSA-N 0 0 278.308 2.657 20 5 CFBDRN CC1(F)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000601241791 350707938 /nfs/dbraw/zinc/70/79/38/350707938.db2.gz HSCWFSXZXUPTCJ-UHFFFAOYSA-N 0 0 281.287 2.951 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC(C)(F)CC1 ZINC000601246929 350708297 /nfs/dbraw/zinc/70/82/97/350708297.db2.gz TZKYDGIXIVWJFU-UHFFFAOYSA-N 0 0 268.288 2.932 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@H](C1CCC1)[C@H]1CCCO1 ZINC000601250884 350709692 /nfs/dbraw/zinc/70/96/92/350709692.db2.gz SBHWLXFNDMZTTP-CHWSQXEVSA-N 0 0 295.314 2.889 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000111003659 186341652 /nfs/dbraw/zinc/34/16/52/186341652.db2.gz ONYLNASFKXHUOZ-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C[C@H](C)O1 ZINC000111006622 186342360 /nfs/dbraw/zinc/34/23/60/186342360.db2.gz GHSZSAVHUAJHIE-AAEUAGOBSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(NC1CCC1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601124721 350675391 /nfs/dbraw/zinc/67/53/91/350675391.db2.gz IFDDTNDNDWLWGN-UHFFFAOYSA-N 0 0 274.320 2.685 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601128862 350676489 /nfs/dbraw/zinc/67/64/89/350676489.db2.gz FDSTZTPRYFGTGZ-RNFRBKRXSA-N 0 0 292.261 2.724 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1NC1(C)CCC1 ZINC000601134872 350678471 /nfs/dbraw/zinc/67/84/71/350678471.db2.gz MNSKIMRRWLKQCE-UHFFFAOYSA-N 0 0 278.234 2.702 20 5 CFBDRN CC(C)(CNc1ncc(F)cc1[N+](=O)[O-])c1ccncc1 ZINC000601136178 350679055 /nfs/dbraw/zinc/67/90/55/350679055.db2.gz ANFGFWTUAHJZKE-UHFFFAOYSA-N 0 0 290.298 2.914 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N[C@@H]3CCCOCC3)c2c1 ZINC000601138467 350679720 /nfs/dbraw/zinc/67/97/20/350679720.db2.gz UTZGRAYZWPIKCR-LLVKDONJSA-N 0 0 287.319 2.546 20 5 CFBDRN C[C@H]1CC[C@@H]1Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601163784 350685807 /nfs/dbraw/zinc/68/58/07/350685807.db2.gz PMUPDUQMLSGOFU-WDSKDSINSA-N 0 0 278.234 2.558 20 5 CFBDRN CC(C)[C@@H]1C[C@@H](CNc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601171984 350688656 /nfs/dbraw/zinc/68/86/56/350688656.db2.gz SNCJROWMCODOIH-GWCFXTLKSA-N 0 0 297.330 2.992 20 5 CFBDRN CC(C)[C@H]1C[C@@H](CNc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601171985 350688816 /nfs/dbraw/zinc/68/88/16/350688816.db2.gz SNCJROWMCODOIH-GXFFZTMASA-N 0 0 297.330 2.992 20 5 CFBDRN CC(C)[C@@H]1C[C@H](CNc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601171986 350688971 /nfs/dbraw/zinc/68/89/71/350688971.db2.gz SNCJROWMCODOIH-MFKMUULPSA-N 0 0 297.330 2.992 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000111027911 186345703 /nfs/dbraw/zinc/34/57/03/186345703.db2.gz NLDPLBNPVMGHLD-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@@H]1C[C@H]1C1CCC1 ZINC000601179572 350690064 /nfs/dbraw/zinc/69/00/64/350690064.db2.gz YLEGBKGAOSVRTA-VHSXEESVSA-N 0 0 251.261 2.729 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC(c2ncco2)CC1 ZINC000601183177 350690730 /nfs/dbraw/zinc/69/07/30/350690730.db2.gz AVIKLCFVTOUZOJ-UHFFFAOYSA-N 0 0 273.292 2.967 20 5 CFBDRN Cc1cc(N[C@H](C)c2cnccn2)c([N+](=O)[O-])s1 ZINC000601185090 350691464 /nfs/dbraw/zinc/69/14/64/350691464.db2.gz SGRZQUQPKYRQBY-MRVPVSSYSA-N 0 0 264.310 2.928 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]2C(F)(F)F)c(Cl)c1 ZINC000601189410 350692339 /nfs/dbraw/zinc/69/23/39/350692339.db2.gz RFUWTCBKOURBIP-SSDOTTSWSA-N 0 0 281.621 2.784 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@H]1C(F)(F)F ZINC000601189395 350692413 /nfs/dbraw/zinc/69/24/13/350692413.db2.gz QWQHUOQYOPNFHD-VIFPVBQESA-N 0 0 264.178 2.875 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N[C@@]12C[C@@H]1CCC2 ZINC000601192642 350693633 /nfs/dbraw/zinc/69/36/33/350693633.db2.gz ZAFWBWQUDJCNFW-WKEGUHRASA-N 0 0 290.245 2.702 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cc1Cl)n1ccnc1 ZINC000601197488 350694316 /nfs/dbraw/zinc/69/43/16/350694316.db2.gz BOOGJZZJGMAYFD-UHFFFAOYSA-N 0 0 295.730 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC[C@H]4C[C@H]4C3)c2c1 ZINC000601195819 350694346 /nfs/dbraw/zinc/69/43/46/350694346.db2.gz CMEMQJMUULFXOB-QWRGUYRKSA-N 0 0 269.304 2.989 20 5 CFBDRN COc1cc(CNc2ccc([N+](=O)[O-])c(C)c2)on1 ZINC000601198243 350694671 /nfs/dbraw/zinc/69/46/71/350694671.db2.gz SMLFHZNANQUOHJ-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N3CC4(C3)CCCO4)c2c1 ZINC000601202179 350696022 /nfs/dbraw/zinc/69/60/22/350696022.db2.gz ZFWQCZOVJUWKJW-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN COc1cc(N2CCC(SC)CC2)ccc1[N+](=O)[O-] ZINC000601207338 350697454 /nfs/dbraw/zinc/69/74/54/350697454.db2.gz HHAFTWAQIVVPBE-UHFFFAOYSA-N 0 0 282.365 2.935 20 5 CFBDRN COC(=O)c1cnc(N[C@H]2CCCC23CC3)c([N+](=O)[O-])c1 ZINC000601210733 350698120 /nfs/dbraw/zinc/69/81/20/350698120.db2.gz SNXQIYGHDKSHJS-NSHDSACASA-N 0 0 291.307 2.521 20 5 CFBDRN COC(=O)c1cnc(N[C@@H]2CCCC23CC3)c([N+](=O)[O-])c1 ZINC000601210732 350698234 /nfs/dbraw/zinc/69/82/34/350698234.db2.gz SNXQIYGHDKSHJS-LLVKDONJSA-N 0 0 291.307 2.521 20 5 CFBDRN CCC[C@@H](CCO)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000601211931 350698662 /nfs/dbraw/zinc/69/86/62/350698662.db2.gz ALMLDCBVXHUCTO-NSHDSACASA-N 0 0 280.324 2.760 20 5 CFBDRN CCC[C@@H](CCO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000601212122 350699084 /nfs/dbraw/zinc/69/90/84/350699084.db2.gz UYJMNWJQEHMAPQ-LBPRGKRZSA-N 0 0 289.335 2.528 20 5 CFBDRN COC(=O)C(C)(C)CSc1cc(C)c([N+](=O)[O-])cn1 ZINC000601213143 350699798 /nfs/dbraw/zinc/69/97/98/350699798.db2.gz YQSAWEGCVQIQNY-UHFFFAOYSA-N 0 0 284.337 2.590 20 5 CFBDRN COc1cc(NCCCc2c[nH]nc2C)ccc1[N+](=O)[O-] ZINC000111049279 186347823 /nfs/dbraw/zinc/34/78/23/186347823.db2.gz DGUQXXSDCGYKSM-UHFFFAOYSA-N 0 0 290.323 2.680 20 5 CFBDRN CC(C)(C(=O)N1CC[C@@H]1C(F)F)c1ccccc1[N+](=O)[O-] ZINC000601602648 350745985 /nfs/dbraw/zinc/74/59/85/350745985.db2.gz JFXIBCJRQRYSCA-LLVKDONJSA-N 0 0 298.289 2.738 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)c2c(F)cccc2[N+](=O)[O-])C[C@H]1C ZINC000601642655 350752407 /nfs/dbraw/zinc/75/24/07/350752407.db2.gz ATGFMKADUAGQLS-OPRDCNLKSA-N 0 0 280.299 2.850 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC[C@H](CCF)C1 ZINC000601673008 350757540 /nfs/dbraw/zinc/75/75/40/350757540.db2.gz PPZJEFRAVQXTJK-SNVBAGLBSA-N 0 0 298.289 2.946 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1ccccc1[N+](=O)[O-] ZINC000601704965 350765097 /nfs/dbraw/zinc/76/50/97/350765097.db2.gz QAXAUVSLCHPHNB-GFCCVEGCSA-N 0 0 260.293 2.657 20 5 CFBDRN Cc1conc1C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000601282127 350717227 /nfs/dbraw/zinc/71/72/27/350717227.db2.gz ZNKYJNMOQHDYBA-SNVBAGLBSA-N 0 0 289.291 2.691 20 5 CFBDRN CC[C@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C(F)(F)F ZINC000601522540 350736661 /nfs/dbraw/zinc/73/66/61/350736661.db2.gz RZYYKNIHBKVKLP-QMMMGPOBSA-N 0 0 294.204 2.805 20 5 CFBDRN CC1(F)CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000601562905 350740191 /nfs/dbraw/zinc/74/01/91/350740191.db2.gz VEBSGCNLDQZSPQ-UHFFFAOYSA-N 0 0 295.314 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(COC3CCC3)no2)c(F)c1 ZINC000601964075 350820337 /nfs/dbraw/zinc/82/03/37/350820337.db2.gz GIVVDLSULIVRLD-UHFFFAOYSA-N 0 0 293.254 2.853 20 5 CFBDRN O=C(N[C@@H]1CCCC12CC2)c1ccc([N+](=O)[O-])cc1F ZINC000601706056 350765636 /nfs/dbraw/zinc/76/56/36/350765636.db2.gz RXDDBKASHZAWQE-GFCCVEGCSA-N 0 0 278.283 2.796 20 5 CFBDRN Cc1ncc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])s2)s1 ZINC000601774190 350774649 /nfs/dbraw/zinc/77/46/49/350774649.db2.gz PQPZHKMRGPTPQP-ZCFIWIBFSA-N 0 0 297.361 2.912 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)CC2CCC2)ccc1[N+](=O)[O-] ZINC000601868070 350794298 /nfs/dbraw/zinc/79/42/98/350794298.db2.gz FETPDPJXXMLHFY-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN COc1c(C(=O)N[C@@H](C)CC2CCC2)cccc1[N+](=O)[O-] ZINC000601870830 350795602 /nfs/dbraw/zinc/79/56/02/350795602.db2.gz PALGBJXFEZCGGY-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN CC1(F)CCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000601871430 350796118 /nfs/dbraw/zinc/79/61/18/350796118.db2.gz UBZYHPLXBVHYHF-UHFFFAOYSA-N 0 0 298.289 2.627 20 5 CFBDRN CCO[C@H](CSCCn1c(C)ncc1[N+](=O)[O-])C1CC1 ZINC000601916820 350801391 /nfs/dbraw/zinc/80/13/91/350801391.db2.gz BITUAGUNVOTTLM-GFCCVEGCSA-N 0 0 299.396 2.648 20 5 CFBDRN CCO[C@@H](CSCCn1c(C)ncc1[N+](=O)[O-])C1CC1 ZINC000601916842 350801550 /nfs/dbraw/zinc/80/15/50/350801550.db2.gz BITUAGUNVOTTLM-LBPRGKRZSA-N 0 0 299.396 2.648 20 5 CFBDRN CO[C@H](CSCCn1c(C)ncc1[N+](=O)[O-])C1CCC1 ZINC000601916907 350801638 /nfs/dbraw/zinc/80/16/38/350801638.db2.gz BWLTUNDVKJFCIK-GFCCVEGCSA-N 0 0 299.396 2.648 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCCc1ccnn1C ZINC000601927121 350804497 /nfs/dbraw/zinc/80/44/97/350804497.db2.gz QPYVDGVDGLMGSS-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-n1nc(C)c(C)c1C ZINC000601935341 350807732 /nfs/dbraw/zinc/80/77/32/350807732.db2.gz IEQOVNORRDLKQW-UHFFFAOYSA-N 0 0 261.281 2.714 20 5 CFBDRN CCOC(=O)c1cc(C)n(-c2ccc([N+](=O)[O-])cc2C)n1 ZINC000601944731 350812710 /nfs/dbraw/zinc/81/27/10/350812710.db2.gz ZWMWWTBLSRITDS-UHFFFAOYSA-N 0 0 289.291 2.574 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C1CCC=CCC1 ZINC000602073666 350837764 /nfs/dbraw/zinc/83/77/64/350837764.db2.gz NOYJCHPOQXXNLL-UHFFFAOYSA-N 0 0 274.320 2.957 20 5 CFBDRN Cc1c(CC(=O)N2OC3CCC2CC3)cccc1[N+](=O)[O-] ZINC000602212584 350852247 /nfs/dbraw/zinc/85/22/47/350852247.db2.gz YGNDDAPAOQYUDV-UHFFFAOYSA-N 0 0 290.319 2.531 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1ccc([N+](=O)[O-])cn1)C(C)(C)C ZINC000602216553 350852790 /nfs/dbraw/zinc/85/27/90/350852790.db2.gz BHFNYXFFKYETMT-JTQLQIEISA-N 0 0 279.340 2.744 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000602243148 350855649 /nfs/dbraw/zinc/85/56/49/350855649.db2.gz GGQVBFZDAVSVJR-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN CCCN(CC(F)F)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000602248500 350855730 /nfs/dbraw/zinc/85/57/30/350855730.db2.gz GIUBJRGAGHGBOV-UHFFFAOYSA-N 0 0 290.241 2.851 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000602240610 350855966 /nfs/dbraw/zinc/85/59/66/350855966.db2.gz BULZYUZAVPFZBR-VIFPVBQESA-N 0 0 287.319 2.947 20 5 CFBDRN O=C(N1CCCc2c([N+](=O)[O-])cccc21)C12CC(C1)C2 ZINC000602295204 350860715 /nfs/dbraw/zinc/86/07/15/350860715.db2.gz TXVAFFXKKFLMRP-UHFFFAOYSA-N 0 0 272.304 2.674 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000602303945 350861833 /nfs/dbraw/zinc/86/18/33/350861833.db2.gz YNBWSSLVLHLVKE-RMIALFOJSA-N 0 0 292.335 2.901 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C12CC(C1)C2 ZINC000602331222 350864671 /nfs/dbraw/zinc/86/46/71/350864671.db2.gz YCMGODQKUFRFDZ-UHFFFAOYSA-N 0 0 276.292 2.732 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1nccc(C2CC2)n1 ZINC000602381959 350872166 /nfs/dbraw/zinc/87/21/66/350872166.db2.gz VJKYPSNCNRGBCI-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CC1(C(=O)Nc2ccc([N+](=O)[O-])c3ccncc23)CC1 ZINC000602386338 350872302 /nfs/dbraw/zinc/87/23/02/350872302.db2.gz YVBQJEDGARVIPX-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1COCC1(C)C ZINC000602402028 350874974 /nfs/dbraw/zinc/87/49/74/350874974.db2.gz NUVFVYTYTFQWBM-UHFFFAOYSA-N 0 0 298.726 2.765 20 5 CFBDRN CC[C@@]1(O)CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000602738645 351008980 /nfs/dbraw/zinc/00/89/80/351008980.db2.gz HEOHQHCXKXRHSS-CQSZACIVSA-N 0 0 298.770 2.985 20 5 CFBDRN CC(C)(F)CNCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602724806 351001470 /nfs/dbraw/zinc/00/14/70/351001470.db2.gz DAKFUMLONLCSMQ-UHFFFAOYSA-N 0 0 267.260 2.574 20 5 CFBDRN CC(C)N(Cc1cn(C)nc1[N+](=O)[O-])Cc1ccccc1 ZINC000602763235 351016900 /nfs/dbraw/zinc/01/69/00/351016900.db2.gz PCXMRJUIQIDQCX-UHFFFAOYSA-N 0 0 288.351 2.739 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN(C)c1cccc(C)c1 ZINC000602771443 351019070 /nfs/dbraw/zinc/01/90/70/351019070.db2.gz AMPRJEXDQBECQY-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN CC(C)[C@@H]1CCCC[C@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602811247 351035248 /nfs/dbraw/zinc/03/52/48/351035248.db2.gz PCMZEZKUFLPWJM-QWHCGFSZSA-N 0 0 280.372 2.633 20 5 CFBDRN CN(CCc1ccc([N+](=O)[O-])cc1)c1ccccc1CO ZINC000602846306 351043828 /nfs/dbraw/zinc/04/38/28/351043828.db2.gz FIMGWAHPSGULIV-UHFFFAOYSA-N 0 0 286.331 2.766 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H]2c2cn[nH]c2)cs1 ZINC000602883136 351057533 /nfs/dbraw/zinc/05/75/33/351057533.db2.gz WQADRSUFXADBAJ-NSHDSACASA-N 0 0 278.337 2.717 20 5 CFBDRN CC(C)N(Cc1cn(C)nc1[N+](=O)[O-])c1cccc(F)c1 ZINC000602901389 351061683 /nfs/dbraw/zinc/06/16/83/351061683.db2.gz BTWSQXPPGWBWGY-UHFFFAOYSA-N 0 0 292.314 2.882 20 5 CFBDRN CC1(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)COC1 ZINC000602740983 351010339 /nfs/dbraw/zinc/01/03/39/351010339.db2.gz TUDXLCGFRFVOLP-UHFFFAOYSA-N 0 0 288.303 2.733 20 5 CFBDRN CC1(F)CCN(Cc2csc([N+](=O)[O-])c2)CC1 ZINC000602743155 351011816 /nfs/dbraw/zinc/01/18/16/351011816.db2.gz PPIQSWBZYYFZLI-UHFFFAOYSA-N 0 0 258.318 2.980 20 5 CFBDRN CC(C)(C)c1cn(Cc2cccc([N+](=O)[O-])c2)nn1 ZINC000603159115 351113427 /nfs/dbraw/zinc/11/34/27/351113427.db2.gz BVYJFKMBMSIOGO-UHFFFAOYSA-N 0 0 260.297 2.532 20 5 CFBDRN CC(C)[C@H](C)n1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000603258510 351126457 /nfs/dbraw/zinc/12/64/57/351126457.db2.gz CIBHETZCPVTBAK-NSHDSACASA-N 0 0 290.323 2.982 20 5 CFBDRN CC[C@@H](C)n1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000603198978 351117650 /nfs/dbraw/zinc/11/76/50/351117650.db2.gz PYOAASGELOBCMG-SNVBAGLBSA-N 0 0 276.296 2.736 20 5 CFBDRN COc1ccc(Cn2cc(C(C)(C)C)nn2)cc1[N+](=O)[O-] ZINC000603206631 351118424 /nfs/dbraw/zinc/11/84/24/351118424.db2.gz SPJOCHKNNUDELV-UHFFFAOYSA-N 0 0 290.323 2.541 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602958825 351072488 /nfs/dbraw/zinc/07/24/88/351072488.db2.gz QDRJVAKTYRUQTP-SNVBAGLBSA-N 0 0 294.355 2.620 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)NC(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602956887 351072534 /nfs/dbraw/zinc/07/25/34/351072534.db2.gz MKQZFBIZKXQKID-MWLCHTKSSA-N 0 0 294.355 2.666 20 5 CFBDRN C[C@@](CO)([NH2+]CC1(C)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000602962103 351072554 /nfs/dbraw/zinc/07/25/54/351072554.db2.gz GXQOPCWVRHYWAW-HNNXBMFYSA-N 0 0 278.352 2.582 20 5 CFBDRN CCN(C(=O)Nc1c(C)cncc1[N+](=O)[O-])[C@@H](C)C(C)C ZINC000602956005 351072572 /nfs/dbraw/zinc/07/25/72/351072572.db2.gz HDIZEGBZXUVUBY-NSHDSACASA-N 0 0 294.355 2.618 20 5 CFBDRN CC(C)[C@@H](C)n1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000603260762 351126881 /nfs/dbraw/zinc/12/68/81/351126881.db2.gz QBCMEPLAKDRBIV-LLVKDONJSA-N 0 0 290.323 2.982 20 5 CFBDRN CC[C@@]1(O)CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000602981400 351082603 /nfs/dbraw/zinc/08/26/03/351082603.db2.gz CKCKYOQLAUBBFJ-CQSZACIVSA-N 0 0 298.770 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCN2OC3CCC2CC3)cc1 ZINC000603030057 351091931 /nfs/dbraw/zinc/09/19/31/351091931.db2.gz BFMJNUHVRCISJO-UHFFFAOYSA-N 0 0 278.308 2.532 20 5 CFBDRN CCC(C)(C)CCn1cc([N+](=O)[O-])cc(OC)c1=O ZINC000603356501 351145693 /nfs/dbraw/zinc/14/56/93/351145693.db2.gz AEOGVCPJYLIGRI-UHFFFAOYSA-N 0 0 268.313 2.591 20 5 CFBDRN Cn1cc(CSc2nc(C(C)(C)C)c[nH]2)c([N+](=O)[O-])n1 ZINC000603364195 351146998 /nfs/dbraw/zinc/14/69/98/351146998.db2.gz RFWYGWJGRDMWFU-UHFFFAOYSA-N 0 0 295.368 2.641 20 5 CFBDRN Cn1cnc(CSCCOc2ccc([N+](=O)[O-])cc2)c1 ZINC000603361338 351147252 /nfs/dbraw/zinc/14/72/52/351147252.db2.gz ZOHPQOPTHWDSDI-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN Cc1cc(NCc2cn(C3CCC3)nn2)c([N+](=O)[O-])s1 ZINC000603404591 351157697 /nfs/dbraw/zinc/15/76/97/351157697.db2.gz MHNCYRDXIBWGQD-UHFFFAOYSA-N 0 0 293.352 2.893 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2CSC2)c(C(F)(F)F)c1 ZINC000603411963 351159602 /nfs/dbraw/zinc/15/96/02/351159602.db2.gz BDWTUXKJYNVQDZ-UHFFFAOYSA-N 0 0 293.270 2.784 20 5 CFBDRN Cc1ccc(C)c(OCc2cn(C)nc2[N+](=O)[O-])c1C ZINC000603419776 351161094 /nfs/dbraw/zinc/16/10/94/351161094.db2.gz GOLWWRNXFNEAOQ-UHFFFAOYSA-N 0 0 275.308 2.833 20 5 CFBDRN CNc1ccc(C(=O)Nc2cccc(O)c2)cc1[N+](=O)[O-] ZINC000603482838 351167156 /nfs/dbraw/zinc/16/71/56/351167156.db2.gz VQSHHKIQIBGQEP-UHFFFAOYSA-N 0 0 287.275 2.594 20 5 CFBDRN CC(C)[C@H](C)N(C(=O)c1cc([N+](=O)[O-])cn1C)C1CC1 ZINC000603578825 351174943 /nfs/dbraw/zinc/17/49/43/351174943.db2.gz LRFKXTXGYFCGPD-JTQLQIEISA-N 0 0 279.340 2.582 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1Oc1cnccn1 ZINC000603595219 351175215 /nfs/dbraw/zinc/17/52/15/351175215.db2.gz SJQRPBATIBZSTG-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN CC(F)(F)CCC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000603733024 351179663 /nfs/dbraw/zinc/17/96/63/351179663.db2.gz KVESYVRQWNSDRQ-UHFFFAOYSA-N 0 0 284.262 2.919 20 5 CFBDRN COc1ccc(Nc2cccnc2[N+](=O)[O-])c(OC)c1 ZINC000603836910 351183924 /nfs/dbraw/zinc/18/39/24/351183924.db2.gz GBQFQHNEPOOFML-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN CC(C)c1cc(Oc2ccccc2[N+](=O)[O-])n2ncnc2n1 ZINC000603836991 351184262 /nfs/dbraw/zinc/18/42/62/351184262.db2.gz JDHJUWMANPGUKD-UHFFFAOYSA-N 0 0 299.290 2.948 20 5 CFBDRN COc1ccc(Nc2cccnc2[N+](=O)[O-])cc1OC ZINC000603836757 351184265 /nfs/dbraw/zinc/18/42/65/351184265.db2.gz WWKRTXLPFVKCRU-UHFFFAOYSA-N 0 0 275.264 2.751 20 5 CFBDRN COc1ccccc1CN(C)c1cccnc1[N+](=O)[O-] ZINC000603838186 351184605 /nfs/dbraw/zinc/18/46/05/351184605.db2.gz FDZRETMKJRIUEE-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN CN(Cc1cccc(F)c1)c1cccnc1[N+](=O)[O-] ZINC000603838223 351184880 /nfs/dbraw/zinc/18/48/80/351184880.db2.gz KVRCPFLKRKJPGM-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN Cc1ccc(CN(C)c2cccnc2[N+](=O)[O-])cc1 ZINC000603837413 351184933 /nfs/dbraw/zinc/18/49/33/351184933.db2.gz OLPDHZWWXPXIKB-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CO[C@@H](CNc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000603846310 351185471 /nfs/dbraw/zinc/18/54/71/351185471.db2.gz NJYDYMMXYIFUJP-ZDUSSCGKSA-N 0 0 273.292 2.789 20 5 CFBDRN C[C@H](Nc1cccnc1[N+](=O)[O-])c1ccc2[nH]c(=O)[nH]c2c1 ZINC000603864661 351187411 /nfs/dbraw/zinc/18/74/11/351187411.db2.gz QPNCOUKTLXZODM-QMMMGPOBSA-N 0 0 299.290 2.745 20 5 CFBDRN C[C@H]1C[C@@H]1c1ccc(CNc2c([N+](=O)[O-])ncn2C)o1 ZINC000603869509 351188238 /nfs/dbraw/zinc/18/82/38/351188238.db2.gz OLKIASIPLSMLED-WPRPVWTQSA-N 0 0 276.296 2.657 20 5 CFBDRN C[C@H]1CCN1C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000603264494 351127153 /nfs/dbraw/zinc/12/71/53/351127153.db2.gz CMPYCIAZUYIJLT-NSHDSACASA-N 0 0 274.320 2.637 20 5 CFBDRN O=[N+]([O-])c1cn(CCc2nc3ccccc3[nH]2)nc1C1CC1 ZINC000603328435 351140373 /nfs/dbraw/zinc/14/03/73/351140373.db2.gz RYGQKETXVHBMNX-UHFFFAOYSA-N 0 0 297.318 2.788 20 5 CFBDRN COc1cc(C)ccc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000604006368 351196577 /nfs/dbraw/zinc/19/65/77/351196577.db2.gz FVCKTTFLZDBQDB-UHFFFAOYSA-N 0 0 289.291 2.503 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCc2ccc(O)cc2CC1 ZINC000604284935 351204732 /nfs/dbraw/zinc/20/47/32/351204732.db2.gz YHRZDRKFQMLUJF-UHFFFAOYSA-N 0 0 284.315 2.906 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000604428631 351212190 /nfs/dbraw/zinc/21/21/90/351212190.db2.gz JNCVFHFZNVMSTI-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN CS[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000117220012 186741631 /nfs/dbraw/zinc/74/16/31/186741631.db2.gz FRIAUDFBNLZFHV-IUCAKERBSA-N 0 0 284.337 2.592 20 5 CFBDRN CC(=O)c1ccc(OCc2nc(C)c(C)o2)c([N+](=O)[O-])c1 ZINC000119179603 186808112 /nfs/dbraw/zinc/80/81/12/186808112.db2.gz ZMIKBYUUGSNRFH-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@H](c2ccco2)C1 ZINC000609512748 351504147 /nfs/dbraw/zinc/50/41/47/351504147.db2.gz HLUJIEKPCWYLNX-JTQLQIEISA-N 0 0 259.265 2.577 20 5 CFBDRN Cc1noc([C@H]2CCCN(c3ccsc3[N+](=O)[O-])C2)n1 ZINC000609560353 351506895 /nfs/dbraw/zinc/50/68/95/351506895.db2.gz BHMADETTWYILPJ-VIFPVBQESA-N 0 0 294.336 2.732 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1ccc(F)cc1F ZINC000609564029 351507826 /nfs/dbraw/zinc/50/78/26/351507826.db2.gz QCFJQPILLWHKFK-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])cc2Cl)[nH]n1 ZINC000609595793 351510880 /nfs/dbraw/zinc/51/08/80/351510880.db2.gz MOCLQYVMBBDEOW-UHFFFAOYSA-N 0 0 266.688 2.892 20 5 CFBDRN O=c1[nH]c2c(cc1CNc1ccccc1[N+](=O)[O-])CCC2 ZINC000609587427 351511005 /nfs/dbraw/zinc/51/10/05/351511005.db2.gz QGXHPMOYNQKIJD-UHFFFAOYSA-N 0 0 285.303 2.796 20 5 CFBDRN O=C(Nc1ccc2nccn2c1)c1ccc([N+](=O)[O-])s1 ZINC000609623186 351512232 /nfs/dbraw/zinc/51/22/32/351512232.db2.gz SBRBJLLZWUZFTE-UHFFFAOYSA-N 0 0 288.288 2.556 20 5 CFBDRN CN(C[C@@H]1OCCc2ccccc21)c1cccnc1[N+](=O)[O-] ZINC000608779055 351470418 /nfs/dbraw/zinc/47/04/18/351470418.db2.gz BCOUMIODUDAUHZ-HNNXBMFYSA-N 0 0 299.330 2.740 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ncnc2c1CCC2 ZINC000609111595 351484840 /nfs/dbraw/zinc/48/48/40/351484840.db2.gz PHEZYUFVIPFTEU-UHFFFAOYSA-N 0 0 284.319 2.510 20 5 CFBDRN CN(Cc1ccncc1)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000609849848 351523198 /nfs/dbraw/zinc/52/31/98/351523198.db2.gz NYFUUBHSCGEKRX-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN CC1(C)[C@H](Nc2ccc([N+](=O)[O-])s2)[C@H]2CCO[C@@H]21 ZINC000610160735 351535341 /nfs/dbraw/zinc/53/53/41/351535341.db2.gz GWMXGEZDXFXAJH-ONOSFVFSSA-N 0 0 268.338 2.882 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1Cc2ccccc21 ZINC000610225965 351541860 /nfs/dbraw/zinc/54/18/60/351541860.db2.gz LAZNMLIURIXXGV-OAHLLOKOSA-N 0 0 282.299 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2Cc3ccccc32)c1 ZINC000610238769 351542430 /nfs/dbraw/zinc/54/24/30/351542430.db2.gz TZGJBPYBYCLQEM-ZDUSSCGKSA-N 0 0 296.326 2.973 20 5 CFBDRN CN(C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)C1CCC1 ZINC000610236932 351542680 /nfs/dbraw/zinc/54/26/80/351542680.db2.gz NNDVVQSRNAVNEB-UHFFFAOYSA-N 0 0 287.319 2.560 20 5 CFBDRN COc1ccc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000610244550 351543557 /nfs/dbraw/zinc/54/35/57/351543557.db2.gz SSPMVYDGAFEDET-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSc1cnccn1 ZINC000610247662 351544497 /nfs/dbraw/zinc/54/44/97/351544497.db2.gz VSBZQPJEFDPLEY-UHFFFAOYSA-N 0 0 277.305 2.556 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)c([N+](=O)[O-])c1 ZINC000610284265 351548995 /nfs/dbraw/zinc/54/89/95/351548995.db2.gz JTXBGXVURZFELK-RTXFEEFZSA-N 0 0 291.351 2.914 20 5 CFBDRN Cc1cnc(N2CC[C@H](c3ccco3)C2)c([N+](=O)[O-])c1 ZINC000610283991 351549071 /nfs/dbraw/zinc/54/90/71/351549071.db2.gz NVDFAOXKPOFIBN-NSHDSACASA-N 0 0 273.292 2.885 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CCC1CCCCC1 ZINC000610286183 351550507 /nfs/dbraw/zinc/55/05/07/351550507.db2.gz DBTPAUUMXYKQJM-UHFFFAOYSA-N 0 0 250.298 2.727 20 5 CFBDRN COc1ccccc1[C@H](CO)Nc1ccccc1[N+](=O)[O-] ZINC000609704323 351516172 /nfs/dbraw/zinc/51/61/72/351516172.db2.gz KETXTVWBFVTHNF-ZDUSSCGKSA-N 0 0 288.303 2.749 20 5 CFBDRN COc1ccc(C)cc1CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000610431151 351565762 /nfs/dbraw/zinc/56/57/62/351565762.db2.gz WNLPJTSOFDNKPW-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN CCc1cnc(CN(C)c2cccnc2[N+](=O)[O-])s1 ZINC000610479745 351569125 /nfs/dbraw/zinc/56/91/25/351569125.db2.gz YTIAZPPGYQBZDV-UHFFFAOYSA-N 0 0 278.337 2.645 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ncc(Cl)s1 ZINC000610492765 351570695 /nfs/dbraw/zinc/57/06/95/351570695.db2.gz QXSALYLHWAMPSM-UHFFFAOYSA-N 0 0 297.723 2.886 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccncc2[N+](=O)[O-])C1(CC)CC ZINC000610516950 351576408 /nfs/dbraw/zinc/57/64/08/351576408.db2.gz MLUFFJZQXLSBJW-KBPBESRZSA-N 0 0 293.367 2.807 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C)c1ccncc1 ZINC000610526071 351578493 /nfs/dbraw/zinc/57/84/93/351578493.db2.gz CLDDZZPLYQIJBI-LBPRGKRZSA-N 0 0 299.330 2.832 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCCCc2ccccc21 ZINC000610539165 351578966 /nfs/dbraw/zinc/57/89/66/351578966.db2.gz NOIYHFLLSGYSNB-UHFFFAOYSA-N 0 0 286.335 2.671 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](C)[C@@H]2C)c1 ZINC000610588420 351584873 /nfs/dbraw/zinc/58/48/73/351584873.db2.gz ZHBSPMWIYWJUTN-ZJUUUORDSA-N 0 0 250.298 2.838 20 5 CFBDRN COC(=O)[C@@H](Nc1ccc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000610661648 351589255 /nfs/dbraw/zinc/58/92/55/351589255.db2.gz VLEZKFIOOXGHTQ-LLVKDONJSA-N 0 0 284.287 2.734 20 5 CFBDRN CC(C)C[C@@H]1CCCCN1c1c([N+](=O)[O-])ncn1C ZINC000610710005 351595422 /nfs/dbraw/zinc/59/54/22/351595422.db2.gz WHVUIBYSRUHBSN-NSHDSACASA-N 0 0 266.345 2.733 20 5 CFBDRN CC(C)C[C@H]1CCCCN1c1c([N+](=O)[O-])ncn1C ZINC000610710004 351595698 /nfs/dbraw/zinc/59/56/98/351595698.db2.gz WHVUIBYSRUHBSN-LLVKDONJSA-N 0 0 266.345 2.733 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H](OC)C2(C)C)c([N+](=O)[O-])cc1F ZINC000610716188 351596150 /nfs/dbraw/zinc/59/61/50/351596150.db2.gz VKHCZLFKGJRHKT-CHWSQXEVSA-N 0 0 298.314 2.968 20 5 CFBDRN C[C@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@H]1n1ccnc1 ZINC000610726402 351598232 /nfs/dbraw/zinc/59/82/32/351598232.db2.gz BIORGLMFKYYEJS-WDEREUQCSA-N 0 0 292.364 2.940 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC(CC(F)(F)F)C1 ZINC000610745111 351603081 /nfs/dbraw/zinc/60/30/81/351603081.db2.gz WEFOXLVDULOUEQ-UHFFFAOYSA-N 0 0 288.225 2.619 20 5 CFBDRN Cc1nc(N2CCc3ccc(O)cc3CC2)ccc1[N+](=O)[O-] ZINC000610754837 351603996 /nfs/dbraw/zinc/60/39/96/351603996.db2.gz DKIMTFBAQJHUHQ-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN Cc1nc(N2CC(Oc3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000610754836 351604016 /nfs/dbraw/zinc/60/40/16/351604016.db2.gz NPPFZUGSRUAATI-UHFFFAOYSA-N 0 0 285.303 2.566 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCOc1ncccc1Cl ZINC000610755984 351604581 /nfs/dbraw/zinc/60/45/81/351604581.db2.gz XJPWLJZHSRNSII-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN Cc1n[nH]cc1NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000120025456 186869769 /nfs/dbraw/zinc/86/97/69/186869769.db2.gz BCQJSVUWTCFEML-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H]2CCC[C@@H]21 ZINC000610766080 351605548 /nfs/dbraw/zinc/60/55/48/351605548.db2.gz XBQZJLAOSBHBIO-CABZTGNLSA-N 0 0 291.307 2.620 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H]1CCC(F)(F)C1 ZINC000610787506 351608148 /nfs/dbraw/zinc/60/81/48/351608148.db2.gz FNGXZWIVFPTIEL-JTQLQIEISA-N 0 0 299.277 2.582 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC(F)(F)C1 ZINC000610787668 351608253 /nfs/dbraw/zinc/60/82/53/351608253.db2.gz SLZHGYMLDUJEKZ-SNVBAGLBSA-N 0 0 299.277 2.582 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000610790591 351608809 /nfs/dbraw/zinc/60/88/09/351608809.db2.gz VVIZYKGXUVFZPJ-SSDOTTSWSA-N 0 0 290.291 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(CCO)CCC2)s1 ZINC000610856100 351614226 /nfs/dbraw/zinc/61/42/26/351614226.db2.gz JGIILGTWWAGIHE-UHFFFAOYSA-N 0 0 256.327 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC3(CCCC3)C2)s1 ZINC000610807624 351610497 /nfs/dbraw/zinc/61/04/97/351610497.db2.gz YSRISYYRFYTJKR-UHFFFAOYSA-N 0 0 268.338 2.806 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000610807011 351610514 /nfs/dbraw/zinc/61/05/14/351610514.db2.gz XGNADEICEFIIFZ-NOZJJQNGSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)C[C@H]2CCCO2)n1 ZINC000610806850 351610564 /nfs/dbraw/zinc/61/05/64/351610564.db2.gz XAHQSKZEBCYTMK-WDEREUQCSA-N 0 0 265.313 2.668 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@@H]1c1cccc(F)c1 ZINC000610305181 351553192 /nfs/dbraw/zinc/55/31/92/351553192.db2.gz LBKDBNQONTZAFB-CYBMUJFWSA-N 0 0 289.266 2.649 20 5 CFBDRN CCC[C@H]1[C@H](C)CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000610299833 351553265 /nfs/dbraw/zinc/55/32/65/351553265.db2.gz JRWAJDAAOLDJKV-YPMHNXCESA-N 0 0 293.367 2.974 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1ccc([N+](=O)[O-])s1 ZINC000610340474 351555905 /nfs/dbraw/zinc/55/59/05/351555905.db2.gz BCSYFYREJRJENP-IUCAKERBSA-N 0 0 258.343 2.865 20 5 CFBDRN CC[C@H](C[C@@H](C)CO)Nc1ccc([N+](=O)[O-])s1 ZINC000610340475 351555931 /nfs/dbraw/zinc/55/59/31/351555931.db2.gz BCSYFYREJRJENP-RKDXNWHRSA-N 0 0 258.343 2.865 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000271437796 191138390 /nfs/dbraw/zinc/13/83/90/191138390.db2.gz NTQRJQJKWZPGPQ-DGCLKSJQSA-N 0 0 279.340 2.914 20 5 CFBDRN COc1ccc(CN(C)Cc2csc([N+](=O)[O-])c2)cn1 ZINC000610999170 351632531 /nfs/dbraw/zinc/63/25/31/351632531.db2.gz HIWFAKBCDQXHTM-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)CC2CC2)c2ccncc21 ZINC000611104239 351640207 /nfs/dbraw/zinc/64/02/07/351640207.db2.gz PMJYTVYYCYTFHH-LLVKDONJSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@H](CO)CC1CC1 ZINC000611104226 351640294 /nfs/dbraw/zinc/64/02/94/351640294.db2.gz OTOZNRIBIFNKBL-JTQLQIEISA-N 0 0 270.716 2.821 20 5 CFBDRN C[C@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000611121868 351642646 /nfs/dbraw/zinc/64/26/46/351642646.db2.gz BIFTZZAZQNBMRT-NSHDSACASA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1cc(CNc2ccc([N+](=O)[O-])cc2Cl)ncn1 ZINC000611132894 351643401 /nfs/dbraw/zinc/64/34/01/351643401.db2.gz GNWNNLYRBSZMQC-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN Cc1ccc(NCc2cc(C)ncn2)c([N+](=O)[O-])c1 ZINC000611133095 351643405 /nfs/dbraw/zinc/64/34/05/351643405.db2.gz ZRIRNAGZRDBRNV-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC[C@@H](C(F)F)C1 ZINC000611179545 351648313 /nfs/dbraw/zinc/64/83/13/351648313.db2.gz DWVNDMSUKKWCHV-MRVPVSSYSA-N 0 0 274.242 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC[C@H](C(F)F)C3)nc2c1 ZINC000611179819 351648849 /nfs/dbraw/zinc/64/88/49/351648849.db2.gz KROFFLSKSSJJIM-QMMMGPOBSA-N 0 0 297.261 2.823 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H](CO)CC2CC2)ccc2ncccc21 ZINC000611204012 351651400 /nfs/dbraw/zinc/65/14/00/351651400.db2.gz VNXNTKUXODPQCQ-NSHDSACASA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cccc2[nH]c(CNc3cccnc3[N+](=O)[O-])nc21 ZINC000611221715 351652448 /nfs/dbraw/zinc/65/24/48/351652448.db2.gz NSXUBHKNEYIVSE-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H](CO)CC1CCCC1 ZINC000611222222 351652482 /nfs/dbraw/zinc/65/24/82/351652482.db2.gz IDEKZKXRZOGDBO-NSHDSACASA-N 0 0 299.758 2.996 20 5 CFBDRN CSc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)ccn1 ZINC000611230954 351653570 /nfs/dbraw/zinc/65/35/70/351653570.db2.gz NJLMPXBEGMXLHB-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN C[C@@H](O)[C@@H](C)Sc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000565418516 290300350 /nfs/dbraw/zinc/30/03/50/290300350.db2.gz VDZWCZRODAKIDP-RKDXNWHRSA-N 0 0 282.365 2.730 20 5 CFBDRN COCC[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])C1CCCC1 ZINC000611257703 351658999 /nfs/dbraw/zinc/65/89/99/351658999.db2.gz YRTKKMVHUFISQB-UHFFFAOYSA-N 0 0 294.351 2.691 20 5 CFBDRN Cc1cc(COc2cc([N+](=O)[O-])ccc2C)nn1C ZINC000610861724 351616641 /nfs/dbraw/zinc/61/66/41/351616641.db2.gz QXFOGYVZBYPURC-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN CN(C)c1cccc(CN(C)c2cccnc2[N+](=O)[O-])c1 ZINC000610909343 351620282 /nfs/dbraw/zinc/62/02/82/351620282.db2.gz RDAREGBPOPZKRX-UHFFFAOYSA-N 0 0 286.335 2.692 20 5 CFBDRN Cc1ccc(CSc2ccc([N+](=O)[O-])cn2)nc1 ZINC000610946329 351623424 /nfs/dbraw/zinc/62/34/24/351623424.db2.gz WMXQSOSGPIBQCI-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN COc1ccc(CN(C)c2c(C)cccc2[N+](=O)[O-])cn1 ZINC000610970157 351626767 /nfs/dbraw/zinc/62/67/67/351626767.db2.gz PKJSJABAWAINAD-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN Cc1cc(C(=O)N2CC3(C2)CC(F)(F)C3)ccc1[N+](=O)[O-] ZINC000610968177 351626957 /nfs/dbraw/zinc/62/69/57/351626957.db2.gz CIUUEIUTXBFAKY-UHFFFAOYSA-N 0 0 296.273 2.775 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CCC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000610972297 351627777 /nfs/dbraw/zinc/62/77/77/351627777.db2.gz AQYAIEHBUMSEFO-LLVKDONJSA-N 0 0 298.289 2.750 20 5 CFBDRN CO[C@@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])cc1 ZINC000611373676 351677255 /nfs/dbraw/zinc/67/72/55/351677255.db2.gz PTAJPFDNXJRICF-GXFFZTMASA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@@H](OCC1CC1)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000611385345 351677935 /nfs/dbraw/zinc/67/79/35/351677935.db2.gz JMSMIJDTXSYASJ-WDEREUQCSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1cc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)on1 ZINC000611402673 351683410 /nfs/dbraw/zinc/68/34/10/351683410.db2.gz MDCMKZJFHBGMQK-UHFFFAOYSA-N 0 0 275.308 2.916 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@H](CO)Cc1ccco1 ZINC000611411292 351684853 /nfs/dbraw/zinc/68/48/53/351684853.db2.gz AKNSIHQWSGAGMM-SECBINFHSA-N 0 0 282.321 2.512 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC(Oc2ccccc2)C1 ZINC000611411989 351685290 /nfs/dbraw/zinc/68/52/90/351685290.db2.gz BQWYGLRYCJWCIM-UHFFFAOYSA-N 0 0 276.317 2.924 20 5 CFBDRN CCOC(=O)C1(CNc2sccc2[N+](=O)[O-])CCC1 ZINC000611412791 351686061 /nfs/dbraw/zinc/68/60/61/351686061.db2.gz IDJRVLDASYDUGJ-UHFFFAOYSA-N 0 0 284.337 2.802 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1sccc1[N+](=O)[O-] ZINC000611414325 351686812 /nfs/dbraw/zinc/68/68/12/351686812.db2.gz RYBAMNFORHGEDL-QMMMGPOBSA-N 0 0 272.326 2.658 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCc1ccn2ccnc2c1 ZINC000611413538 351686992 /nfs/dbraw/zinc/68/69/92/351686992.db2.gz BEGIXRHFZDGFCL-UHFFFAOYSA-N 0 0 274.305 2.916 20 5 CFBDRN COC(=O)CCCSc1sccc1[N+](=O)[O-] ZINC000611413463 351687000 /nfs/dbraw/zinc/68/70/00/351687000.db2.gz VPYVPIQABIANFK-UHFFFAOYSA-N 0 0 261.324 2.702 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCc1ccc(CO)cc1 ZINC000611414944 351687456 /nfs/dbraw/zinc/68/74/56/351687456.db2.gz PRCVPILFGPWZQN-UHFFFAOYSA-N 0 0 278.333 2.803 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000611495370 351697404 /nfs/dbraw/zinc/69/74/04/351697404.db2.gz SVEAEYYBHGGCBW-KWQFWETISA-N 0 0 282.727 2.563 20 5 CFBDRN CC(C)[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000611494765 351697561 /nfs/dbraw/zinc/69/75/61/351697561.db2.gz MCVGRIOEXBUQND-LLVKDONJSA-N 0 0 285.731 2.504 20 5 CFBDRN C[C@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H]1F ZINC000611494485 351697660 /nfs/dbraw/zinc/69/76/60/351697660.db2.gz RRTJAQSFJVRNGG-WPRPVWTQSA-N 0 0 256.252 2.918 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC[C@H](C)[C@H](F)C1 ZINC000611501292 351698373 /nfs/dbraw/zinc/69/83/73/351698373.db2.gz AIHPAWNYDUKRFB-GXFFZTMASA-N 0 0 282.315 2.783 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@@H](C)[C@H](F)C2)cc1[N+](=O)[O-] ZINC000611506476 351701144 /nfs/dbraw/zinc/70/11/44/351701144.db2.gz NAUCLIFKTWABJB-ZWNOBZJWSA-N 0 0 294.326 2.977 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC=CCC2)c(Br)c1 ZINC000611510018 351701885 /nfs/dbraw/zinc/70/18/85/351701885.db2.gz XTITXPUFHHWBHQ-UHFFFAOYSA-N 0 0 284.113 2.519 20 5 CFBDRN C[C@@H](NC(=O)N1CC=CCC1)c1ccccc1[N+](=O)[O-] ZINC000611513842 351702416 /nfs/dbraw/zinc/70/24/16/351702416.db2.gz SESIZYLTYJQIJQ-LLVKDONJSA-N 0 0 275.308 2.627 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N(C)Cc1cn[nH]c1 ZINC000611516607 351702892 /nfs/dbraw/zinc/70/28/92/351702892.db2.gz JSAWMRCLEJQOKI-LLVKDONJSA-N 0 0 274.324 2.819 20 5 CFBDRN CCC[C@@H](C)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000611280715 351663313 /nfs/dbraw/zinc/66/33/13/351663313.db2.gz MOPLGFDCOBUNIK-SSDOTTSWSA-N 0 0 292.382 2.660 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCc1cn2ccccc2n1 ZINC000611290034 351664700 /nfs/dbraw/zinc/66/47/00/351664700.db2.gz XKDPTEVTDPBQKS-UHFFFAOYSA-N 0 0 288.332 2.959 20 5 CFBDRN Cc1cc(N2CCCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000611298337 351665571 /nfs/dbraw/zinc/66/55/71/351665571.db2.gz BFYPKUQBHXFHKM-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1ccncc1[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000611300659 351666446 /nfs/dbraw/zinc/66/64/46/351666446.db2.gz UXEPWCCKZUFLMO-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN CCc1nn(C)c(OC)c1CNc1ccc([N+](=O)[O-])s1 ZINC000611306458 351667572 /nfs/dbraw/zinc/66/75/72/351667572.db2.gz QBQWRCNFYSUIOV-UHFFFAOYSA-N 0 0 296.352 2.573 20 5 CFBDRN CCc1nn(C)c(N[C@@H]2CCO[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000611307054 351667828 /nfs/dbraw/zinc/66/78/28/351667828.db2.gz NSICLKBPEMTBMR-PWSUYJOCSA-N 0 0 296.371 2.506 20 5 CFBDRN Cc1nc(N[C@@H]2CCO[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000611307050 351667954 /nfs/dbraw/zinc/66/79/54/351667954.db2.gz RJKRIKLLGWOLFJ-DGCLKSJQSA-N 0 0 279.340 2.914 20 5 CFBDRN COCC1(C)CCN(c2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000611339011 351670835 /nfs/dbraw/zinc/67/08/35/351670835.db2.gz STSAJEGYKUBZMF-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN COCC1(C)CCN(c2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000611339001 351670957 /nfs/dbraw/zinc/67/09/57/351670957.db2.gz RDMDJVLGQKWGET-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN COCC1(C)CCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1 ZINC000611338979 351671010 /nfs/dbraw/zinc/67/10/10/351671010.db2.gz MNFGSXDJRJUWFU-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000611339152 351671044 /nfs/dbraw/zinc/67/10/44/351671044.db2.gz NMDNGBOMHGHHJO-QWHCGFSZSA-N 0 0 291.351 2.633 20 5 CFBDRN COCC1(C)CCN(c2nc(C)cc(C)c2[N+](=O)[O-])CC1 ZINC000611617557 351716396 /nfs/dbraw/zinc/71/63/96/351716396.db2.gz LBWICSYBMYMNMJ-UHFFFAOYSA-N 0 0 293.367 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3C4CCC3CC4)c2c1 ZINC000611701656 351725670 /nfs/dbraw/zinc/72/56/70/351725670.db2.gz LDNABSUFOGWLRG-UHFFFAOYSA-N 0 0 270.292 2.669 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611709894 351727625 /nfs/dbraw/zinc/72/76/25/351727625.db2.gz UWMDXMDJAKBYAQ-VHSXEESVSA-N 0 0 272.308 2.773 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CC[C@H](C4CC4)C3)c2c1 ZINC000611712966 351727705 /nfs/dbraw/zinc/72/77/05/351727705.db2.gz ULGXCIUQTZMZCX-NSHDSACASA-N 0 0 284.319 2.774 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611709254 351727720 /nfs/dbraw/zinc/72/77/20/351727720.db2.gz SCQZNRLMZDMYCF-NXEZZACHSA-N 0 0 272.308 2.915 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCC[C@@H]3C3CC3)c2c1 ZINC000611709833 351728019 /nfs/dbraw/zinc/72/80/19/351728019.db2.gz KWBCLPVBKAMQMP-CQSZACIVSA-N 0 0 284.319 2.917 20 5 CFBDRN CC[C@@H](C)CONc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000291654770 198052433 /nfs/dbraw/zinc/05/24/33/198052433.db2.gz CFVSCDNRXCVNSR-MRVPVSSYSA-N 0 0 269.257 2.893 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000611828765 351744021 /nfs/dbraw/zinc/74/40/21/351744021.db2.gz QTNOEWHLWWLUEM-VIFPVBQESA-N 0 0 293.323 2.914 20 5 CFBDRN CCC1(C)CN(C(=O)NCCc2ccccc2[N+](=O)[O-])C1 ZINC000291668875 198057270 /nfs/dbraw/zinc/05/72/70/198057270.db2.gz IDKVGIQRQRYGNP-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CC[C@@H](CO)CC2)n1 ZINC000611617092 351715431 /nfs/dbraw/zinc/71/54/31/351715431.db2.gz CUKPIMJXJPRPJV-TXEJJXNPSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2CCCS2)n1 ZINC000611617245 351715530 /nfs/dbraw/zinc/71/55/30/351715530.db2.gz UTJFGRFBPOEMDI-JTQLQIEISA-N 0 0 267.354 2.914 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611617547 351716033 /nfs/dbraw/zinc/71/60/33/351716033.db2.gz KGAQEBIGXOZPOR-RYUDHWBXSA-N 0 0 279.340 2.834 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCc1nnc(C)s1 ZINC000271445232 191141566 /nfs/dbraw/zinc/14/15/66/191141566.db2.gz FPYCZEDJOPOMER-UHFFFAOYSA-N 0 0 293.304 2.536 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c(C)s1)C1CC1 ZINC000121818793 186997911 /nfs/dbraw/zinc/99/79/11/186997911.db2.gz FYNNFFMIQLNRGJ-UHFFFAOYSA-N 0 0 254.311 2.589 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCO[C@@H](C2CCCCC2)C1 ZINC000612457719 351823688 /nfs/dbraw/zinc/82/36/88/351823688.db2.gz KVTZFXJGDAMGBC-OAHLLOKOSA-N 0 0 291.351 2.775 20 5 CFBDRN Cc1cc(NC[C@H]2CCCS2)ncc1[N+](=O)[O-] ZINC000122078142 187013861 /nfs/dbraw/zinc/01/38/61/187013861.db2.gz MGLOVJIKKSVIPM-SECBINFHSA-N 0 0 253.327 2.606 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)C1CCC(F)(F)CC1 ZINC000291718370 198069823 /nfs/dbraw/zinc/06/98/23/198069823.db2.gz MHIRFVGBCDJUJS-UHFFFAOYSA-N 0 0 287.266 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CSCCS2)c(F)c1 ZINC000122386852 187029686 /nfs/dbraw/zinc/02/96/86/187029686.db2.gz OPQNUJQIRDIPIA-SECBINFHSA-N 0 0 288.369 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CSCCS2)cc1 ZINC000122385329 187029720 /nfs/dbraw/zinc/02/97/20/187029720.db2.gz RFLZRHHCEWGTMD-NSHDSACASA-N 0 0 270.379 2.855 20 5 CFBDRN Cc1ccnc(NC[C@@H]2CSCCS2)c1[N+](=O)[O-] ZINC000122389571 187030193 /nfs/dbraw/zinc/03/01/93/187030193.db2.gz ZDNDVAAMVRZNEK-SECBINFHSA-N 0 0 285.394 2.559 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H]1CSCCS1 ZINC000122400174 187030936 /nfs/dbraw/zinc/03/09/36/187030936.db2.gz WFPLYAKAGUNYIT-QMMMGPOBSA-N 0 0 288.369 2.994 20 5 CFBDRN CNC(=O)c1ccc(N[C@H](C)C(C)(C)C)c([N+](=O)[O-])c1 ZINC000122453468 187032598 /nfs/dbraw/zinc/03/25/98/187032598.db2.gz DAEGRHJTMDWVRO-SECBINFHSA-N 0 0 279.340 2.801 20 5 CFBDRN CN(Cc1ccco1)c1ncc([N+](=O)[O-])cc1Cl ZINC000122572065 187039258 /nfs/dbraw/zinc/03/92/58/187039258.db2.gz WPBCAISIKJOQGZ-UHFFFAOYSA-N 0 0 267.672 2.873 20 5 CFBDRN COCCn1cc(Nc2cc(Cl)ccc2[N+](=O)[O-])cn1 ZINC000122596441 187040218 /nfs/dbraw/zinc/04/02/18/187040218.db2.gz KGVYLECBQYJPDJ-UHFFFAOYSA-N 0 0 296.714 2.835 20 5 CFBDRN CN(C)C(=O)c1ccccc1NCc1ccccc1[N+](=O)[O-] ZINC000122603633 187040667 /nfs/dbraw/zinc/04/06/67/187040667.db2.gz XNDMZCLODFTSOR-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1F)NCc1cccs1 ZINC000122721424 187047761 /nfs/dbraw/zinc/04/77/61/187047761.db2.gz XNBJRJLMMXECTL-UHFFFAOYSA-N 0 0 294.307 2.654 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N(C)CCC1CC1 ZINC000122757392 187049714 /nfs/dbraw/zinc/04/97/14/187049714.db2.gz MBNAQPMFRLTMJP-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/Sc2nnc(C3CC3)[nH]2)o1 ZINC000122821211 187053479 /nfs/dbraw/zinc/05/34/79/187053479.db2.gz BFHASJXPFFWSOY-AATRIKPKSA-N 0 0 278.293 2.946 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCOCC2(CCC2)C1 ZINC000612665652 351849131 /nfs/dbraw/zinc/84/91/31/351849131.db2.gz JPXCUQKMWSTQRW-UHFFFAOYSA-N 0 0 268.338 2.663 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000122945680 187061517 /nfs/dbraw/zinc/06/15/17/187061517.db2.gz XNPKBXZXPYUJGB-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])s2)[C@@]12CCCO2 ZINC000612664336 351849368 /nfs/dbraw/zinc/84/93/68/351849368.db2.gz GZYGKCNQHGTQLK-CWSCBRNRSA-N 0 0 298.364 2.795 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccsc2[N+](=O)[O-])[C@@]12CCCO2 ZINC000612664412 351849397 /nfs/dbraw/zinc/84/93/97/351849397.db2.gz NPBWSFWAMXBAPJ-LOWVWBTDSA-N 0 0 298.364 2.795 20 5 CFBDRN COCCSc1c2ccccc2ncc1[N+](=O)[O-] ZINC000291925718 198107617 /nfs/dbraw/zinc/10/76/17/198107617.db2.gz LWKWXVCHPQQPTQ-UHFFFAOYSA-N 0 0 264.306 2.882 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1cc[nH]c1 ZINC000124093362 187122344 /nfs/dbraw/zinc/12/23/44/187122344.db2.gz FWLOLRNBIQUHIU-UHFFFAOYSA-N 0 0 287.319 2.676 20 5 CFBDRN Cc1cccc(CN(C)c2c([N+](=O)[O-])ncn2C)c1C ZINC000291887368 198096359 /nfs/dbraw/zinc/09/63/59/198096359.db2.gz OTLAXEUHXPBNPH-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN CCC[C@H](Nc1ncc([N+](=O)[O-])cn1)c1ccccn1 ZINC000612781161 351861879 /nfs/dbraw/zinc/86/18/79/351861879.db2.gz UDCGEQNHFAREKB-LBPRGKRZSA-N 0 0 273.296 2.733 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCC[C@H]1CCCCO1 ZINC000612799009 351862683 /nfs/dbraw/zinc/86/26/83/351862683.db2.gz SNGUNEPURKHFQN-LLVKDONJSA-N 0 0 265.313 2.751 20 5 CFBDRN COc1ccc(OCC[NH+]2[C@H](C)CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000123325956 187084943 /nfs/dbraw/zinc/08/49/43/187084943.db2.gz JYZJZTDWPQYKOR-VXGBXAGGSA-N 0 0 294.351 2.855 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1nc(C2CC2)no1 ZINC000123467586 187094038 /nfs/dbraw/zinc/09/40/38/187094038.db2.gz YNXDFOCQFJQISB-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN CCN(C(=O)Cc1cccc([N+](=O)[O-])c1C)c1ccncc1 ZINC000123892517 187113250 /nfs/dbraw/zinc/11/32/50/187113250.db2.gz WHWBGMAEOVQNME-UHFFFAOYSA-N 0 0 299.330 2.894 20 5 CFBDRN CC(C)O[C@@H]1C[C@H](Nc2cccnc2[N+](=O)[O-])C1(C)C ZINC000613284989 351932160 /nfs/dbraw/zinc/93/21/60/351932160.db2.gz DHMOQORNJPGKND-NWDGAFQWSA-N 0 0 279.340 2.994 20 5 CFBDRN CCc1nnc(COc2c(F)cccc2[N+](=O)[O-])s1 ZINC000613326250 351940425 /nfs/dbraw/zinc/94/04/25/351940425.db2.gz KEXIKSWRFZOWJR-UHFFFAOYSA-N 0 0 283.284 2.727 20 5 CFBDRN CCOc1cccc(NCc2cc(C)ncn2)c1[N+](=O)[O-] ZINC000613047835 351894556 /nfs/dbraw/zinc/89/45/56/351894556.db2.gz GCDFXUSGADLDLW-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CCCCOc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC000613109271 351898393 /nfs/dbraw/zinc/89/83/93/351898393.db2.gz YRDNHYKXNMTUPU-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN CC[C@@H](C)[C@H]1CCCCN1c1c([N+](=O)[O-])ncn1C ZINC000613184189 351911002 /nfs/dbraw/zinc/91/10/02/351911002.db2.gz XHIJPLXKTNMEDJ-GHMZBOCLSA-N 0 0 266.345 2.733 20 5 CFBDRN CC[C@H](C)[C@@H]1CCCCN1c1c([N+](=O)[O-])ncn1C ZINC000613184191 351911148 /nfs/dbraw/zinc/91/11/48/351911148.db2.gz XHIJPLXKTNMEDJ-QWRGUYRKSA-N 0 0 266.345 2.733 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCc1cn2c(n1)SCC2 ZINC000613432251 351958826 /nfs/dbraw/zinc/95/88/26/351958826.db2.gz YDNCKUSQDCPAIZ-UHFFFAOYSA-N 0 0 296.377 2.613 20 5 CFBDRN CC(C)N(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCOC1 ZINC000291986142 198125809 /nfs/dbraw/zinc/12/58/09/198125809.db2.gz UEXSKFDUHNRGQT-CYBMUJFWSA-N 0 0 293.323 2.626 20 5 CFBDRN CSc1cncc(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000131715416 187582798 /nfs/dbraw/zinc/58/27/98/187582798.db2.gz CIOHYVGZBIGRDV-UHFFFAOYSA-N 0 0 276.321 2.719 20 5 CFBDRN CCCC[C@H](NCc1ccc([N+](=O)[O-])cc1F)C(=O)OC ZINC000614248704 352069083 /nfs/dbraw/zinc/06/90/83/352069083.db2.gz JXPRBZWTOQMFIE-ZDUSSCGKSA-N 0 0 298.314 2.555 20 5 CFBDRN Cc1nnc(COc2ccc([N+](=O)[O-])cc2C)s1 ZINC000271451633 191146157 /nfs/dbraw/zinc/14/61/57/191146157.db2.gz GGPWKXOWYQPWIZ-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000614528805 352101525 /nfs/dbraw/zinc/10/15/25/352101525.db2.gz ABQKNAJRKDDBJQ-LBPRGKRZSA-N 0 0 270.354 2.645 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@H]1CCC[C@@H]1CCO ZINC000614534236 352102767 /nfs/dbraw/zinc/10/27/67/352102767.db2.gz QBKUJFKNMDNDIP-BDAKNGLRSA-N 0 0 256.327 2.619 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@@H](C)C(C)(C)O)cc1[N+](=O)[O-] ZINC000615246163 352172828 /nfs/dbraw/zinc/17/28/28/352172828.db2.gz LXTPTUMCKGLMSI-JTQLQIEISA-N 0 0 294.351 2.607 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCCOC[C@@H]1C ZINC000615037347 352146655 /nfs/dbraw/zinc/14/66/55/352146655.db2.gz CVHIEVXGESHJMO-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1cccc([C@@H](O)CNc2ccc([N+](=O)[O-])c(C)n2)c1 ZINC000132580585 187635950 /nfs/dbraw/zinc/63/59/50/187635950.db2.gz ZFJILDYDORTPLG-AWEZNQCLSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1ccccc1C[C@@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000615527110 352203905 /nfs/dbraw/zinc/20/39/05/352203905.db2.gz CJLQNPOAKGEPHQ-LBPRGKRZSA-N 0 0 292.360 2.980 20 5 CFBDRN Cc1ccccc1C[C@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000615527102 352204106 /nfs/dbraw/zinc/20/41/06/352204106.db2.gz CJLQNPOAKGEPHQ-GFCCVEGCSA-N 0 0 292.360 2.980 20 5 CFBDRN CCCCO[C@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132505073 187632556 /nfs/dbraw/zinc/63/25/56/187632556.db2.gz UORVFCQPVNMLSS-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccc(CO)c2)s1 ZINC000615699094 352232699 /nfs/dbraw/zinc/23/26/99/352232699.db2.gz RXTDAGSEZAURJZ-UHFFFAOYSA-N 0 0 264.306 2.761 20 5 CFBDRN CCOCCC1(CNC(=O)c2ccc([N+](=O)[O-])o2)CCC1 ZINC000132615995 187638776 /nfs/dbraw/zinc/63/87/76/187638776.db2.gz PKXMXFDHHKTPFS-UHFFFAOYSA-N 0 0 296.323 2.515 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000132799156 187648896 /nfs/dbraw/zinc/64/88/96/187648896.db2.gz VZGZJLQDNHXONJ-UONOGXRCSA-N 0 0 292.335 2.591 20 5 CFBDRN CC1(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000273498012 192174971 /nfs/dbraw/zinc/17/49/71/192174971.db2.gz UNEVWCLWXNFPCO-UHFFFAOYSA-N 0 0 267.260 2.798 20 5 CFBDRN CC[C@H](C)CONC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000292036601 198141376 /nfs/dbraw/zinc/14/13/76/198141376.db2.gz DDMCEQBPFXGSEL-FBOQAHMBSA-N 0 0 278.308 2.702 20 5 CFBDRN Cc1c([C@H](C)[NH2+][C@@H](C)CC[S@](C)=O)cccc1[N+](=O)[O-] ZINC000271518424 191188065 /nfs/dbraw/zinc/18/80/65/191188065.db2.gz PXLIMXNEORYXQK-QARYCYADSA-N 0 0 298.408 2.711 20 5 CFBDRN CCc1nnc([C@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)[nH]1 ZINC000271528065 191193628 /nfs/dbraw/zinc/19/36/28/191193628.db2.gz PGGRCLGDSKSXPH-UWVGGRQHSA-N 0 0 289.339 2.687 20 5 CFBDRN CC(=O)c1ccc(S[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000617000708 352458708 /nfs/dbraw/zinc/45/87/08/352458708.db2.gz UKRDIABVSZNPNI-JTQLQIEISA-N 0 0 267.306 2.678 20 5 CFBDRN CC[C@H](C)CONC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000292077703 198155012 /nfs/dbraw/zinc/15/50/12/198155012.db2.gz JJGMFYCVUDJUJE-VIFPVBQESA-N 0 0 266.297 2.611 20 5 CFBDRN COC1(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CCCC1 ZINC000617131178 352501856 /nfs/dbraw/zinc/50/18/56/352501856.db2.gz GATVSHMMVGBAIO-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN C[C@@H]1CCN(c2ccsc2[N+](=O)[O-])CCS1 ZINC000617221890 352520523 /nfs/dbraw/zinc/52/05/23/352520523.db2.gz YFAYYVWEOTVQQX-MRVPVSSYSA-N 0 0 258.368 2.988 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC(C)(C)C1CC1 ZINC000617378612 352552764 /nfs/dbraw/zinc/55/27/64/352552764.db2.gz BKXFFIOONGSVEM-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H]2CCC[C@@H]21 ZINC000617410454 352559413 /nfs/dbraw/zinc/55/94/13/352559413.db2.gz ONMIMHKIVKMPGK-MFKMUULPSA-N 0 0 290.319 2.618 20 5 CFBDRN Cc1ccc(NCCC(=O)N2CCCCC2)c([N+](=O)[O-])c1 ZINC000134834868 187775168 /nfs/dbraw/zinc/77/51/68/187775168.db2.gz IPLYLGUJWOMSEB-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN COc1cccc(N[C@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000134929548 187782739 /nfs/dbraw/zinc/78/27/39/187782739.db2.gz NBNRPNLFRWMVLZ-JTQLQIEISA-N 0 0 280.324 2.973 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CC1(C)C)c1cccc([N+](=O)[O-])c1 ZINC000617705782 352630453 /nfs/dbraw/zinc/63/04/53/352630453.db2.gz HLWNRJLNDJIYSH-JOYOIKCWSA-N 0 0 262.309 2.613 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000617742280 352631259 /nfs/dbraw/zinc/63/12/59/352631259.db2.gz DJAIAAJUFCJWQC-GHMZBOCLSA-N 0 0 262.309 2.709 20 5 CFBDRN C[C@H](C(=O)N1CCC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000617742284 352631347 /nfs/dbraw/zinc/63/13/47/352631347.db2.gz DJAIAAJUFCJWQC-QWRGUYRKSA-N 0 0 262.309 2.709 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000617742285 352631417 /nfs/dbraw/zinc/63/14/17/352631417.db2.gz DJAIAAJUFCJWQC-WDEREUQCSA-N 0 0 262.309 2.709 20 5 CFBDRN C[C@@H](C(=O)N1CC2(CC(F)C2)C1)c1cccc([N+](=O)[O-])c1 ZINC000617753200 352634250 /nfs/dbraw/zinc/63/42/50/352634250.db2.gz FQVPWOXJVMSOOF-SNVBAGLBSA-N 0 0 292.310 2.659 20 5 CFBDRN CC(C)C1CN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000617753187 352634276 /nfs/dbraw/zinc/63/42/76/352634276.db2.gz BIIRGGCCISWDFM-LLVKDONJSA-N 0 0 276.336 2.813 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])cc1C(=O)NC ZINC000135300846 187818529 /nfs/dbraw/zinc/81/85/29/187818529.db2.gz SWSNIXPGEYGJBB-UWVGGRQHSA-N 0 0 279.340 2.801 20 5 CFBDRN CCOC(=O)CCCCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000135340913 187821690 /nfs/dbraw/zinc/82/16/90/187821690.db2.gz OAZBHDFFNBOOEM-UHFFFAOYSA-N 0 0 285.271 2.846 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccc(F)cc1[N+](=O)[O-])OC ZINC000292147725 198181445 /nfs/dbraw/zinc/18/14/45/198181445.db2.gz JTHTVMHJPPIVKC-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN C[C@H](NC(=O)N[C@@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000271635124 191251676 /nfs/dbraw/zinc/25/16/76/191251676.db2.gz QBKPYYIHDLUQNV-UWVGGRQHSA-N 0 0 277.324 2.754 20 5 CFBDRN CCc1noc([C@@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000271635065 191252415 /nfs/dbraw/zinc/25/24/15/191252415.db2.gz QAESOWHSLDJEAF-VHSXEESVSA-N 0 0 290.323 2.952 20 5 CFBDRN CCc1ocnc1C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271639286 191254057 /nfs/dbraw/zinc/25/40/57/191254057.db2.gz CHYVRBLNWGDHTK-SECBINFHSA-N 0 0 289.291 2.636 20 5 CFBDRN CCc1nc(C)c(CNc2c([N+](=O)[O-])c(C)nn2CC)o1 ZINC000292184535 198193347 /nfs/dbraw/zinc/19/33/47/198193347.db2.gz FQFYXUDKRFSHBY-UHFFFAOYSA-N 0 0 293.327 2.591 20 5 CFBDRN Cn1c(=O)ccc2ccc(Nc3cccnc3[N+](=O)[O-])cc21 ZINC000618685770 352859606 /nfs/dbraw/zinc/85/96/06/352859606.db2.gz FHMRCZQPXVTTQG-UHFFFAOYSA-N 0 0 296.286 2.585 20 5 CFBDRN O=C(c1c[nH]nc1[N+](=O)[O-])N1CCC2(CCCCC2)CC1 ZINC000618931362 352914350 /nfs/dbraw/zinc/91/43/50/352914350.db2.gz SQLWBZNJXUTWCT-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN Cc1cc(Nc2cn(C)nc2C2CC2)ncc1[N+](=O)[O-] ZINC000292206596 198202755 /nfs/dbraw/zinc/20/27/55/198202755.db2.gz CVNDUCCOVOOEBJ-UHFFFAOYSA-N 0 0 273.296 2.653 20 5 CFBDRN COC(=O)[C@@H](Sc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000618963375 352921284 /nfs/dbraw/zinc/92/12/84/352921284.db2.gz PTPFWSAOLAEWGU-NSHDSACASA-N 0 0 285.296 2.778 20 5 CFBDRN COC(=O)[C@H](Sc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000618963370 352921396 /nfs/dbraw/zinc/92/13/96/352921396.db2.gz PTPFWSAOLAEWGU-LLVKDONJSA-N 0 0 285.296 2.778 20 5 CFBDRN CO[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1Cl)C1CC1 ZINC000292211114 198203635 /nfs/dbraw/zinc/20/36/35/198203635.db2.gz HZCAFPRHIMCUAC-NSHDSACASA-N 0 0 284.699 2.612 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1NCC1CC1 ZINC000143816885 187910885 /nfs/dbraw/zinc/91/08/85/187910885.db2.gz JLYYCPCNJDSSLQ-UHFFFAOYSA-N 0 0 272.102 2.574 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CC(C)(C)C2)c1 ZINC000619147274 352965715 /nfs/dbraw/zinc/96/57/15/352965715.db2.gz RGUKCWMDEKQEMK-UHFFFAOYSA-N 0 0 280.349 2.799 20 5 CFBDRN CC(C)(C(=O)N1CC(C)(C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000619147441 352965894 /nfs/dbraw/zinc/96/58/94/352965894.db2.gz WGUXJXMDMRIKFN-UHFFFAOYSA-N 0 0 276.336 2.741 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])cc1F)C(F)F ZINC000619421935 353020144 /nfs/dbraw/zinc/02/01/44/353020144.db2.gz OHIGWJDWGWELKU-UHFFFAOYSA-N 0 0 276.214 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCC(F)F ZINC000619423720 353020531 /nfs/dbraw/zinc/02/05/31/353020531.db2.gz PSKBBDMVKFWBOQ-UHFFFAOYSA-N 0 0 258.224 2.887 20 5 CFBDRN CCC(CC)(CNc1ncc([N+](=O)[O-])s1)OC ZINC000292236480 198212788 /nfs/dbraw/zinc/21/27/88/198212788.db2.gz JFFJBFZIHFMVGS-UHFFFAOYSA-N 0 0 259.331 2.668 20 5 CFBDRN CC(C)(NC(=O)CCC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000619439001 353023744 /nfs/dbraw/zinc/02/37/44/353023744.db2.gz KNCORHVXSRWKOR-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(F)(F)CC2)c1 ZINC000619457435 353026413 /nfs/dbraw/zinc/02/64/13/353026413.db2.gz LXOHKRUAEBWOIW-UHFFFAOYSA-N 0 0 284.262 2.775 20 5 CFBDRN CCc1cnc(CNc2ccc([N+](=O)[O-])s2)o1 ZINC000619490695 353028913 /nfs/dbraw/zinc/02/89/13/353028913.db2.gz BQSGFVUJWVRMSA-UHFFFAOYSA-N 0 0 253.283 2.819 20 5 CFBDRN Cc1cccc(N2C[C@@H](C)O[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000619499905 353029552 /nfs/dbraw/zinc/02/95/52/353029552.db2.gz GSJXQOHGBZDJOB-BXUZGUMPSA-N 0 0 276.336 2.907 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H](C)O[C@H](C3CC3)C2)c1 ZINC000619500174 353029572 /nfs/dbraw/zinc/02/95/72/353029572.db2.gz PXJSMIVZECFMSS-ABAIWWIYSA-N 0 0 276.336 2.907 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000619500232 353029646 /nfs/dbraw/zinc/02/96/46/353029646.db2.gz ZWWZIHLFVPWKNS-LLVKDONJSA-N 0 0 256.327 2.662 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Nc2ccsc2[N+](=O)[O-])C1 ZINC000619549308 353035218 /nfs/dbraw/zinc/03/52/18/353035218.db2.gz KBJREWFCTOMRAE-BDAKNGLRSA-N 0 0 284.337 2.800 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1C[C@H](c2ccccc2F)C1 ZINC000619548639 353035311 /nfs/dbraw/zinc/03/53/11/353035311.db2.gz HPAXMGAFEXLAHP-XYPYZODXSA-N 0 0 287.294 2.909 20 5 CFBDRN CCc1ccnc(CNc2cccnc2[N+](=O)[O-])c1 ZINC000619549240 353035355 /nfs/dbraw/zinc/03/53/55/353035355.db2.gz MNPYESWHGFZMKF-UHFFFAOYSA-N 0 0 258.281 2.559 20 5 CFBDRN CC(C)(CNc1cccnc1[N+](=O)[O-])C(F)(F)F ZINC000619745663 353062709 /nfs/dbraw/zinc/06/27/09/353062709.db2.gz FJXVDQDJJMSEAR-UHFFFAOYSA-N 0 0 263.219 2.990 20 5 CFBDRN CC(C)(C(=O)NCc1ccc([N+](=O)[O-])cc1)c1ccccn1 ZINC000619877338 353069941 /nfs/dbraw/zinc/06/99/41/353069941.db2.gz XGIRCJUBPLKVEJ-UHFFFAOYSA-N 0 0 299.330 2.584 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2C[C@@H]2c2cccnc2)cc([N+](=O)[O-])c1 ZINC000619581178 353038139 /nfs/dbraw/zinc/03/81/39/353038139.db2.gz XAMJMMAILHVAKW-CABCVRRESA-N 0 0 297.314 2.584 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCc3ccccc3C2)s1 ZINC000619696330 353051852 /nfs/dbraw/zinc/05/18/52/353051852.db2.gz DGZIIXRCOXTZHS-SNVBAGLBSA-N 0 0 289.360 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC23CCOCC3)cn1 ZINC000619699243 353053155 /nfs/dbraw/zinc/05/31/55/353053155.db2.gz QPWXQESWMUIKHD-GFCCVEGCSA-N 0 0 277.324 2.751 20 5 CFBDRN CC[C@H](C)OCC(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000152470450 188021517 /nfs/dbraw/zinc/02/15/17/188021517.db2.gz ZQYNUWZGWSKJCN-VIFPVBQESA-N 0 0 267.281 2.624 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])NCCC1=CCCC1 ZINC000152502930 188024748 /nfs/dbraw/zinc/02/47/48/188024748.db2.gz BTARYOSFQRFYIS-UHFFFAOYSA-N 0 0 289.335 2.623 20 5 CFBDRN Nc1c(C(=O)NCCC2=CCCC2)cc(F)cc1[N+](=O)[O-] ZINC000152517312 188025188 /nfs/dbraw/zinc/02/51/88/188025188.db2.gz YTZBYIKCUDKQIC-UHFFFAOYSA-N 0 0 293.298 2.546 20 5 CFBDRN CC[C@H]1CCN1Cc1cc([N+](=O)[O-])ccc1OC ZINC000292291736 198232966 /nfs/dbraw/zinc/23/29/66/198232966.db2.gz CMHQLOUULXMGJS-NSHDSACASA-N 0 0 250.298 2.588 20 5 CFBDRN CC[C@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000152819775 188045251 /nfs/dbraw/zinc/04/52/51/188045251.db2.gz BHSNJRXYLAFDQL-VIFPVBQESA-N 0 0 265.269 2.739 20 5 CFBDRN CC[C@H]1CCN1Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000292345674 198253636 /nfs/dbraw/zinc/25/36/36/198253636.db2.gz HCSFXMFQRUVGNW-NSHDSACASA-N 0 0 250.298 2.588 20 5 CFBDRN Cc1cc(N[C@H](C)CO[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000292345590 198253740 /nfs/dbraw/zinc/25/37/40/198253740.db2.gz BRLIBQQIXWGWTA-MNOVXSKESA-N 0 0 298.314 2.648 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCOC(C)(C)C2)cc([N+](=O)[O-])c1 ZINC000153785819 188104034 /nfs/dbraw/zinc/10/40/34/188104034.db2.gz VXFVZGSQCOWLCP-LBPRGKRZSA-N 0 0 292.335 2.591 20 5 CFBDRN CN(CCC1CC1)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000153956643 188113439 /nfs/dbraw/zinc/11/34/39/188113439.db2.gz PFOZMSPXDCIVQG-UHFFFAOYSA-N 0 0 276.336 2.786 20 5 CFBDRN C[C@]1(CNC(=O)Cc2ccccc2[N+](=O)[O-])CCCS1 ZINC000153967123 188114731 /nfs/dbraw/zinc/11/47/31/188114731.db2.gz PTYZKJCPUYQOTH-CQSZACIVSA-N 0 0 294.376 2.539 20 5 CFBDRN CN(c1ncc(Cl)cc1[N+](=O)[O-])C1CCC(CO)CC1 ZINC000154009469 188117367 /nfs/dbraw/zinc/11/73/67/188117367.db2.gz UMXDMECNTWKBBR-UHFFFAOYSA-N 0 0 299.758 2.631 20 5 CFBDRN Cc1cc(C(=O)N(C)CCC2CC2)cc([N+](=O)[O-])c1 ZINC000154050638 188119449 /nfs/dbraw/zinc/11/94/49/188119449.db2.gz DXGGRYIZMFHDTF-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO[C@@H]2CCCCO2)cc1 ZINC000292356837 198257899 /nfs/dbraw/zinc/25/78/99/198257899.db2.gz CKGYQYYRRHXTTO-CYBMUJFWSA-N 0 0 266.297 2.550 20 5 CFBDRN C[C@H](C(=O)OC(C)(C)C)N(C)Cc1ccc([N+](=O)[O-])cc1 ZINC000154483784 188145304 /nfs/dbraw/zinc/14/53/04/188145304.db2.gz SJMKDAXBMPWWNK-LLVKDONJSA-N 0 0 294.351 2.757 20 5 CFBDRN C[C@]1(CNC(=O)c2ccccc2[N+](=O)[O-])CCCS1 ZINC000154114158 188123648 /nfs/dbraw/zinc/12/36/48/188123648.db2.gz SHRRAEAHRUUGFR-CYBMUJFWSA-N 0 0 280.349 2.610 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCOC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000154139672 188125353 /nfs/dbraw/zinc/12/53/53/188125353.db2.gz SIUNRPUEKIGVJC-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN COCCC1(CNc2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000154185341 188128338 /nfs/dbraw/zinc/12/83/38/188128338.db2.gz WTYXSGFTGISIOZ-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN Cc1c(CN2CCOC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000154313429 188135444 /nfs/dbraw/zinc/13/54/44/188135444.db2.gz AHNIETPFJTZLQA-UHFFFAOYSA-N 0 0 276.336 2.658 20 5 CFBDRN Cc1cc(C(=O)NC[C@]2(C)CCCS2)ccc1[N+](=O)[O-] ZINC000154312930 188135487 /nfs/dbraw/zinc/13/54/87/188135487.db2.gz MLCVIJJWLPTLSK-AWEZNQCLSA-N 0 0 294.376 2.919 20 5 CFBDRN CC[C@@H](C)OCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000154492621 188145851 /nfs/dbraw/zinc/14/58/51/188145851.db2.gz FNGQTYUMPQBJBM-SSDOTTSWSA-N 0 0 288.250 2.627 20 5 CFBDRN C[C@@]1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)CCCS1 ZINC000154663621 188155794 /nfs/dbraw/zinc/15/57/94/188155794.db2.gz TVWWAZSTGDZYRM-AWEZNQCLSA-N 0 0 294.376 2.539 20 5 CFBDRN CO[C@](C)(CNc1cc(C)c([N+](=O)[O-])cn1)C1CC1 ZINC000292452643 198293645 /nfs/dbraw/zinc/29/36/45/198293645.db2.gz WYPNNLZHEWNNFE-CYBMUJFWSA-N 0 0 265.313 2.525 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2nnc(C(F)F)o2)cc1 ZINC000292486599 198306704 /nfs/dbraw/zinc/30/67/04/198306704.db2.gz MGZUXNCQFQYJDU-UHFFFAOYSA-N 0 0 284.222 2.570 20 5 CFBDRN Cc1cc(N(C)CCc2cnccn2)c(F)cc1[N+](=O)[O-] ZINC000292500414 198311589 /nfs/dbraw/zinc/31/15/89/198311589.db2.gz FPJDMRYOSDPHEW-UHFFFAOYSA-N 0 0 290.298 2.511 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2cc(C)ccc2[N+](=O)[O-])[C@@H]1OC ZINC000157231655 188304872 /nfs/dbraw/zinc/30/48/72/188304872.db2.gz ACSCTUKFRAPJOO-BNOWGMLFSA-N 0 0 280.324 2.508 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000156385281 188258924 /nfs/dbraw/zinc/25/89/24/188258924.db2.gz CWEGPGPUXOAYBZ-DGCLKSJQSA-N 0 0 276.336 2.832 20 5 CFBDRN CCn1ccnc1CNc1c(F)cccc1[N+](=O)[O-] ZINC000156398389 188260134 /nfs/dbraw/zinc/26/01/34/188260134.db2.gz VIIAEMYSTQHYEH-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN CCCCN(C(=O)c1cccc([N+](=O)[O-])c1N)C1CC1 ZINC000157310520 188308612 /nfs/dbraw/zinc/30/86/12/188308612.db2.gz DWYMSRPXAIBRNF-UHFFFAOYSA-N 0 0 277.324 2.582 20 5 CFBDRN CO[C@H](C)Cc1nc2cc3ccccc3cc2c(=O)[nH]1 ZINC000157352183 188311872 /nfs/dbraw/zinc/31/18/72/188311872.db2.gz VYJAGEONBNKZOO-SNVBAGLBSA-N 0 0 268.316 2.654 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000292533126 198322663 /nfs/dbraw/zinc/32/26/63/198322663.db2.gz HVERVROVORLGKA-ZJUUUORDSA-N 0 0 297.330 2.757 20 5 CFBDRN CN(CCc1nccs1)c1ccccc1[N+](=O)[O-] ZINC000157818736 188340118 /nfs/dbraw/zinc/34/01/18/188340118.db2.gz LBAPVZVLVGAQQT-UHFFFAOYSA-N 0 0 263.322 2.730 20 5 CFBDRN O=[N+]([O-])c1cccc(Cn2cnc3c2CCCC3)c1 ZINC000158088723 188356174 /nfs/dbraw/zinc/35/61/74/188356174.db2.gz JJQVDJPQEYEWFA-UHFFFAOYSA-N 0 0 257.293 2.718 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)C(F)(F)F)c(Cl)c1 ZINC000158101988 188357869 /nfs/dbraw/zinc/35/78/69/188357869.db2.gz NMYOXCWDHLBGIO-MRVPVSSYSA-N 0 0 285.605 2.550 20 5 CFBDRN CCC[C@H](C)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158367922 188372709 /nfs/dbraw/zinc/37/27/09/188372709.db2.gz RFHVXZCVMUDTDO-JTQLQIEISA-N 0 0 250.298 2.994 20 5 CFBDRN Cc1cc(NCCC[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292669736 198369765 /nfs/dbraw/zinc/36/97/65/198369765.db2.gz QLKHUHVMNDFGSX-VIFPVBQESA-N 0 0 256.277 2.615 20 5 CFBDRN CCc1nnc(COc2cccc(Cl)c2[N+](=O)[O-])o1 ZINC000292683768 198374884 /nfs/dbraw/zinc/37/48/84/198374884.db2.gz PEFWHUAUODUQAM-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN Cc1c(NC(=O)COC(C)(C)C)cccc1[N+](=O)[O-] ZINC000158453653 188376829 /nfs/dbraw/zinc/37/68/29/188376829.db2.gz UNVNMCGQZWCXRO-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1cc(NCc2nncn2C(C)C)c(F)cc1[N+](=O)[O-] ZINC000292708274 198383736 /nfs/dbraw/zinc/38/37/36/198383736.db2.gz SOEPJROTFMLGFY-UHFFFAOYSA-N 0 0 293.302 2.827 20 5 CFBDRN CCCOC(=O)[C@@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271699442 191282355 /nfs/dbraw/zinc/28/23/55/191282355.db2.gz HDUFLCVSZOZTTA-GHMZBOCLSA-N 0 0 280.324 2.587 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000292723320 198389998 /nfs/dbraw/zinc/38/99/98/198389998.db2.gz IFYMPSVQIMDNHG-QWHCGFSZSA-N 0 0 279.292 2.705 20 5 CFBDRN CC(C)(NC(=O)c1csc([N+](=O)[O-])c1)C1CCC1 ZINC000456146432 535246084 /nfs/dbraw/zinc/24/60/84/535246084.db2.gz MSCYALRYPDUWQL-UHFFFAOYSA-N 0 0 268.338 2.965 20 5 CFBDRN COc1cc(N[C@H](CO)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000161464168 188528982 /nfs/dbraw/zinc/52/89/82/188528982.db2.gz BYTIDXSNBDVORW-NSHDSACASA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@@H](C)CONc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC000295676375 199616248 /nfs/dbraw/zinc/61/62/48/199616248.db2.gz LCOBMTUBYBLQBE-SNVBAGLBSA-N 0 0 296.323 2.700 20 5 CFBDRN C[C@@H](Nc1cccc(F)c1[N+](=O)[O-])[C@H]1CCOC1 ZINC000166379505 188637060 /nfs/dbraw/zinc/63/70/60/188637060.db2.gz OQLJWRBXKZAUNQ-BDAKNGLRSA-N 0 0 254.261 2.571 20 5 CFBDRN CC(C)[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000271747637 191303294 /nfs/dbraw/zinc/30/32/94/191303294.db2.gz YLXAFGTZOJARER-VHSXEESVSA-N 0 0 298.364 2.590 20 5 CFBDRN C[C@H](NC(=O)CC[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000271757776 191308001 /nfs/dbraw/zinc/30/80/01/191308001.db2.gz BZKQMYCYWXEJPF-RYUDHWBXSA-N 0 0 292.335 2.731 20 5 CFBDRN C[C@@]1(F)CCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000295688215 199621201 /nfs/dbraw/zinc/62/12/01/199621201.db2.gz ZEKQUJDJVGMUCA-LLVKDONJSA-N 0 0 272.301 2.621 20 5 CFBDRN CC(C)N(C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271774587 191318590 /nfs/dbraw/zinc/31/85/90/191318590.db2.gz YCNIRNFMLAKGIM-JTQLQIEISA-N 0 0 265.313 2.706 20 5 CFBDRN C[C@H]1CCCN1C(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000173155367 188843612 /nfs/dbraw/zinc/84/36/12/188843612.db2.gz YBWFSACSPLILFS-LBPRGKRZSA-N 0 0 291.351 2.798 20 5 CFBDRN C[C@H](NC(=O)C1CCCC1)c1ccccc1[N+](=O)[O-] ZINC000271836061 191357859 /nfs/dbraw/zinc/35/78/59/191357859.db2.gz XRQDKVQXRXHEDK-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN C[C@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCO1 ZINC000271870272 191380860 /nfs/dbraw/zinc/38/08/60/191380860.db2.gz KGOKQUMHHBJLPK-GXSJLCMTSA-N 0 0 289.291 2.927 20 5 CFBDRN C[C@H](C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C(C)(F)F ZINC000293554509 198682278 /nfs/dbraw/zinc/68/22/78/198682278.db2.gz UQPANIUOWYZLPG-ZCFIWIBFSA-N 0 0 298.249 2.701 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCCS1 ZINC000272962746 192000216 /nfs/dbraw/zinc/00/02/16/192000216.db2.gz MSGZJACXUONUFD-NSHDSACASA-N 0 0 284.312 2.958 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCC1=CCCCC1 ZINC000295784845 199662751 /nfs/dbraw/zinc/66/27/51/199662751.db2.gz LOOUQALCBSHFET-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN COc1ccc(COc2ccncc2)cc1[N+](=O)[O-] ZINC000431522840 383679897 /nfs/dbraw/zinc/67/98/97/383679897.db2.gz YMVLZGFGVWBDKJ-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)CCS1 ZINC000174780646 188917910 /nfs/dbraw/zinc/91/79/10/188917910.db2.gz UDZDBGHREWCQAZ-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@H]1CCCOC1 ZINC000175110179 188933394 /nfs/dbraw/zinc/93/33/94/188933394.db2.gz OKQDUYDZYSWWDF-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN CO[C@H](C)c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273757636 192294663 /nfs/dbraw/zinc/29/46/63/192294663.db2.gz NAFZGESKQAWFPV-MRVPVSSYSA-N 0 0 263.253 2.661 20 5 CFBDRN CCO[C@@H]1C[C@H]1c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273743988 192287998 /nfs/dbraw/zinc/28/79/98/192287998.db2.gz LSJRKUSUBJIKND-ZYHUDNBSSA-N 0 0 289.291 2.846 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000273815887 192318163 /nfs/dbraw/zinc/31/81/63/192318163.db2.gz BWLVXIVMQQWELO-GFCCVEGCSA-N 0 0 294.326 2.926 20 5 CFBDRN CC(=O)c1ccc(NC[C@@]2(O)CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000294035569 198879856 /nfs/dbraw/zinc/87/98/56/198879856.db2.gz LMHZKCQOMMKMBH-BONVTDFDSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2CC=CCC2)ccc1[N+](=O)[O-] ZINC000175667255 188961382 /nfs/dbraw/zinc/96/13/82/188961382.db2.gz UBVMRYAKCKDAMD-SNVBAGLBSA-N 0 0 261.281 2.593 20 5 CFBDRN C[C@@H](C(=O)NC[C@@H]1CCCS1)c1cccc([N+](=O)[O-])c1 ZINC000568942317 290577667 /nfs/dbraw/zinc/57/76/67/290577667.db2.gz VXZZAUNPINKCTC-MFKMUULPSA-N 0 0 294.376 2.710 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])OC ZINC000294128417 198919457 /nfs/dbraw/zinc/91/94/57/198919457.db2.gz FIGMECKPCWTOCT-TVQRCGJNSA-N 0 0 298.314 2.525 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000294228258 198960589 /nfs/dbraw/zinc/96/05/89/198960589.db2.gz RQABUYWENPAPCG-JGVFFNPUSA-N 0 0 268.700 2.729 20 5 CFBDRN C[C@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@@H]1n1ccnc1 ZINC000134046848 290592458 /nfs/dbraw/zinc/59/24/58/290592458.db2.gz BIBIAUQFFCGOSD-WFASDCNBSA-N 0 0 286.335 2.879 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294395310 199024135 /nfs/dbraw/zinc/02/41/35/199024135.db2.gz KIPLMQLDTNKRDR-LLVKDONJSA-N 0 0 276.336 2.800 20 5 CFBDRN CS[C@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000294403784 199027184 /nfs/dbraw/zinc/02/71/84/199027184.db2.gz LMIIZTWJNXUIJY-QMMMGPOBSA-N 0 0 256.302 2.676 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CCC1CCCC1 ZINC000294554906 199083885 /nfs/dbraw/zinc/08/38/85/199083885.db2.gz WSKFELHXWBNUCG-UHFFFAOYSA-N 0 0 250.298 2.645 20 5 CFBDRN CCOc1ccccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294583029 199095643 /nfs/dbraw/zinc/09/56/43/199095643.db2.gz WHJPJGUIGRJZKD-UHFFFAOYSA-N 0 0 280.324 2.859 20 5 CFBDRN Cc1cc(N(C)C)ccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294599426 199102039 /nfs/dbraw/zinc/10/20/39/199102039.db2.gz UYSWGHULHAJFLF-UHFFFAOYSA-N 0 0 293.367 2.835 20 5 CFBDRN C[C@@H]1[C@H](O)CCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000294683207 199131704 /nfs/dbraw/zinc/13/17/04/199131704.db2.gz ABGZQWGXTIUTJV-NOZJJQNGSA-N 0 0 284.743 2.593 20 5 CFBDRN Cc1ccc(N[C@@H](C)CCCCO)c([N+](=O)[O-])c1 ZINC000296208476 199819303 /nfs/dbraw/zinc/81/93/03/199819303.db2.gz FVVKEZNPKUAQDA-NSHDSACASA-N 0 0 252.314 2.866 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)C(C)(F)F)c([N+](=O)[O-])c1 ZINC000294722694 199152099 /nfs/dbraw/zinc/15/20/99/199152099.db2.gz XCAURGDOUYJXNG-ZETCQYMHSA-N 0 0 288.250 2.833 20 5 CFBDRN Cc1nn(CC[C@@H]2CCOC2)c2ccc([N+](=O)[O-])cc12 ZINC000418916799 236411418 /nfs/dbraw/zinc/41/14/18/236411418.db2.gz SLOVSSWWAOZJFV-LLVKDONJSA-N 0 0 275.308 2.680 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(OC3CCC3)CC2)c(F)c1 ZINC000418968593 236416725 /nfs/dbraw/zinc/41/67/25/236416725.db2.gz CHAOZPYZHBYWPW-UHFFFAOYSA-N 0 0 295.314 2.667 20 5 CFBDRN C[C@@H](CCO)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000294858497 199217113 /nfs/dbraw/zinc/21/71/13/199217113.db2.gz CWNDXBNEKOTMBQ-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN Cc1cc(NCCC(C)(C)CO)ccc1[N+](=O)[O-] ZINC000294860213 199218115 /nfs/dbraw/zinc/21/81/15/199218115.db2.gz CBTZMYRVFDTBLX-UHFFFAOYSA-N 0 0 252.314 2.724 20 5 CFBDRN C[C@@H](CC(C)(C)O)Nc1cccc(F)c1[N+](=O)[O-] ZINC000294863904 199220004 /nfs/dbraw/zinc/22/00/04/199220004.db2.gz AJQCOKNXKLOULI-QMMMGPOBSA-N 0 0 256.277 2.695 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1C[C@@H]1CCCCC1(F)F ZINC000294914754 199246345 /nfs/dbraw/zinc/24/63/45/199246345.db2.gz IPKZEYYJJIMJBD-VIFPVBQESA-N 0 0 272.251 2.582 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)CC(C)(C)O)c([N+](=O)[O-])c1 ZINC000294933227 199256488 /nfs/dbraw/zinc/25/64/88/199256488.db2.gz HNJHNWQQBQAJTB-VIFPVBQESA-N 0 0 280.324 2.759 20 5 CFBDRN C[C@H]1CC[C@H](CNc2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420626091 236661791 /nfs/dbraw/zinc/66/17/91/236661791.db2.gz MJXJQVDTKWPUBZ-UWVGGRQHSA-N 0 0 277.324 2.542 20 5 CFBDRN CC(C)c1nnc(CNc2c(F)cccc2[N+](=O)[O-])[nH]1 ZINC000294938669 199260467 /nfs/dbraw/zinc/26/04/67/199260467.db2.gz LAPXAGIAMMZOCI-UHFFFAOYSA-N 0 0 279.275 2.588 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@@H]1CC12CC2 ZINC000421565992 236841389 /nfs/dbraw/zinc/84/13/89/236841389.db2.gz YJHOLHGHWOVZDN-NSHDSACASA-N 0 0 274.320 2.742 20 5 CFBDRN Cc1nc(NCCO[C@H]2CCCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC000296552443 199930022 /nfs/dbraw/zinc/93/00/22/199930022.db2.gz OXTCYTJEJULKFX-GWCFXTLKSA-N 0 0 294.355 2.700 20 5 CFBDRN Cc1c(NC(=O)c2cccc(O)c2)cccc1[N+](=O)[O-] ZINC000169913562 540538803 /nfs/dbraw/zinc/53/88/03/540538803.db2.gz VRVRQVVMYDBEMV-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN O=C(NOCC1CC1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000296867589 200020009 /nfs/dbraw/zinc/02/00/09/200020009.db2.gz VOTLBFMRJAFSCU-UHFFFAOYSA-N 0 0 285.687 2.711 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CC3(F)F)n2)s1 ZINC000296942572 200041463 /nfs/dbraw/zinc/04/14/63/200041463.db2.gz QLGZNFQBTIRUJY-BYPYZUCNSA-N 0 0 273.220 2.829 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nncn1-c1ccccc1 ZINC000435785816 240353123 /nfs/dbraw/zinc/35/31/23/240353123.db2.gz PZRRJKRDUNYRBA-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN Cc1cc(Cc2noc([C@H]3CC3(F)F)n2)ccc1[N+](=O)[O-] ZINC000297066773 200076325 /nfs/dbraw/zinc/07/63/25/200076325.db2.gz WDXYPOFCSGDAQK-SECBINFHSA-N 0 0 295.245 3.000 20 5 CFBDRN CS[C@H]1CCC[C@H](Nc2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000297094104 200083559 /nfs/dbraw/zinc/08/35/59/200083559.db2.gz KSQPYNAPSIXFKY-UWVGGRQHSA-N 0 0 282.369 2.779 20 5 CFBDRN Cc1c(NC(=O)N[C@@H]2CCOC2(C)C)cccc1[N+](=O)[O-] ZINC000297481890 200206482 /nfs/dbraw/zinc/20/64/82/200206482.db2.gz OTUSIZAHFAWKPC-GFCCVEGCSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1nc(N[C@H]2CCCC2(C)C)ncc1[N+](=O)[O-] ZINC000297519536 200216998 /nfs/dbraw/zinc/21/69/98/200216998.db2.gz OKVBUXQUBPPFDM-JTQLQIEISA-N 0 0 250.302 2.684 20 5 CFBDRN C[C@H]1OCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])[C@@H]1C ZINC000155979858 291205668 /nfs/dbraw/zinc/20/56/68/291205668.db2.gz UQEZNSGBZMOCOU-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCc1nc(C(C)C)no1 ZINC000298092263 200331852 /nfs/dbraw/zinc/33/18/52/200331852.db2.gz LPHBMNLUOYKYKL-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN CCc1ccc(Cn2c(C)c([N+](=O)[O-])ccc2=O)cc1 ZINC000298121406 200338900 /nfs/dbraw/zinc/33/89/00/200338900.db2.gz BSMNBRQISMZSJU-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN CCC[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000298174097 200351934 /nfs/dbraw/zinc/35/19/34/200351934.db2.gz UKNVHDRYNBCFIY-LLVKDONJSA-N 0 0 288.250 2.627 20 5 CFBDRN Cc1cc(C(=O)NCC2CC(F)(F)C2)cc([N+](=O)[O-])c1 ZINC000298188741 200355219 /nfs/dbraw/zinc/35/52/19/200355219.db2.gz AYHAMPWPMJSOAI-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN COc1cc(C(=O)N(C)[C@@H](C)C2CC2)c([N+](=O)[O-])cc1F ZINC000298194947 200357096 /nfs/dbraw/zinc/35/70/96/200357096.db2.gz WUHSTXIQBQACGT-QMMMGPOBSA-N 0 0 296.298 2.613 20 5 CFBDRN CC1(CNC(=O)NCCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000176646315 189003086 /nfs/dbraw/zinc/00/30/86/189003086.db2.gz MQQOWIFQRNEVJN-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN CC[C@@H]1CN([C@H](C)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000298276177 200380492 /nfs/dbraw/zinc/38/04/92/200380492.db2.gz ABWNTHIVYGGXQE-BXUZGUMPSA-N 0 0 264.325 2.767 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)N(C)C2CC2)cc1[N+](=O)[O-] ZINC000176737768 189006961 /nfs/dbraw/zinc/00/69/61/189006961.db2.gz LOSJBYYYHWGFGW-SNVBAGLBSA-N 0 0 277.324 2.768 20 5 CFBDRN COc1cc(NCC2CCOCC2)c([N+](=O)[O-])cc1F ZINC000176961793 189017512 /nfs/dbraw/zinc/01/75/12/189017512.db2.gz XLOCVHXVRRJHPK-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN CC(C)C[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000177006226 189019855 /nfs/dbraw/zinc/01/98/55/189019855.db2.gz FYGKUEIYVUFSAY-NSHDSACASA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000177007174 189020200 /nfs/dbraw/zinc/02/02/00/189020200.db2.gz LEGCKBOARQLQTI-NWDGAFQWSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1C[C@@H]1C ZINC000298435828 200429997 /nfs/dbraw/zinc/42/99/97/200429997.db2.gz XWZCOAZRQHDVGM-DCAQKATOSA-N 0 0 294.376 2.848 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000298446933 200433818 /nfs/dbraw/zinc/43/38/18/200433818.db2.gz QZJHTLMKLPDXKL-NSHDSACASA-N 0 0 293.367 2.777 20 5 CFBDRN CO[C@H](C)c1noc(CNc2cc([N+](=O)[O-])ccc2C)n1 ZINC000177179959 189034630 /nfs/dbraw/zinc/03/46/30/189034630.db2.gz BRGOFKFKPCURDT-SECBINFHSA-N 0 0 292.295 2.606 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)c([N+](=O)[O-])n2)[C@@H]2CCCC[C@H]21 ZINC000596189872 540704803 /nfs/dbraw/zinc/70/48/03/540704803.db2.gz MMPZECSVNZZYRR-JFGNBEQYSA-N 0 0 276.340 2.587 20 5 CFBDRN CC(C)C1(CNC(=O)COc2ccc([N+](=O)[O-])cc2)CC1 ZINC000177393032 189047656 /nfs/dbraw/zinc/04/76/56/189047656.db2.gz LFCDYSREGRWNET-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN COC[C@H](NCc1cccc([N+](=O)[O-])c1)c1ccc(C)o1 ZINC000177568297 189057718 /nfs/dbraw/zinc/05/77/18/189057718.db2.gz LSIQJGORLWACET-AWEZNQCLSA-N 0 0 290.319 2.974 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C2CCOCC2)cc1[N+](=O)[O-] ZINC000177852934 189074123 /nfs/dbraw/zinc/07/41/23/189074123.db2.gz OBUFENCXFKUKRB-NSHDSACASA-N 0 0 292.335 2.507 20 5 CFBDRN Cc1c([C@H](C)Nc2ccc([N+](=O)[O-])c(C)n2)cnn1C ZINC000178015920 189085528 /nfs/dbraw/zinc/08/55/28/189085528.db2.gz BKWXIYCZKLDJRS-QMMMGPOBSA-N 0 0 275.312 2.513 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCC(=O)C(C)(C)C)c1 ZINC000224849903 540755025 /nfs/dbraw/zinc/75/50/25/540755025.db2.gz ILCOCGCMTGQHRB-UHFFFAOYSA-N 0 0 267.281 2.597 20 5 CFBDRN CCc1ccc(Cn2cc([N+](=O)[O-])cc(C)c2=O)cc1 ZINC000178228485 189099378 /nfs/dbraw/zinc/09/93/78/189099378.db2.gz IMRAICBSPUFSIW-UHFFFAOYSA-N 0 0 272.304 2.676 20 5 CFBDRN CN(C(=O)CCC(C)(C)[N+](=O)[O-])c1cc(F)ccc1F ZINC000298901290 200559768 /nfs/dbraw/zinc/55/97/68/200559768.db2.gz BTGWGXLWFYTOOW-UHFFFAOYSA-N 0 0 286.278 2.763 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000178465378 189118935 /nfs/dbraw/zinc/11/89/35/189118935.db2.gz WXNQPVZHGOYODN-LLVKDONJSA-N 0 0 284.337 2.684 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000178595645 189129461 /nfs/dbraw/zinc/12/94/61/189129461.db2.gz DSWLMOHJQCKFOD-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1c(C(=O)NCCCc2ccccn2)cccc1[N+](=O)[O-] ZINC000178604035 189130606 /nfs/dbraw/zinc/13/06/06/189130606.db2.gz AULGFZYIXXLRBH-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1cn(-c2ccccc2)nn1 ZINC000196836177 540805179 /nfs/dbraw/zinc/80/51/79/540805179.db2.gz XMXZBIQYUXGBHP-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCC1CCC1 ZINC000178914568 189154756 /nfs/dbraw/zinc/15/47/56/189154756.db2.gz GLAKIZLECPZCCP-UHFFFAOYSA-N 0 0 287.319 2.535 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000179300196 189184548 /nfs/dbraw/zinc/18/45/48/189184548.db2.gz BMWLWBLCEIQFHR-VXGBXAGGSA-N 0 0 291.351 2.782 20 5 CFBDRN C[C@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C[C@H]1C ZINC000179334377 189186781 /nfs/dbraw/zinc/18/67/81/189186781.db2.gz YLTAPBHGVRXRNZ-DTWKUNHWSA-N 0 0 268.338 2.774 20 5 CFBDRN CCCc1cc(NC(=O)c2csc([N+](=O)[O-])c2)n(C)n1 ZINC000179585141 189204796 /nfs/dbraw/zinc/20/47/96/189204796.db2.gz YWAKSFJLFMTUSS-UHFFFAOYSA-N 0 0 294.336 2.595 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)C1CC1 ZINC000179472527 189196655 /nfs/dbraw/zinc/19/66/55/189196655.db2.gz DIWPEHBLYNSEBU-UHFFFAOYSA-N 0 0 262.309 2.754 20 5 CFBDRN CC1(C)CCC(Cn2ccc(=O)c([N+](=O)[O-])c2)CC1 ZINC000180437937 189255405 /nfs/dbraw/zinc/25/54/05/189255405.db2.gz QWWKXBNBGBQCBB-UHFFFAOYSA-N 0 0 264.325 2.973 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000180554712 189261985 /nfs/dbraw/zinc/26/19/85/189261985.db2.gz JEQDNSBMIJRTFW-MRVPVSSYSA-N 0 0 288.394 2.836 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NCCCC1CCCC1 ZINC000180629363 189266536 /nfs/dbraw/zinc/26/65/36/189266536.db2.gz QPYVRYZOZZWEQV-UHFFFAOYSA-N 0 0 291.351 2.877 20 5 CFBDRN Cc1ccc(NC(=O)[C@H](C)n2cc([N+](=O)[O-])cn2)c(C)c1 ZINC000180498559 189258640 /nfs/dbraw/zinc/25/86/40/189258640.db2.gz QMNSTVUCLCQIOE-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN CC(C)(C)C1=N/C(=C\c2ccc([N+](=O)[O-])s2)C(=O)O1 ZINC000180693245 189270008 /nfs/dbraw/zinc/27/00/08/189270008.db2.gz KHUJZBVAKLHYSI-VURMDHGXSA-N 0 0 280.305 2.999 20 5 CFBDRN Cc1nn(C)c(Nc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000301245921 200949470 /nfs/dbraw/zinc/94/94/70/200949470.db2.gz BFFZQKYOWWLVCO-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN CO[C@@H](C)CCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000180836264 189278621 /nfs/dbraw/zinc/27/86/21/189278621.db2.gz DABPPLBIYSISSF-NSHDSACASA-N 0 0 280.324 2.965 20 5 CFBDRN C[C@H](c1cccnc1)N(C)c1ncccc1[N+](=O)[O-] ZINC000301253727 200953101 /nfs/dbraw/zinc/95/31/01/200953101.db2.gz JUEMSTJDQHGEAZ-SNVBAGLBSA-N 0 0 258.281 2.582 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1 ZINC000301235072 200946737 /nfs/dbraw/zinc/94/67/37/200946737.db2.gz LKIAKVUOAOQGJO-GWCFXTLKSA-N 0 0 252.314 2.804 20 5 CFBDRN COc1cc(N(C)Cc2cccnc2)ccc1[N+](=O)[O-] ZINC000301342792 200981265 /nfs/dbraw/zinc/98/12/65/200981265.db2.gz GUJWKHSPTBNBRZ-UHFFFAOYSA-N 0 0 273.292 2.635 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CCC[C@]2(C)CO)c([N+](=O)[O-])c1 ZINC000301348110 200983300 /nfs/dbraw/zinc/98/33/00/200983300.db2.gz IUJBSBUUTGRZOY-LSDHHAIUSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(C)[C@H](O)CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000301406254 201000080 /nfs/dbraw/zinc/00/00/80/201000080.db2.gz GYJBGCYJLQFQIY-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@H](O)c1ccco1 ZINC000301425905 201003900 /nfs/dbraw/zinc/00/39/00/201003900.db2.gz CJSCYXBKKMQWIZ-GFCCVEGCSA-N 0 0 262.265 2.642 20 5 CFBDRN Cc1cnc(N[C@@H](CCO)c2cccs2)c([N+](=O)[O-])c1 ZINC000301422619 201004306 /nfs/dbraw/zinc/00/43/06/201004306.db2.gz FRRJYILLDXDTDM-JTQLQIEISA-N 0 0 293.348 2.895 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC(C)(C)OC)c1 ZINC000301452031 201012668 /nfs/dbraw/zinc/01/26/68/201012668.db2.gz AIMZSNLWKRHTTM-UHFFFAOYSA-N 0 0 272.276 2.579 20 5 CFBDRN Cc1cc(NC[C@H](C)CCO)c(Cl)cc1[N+](=O)[O-] ZINC000301463695 201018117 /nfs/dbraw/zinc/01/81/17/201018117.db2.gz GAYIKGNMCTTWDK-MRVPVSSYSA-N 0 0 272.732 2.987 20 5 CFBDRN CC[C@@H]1COCCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182176467 189316510 /nfs/dbraw/zinc/31/65/10/189316510.db2.gz BOHRGBWGEYLRQW-LLVKDONJSA-N 0 0 284.743 2.859 20 5 CFBDRN CC[C@H]1CCN(c2cc(OC)cc(F)c2[N+](=O)[O-])C1 ZINC000301536716 201053638 /nfs/dbraw/zinc/05/36/38/201053638.db2.gz ACWFBOBYXYAKEL-VIFPVBQESA-N 0 0 268.288 2.979 20 5 CFBDRN CC(=O)c1ccc(NC2(CO)CCCC2)c([N+](=O)[O-])c1 ZINC000301595985 201088474 /nfs/dbraw/zinc/08/84/74/201088474.db2.gz VZTQOXOTGVFMQH-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN CC(C)CC1(CNc2ncc([N+](=O)[O-])c(N)n2)CCC1 ZINC000301600737 201090842 /nfs/dbraw/zinc/09/08/42/201090842.db2.gz IUMSTGHAVBMJEL-UHFFFAOYSA-N 0 0 279.344 2.595 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1cc(C)ccc1[N+](=O)[O-] ZINC000301577248 201076637 /nfs/dbraw/zinc/07/66/37/201076637.db2.gz REEMYLNPUBMQOP-GXFFZTMASA-N 0 0 252.314 2.722 20 5 CFBDRN CCOc1cc(N[C@H](C)c2cnn(C)c2)ccc1[N+](=O)[O-] ZINC000301576292 201077460 /nfs/dbraw/zinc/07/74/60/201077460.db2.gz MIHLJJGQMJADJC-SNVBAGLBSA-N 0 0 290.323 2.900 20 5 CFBDRN COC(=O)c1cc(N(C)CC2CC2)cc(C)c1[N+](=O)[O-] ZINC000301585927 201081719 /nfs/dbraw/zinc/08/17/19/201081719.db2.gz VMMLCZRCOHODIW-UHFFFAOYSA-N 0 0 278.308 2.536 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182577887 189328315 /nfs/dbraw/zinc/32/83/15/189328315.db2.gz LAJKJTOOWCWEHV-MFKMUULPSA-N 0 0 278.308 2.739 20 5 CFBDRN Cc1nc(N(C)[C@H](C)c2ccccn2)ccc1[N+](=O)[O-] ZINC000301633065 201106347 /nfs/dbraw/zinc/10/63/47/201106347.db2.gz JVSBAYJTURHVAI-LLVKDONJSA-N 0 0 272.308 2.891 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000182966926 189341145 /nfs/dbraw/zinc/34/11/45/189341145.db2.gz CINIELBJDZWPDP-IINYFYTJSA-N 0 0 290.319 2.563 20 5 CFBDRN Cc1ccc(CSc2ncc([N+](=O)[O-])c(N)n2)cc1C ZINC000301636240 201107785 /nfs/dbraw/zinc/10/77/85/201107785.db2.gz LMUGDRQJCKZQHG-UHFFFAOYSA-N 0 0 290.348 2.876 20 5 CFBDRN COc1cc(N(C)CC2CC2)c([N+](=O)[O-])cc1F ZINC000301641976 201111186 /nfs/dbraw/zinc/11/11/86/201111186.db2.gz ZBKUQYPXPRKXBX-UHFFFAOYSA-N 0 0 254.261 2.589 20 5 CFBDRN COc1cc(NCc2ccccn2)c([N+](=O)[O-])cc1F ZINC000301610529 201095061 /nfs/dbraw/zinc/09/50/61/201095061.db2.gz CPMHJPXBZMJTNX-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N(C)Cc1cnccn1 ZINC000182830211 189337047 /nfs/dbraw/zinc/33/70/47/189337047.db2.gz WABARBKVSPHUHX-GFCCVEGCSA-N 0 0 286.335 2.886 20 5 CFBDRN COc1cc(NCc2cccnc2)c([N+](=O)[O-])cc1F ZINC000301618644 201098525 /nfs/dbraw/zinc/09/85/25/201098525.db2.gz LGZCPXAOTJZFPJ-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000182871084 189337732 /nfs/dbraw/zinc/33/77/32/189337732.db2.gz GAFAYXVZLPFAIT-RNCFNFMXSA-N 0 0 277.276 2.506 20 5 CFBDRN Cc1ccnc(Oc2ccc3c[nH]nc3c2)c1[N+](=O)[O-] ZINC000301621985 201101722 /nfs/dbraw/zinc/10/17/22/201101722.db2.gz NOHKVBAGLXJZRZ-UHFFFAOYSA-N 0 0 270.248 2.967 20 5 CFBDRN Cc1nc(NCc2ccc(O)c(F)c2)ccc1[N+](=O)[O-] ZINC000301626071 201102769 /nfs/dbraw/zinc/10/27/69/201102769.db2.gz AWCDSFWZBXGFBM-UHFFFAOYSA-N 0 0 277.255 2.755 20 5 CFBDRN Cc1nc(Oc2cccc(-n3cncn3)c2)ccc1[N+](=O)[O-] ZINC000301649006 201114010 /nfs/dbraw/zinc/11/40/10/201114010.db2.gz IYJHZBZUNKQOSX-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000183258903 189352031 /nfs/dbraw/zinc/35/20/31/189352031.db2.gz IAIGZTNUDBEDHR-WDEREUQCSA-N 0 0 294.351 2.902 20 5 CFBDRN COC[C@H](C)SCCc1ccc([N+](=O)[O-])cc1 ZINC000183274249 189352785 /nfs/dbraw/zinc/35/27/85/189352785.db2.gz NMCBCEIGQTZVDM-JTQLQIEISA-N 0 0 255.339 2.905 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000301659914 201120142 /nfs/dbraw/zinc/12/01/42/201120142.db2.gz MGWYCWTXBVFSRB-RNCFNFMXSA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1nc(C)c(C)c(NCc2ccccc2[N+](=O)[O-])n1 ZINC000301702215 201148562 /nfs/dbraw/zinc/14/85/62/201148562.db2.gz UBZFFRPNBFIYOT-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN COCCC(C)(C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000183823665 189368726 /nfs/dbraw/zinc/36/87/26/189368726.db2.gz PSWNJFNCTOYWBP-UHFFFAOYSA-N 0 0 284.287 2.735 20 5 CFBDRN C[C@H]1CCCN(C(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000183552426 189361917 /nfs/dbraw/zinc/36/19/17/189361917.db2.gz AFQYJDSFMSMZAO-JTQLQIEISA-N 0 0 278.312 2.644 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N[C@@H]1CC12CCCCC2 ZINC000183574926 189363162 /nfs/dbraw/zinc/36/31/62/189363162.db2.gz CMKZCLXIMRSGNQ-GFCCVEGCSA-N 0 0 290.323 2.834 20 5 CFBDRN C[C@@H](Nc1ncc(Cl)cc1[N+](=O)[O-])c1cnn(C)c1 ZINC000301735473 201165289 /nfs/dbraw/zinc/16/52/89/201165289.db2.gz HDMXYCMPIKVLHI-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183588790 189363857 /nfs/dbraw/zinc/36/38/57/189363857.db2.gz NMCZTMWXCBLERK-JTQLQIEISA-N 0 0 278.312 2.786 20 5 CFBDRN COCCC(C)(C)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000184084830 189375955 /nfs/dbraw/zinc/37/59/55/189375955.db2.gz ZLAQJZSBZKWDTD-NSHDSACASA-N 0 0 294.351 2.835 20 5 CFBDRN CCC(=O)CCCOc1ccc(C(=O)OC)cc1[N+](=O)[O-] ZINC000183854541 189369490 /nfs/dbraw/zinc/36/94/90/189369490.db2.gz LRHQJRWPXJVYQX-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CCOC(=O)C[C@H](C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000301789399 201197470 /nfs/dbraw/zinc/19/74/70/201197470.db2.gz NBHWEFGKLDXTAM-ZETCQYMHSA-N 0 0 288.250 2.627 20 5 CFBDRN CCCN(CCO)c1cc(C)c([N+](=O)[O-])cc1Cl ZINC000301788807 201198070 /nfs/dbraw/zinc/19/80/70/201198070.db2.gz VWBNVJCLQHQQHX-UHFFFAOYSA-N 0 0 272.732 2.765 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@H]1CCCO1 ZINC000301820049 201215857 /nfs/dbraw/zinc/21/58/57/201215857.db2.gz GDPHYCVIVUBJHM-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cn1)[C@@H]1CCCO1 ZINC000301820048 201216238 /nfs/dbraw/zinc/21/62/38/201216238.db2.gz GDPHYCVIVUBJHM-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)C1CC1 ZINC000184487182 189387244 /nfs/dbraw/zinc/38/72/44/189387244.db2.gz SAGKVJIBSHVPPF-UHFFFAOYSA-N 0 0 263.297 2.838 20 5 CFBDRN CC(C)c1noc(N2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000301827436 201219663 /nfs/dbraw/zinc/21/96/63/201219663.db2.gz NNALXZZYWVADIS-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN Cc1cc(NC(=O)N2CCCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000184612073 189390986 /nfs/dbraw/zinc/39/09/86/189390986.db2.gz LUWTVNYGSFPEID-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)[C@@H]1CCCO1 ZINC000301842823 201227122 /nfs/dbraw/zinc/22/71/22/201227122.db2.gz KEONOPUIDGJSHT-PWSUYJOCSA-N 0 0 265.313 2.668 20 5 CFBDRN O=Cc1ccc(Oc2ncccc2[N+](=O)[O-])c(F)c1 ZINC000301852940 201233999 /nfs/dbraw/zinc/23/39/99/201233999.db2.gz QEVMGCTZABPCCV-UHFFFAOYSA-N 0 0 262.196 2.734 20 5 CFBDRN C[C@@H](CN(C)C(=O)c1ccc([N+](=O)[O-])o1)c1nccs1 ZINC000184901877 189398721 /nfs/dbraw/zinc/39/87/21/189398721.db2.gz ACZUEZYGAUNNSP-QMMMGPOBSA-N 0 0 295.320 2.520 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H](C)C[C@H](O)c1ccco1 ZINC000301860557 201235823 /nfs/dbraw/zinc/23/58/23/201235823.db2.gz CCUVHZWDGXRHPI-PWSUYJOCSA-N 0 0 291.307 2.815 20 5 CFBDRN Cc1cc(N(C)CC(C)(C)O)c(Cl)cc1[N+](=O)[O-] ZINC000301862123 201238135 /nfs/dbraw/zinc/23/81/35/201238135.db2.gz COOLDNAFNPHIPA-UHFFFAOYSA-N 0 0 272.732 2.764 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@H]2C(C)(C)O)c([N+](=O)[O-])c1 ZINC000301885573 201248898 /nfs/dbraw/zinc/24/88/98/201248898.db2.gz JZLFPONNQFWHDP-AWEZNQCLSA-N 0 0 292.335 2.537 20 5 CFBDRN COc1cc(N[C@H]2CCC[C@]2(C)CO)c(F)cc1[N+](=O)[O-] ZINC000301897900 201252138 /nfs/dbraw/zinc/25/21/38/201252138.db2.gz WEKARTYXHVMODW-UONOGXRCSA-N 0 0 298.314 2.706 20 5 CFBDRN Cc1cccc2[nH]c(CNc3ccc([N+](=O)[O-])cn3)nc21 ZINC000301907047 201257836 /nfs/dbraw/zinc/25/78/36/201257836.db2.gz ZMNGPCUXNJIBCR-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN COc1cc(NCc2ncccc2F)c(F)cc1[N+](=O)[O-] ZINC000301919199 201262684 /nfs/dbraw/zinc/26/26/84/201262684.db2.gz IIJSSVJCTPQLIM-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCCC2(CC2)C1 ZINC000185321188 189409408 /nfs/dbraw/zinc/40/94/08/189409408.db2.gz LBJVRQBDNWXWNG-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN Cc1cc(N[C@H](C)[C@H](C)CO)c2cccc([N+](=O)[O-])c2n1 ZINC000301979182 201285463 /nfs/dbraw/zinc/28/54/63/201285463.db2.gz AEWOHIBAEBIVHT-MWLCHTKSSA-N 0 0 289.335 2.880 20 5 CFBDRN CCOCCN(C)c1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000301983659 201287238 /nfs/dbraw/zinc/28/72/38/201287238.db2.gz BVEODMQJDCNAPE-UHFFFAOYSA-N 0 0 289.335 2.924 20 5 CFBDRN Cc1cc(NCc2ccnn2C)c2cccc([N+](=O)[O-])c2n1 ZINC000302023387 201304865 /nfs/dbraw/zinc/30/48/65/201304865.db2.gz OZJQFEUZQSELBM-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1cc(Nc2cccc(CCCO)c2)ncc1[N+](=O)[O-] ZINC000302053745 201323504 /nfs/dbraw/zinc/32/35/04/201323504.db2.gz PLRNXIMBQSIHIO-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN Cc1cccnc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000426031480 239158324 /nfs/dbraw/zinc/15/83/24/239158324.db2.gz XXROKQMAZKTMTD-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(C)(C)[S@@](=O)CCNc1sccc1[N+](=O)[O-] ZINC000302039097 201314926 /nfs/dbraw/zinc/31/49/26/201314926.db2.gz VUXOARHSFWAJKL-KRWDZBQOSA-N 0 0 276.383 2.615 20 5 CFBDRN CCC(=O)c1ccc(Oc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302124085 201352479 /nfs/dbraw/zinc/35/24/79/201352479.db2.gz FHMBHDNQHUFKIK-UHFFFAOYSA-N 0 0 273.248 2.770 20 5 CFBDRN C[C@H]1CCCCN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000186450537 189439506 /nfs/dbraw/zinc/43/95/06/189439506.db2.gz LKQYDPDWCSWADD-LBPRGKRZSA-N 0 0 291.351 2.655 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ncc(-c3ccccc3)o2)nc1 ZINC000302147241 201364944 /nfs/dbraw/zinc/36/49/44/201364944.db2.gz IMOCADDRRYXXBB-UHFFFAOYSA-N 0 0 297.274 2.652 20 5 CFBDRN CCc1nn(C)c(N(C)C[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000302156017 201370172 /nfs/dbraw/zinc/37/01/72/201370172.db2.gz MWXPQAHJBFXQEW-LLVKDONJSA-N 0 0 278.356 2.683 20 5 CFBDRN C[C@H](c1ccccc1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302157242 201370222 /nfs/dbraw/zinc/37/02/22/201370222.db2.gz NGBZHVASDHQWRI-SNVBAGLBSA-N 0 0 258.281 2.582 20 5 CFBDRN COc1ccc(Cl)cc1CNc1ncc([N+](=O)[O-])cn1 ZINC000302137034 201358909 /nfs/dbraw/zinc/35/89/09/201358909.db2.gz BRJACHXEPACXEK-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[NH2+][C@H](CC(=O)[O-])C(C)(C)C ZINC000426835168 239268915 /nfs/dbraw/zinc/26/89/15/239268915.db2.gz QGSBASWRSWIXTB-CYBMUJFWSA-N 0 0 294.351 2.882 20 5 CFBDRN Cc1cnc([C@@H](Nc2ncc([N+](=O)[O-])cn2)C2CC2)s1 ZINC000302170466 201377928 /nfs/dbraw/zinc/37/79/28/201377928.db2.gz UHBTXNBRUUKLCW-JTQLQIEISA-N 0 0 291.336 2.713 20 5 CFBDRN Cc1cnc([C@H](Nc2ncc([N+](=O)[O-])cn2)C2CC2)s1 ZINC000302170469 201378003 /nfs/dbraw/zinc/37/80/03/201378003.db2.gz UHBTXNBRUUKLCW-SNVBAGLBSA-N 0 0 291.336 2.713 20 5 CFBDRN O=C(Nc1ccc2c(c1)C(=O)CCC2)c1ccc([N+](=O)[O-])[nH]1 ZINC000427068801 239282872 /nfs/dbraw/zinc/28/28/72/239282872.db2.gz DHWROVLGCIZYQV-UHFFFAOYSA-N 0 0 299.286 2.694 20 5 CFBDRN Cc1nc(NC(=O)[C@H]2C[C@@]2(C)C(C)C)ccc1[N+](=O)[O-] ZINC000186840584 189452675 /nfs/dbraw/zinc/45/26/75/189452675.db2.gz NPGGUTMBLUOAAV-YGRLFVJLSA-N 0 0 277.324 2.919 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc(F)c(Cl)c2)nc1 ZINC000302175665 201382987 /nfs/dbraw/zinc/38/29/87/201382987.db2.gz WYIJKGKRFRKFLK-UHFFFAOYSA-N 0 0 269.619 2.970 20 5 CFBDRN COC(=O)CCCCC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000187119384 189459617 /nfs/dbraw/zinc/45/96/17/189459617.db2.gz QIJMUFBHDUNFPC-UHFFFAOYSA-N 0 0 294.307 2.575 20 5 CFBDRN O=C1O[C@@H](COc2ccc([N+](=O)[O-])cc2F)CC12CCC2 ZINC000187889837 189480028 /nfs/dbraw/zinc/48/00/28/189480028.db2.gz FVWZIRSILIKAEP-SNVBAGLBSA-N 0 0 295.266 2.599 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NCC=C(C)C ZINC000190358289 189561707 /nfs/dbraw/zinc/56/17/07/189561707.db2.gz FBRMIWIJJJAUMS-UHFFFAOYSA-N 0 0 279.296 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)c2ccsc2)c(F)c1 ZINC000302238089 201415562 /nfs/dbraw/zinc/41/55/62/201415562.db2.gz GWARRPZVZGXUER-GFCCVEGCSA-N 0 0 282.296 2.941 20 5 CFBDRN C[C@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)CCS1 ZINC000191358657 189593187 /nfs/dbraw/zinc/59/31/87/189593187.db2.gz RZLKYZSKIOCNTM-QMMMGPOBSA-N 0 0 286.378 2.624 20 5 CFBDRN Cc1cc(OCC(=O)N2[C@@H](C)CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000430790800 239808632 /nfs/dbraw/zinc/80/86/32/239808632.db2.gz AZVSGMKWIAAVLB-RYUDHWBXSA-N 0 0 292.335 2.681 20 5 CFBDRN O=C([C@@H]1C[C@@H]1[N+](=O)[O-])N1CCCC[C@H]1c1ccc(F)cc1 ZINC000374045174 296065509 /nfs/dbraw/zinc/06/55/09/296065509.db2.gz WOQPEZIYCXNDLB-RDBSUJKOSA-N 0 0 292.310 2.545 20 5 CFBDRN Cc1ccnc(-c2noc(-c3ccc([N+](=O)[O-])cc3C)n2)n1 ZINC000432692843 240030569 /nfs/dbraw/zinc/03/05/69/240030569.db2.gz MBYOOFIRJVZIDK-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCC1CCOCC1)CC2 ZINC000432853081 240057667 /nfs/dbraw/zinc/05/76/67/240057667.db2.gz ODSHOPSGZIKWTC-UHFFFAOYSA-N 0 0 276.336 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@@H]1CCCC(=O)N1 ZINC000432921395 240070131 /nfs/dbraw/zinc/07/01/31/240070131.db2.gz BLRVSDXBRWDMNY-VIFPVBQESA-N 0 0 297.742 2.637 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])[nH]2)[C@@H]1C ZINC000434978217 240279204 /nfs/dbraw/zinc/27/92/04/240279204.db2.gz MISRIXKPBRZCBI-GMTAPVOTSA-N 0 0 279.340 2.725 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000435920989 240370442 /nfs/dbraw/zinc/37/04/42/240370442.db2.gz BJBLORNLODJLNX-JQWIXIFHSA-N 0 0 277.324 2.583 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000436039467 240382738 /nfs/dbraw/zinc/38/27/38/240382738.db2.gz BDOIYRUQVNBXQH-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@]1(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)C[C@H]1F ZINC000335113692 291351106 /nfs/dbraw/zinc/35/11/06/291351106.db2.gz KESAMOBKOFVYKZ-OCCSQVGLSA-N 0 0 278.283 2.622 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NCC1CC1 ZINC000275817681 193137423 /nfs/dbraw/zinc/13/74/23/193137423.db2.gz DKASFKPEAFJCGK-UHFFFAOYSA-N 0 0 268.700 2.696 20 5 CFBDRN CO[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CC[C@@H]1C ZINC000275826042 193139561 /nfs/dbraw/zinc/13/95/61/193139561.db2.gz KRWJTQZMYWPZFE-IINYFYTJSA-N 0 0 282.315 2.591 20 5 CFBDRN Cc1cnc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000443933633 241095547 /nfs/dbraw/zinc/09/55/47/241095547.db2.gz HQFIZMOGMUDINS-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000444470181 241143131 /nfs/dbraw/zinc/14/31/31/241143131.db2.gz VTLBTCYKOCSRHC-UWVGGRQHSA-N 0 0 287.319 2.995 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000444470182 241143347 /nfs/dbraw/zinc/14/33/47/241143347.db2.gz VTLBTCYKOCSRHC-VHSXEESVSA-N 0 0 287.319 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3Cc4ccccc4C3)nc2c1 ZINC000444602350 241152027 /nfs/dbraw/zinc/15/20/27/241152027.db2.gz IFNBSCFWCDRSCD-UHFFFAOYSA-N 0 0 294.314 2.987 20 5 CFBDRN CCc1cnc(CNc2nc3ccccc3cc2[N+](=O)[O-])o1 ZINC000444828836 241197947 /nfs/dbraw/zinc/19/79/47/241197947.db2.gz UAWUPSZROGSRSG-UHFFFAOYSA-N 0 0 298.302 2.727 20 5 CFBDRN Cc1c(CN2C[C@H](C)O[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000446072135 241272962 /nfs/dbraw/zinc/27/29/62/241272962.db2.gz LNVFLFCYVDFQCH-CYZMBNFOSA-N 0 0 278.352 2.901 20 5 CFBDRN CCc1ccc(-c2nc(-c3c([N+](=O)[O-])ncn3C)no2)cc1 ZINC000445581342 241223253 /nfs/dbraw/zinc/22/32/53/241223253.db2.gz BATYBVCHWMJXMA-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSc2ncco2)c1 ZINC000446133536 241281106 /nfs/dbraw/zinc/28/11/06/241281106.db2.gz ZDDQSBKGZPRZCU-UHFFFAOYSA-N 0 0 266.278 2.754 20 5 CFBDRN C[C@@H]1C[C@H](COc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000447289497 241361661 /nfs/dbraw/zinc/36/16/61/241361661.db2.gz APPRJXMEPMRMDQ-NXEZZACHSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1cccc(OCC(=O)c2nccs2)c1[N+](=O)[O-] ZINC000447290925 241361808 /nfs/dbraw/zinc/36/18/08/241361808.db2.gz AZQMRGUTOGVEEG-UHFFFAOYSA-N 0 0 278.289 2.621 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)c1nccs1 ZINC000447293910 241363170 /nfs/dbraw/zinc/36/31/70/241363170.db2.gz YIHYYQURXHATRS-UHFFFAOYSA-N 0 0 278.289 2.621 20 5 CFBDRN C[C@H]1C[C@H](COc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000447297182 241363396 /nfs/dbraw/zinc/36/33/96/241363396.db2.gz UTRNACKNBIUKBO-WDEREUQCSA-N 0 0 251.282 2.789 20 5 CFBDRN Cc1ccc(OCC(=O)c2nccs2)c([N+](=O)[O-])c1 ZINC000447312414 241367595 /nfs/dbraw/zinc/36/75/95/241367595.db2.gz MOGKOCCEQLKYIC-UHFFFAOYSA-N 0 0 278.289 2.621 20 5 CFBDRN COC(C)(C)CC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000447348179 241371595 /nfs/dbraw/zinc/37/15/95/241371595.db2.gz ACRZZPQBCHLAAC-JTQLQIEISA-N 0 0 292.335 2.688 20 5 CFBDRN CCC(CC)[C@@H](CCNc1cc(C)c([N+](=O)[O-])cn1)OC ZINC000446877395 241334244 /nfs/dbraw/zinc/33/42/44/241334244.db2.gz LTNDUJRSTNQRLU-CQSZACIVSA-N 0 0 295.383 2.973 20 5 CFBDRN C[C@@H](CN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1)C(F)(F)F ZINC000447684280 241399313 /nfs/dbraw/zinc/39/93/13/241399313.db2.gz YWKGPZPBXUDWBK-LURJTMIESA-N 0 0 288.229 2.503 20 5 CFBDRN CC(C)OC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000448547945 241468719 /nfs/dbraw/zinc/46/87/19/241468719.db2.gz KWHAPRYPTGZLQL-UHFFFAOYSA-N 0 0 284.287 2.876 20 5 CFBDRN CC(C)OC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000448548426 241469664 /nfs/dbraw/zinc/46/96/64/241469664.db2.gz ROIJMXOGHNNYRE-UHFFFAOYSA-N 0 0 266.297 2.737 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000448740372 241537700 /nfs/dbraw/zinc/53/77/00/241537700.db2.gz TWIYHPTYUZACJD-OPQQBVKSSA-N 0 0 262.309 2.818 20 5 CFBDRN Cc1ccc(CN[C@H]2C[C@@H](C)n3ccnc32)cc1[N+](=O)[O-] ZINC000449575752 242041539 /nfs/dbraw/zinc/04/15/39/242041539.db2.gz YRXWYSPMNADQNY-YPMHNXCESA-N 0 0 286.335 2.895 20 5 CFBDRN CCc1ncc(CNCc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000449611781 242066619 /nfs/dbraw/zinc/06/66/19/242066619.db2.gz YMEGNDRCCMEVBD-UHFFFAOYSA-N 0 0 275.308 2.743 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)CC[C@H]1CCCO1 ZINC000302702823 201517871 /nfs/dbraw/zinc/51/78/71/201517871.db2.gz ISXKZXWYIRSBLQ-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@@H]1CCOC1 ZINC000450277600 242338190 /nfs/dbraw/zinc/33/81/90/242338190.db2.gz RWYPMISHMLMEEB-JTQLQIEISA-N 0 0 273.292 2.591 20 5 CFBDRN C[C@@H]1C[C@H]1Nc1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450309558 242350684 /nfs/dbraw/zinc/35/06/84/242350684.db2.gz UJOOYHIOVVURDX-LDYMZIIASA-N 0 0 275.333 2.961 20 5 CFBDRN C[C@H]1C[C@@H]1Nc1nc(-c2ccc([N+](=O)[O-])cc2)cs1 ZINC000450309557 242351123 /nfs/dbraw/zinc/35/11/23/242351123.db2.gz UJOOYHIOVVURDX-KWQFWETISA-N 0 0 275.333 2.961 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000450313388 242353422 /nfs/dbraw/zinc/35/34/22/242353422.db2.gz QPUFXEIOYHSZTQ-CMPLNLGQSA-N 0 0 292.335 2.911 20 5 CFBDRN C[C@H](CC1CC1)Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000450328342 242359822 /nfs/dbraw/zinc/35/98/22/242359822.db2.gz HCARETDSTMBHDW-SECBINFHSA-N 0 0 298.364 2.599 20 5 CFBDRN COCCC1(CNc2ccc([N+](=O)[O-])cc2)CC1 ZINC000225371504 189748675 /nfs/dbraw/zinc/74/86/75/189748675.db2.gz XKAKABPZBLKKFF-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN C[C@]1(F)CCCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000294849762 291399438 /nfs/dbraw/zinc/39/94/38/291399438.db2.gz BXFCMHCGSPXHOJ-NSHDSACASA-N 0 0 273.695 2.972 20 5 CFBDRN C[C@@H](CCCO)Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000450377284 242385468 /nfs/dbraw/zinc/38/54/68/242385468.db2.gz ISSHXLFFIGBTIB-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2C=CCCC2)c([N+](=O)[O-])c1 ZINC000450376872 242385478 /nfs/dbraw/zinc/38/54/78/242385478.db2.gz HLRYZSWBPUUDSS-LBPRGKRZSA-N 0 0 290.319 2.831 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)cn1 ZINC000450388790 242389843 /nfs/dbraw/zinc/38/98/43/242389843.db2.gz JPZTYMISSOALLE-NWANDNLSSA-N 0 0 267.288 2.740 20 5 CFBDRN Cc1nc(N(CC(C)C)CC(C)C)ncc1[N+](=O)[O-] ZINC000450396478 242394301 /nfs/dbraw/zinc/39/43/01/242394301.db2.gz WZTSGALMHZPXCO-UHFFFAOYSA-N 0 0 266.345 2.812 20 5 CFBDRN Cc1nc(N[C@H](c2cccnc2)C2CC2)ncc1[N+](=O)[O-] ZINC000450412960 242406386 /nfs/dbraw/zinc/40/63/86/242406386.db2.gz RZLCLCGYCHJXBV-ZDUSSCGKSA-N 0 0 285.307 2.651 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NC(CF)CF ZINC000450591030 242494553 /nfs/dbraw/zinc/49/45/53/242494553.db2.gz MWSUMBXPTINUHD-UHFFFAOYSA-N 0 0 250.632 2.968 20 5 CFBDRN Cc1nc(NC[C@H](c2ccccc2)C2CC2)ncc1[N+](=O)[O-] ZINC000450539845 242470119 /nfs/dbraw/zinc/47/01/19/242470119.db2.gz LLHSWKQKUFTAAI-CQSZACIVSA-N 0 0 298.346 2.721 20 5 CFBDRN CO[C@](C)(CNc1ncccc1[N+](=O)[O-])c1ccccc1 ZINC000450731167 242568334 /nfs/dbraw/zinc/56/83/34/242568334.db2.gz YZCJKHDCXACZGJ-OAHLLOKOSA-N 0 0 287.319 2.964 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000450688340 242543920 /nfs/dbraw/zinc/54/39/20/242543920.db2.gz WKMDLEVYGJKNJP-OUCADQQQSA-N 0 0 291.351 2.510 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CCOC2(CCC2)C1 ZINC000450714579 242555938 /nfs/dbraw/zinc/55/59/38/242555938.db2.gz HKEQIQVZGLICCG-LLVKDONJSA-N 0 0 277.324 2.751 20 5 CFBDRN Cc1nnc(N2CCC[C@@H](c3ccc([N+](=O)[O-])cc3)C2)o1 ZINC000450761833 242589093 /nfs/dbraw/zinc/58/90/93/242589093.db2.gz PCWWDWUPXVTMEC-GFCCVEGCSA-N 0 0 288.307 2.670 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C(C)C)[C@H](CO)C(C)C)n1 ZINC000450775311 242598842 /nfs/dbraw/zinc/59/88/42/242598842.db2.gz UPHXDHARBQXUBH-OCCSQVGLSA-N 0 0 295.383 2.999 20 5 CFBDRN CCN1C[C@@H](Nc2c(C)cc([N+](=O)[O-])cc2Cl)CC1=O ZINC000450786266 242604783 /nfs/dbraw/zinc/60/47/83/242604783.db2.gz HCDRDDNZDAJLCN-VIFPVBQESA-N 0 0 297.742 2.589 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(Cl)c1N[C@H]1C[C@@H](O)C1 ZINC000450920704 242671578 /nfs/dbraw/zinc/67/15/78/242671578.db2.gz ABCBTZIQMOGLKI-KNVOCYPGSA-N 0 0 277.107 2.837 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1C[C@H]1C1CC1 ZINC000450929780 242675141 /nfs/dbraw/zinc/67/51/41/242675141.db2.gz VPYZNPHISMGDHH-QWRGUYRKSA-N 0 0 264.329 2.578 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H](CCCO)C1 ZINC000450950230 242685526 /nfs/dbraw/zinc/68/55/26/242685526.db2.gz CGGPPEFCBKWLKZ-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000450857107 242641106 /nfs/dbraw/zinc/64/11/06/242641106.db2.gz IJMFSIXOGJUFFD-WDEREUQCSA-N 0 0 267.329 2.568 20 5 CFBDRN CC(C)c1nc(-n2cc([N+](=O)[O-])cn2)cc(C(C)(C)C)n1 ZINC000302780821 201550928 /nfs/dbraw/zinc/55/09/28/201550928.db2.gz IUPPPYYWOZKSBN-UHFFFAOYSA-N 0 0 289.339 2.991 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302879022 201587252 /nfs/dbraw/zinc/58/72/52/201587252.db2.gz HJQAKMGFIUWWQO-BONVTDFDSA-N 0 0 293.367 2.772 20 5 CFBDRN O=C(NCC1=CCCCC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000452289091 243116782 /nfs/dbraw/zinc/11/67/82/243116782.db2.gz MKVJQKWTLAQEOV-UHFFFAOYSA-N 0 0 278.283 2.964 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](F)C1 ZINC000452368132 243145612 /nfs/dbraw/zinc/14/56/12/243145612.db2.gz LQRMDXHERQEFDN-ZJUUUORDSA-N 0 0 298.339 2.646 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)C(C)(F)F)cccc1[N+](=O)[O-] ZINC000452455221 243177211 /nfs/dbraw/zinc/17/72/11/243177211.db2.gz GJZSNZQBYPKNKL-MRVPVSSYSA-N 0 0 272.251 2.677 20 5 CFBDRN Cc1c(C(=O)N[C@@H](C)C(C)(F)F)cccc1[N+](=O)[O-] ZINC000452455222 243177894 /nfs/dbraw/zinc/17/78/94/243177894.db2.gz GJZSNZQBYPKNKL-QMMMGPOBSA-N 0 0 272.251 2.677 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000452459632 243181184 /nfs/dbraw/zinc/18/11/84/243181184.db2.gz PTUIHSJXNBQVSR-QMMMGPOBSA-N 0 0 286.278 2.931 20 5 CFBDRN CC/C=C/CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000452603368 243239834 /nfs/dbraw/zinc/23/98/34/243239834.db2.gz OMDVXLQAWLAPDG-VMPITWQZSA-N 0 0 276.336 2.955 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000452762453 243289370 /nfs/dbraw/zinc/28/93/70/243289370.db2.gz AHEMKEFPWTXJJR-MNOVXSKESA-N 0 0 294.376 2.849 20 5 CFBDRN Cn1c(C(=O)NCC2CCCCCC2)ccc1[N+](=O)[O-] ZINC000452794116 243301167 /nfs/dbraw/zinc/30/11/67/243301167.db2.gz KBOGMTLXJVIKFO-UHFFFAOYSA-N 0 0 279.340 2.634 20 5 CFBDRN Cc1cccnc1CCNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000452816562 243308321 /nfs/dbraw/zinc/30/83/21/243308321.db2.gz MNFMDHIAFAGKAV-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN O=C(NC[C@@H]1CCCC1(F)F)c1csc([N+](=O)[O-])c1 ZINC000452850089 243320855 /nfs/dbraw/zinc/32/08/55/243320855.db2.gz QIEKRDSHNYNWOE-QMMMGPOBSA-N 0 0 290.291 2.822 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000330507124 202901077 /nfs/dbraw/zinc/90/10/77/202901077.db2.gz ASUSXSXCVLLMEI-UWVGGRQHSA-N 0 0 276.292 2.539 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@H]2CCCC[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000330608747 203031772 /nfs/dbraw/zinc/03/17/72/203031772.db2.gz QUXMQLCELPBTCZ-QWRGUYRKSA-N 0 0 294.355 2.571 20 5 CFBDRN CC[C@H]1CCC[C@H]1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000456039257 244055629 /nfs/dbraw/zinc/05/56/29/244055629.db2.gz GBWAJDNMRBCLLA-QWRGUYRKSA-N 0 0 291.351 2.733 20 5 CFBDRN COC[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456175825 244095685 /nfs/dbraw/zinc/09/56/85/244095685.db2.gz IJWQFFYAPWMFDL-SNVBAGLBSA-N 0 0 295.339 2.636 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000456267115 244121163 /nfs/dbraw/zinc/12/11/63/244121163.db2.gz DAHZCOBHFGHGHT-DGCLKSJQSA-N 0 0 292.360 2.917 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000456270429 244121925 /nfs/dbraw/zinc/12/19/25/244121925.db2.gz GAGXLODKMOUFOI-UKRRQHHQSA-N 0 0 286.331 2.855 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000456270426 244122490 /nfs/dbraw/zinc/12/24/90/244122490.db2.gz GAGXLODKMOUFOI-DZGCQCFKSA-N 0 0 286.331 2.855 20 5 CFBDRN Cc1cc(C)c(CNC(=O)c2cccc(C)c2[N+](=O)[O-])cn1 ZINC000456842268 244302234 /nfs/dbraw/zinc/30/22/34/244302234.db2.gz GBZYTIPTLQBBOE-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN C[C@H]1CCC[C@H](CCNC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330829321 203286172 /nfs/dbraw/zinc/28/61/72/203286172.db2.gz XESSBDCTAWFEGC-WDEREUQCSA-N 0 0 279.340 2.869 20 5 CFBDRN COc1c(C(=O)N2CC(C(C)(C)C)C2)cccc1[N+](=O)[O-] ZINC000457355182 244494309 /nfs/dbraw/zinc/49/43/09/244494309.db2.gz PUQXTRBPNQCRMK-UHFFFAOYSA-N 0 0 292.335 2.722 20 5 CFBDRN CCC[C@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330930391 203396634 /nfs/dbraw/zinc/39/66/34/203396634.db2.gz PIUPTNUGTGWLGE-CQSZACIVSA-N 0 0 279.340 2.965 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1ccc2[nH]ccc2c1 ZINC000276336221 193291194 /nfs/dbraw/zinc/29/11/94/193291194.db2.gz GLXQWPWFWJWXME-UHFFFAOYSA-N 0 0 299.334 2.799 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)CC1 ZINC000276380663 193308398 /nfs/dbraw/zinc/30/83/98/193308398.db2.gz YNXDKEBKRKTNNA-UHFFFAOYSA-N 0 0 298.364 2.600 20 5 CFBDRN Cc1nc(N[C@H]2CSC2(C)C)ccc1[N+](=O)[O-] ZINC000276507954 193360426 /nfs/dbraw/zinc/36/04/26/193360426.db2.gz SGFLTURYFYTVKE-VIFPVBQESA-N 0 0 253.327 2.604 20 5 CFBDRN COc1c(C(=O)N(C)C2CCCC2)cccc1[N+](=O)[O-] ZINC000331052814 203500183 /nfs/dbraw/zinc/50/01/83/203500183.db2.gz ZDUOUIJFSYNEKE-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN COC1(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)CCC1 ZINC000276561156 193380923 /nfs/dbraw/zinc/38/09/23/193380923.db2.gz BQNLMPIXWCPRCA-UHFFFAOYSA-N 0 0 289.291 2.756 20 5 CFBDRN CC(C)[C@H](O)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276567075 193384407 /nfs/dbraw/zinc/38/44/07/193384407.db2.gz HCEWPIJFOCQZMS-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@H](Cn1cccc([N+](=O)[O-])c1=O)c1ccccc1 ZINC000116612252 376198871 /nfs/dbraw/zinc/19/88/71/376198871.db2.gz PCZCTVINWAOYBU-LLVKDONJSA-N 0 0 258.277 2.560 20 5 CFBDRN Cc1nn(C[C@@H]2CC[C@H](C)O2)c2ccc([N+](=O)[O-])cc12 ZINC000276594200 193394157 /nfs/dbraw/zinc/39/41/57/193394157.db2.gz QGNOPXPMZXVUGC-CABZTGNLSA-N 0 0 275.308 2.820 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000331079976 203523695 /nfs/dbraw/zinc/52/36/95/203523695.db2.gz NTFXBNLGKXUJEQ-RKDXNWHRSA-N 0 0 268.338 2.822 20 5 CFBDRN COc1cc(C(=O)N(C)C2CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000458191681 244794025 /nfs/dbraw/zinc/79/40/25/244794025.db2.gz SFPOIMQMQCVEJE-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1cc(F)cc([N+](=O)[O-])c1 ZINC000458251171 244813332 /nfs/dbraw/zinc/81/33/32/244813332.db2.gz DYPIXBMBWXDUMA-JCOFBHIZSA-N 0 0 278.283 2.652 20 5 CFBDRN COc1ccc(OCCCn2ccnc2C)cc1[N+](=O)[O-] ZINC000276800104 193464159 /nfs/dbraw/zinc/46/41/59/193464159.db2.gz KDHWBBYACIQCKQ-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN CC[C@H](NC(=O)N1CC[C@H](F)C1)c1cccc([N+](=O)[O-])c1 ZINC000458498734 244897488 /nfs/dbraw/zinc/89/74/88/244897488.db2.gz JMNIBOINLIHHSP-AAEUAGOBSA-N 0 0 295.314 2.799 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000331334941 203731955 /nfs/dbraw/zinc/73/19/55/203731955.db2.gz XJAZLFHAFUZDQH-NEPJUHHUSA-N 0 0 276.336 2.784 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277382541 193636600 /nfs/dbraw/zinc/63/66/00/193636600.db2.gz FEDVGOIKCFUQEH-JTQLQIEISA-N 0 0 280.324 2.864 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1ccn(C)n1 ZINC000459743649 245299306 /nfs/dbraw/zinc/29/93/06/245299306.db2.gz HHGKJQSTNRSVOW-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN O=C(COCC1CCCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000460049464 245348422 /nfs/dbraw/zinc/34/84/22/245348422.db2.gz BCEQXHOVFXCIOK-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)C1CC(C)(C)C1 ZINC000413984571 284257353 /nfs/dbraw/zinc/25/73/53/284257353.db2.gz FKVNVEYBWFELIR-UHFFFAOYSA-N 0 0 266.297 2.839 20 5 CFBDRN Cc1cc(N[C@H]2CCc3c[nH]nc3C2)c(F)cc1[N+](=O)[O-] ZINC000461937657 245373162 /nfs/dbraw/zinc/37/31/62/245373162.db2.gz QZJNFEMZWNSVBV-JTQLQIEISA-N 0 0 290.298 2.735 20 5 CFBDRN C=Cc1ccc(CCNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000461881341 245373937 /nfs/dbraw/zinc/37/39/37/245373937.db2.gz DXGWZNFNUBBMTI-UHFFFAOYSA-N 0 0 269.304 2.709 20 5 CFBDRN Cc1sc(C(=O)N(C)[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000331864472 204243751 /nfs/dbraw/zinc/24/37/51/204243751.db2.gz JFTXFLKYFWTPPG-ZETCQYMHSA-N 0 0 268.338 2.835 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccn2)c1 ZINC000001511600 371678979 /nfs/dbraw/zinc/67/89/79/371678979.db2.gz QHGYSHPZBRPBMA-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN O=[N+]([O-])c1ccccc1S(=O)(=O)N1CCCCCCC1 ZINC000003100538 371771751 /nfs/dbraw/zinc/77/17/51/371771751.db2.gz PWWBHBUEXOFCKQ-UHFFFAOYSA-N 0 0 298.364 2.550 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC12CCC2 ZINC000335339306 296178587 /nfs/dbraw/zinc/17/85/87/296178587.db2.gz RYHOGFSDHXSHTO-UHFFFAOYSA-N 0 0 264.256 2.503 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000010413616 371907779 /nfs/dbraw/zinc/90/77/79/371907779.db2.gz YPXGNBDYLRGDBA-ZYHUDNBSSA-N 0 0 262.309 2.903 20 5 CFBDRN O=C(NCc1ccccc1Cl)c1ccc([N+](=O)[O-])o1 ZINC000013584125 372034753 /nfs/dbraw/zinc/03/47/53/372034753.db2.gz MZUWJURLVYFNJA-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000015797061 372092604 /nfs/dbraw/zinc/09/26/04/372092604.db2.gz XHNRPKUWCMWIHJ-IUCAKERBSA-N 0 0 268.338 2.965 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000015797062 372093503 /nfs/dbraw/zinc/09/35/03/372093503.db2.gz XHNRPKUWCMWIHJ-RKDXNWHRSA-N 0 0 268.338 2.965 20 5 CFBDRN COC(=O)c1ccc(COc2cccc([N+](=O)[O-])c2)cc1 ZINC000016206073 372101040 /nfs/dbraw/zinc/10/10/40/372101040.db2.gz FUAUEBJPTZJHBP-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CC(=O)Nc1ccc(CNc2ccc([N+](=O)[O-])cn2)cc1 ZINC000026144558 372313828 /nfs/dbraw/zinc/31/38/28/372313828.db2.gz JCRIQVCATBTHQP-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN CC[C@@H](C)NC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000027338697 372339396 /nfs/dbraw/zinc/33/93/96/372339396.db2.gz OMVAYUHEATXWFI-MRVPVSSYSA-N 0 0 268.338 2.845 20 5 CFBDRN COC(=O)c1oc(COc2ccc([N+](=O)[O-])cc2)cc1C ZINC000031606609 372412546 /nfs/dbraw/zinc/41/25/46/372412546.db2.gz JOXIWMNZCCEXIK-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1ccccn1 ZINC000035125197 372543201 /nfs/dbraw/zinc/54/32/01/372543201.db2.gz QZYIRHVQSFLPLQ-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1ccnc(NC(=O)/C=C\c2cccc([N+](=O)[O-])c2)c1 ZINC000033297399 372490250 /nfs/dbraw/zinc/49/02/50/372490250.db2.gz WITUCZUUHSZEIU-WAYWQWQTSA-N 0 0 283.287 2.950 20 5 CFBDRN COc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(O)c1 ZINC000033816380 372499822 /nfs/dbraw/zinc/49/98/22/372499822.db2.gz WFRBCUJYEKXGEU-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccccc2)cc1 ZINC000034565289 372511080 /nfs/dbraw/zinc/51/10/80/372511080.db2.gz GQWAGTYGWKOUIB-AWEZNQCLSA-N 0 0 258.277 2.740 20 5 CFBDRN CCn1cc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 ZINC000035779948 372552285 /nfs/dbraw/zinc/55/22/85/372552285.db2.gz QCZQKCCXKKMDGM-JTQLQIEISA-N 0 0 260.297 2.984 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)c1cccs1 ZINC000036136650 372562227 /nfs/dbraw/zinc/56/22/27/372562227.db2.gz LPVGGQURDKSDNC-UHFFFAOYSA-N 0 0 276.317 2.629 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000036734194 372588466 /nfs/dbraw/zinc/58/84/66/372588466.db2.gz DLIWUKBSWOTGIR-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1cccc(F)c1 ZINC000036779779 372592148 /nfs/dbraw/zinc/59/21/48/372592148.db2.gz ADEUOCINPKUHRM-UHFFFAOYSA-N 0 0 261.256 2.784 20 5 CFBDRN CC(C)(C)c1noc(-c2ccc(N)c([N+](=O)[O-])c2)n1 ZINC000037605935 372670711 /nfs/dbraw/zinc/67/07/11/372670711.db2.gz BEWZMJKMKWSOMY-UHFFFAOYSA-N 0 0 262.269 2.525 20 5 CFBDRN Cc1nn(C)c(NCCSc2ccccc2)c1[N+](=O)[O-] ZINC000037901939 372734768 /nfs/dbraw/zinc/73/47/68/372734768.db2.gz YSJAHAJOBHZHEF-UHFFFAOYSA-N 0 0 292.364 2.841 20 5 CFBDRN CCC1CCC(CNc2c([N+](=O)[O-])ncn2C)CC1 ZINC000037906074 372736546 /nfs/dbraw/zinc/73/65/46/372736546.db2.gz WMVATPSZARYBHH-UHFFFAOYSA-N 0 0 266.345 2.957 20 5 CFBDRN CC(C)C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000040779441 372788784 /nfs/dbraw/zinc/78/87/84/372788784.db2.gz JGEBSVLITKBVJA-VIFPVBQESA-N 0 0 256.277 2.553 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1cnc(C)s1 ZINC000040998199 372803073 /nfs/dbraw/zinc/80/30/73/372803073.db2.gz CEKVVIFKNAZVJF-UHFFFAOYSA-N 0 0 293.348 2.658 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(C[C@@H](O)C1CCCCC1)CC2 ZINC000475316538 245581439 /nfs/dbraw/zinc/58/14/39/245581439.db2.gz BHQVJDKYMIDLIU-MRXNPFEDSA-N 0 0 290.363 2.899 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCCCO1 ZINC000289594354 284352754 /nfs/dbraw/zinc/35/27/54/284352754.db2.gz IGXDIYUCXXCISC-UHFFFAOYSA-N 0 0 285.687 2.808 20 5 CFBDRN Cc1noc(C)c1CN(C)c1ccc([N+](=O)[O-])cc1F ZINC000042098572 372871991 /nfs/dbraw/zinc/87/19/91/372871991.db2.gz XWSIZSPLYWUCRS-UHFFFAOYSA-N 0 0 279.271 2.975 20 5 CFBDRN CCOC(=O)CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000041676721 372856528 /nfs/dbraw/zinc/85/65/28/372856528.db2.gz IUDKWGAISAMEPO-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN Cc1cc(N)nc(SCc2cccc([N+](=O)[O-])c2C)n1 ZINC000042153363 372879407 /nfs/dbraw/zinc/87/94/07/372879407.db2.gz NIABBOGMGSHNTG-UHFFFAOYSA-N 0 0 290.348 2.876 20 5 CFBDRN COc1c(C(=O)N2CC[C@H](C(C)C)C2)cccc1[N+](=O)[O-] ZINC000476302839 245591013 /nfs/dbraw/zinc/59/10/13/245591013.db2.gz JEYTZGQXSRJLQL-NSHDSACASA-N 0 0 292.335 2.722 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C[C@@H]1CCCO1 ZINC000042316411 372907106 /nfs/dbraw/zinc/90/71/06/372907106.db2.gz JNEKFACLFMPFFA-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1cc(NC[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000042822986 372960659 /nfs/dbraw/zinc/96/06/59/372960659.db2.gz XOIJUCUZQIFCDX-GFCCVEGCSA-N 0 0 250.298 2.884 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](C)C1 ZINC000043127211 372975343 /nfs/dbraw/zinc/97/53/43/372975343.db2.gz QBFRSIHYTDIJJJ-JTQLQIEISA-N 0 0 293.323 2.867 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000045819679 373034266 /nfs/dbraw/zinc/03/42/66/373034266.db2.gz HBMGEAVICAKENT-SECBINFHSA-N 0 0 265.313 2.555 20 5 CFBDRN Cc1ccc(/C=C/C(=O)NCc2ccccc2[N+](=O)[O-])o1 ZINC000046135696 373043713 /nfs/dbraw/zinc/04/37/13/373043713.db2.gz ORIAJPWLIRBYGZ-CMDGGOBGSA-N 0 0 286.287 2.826 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccccc1F ZINC000046146721 373045822 /nfs/dbraw/zinc/04/58/22/373045822.db2.gz NBBUZSJCDGDONR-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN C[C@H](c1ccncc1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000047277752 373086762 /nfs/dbraw/zinc/08/67/62/373086762.db2.gz UWADSTKQWOUJPK-LLVKDONJSA-N 0 0 285.303 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CN3CCCCC3)n2)cc1 ZINC000047406551 373092455 /nfs/dbraw/zinc/09/24/55/373092455.db2.gz FNKSCEYYQJWJIL-UHFFFAOYSA-N 0 0 288.307 2.631 20 5 CFBDRN CCNc1cc(C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000048400582 373176670 /nfs/dbraw/zinc/17/66/70/373176670.db2.gz BAWMBQRFURLWQY-UHFFFAOYSA-N 0 0 258.281 2.792 20 5 CFBDRN COc1cccc(CCNc2ccc([N+](=O)[O-])cn2)c1 ZINC000048560923 373198576 /nfs/dbraw/zinc/19/85/76/373198576.db2.gz QKRHQVOTDIIFJB-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN Cc1nn(C)c(NCc2ccc(C)cc2C)c1[N+](=O)[O-] ZINC000048565503 373200801 /nfs/dbraw/zinc/20/08/01/373200801.db2.gz IYBHDAZWMOCVLD-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN CC(C)Oc1ccc(Nc2c([N+](=O)[O-])ncn2C)cc1 ZINC000048562136 373200854 /nfs/dbraw/zinc/20/08/54/373200854.db2.gz HMRNHXLYAKRXPY-UHFFFAOYSA-N 0 0 276.296 2.859 20 5 CFBDRN Cc1ncc(CN(C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000048565682 373201091 /nfs/dbraw/zinc/20/10/91/373201091.db2.gz JCOQARBVEDOWSV-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN O=[N+]([O-])c1ncn(Cc2csc(C3CCCCC3)n2)n1 ZINC000048603284 373205586 /nfs/dbraw/zinc/20/55/86/373205586.db2.gz MTHKBVNZCFSKNI-UHFFFAOYSA-N 0 0 293.352 2.739 20 5 CFBDRN Cc1ccc(Oc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cn1 ZINC000049099846 373271861 /nfs/dbraw/zinc/27/18/61/373271861.db2.gz CYHZNHIMMVGFEY-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN O=C(NCc1ccsc1)NCc1ccc([N+](=O)[O-])cc1 ZINC000049247271 373279692 /nfs/dbraw/zinc/27/96/92/373279692.db2.gz BNGXSSDTBDBAQC-UHFFFAOYSA-N 0 0 291.332 2.656 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCCOc1ccccc1 ZINC000048936644 373234044 /nfs/dbraw/zinc/23/40/44/373234044.db2.gz GLGFLZOGAYYXHI-UHFFFAOYSA-N 0 0 273.292 2.871 20 5 CFBDRN CCc1nc(CC(=O)Nc2ccc([N+](=O)[O-])cc2)cs1 ZINC000048967312 373241880 /nfs/dbraw/zinc/24/18/80/373241880.db2.gz HJWBWNVNVURMQN-UHFFFAOYSA-N 0 0 291.332 2.795 20 5 CFBDRN CCCCN(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000048980816 373244366 /nfs/dbraw/zinc/24/43/66/373244366.db2.gz NJBCELCZHUSUPH-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN CCCSCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000049083661 373264651 /nfs/dbraw/zinc/26/46/51/373264651.db2.gz XYIKXIVHQPRJII-UHFFFAOYSA-N 0 0 254.311 2.677 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CC(C)C ZINC000049458805 373296994 /nfs/dbraw/zinc/29/69/94/373296994.db2.gz ILIIMGDBQFLKAZ-UHFFFAOYSA-N 0 0 281.312 2.723 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)c2ccccc2)cc1 ZINC000487687242 245777596 /nfs/dbraw/zinc/77/75/96/245777596.db2.gz KSVJLNJPIVLTGX-ZDUSSCGKSA-N 0 0 272.304 2.783 20 5 CFBDRN CCn1ccnc1CNc1ccc([N+](=O)[O-])cc1C ZINC000051922512 373420411 /nfs/dbraw/zinc/42/04/11/373420411.db2.gz RZVDQZGIGWOAKZ-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN Cc1nn(C)c(NCCc2ccccc2C)c1[N+](=O)[O-] ZINC000051323725 373391948 /nfs/dbraw/zinc/39/19/48/373391948.db2.gz AYSCNGLXKJDEFJ-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN CCN(Cc1csc([N+](=O)[O-])c1)C[C@@H]1CCCO1 ZINC000051554738 373396739 /nfs/dbraw/zinc/39/67/39/373396739.db2.gz WVXWXRRVCXPPKG-NSHDSACASA-N 0 0 270.354 2.657 20 5 CFBDRN COC(=O)CCCCCNc1ccc(F)cc1[N+](=O)[O-] ZINC000051449003 373397601 /nfs/dbraw/zinc/39/76/01/373397601.db2.gz ZOZJXYFOSKSUTP-UHFFFAOYSA-N 0 0 284.287 2.879 20 5 CFBDRN CC(C)(CNc1ccncc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000488068472 245809762 /nfs/dbraw/zinc/80/97/62/245809762.db2.gz VJXGGQHGPJMSFC-UHFFFAOYSA-N 0 0 289.310 2.941 20 5 CFBDRN CC(C)COCCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054255077 373508833 /nfs/dbraw/zinc/50/88/33/373508833.db2.gz ABZVYOFGNMWPIY-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000057004163 373576409 /nfs/dbraw/zinc/57/64/09/373576409.db2.gz UXRSXRSBXQCAHF-WCQYABFASA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1c(COc2ccc(C(N)=O)cc2)cccc1[N+](=O)[O-] ZINC000059010177 373676420 /nfs/dbraw/zinc/67/64/20/373676420.db2.gz RGJARDPDKCJEDL-UHFFFAOYSA-N 0 0 286.287 2.581 20 5 CFBDRN Cc1c(NC(=O)c2cscn2)cccc1[N+](=O)[O-] ZINC000059895302 373685432 /nfs/dbraw/zinc/68/54/32/373685432.db2.gz QQSCNNNOLLTFKX-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1c(C[N@@H+]2CCC[C@@](C)(C(=O)[O-])C2)cccc1[N+](=O)[O-] ZINC000062875831 373770868 /nfs/dbraw/zinc/77/08/68/373770868.db2.gz MNANSGOIDNIXQB-OAHLLOKOSA-N 0 0 292.335 2.590 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cnn(C(C)C)c1 ZINC000061683621 373724216 /nfs/dbraw/zinc/72/42/16/373724216.db2.gz LNBSEKCWIGKSPK-UHFFFAOYSA-N 0 0 261.285 2.819 20 5 CFBDRN Cc1cc(CNC(=O)Nc2cccc([N+](=O)[O-])c2C)on1 ZINC000061746304 373725409 /nfs/dbraw/zinc/72/54/09/373725409.db2.gz ZTJIBNZHUUOWEO-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCCC[C@H]2F)cccc1[N+](=O)[O-] ZINC000335200937 220238334 /nfs/dbraw/zinc/23/83/34/220238334.db2.gz JRSWGCXWYIPFNB-VXGBXAGGSA-N 0 0 280.299 2.914 20 5 CFBDRN C[C@@H](CCNc1ncc([N+](=O)[O-])cn1)c1ccccc1 ZINC000061910862 373738801 /nfs/dbraw/zinc/73/88/01/373738801.db2.gz CWGUUFLKZXRIKT-NSHDSACASA-N 0 0 272.308 2.991 20 5 CFBDRN O=C(N[C@@H]1CCCC[C@H]1F)c1ccc(F)cc1[N+](=O)[O-] ZINC000335201116 220237500 /nfs/dbraw/zinc/23/75/00/220237500.db2.gz GBKCHNJPDRIHDI-GHMZBOCLSA-N 0 0 284.262 2.744 20 5 CFBDRN CN(CCC(F)(F)F)Cc1cccc([N+](=O)[O-])c1 ZINC000064635459 373831790 /nfs/dbraw/zinc/83/17/90/373831790.db2.gz YIILCBTYVMRCDW-UHFFFAOYSA-N 0 0 262.231 2.979 20 5 CFBDRN O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCCC1 ZINC000173011862 296206370 /nfs/dbraw/zinc/20/63/70/296206370.db2.gz OQRQJPQHFVWMCY-UHFFFAOYSA-N 0 0 288.225 2.850 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000065606387 373893819 /nfs/dbraw/zinc/89/38/19/373893819.db2.gz QGJYOXIJHVMJHN-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN CSC[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000066753803 373949392 /nfs/dbraw/zinc/94/93/92/373949392.db2.gz HTVDPZKVXTWQAD-VIFPVBQESA-N 0 0 269.322 2.637 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)NC[C@@H]1CC=CCC1 ZINC000067536498 373983811 /nfs/dbraw/zinc/98/38/11/373983811.db2.gz AHAQOVRGARIJTE-GFCCVEGCSA-N 0 0 289.335 2.750 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000070197256 374145805 /nfs/dbraw/zinc/14/58/05/374145805.db2.gz WYFIMOJYPKVXCO-SNVBAGLBSA-N 0 0 268.700 2.777 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000070251676 374153196 /nfs/dbraw/zinc/15/31/96/374153196.db2.gz HNQVSMISQYPHQO-UHFFFAOYSA-N 0 0 250.298 2.599 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000070352862 374168920 /nfs/dbraw/zinc/16/89/20/374168920.db2.gz AMLNEIJECLWGQU-DGCLKSJQSA-N 0 0 250.298 2.964 20 5 CFBDRN C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1nccn1C ZINC000070445063 374176116 /nfs/dbraw/zinc/17/61/16/374176116.db2.gz MKUVVVQTQMJFIT-GHMZBOCLSA-N 0 0 274.324 2.740 20 5 CFBDRN CCOC(=O)CCCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000072522168 374260385 /nfs/dbraw/zinc/26/03/85/374260385.db2.gz YFWIWBZGSCXMEC-UHFFFAOYSA-N 0 0 294.307 2.553 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)CC[C@@H]1C ZINC000072545268 374262369 /nfs/dbraw/zinc/26/23/69/374262369.db2.gz SBLIEXRZEKNZDL-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000491323483 246061593 /nfs/dbraw/zinc/06/15/93/246061593.db2.gz ZOFLTYQPPAOFNJ-VVCGMDSISA-N 0 0 278.283 2.519 20 5 CFBDRN CN(C(=O)/C=C\C1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000491882577 246109926 /nfs/dbraw/zinc/10/99/26/246109926.db2.gz KLWNAIIYDOHUNT-UQCOIBPSSA-N 0 0 290.319 2.540 20 5 CFBDRN Cc1noc(NC(=O)/C=C/c2cccc([N+](=O)[O-])c2)c1C ZINC000491934159 246127459 /nfs/dbraw/zinc/12/74/59/246127459.db2.gz VHBMQYIJLQXICE-VOTSOKGWSA-N 0 0 287.275 2.852 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2c(F)cccc2Cl)cn1 ZINC000073679455 374367982 /nfs/dbraw/zinc/36/79/82/374367982.db2.gz QQKOGNCGQMUNFX-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCCC(F)(F)F ZINC000491940919 246129116 /nfs/dbraw/zinc/12/91/16/246129116.db2.gz QLOZWOADACFUIP-ZZXKWVIFSA-N 0 0 288.225 2.677 20 5 CFBDRN COC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])c2cnccc12 ZINC000073713970 374372961 /nfs/dbraw/zinc/37/29/61/374372961.db2.gz OGGRSCIDZMECOP-QMMMGPOBSA-N 0 0 292.316 2.797 20 5 CFBDRN COC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1Cl ZINC000073713962 374374099 /nfs/dbraw/zinc/37/40/99/374374099.db2.gz HFLKNEFYMDIESJ-ZCFIWIBFSA-N 0 0 275.713 2.902 20 5 CFBDRN C[C@@H](C1CC1)N(C(=O)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000073736668 374377004 /nfs/dbraw/zinc/37/70/04/374377004.db2.gz YEGKYZUXBIKUCR-JTQLQIEISA-N 0 0 274.320 2.998 20 5 CFBDRN COc1ccc(NC(=O)/C=C/c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000491960611 246137284 /nfs/dbraw/zinc/13/72/84/246137284.db2.gz IDQBNQPBTXELJU-FNORWQNLSA-N 0 0 287.275 2.583 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NCC1(C(F)F)CC1 ZINC000491968694 246139985 /nfs/dbraw/zinc/13/99/85/246139985.db2.gz AWZPUVHJIVCGKQ-PLNGDYQASA-N 0 0 296.273 2.770 20 5 CFBDRN CCO[C@@H](CCNC(=O)c1ccccc1[N+](=O)[O-])C(C)C ZINC000074288339 374413529 /nfs/dbraw/zinc/41/35/29/374413529.db2.gz CMLCFVKMEGRSPE-AWEZNQCLSA-N 0 0 294.351 2.776 20 5 CFBDRN Cc1cnc(CNC(C)(C)c2ccccc2[N+](=O)[O-])n1C ZINC000492051229 246167904 /nfs/dbraw/zinc/16/79/04/246167904.db2.gz VFLYEXDGRKRIFN-UHFFFAOYSA-N 0 0 288.351 2.662 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000491993736 246151505 /nfs/dbraw/zinc/15/15/05/246151505.db2.gz AQQMRHAWYOEXNA-GYHQZXBOSA-N 0 0 260.293 2.617 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000491993738 246151745 /nfs/dbraw/zinc/15/17/45/246151745.db2.gz AQQMRHAWYOEXNA-XIUUEPKSSA-N 0 0 260.293 2.617 20 5 CFBDRN C[C@H]1CN(C(=O)/C=C/c2ccc(F)cc2[N+](=O)[O-])C[C@H]1C ZINC000492090436 246182551 /nfs/dbraw/zinc/18/25/51/246182551.db2.gz ZSSYJYBDMGLHPY-UBTSRBCMSA-N 0 0 292.310 2.862 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSC2CCCCC2)c1 ZINC000074783054 374438501 /nfs/dbraw/zinc/43/85/01/374438501.db2.gz DHLNMHPAKKGGAL-UHFFFAOYSA-N 0 0 255.343 2.857 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000334151888 206100878 /nfs/dbraw/zinc/10/08/78/206100878.db2.gz LOGWYPRXSMMRBT-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1cnc(NC(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])o1 ZINC000492114271 246191231 /nfs/dbraw/zinc/19/12/31/246191231.db2.gz ZIENCFXUAHELDN-HYXAFXHYSA-N 0 0 291.238 2.682 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC1(C2CC2)CC1 ZINC000492115892 246192507 /nfs/dbraw/zinc/19/25/07/246192507.db2.gz VGSUEQYTLCCMGO-VMPITWQZSA-N 0 0 272.304 2.667 20 5 CFBDRN C[C@H]1CCCN1C(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492064926 246173644 /nfs/dbraw/zinc/17/36/44/246173644.db2.gz GNDWALHFVSDTEF-BXKUYDPTSA-N 0 0 278.283 2.758 20 5 CFBDRN C[C@H]1CCCN1C(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000492064929 246174089 /nfs/dbraw/zinc/17/40/89/246174089.db2.gz GNDWALHFVSDTEF-STUBTGCMSA-N 0 0 278.283 2.758 20 5 CFBDRN Cc1nsc(C)c1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000431842822 383700343 /nfs/dbraw/zinc/70/03/43/383700343.db2.gz VCCJHAWVGRBSQR-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000075289521 374474209 /nfs/dbraw/zinc/47/42/09/374474209.db2.gz YKKUTKHKKUNFIT-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN CC(C)(NC(=O)/C=C/c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000492262049 246235608 /nfs/dbraw/zinc/23/56/08/246235608.db2.gz PDEBNGQMFIOHED-RMKNXTFCSA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1ccncc1/C=C/C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000492418840 246285914 /nfs/dbraw/zinc/28/59/14/246285914.db2.gz RAESLZRANLWSHG-VOTSOKGWSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1ccc(/C=C/C(=O)NCc2ccccc2[N+](=O)[O-])nc1 ZINC000492423392 246287577 /nfs/dbraw/zinc/28/75/77/246287577.db2.gz XNXUZENNJIACPK-CMDGGOBGSA-N 0 0 297.314 2.628 20 5 CFBDRN COc1ccc(/C=C/C(=O)Nc2ccc([N+](=O)[O-])cc2)nc1 ZINC000492422773 246287668 /nfs/dbraw/zinc/28/76/68/246287668.db2.gz BWUNJVQBYATIEJ-WEVVVXLNSA-N 0 0 299.286 2.650 20 5 CFBDRN Cc1ccncc1/C=C/C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000492532666 246320969 /nfs/dbraw/zinc/32/09/69/246320969.db2.gz LSURMEHZKKQWSZ-AATRIKPKSA-N 0 0 297.314 2.628 20 5 CFBDRN COCCC(C)(C)NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492577816 246333384 /nfs/dbraw/zinc/33/33/84/246333384.db2.gz VRLLZVLYSCEXAZ-BQYQJAHWSA-N 0 0 292.335 2.539 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)N1CCCCCCC1 ZINC000079011858 374582796 /nfs/dbraw/zinc/58/27/96/374582796.db2.gz VJTUPXJTCZFBPD-UHFFFAOYSA-N 0 0 292.335 2.766 20 5 CFBDRN C[C@H](CO)SCc1cc([N+](=O)[O-])ccc1Cl ZINC000079100756 374594078 /nfs/dbraw/zinc/59/40/78/374594078.db2.gz ACLFBVADIPSUSV-SSDOTTSWSA-N 0 0 261.730 2.862 20 5 CFBDRN O=C(/C=C/C1CCOCC1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000492757944 246375829 /nfs/dbraw/zinc/37/58/29/246375829.db2.gz CSXFILDEHIQRJZ-OWOJBTEDSA-N 0 0 294.282 2.655 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@@H](C)CO ZINC000277851516 193777680 /nfs/dbraw/zinc/77/76/80/193777680.db2.gz STWLKRBBMFKADL-SSDOTTSWSA-N 0 0 258.705 2.597 20 5 CFBDRN C[C@]1(F)CCCN(Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000079035609 374586530 /nfs/dbraw/zinc/58/65/30/374586530.db2.gz ZBOBZABDXXURBI-ZDUSSCGKSA-N 0 0 252.289 2.919 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H](O)CC(C)C ZINC000080607900 374664478 /nfs/dbraw/zinc/66/44/78/374664478.db2.gz BKBGZBPNROIAPP-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN COc1cccc(CNc2ccc([N+](=O)[O-])cc2)n1 ZINC000083431524 374744913 /nfs/dbraw/zinc/74/49/13/374744913.db2.gz KHPHBUNXMLFUDR-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN CCCN(C)C(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000081934059 374724399 /nfs/dbraw/zinc/72/43/99/374724399.db2.gz ICVKPEKGBYZADD-UHFFFAOYSA-N 0 0 250.298 2.639 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@H]1C1CC1 ZINC000493254908 246534935 /nfs/dbraw/zinc/53/49/35/246534935.db2.gz DAOWIYOQJOPWFR-QFVHEQCKSA-N 0 0 272.304 2.523 20 5 CFBDRN CC(C)[C@H](C)CC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000089767612 374948310 /nfs/dbraw/zinc/94/83/10/374948310.db2.gz PGOIMWGWUKYOOE-GFCCVEGCSA-N 0 0 278.352 2.936 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(SC[C@H]3CCCO3)c21 ZINC000493533197 246618341 /nfs/dbraw/zinc/61/83/41/246618341.db2.gz WRGZBTLIAJAFSR-SECBINFHSA-N 0 0 291.332 2.809 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCCSCC2)c(F)c1 ZINC000089982560 374982090 /nfs/dbraw/zinc/98/20/90/374982090.db2.gz VXGQAMUCYNDYJZ-UHFFFAOYSA-N 0 0 270.329 2.673 20 5 CFBDRN C[C@H](NC(=O)/C=C\c1ccccc1[N+](=O)[O-])C1CC1 ZINC000493654515 246656601 /nfs/dbraw/zinc/65/66/01/246656601.db2.gz ZUFMTPZVNPUFPX-QROTZFDESA-N 0 0 260.293 2.523 20 5 CFBDRN O=[N+]([O-])c1cc(CN2C[C@H]3CC[C@@H](C2)O3)ccc1Cl ZINC000090906172 375035032 /nfs/dbraw/zinc/03/50/32/375035032.db2.gz WWYSWVXIYQJQIN-PHIMTYICSA-N 0 0 282.727 2.611 20 5 CFBDRN CCc1nn(C)cc1Nc1cc(C)ccc1[N+](=O)[O-] ZINC000091026380 375038680 /nfs/dbraw/zinc/03/86/80/375038680.db2.gz RFDIZZQIQLMNGJ-UHFFFAOYSA-N 0 0 260.297 2.943 20 5 CFBDRN Cc1noc(C)c1CNCc1cccc([N+](=O)[O-])c1C ZINC000092339581 375102319 /nfs/dbraw/zinc/10/23/19/375102319.db2.gz IBSPPDTUTGTGBJ-UHFFFAOYSA-N 0 0 275.308 2.798 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NC1C[C@H]2C[C@H]2C1 ZINC000493753303 246691506 /nfs/dbraw/zinc/69/15/06/246691506.db2.gz KPSKWOSUKAMTCA-HHRDJKEMSA-N 0 0 272.304 2.523 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCOCCC(C)C ZINC000091550293 375053794 /nfs/dbraw/zinc/05/37/94/375053794.db2.gz VXEOAJLIMDNRHQ-UHFFFAOYSA-N 0 0 284.360 2.594 20 5 CFBDRN Cc1ocnc1CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000092728442 375144428 /nfs/dbraw/zinc/14/44/28/375144428.db2.gz CBJIUNPDXWGRRI-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN Cc1cnc(OCc2ncccc2C)c([N+](=O)[O-])c1 ZINC000092856384 375166169 /nfs/dbraw/zinc/16/61/69/375166169.db2.gz JFUMNRLOFNUBHA-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CCOCCN(C)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092963323 375168986 /nfs/dbraw/zinc/16/89/86/375168986.db2.gz ZLLKEFLMMHGJMU-UHFFFAOYSA-N 0 0 290.266 2.669 20 5 CFBDRN Cc1ccc(CN2CCO[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000093418015 375234023 /nfs/dbraw/zinc/23/40/23/375234023.db2.gz VXROHZMLUUDJDR-NWDGAFQWSA-N 0 0 264.325 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1cncs1)CC2 ZINC000093338250 375218607 /nfs/dbraw/zinc/21/86/07/375218607.db2.gz WPKIBJKESNNOLO-UHFFFAOYSA-N 0 0 275.333 2.610 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCOC2CCCC2)n1 ZINC000431929465 383706858 /nfs/dbraw/zinc/70/68/58/383706858.db2.gz YSUQYZZFDYHMCS-UHFFFAOYSA-N 0 0 280.324 2.945 20 5 CFBDRN CCC[C@@H]([NH2+]Cc1cc(Cl)ccc1[N+](=O)[O-])C(=O)[O-] ZINC000093633491 375277168 /nfs/dbraw/zinc/27/71/68/375277168.db2.gz XEDDFVMPMUNOAV-SNVBAGLBSA-N 0 0 286.715 2.591 20 5 CFBDRN Cc1c(CNc2cc(Cl)ccc2[N+](=O)[O-])cnn1C ZINC000093649552 375286615 /nfs/dbraw/zinc/28/66/15/375286615.db2.gz IWWVSGUVYBARSQ-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CN(Cc1cccc2[nH]ccc21)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000495102135 246852859 /nfs/dbraw/zinc/85/28/59/246852859.db2.gz PJPRXYNEJBMOIO-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c2ccccc12)[C@H](C)O ZINC000094654938 375371377 /nfs/dbraw/zinc/37/13/77/375371377.db2.gz PKHXBFLUIGIDKQ-VHSXEESVSA-N 0 0 261.277 2.896 20 5 CFBDRN COC(=O)[C@H](C)CSc1cc(C)ccc1[N+](=O)[O-] ZINC000094659262 375371622 /nfs/dbraw/zinc/37/16/22/375371622.db2.gz UTNRGRBKEKODPK-SECBINFHSA-N 0 0 269.322 2.804 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)Cn2cccn2)c1 ZINC000094659491 375373480 /nfs/dbraw/zinc/37/34/80/375373480.db2.gz VYVURDHHLVEZRD-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCCc3cscn3)nc2c1 ZINC000094771936 375378096 /nfs/dbraw/zinc/37/80/96/375378096.db2.gz QDKIOWNFWWPAIY-UHFFFAOYSA-N 0 0 289.320 2.582 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@@]1(C)CCCO1 ZINC000094804672 375381121 /nfs/dbraw/zinc/38/11/21/375381121.db2.gz PWZLZRZKTZFSDO-CYBMUJFWSA-N 0 0 250.298 2.884 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCCCc2ccccc21 ZINC000264199292 383708161 /nfs/dbraw/zinc/70/81/61/383708161.db2.gz QDPBHFHIAZWAKI-UHFFFAOYSA-N 0 0 285.303 2.906 20 5 CFBDRN CC(C)N(C(=O)COc1cccc([N+](=O)[O-])c1)C(C)C ZINC000097528414 375568645 /nfs/dbraw/zinc/56/86/45/375568645.db2.gz QHSFHYWCFPLMJU-UHFFFAOYSA-N 0 0 280.324 2.619 20 5 CFBDRN CCCC[C@@H](C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000499976835 247092029 /nfs/dbraw/zinc/09/20/29/247092029.db2.gz DUPIVBFFQHKHEU-GFCCVEGCSA-N 0 0 293.367 2.949 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2ncn(CCCCF)n2)c1 ZINC000505570882 247142451 /nfs/dbraw/zinc/14/24/51/247142451.db2.gz QTUSIDRARCBSFT-UHFFFAOYSA-N 0 0 264.260 2.603 20 5 CFBDRN C[C@H](F)CCn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000505577299 247143151 /nfs/dbraw/zinc/14/31/51/247143151.db2.gz TWFACAGWOJQQFK-VIFPVBQESA-N 0 0 264.260 2.601 20 5 CFBDRN CC(C)[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000505662317 247147526 /nfs/dbraw/zinc/14/75/26/247147526.db2.gz OWOURJJVVCPPTB-MNOVXSKESA-N 0 0 279.340 2.868 20 5 CFBDRN O=C(N[C@@H]1CCc2c1cccc2O)c1cccc([N+](=O)[O-])c1 ZINC000102824363 375694580 /nfs/dbraw/zinc/69/45/80/375694580.db2.gz NZYHNDBBCFROME-CQSZACIVSA-N 0 0 298.298 2.718 20 5 CFBDRN CCC[NH+](CCC)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000505349948 247136433 /nfs/dbraw/zinc/13/64/33/247136433.db2.gz MQMXNIBSYXVCJY-UHFFFAOYSA-N 0 0 252.314 2.922 20 5 CFBDRN COC(=O)c1ccc(/C=C\c2ccc([N+](=O)[O-])cn2)cc1 ZINC000439518351 284537683 /nfs/dbraw/zinc/53/76/83/284537683.db2.gz ILCACTMJGOROAK-DAXSKMNVSA-N 0 0 284.271 2.947 20 5 CFBDRN CC[C@H](C)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000103930557 375762510 /nfs/dbraw/zinc/76/25/10/375762510.db2.gz IEJBBTNJQJRDDK-JTQLQIEISA-N 0 0 288.307 2.765 20 5 CFBDRN O=C([C@H]1CC12CC2)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000335073810 284543502 /nfs/dbraw/zinc/54/35/02/284543502.db2.gz NKQJZUQXHGQZFK-LLVKDONJSA-N 0 0 272.304 2.674 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C(C)C)C(C)C ZINC000110293062 375827075 /nfs/dbraw/zinc/82/70/75/375827075.db2.gz LTZPHDSWCLMXAR-UHFFFAOYSA-N 0 0 265.313 2.557 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN1CCCc2ccccc21 ZINC000111923808 375875867 /nfs/dbraw/zinc/87/58/67/375875867.db2.gz ORVXPFQAUVYJCS-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN CCN(CC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000112204301 375890265 /nfs/dbraw/zinc/89/02/65/375890265.db2.gz SBCNVGATMPYWML-UHFFFAOYSA-N 0 0 263.297 2.859 20 5 CFBDRN COc1ccc(C(=O)N[C@@H](C)CC2CC2)cc1[N+](=O)[O-] ZINC000112607097 375937105 /nfs/dbraw/zinc/93/71/05/375937105.db2.gz RHUABIBPJVIENI-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN CC1(C)CCCN(C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000112685840 375943987 /nfs/dbraw/zinc/94/39/87/375943987.db2.gz FZLXHXGFHFQZKF-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN O=C(CCC1CC1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000115008224 376098623 /nfs/dbraw/zinc/09/86/23/376098623.db2.gz XLTOUQZPHXHSCU-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN COC(=O)C[C@H](C)SCCOc1cccc([N+](=O)[O-])c1 ZINC000115190506 376113424 /nfs/dbraw/zinc/11/34/24/376113424.db2.gz FSNUHIOCMAVYSU-JTQLQIEISA-N 0 0 299.348 2.658 20 5 CFBDRN COC(=O)C[C@@H](C)SCc1c(F)cccc1[N+](=O)[O-] ZINC000115191861 376114280 /nfs/dbraw/zinc/11/42/80/376114280.db2.gz BXGVWXJPGXWIDZ-MRVPVSSYSA-N 0 0 287.312 2.919 20 5 CFBDRN O=C(Nc1cc(Cl)ccn1)c1ccc([N+](=O)[O-])s1 ZINC000114325259 376059730 /nfs/dbraw/zinc/05/97/30/376059730.db2.gz AFKOZPJZISNWDW-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CCCCOCCCNc1nccc(C)c1[N+](=O)[O-] ZINC000115515516 376135303 /nfs/dbraw/zinc/13/53/03/376135303.db2.gz VBLSRWDOIRUZJG-UHFFFAOYSA-N 0 0 267.329 2.917 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cc2)cc1 ZINC000116207261 376170025 /nfs/dbraw/zinc/17/00/25/376170025.db2.gz JXCVRQWMLLUGQY-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN CS[C@@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000117220009 376229762 /nfs/dbraw/zinc/22/97/62/376229762.db2.gz FRIAUDFBNLZFHV-DTWKUNHWSA-N 0 0 284.337 2.592 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCC[C@H]1CCCO1 ZINC000117553715 376248120 /nfs/dbraw/zinc/24/81/20/376248120.db2.gz UAWUISNMLVNNAH-LLVKDONJSA-N 0 0 267.281 2.551 20 5 CFBDRN CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccncc1 ZINC000117666484 376255028 /nfs/dbraw/zinc/25/50/28/376255028.db2.gz DGXZXRKDUMUDGE-UHFFFAOYSA-N 0 0 285.303 2.585 20 5 CFBDRN CC[N@@H+]1CCCN([C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000118826801 376317801 /nfs/dbraw/zinc/31/78/01/376317801.db2.gz PRVBHXIBYHDAFN-ZDUSSCGKSA-N 0 0 277.368 2.683 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)[C@@H]1C ZINC000118964782 376328321 /nfs/dbraw/zinc/32/83/21/376328321.db2.gz KBALAWTVOBKNPX-NXEZZACHSA-N 0 0 280.299 2.533 20 5 CFBDRN COc1ccc(CN2CCS[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000118416260 376295509 /nfs/dbraw/zinc/29/55/09/376295509.db2.gz VVKMAGBASLSQKH-GHMZBOCLSA-N 0 0 296.392 2.929 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C(C1CC1)C1CC1 ZINC000119495702 376424808 /nfs/dbraw/zinc/42/48/08/376424808.db2.gz LQYWPXZJSYRTHX-UHFFFAOYSA-N 0 0 288.347 2.690 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Br)c1)C1CC1 ZINC000119596771 376443473 /nfs/dbraw/zinc/44/34/73/376443473.db2.gz MSQQKXHDDARENN-UHFFFAOYSA-N 0 0 285.097 2.706 20 5 CFBDRN C[C@H](CNc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000119743078 376463520 /nfs/dbraw/zinc/46/35/20/376463520.db2.gz XRNMTDJAFIQCON-GFCCVEGCSA-N 0 0 299.330 2.825 20 5 CFBDRN Cc1nnc(Sc2nc(C)ccc2[N+](=O)[O-])s1 ZINC000119775166 376470293 /nfs/dbraw/zinc/47/02/93/376470293.db2.gz SMXAEASZJWTRRL-UHFFFAOYSA-N 0 0 268.323 2.609 20 5 CFBDRN COCCCN(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000119914584 376504223 /nfs/dbraw/zinc/50/42/23/376504223.db2.gz CJFORBYYHYYZBU-UHFFFAOYSA-N 0 0 295.339 2.712 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCCC1 ZINC000119930532 376508089 /nfs/dbraw/zinc/50/80/89/376508089.db2.gz GFBADPXOQHLZMB-UHFFFAOYSA-N 0 0 263.297 2.839 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(NCCCO)c(Cl)c1 ZINC000120368133 376601529 /nfs/dbraw/zinc/60/15/29/376601529.db2.gz LUBCGPSKCAKVHN-UHFFFAOYSA-N 0 0 265.096 2.696 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCO[C@H]1C ZINC000120229231 376572158 /nfs/dbraw/zinc/57/21/58/376572158.db2.gz DILGMKPZIBJRAD-WDEREUQCSA-N 0 0 293.323 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOCC(C)C)n1 ZINC000120442877 376614012 /nfs/dbraw/zinc/61/40/12/376614012.db2.gz YFXXHIDRRZEIGJ-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN CCc1noc(C)c1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000120921888 376722100 /nfs/dbraw/zinc/72/21/00/376722100.db2.gz KFZNEXGBFOESRV-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCOc1ccccc1N(C)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000121162131 376773182 /nfs/dbraw/zinc/77/31/82/376773182.db2.gz NKMVWOXHOBNSOK-UHFFFAOYSA-N 0 0 290.275 2.863 20 5 CFBDRN COc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)ccc1F ZINC000121746070 376898659 /nfs/dbraw/zinc/89/86/59/376898659.db2.gz MPKDRGWZLRFJKO-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN C[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)[C@H]1CCOC1 ZINC000121740223 376896420 /nfs/dbraw/zinc/89/64/20/376896420.db2.gz WDSXCVBHMWYYBZ-YUMQZZPRSA-N 0 0 272.251 2.710 20 5 CFBDRN CC[C@@]1(C)C[C@@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000123719150 376971195 /nfs/dbraw/zinc/97/11/95/376971195.db2.gz ZMRZOAFJJKZEKJ-HIFRSBDPSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1ccc(CNc2ccc(CC(N)=O)cc2)cc1[N+](=O)[O-] ZINC000124832380 377092593 /nfs/dbraw/zinc/09/25/93/377092593.db2.gz DNIXYBNITUJFGO-UHFFFAOYSA-N 0 0 299.330 2.543 20 5 CFBDRN Cc1ccc(CNCc2ccccc2[N+](=O)[O-])nc1 ZINC000126984836 377258612 /nfs/dbraw/zinc/25/86/12/377258612.db2.gz DQCORHGGHWHBRO-UHFFFAOYSA-N 0 0 257.293 2.588 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCCCO1 ZINC000351693952 284625673 /nfs/dbraw/zinc/62/56/73/284625673.db2.gz RPMZCQBTXWPVRF-UHFFFAOYSA-N 0 0 290.275 2.899 20 5 CFBDRN CC(C)CCNc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000129061869 377379135 /nfs/dbraw/zinc/37/91/35/377379135.db2.gz SWOUNKCQVIAGEO-UHFFFAOYSA-N 0 0 292.382 2.518 20 5 CFBDRN CC1(C)[C@H](O)C[C@H]1Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000129069784 377379512 /nfs/dbraw/zinc/37/95/12/377379512.db2.gz CKVQWFFJMKYMBJ-CHWSQXEVSA-N 0 0 287.319 2.714 20 5 CFBDRN CC(C)(C)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000129251608 377404253 /nfs/dbraw/zinc/40/42/53/377404253.db2.gz IWAVTRANFOEANL-UHFFFAOYSA-N 0 0 275.308 2.533 20 5 CFBDRN COc1ccc(CNc2ncc(Cl)cc2[N+](=O)[O-])cn1 ZINC000129783907 377471139 /nfs/dbraw/zinc/47/11/39/377471139.db2.gz RTAGVYJHEHBJRA-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN CCCCOCCNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000129770855 377472279 /nfs/dbraw/zinc/47/22/79/377472279.db2.gz WRROAHLMUYDTKG-UHFFFAOYSA-N 0 0 273.720 2.872 20 5 CFBDRN Cc1c(CC(=O)N2CCC3(CCC3)C2)cccc1[N+](=O)[O-] ZINC000129959560 377494312 /nfs/dbraw/zinc/49/43/12/377494312.db2.gz KQTHQXHMWDHDAK-UHFFFAOYSA-N 0 0 288.347 2.848 20 5 CFBDRN COCCSCCCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000278208550 193863252 /nfs/dbraw/zinc/86/32/52/193863252.db2.gz GPLSTFXRDFFFTI-UHFFFAOYSA-N 0 0 289.328 2.882 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000130719149 377600482 /nfs/dbraw/zinc/60/04/82/377600482.db2.gz ZFKUIMZYSDWEFV-NSHDSACASA-N 0 0 262.309 2.775 20 5 CFBDRN CN(CCOCC1CC1)Cc1ccc([N+](=O)[O-])cc1F ZINC000130869650 377624014 /nfs/dbraw/zinc/62/40/14/377624014.db2.gz TYXKTKHICHDIFK-UHFFFAOYSA-N 0 0 282.315 2.592 20 5 CFBDRN COC(=O)c1cc(N2CCC[C@H]2C)cc(C)c1[N+](=O)[O-] ZINC000130977950 377642249 /nfs/dbraw/zinc/64/22/49/377642249.db2.gz RVMMVPXYFKOAEE-SNVBAGLBSA-N 0 0 278.308 2.679 20 5 CFBDRN CCOc1ccc(C(=O)N2CC[C@@H](CC)C2)cc1[N+](=O)[O-] ZINC000131286478 377688973 /nfs/dbraw/zinc/68/89/73/377688973.db2.gz YRMTZCKQMRSJJB-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1ncccc1CNc1ccc(F)cc1[N+](=O)[O-] ZINC000131370034 377702231 /nfs/dbraw/zinc/70/22/31/377702231.db2.gz DBWIHPUHPDGHQJ-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC[C@H]1CCN(C(=O)CCOc2ccccc2[N+](=O)[O-])C1 ZINC000131191207 377672761 /nfs/dbraw/zinc/67/27/61/377672761.db2.gz VUFJBDGCSOPMPB-LBPRGKRZSA-N 0 0 292.335 2.622 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@@H]1CCCS1 ZINC000131492517 377718526 /nfs/dbraw/zinc/71/85/26/377718526.db2.gz KKYCEZGGLWODIK-NSHDSACASA-N 0 0 280.349 2.529 20 5 CFBDRN CC[C@H](C)C[S@@](=O)Cc1cc([N+](=O)[O-])ccc1OC ZINC000131641191 377740440 /nfs/dbraw/zinc/74/04/40/377740440.db2.gz KZQJJVZQXUAESF-OVWNDWIMSA-N 0 0 285.365 2.898 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000131857875 377769900 /nfs/dbraw/zinc/76/99/00/377769900.db2.gz PNGOMWAREJUDNV-NSHDSACASA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)n1 ZINC000131981445 377788560 /nfs/dbraw/zinc/78/85/60/377788560.db2.gz WYDBIPWKRFTSQO-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN Cc1ccc(NC(=O)NCC(F)(F)F)cc1[N+](=O)[O-] ZINC000132049543 377796725 /nfs/dbraw/zinc/79/67/25/377796725.db2.gz FPXKPZRQXVZIRL-UHFFFAOYSA-N 0 0 277.202 2.587 20 5 CFBDRN CC[C@@H](C)Cn1cc(Br)c(=O)c([N+](=O)[O-])c1 ZINC000132651200 377863152 /nfs/dbraw/zinc/86/31/52/377863152.db2.gz PMZMUAGKAAZRRB-SSDOTTSWSA-N 0 0 289.129 2.565 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000132947668 377889235 /nfs/dbraw/zinc/88/92/35/377889235.db2.gz RFVNJCMFMWTEGW-NWDGAFQWSA-N 0 0 276.336 2.642 20 5 CFBDRN C[C@@H]1CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])[C@@H]1CO ZINC000133015735 377895211 /nfs/dbraw/zinc/89/52/11/377895211.db2.gz DSUMQHPAZGAGOV-QMTHXVAHSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(C[C@H]1C=CCC1)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132598398 377856931 /nfs/dbraw/zinc/85/69/31/377856931.db2.gz VLULMIQVOKGZIX-VIFPVBQESA-N 0 0 265.240 2.996 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])C1 ZINC000133267109 377917386 /nfs/dbraw/zinc/91/73/86/377917386.db2.gz IZDQEHZJRKGKSC-NXEZZACHSA-N 0 0 298.364 2.599 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(O)CCc3ccccc32)n1 ZINC000133731786 377952513 /nfs/dbraw/zinc/95/25/13/377952513.db2.gz GWCDDPPZNSGLNV-MRXNPFEDSA-N 0 0 299.330 2.544 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCC(=O)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000134368095 378005962 /nfs/dbraw/zinc/00/59/62/378005962.db2.gz YIQZFSBRPJKNRR-GWCFXTLKSA-N 0 0 290.319 2.847 20 5 CFBDRN CC[C@H](CCO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000134438234 378015088 /nfs/dbraw/zinc/01/50/88/378015088.db2.gz KGJHDSTXRNENCI-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@H](O)CCOc1c(Br)cccc1[N+](=O)[O-] ZINC000134062524 377979869 /nfs/dbraw/zinc/97/98/69/377979869.db2.gz FJDJIMIFYAQEJG-ZETCQYMHSA-N 0 0 290.113 2.507 20 5 CFBDRN Cc1nc(NC(=O)CCC2CCC2)ccc1[N+](=O)[O-] ZINC000134051222 377979928 /nfs/dbraw/zinc/97/99/28/377979928.db2.gz KKGKCZYPWSOCIX-UHFFFAOYSA-N 0 0 263.297 2.817 20 5 CFBDRN Cc1nc(N[C@@H](C)C[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000134095219 377981920 /nfs/dbraw/zinc/98/19/20/377981920.db2.gz ZHVGHYGOLJJVGN-GXSJLCMTSA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCC[C@@H]1CCCO1 ZINC000134193346 377991218 /nfs/dbraw/zinc/99/12/18/377991218.db2.gz BXSMAHVYQQWIOS-JTQLQIEISA-N 0 0 255.245 2.682 20 5 CFBDRN CC1(C)CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000134291093 377999487 /nfs/dbraw/zinc/99/94/87/377999487.db2.gz PLPNEQSZXOWFOD-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN CSCCCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000134827807 378051831 /nfs/dbraw/zinc/05/18/31/378051831.db2.gz KYADYXGDOQZBDC-UHFFFAOYSA-N 0 0 286.382 2.859 20 5 CFBDRN COc1cccc(NC[C@H]2CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000134923168 378064139 /nfs/dbraw/zinc/06/41/39/378064139.db2.gz CPFCCMGVWYIZSK-PWSUYJOCSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cccc(NC[C@]2(C)CCCO2)c1[N+](=O)[O-] ZINC000134926640 378065442 /nfs/dbraw/zinc/06/54/42/378065442.db2.gz DORXYYBOLBQQSJ-ZDUSSCGKSA-N 0 0 266.297 2.584 20 5 CFBDRN COc1cccc(NC[C@@H]2CCCS2)c1[N+](=O)[O-] ZINC000134927150 378065526 /nfs/dbraw/zinc/06/55/26/378065526.db2.gz XIZWBABFARJRCX-VIFPVBQESA-N 0 0 268.338 2.911 20 5 CFBDRN CC[C@]1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2C)CCO1 ZINC000135314564 378115184 /nfs/dbraw/zinc/11/51/84/378115184.db2.gz SEGZPCUMWXIDSQ-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCc1nc(CO)cs1 ZINC000432155565 383726562 /nfs/dbraw/zinc/72/65/62/383726562.db2.gz GCHFZUWWHPNFAM-UHFFFAOYSA-N 0 0 299.739 2.809 20 5 CFBDRN CC[C@]1(C)CN(Cc2cc([N+](=O)[O-])ccc2OC)CCO1 ZINC000135702042 378159440 /nfs/dbraw/zinc/15/94/40/378159440.db2.gz WCSOBIVLAYYJIR-OAHLLOKOSA-N 0 0 294.351 2.604 20 5 CFBDRN Cc1sc(C(=O)N[C@@H](C)C2CCOCC2)cc1[N+](=O)[O-] ZINC000135916728 378169201 /nfs/dbraw/zinc/16/92/01/378169201.db2.gz ZFGJUHIVJAKKSH-QMMMGPOBSA-N 0 0 298.364 2.510 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)CCC[C@H]1C ZINC000150840000 378275905 /nfs/dbraw/zinc/27/59/05/378275905.db2.gz SWTLVJPJHPOITB-GHMZBOCLSA-N 0 0 291.351 2.949 20 5 CFBDRN Cc1ccc(C)c(NC(=O)[C@@H](C)n2cc([N+](=O)[O-])cn2)c1 ZINC000144753313 378249774 /nfs/dbraw/zinc/24/97/74/378249774.db2.gz SFJDYNHAWJOTFV-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ncc(Cl)cc2[N+](=O)[O-])CC[N@@H+]1C ZINC000148564867 378257445 /nfs/dbraw/zinc/25/74/45/378257445.db2.gz ADALXPRBADQAAS-PSASIEDQSA-N 0 0 284.747 2.538 20 5 CFBDRN CC(C)(C)c1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000148863288 378260313 /nfs/dbraw/zinc/26/03/13/378260313.db2.gz SMFWGRJXRMBCAY-UHFFFAOYSA-N 0 0 288.307 2.868 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149170317 378262035 /nfs/dbraw/zinc/26/20/35/378262035.db2.gz LREWQMUCBDMCOO-VIFPVBQESA-N 0 0 268.700 2.777 20 5 CFBDRN O=C(NC1CCC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149235540 378262599 /nfs/dbraw/zinc/26/25/99/378262599.db2.gz BLVNTIITOWXMCK-UHFFFAOYSA-N 0 0 254.673 2.531 20 5 CFBDRN CC[C@H](C)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149074749 378262666 /nfs/dbraw/zinc/26/26/66/378262666.db2.gz JOQVAPUKZOGABN-ZETCQYMHSA-N 0 0 256.689 2.777 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000151554544 378336538 /nfs/dbraw/zinc/33/65/38/378336538.db2.gz UMJFOIRFJRXERY-HQJQHLMTSA-N 0 0 284.262 2.791 20 5 CFBDRN Cc1csc(NC(=O)Cc2cccc([N+](=O)[O-])c2)n1 ZINC000151638051 378344397 /nfs/dbraw/zinc/34/43/97/378344397.db2.gz LUNDLVKJDFRHRR-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN CCOC(=O)c1cc(NCCSC)ccc1[N+](=O)[O-] ZINC000151981269 378380153 /nfs/dbraw/zinc/38/01/53/378380153.db2.gz FQLRMEYKKTZAIC-UHFFFAOYSA-N 0 0 284.337 2.546 20 5 CFBDRN CS[C@@H](C)CNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000153970737 378527668 /nfs/dbraw/zinc/52/76/68/378527668.db2.gz OELDMDMURZLMEE-UWVGGRQHSA-N 0 0 297.380 2.707 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OC[C@@H]1CCOC1 ZINC000330364488 378537512 /nfs/dbraw/zinc/53/75/12/378537512.db2.gz PHIOMCBEOXUKMK-MRVPVSSYSA-N 0 0 257.673 2.664 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1OC[C@H]1CCOC1 ZINC000330364489 378537981 /nfs/dbraw/zinc/53/79/81/378537981.db2.gz PHIOMCBEOXUKMK-QMMMGPOBSA-N 0 0 257.673 2.664 20 5 CFBDRN COC(C)(C)c1noc(-c2cc([N+](=O)[O-])ccc2C)n1 ZINC000154131996 378541852 /nfs/dbraw/zinc/54/18/52/378541852.db2.gz ADSGVBIAYADOIW-UHFFFAOYSA-N 0 0 277.280 2.835 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1cc([N+](=O)[O-])ccc1OC ZINC000330518793 378576202 /nfs/dbraw/zinc/57/62/02/378576202.db2.gz NBRCPWDRXYCPNS-HNNXBMFYSA-N 0 0 294.351 2.604 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2cccc([N+](=O)[O-])c2OC)C1 ZINC000331077416 378626936 /nfs/dbraw/zinc/62/69/36/378626936.db2.gz WESQCRZAGDORPS-HNNXBMFYSA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000331091353 378630930 /nfs/dbraw/zinc/63/09/30/378630930.db2.gz WPONCXRLGDOARJ-NWDGAFQWSA-N 0 0 276.336 2.690 20 5 CFBDRN CCCc1nccn1Cc1csc([N+](=O)[O-])c1 ZINC000432228223 383730248 /nfs/dbraw/zinc/73/02/48/383730248.db2.gz FMFTUGPBOHTWDT-UHFFFAOYSA-N 0 0 251.311 2.854 20 5 CFBDRN C[C@H]1CCCC[C@@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330673681 378596230 /nfs/dbraw/zinc/59/62/30/378596230.db2.gz YSOYDUXBUQUDBH-GWCFXTLKSA-N 0 0 264.325 2.969 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCC[C@H]2CCCO2)n1 ZINC000330952924 378603160 /nfs/dbraw/zinc/60/31/60/378603160.db2.gz ITIMJBHYASDISG-LLVKDONJSA-N 0 0 266.297 2.555 20 5 CFBDRN C[C@@H](NC(=O)C1CCC(=O)CC1)c1cccc([N+](=O)[O-])c1 ZINC000331012554 378615091 /nfs/dbraw/zinc/61/50/91/378615091.db2.gz YDCKVHRRPUQGLU-SNVBAGLBSA-N 0 0 290.319 2.531 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000331190102 378646895 /nfs/dbraw/zinc/64/68/95/378646895.db2.gz JXWHRRKDOIDADO-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(NCC1(C2CC2)CC1)c1ccc([N+](=O)[O-])s1 ZINC000160158433 378751903 /nfs/dbraw/zinc/75/19/03/378751903.db2.gz CAJABICBUPEMOB-UHFFFAOYSA-N 0 0 266.322 2.576 20 5 CFBDRN C[C@@H]1CCc2ccccc2[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000331940925 378718166 /nfs/dbraw/zinc/71/81/66/378718166.db2.gz MACWMKOUERBMLW-BMIGLBTASA-N 0 0 299.330 2.976 20 5 CFBDRN CC1(CNC(=O)c2cc(F)c([N+](=O)[O-])cc2F)CCC1 ZINC000160852630 378804022 /nfs/dbraw/zinc/80/40/22/378804022.db2.gz FDMQOSRGPJQYOU-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN CCCn1c(C)nnc1CNc1cccc([N+](=O)[O-])c1 ZINC000161571868 378856027 /nfs/dbraw/zinc/85/60/27/378856027.db2.gz BETKBQYFHSTJCQ-UHFFFAOYSA-N 0 0 275.312 2.517 20 5 CFBDRN C[C@]1(O)CCCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000161638484 378862310 /nfs/dbraw/zinc/86/23/10/378862310.db2.gz DAGJFESWUOZUSV-LBPRGKRZSA-N 0 0 270.716 2.599 20 5 CFBDRN C[C@@]1(O)CCCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000161871429 378877449 /nfs/dbraw/zinc/87/74/49/378877449.db2.gz YLEYMWKQCNHUIM-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NOCCC(F)(F)F ZINC000162850092 378937325 /nfs/dbraw/zinc/93/73/25/378937325.db2.gz SPFUIDASSRUYAG-UHFFFAOYSA-N 0 0 292.213 2.517 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@H]1c1ccccc1 ZINC000334218653 378941410 /nfs/dbraw/zinc/94/14/10/378941410.db2.gz TYLHWUAGRUJZDK-LBPRGKRZSA-N 0 0 271.276 2.510 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)C(C)C ZINC000162580715 378919423 /nfs/dbraw/zinc/91/94/23/378919423.db2.gz WDBGVWIBGRFBSF-UHFFFAOYSA-N 0 0 251.286 2.775 20 5 CFBDRN Cc1ccccc1[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000334245512 378975250 /nfs/dbraw/zinc/97/52/50/378975250.db2.gz RWGLRRWDJNVDIW-GFCCVEGCSA-N 0 0 299.330 2.861 20 5 CFBDRN CCOCCN(CC)C(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000163104785 378954331 /nfs/dbraw/zinc/95/43/31/378954331.db2.gz LBVOSXQHKFUBLL-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2N)C[C@H](C)C1 ZINC000163126026 378955305 /nfs/dbraw/zinc/95/53/05/378955305.db2.gz CQQILXHMRJHEOP-WDEREUQCSA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@@H]1C[C@H](C)CCN(C(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000163125926 378955530 /nfs/dbraw/zinc/95/55/30/378955530.db2.gz CQQILXHMRJHEOP-GHMZBOCLSA-N 0 0 291.351 2.685 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CC(CF)C1 ZINC000335268487 378994815 /nfs/dbraw/zinc/99/48/15/378994815.db2.gz PVLLIQKIROHRFZ-UHFFFAOYSA-N 0 0 287.678 2.681 20 5 CFBDRN CCCOCC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163754926 378989857 /nfs/dbraw/zinc/98/98/57/378989857.db2.gz ZXCOXZSUOUZNPQ-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN CC[C@H](CSC)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000163815494 378991127 /nfs/dbraw/zinc/99/11/27/378991127.db2.gz URVRCXDWWMLFMP-SNVBAGLBSA-N 0 0 297.380 2.508 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCCCO1 ZINC000278582259 194055551 /nfs/dbraw/zinc/05/55/51/194055551.db2.gz KFZPAUPTIKYPPI-UHFFFAOYSA-N 0 0 285.687 2.808 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC[C@H]1c1ccccc1 ZINC000334269542 379009784 /nfs/dbraw/zinc/00/97/84/379009784.db2.gz PSLWCFNGFDDBLD-ZDUSSCGKSA-N 0 0 285.303 2.521 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC[C@H]1C ZINC000334285571 379034478 /nfs/dbraw/zinc/03/44/78/379034478.db2.gz GMSVUMVNSCTOSO-KCJUWKMLSA-N 0 0 263.297 2.823 20 5 CFBDRN C[C@H](Nc1cccc(F)c1[N+](=O)[O-])[C@H]1CCOC1 ZINC000166379394 379055356 /nfs/dbraw/zinc/05/53/56/379055356.db2.gz OQLJWRBXKZAUNQ-IUCAKERBSA-N 0 0 254.261 2.571 20 5 CFBDRN O=[N+]([O-])c1ccccc1N(C[C@H]1CCOC1)C1CC1 ZINC000167550731 379072926 /nfs/dbraw/zinc/07/29/26/379072926.db2.gz LTWTWLODRFLGDU-LLVKDONJSA-N 0 0 262.309 2.600 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000168615686 379092025 /nfs/dbraw/zinc/09/20/25/379092025.db2.gz RJUOCFJGOMYGHG-QMMMGPOBSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1cc(OCc2ncnn2C(C)C)c(F)cc1[N+](=O)[O-] ZINC000278735759 194129023 /nfs/dbraw/zinc/12/90/23/194129023.db2.gz UYVSPTJXLWLOEA-UHFFFAOYSA-N 0 0 294.286 2.794 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCSCC(C)C ZINC000339360741 220263884 /nfs/dbraw/zinc/26/38/84/220263884.db2.gz JNQNXAHKSHQPLW-UHFFFAOYSA-N 0 0 269.370 2.521 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CC[C@@H](F)C1 ZINC000334336405 379115867 /nfs/dbraw/zinc/11/58/67/379115867.db2.gz SPJNUVALJVMHAR-ZJUUUORDSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@@](C)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000334352421 379140275 /nfs/dbraw/zinc/14/02/75/379140275.db2.gz HEAHCFZBEFHXQX-IINYFYTJSA-N 0 0 295.314 2.799 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000334352589 379143114 /nfs/dbraw/zinc/14/31/14/379143114.db2.gz XMKUYUUOOBKNEG-JTQLQIEISA-N 0 0 287.319 2.948 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1nnc(C(C)C)o1 ZINC000170698013 379143762 /nfs/dbraw/zinc/14/37/62/379143762.db2.gz ALJFOXXHTKDYRL-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000334376277 379186354 /nfs/dbraw/zinc/18/63/54/379186354.db2.gz DYJVBVJFZICVLC-SECBINFHSA-N 0 0 273.292 2.558 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCCc2cccc(O)c21 ZINC000170873041 379180073 /nfs/dbraw/zinc/18/00/73/379180073.db2.gz DPVXEZUNCXIGSP-UHFFFAOYSA-N 0 0 298.298 2.893 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000334374460 379183600 /nfs/dbraw/zinc/18/36/00/379183600.db2.gz CWVLGFLQQAONIF-UHFFFAOYSA-N 0 0 273.292 2.518 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334384016 379202691 /nfs/dbraw/zinc/20/26/91/379202691.db2.gz LKMPQLUJWKVPQX-BXKDBHETSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccnn1C ZINC000278841653 194179327 /nfs/dbraw/zinc/17/93/27/194179327.db2.gz UVAZNRVIOQBWDX-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN CCN(C(=O)NCc1cc([N+](=O)[O-])ccc1OC)C(C)C ZINC000171116844 379234698 /nfs/dbraw/zinc/23/46/98/379234698.db2.gz LJYWSGUSCJKSBP-UHFFFAOYSA-N 0 0 295.339 2.543 20 5 CFBDRN CCc1[nH]nc(C(=O)N(CC)c2ccccc2)c1[N+](=O)[O-] ZINC000171370897 379290587 /nfs/dbraw/zinc/29/05/87/379290587.db2.gz ODIAAGBVRNYQFA-UHFFFAOYSA-N 0 0 288.307 2.547 20 5 CFBDRN Cc1nc(NCC2(CO)CC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000278902179 194204917 /nfs/dbraw/zinc/20/49/17/194204917.db2.gz QYXFCWAKAVOPEN-UHFFFAOYSA-N 0 0 291.351 2.653 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)Cc1cscn1 ZINC000171232793 379259736 /nfs/dbraw/zinc/25/97/36/379259736.db2.gz XTDVERZXNVNLLO-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN Cc1ccc(NC(=O)N2CCCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000171242832 379260735 /nfs/dbraw/zinc/26/07/35/379260735.db2.gz FENXPNMNRUJYOL-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN O=C(N[C@H]1CCC[C@H](F)C1)c1csc([N+](=O)[O-])c1 ZINC000335419240 379260995 /nfs/dbraw/zinc/26/09/95/379260995.db2.gz PZJHJAPVVDGEQE-IUCAKERBSA-N 0 0 272.301 2.667 20 5 CFBDRN CC(=O)N1CCC(Nc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000432319036 383735738 /nfs/dbraw/zinc/73/57/38/383735738.db2.gz DUNXYLBQCZRDBJ-UHFFFAOYSA-N 0 0 297.742 2.671 20 5 CFBDRN CCCN(C(=O)c1cc([N+](=O)[O-])ccc1OC)C1CC1 ZINC000171486302 379320693 /nfs/dbraw/zinc/32/06/93/379320693.db2.gz ILCFZAMRKDMWLE-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000334451139 379322846 /nfs/dbraw/zinc/32/28/46/379322846.db2.gz UWADJFPGXBANNE-UHFFFAOYSA-N 0 0 259.265 2.508 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CCc1c(F)cccc1Cl ZINC000171415168 379302848 /nfs/dbraw/zinc/30/28/48/379302848.db2.gz MJWZAGKHJKYELT-UHFFFAOYSA-N 0 0 296.685 2.792 20 5 CFBDRN CCc1n[nH]c(C(=O)N(CCC(C)C)C2CC2)c1[N+](=O)[O-] ZINC000171730556 379387711 /nfs/dbraw/zinc/38/77/11/379387711.db2.gz PQERJAABKACSNC-UHFFFAOYSA-N 0 0 294.355 2.531 20 5 CFBDRN C[C@H](NC(=O)N(C)CC(F)F)c1cccc([N+](=O)[O-])c1 ZINC000171743533 379391832 /nfs/dbraw/zinc/39/18/32/379391832.db2.gz XTEDAYCBQQBDKL-QMMMGPOBSA-N 0 0 287.266 2.562 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000171634813 379362074 /nfs/dbraw/zinc/36/20/74/379362074.db2.gz NIOGAZGBBQZFRK-SNVBAGLBSA-N 0 0 282.315 2.733 20 5 CFBDRN CC(=O)OCCCSc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000278966139 194232444 /nfs/dbraw/zinc/23/24/44/194232444.db2.gz BQTCDXXWBVSLBI-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN C[C@H](NC(=O)N1CCC=C(F)C1)c1ccccc1[N+](=O)[O-] ZINC000335494145 379397572 /nfs/dbraw/zinc/39/75/72/379397572.db2.gz WQXKJJFXXUDSHA-JTQLQIEISA-N 0 0 293.298 2.925 20 5 CFBDRN CCc1n[nH]c(C(=O)NC2CCC(CC)CC2)c1[N+](=O)[O-] ZINC000171774724 379399324 /nfs/dbraw/zinc/39/93/24/379399324.db2.gz IDOBTCIEGNEUDB-UHFFFAOYSA-N 0 0 294.355 2.579 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000171875660 379428067 /nfs/dbraw/zinc/42/80/67/379428067.db2.gz JNLMJDYMTPHCGV-JTQLQIEISA-N 0 0 250.298 2.713 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)[C@H]1C ZINC000335548265 379491102 /nfs/dbraw/zinc/49/11/02/379491102.db2.gz AGJCYMSZGZIZJD-GHMZBOCLSA-N 0 0 262.309 2.692 20 5 CFBDRN COc1cccc(COc2ccccc2[N+](=O)[O-])n1 ZINC000172318618 379541577 /nfs/dbraw/zinc/54/15/77/379541577.db2.gz SVDMNYZQMLYZMF-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CO[C@@H]1CCCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000172178585 379511332 /nfs/dbraw/zinc/51/13/32/379511332.db2.gz KERZXYKNOPTXTH-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H](C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000172372306 379551198 /nfs/dbraw/zinc/55/11/98/379551198.db2.gz HPVVMPBLOHDPSL-SECBINFHSA-N 0 0 296.371 2.825 20 5 CFBDRN CSCC[C@@H](C)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000172499783 379582271 /nfs/dbraw/zinc/58/22/71/379582271.db2.gz YUVWTGVWLWHWTM-MRVPVSSYSA-N 0 0 288.394 2.870 20 5 CFBDRN C[C@H]1CSCCN1C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000172528461 379591060 /nfs/dbraw/zinc/59/10/60/379591060.db2.gz FKTLNLMCLZSQKA-MLRMMBSGSA-N 0 0 292.360 2.572 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000334595999 379596536 /nfs/dbraw/zinc/59/65/36/379596536.db2.gz PTDHRSNGSWMXOP-NXEZZACHSA-N 0 0 265.313 2.574 20 5 CFBDRN COCC(C)(C)CNc1c(C)cccc1[N+](=O)[O-] ZINC000310920710 284792992 /nfs/dbraw/zinc/79/29/92/284792992.db2.gz HEPAILFRANRCLT-UHFFFAOYSA-N 0 0 252.314 2.988 20 5 CFBDRN Cc1nc(N[C@H](C)[C@H](O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000288120813 197008723 /nfs/dbraw/zinc/00/87/23/197008723.db2.gz CTMFUFXPEHYRTP-ABAIWWIYSA-N 0 0 287.319 2.832 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000172638307 379611652 /nfs/dbraw/zinc/61/16/52/379611652.db2.gz YKTFFZJNYIWXAD-GHMZBOCLSA-N 0 0 262.309 2.855 20 5 CFBDRN CC(C)N(Cc1ccon1)Cc1ccc([N+](=O)[O-])cc1 ZINC000172652179 379616091 /nfs/dbraw/zinc/61/60/91/379616091.db2.gz DCVDJAVXUMMZLH-UHFFFAOYSA-N 0 0 275.308 2.993 20 5 CFBDRN Cc1nc(NC[C@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000288145928 197014561 /nfs/dbraw/zinc/01/45/61/197014561.db2.gz BSAARKOKSHWNBM-SNVBAGLBSA-N 0 0 267.354 2.853 20 5 CFBDRN Cc1ccc(NC(=O)N2[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000334628573 379657517 /nfs/dbraw/zinc/65/75/17/379657517.db2.gz GBSHPQVMEIRKMF-AOOOYVTPSA-N 0 0 263.297 2.918 20 5 CFBDRN CCCc1nc(CNc2ccc(F)c([N+](=O)[O-])c2)no1 ZINC000172849452 379659665 /nfs/dbraw/zinc/65/96/65/379659665.db2.gz UAZVHGJGPIBWAE-UHFFFAOYSA-N 0 0 280.259 2.682 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(CC3CCC3)n2)cc1 ZINC000172921744 379669914 /nfs/dbraw/zinc/66/99/14/379669914.db2.gz MNVUPWSZPJCAOV-UHFFFAOYSA-N 0 0 258.281 2.653 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000172920749 379671115 /nfs/dbraw/zinc/67/11/15/379671115.db2.gz TXDDROOYEGREBG-RYUDHWBXSA-N 0 0 276.336 2.642 20 5 CFBDRN CCSCC[C@@H](C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000173268890 379717996 /nfs/dbraw/zinc/71/79/96/379717996.db2.gz YTHXIUBNGHKCMX-LLVKDONJSA-N 0 0 296.392 2.785 20 5 CFBDRN C[C@H]1CCCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000173172993 379705419 /nfs/dbraw/zinc/70/54/19/379705419.db2.gz QZAUHTKRSWYXHG-LAHYYIKRSA-N 0 0 260.293 2.619 20 5 CFBDRN Cc1cc(NCc2ccc([C@@H](C)O)cc2)ncc1[N+](=O)[O-] ZINC000173914459 379799318 /nfs/dbraw/zinc/79/93/18/379799318.db2.gz CMWLHUUGHZICGS-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000335755907 379838298 /nfs/dbraw/zinc/83/82/98/379838298.db2.gz LUFNKGPDIYBGKD-RKDXNWHRSA-N 0 0 268.338 2.917 20 5 CFBDRN O=[N+]([O-])c1ccc(OC2CCN(CC(F)F)CC2)cc1 ZINC000174246469 379850577 /nfs/dbraw/zinc/85/05/77/379850577.db2.gz KILLXOMHXFTMPM-UHFFFAOYSA-N 0 0 286.278 2.703 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCc2ccccc2C1 ZINC000334720472 379824772 /nfs/dbraw/zinc/82/47/72/379824772.db2.gz SKHRUGKSKYQTTQ-UHFFFAOYSA-N 0 0 288.328 2.855 20 5 CFBDRN Cc1c(C(=O)N2CC[C@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000335802589 379914103 /nfs/dbraw/zinc/91/41/03/379914103.db2.gz PVOISQMWKQJBHI-RISCZKNCSA-N 0 0 274.320 2.918 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000334816468 379928352 /nfs/dbraw/zinc/92/83/52/379928352.db2.gz PNYFFAPJPNKDJN-WDEREUQCSA-N 0 0 276.336 2.739 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000174972322 379971925 /nfs/dbraw/zinc/97/19/25/379971925.db2.gz YQKWJPMFWMHWDR-ZDUSSCGKSA-N 0 0 292.335 2.669 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000174972340 379972142 /nfs/dbraw/zinc/97/21/42/379972142.db2.gz YQKWJPMFWMHWDR-CYBMUJFWSA-N 0 0 292.335 2.669 20 5 CFBDRN CC(C)[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000335819655 379942268 /nfs/dbraw/zinc/94/22/68/379942268.db2.gz YONJMBPISXCTDQ-LBPRGKRZSA-N 0 0 265.313 2.574 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000174794550 379943446 /nfs/dbraw/zinc/94/34/46/379943446.db2.gz ASDDIZFMRIYOMP-SNVBAGLBSA-N 0 0 298.339 2.702 20 5 CFBDRN CC[C@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000334981701 379950806 /nfs/dbraw/zinc/95/08/06/379950806.db2.gz RPVACROMLMHDKM-JTQLQIEISA-N 0 0 266.297 2.983 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cc(F)ccc1O ZINC000174892123 379957568 /nfs/dbraw/zinc/95/75/68/379957568.db2.gz JAESHTMIMQPXAJ-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN O=C(Nc1cc(F)ccc1O)c1csc([N+](=O)[O-])c1 ZINC000174901355 379959880 /nfs/dbraw/zinc/95/98/80/379959880.db2.gz ZVWYRELZVYGHAX-UHFFFAOYSA-N 0 0 282.252 2.753 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C[C@H]1C ZINC000335849764 379990925 /nfs/dbraw/zinc/99/09/25/379990925.db2.gz DWINFQYTPPOVIY-DTORHVGOSA-N 0 0 282.727 2.976 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CS[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000335203517 380001515 /nfs/dbraw/zinc/00/15/15/380001515.db2.gz QTDWFZBYJZTUFA-PSASIEDQSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CS[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000335203520 380003030 /nfs/dbraw/zinc/00/30/30/380003030.db2.gz QTDWFZBYJZTUFA-WPRPVWTQSA-N 0 0 280.349 2.527 20 5 CFBDRN CC(=O)[C@@H](C)S[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000175188766 380016485 /nfs/dbraw/zinc/01/64/85/380016485.db2.gz VWWMRUYFDGHYFB-NXEZZACHSA-N 0 0 296.348 2.633 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000335866272 380018996 /nfs/dbraw/zinc/01/89/96/380018996.db2.gz JLSBRWSVLSXHSI-JTQLQIEISA-N 0 0 287.319 2.948 20 5 CFBDRN CCOCCN(CC)Cc1cc([N+](=O)[O-])ccc1OCC ZINC000175216198 380022046 /nfs/dbraw/zinc/02/20/46/380022046.db2.gz WQTJGKCHNOHPOY-UHFFFAOYSA-N 0 0 296.367 2.852 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000175413979 380060988 /nfs/dbraw/zinc/06/09/88/380060988.db2.gz KGEBBQGAMIQOAM-VHSXEESVSA-N 0 0 263.297 2.857 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000335893603 380064162 /nfs/dbraw/zinc/06/41/62/380064162.db2.gz MITHLGZPGPFDJH-SMDDNHRTSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1c(CNC(=O)N2CC[C@@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000335903485 380080745 /nfs/dbraw/zinc/08/07/45/380080745.db2.gz KFJUWYVLGAHRJE-CQSZACIVSA-N 0 0 295.314 2.547 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCCC(C)(C)CC1 ZINC000335906567 380085057 /nfs/dbraw/zinc/08/50/57/380085057.db2.gz XDDUMDAGRGUCIC-UHFFFAOYSA-N 0 0 279.340 2.586 20 5 CFBDRN C[C@@]1(F)CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc32)C1 ZINC000335907665 380088428 /nfs/dbraw/zinc/08/84/28/380088428.db2.gz CZUFXQFGRZOSOA-CQSZACIVSA-N 0 0 291.282 2.650 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1(F)F ZINC000335879704 380042423 /nfs/dbraw/zinc/04/24/23/380042423.db2.gz SABXFMDKGMHRTA-VIFPVBQESA-N 0 0 284.262 2.712 20 5 CFBDRN C[C@@H](N(C)C(=O)Cc1cccc([N+](=O)[O-])c1)C1(C)CC1 ZINC000175585358 380094151 /nfs/dbraw/zinc/09/41/51/380094151.db2.gz JJHGAHWVRHAZQZ-LLVKDONJSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H](N(C)C(=O)COc1ccccc1[N+](=O)[O-])C1(C)CC1 ZINC000175608160 380098127 /nfs/dbraw/zinc/09/81/27/380098127.db2.gz ZCZHNXZTJHSXFI-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@@]1(O)CCSC1 ZINC000288304869 197047884 /nfs/dbraw/zinc/04/78/84/197047884.db2.gz IGKUWHJEHZJVRM-NSHDSACASA-N 0 0 288.756 2.528 20 5 CFBDRN Cc1c(NC(=O)C(F)(F)C(F)F)cccc1[N+](=O)[O-] ZINC000175715420 380118235 /nfs/dbraw/zinc/11/82/35/380118235.db2.gz NQCBVYJJCOSGGY-UHFFFAOYSA-N 0 0 280.177 2.742 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)[C@H]1C ZINC000335986581 380199132 /nfs/dbraw/zinc/19/91/32/380199132.db2.gz PPSFRQBLEFVQBM-IUCAKERBSA-N 0 0 298.289 2.672 20 5 CFBDRN Cc1c(NC(=O)CC[C@H]2CCOC2)cccc1[N+](=O)[O-] ZINC000176548556 380253695 /nfs/dbraw/zinc/25/36/95/380253695.db2.gz HCYXBJZGPZIQAK-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN COc1csc(C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000176578349 380254772 /nfs/dbraw/zinc/25/47/72/380254772.db2.gz COVTZJLVLCHGFV-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000335999853 380217086 /nfs/dbraw/zinc/21/70/86/380217086.db2.gz NSUURFBGXPWLDU-NXEZZACHSA-N 0 0 280.299 2.706 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC000336002738 380221025 /nfs/dbraw/zinc/22/10/25/380221025.db2.gz UOCULXBKKRGZAB-UWVGGRQHSA-N 0 0 263.297 2.857 20 5 CFBDRN COc1cc(C(=O)Nc2cc(C)ns2)ccc1[N+](=O)[O-] ZINC000176413078 380228836 /nfs/dbraw/zinc/22/88/36/380228836.db2.gz SRZBPZHUNNNWRX-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN C[C@@H]1CCC[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000336011824 380233939 /nfs/dbraw/zinc/23/39/39/380233939.db2.gz CSFKDUPEHQLVSD-WDEREUQCSA-N 0 0 279.340 2.584 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1C[C@H]2CCC[C@@H]2C1 ZINC000176461620 380240076 /nfs/dbraw/zinc/24/00/76/380240076.db2.gz LXDSWQSVGIMRKH-CHWSQXEVSA-N 0 0 289.335 2.536 20 5 CFBDRN Cn1c(C(=O)Nc2cc(Cl)ccc2F)ccc1[N+](=O)[O-] ZINC000336513429 380391841 /nfs/dbraw/zinc/39/18/41/380391841.db2.gz CDHXNVKUPPXBKG-UHFFFAOYSA-N 0 0 297.673 2.978 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1CCCCCC1 ZINC000178272117 380429192 /nfs/dbraw/zinc/42/91/92/380429192.db2.gz KUYGFGIZEMLXKB-UHFFFAOYSA-N 0 0 277.324 2.751 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178370386 380443124 /nfs/dbraw/zinc/44/31/24/380443124.db2.gz CHSIRPMBNCLDFG-OKILXGFUSA-N 0 0 288.347 2.786 20 5 CFBDRN COC(=O)c1cccc(Oc2nc(C)ccc2[N+](=O)[O-])c1 ZINC000178148372 380414128 /nfs/dbraw/zinc/41/41/28/380414128.db2.gz LPSHMTGLYBSPCM-UHFFFAOYSA-N 0 0 288.259 2.877 20 5 CFBDRN C[C@H]1CCC[C@H](C)C1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000178201451 380421123 /nfs/dbraw/zinc/42/11/23/380421123.db2.gz JDSXWMGHOGKRAN-UWVGGRQHSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1CCC[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000336592742 380516297 /nfs/dbraw/zinc/51/62/97/380516297.db2.gz JUXSJEIFVQXITF-IAQYHMDHSA-N 0 0 288.347 2.916 20 5 CFBDRN C[C@H]1CCC[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000336592743 380516372 /nfs/dbraw/zinc/51/63/72/380516372.db2.gz JUXSJEIFVQXITF-NHYWBVRUSA-N 0 0 288.347 2.916 20 5 CFBDRN CC1(C)CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]2CCC[C@H]21 ZINC000336556647 380461269 /nfs/dbraw/zinc/46/12/69/380461269.db2.gz ACERODWLMVNSGS-PWSUYJOCSA-N 0 0 277.324 2.574 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1[O-])N1C[C@H]2CCCC[C@@H]2C1 ZINC000179538343 380555018 /nfs/dbraw/zinc/55/50/18/380555018.db2.gz HMQYDWQNAHCAKN-GHMZBOCLSA-N 0 0 290.319 2.563 20 5 CFBDRN C[C@@H]1[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1(F)F ZINC000336633901 380580813 /nfs/dbraw/zinc/58/08/13/380580813.db2.gz HEKUMSQNULBWAD-MLUIRONXSA-N 0 0 274.198 2.574 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@@H](O)C(C)(C)C ZINC000336786154 380630334 /nfs/dbraw/zinc/63/03/34/380630334.db2.gz HGGQRLMPAAIAEW-LLVKDONJSA-N 0 0 253.298 2.689 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])s1)c1cncs1 ZINC000336688339 380592291 /nfs/dbraw/zinc/59/22/91/380592291.db2.gz JQPHQPHFLOINRR-RXMQYKEDSA-N 0 0 256.312 2.681 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cnn(C[C@H]2CCCO2)c1 ZINC000572014625 383747881 /nfs/dbraw/zinc/74/78/81/383747881.db2.gz CWCSSMXUCMYMBC-GFCCVEGCSA-N 0 0 273.292 2.637 20 5 CFBDRN Cc1ccccc1-c1noc([C@@H](C)n2cc([N+](=O)[O-])cn2)n1 ZINC000180508529 380668928 /nfs/dbraw/zinc/66/89/28/380668928.db2.gz RPFKUDPVFMZIQO-SNVBAGLBSA-N 0 0 299.290 2.759 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)cc1O ZINC000180681824 380690120 /nfs/dbraw/zinc/69/01/20/380690120.db2.gz LHTOLGQXVCCZLN-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Cc1cc(NC(=O)NC[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000279157068 194309703 /nfs/dbraw/zinc/30/97/03/194309703.db2.gz CEPYUYOUPIPCDN-JTQLQIEISA-N 0 0 295.364 2.778 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000181181395 380753347 /nfs/dbraw/zinc/75/33/47/380753347.db2.gz OFJVEYRMWYONAK-BXUZGUMPSA-N 0 0 292.335 2.763 20 5 CFBDRN COC1(CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CCC1 ZINC000180927032 380713459 /nfs/dbraw/zinc/71/34/59/380713459.db2.gz ZDWYVHJBZNCPNY-UHFFFAOYSA-N 0 0 298.726 2.547 20 5 CFBDRN C[C@H]1CCC[C@@H](CNC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000180971453 380718708 /nfs/dbraw/zinc/71/87/08/380718708.db2.gz AMSCBJYHUDVRRX-WDEREUQCSA-N 0 0 291.351 2.733 20 5 CFBDRN CC(=O)c1ccc(NCCc2ccc([N+](=O)[O-])cc2)nc1 ZINC000180994697 380722690 /nfs/dbraw/zinc/72/26/90/380722690.db2.gz GWISRXQLCQQLIV-UHFFFAOYSA-N 0 0 285.303 2.847 20 5 CFBDRN CO[C@H](C)CSCCOc1cccc([N+](=O)[O-])c1 ZINC000181142554 380746983 /nfs/dbraw/zinc/74/69/83/380746983.db2.gz WLZDIGRPERKOPN-SNVBAGLBSA-N 0 0 271.338 2.742 20 5 CFBDRN CCCCN(C)C(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000279185251 194321879 /nfs/dbraw/zinc/32/18/79/194321879.db2.gz CAAOYCOEZAADQL-UHFFFAOYSA-N 0 0 280.324 2.866 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)[C@@H]1CCCO1 ZINC000279202478 194330064 /nfs/dbraw/zinc/33/00/64/194330064.db2.gz XLLIRHZTYLMPAZ-OCCSQVGLSA-N 0 0 280.324 2.509 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nncs2)c(Cl)c1 ZINC000181704349 380869345 /nfs/dbraw/zinc/86/93/45/380869345.db2.gz HXOQTRFLUFNTSX-UHFFFAOYSA-N 0 0 270.701 2.712 20 5 CFBDRN Cc1ncccc1OCCOc1ccccc1[N+](=O)[O-] ZINC000181714805 380871231 /nfs/dbraw/zinc/87/12/31/380871231.db2.gz XOECVQNQVAWSNI-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN C[C@H]1CN(c2nccc3cc([N+](=O)[O-])ccc32)C[C@H](C)O1 ZINC000295470282 380875683 /nfs/dbraw/zinc/87/56/83/380875683.db2.gz OXMMJGLTXHPLOV-QWRGUYRKSA-N 0 0 287.319 2.757 20 5 CFBDRN Cc1ccc(C(=O)NCC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000181564449 380828968 /nfs/dbraw/zinc/82/89/68/380828968.db2.gz QNQIKRVXAUOMLP-ZDUSSCGKSA-N 0 0 292.335 2.592 20 5 CFBDRN CC(C)CC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295403198 380831474 /nfs/dbraw/zinc/83/14/74/380831474.db2.gz RRMGFOHMIYFKFJ-JTQLQIEISA-N 0 0 250.298 2.818 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000295403687 380832356 /nfs/dbraw/zinc/83/23/56/380832356.db2.gz ZSJITOVCIWNAQK-LHLIQPBNSA-N 0 0 268.700 2.695 20 5 CFBDRN COc1ccc(OCCCn2c(C)ncc2[N+](=O)[O-])cc1 ZINC000358431515 380844031 /nfs/dbraw/zinc/84/40/31/380844031.db2.gz WMEGXWXUUWAXOW-UHFFFAOYSA-N 0 0 291.307 2.577 20 5 CFBDRN C[C@H](NC(=O)CCc1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000295421952 380843890 /nfs/dbraw/zinc/84/38/90/380843890.db2.gz TZZIMIUJLFFRLY-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN CC(C)OCCCC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000181628609 380845853 /nfs/dbraw/zinc/84/58/53/380845853.db2.gz KVIVOEOMXOQDMA-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CCCOC(C)C ZINC000181627593 380846318 /nfs/dbraw/zinc/84/63/18/380846318.db2.gz ZPNWWWVLPUVEFJ-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN Cc1c(CNC(=O)NC[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000358435142 380846343 /nfs/dbraw/zinc/84/63/43/380846343.db2.gz XQWPQHSHLUDONN-LBPRGKRZSA-N 0 0 291.351 2.749 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CCC[C@H](C)C1 ZINC000408465981 380928388 /nfs/dbraw/zinc/92/83/88/380928388.db2.gz ICPYFPZSLVUSLW-CMPLNLGQSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC[C@H]2CCOC2)n1 ZINC000402003695 380881018 /nfs/dbraw/zinc/88/10/18/380881018.db2.gz PUGIQBBRWQSMKC-NSHDSACASA-N 0 0 265.313 2.527 20 5 CFBDRN COCc1nc(S[C@H](C)c2ccccc2[N+](=O)[O-])n[nH]1 ZINC000353827493 380883827 /nfs/dbraw/zinc/88/38/27/380883827.db2.gz OGLAKLHEVKCWLK-MRVPVSSYSA-N 0 0 294.336 2.713 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N(CC1CC1)CC1CC1 ZINC000358501383 380886287 /nfs/dbraw/zinc/88/62/87/380886287.db2.gz VDEPMWHIDSJBPD-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN COc1cc(CN2CC[C@@](C)(F)C2)c([N+](=O)[O-])cc1F ZINC000341276108 380892099 /nfs/dbraw/zinc/89/20/99/380892099.db2.gz HRJVJYGUYBYRLT-CYBMUJFWSA-N 0 0 286.278 2.676 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000295499278 380897943 /nfs/dbraw/zinc/89/79/43/380897943.db2.gz KAULZUDGIAEYDP-ZDUSSCGKSA-N 0 0 266.272 2.559 20 5 CFBDRN COc1ccc(Cn2cc([N+](=O)[O-])c(C)n2)cc1Cl ZINC000181816110 380905699 /nfs/dbraw/zinc/90/56/99/380905699.db2.gz GCCKCLUVKHRVCL-UHFFFAOYSA-N 0 0 281.699 2.810 20 5 CFBDRN Cc1nn(Cc2coc(-c3ccccc3)n2)cc1[N+](=O)[O-] ZINC000181824317 380905835 /nfs/dbraw/zinc/90/58/35/380905835.db2.gz KMGCJGQFIZTXPX-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN CC[C@@H](N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000181831623 380907634 /nfs/dbraw/zinc/90/76/34/380907634.db2.gz DMVULZOYOYXFKJ-ZYHUDNBSSA-N 0 0 280.324 2.505 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@@H]3CCC[C@H]3C2)n1 ZINC000408172083 380916189 /nfs/dbraw/zinc/91/61/89/380916189.db2.gz RQGBFMDQQVSGQI-RYUDHWBXSA-N 0 0 261.325 2.843 20 5 CFBDRN CN(CCC1CCOCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000287768355 380932207 /nfs/dbraw/zinc/93/22/07/380932207.db2.gz HVCBBQSMXMAGST-UHFFFAOYSA-N 0 0 282.315 2.987 20 5 CFBDRN CO[C@@H](C)CN(C(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000353909978 380949817 /nfs/dbraw/zinc/94/98/17/380949817.db2.gz ZVFSCUXPPYEDCB-NSHDSACASA-N 0 0 294.351 2.789 20 5 CFBDRN CC[C@@H](NC(=O)N[C@@H](C)CF)c1cccc([N+](=O)[O-])c1 ZINC000287829732 380950598 /nfs/dbraw/zinc/95/05/98/380950598.db2.gz YAZCNSZJKGBQQG-JOYOIKCWSA-N 0 0 283.303 2.703 20 5 CFBDRN CCN(C[C@@H](C)O)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000341344151 380951855 /nfs/dbraw/zinc/95/18/55/380951855.db2.gz FZPZVPYEKDMAAF-LLVKDONJSA-N 0 0 294.351 2.561 20 5 CFBDRN O=C(Nc1ccsc1)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000181987668 380956674 /nfs/dbraw/zinc/95/66/74/380956674.db2.gz HBNBFBJZIBDMNY-UHFFFAOYSA-N 0 0 292.272 2.637 20 5 CFBDRN CCC[C@@]1(NC(=O)c2cc([N+](=O)[O-])c(C)s2)CCOC1 ZINC000287884477 380963069 /nfs/dbraw/zinc/96/30/69/380963069.db2.gz OFVKWMFXIFDLDK-CYBMUJFWSA-N 0 0 298.364 2.654 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1cccc(-n2cccn2)c1 ZINC000368123844 380935482 /nfs/dbraw/zinc/93/54/82/380935482.db2.gz YAYGBQGDQXYPDJ-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN CCCC[C@@H](C)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347105731 380942445 /nfs/dbraw/zinc/94/24/45/380942445.db2.gz CUBKGQFRCVJZBZ-MRVPVSSYSA-N 0 0 279.300 2.672 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCC[C@H](O)C3)n2)s1 ZINC000347112039 380947619 /nfs/dbraw/zinc/94/76/19/380947619.db2.gz WCOBGIJTHOEHHF-SFYZADRCSA-N 0 0 295.320 2.725 20 5 CFBDRN CC(C)=CCC[C@H](C)NC(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000295701446 381025811 /nfs/dbraw/zinc/02/58/11/381025811.db2.gz VNUMRBKHXUYNCV-JTQLQIEISA-N 0 0 294.355 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCc1nncn1C1CC1 ZINC000279319963 194382635 /nfs/dbraw/zinc/38/26/35/194382635.db2.gz FZGSKCRCYBIGTB-UHFFFAOYSA-N 0 0 293.714 2.787 20 5 CFBDRN CCc1nn(C)c(Nc2cccc(COC)c2)c1[N+](=O)[O-] ZINC000288024238 380996062 /nfs/dbraw/zinc/99/60/62/380996062.db2.gz CIQRBOHLLBYMOK-UHFFFAOYSA-N 0 0 290.323 2.781 20 5 CFBDRN CO[C@H](C)[C@@H](C)Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000295668017 381006176 /nfs/dbraw/zinc/00/61/76/381006176.db2.gz JJWMQLQYZUNVSB-NXEZZACHSA-N 0 0 275.308 2.978 20 5 CFBDRN C[C@@H](O)C1CCN(Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000182164399 381008250 /nfs/dbraw/zinc/00/82/50/381008250.db2.gz FZLYGVFTTCTIRJ-SNVBAGLBSA-N 0 0 298.770 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC[C@H]2CCCO2)c(F)c1 ZINC000358679169 381008965 /nfs/dbraw/zinc/00/89/65/381008965.db2.gz ATJIZRRIDXTJKQ-GFCCVEGCSA-N 0 0 298.314 2.732 20 5 CFBDRN C[C@]1(F)CCCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000295763590 381061686 /nfs/dbraw/zinc/06/16/86/381061686.db2.gz OLAHNFMWFPCFKW-ZDUSSCGKSA-N 0 0 284.262 2.698 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])c1c[nH]c2ncccc12 ZINC000341472217 381033219 /nfs/dbraw/zinc/03/32/19/381033219.db2.gz RCQOEJFHGWEJKW-UHFFFAOYSA-N 0 0 297.270 2.733 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)CC[C@H]2CCCO2)n1 ZINC000341474369 381034436 /nfs/dbraw/zinc/03/44/36/381034436.db2.gz CYPINMZXPUVTHF-GFCCVEGCSA-N 0 0 279.340 2.612 20 5 CFBDRN CCC(C)(C)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000354104892 381081371 /nfs/dbraw/zinc/08/13/71/381081371.db2.gz UCYYZCMDKGGZKY-UHFFFAOYSA-N 0 0 266.297 2.522 20 5 CFBDRN CCCCCOC1CN(Cc2cccc([N+](=O)[O-])c2)C1 ZINC000358791530 381086827 /nfs/dbraw/zinc/08/68/27/381086827.db2.gz PHMSDMRTRPAEBK-UHFFFAOYSA-N 0 0 278.352 2.986 20 5 CFBDRN O=C(N[C@@H]1CC(=O)c2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000354112659 381087480 /nfs/dbraw/zinc/08/74/80/381087480.db2.gz LGLGFGYNKTYSFZ-CQSZACIVSA-N 0 0 296.282 2.652 20 5 CFBDRN CC(C)[C@H](C)S(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000295812354 381091174 /nfs/dbraw/zinc/09/11/74/381091174.db2.gz FULSYCBTTITMCL-JTQLQIEISA-N 0 0 271.338 2.554 20 5 CFBDRN CCN(C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000182530609 381106439 /nfs/dbraw/zinc/10/64/39/381106439.db2.gz NJXMQAQVMVWHKT-VIFPVBQESA-N 0 0 280.299 2.848 20 5 CFBDRN O=[N+]([O-])c1cc(CSc2nc3cncnc3[nH]2)cs1 ZINC000358849151 381120809 /nfs/dbraw/zinc/12/08/09/381120809.db2.gz PPZFKWKQNIQRTB-UHFFFAOYSA-N 0 0 293.333 2.615 20 5 CFBDRN Cc1ccc(F)c(CN(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000347331556 381075203 /nfs/dbraw/zinc/07/52/03/381075203.db2.gz FNFPVDKMCVXFAZ-UHFFFAOYSA-N 0 0 291.282 2.643 20 5 CFBDRN COc1cc(-c2nc(CC(C)C)no2)c([N+](=O)[O-])cc1F ZINC000295888042 381137866 /nfs/dbraw/zinc/13/78/66/381137866.db2.gz KRCYFTBLRNZSEF-UHFFFAOYSA-N 0 0 295.270 2.991 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCC1CCCC1 ZINC000347432106 381148260 /nfs/dbraw/zinc/14/82/60/381148260.db2.gz STNPOFHEZZWIJX-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1ccc(C(=O)NCCc2ccccc2[N+](=O)[O-])c(C)n1 ZINC000358908441 381148778 /nfs/dbraw/zinc/14/87/78/381148778.db2.gz VSPMIRNRAYORMW-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC(C)(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1F ZINC000295911766 381151674 /nfs/dbraw/zinc/15/16/74/381151674.db2.gz IZFGPDISBCOTIT-UHFFFAOYSA-N 0 0 273.235 2.867 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC[C@H]1Cc1ccccc1 ZINC000358942413 381168111 /nfs/dbraw/zinc/16/81/11/381168111.db2.gz ASVWWPWAORIVRD-LBPRGKRZSA-N 0 0 286.287 2.645 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(C[C@H](O)Cc1ccccc1)CC2 ZINC000182794398 381159539 /nfs/dbraw/zinc/15/95/39/381159539.db2.gz YJUFUYMMAXZNMM-MRXNPFEDSA-N 0 0 298.342 2.561 20 5 CFBDRN C[C@@H]1[C@H](CO)CCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000412102441 381161331 /nfs/dbraw/zinc/16/13/31/381161331.db2.gz ZUIKBTPNYYASKX-MNOVXSKESA-N 0 0 298.770 2.841 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCCC3CCCC3)c21 ZINC000347405209 381129095 /nfs/dbraw/zinc/12/90/95/381129095.db2.gz XTKJMPQJRJDWJN-UHFFFAOYSA-N 0 0 286.335 2.952 20 5 CFBDRN O=C(CCc1ccccc1)NCCc1ccccc1[N+](=O)[O-] ZINC000358879157 381136331 /nfs/dbraw/zinc/13/63/31/381136331.db2.gz AQKHEILAIXHNAU-UHFFFAOYSA-N 0 0 298.342 2.886 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2CC[C@@H]3CCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000358948082 381170766 /nfs/dbraw/zinc/17/07/66/381170766.db2.gz FLFHHPZVTHCDIF-QJPTWQEYSA-N 0 0 291.351 2.632 20 5 CFBDRN CCCCC[C@H]1CCCN1C(=O)c1c([N+](=O)[O-])cnn1C ZINC000347475077 381174286 /nfs/dbraw/zinc/17/42/86/381174286.db2.gz ZSJKYVHIFHYVCH-NSHDSACASA-N 0 0 294.355 2.513 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H](C)C(F)(F)F)c1[N+](=O)[O-] ZINC000358958895 381176268 /nfs/dbraw/zinc/17/62/68/381176268.db2.gz ZQDWXTHGQVBHHG-QMMMGPOBSA-N 0 0 290.241 2.831 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CCSc1ccccc1F ZINC000182871577 381178037 /nfs/dbraw/zinc/17/80/37/381178037.db2.gz PTBPMGUPALZPTF-UHFFFAOYSA-N 0 0 294.307 2.688 20 5 CFBDRN CCc1nnc(CNCc2ccc([N+](=O)[O-])cc2C)s1 ZINC000289855547 381189011 /nfs/dbraw/zinc/18/90/11/381189011.db2.gz QAUJMRYBORITPR-UHFFFAOYSA-N 0 0 292.364 2.607 20 5 CFBDRN CC(C)(CCc1nc(-c2ccc(F)cc2)no1)[N+](=O)[O-] ZINC000295970870 381190851 /nfs/dbraw/zinc/19/08/51/381190851.db2.gz QHZOVILFMGESJO-UHFFFAOYSA-N 0 0 279.271 2.864 20 5 CFBDRN CC/C=C/CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000295985980 381198866 /nfs/dbraw/zinc/19/88/66/381198866.db2.gz QHWFSFNWHQNDKT-SNAWJCMRSA-N 0 0 254.311 2.661 20 5 CFBDRN CCC[N@@H+]1CCC[C@H]1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000343950585 220278607 /nfs/dbraw/zinc/27/86/07/220278607.db2.gz BESOHEWXIVOCJS-ZDUSSCGKSA-N 0 0 292.383 2.893 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@H]2CCCO2)c(F)c1F ZINC000295994659 381202636 /nfs/dbraw/zinc/20/26/36/381202636.db2.gz PFXFCMFIEOOPNZ-MRVPVSSYSA-N 0 0 273.235 2.821 20 5 CFBDRN Cc1nnc(CN[C@@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC000342291083 381251394 /nfs/dbraw/zinc/25/13/94/381251394.db2.gz FLTQWEWWCKHZPL-QMMMGPOBSA-N 0 0 278.337 2.606 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359095052 381262264 /nfs/dbraw/zinc/26/22/64/381262264.db2.gz JJZASLBEMYQUNV-VIFPVBQESA-N 0 0 295.339 2.608 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)[C@@H]2CCCCO2)n1 ZINC000359099990 381265640 /nfs/dbraw/zinc/26/56/40/381265640.db2.gz WDTQBFBFYWZAQI-RYUDHWBXSA-N 0 0 279.340 2.976 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C=C[C@H](CO)C2)c(Cl)c1 ZINC000359025937 381220898 /nfs/dbraw/zinc/22/08/98/381220898.db2.gz DSZRWEKFFIWJCB-IUCAKERBSA-N 0 0 268.700 2.597 20 5 CFBDRN Cc1ncccc1-c1noc(-c2cc([N+](=O)[O-])cnc2C)n1 ZINC000347566050 381224083 /nfs/dbraw/zinc/22/40/83/381224083.db2.gz QLRYNXMSGAKGHC-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CC1(CNc2cccc(C(N)=O)c2[N+](=O)[O-])CCCC1 ZINC000342229790 381224538 /nfs/dbraw/zinc/22/45/38/381224538.db2.gz VKJJHYAVBJLXBQ-UHFFFAOYSA-N 0 0 277.324 2.686 20 5 CFBDRN Cc1ccc([C@H](C)NCc2c([N+](=O)[O-])ncn2C)cc1F ZINC000342227440 381224970 /nfs/dbraw/zinc/22/49/70/381224970.db2.gz HLJQRBNMZAVMIB-JTQLQIEISA-N 0 0 292.314 2.627 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000354362209 381225875 /nfs/dbraw/zinc/22/58/75/381225875.db2.gz LTABEQUQCWTLPN-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN C/C(=C/c1nc(N(C)C)no1)c1ccc([N+](=O)[O-])cc1 ZINC000342236174 381228932 /nfs/dbraw/zinc/22/89/32/381228932.db2.gz RKXBGIXOMCITTM-HJWRWDBZSA-N 0 0 274.280 2.604 20 5 CFBDRN O=C(c1ocnc1C1CC1)N1CCc2c1cccc2[N+](=O)[O-] ZINC000342258029 381233327 /nfs/dbraw/zinc/23/33/27/381233327.db2.gz DRMXNEXXQRONBT-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN CC(C)OC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000359064326 381243881 /nfs/dbraw/zinc/24/38/81/381243881.db2.gz HDFQVQNMUMBAGA-UHFFFAOYSA-N 0 0 275.207 2.761 20 5 CFBDRN CC(=O)c1cc(NCC[C@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000342343897 381287339 /nfs/dbraw/zinc/28/73/39/381287339.db2.gz BOWUNRUXYUUQSB-AWEZNQCLSA-N 0 0 280.324 2.616 20 5 CFBDRN COc1cc(C[NH2+][C@H]2CCC2(C)C)c([N+](=O)[O-])cc1O ZINC000290079748 381289860 /nfs/dbraw/zinc/28/98/60/381289860.db2.gz ITSJGDSJSPARGZ-ZDUSSCGKSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(C)(CF)NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000354465840 381289906 /nfs/dbraw/zinc/28/99/06/381289906.db2.gz HTANERAPKLHTEZ-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN CC(C)[C@H](O)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000342353632 381290970 /nfs/dbraw/zinc/29/09/70/381290970.db2.gz WVXHKXHLIPEHDC-LLVKDONJSA-N 0 0 256.277 2.553 20 5 CFBDRN COc1ncc(COc2cccc([N+](=O)[O-])c2C)c(C)n1 ZINC000347686401 381296215 /nfs/dbraw/zinc/29/62/15/381296215.db2.gz OOGUUHBRHXKOIP-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183647302 381300605 /nfs/dbraw/zinc/30/06/05/381300605.db2.gz RREJEUGRJQSUOD-VHSXEESVSA-N 0 0 278.312 2.642 20 5 CFBDRN CCC(C)(C)Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000296220854 381306811 /nfs/dbraw/zinc/30/68/11/381306811.db2.gz DESJIDGRDRTOSB-UHFFFAOYSA-N 0 0 286.353 2.599 20 5 CFBDRN Cc1ncccc1O[C@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC000369525707 381279625 /nfs/dbraw/zinc/27/96/25/381279625.db2.gz CMLZPSXIRKHKCX-ZDUSSCGKSA-N 0 0 299.330 2.956 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000183530661 381283671 /nfs/dbraw/zinc/28/36/71/381283671.db2.gz KOXRNTYHUHLIMF-GHMZBOCLSA-N 0 0 264.325 2.893 20 5 CFBDRN Cc1ccnc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000342339346 381285459 /nfs/dbraw/zinc/28/54/59/381285459.db2.gz ORSDZRPARWIDFV-GFCCVEGCSA-N 0 0 286.335 2.852 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC1(C(C)C)CC1 ZINC000296260593 381322865 /nfs/dbraw/zinc/32/28/65/381322865.db2.gz SGFLHKSRJYNPDG-UHFFFAOYSA-N 0 0 293.323 2.914 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2c(F)cccc2Cl)nc1 ZINC000354529565 381322961 /nfs/dbraw/zinc/32/29/61/381322961.db2.gz VKYFCPSRJYTHFT-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN CC[C@H](C)CCC(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000354530660 381323982 /nfs/dbraw/zinc/32/39/82/381323982.db2.gz VRGQYBKLLHSEFL-NSHDSACASA-N 0 0 291.351 2.701 20 5 CFBDRN Cc1cccc(C)c1NCc1c([N+](=O)[O-])ncn1C ZINC000347745475 381329336 /nfs/dbraw/zinc/32/93/36/381329336.db2.gz WRCIUUATFVULFF-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CC(C)COCCC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000359293000 381331255 /nfs/dbraw/zinc/33/12/55/381331255.db2.gz SBDFGBDCNVTTKN-UHFFFAOYSA-N 0 0 280.324 2.620 20 5 CFBDRN CC(C)[C@H]1CCC[C@H](NC(=O)c2[nH]ncc2[N+](=O)[O-])CC1 ZINC000183889023 381335659 /nfs/dbraw/zinc/33/56/59/381335659.db2.gz UZMKZFKHXACAEM-QWRGUYRKSA-N 0 0 294.355 2.653 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000347767272 381340206 /nfs/dbraw/zinc/34/02/06/381340206.db2.gz CQAVVWGGBADAOM-JQWIXIFHSA-N 0 0 277.324 2.535 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NC/C=C\c1ccccc1 ZINC000354516656 381314082 /nfs/dbraw/zinc/31/40/82/381314082.db2.gz WVVBPROHIOHRAF-YVMONPNESA-N 0 0 297.314 2.620 20 5 CFBDRN Cc1nc(NC(=O)C23CCC(CC2)C3)ccc1[N+](=O)[O-] ZINC000342409059 381316168 /nfs/dbraw/zinc/31/61/68/381316168.db2.gz CUITXZTXZUFQSH-UHFFFAOYSA-N 0 0 275.308 2.817 20 5 CFBDRN CN(C[C@@H]1CCC[N@H+](C)C1)c1c(F)cccc1[N+](=O)[O-] ZINC000342413349 381317373 /nfs/dbraw/zinc/31/73/73/381317373.db2.gz ZQPGEKFXJBXQPX-LLVKDONJSA-N 0 0 281.331 2.512 20 5 CFBDRN CCCN(CC)c1nnc(-c2cccc([N+](=O)[O-])c2)n1C ZINC000290145416 381320541 /nfs/dbraw/zinc/32/05/41/381320541.db2.gz IIZDOGUXLAWEHX-UHFFFAOYSA-N 0 0 289.339 2.627 20 5 CFBDRN COc1ccc(COc2cccnc2[N+](=O)[O-])cc1 ZINC000359336998 381358779 /nfs/dbraw/zinc/35/87/79/381358779.db2.gz XQPFLFOFNVCVPS-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN CCOc1cccc(N2CCO[C@@H](CC)C2)c1[N+](=O)[O-] ZINC000184045383 381360635 /nfs/dbraw/zinc/36/06/35/381360635.db2.gz SXQYVIAYEGOPQZ-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1C[C@H]1c1ccccc1 ZINC000359340556 381361644 /nfs/dbraw/zinc/36/16/44/381361644.db2.gz CELYYXUYEDUVAH-KBPBESRZSA-N 0 0 284.315 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H]1C[C@@H]1c1ccccc1 ZINC000359340567 381362117 /nfs/dbraw/zinc/36/21/17/381362117.db2.gz CELYYXUYEDUVAH-ZIAGYGMSSA-N 0 0 284.315 2.869 20 5 CFBDRN Cc1ccnc(NC(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000184070291 381365734 /nfs/dbraw/zinc/36/57/34/381365734.db2.gz PDHVLIWGOZYAKZ-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN CN(C(=O)NCCc1ccccc1[N+](=O)[O-])[C@H]1CC1(C)C ZINC000347829505 381368288 /nfs/dbraw/zinc/36/82/88/381368288.db2.gz MINIAGLZJLSHPI-ZDUSSCGKSA-N 0 0 291.351 2.577 20 5 CFBDRN Cc1noc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)c1C ZINC000359373560 381379482 /nfs/dbraw/zinc/37/94/82/381379482.db2.gz DISWGNGGRMOVSP-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN Cc1nn(C)c(N2CC[C@@H](C)C3(CCC3)C2)c1[N+](=O)[O-] ZINC000370229498 381409560 /nfs/dbraw/zinc/40/95/60/381409560.db2.gz BQEVDJLNBGFSMB-SNVBAGLBSA-N 0 0 278.356 2.653 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N[C@H]1C=CCCC1 ZINC000342533218 381380537 /nfs/dbraw/zinc/38/05/37/381380537.db2.gz WJLIMZPETBSAPY-VIFPVBQESA-N 0 0 296.273 2.640 20 5 CFBDRN O=C(Nc1nc(C2CC2)c[nH]1)c1ccc([N+](=O)[O-])cc1F ZINC000359377332 381383179 /nfs/dbraw/zinc/38/31/79/381383179.db2.gz AGGWXZODKSFCQI-UHFFFAOYSA-N 0 0 290.254 2.587 20 5 CFBDRN Cn1cc(CCOc2c(Cl)cccc2[N+](=O)[O-])cn1 ZINC000347863042 381387602 /nfs/dbraw/zinc/38/76/02/381387602.db2.gz OGYMAEURMVAYQA-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN Cc1cnc([C@@H](C)CNc2ccc([N+](=O)[O-])nc2)s1 ZINC000347879181 381396783 /nfs/dbraw/zinc/39/67/83/381396783.db2.gz MWGZRMFAVOUNBO-QMMMGPOBSA-N 0 0 278.337 2.970 20 5 CFBDRN COc1cccc(COC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000296484564 381416693 /nfs/dbraw/zinc/41/66/93/381416693.db2.gz ILJRKMIFWLJFQX-UHFFFAOYSA-N 0 0 281.308 2.574 20 5 CFBDRN CCC(=O)c1ccc(Nc2c[nH]nc2C)c([N+](=O)[O-])c1 ZINC000359460046 381420833 /nfs/dbraw/zinc/42/08/33/381420833.db2.gz QPAFWAIESNDRTM-UHFFFAOYSA-N 0 0 274.280 2.963 20 5 CFBDRN CCCSCC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347947897 381428833 /nfs/dbraw/zinc/42/88/33/381428833.db2.gz FUSOBGWVJXZYSH-UHFFFAOYSA-N 0 0 272.301 2.816 20 5 CFBDRN Cc1ccc(C)c(CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000288931804 197195135 /nfs/dbraw/zinc/19/51/35/197195135.db2.gz VYAMUSRVPUABQH-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347975179 381439654 /nfs/dbraw/zinc/43/96/54/381439654.db2.gz HKBUTXBGIMVDML-RYUDHWBXSA-N 0 0 266.272 2.965 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@H]1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000347985693 381444353 /nfs/dbraw/zinc/44/43/53/381444353.db2.gz MLLVBERPAPAYOT-OTYXRUKQSA-N 0 0 285.303 2.586 20 5 CFBDRN CSC[C@H](C)NC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296555335 381446335 /nfs/dbraw/zinc/44/63/35/381446335.db2.gz WKHMSCJMEHDASU-UWVGGRQHSA-N 0 0 297.380 2.707 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)Cc1nccn1C ZINC000348289299 381481463 /nfs/dbraw/zinc/48/14/63/381481463.db2.gz VECLZAKWMJXFTQ-NSHDSACASA-N 0 0 274.324 2.521 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)Cc1nccn1C ZINC000348289298 381481527 /nfs/dbraw/zinc/48/15/27/381481527.db2.gz VECLZAKWMJXFTQ-LLVKDONJSA-N 0 0 274.324 2.521 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCCc1nc(C)no1 ZINC000290497689 381452824 /nfs/dbraw/zinc/45/28/24/381452824.db2.gz DBQVFNMSRVFVMM-UHFFFAOYSA-N 0 0 290.323 2.893 20 5 CFBDRN CC[C@H](C)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000359547559 381462313 /nfs/dbraw/zinc/46/23/13/381462313.db2.gz PPKWSZGDAWXBJE-JTQLQIEISA-N 0 0 279.340 2.843 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000354818141 381468011 /nfs/dbraw/zinc/46/80/11/381468011.db2.gz YVGZLHHHMUZFBO-GXSJLCMTSA-N 0 0 285.303 2.550 20 5 CFBDRN COc1c(C(=O)Nc2ccccc2O)cccc1[N+](=O)[O-] ZINC000354819509 381470285 /nfs/dbraw/zinc/47/02/85/381470285.db2.gz OHCTUBVBEOUMKW-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN CCOC(=O)CC[C@H](C)Nc1c(F)cccc1[N+](=O)[O-] ZINC000342731414 381505682 /nfs/dbraw/zinc/50/56/82/381505682.db2.gz URWFOJGVKFVNAL-VIFPVBQESA-N 0 0 284.287 2.878 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1nccn1C(F)F ZINC000185013541 381512707 /nfs/dbraw/zinc/51/27/07/381512707.db2.gz GJGMDELLNHLZLQ-UHFFFAOYSA-N 0 0 287.197 2.905 20 5 CFBDRN CCc1nnc(CNc2cc(OC)ccc2[N+](=O)[O-])s1 ZINC000290660782 381514226 /nfs/dbraw/zinc/51/42/26/381514226.db2.gz JMLCXQJRGUTFJY-UHFFFAOYSA-N 0 0 294.336 2.629 20 5 CFBDRN COCCCCNc1cc([N+](=O)[O-])c(C)cc1F ZINC000296714273 381516195 /nfs/dbraw/zinc/51/61/95/381516195.db2.gz IJODIURUYRWHSR-UHFFFAOYSA-N 0 0 256.277 2.881 20 5 CFBDRN CCOC(=O)CCCCOc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359625212 381517487 /nfs/dbraw/zinc/51/74/87/381517487.db2.gz LKYGVDKQWZHJTM-UHFFFAOYSA-N 0 0 296.323 2.719 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000412882672 381486081 /nfs/dbraw/zinc/48/60/81/381486081.db2.gz OITYIHDZHLPDHV-UHFFFAOYSA-N 0 0 299.330 2.697 20 5 CFBDRN COc1ccc(COc2cccc(C)n2)cc1[N+](=O)[O-] ZINC000354964298 381539887 /nfs/dbraw/zinc/53/98/87/381539887.db2.gz PNHFUYKGPJRJMD-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN COC(=O)[C@]1(C)CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000413593638 381548873 /nfs/dbraw/zinc/54/88/73/381548873.db2.gz NYYWDLRYDPFJKS-OAHLLOKOSA-N 0 0 292.335 2.683 20 5 CFBDRN CC(C)CC(C)(C)CNc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290783023 381550044 /nfs/dbraw/zinc/55/00/44/381550044.db2.gz NFEAKJIIDGVVRK-UHFFFAOYSA-N 0 0 294.355 2.573 20 5 CFBDRN Cc1cccc(CN(C)c2nccc(C)c2[N+](=O)[O-])n1 ZINC000348353150 381526782 /nfs/dbraw/zinc/52/67/82/381526782.db2.gz PJABKIZDOXHHGU-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN CC1(C)C(NC(=O)c2ccc([N+](=O)[O-])cc2F)C1(C)C ZINC000359637697 381527079 /nfs/dbraw/zinc/52/70/79/381527079.db2.gz QXNYVDGVTPCLPL-UHFFFAOYSA-N 0 0 280.299 2.898 20 5 CFBDRN Cn1c2ccccc2nc1NCCc1cccc([N+](=O)[O-])c1 ZINC000342771758 381529221 /nfs/dbraw/zinc/52/92/21/381529221.db2.gz AFGULTFUCYMARW-UHFFFAOYSA-N 0 0 296.330 2.558 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1NC[C@@H](C)SC ZINC000342774222 381531205 /nfs/dbraw/zinc/53/12/05/381531205.db2.gz FGLGEUWIVAKQIZ-SECBINFHSA-N 0 0 298.364 2.853 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2cccnc2)c(F)c1 ZINC000413030281 381534119 /nfs/dbraw/zinc/53/41/19/381534119.db2.gz GNYWGLZJJFMSGI-CYBMUJFWSA-N 0 0 288.282 2.865 20 5 CFBDRN C[C@@H]1CCN(c2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000413108773 381535291 /nfs/dbraw/zinc/53/52/91/381535291.db2.gz MAVYTRQUCQHPMN-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN CC(C)CC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000161806171 322357329 /nfs/dbraw/zinc/35/73/29/322357329.db2.gz XONNYOCAPRAZMH-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC[C@H](CC2CC2)C1 ZINC000359724552 381580372 /nfs/dbraw/zinc/58/03/72/381580372.db2.gz FBPHJUGPUAWPAL-CQSZACIVSA-N 0 0 288.347 2.786 20 5 CFBDRN CC(=O)c1cc(NCC[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000342845168 381580674 /nfs/dbraw/zinc/58/06/74/381580674.db2.gz AZTVTELJUTUWOW-LLVKDONJSA-N 0 0 278.308 2.636 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2C[C@@H](C3CC3)O[C@@H](C)C2)n1 ZINC000359726810 381581974 /nfs/dbraw/zinc/58/19/74/381581974.db2.gz XVXNYCBVIPLBIX-AAEUAGOBSA-N 0 0 291.351 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCc3nc[nH]c3C2)c(Cl)c1 ZINC000371282651 381582578 /nfs/dbraw/zinc/58/25/78/381582578.db2.gz ZOWIZYLAZQOJLU-MRVPVSSYSA-N 0 0 292.726 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](n3cc(Cl)cn3)C2)cc1 ZINC000290804708 381556438 /nfs/dbraw/zinc/55/64/38/381556438.db2.gz PPCKZIAMGAOAOG-ZDUSSCGKSA-N 0 0 292.726 2.896 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC[C@@H](CC2CC2)C1 ZINC000359695170 381562529 /nfs/dbraw/zinc/56/25/29/381562529.db2.gz NNVUPRDOKVOXPR-ZDUSSCGKSA-N 0 0 288.347 2.786 20 5 CFBDRN CC(=O)c1cc(NCCOC(C)C)ccc1[N+](=O)[O-] ZINC000342827920 381572055 /nfs/dbraw/zinc/57/20/55/381572055.db2.gz BQXOMCUPNWKOGS-UHFFFAOYSA-N 0 0 266.297 2.634 20 5 CFBDRN C[C@H]1C[C@@H](CO)CCN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000289134165 197243223 /nfs/dbraw/zinc/24/32/23/197243223.db2.gz YASWFVQGXONHPX-QWRGUYRKSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(NCC12CCC(CC1)C2)c1ccccc1[N+](=O)[O-] ZINC000371323096 381591117 /nfs/dbraw/zinc/59/11/17/381591117.db2.gz KVWSYEYVUZSVSM-UHFFFAOYSA-N 0 0 274.320 2.905 20 5 CFBDRN COC[C@H](C)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000290978186 381617081 /nfs/dbraw/zinc/61/70/81/381617081.db2.gz PRUGUYHQEQUTGQ-MRVPVSSYSA-N 0 0 256.277 2.737 20 5 CFBDRN Cc1c(CC(=O)N2CCCC3(CC3)C2)cccc1[N+](=O)[O-] ZINC000185391764 381621370 /nfs/dbraw/zinc/62/13/70/381621370.db2.gz GESBDJDISSWOIY-UHFFFAOYSA-N 0 0 288.347 2.848 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)c1nc(C)cs1 ZINC000355137076 381637436 /nfs/dbraw/zinc/63/74/36/381637436.db2.gz QMVGDDYBDZFEQB-VIFPVBQESA-N 0 0 294.336 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCOCC2CC2)c1 ZINC000185466903 381641927 /nfs/dbraw/zinc/64/19/27/381641927.db2.gz AQAVERVBRCUZMG-UHFFFAOYSA-N 0 0 251.282 2.709 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC[C@@H](C)C2)cc([N+](=O)[O-])c1C ZINC000359858436 381645506 /nfs/dbraw/zinc/64/55/06/381645506.db2.gz PUYUKNZROUHXNA-SKDRFNHKSA-N 0 0 292.335 2.830 20 5 CFBDRN COc1cc(Oc2ncnc3[nH]ccc32)ccc1[N+](=O)[O-] ZINC000359888139 381660367 /nfs/dbraw/zinc/66/03/67/381660367.db2.gz SFCMLGANHCBKKO-UHFFFAOYSA-N 0 0 286.247 2.667 20 5 CFBDRN COC(=O)[C@H]1CCCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000371576357 381660747 /nfs/dbraw/zinc/66/07/47/381660747.db2.gz WDRFSDOFFYLGTM-LLVKDONJSA-N 0 0 298.364 2.574 20 5 CFBDRN Cc1ccc(N[C@@H]2CCC[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000298521060 381660865 /nfs/dbraw/zinc/66/08/65/381660865.db2.gz IIXBNQMONYKTOR-VXGBXAGGSA-N 0 0 264.325 2.866 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCO[C@@H](C)C1 ZINC000348669678 381660860 /nfs/dbraw/zinc/66/08/60/381660860.db2.gz HGBZRBDIQCFABJ-FSBNRTBOSA-N 0 0 276.336 2.719 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2cccc([N+](=O)[O-])c2)CCC1(F)F ZINC000291086757 381661505 /nfs/dbraw/zinc/66/15/05/381661505.db2.gz ZYFDYDRGJDTGDU-JTQLQIEISA-N 0 0 298.289 2.641 20 5 CFBDRN CC[C@H]1C[N@H+](Cc2ccc(C(C)C)c([N+](=O)[O-])c2)C[C@@H]1O ZINC000355193807 381667935 /nfs/dbraw/zinc/66/79/35/381667935.db2.gz FIQMGLSOIOLJMF-BBRMVZONSA-N 0 0 292.379 2.921 20 5 CFBDRN O=C(NC[C@@H](c1ccccc1)C1CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000348691397 381669774 /nfs/dbraw/zinc/66/97/74/381669774.db2.gz CNVLHAHPSWRRRO-AWEZNQCLSA-N 0 0 299.330 2.847 20 5 CFBDRN Cc1nnc(CCNc2c(C)cccc2[N+](=O)[O-])s1 ZINC000291213694 381709970 /nfs/dbraw/zinc/70/99/70/381709970.db2.gz VJNGIVOZGAMESB-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN CC1(C)CN(C(=O)Cc2ccccc2[N+](=O)[O-])[C@H]1C1CC1 ZINC000291123845 381675324 /nfs/dbraw/zinc/67/53/24/381675324.db2.gz NOSCRLCGAATCSY-HNNXBMFYSA-N 0 0 288.347 2.784 20 5 CFBDRN COc1cccc(N2CC[C@@H]3OCCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000185593321 381675250 /nfs/dbraw/zinc/67/52/50/381675250.db2.gz BYUUZBOLKZHHNB-YPMHNXCESA-N 0 0 292.335 2.609 20 5 CFBDRN CC/C=C(\C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000291146361 381682919 /nfs/dbraw/zinc/68/29/19/381682919.db2.gz YXQMUYBCTIXVMS-RMKNXTFCSA-N 0 0 253.302 2.871 20 5 CFBDRN COC(=O)c1cnc(NC[C@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000359931591 381685376 /nfs/dbraw/zinc/68/53/76/381685376.db2.gz LNXXCCYCAOVBDK-VHSXEESVSA-N 0 0 293.323 2.625 20 5 CFBDRN Cc1nn(CCOCC2CC2)c2ccc([N+](=O)[O-])cc12 ZINC000359932646 381687719 /nfs/dbraw/zinc/68/77/19/381687719.db2.gz OKUBDCJRKRVUMP-UHFFFAOYSA-N 0 0 275.308 2.680 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CCCSC2)cc1[N+](=O)[O-] ZINC000355246902 381701617 /nfs/dbraw/zinc/70/16/17/381701617.db2.gz WVDBQTLFSKPWKS-SECBINFHSA-N 0 0 296.348 2.685 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2noc(C3CC3)n2)c1 ZINC000343426068 381703495 /nfs/dbraw/zinc/70/34/95/381703495.db2.gz MGIKFTZZRYYLQD-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN COc1cccc(N(C)C[C@H]2CCCC[C@@H]2O)c1[N+](=O)[O-] ZINC000185683183 381704779 /nfs/dbraw/zinc/70/47/79/381704779.db2.gz YUZWDLCEHSQNDD-YPMHNXCESA-N 0 0 294.351 2.591 20 5 CFBDRN C[C@@H]1CCC[C@H](c2ccccc2)N1C(=O)[C@H]1C[C@H]1[N+](=O)[O-] ZINC000372016700 381736383 /nfs/dbraw/zinc/73/63/83/381736383.db2.gz HWRVGAYQTNHERK-FAAHXZRKSA-N 0 0 288.347 2.794 20 5 CFBDRN CCN(Cc1ccncc1)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000298752070 381737741 /nfs/dbraw/zinc/73/77/41/381737741.db2.gz DGJSKSKEYHTHSE-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN COc1cccc(NCC[N@@H+]2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000355312162 381744574 /nfs/dbraw/zinc/74/45/74/381744574.db2.gz JJPSQWIJEDSJGI-GFCCVEGCSA-N 0 0 293.367 2.890 20 5 CFBDRN Cc1cc(N(C)[C@H](CO)CC(C)C)c(F)cc1[N+](=O)[O-] ZINC000360052298 381752293 /nfs/dbraw/zinc/75/22/93/381752293.db2.gz PKTPJFHRLFBCLI-NSHDSACASA-N 0 0 284.331 2.886 20 5 CFBDRN CCN(CC(F)F)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000185843000 381753387 /nfs/dbraw/zinc/75/33/87/381753387.db2.gz QHLMUSKSNIYTCY-UHFFFAOYSA-N 0 0 286.278 2.559 20 5 CFBDRN COCC1=CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000185856563 381757056 /nfs/dbraw/zinc/75/70/56/381757056.db2.gz YGKJABGXJDYYMF-UHFFFAOYSA-N 0 0 280.299 2.512 20 5 CFBDRN Cc1cccnc1N(C)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000348807769 381718324 /nfs/dbraw/zinc/71/83/24/381718324.db2.gz YFYFHORAKCHXDG-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1Cc2ccccc2C1 ZINC000185743598 381720996 /nfs/dbraw/zinc/72/09/96/381720996.db2.gz VVDXBFHZAOYSJS-UHFFFAOYSA-N 0 0 296.326 2.800 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](C3CC3)C2)c2ncccc12 ZINC000372268716 381783203 /nfs/dbraw/zinc/78/32/03/381783203.db2.gz RRSRPMJDEHLQMH-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CC3(O)CCCC3)c2)c1 ZINC000349005001 381787996 /nfs/dbraw/zinc/78/79/96/381787996.db2.gz LYMPZJMMEYAQAJ-UHFFFAOYSA-N 0 0 287.319 2.763 20 5 CFBDRN CO[C@@H]1CCCN(c2c3ccccc3ncc2[N+](=O)[O-])C1 ZINC000292091016 381789072 /nfs/dbraw/zinc/78/90/72/381789072.db2.gz YPZVMNDHPKSPPT-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])NCC1CCCC1 ZINC000298838313 381760969 /nfs/dbraw/zinc/76/09/69/381760969.db2.gz DHFOKLFYPCMQET-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CN(CC1CCCCC1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298835244 381761909 /nfs/dbraw/zinc/76/19/09/381761909.db2.gz BLLIVHQVRDNMJZ-UHFFFAOYSA-N 0 0 265.313 2.575 20 5 CFBDRN CCCNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] ZINC000355412011 381767535 /nfs/dbraw/zinc/76/75/35/381767535.db2.gz XEDBICNTIVRKFE-UHFFFAOYSA-N 0 0 285.303 2.797 20 5 CFBDRN O=C(NCC1(c2ccccc2)CCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000298861805 381768251 /nfs/dbraw/zinc/76/82/51/381768251.db2.gz SODZXUUQWCPCAF-UHFFFAOYSA-N 0 0 299.330 2.775 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298859248 381768546 /nfs/dbraw/zinc/76/85/46/381768546.db2.gz DVFDWTVIMPEOEJ-KOLCDFICSA-N 0 0 265.313 2.574 20 5 CFBDRN CC/C=C(\C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000298877815 381773922 /nfs/dbraw/zinc/77/39/22/381773922.db2.gz YPMRMVYZNPCVTD-BJMVGYQFSA-N 0 0 278.308 2.576 20 5 CFBDRN C[C@@H]1CCC[C@@H](N(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000298884821 381775315 /nfs/dbraw/zinc/77/53/15/381775315.db2.gz MFXGVSMSTSHHEP-NXEZZACHSA-N 0 0 265.313 2.574 20 5 CFBDRN COCC[C@@H](Cc1ccco1)Nc1ncccc1[N+](=O)[O-] ZINC000343616590 381844771 /nfs/dbraw/zinc/84/47/71/381844771.db2.gz ZCYCBYVYHSBPSL-NSHDSACASA-N 0 0 291.307 2.643 20 5 CFBDRN CC(C)(C)CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000299118737 381828628 /nfs/dbraw/zinc/82/86/28/381828628.db2.gz YTKHXTMTWGKNSI-UHFFFAOYSA-N 0 0 275.308 2.852 20 5 CFBDRN CSc1cccc(C(=O)NCC2CCC2)c1[N+](=O)[O-] ZINC000186134498 381831438 /nfs/dbraw/zinc/83/14/38/381831438.db2.gz VBJHOAVVQFEXMK-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN Cc1nc(NC[C@@]2(O)CCCC2(C)C)ccc1[N+](=O)[O-] ZINC000292802997 381842084 /nfs/dbraw/zinc/84/20/84/381842084.db2.gz NLYKUVGIYFLRSX-AWEZNQCLSA-N 0 0 279.340 2.651 20 5 CFBDRN O=C(Nc1nc(C2CC2)cs1)c1ccc([N+](=O)[O-])[nH]1 ZINC000299147211 381843217 /nfs/dbraw/zinc/84/32/17/381843217.db2.gz WPRVLLUTSLXZQM-UHFFFAOYSA-N 0 0 278.293 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC1(C)C ZINC000299066926 381812218 /nfs/dbraw/zinc/81/22/18/381812218.db2.gz MPFHFGUODYUZAA-NSHDSACASA-N 0 0 263.297 2.823 20 5 CFBDRN CC(C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000299268190 381876509 /nfs/dbraw/zinc/87/65/09/381876509.db2.gz IPDLNDOVGZRKOV-CYBMUJFWSA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1cccc(N[C@H]2CCO[C@]3(CCOC3)C2)c1[N+](=O)[O-] ZINC000372875324 381883447 /nfs/dbraw/zinc/88/34/47/381883447.db2.gz ARLCGZNZPPEMLK-SWLSCSKDSA-N 0 0 292.335 2.653 20 5 CFBDRN CN(Cc1ncc[nH]1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000349213201 381850048 /nfs/dbraw/zinc/85/00/48/381850048.db2.gz JQTJTXNOQBSJMM-UHFFFAOYSA-N 0 0 266.688 2.608 20 5 CFBDRN C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccon1 ZINC000349237088 381856940 /nfs/dbraw/zinc/85/69/40/381856940.db2.gz IDXATWAEKJGERJ-UWVGGRQHSA-N 0 0 261.281 2.995 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H]1CCn2ccnc2C1 ZINC000349238646 381858594 /nfs/dbraw/zinc/85/85/94/381858594.db2.gz DAKNQIVWWJXDAX-SNVBAGLBSA-N 0 0 292.726 2.872 20 5 CFBDRN CN(CC(F)F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000349241589 381860644 /nfs/dbraw/zinc/86/06/44/381860644.db2.gz KXUOHHCUTZUWRV-UHFFFAOYSA-N 0 0 250.632 2.950 20 5 CFBDRN C[C@H](NCc1c(F)cccc1[N+](=O)[O-])c1ccon1 ZINC000349252311 381867503 /nfs/dbraw/zinc/86/75/03/381867503.db2.gz SUJYDMZBDHECBS-QMMMGPOBSA-N 0 0 265.244 2.573 20 5 CFBDRN CC[C@@H](OC)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000299340714 381902261 /nfs/dbraw/zinc/90/22/61/381902261.db2.gz KXEQSWUIHYWCBG-SNVBAGLBSA-N 0 0 272.688 2.612 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CC12CC2 ZINC000416726765 381907013 /nfs/dbraw/zinc/90/70/13/381907013.db2.gz KIZASRFFLLRLKU-VIFPVBQESA-N 0 0 291.307 2.525 20 5 CFBDRN CCn1cnc2c1ncnc2Oc1cccc([N+](=O)[O-])c1 ZINC000356962463 381928781 /nfs/dbraw/zinc/92/87/81/381928781.db2.gz UFTCVDABLYHCDC-UHFFFAOYSA-N 0 0 285.263 2.547 20 5 CFBDRN Cc1noc(C)c1C(=O)COc1cccc([N+](=O)[O-])c1C ZINC000186592801 381958312 /nfs/dbraw/zinc/95/83/12/381958312.db2.gz WPUBEOPWMYOVSO-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@@H]2C(F)F)c([N+](=O)[O-])c1 ZINC000343816816 381960814 /nfs/dbraw/zinc/96/08/14/381960814.db2.gz UHAKXUYTCGJXES-WCBMZHEXSA-N 0 0 270.235 2.863 20 5 CFBDRN C[C@H](N[C@@H](CCO)c1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000186515630 381934382 /nfs/dbraw/zinc/93/43/82/381934382.db2.gz NWMAZZUEQMUZOW-FZMZJTMJSA-N 0 0 290.319 2.962 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/C[NH2+][C@@H]1CCO[C@@H]1C1CC1 ZINC000373176070 381941073 /nfs/dbraw/zinc/94/10/73/381941073.db2.gz JTBQVDRWKDWYRG-CEXNOZIFSA-N 0 0 288.347 2.765 20 5 CFBDRN COc1cc(CN[C@H](C)c2ccon2)c([N+](=O)[O-])cc1F ZINC000362175597 381945270 /nfs/dbraw/zinc/94/52/70/381945270.db2.gz FJXNDHGFGJWMRD-MRVPVSSYSA-N 0 0 295.270 2.581 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CSCC(=O)OC(C)C ZINC000362342213 382016336 /nfs/dbraw/zinc/01/63/36/382016336.db2.gz ZWOHXHITQLTBCN-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)nc(C)n1 ZINC000350387146 382011861 /nfs/dbraw/zinc/01/18/61/382011861.db2.gz DCHYXLCYPWMAFR-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN CCCCN(CCCC)C(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000299563037 381984858 /nfs/dbraw/zinc/98/48/58/381984858.db2.gz UDWMJOUWBCLGBP-UHFFFAOYSA-N 0 0 282.344 2.669 20 5 CFBDRN CSc1cc(C)ccc1NCc1c([N+](=O)[O-])ncn1C ZINC000417053768 381990004 /nfs/dbraw/zinc/99/00/04/381990004.db2.gz GZJIJMSEVVSGPP-UHFFFAOYSA-N 0 0 292.364 2.971 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)C(C)C ZINC000299579792 381991242 /nfs/dbraw/zinc/99/12/42/381991242.db2.gz DEWGSMZSJSMNRW-JTQLQIEISA-N 0 0 250.298 2.678 20 5 CFBDRN CCC1CCC(N(C)C(=O)c2[nH]nc(C)c2[N+](=O)[O-])CC1 ZINC000299578729 381991440 /nfs/dbraw/zinc/99/14/40/381991440.db2.gz XFPJMUZWFULNSP-UHFFFAOYSA-N 0 0 294.355 2.667 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000343869071 381992336 /nfs/dbraw/zinc/99/23/36/381992336.db2.gz PEWRAYJEOJGZRQ-CYBMUJFWSA-N 0 0 288.347 2.952 20 5 CFBDRN CCN(C[C@H]1CCCO1)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000299584904 381992889 /nfs/dbraw/zinc/99/28/89/381992889.db2.gz FAYDZCRTLTXMGV-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC=C(c3ccncc3)C2)nc1 ZINC000343985759 382049669 /nfs/dbraw/zinc/04/96/69/382049669.db2.gz MFRXADQEWMEHBK-UHFFFAOYSA-N 0 0 282.303 2.679 20 5 CFBDRN CCOc1cc(N[C@H](C)c2nnc(C)[nH]2)ccc1[N+](=O)[O-] ZINC000343918137 382019483 /nfs/dbraw/zinc/01/94/83/382019483.db2.gz QLCJZOCAXGDQSH-MRVPVSSYSA-N 0 0 291.311 2.593 20 5 CFBDRN CCc1noc(-c2ccc([N+](=O)[O-])c(OC(C)C)c2)n1 ZINC000417237542 382022435 /nfs/dbraw/zinc/02/24/35/382022435.db2.gz JQZDUFCSIKMOOX-UHFFFAOYSA-N 0 0 277.280 2.994 20 5 CFBDRN Cc1ccc(N[C@H]2CCO[C@@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000362361865 382025999 /nfs/dbraw/zinc/02/59/99/382025999.db2.gz SCFXMEDOTILXBZ-WFASDCNBSA-N 0 0 292.335 2.653 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)c1cccs1 ZINC000343938513 382028356 /nfs/dbraw/zinc/02/83/56/382028356.db2.gz FQHNWKFNQBSKLD-MRVPVSSYSA-N 0 0 279.321 2.866 20 5 CFBDRN O=[N+]([O-])c1c(N2CCC[C@H]2C(F)F)nc2sccn21 ZINC000343941889 382030081 /nfs/dbraw/zinc/03/00/81/382030081.db2.gz ITBUPMSCHYRESB-LURJTMIESA-N 0 0 288.279 2.538 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H]2C)c1 ZINC000343944177 382031649 /nfs/dbraw/zinc/03/16/49/382031649.db2.gz CKXPUAKNMSBQMD-BXKDBHETSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@H]2C2CC2)nc2sccn21 ZINC000362373546 382034153 /nfs/dbraw/zinc/03/41/53/382034153.db2.gz MMMIHVYVNFHVRQ-JGVFFNPUSA-N 0 0 264.310 2.514 20 5 CFBDRN C[S@@](=O)C1(CNc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000293228027 382037437 /nfs/dbraw/zinc/03/74/37/382037437.db2.gz VQXBHBTYPWZBSH-GOSISDBHSA-N 0 0 288.756 2.571 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000343962983 382038733 /nfs/dbraw/zinc/03/87/33/382038733.db2.gz PMJKEVHIABIFPW-ZANVPECISA-N 0 0 294.326 2.926 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1ccc([N+](=O)[O-])cc1F ZINC000301221457 382109234 /nfs/dbraw/zinc/10/92/34/382109234.db2.gz BZPZHPWBOGSVBO-ZANVPECISA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1cccc(F)c1[N+](=O)[O-] ZINC000301244551 382119079 /nfs/dbraw/zinc/11/90/79/382119079.db2.gz POPICIQRBGRLQS-TVQRCGJNSA-N 0 0 270.304 2.943 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCOc1cccnc1 ZINC000301251251 382122381 /nfs/dbraw/zinc/12/23/81/382122381.db2.gz DDCYNXQHJDXGOC-UHFFFAOYSA-N 0 0 277.255 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(-c2ccon2)no1 ZINC000357345643 382123045 /nfs/dbraw/zinc/12/30/45/382123045.db2.gz YXKPTBNSDHSESD-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CCCN1CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000301062162 382070744 /nfs/dbraw/zinc/07/07/44/382070744.db2.gz SXYNUCLEEHHEIZ-UHFFFAOYSA-N 0 0 283.759 2.780 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)Nc2cccc([N+](=O)[O-])c2C)O1 ZINC000362463011 382082662 /nfs/dbraw/zinc/08/26/62/382082662.db2.gz CZCVHTXXPBPSBT-GXFFZTMASA-N 0 0 278.308 2.799 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000301108470 382082848 /nfs/dbraw/zinc/08/28/48/382082848.db2.gz VEZFFWUIMQYSHA-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1Oc1ncnc2n[nH]cc21 ZINC000301122769 382085415 /nfs/dbraw/zinc/08/54/15/382085415.db2.gz OHMZFCYMAYKVSC-UHFFFAOYSA-N 0 0 291.654 2.707 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCOC(C)C ZINC000357283018 382088947 /nfs/dbraw/zinc/08/89/47/382088947.db2.gz LNQMYTXMJYAYMP-UHFFFAOYSA-N 0 0 252.314 2.994 20 5 CFBDRN Cc1cn2c(n1)CC[C@H](Nc1ccc([N+](=O)[O-])cc1F)C2 ZINC000301134956 382088959 /nfs/dbraw/zinc/08/89/59/382088959.db2.gz YKISGLPRTPSYLU-JTQLQIEISA-N 0 0 290.298 2.666 20 5 CFBDRN Nc1nc(N[C@H](c2ccccc2)C2CCC2)ncc1[N+](=O)[O-] ZINC000301164575 382096335 /nfs/dbraw/zinc/09/63/35/382096335.db2.gz CGCWJGMUNSBORB-CYBMUJFWSA-N 0 0 299.334 2.920 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](n3cccn3)C2)c(F)c1 ZINC000301177448 382097537 /nfs/dbraw/zinc/09/75/37/382097537.db2.gz LXZJEFKUGINUBC-GFCCVEGCSA-N 0 0 290.298 2.772 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000187405794 382174074 /nfs/dbraw/zinc/17/40/74/382174074.db2.gz IKGRNDJQXAJWNN-BXUZGUMPSA-N 0 0 292.335 2.621 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@@H]3CCCC[C@H]3C2)nc2sccn21 ZINC000301555102 382175017 /nfs/dbraw/zinc/17/50/17/382175017.db2.gz NDLDVOQBQBTHLO-UWVGGRQHSA-N 0 0 292.364 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccco3)C2)cc1 ZINC000301569075 382179206 /nfs/dbraw/zinc/17/92/06/382179206.db2.gz NGQLFUNQVQSABA-AWEZNQCLSA-N 0 0 274.276 2.766 20 5 CFBDRN CCCCOCCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344176491 382181366 /nfs/dbraw/zinc/18/13/66/382181366.db2.gz HJZBWZXPRVBPHJ-UHFFFAOYSA-N 0 0 298.314 2.671 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2C=CCCC2)nc2sccn21 ZINC000301633197 382182233 /nfs/dbraw/zinc/18/22/33/382182233.db2.gz VATIOSXOZYMHRG-QMMMGPOBSA-N 0 0 264.310 2.825 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)N2C[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000293466153 382132870 /nfs/dbraw/zinc/13/28/70/382132870.db2.gz QODSMLWZRNTKEB-WCQGTBRESA-N 0 0 291.351 2.570 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N1CCCC1 ZINC000301295285 382139959 /nfs/dbraw/zinc/13/99/59/382139959.db2.gz RIYNFKUNMYWGSR-UHFFFAOYSA-N 0 0 282.271 2.511 20 5 CFBDRN Cc1ccc(CCNc2ncccc2[N+](=O)[O-])cc1 ZINC000301314451 382145113 /nfs/dbraw/zinc/14/51/13/382145113.db2.gz LJJBTNJGSUXINB-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cc1c(Nc2ccc(F)cc2[N+](=O)[O-])cnn1C ZINC000362561722 382145722 /nfs/dbraw/zinc/14/57/22/382145722.db2.gz ULBLPSBDCYVPBS-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN Cc1nc(NCCc2ccccc2[N+](=O)[O-])sc1C ZINC000362560239 382146086 /nfs/dbraw/zinc/14/60/86/382146086.db2.gz GOBQXXRMICGSAH-UHFFFAOYSA-N 0 0 277.349 2.745 20 5 CFBDRN C[C@@]1(CO)CCC[C@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000301346042 382154964 /nfs/dbraw/zinc/15/49/64/382154964.db2.gz IAEGWHWMWJFQDP-OLZOCXBDSA-N 0 0 250.298 2.558 20 5 CFBDRN CN(c1ccc(F)cc1[N+](=O)[O-])[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000301379813 382162663 /nfs/dbraw/zinc/16/26/63/382162663.db2.gz XYSJQTAZXHFBNR-ZLKJLUDKSA-N 0 0 294.326 2.984 20 5 CFBDRN Cc1cc(N(C)Cc2ccsc2)ncc1[N+](=O)[O-] ZINC000301411318 382170365 /nfs/dbraw/zinc/17/03/65/382170365.db2.gz BFNBXOPHASVQTB-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000187395409 382172089 /nfs/dbraw/zinc/17/20/89/382172089.db2.gz HSVGCVLLUVDFAK-WCQYABFASA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2CCC(C)(C)O2)cccc1[N+](=O)[O-] ZINC000187393250 382172397 /nfs/dbraw/zinc/17/23/97/382172397.db2.gz FJLSVRPGJUGBCC-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@@H](C)O1 ZINC000301811261 382194784 /nfs/dbraw/zinc/19/47/84/382194784.db2.gz XZRXKPMGGYJVKC-SCZZXKLOSA-N 0 0 286.278 2.877 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCc2cscn2)n1 ZINC000418943208 382198171 /nfs/dbraw/zinc/19/81/71/382198171.db2.gz ZCWJBGIEBSKYMM-UHFFFAOYSA-N 0 0 279.321 2.685 20 5 CFBDRN C[C@H](CNC(=O)c1cc([N+](=O)[O-])c[nH]1)Cc1cccs1 ZINC000357512064 382201604 /nfs/dbraw/zinc/20/16/04/382201604.db2.gz LQOORPZUIJUMDL-VIFPVBQESA-N 0 0 293.348 2.593 20 5 CFBDRN Cc1cn(Cc2ccc(C(C)C)nc2C)nc1[N+](=O)[O-] ZINC000418969622 382202988 /nfs/dbraw/zinc/20/29/88/382202988.db2.gz GQRHIXXKPPIARB-UHFFFAOYSA-N 0 0 274.324 2.975 20 5 CFBDRN CN(C(=O)c1ccc(C2CC2)cn1)c1ccc([N+](=O)[O-])nc1 ZINC000344217580 382205567 /nfs/dbraw/zinc/20/55/67/382205567.db2.gz WNGAOABPBFTICX-UHFFFAOYSA-N 0 0 298.302 2.539 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CC23CC3)c(Cl)c1 ZINC000418994393 382210924 /nfs/dbraw/zinc/21/09/24/382210924.db2.gz QHAGOZINQWEJKX-SSDOTTSWSA-N 0 0 253.689 2.855 20 5 CFBDRN CN(Cc1ccco1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344229939 382215716 /nfs/dbraw/zinc/21/57/16/382215716.db2.gz IOIRPSSWTUVBLL-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN C[C@H](CCC1CC1)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000302220638 382217502 /nfs/dbraw/zinc/21/75/02/382217502.db2.gz NAXLKDCAWUMOJT-SECBINFHSA-N 0 0 275.312 2.628 20 5 CFBDRN C[C@H](C(=O)N1C[C@@H](C)[C@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000357546785 382218510 /nfs/dbraw/zinc/21/85/10/382218510.db2.gz PXUOQBJXAHJTHN-KXUCPTDWSA-N 0 0 280.299 2.704 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CO)CC2CCCCC2)s1 ZINC000419021633 382219232 /nfs/dbraw/zinc/21/92/32/382219232.db2.gz YLQHHDZCRUYOLW-SNVBAGLBSA-N 0 0 285.369 2.795 20 5 CFBDRN CCC(CC)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344236879 382222780 /nfs/dbraw/zinc/22/27/80/382222780.db2.gz KPAGYLLASPTHHO-UHFFFAOYSA-N 0 0 254.261 2.652 20 5 CFBDRN Cc1cc(C)cc([C@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)c1 ZINC000302231074 382223303 /nfs/dbraw/zinc/22/33/03/382223303.db2.gz RXBJJAKTJBLFES-ZDUSSCGKSA-N 0 0 298.346 2.996 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1Cn1cnc(C2CC2)n1 ZINC000419045317 382224904 /nfs/dbraw/zinc/22/49/04/382224904.db2.gz NOSMSWWOTJEAOH-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC2(C1)CCCOC2 ZINC000377140698 382225415 /nfs/dbraw/zinc/22/54/15/382225415.db2.gz LWIVERYDRVJVFA-UHFFFAOYSA-N 0 0 282.727 2.865 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000187451952 382186236 /nfs/dbraw/zinc/18/62/36/382186236.db2.gz OSXWDZSRINHOQU-SMDDNHRTSA-N 0 0 291.351 2.925 20 5 CFBDRN CC(C)[C@H]1CN(C)CCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000301762767 382191170 /nfs/dbraw/zinc/19/11/70/382191170.db2.gz CDINHQFFNOSZBI-CYBMUJFWSA-N 0 0 299.321 2.649 20 5 CFBDRN Cc1nc(NCCNc2ccccc2[N+](=O)[O-])sc1C ZINC000357690622 382280682 /nfs/dbraw/zinc/28/06/82/382280682.db2.gz KQIRTWXLIXCBII-UHFFFAOYSA-N 0 0 292.364 2.614 20 5 CFBDRN CCOc1cc(N2CCO[C@](C)(CC)C2)ccc1[N+](=O)[O-] ZINC000302641216 382282161 /nfs/dbraw/zinc/28/21/61/382282161.db2.gz IHCWJDDDWTVPCZ-OAHLLOKOSA-N 0 0 294.351 2.999 20 5 CFBDRN COc1ccc(Cl)c(Cn2cnc([N+](=O)[O-])c2)c1 ZINC000187853535 382282339 /nfs/dbraw/zinc/28/23/39/382282339.db2.gz IBNXDHFMNYSJBN-UHFFFAOYSA-N 0 0 267.672 2.502 20 5 CFBDRN Cc1ccc(-c2ccncc2)cc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000377514475 382282689 /nfs/dbraw/zinc/28/26/89/382282689.db2.gz ZNDRHBOSOYEALK-HIFRSBDPSA-N 0 0 297.314 2.661 20 5 CFBDRN CCn1ccc(CN(C)c2ncc([N+](=O)[O-])cc2C)c1 ZINC000302657649 382284945 /nfs/dbraw/zinc/28/49/45/382284945.db2.gz DJIKNECUBQBUGD-UHFFFAOYSA-N 0 0 274.324 2.756 20 5 CFBDRN COc1cccc(N(C)Cc2ccc(C)cn2)c1[N+](=O)[O-] ZINC000302669370 382286439 /nfs/dbraw/zinc/28/64/39/382286439.db2.gz KDYHZJGFRUEVTN-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN CN(Cc1cc[nH]n1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352359272 382289028 /nfs/dbraw/zinc/28/90/28/382289028.db2.gz FIAUUTRITBTRRD-UHFFFAOYSA-N 0 0 283.291 2.503 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cnc2cnccc2c1 ZINC000344264665 382239269 /nfs/dbraw/zinc/23/92/69/382239269.db2.gz RMZITTRSYRQGAQ-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN Cc1ccc(N2CCOCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000302680665 382290461 /nfs/dbraw/zinc/29/04/61/382290461.db2.gz RTQSNCZOZKWCKY-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC[C@H]1CCC2(CCCCC2)O1 ZINC000357610061 382248797 /nfs/dbraw/zinc/24/87/97/382248797.db2.gz UKKWDLVSCXVFKI-LLVKDONJSA-N 0 0 294.355 2.622 20 5 CFBDRN CN(C)c1cccnc1CNc1ccc([N+](=O)[O-])cc1 ZINC000357623919 382256118 /nfs/dbraw/zinc/25/61/18/382256118.db2.gz SRCTVBPZDQBEND-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN CC[C@@H]1CN(c2cccc(C)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000302491001 382256817 /nfs/dbraw/zinc/25/68/17/382256817.db2.gz JZNJRUMZEYWYMT-VXGBXAGGSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1cccc(N2CC[C@@H](Oc3ccncc3)C2)c1[N+](=O)[O-] ZINC000302523793 382262455 /nfs/dbraw/zinc/26/24/55/382262455.db2.gz YXARQDOTLGEDCQ-CQSZACIVSA-N 0 0 299.330 2.956 20 5 CFBDRN CC1(CNC(=O)CCCNc2ccc([N+](=O)[O-])cc2)CC1 ZINC000357639480 382262683 /nfs/dbraw/zinc/26/26/83/382262683.db2.gz XRKYMKVYMWEUMG-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H]3OCCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000302531268 382263744 /nfs/dbraw/zinc/26/37/44/382263744.db2.gz FMRFXHIYNGXPBY-OUCADQQQSA-N 0 0 262.309 2.883 20 5 CFBDRN CCc1nnc(Oc2cccc([N+](=O)[O-])c2)nc1CC ZINC000302527465 382263769 /nfs/dbraw/zinc/26/37/69/382263769.db2.gz DJSORLUZOSKOEP-UHFFFAOYSA-N 0 0 274.280 2.697 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)NOCC2CC2)cc1[N+](=O)[O-] ZINC000293757970 382266242 /nfs/dbraw/zinc/26/62/42/382266242.db2.gz LFHPCGQIIJPVCA-UHFFFAOYSA-N 0 0 292.335 2.964 20 5 CFBDRN CC1(C)C[C@@H]1CNC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000352304474 382267318 /nfs/dbraw/zinc/26/73/18/382267318.db2.gz PBTLVCZDRZHXAL-SNVBAGLBSA-N 0 0 294.376 2.849 20 5 CFBDRN COC[C@@H]1CCCC[N@H+](Cc2ccccc2[N+](=O)[O-])C1 ZINC000364122993 382267468 /nfs/dbraw/zinc/26/74/68/382267468.db2.gz DFZSNRAPXGKHKV-CYBMUJFWSA-N 0 0 278.352 2.843 20 5 CFBDRN COC[C@H]1CCCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000364127678 382268939 /nfs/dbraw/zinc/26/89/39/382268939.db2.gz OHIGYMVSNAJXEO-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)c(Cl)c1 ZINC000302568360 382270935 /nfs/dbraw/zinc/27/09/35/382270935.db2.gz PQIZCKFWDRMWAH-GDPRMGEGSA-N 0 0 283.715 2.623 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]3OCCC[C@H]23)ccc1[N+](=O)[O-] ZINC000302596898 382274299 /nfs/dbraw/zinc/27/42/99/382274299.db2.gz XRFBFRXNTBVEBW-NQBHXWOUSA-N 0 0 278.308 2.583 20 5 CFBDRN CCOc1cc(N[C@@H](C)[C@H](C)OC)ccc1[N+](=O)[O-] ZINC000302729674 382304015 /nfs/dbraw/zinc/30/40/15/382304015.db2.gz UYARQDPDMOWAEO-UWVGGRQHSA-N 0 0 268.313 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H]2c2ccco2)c(F)c1 ZINC000364321363 382305700 /nfs/dbraw/zinc/30/57/00/382305700.db2.gz KKFBPWFJCGABTF-CYBMUJFWSA-N 0 0 292.266 2.905 20 5 CFBDRN Nc1c(C(=O)N2C[C@@H]3CCCCC[C@H]32)cccc1[N+](=O)[O-] ZINC000364336364 382309920 /nfs/dbraw/zinc/30/99/20/382309920.db2.gz DEOKJSIRSPMCME-CMPLNLGQSA-N 0 0 289.335 2.582 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N(C)Cc1cnn(C)c1 ZINC000302767874 382312914 /nfs/dbraw/zinc/31/29/14/382312914.db2.gz MBGCXWFNMARHNQ-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN Cc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c[n+]1[O-] ZINC000302770437 382314810 /nfs/dbraw/zinc/31/48/10/382314810.db2.gz MUEBGUJVTXWGTF-UHFFFAOYSA-N 0 0 280.667 2.982 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@@H](CO)c2ccccc21 ZINC000293870297 382316218 /nfs/dbraw/zinc/31/62/18/382316218.db2.gz IXRGDQWOAIONNY-AWEZNQCLSA-N 0 0 298.342 2.999 20 5 CFBDRN COC(=O)c1cnc(Nc2ccc(F)cc2)c([N+](=O)[O-])c1 ZINC000302789747 382319719 /nfs/dbraw/zinc/31/97/19/382319719.db2.gz YBAFMZLUFKUNCG-UHFFFAOYSA-N 0 0 291.238 2.659 20 5 CFBDRN COc1ncccc1CCNc1ccc([N+](=O)[O-])cc1F ZINC000357785524 382320960 /nfs/dbraw/zinc/32/09/60/382320960.db2.gz RXXRZKQDKVAUAJ-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC1(C(C)C)CC1 ZINC000293913406 382334826 /nfs/dbraw/zinc/33/48/26/382334826.db2.gz LEOPXTXBDWKUNM-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@@H]1CC(Nc2c3c(ccc2[N+](=O)[O-])NCC3)C[C@@H](C)O1 ZINC000302871480 382338942 /nfs/dbraw/zinc/33/89/42/382338942.db2.gz BZCAKFZEPJPTRB-NXEZZACHSA-N 0 0 291.351 2.931 20 5 CFBDRN C[C@@H]1CCN(c2c3c(ccc2[N+](=O)[O-])NCC3)[C@H]1C ZINC000302880548 382341765 /nfs/dbraw/zinc/34/17/65/382341765.db2.gz IJXHTEZXKBCUMK-ZJUUUORDSA-N 0 0 261.325 2.798 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000293932328 382342464 /nfs/dbraw/zinc/34/24/64/382342464.db2.gz HSISOUGTASQHTR-GFCCVEGCSA-N 0 0 289.335 2.794 20 5 CFBDRN COCCN(c1cc(C)c([N+](=O)[O-])cn1)[C@@H]1CC[C@H](C)C1 ZINC000302702313 382296726 /nfs/dbraw/zinc/29/67/26/382296726.db2.gz IKGWOMBGFJTQSE-WCQYABFASA-N 0 0 293.367 2.940 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CNc2ncccn2)c1 ZINC000187916534 382296855 /nfs/dbraw/zinc/29/68/55/382296855.db2.gz QZLBMAOSWHBMLH-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN CCC(CC)[C@H](O)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000302884122 382343630 /nfs/dbraw/zinc/34/36/30/382343630.db2.gz LLVLBZQRTFPEKB-CQSZACIVSA-N 0 0 293.367 2.772 20 5 CFBDRN Cc1cc2n[nH]cc2cc1Nc1ccc([N+](=O)[O-])cn1 ZINC000302705457 382297869 /nfs/dbraw/zinc/29/78/69/382297869.db2.gz JCPJJKRVZRAERE-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN COC(C)(C)CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293838018 382299464 /nfs/dbraw/zinc/29/94/64/382299464.db2.gz BSNRZSHQWPJDST-UHFFFAOYSA-N 0 0 294.351 2.761 20 5 CFBDRN CCc1ccc(CCNc2c([N+](=O)[O-])ncn2C)cc1 ZINC000346401870 382349662 /nfs/dbraw/zinc/34/96/62/382349662.db2.gz MZAZPMISQPPUQK-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN Cc1ccc(OC[C@@H]2CC3(CCC3)C(=O)O2)cc1[N+](=O)[O-] ZINC000188130725 382350979 /nfs/dbraw/zinc/35/09/79/382350979.db2.gz MHTRSPVCKJIUMN-LBPRGKRZSA-N 0 0 291.303 2.768 20 5 CFBDRN C[C@H](NC(=O)NCC1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000357863669 382354846 /nfs/dbraw/zinc/35/48/46/382354846.db2.gz ZRUGDKNQDSKXKV-JTQLQIEISA-N 0 0 277.324 2.755 20 5 CFBDRN CCOCCN(CC)c1ncc([N+](=O)[O-])cc1Cl ZINC000346427225 382356641 /nfs/dbraw/zinc/35/66/41/382356641.db2.gz TYWHRTKEVAECNA-UHFFFAOYSA-N 0 0 273.720 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@@H](O)CC1 ZINC000293976641 382361853 /nfs/dbraw/zinc/36/18/53/382361853.db2.gz ICLCPTZONSWYEC-SNVBAGLBSA-N 0 0 270.716 2.599 20 5 CFBDRN Cc1c(C(=O)N2CCOC(C)(C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000357920673 382374508 /nfs/dbraw/zinc/37/45/08/382374508.db2.gz DEHCJCOFFCSJBZ-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1(C(C)C)CC1 ZINC000294005991 382375858 /nfs/dbraw/zinc/37/58/58/382375858.db2.gz ULARFGFIUANUGE-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CCCCC[C@H]21 ZINC000378092683 382397684 /nfs/dbraw/zinc/39/76/84/382397684.db2.gz WKVKHGWQXBOCKY-SMDDNHRTSA-N 0 0 289.335 2.703 20 5 CFBDRN Cc1cc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)c(C)o1 ZINC000346474851 382378559 /nfs/dbraw/zinc/37/85/59/382378559.db2.gz XWOYCDCWGGMREU-MRVPVSSYSA-N 0 0 277.280 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC[C@](O)(C3CC3)C2)c1 ZINC000365342902 382379590 /nfs/dbraw/zinc/37/95/90/382379590.db2.gz JJUJUYWNEKEXKJ-CQSZACIVSA-N 0 0 296.754 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2C[C@@]3(CCCO3)[C@@H]2C2CC2)cc1 ZINC000294026686 382384839 /nfs/dbraw/zinc/38/48/39/382384839.db2.gz NVIGRRWQEKSSIA-HOTGVXAUSA-N 0 0 288.347 2.738 20 5 CFBDRN CCc1ccc(C(=O)N2C[C@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000293942226 382346875 /nfs/dbraw/zinc/34/68/75/382346875.db2.gz FGELURLDPNZTKS-VHSXEESVSA-N 0 0 262.309 2.638 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CCC(F)(F)F ZINC000352633396 382424727 /nfs/dbraw/zinc/42/47/27/382424727.db2.gz NQWMDZJLYLAYCA-UHFFFAOYSA-N 0 0 290.241 2.928 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2cccc(OC)c2[N+](=O)[O-])CCO1 ZINC000420619190 382428411 /nfs/dbraw/zinc/42/84/11/382428411.db2.gz OHBQBWLWTWIGDK-QWRGUYRKSA-N 0 0 280.324 2.973 20 5 CFBDRN C/C=C(\CC)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000188525849 382429382 /nfs/dbraw/zinc/42/93/82/382429382.db2.gz MAYJTUDIGAOCHZ-NYYWCZLTSA-N 0 0 262.309 2.910 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C)n2)CCO1 ZINC000420620691 382429899 /nfs/dbraw/zinc/42/98/99/382429899.db2.gz QRVURKOUUUPPRZ-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN CCOc1cc(OCC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000188581082 382438710 /nfs/dbraw/zinc/43/87/10/382438710.db2.gz HLOWPZJQBPDNKN-NSHDSACASA-N 0 0 281.308 2.941 20 5 CFBDRN COc1cc(N2CCC[C@H](CCO)CC2)ccc1[N+](=O)[O-] ZINC000420640987 382441266 /nfs/dbraw/zinc/44/12/66/382441266.db2.gz NDKJWUMQAUKVHE-LBPRGKRZSA-N 0 0 294.351 2.592 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@H](CCO)CC1 ZINC000420647309 382444013 /nfs/dbraw/zinc/44/40/13/382444013.db2.gz XILRVAVMTBSDQD-ZDUSSCGKSA-N 0 0 278.352 2.892 20 5 CFBDRN CCCN1C[C@H](CNc2c(C)cccc2[N+](=O)[O-])CC1=O ZINC000420649528 382445225 /nfs/dbraw/zinc/44/52/25/382445225.db2.gz GGPHUILAOQVSCX-LBPRGKRZSA-N 0 0 291.351 2.574 20 5 CFBDRN CCN(C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)[C@@H](C)CO ZINC000294066132 382402891 /nfs/dbraw/zinc/40/28/91/382402891.db2.gz VKHOLOSZVMENSD-NSHDSACASA-N 0 0 294.351 2.561 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1cc(C)nc(C)c1 ZINC000420656544 382446984 /nfs/dbraw/zinc/44/69/84/382446984.db2.gz UWCBCXXOJSYLGC-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C[C@H](C)O)C(C)C ZINC000304690962 382416398 /nfs/dbraw/zinc/41/63/98/382416398.db2.gz HDOUPXKKKQIUGP-NSHDSACASA-N 0 0 295.339 2.526 20 5 CFBDRN CC(C)[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])CCCO1 ZINC000294113992 382421370 /nfs/dbraw/zinc/42/13/70/382421370.db2.gz PGSODNCRQDVRDO-CYBMUJFWSA-N 0 0 282.315 2.985 20 5 CFBDRN CC(C)(NC(=O)Cc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000294182906 382449297 /nfs/dbraw/zinc/44/92/97/382449297.db2.gz DXJDQNCEIKJHSP-UHFFFAOYSA-N 0 0 290.241 2.594 20 5 CFBDRN COc1cc(N2CCC[C@H]2CF)c(F)cc1[N+](=O)[O-] ZINC000294201619 382457206 /nfs/dbraw/zinc/45/72/06/382457206.db2.gz UFSOIIYWOHHMOU-QMMMGPOBSA-N 0 0 272.251 2.681 20 5 CFBDRN C[C@H]1CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000294206394 382459091 /nfs/dbraw/zinc/45/90/91/382459091.db2.gz QTAUDURTIDXTTG-IUCAKERBSA-N 0 0 284.262 2.553 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@@H]1CCCOCC1 ZINC000365975119 382465707 /nfs/dbraw/zinc/46/57/07/382465707.db2.gz JMHFREXRGNVYOG-LLVKDONJSA-N 0 0 279.292 2.625 20 5 CFBDRN CCC[C@H](OC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000294231824 382469828 /nfs/dbraw/zinc/46/98/28/382469828.db2.gz DKJYWJPSHYHWOK-ZDUSSCGKSA-N 0 0 280.324 2.965 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc(-c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000294250818 382477200 /nfs/dbraw/zinc/47/72/00/382477200.db2.gz OUMKXNZOIAYCAY-VIFPVBQESA-N 0 0 288.307 2.513 20 5 CFBDRN CCC(C)(C)OCCNc1ncc([N+](=O)[O-])s1 ZINC000308509668 382478089 /nfs/dbraw/zinc/47/80/89/382478089.db2.gz BCAKMRSITMWKJV-UHFFFAOYSA-N 0 0 259.331 2.668 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCOC(C)(C)CC ZINC000308514775 382478393 /nfs/dbraw/zinc/47/83/93/382478393.db2.gz UDILBKYVBPDDRA-UHFFFAOYSA-N 0 0 284.360 2.737 20 5 CFBDRN CCc1nn(C)c(NCCOC(C)(C)CC)c1[N+](=O)[O-] ZINC000308516214 382478456 /nfs/dbraw/zinc/47/84/56/382478456.db2.gz YCZRGTIQEGFABZ-UHFFFAOYSA-N 0 0 284.360 2.508 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CCCOCC1 ZINC000188824060 382485686 /nfs/dbraw/zinc/48/56/86/382485686.db2.gz OFOKJRQOWSLGFE-LLVKDONJSA-N 0 0 293.323 2.594 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000030382226 184537133 /nfs/dbraw/zinc/53/71/33/184537133.db2.gz YQADLSDQJBCBIC-MFKMUULPSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1ccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000294275649 382489161 /nfs/dbraw/zinc/48/91/61/382489161.db2.gz APYBFIYGTLNFHL-UHFFFAOYSA-N 0 0 270.354 2.508 20 5 CFBDRN COC(=O)c1coc(/C=C/c2ccc([N+](=O)[O-])cn2)c1 ZINC000358238375 382520449 /nfs/dbraw/zinc/52/04/49/382520449.db2.gz PPNZVVNCYJZNPR-HWKANZROSA-N 0 0 274.232 2.540 20 5 CFBDRN Cc1nc(N[C@H]2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000310954515 382500595 /nfs/dbraw/zinc/50/05/95/382500595.db2.gz MVUAALDCAKWHBW-QMMMGPOBSA-N 0 0 257.240 2.898 20 5 CFBDRN C[C@@H](CCc1ccccc1)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294312928 382505308 /nfs/dbraw/zinc/50/53/08/382505308.db2.gz YLMRKAVJWIOFIX-ZDUSSCGKSA-N 0 0 292.379 2.959 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2c2nc[nH]n2)c(F)c1 ZINC000294895379 382543051 /nfs/dbraw/zinc/54/30/51/382543051.db2.gz GOYUJIMGZCQUED-GFCCVEGCSA-N 0 0 291.286 2.584 20 5 CFBDRN CCc1cnccc1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000358277257 382543282 /nfs/dbraw/zinc/54/32/82/382543282.db2.gz ZAMSMVNLEBLJES-UHFFFAOYSA-N 0 0 285.303 2.535 20 5 CFBDRN COc1cc(NC[C@H](C)CCCO)c([N+](=O)[O-])cc1F ZINC000294955539 382548701 /nfs/dbraw/zinc/54/87/01/382548701.db2.gz MLMKCZJFFIAABT-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])s1)Cc1ccccc1 ZINC000294965601 382554946 /nfs/dbraw/zinc/55/49/46/382554946.db2.gz NLUWROFDPGPMLC-NSHDSACASA-N 0 0 293.348 2.721 20 5 CFBDRN COCC[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421552088 382576738 /nfs/dbraw/zinc/57/67/38/382576738.db2.gz GFHRQXMMXPDPLD-SNVBAGLBSA-N 0 0 294.351 2.614 20 5 CFBDRN C[C@@H](CCO)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000294967832 382556644 /nfs/dbraw/zinc/55/66/44/382556644.db2.gz OCMIAUDUJWKONS-SECBINFHSA-N 0 0 256.277 2.554 20 5 CFBDRN O=C([C@@H]1CC12CC2)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000421495923 382558684 /nfs/dbraw/zinc/55/86/84/382558684.db2.gz KYNJNRWQMZVKBF-AWEZNQCLSA-N 0 0 286.331 2.886 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCC(F)(F)F ZINC000421505141 382562202 /nfs/dbraw/zinc/56/22/02/382562202.db2.gz OMMOECZVVLUFPK-UHFFFAOYSA-N 0 0 290.241 2.894 20 5 CFBDRN Cc1c(CNC(=O)[C@@]23C[C@@H]2CCC3)cccc1[N+](=O)[O-] ZINC000421509508 382564005 /nfs/dbraw/zinc/56/40/05/382564005.db2.gz RUCIGDCJAGSUBE-WFASDCNBSA-N 0 0 274.320 2.710 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CC12CC2)c1ccccc1[N+](=O)[O-] ZINC000421510626 382565101 /nfs/dbraw/zinc/56/51/01/382565101.db2.gz NLFACZLBQWDHCX-ONGXEEELSA-N 0 0 260.293 2.572 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1C[C@H](C)[C@@H](C)C1 ZINC000421518113 382566841 /nfs/dbraw/zinc/56/68/41/382566841.db2.gz YNHIDCGQHBPPCO-RYUDHWBXSA-N 0 0 276.336 2.940 20 5 CFBDRN C[C@H](NC(=O)[C@@]12C[C@@H]1CCC2)c1ccccc1[N+](=O)[O-] ZINC000421517634 382566857 /nfs/dbraw/zinc/56/68/57/382566857.db2.gz UTSJLIRMAJBVCR-PGUXBMHVSA-N 0 0 274.320 2.962 20 5 CFBDRN CSCC1CCC(Nc2c([N+](=O)[O-])c(C)nn2C)CC1 ZINC000385486193 382571518 /nfs/dbraw/zinc/57/15/18/382571518.db2.gz OQHSZCNJLGHLGG-UHFFFAOYSA-N 0 0 298.412 2.970 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000353308913 382535427 /nfs/dbraw/zinc/53/54/27/382535427.db2.gz VUUKCNJPKYPAAN-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CC1(F)F ZINC000421435399 382536457 /nfs/dbraw/zinc/53/64/57/382536457.db2.gz XJLVXZRYADYAJH-LLVKDONJSA-N 0 0 286.234 2.587 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H](CO)C2CCCC2)c(F)c1 ZINC000386121275 382583883 /nfs/dbraw/zinc/58/38/83/382583883.db2.gz MEBPBEKIPQUBCB-LBPRGKRZSA-N 0 0 286.278 2.836 20 5 CFBDRN C[C@H](NC(=O)[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000421577765 382587519 /nfs/dbraw/zinc/58/75/19/382587519.db2.gz RBSPOZMBYUVFNS-JVLSTEMRSA-N 0 0 274.320 2.962 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC1CC(F)(F)C1 ZINC000421577747 382588148 /nfs/dbraw/zinc/58/81/48/382588148.db2.gz QYQDAWUYMWBTPJ-UHFFFAOYSA-N 0 0 298.289 2.987 20 5 CFBDRN CCOc1ccc(C(=O)NC[C@@H](C)CC)cc1[N+](=O)[O-] ZINC000421582284 382591326 /nfs/dbraw/zinc/59/13/26/382591326.db2.gz SYGNBGPUNDQVEL-JTQLQIEISA-N 0 0 280.324 2.769 20 5 CFBDRN CC[C@H](C)CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000421583748 382592295 /nfs/dbraw/zinc/59/22/95/382592295.db2.gz ZWIWPGUMVJQBHH-VIFPVBQESA-N 0 0 250.298 2.679 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@@H](C)O ZINC000386925181 382593210 /nfs/dbraw/zinc/59/32/10/382593210.db2.gz SCSUUPHYEJGOLZ-BXKDBHETSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1CC12CCOCC2 ZINC000386892037 382593903 /nfs/dbraw/zinc/59/39/03/382593903.db2.gz KJHKCDKODMXRLF-NSHDSACASA-N 0 0 266.272 2.715 20 5 CFBDRN CO[C@H]([C@@H](C)Nc1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000388248299 382604229 /nfs/dbraw/zinc/60/42/29/382604229.db2.gz UUHMKADLRZNKHL-VXNVDRBHSA-N 0 0 296.352 2.529 20 5 CFBDRN CC[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1F)C(C)C ZINC000358415553 382604705 /nfs/dbraw/zinc/60/47/05/382604705.db2.gz AHOPYONVIBXAAL-CYBMUJFWSA-N 0 0 282.315 2.827 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CCc2ccccc21 ZINC000358421072 382606242 /nfs/dbraw/zinc/60/62/42/382606242.db2.gz CTECOIUWCJDRFR-MRXNPFEDSA-N 0 0 296.326 2.941 20 5 CFBDRN CO[C@H]([C@H](C)Nc1ccccc1[N+](=O)[O-])C1CC1 ZINC000388341944 382606390 /nfs/dbraw/zinc/60/63/90/382606390.db2.gz JZAQKMTWFUYENI-TVQRCGJNSA-N 0 0 250.298 2.820 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000189451156 382610419 /nfs/dbraw/zinc/61/04/19/382610419.db2.gz UHGOGVHYIJJEBR-UHFFFAOYSA-N 0 0 278.352 2.936 20 5 CFBDRN COc1cnccc1[C@@H](C)NCc1csc([N+](=O)[O-])c1 ZINC000189557799 382623995 /nfs/dbraw/zinc/62/39/95/382623995.db2.gz OCZXXKLDFAEHTI-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN Cc1coc(Sc2nc(C)ccc2[N+](=O)[O-])n1 ZINC000189559461 382624368 /nfs/dbraw/zinc/62/43/68/382624368.db2.gz RFYSEUVKJLIFAE-UHFFFAOYSA-N 0 0 251.267 2.746 20 5 CFBDRN Cc1cccc(NC[C@H](CO)C(C)C)c1[N+](=O)[O-] ZINC000394024974 382625577 /nfs/dbraw/zinc/62/55/77/382625577.db2.gz SOAFYDBPRCEFQP-LLVKDONJSA-N 0 0 252.314 2.580 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421565842 382582373 /nfs/dbraw/zinc/58/23/73/382582373.db2.gz XRTDAFVFWIQCCK-GFCCVEGCSA-N 0 0 294.351 2.709 20 5 CFBDRN CO[C@H]1CC[C@H](NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000189765374 382640194 /nfs/dbraw/zinc/64/01/94/382640194.db2.gz ORACRMPBRQSJRT-RYUDHWBXSA-N 0 0 293.323 2.592 20 5 CFBDRN CCSCCOc1ccc(OC)c([N+](=O)[O-])c1 ZINC000190180761 382656004 /nfs/dbraw/zinc/65/60/04/382656004.db2.gz XPTDHWKFAZYSPY-UHFFFAOYSA-N 0 0 257.311 2.735 20 5 CFBDRN O=[N+]([O-])c1cnc(Nc2ccc3n[nH]cc3c2)s1 ZINC000190305164 382661399 /nfs/dbraw/zinc/66/13/99/382661399.db2.gz VITSDIZAYOXZPX-UHFFFAOYSA-N 0 0 261.266 2.671 20 5 CFBDRN CC[C@@](C)(CO)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190323696 382661773 /nfs/dbraw/zinc/66/17/73/382661773.db2.gz CGHCGYMEUFWATK-HNNXBMFYSA-N 0 0 294.351 2.609 20 5 CFBDRN CCO[C@@H]1C[C@@H](N(C)c2ncc([N+](=O)[O-])s2)C1(C)C ZINC000190330015 382662296 /nfs/dbraw/zinc/66/22/96/382662296.db2.gz OINWTEOJTBMFDW-RKDXNWHRSA-N 0 0 285.369 2.691 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000190862535 382683095 /nfs/dbraw/zinc/68/30/95/382683095.db2.gz UUOOVZBKDKKCNA-SECBINFHSA-N 0 0 280.324 2.782 20 5 CFBDRN O=C1O[C@@H](COc2cc(F)ccc2[N+](=O)[O-])CC12CCC2 ZINC000191487708 382701014 /nfs/dbraw/zinc/70/10/14/382701014.db2.gz ILRSDYIYLQVUNO-SNVBAGLBSA-N 0 0 295.266 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCn2ccnc2)c2cccnc21 ZINC000336850350 382735313 /nfs/dbraw/zinc/73/53/13/382735313.db2.gz RUBWZGTWOIERDH-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN CC(C)(C)OC(=O)CCC(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000192254452 382706251 /nfs/dbraw/zinc/70/62/51/382706251.db2.gz BOAJSEZMXYPDEH-UHFFFAOYSA-N 0 0 295.291 2.622 20 5 CFBDRN CCCN1C(=O)N(c2ccc([N+](=O)[O-])cc2)C(=O)[C@@H]1CC ZINC000192414746 382708694 /nfs/dbraw/zinc/70/86/94/382708694.db2.gz LKGYKIQGGBPXQG-LBPRGKRZSA-N 0 0 291.307 2.552 20 5 CFBDRN CO[C@@]1(C)C[C@@H](N(C)c2ncc([N+](=O)[O-])s2)C1(C)C ZINC000192473865 382709370 /nfs/dbraw/zinc/70/93/70/382709370.db2.gz SWHLGLJHLAAPRR-PELKAZGASA-N 0 0 285.369 2.691 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)Cc1scnc1C ZINC000192581783 382710329 /nfs/dbraw/zinc/71/03/29/382710329.db2.gz WDKTUDNHISVRPA-UHFFFAOYSA-N 0 0 295.368 2.521 20 5 CFBDRN CCC[C@@]1(COC)CCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000192744099 382711757 /nfs/dbraw/zinc/71/17/57/382711757.db2.gz QHPTXRMBFJGBDI-AWEZNQCLSA-N 0 0 296.323 2.609 20 5 CFBDRN C[C@@H](O)CSc1ccc(Br)cc1[N+](=O)[O-] ZINC000193091880 382715639 /nfs/dbraw/zinc/71/56/39/382715639.db2.gz NWWKCMAZVXZEME-ZCFIWIBFSA-N 0 0 292.154 2.830 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CC=CCC1 ZINC000193229868 382717169 /nfs/dbraw/zinc/71/71/69/382717169.db2.gz NMUIFCLRRCNDPS-LBPRGKRZSA-N 0 0 274.320 2.910 20 5 CFBDRN Cc1cccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1O ZINC000193390690 382719566 /nfs/dbraw/zinc/71/95/66/382719566.db2.gz KLRSKOILFHSHNS-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC2(O)CCCCCC2)c1 ZINC000193444936 382720003 /nfs/dbraw/zinc/72/00/03/382720003.db2.gz ZFJBFROZTUCUNS-UHFFFAOYSA-N 0 0 279.340 2.674 20 5 CFBDRN CC(C)[C@H](O)C(C)(C)CNc1cc(N)ccc1[N+](=O)[O-] ZINC000193487346 382720236 /nfs/dbraw/zinc/72/02/36/382720236.db2.gz SLSCKINWKWMARL-ZDUSSCGKSA-N 0 0 281.356 2.632 20 5 CFBDRN CSC1(C[NH2+]Cc2ccccc2[N+](=O)[O-])CC1 ZINC000193662442 382722156 /nfs/dbraw/zinc/72/21/56/382722156.db2.gz BMTKWGLZRHFBBK-UHFFFAOYSA-N 0 0 252.339 2.580 20 5 CFBDRN CC[C@H](C)C[C@@H](Nc1ncc(C)cc1[N+](=O)[O-])C(=O)OC ZINC000575042696 382724453 /nfs/dbraw/zinc/72/44/53/382724453.db2.gz RFORCMNAEFEASV-GXSJLCMTSA-N 0 0 295.339 2.688 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336829770 382726068 /nfs/dbraw/zinc/72/60/68/382726068.db2.gz AXQAFGSBESOEEC-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCOC[C@H]1C ZINC000193956350 382730993 /nfs/dbraw/zinc/73/09/93/382730993.db2.gz YNSNSYYDYHEMLR-PWSUYJOCSA-N 0 0 292.335 2.823 20 5 CFBDRN CC1(C)CN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC[C@H]1O ZINC000336840740 382731506 /nfs/dbraw/zinc/73/15/06/382731506.db2.gz QONZJRAQHZQCLC-CYBMUJFWSA-N 0 0 298.770 2.841 20 5 CFBDRN CC(C)(C)C[C@@H](O)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000195264815 382765344 /nfs/dbraw/zinc/76/53/44/382765344.db2.gz AATXGFYUKHEXMN-SNVBAGLBSA-N 0 0 293.367 2.772 20 5 CFBDRN COc1cc(C(=O)NCc2ccsc2)ccc1[N+](=O)[O-] ZINC000195283617 382766198 /nfs/dbraw/zinc/76/61/98/382766198.db2.gz CFRQBYOOHALRTB-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN CCc1n[nH]c(C(=O)N(C)[C@@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000195411378 382767509 /nfs/dbraw/zinc/76/75/09/382767509.db2.gz JTLNEXAAACMELH-GXSJLCMTSA-N 0 0 294.355 2.531 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NC3CCOCC3)ccnc21 ZINC000214728653 382776945 /nfs/dbraw/zinc/77/69/45/382776945.db2.gz IABRODIPKZZJEJ-UHFFFAOYSA-N 0 0 273.292 2.734 20 5 CFBDRN CC[C@H](C)N(CC(=O)OC)Cc1cccc([N+](=O)[O-])c1C ZINC000337219968 382779119 /nfs/dbraw/zinc/77/91/19/382779119.db2.gz BTIXAXGCNAYTAQ-NSHDSACASA-N 0 0 294.351 2.677 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@@H](C)C2)c1 ZINC000220082498 382795071 /nfs/dbraw/zinc/79/50/71/382795071.db2.gz XYWPTONTIPQOKX-UWVGGRQHSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnc(C)s1 ZINC000337289461 382795146 /nfs/dbraw/zinc/79/51/46/382795146.db2.gz BYJNFWLVPUSSAW-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN COc1cc(NCCC[C@H](C)CO)c(F)cc1[N+](=O)[O-] ZINC000218875991 382790985 /nfs/dbraw/zinc/79/09/85/382790985.db2.gz VYDIBTRPGNPBCV-VIFPVBQESA-N 0 0 286.303 2.563 20 5 CFBDRN Cc1cccc(NC[C@H]2CCCOC2)c1[N+](=O)[O-] ZINC000218839810 382791111 /nfs/dbraw/zinc/79/11/11/382791111.db2.gz ZCFHWKDUTLBYQQ-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1ncc(NC(=O)c2cccc([N+](=O)[O-])c2C)s1 ZINC000337276490 382791761 /nfs/dbraw/zinc/79/17/61/382791761.db2.gz FFKBMXAOWHHXPK-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(O)CCC[C@@H]2C)c1 ZINC000336898470 382739266 /nfs/dbraw/zinc/73/92/66/382739266.db2.gz QIWLJYFWATTXEG-SMDDNHRTSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cnc(N[C@@H]2CCC[C@@H]2OC(F)F)c([N+](=O)[O-])c1 ZINC000336912017 382741226 /nfs/dbraw/zinc/74/12/26/382741226.db2.gz YPCKRUCUDIFINF-SCZZXKLOSA-N 0 0 287.266 2.870 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N(C)CC1CCC1 ZINC000194688677 382752419 /nfs/dbraw/zinc/75/24/19/382752419.db2.gz VWQLFOGHWVEJGK-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN COc1ccc(OCCO[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000194723555 382753276 /nfs/dbraw/zinc/75/32/76/382753276.db2.gz FGWGLMKUMZRUPS-CQSZACIVSA-N 0 0 297.307 2.525 20 5 CFBDRN CCOc1ccc(C(=O)N2C[C@@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000337056853 382756704 /nfs/dbraw/zinc/75/67/04/382756704.db2.gz VJCBEXBUWDKTSE-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])NCC1CCCCC1 ZINC000337095982 382760507 /nfs/dbraw/zinc/76/05/07/382760507.db2.gz QTXWRQMQYYNLAC-UHFFFAOYSA-N 0 0 291.351 2.974 20 5 CFBDRN CCOCCN(CC)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000228260472 382846008 /nfs/dbraw/zinc/84/60/08/382846008.db2.gz ARVNFEUDNLSEID-UHFFFAOYSA-N 0 0 280.324 2.660 20 5 CFBDRN COc1ccc(C(=O)Nc2cnc(C)s2)cc1[N+](=O)[O-] ZINC000337301642 382797546 /nfs/dbraw/zinc/79/75/46/382797546.db2.gz XJXCNNVOBZLEBS-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CC(C)(CCCO)CNc1ccc([N+](=O)[O-])cc1F ZINC000221192067 382797668 /nfs/dbraw/zinc/79/76/68/382797668.db2.gz YKBMLVXXFHZWPB-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN COc1cc(C(=O)Nc2cnc(C)s2)ccc1[N+](=O)[O-] ZINC000337308389 382798965 /nfs/dbraw/zinc/79/89/65/382798965.db2.gz XSPCWVXPGURDEG-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1ncc(NC(=O)CCc2cccc([N+](=O)[O-])c2)s1 ZINC000337308998 382799192 /nfs/dbraw/zinc/79/91/92/382799192.db2.gz YOYPVUXPRINFTR-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN Cc1nnc(N[C@H](C)c2ccccc2[N+](=O)[O-])s1 ZINC000223571414 382805948 /nfs/dbraw/zinc/80/59/48/382805948.db2.gz SGMJEKOAWFADRV-SSDOTTSWSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1cc(NC[C@H](O)CC(C)C)ccc1[N+](=O)[O-] ZINC000224286812 382809791 /nfs/dbraw/zinc/80/97/91/382809791.db2.gz CWTVUIQRQFWHMD-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCSC2)c(F)c1 ZINC000224405433 382811011 /nfs/dbraw/zinc/81/10/11/382811011.db2.gz UZIGWJBDOBPOBV-MRVPVSSYSA-N 0 0 256.302 2.899 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1CCSC1 ZINC000224449358 382811192 /nfs/dbraw/zinc/81/11/92/382811192.db2.gz PQESSHSYTQUAII-QMMMGPOBSA-N 0 0 256.302 2.899 20 5 CFBDRN Cc1noc([C@H](C)N(C)Cc2ccc([N+](=O)[O-])cc2F)n1 ZINC000576118862 382811458 /nfs/dbraw/zinc/81/14/58/382811458.db2.gz ZAUBLWNYZXJWSN-QMMMGPOBSA-N 0 0 294.286 2.618 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ccccc1[N+](=O)[O-] ZINC000225748674 382821573 /nfs/dbraw/zinc/82/15/73/382821573.db2.gz NSFUAEXTNUXVDR-CYBMUJFWSA-N 0 0 252.314 2.804 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC3(CCO3)CC2)c2cccnc21 ZINC000576487818 382824582 /nfs/dbraw/zinc/82/45/82/382824582.db2.gz LMQAKFFERQZBKT-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN Cc1ccnc(NCCCOCC2CC2)c1[N+](=O)[O-] ZINC000227115016 382833974 /nfs/dbraw/zinc/83/39/74/382833974.db2.gz FHTJBEIPDMWRLJ-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1ccnc(NC[C@H](O)CC(C)(C)C)c1[N+](=O)[O-] ZINC000227357322 382836227 /nfs/dbraw/zinc/83/62/27/382836227.db2.gz PQVJJAVVIAGPPU-SNVBAGLBSA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@H]3CCCC[C@H]32)cc1F ZINC000227400954 382836740 /nfs/dbraw/zinc/83/67/40/382836740.db2.gz XJUCJGYFKYIVJW-CABCVRRESA-N 0 0 294.326 2.877 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CC[C@@]2(O)CCCC[C@H]2C1 ZINC000227377096 382836888 /nfs/dbraw/zinc/83/68/88/382836888.db2.gz VRWVYTCQMQVMFK-NHYWBVRUSA-N 0 0 294.326 2.865 20 5 CFBDRN Cc1cnc(N2CCC[C@@H]2[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000576819756 382837619 /nfs/dbraw/zinc/83/76/19/382837619.db2.gz MEMALHGRBFFRJM-QWHCGFSZSA-N 0 0 291.351 2.694 20 5 CFBDRN C[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)[C@H]1CCCO1 ZINC000227648972 382838920 /nfs/dbraw/zinc/83/89/20/382838920.db2.gz MQKBVRGRCAZGEV-GMSGAONNSA-N 0 0 271.704 2.623 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCCC[C@H]2CO)c(F)c1 ZINC000227890618 382841918 /nfs/dbraw/zinc/84/19/18/382841918.db2.gz ZSWBJFCZOKPCAG-UFBFGSQYSA-N 0 0 286.278 2.836 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCCC[C@@H]2O)c(F)c1 ZINC000227889505 382841934 /nfs/dbraw/zinc/84/19/34/382841934.db2.gz RPOCMUWRCCJZIG-QWRGUYRKSA-N 0 0 272.251 2.588 20 5 CFBDRN CC(C)C[C@@]1(C)CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000576939649 382842271 /nfs/dbraw/zinc/84/22/71/382842271.db2.gz RDTPFAQCISOTQR-OAHLLOKOSA-N 0 0 293.367 2.974 20 5 CFBDRN C[C@H](O)CCCNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000228000041 382843541 /nfs/dbraw/zinc/84/35/41/382843541.db2.gz BBSJZKMXINOKSC-ZETCQYMHSA-N 0 0 293.245 2.582 20 5 CFBDRN NC(=O)c1cnc(Oc2ccccc2[N+](=O)[O-])c(Cl)c1 ZINC000337587924 382845474 /nfs/dbraw/zinc/84/54/74/382845474.db2.gz ULSIMNYVRSPDGX-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](O)CC23CCC3)c1 ZINC000577052237 382845744 /nfs/dbraw/zinc/84/57/44/382845744.db2.gz XHSXSCUHUOBVJQ-GFCCVEGCSA-N 0 0 276.336 2.787 20 5 CFBDRN Cc1cccc(Oc2ncnc3c2CCC3)c1[N+](=O)[O-] ZINC000533676017 382908350 /nfs/dbraw/zinc/90/83/50/382908350.db2.gz TUKXXBFIXCBETR-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN Nc1nc(SCCCc2ccsc2)ncc1[N+](=O)[O-] ZINC000577411322 382858800 /nfs/dbraw/zinc/85/88/00/382858800.db2.gz IRPLOSNHSOZBNB-UHFFFAOYSA-N 0 0 296.377 2.584 20 5 CFBDRN CCC(O)(CC)CNc1cc(OC)c([N+](=O)[O-])cc1F ZINC000230355382 382859417 /nfs/dbraw/zinc/85/94/17/382859417.db2.gz GWWIYAWHXBEZBW-UHFFFAOYSA-N 0 0 286.303 2.706 20 5 CFBDRN CC(C)Oc1nc(N[C@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000577510068 382861688 /nfs/dbraw/zinc/86/16/88/382861688.db2.gz JTVGSHJCRVWFKV-JTQLQIEISA-N 0 0 297.380 2.506 20 5 CFBDRN Cc1cc(NC[C@H]2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000230908431 382863352 /nfs/dbraw/zinc/86/33/52/382863352.db2.gz WMBAZMPBBBCGPS-WCQYABFASA-N 0 0 264.325 2.866 20 5 CFBDRN O=c1ccn(C[C@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000337770184 382863568 /nfs/dbraw/zinc/86/35/68/382863568.db2.gz VBZTYYPJCRRZMQ-VIFPVBQESA-N 0 0 272.251 2.582 20 5 CFBDRN CCC[C@@H](CCO)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000231148168 382864219 /nfs/dbraw/zinc/86/42/19/382864219.db2.gz BKTUAUBGJPRJQM-VIFPVBQESA-N 0 0 298.368 2.515 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1C ZINC000231243709 382864428 /nfs/dbraw/zinc/86/44/28/382864428.db2.gz TXOLBUOJLKIYCU-DZGCQCFKSA-N 0 0 278.352 2.950 20 5 CFBDRN Cc1nccn1CCCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000337794942 382865705 /nfs/dbraw/zinc/86/57/05/382865705.db2.gz CQYNBKRDZOXZTM-UHFFFAOYSA-N 0 0 289.339 2.614 20 5 CFBDRN COc1cccc(NCCCn2ccnc2C)c1[N+](=O)[O-] ZINC000337830077 382869737 /nfs/dbraw/zinc/86/97/37/382869737.db2.gz VKPKEOKYMRGPQA-UHFFFAOYSA-N 0 0 290.323 2.611 20 5 CFBDRN COc1cccc(C(=O)NC(C)(C)C(C)C)c1[N+](=O)[O-] ZINC000577817161 382871341 /nfs/dbraw/zinc/87/13/41/382871341.db2.gz UTYVXNWELTXNGU-UHFFFAOYSA-N 0 0 280.324 2.768 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1cc([O-])ccc1[N+](=O)[O-] ZINC000234278606 382873484 /nfs/dbraw/zinc/87/34/84/382873484.db2.gz AGLAACRJEISCKR-UHFFFAOYSA-N 0 0 278.308 2.563 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C)[C@@H]2CCSC2)c1F ZINC000234328330 382873958 /nfs/dbraw/zinc/87/39/58/382873958.db2.gz RVZQVLZRRMPTNA-SECBINFHSA-N 0 0 298.339 2.620 20 5 CFBDRN O=[N+]([O-])c1cccc(SCc2nnc(C3CC3)[nH]2)c1 ZINC000337936059 382874320 /nfs/dbraw/zinc/87/43/20/382874320.db2.gz MGKDMHWMDDLOOA-UHFFFAOYSA-N 0 0 276.321 2.883 20 5 CFBDRN C[C@@H](O)[C@@H](C)SCc1ccc([N+](=O)[O-])c(F)c1 ZINC000235294999 382879022 /nfs/dbraw/zinc/87/90/22/382879022.db2.gz UJBQLDDLCZMOIB-HTQZYQBOSA-N 0 0 259.302 2.736 20 5 CFBDRN CCc1oncc1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000337967033 382882278 /nfs/dbraw/zinc/88/22/78/382882278.db2.gz GZFVHBFDEXKYLF-UHFFFAOYSA-N 0 0 294.242 2.928 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](C)C2CC2)c1F ZINC000235496774 382882727 /nfs/dbraw/zinc/88/27/27/382882727.db2.gz SWPWZULTGDZFMZ-QMMMGPOBSA-N 0 0 266.272 2.571 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@@H]2C)c1F ZINC000235553590 382884168 /nfs/dbraw/zinc/88/41/68/382884168.db2.gz PYJBQBIIPCTZDW-VIFPVBQESA-N 0 0 266.272 2.667 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC2(C3CC3)CC2)c1 ZINC000578029037 382885061 /nfs/dbraw/zinc/88/50/61/382885061.db2.gz OMROKFHMLLVGLM-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000421668750 382890890 /nfs/dbraw/zinc/89/08/90/382890890.db2.gz ZXIHGDOOLCAABH-NWDGAFQWSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)c2cccc(C)c2[N+](=O)[O-])CCO1 ZINC000421668754 382891212 /nfs/dbraw/zinc/89/12/12/382891212.db2.gz ZXIHGDOOLCAABH-VXGBXAGGSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC(C2CC2)C2CC2)c1F ZINC000235989341 382891754 /nfs/dbraw/zinc/89/17/54/382891754.db2.gz MIOAMZJQJBCSNC-UHFFFAOYSA-N 0 0 292.310 2.961 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1NC1CCOCC1 ZINC000236141898 382891902 /nfs/dbraw/zinc/89/19/02/382891902.db2.gz VNGDSLZANRSXSG-UHFFFAOYSA-N 0 0 291.229 2.600 20 5 CFBDRN CC[C@@H]1C[C@@H](NC(=O)c2cc(C)cc([N+](=O)[O-])c2)CCO1 ZINC000421672906 382892526 /nfs/dbraw/zinc/89/25/26/382892526.db2.gz VXLHQIPTORAAOM-GXTWGEPZSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2csc([C@@H]3CCCO3)n2)c1 ZINC000441377241 382911761 /nfs/dbraw/zinc/91/17/61/382911761.db2.gz AOGIERYVCGDGEZ-JTQLQIEISA-N 0 0 265.294 2.898 20 5 CFBDRN CC(C)O[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000578623110 382937912 /nfs/dbraw/zinc/93/79/12/382937912.db2.gz MYWQHVFFGVSIBH-CYBMUJFWSA-N 0 0 282.315 2.733 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1CC[C@@H](C2CC2)C1 ZINC000535005346 382941171 /nfs/dbraw/zinc/94/11/71/382941171.db2.gz HSZVXCYRPSBDHC-CYBMUJFWSA-N 0 0 289.335 2.536 20 5 CFBDRN COc1c(O)cccc1-c1nc2cc([N+](=O)[O-])ccc2n1C ZINC000338232845 382959074 /nfs/dbraw/zinc/95/90/74/382959074.db2.gz DCFDNKSNHOZTDP-UHFFFAOYSA-N 0 0 299.286 2.863 20 5 CFBDRN CC(=O)CN(CC(C)C)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000421884878 382960412 /nfs/dbraw/zinc/96/04/12/382960412.db2.gz GKIPLNAZRCFWLC-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN CC[C@H](O)CN[C@@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000578875497 382962651 /nfs/dbraw/zinc/96/26/51/382962651.db2.gz RXJLCGOTZHOUMY-QWRGUYRKSA-N 0 0 292.257 2.559 20 5 CFBDRN CCC(C)(C)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000441576897 382920310 /nfs/dbraw/zinc/92/03/10/382920310.db2.gz RAXDVKULERVGJU-GFCCVEGCSA-N 0 0 293.367 2.974 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2csc(C)n2)c1 ZINC000338136473 382930682 /nfs/dbraw/zinc/93/06/82/382930682.db2.gz FKVATPKRJHJYJA-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN Cc1ccccc1-c1cnc(Cn2cc([N+](=O)[O-])cn2)o1 ZINC000534527792 382932835 /nfs/dbraw/zinc/93/28/35/382932835.db2.gz SKXZPSVRXHKVOA-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN CC1(C)C[C@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000457154931 382972910 /nfs/dbraw/zinc/97/29/10/382972910.db2.gz KLWVYRTYRVRQPD-LLVKDONJSA-N 0 0 292.335 2.530 20 5 CFBDRN COc1cc(C(=O)NC(C)(C)C2CC2)c([N+](=O)[O-])cc1F ZINC000579083498 382980900 /nfs/dbraw/zinc/98/09/00/382980900.db2.gz KEDDBTVSPWSVNM-UHFFFAOYSA-N 0 0 296.298 2.661 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000579106102 382983382 /nfs/dbraw/zinc/98/33/82/382983382.db2.gz ODYLFJGIARTCMD-QMTHXVAHSA-N 0 0 293.367 2.688 20 5 CFBDRN Cc1nn(C)c(N2CCCC3(CCCC3)C2)c1[N+](=O)[O-] ZINC000445664648 382984766 /nfs/dbraw/zinc/98/47/66/382984766.db2.gz MKGFZWUKVKPFBY-UHFFFAOYSA-N 0 0 278.356 2.797 20 5 CFBDRN CCC1CN(C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)C1 ZINC000457306322 383017063 /nfs/dbraw/zinc/01/70/63/383017063.db2.gz PEHJYZRMRVOVKG-JTQLQIEISA-N 0 0 294.376 2.944 20 5 CFBDRN O=C(N[C@@H](C1CC1)C1CCC1)c1ccc([N+](=O)[O-])s1 ZINC000457219300 382993987 /nfs/dbraw/zinc/99/39/87/382993987.db2.gz JQRNBADBHYNTSH-GFCCVEGCSA-N 0 0 280.349 2.965 20 5 CFBDRN C[C@@H]1CCCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000457266357 383006657 /nfs/dbraw/zinc/00/66/57/383006657.db2.gz QYVJFJXSRRUIMI-QMTHXVAHSA-N 0 0 279.340 2.632 20 5 CFBDRN Cc1cnc(NC[C@H]2[N@H+](C)CCCC2(C)C)c([N+](=O)[O-])c1 ZINC000447808330 383010210 /nfs/dbraw/zinc/01/02/10/383010210.db2.gz WIPLEBMEPUZAPK-CYBMUJFWSA-N 0 0 292.383 2.831 20 5 CFBDRN CCn1ccnc1CN[C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000579376648 383010919 /nfs/dbraw/zinc/01/09/19/383010919.db2.gz YZAONLINGANWLQ-ZDUSSCGKSA-N 0 0 286.335 2.588 20 5 CFBDRN CC(C)(C(=O)Nc1ccc([N+](=O)[O-])cc1)C1CCOCC1 ZINC000280321948 194798225 /nfs/dbraw/zinc/79/82/25/194798225.db2.gz HBIPKTFKKJBMHN-UHFFFAOYSA-N 0 0 292.335 2.986 20 5 CFBDRN Cc1ccc(NCC[N@H+]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000338709040 383029481 /nfs/dbraw/zinc/02/94/81/383029481.db2.gz BDWMHBIYNGDBTK-UHFFFAOYSA-N 0 0 261.325 2.577 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000457351073 383030913 /nfs/dbraw/zinc/03/09/13/383030913.db2.gz ZUWMCANFWWMZKU-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN COC(=O)c1cncnc1/C=C\c1ccc([N+](=O)[O-])cc1C ZINC000448130780 383046167 /nfs/dbraw/zinc/04/61/67/383046167.db2.gz SRZKQWVSIMIOOZ-XQRVVYSFSA-N 0 0 299.286 2.650 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c2ncccc12)C1CCOCC1 ZINC000579807727 383055246 /nfs/dbraw/zinc/05/52/46/383055246.db2.gz VSNVKYQSLYROPI-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3CCC[C@@H]3F)cc2N1 ZINC000338823293 383061517 /nfs/dbraw/zinc/06/15/17/383061517.db2.gz FRJVIFQDUIHQKX-UWVGGRQHSA-N 0 0 293.298 2.782 20 5 CFBDRN C[C@H](NC(=O)OCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000496087823 383063219 /nfs/dbraw/zinc/06/32/19/383063219.db2.gz ZTUFYEQVGNMAMP-VIFPVBQESA-N 0 0 264.281 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@@H]1CCC[C@@H]1F)CCN2 ZINC000338841450 383065362 /nfs/dbraw/zinc/06/53/62/383065362.db2.gz GYUCKMBCBRTMAT-GXSJLCMTSA-N 0 0 265.288 2.865 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCC[C@H]2C2(O)CC2)cs1 ZINC000579924739 383065820 /nfs/dbraw/zinc/06/58/20/383065820.db2.gz QZWZBDIMAQBCKL-NSHDSACASA-N 0 0 282.365 2.536 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCC[C@H]2F)n1 ZINC000338846430 383067425 /nfs/dbraw/zinc/06/74/25/383067425.db2.gz VXXCGACANZZASU-ZJUUUORDSA-N 0 0 253.277 2.909 20 5 CFBDRN CC(=O)c1ccc(OC[C@H](O)CCC(C)C)c([N+](=O)[O-])c1 ZINC000280386296 194825112 /nfs/dbraw/zinc/82/51/12/194825112.db2.gz WJGYAVHKSMLFRE-CYBMUJFWSA-N 0 0 295.335 2.973 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@]2(C)CCCC[C@H]2C)n1 ZINC000457309875 383019081 /nfs/dbraw/zinc/01/90/81/383019081.db2.gz YBKXCSONFDAAHW-QMTHXVAHSA-N 0 0 294.355 2.510 20 5 CFBDRN CC[C@]1(C(C)C)C[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000457314396 383020657 /nfs/dbraw/zinc/02/06/57/383020657.db2.gz YUJOQRPNKYXHJD-SWLSCSKDSA-N 0 0 291.351 2.732 20 5 CFBDRN CC[C@H](C)[C@H](O)C[NH2+][C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000579494495 383022836 /nfs/dbraw/zinc/02/28/36/383022836.db2.gz QPYUGMDXJXWHGZ-PSOPSSQASA-N 0 0 278.352 2.579 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC(C(C)(C)C)C1 ZINC000457328255 383023847 /nfs/dbraw/zinc/02/38/47/383023847.db2.gz LLRZIGDTJABZJC-UHFFFAOYSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000592004510 383121948 /nfs/dbraw/zinc/12/19/48/383121948.db2.gz WFHKSYSSXJMYFS-RKDXNWHRSA-N 0 0 290.348 2.844 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CC[C@H]3C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000592003704 383121954 /nfs/dbraw/zinc/12/19/54/383121954.db2.gz OQRWGFCOIYAGCC-XHNCKOQMSA-N 0 0 265.288 2.917 20 5 CFBDRN CC(=O)c1cc(N(C)CC2=CCCOC2)ccc1[N+](=O)[O-] ZINC000596465064 383128008 /nfs/dbraw/zinc/12/80/08/383128008.db2.gz HOKRXPRXAMZMLU-UHFFFAOYSA-N 0 0 290.319 2.580 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000554492197 383136101 /nfs/dbraw/zinc/13/61/01/383136101.db2.gz KSFRMEHAYOPPTQ-XYPYZODXSA-N 0 0 277.324 2.688 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nc(-c3ccncn3)no2)cc1 ZINC000339065267 383137558 /nfs/dbraw/zinc/13/75/58/383137558.db2.gz DROASKGIQLRHGV-ZZXKWVIFSA-N 0 0 295.258 2.605 20 5 CFBDRN CC[C@](C)(OC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000280479010 194853803 /nfs/dbraw/zinc/85/38/03/194853803.db2.gz LENRXOGOZBJGNA-ZDUSSCGKSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1c(CNC(=O)OCC2CC2)cccc1[N+](=O)[O-] ZINC000496276198 383082182 /nfs/dbraw/zinc/08/21/82/383082182.db2.gz QSSFIOGBFNUJMK-UHFFFAOYSA-N 0 0 264.281 2.539 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CO[C@H](C)C1 ZINC000584349486 383097812 /nfs/dbraw/zinc/09/78/12/383097812.db2.gz WPKPMZNZWFLGAH-KOLCDFICSA-N 0 0 293.323 2.511 20 5 CFBDRN CC(C)C(C)(C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000553435195 383109111 /nfs/dbraw/zinc/10/91/11/383109111.db2.gz LPSNPHIVUZDTDL-UHFFFAOYSA-N 0 0 268.288 2.898 20 5 CFBDRN CCCC[C@@H](C(=O)[O-])[N@@H+]1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000584535031 383111877 /nfs/dbraw/zinc/11/18/77/383111877.db2.gz NXSAXTRJIYKJQF-AWEZNQCLSA-N 0 0 292.335 2.596 20 5 CFBDRN C[N@H+](CCc1ccccc1[N+](=O)[O-])CC1(F)CC1 ZINC000584556864 383112451 /nfs/dbraw/zinc/11/24/51/383112451.db2.gz YBZSHYNREWBCDY-UHFFFAOYSA-N 0 0 252.289 2.571 20 5 CFBDRN O=C(CCOc1ccccc1[N+](=O)[O-])N1C2CCC1CC2 ZINC000517880230 383193361 /nfs/dbraw/zinc/19/33/61/383193361.db2.gz WDRXCPNIXVITFN-UHFFFAOYSA-N 0 0 290.319 2.517 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCO[C@H]2CCCCO2)c1 ZINC000566619401 383194784 /nfs/dbraw/zinc/19/47/84/383194784.db2.gz HHLIUBHHABVMGK-AWEZNQCLSA-N 0 0 297.307 2.525 20 5 CFBDRN Cc1noc([C@H](C)N[C@@H](C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000449276182 383195772 /nfs/dbraw/zinc/19/57/72/383195772.db2.gz BGSVGKMVVQBXAV-UWVGGRQHSA-N 0 0 290.323 2.568 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC[C@@H](F)C1 ZINC000424613098 383210128 /nfs/dbraw/zinc/21/01/28/383210128.db2.gz UOFHMVJWUJRIMA-CHWSQXEVSA-N 0 0 294.326 2.782 20 5 CFBDRN Cc1cnc(N[C@H]2C[C@@H]2c2cccnc2)c([N+](=O)[O-])c1 ZINC000339098367 383148229 /nfs/dbraw/zinc/14/82/29/383148229.db2.gz XZGSEPMPXHOLPO-NEPJUHHUSA-N 0 0 270.292 2.661 20 5 CFBDRN Cc1cnc(CCCC(=O)Nc2cccc([N+](=O)[O-])c2)o1 ZINC000449042480 383150098 /nfs/dbraw/zinc/15/00/98/383150098.db2.gz RXRWQAPBUNUODY-UHFFFAOYSA-N 0 0 289.291 2.853 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1cncs1 ZINC000339108735 383151826 /nfs/dbraw/zinc/15/18/26/383151826.db2.gz JFRORZSPYDDDNO-QMMMGPOBSA-N 0 0 292.320 2.934 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000458296014 383155386 /nfs/dbraw/zinc/15/53/86/383155386.db2.gz QZGZYFLGGQTAQD-NEPJUHHUSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccc(C[NH2+][C@H](C)[C@H](C(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000424618131 383211355 /nfs/dbraw/zinc/21/13/55/383211355.db2.gz JLCRRZTYZSWCNT-BXUZGUMPSA-N 0 0 294.351 2.738 20 5 CFBDRN CC1(C)CCN(C(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000339141780 383164889 /nfs/dbraw/zinc/16/48/89/383164889.db2.gz KUHIUQKCDXAVIR-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C\CN1CC[C@H](F)C1 ZINC000449387197 383255472 /nfs/dbraw/zinc/25/54/72/383255472.db2.gz KVWDYOLJBKNBOL-FHMRSRPSSA-N 0 0 250.273 2.652 20 5 CFBDRN COc1cc(CN[C@H]2CCC[C@H]2F)c([N+](=O)[O-])cc1OC ZINC000339587716 383255845 /nfs/dbraw/zinc/25/58/45/383255845.db2.gz SDSNHNVQPQDRTF-MNOVXSKESA-N 0 0 298.314 2.592 20 5 CFBDRN CC(C)Oc1nc(N2C3CCC2CC3)ccc1[N+](=O)[O-] ZINC000520428063 383256422 /nfs/dbraw/zinc/25/64/22/383256422.db2.gz JRMMEAIEQRUIRZ-UHFFFAOYSA-N 0 0 277.324 2.908 20 5 CFBDRN C[C@]1(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1F ZINC000424776074 383258023 /nfs/dbraw/zinc/25/80/23/383258023.db2.gz IISWGUVALNLJAQ-JQWIXIFHSA-N 0 0 286.690 2.613 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)C[C@H]1F)c1ccc([N+](=O)[O-])cc1 ZINC000424849939 383279688 /nfs/dbraw/zinc/27/96/88/383279688.db2.gz JWHAKLXOWIYSBM-KDDOJWQBSA-N 0 0 266.272 2.520 20 5 CFBDRN CC(C)n1ccc(CNc2ncc([N+](=O)[O-])cc2F)n1 ZINC000519812863 383238675 /nfs/dbraw/zinc/23/86/75/383238675.db2.gz ZVPOAVVYBBSJPZ-UHFFFAOYSA-N 0 0 279.275 2.518 20 5 CFBDRN CC1(C)CC(CNc2ncc([N+](=O)[O-])cc2F)C1 ZINC000567092180 383240509 /nfs/dbraw/zinc/24/05/09/383240509.db2.gz KNXGRERGQOJICK-UHFFFAOYSA-N 0 0 253.277 2.977 20 5 CFBDRN CC[C@H](NCc1csc([N+](=O)[O-])c1)C(F)F ZINC000449366697 383241436 /nfs/dbraw/zinc/24/14/36/383241436.db2.gz ZWJGSXLZSQBVTR-ZETCQYMHSA-N 0 0 250.270 2.790 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N1C2CCC1CC2 ZINC000567139351 383246040 /nfs/dbraw/zinc/24/60/40/383246040.db2.gz OIZFMQUEOWNXGT-UHFFFAOYSA-N 0 0 296.273 2.569 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000466148153 383333899 /nfs/dbraw/zinc/33/38/99/383333899.db2.gz ZQRVCTBXCSCOMO-CYBMUJFWSA-N 0 0 291.351 2.721 20 5 CFBDRN Cc1nn(C)c(NCc2ccc([C@@H]3C[C@@H]3C)o2)c1[N+](=O)[O-] ZINC000522284603 383333966 /nfs/dbraw/zinc/33/39/66/383333966.db2.gz AHTOIKFPDNTPRC-GZMMTYOYSA-N 0 0 290.323 2.965 20 5 CFBDRN CC(=O)c1ccc(OCc2snnc2C)c([N+](=O)[O-])c1 ZINC000567986619 383340325 /nfs/dbraw/zinc/34/03/25/383340325.db2.gz YZQUJYHTBFXVTB-UHFFFAOYSA-N 0 0 293.304 2.536 20 5 CFBDRN Cc1cc(CC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)on1 ZINC000568011389 383343947 /nfs/dbraw/zinc/34/39/47/383343947.db2.gz MPAZZHXXPGPSET-UHFFFAOYSA-N 0 0 289.291 2.689 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC(F)(F)C(F)(F)C1 ZINC000459679367 383293252 /nfs/dbraw/zinc/29/32/52/383293252.db2.gz QEBNASGGZXFBOG-UHFFFAOYSA-N 0 0 278.205 2.681 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000424899881 383293363 /nfs/dbraw/zinc/29/33/63/383293363.db2.gz WQVCIHCMQXDYLX-GWCFXTLKSA-N 0 0 292.310 2.900 20 5 CFBDRN O=[N+]([O-])c1ccc([O-])cc1C[NH2+][C@H]1CCC[C@@H]2C[C@@H]21 ZINC000459758107 383296901 /nfs/dbraw/zinc/29/69/01/383296901.db2.gz LESWYTCMMPSZMU-ICCXJUOJSA-N 0 0 262.309 2.579 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1C[C@@H]2C[C@H]1CS2 ZINC000459797292 383298190 /nfs/dbraw/zinc/29/81/90/383298190.db2.gz RZJFUKBNCZKYTE-IUCAKERBSA-N 0 0 284.768 2.938 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1C=CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000521528350 383298782 /nfs/dbraw/zinc/29/87/82/383298782.db2.gz RRGBTBUGNJJOIC-DGCLKSJQSA-N 0 0 274.320 2.923 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@H](F)C1 ZINC000567685663 383302790 /nfs/dbraw/zinc/30/27/90/383302790.db2.gz HRFJFGFSDKMKTM-NEPJUHHUSA-N 0 0 280.299 2.739 20 5 CFBDRN COc1cc(NCCC[C@@H](C)CO)c([N+](=O)[O-])cc1F ZINC000340075553 383349847 /nfs/dbraw/zinc/34/98/47/383349847.db2.gz CLISVJMECBRBOJ-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1NCCOCC1CC1)CCCC2 ZINC000567751477 383311415 /nfs/dbraw/zinc/31/14/15/383311415.db2.gz DPPSGCKOOXYWCE-UHFFFAOYSA-N 0 0 291.351 2.707 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOC[C@@H]2CC2CCC2)c1 ZINC000339778456 383315050 /nfs/dbraw/zinc/31/50/50/383315050.db2.gz VYNDHVPTXYJNHI-INIZCTEOSA-N 0 0 290.363 2.986 20 5 CFBDRN Cc1ccnc(NCc2ccc(CO)c(F)c2)c1[N+](=O)[O-] ZINC000339786402 383318452 /nfs/dbraw/zinc/31/84/52/383318452.db2.gz POGHIVVMHUEDGL-UHFFFAOYSA-N 0 0 291.282 2.542 20 5 CFBDRN CCOC(=O)C(C)(C)N(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000339786684 383318722 /nfs/dbraw/zinc/31/87/22/383318722.db2.gz UEQYGYKXZORXNM-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000465574029 383324690 /nfs/dbraw/zinc/32/46/90/383324690.db2.gz OUCYSRZQLAIYMU-WCQYABFASA-N 0 0 291.351 2.925 20 5 CFBDRN CCO[C@H]1CC[N@H+](Cc2cccc([N+](=O)[O-])c2)C[C@@H]1C ZINC000449489746 383326902 /nfs/dbraw/zinc/32/69/02/383326902.db2.gz AWKAIBYDCQHMPB-WFASDCNBSA-N 0 0 278.352 2.842 20 5 CFBDRN C[C@]12CN(c3ccc([N+](=O)[O-])cn3)C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000568356547 383393892 /nfs/dbraw/zinc/39/38/92/383393892.db2.gz YHNGVCULIBITPX-NDPMZMCLSA-N 0 0 273.336 2.862 20 5 CFBDRN CCN(CCc1nccs1)c1ccc([N+](=O)[O-])nc1 ZINC000450401812 383393967 /nfs/dbraw/zinc/39/39/67/383393967.db2.gz HUVZFWNMNRTPHE-UHFFFAOYSA-N 0 0 278.337 2.515 20 5 CFBDRN O=C1CCN(Cc2csc([N+](=O)[O-])c2)C2(CCC2)C1 ZINC000568529363 383412285 /nfs/dbraw/zinc/41/22/85/383412285.db2.gz LKSXDDFWYNSYSK-UHFFFAOYSA-N 0 0 280.349 2.744 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)NCc1ccccc1 ZINC000340102435 383355544 /nfs/dbraw/zinc/35/55/44/383355544.db2.gz LKCOVIANYFZWSZ-UHFFFAOYSA-N 0 0 299.330 2.637 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCc1ccccc1Cl ZINC000340153048 383364045 /nfs/dbraw/zinc/36/40/45/383364045.db2.gz WFZNWCQGWIOGTE-UHFFFAOYSA-N 0 0 277.711 2.720 20 5 CFBDRN CCC[C@H](Nc1ccc([N+](=O)[O-])nc1)C(=O)OC(C)(C)C ZINC000450447470 383414621 /nfs/dbraw/zinc/41/46/21/383414621.db2.gz VXUYKNGFNPTGMM-NSHDSACASA-N 0 0 295.339 2.912 20 5 CFBDRN CCCCOCCOc1ccc([N+](=O)[O-])c(C(=O)OC)c1 ZINC000481903550 383430495 /nfs/dbraw/zinc/43/04/95/383430495.db2.gz XBKLNHJKFVSZOE-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN C[C@@H](c1csnn1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000450481581 383430460 /nfs/dbraw/zinc/43/04/60/383430460.db2.gz DZKWYLZJRZKZBO-VIFPVBQESA-N 0 0 290.348 2.566 20 5 CFBDRN CCc1ccc(CN(C)C(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000340476704 383436357 /nfs/dbraw/zinc/43/63/57/383436357.db2.gz AWBSYITXFMDLBA-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CCC(C)(C)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000428266359 383439651 /nfs/dbraw/zinc/43/96/51/383439651.db2.gz KLVLSHHARJDKSC-UHFFFAOYSA-N 0 0 268.288 2.900 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC[C@@H]2[C@H]2CCC[C@H]2O)s1 ZINC000450686339 383481957 /nfs/dbraw/zinc/48/19/57/383481957.db2.gz UMYSNOPUEVKWOE-GMTAPVOTSA-N 0 0 297.380 2.571 20 5 CFBDRN Cc1nc(NCC2(c3ccccn3)CCC2)ncc1[N+](=O)[O-] ZINC000450534623 383450045 /nfs/dbraw/zinc/45/00/45/383450045.db2.gz GOSXHEBEEPYKJD-UHFFFAOYSA-N 0 0 299.334 2.622 20 5 CFBDRN CC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])[nH]1)c1ccccc1 ZINC000340595046 383482008 /nfs/dbraw/zinc/48/20/08/383482008.db2.gz RLJZIOURZYGNTL-NSHDSACASA-N 0 0 287.319 2.847 20 5 CFBDRN COCC(C)(C)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450538812 383452396 /nfs/dbraw/zinc/45/23/96/383452396.db2.gz HNICRQHTMOLIQE-UHFFFAOYSA-N 0 0 289.335 2.649 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCO[C@@H](C)C1 ZINC000569000630 383459500 /nfs/dbraw/zinc/45/95/00/383459500.db2.gz LKEYUOMASIEFJK-UWVGGRQHSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1nc(C)c(F)c(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000450554517 383459475 /nfs/dbraw/zinc/45/94/75/383459475.db2.gz SBYHTLMPLGNEIC-QMMMGPOBSA-N 0 0 290.298 2.736 20 5 CFBDRN COC(=O)c1cnc(NCC2=CCCCC2)c([N+](=O)[O-])c1 ZINC000450580444 383468930 /nfs/dbraw/zinc/46/89/30/383468930.db2.gz FZCSKIDOXOQXSY-UHFFFAOYSA-N 0 0 291.307 2.689 20 5 CFBDRN CC[C@@H](CO)N(C)c1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000450580675 383469242 /nfs/dbraw/zinc/46/92/42/383469242.db2.gz OJZJGKJZGHYTEZ-NSHDSACASA-N 0 0 289.335 2.659 20 5 CFBDRN CN(Cc1ccccn1)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000340564298 383472065 /nfs/dbraw/zinc/47/20/65/383472065.db2.gz YYHAODYTPGLCTO-UHFFFAOYSA-N 0 0 293.273 2.900 20 5 CFBDRN CCCOc1ccccc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340562427 383472311 /nfs/dbraw/zinc/47/23/11/383472311.db2.gz RESNXRULRDDQMU-UHFFFAOYSA-N 0 0 289.291 2.964 20 5 CFBDRN CCC[C@@H](C)[C@@H](CO)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000568635987 383421697 /nfs/dbraw/zinc/42/16/97/383421697.db2.gz YARZVQRCAOKJDC-ZWNOBZJWSA-N 0 0 295.339 2.513 20 5 CFBDRN CC(C)(C)CCNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341053601 383546935 /nfs/dbraw/zinc/54/69/35/383546935.db2.gz IVYHMYYNFFOIMY-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000450800306 383495132 /nfs/dbraw/zinc/49/51/32/383495132.db2.gz UXWWOYIJLZBRCN-AAEUAGOBSA-N 0 0 259.309 2.615 20 5 CFBDRN CC(F)(F)CCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000450824151 383504920 /nfs/dbraw/zinc/50/49/20/383504920.db2.gz WGKRLSSZNDCNLN-UHFFFAOYSA-N 0 0 282.250 2.899 20 5 CFBDRN CCc1cnc(COc2c(C)c(C)ncc2[N+](=O)[O-])o1 ZINC000569502897 383507425 /nfs/dbraw/zinc/50/74/25/383507425.db2.gz LZXMXAOJXHDSFN-UHFFFAOYSA-N 0 0 277.280 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@H]2CCC[C@@H](O)C2)nc1 ZINC000488254662 383509275 /nfs/dbraw/zinc/50/92/75/383509275.db2.gz VLZMRPYFJZZQSR-GXSJLCMTSA-N 0 0 268.338 2.633 20 5 CFBDRN Cc1cnc(N(C)[C@H](CO)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000450831692 383510402 /nfs/dbraw/zinc/51/04/02/383510402.db2.gz GTAFZLURMQTKOV-NSHDSACASA-N 0 0 281.356 2.532 20 5 CFBDRN Cc1cc(COc2c(Cl)cccc2[N+](=O)[O-])ncn1 ZINC000488265938 383510691 /nfs/dbraw/zinc/51/06/91/383510691.db2.gz OWKICBVJCZUSOW-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)[C@@H](CO)CC(C)(C)C)n1 ZINC000450830849 383510978 /nfs/dbraw/zinc/51/09/78/383510978.db2.gz FEJZREUIMTVSOM-GFCCVEGCSA-N 0 0 295.383 2.840 20 5 CFBDRN Cc1cc(N(C)[C@@H](CO)CC(C)(C)C)ncc1[N+](=O)[O-] ZINC000450837249 383515162 /nfs/dbraw/zinc/51/51/62/383515162.db2.gz SVQNKWPBRQYWKS-LLVKDONJSA-N 0 0 281.356 2.532 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2COCC2(C)C)c([N+](=O)[O-])c1 ZINC000450851812 383520838 /nfs/dbraw/zinc/52/08/38/383520838.db2.gz CKAGNSGNAYCKMI-ZDUSSCGKSA-N 0 0 278.308 2.634 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@]2(CCOC2)C1 ZINC000450887065 383535489 /nfs/dbraw/zinc/53/54/89/383535489.db2.gz XZANJEGIDBOCQE-CQSZACIVSA-N 0 0 280.299 2.741 20 5 CFBDRN CCn1nnc(C)c1CSCc1ccc([N+](=O)[O-])cc1 ZINC000450892916 383539015 /nfs/dbraw/zinc/53/90/15/383539015.db2.gz QRDHLAQPJNYNLK-UHFFFAOYSA-N 0 0 292.364 2.948 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CC[C@@H]3CCOC3)c2)c1 ZINC000450897693 383541102 /nfs/dbraw/zinc/54/11/02/383541102.db2.gz RKOFHVFFZHITLC-GFCCVEGCSA-N 0 0 287.319 2.885 20 5 CFBDRN CCOC[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000429552585 383543013 /nfs/dbraw/zinc/54/30/13/383543013.db2.gz BBJJMWYNWSSIFN-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN O=C(NC1(C(F)F)CCCCC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000341123021 383572651 /nfs/dbraw/zinc/57/26/51/383572651.db2.gz HKOXNYZSUKABGR-UHFFFAOYSA-N 0 0 287.266 2.621 20 5 CFBDRN Cc1nc(NC(=O)c2ccnc(C3CC3)c2)ccc1[N+](=O)[O-] ZINC000341149342 383583548 /nfs/dbraw/zinc/58/35/48/383583548.db2.gz FWWHIOJKRPUNAX-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCSC[C@H]1C ZINC000430220980 383591092 /nfs/dbraw/zinc/59/10/92/383591092.db2.gz RFOPIPGKZVOGDT-SNVBAGLBSA-N 0 0 282.365 2.541 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC(CF)CC1 ZINC000451389010 383606045 /nfs/dbraw/zinc/60/60/45/383606045.db2.gz OPRDVDSPGAFWBJ-UHFFFAOYSA-N 0 0 270.279 2.915 20 5 CFBDRN CCCN(Cc1cccc([N+](=O)[O-])c1)[C@H](C)C(=O)OCC ZINC000429713802 383562287 /nfs/dbraw/zinc/56/22/87/383562287.db2.gz VWTUVIGCFKAINS-GFCCVEGCSA-N 0 0 294.351 2.758 20 5 CFBDRN COCC1(CCNc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000570086573 383569514 /nfs/dbraw/zinc/56/95/14/383569514.db2.gz QIWFTHXHIBMPJP-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN CC(C)CN(C)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429805132 383571456 /nfs/dbraw/zinc/57/14/56/383571456.db2.gz JGLHJXVMMJSMDL-UHFFFAOYSA-N 0 0 278.352 2.987 20 5 CFBDRN CSCCN(C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000341119102 383571969 /nfs/dbraw/zinc/57/19/69/383571969.db2.gz QTWDHCMGTKPKFS-SNVBAGLBSA-N 0 0 297.380 2.660 20 5 CFBDRN O=c1onc(-c2cccc([N+](=O)[O-])c2)n1[C@@H]1C=CCCC1 ZINC000570842619 383640701 /nfs/dbraw/zinc/64/07/01/383640701.db2.gz ZSGJGEFXTPJWIS-LLVKDONJSA-N 0 0 287.275 2.693 20 5 CFBDRN Cc1cc(=O)[nH]c(C(=O)Nc2cccc([N+](=O)[O-])c2C)c1 ZINC000431252504 383641296 /nfs/dbraw/zinc/64/12/96/383641296.db2.gz KVRGSSUMYCJKSO-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NCCCCCCO ZINC000280900685 195021623 /nfs/dbraw/zinc/02/16/23/195021623.db2.gz BOLAUVNIFUKJDL-UHFFFAOYSA-N 0 0 282.340 2.958 20 5 CFBDRN COc1cc(C[N@@H+]2C[C@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000490084125 383652288 /nfs/dbraw/zinc/65/22/88/383652288.db2.gz HSHZMMRENUTUPR-MNOVXSKESA-N 0 0 264.325 2.834 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000263137427 383655236 /nfs/dbraw/zinc/65/52/36/383655236.db2.gz DHWDHZGAKHXHQC-IJLUTSLNSA-N 0 0 292.335 2.698 20 5 CFBDRN CN(Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)[C@@H]1CC1(C)C ZINC000489475076 383622829 /nfs/dbraw/zinc/62/28/29/383622829.db2.gz LRFAACHBCSGFPE-GFCCVEGCSA-N 0 0 274.324 2.701 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(CCCCC2CCOCC2)c1=O ZINC000280873148 195009180 /nfs/dbraw/zinc/00/91/80/195009180.db2.gz BQLLGWITEYWPHN-UHFFFAOYSA-N 0 0 294.351 2.662 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000263329273 383658961 /nfs/dbraw/zinc/65/89/61/383658961.db2.gz LDXVVXPKHPNDCU-WZRBSPASSA-N 0 0 292.335 2.698 20 5 CFBDRN Cc1ccn(Cc2cc3ccccc3o2)c(=O)c1[N+](=O)[O-] ZINC000489658356 383631975 /nfs/dbraw/zinc/63/19/75/383631975.db2.gz CGIJVIIJQQYNAN-UHFFFAOYSA-N 0 0 284.271 2.859 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C(F)(F)F ZINC000451526450 383636024 /nfs/dbraw/zinc/63/60/24/383636024.db2.gz CRHYSEXJEWBODL-RKDXNWHRSA-N 0 0 292.188 2.871 20 5 CFBDRN CCC[C@@H](C)C(=O)NCCNc1c(C)cccc1[N+](=O)[O-] ZINC000431481614 383675954 /nfs/dbraw/zinc/67/59/54/383675954.db2.gz HHPRAIZKKGORDG-GFCCVEGCSA-N 0 0 293.367 2.868 20 5 CFBDRN CC/C=C\CCn1c(=O)c([N+](=O)[O-])cc2c1CCCC2 ZINC000571045967 383661700 /nfs/dbraw/zinc/66/17/00/383661700.db2.gz QXBMRBGFRJFSSJ-ARJAWSKDSA-N 0 0 276.336 2.992 20 5 CFBDRN Cc1ccc(NC(=O)c2ccn(C(C)C)n2)cc1[N+](=O)[O-] ZINC000264934985 383804128 /nfs/dbraw/zinc/80/41/28/383804128.db2.gz XBSFQQGSSQZVIG-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CCC1(NC(=O)Cc2ccc(OC)c([N+](=O)[O-])c2)CCC1 ZINC000530977507 383808676 /nfs/dbraw/zinc/80/86/76/383808676.db2.gz HXQFSYZFQWYOIN-UHFFFAOYSA-N 0 0 292.335 2.595 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000428095670 533010092 /nfs/dbraw/zinc/01/00/92/533010092.db2.gz YNVSLXPECJWTQQ-IWIIMEHWSA-N 0 0 292.360 2.845 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC2(C)C)c1 ZINC000531086830 383817560 /nfs/dbraw/zinc/81/75/60/383817560.db2.gz JYTBLYUGOMNKKM-UHFFFAOYSA-N 0 0 280.349 2.941 20 5 CFBDRN COc1cc(N2CC[C@H](c3cccnc3)C2)ccc1[N+](=O)[O-] ZINC000572837704 383824332 /nfs/dbraw/zinc/82/43/32/383824332.db2.gz AURLMRSYZZHUHR-ZDUSSCGKSA-N 0 0 299.330 2.992 20 5 CFBDRN CCC[C@](C)(O)CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000264775554 383781154 /nfs/dbraw/zinc/78/11/54/383781154.db2.gz AVDJALAPJVHUEJ-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000264772278 383781539 /nfs/dbraw/zinc/78/15/39/383781539.db2.gz GOOMYPFZLGKKHW-YGRLFVJLSA-N 0 0 289.335 2.962 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)c1[N+](=O)[O-] ZINC000428117281 533011995 /nfs/dbraw/zinc/01/19/95/533011995.db2.gz SIYVSGMFXNRGQF-BZBKMWRSSA-N 0 0 292.360 2.845 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CC1CCC1 ZINC000572524049 383789307 /nfs/dbraw/zinc/78/93/07/383789307.db2.gz WCQZWJGNBZEGME-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN COc1cc(C(=O)NC(C)(C)C2CC2)cc([N+](=O)[O-])c1C ZINC000572906397 383837068 /nfs/dbraw/zinc/83/70/68/383837068.db2.gz CVCBITMWLVEZER-UHFFFAOYSA-N 0 0 292.335 2.830 20 5 CFBDRN Cc1cc(OCc2nc(C3(C)CC3)no2)ccc1[N+](=O)[O-] ZINC000453290225 383839821 /nfs/dbraw/zinc/83/98/21/383839821.db2.gz AQGUQQAMHCBQFH-UHFFFAOYSA-N 0 0 289.291 2.917 20 5 CFBDRN Cc1nn(C)c(NCCCNc2ccccc2)c1[N+](=O)[O-] ZINC000265155557 383844695 /nfs/dbraw/zinc/84/46/95/383844695.db2.gz UNYWPLSOIZUGDO-UHFFFAOYSA-N 0 0 289.339 2.551 20 5 CFBDRN COc1cc(N2CCC[C@H](F)C2)c([N+](=O)[O-])cc1F ZINC000569297973 322462540 /nfs/dbraw/zinc/46/25/40/322462540.db2.gz MFCUEELMHFGPLX-QMMMGPOBSA-N 0 0 272.251 2.681 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Cn1cccc([N+](=O)[O-])c1=O ZINC000572986211 383855725 /nfs/dbraw/zinc/85/57/25/383855725.db2.gz BKHSEAPDXQNZEP-GHMZBOCLSA-N 0 0 250.298 2.583 20 5 CFBDRN O=[N+]([O-])c1cccc(NCCC2CCOCC2)c1 ZINC000265233616 383869730 /nfs/dbraw/zinc/86/97/30/383869730.db2.gz PUOADVXNXVYOII-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN CCc1noc(CCOc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000265262101 383876541 /nfs/dbraw/zinc/87/65/41/383876541.db2.gz KIVYKMAEMRYZON-UHFFFAOYSA-N 0 0 297.698 2.815 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC1(CF)CCC1 ZINC000573115073 383876860 /nfs/dbraw/zinc/87/68/60/383876860.db2.gz YKMMEGGIBCUFRY-UHFFFAOYSA-N 0 0 297.286 2.617 20 5 CFBDRN CCN(c1ccc([N+](=O)[O-])cn1)[C@@H](C)c1cccnc1 ZINC000265258622 383877433 /nfs/dbraw/zinc/87/74/33/383877433.db2.gz XYILEMWOUSTCEI-NSHDSACASA-N 0 0 272.308 2.972 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CC[C@H](C)O1 ZINC000454141976 383912278 /nfs/dbraw/zinc/91/22/78/383912278.db2.gz HQDSMIDYNVBKHQ-CMPLNLGQSA-N 0 0 293.323 2.592 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1ncc([N+](=O)[O-])cc1Cl ZINC000265480111 383927194 /nfs/dbraw/zinc/92/71/94/383927194.db2.gz CNBNPGGCXVPEHK-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(O)Cc3ccccc3C2)c1 ZINC000531531358 383882535 /nfs/dbraw/zinc/88/25/35/383882535.db2.gz DIPCORLQULRYHZ-UHFFFAOYSA-N 0 0 298.342 2.845 20 5 CFBDRN Cc1nnc([C@@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)o1 ZINC000531585000 383893096 /nfs/dbraw/zinc/89/30/96/383893096.db2.gz GLFJSNNJWSMEFO-RXMQYKEDSA-N 0 0 283.675 2.508 20 5 CFBDRN Cc1cn(CCOc2ccc(Cl)cc2)nc1[N+](=O)[O-] ZINC000281071000 195092206 /nfs/dbraw/zinc/09/22/06/195092206.db2.gz ALZOIRGBJFBKBG-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000531631446 383899334 /nfs/dbraw/zinc/89/93/34/383899334.db2.gz VFMVTXCVKKWUNK-NSHDSACASA-N 0 0 285.303 2.789 20 5 CFBDRN C[C@@H]1CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000531627100 383900059 /nfs/dbraw/zinc/90/00/59/383900059.db2.gz YUFGIOHTUKPDEK-MNOVXSKESA-N 0 0 277.324 2.546 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000454286820 383977787 /nfs/dbraw/zinc/97/77/87/383977787.db2.gz CBFSXVAMLBZHFR-JSGCOSHPSA-N 0 0 291.351 2.686 20 5 CFBDRN CC(C)(O)CCSCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000573739899 383982991 /nfs/dbraw/zinc/98/29/91/383982991.db2.gz BYBOPJGAKDOODL-UHFFFAOYSA-N 0 0 295.364 2.865 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H](C)O[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000438376337 383995945 /nfs/dbraw/zinc/99/59/45/383995945.db2.gz JJXSPOMLGKNPJL-SDDRHHMPSA-N 0 0 292.335 2.541 20 5 CFBDRN O=C(Nc1c(F)cc(F)cc1F)c1ccc([N+](=O)[O-])cn1 ZINC000531882920 383937510 /nfs/dbraw/zinc/93/75/10/383937510.db2.gz WPQNLIZSCLEAJF-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN CC1(C)CCC[C@@H](CNC(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000454343051 384000856 /nfs/dbraw/zinc/00/08/56/384000856.db2.gz GHDZLNSCQUEXAJ-SNVBAGLBSA-N 0 0 279.340 2.869 20 5 CFBDRN Cc1cc(C(=O)NCc2ccc([N+](=O)[O-])cc2)oc1C ZINC000532011291 383959817 /nfs/dbraw/zinc/95/98/17/383959817.db2.gz HDEYYYCYDSFOOW-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN COc1ccc(C)cc1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532391066 384023403 /nfs/dbraw/zinc/02/34/03/384023403.db2.gz XIOGYPWYZYWHJT-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1cccc2c1N(C(=O)c1ccc([N+](=O)[O-])cn1)CC2 ZINC000532395473 384024343 /nfs/dbraw/zinc/02/43/43/384024343.db2.gz DFCGPNOIRWKGHB-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CCCOC(C)C ZINC000265802017 384004843 /nfs/dbraw/zinc/00/48/43/384004843.db2.gz RBYJDLCQXVUJNL-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN CC(C)(CNC(=O)c1cc([N+](=O)[O-])c[nH]1)C1=CCCC1 ZINC000454364786 384007873 /nfs/dbraw/zinc/00/78/73/384007873.db2.gz UAIOESPWFGQPAD-UHFFFAOYSA-N 0 0 277.324 2.789 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000265990039 384046430 /nfs/dbraw/zinc/04/64/30/384046430.db2.gz HVSMYILPJSLJOW-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1C[C@H](C)C[C@@H]1C ZINC000532587792 384047179 /nfs/dbraw/zinc/04/71/79/384047179.db2.gz WTCALKTUOPNDEX-PWSUYJOCSA-N 0 0 291.351 2.572 20 5 CFBDRN CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C1CCC1 ZINC000266003244 384049935 /nfs/dbraw/zinc/04/99/35/384049935.db2.gz AGMATLOCQAXJPP-UHFFFAOYSA-N 0 0 274.276 2.966 20 5 CFBDRN Cc1ccc([C@H](O)CNc2ncc([N+](=O)[O-])cc2Cl)o1 ZINC000265910793 384027921 /nfs/dbraw/zinc/02/79/21/384027921.db2.gz ATAYDUNGRQHETB-SNVBAGLBSA-N 0 0 297.698 2.690 20 5 CFBDRN Cc1nc(N(C)[C@@H](C)C(C)(C)C)ncc1[N+](=O)[O-] ZINC000574030549 384032178 /nfs/dbraw/zinc/03/21/78/384032178.db2.gz IPPNKBSJXRQVFC-VIFPVBQESA-N 0 0 252.318 2.564 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC1(O)Cc2ccccc2C1 ZINC000532488352 384035317 /nfs/dbraw/zinc/03/53/17/384035317.db2.gz ZRWOCTNHNWPBOB-UHFFFAOYSA-N 0 0 298.342 2.845 20 5 CFBDRN CC1(C)[C@H](Nc2cccc(F)c2[N+](=O)[O-])[C@H]2CCO[C@@H]21 ZINC000265953080 384036069 /nfs/dbraw/zinc/03/60/69/384036069.db2.gz HRGPKURFGNDDQN-WQHBLYJGSA-N 0 0 280.299 2.959 20 5 CFBDRN C[C@@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000266012610 384053684 /nfs/dbraw/zinc/05/36/84/384053684.db2.gz JQCLLEONIBSAHM-VXGBXAGGSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H]1C[C@@H](C)CCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266012606 384053950 /nfs/dbraw/zinc/05/39/50/384053950.db2.gz JQCLLEONIBSAHM-NWDGAFQWSA-N 0 0 276.336 2.784 20 5 CFBDRN CC1=C(C(=O)NCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000533007002 384090275 /nfs/dbraw/zinc/09/02/75/384090275.db2.gz IMAJIODPRRNCCJ-UHFFFAOYSA-N 0 0 260.293 2.711 20 5 CFBDRN O=c1c2ccccc2cnn1CCc1ccc([N+](=O)[O-])cc1 ZINC000440083997 384059387 /nfs/dbraw/zinc/05/93/87/384059387.db2.gz ZNTBZRJQLVRYQU-UHFFFAOYSA-N 0 0 295.298 2.547 20 5 CFBDRN CC(C)(C)SCCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000266058135 384065494 /nfs/dbraw/zinc/06/54/94/384065494.db2.gz BVSCTQWGOLQUDW-UHFFFAOYSA-N 0 0 296.392 2.785 20 5 CFBDRN Cc1nn(C)c(NCCCc2cccs2)c1[N+](=O)[O-] ZINC000574345448 384082789 /nfs/dbraw/zinc/08/27/89/384082789.db2.gz AVNMWEQLGTWXLI-UHFFFAOYSA-N 0 0 280.353 2.743 20 5 CFBDRN CC[C@@H](F)Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000440591592 384109591 /nfs/dbraw/zinc/10/95/91/384109591.db2.gz QVRRWFNFDKEQNY-SNVBAGLBSA-N 0 0 264.260 2.601 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCNc2ccccn2)c(F)c1 ZINC000440596720 384110206 /nfs/dbraw/zinc/11/02/06/384110206.db2.gz KQKQVUXTCYABBN-UHFFFAOYSA-N 0 0 294.261 2.792 20 5 CFBDRN O=[N+]([O-])c1c(N2CCCCCC2)nc2sccn21 ZINC000008308365 285077753 /nfs/dbraw/zinc/07/77/53/285077753.db2.gz CLPSJUYMPWRYCN-UHFFFAOYSA-N 0 0 266.326 2.684 20 5 CFBDRN COc1cc(NCCc2cccnc2)ccc1[N+](=O)[O-] ZINC000111046840 533033390 /nfs/dbraw/zinc/03/33/90/533033390.db2.gz CKVROEKYZNKALC-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN O=[N+]([O-])c1c(S[C@@H]2CCCOC2)nc2sccn21 ZINC000272466750 285079246 /nfs/dbraw/zinc/07/92/46/285079246.db2.gz MKJGHGCVXHHIHU-SSDOTTSWSA-N 0 0 285.350 2.575 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000413528203 533035610 /nfs/dbraw/zinc/03/56/10/533035610.db2.gz GMJAQKKOESUPEP-DCAQKATOSA-N 0 0 280.324 2.829 20 5 CFBDRN COc1ccc(NC(=O)N2CC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000455110637 384143601 /nfs/dbraw/zinc/14/36/01/384143601.db2.gz XJPJUPPVRLLXIL-LLVKDONJSA-N 0 0 291.307 2.620 20 5 CFBDRN CNC(=O)c1ccc(N2C[C@H](C)CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000266485819 384184843 /nfs/dbraw/zinc/18/48/43/384184843.db2.gz RFGOQMGMYQWUKW-GHMZBOCLSA-N 0 0 291.351 2.579 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC2(C1)CCOCC2 ZINC000266491895 384185517 /nfs/dbraw/zinc/18/55/17/384185517.db2.gz SOCPLKNWNQQUKR-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN CCN(CCOC)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000266442454 384176831 /nfs/dbraw/zinc/17/68/31/384176831.db2.gz WHYLWLDCEVRAEF-UHFFFAOYSA-N 0 0 290.266 2.669 20 5 CFBDRN C[C@@H](NC(=O)C1=CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000177912514 533043733 /nfs/dbraw/zinc/04/37/33/533043733.db2.gz HXAMQDSERBELCI-SNVBAGLBSA-N 0 0 260.293 2.882 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc(-c2ccncc2)no1 ZINC000161898643 285094347 /nfs/dbraw/zinc/09/43/47/285094347.db2.gz OPQSISILYHQHKY-UHFFFAOYSA-N 0 0 286.222 2.846 20 5 CFBDRN CCCc1noc(-c2cc(OC)c(OC)c([N+](=O)[O-])c2)n1 ZINC000266589430 384203395 /nfs/dbraw/zinc/20/33/95/384203395.db2.gz NSTUUCLFFQXLEY-UHFFFAOYSA-N 0 0 293.279 2.615 20 5 CFBDRN CC(C)Cc1noc(Cc2cccc([N+](=O)[O-])c2)n1 ZINC000266599241 384205903 /nfs/dbraw/zinc/20/59/03/384205903.db2.gz PHQNORKRTHAUHP-UHFFFAOYSA-N 0 0 261.281 2.767 20 5 CFBDRN CCN(Cc1c(F)cccc1[N+](=O)[O-])C[C@@H](C)OC ZINC000361559761 285093247 /nfs/dbraw/zinc/09/32/47/285093247.db2.gz WNIGKIHIUGNFJJ-SNVBAGLBSA-N 0 0 270.304 2.591 20 5 CFBDRN COc1cc(NC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000277130858 384210038 /nfs/dbraw/zinc/21/00/38/384210038.db2.gz JHORIBXVVIRMNJ-UHFFFAOYSA-N 0 0 258.224 2.813 20 5 CFBDRN C[C@@H]1CSCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000277141970 384212826 /nfs/dbraw/zinc/21/28/26/384212826.db2.gz DVDZHVUNHKQZSV-VIFPVBQESA-N 0 0 270.329 2.923 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC3(C2)CCCC3)s1 ZINC000367814011 285098454 /nfs/dbraw/zinc/09/84/54/285098454.db2.gz LKHBDHMQQSPDFI-UHFFFAOYSA-N 0 0 290.348 2.980 20 5 CFBDRN Cc1ccc(N(C)CCn2cc([N+](=O)[O-])cn2)cc1C ZINC000266887559 384253436 /nfs/dbraw/zinc/25/34/36/384253436.db2.gz CJBSCSQFVPRTPI-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN CC(C)[C@H]1OCC[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000277291227 384257894 /nfs/dbraw/zinc/25/78/94/384257894.db2.gz BFUZYGALFWUMDF-UONOGXRCSA-N 0 0 292.335 2.619 20 5 CFBDRN COc1cc(N[C@H]2CCOC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000277309195 384262843 /nfs/dbraw/zinc/26/28/43/384262843.db2.gz BHDRCYLWLUYKBS-WPRPVWTQSA-N 0 0 284.287 2.579 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000267150377 384309423 /nfs/dbraw/zinc/30/94/23/384309423.db2.gz ZTBFCEPMUPOOAU-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCO[C@H](C2CC2)C1 ZINC000372754786 285101995 /nfs/dbraw/zinc/10/19/95/285101995.db2.gz AHMVYZMBKCQKKC-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN COC(=O)[C@H](C)SCc1ccc([N+](=O)[O-])cc1F ZINC000267048361 384287169 /nfs/dbraw/zinc/28/71/69/384287169.db2.gz OOAZCUSDIYZQEO-ZETCQYMHSA-N 0 0 273.285 2.529 20 5 CFBDRN CC[C@H](O)[C@H]1CCCC[N@@H+]1Cc1ccc([N+](=O)[O-])cc1F ZINC000267063478 384290926 /nfs/dbraw/zinc/29/09/26/384290926.db2.gz UGERMZIOVZDIHQ-CABCVRRESA-N 0 0 296.342 2.859 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCOC2(CCC2)C1 ZINC000276676365 285102366 /nfs/dbraw/zinc/10/23/66/285102366.db2.gz UIKJPIRTUUMPJE-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2CCC[C@H]2F)s1 ZINC000338824845 285100237 /nfs/dbraw/zinc/10/02/37/285100237.db2.gz QZYTZBLJGQDUHH-HTQZYQBOSA-N 0 0 282.300 2.902 20 5 CFBDRN C[C@@H](O)[C@H](C)SCc1ccc([N+](=O)[O-])cc1F ZINC000267080839 384295495 /nfs/dbraw/zinc/29/54/95/384295495.db2.gz VENLQGPOXDGRMS-SFYZADRCSA-N 0 0 259.302 2.736 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC[C@@H](COC)C1 ZINC000267112348 384303112 /nfs/dbraw/zinc/30/31/12/384303112.db2.gz YSFDVFVJCKZGGQ-GFCCVEGCSA-N 0 0 294.351 2.604 20 5 CFBDRN CC[C@H](NC(=O)C(=O)C(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000267281903 384346006 /nfs/dbraw/zinc/34/60/06/384346006.db2.gz KZSWDXFOCNDKTC-LBPRGKRZSA-N 0 0 292.335 2.777 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCSC1 ZINC000267283732 384348008 /nfs/dbraw/zinc/34/80/08/384348008.db2.gz SUGNJMUGZBJLGS-FZKGZDJFSA-N 0 0 292.360 2.620 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000277627616 384357713 /nfs/dbraw/zinc/35/77/13/384357713.db2.gz MRRRWHYWPUTNNA-WPRPVWTQSA-N 0 0 254.261 2.571 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cc(F)cc(F)c3)no2)[nH]1 ZINC000355864921 285104328 /nfs/dbraw/zinc/10/43/28/285104328.db2.gz NMAAKBDIAYNEHM-UHFFFAOYSA-N 0 0 292.201 2.918 20 5 CFBDRN CC(C)N(C(=O)NCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000267344817 384363448 /nfs/dbraw/zinc/36/34/48/384363448.db2.gz HFUAAPSAJXBHLD-UHFFFAOYSA-N 0 0 291.351 2.720 20 5 CFBDRN COC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])c1ccco1 ZINC000267356188 384366925 /nfs/dbraw/zinc/36/69/25/384366925.db2.gz HGLFDUSQJAFWAO-JTQLQIEISA-N 0 0 277.280 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(-n2ccnc2)cc1C(F)(F)F ZINC000070162904 285108307 /nfs/dbraw/zinc/10/83/07/285108307.db2.gz YPTRGUFHZLWQDE-UHFFFAOYSA-N 0 0 257.171 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCF)nc1-c1ccc(F)cc1 ZINC000435587717 285108327 /nfs/dbraw/zinc/10/83/27/285108327.db2.gz IOHAOYBNTADRAV-UHFFFAOYSA-N 0 0 279.246 2.599 20 5 CFBDRN C[C@@H]1[C@@H](c2ccccc2)CCN1c1c([N+](=O)[O-])ncn1C ZINC000267525426 384407839 /nfs/dbraw/zinc/40/78/39/384407839.db2.gz HXDVVJVMTRMJDN-YPMHNXCESA-N 0 0 286.335 2.711 20 5 CFBDRN CCc1ccccc1CCn1ccc(=O)c([N+](=O)[O-])c1 ZINC000277844111 384421181 /nfs/dbraw/zinc/42/11/81/384421181.db2.gz ZSCHJHMGZYQDAM-UHFFFAOYSA-N 0 0 272.304 2.562 20 5 CFBDRN C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)[N@@H+]1C[C@H](C)[C@H](C)C1 ZINC000267719702 384450950 /nfs/dbraw/zinc/45/09/50/384450950.db2.gz AHSXJHLWNRSXTN-GRYCIOLGSA-N 0 0 291.351 2.510 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)[C@H](C)C1 ZINC000267721593 384451498 /nfs/dbraw/zinc/45/14/98/384451498.db2.gz JGUOPGDPQSUDCT-GHMZBOCLSA-N 0 0 262.309 2.631 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@@H](C)CCO ZINC000277902218 384435563 /nfs/dbraw/zinc/43/55/63/384435563.db2.gz XVKABYUUKDKOSL-QMMMGPOBSA-N 0 0 272.732 2.987 20 5 CFBDRN C[C@H]1CN(C)c2ccccc2CN1c1ccc([N+](=O)[O-])cn1 ZINC000267844222 384477143 /nfs/dbraw/zinc/47/71/43/384477143.db2.gz AHKMILYRXMLZTJ-LBPRGKRZSA-N 0 0 298.346 2.835 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@H]1C[C@@H]1C1CC1 ZINC000347970310 220288691 /nfs/dbraw/zinc/28/86/91/220288691.db2.gz RPFVLFJTDFDUFH-NEPJUHHUSA-N 0 0 264.256 2.719 20 5 CFBDRN Cc1cc(N[C@@H](C)CO[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000267870167 384480617 /nfs/dbraw/zinc/48/06/17/384480617.db2.gz LLSZTHGMGYEUAF-AAEUAGOBSA-N 0 0 280.324 2.509 20 5 CFBDRN C[C@@H](NC(=O)NC1CC(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000267863736 384480959 /nfs/dbraw/zinc/48/09/59/384480959.db2.gz PLKCWPHBFJEKLT-SQLBVSGCSA-N 0 0 277.324 2.754 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1Cl)CC1CC1 ZINC000278224632 384502722 /nfs/dbraw/zinc/50/27/22/384502722.db2.gz IYJRNGUJUNDUCA-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)[C@H]1C ZINC000267989436 384504878 /nfs/dbraw/zinc/50/48/78/384504878.db2.gz WAZLDMNKNYOZCC-UWVGGRQHSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@H](Nc1nc2cc([N+](=O)[O-])ccc2[nH]1)[C@H]1CCCCO1 ZINC000278175304 384491071 /nfs/dbraw/zinc/49/10/71/384491071.db2.gz BEPRXOHFBUKETQ-TVQRCGJNSA-N 0 0 290.323 2.841 20 5 CFBDRN COc1cc(NC[C@H]2CCCOC2)c([N+](=O)[O-])cc1F ZINC000268117190 384535861 /nfs/dbraw/zinc/53/58/61/384535861.db2.gz ABFOJHHJQRJQSF-SECBINFHSA-N 0 0 284.287 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](c3cnn(C)c3)C2)c1 ZINC000268040792 384515735 /nfs/dbraw/zinc/51/57/35/384515735.db2.gz OGCSFXQMZHUNBR-LBPRGKRZSA-N 0 0 286.335 2.631 20 5 CFBDRN CC[C@@H](C)[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C(F)(F)F ZINC000278283286 384516796 /nfs/dbraw/zinc/51/67/96/384516796.db2.gz ZWORPKJJHPPZRQ-MUWHJKNJSA-N 0 0 293.245 2.630 20 5 CFBDRN CO[C@H](C)CCc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000278286210 384517816 /nfs/dbraw/zinc/51/78/16/384517816.db2.gz WNMLGZWLYVMBEZ-SSDOTTSWSA-N 0 0 283.309 2.674 20 5 CFBDRN CO[C@@H]1CCCN(c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000268060066 384520698 /nfs/dbraw/zinc/52/06/98/384520698.db2.gz YAJWSQBDNBMANX-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN CCC1(CC)CCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000268062450 384521908 /nfs/dbraw/zinc/52/19/08/384521908.db2.gz GCJFNGUXERQDNO-UHFFFAOYSA-N 0 0 265.313 2.575 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)N(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000268096613 384530721 /nfs/dbraw/zinc/53/07/21/384530721.db2.gz AGSQSEDOHUUQBS-STQMWFEESA-N 0 0 278.308 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC3(CC3)C2)c2nonc21 ZINC000274434414 285122167 /nfs/dbraw/zinc/12/21/67/285122167.db2.gz FOZLTIWDTXOYRB-UHFFFAOYSA-N 0 0 274.280 2.511 20 5 CFBDRN CCOC(=O)c1cc(N[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000268154146 384548283 /nfs/dbraw/zinc/54/82/83/384548283.db2.gz FSKBFERZJWBGAN-JTQLQIEISA-N 0 0 296.348 2.689 20 5 CFBDRN CN(CCC1CCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000268136241 384541567 /nfs/dbraw/zinc/54/15/67/384541567.db2.gz JLBPJVMZFWSKPG-UHFFFAOYSA-N 0 0 264.325 2.848 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Oc2cc([N+](=O)[O-])ccc2C)O1 ZINC000268217699 384568987 /nfs/dbraw/zinc/56/89/87/384568987.db2.gz CMSBHHOJUBKJJC-NEPJUHHUSA-N 0 0 279.292 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@H]1CCCSC1)CCN2 ZINC000278436929 384572383 /nfs/dbraw/zinc/57/23/83/384572383.db2.gz QFSZFNNYOZRPIA-VIFPVBQESA-N 0 0 279.365 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]3COC[C@H]32)c(Cl)c1 ZINC000367126196 285124811 /nfs/dbraw/zinc/12/48/11/285124811.db2.gz QPEDMWKCNDPWST-TVQRCGJNSA-N 0 0 282.727 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCc3ccccc3CC2)nc1 ZINC000450806415 285125060 /nfs/dbraw/zinc/12/50/60/285125060.db2.gz CXVAKUZUKYHWOR-UHFFFAOYSA-N 0 0 283.331 2.985 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)O1 ZINC000268251047 384580211 /nfs/dbraw/zinc/58/02/11/384580211.db2.gz PKLATPJJKNLFTD-BXKDBHETSA-N 0 0 282.271 2.630 20 5 CFBDRN CSCCC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268284255 384589844 /nfs/dbraw/zinc/58/98/44/384589844.db2.gz WZXKCOIALCHRGU-UHFFFAOYSA-N 0 0 288.756 2.618 20 5 CFBDRN CCC[C@@](C)(O)CNc1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000278415557 384564016 /nfs/dbraw/zinc/56/40/16/384564016.db2.gz OFQJOTFTZBXYSV-CQSZACIVSA-N 0 0 279.340 2.526 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](c3cccs3)C2)nc1 ZINC000268399274 285127839 /nfs/dbraw/zinc/12/78/39/285127839.db2.gz PEYDVDUVBGKWAA-NSHDSACASA-N 0 0 291.332 2.629 20 5 CFBDRN C[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)C[C@@H](C2CC2)O1 ZINC000279368348 384616439 /nfs/dbraw/zinc/61/64/39/384616439.db2.gz RNQDVSWCGXKPCF-SKDRFNHKSA-N 0 0 282.365 2.656 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3cc(F)c(F)cc32)nc1 ZINC000338987802 285132007 /nfs/dbraw/zinc/13/20/07/285132007.db2.gz YDSSKWCRMYNZFR-UHFFFAOYSA-N 0 0 277.230 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3c2cccc3F)nc1 ZINC000302167983 285131425 /nfs/dbraw/zinc/13/14/25/285131425.db2.gz SGZPZRIWGRMLJJ-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN CC(C)(C)[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)CCO1 ZINC000279476559 384649384 /nfs/dbraw/zinc/64/93/84/384649384.db2.gz WZCRMXQUJCZPFB-JTQLQIEISA-N 0 0 298.364 2.543 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccc(F)cc3C2)cn1 ZINC000433524257 285132017 /nfs/dbraw/zinc/13/20/17/285132017.db2.gz HMJBLNQFHWVCIG-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3CC[C@@H](C2)O3)c2ccncc21 ZINC000365773245 285134336 /nfs/dbraw/zinc/13/43/36/285134336.db2.gz URGFYNWZQLRHQQ-PHIMTYICSA-N 0 0 285.303 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3cc(F)ccc32)nc1 ZINC000337820759 285132085 /nfs/dbraw/zinc/13/20/85/285132085.db2.gz QSGBPEUCLKFBAC-UHFFFAOYSA-N 0 0 259.240 2.823 20 5 CFBDRN Cc1c(CC(=O)N(C)[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000269653908 384821097 /nfs/dbraw/zinc/82/10/97/384821097.db2.gz UUUHEBHBIDUJOD-GFCCVEGCSA-N 0 0 278.352 2.949 20 5 CFBDRN C[C@H]1CCC[N@H+](C[C@@H](O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000269674924 384829954 /nfs/dbraw/zinc/82/99/54/384829954.db2.gz VZGFXAWJLHNWRD-SWLSCSKDSA-N 0 0 278.352 2.750 20 5 CFBDRN COc1cc(OCc2nccn2C(F)F)ccc1[N+](=O)[O-] ZINC000279880045 384796179 /nfs/dbraw/zinc/79/61/79/384796179.db2.gz HEEMOTUVQOFWDN-UHFFFAOYSA-N 0 0 299.233 2.774 20 5 CFBDRN CS[C@H](C)CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000269816959 384874267 /nfs/dbraw/zinc/87/42/67/384874267.db2.gz HORYBKZAWUVAFD-SNVBAGLBSA-N 0 0 296.392 2.740 20 5 CFBDRN Cc1ccc(C(=O)NCCC2(C)CC2)cc1[N+](=O)[O-] ZINC000280016099 384849388 /nfs/dbraw/zinc/84/93/88/384849388.db2.gz MGFAZADPTKFMNK-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1ccc(F)cn1)CC2 ZINC000346442143 285142678 /nfs/dbraw/zinc/14/26/78/285142678.db2.gz FXQBEJMIUKJCGI-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN CCOCC(C)(C)CNC(=O)c1cccc([N+](=O)[O-])c1C ZINC000289283898 197283507 /nfs/dbraw/zinc/28/35/07/197283507.db2.gz SXHFNAISJDIUJP-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CCOC(=O)CCCCOc1ccc([N+](=O)[O-])c(OC)c1 ZINC000280032945 384857225 /nfs/dbraw/zinc/85/72/25/384857225.db2.gz WBLZAMYDPSPDAT-UHFFFAOYSA-N 0 0 297.307 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H]3CCC[C@H]3F)ccc2c1 ZINC000338826370 285140257 /nfs/dbraw/zinc/14/02/57/285140257.db2.gz DCZKUGSWRWPDFG-YPMHNXCESA-N 0 0 275.283 2.867 20 5 CFBDRN Cc1ccc(N[C@@H]2CCN(c3ccccn3)C2)c([N+](=O)[O-])c1 ZINC000269891990 384895510 /nfs/dbraw/zinc/89/55/10/384895510.db2.gz WGDKQBJZAFJVEB-CYBMUJFWSA-N 0 0 298.346 2.989 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000269891569 384896383 /nfs/dbraw/zinc/89/63/83/384896383.db2.gz CLUIJOSCLHKQDP-RCOVLWMOSA-N 0 0 274.729 2.913 20 5 CFBDRN CCN(c1cc(C)c([N+](=O)[O-])cc1F)[C@H]1CCOC1 ZINC000359968476 285143815 /nfs/dbraw/zinc/14/38/15/285143815.db2.gz QGMWOMLTWBRMRI-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN COc1cc(OCc2nccs2)ccc1[N+](=O)[O-] ZINC000355948438 533095634 /nfs/dbraw/zinc/09/56/34/533095634.db2.gz TYEVZTOMUWCBEJ-UHFFFAOYSA-N 0 0 266.278 2.639 20 5 CFBDRN Cc1ccc(N(C)C[C@@H]2CCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000269972791 384924992 /nfs/dbraw/zinc/92/49/92/384924992.db2.gz LJMDXXLRQODTCU-FZMZJTMJSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@@H]1CCC[C@H]1O ZINC000269977745 384926823 /nfs/dbraw/zinc/92/68/23/384926823.db2.gz MOQDSWIKODDKJP-SMDDNHRTSA-N 0 0 264.325 2.500 20 5 CFBDRN COc1cc(OC[C@H](O)CCC(C)C)ccc1[N+](=O)[O-] ZINC000280211344 384929363 /nfs/dbraw/zinc/92/93/63/384929363.db2.gz FORDZNKGCSZGPP-LLVKDONJSA-N 0 0 283.324 2.779 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@H](O)CCC(C)C ZINC000280331572 384976508 /nfs/dbraw/zinc/97/65/08/384976508.db2.gz QKGALICXDJJKKO-GFCCVEGCSA-N 0 0 283.324 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2C[C@@H](F)[C@@H](F)C2)c1 ZINC000294929631 285147294 /nfs/dbraw/zinc/14/72/94/285147294.db2.gz HHYSIBKHBSREOS-TXEJJXNPSA-N 0 0 279.246 2.639 20 5 CFBDRN CC1CCC(O)(COc2ccccc2[N+](=O)[O-])CC1 ZINC000270146095 384992768 /nfs/dbraw/zinc/99/27/68/384992768.db2.gz QWELEWUDCAXCDX-UHFFFAOYSA-N 0 0 265.309 2.915 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@](C)(O)C1CC1 ZINC000270158011 384997223 /nfs/dbraw/zinc/99/72/23/384997223.db2.gz XZOMEJVYDCYXIJ-HNNXBMFYSA-N 0 0 292.335 2.701 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@@H](CO)CC1CCC1 ZINC000280385931 384998562 /nfs/dbraw/zinc/99/85/62/384998562.db2.gz ZUZGPMRZNRAXSE-SNVBAGLBSA-N 0 0 268.288 2.697 20 5 CFBDRN CC1=CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000270172539 385001845 /nfs/dbraw/zinc/00/18/45/385001845.db2.gz VQMXDVZXWJWHPY-UHFFFAOYSA-N 0 0 264.256 2.526 20 5 CFBDRN CC(C)CSCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000270195835 385011978 /nfs/dbraw/zinc/01/19/78/385011978.db2.gz ZGIILRLSDWUWBI-UHFFFAOYSA-N 0 0 288.394 2.775 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270356358 385069677 /nfs/dbraw/zinc/06/96/77/385069677.db2.gz AUUYZYPAEHHLOK-LBPRGKRZSA-N 0 0 293.367 2.823 20 5 CFBDRN CC1(NC(=O)NCCc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000270375409 385078695 /nfs/dbraw/zinc/07/86/95/385078695.db2.gz IRYKNTXKEJENPZ-UHFFFAOYSA-N 0 0 291.351 2.769 20 5 CFBDRN CC[C@@](C)(OC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000280479008 385029420 /nfs/dbraw/zinc/02/94/20/385029420.db2.gz LENRXOGOZBJGNA-CYBMUJFWSA-N 0 0 266.297 2.657 20 5 CFBDRN CN(CC(C)(C)C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000270254979 385031117 /nfs/dbraw/zinc/03/11/17/385031117.db2.gz BVTBVMUAKVTIIW-UHFFFAOYSA-N 0 0 293.367 2.825 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCc2ccsc2C1 ZINC000270489334 385120152 /nfs/dbraw/zinc/12/01/52/385120152.db2.gz KYFCSOOYSWQZBP-UHFFFAOYSA-N 0 0 294.357 2.916 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000280725016 385128232 /nfs/dbraw/zinc/12/82/32/385128232.db2.gz YKNHXYCFWPDACE-LRDDRELGSA-N 0 0 290.363 2.903 20 5 CFBDRN Cn1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 ZINC000270698452 385196599 /nfs/dbraw/zinc/19/65/99/385196599.db2.gz LNHSMRQOVQIJMH-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN CS[C@H](C)CC(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289146541 197246019 /nfs/dbraw/zinc/24/60/19/197246019.db2.gz WYNHFZYXPYWIDI-LLVKDONJSA-N 0 0 296.392 2.737 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H](C)CCO)c([N+](=O)[O-])c1 ZINC000280808993 385162676 /nfs/dbraw/zinc/16/26/76/385162676.db2.gz WSGGCULVWGCUKH-SNVBAGLBSA-N 0 0 280.324 2.618 20 5 CFBDRN C[C@@H](CF)NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000289131703 197241769 /nfs/dbraw/zinc/24/17/69/197241769.db2.gz XSJXLFBWDHRHKZ-VIFPVBQESA-N 0 0 282.315 2.980 20 5 CFBDRN Cc1nc(C)c(CNc2cc(N)ccc2[N+](=O)[O-])s1 ZINC000282155159 385231161 /nfs/dbraw/zinc/23/11/61/385231161.db2.gz GBZSAFNIVSWBDN-UHFFFAOYSA-N 0 0 278.337 2.862 20 5 CFBDRN O=C(CCNc1ncccc1[N+](=O)[O-])OC1CCCCC1 ZINC000282239656 385257096 /nfs/dbraw/zinc/25/70/96/385257096.db2.gz LWWIMDUZKDKZLL-UHFFFAOYSA-N 0 0 293.323 2.668 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCOC2CCCCC2)c1 ZINC000282203615 385245575 /nfs/dbraw/zinc/24/55/75/385245575.db2.gz MAJLVJNQMUBYPY-UHFFFAOYSA-N 0 0 279.340 2.938 20 5 CFBDRN CO[C@H](Cn1nccc1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000282222406 385251834 /nfs/dbraw/zinc/25/18/34/385251834.db2.gz OAEVYNVICGJRKY-LLVKDONJSA-N 0 0 281.699 2.832 20 5 CFBDRN CCCC[C@@H](C)C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000289082959 197230290 /nfs/dbraw/zinc/23/02/90/197230290.db2.gz SVYUGMGWKCDRBQ-SNVBAGLBSA-N 0 0 265.313 2.779 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@H]3CCC[C@@H]3F)c21 ZINC000338845896 285159250 /nfs/dbraw/zinc/15/92/50/285159250.db2.gz VOEOLEPHTXLALU-IUCAKERBSA-N 0 0 276.271 2.841 20 5 CFBDRN O=[N+]([O-])c1ccccc1COc1ccc(-n2cncn2)cc1 ZINC000156893996 285160822 /nfs/dbraw/zinc/16/08/22/285160822.db2.gz POQDZMMLMGTZKB-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN COc1cc(N2C[C@@H]3CCC[C@H]3C2)c(F)cc1[N+](=O)[O-] ZINC000271123384 385342170 /nfs/dbraw/zinc/34/21/70/385342170.db2.gz YSQWNRGWGKOAOR-UWVGGRQHSA-N 0 0 280.299 2.979 20 5 CFBDRN Cc1nc(CNc2ccccc2[N+](=O)[O-])ccc1[C@@H](C)O ZINC000271154216 385353005 /nfs/dbraw/zinc/35/30/05/385353005.db2.gz MKFHZJDCCYJYSC-LLVKDONJSA-N 0 0 287.319 2.964 20 5 CFBDRN CCCCCNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000282665158 385365267 /nfs/dbraw/zinc/36/52/67/385365267.db2.gz ROINSRBPRZNPJZ-UHFFFAOYSA-N 0 0 293.367 2.969 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000282682600 385368713 /nfs/dbraw/zinc/36/87/13/385368713.db2.gz ORYGHYZPMPDZHL-NXEZZACHSA-N 0 0 299.710 2.973 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NCCC(C)C)c1 ZINC000282697086 385372568 /nfs/dbraw/zinc/37/25/68/385372568.db2.gz SJWXOVLUGLUQEP-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1O[C@@H](C)C[C@H]1C ZINC000282723664 385377251 /nfs/dbraw/zinc/37/72/51/385377251.db2.gz ZFNXEDVZQRUGOE-ISTVAULSSA-N 0 0 292.335 2.964 20 5 CFBDRN CSCC(C)(C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000282721113 385377340 /nfs/dbraw/zinc/37/73/40/385377340.db2.gz YZXXYQXAPHAALG-UHFFFAOYSA-N 0 0 269.322 2.889 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NC(C)(C)C ZINC000282743059 385381490 /nfs/dbraw/zinc/38/14/90/385381490.db2.gz YFORWGXHFCQDIW-UHFFFAOYSA-N 0 0 279.340 2.577 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)[C@H]2C)c1 ZINC000282760964 385384210 /nfs/dbraw/zinc/38/42/10/385384210.db2.gz CMHIRINLWLHYAX-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN COC1(C)CCN(c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000271690755 385387830 /nfs/dbraw/zinc/38/78/30/385387830.db2.gz LSZQEPLIDWOWBP-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N(C)CC(C)(C)C ZINC000288982834 197206500 /nfs/dbraw/zinc/20/65/00/197206500.db2.gz VGZKCZRHCBVSSI-UHFFFAOYSA-N 0 0 254.334 2.602 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(CC)CC2CC2)c1 ZINC000282807689 385392523 /nfs/dbraw/zinc/39/25/23/385392523.db2.gz IYTFEFNTYINGQQ-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)CC(C)(F)F ZINC000289011274 197212662 /nfs/dbraw/zinc/21/26/62/197212662.db2.gz JEFWSWYRIYMWME-UHFFFAOYSA-N 0 0 286.278 2.641 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCO[C@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000272069348 385440374 /nfs/dbraw/zinc/44/03/74/385440374.db2.gz LCWNZRNRMRRLFK-UTUOFQBUSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000272082506 385443088 /nfs/dbraw/zinc/44/30/88/385443088.db2.gz MZFRSXBCDLZVQP-AMIZOPFISA-N 0 0 295.266 2.645 20 5 CFBDRN CC(C)(C)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000272089547 385445106 /nfs/dbraw/zinc/44/51/06/385445106.db2.gz IHOICWBBSJTFOY-UHFFFAOYSA-N 0 0 277.280 2.960 20 5 CFBDRN CSCCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000272090692 385445252 /nfs/dbraw/zinc/44/52/52/385445252.db2.gz ILQUJYPXBOCKFD-UHFFFAOYSA-N 0 0 263.322 2.821 20 5 CFBDRN CCN(C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000272105325 385448057 /nfs/dbraw/zinc/44/80/57/385448057.db2.gz MXHXQBGTUUXGCJ-UHFFFAOYSA-N 0 0 284.262 2.698 20 5 CFBDRN Cc1c(OC[C@@H](O)C(C)(C)C)cccc1[N+](=O)[O-] ZINC000283221538 385448280 /nfs/dbraw/zinc/44/82/80/385448280.db2.gz ZXKQPFJRGJHSRT-GFCCVEGCSA-N 0 0 253.298 2.689 20 5 CFBDRN Cc1c(C(=O)N2CCC=C(F)C2)cccc1[N+](=O)[O-] ZINC000272108063 385449014 /nfs/dbraw/zinc/44/90/14/385449014.db2.gz OARNVFGZOCEACC-UHFFFAOYSA-N 0 0 264.256 2.603 20 5 CFBDRN Cc1c(C(=O)N[C@H](C)[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000272107120 385449310 /nfs/dbraw/zinc/44/93/10/385449310.db2.gz IDRFZFNALHZCQC-BXUZGUMPSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H](Nc1ccccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000272024918 385429324 /nfs/dbraw/zinc/42/93/24/385429324.db2.gz QJLFEECMGMOHMX-MFKMUULPSA-N 0 0 250.298 2.964 20 5 CFBDRN Cc1cccc(CCCNC(=O)c2cccc([N+](=O)[O-])c2)n1 ZINC000288973729 197204016 /nfs/dbraw/zinc/20/40/16/197204016.db2.gz FSHBWWLLNMWKGN-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN CCCC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000272166562 385464654 /nfs/dbraw/zinc/46/46/54/385464654.db2.gz OWTFNWUTIVCBIN-UHFFFAOYSA-N 0 0 270.716 2.707 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1ncc([N+](=O)[O-])cc1Cl ZINC000272164843 385464687 /nfs/dbraw/zinc/46/46/87/385464687.db2.gz FTYXTIUEBLPBRZ-CABZTGNLSA-N 0 0 299.758 2.631 20 5 CFBDRN C[C@@H](NC(=O)C(C)(Cl)Cl)c1ccccc1[N+](=O)[O-] ZINC000272184320 385468570 /nfs/dbraw/zinc/46/85/70/385468570.db2.gz GESVSJDIOGINGM-SSDOTTSWSA-N 0 0 291.134 2.966 20 5 CFBDRN COCCC[C@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000272198043 385471510 /nfs/dbraw/zinc/47/15/10/385471510.db2.gz XGGPPDCJPVCAOM-VIFPVBQESA-N 0 0 285.271 2.702 20 5 CFBDRN CCCCC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283514596 385472197 /nfs/dbraw/zinc/47/21/97/385472197.db2.gz GSRJKPWLABUJIH-UHFFFAOYSA-N 0 0 264.325 2.786 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000272250936 385488193 /nfs/dbraw/zinc/48/81/93/385488193.db2.gz ZOOXBOBKPSAPOR-BXUZGUMPSA-N 0 0 292.335 2.591 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(c3ccncc3)CC2)s1 ZINC000192552058 285172331 /nfs/dbraw/zinc/17/23/31/285172331.db2.gz WKDUZOFBEDUQFJ-UHFFFAOYSA-N 0 0 290.348 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H]1CCCCO1 ZINC000272228898 385480951 /nfs/dbraw/zinc/48/09/51/385480951.db2.gz XAOODNQGCXABLM-FZMZJTMJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc(NCc2ncnn2C(C)(C)C)ccc1[N+](=O)[O-] ZINC000283412416 385463626 /nfs/dbraw/zinc/46/36/26/385463626.db2.gz GBNVSVDZCKPEBJ-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN Nc1ccc(OCCCOCC(F)(F)F)cc1[N+](=O)[O-] ZINC000283767941 385497580 /nfs/dbraw/zinc/49/75/80/385497580.db2.gz OEZWFEXBJZSBQD-UHFFFAOYSA-N 0 0 294.229 2.525 20 5 CFBDRN CCC[C@H]1C[C@@H]1NC(=O)c1cc(OCC)ccc1[N+](=O)[O-] ZINC000283915739 385513701 /nfs/dbraw/zinc/51/37/01/385513701.db2.gz JUIKDPRGHOVWPO-GWCFXTLKSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)[C@@H](C)C1 ZINC000284071430 385531612 /nfs/dbraw/zinc/53/16/12/385531612.db2.gz RNPFWXZSVJBSFA-BDAKNGLRSA-N 0 0 295.314 2.577 20 5 CFBDRN O=C(NC[C@@H]1CCCO1)Nc1cccc([N+](=O)[O-])c1Cl ZINC000284092041 385533618 /nfs/dbraw/zinc/53/36/18/385533618.db2.gz SBZNFELIIOSBSH-QMMMGPOBSA-N 0 0 299.714 2.549 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H](C3CC3)[C@H]2C2CC2)c(F)c1 ZINC000413315410 285178978 /nfs/dbraw/zinc/17/89/78/285178978.db2.gz KNJKVWTZDVXPHA-WCQYABFASA-N 0 0 277.299 2.754 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H](CC3CCCC3)C2)nc1 ZINC000368727448 285176859 /nfs/dbraw/zinc/17/68/59/285176859.db2.gz JKXIDIKUKFVGAN-GFCCVEGCSA-N 0 0 276.340 2.791 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]2c2ccc(Cl)cc2)nc1 ZINC000368118028 285177569 /nfs/dbraw/zinc/17/75/69/285177569.db2.gz HRDIJBDDWKOEAL-LBPRGKRZSA-N 0 0 290.710 2.990 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2COc3ccc(Cl)cc32)nc1 ZINC000354574344 285182040 /nfs/dbraw/zinc/18/20/40/285182040.db2.gz LFYMPHFMYGMECX-SNVBAGLBSA-N 0 0 292.682 2.584 20 5 CFBDRN CS[C@H](C)CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286244309 385576954 /nfs/dbraw/zinc/57/69/54/385576954.db2.gz OVVFGEQTRUSNBM-ZCFIWIBFSA-N 0 0 284.366 2.763 20 5 CFBDRN Cc1nc(N2CCCCC[C@@H]2C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000286204515 385571884 /nfs/dbraw/zinc/57/18/84/385571884.db2.gz BZYXUQMSSXSKSU-DGCLKSJQSA-N 0 0 293.367 2.818 20 5 CFBDRN Cc1nnc([C@H](C)Nc2cc(Cl)ccc2[N+](=O)[O-])[nH]1 ZINC000286219386 385573140 /nfs/dbraw/zinc/57/31/40/385573140.db2.gz BRYUZJOFYGQSPQ-LURJTMIESA-N 0 0 281.703 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CCC2)c(F)c1 ZINC000286379869 385602869 /nfs/dbraw/zinc/60/28/69/385602869.db2.gz BCTGOJHRBMETAK-UHFFFAOYSA-N 0 0 255.245 2.682 20 5 CFBDRN CCC(O)(CC)CNc1c(F)cccc1[N+](=O)[O-] ZINC000286249299 385577986 /nfs/dbraw/zinc/57/79/86/385577986.db2.gz MNSXENBTBCQLGW-UHFFFAOYSA-N 0 0 256.277 2.697 20 5 CFBDRN CCOC1CC2(C1)CCN(c1ncc([N+](=O)[O-])cc1C)C2 ZINC000286277458 385581658 /nfs/dbraw/zinc/58/16/58/385581658.db2.gz GPBBWJWZGLRXEK-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000272649864 385582955 /nfs/dbraw/zinc/58/29/55/385582955.db2.gz PNZHLPUSSVIHLP-XHDPSFHLSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H]1COCC[C@H]1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000286306545 385586662 /nfs/dbraw/zinc/58/66/62/385586662.db2.gz IROIYRTWJSWKKQ-BDAKNGLRSA-N 0 0 286.278 2.958 20 5 CFBDRN CCn1cc(CNc2cc(OC)c([N+](=O)[O-])cc2F)cn1 ZINC000286319484 385589079 /nfs/dbraw/zinc/58/90/79/385589079.db2.gz JQCWYCZKDVPOJN-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000286415861 385613834 /nfs/dbraw/zinc/61/38/34/385613834.db2.gz OEOCCPMSDKDMOO-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)CCC1CC1 ZINC000427064149 533135839 /nfs/dbraw/zinc/13/58/39/533135839.db2.gz IUBPGCPNVUKRRC-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N1CCC[C@H](C2CC2)C1 ZINC000288734266 197154487 /nfs/dbraw/zinc/15/44/87/197154487.db2.gz FVUMEYNWTYELRV-NSHDSACASA-N 0 0 290.323 2.644 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCO[C@@H](C)C1 ZINC000286464374 385628600 /nfs/dbraw/zinc/62/86/00/385628600.db2.gz KJXKRYPMFSKGHS-ONGXEEELSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1c(F)cccc1[N+](=O)[O-] ZINC000286479604 385631303 /nfs/dbraw/zinc/63/13/03/385631303.db2.gz UXGBUBVZEPIHCV-NXEZZACHSA-N 0 0 268.288 2.818 20 5 CFBDRN CCN(C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1)C(C)C ZINC000272848354 385632588 /nfs/dbraw/zinc/63/25/88/385632588.db2.gz PUWKJSDESSKJAT-UHFFFAOYSA-N 0 0 281.312 2.866 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000272774143 385610662 /nfs/dbraw/zinc/61/06/62/385610662.db2.gz CRRWFGVAVCCZTK-QMMMGPOBSA-N 0 0 279.296 2.523 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCSC(C)C)c1 ZINC000286566159 385651819 /nfs/dbraw/zinc/65/18/19/385651819.db2.gz BOAVLAIXIGDMMB-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN CC(=O)c1cc(N(C)[C@H]2CC[C@H](O)CC2)ccc1[N+](=O)[O-] ZINC000286568511 385652272 /nfs/dbraw/zinc/65/22/72/385652272.db2.gz FWIWMHARPFSODW-AULYBMBSSA-N 0 0 292.335 2.537 20 5 CFBDRN C[C@@H](SCc1ccc([N+](=O)[O-])cc1)c1nncn1C ZINC000286665560 385679633 /nfs/dbraw/zinc/67/96/33/385679633.db2.gz XUVIQDHVOSWSGO-SECBINFHSA-N 0 0 278.337 2.718 20 5 CFBDRN CCC[C@H]1[C@@H](C)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000273665587 385692940 /nfs/dbraw/zinc/69/29/40/385692940.db2.gz WTXCXZXIGQAPDN-JQWIXIFHSA-N 0 0 264.329 2.790 20 5 CFBDRN CCC[C@@H]1[C@H](C)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000273665596 385692975 /nfs/dbraw/zinc/69/29/75/385692975.db2.gz WTXCXZXIGQAPDN-ZYHUDNBSSA-N 0 0 264.329 2.790 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1c1noc(-c2nn(C)cc2[N+](=O)[O-])n1 ZINC000273741138 385694009 /nfs/dbraw/zinc/69/40/09/385694009.db2.gz BRQMIKQXXSIPOF-BDAKNGLRSA-N 0 0 291.311 2.672 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCC3(O)CCC3)ccc2c1 ZINC000286723139 385696137 /nfs/dbraw/zinc/69/61/37/385696137.db2.gz LXZMFMWHSGQTCA-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN O=C(NC[C@H]1CCCSC1)c1csc([N+](=O)[O-])c1 ZINC000286828532 385718016 /nfs/dbraw/zinc/71/80/16/385718016.db2.gz OLCAJDOSYNPOSU-MRVPVSSYSA-N 0 0 286.378 2.529 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC2(C)C)cc([N+](=O)[O-])c1 ZINC000286818509 385715331 /nfs/dbraw/zinc/71/53/31/385715331.db2.gz UVLHURLFRCUDGY-LBPRGKRZSA-N 0 0 262.309 2.822 20 5 CFBDRN COc1cc(CS[C@H](C)[C@H](C)O)c([N+](=O)[O-])cc1F ZINC000274192148 385737017 /nfs/dbraw/zinc/73/70/17/385737017.db2.gz FJWATCSKVHUWHR-JGVFFNPUSA-N 0 0 289.328 2.745 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CCOC1CCC1 ZINC000288636072 197133653 /nfs/dbraw/zinc/13/36/53/197133653.db2.gz BVJZHZPGJFOSQD-UHFFFAOYSA-N 0 0 262.309 2.526 20 5 CFBDRN Cc1cc(N(C)C(=O)Cc2cccc([N+](=O)[O-])c2C)ccn1 ZINC000274338560 385770494 /nfs/dbraw/zinc/77/04/94/385770494.db2.gz NYCYBKILYYAQTJ-UHFFFAOYSA-N 0 0 299.330 2.812 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000287074143 385775293 /nfs/dbraw/zinc/77/52/93/385775293.db2.gz JCQNMFDUABIZGB-LBPRGKRZSA-N 0 0 293.323 2.914 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC2(C)C)c1 ZINC000286854120 385723757 /nfs/dbraw/zinc/72/37/57/385723757.db2.gz YDSMBRKCFLQLRL-GFCCVEGCSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1cccc(CCc2nc([C@@H]3CCCO3)no2)c1 ZINC000274130300 385724940 /nfs/dbraw/zinc/72/49/40/385724940.db2.gz KXUVEGINOGPOSN-LBPRGKRZSA-N 0 0 289.291 2.615 20 5 CFBDRN CCOC1CC(C(=O)Oc2cccc([N+](=O)[O-])c2C)C1 ZINC000287230342 385808539 /nfs/dbraw/zinc/80/85/39/385808539.db2.gz HETBGJVFNCJBPU-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN COc1cc(N2CCCC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000274487508 385810804 /nfs/dbraw/zinc/81/08/04/385810804.db2.gz PHZQGSUYARTNFK-UHFFFAOYSA-N 0 0 262.309 2.984 20 5 CFBDRN COCC1=CCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000274669463 385845786 /nfs/dbraw/zinc/84/57/86/385845786.db2.gz XLPALYFVGDZIOF-UHFFFAOYSA-N 0 0 266.272 2.517 20 5 CFBDRN COCC1=CCN(c2ccc([N+](=O)[O-])c3cnccc32)CC1 ZINC000274684821 385849030 /nfs/dbraw/zinc/84/90/30/385849030.db2.gz IFFDGCRSBCFDAV-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN CCOC[C@H]1CCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000288607946 197125748 /nfs/dbraw/zinc/12/57/48/197125748.db2.gz ZFNPEQGKUKEHDO-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN O=C(N[C@@H](C1CC1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000288567302 197114009 /nfs/dbraw/zinc/11/40/09/197114009.db2.gz KZLBTYQQNAEKJQ-JTQLQIEISA-N 0 0 288.225 2.666 20 5 CFBDRN CC(=O)CCCC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000274878108 385888911 /nfs/dbraw/zinc/88/89/11/385888911.db2.gz YVYWGPBVHVZOEB-UHFFFAOYSA-N 0 0 298.726 2.624 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)C(F)(F)F)cc([N+](=O)[O-])c1 ZINC000288562266 197113150 /nfs/dbraw/zinc/11/31/50/197113150.db2.gz JEDVJEGQWZVJLB-SSDOTTSWSA-N 0 0 276.214 2.584 20 5 CFBDRN CC(C)C[C@@H](CCO)CNc1ccc(N)cc1[N+](=O)[O-] ZINC000288553132 197110345 /nfs/dbraw/zinc/11/03/45/197110345.db2.gz VUCQJOKWBXWIAS-LLVKDONJSA-N 0 0 281.356 2.634 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])cn2)CCCCC1 ZINC000274747294 385861617 /nfs/dbraw/zinc/86/16/17/385861617.db2.gz OQJHEHQSEHVKID-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN CC/C=C(\C)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000288548856 197109107 /nfs/dbraw/zinc/10/91/07/197109107.db2.gz YULDXCOPLCMQNY-KPKJPENVSA-N 0 0 276.336 2.952 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1[C@@H]1C=CCCC1 ZINC000342181376 285209456 /nfs/dbraw/zinc/20/94/56/285209456.db2.gz ZKEFIYCFLOTIOV-SNVBAGLBSA-N 0 0 271.276 2.586 20 5 CFBDRN CC(C)(C(=O)N1CCc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000288541941 197106924 /nfs/dbraw/zinc/10/69/24/197106924.db2.gz WVLYDNLNPDQREV-UHFFFAOYSA-N 0 0 274.320 2.920 20 5 CFBDRN C[C@@H](CO)CCCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276626834 385997392 /nfs/dbraw/zinc/99/73/92/385997392.db2.gz NKQNPKHYOIXGDF-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CC(C)=CCCNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000276641736 385999300 /nfs/dbraw/zinc/99/93/00/385999300.db2.gz NPRPNSOEZUQONT-UHFFFAOYSA-N 0 0 277.324 2.750 20 5 CFBDRN COC[C@@H](Nc1nc2ccccc2cc1[N+](=O)[O-])C1CC1 ZINC000276646809 386001295 /nfs/dbraw/zinc/00/12/95/386001295.db2.gz QBTBZMIIYHCGGQ-CYBMUJFWSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCSC2)nc1 ZINC000288507393 197098234 /nfs/dbraw/zinc/09/82/34/197098234.db2.gz MWCICJACPUKJJB-VIFPVBQESA-N 0 0 253.327 2.545 20 5 CFBDRN CC(C)=CCCNC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000276706173 386020286 /nfs/dbraw/zinc/02/02/86/386020286.db2.gz OUZXLNFAAPWQIO-UHFFFAOYSA-N 0 0 288.307 2.557 20 5 CFBDRN CCOC1CC(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000288499324 197095014 /nfs/dbraw/zinc/09/50/14/197095014.db2.gz MJAFFHLZOJIXKT-UHFFFAOYSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1nc(N[C@@H](C(C)C)C(C)(C)O)ccc1[N+](=O)[O-] ZINC000276800641 386047004 /nfs/dbraw/zinc/04/70/04/386047004.db2.gz QTGARCXKHVXQIA-LBPRGKRZSA-N 0 0 267.329 2.506 20 5 CFBDRN C[C@@](O)(CNc1ncccc1[N+](=O)[O-])C1CCCCC1 ZINC000276813055 386051395 /nfs/dbraw/zinc/05/13/95/386051395.db2.gz SLFVUJQZQXLASH-CQSZACIVSA-N 0 0 279.340 2.733 20 5 CFBDRN CC[C@H](C)C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1C ZINC000277025408 386111220 /nfs/dbraw/zinc/11/12/20/386111220.db2.gz ISDRJTIGSGRHRI-QMMMGPOBSA-N 0 0 294.307 2.674 20 5 CFBDRN C[C@@H]1CSCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000277055611 386119235 /nfs/dbraw/zinc/11/92/35/386119235.db2.gz BBFHUGOLAGFWOT-VIFPVBQESA-N 0 0 270.329 2.923 20 5 CFBDRN CC(C)[C@H](Nc1ccc([N+](=O)[O-])cc1F)C(C)(C)O ZINC000276848818 386058854 /nfs/dbraw/zinc/05/88/54/386058854.db2.gz XKVGUINYMCNVBT-LBPRGKRZSA-N 0 0 270.304 2.941 20 5 CFBDRN CCOc1cc(CS[C@@H](C)C(=O)OC)ccc1[N+](=O)[O-] ZINC000276942728 386086843 /nfs/dbraw/zinc/08/68/43/386086843.db2.gz SJWYCHUAHFICJE-VIFPVBQESA-N 0 0 299.348 2.788 20 5 CFBDRN CCOc1cc(CN2CCS[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000276954932 386091630 /nfs/dbraw/zinc/09/16/30/386091630.db2.gz UVWAYLNZUJZWQG-NSHDSACASA-N 0 0 296.392 2.931 20 5 CFBDRN CCOc1cc(Cn2ccnc2C)ccc1[N+](=O)[O-] ZINC000276981030 386097802 /nfs/dbraw/zinc/09/78/02/386097802.db2.gz YKMUKGWXGYJMHP-UHFFFAOYSA-N 0 0 261.281 2.547 20 5 CFBDRN Cc1c(NC(=O)NC2CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000277085458 386125665 /nfs/dbraw/zinc/12/56/65/386125665.db2.gz MKVSQCBIJFCUHI-UHFFFAOYSA-N 0 0 285.250 2.822 20 5 CFBDRN Cc1ccc(CN2CCc3cc[nH]c(=O)c3C2)cc1[N+](=O)[O-] ZINC000277106276 386130405 /nfs/dbraw/zinc/13/04/05/386130405.db2.gz VYTHKRAFWUDEOK-UHFFFAOYSA-N 0 0 299.330 2.562 20 5 CFBDRN COCC1=CCN(c2nccc3ccc([N+](=O)[O-])cc32)CC1 ZINC000413228258 533161952 /nfs/dbraw/zinc/16/19/52/533161952.db2.gz KMAAZTWWOAOSNZ-UHFFFAOYSA-N 0 0 299.330 2.926 20 5 CFBDRN O=c1ccn(C[C@@H]2CCCc3ccccc32)cc1[N+](=O)[O-] ZINC000130977195 285222565 /nfs/dbraw/zinc/22/25/65/285222565.db2.gz QEQKUAJNBAWUGR-ZDUSSCGKSA-N 0 0 284.315 2.877 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)/C=C/C1CC1 ZINC000288297910 197046232 /nfs/dbraw/zinc/04/62/32/197046232.db2.gz LLKVPZHOGIPIJW-CMDGGOBGSA-N 0 0 274.320 2.562 20 5 CFBDRN CCOC1CC(N(C)C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000288219643 197029105 /nfs/dbraw/zinc/02/91/05/197029105.db2.gz JLMFCUFKLCMNNN-UHFFFAOYSA-N 0 0 292.335 2.543 20 5 CFBDRN O=c1oc(-c2ccc([N+](=O)[O-])cc2)nn1[C@@H]1C=CCCC1 ZINC000339181641 285228040 /nfs/dbraw/zinc/22/80/40/285228040.db2.gz GFTZFOSWHNJYNG-LLVKDONJSA-N 0 0 287.275 2.693 20 5 CFBDRN Cc1nc(N[C@@H](C)[C@@H](O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000288120821 197009021 /nfs/dbraw/zinc/00/90/21/197009021.db2.gz CTMFUFXPEHYRTP-XHDPSFHLSA-N 0 0 287.319 2.832 20 5 CFBDRN C[C@@H](CF)NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000288019133 196987924 /nfs/dbraw/zinc/98/79/24/196987924.db2.gz JVTYPARGKNDJBX-ZETCQYMHSA-N 0 0 282.296 2.897 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)SC ZINC000281364903 195212858 /nfs/dbraw/zinc/21/28/58/195212858.db2.gz MYIAHYHSZMJIOZ-QMMMGPOBSA-N 0 0 284.337 2.684 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)CCCO1 ZINC000281327259 195197539 /nfs/dbraw/zinc/19/75/39/195197539.db2.gz KMSXEJOUZUGXQB-AWEZNQCLSA-N 0 0 294.307 2.501 20 5 CFBDRN CCC(=O)c1ccc(N(C)CCCCCO)c([N+](=O)[O-])c1 ZINC000281430555 195237888 /nfs/dbraw/zinc/23/78/88/195237888.db2.gz JGOOUIBBLGVDSZ-UHFFFAOYSA-N 0 0 294.351 2.786 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1CCCO1 ZINC000281489717 195261388 /nfs/dbraw/zinc/26/13/88/195261388.db2.gz VGNNEZFPMPIORG-NSHDSACASA-N 0 0 294.307 2.501 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000286783150 196702985 /nfs/dbraw/zinc/70/29/85/196702985.db2.gz RGAGUNQLOQIWBM-ZDUSSCGKSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@H]1CN(C(=O)c2c(C)cccc2[N+](=O)[O-])CCCO1 ZINC000281497840 195265346 /nfs/dbraw/zinc/26/53/46/195265346.db2.gz JGPTYIKRCZMNDP-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(C)[C@@H](CO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000080789797 177707512 /nfs/dbraw/zinc/70/75/12/177707512.db2.gz MLRUPSQRQWCDFK-CYBMUJFWSA-N 0 0 275.308 2.572 20 5 CFBDRN C[C@@H](CCO)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000080645806 177686044 /nfs/dbraw/zinc/68/60/44/177686044.db2.gz ANZAYAIAAXPBBM-ZETCQYMHSA-N 0 0 278.230 2.796 20 5 CFBDRN C[S@](=O)C1(CNc2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000281750274 195363797 /nfs/dbraw/zinc/36/37/97/195363797.db2.gz QZAWQPUDRIOCJD-SFHVURJKSA-N 0 0 288.756 2.571 20 5 CFBDRN CC[C@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCCO1 ZINC000281761053 195369525 /nfs/dbraw/zinc/36/95/25/195369525.db2.gz FONQMFFHEARSEY-NSHDSACASA-N 0 0 291.351 2.568 20 5 CFBDRN CCOCC1(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000281891310 195422907 /nfs/dbraw/zinc/42/29/07/195422907.db2.gz QBAFHOHXOWUPHT-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN CCC(CC)n1ccc(Cn2cc(C)c([N+](=O)[O-])n2)n1 ZINC000282241700 195547798 /nfs/dbraw/zinc/54/77/98/195547798.db2.gz MAOPXLGLHZAYEQ-UHFFFAOYSA-N 0 0 277.328 2.706 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000282432647 195607329 /nfs/dbraw/zinc/60/73/29/195607329.db2.gz MMCURDAPELQYKD-UWVGGRQHSA-N 0 0 296.298 2.796 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CCO[C@H](C)C1 ZINC000282468586 195617939 /nfs/dbraw/zinc/61/79/39/195617939.db2.gz WSXHDSTXVIGRGU-SCZZXKLOSA-N 0 0 296.298 2.796 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)C2CC2)c1 ZINC000282703317 195684977 /nfs/dbraw/zinc/68/49/77/195684977.db2.gz TYHQAASNPBKTNV-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000282718761 195690202 /nfs/dbraw/zinc/69/02/02/195690202.db2.gz RMSILOVGDJSHJD-OLZOCXBDSA-N 0 0 279.292 2.628 20 5 CFBDRN COC(=O)[C@H](CC1CC1)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000413491609 533258918 /nfs/dbraw/zinc/25/89/18/533258918.db2.gz HTPDMMWKQPSGHJ-LBPRGKRZSA-N 0 0 278.308 2.657 20 5 CFBDRN COC(=O)[C@H](CC1CC1)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000413490473 533259249 /nfs/dbraw/zinc/25/92/49/533259249.db2.gz FUBKRQNJOPDPMF-LBPRGKRZSA-N 0 0 278.308 2.657 20 5 CFBDRN COc1ncccc1CSc1ccc([N+](=O)[O-])cn1 ZINC000178763256 533263316 /nfs/dbraw/zinc/26/33/16/533263316.db2.gz RPFCBIKPVPAGQZ-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN CON1CCC(N(C)c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000413361609 533308726 /nfs/dbraw/zinc/30/87/26/533308726.db2.gz KWJYYVODPWSKKC-UHFFFAOYSA-N 0 0 299.758 2.710 20 5 CFBDRN CO[C@](C)([C@@H](C)Nc1ncccc1[N+](=O)[O-])C1CC1 ZINC000413524842 533348674 /nfs/dbraw/zinc/34/86/74/533348674.db2.gz XBDFNPMYVZRTDN-NOZJJQNGSA-N 0 0 265.313 2.605 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CC(OC(F)F)C1 ZINC000459627541 533382121 /nfs/dbraw/zinc/38/21/21/533382121.db2.gz LAMDDOBVVSTHFO-YHYXMXQVSA-N 0 0 298.289 2.922 20 5 CFBDRN COc1cc(C(=O)NCC2(C(C)C)CC2)ccc1[N+](=O)[O-] ZINC000177383474 533530796 /nfs/dbraw/zinc/53/07/96/533530796.db2.gz QHDGWKJUCXGDHO-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN COc1cccc(CNc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000176496093 533517703 /nfs/dbraw/zinc/51/77/03/533517703.db2.gz GAMRTQRWRHYRPA-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000425410580 533670312 /nfs/dbraw/zinc/67/03/12/533670312.db2.gz BJCXKOWLJUEFQN-JOYOIKCWSA-N 0 0 275.308 2.508 20 5 CFBDRN COC[C@H]1CCCCN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000341982275 285436767 /nfs/dbraw/zinc/43/67/67/285436767.db2.gz JPDJYCKIHSYXOG-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)nn1C(F)F ZINC000341781752 130042601 /nfs/dbraw/zinc/04/26/01/130042601.db2.gz XZUDKBUJBXTTQF-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN O=[N+]([O-])c1cc(C[N@H+]2CCCCC[C@@H]2CCO)cs1 ZINC000342055292 130224851 /nfs/dbraw/zinc/22/48/51/130224851.db2.gz APOZZWDUWUPMPZ-GFCCVEGCSA-N 0 0 284.381 2.783 20 5 CFBDRN C[C@H](CC1CC1)NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000342086393 130300920 /nfs/dbraw/zinc/30/09/20/130300920.db2.gz NYTLXBAJASNELX-MRVPVSSYSA-N 0 0 298.289 2.720 20 5 CFBDRN Cc1ccncc1-c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000355435542 130304370 /nfs/dbraw/zinc/30/43/70/130304370.db2.gz BCPIQDNPCVWHOK-UHFFFAOYSA-N 0 0 297.274 2.597 20 5 CFBDRN Cc1ncccc1CC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000355436500 130305798 /nfs/dbraw/zinc/30/57/98/130305798.db2.gz RCHGHIKGOURUCO-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2cc(F)c[nH]2)cc1[N+](=O)[O-] ZINC000342178048 130311400 /nfs/dbraw/zinc/31/14/00/130311400.db2.gz VIYLDPNAVSBXFW-VIFPVBQESA-N 0 0 291.282 2.861 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](COc3ccccc3)C2)cn1 ZINC000355575049 130316444 /nfs/dbraw/zinc/31/64/44/130316444.db2.gz MSDADIWSYVAJLZ-CYBMUJFWSA-N 0 0 299.330 2.895 20 5 CFBDRN CCC(C)(C)CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000191924908 130330137 /nfs/dbraw/zinc/33/01/37/130330137.db2.gz SPWZLUSDMINHOK-UHFFFAOYSA-N 0 0 266.301 2.548 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000355957513 130359637 /nfs/dbraw/zinc/35/96/37/130359637.db2.gz ZHPGHEUEULYMMU-SCVCMEIPSA-N 0 0 291.351 2.805 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2)C[C@H]2CC[C@@H]1O2 ZINC000192110431 130373923 /nfs/dbraw/zinc/37/39/23/130373923.db2.gz MWFPNVVLARVNFD-IPYPFGDCSA-N 0 0 291.303 2.596 20 5 CFBDRN C[C@H](F)CCNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000356652778 130391149 /nfs/dbraw/zinc/39/11/49/130391149.db2.gz UFOMOPKKXXZQCF-JTQLQIEISA-N 0 0 282.315 2.737 20 5 CFBDRN CCC(C)(C)CNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000192200679 130393030 /nfs/dbraw/zinc/39/30/30/130393030.db2.gz CHHORJONORMPGF-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCCCCCO ZINC000357265896 130429399 /nfs/dbraw/zinc/42/93/99/130429399.db2.gz GGKHZTDHTTWDLJ-UHFFFAOYSA-N 0 0 252.314 2.732 20 5 CFBDRN CC1(CNC(=O)CSc2ccccc2[N+](=O)[O-])CC1 ZINC000342303880 130432916 /nfs/dbraw/zinc/43/29/16/130432916.db2.gz FEWGGHJTOPNQDR-UHFFFAOYSA-N 0 0 280.349 2.603 20 5 CFBDRN Cn1ccc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 ZINC000357302982 130435582 /nfs/dbraw/zinc/43/55/82/130435582.db2.gz JRQFIMKZNCWWAX-UHFFFAOYSA-N 0 0 299.286 2.610 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(NCc3ccc(F)cc3)c21 ZINC000357339189 130446031 /nfs/dbraw/zinc/44/60/31/130446031.db2.gz RROKTHYDLGZRQH-UHFFFAOYSA-N 0 0 298.277 2.711 20 5 CFBDRN COC(C)(C)CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000342274748 130454836 /nfs/dbraw/zinc/45/48/36/130454836.db2.gz ABYRBJHCMGRJPV-UHFFFAOYSA-N 0 0 266.297 2.634 20 5 CFBDRN COCCN(Cc1ccccc1)c1ncc([N+](=O)[O-])s1 ZINC000192498825 130459341 /nfs/dbraw/zinc/45/93/41/130459341.db2.gz ZZDGJVZKTWGDMT-UHFFFAOYSA-N 0 0 293.348 2.704 20 5 CFBDRN CSCCCN(C)c1cc([N+](=O)[O-])ccc1C(C)=O ZINC000357678326 130498578 /nfs/dbraw/zinc/49/85/78/130498578.db2.gz BSAJSWYEKGDXQE-UHFFFAOYSA-N 0 0 282.365 2.987 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](C)COC)c([N+](=O)[O-])c1 ZINC000359563809 130521981 /nfs/dbraw/zinc/52/19/81/130521981.db2.gz IKYKAYGURRETHF-SECBINFHSA-N 0 0 266.297 2.634 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CC[C@@H](CC2CC2)C1 ZINC000359701077 130531426 /nfs/dbraw/zinc/53/14/26/130531426.db2.gz BAFQLQYRCXINBO-LBPRGKRZSA-N 0 0 274.320 2.857 20 5 CFBDRN COc1cc(NCCCCF)c([N+](=O)[O-])cc1F ZINC000359966349 130568469 /nfs/dbraw/zinc/56/84/69/130568469.db2.gz NDUXOGWWEIBROG-UHFFFAOYSA-N 0 0 260.240 2.904 20 5 CFBDRN CSC1(CNC(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 ZINC000193714990 130647651 /nfs/dbraw/zinc/64/76/51/130647651.db2.gz HCJSTPBLDXQDLA-UHFFFAOYSA-N 0 0 295.364 2.920 20 5 CFBDRN COc1ccc2c(c1)CN(c1ncc([N+](=O)[O-])s1)CC2 ZINC000195510866 130745253 /nfs/dbraw/zinc/74/52/53/130745253.db2.gz CFXFSTAIKJVJFR-UHFFFAOYSA-N 0 0 291.332 2.623 20 5 CFBDRN Cc1cccc(NCCNC(=O)OC(C)(C)C)c1[N+](=O)[O-] ZINC000207947614 130749927 /nfs/dbraw/zinc/74/99/27/130749927.db2.gz SQVGBTFGQVKBLK-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN CSC[C@](C)(O)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000226570772 130830326 /nfs/dbraw/zinc/83/03/26/130830326.db2.gz HELRDVLMLPSNCX-LLVKDONJSA-N 0 0 290.772 2.774 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@H]2CCCCO2)nc2sccn21 ZINC000227317294 130840032 /nfs/dbraw/zinc/84/00/32/130840032.db2.gz ZZWGAQBCAOWHFW-SECBINFHSA-N 0 0 296.352 2.675 20 5 CFBDRN CSC[C@H](C)Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000229373315 130880918 /nfs/dbraw/zinc/88/09/18/130880918.db2.gz PMDDCPOFXIMKRB-ZETCQYMHSA-N 0 0 266.326 2.635 20 5 CFBDRN C[C@@H](CO)CCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000230148030 130892638 /nfs/dbraw/zinc/89/26/38/130892638.db2.gz SFJBLFKANGJZEN-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000230269395 130897834 /nfs/dbraw/zinc/89/78/34/130897834.db2.gz ZJTGVRXBCOZTJF-HNNXBMFYSA-N 0 0 292.335 2.803 20 5 CFBDRN CCn1cc(CNc2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000234215456 130933644 /nfs/dbraw/zinc/93/36/44/130933644.db2.gz CBYJDIOVURDTQH-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN C[C@@H](CNC(=O)OC(C)(C)C)Nc1ccc([N+](=O)[O-])cc1 ZINC000235125434 130946652 /nfs/dbraw/zinc/94/66/52/130946652.db2.gz ZPRFSJWPJCMAFZ-JTQLQIEISA-N 0 0 295.339 2.920 20 5 CFBDRN C[NH+](C)CCSc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000253563675 131144242 /nfs/dbraw/zinc/14/42/42/131144242.db2.gz FRPXNIOYXQJPNC-UHFFFAOYSA-N 0 0 283.378 2.858 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCc1cnn(C)c1 ZINC000263770834 131326572 /nfs/dbraw/zinc/32/65/72/131326572.db2.gz SSFPAODWEYIDNC-UHFFFAOYSA-N 0 0 274.324 2.681 20 5 CFBDRN CN(CC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000263802187 131334495 /nfs/dbraw/zinc/33/44/95/131334495.db2.gz UNYALDQENYEXFR-UHFFFAOYSA-N 0 0 256.327 2.774 20 5 CFBDRN CCC(C)(CC)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000265004956 131535705 /nfs/dbraw/zinc/53/57/05/131535705.db2.gz KLFIKPDHGCHKRH-UHFFFAOYSA-N 0 0 250.298 2.903 20 5 CFBDRN CN(C)C(=O)[C@@H]1CCC[C@@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000265101086 131547620 /nfs/dbraw/zinc/54/76/20/131547620.db2.gz DVSPFHWORXQYDE-VXGBXAGGSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@H]1C[C@@H](c2ccccc2)CN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265358909 131579603 /nfs/dbraw/zinc/57/96/03/131579603.db2.gz ZDLAOSLIARYEFB-WCQYABFASA-N 0 0 299.330 2.941 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])[nH]1)Cc1cccs1 ZINC000266160430 131692788 /nfs/dbraw/zinc/69/27/88/131692788.db2.gz OKNZJKIFVPUTMK-SECBINFHSA-N 0 0 293.348 2.593 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H](C)C[C@@H](C)O ZINC000267021735 131809949 /nfs/dbraw/zinc/80/99/49/131809949.db2.gz JUQVIADAMDZQBD-WDEREUQCSA-N 0 0 282.340 2.560 20 5 CFBDRN Cc1cc(NC[C@@]2(C)CCCC[C@H]2O)ncc1[N+](=O)[O-] ZINC000267138248 131825293 /nfs/dbraw/zinc/82/52/93/131825293.db2.gz XHFISCDXXOBRLG-TZMCWYRMSA-N 0 0 279.340 2.651 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@H]1C ZINC000267606822 131891118 /nfs/dbraw/zinc/89/11/18/131891118.db2.gz GGPCTAGNKYVHKV-BDAKNGLRSA-N 0 0 265.269 2.738 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000267688351 131904221 /nfs/dbraw/zinc/90/42/21/131904221.db2.gz FKYZJESUFUTKES-ZOUVEMKTSA-N 0 0 274.320 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@@H]1C[C@H]1C ZINC000267956937 131938387 /nfs/dbraw/zinc/93/83/87/131938387.db2.gz KLSXUVLVARTYFC-KOLCDFICSA-N 0 0 277.324 2.989 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](OCC(C)C)C1 ZINC000268259417 131986908 /nfs/dbraw/zinc/98/69/08/131986908.db2.gz JVMJQLJVYVGLAP-ZDUSSCGKSA-N 0 0 279.340 2.550 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000268438721 132008807 /nfs/dbraw/zinc/00/88/07/132008807.db2.gz KYAPXQJPBSHYRC-UWVGGRQHSA-N 0 0 294.355 2.579 20 5 CFBDRN Cc1nc(NC[C@](C)(O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000268439357 132009144 /nfs/dbraw/zinc/00/91/44/132009144.db2.gz CTJDAKCBXMOYLJ-HNNXBMFYSA-N 0 0 287.319 2.618 20 5 CFBDRN Cc1nc(NC[C@](C)(O)c2cccs2)ccc1[N+](=O)[O-] ZINC000268441646 132009715 /nfs/dbraw/zinc/00/97/15/132009715.db2.gz CZLIQSWKMJKHEI-ZDUSSCGKSA-N 0 0 293.348 2.679 20 5 CFBDRN Cc1ncsc1CN(C)c1ccc([N+](=O)[O-])c(C)n1 ZINC000268484803 132016378 /nfs/dbraw/zinc/01/63/78/132016378.db2.gz MGTXVBDRSUISLU-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000268494463 132016801 /nfs/dbraw/zinc/01/68/01/132016801.db2.gz OQSKIIPZQPAFDY-MRVPVSSYSA-N 0 0 275.312 2.513 20 5 CFBDRN Cc1nc(Cn2cc([N+](=O)[O-])cc(Cl)c2=O)sc1C ZINC000268500429 132018333 /nfs/dbraw/zinc/01/83/33/132018333.db2.gz RZXSTDWNKDXVBR-UHFFFAOYSA-N 0 0 299.739 2.532 20 5 CFBDRN COCCC1(CNc2nccc(C)c2[N+](=O)[O-])CCC1 ZINC000268527935 132022006 /nfs/dbraw/zinc/02/20/06/132022006.db2.gz WHDMMOYTGGGDCK-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2ccn(C)c2)cc1[N+](=O)[O-] ZINC000268677434 132047773 /nfs/dbraw/zinc/04/77/73/132047773.db2.gz NESDLUGAMSPYFJ-NSHDSACASA-N 0 0 287.319 2.733 20 5 CFBDRN Cc1nc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)oc1C ZINC000268700894 132051960 /nfs/dbraw/zinc/05/19/60/132051960.db2.gz BQSDUFPMRQRQAC-UHFFFAOYSA-N 0 0 286.291 2.906 20 5 CFBDRN Cc1cc(N[C@H]2CCCc3c[nH]nc32)ncc1[N+](=O)[O-] ZINC000268882726 132082773 /nfs/dbraw/zinc/08/27/73/132082773.db2.gz WSNSLEHIKYZTPB-JTQLQIEISA-N 0 0 273.296 2.511 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000269254644 132143140 /nfs/dbraw/zinc/14/31/40/132143140.db2.gz YCOSACRKPXHGEZ-SNVBAGLBSA-N 0 0 266.297 2.705 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000269261632 132146177 /nfs/dbraw/zinc/14/61/77/132146177.db2.gz ZLJFECDRLCXGGV-SNVBAGLBSA-N 0 0 266.297 2.705 20 5 CFBDRN CC1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000269333896 132156819 /nfs/dbraw/zinc/15/68/19/132156819.db2.gz FYUFGDMOBMPFBB-UHFFFAOYSA-N 0 0 253.229 2.830 20 5 CFBDRN CCCc1nccn1CCOc1ccccc1[N+](=O)[O-] ZINC000269360108 132160548 /nfs/dbraw/zinc/16/05/48/132160548.db2.gz MJYJTWFGZGITOE-UHFFFAOYSA-N 0 0 275.308 2.823 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCc1ccccn1 ZINC000269406476 132166726 /nfs/dbraw/zinc/16/67/26/132166726.db2.gz VYDOTJVYOJPAGA-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN O=C(NCC1(Cc2ccccc2)CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000269426637 132168591 /nfs/dbraw/zinc/16/85/91/132168591.db2.gz UZOYIDAPNCWFKF-UHFFFAOYSA-N 0 0 299.330 2.676 20 5 CFBDRN CN(CCC1CC1)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000269473465 132175499 /nfs/dbraw/zinc/17/54/99/132175499.db2.gz DBLQCVLTTLLUCD-UHFFFAOYSA-N 0 0 266.272 2.606 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCOc1ccc(F)cc1Cl ZINC000180325932 393480371 /nfs/dbraw/zinc/48/03/71/393480371.db2.gz HIJSNVWRNYJOIB-UHFFFAOYSA-N 0 0 285.662 2.663 20 5 CFBDRN O=C(NC1CCCCC1)c1ccc([N+](=O)[O-])s1 ZINC000015344640 393481396 /nfs/dbraw/zinc/48/13/96/393481396.db2.gz OEULXRVRQCVRBI-UHFFFAOYSA-N 0 0 254.311 2.719 20 5 CFBDRN CN(Cc1cnn2ccccc12)Cc1ccccc1[N+](=O)[O-] ZINC000179316327 393463231 /nfs/dbraw/zinc/46/32/31/393463231.db2.gz XBNSUBMYQBCPSK-UHFFFAOYSA-N 0 0 296.330 2.875 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCC[C@H](O)C(C)C ZINC000301473956 393472099 /nfs/dbraw/zinc/47/20/99/393472099.db2.gz MMHCCGBFCYCYKR-ZDUSSCGKSA-N 0 0 252.314 2.722 20 5 CFBDRN Cn1ccc(C(=O)COc2ccc(Cl)cc2[N+](=O)[O-])c1 ZINC000025126543 393491131 /nfs/dbraw/zinc/49/11/31/393491131.db2.gz VHBBTKSOCCDCHF-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN O=[N+]([O-])c1cc(CNCc2ccc3c(c2)OCO3)cs1 ZINC000037562571 393532229 /nfs/dbraw/zinc/53/22/29/393532229.db2.gz BUMZPGPDWDSYQI-UHFFFAOYSA-N 0 0 292.316 2.675 20 5 CFBDRN C[C@H]1CCC[C@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330414512 393553198 /nfs/dbraw/zinc/55/31/98/393553198.db2.gz TXMJBTFUQSXKSL-JOYOIKCWSA-N 0 0 250.298 2.579 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NOC1CCCC1 ZINC000379561688 393554374 /nfs/dbraw/zinc/55/43/74/393554374.db2.gz HYQKOXYWITYQQS-YFHOEESVSA-N 0 0 276.292 2.598 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)N2CCc3cc([N+](=O)[O-])ccc32)C1 ZINC000331645529 393555916 /nfs/dbraw/zinc/55/59/16/393555916.db2.gz ULXOSYYUROZFCZ-CMPLNLGQSA-N 0 0 274.320 2.920 20 5 CFBDRN O=C(NCCc1ccccc1)NCc1ccccc1[N+](=O)[O-] ZINC000042863121 393555991 /nfs/dbraw/zinc/55/59/91/393555991.db2.gz SQRUZKZVKWNAOS-UHFFFAOYSA-N 0 0 299.330 2.637 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1ncon1 ZINC000366667660 299638649 /nfs/dbraw/zinc/63/86/49/299638649.db2.gz VQPFFJHWZHYROC-MFKMUULPSA-N 0 0 288.307 2.876 20 5 CFBDRN CC[C@@H](C)NC(=O)CSc1ccc([N+](=O)[O-])cc1F ZINC000189435954 393572856 /nfs/dbraw/zinc/57/28/56/393572856.db2.gz TWYIRTKJILZWBC-MRVPVSSYSA-N 0 0 286.328 2.741 20 5 CFBDRN Cc1c(C(=O)N2CCSCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000189954420 393577400 /nfs/dbraw/zinc/57/74/00/393577400.db2.gz AMOMLESTXVKKQW-SNVBAGLBSA-N 0 0 294.376 2.871 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1C/C=C/c1ccc(Cl)cc1 ZINC000189315886 393571707 /nfs/dbraw/zinc/57/17/07/393571707.db2.gz NUOJZEAZVVSGIT-OWOJBTEDSA-N 0 0 278.699 2.740 20 5 CFBDRN CCCCNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000048685485 393593169 /nfs/dbraw/zinc/59/31/69/393593169.db2.gz RJIINLFNUZFJPW-JTQLQIEISA-N 0 0 265.313 2.755 20 5 CFBDRN CCOC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F ZINC000047202957 393585565 /nfs/dbraw/zinc/58/55/65/393585565.db2.gz WAAIAFMZIXOVTJ-UHFFFAOYSA-N 0 0 263.171 2.790 20 5 CFBDRN CC[C@@]1(C)CN(Cc2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000191146977 393588018 /nfs/dbraw/zinc/58/80/18/393588018.db2.gz CXHNOJDHYOFERZ-HNNXBMFYSA-N 0 0 278.352 2.904 20 5 CFBDRN O=C(NC[C@H]1Cc2ccccc21)c1csc([N+](=O)[O-])c1 ZINC000194089801 393677048 /nfs/dbraw/zinc/67/70/48/393677048.db2.gz UUSJCOIDKWQOKE-SNVBAGLBSA-N 0 0 288.328 2.726 20 5 CFBDRN C[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CC1 ZINC000048911401 393609363 /nfs/dbraw/zinc/60/93/63/393609363.db2.gz HNGCGRYUGUQSDF-MRVPVSSYSA-N 0 0 273.292 2.604 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H]2c2ccccc2)cn1 ZINC000049776425 393616087 /nfs/dbraw/zinc/61/60/87/393616087.db2.gz GCEKCWZBQGYNLU-CHWSQXEVSA-N 0 0 255.277 2.958 20 5 CFBDRN Cc1cc(NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)n[nH]1 ZINC000192196125 393617804 /nfs/dbraw/zinc/61/78/04/393617804.db2.gz HDKWLZOOSYOWMO-UHFFFAOYSA-N 0 0 275.268 2.579 20 5 CFBDRN CCc1cc(NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)n[nH]1 ZINC000192291964 393620017 /nfs/dbraw/zinc/62/00/17/393620017.db2.gz IORFSLAISITQJT-UHFFFAOYSA-N 0 0 289.295 2.833 20 5 CFBDRN C[C@@H]1C[C@H](C)N(c2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000408454092 393627240 /nfs/dbraw/zinc/62/72/40/393627240.db2.gz TWPLJVHVKFMURF-BDAKNGLRSA-N 0 0 260.297 2.706 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCCC2(CC2)C1 ZINC000192779428 393632887 /nfs/dbraw/zinc/63/28/87/393632887.db2.gz JQVCALUQQFQGTB-UHFFFAOYSA-N 0 0 264.329 2.500 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CCCC[C@@H]1C ZINC000192823289 393634188 /nfs/dbraw/zinc/63/41/88/393634188.db2.gz ZWSQGZFOQHLSMV-IINYFYTJSA-N 0 0 291.351 2.949 20 5 CFBDRN CN(C(=O)Cc1ccsc1)c1ccc([N+](=O)[O-])cc1 ZINC000192835004 393634243 /nfs/dbraw/zinc/63/42/43/393634243.db2.gz VZPWZAYFDJFMHE-UHFFFAOYSA-N 0 0 276.317 2.862 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC(C)CC1 ZINC000192895370 393636945 /nfs/dbraw/zinc/63/69/45/393636945.db2.gz QYYUXOZUBDAJAN-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CCC[C@@H](CC(C)C)C(=O)Nc1c([N+](=O)[O-])cnn1C ZINC000410718350 393637140 /nfs/dbraw/zinc/63/71/40/393637140.db2.gz DVNAUBUTHHHASW-JTQLQIEISA-N 0 0 282.344 2.729 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCOC(C)C ZINC000193155939 393642966 /nfs/dbraw/zinc/64/29/66/393642966.db2.gz FLINMTVRWHXCGN-UHFFFAOYSA-N 0 0 280.324 2.965 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@H](C)c1ccco1 ZINC000193301594 393647835 /nfs/dbraw/zinc/64/78/35/393647835.db2.gz KIDSATBZLGNYOF-SNVBAGLBSA-N 0 0 289.291 2.724 20 5 CFBDRN CC(C)OC[C@@H](O)CSc1ccc([N+](=O)[O-])cc1F ZINC000193513040 393654334 /nfs/dbraw/zinc/65/43/34/393654334.db2.gz DYYYSWOGEQDXSE-SNVBAGLBSA-N 0 0 289.328 2.612 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1F)C1CCOCC1 ZINC000193505964 393654367 /nfs/dbraw/zinc/65/43/67/393654367.db2.gz QFVSMYJTGSOIJD-UHFFFAOYSA-N 0 0 299.323 2.822 20 5 CFBDRN COc1cccc(C(=O)NC2(C3(C)CC3)CC2)c1[N+](=O)[O-] ZINC000411060868 393655862 /nfs/dbraw/zinc/65/58/62/393655862.db2.gz HVALOZXKTJOJKM-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN CCOC[C@H]1CCCCN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000411116221 393666832 /nfs/dbraw/zinc/66/68/32/393666832.db2.gz UYHBWJUBBYOJLG-GFCCVEGCSA-N 0 0 292.335 2.626 20 5 CFBDRN Cc1cc(NC(=O)c2ccncc2)ccc1[N+](=O)[O-] ZINC000075283598 393671409 /nfs/dbraw/zinc/67/14/09/393671409.db2.gz QPOVOBDRCYOOIG-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN O=C(NC[C@H]1Cc2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000194044515 393673105 /nfs/dbraw/zinc/67/31/05/393673105.db2.gz PRIWCSZTOINFHO-CYBMUJFWSA-N 0 0 282.299 2.665 20 5 CFBDRN O=C(NC[C@@H]1Cc2ccccc21)c1cccc([N+](=O)[O-])c1 ZINC000194055866 393674423 /nfs/dbraw/zinc/67/44/23/393674423.db2.gz AKKAJYDTLMBVJI-ZDUSSCGKSA-N 0 0 282.299 2.665 20 5 CFBDRN O=C(NC[C@@H]1Cc2ccccc21)c1ccccc1[N+](=O)[O-] ZINC000194055786 393674777 /nfs/dbraw/zinc/67/47/77/393674777.db2.gz VXTAGCSBKGRYKU-LBPRGKRZSA-N 0 0 282.299 2.665 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2Cc3ccccc32)ccc1[N+](=O)[O-] ZINC000194062357 393675690 /nfs/dbraw/zinc/67/56/90/393675690.db2.gz DGAULVWNZJBEDZ-AWEZNQCLSA-N 0 0 296.326 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCc1cscn1)CCN2 ZINC000195265005 393736742 /nfs/dbraw/zinc/73/67/42/393736742.db2.gz AMZRXAPOUYUIPI-UHFFFAOYSA-N 0 0 290.348 2.674 20 5 CFBDRN CNC(=O)c1ccc(NC2C(C)(C)C2(C)C)c([N+](=O)[O-])c1 ZINC000211319317 393753608 /nfs/dbraw/zinc/75/36/08/393753608.db2.gz WMVOJVMQENTEFQ-UHFFFAOYSA-N 0 0 291.351 2.801 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])o1)c1cccc(F)c1 ZINC000076449335 393688604 /nfs/dbraw/zinc/68/86/04/393688604.db2.gz IPBOIQVAOVEPDL-UHFFFAOYSA-N 0 0 292.266 2.992 20 5 CFBDRN COc1cccc(C(=O)NCC2(C)CCCC2)c1[N+](=O)[O-] ZINC000194321541 393689605 /nfs/dbraw/zinc/68/96/05/393689605.db2.gz MGMRHKRIZHQDJE-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000078006234 393703731 /nfs/dbraw/zinc/70/37/31/393703731.db2.gz ZBZVCGHWQCMGOI-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CS[C@@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000218902816 393759295 /nfs/dbraw/zinc/75/92/95/393759295.db2.gz RICQVUPOHYUJOH-CPCISQLKSA-N 0 0 290.772 2.773 20 5 CFBDRN CC(C)Oc1cc(C(=O)N(C)C2CC2)ccc1[N+](=O)[O-] ZINC000411368396 393704205 /nfs/dbraw/zinc/70/42/05/393704205.db2.gz JBFBOYIREPHHCZ-UHFFFAOYSA-N 0 0 278.308 2.616 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000194577207 393706274 /nfs/dbraw/zinc/70/62/74/393706274.db2.gz BYLUQPGBPKSNMS-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1)Cc1cccnc1 ZINC000078681281 393710426 /nfs/dbraw/zinc/71/04/26/393710426.db2.gz XCXGRNBPQKEEIF-UHFFFAOYSA-N 0 0 257.293 2.622 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@H](C)OC(C)(C)C1 ZINC000194835727 393719067 /nfs/dbraw/zinc/71/90/67/393719067.db2.gz HYVKYJBHUXHRAR-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN CN(CC1CC1)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411513284 393720547 /nfs/dbraw/zinc/72/05/47/393720547.db2.gz UQNZBYRTCRNKDU-UONOGXRCSA-N 0 0 274.320 2.567 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411582844 393727614 /nfs/dbraw/zinc/72/76/14/393727614.db2.gz HLXBIRFOPKLQMF-XQQFMLRXSA-N 0 0 274.320 2.613 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000080688740 393728375 /nfs/dbraw/zinc/72/83/75/393728375.db2.gz BHAZASKGEGOBJM-NEPJUHHUSA-N 0 0 293.367 2.948 20 5 CFBDRN COc1cccc(NC[C@H]2CCSC2)c1[N+](=O)[O-] ZINC000228583166 393774886 /nfs/dbraw/zinc/77/48/86/393774886.db2.gz SJUMFENEKOUHEN-SECBINFHSA-N 0 0 268.338 2.768 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1c1ncc([N+](=O)[O-])cc1F ZINC000413184862 393779427 /nfs/dbraw/zinc/77/94/27/393779427.db2.gz OQYRXYJXMXUMQC-DTWKUNHWSA-N 0 0 253.277 2.754 20 5 CFBDRN CCOc1cc(N[C@@H](C)[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000092657511 393789481 /nfs/dbraw/zinc/78/94/81/393789481.db2.gz LGTICGFLTSUGFJ-QWRGUYRKSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1cc(N[C@@H]2CCCC[C@H]2CO)ccc1[N+](=O)[O-] ZINC000092656467 393789777 /nfs/dbraw/zinc/78/97/77/393789777.db2.gz CKVCJGABMBBGLE-WCQYABFASA-N 0 0 264.325 2.866 20 5 CFBDRN C[C@H]1OCCN(C/C=C/c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000093417825 393798304 /nfs/dbraw/zinc/79/83/04/393798304.db2.gz CWJWZZUOVJMMCJ-JHSWMASBSA-N 0 0 276.336 2.717 20 5 CFBDRN Cc1ccc(O)c(CN2CCc3c(cccc3[N+](=O)[O-])C2)n1 ZINC000093443673 393798672 /nfs/dbraw/zinc/79/86/72/393798672.db2.gz FRHQXQZLTVEYLQ-UHFFFAOYSA-N 0 0 299.330 2.562 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000457995100 393820061 /nfs/dbraw/zinc/82/00/61/393820061.db2.gz AHFXYNQUFPVEBR-TZMCWYRMSA-N 0 0 276.336 2.688 20 5 CFBDRN Cc1ccc(CNC(=O)c2c(C)cccc2[N+](=O)[O-])nc1C ZINC000458019934 393828335 /nfs/dbraw/zinc/82/83/35/393828335.db2.gz XMWRFJREUUPOHK-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1cc(=O)n(Cc2c(F)cccc2Cl)cc1[N+](=O)[O-] ZINC000096548074 393839410 /nfs/dbraw/zinc/83/94/10/393839410.db2.gz REIBALKGFRCFCP-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN CN(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CC(C)(C)C1 ZINC000458193407 393868265 /nfs/dbraw/zinc/86/82/65/393868265.db2.gz VJLHBQQHBAZGNU-UHFFFAOYSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C[C@H]1C ZINC000416083307 393868764 /nfs/dbraw/zinc/86/87/64/393868764.db2.gz XZSCDSWOJYBLDC-GMTAPVOTSA-N 0 0 294.326 2.779 20 5 CFBDRN CCc1ccc(C(=O)N(C)CCCCOC)cc1[N+](=O)[O-] ZINC000416127980 393876954 /nfs/dbraw/zinc/87/69/54/393876954.db2.gz GTRPNHOSGFGHGQ-UHFFFAOYSA-N 0 0 294.351 2.656 20 5 CFBDRN O=C(/C=C/C1CCOCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000112164195 393890008 /nfs/dbraw/zinc/89/00/08/393890008.db2.gz ZPPKDCJBASIVOJ-SNAWJCMRSA-N 0 0 276.292 2.516 20 5 CFBDRN Cc1ccc(C)c(CN(C)c2ncc([N+](=O)[O-])cn2)c1 ZINC000428656020 393902508 /nfs/dbraw/zinc/90/25/08/393902508.db2.gz GIDABECDARUEAX-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN CC(C)c1cc(Oc2ccc([N+](=O)[O-])c(CO)c2)ncn1 ZINC000428663184 393903664 /nfs/dbraw/zinc/90/36/64/393903664.db2.gz INAWYIUXWKYDNX-UHFFFAOYSA-N 0 0 289.291 2.793 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@H+]1CCC[C@H](C)[C@@H]1C(=O)[O-] ZINC000424412840 393843909 /nfs/dbraw/zinc/84/39/09/393843909.db2.gz DSTYQEAZQIGTBK-IINYFYTJSA-N 0 0 292.335 2.588 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1C(C)C ZINC000458110968 393849373 /nfs/dbraw/zinc/84/93/73/393849373.db2.gz HTMAUAOWZBMUNY-WDEREUQCSA-N 0 0 262.309 2.678 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000458151570 393859687 /nfs/dbraw/zinc/85/96/87/393859687.db2.gz QRGQJFJUMZOFPA-TZMCWYRMSA-N 0 0 262.309 2.759 20 5 CFBDRN O=C(NC[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1Cl ZINC000416064657 393859969 /nfs/dbraw/zinc/85/99/69/393859969.db2.gz RKGWQAQRKFWFDX-QMMMGPOBSA-N 0 0 280.711 2.778 20 5 CFBDRN CCC1(C)CCN(c2cccc(C(N)=O)c2[N+](=O)[O-])CC1 ZINC000342109506 393861269 /nfs/dbraw/zinc/86/12/69/393861269.db2.gz HALWQKVAQUMVMC-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN C[C@H]1COC[C@H](C)N1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119219361 393915888 /nfs/dbraw/zinc/91/58/88/393915888.db2.gz ZLEDZLJGJGMBBH-UWVGGRQHSA-N 0 0 284.743 2.857 20 5 CFBDRN C[C@@H]1COC[C@@H](C)N1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000119219460 393916360 /nfs/dbraw/zinc/91/63/60/393916360.db2.gz ZLEDZLJGJGMBBH-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccn(CCCCC(F)(F)F)c(=O)c1[N+](=O)[O-] ZINC000119746894 393925055 /nfs/dbraw/zinc/92/50/55/393925055.db2.gz SPDIRQJTOKBGQC-UHFFFAOYSA-N 0 0 278.230 2.798 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000475187236 393952336 /nfs/dbraw/zinc/95/23/36/393952336.db2.gz WZLIIRIZNQWSMZ-NXEZZACHSA-N 0 0 280.324 2.768 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1ccc([N+](=O)[O-])cc1 ZINC000271572670 394020729 /nfs/dbraw/zinc/02/07/29/394020729.db2.gz CBOIVSKMQMTDAP-GHMZBOCLSA-N 0 0 250.298 2.599 20 5 CFBDRN CCC(CC)[C@@H]1C[C@H](Nc2ccncc2[N+](=O)[O-])CCO1 ZINC000477108958 393963425 /nfs/dbraw/zinc/96/34/25/393963425.db2.gz ACHBYDALJFEGBZ-DOMZBBRYSA-N 0 0 293.367 2.807 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1F ZINC000279609185 134473344 /nfs/dbraw/zinc/47/33/44/134473344.db2.gz XMVGQMFGLKJRMM-GHMZBOCLSA-N 0 0 282.315 2.864 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)Cc1cc(C)on1 ZINC000430192742 393966071 /nfs/dbraw/zinc/96/60/71/393966071.db2.gz BKLRKRFLFCYPPD-UHFFFAOYSA-N 0 0 291.307 2.532 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])cc1OC ZINC000430209526 393968903 /nfs/dbraw/zinc/96/89/03/393968903.db2.gz RJRDZKWGXNFHJQ-WCQYABFASA-N 0 0 294.351 2.603 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCOC2(CCCCC2)C1 ZINC000266276469 393980791 /nfs/dbraw/zinc/98/07/91/393980791.db2.gz SIZSKNSTZIDHHK-UHFFFAOYSA-N 0 0 291.351 2.838 20 5 CFBDRN CCOc1cc(S[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000487094095 393983755 /nfs/dbraw/zinc/98/37/55/393983755.db2.gz DCJITTMQVDKERU-JTQLQIEISA-N 0 0 269.322 2.875 20 5 CFBDRN Cc1oncc1CSc1ccc([N+](=O)[O-])cn1 ZINC000126340170 394025860 /nfs/dbraw/zinc/02/58/60/394025860.db2.gz OXZQGVGDSCZRIW-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2[C@H](C)CCC[C@H]2C)c1[N+](=O)[O-] ZINC000269488653 394004637 /nfs/dbraw/zinc/00/46/37/394004637.db2.gz XGISMYZTQWPCJI-NXEZZACHSA-N 0 0 294.355 2.844 20 5 CFBDRN Cc1cc(OCC(=O)Nc2ccsc2)ccc1[N+](=O)[O-] ZINC000430702008 394006061 /nfs/dbraw/zinc/00/60/61/394006061.db2.gz GCIXDPKLKTXVBI-UHFFFAOYSA-N 0 0 292.316 2.982 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432308068 394011416 /nfs/dbraw/zinc/01/14/16/394011416.db2.gz QFSDJGNMDIQTMC-YGRLFVJLSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1ccc(-c2nc3cc([N+](=O)[O-])ccc3n2C)nc1 ZINC000354262078 394043722 /nfs/dbraw/zinc/04/37/22/394043722.db2.gz REIAHTZSBQBPAZ-UHFFFAOYSA-N 0 0 268.276 2.852 20 5 CFBDRN CCC1(O)CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000275464840 394046881 /nfs/dbraw/zinc/04/68/81/394046881.db2.gz NDAKTWRWKYBSKB-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN Cc1cnc(N2CCC([C@@H]3CCCO3)CC2)c([N+](=O)[O-])c1 ZINC000276094827 394048677 /nfs/dbraw/zinc/04/86/77/394048677.db2.gz VUHIOEFNJQNOLS-AWEZNQCLSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@H]1CCOC[C@@H]1C ZINC000277758248 394057873 /nfs/dbraw/zinc/05/78/73/394057873.db2.gz VIFUJXREZYPNHO-GWCFXTLKSA-N 0 0 250.298 2.740 20 5 CFBDRN CO[C@@H](CSCc1c([N+](=O)[O-])ncn1C)CC(C)C ZINC000419641439 394060137 /nfs/dbraw/zinc/06/01/37/394060137.db2.gz VVYUPXMLZYSXSC-SNVBAGLBSA-N 0 0 287.385 2.623 20 5 CFBDRN C[C@H]1COCCN(Cc2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000492128338 394064393 /nfs/dbraw/zinc/06/43/93/394064393.db2.gz ITWUSUHLECYIIW-SNVBAGLBSA-N 0 0 284.743 2.717 20 5 CFBDRN Cc1noc(C)c1CCOc1ncc(C)cc1[N+](=O)[O-] ZINC000128454362 394069874 /nfs/dbraw/zinc/06/98/74/394069874.db2.gz PWFRPGVVRMRNQX-UHFFFAOYSA-N 0 0 277.280 2.525 20 5 CFBDRN CCc1ccnc(CNC(=O)c2ccc([N+](=O)[O-])s2)c1 ZINC000437727443 394071481 /nfs/dbraw/zinc/07/14/81/394071481.db2.gz MEQXNMXAFKQZQP-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN CCc1ccnc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)c1 ZINC000437737787 394072196 /nfs/dbraw/zinc/07/21/96/394072196.db2.gz PVXYHXJHRPLQIN-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN Cc1ccncc1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000128579952 394072215 /nfs/dbraw/zinc/07/22/15/394072215.db2.gz DFBYAWKLJQPJNS-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2)[C@H](C)[C@H](C)O1 ZINC000438345688 394079355 /nfs/dbraw/zinc/07/93/55/394079355.db2.gz AHENWOIQRCFPPG-UTUOFQBUSA-N 0 0 264.325 2.593 20 5 CFBDRN Cc1c(OC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)cccc1[N+](=O)[O-] ZINC000281834306 394080546 /nfs/dbraw/zinc/08/05/46/394080546.db2.gz HOXOEHJLNAIJEN-VYUIOLGVSA-N 0 0 279.292 2.622 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N[C@H]1CCCSC1 ZINC000492845053 394080815 /nfs/dbraw/zinc/08/08/15/394080815.db2.gz YVXBCMQTSUZMML-DGMVEKRQSA-N 0 0 292.360 2.620 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492885546 394089684 /nfs/dbraw/zinc/08/96/84/394089684.db2.gz DFSAYCCILZFVOH-KTRBRXNASA-N 0 0 274.320 2.913 20 5 CFBDRN O=C(Nc1cccc(O)c1F)c1ccc(F)cc1[N+](=O)[O-] ZINC000129606114 394091341 /nfs/dbraw/zinc/09/13/41/394091341.db2.gz HWRNPFPSEAFVCT-UHFFFAOYSA-N 0 0 294.213 2.831 20 5 CFBDRN Cc1ccn(CCCOc2ccccc2)c(=O)c1[N+](=O)[O-] ZINC000129588575 394091666 /nfs/dbraw/zinc/09/16/66/394091666.db2.gz VBEZAUVAIBQFCX-UHFFFAOYSA-N 0 0 288.303 2.534 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1C(F)F ZINC000492899589 394092786 /nfs/dbraw/zinc/09/27/86/394092786.db2.gz SXYJZHDZJOJYJQ-VVEJJEBESA-N 0 0 296.273 2.864 20 5 CFBDRN Cc1cn(Cc2noc(-c3ccccc3C)n2)nc1[N+](=O)[O-] ZINC000439201230 394104968 /nfs/dbraw/zinc/10/49/68/394104968.db2.gz SEYOOBGNBCRXKK-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN Cc1c(CC(=O)N2[C@@H](C)CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000129915130 394096885 /nfs/dbraw/zinc/09/68/85/394096885.db2.gz KPFXCKWWPMRRKO-QWRGUYRKSA-N 0 0 276.336 2.845 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000436861529 394029950 /nfs/dbraw/zinc/02/99/50/394029950.db2.gz BZUZDRYFZASALY-KEODLESXSA-N 0 0 290.319 2.978 20 5 CFBDRN Cc1nc(N[C@H]2COCc3ccccc32)ccc1[N+](=O)[O-] ZINC000418980525 394032572 /nfs/dbraw/zinc/03/25/72/394032572.db2.gz ACGIYMYTNAJIPV-ZDUSSCGKSA-N 0 0 285.303 2.982 20 5 CFBDRN CCC[C@@H](OC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000448741701 394177825 /nfs/dbraw/zinc/17/78/25/394177825.db2.gz XEBSBYGZWWIDBS-ZWNOBZJWSA-N 0 0 280.324 2.587 20 5 CFBDRN CO[C@H]1CCN(C(=O)c2cccc(C)c2[N+](=O)[O-])[C@@H](C)C1 ZINC000281998583 394108123 /nfs/dbraw/zinc/10/81/23/394108123.db2.gz XSBCEBFYPZIFAN-RYUDHWBXSA-N 0 0 292.335 2.543 20 5 CFBDRN CSCCCN(C)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000282009097 394109767 /nfs/dbraw/zinc/10/97/67/394109767.db2.gz XSCXROOPXYUKFM-UHFFFAOYSA-N 0 0 285.325 2.692 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)sc1C ZINC000439287617 394110081 /nfs/dbraw/zinc/11/00/81/394110081.db2.gz RBUWTUAJHVRNBM-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN CO[C@H](C)c1nc(CNc2ccc([N+](=O)[O-])nc2)cs1 ZINC000439593023 394124047 /nfs/dbraw/zinc/12/40/47/394124047.db2.gz FTHAYUGNRHOLLB-MRVPVSSYSA-N 0 0 294.336 2.766 20 5 CFBDRN Cc1cnc(N(C)Cc2cccc(C)n2)c([N+](=O)[O-])c1 ZINC000439599137 394124432 /nfs/dbraw/zinc/12/44/32/394124432.db2.gz RLICOOJNNZSLRB-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000439710846 394127412 /nfs/dbraw/zinc/12/74/12/394127412.db2.gz PBGNYHGCBBWJQT-IUCAKERBSA-N 0 0 298.368 2.513 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000439711970 394128017 /nfs/dbraw/zinc/12/80/17/394128017.db2.gz CWPPRCQEEUMNEH-MNOVXSKESA-N 0 0 282.340 2.812 20 5 CFBDRN CC[C@@H](C[C@@H](C)CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000439713703 394128682 /nfs/dbraw/zinc/12/86/82/394128682.db2.gz JHICPUOFJYSISD-MNOVXSKESA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2[C@H](C)C2(F)F)ccc1[N+](=O)[O-] ZINC000359420899 394133012 /nfs/dbraw/zinc/13/30/12/394133012.db2.gz LYQQRGNNENUQBC-XVKPBYJWSA-N 0 0 270.235 2.743 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000131470822 394133349 /nfs/dbraw/zinc/13/33/49/394133349.db2.gz VLPGNPWEHZPTOZ-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN Cc1nnc(COc2ccc(C)c([N+](=O)[O-])c2)n1C1CC1 ZINC000131788103 394141266 /nfs/dbraw/zinc/14/12/66/394141266.db2.gz DSPDFYCJKMGCCV-UHFFFAOYSA-N 0 0 288.307 2.717 20 5 CFBDRN Cc1noc([C@@H]2CCCN2Cc2cccc([N+](=O)[O-])c2)n1 ZINC000285837421 394154212 /nfs/dbraw/zinc/15/42/12/394154212.db2.gz XFXHGZLGVLJYFH-ZDUSSCGKSA-N 0 0 288.307 2.623 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])n[nH]1)C1CCCCCCC1 ZINC000285887046 394161136 /nfs/dbraw/zinc/16/11/36/394161136.db2.gz FZCPKJWEHSTPFG-UHFFFAOYSA-N 0 0 280.328 2.503 20 5 CFBDRN O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)NCc1ccccc1[N+](=O)[O-] ZINC000448723386 394175315 /nfs/dbraw/zinc/17/53/15/394175315.db2.gz HOSIIZVDJPNGRR-MDZLAQPJSA-N 0 0 274.320 2.647 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CC(C2CCC2)C1 ZINC000493193884 394175586 /nfs/dbraw/zinc/17/55/86/394175586.db2.gz RVXITZUEUBKPGK-HJWRWDBZSA-N 0 0 286.331 2.867 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1C[C@H]1C1CC1 ZINC000493275157 394197717 /nfs/dbraw/zinc/19/77/17/394197717.db2.gz XSBFIHPHPQUGNY-NMTKSXOJSA-N 0 0 290.294 2.662 20 5 CFBDRN Cc1cnc(N2CCC=C(c3ccncc3)C2)c([N+](=O)[O-])c1 ZINC000286609843 394198364 /nfs/dbraw/zinc/19/83/64/394198364.db2.gz TUSRBKAROZQDBM-UHFFFAOYSA-N 0 0 296.330 2.987 20 5 CFBDRN CC(C)SCCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493284727 394199033 /nfs/dbraw/zinc/19/90/33/394199033.db2.gz ITUDHUUDXVGSRL-YVMONPNESA-N 0 0 294.376 2.866 20 5 CFBDRN Nc1c(C(=O)N2C[C@@H]3CCCCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000364336365 394205321 /nfs/dbraw/zinc/20/53/21/394205321.db2.gz DEOKJSIRSPMCME-JQWIXIFHSA-N 0 0 289.335 2.582 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CC[C@H](c2ccccc2)C1 ZINC000364402099 394209250 /nfs/dbraw/zinc/20/92/50/394209250.db2.gz KVWDSLRETIMEEU-ZDUSSCGKSA-N 0 0 297.314 2.620 20 5 CFBDRN CN(C(=O)/C=C\c1cccc([N+](=O)[O-])c1)[C@@H]1CC1(C)C ZINC000493361740 394222744 /nfs/dbraw/zinc/22/27/44/394222744.db2.gz YRCSMBMUJRGJOA-MEJMFZKBSA-N 0 0 274.320 2.865 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])NC1C[C@H]2C[C@H]2C1 ZINC000493383332 394227937 /nfs/dbraw/zinc/22/79/37/394227937.db2.gz JPVKALURKQSBJZ-QKDNLVQOSA-N 0 0 290.294 2.662 20 5 CFBDRN CC[C@@H](CNc1ccc2cc([N+](=O)[O-])ccc2n1)OC ZINC000290600106 394231359 /nfs/dbraw/zinc/23/13/59/394231359.db2.gz DRHKMRWPURBYPA-LBPRGKRZSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1ccc(NC(=O)/C=C/c2ccn(C)c2)cc1[N+](=O)[O-] ZINC000493402935 394233071 /nfs/dbraw/zinc/23/30/71/394233071.db2.gz PBDWKIMYYYMTDC-GQCTYLIASA-N 0 0 285.303 2.894 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])CCC1(F)F ZINC000290903421 394235658 /nfs/dbraw/zinc/23/56/58/394235658.db2.gz LAJMTTLROHHPRL-SECBINFHSA-N 0 0 284.262 2.712 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(F)(F)[C@@H](C)C1 ZINC000291137366 394237476 /nfs/dbraw/zinc/23/74/76/394237476.db2.gz NOZZYSWZSSTQQZ-VIFPVBQESA-N 0 0 271.267 2.780 20 5 CFBDRN CCC(CC)(CNc1ccc(N)cc1[N+](=O)[O-])OC ZINC000291621506 394248282 /nfs/dbraw/zinc/24/82/82/394248282.db2.gz ATIPXQFSNRDIRH-UHFFFAOYSA-N 0 0 267.329 2.794 20 5 CFBDRN Cc1nnsc1CNc1cc(C)ccc1[N+](=O)[O-] ZINC000291626135 394249027 /nfs/dbraw/zinc/24/90/27/394249027.db2.gz BDEMIHZMUQYTFL-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN COCCCCCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000291627196 394249122 /nfs/dbraw/zinc/24/91/22/394249122.db2.gz LBRIBIWRJKLIMT-UHFFFAOYSA-N 0 0 290.319 2.726 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCCCC2)c(F)c1 ZINC000286058117 394185353 /nfs/dbraw/zinc/18/53/53/394185353.db2.gz RNOQECCQNUHDAE-UHFFFAOYSA-N 0 0 256.252 2.859 20 5 CFBDRN COCC(C)(C)CNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000291686714 394255029 /nfs/dbraw/zinc/25/50/29/394255029.db2.gz FSEXOKBAZLPQRW-UHFFFAOYSA-N 0 0 268.313 2.688 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2[C@H]2CCCO2)c(F)c1 ZINC000286124383 394193760 /nfs/dbraw/zinc/19/37/60/394193760.db2.gz ADXQYMAJCOSGBQ-UONOGXRCSA-N 0 0 280.299 2.882 20 5 CFBDRN COCC(C)(C)CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000291803250 394263563 /nfs/dbraw/zinc/26/35/63/394263563.db2.gz PVOWBLXWISANLG-UHFFFAOYSA-N 0 0 295.339 2.697 20 5 CFBDRN O=C(/C=C/c1cncs1)Nc1cc([N+](=O)[O-])ccc1F ZINC000493512344 394263604 /nfs/dbraw/zinc/26/36/04/394263604.db2.gz GBZJFPNJQCZGNY-DUXPYHPUSA-N 0 0 293.279 2.842 20 5 CFBDRN Cc1nc(NC[C@H]2CCO[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000450739797 394330964 /nfs/dbraw/zinc/33/09/64/394330964.db2.gz RSPGLDCFLKIHMZ-GXTWGEPZSA-N 0 0 293.367 2.583 20 5 CFBDRN O=C(/C=C\C1CC1)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 ZINC000493526298 394268674 /nfs/dbraw/zinc/26/86/74/394268674.db2.gz QMVKKYWAFPMQEJ-BAQGIRSFSA-N 0 0 298.302 2.685 20 5 CFBDRN CC1CCC(NC(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000152113183 394271522 /nfs/dbraw/zinc/27/15/22/394271522.db2.gz RRWXAAZICDIHHW-UHFFFAOYSA-N 0 0 276.336 2.832 20 5 CFBDRN CCC[C@H](CNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000493535591 394272266 /nfs/dbraw/zinc/27/22/66/394272266.db2.gz ZQJXWEADQZXTBL-DNGMOHDESA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@@H]1CCN1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000292808599 394277291 /nfs/dbraw/zinc/27/72/91/394277291.db2.gz NYYLTNBSQGPQIQ-SNVBAGLBSA-N 0 0 263.297 2.919 20 5 CFBDRN CCOc1cccc(N2CCC[C@H](CO)CC2)c1[N+](=O)[O-] ZINC000293869315 394285840 /nfs/dbraw/zinc/28/58/40/394285840.db2.gz AUGXJJBLTIEFFG-LBPRGKRZSA-N 0 0 294.351 2.592 20 5 CFBDRN NC(=O)c1cccc(Oc2ncc(Cl)cc2[N+](=O)[O-])c1 ZINC000153575520 394286620 /nfs/dbraw/zinc/28/66/20/394286620.db2.gz ISDDBJJGQZPQOX-UHFFFAOYSA-N 0 0 293.666 2.534 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC[C@H](O)CC1 ZINC000293945263 394287033 /nfs/dbraw/zinc/28/70/33/394287033.db2.gz GERJWZPQMBNODL-NSHDSACASA-N 0 0 284.743 2.908 20 5 CFBDRN CCC(O)(CC)CNC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000153751973 394291553 /nfs/dbraw/zinc/29/15/53/394291553.db2.gz MPSNNIJSVOJPMS-UHFFFAOYSA-N 0 0 295.339 2.576 20 5 CFBDRN CCOc1cccc(N2CC[C@H](F)C2)c1[N+](=O)[O-] ZINC000450604299 394297053 /nfs/dbraw/zinc/29/70/53/394297053.db2.gz KGBIHMZSYRGYRU-VIFPVBQESA-N 0 0 254.261 2.542 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N2CCCCO2)cc1[N+](=O)[O-] ZINC000295264055 394298490 /nfs/dbraw/zinc/29/84/90/394298490.db2.gz YMGJQXJBOVAETC-UHFFFAOYSA-N 0 0 284.699 2.724 20 5 CFBDRN CCO[C@@H]1CCN(c2nc(C)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000450748187 394333949 /nfs/dbraw/zinc/33/39/49/394333949.db2.gz VXBMBGWTWMATRZ-GXFFZTMASA-N 0 0 279.340 2.550 20 5 CFBDRN Cn1ccc(/C=C\C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c1 ZINC000493652051 394300735 /nfs/dbraw/zinc/30/07/35/394300735.db2.gz WYUABPCSOLSWQF-DJWKRKHSSA-N 0 0 289.266 2.724 20 5 CFBDRN COc1cc(NCC2=CCOCC2)c([N+](=O)[O-])cc1F ZINC000450628507 394303338 /nfs/dbraw/zinc/30/33/38/394303338.db2.gz WMCUJZHVFQKORF-UHFFFAOYSA-N 0 0 282.271 2.501 20 5 CFBDRN C[C@@H](CCCCO)Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000450644422 394307967 /nfs/dbraw/zinc/30/79/67/394307967.db2.gz DGEPYPOJYVBFSD-JTQLQIEISA-N 0 0 290.323 2.501 20 5 CFBDRN Cc1cc(C)c(C(=O)Nc2cccc([N+](=O)[O-])c2)[nH]1 ZINC000155271463 394313436 /nfs/dbraw/zinc/31/34/36/394313436.db2.gz ODHXUXIMFAVURO-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN CC(C)[C@@H](CO)[C@H](Nc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000450756087 394335091 /nfs/dbraw/zinc/33/50/91/394335091.db2.gz BRKRBDLXQFOOPC-TZMCWYRMSA-N 0 0 281.356 2.691 20 5 CFBDRN Cc1nc(C(C)C)oc1COc1cccnc1[N+](=O)[O-] ZINC000450673077 394314868 /nfs/dbraw/zinc/31/48/68/394314868.db2.gz JGIOSDFFTOSKJM-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN CC/C=C\CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000450693843 394319302 /nfs/dbraw/zinc/31/93/02/394319302.db2.gz MYZHCCAWQNVLAI-ARJAWSKDSA-N 0 0 289.335 2.865 20 5 CFBDRN CC[C@@](C)(CNc1nccc2cc([N+](=O)[O-])ccc21)OC ZINC000450707859 394322245 /nfs/dbraw/zinc/32/22/45/394322245.db2.gz BSRJGFRMQHYIPS-HNNXBMFYSA-N 0 0 289.335 2.792 20 5 CFBDRN CC[C@](C)(CNc1nc(C)cc(C)c1[N+](=O)[O-])OC ZINC000450710561 394322607 /nfs/dbraw/zinc/32/26/07/394322607.db2.gz GPZNIKMYKRHYIW-CYBMUJFWSA-N 0 0 267.329 2.834 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@H](F)C1 ZINC000493852221 394329912 /nfs/dbraw/zinc/32/99/12/394329912.db2.gz VHZIDTKWYRVRGZ-RNZFLTOJSA-N 0 0 296.273 2.708 20 5 CFBDRN NC(=O)c1cccc(NC[C@@H]2CCCC2(F)F)c1[N+](=O)[O-] ZINC000450737498 394330392 /nfs/dbraw/zinc/33/03/92/394330392.db2.gz LMEXITOSAJKZEO-QMMMGPOBSA-N 0 0 299.277 2.541 20 5 CFBDRN Cc1cnc(N[C@H](C(C)C)[C@H](CO)C(C)C)c([N+](=O)[O-])c1 ZINC000450773708 394339056 /nfs/dbraw/zinc/33/90/56/394339056.db2.gz RLTVZOFIJSGMFV-TZMCWYRMSA-N 0 0 295.383 2.999 20 5 CFBDRN CO[C@@H]1CCN(c2c(C)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000450774672 394339663 /nfs/dbraw/zinc/33/96/63/394339663.db2.gz OSZVYGVEFOTLFR-WCQYABFASA-N 0 0 264.325 2.764 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]([C@H](CO)C1CC1)C1CC1 ZINC000450780077 394340472 /nfs/dbraw/zinc/34/04/72/394340472.db2.gz PRHMYACDECYWLP-ZIAGYGMSSA-N 0 0 291.351 2.507 20 5 CFBDRN Nc1c(C(=O)NC2CCCCCC2)cccc1[N+](=O)[O-] ZINC000157276570 394343580 /nfs/dbraw/zinc/34/35/80/394343580.db2.gz URGQIVVIHCULKE-UHFFFAOYSA-N 0 0 277.324 2.630 20 5 CFBDRN CN(C)C(=O)CSCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000451087291 394356513 /nfs/dbraw/zinc/35/65/13/394356513.db2.gz UMAYEWPOYXJWJV-UHFFFAOYSA-N 0 0 288.756 2.570 20 5 CFBDRN CN(C(=O)COc1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000158550957 394358489 /nfs/dbraw/zinc/35/84/89/394358489.db2.gz NEGUXWGRDZORRJ-UHFFFAOYSA-N 0 0 286.287 2.637 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000526037329 394384382 /nfs/dbraw/zinc/38/43/82/394384382.db2.gz OYZUXLVSXQCZKH-LLVKDONJSA-N 0 0 291.351 2.888 20 5 CFBDRN CCC(C)(C)OCC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000457446034 394458551 /nfs/dbraw/zinc/45/85/51/394458551.db2.gz QMGOGYDHWGGDIH-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ncccc2F)n1 ZINC000530534087 394526116 /nfs/dbraw/zinc/52/61/16/394526116.db2.gz TVKXXLGCFVONHH-UHFFFAOYSA-N 0 0 276.271 2.753 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCC[C@H]1C ZINC000535185825 394532530 /nfs/dbraw/zinc/53/25/30/394532530.db2.gz MZESGVVBIHFNSS-GHMZBOCLSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1nc(NCCCc2cccs2)ccc1[N+](=O)[O-] ZINC000537685776 394590332 /nfs/dbraw/zinc/59/03/32/394590332.db2.gz UWDBNZGWUCEBGN-UHFFFAOYSA-N 0 0 277.349 2.826 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])s1)C1CC1 ZINC000535743865 394542070 /nfs/dbraw/zinc/54/20/70/394542070.db2.gz WRJFPUFKDWQIFV-UHFFFAOYSA-N 0 0 254.311 2.575 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NC(C)(C)C1CC1 ZINC000535747242 394542153 /nfs/dbraw/zinc/54/21/53/394542153.db2.gz SDBMBXAUTRTCAU-UHFFFAOYSA-N 0 0 293.323 2.914 20 5 CFBDRN CCn1cc(CN(C)Cc2ccc([N+](=O)[O-])cc2C)cn1 ZINC000541770995 394684187 /nfs/dbraw/zinc/68/41/87/394684187.db2.gz JPTKOVJJVJYTKT-UHFFFAOYSA-N 0 0 288.351 2.752 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CCCO2)n1 ZINC000544758120 394769893 /nfs/dbraw/zinc/76/98/93/394769893.db2.gz XKIHAMMQYRAQIR-NSHDSACASA-N 0 0 275.264 2.805 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@H](COC(C)C)C2)c1 ZINC000547439430 394867343 /nfs/dbraw/zinc/86/73/43/394867343.db2.gz RVGAEXPNQOPBJZ-CYBMUJFWSA-N 0 0 294.351 2.533 20 5 CFBDRN Cc1c(CC(=O)NC[C@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000546668582 394838240 /nfs/dbraw/zinc/83/82/40/394838240.db2.gz NMGCPQUQDZSWBJ-SMDDNHRTSA-N 0 0 290.363 2.998 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NC1CC(c2ccccc2)C1 ZINC000546715486 394841355 /nfs/dbraw/zinc/84/13/55/394841355.db2.gz WPHAGNKXOWZQGR-UHFFFAOYSA-N 0 0 299.330 2.609 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000547765344 394887275 /nfs/dbraw/zinc/88/72/75/394887275.db2.gz XHFBWFOFYIYSEB-ZDUSSCGKSA-N 0 0 291.351 2.577 20 5 CFBDRN C[C@@H]1C[C@H](C)N1Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000547768491 394888221 /nfs/dbraw/zinc/88/82/21/394888221.db2.gz RCZDRCZEDFBNBX-AOOOYVTPSA-N 0 0 288.307 2.628 20 5 CFBDRN COc1cc(CN2[C@H](C)C[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000548096647 394910524 /nfs/dbraw/zinc/91/05/24/394910524.db2.gz DRQFEHZPPSIJDJ-DTORHVGOSA-N 0 0 268.288 2.725 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CCO[C@@]2(CCOC2)C1 ZINC000548288510 394921105 /nfs/dbraw/zinc/92/11/05/394921105.db2.gz ALLIMHIHLUDIMU-DOMZBBRYSA-N 0 0 292.335 2.653 20 5 CFBDRN CC(C)(NC(=O)NCCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000548420432 394929194 /nfs/dbraw/zinc/92/91/94/394929194.db2.gz JMUFEMOXNOWYTA-UHFFFAOYSA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@@H]1C[C@H](C)N1Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000548753767 394950690 /nfs/dbraw/zinc/95/06/90/394950690.db2.gz LMBUYJSRYBOCRI-AOOOYVTPSA-N 0 0 288.307 2.628 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1Oc1cccnc1[N+](=O)[O-] ZINC000153510711 296500567 /nfs/dbraw/zinc/50/05/67/296500567.db2.gz ZMTYEGNIAIIKOJ-UHFFFAOYSA-N 0 0 296.626 2.739 20 5 CFBDRN Cc1ccnc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)n1 ZINC000554808716 394959215 /nfs/dbraw/zinc/95/92/15/394959215.db2.gz QSZCGXUDNKEPFY-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H]([C@H]3CCOC3)C2)c(F)c1 ZINC000366634494 296500776 /nfs/dbraw/zinc/50/07/76/296500776.db2.gz FLQVYHUKHZWERO-ZJUUUORDSA-N 0 0 298.289 2.736 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]nc1[N+](=O)[O-])[C@@H](C)C1CCCCC1 ZINC000558757873 395075173 /nfs/dbraw/zinc/07/51/73/395075173.db2.gz QIZIDSACYBMNRE-ZJUUUORDSA-N 0 0 294.355 2.653 20 5 CFBDRN Cc1ccnc(N[C@H]2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000567768768 395083833 /nfs/dbraw/zinc/08/38/33/395083833.db2.gz XUHJNPFVHCACHU-ZJUUUORDSA-N 0 0 253.277 2.991 20 5 CFBDRN C[C@H]1C[C@H](CNC(=O)c2cc([N+](=O)[O-])c[nH]2)C[C@@H](C)C1 ZINC000596455529 395110405 /nfs/dbraw/zinc/11/04/05/395110405.db2.gz UNBPJFABTBKJKF-URLYPYJESA-N 0 0 279.340 2.725 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000596840877 395110660 /nfs/dbraw/zinc/11/06/60/395110660.db2.gz DOAWZGMYKYSZON-ZKYQVNSYSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCN(c2ccc(O)cc2)CC1 ZINC000004905481 296514915 /nfs/dbraw/zinc/51/49/15/296514915.db2.gz RYTVDJONFGIBPE-UHFFFAOYSA-N 0 0 299.330 2.627 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC(c2ccccn2)CC1 ZINC000378259781 296516933 /nfs/dbraw/zinc/51/69/33/296516933.db2.gz NFJVPHBLOQWCIR-UHFFFAOYSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)s1 ZINC000370672807 296519330 /nfs/dbraw/zinc/51/93/30/296519330.db2.gz HTEOIQMNUQWQNV-ONGXEEELSA-N 0 0 295.364 2.589 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2CCC3(CCCCC3)O2)cn1 ZINC000191819357 296519033 /nfs/dbraw/zinc/51/90/33/296519033.db2.gz CGOMAQUIIWEPHE-LLVKDONJSA-N 0 0 265.313 2.673 20 5 CFBDRN COC(C)(C)C[C@@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000266189662 292067080 /nfs/dbraw/zinc/06/70/80/292067080.db2.gz BHOUVGYHPMZKIV-SECBINFHSA-N 0 0 253.302 2.605 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000355980430 155135697 /nfs/dbraw/zinc/13/56/97/155135697.db2.gz FFEYNPFEBYLPGR-SNVBAGLBSA-N 0 0 280.324 2.722 20 5 CFBDRN Cc1ccc(-c2noc([C@H]3CCO[C@H]3C)n2)cc1[N+](=O)[O-] ZINC000355982518 155136137 /nfs/dbraw/zinc/13/61/37/155136137.db2.gz JDAAKWVFWZFCCP-ONGXEEELSA-N 0 0 289.291 2.846 20 5 CFBDRN CCOc1ccc(-c2nc(CC3CC3)no2)cc1[N+](=O)[O-] ZINC000355984890 155136217 /nfs/dbraw/zinc/13/62/17/155136217.db2.gz QBHRUTUFDWPMNQ-UHFFFAOYSA-N 0 0 289.291 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@]23CCOC3)c(Cl)c1 ZINC000421009609 397570790 /nfs/dbraw/zinc/57/07/90/397570790.db2.gz BXJDQLHSSSNPEQ-ZDUSSCGKSA-N 0 0 282.727 2.613 20 5 CFBDRN CCOc1cc(N2CCO[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000301724533 397639207 /nfs/dbraw/zinc/63/92/07/397639207.db2.gz TUWMTYFFPRFNAO-QWRGUYRKSA-N 0 0 280.324 2.607 20 5 CFBDRN Cc1ccc(N2CCC(C)(CO)CC2)c([N+](=O)[O-])c1 ZINC000273826065 292129008 /nfs/dbraw/zinc/12/90/08/292129008.db2.gz DWQFWHYVLHTIKF-UHFFFAOYSA-N 0 0 264.325 2.502 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCc2c(F)cccc2C1 ZINC000339785626 304811133 /nfs/dbraw/zinc/81/11/33/304811133.db2.gz CRUCGNZIMQSCTD-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN COC1CCC(NC(=O)c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000458069126 292159355 /nfs/dbraw/zinc/15/93/55/292159355.db2.gz QWDJEVOCXQKGQY-UHFFFAOYSA-N 0 0 292.335 2.591 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000353900555 285953222 /nfs/dbraw/zinc/95/32/22/285953222.db2.gz UCFOSYWIRYCAAS-GFCCVEGCSA-N 0 0 294.351 2.789 20 5 CFBDRN C[C@@]1(O)CCCN(c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000230372794 289209252 /nfs/dbraw/zinc/20/92/52/289209252.db2.gz WFHOLDHTKMOFON-CYBMUJFWSA-N 0 0 284.743 2.990 20 5 CFBDRN CCOC1CC2(C1)CCN(c1ccc(N)cc1[N+](=O)[O-])C2 ZINC000286301765 286018796 /nfs/dbraw/zinc/01/87/96/286018796.db2.gz IHFXWYDQLZOMKT-UHFFFAOYSA-N 0 0 291.351 2.572 20 5 CFBDRN C[C@H](CNC(=O)c1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000356379175 155244762 /nfs/dbraw/zinc/24/47/62/155244762.db2.gz AXUHKENGSQTUNY-RXMQYKEDSA-N 0 0 282.243 2.585 20 5 CFBDRN CO[C@](C)(CNc1ncc(C)cc1[N+](=O)[O-])C1CC1 ZINC000292257691 286147213 /nfs/dbraw/zinc/14/72/13/286147213.db2.gz HSHRBHFHTLPQCT-CYBMUJFWSA-N 0 0 265.313 2.525 20 5 CFBDRN CCC[C@@H](CNc1ccc([N+](=O)[O-])cc1F)OC ZINC000356425504 155260915 /nfs/dbraw/zinc/26/09/15/155260915.db2.gz YPQYXDSWNPCFCO-JTQLQIEISA-N 0 0 256.277 2.961 20 5 CFBDRN CCCC1(CNC(=O)c2cccc([N+](=O)[O-])c2OC)CC1 ZINC000356435004 155264652 /nfs/dbraw/zinc/26/46/52/155264652.db2.gz ZMKNAGQLGXORJT-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN COc1c(C(=O)N2CCCC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000332273037 286175863 /nfs/dbraw/zinc/17/58/63/286175863.db2.gz PZVWWEBUKXURCA-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cc(C(=O)N2CCC23CCC3)c([N+](=O)[O-])cc1F ZINC000450036212 286193187 /nfs/dbraw/zinc/19/31/87/286193187.db2.gz VVIKKPJZVZDFIH-UHFFFAOYSA-N 0 0 294.282 2.511 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H](C)CCN2C(=O)[C@H]2C[C@@H]2[N+](=O)[O-])o1 ZINC000363571821 292229623 /nfs/dbraw/zinc/22/96/23/292229623.db2.gz VFQFKHQVLBVPKY-IXOXFDKPSA-N 0 0 292.335 2.553 20 5 CFBDRN COc1cc(C(=O)N2CC[C@@H](C)[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000294870423 286196910 /nfs/dbraw/zinc/19/69/10/286196910.db2.gz GUYSTRRCERNBFK-BDAKNGLRSA-N 0 0 296.298 2.613 20 5 CFBDRN COc1cc(C)c(NC(=O)CCC(C)(C)[N+](=O)[O-])cc1F ZINC000294956754 286206800 /nfs/dbraw/zinc/20/68/00/286206800.db2.gz JMJVISUITMTBRN-UHFFFAOYSA-N 0 0 298.314 2.917 20 5 CFBDRN COc1cc(N2CCC[C@@H]2[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000286456837 286228929 /nfs/dbraw/zinc/22/89/29/286228929.db2.gz NKYVVBMORPOQHJ-TZMCWYRMSA-N 0 0 292.335 2.751 20 5 CFBDRN COc1cc(N2CCSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000172762443 286230280 /nfs/dbraw/zinc/23/02/80/286230280.db2.gz DZSLSMMADPNPMU-SECBINFHSA-N 0 0 268.338 2.545 20 5 CFBDRN Cc1cnc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])s1 ZINC000159155235 292932753 /nfs/dbraw/zinc/93/27/53/292932753.db2.gz ZKTVXQFMPXQUSS-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000356561811 155302029 /nfs/dbraw/zinc/30/20/29/155302029.db2.gz KCUPILCYHFRPRP-JTQLQIEISA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1c1noc(-c2c([N+](=O)[O-])cnn2C)n1 ZINC000356600484 155313428 /nfs/dbraw/zinc/31/34/28/155313428.db2.gz WZNLGBLCKUELJP-BDAKNGLRSA-N 0 0 291.311 2.672 20 5 CFBDRN C[C@@H]1CCCC[C@H]1c1noc(-c2c([N+](=O)[O-])cnn2C)n1 ZINC000356601346 155313437 /nfs/dbraw/zinc/31/34/37/155313437.db2.gz WZNLGBLCKUELJP-RKDXNWHRSA-N 0 0 291.311 2.672 20 5 CFBDRN C[C@H](F)CCNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000356716056 155337180 /nfs/dbraw/zinc/33/71/80/155337180.db2.gz ZUPSEUGOLXQYHZ-QMMMGPOBSA-N 0 0 279.271 2.554 20 5 CFBDRN CC(C)(C)c1ccc(NC(=O)C2CC(=O)C2)cc1[N+](=O)[O-] ZINC000356744178 155348527 /nfs/dbraw/zinc/34/85/27/155348527.db2.gz YGPDZDVFXWIGCP-UHFFFAOYSA-N 0 0 290.319 2.810 20 5 CFBDRN C[C@H](C(=O)N(C)c1nccs1)c1cccc([N+](=O)[O-])c1 ZINC000515583038 413270943 /nfs/dbraw/zinc/27/09/43/413270943.db2.gz DKSMKUDPUCMGEP-VIFPVBQESA-N 0 0 291.332 2.818 20 5 CFBDRN CCC1(CC)[C@H](Nc2c([N+](=O)[O-])ncn2C)[C@@H](C)[C@@H]1OC ZINC000356796735 155371951 /nfs/dbraw/zinc/37/19/51/155371951.db2.gz RWYRSILMRIFBRD-MXWKQRLJSA-N 0 0 296.371 2.580 20 5 CFBDRN COc1ccc([C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000294239185 286354595 /nfs/dbraw/zinc/35/45/95/286354595.db2.gz FFNKFUZOVVWGMB-LLVKDONJSA-N 0 0 294.351 2.708 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2CCCCC2)c1 ZINC000088147522 292283255 /nfs/dbraw/zinc/28/32/55/292283255.db2.gz BTITWLGCTYUTHH-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](CO)[C@@H]2C)c1 ZINC000413594164 292285465 /nfs/dbraw/zinc/28/54/65/292285465.db2.gz MKIGIVSUCKVQKP-RYUDHWBXSA-N 0 0 264.325 2.500 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](C3CC3)C2)c1 ZINC000338691307 286383140 /nfs/dbraw/zinc/38/31/40/286383140.db2.gz GMDMUTCEXVZUOT-NSHDSACASA-N 0 0 262.309 2.840 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1C ZINC000174190844 292293254 /nfs/dbraw/zinc/29/32/54/292293254.db2.gz DCIJQZMPFCQALC-SNVBAGLBSA-N 0 0 263.297 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCOc2ccccc21 ZINC000341861497 292292567 /nfs/dbraw/zinc/29/25/67/292292567.db2.gz XQNCBYGQAKSWIS-UHFFFAOYSA-N 0 0 298.298 2.942 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)OC(C)(C)C1 ZINC000067237156 292292892 /nfs/dbraw/zinc/29/28/92/292292892.db2.gz OQEDKQWFXYMXHI-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cnc(NCCc2ccc3c[nH]nc3c2)c([N+](=O)[O-])c1 ZINC000343054681 154098298 /nfs/dbraw/zinc/09/82/98/154098298.db2.gz WDXAXMNCKCMZHH-UHFFFAOYSA-N 0 0 297.318 2.829 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1ccc2c[nH]nc2c1 ZINC000343082555 154108118 /nfs/dbraw/zinc/10/81/18/154108118.db2.gz WINCCQMJGITZTP-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN Cc1cc(CNc2c(C)cccc2[N+](=O)[O-])nc(C)n1 ZINC000343144182 154124674 /nfs/dbraw/zinc/12/46/74/154124674.db2.gz SLHJPZZLRUMTCK-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN O=C(Nc1cccc(OC(F)F)c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000343184098 154134236 /nfs/dbraw/zinc/13/42/36/154134236.db2.gz XXDADBXLLQNRFM-UHFFFAOYSA-N 0 0 297.217 2.777 20 5 CFBDRN COC(=O)C(C)(C)CCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000343223379 154147686 /nfs/dbraw/zinc/14/76/86/154147686.db2.gz GRDNQIBHNZVYTL-UHFFFAOYSA-N 0 0 295.339 2.608 20 5 CFBDRN Cc1cc(C)nc(N(C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000343226284 154147795 /nfs/dbraw/zinc/14/77/95/154147795.db2.gz VHWCXXNLHSTDFH-UHFFFAOYSA-N 0 0 286.335 2.681 20 5 CFBDRN Cc1cc(N(C)[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000343268782 154163379 /nfs/dbraw/zinc/16/33/79/154163379.db2.gz XATHYCAZLMKZOF-GFCCVEGCSA-N 0 0 250.298 2.518 20 5 CFBDRN CC(C)(C)Oc1cc(NC[C@@H](O)C2CC2)ccc1[N+](=O)[O-] ZINC000343274209 154165086 /nfs/dbraw/zinc/16/50/86/154165086.db2.gz KIEPDQIHYFBWJN-CYBMUJFWSA-N 0 0 294.351 2.955 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])n2C)ccc1F ZINC000343313946 154173065 /nfs/dbraw/zinc/17/30/65/154173065.db2.gz IZPMUKQRQGXKCJ-UHFFFAOYSA-N 0 0 277.255 2.633 20 5 CFBDRN COC(C)(C)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000345525881 154194850 /nfs/dbraw/zinc/19/48/50/154194850.db2.gz DXVYMKJNMYYODG-UHFFFAOYSA-N 0 0 263.253 2.526 20 5 CFBDRN CCc1noc(-c2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000345570420 154208441 /nfs/dbraw/zinc/20/84/41/154208441.db2.gz KOLXOHKVSMGOQU-UHFFFAOYSA-N 0 0 253.645 2.861 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@@H](F)C1 ZINC000345579139 154209808 /nfs/dbraw/zinc/20/98/08/154209808.db2.gz BIESZNRTQQLGIC-ZJUUUORDSA-N 0 0 296.298 2.614 20 5 CFBDRN C[C@H]1[C@@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)C1(F)F ZINC000345580041 154211615 /nfs/dbraw/zinc/21/16/15/154211615.db2.gz XNLIBUWNHGCYPM-CPCISQLKSA-N 0 0 295.245 2.937 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2C[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000345593073 154214207 /nfs/dbraw/zinc/21/42/07/154214207.db2.gz PWSUTJWVSLXFIR-NXEZZACHSA-N 0 0 284.262 2.541 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000345597518 154215681 /nfs/dbraw/zinc/21/56/81/154215681.db2.gz ZNXKSVTZZRWXNK-VHSXEESVSA-N 0 0 298.339 2.937 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc(-c2cccnc2)no1 ZINC000345632515 154225505 /nfs/dbraw/zinc/22/55/05/154225505.db2.gz IOYFNRMIZDLALL-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN CSC1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1 ZINC000345688685 154240322 /nfs/dbraw/zinc/24/03/22/154240322.db2.gz GJZHOGLWZRHRCC-UHFFFAOYSA-N 0 0 277.305 2.997 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C/c3cnccn3)n2)cc1 ZINC000345709489 154247501 /nfs/dbraw/zinc/24/75/01/154247501.db2.gz POEWGYHQJNBPBR-ZZXKWVIFSA-N 0 0 295.258 2.605 20 5 CFBDRN Cc1c(CC(=O)NCCC2(F)CCC2)cccc1[N+](=O)[O-] ZINC000345710687 154247522 /nfs/dbraw/zinc/24/75/22/154247522.db2.gz KUVAWZRUYTWLOC-UHFFFAOYSA-N 0 0 294.326 2.844 20 5 CFBDRN O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccc(F)cc3)no2)c1 ZINC000345730058 154251699 /nfs/dbraw/zinc/25/16/99/154251699.db2.gz PGALWCXAQDJGFP-UHFFFAOYSA-N 0 0 274.211 2.779 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@@H]1C(F)F ZINC000345755362 154260078 /nfs/dbraw/zinc/26/00/78/154260078.db2.gz XLOJLBJFZZCERF-VHSXEESVSA-N 0 0 298.289 2.903 20 5 CFBDRN O=C(CCc1ccccn1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348023854 154290226 /nfs/dbraw/zinc/29/02/26/154290226.db2.gz WTIWSGHTASJTJE-UHFFFAOYSA-N 0 0 289.266 2.700 20 5 CFBDRN Cc1cc(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)ccc1Cl ZINC000348085972 154312740 /nfs/dbraw/zinc/31/27/40/154312740.db2.gz NGJREDGZSMPLTJ-UHFFFAOYSA-N 0 0 293.710 2.815 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CSC[C@H]2C)cc1[N+](=O)[O-] ZINC000348174600 154348597 /nfs/dbraw/zinc/34/85/97/154348597.db2.gz WJBLDCBUCBWXHH-MWLCHTKSSA-N 0 0 295.364 2.776 20 5 CFBDRN CCOC(=O)c1ccnc(NC[C@@H]2CC2(C)C)c1[N+](=O)[O-] ZINC000348175964 154351044 /nfs/dbraw/zinc/35/10/44/154351044.db2.gz QEINQJVDJFICQQ-VIFPVBQESA-N 0 0 293.323 2.625 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc(-n3cccn3)cc2)cn1 ZINC000348225150 154369321 /nfs/dbraw/zinc/36/93/21/154369321.db2.gz MWYGRSCFOXTEHM-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN O=C(NCc1ccc(F)c(F)c1)c1cccc([N+](=O)[O-])c1 ZINC000348775297 154403540 /nfs/dbraw/zinc/40/35/40/154403540.db2.gz XMCUVHCZYASNIS-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN CN(Cc1ccon1)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000352284063 154524052 /nfs/dbraw/zinc/52/40/52/154524052.db2.gz NNRGQLLRILJCJI-UHFFFAOYSA-N 0 0 295.682 2.508 20 5 CFBDRN CN(CC1CC1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352361180 154543125 /nfs/dbraw/zinc/54/31/25/154543125.db2.gz QBNVJWBWQIFUQQ-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN Cc1c(CNC(=O)C2=CCCC2)cccc1[N+](=O)[O-] ZINC000352562640 154612913 /nfs/dbraw/zinc/61/29/13/154612913.db2.gz LZHRNZQYDDAUTE-UHFFFAOYSA-N 0 0 260.293 2.630 20 5 CFBDRN CC(C)(CNC(=O)c1cc([N+](=O)[O-])n[nH]1)C1CCCCC1 ZINC000354490621 154725659 /nfs/dbraw/zinc/72/56/59/154725659.db2.gz HNWAWEDCKGEHKW-UHFFFAOYSA-N 0 0 294.355 2.654 20 5 CFBDRN O=[N+]([O-])c1cnc(Sc2cccc(F)c2)nc1 ZINC000354558903 154745873 /nfs/dbraw/zinc/74/58/73/154745873.db2.gz BTGDYGIPURVUTN-UHFFFAOYSA-N 0 0 251.242 2.675 20 5 CFBDRN COc1cccc(N2CC[C@@]3(C2)CCCOC3)c1[N+](=O)[O-] ZINC000376476739 286457981 /nfs/dbraw/zinc/45/79/81/286457981.db2.gz NKYHTDRKIWGBBK-OAHLLOKOSA-N 0 0 292.335 2.610 20 5 CFBDRN COc1c(C(=O)Nc2ncccc2C)cccc1[N+](=O)[O-] ZINC000354588915 154757412 /nfs/dbraw/zinc/75/74/12/154757412.db2.gz XYDBPNOTZZVKAO-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)C[C@H](C)O1 ZINC000355068106 154903704 /nfs/dbraw/zinc/90/37/04/154903704.db2.gz LFKHQEPXQBNWSM-ILWJIGKKSA-N 0 0 296.298 2.876 20 5 CFBDRN CC(C)(C)c1csc(CNc2ccncc2[N+](=O)[O-])n1 ZINC000355298368 154984903 /nfs/dbraw/zinc/98/49/03/154984903.db2.gz MIKFPYWVGXQTAP-UHFFFAOYSA-N 0 0 292.364 2.778 20 5 CFBDRN COc1ncccc1Cn1nc(C)c2cc([N+](=O)[O-])ccc21 ZINC000355424966 155008206 /nfs/dbraw/zinc/00/82/06/155008206.db2.gz CVTBTPIYDVWHTA-UHFFFAOYSA-N 0 0 298.302 2.705 20 5 CFBDRN COc1cccc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)n1 ZINC000355450885 155011050 /nfs/dbraw/zinc/01/10/50/155011050.db2.gz QPYZIKLMOANTLT-UHFFFAOYSA-N 0 0 298.302 2.705 20 5 CFBDRN Cc1ccc(NC(=O)CC2CCC(=O)CC2)cc1[N+](=O)[O-] ZINC000355486258 155020623 /nfs/dbraw/zinc/02/06/23/155020623.db2.gz QEKMNBGPZHFRBC-UHFFFAOYSA-N 0 0 290.319 2.991 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc(CC2CC2)n1 ZINC000355689072 155063767 /nfs/dbraw/zinc/06/37/67/155063767.db2.gz KALUEOIVRCOTCD-UHFFFAOYSA-N 0 0 259.265 2.521 20 5 CFBDRN COc1ncccc1CCNc1c(C)cccc1[N+](=O)[O-] ZINC000357773122 155531018 /nfs/dbraw/zinc/53/10/18/155531018.db2.gz FHHWSIXLUBRPGE-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CCCCC[C@H]1CCCN1C(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000357936742 155581751 /nfs/dbraw/zinc/58/17/51/155581751.db2.gz RKLCXBUKNBHEFQ-NSHDSACASA-N 0 0 294.355 2.513 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000358255647 155692026 /nfs/dbraw/zinc/69/20/26/155692026.db2.gz AHNMGCQCLPFCKX-GXFFZTMASA-N 0 0 291.351 2.928 20 5 CFBDRN CC(C)(CNC(=O)c1cc([N+](=O)[O-])c[nH]1)c1cccs1 ZINC000358266153 155696526 /nfs/dbraw/zinc/69/65/26/155696526.db2.gz RDLSWXBPRJPLGF-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSc1cccnn1 ZINC000358295212 155708442 /nfs/dbraw/zinc/70/84/42/155708442.db2.gz XDMWWZYOMLYEKK-UHFFFAOYSA-N 0 0 277.305 2.556 20 5 CFBDRN Cc1c(CS(=O)(=O)CCC2CC2)cccc1[N+](=O)[O-] ZINC000358539613 155794283 /nfs/dbraw/zinc/79/42/83/155794283.db2.gz INWNMLBWJDFGTP-UHFFFAOYSA-N 0 0 283.349 2.618 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359094025 155984163 /nfs/dbraw/zinc/98/41/63/155984163.db2.gz KVCAUOIQJWSLIK-CABZTGNLSA-N 0 0 281.356 2.816 20 5 CFBDRN Cc1ccn(C[C@H]2C[C@@H]2c2ccccc2)c(=O)c1[N+](=O)[O-] ZINC000359166191 156010136 /nfs/dbraw/zinc/01/01/36/156010136.db2.gz QPNSSPOWJRBTLY-ZIAGYGMSSA-N 0 0 284.315 2.869 20 5 CFBDRN CC(C)=CCNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000360179847 156043860 /nfs/dbraw/zinc/04/38/60/156043860.db2.gz DAQUXOUVGFTCSU-UHFFFAOYSA-N 0 0 273.292 2.772 20 5 CFBDRN O=C(Nc1cccc2c1OCO2)c1cccc([N+](=O)[O-])c1 ZINC000360186142 156045639 /nfs/dbraw/zinc/04/56/39/156045639.db2.gz ZLPJSMZZQNZGSC-UHFFFAOYSA-N 0 0 286.243 2.576 20 5 CFBDRN COc1cc(C(=O)NCCC=C(C)C)cc([N+](=O)[O-])c1C ZINC000360188974 156046856 /nfs/dbraw/zinc/04/68/56/156046856.db2.gz JJUXKNMVDFYUTQ-UHFFFAOYSA-N 0 0 292.335 2.998 20 5 CFBDRN Cc1cc(N[C@H]2C[C@@H]2c2cccnc2)ncc1[N+](=O)[O-] ZINC000367162788 156291569 /nfs/dbraw/zinc/29/15/69/156291569.db2.gz FRYIAZUPVBWWGP-NEPJUHHUSA-N 0 0 270.292 2.661 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000367282391 156307925 /nfs/dbraw/zinc/30/79/25/156307925.db2.gz JYBWGDNSGMLTRC-NSHDSACASA-N 0 0 278.356 2.517 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCc1cnc2n1CCCC2 ZINC000367418551 156329387 /nfs/dbraw/zinc/32/93/87/156329387.db2.gz LFJSOAADWFJPHS-UHFFFAOYSA-N 0 0 290.298 2.879 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1occ2c1CCOC2 ZINC000367500112 156339993 /nfs/dbraw/zinc/33/99/93/156339993.db2.gz JVRSPXALZZHPMA-UHFFFAOYSA-N 0 0 288.259 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2[C@H]3COC[C@H]32)c(Cl)c1 ZINC000367735027 156382590 /nfs/dbraw/zinc/38/25/90/156382590.db2.gz VQIUQXOGSPFEON-OWUUHHOZSA-N 0 0 268.700 2.553 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@H]3CC[C@@H]2O3)ccc1[N+](=O)[O-] ZINC000367726292 156382749 /nfs/dbraw/zinc/38/27/49/156382749.db2.gz BOWUNNDPXZJETG-QKCSRTOESA-N 0 0 276.292 2.529 20 5 CFBDRN Nc1ccc(N2CC[C@H](Oc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000367726046 156383397 /nfs/dbraw/zinc/38/33/97/156383397.db2.gz AGOCODDDBCCLSA-AWEZNQCLSA-N 0 0 299.330 2.835 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@H]3OCCC[C@H]23)ccc1[N+](=O)[O-] ZINC000367799863 156391219 /nfs/dbraw/zinc/39/12/19/156391219.db2.gz CEJCEEYOGHQUGS-UXIGCNINSA-N 0 0 290.319 2.777 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CCO[C@@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000367825071 156394785 /nfs/dbraw/zinc/39/47/85/156394785.db2.gz IMXRFPRXQIJLRF-UKRRQHHQSA-N 0 0 290.319 2.777 20 5 CFBDRN CO[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC1 ZINC000367834393 156396842 /nfs/dbraw/zinc/39/68/42/156396842.db2.gz GBTZKYREJLCYLB-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(c3ccccn3)CCC2)s1 ZINC000367863539 156399745 /nfs/dbraw/zinc/39/97/45/156399745.db2.gz DCKQWBCMQLTPTN-UHFFFAOYSA-N 0 0 290.348 2.980 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000367936610 156407737 /nfs/dbraw/zinc/40/77/37/156407737.db2.gz YEIWTJGLPNWBSE-NWANDNLSSA-N 0 0 290.319 2.777 20 5 CFBDRN CCOc1cccc(N2CCC[C@H]([C@H](C)O)C2)c1[N+](=O)[O-] ZINC000302136192 286573042 /nfs/dbraw/zinc/57/30/42/286573042.db2.gz DUIOEWMSNXUSCK-RYUDHWBXSA-N 0 0 294.351 2.591 20 5 CFBDRN CCOc1cccc(N2CCC[C@@H]2C)c1[N+](=O)[O-] ZINC000184127348 286573081 /nfs/dbraw/zinc/57/30/81/286573081.db2.gz RGDZPMBOTGQIOA-JTQLQIEISA-N 0 0 250.298 2.982 20 5 CFBDRN C[C@@H]1[C@H](Nc2ncc([N+](=O)[O-])cn2)C[C@@H]1c1ccccc1 ZINC000373395944 156988468 /nfs/dbraw/zinc/98/84/68/156988468.db2.gz ZYXFYPBINHVLRY-LEWSCRJBSA-N 0 0 284.319 2.989 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCOCC1 ZINC000373906458 157044547 /nfs/dbraw/zinc/04/45/47/157044547.db2.gz CHLUOYUWIHTLOX-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCOCC1 ZINC000373906457 157045609 /nfs/dbraw/zinc/04/56/09/157045609.db2.gz CHLUOYUWIHTLOX-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN O=C([C@@H]1C[C@@H]1[N+](=O)[O-])N1CCCC[C@@H]1c1ccc(F)cc1 ZINC000374045172 157061886 /nfs/dbraw/zinc/06/18/86/157061886.db2.gz WOQPEZIYCXNDLB-MCIONIFRSA-N 0 0 292.310 2.545 20 5 CFBDRN Cc1nc(N2CC[C@@H](Cc3ccncc3)C2)ccc1[N+](=O)[O-] ZINC000374663007 157144576 /nfs/dbraw/zinc/14/45/76/157144576.db2.gz LIBPLIQBLADXGJ-AWEZNQCLSA-N 0 0 298.346 2.762 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CC12CCC(CC1)C2 ZINC000408407407 157397155 /nfs/dbraw/zinc/39/71/55/157397155.db2.gz LGWGNBPTWQMJCG-UHFFFAOYSA-N 0 0 282.727 2.990 20 5 CFBDRN C[C@H](C1CCC1)[N@H+](C)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000339064571 298263262 /nfs/dbraw/zinc/26/32/62/298263262.db2.gz MRTRNIOTNLIQES-SNVBAGLBSA-N 0 0 264.325 2.921 20 5 CFBDRN COC[C@H](Nc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000301562179 161548578 /nfs/dbraw/zinc/54/85/78/161548578.db2.gz OYNINROBQFONJH-NSHDSACASA-N 0 0 262.265 2.987 20 5 CFBDRN CC(C)(C)CC(C)(C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340542018 161599205 /nfs/dbraw/zinc/59/92/05/161599205.db2.gz UGXBDDDMSNPRLL-UHFFFAOYSA-N 0 0 267.329 2.868 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1nc(C2CC2)cs1 ZINC000342537021 161648421 /nfs/dbraw/zinc/64/84/21/161648421.db2.gz YZTNVBKQLASRLW-UHFFFAOYSA-N 0 0 277.305 2.903 20 5 CFBDRN CC1(C)C[C@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342872726 161732293 /nfs/dbraw/zinc/73/22/93/161732293.db2.gz PPHPPZAPVZFGEQ-LLVKDONJSA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)C[C@@H]1CC=CCC1 ZINC000343644650 161828488 /nfs/dbraw/zinc/82/84/88/161828488.db2.gz CLSHLBKFKSZVAG-GFCCVEGCSA-N 0 0 289.335 2.727 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCC1(C)C ZINC000343799428 161862730 /nfs/dbraw/zinc/86/27/30/161862730.db2.gz XGLBAISLMSHSIF-LBPRGKRZSA-N 0 0 277.324 2.555 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@@H]1C ZINC000343965927 161902227 /nfs/dbraw/zinc/90/22/27/161902227.db2.gz RXFMDHAWXGOTIC-UWVGGRQHSA-N 0 0 278.308 2.522 20 5 CFBDRN CC(C)CCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000344238127 161982784 /nfs/dbraw/zinc/98/27/84/161982784.db2.gz KYYFTZMRVNBWLM-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN CCc1nc(CCNc2ccc([N+](=O)[O-])nc2)cs1 ZINC000344415377 162039470 /nfs/dbraw/zinc/03/94/70/162039470.db2.gz HNKVKLUORMMYFP-UHFFFAOYSA-N 0 0 278.337 2.663 20 5 CFBDRN CCCN(C[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])nc1 ZINC000344419368 162039701 /nfs/dbraw/zinc/03/97/01/162039701.db2.gz MWNXCQXNKGCTPE-ZDUSSCGKSA-N 0 0 279.340 2.775 20 5 CFBDRN COc1ccccc1CCN(C)c1ccc([N+](=O)[O-])nc1 ZINC000344423995 162039955 /nfs/dbraw/zinc/03/99/55/162039955.db2.gz SLTPKVSNIZCZRA-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344439087 162044223 /nfs/dbraw/zinc/04/42/23/162044223.db2.gz TZSRPBGAEDBBPF-JTQLQIEISA-N 0 0 258.281 2.582 20 5 CFBDRN Cc1csc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)c1 ZINC000344566142 162068881 /nfs/dbraw/zinc/06/88/81/162068881.db2.gz VZSFIFWIWDQJBQ-UHFFFAOYSA-N 0 0 290.344 2.937 20 5 CFBDRN Cn1c(C(=O)Nc2cccc(Cl)c2)ccc1[N+](=O)[O-] ZINC000344676129 162095094 /nfs/dbraw/zinc/09/50/94/162095094.db2.gz FAFZIBDDHRMKGW-UHFFFAOYSA-N 0 0 279.683 2.839 20 5 CFBDRN CCc1cnccc1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000344756918 162114941 /nfs/dbraw/zinc/11/49/41/162114941.db2.gz WPOUPMIGVJGCCH-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN C[C@@H]1CC[C@@H](Nc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)C1 ZINC000344988985 162158473 /nfs/dbraw/zinc/15/84/73/162158473.db2.gz VOAWHZCUGPIMSA-NXEZZACHSA-N 0 0 298.364 2.599 20 5 CFBDRN Nc1c(C(=O)N2CC[C@H]2c2ccccc2)cccc1[N+](=O)[O-] ZINC000344998834 162159318 /nfs/dbraw/zinc/15/93/18/162159318.db2.gz VPPZTMKYRCVHMP-ZDUSSCGKSA-N 0 0 297.314 2.764 20 5 CFBDRN CO[C@@H](CCNc1nccc(C)c1[N+](=O)[O-])C(F)(F)F ZINC000344999969 162159402 /nfs/dbraw/zinc/15/94/02/162159402.db2.gz WZSSOBKTBOKWAT-QMMMGPOBSA-N 0 0 293.245 2.678 20 5 CFBDRN Cc1cc(NC[C@H](O)C2CC2)c2cccc([N+](=O)[O-])c2n1 ZINC000345077112 162174170 /nfs/dbraw/zinc/17/41/70/162174170.db2.gz SBUFYNFDVQGXGQ-AWEZNQCLSA-N 0 0 287.319 2.634 20 5 CFBDRN Cc1cc(NC[C@H]2CCOC2)c2cccc([N+](=O)[O-])c2n1 ZINC000345079114 162175957 /nfs/dbraw/zinc/17/59/57/162175957.db2.gz GKIPZKSAXSFFEX-LLVKDONJSA-N 0 0 287.319 2.900 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000345137280 162184249 /nfs/dbraw/zinc/18/42/49/162184249.db2.gz FXQIJTKJQRUWRD-JQWIXIFHSA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1cnc(CNc2cc([N+](=O)[O-])c(C)cc2C)nc1 ZINC000345327249 162227223 /nfs/dbraw/zinc/22/72/23/162227223.db2.gz UXUSUPQWVBTMMR-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CCc1cncc(C(=O)NCc2cccc([N+](=O)[O-])c2C)c1 ZINC000345333366 162229792 /nfs/dbraw/zinc/22/97/92/162229792.db2.gz XBRSQBJMIZSWHQ-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN CCC(CC)(CC)CNC(=O)c1ccc([N+](=O)[O-])n1C ZINC000345342219 162232276 /nfs/dbraw/zinc/23/22/76/162232276.db2.gz JLDKLPZDUGYZGK-UHFFFAOYSA-N 0 0 281.356 2.880 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccccc2[N+](=O)[O-])c2nccn21 ZINC000345352510 162233767 /nfs/dbraw/zinc/23/37/67/162233767.db2.gz JPHGRCBRRMYMOD-KOLCDFICSA-N 0 0 258.281 2.909 20 5 CFBDRN CC[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000345361469 162235686 /nfs/dbraw/zinc/23/56/86/162235686.db2.gz UBRPBXFIGWPZFP-WDEREUQCSA-N 0 0 279.340 2.632 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000345464889 162251857 /nfs/dbraw/zinc/25/18/57/162251857.db2.gz DYBPSDPXPYWCFF-UWVGGRQHSA-N 0 0 285.303 2.529 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1C1CCCCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000345482644 162256030 /nfs/dbraw/zinc/25/60/30/162256030.db2.gz UMXUFZBWSFYYPU-VXGBXAGGSA-N 0 0 277.324 2.622 20 5 CFBDRN Cn1c(C(=O)N[C@H]2C[C@@H]2C2CCCCC2)ccc1[N+](=O)[O-] ZINC000345492757 162257878 /nfs/dbraw/zinc/25/78/78/162257878.db2.gz MOXHMTAZOWLGCU-NEPJUHHUSA-N 0 0 291.351 2.632 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC[C@H](F)C1 ZINC000345579128 162264102 /nfs/dbraw/zinc/26/41/02/162264102.db2.gz BIESZNRTQQLGIC-UWVGGRQHSA-N 0 0 296.298 2.614 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1C[C@H]1C(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000345870061 162267468 /nfs/dbraw/zinc/26/74/68/162267468.db2.gz LXRDCIDKWWSLDO-GHMZBOCLSA-N 0 0 298.289 2.642 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000345928319 162277537 /nfs/dbraw/zinc/27/75/37/162277537.db2.gz NMYXPHMLFZHEHY-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CCc1ccccc1-c1noc(-c2cc([N+](=O)[O-])nn2C)n1 ZINC000345932366 162278909 /nfs/dbraw/zinc/27/89/09/162278909.db2.gz UATFISNCRQHKHY-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1nc2ccccc2o1 ZINC000346119864 162323537 /nfs/dbraw/zinc/32/35/37/162323537.db2.gz MXWRZULHNVMQMK-UHFFFAOYSA-N 0 0 284.275 2.786 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000346232578 162361107 /nfs/dbraw/zinc/36/11/07/162361107.db2.gz KPYCLWBHPKASQW-CYBMUJFWSA-N 0 0 291.351 2.891 20 5 CFBDRN C[C@@H](CCC1CC1)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000346246268 162361994 /nfs/dbraw/zinc/36/19/94/162361994.db2.gz WFMHJYKPOFBGJN-VIFPVBQESA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000346243916 162363278 /nfs/dbraw/zinc/36/32/78/162363278.db2.gz BAHYGMHULOGVOY-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN CC(C)(C)c1ncn(CCOc2ccc([N+](=O)[O-])cc2)n1 ZINC000346348673 162389147 /nfs/dbraw/zinc/38/91/47/162389147.db2.gz KQPAISVURMTZBD-UHFFFAOYSA-N 0 0 290.323 2.563 20 5 CFBDRN CCc1cccnc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000346380102 162397333 /nfs/dbraw/zinc/39/73/33/162397333.db2.gz UBPWCAORXYSNOU-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CC(C)(C)C[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000346561872 162446641 /nfs/dbraw/zinc/44/66/41/162446641.db2.gz BWFJFQLRKQPXTP-JTQLQIEISA-N 0 0 279.340 2.964 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347238456 162634798 /nfs/dbraw/zinc/63/47/98/162634798.db2.gz RWFIVQYDSRBGQY-HTQZYQBOSA-N 0 0 267.260 2.511 20 5 CFBDRN O=C(NCCC(F)(F)F)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347243932 162636465 /nfs/dbraw/zinc/63/64/65/162636465.db2.gz WOWPQQLPPGRFAE-UHFFFAOYSA-N 0 0 295.192 2.808 20 5 CFBDRN CCC1(CNc2ncnc3cccc([N+](=O)[O-])c32)CCC1 ZINC000347407208 162682586 /nfs/dbraw/zinc/68/25/86/162682586.db2.gz BZJQOHIFJRTATI-UHFFFAOYSA-N 0 0 286.335 2.952 20 5 CFBDRN Cc1cscc1CNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000347614372 162741927 /nfs/dbraw/zinc/74/19/27/162741927.db2.gz SBDUMSDHPVCSFJ-UHFFFAOYSA-N 0 0 290.344 2.824 20 5 CFBDRN CC[C@@H]1C[C@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000347948666 162807314 /nfs/dbraw/zinc/80/73/14/162807314.db2.gz AEHPVEBICDYVPL-NOZJJQNGSA-N 0 0 296.298 2.878 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])c(C)s1)c1ncc[nH]1 ZINC000347957679 162809229 /nfs/dbraw/zinc/80/92/29/162809229.db2.gz MDFKAXVUOYDWBH-QMMMGPOBSA-N 0 0 294.336 2.569 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])ccc1C)C1CCC1 ZINC000348317973 162826124 /nfs/dbraw/zinc/82/61/24/162826124.db2.gz STYJAWAYKGXKQV-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1C=CCCC1 ZINC000348323519 162827185 /nfs/dbraw/zinc/82/71/85/162827185.db2.gz QGFPLAAYFXWEFY-NSHDSACASA-N 0 0 290.319 2.832 20 5 CFBDRN Cc1ccnc(N[C@@H](C)Cc2ccncc2)c1[N+](=O)[O-] ZINC000348354170 162835680 /nfs/dbraw/zinc/83/56/80/162835680.db2.gz SFYDTIIKJVMKIW-NSHDSACASA-N 0 0 272.308 2.736 20 5 CFBDRN C[C@@H](NCc1c(F)cccc1[N+](=O)[O-])c1ccon1 ZINC000349252309 162957617 /nfs/dbraw/zinc/95/76/17/162957617.db2.gz SUJYDMZBDHECBS-MRVPVSSYSA-N 0 0 265.244 2.573 20 5 CFBDRN Cc1ccc(CN[C@@H](CO)c2ccsc2)cc1[N+](=O)[O-] ZINC000349668808 163000846 /nfs/dbraw/zinc/00/08/46/163000846.db2.gz OFWLOXGFBWHGTK-ZDUSSCGKSA-N 0 0 292.360 2.788 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2ncc[nH]2)no1 ZINC000349762330 163012690 /nfs/dbraw/zinc/01/26/90/163012690.db2.gz DKWNJIRIPABAAW-AATRIKPKSA-N 0 0 283.247 2.538 20 5 CFBDRN CC[C@H](C)N(C)c1nnc(-c2ccc([N+](=O)[O-])cc2)n1C ZINC000349831020 163021078 /nfs/dbraw/zinc/02/10/78/163021078.db2.gz HCGWPKMODKCARD-JTQLQIEISA-N 0 0 289.339 2.625 20 5 CFBDRN Cc1nnc(COc2cc(C)c([N+](=O)[O-])cc2Cl)n1C ZINC000349836777 163024452 /nfs/dbraw/zinc/02/44/52/163024452.db2.gz CSDSYQVZCSGFHU-UHFFFAOYSA-N 0 0 296.714 2.573 20 5 CFBDRN Cc1nn(C)c(NC/C=C\c2ccccc2)c1[N+](=O)[O-] ZINC000349904112 163035405 /nfs/dbraw/zinc/03/54/05/163035405.db2.gz PCDLFWBMFVKCBG-TWGQIWQCSA-N 0 0 272.308 2.762 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OCC[C@@H]1CCCCO1 ZINC000349922658 163036965 /nfs/dbraw/zinc/03/69/65/163036965.db2.gz YUVHQUIEYHFVGY-VIFPVBQESA-N 0 0 286.715 2.981 20 5 CFBDRN Cc1noc(C)c1COc1c(Cl)cncc1[N+](=O)[O-] ZINC000349921569 163037396 /nfs/dbraw/zinc/03/73/96/163037396.db2.gz YSOHTCKZURMXTR-UHFFFAOYSA-N 0 0 283.671 2.827 20 5 CFBDRN CC(C)OCCCOc1c(Cl)cncc1[N+](=O)[O-] ZINC000349949661 163040947 /nfs/dbraw/zinc/04/09/47/163040947.db2.gz SUDLPCSOJQWNBX-UHFFFAOYSA-N 0 0 274.704 2.837 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN(C)Cc1ccc[nH]1 ZINC000349960900 163043395 /nfs/dbraw/zinc/04/33/95/163043395.db2.gz AVSPIAULEPUVJW-UHFFFAOYSA-N 0 0 259.309 2.863 20 5 CFBDRN CNc1c(C(=O)Nc2cccc(O)c2)cccc1[N+](=O)[O-] ZINC000349971561 163046173 /nfs/dbraw/zinc/04/61/73/163046173.db2.gz YWEJBSXNGFKAMH-UHFFFAOYSA-N 0 0 287.275 2.594 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nc(Cc3cncs3)no2)c1 ZINC000350470700 163085592 /nfs/dbraw/zinc/08/55/92/163085592.db2.gz CLBXHPVNUFFCFN-UHFFFAOYSA-N 0 0 288.288 2.692 20 5 CFBDRN O=[N+]([O-])c1cccc(S(=O)(=O)Cc2ccccc2)c1 ZINC000350688408 163099830 /nfs/dbraw/zinc/09/98/30/163099830.db2.gz CEHSOODSVPQPLU-UHFFFAOYSA-N 0 0 277.301 2.569 20 5 CFBDRN Cc1cc(NC(=O)N(C)[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000350814762 163107857 /nfs/dbraw/zinc/10/78/57/163107857.db2.gz SUZPTFPTTIFAKA-GFCCVEGCSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1cc(NC(=O)N(C)[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000350814763 163108384 /nfs/dbraw/zinc/10/83/84/163108384.db2.gz SUZPTFPTTIFAKA-LBPRGKRZSA-N 0 0 293.323 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ccc3c(c2)CN(C)C3=O)n1 ZINC000351501330 163135588 /nfs/dbraw/zinc/13/55/88/163135588.db2.gz ILHPAGSSTGVISC-UHFFFAOYSA-N 0 0 299.286 2.676 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351605109 163156498 /nfs/dbraw/zinc/15/64/98/163156498.db2.gz NEPRKBFJJQQRFU-UHFFFAOYSA-N 0 0 292.270 2.726 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccon1 ZINC000351617239 163159243 /nfs/dbraw/zinc/15/92/43/163159243.db2.gz BKPKIOGGDXWBNL-ZETCQYMHSA-N 0 0 294.242 2.605 20 5 CFBDRN CCCC(=O)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000351679564 163173339 /nfs/dbraw/zinc/17/33/39/163173339.db2.gz XOCHPDKHSCEPKO-UHFFFAOYSA-N 0 0 281.699 2.967 20 5 CFBDRN CC[C@@H](O)CCCNc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000351763778 163190972 /nfs/dbraw/zinc/19/09/72/163190972.db2.gz PGDLVBRHOGYNQL-GFCCVEGCSA-N 0 0 280.324 2.760 20 5 CFBDRN COc1nn(C)cc1N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000352903238 163266270 /nfs/dbraw/zinc/26/62/70/163266270.db2.gz SDSMGQAQPKFAGH-VIFPVBQESA-N 0 0 276.296 2.510 20 5 CFBDRN Cc1cc(NC(=O)NCCn2cccc2)ccc1[N+](=O)[O-] ZINC000352900496 163266805 /nfs/dbraw/zinc/26/68/05/163266805.db2.gz JCHATEZBHYGOLW-UHFFFAOYSA-N 0 0 288.307 2.526 20 5 CFBDRN COCCC(C)(C)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000353265770 163333136 /nfs/dbraw/zinc/33/31/36/163333136.db2.gz MUEMZDXPPAGBQO-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)c2ccon2)n1 ZINC000353270176 163334886 /nfs/dbraw/zinc/33/48/86/163334886.db2.gz VGWUZXPCZYMDCD-VIFPVBQESA-N 0 0 262.269 2.768 20 5 CFBDRN Cc1cnc(Oc2cccc(-n3ccnn3)c2)c([N+](=O)[O-])c1 ZINC000353283885 163337816 /nfs/dbraw/zinc/33/78/16/163337816.db2.gz XTPNEXWMXUNHAJ-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccn(C(C)C)n1 ZINC000353377498 163353608 /nfs/dbraw/zinc/35/36/08/163353608.db2.gz TYFQVVCOAKLZCA-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN C[C@H]1CC[C@H](NC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000353410254 163359851 /nfs/dbraw/zinc/35/98/51/163359851.db2.gz BWJSOENANGTYPD-AAEUAGOBSA-N 0 0 276.336 2.832 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000353443376 163364265 /nfs/dbraw/zinc/36/42/65/163364265.db2.gz XCMHWQPYISIFJG-WCQYABFASA-N 0 0 291.351 2.830 20 5 CFBDRN CCCCOCCN1CCc2c1cccc2[N+](=O)[O-] ZINC000353550935 163393666 /nfs/dbraw/zinc/39/36/66/163393666.db2.gz SUVCQQNXRQEYCZ-UHFFFAOYSA-N 0 0 264.325 2.774 20 5 CFBDRN C[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ccnn1C ZINC000278789587 287051793 /nfs/dbraw/zinc/05/17/93/287051793.db2.gz QHGLYHNVUMKPHY-WDEREUQCSA-N 0 0 274.324 2.740 20 5 CFBDRN CC[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000287789274 287211835 /nfs/dbraw/zinc/21/18/35/287211835.db2.gz OROXSJAJHMVINQ-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN CC[C@@H]1CN(c2cccc(C)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000302490994 287213162 /nfs/dbraw/zinc/21/31/62/287213162.db2.gz JZNJRUMZEYWYMT-NWDGAFQWSA-N 0 0 264.325 2.907 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccccc1 ZINC000003069874 169852215 /nfs/dbraw/zinc/85/22/15/169852215.db2.gz PKBHZTHRDBSAKR-UHFFFAOYSA-N 0 0 285.303 2.959 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])nc1 ZINC000004645878 169992072 /nfs/dbraw/zinc/99/20/72/169992072.db2.gz ZKCGFANUKBRJNQ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN COc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cn1 ZINC000005062380 170062619 /nfs/dbraw/zinc/06/26/19/170062619.db2.gz SZRMDYKVRWLYBY-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN O=C(Cc1ccc(F)cc1)Nc1ccc([N+](=O)[O-])cc1 ZINC000005268425 170081591 /nfs/dbraw/zinc/08/15/91/170081591.db2.gz AYYXFHVRUWTXBV-UHFFFAOYSA-N 0 0 274.251 2.915 20 5 CFBDRN CC(C)CCC(=O)OCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000006001080 170166340 /nfs/dbraw/zinc/16/63/40/170166340.db2.gz WLPRWZQHULXXQP-UHFFFAOYSA-N 0 0 294.307 2.513 20 5 CFBDRN C[C@H]1CCCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000006471437 170204066 /nfs/dbraw/zinc/20/40/66/170204066.db2.gz XHSVFFQLWFPQOB-BCPZQOPPSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1cc(C)nc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)n1 ZINC000006477807 170204350 /nfs/dbraw/zinc/20/43/50/170204350.db2.gz ZIXAMBLHFZJCOI-VMPITWQZSA-N 0 0 298.302 2.654 20 5 CFBDRN Cc1ccccc1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000007609835 170293603 /nfs/dbraw/zinc/29/36/03/170293603.db2.gz IZCMBPIOADQXIG-UHFFFAOYSA-N 0 0 271.276 2.738 20 5 CFBDRN Cc1csc(Sc2ccc(C(N)=O)cc2[N+](=O)[O-])n1 ZINC000007983870 170311562 /nfs/dbraw/zinc/31/15/62/170311562.db2.gz YTCLFOFZGMIJHR-UHFFFAOYSA-N 0 0 295.345 2.610 20 5 CFBDRN C[C@H](Oc1ccc(C(N)=O)cc1)c1cccc([N+](=O)[O-])c1 ZINC000008225142 170325725 /nfs/dbraw/zinc/32/57/25/170325725.db2.gz OSTOPDOPUXKSJK-JTQLQIEISA-N 0 0 286.287 2.834 20 5 CFBDRN C/C=C/C=C/C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000015592580 170672060 /nfs/dbraw/zinc/67/20/60/170672060.db2.gz LPYJAZFNZWHWEK-MQQKCMAXSA-N 0 0 250.229 2.805 20 5 CFBDRN CNC(=O)c1cccc(NCc2cccc([N+](=O)[O-])c2)c1 ZINC000020124741 171007157 /nfs/dbraw/zinc/00/71/57/171007157.db2.gz DXDOGXFWXCCOQB-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000284558948 196085215 /nfs/dbraw/zinc/08/52/15/196085215.db2.gz YEERZMYPZFWGEB-PRHODGIISA-N 0 0 295.314 2.625 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1ccc(Cl)nc1 ZINC000020363741 171163089 /nfs/dbraw/zinc/16/30/89/171163089.db2.gz PRMBWDFVMFWSMA-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN COc1ccc(CCNc2ccncc2[N+](=O)[O-])cc1 ZINC000020370253 171167735 /nfs/dbraw/zinc/16/77/35/171167735.db2.gz CJCJHWWDFIZOIL-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CCCCOCCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000020516897 171232699 /nfs/dbraw/zinc/23/26/99/171232699.db2.gz IKIHSHZCZFPGET-UHFFFAOYSA-N 0 0 281.308 2.993 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2N(C)C)C1 ZINC000020893366 171278092 /nfs/dbraw/zinc/27/80/92/171278092.db2.gz FXGRLVZACYOBKK-LLVKDONJSA-N 0 0 291.351 2.533 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2N(C)C)C1 ZINC000020893369 171278309 /nfs/dbraw/zinc/27/83/09/171278309.db2.gz FXGRLVZACYOBKK-NSHDSACASA-N 0 0 291.351 2.533 20 5 CFBDRN CC(C)CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000020901403 171278651 /nfs/dbraw/zinc/27/86/51/171278651.db2.gz SOUCIVRHCHVWLC-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)NC(C1CC1)C1CC1 ZINC000022385286 171385972 /nfs/dbraw/zinc/38/59/72/171385972.db2.gz ADBKVVDIJNLTJF-RUDMXATFSA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1cccc(Cn2c(=O)oc3ccc([N+](=O)[O-])cc32)c1 ZINC000025592962 171462008 /nfs/dbraw/zinc/46/20/08/171462008.db2.gz XGICHAVWQVRPQC-UHFFFAOYSA-N 0 0 284.271 2.859 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000031701991 171644073 /nfs/dbraw/zinc/64/40/73/171644073.db2.gz HJUQQLVLTKGMDM-QMMMGPOBSA-N 0 0 254.311 2.593 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccsc1 ZINC000031930563 171663048 /nfs/dbraw/zinc/66/30/48/171663048.db2.gz ZAOGUIYIUKBDFN-UHFFFAOYSA-N 0 0 276.317 2.929 20 5 CFBDRN C[C@H]1CCC[C@H](NC(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000032030749 171687805 /nfs/dbraw/zinc/68/78/05/171687805.db2.gz KKNKVWLVTDNOAK-RYUDHWBXSA-N 0 0 292.335 2.669 20 5 CFBDRN CCOC1CC(COc2ccc([N+](=O)[O-])cc2OC)C1 ZINC000284972580 196167380 /nfs/dbraw/zinc/16/73/80/196167380.db2.gz BHDOSBRVYZCYQW-UHFFFAOYSA-N 0 0 281.308 2.797 20 5 CFBDRN COC(=O)CCCOc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000285031853 196182699 /nfs/dbraw/zinc/18/26/99/196182699.db2.gz DPBUDMMNTDJKBO-UHFFFAOYSA-N 0 0 291.662 2.719 20 5 CFBDRN COCCCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000035186019 172040792 /nfs/dbraw/zinc/04/07/92/172040792.db2.gz FIYOPGPQZUBYMR-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN COC(=O)c1occc1CNc1ccc([N+](=O)[O-])cc1 ZINC000035223896 172068043 /nfs/dbraw/zinc/06/80/43/172068043.db2.gz HKUZJNZGGGDMOW-UHFFFAOYSA-N 0 0 276.248 2.587 20 5 CFBDRN COCCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000035343512 172136067 /nfs/dbraw/zinc/13/60/67/172136067.db2.gz BWKHFCUZSMDKSU-UHFFFAOYSA-N 0 0 261.281 2.591 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(Br)n1CCCCF ZINC000285142551 196205902 /nfs/dbraw/zinc/20/59/02/196205902.db2.gz LODAXPAZODASIP-UHFFFAOYSA-N 0 0 280.097 2.612 20 5 CFBDRN CCCCCNc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000035452982 172205906 /nfs/dbraw/zinc/20/59/06/172205906.db2.gz AWHMGPBAZYFSHZ-UHFFFAOYSA-N 0 0 279.296 2.528 20 5 CFBDRN CCOC1CC(CNc2ccc(F)c([N+](=O)[O-])c2)C1 ZINC000285228007 196226504 /nfs/dbraw/zinc/22/65/04/196226504.db2.gz QHODGENDSADIHE-UHFFFAOYSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1ncn(Cc2c(F)cc([N+](=O)[O-])cc2F)c1C ZINC000285259643 196234508 /nfs/dbraw/zinc/23/45/08/196234508.db2.gz SHHQLSXGGSJWIA-UHFFFAOYSA-N 0 0 267.235 2.735 20 5 CFBDRN Cc1cnc(CN(C)CCc2ccccc2[N+](=O)[O-])o1 ZINC000285260678 196235075 /nfs/dbraw/zinc/23/50/75/196235075.db2.gz HWEIBKMLTLURDY-UHFFFAOYSA-N 0 0 275.308 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(CCOc2ccccc2CO)cc1 ZINC000035677862 172348360 /nfs/dbraw/zinc/34/83/60/172348360.db2.gz GHSPMSBUGYAEHK-UHFFFAOYSA-N 0 0 273.288 2.709 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCOC3(CCC3)C2)c(F)c1 ZINC000285521939 196312743 /nfs/dbraw/zinc/31/27/43/196312743.db2.gz GJVYQVRBZUMANN-UHFFFAOYSA-N 0 0 298.289 2.628 20 5 CFBDRN COC(=O)[C@@H](CC(C)C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000036980712 172847975 /nfs/dbraw/zinc/84/79/75/172847975.db2.gz JCUODTXRQJCMDY-WCQYABFASA-N 0 0 294.351 2.833 20 5 CFBDRN CC(C)CN(C)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000037023410 172887172 /nfs/dbraw/zinc/88/71/72/172887172.db2.gz VKAZDRIEDPMHDJ-UHFFFAOYSA-N 0 0 270.716 2.976 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286248 173092517 /nfs/dbraw/zinc/09/25/17/173092517.db2.gz GSPXLKOGCJUSBK-SECBINFHSA-N 0 0 268.288 2.898 20 5 CFBDRN CCCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286068 173092637 /nfs/dbraw/zinc/09/26/37/173092637.db2.gz CTWXHUWOAYACAZ-UHFFFAOYSA-N 0 0 254.261 2.606 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286084 173092773 /nfs/dbraw/zinc/09/27/73/173092773.db2.gz YOMAKIKTISCCPK-MRVPVSSYSA-N 0 0 254.261 2.652 20 5 CFBDRN CO[C@@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])cc1 ZINC000037299701 173105061 /nfs/dbraw/zinc/10/50/61/173105061.db2.gz BQPPVWQNUGVMPC-QWHCGFSZSA-N 0 0 250.298 2.964 20 5 CFBDRN COC(=O)c1cccc(NCc2cccc([N+](=O)[O-])c2)c1 ZINC000037302013 173106957 /nfs/dbraw/zinc/10/69/57/173106957.db2.gz JEKPFSQFTAHNGM-UHFFFAOYSA-N 0 0 286.287 2.994 20 5 CFBDRN CC(C)c1nccn1CC(=O)c1cccc([N+](=O)[O-])c1 ZINC000037340460 173142146 /nfs/dbraw/zinc/14/21/46/173142146.db2.gz RVLVAAOXSNOLQL-UHFFFAOYSA-N 0 0 273.292 2.798 20 5 CFBDRN Cc1nn(C)c(Cl)c1COc1cccc([N+](=O)[O-])c1 ZINC000037408768 173184851 /nfs/dbraw/zinc/18/48/51/173184851.db2.gz CDDMHXFEJVRKNP-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669536 173611152 /nfs/dbraw/zinc/61/11/52/173611152.db2.gz NPTZTZDAXVQSNR-MRVPVSSYSA-N 0 0 254.261 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCOC2)c2cccnc21 ZINC000038046259 174054679 /nfs/dbraw/zinc/05/46/79/174054679.db2.gz JNNNHLCJYAYWNT-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCOC2)c2ccncc21 ZINC000038046247 174054765 /nfs/dbraw/zinc/05/47/65/174054765.db2.gz BRQZYQTYXWUVCG-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2SC[C@H]2CCCO2)s1 ZINC000286148375 196511239 /nfs/dbraw/zinc/51/12/39/196511239.db2.gz ALMAKDKZLVDLGX-SSDOTTSWSA-N 0 0 297.361 2.871 20 5 CFBDRN CCC(CC)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000041835494 174623797 /nfs/dbraw/zinc/62/37/97/174623797.db2.gz UZWCJLADAOTFCS-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN NC(=O)c1cccc(Oc2ccc([N+](=O)[O-])cc2F)c1 ZINC000042099321 174637278 /nfs/dbraw/zinc/63/72/78/174637278.db2.gz KAZCSNJVPKECAZ-UHFFFAOYSA-N 0 0 276.223 2.625 20 5 CFBDRN Cc1csc(=O)n1CCOc1cc([N+](=O)[O-])ccc1C ZINC000042180284 174652924 /nfs/dbraw/zinc/65/29/24/174652924.db2.gz BKYJGSUHCFXKHX-UHFFFAOYSA-N 0 0 294.332 2.514 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1Cc1cccc2ccccc21 ZINC000043669392 175086699 /nfs/dbraw/zinc/08/66/99/175086699.db2.gz WYZYBSFPWUEIAA-UHFFFAOYSA-N 0 0 268.276 2.575 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H]2c2ccccc2)nc1 ZINC000044416676 175173154 /nfs/dbraw/zinc/17/31/54/175173154.db2.gz PTWOYGQVKOLRFT-CHWSQXEVSA-N 0 0 255.277 2.958 20 5 CFBDRN C[C@H](CCc1ccccc1)Nc1ncc([N+](=O)[O-])cn1 ZINC000044482808 175180126 /nfs/dbraw/zinc/18/01/26/175180126.db2.gz DSECYKGYOPECJU-LLVKDONJSA-N 0 0 272.308 2.818 20 5 CFBDRN O=C(NCCC1CCCC1)c1ccc([N+](=O)[O-])cc1 ZINC000044705200 175235536 /nfs/dbraw/zinc/23/55/36/175235536.db2.gz YODISXXETNTEDW-UHFFFAOYSA-N 0 0 262.309 2.905 20 5 CFBDRN Cc1nc(NC[C@H]2CCCC2(F)F)ccc1[N+](=O)[O-] ZINC000390888780 270305964 /nfs/dbraw/zinc/30/59/64/270305964.db2.gz XYOISEXYKWNMFI-SECBINFHSA-N 0 0 271.267 2.567 20 5 CFBDRN COC(=O)c1ccc(OCCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000071816972 176269487 /nfs/dbraw/zinc/26/94/87/176269487.db2.gz CIRDNSDJQUJHQO-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN CCCn1nccc1NC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000072068782 176312452 /nfs/dbraw/zinc/31/24/52/176312452.db2.gz HCLYTZJFCZIEAJ-UHFFFAOYSA-N 0 0 288.307 2.762 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000075288042 176683575 /nfs/dbraw/zinc/68/35/75/176683575.db2.gz BHGZARANYMAOOW-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000286498145 196623258 /nfs/dbraw/zinc/62/32/58/196623258.db2.gz WHMWMVOIQIAURC-NXEZZACHSA-N 0 0 290.323 2.556 20 5 CFBDRN Cc1ccc(OCCOC2CCC2)c([N+](=O)[O-])c1 ZINC000286557978 196640422 /nfs/dbraw/zinc/64/04/22/196640422.db2.gz LQKPTORCVZVSOG-UHFFFAOYSA-N 0 0 251.282 2.851 20 5 CFBDRN CSCCCNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000077178345 177230615 /nfs/dbraw/zinc/23/06/15/177230615.db2.gz FZRWTIUDXDVRIJ-UHFFFAOYSA-N 0 0 283.353 2.778 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCC[C@@H]2CO)c(Cl)c1 ZINC000077209978 177235247 /nfs/dbraw/zinc/23/52/47/177235247.db2.gz OAMTWKMACVEFDY-LDYMZIIASA-N 0 0 285.731 2.606 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000077228142 177236424 /nfs/dbraw/zinc/23/64/24/177236424.db2.gz VFXNSYYZCCULBY-ZJUUUORDSA-N 0 0 275.308 2.572 20 5 CFBDRN CSC[C@@H](C)NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000077348981 177255340 /nfs/dbraw/zinc/25/53/40/177255340.db2.gz NSRDCFWSGPHPLD-NXEZZACHSA-N 0 0 297.380 2.707 20 5 CFBDRN CC1(C)CCCN1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000077352829 177255589 /nfs/dbraw/zinc/25/55/89/177255589.db2.gz KXFKCRGKMGWIHP-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN CS[C@@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000077959353 177313533 /nfs/dbraw/zinc/31/35/33/177313533.db2.gz CDURHAOOLAKNPB-QMMMGPOBSA-N 0 0 255.295 2.560 20 5 CFBDRN Cc1cnc(NCCCOCC2CC2)c([N+](=O)[O-])c1 ZINC000078626939 177362201 /nfs/dbraw/zinc/36/22/01/177362201.db2.gz FHGHUHICLCVMDS-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN CC(C)(CCO)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000078688750 177371553 /nfs/dbraw/zinc/37/15/53/177371553.db2.gz PAFCCKPHPCWCOL-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN CC(C)(CCO)CNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000078689042 177371574 /nfs/dbraw/zinc/37/15/74/177371574.db2.gz HOOMVPMBQLMGAI-UHFFFAOYSA-N 0 0 289.335 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CCO)C2CCCCC2)nc1 ZINC000078798608 177389501 /nfs/dbraw/zinc/38/95/01/177389501.db2.gz KZNPGJKILLDQML-CYBMUJFWSA-N 0 0 279.340 2.733 20 5 CFBDRN COC(=O)C[C@@H](C)SCc1cc(F)ccc1[N+](=O)[O-] ZINC000078818922 177392876 /nfs/dbraw/zinc/39/28/76/177392876.db2.gz BVSFITHJWHNFHJ-MRVPVSSYSA-N 0 0 287.312 2.919 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000156505597 287366166 /nfs/dbraw/zinc/36/61/66/287366166.db2.gz MHJHHUMXXIYEGA-SNVBAGLBSA-N 0 0 285.303 2.768 20 5 CFBDRN C[C@@H]1CCCN1c1ccc([N+](=O)[O-])c(N2CCC[C@H]2C)n1 ZINC000277321453 287370175 /nfs/dbraw/zinc/37/01/75/287370175.db2.gz DGEXWGZEEIVQSQ-VXGBXAGGSA-N 0 0 290.367 2.967 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C[C@H]2C[C@H]2C1)c1ccccc1[N+](=O)[O-] ZINC000425481795 533682090 /nfs/dbraw/zinc/68/20/90/533682090.db2.gz GHPILTFMDCFYLI-YFKTTZPYSA-N 0 0 289.335 2.754 20 5 CFBDRN CCOCCC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152246881 289842363 /nfs/dbraw/zinc/84/23/63/289842363.db2.gz TUEIGSYIAZIBFP-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN CO[C@@H](CNc1ncc([N+](=O)[O-])s1)c1ccc(F)cc1 ZINC000192472966 227823441 /nfs/dbraw/zinc/82/34/41/227823441.db2.gz BQXWPKPODKZCGA-JTQLQIEISA-N 0 0 297.311 2.990 20 5 CFBDRN COc1cccc(CNc2ncc(Cl)cc2[N+](=O)[O-])n1 ZINC000153653320 289873733 /nfs/dbraw/zinc/87/37/33/289873733.db2.gz PFMXSJQTHSSPJT-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])s2)CC1(C)C ZINC000281968812 287607761 /nfs/dbraw/zinc/60/77/61/287607761.db2.gz RHPLBQWOTFZYTR-MRVPVSSYSA-N 0 0 255.343 2.924 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@@H]3C[C@H]4CC[C@@H]3O4)ccnc21 ZINC000214768364 260052384 /nfs/dbraw/zinc/05/23/84/260052384.db2.gz HRBAVNIUXYUDSU-IUPBHXKESA-N 0 0 285.303 2.875 20 5 CFBDRN Cc1cc(N[C@H]2COCC2(C)C)c(F)cc1[N+](=O)[O-] ZINC000313046966 260240884 /nfs/dbraw/zinc/24/08/84/260240884.db2.gz XHDDYEYLYAGHSW-LBPRGKRZSA-N 0 0 268.288 2.879 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000313098522 260248236 /nfs/dbraw/zinc/24/82/36/260248236.db2.gz FEFJQQORZDSPST-IUCAKERBSA-N 0 0 296.352 2.561 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1ccc(C)cc1[N+](=O)[O-] ZINC000313123033 260251493 /nfs/dbraw/zinc/25/14/93/260251493.db2.gz VRAPWIQJWGBVMN-ZWNOBZJWSA-N 0 0 252.314 2.865 20 5 CFBDRN C[C@H](CCNC(=O)c1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000517760285 260252152 /nfs/dbraw/zinc/25/21/52/260252152.db2.gz NFOJUTRKGMOWJR-GFCCVEGCSA-N 0 0 299.330 2.913 20 5 CFBDRN CC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])[C@@H](O)CC ZINC000313169041 260255903 /nfs/dbraw/zinc/25/59/03/260255903.db2.gz LIKRIXNADUZUBH-SKDRFNHKSA-N 0 0 256.277 2.695 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)CCCSC ZINC000516928679 260293083 /nfs/dbraw/zinc/29/30/83/260293083.db2.gz CCLFCVPAKSIWPL-UHFFFAOYSA-N 0 0 283.353 2.718 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1ccoc1 ZINC000084776404 260368369 /nfs/dbraw/zinc/36/83/69/260368369.db2.gz SRYDYAGCCRTPCY-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN Cc1ccc(CNCc2ccccc2[N+](=O)[O-])nc1C ZINC000313872902 261534597 /nfs/dbraw/zinc/53/45/97/261534597.db2.gz ZTWJEHLOWCTXHH-UHFFFAOYSA-N 0 0 271.320 2.896 20 5 CFBDRN O=C(CC(F)(F)F)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186319603 262088943 /nfs/dbraw/zinc/08/89/43/262088943.db2.gz QZQBNVQEHPGGJP-UHFFFAOYSA-N 0 0 296.632 2.817 20 5 CFBDRN O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C1(CCF)CC1 ZINC000291503739 263123469 /nfs/dbraw/zinc/12/34/69/263123469.db2.gz SIGWKDPBRACKRA-UHFFFAOYSA-N 0 0 292.270 2.549 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000292056566 263127846 /nfs/dbraw/zinc/12/78/46/263127846.db2.gz GKSGCSLVJLDLDS-SECBINFHSA-N 0 0 266.297 2.611 20 5 CFBDRN Cc1cc(NC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)n[nH]1 ZINC000192304099 270230233 /nfs/dbraw/zinc/23/02/33/270230233.db2.gz SAELUNAUNBBUSK-UHFFFAOYSA-N 0 0 289.295 2.887 20 5 CFBDRN C[C@@H](NC(=O)C(=O)c1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000194135609 270243325 /nfs/dbraw/zinc/24/33/25/270243325.db2.gz TUDVWPFSBCFZQJ-LLVKDONJSA-N 0 0 298.298 2.655 20 5 CFBDRN CN(Cc1cccnc1)C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000229942316 270259345 /nfs/dbraw/zinc/25/93/45/270259345.db2.gz LXQSWVNAMBBZRB-FPLPWBNLSA-N 0 0 297.314 2.662 20 5 CFBDRN CC[C@](C)(CNc1nc2cc([N+](=O)[O-])ccc2[nH]1)OC ZINC000322913348 270297941 /nfs/dbraw/zinc/29/79/41/270297941.db2.gz JGEWVMWDUJPZGJ-CYBMUJFWSA-N 0 0 278.312 2.698 20 5 CFBDRN CC(C)CSCCNc1ncccc1[N+](=O)[O-] ZINC000339356499 270301778 /nfs/dbraw/zinc/30/17/78/270301778.db2.gz GFEOEPKVYNULDU-UHFFFAOYSA-N 0 0 255.343 2.791 20 5 CFBDRN CC(C)CSCCNc1ccc([N+](=O)[O-])nc1 ZINC000339356241 270301780 /nfs/dbraw/zinc/30/17/80/270301780.db2.gz BFGPCKDLYDLZAE-UHFFFAOYSA-N 0 0 255.343 2.791 20 5 CFBDRN Cc1cc(NCCC2(CO)CC2)c(F)cc1[N+](=O)[O-] ZINC000395953306 270314417 /nfs/dbraw/zinc/31/44/17/270314417.db2.gz QARORADMOQELJQ-UHFFFAOYSA-N 0 0 268.288 2.617 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2C[C@@]2(C)C(C)C)c([N+](=O)[O-])c1 ZINC000397234063 270316787 /nfs/dbraw/zinc/31/67/87/270316787.db2.gz SVFHEQCSSPYKIV-HIFRSBDPSA-N 0 0 291.351 2.801 20 5 CFBDRN CNc1c(C(=O)N[C@H]2C[C@@]2(C)C(C)C)cccc1[N+](=O)[O-] ZINC000397239122 270316891 /nfs/dbraw/zinc/31/68/91/270316891.db2.gz WXJJHBNDDYBEGW-WFASDCNBSA-N 0 0 291.351 2.801 20 5 CFBDRN C[C@H]1C[C@H](Nc2nc3sccn3c2[N+](=O)[O-])CS1 ZINC000397781307 270319475 /nfs/dbraw/zinc/31/94/75/270319475.db2.gz PBQZLESYMTURGM-BQBZGAKWSA-N 0 0 284.366 2.610 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CC[C@H](C)O2)c1[N+](=O)[O-] ZINC000397914398 270320785 /nfs/dbraw/zinc/32/07/85/270320785.db2.gz FXPQWILKIONWKG-QWRGUYRKSA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@]1(O)CCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000432166056 270333666 /nfs/dbraw/zinc/33/36/66/270333666.db2.gz JMDCQOGSNRIVQN-LBPRGKRZSA-N 0 0 270.716 2.599 20 5 CFBDRN Cn1cncc1COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000439554065 270334210 /nfs/dbraw/zinc/33/42/10/270334210.db2.gz VMXUVQFJDVEMNA-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN Cc1cnc([C@H](C)Sc2ncccc2[N+](=O)[O-])cn1 ZINC000488222775 270342534 /nfs/dbraw/zinc/34/25/34/270342534.db2.gz ZBWVJSCRUXNOTB-VIFPVBQESA-N 0 0 276.321 2.942 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000514650778 270348175 /nfs/dbraw/zinc/34/81/75/270348175.db2.gz WNDCFWHYEVLDDR-SCZZXKLOSA-N 0 0 288.250 2.786 20 5 CFBDRN CC[C@H](O)CCCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000514725272 270351704 /nfs/dbraw/zinc/35/17/04/270351704.db2.gz XXPDOVJSUPLOJA-LBPRGKRZSA-N 0 0 293.367 2.832 20 5 CFBDRN CCOc1ccc(C(=O)N2C3CCC2CC3)cc1[N+](=O)[O-] ZINC000514982285 270359436 /nfs/dbraw/zinc/35/94/36/270359436.db2.gz WGVLEVRNPDLQAZ-UHFFFAOYSA-N 0 0 290.319 2.761 20 5 CFBDRN C[C@@H](NC(=O)N1CCC[C@@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000515304597 270367648 /nfs/dbraw/zinc/36/76/48/270367648.db2.gz OFLVFXQPMMEUNF-GHMZBOCLSA-N 0 0 295.314 2.799 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@H](F)C1 ZINC000516189716 270378874 /nfs/dbraw/zinc/37/88/74/270378874.db2.gz IOGSXXLMKJPSGN-JTQLQIEISA-N 0 0 292.266 2.915 20 5 CFBDRN COc1ccc(Cc2nc(C(C)(C)C)no2)cc1[N+](=O)[O-] ZINC000517611865 270408612 /nfs/dbraw/zinc/40/86/12/270408612.db2.gz BVKGJSIUXLMSOA-UHFFFAOYSA-N 0 0 291.307 2.875 20 5 CFBDRN COc1cc(Oc2ncnc3c2CCC3)ccc1[N+](=O)[O-] ZINC000530378805 270788605 /nfs/dbraw/zinc/78/86/05/270788605.db2.gz DFULQNAPVKCGQU-UHFFFAOYSA-N 0 0 287.275 2.674 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1c1ncc(Br)cc1[N+](=O)[O-] ZINC000530537259 270799505 /nfs/dbraw/zinc/79/95/05/270799505.db2.gz OWGQXNBBAMIUOX-RNFRBKRXSA-N 0 0 286.129 2.739 20 5 CFBDRN CCc1ccc(C(=O)N2CCC2(C)C)cc1[N+](=O)[O-] ZINC000531085834 270820998 /nfs/dbraw/zinc/82/09/98/270820998.db2.gz AWVDABORQPZHOQ-UHFFFAOYSA-N 0 0 262.309 2.782 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cn1)c1ccc(F)c(F)c1 ZINC000532137459 270854152 /nfs/dbraw/zinc/85/41/52/270854152.db2.gz PYJKBCQPAYRFPN-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCC(C)(C)C1CCCC1 ZINC000533115043 270875270 /nfs/dbraw/zinc/87/52/70/270875270.db2.gz PAHYSPBEYNARJY-UHFFFAOYSA-N 0 0 293.367 2.880 20 5 CFBDRN C[C@@H](CCc1cccn1C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413181679 533688344 /nfs/dbraw/zinc/68/83/44/533688344.db2.gz WNPIFHAMJDWKRM-JTQLQIEISA-N 0 0 292.314 2.901 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC(C)(C)C2)c1 ZINC000136305429 274003866 /nfs/dbraw/zinc/00/38/66/274003866.db2.gz ZNDAOXPHIKLDEM-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ncc([N+](=O)[O-])cn2)[C@H](C)C1 ZINC000070114225 287795356 /nfs/dbraw/zinc/79/53/56/287795356.db2.gz PZVFNAPVDGJDAI-KKZNHRDASA-N 0 0 250.302 2.621 20 5 CFBDRN O=C(c1occ2c1CCC2)N1CCc2c1cccc2[N+](=O)[O-] ZINC000353283992 275016520 /nfs/dbraw/zinc/01/65/20/275016520.db2.gz BZLJXKQKWZFNMS-UHFFFAOYSA-N 0 0 298.298 2.879 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@@H]([NH+]2CC=CC2)C1 ZINC000266231209 275033302 /nfs/dbraw/zinc/03/33/02/275033302.db2.gz JMBLNGQZMRMEJR-GFCCVEGCSA-N 0 0 293.754 2.699 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H]1c1ncc[nH]1 ZINC000364029507 275033591 /nfs/dbraw/zinc/03/35/91/275033591.db2.gz BHVGMJXLCIQBSL-VIFPVBQESA-N 0 0 293.714 2.708 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCc3c(O)cccc32)nc1 ZINC000302734528 275041509 /nfs/dbraw/zinc/04/15/09/275041509.db2.gz VXJFHZUPBWDKDX-GFCCVEGCSA-N 0 0 286.291 2.580 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NC(C)(C)C ZINC000182182084 275399999 /nfs/dbraw/zinc/39/99/99/275399999.db2.gz JGWMDGZCMRSQFH-MDZDMXLPSA-N 0 0 262.309 2.913 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000352142320 276041751 /nfs/dbraw/zinc/04/17/51/276041751.db2.gz JCSDJTSIOYXWLC-VIFPVBQESA-N 0 0 294.355 2.750 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N[C@]2(C)CC=CCC2)c1[N+](=O)[O-] ZINC000331315349 276042005 /nfs/dbraw/zinc/04/20/05/276042005.db2.gz CWCYPFWGNVGGPP-CQSZACIVSA-N 0 0 292.339 2.670 20 5 CFBDRN CC1=C(C)CN(C(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])CC1 ZINC000280327401 276177013 /nfs/dbraw/zinc/17/70/13/276177013.db2.gz ZFVWMVGIISGOEB-UHFFFAOYSA-N 0 0 292.339 2.624 20 5 CFBDRN CCN(C(=O)CCNc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000348311209 276298321 /nfs/dbraw/zinc/29/83/21/276298321.db2.gz SWILVIIMBXVDTB-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN CCOC[C@H]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC000267077376 276334420 /nfs/dbraw/zinc/33/44/20/276334420.db2.gz JTKAPHHCNTWHQP-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN CC[C@@H]1CCCN(c2ccc3ncc([N+](=O)[O-])n3n2)CC1 ZINC000347854878 276464288 /nfs/dbraw/zinc/46/42/88/276464288.db2.gz BHNMBKHIUYERMH-LLVKDONJSA-N 0 0 289.339 2.654 20 5 CFBDRN CCOc1cccc(N2CC[C@H](SC)C2)c1[N+](=O)[O-] ZINC000295006411 276498723 /nfs/dbraw/zinc/49/87/23/276498723.db2.gz OUGJUXVXDONKCQ-JTQLQIEISA-N 0 0 282.365 2.935 20 5 CFBDRN CC[C@@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000027707715 276548002 /nfs/dbraw/zinc/54/80/02/276548002.db2.gz LZBMXSHVBBIVOH-NXEZZACHSA-N 0 0 266.297 2.886 20 5 CFBDRN CC[C@@H]1CCC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000181115271 276553622 /nfs/dbraw/zinc/55/36/22/276553622.db2.gz KTVHGMKRUYGXNE-MNOVXSKESA-N 0 0 291.351 2.876 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272650010 276558159 /nfs/dbraw/zinc/55/81/59/276558159.db2.gz DYHXVYZQXVUJHG-VHSXEESVSA-N 0 0 278.312 2.785 20 5 CFBDRN CC[C@H]1[C@@H](C)CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000358994706 276672137 /nfs/dbraw/zinc/67/21/37/276672137.db2.gz RDPUTGNSDHBGFE-HZMBPMFUSA-N 0 0 294.326 2.923 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)C[C@@H]1CC=CCC1 ZINC000359578707 276717961 /nfs/dbraw/zinc/71/79/61/276717961.db2.gz PYKVHDBXRBHLJG-GFCCVEGCSA-N 0 0 278.356 2.912 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)Cc1ccc(F)cc1 ZINC000195333450 276721902 /nfs/dbraw/zinc/72/19/02/276721902.db2.gz NMKBJHFEIDVRDO-UHFFFAOYSA-N 0 0 292.314 2.895 20 5 CFBDRN CN(c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)C1CCCC1 ZINC000171986945 276869628 /nfs/dbraw/zinc/86/96/28/276869628.db2.gz NGJDFKNHNSJLTI-UHFFFAOYSA-N 0 0 289.335 2.858 20 5 CFBDRN CNc1c(C(=O)Nc2ncc(C)s2)cccc1[N+](=O)[O-] ZINC000161419648 277042868 /nfs/dbraw/zinc/04/28/68/277042868.db2.gz GIABKYLHAMOMPJ-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@H]1O ZINC000408149543 277237980 /nfs/dbraw/zinc/23/79/80/277237980.db2.gz LOMQKTCFTVACIF-BXUZGUMPSA-N 0 0 278.352 2.828 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000280211484 277319503 /nfs/dbraw/zinc/31/95/03/277319503.db2.gz KFVKGMSOZQRFHH-AWEZNQCLSA-N 0 0 264.325 2.990 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Nc1ccc([N+](=O)[O-])c(N)c1F ZINC000362840999 277336193 /nfs/dbraw/zinc/33/61/93/277336193.db2.gz HSYOIBAPAWFMTD-KWQFWETISA-N 0 0 283.303 2.686 20 5 CFBDRN CC(C)C1CCC(Nc2c([N+](=O)[O-])ncn2C)CC1 ZINC000357163499 277341541 /nfs/dbraw/zinc/34/15/41/277341541.db2.gz CFMKHKJWONEPNZ-UHFFFAOYSA-N 0 0 266.345 2.955 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2c(C)cccc2[N+](=O)[O-])C1 ZINC000231683431 277343412 /nfs/dbraw/zinc/34/34/12/277343412.db2.gz PWFOAIIMOIGGIG-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN COc1cc(N2CCCSCC2)c([N+](=O)[O-])cc1F ZINC000268153218 277381621 /nfs/dbraw/zinc/38/16/21/277381621.db2.gz LJLZQWQOLQZCOW-UHFFFAOYSA-N 0 0 286.328 2.686 20 5 CFBDRN COc1cc(N2CC[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000267647082 277382159 /nfs/dbraw/zinc/38/21/59/277382159.db2.gz LNYUTLAAVCEIPD-NXEZZACHSA-N 0 0 250.298 2.838 20 5 CFBDRN COc1cc(N2C[C@@H]3CCC[C@H]3C2)c([N+](=O)[O-])cc1F ZINC000301619986 277382445 /nfs/dbraw/zinc/38/24/45/277382445.db2.gz CIRLVBRWVXSSEU-UWVGGRQHSA-N 0 0 280.299 2.979 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCS[C@H](C)CC2)c1 ZINC000276840717 277431406 /nfs/dbraw/zinc/43/14/06/277431406.db2.gz CDOBBYZZQLWLIQ-SNVBAGLBSA-N 0 0 282.365 2.935 20 5 CFBDRN COCCC1(C)CN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000288868977 277488524 /nfs/dbraw/zinc/48/85/24/277488524.db2.gz LETIIONFCAZKHQ-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])c3ncccc23)C1 ZINC000413117919 277646224 /nfs/dbraw/zinc/64/62/24/277646224.db2.gz JYMNENVOTVDYNP-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000282584750 277661966 /nfs/dbraw/zinc/66/19/66/277661966.db2.gz HHIDNJREWSGGFD-KGLIPLIRSA-N 0 0 293.319 2.638 20 5 CFBDRN CO[C@H]1CN(c2ccc([N+](=O)[O-])cc2C)CC[C@H]1C ZINC000302551842 277750514 /nfs/dbraw/zinc/75/05/14/277750514.db2.gz KEIFGEKYTTZTCA-YGRLFVJLSA-N 0 0 264.325 2.764 20 5 CFBDRN C[C@@H]1CCSCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000191498496 277770175 /nfs/dbraw/zinc/77/01/75/277770175.db2.gz GYNLZWQXCYXZGJ-SNVBAGLBSA-N 0 0 295.364 2.954 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)N2CCc3ccc([N+](=O)[O-])cc32)C1 ZINC000331672226 277771643 /nfs/dbraw/zinc/77/16/43/277771643.db2.gz ZCZXSLNPTDUJSV-ZYHUDNBSSA-N 0 0 274.320 2.920 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N(C(=O)Nc2ccncc2[N+](=O)[O-])C1 ZINC000183647284 277773639 /nfs/dbraw/zinc/77/36/39/277773639.db2.gz RREJEUGRJQSUOD-NXEZZACHSA-N 0 0 278.312 2.642 20 5 CFBDRN COc1cc(N2CCC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000119794970 277825271 /nfs/dbraw/zinc/82/52/71/277825271.db2.gz KNDVNCFNZAHSKH-QMMMGPOBSA-N 0 0 254.261 2.731 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CC[C@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000271026713 278000726 /nfs/dbraw/zinc/00/07/26/278000726.db2.gz ZVYBYBPSVOBYQA-VHSXEESVSA-N 0 0 294.355 2.559 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000336604538 278004436 /nfs/dbraw/zinc/00/44/36/278004436.db2.gz PIUFIGWAHRNFJZ-VHSXEESVSA-N 0 0 263.297 2.857 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C)N(c2ncc([N+](=O)[O-])s2)C1 ZINC000336954609 278009437 /nfs/dbraw/zinc/00/94/37/278009437.db2.gz UEVYWIIJEMOQRA-VGMNWLOBSA-N 0 0 255.343 2.922 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](C)N(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000413269935 278009582 /nfs/dbraw/zinc/00/95/82/278009582.db2.gz URKRRNFKCSBPHQ-UTLUCORTSA-N 0 0 267.304 3.000 20 5 CFBDRN CC(C)c1ccc(C(=O)N[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000188119861 278032242 /nfs/dbraw/zinc/03/22/42/278032242.db2.gz CBVNRIXGXKTRBC-BXKDBHETSA-N 0 0 262.309 2.856 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000050565159 278077119 /nfs/dbraw/zinc/07/71/19/278077119.db2.gz BWNASQTWNCXVJT-VHSXEESVSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@@]1(F)CCN(c2ncc([N+](=O)[O-])cc2C(F)(F)F)C1 ZINC000413217697 278125694 /nfs/dbraw/zinc/12/56/94/278125694.db2.gz DCNLYSUJTGIZQU-SNVBAGLBSA-N 0 0 293.220 2.947 20 5 CFBDRN C[C@@]12CN(c3ncc([N+](=O)[O-])cc3F)C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000413312222 278132005 /nfs/dbraw/zinc/13/20/05/278132005.db2.gz JSFKODUAJUFKMI-HMDURAKOSA-N 0 0 289.310 2.777 20 5 CFBDRN CSc1ncc(OCc2ccccc2[N+](=O)[O-])cn1 ZINC000346388186 278180779 /nfs/dbraw/zinc/18/07/79/278180779.db2.gz XKAIMHSQVJAANE-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN CC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2F)[C@H]1C1CC1 ZINC000366281454 278324446 /nfs/dbraw/zinc/32/44/46/278324446.db2.gz DDTYOPJFPVIWRP-ZDUSSCGKSA-N 0 0 292.310 2.995 20 5 CFBDRN CC1(C)CN(C(=O)c2csc([N+](=O)[O-])c2)[C@H]1C1CC1 ZINC000291198702 278326851 /nfs/dbraw/zinc/32/68/51/278326851.db2.gz UOFFAUHBSYSZHE-NSHDSACASA-N 0 0 280.349 2.917 20 5 CFBDRN CC(C)(C)OC(=O)CCCNc1ncccc1[N+](=O)[O-] ZINC000341984278 278373202 /nfs/dbraw/zinc/37/32/02/278373202.db2.gz NFVNPSGAMSJDKA-UHFFFAOYSA-N 0 0 281.312 2.524 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C(C)(C)C1 ZINC000335338110 278410723 /nfs/dbraw/zinc/41/07/23/278410723.db2.gz FUVDTTPINHGELO-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])n2C)[C@H]1c1ccccc1 ZINC000334908163 278414350 /nfs/dbraw/zinc/41/43/50/278414350.db2.gz HICPRLLPUQPBFW-XHDPSFHLSA-N 0 0 299.330 2.767 20 5 CFBDRN C[C@@H]1CC(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C[C@@H](C)O1 ZINC000355068109 278441315 /nfs/dbraw/zinc/44/13/15/278441315.db2.gz LFKHQEPXQBNWSM-RKDXNWHRSA-N 0 0 296.298 2.876 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cn2)[C@H]1c1ccccc1 ZINC000273607535 278447218 /nfs/dbraw/zinc/44/72/18/278447218.db2.gz KYKNLFKTPPWKTK-GXFFZTMASA-N 0 0 270.292 2.582 20 5 CFBDRN C[C@H]1COCCN(c2ccc([N+](=O)[O-])c3ncccc23)C1 ZINC000413207891 278455646 /nfs/dbraw/zinc/45/56/46/278455646.db2.gz RZGDFTBGKXSVKK-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CC(C)(C)OC1CCN(c2ccncc2[N+](=O)[O-])CC1 ZINC000361047586 278461604 /nfs/dbraw/zinc/46/16/04/278461604.db2.gz ZJAUVCQGAMAYTO-UHFFFAOYSA-N 0 0 279.340 2.774 20 5 CFBDRN C[C@@H]1CCC[C@@H](CCn2ncc([N+](=O)[O-])c2N)C1 ZINC000127493643 278496414 /nfs/dbraw/zinc/49/64/14/278496414.db2.gz XIAJRSAVTLYTCZ-ZJUUUORDSA-N 0 0 252.318 2.590 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000279584634 278512424 /nfs/dbraw/zinc/51/24/24/278512424.db2.gz VWZLIPDLHJTQGY-NSHDSACASA-N 0 0 299.758 2.895 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)c2csc([N+](=O)[O-])c2)C[C@H]1C ZINC000332672450 278534939 /nfs/dbraw/zinc/53/49/39/278534939.db2.gz IJSXZZCFAXZBLU-IWSPIJDZSA-N 0 0 268.338 2.773 20 5 CFBDRN C[C@]1(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)C[C@@H]1F ZINC000335113691 278555835 /nfs/dbraw/zinc/55/58/35/278555835.db2.gz KESAMOBKOFVYKZ-JSGCOSHPSA-N 0 0 278.283 2.622 20 5 CFBDRN CCC(CC)[C@H](CCNc1c([N+](=O)[O-])c(C)nn1C)OC ZINC000446883337 278609418 /nfs/dbraw/zinc/60/94/18/278609418.db2.gz GFWSLUQTSHHPAT-LBPRGKRZSA-N 0 0 298.387 2.890 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CCCCC1(F)F ZINC000298234099 278609787 /nfs/dbraw/zinc/60/97/87/278609787.db2.gz LDSZHBAKDYPGHZ-JTQLQIEISA-N 0 0 286.278 2.890 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000356716310 278615361 /nfs/dbraw/zinc/61/53/61/278615361.db2.gz BAIFLUCVFUCEMO-BXKDBHETSA-N 0 0 285.303 2.671 20 5 CFBDRN Cc1cc(N2C[C@@H](C3CC3)[C@H]2C2CC2)ncc1[N+](=O)[O-] ZINC000450802638 278717932 /nfs/dbraw/zinc/71/79/32/278717932.db2.gz ZNNWIIPGKFQWQK-SWLSCSKDSA-N 0 0 273.336 2.923 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)no1 ZINC000045140527 278730948 /nfs/dbraw/zinc/73/09/48/278730948.db2.gz HZVWMXRYRAABGX-UHFFFAOYSA-N 0 0 267.266 2.513 20 5 CFBDRN Cc1ccc(N2CC[C@H]([C@H]3CCOC3)C2)c([N+](=O)[O-])c1 ZINC000378238518 278823621 /nfs/dbraw/zinc/82/36/21/278823621.db2.gz TUJYZIYBGUBXFL-STQMWFEESA-N 0 0 276.336 2.766 20 5 CFBDRN Cc1ccc(NC(=O)c2cscn2)cc1[N+](=O)[O-] ZINC000059895285 278834136 /nfs/dbraw/zinc/83/41/36/278834136.db2.gz NKDQVSBDPJTNEN-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CSC[C@@H]1C ZINC000335961356 278866051 /nfs/dbraw/zinc/86/60/51/278866051.db2.gz LEETZOONSZHEBN-CABZTGNLSA-N 0 0 295.364 2.776 20 5 CFBDRN CCC[C@H]1[C@@H](C)CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000361958179 278962524 /nfs/dbraw/zinc/96/25/24/278962524.db2.gz DPZRWZYPPXTLFQ-RYUDHWBXSA-N 0 0 293.367 2.974 20 5 CFBDRN C[C@@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CCO1 ZINC000271870277 279006176 /nfs/dbraw/zinc/00/61/76/279006176.db2.gz KGOKQUMHHBJLPK-MWLCHTKSSA-N 0 0 289.291 2.927 20 5 CFBDRN Cc1nc(N2CCC[C@H](CC(C)C)C2)ncc1[N+](=O)[O-] ZINC000297588093 279090642 /nfs/dbraw/zinc/09/06/42/279090642.db2.gz TYVKOEUOYACXNJ-GFCCVEGCSA-N 0 0 278.356 2.956 20 5 CFBDRN Cc1nc(N2CCS[C@@H]3CCCC[C@H]32)ncc1[N+](=O)[O-] ZINC000297381931 279092852 /nfs/dbraw/zinc/09/28/52/279092852.db2.gz DLMOABOMSCXULF-ZYHUDNBSSA-N 0 0 294.380 2.558 20 5 CFBDRN Cc1nc(NC(=O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000175677780 279100907 /nfs/dbraw/zinc/10/09/07/279100907.db2.gz XWEDXVFKDHCFCI-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000268360206 279102076 /nfs/dbraw/zinc/10/20/76/279102076.db2.gz CGTQIDDGFNBHSH-WZRBSPASSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278496657 279109228 /nfs/dbraw/zinc/10/92/28/279109228.db2.gz QDSGWRFVRFKDGL-BDAKNGLRSA-N 0 0 292.364 2.801 20 5 CFBDRN C[C@H](C(=O)N1CCCc2c([N+](=O)[O-])cccc21)C1CC1 ZINC000161921245 279196560 /nfs/dbraw/zinc/19/65/60/279196560.db2.gz NDOXEURVDMQWCZ-JTQLQIEISA-N 0 0 274.320 2.920 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCC[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000036749277 279200833 /nfs/dbraw/zinc/20/08/33/279200833.db2.gz BGGDVJPBMCTZDA-WCABBAIRSA-N 0 0 266.345 2.873 20 5 CFBDRN CC(C)N(C(=O)c1ccc([N+](=O)[O-])n1C)C1CC(C)(C)C1 ZINC000457080701 279314281 /nfs/dbraw/zinc/31/42/81/279314281.db2.gz WOCCVSWHYNMSPA-UHFFFAOYSA-N 0 0 293.367 2.973 20 5 CFBDRN O=C(N1CCc2c1cccc2[N+](=O)[O-])[C@]12C[C@H]1CCC2 ZINC000421465697 279810313 /nfs/dbraw/zinc/81/03/13/279810313.db2.gz CMBQZMLCQZSOMX-MEBBXXQBSA-N 0 0 272.304 2.674 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000080110824 279876785 /nfs/dbraw/zinc/87/67/85/279876785.db2.gz AZFIQLMGVSHYIQ-SNVBAGLBSA-N 0 0 264.256 2.572 20 5 CFBDRN CCOc1cccc(N2CCCO[C@@H](CF)C2)c1[N+](=O)[O-] ZINC000413487239 279971471 /nfs/dbraw/zinc/97/14/71/279971471.db2.gz XMUUXWNSKBWKBE-NSHDSACASA-N 0 0 298.314 2.558 20 5 CFBDRN CC(C)[C@@H]1CN(c2c(F)cccc2[N+](=O)[O-])CCO1 ZINC000342938587 280016243 /nfs/dbraw/zinc/01/62/43/280016243.db2.gz MMQLUMNGIQDLKR-LBPRGKRZSA-N 0 0 268.288 2.595 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)c1cscn1 ZINC000103374914 280024141 /nfs/dbraw/zinc/02/41/41/280024141.db2.gz RITRQPASCULMAD-QMMMGPOBSA-N 0 0 289.316 2.643 20 5 CFBDRN C[C@H]1Cc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000334229763 280031682 /nfs/dbraw/zinc/03/16/82/280031682.db2.gz QSTDWYPNMPPLKQ-VIFPVBQESA-N 0 0 271.276 2.514 20 5 CFBDRN C[C@H]1OCCN(c2nccc3cc([N+](=O)[O-])ccc32)[C@@H]1C ZINC000297494669 280056938 /nfs/dbraw/zinc/05/69/38/280056938.db2.gz MRGVFKHEUAOXNG-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN CC[C@@H](C)C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000103376393 280092686 /nfs/dbraw/zinc/09/26/86/280092686.db2.gz NXPWYYKNZLULKN-ZJUUUORDSA-N 0 0 262.309 2.919 20 5 CFBDRN CC[C@@H](C)N(CCO)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000310801024 280103586 /nfs/dbraw/zinc/10/35/86/280103586.db2.gz SZIQEDNZKUJDST-SECBINFHSA-N 0 0 272.732 2.845 20 5 CFBDRN C[C@H]1[C@H](C(=O)N2c3ccc([N+](=O)[O-])cc3C[C@H]2C)C1(F)F ZINC000359043749 280108185 /nfs/dbraw/zinc/10/81/85/280108185.db2.gz OIWIZLVONXJUDY-RGNHYFCHSA-N 0 0 296.273 2.774 20 5 CFBDRN CC[C@@H](C)[C@@H](C)N(C(=O)c1ccc([N+](=O)[O-])n1C)C1CC1 ZINC000355196916 280115105 /nfs/dbraw/zinc/11/51/05/280115105.db2.gz ZWUWIZAMUZIWIP-GHMZBOCLSA-N 0 0 293.367 2.973 20 5 CFBDRN Cc1[nH]nc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1C ZINC000359645063 280187442 /nfs/dbraw/zinc/18/74/42/280187442.db2.gz FNBRFQAANUJFOL-UHFFFAOYSA-N 0 0 285.263 2.652 20 5 CFBDRN Cc1c(Nc2nc3ccccc3cc2[N+](=O)[O-])cnn1C ZINC000352362232 280250541 /nfs/dbraw/zinc/25/05/41/280250541.db2.gz VHHGMLAVARGKFU-UHFFFAOYSA-N 0 0 283.291 2.929 20 5 CFBDRN CC[C@@H]1CCCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000265352731 280279062 /nfs/dbraw/zinc/27/90/62/280279062.db2.gz RGHHAOBGNGFXCS-LLVKDONJSA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1cc(-c2noc([C@@]3(C)C[C@@H]3F)n2)cc([N+](=O)[O-])c1 ZINC000424363606 280290215 /nfs/dbraw/zinc/29/02/15/280290215.db2.gz OXOJPKSQNKTTFR-GWCFXTLKSA-N 0 0 277.255 2.953 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@H]1COC2(CCCC2)O1 ZINC000271348476 280354096 /nfs/dbraw/zinc/35/40/96/280354096.db2.gz FTDAGGLVRVWWHG-JTQLQIEISA-N 0 0 296.298 2.832 20 5 CFBDRN O=[N+]([O-])c1c(NC2CCSCC2)nc2sccn21 ZINC000133971673 280354453 /nfs/dbraw/zinc/35/44/53/280354453.db2.gz KNMMXXHNKVBINQ-UHFFFAOYSA-N 0 0 284.366 2.612 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@H]1CC12CC2 ZINC000425275758 280355402 /nfs/dbraw/zinc/35/54/02/280355402.db2.gz UODCCJYPKIYJKO-LBPRGKRZSA-N 0 0 255.277 2.529 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@]2(CCOC2)C1 ZINC000373707816 280361680 /nfs/dbraw/zinc/36/16/80/280361680.db2.gz MEUIHUYLDDWRSD-INIZCTEOSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1C[C@H]2CC[C@@H](C1)O2 ZINC000367348960 280364962 /nfs/dbraw/zinc/36/49/62/280364962.db2.gz QNOQRXWCLJHRCA-AOOOYVTPSA-N 0 0 268.700 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCCO[C@H]2CCC[C@H]21 ZINC000363692565 280365316 /nfs/dbraw/zinc/36/53/16/280365316.db2.gz NUNJPKPSMKCEOC-CABCVRRESA-N 0 0 294.326 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CCC3)[C@H]2C2CC2)nc1 ZINC000290691554 280365750 /nfs/dbraw/zinc/36/57/50/280365750.db2.gz MLMGWFIMYKXPCW-CYBMUJFWSA-N 0 0 259.309 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@]3(CCCCO3)C2)nc1 ZINC000369362491 280366637 /nfs/dbraw/zinc/36/66/37/280366637.db2.gz LSWPZJRHDDPCHH-AWEZNQCLSA-N 0 0 277.324 2.529 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H](C3CC3)[C@@H]2C2CC2)nc1 ZINC000450802171 280368334 /nfs/dbraw/zinc/36/83/34/280368334.db2.gz YHBMSRVHVUCGAU-OCCSQVGLSA-N 0 0 259.309 2.615 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCn2c(cc3ccccc32)C1 ZINC000360104216 280376836 /nfs/dbraw/zinc/37/68/36/280376836.db2.gz KPRNQSZVPDQQTP-UHFFFAOYSA-N 0 0 294.314 2.965 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(F)(F)C2)c(C(F)(F)F)c1 ZINC000413220146 280378589 /nfs/dbraw/zinc/37/85/89/280378589.db2.gz FMXQXZDIWVPIRY-UHFFFAOYSA-N 0 0 297.183 2.854 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2ncc[nH]2)c(Cl)c1 ZINC000364030679 280379127 /nfs/dbraw/zinc/37/91/27/280379127.db2.gz DXNMMMPIDLFUTA-SNVBAGLBSA-N 0 0 293.714 2.708 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](C(F)(F)F)C2)s1 ZINC000195505741 280379613 /nfs/dbraw/zinc/37/96/13/280379613.db2.gz WOJVWWKEXRINGC-LURJTMIESA-N 0 0 281.259 2.830 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCC[C@@H]3C[C@@H]32)c(F)c1 ZINC000413442536 280382108 /nfs/dbraw/zinc/38/21/08/280382108.db2.gz AIRKOVSUHTWVBG-HDBBIHSSSA-N 0 0 251.261 2.729 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CCCSC2)n1 ZINC000359092755 280399820 /nfs/dbraw/zinc/39/98/20/280399820.db2.gz GQJDKRYGHNKZLP-SNVBAGLBSA-N 0 0 267.354 2.914 20 5 CFBDRN CCN(CC(C)C)C(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000055464234 280449903 /nfs/dbraw/zinc/44/99/03/280449903.db2.gz SHRLYKUEMNHCPV-UHFFFAOYSA-N 0 0 279.340 2.755 20 5 CFBDRN CCCCN(CC)C(=O)COc1ccc(C)cc1[N+](=O)[O-] ZINC000061340500 280460560 /nfs/dbraw/zinc/46/05/60/280460560.db2.gz NHHGETRKXUKCQX-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(Cl)o1 ZINC000067071130 280470734 /nfs/dbraw/zinc/47/07/34/280470734.db2.gz PKYBGZNYFZUVIZ-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CCOc1ncccc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000075649015 280512749 /nfs/dbraw/zinc/51/27/49/280512749.db2.gz PFZYKQPBNLVSGZ-UHFFFAOYSA-N 0 0 293.304 2.702 20 5 CFBDRN Cc1ncccc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000078148813 280527560 /nfs/dbraw/zinc/52/75/60/280527560.db2.gz GAGGMNDUFHJZDC-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CCOc1cc(N[C@H](CC)COC)ccc1[N+](=O)[O-] ZINC000079745320 280536299 /nfs/dbraw/zinc/53/62/99/280536299.db2.gz GVIUHJHLIJWJNS-SNVBAGLBSA-N 0 0 268.313 2.830 20 5 CFBDRN Cc1cccc(CC(=O)NCCc2cccc([N+](=O)[O-])c2)c1 ZINC000089835829 280565208 /nfs/dbraw/zinc/56/52/08/280565208.db2.gz LPSDXTIFMOYRCS-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN Cc1cc(N2CC[C@@H](C3CCOCC3)C2)ncc1[N+](=O)[O-] ZINC000377164594 280566882 /nfs/dbraw/zinc/56/68/82/280566882.db2.gz JBONAMGUOPHCSS-CYBMUJFWSA-N 0 0 291.351 2.551 20 5 CFBDRN CNc1ccc(C(=O)Nc2cccnc2C)cc1[N+](=O)[O-] ZINC000091498125 280571844 /nfs/dbraw/zinc/57/18/44/280571844.db2.gz DUEDLDJSYAEVEE-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CCN(C)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C ZINC000093940368 280577231 /nfs/dbraw/zinc/57/72/31/280577231.db2.gz QRGJVZXBHRNGDD-UHFFFAOYSA-N 0 0 250.298 2.612 20 5 CFBDRN Cc1cc(N2CC[C@H](O)[C@H](C)C2)c(Cl)cc1[N+](=O)[O-] ZINC000302433010 280577389 /nfs/dbraw/zinc/57/73/89/280577389.db2.gz PCEUJAPHDMOXCR-RNCFNFMXSA-N 0 0 284.743 2.764 20 5 CFBDRN CC[C@@H]1[C@H](C)CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000185359041 280600913 /nfs/dbraw/zinc/60/09/13/280600913.db2.gz CYRXQPHGUJBKPD-PSASIEDQSA-N 0 0 268.338 2.917 20 5 CFBDRN Cc1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)c(C)n1 ZINC000119348232 280607807 /nfs/dbraw/zinc/60/78/07/280607807.db2.gz FLIMVUFCLATLNA-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN Cc1cc(F)ccc1CCNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000121057465 280620340 /nfs/dbraw/zinc/62/03/40/280620340.db2.gz DZJDYKUNOGNUKQ-UHFFFAOYSA-N 0 0 292.266 2.608 20 5 CFBDRN CC(=O)[C@@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000125404831 280643180 /nfs/dbraw/zinc/64/31/80/280643180.db2.gz KQXRVOIXYUQXOA-QWHCGFSZSA-N 0 0 290.319 2.785 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@H]1CCC(=O)N(C)C1 ZINC000193356253 280656959 /nfs/dbraw/zinc/65/69/59/280656959.db2.gz DIBZLXQCROKNKE-VIFPVBQESA-N 0 0 297.742 2.589 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1C[C@@H](O)C2(CC2)C1 ZINC000373096661 280657101 /nfs/dbraw/zinc/65/71/01/280657101.db2.gz DNGZBGUAOMUMNV-LLVKDONJSA-N 0 0 282.727 2.518 20 5 CFBDRN C[C@@H]1CN(c2c3c(ccc2[N+](=O)[O-])NCC3)CCS1 ZINC000275354501 288256182 /nfs/dbraw/zinc/25/61/82/288256182.db2.gz KKBVZDBBLNYBGM-SECBINFHSA-N 0 0 279.365 2.505 20 5 CFBDRN CC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCO1 ZINC000128696317 280681969 /nfs/dbraw/zinc/68/19/69/280681969.db2.gz JXUVKMFPLJSEPT-STQMWFEESA-N 0 0 293.323 2.674 20 5 CFBDRN O=C(NCC(F)(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000128943920 280684987 /nfs/dbraw/zinc/68/49/87/280684987.db2.gz ZJYYRBSQKUIFOO-UHFFFAOYSA-N 0 0 282.605 2.540 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000129173352 280688069 /nfs/dbraw/zinc/68/80/69/280688069.db2.gz LAPJMAHTGFXJOE-UWVGGRQHSA-N 0 0 270.716 2.820 20 5 CFBDRN Cc1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)no1 ZINC000129481372 280691545 /nfs/dbraw/zinc/69/15/45/280691545.db2.gz DUPHRZPVOCJEQW-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CS[C@@H](C)CNC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000129896564 280696431 /nfs/dbraw/zinc/69/64/31/280696431.db2.gz HYMDFFWRAHDBLE-DNQSNQRASA-N 0 0 298.339 2.615 20 5 CFBDRN CCc1nc(COc2c(Cl)cccc2[N+](=O)[O-])no1 ZINC000130548752 280705283 /nfs/dbraw/zinc/70/52/83/280705283.db2.gz VZRUDXYXTPIBKG-UHFFFAOYSA-N 0 0 283.671 2.773 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccccc2F)nc1C1CC1 ZINC000185174574 280833917 /nfs/dbraw/zinc/83/39/17/280833917.db2.gz TVBNPTFRBBJONH-UHFFFAOYSA-N 0 0 261.256 2.856 20 5 CFBDRN Cc1[nH]ccc1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186327449 280845422 /nfs/dbraw/zinc/84/54/22/280845422.db2.gz FRDNOISYNSINAB-UHFFFAOYSA-N 0 0 293.710 2.815 20 5 CFBDRN Cc1ccc(N2CCCC[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000371060991 280865429 /nfs/dbraw/zinc/86/54/29/280865429.db2.gz DDFRSORYQFAYLD-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])c(NC)n1)C(C)(C)C ZINC000455470399 280926719 /nfs/dbraw/zinc/92/67/19/280926719.db2.gz BEUCQYPVSZUXSJ-NSHDSACASA-N 0 0 294.355 2.586 20 5 CFBDRN Cc1cc(CNc2c(F)cc([N+](=O)[O-])cc2F)no1 ZINC000227888917 280935428 /nfs/dbraw/zinc/93/54/28/280935428.db2.gz XCXPXLCOPPGTNG-UHFFFAOYSA-N 0 0 269.207 2.782 20 5 CFBDRN Cc1ccc([C@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)c(C)c1 ZINC000280405049 281001399 /nfs/dbraw/zinc/00/13/99/281001399.db2.gz ISFGPGMNQBVISA-ZDUSSCGKSA-N 0 0 298.346 2.996 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]2c2cc[nH]n2)c1 ZINC000287825106 281011810 /nfs/dbraw/zinc/01/18/10/281011810.db2.gz QNBQXQMJMBAKJF-LBPRGKRZSA-N 0 0 272.308 2.968 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@]2(O)CCC[C@H]2C)c1 ZINC000336898464 281014647 /nfs/dbraw/zinc/01/46/47/281014647.db2.gz QIWLJYFWATTXEG-BXUZGUMPSA-N 0 0 264.325 2.866 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@H](C)[C@@H](C)C1 ZINC000248663082 281030990 /nfs/dbraw/zinc/03/09/90/281030990.db2.gz HQZGBVYSWYHJCV-ZJUUUORDSA-N 0 0 266.345 2.602 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])[C@H]1C ZINC000252177541 281075593 /nfs/dbraw/zinc/07/55/93/281075593.db2.gz PHMNTYVOWUNERG-JFGNBEQYSA-N 0 0 291.351 2.930 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@@H]1CCCC[C@H]1O ZINC000252921904 281079184 /nfs/dbraw/zinc/07/91/84/281079184.db2.gz HTNJRBDAEVNZJK-ZIAGYGMSSA-N 0 0 298.770 2.984 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1C[C@@H]2CCC[C@H]2C1 ZINC000254961973 281082409 /nfs/dbraw/zinc/08/24/09/281082409.db2.gz OUZIQFGCZYNTGP-GZLNQGQSSA-N 0 0 286.331 2.867 20 5 CFBDRN CC1(C)CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000336361295 281085985 /nfs/dbraw/zinc/08/59/85/281085985.db2.gz KIIHOEWXTOBPIW-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN C[C@H]1CCCN1C(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000255893433 281109299 /nfs/dbraw/zinc/10/92/99/281109299.db2.gz VMTVCAWWSTWTCL-TVRMLOFPSA-N 0 0 260.293 2.619 20 5 CFBDRN CC[C@H]1CN(c2ccc(C)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000301432128 288300144 /nfs/dbraw/zinc/30/01/44/288300144.db2.gz HFPLWHMMWFYKEZ-NEPJUHHUSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(CC(F)F)C1CC1 ZINC000264472588 281113236 /nfs/dbraw/zinc/11/32/36/281113236.db2.gz XZZJLAPABSBZHB-UHFFFAOYSA-N 0 0 284.262 2.773 20 5 CFBDRN CN(Cc1cnns1)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000289582670 281134704 /nfs/dbraw/zinc/13/47/04/281134704.db2.gz HMQAKXBYURPNBL-UHFFFAOYSA-N 0 0 298.755 2.732 20 5 CFBDRN Cc1ccc(NC(=O)N(C)[C@H](C)C(C)(C)O)cc1[N+](=O)[O-] ZINC000296131781 281141750 /nfs/dbraw/zinc/14/17/50/281141750.db2.gz IFINSJJLCPHOBP-SNVBAGLBSA-N 0 0 295.339 2.526 20 5 CFBDRN Cc1ccc2[nH]c(NC(=O)c3ccc([N+](=O)[O-])o3)nc2c1 ZINC000344481797 281160811 /nfs/dbraw/zinc/16/08/11/281160811.db2.gz IEYVHTLLSRQMLQ-UHFFFAOYSA-N 0 0 286.247 2.625 20 5 CFBDRN C[C@H](C(=O)N[C@H]1CC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000345646702 281172508 /nfs/dbraw/zinc/17/25/08/281172508.db2.gz UJUJBHOEQZWNMS-GUBZILKMSA-N 0 0 298.289 2.844 20 5 CFBDRN CC[C@H]1CN([C@H](C)c2ccccc2[N+](=O)[O-])CCO1 ZINC000266561614 281175599 /nfs/dbraw/zinc/17/55/99/281175599.db2.gz YBGXZVOCBYPABQ-NEPJUHHUSA-N 0 0 264.325 2.767 20 5 CFBDRN CC[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000286639067 281175999 /nfs/dbraw/zinc/17/59/99/281175999.db2.gz DEWKVBOCWMLVMD-UWVGGRQHSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000281934603 281181783 /nfs/dbraw/zinc/18/17/83/281181783.db2.gz RTTOPIMEYJIRIS-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000360364983 281183886 /nfs/dbraw/zinc/18/38/86/281183886.db2.gz QWMGFRKVWRBUJF-NWDGAFQWSA-N 0 0 294.326 2.879 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3cc(F)ccc3[N+](=O)[O-])C2)c1 ZINC000368184280 281189020 /nfs/dbraw/zinc/18/90/20/281189020.db2.gz LASCTZGMMVIQLJ-GFCCVEGCSA-N 0 0 290.298 2.690 20 5 CFBDRN Cc1cc(OCCC2(O)CCC2)c(F)cc1[N+](=O)[O-] ZINC000396794041 281198196 /nfs/dbraw/zinc/19/81/96/281198196.db2.gz VLKRSLSBGHSXQK-UHFFFAOYSA-N 0 0 269.272 2.726 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H](C)CC(F)(F)F ZINC000396935144 281198824 /nfs/dbraw/zinc/19/88/24/281198824.db2.gz WJIWCSJGCIRCEK-ZETCQYMHSA-N 0 0 277.246 2.721 20 5 CFBDRN Cc1cc(NCC[C@H](C)CCO)ccc1[N+](=O)[O-] ZINC000397624626 281200089 /nfs/dbraw/zinc/20/00/89/281200089.db2.gz IVXJSABIIAKKJR-JTQLQIEISA-N 0 0 252.314 2.724 20 5 CFBDRN COc1cccc(NC[C@H]2CC[C@H](C)O2)c1[N+](=O)[O-] ZINC000397937078 281203482 /nfs/dbraw/zinc/20/34/82/281203482.db2.gz XHHKARLHFIEYDB-VHSXEESVSA-N 0 0 266.297 2.583 20 5 CFBDRN C[C@H]1CC[C@H](CNc2c3ccccc3ncc2[N+](=O)[O-])O1 ZINC000397933653 281203533 /nfs/dbraw/zinc/20/35/33/281203533.db2.gz WDVRYNSXAYSGET-WDEREUQCSA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@H]1C[C@@H](CO)CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000398733206 281208021 /nfs/dbraw/zinc/20/80/21/281208021.db2.gz JRFSGHNFUFQXES-WDEREUQCSA-N 0 0 298.770 2.699 20 5 CFBDRN Cc1cccc(C)c1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000334496337 281210771 /nfs/dbraw/zinc/21/07/71/281210771.db2.gz UMDRPDAKKXVBHF-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1csc([N+](=O)[O-])c1 ZINC000298743369 281212996 /nfs/dbraw/zinc/21/29/96/281212996.db2.gz FHAPLKUZORXNCN-ONGXEEELSA-N 0 0 270.354 2.656 20 5 CFBDRN COc1ccc(OC[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000447301236 281240397 /nfs/dbraw/zinc/24/03/97/281240397.db2.gz IIXYBLHCOQYGME-WDEREUQCSA-N 0 0 281.308 2.797 20 5 CFBDRN COc1ccc(OC[C@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000447301235 281240405 /nfs/dbraw/zinc/24/04/05/281240405.db2.gz IIXYBLHCOQYGME-QWRGUYRKSA-N 0 0 281.308 2.797 20 5 CFBDRN CC[C@H](C)N(C)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000491418353 281274414 /nfs/dbraw/zinc/27/44/14/281274414.db2.gz OUABQACFBOXSDX-USKTWTLRSA-N 0 0 262.309 2.865 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000491925034 281276418 /nfs/dbraw/zinc/27/64/18/281276418.db2.gz LKOMILHHGVCNOY-BYAJROORSA-N 0 0 274.320 2.865 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC1(CF)CCC1 ZINC000493143940 281280513 /nfs/dbraw/zinc/28/05/13/281280513.db2.gz CLICLCISHLATQY-QPJJXVBHSA-N 0 0 278.283 2.616 20 5 CFBDRN CC(C)(C)[C@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000514845731 281286510 /nfs/dbraw/zinc/28/65/10/281286510.db2.gz OMSDGRCWLRHVML-RYUDHWBXSA-N 0 0 291.351 2.934 20 5 CFBDRN CCN(CC(C)(C)C)c1c([N+](=O)[O-])nc(C)n1CC ZINC000514872863 281288163 /nfs/dbraw/zinc/28/81/63/281288163.db2.gz KPFKTIOCHFWKKI-UHFFFAOYSA-N 0 0 268.361 2.992 20 5 CFBDRN Cc1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)ncn1 ZINC000525132350 281311556 /nfs/dbraw/zinc/31/15/56/281311556.db2.gz JPWWRSLHNLAQKL-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CC[C@]1(C)COCCN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000330627559 281326433 /nfs/dbraw/zinc/32/64/33/281326433.db2.gz KIYJVEARPJQZQJ-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531629038 281436054 /nfs/dbraw/zinc/43/60/54/281436054.db2.gz NJHQPPLFEJWXET-UWVGGRQHSA-N 0 0 265.313 2.544 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cn2)c(C)c1 ZINC000532391600 281452975 /nfs/dbraw/zinc/45/29/75/281452975.db2.gz SRPALDMVIUZIOF-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CCCC[C@H](CC)CNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532397446 281453003 /nfs/dbraw/zinc/45/30/03/281453003.db2.gz QEQFQFUVSFRNHK-NSHDSACASA-N 0 0 279.340 2.936 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCC2)c1ccc([N+](=O)[O-])cn1 ZINC000532391705 281453071 /nfs/dbraw/zinc/45/30/71/281453071.db2.gz DISRYCSUXXLTGH-UHFFFAOYSA-N 0 0 283.287 2.731 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CC[C@H]1c1ccccc1 ZINC000532408088 281454222 /nfs/dbraw/zinc/45/42/22/281454222.db2.gz MMTFLGBBSYBPRD-AWEZNQCLSA-N 0 0 283.287 2.577 20 5 CFBDRN CC(C)CC[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000533184585 281463423 /nfs/dbraw/zinc/46/34/23/281463423.db2.gz WDAKZUXOYPUJOY-LBPRGKRZSA-N 0 0 293.367 2.974 20 5 CFBDRN CCC[C@H](C)[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000533184622 281463857 /nfs/dbraw/zinc/46/38/57/281463857.db2.gz MCNFBPXXBNQYKQ-AAEUAGOBSA-N 0 0 293.367 2.974 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1c1cc(C)ccc1[N+](=O)[O-] ZINC000447060388 288329726 /nfs/dbraw/zinc/32/97/26/288329726.db2.gz PYADCTIASNDVEK-RYUDHWBXSA-N 0 0 264.325 2.907 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2C)c(C)cn1 ZINC000534299510 281481426 /nfs/dbraw/zinc/48/14/26/281481426.db2.gz GWYKSPMKSDLHDR-UHFFFAOYSA-N 0 0 285.303 2.589 20 5 CFBDRN COc1cc(C(=O)NC(C)(C)C2CC2)ccc1[N+](=O)[O-] ZINC000535743401 281507536 /nfs/dbraw/zinc/50/75/36/281507536.db2.gz OLCYPLNFQKBOSD-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@@H]1COCCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000367435233 288335409 /nfs/dbraw/zinc/33/54/09/288335409.db2.gz MIOTUCIXXHHBAN-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN CCO[C@H](CC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000539650406 281571709 /nfs/dbraw/zinc/57/17/09/281571709.db2.gz LGLPDDNZFFGMKR-GFCCVEGCSA-N 0 0 266.297 2.657 20 5 CFBDRN CCCCCc1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000544935840 281675578 /nfs/dbraw/zinc/67/55/78/281675578.db2.gz ZKMMWGJDISCYCM-UHFFFAOYSA-N 0 0 276.296 2.960 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(COc2ccccc2[N+](=O)[O-])n1 ZINC000547186655 281728722 /nfs/dbraw/zinc/72/87/22/281728722.db2.gz QVZVFYITCOKQNC-IUCAKERBSA-N 0 0 275.264 2.680 20 5 CFBDRN CC(C)SCc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000547197917 281728790 /nfs/dbraw/zinc/72/87/90/281728790.db2.gz PNKUSUOXWUYTQW-UHFFFAOYSA-N 0 0 294.336 2.605 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000547765343 281753001 /nfs/dbraw/zinc/75/30/01/281753001.db2.gz XHFBWFOFYIYSEB-CYBMUJFWSA-N 0 0 291.351 2.577 20 5 CFBDRN O=C(c1c[nH]nc1[N+](=O)[O-])N1CCC[C@H]1C1CCCCC1 ZINC000548412045 281783473 /nfs/dbraw/zinc/78/34/73/281783473.db2.gz XDDNXFUZRMOKSQ-LBPRGKRZSA-N 0 0 292.339 2.503 20 5 CFBDRN Cc1nc(N2C[C@H](C)[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000296910206 281946403 /nfs/dbraw/zinc/94/64/03/281946403.db2.gz ADVSVPBOFSCWHJ-HZMBPMFUSA-N 0 0 284.319 2.891 20 5 CFBDRN Cc1cc([C@@H](C)NCCOc2ccc([N+](=O)[O-])cc2)no1 ZINC000558629355 281986950 /nfs/dbraw/zinc/98/69/50/281986950.db2.gz WCUUBEPSYCQPQS-LLVKDONJSA-N 0 0 291.307 2.621 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2c2ccccn2)c(F)c1 ZINC000560814627 282042365 /nfs/dbraw/zinc/04/23/65/282042365.db2.gz BKXCMOGRIBAJFU-ZDUSSCGKSA-N 0 0 288.282 2.865 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCCCCO1 ZINC000561341827 282052607 /nfs/dbraw/zinc/05/26/07/282052607.db2.gz RGONGBBLCQJVOX-UHFFFAOYSA-N 0 0 294.307 2.612 20 5 CFBDRN CCOc1cc(N2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000561317343 282052819 /nfs/dbraw/zinc/05/28/19/282052819.db2.gz ASIHFZBOXZBSRK-JTQLQIEISA-N 0 0 268.288 2.932 20 5 CFBDRN O=c1[nH]cnc2cc(N[C@@H]3CC[C@@H](F)C3)c([N+](=O)[O-])cc12 ZINC000561376422 282053571 /nfs/dbraw/zinc/05/35/71/282053571.db2.gz JDIGHHVUCNHRFC-HTQZYQBOSA-N 0 0 292.270 2.546 20 5 CFBDRN COc1cc(N[C@@H]2CC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000561407715 282054225 /nfs/dbraw/zinc/05/42/25/282054225.db2.gz CRFBMCFSDFAASI-IONNQARKSA-N 0 0 254.261 2.953 20 5 CFBDRN CC(=Cc1cccc([N+](=O)[O-])c1)CNc1c[nH]cn1 ZINC000561411816 282054433 /nfs/dbraw/zinc/05/44/33/282054433.db2.gz QKUATYAVHFXELW-BJMVGYQFSA-N 0 0 258.281 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2csnn2)c(Cl)c1 ZINC000562290879 282071775 /nfs/dbraw/zinc/07/17/75/282071775.db2.gz XKVAVVPRLNUQFP-UHFFFAOYSA-N 0 0 271.685 2.679 20 5 CFBDRN CCc1cnc(Cn2cc([N+](=O)[O-])c(=O)c3ccccc32)o1 ZINC000562329450 282074679 /nfs/dbraw/zinc/07/46/79/282074679.db2.gz BOEKALQGDWXGSF-UHFFFAOYSA-N 0 0 299.286 2.508 20 5 CFBDRN COC1(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCCC1 ZINC000562355210 282077088 /nfs/dbraw/zinc/07/70/88/282077088.db2.gz QKMSITPRSFCLHW-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCC[C@@H](SC)C1 ZINC000361057590 288676672 /nfs/dbraw/zinc/67/66/72/288676672.db2.gz MVBDJWAGYLGQDU-LLVKDONJSA-N 0 0 298.412 2.842 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@H]1Nc1ncccc1[N+](=O)[O-] ZINC000377194894 288939424 /nfs/dbraw/zinc/93/94/24/288939424.db2.gz SXCYLGFWQMMEBL-ZANVPECISA-N 0 0 271.276 2.924 20 5 CFBDRN C[C@@]1(C(=O)N2CCCc3c([N+](=O)[O-])cccc32)CC1(F)F ZINC000335071325 289129178 /nfs/dbraw/zinc/12/91/78/289129178.db2.gz BVZVLTVBYYHSGR-ZDUSSCGKSA-N 0 0 296.273 2.919 20 5 CFBDRN C[C@@]1(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)CC1(F)F ZINC000335556468 289137058 /nfs/dbraw/zinc/13/70/58/289137058.db2.gz RHOVVHXJUCRLPX-LBPRGKRZSA-N 0 0 282.246 2.529 20 5 CFBDRN C[C@@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCCC[C@@H]1O ZINC000288536083 289175709 /nfs/dbraw/zinc/17/57/09/289175709.db2.gz UVOYYKLALKDPPH-KBPBESRZSA-N 0 0 279.340 2.530 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Sc1ccccc1F ZINC000008337081 289821463 /nfs/dbraw/zinc/82/14/63/289821463.db2.gz IBZBHTWWACHROL-UHFFFAOYSA-N 0 0 253.258 2.619 20 5 CFBDRN C[C@@H](CCO)Nc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000152291778 289844017 /nfs/dbraw/zinc/84/40/17/289844017.db2.gz WWJBHJJVEQPKEQ-JTQLQIEISA-N 0 0 282.340 2.955 20 5 CFBDRN CCCc1nccn1Cc1c(F)cccc1[N+](=O)[O-] ZINC000153516672 289870235 /nfs/dbraw/zinc/87/02/35/289870235.db2.gz AMOVTIAADYGZBC-UHFFFAOYSA-N 0 0 263.272 2.931 20 5 CFBDRN Cc1ccc(Cn2cc(Cl)cc([N+](=O)[O-])c2=O)cc1 ZINC000153637036 289873216 /nfs/dbraw/zinc/87/32/16/289873216.db2.gz WMYVFVFONWTHSY-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN CC(C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000156568844 289940792 /nfs/dbraw/zinc/94/07/92/289940792.db2.gz MDYDOZUYBHIZQS-UHFFFAOYSA-N 0 0 262.309 2.526 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCOC[C@H]1CCOC1 ZINC000156766312 289944233 /nfs/dbraw/zinc/94/42/33/289944233.db2.gz SIVDQLONVGUVDS-CYBMUJFWSA-N 0 0 294.351 2.758 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1C=CCCC1 ZINC000157560400 289958690 /nfs/dbraw/zinc/95/86/90/289958690.db2.gz ONYTYOFCEQUQMI-CYBMUJFWSA-N 0 0 274.320 2.752 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)c(C)n1 ZINC000158379349 289974533 /nfs/dbraw/zinc/97/45/33/289974533.db2.gz YEJZIWCQOIYQCY-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCC[C@H](CC)Nc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000158627553 289978818 /nfs/dbraw/zinc/97/88/18/289978818.db2.gz QCZNYMZEHCXZCX-VIFPVBQESA-N 0 0 263.301 2.628 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N1C[C@H]2CCC[C@@H]2C1 ZINC000158758137 289981738 /nfs/dbraw/zinc/98/17/38/289981738.db2.gz VPJXRAGDLOIUJF-CHWSQXEVSA-N 0 0 289.335 2.536 20 5 CFBDRN Cc1cccc(N[C@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC000302028897 290006420 /nfs/dbraw/zinc/00/64/20/290006420.db2.gz ARTCBFNNZIPDCU-QWRGUYRKSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)SCC1(CO)COC1 ZINC000305801515 290009703 /nfs/dbraw/zinc/00/97/03/290009703.db2.gz DYSDOVWPYKXPAR-LLVKDONJSA-N 0 0 297.376 2.706 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCC(CCO)CC1 ZINC000401003236 290025635 /nfs/dbraw/zinc/02/56/35/290025635.db2.gz NUDFLXBTHSCCNA-UHFFFAOYSA-N 0 0 298.770 2.843 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000562532280 290052068 /nfs/dbraw/zinc/05/20/68/290052068.db2.gz RKYZAJALMOFMQY-YPMHNXCESA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)on1 ZINC000562548998 290054534 /nfs/dbraw/zinc/05/45/34/290054534.db2.gz HHJDKJOVCNQYPL-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2[C@H]2CCCOC2)c(F)c1 ZINC000563054181 290098973 /nfs/dbraw/zinc/09/89/73/290098973.db2.gz TWSPSWRSHYTHSJ-GXFFZTMASA-N 0 0 295.314 2.524 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC(CC(F)F)C1)CCCC2 ZINC000563053983 290098986 /nfs/dbraw/zinc/09/89/86/290098986.db2.gz RQFUDWFJFHBJIS-UHFFFAOYSA-N 0 0 297.305 2.960 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H]2[C@H]2CCCOC2)c(F)c1 ZINC000563054169 290098992 /nfs/dbraw/zinc/09/89/92/290098992.db2.gz TWSPSWRSHYTHSJ-GWCFXTLKSA-N 0 0 295.314 2.524 20 5 CFBDRN C[C@@]1(C(=O)NCc2cccc([N+](=O)[O-])c2)CC=CCC1 ZINC000563594625 290161525 /nfs/dbraw/zinc/16/15/25/290161525.db2.gz LBTOGWRMOUFCBV-OAHLLOKOSA-N 0 0 274.320 2.957 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1ccc(Cl)cn1 ZINC000564674450 290223733 /nfs/dbraw/zinc/22/37/33/290223733.db2.gz FLZZEHKERFLITP-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CC(F)(F)C(F)(F)C1 ZINC000565226375 290285672 /nfs/dbraw/zinc/28/56/72/290285672.db2.gz MMFZRIBFHDEKGW-UHFFFAOYSA-N 0 0 294.204 2.694 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@@H](F)C1 ZINC000565418830 290300543 /nfs/dbraw/zinc/30/05/43/290300543.db2.gz HCTRUFLBQCWPQZ-NXEZZACHSA-N 0 0 295.314 2.647 20 5 CFBDRN CSc1ccc(C(=O)N2CCC[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000565898193 290333223 /nfs/dbraw/zinc/33/32/23/290333223.db2.gz XLAIKSFVSVPDQS-SNVBAGLBSA-N 0 0 298.339 2.891 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@H]1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000361013064 290337825 /nfs/dbraw/zinc/33/78/25/290337825.db2.gz LXCQOBVYVMISIJ-WDEREUQCSA-N 0 0 293.367 2.878 20 5 CFBDRN C[C@@H](SC[C@@H]1CN(C)CCO1)c1ccccc1[N+](=O)[O-] ZINC000566214116 290358822 /nfs/dbraw/zinc/35/88/22/290358822.db2.gz DOWULOFUTQBTPN-NEPJUHHUSA-N 0 0 296.392 2.720 20 5 CFBDRN C[C@@H](O)[C@@H](Nc1ncc([N+](=O)[O-])s1)c1ccccc1F ZINC000566329884 290371494 /nfs/dbraw/zinc/37/14/94/290371494.db2.gz ODTUZGKZUPDBQS-RDDDGLTNSA-N 0 0 297.311 2.724 20 5 CFBDRN C[C@H]1CCCCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000302445663 290376941 /nfs/dbraw/zinc/37/69/41/290376941.db2.gz SBCJSXUNJPOAFA-VIFPVBQESA-N 0 0 280.353 2.930 20 5 CFBDRN CC(C)Oc1nc(NCc2ccccc2)ccc1[N+](=O)[O-] ZINC000566477839 290391781 /nfs/dbraw/zinc/39/17/81/290391781.db2.gz INWGWGVSFIIABO-UHFFFAOYSA-N 0 0 287.319 2.811 20 5 CFBDRN CC[C@@H]1CCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000566486886 290394141 /nfs/dbraw/zinc/39/41/41/290394141.db2.gz PLTQFTUCJMBPDK-SNVBAGLBSA-N 0 0 250.298 2.840 20 5 CFBDRN Cc1c(NC(=O)NCC(C)(F)F)cccc1[N+](=O)[O-] ZINC000566544828 290398806 /nfs/dbraw/zinc/39/88/06/290398806.db2.gz MBVWAQGSXULDMF-UHFFFAOYSA-N 0 0 273.239 2.680 20 5 CFBDRN C[C@@]1(NC(=O)NCc2cccc([N+](=O)[O-])c2)CC=CCC1 ZINC000566547078 290398977 /nfs/dbraw/zinc/39/89/77/290398977.db2.gz NZLYFJIUCIIGMK-OAHLLOKOSA-N 0 0 289.335 2.893 20 5 CFBDRN O=C(NC[C@H]1CCC[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cn1 ZINC000566724892 290412478 /nfs/dbraw/zinc/41/24/78/290412478.db2.gz QGZITDMSXAPMLO-DGCLKSJQSA-N 0 0 289.335 2.546 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])CC2(CCC2)O1 ZINC000567107305 290439355 /nfs/dbraw/zinc/43/93/55/290439355.db2.gz WYLLTFQQAYVUEZ-LLVKDONJSA-N 0 0 262.309 2.743 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](OC(C)C)C2)cc([N+](=O)[O-])c1 ZINC000567355167 290457647 /nfs/dbraw/zinc/45/76/47/290457647.db2.gz URRWAGLMLIRLOH-CQSZACIVSA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCC[C@@H](F)C1 ZINC000567768670 290494035 /nfs/dbraw/zinc/49/40/35/290494035.db2.gz UVLAIPBTULUPBL-NXEZZACHSA-N 0 0 253.277 2.991 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000360729002 293088062 /nfs/dbraw/zinc/08/80/62/293088062.db2.gz MKZXNOGPCBQQAJ-SDDRHHMPSA-N 0 0 294.351 2.691 20 5 CFBDRN Cc1nc(N2CCCC3(CCOCC3)C2)ccc1[N+](=O)[O-] ZINC000268536851 293293794 /nfs/dbraw/zinc/29/37/94/293293794.db2.gz YONSKRLBZJBVTK-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN Cc1nc(N2CCOC[C@H]2c2ccccc2)ccc1[N+](=O)[O-] ZINC000364407301 293299456 /nfs/dbraw/zinc/29/94/56/293299456.db2.gz JWWJXVUPMWXMJC-HNNXBMFYSA-N 0 0 299.330 2.876 20 5 CFBDRN Cc1nc(N2C[C@H](C)CCC[C@H]2C)ncc1[N+](=O)[O-] ZINC000450347690 293305732 /nfs/dbraw/zinc/30/57/32/293305732.db2.gz IUHFGWSMEMAMHV-NXEZZACHSA-N 0 0 264.329 2.708 20 5 CFBDRN CO[C@@](C)(C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000295301148 294058847 /nfs/dbraw/zinc/05/88/47/294058847.db2.gz JIIHHRISOMBUBB-ZUZCIYMTSA-N 0 0 292.335 2.587 20 5 CFBDRN CO[C@@]1(C)CCCN(c2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000287972076 294134089 /nfs/dbraw/zinc/13/40/89/294134089.db2.gz ZUBHWGPVTIQETG-AWEZNQCLSA-N 0 0 279.340 2.572 20 5 CFBDRN C[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])[C@@H](C)[C@@H](C)O1 ZINC000408445754 297078745 /nfs/dbraw/zinc/07/87/45/297078745.db2.gz ZOESHDDLIWDOJN-KXUCPTDWSA-N 0 0 268.288 2.736 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2c1cc(C)ccc1[N+](=O)[O-] ZINC000286449961 294704107 /nfs/dbraw/zinc/70/41/07/294704107.db2.gz UCJTTXPCWOTHEK-GWIBLVFBSA-N 0 0 288.347 2.763 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1[C@H](C)CC[C@H]1C ZINC000427389172 295027852 /nfs/dbraw/zinc/02/78/52/295027852.db2.gz FWUUPOVEHNGHNY-NXEZZACHSA-N 0 0 278.308 2.616 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)c1 ZINC000416069816 295253630 /nfs/dbraw/zinc/25/36/30/295253630.db2.gz KLEWJHJJABITFY-AXFHLTTASA-N 0 0 292.335 2.720 20 5 CFBDRN COc1cccc(NC[C@](C)(OC)C2CC2)c1[N+](=O)[O-] ZINC000292405281 295307124 /nfs/dbraw/zinc/30/71/24/295307124.db2.gz SCPYCXDGVMOAQY-AWEZNQCLSA-N 0 0 280.324 2.830 20 5 CFBDRN Nc1ccc(N2CCC[C@H](OCC3CC3)C2)c([N+](=O)[O-])c1 ZINC000376431340 295353538 /nfs/dbraw/zinc/35/35/38/295353538.db2.gz ZVTKBHVDKHJIRA-ZDUSSCGKSA-N 0 0 291.351 2.572 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC3(CCC3)CC2)c1 ZINC000367875505 295355675 /nfs/dbraw/zinc/35/56/75/295355675.db2.gz UNQIRDKEDUYRRQ-UHFFFAOYSA-N 0 0 261.325 2.948 20 5 CFBDRN CSC[C@H]1CCCN(c2ncc(C)cc2[N+](=O)[O-])C1 ZINC000292184713 295625351 /nfs/dbraw/zinc/62/53/51/295625351.db2.gz FSWKPZIOKWLXOD-NSHDSACASA-N 0 0 281.381 2.878 20 5 CFBDRN C[C@H]1CN(C)c2ccccc2CN1c1ncccc1[N+](=O)[O-] ZINC000301555003 300228201 /nfs/dbraw/zinc/22/82/01/300228201.db2.gz IFQBSCBWESHBAH-LBPRGKRZSA-N 0 0 298.346 2.835 20 5 CFBDRN C[C@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000118663207 300453311 /nfs/dbraw/zinc/45/33/11/300453311.db2.gz FRZNCXYXFMFZTQ-DCAQKATOSA-N 0 0 278.308 2.981 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000335693724 300486967 /nfs/dbraw/zinc/48/69/67/300486967.db2.gz UNJNYCODHFGPSG-IUCAKERBSA-N 0 0 273.292 2.699 20 5 CFBDRN C[C@]1(O)CCCN(c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000235722182 300847546 /nfs/dbraw/zinc/84/75/46/300847546.db2.gz JVHXMACMCUWVSO-LBPRGKRZSA-N 0 0 270.716 2.599 20 5 CFBDRN Cc1cccc(C(=O)N2CCS[C@H](C)CC2)c1[N+](=O)[O-] ZINC000191949278 301951533 /nfs/dbraw/zinc/95/15/33/301951533.db2.gz UUEQSUOFDAIOHO-LLVKDONJSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@H](C)S[C@@H](C)C2)c1[N+](=O)[O-] ZINC000335726098 301954236 /nfs/dbraw/zinc/95/42/36/301954236.db2.gz FNJUQECKMRVOJU-QWRGUYRKSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1cccc(N2CCCO[C@H](C)C2)c1[N+](=O)[O-] ZINC000188374997 301997622 /nfs/dbraw/zinc/99/76/22/301997622.db2.gz NCYIAKZZQUZSGZ-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H]2CCCC[C@H]21 ZINC000367831734 302039230 /nfs/dbraw/zinc/03/92/30/302039230.db2.gz KIHGEULFIWOEND-VXGBXAGGSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H](C)O[C@@H](C)C1 ZINC000129521185 302040827 /nfs/dbraw/zinc/04/08/27/302040827.db2.gz HGFJPBJBSUXSEQ-PHIMTYICSA-N 0 0 250.298 2.517 20 5 CFBDRN Cc1ccnc(N2CCCC3(CCOCC3)C2)c1[N+](=O)[O-] ZINC000266283496 302269800 /nfs/dbraw/zinc/26/98/00/302269800.db2.gz UWXPDGBEDPVJJF-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN Cc1ccnc(N2CCOCC3(CCCC3)C2)c1[N+](=O)[O-] ZINC000302332022 302272276 /nfs/dbraw/zinc/27/22/76/302272276.db2.gz AAATWYSJNGFVTK-UHFFFAOYSA-N 0 0 291.351 2.695 20 5 CFBDRN Cn1cccc1[C@H]1CCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294587893 302982078 /nfs/dbraw/zinc/98/20/78/302982078.db2.gz YJPPWXYMGFGEMA-CYBMUJFWSA-N 0 0 293.367 2.524 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC2(CCCCC2)CC1 ZINC000338723905 303020020 /nfs/dbraw/zinc/02/00/20/303020020.db2.gz XSEHFQCAYHZMFB-UHFFFAOYSA-N 0 0 278.356 2.879 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@]3(CCCCO3)C2)c1 ZINC000367169505 303497775 /nfs/dbraw/zinc/49/77/75/303497775.db2.gz FSCJTSAYFXGKPE-OAHLLOKOSA-N 0 0 291.351 2.717 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCO[C@H](c3ccccc3)C2)c1 ZINC000193381737 303498231 /nfs/dbraw/zinc/49/82/31/303498231.db2.gz UONRUGHDUBXIPQ-INIZCTEOSA-N 0 0 299.330 2.755 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCOc2c(F)cccc2C1 ZINC000378044947 304811167 /nfs/dbraw/zinc/81/11/67/304811167.db2.gz JIGRJHRXCALHSA-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN Cc1c(Cl)cccc1NC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000159593230 322325022 /nfs/dbraw/zinc/32/50/22/322325022.db2.gz DMXXVROGSFAWHF-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cc(CSc2ccc([N+](=O)[O-])cn2)no1 ZINC000159613939 322325206 /nfs/dbraw/zinc/32/52/06/322325206.db2.gz FDGNFGILAGQWIH-UHFFFAOYSA-N 0 0 251.267 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2noc(C3CC3)n2)nc1 ZINC000159757501 322327414 /nfs/dbraw/zinc/32/74/14/322327414.db2.gz DCCJMZTUZPOSAQ-UHFFFAOYSA-N 0 0 278.293 2.543 20 5 CFBDRN O=C(C1=CCCC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161263408 322349249 /nfs/dbraw/zinc/34/92/49/322349249.db2.gz RGWMTRAOUKWQIF-UHFFFAOYSA-N 0 0 272.304 2.590 20 5 CFBDRN CCOC(=O)c1ccnc(N2[C@H](C)CC[C@@H]2C)c1[N+](=O)[O-] ZINC000161389429 322350989 /nfs/dbraw/zinc/35/09/89/322350989.db2.gz BHIKHUJSVNFBJV-AOOOYVTPSA-N 0 0 293.323 2.544 20 5 CFBDRN C[C@@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000161413971 322351409 /nfs/dbraw/zinc/35/14/09/322351409.db2.gz KKWFLRAPMYBCQJ-GHMZBOCLSA-N 0 0 287.319 2.980 20 5 CFBDRN CS[C@@H](C)CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161504763 322352778 /nfs/dbraw/zinc/35/27/78/322352778.db2.gz WGHKPKPZZXLPTQ-JTQLQIEISA-N 0 0 294.376 2.621 20 5 CFBDRN Cc1ccc(C(=O)N2[C@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000161528908 322353357 /nfs/dbraw/zinc/35/33/57/322353357.db2.gz GIPVNFKHEBBCAG-PHIMTYICSA-N 0 0 262.309 2.916 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1ccccc1C(F)(F)F ZINC000161677075 322355928 /nfs/dbraw/zinc/35/59/28/322355928.db2.gz UYORQTGCUQDVNZ-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1[C@H](C)CC[C@@H]1C ZINC000162001629 322359379 /nfs/dbraw/zinc/35/93/79/322359379.db2.gz NRFCNLDIGRFUIU-PHIMTYICSA-N 0 0 262.309 2.916 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1[C@H](C)CC[C@@H]1C ZINC000162088660 322360360 /nfs/dbraw/zinc/36/03/60/322360360.db2.gz XSKILSSHGSTNPX-PHIMTYICSA-N 0 0 262.309 2.916 20 5 CFBDRN Cn1ncnc1NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1 ZINC000162184926 322361444 /nfs/dbraw/zinc/36/14/44/322361444.db2.gz MCDGRUQPHASIKG-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN CC(C)c1nsc(Oc2ccc([N+](=O)[O-])c(CO)c2)n1 ZINC000569545851 322479704 /nfs/dbraw/zinc/47/97/04/322479704.db2.gz CNQGSGSTDFEJMY-UHFFFAOYSA-N 0 0 295.320 2.854 20 5 CFBDRN CC[C@@H](F)Cn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000569810442 322498202 /nfs/dbraw/zinc/49/82/02/322498202.db2.gz JHLNIQNTCIWQPH-SNVBAGLBSA-N 0 0 264.256 2.658 20 5 CFBDRN Cc1nc(N2CCC[C@H]2C2CCCC2)ncc1[N+](=O)[O-] ZINC000570913151 322558600 /nfs/dbraw/zinc/55/86/00/322558600.db2.gz CAVJITGZADMNJJ-LBPRGKRZSA-N 0 0 276.340 2.852 20 5 CFBDRN CSCCCn1ccc2ccc([N+](=O)[O-])cc2c1=O ZINC000572158256 322609453 /nfs/dbraw/zinc/60/94/53/322609453.db2.gz IBTLDNAMXWUTCI-UHFFFAOYSA-N 0 0 278.333 2.663 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCC3(CCOCC3)C2)c(F)c1 ZINC000572581896 322624121 /nfs/dbraw/zinc/62/41/21/322624121.db2.gz LDZGFXZOFWDIBZ-UHFFFAOYSA-N 0 0 295.314 2.526 20 5 CFBDRN Cc1cc(CNc2nc3c(cc2[N+](=O)[O-])CCCC3)on1 ZINC000572597783 322624882 /nfs/dbraw/zinc/62/48/82/322624882.db2.gz OFXXZDQUHMKHBE-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000573689814 322665390 /nfs/dbraw/zinc/66/53/90/322665390.db2.gz UXZJUKOTYKAXMT-GXFFZTMASA-N 0 0 295.339 2.513 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000573768238 322667927 /nfs/dbraw/zinc/66/79/27/322667927.db2.gz VSPGWSQLLZXQDD-OCCSQVGLSA-N 0 0 299.330 2.668 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC1(C2CC2)CC1 ZINC000574307823 322688502 /nfs/dbraw/zinc/68/85/02/322688502.db2.gz MUTUOKQBLXNRTF-UHFFFAOYSA-N 0 0 274.320 2.884 20 5 CFBDRN C[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CC2(CCC2)O1 ZINC000574634409 322699968 /nfs/dbraw/zinc/69/99/68/322699968.db2.gz QRTCAFRZNQJMOU-VIFPVBQESA-N 0 0 297.742 2.791 20 5 CFBDRN C[C@H](CO)CCCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000575055385 322716678 /nfs/dbraw/zinc/71/66/78/322716678.db2.gz QZCOKAUVCLYMTF-NSHDSACASA-N 0 0 293.367 2.689 20 5 CFBDRN Cc1cnc(N[C@@H]2CCC[C@H](F)C2)c([N+](=O)[O-])c1 ZINC000575177037 322721104 /nfs/dbraw/zinc/72/11/04/322721104.db2.gz OLFSYJSMEDHGTR-VHSXEESVSA-N 0 0 253.277 2.991 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1C[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000575633154 322727071 /nfs/dbraw/zinc/72/70/71/322727071.db2.gz LGJQEZMACHSJBB-XEGUGMAKSA-N 0 0 288.347 2.861 20 5 CFBDRN CC[C@H](C)C[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)C(=O)OC ZINC000575746608 322727733 /nfs/dbraw/zinc/72/77/33/322727733.db2.gz YCWAGTIAWCQGFG-GXSJLCMTSA-N 0 0 295.339 2.688 20 5 CFBDRN Cc1cnc(CNC(=O)Nc2cccc([N+](=O)[O-])c2)s1 ZINC000174178779 323644004 /nfs/dbraw/zinc/64/40/04/323644004.db2.gz MCUYKFWZDDPGGH-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cc(F)ccc1O ZINC000179643939 323753950 /nfs/dbraw/zinc/75/39/50/323753950.db2.gz HPLGQZWMJFBFPG-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN CO[C@@H](C)CSCCOc1cccc([N+](=O)[O-])c1 ZINC000181142537 323802182 /nfs/dbraw/zinc/80/21/82/323802182.db2.gz WLZDIGRPERKOPN-JTQLQIEISA-N 0 0 271.338 2.742 20 5 CFBDRN O=[N+]([O-])c1cccc(CNc2nnc(-c3ccco3)o2)c1 ZINC000181485288 323812698 /nfs/dbraw/zinc/81/26/98/323812698.db2.gz UFBIKEPZOOVXCM-UHFFFAOYSA-N 0 0 286.247 2.850 20 5 CFBDRN Cc1cc(F)ccc1Cn1cc([N+](=O)[O-])c(C)cc1=O ZINC000182086084 323842113 /nfs/dbraw/zinc/84/21/13/323842113.db2.gz JVNZVFSANZDYFG-UHFFFAOYSA-N 0 0 276.267 2.561 20 5 CFBDRN C[C@@H](C(=O)NCc1ccco1)c1ccc([N+](=O)[O-])cc1F ZINC000182151821 323845239 /nfs/dbraw/zinc/84/52/39/323845239.db2.gz WBWPQTKQCMLVDO-SECBINFHSA-N 0 0 292.266 2.747 20 5 CFBDRN Cc1ncc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)c(C)n1 ZINC000182411507 323863186 /nfs/dbraw/zinc/86/31/86/323863186.db2.gz IGUTTZXEVURHPL-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)Nc2ccncc2[N+](=O)[O-])C[C@@H]1C ZINC000183442855 323917509 /nfs/dbraw/zinc/91/75/09/323917509.db2.gz GPYPOMTWJWKITP-VWYCJHECSA-N 0 0 292.339 2.936 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)Nc2ccon2)cc1[N+](=O)[O-] ZINC000183592090 323922865 /nfs/dbraw/zinc/92/28/65/323922865.db2.gz FSUYOEDYFXNXMR-VIFPVBQESA-N 0 0 290.279 2.774 20 5 CFBDRN COc1cccc(NCCc2ccc(C)nc2)c1[N+](=O)[O-] ZINC000185400367 323966132 /nfs/dbraw/zinc/96/61/32/323966132.db2.gz GAGNDEGNEHZAQH-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN O=C(NC1Cc2ccccc2C1)c1ccc([N+](=O)[O-])s1 ZINC000185698876 323969774 /nfs/dbraw/zinc/96/97/74/323969774.db2.gz YYPIXFYTYZMWGZ-UHFFFAOYSA-N 0 0 288.328 2.554 20 5 CFBDRN Cc1cccc(NCCCOC[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000188383109 324014059 /nfs/dbraw/zinc/01/40/59/324014059.db2.gz MGKSMGXXQIMSJP-ZDUSSCGKSA-N 0 0 294.351 2.758 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1ccc(F)c(Cl)c1 ZINC000188721674 324019742 /nfs/dbraw/zinc/01/97/42/324019742.db2.gz UZVMSAVDGUFYOH-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1cc(Cl)ccc1F ZINC000188992777 324024087 /nfs/dbraw/zinc/02/40/87/324024087.db2.gz RWRMFBVZGHTZGH-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN Cc1c(C(=O)N2CCS[C@H](C)CC2)cccc1[N+](=O)[O-] ZINC000191343697 324048225 /nfs/dbraw/zinc/04/82/25/324048225.db2.gz AYNMWLRGKQZSJI-SNVBAGLBSA-N 0 0 294.376 2.871 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCCC[C@@H]1C ZINC000192899593 324066432 /nfs/dbraw/zinc/06/64/32/324066432.db2.gz BQBJJRFGYFMIRD-NSHDSACASA-N 0 0 262.309 2.918 20 5 CFBDRN CCC[C@@H](C)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000193585059 324073832 /nfs/dbraw/zinc/07/38/32/324073832.db2.gz MBVFMFOYJBUILU-SNVBAGLBSA-N 0 0 280.324 2.656 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCSC[C@H]1CCCO1 ZINC000194170893 324083080 /nfs/dbraw/zinc/08/30/80/324083080.db2.gz TWZLVKKCYJUBJH-LLVKDONJSA-N 0 0 283.349 2.886 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])NCCC1CCCC1 ZINC000194912846 324097437 /nfs/dbraw/zinc/09/74/37/324097437.db2.gz HMRBSXLBQDGWEJ-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(-c3cscn3)no2)s1 ZINC000350542885 324227118 /nfs/dbraw/zinc/22/71/18/324227118.db2.gz JPHSMBAQWVTCNO-UHFFFAOYSA-N 0 0 280.290 2.830 20 5 CFBDRN Cc1cc(Cc2noc(-c3ccon3)n2)ccc1[N+](=O)[O-] ZINC000350655588 324252501 /nfs/dbraw/zinc/25/25/01/324252501.db2.gz FEJVNJOCKGITRM-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN Cc1ccc(-c2noc(-c3ccc([N+](=O)[O-])n3C)n2)o1 ZINC000350804869 324260130 /nfs/dbraw/zinc/26/01/30/324260130.db2.gz LJTROLLBEMQSTQ-UHFFFAOYSA-N 0 0 274.236 2.552 20 5 CFBDRN CC(C)COCCc1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350819784 324263422 /nfs/dbraw/zinc/26/34/22/324263422.db2.gz FZVVMECWCQFEPV-UHFFFAOYSA-N 0 0 297.336 2.921 20 5 CFBDRN CC1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CC1 ZINC000350862660 324271602 /nfs/dbraw/zinc/27/16/02/324271602.db2.gz HAGWCXADEBLMPG-UHFFFAOYSA-N 0 0 251.267 2.758 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C/c2nc(-c3cocn3)no2)c1 ZINC000350879998 324276353 /nfs/dbraw/zinc/27/63/53/324276353.db2.gz QIKDJDOVXUWSCK-SNAWJCMRSA-N 0 0 284.231 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCSC3)n2)s1 ZINC000350893713 324279335 /nfs/dbraw/zinc/27/93/35/324279335.db2.gz WXSOLPXCRJCONY-LURJTMIESA-N 0 0 283.334 2.927 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc([C@H]2CCC(C)(C)C2)n1 ZINC000350912130 324281835 /nfs/dbraw/zinc/28/18/35/324281835.db2.gz WDALYGMOTLZQPK-QMMMGPOBSA-N 0 0 291.311 2.672 20 5 CFBDRN Cc1ocnc1-c1noc(-c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000351043195 324298687 /nfs/dbraw/zinc/29/86/87/324298687.db2.gz UQHIJYYDCNRMKS-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN COc1cc(-c2nc([C@@H]3C[C@@H]3C)no2)c([N+](=O)[O-])cc1F ZINC000351081392 324306185 /nfs/dbraw/zinc/30/61/85/324306185.db2.gz KPCACFHDWCJNHN-NKWVEPMBSA-N 0 0 293.254 2.916 20 5 CFBDRN C[C@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C(F)(F)F ZINC000351141520 324325218 /nfs/dbraw/zinc/32/52/18/324325218.db2.gz CPLUIXWAFGCQHG-SCSAIBSYSA-N 0 0 277.158 2.904 20 5 CFBDRN CCCSCc1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351144286 324326710 /nfs/dbraw/zinc/32/67/10/324326710.db2.gz HRETWEWZEUPYGJ-UHFFFAOYSA-N 0 0 269.282 2.881 20 5 CFBDRN COCCC(C)(C)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351145755 324326751 /nfs/dbraw/zinc/32/67/51/324326751.db2.gz KNORJTLENVSTKK-UHFFFAOYSA-N 0 0 281.268 2.552 20 5 CFBDRN CO[C@@H]1CCC[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)C1 ZINC000351144244 324327118 /nfs/dbraw/zinc/32/71/18/324327118.db2.gz HPLFFGXRPBJYOQ-RKDXNWHRSA-N 0 0 293.279 2.910 20 5 CFBDRN CC[C@@H]1C[C@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCO1 ZINC000351147781 324328510 /nfs/dbraw/zinc/32/85/10/324328510.db2.gz ORYWABDLYQTJGN-RKDXNWHRSA-N 0 0 293.279 2.910 20 5 CFBDRN C[C@H]1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCC1=O ZINC000351151254 324329788 /nfs/dbraw/zinc/32/97/88/324329788.db2.gz VFFNQNOOYWXTOX-YUMQZZPRSA-N 0 0 291.263 2.711 20 5 CFBDRN CCOCCCc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351151245 324330245 /nfs/dbraw/zinc/33/02/45/324330245.db2.gz VDGGVKKNTPKWRU-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN CCC[C@H](OC)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351152302 324330720 /nfs/dbraw/zinc/33/07/20/324330720.db2.gz WLQYMQGJKDNDIY-QMMMGPOBSA-N 0 0 267.241 2.725 20 5 CFBDRN C[C@@H](c1nc(-c2ccc([N+](=O)[O-])o2)no1)C(C)(F)F ZINC000351152822 324330902 /nfs/dbraw/zinc/33/09/02/324330902.db2.gz XAIAZABAQGKIFE-YFKPBYRVSA-N 0 0 273.195 2.997 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CC[C@@H]3CCCCO3)n2)o1 ZINC000351154019 324331673 /nfs/dbraw/zinc/33/16/73/324331673.db2.gz YUGQSMJNJLCICI-VIFPVBQESA-N 0 0 293.279 2.740 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3cc(C4CC4)no3)n2)o1 ZINC000351251793 324360706 /nfs/dbraw/zinc/36/07/06/324360706.db2.gz BLXDOWKHIOQFJJ-UHFFFAOYSA-N 0 0 288.219 2.770 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1-c1noc(/C=C/C2CCCC2)n1 ZINC000351370130 324392810 /nfs/dbraw/zinc/39/28/10/324392810.db2.gz PANHXRDHGNFLHO-VOTSOKGWSA-N 0 0 289.295 2.582 20 5 CFBDRN Cc1cc(N[C@@H](CCO)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000382209531 324426482 /nfs/dbraw/zinc/42/64/82/324426482.db2.gz IAOAKXVKIIFVOS-NSHDSACASA-N 0 0 270.304 2.861 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](C)O ZINC000386829702 324483008 /nfs/dbraw/zinc/48/30/08/324483008.db2.gz VRVROLRFHYLSLM-XCBNKYQSSA-N 0 0 258.705 2.820 20 5 CFBDRN CCOc1cccc(N[C@H]2CC23CCOCC3)c1[N+](=O)[O-] ZINC000387139477 324487836 /nfs/dbraw/zinc/48/78/36/324487836.db2.gz NKWSNCZOQHOJSU-ZDUSSCGKSA-N 0 0 292.335 2.975 20 5 CFBDRN COc1cc(N[C@H]2CC23CCOCC3)ccc1[N+](=O)[O-] ZINC000387189812 324489298 /nfs/dbraw/zinc/48/92/98/324489298.db2.gz WGTNVAFHHNYPOM-ZDUSSCGKSA-N 0 0 278.308 2.584 20 5 CFBDRN CC[C@@H]1C[C@H]1CNc1c([N+](=O)[O-])nc(C)n1CC ZINC000387970578 324499228 /nfs/dbraw/zinc/49/92/28/324499228.db2.gz FYZSWDAEMKUQSS-ZJUUUORDSA-N 0 0 252.318 2.578 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](O)CC(C)(C)C2)c1 ZINC000395339787 324540273 /nfs/dbraw/zinc/54/02/73/324540273.db2.gz BFCRYQMXNHEXNY-LLVKDONJSA-N 0 0 294.351 2.591 20 5 CFBDRN COc1cc(N[C@H]2CSC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000397816924 324548911 /nfs/dbraw/zinc/54/89/11/324548911.db2.gz RWZQGYVPNPRVBR-WPRPVWTQSA-N 0 0 268.338 2.767 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000398764597 324552461 /nfs/dbraw/zinc/55/24/61/324552461.db2.gz ADAIJCJOHFXTKP-XQQFMLRXSA-N 0 0 276.336 2.500 20 5 CFBDRN COC1(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CCCC1 ZINC000576054525 324572980 /nfs/dbraw/zinc/57/29/80/324572980.db2.gz WCRLFTTUKZDSEX-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN CC[C@H]1CCN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 ZINC000576926110 324676246 /nfs/dbraw/zinc/67/62/46/324676246.db2.gz JHTDLBOZAYFZHV-RYUDHWBXSA-N 0 0 276.336 2.957 20 5 CFBDRN CC(=O)c1cc(N2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000577313909 324721325 /nfs/dbraw/zinc/72/13/25/324721325.db2.gz BGTRACPZFCSTKW-JTQLQIEISA-N 0 0 266.272 2.736 20 5 CFBDRN C[C@H](C(=O)N1C[C@H](C)[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000577340646 324724431 /nfs/dbraw/zinc/72/44/31/324724431.db2.gz KJHKKOSIBQDHAX-SRVKXCTJSA-N 0 0 276.336 2.813 20 5 CFBDRN CCc1nn(C)c(Nc2cccc(C)c2)c1[N+](=O)[O-] ZINC000578670148 324877402 /nfs/dbraw/zinc/87/74/02/324877402.db2.gz MSZGSMHVIYGYOU-UHFFFAOYSA-N 0 0 260.297 2.943 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@H](C)[C@@H](C)[C@H]1C ZINC000579572822 324961250 /nfs/dbraw/zinc/96/12/50/324961250.db2.gz ORVKZNGXNFLQRO-IQJOONFLSA-N 0 0 266.345 2.600 20 5 CFBDRN Cc1cc(N2CCOC[C@H]2C2CCC2)ccc1[N+](=O)[O-] ZINC000580711434 325057550 /nfs/dbraw/zinc/05/75/50/325057550.db2.gz DFTKMZUIOMTTJU-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN CCO[C@H](CC)C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000580808137 325065151 /nfs/dbraw/zinc/06/51/51/325065151.db2.gz CCXNRHKCLHVCBP-CYBMUJFWSA-N 0 0 280.324 2.911 20 5 CFBDRN CCO[C@H]1C[C@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000580879720 325071680 /nfs/dbraw/zinc/07/16/80/325071680.db2.gz CHCPZGUSNFGVSL-RYUDHWBXSA-N 0 0 297.330 2.769 20 5 CFBDRN CC(C)C(C)(C)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000580967782 325079586 /nfs/dbraw/zinc/07/95/86/325079586.db2.gz LMXYCHLAFBAWSQ-UHFFFAOYSA-N 0 0 290.323 2.636 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CCC[C@H](F)C1 ZINC000581133091 325093848 /nfs/dbraw/zinc/09/38/48/325093848.db2.gz SHOSZDOKPXTGJQ-STQMWFEESA-N 0 0 294.326 2.924 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CC(C(F)(F)F)C1 ZINC000581218621 325100926 /nfs/dbraw/zinc/10/09/26/325100926.db2.gz UOTIMQAQRWOMDS-UHFFFAOYSA-N 0 0 290.241 2.598 20 5 CFBDRN CC(C)[C@@H](O)CCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000581426151 325119859 /nfs/dbraw/zinc/11/98/59/325119859.db2.gz HGBMJACKTVICOS-AWEZNQCLSA-N 0 0 293.367 2.688 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1cc2c([nH]1)CCCC2 ZINC000581528815 325129048 /nfs/dbraw/zinc/12/90/48/325129048.db2.gz JOMGRQMPGUCVLQ-UHFFFAOYSA-N 0 0 299.330 2.732 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CC=CCC1 ZINC000581550506 325131749 /nfs/dbraw/zinc/13/17/49/325131749.db2.gz BWMXSPGLCHNVKV-JTQLQIEISA-N 0 0 275.308 2.931 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])c(NC)n1)[C@H](C)C(C)C ZINC000581557755 325132516 /nfs/dbraw/zinc/13/25/16/325132516.db2.gz UBVNPPOVGVJSRZ-SNVBAGLBSA-N 0 0 294.355 2.538 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@]2(F)CCOC2)n1 ZINC000581936361 325161058 /nfs/dbraw/zinc/16/10/58/325161058.db2.gz MZVRQBMRBVRGSF-CYBMUJFWSA-N 0 0 293.254 2.538 20 5 CFBDRN CC(C)[C@@H](C)N(C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000582042593 325171007 /nfs/dbraw/zinc/17/10/07/325171007.db2.gz HZWADPLXUJOREW-SECBINFHSA-N 0 0 262.313 2.952 20 5 CFBDRN CO[C@H](C)CCNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000582417102 325203367 /nfs/dbraw/zinc/20/33/67/325203367.db2.gz DTXMQSAUBBLTPC-SNVBAGLBSA-N 0 0 279.340 2.706 20 5 CFBDRN Cc1ccc([C@@H]2C[C@H]2NC(=O)c2ccc([N+](=O)[O-])o2)cc1 ZINC000582421716 325203575 /nfs/dbraw/zinc/20/35/75/325203575.db2.gz NITKHPWQNFJJGI-NWDGAFQWSA-N 0 0 286.287 2.782 20 5 CFBDRN C[C@@H]1C[C@H](C(C)(C)C)CCN1c1c([N+](=O)[O-])ncn1C ZINC000582808653 325236029 /nfs/dbraw/zinc/23/60/29/325236029.db2.gz YYAZCLSJCAYRDF-GHMZBOCLSA-N 0 0 280.372 2.979 20 5 CFBDRN C[C@H](C(=O)N1CC[C@@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000583066205 325257570 /nfs/dbraw/zinc/25/75/70/325257570.db2.gz BZLGOKNLFKGIPF-IINYFYTJSA-N 0 0 274.320 2.709 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NC3(CF)CCC3)cc2N1 ZINC000583690953 325303946 /nfs/dbraw/zinc/30/39/46/325303946.db2.gz PBWPGGUAXSBOFK-UHFFFAOYSA-N 0 0 293.298 2.784 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCCn1ccnc1C ZINC000583960103 325321435 /nfs/dbraw/zinc/32/14/35/325321435.db2.gz IMAKJAAOSUTFPZ-UHFFFAOYSA-N 0 0 290.323 2.611 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000584115886 325331394 /nfs/dbraw/zinc/33/13/94/325331394.db2.gz YMMJGMOLLRMRCX-NXEZZACHSA-N 0 0 295.314 2.647 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)C[C@@H]1CCCCO1 ZINC000584241937 325341007 /nfs/dbraw/zinc/34/10/07/325341007.db2.gz AEQKNYFLYMPBSW-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cn1)C1=CCCC1 ZINC000584276224 325343093 /nfs/dbraw/zinc/34/30/93/325343093.db2.gz JVYYXVRVVYWUOF-UHFFFAOYSA-N 0 0 289.335 2.856 20 5 CFBDRN C[C@H]1COC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000829437417 782130076 /nfs/dbraw/zinc/13/00/76/782130076.db2.gz GLGLIDISXTXZLD-TVQRCGJNSA-N 0 0 273.292 2.590 20 5 CFBDRN CCCn1nccc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000338688694 519891796 /nfs/dbraw/zinc/89/17/96/519891796.db2.gz DJTRTTBQAXWLLB-UHFFFAOYSA-N 0 0 280.309 2.515 20 5 CFBDRN C/C=C\C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000600808389 500571751 /nfs/dbraw/zinc/57/17/51/500571751.db2.gz ZVHPDGWVRISLHC-VSQXVHSFSA-N 0 0 291.351 2.752 20 5 CFBDRN Cc1c[nH]c(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000269561666 500991906 /nfs/dbraw/zinc/99/19/06/500991906.db2.gz YPADXCIZSVIRBH-UHFFFAOYSA-N 0 0 288.307 2.543 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCCC[C@H]1C(F)F ZINC000334468790 501075886 /nfs/dbraw/zinc/07/58/86/501075886.db2.gz YJYYJIROKZLJIH-ZETCQYMHSA-N 0 0 290.291 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NC[C@H]3CCSC3)nc2c1 ZINC000228585039 501083136 /nfs/dbraw/zinc/08/31/36/501083136.db2.gz XOTUYXDSFPHJBE-MRVPVSSYSA-N 0 0 278.337 2.636 20 5 CFBDRN C[C@@H]1C[C@H](CNc2c(F)cccc2[N+](=O)[O-])[C@H](C)O1 ZINC000413542835 533875990 /nfs/dbraw/zinc/87/59/90/533875990.db2.gz XJJYBNZNCAFATI-KXUCPTDWSA-N 0 0 268.288 2.959 20 5 CFBDRN Cc1ccc(C[NH2+][C@H]2CCC[C@]2(C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000426752533 534090116 /nfs/dbraw/zinc/09/01/16/534090116.db2.gz AQGXOKKOUDEEQB-ZFWWWQNUSA-N 0 0 292.335 2.636 20 5 CFBDRN C[C@@H](Cc1ccccc1[N+](=O)[O-])N[C@@H](C)c1csnn1 ZINC000414307975 534254239 /nfs/dbraw/zinc/25/42/39/534254239.db2.gz SGNCTLLBYCTWNL-UWVGGRQHSA-N 0 0 292.364 2.728 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1C ZINC000174190832 534340867 /nfs/dbraw/zinc/34/08/67/534340867.db2.gz DCIJQZMPFCQALC-JTQLQIEISA-N 0 0 263.297 2.919 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCc1cc(C)on1 ZINC000160777889 518293962 /nfs/dbraw/zinc/29/39/62/518293962.db2.gz NCQSPMJSANGJKY-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1C[C@@H]2[C@H](C1)C2(C)C ZINC000360989614 518411856 /nfs/dbraw/zinc/41/18/56/518411856.db2.gz FBQBFCMPUHSQKR-BETUJISGSA-N 0 0 274.320 2.890 20 5 CFBDRN CC(=O)c1cnc(NC(=O)c2ccc([N+](=O)[O-])cc2)s1 ZINC000078796091 518484170 /nfs/dbraw/zinc/48/41/70/518484170.db2.gz KXBMBYXUGWKNCI-UHFFFAOYSA-N 0 0 291.288 2.506 20 5 CFBDRN CC(C)(C(=O)NCCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000360800304 518521461 /nfs/dbraw/zinc/52/14/61/518521461.db2.gz GKRKHMNOAJQHLN-UHFFFAOYSA-N 0 0 282.315 2.738 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000265816956 534359932 /nfs/dbraw/zinc/35/99/32/534359932.db2.gz QNEMWEBJWDFCHL-GFCCVEGCSA-N 0 0 276.336 2.787 20 5 CFBDRN C/C=C\c1ccc(NC(=O)c2c([N+](=O)[O-])cnn2C)cc1 ZINC000360320678 518568184 /nfs/dbraw/zinc/56/81/84/518568184.db2.gz VYOYMYWQGIGAQC-ARJAWSKDSA-N 0 0 286.291 2.614 20 5 CFBDRN CC(C)(C)C1CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000335097738 518611579 /nfs/dbraw/zinc/61/15/79/518611579.db2.gz OPQNXVKJOCGIKZ-UHFFFAOYSA-N 0 0 280.299 2.852 20 5 CFBDRN CC(C)(C(=O)Nc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000355827918 534376930 /nfs/dbraw/zinc/37/69/30/534376930.db2.gz BBJNIMVOCIWXIV-UHFFFAOYSA-N 0 0 285.303 2.906 20 5 CFBDRN CC(C)(C)OC(=O)CCSc1ccncc1[N+](=O)[O-] ZINC000340864114 518789595 /nfs/dbraw/zinc/78/95/95/518789595.db2.gz WSVGCOSLHOJNEJ-UHFFFAOYSA-N 0 0 284.337 2.814 20 5 CFBDRN CC(C)(C)c1csc(Cn2cc([N+](=O)[O-])cn2)n1 ZINC000154569615 519083781 /nfs/dbraw/zinc/08/37/81/519083781.db2.gz XULFIAAHFFPTCL-UHFFFAOYSA-N 0 0 266.326 2.594 20 5 CFBDRN Cc1ccnc(NCc2nnc(C3CC3)s2)c1[N+](=O)[O-] ZINC000413579199 534402988 /nfs/dbraw/zinc/40/29/88/534402988.db2.gz KBUCWKOKYJCPJB-UHFFFAOYSA-N 0 0 291.336 2.639 20 5 CFBDRN CC(C)(C)c1nsc(Oc2cccnc2[N+](=O)[O-])n1 ZINC000157711036 519144084 /nfs/dbraw/zinc/14/40/84/519144084.db2.gz CUYRIYZEMZRUGL-UHFFFAOYSA-N 0 0 280.309 2.931 20 5 CFBDRN CC(C)CCN(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000049247285 519650228 /nfs/dbraw/zinc/65/02/28/519650228.db2.gz WREKKRCBBITIGR-UHFFFAOYSA-N 0 0 279.340 2.782 20 5 CFBDRN CC(C)N(CCn1cc([N+](=O)[O-])cn1)c1ccc(F)cc1 ZINC000157887283 519651620 /nfs/dbraw/zinc/65/16/20/519651620.db2.gz FJVYFYKKNURLPW-UHFFFAOYSA-N 0 0 292.314 2.845 20 5 CFBDRN CC(C)CCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000061389215 519664492 /nfs/dbraw/zinc/66/44/92/519664492.db2.gz PUSDLFRZVJXXOE-UHFFFAOYSA-N 0 0 255.245 2.937 20 5 CFBDRN CC(C)CC[C@H](O)COc1cc(N(C)C)ccc1[N+](=O)[O-] ZINC000360690500 519693961 /nfs/dbraw/zinc/69/39/61/519693961.db2.gz NQEGNPXOAANESV-ZDUSSCGKSA-N 0 0 296.367 2.837 20 5 CFBDRN CC(C)C[C@@H](C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000185050524 519770539 /nfs/dbraw/zinc/77/05/39/519770539.db2.gz ODTUPZCENXFDTK-GFCCVEGCSA-N 0 0 293.367 2.805 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000334183737 519803143 /nfs/dbraw/zinc/80/31/43/519803143.db2.gz FABNRQBMMHHQFS-AWEZNQCLSA-N 0 0 287.319 2.947 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000172441337 519811246 /nfs/dbraw/zinc/81/12/46/519811246.db2.gz SOSYZCAMHWYACO-MRVPVSSYSA-N 0 0 256.327 2.678 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000334183741 519825582 /nfs/dbraw/zinc/82/55/82/519825582.db2.gz FABNRQBMMHHQFS-CQSZACIVSA-N 0 0 287.319 2.947 20 5 CFBDRN CCC1(CNc2ccncc2[N+](=O)[O-])CCOCC1 ZINC000311677756 519843361 /nfs/dbraw/zinc/84/33/61/519843361.db2.gz QIMAAYUHUNACNH-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CCNC(=O)c1ccccc1NCc1cccc([N+](=O)[O-])c1 ZINC000172874018 519901364 /nfs/dbraw/zinc/90/13/64/519901364.db2.gz KKKRXHBZWUZEIW-UHFFFAOYSA-N 0 0 299.330 2.957 20 5 CFBDRN CC(C)n1ccc(CSCc2c([N+](=O)[O-])ncn2C)n1 ZINC000357044859 520002890 /nfs/dbraw/zinc/00/28/90/520002890.db2.gz WBTFARAVHZREDC-UHFFFAOYSA-N 0 0 295.368 2.539 20 5 CFBDRN Cc1nc(NC(=O)Cc2cccc(F)c2)ccc1[N+](=O)[O-] ZINC000175667134 534464267 /nfs/dbraw/zinc/46/42/67/534464267.db2.gz DKAYCKSSDSGLKI-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN CC/C=C\CCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000341566419 520202281 /nfs/dbraw/zinc/20/22/81/520202281.db2.gz NOVKDCVQMQKAFY-ARJAWSKDSA-N 0 0 262.265 2.859 20 5 CFBDRN CC1(C)C(CNC(=O)c2cccc([N+](=O)[O-])c2N)C1(C)C ZINC000161506250 520246773 /nfs/dbraw/zinc/24/67/73/520246773.db2.gz WYUCVESOWRXFKQ-UHFFFAOYSA-N 0 0 291.351 2.589 20 5 CFBDRN CC1(C)C(NC(=O)NCc2ccccc2[N+](=O)[O-])C1(C)C ZINC000360235223 520249500 /nfs/dbraw/zinc/24/95/00/520249500.db2.gz SRWNYGDNALCMMP-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN CC1(C)CC(=O)N(Cc2ccc([N+](=O)[O-])cc2Cl)C1=O ZINC000360278763 520272515 /nfs/dbraw/zinc/27/25/15/520272515.db2.gz LQWCNPBAUIAGIE-UHFFFAOYSA-N 0 0 296.710 2.533 20 5 CFBDRN CCCCN(C(=O)c1cc([N+](=O)[O-])cnc1C)C1CC1 ZINC000339195153 520358232 /nfs/dbraw/zinc/35/82/32/520358232.db2.gz JFCQWEUJTWJCKL-UHFFFAOYSA-N 0 0 277.324 2.703 20 5 CFBDRN CCCCN(CCCO)c1cc(C)ccc1[N+](=O)[O-] ZINC000340955936 520508029 /nfs/dbraw/zinc/50/80/29/520508029.db2.gz BCAPAZROIICHQK-UHFFFAOYSA-N 0 0 266.341 2.892 20 5 CFBDRN CCO[C@H](CCNc1c([N+](=O)[O-])c(C)nn1CC)C(C)C ZINC000192641573 520513341 /nfs/dbraw/zinc/51/33/41/520513341.db2.gz UALAFMUUCMCVDZ-GFCCVEGCSA-N 0 0 298.387 2.983 20 5 CFBDRN CCO[C@H]1CCCN(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000067519931 520524607 /nfs/dbraw/zinc/52/46/07/520524607.db2.gz OKDSXBFRAXPOMA-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1nc(N[C@H]2C[C@]2(C)C(C)C)ncc1[N+](=O)[O-] ZINC000413437264 534499447 /nfs/dbraw/zinc/49/94/47/534499447.db2.gz OJEHHJRVJFJTKC-CMPLNLGQSA-N 0 0 250.302 2.540 20 5 CFBDRN CCCCN(CCOC)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000172758900 520539172 /nfs/dbraw/zinc/53/91/72/520539172.db2.gz JURLVMNYWOPWEH-UHFFFAOYSA-N 0 0 282.340 2.856 20 5 CFBDRN CC1(CNc2ncc([N+](=O)[O-])cc2Br)CC1 ZINC000226363097 520738055 /nfs/dbraw/zinc/73/80/55/520738055.db2.gz DBXDSBBABFYIQW-UHFFFAOYSA-N 0 0 286.129 2.964 20 5 CFBDRN CCOc1cc(NCCC[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000228000611 520759621 /nfs/dbraw/zinc/75/96/21/520759621.db2.gz OFTKLECDQDICKL-SNVBAGLBSA-N 0 0 268.313 2.566 20 5 CFBDRN CCOc1cc(NC[C@H](CC)OC)ccc1[N+](=O)[O-] ZINC000311587808 520762491 /nfs/dbraw/zinc/76/24/91/520762491.db2.gz ZENAUOQHMWSDJY-NSHDSACASA-N 0 0 268.313 2.830 20 5 CFBDRN CCC[C@@H]1C[C@@H]1Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] ZINC000231561984 520870742 /nfs/dbraw/zinc/87/07/42/520870742.db2.gz BGJIFBKCXOGCFF-KOLCDFICSA-N 0 0 298.364 2.599 20 5 CFBDRN CCC(C)(C)C(=O)Cn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000314118513 521263176 /nfs/dbraw/zinc/26/31/76/521263176.db2.gz IWYOQEKZODMGFX-UHFFFAOYSA-N 0 0 292.291 2.508 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H]2F)c1 ZINC000340832772 521282083 /nfs/dbraw/zinc/28/20/83/521282083.db2.gz UMLXTZLAXVVOQT-RYUDHWBXSA-N 0 0 296.298 2.614 20 5 CFBDRN CCOc1cccc(NCC(O)(CC)CC)c1[N+](=O)[O-] ZINC000134923985 521398587 /nfs/dbraw/zinc/39/85/87/521398587.db2.gz QFWWGLLNBYLQPU-UHFFFAOYSA-N 0 0 282.340 2.957 20 5 CFBDRN CCOc1ccccc1CNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000053165861 521499784 /nfs/dbraw/zinc/49/97/84/521499784.db2.gz KOBCOEZNMWQXES-UHFFFAOYSA-N 0 0 290.275 2.517 20 5 CFBDRN CCCc1nc(COc2cc([N+](=O)[O-])ccc2OC)no1 ZINC000067097498 521519465 /nfs/dbraw/zinc/51/94/65/521519465.db2.gz KTMWPEKTJMQCDD-UHFFFAOYSA-N 0 0 293.279 2.518 20 5 CFBDRN CCC(CC)NC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000360391261 521583917 /nfs/dbraw/zinc/58/39/17/521583917.db2.gz VHHWLOGGXWKHEQ-UHFFFAOYSA-N 0 0 281.312 2.914 20 5 CFBDRN CCOC1CC(CCNc2c([N+](=O)[O-])nc(C)n2CC)C1 ZINC000340899923 521646768 /nfs/dbraw/zinc/64/67/68/521646768.db2.gz KOQLNWLKCQKFPI-UHFFFAOYSA-N 0 0 296.371 2.737 20 5 CFBDRN CCOCCCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000052456393 521704141 /nfs/dbraw/zinc/70/41/41/521704141.db2.gz QMJFEFOXKCJVKU-UHFFFAOYSA-N 0 0 284.341 2.533 20 5 CFBDRN CCS[C@@H]1CCC[C@@H](Nc2ccncc2[N+](=O)[O-])C1 ZINC000360990954 521724445 /nfs/dbraw/zinc/72/44/45/521724445.db2.gz PDVFGGUTYTZVBK-GHMZBOCLSA-N 0 0 281.381 2.888 20 5 CFBDRN CCS[C@H](C(=O)NCc1ccccc1[N+](=O)[O-])C(C)C ZINC000066315620 521728257 /nfs/dbraw/zinc/72/82/57/521728257.db2.gz LCIFSTJOZYHRQB-ZDUSSCGKSA-N 0 0 296.392 2.989 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000354393883 521879642 /nfs/dbraw/zinc/87/96/42/521879642.db2.gz SPZAGCAJQGKVMP-SMDDNHRTSA-N 0 0 294.351 2.614 20 5 CFBDRN CNC(=O)c1cccc(NCc2cccc([N+](=O)[O-])c2C)c1 ZINC000172861490 521909625 /nfs/dbraw/zinc/90/96/25/521909625.db2.gz BIGSZHSFZALKTG-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CNC(=O)c1ccccc1NCc1csc([N+](=O)[O-])c1 ZINC000360347337 521918598 /nfs/dbraw/zinc/91/85/98/521918598.db2.gz FNPWDGLRFRJMRO-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN CC[C@@H](C)CC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360515181 521941172 /nfs/dbraw/zinc/94/11/72/521941172.db2.gz MUSSNANHEUOLMY-SNVBAGLBSA-N 0 0 264.325 2.956 20 5 CFBDRN COC(=O)c1ccc(OCCC(C)(C)OC)c([N+](=O)[O-])c1 ZINC000340666005 522141351 /nfs/dbraw/zinc/14/13/51/522141351.db2.gz CKHISLWDCPTOPM-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN COc1cc(C(=O)Nc2nccs2)cc([N+](=O)[O-])c1C ZINC000191381855 522167394 /nfs/dbraw/zinc/16/73/94/522167394.db2.gz DZPJVUJIOVECDH-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CC[C@H](CCO)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000310804341 522167919 /nfs/dbraw/zinc/16/79/19/522167919.db2.gz BBCLOGYGRBQUDJ-SECBINFHSA-N 0 0 256.277 2.615 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000360710207 522274440 /nfs/dbraw/zinc/27/44/40/522274440.db2.gz BATBMYTWBWWEDX-RKDXNWHRSA-N 0 0 284.287 2.591 20 5 CFBDRN CC[C@@H](C)[C@H](C)Nc1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000340013107 522363495 /nfs/dbraw/zinc/36/34/95/522363495.db2.gz HSHSJBJKSCONRS-SCZZXKLOSA-N 0 0 295.339 2.931 20 5 CFBDRN CNc1c(C(=O)N(C)Cc2ccoc2)cccc1[N+](=O)[O-] ZINC000361163760 522533750 /nfs/dbraw/zinc/53/37/50/522533750.db2.gz ZUWIIAAZQNXTQC-UHFFFAOYSA-N 0 0 289.291 2.502 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2CCC[C@H]2C)cccc1[N+](=O)[O-] ZINC000161482879 522539875 /nfs/dbraw/zinc/53/98/75/522539875.db2.gz BAHPVCSHMPHCKM-MWLCHTKSSA-N 0 0 277.324 2.555 20 5 CFBDRN COc1cc(Cn2cnc([N+](=O)[O-])c2)ccc1SC ZINC000068050219 522560130 /nfs/dbraw/zinc/56/01/30/522560130.db2.gz BEZAEYMQPJEYBP-UHFFFAOYSA-N 0 0 279.321 2.570 20 5 CFBDRN CCc1ncnc(NCc2ccccc2[N+](=O)[O-])c1F ZINC000217977653 522610457 /nfs/dbraw/zinc/61/04/57/522610457.db2.gz ZYYLOEDZOONICT-UHFFFAOYSA-N 0 0 276.271 2.698 20 5 CFBDRN CCc1nn(C)c(NCCCC(C)(C)COC)c1[N+](=O)[O-] ZINC000340876468 522666531 /nfs/dbraw/zinc/66/65/31/522666531.db2.gz MQSVQZKXGYOIDR-UHFFFAOYSA-N 0 0 298.387 2.755 20 5 CFBDRN CCc1nn(C)c(NC[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000230431483 522669708 /nfs/dbraw/zinc/66/97/08/522669708.db2.gz ZJGRARSSXQJSLW-ZJUUUORDSA-N 0 0 266.345 2.739 20 5 CFBDRN CCc1nn(C)cc1CNc1cc(C)ccc1[N+](=O)[O-] ZINC000092959617 522693402 /nfs/dbraw/zinc/69/34/02/522693402.db2.gz LEGGDOHVESDZJM-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN COc1cc(NC[C@H]2C[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000227536937 522744627 /nfs/dbraw/zinc/74/46/27/522744627.db2.gz ZZJSPNLSPHBIID-JGVFFNPUSA-N 0 0 254.261 2.810 20 5 CFBDRN COc1cc(NCc2cccnc2)c([N+](=O)[O-])cc1OC ZINC000213617669 522747839 /nfs/dbraw/zinc/74/78/39/522747839.db2.gz WBKGIQSUNFWXKS-UHFFFAOYSA-N 0 0 289.291 2.619 20 5 CFBDRN CCc1noc([C@@H](C)Sc2ncccc2[N+](=O)[O-])n1 ZINC000049418483 522910139 /nfs/dbraw/zinc/91/01/39/522910139.db2.gz IYYOYZDHNNGHQC-SSDOTTSWSA-N 0 0 280.309 2.789 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334169452 523081673 /nfs/dbraw/zinc/08/16/73/523081673.db2.gz AGPVOCNFKYWYEO-JTQLQIEISA-N 0 0 280.299 2.996 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000354267905 523120146 /nfs/dbraw/zinc/12/01/46/523120146.db2.gz JYMHKHNCJAQKBA-VHSXEESVSA-N 0 0 265.313 2.622 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000162560021 523125025 /nfs/dbraw/zinc/12/50/25/523125025.db2.gz KSMCUULRRHDIQE-RYUDHWBXSA-N 0 0 291.351 2.702 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCCCC[C@@H]1C ZINC000360901624 523141324 /nfs/dbraw/zinc/14/13/24/523141324.db2.gz LZYNEWNUTAKEJS-JTQLQIEISA-N 0 0 266.345 2.889 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@@H]1CC=CCC1 ZINC000360965958 523142705 /nfs/dbraw/zinc/14/27/05/523142705.db2.gz LNUQABGPKDYBGU-LLVKDONJSA-N 0 0 264.329 2.888 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CCC[C@H]1C ZINC000311770524 523146257 /nfs/dbraw/zinc/14/62/57/523146257.db2.gz VYDJQIMTYJWDKA-MWLCHTKSSA-N 0 0 266.345 2.968 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CCC[C@@H]1C ZINC000311770519 523146874 /nfs/dbraw/zinc/14/68/74/523146874.db2.gz VYDJQIMTYJWDKA-GXSJLCMTSA-N 0 0 266.345 2.968 20 5 CFBDRN CN(Cc1c(F)cccc1F)c1ccncc1[N+](=O)[O-] ZINC000338788955 523156509 /nfs/dbraw/zinc/15/65/09/523156509.db2.gz OXPCWESIIIFRLS-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN COCCCCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000311171098 523179288 /nfs/dbraw/zinc/17/92/88/523179288.db2.gz SUGJCTHEGQEASF-UHFFFAOYSA-N 0 0 256.277 2.881 20 5 CFBDRN CC[C@@H]1CCC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2N)C1 ZINC000157348082 523280078 /nfs/dbraw/zinc/28/00/78/523280078.db2.gz IFIFOPKNTJYLNB-GHMZBOCLSA-N 0 0 291.351 2.876 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2F)CCO1 ZINC000182572723 523367014 /nfs/dbraw/zinc/36/70/14/523367014.db2.gz DAAXZTYEIRQTHO-ONGXEEELSA-N 0 0 296.298 2.878 20 5 CFBDRN COCCCNc1cc(Br)ccc1[N+](=O)[O-] ZINC000083730472 523378171 /nfs/dbraw/zinc/37/81/71/523378171.db2.gz VZKFOKBHSIJPPD-UHFFFAOYSA-N 0 0 289.129 2.806 20 5 CFBDRN CC[C@H]1C[C@H](C(=O)N(C)c2cccc([N+](=O)[O-])c2)CCO1 ZINC000181181410 523385871 /nfs/dbraw/zinc/38/58/71/523385871.db2.gz OFJVEYRMWYONAK-RISCZKNCSA-N 0 0 292.335 2.763 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000336575073 523567449 /nfs/dbraw/zinc/56/74/49/523567449.db2.gz AZNVABLHAWUUDX-GFCCVEGCSA-N 0 0 268.338 2.919 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334384018 523568551 /nfs/dbraw/zinc/56/85/51/523568551.db2.gz LKMPQLUJWKVPQX-JOYOIKCWSA-N 0 0 280.299 2.995 20 5 CFBDRN COCCN(C)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000049248255 523571283 /nfs/dbraw/zinc/57/12/83/523571283.db2.gz BBOVCMRODHDIRT-UHFFFAOYSA-N 0 0 294.332 2.528 20 5 CFBDRN CC[C@]1(C)COCCN1Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000361153321 523580089 /nfs/dbraw/zinc/58/00/89/523580089.db2.gz JMFURECLHXHBDV-OAHLLOKOSA-N 0 0 278.352 2.904 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CC[C@H](C)C1 ZINC000230431451 523668279 /nfs/dbraw/zinc/66/82/79/523668279.db2.gz XZWQZRNQPOARAD-GXSJLCMTSA-N 0 0 266.345 2.968 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC(C)(C)[C@H](O)C(C)C ZINC000192718613 523669219 /nfs/dbraw/zinc/66/92/19/523669219.db2.gz RISPPRISIHKJRZ-GFCCVEGCSA-N 0 0 298.387 2.575 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H](C)c1cncs1 ZINC000338482823 523669306 /nfs/dbraw/zinc/66/93/06/523669306.db2.gz BBDQLSSFQVVTCO-ZETCQYMHSA-N 0 0 281.341 2.749 20 5 CFBDRN COc1ccc(C(=O)NC2(C)CCCC2)cc1[N+](=O)[O-] ZINC000049123568 523685094 /nfs/dbraw/zinc/68/50/94/523685094.db2.gz QFOYUKLGGCKXAD-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000162642573 523724915 /nfs/dbraw/zinc/72/49/15/523724915.db2.gz SVOFUANFNNAVAR-LLVKDONJSA-N 0 0 292.335 2.912 20 5 CFBDRN CCc1cc(NC(=O)c2cccc([N+](=O)[O-])c2)nc(C)n1 ZINC000174233945 523818283 /nfs/dbraw/zinc/81/82/83/523818283.db2.gz ZMZUHSUWTJJPAM-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CC[C@H](C)CC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360515180 523850847 /nfs/dbraw/zinc/85/08/47/523850847.db2.gz MUSSNANHEUOLMY-JTQLQIEISA-N 0 0 264.325 2.956 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050701507 523865760 /nfs/dbraw/zinc/86/57/60/523865760.db2.gz OOPCANNZAQFHMK-QMMMGPOBSA-N 0 0 299.758 2.949 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H]2CCC[C@H]2F)cc1[N+](=O)[O-] ZINC000340784742 523893678 /nfs/dbraw/zinc/89/36/78/523893678.db2.gz OTEZRIXAVJLGMK-VXGBXAGGSA-N 0 0 280.299 2.778 20 5 CFBDRN CN(C(=O)[C@@H]1CCCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000336031665 523896889 /nfs/dbraw/zinc/89/68/89/523896889.db2.gz XCLCSVCGBHTMNW-SNVBAGLBSA-N 0 0 280.349 2.701 20 5 CFBDRN CN(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccnc1 ZINC000172020781 523932494 /nfs/dbraw/zinc/93/24/94/523932494.db2.gz KVMVHEZCICBBRV-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CC[C@H](C)N(CCO)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000311655514 523937340 /nfs/dbraw/zinc/93/73/40/523937340.db2.gz VFBMIQQUFBINEL-JTQLQIEISA-N 0 0 286.759 2.841 20 5 CFBDRN CN(C)C(=O)CCCSc1cccc([N+](=O)[O-])c1 ZINC000337920224 523978275 /nfs/dbraw/zinc/97/82/75/523978275.db2.gz YLSWLYNXKCOHGE-UHFFFAOYSA-N 0 0 268.338 2.555 20 5 CFBDRN CO[C@H]1CC[C@H](Nc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000231683400 524003769 /nfs/dbraw/zinc/00/37/69/524003769.db2.gz QWHDXHVKKYKXDJ-QWRGUYRKSA-N 0 0 250.298 2.883 20 5 CFBDRN COc1ccc(CNc2ccncc2F)cc1[N+](=O)[O-] ZINC000192149669 524104111 /nfs/dbraw/zinc/10/41/11/524104111.db2.gz BQNWTMUBELUDTN-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1cc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c(C)o1 ZINC000048807289 524105717 /nfs/dbraw/zinc/10/57/17/524105717.db2.gz GORLZSGEPPGHCI-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@](C)(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000336472812 524138946 /nfs/dbraw/zinc/13/89/46/524138946.db2.gz FBEKVICPRBNJIX-OTYXRUKQSA-N 0 0 298.289 2.798 20 5 CFBDRN C[C@H](CCCO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000088503663 524152089 /nfs/dbraw/zinc/15/20/89/524152089.db2.gz CFWUNMQDEABEHD-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN C[C@@H](C(=O)NCCCCF)c1ccc([N+](=O)[O-])cc1F ZINC000360502868 524179689 /nfs/dbraw/zinc/17/96/89/524179689.db2.gz GVHQTQZRSNSHNP-SECBINFHSA-N 0 0 286.278 2.703 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CS[C@H](C)C2)c1[N+](=O)[O-] ZINC000334803065 524207557 /nfs/dbraw/zinc/20/75/57/524207557.db2.gz LEMPQTKONAQDCY-ZJUUUORDSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@H]1CCN(C(=O)Cc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000336417835 524244515 /nfs/dbraw/zinc/24/45/15/524244515.db2.gz KJDRTKNRRBFIND-JTQLQIEISA-N 0 0 298.289 2.641 20 5 CFBDRN Cc1cc(C)c(CNc2ccncc2[N+](=O)[O-])c(C)c1 ZINC000340150147 524263622 /nfs/dbraw/zinc/26/36/22/524263622.db2.gz QWYXRCJPAKXXNX-UHFFFAOYSA-N 0 0 271.320 2.949 20 5 CFBDRN C[C@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1(F)F ZINC000335200194 524276899 /nfs/dbraw/zinc/27/68/99/524276899.db2.gz FMKUXMJXFOCHCD-ZETCQYMHSA-N 0 0 290.291 2.774 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@@H](F)C1 ZINC000335015472 524337196 /nfs/dbraw/zinc/33/71/96/524337196.db2.gz ZAHHTLMLCWKVQA-MNOVXSKESA-N 0 0 280.299 2.832 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCOC(C)(C)C)n1 ZINC000354536256 524338434 /nfs/dbraw/zinc/33/84/34/524338434.db2.gz AHFPLJBRTJQEFD-UHFFFAOYSA-N 0 0 268.313 2.801 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@H]1CS[C@H](C)C1 ZINC000335054650 524338936 /nfs/dbraw/zinc/33/89/36/524338936.db2.gz STNSSJOPNWZLAZ-MNOVXSKESA-N 0 0 294.376 2.835 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(Nc2cnn(C(F)F)c2)n1 ZINC000361670292 524338981 /nfs/dbraw/zinc/33/89/81/524338981.db2.gz NXZRSOAYTXGMAP-UHFFFAOYSA-N 0 0 283.238 2.942 20 5 CFBDRN C[C@H]1CC[C@@H](CNC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000334070466 524356389 /nfs/dbraw/zinc/35/63/89/524356389.db2.gz JINUVUARKLJWGB-WDEREUQCSA-N 0 0 262.309 2.761 20 5 CFBDRN Cc1cccc(CCNC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000338659684 524361684 /nfs/dbraw/zinc/36/16/84/524361684.db2.gz JTKJMCJFLBWZCN-UHFFFAOYSA-N 0 0 284.315 2.876 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000336448770 524373587 /nfs/dbraw/zinc/37/35/87/524373587.db2.gz JTXBLBPHAYFDIP-WPRPVWTQSA-N 0 0 273.292 2.604 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000336141702 524374859 /nfs/dbraw/zinc/37/48/59/524374859.db2.gz JTCUBJUNYJKEBK-XVKPBYJWSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@@H](CC1CC1)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000171855033 524421041 /nfs/dbraw/zinc/42/10/41/524421041.db2.gz NLIHNOMEFGOAEF-NSHDSACASA-N 0 0 291.351 2.925 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000356723152 524425477 /nfs/dbraw/zinc/42/54/77/524425477.db2.gz GQMDPRMWIQOZLV-JOYOIKCWSA-N 0 0 280.349 2.602 20 5 CFBDRN C[C@H]1CC[C@]2(CCN(C(=O)c3ccc([N+](=O)[O-])o3)C2)C1 ZINC000334748630 524426890 /nfs/dbraw/zinc/42/68/90/524426890.db2.gz WKOYDULZPJXNIC-HZMBPMFUSA-N 0 0 278.308 2.840 20 5 CFBDRN C[C@@H](CC1CC1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000070103072 524431590 /nfs/dbraw/zinc/43/15/90/524431590.db2.gz IOXYBBBVKPOFCZ-ZETCQYMHSA-N 0 0 266.326 2.905 20 5 CFBDRN C[C@H]1CN(C(=O)CSc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000337035918 524482325 /nfs/dbraw/zinc/48/23/25/524482325.db2.gz ITONIGQTDOWNJF-PHIMTYICSA-N 0 0 294.376 2.801 20 5 CFBDRN C[C@@H](CN(C)c1ccncc1[N+](=O)[O-])c1nccs1 ZINC000361059584 524578118 /nfs/dbraw/zinc/57/81/18/524578118.db2.gz GYKINPFCYSBHHO-VIFPVBQESA-N 0 0 278.337 2.686 20 5 CFBDRN Cc1ccc(CNc2ncc(Cl)cc2[N+](=O)[O-])cn1 ZINC000129783450 524621659 /nfs/dbraw/zinc/62/16/59/524621659.db2.gz FHMTVHLIWWBZQJ-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000310903482 524623554 /nfs/dbraw/zinc/62/35/54/524623554.db2.gz FRIJYETWUFZVLZ-HQJQHLMTSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000340785731 524639286 /nfs/dbraw/zinc/63/92/86/524639286.db2.gz VKJJALHPHQFOPF-SFYZADRCSA-N 0 0 290.266 2.768 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000075288140 524646803 /nfs/dbraw/zinc/64/68/03/524646803.db2.gz QWQHKLPEPOOBEW-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN Cc1cccc(NCCOCC(F)(F)F)c1[N+](=O)[O-] ZINC000218832400 524676010 /nfs/dbraw/zinc/67/60/10/524676010.db2.gz HBODTEREPRLLLN-UHFFFAOYSA-N 0 0 278.230 2.894 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000157263522 524697597 /nfs/dbraw/zinc/69/75/97/524697597.db2.gz UEFVHFSWPKPHEZ-KCJUWKMLSA-N 0 0 266.272 2.652 20 5 CFBDRN COc1ccccc1SCCn1ccc([N+](=O)[O-])n1 ZINC000178508485 524709422 /nfs/dbraw/zinc/70/94/22/524709422.db2.gz LDDLCODHQIDNQG-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])nc1)c1nccs1 ZINC000230610050 524755618 /nfs/dbraw/zinc/75/56/18/524755618.db2.gz WUJUNKBBDCTJGQ-QMMMGPOBSA-N 0 0 264.310 2.662 20 5 CFBDRN C[C@H](Cn1cccn1)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000317505518 524781471 /nfs/dbraw/zinc/78/14/71/524781471.db2.gz VSBUVAYGMLXAOV-LLVKDONJSA-N 0 0 297.318 2.840 20 5 CFBDRN Cc1cc(CNc2ccc(F)cc2[N+](=O)[O-])no1 ZINC000063248442 524788238 /nfs/dbraw/zinc/78/82/38/524788238.db2.gz KGWVGFXDYROWQD-UHFFFAOYSA-N 0 0 251.217 2.642 20 5 CFBDRN C[C@H](Cn1ncc([N+](=O)[O-])c1N)C1CCCCC1 ZINC000310883429 524803334 /nfs/dbraw/zinc/80/33/34/524803334.db2.gz SCAKMSCPFWKAOX-SECBINFHSA-N 0 0 252.318 2.590 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)[C@@H]1C ZINC000334735031 524853748 /nfs/dbraw/zinc/85/37/48/524853748.db2.gz SBKNJZICJKHSFI-HTQZYQBOSA-N 0 0 268.700 2.729 20 5 CFBDRN COc1ccsc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000171656185 524874343 /nfs/dbraw/zinc/87/43/43/524874343.db2.gz XUJDGLXXBYVYHH-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2N)cc1 ZINC000050708191 524884371 /nfs/dbraw/zinc/88/43/71/524884371.db2.gz DXYJSQBJBXTULQ-UHFFFAOYSA-N 0 0 285.303 2.762 20 5 CFBDRN Cc1cc(Cn2cnc([N+](=O)[O-])c2)ccc1Br ZINC000335770577 524922963 /nfs/dbraw/zinc/92/29/63/524922963.db2.gz QQFWSPNOXPPVRD-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN C[C@@H](F)CCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000338747626 524974196 /nfs/dbraw/zinc/97/41/96/524974196.db2.gz DHUDHPZMPWQGSS-SECBINFHSA-N 0 0 263.272 2.725 20 5 CFBDRN C[C@@H](F)CCNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000338752307 524977441 /nfs/dbraw/zinc/97/74/41/524977441.db2.gz GCBFYORMTRDZEJ-SECBINFHSA-N 0 0 295.314 2.647 20 5 CFBDRN C[C@@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])CCCO1 ZINC000172020515 524995318 /nfs/dbraw/zinc/99/53/18/524995318.db2.gz YVCXIHPKQBYZDR-SNVBAGLBSA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1ccc(NC(=O)C2=COCCC2)cc1[N+](=O)[O-] ZINC000068317525 525022675 /nfs/dbraw/zinc/02/26/75/525022675.db2.gz HNKDVOYQBMWIDB-UHFFFAOYSA-N 0 0 262.265 2.536 20 5 CFBDRN C[C@@H](NC(=O)CC(F)(F)F)c1cccc([N+](=O)[O-])c1 ZINC000049033808 525076176 /nfs/dbraw/zinc/07/61/76/525076176.db2.gz WRICLFLAIWBFPV-SSDOTTSWSA-N 0 0 276.214 2.724 20 5 CFBDRN O=C(NCCCC(F)(F)F)c1cc(F)ccc1[N+](=O)[O-] ZINC000097989171 534832605 /nfs/dbraw/zinc/83/26/05/534832605.db2.gz KJFQGVCZXSDDFO-UHFFFAOYSA-N 0 0 294.204 2.806 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccoc1)c1cccc([N+](=O)[O-])c1 ZINC000185057596 525137740 /nfs/dbraw/zinc/13/77/40/525137740.db2.gz DNORUAOOSGQVMI-SNVBAGLBSA-N 0 0 274.276 2.608 20 5 CFBDRN Cc1ccc(NC(=O)NCCCCF)cc1[N+](=O)[O-] ZINC000361670810 525158359 /nfs/dbraw/zinc/15/83/59/525158359.db2.gz RDFVEGDDWQQYJW-UHFFFAOYSA-N 0 0 269.276 2.774 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)o1 ZINC000445250068 534837775 /nfs/dbraw/zinc/83/77/75/534837775.db2.gz NEBNQYJPECFRHT-UHFFFAOYSA-N 0 0 274.232 2.643 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H](C)OCC2CC2)cc1[N+](=O)[O-] ZINC000049141068 525192678 /nfs/dbraw/zinc/19/26/78/525192678.db2.gz GREFRSOHOOLFBG-SNVBAGLBSA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1ccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])cn1 ZINC000194909740 525227789 /nfs/dbraw/zinc/22/77/89/525227789.db2.gz KEOWIJWGLPZDSO-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)CS1 ZINC000334823236 525257500 /nfs/dbraw/zinc/25/75/00/525257500.db2.gz VLHINODQTWPWBM-PSASIEDQSA-N 0 0 281.337 2.610 20 5 CFBDRN C[C@]1(CNc2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000310768601 525269981 /nfs/dbraw/zinc/26/99/81/525269981.db2.gz SIXNHZWJCXRKHS-GFCCVEGCSA-N 0 0 254.261 2.715 20 5 CFBDRN Cc1cc(NCCc2ccc(O)cc2)ncc1[N+](=O)[O-] ZINC000081275235 525273073 /nfs/dbraw/zinc/27/30/73/525273073.db2.gz AYXQKCPZQJHLKC-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN Cc1cc(OCC(=O)C2CC2)c(Cl)cc1[N+](=O)[O-] ZINC000310690340 525356633 /nfs/dbraw/zinc/35/66/33/525356633.db2.gz NTBZTFMRRPKGER-UHFFFAOYSA-N 0 0 269.684 2.915 20 5 CFBDRN Cc1cc(Oc2ncnc3[nH]ccc32)ccc1[N+](=O)[O-] ZINC000339240596 525388707 /nfs/dbraw/zinc/38/87/07/525388707.db2.gz QBPCDFZQUCQGSX-UHFFFAOYSA-N 0 0 270.248 2.967 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000335169091 525392465 /nfs/dbraw/zinc/39/24/65/525392465.db2.gz RGPQIJYUQZPNBS-ZJUUUORDSA-N 0 0 287.319 2.947 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C)=C(C)C2)c1 ZINC000361352323 525446878 /nfs/dbraw/zinc/44/68/78/525446878.db2.gz PMAAPNNYCRHNKU-UHFFFAOYSA-N 0 0 290.319 2.786 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@@H](CO)C2)c1 ZINC000315093300 525451565 /nfs/dbraw/zinc/45/15/65/525451565.db2.gz LBLDFAOYCKFLCU-VXGBXAGGSA-N 0 0 294.351 2.814 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CC[C@@H](C)C1 ZINC000334070981 525455408 /nfs/dbraw/zinc/45/54/08/525455408.db2.gz USHGNBQJIBVBNL-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1ccc(C)nc1 ZINC000062053206 525459944 /nfs/dbraw/zinc/45/99/44/525459944.db2.gz RYPPFURYTPGZEP-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H](O)C1CCCCC1 ZINC000191363744 525472885 /nfs/dbraw/zinc/47/28/85/525472885.db2.gz SUXHGRJUSPOAAE-CYBMUJFWSA-N 0 0 295.335 2.923 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1F)c1cccnc1 ZINC000312530510 525528144 /nfs/dbraw/zinc/52/81/44/525528144.db2.gz GGQPHZIEEFSJGH-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN Cc1cccnc1CSc1ncccc1[N+](=O)[O-] ZINC000092855352 525529283 /nfs/dbraw/zinc/52/92/83/525529283.db2.gz LBSJJZVCOMJQPZ-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)Nc1cnn(C)c1 ZINC000191330229 525554999 /nfs/dbraw/zinc/55/49/99/525554999.db2.gz CFWNDSCLUFJTDY-UHFFFAOYSA-N 0 0 289.295 2.589 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCCSCC(C)C ZINC000339359457 525557371 /nfs/dbraw/zinc/55/73/71/525557371.db2.gz PJNSDMXZRCKJKR-UHFFFAOYSA-N 0 0 283.397 2.911 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H](C)Cn1ccnc1 ZINC000049236080 525570861 /nfs/dbraw/zinc/57/08/61/525570861.db2.gz SVAPBPRTPYSVIQ-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN Cc1c(C(=O)NC2(C)CCCC2)cccc1[N+](=O)[O-] ZINC000049123574 525602327 /nfs/dbraw/zinc/60/23/27/525602327.db2.gz VWRUVVFWMBLFNB-UHFFFAOYSA-N 0 0 262.309 2.966 20 5 CFBDRN Cc1ccn(Cc2ccc(Cl)c(F)c2)c(=O)c1[N+](=O)[O-] ZINC000336447158 525605331 /nfs/dbraw/zinc/60/53/31/525605331.db2.gz NQFDQAGAXZNDCB-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000156926066 525637574 /nfs/dbraw/zinc/63/75/74/525637574.db2.gz CTSJIVBGOCBZGZ-MWLCHTKSSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccnc(NCCC2CCOCC2)c1[N+](=O)[O-] ZINC000311506388 525690263 /nfs/dbraw/zinc/69/02/63/525690263.db2.gz QJQOJSFHGMYQML-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1ccnc(NCCOc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000360269875 525691182 /nfs/dbraw/zinc/69/11/82/525691182.db2.gz CELXPMIWXOHQRJ-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN Cc1ccnc(Sc2ccc(CO)cc2)c1[N+](=O)[O-] ZINC000130309136 525710116 /nfs/dbraw/zinc/71/01/16/525710116.db2.gz KJHDFSRNHVSWIX-UHFFFAOYSA-N 0 0 276.317 2.942 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)c1ccncc1F ZINC000337863518 525711774 /nfs/dbraw/zinc/71/17/74/525711774.db2.gz NHLJCCXCYFIWND-MRVPVSSYSA-N 0 0 262.244 2.697 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])c2ncccc12)[C@@H]1CCOC1 ZINC000166382060 525712104 /nfs/dbraw/zinc/71/21/04/525712104.db2.gz UYEPETXBZASUHK-GHMZBOCLSA-N 0 0 287.319 2.980 20 5 CFBDRN Cc1ccnc([C@@H](Nc2ncc([N+](=O)[O-])s2)C2CC2)n1 ZINC000336980358 525713134 /nfs/dbraw/zinc/71/31/34/525713134.db2.gz RZWBYRJJEHSHOI-JTQLQIEISA-N 0 0 291.336 2.713 20 5 CFBDRN Cc1c(CNC(=O)N2[C@@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000336051077 525713552 /nfs/dbraw/zinc/71/35/52/525713552.db2.gz PIGYBEDQSZRYPQ-UWVGGRQHSA-N 0 0 277.324 2.596 20 5 CFBDRN C[C@H](O)CN(C)c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000360102866 525744071 /nfs/dbraw/zinc/74/40/71/525744071.db2.gz FJLZSJDUYGCUPS-JTQLQIEISA-N 0 0 282.340 2.589 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NC1(CO)CC1 ZINC000157513400 534879928 /nfs/dbraw/zinc/87/99/28/534879928.db2.gz AUTQTJBGUJLLCX-UHFFFAOYSA-N 0 0 276.214 2.550 20 5 CFBDRN Cc1ccc(-c2cccnc2)cc1NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000366550621 525758975 /nfs/dbraw/zinc/75/89/75/525758975.db2.gz GMGZYQKGWLVHHE-HIFRSBDPSA-N 0 0 297.314 2.661 20 5 CFBDRN C[C@@]1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1(F)F ZINC000335046919 525806576 /nfs/dbraw/zinc/80/65/76/525806576.db2.gz JRBPIKNUAUJUQO-JTQLQIEISA-N 0 0 274.198 2.718 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1c2ccccc2ncc1[N+](=O)[O-] ZINC000228129451 525816152 /nfs/dbraw/zinc/81/61/52/525816152.db2.gz KNRQANQRMAUNPQ-UWVGGRQHSA-N 0 0 275.308 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCC3=CCOCC3)c2c1 ZINC000413329707 534884787 /nfs/dbraw/zinc/88/47/87/534884787.db2.gz OMAYUJXJXPLSLH-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCCC3(O)CCC3)c2c1 ZINC000413293918 534885195 /nfs/dbraw/zinc/88/51/95/534885195.db2.gz OKMJDXNFUUVKDM-UHFFFAOYSA-N 0 0 287.319 2.860 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@H]3CCCO3)c2c1 ZINC000413023013 534885233 /nfs/dbraw/zinc/88/52/33/534885233.db2.gz HRXMZCKQPXCBNT-GFCCVEGCSA-N 0 0 273.292 2.734 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@@H](CO)C3CC3)c2c1 ZINC000413311766 534885271 /nfs/dbraw/zinc/88/52/71/534885271.db2.gz PKFCFDBDPVPKAH-LBPRGKRZSA-N 0 0 287.319 2.573 20 5 CFBDRN CS[C@@H]1CC[C@H](Nc2ncccc2[N+](=O)[O-])C1 ZINC000078477195 525844141 /nfs/dbraw/zinc/84/41/41/525844141.db2.gz LVTMLIWRLWQWHR-DTWKUNHWSA-N 0 0 253.327 2.686 20 5 CFBDRN Cc1c(NC(=O)N[C@@H](C)c2cn[nH]c2)cccc1[N+](=O)[O-] ZINC000339857401 525848467 /nfs/dbraw/zinc/84/84/67/525848467.db2.gz ZEYNXEONULTVCH-VIFPVBQESA-N 0 0 289.295 2.509 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000334276027 525850146 /nfs/dbraw/zinc/85/01/46/525850146.db2.gz NEVHYKVBXGCJGS-WPRPVWTQSA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)OCC2CC2)cccc1[N+](=O)[O-] ZINC000049141161 525857727 /nfs/dbraw/zinc/85/77/27/525857727.db2.gz NYICPQKTJPKKSG-JTQLQIEISA-N 0 0 278.308 2.657 20 5 CFBDRN Cc1c(NCC(=O)N2CCCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000068834914 525867473 /nfs/dbraw/zinc/86/74/73/525867473.db2.gz AMLUMJMOXPLPBF-NSHDSACASA-N 0 0 291.351 2.716 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(C3CCC3)CCC2)nc1 ZINC000413342485 534888852 /nfs/dbraw/zinc/88/88/52/534888852.db2.gz SNLVPFRAZQHPBE-UHFFFAOYSA-N 0 0 262.313 2.767 20 5 CFBDRN Cc1cn(CCc2ccccc2Cl)nc1[N+](=O)[O-] ZINC000337942556 525910786 /nfs/dbraw/zinc/91/07/86/525910786.db2.gz MUAKMRXXQMYNEP-UHFFFAOYSA-N 0 0 265.700 2.996 20 5 CFBDRN Cc1cn(CCCc2ccsc2)nc1[N+](=O)[O-] ZINC000337939445 525911048 /nfs/dbraw/zinc/91/10/48/525911048.db2.gz BWFYRFGOLXBOAX-UHFFFAOYSA-N 0 0 251.311 2.794 20 5 CFBDRN C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCC1CC1 ZINC000049142001 525932537 /nfs/dbraw/zinc/93/25/37/525932537.db2.gz OCAZPLGGHRKUEN-QMMMGPOBSA-N 0 0 298.726 2.542 20 5 CFBDRN CSc1ccc(CCNc2ncc([N+](=O)[O-])s2)cc1 ZINC000336938804 525982924 /nfs/dbraw/zinc/98/29/24/525982924.db2.gz WHCTVVVFWYDAIK-UHFFFAOYSA-N 0 0 295.389 2.850 20 5 CFBDRN C[C@H](Sc1ccncc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000340937006 525999017 /nfs/dbraw/zinc/99/90/17/525999017.db2.gz XRIOWYJYWYZZOG-QMMMGPOBSA-N 0 0 284.337 2.812 20 5 CFBDRN Cc1c[nH]cc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000336338174 526013346 /nfs/dbraw/zinc/01/33/46/526013346.db2.gz WLDAYVDFLAAVSY-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cncs2)c1 ZINC000338903960 526052909 /nfs/dbraw/zinc/05/29/09/526052909.db2.gz ARTGSBCZQISDDS-SECBINFHSA-N 0 0 291.332 2.851 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1CCC[C@H](C)C1 ZINC000361966981 526054162 /nfs/dbraw/zinc/05/41/62/526054162.db2.gz IGJFTTMCTKOGFI-CMPLNLGQSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCCOCC1 ZINC000369333849 526058358 /nfs/dbraw/zinc/05/83/58/526058358.db2.gz HHJDKXJVLBZLBJ-LBPRGKRZSA-N 0 0 292.335 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOCC2CC2)c1 ZINC000088721433 526059235 /nfs/dbraw/zinc/05/92/35/526059235.db2.gz XYRLBGGPHIROAB-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](CCO)C(C)C)c1 ZINC000088726028 526061752 /nfs/dbraw/zinc/06/17/52/526061752.db2.gz ZUNCJMJMHMLYRF-NSHDSACASA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](CO)CC2CCC2)c1 ZINC000360109527 526062865 /nfs/dbraw/zinc/06/28/65/526062865.db2.gz XVNOKUCBSASASL-GFCCVEGCSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ccc3c(c2)CCC(=O)N3)n1 ZINC000178161785 526064561 /nfs/dbraw/zinc/06/45/61/526064561.db2.gz MWNSRZAVWOXNDB-UHFFFAOYSA-N 0 0 299.286 2.975 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCCCCOC(C)C ZINC000182373011 526071003 /nfs/dbraw/zinc/07/10/03/526071003.db2.gz DUMJREZOWVOXCB-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC12CC2 ZINC000335379097 526080440 /nfs/dbraw/zinc/08/04/40/526080440.db2.gz XZBAJSPTCGFDAC-LLVKDONJSA-N 0 0 261.281 2.577 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000335375857 526081769 /nfs/dbraw/zinc/08/17/69/526081769.db2.gz AIANUHBCAHWBDU-JGPRNRPPSA-N 0 0 275.308 2.823 20 5 CFBDRN Cc1cc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])ccn1 ZINC000340231174 526100468 /nfs/dbraw/zinc/10/04/68/526100468.db2.gz LGPTYHZNNFEHCL-UHFFFAOYSA-N 0 0 299.330 2.636 20 5 CFBDRN C[C@H](C1CC1)N(C)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037588 526203372 /nfs/dbraw/zinc/20/33/72/526203372.db2.gz HJQOMCXPXXFMBK-SSDOTTSWSA-N 0 0 284.262 2.744 20 5 CFBDRN COc1cccc(NCc2oc(C)nc2C)c1[N+](=O)[O-] ZINC000312464774 526209320 /nfs/dbraw/zinc/20/93/20/526209320.db2.gz ZUJLFFPGHMXIBC-UHFFFAOYSA-N 0 0 277.280 2.820 20 5 CFBDRN C[C@H]1CCCCN1C(=O)CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000068827469 526242477 /nfs/dbraw/zinc/24/24/77/526242477.db2.gz NXBNMNMTVUMSCX-JTQLQIEISA-N 0 0 295.314 2.547 20 5 CFBDRN Cc1cc(C(=O)N2CCCCCC2)c(N)c([N+](=O)[O-])c1 ZINC000068509719 526243038 /nfs/dbraw/zinc/24/30/38/526243038.db2.gz ZIKZNRRVYUTWNU-UHFFFAOYSA-N 0 0 277.324 2.502 20 5 CFBDRN O=C(CC1CC(F)(F)C1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000336208664 526341176 /nfs/dbraw/zinc/34/11/76/526341176.db2.gz YQTSTKNVVQGOKP-UHFFFAOYSA-N 0 0 296.273 2.919 20 5 CFBDRN Cc1nnc(Cn2ccc3c2cccc3[N+](=O)[O-])s1 ZINC000358657392 526349724 /nfs/dbraw/zinc/34/97/24/526349724.db2.gz SIVKNMTXOPMAPS-UHFFFAOYSA-N 0 0 274.305 2.758 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1sccc1F ZINC000340797519 526432169 /nfs/dbraw/zinc/43/21/69/526432169.db2.gz PFDFRCLWHXLIGD-UHFFFAOYSA-N 0 0 294.307 2.768 20 5 CFBDRN Cc1cscc1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000133585128 526462996 /nfs/dbraw/zinc/46/29/96/526462996.db2.gz INERQVWVZFWTJC-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN N#CN(CCOc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000084649118 526488272 /nfs/dbraw/zinc/48/82/72/526488272.db2.gz VWLGKUUMMDXQFR-UHFFFAOYSA-N 0 0 283.287 2.961 20 5 CFBDRN CN(Cc1cc(C(C)(C)C)n[nH]1)c1ccc([N+](=O)[O-])nc1 ZINC000444876110 536456240 /nfs/dbraw/zinc/45/62/40/536456240.db2.gz MWWJQCZCADHVHU-UHFFFAOYSA-N 0 0 289.339 2.647 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@H]21 ZINC000336110621 526620550 /nfs/dbraw/zinc/62/05/50/526620550.db2.gz NUBHTKAYVTXFPY-TVQRCGJNSA-N 0 0 278.283 2.749 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCc2cc(Cl)ccc21 ZINC000334443277 526628435 /nfs/dbraw/zinc/62/84/35/526628435.db2.gz MBIYAKBSEBZRAJ-UHFFFAOYSA-N 0 0 291.694 2.779 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCC[C@]2(CC=CCC2)C1 ZINC000336633083 526713185 /nfs/dbraw/zinc/71/31/85/526713185.db2.gz FSVHCWOGCHOGKA-OAHLLOKOSA-N 0 0 289.335 2.885 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1ccc[nH]1 ZINC000078642130 526714674 /nfs/dbraw/zinc/71/46/74/526714674.db2.gz OIKOUBIWWHEDCM-AWEZNQCLSA-N 0 0 285.303 2.900 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC(F)(F)C1 ZINC000313301288 526715425 /nfs/dbraw/zinc/71/54/25/526715425.db2.gz ICRZJZCKMJAHFA-UHFFFAOYSA-N 0 0 290.653 2.729 20 5 CFBDRN Cc1nc(C)c(CNc2ccc([N+](=O)[O-])cc2F)o1 ZINC000311704892 526726021 /nfs/dbraw/zinc/72/60/21/526726021.db2.gz SXSWPYNBGDBXOT-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN Cc1sc(C(=O)Nc2ccnc(C)c2)cc1[N+](=O)[O-] ZINC000074350506 526875342 /nfs/dbraw/zinc/87/53/42/526875342.db2.gz IJPKJIAMSCROEA-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCc2cccc(F)c21 ZINC000336267198 526907776 /nfs/dbraw/zinc/90/77/76/526907776.db2.gz QGXXPIAKIZERGH-UHFFFAOYSA-N 0 0 292.291 2.998 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)c1c[nH]c2ncccc12 ZINC000341477128 526978971 /nfs/dbraw/zinc/97/89/71/526978971.db2.gz WSHGWDGUNBXTOW-UHFFFAOYSA-N 0 0 297.270 2.733 20 5 CFBDRN Cc1nc(NC(=O)c2cccc(F)c2F)ccc1[N+](=O)[O-] ZINC000134014196 526993627 /nfs/dbraw/zinc/99/36/27/526993627.db2.gz GSYBXTITAZSHOX-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1nc(N[C@@H](C)c2nccs2)ccc1[N+](=O)[O-] ZINC000150792512 527000179 /nfs/dbraw/zinc/00/01/79/527000179.db2.gz LMMWWHMPFMLWNT-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN Cc1nc(Nc2cnn(C(F)F)c2)ccc1[N+](=O)[O-] ZINC000361669627 527004808 /nfs/dbraw/zinc/00/48/08/527004808.db2.gz JZUWNGSYEBBTRR-UHFFFAOYSA-N 0 0 269.211 2.633 20 5 CFBDRN O=C(N[C@H](c1cccnc1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000340395659 527009766 /nfs/dbraw/zinc/00/97/66/527009766.db2.gz PFQFMPSWFAUCDX-HNNXBMFYSA-N 0 0 297.314 2.871 20 5 CFBDRN Cn1c(C(=O)N2CCCCC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000336626044 527041779 /nfs/dbraw/zinc/04/17/79/527041779.db2.gz NVQARVBFBYJEJK-UHFFFAOYSA-N 0 0 279.340 2.586 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])NCc1ccco1 ZINC000339973557 527044807 /nfs/dbraw/zinc/04/48/07/527044807.db2.gz MMMWHSZQSWNRIL-UHFFFAOYSA-N 0 0 292.316 2.596 20 5 CFBDRN O=C(C[C@@H]1C=CCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000048943004 527103922 /nfs/dbraw/zinc/10/39/22/527103922.db2.gz TVZHJHCLJBRQFW-CYBMUJFWSA-N 0 0 274.320 2.610 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H]1CCCS1 ZINC000336489011 527124726 /nfs/dbraw/zinc/12/47/26/527124726.db2.gz YTHVHRSONPGKRC-JTQLQIEISA-N 0 0 270.285 2.568 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)NC1CCCCC1 ZINC000360841206 527196803 /nfs/dbraw/zinc/19/68/03/527196803.db2.gz GCPJZDRKOZXKQL-UHFFFAOYSA-N 0 0 298.289 2.864 20 5 CFBDRN O=C(Nc1ccc(F)cc1O)c1cccc([N+](=O)[O-])c1 ZINC000340333176 527213603 /nfs/dbraw/zinc/21/36/03/527213603.db2.gz SXMPGVRZAXKPPA-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN CC(=O)c1cc(N[C@H](C)c2ccn(C)n2)ccc1[N+](=O)[O-] ZINC000413465170 527217150 /nfs/dbraw/zinc/21/71/50/527217150.db2.gz USURDQAWSYGHAC-SECBINFHSA-N 0 0 288.307 2.704 20 5 CFBDRN Cn1cc(Cl)cc1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000049379697 527239351 /nfs/dbraw/zinc/23/93/51/527239351.db2.gz WWUGQPQWVSEZCD-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1ccco1 ZINC000049374407 527261889 /nfs/dbraw/zinc/26/18/89/527261889.db2.gz IEPDYZKUKUTHEI-NWDGAFQWSA-N 0 0 272.260 2.930 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1(c2ccccc2)CC1 ZINC000338644369 527313766 /nfs/dbraw/zinc/31/37/66/527313766.db2.gz LLDUNILGRCLLHW-UHFFFAOYSA-N 0 0 297.314 2.717 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1cccc2cc[nH]c21 ZINC000340871875 527324716 /nfs/dbraw/zinc/32/47/16/527324716.db2.gz OQEFIOPJSGBQIJ-UHFFFAOYSA-N 0 0 268.276 2.505 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1C(C)(C)C ZINC000334240075 527327421 /nfs/dbraw/zinc/32/74/21/527327421.db2.gz VIZSYHNDZRSZSM-LBPRGKRZSA-N 0 0 279.340 2.584 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H]1CCC[C@H](CO)C1 ZINC000312595397 527335869 /nfs/dbraw/zinc/33/58/69/527335869.db2.gz FCNKXIQTAWYUIT-UWVGGRQHSA-N 0 0 299.758 2.854 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2cnc3c2CCCC3)cs1 ZINC000336269825 527335974 /nfs/dbraw/zinc/33/59/74/527335974.db2.gz PDXAGXMUVGAULR-UHFFFAOYSA-N 0 0 263.322 2.780 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H](CO)CC1CCC1 ZINC000360115282 527337011 /nfs/dbraw/zinc/33/70/11/527337011.db2.gz LJGFIWUDGOQART-LLVKDONJSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCCOCC1CC1 ZINC000061174484 527337276 /nfs/dbraw/zinc/33/72/76/527337276.db2.gz BCRWDSXQQUKXMW-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(Cc2ncccn2)C2CC2)cc1 ZINC000192138846 527349731 /nfs/dbraw/zinc/34/97/31/527349731.db2.gz ICWDSOGJOXHTAI-UHFFFAOYSA-N 0 0 284.319 2.549 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2nc(C3CC3)ns2)cc1 ZINC000087640687 527352889 /nfs/dbraw/zinc/35/28/89/527352889.db2.gz UXTTWBGVWDINFS-UHFFFAOYSA-N 0 0 276.321 2.936 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCCO[C@H]2CCC[C@@H]21 ZINC000363692566 527356742 /nfs/dbraw/zinc/35/67/42/527356742.db2.gz NUNJPKPSMKCEOC-GJZGRUSLSA-N 0 0 294.326 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccsc2)cc1 ZINC000218924372 527365486 /nfs/dbraw/zinc/36/54/86/527365486.db2.gz XYJSJCJPKJQAGM-GFCCVEGCSA-N 0 0 264.306 2.802 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cc(F)cc(F)c2)cn1 ZINC000060719085 527365803 /nfs/dbraw/zinc/36/58/03/527365803.db2.gz JJNSPWXOMMGCDS-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@@H](O)C2)c2cccnc21 ZINC000227661813 527366271 /nfs/dbraw/zinc/36/62/71/527366271.db2.gz ZTOSCWRZLLIODH-WDEREUQCSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CNc2cnccn2)c1 ZINC000192151735 527371814 /nfs/dbraw/zinc/37/18/14/527371814.db2.gz ATCUHOQASQSGEM-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])cn1)c1csc2ccccc12 ZINC000194531041 527372187 /nfs/dbraw/zinc/37/21/87/527372187.db2.gz WEOZBXRVSOMEEG-UHFFFAOYSA-N 0 0 287.300 2.889 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ncccn2)c(Cl)c1 ZINC000168233236 527373621 /nfs/dbraw/zinc/37/36/21/527373621.db2.gz JGUNPGYQYKYRFD-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2noc(C3CC3)n2)cc1F ZINC000049373646 527374604 /nfs/dbraw/zinc/37/46/04/527374604.db2.gz LFRYOFOOBTZOJE-UHFFFAOYSA-N 0 0 279.227 2.573 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000362410732 527375228 /nfs/dbraw/zinc/37/52/28/527375228.db2.gz LVESYOFOSVSVRN-GDNZZTSVSA-N 0 0 261.281 2.515 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1OCCc2ccccc21 ZINC000136771775 527375356 /nfs/dbraw/zinc/37/53/56/527375356.db2.gz YCKFFNVCGXMQEL-HNNXBMFYSA-N 0 0 298.298 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCOCC2CC2)nc1 ZINC000159686264 527377442 /nfs/dbraw/zinc/37/74/42/527377442.db2.gz LNFLILIPCPXAAC-UHFFFAOYSA-N 0 0 254.311 2.509 20 5 CFBDRN O=[N+]([O-])c1cccc(CSC2=NCCS2)c1 ZINC000069052143 527387967 /nfs/dbraw/zinc/38/79/67/527387967.db2.gz ZBJAINRIXSPVQJ-UHFFFAOYSA-N 0 0 254.336 2.931 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@@H]1CCC[C@@H](O)C1 ZINC000336808144 527389008 /nfs/dbraw/zinc/38/90/08/527389008.db2.gz BAFBIEJYONPKBZ-NXEZZACHSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCc3cccnn3)ccnc21 ZINC000236409663 527396400 /nfs/dbraw/zinc/39/64/00/527396400.db2.gz CNIBNTRWYVEOFU-UHFFFAOYSA-N 0 0 281.275 2.545 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCNc1ccccn1 ZINC000048561522 527402471 /nfs/dbraw/zinc/40/24/71/527402471.db2.gz PSBNEVDRBQTHNJ-UHFFFAOYSA-N 0 0 272.308 2.904 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCc1nc2cccnc2[nH]1 ZINC000339970062 527403266 /nfs/dbraw/zinc/40/32/66/527403266.db2.gz YEQRQOHRTWVMIH-UHFFFAOYSA-N 0 0 297.318 2.911 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCNc1cccc(F)n1 ZINC000049320792 527403271 /nfs/dbraw/zinc/40/32/71/527403271.db2.gz OMLYDWLTHUPZMB-UHFFFAOYSA-N 0 0 276.271 2.653 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC=C(c2ccc(O)cc2)CC1 ZINC000302907867 527406030 /nfs/dbraw/zinc/40/60/30/527406030.db2.gz FMXBDPMHEWRXGX-UHFFFAOYSA-N 0 0 297.314 2.989 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ncc(-c3ccccc3F)o2)cn1 ZINC000150860404 527407878 /nfs/dbraw/zinc/40/78/78/527407878.db2.gz RKJWCTNSDOCGKJ-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1csc(C2CCCC2)n1 ZINC000049493678 527408045 /nfs/dbraw/zinc/40/80/45/527408045.db2.gz TZDOAGRPPAVIQT-UHFFFAOYSA-N 0 0 278.337 2.954 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSc2nc3ccccc3o2)c1 ZINC000153651922 527414325 /nfs/dbraw/zinc/41/43/25/527414325.db2.gz YBYJPXZTRYHAJO-UHFFFAOYSA-N 0 0 290.304 2.725 20 5 CFBDRN Nc1c(C(=O)N[C@@H]2CC23CCCCC3)cccc1[N+](=O)[O-] ZINC000181294050 527428165 /nfs/dbraw/zinc/42/81/65/527428165.db2.gz FJKACBLOVUVBLF-GFCCVEGCSA-N 0 0 289.335 2.630 20 5 CFBDRN Nc1ccc(C(=O)N2CCCC23CCCC3)cc1[N+](=O)[O-] ZINC000313907291 527468044 /nfs/dbraw/zinc/46/80/44/527468044.db2.gz SPUXGXOEIIOPRC-UHFFFAOYSA-N 0 0 289.335 2.726 20 5 CFBDRN O=C(Nc1ccsc1Cl)c1ccc([N+](=O)[O-])[nH]1 ZINC000334870949 527472628 /nfs/dbraw/zinc/47/26/28/527472628.db2.gz QNLINBAMGKKJND-UHFFFAOYSA-N 0 0 271.685 2.890 20 5 CFBDRN O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc21)c1ccsc1 ZINC000089204068 527496231 /nfs/dbraw/zinc/49/62/31/527496231.db2.gz CYJHJRNTMHEQBZ-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN Cc1ncsc1CNCc1ccccc1[N+](=O)[O-] ZINC000071212594 527510328 /nfs/dbraw/zinc/51/03/28/527510328.db2.gz NNUNKAUXPXINMP-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN O=C([O-])CCC1CC[NH+](Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000050926082 527575592 /nfs/dbraw/zinc/57/55/92/527575592.db2.gz KFBFLUSOMDREQY-UHFFFAOYSA-N 0 0 292.335 2.672 20 5 CFBDRN O=C([O-])[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 ZINC000050509156 527654384 /nfs/dbraw/zinc/65/43/84/527654384.db2.gz QWLZLLXUYBSHOC-AWEZNQCLSA-N 0 0 286.287 2.510 20 5 CFBDRN CC(C)(C)CC[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000426755866 527676001 /nfs/dbraw/zinc/67/60/01/527676001.db2.gz GMFXBWYEUCDBFD-CYBMUJFWSA-N 0 0 294.351 2.964 20 5 CFBDRN Cc1nn(C)c(NCCC(C)(C)C2CC2)c1[N+](=O)[O-] ZINC000328407058 527678257 /nfs/dbraw/zinc/67/82/57/527678257.db2.gz FXUOJHFVIHDSPC-UHFFFAOYSA-N 0 0 266.345 2.875 20 5 CFBDRN Cc1nn(C)c(N[C@H]2C[C@H](OC(C)C)C2(C)C)c1[N+](=O)[O-] ZINC000361618071 527679594 /nfs/dbraw/zinc/67/95/94/527679594.db2.gz ZWOMVMARBXIAQR-QWRGUYRKSA-N 0 0 296.371 2.641 20 5 CFBDRN CCCCn1ncc(NC(=O)c2ccc([N+](=O)[O-])o2)c1C ZINC000163046499 527707122 /nfs/dbraw/zinc/70/71/22/527707122.db2.gz BIPHCSWRSBBJBA-UHFFFAOYSA-N 0 0 292.295 2.745 20 5 CFBDRN CC1(C)C[C@H](CNc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000413328810 527729945 /nfs/dbraw/zinc/72/99/45/527729945.db2.gz FAVQDPGKHYJYRN-SNVBAGLBSA-N 0 0 265.313 2.607 20 5 CFBDRN CC(C)[C@H]1C[C@@H](CNc2ncc([N+](=O)[O-])cc2F)CCO1 ZINC000413317531 527867370 /nfs/dbraw/zinc/86/73/70/527867370.db2.gz RAAZVLPEHMGRPN-GXFFZTMASA-N 0 0 297.330 2.992 20 5 CFBDRN CC(C)CN(C)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000411363539 527913001 /nfs/dbraw/zinc/91/30/01/527913001.db2.gz KBHVERKTKCLRQW-UONOGXRCSA-N 0 0 276.336 2.813 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000413388474 528074744 /nfs/dbraw/zinc/07/47/44/528074744.db2.gz RBLRZXWPHNWQEK-BJHJDKERSA-N 0 0 287.319 2.544 20 5 CFBDRN CC(C)Oc1cc(C(=O)NC(C)(C)CF)ccc1[N+](=O)[O-] ZINC000413715486 528100459 /nfs/dbraw/zinc/10/04/59/528100459.db2.gz ROPGNROMCYKIIV-UHFFFAOYSA-N 0 0 298.314 2.860 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000413390534 528105615 /nfs/dbraw/zinc/10/56/15/528105615.db2.gz YHCIHEXKRXPIRQ-DTORHVGOSA-N 0 0 284.287 2.722 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000413386769 528105948 /nfs/dbraw/zinc/10/59/48/528105948.db2.gz LTLWPSNILNYEAY-WAAGHKOSSA-N 0 0 254.261 2.713 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000413389625 528106032 /nfs/dbraw/zinc/10/60/32/528106032.db2.gz VBJGGFDSTXTUBK-AOOOYVTPSA-N 0 0 254.261 2.713 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2nc(C)cc(C)c2[N+](=O)[O-])C1 ZINC000413389954 528106926 /nfs/dbraw/zinc/10/69/26/528106926.db2.gz WCPJZMPHZMWQOP-PHIMTYICSA-N 0 0 265.313 2.586 20 5 CFBDRN CCOc1cc(N(C)CCCCOC)ccc1[N+](=O)[O-] ZINC000419013672 528307696 /nfs/dbraw/zinc/30/76/96/528307696.db2.gz IGFXVSMXXPULMO-UHFFFAOYSA-N 0 0 282.340 2.856 20 5 CFBDRN CCOc1cc(N2CCC[C@@H](CO)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000413596312 528309183 /nfs/dbraw/zinc/30/91/83/528309183.db2.gz QWXHLEOIDJPQCK-RYUDHWBXSA-N 0 0 294.351 2.591 20 5 CFBDRN CCOc1cc(N2CC(CC)C2)ccc1[N+](=O)[O-] ZINC000413363501 528309201 /nfs/dbraw/zinc/30/92/01/528309201.db2.gz MXDCPNWYIAIELD-UHFFFAOYSA-N 0 0 250.298 2.840 20 5 CFBDRN CCOc1cc(N2CCSC[C@H]2C)ccc1[N+](=O)[O-] ZINC000174916108 528312739 /nfs/dbraw/zinc/31/27/39/528312739.db2.gz AIMZKJRNTPDRQH-SNVBAGLBSA-N 0 0 282.365 2.935 20 5 CFBDRN CCOc1cc(NC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000111046035 528327307 /nfs/dbraw/zinc/32/73/07/528327307.db2.gz VNLKXYDUSOWZHK-NSHDSACASA-N 0 0 266.297 2.584 20 5 CFBDRN CCOc1ccc(C(=O)NCC2(C)CCC2)cc1[N+](=O)[O-] ZINC000175094467 528399989 /nfs/dbraw/zinc/39/99/89/528399989.db2.gz IIIVXXVSHPBWOR-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1Nc1ncc([N+](=O)[O-])cc1F ZINC000413402681 528413316 /nfs/dbraw/zinc/41/33/16/528413316.db2.gz BUNMIDXHZKIOQS-LDYMZIIASA-N 0 0 253.277 2.975 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](C)CC)c1 ZINC000421586561 528610371 /nfs/dbraw/zinc/61/03/71/528610371.db2.gz FKMVMAMOYNSUCH-JTQLQIEISA-N 0 0 280.324 2.769 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157349981 528635560 /nfs/dbraw/zinc/63/55/60/528635560.db2.gz MHTUGAQBFZKGON-UHFFFAOYSA-N 0 0 279.340 2.876 20 5 CFBDRN CCOCCC1(CNc2ncc([N+](=O)[O-])cc2F)CC1 ZINC000413198433 528637507 /nfs/dbraw/zinc/63/75/07/528637507.db2.gz GZFOTPUONZBJAW-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN CCCC[C@@H](COC)Nc1ncc([N+](=O)[O-])cc1F ZINC000413158669 528833868 /nfs/dbraw/zinc/83/38/68/528833868.db2.gz RZMJBBVZODEWTB-VIFPVBQESA-N 0 0 271.292 2.746 20 5 CFBDRN CC1(C)C(CNC(=O)Cc2ccccc2[N+](=O)[O-])C1(C)C ZINC000331846308 528872836 /nfs/dbraw/zinc/87/28/36/528872836.db2.gz LQGBDPDZVPCAET-UHFFFAOYSA-N 0 0 290.363 2.936 20 5 CFBDRN CCN(Cc1occc1C)c1ncc([N+](=O)[O-])c(C)n1 ZINC000413556007 528920690 /nfs/dbraw/zinc/92/06/90/528920690.db2.gz PPEJMSYTZBIZLX-UHFFFAOYSA-N 0 0 276.296 2.621 20 5 CFBDRN CCCC[C@H](CCC)NC(=O)c1nn(CC)cc1[N+](=O)[O-] ZINC000191654943 528926494 /nfs/dbraw/zinc/92/64/94/528926494.db2.gz KNWUEDAKMUYNEX-NSHDSACASA-N 0 0 296.371 2.900 20 5 CFBDRN CCCC[C@H](COC)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000128948539 528966203 /nfs/dbraw/zinc/96/62/03/528966203.db2.gz MYBAOWGJYGPVBK-GFCCVEGCSA-N 0 0 280.324 2.530 20 5 CFBDRN CCC(O)(CC)COc1cc([N+](=O)[O-])ccc1C ZINC000165228211 528977270 /nfs/dbraw/zinc/97/72/70/528977270.db2.gz OEAUDQAGSFWDMV-UHFFFAOYSA-N 0 0 253.298 2.833 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)C1CC(C)(C)C1 ZINC000412812492 529086897 /nfs/dbraw/zinc/08/68/97/529086897.db2.gz DZVQYDKKKZLHCE-UHFFFAOYSA-N 0 0 279.340 2.584 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])o1)[C@H](C)c1cccnc1 ZINC000115227672 529086933 /nfs/dbraw/zinc/08/69/33/529086933.db2.gz FNDRSPCOCRWVPI-SNVBAGLBSA-N 0 0 289.291 2.806 20 5 CFBDRN CCN(C(=O)c1nn(CC)cc1[N+](=O)[O-])C1CCCCC1 ZINC000191490443 529105266 /nfs/dbraw/zinc/10/52/66/529105266.db2.gz GKTKCDNPTIDCGH-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1Cl)[C@@H](O)C(C)C ZINC000413537623 529213207 /nfs/dbraw/zinc/21/32/07/529213207.db2.gz ICJBACPHWHETDA-MNOVXSKESA-N 0 0 287.747 2.851 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000155993571 529227337 /nfs/dbraw/zinc/22/73/37/529227337.db2.gz QNTMBASEXWLHTF-FZMZJTMJSA-N 0 0 292.335 2.669 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000421579291 529326229 /nfs/dbraw/zinc/32/62/29/529326229.db2.gz KFHSJTHAFJZAHS-SECBINFHSA-N 0 0 250.298 2.679 20 5 CFBDRN CC[C@@H](C)N(C(=O)c1nn(CC)cc1[N+](=O)[O-])[C@@H](C)CC ZINC000191703003 529338327 /nfs/dbraw/zinc/33/83/27/529338327.db2.gz UANOZQURDDPXKP-PHIMTYICSA-N 0 0 296.371 2.850 20 5 CFBDRN CCCC[C@H](COC)Nc1ccc([N+](=O)[O-])cn1 ZINC000265515262 535042529 /nfs/dbraw/zinc/04/25/29/535042529.db2.gz QFXWVAKLFCOVGX-SNVBAGLBSA-N 0 0 253.302 2.607 20 5 CFBDRN CCCN(C(=O)C(C)C)c1cccc([N+](=O)[O-])c1 ZINC000194131499 535196707 /nfs/dbraw/zinc/19/67/07/535196707.db2.gz FGCSBFLWCXTCNF-UHFFFAOYSA-N 0 0 250.298 2.994 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000457620934 535287646 /nfs/dbraw/zinc/28/76/46/535287646.db2.gz KVBLIOKLHXSEHL-ZFWWWQNUSA-N 0 0 291.351 2.829 20 5 CFBDRN CC(C)(Sc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1 ZINC000486469797 535334957 /nfs/dbraw/zinc/33/49/57/535334957.db2.gz OYDSNVACNSEWSY-UHFFFAOYSA-N 0 0 290.348 2.825 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C[C@H]2CC)c1 ZINC000452766294 535427962 /nfs/dbraw/zinc/42/79/62/535427962.db2.gz MZHMURQQZWDUNT-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN CC[C@@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000191920006 535480266 /nfs/dbraw/zinc/48/02/66/535480266.db2.gz MTCWZHDKBDDRTH-MRVPVSSYSA-N 0 0 251.286 2.673 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])n[nH]1)c1cccc(Cl)c1 ZINC000505629712 535696100 /nfs/dbraw/zinc/69/61/00/535696100.db2.gz BZUBIKLXEKYFED-UHFFFAOYSA-N 0 0 294.698 2.638 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])cnc1C)C1CCCC1 ZINC000456685488 535799685 /nfs/dbraw/zinc/79/96/85/535799685.db2.gz IJNNAZQHQQUTND-CQSZACIVSA-N 0 0 291.351 2.997 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000452521293 535822643 /nfs/dbraw/zinc/82/26/43/535822643.db2.gz RHDLCQJHMHWXKL-NEPJUHHUSA-N 0 0 291.351 2.854 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2C)CCCO1 ZINC000342140336 535839978 /nfs/dbraw/zinc/83/99/78/535839978.db2.gz VOFUPJRHJHJWEQ-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN CCc1cccc(C(=O)NCCc2cccc([N+](=O)[O-])c2)n1 ZINC000450280772 535848156 /nfs/dbraw/zinc/84/81/56/535848156.db2.gz ZMJHUVCDJXFENE-UHFFFAOYSA-N 0 0 299.330 2.525 20 5 CFBDRN CC[C@H](NC(=O)c1c(C)cccc1[N+](=O)[O-])C(F)F ZINC000452670397 535858318 /nfs/dbraw/zinc/85/83/18/535858318.db2.gz LDUIOCGYASIAPL-QMMMGPOBSA-N 0 0 272.251 2.677 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000452770529 535915926 /nfs/dbraw/zinc/91/59/26/535915926.db2.gz CJNXCDZBGOZALR-KOLCDFICSA-N 0 0 287.319 2.852 20 5 CFBDRN CN(C(=O)/C=C\c1cscn1)c1ccc([N+](=O)[O-])cc1 ZINC000491438080 535939953 /nfs/dbraw/zinc/93/99/53/535939953.db2.gz ZGXWOORVHUZWOF-UQCOIBPSSA-N 0 0 289.316 2.728 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NCc1c(F)cc([N+](=O)[O-])cc1F ZINC000459783795 535945817 /nfs/dbraw/zinc/94/58/17/535945817.db2.gz WFRWQXHBAJNLHI-OLZOCXBDSA-N 0 0 286.278 2.530 20 5 CFBDRN CC[C@H](O)CCNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000268620446 535980469 /nfs/dbraw/zinc/98/04/69/535980469.db2.gz AAYXTRDBZQJNHU-VIFPVBQESA-N 0 0 290.266 2.769 20 5 CFBDRN CCc1n[nH]c(C(=O)NCC2CCCCCC2)c1[N+](=O)[O-] ZINC000452788972 536004085 /nfs/dbraw/zinc/00/40/85/536004085.db2.gz FSHYOBVHJPMWRM-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN CN(Cc1cc(F)cc(F)c1)c1ccc([N+](=O)[O-])nc1 ZINC000433803007 536459651 /nfs/dbraw/zinc/45/96/51/536459651.db2.gz SJSCUEKSXZXUKV-UHFFFAOYSA-N 0 0 279.246 2.904 20 5 CFBDRN COC[C@@H](C)Cc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000355970287 536513190 /nfs/dbraw/zinc/51/31/90/536513190.db2.gz CJOKEHGWWHAYQA-VIFPVBQESA-N 0 0 291.307 2.778 20 5 CFBDRN COc1cc(C(=O)N[C@H]2C[C@]2(C)C(C)C)ccc1[N+](=O)[O-] ZINC000458115318 536523889 /nfs/dbraw/zinc/52/38/89/536523889.db2.gz RUGSJWPWWDUHAJ-DZGCQCFKSA-N 0 0 292.335 2.768 20 5 CFBDRN COc1ccc(C(=O)N(C)CC(C)(C)C)cc1[N+](=O)[O-] ZINC000263757670 536692378 /nfs/dbraw/zinc/69/23/78/536692378.db2.gz JNKIYXKTHFIWPE-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN CO[C@@H]1COCC[C@@H]1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000450703660 536748430 /nfs/dbraw/zinc/74/84/30/536748430.db2.gz TYHKTKOKZNJUOK-QMTHXVAHSA-N 0 0 298.314 2.506 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CCC(F)(F)C1 ZINC000935257659 649892840 /nfs/dbraw/zinc/89/28/40/649892840.db2.gz UVNXWPAQMJSCAN-NWDGAFQWSA-N 0 0 296.273 2.566 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ccncc1[N+](=O)[O-] ZINC000727431218 574050332 /nfs/dbraw/zinc/05/03/32/574050332.db2.gz MCCXYBOGIPLAFU-UHFFFAOYSA-N 0 0 288.263 2.534 20 5 CFBDRN CCCOC(=O)CCNc1ccc(C)cc1[N+](=O)[O-] ZINC000754055855 574067104 /nfs/dbraw/zinc/06/71/04/574067104.db2.gz HLBWSIUFFJRMQG-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN CCC(C)(C)COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000744331610 574068038 /nfs/dbraw/zinc/06/80/38/574068038.db2.gz QWMHEPRQGYHSMX-UHFFFAOYSA-N 0 0 266.297 2.770 20 5 CFBDRN CNc1ccc(C(=O)O[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000744337817 574068765 /nfs/dbraw/zinc/06/87/65/574068765.db2.gz MGKDNNWZKYTHKQ-ZCFIWIBFSA-N 0 0 292.213 2.744 20 5 CFBDRN CCCC[C@H](CCC)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000730296421 574071369 /nfs/dbraw/zinc/07/13/69/574071369.db2.gz CHXRYGNGRVWFJM-LBPRGKRZSA-N 0 0 283.328 2.693 20 5 CFBDRN C[C@H](OC(=O)[C@]1(C)CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000754110682 574073928 /nfs/dbraw/zinc/07/39/28/574073928.db2.gz QWJZFMNVXTVWRM-IINYFYTJSA-N 0 0 279.292 2.626 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(CC1CCOCC1)CC2 ZINC000754116144 574074493 /nfs/dbraw/zinc/07/44/93/574074493.db2.gz ZFFVKBZDAUJZBO-UHFFFAOYSA-N 0 0 276.336 2.692 20 5 CFBDRN C[C@@H](OC(=O)[C@]1(C)CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000754141763 574077187 /nfs/dbraw/zinc/07/71/87/574077187.db2.gz PKYNDFGWXOKDPK-QMTHXVAHSA-N 0 0 279.292 2.626 20 5 CFBDRN CCOCCC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000728180406 574084901 /nfs/dbraw/zinc/08/49/01/574084901.db2.gz SFAFSWHTUKBVTC-JTQLQIEISA-N 0 0 267.281 2.626 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)CCOC1 ZINC000754270528 574085057 /nfs/dbraw/zinc/08/50/57/574085057.db2.gz OOUMFMFQVPWLLE-CYBMUJFWSA-N 0 0 299.710 2.718 20 5 CFBDRN Cc1c(C(=O)OCC2CCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000744769273 574086999 /nfs/dbraw/zinc/08/69/99/574086999.db2.gz LOKLLAFVDIHCSJ-UHFFFAOYSA-N 0 0 294.263 2.768 20 5 CFBDRN O=C(OCC(F)(F)C(F)F)c1cc([N+](=O)[O-])ccc1F ZINC000744775778 574087767 /nfs/dbraw/zinc/08/77/67/574087767.db2.gz FCJPFQYOTOIGBS-UHFFFAOYSA-N 0 0 299.151 2.791 20 5 CFBDRN Cc1ccc(CC(=O)Oc2cc([N+](=O)[O-])ccc2C)o1 ZINC000754309933 574088050 /nfs/dbraw/zinc/08/80/50/574088050.db2.gz KCJUFMSREXIEFH-UHFFFAOYSA-N 0 0 275.260 2.953 20 5 CFBDRN CC(C)OC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000730569521 574088491 /nfs/dbraw/zinc/08/84/91/574088491.db2.gz VMRHULRSEPLBBN-UHFFFAOYSA-N 0 0 264.325 2.989 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NCC1(C)CC1 ZINC000754334263 574089033 /nfs/dbraw/zinc/08/90/33/574089033.db2.gz PSNWQKVSLAOYTB-PKNBQFBNSA-N 0 0 274.320 2.914 20 5 CFBDRN O=C(COC(=O)c1ccc([N+](=O)[O-])cc1F)C1CCCC1 ZINC000744832155 574089843 /nfs/dbraw/zinc/08/98/43/574089843.db2.gz ACVDQNXAOVVZMJ-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN Cc1cc(COC(=O)c2c(Cl)cccc2[N+](=O)[O-])on1 ZINC000730644935 574091190 /nfs/dbraw/zinc/09/11/90/574091190.db2.gz PUUGTWMFFRMZHH-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN O=C(CCn1cc([N+](=O)[O-])cn1)OCCCC1CCCC1 ZINC000744885198 574092078 /nfs/dbraw/zinc/09/20/78/574092078.db2.gz QQSOTGRHHULFBQ-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN O=C(OCc1cscn1)c1cc(F)ccc1[N+](=O)[O-] ZINC000728334969 574092072 /nfs/dbraw/zinc/09/20/72/574092072.db2.gz KYGLJQQCZTUEEN-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN Cc1c(N)cccc1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000728358246 574093849 /nfs/dbraw/zinc/09/38/49/574093849.db2.gz PWWGJSFMVJMAFS-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN Cc1c(N)cccc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000728358492 574094046 /nfs/dbraw/zinc/09/40/46/574094046.db2.gz KKCOGAWYYLIDSR-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](n3ccnc3)C2)c(F)c1 ZINC000728566476 574100331 /nfs/dbraw/zinc/10/03/31/574100331.db2.gz GLNJNHKPWSFWPI-LBPRGKRZSA-N 0 0 290.298 2.772 20 5 CFBDRN CC(C)C(=O)COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000730846650 574100806 /nfs/dbraw/zinc/10/08/06/574100806.db2.gz WZIFKOGPUWABLD-UHFFFAOYSA-N 0 0 285.683 2.630 20 5 CFBDRN CCC[C@@H]1CN(c2ccc([N+](=O)[O-])c(OCC)c2)CCO1 ZINC000301819908 574102827 /nfs/dbraw/zinc/10/28/27/574102827.db2.gz ASAKBVYOQHCQAK-CYBMUJFWSA-N 0 0 294.351 2.999 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CC1(Cl)Cl ZINC000731028590 574106926 /nfs/dbraw/zinc/10/69/26/574106926.db2.gz ZIGGVOXHNSBIRM-SSDOTTSWSA-N 0 0 276.123 2.904 20 5 CFBDRN NC(Cc1cccs1)=NOCc1cccc([N+](=O)[O-])c1 ZINC000731028611 574106990 /nfs/dbraw/zinc/10/69/90/574106990.db2.gz GTJSEYZOMVYLFL-UHFFFAOYSA-N 0 0 291.332 2.897 20 5 CFBDRN Cn1ccnc1CNc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000731210063 574112770 /nfs/dbraw/zinc/11/27/70/574112770.db2.gz LXMLZBNGVUCUAC-UHFFFAOYSA-N 0 0 289.320 2.550 20 5 CFBDRN CC[C@H](CCOC)OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000754581130 574113467 /nfs/dbraw/zinc/11/34/67/574113467.db2.gz ZXDAPIKCROTVNW-DEBLGDTFSA-N 0 0 293.319 2.966 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000754581133 574114002 /nfs/dbraw/zinc/11/40/02/574114002.db2.gz ZXDAPIKCROTVNW-PIZKADEWSA-N 0 0 293.319 2.966 20 5 CFBDRN Cc1c(COC(=O)/C=C\C2CC2)cccc1[N+](=O)[O-] ZINC000745552008 574115760 /nfs/dbraw/zinc/11/57/60/574115760.db2.gz BZHIOZFOVDZPRX-FPLPWBNLSA-N 0 0 261.277 2.913 20 5 CFBDRN COCC[C@H](C)OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000754588728 574115880 /nfs/dbraw/zinc/11/58/80/574115880.db2.gz MNHFIHFIRBZZKW-QMMMGPOBSA-N 0 0 287.699 2.830 20 5 CFBDRN CCOc1ccc(C(=O)O[C@H](C)CCOC)cc1[N+](=O)[O-] ZINC000754595409 574118626 /nfs/dbraw/zinc/11/86/26/574118626.db2.gz CGKCZHXIATYKHF-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1nc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)cs1 ZINC000731459037 574121279 /nfs/dbraw/zinc/12/12/79/574121279.db2.gz HDNHEBWWSAJXPU-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN Cc1nc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)oc1C ZINC000731529458 574123141 /nfs/dbraw/zinc/12/31/41/574123141.db2.gz SSSGXTUZIWMBBV-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN CC/C=C/COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731548028 574123484 /nfs/dbraw/zinc/12/34/84/574123484.db2.gz SRMYOMZFMQUJDN-ONEGZZNKSA-N 0 0 253.229 2.857 20 5 CFBDRN CCC/C(C)=C/C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000731587751 574124450 /nfs/dbraw/zinc/12/44/50/574124450.db2.gz ZFHUXEFDKYEFDH-VAWYXSNFSA-N 0 0 292.335 2.836 20 5 CFBDRN C[C@H](CC(=O)OCC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000731717009 574127481 /nfs/dbraw/zinc/12/74/81/574127481.db2.gz WQMVNJXTRWVLND-SNVBAGLBSA-N 0 0 291.303 2.757 20 5 CFBDRN O=C(C[C@H]1CCCO1)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746066086 574132706 /nfs/dbraw/zinc/13/27/06/574132706.db2.gz SIXKAESWTVPWDY-LLVKDONJSA-N 0 0 299.710 2.861 20 5 CFBDRN Cc1ocnc1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746076055 574132738 /nfs/dbraw/zinc/13/27/38/574132738.db2.gz VHJWRJNCNJDMAG-UHFFFAOYSA-N 0 0 296.666 2.902 20 5 CFBDRN CCO[C@H]1C[C@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746067932 574132807 /nfs/dbraw/zinc/13/28/07/574132807.db2.gz AURSHWQQYVTIMY-PWSUYJOCSA-N 0 0 299.710 2.716 20 5 CFBDRN COc1cccc(F)c1C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000746104447 574133934 /nfs/dbraw/zinc/13/39/34/574133934.db2.gz QIHNSZQMTAXGIM-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN C[C@H](C(=O)OCc1ccno1)c1ccc([N+](=O)[O-])cc1F ZINC000755055024 574134817 /nfs/dbraw/zinc/13/48/17/574134817.db2.gz ODWFTBGJGHZRBW-QMMMGPOBSA-N 0 0 294.238 2.569 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])CCOC1 ZINC000755114638 574139385 /nfs/dbraw/zinc/13/93/85/574139385.db2.gz FBFCTIBKSBEUEB-ZDUSSCGKSA-N 0 0 299.710 2.718 20 5 CFBDRN COC[C@@H](C)CC(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000755132995 574140948 /nfs/dbraw/zinc/14/09/48/574140948.db2.gz ZSCJXMDISIBSGN-JTQLQIEISA-N 0 0 281.308 2.790 20 5 CFBDRN CCC1(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])COC1 ZINC000755128770 574140958 /nfs/dbraw/zinc/14/09/58/574140958.db2.gz CPTCCINSNSBELJ-UHFFFAOYSA-N 0 0 279.292 2.544 20 5 CFBDRN CCCCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728990544 574144065 /nfs/dbraw/zinc/14/40/65/574144065.db2.gz GUUDYFQGUWEFQQ-UHFFFAOYSA-N 0 0 255.245 2.967 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000728995254 574144528 /nfs/dbraw/zinc/14/45/28/574144528.db2.gz SSBFAZOYJSFMAE-JTQLQIEISA-N 0 0 299.298 2.838 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1ccccc1O ZINC000728992525 574144555 /nfs/dbraw/zinc/14/45/55/574144555.db2.gz XBVHQMDNAZWZRP-UHFFFAOYSA-N 0 0 291.234 2.797 20 5 CFBDRN COC[C@@H](C)CC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000755198847 574145747 /nfs/dbraw/zinc/14/57/47/574145747.db2.gz AJZLNNXCEINQQV-WDEREUQCSA-N 0 0 281.308 2.872 20 5 CFBDRN CCC1(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)COC1 ZINC000755198984 574146182 /nfs/dbraw/zinc/14/61/82/574146182.db2.gz FIAUSMAOOIKFRO-JTQLQIEISA-N 0 0 279.292 2.626 20 5 CFBDRN CS/C=C/C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000755247741 574149808 /nfs/dbraw/zinc/14/98/08/574149808.db2.gz BHEBYEYBESSMNH-AATRIKPKSA-N 0 0 253.279 2.685 20 5 CFBDRN Cc1ccc(CC(=O)Oc2ccc(C)c([N+](=O)[O-])c2)o1 ZINC000755251812 574150192 /nfs/dbraw/zinc/15/01/92/574150192.db2.gz MGVPAZAJHJUYHM-UHFFFAOYSA-N 0 0 275.260 2.953 20 5 CFBDRN Cc1ccc(OC(=O)CSC(C)C)cc1[N+](=O)[O-] ZINC000755251907 574150195 /nfs/dbraw/zinc/15/01/95/574150195.db2.gz PBNFYRDOJHFCLD-UHFFFAOYSA-N 0 0 269.322 2.950 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccnc(C2CC2)n1 ZINC000732635468 574164273 /nfs/dbraw/zinc/16/42/73/574164273.db2.gz URCDNBIFQIUKLJ-UHFFFAOYSA-N 0 0 299.286 2.790 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])c1ccnc(C2CC2)n1 ZINC000732691442 574166195 /nfs/dbraw/zinc/16/61/95/574166195.db2.gz FKGOUTHIEUKULW-UHFFFAOYSA-N 0 0 299.286 2.619 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C(F)F)C1 ZINC000755518205 574167115 /nfs/dbraw/zinc/16/71/15/574167115.db2.gz DGAQMVLIBSIDNP-TWGQIWQCSA-N 0 0 296.273 2.722 20 5 CFBDRN CCOc1cc(COC(=O)c2cc[nH]c2)ccc1[N+](=O)[O-] ZINC000755546420 574168102 /nfs/dbraw/zinc/16/81/02/574168102.db2.gz UBSAHWCGLWAULN-UHFFFAOYSA-N 0 0 290.275 2.679 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000729089007 574173348 /nfs/dbraw/zinc/17/33/48/574173348.db2.gz DJVPZSLZSZAIFS-ZOZIERIHSA-N 0 0 261.277 2.807 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000729091297 574173634 /nfs/dbraw/zinc/17/36/34/574173634.db2.gz DNXZSBRKSLEZSW-DTWKUNHWSA-N 0 0 274.276 2.889 20 5 CFBDRN CCc1ccc(C(=O)OCc2ccno2)cc1[N+](=O)[O-] ZINC000747012243 574175233 /nfs/dbraw/zinc/17/52/33/574175233.db2.gz UEQSQWOFPCFNLG-UHFFFAOYSA-N 0 0 276.248 2.502 20 5 CFBDRN CCCC(=O)COC(=O)c1ccc(NCC)c([N+](=O)[O-])c1 ZINC000738572354 574176305 /nfs/dbraw/zinc/17/63/05/574176305.db2.gz CFONITSJANRPSX-UHFFFAOYSA-N 0 0 294.307 2.553 20 5 CFBDRN COC[C@@H](C)OC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000755677667 574177541 /nfs/dbraw/zinc/17/75/41/574177541.db2.gz GDZGRQALRLDJEV-RJCSOLBVSA-N 0 0 279.292 2.576 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)C1CCSCC1 ZINC000732931280 574179223 /nfs/dbraw/zinc/17/92/23/574179223.db2.gz PRRLFFUZCBPSIQ-UHFFFAOYSA-N 0 0 281.333 2.781 20 5 CFBDRN COc1ccc(OC(=O)C2CCSCC2)c([N+](=O)[O-])c1 ZINC000732930399 574179457 /nfs/dbraw/zinc/17/94/57/574179457.db2.gz ACJHQYAJCQQVSP-UHFFFAOYSA-N 0 0 297.332 2.652 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)O[C@H]1CCCOC1 ZINC000755733855 574182552 /nfs/dbraw/zinc/18/25/52/574182552.db2.gz LOJWGTJULXJVIQ-MARXPDLDSA-N 0 0 291.303 2.720 20 5 CFBDRN COCC[C@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000755744433 574183622 /nfs/dbraw/zinc/18/36/22/574183622.db2.gz BSDROPYQMCLRDV-VHSXEESVSA-N 0 0 299.298 2.806 20 5 CFBDRN Cc1cc(C(=O)O[C@@H]2CCSC2)cc([N+](=O)[O-])c1 ZINC000729210741 574185123 /nfs/dbraw/zinc/18/51/23/574185123.db2.gz UNJUZSSVKQYBER-LLVKDONJSA-N 0 0 267.306 2.566 20 5 CFBDRN O=C(O[C@H]1CCSC1)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000729212163 574185426 /nfs/dbraw/zinc/18/54/26/574185426.db2.gz WVCJTZTUBXMDDH-VIFPVBQESA-N 0 0 292.316 2.738 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@]2(C)CCOC2)c1 ZINC000747220492 574187984 /nfs/dbraw/zinc/18/79/84/574187984.db2.gz MZNNJQDEAJOXBU-ZDUSSCGKSA-N 0 0 284.287 2.581 20 5 CFBDRN Cc1nc(CCC(=O)Nc2ccc([N+](=O)[O-])cc2)cs1 ZINC000733090793 574188812 /nfs/dbraw/zinc/18/88/12/574188812.db2.gz RUMDHYDYHHBISX-UHFFFAOYSA-N 0 0 291.332 2.931 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)C(C)C ZINC000755821019 574190141 /nfs/dbraw/zinc/19/01/41/574190141.db2.gz YRHFUKGEAXKEND-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN O=[N+]([O-])c1c(SC2CCOCC2)nc2ccccn21 ZINC000755928878 574199009 /nfs/dbraw/zinc/19/90/09/574199009.db2.gz KPQKNILBBAAKPI-UHFFFAOYSA-N 0 0 279.321 2.514 20 5 CFBDRN CCCCN(CCO)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000747620583 574203214 /nfs/dbraw/zinc/20/32/14/574203214.db2.gz BMPVRTOKOJUTJH-UHFFFAOYSA-N 0 0 295.364 2.803 20 5 CFBDRN C[Si](C)(C)COC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000740955284 574204494 /nfs/dbraw/zinc/20/44/94/574204494.db2.gz HDVCUSOBLAINOI-UHFFFAOYSA-N 0 0 298.327 2.537 20 5 CFBDRN C[C@@H]1C[C@@H](OC(=O)Cc2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000756033493 574205625 /nfs/dbraw/zinc/20/56/25/574205625.db2.gz TZOKIIQSLZVJPS-PTEHBNRSSA-N 0 0 293.319 2.637 20 5 CFBDRN C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCCF ZINC000739324220 574207528 /nfs/dbraw/zinc/20/75/28/574207528.db2.gz AMLNOJIOXXTLOV-SSDOTTSWSA-N 0 0 291.662 2.528 20 5 CFBDRN CN(CC(F)F)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000733189871 574209736 /nfs/dbraw/zinc/20/97/36/574209736.db2.gz JYVJJEGPFLOYLM-UHFFFAOYSA-N 0 0 273.264 2.906 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2cccc[n+]2[O-])c(F)c1 ZINC000747805480 574213307 /nfs/dbraw/zinc/21/33/07/574213307.db2.gz SRXHUSMWRKEJPL-UHFFFAOYSA-N 0 0 280.280 2.660 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]([C@H]3CCOC3)C2)cc1 ZINC000747842892 574215270 /nfs/dbraw/zinc/21/52/70/574215270.db2.gz CAHMZFLPVHVAAL-OLZOCXBDSA-N 0 0 276.336 2.848 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000733302607 574216212 /nfs/dbraw/zinc/21/62/12/574216212.db2.gz FZXIECCTCMUHDL-SECBINFHSA-N 0 0 264.203 2.584 20 5 CFBDRN C/C=C/C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000739589791 574220163 /nfs/dbraw/zinc/22/01/63/574220163.db2.gz QXJUFLUSDLJWFU-GORDUTHDSA-N 0 0 262.309 2.610 20 5 CFBDRN CCN(CCn1ccc([N+](=O)[O-])n1)c1ccc(Cl)cc1 ZINC000747959249 574222234 /nfs/dbraw/zinc/22/22/34/574222234.db2.gz MJLYNMMMYVSRPG-UHFFFAOYSA-N 0 0 294.742 2.971 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCCOC1CCC1 ZINC000756304412 574223444 /nfs/dbraw/zinc/22/34/44/574223444.db2.gz PHJCYZIZVUGIHD-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])O[C@@H]1C=CCCC1 ZINC000733389213 574225860 /nfs/dbraw/zinc/22/58/60/574225860.db2.gz MVAYREIMZADKCL-LLVKDONJSA-N 0 0 277.276 2.626 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])O[C@H]1C=CCCC1 ZINC000733384733 574227524 /nfs/dbraw/zinc/22/75/24/574227524.db2.gz ZHPDWURVIUIDKQ-LBPRGKRZSA-N 0 0 261.277 2.789 20 5 CFBDRN CCC[C@@H](C)OC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000748131365 574231396 /nfs/dbraw/zinc/23/13/96/574231396.db2.gz BTSSSSQXLNJFES-LLVKDONJSA-N 0 0 280.324 2.763 20 5 CFBDRN C[C@H](C(=O)OCc1csc([N+](=O)[O-])c1)C1CC1 ZINC000748143068 574232179 /nfs/dbraw/zinc/23/21/79/574232179.db2.gz NMVRKFTTYLVVBK-ZETCQYMHSA-N 0 0 255.295 2.746 20 5 CFBDRN C[C@@H](C(=O)OCCOc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000748148931 574232634 /nfs/dbraw/zinc/23/26/34/574232634.db2.gz GHFVYGCLQYVUPU-SNVBAGLBSA-N 0 0 279.292 2.563 20 5 CFBDRN CC[C@@H](C)COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000756388932 574232666 /nfs/dbraw/zinc/23/26/66/574232666.db2.gz DQRKQMQOYJUSCF-MRVPVSSYSA-N 0 0 255.245 2.937 20 5 CFBDRN Cc1cccc(C(=O)OCC[C@H]2CCCC2=O)c1[N+](=O)[O-] ZINC000748158766 574233317 /nfs/dbraw/zinc/23/33/17/574233317.db2.gz XKUQULPJBGZDNG-LLVKDONJSA-N 0 0 291.303 2.819 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCC[C@H]1CCCC1=O ZINC000748159904 574233389 /nfs/dbraw/zinc/23/33/89/574233389.db2.gz ICZVJQNBUYHQSS-LLVKDONJSA-N 0 0 291.303 2.819 20 5 CFBDRN Cc1c(C(=O)OCC[C@@H]2CCCC2=O)cccc1[N+](=O)[O-] ZINC000748160805 574233735 /nfs/dbraw/zinc/23/37/35/574233735.db2.gz UEBOSVSQEAMCSE-NSHDSACASA-N 0 0 291.303 2.819 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCCCC(C)C ZINC000729890964 574235946 /nfs/dbraw/zinc/23/59/46/574235946.db2.gz LYEMHKXVEGAZLW-UHFFFAOYSA-N 0 0 266.297 2.891 20 5 CFBDRN O=C(O[C@@H]1C=CCCC1)c1csc([N+](=O)[O-])c1 ZINC000733453176 574239154 /nfs/dbraw/zinc/23/91/54/574239154.db2.gz RNQSRMGMERAICL-SECBINFHSA-N 0 0 253.279 2.922 20 5 CFBDRN Nc1c(C(=O)O[C@@H]2C=CCCC2)cc(F)cc1[N+](=O)[O-] ZINC000733457007 574239504 /nfs/dbraw/zinc/23/95/04/574239504.db2.gz SSAKFOUGCWEWHE-SECBINFHSA-N 0 0 280.255 2.582 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1ccc([N+](=O)[O-])c(F)c1 ZINC000733484137 574240994 /nfs/dbraw/zinc/24/09/94/574240994.db2.gz FCYKCTLLKQZDLB-JTQLQIEISA-N 0 0 265.240 2.999 20 5 CFBDRN CC(C)CCOCCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000733484836 574241053 /nfs/dbraw/zinc/24/10/53/574241053.db2.gz RANUPUZAMCNSPZ-UHFFFAOYSA-N 0 0 299.298 2.953 20 5 CFBDRN C[C@@H](COC(=O)Cc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000748291684 574245429 /nfs/dbraw/zinc/24/54/29/574245429.db2.gz HYNSPAXZUMARPM-QMMMGPOBSA-N 0 0 291.225 2.879 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H](C)C(C)C ZINC000733578513 574247160 /nfs/dbraw/zinc/24/71/60/574247160.db2.gz LZJNBDBJVISBGJ-JTQLQIEISA-N 0 0 281.308 2.939 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Oc1cccc(F)c1 ZINC000756598816 574251177 /nfs/dbraw/zinc/25/11/77/574251177.db2.gz LETJUZVSYGCRLO-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc(N(CCCCO)C2CC2)c(Cl)c1 ZINC000748436355 574252052 /nfs/dbraw/zinc/25/20/52/574252052.db2.gz ANWGUVIRUYUWPD-UHFFFAOYSA-N 0 0 284.743 2.990 20 5 CFBDRN C[C@@H](OC(=O)C[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000740541373 574254618 /nfs/dbraw/zinc/25/46/18/574254618.db2.gz HYFRLJKGADAPBF-MNOVXSKESA-N 0 0 279.292 2.626 20 5 CFBDRN Cc1ccoc1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000756821456 574265645 /nfs/dbraw/zinc/26/56/45/574265645.db2.gz SKQKXAGFANOLND-UHFFFAOYSA-N 0 0 289.243 2.536 20 5 CFBDRN CO[C@H](C)CN(C(=O)c1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000740803723 574267987 /nfs/dbraw/zinc/26/79/87/574267987.db2.gz RUOBUFMYNIEVGT-SNVBAGLBSA-N 0 0 298.314 2.619 20 5 CFBDRN C[C@@H]1C[C@H](C)N(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000740871272 574271722 /nfs/dbraw/zinc/27/17/22/574271722.db2.gz QBHFXDPRFKNULN-BDAKNGLRSA-N 0 0 265.269 2.738 20 5 CFBDRN C[C@H](OC(=O)C[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000749056192 574277957 /nfs/dbraw/zinc/27/79/57/574277957.db2.gz NATOXNJAOXULGD-QWRGUYRKSA-N 0 0 279.292 2.768 20 5 CFBDRN CC[C@H](OC)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000749053129 574278035 /nfs/dbraw/zinc/27/80/35/574278035.db2.gz DBMWAMUDKOPKLZ-CABZTGNLSA-N 0 0 267.281 2.624 20 5 CFBDRN CCO[C@@H]1C[C@@H]1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000749053192 574278061 /nfs/dbraw/zinc/27/80/61/574278061.db2.gz DYWXGCUDZISCNC-SUZMYJTESA-N 0 0 279.292 2.624 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)C1CC=CC1 ZINC000741045272 574280132 /nfs/dbraw/zinc/28/01/32/574280132.db2.gz VVXRNDKYZGXELI-UHFFFAOYSA-N 0 0 277.276 2.613 20 5 CFBDRN O=C(CCn1cccc1)OCc1ccc([N+](=O)[O-])cc1 ZINC000749131636 574281601 /nfs/dbraw/zinc/28/16/01/574281601.db2.gz HWETWTZQTBIDRO-UHFFFAOYSA-N 0 0 274.276 2.530 20 5 CFBDRN C[C@@H](CNC(=O)Cc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000734336449 574282655 /nfs/dbraw/zinc/28/26/55/574282655.db2.gz KJCOPVKFWKRTIZ-NSHDSACASA-N 0 0 278.352 2.936 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCCCF ZINC000741444513 574290413 /nfs/dbraw/zinc/29/04/13/574290413.db2.gz PEZXAKLFHJSCFO-SECBINFHSA-N 0 0 287.312 2.978 20 5 CFBDRN Cc1[nH]nc(C(=O)OCc2cccc([N+](=O)[O-])c2C)c1C ZINC000734620971 574295983 /nfs/dbraw/zinc/29/59/83/574295983.db2.gz DCVHTKZYQKQEEU-UHFFFAOYSA-N 0 0 289.291 2.600 20 5 CFBDRN O=C(COCC1CC1)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734892221 574306799 /nfs/dbraw/zinc/30/67/99/574306799.db2.gz YHMMCHCNGAYYDC-UHFFFAOYSA-N 0 0 299.710 2.718 20 5 CFBDRN CC(C)OCC(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734894097 574307110 /nfs/dbraw/zinc/30/71/10/574307110.db2.gz USSQSRONOWRATJ-UHFFFAOYSA-N 0 0 287.699 2.716 20 5 CFBDRN CC[C@@H](C)NC(=S)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000749752396 574307283 /nfs/dbraw/zinc/30/72/83/574307283.db2.gz PYMIVMIPDALPOL-SECBINFHSA-N 0 0 267.354 2.988 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=S)Nc3ccc([N+](=O)[O-])cc3)C[C@@H]21 ZINC000741862352 574307334 /nfs/dbraw/zinc/30/73/34/574307334.db2.gz GYMMTVRNIKMUAB-TXEJJXNPSA-N 0 0 291.376 2.879 20 5 CFBDRN COC(C)(C)C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000741935609 574310364 /nfs/dbraw/zinc/31/03/64/574310364.db2.gz HIMSNZWBBVZKCC-UHFFFAOYSA-N 0 0 286.715 2.920 20 5 CFBDRN C/C=C/C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000741958263 574312089 /nfs/dbraw/zinc/31/20/89/574312089.db2.gz QODYUNKPGGKNSQ-IBUXWKBASA-N 0 0 278.333 2.745 20 5 CFBDRN C[C@@H](COC(=O)c1cc([N+](=O)[O-])cn1C)c1ccccc1 ZINC000735132416 574314130 /nfs/dbraw/zinc/31/41/30/574314130.db2.gz YFRDGKSRYKURDC-NSHDSACASA-N 0 0 288.303 2.894 20 5 CFBDRN CCC/C=C\C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000735208664 574316331 /nfs/dbraw/zinc/31/63/31/574316331.db2.gz NHLAEMRXEGCHLT-WAYWQWQTSA-N 0 0 265.265 2.865 20 5 CFBDRN O=C(CC1CCOCC1)OCc1csc([N+](=O)[O-])c1 ZINC000735358825 574321701 /nfs/dbraw/zinc/32/17/01/574321701.db2.gz PTGLINRNZIBGIG-UHFFFAOYSA-N 0 0 285.321 2.516 20 5 CFBDRN Cc1ccc(CCOC(=O)c2ccc([N+](=O)[O-])[n-]2)cc1 ZINC000735398832 574323435 /nfs/dbraw/zinc/32/34/35/574323435.db2.gz SAGTZCFVWYBDGL-UHFFFAOYSA-N 0 0 274.276 2.631 20 5 CFBDRN Cc1c(CON=C(N)CC2CC2)cccc1[N+](=O)[O-] ZINC000742364689 574324163 /nfs/dbraw/zinc/32/41/63/574324163.db2.gz IZZUDDZVPONIOM-UHFFFAOYSA-N 0 0 263.297 2.702 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Oc1ccc([N+](=O)[O-])nc1 ZINC000735487184 574326544 /nfs/dbraw/zinc/32/65/44/574326544.db2.gz RNYLLZPTOZRHJC-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC(c2cn[nH]c2)CC1 ZINC000742398915 574327901 /nfs/dbraw/zinc/32/79/01/574327901.db2.gz HUSOVYAUVJFPSH-UHFFFAOYSA-N 0 0 278.337 2.763 20 5 CFBDRN Cc1cccc(NCCNc2cccc(F)c2[N+](=O)[O-])n1 ZINC000750171500 574329096 /nfs/dbraw/zinc/32/90/96/574329096.db2.gz MDSMIFJPLWGKOD-UHFFFAOYSA-N 0 0 290.298 2.961 20 5 CFBDRN Cc1ccc([C@@H](C)C(=O)NCc2ccc([N+](=O)[O-])cc2)o1 ZINC000742563339 574334648 /nfs/dbraw/zinc/33/46/48/574334648.db2.gz XQLIOJFXMDSDDL-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])OCC1CC=CC1 ZINC000750379001 574336246 /nfs/dbraw/zinc/33/62/46/574336246.db2.gz YSHZWRMCJMXNIE-UHFFFAOYSA-N 0 0 261.277 2.647 20 5 CFBDRN CS[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000742739124 574341970 /nfs/dbraw/zinc/34/19/70/574341970.db2.gz FUJQZJVUDNPBML-CMPLNLGQSA-N 0 0 294.376 2.917 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000742739129 574342106 /nfs/dbraw/zinc/34/21/06/574342106.db2.gz FUJQZJVUDNPBML-PWSUYJOCSA-N 0 0 294.376 2.917 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000742742922 574342215 /nfs/dbraw/zinc/34/22/15/574342215.db2.gz XEVZTXYGUHIWRP-NXEZZACHSA-N 0 0 280.349 2.609 20 5 CFBDRN CS[C@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000742742997 574342725 /nfs/dbraw/zinc/34/27/25/574342725.db2.gz JZSJMSPYVXJBEJ-WPRPVWTQSA-N 0 0 298.339 2.748 20 5 CFBDRN CS[C@H]1CC[C@@H](NC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000742743867 574342762 /nfs/dbraw/zinc/34/27/62/574342762.db2.gz IAPQIVVOWBKGML-BDAKNGLRSA-N 0 0 286.378 2.670 20 5 CFBDRN C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000735962215 574343985 /nfs/dbraw/zinc/34/39/85/574343985.db2.gz FCYGBBZVXMAPMR-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN Cc1[nH]ccc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000750532196 574346424 /nfs/dbraw/zinc/34/64/24/574346424.db2.gz GXLVBVRLMWIMSN-UHFFFAOYSA-N 0 0 278.239 2.727 20 5 CFBDRN CC1(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000750534897 574346683 /nfs/dbraw/zinc/34/66/83/574346683.db2.gz POAICRMFLYMNBE-UHFFFAOYSA-N 0 0 277.276 2.511 20 5 CFBDRN C/C=C/C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 ZINC000742874611 574346781 /nfs/dbraw/zinc/34/67/81/574346781.db2.gz UTLGRKFIMNYNDE-NSCUHMNNSA-N 0 0 290.319 2.541 20 5 CFBDRN C[C@H](CC(=O)OCCOc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000742894601 574347242 /nfs/dbraw/zinc/34/72/42/574347242.db2.gz XKJKFAFUXRQZHV-LLVKDONJSA-N 0 0 293.319 2.953 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H]1CCCCC1=O ZINC000742998771 574348915 /nfs/dbraw/zinc/34/89/15/574348915.db2.gz YFUHOCAWFFAMKA-ZDUSSCGKSA-N 0 0 277.276 2.572 20 5 CFBDRN Cc1cccc(C(=O)OCCOC2CCC2)c1[N+](=O)[O-] ZINC000750769805 574354637 /nfs/dbraw/zinc/35/46/37/574354637.db2.gz BFYRNLHQNLTBPI-UHFFFAOYSA-N 0 0 279.292 2.629 20 5 CFBDRN CCCC[C@@H](COC)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000736298729 574360435 /nfs/dbraw/zinc/36/04/35/574360435.db2.gz LPEVKCLKPXAMNK-VIFPVBQESA-N 0 0 294.311 2.748 20 5 CFBDRN O=C(CCn1cccc1)OCc1ccccc1[N+](=O)[O-] ZINC000750945111 574364776 /nfs/dbraw/zinc/36/47/76/574364776.db2.gz WRCOIIGNYYTVHY-UHFFFAOYSA-N 0 0 274.276 2.530 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=S)NCC(F)(F)F ZINC000750974956 574366113 /nfs/dbraw/zinc/36/61/13/574366113.db2.gz GLLXJQPGPZJUGB-UHFFFAOYSA-N 0 0 293.270 2.752 20 5 CFBDRN Cc1cc(COC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])on1 ZINC000725934945 574371206 /nfs/dbraw/zinc/37/12/06/574371206.db2.gz BUWNRGSZQPOOGX-UHFFFAOYSA-N 0 0 298.201 2.526 20 5 CFBDRN O=C(OCCC(F)(F)F)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000725935873 574372051 /nfs/dbraw/zinc/37/20/51/574372051.db2.gz PITRECZLMUWRRM-UHFFFAOYSA-N 0 0 299.151 2.982 20 5 CFBDRN CCCCC(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000751626656 574380295 /nfs/dbraw/zinc/38/02/95/574380295.db2.gz CTXNZVUHOPMUIW-UHFFFAOYSA-N 0 0 278.264 2.969 20 5 CFBDRN O=C(CCOCC1CC1)OCc1c(F)cccc1[N+](=O)[O-] ZINC000751719534 574381333 /nfs/dbraw/zinc/38/13/33/574381333.db2.gz FYZJIYVGGWJWCZ-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@H]1C[C@H]1C1CC1 ZINC000751733378 574381608 /nfs/dbraw/zinc/38/16/08/574381608.db2.gz BPMKMPAGGWNPQU-UWVGGRQHSA-N 0 0 267.306 2.746 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@@H]1C[C@H]1C1CC1 ZINC000751738401 574381851 /nfs/dbraw/zinc/38/18/51/574381851.db2.gz AHOZJZWIMPLZKP-NWDGAFQWSA-N 0 0 279.267 2.823 20 5 CFBDRN CCOCCC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000751836718 574383605 /nfs/dbraw/zinc/38/36/05/574383605.db2.gz ZAZJDAGZBVUEJK-SNVBAGLBSA-N 0 0 267.281 2.626 20 5 CFBDRN CC[C@@H](C)OCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000751876446 574384122 /nfs/dbraw/zinc/38/41/22/574384122.db2.gz RPCIKUASNVRWGJ-SECBINFHSA-N 0 0 285.271 2.592 20 5 CFBDRN C[C@H](C[C@H](O)c1cccs1)Nc1cccnc1[N+](=O)[O-] ZINC000751941077 574385311 /nfs/dbraw/zinc/38/53/11/574385311.db2.gz FKGYOBOOYGDFBP-KOLCDFICSA-N 0 0 293.348 2.975 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2(C)CC2)c1 ZINC000751968004 574386036 /nfs/dbraw/zinc/38/60/36/574386036.db2.gz NFSZVYDTUUSMMX-UHFFFAOYSA-N 0 0 254.261 2.955 20 5 CFBDRN Cc1cc(C(=O)Nc2cccc3nonc32)ccc1[N+](=O)[O-] ZINC000752949286 574396762 /nfs/dbraw/zinc/39/67/62/574396762.db2.gz GDTFUIZBHJOYMI-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2C[C@H](OC)C2(C)C)c1 ZINC000753361515 574401811 /nfs/dbraw/zinc/40/18/11/574401811.db2.gz NOJMWCLQSOOCDJ-RYUDHWBXSA-N 0 0 298.314 2.968 20 5 CFBDRN C/C(Cl)=C\C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000900156791 620647355 /nfs/dbraw/zinc/64/73/55/620647355.db2.gz FATIWOUUAKUQFH-VQHVLOKHSA-N 0 0 268.700 2.696 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])N1CCCCCO1 ZINC000935592206 649920422 /nfs/dbraw/zinc/92/04/22/649920422.db2.gz HNUQYXMODXCVTI-UHFFFAOYSA-N 0 0 296.298 2.611 20 5 CFBDRN Cc1c(CNC(=O)[C@]2(C)C=CCC2)cccc1[N+](=O)[O-] ZINC000935611826 649922780 /nfs/dbraw/zinc/92/27/80/649922780.db2.gz KQTJXFKHGBGPAY-OAHLLOKOSA-N 0 0 274.320 2.876 20 5 CFBDRN CC[C@@](C)(CC(=O)[O-])[NH2+]Cc1cccc([N+](=O)[O-])c1C ZINC000828665426 601557866 /nfs/dbraw/zinc/55/78/66/601557866.db2.gz RMMZCKVVNDEXFJ-AWEZNQCLSA-N 0 0 280.324 2.636 20 5 CFBDRN O=C([O-])c1ccc(C[NH2+]Cc2ccccc2[N+](=O)[O-])cc1 ZINC000033678292 601581506 /nfs/dbraw/zinc/58/15/06/601581506.db2.gz SLILTYTXVOYAKN-UHFFFAOYSA-N 0 0 286.287 2.583 20 5 CFBDRN CC(C)[N@@H+](C/C=C/c1ccccc1[N+](=O)[O-])CCC(=O)[O-] ZINC000833496472 604643938 /nfs/dbraw/zinc/64/39/38/604643938.db2.gz FLAAUWIZMQEFGR-FNORWQNLSA-N 0 0 292.335 2.793 20 5 CFBDRN C[C@@]1([NH2+]Cc2cccc([N+](=O)[O-])c2)CCCC[C@H]1C(=O)[O-] ZINC000833568459 604812847 /nfs/dbraw/zinc/81/28/47/604812847.db2.gz GHXVLAODLMCAEN-DZGCQCFKSA-N 0 0 292.335 2.718 20 5 CFBDRN C[C@]1([NH2+]Cc2ccccc2[N+](=O)[O-])CCCC[C@H]1C(=O)[O-] ZINC000833569445 604813646 /nfs/dbraw/zinc/81/36/46/604813646.db2.gz KALLUBBEXYJTFZ-WFASDCNBSA-N 0 0 292.335 2.718 20 5 CFBDRN CC(C)(C)C[C@@H]([NH2+]CCc1ccc([N+](=O)[O-])cc1)C(=O)[O-] ZINC000833422871 605152111 /nfs/dbraw/zinc/15/21/11/605152111.db2.gz BPCGWZGJEWMDKY-CYBMUJFWSA-N 0 0 294.351 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCn2cc(C3CCC3)nn2)cc1 ZINC000900524717 620683076 /nfs/dbraw/zinc/68/30/76/620683076.db2.gz LVXQLNINYXKYJA-UHFFFAOYSA-N 0 0 288.307 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(CCn2cc(C3CCC3)nn2)cc1 ZINC000900523685 620683150 /nfs/dbraw/zinc/68/31/50/620683150.db2.gz FLBCYUUWPIAFBJ-UHFFFAOYSA-N 0 0 272.308 2.697 20 5 CFBDRN CC[C@H](C)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000023520631 617801620 /nfs/dbraw/zinc/80/16/20/617801620.db2.gz UUDMCHANMBQGMV-VHSXEESVSA-N 0 0 294.307 2.511 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000812623291 617884855 /nfs/dbraw/zinc/88/48/55/617884855.db2.gz QKMKODQBECLTCT-UWVGGRQHSA-N 0 0 299.298 2.696 20 5 CFBDRN CSCC(C)(C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000890875507 617932977 /nfs/dbraw/zinc/93/29/77/617932977.db2.gz KUJAXPTXOVLSHN-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN Cc1ccc2c(CCNc3c([N+](=O)[O-])cnn3C)c[nH]c2c1 ZINC000892178693 617984315 /nfs/dbraw/zinc/98/43/15/617984315.db2.gz IGYKWCNLEDMKJT-UHFFFAOYSA-N 0 0 299.334 2.773 20 5 CFBDRN CC(C)(C(=O)NC/C=C/Cl)c1ccc([N+](=O)[O-])cc1 ZINC000891209548 618045579 /nfs/dbraw/zinc/04/55/79/618045579.db2.gz SNRZRRALOVIASX-FPYGCLRLSA-N 0 0 282.727 2.741 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000177746613 618083871 /nfs/dbraw/zinc/08/38/71/618083871.db2.gz SLNVPXBFQGANEP-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050201449 618086251 /nfs/dbraw/zinc/08/62/51/618086251.db2.gz PBIFDSTVXIDGFU-SNVBAGLBSA-N 0 0 279.340 2.876 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1Sc1ncco1 ZINC000804662454 617686789 /nfs/dbraw/zinc/68/67/89/617686789.db2.gz AUVDSKIFRNWLMS-UHFFFAOYSA-N 0 0 267.222 2.642 20 5 CFBDRN C[C@H]1C[C@@H]1COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000859541665 617720664 /nfs/dbraw/zinc/72/06/64/617720664.db2.gz KRMMHRXBJNUSBR-IONNQARKSA-N 0 0 253.229 2.547 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)c1[N+](=O)[O-] ZINC000924375716 617751629 /nfs/dbraw/zinc/75/16/29/617751629.db2.gz HGUCLRIFRMIZQM-RTCCRHLQSA-N 0 0 294.307 2.761 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC[C@@H]1c1ccsc1 ZINC000891842593 617780895 /nfs/dbraw/zinc/78/08/95/617780895.db2.gz OYJAKWKORXBNBP-SNVBAGLBSA-N 0 0 278.337 2.731 20 5 CFBDRN C[C@@H]1CN(C(=O)CCc2cc(F)ccc2[N+](=O)[O-])C[C@H]1C ZINC000933817310 617782158 /nfs/dbraw/zinc/78/21/58/617782158.db2.gz QKXBDVINRODIBQ-GHMZBOCLSA-N 0 0 294.326 2.781 20 5 CFBDRN C[C@@H]1CCCN1C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933815988 617784839 /nfs/dbraw/zinc/78/48/39/617784839.db2.gz ULEOMADRELGGIG-HONMWMINSA-N 0 0 274.320 2.709 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CC2(C1)CC(F)(F)C2 ZINC000804688165 617812143 /nfs/dbraw/zinc/81/21/43/617812143.db2.gz GRPJEXBKYGYORJ-UHFFFAOYSA-N 0 0 299.233 2.739 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCCOC(F)F ZINC000804695325 617818984 /nfs/dbraw/zinc/81/89/84/617818984.db2.gz IALUZURTGZBELT-UHFFFAOYSA-N 0 0 288.250 2.839 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000840533532 617821269 /nfs/dbraw/zinc/82/12/69/617821269.db2.gz WQUHKIFMFQNGFZ-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCCc2ccc3c[nH]nc3c2)c1 ZINC000804705710 617830018 /nfs/dbraw/zinc/83/00/18/617830018.db2.gz IFUVACPYRJAEDG-UHFFFAOYSA-N 0 0 297.318 2.708 20 5 CFBDRN CCC1(COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)COC1 ZINC000255412317 617840168 /nfs/dbraw/zinc/84/01/68/617840168.db2.gz GVGVCPQWBUBLHL-YVMONPNESA-N 0 0 291.303 2.578 20 5 CFBDRN C[C@H]1C[C@H](COC(=O)c2ccccc2[N+](=O)[O-])CCO1 ZINC000778362405 617844958 /nfs/dbraw/zinc/84/49/58/617844958.db2.gz WJMFXMNUNGGOAX-WDEREUQCSA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@H](NC(=S)Nc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000806606701 617862986 /nfs/dbraw/zinc/86/29/86/617862986.db2.gz SPYMKMJKEMGDCD-LURJTMIESA-N 0 0 293.270 2.832 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000890770242 617865621 /nfs/dbraw/zinc/86/56/21/617865621.db2.gz UWZRXHIYOBGPGT-PSASIEDQSA-N 0 0 266.322 2.741 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000804781216 617879050 /nfs/dbraw/zinc/87/90/50/617879050.db2.gz HJURBZHBKPIYSO-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@@H]3C[C@@H]32)c([N+](=O)[O-])c1 ZINC000804780453 617879360 /nfs/dbraw/zinc/87/93/60/617879360.db2.gz BVYNQOGDFZGRKG-YPMHNXCESA-N 0 0 260.293 2.786 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000891969628 617890305 /nfs/dbraw/zinc/89/03/05/617890305.db2.gz QOQHRQUGHQLULG-CYBMUJFWSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1ccc([C@H](O)[C@@H](C)Nc2ccc([N+](=O)[O-])cn2)cc1 ZINC000804809569 617891730 /nfs/dbraw/zinc/89/17/30/617891730.db2.gz GJOYYIPIQBULAU-IAQYHMDHSA-N 0 0 287.319 2.832 20 5 CFBDRN O=C(CCc1ccoc1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000176248166 617894279 /nfs/dbraw/zinc/89/42/79/617894279.db2.gz VIWFRHIGRIFQRB-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N(C)Cc1ccoc1C ZINC000891973761 617895196 /nfs/dbraw/zinc/89/51/96/617895196.db2.gz WYESKQKKVNNMKD-UHFFFAOYSA-N 0 0 277.280 2.536 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOC[C@@H]2CC(F)F)c(F)c1 ZINC000804825715 617902329 /nfs/dbraw/zinc/90/23/29/617902329.db2.gz CXLFVKFTYATYLF-VIFPVBQESA-N 0 0 290.241 2.594 20 5 CFBDRN O=C(OCc1ccccn1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000901173246 620761907 /nfs/dbraw/zinc/76/19/07/620761907.db2.gz SKOJQPUARXQZGI-GJZGRUSLSA-N 0 0 298.298 2.837 20 5 CFBDRN CCc1ccc([C@H](C)CC(=O)OC[C@@H](C)[N+](=O)[O-])cc1 ZINC000813103987 617924604 /nfs/dbraw/zinc/92/46/04/617924604.db2.gz VRUKNRQSONGBCU-VXGBXAGGSA-N 0 0 279.336 2.951 20 5 CFBDRN C[C@H](CC(=O)OC[C@@H](C)[N+](=O)[O-])c1ccccc1F ZINC000813109856 617934778 /nfs/dbraw/zinc/93/47/78/617934778.db2.gz PQYRLPBZLDUJKM-NXEZZACHSA-N 0 0 269.272 2.528 20 5 CFBDRN C[C@]1(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)C=CCC1 ZINC000924402967 617939011 /nfs/dbraw/zinc/93/90/11/617939011.db2.gz AHRSLCIENQDVGG-HNNXBMFYSA-N 0 0 289.287 2.677 20 5 CFBDRN Cc1ccccc1[C@@H](C)CC(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813115419 617953586 /nfs/dbraw/zinc/95/35/86/617953586.db2.gz RGJVVXXXPPVDIL-RYUDHWBXSA-N 0 0 265.309 2.697 20 5 CFBDRN CCCC[C@@H]([NH2+]C/C=C/c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000263390393 617980076 /nfs/dbraw/zinc/98/00/76/617980076.db2.gz DZTMTIIBWMBJNI-STMXVASLSA-N 0 0 292.335 2.841 20 5 CFBDRN CO[C@@H](C)CCNc1cc([N+](=O)[O-])ccc1C(C)=O ZINC000807957850 617994697 /nfs/dbraw/zinc/99/46/97/617994697.db2.gz AGMCJUILZFTLPK-VIFPVBQESA-N 0 0 266.297 2.634 20 5 CFBDRN Cc1ccc(OC(=O)c2cccc3c[nH]nc32)c([N+](=O)[O-])c1 ZINC000051558483 618019122 /nfs/dbraw/zinc/01/91/22/618019122.db2.gz RXCLYKWJZOINRY-UHFFFAOYSA-N 0 0 297.270 2.999 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC/C=C\Cl ZINC000891196647 618033010 /nfs/dbraw/zinc/03/30/10/618033010.db2.gz DWHWCGRZZIXODT-UTCJRWHESA-N 0 0 268.700 2.694 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000725741664 618042735 /nfs/dbraw/zinc/04/27/35/618042735.db2.gz BDNJFZXDWLYKIV-UHFFFAOYSA-N 0 0 259.265 2.508 20 5 CFBDRN O=C(NC/C=C/Cl)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000891214928 618048346 /nfs/dbraw/zinc/04/83/46/618048346.db2.gz FQFBPQBJAPPRSR-LZCJLJQNSA-N 0 0 295.726 2.651 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CC2(CC2)Oc2ccccc21 ZINC000934484249 618052752 /nfs/dbraw/zinc/05/27/52/618052752.db2.gz LRRXAVWSYQMVFK-UHFFFAOYSA-N 0 0 297.314 2.921 20 5 CFBDRN COC(=O)C[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000050085071 618053914 /nfs/dbraw/zinc/05/39/14/618053914.db2.gz QJENWQSTCTZYER-CYBMUJFWSA-N 0 0 278.308 2.517 20 5 CFBDRN CCCCOC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000924430893 618066279 /nfs/dbraw/zinc/06/62/79/618066279.db2.gz LGIJIFIWEYWHKM-UHFFFAOYSA-N 0 0 267.281 2.950 20 5 CFBDRN CCC[C@@H](CC)Nc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000050405819 618066417 /nfs/dbraw/zinc/06/64/17/618066417.db2.gz SUZGFZWIPRSEMR-SECBINFHSA-N 0 0 293.323 2.916 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050201451 618092371 /nfs/dbraw/zinc/09/23/71/618092371.db2.gz PBIFDSTVXIDGFU-JTQLQIEISA-N 0 0 279.340 2.876 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000071208591 618095341 /nfs/dbraw/zinc/09/53/41/618095341.db2.gz ZPCQDVLXKLNVEO-PRHODGIISA-N 0 0 266.272 2.652 20 5 CFBDRN CNc1ccc(C(=O)O[C@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000780555118 618105239 /nfs/dbraw/zinc/10/52/39/618105239.db2.gz JMHACHJWGPGRKM-JTQLQIEISA-N 0 0 262.265 2.512 20 5 CFBDRN Cc1c(NC(=S)NCC(C)C)cccc1[N+](=O)[O-] ZINC000013785758 618107255 /nfs/dbraw/zinc/10/72/55/618107255.db2.gz SVIUHBCIRTVLLP-UHFFFAOYSA-N 0 0 267.354 2.846 20 5 CFBDRN O=C(NC1CC=CC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000934688823 618107708 /nfs/dbraw/zinc/10/77/08/618107708.db2.gz XGYRFVCGAIISHQ-UONOGXRCSA-N 0 0 272.304 2.533 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)O[C@@H]1CC[C@@H](C)C1 ZINC000779258285 618117585 /nfs/dbraw/zinc/11/75/85/618117585.db2.gz LRBGDHYPVMETEX-LDYMZIIASA-N 0 0 264.281 2.644 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)OCCCOC ZINC000135896954 618118633 /nfs/dbraw/zinc/11/86/33/618118633.db2.gz VNLDBRJMHQJPLX-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN CC(C)OCCOC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000058825317 618127597 /nfs/dbraw/zinc/12/75/97/618127597.db2.gz WNFCXMNIMWIRRK-UHFFFAOYSA-N 0 0 287.699 2.830 20 5 CFBDRN CCO[C@H]1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000932972397 618130711 /nfs/dbraw/zinc/13/07/11/618130711.db2.gz ODVPWESKFZDIOS-LBPRGKRZSA-N 0 0 284.743 2.859 20 5 CFBDRN CC(C)C[C@H](C)C[C@H](C)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000781486482 618149987 /nfs/dbraw/zinc/14/99/87/618149987.db2.gz WLCYYJIBELAHJP-QWRGUYRKSA-N 0 0 297.355 2.946 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@H]2c2cccc(F)c2)c1 ZINC000077447588 618151197 /nfs/dbraw/zinc/15/11/97/618151197.db2.gz CPWZLBFVVKUWRS-AWEZNQCLSA-N 0 0 290.298 2.725 20 5 CFBDRN C[C@@H](CCO)SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000090360207 618167504 /nfs/dbraw/zinc/16/75/04/618167504.db2.gz DHXOFEPBKOOJQU-QMMMGPOBSA-N 0 0 286.309 2.507 20 5 CFBDRN CC1(C)CCN1C(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000933299428 618194130 /nfs/dbraw/zinc/19/41/30/618194130.db2.gz VGSKGUISCIMVAV-OLZOCXBDSA-N 0 0 274.320 2.709 20 5 CFBDRN Nc1c(OCC2CC2)cc(Br)cc1[N+](=O)[O-] ZINC000309535136 618194224 /nfs/dbraw/zinc/19/42/24/618194224.db2.gz FDIOWIAVJZADQB-UHFFFAOYSA-N 0 0 287.113 2.728 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1CCCc2ccccc21 ZINC000891425931 618194567 /nfs/dbraw/zinc/19/45/67/618194567.db2.gz DSRGHTIVYALUAO-GFCCVEGCSA-N 0 0 272.308 2.818 20 5 CFBDRN CCCCOCCCNc1ncc([N+](=O)[O-])cc1OC ZINC000891440719 618203756 /nfs/dbraw/zinc/20/37/56/618203756.db2.gz ORCUTGSMBGYOIQ-UHFFFAOYSA-N 0 0 283.328 2.617 20 5 CFBDRN O=C([O-])C[C@@H]1CCC[N@@H+]1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000093633510 618208853 /nfs/dbraw/zinc/20/88/53/618208853.db2.gz PSICKLIDMPTXQP-NSHDSACASA-N 0 0 298.726 2.687 20 5 CFBDRN CCCC(CCC)[S@](=O)CCn1cc([N+](=O)[O-])cn1 ZINC000158827814 618211917 /nfs/dbraw/zinc/21/19/17/618211917.db2.gz QDIYVOVMNIOSTI-IBGZPJMESA-N 0 0 287.385 2.509 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2F)CC(C)(C)O1 ZINC000049177149 618251746 /nfs/dbraw/zinc/25/17/46/618251746.db2.gz QTAHXXIXBHPDQE-VIFPVBQESA-N 0 0 268.288 2.738 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@H](c1ccccc1)C1CC1 ZINC000893969118 618255633 /nfs/dbraw/zinc/25/56/33/618255633.db2.gz VAJKAHJAUWFZIY-CYBMUJFWSA-N 0 0 286.335 2.934 20 5 CFBDRN CC1(C)CCC[C@H](C(=O)OCCn2cc([N+](=O)[O-])cn2)C1 ZINC000179291516 618257560 /nfs/dbraw/zinc/25/75/60/618257560.db2.gz MDDXLKUGJDMTCS-NSHDSACASA-N 0 0 295.339 2.551 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCCc1cccc(F)c1 ZINC000892440700 618276823 /nfs/dbraw/zinc/27/68/23/618276823.db2.gz FXKDMDIPRYNBGS-UHFFFAOYSA-N 0 0 278.287 2.512 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H](CO)c1ccccc1 ZINC000749285907 618282626 /nfs/dbraw/zinc/28/26/26/618282626.db2.gz JMDVMOIRELGKIH-LBPRGKRZSA-N 0 0 290.294 2.922 20 5 CFBDRN C[C@@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])C(F)F ZINC000894039631 618284118 /nfs/dbraw/zinc/28/41/18/618284118.db2.gz NKGNJKWPIRXIBD-MRVPVSSYSA-N 0 0 286.278 2.642 20 5 CFBDRN CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccon1 ZINC000892450028 618285065 /nfs/dbraw/zinc/28/50/65/618285065.db2.gz DZUUOSJTVZYZRL-UHFFFAOYSA-N 0 0 289.291 2.523 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)C(F)F ZINC000894043125 618285991 /nfs/dbraw/zinc/28/59/91/618285991.db2.gz OJIBLRZOGQHLJI-FUOZMLNRSA-N 0 0 284.262 2.768 20 5 CFBDRN C[C@H]1CSCCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000930881769 618312599 /nfs/dbraw/zinc/31/25/99/618312599.db2.gz FGTSDBNQXSWSBR-JTQLQIEISA-N 0 0 295.364 2.954 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H]2CCSC2)c1 ZINC000103829642 618328994 /nfs/dbraw/zinc/32/89/94/618328994.db2.gz YBQQAWOBAZEQKM-MRVPVSSYSA-N 0 0 299.373 2.979 20 5 CFBDRN Cc1cccc(C(=O)Nc2cccc(F)n2)c1[N+](=O)[O-] ZINC000162238193 618330298 /nfs/dbraw/zinc/33/02/98/618330298.db2.gz XCSDWFJNEASWNC-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(C)(C)CCNC(=O)COc1cc(F)ccc1[N+](=O)[O-] ZINC000053367205 618338228 /nfs/dbraw/zinc/33/82/28/618338228.db2.gz MTUKXKYRCJQDJN-UHFFFAOYSA-N 0 0 298.314 2.665 20 5 CFBDRN Cc1cnc(COc2cccc([N+](=O)[O-])c2)s1 ZINC000179730059 618344765 /nfs/dbraw/zinc/34/47/65/618344765.db2.gz WENLVBFAEUQCQA-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@H]1C=CCC1 ZINC000893327217 618362922 /nfs/dbraw/zinc/36/29/22/618362922.db2.gz XMPLNQXNFVEGKH-JTQLQIEISA-N 0 0 278.283 2.738 20 5 CFBDRN CCOc1ccc(CNCc2ccc([N+](=O)[O-])cc2)o1 ZINC000893328563 618370499 /nfs/dbraw/zinc/37/04/99/618370499.db2.gz NAXSTDBUPZYKIY-UHFFFAOYSA-N 0 0 276.292 2.876 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000893329234 618372008 /nfs/dbraw/zinc/37/20/08/618372008.db2.gz IUZVUJRCMPECPT-VIFPVBQESA-N 0 0 280.711 2.944 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@]1(C)CCCO1 ZINC000177222037 618375905 /nfs/dbraw/zinc/37/59/05/618375905.db2.gz FUAVCKIEOHOKRR-AWEZNQCLSA-N 0 0 279.292 2.629 20 5 CFBDRN CC(C)(CCC(=O)OCCCc1ccoc1)[N+](=O)[O-] ZINC000893333069 618390234 /nfs/dbraw/zinc/39/02/34/618390234.db2.gz ADHNVLFJCYBVAP-UHFFFAOYSA-N 0 0 269.297 2.591 20 5 CFBDRN COC1CN(c2c3cccc(Cl)c3ncc2[N+](=O)[O-])C1 ZINC000892500470 618399282 /nfs/dbraw/zinc/39/92/82/618399282.db2.gz VZGKBUBWWPLVLF-UHFFFAOYSA-N 0 0 293.710 2.631 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)cccc1[N+](=O)[O-] ZINC000889598934 618407437 /nfs/dbraw/zinc/40/74/37/618407437.db2.gz FXSBTHJWIVBCAF-BFHYXJOUSA-N 0 0 288.347 2.751 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1Cc2ccc(Cl)cc2C1 ZINC000894166613 618411110 /nfs/dbraw/zinc/41/11/10/618411110.db2.gz FIJMEDPGWLSLMJ-UHFFFAOYSA-N 0 0 278.699 2.502 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2Cl)CCO1 ZINC000235687563 618412370 /nfs/dbraw/zinc/41/23/70/618412370.db2.gz NKXJEKSVLXWVDW-IUCAKERBSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H](Cn1cc([N+](=O)[O-])cc(Cl)c1=O)C(F)(F)F ZINC000439046943 618417471 /nfs/dbraw/zinc/41/74/71/618417471.db2.gz OLWRNMLQRBXFNH-RXMQYKEDSA-N 0 0 284.621 2.608 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1c2ccccc2CC[C@H]1F ZINC000895169947 618421990 /nfs/dbraw/zinc/42/19/90/618421990.db2.gz NHNNNGBAUZZVPU-DGCLKSJQSA-N 0 0 290.298 2.766 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1cc(CCCCCO)on1 ZINC000901396371 620804655 /nfs/dbraw/zinc/80/46/55/620804655.db2.gz QJZBVUIMFZDQMA-UHFFFAOYSA-N 0 0 276.292 2.955 20 5 CFBDRN C[S@](=O)CCCNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235740021 618427908 /nfs/dbraw/zinc/42/79/08/618427908.db2.gz KFQIXXCLBTVZNY-SFHVURJKSA-N 0 0 294.735 2.568 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)[C@H]1CCO[C@H](C)C1 ZINC000776342252 618433492 /nfs/dbraw/zinc/43/34/92/618433492.db2.gz DJKFTMIHVPEBTR-MNOVXSKESA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1c2ccccc2oc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814035979 618434474 /nfs/dbraw/zinc/43/44/74/618434474.db2.gz DEWGZHIWSSXLKZ-QMMMGPOBSA-N 0 0 263.249 2.563 20 5 CFBDRN Cc1nc(C(C)(C)C)sc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814036266 618435611 /nfs/dbraw/zinc/43/56/11/618435611.db2.gz UWRYPXMSMLMGFX-ZETCQYMHSA-N 0 0 286.353 2.571 20 5 CFBDRN C[C@H]1C[C@H](Nc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CS1 ZINC000894194396 618435638 /nfs/dbraw/zinc/43/56/38/618435638.db2.gz KGQYABZRTGQGSI-CBAPKCEASA-N 0 0 283.309 2.809 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CS1 ZINC000894194398 618435819 /nfs/dbraw/zinc/43/58/19/618435819.db2.gz KGQYABZRTGQGSI-VXNVDRBHSA-N 0 0 283.309 2.809 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1ccc(Cl)c(Cl)c1 ZINC000814038263 618439769 /nfs/dbraw/zinc/43/97/69/618439769.db2.gz AJEWCRDMYMXMEA-LURJTMIESA-N 0 0 278.091 2.815 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCC(C)(C)c1ccccc1 ZINC000814041012 618440870 /nfs/dbraw/zinc/44/08/70/618440870.db2.gz NSKAXRDTJZSMBX-LBPRGKRZSA-N 0 0 279.336 2.953 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCc1ccc(Cl)s1 ZINC000814044883 618443435 /nfs/dbraw/zinc/44/34/35/618443435.db2.gz KRTSYNQGOSILQG-SSDOTTSWSA-N 0 0 277.729 2.543 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CC(C)(C)C[C@H]1C ZINC000894205042 618452597 /nfs/dbraw/zinc/45/25/97/618452597.db2.gz GNWRDZHVZNEEKA-SECBINFHSA-N 0 0 265.313 2.623 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccnc2)c1F ZINC000235887463 618458299 /nfs/dbraw/zinc/45/82/99/618458299.db2.gz IPZFVYGLDPUFPJ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNC(=S)NC2CCC2)c1 ZINC000814200556 618461915 /nfs/dbraw/zinc/46/19/15/618461915.db2.gz YNBFVZLOZGGBCO-UHFFFAOYSA-N 0 0 299.783 2.765 20 5 CFBDRN O=C(OCCC1CCCC1)c1ccc([N+](=O)[O-])o1 ZINC000726353539 618466747 /nfs/dbraw/zinc/46/67/47/618466747.db2.gz MPHZPSQPDFZFKC-UHFFFAOYSA-N 0 0 253.254 2.925 20 5 CFBDRN CC(C)=CCNC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000935610612 618475774 /nfs/dbraw/zinc/47/57/74/618475774.db2.gz MJRWPVSYTNCGGQ-ZIAGYGMSSA-N 0 0 274.320 2.781 20 5 CFBDRN Cc1cc(Cl)ncc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000312727327 618478602 /nfs/dbraw/zinc/47/86/02/618478602.db2.gz HGMAPZWMQZUXSU-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CCNc1nnc(CSc2ccccc2[N+](=O)[O-])o1 ZINC000901408097 620809462 /nfs/dbraw/zinc/80/94/62/620809462.db2.gz KHZXLKGFMXGYDP-UHFFFAOYSA-N 0 0 280.309 2.702 20 5 CFBDRN CCc1nn(C)c(NCC(C)(C)CC(F)F)c1[N+](=O)[O-] ZINC000882781176 618503623 /nfs/dbraw/zinc/50/36/23/618503623.db2.gz CVUASTGDYCOWPJ-UHFFFAOYSA-N 0 0 290.314 2.984 20 5 CFBDRN CN(CCCF)C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000895294559 618514153 /nfs/dbraw/zinc/51/41/53/618514153.db2.gz REYIOZOQJLNQDT-UHFFFAOYSA-N 0 0 286.328 2.505 20 5 CFBDRN O=C(NC1(C2CC2)CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000895360879 618550407 /nfs/dbraw/zinc/55/04/07/618550407.db2.gz YEVJGCZHVIWSIY-UHFFFAOYSA-N 0 0 278.283 2.796 20 5 CFBDRN O=C(NC1(C2CC2)CCC1)c1ccccc1[N+](=O)[O-] ZINC000895365635 618551570 /nfs/dbraw/zinc/55/15/70/618551570.db2.gz HLVWTXLJQNPWGY-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3C[C@@]3(c3ccccc3)C2)cn1 ZINC000895393017 618565021 /nfs/dbraw/zinc/56/50/21/618565021.db2.gz DKNUFLSXJWFNJR-CJNGLKHVSA-N 0 0 281.315 2.768 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2C[C@H]3C[C@@]3(c3ccccc3)C2)c1 ZINC000895395582 618566716 /nfs/dbraw/zinc/56/67/16/618566716.db2.gz LVSHVSVYDYPLAA-DYVFJYSZSA-N 0 0 295.342 2.955 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3C[C@]3(c3ccccc3)C2)s1 ZINC000895395603 618567006 /nfs/dbraw/zinc/56/70/06/618567006.db2.gz LWAQDEDAOOZRTB-SMDDNHRTSA-N 0 0 287.344 2.829 20 5 CFBDRN O=C(OC[C@H]1Cc2ccccc2O1)c1ccc([N+](=O)[O-])cc1 ZINC000177851935 618608559 /nfs/dbraw/zinc/60/85/59/618608559.db2.gz UCFRBBYBHBSLQJ-CQSZACIVSA-N 0 0 299.282 2.755 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000310723094 618618183 /nfs/dbraw/zinc/61/81/83/618618183.db2.gz YUVOIQJETKWOAY-VXNVDRBHSA-N 0 0 268.700 2.777 20 5 CFBDRN C[C@H](OC(=O)CCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000066547223 618622752 /nfs/dbraw/zinc/62/27/52/618622752.db2.gz VBPNWHVSEXXDHG-JTQLQIEISA-N 0 0 278.308 2.739 20 5 CFBDRN COc1ccc(C(=O)O[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000066546978 618622767 /nfs/dbraw/zinc/62/27/67/618622767.db2.gz KEHCTDGGMJRGJC-MRVPVSSYSA-N 0 0 265.265 2.559 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCSC(F)(F)F)n1 ZINC000178174236 618631200 /nfs/dbraw/zinc/63/12/00/618631200.db2.gz DABIFRDUCUXMFA-UHFFFAOYSA-N 0 0 281.259 2.963 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC[C@@H]1CCCO[C@H]1C(C)(C)C ZINC000892600511 618648678 /nfs/dbraw/zinc/64/86/78/618648678.db2.gz SDNTZPBGTPFRLW-CMPLNLGQSA-N 0 0 296.371 2.582 20 5 CFBDRN Cc1cccnc1COC(=O)c1csc([N+](=O)[O-])c1 ZINC000180298749 618652222 /nfs/dbraw/zinc/65/22/22/618652222.db2.gz NPMXEUPXZXRCFQ-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=C(NCCCCF)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000310989534 618667122 /nfs/dbraw/zinc/66/71/22/618667122.db2.gz KZSKHUHJUQXGGL-UHFFFAOYSA-N 0 0 274.679 2.728 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000892642085 618668129 /nfs/dbraw/zinc/66/81/29/618668129.db2.gz LHPUKDGJRRIIMM-RKDXNWHRSA-N 0 0 265.269 2.738 20 5 CFBDRN O=C(Nc1nccc2ccc([N+](=O)[O-])cc21)C1CCC1 ZINC000892647024 618671288 /nfs/dbraw/zinc/67/12/88/618671288.db2.gz AQWCPHGRXMBHHP-UHFFFAOYSA-N 0 0 271.276 2.882 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892648365 618672344 /nfs/dbraw/zinc/67/23/44/618672344.db2.gz MCCXNBZGNFPDOR-GZMMTYOYSA-N 0 0 271.276 2.738 20 5 CFBDRN CCn1cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cn1 ZINC000149148316 618677472 /nfs/dbraw/zinc/67/74/72/618677472.db2.gz NCCDAQSJVOXXPU-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN Cc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])c(Cl)cc1F ZINC000851127336 618688446 /nfs/dbraw/zinc/68/84/46/618688446.db2.gz UZQBIUBUTVSXHS-UHFFFAOYSA-N 0 0 289.690 3.000 20 5 CFBDRN CS[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000851127282 618688624 /nfs/dbraw/zinc/68/86/24/618688624.db2.gz VZHHDBFKHSCLSN-NSHDSACASA-N 0 0 283.349 2.689 20 5 CFBDRN CCC(CC)COC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000311268769 618700276 /nfs/dbraw/zinc/70/02/76/618700276.db2.gz QZSLELKCDSWSMD-UHFFFAOYSA-N 0 0 266.297 2.770 20 5 CFBDRN COC(=O)/C(C)=C/COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000311633791 618717514 /nfs/dbraw/zinc/71/75/14/618717514.db2.gz ZWZAHFVODPBOJT-VMPITWQZSA-N 0 0 285.683 2.746 20 5 CFBDRN CC(C)OCCN1c2ccc([N+](=O)[O-])cc2C[C@@H]1C ZINC000178266385 618720121 /nfs/dbraw/zinc/72/01/21/618720121.db2.gz NSOOWAZXLAPJBC-NSHDSACASA-N 0 0 264.325 2.771 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000892738729 618736800 /nfs/dbraw/zinc/73/68/00/618736800.db2.gz CCDLPXNNCNFCOY-JTQLQIEISA-N 0 0 276.292 2.732 20 5 CFBDRN C[C@@H](CO)Sc1c2cc(F)ccc2ncc1[N+](=O)[O-] ZINC000892740641 618738115 /nfs/dbraw/zinc/73/81/15/618738115.db2.gz OOKGRGUKLROXFZ-ZETCQYMHSA-N 0 0 282.296 2.755 20 5 CFBDRN CCOc1cc(NC(=O)C(C)C)ccc1[N+](=O)[O-] ZINC000892748524 618739288 /nfs/dbraw/zinc/73/92/88/618739288.db2.gz FGGZGHPVJJNBQP-UHFFFAOYSA-N 0 0 252.270 2.588 20 5 CFBDRN CSC[C@@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000066762480 618741264 /nfs/dbraw/zinc/74/12/64/618741264.db2.gz XAMLZRCKRISHIH-SECBINFHSA-N 0 0 269.322 2.808 20 5 CFBDRN CCCCCCNC(=O)CNc1cc([N+](=O)[O-])ccc1F ZINC000178306604 618747597 /nfs/dbraw/zinc/74/75/97/618747597.db2.gz GIKNFABMHIRAMT-UHFFFAOYSA-N 0 0 297.330 2.842 20 5 CFBDRN C[C@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000784149855 618751149 /nfs/dbraw/zinc/75/11/49/618751149.db2.gz VIRAQWKZGWCZCP-WPRPVWTQSA-N 0 0 299.710 2.716 20 5 CFBDRN CCOCCC1(CNc2c([N+](=O)[O-])cnn2C)CCCC1 ZINC000892914845 618768992 /nfs/dbraw/zinc/76/89/92/618768992.db2.gz YFIKLTMNAJMSOF-UHFFFAOYSA-N 0 0 296.371 2.727 20 5 CFBDRN Cc1ccc(CC(=O)OCc2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000784364378 618773778 /nfs/dbraw/zinc/77/37/78/618773778.db2.gz BAULPHUTMIYITP-UHFFFAOYSA-N 0 0 293.250 2.921 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(F)cc2c1N1CC(C(F)F)C1 ZINC000892943792 618776682 /nfs/dbraw/zinc/77/66/82/618776682.db2.gz JQQKBTGVMLXEHQ-UHFFFAOYSA-N 0 0 297.236 2.983 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(c1nccs1)C2 ZINC000893001054 618799079 /nfs/dbraw/zinc/79/90/79/618799079.db2.gz ZCOXJMQRBDTXFE-UHFFFAOYSA-N 0 0 261.306 2.614 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1Cc2ccccc2C(C)(C)C1 ZINC000893009403 618801064 /nfs/dbraw/zinc/80/10/64/618801064.db2.gz PSXMJMJRQGKXCQ-UHFFFAOYSA-N 0 0 286.335 2.626 20 5 CFBDRN Cc1ccc([C@@H]2CCN(c3c([N+](=O)[O-])cnn3C)C2)cc1 ZINC000893143501 618845728 /nfs/dbraw/zinc/84/57/28/618845728.db2.gz ZXRVWJFQWHFJES-CYBMUJFWSA-N 0 0 286.335 2.631 20 5 CFBDRN CC[C@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCCO1 ZINC000312178747 618901964 /nfs/dbraw/zinc/90/19/64/618901964.db2.gz SROYCXUQBDQABJ-LBPRGKRZSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)CC1(C)COC1 ZINC000924116888 618918043 /nfs/dbraw/zinc/91/80/43/618918043.db2.gz YMLJKWKAAPKAMI-NSHDSACASA-N 0 0 293.319 2.934 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccc([N+](=O)[O-])cc2)n1 ZINC000162717402 618930464 /nfs/dbraw/zinc/93/04/64/618930464.db2.gz GQBXILYGWYZLFL-UHFFFAOYSA-N 0 0 288.263 2.819 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(O)CCC(C)CC2)n1 ZINC000162736426 618936411 /nfs/dbraw/zinc/93/64/11/618936411.db2.gz XYYGOUOMNGWZJL-UHFFFAOYSA-N 0 0 279.340 2.651 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)c1cc(C(F)F)ccc1F ZINC000857031640 618949622 /nfs/dbraw/zinc/94/96/22/618949622.db2.gz VTJQQQGPXNAXFR-LURJTMIESA-N 0 0 277.198 2.585 20 5 CFBDRN CC1(C)COC[C@@H]1Nc1cccc(F)c1[N+](=O)[O-] ZINC000313042430 618973577 /nfs/dbraw/zinc/97/35/77/618973577.db2.gz SSNGBWBGSGEWGN-JTQLQIEISA-N 0 0 254.261 2.571 20 5 CFBDRN CC(C)=CCNC(=O)c1c(F)c(C)cc([N+](=O)[O-])c1F ZINC000313207712 618977534 /nfs/dbraw/zinc/97/75/34/618977534.db2.gz ZIYLVCRQTSADNC-UHFFFAOYSA-N 0 0 284.262 2.877 20 5 CFBDRN C[C@H](CNC(=O)NCC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000893412907 618981967 /nfs/dbraw/zinc/98/19/67/618981967.db2.gz QFWYBTBVZWHJJL-LLVKDONJSA-N 0 0 291.351 2.798 20 5 CFBDRN CC(C)(C)c1ncn(Cc2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000313660933 619003509 /nfs/dbraw/zinc/00/35/09/619003509.db2.gz LDOPZXQKPDFFAD-UHFFFAOYSA-N 0 0 278.287 2.671 20 5 CFBDRN C[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000893496249 619020334 /nfs/dbraw/zinc/02/03/34/619020334.db2.gz CUSSEZFYWBIANF-SMDDNHRTSA-N 0 0 293.319 2.957 20 5 CFBDRN C[C@H](COC(=O)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000893496248 619020526 /nfs/dbraw/zinc/02/05/26/619020526.db2.gz CUSSEZFYWBIANF-RISCZKNCSA-N 0 0 293.319 2.957 20 5 CFBDRN C[C@H](COC(=O)c1ccccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000893501513 619021927 /nfs/dbraw/zinc/02/19/27/619021927.db2.gz WQFKKLOSJCTNSF-RISCZKNCSA-N 0 0 293.319 2.957 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCC(=O)[C@@H]1C[C@H]1C ZINC000909396496 619054060 /nfs/dbraw/zinc/05/40/60/619054060.db2.gz LSTHKNSRPBZOLA-MWLCHTKSSA-N 0 0 291.303 2.594 20 5 CFBDRN CCCN(CC(=O)OCC)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000049947316 619055260 /nfs/dbraw/zinc/05/52/60/619055260.db2.gz WSJFJNJIFZKTET-UHFFFAOYSA-N 0 0 298.314 2.509 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC(C)=C(C)C1 ZINC000893644212 619140145 /nfs/dbraw/zinc/14/01/45/619140145.db2.gz XNGWTRYMRYHQQT-UHFFFAOYSA-N 0 0 263.297 2.545 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@@H]1C[C@H]1c1c(F)cccc1F ZINC000893657501 619147081 /nfs/dbraw/zinc/14/70/81/619147081.db2.gz ZTGCXGVRFATELA-GMSGAONNSA-N 0 0 294.261 2.575 20 5 CFBDRN CC(C)(C)CCOC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000096466166 619182667 /nfs/dbraw/zinc/18/26/67/619182667.db2.gz UKWVPNOIQDLBHV-UHFFFAOYSA-N 0 0 266.297 2.770 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC2(C1)CCOCC2 ZINC000893938691 619248376 /nfs/dbraw/zinc/24/83/76/619248376.db2.gz RTIJIRCYJCOMEU-UHFFFAOYSA-N 0 0 282.727 2.865 20 5 CFBDRN Cc1cccc2c1N(C[C@@H](O)c1cccc([N+](=O)[O-])c1)CC2 ZINC000178867938 619261865 /nfs/dbraw/zinc/26/18/65/619261865.db2.gz KYRWDPWNWVCLDA-MRXNPFEDSA-N 0 0 298.342 2.999 20 5 CFBDRN CC1(C(=O)OCc2csc([N+](=O)[O-])c2)CCOCC1 ZINC000726839644 619265901 /nfs/dbraw/zinc/26/59/01/619265901.db2.gz NMUQMZKNGZBYPZ-UHFFFAOYSA-N 0 0 285.321 2.516 20 5 CFBDRN O=C(O[C@H]1CCc2cc(Cl)ccc21)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840672522 619313113 /nfs/dbraw/zinc/31/31/13/619313113.db2.gz AUBAZATXZLGIGT-UTUOFQBUSA-N 0 0 281.695 2.536 20 5 CFBDRN O=C(Oc1ccc(C2CCC2)cc1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841182280 619319782 /nfs/dbraw/zinc/31/97/82/619319782.db2.gz GDJGQAVCXBOYOK-CHWSQXEVSA-N 0 0 261.277 2.525 20 5 CFBDRN CC(=O)CCCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928247708 619328372 /nfs/dbraw/zinc/32/83/72/619328372.db2.gz YWEIMKYVQFKUDH-LLVKDONJSA-N 0 0 278.308 2.531 20 5 CFBDRN O=C(OCC1CCC(C(F)(F)F)CC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840673690 619337339 /nfs/dbraw/zinc/33/73/39/619337339.db2.gz YSLPGBRVQPTBPM-YDYPAMBWSA-N 0 0 295.257 2.564 20 5 CFBDRN CCN(CCSC)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000894366072 619353003 /nfs/dbraw/zinc/35/30/03/619353003.db2.gz JBZSSHOOMMRQSZ-UHFFFAOYSA-N 0 0 285.325 2.692 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@H]1C(C)(C)C1(F)F ZINC000894593960 619427949 /nfs/dbraw/zinc/42/79/49/619427949.db2.gz WOXAUUODFZUCEK-SECBINFHSA-N 0 0 287.266 2.702 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCC(F)CC2)c(F)c1 ZINC000880239609 619455697 /nfs/dbraw/zinc/45/56/97/619455697.db2.gz NUDCYYBFYRIAPT-UHFFFAOYSA-N 0 0 274.242 2.807 20 5 CFBDRN CCNc1cc(CNc2ncc([N+](=O)[O-])cc2C)ccn1 ZINC000894871625 619492156 /nfs/dbraw/zinc/49/21/56/619492156.db2.gz UOMJUAPCMNUTCQ-UHFFFAOYSA-N 0 0 287.323 2.737 20 5 CFBDRN Cc1cc(C)nc(C2CN(c3ccc([N+](=O)[O-])c(C)c3)C2)n1 ZINC000894893094 619501108 /nfs/dbraw/zinc/50/11/08/619501108.db2.gz NGMMBZZOWOTXJX-UHFFFAOYSA-N 0 0 298.346 2.914 20 5 CFBDRN Cc1nc2c(s1)CN(c1ccc([N+](=O)[O-])cc1)C2 ZINC000894902755 619507014 /nfs/dbraw/zinc/50/70/14/619507014.db2.gz PBAVQHIVQNVIDD-UHFFFAOYSA-N 0 0 261.306 2.880 20 5 CFBDRN CNc1c(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000889603646 619508789 /nfs/dbraw/zinc/50/87/89/619508789.db2.gz ROLQCASNUHWQRB-CKYFFXLPSA-N 0 0 289.335 2.555 20 5 CFBDRN Cc1nc2c(s1)CN(c1ccsc1[N+](=O)[O-])C2 ZINC000894906768 619510005 /nfs/dbraw/zinc/51/00/05/619510005.db2.gz CDRZWGXFRIPBTG-UHFFFAOYSA-N 0 0 267.335 2.941 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000894938837 619516038 /nfs/dbraw/zinc/51/60/38/619516038.db2.gz FCDPXSRLWUEDDC-ZANVPECISA-N 0 0 295.295 2.507 20 5 CFBDRN CCn1nccc1CNCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000895480886 619539691 /nfs/dbraw/zinc/53/96/91/619539691.db2.gz NZOSYUWDGKGSPN-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN Cc1cccc(NCc2cc(N(C)C)ccn2)c1[N+](=O)[O-] ZINC000895471597 619539695 /nfs/dbraw/zinc/53/96/95/619539695.db2.gz TXANVLQFWYDUDO-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2c3ccccc3OC[C@H]2F)s1 ZINC000895560668 619555757 /nfs/dbraw/zinc/55/57/57/619555757.db2.gz RZWKSARXAQBUEQ-LDYMZIIASA-N 0 0 295.295 2.935 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2ccncc2)c1C ZINC000895601474 619564321 /nfs/dbraw/zinc/56/43/21/619564321.db2.gz OAZRXRJVKRCQBZ-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN Cn1ccc([C@H]2CCCN(c3ccc([N+](=O)[O-])s3)C2)n1 ZINC000895636408 619573882 /nfs/dbraw/zinc/57/38/82/619573882.db2.gz UNFLDNIEBOCVNP-JTQLQIEISA-N 0 0 292.364 2.774 20 5 CFBDRN CC(C)(C)OC[C@@H]1CCCN1c1ccnc(F)c1[N+](=O)[O-] ZINC000895660740 619578213 /nfs/dbraw/zinc/57/82/13/619578213.db2.gz SIYZFGWLLUQBBY-JTQLQIEISA-N 0 0 297.330 2.913 20 5 CFBDRN Cc1ccc(CNCc2nc(C(F)F)no2)cc1[N+](=O)[O-] ZINC000895729074 619592748 /nfs/dbraw/zinc/59/27/48/619592748.db2.gz KTIDWPHBWCXYFC-UHFFFAOYSA-N 0 0 298.249 2.514 20 5 CFBDRN C[C@H]1CCN(c2nccc3cc([N+](=O)[O-])ccc32)CCO1 ZINC000895763069 619602178 /nfs/dbraw/zinc/60/21/78/619602178.db2.gz ZMFMIDPBFJYPFZ-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@H](c1ncccn1)C1CC1 ZINC000895779310 619605782 /nfs/dbraw/zinc/60/57/82/619605782.db2.gz FCMCMWWVOQRRAC-ZDUSSCGKSA-N 0 0 270.292 2.948 20 5 CFBDRN CN(C)c1cc(Nc2c([N+](=O)[O-])cnn2C)ccc1Cl ZINC000895842317 619616921 /nfs/dbraw/zinc/61/69/21/619616921.db2.gz MDDRQROWCMIPBT-UHFFFAOYSA-N 0 0 295.730 2.791 20 5 CFBDRN CC(C)O[C@@]1(CNc2sccc2[N+](=O)[O-])CCOC1 ZINC000895870162 619624795 /nfs/dbraw/zinc/62/47/95/619624795.db2.gz XQCGEBRFEJAQGB-GFCCVEGCSA-N 0 0 286.353 2.652 20 5 CFBDRN CC(C)O[C@]1(CNc2cccc(F)c2[N+](=O)[O-])CCOC1 ZINC000895868899 619626314 /nfs/dbraw/zinc/62/63/14/619626314.db2.gz KMRBAZVWCQBUAQ-AWEZNQCLSA-N 0 0 298.314 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@@H]2c2ncccn2)nc1 ZINC000895975494 619644743 /nfs/dbraw/zinc/64/47/43/619644743.db2.gz YVABBKQBKIVEHH-GFCCVEGCSA-N 0 0 285.307 2.511 20 5 CFBDRN Cc1nc(N2CCCC[C@H]2c2ncccn2)ccc1[N+](=O)[O-] ZINC000895975404 619647805 /nfs/dbraw/zinc/64/78/05/619647805.db2.gz WLRLDVUDXVTTFV-ZDUSSCGKSA-N 0 0 299.334 2.820 20 5 CFBDRN Cc1cc(NCCn2ccnc2C(C)C)ncc1[N+](=O)[O-] ZINC000895987384 619649520 /nfs/dbraw/zinc/64/95/20/619649520.db2.gz DKMGVISVWPHQAU-UHFFFAOYSA-N 0 0 289.339 2.730 20 5 CFBDRN Cc1cc(CCNc2c(C)cccc2[N+](=O)[O-])nn1C ZINC000896026070 619655325 /nfs/dbraw/zinc/65/53/25/619655325.db2.gz GUHPXAAWHBBKOY-UHFFFAOYSA-N 0 0 274.324 2.600 20 5 CFBDRN C[C@@H]1Cc2cc(CNc3ncc([N+](=O)[O-])s3)ccc2O1 ZINC000896047816 619659934 /nfs/dbraw/zinc/65/99/34/619659934.db2.gz WHXLDOBPXLGUNI-MRVPVSSYSA-N 0 0 291.332 2.987 20 5 CFBDRN C[C@H]1Cc2cc(CNc3ccc([N+](=O)[O-])cn3)ccc2O1 ZINC000896043963 619661952 /nfs/dbraw/zinc/66/19/52/619661952.db2.gz UQCOQBJIRKVMCK-JTQLQIEISA-N 0 0 285.303 2.925 20 5 CFBDRN C[C@@H]1Cc2cc(CNc3ccc([N+](=O)[O-])cn3)ccc2O1 ZINC000896043965 619662130 /nfs/dbraw/zinc/66/21/30/619662130.db2.gz UQCOQBJIRKVMCK-SNVBAGLBSA-N 0 0 285.303 2.925 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2nc3c(s2)CCC3)s1 ZINC000896069293 619665736 /nfs/dbraw/zinc/66/57/36/619665736.db2.gz BATMLRQMXVWKMS-UHFFFAOYSA-N 0 0 296.377 2.651 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCC1CC=CC1 ZINC000896093571 619672685 /nfs/dbraw/zinc/67/26/85/619672685.db2.gz CVODVIWQPYCIPJ-UHFFFAOYSA-N 0 0 251.261 2.897 20 5 CFBDRN COc1cccc(NC[C@@]2(C)CCCCO2)c1[N+](=O)[O-] ZINC000896184362 619688758 /nfs/dbraw/zinc/68/87/58/619688758.db2.gz LTOWDWPFAVFYIE-CQSZACIVSA-N 0 0 280.324 2.975 20 5 CFBDRN C[C@@]1(CNc2cc(N)ccc2[N+](=O)[O-])CCCCO1 ZINC000896180371 619689314 /nfs/dbraw/zinc/68/93/14/619689314.db2.gz IBUGBLDGYDEPLH-ZDUSSCGKSA-N 0 0 265.313 2.548 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCS[C@H](C)C1 ZINC000896248088 619701185 /nfs/dbraw/zinc/70/11/85/619701185.db2.gz JTQXLINUBTWJDY-PSASIEDQSA-N 0 0 284.385 2.816 20 5 CFBDRN C[C@H]1C[C@H](Nc2ncccc2[N+](=O)[O-])CCS1 ZINC000896248795 619704902 /nfs/dbraw/zinc/70/49/02/619704902.db2.gz YGALCBZYYDZMDZ-DTWKUNHWSA-N 0 0 253.327 2.686 20 5 CFBDRN C[C@@H]1C(=O)CCCN1c1ccc([N+](=O)[O-])cc1Cl ZINC000896333939 619718164 /nfs/dbraw/zinc/71/81/64/619718164.db2.gz BZMQEEHFPCVCID-MRVPVSSYSA-N 0 0 268.700 2.806 20 5 CFBDRN C[C@H]1C(=O)CCCN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000896339495 619719430 /nfs/dbraw/zinc/71/94/30/619719430.db2.gz RMTXTTQLLSILOW-JTQLQIEISA-N 0 0 285.303 2.701 20 5 CFBDRN Cc1cc(N[C@@H](c2cnn(C)c2)C(C)C)ncc1[N+](=O)[O-] ZINC000896427617 619736166 /nfs/dbraw/zinc/73/61/66/619736166.db2.gz QPQJZEHLTJMTSF-CQSZACIVSA-N 0 0 289.339 2.841 20 5 CFBDRN Cc1cc(N[C@H](C2CC2)[C@H]2CCCOC2)ncc1[N+](=O)[O-] ZINC000896437104 619738486 /nfs/dbraw/zinc/73/84/86/619738486.db2.gz LHIIOMJIIBAMGV-SWLSCSKDSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1nc(N[C@@H](C2CC2)[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000896438246 619739060 /nfs/dbraw/zinc/73/90/60/619739060.db2.gz QVICSZRREPOGQI-WFASDCNBSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1ccc(NCCNc2ncc([N+](=O)[O-])cc2C)nc1 ZINC000896456883 619741987 /nfs/dbraw/zinc/74/19/87/619741987.db2.gz GUZNLYRZKULIQJ-UHFFFAOYSA-N 0 0 287.323 2.526 20 5 CFBDRN COC[C@@H](CNc1ccc([N+](=O)[O-])s1)C(C)C ZINC000896502026 619751217 /nfs/dbraw/zinc/75/12/17/619751217.db2.gz FBMRFBMLCOMTHN-SECBINFHSA-N 0 0 258.343 2.987 20 5 CFBDRN COC[C@@H](CNc1ccc([N+](=O)[O-])c(C)n1)C(C)C ZINC000896505338 619752644 /nfs/dbraw/zinc/75/26/44/619752644.db2.gz NMUURADYOQDCQU-LLVKDONJSA-N 0 0 267.329 2.629 20 5 CFBDRN CC1(C)C[C@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])C(C)(C)O1 ZINC000896518749 619755011 /nfs/dbraw/zinc/75/50/11/619755011.db2.gz WAODRGQTYXVNOJ-SECBINFHSA-N 0 0 295.339 2.701 20 5 CFBDRN COCC(C)(C)c1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000896586889 619768669 /nfs/dbraw/zinc/76/86/69/619768669.db2.gz SWJLJPUZZQMVNY-UHFFFAOYSA-N 0 0 295.270 2.708 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](C3CCOCC3)C2)s1 ZINC000896589094 619769660 /nfs/dbraw/zinc/76/96/60/619769660.db2.gz MIYCMKGFZIXYEU-NSHDSACASA-N 0 0 297.380 2.694 20 5 CFBDRN O=[N+]([O-])c1c(N[C@]23C[C@H]2CCC3)nc2ccccn21 ZINC000896727415 619797719 /nfs/dbraw/zinc/79/77/19/619797719.db2.gz XJCBYHIYWVMEQC-NOZJJQNGSA-N 0 0 258.281 2.597 20 5 CFBDRN C[C@@H](c1nc(C2CSC2)no1)c1cccc([N+](=O)[O-])c1 ZINC000896728759 619798461 /nfs/dbraw/zinc/79/84/61/619798461.db2.gz ZDKKVCJDDOKWCD-MRVPVSSYSA-N 0 0 291.332 2.960 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])c(C(N)=O)c1)C1CC1 ZINC000896782424 619813752 /nfs/dbraw/zinc/81/37/52/619813752.db2.gz AYICHHOZIGAZBS-UHFFFAOYSA-N 0 0 277.324 2.542 20 5 CFBDRN CC(C)(CNc1ccnc(F)c1[N+](=O)[O-])C1CC1 ZINC000896783332 619814044 /nfs/dbraw/zinc/81/40/44/619814044.db2.gz LNJHJFHFBXXZSM-UHFFFAOYSA-N 0 0 253.277 2.977 20 5 CFBDRN Cc1cncc(NC[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000896793478 619819936 /nfs/dbraw/zinc/81/99/36/619819936.db2.gz KKWVSCKNPQKVBY-SNVBAGLBSA-N 0 0 272.308 2.909 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1(C2(O)CCC2)CC1 ZINC000896809797 619823437 /nfs/dbraw/zinc/82/34/37/619823437.db2.gz NXFSIBNYHVMRFS-UHFFFAOYSA-N 0 0 292.335 2.711 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000796010485 619855384 /nfs/dbraw/zinc/85/53/84/619855384.db2.gz ULDLNYUTCMXYBM-ZANVPECISA-N 0 0 299.298 2.838 20 5 CFBDRN C[C@]12CN(c3ccc([N+](=O)[O-])nc3)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000896898253 619869296 /nfs/dbraw/zinc/86/92/96/619869296.db2.gz LBUKTFNNADIICP-YODMDTAWSA-N 0 0 271.320 2.638 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(SC3COC3)sc2c1 ZINC000896959834 619902721 /nfs/dbraw/zinc/90/27/21/619902721.db2.gz DTOAITKLGXVIAO-UHFFFAOYSA-N 0 0 268.319 2.695 20 5 CFBDRN Cc1cc(NCc2cnn(CCF)c2)ccc1[N+](=O)[O-] ZINC000896991674 619909359 /nfs/dbraw/zinc/90/93/59/619909359.db2.gz AQPMLLPCJHUTIS-UHFFFAOYSA-N 0 0 278.287 2.681 20 5 CFBDRN C[C@H](CNC(=O)N1C[C@@H](C)[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000897059619 619922034 /nfs/dbraw/zinc/92/20/34/619922034.db2.gz CFYCJPWUXFKXPL-IJLUTSLNSA-N 0 0 291.351 2.748 20 5 CFBDRN C[C@@H]1CC2(CC(Nc3ccccc3[N+](=O)[O-])C2)CO1 ZINC000897050301 619922540 /nfs/dbraw/zinc/92/25/40/619922540.db2.gz NILMUKULBIGWDR-CDWSIMAYSA-N 0 0 262.309 2.964 20 5 CFBDRN Cc1cnc(NC2CC3(C2)CO[C@@H](C)C3)c([N+](=O)[O-])c1 ZINC000897051658 619922942 /nfs/dbraw/zinc/92/29/42/619922942.db2.gz HWNHJXZXTOHBOY-IFQILLTASA-N 0 0 277.324 2.668 20 5 CFBDRN CCO[C@H]1CC[N@H+](C/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000897069513 619925193 /nfs/dbraw/zinc/92/51/93/619925193.db2.gz WPDDANCFFZUSJF-DYLGSBMWSA-N 0 0 276.336 2.719 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H]1CC12CC(O)C2 ZINC000897090689 619928250 /nfs/dbraw/zinc/92/82/50/619928250.db2.gz WRLODZUDEJIBPZ-DMLGSKKGSA-N 0 0 282.727 2.882 20 5 CFBDRN Cc1nc(Nc2cnn(C3CCC3)c2)ccc1[N+](=O)[O-] ZINC000897107281 619934620 /nfs/dbraw/zinc/93/46/20/619934620.db2.gz SPNQMQZSLGGZEU-UHFFFAOYSA-N 0 0 273.296 2.963 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1ccnc2cc([N+](=O)[O-])ccc21 ZINC000897142048 619938436 /nfs/dbraw/zinc/93/84/36/619938436.db2.gz FSGZYCMNLZPKMX-GJZGRUSLSA-N 0 0 287.319 2.757 20 5 CFBDRN CO[C@@H]1CC[C@@H]1N(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000897143638 619940573 /nfs/dbraw/zinc/94/05/73/619940573.db2.gz DBQZUIODTDXFBH-CMPLNLGQSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000897144898 619940864 /nfs/dbraw/zinc/94/08/64/619940864.db2.gz NXHLEHZFMMJBRW-TZMCWYRMSA-N 0 0 287.319 2.757 20 5 CFBDRN COc1cc(N(C)[C@@H]2CC[C@H]2OC)c([N+](=O)[O-])cc1C ZINC000897145617 619940919 /nfs/dbraw/zinc/94/09/19/619940919.db2.gz SPGIFJSSZDRILJ-ZWNOBZJWSA-N 0 0 280.324 2.525 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC(C(C)C)C2)c1C ZINC000897184085 619944531 /nfs/dbraw/zinc/94/45/31/619944531.db2.gz OBYUBQFQJORALD-UHFFFAOYSA-N 0 0 276.336 2.940 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2C[C@@H]3CCC[C@@H]23)c([N+](=O)[O-])c1 ZINC000897181834 619945958 /nfs/dbraw/zinc/94/59/58/619945958.db2.gz MNMHDGHHFKLGIR-UFGOTCBOSA-N 0 0 289.335 2.555 20 5 CFBDRN CCC[C@@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000070747633 625383483 /nfs/dbraw/zinc/38/34/83/625383483.db2.gz ZIKORNAFPSDVIN-SECBINFHSA-N 0 0 267.281 2.945 20 5 CFBDRN Cc1nc(N[C@H](C)Cc2cccnc2)ccc1[N+](=O)[O-] ZINC000897195501 619949182 /nfs/dbraw/zinc/94/91/82/619949182.db2.gz QDYGFZQQAYWSSU-SNVBAGLBSA-N 0 0 272.308 2.736 20 5 CFBDRN Nc1ccc(NCC[C@@H]2CCC(F)(F)C2)nc1[N+](=O)[O-] ZINC000897236317 619956145 /nfs/dbraw/zinc/95/61/45/619956145.db2.gz GKSZJYVKLHJEMR-QMMMGPOBSA-N 0 0 286.282 2.809 20 5 CFBDRN CC1(C)CCC[C@H](CNc2ccnc(F)c2[N+](=O)[O-])O1 ZINC000897254097 619960159 /nfs/dbraw/zinc/96/01/59/619960159.db2.gz LFIWQNHBMAOTSB-SECBINFHSA-N 0 0 283.303 2.889 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@H]1CCCC(C)(C)O1 ZINC000897253777 619960276 /nfs/dbraw/zinc/96/02/76/619960276.db2.gz HGTFNTKPUDFPNI-LLVKDONJSA-N 0 0 295.339 2.758 20 5 CFBDRN CSc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@H]1CCOC1 ZINC000909592370 619966849 /nfs/dbraw/zinc/96/68/49/619966849.db2.gz DLNITNFQMHUFNX-SECBINFHSA-N 0 0 297.332 2.510 20 5 CFBDRN COC[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC ZINC000909592881 619967281 /nfs/dbraw/zinc/96/72/81/619967281.db2.gz ZHUDECKSORSILT-QMMMGPOBSA-N 0 0 285.321 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC=C(F)C2)c1C ZINC000897299568 619973198 /nfs/dbraw/zinc/97/31/98/619973198.db2.gz KECWAFFYFCJKIX-UHFFFAOYSA-N 0 0 278.283 2.911 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC23CCCC3)cn1 ZINC000897322352 619991277 /nfs/dbraw/zinc/99/12/77/619991277.db2.gz DBXJIXKQXCEQFO-LLVKDONJSA-N 0 0 263.297 2.503 20 5 CFBDRN CCSCCSCCn1c(C)ncc1[N+](=O)[O-] ZINC000871666896 620046678 /nfs/dbraw/zinc/04/66/78/620046678.db2.gz ABPJQZHYQONVTD-UHFFFAOYSA-N 0 0 275.399 2.586 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@H](C)[C@@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000925234679 620059328 /nfs/dbraw/zinc/05/93/28/620059328.db2.gz NWIXDASYVOMZEF-SDDRHHMPSA-N 0 0 291.351 2.953 20 5 CFBDRN C[C@@H](NC(=O)N1C[C@@H](C)[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000925247155 620067613 /nfs/dbraw/zinc/06/76/13/620067613.db2.gz KKYOMMZGXHPJKT-MXWKQRLJSA-N 0 0 277.324 2.706 20 5 CFBDRN C[C@@H](NC(=O)N1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000925247416 620067617 /nfs/dbraw/zinc/06/76/17/620067617.db2.gz ABONJMTVNHIKGV-WXHSDQCUSA-N 0 0 289.335 2.707 20 5 CFBDRN Cc1sc(C(=O)NC[C@]2(C)CCCCO2)cc1[N+](=O)[O-] ZINC000832509547 625392926 /nfs/dbraw/zinc/39/29/26/625392926.db2.gz WPSZLKHTVVAEAL-ZDUSSCGKSA-N 0 0 298.364 2.654 20 5 CFBDRN Cc1cc(NCC[C@@H](O)C2CC2)c([N+](=O)[O-])s1 ZINC000897385529 620089038 /nfs/dbraw/zinc/08/90/38/620089038.db2.gz YOIMNSOMSDRBDO-SNVBAGLBSA-N 0 0 256.327 2.538 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H](O)C2CC2)c2ccncc21 ZINC000897387114 620089592 /nfs/dbraw/zinc/08/95/92/620089592.db2.gz GBWJGTCEFXPMGL-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)CC1(COC(=O)CCn2cc([N+](=O)[O-])cn2)CC1 ZINC000799663912 620115083 /nfs/dbraw/zinc/11/50/83/620115083.db2.gz NHCWENXLSIBICY-UHFFFAOYSA-N 0 0 295.339 2.551 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@@H]2COC[C@@H]2C2CC2)c(F)c1 ZINC000897445248 620173508 /nfs/dbraw/zinc/17/35/08/620173508.db2.gz DMOFRSFZYDXIDZ-BXKDBHETSA-N 0 0 284.262 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H]1COC[C@H]1C1CC1 ZINC000897449582 620175930 /nfs/dbraw/zinc/17/59/30/620175930.db2.gz AQICOSJSENFUPJ-BBRMVZONSA-N 0 0 299.330 2.980 20 5 CFBDRN CCCc1nc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)co1 ZINC000800920653 620201503 /nfs/dbraw/zinc/20/15/03/620201503.db2.gz QJRUMIJTBQAXKU-UHFFFAOYSA-N 0 0 294.238 2.894 20 5 CFBDRN CCCCCCNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000067707399 620218338 /nfs/dbraw/zinc/21/83/38/620218338.db2.gz QZNZBHKHCNBJFU-UHFFFAOYSA-N 0 0 279.340 2.703 20 5 CFBDRN CCCCCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000035121014 620234431 /nfs/dbraw/zinc/23/44/31/620234431.db2.gz QECCGBFJWJACEV-UHFFFAOYSA-N 0 0 250.258 2.733 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(F)F)c1 ZINC000786505728 620247011 /nfs/dbraw/zinc/24/70/11/620247011.db2.gz TWMGISKNXAWWNK-SSDOTTSWSA-N 0 0 259.208 2.714 20 5 CFBDRN CC[C@@H](C)[C@@H](C(=O)OC)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000929165308 620383738 /nfs/dbraw/zinc/38/37/38/620383738.db2.gz QWJYYQMXJCYMTG-RISCZKNCSA-N 0 0 294.351 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)c1 ZINC000889596297 620410860 /nfs/dbraw/zinc/41/08/60/620410860.db2.gz ISNFIIOPQQQJIV-GMXVVIOVSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(OCCOCC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000842055281 620422837 /nfs/dbraw/zinc/42/28/37/620422837.db2.gz GRBYAIBYWQOIHM-UHFFFAOYSA-N 0 0 279.292 2.568 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNC/C=C\Cl ZINC000898435558 620464413 /nfs/dbraw/zinc/46/44/13/620464413.db2.gz JDEYCLQYIBYDEK-UTCJRWHESA-N 0 0 270.716 2.836 20 5 CFBDRN O=[N+]([O-])CCOc1ccccc1SC(F)(F)F ZINC000899231277 620533728 /nfs/dbraw/zinc/53/37/28/620533728.db2.gz POKUQQFOTIESRY-UHFFFAOYSA-N 0 0 267.228 2.954 20 5 CFBDRN O=[N+]([O-])CCOc1ccc(-c2ncc3n2CCCC3)cc1 ZINC000899232261 620534071 /nfs/dbraw/zinc/53/40/71/620534071.db2.gz MHABBFFRSURLOG-UHFFFAOYSA-N 0 0 287.319 2.542 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2N)[C@H](C)C1 ZINC000070982408 625435275 /nfs/dbraw/zinc/43/52/75/625435275.db2.gz ISNDOLBHGIFVPE-GPCCPHFNSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000899417903 620567036 /nfs/dbraw/zinc/56/70/36/620567036.db2.gz MUISFNOHRKXAOU-VZZFWQQMSA-N 0 0 288.347 2.546 20 5 CFBDRN CN(CCCF)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c21 ZINC000899816404 620603936 /nfs/dbraw/zinc/60/39/36/620603936.db2.gz VKKILYFHZUTUPT-UHFFFAOYSA-N 0 0 279.271 2.508 20 5 CFBDRN CC[C@@H](O)COc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000899906110 620611513 /nfs/dbraw/zinc/61/15/13/620611513.db2.gz BFUQOODBMASUJQ-SSDOTTSWSA-N 0 0 279.214 2.763 20 5 CFBDRN Cc1cc(CSC[C@H](O)c2ccc([N+](=O)[O-])cc2)no1 ZINC000899913416 620612843 /nfs/dbraw/zinc/61/28/43/620612843.db2.gz BPXGQMSZLJPUTA-ZDUSSCGKSA-N 0 0 294.332 2.858 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)CCF ZINC000900048649 620629746 /nfs/dbraw/zinc/62/97/46/620629746.db2.gz HWNXUBNPSHGYAG-UHFFFAOYSA-N 0 0 274.679 2.566 20 5 CFBDRN CCOC(=O)[C@@H]1C[C@H]1COc1c(Cl)cccc1[N+](=O)[O-] ZINC000901509376 620840665 /nfs/dbraw/zinc/84/06/65/620840665.db2.gz OCWKRTDOWAOREH-DTWKUNHWSA-N 0 0 299.710 2.826 20 5 CFBDRN COC/C(C)=C\COc1ccc(C)c([N+](=O)[O-])c1 ZINC000901529765 620846874 /nfs/dbraw/zinc/84/68/74/620846874.db2.gz PNQKPWIYDWBQDJ-POHAHGRESA-N 0 0 251.282 2.875 20 5 CFBDRN COC/C(C)=C/COc1ccc(C)c([N+](=O)[O-])c1 ZINC000901529768 620846881 /nfs/dbraw/zinc/84/68/81/620846881.db2.gz PNQKPWIYDWBQDJ-UXBLZVDNSA-N 0 0 251.282 2.875 20 5 CFBDRN C[C@@H]1C[C@H](Cn2cc([N+](=O)[O-])c(C3CC3)n2)C[C@H](C)O1 ZINC000901535325 620849153 /nfs/dbraw/zinc/84/91/53/620849153.db2.gz PQCRIQVHEOQDCH-URLYPYJESA-N 0 0 279.340 2.872 20 5 CFBDRN C[C@@]1(C(=O)[O-])CC[N@H+](Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000901512319 620851696 /nfs/dbraw/zinc/85/16/96/620851696.db2.gz DBTZUBKRPHOUTA-CYBMUJFWSA-N 0 0 298.726 2.545 20 5 CFBDRN Cc1nn(C[C@H]2CCC[C@H](C(F)(F)F)O2)cc1[N+](=O)[O-] ZINC000901548506 620861520 /nfs/dbraw/zinc/86/15/20/620861520.db2.gz MPQZUCKZWJIUFJ-PSASIEDQSA-N 0 0 293.245 2.600 20 5 CFBDRN CC(C)(C)OC(=O)C1(CCn2ccnc2[N+](=O)[O-])CCC1 ZINC000901588585 620863955 /nfs/dbraw/zinc/86/39/55/620863955.db2.gz YARKRDWSZXZSCC-UHFFFAOYSA-N 0 0 295.339 2.693 20 5 CFBDRN CC[N@H+](CCC(=O)[O-])C/C(C)=C\c1cccc([N+](=O)[O-])c1 ZINC000901602753 620871515 /nfs/dbraw/zinc/87/15/15/620871515.db2.gz VGVHGIMDJHDZGH-XFXZXTDPSA-N 0 0 292.335 2.795 20 5 CFBDRN O=C([O-])CC1([NH2+]Cc2csc([N+](=O)[O-])c2)CCCC1 ZINC000901687507 620894480 /nfs/dbraw/zinc/89/44/80/620894480.db2.gz QPUDAYWGKTYKST-UHFFFAOYSA-N 0 0 284.337 2.533 20 5 CFBDRN Cc1c(C[NH2+]C2(CC(=O)[O-])CCCC2)cccc1[N+](=O)[O-] ZINC000901687821 620894739 /nfs/dbraw/zinc/89/47/39/620894739.db2.gz WUHOEZGIEJUXFS-UHFFFAOYSA-N 0 0 292.335 2.780 20 5 CFBDRN CCCCC(=O)COc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000901699736 620897931 /nfs/dbraw/zinc/89/79/31/620897931.db2.gz OUXBCBHUXQFBAN-UHFFFAOYSA-N 0 0 295.291 2.504 20 5 CFBDRN CC1CC(CSCCn2cc([N+](=O)[O-])cn2)C1 ZINC000901883427 620934066 /nfs/dbraw/zinc/93/40/66/620934066.db2.gz PZPVNRPTZWMCDS-UHFFFAOYSA-N 0 0 255.343 2.571 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])ccc2C)c(C)nn1 ZINC000901920816 620936070 /nfs/dbraw/zinc/93/60/70/620936070.db2.gz AFCUGBMWZYGDAI-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN COc1cc(CNc2cccc([N+](=O)[O-])c2C)on1 ZINC000901932364 620938770 /nfs/dbraw/zinc/93/87/70/620938770.db2.gz ZSMCASPEQQTNKL-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN Cc1c(NC[C@@H]2C[C@@]23CCOC3)cccc1[N+](=O)[O-] ZINC000901929670 620944000 /nfs/dbraw/zinc/94/40/00/620944000.db2.gz PVRFJFQILCNQBM-SMDDNHRTSA-N 0 0 262.309 2.742 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)C[N@H+](CC(=O)[O-])C1CC1 ZINC000901970511 620947540 /nfs/dbraw/zinc/94/75/40/620947540.db2.gz MFJYCACIBMLFDU-XFFZJAGNSA-N 0 0 290.319 2.547 20 5 CFBDRN O=C([O-])C[C@@H]1CCC[N@@H+]1Cc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000902008018 620956476 /nfs/dbraw/zinc/95/64/76/620956476.db2.gz RKONKPPHEGEGCE-JTQLQIEISA-N 0 0 298.726 2.687 20 5 CFBDRN CCn1cnc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC000902077708 620968921 /nfs/dbraw/zinc/96/89/21/620968921.db2.gz HHXMSSQHCJBINF-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN CCn1cc(CCNc2cc([N+](=O)[O-])ccc2NC)cn1 ZINC000902088255 620975685 /nfs/dbraw/zinc/97/56/85/620975685.db2.gz JFXGDDLGPHQZMO-UHFFFAOYSA-N 0 0 289.339 2.508 20 5 CFBDRN C[C@@H]1[C@H](CC(=O)[O-])CC[C@@H]1[NH2+]Cc1ccccc1[N+](=O)[O-] ZINC000902097744 620978663 /nfs/dbraw/zinc/97/86/63/620978663.db2.gz YREHHTOUPWWQED-MDZLAQPJSA-N 0 0 292.335 2.574 20 5 CFBDRN C[C@@H]1[C@@H](CC(=O)[O-])CC[C@H]1[NH2+]Cc1ccccc1[N+](=O)[O-] ZINC000902097745 620978752 /nfs/dbraw/zinc/97/87/52/620978752.db2.gz YREHHTOUPWWQED-NQBHXWOUSA-N 0 0 292.335 2.574 20 5 CFBDRN Cn1cc(NCc2ccc(F)cc2[N+](=O)[O-])c(C2CC2)n1 ZINC000902214035 620994553 /nfs/dbraw/zinc/99/45/53/620994553.db2.gz SWMMPRBVFWGFMF-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OC[C@H]1C[C@@]12CCOC2 ZINC000902234537 621003873 /nfs/dbraw/zinc/00/38/73/621003873.db2.gz QNJWDRUTNCTNHP-NOZJJQNGSA-N 0 0 267.256 2.539 20 5 CFBDRN Cc1cc(CSCc2cccnc2[N+](=O)[O-])on1 ZINC000902284596 621014346 /nfs/dbraw/zinc/01/43/46/621014346.db2.gz ZHHANVVSALHJCU-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN Cc1nonc1CSCCOc1ccccc1[N+](=O)[O-] ZINC000902303136 621027438 /nfs/dbraw/zinc/02/74/38/621027438.db2.gz BJFNYFQQQOXGQW-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN Cc1ccc([C@H](C)[NH2+][C@H](C)[C@H]2C[C@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000902394246 621064209 /nfs/dbraw/zinc/06/42/09/621064209.db2.gz FZQFCPAGCGXFQO-XKAARJIMSA-N 0 0 292.335 2.663 20 5 CFBDRN Cn1cc(CSCCCC2CC2)c([N+](=O)[O-])n1 ZINC000902437965 621080039 /nfs/dbraw/zinc/08/00/39/621080039.db2.gz BSKQYLDMHLIOPX-UHFFFAOYSA-N 0 0 255.343 2.752 20 5 CFBDRN CCn1cnc(CN[C@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC000902460036 621096181 /nfs/dbraw/zinc/09/61/81/621096181.db2.gz FPJDQKKYVGDQEX-LLVKDONJSA-N 0 0 274.324 2.662 20 5 CFBDRN Cc1ccc(Cn2cc3c(n2)C(=O)CCC3)cc1[N+](=O)[O-] ZINC000902543425 621114413 /nfs/dbraw/zinc/11/44/13/621114413.db2.gz OQUGNCLOVWRDHP-UHFFFAOYSA-N 0 0 285.303 2.667 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC000902680732 621147373 /nfs/dbraw/zinc/14/73/73/621147373.db2.gz SCBVTMQHAPWHHM-OCCSQVGLSA-N 0 0 291.303 2.624 20 5 CFBDRN Cn1cc(COc2cccc(C(F)F)c2)c([N+](=O)[O-])n1 ZINC000902684342 621150860 /nfs/dbraw/zinc/15/08/60/621150860.db2.gz YMVRHPIVMZBRDO-UHFFFAOYSA-N 0 0 283.234 2.845 20 5 CFBDRN COC/C(C)=C/COc1ccc(OC)c([N+](=O)[O-])c1 ZINC000902734416 621161473 /nfs/dbraw/zinc/16/14/73/621161473.db2.gz UHYFPLUMLAVEQW-UXBLZVDNSA-N 0 0 267.281 2.575 20 5 CFBDRN COC/C(C)=C\Cn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000902750768 621164618 /nfs/dbraw/zinc/16/46/18/621164618.db2.gz JHFBKXMBKKWNNY-WDZFZDKYSA-N 0 0 288.303 2.502 20 5 CFBDRN CCc1nc(COC(=O)c2ccccc2[N+](=O)[O-])cs1 ZINC000042638571 621186873 /nfs/dbraw/zinc/18/68/73/621186873.db2.gz NUJGAFOLCUNFNI-UHFFFAOYSA-N 0 0 292.316 2.971 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCC[C@H](F)C2)c(F)c1 ZINC000902991828 621218941 /nfs/dbraw/zinc/21/89/41/621218941.db2.gz CJBKDTFIKYJZKI-QMMMGPOBSA-N 0 0 274.242 2.807 20 5 CFBDRN COc1cc([C@@H](C)NCC(C)(F)F)cc([N+](=O)[O-])c1 ZINC000903174866 621274764 /nfs/dbraw/zinc/27/47/64/621274764.db2.gz NTOQIYVAGJZJAZ-MRVPVSSYSA-N 0 0 274.267 2.909 20 5 CFBDRN C[C@H]([NH2+][C@@H]1CCO[C@H]1C1CC1)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903266198 621306191 /nfs/dbraw/zinc/30/61/91/621306191.db2.gz UXKJZWNCPGXGJJ-RJIBLYRGSA-N 0 0 292.335 2.519 20 5 CFBDRN C[C@@H]([NH2+]C/C=C/Cl)c1cc([N+](=O)[O-])ccc1[O-] ZINC000903445849 621384142 /nfs/dbraw/zinc/38/41/42/621384142.db2.gz WJANDSIPRDHCMY-IBDUZEINSA-N 0 0 256.689 2.704 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@@H+]1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC000903630773 621411566 /nfs/dbraw/zinc/41/15/66/621411566.db2.gz DHWGTSCCKNVAQB-IJLUTSLNSA-N 0 0 292.335 2.698 20 5 CFBDRN Cc1noc(-c2ccc([N+](=O)[O-])c3cccnc23)n1 ZINC000904579081 621608428 /nfs/dbraw/zinc/60/84/28/621608428.db2.gz KHWGRNOVNKFZHD-UHFFFAOYSA-N 0 0 256.221 2.501 20 5 CFBDRN CCc1cccnc1-c1cc([N+](=O)[O-])ccc1CCO ZINC000904812585 621645822 /nfs/dbraw/zinc/64/58/22/621645822.db2.gz AFAQNZVUUFOZKN-UHFFFAOYSA-N 0 0 272.304 2.754 20 5 CFBDRN Cc1ccc(NC(=O)[C@H](C)[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000905032647 621683534 /nfs/dbraw/zinc/68/35/34/621683534.db2.gz VNNFDDDWBSQCPY-ZWNOBZJWSA-N 0 0 278.308 2.657 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCOCC1CCC1 ZINC000905109048 621701793 /nfs/dbraw/zinc/70/17/93/621701793.db2.gz WDXLTAFBJPQQFM-UHFFFAOYSA-N 0 0 279.340 2.865 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000905142488 621709741 /nfs/dbraw/zinc/70/97/41/621709741.db2.gz IPKXOLDTQCDDMM-VHSXEESVSA-N 0 0 277.324 2.754 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000832771251 625510137 /nfs/dbraw/zinc/51/01/37/625510137.db2.gz IBCCUZGQERSDMD-UHFFFAOYSA-N 0 0 298.314 2.526 20 5 CFBDRN O=[N+]([O-])CCNc1ccc(COC2CCCC2)cc1 ZINC000905327021 621744098 /nfs/dbraw/zinc/74/40/98/621744098.db2.gz XYWZJXWVOKEXBT-UHFFFAOYSA-N 0 0 264.325 2.834 20 5 CFBDRN Cc1nc(-c2ccc(NCC[N+](=O)[O-])cc2)cs1 ZINC000905301075 621745388 /nfs/dbraw/zinc/74/53/88/621745388.db2.gz NAXWPHJXQQPBDI-UHFFFAOYSA-N 0 0 263.322 2.807 20 5 CFBDRN O=[N+]([O-])CCN[C@H](CCc1ccccc1)c1ccccn1 ZINC000905398005 621758056 /nfs/dbraw/zinc/75/80/56/621758056.db2.gz DZXXQDHOGASMQM-MRXNPFEDSA-N 0 0 285.347 2.622 20 5 CFBDRN Cc1nnc([C@@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000905852325 621888723 /nfs/dbraw/zinc/88/87/23/621888723.db2.gz IDBWEALNPNPWML-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN CC(C)(/C=C/Cl)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000906288252 621969866 /nfs/dbraw/zinc/96/98/66/621969866.db2.gz ZTWFINHVZPTLMZ-BQYQJAHWSA-N 0 0 268.700 2.856 20 5 CFBDRN Cc1cccc(C(=O)N=[S@@](C)(=O)C(C)(C)C)c1[N+](=O)[O-] ZINC000906310896 621972407 /nfs/dbraw/zinc/97/24/07/621972407.db2.gz SGAZIZNQZLGAJG-FQEVSTJZSA-N 0 0 298.364 2.940 20 5 CFBDRN COCC(=O)Nc1cc([N+](=O)[O-])cc(C(F)(F)F)c1C ZINC000906406922 621983352 /nfs/dbraw/zinc/98/33/52/621983352.db2.gz JQWGQIIAVUNTBP-UHFFFAOYSA-N 0 0 292.213 2.507 20 5 CFBDRN CCCCNC(=S)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000007522804 622177880 /nfs/dbraw/zinc/17/78/80/622177880.db2.gz MKHHFEQRUHIIGJ-UHFFFAOYSA-N 0 0 267.354 2.990 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)N1CC2(CC2)C1 ZINC000908519295 622237881 /nfs/dbraw/zinc/23/78/81/622237881.db2.gz PFSBIIYGXTWEGF-UHFFFAOYSA-N 0 0 294.738 2.803 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)COC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000908824659 622278351 /nfs/dbraw/zinc/27/83/51/622278351.db2.gz HBQDGTOSJLANGL-OIBJUYFYSA-N 0 0 297.694 2.630 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000908828048 622278655 /nfs/dbraw/zinc/27/86/55/622278655.db2.gz XOYYRIHRONUKLN-GDNZZTSVSA-N 0 0 293.319 2.955 20 5 CFBDRN CC(=O)CCCCCNC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000909097163 622311522 /nfs/dbraw/zinc/31/15/22/622311522.db2.gz LKASIJGFHQLZOG-UHFFFAOYSA-N 0 0 292.335 2.782 20 5 CFBDRN COC/C(C)=C/COC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000909233838 622330216 /nfs/dbraw/zinc/33/02/16/622330216.db2.gz SQPRRNBSXXTKFV-YTRUQHMWSA-N 0 0 293.319 2.834 20 5 CFBDRN COC/C(C)=C\COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000909233835 622330301 /nfs/dbraw/zinc/33/03/01/622330301.db2.gz SQPRRNBSXXTKFV-RDQDRAATSA-N 0 0 293.319 2.834 20 5 CFBDRN CCSCCOC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910026962 622388049 /nfs/dbraw/zinc/38/80/49/622388049.db2.gz GVLVUCDIYHUJSB-CHWSQXEVSA-N 0 0 295.360 2.995 20 5 CFBDRN CCCC(=O)COC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028010 622388532 /nfs/dbraw/zinc/38/85/32/622388532.db2.gz OWIIJEWGKSMZQA-KGLIPLIRSA-N 0 0 291.303 2.611 20 5 CFBDRN COCC[C@H](C)OC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000910028678 622388546 /nfs/dbraw/zinc/38/85/46/622388546.db2.gz UPRBGQKJRMFPSU-ZLKJLUDKSA-N 0 0 293.319 2.667 20 5 CFBDRN CSCCCOC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910029161 622388639 /nfs/dbraw/zinc/38/86/39/622388639.db2.gz XMUMLNDOSFJJPL-QWHCGFSZSA-N 0 0 295.360 2.995 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)OC[C@H]1CC=CCC1 ZINC000071812813 625563494 /nfs/dbraw/zinc/56/34/94/625563494.db2.gz GIYWRCJBHMFUFK-LBPRGKRZSA-N 0 0 291.303 2.873 20 5 CFBDRN CC/C(C)=C/C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911475441 622522388 /nfs/dbraw/zinc/52/23/88/622522388.db2.gz WRJDAJIUNBSRJT-XBXARRHUSA-N 0 0 284.262 2.846 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@H](C3CCC3)C2)c1 ZINC000840392358 622540976 /nfs/dbraw/zinc/54/09/76/622540976.db2.gz WAWBFQSOOIJFAX-NSHDSACASA-N 0 0 261.325 2.803 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NN1[C@H](C)CCC[C@@H]1C ZINC000819644174 622541964 /nfs/dbraw/zinc/54/19/64/622541964.db2.gz CMBGTGQQCCHKEI-TXEJJXNPSA-N 0 0 291.351 2.811 20 5 CFBDRN Nc1ccc(NC(=O)c2cc[nH]c2C2CC2)cc1[N+](=O)[O-] ZINC000819892747 622567354 /nfs/dbraw/zinc/56/73/54/622567354.db2.gz NEOJAICNYGPYJQ-UHFFFAOYSA-N 0 0 286.291 2.635 20 5 CFBDRN CC[C@@H](C)CC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819916844 622571012 /nfs/dbraw/zinc/57/10/12/622571012.db2.gz VDXLQJBTMMLTJP-MRVPVSSYSA-N 0 0 251.286 2.552 20 5 CFBDRN CCCCCC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819920698 622572107 /nfs/dbraw/zinc/57/21/07/622572107.db2.gz PZZYBQUADQYEBX-UHFFFAOYSA-N 0 0 251.286 2.696 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000819960800 622585779 /nfs/dbraw/zinc/58/57/79/622585779.db2.gz GOYDHGXNLHREBP-RZRXIGATSA-N 0 0 291.303 2.934 20 5 CFBDRN C[C@H](OC(=O)/C=C\[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000819960799 622586185 /nfs/dbraw/zinc/58/61/85/622586185.db2.gz GOYDHGXNLHREBP-RTVKQWPZSA-N 0 0 291.303 2.934 20 5 CFBDRN O=C(NOc1ccccc1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000820043837 622603807 /nfs/dbraw/zinc/60/38/07/622603807.db2.gz UIQDZMGBDJAZOQ-UHFFFAOYSA-N 0 0 292.678 2.972 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@@H]1CCC(C)(C)C1 ZINC000820306982 622646209 /nfs/dbraw/zinc/64/62/09/622646209.db2.gz BQXSVZQDLXFKRS-LLVKDONJSA-N 0 0 295.339 2.612 20 5 CFBDRN C[C@@H](NC(=O)NCCc1ccc([N+](=O)[O-])cc1)C1(C)CC1 ZINC000912238060 622662683 /nfs/dbraw/zinc/66/26/83/622662683.db2.gz UDRGLYZPLCJCAO-LLVKDONJSA-N 0 0 291.351 2.625 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(OC[C@H]2CCCO2)c(Cl)c1 ZINC000912358787 622693278 /nfs/dbraw/zinc/69/32/78/622693278.db2.gz INIUTXDKBDKKGC-MRVPVSSYSA-N 0 0 275.663 2.945 20 5 CFBDRN CCC[C@H]1CCCN1c1ncc([N+](=O)[O-])cc1F ZINC000912465214 622715413 /nfs/dbraw/zinc/71/54/13/622715413.db2.gz WIQNIYZYKQFICE-VIFPVBQESA-N 0 0 253.277 2.898 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)c(C)cc2[N+](=O)[O-])CCO1 ZINC000820619480 622717722 /nfs/dbraw/zinc/71/77/22/622717722.db2.gz QEWWHDOPUPBTTH-LLVKDONJSA-N 0 0 280.324 2.527 20 5 CFBDRN C[C@H]1CN(c2cc(Cl)c(F)cc2[N+](=O)[O-])CCO1 ZINC000820617230 622717858 /nfs/dbraw/zinc/71/78/58/622717858.db2.gz QDDDPEREZQRLKE-ZETCQYMHSA-N 0 0 274.679 2.612 20 5 CFBDRN COc1cc(N2CC[C@@H](C)C2)c([N+](=O)[O-])cc1C ZINC000820676321 622733847 /nfs/dbraw/zinc/73/38/47/622733847.db2.gz PTIATHAZZFYZFO-SECBINFHSA-N 0 0 250.298 2.758 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)[C@H](O)c2cccc([N+](=O)[O-])c2)C1 ZINC000820736923 622747266 /nfs/dbraw/zinc/74/72/66/622747266.db2.gz QOLIORRHRZZJHH-CHWSQXEVSA-N 0 0 293.319 2.750 20 5 CFBDRN O=[N+]([O-])c1c(NCc2cccs2)nc2ccccn21 ZINC000029165325 622771725 /nfs/dbraw/zinc/77/17/25/622771725.db2.gz LIQSTJJEJXHDPQ-UHFFFAOYSA-N 0 0 274.305 2.916 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])O[C@H]1CCOC1 ZINC000923966418 622786630 /nfs/dbraw/zinc/78/66/30/622786630.db2.gz GXXSFKNTJBFKGG-VIFPVBQESA-N 0 0 299.710 2.513 20 5 CFBDRN CCC1(NC(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000821105372 622847309 /nfs/dbraw/zinc/84/73/09/622847309.db2.gz LGPZOBGACOPEPA-QWHCGFSZSA-N 0 0 274.320 2.757 20 5 CFBDRN Nc1ccc(C(=O)N2CC=CC23CCCC3)cc1[N+](=O)[O-] ZINC000913195701 622849726 /nfs/dbraw/zinc/84/97/26/622849726.db2.gz YPSVQYBVMNPYMR-UHFFFAOYSA-N 0 0 287.319 2.502 20 5 CFBDRN O=C(CCc1ccoc1)OCCc1ccccc1[N+](=O)[O-] ZINC000151186673 622855369 /nfs/dbraw/zinc/85/53/69/622855369.db2.gz DVBMJGPZSRBTIX-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN CS[C@H](C)CNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000821214445 622871096 /nfs/dbraw/zinc/87/10/96/622871096.db2.gz XAGWDGKTZIIVEH-SECBINFHSA-N 0 0 282.365 2.693 20 5 CFBDRN C[C@@H](OC(=O)[C@@H](C)n1cccn1)c1cccc([N+](=O)[O-])c1 ZINC000913330798 622877793 /nfs/dbraw/zinc/87/77/93/622877793.db2.gz DFCWXKOUUIMATN-GHMZBOCLSA-N 0 0 289.291 2.657 20 5 CFBDRN Cc1ccc(COC(=O)/C=C/[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000821273883 622882651 /nfs/dbraw/zinc/88/26/51/622882651.db2.gz LGVUZVVXCJBORR-KTRBRXNASA-N 0 0 291.303 2.682 20 5 CFBDRN CCc1ccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])cc1Cl ZINC000840455075 622883001 /nfs/dbraw/zinc/88/30/01/622883001.db2.gz BNQRYQQWZRNBQT-MWLCHTKSSA-N 0 0 268.700 2.506 20 5 CFBDRN O=C(NCc1cc(F)c(Cl)c([N+](=O)[O-])c1)[C@H]1CC12CC2 ZINC000913386169 622892094 /nfs/dbraw/zinc/89/20/94/622892094.db2.gz PHCCVAMUUODLQI-MRVPVSSYSA-N 0 0 298.701 2.804 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C)[C@H]1C ZINC000821507465 622936634 /nfs/dbraw/zinc/93/66/34/622936634.db2.gz BKSZNUVLLCHXTJ-NXEZZACHSA-N 0 0 263.297 2.775 20 5 CFBDRN CC(C)(CCC(=O)NCCC[C@H]1C=CCC1)[N+](=O)[O-] ZINC000834322182 625606736 /nfs/dbraw/zinc/60/67/36/625606736.db2.gz MSQQZAHSGGLTIN-LBPRGKRZSA-N 0 0 268.357 2.685 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)c2cc(Cl)c(N)c([N+](=O)[O-])c2)C1 ZINC000165118687 622981604 /nfs/dbraw/zinc/98/16/04/622981604.db2.gz DALNUOOLIXWVKK-HTQZYQBOSA-N 0 0 297.742 2.701 20 5 CFBDRN COc1c(C(=O)O[C@@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000821832640 622987472 /nfs/dbraw/zinc/98/74/72/622987472.db2.gz GSISYMJFWHXCSD-LLVKDONJSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1nn(CCC(=O)O[C@H]2CCC2(C)C)c(C)c1[N+](=O)[O-] ZINC000821842422 622990706 /nfs/dbraw/zinc/99/07/06/622990706.db2.gz PIHZCGRWAVDJLC-NSHDSACASA-N 0 0 295.339 2.530 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@H]2CCC2(C)C)c1 ZINC000821843384 622991350 /nfs/dbraw/zinc/99/13/50/622991350.db2.gz VCUSJLAFEJQELQ-LBPRGKRZSA-N 0 0 279.292 2.949 20 5 CFBDRN CC(C)(CCC(=O)OC[C@]1(C)C[C@@]1(F)Cl)[N+](=O)[O-] ZINC000821914812 623007505 /nfs/dbraw/zinc/00/75/05/623007505.db2.gz NLKUUNDYFRIRAA-QWRGUYRKSA-N 0 0 281.711 2.680 20 5 CFBDRN CCC[C@H](CC)OC(=O)CCn1nc(C)c([N+](=O)[O-])c1C ZINC000157207108 623012591 /nfs/dbraw/zinc/01/25/91/623012591.db2.gz DOBUIASHBQZHQT-LBPRGKRZSA-N 0 0 297.355 2.920 20 5 CFBDRN C[C@]1(COC(=O)CSc2ccc([N+](=O)[O-])cc2)C[C@H]1F ZINC000822077465 623028070 /nfs/dbraw/zinc/02/80/70/623028070.db2.gz GCUBZYKJQMRRQI-DGCLKSJQSA-N 0 0 299.323 2.978 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000045863785 623029970 /nfs/dbraw/zinc/02/99/70/623029970.db2.gz DGCQKEIMTQHQRB-SNVBAGLBSA-N 0 0 297.314 2.768 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC[C@@]1(C)C[C@H]1F ZINC000822092697 623034241 /nfs/dbraw/zinc/03/42/41/623034241.db2.gz XTOZTOGVXBPSCW-DGCLKSJQSA-N 0 0 283.255 2.508 20 5 CFBDRN O=[N+]([O-])c1cccc(CNCc2ncc(Cl)s2)c1 ZINC000225332904 623035240 /nfs/dbraw/zinc/03/52/40/623035240.db2.gz HFWOJQNKHMZNSJ-UHFFFAOYSA-N 0 0 283.740 2.995 20 5 CFBDRN Cc1cc(C(=O)OC[C@@]2(C)C[C@@H]2F)cc([N+](=O)[O-])c1 ZINC000822098520 623035711 /nfs/dbraw/zinc/03/57/11/623035711.db2.gz HOVIWDSICAMUCF-WCQYABFASA-N 0 0 267.256 2.808 20 5 CFBDRN C[C@]1(COC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C[C@H]1F ZINC000822102206 623036552 /nfs/dbraw/zinc/03/65/52/623036552.db2.gz YBARAVFYURROKW-BXUZGUMPSA-N 0 0 292.266 2.981 20 5 CFBDRN COc1cc(C(=O)OC[C@@]2(C)C[C@H]2F)ccc1[N+](=O)[O-] ZINC000822112576 623039786 /nfs/dbraw/zinc/03/97/86/623039786.db2.gz SEHFSOUVJXEANA-DGCLKSJQSA-N 0 0 283.255 2.508 20 5 CFBDRN C[C@@]1(COC(=O)CCc2cccc([N+](=O)[O-])c2)C[C@@H]1F ZINC000822120135 623041830 /nfs/dbraw/zinc/04/18/30/623041830.db2.gz LVMXRRAPPPLHIM-JSGCOSHPSA-N 0 0 281.283 2.819 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@]1(C)C[C@H]1F ZINC000822141051 623048833 /nfs/dbraw/zinc/04/88/33/623048833.db2.gz WRBPDNQWDZJDST-DGCLKSJQSA-N 0 0 283.255 2.508 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@@H]1C ZINC000822188197 623057047 /nfs/dbraw/zinc/05/70/47/623057047.db2.gz BRVVHKDFQCUDBE-IJLUTSLNSA-N 0 0 270.373 2.763 20 5 CFBDRN O=C(OC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000168708003 623101420 /nfs/dbraw/zinc/10/14/20/623101420.db2.gz HCLNURUXXCPWKW-VIFPVBQESA-N 0 0 285.683 2.584 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC1CC(F)(F)C1 ZINC000822434800 623102317 /nfs/dbraw/zinc/10/23/17/623102317.db2.gz JMIIULOYAIBTQL-UHFFFAOYSA-N 0 0 284.262 2.739 20 5 CFBDRN C[C@H](C[S@@](C)=O)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000169028965 623111160 /nfs/dbraw/zinc/11/11/60/623111160.db2.gz GPVBDEPQZQPHTK-XJZHNMMOSA-N 0 0 292.307 2.711 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])n2C)cnc1Cl ZINC000228033523 623125674 /nfs/dbraw/zinc/12/56/74/623125674.db2.gz QFBLPWJZABSJAC-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCCC[C@@H]1C=CCC1 ZINC000834342152 625623791 /nfs/dbraw/zinc/62/37/91/625623791.db2.gz PFCFTAABFKTDAW-CYBMUJFWSA-N 0 0 288.347 3.000 20 5 CFBDRN COc1ccc(COC(=O)c2cccc([N+](=O)[O-])c2)cc1 ZINC000060525246 623136678 /nfs/dbraw/zinc/13/66/78/623136678.db2.gz NVZALXBHXVAUFM-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CCc1nc(NC(=O)CCC(C)(C)[N+](=O)[O-])sc1C ZINC000822629749 623139274 /nfs/dbraw/zinc/13/92/74/623139274.db2.gz RPUPBYYFOKNGCL-UHFFFAOYSA-N 0 0 285.369 2.788 20 5 CFBDRN Cc1ccc(N(CC(C)C)C(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000840473358 623156909 /nfs/dbraw/zinc/15/69/09/623156909.db2.gz OWQWYSIRAWNCQH-ZIAGYGMSSA-N 0 0 276.336 2.649 20 5 CFBDRN C[C@H]1CCC[C@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000822730367 623161776 /nfs/dbraw/zinc/16/17/76/623161776.db2.gz JURGYOCNOKFEEB-RYUDHWBXSA-N 0 0 270.373 2.764 20 5 CFBDRN CC(C)(F)COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842344099 623162377 /nfs/dbraw/zinc/16/23/77/623162377.db2.gz XTMYXRVLOLCRJI-NWDGAFQWSA-N 0 0 281.283 2.990 20 5 CFBDRN CC1CCC(C)(NC(=O)CCC(C)(C)[N+](=O)[O-])CC1 ZINC000822940483 623206677 /nfs/dbraw/zinc/20/66/77/623206677.db2.gz STWHGMJGUOCZJZ-UHFFFAOYSA-N 0 0 270.373 2.907 20 5 CFBDRN CC1(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)CC(F)(F)C1 ZINC000822991776 623215869 /nfs/dbraw/zinc/21/58/69/623215869.db2.gz MYEJHVZZXUHRIT-UHFFFAOYSA-N 0 0 285.250 2.551 20 5 CFBDRN CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccn1 ZINC000823113354 623239676 /nfs/dbraw/zinc/23/96/76/623239676.db2.gz VUVQRUGPOPCQTG-ZDUSSCGKSA-N 0 0 293.367 2.875 20 5 CFBDRN Cc1c(NC(=O)c2cnc(Cl)cn2)cccc1[N+](=O)[O-] ZINC000230071370 623243069 /nfs/dbraw/zinc/24/30/69/623243069.db2.gz YXNCRMKBPPQDRS-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000230105441 623252906 /nfs/dbraw/zinc/25/29/06/623252906.db2.gz MAAMIECHAOBUGW-ISVAXAHUSA-N 0 0 298.726 2.546 20 5 CFBDRN CC(C)(C)C1CCN(Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000172013427 623252973 /nfs/dbraw/zinc/25/29/73/623252973.db2.gz ZJNXLGGPQLLGKW-UHFFFAOYSA-N 0 0 266.345 2.507 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCCC(C)(F)F ZINC000823222896 623266968 /nfs/dbraw/zinc/26/69/68/623266968.db2.gz LILDNQFYYHLFCF-UHFFFAOYSA-N 0 0 286.278 2.987 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000172361532 623277812 /nfs/dbraw/zinc/27/78/12/623277812.db2.gz FAZZBPUGYJTGJU-PRHODGIISA-N 0 0 299.710 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC[C@H]1C1CC1 ZINC000823285716 623284341 /nfs/dbraw/zinc/28/43/41/623284341.db2.gz SIKQCTUIMLSKSB-ZDUSSCGKSA-N 0 0 274.320 2.836 20 5 CFBDRN CC(C)(CCC(=O)NC/C=C/c1ccccc1)[N+](=O)[O-] ZINC000823290655 623285713 /nfs/dbraw/zinc/28/57/13/623285713.db2.gz SDDGVGKKTMZCTQ-RMKNXTFCSA-N 0 0 276.336 2.652 20 5 CFBDRN CCOc1cc(C(=O)OCC2CC2)c([N+](=O)[O-])cc1OC ZINC000062699123 623288778 /nfs/dbraw/zinc/28/87/78/623288778.db2.gz NMBJWDRLTMUMBX-UHFFFAOYSA-N 0 0 295.291 2.569 20 5 CFBDRN CCSCCOC(=O)c1cccc([N+](=O)[O-])c1C ZINC000062697627 623288971 /nfs/dbraw/zinc/28/89/71/623288971.db2.gz ARAQMXNUTFHXTO-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN CCCCOC(=O)[C@@H](C)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000172748989 623304426 /nfs/dbraw/zinc/30/44/26/623304426.db2.gz BOOCMCORCVBHOP-GFCCVEGCSA-N 0 0 294.351 2.758 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N1CCC[C@@H]1C ZINC000172812494 623309335 /nfs/dbraw/zinc/30/93/35/623309335.db2.gz WDDYWSMZIZEJCE-VIFPVBQESA-N 0 0 296.298 2.899 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CCOCC(F)(F)F ZINC000172856056 623313412 /nfs/dbraw/zinc/31/34/12/623313412.db2.gz DWQOCKMOCOSGJG-UHFFFAOYSA-N 0 0 290.241 2.536 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cccnc1Cl ZINC000173019335 623329135 /nfs/dbraw/zinc/32/91/35/623329135.db2.gz DLZKLPRIECOZBB-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NC[C@@H]1CC[C@@H](C)O1 ZINC000823505277 623336227 /nfs/dbraw/zinc/33/62/27/623336227.db2.gz VIADKAYZARMPIA-PWSUYJOCSA-N 0 0 292.335 2.509 20 5 CFBDRN CCc1cnc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)o1 ZINC000231635092 623361712 /nfs/dbraw/zinc/36/17/12/623361712.db2.gz RORAYIRGGGVFIQ-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN CCn1ccnc1NC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000823617219 623361820 /nfs/dbraw/zinc/36/18/20/623361820.db2.gz ZAKMNSYFXSMUSZ-UHFFFAOYSA-N 0 0 288.307 2.680 20 5 CFBDRN Cc1ccc(NC(=O)C2=CCCCO2)cc1[N+](=O)[O-] ZINC000174171914 623395898 /nfs/dbraw/zinc/39/58/98/623395898.db2.gz ALGDCIXFWDIURU-UHFFFAOYSA-N 0 0 262.265 2.536 20 5 CFBDRN CSCCCOC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000174247321 623402322 /nfs/dbraw/zinc/40/23/22/623402322.db2.gz ZGJWFQOWIGJCNX-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN CSCCCOC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000174262677 623406319 /nfs/dbraw/zinc/40/63/19/623406319.db2.gz MYWHSBQWKPDZNG-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN CON(CC(C)(C)C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823938275 623421657 /nfs/dbraw/zinc/42/16/57/623421657.db2.gz CGJROKPEALALKS-UHFFFAOYSA-N 0 0 296.327 2.618 20 5 CFBDRN Nc1ccc(NC(=O)N2CCCC23CCC3)cc1[N+](=O)[O-] ZINC000823951540 623424637 /nfs/dbraw/zinc/42/46/37/623424637.db2.gz RUEBAJSCYFFPDH-UHFFFAOYSA-N 0 0 290.323 2.727 20 5 CFBDRN C[C@H]1CC[C@H](C)N(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823972887 623427467 /nfs/dbraw/zinc/42/74/67/623427467.db2.gz AKDYGGQQXWYDGS-UWVGGRQHSA-N 0 0 292.339 2.829 20 5 CFBDRN CC(C)COCCOC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000232595330 623427632 /nfs/dbraw/zinc/42/76/32/623427632.db2.gz OXJAZPUDHLRQSF-UHFFFAOYSA-N 0 0 285.271 2.563 20 5 CFBDRN CCOCCCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000174559367 623427871 /nfs/dbraw/zinc/42/78/71/623427871.db2.gz ASKPPCKWXBPUHT-UHFFFAOYSA-N 0 0 267.281 2.568 20 5 CFBDRN CCC(CC)CNC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823998935 623430559 /nfs/dbraw/zinc/43/05/59/623430559.db2.gz XEPQQHZBJDHBGJ-UHFFFAOYSA-N 0 0 280.328 2.735 20 5 CFBDRN C[C@H]1CC(OC(=O)CCn2cc([N+](=O)[O-])cn2)C[C@H](C)C1 ZINC000174577913 623431276 /nfs/dbraw/zinc/43/12/76/623431276.db2.gz QLJCYQWNPOVLKC-GHMZBOCLSA-N 0 0 295.339 2.549 20 5 CFBDRN C[C@H](NC(=O)NOCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000824105816 623452912 /nfs/dbraw/zinc/45/29/12/623452912.db2.gz GBNVMFWVVAVYRW-JTQLQIEISA-N 0 0 293.323 2.687 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CCS[C@@H]1C ZINC000824142677 623459283 /nfs/dbraw/zinc/45/92/83/623459283.db2.gz OUALYTJIERHJNV-MNOVXSKESA-N 0 0 294.376 2.835 20 5 CFBDRN O=C(OC/C=C/Cl)c1ccc(F)cc1[N+](=O)[O-] ZINC000758112489 623499592 /nfs/dbraw/zinc/49/95/92/623499592.db2.gz AANMTJNTPXYIMU-DAFODLJHSA-N 0 0 259.620 2.643 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC3(CC3)C2)cc1Cl ZINC000824534017 623519961 /nfs/dbraw/zinc/51/99/61/623519961.db2.gz XFKIMFUASBHGGV-UHFFFAOYSA-N 0 0 252.701 2.844 20 5 CFBDRN CCCCC[C@@H](C)NC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000824625637 623534388 /nfs/dbraw/zinc/53/43/88/623534388.db2.gz MNTIWKZEGDHKAD-BXUZGUMPSA-N 0 0 294.351 2.713 20 5 CFBDRN C[C@H](N(C)C(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000824649303 623538794 /nfs/dbraw/zinc/53/87/94/623538794.db2.gz CKHYZUKCULXEEG-GWCFXTLKSA-N 0 0 294.351 2.521 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)NCC1CC=CC1 ZINC000824881215 623583248 /nfs/dbraw/zinc/58/32/48/623583248.db2.gz ADJQCQUMBXJELQ-UHFFFAOYSA-N 0 0 274.320 2.908 20 5 CFBDRN CCc1nc(CNC/C=C/c2ccccc2[N+](=O)[O-])co1 ZINC000825050727 623617182 /nfs/dbraw/zinc/61/71/82/623617182.db2.gz QLPOWCONNPKZIN-FNORWQNLSA-N 0 0 287.319 2.948 20 5 CFBDRN CCOC(=O)/C=C/COc1ccc(CC)cc1[N+](=O)[O-] ZINC000094822880 623657555 /nfs/dbraw/zinc/65/75/55/623657555.db2.gz KCMJSZSRPRRKEG-AATRIKPKSA-N 0 0 279.292 2.655 20 5 CFBDRN Cc1nn(C)c(CNCc2ccccc2[N+](=O)[O-])c1Cl ZINC000089232670 623675443 /nfs/dbraw/zinc/67/54/43/623675443.db2.gz NEKLLSFRKGKJEQ-UHFFFAOYSA-N 0 0 294.742 2.580 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000830481632 623686420 /nfs/dbraw/zinc/68/64/20/623686420.db2.gz KJVOJRMSGXOEBG-QMMMGPOBSA-N 0 0 270.260 2.536 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)no1 ZINC000152518499 623725398 /nfs/dbraw/zinc/72/53/98/623725398.db2.gz XHNQUTAKYKZNOJ-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000825633132 623727810 /nfs/dbraw/zinc/72/78/10/623727810.db2.gz BGMSPRGWUCAXDE-FMKGYKFTSA-N 0 0 288.347 2.812 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825641199 623729192 /nfs/dbraw/zinc/72/91/92/623729192.db2.gz RVSZYHAKQFSONC-IINYFYTJSA-N 0 0 294.351 2.581 20 5 CFBDRN Cc1ccc(CNC(=O)[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000825647502 623730203 /nfs/dbraw/zinc/73/02/03/623730203.db2.gz GWCZWMLLIFXRGF-QWHCGFSZSA-N 0 0 276.336 2.812 20 5 CFBDRN COCCC[C@H](C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825648517 623730339 /nfs/dbraw/zinc/73/03/39/623730339.db2.gz TZISRXJDYGFCSZ-LBPRGKRZSA-N 0 0 294.351 2.582 20 5 CFBDRN Cc1ccc(CNC(=O)CSC(C)C)cc1[N+](=O)[O-] ZINC000825654875 623731215 /nfs/dbraw/zinc/73/12/15/623731215.db2.gz DOMZTWJBXBWFCF-UHFFFAOYSA-N 0 0 282.365 2.661 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N(C)CC(F)F)cc1[N+](=O)[O-] ZINC000825732619 623748207 /nfs/dbraw/zinc/74/82/07/623748207.db2.gz ZBCCZMBFTXDZNP-UHFFFAOYSA-N 0 0 292.669 2.894 20 5 CFBDRN CCC[C@H](C)CCNC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000914362622 623772202 /nfs/dbraw/zinc/77/22/02/623772202.db2.gz CHDPUKRMLISHTC-SMDDNHRTSA-N 0 0 294.351 2.571 20 5 CFBDRN CCC[C@H](C)CCNC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000914362620 623772390 /nfs/dbraw/zinc/77/23/90/623772390.db2.gz CHDPUKRMLISHTC-FZMZJTMJSA-N 0 0 294.351 2.571 20 5 CFBDRN C[C@@H]1CCC[C@H](C)[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000826009409 623781112 /nfs/dbraw/zinc/78/11/12/623781112.db2.gz SVKQWHWZHIRLLQ-PJXYFTJBSA-N 0 0 270.373 2.763 20 5 CFBDRN CC(C)(CCC(=O)N1CCCC(C)(C)CC1)[N+](=O)[O-] ZINC000826080999 623792004 /nfs/dbraw/zinc/79/20/04/623792004.db2.gz VLODVIHRFLOUCR-UHFFFAOYSA-N 0 0 270.373 2.861 20 5 CFBDRN CC/C(C)=C/C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000826100277 623793671 /nfs/dbraw/zinc/79/36/71/623793671.db2.gz IDJRJNOLOZPVJF-MDZDMXLPSA-N 0 0 260.293 2.840 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc([N+](=O)[O-])cn2)cc1 ZINC000761841084 623833413 /nfs/dbraw/zinc/83/34/13/623833413.db2.gz DLHOAYXIOKITLA-UHFFFAOYSA-N 0 0 258.233 2.517 20 5 CFBDRN CSC(C)(C)CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000761972440 623846768 /nfs/dbraw/zinc/84/67/68/623846768.db2.gz QCJAJRGJFGQHKE-JTQLQIEISA-N 0 0 296.392 2.956 20 5 CFBDRN CS/C=C/C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000767649320 625682062 /nfs/dbraw/zinc/68/20/62/625682062.db2.gz HTNSAGFYVZFTNT-SNAWJCMRSA-N 0 0 294.288 2.656 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000762145295 623878716 /nfs/dbraw/zinc/87/87/16/623878716.db2.gz IGCQNKSBCQHUAD-JTQLQIEISA-N 0 0 281.333 2.813 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2CC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000762168136 623880179 /nfs/dbraw/zinc/88/01/79/623880179.db2.gz AJDUPWDVKWSVIV-APPZFPTMSA-N 0 0 285.321 2.689 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@@H]1COc2ccccc21 ZINC000153216691 623892871 /nfs/dbraw/zinc/89/28/71/623892871.db2.gz IWOJHGLTRKZDFS-OAHLLOKOSA-N 0 0 299.282 2.814 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CCOC[C@H]2C)c1 ZINC000763052349 623944542 /nfs/dbraw/zinc/94/45/42/623944542.db2.gz KJEJOYBESKDCMO-LDYMZIIASA-N 0 0 284.287 2.579 20 5 CFBDRN CCc1cc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)n[nH]1 ZINC000914954302 623962204 /nfs/dbraw/zinc/96/22/04/623962204.db2.gz JDKDCXJZWFOEND-SECBINFHSA-N 0 0 289.291 2.798 20 5 CFBDRN C[C@@]12COC[C@H]1C[N@H+](Cc1ccc([N+](=O)[O-])c(Cl)c1)C2 ZINC000827279987 623980412 /nfs/dbraw/zinc/98/04/12/623980412.db2.gz CNSVKIJWEUNTCK-BXUZGUMPSA-N 0 0 296.754 2.717 20 5 CFBDRN O=C(NCCC1CC=CC1)NCc1cccc([N+](=O)[O-])c1 ZINC000915089923 623981806 /nfs/dbraw/zinc/98/18/06/623981806.db2.gz OQRSADCVYPGCFG-UHFFFAOYSA-N 0 0 289.335 2.750 20 5 CFBDRN COc1cc(C(=O)OC[C@H](C)SC)ccc1[N+](=O)[O-] ZINC000835676778 624012307 /nfs/dbraw/zinc/01/23/07/624012307.db2.gz CTLKRQHZVFOZPO-QMMMGPOBSA-N 0 0 285.321 2.512 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@H](CCO)C2CC2)c1 ZINC000827667476 624058446 /nfs/dbraw/zinc/05/84/46/624058446.db2.gz HHBCUCUVWPDSAT-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN CC(C)CC(=O)OCOc1ccc([N+](=O)[O-])cc1 ZINC000915597552 624082350 /nfs/dbraw/zinc/08/23/50/624082350.db2.gz AHYGGAIAFCREQW-UHFFFAOYSA-N 0 0 253.254 2.520 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CCN2CCc1cscn1 ZINC000834519237 625700923 /nfs/dbraw/zinc/70/09/23/625700923.db2.gz ROBIQKOHKSZNDK-UHFFFAOYSA-N 0 0 275.333 2.657 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC(=O)OC2CCC2)c1 ZINC000827953016 624136919 /nfs/dbraw/zinc/13/69/19/624136919.db2.gz GDASIVIPILQHLA-UHFFFAOYSA-N 0 0 267.237 2.671 20 5 CFBDRN CO[C@@H](C)CCOC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000174819570 624141415 /nfs/dbraw/zinc/14/14/15/624141415.db2.gz NLBOANNDFRBLFV-JTQLQIEISA-N 0 0 299.348 2.655 20 5 CFBDRN O=C(CCc1ccc([N+](=O)[O-])cc1Cl)O[C@@H]1CCOC1 ZINC000923597664 624201352 /nfs/dbraw/zinc/20/13/52/624201352.db2.gz FZAPPKWRHXEJIY-LLVKDONJSA-N 0 0 299.710 2.513 20 5 CFBDRN CC(F)(F)CCNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000828293364 624223616 /nfs/dbraw/zinc/22/36/16/624223616.db2.gz NAVDEFYSACLENC-UHFFFAOYSA-N 0 0 275.211 2.960 20 5 CFBDRN CCCONC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000828296982 624224297 /nfs/dbraw/zinc/22/42/97/624224297.db2.gz RCZRLEIRYHQPCJ-UHFFFAOYSA-N 0 0 293.323 2.610 20 5 CFBDRN CCN(CC)C(=O)OCOc1cc(C)ccc1[N+](=O)[O-] ZINC000916154077 624226061 /nfs/dbraw/zinc/22/60/61/624226061.db2.gz VQPFLLVOVDORNK-UHFFFAOYSA-N 0 0 282.296 2.718 20 5 CFBDRN CC[C@@H](CO)N(C)c1cc(C)c([N+](=O)[O-])cc1Cl ZINC000828372749 624235479 /nfs/dbraw/zinc/23/54/79/624235479.db2.gz LGUIESIGTRKXBE-VIFPVBQESA-N 0 0 272.732 2.764 20 5 CFBDRN C[C@@H]1C[C@@H](NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CO1 ZINC000828420664 624242964 /nfs/dbraw/zinc/24/29/64/624242964.db2.gz MDFFXSFRVAILOR-VXNVDRBHSA-N 0 0 299.714 2.547 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000916587869 624257381 /nfs/dbraw/zinc/25/73/81/624257381.db2.gz PCYLLDUDTBQPTQ-GHMZBOCLSA-N 0 0 277.324 2.503 20 5 CFBDRN Cc1nc(Cn2cc([N+](=O)[O-])cn2)sc1C(C)(C)C ZINC000916579421 624258889 /nfs/dbraw/zinc/25/88/89/624258889.db2.gz ZAQYXOLMSCYUJE-UHFFFAOYSA-N 0 0 280.353 2.902 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H](C)CCCO ZINC000828525197 624261743 /nfs/dbraw/zinc/26/17/43/624261743.db2.gz ZKQALHBMCDTCAS-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN C[C@H](NC(=O)C1(C2CC2)CC1)c1cccc([N+](=O)[O-])c1 ZINC000888288445 624266535 /nfs/dbraw/zinc/26/65/35/624266535.db2.gz MJYNOKJDWSRESM-JTQLQIEISA-N 0 0 274.320 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H](O)c2ccccc2F)cc1F ZINC000154198189 624286094 /nfs/dbraw/zinc/28/60/94/624286094.db2.gz BDMPNWDROJXCAF-CQSZACIVSA-N 0 0 295.241 2.985 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H](C)CC(C)(C)O)c1 ZINC000828712025 624289602 /nfs/dbraw/zinc/28/96/02/624289602.db2.gz WSHCQGAICICSQE-QMMMGPOBSA-N 0 0 286.303 2.704 20 5 CFBDRN C[C@@]12CN(c3ccc(N)cc3[N+](=O)[O-])C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000828712100 624289671 /nfs/dbraw/zinc/28/96/71/624289671.db2.gz ZCFTWGLLBBRUGP-IZBNFRDHSA-N 0 0 285.347 2.825 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000828750126 624295273 /nfs/dbraw/zinc/29/52/73/624295273.db2.gz NVUNUVOYGBEGEE-SUHUHFCYSA-N 0 0 294.326 2.864 20 5 CFBDRN Cc1cc(C(=O)OCCC[C@@H]2CCOC2)cc([N+](=O)[O-])c1 ZINC000828786232 624300999 /nfs/dbraw/zinc/30/09/99/624300999.db2.gz DHAPIIDJHBEMHV-GFCCVEGCSA-N 0 0 293.319 2.877 20 5 CFBDRN C[C@@]1(CNc2cccnc2[N+](=O)[O-])CC1(Cl)Cl ZINC000828790842 624301647 /nfs/dbraw/zinc/30/16/47/624301647.db2.gz LQMOIRZFWSNCDD-VIFPVBQESA-N 0 0 276.123 2.986 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000828790505 624301729 /nfs/dbraw/zinc/30/17/29/624301729.db2.gz FOGRXTNBXNEYSB-LBPRGKRZSA-N 0 0 283.255 2.504 20 5 CFBDRN CCc1ccc(OCCCCCNC(C)=O)c([N+](=O)[O-])c1 ZINC000917067012 624305947 /nfs/dbraw/zinc/30/59/47/624305947.db2.gz TULNNQVXTNJKDU-UHFFFAOYSA-N 0 0 294.351 2.842 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCCC[C@@H]2CCOC2)c1 ZINC000828876393 624318212 /nfs/dbraw/zinc/31/82/12/624318212.db2.gz DZZLCALDJMMIQI-GFCCVEGCSA-N 0 0 293.319 2.877 20 5 CFBDRN Cc1nn(CC(=O)C2(Cl)CC2)c2ccc([N+](=O)[O-])cc12 ZINC000917331225 624331385 /nfs/dbraw/zinc/33/13/85/624331385.db2.gz JLIWFLORPMQHND-UHFFFAOYSA-N 0 0 293.710 2.593 20 5 CFBDRN CC(=O)c1ccc(OCC(=O)C2(Cl)CC2)c([N+](=O)[O-])c1 ZINC000917347557 624333710 /nfs/dbraw/zinc/33/37/10/624333710.db2.gz USVZCTSISUJMLB-UHFFFAOYSA-N 0 0 297.694 2.517 20 5 CFBDRN C[C@@H]1CSC(SCc2cccnc2[N+](=O)[O-])=N1 ZINC000917367291 624336165 /nfs/dbraw/zinc/33/61/65/624336165.db2.gz FKNVZAJTMHAZCJ-SSDOTTSWSA-N 0 0 269.351 2.714 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N[C@H]([C@@H](CO)C1CC1)C1CC1 ZINC000828946664 624337820 /nfs/dbraw/zinc/33/78/20/624337820.db2.gz HMVJVKZKPRNXAB-FZMZJTMJSA-N 0 0 294.326 2.943 20 5 CFBDRN O=C(OCn1ncc2cc([N+](=O)[O-])cc(Cl)c21)C1CC1 ZINC000917409193 624340615 /nfs/dbraw/zinc/34/06/15/624340615.db2.gz ZWAKTSZRECSJAU-UHFFFAOYSA-N 0 0 295.682 2.509 20 5 CFBDRN Cc1occc1C1=N/C(=C/c2ccc([N+](=O)[O-])cc2)C(=O)O1 ZINC000917417432 624341496 /nfs/dbraw/zinc/34/14/96/624341496.db2.gz REWXTSGYZRLYFG-MDWZMJQESA-N 0 0 298.254 2.841 20 5 CFBDRN O=C(OCCC(F)(F)F)c1ccc([N+](=O)[O-])s1 ZINC000828966644 624343710 /nfs/dbraw/zinc/34/37/10/624343710.db2.gz HNCUPNYANWKAJX-UHFFFAOYSA-N 0 0 269.200 2.766 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@@H](C3CC3)[C@H]2C2CC2)nc2ccccn21 ZINC000829036781 624358705 /nfs/dbraw/zinc/35/87/05/624358705.db2.gz DCDYTHRGHIKJAM-GXTWGEPZSA-N 0 0 298.346 2.867 20 5 CFBDRN COc1cccc2c1CN(c1nc(C)ccc1[N+](=O)[O-])CC2 ZINC000829083327 624368856 /nfs/dbraw/zinc/36/88/56/624368856.db2.gz KWZYQLUKIDWQGO-UHFFFAOYSA-N 0 0 299.330 2.870 20 5 CFBDRN CC(=O)OCCOc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC000917610995 624371593 /nfs/dbraw/zinc/37/15/93/624371593.db2.gz DRSHIIGQPZHFFJ-UHFFFAOYSA-N 0 0 294.090 2.844 20 5 CFBDRN Cc1nn(C)cc1COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000829108640 624374086 /nfs/dbraw/zinc/37/40/86/624374086.db2.gz XNEMHFQOIPIXGA-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN O=C(OCOc1cc(F)c([N+](=O)[O-])cc1Cl)C1CC1 ZINC000917719609 624378572 /nfs/dbraw/zinc/37/85/72/624378572.db2.gz WWZHRIOBMLPJRX-UHFFFAOYSA-N 0 0 289.646 2.677 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@@H]2CC[C@@H](C3CC3)O2)c1C ZINC000917836671 624388058 /nfs/dbraw/zinc/38/80/58/624388058.db2.gz JJTTWXODIMWLJX-JSGCOSHPSA-N 0 0 292.335 2.943 20 5 CFBDRN CCc1nc(CNc2ccc([N+](=O)[O-])c(OC)c2)co1 ZINC000829183599 624393753 /nfs/dbraw/zinc/39/37/53/624393753.db2.gz GHXSEBKPAJQIJJ-UHFFFAOYSA-N 0 0 277.280 2.766 20 5 CFBDRN CCS(=O)(=O)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000917951787 624398027 /nfs/dbraw/zinc/39/80/27/624398027.db2.gz DTUCJXOEALHVFF-UHFFFAOYSA-N 0 0 298.147 2.836 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)N1CC[C@@H](CF)C1 ZINC000829231502 624404595 /nfs/dbraw/zinc/40/45/95/624404595.db2.gz HTRUDOZSYIFLBB-LEWSCRJBSA-N 0 0 292.310 2.516 20 5 CFBDRN CCn1nc(C)c(COc2cc([N+](=O)[O-])ccc2Cl)n1 ZINC000829252121 624409545 /nfs/dbraw/zinc/40/95/45/624409545.db2.gz IYOWKAPYADWZOP-UHFFFAOYSA-N 0 0 296.714 2.747 20 5 CFBDRN CC1(C2(Nc3nc4ccccn4c3[N+](=O)[O-])CC2)CC1 ZINC000829313230 624415674 /nfs/dbraw/zinc/41/56/74/624415674.db2.gz ITAQENTUICCBQT-UHFFFAOYSA-N 0 0 272.308 2.987 20 5 CFBDRN CCC(O)(CC)C(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000829352766 624423837 /nfs/dbraw/zinc/42/38/37/624423837.db2.gz BWQUVDHWWQFXNX-UHFFFAOYSA-N 0 0 294.351 2.654 20 5 CFBDRN Cc1ccc2c(Cn3nccc3[N+](=O)[O-])cc(=O)oc2c1C ZINC000918160184 624424218 /nfs/dbraw/zinc/42/42/18/624424218.db2.gz HMHOMOYKQLORPH-UHFFFAOYSA-N 0 0 299.286 2.563 20 5 CFBDRN O=[N+]([O-])c1nccn1Cc1csc(C2CCCC2)n1 ZINC000918359491 624433752 /nfs/dbraw/zinc/43/37/52/624433752.db2.gz BRGSCOMMCCFDNL-UHFFFAOYSA-N 0 0 278.337 2.954 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)CC1CC1 ZINC000918533719 624448317 /nfs/dbraw/zinc/44/83/17/624448317.db2.gz DGEYIQKOIWPODR-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000918816941 624499110 /nfs/dbraw/zinc/49/91/10/624499110.db2.gz XTQULRZCVHZANM-MRVPVSSYSA-N 0 0 297.332 2.841 20 5 CFBDRN Cn1c(C(=O)OCc2cc(F)ccc2F)ccc1[N+](=O)[O-] ZINC000919025625 624519318 /nfs/dbraw/zinc/51/93/18/624519318.db2.gz JALSNVJCNLDZSX-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN C[C@H]1CCC[C@@H](CCn2nc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000829838011 624563191 /nfs/dbraw/zinc/56/31/91/624563191.db2.gz QEKUOLMLBZAEAV-UWVGGRQHSA-N 0 0 282.300 2.916 20 5 CFBDRN CC[C@H](c1ccc(F)cc1)n1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829842333 624564685 /nfs/dbraw/zinc/56/46/85/624564685.db2.gz JGPQTSDHIARDKG-SNVBAGLBSA-N 0 0 294.242 2.838 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC2CCOCC2)c(F)c1F ZINC000829883206 624577213 /nfs/dbraw/zinc/57/72/13/624577213.db2.gz LJMPRNUCVOECIR-UHFFFAOYSA-N 0 0 273.235 2.678 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCCCO2)c(F)c1F ZINC000829886960 624578296 /nfs/dbraw/zinc/57/82/96/624578296.db2.gz GWZOMUVAXBJEQQ-QMMMGPOBSA-N 0 0 273.235 2.821 20 5 CFBDRN Cc1cc(CN2CCc3c2cccc3[N+](=O)[O-])c(C)nn1 ZINC000930089542 624594091 /nfs/dbraw/zinc/59/40/91/624594091.db2.gz CIYBLCKCVNEQMP-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN CNC(=O)c1ccc(SCc2ccco2)c([N+](=O)[O-])c1 ZINC000919719654 624604618 /nfs/dbraw/zinc/60/46/18/624604618.db2.gz UPLMYBBNZITEGC-UHFFFAOYSA-N 0 0 292.316 2.840 20 5 CFBDRN C[C@H](CNc1nc2ccccn2c1[N+](=O)[O-])C1CC1 ZINC000920057339 624665856 /nfs/dbraw/zinc/66/58/56/624665856.db2.gz ILFDUCGJYYWCFG-SECBINFHSA-N 0 0 260.297 2.701 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCC(=O)C(C)(C)C ZINC000830360938 624721829 /nfs/dbraw/zinc/72/18/29/624721829.db2.gz WXDYIKGTZWOIMF-UHFFFAOYSA-N 0 0 297.282 2.814 20 5 CFBDRN CC/C=C(\C)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000920507474 624734999 /nfs/dbraw/zinc/73/49/99/624734999.db2.gz SYHSPGKPOJUQLQ-IZZDOVSWSA-N 0 0 279.292 2.873 20 5 CFBDRN CCC/C=C\C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000920741571 624757105 /nfs/dbraw/zinc/75/71/05/624757105.db2.gz MXWKFZBXUDASFC-WAYWQWQTSA-N 0 0 278.308 2.576 20 5 CFBDRN CCn1ccc(C(=O)O[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000830745252 624835539 /nfs/dbraw/zinc/83/55/39/624835539.db2.gz FHMJJLDIZKEVEB-SNVBAGLBSA-N 0 0 289.291 2.729 20 5 CFBDRN CCCOCCOC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000921365056 624848378 /nfs/dbraw/zinc/84/83/78/624848378.db2.gz CQRJAJMKQRDPOR-LLVKDONJSA-N 0 0 296.323 2.809 20 5 CFBDRN Cc1cncc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c1 ZINC000175099511 624926153 /nfs/dbraw/zinc/92/61/53/624926153.db2.gz QZQRGRSEFXQVBG-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN CSCCCOC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000175380338 624949053 /nfs/dbraw/zinc/94/90/53/624949053.db2.gz WZQMXOZYGZBTEA-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000067900614 624963544 /nfs/dbraw/zinc/96/35/44/624963544.db2.gz BEWMWQNNTFFLFV-MNOVXSKESA-N 0 0 278.308 2.596 20 5 CFBDRN O=C(OCCCc1ccncc1)c1ccc([N+](=O)[O-])s1 ZINC000068375180 624992404 /nfs/dbraw/zinc/99/24/04/624992404.db2.gz FAUPIQQAKHIMBW-UHFFFAOYSA-N 0 0 292.316 2.841 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000831405322 625011257 /nfs/dbraw/zinc/01/12/57/625011257.db2.gz GAXLJJDYOIWRJA-IONNQARKSA-N 0 0 288.225 2.523 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCC1CC=CC1 ZINC000831432843 625019119 /nfs/dbraw/zinc/01/91/19/625019119.db2.gz HBGDKQCYZQEYQN-UHFFFAOYSA-N 0 0 274.320 2.989 20 5 CFBDRN CSC(C)(C)C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000831701917 625099927 /nfs/dbraw/zinc/09/99/27/625099927.db2.gz HTAXDFMLEPJYOB-SECBINFHSA-N 0 0 282.365 2.914 20 5 CFBDRN CCc1ccnc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c1 ZINC000181653456 625202222 /nfs/dbraw/zinc/20/22/22/625202222.db2.gz CXGXLAKDLTWXOB-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)c2c(F)cccc2[N+](=O)[O-])CCS1 ZINC000834626417 625741697 /nfs/dbraw/zinc/74/16/97/625741697.db2.gz JDCIPDWCERPHLS-DTWKUNHWSA-N 0 0 298.339 2.748 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)CCS1 ZINC000834644631 625752463 /nfs/dbraw/zinc/75/24/63/625752463.db2.gz TTYPKUXQLMAOJS-IUCAKERBSA-N 0 0 298.339 2.748 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)Cc2ccccc2[N+](=O)[O-])CCS1 ZINC000834707553 625796136 /nfs/dbraw/zinc/79/61/36/625796136.db2.gz WVXXMKIFQRQREY-ZYHUDNBSSA-N 0 0 294.376 2.538 20 5 CFBDRN CCCc1nc(-c2noc(CCC(C)(C)[N+](=O)[O-])n2)co1 ZINC000834740936 625823180 /nfs/dbraw/zinc/82/31/80/625823180.db2.gz CILSFTIJNABCNM-UHFFFAOYSA-N 0 0 294.311 2.665 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000073323509 625829319 /nfs/dbraw/zinc/82/93/19/625829319.db2.gz UERIUVRHVLKUFD-KOLCDFICSA-N 0 0 280.299 2.995 20 5 CFBDRN CO[C@@H](CNc1cc([N+](=O)[O-])ccc1C(C)=O)C1CC1 ZINC000814927975 625908047 /nfs/dbraw/zinc/90/80/47/625908047.db2.gz PVEYTLPLXMGSFS-AWEZNQCLSA-N 0 0 278.308 2.634 20 5 CFBDRN CS/C=C\C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000771479517 625982008 /nfs/dbraw/zinc/98/20/08/625982008.db2.gz AAOPBWOKPBBWAY-IHWYPQMZSA-N 0 0 257.242 2.516 20 5 CFBDRN CCC1(CNC(=O)c2cc(C)cc([N+](=O)[O-])c2N)CCC1 ZINC000084381581 626014874 /nfs/dbraw/zinc/01/48/74/626014874.db2.gz NZPSNYXEIWSSFO-UHFFFAOYSA-N 0 0 291.351 2.796 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc(F)cc2[N+](=O)[O-])nc1 ZINC000772279672 626017505 /nfs/dbraw/zinc/01/75/05/626017505.db2.gz OPZPXXRRVGOPOB-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN COc1cc(C(=O)OCc2ccoc2C)ccc1[N+](=O)[O-] ZINC000073536758 626031380 /nfs/dbraw/zinc/03/13/80/626031380.db2.gz OJFADIBRTCARSL-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000253358253 626036604 /nfs/dbraw/zinc/03/66/04/626036604.db2.gz NUXIQMVLPSVHNX-OASPWFOLSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(OCCOc1cccc([N+](=O)[O-])c1)C1(F)CCCC1 ZINC000772791658 626088394 /nfs/dbraw/zinc/08/83/94/626088394.db2.gz OVBVKZUKVZULDA-UHFFFAOYSA-N 0 0 297.282 2.799 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(OCc2ccno2)cc1F ZINC000310876988 626184770 /nfs/dbraw/zinc/18/47/70/626184770.db2.gz TVDSYNJTXCXPLT-UHFFFAOYSA-N 0 0 272.619 2.954 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](C)C2CC2)c1C ZINC000888424554 626224522 /nfs/dbraw/zinc/22/45/22/626224522.db2.gz XGZUVPOWNQVSFX-JTQLQIEISA-N 0 0 276.336 2.988 20 5 CFBDRN CC(C)[C@H](C)CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000081069933 626250492 /nfs/dbraw/zinc/25/04/92/626250492.db2.gz YDCSTOYPNSDCNQ-MRVPVSSYSA-N 0 0 264.285 2.835 20 5 CFBDRN CCc1onc(C)c1COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000922296390 626261760 /nfs/dbraw/zinc/26/17/60/626261760.db2.gz VFEZPQNBUXNYPG-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000922332543 626272330 /nfs/dbraw/zinc/27/23/30/626272330.db2.gz MNWSTCYTPXJODF-GIPNMCIBSA-N 0 0 277.324 2.754 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cn1 ZINC000154255724 626293470 /nfs/dbraw/zinc/29/34/70/626293470.db2.gz LPJOSZVWOGLVCF-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@H](c2ncccn2)C2CC2)cs1 ZINC000922580840 626316432 /nfs/dbraw/zinc/31/64/32/626316432.db2.gz QXAFNGSPDVHYNV-LBPRGKRZSA-N 0 0 290.348 2.687 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNc1nncn1C1CC1 ZINC000922655591 626334606 /nfs/dbraw/zinc/33/46/06/626334606.db2.gz TUBCIRJVHHYNPY-UHFFFAOYSA-N 0 0 287.323 2.750 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCCCS2)c2nonc21 ZINC000156483150 626389043 /nfs/dbraw/zinc/38/90/43/626389043.db2.gz FZFFXWJVOIPBSI-MRVPVSSYSA-N 0 0 294.336 2.829 20 5 CFBDRN CCCCOC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000058358314 626407369 /nfs/dbraw/zinc/40/73/69/626407369.db2.gz SCMQQADUTYDJPK-UHFFFAOYSA-N 0 0 283.280 2.569 20 5 CFBDRN COC[C@H](COC(=O)c1c(F)cccc1[N+](=O)[O-])C(C)C ZINC000922918226 626424335 /nfs/dbraw/zinc/42/43/35/626424335.db2.gz QRSINKOUXGPLLW-SNVBAGLBSA-N 0 0 299.298 2.809 20 5 CFBDRN Cn1nc(CNCc2csc([N+](=O)[O-])c2)cc1C1CC1 ZINC000922955748 626432583 /nfs/dbraw/zinc/43/25/83/626432583.db2.gz WHLMNMOCALZDTL-UHFFFAOYSA-N 0 0 292.364 2.557 20 5 CFBDRN Cc1ccc(COC(=O)[C@H](C)[C@@H]2CCCO2)cc1[N+](=O)[O-] ZINC000923061020 626447497 /nfs/dbraw/zinc/44/74/97/626447497.db2.gz UBFAQUWNLQKQLK-RISCZKNCSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@@H](NC(=O)NOCC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000923348401 626467728 /nfs/dbraw/zinc/46/77/28/626467728.db2.gz GBNVMFWVVAVYRW-SNVBAGLBSA-N 0 0 293.323 2.687 20 5 CFBDRN C/C=C/CNC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000923455495 626473746 /nfs/dbraw/zinc/47/37/46/626473746.db2.gz MELNBSOPIHIKQH-HMDXOVGESA-N 0 0 263.297 2.531 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)O1 ZINC000757118406 626480692 /nfs/dbraw/zinc/48/06/92/626480692.db2.gz VDJYJHGVIKNSTA-VHSXEESVSA-N 0 0 285.321 2.657 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CCCCCO2)c1 ZINC000788083576 626481553 /nfs/dbraw/zinc/48/15/53/626481553.db2.gz RLQSREFASVFLIH-UHFFFAOYSA-N 0 0 270.260 2.664 20 5 CFBDRN CC(C)CCCOC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000838287386 626491937 /nfs/dbraw/zinc/49/19/37/626491937.db2.gz YEBSANXGTNIALW-CYBMUJFWSA-N 0 0 281.308 2.608 20 5 CFBDRN CO[C@@H](C)COC(=O)c1cc(Cl)c(C)cc1[N+](=O)[O-] ZINC000838344213 626492875 /nfs/dbraw/zinc/49/28/75/626492875.db2.gz DHGLVTOSQAGNJP-QMMMGPOBSA-N 0 0 287.699 2.748 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccccc1[N+](=O)[O-] ZINC000838421665 626496815 /nfs/dbraw/zinc/49/68/15/626496815.db2.gz SIIARKXZHNZMJM-SVBLFDIKSA-N 0 0 291.303 2.767 20 5 CFBDRN Cc1c(COC(=O)[C@@]2(C)CCCCO2)cccc1[N+](=O)[O-] ZINC000838435670 626497582 /nfs/dbraw/zinc/49/75/82/626497582.db2.gz CDQBJXGALBKLDE-OAHLLOKOSA-N 0 0 293.319 2.906 20 5 CFBDRN C[C@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2)CCCCO1 ZINC000838435845 626497658 /nfs/dbraw/zinc/49/76/58/626497658.db2.gz DGPAXHRKVSTBKE-CQSZACIVSA-N 0 0 279.292 2.597 20 5 CFBDRN COCC1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCCC1 ZINC000838441055 626497905 /nfs/dbraw/zinc/49/79/05/626497905.db2.gz CJHAHTNXSZLLBZ-UHFFFAOYSA-N 0 0 293.319 2.845 20 5 CFBDRN CC(C)C1CN(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000838625697 626502129 /nfs/dbraw/zinc/50/21/29/626502129.db2.gz IQHNCRZBLIKWMW-NSHDSACASA-N 0 0 291.351 2.953 20 5 CFBDRN COc1ccc(OC(=O)[C@H](C)C2CCC2)c([N+](=O)[O-])c1 ZINC000838787924 626506707 /nfs/dbraw/zinc/50/67/07/626506707.db2.gz LCEAZUGEVWXTRR-SECBINFHSA-N 0 0 279.292 2.945 20 5 CFBDRN CO[C@@H]1C[C@H](OC(=O)c2ccc([N+](=O)[O-])c(C)c2)C1(C)C ZINC000839105036 626525175 /nfs/dbraw/zinc/52/51/75/626525175.db2.gz NTXOFWIHAFCFTD-OLZOCXBDSA-N 0 0 293.319 2.874 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC(OC(F)F)C1 ZINC000839144728 626526032 /nfs/dbraw/zinc/52/60/32/626526032.db2.gz NXMSVNDHFWPSSV-UHFFFAOYSA-N 0 0 292.669 2.672 20 5 CFBDRN CCOC1(C)CN(Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000839145104 626526112 /nfs/dbraw/zinc/52/61/12/626526112.db2.gz YCEJNZIHUZPWCQ-UHFFFAOYSA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCCCO1 ZINC000839224368 626531707 /nfs/dbraw/zinc/53/17/07/626531707.db2.gz NQICJGZOBVPDEY-CYBMUJFWSA-N 0 0 282.271 2.632 20 5 CFBDRN Cc1ccc(COC(=O)C(=O)C(C)(C)C)cc1[N+](=O)[O-] ZINC000839396866 626535361 /nfs/dbraw/zinc/53/53/61/626535361.db2.gz VDGRHYYJCMJXEB-UHFFFAOYSA-N 0 0 279.292 2.562 20 5 CFBDRN C[C@H](c1cc2ccccc2o1)N(C)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839502136 626538191 /nfs/dbraw/zinc/53/81/91/626538191.db2.gz JDJIEWRQIZNFQT-YUSALJHKSA-N 0 0 288.303 2.617 20 5 CFBDRN CC[C@@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1cccc(Cl)c1 ZINC000839508737 626538422 /nfs/dbraw/zinc/53/84/22/626538422.db2.gz KIHPDONGWCMPNT-IJLUTSLNSA-N 0 0 282.727 2.573 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC(CCF)CC1 ZINC000886305624 626538923 /nfs/dbraw/zinc/53/89/23/626538923.db2.gz MRSQQCYQLNKOBK-UHFFFAOYSA-N 0 0 267.304 2.561 20 5 CFBDRN CCc1cncc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c1 ZINC000789407038 626539713 /nfs/dbraw/zinc/53/97/13/626539713.db2.gz KFPSRGPKLVHOOE-UHFFFAOYSA-N 0 0 286.287 2.909 20 5 CFBDRN Cc1noc(C)c1CCCNc1cccnc1[N+](=O)[O-] ZINC000754021561 626541697 /nfs/dbraw/zinc/54/16/97/626541697.db2.gz LXCZVXVWKCEVFO-UHFFFAOYSA-N 0 0 276.296 2.639 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)O1 ZINC000757118405 626545250 /nfs/dbraw/zinc/54/52/50/626545250.db2.gz VDJYJHGVIKNSTA-UWVGGRQHSA-N 0 0 285.321 2.657 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)O1 ZINC000757118404 626545305 /nfs/dbraw/zinc/54/53/05/626545305.db2.gz VDJYJHGVIKNSTA-NXEZZACHSA-N 0 0 285.321 2.657 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2ccccc2[N+](=O)[O-])O1 ZINC000757128308 626545548 /nfs/dbraw/zinc/54/55/48/626545548.db2.gz VVGFMZRLIIQMEV-WCQYABFASA-N 0 0 279.292 2.596 20 5 CFBDRN Cc1c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cnn1C ZINC000757193989 626546363 /nfs/dbraw/zinc/54/63/63/626546363.db2.gz YQEVDJVCCQPMDB-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN O=[N+]([O-])c1cccc([C@H](NCCF)C(F)(F)F)c1F ZINC000839652993 626549681 /nfs/dbraw/zinc/54/96/81/626549681.db2.gz RYBAYFFWHLDQAM-VIFPVBQESA-N 0 0 284.184 2.896 20 5 CFBDRN CC(C)c1ccc(CCCNC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC000839685182 626551003 /nfs/dbraw/zinc/55/10/03/626551003.db2.gz OQRRBBIVLNUDBQ-HUUCEWRRSA-N 0 0 290.363 2.524 20 5 CFBDRN CC(C)[C@H](NC(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000839688512 626551088 /nfs/dbraw/zinc/55/10/88/626551088.db2.gz CZGIGFNEAZITJP-UPJWGTAASA-N 0 0 296.754 2.819 20 5 CFBDRN C[C@@H]1[C@@H](CO)CCCN1Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000839675464 626551797 /nfs/dbraw/zinc/55/17/97/626551797.db2.gz PJAVSFMAWWIPKH-ZYHUDNBSSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(N[C@H](CC1CCC1)c1ccccc1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839726121 626553439 /nfs/dbraw/zinc/55/34/39/626553439.db2.gz UTIHVVVATZKCEH-RBSFLKMASA-N 0 0 288.347 2.699 20 5 CFBDRN O=C(NOCCC1CC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000839776987 626555081 /nfs/dbraw/zinc/55/50/81/626555081.db2.gz FCHLZBRSIBSFOL-UONOGXRCSA-N 0 0 290.319 2.546 20 5 CFBDRN CNc1ccc(C(=O)N(OC)C2CCCC2)cc1[N+](=O)[O-] ZINC000757632346 626556809 /nfs/dbraw/zinc/55/68/09/626556809.db2.gz HQCYYNHSECYMOB-UHFFFAOYSA-N 0 0 293.323 2.583 20 5 CFBDRN CON(C(=O)c1cc(C)cc([N+](=O)[O-])c1)C1CCCC1 ZINC000757658350 626557440 /nfs/dbraw/zinc/55/74/40/626557440.db2.gz OVAONDWONFSFSH-UHFFFAOYSA-N 0 0 278.308 2.849 20 5 CFBDRN Cc1cc(N(C)Cc2ncc[nH]2)c(Cl)cc1[N+](=O)[O-] ZINC000757921133 626568079 /nfs/dbraw/zinc/56/80/79/626568079.db2.gz PYNNUIQXOREQIV-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@H]2CC2(Cl)Cl)cc1CO ZINC000757994961 626571862 /nfs/dbraw/zinc/57/18/62/626571862.db2.gz OIMVWRFHEZJPCC-MRVPVSSYSA-N 0 0 292.118 2.660 20 5 CFBDRN CC(C)CC(=O)COC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000758113777 626577046 /nfs/dbraw/zinc/57/70/46/626577046.db2.gz LUMTYJLAGIRWAY-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN O=C(COC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CCCC1 ZINC000758114985 626577303 /nfs/dbraw/zinc/57/73/03/626577303.db2.gz SCLNHUGYTYOYNN-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cnco1 ZINC000758165108 626579773 /nfs/dbraw/zinc/57/97/73/626579773.db2.gz GGIUGUDZPXFBOL-UHFFFAOYSA-N 0 0 295.682 2.508 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC2CC(F)(F)C2)c1 ZINC000758170281 626579809 /nfs/dbraw/zinc/57/98/09/626579809.db2.gz APWSWDGQHADJHI-UHFFFAOYSA-N 0 0 276.214 2.952 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCCC[C@@H](C)CO)c1 ZINC000758240490 626584687 /nfs/dbraw/zinc/58/46/87/626584687.db2.gz WRPSNWBKMGSGPF-SECBINFHSA-N 0 0 286.303 2.563 20 5 CFBDRN CCOCOc1ccc(Br)cc1[N+](=O)[O-] ZINC000758382183 626591033 /nfs/dbraw/zinc/59/10/33/626591033.db2.gz GHSWTSCQBQUTGO-UHFFFAOYSA-N 0 0 276.086 2.730 20 5 CFBDRN C[C@@H](C[C@@H](O)c1ccccc1)Nc1cccnc1[N+](=O)[O-] ZINC000758465670 626595198 /nfs/dbraw/zinc/59/51/98/626595198.db2.gz AVHZSUSCSCLOHV-SMDDNHRTSA-N 0 0 287.319 2.914 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2N)C1 ZINC000758670635 626601845 /nfs/dbraw/zinc/60/18/45/626601845.db2.gz LFONUUHUAIFDKF-OAHLLOKOSA-N 0 0 291.351 2.748 20 5 CFBDRN COc1ccc(COC(=O)CC(C)(F)F)cc1[N+](=O)[O-] ZINC000758728902 626604923 /nfs/dbraw/zinc/60/49/23/626604923.db2.gz DGPSKPLRQJARAS-UHFFFAOYSA-N 0 0 289.234 2.692 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1cncc(F)c1 ZINC000759430641 626657634 /nfs/dbraw/zinc/65/76/34/626657634.db2.gz NEWBPATYCGSVNA-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CN(CCOC(=O)CC1(C)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000759460153 626661221 /nfs/dbraw/zinc/66/12/21/626661221.db2.gz YXMWKDORPIKQAC-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1CC12CCC2 ZINC000759829221 626694288 /nfs/dbraw/zinc/69/42/88/626694288.db2.gz QVKICZZFZKDPFQ-GFCCVEGCSA-N 0 0 261.277 2.828 20 5 CFBDRN Cc1c(COC(=O)C2SCCS2)cccc1[N+](=O)[O-] ZINC000759838975 626695005 /nfs/dbraw/zinc/69/50/05/626695005.db2.gz CONVGWHJVCBHKJ-UHFFFAOYSA-N 0 0 299.373 2.753 20 5 CFBDRN CCCC(=O)O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000759936205 626703145 /nfs/dbraw/zinc/70/31/45/626703145.db2.gz UEURVOYFNDFYQJ-MNOVXSKESA-N 0 0 281.312 2.618 20 5 CFBDRN CCCC(=O)O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 ZINC000759936208 626703245 /nfs/dbraw/zinc/70/32/45/626703245.db2.gz UEURVOYFNDFYQJ-WDEREUQCSA-N 0 0 281.312 2.618 20 5 CFBDRN O=C(CC1CC1)O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 ZINC000759942345 626703558 /nfs/dbraw/zinc/70/35/58/626703558.db2.gz CEQGCHXASUEPCO-VXGBXAGGSA-N 0 0 293.323 2.618 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1cccnc1C ZINC000760014788 626709675 /nfs/dbraw/zinc/70/96/75/626709675.db2.gz CPNZEADVSWCMEN-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)CC[C@@H]1CCOC1 ZINC000760021447 626709765 /nfs/dbraw/zinc/70/97/65/626709765.db2.gz AHUKKXSEJDUHRJ-LLVKDONJSA-N 0 0 279.292 2.625 20 5 CFBDRN C[C@H]1CC[C@H]1NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000805195808 626713121 /nfs/dbraw/zinc/71/31/21/626713121.db2.gz CTKBEJIELBNOJE-QPUJVOFHSA-N 0 0 297.742 2.846 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)c1ccc[nH]1 ZINC000760418489 626744295 /nfs/dbraw/zinc/74/42/95/626744295.db2.gz FGXZEGUGCZMQAD-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)c2ccc[nH]2)cc1[N+](=O)[O-] ZINC000760426379 626745461 /nfs/dbraw/zinc/74/54/61/626745461.db2.gz XQTRPPMRPGDYLN-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN CCc1cnc(CNc2ccc(F)c([N+](=O)[O-])c2)o1 ZINC000760450134 626747133 /nfs/dbraw/zinc/74/71/33/626747133.db2.gz OEZPDBRBYWNOLT-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CCN(CCc1ccccn1)c1ccc([N+](=O)[O-])c(C)n1 ZINC000760478782 626749413 /nfs/dbraw/zinc/74/94/13/626749413.db2.gz JYJJLTUPOQTQML-UHFFFAOYSA-N 0 0 286.335 2.762 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000760550939 626755660 /nfs/dbraw/zinc/75/56/60/626755660.db2.gz FWFSBQKNTDGJDH-KCJUWKMLSA-N 0 0 297.332 2.705 20 5 CFBDRN Cn1c(C(=O)OCc2cccc(Cl)c2)ccc1[N+](=O)[O-] ZINC000761048274 626793899 /nfs/dbraw/zinc/79/38/99/626793899.db2.gz ILNDWNCJGVXVOT-UHFFFAOYSA-N 0 0 294.694 2.944 20 5 CFBDRN O=C(O[C@H]1CCCOC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000761352087 626827605 /nfs/dbraw/zinc/82/76/05/626827605.db2.gz RDGWCQQGOJLQOM-VIFPVBQESA-N 0 0 285.683 2.584 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2cccc([N+](=O)[O-])c2)CCC1=O ZINC000761466127 626837888 /nfs/dbraw/zinc/83/78/88/626837888.db2.gz LYCSOJCYVDZVRK-JQWIXIFHSA-N 0 0 291.303 2.643 20 5 CFBDRN CO[C@H](C)Cc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000761639451 626850798 /nfs/dbraw/zinc/85/07/98/626850798.db2.gz RQOXUDUZHVEESQ-SECBINFHSA-N 0 0 277.280 2.531 20 5 CFBDRN CCC[C@H](C)c1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000761851772 626864177 /nfs/dbraw/zinc/86/41/77/626864177.db2.gz PFDCCIRCLKOICP-QMMMGPOBSA-N 0 0 264.285 2.887 20 5 CFBDRN O=C(OCc1ccc2c(c1)CCC2)c1ccc([N+](=O)[O-])cn1 ZINC000761983317 626877459 /nfs/dbraw/zinc/87/74/59/626877459.db2.gz PGKRICQLSCCGPU-UHFFFAOYSA-N 0 0 298.298 2.836 20 5 CFBDRN CCC(CC)OC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000762108320 626892095 /nfs/dbraw/zinc/89/20/95/626892095.db2.gz JXJLVZNJSANMKP-UHFFFAOYSA-N 0 0 291.307 2.676 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)n1 ZINC000762256242 626907864 /nfs/dbraw/zinc/90/78/64/626907864.db2.gz UEXDLCPWCBKFQO-LHLIQPBNSA-N 0 0 290.235 2.676 20 5 CFBDRN C[C@H]1C[C@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCC1=O ZINC000762430078 626915615 /nfs/dbraw/zinc/91/56/15/626915615.db2.gz ARURPNZQHQXYJV-DTWKUNHWSA-N 0 0 294.282 2.678 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN1CCCC[C@H]1c1ccccc1 ZINC000762466057 626917591 /nfs/dbraw/zinc/91/75/91/626917591.db2.gz JVWOTWHKYZGVKY-AWEZNQCLSA-N 0 0 286.335 2.976 20 5 CFBDRN CC(C)(C)CCNC(=O)CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000762777163 626936696 /nfs/dbraw/zinc/93/66/96/626936696.db2.gz RSGMFJRXAKQBRF-UHFFFAOYSA-N 0 0 297.330 2.698 20 5 CFBDRN CC(C)=CC(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 ZINC000762809596 626939871 /nfs/dbraw/zinc/93/98/71/626939871.db2.gz RLJFARJTRCOOJJ-UHFFFAOYSA-N 0 0 286.291 2.890 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000762904819 626948920 /nfs/dbraw/zinc/94/89/20/626948920.db2.gz WLQNDISYCNIISN-QMMMGPOBSA-N 0 0 290.275 2.601 20 5 CFBDRN COC[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000763013781 626955082 /nfs/dbraw/zinc/95/50/82/626955082.db2.gz JMDMIXMKOIHOBW-SECBINFHSA-N 0 0 299.298 2.590 20 5 CFBDRN COC[C@H]1CCCN(c2cccc([N+](=O)[O-])c2C(C)=O)C1 ZINC000763202608 626970215 /nfs/dbraw/zinc/97/02/15/626970215.db2.gz DKRLIRCXCCBMQB-LBPRGKRZSA-N 0 0 292.335 2.660 20 5 CFBDRN CC(C)NC(=S)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000763302642 626977641 /nfs/dbraw/zinc/97/76/41/626977641.db2.gz FHCUIOWIDILOHI-UHFFFAOYSA-N 0 0 293.392 2.842 20 5 CFBDRN C[C@H](C(=O)OC[C@@H]1CC[C@@H](C)O1)c1cccc([N+](=O)[O-])c1 ZINC000763400529 626985913 /nfs/dbraw/zinc/98/59/13/626985913.db2.gz BWTHTMFZGLGDQT-SUNKGSAMSA-N 0 0 293.319 2.809 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763407439 626986132 /nfs/dbraw/zinc/98/61/32/626986132.db2.gz WLGIHTNUYUEVFH-UWVGGRQHSA-N 0 0 279.292 2.609 20 5 CFBDRN C[C@H](C(=O)OC[C@H]1CCCOC1)c1cccc([N+](=O)[O-])c1 ZINC000763421302 626987886 /nfs/dbraw/zinc/98/78/86/626987886.db2.gz TTWYOQSRDBDBRF-RYUDHWBXSA-N 0 0 293.319 2.668 20 5 CFBDRN CC(=O)CCCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763427801 626988488 /nfs/dbraw/zinc/98/84/88/626988488.db2.gz ZIWLWRDBJDGLKN-LLVKDONJSA-N 0 0 279.292 2.611 20 5 CFBDRN COC(=O)c1ccc(OC/C=C\Cl)cc1[N+](=O)[O-] ZINC000763503536 626992476 /nfs/dbraw/zinc/99/24/76/626992476.db2.gz JYEKGOWXRCVIGT-DJWKRKHSSA-N 0 0 271.656 2.513 20 5 CFBDRN CC(C)[C@H](C)OC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000763548545 626997340 /nfs/dbraw/zinc/99/73/40/626997340.db2.gz PDLJDOLFGNASCO-VIFPVBQESA-N 0 0 295.291 2.567 20 5 CFBDRN CC(C)[C@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000763553250 626997831 /nfs/dbraw/zinc/99/78/31/626997831.db2.gz KZJYSQDRCWCMDG-JTQLQIEISA-N 0 0 251.282 2.725 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H]1CCC(F)(F)C1 ZINC000763834423 627016586 /nfs/dbraw/zinc/01/65/86/627016586.db2.gz UPKMNWAOOOSZDZ-GQOHGMTASA-N 0 0 296.273 2.912 20 5 CFBDRN Nc1ccc(C(=O)OC/C(Cl)=C\Cl)cc1[N+](=O)[O-] ZINC000763863958 627018910 /nfs/dbraw/zinc/01/89/10/627018910.db2.gz YKBXQHYDMAOGRQ-QPJJXVBHSA-N 0 0 291.090 2.653 20 5 CFBDRN CO[C@H](C)CCOC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764014083 627031963 /nfs/dbraw/zinc/03/19/63/627031963.db2.gz GCOGJVOWWLZWMY-MNOVXSKESA-N 0 0 281.308 2.667 20 5 CFBDRN C[C@@](CO)(Nc1ccc2ncccc2c1[N+](=O)[O-])C1CC1 ZINC000764161991 627042833 /nfs/dbraw/zinc/04/28/33/627042833.db2.gz FUIXJQGKONNJLC-HNNXBMFYSA-N 0 0 287.319 2.716 20 5 CFBDRN CC1(CCOC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)CC1 ZINC000764225197 627045865 /nfs/dbraw/zinc/04/58/65/627045865.db2.gz YOAWPTNSHYGMDO-UHFFFAOYSA-N 0 0 293.275 2.671 20 5 CFBDRN CS/C=C\C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000764233462 627046828 /nfs/dbraw/zinc/04/68/28/627046828.db2.gz YFXOWVHIJARVHL-WAYWQWQTSA-N 0 0 253.279 2.685 20 5 CFBDRN CN(CC(=O)OCCC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000764239591 627047232 /nfs/dbraw/zinc/04/72/32/627047232.db2.gz HWBLNTJLLQYKPU-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN CS/C=C\C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000764251143 627048738 /nfs/dbraw/zinc/04/87/38/627048738.db2.gz DJCGOQPDYMJDAA-WAYWQWQTSA-N 0 0 253.279 2.515 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)COC ZINC000764646910 627080094 /nfs/dbraw/zinc/08/00/94/627080094.db2.gz IBLLPIUEAMUALF-SECBINFHSA-N 0 0 299.348 2.899 20 5 CFBDRN O=C(N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)C(F)(F)F ZINC000765398150 627135181 /nfs/dbraw/zinc/13/51/81/627135181.db2.gz VNQXVLDWJLUFKB-UHFFFAOYSA-N 0 0 288.225 2.648 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCOc3ccccc3C2)n1 ZINC000765471647 627140495 /nfs/dbraw/zinc/14/04/95/627140495.db2.gz IBXQTCDTHLUMHA-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN O=C(Cc1ccco1)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000765501908 627143726 /nfs/dbraw/zinc/14/37/26/627143726.db2.gz FRLNBYGCSRISNT-UHFFFAOYSA-N 0 0 294.694 2.700 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CCC2(C)C)c1[N+](=O)[O-] ZINC000805319441 627174914 /nfs/dbraw/zinc/17/49/14/627174914.db2.gz TWFKITAZNUFHBJ-LLVKDONJSA-N 0 0 280.299 2.961 20 5 CFBDRN CN(Cc1cc(Cl)ccc1F)Cn1cc([N+](=O)[O-])cn1 ZINC000766088814 627176732 /nfs/dbraw/zinc/17/67/32/627176732.db2.gz KWSKRZVMPOPMES-UHFFFAOYSA-N 0 0 298.705 2.673 20 5 CFBDRN COc1cc(COC(=O)CC(C)C)c([N+](=O)[O-])cc1F ZINC000766166213 627181570 /nfs/dbraw/zinc/18/15/70/627181570.db2.gz LBMOOLHJMYRGSE-UHFFFAOYSA-N 0 0 285.271 2.832 20 5 CFBDRN COc1cc(COC(=O)C(C)(C)C)c([N+](=O)[O-])cc1F ZINC000766189076 627183533 /nfs/dbraw/zinc/18/35/33/627183533.db2.gz VDTCQTHAMFFOME-UHFFFAOYSA-N 0 0 285.271 2.832 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000766298450 627191995 /nfs/dbraw/zinc/19/19/95/627191995.db2.gz CZQPSGFSHPCBPC-VIFPVBQESA-N 0 0 285.321 2.548 20 5 CFBDRN Cc1cc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])ns1 ZINC000766370151 627197212 /nfs/dbraw/zinc/19/72/12/627197212.db2.gz YAFMFYXGIBDTBS-UHFFFAOYSA-N 0 0 278.289 2.887 20 5 CFBDRN CCCC[C@@H](CC)COC(=O)c1c([N+](=O)[O-])cnn1C ZINC000766617105 627211457 /nfs/dbraw/zinc/21/14/57/627211457.db2.gz CAYFSBZSYXUXTH-SNVBAGLBSA-N 0 0 283.328 2.702 20 5 CFBDRN COc1cccc(C(=O)O[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000766875333 627224006 /nfs/dbraw/zinc/22/40/06/627224006.db2.gz FFYDRNBNJDLRIY-ZJUUUORDSA-N 0 0 279.292 2.949 20 5 CFBDRN COc1ccc(COC(=O)C[C@@H](C)SC)cc1[N+](=O)[O-] ZINC000766920321 627227336 /nfs/dbraw/zinc/22/73/36/627227336.db2.gz SNWRSMWGMHLVRI-SECBINFHSA-N 0 0 299.348 2.788 20 5 CFBDRN O=c1c([N+](=O)[O-])cc(Cl)cn1C/C(Cl)=C\Cl ZINC000767217481 627239944 /nfs/dbraw/zinc/23/99/44/627239944.db2.gz YFQSPHOFHUAFAT-QHHAFSJGSA-N 0 0 283.498 2.729 20 5 CFBDRN CC[C@@H](SC)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000767672074 627273218 /nfs/dbraw/zinc/27/32/18/627273218.db2.gz DSNPVFLHWGLDRL-GFCCVEGCSA-N 0 0 299.348 2.658 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2ccc([N+](=O)[O-])c(F)c2)o1 ZINC000768339387 627333835 /nfs/dbraw/zinc/33/38/35/627333835.db2.gz WWQARPGPTSMMBJ-UHFFFAOYSA-N 0 0 294.238 2.600 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)C1SCCS1 ZINC000768586010 627355943 /nfs/dbraw/zinc/35/59/43/627355943.db2.gz HKOVYGUIMBGIOY-UHFFFAOYSA-N 0 0 291.375 2.506 20 5 CFBDRN Cc1cc(Cl)ccc1Cn1nccc1[N+](=O)[O-] ZINC000768794342 627374674 /nfs/dbraw/zinc/37/46/74/627374674.db2.gz NSYGXUCPHOFXKB-UHFFFAOYSA-N 0 0 251.673 2.801 20 5 CFBDRN C/C=C\C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000768944916 627388971 /nfs/dbraw/zinc/38/89/71/627388971.db2.gz LJXLIGUSZPGKHV-RQOWECAXSA-N 0 0 260.293 2.662 20 5 CFBDRN Cn1c(C(=O)Oc2c(F)cccc2Cl)ccc1[N+](=O)[O-] ZINC000769286643 627419165 /nfs/dbraw/zinc/41/91/65/627419165.db2.gz DAQKPERCOOFCTP-UHFFFAOYSA-N 0 0 298.657 2.945 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)CCC2CC2)c(N)c([N+](=O)[O-])c1 ZINC000769644278 627463403 /nfs/dbraw/zinc/46/34/03/627463403.db2.gz GUVCOIPHPAXPNJ-SNVBAGLBSA-N 0 0 291.351 2.794 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccncc1C ZINC000769763523 627472668 /nfs/dbraw/zinc/47/26/68/627472668.db2.gz PJBHBIZFQXGYJK-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CO[C@H](C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000769862661 627482109 /nfs/dbraw/zinc/48/21/09/627482109.db2.gz OCKAVYGPCAXJFM-MFKMUULPSA-N 0 0 281.308 2.870 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2CCO[C@H](C)C2)c1 ZINC000769920111 627487691 /nfs/dbraw/zinc/48/76/91/627487691.db2.gz MHGMNSYPSRTSIN-RKDXNWHRSA-N 0 0 284.287 2.722 20 5 CFBDRN COc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2)c1F ZINC000770068628 627500013 /nfs/dbraw/zinc/50/00/13/627500013.db2.gz KQWGVFLWUHLPQM-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1sc(C(=O)N2CCc3ncccc32)cc1[N+](=O)[O-] ZINC000770216862 627507910 /nfs/dbraw/zinc/50/79/10/627507910.db2.gz IDSMSQQOZGANGB-UHFFFAOYSA-N 0 0 289.316 2.563 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@](C)(O)C(C)C ZINC000770557721 627529293 /nfs/dbraw/zinc/52/92/93/627529293.db2.gz OXTSWHSSAOEGQU-IAQYHMDHSA-N 0 0 295.335 2.914 20 5 CFBDRN Cc1cccc(C(=O)N2CCc3ncccc32)c1[N+](=O)[O-] ZINC000770672283 627540559 /nfs/dbraw/zinc/54/05/59/627540559.db2.gz KIFNZGXCRIRSEL-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN C[C@@H]1OCC[C@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000770735532 627544579 /nfs/dbraw/zinc/54/45/79/627544579.db2.gz WYUNYOGQDSBXOM-WCBMZHEXSA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@@H]1CCC[C@@H](COC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000770925891 627559386 /nfs/dbraw/zinc/55/93/86/627559386.db2.gz FVAYUJMVKPWGIF-GHMZBOCLSA-N 0 0 280.324 2.916 20 5 CFBDRN Cc1cnc([C@@H](C)OC(=O)c2cc(F)ccc2[N+](=O)[O-])o1 ZINC000770959934 627564817 /nfs/dbraw/zinc/56/48/17/627564817.db2.gz ACAMROOBOMZBHA-MRVPVSSYSA-N 0 0 294.238 2.948 20 5 CFBDRN C[C@@H]1OCC[C@H]1OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000771044765 627572622 /nfs/dbraw/zinc/57/26/22/627572622.db2.gz HVOJHHDRLRHQEC-GXFFZTMASA-N 0 0 293.319 2.593 20 5 CFBDRN C[C@H]1OCC[C@H]1OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000771044767 627572850 /nfs/dbraw/zinc/57/28/50/627572850.db2.gz HVOJHHDRLRHQEC-ZWNOBZJWSA-N 0 0 293.319 2.593 20 5 CFBDRN O=C(Oc1cc(F)cc([N+](=O)[O-])c1)C1CC(F)(F)C1 ZINC000771488334 627608251 /nfs/dbraw/zinc/60/82/51/627608251.db2.gz KUAUCXXQTYZHQM-UHFFFAOYSA-N 0 0 275.182 2.685 20 5 CFBDRN CCC(CC)NC(=O)c1cc2nsnc2c([N+](=O)[O-])c1 ZINC000771500072 627610026 /nfs/dbraw/zinc/61/00/26/627610026.db2.gz LKQCRDQMMHBQIQ-UHFFFAOYSA-N 0 0 294.336 2.518 20 5 CFBDRN Cc1cnc(COC(=O)Cc2cccc([N+](=O)[O-])c2)s1 ZINC000771970872 627656026 /nfs/dbraw/zinc/65/60/26/627656026.db2.gz BWCVXMNCWBGFNN-UHFFFAOYSA-N 0 0 292.316 2.646 20 5 CFBDRN CN(c1c(Cl)cc([N+](=O)[O-])cc1Cl)C1CC(O)C1 ZINC000772165345 627680260 /nfs/dbraw/zinc/68/02/60/627680260.db2.gz KUQQNBFDDSIZHY-UHFFFAOYSA-N 0 0 291.134 2.861 20 5 CFBDRN C[C@H]1CCCCN(c2ccc([N+](=O)[O-])c3nonc32)C1 ZINC000772212191 627686838 /nfs/dbraw/zinc/68/68/38/627686838.db2.gz MJJVGYPJWBHOKN-VIFPVBQESA-N 0 0 276.296 2.757 20 5 CFBDRN CC[C@H]1[C@H](C)CCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000772258810 627693123 /nfs/dbraw/zinc/69/31/23/627693123.db2.gz UNKJNNMRQYQHSM-MNOVXSKESA-N 0 0 274.324 2.867 20 5 CFBDRN Cc1ccc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000772664609 627732728 /nfs/dbraw/zinc/73/27/28/627732728.db2.gz IKODPIXUHDUICB-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OCc2ccc(C)nc2)c1 ZINC000772668707 627733346 /nfs/dbraw/zinc/73/33/46/627733346.db2.gz CFTVZFSBNJVLAP-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN CCC(CC)CCOC(=O)c1ccc([N+](=O)[O-])n1C ZINC000772770074 627742743 /nfs/dbraw/zinc/74/27/43/627742743.db2.gz WAHGTKRLBCTWLP-UHFFFAOYSA-N 0 0 268.313 2.916 20 5 CFBDRN Cc1c(CONC(=O)OC(C)(C)C)cccc1[N+](=O)[O-] ZINC000772937647 627760186 /nfs/dbraw/zinc/76/01/86/627760186.db2.gz PGFQOQPKIUTLGY-UHFFFAOYSA-N 0 0 282.296 2.860 20 5 CFBDRN CC1(OCC(=O)OCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000772955553 627761082 /nfs/dbraw/zinc/76/10/82/627761082.db2.gz XDWCNIPLXKEXPF-UHFFFAOYSA-N 0 0 279.292 2.597 20 5 CFBDRN C[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1cnccn1 ZINC000773039997 627773478 /nfs/dbraw/zinc/77/34/78/627773478.db2.gz HTOTUGCAFZHIRX-VIFPVBQESA-N 0 0 292.726 2.889 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1nccs1 ZINC000773488546 627827078 /nfs/dbraw/zinc/82/70/78/627827078.db2.gz HUWRJBSTJCVSRB-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)C1(F)CCCC1 ZINC000773970353 627875188 /nfs/dbraw/zinc/87/51/88/627875188.db2.gz FMQNZOQYWLDTNO-UHFFFAOYSA-N 0 0 283.255 2.791 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CCn1cccc1)CC2 ZINC000773989395 627876868 /nfs/dbraw/zinc/87/68/68/627876868.db2.gz MJLXYBFSIUIEDP-UHFFFAOYSA-N 0 0 299.330 2.684 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)nc1 ZINC000773992765 627876958 /nfs/dbraw/zinc/87/69/58/627876958.db2.gz IHTSYQWEJNJUGG-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@@H]1CC1(C)C)CC2 ZINC000773998356 627877262 /nfs/dbraw/zinc/87/72/62/627877262.db2.gz XCDZRLKIVNQRCL-NSHDSACASA-N 0 0 274.320 2.838 20 5 CFBDRN CCO[C@@H](CC)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000774223648 627905504 /nfs/dbraw/zinc/90/55/04/627905504.db2.gz SXWYXQSSLFTWIL-AWEZNQCLSA-N 0 0 292.335 2.607 20 5 CFBDRN Cc1cccc(C(=O)O[C@@H](C)C[C@@H]2CCOC2)c1[N+](=O)[O-] ZINC000774723413 627952881 /nfs/dbraw/zinc/95/28/81/627952881.db2.gz XSUQRRQQYDOWHY-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)OC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000774740403 627955859 /nfs/dbraw/zinc/95/58/59/627955859.db2.gz CPUIDRMYJNSLNH-WDEREUQCSA-N 0 0 279.292 2.567 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)C[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000774742920 627956684 /nfs/dbraw/zinc/95/66/84/627956684.db2.gz RUPQLUJEMOAPEX-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN CS/C=C\C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000775259045 628004218 /nfs/dbraw/zinc/00/42/18/628004218.db2.gz MXWNEYBKTGBZNS-FUOZMLNRSA-N 0 0 266.322 2.649 20 5 CFBDRN COc1ccc(C(=O)OCC(C)(C)SC)cc1[N+](=O)[O-] ZINC000775317441 628008909 /nfs/dbraw/zinc/00/89/09/628008909.db2.gz MEAMUJFNQYIVSQ-UHFFFAOYSA-N 0 0 299.348 2.902 20 5 CFBDRN CSC(C)(C)COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000775319746 628009361 /nfs/dbraw/zinc/00/93/61/628009361.db2.gz YRQOIQDLRWJBKJ-UHFFFAOYSA-N 0 0 299.348 2.658 20 5 CFBDRN C[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1nccn1C ZINC000775416736 628023776 /nfs/dbraw/zinc/02/37/76/628023776.db2.gz YODUSRWQMKAMOV-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN Cc1nnc(Oc2cc(Cl)ccc2[N+](=O)[O-])nc1C ZINC000775457315 628027781 /nfs/dbraw/zinc/02/77/81/628027781.db2.gz HXKPZYFZJOWGDU-UHFFFAOYSA-N 0 0 280.671 2.842 20 5 CFBDRN NC(=O)c1cccc(Oc2sccc2[N+](=O)[O-])c1 ZINC000775667028 628052325 /nfs/dbraw/zinc/05/23/25/628052325.db2.gz DDNMHPVXBCBRMA-UHFFFAOYSA-N 0 0 264.262 2.548 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC[C@]1(O)CCc2ccccc21 ZINC000775680364 628054192 /nfs/dbraw/zinc/05/41/92/628054192.db2.gz BBMIASPNABJPMM-CQSZACIVSA-N 0 0 290.344 2.902 20 5 CFBDRN O=[N+]([O-])c1ccc([C@H](O)COc2ccc(F)cc2)cc1 ZINC000776278737 628126035 /nfs/dbraw/zinc/12/60/35/628126035.db2.gz UGSCBUVNVHBINZ-CQSZACIVSA-N 0 0 277.251 2.846 20 5 CFBDRN O=C(N1CCc2cc([N+](=O)[O-])ccc21)C1(F)CCCC1 ZINC000776306805 628129570 /nfs/dbraw/zinc/12/95/70/628129570.db2.gz RRZZGCMVRLNMCJ-UHFFFAOYSA-N 0 0 278.283 2.766 20 5 CFBDRN Cc1c(C(=O)OCCCCCCO)cccc1[N+](=O)[O-] ZINC000776361427 628135962 /nfs/dbraw/zinc/13/59/62/628135962.db2.gz DAYDMISEUKYXRB-UHFFFAOYSA-N 0 0 281.308 2.613 20 5 CFBDRN Cc1sc(C(=O)OCCCCCCO)cc1[N+](=O)[O-] ZINC000776372541 628137529 /nfs/dbraw/zinc/13/75/29/628137529.db2.gz NVUZCHHZNMKAAC-UHFFFAOYSA-N 0 0 287.337 2.674 20 5 CFBDRN C[C@H]1CC[C@H](C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000776423904 628149116 /nfs/dbraw/zinc/14/91/16/628149116.db2.gz LPKPGBYFKKRORI-JQWIXIFHSA-N 0 0 291.303 2.757 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])CCO1 ZINC000776513846 628154708 /nfs/dbraw/zinc/15/47/08/628154708.db2.gz TUYMTNUOVOATBO-IUCAKERBSA-N 0 0 299.710 2.969 20 5 CFBDRN CC(C)N(CC(F)F)C[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776587039 628162122 /nfs/dbraw/zinc/16/21/22/628162122.db2.gz SAIDOXTYIVGUEG-LBPRGKRZSA-N 0 0 288.294 2.604 20 5 CFBDRN CC1(COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CC1 ZINC000790805230 628167536 /nfs/dbraw/zinc/16/75/36/628167536.db2.gz INMFOIFZSTYFMP-QPJJXVBHSA-N 0 0 261.277 2.951 20 5 CFBDRN CC(Cl)(Cl)C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000777219812 628208613 /nfs/dbraw/zinc/20/86/13/628208613.db2.gz SQBZBLOLSPZULJ-UHFFFAOYSA-N 0 0 278.091 2.832 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000777369351 628217329 /nfs/dbraw/zinc/21/73/29/628217329.db2.gz GLDAEKMQWPAMAO-TZMCWYRMSA-N 0 0 277.320 2.973 20 5 CFBDRN O=C1N[C@@H](c2ccc([N+](=O)[O-])cc2F)Nc2ccccc21 ZINC000777871764 628260051 /nfs/dbraw/zinc/26/00/51/628260051.db2.gz MMGIDDBDEJHWFI-ZDUSSCGKSA-N 0 0 287.250 2.588 20 5 CFBDRN Cc1cccc(COC(=O)c2c(N)cccc2[N+](=O)[O-])c1 ZINC000778142648 628280083 /nfs/dbraw/zinc/28/00/83/628280083.db2.gz IECGUYDRVYQNMW-UHFFFAOYSA-N 0 0 286.287 2.842 20 5 CFBDRN Cc1sc(C(=O)OC[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000778359009 628298773 /nfs/dbraw/zinc/29/87/73/628298773.db2.gz CDWZPOZPNRTGSG-SCZZXKLOSA-N 0 0 299.348 2.937 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000778355015 628298819 /nfs/dbraw/zinc/29/88/19/628298819.db2.gz FRWIUGKQTYXUSW-ZJUUUORDSA-N 0 0 297.282 2.706 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H]2CCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000778359627 628299365 /nfs/dbraw/zinc/29/93/65/628299365.db2.gz OHRVLYKBURKPPY-VXGBXAGGSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000778374927 628302073 /nfs/dbraw/zinc/30/20/73/628302073.db2.gz MDDNAWXXRKFQGP-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2ccc(Br)n2)cs1 ZINC000778559616 628316162 /nfs/dbraw/zinc/31/61/62/628316162.db2.gz AOWJUUFLFBLQHK-UHFFFAOYSA-N 0 0 288.126 2.664 20 5 CFBDRN CCC[C@@H](C)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C ZINC000778749082 628329781 /nfs/dbraw/zinc/32/97/81/628329781.db2.gz ATFVGSBDZLQTEY-ZJUUUORDSA-N 0 0 268.313 2.915 20 5 CFBDRN CCCOCC(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778789248 628331494 /nfs/dbraw/zinc/33/14/94/628331494.db2.gz TUTRXRQZVMIPNS-LLVKDONJSA-N 0 0 281.308 2.934 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1ccnn1C ZINC000778788524 628331664 /nfs/dbraw/zinc/33/16/64/628331664.db2.gz OHTMJHUNSZODEP-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1ncccn1 ZINC000778806347 628332917 /nfs/dbraw/zinc/33/29/17/628332917.db2.gz ATJCCIROTFDHTM-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN COc1cc(COC(=O)[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000778817831 628334159 /nfs/dbraw/zinc/33/41/59/628334159.db2.gz NIUSXVYIEMJMLY-SECBINFHSA-N 0 0 279.292 2.693 20 5 CFBDRN COc1cc(COC(=O)C=C2CCC2)ccc1[N+](=O)[O-] ZINC000778822341 628334449 /nfs/dbraw/zinc/33/44/49/628334449.db2.gz PQNFCHWRDXBVHQ-UHFFFAOYSA-N 0 0 277.276 2.757 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H]1CCO[C@H]1C ZINC000778839047 628335689 /nfs/dbraw/zinc/33/56/89/628335689.db2.gz HGQBHIWMNROKNY-GMXVVIOVSA-N 0 0 293.319 2.932 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)COc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000779256959 628364746 /nfs/dbraw/zinc/36/47/46/628364746.db2.gz CGEIWFWVGUCJHC-KOLCDFICSA-N 0 0 297.282 2.845 20 5 CFBDRN COc1ccc(C(=O)O[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000779262824 628365768 /nfs/dbraw/zinc/36/57/68/628365768.db2.gz JPGISWPXPCDPDX-ONGXEEELSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000779263636 628366190 /nfs/dbraw/zinc/36/61/90/628366190.db2.gz XUBMPJIYIIQABI-GHMZBOCLSA-N 0 0 278.308 2.739 20 5 CFBDRN C[C@@H]1CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])cn2)[C@H]1C ZINC000779269888 628367564 /nfs/dbraw/zinc/36/75/64/628367564.db2.gz JHZHBVGYDXXYNB-NRUUGDAUSA-N 0 0 278.308 2.971 20 5 CFBDRN CCOC1CC(C(=O)OCc2c(F)cccc2[N+](=O)[O-])C1 ZINC000805638515 628386952 /nfs/dbraw/zinc/38/69/52/628386952.db2.gz BMGPGSAPBSXEGV-UHFFFAOYSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1nn(Cc2cc(Cl)ccc2[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000779645088 628392115 /nfs/dbraw/zinc/39/21/15/628392115.db2.gz HGPMKAUBQJFWDX-UHFFFAOYSA-N 0 0 296.670 2.710 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C1=CCC1 ZINC000779769927 628401296 /nfs/dbraw/zinc/40/12/96/628401296.db2.gz CPSPCYVVKVIACO-UHFFFAOYSA-N 0 0 254.192 2.532 20 5 CFBDRN CC/C(C)=C(\C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000779965864 628422072 /nfs/dbraw/zinc/42/20/72/628422072.db2.gz YHYPRKDONDXIDO-VAWYXSNFSA-N 0 0 276.336 3.000 20 5 CFBDRN O=C(O[C@H]1C=CCCC1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780071605 628429956 /nfs/dbraw/zinc/42/99/56/628429956.db2.gz JELAKNXYKFGVHQ-LBPRGKRZSA-N 0 0 263.249 2.566 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1[C@H]2CCCO[C@H]21)c1ccccc1[N+](=O)[O-] ZINC000780327419 628455478 /nfs/dbraw/zinc/45/54/78/628455478.db2.gz AFEJDZVBSPWECO-RMIQQSQVSA-N 0 0 291.303 2.624 20 5 CFBDRN CCC1(COC(=O)c2cccc([N+](=O)[O-])c2)CCOCC1 ZINC000780643649 628484718 /nfs/dbraw/zinc/48/47/18/628484718.db2.gz GILNOPVYTZGHHM-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN CCC[C@H](O)CNc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000780911428 628504127 /nfs/dbraw/zinc/50/41/27/628504127.db2.gz OCBOKETYCCMTBV-VIFPVBQESA-N 0 0 290.266 2.769 20 5 CFBDRN Cc1cccc(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000781170868 628528632 /nfs/dbraw/zinc/52/86/32/628528632.db2.gz BLICCHIKRAIZJA-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN COC[C@@H](C)CC(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000781171204 628528925 /nfs/dbraw/zinc/52/89/25/628528925.db2.gz IWUKGBTVTYCDHE-JTQLQIEISA-N 0 0 281.308 2.619 20 5 CFBDRN Cc1cc(COC(=O)[C@@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000781173703 628529213 /nfs/dbraw/zinc/52/92/13/628529213.db2.gz XPGZCVBWFWUYNT-NSHDSACASA-N 0 0 263.293 2.993 20 5 CFBDRN Cc1c[nH]cc1C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000781468998 628550738 /nfs/dbraw/zinc/55/07/38/628550738.db2.gz JCBGIKLOUWXAGH-UHFFFAOYSA-N 0 0 274.276 2.897 20 5 CFBDRN CC(C)C[C@H](C)C[C@H](C)OC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000781482074 628552822 /nfs/dbraw/zinc/55/28/22/628552822.db2.gz RWGGUJGXIFDCCD-RYUDHWBXSA-N 0 0 297.355 2.795 20 5 CFBDRN CC(C)C[C@H](C)C[C@@H](C)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000781482749 628552933 /nfs/dbraw/zinc/55/29/33/628552933.db2.gz FNABGRVFMZOJQC-NWDGAFQWSA-N 0 0 297.355 2.795 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@](C)(O)c2ccco2)n1 ZINC000781661438 628570693 /nfs/dbraw/zinc/57/06/93/628570693.db2.gz ZXJVGBASCXOZAF-AWEZNQCLSA-N 0 0 291.307 2.519 20 5 CFBDRN O=C(OCC(F)F)c1ccc(OC(F)F)c([N+](=O)[O-])c1 ZINC000781713059 628575169 /nfs/dbraw/zinc/57/51/69/628575169.db2.gz PZSXIDBBEQBBBJ-UHFFFAOYSA-N 0 0 297.160 2.618 20 5 CFBDRN CC(C)(C(=O)OCCOc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000805702057 628578422 /nfs/dbraw/zinc/57/84/22/628578422.db2.gz ADKQSRTYITZTLB-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN CC(C)(C(=O)OCCOc1ccccc1[N+](=O)[O-])C1CC1 ZINC000805703272 628579025 /nfs/dbraw/zinc/57/90/25/628579025.db2.gz JOKHSHJVEZUWFQ-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN CCc1ccc(OC(=O)C2(OC)CCC2)c([N+](=O)[O-])c1 ZINC000781804423 628582696 /nfs/dbraw/zinc/58/26/96/628582696.db2.gz DWWFHYCGXFDWIZ-UHFFFAOYSA-N 0 0 279.292 2.632 20 5 CFBDRN O=C(OCc1csc(C2CC2)n1)c1ccc([N+](=O)[O-])o1 ZINC000781986793 628595472 /nfs/dbraw/zinc/59/54/72/628595472.db2.gz AJRPSEBRQBBAFC-UHFFFAOYSA-N 0 0 294.288 2.879 20 5 CFBDRN CC(C)CC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000781987438 628595610 /nfs/dbraw/zinc/59/56/10/628595610.db2.gz GAIMVZVSWDXJRM-UHFFFAOYSA-N 0 0 255.245 2.823 20 5 CFBDRN Cc1noc(C)c1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000782068818 628602021 /nfs/dbraw/zinc/60/20/21/628602021.db2.gz CVCACBVAGZWLLD-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN CO[C@@H](CNc1cccc(C)c1[N+](=O)[O-])C(F)(F)F ZINC000782349148 628631188 /nfs/dbraw/zinc/63/11/88/628631188.db2.gz KEWSOUUKQJHREE-VIFPVBQESA-N 0 0 278.230 2.892 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H](O)C1CCCCC1 ZINC000782369599 628633380 /nfs/dbraw/zinc/63/33/80/628633380.db2.gz VINXXUIVLRSKGZ-AWEZNQCLSA-N 0 0 293.319 2.579 20 5 CFBDRN CC(=O)c1ccc(N2C[C@H](C)O[C@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000782403918 628635866 /nfs/dbraw/zinc/63/58/66/628635866.db2.gz INJHQJNUXFVUDC-HOSYDEDBSA-N 0 0 292.335 2.800 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)[C@H](C)[C@@H](C)O1 ZINC000782403970 628635969 /nfs/dbraw/zinc/63/59/69/628635969.db2.gz QOCIGXZXYKKAGT-GMTAPVOTSA-N 0 0 250.298 2.597 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)[C@@H](C)[C@H](C)O1 ZINC000782410048 628636339 /nfs/dbraw/zinc/63/63/39/628636339.db2.gz NKNGJBPUOKSJQT-UTLUCORTSA-N 0 0 268.288 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@H]3C[C@H]32)c(Cl)c1 ZINC000782485538 628641483 /nfs/dbraw/zinc/64/14/83/628641483.db2.gz AVRXAXZBULKRFS-QPUJVOFHSA-N 0 0 252.701 2.842 20 5 CFBDRN Cn1c(C(=O)OCCCc2ccsc2)ccc1[N+](=O)[O-] ZINC000782673745 628654563 /nfs/dbraw/zinc/65/45/63/628654563.db2.gz QFVSJDVYLQJNLI-UHFFFAOYSA-N 0 0 294.332 2.784 20 5 CFBDRN C[C@@H](OC(=O)C1(O)CCCC1)c1ccccc1[N+](=O)[O-] ZINC000782858174 628660415 /nfs/dbraw/zinc/66/04/15/628660415.db2.gz QJNIYMPKZPYSHB-SNVBAGLBSA-N 0 0 279.292 2.504 20 5 CFBDRN C[C@@H]1C[C@@H](COC(=O)c2cc([N+](=O)[O-])ccc2F)CCO1 ZINC000782992370 628667999 /nfs/dbraw/zinc/66/79/99/628667999.db2.gz DPPLMFUVSCAILS-ZJUUUORDSA-N 0 0 297.282 2.706 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)OCc2cccc([N+](=O)[O-])c2)O1 ZINC000783016181 628669272 /nfs/dbraw/zinc/66/92/72/628669272.db2.gz SNCMKUZTMHLNES-LBPRGKRZSA-N 0 0 279.292 2.596 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OC1CC1 ZINC000783186554 628683040 /nfs/dbraw/zinc/68/30/40/628683040.db2.gz MADZJPNWUDIZOJ-NSHDSACASA-N 0 0 263.293 2.869 20 5 CFBDRN CCCCO[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783209347 628686190 /nfs/dbraw/zinc/68/61/90/628686190.db2.gz FQHFRDGUYHPCEX-JTQLQIEISA-N 0 0 299.298 2.982 20 5 CFBDRN Cc1nn(CCC(=O)OCCC2CCC2)c(C)c1[N+](=O)[O-] ZINC000783448135 628709956 /nfs/dbraw/zinc/70/99/56/628709956.db2.gz ISHJEFHVUXTJJL-UHFFFAOYSA-N 0 0 295.339 2.532 20 5 CFBDRN CSC[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000783581973 628722848 /nfs/dbraw/zinc/72/28/48/628722848.db2.gz GGNZGKQBRUDIEQ-MRVPVSSYSA-N 0 0 287.312 2.776 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCSCCO)c(F)c1 ZINC000783587668 628723057 /nfs/dbraw/zinc/72/30/57/628723057.db2.gz SOVRVCYWDFQBHV-UHFFFAOYSA-N 0 0 291.369 2.693 20 5 CFBDRN O=[N+]([O-])c1cc(CSCCSCCO)cs1 ZINC000783608413 628726428 /nfs/dbraw/zinc/72/64/28/628726428.db2.gz QIWLPEGCJCMYJF-UHFFFAOYSA-N 0 0 279.408 2.615 20 5 CFBDRN C[C@@H](OC(=O)c1ccc(CO)o1)c1ccccc1[N+](=O)[O-] ZINC000783884216 628753567 /nfs/dbraw/zinc/75/35/67/628753567.db2.gz GQYIYXIWEZJGSG-SECBINFHSA-N 0 0 291.259 2.598 20 5 CFBDRN C/C(=C\C(=O)N(C)CC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000783958886 628757384 /nfs/dbraw/zinc/75/73/84/628757384.db2.gz YQYXETANYKAJKL-VQHVLOKHSA-N 0 0 284.262 2.722 20 5 CFBDRN CSC[C@@H](C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000784013815 628763647 /nfs/dbraw/zinc/76/36/47/628763647.db2.gz PAINWAWBLSQXCM-HIJJYWJESA-N 0 0 294.376 2.866 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000784017867 628763949 /nfs/dbraw/zinc/76/39/49/628763949.db2.gz NUHTVGNPSMCWBD-NSHDSACASA-N 0 0 255.245 2.632 20 5 CFBDRN Cc1ccc(OC(=O)[C@H](F)C(C)C)c([N+](=O)[O-])c1 ZINC000784026181 628765444 /nfs/dbraw/zinc/76/54/44/628765444.db2.gz QVTCCXRCXUTUDC-LLVKDONJSA-N 0 0 255.245 2.803 20 5 CFBDRN Cc1cccc([C@H]2CCN(Cn3nccc3[N+](=O)[O-])C2)c1 ZINC000784028984 628766338 /nfs/dbraw/zinc/76/63/38/628766338.db2.gz YYCFKELLRPCHSY-AWEZNQCLSA-N 0 0 286.335 2.547 20 5 CFBDRN O=C(C[C@H]1CCCCO1)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784085648 628774436 /nfs/dbraw/zinc/77/44/36/628774436.db2.gz XGCAQXYMHANCNX-LLVKDONJSA-N 0 0 297.282 2.736 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@@H]1CC12CCC2 ZINC000784138142 628782179 /nfs/dbraw/zinc/78/21/79/628782179.db2.gz ITWPFGZDRJMMGY-JTQLQIEISA-N 0 0 279.267 2.967 20 5 CFBDRN CC1([C@H]2CCCN(c3ccsc3[N+](=O)[O-])C2)OCCO1 ZINC000784255863 628796064 /nfs/dbraw/zinc/79/60/64/628796064.db2.gz ZPRYEAULLWCDBJ-JTQLQIEISA-N 0 0 298.364 2.636 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000784303298 628802196 /nfs/dbraw/zinc/80/21/96/628802196.db2.gz GXNJAUYPCVQCQP-LBPRGKRZSA-N 0 0 285.271 2.511 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000784303277 628802457 /nfs/dbraw/zinc/80/24/57/628802457.db2.gz GTEHMAICNSPONV-GFCCVEGCSA-N 0 0 269.272 2.675 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCCCOc1ccc([N+](=O)[O-])cc1 ZINC000784312229 628803954 /nfs/dbraw/zinc/80/39/54/628803954.db2.gz XHRNWHHXPYWSDE-CYBMUJFWSA-N 0 0 299.298 2.901 20 5 CFBDRN C[C@@H](OC(=O)C[C@](C)(O)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000784363487 628810335 /nfs/dbraw/zinc/81/03/35/628810335.db2.gz AVRNNMHWPVLBGH-BMIGLBTASA-N 0 0 293.319 2.750 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)CCCOC1 ZINC000784631677 628832777 /nfs/dbraw/zinc/83/27/77/628832777.db2.gz WPHOWCDWLRFPCU-CQSZACIVSA-N 0 0 297.282 2.594 20 5 CFBDRN C/C(=C\C(=O)N1CC[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000784634518 628833082 /nfs/dbraw/zinc/83/30/82/628833082.db2.gz TVQQMMZAKZMRCK-UTEVDWOZSA-N 0 0 274.320 2.867 20 5 CFBDRN C/C(=C\C(=O)NC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000784653735 628834300 /nfs/dbraw/zinc/83/43/00/628834300.db2.gz FSMBABOEEBSSIH-MDZDMXLPSA-N 0 0 260.293 2.667 20 5 CFBDRN CC(C)[C@H](F)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784873564 628847212 /nfs/dbraw/zinc/84/72/12/628847212.db2.gz CNUIZIGJVLBQMV-NSHDSACASA-N 0 0 273.235 2.771 20 5 CFBDRN COC[C@@H](C)OC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000784871927 628847289 /nfs/dbraw/zinc/84/72/89/628847289.db2.gz OXIUUAAHYIOJEX-RJCSOLBVSA-N 0 0 279.292 2.576 20 5 CFBDRN C/C(=C/C(=O)O[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])cc1 ZINC000784887034 628849290 /nfs/dbraw/zinc/84/92/90/628849290.db2.gz LHYKQEVBQDEQRA-RBJHHQRMSA-N 0 0 291.303 2.720 20 5 CFBDRN C/C(=C\C(=O)OCC(=O)C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000784891627 628849709 /nfs/dbraw/zinc/84/97/09/628849709.db2.gz YEQANYACGMYCDW-CSKARUKUSA-N 0 0 289.287 2.520 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784936103 628853324 /nfs/dbraw/zinc/85/33/24/628853324.db2.gz NWOSIQSOZZMWMW-SKDRFNHKSA-N 0 0 281.333 2.886 20 5 CFBDRN CCCOC(=O)c1ccc(C(=O)OCCC)c([N+](=O)[O-])c1 ZINC000785311449 628875724 /nfs/dbraw/zinc/87/57/24/628875724.db2.gz RWPVMRTZVNIQDS-UHFFFAOYSA-N 0 0 295.291 2.728 20 5 CFBDRN Cc1[nH]ncc1C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000785577647 628895046 /nfs/dbraw/zinc/89/50/46/628895046.db2.gz KPNLPCIFKQYGFP-SNVBAGLBSA-N 0 0 289.291 2.853 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1cccc(F)c1[N+](=O)[O-] ZINC000785836563 628916237 /nfs/dbraw/zinc/91/62/37/628916237.db2.gz XIIYBSVYCJDYDC-SNVBAGLBSA-N 0 0 268.288 2.597 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC(C)(C)F)c1 ZINC000785936927 628925403 /nfs/dbraw/zinc/92/54/03/628925403.db2.gz UHURWARPTWVUFQ-UHFFFAOYSA-N 0 0 271.244 2.508 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)C(F)F ZINC000786515731 628963819 /nfs/dbraw/zinc/96/38/19/628963819.db2.gz RQULYDBJBKUYEO-SSDOTTSWSA-N 0 0 259.208 2.714 20 5 CFBDRN CSc1cnc(Oc2cccc([N+](=O)[O-])c2)nc1 ZINC000786562269 628968742 /nfs/dbraw/zinc/96/87/42/628968742.db2.gz UBCUITOOSZCNER-UHFFFAOYSA-N 0 0 263.278 2.899 20 5 CFBDRN COC/C=C/C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000786600664 628970783 /nfs/dbraw/zinc/97/07/83/628970783.db2.gz ACXXYVCQCASMEH-SGUJLRQBSA-N 0 0 279.292 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCc1ccc(F)cn1 ZINC000786712649 628976037 /nfs/dbraw/zinc/97/60/37/628976037.db2.gz YVJWQEGFCCTZLJ-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@@H]1CCCOCC1 ZINC000787098071 628993336 /nfs/dbraw/zinc/99/33/36/628993336.db2.gz MIXJKACAPKDANM-LLVKDONJSA-N 0 0 297.282 2.594 20 5 CFBDRN O=C(OCC[C@H]1CCCO1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000787567366 629019213 /nfs/dbraw/zinc/01/92/13/629019213.db2.gz KMBRGVZJPJTOGD-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)c1[N+](=O)[O-] ZINC000787722241 629029324 /nfs/dbraw/zinc/02/93/24/629029324.db2.gz ZSEOUGTZUYHBDB-PEGIJTEDSA-N 0 0 278.283 2.571 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CCCCCO2)c1[N+](=O)[O-] ZINC000788489573 629074452 /nfs/dbraw/zinc/07/44/52/629074452.db2.gz XJUZCUXPHDDHBC-UHFFFAOYSA-N 0 0 282.271 2.600 20 5 CFBDRN C[C@H]1CC[C@H](COC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)O1 ZINC000789002479 629116129 /nfs/dbraw/zinc/11/61/29/629116129.db2.gz XFCPMDQDKGRGNY-WCBMZHEXSA-N 0 0 299.710 2.973 20 5 CFBDRN Cc1c(COC(=O)c2cccnc2F)cccc1[N+](=O)[O-] ZINC000789007721 629116606 /nfs/dbraw/zinc/11/66/06/629116606.db2.gz MXGXMSBIPDGQDH-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1cccnc1F ZINC000789007622 629116622 /nfs/dbraw/zinc/11/66/22/629116622.db2.gz PDVWVTUKICWCJL-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN C[C@H]1CC[C@@H](COC(=O)c2c(Cl)cccc2[N+](=O)[O-])O1 ZINC000789052405 629120328 /nfs/dbraw/zinc/12/03/28/629120328.db2.gz UCZGJYLFIVAZLR-IUCAKERBSA-N 0 0 299.710 2.973 20 5 CFBDRN CCOC1CC(C(=O)Oc2ccc(CC)cc2[N+](=O)[O-])C1 ZINC000805883954 629135688 /nfs/dbraw/zinc/13/56/88/629135688.db2.gz NMJWHIBWCVLZLI-UHFFFAOYSA-N 0 0 293.319 2.878 20 5 CFBDRN CCc1cncc(C(=O)Oc2cccc([N+](=O)[O-])c2)c1 ZINC000789396556 629152788 /nfs/dbraw/zinc/15/27/88/629152788.db2.gz OUKXRYVLFDKWLW-UHFFFAOYSA-N 0 0 272.260 2.771 20 5 CFBDRN CS/C=C\C(=O)OCc1ncc(C)c([N+](=O)[O-])c1C ZINC000789433074 629156796 /nfs/dbraw/zinc/15/67/96/629156796.db2.gz UJMWKCJYPGGVRD-PLNGDYQASA-N 0 0 282.321 2.527 20 5 CFBDRN O=C(OCCCC1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000789551245 629165809 /nfs/dbraw/zinc/16/58/09/629165809.db2.gz MOANYXZVKIUDFH-UHFFFAOYSA-N 0 0 293.319 2.958 20 5 CFBDRN Cc1cccc(OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])n1 ZINC000789591376 629170188 /nfs/dbraw/zinc/17/01/88/629170188.db2.gz LMBBUOHHGMVECH-UHFFFAOYSA-N 0 0 289.247 2.785 20 5 CFBDRN C[C@H](COC(=O)CN(C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000789613298 629174268 /nfs/dbraw/zinc/17/42/68/629174268.db2.gz VZDYOVQCRVCRBL-LLVKDONJSA-N 0 0 292.335 2.620 20 5 CFBDRN CSC1(C(=O)Oc2cccc([N+](=O)[O-])c2C)CC1 ZINC000789626065 629175625 /nfs/dbraw/zinc/17/56/25/629175625.db2.gz JCFQXVQGTWTAAE-UHFFFAOYSA-N 0 0 267.306 2.704 20 5 CFBDRN Cc1ccc(OC(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1F ZINC000789656211 629178468 /nfs/dbraw/zinc/17/84/68/629178468.db2.gz XKJYKHQGGVAXKW-UHFFFAOYSA-N 0 0 290.250 2.844 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCSC2)c1 ZINC000790285495 629225702 /nfs/dbraw/zinc/22/57/02/629225702.db2.gz RJXUDEKPGQLRJQ-QMMMGPOBSA-N 0 0 286.328 2.908 20 5 CFBDRN Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)ccn1 ZINC000790652752 629255191 /nfs/dbraw/zinc/25/51/91/629255191.db2.gz BZVCDCPBQQMWSC-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CN(CC(=O)OCC1=CCCC1)c1ccccc1[N+](=O)[O-] ZINC000790822883 629267047 /nfs/dbraw/zinc/26/70/47/629267047.db2.gz HBALJBQEORIGTB-UHFFFAOYSA-N 0 0 290.319 2.685 20 5 CFBDRN O=[N+]([O-])CCN1CCc2cc(Cl)cc(Cl)c2C1 ZINC000791051616 629284386 /nfs/dbraw/zinc/28/43/86/629284386.db2.gz GUUCKWUPBAXXBD-UHFFFAOYSA-N 0 0 275.135 2.628 20 5 CFBDRN CC[C@@H](C)CC(=O)OCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000791503976 629323266 /nfs/dbraw/zinc/32/32/66/629323266.db2.gz NELCLZXKFVVYBW-SNVBAGLBSA-N 0 0 294.307 2.513 20 5 CFBDRN Cc1ccnc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000791512219 629324723 /nfs/dbraw/zinc/32/47/23/629324723.db2.gz GBRLCSLVWHQYFQ-SNVBAGLBSA-N 0 0 287.275 2.611 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCCCCCF ZINC000791626222 629339733 /nfs/dbraw/zinc/33/97/33/629339733.db2.gz HTWICCUVXIRHAU-UHFFFAOYSA-N 0 0 270.260 2.595 20 5 CFBDRN CCC(CC)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791736556 629349357 /nfs/dbraw/zinc/34/93/57/629349357.db2.gz NVGZOUQHEJZRQU-UHFFFAOYSA-N 0 0 296.279 2.982 20 5 CFBDRN Cc1cc(C(=O)OCCCCCF)c(N)c([N+](=O)[O-])c1 ZINC000791841163 629364779 /nfs/dbraw/zinc/36/47/79/629364779.db2.gz WPUACPFBUBXHMZ-UHFFFAOYSA-N 0 0 284.287 2.782 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Oc1ccc2cccnc2c1 ZINC000791855495 629367527 /nfs/dbraw/zinc/36/75/27/629367527.db2.gz MMPUHPVBNWZRCD-UHFFFAOYSA-N 0 0 297.270 2.701 20 5 CFBDRN Cc1ccc(OC(=O)CSC2CC2)c([N+](=O)[O-])c1 ZINC000835069832 629369351 /nfs/dbraw/zinc/36/93/51/629369351.db2.gz DSZJGSRBGVLUNQ-UHFFFAOYSA-N 0 0 267.306 2.704 20 5 CFBDRN O=C(CCCC(=O)C1CC1)OCc1ccc([N+](=O)[O-])cc1 ZINC000835089203 629389438 /nfs/dbraw/zinc/38/94/38/629389438.db2.gz XMROGVPNJKZHGW-UHFFFAOYSA-N 0 0 291.303 2.787 20 5 CFBDRN CC1(C)CC[C@H](COC(=O)Cc2ccccc2[N+](=O)[O-])O1 ZINC000792028841 629390464 /nfs/dbraw/zinc/39/04/64/629390464.db2.gz MEMMGJMZIUPFTO-GFCCVEGCSA-N 0 0 293.319 2.638 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2Cc3ccccc32)cc1[N+](=O)[O-] ZINC000792117984 629399595 /nfs/dbraw/zinc/39/95/95/629399595.db2.gz DIFUWMLCGNBJBE-ZDUSSCGKSA-N 0 0 299.282 2.849 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2[C@H](C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000792531090 629430968 /nfs/dbraw/zinc/43/09/68/629430968.db2.gz NBKFEWMODUAWGH-DTORHVGOSA-N 0 0 266.272 2.665 20 5 CFBDRN CC(C)(C)c1cnc(COC(=O)CCC(C)(C)[N+](=O)[O-])o1 ZINC000835194704 629511185 /nfs/dbraw/zinc/51/11/85/629511185.db2.gz GPUPLSJVINBMHJ-UHFFFAOYSA-N 0 0 298.339 2.851 20 5 CFBDRN CNc1c(C(=O)OCCc2ccoc2)cccc1[N+](=O)[O-] ZINC000793246104 629512466 /nfs/dbraw/zinc/51/24/66/629512466.db2.gz NLFCSSFKXDVTPI-UHFFFAOYSA-N 0 0 290.275 2.629 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNCc2ncccc2O)c1 ZINC000793258753 629516263 /nfs/dbraw/zinc/51/62/63/629516263.db2.gz NNFVNYAKEOUPBI-UHFFFAOYSA-N 0 0 293.710 2.639 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC(F)(F)CC2)cs1 ZINC000793363060 629531881 /nfs/dbraw/zinc/53/18/81/629531881.db2.gz ZYBYRZAEBYWNGO-UHFFFAOYSA-N 0 0 262.281 2.887 20 5 CFBDRN O=C(CCn1cc([N+](=O)[O-])cn1)O[C@H]1C=CCCCCC1 ZINC000793397351 629537515 /nfs/dbraw/zinc/53/75/15/629537515.db2.gz BHLDTCNMWNSUQO-ZDUSSCGKSA-N 0 0 293.323 2.614 20 5 CFBDRN CC(C)(CCC(=O)OCC1(C)CCCC1)[N+](=O)[O-] ZINC000835243684 629557934 /nfs/dbraw/zinc/55/79/34/629557934.db2.gz OYLIAJHKFKCQEO-UHFFFAOYSA-N 0 0 257.330 2.945 20 5 CFBDRN CCC[C@H](CC(C)C)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000835337684 629640930 /nfs/dbraw/zinc/64/09/30/629640930.db2.gz WJDZFWWSMWOHFK-GFCCVEGCSA-N 0 0 297.355 2.797 20 5 CFBDRN COc1cc(OC[Si](C)(C)C)ccc1[N+](=O)[O-] ZINC000794448080 629647679 /nfs/dbraw/zinc/64/76/79/629647679.db2.gz DRWVTZBAJPFAOQ-UHFFFAOYSA-N 0 0 255.346 2.860 20 5 CFBDRN CC(C)(CCC(=O)OCC(C1CC1)C1CC1)[N+](=O)[O-] ZINC000835381982 629672123 /nfs/dbraw/zinc/67/21/23/629672123.db2.gz GGAFCRAKHSVKDT-UHFFFAOYSA-N 0 0 269.341 2.801 20 5 CFBDRN C[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)C[C@@H](C)C1=O ZINC000852476042 634755005 /nfs/dbraw/zinc/75/50/05/634755005.db2.gz LRWLXHSNIVHDMU-NXEZZACHSA-N 0 0 296.754 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)CC(C)(C)O ZINC000835420974 629706788 /nfs/dbraw/zinc/70/67/88/629706788.db2.gz HDXOPNIGIPMXJB-SNVBAGLBSA-N 0 0 281.308 2.610 20 5 CFBDRN CCC(=O)c1ccc(N2CCO[C@H](C)[C@@H]2C)c([N+](=O)[O-])c1 ZINC000795112699 629735521 /nfs/dbraw/zinc/73/55/21/629735521.db2.gz LBDXJKVYFYGUSO-WDEREUQCSA-N 0 0 292.335 2.801 20 5 CFBDRN CCC(=O)c1ccc(N2CCCOC[C@H]2C)c([N+](=O)[O-])c1 ZINC000795127227 629736791 /nfs/dbraw/zinc/73/67/91/629736791.db2.gz VVKJYWGTCVPVMB-LLVKDONJSA-N 0 0 292.335 2.803 20 5 CFBDRN CO[C@]1(C)CCCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000795190393 629743042 /nfs/dbraw/zinc/74/30/42/629743042.db2.gz PQZUHCDJCXGVSU-CYBMUJFWSA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1ccc(N2C[C@@H](C3CC3)O[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000795189900 629743263 /nfs/dbraw/zinc/74/32/63/629743263.db2.gz NTXYTJVNYHDQPW-NHYWBVRUSA-N 0 0 276.336 2.907 20 5 CFBDRN Cc1cccc(C(=O)OC[C@@H]2CCC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000795221523 629748054 /nfs/dbraw/zinc/74/80/54/629748054.db2.gz OUZKKXDWTZNHJO-VXGBXAGGSA-N 0 0 293.319 2.611 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1cccnc1F ZINC000795228504 629748299 /nfs/dbraw/zinc/74/82/99/629748299.db2.gz GBGLLVOHUFYSGL-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccnc2F)c(F)c1 ZINC000795226325 629748316 /nfs/dbraw/zinc/74/83/16/629748316.db2.gz XHRBUOKDWNUQLD-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN Cc1c(C(=O)OC[C@H]2CCC[C@@H](O)C2)cccc1[N+](=O)[O-] ZINC000795255877 629753377 /nfs/dbraw/zinc/75/33/77/629753377.db2.gz SOLFHTLQHYZKAL-NWDGAFQWSA-N 0 0 293.319 2.611 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000835468099 629755792 /nfs/dbraw/zinc/75/57/92/629755792.db2.gz YNPQMODSRIOIAK-MRVWCRGKSA-N 0 0 277.320 2.779 20 5 CFBDRN Cc1cc(C(=O)OC[C@H]2CCC[C@H](O)C2)cc([N+](=O)[O-])c1 ZINC000795355148 629766026 /nfs/dbraw/zinc/76/60/26/629766026.db2.gz MVCOSCYUSVSAKV-FZMZJTMJSA-N 0 0 293.319 2.611 20 5 CFBDRN CC[C@](C)(OC)C(=O)OCc1ccc(C)c([N+](=O)[O-])c1 ZINC000835479813 629767586 /nfs/dbraw/zinc/76/75/86/629767586.db2.gz KGOIZLFJTDGDEN-AWEZNQCLSA-N 0 0 281.308 2.762 20 5 CFBDRN Cc1ccc(COC(=O)[C@H]2C[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000835481553 629769951 /nfs/dbraw/zinc/76/99/51/629769951.db2.gz PNLNDYASBZCMAQ-OLZOCXBDSA-N 0 0 275.304 2.993 20 5 CFBDRN CCOCC1(C(=O)OCc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000835481214 629770377 /nfs/dbraw/zinc/77/03/77/629770377.db2.gz UKXCHZVANQRATD-UHFFFAOYSA-N 0 0 293.319 2.763 20 5 CFBDRN CO[C@@](C)(C(=O)OCc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000835482755 629770655 /nfs/dbraw/zinc/77/06/55/629770655.db2.gz YMHKDZWVGVCLCF-OAHLLOKOSA-N 0 0 293.319 2.762 20 5 CFBDRN Cc1ccc(COC(=O)C[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000835482982 629770887 /nfs/dbraw/zinc/77/08/87/629770887.db2.gz AFLUVZRWYYLLQC-ZDUSSCGKSA-N 0 0 293.319 2.906 20 5 CFBDRN Cc1ccc(COC(=O)CSC2CC2)cc1[N+](=O)[O-] ZINC000835482795 629771408 /nfs/dbraw/zinc/77/14/08/629771408.db2.gz ZLFVBMNWIWABPN-UHFFFAOYSA-N 0 0 281.333 2.842 20 5 CFBDRN C[C@@H](O)CCCOC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000795505576 629789518 /nfs/dbraw/zinc/78/95/18/629789518.db2.gz SINTYUISWZCFBU-MRVPVSSYSA-N 0 0 287.699 2.566 20 5 CFBDRN C[C@H](O)CCCOC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000795574162 629804494 /nfs/dbraw/zinc/80/44/94/629804494.db2.gz RKGGKWYUCVTQRR-QMMMGPOBSA-N 0 0 287.699 2.566 20 5 CFBDRN C/C(=C/C(=O)OCCC[C@H](C)O)c1ccc([N+](=O)[O-])cc1 ZINC000795589127 629808077 /nfs/dbraw/zinc/80/80/77/629808077.db2.gz XSRTXWSILORQPE-WEHUKYGOSA-N 0 0 293.319 2.702 20 5 CFBDRN CCc1[nH]nc(C(=O)OCCCC(C)(C)C)c1[N+](=O)[O-] ZINC000795612563 629812005 /nfs/dbraw/zinc/81/20/05/629812005.db2.gz OESOARRJXUAILA-UHFFFAOYSA-N 0 0 283.328 2.863 20 5 CFBDRN C[C@H](OC(=O)C1CCC(O)CC1)c1ccccc1[N+](=O)[O-] ZINC000795642370 629815801 /nfs/dbraw/zinc/81/58/01/629815801.db2.gz BUIJVTIAADFTOS-UNXYVOJBSA-N 0 0 293.319 2.750 20 5 CFBDRN CCC[C@@H](COC(=O)c1cc(C)cc([N+](=O)[O-])c1)OC ZINC000835537520 629819778 /nfs/dbraw/zinc/81/97/78/629819778.db2.gz LQPHTDBKSSUOGS-ZDUSSCGKSA-N 0 0 281.308 2.875 20 5 CFBDRN CCC[C@H](COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000835537964 629819859 /nfs/dbraw/zinc/81/98/59/629819859.db2.gz NWALCCAKNQRTAC-JKEYDSJLSA-N 0 0 293.319 2.966 20 5 CFBDRN CCC[C@H](COC(=O)c1ccc([N+](=O)[O-])c(OC)c1)OC ZINC000835538695 629821006 /nfs/dbraw/zinc/82/10/06/629821006.db2.gz SYLHZEIBKHURNT-LLVKDONJSA-N 0 0 297.307 2.575 20 5 CFBDRN CO[C@H](C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000795834498 629839420 /nfs/dbraw/zinc/83/94/20/629839420.db2.gz VVTSMIKWOFWVDB-LBPRGKRZSA-N 0 0 299.710 2.716 20 5 CFBDRN Cc1c(COC(=O)C[C@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000795904718 629847981 /nfs/dbraw/zinc/84/79/81/629847981.db2.gz YTBIMDUZUSWSFY-GFCCVEGCSA-N 0 0 293.319 2.763 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000795998375 629860512 /nfs/dbraw/zinc/86/05/12/629860512.db2.gz GLDLNGGLGNHYPZ-BXUZGUMPSA-N 0 0 295.335 2.742 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000796009310 629863362 /nfs/dbraw/zinc/86/33/62/629863362.db2.gz RULAVQYQVSNOAG-RNCFNFMXSA-N 0 0 299.298 2.838 20 5 CFBDRN O=C(CCC(F)F)OCCc1ccc([N+](=O)[O-])cc1 ZINC000796020652 629864393 /nfs/dbraw/zinc/86/43/93/629864393.db2.gz PSLPNHIEFAFGGM-UHFFFAOYSA-N 0 0 273.235 2.726 20 5 CFBDRN CC(C)CCOC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000796252284 629896321 /nfs/dbraw/zinc/89/63/21/629896321.db2.gz CCHXGBJRGPROIB-UHFFFAOYSA-N 0 0 269.301 2.644 20 5 CFBDRN COc1c(C(=O)OCCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000796306919 629901726 /nfs/dbraw/zinc/90/17/26/629901726.db2.gz YLNFYIHEGPEVFD-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN CC(C)C(=O)COC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000796440421 629917938 /nfs/dbraw/zinc/91/79/38/629917938.db2.gz ZHPNJFWGHLOFIT-UHFFFAOYSA-N 0 0 293.319 2.641 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000796535124 629931429 /nfs/dbraw/zinc/93/14/29/629931429.db2.gz HZXGHEJPZRKCHE-TVQRCGJNSA-N 0 0 297.307 2.570 20 5 CFBDRN CSC[C@@H](C)COC(=O)c1ccccc1[N+](=O)[O-] ZINC000835669890 629934540 /nfs/dbraw/zinc/93/45/40/629934540.db2.gz TWHUMAWIXQJXFG-VIFPVBQESA-N 0 0 269.322 2.751 20 5 CFBDRN CSC[C@H](C)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000835673237 629935848 /nfs/dbraw/zinc/93/58/48/629935848.db2.gz RGECLRPWUCCPRF-MRVPVSSYSA-N 0 0 287.312 2.890 20 5 CFBDRN Cc1cc2c(cc1C)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)CC2 ZINC000796595001 629939328 /nfs/dbraw/zinc/93/93/28/629939328.db2.gz DCHXWHRKCKVKHB-UHFFFAOYSA-N 0 0 285.303 2.743 20 5 CFBDRN CC[C@@H](C)[C@H](OC)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000796593560 629939373 /nfs/dbraw/zinc/93/93/73/629939373.db2.gz ZSQSDIRKHUJBGZ-RNCFNFMXSA-N 0 0 281.308 2.870 20 5 CFBDRN CS[C@H](C)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000835677595 629941902 /nfs/dbraw/zinc/94/19/02/629941902.db2.gz FHXVSZUZQDVOFU-VHODGJRUSA-N 0 0 281.333 2.903 20 5 CFBDRN CS[C@@H](C)COC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000835680533 629946070 /nfs/dbraw/zinc/94/60/70/629946070.db2.gz TWBIFIILLDVRNH-VIFPVBQESA-N 0 0 269.322 2.812 20 5 CFBDRN CSC[C@H](C)COC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000835684722 629951944 /nfs/dbraw/zinc/95/19/44/629951944.db2.gz USEQDOXMVBSZMT-SNVBAGLBSA-N 0 0 283.349 2.680 20 5 CFBDRN CS[C@H](C)COC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000835687996 629957658 /nfs/dbraw/zinc/95/76/58/629957658.db2.gz LQGFFCPQTOIBRL-ZJUUUORDSA-N 0 0 283.349 2.993 20 5 CFBDRN CS[C@@H](C)COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000835687700 629957970 /nfs/dbraw/zinc/95/79/70/629957970.db2.gz GQKYICKDUVGZIP-VIFPVBQESA-N 0 0 283.349 2.740 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000796790374 629967778 /nfs/dbraw/zinc/96/77/78/629967778.db2.gz WWMIWEITLMPSGV-TVQRCGJNSA-N 0 0 281.308 2.870 20 5 CFBDRN CCc1nc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])c(C)o1 ZINC000796811878 629970583 /nfs/dbraw/zinc/97/05/83/629970583.db2.gz SKLXIJOWWHKYDL-UHFFFAOYSA-N 0 0 290.275 2.981 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000796811679 629970710 /nfs/dbraw/zinc/97/07/10/629970710.db2.gz OXFIIAGVBBGIJX-PWSUYJOCSA-N 0 0 279.292 2.624 20 5 CFBDRN COc1c(C(=O)O[C@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000796823540 629972878 /nfs/dbraw/zinc/97/28/78/629972878.db2.gz ZCNPZISJSBZKFA-ZJUUUORDSA-N 0 0 279.292 2.949 20 5 CFBDRN CCc1ccc(OC(=O)c2cncs2)c([N+](=O)[O-])c1 ZINC000796889964 629985314 /nfs/dbraw/zinc/98/53/14/629985314.db2.gz FOQQWZVDJRHKAE-UHFFFAOYSA-N 0 0 278.289 2.833 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)OC1CC2(CC2)C1 ZINC000835728048 629995575 /nfs/dbraw/zinc/99/55/75/629995575.db2.gz UTPITKXCWZFSKL-UHFFFAOYSA-N 0 0 277.276 2.703 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OC1CC2(CC2)C1 ZINC000835730492 629997029 /nfs/dbraw/zinc/99/70/29/629997029.db2.gz BVVXHUBIHGEJFP-UHFFFAOYSA-N 0 0 261.277 2.623 20 5 CFBDRN C=COCCOC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000797016478 630004036 /nfs/dbraw/zinc/00/40/36/630004036.db2.gz SWXNVLVCDDILNK-UHFFFAOYSA-N 0 0 279.292 2.576 20 5 CFBDRN Nc1ccc(C(=O)OCC(C2CC2)C2CC2)cc1[N+](=O)[O-] ZINC000797108095 630018728 /nfs/dbraw/zinc/01/87/28/630018728.db2.gz ZWCNMSBBCLAGQX-UHFFFAOYSA-N 0 0 290.319 2.770 20 5 CFBDRN CC(=O)CCCCOC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000797194727 630036194 /nfs/dbraw/zinc/03/61/94/630036194.db2.gz BUBOHBUVAOOSKO-UHFFFAOYSA-N 0 0 293.319 2.748 20 5 CFBDRN CS[C@@H](C)[C@@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000797210326 630039510 /nfs/dbraw/zinc/03/95/10/630039510.db2.gz YPITUIWECQMVSC-ZJUUUORDSA-N 0 0 283.349 2.821 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)[C@H](C)SC ZINC000797221672 630042771 /nfs/dbraw/zinc/04/27/71/630042771.db2.gz LARAAKNDFZACHF-IUCAKERBSA-N 0 0 299.348 2.900 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1ccccc1C=O ZINC000797407177 630062448 /nfs/dbraw/zinc/06/24/48/630062448.db2.gz OMOZDPDZVHKNIC-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CON=C(N)CC(C)C ZINC000797422319 630064762 /nfs/dbraw/zinc/06/47/62/630064762.db2.gz VOCFLXATSYYMKV-UHFFFAOYSA-N 0 0 281.312 2.648 20 5 CFBDRN C/C=C(/C)C(=O)OCc1ccc([N+](=O)[O-])cc1OC ZINC000797474801 630071003 /nfs/dbraw/zinc/07/10/03/630071003.db2.gz QOKAXZFRTDRQDV-WTKPLQERSA-N 0 0 265.265 2.613 20 5 CFBDRN CCCCC(=O)OCc1ccc([N+](=O)[O-])cc1OC ZINC000797471325 630071205 /nfs/dbraw/zinc/07/12/05/630071205.db2.gz GVQPDXFHINHFIA-UHFFFAOYSA-N 0 0 267.281 2.837 20 5 CFBDRN CSCC[C@H](C)OC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000835814955 630081186 /nfs/dbraw/zinc/08/11/86/630081186.db2.gz BBZVHJJBUSQRJG-JTQLQIEISA-N 0 0 283.349 2.822 20 5 CFBDRN CC1=CCCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000797795113 630105194 /nfs/dbraw/zinc/10/51/94/630105194.db2.gz KEUZETNQHCGFAZ-UHFFFAOYSA-N 0 0 277.349 2.944 20 5 CFBDRN Cc1cc([C@H](C)OC(=O)Cc2cccc([N+](=O)[O-])c2)no1 ZINC000798240033 630171833 /nfs/dbraw/zinc/17/18/33/630171833.db2.gz HFWGRRHBGUQIJQ-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN CC[C@](C)(COC(=O)c1ccc([N+](=O)[O-])cc1)OC ZINC000835957460 630177383 /nfs/dbraw/zinc/17/73/83/630177383.db2.gz OJTYAPAQOSKVCR-CYBMUJFWSA-N 0 0 267.281 2.567 20 5 CFBDRN CC[C@](C)(COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)OC ZINC000835957178 630177503 /nfs/dbraw/zinc/17/75/03/630177503.db2.gz MHTOURVANUAEIC-YYRKOSNBSA-N 0 0 293.319 2.966 20 5 CFBDRN CC[C@@](C)(COC(=O)c1ccc([N+](=O)[O-])cc1C)OC ZINC000835960866 630182210 /nfs/dbraw/zinc/18/22/10/630182210.db2.gz JBLJRUIAOJMVID-AWEZNQCLSA-N 0 0 281.308 2.875 20 5 CFBDRN CC[C@](C)(COC(=O)c1cc(OC)ccc1[N+](=O)[O-])OC ZINC000835961231 630184790 /nfs/dbraw/zinc/18/47/90/630184790.db2.gz MQCJMXRDTBTUHH-CQSZACIVSA-N 0 0 297.307 2.575 20 5 CFBDRN CC[C@](C)(COC(=O)c1cccc(OC)c1[N+](=O)[O-])OC ZINC000835963908 630187412 /nfs/dbraw/zinc/18/74/12/630187412.db2.gz UWIZYQKAXKGTOG-CQSZACIVSA-N 0 0 297.307 2.575 20 5 CFBDRN CCc1[nH]nc(C(=O)OC[C@@H](C)CC(C)C)c1[N+](=O)[O-] ZINC000798653926 630223871 /nfs/dbraw/zinc/22/38/71/630223871.db2.gz PUPWQUIWBUJBDB-VIFPVBQESA-N 0 0 283.328 2.719 20 5 CFBDRN Cc1c(C(=O)OCc2ccc([N+](=O)[O-])cc2F)ccn1C ZINC000798726372 630236194 /nfs/dbraw/zinc/23/61/94/630236194.db2.gz KGDJWGMGWGDCJD-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN CC(C)(CCC(=O)O[C@H](C1CC1)C1CCC1)[N+](=O)[O-] ZINC000836046430 630236585 /nfs/dbraw/zinc/23/65/85/630236585.db2.gz FTAAIRDPVFXDRZ-ZDUSSCGKSA-N 0 0 269.341 2.944 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])cn1)OCCC1CCCCCC1 ZINC000798875458 630251432 /nfs/dbraw/zinc/25/14/32/630251432.db2.gz MIVLSTKFRSGCIC-UHFFFAOYSA-N 0 0 295.339 2.695 20 5 CFBDRN CCOCC1(C(=O)OCc2csc([N+](=O)[O-])c2)CC1 ZINC000799555946 630320445 /nfs/dbraw/zinc/32/04/45/630320445.db2.gz RDOKZCQSFIAWEH-UHFFFAOYSA-N 0 0 285.321 2.516 20 5 CFBDRN CCOCC1(C(=O)OCc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000799558796 630321145 /nfs/dbraw/zinc/32/11/45/630321145.db2.gz NIAUZICBDNUUOV-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN Cc1ccc(OC[C@@H](O)c2ccc(F)cn2)cc1[N+](=O)[O-] ZINC000799616579 630324603 /nfs/dbraw/zinc/32/46/03/630324603.db2.gz JSXNHBWJXQOHFW-CQSZACIVSA-N 0 0 292.266 2.550 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCC2(SC)CC2)c1 ZINC000799681866 630333747 /nfs/dbraw/zinc/33/37/47/630333747.db2.gz BEKKUVSRJMVRLN-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN O=C(COc1ccsc1)Oc1cccc([N+](=O)[O-])c1 ZINC000799854190 630347954 /nfs/dbraw/zinc/34/79/54/630347954.db2.gz HZSYEJJCWYVBPN-UHFFFAOYSA-N 0 0 279.273 2.641 20 5 CFBDRN CO[C@H]1Cc2ccc(Nc3ncc([N+](=O)[O-])s3)cc2C1 ZINC000799952143 630355833 /nfs/dbraw/zinc/35/58/33/630355833.db2.gz NRABVGSBPONFST-NSHDSACASA-N 0 0 291.332 2.909 20 5 CFBDRN Cc1ccc(N2CC(C3CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000799972357 630357443 /nfs/dbraw/zinc/35/74/43/630357443.db2.gz KUKAKPRJTDJQHS-UHFFFAOYSA-N 0 0 276.336 2.766 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000799975340 630357737 /nfs/dbraw/zinc/35/77/37/630357737.db2.gz NKSTXGJJAXORGZ-OPQQBVKSSA-N 0 0 279.292 2.622 20 5 CFBDRN C[C@@H]1C[C@@H](C)[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])O1 ZINC000800387197 630376843 /nfs/dbraw/zinc/37/68/43/630376843.db2.gz PECQBGNEPYQXRL-RWYTXXIDSA-N 0 0 299.710 2.967 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC(c3cccnc3)CC2)c1 ZINC000800861529 630412248 /nfs/dbraw/zinc/41/22/48/630412248.db2.gz QVUJMLDGXBBAJV-UHFFFAOYSA-N 0 0 298.346 2.956 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2C[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000800924795 630416955 /nfs/dbraw/zinc/41/69/55/630416955.db2.gz FYKQLTDJIYAVSQ-IUCAKERBSA-N 0 0 271.219 2.710 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@H]1C[C@@H]1C(F)F ZINC000801480446 630449908 /nfs/dbraw/zinc/44/99/08/630449908.db2.gz LFUPLNHBHCRFFE-IUCAKERBSA-N 0 0 289.209 2.678 20 5 CFBDRN CCc1ccc(OC(=O)[C@H]2C[C@@H]2C(F)F)c([N+](=O)[O-])c1 ZINC000802088121 630501581 /nfs/dbraw/zinc/50/15/81/630501581.db2.gz UEPNDAZWSVSLLZ-IUCAKERBSA-N 0 0 285.246 2.964 20 5 CFBDRN Cn1cccc1C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803214649 630590443 /nfs/dbraw/zinc/59/04/43/630590443.db2.gz ZITIWHUWMNFGOS-UHFFFAOYSA-N 0 0 296.229 2.569 20 5 CFBDRN CC(C)=CC(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803222751 630591502 /nfs/dbraw/zinc/59/15/02/630591502.db2.gz GHKJITYZZADXAS-UHFFFAOYSA-N 0 0 271.219 2.882 20 5 CFBDRN COc1ccc(C(=O)OCCCCF)cc1[N+](=O)[O-] ZINC000803231753 630592771 /nfs/dbraw/zinc/59/27/71/630592771.db2.gz DLYHMGNNHPHGFD-UHFFFAOYSA-N 0 0 271.244 2.510 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCC1CCC(=O)CC1 ZINC000803246424 630594681 /nfs/dbraw/zinc/59/46/81/630594681.db2.gz RHNCMIYHLLDLOG-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN CC(C)SCCOC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000803368465 630608285 /nfs/dbraw/zinc/60/82/85/630608285.db2.gz MREJRHBYGVKINV-UHFFFAOYSA-N 0 0 283.349 2.822 20 5 CFBDRN CS/C=C/C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803398141 630612555 /nfs/dbraw/zinc/61/25/55/630612555.db2.gz MVTQEFZYSACRGF-NSCUHMNNSA-N 0 0 289.259 2.793 20 5 CFBDRN COCCCCOC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803462206 630620277 /nfs/dbraw/zinc/62/02/77/630620277.db2.gz HWLZEIXUOSOCFU-UHFFFAOYSA-N 0 0 285.271 2.626 20 5 CFBDRN Cc1cc(COC(=O)c2cc([N+](=O)[O-])cc(C)c2F)no1 ZINC000803462043 630620372 /nfs/dbraw/zinc/62/03/72/630620372.db2.gz KBUYYTXRAFALBY-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN CC1(C)CC(C(=O)OCCOc2ccccc2[N+](=O)[O-])C1 ZINC000803755940 630640027 /nfs/dbraw/zinc/64/00/27/630640027.db2.gz RLCMZNDXBAJYAL-UHFFFAOYSA-N 0 0 293.319 2.953 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000803892318 630652678 /nfs/dbraw/zinc/65/26/78/630652678.db2.gz VAJWCSASOAHGCW-RNCFNFMXSA-N 0 0 297.282 2.592 20 5 CFBDRN CCc1nc(C(=O)OCc2csc([N+](=O)[O-])c2)c(C)o1 ZINC000803914955 630654107 /nfs/dbraw/zinc/65/41/07/630654107.db2.gz SRQFEDDMXBFZHA-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN C[C@@H]1CCCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804315186 630671120 /nfs/dbraw/zinc/67/11/20/630671120.db2.gz DTXOINDGTJBNPX-SECBINFHSA-N 0 0 265.269 2.739 20 5 CFBDRN CSc1cnc(NCc2cccc([N+](=O)[O-])c2)nc1 ZINC000804386443 630678447 /nfs/dbraw/zinc/67/84/47/630678447.db2.gz BRYPMVXUWQHRHS-UHFFFAOYSA-N 0 0 276.321 2.719 20 5 CFBDRN CC[C@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000804446230 630681849 /nfs/dbraw/zinc/68/18/49/630681849.db2.gz BGAIIJZGOQRMLA-ZJUUUORDSA-N 0 0 295.295 2.507 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@](C)(O)C(C)C ZINC000804448142 630682355 /nfs/dbraw/zinc/68/23/55/630682355.db2.gz LUGJTQGXDPDNAZ-AWEZNQCLSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(C)OCCCN(C)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804495614 630687872 /nfs/dbraw/zinc/68/78/72/630687872.db2.gz RJMFBJCXNJCYOY-UHFFFAOYSA-N 0 0 297.311 2.754 20 5 CFBDRN Nc1ccc(NCC[C@@H](O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000804521008 630689366 /nfs/dbraw/zinc/68/93/66/630689366.db2.gz KACYBOZVSOSXPO-OAHLLOKOSA-N 0 0 287.319 2.713 20 5 CFBDRN CCOC(=O)[C@@H](C)CNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000804536766 630691003 /nfs/dbraw/zinc/69/10/03/630691003.db2.gz BFWWRQNMXFKZRG-QMMMGPOBSA-N 0 0 286.715 2.859 20 5 CFBDRN CO[C@H]1C[C@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1(C)C ZINC000804539316 630691547 /nfs/dbraw/zinc/69/15/47/630691547.db2.gz ZLXVBKZFRVDYMQ-QWRGUYRKSA-N 0 0 295.295 2.728 20 5 CFBDRN COc1cccc(C(=O)N(CC(C)(C)C)OC)c1[N+](=O)[O-] ZINC000804582567 630759202 /nfs/dbraw/zinc/75/92/02/630759202.db2.gz ZQTOEWOHZFSQID-UHFFFAOYSA-N 0 0 296.323 2.653 20 5 CFBDRN CON(CC(C)(C)C)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000804586436 630760861 /nfs/dbraw/zinc/76/08/61/630760861.db2.gz DEYGKWHUHQMOLK-UHFFFAOYSA-N 0 0 294.351 2.964 20 5 CFBDRN CON(CC(C)(C)C)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000804585817 630761169 /nfs/dbraw/zinc/76/11/69/630761169.db2.gz JPUAKVLAPDHGDQ-UHFFFAOYSA-N 0 0 280.324 2.953 20 5 CFBDRN C[C@H]1C[C@@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCO1 ZINC000804589199 630762104 /nfs/dbraw/zinc/76/21/04/630762104.db2.gz IAHPRPSSFLSPKA-UWVGGRQHSA-N 0 0 295.295 2.730 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1Nc1cnn(C(F)F)c1 ZINC000804619029 630765852 /nfs/dbraw/zinc/76/58/52/630765852.db2.gz ZQNCZNHZZMWSJA-UHFFFAOYSA-N 0 0 299.193 2.838 20 5 CFBDRN C/C=C/C(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000836839182 630896868 /nfs/dbraw/zinc/89/68/68/630896868.db2.gz YDHWPGYKPPCATJ-OHVSUOQFSA-N 0 0 274.320 2.877 20 5 CFBDRN O=C(NCc1nccs1)c1cc([N+](=O)[O-])ccc1Cl ZINC000041013294 649987935 /nfs/dbraw/zinc/98/79/35/649987935.db2.gz FLURELNWWPUGKE-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)NC12CCC(CC1)CC2 ZINC000836880366 630905889 /nfs/dbraw/zinc/90/58/89/630905889.db2.gz AHTXWYLMCKDSKB-UHFFFAOYSA-N 0 0 289.335 2.630 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC2(CCCC2)C1 ZINC000807989177 630932316 /nfs/dbraw/zinc/93/23/16/630932316.db2.gz YBKUIVFHSGEZBJ-UHFFFAOYSA-N 0 0 278.356 2.890 20 5 CFBDRN CC[C@@H]1OCC[C@H]1C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000808022278 630942924 /nfs/dbraw/zinc/94/29/24/630942924.db2.gz CNMIUYJMFVZCII-YPMHNXCESA-N 0 0 293.319 2.932 20 5 CFBDRN O=C1O[C@H](COc2ccc(Cl)c([N+](=O)[O-])c2)CC12CC2 ZINC000808057363 630946392 /nfs/dbraw/zinc/94/63/92/630946392.db2.gz PMPORESCANIDQM-VIFPVBQESA-N 0 0 297.694 2.723 20 5 CFBDRN CC(C)CC(N)=NOCCc1ccc([N+](=O)[O-])cc1 ZINC000808254867 630972219 /nfs/dbraw/zinc/97/22/19/630972219.db2.gz PEZJQHIVZAJTQM-UHFFFAOYSA-N 0 0 265.313 2.682 20 5 CFBDRN C[C@@H]1CCCC[C@@]1(C)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837255230 630987524 /nfs/dbraw/zinc/98/75/24/630987524.db2.gz UQIQPEDLWMRFBS-BXUZGUMPSA-N 0 0 270.373 2.907 20 5 CFBDRN C[C@@H](OC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000808656454 631024252 /nfs/dbraw/zinc/02/42/52/631024252.db2.gz CSGUUUPGSVZSJJ-SSDOTTSWSA-N 0 0 285.246 2.757 20 5 CFBDRN Cc1c(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)ccn1C ZINC000808809894 631052135 /nfs/dbraw/zinc/05/21/35/631052135.db2.gz VXSURKXKBXQZAI-UHFFFAOYSA-N 0 0 291.282 2.942 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000837569475 631070145 /nfs/dbraw/zinc/07/01/45/631070145.db2.gz ZDFXCYFQCGXUQK-MRVPVSSYSA-N 0 0 283.255 2.567 20 5 CFBDRN CO[C@H]1C[C@@H](OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000809108946 631079766 /nfs/dbraw/zinc/07/97/66/631079766.db2.gz GIFWYNOYTVGMMR-BETUJISGSA-N 0 0 293.319 2.593 20 5 CFBDRN CCO[C@H]1C[C@@H](OC(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000809113400 631083064 /nfs/dbraw/zinc/08/30/64/631083064.db2.gz UUTSIGKFIJZXDF-TXEJJXNPSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1cc(Cl)c(C(=O)O[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000837745730 631122032 /nfs/dbraw/zinc/12/20/32/631122032.db2.gz ICLAWOIOSPUPOE-QMMMGPOBSA-N 0 0 285.683 2.502 20 5 CFBDRN CC(C)(CCC(=O)OCC(=O)CCC1CCCC1)[N+](=O)[O-] ZINC000837925853 631151559 /nfs/dbraw/zinc/15/15/59/631151559.db2.gz XRYBKPPKAXDNDI-UHFFFAOYSA-N 0 0 299.367 2.905 20 5 CFBDRN COc1ccc(CCOC(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000837924809 631151726 /nfs/dbraw/zinc/15/17/26/631151726.db2.gz VNDKRWJYZJAJKW-UHFFFAOYSA-N 0 0 295.335 2.616 20 5 CFBDRN COCC(C)(C)CC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000840595534 631168076 /nfs/dbraw/zinc/16/80/76/631168076.db2.gz MBTTYPRFDIKUFF-UHFFFAOYSA-N 0 0 299.298 2.840 20 5 CFBDRN C/C=C/COC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840657343 631173622 /nfs/dbraw/zinc/17/36/22/631173622.db2.gz QGZXTLOBKGXQPX-OUDYMMNNSA-N 0 0 261.277 2.818 20 5 CFBDRN O=C(OCC(F)(F)F)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840656752 631173623 /nfs/dbraw/zinc/17/36/23/631173623.db2.gz MGRFVIDBOLWXOI-VHSXEESVSA-N 0 0 289.209 2.804 20 5 CFBDRN CCn1ccc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000840954929 631282010 /nfs/dbraw/zinc/28/20/10/631282010.db2.gz MBTZDNFHNUVPSK-UHFFFAOYSA-N 0 0 294.742 2.754 20 5 CFBDRN COCC(C)(C)CC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000841015405 631306323 /nfs/dbraw/zinc/30/63/23/631306323.db2.gz GCQRNXOQESWZDG-UHFFFAOYSA-N 0 0 281.308 2.871 20 5 CFBDRN Cc1c(NC(=O)NOC/C=C\Cl)cccc1[N+](=O)[O-] ZINC000810144913 631333000 /nfs/dbraw/zinc/33/30/00/631333000.db2.gz LFZSGNZWCYIPMC-UTCJRWHESA-N 0 0 285.687 2.709 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](CC(C)C)OC)cc1[N+](=O)[O-] ZINC000841198432 631356937 /nfs/dbraw/zinc/35/69/37/631356937.db2.gz SXNOWWOUXMWODA-CYBMUJFWSA-N 0 0 297.307 2.570 20 5 CFBDRN O=C(Nc1nc2c(cccc2Cl)s1)[C@@H]1CC1[N+](=O)[O-] ZINC000841200651 631357021 /nfs/dbraw/zinc/35/70/21/631357021.db2.gz QBCNBLMXJQNZIU-IYSWYEEDSA-N 0 0 297.723 2.553 20 5 CFBDRN CCO[C@@H](CC)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000841220369 631361583 /nfs/dbraw/zinc/36/15/83/631361583.db2.gz MBXFGEDQUBPGAN-NSHDSACASA-N 0 0 284.287 2.796 20 5 CFBDRN CCO[C@H](CC)C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000841220268 631361922 /nfs/dbraw/zinc/36/19/22/631361922.db2.gz MBXFGEDQUBPGAN-LLVKDONJSA-N 0 0 284.287 2.796 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000841336821 631388493 /nfs/dbraw/zinc/38/84/93/631388493.db2.gz RCQXFZXWQCZUOR-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1nc(COC(=O)c2cc(C)ccc2[N+](=O)[O-])c(C)o1 ZINC000841340676 631390020 /nfs/dbraw/zinc/39/00/20/631390020.db2.gz IUPRHVYUTMCHBY-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@H]1CCCSC1 ZINC000810558887 631390866 /nfs/dbraw/zinc/39/08/66/631390866.db2.gz XYCIFAUECZGAHZ-NSHDSACASA-N 0 0 281.333 2.781 20 5 CFBDRN Cc1ccc(OC(=O)C2(CCF)CC2)cc1[N+](=O)[O-] ZINC000810641899 631416193 /nfs/dbraw/zinc/41/61/93/631416193.db2.gz KBBJRSYBUNDTAM-UHFFFAOYSA-N 0 0 267.256 2.948 20 5 CFBDRN CC1(C)CC[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2F)C1=O ZINC000840206848 631433723 /nfs/dbraw/zinc/43/37/23/631433723.db2.gz AJNFVXYLABQMSP-LLVKDONJSA-N 0 0 295.266 2.648 20 5 CFBDRN CO[C@@H](COc1ccc(C=O)cc1[N+](=O)[O-])CC(C)C ZINC000841666284 631448022 /nfs/dbraw/zinc/44/80/22/631448022.db2.gz IDRUIKMHTZYDIX-GFCCVEGCSA-N 0 0 281.308 2.847 20 5 CFBDRN CO[C@H](COc1ccc([N+](=O)[O-])cc1C=O)CC(C)C ZINC000841665924 631448276 /nfs/dbraw/zinc/44/82/76/631448276.db2.gz BDPMVOKBTQHNFA-ZDUSSCGKSA-N 0 0 281.308 2.847 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@@H]1CCCC[C@H]1F ZINC000810893067 631471652 /nfs/dbraw/zinc/47/16/52/631471652.db2.gz LYARRFXDKMGFOC-CHWSQXEVSA-N 0 0 281.283 2.961 20 5 CFBDRN Nc1ccc(C(=O)O[C@@H]2CCCC[C@H]2F)cc1[N+](=O)[O-] ZINC000810894580 631471982 /nfs/dbraw/zinc/47/19/82/631471982.db2.gz DISYGSLHYJEGPB-BXKDBHETSA-N 0 0 282.271 2.615 20 5 CFBDRN CC[C@H](CNC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1)OC ZINC000810970598 631488793 /nfs/dbraw/zinc/48/87/93/631488793.db2.gz LSKJPGCNLOELFJ-CFRMROPGSA-N 0 0 292.335 2.539 20 5 CFBDRN CCC(C)(C)C(=O)COC(=O)c1ccc([N+](=O)[O-])s1 ZINC000811030310 631501472 /nfs/dbraw/zinc/50/14/72/631501472.db2.gz LLLPNHQLRWDNJQ-UHFFFAOYSA-N 0 0 285.321 2.818 20 5 CFBDRN CC(C)(C)CC(=O)NOCc1ccccc1[N+](=O)[O-] ZINC000842060489 631519225 /nfs/dbraw/zinc/51/92/25/631519225.db2.gz LRRYLXUPMATAIC-UHFFFAOYSA-N 0 0 266.297 2.579 20 5 CFBDRN CC(C)(C)CC(=O)NOCc1cccc([N+](=O)[O-])c1 ZINC000842061494 631519383 /nfs/dbraw/zinc/51/93/83/631519383.db2.gz YFUJQTHMNHBIDJ-UHFFFAOYSA-N 0 0 266.297 2.579 20 5 CFBDRN CC[C@@H](COC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])OC ZINC000842083365 631530616 /nfs/dbraw/zinc/53/06/16/631530616.db2.gz GIKKGNPJMHIMNP-JTQLQIEISA-N 0 0 285.271 2.624 20 5 CFBDRN CC[C@H](COC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1)OC ZINC000842089185 631534799 /nfs/dbraw/zinc/53/47/99/631534799.db2.gz BNMYVURYGRRZGB-CFRMROPGSA-N 0 0 293.319 2.966 20 5 CFBDRN CC(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000811217030 631537278 /nfs/dbraw/zinc/53/72/78/631537278.db2.gz SYUZMFQLYRXNDL-UHFFFAOYSA-N 0 0 278.289 2.781 20 5 CFBDRN C[C@@H](ONC(=O)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000842113009 631545926 /nfs/dbraw/zinc/54/59/26/631545926.db2.gz CXGYYOHOEYEKKS-SECBINFHSA-N 0 0 264.281 2.504 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)C1(CCF)CC1 ZINC000811340431 631554389 /nfs/dbraw/zinc/55/43/89/631554389.db2.gz UGUKUOKPLCOTPF-UHFFFAOYSA-N 0 0 273.285 2.839 20 5 CFBDRN O=C(O[C@@H]1CCSC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842299114 631582463 /nfs/dbraw/zinc/58/24/63/631582463.db2.gz IKAVKTODOYLHSZ-FRRDWIJNSA-N 0 0 293.344 2.747 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCCCCC2)c(F)c1 ZINC000840234750 631583878 /nfs/dbraw/zinc/58/38/78/631583878.db2.gz VDWQIHUEDCZXEK-UHFFFAOYSA-N 0 0 253.277 2.899 20 5 CFBDRN O=C(O[C@H]1C=CCC1)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000842331298 631595359 /nfs/dbraw/zinc/59/53/59/631595359.db2.gz RXDNJKHOFBMVQO-MELADBBJSA-N 0 0 273.288 2.960 20 5 CFBDRN Cc1cccc2c1[C@@H](C)C[C@@H]2OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842331839 631595436 /nfs/dbraw/zinc/59/54/36/631595436.db2.gz NUYLVMULOREZRC-SQNXGDPESA-N 0 0 275.304 2.752 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1cccc(F)c1[N+](=O)[O-] ZINC000811815644 631627613 /nfs/dbraw/zinc/62/76/13/631627613.db2.gz WVXVEXZWZLLZJT-VHSXEESVSA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@@H](Cc1ccco1)Nc1ncc([N+](=O)[O-])cc1F ZINC000840242537 631644131 /nfs/dbraw/zinc/64/41/31/631644131.db2.gz WIIHEHGHOBSSKH-QMMMGPOBSA-N 0 0 265.244 2.765 20 5 CFBDRN CCC[C@@](C)(O)c1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000842493521 631645057 /nfs/dbraw/zinc/64/50/57/631645057.db2.gz MBBCVHBIWGBYEL-CQSZACIVSA-N 0 0 291.307 2.961 20 5 CFBDRN Cc1cc(-c2noc([C@@H]3CC3[N+](=O)[O-])n2)nc2ccccc12 ZINC000842496885 631645879 /nfs/dbraw/zinc/64/58/79/631645879.db2.gz HZLZNCJQOKUDNW-ZWNOBZJWSA-N 0 0 296.286 2.726 20 5 CFBDRN CCC[C@@](C)(O)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000842498423 631647090 /nfs/dbraw/zinc/64/70/90/631647090.db2.gz ZCOZJWADMNDDEY-LLVKDONJSA-N 0 0 283.309 2.714 20 5 CFBDRN CC(C)(Cc1ccccc1)c1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000842501091 631647676 /nfs/dbraw/zinc/64/76/76/631647676.db2.gz MPXJODBLJNHVRU-VXGBXAGGSA-N 0 0 287.319 2.723 20 5 CFBDRN O=C(Oc1ccc(Cl)c(Cl)c1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840245398 631652975 /nfs/dbraw/zinc/65/29/75/631652975.db2.gz IOUOHAMSNVJNAD-HZGVNTEJSA-N 0 0 276.075 2.564 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC[C@@H]1CC1(Cl)Cl ZINC000842573592 631667124 /nfs/dbraw/zinc/66/71/24/631667124.db2.gz UMJYHWVEFRZHQA-MRVPVSSYSA-N 0 0 291.134 2.649 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCc3sc(Cl)cc3C2)c1 ZINC000842616677 631682658 /nfs/dbraw/zinc/68/26/58/631682658.db2.gz DUTBEWNZBGJVAM-UHFFFAOYSA-N 0 0 298.755 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCc2ncc(C)s2)c1 ZINC000842654481 631694803 /nfs/dbraw/zinc/69/48/03/631694803.db2.gz YVGICYGYCYXCFB-UHFFFAOYSA-N 0 0 280.305 2.947 20 5 CFBDRN O=C(NOC/C=C/Cl)c1ccc([N+](=O)[O-])cc1Cl ZINC000812397862 631701170 /nfs/dbraw/zinc/70/11/70/631701170.db2.gz SLDRBSHSQHJEKW-DAFODLJHSA-N 0 0 291.090 2.662 20 5 CFBDRN CCc1ccc(OC(=O)c2ccn(CC)n2)c([N+](=O)[O-])c1 ZINC000842719139 631715839 /nfs/dbraw/zinc/71/58/39/631715839.db2.gz VAKSNWXQVUWWEA-UHFFFAOYSA-N 0 0 289.291 2.593 20 5 CFBDRN Cc1cc(NCCOc2cccnc2)c(F)cc1[N+](=O)[O-] ZINC000812458726 631721733 /nfs/dbraw/zinc/72/17/33/631721733.db2.gz JFSPXXMMDYSQHX-UHFFFAOYSA-N 0 0 291.282 2.928 20 5 CFBDRN CCCOC(=O)CCNc1cc(C)c([N+](=O)[O-])cc1F ZINC000812458481 631722106 /nfs/dbraw/zinc/72/21/06/631722106.db2.gz IMYUZSAYBYKBME-UHFFFAOYSA-N 0 0 284.287 2.798 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@](C)(OC)C2CC2)c1 ZINC000812478338 631723272 /nfs/dbraw/zinc/72/32/72/631723272.db2.gz JBTXVVXLZGATKH-CQSZACIVSA-N 0 0 298.314 2.970 20 5 CFBDRN CC(C)OCCONc1ccc2ncccc2c1[N+](=O)[O-] ZINC000812489026 631726179 /nfs/dbraw/zinc/72/61/79/631726179.db2.gz QNCZGSOIIONJEE-UHFFFAOYSA-N 0 0 291.307 2.912 20 5 CFBDRN CC(C)=CCC[C@@H](C)CC(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000842801779 631744882 /nfs/dbraw/zinc/74/48/82/631744882.db2.gz LQWTVIFFWFSILW-VXGBXAGGSA-N 0 0 257.330 2.967 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000812633890 631749914 /nfs/dbraw/zinc/74/99/14/631749914.db2.gz UPFYGLGILIBARQ-VHSXEESVSA-N 0 0 299.298 2.696 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000812638136 631750635 /nfs/dbraw/zinc/75/06/35/631750635.db2.gz OOOYKYXPCKYLKD-VXGBXAGGSA-N 0 0 293.319 2.953 20 5 CFBDRN CO[C@](C)(C(=O)OCc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000812657577 631753281 /nfs/dbraw/zinc/75/32/81/631753281.db2.gz YYYSSEFUAPHMDW-AWEZNQCLSA-N 0 0 297.282 2.592 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812812168 631788569 /nfs/dbraw/zinc/78/85/69/631788569.db2.gz ZJRNXRUJGZGVCA-UWVGGRQHSA-N 0 0 267.329 2.817 20 5 CFBDRN CC(C)(CCc1noc([C@H]2CC=CCC2)n1)[N+](=O)[O-] ZINC000812811859 631788576 /nfs/dbraw/zinc/78/85/76/631788576.db2.gz MMANBOXMLACJHW-JTQLQIEISA-N 0 0 265.313 2.881 20 5 CFBDRN CC(C)(CCc1noc([C@H]2C[C@H]2C2CC2)n1)[N+](=O)[O-] ZINC000812811799 631788587 /nfs/dbraw/zinc/78/85/87/631788587.db2.gz KAVSOYIXKXBYNU-UWVGGRQHSA-N 0 0 265.313 2.571 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812815238 631789472 /nfs/dbraw/zinc/78/94/72/631789472.db2.gz JHONZGNHWFANQH-IQJOONFLSA-N 0 0 283.328 2.544 20 5 CFBDRN C[C@@H](OCC1CC1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812816270 631790334 /nfs/dbraw/zinc/79/03/34/631790334.db2.gz OLBRXYIQALKZNP-SECBINFHSA-N 0 0 283.328 2.545 20 5 CFBDRN O=C(NCC(F)F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000813033048 631819823 /nfs/dbraw/zinc/81/98/23/631819823.db2.gz BQBKJFGGSUQORB-UHFFFAOYSA-N 0 0 299.060 2.897 20 5 CFBDRN Cc1cc(C)c(/C=C/C(=O)OC[C@H](C)[N+](=O)[O-])cc1C ZINC000813102442 631838286 /nfs/dbraw/zinc/83/82/86/631838286.db2.gz FDVULFAFKUEMIL-GFUIURDCSA-N 0 0 277.320 2.833 20 5 CFBDRN CC(C)[C@H](Cc1ccccc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813103063 631838455 /nfs/dbraw/zinc/83/84/55/631838455.db2.gz MQHFCEHMHQYIGH-OCCSQVGLSA-N 0 0 279.336 2.710 20 5 CFBDRN C[C@@H](COC(=O)c1csc2c1CC[C@H](C)C2)[N+](=O)[O-] ZINC000813102776 631838549 /nfs/dbraw/zinc/83/85/49/631838549.db2.gz VHEHDUZHYJVFLL-IUCAKERBSA-N 0 0 283.349 2.695 20 5 CFBDRN C[C@@H](Cc1ccccc1Cl)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813102394 631838710 /nfs/dbraw/zinc/83/87/10/631838710.db2.gz QWQFHXRGVVKWIT-UWVGGRQHSA-N 0 0 285.727 2.727 20 5 CFBDRN Cc1cc(C)c(/C=C/C(=O)OC[C@@H](C)[N+](=O)[O-])cc1C ZINC000813102444 631838753 /nfs/dbraw/zinc/83/87/53/631838753.db2.gz FDVULFAFKUEMIL-URWSZGRFSA-N 0 0 277.320 2.833 20 5 CFBDRN CCCOc1ccc(CCC(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813103677 631839519 /nfs/dbraw/zinc/83/95/19/631839519.db2.gz DVZQGUHXFAUQGR-LBPRGKRZSA-N 0 0 295.335 2.616 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCC[C@H](C(F)(F)F)C1)[N+](=O)[O-] ZINC000813104444 631840003 /nfs/dbraw/zinc/84/00/03/631840003.db2.gz CUYUTTRUEYEELY-HLTSFMKQSA-N 0 0 283.246 2.564 20 5 CFBDRN CCc1ccc2occ(C(=O)OC[C@H](C)[N+](=O)[O-])c2c1 ZINC000813104835 631840087 /nfs/dbraw/zinc/84/00/87/631840087.db2.gz SEVKPGKYAUXFAN-VIFPVBQESA-N 0 0 277.276 2.817 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H](C)[N+](=O)[O-])nn1C1CCCCC1 ZINC000813104408 631840285 /nfs/dbraw/zinc/84/02/85/631840285.db2.gz CDJBHQXVWXJBPK-LLVKDONJSA-N 0 0 295.339 2.519 20 5 CFBDRN C[C@H](COC(=O)c1ccc(Oc2ccccc2)o1)[N+](=O)[O-] ZINC000813104701 631840304 /nfs/dbraw/zinc/84/03/04/631840304.db2.gz FXUBMBFZJVKOGI-SNVBAGLBSA-N 0 0 291.259 2.894 20 5 CFBDRN C[C@H](COC(=O)C1(c2ccc(F)cc2)CCCC1)[N+](=O)[O-] ZINC000813105586 631841089 /nfs/dbraw/zinc/84/10/89/631841089.db2.gz PTIOKRQXCMJBRE-LLVKDONJSA-N 0 0 295.310 2.846 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(C(F)(F)F)cc1)[N+](=O)[O-] ZINC000813107104 631841335 /nfs/dbraw/zinc/84/13/35/631841335.db2.gz JSYAIEXMIRWZCI-ZETCQYMHSA-N 0 0 277.198 2.527 20 5 CFBDRN Cc1nc(C(C)(C)C)sc1C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813105389 631841529 /nfs/dbraw/zinc/84/15/29/631841529.db2.gz YNNYAORIDQOGJD-ZETCQYMHSA-N 0 0 286.353 2.571 20 5 CFBDRN Cc1cc(CCCC(=O)OC[C@@H](C)[N+](=O)[O-])c(C)s1 ZINC000813106196 631841758 /nfs/dbraw/zinc/84/17/58/631841758.db2.gz AHCZCSXMPIYFKR-SECBINFHSA-N 0 0 285.365 2.896 20 5 CFBDRN CC[C@H](CC(=O)OC[C@H](C)[N+](=O)[O-])c1ccccc1 ZINC000813106265 631841816 /nfs/dbraw/zinc/84/18/16/631841816.db2.gz BROXMODULABGMQ-NWDGAFQWSA-N 0 0 265.309 2.779 20 5 CFBDRN C[C@@H](COC(=O)c1ccc(C(F)(F)F)c(F)c1)[N+](=O)[O-] ZINC000813109956 631843320 /nfs/dbraw/zinc/84/33/20/631843320.db2.gz XVHLBYKPTXPLDC-LURJTMIESA-N 0 0 295.188 2.667 20 5 CFBDRN C[C@@H](COC(=O)Cc1ccc(Cl)c(Cl)c1)[N+](=O)[O-] ZINC000813109723 631843338 /nfs/dbraw/zinc/84/33/38/631843338.db2.gz OIDLENSABQHINK-ZETCQYMHSA-N 0 0 292.118 2.744 20 5 CFBDRN C[C@H](COC(=O)CCSc1ccccc1F)[N+](=O)[O-] ZINC000813110479 631843753 /nfs/dbraw/zinc/84/37/53/631843753.db2.gz BQCYWKNEPRVAJK-SECBINFHSA-N 0 0 287.312 2.516 20 5 CFBDRN CC(C)CCOc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813110527 631844390 /nfs/dbraw/zinc/84/43/90/631844390.db2.gz CNHNEAHRPVZFLU-LBPRGKRZSA-N 0 0 295.335 2.934 20 5 CFBDRN CC(C)[C@H]1CC[C@H](C(=O)OC[C@@H](C)[N+](=O)[O-])CC1 ZINC000813112540 631844501 /nfs/dbraw/zinc/84/45/01/631844501.db2.gz IAOHNSHZYGOBRO-IJLUTSLNSA-N 0 0 257.330 2.657 20 5 CFBDRN C/C(=C\c1ccccc1Cl)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813112643 631844512 /nfs/dbraw/zinc/84/45/12/631844512.db2.gz SAGIFKAOAQTJPL-PCYYEKQGSA-N 0 0 283.711 2.952 20 5 CFBDRN CC[C@@H](CC(=O)OC[C@@H](C)[N+](=O)[O-])c1ccc(OC)cc1 ZINC000813113374 631844632 /nfs/dbraw/zinc/84/46/32/631844632.db2.gz ZVHQHVXFDRCTIL-NEPJUHHUSA-N 0 0 295.335 2.787 20 5 CFBDRN C[C@H](CC(=O)OC[C@@H](C)[N+](=O)[O-])C1CCCCC1 ZINC000813110811 631845290 /nfs/dbraw/zinc/84/52/90/631845290.db2.gz JZEYZKVRRDPJTB-GHMZBOCLSA-N 0 0 257.330 2.801 20 5 CFBDRN Cc1ccccc1[C@H](C)CC(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813115417 631846442 /nfs/dbraw/zinc/84/64/42/631846442.db2.gz RGJVVXXXPPVDIL-NEPJUHHUSA-N 0 0 265.309 2.697 20 5 CFBDRN C[C@@H](COC(=O)CCc1ccc2ccccc2c1)[N+](=O)[O-] ZINC000813115386 631846577 /nfs/dbraw/zinc/84/65/77/631846577.db2.gz QPKCLYKHDGLNTI-LBPRGKRZSA-N 0 0 287.315 2.981 20 5 CFBDRN CC[C@H](C)Oc1ccc(C(=O)OC[C@H](C)[N+](=O)[O-])cc1 ZINC000813114191 631846611 /nfs/dbraw/zinc/84/66/11/631846611.db2.gz ZTXKYOGJHNALQZ-QWRGUYRKSA-N 0 0 281.308 2.686 20 5 CFBDRN CO[C@](C)(COC(=O)c1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000813185403 631875904 /nfs/dbraw/zinc/87/59/04/631875904.db2.gz DNXRXSTWLFVJOC-OAHLLOKOSA-N 0 0 293.319 2.875 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000813320746 631899782 /nfs/dbraw/zinc/89/97/82/631899782.db2.gz XVMHNBRNLADPPC-SNVBAGLBSA-N 0 0 298.314 2.539 20 5 CFBDRN C[C@H](NC1CC1)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000843219027 631901080 /nfs/dbraw/zinc/90/10/80/631901080.db2.gz PUPZULTZOVDUPU-LURJTMIESA-N 0 0 280.309 2.519 20 5 CFBDRN Cc1cc(-c2noc([C@@H](N)CC(C)C)n2)cc([N+](=O)[O-])c1 ZINC000843239138 631910280 /nfs/dbraw/zinc/91/02/80/631910280.db2.gz DWVQBCZGCMLTDS-LBPRGKRZSA-N 0 0 290.323 2.999 20 5 CFBDRN C[C@@H]1SCC[C@@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000843290606 631920186 /nfs/dbraw/zinc/92/01/86/631920186.db2.gz NHKZZPZFFOBYOR-KWQFWETISA-N 0 0 297.405 2.775 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1nc(C(F)F)no1 ZINC000843301131 631922178 /nfs/dbraw/zinc/92/21/78/631922178.db2.gz UPQHTZXSRMOSHL-UHFFFAOYSA-N 0 0 299.237 2.569 20 5 CFBDRN Cc1cc(COc2ccc(Cl)c([N+](=O)[O-])c2)ncn1 ZINC000813577506 631933551 /nfs/dbraw/zinc/93/35/51/631933551.db2.gz VKHXRBUZGIKDPA-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN O=C(OC/C=C/Br)c1ccccc1[N+](=O)[O-] ZINC000813928360 631979318 /nfs/dbraw/zinc/97/93/18/631979318.db2.gz HQDVWPDQYIGCFI-ZZXKWVIFSA-N 0 0 286.081 2.660 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)C1(C(F)F)CC1 ZINC000843536356 631980010 /nfs/dbraw/zinc/98/00/10/631980010.db2.gz CLEXGXYFZUHWJO-UHFFFAOYSA-N 0 0 274.198 2.718 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)C1(F)CC1 ZINC000843541612 631981573 /nfs/dbraw/zinc/98/15/73/631981573.db2.gz KWQJRJKNBLIAQH-UHFFFAOYSA-N 0 0 258.636 2.689 20 5 CFBDRN O=C(N1CCc2c1cccc2[N+](=O)[O-])C1(C(F)F)CC1 ZINC000843550774 631983668 /nfs/dbraw/zinc/98/36/68/631983668.db2.gz CCRXQLZRYIQFEI-UHFFFAOYSA-N 0 0 282.246 2.529 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)C1(F)CC1 ZINC000843555562 631985480 /nfs/dbraw/zinc/98/54/80/631985480.db2.gz BYYJSGBOPYMQBB-UHFFFAOYSA-N 0 0 280.299 2.536 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C2(F)CC2)cc1[N+](=O)[O-] ZINC000843561315 631987446 /nfs/dbraw/zinc/98/74/46/631987446.db2.gz VXJVTAQXMRLWAU-VIFPVBQESA-N 0 0 266.272 2.583 20 5 CFBDRN COCC1(CC(=O)Oc2c(C)cccc2[N+](=O)[O-])CC1 ZINC000843573717 631992902 /nfs/dbraw/zinc/99/29/02/631992902.db2.gz VJPYZVQTCJZSAR-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN COc1ccc(NC(=O)C2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000843575591 631993293 /nfs/dbraw/zinc/99/32/93/631993293.db2.gz XZUYWYQJTDBWDM-UHFFFAOYSA-N 0 0 286.234 2.587 20 5 CFBDRN CC[C@H](Sc1ccccc1)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814034437 631997204 /nfs/dbraw/zinc/99/72/04/631997204.db2.gz IBQSGNDGEBCZRG-PWSUYJOCSA-N 0 0 283.349 2.766 20 5 CFBDRN Cc1c2ccccc2oc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814035978 631997336 /nfs/dbraw/zinc/99/73/36/631997336.db2.gz DEWGZHIWSSXLKZ-MRVPVSSYSA-N 0 0 263.249 2.563 20 5 CFBDRN CC(C)c1ccc(CCC(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814037948 631997761 /nfs/dbraw/zinc/99/77/61/631997761.db2.gz JSIIDGGZWXVFHV-LBPRGKRZSA-N 0 0 279.336 2.951 20 5 CFBDRN Cc1cc(C(=O)CCC(=O)O[C@H](C)C[N+](=O)[O-])c(C)s1 ZINC000814038015 631997916 /nfs/dbraw/zinc/99/79/16/631997916.db2.gz KQQBZKZFIGDVMW-MRVPVSSYSA-N 0 0 299.348 2.536 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1cc2sccc2s1 ZINC000814036408 631997930 /nfs/dbraw/zinc/99/79/30/631997930.db2.gz XHAAWEMWXLVGMD-ZCFIWIBFSA-N 0 0 271.319 2.785 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C/c1nc2ccccc2s1 ZINC000814037120 631998126 /nfs/dbraw/zinc/99/81/26/631998126.db2.gz RNEWQTQOCWNSMR-XCODYQFDSA-N 0 0 292.316 2.518 20 5 CFBDRN Cc1ccccc1[C@@H](C)CC(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814039727 631998739 /nfs/dbraw/zinc/99/87/39/631998739.db2.gz WOIIEGHZWUQCFM-NWDGAFQWSA-N 0 0 265.309 2.697 20 5 CFBDRN CC(C)Cc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814038121 631998775 /nfs/dbraw/zinc/99/87/75/631998775.db2.gz MMCFEGVNRPFIOH-LLVKDONJSA-N 0 0 265.309 2.707 20 5 CFBDRN Cc1c(C(=O)O[C@H](C)C[N+](=O)[O-])cnn1C1CCCCC1 ZINC000814039551 631998857 /nfs/dbraw/zinc/99/88/57/631998857.db2.gz RZNJAKKCSPMCPD-SNVBAGLBSA-N 0 0 295.339 2.519 20 5 CFBDRN Cc1[nH]c2ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc2c1C ZINC000814038088 631998894 /nfs/dbraw/zinc/99/88/94/631998894.db2.gz LWPKTQZGPWGBLA-QMMMGPOBSA-N 0 0 276.292 2.607 20 5 CFBDRN CCc1ccccc1[C@@H]1C[C@H]1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814040928 631999596 /nfs/dbraw/zinc/99/95/96/631999596.db2.gz LMIQOFKCTJCTNM-DDTOSNHZSA-N 0 0 277.320 2.561 20 5 CFBDRN C[C@H](CC(=O)O[C@@H](C)C[N+](=O)[O-])c1ccc(F)cc1F ZINC000814042274 631999614 /nfs/dbraw/zinc/99/96/14/631999614.db2.gz VJFZDBVQMPLYRO-BDAKNGLRSA-N 0 0 287.262 2.667 20 5 CFBDRN C[C@H](CCCc1ccccc1)C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814044688 632000079 /nfs/dbraw/zinc/00/00/79/632000079.db2.gz IKRVSXSCPJKJIH-OLZOCXBDSA-N 0 0 279.336 2.854 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C\c1cnc(C(C)(C)C)s1 ZINC000814043928 632000149 /nfs/dbraw/zinc/00/01/49/632000149.db2.gz LYFIDQFJHKDEFK-UDIARPCQSA-N 0 0 298.364 2.662 20 5 CFBDRN CC(C)COc1cccc(C(=O)O[C@@H](C)C[N+](=O)[O-])c1 ZINC000814043062 632000494 /nfs/dbraw/zinc/00/04/94/632000494.db2.gz AQFCYKOSCGXBKZ-NSHDSACASA-N 0 0 281.308 2.543 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)/C=C\c1cnc(C(C)(C)C)s1 ZINC000814043927 632000651 /nfs/dbraw/zinc/00/06/51/632000651.db2.gz LYFIDQFJHKDEFK-SSJHQANKSA-N 0 0 298.364 2.662 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1ccc(OC2CCCC2)cc1 ZINC000814048097 632001079 /nfs/dbraw/zinc/00/10/79/632001079.db2.gz QOTNVGSDDOEBCR-LLVKDONJSA-N 0 0 293.319 2.830 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(c2ccccc2F)CCCC1 ZINC000814046605 632001341 /nfs/dbraw/zinc/00/13/41/632001341.db2.gz HRJGDRPPCSZYLZ-LLVKDONJSA-N 0 0 295.310 2.846 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)/C=C\c1ccc(Cl)s1 ZINC000814046501 632001463 /nfs/dbraw/zinc/00/14/63/632001463.db2.gz LUIHUMXBSLQMCH-GLCRXLCDSA-N 0 0 275.713 2.623 20 5 CFBDRN Cc1oc(C(C)C)cc1C(=O)O[C@@H](C)C[N+](=O)[O-] ZINC000814050457 632001918 /nfs/dbraw/zinc/00/19/18/632001918.db2.gz XMIYRLFHAUXYOK-QMMMGPOBSA-N 0 0 255.270 2.533 20 5 CFBDRN Cc1ccc(NC(=S)NC2CCC2)cc1[N+](=O)[O-] ZINC000814189683 632027866 /nfs/dbraw/zinc/02/78/66/632027866.db2.gz SVCWZXHLSACMHE-UHFFFAOYSA-N 0 0 265.338 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=S)NC1CCC1 ZINC000814189047 632028009 /nfs/dbraw/zinc/02/80/09/632028009.db2.gz DCVZXXXTNNKCOE-UHFFFAOYSA-N 0 0 265.338 2.742 20 5 CFBDRN CC(C)(NC(=S)NC1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000814198420 632033667 /nfs/dbraw/zinc/03/36/67/632033667.db2.gz GKKJSNOYQOJWGT-UHFFFAOYSA-N 0 0 293.392 2.847 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@H](O)c1ccco1 ZINC000814359536 632055144 /nfs/dbraw/zinc/05/51/44/632055144.db2.gz IHQIKJXDRNUWSH-JTQLQIEISA-N 0 0 282.683 2.987 20 5 CFBDRN CO[C@@H]1CCC[C@@H](C(=O)Oc2cccc([N+](=O)[O-])c2)C1 ZINC000814384102 632058682 /nfs/dbraw/zinc/05/86/82/632058682.db2.gz BOTGPUWKABBHBV-ZYHUDNBSSA-N 0 0 279.292 2.705 20 5 CFBDRN O=C(CCc1cncs1)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000814404683 632059033 /nfs/dbraw/zinc/05/90/33/632059033.db2.gz BYKVJMQHRHUNIM-UHFFFAOYSA-N 0 0 295.295 2.762 20 5 CFBDRN O=C(OCCC1CCOCC1)c1cc([N+](=O)[O-])ccc1F ZINC000814442275 632065030 /nfs/dbraw/zinc/06/50/30/632065030.db2.gz YBZPBSZEYFOFJC-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN CCC1CN(c2c(Cl)cc([N+](=O)[O-])cc2C(=O)OC)C1 ZINC000840321548 632065332 /nfs/dbraw/zinc/06/53/32/632065332.db2.gz QOAOLCMPSYBUOO-UHFFFAOYSA-N 0 0 298.726 2.881 20 5 CFBDRN O=C(OCCC1CCOCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000814450837 632066449 /nfs/dbraw/zinc/06/64/49/632066449.db2.gz OFKUQPMVZOAWEX-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@@H]1C(C)C ZINC000843847742 632066933 /nfs/dbraw/zinc/06/69/33/632066933.db2.gz JKRVRFFAAUQWMT-LLVKDONJSA-N 0 0 280.299 2.913 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)[C@@H]1C ZINC000843893663 632073174 /nfs/dbraw/zinc/07/31/74/632073174.db2.gz AJTZHABSDQKKAO-CBAPKCEASA-N 0 0 266.272 2.523 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NC[C@@H]1C[C@H]1C1CC1 ZINC000843902210 632074866 /nfs/dbraw/zinc/07/48/66/632074866.db2.gz UWSFRWBYCBTHSM-QWRGUYRKSA-N 0 0 292.310 2.818 20 5 CFBDRN Cc1nc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])c(C)o1 ZINC000814499974 632080317 /nfs/dbraw/zinc/08/03/17/632080317.db2.gz ANZSEICVRNCBLA-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN O=C1OCC[C@H]1CCOc1cccc(Cl)c1[N+](=O)[O-] ZINC000814775047 632119763 /nfs/dbraw/zinc/11/97/63/632119763.db2.gz CNYPGBNMDNMLNX-MRVPVSSYSA-N 0 0 285.683 2.580 20 5 CFBDRN C[C@@H]1CC=CC[C@H]1COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000844264019 632147984 /nfs/dbraw/zinc/14/79/84/632147984.db2.gz BDTJXCZYKGVMMY-MNOVXSKESA-N 0 0 278.308 2.692 20 5 CFBDRN C[C@@H]1CCC[C@@H](OC(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000844265369 632149063 /nfs/dbraw/zinc/14/90/63/632149063.db2.gz UKJUXTRQEYDBOZ-MWLCHTKSSA-N 0 0 266.297 2.669 20 5 CFBDRN COC/C(C)=C/C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000844326147 632170328 /nfs/dbraw/zinc/17/03/28/632170328.db2.gz DDZHMNVLVXDSAD-PFEDMVJOSA-N 0 0 279.292 2.792 20 5 CFBDRN COC/C(C)=C/C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000844338304 632172969 /nfs/dbraw/zinc/17/29/69/632172969.db2.gz ZYGXUEFWLUMZJW-UQSGXBNBSA-N 0 0 279.292 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@]3(CCCO3)[C@H]2C2CC2)cc1 ZINC000814956850 632179135 /nfs/dbraw/zinc/17/91/35/632179135.db2.gz NDGUOUYNXAEQEE-HUUCEWRRSA-N 0 0 274.320 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC[C@@]3(CC3(F)F)C2)cc1F ZINC000844469356 632223588 /nfs/dbraw/zinc/22/35/88/632223588.db2.gz XHHFVKYUUGURQO-GFCCVEGCSA-N 0 0 286.253 2.965 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000815379865 632261275 /nfs/dbraw/zinc/26/12/75/632261275.db2.gz TXWIMEYQQLFEGN-QWRGUYRKSA-N 0 0 299.348 2.905 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000815379864 632261848 /nfs/dbraw/zinc/26/18/48/632261848.db2.gz TXWIMEYQQLFEGN-MNOVXSKESA-N 0 0 299.348 2.905 20 5 CFBDRN COCCCOC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000815406833 632269153 /nfs/dbraw/zinc/26/91/53/632269153.db2.gz XKJNAFNYPDPRBQ-UHFFFAOYSA-N 0 0 287.699 2.750 20 5 CFBDRN Cc1cnc(CN[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)s1 ZINC000815583958 632291992 /nfs/dbraw/zinc/29/19/92/632291992.db2.gz RVFXFMOPZRMEME-SECBINFHSA-N 0 0 292.364 2.793 20 5 CFBDRN COc1ccc(N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)nc1 ZINC000815607435 632296561 /nfs/dbraw/zinc/29/65/61/632296561.db2.gz YRVHYKRRIOPHRN-VIFPVBQESA-N 0 0 288.307 2.754 20 5 CFBDRN CO[C@@H]1CCC[C@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)C1 ZINC000815697496 632312551 /nfs/dbraw/zinc/31/25/51/632312551.db2.gz CLMZKYSDCDGNFP-WDEREUQCSA-N 0 0 297.355 2.730 20 5 CFBDRN CSCC1(Cc2nc(CCC(C)(C)[N+](=O)[O-])no2)CC1 ZINC000844868978 632326109 /nfs/dbraw/zinc/32/61/09/632326109.db2.gz OKNWHJFWEVLJIU-UHFFFAOYSA-N 0 0 299.396 2.743 20 5 CFBDRN Cc1ccoc1COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000816100886 632372386 /nfs/dbraw/zinc/37/23/86/632372386.db2.gz XPYVIWRDLWRNDD-UHFFFAOYSA-N 0 0 291.259 2.618 20 5 CFBDRN CCC1(COC(=O)c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000816184614 632390065 /nfs/dbraw/zinc/39/00/65/632390065.db2.gz GSGYHSBBYVBBHO-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[C@@H](OC(=O)CCn2cc([N+](=O)[O-])cn2)C1 ZINC000816222956 632396905 /nfs/dbraw/zinc/39/69/05/632396905.db2.gz MNFHYFCUIDOYRR-WZRBSPASSA-N 0 0 295.339 2.549 20 5 CFBDRN COc1c(C(=O)OCc2coc(C)c2)cccc1[N+](=O)[O-] ZINC000816244515 632408095 /nfs/dbraw/zinc/40/80/95/632408095.db2.gz KZOCIHLUMZOGNE-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1sc(C(=O)OCc2cccnc2)cc1[N+](=O)[O-] ZINC000045169244 650073915 /nfs/dbraw/zinc/07/39/15/650073915.db2.gz FKEVTYGWFQTARH-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OC[C@@H]1CC1(F)F ZINC000816414873 632447797 /nfs/dbraw/zinc/44/77/97/632447797.db2.gz GJBFBTLTKOFSPD-YVGDHZEHSA-N 0 0 283.230 2.806 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@@H]2CC2(F)F)c1 ZINC000816422780 632451833 /nfs/dbraw/zinc/45/18/33/632451833.db2.gz RHCSFDLWRDHXIK-QMMMGPOBSA-N 0 0 271.219 2.715 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)[C@@H](CC)CO1 ZINC000845650627 632505257 /nfs/dbraw/zinc/50/52/57/632505257.db2.gz OJBQYZIMRVVBFG-SMDDNHRTSA-N 0 0 264.325 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3C[C@H]4CC[C@@H]3O4)sc2c1 ZINC000845660662 632509094 /nfs/dbraw/zinc/50/90/94/632509094.db2.gz FTPPJBHRWOSYMF-IEBDPFPHSA-N 0 0 291.332 2.936 20 5 CFBDRN C[C@@H](COCC1CC1)Nc1cccc(F)c1[N+](=O)[O-] ZINC000845724758 632533268 /nfs/dbraw/zinc/53/32/68/632533268.db2.gz DVLNWCGIUGXSNT-VIFPVBQESA-N 0 0 268.288 2.961 20 5 CFBDRN C[C@H](COCC1CC1)Nc1cccc(F)c1[N+](=O)[O-] ZINC000845724757 632533724 /nfs/dbraw/zinc/53/37/24/632533724.db2.gz DVLNWCGIUGXSNT-SECBINFHSA-N 0 0 268.288 2.961 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCC1(CO)CCC1 ZINC000845739374 632544358 /nfs/dbraw/zinc/54/43/58/632544358.db2.gz ZLJBFTCVHUEBOZ-UHFFFAOYSA-N 0 0 268.288 2.699 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(CC3(F)F)C2)c(F)c1 ZINC000845771958 632559859 /nfs/dbraw/zinc/55/98/59/632559859.db2.gz ZVLWWKZLTAIDQN-NSHDSACASA-N 0 0 272.226 2.969 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1NCCCC1(O)CCC1 ZINC000817123781 632560927 /nfs/dbraw/zinc/56/09/27/632560927.db2.gz CZUZXZALGZYGQL-UHFFFAOYSA-N 0 0 295.295 2.610 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2cc(N)ccc2[N+](=O)[O-])CCO1 ZINC000817123683 632561322 /nfs/dbraw/zinc/56/13/22/632561322.db2.gz BHKXKTNINGICLF-GHMZBOCLSA-N 0 0 265.313 2.547 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)C[C@@H](CC)O1 ZINC000817126388 632561593 /nfs/dbraw/zinc/56/15/93/632561593.db2.gz ZUYZSMKGRLDYIY-ZIAGYGMSSA-N 0 0 264.325 2.989 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CC[C@@H](O)CC23CCC3)c(F)c1 ZINC000817131702 632563473 /nfs/dbraw/zinc/56/34/73/632563473.db2.gz LMFMTQMNHMNQED-SNVBAGLBSA-N 0 0 298.289 2.757 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1CC12CC2 ZINC000817233202 632577564 /nfs/dbraw/zinc/57/75/64/632577564.db2.gz PNKZFZYCDNTVLJ-SECBINFHSA-N 0 0 265.240 2.577 20 5 CFBDRN CC[C@@H](OC(=O)c1ccc([N+](=O)[O-])n1C)C(C)C ZINC000845848947 632580262 /nfs/dbraw/zinc/58/02/62/632580262.db2.gz HUTSMFGGVCETIL-SNVBAGLBSA-N 0 0 254.286 2.525 20 5 CFBDRN CC[C@@H](OC(=O)CCn1nc(C)c([N+](=O)[O-])c1C)C(C)C ZINC000845851881 632581751 /nfs/dbraw/zinc/58/17/51/632581751.db2.gz DERQQPJIKGAXOW-GFCCVEGCSA-N 0 0 297.355 2.776 20 5 CFBDRN CCCC(=O)O[C@H](c1ccccc1F)[C@H](C)[N+](=O)[O-] ZINC000845873832 632589122 /nfs/dbraw/zinc/58/91/22/632589122.db2.gz WXJZRHPCIAJEON-ZANVPECISA-N 0 0 269.272 2.875 20 5 CFBDRN C[C@H]([C@H](OC(=O)[C@H]1C[C@@H]1C)c1ccccc1F)[N+](=O)[O-] ZINC000845878674 632589763 /nfs/dbraw/zinc/58/97/63/632589763.db2.gz RZXPPNLQMPPCTH-PKCZLINISA-N 0 0 281.283 2.731 20 5 CFBDRN COCCCC(=O)O[C@H](c1ccccc1F)[C@@H](C)[N+](=O)[O-] ZINC000845878079 632590087 /nfs/dbraw/zinc/59/00/87/632590087.db2.gz TVDLASNROYIKKB-YGRLFVJLSA-N 0 0 299.298 2.502 20 5 CFBDRN O=C(NOCCC1CC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000817413683 632625621 /nfs/dbraw/zinc/62/56/21/632625621.db2.gz ZNBNFKFCKDYVOH-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN C[C@]1(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CC1(F)F ZINC000817423430 632629230 /nfs/dbraw/zinc/62/92/30/632629230.db2.gz ISVBMDYFLOZTGE-SNVBAGLBSA-N 0 0 274.198 2.718 20 5 CFBDRN O=C(NOCCC1CC1)c1cccc(Cl)c1[N+](=O)[O-] ZINC000817425706 632630682 /nfs/dbraw/zinc/63/06/82/632630682.db2.gz KCMQTWVFRKHZQV-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN C/C(=C\C(=O)NOCCC1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000817429920 632631673 /nfs/dbraw/zinc/63/16/73/632631673.db2.gz MPUASZUDDQGPMO-ZHACJKMWSA-N 0 0 290.319 2.846 20 5 CFBDRN CCc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)nn1C ZINC000817501008 632652664 /nfs/dbraw/zinc/65/26/64/632652664.db2.gz RERWAXGIZZAIPC-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NOC1CCCC1 ZINC000817556433 632662269 /nfs/dbraw/zinc/66/22/69/632662269.db2.gz AKBCXFPRNGRHMV-UHFFFAOYSA-N 0 0 278.308 2.816 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OC[C@@]1(C)CCCO1 ZINC000817721036 632692810 /nfs/dbraw/zinc/69/28/10/632692810.db2.gz CMURFFUTVGIAKT-OAHLLOKOSA-N 0 0 293.319 2.938 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@]1(C)CCOC1 ZINC000817736036 632698490 /nfs/dbraw/zinc/69/84/90/632698490.db2.gz RHJPSTKBBPLOHV-CQSZACIVSA-N 0 0 279.292 2.547 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)C1(c2c(F)cccc2F)CCC1 ZINC000817738764 632699549 /nfs/dbraw/zinc/69/95/49/632699549.db2.gz ZFPPYOXLUHITES-SECBINFHSA-N 0 0 299.273 2.595 20 5 CFBDRN CC[C@@H](C)C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000817813182 632712482 /nfs/dbraw/zinc/71/24/82/632712482.db2.gz DEGKQZMXDSDNEK-SECBINFHSA-N 0 0 295.291 2.551 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC[C@@]2(CC2(F)F)C1 ZINC000846570300 632745418 /nfs/dbraw/zinc/74/54/18/632745418.db2.gz LNGJIEHVKRGIRA-CYBMUJFWSA-N 0 0 296.273 2.856 20 5 CFBDRN Cc1nn(CC[C@H]2CC2(Cl)Cl)c(C)c1[N+](=O)[O-] ZINC000818051395 632792428 /nfs/dbraw/zinc/79/24/28/632792428.db2.gz KLBAJWLMCIGTBQ-QMMMGPOBSA-N 0 0 278.139 2.992 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCO[C@H](CF)C1 ZINC000840355594 632818645 /nfs/dbraw/zinc/81/86/45/632818645.db2.gz JBXWTNCRYHQDBM-SNVBAGLBSA-N 0 0 288.706 2.731 20 5 CFBDRN Cc1ccc(N2CCO[C@H](C3CCC3)C2)c([N+](=O)[O-])c1 ZINC000840356920 632824385 /nfs/dbraw/zinc/82/43/85/632824385.db2.gz DHWCSSRKWLTHBP-HNNXBMFYSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2C[C@@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000818466110 632847850 /nfs/dbraw/zinc/84/78/50/632847850.db2.gz JIWPZCLZGHUYHM-BDAKNGLRSA-N 0 0 266.272 2.523 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N(Cc1ccoc1)C1CCCCC1 ZINC000840362143 632853133 /nfs/dbraw/zinc/85/31/33/632853133.db2.gz TYWNQOFHYYCCSD-ZIAGYGMSSA-N 0 0 292.335 2.606 20 5 CFBDRN O=[N+]([O-])c1c(Br)cccc1N1CC[C@@H](F)C1 ZINC000856761539 635849956 /nfs/dbraw/zinc/84/99/56/635849956.db2.gz NLQBKVYNPHOAJP-SSDOTTSWSA-N 0 0 289.104 2.906 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])[C@H](C)O1 ZINC000840368571 632910375 /nfs/dbraw/zinc/91/03/75/632910375.db2.gz NJNMIGFPZIEXFK-UTLUCORTSA-N 0 0 295.295 2.728 20 5 CFBDRN C[C@@H]1C[C@H](CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])[C@H](C)O1 ZINC000840368559 632910513 /nfs/dbraw/zinc/91/05/13/632910513.db2.gz NJNMIGFPZIEXFK-KXUCPTDWSA-N 0 0 295.295 2.728 20 5 CFBDRN CC(C)(C)N1CC[C@@H](Nc2ccc(F)c([N+](=O)[O-])c2)C1=O ZINC000819065876 632950330 /nfs/dbraw/zinc/95/03/30/632950330.db2.gz PLQFMWVBKGWLDW-LLVKDONJSA-N 0 0 295.314 2.545 20 5 CFBDRN COc1ccccc1COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000005966769 632975185 /nfs/dbraw/zinc/97/51/85/632975185.db2.gz KAGOKGVLQCTGMZ-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)O[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000107010319 633019141 /nfs/dbraw/zinc/01/91/41/633019141.db2.gz VHZQBPVUUSYFSL-NSHDSACASA-N 0 0 293.319 2.838 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@H]1OCc2cc(Cl)ccc21 ZINC000847717078 633052425 /nfs/dbraw/zinc/05/24/25/633052425.db2.gz UCZQVOCCONQDDU-QPUJVOFHSA-N 0 0 299.710 2.510 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847785084 633075859 /nfs/dbraw/zinc/07/58/59/633075859.db2.gz FDFVNJKSPAZXDD-JQWIXIFHSA-N 0 0 295.339 2.588 20 5 CFBDRN C[C@H](CCC(C)(C)C)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847784306 633076399 /nfs/dbraw/zinc/07/63/99/633076399.db2.gz QUBJYTXRSMWCKL-SNVBAGLBSA-N 0 0 297.355 2.834 20 5 CFBDRN C[C@H](OC(=O)c1ccc([N+](=O)[O-])o1)C(=O)c1ccccc1 ZINC000006175353 633108006 /nfs/dbraw/zinc/10/80/06/633108006.db2.gz DETZHUDXEDGPAT-VIFPVBQESA-N 0 0 289.243 2.616 20 5 CFBDRN C[C@@H]1C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])CC(C)(C)C1=O ZINC000847944736 633159129 /nfs/dbraw/zinc/15/91/29/633159129.db2.gz WZLPIBCQZWNGSM-GHMZBOCLSA-N 0 0 299.367 2.759 20 5 CFBDRN CC(C)C(C)(C)COC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000847944453 633159159 /nfs/dbraw/zinc/15/91/59/633159159.db2.gz OJRGFJBXPIPHQE-UHFFFAOYSA-N 0 0 297.355 2.752 20 5 CFBDRN COc1ccc(NC(=O)C(F)=C2CCC2)c([N+](=O)[O-])c1 ZINC000819504007 633187071 /nfs/dbraw/zinc/18/70/71/633187071.db2.gz IQVLMXSXPHIKRX-UHFFFAOYSA-N 0 0 280.255 2.949 20 5 CFBDRN CCOc1cc(CON=C(N)[C@@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000848520194 633273811 /nfs/dbraw/zinc/27/38/11/633273811.db2.gz NZUQTUUJPDQXBS-GXSJLCMTSA-N 0 0 293.323 2.648 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2NCc1cccnc1F ZINC000848549908 633287012 /nfs/dbraw/zinc/28/70/12/633287012.db2.gz XILYEPHAYFFOPH-ZDUSSCGKSA-N 0 0 287.294 2.906 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCc1coc(C)n1 ZINC000848662878 633312393 /nfs/dbraw/zinc/31/23/93/633312393.db2.gz KLCQKMFKVGQWIU-UHFFFAOYSA-N 0 0 262.269 2.545 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H](OC)C(F)(F)F ZINC000848665289 633313379 /nfs/dbraw/zinc/31/33/79/633313379.db2.gz SCLHEPJSSDWTMI-VIFPVBQESA-N 0 0 294.229 2.593 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC3(CC3(F)F)C2)c1 ZINC000848742589 633331700 /nfs/dbraw/zinc/33/17/00/633331700.db2.gz JXVKNNFPGGIBPD-UHFFFAOYSA-N 0 0 288.225 2.588 20 5 CFBDRN COC(=O)[C@@]1(C)CCCN(c2ccc(C)cc2[N+](=O)[O-])C1 ZINC000840388383 633388544 /nfs/dbraw/zinc/38/85/44/633388544.db2.gz WWJYAXJIIPNRGA-HNNXBMFYSA-N 0 0 292.335 2.683 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2nnc(NC3CCCC3)o2)c1 ZINC000849158030 633572037 /nfs/dbraw/zinc/57/20/37/633572037.db2.gz CMTTVXSZWHBMRZ-UHFFFAOYSA-N 0 0 274.280 2.999 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)OCc1cccnc1 ZINC000849174550 633580370 /nfs/dbraw/zinc/58/03/70/633580370.db2.gz XTMXYDFBINLKKK-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN C[C@H](N[C@H]1C[C@@H](C)n2ncnc21)c1ccccc1[N+](=O)[O-] ZINC000849322667 633617220 /nfs/dbraw/zinc/61/72/20/633617220.db2.gz SQYATZSDEALARG-SCVCMEIPSA-N 0 0 287.323 2.543 20 5 CFBDRN CC1(C)C[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2Cl)CO1 ZINC000849627704 633645641 /nfs/dbraw/zinc/64/56/41/633645641.db2.gz AVVFRTAZRRDRTJ-VIFPVBQESA-N 0 0 299.710 2.973 20 5 CFBDRN CC(=O)[C@@H](C)CCOC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000849634340 633649463 /nfs/dbraw/zinc/64/94/63/633649463.db2.gz SPJBZMZRUJQLRL-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN Cc1nocc1COC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000849677988 633667780 /nfs/dbraw/zinc/66/77/80/633667780.db2.gz LQZNOQIVGWJMDD-UHFFFAOYSA-N 0 0 282.277 2.618 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OCCCC1CCC1 ZINC000849714738 633685915 /nfs/dbraw/zinc/68/59/15/633685915.db2.gz QQLGCYUKBWDGOT-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H]1COC(C)(C)C1 ZINC000849716932 633686401 /nfs/dbraw/zinc/68/64/01/633686401.db2.gz RZEXITNUSMRTKR-NSHDSACASA-N 0 0 279.292 2.628 20 5 CFBDRN CC1(C)C[C@H](OC(=O)c2c(Cl)cccc2[N+](=O)[O-])CO1 ZINC000849726494 633690686 /nfs/dbraw/zinc/69/06/86/633690686.db2.gz LOYVWHKCPQKBRE-QMMMGPOBSA-N 0 0 299.710 2.973 20 5 CFBDRN O=C(CC1CCOCC1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849733780 633694366 /nfs/dbraw/zinc/69/43/66/633694366.db2.gz XVFQTMGOYQWOEE-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OC[C@@H](O)C(C)C ZINC000849756869 633704945 /nfs/dbraw/zinc/70/49/45/633704945.db2.gz LALYMPSFOHWKTO-BMGYJQCNSA-N 0 0 293.319 2.558 20 5 CFBDRN CC(C)[C@@H](F)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849760250 633706480 /nfs/dbraw/zinc/70/64/80/633706480.db2.gz DAFDAYUYDNUCBJ-LLVKDONJSA-N 0 0 273.235 2.771 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849779322 633715362 /nfs/dbraw/zinc/71/53/62/633715362.db2.gz DXZIOJOATCDSSP-UWVGGRQHSA-N 0 0 299.298 2.696 20 5 CFBDRN O=C(OCCCC1CCC1)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000849799128 633725012 /nfs/dbraw/zinc/72/50/12/633725012.db2.gz KGSBJYOFVZDLKO-CQSZACIVSA-N 0 0 293.319 2.752 20 5 CFBDRN O=C(/C=C/[C@H]1CCCO1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849812398 633731794 /nfs/dbraw/zinc/73/17/94/633731794.db2.gz YLOLBGBJPAZDHF-BTDICHCPSA-N 0 0 295.266 2.512 20 5 CFBDRN C[C@@H]1CCCC[C@H]1COC(=O)c1ccnc(N)c1[N+](=O)[O-] ZINC000849820615 633734898 /nfs/dbraw/zinc/73/48/98/633734898.db2.gz FNZGUFCRLAFAGN-ZJUUUORDSA-N 0 0 293.323 2.555 20 5 CFBDRN CC(C)(C)CC(=O)COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000849956850 633775375 /nfs/dbraw/zinc/77/53/75/633775375.db2.gz UCYLACVXLYVOAY-UHFFFAOYSA-N 0 0 296.279 2.887 20 5 CFBDRN O=[N+]([O-])c1cc(OCCC[C@H]2CCOC2)cc([N+](=O)[O-])c1 ZINC000849958546 633776294 /nfs/dbraw/zinc/77/62/94/633776294.db2.gz WFUWXMNXTGTLGG-JTQLQIEISA-N 0 0 296.279 2.699 20 5 CFBDRN CC(C)=CCn1cc([N+](=O)[O-])nc1Br ZINC000849993471 633786194 /nfs/dbraw/zinc/78/61/94/633786194.db2.gz XAOGQVJWRHPPEV-UHFFFAOYSA-N 0 0 260.091 2.520 20 5 CFBDRN O=[N+]([O-])c1cn(CCCCCF)c(Br)n1 ZINC000849993461 633786276 /nfs/dbraw/zinc/78/62/76/633786276.db2.gz WTUZJOOEUNNVHD-UHFFFAOYSA-N 0 0 280.097 2.694 20 5 CFBDRN CCn1cnc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])c1 ZINC000850184511 633877578 /nfs/dbraw/zinc/87/75/78/633877578.db2.gz ZTUCNALVVIPLNK-SNVBAGLBSA-N 0 0 289.291 2.729 20 5 CFBDRN CCCn1nccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000850239243 633906977 /nfs/dbraw/zinc/90/69/77/633906977.db2.gz JKLVGVYMXIVLFI-UHFFFAOYSA-N 0 0 289.291 2.558 20 5 CFBDRN Cn1ccnc1[C@@H](N[C@@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000850506768 634022133 /nfs/dbraw/zinc/02/21/33/634022133.db2.gz BRUNXQSHIHNWNO-HIFRSBDPSA-N 0 0 298.346 2.726 20 5 CFBDRN Cn1ccnc1[C@@H](N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000850507024 634023118 /nfs/dbraw/zinc/02/31/18/634023118.db2.gz DCEACOOOMLYCTK-HIFRSBDPSA-N 0 0 298.346 2.726 20 5 CFBDRN CO[C@H]1CC[C@@H](C(=O)Oc2cc([N+](=O)[O-])ccc2C)C1 ZINC000850770411 634084586 /nfs/dbraw/zinc/08/45/86/634084586.db2.gz QGFQBSMMHPKCBE-PWSUYJOCSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(CCCF)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000850938682 634145795 /nfs/dbraw/zinc/14/57/95/634145795.db2.gz HJEUTLOKXGKSQI-UHFFFAOYSA-N 0 0 287.312 2.980 20 5 CFBDRN C[C@@H](COC(=O)C1CC2(C1)CCCCC2)[N+](=O)[O-] ZINC000851036138 634189079 /nfs/dbraw/zinc/18/90/79/634189079.db2.gz FXLWEGCTYVTLER-JTQLQIEISA-N 0 0 255.314 2.555 20 5 CFBDRN Cc1cc(C(=O)OC[C@@H](C)[N+](=O)[O-])c(-n2cccc2)s1 ZINC000851037007 634189707 /nfs/dbraw/zinc/18/97/07/634189707.db2.gz PPYQVJREUJRZHM-SECBINFHSA-N 0 0 294.332 2.669 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@]1(c2ccccc2)CC1(C)C ZINC000851046508 634195390 /nfs/dbraw/zinc/19/53/90/634195390.db2.gz QXVOOKIUPDNPPN-XHDPSFHLSA-N 0 0 277.320 2.563 20 5 CFBDRN CC(C)(COC(=O)CCCc1cccs1)[N+](=O)[O-] ZINC000851122398 634233701 /nfs/dbraw/zinc/23/37/01/634233701.db2.gz BVFDWIDRUJQBRT-UHFFFAOYSA-N 0 0 271.338 2.669 20 5 CFBDRN CC(C)(COC(=O)/C=C/c1ccc(Cl)cc1)[N+](=O)[O-] ZINC000851122600 634234689 /nfs/dbraw/zinc/23/46/89/634234689.db2.gz GSZMPYLVDRRJFQ-VMPITWQZSA-N 0 0 283.711 2.952 20 5 CFBDRN CC(C)(COC(=O)Cc1ccc2c(c1)CCCC2)[N+](=O)[O-] ZINC000851123043 634234717 /nfs/dbraw/zinc/23/47/17/634234717.db2.gz NEWUHSYAXMREKC-UHFFFAOYSA-N 0 0 291.347 2.706 20 5 CFBDRN Cc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])c(C)n1C(C)C ZINC000851122993 634234798 /nfs/dbraw/zinc/23/47/98/634234798.db2.gz MCNPVRZCLISCFI-UHFFFAOYSA-N 0 0 282.340 2.898 20 5 CFBDRN CC(C)(COC(=O)CCc1ccc(Cl)s1)[N+](=O)[O-] ZINC000851124673 634235674 /nfs/dbraw/zinc/23/56/74/634235674.db2.gz HRYATMYYLCKEKH-UHFFFAOYSA-N 0 0 291.756 2.933 20 5 CFBDRN CC(C)(COC(=O)C[C@@H]1CCc2ccccc2C1)[N+](=O)[O-] ZINC000851125352 634235831 /nfs/dbraw/zinc/23/58/31/634235831.db2.gz AMRDNBJWHFIHOU-GFCCVEGCSA-N 0 0 291.347 2.780 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CCSc2ccccc21)[N+](=O)[O-] ZINC000851126007 634236554 /nfs/dbraw/zinc/23/65/54/634236554.db2.gz KPIIZKKJTKFITP-NSHDSACASA-N 0 0 295.360 2.865 20 5 CFBDRN CC(C)(COC(=O)c1cccc(F)c1Cl)[N+](=O)[O-] ZINC000851126550 634236641 /nfs/dbraw/zinc/23/66/41/634236641.db2.gz OZHPKQOXXYSGAS-UHFFFAOYSA-N 0 0 275.663 2.691 20 5 CFBDRN CC(C)(COC(=O)[C@H]1SCCc2ccccc21)[N+](=O)[O-] ZINC000851126242 634236664 /nfs/dbraw/zinc/23/66/64/634236664.db2.gz LTYJERBMXDYJHQ-LBPRGKRZSA-N 0 0 295.360 2.616 20 5 CFBDRN C/C(=C/c1ccc(C)cc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126702 634236670 /nfs/dbraw/zinc/23/66/70/634236670.db2.gz GVRCOAPOURMBIH-XFXZXTDPSA-N 0 0 277.320 2.997 20 5 CFBDRN Cc1ccc(C[C@@H](C)C(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851127850 634237705 /nfs/dbraw/zinc/23/77/05/634237705.db2.gz YVVROCAVRIOZDO-GFCCVEGCSA-N 0 0 279.336 2.772 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)OCC(C)(C)[N+](=O)[O-])C[C@@H]1C ZINC000851129159 634238438 /nfs/dbraw/zinc/23/84/38/634238438.db2.gz JRUYJTZEHVGGRE-OUAUKWLOSA-N 0 0 257.330 2.657 20 5 CFBDRN CC(C)(COC(=O)C[C@@]1(C)CC1(Cl)Cl)[N+](=O)[O-] ZINC000851129201 634238563 /nfs/dbraw/zinc/23/85/63/634238563.db2.gz KKWZCDSNMSGZTA-VIFPVBQESA-N 0 0 284.139 2.559 20 5 CFBDRN CC(C)(COC(=O)c1ccc(C2CC2)cc1)[N+](=O)[O-] ZINC000851128773 634238606 /nfs/dbraw/zinc/23/86/06/634238606.db2.gz ALFLFSCFGFMBHR-UHFFFAOYSA-N 0 0 263.293 2.776 20 5 CFBDRN CC(C)(COC(=O)[C@@]1(c2ccccc2)CC1(C)C)[N+](=O)[O-] ZINC000851129904 634238624 /nfs/dbraw/zinc/23/86/24/634238624.db2.gz LXYYOXNRBRRQMI-INIZCTEOSA-N 0 0 291.347 2.953 20 5 CFBDRN CC(C)(COC(=O)C1[C@H]2CCCCCC[C@H]12)[N+](=O)[O-] ZINC000851129283 634238636 /nfs/dbraw/zinc/23/86/36/634238636.db2.gz GTOVCMVGYNEZQM-QWRGUYRKSA-N 0 0 269.341 2.801 20 5 CFBDRN CC(C)(COC(=O)C1(C(F)(F)F)CCCC1)[N+](=O)[O-] ZINC000851129110 634238785 /nfs/dbraw/zinc/23/87/85/634238785.db2.gz IVWJGLGNJHNILW-UHFFFAOYSA-N 0 0 283.246 2.708 20 5 CFBDRN CC(C)(COC(=O)CCCc1ccc(F)cc1)[N+](=O)[O-] ZINC000851130268 634238876 /nfs/dbraw/zinc/23/88/76/634238876.db2.gz OQPOBPYHHXZOET-UHFFFAOYSA-N 0 0 283.299 2.747 20 5 CFBDRN COc1c(C(=O)OC[C@@H]2C[C@H]3C[C@H]3C2)cccc1[N+](=O)[O-] ZINC000851222414 634275942 /nfs/dbraw/zinc/27/59/42/634275942.db2.gz KQOBCBVHTFECTA-JGPRNRPPSA-N 0 0 291.303 2.806 20 5 CFBDRN O=C(OC[C@@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1F ZINC000851226689 634279861 /nfs/dbraw/zinc/27/98/61/634279861.db2.gz MHCZHIPXTOGFQP-ILWJIGKKSA-N 0 0 279.267 2.937 20 5 CFBDRN C[C@]1(COC(=O)Cc2ccccc2[N+](=O)[O-])CC1(F)F ZINC000851267634 634300840 /nfs/dbraw/zinc/30/08/40/634300840.db2.gz QZZWKLJKEDXXMW-GFCCVEGCSA-N 0 0 285.246 2.726 20 5 CFBDRN O=C(OCC[C@@H]1CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000851377873 634347847 /nfs/dbraw/zinc/34/78/47/634347847.db2.gz XITDWUMYVJRFGN-MRVPVSSYSA-N 0 0 271.219 2.797 20 5 CFBDRN O=C(OCC[C@H]1CC1(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000851380830 634348221 /nfs/dbraw/zinc/34/82/21/634348221.db2.gz KCDAWMGYCWCZED-QMMMGPOBSA-N 0 0 289.209 2.936 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC1CC(C)C1 ZINC000851462832 634376088 /nfs/dbraw/zinc/37/60/88/634376088.db2.gz YKJUGBFKTKJLMO-UHFFFAOYSA-N 0 0 279.292 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(OCCOC(F)F)ccc1Cl ZINC000853020502 634878439 /nfs/dbraw/zinc/87/84/39/634878439.db2.gz GDLQSKIERFXQPB-UHFFFAOYSA-N 0 0 267.615 2.866 20 5 CFBDRN Cc1cc(C)c(OC[C@@H](O)c2ccccn2)c([N+](=O)[O-])c1 ZINC000853063280 634894057 /nfs/dbraw/zinc/89/40/57/634894057.db2.gz CALYWQYSOFSUPU-CQSZACIVSA-N 0 0 288.303 2.719 20 5 CFBDRN C[C@@H]1COC[C@H]1OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000853193875 634930461 /nfs/dbraw/zinc/93/04/61/634930461.db2.gz YSGYKAUUGPTZOK-AMIZOPFISA-N 0 0 291.259 2.533 20 5 CFBDRN CCc1cc(CNc2cc(OC)c(C)cc2[N+](=O)[O-])[nH]n1 ZINC000853322785 634967626 /nfs/dbraw/zinc/96/76/26/634967626.db2.gz CWAIKDFQVBFBPJ-UHFFFAOYSA-N 0 0 290.323 2.809 20 5 CFBDRN O=[N+]([O-])c1ccc(CCCCNc2cnc(F)cn2)cc1 ZINC000853362612 634983412 /nfs/dbraw/zinc/98/34/12/634983412.db2.gz HRHIUWJHTWSUNJ-UHFFFAOYSA-N 0 0 290.298 2.959 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(c1cc(F)ncn1)C1CC1 ZINC000853454417 635004118 /nfs/dbraw/zinc/00/41/18/635004118.db2.gz FHYQPRFYAKAIPD-UHFFFAOYSA-N 0 0 288.282 2.693 20 5 CFBDRN Cc1cc(NCCC2(C)OCCO2)c([N+](=O)[O-])s1 ZINC000853478373 635011348 /nfs/dbraw/zinc/01/13/48/635011348.db2.gz HGGWOPCUEPINJZ-UHFFFAOYSA-N 0 0 272.326 2.530 20 5 CFBDRN Cc1nn(CN2CCC(C3CCC3)CC2)c(C)c1[N+](=O)[O-] ZINC000853534760 635031067 /nfs/dbraw/zinc/03/10/67/635031067.db2.gz PPXBOSGOUMASOY-UHFFFAOYSA-N 0 0 292.383 2.878 20 5 CFBDRN Cc1nn(CN2CC[C@H]2c2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000853544380 635033192 /nfs/dbraw/zinc/03/31/92/635033192.db2.gz GUIRPOFWIAGHSR-ZDUSSCGKSA-N 0 0 290.298 2.643 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CC[C@H](C3CCCCC3)C2)c1 ZINC000853553611 635034580 /nfs/dbraw/zinc/03/45/80/635034580.db2.gz GBDDSWHDMLLMER-ZDUSSCGKSA-N 0 0 278.356 2.651 20 5 CFBDRN CCC1(CC)CCCN(Cn2nccc2[N+](=O)[O-])C1 ZINC000853563061 635037398 /nfs/dbraw/zinc/03/73/98/635037398.db2.gz JNRXETHBEYUSRB-UHFFFAOYSA-N 0 0 266.345 2.651 20 5 CFBDRN Cc1ncoc1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000853641036 635057122 /nfs/dbraw/zinc/05/71/22/635057122.db2.gz WHFZNZKXWNVNRK-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CC1=NO[C@@H](CNc2nccc3ccc([N+](=O)[O-])cc32)C1 ZINC000853661143 635065565 /nfs/dbraw/zinc/06/55/65/635065565.db2.gz JTYXVFHZLKYHFP-GFCCVEGCSA-N 0 0 286.291 2.720 20 5 CFBDRN C[C@]1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CC1(Cl)Cl ZINC000853662681 635066295 /nfs/dbraw/zinc/06/62/95/635066295.db2.gz QYUXXYFGVUQSGG-SECBINFHSA-N 0 0 292.122 2.691 20 5 CFBDRN COc1cc(N2CC[C@@H]3OCC[C@@H]3C2)c([N+](=O)[O-])cc1C ZINC000853709058 635081999 /nfs/dbraw/zinc/08/19/99/635081999.db2.gz WXZUJYLKBJGGCR-RISCZKNCSA-N 0 0 292.335 2.527 20 5 CFBDRN C[C@]1(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])C[C@@]1(F)Cl ZINC000853711856 635082505 /nfs/dbraw/zinc/08/25/05/635082505.db2.gz ILYPZESCMCAPOR-QWRGUYRKSA-N 0 0 289.694 2.812 20 5 CFBDRN CCOC(=O)Cc1ccc(N[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC000853798992 635111013 /nfs/dbraw/zinc/11/10/13/635111013.db2.gz VZDCPOQEVCYSMV-GFCCVEGCSA-N 0 0 290.319 2.831 20 5 CFBDRN C[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@@H](C)C1=O ZINC000853820675 635118007 /nfs/dbraw/zinc/11/80/07/635118007.db2.gz READWTZXQNEZEX-HTQZYQBOSA-N 0 0 284.262 2.534 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](O)c3ccccc32)ncc1[N+](=O)[O-] ZINC000853837611 635124555 /nfs/dbraw/zinc/12/45/55/635124555.db2.gz HJZLFTCFVTXUNG-TZMCWYRMSA-N 0 0 285.303 2.889 20 5 CFBDRN Cc1cnc(N[C@H]2C[C@@H](O)c3ccccc32)c([N+](=O)[O-])c1 ZINC000853840524 635126712 /nfs/dbraw/zinc/12/67/12/635126712.db2.gz UENKVZMCBSIEOS-GXTWGEPZSA-N 0 0 285.303 2.889 20 5 CFBDRN C[C@]1(CNc2cc(N)ccc2[N+](=O)[O-])CC1(F)F ZINC000853850627 635131498 /nfs/dbraw/zinc/13/14/98/635131498.db2.gz VUWPKUGASDVVEO-SNVBAGLBSA-N 0 0 257.240 2.634 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@@]2(O)C[C@H]2C1 ZINC000853856628 635134266 /nfs/dbraw/zinc/13/42/66/635134266.db2.gz HDZRDKFANRVKLK-TVQRCGJNSA-N 0 0 282.727 2.518 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H](CO)CC2CC2)c1 ZINC000853869295 635140197 /nfs/dbraw/zinc/14/01/97/635140197.db2.gz PKJFIJJFBJYQLJ-JTQLQIEISA-N 0 0 298.314 2.563 20 5 CFBDRN Cc1noc(COC(=O)c2c(C)oc(C)c2C)c1[N+](=O)[O-] ZINC000853888080 635147659 /nfs/dbraw/zinc/14/76/59/635147659.db2.gz OURDUIUASJLICN-UHFFFAOYSA-N 0 0 294.263 2.766 20 5 CFBDRN CCC[C@H](C)CC(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853894733 635149947 /nfs/dbraw/zinc/14/99/47/635149947.db2.gz ARFCYJYJVBDHGH-QMMMGPOBSA-N 0 0 270.285 2.761 20 5 CFBDRN CC1(C)[C@@H](CNc2ccc(N)cc2[N+](=O)[O-])C1(F)F ZINC000853903481 635153853 /nfs/dbraw/zinc/15/38/53/635153853.db2.gz AZDVVJSASGYFNW-SNVBAGLBSA-N 0 0 271.267 2.880 20 5 CFBDRN CCc1oc(C(=O)OCc2onc(C)c2[N+](=O)[O-])cc1C ZINC000853904562 635154943 /nfs/dbraw/zinc/15/49/43/635154943.db2.gz SCWQIVKCIZSGSF-UHFFFAOYSA-N 0 0 294.263 2.712 20 5 CFBDRN Cc1noc(COC(=O)c2csc(C)c2C)c1[N+](=O)[O-] ZINC000853906463 635156884 /nfs/dbraw/zinc/15/68/84/635156884.db2.gz CFCMGRQENXKLEP-UHFFFAOYSA-N 0 0 296.304 2.927 20 5 CFBDRN Cc1noc(COC(=O)[C@@H](C)CC(C)C)c1[N+](=O)[O-] ZINC000853911733 635158921 /nfs/dbraw/zinc/15/89/21/635158921.db2.gz UKWSONIRFZHIJQ-QMMMGPOBSA-N 0 0 270.285 2.617 20 5 CFBDRN Cc1noc(COC(=O)[C@@H]2CCC(C)(C)C2)c1[N+](=O)[O-] ZINC000853912150 635160397 /nfs/dbraw/zinc/16/03/97/635160397.db2.gz WLYSRHJOLPRSRV-SECBINFHSA-N 0 0 282.296 2.761 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853912504 635160419 /nfs/dbraw/zinc/16/04/19/635160419.db2.gz YQYBQABAJNOOFW-HTQZYQBOSA-N 0 0 270.285 2.617 20 5 CFBDRN Cc1noc(COC(=O)C(C)(C)C(C)(F)F)c1[N+](=O)[O-] ZINC000853923608 635165739 /nfs/dbraw/zinc/16/57/39/635165739.db2.gz YXODCCPJELININ-UHFFFAOYSA-N 0 0 292.238 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@@H]2COC[C@H]2C1 ZINC000853934896 635169631 /nfs/dbraw/zinc/16/96/31/635169631.db2.gz VOWHJGJAPPWGQB-NXEZZACHSA-N 0 0 282.727 2.721 20 5 CFBDRN CO[C@@H](CNc1cc(N)ccc1[N+](=O)[O-])C1CCCC1 ZINC000853935396 635169879 /nfs/dbraw/zinc/16/98/79/635169879.db2.gz GWKWHZZGOKQMTH-AWEZNQCLSA-N 0 0 279.340 2.794 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@@H]2CCOC2(C)C)c1 ZINC000853966248 635180637 /nfs/dbraw/zinc/18/06/37/635180637.db2.gz QUEXVLZMKHRKOB-VIFPVBQESA-N 0 0 298.314 2.970 20 5 CFBDRN CN(CC1=CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000853980234 635182824 /nfs/dbraw/zinc/18/28/24/635182824.db2.gz ANDSZKRSNZHNLL-UHFFFAOYSA-N 0 0 250.323 2.704 20 5 CFBDRN Cc1nnc(CN(C)c2ccc(C)cc2[N+](=O)[O-])s1 ZINC000853980098 635183238 /nfs/dbraw/zinc/18/32/38/635183238.db2.gz JNZWZWMOLMIWEY-UHFFFAOYSA-N 0 0 278.337 2.700 20 5 CFBDRN CSC(C)(C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000854128451 635217935 /nfs/dbraw/zinc/21/79/35/635217935.db2.gz ICRFUKJEOYZKRZ-UHFFFAOYSA-N 0 0 254.311 2.675 20 5 CFBDRN CCCCOC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000112032909 635265168 /nfs/dbraw/zinc/26/51/68/635265168.db2.gz NMWWTMDEXFQXEO-UHFFFAOYSA-N 0 0 259.208 2.830 20 5 CFBDRN C[C@@H](NC(=O)COc1ccc([N+](=O)[O-])c(F)c1)C(C)(C)C ZINC000112248589 635311388 /nfs/dbraw/zinc/31/13/88/635311388.db2.gz QMZSSTXOVVQKSZ-SECBINFHSA-N 0 0 298.314 2.664 20 5 CFBDRN Nc1ccc(NC(=O)C[C@@H]2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000854494425 635317107 /nfs/dbraw/zinc/31/71/07/635317107.db2.gz BNOYYVWXKVOTCE-QMMMGPOBSA-N 0 0 299.277 2.941 20 5 CFBDRN Nc1ccc(NC(=O)C23CCC(CC2)C3)cc1[N+](=O)[O-] ZINC000854496492 635317338 /nfs/dbraw/zinc/31/73/38/635317338.db2.gz HDSQIRGHAXZSGV-UHFFFAOYSA-N 0 0 275.308 2.696 20 5 CFBDRN Nc1ccc(NC(=O)CCCC2CC2)cc1[N+](=O)[O-] ZINC000854495829 635317938 /nfs/dbraw/zinc/31/79/38/635317938.db2.gz VHKIXDLWCMLTFZ-UHFFFAOYSA-N 0 0 263.297 2.696 20 5 CFBDRN CC/C(C)=C(\C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854496296 635317976 /nfs/dbraw/zinc/31/79/76/635317976.db2.gz DLDKQTXOUUFVQA-CMDGGOBGSA-N 0 0 263.297 2.862 20 5 CFBDRN C[C@@H]1CC[C@@H](CC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000854497415 635318308 /nfs/dbraw/zinc/31/83/08/635318308.db2.gz NTEXHKZPKFPBFQ-NXEZZACHSA-N 0 0 277.324 2.942 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CC[C@H]1C(F)(F)F ZINC000854520214 635324665 /nfs/dbraw/zinc/32/46/65/635324665.db2.gz MWSAFZWBKSRHRY-VIFPVBQESA-N 0 0 292.188 2.511 20 5 CFBDRN CCC(F)(F)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000854605947 635348071 /nfs/dbraw/zinc/34/80/71/635348071.db2.gz SXVHIBIPRIGLFA-UHFFFAOYSA-N 0 0 286.278 2.991 20 5 CFBDRN C[C@H](NC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000854678288 635363438 /nfs/dbraw/zinc/36/34/38/635363438.db2.gz CHUHHDNWJGICLO-FTYKPCCVSA-N 0 0 274.320 2.818 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000854898322 635417348 /nfs/dbraw/zinc/41/73/48/635417348.db2.gz VPLIKEYCXZKDKB-VIFPVBQESA-N 0 0 277.324 2.580 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000855388015 635527731 /nfs/dbraw/zinc/52/77/31/635527731.db2.gz MORVTZJMUAKACZ-NSHDSACASA-N 0 0 293.367 2.827 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C=CCC1 ZINC000855430126 635542567 /nfs/dbraw/zinc/54/25/67/635542567.db2.gz BEKVLTFKFYYXJO-BSYHEUMXSA-N 0 0 272.304 2.833 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1C=CCC1 ZINC000855431302 635543705 /nfs/dbraw/zinc/54/37/05/635543705.db2.gz UWHASXDNODZYSY-KGLIPLIRSA-N 0 0 288.347 2.998 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC000855435919 635548942 /nfs/dbraw/zinc/54/89/42/635548942.db2.gz QPWIOHRKUAPOOB-LBPRGKRZSA-N 0 0 290.319 2.830 20 5 CFBDRN C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000113465202 635587102 /nfs/dbraw/zinc/58/71/02/635587102.db2.gz CNCYWXPOVXALLK-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN CC[C@H](NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000855857888 635672793 /nfs/dbraw/zinc/67/27/93/635672793.db2.gz NAGOVGIKGBJIHR-LBPRGKRZSA-N 0 0 280.299 2.961 20 5 CFBDRN O=[N+]([O-])c1c(NCC[C@H]2CC2(F)F)nc2ccccn21 ZINC000856779494 635858034 /nfs/dbraw/zinc/85/80/34/635858034.db2.gz ZKLZCOQPBXWBOI-QMMMGPOBSA-N 0 0 282.250 2.700 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC[C@@H]2CC2(F)F)c1 ZINC000856779161 635858165 /nfs/dbraw/zinc/85/81/65/635858165.db2.gz UTKRNRSJPRHGRS-SSDOTTSWSA-N 0 0 257.240 2.634 20 5 CFBDRN CO[C@H]1CC[C@H](C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC000857573003 635985485 /nfs/dbraw/zinc/98/54/85/635985485.db2.gz NQVVZOMHMBKQDA-RYUDHWBXSA-N 0 0 293.319 2.932 20 5 CFBDRN CSC(C)(C)C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000857572303 635985600 /nfs/dbraw/zinc/98/56/00/635985600.db2.gz XYJAHJXUOYJOHH-UHFFFAOYSA-N 0 0 272.301 2.814 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@H]1C[C@H]2C[C@H]2C1 ZINC000857571255 635985612 /nfs/dbraw/zinc/98/56/12/635985612.db2.gz CYNCECDLVHAYJD-BRPSZJMVSA-N 0 0 264.256 2.719 20 5 CFBDRN CSC(C)(C)C(=O)Oc1cccc([N+](=O)[O-])c1C ZINC000857580180 635986861 /nfs/dbraw/zinc/98/68/61/635986861.db2.gz RRJOVNGMYWZHQZ-UHFFFAOYSA-N 0 0 269.322 2.950 20 5 CFBDRN Cc1c(OC(=O)[C@@]23C[C@@H]2COC3(C)C)cccc1[N+](=O)[O-] ZINC000857580300 635987092 /nfs/dbraw/zinc/98/70/92/635987092.db2.gz WFKCHPXXNXFFMA-MEBBXXQBSA-N 0 0 291.303 2.624 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)Oc2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 ZINC000857635960 635999886 /nfs/dbraw/zinc/99/98/86/635999886.db2.gz BYLVNJLNIRRLJH-RKDXNWHRSA-N 0 0 294.263 2.845 20 5 CFBDRN CC[C@@](C)(NC(=O)c1cc(OC)ccc1[N+](=O)[O-])C1CC1 ZINC000857653364 636002691 /nfs/dbraw/zinc/00/26/91/636002691.db2.gz FGPVOGDOCZGQSH-OAHLLOKOSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(N1CCCCc2ccc([N+](=O)[O-])cc21)C1(F)CC1 ZINC000857667410 636006288 /nfs/dbraw/zinc/00/62/88/636006288.db2.gz VWWVDGZZDMUPNV-UHFFFAOYSA-N 0 0 278.283 2.766 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CC(CC2CC2)C1 ZINC000857738062 636019171 /nfs/dbraw/zinc/01/91/71/636019171.db2.gz MBVJSFRCRQAANK-VMPITWQZSA-N 0 0 286.331 2.867 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc(C2OCCO2)cs1 ZINC000857833025 636028680 /nfs/dbraw/zinc/02/86/80/636028680.db2.gz IMDWANNEHLRMSU-UHFFFAOYSA-N 0 0 296.279 2.903 20 5 CFBDRN Cc1cnc2c(c1)N(C(=O)c1c(C)cccc1[N+](=O)[O-])CC2 ZINC000857879283 636045285 /nfs/dbraw/zinc/04/52/85/636045285.db2.gz YOXYWYAXZLJVHT-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=C(NCC1CC1)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000858269462 636173221 /nfs/dbraw/zinc/17/32/21/636173221.db2.gz OKWPSYZYWDQGIL-UHFFFAOYSA-N 0 0 270.288 2.888 20 5 CFBDRN C[C@H]1C[C@@H]1CNC(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000159073005 650246637 /nfs/dbraw/zinc/24/66/37/650246637.db2.gz YROBRBOYPOPFTL-JGVFFNPUSA-N 0 0 268.700 2.634 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC(F)(F)Br)n1 ZINC000858644888 636315990 /nfs/dbraw/zinc/31/59/90/636315990.db2.gz BGJVNZWWCXQPFA-UHFFFAOYSA-N 0 0 296.071 2.698 20 5 CFBDRN C[C@H]1CN(c2ccc(N)cc2[N+](=O)[O-])C[C@H](C)C1(F)F ZINC000858664087 636319611 /nfs/dbraw/zinc/31/96/11/636319611.db2.gz FBFDWTAOMXEBNH-IUCAKERBSA-N 0 0 285.294 2.905 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000858689559 636325595 /nfs/dbraw/zinc/32/55/95/636325595.db2.gz GYJVJXSGNVIGIV-SECBINFHSA-N 0 0 296.710 2.922 20 5 CFBDRN COC(=O)C1=CC[C@@H](Nc2cc(C)ccc2[N+](=O)[O-])C1 ZINC000858692509 636326939 /nfs/dbraw/zinc/32/69/39/636326939.db2.gz RTIUIQUTYHBOTN-LLVKDONJSA-N 0 0 276.292 2.577 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc([N+](=O)[O-])cc1C(C)=O ZINC000858834161 636353711 /nfs/dbraw/zinc/35/37/11/636353711.db2.gz XGMUPZAPGSSFCY-UHFFFAOYSA-N 0 0 294.351 2.785 20 5 CFBDRN Nc1ccc(N2CC[C@@H](c3ccc(O)cc3)C2)c([N+](=O)[O-])c1 ZINC000858837625 636355311 /nfs/dbraw/zinc/35/53/11/636355311.db2.gz UJIHNTVJRNQNNB-GFCCVEGCSA-N 0 0 299.330 2.877 20 5 CFBDRN Cc1cc(N2CC[C@@H]3CC[C@@H](O)[C@@H]32)c(Cl)cc1[N+](=O)[O-] ZINC000858845523 636356511 /nfs/dbraw/zinc/35/65/11/636356511.db2.gz KBBFTBUWOZIXDJ-CUOATXAZSA-N 0 0 296.754 2.906 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2C[C@@H](OC)C2)c1 ZINC000858854088 636356943 /nfs/dbraw/zinc/35/69/43/636356943.db2.gz LXQGMHGADVXRLF-DTORHVGOSA-N 0 0 284.287 2.579 20 5 CFBDRN CCCOC(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000858878501 636367875 /nfs/dbraw/zinc/36/78/75/636367875.db2.gz VCUTZFKOJMWARP-UHFFFAOYSA-N 0 0 255.226 2.529 20 5 CFBDRN C[C@@H]1CCC[C@H](C)N1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000214998431 650251539 /nfs/dbraw/zinc/25/15/39/650251539.db2.gz MIBKMBFPFXPSGR-AOOOYVTPSA-N 0 0 295.314 2.642 20 5 CFBDRN CCCOC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000859060694 636433717 /nfs/dbraw/zinc/43/37/17/636433717.db2.gz WBUXUVKZAMKYEQ-UHFFFAOYSA-N 0 0 272.688 2.884 20 5 CFBDRN CCCOC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000859147436 636474222 /nfs/dbraw/zinc/47/42/22/636474222.db2.gz CGDSTDQLXLJUML-UHFFFAOYSA-N 0 0 266.297 2.616 20 5 CFBDRN CCO[C@H](COC(=O)c1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000859443207 636565057 /nfs/dbraw/zinc/56/50/57/636565057.db2.gz ZQKPEDVWSVDDII-CYBMUJFWSA-N 0 0 293.319 2.875 20 5 CFBDRN CC[C@H](C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859463274 636572483 /nfs/dbraw/zinc/57/24/83/636572483.db2.gz GGIQLSIJMUQBCD-QMMMGPOBSA-N 0 0 283.255 2.506 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)OC[C@@H]2C[C@H]2C)c1 ZINC000859498610 636582369 /nfs/dbraw/zinc/58/23/69/636582369.db2.gz LQUYSRRDQMQIRF-NEPJUHHUSA-N 0 0 293.319 2.871 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000859499227 636582497 /nfs/dbraw/zinc/58/24/97/636582497.db2.gz PHSUMZUWMFMBGO-QWRGUYRKSA-N 0 0 279.292 2.563 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)OC[C@H]2C[C@H]2C)c1 ZINC000859498620 636582904 /nfs/dbraw/zinc/58/29/04/636582904.db2.gz LQUYSRRDQMQIRF-VXGBXAGGSA-N 0 0 293.319 2.871 20 5 CFBDRN Cc1c([N+](=O)[O-])cc(C(=O)OC[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000859506260 636583516 /nfs/dbraw/zinc/58/35/16/636583516.db2.gz IGFMVUDXBGFYNO-XCBNKYQSSA-N 0 0 294.263 2.624 20 5 CFBDRN CCC[C@@H](C)C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859506453 636584423 /nfs/dbraw/zinc/58/44/23/636584423.db2.gz OKWZNIRMROSCGU-SECBINFHSA-N 0 0 297.282 2.896 20 5 CFBDRN C[C@@H]1C[C@H]1COC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000859527210 636589313 /nfs/dbraw/zinc/58/93/13/636589313.db2.gz PIBRKFVTUPFULR-SFYZADRCSA-N 0 0 253.229 2.547 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)OC[C@H]2C[C@H]2C)c1F ZINC000859652491 636639667 /nfs/dbraw/zinc/63/96/67/636639667.db2.gz WZUMVTDJTWIQRD-VXNVDRBHSA-N 0 0 267.256 2.855 20 5 CFBDRN CC1(CC(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000859655097 636640423 /nfs/dbraw/zinc/64/04/23/636640423.db2.gz HIZQOBSJRNRJBJ-UHFFFAOYSA-N 0 0 295.266 2.650 20 5 CFBDRN CC(C)(CCC(=O)OCc1ncccc1Cl)[N+](=O)[O-] ZINC000859708952 636660804 /nfs/dbraw/zinc/66/08/04/636660804.db2.gz FQKBWYNQJIQTOW-UHFFFAOYSA-N 0 0 286.715 2.614 20 5 CFBDRN COCCCCCOC(=O)c1ccccc1[N+](=O)[O-] ZINC000116989710 636712904 /nfs/dbraw/zinc/71/29/04/636712904.db2.gz JQPNEBFMSFUIBP-UHFFFAOYSA-N 0 0 267.281 2.568 20 5 CFBDRN COCCCCCOC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000116990064 636713404 /nfs/dbraw/zinc/71/34/04/636713404.db2.gz MFXYEZUMQDNTRZ-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN COCCCCCOC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000116991699 636715132 /nfs/dbraw/zinc/71/51/32/636715132.db2.gz GGOQVXBSCCNPII-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN CCC[C@H](OCC)C(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000859838180 636718655 /nfs/dbraw/zinc/71/86/55/636718655.db2.gz JBLZCJBMWZZRGX-AWEZNQCLSA-N 0 0 295.335 2.886 20 5 CFBDRN COC1CC(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000859846781 636723139 /nfs/dbraw/zinc/72/31/39/636723139.db2.gz HPEWGXDYUODTDO-UHFFFAOYSA-N 0 0 299.710 2.716 20 5 CFBDRN CCO[C@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000859867942 636732640 /nfs/dbraw/zinc/73/26/40/636732640.db2.gz QUYILUKMUUXVJS-ZDUSSCGKSA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CSCCS2)c([N+](=O)[O-])c1 ZINC000194335530 636772900 /nfs/dbraw/zinc/77/29/00/636772900.db2.gz GIICIFQNTUSRKG-NSHDSACASA-N 0 0 299.373 2.657 20 5 CFBDRN CC[C@@H](C)CS(=O)(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000159966312 650286454 /nfs/dbraw/zinc/28/64/54/650286454.db2.gz TYAVGUMKGSVENH-SECBINFHSA-N 0 0 287.337 2.658 20 5 CFBDRN CCO[C@H](C(=O)Oc1ccc(OC)cc1[N+](=O)[O-])C(C)C ZINC000860474827 636893280 /nfs/dbraw/zinc/89/32/80/636893280.db2.gz IIXKWHBRCURVBI-ZDUSSCGKSA-N 0 0 297.307 2.570 20 5 CFBDRN Cc1c(OC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)cccc1[N+](=O)[O-] ZINC000860479972 636893695 /nfs/dbraw/zinc/89/36/95/636893695.db2.gz YFHRADABFDFDFS-AEHQLWAISA-N 0 0 293.319 2.868 20 5 CFBDRN O=C(CC1CCOCC1)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000117533372 636896538 /nfs/dbraw/zinc/89/65/38/636896538.db2.gz PZDXREGAJMQPMY-UHFFFAOYSA-N 0 0 299.710 2.970 20 5 CFBDRN COC1CC(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000860507245 636900858 /nfs/dbraw/zinc/90/08/58/636900858.db2.gz QMEVSULURVWFLL-UHFFFAOYSA-N 0 0 285.683 2.579 20 5 CFBDRN CO[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000860584711 636918997 /nfs/dbraw/zinc/91/89/97/636918997.db2.gz IOWDNQNEZADPDI-KYZUINATSA-N 0 0 299.714 2.547 20 5 CFBDRN CCC[C@H](OCC)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000860606185 636925481 /nfs/dbraw/zinc/92/54/81/636925481.db2.gz FMOICDSIDBBQOC-AWEZNQCLSA-N 0 0 295.335 2.886 20 5 CFBDRN CCn1cc(C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)c(C)n1 ZINC000860627480 636932193 /nfs/dbraw/zinc/93/21/93/636932193.db2.gz DUBLKNFYLGSXAI-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN CC(C)(COC(=O)[C@H]1CC[C@@H]1c1ccc(F)cc1)[N+](=O)[O-] ZINC000860867298 636995388 /nfs/dbraw/zinc/99/53/88/636995388.db2.gz DSKBWWGWGGCPDQ-OLZOCXBDSA-N 0 0 295.310 2.918 20 5 CFBDRN C[C@H](CC(=O)OCCC[N+](=O)[O-])c1ccccc1F ZINC000860915157 637015310 /nfs/dbraw/zinc/01/53/10/637015310.db2.gz CEWOTTALJZNMCR-SNVBAGLBSA-N 0 0 269.272 2.529 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc2cc(Cl)ccc2o1 ZINC000860913855 637015375 /nfs/dbraw/zinc/01/53/75/637015375.db2.gz LBMCBFJDRMIHKT-UHFFFAOYSA-N 0 0 283.667 2.910 20 5 CFBDRN O=C(Cc1cc(Cl)ccc1Cl)OCCC[N+](=O)[O-] ZINC000860915843 637016034 /nfs/dbraw/zinc/01/60/34/637016034.db2.gz JSNDNPSTGUKIFM-UHFFFAOYSA-N 0 0 292.118 2.746 20 5 CFBDRN C/C(=C\c1cccc(Cl)c1)C(=O)OCCC[N+](=O)[O-] ZINC000860917558 637016052 /nfs/dbraw/zinc/01/60/52/637016052.db2.gz NJZPADNOLOGBJA-CSKARUKUSA-N 0 0 283.711 2.953 20 5 CFBDRN C[C@H](CCC(=O)OCCC[N+](=O)[O-])c1ccccc1 ZINC000860917120 637016116 /nfs/dbraw/zinc/01/61/16/637016116.db2.gz FSFKKZHUAZPTOA-GFCCVEGCSA-N 0 0 265.309 2.780 20 5 CFBDRN C[C@@H](C(=O)OCCC[N+](=O)[O-])c1cccc(Cl)c1 ZINC000860915568 637016188 /nfs/dbraw/zinc/01/61/88/637016188.db2.gz STQVZTAFRSQWKD-SECBINFHSA-N 0 0 271.700 2.654 20 5 CFBDRN CC[C@@H](CC(=O)OCCC[N+](=O)[O-])c1ccc(OC)cc1 ZINC000860917732 637016879 /nfs/dbraw/zinc/01/68/79/637016879.db2.gz SKGRLIFIUHPGSO-LBPRGKRZSA-N 0 0 295.335 2.789 20 5 CFBDRN O=C(Cc1c[nH]c2cccc(Cl)c12)OCCC[N+](=O)[O-] ZINC000860918231 637016965 /nfs/dbraw/zinc/01/69/65/637016965.db2.gz BVZCOIYTDJTMPP-UHFFFAOYSA-N 0 0 296.710 2.574 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(Cc2ccccc2)CCC1 ZINC000860918112 637017086 /nfs/dbraw/zinc/01/70/86/637017086.db2.gz XPKRRRFTURTXKR-UHFFFAOYSA-N 0 0 277.320 2.609 20 5 CFBDRN Cc1cc2cc(C(=O)OCCC[N+](=O)[O-])oc2cc1C ZINC000860921142 637018197 /nfs/dbraw/zinc/01/81/97/637018197.db2.gz OCRNXQNCHSUUCS-UHFFFAOYSA-N 0 0 277.276 2.873 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@]1(C)CCCOC1 ZINC000861041340 637052830 /nfs/dbraw/zinc/05/28/30/637052830.db2.gz PNXXRKHOTDDLEW-OAHLLOKOSA-N 0 0 293.319 2.877 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OC[C@H]1C[C@H]1C(C)(C)C ZINC000861111085 637089446 /nfs/dbraw/zinc/08/94/46/637089446.db2.gz IELNDQKHQKHMHB-MWLCHTKSSA-N 0 0 280.324 2.772 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC(C)(F)F ZINC000861224591 637122614 /nfs/dbraw/zinc/12/26/14/637122614.db2.gz DALYMYPXIAJGLC-UHFFFAOYSA-N 0 0 259.208 2.715 20 5 CFBDRN CC1(F)CN(C(=O)c2cc([N+](=O)[O-])cc3ccccc32)C1 ZINC000861438062 637195818 /nfs/dbraw/zinc/19/58/18/637195818.db2.gz HLWORPXZUWYLOD-UHFFFAOYSA-N 0 0 288.278 2.932 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1C[C@@H]2C[C@H]1CS2 ZINC000861957302 637260679 /nfs/dbraw/zinc/26/06/79/637260679.db2.gz DDLWSBNLGNGESS-YUMQZZPRSA-N 0 0 298.751 2.578 20 5 CFBDRN CCC(NC(=O)CCC(C)(C)[N+](=O)[O-])(C1CC1)C1CC1 ZINC000862685728 637464190 /nfs/dbraw/zinc/46/41/90/637464190.db2.gz AVDPGOHABZXZMA-UHFFFAOYSA-N 0 0 282.384 2.907 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N(C1CC1)C1CC1 ZINC000862853318 637509079 /nfs/dbraw/zinc/50/90/79/637509079.db2.gz GTIKPBNWKSMCHQ-KMKOMSMNSA-N 0 0 272.304 2.761 20 5 CFBDRN O=C(CCc1ccncc1)OCc1ccc([N+](=O)[O-])cc1 ZINC000119180172 637522254 /nfs/dbraw/zinc/52/22/54/637522254.db2.gz OTIDBXIAKKIZFS-UHFFFAOYSA-N 0 0 286.287 2.666 20 5 CFBDRN C/C=C/CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 ZINC000863118797 637563141 /nfs/dbraw/zinc/56/31/41/637563141.db2.gz FFFKVDYNRZTGLC-NSCUHMNNSA-N 0 0 289.335 2.501 20 5 CFBDRN CCC[C@@H](C)CCCn1cc([N+](=O)[O-])c(=O)c([N+](=O)[O-])c1 ZINC000863311257 637624019 /nfs/dbraw/zinc/62/40/19/637624019.db2.gz BKNKCDCASFTWGF-SNVBAGLBSA-N 0 0 297.311 2.881 20 5 CFBDRN CC(C)SCOc1ccc([N+](=O)[O-])c(CO)c1 ZINC000863324760 637627437 /nfs/dbraw/zinc/62/74/37/637627437.db2.gz JNDPVIILZNZTGS-UHFFFAOYSA-N 0 0 257.311 2.565 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCc2noc(C3CCC3)n2)c1 ZINC000863344844 637633072 /nfs/dbraw/zinc/63/30/72/637633072.db2.gz SEYMKNCIKUBCKO-UHFFFAOYSA-N 0 0 292.270 2.997 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2C[C@H]3C=C[C@@H]2C3)c(Br)n1 ZINC000863348161 637635018 /nfs/dbraw/zinc/63/50/18/637635018.db2.gz OZFPFMRDPBQWIY-DJLDLDEBSA-N 0 0 298.140 2.766 20 5 CFBDRN CC(C)Oc1ccc(Cn2ncc([N+](=O)[O-])n2)cc1Cl ZINC000863374930 637644366 /nfs/dbraw/zinc/64/43/66/637644366.db2.gz ZSAVQRQDKMRANT-UHFFFAOYSA-N 0 0 296.714 2.675 20 5 CFBDRN CCOC1CC(Oc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000863452501 637662294 /nfs/dbraw/zinc/66/22/94/637662294.db2.gz ZOFFKQTZNPAWDZ-UHFFFAOYSA-N 0 0 255.245 2.680 20 5 CFBDRN CC(C)(C)OC(=O)NOCc1cccc([N+](=O)[O-])c1 ZINC000863460758 637666484 /nfs/dbraw/zinc/66/64/84/637666484.db2.gz LQMINBUGXQSQFD-UHFFFAOYSA-N 0 0 268.269 2.551 20 5 CFBDRN CC(C)(CCC(=O)NC[C@H]1CCCC12CC2)[N+](=O)[O-] ZINC000863774671 637772530 /nfs/dbraw/zinc/77/25/30/637772530.db2.gz CTAUAUHBYCRLIC-LLVKDONJSA-N 0 0 268.357 2.518 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1ccco1 ZINC000863859600 637799752 /nfs/dbraw/zinc/79/97/52/637799752.db2.gz KRGGXGAIODWKPL-CHWSQXEVSA-N 0 0 286.287 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2cccc(-n3nccn3)c2)cc1 ZINC000864033039 637838341 /nfs/dbraw/zinc/83/83/41/637838341.db2.gz LOGCIAGSKQOGSC-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2cccc3c2NCC3)c1 ZINC000864544756 638022966 /nfs/dbraw/zinc/02/29/66/638022966.db2.gz RUFNKFRYFDRBOW-UHFFFAOYSA-N 0 0 284.315 2.765 20 5 CFBDRN CCOC1CC2(C[C@H]2C(=O)Oc2cccc([N+](=O)[O-])c2)C1 ZINC000864622671 638049948 /nfs/dbraw/zinc/04/99/48/638049948.db2.gz DURUIRHUDGKVMB-OWYJLGKBSA-N 0 0 291.303 2.705 20 5 CFBDRN CC(C)(Cn1cc(C2CCCCCC2)nn1)[N+](=O)[O-] ZINC000864641813 638056195 /nfs/dbraw/zinc/05/61/95/638056195.db2.gz CCUXKKWBMQYEKM-UHFFFAOYSA-N 0 0 266.345 2.771 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000864728019 638078478 /nfs/dbraw/zinc/07/84/78/638078478.db2.gz HKJGUILRGHJVGS-IGJVIKARSA-N 0 0 286.331 2.776 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000864788627 638093586 /nfs/dbraw/zinc/09/35/86/638093586.db2.gz OSFKSNAOWSFMBZ-PWSUYJOCSA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000864979974 638145677 /nfs/dbraw/zinc/14/56/77/638145677.db2.gz XEVRXSAMJSPPSB-KAOXEZKKSA-N 0 0 288.347 2.546 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COc1ccc([N+](=O)[O-])c(F)c1F ZINC000865368607 638287855 /nfs/dbraw/zinc/28/78/55/638287855.db2.gz BBZZOTVMYBAZFR-JGVFFNPUSA-N 0 0 273.235 2.677 20 5 CFBDRN C[C@@H](Cn1cc([N+](=O)[O-])cc(F)c1=O)C(C)(C)C ZINC000865370386 638290183 /nfs/dbraw/zinc/29/01/83/638290183.db2.gz QTAVHLGLBDFTDP-QMMMGPOBSA-N 0 0 256.277 2.578 20 5 CFBDRN CN(c1cc(F)c([N+](=O)[O-])cc1CO)C1CCCCC1 ZINC000865397848 638308702 /nfs/dbraw/zinc/30/87/02/638308702.db2.gz MVPSFECHFIFSKT-UHFFFAOYSA-N 0 0 282.315 2.995 20 5 CFBDRN Cc1cc(CN2c3ccc([N+](=O)[O-])cc3C[C@H]2C)no1 ZINC000076940945 650411904 /nfs/dbraw/zinc/41/19/04/650411904.db2.gz JJRAQWOFAYWMOP-SECBINFHSA-N 0 0 273.292 2.842 20 5 CFBDRN C[C@@](O)(CNc1ncc(F)cc1[N+](=O)[O-])c1cccs1 ZINC000865550782 638415619 /nfs/dbraw/zinc/41/56/19/638415619.db2.gz JULWTDZANNRFMX-GFCCVEGCSA-N 0 0 297.311 2.510 20 5 CFBDRN COc1ccc(OCc2ncc(Cl)n2C)c([N+](=O)[O-])c1 ZINC000015747969 638477279 /nfs/dbraw/zinc/47/72/79/638477279.db2.gz IIXOVFQIYVMAPT-UHFFFAOYSA-N 0 0 297.698 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NCCCCCCO)c2c1 ZINC000865655463 638492499 /nfs/dbraw/zinc/49/24/99/638492499.db2.gz HBNXLFLTHWUSKG-UHFFFAOYSA-N 0 0 289.335 2.530 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CCC2(CC2)CC1 ZINC000865670633 638502400 /nfs/dbraw/zinc/50/24/00/638502400.db2.gz BZPXYGHPPDXPPM-UHFFFAOYSA-N 0 0 292.310 2.679 20 5 CFBDRN CC(=O)OCCCSc1ccsc1[N+](=O)[O-] ZINC000865735549 638544031 /nfs/dbraw/zinc/54/40/31/638544031.db2.gz PWPVRFKOUJMTFR-UHFFFAOYSA-N 0 0 261.324 2.702 20 5 CFBDRN CCCSCC(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000077530113 650430046 /nfs/dbraw/zinc/43/00/46/650430046.db2.gz JSLBERGWODNBSM-UHFFFAOYSA-N 0 0 255.295 2.643 20 5 CFBDRN CC(C)([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])[C@H]1CCCCO1 ZINC000865745743 638550136 /nfs/dbraw/zinc/55/01/36/638550136.db2.gz JXLUNZRWCCRHQP-CQSZACIVSA-N 0 0 294.351 2.738 20 5 CFBDRN CON(CC(C)(C)C)c1ncc(F)cc1[N+](=O)[O-] ZINC000865799039 638579785 /nfs/dbraw/zinc/57/97/85/638579785.db2.gz GGPUUERTQZANCJ-UHFFFAOYSA-N 0 0 257.265 2.543 20 5 CFBDRN CN(CCF)c1nc2ccc([N+](=O)[O-])cc2s1 ZINC000865839737 638604530 /nfs/dbraw/zinc/60/45/30/638604530.db2.gz RLMRIFKTZAOZIB-UHFFFAOYSA-N 0 0 255.274 2.610 20 5 CFBDRN C[C@@H](NC(=O)Cc1cccc(F)c1[N+](=O)[O-])C1CCCC1 ZINC000865868201 638625725 /nfs/dbraw/zinc/62/57/25/638625725.db2.gz LDJQPYUWRGHJSJ-SNVBAGLBSA-N 0 0 294.326 2.971 20 5 CFBDRN COC(OC)[C@H](C)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865867464 638626197 /nfs/dbraw/zinc/62/61/97/638626197.db2.gz BYAYSLLRTNSHSR-ZETCQYMHSA-N 0 0 290.266 2.952 20 5 CFBDRN Cc1n[nH]cc1CN(C)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000865901089 638650042 /nfs/dbraw/zinc/65/00/42/638650042.db2.gz LFJSPWLHTMUHJO-UHFFFAOYSA-N 0 0 280.715 2.916 20 5 CFBDRN C[C@@H]1CCC[C@H](CNc2cc(F)c([N+](=O)[O-])cc2CO)O1 ZINC000865943563 638669103 /nfs/dbraw/zinc/66/91/03/638669103.db2.gz UGEUAFVDJDHLKS-MWLCHTKSSA-N 0 0 298.314 2.596 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(NCCCc2ccco2)cc1F ZINC000865953195 638674251 /nfs/dbraw/zinc/67/42/51/638674251.db2.gz ZDANKEXKEMJEFC-UHFFFAOYSA-N 0 0 294.282 2.864 20 5 CFBDRN CCC[C@@H](CCO)Nc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000866002731 638693489 /nfs/dbraw/zinc/69/34/89/638693489.db2.gz GQZJCZVQDWETMV-NSHDSACASA-N 0 0 280.324 2.760 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000120858241 638698215 /nfs/dbraw/zinc/69/82/15/638698215.db2.gz WEUNKRLCQVLRMS-SNVBAGLBSA-N 0 0 298.314 2.664 20 5 CFBDRN Cc1cc(N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)ccc1[N+](=O)[O-] ZINC000866046089 638710930 /nfs/dbraw/zinc/71/09/30/638710930.db2.gz QQBJICWMWXFSQY-GSZDYRQJSA-N 0 0 274.320 2.739 20 5 CFBDRN CC(C)(C)OC1CCC(Nc2ncc([N+](=O)[O-])cn2)CC1 ZINC000866048522 638712909 /nfs/dbraw/zinc/71/29/09/638712909.db2.gz CKOBWIQBNZDCDI-UHFFFAOYSA-N 0 0 294.355 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2[C@H](O)c2ccccc2)cn1 ZINC000866051652 638714101 /nfs/dbraw/zinc/71/41/01/638714101.db2.gz MWEGNOIYQKHUJT-GOEBONIOSA-N 0 0 299.330 2.692 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])[C@@H]1C ZINC000866054594 638715971 /nfs/dbraw/zinc/71/59/71/638715971.db2.gz GTBRDSLSGMCGNP-GHMZBOCLSA-N 0 0 294.326 2.923 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(C)(F)CC2)n1 ZINC000866100417 638737025 /nfs/dbraw/zinc/73/70/25/638737025.db2.gz UVVHJHFMPBVKCE-UHFFFAOYSA-N 0 0 253.277 2.627 20 5 CFBDRN CS[C@H]1C[C@H](Nc2nc(C)ccc2[N+](=O)[O-])C1 ZINC000866101162 638738012 /nfs/dbraw/zinc/73/80/12/638738012.db2.gz GPXYYOKEUGLXNL-KYZUINATSA-N 0 0 253.327 2.604 20 5 CFBDRN CS[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000866101015 638738083 /nfs/dbraw/zinc/73/80/83/638738083.db2.gz DCFKIKJFYHBVJY-FKQCQYRASA-N 0 0 273.745 2.949 20 5 CFBDRN COc1cccc(N[C@H]2C[C@H](SC)C2)c1[N+](=O)[O-] ZINC000866103227 638739977 /nfs/dbraw/zinc/73/99/77/638739977.db2.gz ZEYQKAAYMGBXKS-KYZUINATSA-N 0 0 268.338 2.909 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@@H](C2CCC2)[C@@H]2CCOC2)c1 ZINC000866140200 638754865 /nfs/dbraw/zinc/75/48/65/638754865.db2.gz AZQDNWQGZJWMTP-ABAIWWIYSA-N 0 0 291.351 2.794 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@@H](OC2CCC2)CC1 ZINC000866146009 638757142 /nfs/dbraw/zinc/75/71/42/638757142.db2.gz RNUBONATVULGMY-CYBMUJFWSA-N 0 0 291.351 2.918 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CC=CC[C@@H]3C2)c(F)c1 ZINC000866162177 638760913 /nfs/dbraw/zinc/76/09/13/638760913.db2.gz NHABULGSXJWIQV-AOOOYVTPSA-N 0 0 263.272 2.531 20 5 CFBDRN CN1Cc2ccccc2[C@H]1CNc1ncc([N+](=O)[O-])s1 ZINC000866193144 638766584 /nfs/dbraw/zinc/76/65/84/638766584.db2.gz AQGYHLDWYGLGEY-LLVKDONJSA-N 0 0 290.348 2.650 20 5 CFBDRN Nc1c(F)c(N2CC[C@H]3CSC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000866204788 638769963 /nfs/dbraw/zinc/76/99/63/638769963.db2.gz ZRXMYAGCBCPLBF-IUCAKERBSA-N 0 0 297.355 2.505 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3CSC[C@@H]3C2)c(F)c1 ZINC000866207509 638770516 /nfs/dbraw/zinc/77/05/16/638770516.db2.gz PNSCBWVMQHIKOC-ZJUUUORDSA-N 0 0 282.340 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3CSC[C@H]3C2)c(F)c1 ZINC000866207503 638770519 /nfs/dbraw/zinc/77/05/19/638770519.db2.gz PNSCBWVMQHIKOC-NXEZZACHSA-N 0 0 282.340 2.923 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@H](O)CC(C)(C)C1 ZINC000866227045 638774531 /nfs/dbraw/zinc/77/45/31/638774531.db2.gz RADPGLYWACPOJX-GFCCVEGCSA-N 0 0 264.325 2.500 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1cc(C)sc1[N+](=O)[O-] ZINC000866301604 638792006 /nfs/dbraw/zinc/79/20/06/638792006.db2.gz PJILKXRVIOVSGP-VXNVDRBHSA-N 0 0 258.343 2.926 20 5 CFBDRN Cc1cnc(NCC[C@H](O)C2CCCC2)c([N+](=O)[O-])c1 ZINC000866303203 638792698 /nfs/dbraw/zinc/79/26/98/638792698.db2.gz JMYGCFDNFPKRRU-ZDUSSCGKSA-N 0 0 279.340 2.651 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000866481204 638828449 /nfs/dbraw/zinc/82/84/49/638828449.db2.gz MTZNPVWAZXLUFN-GFCCVEGCSA-N 0 0 294.326 2.781 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000867465693 638879604 /nfs/dbraw/zinc/87/96/04/638879604.db2.gz PKOIIZXWFZDDBC-SNVBAGLBSA-N 0 0 294.326 2.971 20 5 CFBDRN CCCOCCOC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000867785907 638897852 /nfs/dbraw/zinc/89/78/52/638897852.db2.gz ZVFKFDXOHVUCCR-UHFFFAOYSA-N 0 0 296.323 2.556 20 5 CFBDRN CCCOCCOC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000077877524 650458068 /nfs/dbraw/zinc/45/80/68/650458068.db2.gz HFYWWWDDJCEIED-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN CC(C)=CCNC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000868533924 638953666 /nfs/dbraw/zinc/95/36/66/638953666.db2.gz OLFPDGOVSMGRTI-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CC[C@@H]1C(F)F ZINC000868599081 638960210 /nfs/dbraw/zinc/96/02/10/638960210.db2.gz PIKKPZBATJBYOM-SECBINFHSA-N 0 0 290.653 2.728 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSC2=NCCS2)c1 ZINC000077896088 650459600 /nfs/dbraw/zinc/45/96/00/650459600.db2.gz JGOUQUPHYKWURC-UHFFFAOYSA-N 0 0 284.362 2.810 20 5 CFBDRN CC[C@H](C)CONC(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000868639777 638964256 /nfs/dbraw/zinc/96/42/56/638964256.db2.gz MKDDMSOJYJKTOV-JTQLQIEISA-N 0 0 295.339 2.680 20 5 CFBDRN Cc1ccc(CNC(=O)N2C[C@@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000869053671 639016671 /nfs/dbraw/zinc/01/66/71/639016671.db2.gz QPWNCYNOGMKCLB-VXGBXAGGSA-N 0 0 291.351 2.701 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000869066142 639020416 /nfs/dbraw/zinc/02/04/16/639020416.db2.gz GKKICCDYFYPNJJ-SASUGWTJSA-N 0 0 289.335 2.867 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CCC12CCCC2 ZINC000869127109 639035125 /nfs/dbraw/zinc/03/51/25/639035125.db2.gz NTQPASVOWQRSIF-UHFFFAOYSA-N 0 0 292.310 2.822 20 5 CFBDRN CCCN(C(=O)Cc1cccc(F)c1[N+](=O)[O-])C(C)C ZINC000869187475 639068795 /nfs/dbraw/zinc/06/87/95/639068795.db2.gz SFOKBXSILYTPEH-UHFFFAOYSA-N 0 0 282.315 2.923 20 5 CFBDRN C[C@@H]1OCC[C@H]1COC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000869429146 639225282 /nfs/dbraw/zinc/22/52/82/639225282.db2.gz STSYQZGQGXUOSO-IUCAKERBSA-N 0 0 299.710 2.830 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@H](C)C(C)(C)C)n1 ZINC000869488111 639256204 /nfs/dbraw/zinc/25/62/04/639256204.db2.gz SVEYBCXDMHGLME-VIFPVBQESA-N 0 0 283.328 2.650 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@H]1CCO[C@H]1C ZINC000869545252 639289530 /nfs/dbraw/zinc/28/95/30/639289530.db2.gz WHZUOUXHFYPVHF-VHSXEESVSA-N 0 0 297.282 2.624 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCC[C@@H]1CCSC1 ZINC000869607134 639320374 /nfs/dbraw/zinc/32/03/74/639320374.db2.gz GXXGSNCUYSCAHN-LLVKDONJSA-N 0 0 294.376 2.776 20 5 CFBDRN O=C(NCC[C@H]1CCSC1)c1ccc([N+](=O)[O-])s1 ZINC000869613437 639323471 /nfs/dbraw/zinc/32/34/71/639323471.db2.gz VCJSBRYNXLHFDP-QMMMGPOBSA-N 0 0 286.378 2.529 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCC(C)(C)F)c1F ZINC000869622882 639328210 /nfs/dbraw/zinc/32/82/10/639328210.db2.gz PYZKUHCZFBRACC-UHFFFAOYSA-N 0 0 290.241 2.659 20 5 CFBDRN CC(C)(F)CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000869624880 639329355 /nfs/dbraw/zinc/32/93/55/639329355.db2.gz MDHBGLYTBFFCAI-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2cc(F)ccc2[N+](=O)[O-])[C@H](C)O1 ZINC000869653466 639342239 /nfs/dbraw/zinc/34/22/39/639342239.db2.gz KSHNJDRQJSMRKG-PTRXPTGYSA-N 0 0 297.282 2.591 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC(CCF)CC1 ZINC000870279619 639577083 /nfs/dbraw/zinc/57/70/83/639577083.db2.gz NNSCSXKYRFCZGU-UHFFFAOYSA-N 0 0 298.289 2.946 20 5 CFBDRN CCCc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])s1 ZINC000870385188 639619053 /nfs/dbraw/zinc/61/90/53/639619053.db2.gz HNHZHULBUPRLSC-QMMMGPOBSA-N 0 0 257.311 2.523 20 5 CFBDRN C[C@@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1ccc(F)nc1 ZINC000870495349 639692130 /nfs/dbraw/zinc/69/21/30/639692130.db2.gz SPUQZBKRMDSBAN-SECBINFHSA-N 0 0 284.287 2.660 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000870576885 639731369 /nfs/dbraw/zinc/73/13/69/639731369.db2.gz RGKBUNUPUNVSMG-AOOOYVTPSA-N 0 0 281.333 2.954 20 5 CFBDRN CO[C@H]1CCC[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000078781270 650532025 /nfs/dbraw/zinc/53/20/25/650532025.db2.gz SDSMXAFCAQEIPF-STQMWFEESA-N 0 0 279.292 2.709 20 5 CFBDRN CC[C@H](C)[C@H](C)C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870827842 641091023 /nfs/dbraw/zinc/09/10/23/641091023.db2.gz GQSMFQVQCUPOEZ-QKIYJJFGSA-N 0 0 295.339 2.720 20 5 CFBDRN CCC/C(C)=C\C(=O)OC1CC(n2cc([N+](=O)[O-])cn2)C1 ZINC000870830360 641091423 /nfs/dbraw/zinc/09/14/23/641091423.db2.gz ZUFZOYLERRMSCG-YHYXMXQVSA-N 0 0 293.323 2.784 20 5 CFBDRN CC(C)(NC(=O)c1csc([N+](=O)[O-])c1)[C@H]1CCCCO1 ZINC000871166734 641228901 /nfs/dbraw/zinc/22/89/01/641228901.db2.gz WTPVDDVUSCBYDV-SNVBAGLBSA-N 0 0 298.364 2.734 20 5 CFBDRN COC(C)(C)CCNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000871258857 641268300 /nfs/dbraw/zinc/26/83/00/641268300.db2.gz XHMRMNZNIBJPGZ-NSHDSACASA-N 0 0 294.351 2.630 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1C[C@@H]2CC=CC[C@@H]2C1 ZINC000871517461 641338156 /nfs/dbraw/zinc/33/81/56/641338156.db2.gz GGZQZLFGUDSAIZ-PHIMTYICSA-N 0 0 290.294 2.772 20 5 CFBDRN CN(CCCCc1ccccc1)Cn1nccc1[N+](=O)[O-] ZINC000193422543 650651826 /nfs/dbraw/zinc/65/18/26/650651826.db2.gz APEASYBMNOZZKY-UHFFFAOYSA-N 0 0 288.351 2.704 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000871631013 641375256 /nfs/dbraw/zinc/37/52/56/641375256.db2.gz CKIKEJABVBYCMR-DRZSPHRISA-N 0 0 293.319 2.940 20 5 CFBDRN O=Cc1ccc(OC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c([N+](=O)[O-])c1 ZINC000871636899 641376990 /nfs/dbraw/zinc/37/69/90/641376990.db2.gz UYGGTEIZLPBUAM-OBJOEFQTSA-N 0 0 291.303 2.744 20 5 CFBDRN COc1ccc(OC[C@@H]2C[C@H]3CCC[C@@H]3O2)c([N+](=O)[O-])c1 ZINC000871637877 641377042 /nfs/dbraw/zinc/37/70/42/641377042.db2.gz PZMIOTJYYQFVGY-OSMZGAPFSA-N 0 0 293.319 2.940 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1nccn1CC(F)F ZINC000871648530 641381741 /nfs/dbraw/zinc/38/17/41/641381741.db2.gz MFZGCWALYAANHK-UHFFFAOYSA-N 0 0 297.261 2.944 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1nccn1CC(F)F ZINC000871663787 641388632 /nfs/dbraw/zinc/38/86/32/641388632.db2.gz REDCJJMQLSBHKV-UHFFFAOYSA-N 0 0 297.261 2.944 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2scnc2Cl)nc1C1CC1 ZINC000871667310 641392492 /nfs/dbraw/zinc/39/24/92/641392492.db2.gz HMIWZWSATRXCRX-UHFFFAOYSA-N 0 0 284.728 2.827 20 5 CFBDRN O=[N+]([O-])c1cn(C2CC(CF)(CF)C2)nc1C1CC1 ZINC000871667511 641392694 /nfs/dbraw/zinc/39/26/94/641392694.db2.gz MMKSVOZVIQKBLX-UHFFFAOYSA-N 0 0 271.267 2.929 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1OC[C@@H]1CC2(CCC2)CO1 ZINC000871671718 641394962 /nfs/dbraw/zinc/39/49/62/641394962.db2.gz RERMUWBBUKQNIY-VIFPVBQESA-N 0 0 298.726 2.981 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1C ZINC000871675568 641397000 /nfs/dbraw/zinc/39/70/00/641397000.db2.gz HNECYJGIXFMZNL-OBJOEFQTSA-N 0 0 292.335 2.943 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1 ZINC000871677419 641398606 /nfs/dbraw/zinc/39/86/06/641398606.db2.gz JWOHXMSKICPNNY-JKOKRWQUSA-N 0 0 293.319 2.940 20 5 CFBDRN CC1(COc2cc(Cl)c([N+](=O)[O-])cc2F)COC1 ZINC000871694228 641408564 /nfs/dbraw/zinc/40/85/64/641408564.db2.gz IATINNXVKOWWGR-UHFFFAOYSA-N 0 0 275.663 2.803 20 5 CFBDRN CC[C@@H](C)Oc1ccc(Br)nc1[N+](=O)[O-] ZINC000871693626 641408862 /nfs/dbraw/zinc/40/88/62/641408862.db2.gz PSHFEJARQFQUDP-ZCFIWIBFSA-N 0 0 275.102 2.930 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1Cn1cnc(C(C)(C)C)n1 ZINC000871751822 641439726 /nfs/dbraw/zinc/43/97/26/641439726.db2.gz RSRBVNDRAOLLTG-UHFFFAOYSA-N 0 0 290.323 2.541 20 5 CFBDRN CC1(NC(=O)Nc2ccc([N+](=O)[O-])cc2)CC(F)(F)C1 ZINC000871765258 641447671 /nfs/dbraw/zinc/44/76/71/641447671.db2.gz YYGHUDXWQXLNDL-UHFFFAOYSA-N 0 0 285.250 2.904 20 5 CFBDRN CC[C@@H](C)COC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000123776395 641450123 /nfs/dbraw/zinc/45/01/23/641450123.db2.gz XOQCDWZSJPSORJ-SECBINFHSA-N 0 0 267.281 2.806 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2c(C)c([N+](=O)[O-])ccc2F)C1 ZINC000871835124 641473823 /nfs/dbraw/zinc/47/38/23/641473823.db2.gz DLMFLVZNWAMMCL-AOOOYVTPSA-N 0 0 268.288 2.879 20 5 CFBDRN Cc1c(C[NH2+]C(C)(C)C[C@@H](C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000871874517 641493047 /nfs/dbraw/zinc/49/30/47/641493047.db2.gz QQZDLGNUKPOCAM-SNVBAGLBSA-N 0 0 294.351 2.882 20 5 CFBDRN Cc1c(C[NH2+][C@@H]2CCC[C@@]2(C)C(=O)[O-])cccc1[N+](=O)[O-] ZINC000871878950 641495871 /nfs/dbraw/zinc/49/58/71/641495871.db2.gz WVKLMKKVMGOELQ-UKRRQHHQSA-N 0 0 292.335 2.636 20 5 CFBDRN O=[N+]([O-])c1ccc(-n2nc(C(F)F)cc2C2CC2)nc1 ZINC000871943775 641521607 /nfs/dbraw/zinc/52/16/07/641521607.db2.gz RZHIGDBCIPYOLD-UHFFFAOYSA-N 0 0 280.234 2.991 20 5 CFBDRN CCc1cc(CN[C@H](C)c2c(F)cccc2[N+](=O)[O-])[nH]n1 ZINC000872036119 641568582 /nfs/dbraw/zinc/56/85/82/641568582.db2.gz RWSCQNJBOYJCJJ-SECBINFHSA-N 0 0 292.314 2.870 20 5 CFBDRN Cc1n[nH]cc1CN[C@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872047195 641580913 /nfs/dbraw/zinc/58/09/13/641580913.db2.gz CHHYRQPBNPHGFN-SECBINFHSA-N 0 0 278.287 2.616 20 5 CFBDRN Cc1n[nH]cc1CN[C@@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872047196 641581106 /nfs/dbraw/zinc/58/11/06/641581106.db2.gz CHHYRQPBNPHGFN-VIFPVBQESA-N 0 0 278.287 2.616 20 5 CFBDRN CC(C)OCCN[C@@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872062795 641593911 /nfs/dbraw/zinc/59/39/11/641593911.db2.gz QDVQOFLXENUCSB-JTQLQIEISA-N 0 0 270.304 2.810 20 5 CFBDRN Cc1nn(CN2CC(C)(C)[C@@H]2c2ccco2)cc1[N+](=O)[O-] ZINC000872634330 641882902 /nfs/dbraw/zinc/88/29/02/641882902.db2.gz OPVWHOYCQRSFHO-ZDUSSCGKSA-N 0 0 290.323 2.733 20 5 CFBDRN CC[C@H](C)COC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000124194351 641887564 /nfs/dbraw/zinc/88/75/64/641887564.db2.gz VVXYQVGIKOFFRT-JTQLQIEISA-N 0 0 266.297 2.596 20 5 CFBDRN CC[C@@H](C)COC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000124194134 641888206 /nfs/dbraw/zinc/88/82/06/641888206.db2.gz VVXYQVGIKOFFRT-SNVBAGLBSA-N 0 0 266.297 2.596 20 5 CFBDRN CC(C)(O)[C@@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000872676509 641893700 /nfs/dbraw/zinc/89/37/00/641893700.db2.gz SMFKSZDTGYLCCY-SNVBAGLBSA-N 0 0 282.315 2.721 20 5 CFBDRN CC1(C)CO[C@@H](CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000872687106 641897393 /nfs/dbraw/zinc/89/73/93/641897393.db2.gz UWFXKWUWEZZPOJ-SNVBAGLBSA-N 0 0 290.323 2.698 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000872697909 641902060 /nfs/dbraw/zinc/90/20/60/641902060.db2.gz CTYIDQCMEDNCBU-DRZSPHRISA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@@]1(C(F)(F)F)CCN(c2ccc([N+](=O)[O-])nc2)C1 ZINC000872729534 641915411 /nfs/dbraw/zinc/91/54/11/641915411.db2.gz WIXNLDRJOHPZMT-SNVBAGLBSA-N 0 0 275.230 2.769 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cn1)[C@@H](O)c1ccccc1 ZINC000872776227 641930271 /nfs/dbraw/zinc/93/02/71/641930271.db2.gz WSJFEECPTQYJGF-HIFRSBDPSA-N 0 0 287.319 2.914 20 5 CFBDRN COC(=O)[C@]12CCC[C@H]1N(c1ccc([N+](=O)[O-])s1)CC2 ZINC000872787051 641938992 /nfs/dbraw/zinc/93/89/92/641938992.db2.gz FJZQBKMHCFZSAP-RNCFNFMXSA-N 0 0 296.348 2.578 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C[NH2+]Cc1ccn(C)c1 ZINC000873069012 642003279 /nfs/dbraw/zinc/00/32/79/642003279.db2.gz FLPCYAQOYUCELN-UHFFFAOYSA-N 0 0 273.336 2.840 20 5 CFBDRN CC(C)[C@@H](C)CNc1ccc([N+](=O)[O-])c2nonc21 ZINC000081069931 650721605 /nfs/dbraw/zinc/72/16/05/650721605.db2.gz YDCSTOYPNSDCNQ-QMMMGPOBSA-N 0 0 264.285 2.835 20 5 CFBDRN CCOc1ccc(C(=O)O[C@H](CC)C(C)=O)cc1[N+](=O)[O-] ZINC000873337049 642139707 /nfs/dbraw/zinc/13/97/07/642139707.db2.gz LJIMAJUNEUPVKJ-GFCCVEGCSA-N 0 0 295.291 2.518 20 5 CFBDRN CC[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(C)=O ZINC000873356523 642150241 /nfs/dbraw/zinc/15/02/41/642150241.db2.gz FKCDAQFJPDYRPJ-JTQLQIEISA-N 0 0 285.683 2.773 20 5 CFBDRN CC[C@H](OC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C(C)=O ZINC000873402538 642186280 /nfs/dbraw/zinc/18/62/80/642186280.db2.gz RUQOOGGPKOMWAT-ZDUSSCGKSA-N 0 0 279.292 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OC[C@@H]1CCO[C@H]1C ZINC000873438224 642205111 /nfs/dbraw/zinc/20/51/11/642205111.db2.gz FQGGHFLKDJVBBA-RYUDHWBXSA-N 0 0 293.319 2.793 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CO[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000873578770 642259223 /nfs/dbraw/zinc/25/92/23/642259223.db2.gz SWWVOXSFEAKAKS-HBNTYKKESA-N 0 0 279.292 2.624 20 5 CFBDRN CCO[C@H]1C[C@H]1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000873578666 642259599 /nfs/dbraw/zinc/25/95/99/642259599.db2.gz DYWXGCUDZISCNC-XWIASGKRSA-N 0 0 279.292 2.624 20 5 CFBDRN COc1c(C(=O)O[C@H](C)[C@@H]2CC23CC3)cccc1[N+](=O)[O-] ZINC000873626459 642277809 /nfs/dbraw/zinc/27/78/09/642277809.db2.gz XWTSJFULPKMAMB-KOLCDFICSA-N 0 0 291.303 2.949 20 5 CFBDRN C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)[C@H]1CC12CC2 ZINC000873627616 642278747 /nfs/dbraw/zinc/27/87/47/642278747.db2.gz AJMBPIYELVUWEA-BXKDBHETSA-N 0 0 261.277 2.940 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)[C@H]1CC12CC2 ZINC000873628010 642279577 /nfs/dbraw/zinc/27/95/77/642279577.db2.gz APWJCGRMTVNKRK-BXKDBHETSA-N 0 0 261.277 2.940 20 5 CFBDRN C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])[C@@H]1CC12CC2 ZINC000873630512 642280350 /nfs/dbraw/zinc/28/03/50/642280350.db2.gz HCKGWALFLXRTJJ-PWSUYJOCSA-N 0 0 275.304 2.869 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C3CCC2CC3)c([N+](=O)[O-])c1 ZINC000874322962 642369023 /nfs/dbraw/zinc/36/90/23/642369023.db2.gz SYZNCWDCZUMDRU-UHFFFAOYSA-N 0 0 263.253 2.634 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000195351859 650748551 /nfs/dbraw/zinc/74/85/51/650748551.db2.gz OMESKZJLLVIAQY-ZETCQYMHSA-N 0 0 272.688 2.612 20 5 CFBDRN O=C(Nc1ccc2c(n1)CCCC2)c1ccc([N+](=O)[O-])cn1 ZINC000874672576 642448207 /nfs/dbraw/zinc/44/82/07/642448207.db2.gz JJPSWYPURAQREP-UHFFFAOYSA-N 0 0 298.302 2.516 20 5 CFBDRN COc1cc(C(=O)N[C@H]2CC23CCC3)cc([N+](=O)[O-])c1C ZINC000874780094 642480160 /nfs/dbraw/zinc/48/01/60/642480160.db2.gz LGENVWDERVVSRS-ZDUSSCGKSA-N 0 0 290.319 2.584 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CC12CCC2 ZINC000874780875 642481146 /nfs/dbraw/zinc/48/11/46/642481146.db2.gz SRWCSIASYWBHFX-LBPRGKRZSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000874784502 642485438 /nfs/dbraw/zinc/48/54/38/642485438.db2.gz JTSWOTLASZYJHA-ZDUSSCGKSA-N 0 0 297.314 2.815 20 5 CFBDRN Cc1nn(CCC(=O)O[C@@H](C)C2CCC2)c(C)c1[N+](=O)[O-] ZINC000083148608 650761042 /nfs/dbraw/zinc/76/10/42/650761042.db2.gz ZNCBQMAZYKTMNX-NSHDSACASA-N 0 0 295.339 2.530 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000875054536 642581204 /nfs/dbraw/zinc/58/12/04/642581204.db2.gz VQVJNRMSOMCBIC-APHKKCJPSA-N 0 0 278.283 2.965 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000875054672 642581343 /nfs/dbraw/zinc/58/13/43/642581343.db2.gz YAWRPQQDHSKUTG-DQDDRIPDSA-N 0 0 278.283 2.965 20 5 CFBDRN Cc1ccc(CNC(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000875542436 642639336 /nfs/dbraw/zinc/63/93/36/642639336.db2.gz BCTRDPHURJREDB-DABQJJPHSA-N 0 0 289.335 2.501 20 5 CFBDRN CCON(CC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000875625318 642654079 /nfs/dbraw/zinc/65/40/79/642654079.db2.gz IFAZCRKFOHITLG-JTQLQIEISA-N 0 0 281.312 2.639 20 5 CFBDRN Cc1cc(CNCc2cc(Cl)ccc2[N+](=O)[O-])no1 ZINC000084439934 650774284 /nfs/dbraw/zinc/77/42/84/650774284.db2.gz JAIWYDZCRAREAN-UHFFFAOYSA-N 0 0 281.699 2.834 20 5 CFBDRN CC(C)(C)CCNc1cc2c(cc1[N+](=O)[O-])OCC(=O)N2 ZINC000125645714 642713339 /nfs/dbraw/zinc/71/33/39/642713339.db2.gz FXTUSUSCYZUORJ-UHFFFAOYSA-N 0 0 293.323 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N(C2CC2)C2CC2)c1F ZINC000875919636 642715192 /nfs/dbraw/zinc/71/51/92/642715192.db2.gz MPSHEZAXQJSSTI-UHFFFAOYSA-N 0 0 278.283 2.809 20 5 CFBDRN CCN(C(=O)NCc1ccc(C)c([N+](=O)[O-])c1)C1CCC1 ZINC000876171006 642786910 /nfs/dbraw/zinc/78/69/10/642786910.db2.gz HOFCVOROXVWLAM-UHFFFAOYSA-N 0 0 291.351 2.987 20 5 CFBDRN CC[C@]1(C(=O)[O-])CCC[N@H+](Cc2csc([N+](=O)[O-])c2)C1 ZINC000086784079 650797106 /nfs/dbraw/zinc/79/71/06/650797106.db2.gz MEVIFXWRXANSIW-ZDUSSCGKSA-N 0 0 298.364 2.733 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000876425955 642864000 /nfs/dbraw/zinc/86/40/00/642864000.db2.gz GFBZIWRMPIPGKX-LBPRGKRZSA-N 0 0 275.308 2.967 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000876481411 642884199 /nfs/dbraw/zinc/88/41/99/642884199.db2.gz ABKVSWXTHZNCOI-ZDUSSCGKSA-N 0 0 289.335 2.699 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2ccnc2)ccc1Br ZINC000125980766 642892089 /nfs/dbraw/zinc/89/20/89/642892089.db2.gz SOXXXKKLHRTAGW-UHFFFAOYSA-N 0 0 282.097 2.602 20 5 CFBDRN COCC1(N(C)C(=O)c2cc(C)ccc2[N+](=O)[O-])CCC1 ZINC000876497590 642893245 /nfs/dbraw/zinc/89/32/45/642893245.db2.gz WAFIMWTVIIEJGW-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(F)nc1 ZINC000086613687 650796742 /nfs/dbraw/zinc/79/67/42/650796742.db2.gz DUVWUEVFFGHKPO-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN Cc1ccc2c(c1)CCCN2Cc1cn(C)nc1[N+](=O)[O-] ZINC000877179906 643191434 /nfs/dbraw/zinc/19/14/34/643191434.db2.gz BIEPMINYNLBTQF-UHFFFAOYSA-N 0 0 286.335 2.590 20 5 CFBDRN CSCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1 ZINC000877887179 643624244 /nfs/dbraw/zinc/62/42/44/643624244.db2.gz DYJCIGWBYFAEJI-UHFFFAOYSA-N 0 0 267.354 2.569 20 5 CFBDRN CC1CC(Cn2cnc(-c3ccc([N+](=O)[O-])cc3)n2)C1 ZINC000877887884 643624369 /nfs/dbraw/zinc/62/43/69/643624369.db2.gz YRIBXBBPKQRFAE-UHFFFAOYSA-N 0 0 272.308 2.899 20 5 CFBDRN O=c1ccn(CC2CCC3(CC3)CC2)cc1[N+](=O)[O-] ZINC000877888931 643625302 /nfs/dbraw/zinc/62/53/02/643625302.db2.gz FEKGVCZYGFOEBU-UHFFFAOYSA-N 0 0 262.309 2.727 20 5 CFBDRN CCON(CC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000877902920 643631979 /nfs/dbraw/zinc/63/19/79/643631979.db2.gz ITPLCAJOQMZBJP-UHFFFAOYSA-N 0 0 267.285 2.709 20 5 CFBDRN Cc1conc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000877917522 643639528 /nfs/dbraw/zinc/63/95/28/643639528.db2.gz WQPSUJCXHVMEQG-UHFFFAOYSA-N 0 0 261.281 2.523 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nnc(CN2CCCCC2)o1 ZINC000877945159 643657216 /nfs/dbraw/zinc/65/72/16/643657216.db2.gz MOXUHDNQDHKGMV-UHFFFAOYSA-N 0 0 288.307 2.631 20 5 CFBDRN CCCC[C@H](C)OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000127014405 643819537 /nfs/dbraw/zinc/81/95/37/643819537.db2.gz UGTJCWOREHXQRQ-JTQLQIEISA-N 0 0 283.328 2.648 20 5 CFBDRN Cc1ccc(OC(=O)Cc2ccoc2)cc1[N+](=O)[O-] ZINC000127224777 643950206 /nfs/dbraw/zinc/95/02/06/643950206.db2.gz DLLUBIDHIMILLI-UHFFFAOYSA-N 0 0 261.233 2.644 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCCCn1cccn1 ZINC000127365820 644051671 /nfs/dbraw/zinc/05/16/71/644051671.db2.gz BECGQZCKQHDRDV-UHFFFAOYSA-N 0 0 280.715 2.947 20 5 CFBDRN CN(Cc1ccns1)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000878900681 644066920 /nfs/dbraw/zinc/06/69/20/644066920.db2.gz GYBLANGCDVUIMW-UHFFFAOYSA-N 0 0 281.312 2.822 20 5 CFBDRN COc1ccc(NC(=O)NC[C@@H]2C=CCC2)cc1[N+](=O)[O-] ZINC000879142775 644157234 /nfs/dbraw/zinc/15/72/34/644157234.db2.gz CPTVHAMUEIFMGE-SNVBAGLBSA-N 0 0 291.307 2.691 20 5 CFBDRN COc1ccc(NC(=O)N2CCC(F)CC2)cc1[N+](=O)[O-] ZINC000879145071 644157849 /nfs/dbraw/zinc/15/78/49/644157849.db2.gz RPPDKRKTQMRLKR-UHFFFAOYSA-N 0 0 297.286 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\Sc2nncs2)o1 ZINC000209877400 644174404 /nfs/dbraw/zinc/17/44/04/644174404.db2.gz HTKKMRWDDBPXEP-ARJAWSKDSA-N 0 0 255.280 2.802 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1C=CCC1 ZINC000879231083 644183139 /nfs/dbraw/zinc/18/31/39/644183139.db2.gz SONSLKDSFJOZFR-SNVBAGLBSA-N 0 0 291.307 2.691 20 5 CFBDRN Cc1cc(F)cc(NC(=O)c2cc([N+](=O)[O-])ccc2N)c1 ZINC000091527028 650901775 /nfs/dbraw/zinc/90/17/75/650901775.db2.gz BWVWCVQEGUZKLO-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN C[C@@H]1CO[C@@H](C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000888770758 644244246 /nfs/dbraw/zinc/24/42/46/644244246.db2.gz QLBWELUSKPSODT-WRWORJQWSA-N 0 0 284.699 2.612 20 5 CFBDRN CCON(CC)CCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000879795946 644428523 /nfs/dbraw/zinc/42/85/23/644428523.db2.gz FDWHGOVVRZHQGC-UHFFFAOYSA-N 0 0 295.339 2.505 20 5 CFBDRN Cc1coc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 ZINC000879919080 644462523 /nfs/dbraw/zinc/46/25/23/644462523.db2.gz JUVBVWIYCGRZHZ-UHFFFAOYSA-N 0 0 288.303 2.811 20 5 CFBDRN C[C@@]12CN(c3ncc([N+](=O)[O-])s3)C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000957077931 650935280 /nfs/dbraw/zinc/93/52/80/650935280.db2.gz RFXXLTGICYKMKN-COMAGPEQSA-N 0 0 279.365 2.924 20 5 CFBDRN CC1(C)CCCC[C@@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000094332549 650956474 /nfs/dbraw/zinc/95/64/74/650956474.db2.gz ALQFHIVRXMOUSP-ZDUSSCGKSA-N 0 0 291.351 2.876 20 5 CFBDRN CCO[C@@H]1CCCN(Cc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000094774627 650963161 /nfs/dbraw/zinc/96/31/61/650963161.db2.gz KWOYZAQBKVQQKW-GFCCVEGCSA-N 0 0 282.315 2.735 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2C=CCC2)c1 ZINC000881494149 644993953 /nfs/dbraw/zinc/99/39/53/644993953.db2.gz DSJUCFAKSCAWFG-LLVKDONJSA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC[C@H]1C=CCC1 ZINC000881495993 644995665 /nfs/dbraw/zinc/99/56/65/644995665.db2.gz QQGMUUIKKXJPAJ-ZDUSSCGKSA-N 0 0 274.320 2.610 20 5 CFBDRN CC(C)(CCC(=O)NCC1(CC2CC2)CC1)[N+](=O)[O-] ZINC000881520044 645014871 /nfs/dbraw/zinc/01/48/71/645014871.db2.gz WCJRBSIGAIMFPR-UHFFFAOYSA-N 0 0 268.357 2.518 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NC[C@]2(C)CC2(C)C)c1 ZINC000881540329 645032792 /nfs/dbraw/zinc/03/27/92/645032792.db2.gz HEOWLKWFVAMVNP-HNNXBMFYSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1c(C(=O)N2CCC[C@@H](CF)C2)cccc1[N+](=O)[O-] ZINC000881591660 645064961 /nfs/dbraw/zinc/06/49/61/645064961.db2.gz SKCQCYMIVJCQLE-NSHDSACASA-N 0 0 280.299 2.725 20 5 CFBDRN CSc1ccc(C(=O)N2CCC(F)CC2)cc1[N+](=O)[O-] ZINC000881604382 645074415 /nfs/dbraw/zinc/07/44/15/645074415.db2.gz AWRNJNWSMDKTHZ-UHFFFAOYSA-N 0 0 298.339 2.891 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC(F)CC1 ZINC000881608018 645077096 /nfs/dbraw/zinc/07/70/96/645077096.db2.gz FKPQKFNIMATLPK-UHFFFAOYSA-N 0 0 291.282 2.650 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@H](CF)C1 ZINC000881669935 645097767 /nfs/dbraw/zinc/09/77/67/645097767.db2.gz YDHKVMSFFODZQG-SECBINFHSA-N 0 0 291.282 2.508 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC[C@H](CF)C1 ZINC000881678869 645104339 /nfs/dbraw/zinc/10/43/39/645104339.db2.gz FVJDQAZOOIEKGS-LLVKDONJSA-N 0 0 280.299 2.643 20 5 CFBDRN C[C@@H]1CCCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000881858910 645142034 /nfs/dbraw/zinc/14/20/34/645142034.db2.gz QMTDBLVRNXIZJY-SECBINFHSA-N 0 0 253.277 2.755 20 5 CFBDRN CC(=O)c1c(NCC2(O)CCCCC2)cccc1[N+](=O)[O-] ZINC000881880023 645146572 /nfs/dbraw/zinc/14/65/72/645146572.db2.gz YCCQMVIOWUDVDP-UHFFFAOYSA-N 0 0 292.335 2.905 20 5 CFBDRN CCc1nc(CCNc2ccnc(F)c2[N+](=O)[O-])cs1 ZINC000881906071 645151530 /nfs/dbraw/zinc/15/15/30/645151530.db2.gz CRTGMXBVRBINNG-UHFFFAOYSA-N 0 0 296.327 2.802 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCC3(CCOCC3)O2)cc1 ZINC000882034638 645183578 /nfs/dbraw/zinc/18/35/78/645183578.db2.gz XIKVETBNZZVOBX-AWEZNQCLSA-N 0 0 293.319 2.702 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC(OCC2CC2)CC1 ZINC000882039929 645185350 /nfs/dbraw/zinc/18/53/50/645185350.db2.gz UGQDJDGNAFWDGC-UHFFFAOYSA-N 0 0 295.314 2.524 20 5 CFBDRN CC(C)[C@H](O)CCOc1ccc([N+](=O)[O-])c(F)c1 ZINC000882110939 645205987 /nfs/dbraw/zinc/20/59/87/645205987.db2.gz VQBALFWUIJSVHS-GFCCVEGCSA-N 0 0 257.261 2.520 20 5 CFBDRN CC(C)[C@H](O)CCn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000882154133 645221766 /nfs/dbraw/zinc/22/17/66/645221766.db2.gz XGOADZSVIBOSMV-OAHLLOKOSA-N 0 0 289.335 2.865 20 5 CFBDRN C[C@H](CCO)C1(CNc2ccnc(F)c2[N+](=O)[O-])CCC1 ZINC000882161425 645225292 /nfs/dbraw/zinc/22/52/92/645225292.db2.gz IHXIWYBRKVZBTG-SNVBAGLBSA-N 0 0 297.330 2.730 20 5 CFBDRN CC(=O)c1c(N[C@H]2CCCOCC2)cccc1[N+](=O)[O-] ZINC000882163175 645226123 /nfs/dbraw/zinc/22/61/23/645226123.db2.gz MYRZXZPBAFLSTR-NSHDSACASA-N 0 0 278.308 2.778 20 5 CFBDRN CC(=O)c1c([N+](=O)[O-])cccc1N1CCC(F)(F)C1 ZINC000882164235 645226484 /nfs/dbraw/zinc/22/64/84/645226484.db2.gz KVRGLDWSONWXCT-UHFFFAOYSA-N 0 0 270.235 2.643 20 5 CFBDRN CO[C@@H]1CCCN(c2cccc([N+](=O)[O-])c2C(C)=O)CC1 ZINC000882175816 645231517 /nfs/dbraw/zinc/23/15/17/645231517.db2.gz VUEACMOWTODQPF-GFCCVEGCSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@H](CNc1ccnc(F)c1[N+](=O)[O-])c1nccs1 ZINC000882184380 645236459 /nfs/dbraw/zinc/23/64/59/645236459.db2.gz LIZHCTLQWSSQIO-SSDOTTSWSA-N 0 0 282.300 2.801 20 5 CFBDRN CO[C@H]1CN(c2cccc([N+](=O)[O-])c2C(C)=O)CC[C@@H]1C ZINC000882238235 645250462 /nfs/dbraw/zinc/25/04/62/645250462.db2.gz SUPWOZMFHBRAQC-HZMBPMFUSA-N 0 0 292.335 2.659 20 5 CFBDRN CC(=O)c1c(N[C@@H]2CCOC[C@H]2C)cccc1[N+](=O)[O-] ZINC000882265855 645258416 /nfs/dbraw/zinc/25/84/16/645258416.db2.gz KNTOSMHTSCUNQI-MWLCHTKSSA-N 0 0 278.308 2.634 20 5 CFBDRN CC1(C)CCN(c2ccnc(F)c2[N+](=O)[O-])CCS1 ZINC000882279698 645261026 /nfs/dbraw/zinc/26/10/26/645261026.db2.gz SQKUYBLVKVJFJP-UHFFFAOYSA-N 0 0 285.344 2.851 20 5 CFBDRN CC(=O)c1c(NC[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000882281015 645261784 /nfs/dbraw/zinc/26/17/84/645261784.db2.gz RRCKZWJGTURJAI-SNVBAGLBSA-N 0 0 280.349 2.962 20 5 CFBDRN O=C(NCc1cscn1)c1cccc([N+](=O)[O-])c1Cl ZINC000129624723 645296856 /nfs/dbraw/zinc/29/68/56/645296856.db2.gz UXNOGGSMWLDCEC-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN CC(F)(F)CCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882406784 645302752 /nfs/dbraw/zinc/30/27/52/645302752.db2.gz QSFJKBFKQNRPET-UHFFFAOYSA-N 0 0 263.219 2.976 20 5 CFBDRN CC(=O)c1c(N[C@@H](C)CC(C)(C)O)cccc1[N+](=O)[O-] ZINC000882413629 645304079 /nfs/dbraw/zinc/30/40/79/645304079.db2.gz OWCQRTSDKKVPTL-VIFPVBQESA-N 0 0 280.324 2.759 20 5 CFBDRN CC(=O)c1c(NC[C@@H]2CC[C@@H](C)O2)cccc1[N+](=O)[O-] ZINC000882438485 645313862 /nfs/dbraw/zinc/31/38/62/645313862.db2.gz GEORTRGVEORWGR-KOLCDFICSA-N 0 0 278.308 2.777 20 5 CFBDRN CC(=O)c1c(N[C@H]2COC(C)(C)C2)cccc1[N+](=O)[O-] ZINC000882577537 645374355 /nfs/dbraw/zinc/37/43/55/645374355.db2.gz IWBNYBZRGFEUIC-SNVBAGLBSA-N 0 0 278.308 2.777 20 5 CFBDRN CC(=O)c1c(N(C)CC2=CCSC2)cccc1[N+](=O)[O-] ZINC000882639546 645398429 /nfs/dbraw/zinc/39/84/29/645398429.db2.gz JZJSBBPJLXEOBT-UHFFFAOYSA-N 0 0 292.360 2.907 20 5 CFBDRN CC(=O)c1c(N[C@H]2CO[C@@H](C3CC3)C2)cccc1[N+](=O)[O-] ZINC000882663262 645404403 /nfs/dbraw/zinc/40/44/03/645404403.db2.gz LSNKRJDFIIQIMQ-BXUZGUMPSA-N 0 0 290.319 2.777 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCC2CSC2)c1 ZINC000882679527 645407932 /nfs/dbraw/zinc/40/79/32/645407932.db2.gz NVGOKKGGZAOHNO-UHFFFAOYSA-N 0 0 272.301 2.517 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC[C@H]1CCCS1 ZINC000882687315 645410242 /nfs/dbraw/zinc/41/02/42/645410242.db2.gz LSGQHHLHHFBXEM-MRVPVSSYSA-N 0 0 271.317 2.827 20 5 CFBDRN C[C@]1(C(F)(F)F)CCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882716933 645428487 /nfs/dbraw/zinc/42/84/87/645428487.db2.gz IEONODVBFOJMST-JTQLQIEISA-N 0 0 293.220 2.908 20 5 CFBDRN C[C@H](O)CSc1ccc([N+](=O)[O-])c2cnccc12 ZINC000882738849 645437356 /nfs/dbraw/zinc/43/73/56/645437356.db2.gz OTSSYGSSWCTXCX-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NC[C@@H]3C=CCC3)cc2N1 ZINC000882758665 645445070 /nfs/dbraw/zinc/44/50/70/645445070.db2.gz IRGYGAMYRHNDKM-SNVBAGLBSA-N 0 0 287.319 2.858 20 5 CFBDRN CC1(C)CC[C@H](CNc2ccc(N)cc2[N+](=O)[O-])OC1 ZINC000882763143 645446623 /nfs/dbraw/zinc/44/66/23/645446623.db2.gz FWXVYCCYWBLRFN-LLVKDONJSA-N 0 0 279.340 2.794 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ccnc(F)c2[N+](=O)[O-])OC1 ZINC000882762751 645446767 /nfs/dbraw/zinc/44/67/67/645446767.db2.gz OULCFLCAUXELJC-VIFPVBQESA-N 0 0 283.303 2.746 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@@H]1CCC(C)(C)CO1 ZINC000882764417 645447630 /nfs/dbraw/zinc/44/76/30/645447630.db2.gz UJPNGIUSZZYAFV-NSHDSACASA-N 0 0 296.371 2.737 20 5 CFBDRN CO[C@H]1C[C@H](CNc2cc(C)sc2[N+](=O)[O-])C1 ZINC000882796899 645458952 /nfs/dbraw/zinc/45/89/52/645458952.db2.gz DBOWJKYJGGLYHU-KYZUINATSA-N 0 0 256.327 2.802 20 5 CFBDRN COc1cc(N2CCC[C@@H](CF)C2)c([N+](=O)[O-])cc1F ZINC000882802091 645462963 /nfs/dbraw/zinc/46/29/63/645462963.db2.gz PEJLAIOMSQBNEE-VIFPVBQESA-N 0 0 286.278 2.928 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC[C@@H](CF)C2)c1 ZINC000882802010 645463201 /nfs/dbraw/zinc/46/32/01/645463201.db2.gz MIBJLMSEUXYQII-JTQLQIEISA-N 0 0 296.298 2.567 20 5 CFBDRN COc1cccc(N2CCC[C@H](CF)C2)c1[N+](=O)[O-] ZINC000882802374 645464028 /nfs/dbraw/zinc/46/40/28/645464028.db2.gz ARQHKWAZLGOVMB-SNVBAGLBSA-N 0 0 268.288 2.789 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CC[C@H](CF)C1 ZINC000882840355 645476451 /nfs/dbraw/zinc/47/64/51/645476451.db2.gz RVAMXHWKQWBZCD-SNVBAGLBSA-N 0 0 266.272 2.593 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](CF)C2)c(OC(F)F)c1 ZINC000882840255 645476574 /nfs/dbraw/zinc/47/65/74/645476574.db2.gz PFWBWFAPQCRZPW-MRVPVSSYSA-N 0 0 290.241 2.992 20 5 CFBDRN CO[C@]1(C(F)(F)F)CCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000882846522 645477992 /nfs/dbraw/zinc/47/79/92/645477992.db2.gz NUJZVCRWDPBBMM-SECBINFHSA-N 0 0 296.270 2.814 20 5 CFBDRN C[C@H]1c2sccc2CCN1c1ncc([N+](=O)[O-])cn1 ZINC000882911968 645508056 /nfs/dbraw/zinc/50/80/56/645508056.db2.gz HJJVLZGPRRODDS-QMMMGPOBSA-N 0 0 276.321 2.570 20 5 CFBDRN C[C@]1(CNc2cccnc2[N+](=O)[O-])OCCc2ccccc21 ZINC000882927788 645514421 /nfs/dbraw/zinc/51/44/21/645514421.db2.gz UMYHGXQOAIHHOD-MRXNPFEDSA-N 0 0 299.330 2.890 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC2(CCCCO2)CC1 ZINC000882950202 645524956 /nfs/dbraw/zinc/52/49/56/645524956.db2.gz ADGYSHLBIPLIGV-UHFFFAOYSA-N 0 0 291.351 2.838 20 5 CFBDRN CC[C@@H](Nc1ccc(N)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000882954386 645525617 /nfs/dbraw/zinc/52/56/17/645525617.db2.gz MXMMVSRSMJIUDE-ZYHUDNBSSA-N 0 0 279.340 2.794 20 5 CFBDRN CC[C@H](Nc1cc(N)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000882956013 645527378 /nfs/dbraw/zinc/52/73/78/645527378.db2.gz XHMUJUQIQFMPDP-JQWIXIFHSA-N 0 0 279.340 2.794 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1CCC[C@]12CCCO2 ZINC000882982351 645539682 /nfs/dbraw/zinc/53/96/82/645539682.db2.gz JJPRFUNTRGVRLF-RISCZKNCSA-N 0 0 294.355 2.633 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCC[C@@H](O)C(C)C ZINC000882987029 645545730 /nfs/dbraw/zinc/54/57/30/645545730.db2.gz QWHBHMDHCBOSAI-CYBMUJFWSA-N 0 0 281.308 2.583 20 5 CFBDRN COc1ccc(OCCCOC(F)(F)F)cc1[N+](=O)[O-] ZINC000882988943 645546109 /nfs/dbraw/zinc/54/61/09/645546109.db2.gz PYLVLAWCZBBSOZ-UHFFFAOYSA-N 0 0 295.213 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC1CCC2(COC2)CC1 ZINC000882995782 645552231 /nfs/dbraw/zinc/55/22/31/645552231.db2.gz MIMQZFDJELFAPJ-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN Cc1cnc(OC/C(Cl)=C/Cl)c([N+](=O)[O-])c1 ZINC000130827946 645577673 /nfs/dbraw/zinc/57/76/73/645577673.db2.gz FAFPPCBDSFLVSU-CLTKARDFSA-N 0 0 263.080 2.996 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000883135707 645621263 /nfs/dbraw/zinc/62/12/63/645621263.db2.gz LBTNDKSWEAYMMV-SECBINFHSA-N 0 0 279.271 2.822 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H](CF)C1 ZINC000883140052 645622229 /nfs/dbraw/zinc/62/22/29/645622229.db2.gz HUOYPQBRVKPLIN-VIFPVBQESA-N 0 0 299.277 2.947 20 5 CFBDRN C=C/C=C/CCNC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000883468542 645849326 /nfs/dbraw/zinc/84/93/26/645849326.db2.gz VVKBIWVWBPEFBZ-ONEGZZNKSA-N 0 0 289.335 2.569 20 5 CFBDRN CSCC[C@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000131921675 645852822 /nfs/dbraw/zinc/85/28/22/645852822.db2.gz OREPNTUSLCZYLN-JTQLQIEISA-N 0 0 297.380 2.536 20 5 CFBDRN CCn1nc(C)cc1C(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131960468 645853846 /nfs/dbraw/zinc/85/38/46/645853846.db2.gz YZENAPPCTRITNZ-UHFFFAOYSA-N 0 0 289.291 2.647 20 5 CFBDRN CC[C@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000132155084 645884570 /nfs/dbraw/zinc/88/45/70/645884570.db2.gz YNMGQEYBFQSHLB-NSHDSACASA-N 0 0 291.351 2.972 20 5 CFBDRN C=C/C=C/CCNC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000883598625 645903549 /nfs/dbraw/zinc/90/35/49/645903549.db2.gz LMXNKUNLHGJUIB-SNAWJCMRSA-N 0 0 289.335 2.835 20 5 CFBDRN CCc1onc(C)c1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000132447878 645918744 /nfs/dbraw/zinc/91/87/44/645918744.db2.gz BBFCXQMAYWNIMI-UHFFFAOYSA-N 0 0 294.238 2.812 20 5 CFBDRN Cc1nc(C)c(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000132765137 645964091 /nfs/dbraw/zinc/96/40/91/645964091.db2.gz NJQZPYGKZQYZFC-UHFFFAOYSA-N 0 0 280.211 2.558 20 5 CFBDRN CC(=O)CCC(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000800092091 646135325 /nfs/dbraw/zinc/13/53/25/646135325.db2.gz ZPJFJUFTNAMGBS-UHFFFAOYSA-N 0 0 285.683 2.661 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1C[C@H]1C ZINC000800145752 646156281 /nfs/dbraw/zinc/15/62/81/646156281.db2.gz NXAFJMIFLIUDHW-HTRCEHHLSA-N 0 0 252.245 2.637 20 5 CFBDRN Cc1cc(C(=O)Nc2c(C)c([N+](=O)[O-])ccc2F)on1 ZINC000800144594 646156412 /nfs/dbraw/zinc/15/64/12/646156412.db2.gz XQRADYPSNAXSSY-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OC[C@@H](F)C(F)(F)F ZINC000800158439 646161516 /nfs/dbraw/zinc/16/15/16/646161516.db2.gz ZHHAFNYDKBNXNM-SECBINFHSA-N 0 0 295.188 2.960 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000133749673 646219690 /nfs/dbraw/zinc/21/96/90/646219690.db2.gz VPFLZXNXSDJOGV-GHMZBOCLSA-N 0 0 291.351 2.828 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccnc(F)c1 ZINC000133815569 646236893 /nfs/dbraw/zinc/23/68/93/646236893.db2.gz KTGHKWDKPPYVPD-UHFFFAOYSA-N 0 0 289.266 2.998 20 5 CFBDRN CC[C@@H](CCO)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000134442643 646347734 /nfs/dbraw/zinc/34/77/34/646347734.db2.gz BXHJXUXRTSYYBG-VIFPVBQESA-N 0 0 258.705 2.821 20 5 CFBDRN CNC(=O)c1ccc(N[C@H](C)CCSC)c([N+](=O)[O-])c1 ZINC000134835696 646391768 /nfs/dbraw/zinc/39/17/68/646391768.db2.gz RCLNNVWWHMRJRG-SECBINFHSA-N 0 0 297.380 2.508 20 5 CFBDRN CCCC[C@@H](C)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884492549 646397361 /nfs/dbraw/zinc/39/73/61/646397361.db2.gz XBYRJFRCUGVQGX-SNVBAGLBSA-N 0 0 266.297 2.859 20 5 CFBDRN C[C@@H](CCCO)CNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884519420 646416159 /nfs/dbraw/zinc/41/61/59/646416159.db2.gz RRPLSYFPMMDUOP-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H](CCCO)CNc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884519419 646416565 /nfs/dbraw/zinc/41/65/65/646416565.db2.gz RRPLSYFPMMDUOP-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H](O)C2CCC2)c2ccccc21 ZINC000884525327 646421834 /nfs/dbraw/zinc/42/18/34/646421834.db2.gz RYPVYQBDVHAJQC-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN COC(OC)[C@@H](C)Nc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884527110 646422580 /nfs/dbraw/zinc/42/25/80/646422580.db2.gz JLSOUWSZBCJTAX-SECBINFHSA-N 0 0 291.307 2.562 20 5 CFBDRN CC(C)(CCC(=O)OC[C@H]1CCCC(C)(C)O1)[N+](=O)[O-] ZINC000884534152 646428167 /nfs/dbraw/zinc/42/81/67/646428167.db2.gz AIEPEQUYUJYFNZ-LLVKDONJSA-N 0 0 287.356 2.713 20 5 CFBDRN O=C(OCc1cccnc1[N+](=O)[O-])c1[nH]ccc1C1CC1 ZINC000884548431 646441843 /nfs/dbraw/zinc/44/18/43/646441843.db2.gz KNANENVIAUWRHO-UHFFFAOYSA-N 0 0 287.275 2.552 20 5 CFBDRN COc1ccc2ncc([N+](=O)[O-])c(N3CC[C@@H]4C[C@@H]4C3)c2c1 ZINC000884558756 646448833 /nfs/dbraw/zinc/44/88/33/646448833.db2.gz CYECUTMBKQFOTA-GHMZBOCLSA-N 0 0 299.330 2.998 20 5 CFBDRN CSCC1(CC(=O)OCc2cccnc2[N+](=O)[O-])CC1 ZINC000884567346 646457207 /nfs/dbraw/zinc/45/72/07/646457207.db2.gz HXKUQQMUMHFMCR-UHFFFAOYSA-N 0 0 296.348 2.566 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ncc([N+](=O)[O-])c3ccccc32)C1 ZINC000884567986 646458688 /nfs/dbraw/zinc/45/86/88/646458688.db2.gz YKHKOGOJDMABEY-PHIMTYICSA-N 0 0 287.319 2.980 20 5 CFBDRN CCCC[C@](C)(F)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884575518 646462121 /nfs/dbraw/zinc/46/21/21/646462121.db2.gz MIAVBFVTDHVCSQ-ZDUSSCGKSA-N 0 0 284.287 2.951 20 5 CFBDRN COc1cccc(NC[C@@H]2CC3(CCC3)CO2)c1[N+](=O)[O-] ZINC000884661862 646514684 /nfs/dbraw/zinc/51/46/84/646514684.db2.gz BWJSQAYLWVJQAT-NSHDSACASA-N 0 0 292.335 2.975 20 5 CFBDRN Cc1nc(NC[C@H]2CC3(CCC3)CO2)ccc1[N+](=O)[O-] ZINC000884662247 646515981 /nfs/dbraw/zinc/51/59/81/646515981.db2.gz LLKKKCHYSBAVTN-LLVKDONJSA-N 0 0 277.324 2.669 20 5 CFBDRN CCCCCCNC(=O)COc1cc(F)cc([N+](=O)[O-])c1 ZINC000135360712 646522369 /nfs/dbraw/zinc/52/23/69/646522369.db2.gz LJSTUCZUYCHWBM-UHFFFAOYSA-N 0 0 298.314 2.809 20 5 CFBDRN CC1(C)CO[C@@H](CCNc2ncc([N+](=O)[O-])cc2F)C1 ZINC000884675221 646523273 /nfs/dbraw/zinc/52/32/73/646523273.db2.gz WLHLVKVRFJZKDY-JTQLQIEISA-N 0 0 283.303 2.746 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N1CC[C@@H]2CCC[C@@H]21 ZINC000890235672 646541352 /nfs/dbraw/zinc/54/13/52/646541352.db2.gz LIZHCRZCBMIWRX-GWCFXTLKSA-N 0 0 292.310 2.677 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H]([C@H]3CCCO3)C2)c1 ZINC000884731255 646544252 /nfs/dbraw/zinc/54/42/52/646544252.db2.gz VTTXDSGMIUEJJH-XHDPSFHLSA-N 0 0 292.335 2.609 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000884731135 646544356 /nfs/dbraw/zinc/54/43/56/646544356.db2.gz TZAQOAMRWNRXQS-RISCZKNCSA-N 0 0 262.309 2.600 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000884732640 646546235 /nfs/dbraw/zinc/54/62/35/646546235.db2.gz QRYALXUDTRKMKE-MWLCHTKSSA-N 0 0 268.338 2.662 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)[C@H]1CCCOC1 ZINC000884793240 646552061 /nfs/dbraw/zinc/55/20/61/646552061.db2.gz UYUZAUSNCHGCPR-GXTWGEPZSA-N 0 0 294.351 2.757 20 5 CFBDRN CC[C@H]1CCC[C@@]1(C)C(=O)OCCn1cc([N+](=O)[O-])cn1 ZINC000885058422 646594546 /nfs/dbraw/zinc/59/45/46/646594546.db2.gz BVSGCRSHJDOZNI-SMDDNHRTSA-N 0 0 295.339 2.551 20 5 CFBDRN CN(Cc1cccs1)Cc1cccnc1[N+](=O)[O-] ZINC000885941967 646776317 /nfs/dbraw/zinc/77/63/17/646776317.db2.gz IHULAKWUISQWIX-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN CN(CCc1ccccc1F)Cc1cccnc1[N+](=O)[O-] ZINC000886006088 646809923 /nfs/dbraw/zinc/80/99/23/646809923.db2.gz NEKIAMOBTWXCMJ-UHFFFAOYSA-N 0 0 289.310 2.803 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCC(C(F)(F)F)CC1 ZINC000886025578 646826435 /nfs/dbraw/zinc/82/64/35/646826435.db2.gz HQSSPFMQGLUDAG-UHFFFAOYSA-N 0 0 289.257 2.764 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCOC[C@H]1c1ccccc1 ZINC000886028718 646828990 /nfs/dbraw/zinc/82/89/90/646828990.db2.gz VHITZOORRGEVNY-HNNXBMFYSA-N 0 0 299.330 2.563 20 5 CFBDRN CC[C@@](C)(NCc1cccnc1[N+](=O)[O-])c1nccs1 ZINC000886069655 646852450 /nfs/dbraw/zinc/85/24/50/646852450.db2.gz JQRKLKNACKRUOD-CYBMUJFWSA-N 0 0 292.364 2.861 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN(CC(F)(F)F)C1CC1 ZINC000886089968 646862038 /nfs/dbraw/zinc/86/20/38/646862038.db2.gz NOKLODRRMVCIMA-UHFFFAOYSA-N 0 0 275.230 2.517 20 5 CFBDRN COc1cccc(C2(NCc3cccnc3[N+](=O)[O-])CC2)c1 ZINC000886112492 646876857 /nfs/dbraw/zinc/87/68/57/646876857.db2.gz ZRHXFCALAAEDDH-UHFFFAOYSA-N 0 0 299.330 2.777 20 5 CFBDRN CC[C@H](NCc1cccnc1[N+](=O)[O-])c1nc(C)cs1 ZINC000886125331 646883063 /nfs/dbraw/zinc/88/30/63/646883063.db2.gz OJDCWYKCLKIUPD-NSHDSACASA-N 0 0 292.364 2.996 20 5 CFBDRN CC[C@@H](NCc1cccnc1[N+](=O)[O-])c1c(C)noc1C ZINC000886127096 646884351 /nfs/dbraw/zinc/88/43/51/646884351.db2.gz BIJVGYOMGRWKBQ-GFCCVEGCSA-N 0 0 290.323 2.836 20 5 CFBDRN Cc1cnc([C@@H](C)NCc2cccnc2[N+](=O)[O-])s1 ZINC000886132078 646887271 /nfs/dbraw/zinc/88/72/71/646887271.db2.gz OIFOUGIEYIQGSQ-SECBINFHSA-N 0 0 278.337 2.606 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)on1 ZINC000137442815 646934994 /nfs/dbraw/zinc/93/49/94/646934994.db2.gz JBRDHFHZSIQFBH-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN C[C@@H]1CN(Cc2cccnc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000886265184 646939371 /nfs/dbraw/zinc/93/93/71/646939371.db2.gz ALPNOHFQJPYTQZ-GFCCVEGCSA-N 0 0 291.351 2.523 20 5 CFBDRN COc1ccc2c(c1)CCN(Cc1cccnc1[N+](=O)[O-])C2 ZINC000886280977 646950525 /nfs/dbraw/zinc/95/05/25/646950525.db2.gz PLUMRNQVGSNLHQ-UHFFFAOYSA-N 0 0 299.330 2.557 20 5 CFBDRN O=[N+]([O-])c1c(NCCc2ccccc2)nc2ccccn21 ZINC000137627494 646965118 /nfs/dbraw/zinc/96/51/18/646965118.db2.gz NZYOQCPRVJTCCR-UHFFFAOYSA-N 0 0 282.303 2.897 20 5 CFBDRN Cc1cccc(OCCCNc2c([N+](=O)[O-])ncn2C)c1 ZINC000137973626 647001921 /nfs/dbraw/zinc/00/19/21/647001921.db2.gz PORWYLDKFKTAQK-UHFFFAOYSA-N 0 0 290.323 2.518 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1S(=O)(=O)c1cccc([N+](=O)[O-])c1 ZINC000886476881 647013547 /nfs/dbraw/zinc/01/35/47/647013547.db2.gz LUXIWFWJSDIGQI-QWRGUYRKSA-N 0 0 298.364 2.546 20 5 CFBDRN C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ncco1 ZINC000886528177 647030767 /nfs/dbraw/zinc/03/07/67/647030767.db2.gz IGAXUJWIGKGWPX-UWVGGRQHSA-N 0 0 261.281 2.995 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ncco1 ZINC000886533362 647034361 /nfs/dbraw/zinc/03/43/61/647034361.db2.gz ZKVDEYFLFMGMFA-UWVGGRQHSA-N 0 0 261.281 2.995 20 5 CFBDRN COc1cc(N[C@H](C)c2ncc[nH]2)c([N+](=O)[O-])cc1OC ZINC000139892091 647206695 /nfs/dbraw/zinc/20/66/95/647206695.db2.gz CVUZVNLUYIELQF-MRVPVSSYSA-N 0 0 292.295 2.508 20 5 CFBDRN Cc1ccccc1COC(=O)c1cc([N+](=O)[O-])cn1C ZINC000139893462 647206879 /nfs/dbraw/zinc/20/68/79/647206879.db2.gz AQIPWEQUVZXOLH-UHFFFAOYSA-N 0 0 274.276 2.599 20 5 CFBDRN CC(C)(CNC(=O)COc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000887380803 647295677 /nfs/dbraw/zinc/29/56/77/647295677.db2.gz SXWGRZGFAYEUTI-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1ccncc1C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887402397 647303621 /nfs/dbraw/zinc/30/36/21/647303621.db2.gz BROIJMPHUVZWLK-GFCCVEGCSA-N 0 0 299.330 2.832 20 5 CFBDRN Cc1[nH]ccc1C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887402664 647303887 /nfs/dbraw/zinc/30/38/87/647303887.db2.gz HDVHFUHYQNHPLB-SNVBAGLBSA-N 0 0 287.319 2.765 20 5 CFBDRN CS/C=C/C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887402494 647304004 /nfs/dbraw/zinc/30/40/04/647304004.db2.gz CWCQKUYTTBAKIZ-QROSGCPLSA-N 0 0 280.349 2.691 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])Oc1ccccc1F ZINC000006153203 647440741 /nfs/dbraw/zinc/44/07/41/647440741.db2.gz KXSPIIXLNILTEA-UHFFFAOYSA-N 0 0 291.234 2.718 20 5 CFBDRN O=C(Oc1ccccc1F)c1ccc([N+](=O)[O-])cc1 ZINC000006153204 647440870 /nfs/dbraw/zinc/44/08/70/647440870.db2.gz BZFUYRQJRALIGA-UHFFFAOYSA-N 0 0 261.208 2.953 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N(Cc1ccoc1)C1CC1 ZINC000889099391 647505271 /nfs/dbraw/zinc/50/52/71/647505271.db2.gz DAKPNEZXYKIDBQ-UHFFFAOYSA-N 0 0 286.287 2.993 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)c1[N+](=O)[O-] ZINC000889585630 647605440 /nfs/dbraw/zinc/60/54/40/647605440.db2.gz VQUABNSQIGLOTA-NTZNESFSSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889591037 647607613 /nfs/dbraw/zinc/60/76/13/647607613.db2.gz NLDZJDZGWAJUSC-VHRBIJSZSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000889591035 647607877 /nfs/dbraw/zinc/60/78/77/647607877.db2.gz NLDZJDZGWAJUSC-MPKXVKKWSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889590907 647608008 /nfs/dbraw/zinc/60/80/08/647608008.db2.gz MTCVSMPTFYJGCJ-LEWSCRJBSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCc3ncccc32)c1C ZINC000889637542 647628948 /nfs/dbraw/zinc/62/89/48/647628948.db2.gz YQOCYQGICVPSJD-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)Cc2cccnc2)c1[N+](=O)[O-] ZINC000889655172 647633203 /nfs/dbraw/zinc/63/32/03/647633203.db2.gz MDHKUFMKYACJMG-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN CC1(C)CCC[C@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)O1 ZINC000890139635 647757354 /nfs/dbraw/zinc/75/73/54/647757354.db2.gz JTTBBQNFBDXNBB-CYBMUJFWSA-N 0 0 292.335 2.672 20 5 CFBDRN CC[C@@]1(NC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])CCOC1 ZINC000890193117 647765294 /nfs/dbraw/zinc/76/52/94/647765294.db2.gz POYBUBTYGGRPFC-OAHLLOKOSA-N 0 0 292.335 2.511 20 5 CFBDRN CO[C@@H]1CC[C@H](OC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])C1 ZINC000890421067 647798821 /nfs/dbraw/zinc/79/88/21/647798821.db2.gz FIXOQHYTIFPFHV-NEPJUHHUSA-N 0 0 293.319 2.936 20 5 CFBDRN COc1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])cc1 ZINC000010727684 647878916 /nfs/dbraw/zinc/87/89/16/647878916.db2.gz BHNSAGOVDPAGSR-UHFFFAOYSA-N 0 0 287.271 2.960 20 5 CFBDRN CCOCCCOC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000923961074 647909234 /nfs/dbraw/zinc/90/92/34/647909234.db2.gz CHQZYFUQURAYIG-UHFFFAOYSA-N 0 0 299.298 2.636 20 5 CFBDRN CCC1(CC(=O)OCc2ccc([N+](=O)[O-])c(F)c2)COC1 ZINC000924343764 647962739 /nfs/dbraw/zinc/96/27/39/647962739.db2.gz JOUZDFHAZIXWTL-UHFFFAOYSA-N 0 0 297.282 2.594 20 5 CFBDRN CC(C)C1CN(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000925235844 648138392 /nfs/dbraw/zinc/13/83/92/648138392.db2.gz IQHNCRZBLIKWMW-LLVKDONJSA-N 0 0 291.351 2.953 20 5 CFBDRN Cc1c([C@@H](C)NCc2cc(N)cc[nH+]2)cccc1[N+](=O)[O-] ZINC000925772437 648316477 /nfs/dbraw/zinc/31/64/77/648316477.db2.gz SBPQDTSLMRFZHJ-LLVKDONJSA-N 0 0 286.335 2.731 20 5 CFBDRN CCS(=O)(CC)=NC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000925864952 648348890 /nfs/dbraw/zinc/34/88/90/648348890.db2.gz MWXSGZHZWPEIHW-UHFFFAOYSA-N 0 0 298.364 2.860 20 5 CFBDRN C[C@@H](N[C@@H]1C[C@H](C)n2ncnc21)c1ccccc1[N+](=O)[O-] ZINC000926837354 648630874 /nfs/dbraw/zinc/63/08/74/648630874.db2.gz SQYATZSDEALARG-HOSYDEDBSA-N 0 0 287.323 2.543 20 5 CFBDRN C[C@@H](N[C@@H]1C[C@@H](C)n2ncnc21)c1ccc([N+](=O)[O-])cc1 ZINC000926891276 648647062 /nfs/dbraw/zinc/64/70/62/648647062.db2.gz IKCSLIVOMGWNKV-GIPNMCIBSA-N 0 0 287.323 2.543 20 5 CFBDRN C[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)c1ncco1 ZINC000926892840 648648339 /nfs/dbraw/zinc/64/83/39/648648339.db2.gz ORGWDUYYFXJEGR-NXEZZACHSA-N 0 0 261.281 2.995 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@@]12C[C@@H]1CCCC2 ZINC000927460514 648783917 /nfs/dbraw/zinc/78/39/17/648783917.db2.gz IJFZXHYIEKNMMD-XHDPSFHLSA-N 0 0 289.335 2.608 20 5 CFBDRN CC(=O)CCCOC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000927507119 648793809 /nfs/dbraw/zinc/79/38/09/648793809.db2.gz HDBLZZFELCQCLB-UHFFFAOYSA-N 0 0 290.275 2.602 20 5 CFBDRN COC[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)C(C)C ZINC000927579006 648801199 /nfs/dbraw/zinc/80/11/99/648801199.db2.gz NYLORTNKLLWUAA-LLVKDONJSA-N 0 0 295.339 2.635 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928244645 648886416 /nfs/dbraw/zinc/88/64/16/648886416.db2.gz AYNJSSOCTDDEAT-GIPNMCIBSA-N 0 0 262.309 2.818 20 5 CFBDRN CO[C@@](C)(C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000928245074 648887060 /nfs/dbraw/zinc/88/70/60/648887060.db2.gz JIIHHRISOMBUBB-MEBBXXQBSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)c1ccccn1)c1ccc([N+](=O)[O-])cc1 ZINC000928245691 648887600 /nfs/dbraw/zinc/88/76/00/648887600.db2.gz VHJFKIBWZUNSIT-VXGBXAGGSA-N 0 0 299.330 2.971 20 5 CFBDRN COCC1(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000928245899 648887609 /nfs/dbraw/zinc/88/76/09/648887609.db2.gz YNPFCRMWPVSDFF-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN CO[C@@H](C)CCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928249651 648888624 /nfs/dbraw/zinc/88/86/24/648888624.db2.gz UIXPJQUVVHWZON-WDEREUQCSA-N 0 0 280.324 2.587 20 5 CFBDRN COc1cccc(OCc2cccc([N+](=O)[O-])c2)c1C=O ZINC000928550646 648924759 /nfs/dbraw/zinc/92/47/59/648924759.db2.gz YNYWLCGMRNACGS-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN Nc1ccc(C(=O)Oc2ccc3c(c2)CCC3)cc1[N+](=O)[O-] ZINC000928996255 648972026 /nfs/dbraw/zinc/97/20/26/648972026.db2.gz QKOKQNJKNLFZIY-UHFFFAOYSA-N 0 0 298.298 2.885 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cnn(C2CCC2)c1 ZINC000929134283 648986764 /nfs/dbraw/zinc/98/67/64/648986764.db2.gz VCASTBVILUUHAC-UHFFFAOYSA-N 0 0 286.291 2.769 20 5 CFBDRN Cc1nnsc1[C@H](C)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000929164720 648992903 /nfs/dbraw/zinc/99/29/03/648992903.db2.gz LLUAKWNBBYXMQM-JTQLQIEISA-N 0 0 292.364 2.948 20 5 CFBDRN COC/C(C)=C\CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000929165651 648993127 /nfs/dbraw/zinc/99/31/27/648993127.db2.gz UQYWHYUPNQOIOX-WQLSENKSSA-N 0 0 264.325 2.619 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NC1CCCC1 ZINC000929196604 649000263 /nfs/dbraw/zinc/00/02/63/649000263.db2.gz LLGRHMXVEFQEOL-UHFFFAOYSA-N 0 0 280.299 2.725 20 5 CFBDRN C[C@@H](c1ccccc1Br)N(C)CC[N+](=O)[O-] ZINC000929370983 649040390 /nfs/dbraw/zinc/04/03/90/649040390.db2.gz SXNMMMAACZLGIM-VIFPVBQESA-N 0 0 287.157 2.719 20 5 CFBDRN O=[N+]([O-])CCN1CCc2ccccc2[C@H]1c1ccccc1 ZINC000929604987 649077024 /nfs/dbraw/zinc/07/70/24/649077024.db2.gz YVJDQNFISFKGRB-QGZVFWFLSA-N 0 0 282.343 2.911 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ncccn1 ZINC000930207596 649206702 /nfs/dbraw/zinc/20/67/02/649206702.db2.gz BFRMQTSUFKYEOD-WDEREUQCSA-N 0 0 272.308 2.797 20 5 CFBDRN O=C(C[C@@H]1CC=CCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000930494026 649260386 /nfs/dbraw/zinc/26/03/86/649260386.db2.gz VDZZHMWQUNZORH-CQSZACIVSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@]1(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C=CCC1 ZINC000930492693 649260733 /nfs/dbraw/zinc/26/07/33/649260733.db2.gz HVVHBRJJMYEDLA-HNNXBMFYSA-N 0 0 274.320 2.610 20 5 CFBDRN Cc1cn(C)nc1CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000930554351 649272160 /nfs/dbraw/zinc/27/21/60/649272160.db2.gz JRHYEOBOOPZYGQ-UHFFFAOYSA-N 0 0 288.351 2.662 20 5 CFBDRN CCOC(=O)/C=C\CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000930555903 649273091 /nfs/dbraw/zinc/27/30/91/649273091.db2.gz XXNBWSFDAGYRQO-YFHOEESVSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1ccccc1CN(CC[N+](=O)[O-])Cc1ccccn1 ZINC000930616251 649288258 /nfs/dbraw/zinc/28/82/58/649288258.db2.gz XZHAWPLNHBMWEQ-UHFFFAOYSA-N 0 0 285.347 2.669 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCO[C@@H](C)CC1 ZINC000930883218 649342753 /nfs/dbraw/zinc/34/27/53/649342753.db2.gz OVLLYYDKRNNINP-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC/C=C/Cl ZINC000931262662 649399279 /nfs/dbraw/zinc/39/92/79/649399279.db2.gz ZGOIUGMERLTKCQ-ZZXKWVIFSA-N 0 0 299.714 2.868 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1Cc2c[nH]nc2[C@H](C)C1 ZINC000931458567 649432148 /nfs/dbraw/zinc/43/21/48/649432148.db2.gz IHFVFAWATINNNX-MNOVXSKESA-N 0 0 286.335 2.998 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)N[C@]12C[C@H]1CCC2 ZINC000931609615 649461867 /nfs/dbraw/zinc/46/18/67/649461867.db2.gz KUFJWYZDVZDFJY-AMIZOPFISA-N 0 0 279.271 2.798 20 5 CFBDRN O=C(CCc1c(Cl)cccc1[N+](=O)[O-])NC1CCC1 ZINC000932231343 649566485 /nfs/dbraw/zinc/56/64/85/649566485.db2.gz BUYWGKFUMPKAHR-UHFFFAOYSA-N 0 0 282.727 2.850 20 5 CFBDRN CC1(CCC(=O)NCCOc2ccc([N+](=O)[O-])cc2)CC1 ZINC000932456776 649604586 /nfs/dbraw/zinc/60/45/86/649604586.db2.gz ZSNJHFDGEDZFII-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN Cc1[nH]nc2c1CCCN2Cc1ccc(F)cc1[N+](=O)[O-] ZINC000934312342 649772639 /nfs/dbraw/zinc/77/26/39/649772639.db2.gz MKSLGESJINPNQI-UHFFFAOYSA-N 0 0 290.298 2.718 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)OC1CCCCC1 ZINC000039173406 649786770 /nfs/dbraw/zinc/78/67/70/649786770.db2.gz IECPDYBIJWJRJT-UHFFFAOYSA-N 0 0 279.292 2.850 20 5 CFBDRN O=Cc1c[nH]nc1C=Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000098125534 651070092 /nfs/dbraw/zinc/07/00/92/651070092.db2.gz RZINHKYWAVFTPM-DUXPYHPUSA-N 0 0 277.667 2.954 20 5 CFBDRN COc1ccc(OC(=O)c2cccc(F)c2)c([N+](=O)[O-])c1 ZINC000014785542 651183741 /nfs/dbraw/zinc/18/37/41/651183741.db2.gz JXXYWGHCAUYHKP-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN CC(C)(C)c1cnc(COC(=O)c2ccc([N+](=O)[O-])o2)o1 ZINC000113465039 651195145 /nfs/dbraw/zinc/19/51/45/651195145.db2.gz LMQDPDAQCATRAQ-UHFFFAOYSA-N 0 0 294.263 2.830 20 5 CFBDRN O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1cocn1 ZINC000116062690 651278172 /nfs/dbraw/zinc/27/81/72/651278172.db2.gz MAQJFIYQFKQNKJ-UHFFFAOYSA-N 0 0 282.639 2.593 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCO1 ZINC000118634933 651292397 /nfs/dbraw/zinc/29/23/97/651292397.db2.gz GRBXBTWTMJWPEA-NSHDSACASA-N 0 0 284.699 2.674 20 5 CFBDRN Cc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)nc1 ZINC000119912172 651301807 /nfs/dbraw/zinc/30/18/07/651301807.db2.gz ZGSBTQQEXLMMTJ-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN CCCSCC(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000120054472 651303257 /nfs/dbraw/zinc/30/32/57/651303257.db2.gz ZFPUAJQBYWSJHG-UHFFFAOYSA-N 0 0 269.322 2.781 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)CC(C)(C)O1 ZINC000065280771 782196185 /nfs/dbraw/zinc/19/61/85/782196185.db2.gz FXCPASLFCPARPK-SNVBAGLBSA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(NC[C@H]2CCCC[C@@H]2C)n1 ZINC001155073926 782201689 /nfs/dbraw/zinc/20/16/89/782201689.db2.gz VUHLVKKQNVLZSQ-GXSJLCMTSA-N 0 0 264.329 2.931 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCC(C)(F)F)c1F ZINC000698022754 778631021 /nfs/dbraw/zinc/63/10/21/778631021.db2.gz SXDNNAZJPQYQOM-UHFFFAOYSA-N 0 0 294.204 2.566 20 5 CFBDRN O=C(NCc1ccoc1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000161424780 778631195 /nfs/dbraw/zinc/63/11/95/778631195.db2.gz VZHBZRGXVIFMMU-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C1(C2CC2)CCC1 ZINC000906341794 778665343 /nfs/dbraw/zinc/66/53/43/778665343.db2.gz OJJWWENMSAVPDS-UHFFFAOYSA-N 0 0 274.320 2.791 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@H]1CCOC[C@H]1F ZINC001229881482 780959877 /nfs/dbraw/zinc/95/98/77/780959877.db2.gz XKLFOOJANQRJPP-MFKMUULPSA-N 0 0 269.272 2.717 20 5 CFBDRN CC1(C)CC[C@@H](CO)N(c2ccc([N+](=O)[O-])cc2)C1 ZINC000701605220 780820216 /nfs/dbraw/zinc/82/02/16/780820216.db2.gz UYPVABMYOUWOEM-ZDUSSCGKSA-N 0 0 264.325 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cncs2)cc1F ZINC000165556386 769842859 /nfs/dbraw/zinc/84/28/59/769842859.db2.gz SDDNFHBVQGSSSP-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN CCOc1nccnc1Nc1cccc([N+](=O)[O-])c1 ZINC001204416074 769847417 /nfs/dbraw/zinc/84/74/17/769847417.db2.gz POWDGZLYZRVPCL-UHFFFAOYSA-N 0 0 260.253 2.527 20 5 CFBDRN O=C(Nc1ccc(O)cc1)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669275 770051933 /nfs/dbraw/zinc/05/19/33/770051933.db2.gz ZYWWLINPSXHMAZ-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN CCN(CC(C)(C)O)c1nc(Cl)c(C)cc1[N+](=O)[O-] ZINC001167159626 770114705 /nfs/dbraw/zinc/11/47/05/770114705.db2.gz FMSYGUWLPQMIFW-UHFFFAOYSA-N 0 0 287.747 2.549 20 5 CFBDRN COc1ccc(N)c(Nc2cccc([N+](=O)[O-])c2)c1 ZINC001204457199 770126623 /nfs/dbraw/zinc/12/66/23/770126623.db2.gz UZPPLTLJVZNMDS-UHFFFAOYSA-N 0 0 259.265 2.929 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(N2CC[C@@H]3OCC[C@@H]3C2)nc1Cl ZINC001167217440 770150990 /nfs/dbraw/zinc/15/09/90/770150990.db2.gz ZEOLVAVUNGSRPM-KOLCDFICSA-N 0 0 297.742 2.567 20 5 CFBDRN CCc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)no1 ZINC001125337598 770159455 /nfs/dbraw/zinc/15/94/55/770159455.db2.gz NEWLJGABDWFUIA-UHFFFAOYSA-N 0 0 279.227 2.537 20 5 CFBDRN CN(C)c1ccccc1Nc1ccc(N)c([N+](=O)[O-])c1 ZINC001209936048 770165286 /nfs/dbraw/zinc/16/52/86/770165286.db2.gz ISGUTQPHUKTRKK-UHFFFAOYSA-N 0 0 272.308 2.987 20 5 CFBDRN CN1CCc2cc(Nc3cccc([N+](=O)[O-])c3)ccc2C1=O ZINC001204477124 770173747 /nfs/dbraw/zinc/17/37/47/770173747.db2.gz RKECIDZOCCZZOE-UHFFFAOYSA-N 0 0 297.314 2.967 20 5 CFBDRN CN1C[C@@H](Nc2ccc([N+](=O)[O-])c3ccccc23)CCC1=O ZINC001167249862 770174339 /nfs/dbraw/zinc/17/43/39/770174339.db2.gz QVMZKSLYQPCZIU-NSHDSACASA-N 0 0 299.330 2.781 20 5 CFBDRN CCc1cccnc1Nc1cnc(OC)c([N+](=O)[O-])c1 ZINC001210136548 770215077 /nfs/dbraw/zinc/21/50/77/770215077.db2.gz MQJSNPHWNWRWPE-UHFFFAOYSA-N 0 0 274.280 2.699 20 5 CFBDRN Cc1cnc(NCC2(c3ccccc3)COC2)cc1[N+](=O)[O-] ZINC001167295044 770229339 /nfs/dbraw/zinc/22/93/39/770229339.db2.gz RBKFJTJYRMUVFV-UHFFFAOYSA-N 0 0 299.330 2.678 20 5 CFBDRN Cc1c(Nc2cccc([N+](=O)[O-])c2F)ncn1C ZINC001210381734 770276169 /nfs/dbraw/zinc/27/61/69/770276169.db2.gz ORYBFYLZWNQUOY-UHFFFAOYSA-N 0 0 250.233 2.519 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(NCc2cccnn2)c1 ZINC001167324666 770289373 /nfs/dbraw/zinc/28/93/73/770289373.db2.gz YISLQAIGUQSSAT-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1NCc1cccnn1 ZINC001167324440 770289464 /nfs/dbraw/zinc/28/94/64/770289464.db2.gz LCUNCNFBCFATIK-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C[C@H](OC(=O)c1cccnc1)c1ccccc1[N+](=O)[O-] ZINC000200431821 770326727 /nfs/dbraw/zinc/32/67/27/770326727.db2.gz KSKRFHAGNOABAG-JTQLQIEISA-N 0 0 272.260 2.908 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2ccc(Cl)nn2)c1 ZINC000167296787 770432421 /nfs/dbraw/zinc/43/24/21/770432421.db2.gz OQVSMQZLAHSTRW-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1cc(N)c(O)cc1O ZINC001211290181 770476717 /nfs/dbraw/zinc/47/67/17/770476717.db2.gz RIRXLJLPTBKAMM-UHFFFAOYSA-N 0 0 275.264 2.640 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1Nc1ccc(F)c(C(N)=O)c1 ZINC001211290484 770477741 /nfs/dbraw/zinc/47/77/41/770477741.db2.gz VWEFNLTXHQIQPG-UHFFFAOYSA-N 0 0 289.266 2.885 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1c1ccncc1 ZINC001125489112 770543673 /nfs/dbraw/zinc/54/36/73/770543673.db2.gz KLGDLOGFWBCORM-LSDHHAIUSA-N 0 0 298.298 2.837 20 5 CFBDRN C[C@H](Nc1ncnc(Cl)c1[N+](=O)[O-])c1ccc(F)cn1 ZINC001167471612 770589931 /nfs/dbraw/zinc/58/99/31/770589931.db2.gz GPISMXKKIUGCEF-LURJTMIESA-N 0 0 297.677 2.745 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccco1 ZINC000588436227 770676650 /nfs/dbraw/zinc/67/66/50/770676650.db2.gz UKEIBZBFDMQSQC-LUAWRHEFSA-N 0 0 286.287 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CC2)[C@H]2CCCOC2)nc1 ZINC000723847107 770684620 /nfs/dbraw/zinc/68/46/20/770684620.db2.gz XKDUACSDKLRKKS-SMDDNHRTSA-N 0 0 277.324 2.607 20 5 CFBDRN CC[C@@H](Nc1ncccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723859755 770691162 /nfs/dbraw/zinc/69/11/62/770691162.db2.gz YOPCYEZCDKVSMP-WDEREUQCSA-N 0 0 265.313 2.607 20 5 CFBDRN CC[C@@H](Nc1nc(C)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723870677 770696804 /nfs/dbraw/zinc/69/68/04/770696804.db2.gz JRFHXHIGPYEAOF-NWDGAFQWSA-N 0 0 279.340 2.915 20 5 CFBDRN CC[C@H](Nc1nc(C)ccc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723870678 770696836 /nfs/dbraw/zinc/69/68/36/770696836.db2.gz JRFHXHIGPYEAOF-RYUDHWBXSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](C2CC2)[C@H]2CCCOC2)s1 ZINC000723877146 770701344 /nfs/dbraw/zinc/70/13/44/770701344.db2.gz OJFRBDJQOSUVCG-ONGXEEELSA-N 0 0 283.353 2.668 20 5 CFBDRN CC[C@@H](Nc1c(Cl)cncc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000723882584 770707072 /nfs/dbraw/zinc/70/70/72/770707072.db2.gz ZHLCZQGWGFFXEP-GXSJLCMTSA-N 0 0 299.758 2.682 20 5 CFBDRN O=C(NCCC1CC=CC1)c1cc([N+](=O)[O-])ccc1F ZINC000724372188 770852895 /nfs/dbraw/zinc/85/28/95/770852895.db2.gz YZLHQIUIJOITCG-UHFFFAOYSA-N 0 0 278.283 2.820 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])c2ccccc12)C(N)=O ZINC001167605908 770975129 /nfs/dbraw/zinc/97/51/29/770975129.db2.gz JSHMLJOJKZWTSU-UHFFFAOYSA-N 0 0 287.319 2.671 20 5 CFBDRN COc1cccc(F)c1CNc1cccnc1[N+](=O)[O-] ZINC001167614011 770987761 /nfs/dbraw/zinc/98/77/61/770987761.db2.gz KUGNDYJGSDPCBM-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC(=O)CNc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC001167631355 773200667 /nfs/dbraw/zinc/20/06/67/773200667.db2.gz YGZYSLWPXXHRBU-UHFFFAOYSA-N 0 0 262.187 2.615 20 5 CFBDRN C/C=C(/CC)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000588571323 773241601 /nfs/dbraw/zinc/24/16/01/773241601.db2.gz XQKNVGWQOKTJLI-KMKOMSMNSA-N 0 0 260.293 2.840 20 5 CFBDRN O=C1CCCC[C@@H]1OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001224100626 775100907 /nfs/dbraw/zinc/10/09/07/775100907.db2.gz KMOOJWKZXPUDKZ-ZDUSSCGKSA-N 0 0 294.263 2.531 20 5 CFBDRN C[C@H](COC(C)(C)C)OCc1ccc([N+](=O)[O-])nc1 ZINC001224109093 775102632 /nfs/dbraw/zinc/10/26/32/775102632.db2.gz NGDPMEKOVHFBFP-SNVBAGLBSA-N 0 0 268.313 2.710 20 5 CFBDRN C[C@H](OCc1ccc([N+](=O)[O-])nc1)c1nccs1 ZINC001224112458 775103883 /nfs/dbraw/zinc/10/38/83/775103883.db2.gz DEIIKIQQWNDLLN-QMMMGPOBSA-N 0 0 265.294 2.724 20 5 CFBDRN CC(C)CN(CC(C)C)c1ccc([N+](=O)[O-])c(N)n1 ZINC000167799294 775104099 /nfs/dbraw/zinc/10/40/99/775104099.db2.gz HSQGUDDTNCFQJU-UHFFFAOYSA-N 0 0 266.345 2.690 20 5 CFBDRN Cc1cnc(COCCOC(C)C)c(C)c1[N+](=O)[O-] ZINC001224184189 775121587 /nfs/dbraw/zinc/12/15/87/775121587.db2.gz TXKDTZZCLCOUGQ-UHFFFAOYSA-N 0 0 268.313 2.548 20 5 CFBDRN CC[C@@H](O)C(=O)OCc1ccc([N+](=O)[O-])c2ccccc12 ZINC001224205047 775128512 /nfs/dbraw/zinc/12/85/12/775128512.db2.gz XVYPGEOKOUUMAS-CQSZACIVSA-N 0 0 289.287 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC[C@@H](F)C2)c1 ZINC000689858110 775138294 /nfs/dbraw/zinc/13/82/94/775138294.db2.gz HHRCDYQVMHIKPC-SECBINFHSA-N 0 0 258.680 2.792 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224328022 775157909 /nfs/dbraw/zinc/15/79/09/775157909.db2.gz JOHGDZSKDHWPTG-LBPRGKRZSA-N 0 0 289.335 2.957 20 5 CFBDRN CCOC[C@@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224330887 775159726 /nfs/dbraw/zinc/15/97/26/775159726.db2.gz KYHXKQKXNXWJMG-LLVKDONJSA-N 0 0 253.298 2.579 20 5 CFBDRN COC[C@H](C)OC[C@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224334244 775160981 /nfs/dbraw/zinc/16/09/81/775160981.db2.gz UHHIEFPLEQMTCC-STQMWFEESA-N 0 0 297.351 2.594 20 5 CFBDRN CC[C@@H](COCCc1ccc([N+](=O)[O-])cc1)OC ZINC001224333480 775161010 /nfs/dbraw/zinc/16/10/10/775161010.db2.gz GMBHHNDKORHCDR-ZDUSSCGKSA-N 0 0 253.298 2.579 20 5 CFBDRN CCOc1ccccc1OCCO[C@@H](C[N+](=O)[O-])C1CC1 ZINC001224492081 775202590 /nfs/dbraw/zinc/20/25/90/775202590.db2.gz PMGZBZLTCFTRHF-HNNXBMFYSA-N 0 0 295.335 2.536 20 5 CFBDRN C[C@H](OCCCC1OCCO1)c1cccc([N+](=O)[O-])c1 ZINC001224934862 775310465 /nfs/dbraw/zinc/31/04/65/775310465.db2.gz FSKVFQJTLZTKFK-NSHDSACASA-N 0 0 281.308 2.826 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2cccnc21)C1CC1 ZINC001225292563 775396077 /nfs/dbraw/zinc/39/60/77/775396077.db2.gz LAAHGRBMGILTSV-CYBMUJFWSA-N 0 0 258.277 2.669 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cccc2cnccc21)C1CC1 ZINC001225296836 775397174 /nfs/dbraw/zinc/39/71/74/775397174.db2.gz UPIAJDKILYSGKD-CQSZACIVSA-N 0 0 258.277 2.669 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(F)c(F)c1)c1cccnc1 ZINC001225313150 775406999 /nfs/dbraw/zinc/40/69/99/775406999.db2.gz FZSMUWUMIINESP-ZDUSSCGKSA-N 0 0 280.230 2.757 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(C(F)(F)F)cn1)C1CC1 ZINC001225327556 775412610 /nfs/dbraw/zinc/41/26/10/775412610.db2.gz DXKXPZNBXRABPM-VIFPVBQESA-N 0 0 276.214 2.535 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccccc1-c1cc[nH]n1)C1CC1 ZINC001225365483 775431544 /nfs/dbraw/zinc/43/15/44/775431544.db2.gz BRVKVIAZHWTSCN-AWEZNQCLSA-N 0 0 273.292 2.511 20 5 CFBDRN COC(=O)C1CCC(Oc2ccc(F)c([N+](=O)[O-])c2)CC1 ZINC001225381976 775437221 /nfs/dbraw/zinc/43/72/21/775437221.db2.gz JUSWHSTUJRLAFI-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN O=C1CCCC[C@@H]1Oc1c(Cl)nccc1[N+](=O)[O-] ZINC001225434611 775454185 /nfs/dbraw/zinc/45/41/85/775454185.db2.gz GPELHQVUUMTCLN-VIFPVBQESA-N 0 0 270.672 2.534 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1OC[C@H]1CCC=CO1 ZINC001225432118 775454189 /nfs/dbraw/zinc/45/41/89/775454189.db2.gz YPDRSUWRLWPHFS-MRVPVSSYSA-N 0 0 270.672 2.715 20 5 CFBDRN C[C@H](Oc1c(Cl)nccc1[N+](=O)[O-])c1cnccn1 ZINC001225434782 775455772 /nfs/dbraw/zinc/45/57/72/775455772.db2.gz LGEIKPSNVPHODS-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1OCCOC1CCCC1 ZINC001225435787 775455852 /nfs/dbraw/zinc/45/58/52/775455852.db2.gz URFYOSWJFGVCHJ-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])c(OC)c1 ZINC001225441791 775459026 /nfs/dbraw/zinc/45/90/26/775459026.db2.gz ZHCLPZXYLFVKRO-SNVBAGLBSA-N 0 0 297.307 2.714 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H](C)C(C)C ZINC001225451111 775461147 /nfs/dbraw/zinc/46/11/47/775461147.db2.gz PNNYGOHYMSOHFZ-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1O[C@@H](C)C1CCC1 ZINC001225448921 775461528 /nfs/dbraw/zinc/46/15/28/775461528.db2.gz SGSTTWHWALFLJB-VIFPVBQESA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1cc2c(cccc2O[C@H](C[N+](=O)[O-])C2CC2)[nH]1 ZINC001225467864 775467010 /nfs/dbraw/zinc/46/70/10/775467010.db2.gz ZMJQLNRKUQQQOD-CQSZACIVSA-N 0 0 260.293 2.910 20 5 CFBDRN Cc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c2ncccc12 ZINC001225474293 775471741 /nfs/dbraw/zinc/47/17/41/775471741.db2.gz PGMXLPNLRMFMIJ-CQSZACIVSA-N 0 0 272.304 2.977 20 5 CFBDRN Cc1ccc2cccnc2c1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225479299 775473049 /nfs/dbraw/zinc/47/30/49/775473049.db2.gz MEYIWTNQEZJDIN-ZDUSSCGKSA-N 0 0 272.304 2.977 20 5 CFBDRN CC(=O)[C@@H](C)Oc1ccc(Br)c([N+](=O)[O-])c1 ZINC001225504658 775484698 /nfs/dbraw/zinc/48/46/98/775484698.db2.gz YJSLTZUSBHNEQC-SSDOTTSWSA-N 0 0 288.097 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1O[C@H]1CCCC2(C1)OCCO2 ZINC001225578767 775509157 /nfs/dbraw/zinc/50/91/57/775509157.db2.gz PQVZTUHBGMZWNG-NSHDSACASA-N 0 0 297.282 2.798 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCOC[C@@H]2C)c([N+](=O)[O-])c1 ZINC001225594681 775516632 /nfs/dbraw/zinc/51/66/32/775516632.db2.gz UWFAXNAAEXJZMK-JOYOIKCWSA-N 0 0 296.279 2.615 20 5 CFBDRN CC[C@H](COC)Oc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225600871 775519584 /nfs/dbraw/zinc/51/95/84/775519584.db2.gz KFCJVXWCKPFYKT-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@@H]1CCO[C@H](C)C1 ZINC001225614812 775524146 /nfs/dbraw/zinc/52/41/46/775524146.db2.gz FPSWITLXJAGQCY-MWLCHTKSSA-N 0 0 267.281 2.550 20 5 CFBDRN Cc1cccc(O[C@H](C)c2ncccn2)c1[N+](=O)[O-] ZINC001225630918 775532402 /nfs/dbraw/zinc/53/24/02/775532402.db2.gz BHHCTGYHQLSMCY-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cccc(O[C@@H](C)c2cnccn2)c1[N+](=O)[O-] ZINC001225635638 775535026 /nfs/dbraw/zinc/53/50/26/775535026.db2.gz KHMYZZGNXUIENC-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1cc(OC[C@@H]2CCC(C)(C)O2)ncc1[N+](=O)[O-] ZINC001225658029 775545936 /nfs/dbraw/zinc/54/59/36/775545936.db2.gz XKPZJLCVQDETNL-JTQLQIEISA-N 0 0 266.297 2.635 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@@H]1Oc1cccc(F)c1[N+](=O)[O-] ZINC001225663337 775546683 /nfs/dbraw/zinc/54/66/83/775546683.db2.gz DFWQFQBBHUWIFX-KOLCDFICSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1OC1CCC2(CC1)OCCO2 ZINC001225663654 775547510 /nfs/dbraw/zinc/54/75/10/775547510.db2.gz FEXBDWBGNHKRIB-UHFFFAOYSA-N 0 0 297.282 2.798 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1cccc(F)c1[N+](=O)[O-] ZINC001225663339 775547533 /nfs/dbraw/zinc/54/75/33/775547533.db2.gz DFWQFQBBHUWIFX-ONGXEEELSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1O[C@@H]1CCCC2(C1)OCCO2 ZINC001225667161 775548544 /nfs/dbraw/zinc/54/85/44/775548544.db2.gz PQCWFNZSZHVGRF-SNVBAGLBSA-N 0 0 297.282 2.798 20 5 CFBDRN C[C@H]1C[C@H](Oc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC001225667391 775548670 /nfs/dbraw/zinc/54/86/70/775548670.db2.gz RDRFLFZTULETLH-DTWKUNHWSA-N 0 0 255.245 2.680 20 5 CFBDRN O=c1cc[nH]c(O[C@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC001225665473 775548734 /nfs/dbraw/zinc/54/87/34/775548734.db2.gz AKGKUXYPCBSSNM-AMUVOQDHSA-N 0 0 264.281 2.653 20 5 CFBDRN CCC[C@@H](Oc1cccc(F)c1[N+](=O)[O-])C(=O)OCC ZINC001225667186 775549134 /nfs/dbraw/zinc/54/91/34/775549134.db2.gz QMHNKZCFODSFKV-LLVKDONJSA-N 0 0 285.271 2.845 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2cccc(F)c2[N+](=O)[O-])CC1 ZINC001225666831 775549389 /nfs/dbraw/zinc/54/93/89/775549389.db2.gz MNLHAJUJKKZDSO-MGCOHNPYSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)C[C@]1(C)CO ZINC000694706635 775552736 /nfs/dbraw/zinc/55/27/36/775552736.db2.gz HMJRRTUAUUTQKZ-TVQRCGJNSA-N 0 0 284.743 2.703 20 5 CFBDRN C[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)C[C@@]1(C)CO ZINC000694709179 775552883 /nfs/dbraw/zinc/55/28/83/775552883.db2.gz ZZMUFRSZYSUVMC-YGRLFVJLSA-N 0 0 298.770 2.699 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc(C)ccc1[N+](=O)[O-] ZINC001225683848 775556604 /nfs/dbraw/zinc/55/66/04/775556604.db2.gz XFDYLTLCBZXKSU-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cccc([N+](=O)[O-])c2C)C1 ZINC001225693404 775561027 /nfs/dbraw/zinc/56/10/27/775561027.db2.gz VHXUUEAFKKRMTM-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1cccc([N+](=O)[O-])c1C ZINC001225693117 775561227 /nfs/dbraw/zinc/56/12/27/775561227.db2.gz OHHMFOMEMRQGFH-GHMZBOCLSA-N 0 0 283.324 2.722 20 5 CFBDRN CC(=O)N1CCC[C@@H](Oc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC001225710294 775570020 /nfs/dbraw/zinc/57/00/20/775570020.db2.gz JFZMYHLKMKOSMO-LLVKDONJSA-N 0 0 298.726 2.638 20 5 CFBDRN CC1(C)COC(=O)[C@H]1Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC001225711376 775570167 /nfs/dbraw/zinc/57/01/67/775570167.db2.gz VIKNEQHVOLLQNA-SNVBAGLBSA-N 0 0 285.683 2.579 20 5 CFBDRN CC(=O)c1cc(Cl)ccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001225745946 775581779 /nfs/dbraw/zinc/58/17/79/775581779.db2.gz OCQMTBSKAWIHCF-ZDUSSCGKSA-N 0 0 283.711 2.977 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1OC1CC=CC1 ZINC001225747961 775581866 /nfs/dbraw/zinc/58/18/66/775581866.db2.gz OOWNMJKVBZKWHJ-UHFFFAOYSA-N 0 0 285.097 2.850 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001225750583 775582295 /nfs/dbraw/zinc/58/22/95/775582295.db2.gz OCILDAZGMBYMKQ-SRSLHRDFSA-N 0 0 299.124 2.930 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225775644 775589067 /nfs/dbraw/zinc/58/90/67/775589067.db2.gz HGDIIIMIULMJMB-HQJQHLMTSA-N 0 0 273.235 2.677 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2cccc([N+](=O)[O-])c2Cl)C1 ZINC001225789683 775593140 /nfs/dbraw/zinc/59/31/40/775593140.db2.gz VDENZBNOYWNLQE-BDAKNGLRSA-N 0 0 299.710 2.969 20 5 CFBDRN CCC[C@@H](CC(=O)OC)Oc1cccc([N+](=O)[O-])c1F ZINC001225795931 775596257 /nfs/dbraw/zinc/59/62/57/775596257.db2.gz IPKOJWTVKJQJPO-VIFPVBQESA-N 0 0 285.271 2.845 20 5 CFBDRN CCN1CCC[C@@H](Oc2cc(C(C)=O)ccc2[N+](=O)[O-])C1 ZINC001225804751 775598558 /nfs/dbraw/zinc/59/85/58/775598558.db2.gz AVOUDNVWVSVXPI-CYBMUJFWSA-N 0 0 292.335 2.661 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(O[C@H]2CCOC[C@H]2C)c1 ZINC001225807649 775600023 /nfs/dbraw/zinc/60/00/23/775600023.db2.gz YKAKOQAGFXSDNT-RNCFNFMXSA-N 0 0 279.292 2.601 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cc(C(C)=O)ccc1[N+](=O)[O-] ZINC001225807600 775600179 /nfs/dbraw/zinc/60/01/79/775600179.db2.gz XUMLEBDRTQQNNA-LBPRGKRZSA-N 0 0 295.291 2.518 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc([N+](=O)[O-])c1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001225889899 775625487 /nfs/dbraw/zinc/62/54/87/775625487.db2.gz UXWDXJZUVZNHFM-JOAULVNJSA-N 0 0 294.238 2.986 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC001225906535 775631204 /nfs/dbraw/zinc/63/12/04/775631204.db2.gz CAVSHZTVSNNBSX-JGZJWPJOSA-N 0 0 297.282 2.845 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@@H](Oc2ccc(F)cc2[N+](=O)[O-])C1 ZINC001225907021 775631357 /nfs/dbraw/zinc/63/13/57/775631357.db2.gz HTXASNKPYGGXIG-MWLCHTKSSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(F)c(F)c(F)c1)C1CC1 ZINC001225911015 775631524 /nfs/dbraw/zinc/63/15/24/775631524.db2.gz HZWAXSUAYIAVND-SNVBAGLBSA-N 0 0 261.199 2.538 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC001225916260 775633812 /nfs/dbraw/zinc/63/38/12/775633812.db2.gz QBDQOIOUUFFUSW-UWVGGRQHSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1c(F)cc(F)cc1F)C1CC1 ZINC001225930191 775637869 /nfs/dbraw/zinc/63/78/69/775637869.db2.gz RHYRDQCTBPQFFA-SNVBAGLBSA-N 0 0 261.199 2.538 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1F)c1ncccn1 ZINC001225945701 775644005 /nfs/dbraw/zinc/64/40/05/775644005.db2.gz DWLNPTSFIIHADL-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@@H](Oc1c(F)c(F)ccc1[N+](=O)[O-])c1ncccn1 ZINC001225960079 775649036 /nfs/dbraw/zinc/64/90/36/775649036.db2.gz OUSNVDOUUJLIPP-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2c(F)c(F)ccc2[N+](=O)[O-])=C1C ZINC001225959574 775649086 /nfs/dbraw/zinc/64/90/86/775649086.db2.gz MRLHSHFGBKSPEQ-SECBINFHSA-N 0 0 299.229 2.861 20 5 CFBDRN C[C@H](Oc1c(F)c(F)ccc1[N+](=O)[O-])c1cncnc1 ZINC001225964235 775650645 /nfs/dbraw/zinc/65/06/45/775650645.db2.gz XETNBODQNFJIQW-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC1CCN(CCF)CC1 ZINC001226007737 775666570 /nfs/dbraw/zinc/66/65/70/775666570.db2.gz UAKCGSVNVYPDIU-UHFFFAOYSA-N 0 0 286.278 2.547 20 5 CFBDRN COC(=O)[C@H]1CC[C@@H](Oc2c(F)cccc2[N+](=O)[O-])CC1 ZINC001226010997 775667762 /nfs/dbraw/zinc/66/77/62/775667762.db2.gz YEKQLBCMFMECDE-AOOOYVTPSA-N 0 0 297.282 2.845 20 5 CFBDRN CCC[C@@H](C)Oc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC001226063385 775685065 /nfs/dbraw/zinc/68/50/65/775685065.db2.gz AHPBFCJKXQWHOS-SECBINFHSA-N 0 0 287.337 2.566 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)C1CCC1 ZINC001226068522 775687761 /nfs/dbraw/zinc/68/77/61/775687761.db2.gz SUDKRHJJXZDEIW-SECBINFHSA-N 0 0 299.348 2.566 20 5 CFBDRN Cc1ccnc(O[C@@H](C)C(=O)OCCC(C)C)c1[N+](=O)[O-] ZINC001226120349 775706757 /nfs/dbraw/zinc/70/67/57/775706757.db2.gz UKJYNDGRXDVXLN-NSHDSACASA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1ccnc(O[C@@H]2CCCC[C@@H]2F)c1[N+](=O)[O-] ZINC001226120340 775707148 /nfs/dbraw/zinc/70/71/48/775707148.db2.gz UFYZASUEXLKBCZ-VHSXEESVSA-N 0 0 254.261 2.958 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1OC[C@H]1CCCCO1 ZINC001226130605 775710388 /nfs/dbraw/zinc/71/03/88/775710388.db2.gz RKBZCBSVOKMOLO-SECBINFHSA-N 0 0 272.688 2.591 20 5 CFBDRN C[C@@H](Oc1ncc(Cl)cc1[N+](=O)[O-])C(F)(F)F ZINC001226129945 775710599 /nfs/dbraw/zinc/71/05/99/775710599.db2.gz JBMVRDQSXQSBAH-SCSAIBSYSA-N 0 0 270.594 2.973 20 5 CFBDRN C[C@H](Oc1ncc(Cl)cc1[N+](=O)[O-])C(F)(F)F ZINC001226129942 775710807 /nfs/dbraw/zinc/71/08/07/775710807.db2.gz JBMVRDQSXQSBAH-BYPYZUCNSA-N 0 0 270.594 2.973 20 5 CFBDRN C[C@@H]1C[C@H](Oc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC001226131696 775711539 /nfs/dbraw/zinc/71/15/39/775711539.db2.gz PHEIMXZWAONBSM-VXNVDRBHSA-N 0 0 272.688 2.590 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1O[C@H]1COc2cnccc21 ZINC001226132350 775711657 /nfs/dbraw/zinc/71/16/57/775711657.db2.gz YNTJSTGVDBTDOF-NSHDSACASA-N 0 0 293.666 2.551 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)no1 ZINC001226149736 775716150 /nfs/dbraw/zinc/71/61/50/775716150.db2.gz AQZZYAYZEQSCOT-QMMMGPOBSA-N 0 0 292.247 2.509 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)C1Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226171681 775724803 /nfs/dbraw/zinc/72/48/03/775724803.db2.gz FMOSBPFIMRUEEP-NXEZZACHSA-N 0 0 292.335 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1cccc(F)n1)C2 ZINC001226185785 775729923 /nfs/dbraw/zinc/72/99/23/775729923.db2.gz CAWYUONYEAFIPN-LBPRGKRZSA-N 0 0 274.251 2.675 20 5 CFBDRN C[C@@H](CON)Oc1cc([N+](=O)[O-])c(Cl)cc1Cl ZINC001226207500 775737389 /nfs/dbraw/zinc/73/73/89/775737389.db2.gz NXWKCAAGZVOXQY-YFKPBYRVSA-N 0 0 281.095 2.559 20 5 CFBDRN CCC(CC)Oc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC001226215095 775739871 /nfs/dbraw/zinc/73/98/71/775739871.db2.gz UGKBLBKYLVKURE-UHFFFAOYSA-N 0 0 281.308 2.878 20 5 CFBDRN C[C@H](Oc1ncc([N+](=O)[O-])cc1Cl)c1ncccn1 ZINC001226252710 775756497 /nfs/dbraw/zinc/75/64/97/775756497.db2.gz SNLVMGALGWOEOH-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN O=C1CCCC[C@H]1Oc1ncc([N+](=O)[O-])cc1Cl ZINC001226258453 775759366 /nfs/dbraw/zinc/75/93/66/775759366.db2.gz NORKKXJKGGDGGD-SNVBAGLBSA-N 0 0 270.672 2.534 20 5 CFBDRN COC(=O)[C@H]1CC[C@H](Oc2cc([N+](=O)[O-])ccc2F)CC1 ZINC001226266937 775763888 /nfs/dbraw/zinc/76/38/88/775763888.db2.gz BWVNJRMXFQHXLZ-HOMQSWHASA-N 0 0 297.282 2.845 20 5 CFBDRN CCC[C@H](CC(=O)OCC)Oc1c(O)cccc1[N+](=O)[O-] ZINC001226334318 775782466 /nfs/dbraw/zinc/78/24/66/775782466.db2.gz SYFONYNBRFOWTA-SNVBAGLBSA-N 0 0 297.307 2.801 20 5 CFBDRN C[C@@H](Oc1c(O)cccc1[N+](=O)[O-])c1cccnc1F ZINC001226340061 775785394 /nfs/dbraw/zinc/78/53/94/775785394.db2.gz MQFUGYOESJNKKR-MRVPVSSYSA-N 0 0 278.239 2.975 20 5 CFBDRN Cc1ncc(CC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)o1 ZINC001125864346 775816633 /nfs/dbraw/zinc/81/66/33/775816633.db2.gz OFEMIZOAGQGHNK-UHFFFAOYSA-N 0 0 295.682 2.726 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nsc2ccccc21)C1CC1 ZINC001226433291 775821265 /nfs/dbraw/zinc/82/12/65/775821265.db2.gz XMPBXFQXGDGBSS-SNVBAGLBSA-N 0 0 264.306 2.730 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@H](C)c2cn(C)nc2C)c1 ZINC001226443503 775824224 /nfs/dbraw/zinc/82/42/24/775824224.db2.gz WZQXDTJBQPNPJB-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2COc3cnccc32)c1 ZINC001226443988 775824788 /nfs/dbraw/zinc/82/47/88/775824788.db2.gz AYCROIUURXASHQ-CQSZACIVSA-N 0 0 288.259 2.511 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1cc(OC)ccc1[N+](=O)[O-] ZINC001226448462 775827458 /nfs/dbraw/zinc/82/74/58/775827458.db2.gz XRNOZQMKCROQKR-LLVKDONJSA-N 0 0 297.307 2.714 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(-c2ccccc2)nn1)C1CC1 ZINC001226501873 775849203 /nfs/dbraw/zinc/84/92/03/775849203.db2.gz FATQKFULQYSMCK-AWEZNQCLSA-N 0 0 285.303 2.578 20 5 CFBDRN O=c1oc2cc(OC3COCOC3)ccc2c2ccccc21 ZINC001226512934 775854100 /nfs/dbraw/zinc/85/41/00/775854100.db2.gz WUTOLHSSAIDWHC-UHFFFAOYSA-N 0 0 298.294 2.698 20 5 CFBDRN CC[C@H](O)C(=O)Oc1ccc2c(c1)oc(=O)c1ccccc21 ZINC001226515039 775856914 /nfs/dbraw/zinc/85/69/14/775856914.db2.gz OTPRNJCNTBNTRD-AWEZNQCLSA-N 0 0 298.294 2.623 20 5 CFBDRN COc1cc(O[C@H](C)c2ccco2)ncc1[N+](=O)[O-] ZINC001226579479 775880845 /nfs/dbraw/zinc/88/08/45/775880845.db2.gz RRYQBDVDEDVOMR-MRVPVSSYSA-N 0 0 264.237 2.731 20 5 CFBDRN COc1cc(OC(C2CC2)C2CC2)ncc1[N+](=O)[O-] ZINC001226580497 775880856 /nfs/dbraw/zinc/88/08/56/775880856.db2.gz AVXJDJUQFJEAFH-UHFFFAOYSA-N 0 0 264.281 2.566 20 5 CFBDRN COc1cc(O[C@H](C)c2ccc(C)nc2)ncc1[N+](=O)[O-] ZINC001226581118 775881071 /nfs/dbraw/zinc/88/10/71/775881071.db2.gz LIVDHCQTQUDTHZ-SNVBAGLBSA-N 0 0 289.291 2.842 20 5 CFBDRN CC[C@H](C)[C@H](C)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226581510 775881093 /nfs/dbraw/zinc/88/10/93/775881093.db2.gz SKGQNTNRILIOJO-IUCAKERBSA-N 0 0 254.286 2.812 20 5 CFBDRN C[C@H](Oc1ccc2nccn2n1)c1cccc([N+](=O)[O-])c1 ZINC001226583079 775883227 /nfs/dbraw/zinc/88/32/27/775883227.db2.gz RLOMLRUTFXVFSS-JTQLQIEISA-N 0 0 284.275 2.778 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc2ccccc2c1)C1CC1 ZINC001226659908 775909257 /nfs/dbraw/zinc/90/92/57/775909257.db2.gz DEKUNIDCMZNMHA-AWEZNQCLSA-N 0 0 258.277 2.669 20 5 CFBDRN CC/C=C\CCOC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])nc1 ZINC001226709584 775931396 /nfs/dbraw/zinc/93/13/96/775931396.db2.gz JGVYTRSYKJVDAS-WYGGZMRJSA-N 0 0 294.307 2.657 20 5 CFBDRN CC1(C)CC(=O)CC[C@H]1Oc1ccc([N+](=O)[O-])nc1 ZINC001226710216 775931763 /nfs/dbraw/zinc/93/17/63/775931763.db2.gz PDHZDSKOXGIVNT-LLVKDONJSA-N 0 0 264.281 2.516 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])nc1)c1ncccc1F ZINC001226711133 775933103 /nfs/dbraw/zinc/93/31/03/775933103.db2.gz AFLOZQFJXCRKCW-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2ccc([N+](=O)[O-])nc2)cn1 ZINC001226710564 775933852 /nfs/dbraw/zinc/93/38/52/775933852.db2.gz SLULZBGUXDFEPY-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])nc1)c1cccnc1F ZINC001226712634 775934625 /nfs/dbraw/zinc/93/46/25/775934625.db2.gz PITJSTXVPFOOGB-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NC(C)(C)CCO ZINC001167739817 775938609 /nfs/dbraw/zinc/93/86/09/775938609.db2.gz QINUQGIJZFCOLX-UHFFFAOYSA-N 0 0 268.313 2.566 20 5 CFBDRN C[C@@H]1CC(Oc2ncc([N+](=O)[O-])cn2)C[C@@H](C)C1 ZINC001226729123 775939976 /nfs/dbraw/zinc/93/99/76/775939976.db2.gz ANDOMLFXANYILA-IUCAKERBSA-N 0 0 251.286 2.588 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226744680 775943535 /nfs/dbraw/zinc/94/35/35/775943535.db2.gz SHOJENKFEWUIKE-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN CC[C@@H](COC)Oc1c(F)cc([N+](=O)[O-])cc1F ZINC001226744089 775944266 /nfs/dbraw/zinc/94/42/66/775944266.db2.gz LBJVCLQCHSCVGY-QMMMGPOBSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cncc2ccccc21)C1CC1 ZINC001226745522 775945418 /nfs/dbraw/zinc/94/54/18/775945418.db2.gz FDRZXSBQURWDBU-CQSZACIVSA-N 0 0 258.277 2.669 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@H]1CCCC[C@@H]1F ZINC001226751662 775946615 /nfs/dbraw/zinc/94/66/15/775946615.db2.gz OIMQTPPIVIPCPT-WPRPVWTQSA-N 0 0 258.224 2.788 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@@H]1COCc2ccccc21 ZINC001226752037 775948191 /nfs/dbraw/zinc/94/81/91/775948191.db2.gz WIXGKKBVLNOGNH-CYBMUJFWSA-N 0 0 290.250 2.779 20 5 CFBDRN Cc1ccc([C@H](C)Oc2ncc(F)cc2[N+](=O)[O-])cn1 ZINC001226752229 775948487 /nfs/dbraw/zinc/94/84/87/775948487.db2.gz YOQBXIAFZPODTQ-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H]1CCC(=O)CC1(C)C ZINC001226761083 775950996 /nfs/dbraw/zinc/95/09/96/775950996.db2.gz FOYDSJOBEKXHLY-GFCCVEGCSA-N 0 0 278.308 2.825 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H](C)COC(C)(C)C ZINC001226761316 775951024 /nfs/dbraw/zinc/95/10/24/775951024.db2.gz RVQVBBJSPFYTLD-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCOC[C@H]2F)c(Cl)c1 ZINC001226760247 775951276 /nfs/dbraw/zinc/95/12/76/775951276.db2.gz GSUNIVHQDCLYAF-KOLCDFICSA-N 0 0 275.663 2.754 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@@H](C)c1ccccn1 ZINC001226762017 775952609 /nfs/dbraw/zinc/95/26/09/775952609.db2.gz PPBVUOCGIKQMMM-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN COC(=O)C1CCC(Oc2cccc([N+](=O)[O-])c2)CC1 ZINC001226763373 775953277 /nfs/dbraw/zinc/95/32/77/775953277.db2.gz RBLGZQGQUMFZIK-UHFFFAOYSA-N 0 0 279.292 2.705 20 5 CFBDRN O=[N+]([O-])c1cc2c(cc1F)ncnc2O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001226804291 775972222 /nfs/dbraw/zinc/97/22/22/775972222.db2.gz KZMPSJXKNORRJO-AYMMMOKOSA-N 0 0 289.266 2.854 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226810259 775972774 /nfs/dbraw/zinc/97/27/74/775972774.db2.gz LORODQZCDUEQGP-MRVPVSSYSA-N 0 0 285.683 2.745 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226811421 775974625 /nfs/dbraw/zinc/97/46/25/775974625.db2.gz ZHIYGCRSVLIKAI-VIFPVBQESA-N 0 0 287.699 2.969 20 5 CFBDRN COC[C@@H](C)OC[C@@H](C)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC001226843031 775986800 /nfs/dbraw/zinc/98/68/00/775986800.db2.gz DTOATWMNQAMILC-VXGBXAGGSA-N 0 0 283.324 2.722 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC001226842871 775987498 /nfs/dbraw/zinc/98/74/98/775987498.db2.gz CAFDQEYFMIHKBC-VDISTLRHSA-N 0 0 279.292 2.624 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC001226909022 776012916 /nfs/dbraw/zinc/01/29/16/776012916.db2.gz OJLRSYMHWYSGQU-LURJTMIESA-N 0 0 291.662 2.718 20 5 CFBDRN O=[N+]([O-])c1cnc(OC2CC(F)(F)C2)cc1Cl ZINC001226917909 776016415 /nfs/dbraw/zinc/01/64/15/776016415.db2.gz NDSJHSLWIBQBRT-UHFFFAOYSA-N 0 0 264.615 2.820 20 5 CFBDRN CC(C)[N@@H+]1CC[C@H](Oc2cc(Cl)c([N+](=O)[O-])cn2)C1 ZINC001226918047 776016509 /nfs/dbraw/zinc/01/65/09/776016509.db2.gz PFXQOUJQTBPGQX-VIFPVBQESA-N 0 0 285.731 2.505 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC001226951169 776031582 /nfs/dbraw/zinc/03/15/82/776031582.db2.gz UQLFDLFUCLNROP-JTQLQIEISA-N 0 0 267.281 2.624 20 5 CFBDRN CCOC(=O)C1CC(Oc2c(C)cccc2[N+](=O)[O-])C1 ZINC001226968313 776037931 /nfs/dbraw/zinc/03/79/31/776037931.db2.gz GGHKSOJPZJTJOT-UHFFFAOYSA-N 0 0 279.292 2.624 20 5 CFBDRN CCOCC(COCC)Oc1c(C)cccc1[N+](=O)[O-] ZINC001226971274 776040309 /nfs/dbraw/zinc/04/03/09/776040309.db2.gz KLJYYZDOFNLLKX-UHFFFAOYSA-N 0 0 283.324 2.724 20 5 CFBDRN Cc1cnc(COc2cc(Cl)ccc2[N+](=O)[O-])nc1 ZINC000692707108 776040932 /nfs/dbraw/zinc/04/09/32/776040932.db2.gz VMYKPASPFDOTRP-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Cl)c1O[C@H]1COC[C@@H]1C ZINC001226990926 776051297 /nfs/dbraw/zinc/05/12/97/776051297.db2.gz ZXRUMKZXDDNBLV-WPRPVWTQSA-N 0 0 271.700 2.970 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@@H]1CCOC[C@H]1C ZINC001226992509 776053014 /nfs/dbraw/zinc/05/30/14/776053014.db2.gz LCSHJCYHEDYXCV-ZYHUDNBSSA-N 0 0 251.282 2.707 20 5 CFBDRN CC(C)c1ccc(O)cc1O[C@H](C[N+](=O)[O-])C1CC1 ZINC001227018365 776061701 /nfs/dbraw/zinc/06/17/01/776061701.db2.gz CLECCROBNPDFDJ-CQSZACIVSA-N 0 0 265.309 2.950 20 5 CFBDRN CCCCOC[C@H](C)Oc1nc(C)ccc1[N+](=O)[O-] ZINC001227063079 776079816 /nfs/dbraw/zinc/07/98/16/776079816.db2.gz ZWOIMFNRDLHXAL-NSHDSACASA-N 0 0 268.313 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H](C)c2cccnc2F)n1 ZINC001227064628 776082566 /nfs/dbraw/zinc/08/25/66/776082566.db2.gz PWGNLGCUWMOPAR-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN O=[N+]([O-])c1ccccc1[C@H](Oc1nc[nH]n1)c1ccccc1 ZINC001227106857 776099844 /nfs/dbraw/zinc/09/98/44/776099844.db2.gz RSQITHNYSILQCA-CQSZACIVSA-N 0 0 296.286 2.881 20 5 CFBDRN O=[N+]([O-])c1cnc(O[C@@H]2CCCC[C@@H]2F)c([N+](=O)[O-])c1 ZINC001227110563 776101959 /nfs/dbraw/zinc/10/19/59/776101959.db2.gz AQVIDXIBMYESDH-WCBMZHEXSA-N 0 0 285.231 2.558 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccco1 ZINC001227111834 776102206 /nfs/dbraw/zinc/10/22/06/776102206.db2.gz SKYSAWXOJBQSAG-SSDOTTSWSA-N 0 0 279.208 2.631 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ncccc1Cl)c1cccnc1 ZINC001227119536 776106651 /nfs/dbraw/zinc/10/66/51/776106651.db2.gz WYSOIUYMEDGNNF-NSHDSACASA-N 0 0 279.683 2.527 20 5 CFBDRN CCC[C@H](C)Oc1c(C(=O)OC)cccc1[N+](=O)[O-] ZINC001227122912 776106910 /nfs/dbraw/zinc/10/69/10/776106910.db2.gz WOPNGJBMKGATPK-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN COC(=O)c1ccc(Cl)c(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001227157704 776118602 /nfs/dbraw/zinc/11/86/02/776118602.db2.gz BKYMUWLFYHNZMC-LBPRGKRZSA-N 0 0 299.710 2.561 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1OC ZINC001227184957 776127086 /nfs/dbraw/zinc/12/70/86/776127086.db2.gz LVTKZQRCBPYVFU-SNVBAGLBSA-N 0 0 297.307 2.714 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC001227185001 776127789 /nfs/dbraw/zinc/12/77/89/776127789.db2.gz MUYZAPPQQYNMCJ-CWSCBRNRSA-N 0 0 261.277 2.947 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])cc2OC)=C1C ZINC001227185610 776129484 /nfs/dbraw/zinc/12/94/84/776129484.db2.gz VCRFDABVVHMQKN-SNVBAGLBSA-N 0 0 293.275 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc2ccccc2c1O[C@@H]1COC[C@H]1F ZINC001227211557 776139445 /nfs/dbraw/zinc/13/94/45/776139445.db2.gz QNYNIDFIAMIZTM-DGCLKSJQSA-N 0 0 277.251 2.864 20 5 CFBDRN CC(C)OCCOc1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC001227222047 776143690 /nfs/dbraw/zinc/14/36/90/776143690.db2.gz XAYXFMDHRGVEIC-UHFFFAOYSA-N 0 0 292.291 2.652 20 5 CFBDRN O=c1cc(OC2CC=CC2)c2cc([N+](=O)[O-])ccc2[nH]1 ZINC001227222026 776144246 /nfs/dbraw/zinc/14/42/46/776144246.db2.gz WQBXPVLGIRXTGM-UHFFFAOYSA-N 0 0 272.260 2.946 20 5 CFBDRN CO[C@@H](C)CCOc1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC001227222313 776144396 /nfs/dbraw/zinc/14/43/96/776144396.db2.gz ZPRIGBVJGSOZOV-VIFPVBQESA-N 0 0 292.291 2.652 20 5 CFBDRN CCOC[C@@H](C)Oc1cc(=O)[nH]c2ccc([N+](=O)[O-])cc21 ZINC001227222332 776144410 /nfs/dbraw/zinc/14/44/10/776144410.db2.gz ZUWYAPLXNXXGDX-SECBINFHSA-N 0 0 292.291 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(OC[C@@H]3CCCCO3)oc2c1 ZINC001227234090 776148243 /nfs/dbraw/zinc/14/82/43/776148243.db2.gz KMOPBMDLUUKABO-JTQLQIEISA-N 0 0 278.264 2.684 20 5 CFBDRN Cc1cnc(O[C@H](C)COC(C)(C)C)c([N+](=O)[O-])c1 ZINC001227235616 776149411 /nfs/dbraw/zinc/14/94/11/776149411.db2.gz VBWXDOCCIGKSND-SNVBAGLBSA-N 0 0 268.313 2.881 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1cc(C)cc(C)n1 ZINC001227248610 776156907 /nfs/dbraw/zinc/15/69/07/776156907.db2.gz YLFMLEMQPAJKHC-LLVKDONJSA-N 0 0 290.323 2.579 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1c(C)ccnc1C ZINC001227263116 776162916 /nfs/dbraw/zinc/16/29/16/776162916.db2.gz CDSGNGYJNWFRLB-SNVBAGLBSA-N 0 0 290.323 2.579 20 5 CFBDRN CCOC(=O)c1ccc(OC[C@H](C)OCC)c([N+](=O)[O-])c1 ZINC001227297367 776173685 /nfs/dbraw/zinc/17/36/85/776173685.db2.gz QSKHGWFRJKXZPC-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN CCOC[C@@H](C)Oc1ccc(C(=O)OCC)cc1[N+](=O)[O-] ZINC001227297644 776173896 /nfs/dbraw/zinc/17/38/96/776173896.db2.gz WBZPHGXWEKSAAK-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN CC(C)[C@H](C)Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227466085 776209096 /nfs/dbraw/zinc/20/90/96/776209096.db2.gz KURUKRYDZASORR-QMMMGPOBSA-N 0 0 254.242 2.926 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227467042 776210198 /nfs/dbraw/zinc/21/01/98/776210198.db2.gz QSPNHUXYYVFKHU-NSHDSACASA-N 0 0 282.252 2.640 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccccc2[N+](=O)[O-])=C1C ZINC001227478661 776210870 /nfs/dbraw/zinc/21/08/70/776210870.db2.gz ABWZUGSXRHMZMZ-SNVBAGLBSA-N 0 0 263.249 2.583 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@@H]1Oc1ccccc1[N+](=O)[O-] ZINC001227480959 776212584 /nfs/dbraw/zinc/21/25/84/776212584.db2.gz QSGMNSIXDHIASY-JQWIXIFHSA-N 0 0 279.292 2.705 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])c(O[C@@H]2C=CCC2)c([N+](=O)[O-])c1 ZINC001227502124 776215764 /nfs/dbraw/zinc/21/57/64/776215764.db2.gz VJQPCCRBDBXLAA-MRVPVSSYSA-N 0 0 295.207 2.509 20 5 CFBDRN CC1(C)C[C@H](Oc2ccc([N+](=O)[O-])cc2)CCO1 ZINC001227523008 776219188 /nfs/dbraw/zinc/21/91/88/776219188.db2.gz CEFNMLBJKQSDON-GFCCVEGCSA-N 0 0 251.282 2.931 20 5 CFBDRN C[C@@H]1CCC[C@H](Oc2ncc([N+](=O)[O-])c3nc[nH]c32)C1 ZINC001227691799 776259980 /nfs/dbraw/zinc/25/99/80/776259980.db2.gz QHQJUFALXIVBLF-BDAKNGLRSA-N 0 0 276.296 2.824 20 5 CFBDRN C=Cc1cnc(=O)[nH]c1O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC001227717605 776270457 /nfs/dbraw/zinc/27/04/57/776270457.db2.gz KACOXZANORROKI-SECBINFHSA-N 0 0 287.275 2.873 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(O[C@@H]3CCc4cccnc43)nc2c1 ZINC001227744005 776277009 /nfs/dbraw/zinc/27/70/09/776277009.db2.gz CPUKSWYEONRLFP-CYBMUJFWSA-N 0 0 296.286 2.932 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)OCCCC(C)=O)c1 ZINC000125478311 776319911 /nfs/dbraw/zinc/31/99/11/776319911.db2.gz JVSZMRSHGIPJPO-UHFFFAOYSA-N 0 0 297.332 2.843 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1CCO[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000092482294 776337645 /nfs/dbraw/zinc/33/76/45/776337645.db2.gz YRGXOCFZKZRUPJ-GDLCADMTSA-N 0 0 292.335 2.587 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1cncc([N+](=O)[O-])c1 ZINC001227990824 776353689 /nfs/dbraw/zinc/35/36/89/776353689.db2.gz RIIOTWNQUMACNB-SECBINFHSA-N 0 0 254.286 2.572 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2SCCS2)c(Cl)c1 ZINC000692833198 776359355 /nfs/dbraw/zinc/35/93/55/776359355.db2.gz NJMGVOLHUCINLZ-UHFFFAOYSA-N 0 0 291.785 2.861 20 5 CFBDRN C[C@@H](Oc1cc([N+](=O)[O-])cc(F)c1F)c1cnccn1 ZINC001228017208 776363969 /nfs/dbraw/zinc/36/39/69/776363969.db2.gz UOGRVGBUFBGXBW-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1c(Cl)ccnc1OC1CC(F)(F)C1 ZINC001228014614 776364140 /nfs/dbraw/zinc/36/41/40/776364140.db2.gz NBFPTVYNUUODHM-UHFFFAOYSA-N 0 0 264.615 2.820 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc2[nH]ccc2cc1O)c1cccnc1 ZINC001228038259 776371917 /nfs/dbraw/zinc/37/19/17/776371917.db2.gz RSGNJRZLABCZHZ-HNNXBMFYSA-N 0 0 299.286 2.665 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)Oc1ccnc(Cl)c1[N+](=O)[O-] ZINC001228054770 776378756 /nfs/dbraw/zinc/37/87/56/776378756.db2.gz ODEZGYQXZJXKBG-MRVPVSSYSA-N 0 0 296.714 2.825 20 5 CFBDRN CC(C)[N@@H+]1CCC[C@@H](Oc2ccnc(Cl)c2[N+](=O)[O-])C1 ZINC001228058539 776379895 /nfs/dbraw/zinc/37/98/95/776379895.db2.gz VQGPNGVGSRSDOP-SNVBAGLBSA-N 0 0 299.758 2.895 20 5 CFBDRN CC(C)OCCOc1ccc([N+](=O)[O-])c2cccnc12 ZINC001228136765 776405848 /nfs/dbraw/zinc/40/58/48/776405848.db2.gz YMBCXWZEQHOGIM-UHFFFAOYSA-N 0 0 276.292 2.947 20 5 CFBDRN CC(C)CCC[C@H](C)Oc1nc[nH]c(=O)c1[N+](=O)[O-] ZINC001228140372 776407213 /nfs/dbraw/zinc/40/72/13/776407213.db2.gz JEBPSEQPSXDILD-VIFPVBQESA-N 0 0 269.301 2.684 20 5 CFBDRN COC(C[C@H](C)Oc1c(C)cc(C)cc1[N+](=O)[O-])OC ZINC001228238854 776431607 /nfs/dbraw/zinc/43/16/07/776431607.db2.gz XSOMGNIOUHIZMD-NSHDSACASA-N 0 0 283.324 2.988 20 5 CFBDRN O=c1nc2cc([N+](=O)[O-])ccc2c(O[C@H]2C[C@H]3C=C[C@@H]2C3)[nH]1 ZINC001228241772 776432194 /nfs/dbraw/zinc/43/21/94/776432194.db2.gz FYSAFNZQGXJDOM-RWEMILLDSA-N 0 0 299.286 2.587 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228257674 776435468 /nfs/dbraw/zinc/43/54/68/776435468.db2.gz KBVYPBILSHYLDN-DKTWLAJQSA-N 0 0 299.710 2.969 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228258305 776435550 /nfs/dbraw/zinc/43/55/50/776435550.db2.gz SYZVZMKGTPZTIU-MRVPVSSYSA-N 0 0 285.683 2.745 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(O[C@@H]2CCn3ccnc32)c1 ZINC001228256236 776436100 /nfs/dbraw/zinc/43/61/00/776436100.db2.gz SNMNWJRGUGNAIN-LLVKDONJSA-N 0 0 279.683 2.969 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(O)cc2ccccc21)C1CC1 ZINC001228263804 776438108 /nfs/dbraw/zinc/43/81/08/776438108.db2.gz REIYDOHCQUZELS-OAHLLOKOSA-N 0 0 273.288 2.980 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@@H](C)Oc1ccc(C)c(C)n1 ZINC001228297454 776448780 /nfs/dbraw/zinc/44/87/80/776448780.db2.gz CDPKGBFNFUEDFT-SNVBAGLBSA-N 0 0 290.323 2.579 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CO[C@@H]1CCOC[C@H]1C ZINC001223108688 776474707 /nfs/dbraw/zinc/47/47/07/776474707.db2.gz WISUGGNDFUDJFI-ZWNOBZJWSA-N 0 0 281.308 2.545 20 5 CFBDRN Cc1cnc(NC[C@@H]2CC[C@@H](C3CC3)O2)c([N+](=O)[O-])c1 ZINC000722331320 776477012 /nfs/dbraw/zinc/47/70/12/776477012.db2.gz CKBPYFCITINFAX-AAEUAGOBSA-N 0 0 277.324 2.668 20 5 CFBDRN CC[C@@H](COC)Oc1c(C(C)=O)cc(C)cc1[N+](=O)[O-] ZINC001228455254 776496230 /nfs/dbraw/zinc/49/62/30/776496230.db2.gz ZGBVOADWNOFIDI-NSHDSACASA-N 0 0 281.308 2.910 20 5 CFBDRN COc1ncc(F)c(O[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001228464051 776498855 /nfs/dbraw/zinc/49/88/55/776498855.db2.gz ALSCFTOEQDXQHH-QMMMGPOBSA-N 0 0 293.254 2.673 20 5 CFBDRN COc1ncc(F)c(O[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001228469522 776500044 /nfs/dbraw/zinc/50/00/44/776500044.db2.gz HIQFSGVDBNZJGW-MRVPVSSYSA-N 0 0 293.254 2.673 20 5 CFBDRN O=C1OCCC[C@H]1Oc1cccc2nc3ccccc3nc21 ZINC001228522812 776511921 /nfs/dbraw/zinc/51/19/21/776511921.db2.gz PSQCEAGTZRFJRC-OAHLLOKOSA-N 0 0 294.310 2.867 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)ncc1Cl)C1CC1 ZINC001228547528 776517324 /nfs/dbraw/zinc/51/73/24/776517324.db2.gz GWXRYRXOVXKCGT-VIFPVBQESA-N 0 0 277.107 2.823 20 5 CFBDRN COc1nccc(O[C@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC001228561483 776522778 /nfs/dbraw/zinc/52/27/78/776522778.db2.gz MLUBTMAGULVGOJ-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cc(Cl)cnc1F)c1cccnc1 ZINC001228572179 776525850 /nfs/dbraw/zinc/52/58/50/776525850.db2.gz CMZPNQSHPZSYOC-NSHDSACASA-N 0 0 297.673 2.666 20 5 CFBDRN Cc1cc(F)ncc1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228590477 776531386 /nfs/dbraw/zinc/53/13/86/776531386.db2.gz YEHFAHFJSOCMQA-ZDUSSCGKSA-N 0 0 288.278 2.984 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(F)cc1OC[C@H]1CCC=CO1 ZINC001228609306 776538822 /nfs/dbraw/zinc/53/88/22/776538822.db2.gz CIZVIHQXPMEINR-SECBINFHSA-N 0 0 271.219 2.945 20 5 CFBDRN O=[N+]([O-])c1c(F)cc(F)cc1OC[C@H]1CCCCO1 ZINC001228613086 776540103 /nfs/dbraw/zinc/54/01/03/776540103.db2.gz PEKVDJHKGFHYEV-SECBINFHSA-N 0 0 273.235 2.821 20 5 CFBDRN CC[C@@H](C)C[C@H](CC)Oc1ncncc1[N+](=O)[O-] ZINC001228653732 776553314 /nfs/dbraw/zinc/55/33/14/776553314.db2.gz AYMRNMGHAZNDFX-ZJUUUORDSA-N 0 0 253.302 2.978 20 5 CFBDRN CCOc1ccc([C@@H](C)Oc2ncncc2[N+](=O)[O-])cc1 ZINC001228654075 776554048 /nfs/dbraw/zinc/55/40/48/776554048.db2.gz FTVJXZHKNKMDHF-SNVBAGLBSA-N 0 0 289.291 2.924 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2Oc1ncncc1[N+](=O)[O-] ZINC001228657360 776555154 /nfs/dbraw/zinc/55/51/54/776555154.db2.gz OPMOBMOAEQLRHN-ZDUSSCGKSA-N 0 0 271.276 2.760 20 5 CFBDRN CS(=O)(=O)c1ccc(OC2CCCCC2)c([N+](=O)[O-])c1 ZINC001228661092 776556320 /nfs/dbraw/zinc/55/63/20/776556320.db2.gz JYJMPKMWDRBLQO-UHFFFAOYSA-N 0 0 299.348 2.710 20 5 CFBDRN Cc1cc2[nH]c(O[C@H]3CCOC[C@H]3C)nc2cc1[N+](=O)[O-] ZINC001228675972 776563107 /nfs/dbraw/zinc/56/31/07/776563107.db2.gz BTWHZTRKIFDMQD-RNCFNFMXSA-N 0 0 291.307 2.583 20 5 CFBDRN CC[C@H](COC)Oc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228676258 776563605 /nfs/dbraw/zinc/56/36/05/776563605.db2.gz XVNABYCYDUIDRH-SECBINFHSA-N 0 0 279.296 2.583 20 5 CFBDRN CN(C)Cc1csc(CNc2ccsc2[N+](=O)[O-])n1 ZINC000722389850 776572202 /nfs/dbraw/zinc/57/22/02/776572202.db2.gz FIPXWZFYDMOXOB-UHFFFAOYSA-N 0 0 298.393 2.787 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CC[C@@H](C(F)(F)F)O1 ZINC000722392873 776576206 /nfs/dbraw/zinc/57/62/06/776576206.db2.gz XDXJGAYZFYICQV-APPZFPTMSA-N 0 0 291.229 2.512 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COCc1cccc([N+](=O)[O-])c1C ZINC001223330181 776582814 /nfs/dbraw/zinc/58/28/14/776582814.db2.gz KUVYJMHSWJDUKP-RYUDHWBXSA-N 0 0 297.351 2.860 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(F)c2cccnc12)C1CC1 ZINC001228747657 776595580 /nfs/dbraw/zinc/59/55/80/776595580.db2.gz PUGMZTPKKSFXIJ-CYBMUJFWSA-N 0 0 276.267 2.808 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])c2cccnc2)ncc1Cl ZINC001228746896 776595611 /nfs/dbraw/zinc/59/56/11/776595611.db2.gz XVQUMOJGQSQTLE-LBPRGKRZSA-N 0 0 293.710 2.835 20 5 CFBDRN CC1=C[C@@H](Oc2ccc([N+](=O)[O-])c(Cl)c2)CC(=O)O1 ZINC001228754339 776599204 /nfs/dbraw/zinc/59/92/04/776599204.db2.gz BBLCTKBLAIPKKD-SECBINFHSA-N 0 0 283.667 2.846 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC001228759276 776600737 /nfs/dbraw/zinc/60/07/37/776600737.db2.gz ZMQYKHLOGWSKDD-BDAKNGLRSA-N 0 0 299.710 2.969 20 5 CFBDRN CC[C@@H](C)Oc1cc(C(=O)OC)cc([N+](=O)[O-])c1 ZINC001228768343 776603556 /nfs/dbraw/zinc/60/35/56/776603556.db2.gz IKQQDQLIEJMIHB-MRVPVSSYSA-N 0 0 253.254 2.559 20 5 CFBDRN O=c1oc2ccccc2c(OC2CCC2)c1[N+](=O)[O-] ZINC001228802103 776618201 /nfs/dbraw/zinc/61/82/01/776618201.db2.gz FNJLSQKLAHINCB-UHFFFAOYSA-N 0 0 261.233 2.633 20 5 CFBDRN C[C@@H](Oc1ncc(F)cn1)c1ccccc1[N+](=O)[O-] ZINC001228848423 776631614 /nfs/dbraw/zinc/63/16/14/776631614.db2.gz DCHQAXZMBUSYPV-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN Cc1ncnc(C)c1O[C@H]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001228850521 776633511 /nfs/dbraw/zinc/63/35/11/776633511.db2.gz LLERUKMDUOLYDD-AWEZNQCLSA-N 0 0 285.303 2.548 20 5 CFBDRN Cc1ccc([C@@H](C)Oc2cnccc2[N+](=O)[O-])cn1 ZINC001228880041 776645027 /nfs/dbraw/zinc/64/50/27/776645027.db2.gz PPDKNFZNNLRJDA-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CC1(C)C(=O)CCC[C@@H]1Oc1cnccc1[N+](=O)[O-] ZINC001228882897 776645132 /nfs/dbraw/zinc/64/51/32/776645132.db2.gz SHROGSDNRFEKTH-LBPRGKRZSA-N 0 0 264.281 2.516 20 5 CFBDRN C[C@H](Oc1cnccc1[N+](=O)[O-])c1cccnc1F ZINC001228883644 776645160 /nfs/dbraw/zinc/64/51/60/776645160.db2.gz XLKRIAHHSGQMCT-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN COC(C)(C)C[C@H](C)Oc1cnccc1[N+](=O)[O-] ZINC001228883160 776645411 /nfs/dbraw/zinc/64/54/11/776645411.db2.gz UDUGSCNYSLXXPS-VIFPVBQESA-N 0 0 254.286 2.572 20 5 CFBDRN C[C@@H](COCc1ccccc1)Oc1cnccc1[N+](=O)[O-] ZINC001228881287 776645566 /nfs/dbraw/zinc/64/55/66/776645566.db2.gz CVHVWKGTSKHOPD-LBPRGKRZSA-N 0 0 288.303 2.974 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@@H]1COc2cnccc21 ZINC001228922250 776659360 /nfs/dbraw/zinc/65/93/60/776659360.db2.gz XHSSRAICCBMJFA-CQSZACIVSA-N 0 0 272.260 2.811 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(Cl)n1)c1ncccn1 ZINC001228936778 776663743 /nfs/dbraw/zinc/66/37/43/776663743.db2.gz YYUFPIYPOQGDLG-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CC1(C)[C@@H]2C[C@@H](Oc3cc(O)cc([O-])c3[N+](=O)[O-])C[C@@H]21 ZINC001228971301 776671846 /nfs/dbraw/zinc/67/18/46/776671846.db2.gz NELCCWCUQIFZMO-OWUUHHOZSA-N 0 0 279.292 2.819 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228971670 776672454 /nfs/dbraw/zinc/67/24/54/776672454.db2.gz SAQUJTGTAYQONP-JTQLQIEISA-N 0 0 295.291 2.518 20 5 CFBDRN CCCOC[C@@H](C)Oc1c(C(C)=O)cccc1[N+](=O)[O-] ZINC001228969950 776672898 /nfs/dbraw/zinc/67/28/98/776672898.db2.gz DFRPOXLQXMRMFD-SNVBAGLBSA-N 0 0 281.308 2.991 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@@H](C)C1CCOCC1 ZINC001228975246 776674271 /nfs/dbraw/zinc/67/42/71/776674271.db2.gz YWSDQEXZAPJHAX-NSHDSACASA-N 0 0 293.319 2.991 20 5 CFBDRN CC[C@@H](COc1c(C(C)=O)cccc1[N+](=O)[O-])OC ZINC001228977789 776675979 /nfs/dbraw/zinc/67/59/79/776675979.db2.gz RIPSOEBHZUBVGF-JTQLQIEISA-N 0 0 267.281 2.601 20 5 CFBDRN C[C@H](Oc1coccc1=O)c1ccccc1[N+](=O)[O-] ZINC001228996721 776683987 /nfs/dbraw/zinc/68/39/87/776683987.db2.gz CYXLZEDNVPDJSP-VIFPVBQESA-N 0 0 261.233 2.688 20 5 CFBDRN CCCOCCNc1ncnc2cc(F)c([N+](=O)[O-])cc21 ZINC001167873044 776696802 /nfs/dbraw/zinc/69/68/02/776696802.db2.gz YGZZWGLYSSILNS-UHFFFAOYSA-N 0 0 294.286 2.516 20 5 CFBDRN Cn1ccc2c1cccc2O[C@H](C[N+](=O)[O-])C1CC1 ZINC001229040154 776697124 /nfs/dbraw/zinc/69/71/24/776697124.db2.gz UTYCZWOPILPBMZ-CQSZACIVSA-N 0 0 260.293 2.612 20 5 CFBDRN COC(=O)c1c(O[C@H]2C=CCCC2)cccc1[N+](=O)[O-] ZINC001229044053 776698899 /nfs/dbraw/zinc/69/88/99/776698899.db2.gz DAEMLVUMELWAAA-JTQLQIEISA-N 0 0 277.276 2.869 20 5 CFBDRN COC(=O)c1c(O[C@@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC001229046964 776700148 /nfs/dbraw/zinc/70/01/48/776700148.db2.gz VXOVDUITDKGQRQ-VHSXEESVSA-N 0 0 279.292 2.949 20 5 CFBDRN CCCOC[C@@H](C)Oc1cccc([N+](=O)[O-])c1C(=O)OC ZINC001229045490 776700439 /nfs/dbraw/zinc/70/04/39/776700439.db2.gz NRXKIEYRMCDDOA-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(O[C@H]2COc3cnccc32)cc1 ZINC001229114545 776722940 /nfs/dbraw/zinc/72/29/40/776722940.db2.gz LSVUAIQPMUKXKR-VFADXPBXSA-N 0 0 284.271 2.842 20 5 CFBDRN C[C@H]1C[C@H](Oc2ccc(/C=C/[N+](=O)[O-])cc2)CCO1 ZINC001229117216 776723928 /nfs/dbraw/zinc/72/39/28/776723928.db2.gz ZQFGJANGMMSEML-HHPSJXNDSA-N 0 0 263.293 2.880 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cccc(C(C)=O)c1[N+](=O)[O-] ZINC001229132265 776728903 /nfs/dbraw/zinc/72/89/03/776728903.db2.gz QYVRHYXCIOVAEI-SNVBAGLBSA-N 0 0 295.291 2.518 20 5 CFBDRN CC(=O)c1cccc(O[C@@H](C)c2cncnc2)c1[N+](=O)[O-] ZINC001229139133 776730985 /nfs/dbraw/zinc/73/09/85/776730985.db2.gz UREQEMVVXPICMF-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN COC[C@H](C)Oc1cc(Br)cc([N+](=O)[O-])c1 ZINC001229146590 776733021 /nfs/dbraw/zinc/73/30/21/776733021.db2.gz VKNWECUPSRHOGG-ZETCQYMHSA-N 0 0 290.113 2.771 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nc2ccccc2n1C1CC1)C1CC1 ZINC001229167727 776739355 /nfs/dbraw/zinc/73/93/55/776739355.db2.gz TZVUJHSUSFDDTC-CQSZACIVSA-N 0 0 287.319 2.805 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OCCOC(C)C ZINC001229178026 776745387 /nfs/dbraw/zinc/74/53/87/776745387.db2.gz QNRNJEINVOBMLW-UHFFFAOYSA-N 0 0 281.308 2.991 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1c(C)cc(F)cc1[N+](=O)[O-] ZINC001229197595 776749912 /nfs/dbraw/zinc/74/99/12/776749912.db2.gz HPLIIDCTGJZNQA-VIFPVBQESA-N 0 0 283.255 2.539 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(F)c(F)cc1F)c1cccnc1 ZINC001229214289 776754273 /nfs/dbraw/zinc/75/42/73/776754273.db2.gz HKDGURAJYRAEKK-CYBMUJFWSA-N 0 0 298.220 2.896 20 5 CFBDRN CC(C)N1CC(Oc2cc([N+](=O)[O-])cc3cccnc32)C1 ZINC001229344051 776785316 /nfs/dbraw/zinc/78/53/16/776785316.db2.gz OAJBCLWEMRNVRN-UHFFFAOYSA-N 0 0 287.319 2.614 20 5 CFBDRN CC1=C[C@@H](Oc2ccc(Cl)cc2[N+](=O)[O-])CC(=O)O1 ZINC001229378597 776795305 /nfs/dbraw/zinc/79/53/05/776795305.db2.gz YOLPIQAZEVSODD-SECBINFHSA-N 0 0 283.667 2.846 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1O[C@@H]1CCn2ccnc21 ZINC001229376469 776795611 /nfs/dbraw/zinc/79/56/11/776795611.db2.gz IVMVQOJMYRAIBI-LLVKDONJSA-N 0 0 279.683 2.969 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])ccc1O)c1ncccc1F ZINC001229395489 776798492 /nfs/dbraw/zinc/79/84/92/776798492.db2.gz IQCQJNDQZJMPQZ-QMMMGPOBSA-N 0 0 278.239 2.975 20 5 CFBDRN CCC[C@H](CC(=O)OCC)Oc1cc([N+](=O)[O-])ccc1O ZINC001229396722 776799958 /nfs/dbraw/zinc/79/99/58/776799958.db2.gz XJMKLBDILABMFT-LLVKDONJSA-N 0 0 297.307 2.801 20 5 CFBDRN Cc1ccccc1[C@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452519 776817235 /nfs/dbraw/zinc/81/72/35/776817235.db2.gz SUUPCBYBYONLIF-NSHDSACASA-N 0 0 274.276 2.677 20 5 CFBDRN C[C@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1ccccc1F ZINC001229453437 776818421 /nfs/dbraw/zinc/81/84/21/776818421.db2.gz DGLPWRDBMLWWBY-VIFPVBQESA-N 0 0 278.239 2.507 20 5 CFBDRN CC[C@@H](C)CC[C@H](C)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452897 776818614 /nfs/dbraw/zinc/81/86/14/776818614.db2.gz YQXPVFUTCRTARF-MNOVXSKESA-N 0 0 268.313 2.822 20 5 CFBDRN O=[N+]([O-])c1c[n+]([O-])ccc1O[C@H](c1ccccc1)C1CC1 ZINC001229454831 776819248 /nfs/dbraw/zinc/81/92/48/776819248.db2.gz RCPYWWPVOQXSTJ-OAHLLOKOSA-N 0 0 286.287 2.758 20 5 CFBDRN Cc1cc(OC[C@H]2CCCO2)cc(C)c1[N+](=O)[O-] ZINC001229465446 776819769 /nfs/dbraw/zinc/81/97/69/776819769.db2.gz DAKUBLFFVMHRSR-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2cc(C)c([N+](=O)[O-])c(C)c2)C1 ZINC001229465714 776820071 /nfs/dbraw/zinc/82/00/71/776820071.db2.gz FFVGUFFIVBFOCK-KLPPZKSPSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1cc(O[C@@H]2CCOC[C@@H]2F)cc(C)c1[N+](=O)[O-] ZINC001229469368 776821139 /nfs/dbraw/zinc/82/11/39/776821139.db2.gz HILPQXQQFYSRQL-NWDGAFQWSA-N 0 0 269.272 2.717 20 5 CFBDRN CCOC(=O)[C@H](Oc1cc(C)c([N+](=O)[O-])c(C)c1)C1CC1 ZINC001229468137 776821455 /nfs/dbraw/zinc/82/14/55/776821455.db2.gz BZXXTBMBBJXHKN-CQSZACIVSA-N 0 0 293.319 2.932 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1cc(C)c([N+](=O)[O-])c(C)c1 ZINC001229470226 776821592 /nfs/dbraw/zinc/82/15/92/776821592.db2.gz OEMMBDGNBSCETB-OLZOCXBDSA-N 0 0 293.319 2.932 20 5 CFBDRN Cc1ccc2ccnc(O[C@@H](C[N+](=O)[O-])C3CC3)c2c1 ZINC001229507956 776834999 /nfs/dbraw/zinc/83/49/99/776834999.db2.gz WWNXWBJUQSMPIN-AWEZNQCLSA-N 0 0 272.304 2.977 20 5 CFBDRN Cc1cc(O)c(O[C@H]2COc3cnccc32)cc1[N+](=O)[O-] ZINC001229754105 776889158 /nfs/dbraw/zinc/88/91/58/776889158.db2.gz MHPBTJDZQVYHNU-AWEZNQCLSA-N 0 0 288.259 2.516 20 5 CFBDRN CC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC001229831016 776899168 /nfs/dbraw/zinc/89/91/68/776899168.db2.gz JONHQSOFXOVPHE-SSDOTTSWSA-N 0 0 277.198 2.970 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@H]2CCO2)cc(C(F)(F)F)c1 ZINC001229831301 776899811 /nfs/dbraw/zinc/89/98/11/776899811.db2.gz KWRZVHYJGKHDCK-SECBINFHSA-N 0 0 277.198 2.781 20 5 CFBDRN Cc1c(C)c([N+](=O)[O-])ccc1O[C@@H](C[N+](=O)[O-])C1CC1 ZINC001229880996 776909422 /nfs/dbraw/zinc/90/94/22/776909422.db2.gz LWFPUVRRYSJJIF-ZDUSSCGKSA-N 0 0 280.280 2.646 20 5 CFBDRN CCOC[C@H](C)Oc1cc(F)c(C)cc1[N+](=O)[O-] ZINC001229894040 776912570 /nfs/dbraw/zinc/91/25/70/776912570.db2.gz FOXJBWCFCOQNAL-VIFPVBQESA-N 0 0 257.261 2.846 20 5 CFBDRN COC(=O)c1ccc(C)c([N+](=O)[O-])c1O[C@H](C)C1CC1 ZINC001229904489 776915113 /nfs/dbraw/zinc/91/51/13/776915113.db2.gz XOAHKKWNXQGPSW-SECBINFHSA-N 0 0 279.292 2.867 20 5 CFBDRN COC1CCC(Oc2ccc([N+](=O)[O-])nc2Cl)CC1 ZINC001229916343 776915710 /nfs/dbraw/zinc/91/57/10/776915710.db2.gz WNPZMOMASRSMHW-UHFFFAOYSA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])nc1Cl)c1cncnc1 ZINC001229919665 776918315 /nfs/dbraw/zinc/91/83/15/776918315.db2.gz OFGDRBXZZBTNLD-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN Cc1cc(OC[C@H]2CCO2)c([N+](=O)[O-])cc1Cl ZINC001229979728 776931582 /nfs/dbraw/zinc/93/15/82/776931582.db2.gz VTAHFAZNRIRTDT-MRVPVSSYSA-N 0 0 257.673 2.724 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1ccc(C)cc1[N+](=O)[O-] ZINC001230146786 776955075 /nfs/dbraw/zinc/95/50/75/776955075.db2.gz WTCQAFPIKONKFS-NEPJUHHUSA-N 0 0 283.324 2.722 20 5 CFBDRN O=[N+]([O-])c1c[nH]cc2nc(OC3CCCCCC3)nc1-2 ZINC001230230414 776961061 /nfs/dbraw/zinc/96/10/61/776961061.db2.gz QGRFZFGYANDDTD-UHFFFAOYSA-N 0 0 276.296 2.968 20 5 CFBDRN C[C@@H]1CCC[C@@H](Oc2nc3c[nH]cc([N+](=O)[O-])c-3n2)C1 ZINC001230229014 776961681 /nfs/dbraw/zinc/96/16/81/776961681.db2.gz COWYAMJVSKIVQY-RKDXNWHRSA-N 0 0 276.296 2.824 20 5 CFBDRN O=[N+]([O-])c1c[nH]cc2nc(OC3CCCCC3)nc1-2 ZINC001230229605 776961780 /nfs/dbraw/zinc/96/17/80/776961780.db2.gz GERJPDFJXBAFCI-UHFFFAOYSA-N 0 0 262.269 2.578 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])c2cccnc2)ccc1F ZINC001225322162 776972128 /nfs/dbraw/zinc/97/21/28/776972128.db2.gz UBYDAAXLTBQCTB-CQSZACIVSA-N 0 0 276.267 2.926 20 5 CFBDRN CCC(CC)CO[C@H](C[N+](=O)[O-])c1cccnc1 ZINC001204938929 776975843 /nfs/dbraw/zinc/97/58/43/776975843.db2.gz KVHZQQAXQMDAMH-CYBMUJFWSA-N 0 0 252.314 2.852 20 5 CFBDRN Cc1c([C@H](C)Oc2ccc(F)c([N+](=O)[O-])c2)cnn1C ZINC001225383168 776980548 /nfs/dbraw/zinc/98/05/48/776980548.db2.gz VRVBUJRAGMZHBA-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN O=[N+]([O-])c1ccnc(Cl)c1O[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC001225431342 776986384 /nfs/dbraw/zinc/98/63/84/776986384.db2.gz OOVAKHBBHFJZRA-QXFUBDJGSA-N 0 0 266.684 2.987 20 5 CFBDRN COc1cc(O[C@H]2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC001225441734 776987551 /nfs/dbraw/zinc/98/75/51/776987551.db2.gz XREJAKSKJIFCIA-NSHDSACASA-N 0 0 281.308 2.940 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC2CC=CC2)cc1[N+](=O)[O-] ZINC001230490782 776998098 /nfs/dbraw/zinc/99/80/98/776998098.db2.gz FGMPYIFHOUBAHL-UHFFFAOYSA-N 0 0 264.237 2.909 20 5 CFBDRN Cc1ccc(OC[C@@H]2CC[C@H](C)O2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230485678 776998431 /nfs/dbraw/zinc/99/84/31/776998431.db2.gz SRHUGLQDSSNITR-UWVGGRQHSA-N 0 0 296.279 2.758 20 5 CFBDRN CO[C@H](C)CCOc1cc([N+](=O)[O-])c(C)cc1[N+](=O)[O-] ZINC001230490440 776998493 /nfs/dbraw/zinc/99/84/93/776998493.db2.gz AXXVVPUOPMCKIH-SECBINFHSA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1ccc(OC[C@H]2CCC=CO2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230484568 776998810 /nfs/dbraw/zinc/99/88/10/776998810.db2.gz HAUFNQFFCJDJGC-SNVBAGLBSA-N 0 0 294.263 2.883 20 5 CFBDRN Cc1ccc(OC2CC=CC2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230491956 776999380 /nfs/dbraw/zinc/99/93/80/776999380.db2.gz ICJCZKIEISXKNL-UHFFFAOYSA-N 0 0 264.237 2.909 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OC[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC001230491669 776999538 /nfs/dbraw/zinc/99/95/38/776999538.db2.gz ZFPXYTJRUNZOEG-SNVBAGLBSA-N 0 0 296.279 2.759 20 5 CFBDRN Cc1ccc(OCCOC(C)C)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492252 776999686 /nfs/dbraw/zinc/99/96/86/776999686.db2.gz MBLYKYRORLGUPW-UHFFFAOYSA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1ccc(O[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])c1[N+](=O)[O-] ZINC001230492598 776999812 /nfs/dbraw/zinc/99/98/12/776999812.db2.gz RQGAMTAXLLXMKH-VHSXEESVSA-N 0 0 296.279 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC001230494760 776999927 /nfs/dbraw/zinc/99/99/27/776999927.db2.gz WEUYVZWSJMQYSZ-VHSXEESVSA-N 0 0 296.279 2.758 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1OC1CC(F)(F)C1 ZINC001230594405 777022326 /nfs/dbraw/zinc/02/23/26/777022326.db2.gz FSWXWCJPKHVRDD-UHFFFAOYSA-N 0 0 269.207 2.648 20 5 CFBDRN COC1=CC[C@H](Oc2cc3n[nH]cc3cc2[N+](=O)[O-])CC1 ZINC001230602219 777022760 /nfs/dbraw/zinc/02/27/60/777022760.db2.gz WTNXWDZITNXUJH-NSHDSACASA-N 0 0 289.291 2.933 20 5 CFBDRN O=[N+]([O-])c1c(OC[C@@H]2COCCO2)ccc2ccccc21 ZINC001230623638 777028545 /nfs/dbraw/zinc/02/85/45/777028545.db2.gz XJSYNGRKVSUYFY-LBPRGKRZSA-N 0 0 289.287 2.542 20 5 CFBDRN CC[C@H](O)C(=O)Oc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC001230768342 777059113 /nfs/dbraw/zinc/05/91/13/777059113.db2.gz JYRPQAHTVXHGRQ-QMMMGPOBSA-N 0 0 294.090 2.578 20 5 CFBDRN CC(=O)Cc1c(F)c(O[C@@H]2C=CCC2)ccc1[N+](=O)[O-] ZINC001230796742 777066678 /nfs/dbraw/zinc/06/66/78/777066678.db2.gz OCGPVTINICGQLJ-SNVBAGLBSA-N 0 0 279.267 2.963 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1O[C@H]1CCc2nccn2C1 ZINC001230915202 777092244 /nfs/dbraw/zinc/09/22/44/777092244.db2.gz OGTJLJYNXSPWIH-VIFPVBQESA-N 0 0 293.710 2.839 20 5 CFBDRN COc1ccc(O[C@H](C[N+](=O)[O-])c2cccnc2)cc1C ZINC001230925575 777094932 /nfs/dbraw/zinc/09/49/32/777094932.db2.gz WYCGUYQXSKPCTE-OAHLLOKOSA-N 0 0 288.303 2.795 20 5 CFBDRN CC(=O)c1cc(Cl)cc([N+](=O)[O-])c1OC[C@H]1CCO1 ZINC001230929267 777096579 /nfs/dbraw/zinc/09/65/79/777096579.db2.gz QXIDVCUNRFQKSN-SECBINFHSA-N 0 0 285.683 2.619 20 5 CFBDRN C[C@@H](COc1ccc([N+](=O)[O-])cn1)Oc1ccccc1 ZINC001225631664 777101080 /nfs/dbraw/zinc/10/10/80/777101080.db2.gz HAVLTRJOLNXRAV-NSHDSACASA-N 0 0 274.276 2.836 20 5 CFBDRN Cc1nc(Cl)nc(O[C@@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000721574112 777109468 /nfs/dbraw/zinc/10/94/68/777109468.db2.gz GUCCOESVITXWOX-HTRCEHHLSA-N 0 0 271.704 2.914 20 5 CFBDRN Cc1cccc(F)c1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001231034282 777120640 /nfs/dbraw/zinc/12/06/40/777120640.db2.gz KTQGLGNUNAXBPF-ZDUSSCGKSA-N 0 0 276.267 2.926 20 5 CFBDRN C[C@@H](Oc1ccc2c(c1)C(=O)NC2)c1cccc([N+](=O)[O-])c1 ZINC001231103707 777141419 /nfs/dbraw/zinc/14/14/19/777141419.db2.gz SHHIOWQULCWOSE-SNVBAGLBSA-N 0 0 298.298 2.978 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2cc(F)cnc21)C1CC1 ZINC001231151024 777158148 /nfs/dbraw/zinc/15/81/48/777158148.db2.gz LRROIDWGCPGIOJ-ZDUSSCGKSA-N 0 0 276.267 2.808 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@@H]2C=C(C)OC(=O)C2)c1 ZINC001225682182 777178067 /nfs/dbraw/zinc/17/80/67/777178067.db2.gz ICPPVMKPFRSNKO-SNVBAGLBSA-N 0 0 263.249 2.501 20 5 CFBDRN O=c1ccc2nc3ccc(O[C@@H]4CCCOC4)cc3oc-2c1 ZINC001231333188 777193751 /nfs/dbraw/zinc/19/37/51/777193751.db2.gz XBWODSLNBGCDTM-CYBMUJFWSA-N 0 0 297.310 2.851 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(F)c1)c1cnccn1 ZINC001225915431 777335235 /nfs/dbraw/zinc/33/52/35/777335235.db2.gz IWLGASZLQBZEHK-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN C[C@H](Oc1c(F)c(F)ccc1[N+](=O)[O-])c1cnccn1 ZINC001225964424 777349908 /nfs/dbraw/zinc/34/99/08/777349908.db2.gz ZUMMDQCNQPNZLD-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN CC(C)CNc1ncnc2cc(F)c([N+](=O)[O-])cc21 ZINC001161645360 777386024 /nfs/dbraw/zinc/38/60/24/777386024.db2.gz YFIGQKKWCSHKSH-UHFFFAOYSA-N 0 0 264.260 2.745 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](NC(=O)C(C)C)C2)ccc1[N+](=O)[O-] ZINC001059153215 777388422 /nfs/dbraw/zinc/38/84/22/777388422.db2.gz IXKDUZLAFDHCKO-JOCQHMNTSA-N 0 0 291.351 2.618 20 5 CFBDRN CN(CCN(C)c1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC001105384883 777516816 /nfs/dbraw/zinc/51/68/16/777516816.db2.gz QLDNKZZKZJTETK-UHFFFAOYSA-N 0 0 293.367 2.536 20 5 CFBDRN CCC(=O)[C@H](CC)Oc1nc(Cl)ccc1[N+](=O)[O-] ZINC001226364723 777518603 /nfs/dbraw/zinc/51/86/03/777518603.db2.gz SDQQPVSNTNBSOP-VIFPVBQESA-N 0 0 272.688 2.780 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])OCC1CCCC1 ZINC000126817308 777559973 /nfs/dbraw/zinc/55/99/73/777559973.db2.gz UZZKCOAZRFRLGM-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CC[C@H](C)Nc1nccc(Br)c1[N+](=O)[O-] ZINC001168003303 777567841 /nfs/dbraw/zinc/56/78/41/777567841.db2.gz JTGMRONARPHRPD-LURJTMIESA-N 0 0 274.118 2.963 20 5 CFBDRN Cc1c(C)c(N[C@H]2CCO[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC001161692163 777579126 /nfs/dbraw/zinc/57/91/26/777579126.db2.gz IZSDNSHDJPFUMX-KWQFWETISA-N 0 0 265.313 2.586 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])c(N[C@H]2CCO[C@@H](C)C2)n1 ZINC001161692835 777585336 /nfs/dbraw/zinc/58/53/36/777585336.db2.gz YSRVEPMWIYWJES-IUCAKERBSA-N 0 0 285.731 2.931 20 5 CFBDRN C[C@@H](Oc1ccc(N)nc1)c1ccccc1[N+](=O)[O-] ZINC001226778866 777644471 /nfs/dbraw/zinc/64/44/71/777644471.db2.gz ABGUJJKDOOGQBB-SECBINFHSA-N 0 0 259.265 2.712 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cc([N+](=O)[O-])ccc1Cl)C1CC1 ZINC001226804693 777656252 /nfs/dbraw/zinc/65/62/52/777656252.db2.gz MEDNUQJIQHZOOT-LBPRGKRZSA-N 0 0 299.710 2.969 20 5 CFBDRN CC(=O)c1cc(O[C@@H](C)c2cnccn2)ccc1[N+](=O)[O-] ZINC001233503442 777971767 /nfs/dbraw/zinc/97/17/67/777971767.db2.gz UYDJPHWFOOPIOK-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cnc(F)c(Cl)c1)c1cccnc1 ZINC001227965738 777974968 /nfs/dbraw/zinc/97/49/68/777974968.db2.gz RURNPLSFVJRGHS-NSHDSACASA-N 0 0 297.673 2.666 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@@H]2C=CCC2)c1[N+](=O)[O-] ZINC001233579053 777998005 /nfs/dbraw/zinc/99/80/05/777998005.db2.gz YIRYFXZTABEPFP-MRVPVSSYSA-N 0 0 250.210 2.600 20 5 CFBDRN C[C@@H](Oc1c(N)ncnc1Cl)c1cccc([N+](=O)[O-])c1 ZINC001233631286 778018936 /nfs/dbraw/zinc/01/89/36/778018936.db2.gz PCCIZUMMKZVJSV-SSDOTTSWSA-N 0 0 294.698 2.760 20 5 CFBDRN Cc1noc2cccc(O[C@@H](C[N+](=O)[O-])c3cccnc3)c12 ZINC001233661745 778030176 /nfs/dbraw/zinc/03/01/76/778030176.db2.gz GDUVZCGMATUQMK-AWEZNQCLSA-N 0 0 299.286 2.928 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228256230 778032966 /nfs/dbraw/zinc/03/29/66/778032966.db2.gz SEKWVFVHTCLINB-WKFQBHICSA-N 0 0 285.683 2.579 20 5 CFBDRN CCO[C@H](C)COc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233698083 778045182 /nfs/dbraw/zinc/04/51/82/778045182.db2.gz GVPRCTSMYWVZIJ-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CO[C@H](C)CCOc1c(F)cc(F)cc1[N+](=O)[O-] ZINC001233698654 778045247 /nfs/dbraw/zinc/04/52/47/778045247.db2.gz NKVCPEIDYAZRIS-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1)C1CC1 ZINC001233704934 778050148 /nfs/dbraw/zinc/05/01/48/778050148.db2.gz RVRMCQSBIHFXCP-ZETCQYMHSA-N 0 0 252.226 2.680 20 5 CFBDRN COC1CCC(Oc2cc(Cl)cnc2[N+](=O)[O-])CC1 ZINC001233714975 778051441 /nfs/dbraw/zinc/05/14/41/778051441.db2.gz FJZBVLBFNYSLNZ-UHFFFAOYSA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Oc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233748013 778062675 /nfs/dbraw/zinc/06/26/75/778062675.db2.gz DIBHAYPYPKRVJZ-HQJQHLMTSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ccc3nccn3c1)C2 ZINC001233749633 778063513 /nfs/dbraw/zinc/06/35/13/778063513.db2.gz VEDXTVDJHJVFLZ-HNNXBMFYSA-N 0 0 295.298 2.789 20 5 CFBDRN CO[C@H](C)CCOc1cc(F)c([N+](=O)[O-])c(F)c1 ZINC001233755087 778064376 /nfs/dbraw/zinc/06/43/76/778064376.db2.gz YKAIOQRQBDNDMV-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CC[C@H](COc1cc(F)c([N+](=O)[O-])c(F)c1)OC ZINC001233753569 778064700 /nfs/dbraw/zinc/06/47/00/778064700.db2.gz MTRGTFNNNNGICH-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CC[C@H](COC)Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233764580 778069759 /nfs/dbraw/zinc/06/97/59/778069759.db2.gz HUKGYMLUJSCNIZ-SSDOTTSWSA-N 0 0 261.224 2.677 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233764608 778070126 /nfs/dbraw/zinc/07/01/26/778070126.db2.gz JEBDXFJMGANSDB-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1ccco1 ZINC000127358495 778073902 /nfs/dbraw/zinc/07/39/02/778073902.db2.gz KHPRIQMLGMOINB-UHFFFAOYSA-N 0 0 291.259 2.944 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(F)cc1-c1ccno1)C1CC1 ZINC001233810947 778084743 /nfs/dbraw/zinc/08/47/43/778084743.db2.gz MURKUHKKZQCIEY-AWEZNQCLSA-N 0 0 292.266 2.915 20 5 CFBDRN Cc1cnc(F)c([C@H](C)Oc2ccnc([N+](=O)[O-])c2)c1 ZINC001233860230 778098605 /nfs/dbraw/zinc/09/86/05/778098605.db2.gz YGUGCSGJANMLDB-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1c(C)c(O[C@H](C[N+](=O)[O-])C2CC2)ccc1F ZINC001233867387 778100447 /nfs/dbraw/zinc/10/04/47/778100447.db2.gz DODAFOCDLCSYMK-CYBMUJFWSA-N 0 0 253.273 2.877 20 5 CFBDRN Cc1c(NCC2(F)CCOCC2)cc(F)cc1[N+](=O)[O-] ZINC001168125060 778106300 /nfs/dbraw/zinc/10/63/00/778106300.db2.gz XZFSUXHLEWIXMT-UHFFFAOYSA-N 0 0 286.278 2.973 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1O[C@H]1C=CCC1 ZINC001233986162 778130916 /nfs/dbraw/zinc/13/09/16/778130916.db2.gz IOYRBFYTAJCLSC-LBPRGKRZSA-N 0 0 263.293 2.612 20 5 CFBDRN O=C1OC[C@@H](COc2ccnc3c2ccc2cccnc23)O1 ZINC001234088425 778162053 /nfs/dbraw/zinc/16/20/53/778162053.db2.gz XMRGHJURALEWOT-LLVKDONJSA-N 0 0 296.282 2.697 20 5 CFBDRN C[C@@H](Oc1ncncc1[N+](=O)[O-])c1cccc(F)c1F ZINC001228654707 778178963 /nfs/dbraw/zinc/17/89/63/778178963.db2.gz MVVFFPXTHQMSTM-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H](CNC(=O)c1cc(F)ccc1[N+](=O)[O-])CC(F)F ZINC000697362840 778196366 /nfs/dbraw/zinc/19/63/66/778196366.db2.gz KHFAMMUCZKRCRW-SSDOTTSWSA-N 0 0 290.241 2.755 20 5 CFBDRN CCOc1nccc(O[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC001234439167 778226414 /nfs/dbraw/zinc/22/64/14/778226414.db2.gz OJNKZLRZCLNOIW-JTQLQIEISA-N 0 0 289.291 2.924 20 5 CFBDRN Cc1cnc(NCc2cccc3cn(C)nc32)cc1[N+](=O)[O-] ZINC001168200235 778245934 /nfs/dbraw/zinc/24/59/34/778245934.db2.gz ZKURXKBDHDOSBN-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2O[C@@H]1CCCOC1 ZINC001234675342 778285977 /nfs/dbraw/zinc/28/59/77/778285977.db2.gz ZPTQQHPBCNZKLM-SNVBAGLBSA-N 0 0 274.276 2.701 20 5 CFBDRN CO[C@@H](C)CCOc1nccc2c1cccc2[N+](=O)[O-] ZINC001234674287 778286333 /nfs/dbraw/zinc/28/63/33/778286333.db2.gz LVPJBVJRVKCIQK-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2O[C@@H]1CCOC[C@@H]1F ZINC001234677844 778287861 /nfs/dbraw/zinc/28/78/61/778287861.db2.gz ZNQJXAJBNNWDTM-WCQYABFASA-N 0 0 292.266 2.649 20 5 CFBDRN Cc1ccc(Nc2cn(CCF)cn2)c([N+](=O)[O-])c1 ZINC001214169610 778298774 /nfs/dbraw/zinc/29/87/74/778298774.db2.gz VULJKLSAYJEJIA-UHFFFAOYSA-N 0 0 264.260 2.813 20 5 CFBDRN Cc1ccc2c(c1O[C@@H](C[N+](=O)[O-])C1CC1)CCCC2=O ZINC001234851600 778310737 /nfs/dbraw/zinc/31/07/37/778310737.db2.gz MRNONLJNXLHMDV-HNNXBMFYSA-N 0 0 289.331 2.948 20 5 CFBDRN CO[C@@H](C)CCOc1nc2cc([N+](=O)[O-])ccc2o1 ZINC001229488599 778310987 /nfs/dbraw/zinc/31/09/87/778310987.db2.gz XQASAEHSBJLFRJ-QMMMGPOBSA-N 0 0 266.253 2.540 20 5 CFBDRN CC(=O)[C@H](C)Oc1cc(C)c([N+](=O)[O-])c(C)c1C ZINC001234869870 778317456 /nfs/dbraw/zinc/31/74/56/778317456.db2.gz DGLWTRVYVBNVEH-NSHDSACASA-N 0 0 251.282 2.876 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2CC[C@H](C)C2)n1 ZINC001234878987 778319754 /nfs/dbraw/zinc/31/97/54/778319754.db2.gz KSVIRTMVIDLWNP-DTWKUNHWSA-N 0 0 252.270 2.566 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H]2C[C@H]3CC[C@@H]2C3)n1 ZINC001234880236 778320178 /nfs/dbraw/zinc/32/01/78/778320178.db2.gz ZDLFXJXKPNNCSB-IQJOONFLSA-N 0 0 264.281 2.566 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H](C)c1ccncc1F ZINC001234904630 778324878 /nfs/dbraw/zinc/32/48/78/778324878.db2.gz GVUXPJFIXURHBQ-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1OC[C@@H]1Cc2ccccc2O1 ZINC001234903411 778325030 /nfs/dbraw/zinc/32/50/30/778325030.db2.gz NAIBLSDIUISNRR-LBPRGKRZSA-N 0 0 286.287 2.681 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@H]1COCc2ccccc21 ZINC001234901934 778325304 /nfs/dbraw/zinc/32/53/04/778325304.db2.gz ULVWGXNJEJJFQG-AWEZNQCLSA-N 0 0 286.287 2.949 20 5 CFBDRN Cc1nccc([N+](=O)[O-])c1O[C@@H](C)CC(=O)OC(C)(C)C ZINC001234901325 778325773 /nfs/dbraw/zinc/32/57/73/778325773.db2.gz OTHZSCJKCFGZAD-VIFPVBQESA-N 0 0 296.323 2.797 20 5 CFBDRN Cc1cccc([C@@H]2CCCN2Cn2cc([N+](=O)[O-])cn2)c1 ZINC000127744198 778346018 /nfs/dbraw/zinc/34/60/18/778346018.db2.gz IKSDNFITUKJQPS-HNNXBMFYSA-N 0 0 286.335 2.894 20 5 CFBDRN CCc1coc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)n1 ZINC001272113669 778357306 /nfs/dbraw/zinc/35/73/06/778357306.db2.gz NIBKAXQGYBBRON-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN CCC(F)(F)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000697638190 778409204 /nfs/dbraw/zinc/40/92/04/778409204.db2.gz BVOSMHFNHFFZAE-UHFFFAOYSA-N 0 0 275.211 2.960 20 5 CFBDRN CCC1(Nc2cc([N+](=O)[O-])cc3cc[nH]c32)COC1 ZINC001168323724 778433135 /nfs/dbraw/zinc/43/31/35/778433135.db2.gz LBWXBSWFFMRMJY-UHFFFAOYSA-N 0 0 261.281 2.667 20 5 CFBDRN C[C@@]1(O)C[C@H](CNc2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC001168339540 778441658 /nfs/dbraw/zinc/44/16/58/778441658.db2.gz WLPRMXGGIFNOGT-AVCCJPFPSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@]1(O)C[C@H](CNc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC001168346594 778444627 /nfs/dbraw/zinc/44/46/27/778444627.db2.gz QHWGSLQDHHCJSA-LYWQIFSTSA-N 0 0 270.716 2.821 20 5 CFBDRN CO[C@H](C)CO[C@@H](C)COCCc1ccc([N+](=O)[O-])cc1 ZINC001224333844 778473254 /nfs/dbraw/zinc/47/32/54/778473254.db2.gz MZDOVRPMYSQCQE-OLZOCXBDSA-N 0 0 297.351 2.594 20 5 CFBDRN O=[N+]([O-])c1ccc(CCOC[C@@H]2CCCCO2)cc1 ZINC001224332107 778473501 /nfs/dbraw/zinc/47/35/01/778473501.db2.gz UWQDFINZOUKNKO-AWEZNQCLSA-N 0 0 265.309 2.723 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCCC(F)(F)F)c1 ZINC000128169906 778486420 /nfs/dbraw/zinc/48/64/20/778486420.db2.gz FULIXRSSKFIJLC-UHFFFAOYSA-N 0 0 293.197 2.713 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037995991 778502338 /nfs/dbraw/zinc/50/23/38/778502338.db2.gz DDCKFOHRKXZNSN-MRVPVSSYSA-N 0 0 254.261 2.604 20 5 CFBDRN C[C@H](OC[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC001224478884 778532760 /nfs/dbraw/zinc/53/27/60/778532760.db2.gz DWKICWOUDXCXLY-WDEREUQCSA-N 0 0 251.282 2.709 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CC(F)(F)C2)cc1 ZINC001224777267 778682157 /nfs/dbraw/zinc/68/21/57/778682157.db2.gz QTLODGHQPUXTKY-UHFFFAOYSA-N 0 0 273.235 2.788 20 5 CFBDRN CCOc1cc(NCc2cnc(C)nc2)ccc1[N+](=O)[O-] ZINC001168391327 778773118 /nfs/dbraw/zinc/77/31/18/778773118.db2.gz MQCSNZZEXNZBDW-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1NCC1CC(=O)C1 ZINC001168391460 778773607 /nfs/dbraw/zinc/77/36/07/778773607.db2.gz CHLQYUVCVUCKCH-UHFFFAOYSA-N 0 0 262.309 2.911 20 5 CFBDRN O=C1CC(CNc2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC001168398273 778788689 /nfs/dbraw/zinc/78/86/89/778788689.db2.gz MMCBTHXVKYDRAX-UHFFFAOYSA-N 0 0 271.276 2.534 20 5 CFBDRN CN(C(=O)Cc1cccc([N+](=O)[O-])c1)c1ccsc1 ZINC001278042882 778993962 /nfs/dbraw/zinc/99/39/62/778993962.db2.gz AKLGYYOGGJKTOY-UHFFFAOYSA-N 0 0 276.317 2.862 20 5 CFBDRN CN(C(=O)COc1ccc([N+](=O)[O-])cc1)c1ccsc1 ZINC001278044394 778995629 /nfs/dbraw/zinc/99/56/29/778995629.db2.gz ORIXWWRQDZSYNP-UHFFFAOYSA-N 0 0 292.316 2.698 20 5 CFBDRN C[C@@H](Oc1cc(F)c([N+](=O)[O-])cc1F)c1cnccn1 ZINC001233601249 779058113 /nfs/dbraw/zinc/05/81/13/779058113.db2.gz VRUYHFUNAJHOBE-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN O=C(COC(F)(F)F)Nc1cccc([N+](=O)[O-])c1Cl ZINC001272358367 779065012 /nfs/dbraw/zinc/06/50/12/779065012.db2.gz QLAAFELTMYCZQB-UHFFFAOYSA-N 0 0 298.604 2.723 20 5 CFBDRN O=[N+]([O-])c1ncc(Cl)cc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001233715920 779076757 /nfs/dbraw/zinc/07/67/57/779076757.db2.gz KAPXZKWERKXGSE-SRSLHRDFSA-N 0 0 254.673 2.821 20 5 CFBDRN CC1(C)C[C@@H](CNc2ccc3nsnc3c2[N+](=O)[O-])O1 ZINC001168457091 779183790 /nfs/dbraw/zinc/18/37/90/779183790.db2.gz UMUKGKCRNMOFHA-ZETCQYMHSA-N 0 0 294.336 2.579 20 5 CFBDRN COc1cccc(NC[C@@H]2CC(C)(C)O2)c1[N+](=O)[O-] ZINC001168456410 779183987 /nfs/dbraw/zinc/18/39/87/779183987.db2.gz NINZIQSQTVWGTJ-VIFPVBQESA-N 0 0 266.297 2.583 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NC[C@@H]1CC(C)(C)O1 ZINC001168455935 779184396 /nfs/dbraw/zinc/18/43/96/779184396.db2.gz JDQSMIPJYFSDAE-NSHDSACASA-N 0 0 280.324 2.973 20 5 CFBDRN CCCCOCCCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000130245673 779190922 /nfs/dbraw/zinc/19/09/22/779190922.db2.gz VKIMIYIZQJZPQY-UHFFFAOYSA-N 0 0 294.311 2.750 20 5 CFBDRN Cc1cc(C)nc(NC(=O)c2cc([N+](=O)[O-])cc(C)n2)c1 ZINC001127290287 779215412 /nfs/dbraw/zinc/21/54/12/779215412.db2.gz OAQNQLFOEXWZAD-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CC(C)(F)CCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000699248717 779228465 /nfs/dbraw/zinc/22/84/65/779228465.db2.gz WTHVFLOUYNOTLX-UHFFFAOYSA-N 0 0 272.251 2.602 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1CC(CC2CC2)C1 ZINC000699593554 779312990 /nfs/dbraw/zinc/31/29/90/779312990.db2.gz JVETTYLGJSWFFT-UHFFFAOYSA-N 0 0 278.283 2.606 20 5 CFBDRN CCCC(=O)N(CC)CCNc1ccc([N+](=O)[O-])c(C)c1 ZINC001106659389 779333454 /nfs/dbraw/zinc/33/34/54/779333454.db2.gz CROQAADXTWNLKF-UHFFFAOYSA-N 0 0 293.367 2.964 20 5 CFBDRN CC[C@H](C[N+](=O)[O-])OC(=O)C[C@@H](C)c1cccc(OC)c1 ZINC001123254515 779367589 /nfs/dbraw/zinc/36/75/89/779367589.db2.gz BQKGXYKMOHYOGP-DGCLKSJQSA-N 0 0 295.335 2.787 20 5 CFBDRN O=C(OCc1csc([N+](=O)[O-])c1)[C@@H]1CC1(Cl)Cl ZINC000918952251 779486663 /nfs/dbraw/zinc/48/66/63/779486663.db2.gz KRAQMJUUDRXRGK-LURJTMIESA-N 0 0 296.131 2.893 20 5 CFBDRN Nc1nc(NCCC2=CCCCC2)ccc1[N+](=O)[O-] ZINC000094261392 779592712 /nfs/dbraw/zinc/59/27/12/779592712.db2.gz LTRNUSIDPFSURO-UHFFFAOYSA-N 0 0 262.313 2.874 20 5 CFBDRN C/C=C(/C)C(=O)NC/C=C/CNc1ccc([N+](=O)[O-])cc1 ZINC001107100884 779776198 /nfs/dbraw/zinc/77/61/98/779776198.db2.gz DGISXCQOZAZBLJ-QOPWYNDLSA-N 0 0 289.335 2.645 20 5 CFBDRN C/C=C(\C)C(=O)NC/C=C/CNc1ccccc1[N+](=O)[O-] ZINC001107101636 779776224 /nfs/dbraw/zinc/77/62/24/779776224.db2.gz VTYPIQHWRAINKI-IDBBQSAQSA-N 0 0 289.335 2.645 20 5 CFBDRN CO[C@@H](C)CC(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC001127864365 779907188 /nfs/dbraw/zinc/90/71/88/779907188.db2.gz AWOSZFBAVNGGMC-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN CCCc1ccc(CNc2nccnc2[N+](=O)[O-])cc1 ZINC001168636625 780152660 /nfs/dbraw/zinc/15/26/60/780152660.db2.gz REZMSABZHSNWNS-UHFFFAOYSA-N 0 0 272.308 2.949 20 5 CFBDRN COc1nc(N[C@@H](C)C(C)(C)C)nc(C)c1[N+](=O)[O-] ZINC001168681947 780317971 /nfs/dbraw/zinc/31/79/71/780317971.db2.gz CPIBDKDPSYMISU-QMMMGPOBSA-N 0 0 268.317 2.548 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2)[C@@H]1C ZINC001128173477 780344807 /nfs/dbraw/zinc/34/48/07/780344807.db2.gz DYWIYFAWXJNLQG-MNOVXSKESA-N 0 0 262.309 2.855 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)CC1CCC(C)(C)CC1 ZINC001128216813 780364248 /nfs/dbraw/zinc/36/42/48/780364248.db2.gz ITLHYZFRDWDYTD-UHFFFAOYSA-N 0 0 294.355 2.873 20 5 CFBDRN CNc1cc([N+](=O)[O-])cnc1N[C@@H](C)CCCC(C)(C)O ZINC001168698324 780369060 /nfs/dbraw/zinc/36/90/60/780369060.db2.gz JWLIIGFKPJAYMX-JTQLQIEISA-N 0 0 296.371 2.773 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2OC)[C@H]1C ZINC001128281767 780377196 /nfs/dbraw/zinc/37/71/96/780377196.db2.gz SCWYPHUNWLGHEJ-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)c1ccc(C(F)F)cc1 ZINC001128320945 780393267 /nfs/dbraw/zinc/39/32/67/780393267.db2.gz JWWGNRIESDZKEQ-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN C[C@@H](CCCC(C)(C)O)Nc1cccnc1[N+](=O)[O-] ZINC001168712225 780462567 /nfs/dbraw/zinc/46/25/67/780462567.db2.gz QEGPVRWURUNDRD-JTQLQIEISA-N 0 0 267.329 2.731 20 5 CFBDRN COc1ncc([N+](=O)[O-])cc1N[C@H](C)CCCC(C)(C)O ZINC001168712192 780462657 /nfs/dbraw/zinc/46/26/57/780462657.db2.gz PKOCIZIEPKNCHU-SNVBAGLBSA-N 0 0 297.355 2.740 20 5 CFBDRN COc1ncc([N+](=O)[O-])cc1NC(C1CC1)C1CC1 ZINC001168743756 780600657 /nfs/dbraw/zinc/60/06/57/780600657.db2.gz MKJNUEFQZKYAPN-UHFFFAOYSA-N 0 0 263.297 2.599 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C[C@H]1CO ZINC000700379464 780683766 /nfs/dbraw/zinc/68/37/66/780683766.db2.gz WPYANCQKMZRRPS-PWSUYJOCSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@@H]1CCN(Cc2cc([N+](=O)[O-])ccc2Cl)C[C@@H]1CO ZINC000700376492 780683879 /nfs/dbraw/zinc/68/38/79/780683879.db2.gz MESHQVQEMLQWLW-ZYHUDNBSSA-N 0 0 298.770 2.699 20 5 CFBDRN C[C@@H]1CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@@H]1CO ZINC000700426673 780688457 /nfs/dbraw/zinc/68/84/57/780688457.db2.gz OATKVTSPEQDTFP-ZYHUDNBSSA-N 0 0 298.770 2.699 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000700622336 780710087 /nfs/dbraw/zinc/71/00/87/780710087.db2.gz RAVDLCRKSAKVLQ-VIFPVBQESA-N 0 0 266.684 2.697 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)n1 ZINC000701098587 780757761 /nfs/dbraw/zinc/75/77/61/780757761.db2.gz IDEOZUYAMJDVJH-WYFGTUCQSA-N 0 0 265.313 2.522 20 5 CFBDRN O=C(OCc1cscn1)c1c(F)cccc1[N+](=O)[O-] ZINC001128730948 780772989 /nfs/dbraw/zinc/77/29/89/780772989.db2.gz LRHGBDZKZIGOIZ-UHFFFAOYSA-N 0 0 282.252 2.547 20 5 CFBDRN CC1(C)C[C@]1(C)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000702350011 780910452 /nfs/dbraw/zinc/91/04/52/780910452.db2.gz WXUHKOPCIOHXHS-CQSZACIVSA-N 0 0 280.299 2.900 20 5 CFBDRN CCCc1ccc(C(=O)Cn2ccnc2[N+](=O)[O-])cc1 ZINC000702734048 780947154 /nfs/dbraw/zinc/94/71/54/780947154.db2.gz CLEZAOIDOVJMQS-UHFFFAOYSA-N 0 0 273.292 2.627 20 5 CFBDRN CCOC(=O)c1cnc(NC(C)(C)C2CC2)c([N+](=O)[O-])c1 ZINC001162514944 781025054 /nfs/dbraw/zinc/02/50/54/781025054.db2.gz SIEVUFNKLNZHBP-UHFFFAOYSA-N 0 0 293.323 2.767 20 5 CFBDRN C[C@H]1CCCC[C@H]1CNc1nccnc1[N+](=O)[O-] ZINC001162523716 781031841 /nfs/dbraw/zinc/03/18/41/781031841.db2.gz HCPQXZMHKWYGAE-UWVGGRQHSA-N 0 0 250.302 2.623 20 5 CFBDRN C[C@@H](O)CCOc1cc(Br)ccc1[N+](=O)[O-] ZINC000703833955 781066446 /nfs/dbraw/zinc/06/64/46/781066446.db2.gz OWBUJQKDQGSILC-SSDOTTSWSA-N 0 0 290.113 2.507 20 5 CFBDRN CCOCOc1cc(Br)ccc1[N+](=O)[O-] ZINC000703832881 781066759 /nfs/dbraw/zinc/06/67/59/781066759.db2.gz DUCKNZZRDHQNKC-UHFFFAOYSA-N 0 0 276.086 2.730 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@H]1CCSC1 ZINC000704835852 781113609 /nfs/dbraw/zinc/11/36/09/781113609.db2.gz ADMUPKLHLQREDY-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN CC1(NC(=O)c2cc([N+](=O)[O-])ccc2F)CC=CC1 ZINC000705238263 781135427 /nfs/dbraw/zinc/13/54/27/781135427.db2.gz ILYZDQFNMVITST-UHFFFAOYSA-N 0 0 264.256 2.572 20 5 CFBDRN Cc1cocc1C(=O)N1CCc2c1ccc([N+](=O)[O-])c2F ZINC001129216912 781196702 /nfs/dbraw/zinc/19/67/02/781196702.db2.gz SCFWCYXKLSIGQT-UHFFFAOYSA-N 0 0 290.250 2.838 20 5 CFBDRN CC1(C)CCN1C(=O)c1cccc([N+](=O)[O-])c1Cl ZINC000706903235 781213242 /nfs/dbraw/zinc/21/32/42/781213242.db2.gz DRIOTQOMUQOPIV-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1F)N1C[C@@H]2CCCC[C@@H]21 ZINC000706937901 781217447 /nfs/dbraw/zinc/21/74/47/781217447.db2.gz IQVUHIFVSYAXGC-ZANVPECISA-N 0 0 278.283 2.749 20 5 CFBDRN C[C@H]1CC[C@H](C)N1C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000707118099 781223838 /nfs/dbraw/zinc/22/38/38/781223838.db2.gz TYTZESVDMPSCNS-YUMQZZPRSA-N 0 0 297.742 2.843 20 5 CFBDRN Cc1conc1CN[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000708224952 781267544 /nfs/dbraw/zinc/26/75/44/781267544.db2.gz COVWWCIJMCKEDK-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1csc(Cl)n1 ZINC000709610544 781315542 /nfs/dbraw/zinc/31/55/42/781315542.db2.gz WBGQSENOMYBJJP-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@H](CF)C1 ZINC000711411076 781428348 /nfs/dbraw/zinc/42/83/48/781428348.db2.gz FDFWJUOWRASADB-SECBINFHSA-N 0 0 256.252 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(OCCOC2CC2)ccc1Cl ZINC000711692715 781465747 /nfs/dbraw/zinc/46/57/47/781465747.db2.gz YHBLLIYMFMOXCP-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000711787968 781471900 /nfs/dbraw/zinc/47/19/00/781471900.db2.gz PIGTXPLGCQSRFA-VIFPVBQESA-N 0 0 280.711 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](C2CCC2)[C@@H]2CCOC2)cn1 ZINC000711846500 781477294 /nfs/dbraw/zinc/47/72/94/781477294.db2.gz HOGBBICLOKBKAN-BXUZGUMPSA-N 0 0 277.324 2.607 20 5 CFBDRN COc1cc(NCCC(C)(C)OC)ccc1[N+](=O)[O-] ZINC000711865620 781479800 /nfs/dbraw/zinc/47/98/00/781479800.db2.gz YRZRBWXJYNMZCJ-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN CC(C)N(c1ncc([N+](=O)[O-])cc1[N+](=O)[O-])C(C)C ZINC001163574529 781513880 /nfs/dbraw/zinc/51/38/80/781513880.db2.gz HARVLUVNGAUUPW-UHFFFAOYSA-N 0 0 268.273 2.521 20 5 CFBDRN COc1cnc(N(C(C)C)C(C)C)c([N+](=O)[O-])c1 ZINC001163574852 781514178 /nfs/dbraw/zinc/51/41/78/781514178.db2.gz SFTYRCYICZOPTM-UHFFFAOYSA-N 0 0 253.302 2.622 20 5 CFBDRN CC(=O)N[C@H]1CC[C@H](Nc2cc(C)ccc2[N+](=O)[O-])CC1 ZINC001063342998 781515817 /nfs/dbraw/zinc/51/58/17/781515817.db2.gz ITGGXVXRLJTCEZ-JOCQHMNTSA-N 0 0 291.351 2.762 20 5 CFBDRN CC(=O)N[C@H]1CC[C@H](Nc2cccc(F)c2[N+](=O)[O-])CC1 ZINC001063342977 781516046 /nfs/dbraw/zinc/51/60/46/781516046.db2.gz HXHJJPLYWKDPCT-XYPYZODXSA-N 0 0 295.314 2.593 20 5 CFBDRN CC(C)N(C)c1ccc(-c2ccc([N+](=O)[O-])cc2)nn1 ZINC001163622611 781524026 /nfs/dbraw/zinc/52/40/26/781524026.db2.gz PPUAAKKGRONCCF-UHFFFAOYSA-N 0 0 272.308 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)N2CC=CC2)nc1Cl ZINC001163916959 781606112 /nfs/dbraw/zinc/60/61/12/781606112.db2.gz GTNYMZMCHCSHKO-UHFFFAOYSA-N 0 0 275.642 2.564 20 5 CFBDRN CC(F)(F)c1nnc(COc2ccccc2[N+](=O)[O-])o1 ZINC001118425423 781627846 /nfs/dbraw/zinc/62/78/46/781627846.db2.gz JUQPTJNCYZTGQF-UHFFFAOYSA-N 0 0 285.206 2.669 20 5 CFBDRN COCc1nnc(-c2cccc(SC)c2[N+](=O)[O-])o1 ZINC001118432014 781631570 /nfs/dbraw/zinc/63/15/70/781631570.db2.gz AASXIUDFSJHAQD-UHFFFAOYSA-N 0 0 281.293 2.513 20 5 CFBDRN CN(C)c1ccc(NCCn2cccc2)c([N+](=O)[O-])c1 ZINC001164455070 781723344 /nfs/dbraw/zinc/72/33/44/781723344.db2.gz NUBHSZMAVINEJD-UHFFFAOYSA-N 0 0 274.324 2.574 20 5 CFBDRN C[C@H](CCO)Nc1cc(Cl)ccc1/C=C/[N+](=O)[O-] ZINC001165938104 781755497 /nfs/dbraw/zinc/75/54/97/781755497.db2.gz LOEAGQGIEIIHJG-OTQAPUNGSA-N 0 0 270.716 2.770 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC001119386662 781790230 /nfs/dbraw/zinc/79/02/30/781790230.db2.gz OVVWUEQNTNHVMC-GXSJLCMTSA-N 0 0 281.287 2.900 20 5 CFBDRN O=[N+]([O-])c1cnc(Cl)nc1N1CCC[C@H](C(F)F)C1 ZINC001166771528 781803168 /nfs/dbraw/zinc/80/31/68/781803168.db2.gz ACIYOQMBNOCFNX-LURJTMIESA-N 0 0 292.673 2.520 20 5 CFBDRN COC(C)(C)[C@H]1CCCN1c1ncc(F)cc1[N+](=O)[O-] ZINC001166792887 781810854 /nfs/dbraw/zinc/81/08/54/781810854.db2.gz XGONSWWBWYHWFK-LLVKDONJSA-N 0 0 283.303 2.523 20 5 CFBDRN COc1cnc(N(C)Cc2ccsc2)c([N+](=O)[O-])c1 ZINC001166823294 781813364 /nfs/dbraw/zinc/81/33/64/781813364.db2.gz SZUZJVQPECHUGI-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC001229961995 781834617 /nfs/dbraw/zinc/83/46/17/781834617.db2.gz NWAVXUILROFQSX-XWEPSHTISA-N 0 0 285.683 2.579 20 5 CFBDRN Cc1cc(O[C@@H]2CCCOC2)cc([N+](=O)[O-])c1C ZINC001230471947 781857541 /nfs/dbraw/zinc/85/75/41/781857541.db2.gz WDWYVSHIGSOYGY-LLVKDONJSA-N 0 0 251.282 2.769 20 5 CFBDRN O=[N+]([O-])c1c(O[C@@H]2CCOC2)ccc2ccccc21 ZINC001230622625 781865506 /nfs/dbraw/zinc/86/55/06/781865506.db2.gz MEMWWTCNRRAWEC-LLVKDONJSA-N 0 0 259.261 2.916 20 5 CFBDRN COc1ccc(CNCc2cccc([N+](=O)[O-])c2C)o1 ZINC001120041077 781898269 /nfs/dbraw/zinc/89/82/69/781898269.db2.gz XSBSXLYFOORHDJ-UHFFFAOYSA-N 0 0 276.292 2.795 20 5 CFBDRN Cc1nc(N2C[C@H](C3CC3)C[C@@H]2C2CC2)ncc1[N+](=O)[O-] ZINC001120066017 781902106 /nfs/dbraw/zinc/90/21/06/781902106.db2.gz XNQDWKRQCBYRNY-CHWSQXEVSA-N 0 0 288.351 2.708 20 5 CFBDRN CC(C)c1ccc(C[NH2+]C[C@H](C)C(=O)[O-])cc1[N+](=O)[O-] ZINC000594541676 349444195 /nfs/dbraw/zinc/44/41/95/349444195.db2.gz WMTXFDFVRQPYIQ-JTQLQIEISA-N 0 0 280.324 2.529 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCC[C@@H]1CCOC1 ZINC000594582954 349451843 /nfs/dbraw/zinc/45/18/43/349451843.db2.gz QXLUJALJJHONLL-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN CC[C@H]1CN(c2c(OC)cccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000594592938 349454457 /nfs/dbraw/zinc/45/44/57/349454457.db2.gz CZOKBPZINIAEHM-RYUDHWBXSA-N 0 0 294.351 2.997 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])s2)C1 ZINC000594594184 349454849 /nfs/dbraw/zinc/45/48/49/349454849.db2.gz QGZCECSTSFQPML-ZKCHVHJHSA-N 0 0 271.342 2.809 20 5 CFBDRN Cc1cc(N[C@H](C)Cc2ccccn2)ncc1[N+](=O)[O-] ZINC000594593542 349454876 /nfs/dbraw/zinc/45/48/76/349454876.db2.gz CXZLBXSBINDQAS-LLVKDONJSA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1cc(N2CCC[C@@H](OC3CCC3)C2)ncc1[N+](=O)[O-] ZINC000594593444 349454932 /nfs/dbraw/zinc/45/49/32/349454932.db2.gz CSTGFIPOKHCVFM-CYBMUJFWSA-N 0 0 291.351 2.836 20 5 CFBDRN COc1c(C)cccc1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000594597582 349455924 /nfs/dbraw/zinc/45/59/24/349455924.db2.gz XLDAVHDAPWWTRY-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN CC[C@@H](Nc1ncc([N+](=O)[O-])cc1F)[C@@H]1CCCCO1 ZINC000594600583 349457912 /nfs/dbraw/zinc/45/79/12/349457912.db2.gz MJNAPQIQDRGJMZ-NEPJUHHUSA-N 0 0 283.303 2.889 20 5 CFBDRN COC[C@H](CC(C)(C)C)Nc1ncc([N+](=O)[O-])cc1F ZINC000594600734 349458074 /nfs/dbraw/zinc/45/80/74/349458074.db2.gz REDXTODJSCXNGA-VIFPVBQESA-N 0 0 285.319 2.992 20 5 CFBDRN CCCN(CCC)C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000594655875 349469492 /nfs/dbraw/zinc/46/94/92/349469492.db2.gz NKDQMWLEZHPTOS-UHFFFAOYSA-N 0 0 294.307 2.586 20 5 CFBDRN CC(C)OCc1nc(-c2cc([N+](=O)[O-])c[nH]2)cs1 ZINC000594661203 349471013 /nfs/dbraw/zinc/47/10/13/349471013.db2.gz XDESBNRFGZQRRY-UHFFFAOYSA-N 0 0 267.310 2.971 20 5 CFBDRN COc1ccc(OC[C@@H](OC)C2CCC2)c([N+](=O)[O-])c1 ZINC000594882855 349492730 /nfs/dbraw/zinc/49/27/30/349492730.db2.gz NMADUXRPYZXFAX-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN Cn1ncc(C2CC2)c1/C=C/c1ccnc([N+](=O)[O-])c1 ZINC000594696553 349475371 /nfs/dbraw/zinc/47/53/71/349475371.db2.gz QAHHUGDJEBZYOK-GORDUTHDSA-N 0 0 270.292 2.771 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCCO[C@H]1CC1(F)F ZINC000594698582 349475840 /nfs/dbraw/zinc/47/58/40/349475840.db2.gz CVWSMQPKONUHHV-NSHDSACASA-N 0 0 272.251 2.739 20 5 CFBDRN COc1cccc(SCCn2nccc2[N+](=O)[O-])c1 ZINC000594886586 349493737 /nfs/dbraw/zinc/49/37/37/349493737.db2.gz XHRMDVMXIGZWFA-UHFFFAOYSA-N 0 0 279.321 2.592 20 5 CFBDRN O=C(NCC(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000594730185 349481927 /nfs/dbraw/zinc/48/19/27/349481927.db2.gz CIAQLHFXWOQVIX-UHFFFAOYSA-N 0 0 299.277 2.534 20 5 CFBDRN CO[C@H]1CC[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000594731885 349483223 /nfs/dbraw/zinc/48/32/23/349483223.db2.gz KHWGNZLYZFPZIZ-JQWIXIFHSA-N 0 0 289.291 2.927 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@@H]2CC[C@@H]3C[C@@H]3C2)c1=O ZINC000594892812 349495977 /nfs/dbraw/zinc/49/59/77/349495977.db2.gz JFHFLDGRIDCHLS-UTUOFQBUSA-N 0 0 262.309 2.501 20 5 CFBDRN CC(C)CCCNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124573665 187143788 /nfs/dbraw/zinc/14/37/88/187143788.db2.gz AGIQIGOGCJZZHG-UHFFFAOYSA-N 0 0 266.301 2.548 20 5 CFBDRN COC(=O)c1ccc(OCC2CC=CC2)cc1[N+](=O)[O-] ZINC000594896292 349497831 /nfs/dbraw/zinc/49/78/31/349497831.db2.gz UJZMUPMGIIYLEG-UHFFFAOYSA-N 0 0 277.276 2.726 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CCCC[C@@H]1C ZINC000594897306 349498263 /nfs/dbraw/zinc/49/82/63/349498263.db2.gz JZRCZARRGKYWAQ-CMPLNLGQSA-N 0 0 264.325 2.891 20 5 CFBDRN CO[C@@H](COc1c(Cl)cncc1[N+](=O)[O-])C1CCC1 ZINC000594898464 349498388 /nfs/dbraw/zinc/49/83/88/349498388.db2.gz BSCSOYGPBOMFGO-NSHDSACASA-N 0 0 286.715 2.837 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000594900362 349498946 /nfs/dbraw/zinc/49/89/46/349498946.db2.gz HEUINTPOSHMKFR-UTUOFQBUSA-N 0 0 299.330 2.741 20 5 CFBDRN CCc1csc(=O)n1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000594903315 349499558 /nfs/dbraw/zinc/49/95/58/349499558.db2.gz PXTQISREIQCBRM-UHFFFAOYSA-N 0 0 282.296 2.568 20 5 CFBDRN CC1(C)C[C@@H](n2cc([N+](=O)[O-])c(=O)c3ccccc32)CO1 ZINC000594901803 349499780 /nfs/dbraw/zinc/49/97/80/349499780.db2.gz ALUJWURVBWSEQM-SNVBAGLBSA-N 0 0 288.303 2.650 20 5 CFBDRN COCCCCCn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000594907440 349501155 /nfs/dbraw/zinc/50/11/55/349501155.db2.gz VOJZHTWQWSNGAK-UHFFFAOYSA-N 0 0 290.323 2.670 20 5 CFBDRN O=[N+]([O-])c1cn(CCCCF)nc1-c1ccccn1 ZINC000594913973 349503907 /nfs/dbraw/zinc/50/39/07/349503907.db2.gz LMGJQCKCDLPWCG-UHFFFAOYSA-N 0 0 264.260 2.603 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000124619838 187146084 /nfs/dbraw/zinc/14/60/84/187146084.db2.gz XNLQWJRUPLQRDW-LLVKDONJSA-N 0 0 269.322 2.780 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000125189290 187174408 /nfs/dbraw/zinc/17/44/08/187174408.db2.gz NOJTZULUHKZWIE-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN CCOc1ccc(NCc2csc([N+](=O)[O-])c2)nc1C ZINC000595000777 349543835 /nfs/dbraw/zinc/54/38/35/349543835.db2.gz MYNIZHPKWGCJCS-UHFFFAOYSA-N 0 0 293.348 2.792 20 5 CFBDRN Cc1csc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000067866560 346824537 /nfs/dbraw/zinc/82/45/37/346824537.db2.gz IGATUMTZBZAXES-SSDOTTSWSA-N 0 0 297.361 2.912 20 5 CFBDRN O=C1CCCCCN1CCCNc1ccc([N+](=O)[O-])cc1 ZINC000067948809 346829171 /nfs/dbraw/zinc/82/91/71/346829171.db2.gz BWNMZVGNZJCOFB-UHFFFAOYSA-N 0 0 291.351 2.799 20 5 CFBDRN CCN(CC)C(=O)CN(C)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000067948604 346829259 /nfs/dbraw/zinc/82/92/59/346829259.db2.gz GKRIEPIKOFJWSF-UHFFFAOYSA-N 0 0 299.758 2.553 20 5 CFBDRN C[C@H](c1ccccn1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000068000044 346831291 /nfs/dbraw/zinc/83/12/91/346831291.db2.gz SVRSOQMUTZWOLW-LLVKDONJSA-N 0 0 285.303 2.823 20 5 CFBDRN CC[C@H](SC)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000125336159 187180262 /nfs/dbraw/zinc/18/02/62/187180262.db2.gz FUCFAFKXKCQICR-JTQLQIEISA-N 0 0 254.311 2.675 20 5 CFBDRN CN(C)c1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)cc1 ZINC000068195326 346840082 /nfs/dbraw/zinc/84/00/82/346840082.db2.gz BOXXSACVRFOVCQ-UHFFFAOYSA-N 0 0 285.303 2.913 20 5 CFBDRN CN(Cc1ccc(F)cc1[N+](=O)[O-])CC(F)(F)F ZINC000595311615 349598749 /nfs/dbraw/zinc/59/87/49/349598749.db2.gz DRMUSTFFODXSBJ-UHFFFAOYSA-N 0 0 266.194 2.728 20 5 CFBDRN C[C@@H](CO)CSc1c2ccccc2ncc1[N+](=O)[O-] ZINC000125360364 187181242 /nfs/dbraw/zinc/18/12/42/187181242.db2.gz XJXUIMQCAWUNHP-VIFPVBQESA-N 0 0 278.333 2.864 20 5 CFBDRN CCS[C@@H]1CC[C@@H](N(C)Cc2onc(C)c2[N+](=O)[O-])C1 ZINC000595331936 349603284 /nfs/dbraw/zinc/60/32/84/349603284.db2.gz ZGBYKAFLEXISPC-GHMZBOCLSA-N 0 0 299.396 2.997 20 5 CFBDRN Cc1nc(NCC2(O)CCCCCC2)ccc1[N+](=O)[O-] ZINC000125375069 187182119 /nfs/dbraw/zinc/18/21/19/187182119.db2.gz BQZMWFHYENLVQI-UHFFFAOYSA-N 0 0 279.340 2.795 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])[C@@H]1COC(C)(C)C1 ZINC000595288289 349591536 /nfs/dbraw/zinc/59/15/36/349591536.db2.gz NCCHMEAIRHORIJ-LBPRGKRZSA-N 0 0 264.325 2.594 20 5 CFBDRN C[C@H]1CN(Cc2ccc(F)cc2[N+](=O)[O-])CC(C)(C)O1 ZINC000595294533 349593810 /nfs/dbraw/zinc/59/38/10/349593810.db2.gz NNIACXQCOZSUSM-JTQLQIEISA-N 0 0 282.315 2.733 20 5 CFBDRN CC(C)N(Cc1cn(C)cn1)Cc1ccc([N+](=O)[O-])cc1 ZINC000595295601 349595308 /nfs/dbraw/zinc/59/53/08/349595308.db2.gz MKWBVPCLRCFLCK-UHFFFAOYSA-N 0 0 288.351 2.739 20 5 CFBDRN Cc1ccc(CN(C)Cc2onc(C)c2[N+](=O)[O-])s1 ZINC000595304809 349597931 /nfs/dbraw/zinc/59/79/31/349597931.db2.gz COMLNJDZJNISOH-UHFFFAOYSA-N 0 0 281.337 2.893 20 5 CFBDRN COc1ccc([C@@H](C)NCc2onc(C)c2[N+](=O)[O-])cc1 ZINC000595410033 349617945 /nfs/dbraw/zinc/61/79/45/349617945.db2.gz JCFYHLZHTJVFTH-SECBINFHSA-N 0 0 291.307 2.751 20 5 CFBDRN Cc1noc(CN2CCc3cc(F)ccc3C2)c1[N+](=O)[O-] ZINC000595408841 349618106 /nfs/dbraw/zinc/61/81/06/349618106.db2.gz UEAQLJHSGIMALY-UHFFFAOYSA-N 0 0 291.282 2.589 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC[C@@H]1CCCOC1 ZINC000311636679 290015639 /nfs/dbraw/zinc/01/56/39/290015639.db2.gz BCDJTLZTUSQYGP-NSHDSACASA-N 0 0 264.325 2.996 20 5 CFBDRN CCCN(CC)C(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000269628477 190523906 /nfs/dbraw/zinc/52/39/06/190523906.db2.gz ORQCNWTVDDZZBN-UHFFFAOYSA-N 0 0 264.325 2.704 20 5 CFBDRN CC[C@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000173504861 529394469 /nfs/dbraw/zinc/39/44/69/529394469.db2.gz BWXUGGPRZSCYPW-OAHLLOKOSA-N 0 0 291.351 2.951 20 5 CFBDRN CCc1nc([C@H](C)NCc2onc(C)c2[N+](=O)[O-])cs1 ZINC000595429429 349623049 /nfs/dbraw/zinc/62/30/49/349623049.db2.gz NPJAQODTRUGOPK-ZETCQYMHSA-N 0 0 296.352 2.761 20 5 CFBDRN CC1(NC(=O)CCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000125466754 187186170 /nfs/dbraw/zinc/18/61/70/187186170.db2.gz JMLWWALTFUOQQN-UHFFFAOYSA-N 0 0 262.309 2.586 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000330967692 529398329 /nfs/dbraw/zinc/39/83/29/529398329.db2.gz DLOCOFDTRYTZOX-OAHLLOKOSA-N 0 0 276.336 2.786 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)C[C@H](CC)O1 ZINC000420617257 529402142 /nfs/dbraw/zinc/40/21/42/529402142.db2.gz AZYDPMDREATMTD-AOOOYVTPSA-N 0 0 297.330 2.710 20 5 CFBDRN CC[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000595453470 349630654 /nfs/dbraw/zinc/63/06/54/349630654.db2.gz PDJOXVHFZPWSQT-CYBMUJFWSA-N 0 0 277.324 2.583 20 5 CFBDRN CC(C)(F)CCNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000595449362 349629479 /nfs/dbraw/zinc/62/94/79/349629479.db2.gz XEBOKQLWKJLHMP-UHFFFAOYSA-N 0 0 283.303 2.532 20 5 CFBDRN CC[C@H](C)CCNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000595453521 349631488 /nfs/dbraw/zinc/63/14/88/349631488.db2.gz PUXAUKIJJUIEHG-NSHDSACASA-N 0 0 279.340 2.830 20 5 CFBDRN C[C@H]1COC(C)(C)CN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000408354628 300335449 /nfs/dbraw/zinc/33/54/49/300335449.db2.gz LZAUHCXQJVDDFN-QMMMGPOBSA-N 0 0 285.731 2.647 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cn2c(cccc2C)n1 ZINC000068772556 346868609 /nfs/dbraw/zinc/86/86/09/346868609.db2.gz BARJEDDOVRKMAD-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN C[C@H](O)[C@@H]1C[C@H](C)CC[N@@H+]1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595457165 349632800 /nfs/dbraw/zinc/63/28/00/349632800.db2.gz ATHHAOMPBCKZMX-SUNKGSAMSA-N 0 0 296.342 2.715 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000177051943 529412958 /nfs/dbraw/zinc/41/29/58/529412958.db2.gz MCRRENQKCBWOSG-IINYFYTJSA-N 0 0 278.308 2.978 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000595477500 349638454 /nfs/dbraw/zinc/63/84/54/349638454.db2.gz UQYCKPOMBMNYIU-LBPRGKRZSA-N 0 0 291.351 2.782 20 5 CFBDRN CC(C)(F)CCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000595478853 349638645 /nfs/dbraw/zinc/63/86/45/349638645.db2.gz XCMUZHXRTJUOIV-UHFFFAOYSA-N 0 0 283.303 2.532 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CC=CCC1 ZINC000068832959 346873472 /nfs/dbraw/zinc/87/34/72/346873472.db2.gz RUDOXPFOHCGZIH-LLVKDONJSA-N 0 0 290.319 2.690 20 5 CFBDRN COc1ccc(C(=O)NC[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000068833798 346873562 /nfs/dbraw/zinc/87/35/62/346873562.db2.gz PBXZMSGLZWLEJZ-NSHDSACASA-N 0 0 290.319 2.690 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H](O)C1CCCCC1 ZINC000068909372 346876373 /nfs/dbraw/zinc/87/63/73/346876373.db2.gz KKDVOYRMNCQTLH-CQSZACIVSA-N 0 0 264.325 2.948 20 5 CFBDRN CC[C@@H](CNc1ncc([N+](=O)[O-])c(C)n1)CC(F)(F)F ZINC000413524902 529474701 /nfs/dbraw/zinc/47/47/01/529474701.db2.gz XMSBFPFUETWNEZ-MRVPVSSYSA-N 0 0 292.261 2.506 20 5 CFBDRN CC1(C)C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000269817098 190555718 /nfs/dbraw/zinc/55/57/18/190555718.db2.gz IFPGEAPRFRGJKO-QMMMGPOBSA-N 0 0 252.245 2.719 20 5 CFBDRN CCCC[C@H]1CCC[C@@H]1NC(=O)c1[nH]nc(C)c1[N+](=O)[O-] ZINC000069541756 346895412 /nfs/dbraw/zinc/89/54/12/346895412.db2.gz JPTYZCQEQJKHLH-QWRGUYRKSA-N 0 0 294.355 2.715 20 5 CFBDRN C[C@]1(C2CC2)CN(Cc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC000595625820 349681779 /nfs/dbraw/zinc/68/17/79/349681779.db2.gz ORUFFVLNRJGHDM-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN CC1(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)CCC1 ZINC000125865433 187202943 /nfs/dbraw/zinc/20/29/43/187202943.db2.gz MPSXWAXZFMTGKZ-UHFFFAOYSA-N 0 0 289.335 2.842 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2c3ccccc3C[C@H]2CO)cc1 ZINC000595657486 349691954 /nfs/dbraw/zinc/69/19/54/349691954.db2.gz KPTKZGLHVOMDDG-INIZCTEOSA-N 0 0 298.342 2.561 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000413386365 529520269 /nfs/dbraw/zinc/52/02/69/529520269.db2.gz TXVJWVKOCAFOQE-WFASDCNBSA-N 0 0 291.351 2.930 20 5 CFBDRN COc1ncc(Cl)c(NCc2ccccc2[N+](=O)[O-])n1 ZINC000125971229 187207518 /nfs/dbraw/zinc/20/75/18/187207518.db2.gz HYQPOCHNDPIOIC-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN O=[N+]([O-])c1c(NCC2CCOCC2)ccc2ncccc21 ZINC000070160899 346906397 /nfs/dbraw/zinc/90/63/97/346906397.db2.gz DJYLLIFWJGYZPI-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000126000132 187209455 /nfs/dbraw/zinc/20/94/55/187209455.db2.gz RIIZIJOVYZBRKX-RISCZKNCSA-N 0 0 292.335 2.650 20 5 CFBDRN C[C@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)C[C@H](C)C1O ZINC000595737445 349720776 /nfs/dbraw/zinc/72/07/76/349720776.db2.gz BXGHFFBRLPRFGZ-UWVGGRQHSA-N 0 0 298.770 2.697 20 5 CFBDRN Cc1sc(C(=O)NC2(C)CCC2)cc1[N+](=O)[O-] ZINC000126089196 187215702 /nfs/dbraw/zinc/21/57/02/187215702.db2.gz ODSWEROAJHULII-UHFFFAOYSA-N 0 0 254.311 2.637 20 5 CFBDRN CN(CCOc1ccc(F)cc1)c1ccc([N+](=O)[O-])cn1 ZINC000070793652 346914498 /nfs/dbraw/zinc/91/44/98/346914498.db2.gz JHTIDEZRXFOGAG-UHFFFAOYSA-N 0 0 291.282 2.644 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCc2nccnc2C1 ZINC000595862887 349757782 /nfs/dbraw/zinc/75/77/82/349757782.db2.gz IBSCNNBNLGGJEE-GFCCVEGCSA-N 0 0 298.346 2.813 20 5 CFBDRN Cc1noc(COc2cc(C)ccc2F)c1[N+](=O)[O-] ZINC000596018547 349776259 /nfs/dbraw/zinc/77/62/59/349776259.db2.gz AAZCWFYAYXNPNP-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CCc1nnc(SCc2onc(C)c2[N+](=O)[O-])s1 ZINC000596023610 349777110 /nfs/dbraw/zinc/77/71/10/349777110.db2.gz ZTDBIBSGGIVVLK-UHFFFAOYSA-N 0 0 286.338 2.597 20 5 CFBDRN CCCc1nccnc1NCc1ccc([N+](=O)[O-])cc1C ZINC000596025992 349778025 /nfs/dbraw/zinc/77/80/25/349778025.db2.gz LVUNATYSDHYAII-UHFFFAOYSA-N 0 0 286.335 2.680 20 5 CFBDRN Cc1noc(CNc2cccc(F)c2C)c1[N+](=O)[O-] ZINC000596033033 349779509 /nfs/dbraw/zinc/77/95/09/349779509.db2.gz WZMQSFWMOQAVSI-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN CC(C)(NC(=O)[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000126167392 187222264 /nfs/dbraw/zinc/22/22/64/187222264.db2.gz NOCROVFFTFSXHH-CYBMUJFWSA-N 0 0 292.335 2.515 20 5 CFBDRN COCCCNc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596050343 349781972 /nfs/dbraw/zinc/78/19/72/349781972.db2.gz GCTZHZPJAVSUAH-UHFFFAOYSA-N 0 0 274.704 2.705 20 5 CFBDRN CC(C)CCCNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596074872 349788234 /nfs/dbraw/zinc/78/82/34/349788234.db2.gz IUNHFHJSIGNZBB-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN Cc1cc(N2CCCSCC2)c([N+](=O)[O-])s1 ZINC000596080648 349788928 /nfs/dbraw/zinc/78/89/28/349788928.db2.gz LEXZFYSRUPTFOM-UHFFFAOYSA-N 0 0 258.368 2.908 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596086075 349790694 /nfs/dbraw/zinc/79/06/94/349790694.db2.gz UUDUZZQZWADARB-NXEZZACHSA-N 0 0 265.313 2.934 20 5 CFBDRN CCO[C@H]1CCCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596090647 349792076 /nfs/dbraw/zinc/79/20/76/349792076.db2.gz PWVLGJFUAKJDPP-JTQLQIEISA-N 0 0 270.354 2.970 20 5 CFBDRN COC(=O)[C@@H](C)Sc1cc(C)sc1[N+](=O)[O-] ZINC000596070800 349786165 /nfs/dbraw/zinc/78/61/65/349786165.db2.gz SOVQBLFPUFWHLB-ZCFIWIBFSA-N 0 0 261.324 2.618 20 5 CFBDRN Cc1cc(N(C)Cc2ccncc2)c([N+](=O)[O-])s1 ZINC000596068943 349786552 /nfs/dbraw/zinc/78/65/52/349786552.db2.gz BIGBMGACTMRPOV-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596073312 349787446 /nfs/dbraw/zinc/78/74/46/349787446.db2.gz JSFVIMNMDRVMAA-RKDXNWHRSA-N 0 0 251.286 2.686 20 5 CFBDRN Cc1ncc2c(n1)CC[C@@H](Nc1ccsc1[N+](=O)[O-])C2 ZINC000596100753 349794486 /nfs/dbraw/zinc/79/44/86/349794486.db2.gz BWLTVOBKRBTBON-SNVBAGLBSA-N 0 0 290.348 2.724 20 5 CFBDRN O=[N+]([O-])c1cnccc1Oc1cccc(-n2ccnc2)c1 ZINC000072291262 346924863 /nfs/dbraw/zinc/92/48/63/346924863.db2.gz FXPZQKAPGLOWEN-UHFFFAOYSA-N 0 0 282.259 2.968 20 5 CFBDRN CN(Cc1ccc(F)cc1)c1ccc([N+](=O)[O-])nc1 ZINC000072521988 346927357 /nfs/dbraw/zinc/92/73/57/346927357.db2.gz ZZUYOTIDISKRQL-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN Cc1cnc(NC[C@@]2(C)CCCS2)c([N+](=O)[O-])c1 ZINC000126430833 187238918 /nfs/dbraw/zinc/23/89/18/187238918.db2.gz IZXCROFEXYXBAB-GFCCVEGCSA-N 0 0 267.354 2.996 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000126463907 187241318 /nfs/dbraw/zinc/24/13/18/187241318.db2.gz USNBXOYLOGTTKH-GFCCVEGCSA-N 0 0 254.261 2.571 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000126464363 187242244 /nfs/dbraw/zinc/24/22/44/187242244.db2.gz VPSNIPHWHNZSNX-GFCCVEGCSA-N 0 0 250.298 2.740 20 5 CFBDRN COC(=O)[C@@H](C)CSc1cc(C)sc1[N+](=O)[O-] ZINC000596130040 349802367 /nfs/dbraw/zinc/80/23/67/349802367.db2.gz XLECBBBFBONMMU-LURJTMIESA-N 0 0 275.351 2.866 20 5 CFBDRN Cc1cccc(NCc2nnc(C(C)C)[nH]2)c1[N+](=O)[O-] ZINC000294989924 199286606 /nfs/dbraw/zinc/28/66/06/199286606.db2.gz QGBOBBGVCSZWRZ-UHFFFAOYSA-N 0 0 275.312 2.757 20 5 CFBDRN CC[C@H](CCO)Nc1cc(OC)c([N+](=O)[O-])cc1Cl ZINC000596135518 349803610 /nfs/dbraw/zinc/80/36/10/349803610.db2.gz IULWEDFFWAAICA-MRVPVSSYSA-N 0 0 288.731 2.830 20 5 CFBDRN COc1cc(SC[C@H](C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000596138338 349805478 /nfs/dbraw/zinc/80/54/78/349805478.db2.gz IVNVQJVIKIFGSF-SSDOTTSWSA-N 0 0 291.756 2.977 20 5 CFBDRN CC(C)CCSc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596143530 349807428 /nfs/dbraw/zinc/80/74/28/349807428.db2.gz UWOOHFKJCXMGLD-UHFFFAOYSA-N 0 0 268.338 2.832 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCC2(C1)CCOCC2 ZINC000596145068 349808027 /nfs/dbraw/zinc/80/80/27/349808027.db2.gz QEAAPKOFAFJQML-UHFFFAOYSA-N 0 0 297.742 2.650 20 5 CFBDRN Cc1nsc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000294993336 199289317 /nfs/dbraw/zinc/28/93/17/199289317.db2.gz QWPMNXGNHGYAMI-ZETCQYMHSA-N 0 0 264.310 2.928 20 5 CFBDRN CCOc1cc(N2CCC([C@H](C)O)CC2)ccc1[N+](=O)[O-] ZINC000226570476 136387220 /nfs/dbraw/zinc/38/72/20/136387220.db2.gz KAKYTEJVYBQEBG-NSHDSACASA-N 0 0 294.351 2.591 20 5 CFBDRN CCC1(CC)CCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596165896 349814503 /nfs/dbraw/zinc/81/45/03/349814503.db2.gz UYDNQZTULOYQCL-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN C[C@H](NC(=O)N[C@]12C[C@H]1CCC2)c1cccc([N+](=O)[O-])c1 ZINC000596167784 349815142 /nfs/dbraw/zinc/81/51/42/349815142.db2.gz MEBKVXHWCVSQEK-JVLSTEMRSA-N 0 0 289.335 2.898 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cnc3c2CCCC3)c(F)c1 ZINC000295001268 199294315 /nfs/dbraw/zinc/29/43/15/199294315.db2.gz DCWNSMNQQQNRDP-UHFFFAOYSA-N 0 0 275.283 2.858 20 5 CFBDRN Cc1cc(N2CCc3ncccc3C2)c([N+](=O)[O-])s1 ZINC000596170961 349816219 /nfs/dbraw/zinc/81/62/19/349816219.db2.gz BOEURKUEEPDVBT-UHFFFAOYSA-N 0 0 275.333 2.922 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1CCOCC1 ZINC000291519492 529730160 /nfs/dbraw/zinc/73/01/60/529730160.db2.gz XVBPQUQQHJPUIN-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN O=[N+]([O-])c1cccc(Br)c1N[C@H]1CCOC1 ZINC000596173231 349816985 /nfs/dbraw/zinc/81/69/85/349816985.db2.gz RXBGWOMMMWSASC-ZETCQYMHSA-N 0 0 287.113 2.558 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2C[C@@H](C)O)c([N+](=O)[O-])s1 ZINC000596173246 349817093 /nfs/dbraw/zinc/81/70/93/349817093.db2.gz SHKLODARTJUMPO-PSASIEDQSA-N 0 0 270.354 2.704 20 5 CFBDRN CCn1ccnc1CNc1cccc(C)c1[N+](=O)[O-] ZINC000295034347 199312337 /nfs/dbraw/zinc/31/23/37/199312337.db2.gz SFFJPGNYYDEANX-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CCC[C@@H]1CN(c2cc(C)sc2[N+](=O)[O-])CCO1 ZINC000596176608 349817689 /nfs/dbraw/zinc/81/76/89/349817689.db2.gz CMMJFRBRIPWRQV-SNVBAGLBSA-N 0 0 270.354 2.970 20 5 CFBDRN NC(=O)c1cc(NC2CCC(F)(F)CC2)ccc1[N+](=O)[O-] ZINC000596176965 349817975 /nfs/dbraw/zinc/81/79/75/349817975.db2.gz BSOFDMPPBFGXNM-UHFFFAOYSA-N 0 0 299.277 2.684 20 5 CFBDRN CCc1ncsc1NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000156936802 529764470 /nfs/dbraw/zinc/76/44/70/529764470.db2.gz YJBQEMIQCSJCPH-UHFFFAOYSA-N 0 0 292.320 2.569 20 5 CFBDRN CCc1nnc(COc2ccc(CC)cc2[N+](=O)[O-])o1 ZINC000115200843 529769147 /nfs/dbraw/zinc/76/91/47/529769147.db2.gz VVJFRDBWHAMQNR-UHFFFAOYSA-N 0 0 277.280 2.682 20 5 CFBDRN CC(C)(C)[C@H]1C[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])CCO1 ZINC000596180272 349818349 /nfs/dbraw/zinc/81/83/49/349818349.db2.gz RGEXNSRUGBBSSX-GXSJLCMTSA-N 0 0 295.339 2.701 20 5 CFBDRN CC1(C)CC[C@H](Nc2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596178403 349818576 /nfs/dbraw/zinc/81/85/76/349818576.db2.gz JIZRMDCIYPPNHH-JTQLQIEISA-N 0 0 277.324 2.684 20 5 CFBDRN CCC[C@H](CNc1ccc([N+](=O)[O-])c(N)c1F)OC ZINC000295041689 199315885 /nfs/dbraw/zinc/31/58/85/199315885.db2.gz XDIPMJUDAZLGLU-MRVPVSSYSA-N 0 0 271.292 2.543 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596188282 349821501 /nfs/dbraw/zinc/82/15/01/349821501.db2.gz VLSRMGKPQPVVAH-BXKDBHETSA-N 0 0 299.758 2.631 20 5 CFBDRN Cc1cccc([C@@H]2CCN(c3cc[nH]c(=O)c3[N+](=O)[O-])C2)c1 ZINC000596195580 349824103 /nfs/dbraw/zinc/82/41/03/349824103.db2.gz SMEOURFPQUNWIG-CYBMUJFWSA-N 0 0 299.330 2.998 20 5 CFBDRN COC1CCC(CCNc2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000596198030 349824920 /nfs/dbraw/zinc/82/49/20/349824920.db2.gz GUZZPQRMTQECPJ-UHFFFAOYSA-N 0 0 295.339 2.703 20 5 CFBDRN C[C@H]1C[C@@H](O)CN1c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596205467 349826453 /nfs/dbraw/zinc/82/64/53/349826453.db2.gz IOKCHJCBUZMIAG-IONNQARKSA-N 0 0 290.241 2.573 20 5 CFBDRN Cc1cc(N[C@@H]2CCO[C@@H](C)C2)c([N+](=O)[O-])s1 ZINC000596181440 349819253 /nfs/dbraw/zinc/81/92/53/349819253.db2.gz BKXOJMQIYDTUNH-IONNQARKSA-N 0 0 256.327 2.944 20 5 CFBDRN Cc1cc(N[C@H]2CCOC[C@@H]2C)c([N+](=O)[O-])s1 ZINC000596207400 349827145 /nfs/dbraw/zinc/82/71/45/349827145.db2.gz FDLNSIMJZQVIJY-CBAPKCEASA-N 0 0 256.327 2.802 20 5 CFBDRN Cc1cc(NC[C@H]2CC[C@H](O)C2)c([N+](=O)[O-])s1 ZINC000596207578 349827206 /nfs/dbraw/zinc/82/72/06/349827206.db2.gz SPNNABIJLYIFSQ-IUCAKERBSA-N 0 0 256.327 2.538 20 5 CFBDRN Cc1cc(Nc2cc3n(n2)CCCC3)c([N+](=O)[O-])s1 ZINC000596231094 349834757 /nfs/dbraw/zinc/83/47/57/349834757.db2.gz HYDGURRJUDUEKM-UHFFFAOYSA-N 0 0 278.337 2.663 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2cc[nH]c(=O)c2[N+](=O)[O-])C1(C)C ZINC000596220113 349830794 /nfs/dbraw/zinc/83/07/94/349830794.db2.gz WGEKYYJVJKTSDD-MNOVXSKESA-N 0 0 295.339 2.699 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2nnc(C(C)C)[nH]2)c1 ZINC000295071550 199329832 /nfs/dbraw/zinc/32/98/32/199329832.db2.gz ZWNJDOOFOGURRW-UHFFFAOYSA-N 0 0 275.312 2.757 20 5 CFBDRN CC(C)[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596223957 349832141 /nfs/dbraw/zinc/83/21/41/349832141.db2.gz VIYSKDQHZZROJB-NSHDSACASA-N 0 0 291.351 2.566 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCC1(C(F)F)CC1 ZINC000596222082 349832159 /nfs/dbraw/zinc/83/21/59/349832159.db2.gz JPXOMJZWCCHFMJ-UHFFFAOYSA-N 0 0 277.658 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCC2CCOCC2)c1 ZINC000295451600 199515549 /nfs/dbraw/zinc/51/55/49/199515549.db2.gz HDINPVWQBGLDLP-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3nc[nH]c3C2)c([N+](=O)[O-])s1 ZINC000596239079 349836516 /nfs/dbraw/zinc/83/65/16/349836516.db2.gz WUBATWGUHXLESS-MRVPVSSYSA-N 0 0 278.337 2.657 20 5 CFBDRN CO[C@@H](CNc1cc(C)sc1[N+](=O)[O-])C1CC1 ZINC000596242868 349838083 /nfs/dbraw/zinc/83/80/83/349838083.db2.gz BWZGOKPDNGBEPR-JTQLQIEISA-N 0 0 256.327 2.802 20 5 CFBDRN CCc1nocc1CN(C)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596245450 349838870 /nfs/dbraw/zinc/83/88/70/349838870.db2.gz ZNNUFNISYMVBPL-UHFFFAOYSA-N 0 0 296.714 2.830 20 5 CFBDRN Cc1cc(N2CC[C@@H]2C(F)F)c(F)cc1[N+](=O)[O-] ZINC000596245971 349838919 /nfs/dbraw/zinc/83/89/19/349838919.db2.gz COQJBIODJNAYAN-MRVPVSSYSA-N 0 0 260.215 2.886 20 5 CFBDRN CC(C)(CCNc1cc[nH]c(=O)c1[N+](=O)[O-])C1CC1 ZINC000596248321 349839687 /nfs/dbraw/zinc/83/96/87/349839687.db2.gz XILCSMBYIFTZCJ-UHFFFAOYSA-N 0 0 265.313 2.934 20 5 CFBDRN CCC[C@@H]1CCCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000128004749 187345533 /nfs/dbraw/zinc/34/55/33/187345533.db2.gz NGTMNWWTUIUVPM-GFCCVEGCSA-N 0 0 291.351 2.972 20 5 CFBDRN COC[C@]1(C)CCN(c2cc(C)sc2[N+](=O)[O-])C1 ZINC000596250444 349840662 /nfs/dbraw/zinc/84/06/62/349840662.db2.gz PDBLZNYEMBZGDI-GFCCVEGCSA-N 0 0 270.354 2.828 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1c1ccc([N+](=O)[O-])c2cccnc21 ZINC000596251944 349841001 /nfs/dbraw/zinc/84/10/01/349841001.db2.gz ZTZNVMPAHNKJGO-VHSXEESVSA-N 0 0 257.293 2.988 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](c3ccncc3)C2)nc1 ZINC000596253709 349841959 /nfs/dbraw/zinc/84/19/59/349841959.db2.gz GRNGDVHNMUYIEQ-CYBMUJFWSA-N 0 0 284.319 2.769 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@H](c2ccncc2)C1 ZINC000596254022 349842159 /nfs/dbraw/zinc/84/21/59/349842159.db2.gz KMXZSGAMDRAMSX-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN CO[C@@H]1CCN(c2c(Cl)cncc2[N+](=O)[O-])C[C@@H]1C ZINC000596254614 349842178 /nfs/dbraw/zinc/84/21/78/349842178.db2.gz KRSLXIZJTBMWFR-GZMMTYOYSA-N 0 0 285.731 2.504 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1CCCC[C@@H]1C ZINC000596269524 349848831 /nfs/dbraw/zinc/84/88/31/349848831.db2.gz WBWHGYFHASAKOZ-JQWIXIFHSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1noc(COc2cccnc2OC(C)C)c1[N+](=O)[O-] ZINC000596270314 349848902 /nfs/dbraw/zinc/84/89/02/349848902.db2.gz ZOSBZLBQZWXBNP-UHFFFAOYSA-N 0 0 293.279 2.652 20 5 CFBDRN CCC1(c2ccccc2)CN(c2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596269912 349848920 /nfs/dbraw/zinc/84/89/20/349848920.db2.gz HNEKGYSATFWWRP-UHFFFAOYSA-N 0 0 299.330 2.863 20 5 CFBDRN CCn1cc(OCc2ccc(F)cc2[N+](=O)[O-])cn1 ZINC000596280533 349851701 /nfs/dbraw/zinc/85/17/01/349851701.db2.gz YIJSMYXIJDXTQB-UHFFFAOYSA-N 0 0 265.244 2.529 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@@H]1CCCC[C@H]1F ZINC000596271375 349849611 /nfs/dbraw/zinc/84/96/11/349849611.db2.gz PLIXEGBYJLPPIG-RKDXNWHRSA-N 0 0 273.695 2.758 20 5 CFBDRN C[C@H](CC(C)(C)O)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000295075933 199332524 /nfs/dbraw/zinc/33/25/24/199332524.db2.gz XAACGPUZYLNEHY-SSDOTTSWSA-N 0 0 296.352 2.561 20 5 CFBDRN COC[C@@H](COc1ccc([N+](=O)[O-])c2ccccc12)OC ZINC000596260923 349845509 /nfs/dbraw/zinc/84/55/09/349845509.db2.gz QARZYMWLCUDBAC-NSHDSACASA-N 0 0 291.303 2.788 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NCCC1CC(F)(F)C1 ZINC000596265042 349846964 /nfs/dbraw/zinc/84/69/64/349846964.db2.gz NSWUAEIZNDVZNO-UHFFFAOYSA-N 0 0 291.685 2.912 20 5 CFBDRN Cc1cccc(OCCO[C@@H]2CC2(F)F)c1[N+](=O)[O-] ZINC000596263965 349847233 /nfs/dbraw/zinc/84/72/33/349847233.db2.gz HCVWHCKCJTUEKY-SNVBAGLBSA-N 0 0 273.235 2.706 20 5 CFBDRN CC1(C)C[C@H](Nc2nc3ccccc3cc2[N+](=O)[O-])CO1 ZINC000596310699 349859860 /nfs/dbraw/zinc/85/98/60/349859860.db2.gz JEXZFLWFKLBMIV-NSHDSACASA-N 0 0 287.319 2.544 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H](C)c1ccccn1 ZINC000596310975 349860319 /nfs/dbraw/zinc/86/03/19/349860319.db2.gz BLUMRPHWWQDUNI-JTQLQIEISA-N 0 0 289.339 2.730 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2C[C@@H]3CCCC[C@@H]32)s1 ZINC000596294213 349854894 /nfs/dbraw/zinc/85/48/94/349854894.db2.gz HCYSEZWDWIGKKO-WPRPVWTQSA-N 0 0 290.348 2.978 20 5 CFBDRN CC[C@H]1C[C@@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000073333572 346971032 /nfs/dbraw/zinc/97/10/32/346971032.db2.gz VDNQGIUNTBEFFX-PWSUYJOCSA-N 0 0 262.309 2.855 20 5 CFBDRN CC[C@H](Cc1ccc(F)cc1)Nc1c([N+](=O)[O-])ncn1C ZINC000596317003 349862199 /nfs/dbraw/zinc/86/21/99/349862199.db2.gz LKYPXRRSVJPOMS-GFCCVEGCSA-N 0 0 292.314 2.901 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H](CO)C1CCC1 ZINC000596322451 349862766 /nfs/dbraw/zinc/86/27/66/349862766.db2.gz ARXGGQBUQNMPHI-CYBMUJFWSA-N 0 0 287.319 2.716 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])cc(F)c1F)N1CCC[C@H]1C1CC1 ZINC000073366613 346974361 /nfs/dbraw/zinc/97/43/61/346974361.db2.gz MZCBMJZCSPZSQW-LBPRGKRZSA-N 0 0 296.273 2.888 20 5 CFBDRN Cc1ncsc1CCNc1ccc([N+](=O)[O-])c(C)n1 ZINC000295081178 199335389 /nfs/dbraw/zinc/33/53/89/199335389.db2.gz XTZUXQPHRXOCSQ-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN O=C(Nc1ccc2n[nH]cc2c1)c1ccc([N+](=O)[O-])s1 ZINC000073572703 346980408 /nfs/dbraw/zinc/98/04/08/346980408.db2.gz WKLRNOKSMROZRU-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])cc2)CCN1CC(F)F ZINC000301907213 136443244 /nfs/dbraw/zinc/44/32/44/136443244.db2.gz ZQXZUZYVSSYULS-LLVKDONJSA-N 0 0 299.321 2.761 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)c2ncnn21 ZINC000596343921 349871000 /nfs/dbraw/zinc/87/10/00/349871000.db2.gz QJYFUNFMEHRPOK-HQJQHLMTSA-N 0 0 293.714 2.958 20 5 CFBDRN CO[C@@H]1CCC[C@@H]([C@H](CO)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000596366307 349879269 /nfs/dbraw/zinc/87/92/69/349879269.db2.gz JTPCHOPOIZYOGT-DFBGVHRSSA-N 0 0 294.351 2.573 20 5 CFBDRN Cc1noc(CSCc2ccc(CO)cc2)c1[N+](=O)[O-] ZINC000596352264 349873686 /nfs/dbraw/zinc/87/36/86/349873686.db2.gz GSWVFYDPGXVFDN-UHFFFAOYSA-N 0 0 294.332 2.817 20 5 CFBDRN CCN(Cc1ccccc1)c1ncc([N+](=O)[O-])c(C)n1 ZINC000295503815 199538443 /nfs/dbraw/zinc/53/84/43/199538443.db2.gz QZHNZLLVXWGYKM-UHFFFAOYSA-N 0 0 272.308 2.720 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccnc2N2CCCC2)cn1 ZINC000596356816 349875743 /nfs/dbraw/zinc/87/57/43/349875743.db2.gz KZZCERTYJFSQPG-UHFFFAOYSA-N 0 0 299.334 2.597 20 5 CFBDRN Cc1noc(CS[C@@H](C)c2cnc(C)cn2)c1[N+](=O)[O-] ZINC000596361642 349877169 /nfs/dbraw/zinc/87/71/69/349877169.db2.gz HITLVEKCOGOCNB-VIFPVBQESA-N 0 0 294.336 2.984 20 5 CFBDRN Cc1nc(NCC2CCCCC2)ncc1[N+](=O)[O-] ZINC000295508082 199540217 /nfs/dbraw/zinc/54/02/17/199540217.db2.gz REZGAHDDDPGWAT-UHFFFAOYSA-N 0 0 250.302 2.685 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NCC2CC2)cc1[N+](=O)[O-] ZINC000295512192 199542577 /nfs/dbraw/zinc/54/25/77/199542577.db2.gz VAHOJFXNODCHOS-UHFFFAOYSA-N 0 0 268.700 2.696 20 5 CFBDRN CO[C@@H](CSCc1onc(C)c1[N+](=O)[O-])C1CC1 ZINC000596371870 349881314 /nfs/dbraw/zinc/88/13/14/349881314.db2.gz DPUKWUGSKWLVSR-VIFPVBQESA-N 0 0 272.326 2.549 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)/C=C/C1CC1 ZINC000074234643 347003802 /nfs/dbraw/zinc/00/38/02/347003802.db2.gz DIZUZWHBLVKYBH-QPJJXVBHSA-N 0 0 262.265 2.508 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCCC[C@H]1F ZINC000413527192 529932946 /nfs/dbraw/zinc/93/29/46/529932946.db2.gz ANDGJCMFMDEFQF-DGCLKSJQSA-N 0 0 295.314 2.647 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000413453581 529933043 /nfs/dbraw/zinc/93/30/43/529933043.db2.gz HUYJTHSBULCTMB-SUZMYJTESA-N 0 0 289.335 2.555 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@]12C[C@H]1CCC2 ZINC000421544729 529935215 /nfs/dbraw/zinc/93/52/15/529935215.db2.gz RFVWBKRMLMZZLD-CZUORRHYSA-N 0 0 288.347 2.786 20 5 CFBDRN C[C@@H](c1ccco1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000074519183 347018806 /nfs/dbraw/zinc/01/88/06/347018806.db2.gz FOFPYXQYCYEYKC-NSHDSACASA-N 0 0 288.303 2.950 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC/C=C/CO ZINC000596423272 349899232 /nfs/dbraw/zinc/89/92/32/349899232.db2.gz KQGZLYVLMBNOMU-NSCUHMNNSA-N 0 0 256.689 2.517 20 5 CFBDRN CC(C)(C)/C=C/C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000074593640 347022220 /nfs/dbraw/zinc/02/22/20/347022220.db2.gz IMCFTFRYYAXDRI-CMDGGOBGSA-N 0 0 292.335 2.692 20 5 CFBDRN Cc1cccc([C@@H]2CCN(c3c([N+](=O)[O-])ncn3C)C2)c1 ZINC000273185117 192068738 /nfs/dbraw/zinc/06/87/38/192068738.db2.gz QPQGZTRFDPPAIU-CYBMUJFWSA-N 0 0 286.335 2.631 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1c1ccccc1[N+](=O)[O-] ZINC000596437415 349902287 /nfs/dbraw/zinc/90/22/87/349902287.db2.gz YBEFMJLAZXOARA-LLVKDONJSA-N 0 0 262.309 2.743 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000596436252 349902535 /nfs/dbraw/zinc/90/25/35/349902535.db2.gz ITBFJJSLHRPBKQ-XYPYZODXSA-N 0 0 250.298 2.883 20 5 CFBDRN Cc1c(OC(=O)[C@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000074719970 347029071 /nfs/dbraw/zinc/02/90/71/347029071.db2.gz HWAKBYUUYMMMNC-LLVKDONJSA-N 0 0 267.306 2.704 20 5 CFBDRN COC1CCC(N(C)c2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000074763662 347031523 /nfs/dbraw/zinc/03/15/23/347031523.db2.gz MSQXPXOEOAKXDN-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN C[C@@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])[C@H]1CCO ZINC000596440033 349903093 /nfs/dbraw/zinc/90/30/93/349903093.db2.gz CFBZSWKVKZSJAC-PWSUYJOCSA-N 0 0 282.315 2.721 20 5 CFBDRN Cc1cc(NCCc2cn3ccccc3n2)ncc1[N+](=O)[O-] ZINC000074738835 347029800 /nfs/dbraw/zinc/02/98/00/347029800.db2.gz XBKPWSJULUPXKP-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN C[C@@]12COC[C@]1(C)CN(c1ccsc1[N+](=O)[O-])C2 ZINC000596439981 349903163 /nfs/dbraw/zinc/90/31/63/349903163.db2.gz BLMPJEBQMVYNSO-TXEJJXNPSA-N 0 0 268.338 2.519 20 5 CFBDRN COCC1(C2CCC2)CN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000596440450 349903813 /nfs/dbraw/zinc/90/38/13/349903813.db2.gz LEYFRCOHPWRXKF-UHFFFAOYSA-N 0 0 294.326 2.987 20 5 CFBDRN Cc1cc(NCc2ccn(C(C)C)n2)ncc1[N+](=O)[O-] ZINC000074764944 347031348 /nfs/dbraw/zinc/03/13/48/347031348.db2.gz JGJOYUYMDZRYKE-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN COc1ccc(CSc2cnccn2)cc1[N+](=O)[O-] ZINC000074792094 347035577 /nfs/dbraw/zinc/03/55/77/347035577.db2.gz NCNVFKMDAKYFAT-UHFFFAOYSA-N 0 0 277.305 2.686 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCO[C@@H]1CC1(F)F ZINC000596446460 349905745 /nfs/dbraw/zinc/90/57/45/349905745.db2.gz GBPKPOUHTKYJDG-SNVBAGLBSA-N 0 0 276.214 2.570 20 5 CFBDRN Cc1cc(N[C@@H](CO)C2CCCCC2)ncc1[N+](=O)[O-] ZINC000074769608 347032092 /nfs/dbraw/zinc/03/20/92/347032092.db2.gz AQGXBFQBGWBIJR-LBPRGKRZSA-N 0 0 279.340 2.651 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000074769503 347032168 /nfs/dbraw/zinc/03/21/68/347032168.db2.gz JAHXXXIOOHNXJX-SMDDNHRTSA-N 0 0 279.340 2.914 20 5 CFBDRN CCSCC[C@@H](C)N(C)c1ccc([N+](=O)[O-])cn1 ZINC000074770730 347032204 /nfs/dbraw/zinc/03/22/04/347032204.db2.gz KQLJVPZYGJSREG-SNVBAGLBSA-N 0 0 269.370 2.958 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])nc1)C1CCOCC1 ZINC000074774348 347033366 /nfs/dbraw/zinc/03/33/66/347033366.db2.gz KETHZXNSQGNKPD-GFCCVEGCSA-N 0 0 265.313 2.607 20 5 CFBDRN CC(=O)c1ccc(N(C)Cc2nccs2)c([N+](=O)[O-])c1 ZINC000074782594 347034048 /nfs/dbraw/zinc/03/40/48/347034048.db2.gz HNXCTVDLJBCORL-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN CO[C@@H](CNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000074934064 347044117 /nfs/dbraw/zinc/04/41/17/347044117.db2.gz PCDIYCSJLDBPOF-ZDUSSCGKSA-N 0 0 295.339 2.696 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000335693725 297235908 /nfs/dbraw/zinc/23/59/08/297235908.db2.gz UNJNYCODHFGPSG-RKDXNWHRSA-N 0 0 273.292 2.699 20 5 CFBDRN COCc1nc(C)cc(Oc2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000075041143 347050446 /nfs/dbraw/zinc/05/04/46/347050446.db2.gz OLSLWEDVHCSLKR-UHFFFAOYSA-N 0 0 289.291 2.940 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2)O1 ZINC000596471295 349910698 /nfs/dbraw/zinc/91/06/98/349910698.db2.gz ZECBWYILJDMWRO-MFKMUULPSA-N 0 0 293.323 2.674 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2)O1 ZINC000596470823 349909905 /nfs/dbraw/zinc/90/99/05/349909905.db2.gz QRPRQAKWXMDRDH-MFKMUULPSA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@]1(C)CC1(F)F ZINC000596474758 349911535 /nfs/dbraw/zinc/91/15/35/349911535.db2.gz CAWJAGDJSPYNFS-LLVKDONJSA-N 0 0 271.267 2.567 20 5 CFBDRN Cn1ncc(C2CC2)c1CNc1ccc([N+](=O)[O-])cc1 ZINC000596473038 349911152 /nfs/dbraw/zinc/91/11/52/349911152.db2.gz FHMQBQZOAYAKAZ-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN CO[C@]1(C)C[C@H](Nc2ncc([N+](=O)[O-])cc2C)C1(C)C ZINC000075064456 347052770 /nfs/dbraw/zinc/05/27/70/347052770.db2.gz BRNYDBREUJRCAT-SMDDNHRTSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1ccnc(NCC2(CCO)CCCC2)c1[N+](=O)[O-] ZINC000075064204 347052888 /nfs/dbraw/zinc/05/28/88/347052888.db2.gz NJZFFPOLBCWUOE-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN C[C@@H]1CCC[C@@H](CNc2nc3sccn3c2[N+](=O)[O-])O1 ZINC000596473050 349911293 /nfs/dbraw/zinc/91/12/93/349911293.db2.gz FMVIDQSNLSVFEY-BDAKNGLRSA-N 0 0 296.352 2.674 20 5 CFBDRN Cc1nc(NCC[C@]2(C)CC2(F)F)ccc1[N+](=O)[O-] ZINC000596475231 349912445 /nfs/dbraw/zinc/91/24/45/349912445.db2.gz HBNCPCOBTCOJOB-LLVKDONJSA-N 0 0 271.267 2.567 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H]1c1ccccc1 ZINC000596478121 349913625 /nfs/dbraw/zinc/91/36/25/349913625.db2.gz HCYZNIUYUSJYER-ZIAGYGMSSA-N 0 0 299.330 2.609 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@]1(C)CC1(F)F ZINC000596478854 349913706 /nfs/dbraw/zinc/91/37/06/349913706.db2.gz SVYXVVHXWXTWHX-JTQLQIEISA-N 0 0 274.271 2.577 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000075108620 347055658 /nfs/dbraw/zinc/05/56/58/347055658.db2.gz LVURNKLNHMUOSP-GFCCVEGCSA-N 0 0 293.367 2.825 20 5 CFBDRN Cn1ncc(C2CC2)c1CNc1ccccc1[N+](=O)[O-] ZINC000596476236 349913076 /nfs/dbraw/zinc/91/30/76/349913076.db2.gz YJENXLCTUNBUAO-UHFFFAOYSA-N 0 0 272.308 2.818 20 5 CFBDRN CC[C@H](O)CCNc1ccc([N+](=O)[O-])c2cnccc12 ZINC000127243645 187291689 /nfs/dbraw/zinc/29/16/89/187291689.db2.gz QDFLIPXEEIQLPG-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1cc(N2C[C@H]3COC[C@@]3(C)C2)c(F)cc1[N+](=O)[O-] ZINC000596480284 349914597 /nfs/dbraw/zinc/91/45/97/349914597.db2.gz MFDYIMINUASBTI-IINYFYTJSA-N 0 0 280.299 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](CCF)C2)nc1 ZINC000596479932 349914603 /nfs/dbraw/zinc/91/46/03/349914603.db2.gz WYYZXEITGLXJED-SNVBAGLBSA-N 0 0 253.277 2.566 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1C=CCCC1 ZINC000176830671 530004186 /nfs/dbraw/zinc/00/41/86/530004186.db2.gz KQFBVVIXYZMPTL-CYBMUJFWSA-N 0 0 289.335 2.845 20 5 CFBDRN O=C([C@H]1CCCS1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000075201213 347059856 /nfs/dbraw/zinc/05/98/56/347059856.db2.gz YJACGVYZMHMJNQ-CYBMUJFWSA-N 0 0 292.360 2.770 20 5 CFBDRN O=c1[nH]ccc(NCCCC2CCC2)c1[N+](=O)[O-] ZINC000596479931 349914665 /nfs/dbraw/zinc/91/46/65/349914665.db2.gz XFRPFIZMVQMSQW-UHFFFAOYSA-N 0 0 251.286 2.688 20 5 CFBDRN C[C@]12COC[C@H]1CN(c1ccc3cc([N+](=O)[O-])ccc3n1)C2 ZINC000596481530 349915125 /nfs/dbraw/zinc/91/51/25/349915125.db2.gz BSSDUSGQQLPWCC-WBMJQRKESA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@H](CCF)C1 ZINC000596480061 349914734 /nfs/dbraw/zinc/91/47/34/349914734.db2.gz YPNWIPBACMUZNS-SNVBAGLBSA-N 0 0 253.277 2.566 20 5 CFBDRN Cn1cccc1CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000075354363 347069600 /nfs/dbraw/zinc/06/96/00/347069600.db2.gz CQUPLNWTDWGDSP-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN Cc1nc(N2CCC[C@@H](CCF)C2)ccc1[N+](=O)[O-] ZINC000596481289 349915357 /nfs/dbraw/zinc/91/53/57/349915357.db2.gz WDKNEKQHDFYHOF-NSHDSACASA-N 0 0 267.304 2.874 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](CCF)C2)c(F)c1 ZINC000596481472 349915364 /nfs/dbraw/zinc/91/53/64/349915364.db2.gz XLWCXHCQBOTVQO-SECBINFHSA-N 0 0 271.267 2.705 20 5 CFBDRN C[C@@]1(CCCO)CCCN1c1ccc([N+](=O)[O-])cc1 ZINC000273210147 192075812 /nfs/dbraw/zinc/07/58/12/192075812.db2.gz GLEAOBQKNSFCPU-AWEZNQCLSA-N 0 0 264.325 2.726 20 5 CFBDRN CN(c1nc(-c2ccc([N+](=O)[O-])cc2)no1)C1CCC1 ZINC000427417107 530037987 /nfs/dbraw/zinc/03/79/87/530037987.db2.gz WVNSXWKEXDVGDY-UHFFFAOYSA-N 0 0 274.280 2.634 20 5 CFBDRN CN(CC(=O)OC(C)(C)C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000171668033 282361223 /nfs/dbraw/zinc/36/12/23/282361223.db2.gz OYJYNDVFEXSHLF-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H](CO)CC1CC1 ZINC000596493140 349918783 /nfs/dbraw/zinc/91/87/83/349918783.db2.gz VGZQPZJDUOYVIE-LBPRGKRZSA-N 0 0 264.325 2.724 20 5 CFBDRN CC[C@@H](O)CCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000127270439 187293058 /nfs/dbraw/zinc/29/30/58/187293058.db2.gz YSUBCXIKHQPCNG-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CC(=O)c1ccc(NC[C@H](CO)CC2CC2)c([N+](=O)[O-])c1 ZINC000596491521 349919021 /nfs/dbraw/zinc/91/90/21/349919021.db2.gz GTNQWNHIGGWKIW-GFCCVEGCSA-N 0 0 292.335 2.618 20 5 CFBDRN Cc1cccc(NC[C@H](CO)CC2CC2)c1[N+](=O)[O-] ZINC000596493643 349919760 /nfs/dbraw/zinc/91/97/60/349919760.db2.gz YZVBZXUTFWSQMG-GFCCVEGCSA-N 0 0 264.325 2.724 20 5 CFBDRN C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000075543061 347084144 /nfs/dbraw/zinc/08/41/44/347084144.db2.gz VTLWPCQTBVPDIP-AOOOYVTPSA-N 0 0 263.297 2.715 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2COCC2(C)C)c1 ZINC000312962424 260224000 /nfs/dbraw/zinc/22/40/00/260224000.db2.gz BEPPNNVKKPVAGW-LBPRGKRZSA-N 0 0 250.298 2.740 20 5 CFBDRN CCOc1cccc(N(C)Cc2cc(C)on2)c1[N+](=O)[O-] ZINC000273224331 192079986 /nfs/dbraw/zinc/07/99/86/192079986.db2.gz VTAMPXVXZBBPQI-UHFFFAOYSA-N 0 0 291.307 2.926 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CC[C@@H]1c1ccccc1 ZINC000596499832 349921162 /nfs/dbraw/zinc/92/11/62/349921162.db2.gz STLOZQNBKDQCIQ-VXGBXAGGSA-N 0 0 272.308 2.686 20 5 CFBDRN C[C@@H](CC(C)(C)O)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000295089884 199339585 /nfs/dbraw/zinc/33/95/85/199339585.db2.gz YZJCZTMJCJLQFU-ZETCQYMHSA-N 0 0 273.720 2.605 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000596502672 349922591 /nfs/dbraw/zinc/92/25/91/349922591.db2.gz HZNJHJJIDOZFJM-DOMZBBRYSA-N 0 0 291.351 2.775 20 5 CFBDRN Cc1cccc2c1OC[C@H](Nc1ccc([N+](=O)[O-])cn1)C2 ZINC000290683180 197787379 /nfs/dbraw/zinc/78/73/79/197787379.db2.gz IXOVISBRIWAUBB-GFCCVEGCSA-N 0 0 285.303 2.714 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2C[C@H](O)C23CCC3)c([N+](=O)[O-])c1 ZINC000596510125 349924780 /nfs/dbraw/zinc/92/47/80/349924780.db2.gz ODWQGRKLPGANTA-KGLIPLIRSA-N 0 0 290.319 2.513 20 5 CFBDRN CCC(CC)(CNc1ncc(C)cc1[N+](=O)[O-])C(=O)OC ZINC000443896551 534987871 /nfs/dbraw/zinc/98/78/71/534987871.db2.gz LYCPBMHILVANBA-UHFFFAOYSA-N 0 0 295.339 2.690 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N[C@@H]3C[C@@H](O)C34CCC4)ccnc21 ZINC000596509115 349923854 /nfs/dbraw/zinc/92/38/54/349923854.db2.gz NTDGJQWRQLHKFN-ZIAGYGMSSA-N 0 0 299.330 2.858 20 5 CFBDRN CCSC1(CNc2c([N+](=O)[O-])c(CC)nn2C)CC1 ZINC000596511717 349925376 /nfs/dbraw/zinc/92/53/76/349925376.db2.gz SREBNWRTIGPWMI-UHFFFAOYSA-N 0 0 284.385 2.588 20 5 CFBDRN Cc1ccc(OCC(=O)NC2CCCCC2)c([N+](=O)[O-])c1 ZINC000007461161 232172449 /nfs/dbraw/zinc/17/24/49/232172449.db2.gz OQJPZRQSTONKEJ-UHFFFAOYSA-N 0 0 292.335 2.731 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H](O)C23CCC3)c2ncccc12 ZINC000596513815 349926803 /nfs/dbraw/zinc/92/68/03/349926803.db2.gz WHGKBOZKLCZIDN-ZIAGYGMSSA-N 0 0 299.330 2.858 20 5 CFBDRN COC1(c2noc(-c3ccc(F)cc3[N+](=O)[O-])n2)CCC1 ZINC000273937349 192370519 /nfs/dbraw/zinc/37/05/19/192370519.db2.gz HXQHDVWTSHOJAD-UHFFFAOYSA-N 0 0 293.254 2.810 20 5 CFBDRN CCn1cc([C@H](C)Nc2ccccc2[N+](=O)[O-])cn1 ZINC000044497366 232174840 /nfs/dbraw/zinc/17/48/40/232174840.db2.gz CSKVIYUSOMARRX-JTQLQIEISA-N 0 0 260.297 2.984 20 5 CFBDRN Cc1cnc(N2CC3(CCCC3)OC[C@H]2C)c([N+](=O)[O-])c1 ZINC000596515678 349927404 /nfs/dbraw/zinc/92/74/04/349927404.db2.gz QJGBZJCMMDJYNQ-GFCCVEGCSA-N 0 0 291.351 2.836 20 5 CFBDRN CN(c1ccccc1)[C@H]1CCN(c2cccnc2[N+](=O)[O-])C1 ZINC000596518342 349927954 /nfs/dbraw/zinc/92/79/54/349927954.db2.gz UYZDHLXWUZONFZ-AWEZNQCLSA-N 0 0 298.346 2.705 20 5 CFBDRN CO[C@H](CNc1ncc(C)cc1[N+](=O)[O-])C1CCCC1 ZINC000596526033 349929633 /nfs/dbraw/zinc/92/96/33/349929633.db2.gz PBCBWQQSRHGKCW-CYBMUJFWSA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@H]2COC[C@H]2C1 ZINC000596526215 349929795 /nfs/dbraw/zinc/92/97/95/349929795.db2.gz CKXOGCUASSEUDY-NWDGAFQWSA-N 0 0 299.330 2.616 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000596524528 349930170 /nfs/dbraw/zinc/93/01/70/349930170.db2.gz CLIVHWJNRFJMQS-LBPRGKRZSA-N 0 0 292.383 2.907 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@@H]2COC[C@H]2C1 ZINC000596528160 349931292 /nfs/dbraw/zinc/93/12/92/349931292.db2.gz MEHBYFDVODIFLV-NXEZZACHSA-N 0 0 282.727 2.721 20 5 CFBDRN CO[C@@H](CNc1nccc(C)c1[N+](=O)[O-])C1CCCC1 ZINC000596528366 349931399 /nfs/dbraw/zinc/93/13/99/349931399.db2.gz YWOGFPDNKCANNN-LBPRGKRZSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1nn(C)c(NC2CCC(OC(C)C)CC2)c1[N+](=O)[O-] ZINC000130113341 232204740 /nfs/dbraw/zinc/20/47/40/232204740.db2.gz PZRRVVYWPZJPEX-UHFFFAOYSA-N 0 0 296.371 2.785 20 5 CFBDRN C[C@H]1CN(c2c(Cl)cccc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000596539490 349934944 /nfs/dbraw/zinc/93/49/44/349934944.db2.gz BSURZSRBKQDAFQ-ZANVPECISA-N 0 0 284.743 2.703 20 5 CFBDRN NC(=O)CC1(CNc2sccc2[N+](=O)[O-])CCCCC1 ZINC000596541271 349935692 /nfs/dbraw/zinc/93/56/92/349935692.db2.gz DDRLVYJHKZKGAJ-UHFFFAOYSA-N 0 0 297.380 2.894 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2C[C@]2(C)OC)c([N+](=O)[O-])c1 ZINC000596541031 349935804 /nfs/dbraw/zinc/93/58/04/349935804.db2.gz XBYBNXNUCLMPJG-KGLIPLIRSA-N 0 0 278.308 2.777 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cn1 ZINC000154565906 232225797 /nfs/dbraw/zinc/22/57/97/232225797.db2.gz IXUFRUPAYUYNLV-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1ccc(NC(=O)CCc2cccc([N+](=O)[O-])c2)cn1 ZINC000154502275 232225908 /nfs/dbraw/zinc/22/59/08/232225908.db2.gz WFSPUDANFUAZSM-UHFFFAOYSA-N 0 0 285.303 2.870 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCCOCC1CC1 ZINC000153532588 232221640 /nfs/dbraw/zinc/22/16/40/232221640.db2.gz ZKRGCTPMVXLTBN-UHFFFAOYSA-N 0 0 285.731 2.872 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])nc1)C1CCCCC1 ZINC000596543862 349937568 /nfs/dbraw/zinc/93/75/68/349937568.db2.gz VDNXSXFWUNKQEG-CYBMUJFWSA-N 0 0 279.340 2.997 20 5 CFBDRN Cc1c(CC(=O)Nc2nccs2)cccc1[N+](=O)[O-] ZINC000160032295 232246418 /nfs/dbraw/zinc/24/64/18/232246418.db2.gz WJTYMPNGFWFLDB-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)C[C@H](C)C1 ZINC000163415908 232257857 /nfs/dbraw/zinc/25/78/57/232257857.db2.gz KWNAIKLTIWFSGG-QWRGUYRKSA-N 0 0 291.351 2.806 20 5 CFBDRN C[C@@H](c1ccccc1)[C@@H](O)CNc1ncccc1[N+](=O)[O-] ZINC000596547716 349938580 /nfs/dbraw/zinc/93/85/80/349938580.db2.gz JLZASAOSLYOOAM-FZMZJTMJSA-N 0 0 287.319 2.566 20 5 CFBDRN C[C@H](c1ccccc1)[C@@H](O)CNc1ccc([N+](=O)[O-])nc1 ZINC000596547967 349938583 /nfs/dbraw/zinc/93/85/83/349938583.db2.gz OFMMAOCCWPWQPN-RISCZKNCSA-N 0 0 287.319 2.566 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)CC1=CCSC1 ZINC000596553122 349939823 /nfs/dbraw/zinc/93/98/23/349939823.db2.gz GPRPCGSYMRTQRX-UHFFFAOYSA-N 0 0 280.349 2.713 20 5 CFBDRN COc1cc(N(C)CC2=CCSC2)ccc1[N+](=O)[O-] ZINC000596554475 349939870 /nfs/dbraw/zinc/93/98/70/349939870.db2.gz QOQCKYYXZARSKH-UHFFFAOYSA-N 0 0 280.349 2.713 20 5 CFBDRN COc1cccc(N(C)CC2=CCSC2)c1[N+](=O)[O-] ZINC000596553755 349939928 /nfs/dbraw/zinc/93/99/28/349939928.db2.gz MFPDZGPJKMKGHL-UHFFFAOYSA-N 0 0 280.349 2.713 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)C[C@@H](C)C1 ZINC000163416273 232258101 /nfs/dbraw/zinc/25/81/01/232258101.db2.gz KWNAIKLTIWFSGG-GHMZBOCLSA-N 0 0 291.351 2.806 20 5 CFBDRN O=c1ccn(Cc2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] ZINC000174097595 232288140 /nfs/dbraw/zinc/28/81/40/232288140.db2.gz DZNXSEQJSLZDNS-UHFFFAOYSA-N 0 0 298.220 2.824 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCC1(C)CCC1 ZINC000175068834 232305605 /nfs/dbraw/zinc/30/56/05/232305605.db2.gz DBPKQMGYDYOFHS-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CCOC(=O)[C@]1(C)CCC[C@@H]1Nc1ncccc1[N+](=O)[O-] ZINC000596558259 349941836 /nfs/dbraw/zinc/94/18/36/349941836.db2.gz YIMKVYUWKRQNIN-SMDDNHRTSA-N 0 0 293.323 2.524 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)c(C)c1O ZINC000178780219 232387952 /nfs/dbraw/zinc/38/79/52/232387952.db2.gz JCFDCLUBJCUJBT-UHFFFAOYSA-N 0 0 276.248 2.763 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000178345496 232378398 /nfs/dbraw/zinc/37/83/98/232378398.db2.gz DBQCLJFCXYHRJP-TXEJJXNPSA-N 0 0 289.335 2.560 20 5 CFBDRN CC[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000005118559 346210561 /nfs/dbraw/zinc/21/05/61/346210561.db2.gz OVFSQFTYXBKQMN-QMMMGPOBSA-N 0 0 286.715 2.542 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@@H](OC(C)C)C2(C)C)ncc1[N+](=O)[O-] ZINC000295128283 199360338 /nfs/dbraw/zinc/36/03/38/199360338.db2.gz XSKLDIOYFZGGNW-VXGBXAGGSA-N 0 0 294.355 2.697 20 5 CFBDRN COc1cc(OC)cc(Oc2ncccc2[N+](=O)[O-])c1 ZINC000007186949 346217483 /nfs/dbraw/zinc/21/74/83/346217483.db2.gz LXNSLHBQVZYJJQ-UHFFFAOYSA-N 0 0 276.248 2.799 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCc1cccc(Cl)c1 ZINC000008337357 346223837 /nfs/dbraw/zinc/22/38/37/346223837.db2.gz YXOJXDCCUKFOOE-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN CC[C@H](CNC(=O)c1cc([N+](=O)[O-])c[nH]1)c1ccccc1 ZINC000008403667 346224161 /nfs/dbraw/zinc/22/41/61/346224161.db2.gz SGPAOURACYCWSQ-LLVKDONJSA-N 0 0 287.319 2.847 20 5 CFBDRN COc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)cn1 ZINC000016199978 346237375 /nfs/dbraw/zinc/23/73/75/346237375.db2.gz BDOLKGSVMCYTKN-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N1CCCC1 ZINC000016445428 346238330 /nfs/dbraw/zinc/23/83/30/346238330.db2.gz XJEZYJPLGMSQOX-VIFPVBQESA-N 0 0 297.742 2.671 20 5 CFBDRN CN(Cc1ccsc1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000024383729 346254827 /nfs/dbraw/zinc/25/48/27/346254827.db2.gz MYFDZOHIQSXYGW-UHFFFAOYSA-N 0 0 266.278 2.522 20 5 CFBDRN CC12CC(C1)CN2c1ncc(Cl)cc1[N+](=O)[O-] ZINC000596574580 349945181 /nfs/dbraw/zinc/94/51/81/349945181.db2.gz KISMNHYRMKBKBE-UHFFFAOYSA-N 0 0 253.689 2.632 20 5 CFBDRN C[C@H]1CN(c2sccc2[N+](=O)[O-])C[C@@](C)(C(F)F)O1 ZINC000596573014 349945261 /nfs/dbraw/zinc/94/52/61/349945261.db2.gz JLCPZNOAXAJYSU-CPCISQLKSA-N 0 0 292.307 2.905 20 5 CFBDRN C[C@]1(C(F)F)CN(c2sccc2[N+](=O)[O-])CCO1 ZINC000596573104 349945344 /nfs/dbraw/zinc/94/53/44/349945344.db2.gz URIACSDJNPBLMP-SNVBAGLBSA-N 0 0 278.280 2.517 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCc1noc(CCCF)n1 ZINC000596582943 349947183 /nfs/dbraw/zinc/94/71/83/349947183.db2.gz NFRVYCSBRTXQQJ-UHFFFAOYSA-N 0 0 294.286 2.535 20 5 CFBDRN Cc1nc(COc2cccnc2[N+](=O)[O-])nc2ccccc12 ZINC000025853352 346261795 /nfs/dbraw/zinc/26/17/95/346261795.db2.gz BJRWYXGDTCSNFV-UHFFFAOYSA-N 0 0 296.286 2.820 20 5 CFBDRN C[C@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000025771851 346262084 /nfs/dbraw/zinc/26/20/84/346262084.db2.gz VXRPIXPYHYPZEC-NSHDSACASA-N 0 0 291.351 2.702 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CSc3nncs3)nc2c1 ZINC000026439967 346265247 /nfs/dbraw/zinc/26/52/47/346265247.db2.gz SENYSNNUUMOOON-UHFFFAOYSA-N 0 0 293.333 2.615 20 5 CFBDRN C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000027915816 346274341 /nfs/dbraw/zinc/27/43/41/346274341.db2.gz COUHYSMGXYALAP-PRHODGIISA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1CCCC[C@@H]1N(C)C(=O)c1cc([N+](=O)[O-])cn1C ZINC000030378154 346287538 /nfs/dbraw/zinc/28/75/38/346287538.db2.gz OGFNRYIZBBUZDG-JQWIXIFHSA-N 0 0 279.340 2.584 20 5 CFBDRN CC(C)CSCCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000041302904 346349378 /nfs/dbraw/zinc/34/93/78/346349378.db2.gz CVIBNKNGORNHIE-UHFFFAOYSA-N 0 0 282.365 2.714 20 5 CFBDRN CCCCCNC(=O)COc1cc([N+](=O)[O-])ccc1C ZINC000042180332 346361988 /nfs/dbraw/zinc/36/19/88/346361988.db2.gz FZSCFGQUNDQECA-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN Cc1cccc(OCC(=O)c2ccn(C)c2)c1[N+](=O)[O-] ZINC000037991057 346336278 /nfs/dbraw/zinc/33/62/78/346336278.db2.gz LNJGQQMWNVKUHR-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN COc1ccnc(Oc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000042847989 346371819 /nfs/dbraw/zinc/37/18/19/346371819.db2.gz OMJYIBINMLEMIE-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN CS[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000042857554 346372629 /nfs/dbraw/zinc/37/26/29/346372629.db2.gz JQWSSQXGBSMWNZ-ZCFIWIBFSA-N 0 0 274.729 2.938 20 5 CFBDRN COc1ccc(C(=O)NCc2ccsc2)cc1[N+](=O)[O-] ZINC000042938055 346373679 /nfs/dbraw/zinc/37/36/79/346373679.db2.gz KJBHKFHXHMKMER-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN CC1CCC(NC(=O)NCc2ccccc2[N+](=O)[O-])CC1 ZINC000043074275 346378534 /nfs/dbraw/zinc/37/85/34/346378534.db2.gz KESOGRYLYQOVQC-UHFFFAOYSA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1c(NC(=O)N[C@H](C)[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000043346637 346382474 /nfs/dbraw/zinc/38/24/74/346382474.db2.gz RQYOWCGGIUCRLJ-MFKMUULPSA-N 0 0 293.323 2.592 20 5 CFBDRN CCO[C@@H](C)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000098036198 185676387 /nfs/dbraw/zinc/67/63/87/185676387.db2.gz DCMGEDRYFAGWBT-LBPRGKRZSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1ccn([C@@H](C)CC(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000596621351 349959346 /nfs/dbraw/zinc/95/93/46/349959346.db2.gz ZUPGHKUOFDTGSH-NSHDSACASA-N 0 0 288.307 2.690 20 5 CFBDRN Cc1ccc(C(=O)N(C)CC(C)C)cc1[N+](=O)[O-] ZINC000045468941 346409591 /nfs/dbraw/zinc/40/95/91/346409591.db2.gz DYBWGUCTUUVCKF-UHFFFAOYSA-N 0 0 250.298 2.631 20 5 CFBDRN CCNC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 ZINC000045165556 346404317 /nfs/dbraw/zinc/40/43/17/346404317.db2.gz YQKFEUKEUFTXMD-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN COCCN(C(=O)c1csc([N+](=O)[O-])c1)C1CCCC1 ZINC000044486173 346394237 /nfs/dbraw/zinc/39/42/37/346394237.db2.gz UEAZJOVELZTZBU-UHFFFAOYSA-N 0 0 298.364 2.688 20 5 CFBDRN Cc1cc(C(=O)N(C)C2CCCCC2)c(N)c([N+](=O)[O-])c1 ZINC000193329224 232491645 /nfs/dbraw/zinc/49/16/45/232491645.db2.gz WJINOYYFNBZTDI-UHFFFAOYSA-N 0 0 291.351 2.890 20 5 CFBDRN Cc1ccn([C@H](C)CC(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000596621350 349959529 /nfs/dbraw/zinc/95/95/29/349959529.db2.gz ZUPGHKUOFDTGSH-LLVKDONJSA-N 0 0 288.307 2.690 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccccc3)C2)cn1 ZINC000075667533 347091329 /nfs/dbraw/zinc/09/13/29/347091329.db2.gz BFCRCYKEWMZLRL-CQSZACIVSA-N 0 0 285.303 2.568 20 5 CFBDRN Cc1ccc(-c2ccc3c(c2)C[C@H](CO)O3)cc1[N+](=O)[O-] ZINC000596639195 349965213 /nfs/dbraw/zinc/96/52/13/349965213.db2.gz LBCQYFKLONJNJK-CQSZACIVSA-N 0 0 285.299 2.866 20 5 CFBDRN CO[C@H](C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)C1CCC1 ZINC000596633532 349962747 /nfs/dbraw/zinc/96/27/47/349962747.db2.gz JSXNUPQBXPVJRZ-AWEZNQCLSA-N 0 0 292.335 2.965 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCc1ccon1 ZINC000596633465 349963021 /nfs/dbraw/zinc/96/30/21/349963021.db2.gz IAMSUNXANIERHW-UHFFFAOYSA-N 0 0 289.291 2.771 20 5 CFBDRN Cc1cccc(CNCc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000048329762 346472054 /nfs/dbraw/zinc/47/20/54/346472054.db2.gz BPNLGNWFSUEXOL-UHFFFAOYSA-N 0 0 271.320 2.896 20 5 CFBDRN O=C(NCC1CCCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048320671 346472131 /nfs/dbraw/zinc/47/21/31/346472131.db2.gz LLBJRLKVNWXKBY-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN CC1(C)C(=O)N[C@@H]1c1ccc(-c2ccc([N+](=O)[O-])nc2)cc1 ZINC000596636045 349964249 /nfs/dbraw/zinc/96/42/49/349964249.db2.gz IZLPYNZKWZJZOK-CQSZACIVSA-N 0 0 297.314 2.854 20 5 CFBDRN Cc1sc(-c2ccc(F)cc2[N+](=O)[O-])nc1CCO ZINC000596637376 349964252 /nfs/dbraw/zinc/96/42/52/349964252.db2.gz JNJVJULJJGALNU-UHFFFAOYSA-N 0 0 282.296 2.701 20 5 CFBDRN C[C@@H]1CN(CCCNc2ccc([N+](=O)[O-])s2)C[C@H](C)O1 ZINC000048999596 346477846 /nfs/dbraw/zinc/47/78/46/346477846.db2.gz LGJHUYSWVOHJRH-PHIMTYICSA-N 0 0 299.396 2.568 20 5 CFBDRN COc1cc(CNc2ccc([N+](=O)[O-])s2)ccn1 ZINC000048999609 346477910 /nfs/dbraw/zinc/47/79/10/346477910.db2.gz RNCDZJNROQQCGQ-UHFFFAOYSA-N 0 0 265.294 2.672 20 5 CFBDRN CC(=O)Nc1cccc(CNc2ncccc2[N+](=O)[O-])c1 ZINC000052130390 346515256 /nfs/dbraw/zinc/51/52/56/346515256.db2.gz TUIPXBDPLGSVPD-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN Cc1ccc(-c2cc([N+](=O)[O-])ccc2CCO)cc1CO ZINC000596647645 349967564 /nfs/dbraw/zinc/96/75/64/349967564.db2.gz SXTOODIDXYQETI-UHFFFAOYSA-N 0 0 287.315 2.597 20 5 CFBDRN CCCC(C)(C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000050498592 346495988 /nfs/dbraw/zinc/49/59/88/346495988.db2.gz ZRHPOAIFGXAPBU-UHFFFAOYSA-N 0 0 280.324 2.669 20 5 CFBDRN Cc1ccc(F)cc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000051202023 346501194 /nfs/dbraw/zinc/50/11/94/346501194.db2.gz CIGWNYDBIUJWFE-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN C[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CC1 ZINC000052610817 346525382 /nfs/dbraw/zinc/52/53/82/346525382.db2.gz FHXCETRVGSHJKA-MRVPVSSYSA-N 0 0 273.292 2.604 20 5 CFBDRN CCC(C)(C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000052604783 346525708 /nfs/dbraw/zinc/52/57/08/346525708.db2.gz NIRJXWDCERIFGA-UHFFFAOYSA-N 0 0 275.308 2.995 20 5 CFBDRN Cc1ccc(CC(=O)NCCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000053217625 346541134 /nfs/dbraw/zinc/54/11/34/346541134.db2.gz RVOPAZABQDDXEM-UHFFFAOYSA-N 0 0 298.342 2.805 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCc2ccccc21 ZINC000053777999 346552369 /nfs/dbraw/zinc/55/23/69/346552369.db2.gz DIXJNCUYPXNQHL-OAHLLOKOSA-N 0 0 297.314 2.716 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCc3cc(F)ccc32)c1[N+](=O)[O-] ZINC000057141744 346620051 /nfs/dbraw/zinc/62/00/51/346620051.db2.gz JVXGUMQKAUGYBY-GFCCVEGCSA-N 0 0 290.298 2.875 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@@H]1c1ccccc1 ZINC000054950919 346579889 /nfs/dbraw/zinc/57/98/89/346579889.db2.gz KRGZOBORSOYLDK-CQSZACIVSA-N 0 0 285.303 2.900 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccccn1 ZINC000055520422 346591661 /nfs/dbraw/zinc/59/16/61/346591661.db2.gz ALJZCKANJLSCSU-HNNXBMFYSA-N 0 0 297.314 2.967 20 5 CFBDRN Cc1ccc(CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C2CC2)o1 ZINC000056327984 346606876 /nfs/dbraw/zinc/60/68/76/346606876.db2.gz BKFQWOWEODUSCC-UHFFFAOYSA-N 0 0 289.291 2.629 20 5 CFBDRN CCO[C@@H](CC)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000057226425 346622984 /nfs/dbraw/zinc/62/29/84/346622984.db2.gz ZUBABNGFEWDTCJ-MFKMUULPSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cc(NC2CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000054389445 346567718 /nfs/dbraw/zinc/56/77/18/346567718.db2.gz WXXCLRMSIDMASK-UHFFFAOYSA-N 0 0 270.292 2.935 20 5 CFBDRN COc1cc(N2C[C@@H](C)OC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000172823005 136562729 /nfs/dbraw/zinc/56/27/29/136562729.db2.gz OOKNIWDWWLATIF-SNVBAGLBSA-N 0 0 280.324 2.607 20 5 CFBDRN CC(=O)Nc1cccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000060515175 346667550 /nfs/dbraw/zinc/66/75/50/346667550.db2.gz XPENDOYEAIFPCC-UHFFFAOYSA-N 0 0 299.286 2.806 20 5 CFBDRN Cc1c(OCC(=O)N2CCC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000060810109 346671658 /nfs/dbraw/zinc/67/16/58/346671658.db2.gz MVSNTVIZPQZCCB-LLVKDONJSA-N 0 0 292.335 2.541 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCOC2CCCC2)nc1 ZINC000057830609 346637930 /nfs/dbraw/zinc/63/79/30/346637930.db2.gz KOVRVOYNMBCHNY-UHFFFAOYSA-N 0 0 265.313 2.751 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000063815529 346711247 /nfs/dbraw/zinc/71/12/47/346711247.db2.gz IBKNWUHLRZWAPP-ZDUSSCGKSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Cn1cc([N+](=O)[O-])ccc1=O ZINC000563441582 290152406 /nfs/dbraw/zinc/15/24/06/290152406.db2.gz YBWQAFDSNSKXJA-MNOVXSKESA-N 0 0 250.298 2.583 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccoc1 ZINC000063446832 346700063 /nfs/dbraw/zinc/70/00/63/346700063.db2.gz BIHFYDZCABSVDA-UHFFFAOYSA-N 0 0 250.185 2.579 20 5 CFBDRN COC(=O)N1CCC(Nc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000066387572 346757742 /nfs/dbraw/zinc/75/77/42/346757742.db2.gz LZEDVNSCSQCSFG-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN COc1ccc2c(c1)CN(c1ccncc1[N+](=O)[O-])CC2 ZINC000066810534 346780430 /nfs/dbraw/zinc/78/04/30/346780430.db2.gz LQHUZKLUIWABNZ-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN CCC1(CO)CCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000270775075 136615383 /nfs/dbraw/zinc/61/53/83/136615383.db2.gz MVVPZFTYNYNSRP-UHFFFAOYSA-N 0 0 282.315 2.723 20 5 CFBDRN CCCCN(CCCC)C(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000065780308 346741333 /nfs/dbraw/zinc/74/13/33/346741333.db2.gz MVFLBJMUIDLMCY-UHFFFAOYSA-N 0 0 296.371 2.610 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCCn1cnc2ccccc21 ZINC000066796857 346779655 /nfs/dbraw/zinc/77/96/55/346779655.db2.gz YGPHQGBDJZGQQA-UHFFFAOYSA-N 0 0 297.318 2.842 20 5 CFBDRN O=[N+]([O-])c1cnccc1Oc1ccc(-c2nnco2)cc1 ZINC000066796425 346779931 /nfs/dbraw/zinc/77/99/31/346779931.db2.gz AMTFYVYVVJEVTD-UHFFFAOYSA-N 0 0 284.231 2.832 20 5 CFBDRN Cc1ccnc(N2CCC(C3CC3)CC2)c1[N+](=O)[O-] ZINC000368044928 302269249 /nfs/dbraw/zinc/26/92/49/302269249.db2.gz PGILHUHGLPBHFX-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C)S[C@H](C)C1 ZINC000075893437 347106725 /nfs/dbraw/zinc/10/67/25/347106725.db2.gz JPRHLHQUUWILMC-GHMZBOCLSA-N 0 0 296.392 2.929 20 5 CFBDRN CCOC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000067057460 346790098 /nfs/dbraw/zinc/79/00/98/346790098.db2.gz KXFCFLIXPKOFMR-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CCCc1nc(COc2ccc([N+](=O)[O-])cc2)no1 ZINC000067096029 346791577 /nfs/dbraw/zinc/79/15/77/346791577.db2.gz OCEJONBLUHYNPD-UHFFFAOYSA-N 0 0 263.253 2.509 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1c1ccccc1)c1ccc([N+](=O)[O-])s1 ZINC000067503468 346806212 /nfs/dbraw/zinc/80/62/12/346806212.db2.gz KKEPBRFKGBHSGQ-GHMZBOCLSA-N 0 0 288.328 2.942 20 5 CFBDRN C[C@H]1CCCC[C@@H]1OCCNc1ccc([N+](=O)[O-])nc1 ZINC000076797580 347154152 /nfs/dbraw/zinc/15/41/52/347154152.db2.gz CHLAKWJEYDBJKN-AAEUAGOBSA-N 0 0 279.340 2.997 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCSCC2)c(F)c1 ZINC000076827194 347156115 /nfs/dbraw/zinc/15/61/15/347156115.db2.gz ULLCWZNHCMONCJ-UHFFFAOYSA-N 0 0 256.302 2.677 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2CCOc3ccccc3C2)c1 ZINC000076849062 347156864 /nfs/dbraw/zinc/15/68/64/347156864.db2.gz DLLFJVJTKSLRKZ-UHFFFAOYSA-N 0 0 284.315 2.989 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H](F)C(C)C)ccc1[N+](=O)[O-] ZINC000823366365 781926895 /nfs/dbraw/zinc/92/68/95/781926895.db2.gz QFKZIKONUVYDIV-LBPRGKRZSA-N 0 0 284.287 2.926 20 5 CFBDRN CC(=O)c1ccc(NC[C@H](C)C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000077228750 347178604 /nfs/dbraw/zinc/17/86/04/347178604.db2.gz ROKKFVOMMVPHJX-ZJUUUORDSA-N 0 0 280.324 2.616 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1ccc([N+](=O)[O-])cc1F ZINC000077228771 347178634 /nfs/dbraw/zinc/17/86/34/347178634.db2.gz ZAWVVIGCMKEGQP-DTWKUNHWSA-N 0 0 256.277 2.553 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CCO)c2ccccc2)nc1 ZINC000077231854 347178642 /nfs/dbraw/zinc/17/86/42/347178642.db2.gz AKCMPYDGIIJFCG-ZDUSSCGKSA-N 0 0 287.319 2.568 20 5 CFBDRN C[C@H](CCc1cccn1C)Nc1ccc([N+](=O)[O-])nc1 ZINC000078423418 347250917 /nfs/dbraw/zinc/25/09/17/347250917.db2.gz RGUHSAPBOFRPLU-LLVKDONJSA-N 0 0 274.324 2.762 20 5 CFBDRN O=[N+]([O-])c1cnn(CCc2ncc(-c3ccccc3)o2)c1 ZINC000077931461 347220474 /nfs/dbraw/zinc/22/04/74/347220474.db2.gz GFSPEFLEIPKHBW-UHFFFAOYSA-N 0 0 284.275 2.689 20 5 CFBDRN CCC[C@H](C)N(C)c1nccc(C(=O)OCC)c1[N+](=O)[O-] ZINC000077928326 347220495 /nfs/dbraw/zinc/22/04/95/347220495.db2.gz VWKLGSHCDJYQBC-JTQLQIEISA-N 0 0 295.339 2.791 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1ncc([N+](=O)[O-])cc1C ZINC000077991346 347223165 /nfs/dbraw/zinc/22/31/65/347223165.db2.gz WOUSIVFSCCVNRL-SECBINFHSA-N 0 0 290.323 2.946 20 5 CFBDRN CC[C@@H](COC)Nc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000077995272 347223913 /nfs/dbraw/zinc/22/39/13/347223913.db2.gz KYDOYOCWYKHKJI-NSHDSACASA-N 0 0 266.297 2.634 20 5 CFBDRN Cc1ccnc(NCc2nccn2CC(C)C)c1[N+](=O)[O-] ZINC000078336772 347242657 /nfs/dbraw/zinc/24/26/57/347242657.db2.gz RNDYRSDZZQZZFD-UHFFFAOYSA-N 0 0 289.339 2.763 20 5 CFBDRN C[C@H](O)CC(C)(C)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000078339255 347243400 /nfs/dbraw/zinc/24/34/00/347243400.db2.gz SUBQOEVRXPIEQV-QMMMGPOBSA-N 0 0 298.368 2.513 20 5 CFBDRN CCc1ccc([C@H](COC)Nc2ncccc2[N+](=O)[O-])o1 ZINC000078341240 347243546 /nfs/dbraw/zinc/24/35/46/347243546.db2.gz XGJGEBMQKKABBV-NSHDSACASA-N 0 0 291.307 2.945 20 5 CFBDRN CCC1(O)CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000078452712 347253617 /nfs/dbraw/zinc/25/36/17/347253617.db2.gz XRHKUJJMNQWGTN-UHFFFAOYSA-N 0 0 284.743 2.990 20 5 CFBDRN C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H](C)S1 ZINC000078480582 347256001 /nfs/dbraw/zinc/25/60/01/347256001.db2.gz QUZMHOWMZJUUQB-HTQZYQBOSA-N 0 0 287.772 2.973 20 5 CFBDRN COCc1ccc(CNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000078503013 347257722 /nfs/dbraw/zinc/25/77/22/347257722.db2.gz XKNJHHQYPOMUHS-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN Cc1ccnc(Nc2cnn(CC(C)C)c2)c1[N+](=O)[O-] ZINC000078521940 347259759 /nfs/dbraw/zinc/25/97/59/347259759.db2.gz MDIAXXIPANAPEB-UHFFFAOYSA-N 0 0 275.312 2.894 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000078591387 347264335 /nfs/dbraw/zinc/26/43/35/347264335.db2.gz JSPFIFLEOJOSLR-MRVPVSSYSA-N 0 0 254.311 2.528 20 5 CFBDRN Cc1ccccc1OCCN(C)c1ccc([N+](=O)[O-])nc1 ZINC000078596586 347264513 /nfs/dbraw/zinc/26/45/13/347264513.db2.gz SRESVCGBPBWSLE-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN Cc1cnc(NCc2cccc(N(C)C)c2)c([N+](=O)[O-])c1 ZINC000078656407 347268291 /nfs/dbraw/zinc/26/82/91/347268291.db2.gz IEVMBCDRSTUHIF-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CCn1nc(C)c(CNc2ncc(C)cc2[N+](=O)[O-])c1C ZINC000078658553 347268405 /nfs/dbraw/zinc/26/84/05/347268405.db2.gz YDURGHWGYOPIAA-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN Cc1cnc(N2C[C@@H](C)S[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000078670295 347268997 /nfs/dbraw/zinc/26/89/97/347268997.db2.gz LZMWGPSSSHJKTK-AOOOYVTPSA-N 0 0 267.354 2.628 20 5 CFBDRN CN(C)C(=O)c1cccc(NCc2ccccc2[N+](=O)[O-])c1 ZINC000078992838 347283904 /nfs/dbraw/zinc/28/39/04/347283904.db2.gz QPSNZNASVBMKRL-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN Cc1cnc(N2CCSCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000276833065 302351919 /nfs/dbraw/zinc/35/19/19/302351919.db2.gz OUBHZRBAAXMXIL-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1cc(N2CCC[C@@H](CO)C2)c(Cl)cc1[N+](=O)[O-] ZINC000080531049 347364302 /nfs/dbraw/zinc/36/43/02/347364302.db2.gz DBDUQMRYGUSJRD-SNVBAGLBSA-N 0 0 284.743 2.765 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NCc2ccccn2)c1 ZINC000080530318 347364661 /nfs/dbraw/zinc/36/46/61/347364661.db2.gz OHUJIFPHLJLPHL-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN Cc1ccc(N(C)Cc2ccncc2)c([N+](=O)[O-])c1 ZINC000080543879 347365499 /nfs/dbraw/zinc/36/54/99/347365499.db2.gz RUGMLSATELHJAU-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cc1cc(Oc2ccc([N+](=O)[O-])cc2)ncc1[N+](=O)[O-] ZINC000080584545 347367870 /nfs/dbraw/zinc/36/78/70/347367870.db2.gz KJMZTFORRVXINC-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2ccccc2F)ncc1[N+](=O)[O-] ZINC000080598089 347368963 /nfs/dbraw/zinc/36/89/63/347368963.db2.gz ULIKXDJPYWHWBO-CYBMUJFWSA-N 0 0 291.282 2.583 20 5 CFBDRN CNC(=O)C1CCC(Nc2ccc([N+](=O)[O-])cc2C)CC1 ZINC000080607385 347369521 /nfs/dbraw/zinc/36/95/21/347369521.db2.gz IFNGMBXVJTYTDQ-UHFFFAOYSA-N 0 0 291.351 2.620 20 5 CFBDRN Cc1ccc(NCCCOC2CCOCC2)c([N+](=O)[O-])c1 ZINC000080648954 347371831 /nfs/dbraw/zinc/37/18/31/347371831.db2.gz FFQJASFUVDCFLK-UHFFFAOYSA-N 0 0 294.351 2.901 20 5 CFBDRN CCOc1cc(N2CCC[C@@H](OCC)C2)ccc1[N+](=O)[O-] ZINC000080786138 347380835 /nfs/dbraw/zinc/38/08/35/347380835.db2.gz DTLZBMUALVTVEW-CYBMUJFWSA-N 0 0 294.351 2.999 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000080969793 347390641 /nfs/dbraw/zinc/39/06/41/347390641.db2.gz ZHSMYSUTTQLOKB-CMDGGOBGSA-N 0 0 285.303 2.595 20 5 CFBDRN Cc1ccnc(N2CC[C@@H](OCCC(C)C)C2)c1[N+](=O)[O-] ZINC000081016740 347396395 /nfs/dbraw/zinc/39/63/95/347396395.db2.gz AXCJDSZTAMTEJT-CYBMUJFWSA-N 0 0 293.367 2.940 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCC1CC1 ZINC000081022713 347397319 /nfs/dbraw/zinc/39/73/19/347397319.db2.gz OMVHILTVCFWYAK-SNVBAGLBSA-N 0 0 294.376 2.992 20 5 CFBDRN Cc1c(NC(=O)NCc2ccn(C)c2)cccc1[N+](=O)[O-] ZINC000081510019 347422529 /nfs/dbraw/zinc/42/25/29/347422529.db2.gz SWTIIGAGCLCNGD-UHFFFAOYSA-N 0 0 288.307 2.563 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC[C@H]3CCCCO3)c2c1 ZINC000081589672 347428093 /nfs/dbraw/zinc/42/80/93/347428093.db2.gz DYPJXVJOESIHFH-LLVKDONJSA-N 0 0 288.307 2.519 20 5 CFBDRN Cc1noc(C)c1N(C)CCOc1cccc([N+](=O)[O-])c1 ZINC000081601558 347429073 /nfs/dbraw/zinc/42/90/73/347429073.db2.gz FTTWLVXHXZKEER-UHFFFAOYSA-N 0 0 291.307 2.715 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2CC[C@@H]1CCOC1 ZINC000400970619 232678207 /nfs/dbraw/zinc/67/82/07/232678207.db2.gz MKLCUWVOWSRYIW-LLVKDONJSA-N 0 0 260.293 2.976 20 5 CFBDRN CC(C)c1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)nn1C ZINC000081821475 347437457 /nfs/dbraw/zinc/43/74/57/347437457.db2.gz NTYHUULLANMWIR-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1cccc(O)c1 ZINC000082260049 347442028 /nfs/dbraw/zinc/44/20/28/347442028.db2.gz KJPIUDHHTRRTBW-UHFFFAOYSA-N 0 0 258.233 2.553 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000083062156 347448088 /nfs/dbraw/zinc/44/80/88/347448088.db2.gz JDEYKLONLLEDFW-UHFFFAOYSA-N 0 0 274.280 2.587 20 5 CFBDRN Cc1cccc(NCc2ccccc2[N+](=O)[O-])c1C(N)=O ZINC000083135022 347448897 /nfs/dbraw/zinc/44/88/97/347448897.db2.gz COOMEHDHYTZITH-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H](C)n1cccn1 ZINC000086168106 347483995 /nfs/dbraw/zinc/48/39/95/347483995.db2.gz MZFSFLZJKHXVNE-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN Cc1cc(CC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)no1 ZINC000086167613 179242226 /nfs/dbraw/zinc/24/22/26/179242226.db2.gz QGRJLUYTQDLZJK-UHFFFAOYSA-N 0 0 289.291 2.689 20 5 CFBDRN CC(C)n1ccc(CNCc2ccc([N+](=O)[O-])cc2)n1 ZINC000084225365 347460132 /nfs/dbraw/zinc/46/01/32/347460132.db2.gz SFRKHHLMQSIVPM-UHFFFAOYSA-N 0 0 274.324 2.662 20 5 CFBDRN O=C(CCC1CC1)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231676 347499634 /nfs/dbraw/zinc/49/96/34/347499634.db2.gz GXGNGYJYAWFZOB-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1ncccc1F ZINC000084483772 347465368 /nfs/dbraw/zinc/46/53/68/347465368.db2.gz COLOXXAEVSBTRU-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOC[C@H]2CCCO2)c1 ZINC000088698951 347507141 /nfs/dbraw/zinc/50/71/41/347507141.db2.gz CDPDQOZNKSSHDO-CYBMUJFWSA-N 0 0 294.351 2.901 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ccc(C(N)=O)cc2)c1 ZINC000088698814 347507205 /nfs/dbraw/zinc/50/72/05/347507205.db2.gz ODTOIYRUSQYPDX-UHFFFAOYSA-N 0 0 272.260 2.794 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@H](C)Nc1cc(C)ccc1[N+](=O)[O-] ZINC000088715915 347507696 /nfs/dbraw/zinc/50/76/96/347507696.db2.gz NTHUZICOZLYUAO-MNOVXSKESA-N 0 0 279.340 2.618 20 5 CFBDRN CC[C@H]1CN(c2cc(C)ccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000088722210 347507920 /nfs/dbraw/zinc/50/79/20/347507920.db2.gz ZIVAYBAIBIKWPV-RYUDHWBXSA-N 0 0 264.325 2.907 20 5 CFBDRN CCN(Cc1cnn(C)c1)c1cc(C)ccc1[N+](=O)[O-] ZINC000088715891 347507955 /nfs/dbraw/zinc/50/79/55/347507955.db2.gz YZIBLBQAMDQUBG-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](O)C(C)(C)C)c1 ZINC000088722794 347508073 /nfs/dbraw/zinc/50/80/73/347508073.db2.gz RGORMTGJNSKOEH-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOC[C@@H]2CCCO2)c1 ZINC000088723418 347508426 /nfs/dbraw/zinc/50/84/26/347508426.db2.gz GGHAUHTZYXBGTE-LBPRGKRZSA-N 0 0 280.324 2.511 20 5 CFBDRN COCCOC1CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000088723872 347508685 /nfs/dbraw/zinc/50/86/85/347508685.db2.gz GDJYRCTXFGHYKV-UHFFFAOYSA-N 0 0 294.351 2.535 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(SCC(=O)OC(C)C)c1 ZINC000088725792 347508735 /nfs/dbraw/zinc/50/87/35/347508735.db2.gz AYUNCKGKSPTTMY-UHFFFAOYSA-N 0 0 269.322 2.947 20 5 CFBDRN CN(CCOCC1CC1)c1ncc([N+](=O)[O-])cc1Cl ZINC000088761864 347509950 /nfs/dbraw/zinc/50/99/50/347509950.db2.gz JXQRXOKAJLMENN-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(c1ncccc1F)CC2 ZINC000088763828 347509962 /nfs/dbraw/zinc/50/99/62/347509962.db2.gz YXOUGFSGSLGRHB-UHFFFAOYSA-N 0 0 273.267 2.692 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000411088915 232720699 /nfs/dbraw/zinc/72/06/99/232720699.db2.gz QHKMLVXTBYQJQG-NSHDSACASA-N 0 0 292.335 2.763 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)cc1C ZINC000089917836 347531833 /nfs/dbraw/zinc/53/18/33/347531833.db2.gz NKOHAIKKIPIIOJ-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN COc1ccnc(NC(=O)c2ccc([N+](=O)[O-])cc2C)c1 ZINC000089982841 347534220 /nfs/dbraw/zinc/53/42/20/347534220.db2.gz SZUAUPODDOCFTJ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CC[C@H](CSC)N(C)c1ncccc1[N+](=O)[O-] ZINC000091022420 347567243 /nfs/dbraw/zinc/56/72/43/347567243.db2.gz ZPJDICXJMROBRK-SECBINFHSA-N 0 0 255.343 2.568 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000091458049 347587685 /nfs/dbraw/zinc/58/76/85/347587685.db2.gz VMJWUCACCISKON-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN COC(=O)[C@H](Nc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000091485421 347590586 /nfs/dbraw/zinc/59/05/86/347590586.db2.gz KLMLHCUJSQTSFF-NSHDSACASA-N 0 0 266.297 2.594 20 5 CFBDRN CCC(CC)CN(CC)c1ccc2ncc([N+](=O)[O-])n2n1 ZINC000091503899 347590753 /nfs/dbraw/zinc/59/07/53/347590753.db2.gz VPGFSPALRRJPGH-UHFFFAOYSA-N 0 0 291.355 2.900 20 5 CFBDRN NC(=O)C[C@@H](Nc1ccc([N+](=O)[O-])cc1)C1CCCCC1 ZINC000091505266 347591427 /nfs/dbraw/zinc/59/14/27/347591427.db2.gz RMLYFHFDLWUBQH-CQSZACIVSA-N 0 0 291.351 2.831 20 5 CFBDRN COc1cc(F)cc(CNc2ccc([N+](=O)[O-])cn2)c1 ZINC000091684744 347595340 /nfs/dbraw/zinc/59/53/40/347595340.db2.gz PVLQHBIAHMZXOH-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN CC[C@H](NC(=O)[C@@H]1C[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000090466280 347550999 /nfs/dbraw/zinc/55/09/99/347550999.db2.gz ZXXYSEOIRSCATE-WQAKAFBOSA-N 0 0 262.309 2.818 20 5 CFBDRN Cc1nnc(Sc2ncc([N+](=O)[O-])cc2C)s1 ZINC000092582395 347632749 /nfs/dbraw/zinc/63/27/49/347632749.db2.gz QXCYWBBBHQIATP-UHFFFAOYSA-N 0 0 268.323 2.609 20 5 CFBDRN CNc1ccc(Nc2cc(OC)cc(F)c2[N+](=O)[O-])cn1 ZINC000092612342 347635080 /nfs/dbraw/zinc/63/50/80/347635080.db2.gz ZNLBMPTXCUNKKF-UHFFFAOYSA-N 0 0 292.270 2.923 20 5 CFBDRN CC[C@H](C)NC(=O)[C@H](C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000092624286 347635840 /nfs/dbraw/zinc/63/58/40/347635840.db2.gz GCQILTBRUMTLCZ-QWRGUYRKSA-N 0 0 279.340 2.618 20 5 CFBDRN CN(CC(F)F)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092650012 347636815 /nfs/dbraw/zinc/63/68/15/347636815.db2.gz ODZHWLPLYGBJEK-UHFFFAOYSA-N 0 0 282.193 2.898 20 5 CFBDRN CN(C[C@H]1CCCCO1)c1cccc(F)c1[N+](=O)[O-] ZINC000048805769 282549521 /nfs/dbraw/zinc/54/95/21/282549521.db2.gz NKFAZLGKDSOVCA-SNVBAGLBSA-N 0 0 268.288 2.739 20 5 CFBDRN CCN(C[C@@H]1CCOC1)c1ccc([N+](=O)[O-])c(C)c1 ZINC000092686897 347641001 /nfs/dbraw/zinc/64/10/01/347641001.db2.gz NSDMTCIPMIGDSX-LBPRGKRZSA-N 0 0 264.325 2.766 20 5 CFBDRN Cc1ocnc1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000092692148 347641490 /nfs/dbraw/zinc/64/14/90/347641490.db2.gz GCVDNQASTIKEOB-UHFFFAOYSA-N 0 0 268.660 2.552 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1S[C@H]1CCO[C@H]1C ZINC000092688264 347641655 /nfs/dbraw/zinc/64/16/55/347641655.db2.gz BBLNKPMCBWOIJI-WPRPVWTQSA-N 0 0 254.311 2.568 20 5 CFBDRN C[N@H+](CCC1CC1)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000092067043 347607447 /nfs/dbraw/zinc/60/74/47/347607447.db2.gz CRXVHNDASSUFPG-UHFFFAOYSA-N 0 0 250.298 2.532 20 5 CFBDRN CCc1nn(C)c(N(C)CCc2ccccc2)c1[N+](=O)[O-] ZINC000094138718 347693050 /nfs/dbraw/zinc/69/30/50/347693050.db2.gz KWCVZNVEZKWDFV-UHFFFAOYSA-N 0 0 288.351 2.570 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000102282152 347717378 /nfs/dbraw/zinc/71/73/78/347717378.db2.gz GYQHHNYLHQEDHA-UHFFFAOYSA-N 0 0 292.266 2.989 20 5 CFBDRN Cc1c(C(=O)NCCCc2ccco2)cccc1[N+](=O)[O-] ZINC000113932029 347769275 /nfs/dbraw/zinc/76/92/75/347769275.db2.gz YYLXULLDJKPNPG-UHFFFAOYSA-N 0 0 288.303 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(OC[C@@H]2CCCO2)ccc1Cl ZINC000114636369 347774075 /nfs/dbraw/zinc/77/40/75/347774075.db2.gz FQCVNUPOCQRFPR-VIFPVBQESA-N 0 0 257.673 2.806 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nc(C(C)(C)C)cs1 ZINC000118943429 347799804 /nfs/dbraw/zinc/79/98/04/347799804.db2.gz ZLSRVCHDHIERJE-UHFFFAOYSA-N 0 0 280.353 2.902 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NC2CC=CC2)cc1[N+](=O)[O-] ZINC000122730049 347816141 /nfs/dbraw/zinc/81/61/41/347816141.db2.gz FESGJYUQBHHESL-NSHDSACASA-N 0 0 289.335 2.982 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccccc2CO)c(Cl)c1 ZINC000122672252 347816263 /nfs/dbraw/zinc/81/62/63/347816263.db2.gz HSPGIICSTBRFEL-UHFFFAOYSA-N 0 0 293.710 2.748 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCc1nnsc1Cl ZINC000124193307 347823644 /nfs/dbraw/zinc/82/36/44/347823644.db2.gz LDQSMVPEMPFMNX-UHFFFAOYSA-N 0 0 289.675 2.818 20 5 CFBDRN CSCCCCNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000125804033 347829496 /nfs/dbraw/zinc/82/94/96/347829496.db2.gz ZXSHDGFZJYUAOE-UHFFFAOYSA-N 0 0 282.365 2.776 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2CC2)c(Br)c1 ZINC000086482020 179301208 /nfs/dbraw/zinc/30/12/08/179301208.db2.gz XZTKTZDWPZWREG-UHFFFAOYSA-N 0 0 272.102 2.574 20 5 CFBDRN O=C(NCc1cccnc1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000127802366 347844285 /nfs/dbraw/zinc/84/42/85/347844285.db2.gz YNQHGYXEPSMTKG-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CN(C(=O)c1ccc(Cl)cn1)c1ccc([N+](=O)[O-])cc1 ZINC000128655806 347853497 /nfs/dbraw/zinc/85/34/97/347853497.db2.gz VWTZSDOUGAZSLG-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN CC(C)n1ncnc1Cn1ccc2c1cccc2[N+](=O)[O-] ZINC000129718607 347864296 /nfs/dbraw/zinc/86/42/96/347864296.db2.gz ZEPSONWMHZVNOG-UHFFFAOYSA-N 0 0 285.307 2.770 20 5 CFBDRN O=C(C1CC2(CC2)C1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000596715872 349976841 /nfs/dbraw/zinc/97/68/41/349976841.db2.gz NFXWEFXGFCRZJY-UHFFFAOYSA-N 0 0 272.304 2.674 20 5 CFBDRN CC(C)c1noc(-c2ccn(-c3ccccc3[N+](=O)[O-])n2)n1 ZINC000130300322 347869502 /nfs/dbraw/zinc/86/95/02/347869502.db2.gz ZLHCDQREFZOUAO-UHFFFAOYSA-N 0 0 299.290 2.954 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000131739873 347880690 /nfs/dbraw/zinc/88/06/90/347880690.db2.gz LYKWXGGZYJAIJJ-SSDOTTSWSA-N 0 0 288.756 2.730 20 5 CFBDRN CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1nccs1 ZINC000130888706 347875200 /nfs/dbraw/zinc/87/52/00/347875200.db2.gz NYLANFJXWAKKPA-LLVKDONJSA-N 0 0 291.332 2.932 20 5 CFBDRN COc1ccc(C(=O)N[C@@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000129424515 347861265 /nfs/dbraw/zinc/86/12/65/347861265.db2.gz LSPKOOZYCSTQRO-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000538441864 539588003 /nfs/dbraw/zinc/58/80/03/539588003.db2.gz MYDVFYLKDNHRHY-CMPLNLGQSA-N 0 0 262.309 2.647 20 5 CFBDRN CCO[C@H](C)c1nc(Cn2c(C)ncc2[N+](=O)[O-])cs1 ZINC000133453086 347891639 /nfs/dbraw/zinc/89/16/39/347891639.db2.gz CFJFNGHUBYUTPW-MRVPVSSYSA-N 0 0 296.352 2.702 20 5 CFBDRN CCC[C@H](C)NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000133421760 347891858 /nfs/dbraw/zinc/89/18/58/347891858.db2.gz MXPFCUWREKGJGN-NSHDSACASA-N 0 0 289.335 2.854 20 5 CFBDRN O=c1c2ccoc2ccn1Cc1csc([N+](=O)[O-])c1 ZINC000133559492 347892759 /nfs/dbraw/zinc/89/27/59/347892759.db2.gz AKRJFQMZDYBWNU-UHFFFAOYSA-N 0 0 276.273 2.613 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000134266962 347896039 /nfs/dbraw/zinc/89/60/39/347896039.db2.gz LGOSNMFYIJEHBA-ONGXEEELSA-N 0 0 273.292 2.732 20 5 CFBDRN CCC(C)(CC)C(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000135359444 347906337 /nfs/dbraw/zinc/90/63/37/347906337.db2.gz HGNVZJCDHHRICJ-UHFFFAOYSA-N 0 0 291.351 2.701 20 5 CFBDRN CC1(NC(=O)Cc2ccccc2[N+](=O)[O-])CCCC1 ZINC000139300455 347919203 /nfs/dbraw/zinc/91/92/03/347919203.db2.gz OELZQNOAGXLLAO-UHFFFAOYSA-N 0 0 262.309 2.586 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NC[C@H]3CCCS3)c2c1 ZINC000150099841 347954830 /nfs/dbraw/zinc/95/48/30/347954830.db2.gz WQZYKUCTPNMXRK-SNVBAGLBSA-N 0 0 290.348 2.846 20 5 CFBDRN CC1(NC(=O)c2[nH]nc3ccc([N+](=O)[O-])cc32)CCCC1 ZINC000150240993 347955718 /nfs/dbraw/zinc/95/57/18/347955718.db2.gz NAMNSAFSDUYYBT-UHFFFAOYSA-N 0 0 288.307 2.534 20 5 CFBDRN COC(=O)c1cc(COc2cccc([N+](=O)[O-])c2)c(C)o1 ZINC000144749261 347941795 /nfs/dbraw/zinc/94/17/95/347941795.db2.gz LVRQOTSYBSVIQH-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN Cc1cc(NC(=O)NCCC2CC2)ccc1[N+](=O)[O-] ZINC000153821659 347975912 /nfs/dbraw/zinc/97/59/12/347975912.db2.gz DSSUODXVJNALEU-UHFFFAOYSA-N 0 0 263.297 2.825 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(O)CCCCCC2)n1 ZINC000157496032 348000846 /nfs/dbraw/zinc/00/08/46/348000846.db2.gz SUPMBVRPWMQPOK-UHFFFAOYSA-N 0 0 279.340 2.795 20 5 CFBDRN O=c1sc2c(n1Cc1ccc([N+](=O)[O-])cc1)CCCC2 ZINC000161776429 348026377 /nfs/dbraw/zinc/02/63/77/348026377.db2.gz KGOZNCSGPJWMOB-UHFFFAOYSA-N 0 0 290.344 2.745 20 5 CFBDRN C[C@H]1OCC[C@@H]1SCCOc1ccccc1[N+](=O)[O-] ZINC000164019706 348036341 /nfs/dbraw/zinc/03/63/41/348036341.db2.gz GFJQVPYRTLQBQH-MFKMUULPSA-N 0 0 283.349 2.884 20 5 CFBDRN Cc1cnc(CNC(=O)c2cc([N+](=O)[O-])c(C)s2)s1 ZINC000172711796 348101874 /nfs/dbraw/zinc/10/18/74/348101874.db2.gz BLWOEMAYCFTEOF-UHFFFAOYSA-N 0 0 297.361 2.660 20 5 CFBDRN O=C(CC[C@H]1CCCCO1)NCc1cccc([N+](=O)[O-])c1 ZINC000171857687 348082318 /nfs/dbraw/zinc/08/23/18/348082318.db2.gz GLFLCQLRIZKNGZ-CQSZACIVSA-N 0 0 292.335 2.560 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000171875604 348083379 /nfs/dbraw/zinc/08/33/79/348083379.db2.gz MYVFYOJLPMYDJH-GFCCVEGCSA-N 0 0 293.367 2.901 20 5 CFBDRN CCOC(=O)c1ccnc(N(C)C[C@@H](C)CC)c1[N+](=O)[O-] ZINC000172371774 348091695 /nfs/dbraw/zinc/09/16/95/348091695.db2.gz LQZRZNPXYUPQMM-JTQLQIEISA-N 0 0 295.339 2.649 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000172448574 348094308 /nfs/dbraw/zinc/09/43/08/348094308.db2.gz ZMJQTMJQMLJBIF-SNVBAGLBSA-N 0 0 250.298 2.617 20 5 CFBDRN CSCCCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000172447358 348094376 /nfs/dbraw/zinc/09/43/76/348094376.db2.gz QEMFVRJYYIPWEE-UHFFFAOYSA-N 0 0 274.367 2.529 20 5 CFBDRN Cc1cccc(C(=O)NC[C@H](C)C(C)C)c1[N+](=O)[O-] ZINC000172452101 348095422 /nfs/dbraw/zinc/09/54/22/348095422.db2.gz MCNYQNZYTXUNPL-NSHDSACASA-N 0 0 264.325 2.925 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC1C[C@@H](C)O[C@H](C)C1 ZINC000195043084 348145363 /nfs/dbraw/zinc/14/53/63/348145363.db2.gz JSJDZYXMZDPYHL-GHMZBOCLSA-N 0 0 292.335 2.589 20 5 CFBDRN CS(=O)(=O)c1cc([N+](=O)[O-])c(Nc2ccccc2)s1 ZINC000223236281 348174376 /nfs/dbraw/zinc/17/43/76/348174376.db2.gz PGSKRDFCSCWWLF-UHFFFAOYSA-N 0 0 298.345 2.803 20 5 CFBDRN CCC(C)(C)CNC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000232843919 348213912 /nfs/dbraw/zinc/21/39/12/348213912.db2.gz JWMTUAKRIRWRNT-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1CCCCO1 ZINC000086963752 179369304 /nfs/dbraw/zinc/36/93/04/179369304.db2.gz RUGALFPIOUUPFB-GFCCVEGCSA-N 0 0 278.308 2.801 20 5 CFBDRN C[C@@H]1OCC[C@@]1(C)Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000230098283 348204403 /nfs/dbraw/zinc/20/44/03/348204403.db2.gz CONBQPJJZRANIJ-LKFCYVNXSA-N 0 0 288.307 2.517 20 5 CFBDRN Cc1cc(NCC[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000230104556 348204455 /nfs/dbraw/zinc/20/44/55/348204455.db2.gz NWLAWTLLBCZUET-LLVKDONJSA-N 0 0 250.298 2.742 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCC(F)(F)C(F)F ZINC000230713698 348207069 /nfs/dbraw/zinc/20/70/69/348207069.db2.gz YFHBVCMACJULRA-UHFFFAOYSA-N 0 0 252.167 2.907 20 5 CFBDRN CCc1nn(C)cc1NCCc1ccc([N+](=O)[O-])cc1 ZINC000231084051 348207884 /nfs/dbraw/zinc/20/78/84/348207884.db2.gz WPSXZJJJUSWPNE-UHFFFAOYSA-N 0 0 274.324 2.545 20 5 CFBDRN C[C@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000087004113 179378004 /nfs/dbraw/zinc/37/80/04/179378004.db2.gz OGNICVXRDSCSSS-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2C[C@@H](C)O[C@@H]2C)cc1[N+](=O)[O-] ZINC000412687664 232942535 /nfs/dbraw/zinc/94/25/35/232942535.db2.gz OBNPHPFCZAUVPD-MRTMQBJTSA-N 0 0 298.364 2.508 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCCC1CC1 ZINC000286746916 348347854 /nfs/dbraw/zinc/34/78/54/348347854.db2.gz QXRCISCUCAWQPG-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN CN(Cc1ccccn1)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087153543 179398176 /nfs/dbraw/zinc/39/81/76/179398176.db2.gz NRHFTFGVANTEKG-UHFFFAOYSA-N 0 0 299.330 2.581 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1Br)[C@H](C)O ZINC000270146134 348330791 /nfs/dbraw/zinc/33/07/91/348330791.db2.gz QXYWOGQNQFBZML-NKWVEPMBSA-N 0 0 290.113 2.505 20 5 CFBDRN CS[C@@H](C)CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000128854362 187394178 /nfs/dbraw/zinc/39/41/78/187394178.db2.gz BAYZECFNFYZHTD-LURJTMIESA-N 0 0 261.734 2.807 20 5 CFBDRN Cc1cccc(CCNc2nc(C)ccc2[N+](=O)[O-])n1 ZINC000281584700 348342956 /nfs/dbraw/zinc/34/29/56/348342956.db2.gz WTVWKIZXLZOZGQ-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CCn1cc(CCSc2ncccc2[N+](=O)[O-])cn1 ZINC000285087662 348345585 /nfs/dbraw/zinc/34/55/85/348345585.db2.gz DICNREVBJGAVDW-UHFFFAOYSA-N 0 0 278.337 2.541 20 5 CFBDRN CSC(C)(C)CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087354046 179415678 /nfs/dbraw/zinc/41/56/78/179415678.db2.gz WJEYPLHLHXDKON-UHFFFAOYSA-N 0 0 296.392 2.785 20 5 CFBDRN COC(=O)c1cc(N2CCC[C@H]2CF)cc(C)c1[N+](=O)[O-] ZINC000292867898 348359823 /nfs/dbraw/zinc/35/98/23/348359823.db2.gz SSLZWHVWFJRVJV-JTQLQIEISA-N 0 0 296.298 2.628 20 5 CFBDRN Cc1ccnc(N[C@H]2CCS[C@H]2C)c1[N+](=O)[O-] ZINC000310453039 348409807 /nfs/dbraw/zinc/40/98/07/348409807.db2.gz CBHQWHLKADOEGQ-IUCAKERBSA-N 0 0 253.327 2.604 20 5 CFBDRN COc1cc(NC[C@](C)(O)C(C)C)c([N+](=O)[O-])cc1C ZINC000312957542 348421061 /nfs/dbraw/zinc/42/10/61/348421061.db2.gz IWKCUBNWEBZVMZ-AWEZNQCLSA-N 0 0 282.340 2.731 20 5 CFBDRN CCC1(C)CCN(c2ccc(N)c([N+](=O)[O-])n2)CC1 ZINC000311584812 348413830 /nfs/dbraw/zinc/41/38/30/348413830.db2.gz YFEBRHQXFGJAJW-UHFFFAOYSA-N 0 0 264.329 2.589 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1NC[C@H]1CCC[C@@H](O)C1 ZINC000311546692 348413879 /nfs/dbraw/zinc/41/38/79/348413879.db2.gz KAPYOQPNBXJUFH-DTWKUNHWSA-N 0 0 285.731 2.606 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCC(F)(F)C1 ZINC000311911121 348415434 /nfs/dbraw/zinc/41/54/34/348415434.db2.gz REOGDSRNGKIVNZ-QMMMGPOBSA-N 0 0 274.271 2.719 20 5 CFBDRN C[C@@H](Nc1ccc(C(F)F)cc1[N+](=O)[O-])[C@H](C)CO ZINC000311848228 348415682 /nfs/dbraw/zinc/41/56/82/348415682.db2.gz OLXLJRIKBNUNRQ-HTQZYQBOSA-N 0 0 274.267 2.961 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCCO2)c(C(F)(F)F)c1 ZINC000413023018 233005668 /nfs/dbraw/zinc/00/56/68/233005668.db2.gz HSRFZGADRGSXIW-MRVPVSSYSA-N 0 0 291.229 2.600 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@H](C)C2)c([N+](=O)[O-])cc1C ZINC000312498985 348419341 /nfs/dbraw/zinc/41/93/41/348419341.db2.gz QIFNBUHRHUVXMK-GHMZBOCLSA-N 0 0 280.324 2.891 20 5 CFBDRN CC(=O)c1ccc(NCCOC(C)C)c([N+](=O)[O-])c1 ZINC000273360672 192125444 /nfs/dbraw/zinc/12/54/44/192125444.db2.gz WLYVOOSHWHCKMA-UHFFFAOYSA-N 0 0 266.297 2.634 20 5 CFBDRN CC(C)(CCCO)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000221215314 539637356 /nfs/dbraw/zinc/63/73/56/539637356.db2.gz SHPALXUALFBFEK-UHFFFAOYSA-N 0 0 295.339 2.515 20 5 CFBDRN CC(C)[C@@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])C1CC1 ZINC000324308487 348439822 /nfs/dbraw/zinc/43/98/22/348439822.db2.gz VUJRHJAQEBKUDQ-CYBMUJFWSA-N 0 0 277.324 2.540 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@@H]2CCS[C@@H]2C)c1 ZINC000313378709 348422434 /nfs/dbraw/zinc/42/24/34/348422434.db2.gz QYOYIZODXCSQQA-PSASIEDQSA-N 0 0 296.348 2.687 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2Cc3ccccc3C2)c(F)c1 ZINC000413151506 233024462 /nfs/dbraw/zinc/02/44/62/233024462.db2.gz XMTGYOSLQHRXSS-UHFFFAOYSA-N 0 0 287.294 2.956 20 5 CFBDRN CO[C@H](C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000596844956 349996698 /nfs/dbraw/zinc/99/66/98/349996698.db2.gz CMBJBOGFKVKHNC-YGRLFVJLSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1cc(-c2noc(C3(F)CC3)n2)cc([N+](=O)[O-])c1 ZINC000351370225 348494562 /nfs/dbraw/zinc/49/45/62/348494562.db2.gz DCJJCSFSZPAPDN-UHFFFAOYSA-N 0 0 263.228 2.912 20 5 CFBDRN C[C@H](CCc1cccn1C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413181680 233030881 /nfs/dbraw/zinc/03/08/81/233030881.db2.gz WNPIFHAMJDWKRM-SNVBAGLBSA-N 0 0 292.314 2.901 20 5 CFBDRN COC(C)(C)CNc1nccc2ccc([N+](=O)[O-])cc21 ZINC000413159674 233025477 /nfs/dbraw/zinc/02/54/77/233025477.db2.gz BVOQYVIVWNDYPA-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@H]1CCCC1(F)F ZINC000401174653 348577955 /nfs/dbraw/zinc/57/79/55/348577955.db2.gz YZIUKHALMSTUPN-SSDOTTSWSA-N 0 0 275.230 2.976 20 5 CFBDRN CCc1nc(CNc2ncc(F)cc2[N+](=O)[O-])cs1 ZINC000401170509 348578072 /nfs/dbraw/zinc/57/80/72/348578072.db2.gz VBJRGCJULAWECJ-UHFFFAOYSA-N 0 0 282.300 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCCC(F)(F)C2)c(F)c1 ZINC000404812297 348595193 /nfs/dbraw/zinc/59/51/93/348595193.db2.gz LVAXDBJUMCFQQX-UHFFFAOYSA-N 0 0 274.242 2.965 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@@H](Nc2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000413221510 233036471 /nfs/dbraw/zinc/03/64/71/233036471.db2.gz JLCRAOJXWHQELG-AVPPRXQKSA-N 0 0 283.303 2.600 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC[C@H]2CCCCO2)c(F)c1 ZINC000413247415 233040883 /nfs/dbraw/zinc/04/08/83/233040883.db2.gz PUDUPAAVOOMHRI-SNVBAGLBSA-N 0 0 269.276 2.500 20 5 CFBDRN CCn1ccnc1[C@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000413326557 233053439 /nfs/dbraw/zinc/05/34/39/233053439.db2.gz WKXKBIHHKZOONL-QMMMGPOBSA-N 0 0 279.275 2.518 20 5 CFBDRN Cc1ccnc(NC[C@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000413330885 233054892 /nfs/dbraw/zinc/05/48/92/233054892.db2.gz GXFBKCTUGQLIIL-NSHDSACASA-N 0 0 279.340 2.915 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cnc(C3CC3)o2)c(F)c1 ZINC000413314427 233051138 /nfs/dbraw/zinc/05/11/38/233051138.db2.gz IKRANHUCYFIBPU-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN Cc1ccnc(N[C@H]2C[C@@H](OC(C)C)C2)c1[N+](=O)[O-] ZINC000413383455 233064396 /nfs/dbraw/zinc/06/43/96/233064396.db2.gz NXQWZYSYQCBEDC-PHIMTYICSA-N 0 0 265.313 2.666 20 5 CFBDRN C[C@H](F)CCn1cnc2c(Cl)cc([N+](=O)[O-])cc2c1=O ZINC000584721955 348709382 /nfs/dbraw/zinc/70/93/82/348709382.db2.gz ZCNKHDMIGIIJSU-ZETCQYMHSA-N 0 0 299.689 2.706 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC3(C2)CC(F)(F)C3)n1 ZINC000588040647 348767982 /nfs/dbraw/zinc/76/79/82/348767982.db2.gz IQDYUGLJYAKROU-UHFFFAOYSA-N 0 0 283.278 2.842 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCC2(CCOC2)CC1 ZINC000588040164 348768218 /nfs/dbraw/zinc/76/82/18/348768218.db2.gz PTWNEXABMRJVLV-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@H](C(F)F)C1 ZINC000588040893 348768573 /nfs/dbraw/zinc/76/85/73/348768573.db2.gz VWROTCSIKPLTGO-LURJTMIESA-N 0 0 277.658 2.735 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H](C(F)F)C1 ZINC000588040894 348768857 /nfs/dbraw/zinc/76/88/57/348768857.db2.gz VWROTCSIKPLTGO-ZCFIWIBFSA-N 0 0 277.658 2.735 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC=C(c2ccco2)C1 ZINC000588063629 348769329 /nfs/dbraw/zinc/76/93/29/348769329.db2.gz AVUGFCFHDLDYRN-UHFFFAOYSA-N 0 0 271.276 2.877 20 5 CFBDRN CCOc1cccc(N2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000588066667 348770035 /nfs/dbraw/zinc/77/00/35/348770035.db2.gz VESUISPUNFMNNA-PWSUYJOCSA-N 0 0 262.309 2.982 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]3C[C@@H]32)c(Cl)c1 ZINC000588066212 348770039 /nfs/dbraw/zinc/77/00/39/348770039.db2.gz JIPHZOGAGCWRHF-XCBNKYQSSA-N 0 0 253.689 2.632 20 5 CFBDRN COC1CCC(Nc2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000413415723 233069648 /nfs/dbraw/zinc/06/96/48/233069648.db2.gz IIKJLZSQQGJWGJ-UHFFFAOYSA-N 0 0 265.313 2.668 20 5 CFBDRN O=C(N[C@H]1CCCC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000588180727 348778306 /nfs/dbraw/zinc/77/83/06/348778306.db2.gz TWPCNIIABQBISD-JTQLQIEISA-N 0 0 270.235 2.512 20 5 CFBDRN O=C(N[C@@H]1CCCC1(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000588179911 348778355 /nfs/dbraw/zinc/77/83/55/348778355.db2.gz CLYCLYHKFJYUNG-SNVBAGLBSA-N 0 0 288.225 2.652 20 5 CFBDRN Cn1cc(CSCCOc2cccc([N+](=O)[O-])c2)cn1 ZINC000588911856 348801935 /nfs/dbraw/zinc/80/19/35/348801935.db2.gz DUDZYLFCWINTSJ-UHFFFAOYSA-N 0 0 293.348 2.641 20 5 CFBDRN Cc1nn(C)c(NC2CCC(C(F)F)CC2)c1[N+](=O)[O-] ZINC000413431285 233071898 /nfs/dbraw/zinc/07/18/98/233071898.db2.gz AKQKILYZCPXMJC-UHFFFAOYSA-N 0 0 288.298 2.873 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC2(C1)CCCCC2 ZINC000588827464 348797280 /nfs/dbraw/zinc/79/72/80/348797280.db2.gz WLVOIELUOGTNIV-UHFFFAOYSA-N 0 0 278.356 2.890 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@@]2(CNc3ccccc32)C1 ZINC000588832367 348797587 /nfs/dbraw/zinc/79/75/87/348797587.db2.gz BCWWGDWUYLJOSW-MRXNPFEDSA-N 0 0 296.330 2.563 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NS(=O)(=O)CCC1CC1 ZINC000273946545 192374091 /nfs/dbraw/zinc/37/40/91/192374091.db2.gz LLRAAVZGEZSVBD-UHFFFAOYSA-N 0 0 298.364 2.753 20 5 CFBDRN CSc1ccc(C(=O)N2CCC[C@H]3C[C@H]32)cc1[N+](=O)[O-] ZINC000586890109 348759266 /nfs/dbraw/zinc/75/92/66/348759266.db2.gz KJKRZQDGXDRCAG-GXSJLCMTSA-N 0 0 292.360 2.941 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@H]3C[C@H]32)cc([N+](=O)[O-])c1 ZINC000586898231 348759894 /nfs/dbraw/zinc/75/98/94/348759894.db2.gz LHTFRJGPVOLSLJ-GXFFZTMASA-N 0 0 260.293 2.528 20 5 CFBDRN C[C@H](N(C)c1cc(N)c([N+](=O)[O-])c(CO)c1)C(C)(C)C ZINC000587132999 348761052 /nfs/dbraw/zinc/76/10/52/348761052.db2.gz JZKWFKNQGGUYPZ-VIFPVBQESA-N 0 0 281.356 2.540 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000587165247 348762022 /nfs/dbraw/zinc/76/20/22/348762022.db2.gz ZHLFZFYHFYHDKT-KOLCDFICSA-N 0 0 279.340 2.660 20 5 CFBDRN CCC[C@H](CC)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000587543275 348762700 /nfs/dbraw/zinc/76/27/00/348762700.db2.gz KEGGZTAPIUTSPK-JTQLQIEISA-N 0 0 267.329 2.660 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H](C2CCOCC2)C1 ZINC000588006923 348766211 /nfs/dbraw/zinc/76/62/11/348766211.db2.gz IDEHPLQGWHAFHD-GFCCVEGCSA-N 0 0 294.326 2.987 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC(C(C)C)C1 ZINC000588005848 348766234 /nfs/dbraw/zinc/76/62/34/348766234.db2.gz PLEQMCHCROLQDP-UHFFFAOYSA-N 0 0 292.335 2.782 20 5 CFBDRN CCC1(O)CN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 ZINC000588022239 348766538 /nfs/dbraw/zinc/76/65/38/348766538.db2.gz YFPWWKXBIGIAMJ-UHFFFAOYSA-N 0 0 291.134 2.863 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C1 ZINC000588016445 348766824 /nfs/dbraw/zinc/76/68/24/348766824.db2.gz XXOQXZOKWVMTJA-LLVKDONJSA-N 0 0 293.367 2.542 20 5 CFBDRN COCC[C@H](C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000413487717 233081114 /nfs/dbraw/zinc/08/11/14/233081114.db2.gz CQKJAPKMKFWYML-VIFPVBQESA-N 0 0 256.277 2.818 20 5 CFBDRN COCC[C@@H](C)CNc1ccc([N+](=O)[O-])cc1C ZINC000413487795 233081442 /nfs/dbraw/zinc/08/14/42/233081442.db2.gz DEJVCXQMTGFTMF-SNVBAGLBSA-N 0 0 252.314 2.988 20 5 CFBDRN CN(Cc1ccncc1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000589103756 348810980 /nfs/dbraw/zinc/81/09/80/348810980.db2.gz VXGCSNORIADEPA-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN COCC[C@H](C)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000413488966 233082778 /nfs/dbraw/zinc/08/27/78/233082778.db2.gz GENFPEVLJARKGJ-NSHDSACASA-N 0 0 289.335 2.649 20 5 CFBDRN Cc1ncccc1CN1CCc2ccc([N+](=O)[O-])cc21 ZINC000589259129 348822385 /nfs/dbraw/zinc/82/23/85/348822385.db2.gz BZQYURDZTLJAIG-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(N)c2F)CS1 ZINC000413523923 233089492 /nfs/dbraw/zinc/08/94/92/233089492.db2.gz UYENYFAWNMGVHG-NKWVEPMBSA-N 0 0 271.317 2.622 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2nc3sccn3c2[N+](=O)[O-])[C@H](C)O1 ZINC000413538966 233092303 /nfs/dbraw/zinc/09/23/03/233092303.db2.gz SVXYGKZMWHMMCQ-VGMNWLOBSA-N 0 0 296.352 2.529 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1C[C@@H](C)O[C@H]1C ZINC000413537365 233092385 /nfs/dbraw/zinc/09/23/85/233092385.db2.gz RLZIIJYMFBIAFK-WOPDTQHZSA-N 0 0 294.351 2.967 20 5 CFBDRN COC1([C@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])CCC1 ZINC000413556991 233094933 /nfs/dbraw/zinc/09/49/33/233094933.db2.gz FPUOMIYUZWSNBW-NSHDSACASA-N 0 0 279.340 2.976 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(CN2CCC=C(C)C2)c1 ZINC000589515871 348837310 /nfs/dbraw/zinc/83/73/10/348837310.db2.gz PNLHCDIMOBOKQE-UHFFFAOYSA-N 0 0 290.319 2.533 20 5 CFBDRN COc1cc(N[C@@H](C)C[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000589585493 348840035 /nfs/dbraw/zinc/84/00/35/348840035.db2.gz YPYNMHXQCLPSHZ-VHSXEESVSA-N 0 0 298.314 2.970 20 5 CFBDRN COc1cc(N2CC[C@H](C(F)F)C2)c(F)cc1[N+](=O)[O-] ZINC000589599245 348841300 /nfs/dbraw/zinc/84/13/00/348841300.db2.gz ADMAJPZAKBAODP-ZETCQYMHSA-N 0 0 290.241 2.834 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@]1(CO)C[C@H]1c1ccccc1 ZINC000589603243 348841836 /nfs/dbraw/zinc/84/18/36/348841836.db2.gz NHHLSENYXQTTTB-BBRMVZONSA-N 0 0 299.330 2.568 20 5 CFBDRN CCOc1cccc(N2CCC3(CC3)C2)c1[N+](=O)[O-] ZINC000589600100 348841946 /nfs/dbraw/zinc/84/19/46/348841946.db2.gz PFKMFNBWXCHYBN-UHFFFAOYSA-N 0 0 262.309 2.984 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@H](C(F)F)C3)nc2c1 ZINC000589600365 348842004 /nfs/dbraw/zinc/84/20/04/348842004.db2.gz YFPAAQSULIQDKG-ZETCQYMHSA-N 0 0 282.250 2.563 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2ncc(C)cc2[N+](=O)[O-])C12CCC2 ZINC000413458202 233076503 /nfs/dbraw/zinc/07/65/03/233076503.db2.gz ZMMFKYDXQGTDPM-NWDGAFQWSA-N 0 0 277.324 2.668 20 5 CFBDRN CCn1c(-c2ccc([N+](=O)[O-])cc2)nnc1N1CC=CCC1 ZINC000589635402 348844150 /nfs/dbraw/zinc/84/41/50/348844150.db2.gz VQSLFMHCDMPTGO-UHFFFAOYSA-N 0 0 299.334 2.640 20 5 CFBDRN CO[C@](C)(CNc1sccc1[N+](=O)[O-])C1CC1 ZINC000589692887 348851070 /nfs/dbraw/zinc/85/10/70/348851070.db2.gz DYXIOOWMHPRETN-LLVKDONJSA-N 0 0 256.327 2.883 20 5 CFBDRN Cn1nc2c(c1CNc1ccc([N+](=O)[O-])s1)CCCC2 ZINC000589693124 348851077 /nfs/dbraw/zinc/85/10/77/348851077.db2.gz CSUQOCACIVDBGY-UHFFFAOYSA-N 0 0 292.364 2.881 20 5 CFBDRN COCC[C@H](C)CNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000413627360 233107589 /nfs/dbraw/zinc/10/75/89/233107589.db2.gz SQIIZRSFSKXXGI-JTQLQIEISA-N 0 0 295.339 2.697 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC(C(F)F)C1 ZINC000589864581 348861866 /nfs/dbraw/zinc/86/18/66/348861866.db2.gz BVFZUITXWPVGBH-UHFFFAOYSA-N 0 0 279.246 2.844 20 5 CFBDRN CC(C)(C(=O)N1CCC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000590035876 348870853 /nfs/dbraw/zinc/87/08/53/348870853.db2.gz ROVMIMBWIDQQDH-UHFFFAOYSA-N 0 0 276.336 2.883 20 5 CFBDRN CC(C)(C(=O)NC1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000590037237 348870899 /nfs/dbraw/zinc/87/08/99/348870899.db2.gz SQDHCMXYCDIILR-UHFFFAOYSA-N 0 0 274.320 2.707 20 5 CFBDRN CCN1CCN(c2c(Cl)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000590083851 348872985 /nfs/dbraw/zinc/87/29/85/348872985.db2.gz XQBATKJGEOOFMY-JTQLQIEISA-N 0 0 283.759 2.779 20 5 CFBDRN CC(C)[C@]1(CO)CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000590146836 348878564 /nfs/dbraw/zinc/87/85/64/348878564.db2.gz ZJXZLRSMELGOSZ-CYBMUJFWSA-N 0 0 284.381 2.891 20 5 CFBDRN CO[C@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@H]1C ZINC000590717619 348934871 /nfs/dbraw/zinc/93/48/71/348934871.db2.gz QBOUYAMGWUMUCM-BDAKNGLRSA-N 0 0 256.327 2.518 20 5 CFBDRN Cc1cccnc1CCCNc1cccnc1[N+](=O)[O-] ZINC000590715729 348935033 /nfs/dbraw/zinc/93/50/33/348935033.db2.gz KFXBSTYTYPKAPL-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN CO[C@H]1CCN(c2sccc2[N+](=O)[O-])CC1(C)C ZINC000590718794 348935802 /nfs/dbraw/zinc/93/58/02/348935802.db2.gz OLQWKPAVDGNCGL-JTQLQIEISA-N 0 0 270.354 2.908 20 5 CFBDRN CC(C)[C@@H](Nc1cccnc1[N+](=O)[O-])[C@@H](CO)C(C)C ZINC000590718657 348935820 /nfs/dbraw/zinc/93/58/20/348935820.db2.gz VKCKPGIYNAYSDS-WCQYABFASA-N 0 0 281.356 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CC23CCOCC3)s1 ZINC000590722790 348936562 /nfs/dbraw/zinc/93/65/62/348936562.db2.gz UYYCTXSCIISQAU-MRVPVSSYSA-N 0 0 254.311 2.637 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1C[C@@H]1c1cccnc1 ZINC000590537917 348908033 /nfs/dbraw/zinc/90/80/33/348908033.db2.gz FRJBEKDXAAMOEE-OLZOCXBDSA-N 0 0 297.314 2.584 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc[nH]1 ZINC000590551639 348908394 /nfs/dbraw/zinc/90/83/94/348908394.db2.gz ZACOHMSCIIOSFF-UHFFFAOYSA-N 0 0 259.265 2.792 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H]1C(F)(F)F ZINC000590552775 348908407 /nfs/dbraw/zinc/90/84/07/348908407.db2.gz AYRJZCHYEHMCCJ-SNVBAGLBSA-N 0 0 288.225 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CCN(CC(F)F)CC2)cc1 ZINC000590567579 348908993 /nfs/dbraw/zinc/90/89/93/348908993.db2.gz OLKYDCSOWBRRKI-UHFFFAOYSA-N 0 0 299.321 2.984 20 5 CFBDRN Nc1ccc(N2CCC[C@@H]2Cc2ccccc2)nc1[N+](=O)[O-] ZINC000590568728 348910191 /nfs/dbraw/zinc/91/01/91/348910191.db2.gz BLINCZXYYFDZLQ-CYBMUJFWSA-N 0 0 298.346 2.784 20 5 CFBDRN CN(C[C@H]1CCCOC1)c1c(Cl)cncc1[N+](=O)[O-] ZINC000590578152 348910811 /nfs/dbraw/zinc/91/08/11/348910811.db2.gz LVYWBJHZPXNZMD-SECBINFHSA-N 0 0 285.731 2.506 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000590619636 348915570 /nfs/dbraw/zinc/91/55/70/348915570.db2.gz CNRGGKWIDUJXLK-MRVPVSSYSA-N 0 0 284.287 2.661 20 5 CFBDRN CCC[C@@H](C)Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000590684597 348928077 /nfs/dbraw/zinc/92/80/77/348928077.db2.gz LGJRYZNQBPCWKK-SECBINFHSA-N 0 0 286.353 2.599 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CC(C(F)F)C1 ZINC000590968869 348974636 /nfs/dbraw/zinc/97/46/36/348974636.db2.gz GSAVQZKKKGNGLA-UHFFFAOYSA-N 0 0 256.252 2.600 20 5 CFBDRN C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000591031351 348980083 /nfs/dbraw/zinc/98/00/83/348980083.db2.gz XGNIOMOJKBWRKJ-NZVBXONLSA-N 0 0 288.347 2.861 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC[C@@H](C2CCC2)C1 ZINC000591126622 348990362 /nfs/dbraw/zinc/99/03/62/348990362.db2.gz IAIMVTAXDRCGDL-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN CSCCNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000591137287 348991732 /nfs/dbraw/zinc/99/17/32/348991732.db2.gz OJDNUKRPJWCJNQ-UHFFFAOYSA-N 0 0 281.259 2.784 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cc2F)C12CCC2 ZINC000591147730 348992589 /nfs/dbraw/zinc/99/25/89/348992589.db2.gz GRTWXSICFGKFLJ-NWDGAFQWSA-N 0 0 295.314 2.889 20 5 CFBDRN O=[N+]([O-])c1cnc(NCC2(CCO)CCCCC2)c(F)c1 ZINC000591150108 348993044 /nfs/dbraw/zinc/99/30/44/348993044.db2.gz HOKVLGGLLRJCQP-UHFFFAOYSA-N 0 0 297.330 2.874 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@H]2CCCC[C@H]2O)c(F)c1 ZINC000591150726 348993060 /nfs/dbraw/zinc/99/30/60/348993060.db2.gz XBYFVNOKGBTQKM-ZWNOBZJWSA-N 0 0 297.330 2.872 20 5 CFBDRN CO[C@@]1(C)C[C@H](N(C)c2ncc([N+](=O)[O-])cc2F)C1(C)C ZINC000591150876 348993564 /nfs/dbraw/zinc/99/35/64/348993564.db2.gz MFWOGDCPDMEDJK-FZMZJTMJSA-N 0 0 297.330 2.769 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CCCC2(F)F)c(F)c1 ZINC000591160138 348994913 /nfs/dbraw/zinc/99/49/13/348994913.db2.gz VEYRZRQCCUDVQQ-MRVPVSSYSA-N 0 0 261.203 2.729 20 5 CFBDRN CC1(C)CN(c2ncc([N+](=O)[O-])cc2F)[C@@H]1[C@H]1CCCO1 ZINC000591161091 348995714 /nfs/dbraw/zinc/99/57/14/348995714.db2.gz VXQPREUOZSPCRF-VXGBXAGGSA-N 0 0 295.314 2.523 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCC[C@@H]2COC[C@@H]21 ZINC000591183217 348998050 /nfs/dbraw/zinc/99/80/50/348998050.db2.gz OTZKQQMRDTYKJA-ABAIWWIYSA-N 0 0 299.330 2.758 20 5 CFBDRN COC1([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2C)CCC1 ZINC000413968317 233144590 /nfs/dbraw/zinc/14/45/90/233144590.db2.gz AXZVQLYVKZUHQE-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H](C(=O)N1Cc2cccnc2C1)c1cccc([N+](=O)[O-])c1 ZINC000591831487 349049317 /nfs/dbraw/zinc/04/93/17/349049317.db2.gz VACQUJPZLAVJET-LLVKDONJSA-N 0 0 297.314 2.636 20 5 CFBDRN CCC(O)(CC)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000591903317 349057303 /nfs/dbraw/zinc/05/73/03/349057303.db2.gz YDMJSBRNMLVGNP-UHFFFAOYSA-N 0 0 293.367 2.832 20 5 CFBDRN CC[C@@H](COC)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000290817159 197828392 /nfs/dbraw/zinc/82/83/92/197828392.db2.gz RYZLAWHYPKYNBL-JTQLQIEISA-N 0 0 266.297 2.634 20 5 CFBDRN CC[C@@H](C)C[C@@H](CO)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000591981063 349069258 /nfs/dbraw/zinc/06/92/58/349069258.db2.gz KVDHSVINASTRKK-BDAKNGLRSA-N 0 0 298.368 2.513 20 5 CFBDRN CC[C@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000591983680 349069741 /nfs/dbraw/zinc/06/97/41/349069741.db2.gz WSGBYVLFJMVSPG-CMPLNLGQSA-N 0 0 252.314 2.804 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])s2)[C@@H]1SC ZINC000591991572 349071187 /nfs/dbraw/zinc/07/11/87/349071187.db2.gz VXACCCQCCRNOHQ-XLDPMVHQSA-N 0 0 288.394 2.977 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC1CCC(F)CC1 ZINC000591994370 349071820 /nfs/dbraw/zinc/07/18/20/349071820.db2.gz MFWHNQMHIGMLSY-UHFFFAOYSA-N 0 0 267.304 2.660 20 5 CFBDRN C[C@@H]1CC2(CN1c1ccccc1[N+](=O)[O-])CCOCC2 ZINC000591992894 349072006 /nfs/dbraw/zinc/07/20/06/349072006.db2.gz OQTSXDSQOBWKPC-GFCCVEGCSA-N 0 0 276.336 2.990 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])cn2)CC2(CCCC2)O1 ZINC000591996988 349072762 /nfs/dbraw/zinc/07/27/62/349072762.db2.gz AOJYHZLWNPWPEF-NSHDSACASA-N 0 0 277.324 2.528 20 5 CFBDRN C[C@@H]1CN(c2ccncc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000591998732 349073223 /nfs/dbraw/zinc/07/32/23/349073223.db2.gz HZKJXZOBQLTJMP-LLVKDONJSA-N 0 0 277.324 2.528 20 5 CFBDRN Cc1ccnc(N2C[C@@H](C)OC3(CCCC3)C2)c1[N+](=O)[O-] ZINC000592001870 349073822 /nfs/dbraw/zinc/07/38/22/349073822.db2.gz QXEYPYNZZUAJQC-GFCCVEGCSA-N 0 0 291.351 2.836 20 5 CFBDRN C[C@H]1SCC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000592003374 349074169 /nfs/dbraw/zinc/07/41/69/349074169.db2.gz OIAKUHCVMUYZPC-RNCFNFMXSA-N 0 0 289.360 2.871 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCS[C@H]1C ZINC000592122992 349086688 /nfs/dbraw/zinc/08/66/88/349086688.db2.gz OURGKFKSRFSZGU-GXSJLCMTSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCCC[C@@H]2CCOC2)n1 ZINC000592348065 349106116 /nfs/dbraw/zinc/10/61/16/349106116.db2.gz CQSYDULQUZNPAM-GFCCVEGCSA-N 0 0 280.324 2.802 20 5 CFBDRN CNC(=O)c1ccc(N[C@H]2CCC[C@H](F)C2)c([N+](=O)[O-])c1 ZINC000591957993 349064760 /nfs/dbraw/zinc/06/47/60/349064760.db2.gz KXIIJHSNLKSXNJ-QWRGUYRKSA-N 0 0 295.314 2.647 20 5 CFBDRN COCC1(CCNc2sccc2[N+](=O)[O-])CC1 ZINC000591961577 349065692 /nfs/dbraw/zinc/06/56/92/349065692.db2.gz MZNDGTTVMDKFAE-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN CCc1nn(C)c(N(C)CCC(C)(C)C)c1[N+](=O)[O-] ZINC000591971440 349066960 /nfs/dbraw/zinc/06/69/60/349066960.db2.gz XEBINJDPGVCTCM-UHFFFAOYSA-N 0 0 268.361 2.763 20 5 CFBDRN CCCC[C@@](C)(CO)Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000591976606 349068282 /nfs/dbraw/zinc/06/82/82/349068282.db2.gz ZYFURRKSBYSDEE-AWEZNQCLSA-N 0 0 292.339 2.824 20 5 CFBDRN COc1cccc(C(=O)N[C@@H]2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000592664859 349147273 /nfs/dbraw/zinc/14/72/73/349147273.db2.gz IOMWBHGEKOWHDL-VHSXEESVSA-N 0 0 296.298 2.614 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C)CC(F)F ZINC000592671518 349148718 /nfs/dbraw/zinc/14/87/18/349148718.db2.gz HHCLLWCJJMQMOL-MRVPVSSYSA-N 0 0 286.278 2.924 20 5 CFBDRN C[C@@H](CNC(=O)c1ccc(F)cc1[N+](=O)[O-])CC(F)F ZINC000592673760 349149523 /nfs/dbraw/zinc/14/95/23/349149523.db2.gz XUDBUPCPOYFLEO-SSDOTTSWSA-N 0 0 290.241 2.755 20 5 CFBDRN Cc1ccccc1C1(NC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000592460945 349124531 /nfs/dbraw/zinc/12/45/31/349124531.db2.gz LNSXPTPWEREQSH-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN Cc1cccc(-c2nc(C3CCOCC3)no2)c1[N+](=O)[O-] ZINC000592465538 349125706 /nfs/dbraw/zinc/12/57/06/349125706.db2.gz MVRRPASTWMTQPP-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN C[C@@H]1[C@H](c2ccccc2)CCN1c1ncc([N+](=O)[O-])cn1 ZINC000274001167 192398021 /nfs/dbraw/zinc/39/80/21/192398021.db2.gz SXMPBOYMJTVMAI-BXUZGUMPSA-N 0 0 284.319 2.767 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000592733574 349158447 /nfs/dbraw/zinc/15/84/47/349158447.db2.gz WPSUTQKJRARNHF-WCFLWFBJSA-N 0 0 274.320 2.822 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000592732633 349158659 /nfs/dbraw/zinc/15/86/59/349158659.db2.gz AXFLFYIQOCYLIQ-KBVBSXBZSA-N 0 0 278.283 2.652 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCS[C@H]2C)cc1[N+](=O)[O-] ZINC000592736869 349160242 /nfs/dbraw/zinc/16/02/42/349160242.db2.gz VBKHJPSOIRUJIG-POYBYMJQSA-N 0 0 286.378 2.589 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCS[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000592736964 349160402 /nfs/dbraw/zinc/16/04/02/349160402.db2.gz SMMQKZKFRHWXDV-BXKDBHETSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)cc([N+](=O)[O-])c1 ZINC000592738249 349161163 /nfs/dbraw/zinc/16/11/63/349161163.db2.gz XRPNEJOALZBMDU-NQBHXWOUSA-N 0 0 274.320 2.822 20 5 CFBDRN CCCSCC(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000592827605 349169462 /nfs/dbraw/zinc/16/94/62/349169462.db2.gz WLKMYONNOGLMGO-UHFFFAOYSA-N 0 0 283.353 2.718 20 5 CFBDRN CC1(CC(F)F)CN(C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000592834694 349170141 /nfs/dbraw/zinc/17/01/41/349170141.db2.gz GTHXLUWUXFJRDC-UHFFFAOYSA-N 0 0 284.262 2.712 20 5 CFBDRN CC1(CC(F)F)CN(C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000592835412 349170316 /nfs/dbraw/zinc/17/03/16/349170316.db2.gz ONQVULBBOGATMZ-UHFFFAOYSA-N 0 0 298.289 2.641 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593096002 349209066 /nfs/dbraw/zinc/20/90/66/349209066.db2.gz PMGITJGXINJZEO-NXEZZACHSA-N 0 0 280.299 2.676 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@H]1C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000593103928 349209754 /nfs/dbraw/zinc/20/97/54/349209754.db2.gz UCNZNIVGTJQKEH-UONOGXRCSA-N 0 0 274.320 2.994 20 5 CFBDRN C[C@@H](NC(=O)Cc1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000593108821 349210272 /nfs/dbraw/zinc/21/02/72/349210272.db2.gz DHVKBDXDLXYUKX-SECBINFHSA-N 0 0 280.299 2.581 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@]3(CC3(F)F)C2)cs1 ZINC000593115413 349212178 /nfs/dbraw/zinc/21/21/78/349212178.db2.gz JESWCSWHVKINEL-JTQLQIEISA-N 0 0 274.292 2.887 20 5 CFBDRN CN(C(=O)[C@H]1C[C@H]1C1CCCC1)c1ccc([N+](=O)[O-])nc1 ZINC000593144342 349219535 /nfs/dbraw/zinc/21/95/35/349219535.db2.gz UYIGYMKQJLCKHJ-STQMWFEESA-N 0 0 289.335 2.779 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000593172172 349223488 /nfs/dbraw/zinc/22/34/88/349223488.db2.gz KBXCSDHJYLCZSC-ICCXJUOJSA-N 0 0 292.310 2.581 20 5 CFBDRN CC(C)[C@]1(C)C[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593172519 349223492 /nfs/dbraw/zinc/22/34/92/349223492.db2.gz YLCVFAHSIHSZOP-HIFRSBDPSA-N 0 0 294.326 2.827 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2Cc1cc2n(n1)CCC2 ZINC000593235611 349232645 /nfs/dbraw/zinc/23/26/45/349232645.db2.gz KCBJZHXQJXEJCX-UHFFFAOYSA-N 0 0 282.303 2.741 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCn2cc(C3CC3)cn2)c1 ZINC000593237074 349233319 /nfs/dbraw/zinc/23/33/19/349233319.db2.gz FOHLRSPSJQVKFP-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN CC(C)O[C@@H]1CCCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000593241084 349234190 /nfs/dbraw/zinc/23/41/90/349234190.db2.gz MUMWBYHKOWOHII-GFCCVEGCSA-N 0 0 292.335 2.624 20 5 CFBDRN CC(C)O[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000593242873 349234886 /nfs/dbraw/zinc/23/48/86/349234886.db2.gz ZGWKVAKQOFZEFO-SNVBAGLBSA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)[C@H](CC)CO1 ZINC000593256018 349236400 /nfs/dbraw/zinc/23/64/00/349236400.db2.gz BMTDPMYXTBZPLL-GHMZBOCLSA-N 0 0 298.364 2.686 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593039359 349192613 /nfs/dbraw/zinc/19/26/13/349192613.db2.gz KBZRFLIIXKDFJB-NXEZZACHSA-N 0 0 282.315 2.827 20 5 CFBDRN CC[C@@H]1COC(C)(C)CN1Cc1ccccc1[N+](=O)[O-] ZINC000593056810 349196538 /nfs/dbraw/zinc/19/65/38/349196538.db2.gz PRRFMOAOBMNFSI-CYBMUJFWSA-N 0 0 278.352 2.984 20 5 CFBDRN CC[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])[C@H](CC)CO1 ZINC000593057165 349197372 /nfs/dbraw/zinc/19/73/72/349197372.db2.gz CLGREBUNLDHIIN-ZIAGYGMSSA-N 0 0 278.352 2.984 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CC=CCC1 ZINC000593065720 349200260 /nfs/dbraw/zinc/20/02/60/349200260.db2.gz GZLLMJUEKRLHKN-GFCCVEGCSA-N 0 0 278.283 2.501 20 5 CFBDRN CC[C@@H]1CN(Cc2cc([N+](=O)[O-])ccc2OC)[C@@H](C)CO1 ZINC000593065227 349200590 /nfs/dbraw/zinc/20/05/90/349200590.db2.gz ZYGDAMZGCXXZMF-SMDDNHRTSA-N 0 0 294.351 2.603 20 5 CFBDRN CC[C@H]1CCCN(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593085338 349204848 /nfs/dbraw/zinc/20/48/48/349204848.db2.gz UUQSRZGBZNYEPW-NSHDSACASA-N 0 0 294.326 2.925 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCC2(CO)CCC2)c(F)c1 ZINC000593512088 349287781 /nfs/dbraw/zinc/28/77/81/349287781.db2.gz IPPPFMFGASPYAR-UHFFFAOYSA-N 0 0 286.278 2.838 20 5 CFBDRN COc1cccc(N2CC[C@@]3(CC3(F)F)C2)c1[N+](=O)[O-] ZINC000593521731 349290360 /nfs/dbraw/zinc/29/03/60/349290360.db2.gz PJOYQZNCHGQSGU-GFCCVEGCSA-N 0 0 284.262 2.839 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)[C@H](C)CO1 ZINC000593283054 349240947 /nfs/dbraw/zinc/24/09/47/349240947.db2.gz DPGUVCPPROZIRE-DGCLKSJQSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])[C@H](C)CO1 ZINC000593283584 349241662 /nfs/dbraw/zinc/24/16/62/349241662.db2.gz JBADIEOJYIVTTL-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593284591 349241690 /nfs/dbraw/zinc/24/16/90/349241690.db2.gz WOTVMGZMVLBOQL-BXUZGUMPSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NC1(C2CCC2)CC1 ZINC000593306857 349244012 /nfs/dbraw/zinc/24/40/12/349244012.db2.gz HTRCJSMJEMOGFZ-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN C[C@@H](NC(=O)COc1cccc([N+](=O)[O-])c1)C(C)(C)C ZINC000593341522 349250697 /nfs/dbraw/zinc/25/06/97/349250697.db2.gz FMZQIFMGBQCZIM-SNVBAGLBSA-N 0 0 280.324 2.524 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@]3(CC3(F)F)C2)ccc1[N+](=O)[O-] ZINC000593355714 349251546 /nfs/dbraw/zinc/25/15/46/349251546.db2.gz HXGJAXMIOJJFEL-CYBMUJFWSA-N 0 0 296.273 2.775 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])N1CC[C@H]2CCC[C@H]21 ZINC000593625966 349301414 /nfs/dbraw/zinc/30/14/14/349301414.db2.gz RGGVEBLFXQCXQJ-ZWNOBZJWSA-N 0 0 292.310 2.677 20 5 CFBDRN CC[C@H](CCO)Nc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461820 349275578 /nfs/dbraw/zinc/27/55/78/349275578.db2.gz PCVLQXHCVJTTOK-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@H]1CC[C@H](O)CC1 ZINC000593465214 349276072 /nfs/dbraw/zinc/27/60/72/349276072.db2.gz LDMBLRUYJVCHDX-UMSPYCQHSA-N 0 0 287.319 2.858 20 5 CFBDRN CC[C@H](CNc1ccnc2cc([N+](=O)[O-])ccc21)OC ZINC000593472632 349277286 /nfs/dbraw/zinc/27/72/86/349277286.db2.gz HHHKKJDLSWBDHB-LLVKDONJSA-N 0 0 275.308 2.980 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593480842 349279622 /nfs/dbraw/zinc/27/96/22/349279622.db2.gz GTPSDMPJPMJBRV-ZJUUUORDSA-N 0 0 280.299 2.533 20 5 CFBDRN COc1cccc(N2CCC[C@H](OC(C)C)C2)c1[N+](=O)[O-] ZINC000593487848 349282332 /nfs/dbraw/zinc/28/23/32/349282332.db2.gz XPTDHRZYRQUAEN-LBPRGKRZSA-N 0 0 294.351 2.997 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@@H]1C[C@H]3CC[C@@H]1O3)CCCC2 ZINC000593493617 349283835 /nfs/dbraw/zinc/28/38/35/349283835.db2.gz QXHSOUZPLHXAIX-QKCSRTOESA-N 0 0 289.335 2.600 20 5 CFBDRN C[C@H](COCC1CC1)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000593509570 349287146 /nfs/dbraw/zinc/28/71/46/349287146.db2.gz XFDDUFJQMFMPOX-SECBINFHSA-N 0 0 268.288 2.961 20 5 CFBDRN O=C1CCN(Cc2c(F)cccc2[N+](=O)[O-])C2(CCC2)C1 ZINC000594010634 349352723 /nfs/dbraw/zinc/35/27/23/349352723.db2.gz DFKYCFYGRLTYPW-UHFFFAOYSA-N 0 0 292.310 2.822 20 5 CFBDRN CCC[N@H+](CC)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593733572 349316231 /nfs/dbraw/zinc/31/62/31/349316231.db2.gz XCLAMOYIRHKVBM-UHFFFAOYSA-N 0 0 266.297 2.525 20 5 CFBDRN C[C@H]1CCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593732562 349316326 /nfs/dbraw/zinc/31/63/26/349316326.db2.gz PBVLTCCNOLHTJH-JTQLQIEISA-N 0 0 278.308 2.525 20 5 CFBDRN CC1(C)CCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593739991 349318080 /nfs/dbraw/zinc/31/80/80/349318080.db2.gz FICRUVZZAQDIQQ-UHFFFAOYSA-N 0 0 292.335 2.915 20 5 CFBDRN CC[C@@H](C)[N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593740138 349318198 /nfs/dbraw/zinc/31/81/98/349318198.db2.gz HXUFZGVGBMYSGZ-SECBINFHSA-N 0 0 266.297 2.523 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC12CCCC2 ZINC000593757383 349321757 /nfs/dbraw/zinc/32/17/57/349321757.db2.gz LGOGNNFHKNGEOD-UHFFFAOYSA-N 0 0 278.283 2.893 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCC12CCCC2 ZINC000593761067 349321830 /nfs/dbraw/zinc/32/18/30/349321830.db2.gz WMHDSJMPRZDFJH-UHFFFAOYSA-N 0 0 266.322 2.815 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCCO1 ZINC000594178881 349390873 /nfs/dbraw/zinc/39/08/73/349390873.db2.gz DNHYJUXJVCKYOE-KBPBESRZSA-N 0 0 292.335 2.672 20 5 CFBDRN CC(C)(NC(=O)Cc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000594062651 349366971 /nfs/dbraw/zinc/36/69/71/349366971.db2.gz LJASMTNIXYZXNN-UHFFFAOYSA-N 0 0 286.278 2.687 20 5 CFBDRN CC(C)(C)O[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000594134102 349382861 /nfs/dbraw/zinc/38/28/61/349382861.db2.gz CMQLLOZXKNQVFW-AULYBMBSSA-N 0 0 292.335 2.671 20 5 CFBDRN COc1ccc(C(C)C)cc1Cn1cc([N+](=O)[O-])cn1 ZINC000594456862 349426445 /nfs/dbraw/zinc/42/64/45/349426445.db2.gz UMOKIRBHYVEMLB-UHFFFAOYSA-N 0 0 275.308 2.972 20 5 CFBDRN COc1ccc(CSCCC(C)(C)O)cc1[N+](=O)[O-] ZINC000594456265 349426496 /nfs/dbraw/zinc/42/64/96/349426496.db2.gz DQIHLFNTVJXRJI-UHFFFAOYSA-N 0 0 285.365 2.998 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000596972386 350009687 /nfs/dbraw/zinc/00/96/87/350009687.db2.gz XXLYORZVXWPPCT-IACUBPJLSA-N 0 0 274.320 2.647 20 5 CFBDRN CCCn1nccc1CN(C)Cc1ccccc1[N+](=O)[O-] ZINC000596979814 350011616 /nfs/dbraw/zinc/01/16/16/350011616.db2.gz PQUAVBPNMBOINW-UHFFFAOYSA-N 0 0 288.351 2.833 20 5 CFBDRN COc1cc(-c2nc([C@H]3CCCO3)no2)ccc1[N+](=O)[O-] ZINC000274069816 192427157 /nfs/dbraw/zinc/42/71/57/192427157.db2.gz FOBGYQNVBCRLQR-SNVBAGLBSA-N 0 0 291.263 2.505 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N ZINC000597092537 350029172 /nfs/dbraw/zinc/02/91/72/350029172.db2.gz NIAPUPGUWXKLNI-SVRRBLITSA-N 0 0 298.730 2.750 20 5 CFBDRN CN(C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1N)C1CCC1 ZINC000597105845 350029961 /nfs/dbraw/zinc/02/99/61/350029961.db2.gz YLIZPAISGHXLIJ-UHFFFAOYSA-N 0 0 298.730 2.847 20 5 CFBDRN C[C@H](O)[C@@H](NCc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000597249656 350072594 /nfs/dbraw/zinc/07/25/94/350072594.db2.gz XKOKYXVTAJARMP-BLLLJJGKSA-N 0 0 286.331 2.807 20 5 CFBDRN CCCOCc1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000274079708 192430680 /nfs/dbraw/zinc/43/06/80/192430680.db2.gz XCWMPXCXRMRKHD-UHFFFAOYSA-N 0 0 291.307 2.804 20 5 CFBDRN CCc1nocc1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000597473057 350101188 /nfs/dbraw/zinc/10/11/88/350101188.db2.gz BMVAJCDHTWUDHX-VIFPVBQESA-N 0 0 289.291 2.636 20 5 CFBDRN CO[C@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C1CCC1 ZINC000597480315 350102726 /nfs/dbraw/zinc/10/27/26/350102726.db2.gz XMMCECXGDLYPEZ-HZMBPMFUSA-N 0 0 292.335 2.587 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CCC2(C)C)cccc1[N+](=O)[O-] ZINC000597555674 350110369 /nfs/dbraw/zinc/11/03/69/350110369.db2.gz QPGHPMDPCWPYHC-LBPRGKRZSA-N 0 0 276.336 2.956 20 5 CFBDRN Cc1ccoc1CC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000597555242 350110546 /nfs/dbraw/zinc/11/05/46/350110546.db2.gz KBCOEPXXEULLGD-UHFFFAOYSA-N 0 0 288.303 2.664 20 5 CFBDRN C[C@@H](N[C@@H](C)C(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000090578665 180008309 /nfs/dbraw/zinc/00/83/09/180008309.db2.gz LESLFHLRQXSHEF-MNOVXSKESA-N 0 0 294.351 2.976 20 5 CFBDRN O=C(CCCF)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000597411095 350093789 /nfs/dbraw/zinc/09/37/89/350093789.db2.gz SXHWCFFFSULERU-UHFFFAOYSA-N 0 0 280.299 2.836 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000597449773 350099149 /nfs/dbraw/zinc/09/91/49/350099149.db2.gz LVCITGRPFQSQDP-CYBMUJFWSA-N 0 0 276.336 2.690 20 5 CFBDRN O=C(N[C@@H]1CCCC12CCCCC2)c1cc([N+](=O)[O-])n[nH]1 ZINC000597873244 350147275 /nfs/dbraw/zinc/14/72/75/350147275.db2.gz GEDTUCVOIVEFSP-LLVKDONJSA-N 0 0 292.339 2.551 20 5 CFBDRN Cc1ccc(C(=O)N[C@@]23C[C@@H]2CCC3)cc1[N+](=O)[O-] ZINC000597886794 350148500 /nfs/dbraw/zinc/14/85/00/350148500.db2.gz TUDSXIMKRMMWDU-FZMZJTMJSA-N 0 0 260.293 2.576 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@]12C[C@@H]1CCC2 ZINC000597889570 350149001 /nfs/dbraw/zinc/14/90/01/350149001.db2.gz MXXCYCJNLSCZOB-WFASDCNBSA-N 0 0 274.320 2.586 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@]12C[C@H]1CCC2 ZINC000597890590 350149877 /nfs/dbraw/zinc/14/98/77/350149877.db2.gz UAUXUBAPFVFFAL-QMTHXVAHSA-N 0 0 260.293 2.576 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@@H]1C ZINC000104620438 186011469 /nfs/dbraw/zinc/01/14/69/186011469.db2.gz QKCAQKSAUNFJOZ-GWCFXTLKSA-N 0 0 262.309 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H]3CCCC[C@@H]32)c1 ZINC000597827539 350141094 /nfs/dbraw/zinc/14/10/94/350141094.db2.gz BUWFXTUNSIKDOS-GWCFXTLKSA-N 0 0 290.319 2.618 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H]3CCCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000597830057 350141441 /nfs/dbraw/zinc/14/14/41/350141441.db2.gz MIUOEHLGZUAMSI-JSGCOSHPSA-N 0 0 274.320 2.918 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1COC(C)(C)C1 ZINC000597860139 350144879 /nfs/dbraw/zinc/14/48/79/350144879.db2.gz ASJDROXMQFZWHX-LLVKDONJSA-N 0 0 292.335 2.509 20 5 CFBDRN CC[C@H](CSC)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000091150896 180106731 /nfs/dbraw/zinc/10/67/31/180106731.db2.gz NASSVZCEKIRTTI-GFCCVEGCSA-N 0 0 296.392 2.737 20 5 CFBDRN CC[C@H](COCC1CC1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000597918737 350158271 /nfs/dbraw/zinc/15/82/71/350158271.db2.gz IBPDIRAWWQUOCM-CYBMUJFWSA-N 0 0 292.335 2.530 20 5 CFBDRN CC[C@H](C)CCNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000597977179 350170091 /nfs/dbraw/zinc/17/00/91/350170091.db2.gz HRQBTOUKVMPSNO-JTQLQIEISA-N 0 0 282.315 2.829 20 5 CFBDRN Cc1cc(C(=O)NCCc2cnccc2C)cc([N+](=O)[O-])c1 ZINC000597978087 350170277 /nfs/dbraw/zinc/17/02/77/350170277.db2.gz MYWQORVZXITYHM-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@@H](C)CCNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000597975026 350168394 /nfs/dbraw/zinc/16/83/94/350168394.db2.gz GKGBXAQQNNUSGF-LLVKDONJSA-N 0 0 279.340 2.559 20 5 CFBDRN CC[C@H](C)CCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000597976339 350169170 /nfs/dbraw/zinc/16/91/70/350169170.db2.gz UXMNNKAZOKQZMT-VIFPVBQESA-N 0 0 268.288 2.900 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000598063547 350183509 /nfs/dbraw/zinc/18/35/09/350183509.db2.gz AGXQWPKGCYDQIS-MGCOHNPYSA-N 0 0 298.726 2.546 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCC[C@H](C)O2)c1 ZINC000598214650 350216047 /nfs/dbraw/zinc/21/60/47/350216047.db2.gz URVKEEHEPMXIIK-NWDGAFQWSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1c(C(=O)NC[C@@H]2CCC[C@H](C)O2)cccc1[N+](=O)[O-] ZINC000598213291 350216146 /nfs/dbraw/zinc/21/61/46/350216146.db2.gz NQVOFTYQUMTDHT-JQWIXIFHSA-N 0 0 292.335 2.591 20 5 CFBDRN CCCc1n[nH]c(C(=O)NCCCC2CCC2)c1[N+](=O)[O-] ZINC000598215919 350217029 /nfs/dbraw/zinc/21/70/29/350217029.db2.gz NMXGAVICCDFPLV-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN C[C@@]1(CCNC(=O)Cc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000598217966 350217512 /nfs/dbraw/zinc/21/75/12/350217512.db2.gz FEGJTXZQFBCXME-CYBMUJFWSA-N 0 0 298.289 2.689 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])cc2F)CC1(F)F ZINC000598219090 350218331 /nfs/dbraw/zinc/21/83/31/350218331.db2.gz OPHCGYGDEBVWOR-LLVKDONJSA-N 0 0 288.225 2.509 20 5 CFBDRN CCc1nn(C)c(NCc2ccccc2F)c1[N+](=O)[O-] ZINC000091562500 180192515 /nfs/dbraw/zinc/19/25/15/180192515.db2.gz JHSDXMREADRCQN-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN CC[C@H](NC(=O)CSc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598233528 350221690 /nfs/dbraw/zinc/22/16/90/350221690.db2.gz OREXVXDOUWRKLD-NSHDSACASA-N 0 0 294.376 2.992 20 5 CFBDRN CC[C@H](NC(=O)Cc1c(F)cc([N+](=O)[O-])cc1F)C1CC1 ZINC000598234101 350222455 /nfs/dbraw/zinc/22/24/55/350222455.db2.gz QYIJHPRMJDBZTM-ZDUSSCGKSA-N 0 0 298.289 2.720 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CC[C@H](C2CCCCC2)C1 ZINC000598150763 350209846 /nfs/dbraw/zinc/20/98/46/350209846.db2.gz KBBZPOMUSUWGTB-LBPRGKRZSA-N 0 0 291.351 2.965 20 5 CFBDRN Cc1cc(C(=O)NCCCOC(C)(C)C)cc([N+](=O)[O-])c1 ZINC000598192322 350211922 /nfs/dbraw/zinc/21/19/22/350211922.db2.gz YXCOERQKOMDJKD-UHFFFAOYSA-N 0 0 294.351 2.838 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])NC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000598208670 350214401 /nfs/dbraw/zinc/21/44/01/350214401.db2.gz MUWJQHKKEUEKQB-XBFCOCLRSA-N 0 0 288.347 2.690 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1csc([N+](=O)[O-])c1 ZINC000598208757 350214494 /nfs/dbraw/zinc/21/44/94/350214494.db2.gz OOKLFFRRCYSOOD-YWVKMMECSA-N 0 0 280.349 2.822 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CCC[C@H](C)O1 ZINC000598211409 350215207 /nfs/dbraw/zinc/21/52/07/350215207.db2.gz FCHHTVKHUSDWRO-RYUDHWBXSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)O[C@@H](C)C2)c1 ZINC000598298925 350229007 /nfs/dbraw/zinc/22/90/07/350229007.db2.gz WSICLCQBTKZGMO-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN CCc1n[nH]c(C(=O)N2C[C@H](CC)CC[C@H]2C)c1[N+](=O)[O-] ZINC000598299732 350229314 /nfs/dbraw/zinc/22/93/14/350229314.db2.gz RWOZNEWLBWSPQA-NXEZZACHSA-N 0 0 294.355 2.531 20 5 CFBDRN CCSC1(CNC(=O)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000598335666 350238655 /nfs/dbraw/zinc/23/86/55/350238655.db2.gz RZGHARNOOFMTRZ-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN CCSC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000598338036 350239258 /nfs/dbraw/zinc/23/92/58/350239258.db2.gz POHOLTLYQHUSKM-UHFFFAOYSA-N 0 0 280.349 2.610 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000105384198 186055869 /nfs/dbraw/zinc/05/58/69/186055869.db2.gz IQCROMGABBHMOT-MFKMUULPSA-N 0 0 291.351 2.579 20 5 CFBDRN C[C@@H](C(=O)NCC1=CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000598374823 350251491 /nfs/dbraw/zinc/25/14/91/350251491.db2.gz DRJDVLYDRJTREW-LLVKDONJSA-N 0 0 274.320 2.925 20 5 CFBDRN CC(NC(=O)Cc1ccc([N+](=O)[O-])cc1)(C1CC1)C1CC1 ZINC000598380349 350252770 /nfs/dbraw/zinc/25/27/70/350252770.db2.gz DPRDTOMWIFCZJF-UHFFFAOYSA-N 0 0 288.347 2.832 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C(C)C)C1CC1 ZINC000598381000 350253120 /nfs/dbraw/zinc/25/31/20/350253120.db2.gz IIKGNLNOFSGGFH-CQSZACIVSA-N 0 0 292.335 2.768 20 5 CFBDRN Cc1cc(C(=O)N[C@]2(C)CC2(C)C)ccc1[N+](=O)[O-] ZINC000598384602 350254309 /nfs/dbraw/zinc/25/43/09/350254309.db2.gz FEAXIADPGUFXDR-CQSZACIVSA-N 0 0 262.309 2.822 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000598384044 350254444 /nfs/dbraw/zinc/25/44/44/350254444.db2.gz BNJSEIFIHNHMGE-CYBMUJFWSA-N 0 0 266.272 2.652 20 5 CFBDRN CCc1nn(C)c(NCC2(CC)CCC2)c1[N+](=O)[O-] ZINC000091735977 180243088 /nfs/dbraw/zinc/24/30/88/180243088.db2.gz OQGOUIOWDAMPAI-UHFFFAOYSA-N 0 0 266.345 2.883 20 5 CFBDRN CNc1ccc(C(=O)N[C@@]2(C)CC2(C)C)cc1[N+](=O)[O-] ZINC000598385930 350254510 /nfs/dbraw/zinc/25/45/10/350254510.db2.gz MZPKEJJMSGKBSB-AWEZNQCLSA-N 0 0 277.324 2.555 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@]1(C)CC1(C)C ZINC000598384510 350254525 /nfs/dbraw/zinc/25/45/25/350254525.db2.gz FTIAEWKRNKXMDD-CQSZACIVSA-N 0 0 278.308 2.522 20 5 CFBDRN CC1(C)C[C@@]1(C)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000598383976 350254570 /nfs/dbraw/zinc/25/45/70/350254570.db2.gz AQQAYIKHHOHKLU-OAHLLOKOSA-N 0 0 292.335 2.669 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000598385913 350254589 /nfs/dbraw/zinc/25/45/89/350254589.db2.gz MBNKSMFTYOKODT-HNNXBMFYSA-N 0 0 287.319 2.995 20 5 CFBDRN Cc1c(CC(=O)N(C)C[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000598549430 350281411 /nfs/dbraw/zinc/28/14/11/350281411.db2.gz AEBROWLYKAJIMA-ZDUSSCGKSA-N 0 0 290.363 2.950 20 5 CFBDRN CN(C[C@@H]1CC1(C)C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000598549777 350281443 /nfs/dbraw/zinc/28/14/43/350281443.db2.gz DEMKPGICZDSLNL-LBPRGKRZSA-N 0 0 276.336 2.642 20 5 CFBDRN Cc1c(CC(=O)N(C)C[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000598549429 350281532 /nfs/dbraw/zinc/28/15/32/350281532.db2.gz AEBROWLYKAJIMA-CYBMUJFWSA-N 0 0 290.363 2.950 20 5 CFBDRN CN(C[C@H]1CC1(C)C)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000598551005 350282144 /nfs/dbraw/zinc/28/21/44/350282144.db2.gz PEDDOSNOJNOGLC-SECBINFHSA-N 0 0 280.299 2.852 20 5 CFBDRN COc1c(C(=O)N(C)C[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000598550905 350282164 /nfs/dbraw/zinc/28/21/64/350282164.db2.gz NXNJIKJWZVFYPY-JTQLQIEISA-N 0 0 292.335 2.722 20 5 CFBDRN COCC1(NC(=O)c2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000598554151 350282198 /nfs/dbraw/zinc/28/21/98/350282198.db2.gz GTLNSFCDBHVEQM-UHFFFAOYSA-N 0 0 292.335 2.674 20 5 CFBDRN COc1cc(C(=O)N(C)C[C@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000598564654 350282478 /nfs/dbraw/zinc/28/24/78/350282478.db2.gz KOWASTYDICAXIA-LLVKDONJSA-N 0 0 292.335 2.722 20 5 CFBDRN C[C@H](C(=O)N1CC2CC1(C)C2)c1ccc([N+](=O)[O-])cc1F ZINC000598571560 350284072 /nfs/dbraw/zinc/28/40/72/350284072.db2.gz QLTUSGKVQYRUAG-YLTRJXTNSA-N 0 0 292.310 2.848 20 5 CFBDRN O=[N+]([O-])c1cccc(NCc2nc(-c3ccoc3)no2)c1 ZINC000105606139 186064704 /nfs/dbraw/zinc/06/47/04/186064704.db2.gz RAIUJFSCEJJDFY-UHFFFAOYSA-N 0 0 286.247 2.850 20 5 CFBDRN Cc1sc(C(=O)NCCCc2ccco2)cc1[N+](=O)[O-] ZINC000598436173 350268098 /nfs/dbraw/zinc/26/80/98/350268098.db2.gz LNSMGYCETKYLEG-UHFFFAOYSA-N 0 0 294.332 2.920 20 5 CFBDRN COC(=O)c1ccnc(SC2CCCC2)c1[N+](=O)[O-] ZINC000598583904 350285254 /nfs/dbraw/zinc/28/52/54/350285254.db2.gz HAFDXVRVDHWRKO-UHFFFAOYSA-N 0 0 282.321 2.811 20 5 CFBDRN CCCCC[C@H]1CCCCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000598462306 350271944 /nfs/dbraw/zinc/27/19/44/350271944.db2.gz YZHHJJFJWNQERC-NSHDSACASA-N 0 0 294.355 2.893 20 5 CFBDRN COc1cccc(C(=O)NC2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000598504653 350277517 /nfs/dbraw/zinc/27/75/17/350277517.db2.gz LPNZCDXTDOELJJ-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN Cc1ccc(C(=O)NC2CC(C)(C)C2)cc1[N+](=O)[O-] ZINC000598503487 350277859 /nfs/dbraw/zinc/27/78/59/350277859.db2.gz XKWFAOVSGDVHSR-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nc(-c2ccoc2)no1 ZINC000105571741 186063747 /nfs/dbraw/zinc/06/37/47/186063747.db2.gz LJCJHYDVGZEPME-UHFFFAOYSA-N 0 0 287.231 2.817 20 5 CFBDRN Cc1cc(C(=O)N(C)CC2=CCSC2)cc([N+](=O)[O-])c1 ZINC000598515660 350279479 /nfs/dbraw/zinc/27/94/79/350279479.db2.gz YNYAOYXNULAHSC-UHFFFAOYSA-N 0 0 292.360 2.648 20 5 CFBDRN C[C@@H]1CCN(c2c(Cl)cccc2[N+](=O)[O-])C[C@@H]1CO ZINC000598639357 350298884 /nfs/dbraw/zinc/29/88/84/350298884.db2.gz SGXBTVUVHHCANA-NXEZZACHSA-N 0 0 284.743 2.703 20 5 CFBDRN CC1(C)CC[C@H](CO)[N@H+](Cc2ccccc2[N+](=O)[O-])C1 ZINC000598647081 350301731 /nfs/dbraw/zinc/30/17/31/350301731.db2.gz KGTKCDDKDLLPKS-CYBMUJFWSA-N 0 0 278.352 2.578 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000598856427 350341111 /nfs/dbraw/zinc/34/11/11/350341111.db2.gz VZZOYXRWATVPDQ-VIFPVBQESA-N 0 0 294.307 2.584 20 5 CFBDRN CC[C@@H](O)CCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599056085 350367515 /nfs/dbraw/zinc/36/75/15/350367515.db2.gz JJIZPOGLNIWSEO-LLVKDONJSA-N 0 0 275.308 2.716 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC(Cc2ccsc2)C1 ZINC000598904575 350346140 /nfs/dbraw/zinc/34/61/40/350346140.db2.gz AEOJAMMXUGWEBM-UHFFFAOYSA-N 0 0 292.316 2.564 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000598953931 350348282 /nfs/dbraw/zinc/34/82/82/350348282.db2.gz HPOCBLHIXXAGSS-COMAGPEQSA-N 0 0 278.308 2.593 20 5 CFBDRN C[C@@H](CCCO)Nc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599063097 350368142 /nfs/dbraw/zinc/36/81/42/350368142.db2.gz WMVWKHIFAQMPKI-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1cnn(CCF)c1 ZINC000598993901 350356574 /nfs/dbraw/zinc/35/65/74/350356574.db2.gz DUFDONUOSTZDTN-UHFFFAOYSA-N 0 0 278.287 2.681 20 5 CFBDRN CC[C@H]1CN(c2ccc3cnccc3c2[N+](=O)[O-])CCO1 ZINC000599018838 350359602 /nfs/dbraw/zinc/35/96/02/350359602.db2.gz NSTPCVMKQXRIHD-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599043487 350363374 /nfs/dbraw/zinc/36/33/74/350363374.db2.gz MXUFTRAVFVXEQQ-QMTHXVAHSA-N 0 0 289.335 2.962 20 5 CFBDRN CCC[C@H](OCC)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000599042134 350363394 /nfs/dbraw/zinc/36/33/94/350363394.db2.gz KCVNCRPDLCPLCU-AWEZNQCLSA-N 0 0 294.351 2.758 20 5 CFBDRN CCC[C@@H](O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599044937 350364241 /nfs/dbraw/zinc/36/42/41/350364241.db2.gz VMKXYUZPPVFZGM-LLVKDONJSA-N 0 0 275.308 2.716 20 5 CFBDRN COC[C@@H]1CCN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000599046450 350365194 /nfs/dbraw/zinc/36/51/94/350365194.db2.gz JNBLIGUXOXICQK-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1c(CC(=O)N2CC(CC3CC3)C2)cccc1[N+](=O)[O-] ZINC000598780290 350328405 /nfs/dbraw/zinc/32/84/05/350328405.db2.gz ACXXXPCHGIFLJI-UHFFFAOYSA-N 0 0 288.347 2.704 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC(CC2CC2)C1 ZINC000598781245 350329313 /nfs/dbraw/zinc/32/93/13/350329313.db2.gz JTHIHWXFDFLZMU-UHFFFAOYSA-N 0 0 288.347 2.786 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC(CC2CC2)C1 ZINC000598782084 350329351 /nfs/dbraw/zinc/32/93/51/350329351.db2.gz VLBPSMDYSNTWLO-UHFFFAOYSA-N 0 0 266.322 2.528 20 5 CFBDRN O=C(NCC[C@H]1CC1(F)F)c1cc(F)cc([N+](=O)[O-])c1 ZINC000598784852 350330017 /nfs/dbraw/zinc/33/00/17/350330017.db2.gz USZVTLRSKWGYOW-QMMMGPOBSA-N 0 0 288.225 2.509 20 5 CFBDRN O=C([C@H]1C[C@H]2C[C@H]2C1)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000598819643 350333994 /nfs/dbraw/zinc/33/39/94/350333994.db2.gz FRELYJHTRHFDOI-GDNZZTSVSA-N 0 0 286.331 2.920 20 5 CFBDRN COc1cccnc1N(C)CCc1ccccc1[N+](=O)[O-] ZINC000599083525 350372677 /nfs/dbraw/zinc/37/26/77/350372677.db2.gz YJWPPOULMSCBBV-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000599083535 350372693 /nfs/dbraw/zinc/37/26/93/350372693.db2.gz YUSOQPAGFUBTFX-JTQLQIEISA-N 0 0 275.308 2.614 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CC[C@H](F)C1 ZINC000599083425 350372747 /nfs/dbraw/zinc/37/27/47/350372747.db2.gz LROVDDMZLAZIBX-JTQLQIEISA-N 0 0 261.256 2.691 20 5 CFBDRN CC(C)[C@@H](CO)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599085234 350373342 /nfs/dbraw/zinc/37/33/42/350373342.db2.gz NGNLCALABVPCDG-GFCCVEGCSA-N 0 0 289.335 2.819 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](c2ccncc2)C1 ZINC000599098371 350375904 /nfs/dbraw/zinc/37/59/04/350375904.db2.gz SOAOFNJBHMMYGH-ZDUSSCGKSA-N 0 0 269.304 2.984 20 5 CFBDRN CCO[C@H](C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000599101925 350376092 /nfs/dbraw/zinc/37/60/92/350376092.db2.gz KSVQPMXPTDMRSR-RISCZKNCSA-N 0 0 294.351 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCC[C@@H]1CCCOC1)CCN2 ZINC000599116429 350377943 /nfs/dbraw/zinc/37/79/43/350377943.db2.gz IFNJPSIWVZPXKN-NSHDSACASA-N 0 0 291.351 2.791 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC[C@H]2CCCOC2)c1 ZINC000599116107 350378003 /nfs/dbraw/zinc/37/80/03/350378003.db2.gz DJJNCKGOOHFKIM-LLVKDONJSA-N 0 0 280.324 2.832 20 5 CFBDRN Cc1cnc(NCC[C@H]2CCCOC2)c([N+](=O)[O-])c1 ZINC000599116119 350378070 /nfs/dbraw/zinc/37/80/70/350378070.db2.gz FCIZMENMAICCLC-LLVKDONJSA-N 0 0 265.313 2.527 20 5 CFBDRN Nc1c(F)c(NCC[C@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000599116443 350378170 /nfs/dbraw/zinc/37/81/70/350378170.db2.gz ILOBYOGVNWADGY-SECBINFHSA-N 0 0 283.303 2.545 20 5 CFBDRN CCC[C@@H](CNc1c([N+](=O)[O-])c(CC)nn1C)OCC ZINC000599118535 350378549 /nfs/dbraw/zinc/37/85/49/350378549.db2.gz JCUAZCUHVFCUBB-JTQLQIEISA-N 0 0 284.360 2.508 20 5 CFBDRN CCC[C@@H](CNc1c([N+](=O)[O-])nc(C)n1CC)OCC ZINC000599119972 350378954 /nfs/dbraw/zinc/37/89/54/350378954.db2.gz XDXDKUGBRQDYOQ-NSHDSACASA-N 0 0 284.360 2.737 20 5 CFBDRN COCCC1CCN(c2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000599121383 350379786 /nfs/dbraw/zinc/37/97/86/350379786.db2.gz WKJGMXVOXAPDDS-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN COCCC1CCN(c2c(OC)cccc2[N+](=O)[O-])CC1 ZINC000599121266 350379847 /nfs/dbraw/zinc/37/98/47/350379847.db2.gz RQJMPMHZOZUJOV-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN COCCC1CCN(c2ccc([N+](=O)[O-])c(N)c2F)CC1 ZINC000599121435 350379922 /nfs/dbraw/zinc/37/99/22/350379922.db2.gz ZWMGXZAGRATHPA-UHFFFAOYSA-N 0 0 297.330 2.569 20 5 CFBDRN Cc1nc(S[C@@H]2COC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000599125054 350380546 /nfs/dbraw/zinc/38/05/46/350380546.db2.gz GHJNXCJNTJKKQJ-VIFPVBQESA-N 0 0 268.338 2.958 20 5 CFBDRN O=c1[nH]cnc2cc(NCC3CC=CC3)c([N+](=O)[O-])cc12 ZINC000599128341 350381291 /nfs/dbraw/zinc/38/12/91/350381291.db2.gz XQDNVTTUVCVCOF-UHFFFAOYSA-N 0 0 286.291 2.622 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCCC(F)(F)CC1 ZINC000599133232 350381644 /nfs/dbraw/zinc/38/16/44/350381644.db2.gz GAGMPLKMTQWZMZ-UHFFFAOYSA-N 0 0 257.240 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(COCC(F)F)n1 ZINC000599132822 350381889 /nfs/dbraw/zinc/38/18/89/350381889.db2.gz PROOZGJYOAOXGL-UHFFFAOYSA-N 0 0 299.233 2.735 20 5 CFBDRN Cc1ccc(C(C)(C)CNc2ccncc2[N+](=O)[O-])nc1 ZINC000599137205 350382282 /nfs/dbraw/zinc/38/22/82/350382282.db2.gz HLQYHNQHTWNOGH-UHFFFAOYSA-N 0 0 286.335 2.505 20 5 CFBDRN Cc1nc(N[C@@H](CO)CCC(C)C)ccc1[N+](=O)[O-] ZINC000599137170 350382373 /nfs/dbraw/zinc/38/23/73/350382373.db2.gz FYJOSDOQAOKBDE-LLVKDONJSA-N 0 0 267.329 2.507 20 5 CFBDRN CN(CC1(C)COC1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000599145564 350384851 /nfs/dbraw/zinc/38/48/51/350384851.db2.gz XJDKOZFMBCJTIG-UHFFFAOYSA-N 0 0 270.716 2.721 20 5 CFBDRN CN(CC1(C)COC1)c1ccc([N+](=O)[O-])c2cccnc21 ZINC000599145535 350384891 /nfs/dbraw/zinc/38/48/91/350384891.db2.gz WIIQJEGIJVXKLZ-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000599166100 350388064 /nfs/dbraw/zinc/38/80/64/350388064.db2.gz VHQJXQKNUOVYLE-SDCKUUTBSA-N 0 0 285.731 2.867 20 5 CFBDRN Cc1cc(N2C[C@@H]3CCC[C@]3(CO)C2)c([N+](=O)[O-])s1 ZINC000599173623 350389316 /nfs/dbraw/zinc/38/93/16/350389316.db2.gz GILSFZFGUZMYQA-GXFFZTMASA-N 0 0 282.365 2.564 20 5 CFBDRN COc1cc(N[C@@H]2CO[C@H](C3CC3)C2)c([N+](=O)[O-])cc1F ZINC000599180023 350390455 /nfs/dbraw/zinc/39/04/55/350390455.db2.gz IJSBBGQEAZFEBM-ZANVPECISA-N 0 0 296.298 2.722 20 5 CFBDRN CC(=O)c1ccc(N[C@H]2CO[C@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000599180838 350390779 /nfs/dbraw/zinc/39/07/79/350390779.db2.gz MPPOXYAWJMURFI-DOMZBBRYSA-N 0 0 290.319 2.777 20 5 CFBDRN Cc1cc(N[C@@H]2CO[C@@H](C3CC3)C2)c([N+](=O)[O-])s1 ZINC000599180319 350390818 /nfs/dbraw/zinc/39/08/18/350390818.db2.gz KPFRQAWASJNDNE-GXSJLCMTSA-N 0 0 268.338 2.944 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CO[C@@H](C3CC3)C2)c(F)c1 ZINC000599181760 350391558 /nfs/dbraw/zinc/39/15/58/350391558.db2.gz UFIPIQSWGYOPST-NOZJJQNGSA-N 0 0 266.272 2.713 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CO[C@@H](C3CC3)C2)n1 ZINC000599181737 350391738 /nfs/dbraw/zinc/39/17/38/350391738.db2.gz SWBFNKNKLBVMHA-VXGBXAGGSA-N 0 0 277.324 2.586 20 5 CFBDRN CCC(O)(CC)CN(C)c1cc([N+](=O)[O-])ccc1C(C)=O ZINC000599190937 350392879 /nfs/dbraw/zinc/39/28/79/350392879.db2.gz JPQDJESHDZTGNI-UHFFFAOYSA-N 0 0 294.351 2.785 20 5 CFBDRN CCC(O)(CC)CN(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000599189471 350392904 /nfs/dbraw/zinc/39/29/04/350392904.db2.gz QICMNUNQRHRTCV-UHFFFAOYSA-N 0 0 270.304 2.721 20 5 CFBDRN Cc1nc(N2CC[C@@H](c3ccc(O)cc3)C2)ccc1[N+](=O)[O-] ZINC000599190507 350393044 /nfs/dbraw/zinc/39/30/44/350393044.db2.gz DGKYCZDKCJNQLS-CYBMUJFWSA-N 0 0 299.330 2.998 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000599197456 350394306 /nfs/dbraw/zinc/39/43/06/350394306.db2.gz HIOPNQAKSLNZEM-WAAGHKOSSA-N 0 0 254.261 2.571 20 5 CFBDRN O=C(COc1ccc(Cl)cc1[N+](=O)[O-])C1CCOCC1 ZINC000092252356 180353271 /nfs/dbraw/zinc/35/32/71/180353271.db2.gz OLPQTMBHVIFRDI-UHFFFAOYSA-N 0 0 299.710 2.623 20 5 CFBDRN CCC[C@H](OCC)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000599537438 350452965 /nfs/dbraw/zinc/45/29/65/350452965.db2.gz LVLOZENFMGMPEZ-AWEZNQCLSA-N 0 0 292.335 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCN2Cc3ccccc3C2)cc1 ZINC000106303384 186106657 /nfs/dbraw/zinc/10/66/57/186106657.db2.gz GPTYGUIGLPQEJI-UHFFFAOYSA-N 0 0 284.315 2.989 20 5 CFBDRN CCC[C@H](OCC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000599742870 350489951 /nfs/dbraw/zinc/48/99/51/350489951.db2.gz KJWRFZVSPTUZRG-FZMZJTMJSA-N 0 0 294.351 2.977 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000599790671 350493201 /nfs/dbraw/zinc/49/32/01/350493201.db2.gz IBPAKVVSUQDJNV-CYBMUJFWSA-N 0 0 296.323 2.747 20 5 CFBDRN CCO[C@@H](C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-])C1CC1 ZINC000599744955 350490024 /nfs/dbraw/zinc/49/00/24/350490024.db2.gz UCADXMMWFPLSLP-IINYFYTJSA-N 0 0 292.335 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(CC[N@H+]2CCc3c(O)cccc3C2)cc1 ZINC000599645866 350471032 /nfs/dbraw/zinc/47/10/32/350471032.db2.gz GTGMAIUGGZYJAA-UHFFFAOYSA-N 0 0 298.342 2.901 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCO[C@H](CCF)C1 ZINC000599641004 350471122 /nfs/dbraw/zinc/47/11/22/350471122.db2.gz GJOSFRQGVXPHTE-SMDDNHRTSA-N 0 0 282.315 2.716 20 5 CFBDRN CCN(Cc1ccc([N+](=O)[O-])cc1)CC(F)(F)F ZINC000106438724 186117183 /nfs/dbraw/zinc/11/71/83/186117183.db2.gz WDIKLLMBYNLADF-UHFFFAOYSA-N 0 0 262.231 2.979 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000599769220 350492680 /nfs/dbraw/zinc/49/26/80/350492680.db2.gz SFPZLSZQZHRDSG-OCCSQVGLSA-N 0 0 293.323 2.592 20 5 CFBDRN O=C(NCC1CC=CC1)Nc1ccc([N+](=O)[O-])cc1 ZINC000599780224 350493369 /nfs/dbraw/zinc/49/33/69/350493369.db2.gz UGYKSQLQWOSTEN-UHFFFAOYSA-N 0 0 261.281 2.683 20 5 CFBDRN CC(C)(CCc1noc(CCc2cccs2)n1)[N+](=O)[O-] ZINC000291109952 197920483 /nfs/dbraw/zinc/92/04/83/197920483.db2.gz SNODFJCEKFRKPP-UHFFFAOYSA-N 0 0 295.364 2.904 20 5 CFBDRN COCCC1CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 ZINC000600179168 350532119 /nfs/dbraw/zinc/53/21/19/350532119.db2.gz LKHHPGPDHCZUAP-UHFFFAOYSA-N 0 0 298.364 2.545 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCCC[C@@H]1CO ZINC000107904839 186197782 /nfs/dbraw/zinc/19/77/82/186197782.db2.gz KEOYTSCNYDLSLV-SNVBAGLBSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@H](C)C1(F)F ZINC000600188684 350535749 /nfs/dbraw/zinc/53/57/49/350535749.db2.gz NMGAJZMDUABVIZ-AOOOYVTPSA-N 0 0 298.289 2.958 20 5 CFBDRN CC(C)SCn1c(=O)onc1-c1cccc([N+](=O)[O-])c1 ZINC000600548344 350568664 /nfs/dbraw/zinc/56/86/64/350568664.db2.gz CIORKEZGRKLYME-UHFFFAOYSA-N 0 0 295.320 2.511 20 5 CFBDRN Cc1nn([C@H]2CCO[C@H](c3cccnc3)C2)cc1[N+](=O)[O-] ZINC000600545427 350568698 /nfs/dbraw/zinc/56/86/98/350568698.db2.gz NKBFSLDOXLHLHU-JSGCOSHPSA-N 0 0 288.307 2.588 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC1CC(C)(C)C1 ZINC000600542318 350568753 /nfs/dbraw/zinc/56/87/53/350568753.db2.gz BRPLKOOYZHWZJW-UHFFFAOYSA-N 0 0 250.298 2.501 20 5 CFBDRN Cc1nc(COc2c(C)c(C)ncc2[N+](=O)[O-])c(C)o1 ZINC000600549173 350569346 /nfs/dbraw/zinc/56/93/46/350569346.db2.gz QKLLPOFSLSRJDG-UHFFFAOYSA-N 0 0 277.280 2.790 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCC1CC=CC1 ZINC000600224596 350542781 /nfs/dbraw/zinc/54/27/81/350542781.db2.gz MQFNKQYUNNAMKL-UHFFFAOYSA-N 0 0 290.319 2.690 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCC1CC=CC1 ZINC000600223894 350542864 /nfs/dbraw/zinc/54/28/64/350542864.db2.gz FWTHAZNSEZTTHO-UHFFFAOYSA-N 0 0 274.320 2.908 20 5 CFBDRN O=C(NCC1CC=CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000600229502 350544585 /nfs/dbraw/zinc/54/45/85/350544585.db2.gz KXZFLEILVGPINT-UHFFFAOYSA-N 0 0 285.303 2.772 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C1CC1)C1CC1 ZINC000600359870 350549499 /nfs/dbraw/zinc/54/94/99/350549499.db2.gz MJMYDBUIGLKRFZ-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN C[C@H](C(=O)N(C1CC1)C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000600360489 350549712 /nfs/dbraw/zinc/54/97/12/350549712.db2.gz QELIUKGGCXFQBJ-JTQLQIEISA-N 0 0 274.320 2.852 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC[C@H]1CC=CCC1 ZINC000600390526 350552325 /nfs/dbraw/zinc/55/23/25/350552325.db2.gz DNNNOMSCBMROOL-LBPRGKRZSA-N 0 0 289.335 2.774 20 5 CFBDRN C/C=C/CNC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000600492050 350559017 /nfs/dbraw/zinc/55/90/17/350559017.db2.gz PAFPZTCJXKRZLU-NSCUHMNNSA-N 0 0 288.225 2.920 20 5 CFBDRN CCO[C@H](COc1cc([N+](=O)[O-])ccc1OC)C1CC1 ZINC000600508993 350560352 /nfs/dbraw/zinc/56/03/52/350560352.db2.gz PJFCTVYDSLZZRO-CQSZACIVSA-N 0 0 281.308 2.797 20 5 CFBDRN COC(=O)CCCCOc1ccc(C)cc1[N+](=O)[O-] ZINC000600513495 350560727 /nfs/dbraw/zinc/56/07/27/350560727.db2.gz PVKDCQAFIJHDPH-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CCO[C@@H](COc1ccc(OC)cc1[N+](=O)[O-])C1CC1 ZINC000600511960 350561152 /nfs/dbraw/zinc/56/11/52/350561152.db2.gz VPCKMSMASMCHPF-AWEZNQCLSA-N 0 0 281.308 2.797 20 5 CFBDRN CO[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)CC(C)C ZINC000416043509 233525131 /nfs/dbraw/zinc/52/51/31/233525131.db2.gz NWAPCKOTDAAORA-GFCCVEGCSA-N 0 0 294.351 2.694 20 5 CFBDRN CC1(C)CC(COc2ccc([N+](=O)[O-])c(CO)c2)C1 ZINC000600529861 350565142 /nfs/dbraw/zinc/56/51/42/350565142.db2.gz DKPLDTHHUIDWSC-UHFFFAOYSA-N 0 0 265.309 2.902 20 5 CFBDRN CCO[C@@H](COc1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000600534671 350566292 /nfs/dbraw/zinc/56/62/92/350566292.db2.gz FCEQCBFZEWHAEY-ZDUSSCGKSA-N 0 0 269.272 2.928 20 5 CFBDRN Cc1nc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)c(C)o1 ZINC000600534701 350566313 /nfs/dbraw/zinc/56/63/13/350566313.db2.gz FMWFARHJHZQSJD-UHFFFAOYSA-N 0 0 286.291 2.906 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cn1)C1CCOCC1 ZINC000600537772 350567975 /nfs/dbraw/zinc/56/79/75/350567975.db2.gz SDXYFVSXSPAMIR-VIFPVBQESA-N 0 0 268.338 2.897 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H](C)[C@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000416063533 233531105 /nfs/dbraw/zinc/53/11/05/233531105.db2.gz GAXKFFOOBSHTAU-GMXVVIOVSA-N 0 0 290.363 2.949 20 5 CFBDRN Cc1nc(COc2cc(F)ccc2[N+](=O)[O-])oc1C ZINC000108657511 186227135 /nfs/dbraw/zinc/22/71/35/186227135.db2.gz YUHKONOZAILFKN-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN C[C@@H]1C[C@H]1Cn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000600676387 350595418 /nfs/dbraw/zinc/59/54/18/350595418.db2.gz UIUKYTXNEQFBBG-KOLCDFICSA-N 0 0 258.277 2.566 20 5 CFBDRN C/C=C\C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000600703483 350598198 /nfs/dbraw/zinc/59/81/98/350598198.db2.gz WNIMRQVVHZMAAE-QUCGXOGASA-N 0 0 277.324 2.741 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1cnn2c1CCCC2 ZINC000600844893 350619193 /nfs/dbraw/zinc/61/91/93/350619193.db2.gz AHLAZIBSEZJFRT-UHFFFAOYSA-N 0 0 261.256 2.934 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCOC2)c2ncccc12 ZINC000109548377 186261898 /nfs/dbraw/zinc/26/18/98/186261898.db2.gz JAJRAPRBQWCQTN-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN CC(C)Cc1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000109561207 186262424 /nfs/dbraw/zinc/26/24/24/186262424.db2.gz JVTJXPUVWOGAGT-UHFFFAOYSA-N 0 0 253.283 2.905 20 5 CFBDRN Cc1ccc(NC(=O)[C@]23CCC[C@H]2OCC3)cc1[N+](=O)[O-] ZINC000600883248 350622850 /nfs/dbraw/zinc/62/28/50/350622850.db2.gz HEQVUACASMJVJQ-HIFRSBDPSA-N 0 0 290.319 2.801 20 5 CFBDRN Cc1ccc(NC(=O)CC2CSC2)cc1[N+](=O)[O-] ZINC000600884051 350622951 /nfs/dbraw/zinc/62/29/51/350622951.db2.gz SONGWETVVJEILB-UHFFFAOYSA-N 0 0 266.322 2.595 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H](C)O[C@H]1C ZINC000600894246 350624456 /nfs/dbraw/zinc/62/44/56/350624456.db2.gz PREXJBJIXAVXGO-GRYCIOLGSA-N 0 0 292.335 2.964 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1cnn(C[C@H]2CCOC2)c1 ZINC000600788110 350612199 /nfs/dbraw/zinc/61/21/99/350612199.db2.gz BKQSRIOYMQFQLT-SNVBAGLBSA-N 0 0 291.282 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)C2CC2)c(C(F)(F)F)c1 ZINC000109385023 186250490 /nfs/dbraw/zinc/25/04/90/186250490.db2.gz SAOQSMLAAAFHME-NSHDSACASA-N 0 0 290.241 2.796 20 5 CFBDRN C/C=C/C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000600808387 350615882 /nfs/dbraw/zinc/61/58/82/350615882.db2.gz ZVHPDGWVRISLHC-PCAWENJQSA-N 0 0 291.351 2.752 20 5 CFBDRN O=C(CC[C@@H]1CCCCO1)NCc1ccc([N+](=O)[O-])cc1 ZINC000110234694 186288741 /nfs/dbraw/zinc/28/87/41/186288741.db2.gz BAIHGZOPENFVGI-AWEZNQCLSA-N 0 0 292.335 2.560 20 5 CFBDRN C[C@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])C1CC1 ZINC000601016234 350645966 /nfs/dbraw/zinc/64/59/66/350645966.db2.gz XOFXVAOXWZPXJB-MRVPVSSYSA-N 0 0 266.272 2.510 20 5 CFBDRN CN(CC(F)F)C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601036766 350648436 /nfs/dbraw/zinc/64/84/36/350648436.db2.gz GIFOCTIOJWGZEU-UHFFFAOYSA-N 0 0 298.289 2.740 20 5 CFBDRN Cc1sc(C(=O)N(C)CC2CCC2)cc1[N+](=O)[O-] ZINC000110473067 186297830 /nfs/dbraw/zinc/29/78/30/186297830.db2.gz DDPAVHRSWMKOSZ-UHFFFAOYSA-N 0 0 268.338 2.837 20 5 CFBDRN C/C=C\C[S@](=O)CCCOc1ccc([N+](=O)[O-])cc1 ZINC000600899227 350625807 /nfs/dbraw/zinc/62/58/07/350625807.db2.gz KLPZCYPJCGZEEX-AXMVSILFSA-N 0 0 283.349 2.689 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2CCC[C@H]2C)cc1[N+](=O)[O-] ZINC000110909274 186331723 /nfs/dbraw/zinc/33/17/23/186331723.db2.gz RESXHAZGOLERTH-ZYHUDNBSSA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@H]1C[C@H](C)N(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000601121928 350674510 /nfs/dbraw/zinc/67/45/10/350674510.db2.gz RRAOPPCRNXUQLU-BQBZGAKWSA-N 0 0 292.261 2.582 20 5 CFBDRN Cc1cc(OC[C@H]2CCO[C@H]2C)ccc1[N+](=O)[O-] ZINC000601072823 350659136 /nfs/dbraw/zinc/65/91/36/350659136.db2.gz UTFMVCPHQDYSHU-WDEREUQCSA-N 0 0 251.282 2.707 20 5 CFBDRN COc1cc(COc2ccc([N+](=O)[O-])cc2OC)sn1 ZINC000601076571 350660472 /nfs/dbraw/zinc/66/04/72/350660472.db2.gz OVQPFVJWQVRCSN-UHFFFAOYSA-N 0 0 296.304 2.648 20 5 CFBDRN CCN(C#N)CCSCc1ccc([N+](=O)[O-])cc1 ZINC000601080041 350661547 /nfs/dbraw/zinc/66/15/47/350661547.db2.gz GXIUKXHDKSKVNQ-UHFFFAOYSA-N 0 0 265.338 2.631 20 5 CFBDRN Cc1cccc(OC[C@@H]2CCO[C@@H]2C)c1[N+](=O)[O-] ZINC000601079914 350661590 /nfs/dbraw/zinc/66/15/90/350661590.db2.gz GDOPDOYZHQTNGU-MNOVXSKESA-N 0 0 251.282 2.707 20 5 CFBDRN C[C@H]1OCC[C@@H]1COc1cc(F)cc([N+](=O)[O-])c1 ZINC000601080734 350661634 /nfs/dbraw/zinc/66/16/34/350661634.db2.gz POCOPGTVMTXOAQ-RKDXNWHRSA-N 0 0 255.245 2.538 20 5 CFBDRN Cc1ccc(OC[C@H]2CCO[C@H]2C)cc1[N+](=O)[O-] ZINC000601080996 350662304 /nfs/dbraw/zinc/66/23/04/350662304.db2.gz RPLSROMCMHZLAQ-WDEREUQCSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ccc(OC[C@H]2CCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000601080993 350662451 /nfs/dbraw/zinc/66/24/51/350662451.db2.gz RPLSROMCMHZLAQ-GHMZBOCLSA-N 0 0 251.282 2.707 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H]2CC(C)(C)CO2)c1 ZINC000601085457 350663693 /nfs/dbraw/zinc/66/36/93/350663693.db2.gz HYLGMNFJTPBQGV-LLVKDONJSA-N 0 0 281.308 2.797 20 5 CFBDRN C[C@H]1OCC[C@@H]1Cn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000601084722 350663703 /nfs/dbraw/zinc/66/37/03/350663703.db2.gz AFNWQCPKARZCBY-VXGBXAGGSA-N 0 0 287.319 2.883 20 5 CFBDRN C[C@@H]1CN(c2ccnc3ccc([N+](=O)[O-])cc32)C[C@H](C)O1 ZINC000601098627 350667578 /nfs/dbraw/zinc/66/75/78/350667578.db2.gz BHBVVOVBHHCSMJ-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H]1CCCN(c2c([N+](=O)[O-])c(C(F)(F)F)nn2C)C1 ZINC000601098936 350667590 /nfs/dbraw/zinc/66/75/90/350667590.db2.gz KXGWZSJTCUGATA-SSDOTTSWSA-N 0 0 292.261 2.583 20 5 CFBDRN COc1cncc(CNCc2ccccc2[N+](=O)[O-])c1C ZINC000601101691 350668163 /nfs/dbraw/zinc/66/81/63/350668163.db2.gz VTOYSFOFPKAHST-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN C[C@@H]1CCCCN1c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601100128 350668174 /nfs/dbraw/zinc/66/81/74/350668174.db2.gz MIDULJIZGRKFTM-SSDOTTSWSA-N 0 0 292.261 2.726 20 5 CFBDRN CC(C)(C)c1nc(Sc2ncc(F)cc2[N+](=O)[O-])n[nH]1 ZINC000601101324 350668579 /nfs/dbraw/zinc/66/85/79/350668579.db2.gz KVSWIFLYJQYKMF-UHFFFAOYSA-N 0 0 297.315 2.696 20 5 CFBDRN C[C@H](C1CC1)N(C)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601103244 350669373 /nfs/dbraw/zinc/66/93/73/350669373.db2.gz QZEKMBGDRRDOMF-ZCFIWIBFSA-N 0 0 292.261 2.582 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NC[C@@H]3CCCCO3)c2c1 ZINC000601108457 350670096 /nfs/dbraw/zinc/67/00/96/350670096.db2.gz KNCQMKYMVJQPRS-LBPRGKRZSA-N 0 0 287.319 2.546 20 5 CFBDRN CN(CC(C)(C)C)c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601110499 350670960 /nfs/dbraw/zinc/67/09/60/350670960.db2.gz HPPJAMXFNVWUKU-UHFFFAOYSA-N 0 0 294.277 2.829 20 5 CFBDRN CC[C@@H]1CCCN1c1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601111469 350671828 /nfs/dbraw/zinc/67/18/28/350671828.db2.gz SPSIBIGVIJLYKL-SSDOTTSWSA-N 0 0 292.261 2.726 20 5 CFBDRN Cn1nc(C(F)(F)F)c([N+](=O)[O-])c1N1CCCC1(C)C ZINC000601113493 350671843 /nfs/dbraw/zinc/67/18/43/350671843.db2.gz HFUPHWABHKLBKA-UHFFFAOYSA-N 0 0 292.261 2.726 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2C[C@@H](C)O[C@@H]2C)ccc1[N+](=O)[O-] ZINC000601223589 350702258 /nfs/dbraw/zinc/70/22/58/350702258.db2.gz HLJMHPNVXFFURV-ZDSQKVDBSA-N 0 0 278.308 2.775 20 5 CFBDRN COCC1(C2CC2)CN(c2cc(C)c([N+](=O)[O-])cc2F)C1 ZINC000601226696 350702687 /nfs/dbraw/zinc/70/26/87/350702687.db2.gz PVVDPFAFTCBCQJ-UHFFFAOYSA-N 0 0 294.326 2.905 20 5 CFBDRN Cc1ncc([C@H](C)Nc2ncc([N+](=O)[O-])s2)s1 ZINC000601226862 350702709 /nfs/dbraw/zinc/70/27/09/350702709.db2.gz CGADVSXBBHXQPE-YFKPBYRVSA-N 0 0 270.339 2.989 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C(F)F)CC2)ccc1[N+](=O)[O-] ZINC000601231351 350704240 /nfs/dbraw/zinc/70/42/40/350704240.db2.gz JKMBQHIXDZWQRW-GFCCVEGCSA-N 0 0 286.278 2.764 20 5 CFBDRN C[C@@H](Nc1ccc(Cl)cc1[N+](=O)[O-])[C@H](O)C(F)(F)F ZINC000601237646 350706519 /nfs/dbraw/zinc/70/65/19/350706519.db2.gz JQVCLOGHCZXVPM-ANLVUFKYSA-N 0 0 298.648 2.972 20 5 CFBDRN COc1cc(N2CCC(C)(F)CC2)ccc1[N+](=O)[O-] ZINC000601245874 350708232 /nfs/dbraw/zinc/70/82/32/350708232.db2.gz GNUYVRGDWSGIQR-UHFFFAOYSA-N 0 0 268.288 2.932 20 5 CFBDRN C[C@@H](CC1CCC1)Nc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000601245548 350708362 /nfs/dbraw/zinc/70/83/62/350708362.db2.gz BGMKVQGPRYOLHH-VIFPVBQESA-N 0 0 277.324 2.684 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CCC[C@@H](C2CCC2)CC1 ZINC000601247023 350709101 /nfs/dbraw/zinc/70/91/01/350709101.db2.gz YKVIWOYVSNMMCL-GFCCVEGCSA-N 0 0 291.351 2.965 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](C2CCC2)[C@H]2CCCO2)cn1 ZINC000601251143 350709643 /nfs/dbraw/zinc/70/96/43/350709643.db2.gz SBSLEVTWPNRPHZ-OCCSQVGLSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](C3CCC3)CC2)nc1 ZINC000601252263 350710471 /nfs/dbraw/zinc/71/04/71/350710471.db2.gz TZQJDDMEYREMAH-LBPRGKRZSA-N 0 0 276.340 2.791 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H](CF)c1ccc(F)cc1 ZINC000601265300 350712497 /nfs/dbraw/zinc/71/24/97/350712497.db2.gz NBFKMDXBYGFGOT-SNVBAGLBSA-N 0 0 282.250 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCn3nccc32)s1 ZINC000601270092 350713630 /nfs/dbraw/zinc/71/36/30/350713630.db2.gz RANNXDIETDOWCO-MRVPVSSYSA-N 0 0 264.310 2.800 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000111004875 186342479 /nfs/dbraw/zinc/34/24/79/186342479.db2.gz MPYYKVMZOYYSCX-BXUZGUMPSA-N 0 0 292.335 2.543 20 5 CFBDRN CCOCCC1(CNc2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601134689 350678465 /nfs/dbraw/zinc/67/84/65/350678465.db2.gz JXWKKJLCTIDJMH-UHFFFAOYSA-N 0 0 283.303 2.748 20 5 CFBDRN CC(C)[C@H]1C[C@H](Nc2ncc(F)cc2[N+](=O)[O-])CCO1 ZINC000601137447 350679124 /nfs/dbraw/zinc/67/91/24/350679124.db2.gz YAEXNGZSYWEWIA-ZYHUDNBSSA-N 0 0 283.303 2.744 20 5 CFBDRN C[C@H](CN(C)c1ncc(F)cc1[N+](=O)[O-])c1nccs1 ZINC000601142544 350681270 /nfs/dbraw/zinc/68/12/70/350681270.db2.gz UONFVYWMXSHJNC-MRVPVSSYSA-N 0 0 296.327 2.825 20 5 CFBDRN C[C@](CO)(NCc1ccsc1)c1cccc([N+](=O)[O-])c1 ZINC000601149534 350681932 /nfs/dbraw/zinc/68/19/32/350681932.db2.gz NQOJDKMMZCOBJU-CQSZACIVSA-N 0 0 292.360 2.654 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)C[C@H](C)O1 ZINC000111015922 186344059 /nfs/dbraw/zinc/34/40/59/186344059.db2.gz FIDRTCVAFBZHPN-WCBMZHEXSA-N 0 0 298.364 2.604 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC1(C(F)F)CC1 ZINC000601155961 350683781 /nfs/dbraw/zinc/68/37/81/350683781.db2.gz ISTKBUCRSYVEOY-UHFFFAOYSA-N 0 0 261.203 2.586 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1c1ncc(F)cc1[N+](=O)[O-] ZINC000601156211 350684226 /nfs/dbraw/zinc/68/42/26/350684226.db2.gz UFHPJUQGHSTOAI-VIFPVBQESA-N 0 0 265.288 2.898 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(NCCCOC(F)F)c2c1 ZINC000601162780 350685639 /nfs/dbraw/zinc/68/56/39/350685639.db2.gz XMWGTUOQOGNMGB-UHFFFAOYSA-N 0 0 297.261 2.606 20 5 CFBDRN C[C@@H]1CC[C@H]1Nc1c([N+](=O)[O-])c(C(F)(F)F)nn1C ZINC000601163706 350685656 /nfs/dbraw/zinc/68/56/56/350685656.db2.gz PMUPDUQMLSGOFU-PHDIDXHHSA-N 0 0 278.234 2.558 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@@H]1C[C@H]1C1CC1 ZINC000601171183 350688068 /nfs/dbraw/zinc/68/80/68/350688068.db2.gz HRGKXEBTHYSWAS-WPRPVWTQSA-N 0 0 251.261 2.587 20 5 CFBDRN CC(C)(CNc1ncc(F)cc1[N+](=O)[O-])c1cccnc1 ZINC000601175592 350689428 /nfs/dbraw/zinc/68/94/28/350689428.db2.gz JNUWYGXJSBVOKB-UHFFFAOYSA-N 0 0 290.298 2.914 20 5 CFBDRN C[C@H]1CC(C)(C)CN1c1ncc(F)cc1[N+](=O)[O-] ZINC000601176284 350689642 /nfs/dbraw/zinc/68/96/42/350689642.db2.gz NEBQDDLTSALRIK-QMMMGPOBSA-N 0 0 253.277 2.754 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC[C@@H](c2cccnc2)C1 ZINC000601181966 350690948 /nfs/dbraw/zinc/69/09/48/350690948.db2.gz PXXYBPQBGXRJIC-LLVKDONJSA-N 0 0 288.282 2.518 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CC[C@@H](c2ccncc2)C1 ZINC000601186100 350691489 /nfs/dbraw/zinc/69/14/89/350691489.db2.gz GDVALOIFXHSXSW-LLVKDONJSA-N 0 0 288.282 2.518 20 5 CFBDRN CC(C)(CNc1ccsc1[N+](=O)[O-])n1cccn1 ZINC000601197504 350694113 /nfs/dbraw/zinc/69/41/13/350694113.db2.gz BTZOJAHQPPZRCG-UHFFFAOYSA-N 0 0 266.326 2.700 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N[C@H]3CO[C@@H](C4CC4)C3)c2c1 ZINC000601203061 350696259 /nfs/dbraw/zinc/69/62/59/350696259.db2.gz MWCUBVDDJUFBLJ-BDJLRTHQSA-N 0 0 299.330 2.544 20 5 CFBDRN CC(C)(F)CNc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000601205587 350696910 /nfs/dbraw/zinc/69/69/10/350696910.db2.gz BMMGKJBSHQDGCN-UHFFFAOYSA-N 0 0 295.314 2.647 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(c2ccccc2)C1 ZINC000601210707 350698290 /nfs/dbraw/zinc/69/82/90/350698290.db2.gz UBHHOPYOFGSRFM-UHFFFAOYSA-N 0 0 269.304 2.902 20 5 CFBDRN CCC[C@H](CCO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000601212118 350698656 /nfs/dbraw/zinc/69/86/56/350698656.db2.gz UUGCYCYAZUSPFZ-SNVBAGLBSA-N 0 0 256.277 2.697 20 5 CFBDRN CCC[C@@H](CCO)Nc1cc(C)sc1[N+](=O)[O-] ZINC000601212958 350699426 /nfs/dbraw/zinc/69/94/26/350699426.db2.gz SVFIQTJAVRHCMY-VIFPVBQESA-N 0 0 258.343 2.928 20 5 CFBDRN Cc1nocc1CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000601216307 350700210 /nfs/dbraw/zinc/70/02/10/350700210.db2.gz BEKICTYJEVRMOP-UHFFFAOYSA-N 0 0 262.269 2.515 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCC[C@@H](O)C(F)F)ccnc21 ZINC000601222897 350701722 /nfs/dbraw/zinc/70/17/22/350701722.db2.gz UECUNDLFDKLWEH-LLVKDONJSA-N 0 0 297.261 2.571 20 5 CFBDRN CC(=O)CN(C(=O)c1cc([N+](=O)[O-])c(C)cc1C)C(C)C ZINC000601601276 350745209 /nfs/dbraw/zinc/74/52/09/350745209.db2.gz MWNGMIUDBDHGHQ-UHFFFAOYSA-N 0 0 292.335 2.651 20 5 CFBDRN CC(F)(F)CNC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601601832 350745341 /nfs/dbraw/zinc/74/53/41/350745341.db2.gz CTPGPZALTLHKAX-UHFFFAOYSA-N 0 0 298.289 2.788 20 5 CFBDRN CC[C@@H](NC(=O)c1c(F)cccc1[N+](=O)[O-])C(F)F ZINC000601601757 350745454 /nfs/dbraw/zinc/74/54/54/350745454.db2.gz CUKMAYOXLYFBLM-SSDOTTSWSA-N 0 0 276.214 2.507 20 5 CFBDRN C[C@@H]1CN(C(=O)C2(c3ccc([N+](=O)[O-])cc3)CCC2)[C@H]1C ZINC000601601894 350746039 /nfs/dbraw/zinc/74/60/39/350746039.db2.gz DBRIOWPUHNFSBO-NEPJUHHUSA-N 0 0 288.347 2.883 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@H]1C(F)F ZINC000601605831 350746640 /nfs/dbraw/zinc/74/66/40/350746640.db2.gz ILCMPWLYWQEBKW-VIFPVBQESA-N 0 0 296.229 2.821 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CCCC23CC3)cccc1[N+](=O)[O-] ZINC000601703299 350764497 /nfs/dbraw/zinc/76/44/97/350764497.db2.gz KYBPORDGWOZKNY-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601634460 350751631 /nfs/dbraw/zinc/75/16/31/350751631.db2.gz ZUGDFKZHSACAAA-FZMZJTMJSA-N 0 0 280.299 2.898 20 5 CFBDRN C[C@@]1(CNC(=O)c2c(F)cccc2[N+](=O)[O-])CC1(F)F ZINC000601669493 350756247 /nfs/dbraw/zinc/75/62/47/350756247.db2.gz AISGRHJOSYPJRK-NSHDSACASA-N 0 0 288.225 2.509 20 5 CFBDRN CCC[C@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])OCC ZINC000601679054 350758016 /nfs/dbraw/zinc/75/80/16/350758016.db2.gz HMWZMQXOWUSHNJ-SNVBAGLBSA-N 0 0 298.314 2.669 20 5 CFBDRN COc1ccc(C(=O)N[C@@H]2CCCC23CC3)cc1[N+](=O)[O-] ZINC000601705046 350765056 /nfs/dbraw/zinc/76/50/56/350765056.db2.gz RJSDTKDGLCNKMS-CYBMUJFWSA-N 0 0 290.319 2.666 20 5 CFBDRN Cc1conc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000601289917 350718308 /nfs/dbraw/zinc/71/83/08/350718308.db2.gz WIBRGWRWCWPGAD-UHFFFAOYSA-N 0 0 289.291 2.556 20 5 CFBDRN CSC1CCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000601696661 350762965 /nfs/dbraw/zinc/76/29/65/350762965.db2.gz PCFBCEYAIUSTRC-UHFFFAOYSA-N 0 0 294.376 2.871 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H](C)O[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000601410335 350730935 /nfs/dbraw/zinc/73/09/35/350730935.db2.gz CCHPASBYDGOGMX-UZWSLXQKSA-N 0 0 292.335 2.586 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601450901 350733800 /nfs/dbraw/zinc/73/38/00/350733800.db2.gz KSOCNKNQIGTIHH-ZCFIWIBFSA-N 0 0 294.204 2.805 20 5 CFBDRN O=C(N1CCCCO1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601514299 350736204 /nfs/dbraw/zinc/73/62/04/350736204.db2.gz IXCRZRSYBZXUGT-UHFFFAOYSA-N 0 0 290.319 2.571 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H]1CCCC12CC2 ZINC000601567718 350741030 /nfs/dbraw/zinc/74/10/30/350741030.db2.gz UWMRDGIUQAOCDR-ZDUSSCGKSA-N 0 0 289.335 2.727 20 5 CFBDRN CC1(C)CN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@H]1C1CC1 ZINC000601587547 350743000 /nfs/dbraw/zinc/74/30/00/350743000.db2.gz GJNGASPFUBQEKZ-ZDUSSCGKSA-N 0 0 292.310 2.995 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601584911 350743111 /nfs/dbraw/zinc/74/31/11/350743111.db2.gz ZUKIMYRZXKEHSJ-FZMZJTMJSA-N 0 0 288.347 2.931 20 5 CFBDRN Cc1ncc([C@@H](C)NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000601773155 350774028 /nfs/dbraw/zinc/77/40/28/350774028.db2.gz NGPUCUHMQDOXMC-ZCFIWIBFSA-N 0 0 297.361 2.912 20 5 CFBDRN CC1(F)CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000601867121 350794499 /nfs/dbraw/zinc/79/44/99/350794499.db2.gz GTJWCDZLJNUZHL-UHFFFAOYSA-N 0 0 266.272 2.559 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C)(F)CC2)c1 ZINC000601869118 350794669 /nfs/dbraw/zinc/79/46/69/350794669.db2.gz OGWPUXBZDDBRKM-UHFFFAOYSA-N 0 0 296.298 2.568 20 5 CFBDRN C[C@H](CC1CCC1)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000601871349 350795159 /nfs/dbraw/zinc/79/51/59/350795159.db2.gz ROLPORGHEXAWCP-LLVKDONJSA-N 0 0 276.336 2.832 20 5 CFBDRN COc1c(C(=O)N[C@H](C)CC2CCC2)cccc1[N+](=O)[O-] ZINC000601870832 350795498 /nfs/dbraw/zinc/79/54/98/350795498.db2.gz PALGBJXFEZCGGY-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1cccc(C(=O)N2CCC(C)(F)CC2)c1[N+](=O)[O-] ZINC000601870549 350795598 /nfs/dbraw/zinc/79/55/98/350795598.db2.gz USLWHUNCIYNTIT-UHFFFAOYSA-N 0 0 280.299 2.867 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000601871818 350796238 /nfs/dbraw/zinc/79/62/38/350796238.db2.gz VOEMSNOKQQVICK-JTQLQIEISA-N 0 0 262.309 2.903 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@]1(CO)C[C@@H]1c1ccccc1 ZINC000291185474 197946981 /nfs/dbraw/zinc/94/69/81/197946981.db2.gz SHLOFNCQXWRMOU-CJNGLKHVSA-N 0 0 299.330 2.568 20 5 CFBDRN Cc1nc(CSCCn2c(C)ncc2[N+](=O)[O-])cs1 ZINC000601915927 350801148 /nfs/dbraw/zinc/80/11/48/350801148.db2.gz BBKIRFDGQGQUSH-UHFFFAOYSA-N 0 0 298.393 2.798 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCOCC(C)C ZINC000601917303 350801510 /nfs/dbraw/zinc/80/15/10/350801510.db2.gz GZUOSBJOCBDKHI-UHFFFAOYSA-N 0 0 287.385 2.506 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSCCOC(C)(C)C ZINC000601918201 350802325 /nfs/dbraw/zinc/80/23/25/350802325.db2.gz XGTZTGSJFOOXJT-UHFFFAOYSA-N 0 0 287.385 2.648 20 5 CFBDRN Cn1nccc1CCSCCc1ccc([N+](=O)[O-])cc1 ZINC000601920061 350802945 /nfs/dbraw/zinc/80/29/45/350802945.db2.gz PYUSABTYLGKLGL-UHFFFAOYSA-N 0 0 291.376 2.847 20 5 CFBDRN CC[C@@H]1C(=O)N(c2cc([N+](=O)[O-])ccc2C)N=C1C ZINC000601934780 350807749 /nfs/dbraw/zinc/80/77/49/350807749.db2.gz FNVDCNUPSMWGBS-NSHDSACASA-N 0 0 261.281 2.652 20 5 CFBDRN C[C@@H]1CCc2c(=O)n(-c3ccc([N+](=O)[O-])cc3Cl)[n-]c21 ZINC000601937080 350809430 /nfs/dbraw/zinc/80/94/30/350809430.db2.gz BNDPQOWVKJVEAB-VXNVDRBHSA-N 0 0 293.710 2.997 20 5 CFBDRN CC1=NN(c2cccc([N+](=O)[O-])c2C)C(=O)[C@H]1C1CC1 ZINC000601938806 350810246 /nfs/dbraw/zinc/81/02/46/350810246.db2.gz JRPHKEJIAWLIBW-CYBMUJFWSA-N 0 0 273.292 2.652 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCCN1C ZINC000602029239 350835999 /nfs/dbraw/zinc/83/59/99/350835999.db2.gz FXGUXHQKHUEHJL-ZDUSSCGKSA-N 0 0 291.351 2.634 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000602070728 350837441 /nfs/dbraw/zinc/83/74/41/350837441.db2.gz IIKFSJOQYUDYOQ-ZWNOBZJWSA-N 0 0 294.351 2.569 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)C1CCC=CCC1 ZINC000602132913 350845040 /nfs/dbraw/zinc/84/50/40/350845040.db2.gz RATOBHKOZFSUSF-UHFFFAOYSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@]1(C2CCCCC2)CCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000602213577 350852102 /nfs/dbraw/zinc/85/21/02/350852102.db2.gz GJMNUSZFDIHKFM-CQSZACIVSA-N 0 0 292.339 2.503 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1OC2CCC1CC2 ZINC000602211775 350852228 /nfs/dbraw/zinc/85/22/28/350852228.db2.gz CSRGSQUCEYWNCN-UHFFFAOYSA-N 0 0 290.319 2.910 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N(C)C[C@H](C)C(C)(C)C)n1 ZINC000602218108 350853298 /nfs/dbraw/zinc/85/32/98/350853298.db2.gz KZGJAHPLRISRBF-JTQLQIEISA-N 0 0 296.371 2.565 20 5 CFBDRN C[C@H](CN(C)C(=O)c1c(N)cccc1[N+](=O)[O-])C(C)(C)C ZINC000602216955 350853418 /nfs/dbraw/zinc/85/34/18/350853418.db2.gz GFFWIAWRZFWTJP-SNVBAGLBSA-N 0 0 293.367 2.931 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C1(C(F)F)CCC1 ZINC000602229075 350854138 /nfs/dbraw/zinc/85/41/38/350854138.db2.gz GLVVBQNNSVNVDB-UHFFFAOYSA-N 0 0 270.235 2.969 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000602232333 350855110 /nfs/dbraw/zinc/85/51/10/350855110.db2.gz FRBZZCZIAVJSDT-FBKDDSFISA-N 0 0 292.335 2.617 20 5 CFBDRN CC[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111273156 186384712 /nfs/dbraw/zinc/38/47/12/186384712.db2.gz AJBRENDIWOJNMB-GXSJLCMTSA-N 0 0 270.354 2.656 20 5 CFBDRN CCO[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602378962 350871569 /nfs/dbraw/zinc/87/15/69/350871569.db2.gz HOVAEWQMVSKQNV-VIFPVBQESA-N 0 0 289.291 2.507 20 5 CFBDRN CCCOCC(=O)Nc1ccc([N+](=O)[O-])c2ccncc12 ZINC000602384255 350872087 /nfs/dbraw/zinc/87/20/87/350872087.db2.gz RSGADSZNIICEKV-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN O=C(Nc1nccc(C2CC2)n1)c1csc([N+](=O)[O-])c1 ZINC000602382265 350872391 /nfs/dbraw/zinc/87/23/91/350872391.db2.gz ZTECURDDNFXWLB-UHFFFAOYSA-N 0 0 290.304 2.576 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@]23C[C@@H]2CCCC3)c1 ZINC000602410913 350876329 /nfs/dbraw/zinc/87/63/29/350876329.db2.gz AUAOPYOWLUEHHP-NHYWBVRUSA-N 0 0 274.320 2.966 20 5 CFBDRN O=c1ccc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)c[nH]1 ZINC000602438025 350882885 /nfs/dbraw/zinc/88/28/85/350882885.db2.gz AGQDQGSGQIXPPH-UHFFFAOYSA-N 0 0 299.330 2.860 20 5 CFBDRN CC1(C)SC[C@H]1NCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602651891 350962154 /nfs/dbraw/zinc/96/21/54/350962154.db2.gz HIWXJXVKUZVKJA-LLVKDONJSA-N 0 0 293.348 2.720 20 5 CFBDRN CC[C@H](C)[C@@H](O)CNc1c(C)cccc1[N+](=O)[O-] ZINC000112334410 186486025 /nfs/dbraw/zinc/48/60/25/186486025.db2.gz KQVPAYVTNAXQRG-CABZTGNLSA-N 0 0 252.314 2.722 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC4(CC(F)C4)C3)nc2c1 ZINC000602688010 350979291 /nfs/dbraw/zinc/97/92/91/350979291.db2.gz GJIQJXPUVUKANT-UHFFFAOYSA-N 0 0 291.282 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC(CC4CC4)C3)nc2c1 ZINC000602713486 350995683 /nfs/dbraw/zinc/99/56/83/350995683.db2.gz BZSWOWJNUMEOKU-UHFFFAOYSA-N 0 0 287.319 2.968 20 5 CFBDRN O=c1cc(C[N@@H+](Cc2cccc([N+](=O)[O-])c2)C2CC2)cc[nH]1 ZINC000602781820 351023730 /nfs/dbraw/zinc/02/37/30/351023730.db2.gz FTOYPYQYOBQGMB-UHFFFAOYSA-N 0 0 299.330 2.860 20 5 CFBDRN CCN(Cc1cn(C)nc1[N+](=O)[O-])c1cccc(C)c1 ZINC000602790161 351026996 /nfs/dbraw/zinc/02/69/96/351026996.db2.gz CAJQPTDERZFYTR-UHFFFAOYSA-N 0 0 274.324 2.663 20 5 CFBDRN C[C@H]1CC(C)(C)CC[C@@H]1[NH2+]Cc1cn(C)nc1[N+](=O)[O-] ZINC000602811115 351035255 /nfs/dbraw/zinc/03/52/55/351035255.db2.gz KDJAZFSPRMTLQI-JQWIXIFHSA-N 0 0 280.372 2.633 20 5 CFBDRN CCCCCn1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000603159110 351113068 /nfs/dbraw/zinc/11/30/68/351113068.db2.gz AMYXCDBWORHQAV-UHFFFAOYSA-N 0 0 290.323 2.956 20 5 CFBDRN CC(C)/C=C\c1cn(Cc2cccc([N+](=O)[O-])c2)nn1 ZINC000603164198 351113920 /nfs/dbraw/zinc/11/39/20/351113920.db2.gz UELSCYHXVOCNFA-SREVYHEPSA-N 0 0 272.308 2.904 20 5 CFBDRN CC(C)=CCn1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000603178976 351114753 /nfs/dbraw/zinc/11/47/53/351114753.db2.gz LNVHYLUBOWNHBF-UHFFFAOYSA-N 0 0 288.307 2.732 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2C[C@H](C)O[C@H]2C)ccc1[N+](=O)[O-] ZINC000602925614 351068313 /nfs/dbraw/zinc/06/83/13/351068313.db2.gz JJFIRXIOFWVUFL-JBLDHEPKSA-N 0 0 293.323 2.591 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N1C(=O)Nc1c(C)cncc1[N+](=O)[O-] ZINC000602951846 351071873 /nfs/dbraw/zinc/07/18/73/351071873.db2.gz JMUVLPZNTYXDLX-WDEREUQCSA-N 0 0 292.339 2.515 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Cn1cc(C(C)(C)C)nn1 ZINC000603243009 351124601 /nfs/dbraw/zinc/12/46/01/351124601.db2.gz GXUXYHWYJIFGMX-UHFFFAOYSA-N 0 0 290.323 2.541 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCCCCO2)c(F)c1 ZINC000603009666 351090029 /nfs/dbraw/zinc/09/00/29/351090029.db2.gz VFMCQBMKFBDGRR-UHFFFAOYSA-N 0 0 254.261 2.651 20 5 CFBDRN Cc1cc(N(C)Cc2c(F)cc([N+](=O)[O-])cc2F)n[nH]1 ZINC000603012118 351090866 /nfs/dbraw/zinc/09/08/66/351090866.db2.gz CEDNHZFPNAYEJV-UHFFFAOYSA-N 0 0 282.250 2.541 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(C[C@H](C)c2ccccc2)c1=O ZINC000603356808 351145752 /nfs/dbraw/zinc/14/57/52/351145752.db2.gz DMPAABHABKCDFJ-NSHDSACASA-N 0 0 288.303 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2cc(C3CC3)ncn2)cc1CO ZINC000603359812 351146547 /nfs/dbraw/zinc/14/65/47/351146547.db2.gz QFTWXNNWBQLOCU-UHFFFAOYSA-N 0 0 287.275 2.547 20 5 CFBDRN COc1cc([N+](=O)[O-])cn(C[C@@H]2CCC[C@H](C)C2)c1=O ZINC000603358445 351146605 /nfs/dbraw/zinc/14/66/05/351146605.db2.gz JIBYSPKZVQJPRS-WDEREUQCSA-N 0 0 280.324 2.591 20 5 CFBDRN CCc1nnc(SCc2c(F)cccc2[N+](=O)[O-])o1 ZINC000603368781 351148237 /nfs/dbraw/zinc/14/82/37/351148237.db2.gz GIFDRSLVRPGGMP-UHFFFAOYSA-N 0 0 283.284 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cn(C3CCC3)nn2)cc1 ZINC000603405316 351157503 /nfs/dbraw/zinc/15/75/03/351157503.db2.gz RDRFIHAMTCWCMS-UHFFFAOYSA-N 0 0 273.296 2.523 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CSC2)c2ncccc12 ZINC000603413404 351159777 /nfs/dbraw/zinc/15/97/77/351159777.db2.gz JOQWHNKOJTXRHK-UHFFFAOYSA-N 0 0 275.333 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CSC2)c2ccncc21 ZINC000603413364 351159803 /nfs/dbraw/zinc/15/98/03/351159803.db2.gz IVQPDNFZKHSLOS-UHFFFAOYSA-N 0 0 275.333 2.918 20 5 CFBDRN CCc1nnc(Sc2ccsc2[N+](=O)[O-])o1 ZINC000603417554 351160450 /nfs/dbraw/zinc/16/04/50/351160450.db2.gz IVOKJFLQJRXIJB-UHFFFAOYSA-N 0 0 257.296 2.753 20 5 CFBDRN CC(C)SCC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000603515626 351170684 /nfs/dbraw/zinc/17/06/84/351170684.db2.gz MOGMDAIXBFJUSF-UHFFFAOYSA-N 0 0 280.349 2.626 20 5 CFBDRN CC(C)N(CC1CC1)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000603601302 351175886 /nfs/dbraw/zinc/17/58/86/351175886.db2.gz OSCNJBPUSZGYOR-UHFFFAOYSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1ccc(CCNC(=O)Nc2ccc([N+](=O)[O-])cc2)o1 ZINC000603633825 351176258 /nfs/dbraw/zinc/17/62/58/351176258.db2.gz CFGFVXUJZHKMAY-UHFFFAOYSA-N 0 0 289.291 2.860 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000603624032 351176582 /nfs/dbraw/zinc/17/65/82/351176582.db2.gz NBGWYJHDQRYVMI-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN CC(F)(F)CCC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000603734091 351179740 /nfs/dbraw/zinc/17/97/40/351179740.db2.gz OFQRZQHRJUBVGO-UHFFFAOYSA-N 0 0 284.262 2.919 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2ccccc2C1 ZINC000603835882 351183840 /nfs/dbraw/zinc/18/38/40/351183840.db2.gz AXGHVZLDUJZEMT-UHFFFAOYSA-N 0 0 255.277 2.553 20 5 CFBDRN CC(=O)c1ccc(Oc2cccnc2[N+](=O)[O-])cc1 ZINC000603837027 351184108 /nfs/dbraw/zinc/18/41/08/351184108.db2.gz OYOFVZCOYWHOGP-UHFFFAOYSA-N 0 0 258.233 2.985 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1ccc(Cl)o1 ZINC000603841000 351184940 /nfs/dbraw/zinc/18/49/40/351184940.db2.gz RFWKTCOTJJUUER-UHFFFAOYSA-N 0 0 253.645 2.848 20 5 CFBDRN CN(CCNc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000603842356 351185513 /nfs/dbraw/zinc/18/55/13/351185513.db2.gz ZFPFPCAHLCLIGI-UHFFFAOYSA-N 0 0 272.308 2.538 20 5 CFBDRN C[C@H]1CCCC[C@@H]1OCCNc1ncccc1[N+](=O)[O-] ZINC000603860391 351186884 /nfs/dbraw/zinc/18/68/84/351186884.db2.gz HRCOOTOFYAHYFR-AAEUAGOBSA-N 0 0 279.340 2.997 20 5 CFBDRN C[C@H]1CCN1C(=O)c1ccc(Br)cc1[N+](=O)[O-] ZINC000603266062 351127511 /nfs/dbraw/zinc/12/75/11/351127511.db2.gz KEHXTMYUDIZSFM-ZETCQYMHSA-N 0 0 299.124 2.592 20 5 CFBDRN CC(=O)CCCCCn1nc(C)c2cc([N+](=O)[O-])cnc21 ZINC000603323604 351139652 /nfs/dbraw/zinc/13/96/52/351139652.db2.gz ZPQPCOHILRONGI-UHFFFAOYSA-N 0 0 290.323 2.797 20 5 CFBDRN Cc1nn(CCCc2ccncc2)c2ncc([N+](=O)[O-])cc12 ZINC000603328606 351140170 /nfs/dbraw/zinc/14/01/70/351140170.db2.gz ROSTXAIKSANLDL-UHFFFAOYSA-N 0 0 297.318 2.676 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCc2ccns2)c1C ZINC000603336714 351142623 /nfs/dbraw/zinc/14/26/23/351142623.db2.gz VBLBSXSKCFVIQT-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN C[C@H](CNC(=O)CSc1ccccc1[N+](=O)[O-])C1CC1 ZINC000604398270 351210655 /nfs/dbraw/zinc/21/06/55/351210655.db2.gz HJWINXGKTQLNMJ-SNVBAGLBSA-N 0 0 294.376 2.849 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000604395846 351210948 /nfs/dbraw/zinc/21/09/48/351210948.db2.gz DZRHOSNVOWCDIG-SSDOTTSWSA-N 0 0 268.338 2.741 20 5 CFBDRN C[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000604400834 351210981 /nfs/dbraw/zinc/21/09/81/351210981.db2.gz VCWQBKSQWSARQR-SECBINFHSA-N 0 0 263.297 2.762 20 5 CFBDRN Cc1ccc(C(=O)NC[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000604396262 351211027 /nfs/dbraw/zinc/21/10/27/351211027.db2.gz TTYXRZCWBUIECD-JTQLQIEISA-N 0 0 262.309 2.679 20 5 CFBDRN C[C@H]1CN(c2cccnc2[N+](=O)[O-])C[C@@H](c2ccccc2)O1 ZINC000604432390 351212538 /nfs/dbraw/zinc/21/25/38/351212538.db2.gz IXFREJPHYMMSNF-WFASDCNBSA-N 0 0 299.330 2.956 20 5 CFBDRN COc1ccc(Oc2cc3c(nn2)CCC3)c([N+](=O)[O-])c1 ZINC000604499884 351215686 /nfs/dbraw/zinc/21/56/86/351215686.db2.gz ALBMHIKDGGVTDW-UHFFFAOYSA-N 0 0 287.275 2.674 20 5 CFBDRN COCCOCCCCNc1ccc([N+](=O)[O-])s1 ZINC000604548814 351218618 /nfs/dbraw/zinc/21/86/18/351218618.db2.gz JLDWWJBSNNFGAT-UHFFFAOYSA-N 0 0 274.342 2.511 20 5 CFBDRN CCOC1CCN(C(=O)c2ccc([N+](=O)[O-])cc2C)CC1 ZINC000604562617 351219881 /nfs/dbraw/zinc/21/98/81/351219881.db2.gz PNUKCEUHNPDMFJ-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(=O)c1cnc(NC(=O)c2cccc([N+](=O)[O-])c2)s1 ZINC000118632528 186778920 /nfs/dbraw/zinc/77/89/20/186778920.db2.gz RQZWRZUATVRBGV-UHFFFAOYSA-N 0 0 291.288 2.506 20 5 CFBDRN CCC[C@@H]1CCC(=O)N(Cc2cccc([N+](=O)[O-])c2)C1=O ZINC000118986424 186793030 /nfs/dbraw/zinc/79/30/30/186793030.db2.gz JBNFDSOFGDCOOM-GFCCVEGCSA-N 0 0 290.319 2.660 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 ZINC000119070407 186799590 /nfs/dbraw/zinc/79/95/90/186799590.db2.gz FYWYHGJTOZUPRI-UHFFFAOYSA-N 0 0 266.322 2.599 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)CC2 ZINC000609309732 351496044 /nfs/dbraw/zinc/49/60/44/351496044.db2.gz KBYDSICZIIFERX-LBPRGKRZSA-N 0 0 285.303 2.649 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](CO)Nc1ncccc1[N+](=O)[O-] ZINC000609496690 351504195 /nfs/dbraw/zinc/50/41/95/351504195.db2.gz OKEXADFVBKYOLK-YPMHNXCESA-N 0 0 287.319 2.566 20 5 CFBDRN C[C@H]1Cc2ccccc2[C@H]1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000609510972 351504546 /nfs/dbraw/zinc/50/45/46/351504546.db2.gz WNNVVLADCYNRIN-BONVTDFDSA-N 0 0 299.330 2.597 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CC[C@@H](c2ccco2)C1 ZINC000609512749 351504574 /nfs/dbraw/zinc/50/45/74/351504574.db2.gz HLUJIEKPCWYLNX-SNVBAGLBSA-N 0 0 259.265 2.577 20 5 CFBDRN CCC(CC)[C@H](O)CNc1ccc([N+](=O)[O-])s1 ZINC000609513754 351505135 /nfs/dbraw/zinc/50/51/35/351505135.db2.gz KQUUJKMJRDRPIY-SECBINFHSA-N 0 0 258.343 2.865 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1cccc(F)c1F ZINC000609571106 351507890 /nfs/dbraw/zinc/50/78/90/351507890.db2.gz ZMJVGJNVRQJWNG-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN CC(=O)NC1CCC(Nc2ccsc2[N+](=O)[O-])CC1 ZINC000608779290 351470631 /nfs/dbraw/zinc/47/06/31/351470631.db2.gz RTWSTEBLAUFIBR-UHFFFAOYSA-N 0 0 283.353 2.516 20 5 CFBDRN Cc1ccccc1C[C@H](C)Nc1ccncc1[N+](=O)[O-] ZINC000608972938 351482708 /nfs/dbraw/zinc/48/27/08/351482708.db2.gz VJWRCMGBCRSPOH-LBPRGKRZSA-N 0 0 271.320 2.763 20 5 CFBDRN CCOc1ccc([C@H](C)Nc2ccncc2[N+](=O)[O-])cc1 ZINC000608972445 351482739 /nfs/dbraw/zinc/48/27/39/351482739.db2.gz KLDBMIGUKMGEOT-NSHDSACASA-N 0 0 287.319 2.984 20 5 CFBDRN CCCOc1cccc(Nc2ccncc2[N+](=O)[O-])c1 ZINC000608973108 351482885 /nfs/dbraw/zinc/48/28/85/351482885.db2.gz ZLVGYRJVAKNWOR-UHFFFAOYSA-N 0 0 273.292 2.944 20 5 CFBDRN COc1nc(C)cc(C)c1CNc1cccnc1[N+](=O)[O-] ZINC000608975067 351482936 /nfs/dbraw/zinc/48/29/36/351482936.db2.gz MYWLBUVYTGNBHR-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1ncc(-c2ccccc2)o1 ZINC000608973041 351482985 /nfs/dbraw/zinc/48/29/85/351482985.db2.gz RABFNNFXBUAXRB-UHFFFAOYSA-N 0 0 296.286 2.679 20 5 CFBDRN Cc1ccc(OCCNc2ccncc2[N+](=O)[O-])c(C)c1 ZINC000608971341 351482997 /nfs/dbraw/zinc/48/29/97/351482997.db2.gz IGRQAWZOGBANBD-UHFFFAOYSA-N 0 0 287.319 2.519 20 5 CFBDRN Cc1ccc2c(c1)N(c1ccncc1[N+](=O)[O-])CC2 ZINC000608973271 351483001 /nfs/dbraw/zinc/48/30/01/351483001.db2.gz DRMZUPWNSDKOAE-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN C[C@H](CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000609784790 351519509 /nfs/dbraw/zinc/51/95/09/351519509.db2.gz BZYASPDPRKLCCS-SECBINFHSA-N 0 0 296.754 2.953 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](CO)Nc1ccc([N+](=O)[O-])nc1 ZINC000609802489 351521202 /nfs/dbraw/zinc/52/12/02/351521202.db2.gz YXTCHDLVLJLBMG-RISCZKNCSA-N 0 0 287.319 2.566 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000609880860 351526453 /nfs/dbraw/zinc/52/64/53/351526453.db2.gz YURVSCPLLHWAFL-MDZLAQPJSA-N 0 0 291.351 2.727 20 5 CFBDRN CC[C@@H](C)[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000609974635 351529464 /nfs/dbraw/zinc/52/94/64/351529464.db2.gz UXPRCKFGTJISTA-DGCLKSJQSA-N 0 0 293.367 2.974 20 5 CFBDRN O=[N+]([O-])c1ncccc1Oc1cncc(Cl)c1 ZINC000609965190 351529612 /nfs/dbraw/zinc/52/96/12/351529612.db2.gz HZQBJLGNZMWYHJ-UHFFFAOYSA-N 0 0 251.629 2.831 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000610126485 351534496 /nfs/dbraw/zinc/53/44/96/351534496.db2.gz NTAFKTIIMIJGBS-NWDGAFQWSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H](CCO)SCCCOc1ccc([N+](=O)[O-])cc1 ZINC000610206425 351539392 /nfs/dbraw/zinc/53/93/92/351539392.db2.gz VRVSFAKVCBSYME-NSHDSACASA-N 0 0 285.365 2.868 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC[C@@H](n2ccnc2)C1 ZINC000610276388 351547101 /nfs/dbraw/zinc/54/71/01/351547101.db2.gz SKFAVLYREAVTPX-SNVBAGLBSA-N 0 0 278.337 2.694 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCCOC(C)(C)C2)c1 ZINC000610278603 351547685 /nfs/dbraw/zinc/54/76/85/351547685.db2.gz VKFNTFNPILXTTD-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN C[C@@]1(c2ccccc2)C[C@H]1Nc1ccncc1[N+](=O)[O-] ZINC000610280225 351547961 /nfs/dbraw/zinc/54/79/61/351547961.db2.gz JFWYLCUQWUBLET-CABCVRRESA-N 0 0 269.304 2.554 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000610281097 351549096 /nfs/dbraw/zinc/54/90/96/351549096.db2.gz NYSFOGMEJODUIZ-HBNTYKKESA-N 0 0 264.329 2.709 20 5 CFBDRN Cc1cc(N2CCNc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000610431150 351565499 /nfs/dbraw/zinc/56/54/99/351565499.db2.gz VEYPPQYGPHXPFR-UHFFFAOYSA-N 0 0 284.319 2.730 20 5 CFBDRN CCOc1cccc(NC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000610435138 351566826 /nfs/dbraw/zinc/56/68/26/351566826.db2.gz YGOMFCAJICGLBH-UHFFFAOYSA-N 0 0 293.304 2.702 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@]2(C)CCCC[C@H]2O)c1 ZINC000610489334 351569569 /nfs/dbraw/zinc/56/95/69/351569569.db2.gz IWIPHROVDJBTOW-CABCVRRESA-N 0 0 294.351 2.957 20 5 CFBDRN CC[C@H](Nc1cc2c(nn1)CCC2)c1cccc([N+](=O)[O-])c1 ZINC000610518290 351576178 /nfs/dbraw/zinc/57/61/78/351576178.db2.gz JZSIKQBMYBDAJH-AWEZNQCLSA-N 0 0 298.346 2.859 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCSC(C)(C)C2)c1 ZINC000610575899 351582330 /nfs/dbraw/zinc/58/23/30/351582330.db2.gz UGYYEDLYSGJOBW-UHFFFAOYSA-N 0 0 282.365 2.935 20 5 CFBDRN CN(Cc1ccc[nH]1)Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000610582352 351583551 /nfs/dbraw/zinc/58/35/51/351583551.db2.gz YCFIRKSIKHYKNR-UHFFFAOYSA-N 0 0 286.291 2.696 20 5 CFBDRN COc1ccc(CNc2cccnc2[N+](=O)[O-])c(F)c1 ZINC000610717090 351596093 /nfs/dbraw/zinc/59/60/93/351596093.db2.gz MVVWFNVXYYQZMZ-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN COc1cc(NCC[C@H]2CCCO2)c([N+](=O)[O-])cc1F ZINC000610714889 351596551 /nfs/dbraw/zinc/59/65/51/351596551.db2.gz SDRYJMMRSAMBGX-SECBINFHSA-N 0 0 284.287 2.724 20 5 CFBDRN COc1cc(N[C@H]2C[C@@H](OC)C2(C)C)c([N+](=O)[O-])cc1F ZINC000610716190 351596566 /nfs/dbraw/zinc/59/65/66/351596566.db2.gz VKHCZLFKGJRHKT-QWHCGFSZSA-N 0 0 298.314 2.968 20 5 CFBDRN C[C@@H]1CCN(c2ccsc2[N+](=O)[O-])C[C@H]1n1ccnc1 ZINC000610726421 351598696 /nfs/dbraw/zinc/59/86/96/351598696.db2.gz IDVBLBPSLLFDAI-ZYHUDNBSSA-N 0 0 292.364 2.940 20 5 CFBDRN Cc1cnc(N[C@@H](C)C[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000610734681 351599330 /nfs/dbraw/zinc/59/93/30/351599330.db2.gz FQCCLDHXAJNISO-QWRGUYRKSA-N 0 0 265.313 2.525 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC12CCC2 ZINC000610736597 351600244 /nfs/dbraw/zinc/60/02/44/351600244.db2.gz USQRUYUTIRAYQY-LBPRGKRZSA-N 0 0 274.320 2.743 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000610734946 351600315 /nfs/dbraw/zinc/60/03/15/351600315.db2.gz WEGRKWRGNVMQSQ-BDAKNGLRSA-N 0 0 296.352 2.531 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCc2ccc(F)c(F)c2C1 ZINC000610856250 351613942 /nfs/dbraw/zinc/61/39/42/351613942.db2.gz NUDBKDUSYQEYJZ-UHFFFAOYSA-N 0 0 291.257 2.831 20 5 CFBDRN COC(=O)c1cc(N2C[C@@H](C)C[C@H]2C)cc(C)c1[N+](=O)[O-] ZINC000610769906 351606639 /nfs/dbraw/zinc/60/66/39/351606639.db2.gz WOTSZNRGCKZMBQ-GXSJLCMTSA-N 0 0 292.335 2.925 20 5 CFBDRN O=C(N[C@@H]1CCC(F)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000610791214 351608760 /nfs/dbraw/zinc/60/87/60/351608760.db2.gz WUJJLZXUCDWZDE-SECBINFHSA-N 0 0 270.235 2.512 20 5 CFBDRN O=C(N[C@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000610790887 351608771 /nfs/dbraw/zinc/60/87/71/351608771.db2.gz LAVZABIKJSQESQ-ZETCQYMHSA-N 0 0 288.225 2.652 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CCC(F)(F)C1 ZINC000610790556 351608891 /nfs/dbraw/zinc/60/88/91/351608891.db2.gz SPRPALLIYBETDY-NSHDSACASA-N 0 0 298.289 2.831 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H]1CCCC(F)(F)C1 ZINC000610856235 351614309 /nfs/dbraw/zinc/61/43/09/351614309.db2.gz FNPWUHGHLBWMMX-QMMMGPOBSA-N 0 0 257.240 2.980 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCC2(C1)CCOCC2 ZINC000610340251 351555350 /nfs/dbraw/zinc/55/53/50/351555350.db2.gz IYFVZCQYDPVEKN-UHFFFAOYSA-N 0 0 268.338 2.663 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCc2c[nH]nc2C1 ZINC000611009073 351633498 /nfs/dbraw/zinc/63/34/98/351633498.db2.gz SCSJBTIGGHLVGG-UHFFFAOYSA-N 0 0 292.726 2.530 20 5 CFBDRN O=[N+]([O-])c1sccc1NCc1ncccc1F ZINC000611030515 351635345 /nfs/dbraw/zinc/63/53/45/351635345.db2.gz VDPKLJGWPYJUDP-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC3(CC(F)C3)C2)c(Cl)c1 ZINC000611078584 351638491 /nfs/dbraw/zinc/63/84/91/351638491.db2.gz NAVPNRWDUMRJIN-UHFFFAOYSA-N 0 0 271.679 2.582 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H](CO)CC1CC1 ZINC000611104231 351640049 /nfs/dbraw/zinc/64/00/49/351640049.db2.gz OTOZNRIBIFNKBL-SNVBAGLBSA-N 0 0 270.716 2.821 20 5 CFBDRN Cn1ncc(NC(=O)c2ccccc2[N+](=O)[O-])c1C(F)F ZINC000611159651 351645961 /nfs/dbraw/zinc/64/59/61/351645961.db2.gz OQQGDXQPBFNHJX-UHFFFAOYSA-N 0 0 296.233 2.518 20 5 CFBDRN CCC1(C)CN(C(=O)Nc2ccc([N+](=O)[O-])cc2OC)C1 ZINC000291486124 198033917 /nfs/dbraw/zinc/03/39/17/198033917.db2.gz MDCDPBSWUNBTHJ-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CC2(C1)CC(F)(F)C2 ZINC000611179685 351648647 /nfs/dbraw/zinc/64/86/47/351648647.db2.gz HMCUTCNVLWSWGQ-UHFFFAOYSA-N 0 0 286.253 2.965 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCO)nc1-c1ccccc1 ZINC000611181584 351649660 /nfs/dbraw/zinc/64/96/60/351649660.db2.gz ZWPOGNQJVOQBTA-UHFFFAOYSA-N 0 0 276.317 2.741 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC2(C1)CC(F)(F)C2 ZINC000611214639 351652767 /nfs/dbraw/zinc/65/27/67/351652767.db2.gz NOYPSCYARFRHFK-UHFFFAOYSA-N 0 0 288.275 2.528 20 5 CFBDRN CN(CCOC(C)(C)C)C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000610862628 351616680 /nfs/dbraw/zinc/61/66/80/351616680.db2.gz WFZBTHHOPVRQLU-UHFFFAOYSA-N 0 0 298.314 2.621 20 5 CFBDRN CC(C)C(NC(=O)Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000610911941 351620218 /nfs/dbraw/zinc/62/02/18/351620218.db2.gz GAPBHQJCSCSEIQ-UHFFFAOYSA-N 0 0 278.352 2.934 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCC[C@H]1CCCC[C@H]1O ZINC000610909530 351620299 /nfs/dbraw/zinc/62/02/99/351620299.db2.gz CFUQPWWTBLDWJP-DGCLKSJQSA-N 0 0 279.340 2.733 20 5 CFBDRN CC(C)(C)OCCCSCCn1cc([N+](=O)[O-])cn1 ZINC000610946914 351623574 /nfs/dbraw/zinc/62/35/74/351623574.db2.gz YPGWJFMEFLLELU-UHFFFAOYSA-N 0 0 287.385 2.730 20 5 CFBDRN Cc1cc(CNc2c(F)cc([N+](=O)[O-])cc2F)[nH]n1 ZINC000610988174 351628844 /nfs/dbraw/zinc/62/88/44/351628844.db2.gz NNKFQPZRYNZJHE-UHFFFAOYSA-N 0 0 268.223 2.517 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000611373691 351677012 /nfs/dbraw/zinc/67/70/12/351677012.db2.gz QPSFDKXMHYTLCM-KCJUWKMLSA-N 0 0 285.731 2.870 20 5 CFBDRN CC(C)C1CN(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000611397413 351682575 /nfs/dbraw/zinc/68/25/75/351682575.db2.gz JGXTWGCQTKMVTP-LLVKDONJSA-N 0 0 291.351 2.953 20 5 CFBDRN CC[C@H](C)CONC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000291612409 198050356 /nfs/dbraw/zinc/05/03/56/198050356.db2.gz JQDQWGJEYASYLX-WDEREUQCSA-N 0 0 295.339 2.933 20 5 CFBDRN CN(C)C(=O)[C@H]1CCC[C@H](Nc2sccc2[N+](=O)[O-])C1 ZINC000611411962 351684906 /nfs/dbraw/zinc/68/49/06/351684906.db2.gz KGXXUCHATDJLLZ-UWVGGRQHSA-N 0 0 297.380 2.715 20 5 CFBDRN COC(=O)[C@H](CNc1sccc1[N+](=O)[O-])CC(C)C ZINC000611412609 351686193 /nfs/dbraw/zinc/68/61/93/351686193.db2.gz CZDWLWCCEWRARL-VIFPVBQESA-N 0 0 286.353 2.904 20 5 CFBDRN CC(C)(C)OC(=O)CCNc1sccc1[N+](=O)[O-] ZINC000611413020 351686230 /nfs/dbraw/zinc/68/62/30/351686230.db2.gz QHDDOIZWODATKH-UHFFFAOYSA-N 0 0 272.326 2.800 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2sccc2[N+](=O)[O-])CCO1 ZINC000611414077 351686596 /nfs/dbraw/zinc/68/65/96/351686596.db2.gz GUMGDETXVXPZSX-BDAKNGLRSA-N 0 0 256.327 2.883 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCC(c2c[nH]cn2)CC1 ZINC000611413406 351687040 /nfs/dbraw/zinc/68/70/40/351687040.db2.gz PANJZYJDLYAZCC-UHFFFAOYSA-N 0 0 278.337 2.763 20 5 CFBDRN CN1CCc2ccc(Nc3cccnc3[N+](=O)[O-])cc21 ZINC000611415023 351687270 /nfs/dbraw/zinc/68/72/70/351687270.db2.gz AFFNJSJHAZKPMO-UHFFFAOYSA-N 0 0 270.292 2.726 20 5 CFBDRN CC(C)[C@H](O)C1(CNc2sccc2[N+](=O)[O-])CC1 ZINC000611415188 351687690 /nfs/dbraw/zinc/68/76/90/351687690.db2.gz VKHQLEGQTDJEFO-JTQLQIEISA-N 0 0 270.354 2.865 20 5 CFBDRN C[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H]1F ZINC000611494207 351696576 /nfs/dbraw/zinc/69/65/76/351696576.db2.gz HDLRRZZRLJCKNK-GMSGAONNSA-N 0 0 273.695 2.828 20 5 CFBDRN CCC(CC)[C@H]1C[C@H](Nc2c([N+](=O)[O-])ncn2C)CCO1 ZINC000611495062 351697364 /nfs/dbraw/zinc/69/73/64/351697364.db2.gz VHTGWPFUNTYVRY-VXGBXAGGSA-N 0 0 296.371 2.724 20 5 CFBDRN CN(C[C@@H]1CCCC[C@@H]1O)c1ccsc1[N+](=O)[O-] ZINC000611494904 351697672 /nfs/dbraw/zinc/69/76/72/351697672.db2.gz RYFIRPDZOBULLM-ONGXEEELSA-N 0 0 270.354 2.644 20 5 CFBDRN C[C@@H]1CCN(Cc2ccccc2[N+](=O)[O-])C[C@H]1F ZINC000611501498 351698594 /nfs/dbraw/zinc/69/85/94/351698594.db2.gz CZRMDESQXRVBLV-ZYHUDNBSSA-N 0 0 252.289 2.775 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2F)C[C@@H]1F ZINC000611502387 351699334 /nfs/dbraw/zinc/69/93/34/351699334.db2.gz RWRSIGGSDKHZTN-RNCFNFMXSA-N 0 0 270.279 2.914 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000611505312 351700258 /nfs/dbraw/zinc/70/02/58/351700258.db2.gz BXWCWMIESNAZMM-BXKDBHETSA-N 0 0 280.299 2.723 20 5 CFBDRN C[C@@H]1CCN(Cc2cccc([N+](=O)[O-])c2)C[C@@H]1F ZINC000611502855 351700544 /nfs/dbraw/zinc/70/05/44/351700544.db2.gz ZKINTSXGAVCZAI-MFKMUULPSA-N 0 0 252.289 2.775 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@H](C)[C@H](F)C2)cc([N+](=O)[O-])c1 ZINC000611507014 351701189 /nfs/dbraw/zinc/70/11/89/351701189.db2.gz VXLNJRZQSKFBLR-GXFFZTMASA-N 0 0 280.299 2.723 20 5 CFBDRN C[C@H](NC(=O)N1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000611510952 351701561 /nfs/dbraw/zinc/70/15/61/351701561.db2.gz MWLXOGIPDDFFPS-NSHDSACASA-N 0 0 275.308 2.627 20 5 CFBDRN COc1cc(CN2CC[C@H](C)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000611516988 351702495 /nfs/dbraw/zinc/70/24/95/351702495.db2.gz RFZQIJWLPMZKTE-CMPLNLGQSA-N 0 0 282.315 2.783 20 5 CFBDRN C[C@H]1CCN1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000611524274 351704614 /nfs/dbraw/zinc/70/46/14/351704614.db2.gz RDWWLOXCJPCKMT-VIFPVBQESA-N 0 0 297.742 2.595 20 5 CFBDRN Cc1ccncc1[C@H](C)Nc1cccnc1[N+](=O)[O-] ZINC000611299195 351666202 /nfs/dbraw/zinc/66/62/02/351666202.db2.gz VQRRJOOXYVYIBA-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1ccncc1[C@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000611299022 351666473 /nfs/dbraw/zinc/66/64/73/351666473.db2.gz INDZWMRCDXJKBT-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN NC(=O)c1cc([N+](=O)[O-])cnc1Nc1ccc(C2CC2)cc1 ZINC000611305726 351667068 /nfs/dbraw/zinc/66/70/68/351667068.db2.gz FUPRUXAALLICSX-UHFFFAOYSA-N 0 0 298.302 2.710 20 5 CFBDRN Cc1nc(N[C@H]2CCO[C@@H](C(C)C)C2)ccc1[N+](=O)[O-] ZINC000611307051 351668010 /nfs/dbraw/zinc/66/80/10/351668010.db2.gz RJKRIKLLGWOLFJ-WCQYABFASA-N 0 0 279.340 2.914 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@H]1c2ccccc2C[C@@H]1O ZINC000611369979 351675594 /nfs/dbraw/zinc/67/55/94/351675594.db2.gz FVMCVNKBYHKZKD-RYUDHWBXSA-N 0 0 276.317 2.727 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1ccsc1[N+](=O)[O-] ZINC000611373350 351676439 /nfs/dbraw/zinc/67/64/39/351676439.db2.gz OHZNJQSKOWYJCF-PSASIEDQSA-N 0 0 256.327 2.883 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)C[C@@H]2CCCC[C@H]2O)n1 ZINC000611617529 351716436 /nfs/dbraw/zinc/71/64/36/351716436.db2.gz HGWNBZXVPBXVBS-QWHCGFSZSA-N 0 0 293.367 2.594 20 5 CFBDRN CCOc1cc(N2CCC[C@@H](OC)CC2)ccc1[N+](=O)[O-] ZINC000611931469 351751565 /nfs/dbraw/zinc/75/15/65/351751565.db2.gz YFGJQPIYRFTYMO-CYBMUJFWSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@@H]1CCCCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611700293 351725527 /nfs/dbraw/zinc/72/55/27/351725527.db2.gz OIXBLTVJSWVTJD-SNVBAGLBSA-N 0 0 272.308 2.917 20 5 CFBDRN CC[C@@H](C)N(C)c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611707551 351727211 /nfs/dbraw/zinc/72/72/11/351727211.db2.gz JOESFFRBFDRARY-SECBINFHSA-N 0 0 260.297 2.773 20 5 CFBDRN C[C@H]1CCN(c2ncnc3ccc([N+](=O)[O-])cc32)C[C@@H]1F ZINC000611714454 351727788 /nfs/dbraw/zinc/72/77/88/351727788.db2.gz RGVFAMGQSYJVIH-CABZTGNLSA-N 0 0 290.298 2.722 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Sc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000611711893 351727833 /nfs/dbraw/zinc/72/78/33/351727833.db2.gz BILIUSRSQSQIIV-UFBFGSQYSA-N 0 0 291.332 2.808 20 5 CFBDRN COC[C@](C)(NCc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000611717290 351729542 /nfs/dbraw/zinc/72/95/42/351729542.db2.gz FEBHURQBKOQVMQ-NSHDSACASA-N 0 0 292.257 2.652 20 5 CFBDRN Cc1nccc(NC(=O)c2ccccc2[N+](=O)[O-])c1Cl ZINC000611561930 351708563 /nfs/dbraw/zinc/70/85/63/351708563.db2.gz BZPFADZVIKBKFF-UHFFFAOYSA-N 0 0 291.694 2.626 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCC[C@@]2(C)CO)n1 ZINC000611616949 351715247 /nfs/dbraw/zinc/71/52/47/351715247.db2.gz YCLTXKYXWHEXMR-FZMZJTMJSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(S[C@@H]2CCO[C@H]2C)n1 ZINC000611617265 351715305 /nfs/dbraw/zinc/71/53/05/351715305.db2.gz AGIVCEHWLUZXGG-VHSXEESVSA-N 0 0 268.338 2.876 20 5 CFBDRN CSC[C@@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616775 351715386 /nfs/dbraw/zinc/71/53/86/351715386.db2.gz FTPDYZAHNRJATC-SECBINFHSA-N 0 0 255.343 2.770 20 5 CFBDRN COC[C@@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])C(C)C ZINC000611616915 351715508 /nfs/dbraw/zinc/71/55/08/351715508.db2.gz VGQQDFNVIFEWKM-LLVKDONJSA-N 0 0 267.329 2.690 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(S[C@H]2CCO[C@H]2C)n1 ZINC000611617264 351715712 /nfs/dbraw/zinc/71/57/12/351715712.db2.gz AGIVCEHWLUZXGG-UWVGGRQHSA-N 0 0 268.338 2.876 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)C2CCOCC2)n1 ZINC000611617339 351715759 /nfs/dbraw/zinc/71/57/59/351715759.db2.gz YPGJNYSYBFWLBP-NSHDSACASA-N 0 0 279.340 2.834 20 5 CFBDRN CC(=O)NC1CCC(N(C)c2ccc([N+](=O)[O-])s2)CC1 ZINC000612114196 351777552 /nfs/dbraw/zinc/77/75/52/351777552.db2.gz SAPUEUQNVZLBNJ-UHFFFAOYSA-N 0 0 297.380 2.540 20 5 CFBDRN CCc1nnc(COc2ccc(OC)cc2[N+](=O)[O-])s1 ZINC000612011760 351761702 /nfs/dbraw/zinc/76/17/02/351761702.db2.gz CABBGTYCVNPVOQ-UHFFFAOYSA-N 0 0 295.320 2.596 20 5 CFBDRN O=[N+]([O-])c1ncccc1Sc1ccc(CO)cc1 ZINC000612094729 351773678 /nfs/dbraw/zinc/77/36/78/351773678.db2.gz VQWGBSRZEBHXHV-UHFFFAOYSA-N 0 0 262.290 2.633 20 5 CFBDRN Cc1cc(Nc2cccnc2[N+](=O)[O-])nn1[C@H](C)C1CC1 ZINC000612094637 351773951 /nfs/dbraw/zinc/77/39/51/351773951.db2.gz MRHIMLGBBITICH-SNVBAGLBSA-N 0 0 287.323 2.631 20 5 CFBDRN Cc1nn(C)c(N2C[C@H](C)CC(C)(C)C2)c1[N+](=O)[O-] ZINC000612099797 351774474 /nfs/dbraw/zinc/77/44/74/351774474.db2.gz KJZCXRQTJJMXRC-SECBINFHSA-N 0 0 266.345 2.509 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2sccc2[N+](=O)[O-])C[C@H]1O ZINC000612449174 351822258 /nfs/dbraw/zinc/82/22/58/351822258.db2.gz HHGZNFGJABEOSY-MWLCHTKSSA-N 0 0 284.381 2.890 20 5 CFBDRN CC(C)c1nnc(CNc2ccsc2[N+](=O)[O-])n1C ZINC000612449956 351822881 /nfs/dbraw/zinc/82/28/81/351822881.db2.gz SFBVUNMOTXGDCF-UHFFFAOYSA-N 0 0 281.341 2.520 20 5 CFBDRN Cc1cc(C(=O)NCc2ccc(F)cc2)cc([N+](=O)[O-])c1 ZINC000121839990 187000048 /nfs/dbraw/zinc/00/00/48/187000048.db2.gz CPNHENHJMDIDEE-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CC(C)(C)C(=O)Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000291746537 198078506 /nfs/dbraw/zinc/07/85/06/198078506.db2.gz ZGIZPTLBMYNUCP-UHFFFAOYSA-N 0 0 288.303 2.525 20 5 CFBDRN Cc1cnc(N[C@@H]2CCO[C@@H](C(C)C)C2)c([N+](=O)[O-])c1 ZINC000271446486 191142766 /nfs/dbraw/zinc/14/27/66/191142766.db2.gz OYFYGQZLLJEFIJ-DGCLKSJQSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1c(C(=O)N(C)C(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] ZINC000122038724 187011245 /nfs/dbraw/zinc/01/12/45/187011245.db2.gz HFZFWSQNIXGZDY-UHFFFAOYSA-N 0 0 295.295 2.600 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1CCC1CCCC1 ZINC000122035092 187011586 /nfs/dbraw/zinc/01/15/86/187011586.db2.gz UZFLVQRZPWCFRC-UHFFFAOYSA-N 0 0 287.319 2.885 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cccc2cnccc21 ZINC000612535285 351833134 /nfs/dbraw/zinc/83/31/34/351833134.db2.gz FYAFLEPKHXJYNO-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN CCCCc1noc([C@H](C)n2nc(C)c([N+](=O)[O-])c2C)n1 ZINC000122136425 187016964 /nfs/dbraw/zinc/01/69/64/187016964.db2.gz RSXJGEKWFIOPDK-JTQLQIEISA-N 0 0 293.327 2.743 20 5 CFBDRN C[C@H](O)CN(C)c1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000122323900 187027033 /nfs/dbraw/zinc/02/70/33/187027033.db2.gz SZGVHWZUDUMJCO-LURJTMIESA-N 0 0 279.123 2.719 20 5 CFBDRN CC(C)(C)NC(=O)CCNc1c(Cl)cccc1[N+](=O)[O-] ZINC000122143631 187017478 /nfs/dbraw/zinc/01/74/78/187017478.db2.gz ZAQYTYGNGYOTOA-UHFFFAOYSA-N 0 0 299.758 2.965 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H](C)[C@@H]2CCOC2)c1 ZINC000122889111 187057797 /nfs/dbraw/zinc/05/77/97/187057797.db2.gz LYWZOTHTZWRJAG-GHMZBOCLSA-N 0 0 250.298 2.740 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)[C@@H]2CCOC2)c1 ZINC000122888901 187057946 /nfs/dbraw/zinc/05/79/46/187057946.db2.gz LYWZOTHTZWRJAG-WDEREUQCSA-N 0 0 250.298 2.740 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccsc2[N+](=O)[O-])[C@]12CCCO2 ZINC000612664413 351849008 /nfs/dbraw/zinc/84/90/08/351849008.db2.gz NPBWSFWAMXBAPJ-NQBHXWOUSA-N 0 0 298.364 2.795 20 5 CFBDRN C[C@H]1CC(Nc2ccc([N+](=O)[O-])cc2)C[C@H](C)O1 ZINC000122900413 187058875 /nfs/dbraw/zinc/05/88/75/187058875.db2.gz TXQJMZLHKTXKBO-UWVGGRQHSA-N 0 0 250.298 2.963 20 5 CFBDRN C[C@@]1(CNc2ccc(F)cc2[N+](=O)[O-])CCCO1 ZINC000122950055 187061858 /nfs/dbraw/zinc/06/18/58/187061858.db2.gz CNOUTKVAJUDVLF-LBPRGKRZSA-N 0 0 254.261 2.715 20 5 CFBDRN C[C@]1(c2ccccc2)C[C@@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000612785522 351861868 /nfs/dbraw/zinc/86/18/68/351861868.db2.gz BVMVSYBLQALMFB-GXTWGEPZSA-N 0 0 270.292 2.527 20 5 CFBDRN CC[C@H](CC(F)(F)F)Nc1c([N+](=O)[O-])c(C)nn1C ZINC000612799496 351862680 /nfs/dbraw/zinc/86/26/80/351862680.db2.gz OLDACHXQCIZTSR-SSDOTTSWSA-N 0 0 280.250 2.780 20 5 CFBDRN CC1(C)CN(C(=O)c2cc(F)ccc2[N+](=O)[O-])[C@H]1C1CC1 ZINC000291902785 198100020 /nfs/dbraw/zinc/10/00/20/198100020.db2.gz LDMNOROXHQAHEQ-ZDUSSCGKSA-N 0 0 292.310 2.995 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1nc(C2CCC2)no1 ZINC000612897306 351875943 /nfs/dbraw/zinc/87/59/43/351875943.db2.gz MPEFTLGTNBRHSO-UHFFFAOYSA-N 0 0 275.264 2.824 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(F)(F)C1 ZINC000613245819 351923832 /nfs/dbraw/zinc/92/38/32/351923832.db2.gz NOVHKXJNKHBZGY-NSHDSACASA-N 0 0 298.289 2.641 20 5 CFBDRN CO[C@@](C)(CNC(=O)Nc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000291961713 198118266 /nfs/dbraw/zinc/11/82/66/198118266.db2.gz RJZNVDMKCPCVFV-AWEZNQCLSA-N 0 0 293.323 2.531 20 5 CFBDRN CC[C@H]1CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000131094075 187540925 /nfs/dbraw/zinc/54/09/25/187540925.db2.gz DJHKIJPWMFCSGC-ZCRIDZFUSA-N 0 0 274.320 2.867 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1ncc(Cl)s1 ZINC000613181500 351910426 /nfs/dbraw/zinc/91/04/26/351910426.db2.gz AQQPSZNNGOSCDQ-UHFFFAOYSA-N 0 0 283.740 2.781 20 5 CFBDRN COCC[C@H]1CCCCN(c2cccnc2[N+](=O)[O-])C1 ZINC000613433642 351959010 /nfs/dbraw/zinc/95/90/10/351959010.db2.gz AAEMQKYGSOFQHV-GFCCVEGCSA-N 0 0 279.340 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)c2ccsc2)s1 ZINC000613702068 351999639 /nfs/dbraw/zinc/99/96/39/351999639.db2.gz MWNMGZDPCXTISV-MRVPVSSYSA-N 0 0 270.335 2.863 20 5 CFBDRN C[C@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000271457978 191148742 /nfs/dbraw/zinc/14/87/42/191148742.db2.gz ZUMXQJRUPMNGKW-UWVGGRQHSA-N 0 0 268.288 2.961 20 5 CFBDRN COc1cccc(N2CC[C@H](C)[C@H](F)C2)c1[N+](=O)[O-] ZINC000613890055 352022271 /nfs/dbraw/zinc/02/22/71/352022271.db2.gz ZMUNQOQKVUROBK-VHSXEESVSA-N 0 0 268.288 2.788 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H](C)C1CC1 ZINC000614937209 352133428 /nfs/dbraw/zinc/13/34/28/352133428.db2.gz WIZMFKCATRPVOK-SECBINFHSA-N 0 0 293.323 2.771 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ccc([N+](=O)[O-])s2)C1 ZINC000614528825 352101328 /nfs/dbraw/zinc/10/13/28/352101328.db2.gz IEIBQTRHRBMDAJ-GFCCVEGCSA-N 0 0 270.354 2.645 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CC[C@@H]2CCC[C@@H]21 ZINC000614644824 352108444 /nfs/dbraw/zinc/10/84/44/352108444.db2.gz ROBCNGAYXGRQRB-ZFWWWQNUSA-N 0 0 288.347 2.928 20 5 CFBDRN COc1ccc(C(=O)N2CC[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] ZINC000614672466 352110012 /nfs/dbraw/zinc/11/00/12/352110012.db2.gz SZKFOLUVGGWAJA-JQWIXIFHSA-N 0 0 290.319 2.618 20 5 CFBDRN CC[C@@H](C)N(OC)C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000934911504 649850475 /nfs/dbraw/zinc/85/04/75/649850475.db2.gz OHDBVRGNTIHTJK-SNVBAGLBSA-N 0 0 298.314 2.855 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])s2)[C@@H](C)[C@@H](C)O1 ZINC000614980286 352139221 /nfs/dbraw/zinc/13/92/21/352139221.db2.gz MIQPFRDJGGJPJD-HRDYMLBCSA-N 0 0 256.327 2.658 20 5 CFBDRN Cc1cc(CCNc2cccnc2[N+](=O)[O-])cc(C)c1O ZINC000615726118 352235964 /nfs/dbraw/zinc/23/59/64/352235964.db2.gz XZSWVQATXDCIHP-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN CC(C)CC[C@H]1CCC[C@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000132482082 187630258 /nfs/dbraw/zinc/63/02/58/187630258.db2.gz MRVDGWUAUXMKEJ-GHMZBOCLSA-N 0 0 294.355 2.653 20 5 CFBDRN C[C@@H]1C[C@H](O)CN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000615946077 352266020 /nfs/dbraw/zinc/26/60/20/352266020.db2.gz STOYVHZDQCUTHC-PWSUYJOCSA-N 0 0 294.351 2.732 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000292029677 198139769 /nfs/dbraw/zinc/13/97/69/198139769.db2.gz CIACOASFBIGHMN-UHFFFAOYSA-N 0 0 279.340 2.685 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCc1cc(C)ccn1 ZINC000616211632 352305531 /nfs/dbraw/zinc/30/55/31/352305531.db2.gz QZKZLUHGNGMUDW-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CCCc1nc(COc2cccc(C)c2[N+](=O)[O-])no1 ZINC000134060199 187723783 /nfs/dbraw/zinc/72/37/83/187723783.db2.gz BHCBZLTUDFWRFB-UHFFFAOYSA-N 0 0 277.280 2.818 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000292070559 198151486 /nfs/dbraw/zinc/15/14/86/198151486.db2.gz VMGFNIODRAEYDT-SNVBAGLBSA-N 0 0 279.340 2.828 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000134368304 187743132 /nfs/dbraw/zinc/74/31/32/187743132.db2.gz SLPKCYRCFCCONR-GZMMTYOYSA-N 0 0 294.282 2.678 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCCCCCO ZINC000134586797 187762939 /nfs/dbraw/zinc/76/29/39/187762939.db2.gz PGGHLSPDCQRWMS-UHFFFAOYSA-N 0 0 257.261 2.665 20 5 CFBDRN C[C@H](C(=O)N1CCC(C)(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000617739641 352631126 /nfs/dbraw/zinc/63/11/26/352631126.db2.gz WDRDBSPQDRZQAO-NSHDSACASA-N 0 0 276.336 2.957 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC=C(F)C2)c2c(c1)COCO2 ZINC000271617211 191241581 /nfs/dbraw/zinc/24/15/81/191241581.db2.gz LISULRIOKKVKRA-UHFFFAOYSA-N 0 0 294.282 2.520 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@]3(CNc4ccccc43)C2)nc1 ZINC000618166210 352729165 /nfs/dbraw/zinc/72/91/65/352729165.db2.gz CRZYQIFWVPEUSO-INIZCTEOSA-N 0 0 296.330 2.563 20 5 CFBDRN CCO[C@@H]1C[C@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000618174606 352730059 /nfs/dbraw/zinc/73/00/59/352730059.db2.gz VQLXMUGYSDFZHY-CHWSQXEVSA-N 0 0 273.292 2.732 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000618174607 352730187 /nfs/dbraw/zinc/73/01/87/352730187.db2.gz VQLXMUGYSDFZHY-OLZOCXBDSA-N 0 0 273.292 2.732 20 5 CFBDRN CC(C)c1nc(COc2cc(F)cc([N+](=O)[O-])c2)no1 ZINC000135529675 187837042 /nfs/dbraw/zinc/83/70/42/187837042.db2.gz FBLVALHVQWGUJZ-UHFFFAOYSA-N 0 0 281.243 2.819 20 5 CFBDRN CC(=O)Nc1ccc(CNc2sccc2[N+](=O)[O-])cn1 ZINC000618976987 352924718 /nfs/dbraw/zinc/92/47/18/352924718.db2.gz PMKDXJQKNKATIO-UHFFFAOYSA-N 0 0 292.320 2.622 20 5 CFBDRN CCc1nn(C)c(N2CCSC(C)(C)CC2)c1[N+](=O)[O-] ZINC000618980248 352926200 /nfs/dbraw/zinc/92/62/00/352926200.db2.gz BNMCUFBEMNZPLY-UHFFFAOYSA-N 0 0 298.412 2.613 20 5 CFBDRN CC(C)CONC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000139619610 187907348 /nfs/dbraw/zinc/90/73/48/187907348.db2.gz VIUQMMJXOPVPIV-UHFFFAOYSA-N 0 0 272.688 2.566 20 5 CFBDRN CCc1nc(C)c(CNc2c([N+](=O)[O-])nc(C)n2CC)o1 ZINC000292222170 198207450 /nfs/dbraw/zinc/20/74/50/198207450.db2.gz IDOHAMYQHCMJFS-UHFFFAOYSA-N 0 0 293.327 2.591 20 5 CFBDRN O=C(NCc1ccc(Cl)o1)c1cccc([N+](=O)[O-])c1 ZINC000619145080 352965747 /nfs/dbraw/zinc/96/57/47/352965747.db2.gz ADOTURSSFUSODR-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000619158535 352968375 /nfs/dbraw/zinc/96/83/75/352968375.db2.gz WKYVBGBNSWKOHH-VIFPVBQESA-N 0 0 270.354 2.908 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@H]2C1 ZINC000150820367 187928855 /nfs/dbraw/zinc/92/88/55/187928855.db2.gz JHOMPXSNDIIWOA-QWRGUYRKSA-N 0 0 275.308 2.859 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC(F)(F)CC1 ZINC000619457414 353026217 /nfs/dbraw/zinc/02/62/17/353026217.db2.gz KEGKIHLKQWMCRW-UHFFFAOYSA-N 0 0 288.225 2.605 20 5 CFBDRN C[C@@H](O)CCCSc1ccc([N+](=O)[O-])cc1F ZINC000619460458 353026915 /nfs/dbraw/zinc/02/69/15/353026915.db2.gz RHPQEZMJRLFPQR-MRVPVSSYSA-N 0 0 259.302 2.987 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H](C)c1cnccc1C ZINC000619488099 353028851 /nfs/dbraw/zinc/02/88/51/353028851.db2.gz TVEDWRIRXXUCGH-SNVBAGLBSA-N 0 0 289.339 2.996 20 5 CFBDRN CCC(=O)c1ccc(N[C@H](CO)CC2CC2)c([N+](=O)[O-])c1 ZINC000619487077 353029009 /nfs/dbraw/zinc/02/90/09/353029009.db2.gz YNMHGAWBZAAEOA-LBPRGKRZSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1cccc(N2C[C@H](C)O[C@@H](C3CC3)C2)c1[N+](=O)[O-] ZINC000619499908 353029744 /nfs/dbraw/zinc/02/97/44/353029744.db2.gz GSJXQOHGBZDJOB-SMDDNHRTSA-N 0 0 276.336 2.907 20 5 CFBDRN Cc1ccncc1CN(C)c1ccc([N+](=O)[O-])s1 ZINC000619503616 353030187 /nfs/dbraw/zinc/03/01/87/353030187.db2.gz FJUSCTDNBLXLRT-UHFFFAOYSA-N 0 0 263.322 2.996 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(CCC(F)F)n2)cc1 ZINC000619507958 353030345 /nfs/dbraw/zinc/03/03/45/353030345.db2.gz ICFTYUNWACJGCO-UHFFFAOYSA-N 0 0 269.207 2.843 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CCC(F)F ZINC000619515805 353031396 /nfs/dbraw/zinc/03/13/96/353031396.db2.gz MSHYSBZRBRKHNW-UHFFFAOYSA-N 0 0 274.223 2.587 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CC[C@H](C)[C@@H](F)C1 ZINC000619531734 353033413 /nfs/dbraw/zinc/03/34/13/353033413.db2.gz XABXAFJSFYYXLL-GWCFXTLKSA-N 0 0 282.315 2.783 20 5 CFBDRN CCC[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)OCC ZINC000150915581 187934015 /nfs/dbraw/zinc/93/40/15/187934015.db2.gz GLOPBMKXYMGXGM-JTQLQIEISA-N 0 0 284.337 2.814 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CC(C3CCOCC3)C2)c(F)c1 ZINC000619726618 353060680 /nfs/dbraw/zinc/06/06/80/353060680.db2.gz RLZWGXSJNHBHMQ-UHFFFAOYSA-N 0 0 294.326 2.592 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000153128043 188065859 /nfs/dbraw/zinc/06/58/59/188065859.db2.gz LBDDDXPYWWUHLZ-NSHDSACASA-N 0 0 293.323 2.674 20 5 CFBDRN Cc1cc(N[C@]2(C)CCOC2)c(F)cc1[N+](=O)[O-] ZINC000292334430 198249352 /nfs/dbraw/zinc/24/93/52/198249352.db2.gz BBASBKPPIVUWOG-GFCCVEGCSA-N 0 0 254.261 2.633 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1CCCSC1 ZINC000273506000 192179398 /nfs/dbraw/zinc/17/93/98/192179398.db2.gz WFVIUGPWXDODCZ-QMMMGPOBSA-N 0 0 299.327 2.751 20 5 CFBDRN CC(F)(F)CCNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000452213657 535205073 /nfs/dbraw/zinc/20/50/73/535205073.db2.gz VIHDMOBSUABFFV-UHFFFAOYSA-N 0 0 297.261 2.851 20 5 CFBDRN Cc1cc(NC(=O)N2CCO[C@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000153834514 188106491 /nfs/dbraw/zinc/10/64/91/188106491.db2.gz BOIUGBXHIKGQAG-WDEREUQCSA-N 0 0 293.323 2.544 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCO[C@@H]1CCCCO1 ZINC000292363144 198260075 /nfs/dbraw/zinc/26/00/75/198260075.db2.gz OVTVONJEIFFOBZ-CYBMUJFWSA-N 0 0 266.297 2.550 20 5 CFBDRN CCc1nc(C)c(CNc2nccc(C)c2[N+](=O)[O-])o1 ZINC000292376183 198264379 /nfs/dbraw/zinc/26/43/79/198264379.db2.gz SGKFIRDCTWMGAJ-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN CC(C)CO[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000154012692 188117415 /nfs/dbraw/zinc/11/74/15/188117415.db2.gz YRSLAWKKXQLEJW-NSHDSACASA-N 0 0 299.758 2.895 20 5 CFBDRN CS[C@@H](C)CNC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000154029728 188119235 /nfs/dbraw/zinc/11/92/35/188119235.db2.gz BSHOYKAWTLPXES-VIFPVBQESA-N 0 0 283.353 2.776 20 5 CFBDRN Cc1cc(N[C@@H](C)c2cnn(C)c2)c(F)cc1[N+](=O)[O-] ZINC000292358847 198257191 /nfs/dbraw/zinc/25/71/91/198257191.db2.gz COGKQGWKUDAGPC-VIFPVBQESA-N 0 0 278.287 2.949 20 5 CFBDRN CCC(CC)(CNC(=O)c1ccc([N+](=O)[O-])cc1)OC ZINC000292407729 198277723 /nfs/dbraw/zinc/27/77/23/198277723.db2.gz UHIWEJNLAYLWIK-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN Cc1cc(=O)[nH]c([C@H](C)Sc2ncccc2[N+](=O)[O-])n1 ZINC000154639022 188154773 /nfs/dbraw/zinc/15/47/73/188154773.db2.gz BECVUJSSNZAEBV-QMMMGPOBSA-N 0 0 292.320 2.647 20 5 CFBDRN CSC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000292427945 198285307 /nfs/dbraw/zinc/28/53/07/198285307.db2.gz VWMOCRCFWWLALF-LLVKDONJSA-N 0 0 294.376 2.810 20 5 CFBDRN O=C(NCCC1CC1)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000154523729 188147832 /nfs/dbraw/zinc/14/78/32/188147832.db2.gz JQSSXSARZGXMDU-UHFFFAOYSA-N 0 0 285.303 2.673 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCOC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000155622309 188217745 /nfs/dbraw/zinc/21/77/45/188217745.db2.gz QQGJLJYAZFTNRW-GFCCVEGCSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC000156076664 188241749 /nfs/dbraw/zinc/24/17/49/188241749.db2.gz JSECOLVYPGKBTH-PHIMTYICSA-N 0 0 293.323 2.544 20 5 CFBDRN CO[C@@](C)(C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000292515213 198316731 /nfs/dbraw/zinc/31/67/31/198316731.db2.gz GQHKNWODFRHCBJ-MEBBXXQBSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCCc1csc(C)n1 ZINC000156657189 188274818 /nfs/dbraw/zinc/27/48/18/188274818.db2.gz CYOMQJVLKLHBHA-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2C)[C@@H]1OC ZINC000157076329 188295814 /nfs/dbraw/zinc/29/58/14/188295814.db2.gz BSQJLNYZTFARLS-MCIONIFRSA-N 0 0 280.324 2.508 20 5 CFBDRN Nc1c(C(=O)N2CCCCCCC2)cccc1[N+](=O)[O-] ZINC000157306867 188308367 /nfs/dbraw/zinc/30/83/67/188308367.db2.gz HXOCJZZFZBZZJA-UHFFFAOYSA-N 0 0 277.324 2.583 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(C)c2)[C@@H]1OC ZINC000157195347 188303537 /nfs/dbraw/zinc/30/35/37/188303537.db2.gz DKYJGBVIQCPLTE-BNOWGMLFSA-N 0 0 280.324 2.508 20 5 CFBDRN CCC(CC)(CO)CNc1nccc(C)c1[N+](=O)[O-] ZINC000157596423 188326931 /nfs/dbraw/zinc/32/69/31/188326931.db2.gz MUBVGZFKLFXQSI-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000157640773 188330122 /nfs/dbraw/zinc/33/01/22/188330122.db2.gz OJHDUJHUUXWXGV-JSGCOSHPSA-N 0 0 291.351 2.973 20 5 CFBDRN CCSCC[C@@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000157719001 188334761 /nfs/dbraw/zinc/33/47/61/188334761.db2.gz HYYPXUXTABFDLJ-SECBINFHSA-N 0 0 297.380 2.637 20 5 CFBDRN CCCCNC(=O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000292632084 198357950 /nfs/dbraw/zinc/35/79/50/198357950.db2.gz KTUJLDLZWJCRGE-UHFFFAOYSA-N 0 0 286.715 2.543 20 5 CFBDRN Cc1cc(N[C@@H]2CCCC[C@H]2O)c(F)cc1[N+](=O)[O-] ZINC000292638229 198359591 /nfs/dbraw/zinc/35/95/91/198359591.db2.gz OLVASWPUMRDROS-ZWNOBZJWSA-N 0 0 268.288 2.758 20 5 CFBDRN CCOC(=O)[C@@H](CC)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271695617 191281007 /nfs/dbraw/zinc/28/10/07/191281007.db2.gz GEMIKJLVUAXSFI-CMPLNLGQSA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cc(NCC2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000292708177 198383776 /nfs/dbraw/zinc/38/37/76/198383776.db2.gz SNOSKAHLSASLEL-UHFFFAOYSA-N 0 0 268.288 2.881 20 5 CFBDRN CCc1nnc([C@@H](C)Nc2cc(C)c([N+](=O)[O-])cc2F)[nH]1 ZINC000292751441 198399264 /nfs/dbraw/zinc/39/92/64/198399264.db2.gz VRFKHKRJVBDDJH-MRVPVSSYSA-N 0 0 293.302 2.896 20 5 CFBDRN CO[C@@H](CNc1ccc([N+](=O)[O-])cc1C)C1CC1 ZINC000292725673 198389993 /nfs/dbraw/zinc/38/99/93/198389993.db2.gz HVAUGLHOVMMXRN-ZDUSSCGKSA-N 0 0 250.298 2.740 20 5 CFBDRN CCOCCC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000159041812 188404901 /nfs/dbraw/zinc/40/49/01/188404901.db2.gz RXXVEDWJONGXBH-UHFFFAOYSA-N 0 0 292.335 2.531 20 5 CFBDRN CCCOC(=O)[C@H](C)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000271699444 191282501 /nfs/dbraw/zinc/28/25/01/191282501.db2.gz HDUFLCVSZOZTTA-MNOVXSKESA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1noc(C(C)C)n1 ZINC000159598393 188434303 /nfs/dbraw/zinc/43/43/03/188434303.db2.gz XRSNBOZQVAKBNT-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN CCc1nn(C)c(NCc2ccc(Cl)cn2)c1[N+](=O)[O-] ZINC000271712033 191286814 /nfs/dbraw/zinc/28/68/14/191286814.db2.gz HHMAVXQBLHCKIB-UHFFFAOYSA-N 0 0 295.730 2.551 20 5 CFBDRN CC/C=C\CNC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000452616323 535247823 /nfs/dbraw/zinc/24/78/23/535247823.db2.gz VINPRCUEZNXJOM-PLNGDYQASA-N 0 0 262.309 2.528 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C(C)(F)F ZINC000293048650 198508739 /nfs/dbraw/zinc/50/87/39/198508739.db2.gz LIZRLZMUTYPWCO-UHFFFAOYSA-N 0 0 292.669 2.862 20 5 CFBDRN CC(C)N(CC(F)F)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000161848076 188546949 /nfs/dbraw/zinc/54/69/49/188546949.db2.gz UXTXKWUVKCTYEA-UHFFFAOYSA-N 0 0 272.251 2.711 20 5 CFBDRN CSCCCNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271740753 191300059 /nfs/dbraw/zinc/30/00/59/191300059.db2.gz WIVALKNOIOTUJR-JTQLQIEISA-N 0 0 297.380 2.708 20 5 CFBDRN CN(CC(F)(F)F)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000168482104 188649597 /nfs/dbraw/zinc/64/95/97/188649597.db2.gz URCOODWWCZJOPG-UHFFFAOYSA-N 0 0 296.632 2.883 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCCO[C@@H](C)C1 ZINC000171047047 188711572 /nfs/dbraw/zinc/71/15/72/188711572.db2.gz NOWCSSVWSXAGAL-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CC/C=C/CNC(=O)c1cc(OCC)ccc1[N+](=O)[O-] ZINC000295698484 199625278 /nfs/dbraw/zinc/62/52/78/199625278.db2.gz GKXSJTBBRJMQAO-AATRIKPKSA-N 0 0 278.308 2.690 20 5 CFBDRN CC(C)[C@H](O)C1(CNc2ncc(Cl)cc2[N+](=O)[O-])CC1 ZINC000271774615 191319429 /nfs/dbraw/zinc/31/94/29/191319429.db2.gz GUCUUXGTZWRURR-NSHDSACASA-N 0 0 299.758 2.852 20 5 CFBDRN C[C@@H]1[C@H](C)SCCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000172355168 188797877 /nfs/dbraw/zinc/79/78/77/188797877.db2.gz INLSDKYRTKLWOI-SFYZADRCSA-N 0 0 286.378 2.622 20 5 CFBDRN CN(C(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C1CCC1 ZINC000172699206 188821781 /nfs/dbraw/zinc/82/17/81/188821781.db2.gz KZOTVFSDVBLZMM-UHFFFAOYSA-N 0 0 282.727 2.802 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172735616 188824033 /nfs/dbraw/zinc/82/40/33/188824033.db2.gz BZYVZMBSZLDOQF-VXGBXAGGSA-N 0 0 276.336 2.927 20 5 CFBDRN CC(C)CN(C(=O)Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000172745763 188824795 /nfs/dbraw/zinc/82/47/95/188824795.db2.gz SDWCVOJCGWHSDD-UHFFFAOYSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H](c1cccnc1)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172902030 188833347 /nfs/dbraw/zinc/83/33/47/188833347.db2.gz BALHJSKCWDUNSO-LBPRGKRZSA-N 0 0 299.330 2.752 20 5 CFBDRN C[C@H]1CCCN1C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000173153716 188843641 /nfs/dbraw/zinc/84/36/41/188843641.db2.gz YFEBMXUEVVCINK-FBOQAHMBSA-N 0 0 260.293 2.619 20 5 CFBDRN O=C(NCCCCc1ccc([N+](=O)[O-])cc1)C1CCC1 ZINC000172416223 188801821 /nfs/dbraw/zinc/80/18/21/188801821.db2.gz UWTBFNQOSZDJLY-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN C[C@H](NC(=O)CCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000271834110 191355921 /nfs/dbraw/zinc/35/59/21/191355921.db2.gz SOMCLFBPEILZJS-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN C[C@@H](CNc1cccnc1[N+](=O)[O-])c1nccs1 ZINC000230606864 540121981 /nfs/dbraw/zinc/12/19/81/540121981.db2.gz DKLFPYKDSVJHEJ-QMMMGPOBSA-N 0 0 264.310 2.662 20 5 CFBDRN COC[C@@H](C)SCCOc1ccccc1[N+](=O)[O-] ZINC000173789659 188868333 /nfs/dbraw/zinc/86/83/33/188868333.db2.gz YTOPUBGZJVDTPN-SNVBAGLBSA-N 0 0 271.338 2.742 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCO[C@@H](C)C1 ZINC000271886353 191389719 /nfs/dbraw/zinc/38/97/19/191389719.db2.gz NMKATRHUKDQBKR-JQWIXIFHSA-N 0 0 292.335 2.965 20 5 CFBDRN COc1cc(N[C@H]2CCCOCC2)c(F)cc1[N+](=O)[O-] ZINC000271888114 191391705 /nfs/dbraw/zinc/39/17/05/191391705.db2.gz NVLHHFFIAVSAMA-VIFPVBQESA-N 0 0 284.287 2.724 20 5 CFBDRN Cc1cnc(NCC2([C@@H](O)C(C)C)CC2)c([N+](=O)[O-])c1 ZINC000271895432 191394911 /nfs/dbraw/zinc/39/49/11/191394911.db2.gz YAWJPEMJVZXMOE-LBPRGKRZSA-N 0 0 279.340 2.507 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C1CCC1 ZINC000174123648 188883443 /nfs/dbraw/zinc/88/34/43/188883443.db2.gz WPOOEIWHDVEEES-UHFFFAOYSA-N 0 0 279.296 2.620 20 5 CFBDRN CSC[C@@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271849548 191366404 /nfs/dbraw/zinc/36/64/04/191366404.db2.gz WCLVGLCFQUDIBY-ZJUUUORDSA-N 0 0 282.365 2.771 20 5 CFBDRN C[C@H](NC(=O)CCc1ccccn1)c1ccccc1[N+](=O)[O-] ZINC000271854109 191370312 /nfs/dbraw/zinc/37/03/12/191370312.db2.gz XIZRHQKZKNTMHX-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000272082516 191504564 /nfs/dbraw/zinc/50/45/64/191504564.db2.gz MZFRSXBCDLZVQP-OQPBUACISA-N 0 0 295.266 2.645 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]2CCC[C@H]21 ZINC000174290517 188890775 /nfs/dbraw/zinc/89/07/75/188890775.db2.gz IVXJUDZUMYNYEC-DZGCQCFKSA-N 0 0 288.347 2.928 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CCCCS1 ZINC000272975478 192003838 /nfs/dbraw/zinc/00/38/38/192003838.db2.gz PDIMFVGLIIYKFZ-CYBMUJFWSA-N 0 0 294.376 2.839 20 5 CFBDRN CC(C)(CCc1nc(-c2cccc(F)c2)no1)[N+](=O)[O-] ZINC000295778652 199659997 /nfs/dbraw/zinc/65/99/97/199659997.db2.gz CHMWRFSTICBQFY-UHFFFAOYSA-N 0 0 279.271 2.864 20 5 CFBDRN CC(F)(F)C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000293616093 198704066 /nfs/dbraw/zinc/70/40/66/198704066.db2.gz FQSSQVNMHMRCQS-UHFFFAOYSA-N 0 0 284.262 2.741 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N1C[C@@H]2CCC[C@@H]2C1 ZINC000273522491 192185546 /nfs/dbraw/zinc/18/55/46/192185546.db2.gz YWUCEYDOQUKFNK-AOOOYVTPSA-N 0 0 293.298 2.998 20 5 CFBDRN CCS[C@H]1CCCC[C@H]1Nc1ncc([N+](=O)[O-])cn1 ZINC000273570853 192208984 /nfs/dbraw/zinc/20/89/84/192208984.db2.gz AEPDUTWDIIVEHQ-MNOVXSKESA-N 0 0 282.369 2.861 20 5 CFBDRN Cc1nn(CCCOCc2ccccc2)cc1[N+](=O)[O-] ZINC000273577355 192212365 /nfs/dbraw/zinc/21/23/65/192212365.db2.gz MYGWYYUGUNJORE-UHFFFAOYSA-N 0 0 275.308 2.707 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000273603670 192226344 /nfs/dbraw/zinc/22/63/44/192226344.db2.gz JZBLLKHYOUPZMO-QWRGUYRKSA-N 0 0 272.308 2.989 20 5 CFBDRN Cc1ccccc1O[C@@H](C)CNc1ncc([N+](=O)[O-])cn1 ZINC000273622605 192234530 /nfs/dbraw/zinc/23/45/30/192234530.db2.gz OTYDUZKTOARWMM-NSHDSACASA-N 0 0 288.307 2.573 20 5 CFBDRN CC1(C)Cc2cccc(Oc3ncc([N+](=O)[O-])cn3)c2O1 ZINC000273628518 192236888 /nfs/dbraw/zinc/23/68/88/192236888.db2.gz PXXGRGIRDLZKSF-UHFFFAOYSA-N 0 0 287.275 2.891 20 5 CFBDRN Cc1cccc(O[C@@H](C)CNc2ncc([N+](=O)[O-])cn2)c1 ZINC000273616107 192231090 /nfs/dbraw/zinc/23/10/90/192231090.db2.gz NHJKCHQTKMUMNJ-NSHDSACASA-N 0 0 288.307 2.573 20 5 CFBDRN CCOc1cccc([C@@H](C)Nc2ncc([N+](=O)[O-])cn2)c1 ZINC000273641982 192242774 /nfs/dbraw/zinc/24/27/74/192242774.db2.gz SJNKLOPKTZKSHM-SNVBAGLBSA-N 0 0 288.307 2.957 20 5 CFBDRN CCO[C@H]1C[C@@H]1c1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000273743984 192288258 /nfs/dbraw/zinc/28/82/58/192288258.db2.gz LSJRKUSUBJIKND-JQWIXIFHSA-N 0 0 289.291 2.846 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H](C)S[C@@H](C)C1 ZINC000567914948 290504811 /nfs/dbraw/zinc/50/48/11/290504811.db2.gz BKURGFWOKTVTJL-AOOOYVTPSA-N 0 0 282.365 2.934 20 5 CFBDRN C[C@H](N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1)C1(C)CC1 ZINC000175587179 188957663 /nfs/dbraw/zinc/95/76/63/188957663.db2.gz XGRBKBFMMBIUNU-NSHDSACASA-N 0 0 276.336 2.784 20 5 CFBDRN CCOCC(C)(C)NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000293918722 198826432 /nfs/dbraw/zinc/82/64/32/198826432.db2.gz OMCCQXHARDZRBX-MDZDMXLPSA-N 0 0 292.335 2.539 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000293932334 198833107 /nfs/dbraw/zinc/83/31/07/198833107.db2.gz HSISOUGTASQHTR-LBPRGKRZSA-N 0 0 289.335 2.794 20 5 CFBDRN CCC(C)(C)CNc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000233037044 535354606 /nfs/dbraw/zinc/35/46/06/535354606.db2.gz ZRTUBGSXCKXNPY-UHFFFAOYSA-N 0 0 281.312 2.625 20 5 CFBDRN Cc1cc(C(=O)NC2(C(C)C)CC2)ccc1[N+](=O)[O-] ZINC000293952207 198842078 /nfs/dbraw/zinc/84/20/78/198842078.db2.gz OZBGTBIVFLZXCA-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN CC[C@H]1CCN1C(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000294074632 198896592 /nfs/dbraw/zinc/89/65/92/198896592.db2.gz QNOQAFYYOURQNR-QMMMGPOBSA-N 0 0 268.700 2.873 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2ccc(N)c([N+](=O)[O-])c2)CC1(C)C ZINC000294113190 198914186 /nfs/dbraw/zinc/91/41/86/198914186.db2.gz YXAHPXBILWQNHN-ZDUSSCGKSA-N 0 0 291.351 2.684 20 5 CFBDRN NC(=O)C1(CNc2ccc(Cl)cc2[N+](=O)[O-])CCCC1 ZINC000267441406 283280753 /nfs/dbraw/zinc/28/07/53/283280753.db2.gz CHQLIMVIGMQIQS-UHFFFAOYSA-N 0 0 297.742 2.706 20 5 CFBDRN CCc1ccc(CNC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000294309057 198992721 /nfs/dbraw/zinc/99/27/21/198992721.db2.gz COHZKRWWQHUHOB-UHFFFAOYSA-N 0 0 284.381 2.762 20 5 CFBDRN CCCN(CC)C(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000294340572 199004795 /nfs/dbraw/zinc/00/47/95/199004795.db2.gz LHDJVCQSDGFCRV-UHFFFAOYSA-N 0 0 284.287 2.615 20 5 CFBDRN CC(C)(CCC(=O)N1CCCc2ccc(F)cc21)[N+](=O)[O-] ZINC000294385697 199019739 /nfs/dbraw/zinc/01/97/39/199019739.db2.gz IKNDWYHJCBLGPU-UHFFFAOYSA-N 0 0 294.326 2.940 20 5 CFBDRN CC(C)=CCNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000191789111 535369668 /nfs/dbraw/zinc/36/96/68/535369668.db2.gz QMIYLIBIDZXPNP-UHFFFAOYSA-N 0 0 282.727 2.873 20 5 CFBDRN CS[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000294414259 199030746 /nfs/dbraw/zinc/03/07/46/199030746.db2.gz NKVWBDOCOZMKIU-LLVKDONJSA-N 0 0 280.349 2.739 20 5 CFBDRN O=C(Nc1ccccn1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342916836 295956378 /nfs/dbraw/zinc/95/63/78/295956378.db2.gz ULEDBEMKWKLWDY-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ncoc1C1CC1 ZINC000294507428 199065844 /nfs/dbraw/zinc/06/58/44/199065844.db2.gz JNZCSCYMWOVHDG-UHFFFAOYSA-N 0 0 288.259 2.988 20 5 CFBDRN C[C@@H](CCCCO)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000296183385 199810802 /nfs/dbraw/zinc/81/08/02/199810802.db2.gz CZRVKJHWYCCAMY-QMMMGPOBSA-N 0 0 273.720 2.606 20 5 CFBDRN CC(C)(CCC(=O)NCCc1ccccc1Cl)[N+](=O)[O-] ZINC000294691653 199137089 /nfs/dbraw/zinc/13/70/89/199137089.db2.gz KOJSDTSOBYRQTQ-UHFFFAOYSA-N 0 0 298.770 2.834 20 5 CFBDRN C[C@@H](CCCCO)Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000296256516 199832886 /nfs/dbraw/zinc/83/28/86/199832886.db2.gz JFKUCMXIPLEZKV-QMMMGPOBSA-N 0 0 296.352 2.563 20 5 CFBDRN Cc1cc(Cl)c(C(=O)N(C)C2CC2)cc1[N+](=O)[O-] ZINC000294728967 199155211 /nfs/dbraw/zinc/15/52/11/199155211.db2.gz BMMWUTHSHKUUHX-UHFFFAOYSA-N 0 0 268.700 2.791 20 5 CFBDRN CCn1cc([C@H](C)NCc2csc([N+](=O)[O-])c2)cn1 ZINC000294788969 199184053 /nfs/dbraw/zinc/18/40/53/199184053.db2.gz HLBDDGPZHAWFNF-VIFPVBQESA-N 0 0 280.353 2.724 20 5 CFBDRN Cc1nc(N(C)CCc2ccc(F)cc2)ncc1[N+](=O)[O-] ZINC000294793214 199186174 /nfs/dbraw/zinc/18/61/74/199186174.db2.gz BNFMDQUEYQUIPZ-UHFFFAOYSA-N 0 0 290.298 2.511 20 5 CFBDRN Cc1cccnc1CCCNc1ccc([N+](=O)[O-])cn1 ZINC000294883866 199230802 /nfs/dbraw/zinc/23/08/02/199230802.db2.gz CFTRWQCZVNMFNH-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN CC(=O)c1ccc(NCC[C@H](C)CCO)c([N+](=O)[O-])c1 ZINC000294885611 199231803 /nfs/dbraw/zinc/23/18/03/199231803.db2.gz GJUBZEGJRSKGCH-JTQLQIEISA-N 0 0 280.324 2.618 20 5 CFBDRN COC[C@@]1(C)CCN(c2c(C)cccc2[N+](=O)[O-])C1 ZINC000294940246 199261434 /nfs/dbraw/zinc/26/14/34/199261434.db2.gz LBQMKPBCMKFCOY-AWEZNQCLSA-N 0 0 264.325 2.766 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCCCC1(O)CCC1 ZINC000420631063 236663433 /nfs/dbraw/zinc/66/34/33/236663433.db2.gz IGKJSVQFDBCLSR-UHFFFAOYSA-N 0 0 250.298 2.702 20 5 CFBDRN CS[C@H](C)CNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296443599 199894748 /nfs/dbraw/zinc/89/47/48/199894748.db2.gz JTYHNBVNQFPWED-ZJUUUORDSA-N 0 0 297.380 2.707 20 5 CFBDRN Cc1nc(N[C@@H](C)Cc2cccs2)ncc1[N+](=O)[O-] ZINC000296638296 199955185 /nfs/dbraw/zinc/95/51/85/199955185.db2.gz WGHWNIYUSVPUOK-QMMMGPOBSA-N 0 0 278.337 2.798 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@@H]1C ZINC000421912891 237003851 /nfs/dbraw/zinc/00/38/51/237003851.db2.gz SASGPNAVMZYONB-UFBFGSQYSA-N 0 0 262.309 2.740 20 5 CFBDRN Cc1cc(F)c(NC(=O)N[C@@H](C)CF)cc1[N+](=O)[O-] ZINC000296698767 199973266 /nfs/dbraw/zinc/97/32/66/199973266.db2.gz KHQVOBHZCZBNHE-ZETCQYMHSA-N 0 0 273.239 2.522 20 5 CFBDRN COc1ccnc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)c1 ZINC000296836246 200010922 /nfs/dbraw/zinc/01/09/22/200010922.db2.gz WXEPRIZPORWOSW-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1nc(NCc2ccc3c(n2)CCCC3)ncc1[N+](=O)[O-] ZINC000296896555 200027846 /nfs/dbraw/zinc/02/78/46/200027846.db2.gz YLDDDDCNBASCBG-UHFFFAOYSA-N 0 0 299.334 2.579 20 5 CFBDRN CC/C=C/CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000296866912 200020495 /nfs/dbraw/zinc/02/04/95/200020495.db2.gz JQCYVIMEMCITRT-ONEGZZNKSA-N 0 0 282.727 2.873 20 5 CFBDRN CCc1ccccc1CNc1ncc([N+](=O)[O-])c(C)n1 ZINC000296971774 200050205 /nfs/dbraw/zinc/05/02/05/200050205.db2.gz DFCLCWNVVWDRMA-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC1(C(C)C)CC1 ZINC000296983542 200053232 /nfs/dbraw/zinc/05/32/32/200053232.db2.gz TXZBJQHVKYXBFN-UHFFFAOYSA-N 0 0 293.323 2.914 20 5 CFBDRN CC/C=C/CNC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296994281 200056884 /nfs/dbraw/zinc/05/68/84/200056884.db2.gz YONKOJPNABMODD-ZWNMCFTASA-N 0 0 277.324 2.921 20 5 CFBDRN CC(C)(CCC(=O)N1CCc2cc(F)ccc2C1)[N+](=O)[O-] ZINC000297172225 200104944 /nfs/dbraw/zinc/10/49/44/200104944.db2.gz PNNPITSENRPART-UHFFFAOYSA-N 0 0 294.326 2.546 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000297147092 200097536 /nfs/dbraw/zinc/09/75/36/200097536.db2.gz NZIQCXIXFGASCB-QWRGUYRKSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1nc(N(C)C[C@H](C)c2ccccc2)ncc1[N+](=O)[O-] ZINC000297291397 200136366 /nfs/dbraw/zinc/13/63/66/200136366.db2.gz XXXKJQQURWVQJE-NSHDSACASA-N 0 0 286.335 2.933 20 5 CFBDRN CC[C@H]1CCC[C@@H]1NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000435819050 240357593 /nfs/dbraw/zinc/35/75/93/240357593.db2.gz RTHXQSXEQBMNEK-JQWIXIFHSA-N 0 0 292.335 2.912 20 5 CFBDRN CC(C)ONC(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000297445487 200192867 /nfs/dbraw/zinc/19/28/67/200192867.db2.gz LTVWYTSRBGJBSE-UHFFFAOYSA-N 0 0 273.676 2.710 20 5 CFBDRN CSC[C@H]1CCCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000297551923 200225661 /nfs/dbraw/zinc/22/56/61/200225661.db2.gz QWWNAKCZWSLDPG-SNVBAGLBSA-N 0 0 292.364 2.803 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000297706868 200265805 /nfs/dbraw/zinc/26/58/05/200265805.db2.gz FVSQOYWAPVOOGX-GWCFXTLKSA-N 0 0 296.298 2.878 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000297706875 200265879 /nfs/dbraw/zinc/26/58/79/200265879.db2.gz FVSQOYWAPVOOGX-MFKMUULPSA-N 0 0 296.298 2.878 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000297711821 200267227 /nfs/dbraw/zinc/26/72/27/200267227.db2.gz GDYQPVDAGBGSNX-ZWNOBZJWSA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)C(C)(F)F ZINC000297762871 200277136 /nfs/dbraw/zinc/27/71/36/200277136.db2.gz IXFIHOALLAEITD-LURJTMIESA-N 0 0 276.214 2.964 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC(=O)CCC1CCCC1 ZINC000298135850 200342198 /nfs/dbraw/zinc/34/21/98/200342198.db2.gz COXKWTGAUIHOJZ-UHFFFAOYSA-N 0 0 292.335 2.604 20 5 CFBDRN CC(C)(CCC(=O)Oc1cccc2ncccc21)[N+](=O)[O-] ZINC000298228446 200366095 /nfs/dbraw/zinc/36/60/95/200366095.db2.gz IWWCRQBBXSMKHX-UHFFFAOYSA-N 0 0 288.303 2.976 20 5 CFBDRN Cc1cc(C)c(OC(=O)CC[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000176558403 189000239 /nfs/dbraw/zinc/00/02/39/189000239.db2.gz ZAQFRTJIFLOSLB-GFCCVEGCSA-N 0 0 293.319 2.934 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)NCC(F)F)cc1[N+](=O)[O-] ZINC000176724581 189005960 /nfs/dbraw/zinc/00/59/60/189005960.db2.gz PHNMENCPULXHJS-QMMMGPOBSA-N 0 0 287.266 2.529 20 5 CFBDRN O=C(CC1CC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000298340086 200399971 /nfs/dbraw/zinc/39/99/71/200399971.db2.gz KCLMYRHJYGUWBC-UHFFFAOYSA-N 0 0 254.673 2.987 20 5 CFBDRN CC(C)N1CCC[C@@H](Oc2cc(F)ccc2[N+](=O)[O-])C1=O ZINC000176858218 189011707 /nfs/dbraw/zinc/01/17/07/189011707.db2.gz RUUPJPPMQQTDKS-GFCCVEGCSA-N 0 0 296.298 2.512 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000177023681 189021986 /nfs/dbraw/zinc/02/19/86/189021986.db2.gz HOTATEHGRZFNSQ-MWLCHTKSSA-N 0 0 262.309 2.774 20 5 CFBDRN CO[C@@H](C)c1noc(CNc2cc([N+](=O)[O-])ccc2C)n1 ZINC000177179972 189034568 /nfs/dbraw/zinc/03/45/68/189034568.db2.gz BRGOFKFKPCURDT-VIFPVBQESA-N 0 0 292.295 2.606 20 5 CFBDRN CO[C@H](C)c1nc(COc2cccnc2[N+](=O)[O-])cs1 ZINC000177189527 189034729 /nfs/dbraw/zinc/03/47/29/189034729.db2.gz DJDMRRWBBAQFIU-MRVPVSSYSA-N 0 0 295.320 2.733 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCC2(C(C)C)CC2)c1 ZINC000177387459 189046871 /nfs/dbraw/zinc/04/68/71/189046871.db2.gz FNCQFZRHJKDQCZ-UHFFFAOYSA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1cc(CN(C)c2ccc([N+](=O)[O-])c(C)c2)no1 ZINC000298700038 200509176 /nfs/dbraw/zinc/50/91/76/200509176.db2.gz DQBYJXJJXWOAJY-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN CCCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000177858568 189074793 /nfs/dbraw/zinc/07/47/93/189074793.db2.gz BPJXEUNLNTWEJN-SNVBAGLBSA-N 0 0 250.298 2.881 20 5 CFBDRN CC(C)[C@@H](C)N(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000298734288 200519531 /nfs/dbraw/zinc/51/95/31/200519531.db2.gz KCIRUXKUGFONKH-MRVPVSSYSA-N 0 0 256.327 2.773 20 5 CFBDRN Cc1c([C@@H](C)Nc2nc(C)ccc2[N+](=O)[O-])cnn1C ZINC000178168099 189094852 /nfs/dbraw/zinc/09/48/52/189094852.db2.gz COGCIMWXNCHOSS-SECBINFHSA-N 0 0 275.312 2.513 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCC(C)(C)CO)n1 ZINC000178236165 189100166 /nfs/dbraw/zinc/10/01/66/189100166.db2.gz BPPQFDHYPSHBRE-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN CC[C@@H](C)C(=O)COc1cc(OC)ccc1[N+](=O)[O-] ZINC000224850928 540759414 /nfs/dbraw/zinc/75/94/14/540759414.db2.gz XPDNDEHCDIABJT-SECBINFHSA-N 0 0 267.281 2.597 20 5 CFBDRN C[C@@H]1CCN(C(=O)NCc2ccccc2[N+](=O)[O-])[C@H]1C ZINC000178511842 189122498 /nfs/dbraw/zinc/12/24/98/189122498.db2.gz LOBHVINGLPXQDE-MNOVXSKESA-N 0 0 277.324 2.535 20 5 CFBDRN Cc1c(CC(=O)N(C)C2CCCC2)cccc1[N+](=O)[O-] ZINC000178568812 189127658 /nfs/dbraw/zinc/12/76/58/189127658.db2.gz YCFXKLZBMMCXDX-UHFFFAOYSA-N 0 0 276.336 2.847 20 5 CFBDRN C[C@H]1CCN(C(=O)NCc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000178584297 189128439 /nfs/dbraw/zinc/12/84/39/189128439.db2.gz WXVTVNNHRMERBY-QWRGUYRKSA-N 0 0 277.324 2.535 20 5 CFBDRN Cc1c(CC(=O)NCC(C)(C)C)cccc1[N+](=O)[O-] ZINC000178615982 189131410 /nfs/dbraw/zinc/13/14/10/189131410.db2.gz UMNDXNWHFYGMEI-UHFFFAOYSA-N 0 0 264.325 2.608 20 5 CFBDRN C[C@H]1CN(C(=O)NCc2ccccc2[N+](=O)[O-])CC[C@@H]1C ZINC000179299481 189184532 /nfs/dbraw/zinc/18/45/32/189184532.db2.gz XTBGCPZFHPEJPP-RYUDHWBXSA-N 0 0 291.351 2.782 20 5 CFBDRN CS[C@@H](C)CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000179341931 189186996 /nfs/dbraw/zinc/18/69/96/189186996.db2.gz RVYNMSQHKSLCDF-VIFPVBQESA-N 0 0 280.349 2.626 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](C)C1 ZINC000299595864 200796003 /nfs/dbraw/zinc/79/60/03/200796003.db2.gz FNTWYCVBFYQHOI-WDEREUQCSA-N 0 0 294.376 2.944 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CCc1ccccn1 ZINC000299691216 200836050 /nfs/dbraw/zinc/83/60/50/200836050.db2.gz ZQTBICQJNQURPX-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CC(C)(F)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F ZINC000179869164 189225034 /nfs/dbraw/zinc/22/50/34/189225034.db2.gz ZIQCHYMISNYABG-UHFFFAOYSA-N 0 0 262.187 2.560 20 5 CFBDRN C[C@H]1CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C1 ZINC000299706796 200840029 /nfs/dbraw/zinc/84/00/29/200840029.db2.gz GUOHQRLMKDWCCK-VIFPVBQESA-N 0 0 273.292 2.558 20 5 CFBDRN Cc1c([C@H](C)Nc2cccc(F)c2[N+](=O)[O-])cnn1C ZINC000180400655 189253245 /nfs/dbraw/zinc/25/32/45/189253245.db2.gz GDHDKUZKPJOMNH-QMMMGPOBSA-N 0 0 278.287 2.949 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)NCCC1=CCCCC1 ZINC000180600539 189264627 /nfs/dbraw/zinc/26/46/27/189264627.db2.gz UCMIIQRKMJMPHU-UHFFFAOYSA-N 0 0 289.335 2.797 20 5 CFBDRN C[C@H](CNc1ccc(F)cc1[N+](=O)[O-])Cn1cccn1 ZINC000301105521 200916943 /nfs/dbraw/zinc/91/69/43/200916943.db2.gz PHVQEIFMCXRJOP-SNVBAGLBSA-N 0 0 278.287 2.679 20 5 CFBDRN CN(Cc1cccnc1)c1ccc([N+](=O)[O-])cc1F ZINC000301102973 200917306 /nfs/dbraw/zinc/91/73/06/200917306.db2.gz UNPGNDDIQHTNQB-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN COC(=O)N1CCC(Nc2c(C)cccc2[N+](=O)[O-])CC1 ZINC000301145691 200926440 /nfs/dbraw/zinc/92/64/40/200926440.db2.gz APTINKPENYZHQR-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN CC[C@@H](C)[C@@](C)(O)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000301279025 200961424 /nfs/dbraw/zinc/96/14/24/200961424.db2.gz ZOURKBPFCPXCOH-PELKAZGASA-N 0 0 298.368 2.513 20 5 CFBDRN CC[C@H](C)[C@](C)(O)CNc1ncc([N+](=O)[O-])cc1C ZINC000301224805 200943289 /nfs/dbraw/zinc/94/32/89/200943289.db2.gz FPKIEQRWBUUQQG-GXFFZTMASA-N 0 0 267.329 2.507 20 5 CFBDRN Cc1ccnc(NC[C@@H](O)c2ccc(F)cc2)c1[N+](=O)[O-] ZINC000301295062 200967344 /nfs/dbraw/zinc/96/73/44/200967344.db2.gz OVRIRAMFOSVYEZ-GFCCVEGCSA-N 0 0 291.282 2.583 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000181115288 189289377 /nfs/dbraw/zinc/28/93/77/189289377.db2.gz KTVHGMKRUYGXNE-QWRGUYRKSA-N 0 0 291.351 2.876 20 5 CFBDRN CC(C)(C)N1C[C@@H](Nc2ccc([N+](=O)[O-])cc2F)CC1=O ZINC000301459997 201016937 /nfs/dbraw/zinc/01/69/37/201016937.db2.gz DGTUTNCZIRDWBD-VIFPVBQESA-N 0 0 295.314 2.545 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCOCc1ccccc1 ZINC000301470436 201019678 /nfs/dbraw/zinc/01/96/78/201019678.db2.gz IYKQQUHWCUQXIS-UHFFFAOYSA-N 0 0 273.292 2.619 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@](C)(O)c2ccco2)c1 ZINC000301550095 201063591 /nfs/dbraw/zinc/06/35/91/201063591.db2.gz GMBOFJSFHKTMLD-AWEZNQCLSA-N 0 0 276.292 2.816 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Nc1ccn(CC(F)F)n1 ZINC000301575955 201077700 /nfs/dbraw/zinc/07/77/00/201077700.db2.gz YRBNKRCXJHKCEQ-UHFFFAOYSA-N 0 0 286.213 2.939 20 5 CFBDRN Cc1nc(Oc2cccc(C=O)c2)ccc1[N+](=O)[O-] ZINC000301630595 201106526 /nfs/dbraw/zinc/10/65/26/201106526.db2.gz IXPQMUUOGOWOFY-UHFFFAOYSA-N 0 0 258.233 2.903 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(Cc1ccc(CO)cc1)CC2 ZINC000182803353 189335752 /nfs/dbraw/zinc/33/57/52/189335752.db2.gz NVNMJBRWDYKBRN-UHFFFAOYSA-N 0 0 284.315 2.650 20 5 CFBDRN CS(=O)(=O)c1ccc(N[C@H]2C=CCCC2)c([N+](=O)[O-])c1 ZINC000301610880 201095210 /nfs/dbraw/zinc/09/52/10/201095210.db2.gz CYMBGUJYYDDXQB-JTQLQIEISA-N 0 0 296.348 2.519 20 5 CFBDRN Cc1nc(Oc2ccc3c(c2)CCC(=O)N3)ccc1[N+](=O)[O-] ZINC000301655788 201119301 /nfs/dbraw/zinc/11/93/01/201119301.db2.gz RUSHCEWSEVNQLH-UHFFFAOYSA-N 0 0 299.286 2.975 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000301659913 201120933 /nfs/dbraw/zinc/12/09/33/201120933.db2.gz MGWYCWTXBVFSRB-NOZJJQNGSA-N 0 0 267.329 2.507 20 5 CFBDRN CCC[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000183078851 189345513 /nfs/dbraw/zinc/34/55/13/189345513.db2.gz FQYJZDQYITYWNB-SNVBAGLBSA-N 0 0 266.297 2.983 20 5 CFBDRN CO[C@@]1(C)C[C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000301666002 201124873 /nfs/dbraw/zinc/12/48/73/201124873.db2.gz OKDKEJGSQWGKSB-RISCZKNCSA-N 0 0 279.340 2.914 20 5 CFBDRN Cc1c(NC(=O)C[C@@H](C)n2cccn2)cccc1[N+](=O)[O-] ZINC000424535906 238781393 /nfs/dbraw/zinc/78/13/93/238781393.db2.gz OGILRWDXYVYCOG-SNVBAGLBSA-N 0 0 288.307 2.690 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)COC(C)(C)C)cc1[N+](=O)[O-] ZINC000183161441 189348174 /nfs/dbraw/zinc/34/81/74/189348174.db2.gz NJMCCJXHFKWYGF-NSHDSACASA-N 0 0 294.351 2.896 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCC(=O)C[C@H]1C ZINC000183155394 189348635 /nfs/dbraw/zinc/34/86/35/189348635.db2.gz JGPPZBUCRDUNEW-ZWNOBZJWSA-N 0 0 291.303 2.814 20 5 CFBDRN CO[C@]1(C)C[C@H](N(C)c2ccc([N+](=O)[O-])c(C)n2)C1(C)C ZINC000301673924 201129290 /nfs/dbraw/zinc/12/92/90/201129290.db2.gz RNJVGCXKANSNCV-SWLSCSKDSA-N 0 0 293.367 2.938 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H](Oc2cccnc2)C1 ZINC000301680386 201133571 /nfs/dbraw/zinc/13/35/71/201133571.db2.gz SNBRVQAQNAGFTD-HNNXBMFYSA-N 0 0 299.330 2.956 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000301695426 201145603 /nfs/dbraw/zinc/14/56/03/201145603.db2.gz YJOYEZBRUKHNBR-MNOVXSKESA-N 0 0 278.308 2.739 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183559068 189362146 /nfs/dbraw/zinc/36/21/46/189362146.db2.gz VHBUFFHGRSXPPH-VHSXEESVSA-N 0 0 278.312 2.642 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183622889 189364093 /nfs/dbraw/zinc/36/40/93/189364093.db2.gz GSILLWKAXAASDK-LLVKDONJSA-N 0 0 278.312 2.690 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000183622873 189364139 /nfs/dbraw/zinc/36/41/39/189364139.db2.gz GSILLWKAXAASDK-NSHDSACASA-N 0 0 278.312 2.690 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])c(C)c2)CCOCC1 ZINC000301758918 201180113 /nfs/dbraw/zinc/18/01/13/201180113.db2.gz FHVDEVRYOPYVFQ-UHFFFAOYSA-N 0 0 280.324 2.511 20 5 CFBDRN CCOC[C@@H]1CCN(c2cccc(OCC)c2[N+](=O)[O-])C1 ZINC000184130159 189376830 /nfs/dbraw/zinc/37/68/30/189376830.db2.gz BIUDUCWEAKTUGM-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN CCOc1cccc(NC[C@H](C)C[C@@H](C)O)c1[N+](=O)[O-] ZINC000184121585 189376920 /nfs/dbraw/zinc/37/69/20/189376920.db2.gz WKWDGQAIMOBLMK-GHMZBOCLSA-N 0 0 282.340 2.812 20 5 CFBDRN CCOc1cccc(NCC(C)(C)CCO)c1[N+](=O)[O-] ZINC000184127586 189377238 /nfs/dbraw/zinc/37/72/38/189377238.db2.gz AREONQSBOYQXFW-UHFFFAOYSA-N 0 0 282.340 2.814 20 5 CFBDRN Cc1cc(C(=O)N2CC(CC(F)F)C2)cc([N+](=O)[O-])c1 ZINC000425073113 238933922 /nfs/dbraw/zinc/93/39/22/238933922.db2.gz DALZPFDKUFTRET-UHFFFAOYSA-N 0 0 284.262 2.630 20 5 CFBDRN Cc1ccc(Nc2cnn(-c3ncccn3)c2)c([N+](=O)[O-])c1 ZINC000301798856 201204233 /nfs/dbraw/zinc/20/42/33/201204233.db2.gz BJMRABIJHTYQFK-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN C[C@@]1(CO)CCC[C@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000301780637 201193623 /nfs/dbraw/zinc/19/36/23/201193623.db2.gz JEVORTPMHLNQIH-YPMHNXCESA-N 0 0 286.278 2.836 20 5 CFBDRN Cc1ccnc(S[C@H]2CCO[C@H]2C)c1[N+](=O)[O-] ZINC000301817179 201214037 /nfs/dbraw/zinc/21/40/37/201214037.db2.gz KAVJYAGTWMQGQW-IUCAKERBSA-N 0 0 254.311 2.568 20 5 CFBDRN O=Cc1ccc(Oc2ccc([N+](=O)[O-])cn2)c(F)c1 ZINC000301816707 201214636 /nfs/dbraw/zinc/21/46/36/201214636.db2.gz DTNRJAVZACNKAG-UHFFFAOYSA-N 0 0 262.196 2.734 20 5 CFBDRN CCCCN(C)c1c(Br)cncc1[N+](=O)[O-] ZINC000301829807 201222679 /nfs/dbraw/zinc/22/26/79/201222679.db2.gz HAJVAXGDFZCDLK-UHFFFAOYSA-N 0 0 288.145 2.989 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@@H]2C(C)(C)O)c([N+](=O)[O-])c1 ZINC000301885574 201248627 /nfs/dbraw/zinc/24/86/27/201248627.db2.gz JZLFPONNQFWHDP-CQSZACIVSA-N 0 0 292.335 2.537 20 5 CFBDRN CC[C@@H](CO)Nc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301861939 201238272 /nfs/dbraw/zinc/23/82/72/201238272.db2.gz GCTLJGZXIVPQKR-JTQLQIEISA-N 0 0 282.340 2.955 20 5 CFBDRN CC(C)Cc1noc(COc2c(F)cccc2[N+](=O)[O-])n1 ZINC000185027267 189402110 /nfs/dbraw/zinc/40/21/10/189402110.db2.gz FALANIQOBIGALB-UHFFFAOYSA-N 0 0 295.270 2.894 20 5 CFBDRN Cc1cc(N(C)C[C@H](O)C2CC2)c(Cl)cc1[N+](=O)[O-] ZINC000301890326 201249645 /nfs/dbraw/zinc/24/96/45/201249645.db2.gz LQRFRWJMHWUBSA-ZDUSSCGKSA-N 0 0 284.743 2.764 20 5 CFBDRN COc1cc(NCC[C@H](O)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000301922090 201264099 /nfs/dbraw/zinc/26/40/99/201264099.db2.gz JSMURMPPHFCLQX-LBPRGKRZSA-N 0 0 286.303 2.561 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000425562168 239102701 /nfs/dbraw/zinc/10/27/01/239102701.db2.gz BXDAZKQUCDNUES-PWSUYJOCSA-N 0 0 277.324 2.779 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NCC3(C4CC4)CCC3)nn21 ZINC000301971217 201283030 /nfs/dbraw/zinc/28/30/30/201283030.db2.gz SCURISSQSCWRFT-UHFFFAOYSA-N 0 0 287.323 2.630 20 5 CFBDRN Cc1cc(N[C@H](C)[C@@H](C)CO)c2cccc([N+](=O)[O-])c2n1 ZINC000301979180 201284678 /nfs/dbraw/zinc/28/46/78/201284678.db2.gz AEWOHIBAEBIVHT-GXSJLCMTSA-N 0 0 289.335 2.880 20 5 CFBDRN C[C@H]1CCN(c2ccc3ncc([N+](=O)[O-])n3n2)C[C@H](C)C1 ZINC000301981892 201286691 /nfs/dbraw/zinc/28/66/91/201286691.db2.gz YAZYFWASHKQUFT-WDEREUQCSA-N 0 0 289.339 2.510 20 5 CFBDRN Cc1nc(NCc2ccc(Cl)cn2)ccc1[N+](=O)[O-] ZINC000301982412 201286796 /nfs/dbraw/zinc/28/67/96/201286796.db2.gz BJXQGLDRMWWKPA-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN COc1cccc(NC[C@H](O)CC(C)(C)C)c1[N+](=O)[O-] ZINC000185436549 189413480 /nfs/dbraw/zinc/41/34/80/189413480.db2.gz NWSIBJMFHGPFIX-SNVBAGLBSA-N 0 0 282.340 2.812 20 5 CFBDRN CC(C)(CO)CCCNc1sccc1[N+](=O)[O-] ZINC000302019017 201300034 /nfs/dbraw/zinc/30/00/34/201300034.db2.gz MXTUHPDJDIACCO-UHFFFAOYSA-N 0 0 258.343 2.867 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000425624944 239115144 /nfs/dbraw/zinc/11/51/44/239115144.db2.gz RFAPFJOAOLDUMV-PEGIJTEDSA-N 0 0 275.308 2.823 20 5 CFBDRN COCCN(C)c1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000302018477 201300559 /nfs/dbraw/zinc/30/05/59/201300559.db2.gz MPODKUJUOAVSDA-UHFFFAOYSA-N 0 0 275.308 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCO2)c(OC(F)F)c1 ZINC000302030842 201307966 /nfs/dbraw/zinc/30/79/66/201307966.db2.gz BXDHFMYZEUSRGV-VIFPVBQESA-N 0 0 288.250 2.787 20 5 CFBDRN C[C@@](O)(CNc1sccc1[N+](=O)[O-])c1ccccc1 ZINC000302004766 201294546 /nfs/dbraw/zinc/29/45/46/201294546.db2.gz KMSKDCBUJHQCBC-CYBMUJFWSA-N 0 0 278.333 2.976 20 5 CFBDRN CCc1nn(C)c(N2CC[C@]3(CC[C@H](C)C3)C2)c1[N+](=O)[O-] ZINC000302039193 201314454 /nfs/dbraw/zinc/31/44/54/201314454.db2.gz HBWCJLABTZRPLC-NHYWBVRUSA-N 0 0 292.383 2.907 20 5 CFBDRN COCCC1(CNc2sccc2[N+](=O)[O-])CC1 ZINC000302047474 201318079 /nfs/dbraw/zinc/31/80/79/201318079.db2.gz YTOQYOZWPLUYNZ-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN CC(C)[C@@H]1C[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000185865064 189424268 /nfs/dbraw/zinc/42/42/68/189424268.db2.gz LTRDJGHWPLWWSR-RYUDHWBXSA-N 0 0 262.309 2.503 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@H]1CCCC[C@H]1O ZINC000302084551 201337072 /nfs/dbraw/zinc/33/70/72/201337072.db2.gz YWSWPCHZYDNDGE-IUODEOHRSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])cn1)c1ccc(F)cc1F ZINC000302108050 201343624 /nfs/dbraw/zinc/34/36/24/201343624.db2.gz ARYXMQSQIAKYIB-QMMMGPOBSA-N 0 0 294.261 2.879 20 5 CFBDRN Cc1cnc([C@@H](C)CNc2c([N+](=O)[O-])c(C)nn2C)s1 ZINC000302113037 201345133 /nfs/dbraw/zinc/34/51/33/201345133.db2.gz BJIJXWGMQCEIKN-ZETCQYMHSA-N 0 0 295.368 2.617 20 5 CFBDRN Cc1noc(C)c1C(=O)COc1cc(C)ccc1[N+](=O)[O-] ZINC000186440709 189439019 /nfs/dbraw/zinc/43/90/19/189439019.db2.gz MIJWZFWIZIOXBM-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN CCOc1ccc(Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302140710 201362438 /nfs/dbraw/zinc/36/24/38/201362438.db2.gz JFYIOFAMAKCYIK-UHFFFAOYSA-N 0 0 260.253 2.527 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2ccccc2Cl)nc1 ZINC000302141753 201362542 /nfs/dbraw/zinc/36/25/42/201362542.db2.gz FGKNIQJZNQJVPO-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN CN(Cc1ccc(F)cc1Cl)c1ncc([N+](=O)[O-])cn1 ZINC000302158286 201373155 /nfs/dbraw/zinc/37/31/55/201373155.db2.gz NSRPOKJJRDQHLI-UHFFFAOYSA-N 0 0 296.689 2.814 20 5 CFBDRN CC(C)CC(C)(C)CNc1ncc([N+](=O)[O-])cn1 ZINC000302149981 201367454 /nfs/dbraw/zinc/36/74/54/201367454.db2.gz JXNQBXCCDOUEQC-UHFFFAOYSA-N 0 0 252.318 2.869 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cc(C)ccn1 ZINC000426838345 239269661 /nfs/dbraw/zinc/26/96/61/239269661.db2.gz RQKRTCGNPSNPFM-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H](Cc1ccccc1F)Nc1ncc([N+](=O)[O-])cn1 ZINC000302160821 201373860 /nfs/dbraw/zinc/37/38/60/201373860.db2.gz PCPCLQGFEUWYSC-VIFPVBQESA-N 0 0 276.271 2.567 20 5 CFBDRN CC(C)(C)[C@H]1OCCC[C@@H]1CNc1ncc([N+](=O)[O-])cn1 ZINC000302164684 201376543 /nfs/dbraw/zinc/37/65/43/201376543.db2.gz QTQWACXJTRMDJO-PWSUYJOCSA-N 0 0 294.355 2.638 20 5 CFBDRN Cc1nc(Oc2cccc(-n3ccnn3)c2)ccc1[N+](=O)[O-] ZINC000302169160 201378329 /nfs/dbraw/zinc/37/83/29/201378329.db2.gz TVTMTFDSCHKKKK-UHFFFAOYSA-N 0 0 297.274 2.671 20 5 CFBDRN Cc1ccc(C[C@H](C)N(C)c2ncc([N+](=O)[O-])cn2)cc1 ZINC000302179715 201384754 /nfs/dbraw/zinc/38/47/54/201384754.db2.gz YUFWPGMMOFSXOI-LBPRGKRZSA-N 0 0 286.335 2.761 20 5 CFBDRN C[C@@H](Cc1ccsc1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302181554 201385385 /nfs/dbraw/zinc/38/53/85/201385385.db2.gz ZVIVOSMOAJUUNK-VIFPVBQESA-N 0 0 278.337 2.514 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000187405832 189466461 /nfs/dbraw/zinc/46/64/61/189466461.db2.gz IKGRNDJQXAJWNN-SMDDNHRTSA-N 0 0 292.335 2.621 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)CC1CC1 ZINC000427607170 239322682 /nfs/dbraw/zinc/32/26/82/239322682.db2.gz RXIYRLDVAGDAFI-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN O=C(C[C@@H]1C=CCCC1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000187046517 189457391 /nfs/dbraw/zinc/45/73/91/189457391.db2.gz RRHOTIVXYDLFKG-CQSZACIVSA-N 0 0 288.347 3.000 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ncc(C3CC3)o2)cc1CO ZINC000428064685 239363637 /nfs/dbraw/zinc/36/36/37/239363637.db2.gz ACHWVEJGSJPPIU-UHFFFAOYSA-N 0 0 290.275 2.532 20 5 CFBDRN Cc1nc(C)c(COc2ccc([N+](=O)[O-])c(CO)c2)s1 ZINC000428072599 239365277 /nfs/dbraw/zinc/36/52/77/239365277.db2.gz UMNOXQVJYTVDML-UHFFFAOYSA-N 0 0 294.332 2.739 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1Cl ZINC000428119276 239385008 /nfs/dbraw/zinc/38/50/08/239385008.db2.gz YWQPRMLDKWTHSG-BZBKMWRSSA-N 0 0 280.711 2.777 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCOc2ccccc2)s1 ZINC000189685803 189542596 /nfs/dbraw/zinc/54/25/96/189542596.db2.gz KTHZQQPBEDWDQD-UHFFFAOYSA-N 0 0 279.321 2.932 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000190264082 189559247 /nfs/dbraw/zinc/55/92/47/189559247.db2.gz OXPHBJFFEDRBNN-ZYHUDNBSSA-N 0 0 278.308 2.657 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)CSC)cc1[N+](=O)[O-] ZINC000191014844 189580478 /nfs/dbraw/zinc/58/04/78/189580478.db2.gz JPLNQJDLKLBGRH-QMMMGPOBSA-N 0 0 285.321 2.508 20 5 CFBDRN Cc1cc(OC[C@@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000191024798 189580869 /nfs/dbraw/zinc/58/08/69/189580869.db2.gz VEFSPKWSMBYWSX-JQWIXIFHSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1cc(OCC(=O)NC[C@H](C)C(C)C)ccc1[N+](=O)[O-] ZINC000430675912 239792161 /nfs/dbraw/zinc/79/21/61/239792161.db2.gz AUJIUJQUHUOMMX-LBPRGKRZSA-N 0 0 294.351 2.690 20 5 CFBDRN CC[C@@H](C)CC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000191156919 189584127 /nfs/dbraw/zinc/58/41/27/189584127.db2.gz RWPAAPAYGHVSJO-LLVKDONJSA-N 0 0 279.340 2.559 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCSCC1 ZINC000191377074 189593669 /nfs/dbraw/zinc/59/36/69/189593669.db2.gz LRKPENUEDBJGBQ-UHFFFAOYSA-N 0 0 295.364 2.792 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H](C)CC(C)C)ccc1[N+](=O)[O-] ZINC000430826374 239812151 /nfs/dbraw/zinc/81/21/51/239812151.db2.gz GYHJIZZKTXNISU-GFCCVEGCSA-N 0 0 294.351 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@@H]2CCCC[C@H]2O)cn1 ZINC000432052330 239960920 /nfs/dbraw/zinc/96/09/20/239960920.db2.gz YJZOENHSSDMSQA-WCQYABFASA-N 0 0 279.340 2.733 20 5 CFBDRN CN(CC1(O)CCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000432142777 239969699 /nfs/dbraw/zinc/96/96/99/239969699.db2.gz GTNWYKSLWJWKFE-UHFFFAOYSA-N 0 0 270.716 2.599 20 5 CFBDRN CC(=O)Nc1ccc(CNc2ccc([N+](=O)[O-])cc2)cn1 ZINC000432820793 240051020 /nfs/dbraw/zinc/05/10/20/240051020.db2.gz UAXSTKXIACAOHX-UHFFFAOYSA-N 0 0 286.291 2.560 20 5 CFBDRN CCC[C@@H](CNc1nc(C)ccc1[N+](=O)[O-])C(=O)OCC ZINC000432915582 240066922 /nfs/dbraw/zinc/06/69/22/240066922.db2.gz FISDDTGIFGPAKT-NSHDSACASA-N 0 0 295.339 2.690 20 5 CFBDRN Cc1nc(C)c(NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000434060758 240204531 /nfs/dbraw/zinc/20/45/31/240204531.db2.gz BVPZMSHKUVSBCF-UHFFFAOYSA-N 0 0 283.334 2.982 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)c2ncccc2F)c1 ZINC000434326499 240223441 /nfs/dbraw/zinc/22/34/41/240223441.db2.gz MYGGJZADIZAKPU-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN COc1cccc(C(=O)NCCC(C)(C)C)c1[N+](=O)[O-] ZINC000435525080 240321244 /nfs/dbraw/zinc/32/12/44/240321244.db2.gz HRDIXSNLEATBDH-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CC[C@@H](C)[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C(F)(F)F ZINC000436121040 240392583 /nfs/dbraw/zinc/39/25/83/240392583.db2.gz XRRKHRBWQCJNTG-HZGVNTEJSA-N 0 0 293.245 2.630 20 5 CFBDRN Cc1nc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)c(C)s1 ZINC000436196866 240407222 /nfs/dbraw/zinc/40/72/22/240407222.db2.gz WKWQNTRRGNINFV-UHFFFAOYSA-N 0 0 278.337 2.790 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2ccc(F)c(C)c2)c1[N+](=O)[O-] ZINC000436419187 240443720 /nfs/dbraw/zinc/44/37/20/240443720.db2.gz BIAXULXXXFTQEW-UHFFFAOYSA-N 0 0 292.314 2.721 20 5 CFBDRN Cc1nn([C@H](C)C(=O)c2ccc(F)cc2)cc1[N+](=O)[O-] ZINC000436472832 240450630 /nfs/dbraw/zinc/45/06/30/240450630.db2.gz AMIQQLFWGYWTSM-SECBINFHSA-N 0 0 277.255 2.683 20 5 CFBDRN Cc1cccc(N[C@@H]2CCN(c3ccccn3)C2)c1[N+](=O)[O-] ZINC000275387659 193018034 /nfs/dbraw/zinc/01/80/34/193018034.db2.gz CEASUZMHCPCMOO-CYBMUJFWSA-N 0 0 298.346 2.989 20 5 CFBDRN CN(CC1(c2ccccc2)CC1)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000275474888 193052663 /nfs/dbraw/zinc/05/26/63/193052663.db2.gz RYMJQYHYDRZUIO-UHFFFAOYSA-N 0 0 299.330 2.727 20 5 CFBDRN Cc1cc(CN(C)c2cccc(C)c2[N+](=O)[O-])no1 ZINC000275480352 193053869 /nfs/dbraw/zinc/05/38/69/193053869.db2.gz PPDUHJIJHGZBFP-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN CN(C(=O)c1cccc(Cl)c1)c1ccc([N+](=O)[O-])nc1 ZINC000439294465 240758564 /nfs/dbraw/zinc/75/85/64/240758564.db2.gz GVWSYNURNSJYDO-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN Cn1nc(CCNc2ccc([N+](=O)[O-])cn2)c2ccccc21 ZINC000302408903 201446546 /nfs/dbraw/zinc/44/65/46/201446546.db2.gz MFTLWVSBDZBOCA-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN CCOC(C)(C)CNc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000275672761 193100979 /nfs/dbraw/zinc/10/09/79/193100979.db2.gz ZPDHARRDORBSPD-UHFFFAOYSA-N 0 0 286.303 2.970 20 5 CFBDRN CN(C(=O)c1cc(F)cc(F)c1)c1ccc([N+](=O)[O-])nc1 ZINC000439306985 240759609 /nfs/dbraw/zinc/75/96/09/240759609.db2.gz VQBYQFLTXFVXCU-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC1(C)C ZINC000275752960 193119639 /nfs/dbraw/zinc/11/96/39/193119639.db2.gz LGOMQQVPKQPCDA-NSHDSACASA-N 0 0 263.297 2.823 20 5 CFBDRN CCCNC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] ZINC000275867681 193150695 /nfs/dbraw/zinc/15/06/95/193150695.db2.gz JOFJIKOUCXWKHG-UHFFFAOYSA-N 0 0 256.689 2.696 20 5 CFBDRN CCCN(C(=O)[C@H]1CCO[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000441476693 240940692 /nfs/dbraw/zinc/94/06/92/240940692.db2.gz LEOGPZVTPBKSSR-FZMZJTMJSA-N 0 0 292.335 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(SC[C@@H](F)C(F)(F)F)nc1 ZINC000443471802 241066407 /nfs/dbraw/zinc/06/64/07/241066407.db2.gz ZQEJARBQZRRISU-ZCFIWIBFSA-N 0 0 270.207 2.982 20 5 CFBDRN Cc1ccc(CCNc2ncc([N+](=O)[O-])s2)c(C)c1 ZINC000443513949 241070510 /nfs/dbraw/zinc/07/05/10/241070510.db2.gz DGQXUWXZGORQSR-UHFFFAOYSA-N 0 0 277.349 2.745 20 5 CFBDRN O=C(CCC1CCOCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000443853495 241092556 /nfs/dbraw/zinc/09/25/56/241092556.db2.gz AVIZZLRTMGOOJO-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CC[C@@H](C)C1 ZINC000444921340 241200800 /nfs/dbraw/zinc/20/08/00/241200800.db2.gz LOTWHCILVCYVOC-ZJUUUORDSA-N 0 0 293.323 2.914 20 5 CFBDRN COC[C@H](C)CC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000445639288 241228830 /nfs/dbraw/zinc/22/88/30/241228830.db2.gz DZGHYNGJAWDCKU-SECBINFHSA-N 0 0 280.324 2.823 20 5 CFBDRN CC(C)[C@H](CC(F)(F)F)Nc1ncc([N+](=O)[O-])cn1 ZINC000445665720 241230581 /nfs/dbraw/zinc/23/05/81/241230581.db2.gz RKEGRZDWJZEPQE-QMMMGPOBSA-N 0 0 278.234 2.774 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1cccn1C ZINC000446493450 241302308 /nfs/dbraw/zinc/30/23/08/241302308.db2.gz FZAAFDZBLHDUAG-UHFFFAOYSA-N 0 0 288.307 2.563 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@]1(C)CCCOC1 ZINC000446483881 241302389 /nfs/dbraw/zinc/30/23/89/241302389.db2.gz FPTLZXSQABCVFS-AWEZNQCLSA-N 0 0 293.323 2.594 20 5 CFBDRN CSCCN(C)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000446531331 241304891 /nfs/dbraw/zinc/30/48/91/241304891.db2.gz LUBMTAUEQJSYQG-UHFFFAOYSA-N 0 0 294.332 2.776 20 5 CFBDRN CSCCN(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000446657088 241312184 /nfs/dbraw/zinc/31/21/84/241312184.db2.gz IPFFIVBPFDYPJA-UHFFFAOYSA-N 0 0 293.348 2.511 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1cccc(C)c1[N+](=O)[O-] ZINC000302472536 201458848 /nfs/dbraw/zinc/45/88/48/201458848.db2.gz DCVYXXZLUNNZFN-BXKDBHETSA-N 0 0 252.314 2.722 20 5 CFBDRN CC(=O)c1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)o1 ZINC000447126844 241355694 /nfs/dbraw/zinc/35/56/94/241355694.db2.gz DWRKYOOVOUADQD-UHFFFAOYSA-N 0 0 274.232 2.643 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)c2nccs2)c1 ZINC000447287296 241361771 /nfs/dbraw/zinc/36/17/71/241361771.db2.gz NHORJHQGGLDVIG-UHFFFAOYSA-N 0 0 278.289 2.621 20 5 CFBDRN COCCSCCCSc1ccc([N+](=O)[O-])cn1 ZINC000447285561 241362076 /nfs/dbraw/zinc/36/20/76/241362076.db2.gz GBOWIHONNBOCOZ-UHFFFAOYSA-N 0 0 288.394 2.852 20 5 CFBDRN O=C(COc1c(Cl)cccc1[N+](=O)[O-])c1nccs1 ZINC000447284500 241362230 /nfs/dbraw/zinc/36/22/30/241362230.db2.gz IEVNATMNYNJVLD-UHFFFAOYSA-N 0 0 298.707 2.966 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H]1CCO[C@H](C)C1 ZINC000447286376 241362796 /nfs/dbraw/zinc/36/27/96/241362796.db2.gz FUOJUWFZWKEWEN-GHMZBOCLSA-N 0 0 281.308 2.797 20 5 CFBDRN C[C@H]1C[C@@H](COc2ccccc2[N+](=O)[O-])CCO1 ZINC000447295223 241363318 /nfs/dbraw/zinc/36/33/18/241363318.db2.gz JUKNMSSBVJYNBD-QWRGUYRKSA-N 0 0 251.282 2.789 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC[C@H]1CCO[C@H](C)C1 ZINC000447402941 241374326 /nfs/dbraw/zinc/37/43/26/241374326.db2.gz BVUHQTCEJDMAMR-MNOVXSKESA-N 0 0 280.324 2.830 20 5 CFBDRN COCc1cccc(COc2cccnc2[N+](=O)[O-])c1 ZINC000446978743 241344637 /nfs/dbraw/zinc/34/46/37/241344637.db2.gz ZDQZCVMBZZOQKD-UHFFFAOYSA-N 0 0 274.276 2.715 20 5 CFBDRN C[C@]1(C(=O)[O-])CCCC[C@@H]1[NH2+]Cc1cccc([N+](=O)[O-])c1 ZINC000426721153 291374961 /nfs/dbraw/zinc/37/49/61/291374961.db2.gz RHRWBMQNPINXRJ-ZFWWWQNUSA-N 0 0 292.335 2.718 20 5 CFBDRN CCC(=O)C1(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 ZINC000448734653 241535560 /nfs/dbraw/zinc/53/55/60/241535560.db2.gz HIYQAXZPWNMAMG-SNVBAGLBSA-N 0 0 290.319 2.531 20 5 CFBDRN CC(C)C[C@H](O)CNc1ccc([N+](=O)[O-])cc1F ZINC000224285507 189741356 /nfs/dbraw/zinc/74/13/56/189741356.db2.gz RFKYDUXEBSJYBY-JTQLQIEISA-N 0 0 256.277 2.553 20 5 CFBDRN COc1cccnc1CNCc1ccc([N+](=O)[O-])cc1C ZINC000449751113 242134435 /nfs/dbraw/zinc/13/44/35/242134435.db2.gz XNDJCADDPVDUSA-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN COC[C@@H]1CCCCN1Cc1csc([N+](=O)[O-])c1 ZINC000449758379 242141770 /nfs/dbraw/zinc/14/17/70/242141770.db2.gz GKQMERQFDCMNTE-NSHDSACASA-N 0 0 270.354 2.657 20 5 CFBDRN O=C(CC1CC(F)(F)C1)NCCc1ccc([N+](=O)[O-])cc1 ZINC000449797861 242173208 /nfs/dbraw/zinc/17/32/08/242173208.db2.gz MTQLUNJUAULQHK-UHFFFAOYSA-N 0 0 298.289 2.689 20 5 CFBDRN Cc1ccccc1C[C@@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000302698961 201516156 /nfs/dbraw/zinc/51/61/56/201516156.db2.gz HRKUYSISVQTKMJ-HNNXBMFYSA-N 0 0 286.331 2.919 20 5 CFBDRN CCCCOCCNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450291788 242342760 /nfs/dbraw/zinc/34/27/60/242342760.db2.gz YUHCEZSJMAJGQA-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000450313389 242353427 /nfs/dbraw/zinc/35/34/27/242353427.db2.gz QPUFXEIOYHSZTQ-JQWIXIFHSA-N 0 0 292.335 2.911 20 5 CFBDRN COC(=O)Cc1ccc(N[C@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000450340019 242365304 /nfs/dbraw/zinc/36/53/04/242365304.db2.gz CYYWYVJINMLADE-LBPRGKRZSA-N 0 0 290.319 2.831 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CC=CCC2)c([N+](=O)[O-])c1 ZINC000450340018 242365745 /nfs/dbraw/zinc/36/57/45/242365745.db2.gz CYYWYVJINMLADE-GFCCVEGCSA-N 0 0 290.319 2.831 20 5 CFBDRN Cc1ccc([C@@H](C)CNc2ncc([N+](=O)[O-])c(C)n2)cc1 ZINC000450342383 242366908 /nfs/dbraw/zinc/36/69/08/242366908.db2.gz ABHIZZSVOKRSHZ-NSHDSACASA-N 0 0 286.335 2.639 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450258984 242328256 /nfs/dbraw/zinc/32/82/56/242328256.db2.gz UNASGYUGCNFYOL-KOLCDFICSA-N 0 0 257.293 2.633 20 5 CFBDRN C[C@]1(F)CCCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000295038827 291399498 /nfs/dbraw/zinc/39/94/98/291399498.db2.gz WWZSABIYKGKLHY-NSHDSACASA-N 0 0 284.316 2.632 20 5 CFBDRN CCc1ccc([C@@H](COC)Nc2ccc([N+](=O)[O-])nc2)o1 ZINC000450351562 242372385 /nfs/dbraw/zinc/37/23/85/242372385.db2.gz VLLRLVCCMFMPNP-GFCCVEGCSA-N 0 0 291.307 2.945 20 5 CFBDRN C[C@@H](CO)CSc1nccc2c1cccc2[N+](=O)[O-] ZINC000450355913 242375620 /nfs/dbraw/zinc/37/56/20/242375620.db2.gz FEGQIGYKGOYYKS-VIFPVBQESA-N 0 0 278.333 2.864 20 5 CFBDRN CCC1(CC)[C@@H](Nc2ncc([N+](=O)[O-])c(C)n2)C[C@@H]1OC ZINC000450394489 242395527 /nfs/dbraw/zinc/39/55/27/242395527.db2.gz RQIPDFWTSWZPSU-RYUDHWBXSA-N 0 0 294.355 2.699 20 5 CFBDRN Cc1nc(N[C@@H](C)CCC2CC2)ncc1[N+](=O)[O-] ZINC000450447026 242425222 /nfs/dbraw/zinc/42/52/22/242425222.db2.gz SCYIXFWORPENTO-QMMMGPOBSA-N 0 0 250.302 2.684 20 5 CFBDRN C[C@@H](F)CCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450540433 242469660 /nfs/dbraw/zinc/46/96/60/242469660.db2.gz AAMZMVJHVREQNF-SECBINFHSA-N 0 0 263.272 2.725 20 5 CFBDRN CS[C@H]1CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000450675578 242537139 /nfs/dbraw/zinc/53/71/39/242537139.db2.gz CTDNXBMFJXEDCL-NSHDSACASA-N 0 0 280.349 2.739 20 5 CFBDRN CO[C@H]1COCC[C@@H]1CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000450703661 242552950 /nfs/dbraw/zinc/55/29/50/242552950.db2.gz TYHKTKOKZNJUOK-YGRLFVJLSA-N 0 0 298.314 2.506 20 5 CFBDRN COC(=O)c1cnc(Oc2cccc(F)c2)c([N+](=O)[O-])c1 ZINC000302768843 201544696 /nfs/dbraw/zinc/54/46/96/201544696.db2.gz MIQMSJHDUWYXPC-UHFFFAOYSA-N 0 0 292.222 2.708 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCC[C@@H]1CCOC1 ZINC000450807727 242614303 /nfs/dbraw/zinc/61/43/03/242614303.db2.gz HERZUZAZHNMKDV-VIFPVBQESA-N 0 0 254.311 2.509 20 5 CFBDRN CCCOc1cccc(Cn2c(C)ncc2[N+](=O)[O-])c1 ZINC000450821148 242621245 /nfs/dbraw/zinc/62/12/45/242621245.db2.gz QJDJCRIEHZKZMQ-UHFFFAOYSA-N 0 0 275.308 2.937 20 5 CFBDRN Cc1nn(C)cc1COc1cc(C)c([N+](=O)[O-])cc1F ZINC000450909935 242668341 /nfs/dbraw/zinc/66/83/41/242668341.db2.gz IZLXSILKMBUQPO-UHFFFAOYSA-N 0 0 279.271 2.663 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H](C)[C@H](C)CO ZINC000302799784 201559470 /nfs/dbraw/zinc/55/94/70/201559470.db2.gz BSMBXYFZVRHUPA-BDAKNGLRSA-N 0 0 272.732 2.985 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000302824545 201567749 /nfs/dbraw/zinc/56/77/49/201567749.db2.gz XAMKIBNBPKDNRM-CWSCBRNRSA-N 0 0 266.272 2.713 20 5 CFBDRN CC(C)OC(C)(C)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000452186204 243078006 /nfs/dbraw/zinc/07/80/06/243078006.db2.gz WHCGDKVOORZCSB-NSHDSACASA-N 0 0 294.351 2.976 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCCOCC1)c1ccc([N+](=O)[O-])cc1 ZINC000452186200 243078145 /nfs/dbraw/zinc/07/81/45/243078145.db2.gz WFVJGBFHWHSQDU-AAEUAGOBSA-N 0 0 292.335 2.589 20 5 CFBDRN CSc1ccc(C(=O)NC2CC(C)(F)C2)cc1[N+](=O)[O-] ZINC000452281265 243112188 /nfs/dbraw/zinc/11/21/88/243112188.db2.gz DMJYEBNFCGHBOD-UHFFFAOYSA-N 0 0 298.339 2.937 20 5 CFBDRN O=C(N[C@@H]1CCCc2sccc21)c1ccc([N+](=O)[O-])[nH]1 ZINC000274369030 284049008 /nfs/dbraw/zinc/04/90/08/284049008.db2.gz YJUMTOVQHMNTBN-SECBINFHSA-N 0 0 291.332 2.792 20 5 CFBDRN C[C@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000452463119 243183567 /nfs/dbraw/zinc/18/35/67/243183567.db2.gz YJPHYPOCGWFPDM-VIFPVBQESA-N 0 0 286.278 2.687 20 5 CFBDRN O=C(NC[C@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000452847110 243319323 /nfs/dbraw/zinc/31/93/23/243319323.db2.gz OITLWZCWKJCDRO-SNVBAGLBSA-N 0 0 284.262 2.760 20 5 CFBDRN Cc1c(NCc2cn(CC3CC3)nn2)cccc1[N+](=O)[O-] ZINC000453064935 243385463 /nfs/dbraw/zinc/38/54/63/243385463.db2.gz PUMOMNLUWPYKPY-UHFFFAOYSA-N 0 0 287.323 2.517 20 5 CFBDRN CCC(=O)[C@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000330555170 202966034 /nfs/dbraw/zinc/96/60/34/202966034.db2.gz PEDUITNFZJCSQZ-CYBMUJFWSA-N 0 0 290.319 2.569 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@H](C)C1 ZINC000330603473 203027331 /nfs/dbraw/zinc/02/73/31/203027331.db2.gz XNQAAZLZDFOIRQ-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN C[C@H](NC(=O)NC1CC(C)(F)C1)c1cccc([N+](=O)[O-])c1 ZINC000454364388 243696658 /nfs/dbraw/zinc/69/66/58/243696658.db2.gz OXUBRANEURORBJ-VOIZVOFFSA-N 0 0 295.314 2.846 20 5 CFBDRN Cc1ccc(NC(=O)NCC[C@H](C)F)cc1[N+](=O)[O-] ZINC000454157794 243643720 /nfs/dbraw/zinc/64/37/20/243643720.db2.gz YTDQRPBVNQYKCK-VIFPVBQESA-N 0 0 269.276 2.773 20 5 CFBDRN COCC1(C)CCN(Cc2csc([N+](=O)[O-])c2)CC1 ZINC000330638551 203067984 /nfs/dbraw/zinc/06/79/84/203067984.db2.gz CYKDYFZQOKAXJT-UHFFFAOYSA-N 0 0 284.381 2.905 20 5 CFBDRN CC(C)(NC(=O)Cc1ccc([N+](=O)[O-])cc1F)C1CCC1 ZINC000456144301 244087219 /nfs/dbraw/zinc/08/72/19/244087219.db2.gz KEDCLSPZNGCCGW-UHFFFAOYSA-N 0 0 294.326 2.971 20 5 CFBDRN CCCOCC(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456193786 244101060 /nfs/dbraw/zinc/10/10/60/244101060.db2.gz UHDDXCRCTKJBKQ-UHFFFAOYSA-N 0 0 295.339 2.780 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])o2)[C@@H]1C(C)C ZINC000456289863 244128363 /nfs/dbraw/zinc/12/83/63/244128363.db2.gz NHTBAVYQIPRRPJ-GXFFZTMASA-N 0 0 280.324 2.940 20 5 CFBDRN O=C(NC1CCc2ccccc2CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000456463454 244192655 /nfs/dbraw/zinc/19/26/55/244192655.db2.gz TYQOCZQDYAKHQY-UHFFFAOYSA-N 0 0 299.330 2.600 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000456986151 244347721 /nfs/dbraw/zinc/34/77/21/244347721.db2.gz USYSSVASPPZGHG-DGCLKSJQSA-N 0 0 292.335 2.591 20 5 CFBDRN CC[C@H]1C[C@H]1Nc1ncc([N+](=O)[O-])cc1Br ZINC000226357587 189773636 /nfs/dbraw/zinc/77/36/36/189773636.db2.gz MHHRAWBFNLNBNX-IMTBSYHQSA-N 0 0 286.129 2.963 20 5 CFBDRN Cc1nn(CC[C@@H]2CCCO2)c2ccc([N+](=O)[O-])cc12 ZINC000276098913 193213848 /nfs/dbraw/zinc/21/38/48/193213848.db2.gz RYDKTJUMUOLMIA-LBPRGKRZSA-N 0 0 275.308 2.822 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccnc2)c(Cl)c1 ZINC000227647591 189786883 /nfs/dbraw/zinc/78/68/83/189786883.db2.gz NCNGRAGRUNEIKX-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN CCC(O)(CC)CCNc1ccc([N+](=O)[O-])c(N)c1F ZINC000276180989 193235681 /nfs/dbraw/zinc/23/56/81/193235681.db2.gz FJVSPQOGVDQMJK-UHFFFAOYSA-N 0 0 285.319 2.669 20 5 CFBDRN CCC(O)(CC)CCNc1cccc(OC)c1[N+](=O)[O-] ZINC000276222351 193247728 /nfs/dbraw/zinc/24/77/28/193247728.db2.gz MCGXDDZCMLPJEZ-UHFFFAOYSA-N 0 0 282.340 2.957 20 5 CFBDRN O=C(N[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000172485432 284166014 /nfs/dbraw/zinc/16/60/14/284166014.db2.gz RLPNCDRGZUKTMD-VIFPVBQESA-N 0 0 264.256 2.572 20 5 CFBDRN O=C(N[C@H]1CC12CC2)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000335111207 284163896 /nfs/dbraw/zinc/16/38/96/284163896.db2.gz FBVCRBNFBWANGL-LBPRGKRZSA-N 0 0 272.260 2.623 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000276254168 193258173 /nfs/dbraw/zinc/25/81/73/193258173.db2.gz DUQXXQAATRUZAE-NKWVEPMBSA-N 0 0 260.240 2.708 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@@H](O)C1CC1 ZINC000276383104 193308886 /nfs/dbraw/zinc/30/88/86/193308886.db2.gz DCZKNMXEHXGVIL-LLVKDONJSA-N 0 0 270.716 2.739 20 5 CFBDRN Cc1cnc(COC(=O)/C=C/C2CC2)c(C)c1[N+](=O)[O-] ZINC000276456856 193338083 /nfs/dbraw/zinc/33/80/83/193338083.db2.gz VONUMMVULPDTCP-AATRIKPKSA-N 0 0 276.292 2.616 20 5 CFBDRN C[C@H](NC(=O)N1CC[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000457858009 244695644 /nfs/dbraw/zinc/69/56/44/244695644.db2.gz UDUYLLYOZHWXHK-HZMBPMFUSA-N 0 0 289.335 2.850 20 5 CFBDRN CC(C)(O)CCNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276560105 193380551 /nfs/dbraw/zinc/38/05/51/193380551.db2.gz GHZZXAQADHOBSE-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCOCC(F)F ZINC000276626955 193406708 /nfs/dbraw/zinc/40/67/08/193406708.db2.gz NQUNKLZSAWAHCT-UHFFFAOYSA-N 0 0 297.261 2.837 20 5 CFBDRN COC1(CC(=O)N(C)Cc2ccccc2[N+](=O)[O-])CCC1 ZINC000276593761 193393796 /nfs/dbraw/zinc/39/37/96/193393796.db2.gz OZRGWYVYBYXZNW-UHFFFAOYSA-N 0 0 292.335 2.512 20 5 CFBDRN C[C@@H](Nc1nc2ccccc2cc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000276594730 193394359 /nfs/dbraw/zinc/39/43/59/193394359.db2.gz JVRMYPWUTQCXOB-PWSUYJOCSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@@H](C)CNc1nc2ccccc2cc1[N+](=O)[O-] ZINC000276607539 193399441 /nfs/dbraw/zinc/39/94/41/193399441.db2.gz LDMYIDJBHTUHED-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN CC(C)=CCCNC(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000276683873 193426695 /nfs/dbraw/zinc/42/66/95/193426695.db2.gz IMAPZAYPYICYBC-UHFFFAOYSA-N 0 0 277.324 2.572 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2CCCCS2)cc1[N+](=O)[O-] ZINC000276772661 193456601 /nfs/dbraw/zinc/45/66/01/193456601.db2.gz PDSYUHRMCRVXDW-GFCCVEGCSA-N 0 0 297.332 2.795 20 5 CFBDRN CCOc1cc(NCc2cccc([N+](=O)[O-])c2C)ncn1 ZINC000231868983 189815625 /nfs/dbraw/zinc/81/56/25/189815625.db2.gz GNBVTTVPJHFHRW-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CC1(C)CC[C@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000286744006 196693696 /nfs/dbraw/zinc/69/36/96/196693696.db2.gz OCXOMVMSQAFQQL-LLVKDONJSA-N 0 0 266.272 2.652 20 5 CFBDRN CCCNc1ccc(CNc2ncc([N+](=O)[O-])cn2)cc1 ZINC000277313988 193618595 /nfs/dbraw/zinc/61/85/95/193618595.db2.gz CTCIUBROHVCXHQ-UHFFFAOYSA-N 0 0 287.323 2.819 20 5 CFBDRN NC(=O)c1ccc(NC2CCC3(CC3)CC2)c([N+](=O)[O-])c1 ZINC000277367906 193632745 /nfs/dbraw/zinc/63/27/45/193632745.db2.gz HJROWURAZIZDNR-UHFFFAOYSA-N 0 0 289.335 2.828 20 5 CFBDRN CCOC1(C)CN(Cc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000459614635 245241918 /nfs/dbraw/zinc/24/19/18/245241918.db2.gz DYBVZZAZJULXRY-UHFFFAOYSA-N 0 0 264.325 2.514 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)s2)CCCO1 ZINC000331621101 204018478 /nfs/dbraw/zinc/01/84/78/204018478.db2.gz JVDMJJTYOMFURO-JTQLQIEISA-N 0 0 298.364 2.606 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOC1CCCCCC1 ZINC000331633155 204030962 /nfs/dbraw/zinc/03/09/62/204030962.db2.gz ZMZFSVKWXARBQR-UHFFFAOYSA-N 0 0 267.329 2.839 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000459898660 245343345 /nfs/dbraw/zinc/34/33/45/245343345.db2.gz ZPKJQYJQVHBAHI-GWCFXTLKSA-N 0 0 278.308 2.739 20 5 CFBDRN CN(C(=O)C[C@@H]1CC[C@H]2C[C@H]21)c1ccc([N+](=O)[O-])cc1 ZINC000459895374 245343480 /nfs/dbraw/zinc/34/34/80/245343480.db2.gz GKSWYVMBCLRRGO-COPLHBTASA-N 0 0 274.320 2.994 20 5 CFBDRN C[C@@H]1[C@H](C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)C1(F)F ZINC000461029603 245365715 /nfs/dbraw/zinc/36/57/15/245365715.db2.gz OASPSOBTUOVLOT-LDYMZIIASA-N 0 0 298.289 2.847 20 5 CFBDRN CC1(C)C(CNC(=O)c2ccc([N+](=O)[O-])o2)C1(C)C ZINC000331820225 204203931 /nfs/dbraw/zinc/20/39/31/204203931.db2.gz CJHLCIHUAFLTKT-UHFFFAOYSA-N 0 0 266.297 2.600 20 5 CFBDRN O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1C[C@@H]2CCC[C@@H]2C1 ZINC000154791849 296176649 /nfs/dbraw/zinc/17/66/49/296176649.db2.gz UWJUWZUYLJTTJB-DTORHVGOSA-N 0 0 296.273 2.745 20 5 CFBDRN Cc1ccc(O)c(NC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000012021576 371941640 /nfs/dbraw/zinc/94/16/40/371941640.db2.gz XVEUSSJIOIORNO-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CC(C)NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000008363347 371897802 /nfs/dbraw/zinc/89/78/02/371897802.db2.gz FYIIXIMWXLYOFI-MRVPVSSYSA-N 0 0 286.715 2.540 20 5 CFBDRN CC(=O)c1csc(NC(=O)c2ccccc2[N+](=O)[O-])n1 ZINC000010509694 371909397 /nfs/dbraw/zinc/90/93/97/371909397.db2.gz ZLGOKGULZCSBIG-UHFFFAOYSA-N 0 0 291.288 2.506 20 5 CFBDRN Cc1ccccc1OCCN(C)c1ccc([N+](=O)[O-])cn1 ZINC000015142647 372080691 /nfs/dbraw/zinc/08/06/91/372080691.db2.gz KVRQQPMRJWNXQZ-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN CN1C(=O)c2ccccc2N[C@H]1c1ccccc1[N+](=O)[O-] ZINC000015249186 372082254 /nfs/dbraw/zinc/08/22/54/372082254.db2.gz OVTKAMVRDNWFTR-CQSZACIVSA-N 0 0 283.287 2.791 20 5 CFBDRN CCNc1ccc(C(=O)NC2CCCC2)cc1[N+](=O)[O-] ZINC000015593335 372088092 /nfs/dbraw/zinc/08/80/92/372088092.db2.gz WRNJVNDDFHHSMM-UHFFFAOYSA-N 0 0 277.324 2.699 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccco2)c1 ZINC000017305070 372130733 /nfs/dbraw/zinc/13/07/33/372130733.db2.gz DBTMVOFYGCYGAM-UHFFFAOYSA-N 0 0 292.316 2.840 20 5 CFBDRN Cc1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000024371232 372274235 /nfs/dbraw/zinc/27/42/35/372274235.db2.gz LWZYGNMJNXAANR-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000025770791 372307950 /nfs/dbraw/zinc/30/79/50/372307950.db2.gz OPXMNERCULHREE-ZETCQYMHSA-N 0 0 268.700 2.777 20 5 CFBDRN O=[N+]([O-])c1ccccc1Sc1nnnn1-c1ccccc1 ZINC000025905202 372308378 /nfs/dbraw/zinc/30/83/78/372308378.db2.gz MZLKRICRMSPRMK-UHFFFAOYSA-N 0 0 299.315 2.722 20 5 CFBDRN C[C@@H](NC(=O)/C=C/c1ccccc1[N+](=O)[O-])C1CC1 ZINC000025770828 372308461 /nfs/dbraw/zinc/30/84/61/372308461.db2.gz ZUFMTPZVNPUFPX-AAXQSMANSA-N 0 0 260.293 2.523 20 5 CFBDRN Cc1cc(CN(C)C(=O)Nc2cccc([N+](=O)[O-])c2)no1 ZINC000027353882 372339664 /nfs/dbraw/zinc/33/96/64/372339664.db2.gz LIOSDBDOQVQHJM-UHFFFAOYSA-N 0 0 290.279 2.555 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ncc(-c3ccc(F)cc3)o2)cn1 ZINC000029211844 372376308 /nfs/dbraw/zinc/37/63/08/372376308.db2.gz CGZJKVSUAFKJKC-UHFFFAOYSA-N 0 0 288.238 2.634 20 5 CFBDRN CC[C@H]1CCCN1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000466148154 245445568 /nfs/dbraw/zinc/44/55/68/245445568.db2.gz ZQRVCTBXCSCOMO-ZDUSSCGKSA-N 0 0 291.351 2.721 20 5 CFBDRN C[C@@H]1CCC[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000033181700 372484697 /nfs/dbraw/zinc/48/46/97/372484697.db2.gz SUPUKKJJWFXWFY-YPMHNXCESA-N 0 0 276.336 2.832 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])Nc1cccnc1 ZINC000033316435 372490116 /nfs/dbraw/zinc/49/01/16/372490116.db2.gz RWFVCXGZUUADHP-FPLPWBNLSA-N 0 0 269.260 2.642 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1cc(F)ccc1F ZINC000034189190 372505966 /nfs/dbraw/zinc/50/59/66/372505966.db2.gz QKGLPYAAPIWCKO-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN CCC(CC)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000034853778 372516545 /nfs/dbraw/zinc/51/65/45/372516545.db2.gz XNAQPAIWJPVEFM-YFHOEESVSA-N 0 0 262.309 2.913 20 5 CFBDRN CN(Cc1cccs1)C(=O)c1ccccc1[N+](=O)[O-] ZINC000036185212 372565753 /nfs/dbraw/zinc/56/57/53/372565753.db2.gz RKIQHICYUKHANZ-UHFFFAOYSA-N 0 0 276.317 2.929 20 5 CFBDRN CC(=O)c1ccc(N(C)CC(C)C)c([N+](=O)[O-])c1 ZINC000037108678 372650982 /nfs/dbraw/zinc/65/09/82/372650982.db2.gz SAZNAVMJKUWNNP-UHFFFAOYSA-N 0 0 250.298 2.890 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCc1cccnc1 ZINC000037718618 372693464 /nfs/dbraw/zinc/69/34/64/372693464.db2.gz JKNAQFDUVPTZCM-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCSc1ccccc1 ZINC000037855081 372725915 /nfs/dbraw/zinc/72/59/15/372725915.db2.gz TXGVZUSMAIIHKN-UHFFFAOYSA-N 0 0 278.337 2.533 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)C(C)(C)C)c1 ZINC000037976320 372744213 /nfs/dbraw/zinc/74/42/13/372744213.db2.gz SRCDQWNCXLJZRU-UHFFFAOYSA-N 0 0 251.282 2.897 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037996004 372753607 /nfs/dbraw/zinc/75/36/07/372753607.db2.gz NDFBFFFOZMAQJZ-VIFPVBQESA-N 0 0 268.288 2.850 20 5 CFBDRN CC[C@H](C)NC(=O)CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000037999051 372757684 /nfs/dbraw/zinc/75/76/84/372757684.db2.gz RUHKCMPNMHFBJC-VIFPVBQESA-N 0 0 299.758 2.965 20 5 CFBDRN Cc1nccc(CNc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000037999323 372758123 /nfs/dbraw/zinc/75/81/23/372758123.db2.gz ZYJVDXPPCLNOOV-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000037911594 372736258 /nfs/dbraw/zinc/73/62/58/372736258.db2.gz QCZQIOSRSFTMMW-MRVPVSSYSA-N 0 0 268.700 2.873 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CCCC[C@H]1CCO ZINC000040419702 372781586 /nfs/dbraw/zinc/78/15/86/372781586.db2.gz PRZTWXIYHJAPIW-NSHDSACASA-N 0 0 284.743 2.990 20 5 CFBDRN C[C@@H](O)C[C@@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000279360740 296191331 /nfs/dbraw/zinc/19/13/31/296191331.db2.gz GCKBPOYJGKSFIU-RISCZKNCSA-N 0 0 264.325 2.725 20 5 CFBDRN O=[N+]([O-])c1c(NC(C2CC2)C2CC2)nc2sccn21 ZINC000042337117 372909116 /nfs/dbraw/zinc/90/91/16/372909116.db2.gz MTXMDJYJIWESFL-UHFFFAOYSA-N 0 0 278.337 2.905 20 5 CFBDRN COC[C@@H](C)N(C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000042518197 372931832 /nfs/dbraw/zinc/93/18/32/372931832.db2.gz RXVSFTCNLCHURA-SECBINFHSA-N 0 0 272.732 2.715 20 5 CFBDRN C/C=C/C=C\C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000042655541 372944262 /nfs/dbraw/zinc/94/42/62/372944262.db2.gz OTVPRZRVUGUBDM-IAROGAJJSA-N 0 0 258.277 2.616 20 5 CFBDRN O=C1CCN(c2ccc([N+](=O)[O-])cc2Br)CC1 ZINC000043596398 372995783 /nfs/dbraw/zinc/99/57/83/372995783.db2.gz NVUOVTXXEHDZGY-UHFFFAOYSA-N 0 0 299.124 2.527 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccccc1 ZINC000045824431 373034537 /nfs/dbraw/zinc/03/45/37/373034537.db2.gz GNYSKEPHCZLVLZ-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN CCOc1cc(F)ccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000046518956 373056652 /nfs/dbraw/zinc/05/66/52/373056652.db2.gz UKBNILUUHDZMMW-UHFFFAOYSA-N 0 0 294.238 2.978 20 5 CFBDRN CCOC(=O)c1ccc(Br)cc1[N+](=O)[O-] ZINC000047202665 373083137 /nfs/dbraw/zinc/08/31/37/373083137.db2.gz HBBNMJSSYTXTPH-UHFFFAOYSA-N 0 0 274.070 2.534 20 5 CFBDRN CC(C)(C)[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000487076882 245722364 /nfs/dbraw/zinc/72/23/64/245722364.db2.gz SWTAWTOMBRIREV-PWSUYJOCSA-N 0 0 279.340 2.995 20 5 CFBDRN COC(=O)c1ccc(OCOC2CCCC2)c([N+](=O)[O-])c1 ZINC000289782706 197482316 /nfs/dbraw/zinc/48/23/16/197482316.db2.gz XCQPUDWGCJSCJA-UHFFFAOYSA-N 0 0 295.291 2.677 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)C1CCCCC1 ZINC000048391060 373175243 /nfs/dbraw/zinc/17/52/43/373175243.db2.gz QHRRAVAJDJDQLR-UHFFFAOYSA-N 0 0 277.324 2.703 20 5 CFBDRN Cc1cc(Oc2cc(Cl)nc(N)n2)ccc1[N+](=O)[O-] ZINC000048545769 373196717 /nfs/dbraw/zinc/19/67/17/373196717.db2.gz ASOAVQSJWYPKOA-UHFFFAOYSA-N 0 0 280.671 2.721 20 5 CFBDRN Cc1cn2nc(Oc3ccc([N+](=O)[O-])cc3)sc2n1 ZINC000048543920 373197053 /nfs/dbraw/zinc/19/70/53/373197053.db2.gz VYHLWSLAOCUGLR-UHFFFAOYSA-N 0 0 276.277 2.800 20 5 CFBDRN CCc1ccc(CNc2c([N+](=O)[O-])ncn2C)s1 ZINC000048559969 373198873 /nfs/dbraw/zinc/19/88/73/373198873.db2.gz VOUCGWAIUTWGSM-UHFFFAOYSA-N 0 0 266.326 2.564 20 5 CFBDRN Cc1c(Oc2ccc([N+](=O)[O-])cn2)cccc1[N+](=O)[O-] ZINC000048566153 373200103 /nfs/dbraw/zinc/20/01/03/373200103.db2.gz HRBWGHHMZUFPBX-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2ccccn2)nc1 ZINC000048976727 373243912 /nfs/dbraw/zinc/24/39/12/373243912.db2.gz LAEMLVGZTJRFEV-CYBMUJFWSA-N 0 0 270.292 2.726 20 5 CFBDRN O=C(OC1CCCC1)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000049016209 373249783 /nfs/dbraw/zinc/24/97/83/373249783.db2.gz NQYBKUPXLVYCMF-UHFFFAOYSA-N 0 0 275.264 2.571 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCc2cccs2)c1 ZINC000049031956 373254339 /nfs/dbraw/zinc/25/43/39/373254339.db2.gz PWHOSKVRESMOJL-UHFFFAOYSA-N 0 0 292.316 2.595 20 5 CFBDRN Cc1sc(C(=O)N(C)Cc2cscn2)cc1[N+](=O)[O-] ZINC000049045203 373255460 /nfs/dbraw/zinc/25/54/60/373255460.db2.gz CDWSNPVWUPDCIX-UHFFFAOYSA-N 0 0 297.361 2.693 20 5 CFBDRN O=C(NC[C@H]1CCCCO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000049058344 373257463 /nfs/dbraw/zinc/25/74/63/373257463.db2.gz JZCHQCCYGNGOAS-SNVBAGLBSA-N 0 0 298.726 2.547 20 5 CFBDRN Cc1cn2nc(NCc3cccc([N+](=O)[O-])c3)sc2n1 ZINC000049389901 373290627 /nfs/dbraw/zinc/29/06/27/373290627.db2.gz ICSVMMACNKLTPH-UHFFFAOYSA-N 0 0 289.320 2.620 20 5 CFBDRN CCC[C@@H](C)NC(=O)[C@H](C)Sc1ncccc1[N+](=O)[O-] ZINC000049418525 373294571 /nfs/dbraw/zinc/29/45/71/373294571.db2.gz LFESLFDSWVFTCZ-ZJUUUORDSA-N 0 0 297.380 2.775 20 5 CFBDRN CN(Cc1ccc(F)cc1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000049945646 373333348 /nfs/dbraw/zinc/33/33/48/373333348.db2.gz FGZGCTGIFFBNMW-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC1CCC(O)CC1 ZINC000050351828 373370518 /nfs/dbraw/zinc/37/05/18/373370518.db2.gz VEXDLHXWHAPBHQ-UHFFFAOYSA-N 0 0 270.716 2.964 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCCn1cccn1 ZINC000050352139 373372429 /nfs/dbraw/zinc/37/24/29/373372429.db2.gz RIWBRPPGJFADEY-UHFFFAOYSA-N 0 0 266.688 2.557 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000050375022 373373485 /nfs/dbraw/zinc/37/34/85/373373485.db2.gz MLZISODIOYIOMU-DGCLKSJQSA-N 0 0 250.298 2.883 20 5 CFBDRN CC1(C)CN(c2c3ccccc3ncc2[N+](=O)[O-])CCO1 ZINC000487932167 245795389 /nfs/dbraw/zinc/79/53/89/245795389.db2.gz QDDOZUNLBQIZKB-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H](CCO)C2)c(Cl)c1 ZINC000051896633 373417474 /nfs/dbraw/zinc/41/74/74/373417474.db2.gz IXFGWQIFIDQXQK-NSHDSACASA-N 0 0 298.770 2.843 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2CCCC[C@@H]2O)ccc2ncccc21 ZINC000052006840 373429019 /nfs/dbraw/zinc/42/90/19/373429019.db2.gz IAAOXWVSJPXJCJ-JSGCOSHPSA-N 0 0 287.319 2.858 20 5 CFBDRN CC[C@H](C)C(=O)Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487875441 245788160 /nfs/dbraw/zinc/78/81/60/245788160.db2.gz LGXGTLKQBQXBRU-JTQLQIEISA-N 0 0 288.303 2.525 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCCOc1ccccc1 ZINC000052092476 373435724 /nfs/dbraw/zinc/43/57/24/373435724.db2.gz RGNDVBVRDNQNNI-UHFFFAOYSA-N 0 0 273.292 2.871 20 5 CFBDRN COc1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000054347740 373522537 /nfs/dbraw/zinc/52/25/37/373522537.db2.gz NLRVULCUEYMKRL-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN O=[N+]([O-])c1ccc(N(CCO)Cc2ccccc2)cc1 ZINC000054613970 373526850 /nfs/dbraw/zinc/52/68/50/373526850.db2.gz KVZIYMXJIQLCED-UHFFFAOYSA-N 0 0 272.304 2.594 20 5 CFBDRN C[C@H]1CCCN(C(=O)NCCc2ccc([N+](=O)[O-])cc2)C1 ZINC000054646405 373527450 /nfs/dbraw/zinc/52/74/50/373527450.db2.gz GAMSZOHAZSFYQY-LBPRGKRZSA-N 0 0 291.351 2.579 20 5 CFBDRN CC[C@H](C)[C@H](C)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000057152141 373580186 /nfs/dbraw/zinc/58/01/86/373580186.db2.gz RTMGNKFWWRNVDO-UWVGGRQHSA-N 0 0 279.340 2.801 20 5 CFBDRN CC[C@H]1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000058126872 373626710 /nfs/dbraw/zinc/62/67/10/373626710.db2.gz SOKYCPNXOZTDPG-VIFPVBQESA-N 0 0 295.314 2.578 20 5 CFBDRN CCOc1ccc(C(=O)N2CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000173123162 220217437 /nfs/dbraw/zinc/21/74/37/220217437.db2.gz BDXQFWTVCBDAKL-JTQLQIEISA-N 0 0 278.308 2.618 20 5 CFBDRN COCCCCCNc1cccc(F)c1[N+](=O)[O-] ZINC000058554135 373662552 /nfs/dbraw/zinc/66/25/52/373662552.db2.gz AOAYGORIAWJZFQ-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN CCOC(=O)CCCOc1ccc(C)cc1[N+](=O)[O-] ZINC000060706632 373704299 /nfs/dbraw/zinc/70/42/99/373704299.db2.gz FPNMEGZTHACZOL-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN CN(Cc1csc([N+](=O)[O-])c1)Cc1cscn1 ZINC000063591896 373811421 /nfs/dbraw/zinc/81/14/21/373811421.db2.gz SWHFZRIZFAXLSY-UHFFFAOYSA-N 0 0 269.351 2.745 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CO)c2ccccc2)cc1 ZINC000063001508 373780414 /nfs/dbraw/zinc/78/04/14/373780414.db2.gz SFLIEUMIIRTVQG-CQSZACIVSA-N 0 0 258.277 2.740 20 5 CFBDRN CCc1ncc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)s1 ZINC000065051900 373843907 /nfs/dbraw/zinc/84/39/07/373843907.db2.gz SGXDCQJCVBCCIL-UHFFFAOYSA-N 0 0 291.332 2.890 20 5 CFBDRN C[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])CC(C)(C)O1 ZINC000065426290 373871405 /nfs/dbraw/zinc/87/14/05/373871405.db2.gz BDIMVRMJPNRMMZ-LLVKDONJSA-N 0 0 264.325 2.594 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000066756248 373949235 /nfs/dbraw/zinc/94/92/35/373949235.db2.gz XEHCQQUHPPTYBB-QMMMGPOBSA-N 0 0 254.311 2.532 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)CSC ZINC000066756175 373949482 /nfs/dbraw/zinc/94/94/82/373949482.db2.gz BIMDIRPIJQCSJN-MRVPVSSYSA-N 0 0 284.337 2.541 20 5 CFBDRN CSC[C@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000066763739 373952712 /nfs/dbraw/zinc/95/27/12/373952712.db2.gz KJJSKIPTSSTLEX-VHSXEESVSA-N 0 0 282.365 2.771 20 5 CFBDRN CC(C)(C)n1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000065924210 373904529 /nfs/dbraw/zinc/90/45/29/373904529.db2.gz TVNSUGLHVSRSLE-UHFFFAOYSA-N 0 0 288.307 2.799 20 5 CFBDRN CCCCN(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067722619 373996420 /nfs/dbraw/zinc/99/64/20/373996420.db2.gz PKWBZQSVBUVPKG-UHFFFAOYSA-N 0 0 265.313 2.536 20 5 CFBDRN CC(C)CN(C(=O)NCc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000067724085 373997499 /nfs/dbraw/zinc/99/74/99/373997499.db2.gz XQDJQGQNVOASAD-UHFFFAOYSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1occc1CNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000067231756 373976694 /nfs/dbraw/zinc/97/66/94/373976694.db2.gz HPYUANMEHMPPKL-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H](C)c1nccs1 ZINC000289515880 197385938 /nfs/dbraw/zinc/38/59/38/197385938.db2.gz CQZRKCXMXJAIGZ-QMMMGPOBSA-N 0 0 295.368 2.792 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@@H](C)CC2)cc1[N+](=O)[O-] ZINC000070158065 374130500 /nfs/dbraw/zinc/13/05/00/374130500.db2.gz HPXWZFUZYIPQGN-LLVKDONJSA-N 0 0 291.351 2.899 20 5 CFBDRN CCCC(=O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000289494766 197375304 /nfs/dbraw/zinc/37/53/04/197375304.db2.gz JVCMNVQFMHEUKH-UHFFFAOYSA-N 0 0 257.673 2.996 20 5 CFBDRN CSc1ccc(C(=O)N2CCCC2)cc1[N+](=O)[O-] ZINC000070236790 374150837 /nfs/dbraw/zinc/15/08/37/374150837.db2.gz RXQRQSWIPBZZPH-UHFFFAOYSA-N 0 0 266.322 2.553 20 5 CFBDRN CCCc1nccn1Cc1cc([N+](=O)[O-])ccc1OC ZINC000070424466 374173903 /nfs/dbraw/zinc/17/39/03/374173903.db2.gz HHFPYJAMXYXKDJ-UHFFFAOYSA-N 0 0 275.308 2.801 20 5 CFBDRN Cc1cc(N[C@H](C)c2nccn2C)ccc1[N+](=O)[O-] ZINC000070445349 374177366 /nfs/dbraw/zinc/17/73/66/374177366.db2.gz YBVOTOSFWKMJCG-SNVBAGLBSA-N 0 0 260.297 2.810 20 5 CFBDRN Cc1c(NC(=O)/C=C\c2ccncc2)cccc1[N+](=O)[O-] ZINC000490640879 246018061 /nfs/dbraw/zinc/01/80/61/246018061.db2.gz XDCOKSZLTLLLGJ-WAYWQWQTSA-N 0 0 283.287 2.950 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NCCc1ccco1 ZINC000491062672 246038394 /nfs/dbraw/zinc/03/83/94/246038394.db2.gz ZXDWDWFUQWLGBS-FPLPWBNLSA-N 0 0 286.287 2.560 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N[C@@H]1CCCOC1 ZINC000070464185 374185792 /nfs/dbraw/zinc/18/57/92/374185792.db2.gz QROTXLXZCUWJRP-SECBINFHSA-N 0 0 256.689 2.839 20 5 CFBDRN C[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1cnccn1 ZINC000070479246 374187680 /nfs/dbraw/zinc/18/76/80/374187680.db2.gz OYCCOTVUMVZFKH-WDEREUQCSA-N 0 0 272.308 2.797 20 5 CFBDRN Cc1cccnc1CNc1nccc(C)c1[N+](=O)[O-] ZINC000070496418 374188704 /nfs/dbraw/zinc/18/87/04/374188704.db2.gz IXSHWAKQLHHDHM-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN O=C1CCCCCN1CCCNc1ccccc1[N+](=O)[O-] ZINC000072521846 374260553 /nfs/dbraw/zinc/26/05/53/374260553.db2.gz CRWFOKNMQUXGQJ-UHFFFAOYSA-N 0 0 291.351 2.799 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1ccc(F)c(F)c1 ZINC000072635431 374272096 /nfs/dbraw/zinc/27/20/96/374272096.db2.gz MMHWQIDBKLCDAG-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN CN(C(=O)COc1cccc([N+](=O)[O-])c1)C1CCCCC1 ZINC000072645248 374272819 /nfs/dbraw/zinc/27/28/19/374272819.db2.gz IHWQWMDBNIFGHN-UHFFFAOYSA-N 0 0 292.335 2.765 20 5 CFBDRN CN(Cc1ccccn1)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000491187031 246048452 /nfs/dbraw/zinc/04/84/52/246048452.db2.gz REORJSLTQMVINF-MDZDMXLPSA-N 0 0 297.314 2.662 20 5 CFBDRN CN(Cc1ccoc1)C(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000491223188 246051458 /nfs/dbraw/zinc/05/14/58/246051458.db2.gz FFKDSVDJJHUITL-AATRIKPKSA-N 0 0 286.287 2.860 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCc2ccncc2C)n1 ZINC000491223957 246052653 /nfs/dbraw/zinc/05/26/53/246052653.db2.gz PRGGGGBBLMDARO-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN C[C@@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1CCC(C)(C)C1 ZINC000072827114 374289710 /nfs/dbraw/zinc/28/97/10/374289710.db2.gz PQSRYTLITPRZQX-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN O=C(/C=C/C1CCOCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000491839943 246095178 /nfs/dbraw/zinc/09/51/78/246095178.db2.gz AKLAMATUKZETCD-DAFODLJHSA-N 0 0 294.282 2.655 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@H]2CCCC[C@@H]2CC)c1[N+](=O)[O-] ZINC000277787882 193758760 /nfs/dbraw/zinc/75/87/60/193758760.db2.gz VXEDCPZEIFOASQ-ONGXEEELSA-N 0 0 294.355 2.579 20 5 CFBDRN CCOc1cc(Cn2nnc3ccccc32)ccc1[N+](=O)[O-] ZINC000491940997 246128684 /nfs/dbraw/zinc/12/86/84/246128684.db2.gz YNJIGHKBWULIOU-UHFFFAOYSA-N 0 0 298.302 2.787 20 5 CFBDRN COc1ccc(CNc2ccc([N+](=O)[O-])nc2)cc1F ZINC000073587687 374353738 /nfs/dbraw/zinc/35/37/38/374353738.db2.gz CJSILLBMLRPNKL-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN C[C@H](NC(=O)/C=C\C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000491946208 246132747 /nfs/dbraw/zinc/13/27/47/246132747.db2.gz UITHYTJINMAPAK-DMEOUFDRSA-N 0 0 260.293 2.738 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\c1ccc[nH]1 ZINC000492017204 246158943 /nfs/dbraw/zinc/15/89/43/246158943.db2.gz QVHCPHQPRZVWLL-ALCCZGGFSA-N 0 0 271.276 2.883 20 5 CFBDRN CC1CC(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000492060299 246172043 /nfs/dbraw/zinc/17/20/43/246172043.db2.gz WGCCRWQEASTJQP-SREVYHEPSA-N 0 0 260.293 2.523 20 5 CFBDRN CC(C)(CO)CCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000075418624 374481493 /nfs/dbraw/zinc/48/14/93/374481493.db2.gz QZSRMMUYEYJLJJ-UHFFFAOYSA-N 0 0 295.339 2.515 20 5 CFBDRN CC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F ZINC000075451265 374482183 /nfs/dbraw/zinc/48/21/83/374482183.db2.gz XMRRMNYFOJFTKD-UHFFFAOYSA-N 0 0 276.214 2.506 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N[C@H]1C=CCCC1 ZINC000492133403 246197275 /nfs/dbraw/zinc/19/72/75/246197275.db2.gz BNJOAXQMQOHSFO-XOVSCCBYSA-N 0 0 290.294 2.972 20 5 CFBDRN CS[C@H](C)CNC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000492221054 246223721 /nfs/dbraw/zinc/22/37/21/246223721.db2.gz HYMDFFWRAHDBLE-XTULLQBASA-N 0 0 298.339 2.615 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCOC(C)C ZINC000075723626 374501412 /nfs/dbraw/zinc/50/14/12/374501412.db2.gz RYIRZVKHWCZLBS-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN C[C@]1(F)CCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000492297248 246249949 /nfs/dbraw/zinc/24/99/49/246249949.db2.gz RGSBYQHENDJHAW-AFNCTOJWSA-N 0 0 278.283 2.569 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CC[C@@H](C2CC2)C1 ZINC000492313862 246253310 /nfs/dbraw/zinc/25/33/10/246253310.db2.gz SCMLGFJHWQIUPD-LEPFTWFBSA-N 0 0 286.331 2.867 20 5 CFBDRN Cc1cc(NC(=O)/C=C/C2CCOCC2)ccc1[N+](=O)[O-] ZINC000492328567 246259418 /nfs/dbraw/zinc/25/94/18/246259418.db2.gz IJQCOUAIIAZQOC-GORDUTHDSA-N 0 0 290.319 2.825 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC=C(F)C1 ZINC000492352375 246265584 /nfs/dbraw/zinc/26/55/84/246265584.db2.gz WKWREBONFQXVGP-YVMONPNESA-N 0 0 276.267 2.694 20 5 CFBDRN C/C=C/C=C/C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000492406254 246283121 /nfs/dbraw/zinc/28/31/21/246283121.db2.gz GKYRDAMJEXGSRB-VNKDHWASSA-N 0 0 262.265 2.674 20 5 CFBDRN Cc1ccncc1/C=C/C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000492415721 246286345 /nfs/dbraw/zinc/28/63/45/246286345.db2.gz LLUCOWYPGMPGFY-FARCUNLSSA-N 0 0 283.287 2.950 20 5 CFBDRN COc1cncc(/C=C\C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 ZINC000492471764 246302341 /nfs/dbraw/zinc/30/23/41/246302341.db2.gz IXKPZTOKIANGJR-UQCOIBPSSA-N 0 0 299.286 2.650 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC1(C)C ZINC000077352655 374562164 /nfs/dbraw/zinc/56/21/64/374562164.db2.gz SMSXGJAIYOSHRZ-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN CCN(CC(F)F)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492502960 246311973 /nfs/dbraw/zinc/31/19/73/246311973.db2.gz MKSAAYFMVJCXTO-YVMONPNESA-N 0 0 284.262 2.722 20 5 CFBDRN O=C(CCCNc1ccc(Cl)cc1[N+](=O)[O-])NC1CC1 ZINC000079926427 374634000 /nfs/dbraw/zinc/63/40/00/374634000.db2.gz ZBLGDXIFSDLOSL-UHFFFAOYSA-N 0 0 297.742 2.719 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492800321 246390522 /nfs/dbraw/zinc/39/05/22/246390522.db2.gz OOXWJCJJANPJME-WSROAFLRSA-N 0 0 274.320 2.913 20 5 CFBDRN CCSCCCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000492809944 246393890 /nfs/dbraw/zinc/39/38/90/246393890.db2.gz QRRDGLIRAGWIGI-TWGQIWQCSA-N 0 0 294.376 2.867 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000252168571 189973365 /nfs/dbraw/zinc/97/33/65/189973365.db2.gz QVDCIVZEUUMORF-JGPRNRPPSA-N 0 0 250.298 2.963 20 5 CFBDRN O=C(NCCC1CC1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000081007636 374680831 /nfs/dbraw/zinc/68/08/31/374680831.db2.gz WKJXRGXXYPWDEC-UHFFFAOYSA-N 0 0 289.335 2.699 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ccc(O)c(F)c2)s1 ZINC000083512595 374749151 /nfs/dbraw/zinc/74/91/51/374749151.db2.gz VLKXNFRAJOYSFS-UHFFFAOYSA-N 0 0 269.257 2.508 20 5 CFBDRN C[C@@H](O)CCNc1cc(Br)ccc1[N+](=O)[O-] ZINC000083730743 374755270 /nfs/dbraw/zinc/75/52/70/374755270.db2.gz ZXKMXNLSKPICDB-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN CC1(C)CCCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087293846 374812270 /nfs/dbraw/zinc/81/22/70/374812270.db2.gz RKEGTEYTSNRETL-UHFFFAOYSA-N 0 0 276.336 2.928 20 5 CFBDRN CCCOCC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776166 374789556 /nfs/dbraw/zinc/78/95/56/374789556.db2.gz QISOSYHOFIFMES-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN CCO[C@H](C)C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000084776235 374789605 /nfs/dbraw/zinc/78/96/05/374789605.db2.gz DNPJLHVKMRKAEA-SECBINFHSA-N 0 0 289.291 2.507 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)/C=C\[C@@H]1CCOC1 ZINC000493263466 246537412 /nfs/dbraw/zinc/53/74/12/246537412.db2.gz FCGMWSLQZSWNDT-ZTYXWKCZSA-N 0 0 290.319 2.688 20 5 CFBDRN COc1ccc(F)c(NC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000088226516 374839022 /nfs/dbraw/zinc/83/90/22/374839022.db2.gz HLQRYMYYQSUWFD-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1-c1nc([C@@H]2CCCO2)no1 ZINC000571562402 383705167 /nfs/dbraw/zinc/70/51/67/383705167.db2.gz IATAZXPOTOOGAE-NSHDSACASA-N 0 0 291.263 2.505 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCC(F)(F)F)c1 ZINC000090802007 375029331 /nfs/dbraw/zinc/02/93/31/375029331.db2.gz PIFHSHCXWZAAGG-UHFFFAOYSA-N 0 0 264.203 2.968 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ncn(-c3ccccc3)n2)cc1 ZINC000092250376 375097864 /nfs/dbraw/zinc/09/78/64/375097864.db2.gz DVHDIHRZYIMWDP-UHFFFAOYSA-N 0 0 296.286 2.755 20 5 CFBDRN CCCN(CC(=O)OCC)Cc1ccc(C)c([N+](=O)[O-])c1 ZINC000092380395 375103099 /nfs/dbraw/zinc/10/30/99/375103099.db2.gz KCFMBZJYKZRGAI-UHFFFAOYSA-N 0 0 294.351 2.678 20 5 CFBDRN CN(CC(C)(C)O)c1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000092969777 375170224 /nfs/dbraw/zinc/17/02/24/375170224.db2.gz PODUQAJQANBBIW-UHFFFAOYSA-N 0 0 292.257 2.821 20 5 CFBDRN Cc1cc(C(=O)N(C)CC(C)(C)C)c(N)c([N+](=O)[O-])c1 ZINC000264197315 383707129 /nfs/dbraw/zinc/70/71/29/383707129.db2.gz DJYDEWHRKHOSAO-UHFFFAOYSA-N 0 0 279.340 2.604 20 5 CFBDRN C[C@@H]1[C@H](C)OCCN1C/C=C/c1ccccc1[N+](=O)[O-] ZINC000093417822 375231403 /nfs/dbraw/zinc/23/14/03/375231403.db2.gz CWJWZZUOVJMMCJ-JOVKLMRISA-N 0 0 276.336 2.717 20 5 CFBDRN Cc1ccc(CN(C)[C@@H](C)C(=O)OC(C)C)cc1[N+](=O)[O-] ZINC000093773024 375311146 /nfs/dbraw/zinc/31/11/46/375311146.db2.gz GNEGHDRFGXZRAT-LBPRGKRZSA-N 0 0 294.351 2.675 20 5 CFBDRN CCC[C@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)CCO1 ZINC000093508630 375257838 /nfs/dbraw/zinc/25/78/38/375257838.db2.gz FAMQHSFIDCRLBD-AWEZNQCLSA-N 0 0 278.352 2.904 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC1CC1 ZINC000093913364 375331128 /nfs/dbraw/zinc/33/11/28/375331128.db2.gz LAUQNWXOUYUNLG-UHFFFAOYSA-N 0 0 262.309 2.660 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCCc2cscn2)c1 ZINC000094269463 375349257 /nfs/dbraw/zinc/34/92/57/375349257.db2.gz VMZOQNFAAWBTDO-UHFFFAOYSA-N 0 0 279.321 2.715 20 5 CFBDRN C[C@@H](CCO)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000097443479 375561762 /nfs/dbraw/zinc/56/17/62/375561762.db2.gz NYGSFXPAULYLHT-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN CCCCOCCOc1ccc([N+](=O)[O-])cc1F ZINC000097506629 375567032 /nfs/dbraw/zinc/56/70/32/375567032.db2.gz BYKJIBNKOSJYRW-UHFFFAOYSA-N 0 0 257.261 2.929 20 5 CFBDRN O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@@H]1CC1(Cl)Cl ZINC000098072587 375600537 /nfs/dbraw/zinc/60/05/37/375600537.db2.gz FKQKIKJICHWPIU-LURJTMIESA-N 0 0 293.081 2.866 20 5 CFBDRN CC(C)Oc1ccccc1Cn1cccc([N+](=O)[O-])c1=O ZINC000102203219 375667227 /nfs/dbraw/zinc/66/72/27/375667227.db2.gz OJLLWAIDXQQMKV-UHFFFAOYSA-N 0 0 288.303 2.592 20 5 CFBDRN CC(C)[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000505662315 247146806 /nfs/dbraw/zinc/14/68/06/247146806.db2.gz OWOURJJVVCPPTB-GHMZBOCLSA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)[C@H]2C[C@@H]2C(F)F)cc1[N+](=O)[O-] ZINC000509366752 247186440 /nfs/dbraw/zinc/18/64/40/247186440.db2.gz UBHKBHPISDRWGJ-MIMYLULJSA-N 0 0 298.289 2.982 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H]1C(F)F)c1cccc([N+](=O)[O-])c1 ZINC000509544197 247188743 /nfs/dbraw/zinc/18/87/43/247188743.db2.gz QBGDQOYAWUWMID-GGVZMXCHSA-N 0 0 284.262 2.673 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCOC2(CCC2)C1 ZINC000154147923 296234361 /nfs/dbraw/zinc/23/43/61/296234361.db2.gz JYDYUZOCFUPLQN-LBPRGKRZSA-N 0 0 276.336 2.911 20 5 CFBDRN CN(CCCOc1ccc([N+](=O)[O-])cc1)CC(F)F ZINC000103487649 375751753 /nfs/dbraw/zinc/75/17/53/375751753.db2.gz MEDACKOQZJDIHT-UHFFFAOYSA-N 0 0 274.267 2.561 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCOC(C)(C)C1 ZINC000330618007 296234412 /nfs/dbraw/zinc/23/44/12/296234412.db2.gz XDETXPZUHRFMDK-NSHDSACASA-N 0 0 264.325 2.767 20 5 CFBDRN Cc1c(NCCOCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000104431183 375784947 /nfs/dbraw/zinc/78/49/47/375784947.db2.gz ASWUSESJSFOUBA-UHFFFAOYSA-N 0 0 278.230 2.894 20 5 CFBDRN CC[C@H]1CN(c2c(C)cccc2[N+](=O)[O-])C[C@H](C)O1 ZINC000110598525 375832402 /nfs/dbraw/zinc/83/24/02/375832402.db2.gz VGAVJMQFZKBXMR-RYUDHWBXSA-N 0 0 264.325 2.907 20 5 CFBDRN COC(=O)[C@@H](C)Sc1ccc2ncccc2c1[N+](=O)[O-] ZINC000112073675 375880440 /nfs/dbraw/zinc/88/04/40/375880440.db2.gz HFSMMAGLAWLHFJ-MRVPVSSYSA-N 0 0 292.316 2.797 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC[C@@H](C)Cn1cccn1 ZINC000112074497 375880620 /nfs/dbraw/zinc/88/06/20/375880620.db2.gz RGZVCVXWLHEETJ-LLVKDONJSA-N 0 0 274.324 2.848 20 5 CFBDRN CCN(CC1CC1)C(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000112179911 375887520 /nfs/dbraw/zinc/88/75/20/375887520.db2.gz RIXVEWZNAIHFJM-MDZDMXLPSA-N 0 0 274.320 2.867 20 5 CFBDRN CC[N@@H+]1CCC[C@H]1CNc1c(C)cccc1[N+](=O)[O-] ZINC000112208899 375890787 /nfs/dbraw/zinc/89/07/87/375890787.db2.gz XMHFNQPIXABPOR-LBPRGKRZSA-N 0 0 263.341 2.799 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1c(C)cccc1[N+](=O)[O-] ZINC000112334408 375905533 /nfs/dbraw/zinc/90/55/33/375905533.db2.gz KQVPAYVTNAXQRG-SKDRFNHKSA-N 0 0 252.314 2.722 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C)CC2CC2)ccc1[N+](=O)[O-] ZINC000112606310 375935628 /nfs/dbraw/zinc/93/56/28/375935628.db2.gz TZOKYWDKHWFQCX-VIFPVBQESA-N 0 0 278.308 2.522 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC1CC1 ZINC000112605819 375935678 /nfs/dbraw/zinc/93/56/78/375935678.db2.gz UUGIYTQJBYCNDQ-SECBINFHSA-N 0 0 278.308 2.522 20 5 CFBDRN COc1cc(C(=O)N2CCCC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000112684494 375943536 /nfs/dbraw/zinc/94/35/36/375943536.db2.gz DQRPVFNDSZFCQG-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CC1(C)CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)C1 ZINC000112683664 375944377 /nfs/dbraw/zinc/94/43/77/375944377.db2.gz LIWXZFZKPCLAGD-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1COc2ccccc21 ZINC000113015885 375987689 /nfs/dbraw/zinc/98/76/89/375987689.db2.gz MRRMHAFFIDUINY-CYBMUJFWSA-N 0 0 284.271 2.710 20 5 CFBDRN O=[N+]([O-])c1c(NCCC2CC2)nc2sccn21 ZINC000115170683 376113017 /nfs/dbraw/zinc/11/30/17/376113017.db2.gz MWPINJHZEYNFEC-UHFFFAOYSA-N 0 0 252.299 2.516 20 5 CFBDRN CCCCOCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000118194626 376274765 /nfs/dbraw/zinc/27/47/65/376274765.db2.gz YPOFHUBPORKNNI-UHFFFAOYSA-N 0 0 266.297 2.658 20 5 CFBDRN CC(C)(C)c1csc(Cn2cccc([N+](=O)[O-])c2=O)n1 ZINC000118963391 376328224 /nfs/dbraw/zinc/32/82/24/376328224.db2.gz GCLGHMAOOARHNJ-UHFFFAOYSA-N 0 0 293.348 2.559 20 5 CFBDRN O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccsc1 ZINC000118627847 376305513 /nfs/dbraw/zinc/30/55/13/376305513.db2.gz BYOSCRHOYACCHS-UHFFFAOYSA-N 0 0 278.289 2.674 20 5 CFBDRN Cc1cnc(SCCOc2ccccc2[N+](=O)[O-])nc1 ZINC000119406659 376408629 /nfs/dbraw/zinc/40/86/29/376408629.db2.gz SXKCLQDMVHZPQY-UHFFFAOYSA-N 0 0 291.332 2.864 20 5 CFBDRN CCCCC1CCC(NC(=O)c2[nH]ncc2[N+](=O)[O-])CC1 ZINC000119472714 376421188 /nfs/dbraw/zinc/42/11/88/376421188.db2.gz YJFSMKJIMMAKCP-UHFFFAOYSA-N 0 0 294.355 2.797 20 5 CFBDRN CC(C)CC(CC(C)C)NC(=O)c1c([N+](=O)[O-])cnn1C ZINC000119556539 376434718 /nfs/dbraw/zinc/43/47/18/376434718.db2.gz TVYSXELKVHNHQS-UHFFFAOYSA-N 0 0 296.371 2.519 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCC[C@@](C)(F)C2)c1 ZINC000450564073 284570574 /nfs/dbraw/zinc/57/05/74/284570574.db2.gz UPRIOFMGSSIKMR-CQSZACIVSA-N 0 0 296.298 2.710 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@]1(C)CCCO1 ZINC000119630740 376446318 /nfs/dbraw/zinc/44/63/18/376446318.db2.gz IUFGBVNCPGZUHV-AWEZNQCLSA-N 0 0 278.308 2.719 20 5 CFBDRN CCCCNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000119729620 376460780 /nfs/dbraw/zinc/46/07/80/376460780.db2.gz RBWVHFHLQSUISM-UHFFFAOYSA-N 0 0 273.292 2.673 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2C[C@@H](C)C[C@H](C)C2)c1 ZINC000136291497 284572732 /nfs/dbraw/zinc/57/27/32/284572732.db2.gz BUMFAWWVIDKPTA-QWRGUYRKSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1ccccc1CCNc1nc(C)ccc1[N+](=O)[O-] ZINC000120041248 376534289 /nfs/dbraw/zinc/53/42/89/376534289.db2.gz KFWLXPUEHVVGFX-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CN(CCC1CC1)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000120264049 376579830 /nfs/dbraw/zinc/57/98/30/376579830.db2.gz BHBWEFLXUPIUEC-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N(CC1CC1)CC1CC1 ZINC000120493933 376626498 /nfs/dbraw/zinc/62/64/98/376626498.db2.gz OJGXUJJMZARSAD-UHFFFAOYSA-N 0 0 290.323 2.644 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)N[C@H]1C=CCCC1 ZINC000120893803 376718019 /nfs/dbraw/zinc/71/80/19/376718019.db2.gz WJVKPZHADROGKT-ZDUSSCGKSA-N 0 0 289.335 2.545 20 5 CFBDRN CC[NH+]1CCC(Nc2cc(OC)c(F)cc2[N+](=O)[O-])CC1 ZINC000121267733 376793895 /nfs/dbraw/zinc/79/38/95/376793895.db2.gz SFJVKFOBTHAKOY-UHFFFAOYSA-N 0 0 297.330 2.639 20 5 CFBDRN CCCCCNC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000121632874 376873520 /nfs/dbraw/zinc/87/35/20/376873520.db2.gz RWXNMAPRVRJNFU-UHFFFAOYSA-N 0 0 268.288 2.583 20 5 CFBDRN C[S@](=O)c1cccc(NCc2ccccc2[N+](=O)[O-])c1 ZINC000121774922 376903602 /nfs/dbraw/zinc/90/36/02/376903602.db2.gz UBOKYQRHDMCGJZ-HXUWFJFHSA-N 0 0 290.344 2.944 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccc(O)cc1 ZINC000121726167 376893754 /nfs/dbraw/zinc/89/37/54/376893754.db2.gz LEXCNZNIOMOIMF-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN CN(C[C@H]1CCCOC1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000121727541 376893784 /nfs/dbraw/zinc/89/37/84/376893784.db2.gz ORMQHEQQYXVUEY-SECBINFHSA-N 0 0 286.278 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1cn2cccnc2n1 ZINC000124201856 377026139 /nfs/dbraw/zinc/02/61/39/377026139.db2.gz UMAUFSPZSJNUCM-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000125187884 377126440 /nfs/dbraw/zinc/12/64/40/377126440.db2.gz VXNJMOJFYHMDGK-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN CCC(CC)[C@H](O)CNc1nc(C)ccc1[N+](=O)[O-] ZINC000125548059 377170655 /nfs/dbraw/zinc/17/06/55/377170655.db2.gz VGWJTXDVNIPSQS-GFCCVEGCSA-N 0 0 267.329 2.507 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(NCc3ccoc3)nc2c1 ZINC000126202947 377216525 /nfs/dbraw/zinc/21/65/25/377216525.db2.gz BDDZHXNXXCVPOV-UHFFFAOYSA-N 0 0 258.237 2.676 20 5 CFBDRN O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCC1 ZINC000090623769 284625651 /nfs/dbraw/zinc/62/56/51/284625651.db2.gz WCORRDLONYOKFA-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN COC(=O)[C@@]1(C)CCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000413591379 291667381 /nfs/dbraw/zinc/66/73/81/291667381.db2.gz KWGAYFXUELOCNE-AWEZNQCLSA-N 0 0 296.298 2.513 20 5 CFBDRN C[C@@H]1CC[C@@H](CNc2c(F)cccc2[N+](=O)[O-])O1 ZINC000128540208 377346056 /nfs/dbraw/zinc/34/60/56/377346056.db2.gz OJIIGKKNXKXGFK-BDAKNGLRSA-N 0 0 254.261 2.713 20 5 CFBDRN CC1(C)[C@@H](O)C[C@@H]1Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000129257835 377404819 /nfs/dbraw/zinc/40/48/19/377404819.db2.gz WQDWQBIFLYNGOL-QWRGUYRKSA-N 0 0 270.716 2.820 20 5 CFBDRN CC(C)[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])c1nncn1C ZINC000286687558 196677205 /nfs/dbraw/zinc/67/72/05/196677205.db2.gz XIHWTDASZUYJLZ-GFCCVEGCSA-N 0 0 293.302 2.672 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2ccnc(C3CC3)n2)c1 ZINC000129643742 377455469 /nfs/dbraw/zinc/45/54/69/377455469.db2.gz WIGYHLIZIHTAEF-UHFFFAOYSA-N 0 0 284.319 2.917 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCCOCC(F)(F)F ZINC000129786870 377471890 /nfs/dbraw/zinc/47/18/90/377471890.db2.gz CSJJVSOYFBKUCP-UHFFFAOYSA-N 0 0 299.636 2.634 20 5 CFBDRN C[C@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000130339190 377540478 /nfs/dbraw/zinc/54/04/78/377540478.db2.gz PTIZJKYLGOVUIU-YUMQZZPRSA-N 0 0 258.705 2.820 20 5 CFBDRN COC(=O)[C@@]1(C)CN(c2cc(C)ccc2[N+](=O)[O-])C[C@@H]1C ZINC000336923384 291675420 /nfs/dbraw/zinc/67/54/20/291675420.db2.gz UOWJPMPEIWDVKD-NHYWBVRUSA-N 0 0 292.335 2.539 20 5 CFBDRN CCOCCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130352180 377543391 /nfs/dbraw/zinc/54/33/91/377543391.db2.gz IGIINBMJGUXJHZ-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN COC[C@@H](C)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000130375911 377547572 /nfs/dbraw/zinc/54/75/72/377547572.db2.gz XIJZNURLCYZVDV-JTQLQIEISA-N 0 0 275.308 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(Cc2ccon2)C2CC2)cc1 ZINC000130735362 377602445 /nfs/dbraw/zinc/60/24/45/377602445.db2.gz VUGBBSAVJKSRGV-UHFFFAOYSA-N 0 0 273.292 2.747 20 5 CFBDRN CSC[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000131096757 377656678 /nfs/dbraw/zinc/65/66/78/377656678.db2.gz CDWDVBDRRPTXFI-ZETCQYMHSA-N 0 0 288.756 2.730 20 5 CFBDRN CC[C@@H]1CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C1 ZINC000131326424 377696496 /nfs/dbraw/zinc/69/64/96/377696496.db2.gz PHTWOULDNAUZPH-LLVKDONJSA-N 0 0 294.376 2.945 20 5 CFBDRN CCc1nnc(CSCc2ccc([N+](=O)[O-])cc2)o1 ZINC000131340731 377698784 /nfs/dbraw/zinc/69/87/84/377698784.db2.gz XPPQQZQJAVFPTA-UHFFFAOYSA-N 0 0 279.321 2.974 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)N1CCCC[C@@H]1C ZINC000131510292 377722181 /nfs/dbraw/zinc/72/21/81/377722181.db2.gz DUNRSYJTMCPUOA-LBPRGKRZSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@@H]1C[C@H](C)CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000131569268 377729077 /nfs/dbraw/zinc/72/90/77/377729077.db2.gz DNZZIDJMPNYAKI-AOOOYVTPSA-N 0 0 280.299 2.852 20 5 CFBDRN Cc1ccc(OCC(=O)N2CCC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000131730480 377754020 /nfs/dbraw/zinc/75/40/20/377754020.db2.gz IHHRBHNSDNJFLC-NSHDSACASA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1c(F)cccc1[N+](=O)[O-] ZINC000132494280 377845877 /nfs/dbraw/zinc/84/58/77/377845877.db2.gz YPWWBELCJLLDJU-UHFFFAOYSA-N 0 0 278.287 2.696 20 5 CFBDRN CSC[C@@H]1CCCN1c1cc(C)c([N+](=O)[O-])cn1 ZINC000133269007 377917339 /nfs/dbraw/zinc/91/73/39/377917339.db2.gz YCFIDPIVZGAXQO-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2nc3sccn3c2[N+](=O)[O-])C1 ZINC000133315051 377919803 /nfs/dbraw/zinc/91/98/03/377919803.db2.gz VRNCPXLBBYFLIV-SFYZADRCSA-N 0 0 266.326 2.905 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000133335064 377921262 /nfs/dbraw/zinc/92/12/62/377921262.db2.gz JUUGVTUKRABNBZ-FZMZJTMJSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@@H](C)C(=O)COc1cc(C)ccc1[N+](=O)[O-] ZINC000133102219 377903301 /nfs/dbraw/zinc/90/33/01/377903301.db2.gz VUPIZUBWWOXRRP-SNVBAGLBSA-N 0 0 251.282 2.897 20 5 CFBDRN Cc1nc(Nc2cccc(-n3ccnn3)c2)ccc1[N+](=O)[O-] ZINC000133743781 377954455 /nfs/dbraw/zinc/95/44/55/377954455.db2.gz IRBLSFNKENLAGS-UHFFFAOYSA-N 0 0 296.290 2.623 20 5 CFBDRN CCC(=CC(=O)Nc1ccc([N+](=O)[O-])c(C)n1)CC ZINC000134036545 377978566 /nfs/dbraw/zinc/97/85/66/377978566.db2.gz DYRXLZPNIGOMKM-UHFFFAOYSA-N 0 0 263.297 2.983 20 5 CFBDRN Cc1ccc(OCc2nc(C3CC3)no2)cc1[N+](=O)[O-] ZINC000134067002 377980525 /nfs/dbraw/zinc/98/05/25/377980525.db2.gz HFHLVHTZVCGPPF-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN CC[C@@H](NC(=O)c1cc([N+](=O)[O-])c(C)s1)[C@@H]1CCCO1 ZINC000134091383 377982021 /nfs/dbraw/zinc/98/20/21/377982021.db2.gz QJLREXXZVBKZTQ-KOLCDFICSA-N 0 0 298.364 2.652 20 5 CFBDRN Cc1cccc(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)n1 ZINC000134117210 377985885 /nfs/dbraw/zinc/98/58/85/377985885.db2.gz JKBPDDXCDFSUNV-YFHOEESVSA-N 0 0 297.314 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[C@H]2CCCO2)cc1F ZINC000134152039 377988122 /nfs/dbraw/zinc/98/81/22/377988122.db2.gz XCABXUQWQGABOE-SECBINFHSA-N 0 0 255.245 2.682 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCC(=O)NC(C)(C)C ZINC000134539851 378029425 /nfs/dbraw/zinc/02/94/25/378029425.db2.gz PXAMOADQDOSMGS-UHFFFAOYSA-N 0 0 279.340 2.620 20 5 CFBDRN CCC[C@H](O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000134547347 378030482 /nfs/dbraw/zinc/03/04/82/378030482.db2.gz GUWWUKSDTFGYPD-JTQLQIEISA-N 0 0 275.308 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCCCCO)c(F)c1 ZINC000134581252 378033878 /nfs/dbraw/zinc/03/38/78/378033878.db2.gz KLHACOGMYMGCOF-UHFFFAOYSA-N 0 0 257.261 2.665 20 5 CFBDRN CCC(C)(C)Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000134587358 378035665 /nfs/dbraw/zinc/03/56/65/378035665.db2.gz JRUIGXFTZDXVDY-UHFFFAOYSA-N 0 0 265.313 2.555 20 5 CFBDRN CCOc1cccc(N[C@@H](C)Cn2cccn2)c1[N+](=O)[O-] ZINC000134910155 378061530 /nfs/dbraw/zinc/06/15/30/378061530.db2.gz NUYGFCMIPQOUCW-NSHDSACASA-N 0 0 290.323 2.691 20 5 CFBDRN CCOc1cccc(NCC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000134908526 378062592 /nfs/dbraw/zinc/06/25/92/378062592.db2.gz FTOHXEUMMSDLOH-LLVKDONJSA-N 0 0 280.324 2.975 20 5 CFBDRN CC(C)(C)[C@H]1CCCC[C@H]1NC(=O)c1[nH]ncc1[N+](=O)[O-] ZINC000135120589 378085139 /nfs/dbraw/zinc/08/51/39/378085139.db2.gz LFUCRUDDHVQISL-VHSXEESVSA-N 0 0 294.355 2.653 20 5 CFBDRN COc1cccc(N[C@@H]2CCOC(C)(C)C2)c1[N+](=O)[O-] ZINC000134929531 378065596 /nfs/dbraw/zinc/06/55/96/378065596.db2.gz NBNRPNLFRWMVLZ-SNVBAGLBSA-N 0 0 280.324 2.973 20 5 CFBDRN CC[C@]1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2C)CCO1 ZINC000135321669 378116778 /nfs/dbraw/zinc/11/67/78/378116778.db2.gz BRFHMGQKTMSVKW-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CC(C)N(CCOc1ccccc1[N+](=O)[O-])CC(F)F ZINC000135399492 378128519 /nfs/dbraw/zinc/12/85/19/378128519.db2.gz PPUYQWZDIKKVTA-UHFFFAOYSA-N 0 0 288.294 2.949 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000264314607 383725047 /nfs/dbraw/zinc/72/50/47/383725047.db2.gz TZJLRLFWTBHBQP-SFYZADRCSA-N 0 0 254.311 2.575 20 5 CFBDRN COC(C)(C)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000135262313 378107139 /nfs/dbraw/zinc/10/71/39/378107139.db2.gz OHYJZOSHFKVWBI-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN COC1(C)CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000271694971 284688153 /nfs/dbraw/zinc/68/81/53/284688153.db2.gz MOBWLBANGOAHJY-UHFFFAOYSA-N 0 0 268.288 2.739 20 5 CFBDRN CCOc1cc(N[C@@H](C)C[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000136366410 378197126 /nfs/dbraw/zinc/19/71/26/378197126.db2.gz NJJVPPNHKYDUKA-VHSXEESVSA-N 0 0 268.313 2.565 20 5 CFBDRN COC(=O)c1cc(NC(=O)CCC2CC2)cc([N+](=O)[O-])c1 ZINC000136153254 378184506 /nfs/dbraw/zinc/18/45/06/378184506.db2.gz PBIGFMLJJZGJKZ-UHFFFAOYSA-N 0 0 292.291 2.510 20 5 CFBDRN CC[C@H](NC(=O)c1cc(C)cc([N+](=O)[O-])c1)c1ncc[nH]1 ZINC000140492251 378238951 /nfs/dbraw/zinc/23/89/51/378238951.db2.gz BRLBCDCPHIMJDV-LBPRGKRZSA-N 0 0 288.307 2.507 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1cc([N+](=O)[O-])cnc1C ZINC000152711581 378441619 /nfs/dbraw/zinc/44/16/19/378441619.db2.gz OIENGJOKLUXECR-UHFFFAOYSA-N 0 0 279.340 2.997 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCCCC1 ZINC000152525916 378423803 /nfs/dbraw/zinc/42/38/03/378423803.db2.gz WCEVZMRJSQUEDP-UHFFFAOYSA-N 0 0 268.700 2.874 20 5 CFBDRN COC(C)(C)c1noc(-c2cccc([N+](=O)[O-])c2C)n1 ZINC000154109400 378540344 /nfs/dbraw/zinc/54/03/44/378540344.db2.gz IFYCVWSZBYPQKQ-UHFFFAOYSA-N 0 0 277.280 2.835 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CCC1CC1 ZINC000154144523 378542720 /nfs/dbraw/zinc/54/27/20/378542720.db2.gz URTKDBSTBZYJIC-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN CSC[C@H]1CCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000154180157 378545996 /nfs/dbraw/zinc/54/59/96/378545996.db2.gz QNGGYXGWEPNKPH-SECBINFHSA-N 0 0 287.772 2.975 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NCc1cn2cccnc2n1 ZINC000154303160 378555415 /nfs/dbraw/zinc/55/54/15/378555415.db2.gz CFIJAHDCCVWVDE-UHFFFAOYSA-N 0 0 297.318 2.866 20 5 CFBDRN CSC[C@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000330444189 378557274 /nfs/dbraw/zinc/55/72/74/378557274.db2.gz GJOBZKCBSGQWBM-NSHDSACASA-N 0 0 296.392 2.875 20 5 CFBDRN C[C@H]1C[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C(C)(C)C1 ZINC000330457494 378560802 /nfs/dbraw/zinc/56/08/02/378560802.db2.gz QLHCKIRBPOVQKU-SNVBAGLBSA-N 0 0 264.325 2.921 20 5 CFBDRN CC[C@H]1CO[C@@H](C)CN1Cc1cccc([N+](=O)[O-])c1C ZINC000330461080 378561868 /nfs/dbraw/zinc/56/18/68/378561868.db2.gz WMWAXYXWRXTFBK-FZMZJTMJSA-N 0 0 278.352 2.903 20 5 CFBDRN CC1(C)CCN(CC(=O)Nc2ccccc2[N+](=O)[O-])CC1 ZINC000330464820 378564254 /nfs/dbraw/zinc/56/42/54/378564254.db2.gz VXRXCOKVWTULIL-UHFFFAOYSA-N 0 0 291.351 2.655 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H]3CCC[C@@H]3C2)c1 ZINC000154490216 378571178 /nfs/dbraw/zinc/57/11/78/378571178.db2.gz PVGBWHUNUPKQPR-VXGBXAGGSA-N 0 0 274.320 2.775 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCOC2(C1)CCCCCC2 ZINC000154530284 378576769 /nfs/dbraw/zinc/57/67/69/378576769.db2.gz VNBAEBHZIFQVPG-UHFFFAOYSA-N 0 0 291.351 2.919 20 5 CFBDRN COc1c(C(=O)N2CCC[C@@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000331075359 378626397 /nfs/dbraw/zinc/62/63/97/378626397.db2.gz VRMGEMYGKCDENU-GFCCVEGCSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000331097501 378632649 /nfs/dbraw/zinc/63/26/49/378632649.db2.gz CXQKXHWGNLTOGS-GWCFXTLKSA-N 0 0 294.376 2.976 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CC[C@H](C)[C@@H]1C ZINC000331122039 378637220 /nfs/dbraw/zinc/63/72/20/378637220.db2.gz PCTPYDFQRPFZLO-JQWIXIFHSA-N 0 0 291.351 2.572 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCC[C@@H]2CCCO2)n1 ZINC000330952925 378602891 /nfs/dbraw/zinc/60/28/91/378602891.db2.gz ITIMJBHYASDISG-NSHDSACASA-N 0 0 266.297 2.555 20 5 CFBDRN CCC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c[nH]2)C1 ZINC000330955542 378603506 /nfs/dbraw/zinc/60/35/06/378603506.db2.gz UEGXKVLKKANTET-JTQLQIEISA-N 0 0 265.313 2.575 20 5 CFBDRN CCOc1cc(CN2CCOC[C@H]2CC)ccc1[N+](=O)[O-] ZINC000331160046 378641919 /nfs/dbraw/zinc/64/19/19/378641919.db2.gz KQYUSWHCWPBPKV-CYBMUJFWSA-N 0 0 294.351 2.604 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2OC)C1 ZINC000331038736 378618825 /nfs/dbraw/zinc/61/88/25/378618825.db2.gz PCKNCFKDEMXDNQ-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN CCCN(C(=O)[C@H]1CO[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000331261355 378660046 /nfs/dbraw/zinc/66/00/46/378660046.db2.gz CAOHLZDFJGXBQJ-NWDGAFQWSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C1CCC(=O)CC1 ZINC000331291846 378665481 /nfs/dbraw/zinc/66/54/81/378665481.db2.gz KZRLGFRBAAJCJR-UHFFFAOYSA-N 0 0 290.319 2.909 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@H]1CCCC[C@@H]1O ZINC000331359539 378678196 /nfs/dbraw/zinc/67/81/96/378678196.db2.gz WPZBKILFHOEGMC-KOLCDFICSA-N 0 0 268.338 2.633 20 5 CFBDRN CCCCc1ccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])cc1 ZINC000159015675 378679675 /nfs/dbraw/zinc/67/96/75/378679675.db2.gz BFJFPVJRWDUNQJ-UHFFFAOYSA-N 0 0 288.307 2.913 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NCCC(C)(C)C)c1[N+](=O)[O-] ZINC000331203124 378648683 /nfs/dbraw/zinc/64/86/83/378648683.db2.gz BSFDGMAPLUYSMY-UHFFFAOYSA-N 0 0 282.344 2.607 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000331496484 378696741 /nfs/dbraw/zinc/69/67/41/378696741.db2.gz RTVPBCGIIBRUOD-VHSXEESVSA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1cc(C(=O)NCC2(C3CC3)CC2)cc([N+](=O)[O-])c1 ZINC000159880815 378735974 /nfs/dbraw/zinc/73/59/74/378735974.db2.gz ALWUNKQJYRTBRW-UHFFFAOYSA-N 0 0 274.320 2.823 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2CCC[C@H]2C1)c1ccc([N+](=O)[O-])[nH]1 ZINC000332228096 378737997 /nfs/dbraw/zinc/73/79/97/378737997.db2.gz LDBTYAIHNIHVAP-GARJFASQSA-N 0 0 277.324 2.622 20 5 CFBDRN Cc1cc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)ccn1 ZINC000279010871 291702222 /nfs/dbraw/zinc/70/22/22/291702222.db2.gz KWJYXXHWBZYZIQ-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN COc1cc(NC[C@H]2C[C@H]2C)c([N+](=O)[O-])cc1OC ZINC000159407899 378706536 /nfs/dbraw/zinc/70/65/36/378706536.db2.gz ALTUGZWNDOYDCJ-RKDXNWHRSA-N 0 0 266.297 2.680 20 5 CFBDRN C[C@@H]1CCN(Cc2c([N+](=O)[O-])ncn2C)c2ccccc21 ZINC000332027130 378719477 /nfs/dbraw/zinc/71/94/77/378719477.db2.gz UHZGGPLQKUDPGE-LLVKDONJSA-N 0 0 286.335 2.842 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H]2C(C)C)c1 ZINC000332040077 378720827 /nfs/dbraw/zinc/72/08/27/378720827.db2.gz XOVYHFJOFUXOFJ-CYBMUJFWSA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000334231569 297363395 /nfs/dbraw/zinc/36/33/95/297363395.db2.gz LNPQWYOXRLWIOL-VHSXEESVSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(C)C1 ZINC000332507154 378770988 /nfs/dbraw/zinc/77/09/88/378770988.db2.gz YUTDERSHNJZMHC-JTQLQIEISA-N 0 0 280.349 2.554 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000332504472 378771372 /nfs/dbraw/zinc/77/13/72/378771372.db2.gz XSWDYDZVXDXLJF-CQSZACIVSA-N 0 0 276.336 2.784 20 5 CFBDRN CCCN(CC1CC1)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000160829940 378801549 /nfs/dbraw/zinc/80/15/49/378801549.db2.gz BIZCNSDDUUDJRO-UHFFFAOYSA-N 0 0 280.299 2.996 20 5 CFBDRN CC(C)(C)C[C@H](CO)Nc1ccc([N+](=O)[O-])cc1F ZINC000161432437 378846306 /nfs/dbraw/zinc/84/63/06/378846306.db2.gz HRLRIIBFHZMEJP-SECBINFHSA-N 0 0 270.304 2.943 20 5 CFBDRN CCCn1c(C)nnc1CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000161575991 378858078 /nfs/dbraw/zinc/85/80/78/378858078.db2.gz WYVQVOXRVRRUGX-UHFFFAOYSA-N 0 0 293.302 2.656 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1CC[C@H]2CCC[C@@H]21 ZINC000334210736 378930221 /nfs/dbraw/zinc/93/02/21/378930221.db2.gz OGNJKRRZQIPONT-YPMHNXCESA-N 0 0 274.320 2.538 20 5 CFBDRN CC(C)c1cc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)no1 ZINC000162423043 378911046 /nfs/dbraw/zinc/91/10/46/378911046.db2.gz CLIGTFRRCVKVER-UHFFFAOYSA-N 0 0 289.291 2.983 20 5 CFBDRN CC(C)OCCCN(C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278574950 194051514 /nfs/dbraw/zinc/05/15/14/194051514.db2.gz XSUVMBALRRMEOC-UHFFFAOYSA-N 0 0 292.339 2.722 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)[C@H]2CCC[C@@H]21 ZINC000334253419 378986360 /nfs/dbraw/zinc/98/63/60/378986360.db2.gz LZZLKIFYJTVIIZ-RYUDHWBXSA-N 0 0 291.351 2.584 20 5 CFBDRN O=C(c1ccncc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000163749062 378989438 /nfs/dbraw/zinc/98/94/38/378989438.db2.gz XZDNKRAEBAFDEC-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN CC[C@@H](CSC)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000163799571 378991233 /nfs/dbraw/zinc/99/12/33/378991233.db2.gz WQGKVLNKPPCXCK-ZETCQYMHSA-N 0 0 286.382 2.858 20 5 CFBDRN Cc1ccc(NC(=O)c2cc(F)c[nH]2)cc1[N+](=O)[O-] ZINC000334268141 379005504 /nfs/dbraw/zinc/00/55/04/379005504.db2.gz PJQDQZPFWABFKS-UHFFFAOYSA-N 0 0 263.228 2.623 20 5 CFBDRN C[C@@H](Nc1c(Cl)cccc1[N+](=O)[O-])[C@H](C)CO ZINC000167223931 379068454 /nfs/dbraw/zinc/06/84/54/379068454.db2.gz LBJSTNAVECQBSW-HTQZYQBOSA-N 0 0 258.705 2.677 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N(C[C@H]2CCOC2)C2CC2)c(F)c1 ZINC000167530749 379073240 /nfs/dbraw/zinc/07/32/40/379073240.db2.gz AXBJCVMNCFDWBB-SECBINFHSA-N 0 0 298.289 2.878 20 5 CFBDRN C[C@H]1CC[C@H](N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000334302533 379061141 /nfs/dbraw/zinc/06/11/41/379061141.db2.gz FLRKUDSGKCGDDY-CABZTGNLSA-N 0 0 280.299 2.995 20 5 CFBDRN CC1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000168992363 379102982 /nfs/dbraw/zinc/10/29/82/379102982.db2.gz WYTMSBLXCHUBMD-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCC(C)(C)O1)c1ccccc1[N+](=O)[O-] ZINC000278732009 194128668 /nfs/dbraw/zinc/12/86/68/194128668.db2.gz KYZXRQKJFJCMOT-ZWNOBZJWSA-N 0 0 292.335 2.730 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CCc2cc(F)ccc21 ZINC000334323408 379093820 /nfs/dbraw/zinc/09/38/20/379093820.db2.gz ZHDHAYCXKXBCSR-UHFFFAOYSA-N 0 0 292.291 2.998 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1CC1CCC(F)(F)CC1 ZINC000335342202 379123818 /nfs/dbraw/zinc/12/38/18/379123818.db2.gz QYLGYNIGGFSGCA-UHFFFAOYSA-N 0 0 290.241 2.721 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1nnc(C(F)F)o1 ZINC000278747099 194135127 /nfs/dbraw/zinc/13/51/27/194135127.db2.gz RPTIMXNWNRJTPQ-UHFFFAOYSA-N 0 0 284.222 2.552 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@H]2C(F)F)cc([N+](=O)[O-])c1 ZINC000335351639 379139534 /nfs/dbraw/zinc/13/95/34/379139534.db2.gz ZIZHEWJZJSTCPV-LLVKDONJSA-N 0 0 284.262 2.773 20 5 CFBDRN Cc1ccc(OCc2nnc(C(C)C)o2)c([N+](=O)[O-])c1 ZINC000170697506 379144548 /nfs/dbraw/zinc/14/45/48/379144548.db2.gz BYYVNMMTAPIKCM-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000334368092 379172020 /nfs/dbraw/zinc/17/20/20/379172020.db2.gz RYEZWDDZVYVWJY-VIFPVBQESA-N 0 0 254.311 2.527 20 5 CFBDRN CC(=O)OCCCSCCOc1ccc([N+](=O)[O-])cc1 ZINC000278786863 194155938 /nfs/dbraw/zinc/15/59/38/194155938.db2.gz ZSAYGUXDPNMPFV-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCS[C@H](C)C1 ZINC000170902522 379189644 /nfs/dbraw/zinc/18/96/44/379189644.db2.gz RIMCETLQIMMVEU-SNVBAGLBSA-N 0 0 295.364 2.872 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2ccc(C)cc2C)c1[N+](=O)[O-] ZINC000171366780 379290697 /nfs/dbraw/zinc/29/06/97/379290697.db2.gz MTPQVGQYNZYILV-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000334415499 379258986 /nfs/dbraw/zinc/25/89/86/379258986.db2.gz PSERSLMGWKNLQU-AAEUAGOBSA-N 0 0 291.351 2.728 20 5 CFBDRN Cc1sc(C(=O)N(C)C(C)(C)C)cc1[N+](=O)[O-] ZINC000335421231 379264519 /nfs/dbraw/zinc/26/45/19/379264519.db2.gz WKZVPSNBRAXAKV-UHFFFAOYSA-N 0 0 256.327 2.835 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000335430322 379282888 /nfs/dbraw/zinc/28/28/88/379282888.db2.gz FCVGAJHIWSPYAQ-AWEZNQCLSA-N 0 0 262.309 2.857 20 5 CFBDRN C[C@H]1CCC(C)(C)N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000335459632 379331676 /nfs/dbraw/zinc/33/16/76/379331676.db2.gz JYEDXJKQYFYOFO-QMMMGPOBSA-N 0 0 252.270 2.591 20 5 CFBDRN Cn1c(C(=O)N2CCC(C(C)(C)C)CC2)ccc1[N+](=O)[O-] ZINC000334462187 379342216 /nfs/dbraw/zinc/34/22/16/379342216.db2.gz QFNLJNOQLWVKKS-UHFFFAOYSA-N 0 0 293.367 2.832 20 5 CFBDRN CCCCCC[C@@H](C)NC(=O)c1[nH]nc(CC)c1[N+](=O)[O-] ZINC000171398738 379299756 /nfs/dbraw/zinc/29/97/56/379299756.db2.gz HNHYXSDFKKOBMB-SNVBAGLBSA-N 0 0 296.371 2.969 20 5 CFBDRN Cc1ncsc1CN(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000171688199 379373724 /nfs/dbraw/zinc/37/37/24/379373724.db2.gz SDKMLCGJVXXSCX-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)c1 ZINC000334493679 379388694 /nfs/dbraw/zinc/38/86/94/379388694.db2.gz YKFXKEOQZHWJCD-UHFFFAOYSA-N 0 0 259.265 2.508 20 5 CFBDRN CC(C)[C@H](C)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000171912427 379435422 /nfs/dbraw/zinc/43/54/22/379435422.db2.gz PRVYQTGSWBOBAP-QMMMGPOBSA-N 0 0 254.261 2.965 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCC12CCC2 ZINC000335521414 379445517 /nfs/dbraw/zinc/44/55/17/379445517.db2.gz SLKUENHNPSBIQH-UHFFFAOYSA-N 0 0 274.320 2.682 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000334505493 379412502 /nfs/dbraw/zinc/41/25/02/379412502.db2.gz SOKFOMMPVMZFFH-WDEREUQCSA-N 0 0 279.340 2.584 20 5 CFBDRN COC[C@@H]1CCN(c2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000432329109 383738212 /nfs/dbraw/zinc/73/82/12/383738212.db2.gz ZQARFXNDJQYNBW-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@]1(C)C[C@H]1F ZINC000335609064 379598166 /nfs/dbraw/zinc/59/81/66/379598166.db2.gz GMRQOMJDOMCXAP-ZYHUDNBSSA-N 0 0 252.245 2.590 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CC=CCC1 ZINC000172481079 379577016 /nfs/dbraw/zinc/57/70/16/379577016.db2.gz SLTKMXICEIHART-CYBMUJFWSA-N 0 0 289.335 2.845 20 5 CFBDRN C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2F)[C@@H]1C ZINC000172635193 379610702 /nfs/dbraw/zinc/61/07/02/379610702.db2.gz XQWWLTHWDZOTDH-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](C)[C@@H]2C)c1 ZINC000172661596 379618342 /nfs/dbraw/zinc/61/83/42/379618342.db2.gz CABRXVWEINALLB-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN C[C@@H]1CCCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@@H]1C ZINC000172663192 379618427 /nfs/dbraw/zinc/61/84/27/379618427.db2.gz YHSXFTGHHUMORW-VXGBXAGGSA-N 0 0 292.335 2.621 20 5 CFBDRN CCCCN(CCOC)C(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000173006176 379684960 /nfs/dbraw/zinc/68/49/60/379684960.db2.gz XFYFFOBSAKHYKU-UHFFFAOYSA-N 0 0 294.351 2.792 20 5 CFBDRN CC[C@H]1CCCN(C(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000173077972 379693928 /nfs/dbraw/zinc/69/39/28/379693928.db2.gz VEXBECOBARQGRS-LBPRGKRZSA-N 0 0 276.336 2.786 20 5 CFBDRN C[C@@H]1CCCN1C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000173123001 379699429 /nfs/dbraw/zinc/69/94/29/379699429.db2.gz KSPJMCLPGGIZQL-SNVBAGLBSA-N 0 0 289.335 2.794 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NC[C@@H]1CC=CCC1 ZINC000172893322 379665278 /nfs/dbraw/zinc/66/52/78/379665278.db2.gz FDNZDHHARWSJLW-GFCCVEGCSA-N 0 0 274.320 2.610 20 5 CFBDRN C[C@@H]1CCCN(C(=O)Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000172904241 379668305 /nfs/dbraw/zinc/66/83/05/379668305.db2.gz CSRGIWHAPXABJO-GFCCVEGCSA-N 0 0 276.336 2.786 20 5 CFBDRN O=C(CC1CCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000173295814 379722999 /nfs/dbraw/zinc/72/29/99/379722999.db2.gz SVZXDMVOBUDHHE-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000335687832 379724262 /nfs/dbraw/zinc/72/42/62/379724262.db2.gz ROZSOYVYDRPLPY-HTQZYQBOSA-N 0 0 254.311 2.527 20 5 CFBDRN CC(C)N(C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000335688853 379725455 /nfs/dbraw/zinc/72/54/55/379725455.db2.gz GGLCRIJEIGQAMC-UHFFFAOYSA-N 0 0 255.249 2.606 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@H]1C1CC1 ZINC000334669411 379741112 /nfs/dbraw/zinc/74/11/12/379741112.db2.gz KMMFNTMAAJQWMX-AWEZNQCLSA-N 0 0 285.303 2.701 20 5 CFBDRN C[C@H]1C[C@@H]1CC(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000335676870 379706294 /nfs/dbraw/zinc/70/62/94/379706294.db2.gz WQYDCCFIWDBYCO-VHSXEESVSA-N 0 0 260.293 2.530 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@@H](C)S[C@@H](C)C2)c1[N+](=O)[O-] ZINC000335726097 379789297 /nfs/dbraw/zinc/78/92/97/379789297.db2.gz FNJUQECKMRVOJU-PHIMTYICSA-N 0 0 294.376 2.869 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC[C@H](F)C1 ZINC000334703378 379795222 /nfs/dbraw/zinc/79/52/22/379795222.db2.gz UQSUMRJDSRSUMH-JTQLQIEISA-N 0 0 258.680 2.792 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1C[C@@H]2CCC[C@H]2C1 ZINC000335731365 379798055 /nfs/dbraw/zinc/79/80/55/379798055.db2.gz VRQQNXPTXKKTEW-UWVGGRQHSA-N 0 0 278.283 2.606 20 5 CFBDRN O=C(N[C@@H]1CCCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000335732766 379799824 /nfs/dbraw/zinc/79/98/24/379799824.db2.gz XSHODQZMIFEZHF-SNVBAGLBSA-N 0 0 284.262 2.903 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC(OCC(C)C)CC1 ZINC000264442813 383740242 /nfs/dbraw/zinc/74/02/42/383740242.db2.gz GILRKRIIXSCUMZ-UHFFFAOYSA-N 0 0 293.367 2.940 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CCC[C@H]1F ZINC000334690384 379772857 /nfs/dbraw/zinc/77/28/57/379772857.db2.gz REDIZUWASLIAFY-PWSUYJOCSA-N 0 0 281.287 2.915 20 5 CFBDRN COC(C)(C)C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000173825588 379784705 /nfs/dbraw/zinc/78/47/05/379784705.db2.gz JNNHWJQDQOIZBR-NSHDSACASA-N 0 0 294.351 2.837 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000335775126 379869408 /nfs/dbraw/zinc/86/94/08/379869408.db2.gz RRWTYFFCZGFGKK-LBPRGKRZSA-N 0 0 262.309 2.918 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]2CCC[C@@H]21 ZINC000174326835 379865024 /nfs/dbraw/zinc/86/50/24/379865024.db2.gz SFUMLVSQBDTXGO-HIFRSBDPSA-N 0 0 288.347 2.928 20 5 CFBDRN CCc1ccc(C(=O)N2[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000335793046 379897476 /nfs/dbraw/zinc/89/74/76/379897476.db2.gz WTGVSMIPWKBBQV-NXEZZACHSA-N 0 0 262.309 2.780 20 5 CFBDRN CCC1(NC(=O)c2csc([N+](=O)[O-])c2)CCC1 ZINC000174927670 379963275 /nfs/dbraw/zinc/96/32/75/379963275.db2.gz SFKRQGHMWCGIBW-UHFFFAOYSA-N 0 0 254.311 2.719 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000174960655 379970059 /nfs/dbraw/zinc/97/00/59/379970059.db2.gz IQNWIGBPNANFPP-ZDUSSCGKSA-N 0 0 292.335 2.669 20 5 CFBDRN CO[C@]1(C)C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1(C)C ZINC000174832347 379950066 /nfs/dbraw/zinc/95/00/66/379950066.db2.gz QKUBZRTZRDOXEO-IUODEOHRSA-N 0 0 292.335 2.528 20 5 CFBDRN CCOc1cc(N(C)C[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000174915319 379961273 /nfs/dbraw/zinc/96/12/73/379961273.db2.gz NLIUORHEYUXRQS-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN CN(Cc1nccs1)Cc1ccc([N+](=O)[O-])cc1 ZINC000175049487 379984623 /nfs/dbraw/zinc/98/46/23/379984623.db2.gz VRQAOUDFRNXWNJ-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN Cc1ccc(N2CCCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000175082469 379991783 /nfs/dbraw/zinc/99/17/83/379991783.db2.gz DSPSYIUFMZAFRK-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCCO[C@@H](C)C1 ZINC000175166237 380012007 /nfs/dbraw/zinc/01/20/07/380012007.db2.gz BWAALWVAJWJGCG-LBPRGKRZSA-N 0 0 294.351 2.604 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000335932867 380121862 /nfs/dbraw/zinc/12/18/62/380121862.db2.gz ROVDLYNJUXTTSU-VIFPVBQESA-N 0 0 268.338 2.979 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)NCc2cccc([N+](=O)[O-])c2)O1 ZINC000175749275 380125569 /nfs/dbraw/zinc/12/55/69/380125569.db2.gz OQMTZOFWADPFFK-SMDDNHRTSA-N 0 0 292.335 2.559 20 5 CFBDRN O=C(N[C@@H]1CC[C@H](F)C1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000335957810 380160783 /nfs/dbraw/zinc/16/07/83/380160783.db2.gz YJKYYRANNAIGGG-DTWKUNHWSA-N 0 0 286.690 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@H]1C ZINC000335961412 380164721 /nfs/dbraw/zinc/16/47/21/380164721.db2.gz YEIUAXFFSSIHCQ-KCJUWKMLSA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CC[C@@H]1C ZINC000335961413 380165305 /nfs/dbraw/zinc/16/53/05/380165305.db2.gz YEIUAXFFSSIHCQ-KWQFWETISA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1cc(C(=O)N2C[C@H]3CCC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000152843323 291746421 /nfs/dbraw/zinc/74/64/21/291746421.db2.gz HFKXYUXOEOEVPE-CHWSQXEVSA-N 0 0 274.320 2.775 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cc(C)cc([N+](=O)[O-])c1N ZINC000175937002 380158344 /nfs/dbraw/zinc/15/83/44/380158344.db2.gz BUZCRVBTBOMDGZ-UHFFFAOYSA-N 0 0 279.340 2.604 20 5 CFBDRN CC[C@@H](C)CN(CC)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000176656912 380260986 /nfs/dbraw/zinc/26/09/86/380260986.db2.gz XXTVKRAJLVZEFS-GFCCVEGCSA-N 0 0 294.351 2.868 20 5 CFBDRN CC(=O)CS[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000176748267 380269535 /nfs/dbraw/zinc/26/95/35/380269535.db2.gz QYMAZDAHKAFEDQ-JTQLQIEISA-N 0 0 296.348 2.552 20 5 CFBDRN Cc1sc(C(=O)N2C[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000336014956 380239652 /nfs/dbraw/zinc/23/96/52/380239652.db2.gz FKZAQOPIDQGXKF-JGVFFNPUSA-N 0 0 268.338 2.835 20 5 CFBDRN COC(=O)[C@@H](C)SCc1c(F)cccc1[N+](=O)[O-] ZINC000177237823 380320749 /nfs/dbraw/zinc/32/07/49/380320749.db2.gz MWNMQSOFNDLQKL-SSDOTTSWSA-N 0 0 273.285 2.529 20 5 CFBDRN O=C([C@@H]1C[C@@H]1C1CC1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000177352648 380332820 /nfs/dbraw/zinc/33/28/20/380332820.db2.gz WIWYPWGCUUALPG-ZIAGYGMSSA-N 0 0 286.331 2.920 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@H]1CCC2(CCCC2)O1 ZINC000571980224 383744291 /nfs/dbraw/zinc/74/42/91/383744291.db2.gz LHLKRLQTCWTVTN-GFCCVEGCSA-N 0 0 292.335 2.557 20 5 CFBDRN CCN(CC)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000279073783 194273744 /nfs/dbraw/zinc/27/37/44/194273744.db2.gz GGTDGYKRHSDDMT-UHFFFAOYSA-N 0 0 288.706 2.798 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@H]2CCCC[C@@H]2C1 ZINC000178266468 380429280 /nfs/dbraw/zinc/42/92/80/380429280.db2.gz QRNZKWNJVDPMIC-NXEZZACHSA-N 0 0 280.349 2.919 20 5 CFBDRN O=C(NCC1CC1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000178285076 380430265 /nfs/dbraw/zinc/43/02/65/380430265.db2.gz LGHRDFBJPIQWTR-UHFFFAOYSA-N 0 0 289.335 2.679 20 5 CFBDRN C[C@H](NC(=O)N1CCC(F)(F)C1)c1ccccc1[N+](=O)[O-] ZINC000336575159 380490954 /nfs/dbraw/zinc/49/09/54/380490954.db2.gz GWIBZYICRJPEST-VIFPVBQESA-N 0 0 299.277 2.706 20 5 CFBDRN CN(CCC1CC1)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000179067707 380507116 /nfs/dbraw/zinc/50/71/16/380507116.db2.gz ADGPYYAPYSLSRX-UHFFFAOYSA-N 0 0 277.324 2.536 20 5 CFBDRN CC(=O)OCCCSc1ccc(C)cc1[N+](=O)[O-] ZINC000279136606 194300967 /nfs/dbraw/zinc/30/09/67/194300967.db2.gz ROWLUUYSBRGIRH-UHFFFAOYSA-N 0 0 269.322 2.949 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC[C@H](O)C(C)(C)C ZINC000336786155 380631348 /nfs/dbraw/zinc/63/13/48/380631348.db2.gz HGGQRLMPAAIAEW-NSHDSACASA-N 0 0 253.298 2.689 20 5 CFBDRN Cc1cc(N[C@@H](C)C[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000336793492 380641805 /nfs/dbraw/zinc/64/18/05/380641805.db2.gz WXNYQUBYGKRVIM-IUCAKERBSA-N 0 0 256.277 2.614 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCSC2)ncc1[N+](=O)[O-] ZINC000288427811 197076060 /nfs/dbraw/zinc/07/60/60/197076060.db2.gz HSCQFRYMIXALDN-JTQLQIEISA-N 0 0 267.354 2.853 20 5 CFBDRN Cc1nc(C)c(CNc2ncc([N+](=O)[O-])cc2Cl)o1 ZINC000336818462 380682201 /nfs/dbraw/zinc/68/22/01/380682201.db2.gz VQLLTUMYYGPUMY-UHFFFAOYSA-N 0 0 282.687 2.860 20 5 CFBDRN CC1(C)CCC(Cn2cc([N+](=O)[O-])ccc2=O)CC1 ZINC000180427872 380656392 /nfs/dbraw/zinc/65/63/92/380656392.db2.gz LNFFAOOGXOYPHR-UHFFFAOYSA-N 0 0 264.325 2.973 20 5 CFBDRN CC1(C)CCC(Cn2cccc([N+](=O)[O-])c2=O)CC1 ZINC000180436320 380661118 /nfs/dbraw/zinc/66/11/18/380661118.db2.gz VOLNTSSVBNSKHL-UHFFFAOYSA-N 0 0 264.325 2.973 20 5 CFBDRN CC[C@@H](CSC)NC(=O)c1csc([N+](=O)[O-])c1 ZINC000181206255 380758240 /nfs/dbraw/zinc/75/82/40/380758240.db2.gz XTJPGIMXCIPAOM-QMMMGPOBSA-N 0 0 274.367 2.528 20 5 CFBDRN Cc1c([C@H](C)Nc2ncc([N+](=O)[O-])cc2C)cnn1C ZINC000180974470 380719512 /nfs/dbraw/zinc/71/95/12/380719512.db2.gz LDQRUAXLJIJYPX-VIFPVBQESA-N 0 0 275.312 2.513 20 5 CFBDRN CC[C@@H](C)[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000180984075 380720934 /nfs/dbraw/zinc/72/09/34/380720934.db2.gz GTJALGFJPNPYLS-BDAKNGLRSA-N 0 0 265.313 2.919 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000180984056 380720994 /nfs/dbraw/zinc/72/09/94/380720994.db2.gz GTJALGFJPNPYLS-DTWKUNHWSA-N 0 0 265.313 2.919 20 5 CFBDRN CC[C@H](CSC)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000181157805 380748744 /nfs/dbraw/zinc/74/87/44/380748744.db2.gz XXZXAIQEBBDKQL-GFCCVEGCSA-N 0 0 296.392 2.704 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H](C)CF ZINC000287340519 380808269 /nfs/dbraw/zinc/80/82/69/380808269.db2.gz PIFXLGSNEARQEC-SECBINFHSA-N 0 0 269.276 2.691 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)C[C@@H](O)C(F)(F)F ZINC000341169418 380812788 /nfs/dbraw/zinc/81/27/88/380812788.db2.gz WZOXORTWDZFVBZ-LDYMZIIASA-N 0 0 292.257 2.511 20 5 CFBDRN CCCCn1cc(CNc2ccc([N+](=O)[O-])nc2)c(C)n1 ZINC000358465773 380862858 /nfs/dbraw/zinc/86/28/58/380862858.db2.gz VFLUYLWIITUCFA-UHFFFAOYSA-N 0 0 289.339 2.907 20 5 CFBDRN O=C(CCc1cncc(F)c1)Nc1cccc([N+](=O)[O-])c1 ZINC000181682123 380864166 /nfs/dbraw/zinc/86/41/66/380864166.db2.gz ORTMRKFFLAALCG-UHFFFAOYSA-N 0 0 289.266 2.700 20 5 CFBDRN Cc1ccsc1CCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000358488584 380877321 /nfs/dbraw/zinc/87/73/21/380877321.db2.gz IPCYKVPVXSTSBO-UHFFFAOYSA-N 0 0 296.373 2.999 20 5 CFBDRN CC[C@](C)(OC)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295431821 380851325 /nfs/dbraw/zinc/85/13/25/380851325.db2.gz UVNBKSFMOKSGSJ-HZMBPMFUSA-N 0 0 280.324 2.587 20 5 CFBDRN CC(F)(F)CCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000295444878 380860243 /nfs/dbraw/zinc/86/02/43/380860243.db2.gz FWAXWMDURNDEQM-UHFFFAOYSA-N 0 0 272.251 2.760 20 5 CFBDRN CC[C@@H](C)OCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000295450094 380861422 /nfs/dbraw/zinc/86/14/22/380861422.db2.gz WNTYKHHZVXBMDF-MNOVXSKESA-N 0 0 280.324 2.587 20 5 CFBDRN Cc1cc(C(=O)NCc2ccc([N+](=O)[O-])cc2)ccc1O ZINC000353884603 380928414 /nfs/dbraw/zinc/92/84/14/380928414.db2.gz ZNROOXZNIHCBJK-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C[N@@H+]1C[C@H]2CCCC[C@H]21 ZINC000368011792 380883041 /nfs/dbraw/zinc/88/30/41/380883041.db2.gz JPZCMPZLODQONR-BXUZGUMPSA-N 0 0 276.336 2.978 20 5 CFBDRN Cc1nn([C@@H](C)c2cc([N+](=O)[O-])ccc2C)cc1[N+](=O)[O-] ZINC000181826692 380907778 /nfs/dbraw/zinc/90/77/78/380907778.db2.gz ZRZSFFSWCKXHTO-JTQLQIEISA-N 0 0 290.279 2.926 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCC[C@H](F)C1)CCN2 ZINC000408226060 380918066 /nfs/dbraw/zinc/91/80/66/380918066.db2.gz LSPINVFEZVEZBQ-VIFPVBQESA-N 0 0 265.288 2.501 20 5 CFBDRN Cc1nnc(COc2ccc(Cl)cc2[N+](=O)[O-])s1 ZINC000358558280 380924831 /nfs/dbraw/zinc/92/48/31/380924831.db2.gz XISMXMMVHNIIKE-UHFFFAOYSA-N 0 0 285.712 2.987 20 5 CFBDRN CC(C)OCCCC(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000181959739 380950162 /nfs/dbraw/zinc/95/01/62/380950162.db2.gz FDLJKVPWFXSEMW-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(C2CC2)C2COC2)c(Cl)c1 ZINC000295574005 380950418 /nfs/dbraw/zinc/95/04/18/380950418.db2.gz AWCCPWUHAMEJLV-UHFFFAOYSA-N 0 0 282.727 2.611 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCc2cncc(F)c2)c1 ZINC000341346409 380952936 /nfs/dbraw/zinc/95/29/36/380952936.db2.gz GFNRUAXXBXIUHG-UHFFFAOYSA-N 0 0 266.203 2.847 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)Nc1cnn(C)c1 ZINC000353916718 380954836 /nfs/dbraw/zinc/95/48/36/380954836.db2.gz XMPWFEOUBIVDNY-UHFFFAOYSA-N 0 0 289.295 2.535 20 5 CFBDRN Cc1cnc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1C ZINC000341347143 380954812 /nfs/dbraw/zinc/95/48/12/380954812.db2.gz KAFDGBHFETZLMW-GFCCVEGCSA-N 0 0 288.351 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@H]2OC(F)F)cn1 ZINC000409540403 380963860 /nfs/dbraw/zinc/96/38/60/380963860.db2.gz BUBZWIZYSRKPBY-NXEZZACHSA-N 0 0 287.266 2.952 20 5 CFBDRN CC[C@H](NCc1ncc(C)n1C)c1cccc([N+](=O)[O-])c1 ZINC000341371157 380971935 /nfs/dbraw/zinc/97/19/35/380971935.db2.gz ZPFGHANPECZARX-AWEZNQCLSA-N 0 0 288.351 2.878 20 5 CFBDRN COc1c(-c2nc(C(C)(C)OC)no2)cccc1[N+](=O)[O-] ZINC000347169163 380982033 /nfs/dbraw/zinc/98/20/33/380982033.db2.gz CYHQBMJYDITEII-UHFFFAOYSA-N 0 0 293.279 2.535 20 5 CFBDRN CCOc1cc(O)ccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000295553162 380937618 /nfs/dbraw/zinc/93/76/18/380937618.db2.gz XHKGZPOYKCUJFO-UHFFFAOYSA-N 0 0 296.323 2.565 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NOC(C)C)cc1[N+](=O)[O-] ZINC000295558610 380940601 /nfs/dbraw/zinc/94/06/01/380940601.db2.gz MQTQCGVUKWSCLD-UHFFFAOYSA-N 0 0 272.688 2.626 20 5 CFBDRN CC[C@]1(C)C[C@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000341332734 380941757 /nfs/dbraw/zinc/94/17/57/380941757.db2.gz WWFHLPYTHXUKCG-SWLSCSKDSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H]1COCC[C@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000347105491 380942406 /nfs/dbraw/zinc/94/24/06/380942406.db2.gz HFRXRBONNSSGSH-JGVFFNPUSA-N 0 0 295.320 2.846 20 5 CFBDRN C[C@@H]1COCC[C@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000347105490 380943109 /nfs/dbraw/zinc/94/31/09/380943109.db2.gz HFRXRBONNSSGSH-HTQZYQBOSA-N 0 0 295.320 2.846 20 5 CFBDRN Nc1ccc(NCC2(CO)CC3(CCC3)C2)c([N+](=O)[O-])c1 ZINC000287806531 380945441 /nfs/dbraw/zinc/94/54/41/380945441.db2.gz PPMBYQKGJGIWRT-UHFFFAOYSA-N 0 0 291.351 2.532 20 5 CFBDRN Cc1nn(C)c(NC(=O)c2ccc([N+](=O)[O-])cc2)c1Cl ZINC000000165152 169560138 /nfs/dbraw/zinc/56/01/38/169560138.db2.gz WRVGNXJTZAJSTB-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCOC(C)(C)[C@@H]2C)c1 ZINC000358680685 381011504 /nfs/dbraw/zinc/01/15/04/381011504.db2.gz BPJGHMVJTMCGDH-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Sc1n[nH]c(C2CC2)n1 ZINC000341431898 381012121 /nfs/dbraw/zinc/01/21/21/381012121.db2.gz MXRZQVIRWOSFPG-UHFFFAOYSA-N 0 0 280.284 2.881 20 5 CFBDRN O=[N+]([O-])c1cccc(/C=C\c2nc([C@H]3CCOC3)no2)c1 ZINC000341436153 381013390 /nfs/dbraw/zinc/01/33/90/381013390.db2.gz SBTQOYNGHPASTR-WYGGZMRJSA-N 0 0 287.275 2.652 20 5 CFBDRN CC(C)(C)CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347233724 381017359 /nfs/dbraw/zinc/01/73/59/381017359.db2.gz NLRWSTOFPLUORV-UHFFFAOYSA-N 0 0 269.276 2.902 20 5 CFBDRN COCC(C)(C)CCCNc1ncc([N+](=O)[O-])c(C)n1 ZINC000295688838 381018058 /nfs/dbraw/zinc/01/80/58/381018058.db2.gz NQKNHNCFAXCQHZ-UHFFFAOYSA-N 0 0 282.344 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(N(CC(F)F)CC2CCC2)nc1 ZINC000341391775 380986746 /nfs/dbraw/zinc/98/67/46/380986746.db2.gz UNYCZHHRVCINDM-UHFFFAOYSA-N 0 0 271.267 2.861 20 5 CFBDRN CCc1ocnc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000347181185 380987828 /nfs/dbraw/zinc/98/78/28/380987828.db2.gz KILFDZILOMXXGV-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN Nc1nc(Nc2ccc(F)c(C3CC3)c2)ncc1[N+](=O)[O-] ZINC000341402924 380995118 /nfs/dbraw/zinc/99/51/18/380995118.db2.gz HDWLACNWYQKDLL-UHFFFAOYSA-N 0 0 289.270 2.557 20 5 CFBDRN CO[C@@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000182124530 380995939 /nfs/dbraw/zinc/99/59/39/380995939.db2.gz ZRGBTKZONRXRSB-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN O=C(N[C@@H](C1CC1)C(F)(F)F)c1ccc([N+](=O)[O-])s1 ZINC000288031291 380996117 /nfs/dbraw/zinc/99/61/17/380996117.db2.gz AMFHHVGXCYDQJX-QMMMGPOBSA-N 0 0 294.254 2.727 20 5 CFBDRN CC[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCCO1 ZINC000341409761 381000757 /nfs/dbraw/zinc/00/07/57/381000757.db2.gz OWMUQJZXKQYNPK-SNVBAGLBSA-N 0 0 285.731 2.649 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@]1(C)CCO[C@@H]1C1CC1 ZINC000368367300 381001620 /nfs/dbraw/zinc/00/16/20/381001620.db2.gz OCMIKDPLCSLZPD-UKRRQHHQSA-N 0 0 291.351 2.915 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@]1(C)CCO[C@@H]1C1CC1 ZINC000368367299 381002002 /nfs/dbraw/zinc/00/20/02/381002002.db2.gz OCMIKDPLCSLZPD-HIFRSBDPSA-N 0 0 291.351 2.915 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@H](C2CC2)C1 ZINC000368363816 381002209 /nfs/dbraw/zinc/00/22/09/381002209.db2.gz UEDRGFCCCNWIKF-AWEZNQCLSA-N 0 0 288.347 2.786 20 5 CFBDRN CO[C@H](CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000347286113 381048245 /nfs/dbraw/zinc/04/82/45/381048245.db2.gz OEILHRFXZIWJPL-GFCCVEGCSA-N 0 0 299.302 2.526 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCCC(C)C ZINC000279331200 194387544 /nfs/dbraw/zinc/38/75/44/194387544.db2.gz HFNIDQSKSPNAMJ-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1c(CN2CCO[C@H](C3CCC3)C2)cccc1[N+](=O)[O-] ZINC000411872981 381043001 /nfs/dbraw/zinc/04/30/01/381043001.db2.gz KPFZNKATJMNIOB-INIZCTEOSA-N 0 0 290.363 2.904 20 5 CFBDRN COc1ncccc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182409396 381083555 /nfs/dbraw/zinc/08/35/55/381083555.db2.gz IGCPKEJCFKBLSY-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1c(C(=O)Nc2cncc(F)c2)cccc1[N+](=O)[O-] ZINC000341553595 381084452 /nfs/dbraw/zinc/08/44/52/381084452.db2.gz ITCOEUFWLQJVCC-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@]1(F)CCN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000347343809 381085900 /nfs/dbraw/zinc/08/59/00/381085900.db2.gz HIAQUGATTVFYIY-GFCCVEGCSA-N 0 0 256.252 2.668 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2nc([C@H]3CCOC3)no2)cc1 ZINC000341560083 381088533 /nfs/dbraw/zinc/08/85/33/381088533.db2.gz ZXOHFBVCOQWOQE-UUSYTGMJSA-N 0 0 287.275 2.652 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@H]1C[C@@H]1C1CC1 ZINC000354142704 381104391 /nfs/dbraw/zinc/10/43/91/381104391.db2.gz RBIJDAIFYNOPFS-KGLIPLIRSA-N 0 0 288.347 2.642 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1C[C@H]1C1CC1 ZINC000354142705 381104449 /nfs/dbraw/zinc/10/44/49/381104449.db2.gz RBIJDAIFYNOPFS-UONOGXRCSA-N 0 0 288.347 2.642 20 5 CFBDRN Cc1cnc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000341589394 381107093 /nfs/dbraw/zinc/10/70/93/381107093.db2.gz LSXGVDHVMSOZAN-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN CC(C)CCS(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000295847411 381115151 /nfs/dbraw/zinc/11/51/51/381115151.db2.gz IKUYDYDBXLVPEL-UHFFFAOYSA-N 0 0 271.338 2.556 20 5 CFBDRN O=C(NCc1ccc(F)c(F)c1)c1ccc([N+](=O)[O-])cc1 ZINC000354098733 381077659 /nfs/dbraw/zinc/07/76/59/381077659.db2.gz ZCGLYAVLXKMQHC-UHFFFAOYSA-N 0 0 292.241 2.803 20 5 CFBDRN COC(=O)[C@@H](SCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000358919185 381154395 /nfs/dbraw/zinc/15/43/95/381154395.db2.gz BRMATTIGSFYOJN-LBPRGKRZSA-N 0 0 281.333 2.780 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC(C)(C)Oc1ccccc1 ZINC000358921871 381156022 /nfs/dbraw/zinc/15/60/22/381156022.db2.gz RBJLDARKSRJUMK-UHFFFAOYSA-N 0 0 290.323 2.598 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2ccc(Cl)cc2F)c1[N+](=O)[O-] ZINC000354237658 381156949 /nfs/dbraw/zinc/15/69/49/381156949.db2.gz GBIKWZGDRHUBGO-UHFFFAOYSA-N 0 0 298.661 2.671 20 5 CFBDRN C[C@H]1[C@H](CO)CCCN1Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000412102443 381159570 /nfs/dbraw/zinc/15/95/70/381159570.db2.gz ZUIKBTPNYYASKX-QWRGUYRKSA-N 0 0 298.770 2.841 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000182646210 381128322 /nfs/dbraw/zinc/12/83/22/381128322.db2.gz DNDKZQAZNGSOPX-VXGBXAGGSA-N 0 0 293.367 2.805 20 5 CFBDRN O=C(CCc1nc(C2CC2)no1)c1cccc([N+](=O)[O-])c1 ZINC000295982255 381197573 /nfs/dbraw/zinc/19/75/73/381197573.db2.gz REHPOGPFHDVRTD-UHFFFAOYSA-N 0 0 287.275 2.671 20 5 CFBDRN Cc1cccc(O)c1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000183032956 381198718 /nfs/dbraw/zinc/19/87/18/381198718.db2.gz KBYFPGFOEGGTFC-UHFFFAOYSA-N 0 0 278.289 2.923 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000347523875 381200496 /nfs/dbraw/zinc/20/04/96/381200496.db2.gz RQBGXDHIBWZZQY-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000296003694 381207194 /nfs/dbraw/zinc/20/71/94/381207194.db2.gz VVCDKXVOQLGYOS-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN Cc1cc(NCC2(C)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000359011696 381214633 /nfs/dbraw/zinc/21/46/33/381214633.db2.gz BKSGTKHQCHXBHT-UHFFFAOYSA-N 0 0 298.346 2.994 20 5 CFBDRN CC(C)C(C)(C)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000289991397 381251670 /nfs/dbraw/zinc/25/16/70/381251670.db2.gz FALSTXGOKBJRHR-UHFFFAOYSA-N 0 0 290.323 2.897 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC[C@H]2CCCCO2)n1 ZINC000359080341 381251942 /nfs/dbraw/zinc/25/19/42/381251942.db2.gz FIGYGOYIRWJOTF-GFCCVEGCSA-N 0 0 279.340 2.978 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](CO)c2ccccc2)n1 ZINC000359079489 381252389 /nfs/dbraw/zinc/25/23/89/381252389.db2.gz BHSARCJAEPGOMC-CYBMUJFWSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)C(=O)OC(C)(C)C)n1 ZINC000359079517 381252446 /nfs/dbraw/zinc/25/24/46/381252446.db2.gz BNRGLXVXSWGXET-SNVBAGLBSA-N 0 0 295.339 2.749 20 5 CFBDRN CC[C@H]1CCN1C(=O)CSc1ccccc1[N+](=O)[O-] ZINC000347607204 381252533 /nfs/dbraw/zinc/25/25/33/381252533.db2.gz DQKNQKKELZCMMZ-JTQLQIEISA-N 0 0 280.349 2.698 20 5 CFBDRN CC(C)OC(=O)[C@H](C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000342294971 381255132 /nfs/dbraw/zinc/25/51/32/381255132.db2.gz OQEZJYQRYTXWKW-SECBINFHSA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])n[nH]1 ZINC000359084511 381255242 /nfs/dbraw/zinc/25/52/42/381255242.db2.gz RDQDLMMOLXLFSS-JTQLQIEISA-N 0 0 289.339 2.681 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCCC(=O)OC(C)(C)C)n1 ZINC000359090393 381258173 /nfs/dbraw/zinc/25/81/73/381258173.db2.gz FIRWLMLDSINZFZ-UHFFFAOYSA-N 0 0 295.339 2.750 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC2CCC(CO)CC2)n1 ZINC000359089007 381258906 /nfs/dbraw/zinc/25/89/06/381258906.db2.gz CUKPIMJXJPRPJV-UHFFFAOYSA-N 0 0 279.340 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCSC[C@@H]2C)n1 ZINC000359091819 381261091 /nfs/dbraw/zinc/26/10/91/381261091.db2.gz FSXUFFOTLOIITK-JTQLQIEISA-N 0 0 267.354 2.548 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC2CCSCC2)n1 ZINC000359098491 381263786 /nfs/dbraw/zinc/26/37/86/381263786.db2.gz AGHFDQWCGQHSTJ-UHFFFAOYSA-N 0 0 267.354 2.914 20 5 CFBDRN CC[C@@H](O)CCCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359100867 381265431 /nfs/dbraw/zinc/26/54/31/381265431.db2.gz YULYTWBYNDLPES-LLVKDONJSA-N 0 0 267.329 2.570 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)[C@@H]2CCCCO2)n1 ZINC000359099986 381265945 /nfs/dbraw/zinc/26/59/45/381265945.db2.gz WDTQBFBFYWZAQI-NEPJUHHUSA-N 0 0 279.340 2.976 20 5 CFBDRN C[C@@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(F)(F)F ZINC000290022351 381266256 /nfs/dbraw/zinc/26/62/56/381266256.db2.gz GZUPEVMWHZVVSY-RXMQYKEDSA-N 0 0 296.632 2.929 20 5 CFBDRN CCC(CC)(CC)CNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000359022656 381220032 /nfs/dbraw/zinc/22/00/32/381220032.db2.gz WKTMSECDYSZTQA-UHFFFAOYSA-N 0 0 294.355 2.750 20 5 CFBDRN Cc1ccc(C[C@@H](C)NC(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000296030488 381221527 /nfs/dbraw/zinc/22/15/27/381221527.db2.gz ZRCKPIYXWURUSQ-SNVBAGLBSA-N 0 0 298.408 2.939 20 5 CFBDRN CC[C@H](NCc1ccccc1[N+](=O)[O-])c1nccn1C ZINC000183178717 381226944 /nfs/dbraw/zinc/22/69/44/381226944.db2.gz LXXKMMFHXHUGRE-LBPRGKRZSA-N 0 0 274.324 2.569 20 5 CFBDRN Cc1cnc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)nc1 ZINC000342311581 381270044 /nfs/dbraw/zinc/27/00/44/381270044.db2.gz ILSDRDLYVHHKCA-GFCCVEGCSA-N 0 0 286.335 2.852 20 5 CFBDRN CS[C@H]1CCN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000296086565 381248935 /nfs/dbraw/zinc/24/89/35/381248935.db2.gz DTVWUEQVLFAGPM-NSHDSACASA-N 0 0 295.364 2.872 20 5 CFBDRN C[C@@H](CCCCO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000296181523 381289213 /nfs/dbraw/zinc/28/92/13/381289213.db2.gz CWCTVNYCNLOPHZ-VIFPVBQESA-N 0 0 256.277 2.697 20 5 CFBDRN C[C@@H](C(=O)NCC1CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000347681937 381295497 /nfs/dbraw/zinc/29/54/97/381295497.db2.gz YKJYGXIDUBMZPH-SECBINFHSA-N 0 0 280.299 2.754 20 5 CFBDRN CCC[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)OC ZINC000296197429 381296563 /nfs/dbraw/zinc/29/65/63/381296563.db2.gz NFIIUNPNAIONPW-LBPRGKRZSA-N 0 0 281.312 2.531 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1ccncc1 ZINC000183632243 381299805 /nfs/dbraw/zinc/29/98/05/381299805.db2.gz YFHORXGPBIXKPC-UHFFFAOYSA-N 0 0 255.277 2.553 20 5 CFBDRN O=C(Nc1ccncc1[N+](=O)[O-])N(C1CC1)C1CCCC1 ZINC000183636001 381299814 /nfs/dbraw/zinc/29/98/14/381299814.db2.gz YLTBGDAMIZCWCF-UHFFFAOYSA-N 0 0 290.323 2.929 20 5 CFBDRN CO[C@@H](COc1cccnc1[N+](=O)[O-])Cc1ccccc1 ZINC000296208681 381302724 /nfs/dbraw/zinc/30/27/24/381302724.db2.gz FXGLHHZKDNTUCG-CYBMUJFWSA-N 0 0 288.303 2.626 20 5 CFBDRN C[C@@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000359125456 381280790 /nfs/dbraw/zinc/28/07/90/381280790.db2.gz VDCHOOVGQHYHDR-SNVBAGLBSA-N 0 0 291.351 2.928 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccon1 ZINC000347662058 381283572 /nfs/dbraw/zinc/28/35/72/381283572.db2.gz CBYYLTCRNXDDQE-ZETCQYMHSA-N 0 0 295.682 2.727 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2nc3ccccc3s2)nc1 ZINC000354528834 381321736 /nfs/dbraw/zinc/32/17/36/381321736.db2.gz SMLZLVGPMLQZNU-UHFFFAOYSA-N 0 0 287.304 2.607 20 5 CFBDRN Cc1ccc2[nH]c(CNc3ncccc3[N+](=O)[O-])nc2c1 ZINC000342456605 381341985 /nfs/dbraw/zinc/34/19/85/381341985.db2.gz JOHYJSXGBOAKJP-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN Cc1sc(C(=O)N2CCC3(CC3)CC2)cc1[N+](=O)[O-] ZINC000369767835 381328421 /nfs/dbraw/zinc/32/84/21/381328421.db2.gz UUZASPRWRHRNOL-UHFFFAOYSA-N 0 0 280.349 2.981 20 5 CFBDRN Cc1cnc(Nc2ccc(N3CCC3=O)cc2)c([N+](=O)[O-])c1 ZINC000359292484 381330891 /nfs/dbraw/zinc/33/08/91/381330891.db2.gz FDZRDMHNDLRRGU-UHFFFAOYSA-N 0 0 298.302 2.779 20 5 CFBDRN Cc1ccnc(NC[C@@H](CO)CC2CCCC2)c1[N+](=O)[O-] ZINC000359294754 381332944 /nfs/dbraw/zinc/33/29/44/381332944.db2.gz HFBOJARVSCIGPL-ZDUSSCGKSA-N 0 0 293.367 2.899 20 5 CFBDRN C[C@H](C(=O)NCc1ccoc1)c1ccc([N+](=O)[O-])cc1F ZINC000183883870 381334543 /nfs/dbraw/zinc/33/45/43/381334543.db2.gz LEKXUFYAICEUJK-VIFPVBQESA-N 0 0 292.266 2.747 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](CO)CC2CCCC2)cn1 ZINC000359301196 381336732 /nfs/dbraw/zinc/33/67/32/381336732.db2.gz SSGMBAKKUCGIFJ-LBPRGKRZSA-N 0 0 279.340 2.591 20 5 CFBDRN Cc1cnc(Oc2cccc3c2OCCO3)c([N+](=O)[O-])c1 ZINC000354564547 381341026 /nfs/dbraw/zinc/34/10/26/381341026.db2.gz ACTIKJPCTMUREE-UHFFFAOYSA-N 0 0 288.259 2.862 20 5 CFBDRN CCCc1cc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)no1 ZINC000296243783 381316181 /nfs/dbraw/zinc/31/61/81/381316181.db2.gz AWGUQFNKIINFRI-UHFFFAOYSA-N 0 0 294.311 2.665 20 5 CFBDRN CC1(CNC(=O)CSc2ccccc2[N+](=O)[O-])CCC1 ZINC000342411982 381317279 /nfs/dbraw/zinc/31/72/79/381317279.db2.gz GOFGSIDCAHXDSK-UHFFFAOYSA-N 0 0 294.376 2.993 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1cc(F)cc(F)c1 ZINC000184163517 381378445 /nfs/dbraw/zinc/37/84/45/381378445.db2.gz PGABTHUBAAPXJE-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1ccc(OCCCn2cnc([N+](=O)[O-])c2)cc1C ZINC000342483186 381355676 /nfs/dbraw/zinc/35/56/76/381355676.db2.gz YNZPKUQBRUKFPJ-UHFFFAOYSA-N 0 0 275.308 2.877 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@H]2CCCCO2)cn1 ZINC000354599457 381360859 /nfs/dbraw/zinc/36/08/59/381360859.db2.gz RTXGHGAZBPHAAC-GFCCVEGCSA-N 0 0 265.313 2.751 20 5 CFBDRN CCOc1cccc(N2CCS[C@H](C)C2)c1[N+](=O)[O-] ZINC000184108656 381371093 /nfs/dbraw/zinc/37/10/93/381371093.db2.gz YAWVQRKXPGXZRW-SNVBAGLBSA-N 0 0 282.365 2.935 20 5 CFBDRN C[C@H](NC(=O)[C@H]1[C@@H](C)C1(F)F)c1ccccc1[N+](=O)[O-] ZINC000359373845 381379468 /nfs/dbraw/zinc/37/94/68/381379468.db2.gz WQNVUSGMJWHFTG-VHSKPIJISA-N 0 0 284.262 2.673 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000347845402 381374551 /nfs/dbraw/zinc/37/45/51/381374551.db2.gz YKASDSCUKIFFOU-YPMHNXCESA-N 0 0 291.351 2.830 20 5 CFBDRN COc1cc(C)c(NC(=O)c2ccc([N+](=O)[O-])o2)cc1F ZINC000184150449 381377422 /nfs/dbraw/zinc/37/74/22/381377422.db2.gz FOXAGKPDDBQSOD-UHFFFAOYSA-N 0 0 294.238 2.896 20 5 CFBDRN Cc1cccnc1N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000347897890 381403853 /nfs/dbraw/zinc/40/38/53/381403853.db2.gz KUEASBJUWSXDLZ-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CC[C@@](C)(OC)c1noc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000290392227 381409813 /nfs/dbraw/zinc/40/98/13/381409813.db2.gz HAGRRWWJTCASEH-CYBMUJFWSA-N 0 0 277.280 2.916 20 5 CFBDRN COc1ncc(CNc2cc([N+](=O)[O-])ccc2C)c(C)n1 ZINC000347855269 381380654 /nfs/dbraw/zinc/38/06/54/381380654.db2.gz CQWKAUGOIMWPJZ-UHFFFAOYSA-N 0 0 288.307 2.622 20 5 CFBDRN C[C@H](CNC(=O)c1csc([N+](=O)[O-])c1)CC(F)(F)F ZINC000412658843 381387765 /nfs/dbraw/zinc/38/77/65/381387765.db2.gz PSVVURKBOKGNRN-LURJTMIESA-N 0 0 296.270 2.975 20 5 CFBDRN Cc1ccc(NC(=O)NCc2ccccc2[N+](=O)[O-])nc1 ZINC000184232376 381388288 /nfs/dbraw/zinc/38/82/88/381388288.db2.gz HYFVHEDTFDUTPW-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN Cc1cn(Cc2cncc(C(F)(F)F)c2)nc1[N+](=O)[O-] ZINC000359388868 381388849 /nfs/dbraw/zinc/38/88/49/381388849.db2.gz JOKLWUTVKIXIOV-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])NCC1=CCCCC1 ZINC000296448178 381399872 /nfs/dbraw/zinc/39/98/72/381399872.db2.gz UKQZDLHPKCVGEQ-UHFFFAOYSA-N 0 0 289.335 2.894 20 5 CFBDRN COc1cccc(CCNc2c(F)cccc2[N+](=O)[O-])n1 ZINC000342566865 381401595 /nfs/dbraw/zinc/40/15/95/381401595.db2.gz MEASJTYCXOMLIG-UHFFFAOYSA-N 0 0 291.282 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)c2cccc(F)c2)cc1 ZINC000359484761 381429353 /nfs/dbraw/zinc/42/93/53/381429353.db2.gz GAEQYMFCYDKQLV-AWEZNQCLSA-N 0 0 276.267 2.879 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000412772290 381436746 /nfs/dbraw/zinc/43/67/46/381436746.db2.gz XUXKGJCQSNHKJL-LLVKDONJSA-N 0 0 292.335 2.621 20 5 CFBDRN CCC(=O)c1ccc(NC[C@](C)(O)C2CC2)c([N+](=O)[O-])c1 ZINC000359500263 381437819 /nfs/dbraw/zinc/43/78/19/381437819.db2.gz JVRYHLMNPVTAFT-HNNXBMFYSA-N 0 0 292.335 2.760 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347964583 381437924 /nfs/dbraw/zinc/43/79/24/381437924.db2.gz PJMPVRUGZZNELB-AMIZOPFISA-N 0 0 294.282 2.678 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347964589 381438240 /nfs/dbraw/zinc/43/82/40/381438240.db2.gz PJMPVRUGZZNELB-OQPBUACISA-N 0 0 294.282 2.678 20 5 CFBDRN CCCn1ncnc1CNc1c(C)c([N+](=O)[O-])ccc1F ZINC000290467484 381440769 /nfs/dbraw/zinc/44/07/69/381440769.db2.gz AFAKDRSYVKWWHU-UHFFFAOYSA-N 0 0 293.302 2.656 20 5 CFBDRN CC(C)c1ccc(CN2CC3(C2)CCOC3)cc1[N+](=O)[O-] ZINC000354767946 381443507 /nfs/dbraw/zinc/44/35/07/381443507.db2.gz XORFLLHAQICADA-UHFFFAOYSA-N 0 0 290.363 2.941 20 5 CFBDRN Cc1c(CNC(=O)N(C)CCC2CC2)cccc1[N+](=O)[O-] ZINC000359527552 381451167 /nfs/dbraw/zinc/45/11/67/381451167.db2.gz AAAPCNYIEOIAJA-UHFFFAOYSA-N 0 0 291.351 2.845 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000288949903 197198782 /nfs/dbraw/zinc/19/87/82/197198782.db2.gz XJYZOVLJNWCMNA-SSDOTTSWSA-N 0 0 291.229 2.976 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000288949904 197198787 /nfs/dbraw/zinc/19/87/87/197198787.db2.gz XJYZOVLJNWCMNA-ZETCQYMHSA-N 0 0 291.229 2.976 20 5 CFBDRN COc1ccc(NC(=O)CC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000342587285 381417833 /nfs/dbraw/zinc/41/78/33/381417833.db2.gz XAAHFIJLBMRJLJ-JTQLQIEISA-N 0 0 294.307 2.501 20 5 CFBDRN Cc1nc2cc(COc3cccnc3[N+](=O)[O-])ccc2[nH]1 ZINC000342685459 381478441 /nfs/dbraw/zinc/47/84/41/381478441.db2.gz IIDKFXKSHWQPPD-UHFFFAOYSA-N 0 0 284.275 2.754 20 5 CFBDRN CC(C)C1(NC(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000296623416 381478518 /nfs/dbraw/zinc/47/85/18/381478518.db2.gz QJWCISOEMCOSNU-UHFFFAOYSA-N 0 0 263.297 2.905 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NCc1ncnn1C(C)C ZINC000290568675 381482796 /nfs/dbraw/zinc/48/27/96/381482796.db2.gz TWTFECVRJGLNOR-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN CCC(C)(C)NC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000359547437 381462574 /nfs/dbraw/zinc/46/25/74/381462574.db2.gz OPWKBUUBPNHEEA-UHFFFAOYSA-N 0 0 279.340 2.891 20 5 CFBDRN Cc1c(C(=O)NC[C@H]2CCCCS2)cccc1[N+](=O)[O-] ZINC000342661321 381463540 /nfs/dbraw/zinc/46/35/40/381463540.db2.gz ODYKLOVQHQCZPU-LLVKDONJSA-N 0 0 294.376 2.919 20 5 CFBDRN CC1(C)CN(Cc2cc(F)ccc2[N+](=O)[O-])CCCO1 ZINC000370917674 381514351 /nfs/dbraw/zinc/51/43/51/381514351.db2.gz OLMRWXSDTWKIDD-UHFFFAOYSA-N 0 0 282.315 2.735 20 5 CFBDRN C[C@@H]1C[C@H](CNc2cc(F)cc([N+](=O)[O-])c2)CCO1 ZINC000359623669 381515833 /nfs/dbraw/zinc/51/58/33/381515833.db2.gz VWINDDQJUCSHTL-NXEZZACHSA-N 0 0 268.288 2.961 20 5 CFBDRN Cc1nnc(CNc2cc([N+](=O)[O-])c(C)cc2F)s1 ZINC000296711086 381516443 /nfs/dbraw/zinc/51/64/43/381516443.db2.gz IEWAIOCGSBOKTO-UHFFFAOYSA-N 0 0 282.300 2.814 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CO)C2CCCC2)c(Cl)c1 ZINC000290676213 381518759 /nfs/dbraw/zinc/51/87/59/381518759.db2.gz ILIHGCHYCCPCJN-NSHDSACASA-N 0 0 285.731 2.606 20 5 CFBDRN C[C@@H](C(=O)N1CCCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000184877158 381491650 /nfs/dbraw/zinc/49/16/50/381491650.db2.gz HSZBRWDQLNYNPU-SNVBAGLBSA-N 0 0 280.299 2.850 20 5 CFBDRN COc1cc(CNc2cnccc2C)c([N+](=O)[O-])cc1O ZINC000184891159 381493375 /nfs/dbraw/zinc/49/33/75/381493375.db2.gz KVMFDIZQAHFZDK-UHFFFAOYSA-N 0 0 289.291 2.625 20 5 CFBDRN CO[C@@H](C)[C@@H](C)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000290601932 381495354 /nfs/dbraw/zinc/49/53/54/381495354.db2.gz DUQXXQAATRUZAE-RQJHMYQMSA-N 0 0 260.240 2.708 20 5 CFBDRN COc1cc(COc2ccc(C)c([N+](=O)[O-])c2)ccn1 ZINC000342718671 381497057 /nfs/dbraw/zinc/49/70/57/381497057.db2.gz ZCNFPWQRIGZCRK-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])OC1CCCC1 ZINC000296672272 381500896 /nfs/dbraw/zinc/50/08/96/381500896.db2.gz GZJFJXSFCIUVBV-UHFFFAOYSA-N 0 0 264.281 2.764 20 5 CFBDRN COc1cccc(N2CCO[C@H](C3CCC3)C2)c1[N+](=O)[O-] ZINC000413477616 381544046 /nfs/dbraw/zinc/54/40/46/381544046.db2.gz NJCMUHQGXILCNU-AWEZNQCLSA-N 0 0 292.335 2.609 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCCO[C@H](CF)C1 ZINC000413473684 381544319 /nfs/dbraw/zinc/54/43/19/381544319.db2.gz AFDXCFVETHZGCY-SECBINFHSA-N 0 0 288.706 2.813 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nc3ccccc3cc2[N+](=O)[O-])CS1 ZINC000413522981 381546631 /nfs/dbraw/zinc/54/66/31/381546631.db2.gz SUBWNECIFCMKLT-MWLCHTKSSA-N 0 0 289.360 2.871 20 5 CFBDRN O=C(c1ccc(F)cc1[N+](=O)[O-])N1CC[C@H](CC2CC2)C1 ZINC000359674320 381547567 /nfs/dbraw/zinc/54/75/67/381547567.db2.gz AYDKMIZEFMJWHR-LLVKDONJSA-N 0 0 292.310 2.996 20 5 CFBDRN CC[C@H](CNc1cc(OC)c(F)cc1[N+](=O)[O-])OC ZINC000290782232 381549952 /nfs/dbraw/zinc/54/99/52/381549952.db2.gz WNPASWGDLLAIPI-MRVPVSSYSA-N 0 0 272.276 2.579 20 5 CFBDRN CC[C@H](CNc1ccc2ncccc2c1[N+](=O)[O-])OC ZINC000290782255 381550054 /nfs/dbraw/zinc/55/00/54/381550054.db2.gz WNVXWXQJSJRMSR-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@@H]1CCC(C)(C)C1=O ZINC000412993655 381525996 /nfs/dbraw/zinc/52/59/96/381525996.db2.gz OZBGTUZXDCUGIN-LLVKDONJSA-N 0 0 279.292 2.740 20 5 CFBDRN CC(C)OC1CC(n2cc([N+](=O)[O-])cc(Cl)c2=O)C1 ZINC000412994669 381527834 /nfs/dbraw/zinc/52/78/34/381527834.db2.gz PSASDGGXJMDBDH-UHFFFAOYSA-N 0 0 286.715 2.538 20 5 CFBDRN CC(=O)c1cc(NC2CCC(O)CC2)ccc1[N+](=O)[O-] ZINC000342773541 381530652 /nfs/dbraw/zinc/53/06/52/381530652.db2.gz BYSYIBBCAFHVBJ-UHFFFAOYSA-N 0 0 278.308 2.513 20 5 CFBDRN O=C(CCc1ccccc1)Cn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000413002368 381532761 /nfs/dbraw/zinc/53/27/61/381532761.db2.gz FPNIHWSBTBNFAK-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN Cc1nn(Cc2nnc(-c3ccc(C)cc3)o2)cc1[N+](=O)[O-] ZINC000354947121 381534028 /nfs/dbraw/zinc/53/40/28/381534028.db2.gz FIQNULQUSDZOOP-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN O=C(NCC1(C(F)F)CC1)Nc1cccc([N+](=O)[O-])c1 ZINC000348446646 381573250 /nfs/dbraw/zinc/57/32/50/381573250.db2.gz UFFQGVOGAJAWLY-UHFFFAOYSA-N 0 0 285.250 2.762 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)NCC(C)(C)C(C)(C)C)n1 ZINC000348461871 381578166 /nfs/dbraw/zinc/57/81/66/381578166.db2.gz GCMYJJDDWPBXMR-UHFFFAOYSA-N 0 0 296.371 2.613 20 5 CFBDRN CO[C@@H]1CCCN(c2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000297156263 381553110 /nfs/dbraw/zinc/55/31/10/381553110.db2.gz OQNZWTQVEKFVTL-CYBMUJFWSA-N 0 0 287.319 2.758 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H](C)[N@@H+](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000290796027 381553504 /nfs/dbraw/zinc/55/35/04/381553504.db2.gz OXASNNVTGHYDAU-ZYHUDNBSSA-N 0 0 291.351 2.641 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC[C@@H](CC2CC2)C1 ZINC000359691332 381559871 /nfs/dbraw/zinc/55/98/71/381559871.db2.gz ZNZQVXIYKFNTOK-NSHDSACASA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCCCC1 ZINC000195200754 270251254 /nfs/dbraw/zinc/25/12/54/270251254.db2.gz ONLNHTIFWYDCEA-UHFFFAOYSA-N 0 0 273.292 2.702 20 5 CFBDRN CCOc1cc(N[C@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC000185206890 381564655 /nfs/dbraw/zinc/56/46/55/381564655.db2.gz AILXBEDMXSDRKS-SNVBAGLBSA-N 0 0 288.307 2.957 20 5 CFBDRN CCOc1ccc(C(=O)N(CC)C2CC2)cc1[N+](=O)[O-] ZINC000342818942 381566556 /nfs/dbraw/zinc/56/65/56/381566556.db2.gz VBMUBXKGGVLWCU-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1C[C@H]2CCCCCC[C@H]21 ZINC000371212365 381569679 /nfs/dbraw/zinc/56/96/79/381569679.db2.gz JSDKAHAOWNBPCC-BXUZGUMPSA-N 0 0 289.335 2.785 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CCCC3(CC3)C2)c1 ZINC000185333699 381601002 /nfs/dbraw/zinc/60/10/02/381601002.db2.gz YOGSPAOUTYIJIJ-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)Cc1cccnc1C ZINC000348480989 381586105 /nfs/dbraw/zinc/58/61/05/381586105.db2.gz SKDBMRKSTZJIJY-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN C[C@@H](c1ccccc1)N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000342855452 381586302 /nfs/dbraw/zinc/58/63/02/381586302.db2.gz GPYLSOSXYRIYJL-NSHDSACASA-N 0 0 287.319 2.767 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ncccn1 ZINC000355055859 381587148 /nfs/dbraw/zinc/58/71/48/381587148.db2.gz QNMKPJTWXIERBU-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN CCc1[nH]ccc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000348481958 381588320 /nfs/dbraw/zinc/58/83/20/381588320.db2.gz VFSWAKHMQMMITJ-UHFFFAOYSA-N 0 0 259.265 2.738 20 5 CFBDRN Cc1noc(NC(=O)c2ccc([N+](=O)[O-])cc2C)c1C ZINC000359739760 381590631 /nfs/dbraw/zinc/59/06/31/381590631.db2.gz NJWLEFIKWCYFIA-UHFFFAOYSA-N 0 0 275.264 2.760 20 5 CFBDRN CC(C)(C)C(C)(C)CNC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000348487332 381592415 /nfs/dbraw/zinc/59/24/15/381592415.db2.gz GKDMKGJAUCFKPO-UHFFFAOYSA-N 0 0 267.329 2.725 20 5 CFBDRN C[C@@H](Nc1ncnc2sc([N+](=O)[O-])cc21)c1ccon1 ZINC000342916414 381614173 /nfs/dbraw/zinc/61/41/73/381614173.db2.gz JHUWEIYLGNTHDR-ZCFIWIBFSA-N 0 0 291.292 2.761 20 5 CFBDRN Cc1cc(Sc2nnnn2C(C)C)c(F)cc1[N+](=O)[O-] ZINC000290984529 381619106 /nfs/dbraw/zinc/61/91/06/381619106.db2.gz QPPPGBWUSZDDON-UHFFFAOYSA-N 0 0 297.315 2.761 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ncnn2-c2ccccc2)cc1 ZINC000359811585 381621128 /nfs/dbraw/zinc/62/11/28/381621128.db2.gz GGMQASUXCLAPHW-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1C[C@H]1C ZINC000298435843 381623327 /nfs/dbraw/zinc/62/33/27/381623327.db2.gz XWZCOAZRQHDVGM-VWYCJHECSA-N 0 0 294.376 2.848 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000298435462 381623734 /nfs/dbraw/zinc/62/37/34/381623734.db2.gz SSTRBYJHFOALNJ-SNVBAGLBSA-N 0 0 280.324 2.864 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc3cccnc3c2)nc1 ZINC000359860799 381647478 /nfs/dbraw/zinc/64/74/78/381647478.db2.gz XYKNGUSRIHWIPF-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCCCC2)c1 ZINC000298467918 381639335 /nfs/dbraw/zinc/63/93/35/381639335.db2.gz RRZHCDQGZVSPRH-UHFFFAOYSA-N 0 0 292.335 2.685 20 5 CFBDRN Cc1nc(NC(=O)[C@@H](C)CC(C)C)ccc1[N+](=O)[O-] ZINC000185469065 381642215 /nfs/dbraw/zinc/64/22/15/381642215.db2.gz YPLIDLHFGKUYGW-VIFPVBQESA-N 0 0 265.313 2.919 20 5 CFBDRN Cc1nnc(CCNc2cccc(F)c2[N+](=O)[O-])s1 ZINC000291073232 381656223 /nfs/dbraw/zinc/65/62/23/381656223.db2.gz HHGBIZHSVNIPNB-UHFFFAOYSA-N 0 0 282.300 2.548 20 5 CFBDRN CC1CCC(Cn2ccc(=O)c([N+](=O)[O-])c2)CC1 ZINC000185532741 381660269 /nfs/dbraw/zinc/66/02/69/381660269.db2.gz QCNWKBHDPBOYOD-UHFFFAOYSA-N 0 0 250.298 2.583 20 5 CFBDRN COc1cc(C(=O)NCCC2(C)CC2)cc([N+](=O)[O-])c1C ZINC000348665114 381661276 /nfs/dbraw/zinc/66/12/76/381661276.db2.gz YXFMQVHLFCNOEI-UHFFFAOYSA-N 0 0 292.335 2.832 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@]2(C1)CCCOC2 ZINC000343137599 381663942 /nfs/dbraw/zinc/66/39/42/381663942.db2.gz FNZLCPNHEAJNLP-CQSZACIVSA-N 0 0 280.299 2.741 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C[N@@H+](C)[C@H]1CCCOC1 ZINC000348706461 381675618 /nfs/dbraw/zinc/67/56/18/381675618.db2.gz HRSDYLFHEHTGHI-AWEZNQCLSA-N 0 0 264.325 2.514 20 5 CFBDRN C[C@@H](CC(=O)NCc1ccc([N+](=O)[O-])cc1)c1cccnc1 ZINC000359916735 381677621 /nfs/dbraw/zinc/67/76/21/381677621.db2.gz PNMLUGCIZMQZGM-LBPRGKRZSA-N 0 0 299.330 2.800 20 5 CFBDRN Cc1cccc(C(=O)NCC2(C(F)F)CC2)c1[N+](=O)[O-] ZINC000348751027 381694073 /nfs/dbraw/zinc/69/40/73/381694073.db2.gz ZXRWTYSNKJPQAI-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN O=[N+]([O-])c1cccc(C[N@@H+]2CCC[C@H]([C@@H]3CCOC3)C2)c1 ZINC000355235553 381694707 /nfs/dbraw/zinc/69/47/07/381694707.db2.gz JXGNZLFNTSEYBI-LSDHHAIUSA-N 0 0 290.363 2.843 20 5 CFBDRN Cc1cc(OCC(=O)N[C@@H](C)C(C)C)c(F)cc1[N+](=O)[O-] ZINC000185663107 381698054 /nfs/dbraw/zinc/69/80/54/381698054.db2.gz IVBGDRJNBWBYNO-JTQLQIEISA-N 0 0 298.314 2.582 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1snnc1C1CC1 ZINC000291197001 381704320 /nfs/dbraw/zinc/70/43/20/381704320.db2.gz PTVORBAWKBLUSZ-UHFFFAOYSA-N 0 0 290.304 2.576 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCC[C@@H](CO)C1 ZINC000298671224 381705273 /nfs/dbraw/zinc/70/52/73/381705273.db2.gz UBHKSHPDGBXLCL-MWLCHTKSSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1sc(C(=O)NCC2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000348773227 381706163 /nfs/dbraw/zinc/70/61/63/381706163.db2.gz XASNEMFVMZNZEW-UHFFFAOYSA-N 0 0 290.291 2.740 20 5 CFBDRN CC(=O)c1ccc(N[C@@]2(C)CCO[C@H]2C)c([N+](=O)[O-])c1 ZINC000343434420 381707059 /nfs/dbraw/zinc/70/70/59/381707059.db2.gz AODOCEBDUDLVLO-HZMBPMFUSA-N 0 0 278.308 2.777 20 5 CFBDRN C[C@@H]1c2cccn2CCN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000298731966 381729072 /nfs/dbraw/zinc/72/90/72/381729072.db2.gz ONBLVPDYZFYWHZ-SECBINFHSA-N 0 0 291.332 2.675 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1C[C@]12CCOC2 ZINC000372015944 381735841 /nfs/dbraw/zinc/73/58/41/381735841.db2.gz PEDRXZPFSFLYBB-RNCFNFMXSA-N 0 0 296.710 2.613 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@]12CCOC2 ZINC000372016165 381735896 /nfs/dbraw/zinc/73/58/96/381735896.db2.gz QNAYUCOBFLQUBB-IAQYHMDHSA-N 0 0 290.319 2.577 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNc1cc(C)ccn1 ZINC000343473706 381739183 /nfs/dbraw/zinc/73/91/83/381739183.db2.gz KZEMNCJMWZMRNE-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000360031943 381740631 /nfs/dbraw/zinc/74/06/31/381740631.db2.gz HFLYTKNUKVWSTE-SDDRHHMPSA-N 0 0 294.351 2.691 20 5 CFBDRN Cc1cc(N[C@@H]2CCn3ccnc3C2)c(F)cc1[N+](=O)[O-] ZINC000360048067 381749423 /nfs/dbraw/zinc/74/94/23/381749423.db2.gz QFJBALLBPOAPMR-SNVBAGLBSA-N 0 0 290.298 2.666 20 5 CFBDRN CCCCN(CCCC)C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000298998113 381794028 /nfs/dbraw/zinc/79/40/28/381794028.db2.gz UMBUGWDKCSVGJN-UHFFFAOYSA-N 0 0 267.329 2.965 20 5 CFBDRN CO[C@@](C)(CNc1ncc(C)cc1[N+](=O)[O-])C1CC1 ZINC000292257696 381796940 /nfs/dbraw/zinc/79/69/40/381796940.db2.gz HSHRBHFHTLPQCT-ZDUSSCGKSA-N 0 0 265.313 2.525 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCOC[C@@H](C2CCC2)C1 ZINC000292290772 381798340 /nfs/dbraw/zinc/79/83/40/381798340.db2.gz MZMKTTMQUUISLR-LBPRGKRZSA-N 0 0 294.326 2.987 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CC[C@@H](COC(F)F)C1 ZINC000343510677 381762429 /nfs/dbraw/zinc/76/24/29/381762429.db2.gz VOAFMUWABKBIHM-MRVPVSSYSA-N 0 0 290.241 2.799 20 5 CFBDRN Cc1ccncc1CN(C)c1c2c(ccc1[N+](=O)[O-])NCC2 ZINC000360093336 381765341 /nfs/dbraw/zinc/76/53/41/381765341.db2.gz KWPKKUXIOKLMGZ-UHFFFAOYSA-N 0 0 298.346 2.903 20 5 CFBDRN CC[C@H](C)Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000291620572 381765999 /nfs/dbraw/zinc/76/59/99/381765999.db2.gz KKSGRCYPUWMOCQ-JTQLQIEISA-N 0 0 260.293 2.956 20 5 CFBDRN Nc1ccc(-c2noc(-c3ccccc3)n2)cc1[N+](=O)[O-] ZINC000355435509 381770939 /nfs/dbraw/zinc/77/09/39/381770939.db2.gz APERIWUQZFIMQU-UHFFFAOYSA-N 0 0 282.259 2.894 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000298882287 381773798 /nfs/dbraw/zinc/77/37/98/381773798.db2.gz ZBWYHZZWQAWREY-LBPRGKRZSA-N 0 0 294.351 2.867 20 5 CFBDRN Cc1nc(NCC2CC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000291855239 381779590 /nfs/dbraw/zinc/77/95/90/381779590.db2.gz MREBCATYBSERBS-UHFFFAOYSA-N 0 0 257.240 2.755 20 5 CFBDRN O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccco1 ZINC000349088209 381820981 /nfs/dbraw/zinc/82/09/81/381820981.db2.gz JZAJLNDTPWGQCH-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN Cc1cc(OC[C@@H](O)C(F)(F)F)c(Cl)cc1[N+](=O)[O-] ZINC000349104090 381824728 /nfs/dbraw/zinc/82/47/28/381824728.db2.gz FCCXVGBMEDVPBV-SECBINFHSA-N 0 0 299.632 2.859 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(Cc3nccs3)c2)c1 ZINC000349145820 381834789 /nfs/dbraw/zinc/83/47/89/381834789.db2.gz UJFAWOYMYJUCQD-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000415402620 381838919 /nfs/dbraw/zinc/83/89/19/381838919.db2.gz KRCKBTKZLKXZRC-ZDUSSCGKSA-N 0 0 280.324 2.903 20 5 CFBDRN Cc1cccc(C(=O)N2CCC3(CC3)CC2)c1[N+](=O)[O-] ZINC000372587246 381839261 /nfs/dbraw/zinc/83/92/61/381839261.db2.gz VIZMETXDQHBRHC-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CCc1noc(C)c1CNc1ncc(C)cc1[N+](=O)[O-] ZINC000292800683 381840454 /nfs/dbraw/zinc/84/04/54/381840454.db2.gz NGHKDTUPVXICEB-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CSC[C@H]2C)n1 ZINC000343584529 381817254 /nfs/dbraw/zinc/81/72/54/381817254.db2.gz AVYIWSIFSYBEOM-SCZZXKLOSA-N 0 0 267.354 2.770 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)c1ccccc1[N+](=O)[O-] ZINC000416076402 381876455 /nfs/dbraw/zinc/87/64/55/381876455.db2.gz HEPZLGRJJIINOB-OUAUKWLOSA-N 0 0 262.309 2.711 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cc[nH]c1 ZINC000186303776 381876869 /nfs/dbraw/zinc/87/68/69/381876869.db2.gz IEJDCPXWWMFJHW-UHFFFAOYSA-N 0 0 279.683 2.506 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNc1nc(CC)no1 ZINC000292872117 381876757 /nfs/dbraw/zinc/87/67/57/381876757.db2.gz YAKFWRJSFSLKAO-UHFFFAOYSA-N 0 0 292.295 2.551 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CCCCO1 ZINC000299271972 381878809 /nfs/dbraw/zinc/87/88/09/381878809.db2.gz XCQYJJRSNHDNDV-LBPRGKRZSA-N 0 0 293.323 2.594 20 5 CFBDRN C[C@H]1C[C@H](CCNc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000343621877 381848204 /nfs/dbraw/zinc/84/82/04/381848204.db2.gz KSNQRNUXAVYMDT-WDEREUQCSA-N 0 0 265.313 2.607 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Nc1ccc([C@@H]2CCOC2)cc1 ZINC000292821604 381850391 /nfs/dbraw/zinc/85/03/91/381850391.db2.gz OZRYQCCFIAXQBX-LLVKDONJSA-N 0 0 288.307 2.576 20 5 CFBDRN CCN(C[C@@H]1CCCO1)C(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000299187139 381856195 /nfs/dbraw/zinc/85/61/95/381856195.db2.gz BTPAASUSGKVNCZ-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cc(C(=O)N(C)[C@H](C)C2CC2)cc([N+](=O)[O-])c1 ZINC000299224561 381864226 /nfs/dbraw/zinc/86/42/26/381864226.db2.gz AQGXGBZXADYHAR-SNVBAGLBSA-N 0 0 262.309 2.774 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1cccc(Cl)c1F ZINC000349256078 381868863 /nfs/dbraw/zinc/86/88/63/381868863.db2.gz YLZBNYNKZSRMDB-UHFFFAOYSA-N 0 0 296.685 2.906 20 5 CFBDRN C[C@@H](C1CCC1)N(C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000356869674 381873985 /nfs/dbraw/zinc/87/39/85/381873985.db2.gz RRRXNRRUNVXGCB-NSHDSACASA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@H](c1noc(-c2c([N+](=O)[O-])cnn2C)n1)C(C)(C)C ZINC000356869002 381874418 /nfs/dbraw/zinc/87/44/18/381874418.db2.gz CGSBRHJKFPAQHM-SSDOTTSWSA-N 0 0 279.300 2.528 20 5 CFBDRN CC[C@@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186346893 381887176 /nfs/dbraw/zinc/88/71/76/381887176.db2.gz HLKRIRCGBWTEFE-MRVPVSSYSA-N 0 0 270.716 2.911 20 5 CFBDRN Cc1nn(C)c(N2CC[C@@H](c3ccccc3)C2)c1[N+](=O)[O-] ZINC000299318501 381894421 /nfs/dbraw/zinc/89/44/21/381894421.db2.gz JZHDRUJZPNWDQJ-CYBMUJFWSA-N 0 0 286.335 2.631 20 5 CFBDRN Cc1c(CNC(=O)NC[C@@H]2CC23CC3)cccc1[N+](=O)[O-] ZINC000416711184 381899604 /nfs/dbraw/zinc/89/96/04/381899604.db2.gz KBJJEALPPUWXBE-LBPRGKRZSA-N 0 0 289.335 2.503 20 5 CFBDRN COc1c(C(=O)N2CC3(C2)CCCC3)cccc1[N+](=O)[O-] ZINC000356907796 381901126 /nfs/dbraw/zinc/90/11/26/381901126.db2.gz NLRSUUPQRGQOFX-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN C[C@H](NC(=O)NC[C@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000416734770 381910453 /nfs/dbraw/zinc/91/04/53/381910453.db2.gz VWHLAKFZIPFSDT-CMPLNLGQSA-N 0 0 289.335 2.755 20 5 CFBDRN O=[N+]([O-])c1cccc(Cn2cc(-n3cccc3)cn2)c1 ZINC000356965829 381930493 /nfs/dbraw/zinc/93/04/93/381930493.db2.gz FHLCTQBEZBSXTO-UHFFFAOYSA-N 0 0 268.276 2.630 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000186459611 381918013 /nfs/dbraw/zinc/91/80/13/381918013.db2.gz FHJQMCBPXABLNR-GFCCVEGCSA-N 0 0 276.336 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCN(c3cccnc3)C2)cc1 ZINC000373058468 381919154 /nfs/dbraw/zinc/91/91/54/381919154.db2.gz NMXYLPMVQGSNAC-ZDUSSCGKSA-N 0 0 284.319 2.681 20 5 CFBDRN CCN(C(=O)COc1ccccc1[N+](=O)[O-])[C@H](C)C(C)C ZINC000299300591 381888132 /nfs/dbraw/zinc/88/81/32/381888132.db2.gz QQZGQKWJENMFRX-GFCCVEGCSA-N 0 0 294.351 2.867 20 5 CFBDRN Cc1nn(C)c(C)c1NC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000299311571 381891703 /nfs/dbraw/zinc/89/17/03/381891703.db2.gz FBHIMLJGPHKOSI-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN CCCOC1(CNc2c([N+](=O)[O-])ncn2C)CCCCC1 ZINC000362064740 381892684 /nfs/dbraw/zinc/89/26/84/381892684.db2.gz JTFQMWAPWRYLGI-UHFFFAOYSA-N 0 0 296.371 2.870 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@H]1C[C@@H]1C(F)F)CCN2 ZINC000343814024 381959139 /nfs/dbraw/zinc/95/91/39/381959139.db2.gz UYABGWCNVFTOHB-CBAPKCEASA-N 0 0 269.251 2.628 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000186642371 381971619 /nfs/dbraw/zinc/97/16/19/381971619.db2.gz YRHWZGXACXJFKG-ZJUUUORDSA-N 0 0 266.272 2.965 20 5 CFBDRN CCC[C@@H](CC)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000299412668 381931662 /nfs/dbraw/zinc/93/16/62/381931662.db2.gz DAVVRKOIQUALEK-SNVBAGLBSA-N 0 0 298.314 2.808 20 5 CFBDRN C[C@H](N[C@H](CCO)c1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000186515665 381934135 /nfs/dbraw/zinc/93/41/35/381934135.db2.gz NWMAZZUEQMUZOW-SMDDNHRTSA-N 0 0 290.319 2.962 20 5 CFBDRN C[C@@H](N[C@@H](CCO)c1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000186515646 381934248 /nfs/dbraw/zinc/93/42/48/381934248.db2.gz NWMAZZUEQMUZOW-RISCZKNCSA-N 0 0 290.319 2.962 20 5 CFBDRN CC(C)[C@@H]1CN(Cc2csc([N+](=O)[O-])c2)CCCO1 ZINC000292992899 381939038 /nfs/dbraw/zinc/93/90/38/381939038.db2.gz CGIBWCSIJQKDOR-LBPRGKRZSA-N 0 0 284.381 2.903 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1C(C)C ZINC000186641655 381972359 /nfs/dbraw/zinc/97/23/59/381972359.db2.gz ZOTBFQICUYZIMU-GHMZBOCLSA-N 0 0 278.308 2.834 20 5 CFBDRN C[C@H](c1ccncc1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000299438919 381942882 /nfs/dbraw/zinc/94/28/82/381942882.db2.gz ZGPHHGGIWAILQA-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN C[C@H](CNc1c(Cl)cccc1[N+](=O)[O-])c1nncn1C ZINC000293013851 381950649 /nfs/dbraw/zinc/95/06/49/381950649.db2.gz KAWIMUCLNMZXOX-MRVPVSSYSA-N 0 0 295.730 2.592 20 5 CFBDRN Cc1cccc(C(=O)N[C@H](C)CC(F)(F)F)c1[N+](=O)[O-] ZINC000362187640 381950599 /nfs/dbraw/zinc/95/05/99/381950599.db2.gz LSLBHGFSMJLTHE-MRVPVSSYSA-N 0 0 290.241 2.974 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3C[C@@H]3C(F)F)cc2N1 ZINC000343806245 381952836 /nfs/dbraw/zinc/95/28/36/381952836.db2.gz KLRGYZUZEMSZRW-CBAPKCEASA-N 0 0 297.261 2.545 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1C[C@H]1C ZINC000362191711 381954257 /nfs/dbraw/zinc/95/42/57/381954257.db2.gz REIMTEIKZNEYRR-NXEZZACHSA-N 0 0 278.308 2.978 20 5 CFBDRN Cc1ccc(NC(=O)c2c[nH]c(=O)c([N+](=O)[O-])c2)c(C)c1 ZINC000186743330 381999273 /nfs/dbraw/zinc/99/92/73/381999273.db2.gz ZIBFNBASDIEGSM-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccoc1 ZINC000362342769 382016435 /nfs/dbraw/zinc/01/64/35/382016435.db2.gz BZXHEBDGGSFUME-UHFFFAOYSA-N 0 0 274.276 2.931 20 5 CFBDRN COc1cc(N[C@@H]2CC2(C)C)c([N+](=O)[O-])cc1F ZINC000343904537 382011735 /nfs/dbraw/zinc/01/17/35/382011735.db2.gz AOZIACQRIDWWOD-LLVKDONJSA-N 0 0 254.261 2.953 20 5 CFBDRN C[C@@H]1C[C@H](O)CN1c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000350682157 382016636 /nfs/dbraw/zinc/01/66/36/382016636.db2.gz BABVDBLKTAJOBM-APPZFPTMSA-N 0 0 290.241 2.573 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000357034152 381980912 /nfs/dbraw/zinc/98/09/12/381980912.db2.gz CNTUJCALUUMZEM-QMTHXVAHSA-N 0 0 294.351 2.581 20 5 CFBDRN COc1cc(N[C@H]2C[C@@H]2C(F)F)c([N+](=O)[O-])cc1F ZINC000343863638 381988406 /nfs/dbraw/zinc/98/84/06/381988406.db2.gz PYLVFJUOKFLVIW-FSPLSTOPSA-N 0 0 276.214 2.808 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(c3ccccn3)CCC2)cn1 ZINC000343979579 382046942 /nfs/dbraw/zinc/04/69/42/382046942.db2.gz LIYAILKRVCABAE-UHFFFAOYSA-N 0 0 284.319 2.919 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2ncc[nH]2)c(F)c1 ZINC000374781684 382055503 /nfs/dbraw/zinc/05/55/03/382055503.db2.gz OIHTVMOOGFXTJG-GFCCVEGCSA-N 0 0 276.271 2.799 20 5 CFBDRN CN(C(=O)Nc1ccc([N+](=O)[O-])cc1F)[C@@H]1CC1(C)C ZINC000362418379 382060729 /nfs/dbraw/zinc/06/07/29/382060729.db2.gz LOTUMTNSWQLWTN-LLVKDONJSA-N 0 0 281.287 2.996 20 5 CFBDRN CC(C)n1nnnc1Sc1ccc(Cl)cc1[N+](=O)[O-] ZINC000301056350 382067026 /nfs/dbraw/zinc/06/70/26/382067026.db2.gz AOXOBRZDNKVWPL-UHFFFAOYSA-N 0 0 299.743 2.967 20 5 CFBDRN CC(C)C[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000343927474 382025115 /nfs/dbraw/zinc/02/51/15/382025115.db2.gz ONQGMYIPBFXXMM-LLVKDONJSA-N 0 0 279.340 2.964 20 5 CFBDRN Cc1nc(NC(=O)[C@@H]2C[C@]2(C)C(C)C)ccc1[N+](=O)[O-] ZINC000186840604 382027784 /nfs/dbraw/zinc/02/77/84/382027784.db2.gz NPGGUTMBLUOAAV-IINYFYTJSA-N 0 0 277.324 2.919 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1ccc(F)cn1 ZINC000357150779 382028183 /nfs/dbraw/zinc/02/81/83/382028183.db2.gz KJXQJRAUOJGZKU-UHFFFAOYSA-N 0 0 265.219 2.880 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(CO)c1 ZINC000362372794 382032871 /nfs/dbraw/zinc/03/28/71/382032871.db2.gz BLJDLFDFNVETNA-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN COc1cc(NCCc2cc(C)no2)ccc1[N+](=O)[O-] ZINC000343946677 382033830 /nfs/dbraw/zinc/03/38/30/382033830.db2.gz LJGOUSCIPYIUHA-UHFFFAOYSA-N 0 0 277.280 2.554 20 5 CFBDRN O=[N+]([O-])c1c(N[C@H]2C[C@H]2C2CC2)nc2sccn21 ZINC000362373548 382034759 /nfs/dbraw/zinc/03/47/59/382034759.db2.gz MMMIHVYVNFHVRQ-YUMQZZPRSA-N 0 0 264.310 2.514 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000357171908 382038276 /nfs/dbraw/zinc/03/82/76/382038276.db2.gz QXSJQXGSOKEYFI-UFBFGSQYSA-N 0 0 284.287 2.734 20 5 CFBDRN CC1(CO)CCN(Cc2ccc([N+](=O)[O-])cc2Cl)CC1 ZINC000186886632 382038307 /nfs/dbraw/zinc/03/83/07/382038307.db2.gz AYEFVUGANIXAJQ-UHFFFAOYSA-N 0 0 298.770 2.843 20 5 CFBDRN Cc1nc(C)c(CCNc2ccc([N+](=O)[O-])cn2)s1 ZINC000301188043 382101831 /nfs/dbraw/zinc/10/18/31/382101831.db2.gz FYQFZYAUCYYGCD-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN Cc1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)o1 ZINC000357314239 382102153 /nfs/dbraw/zinc/10/21/53/382102153.db2.gz LGVSFCPKVFGRPQ-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN Cc1ccc(CCNc2ccccc2[N+](=O)[O-])cn1 ZINC000301226253 382110648 /nfs/dbraw/zinc/11/06/48/382110648.db2.gz BTPVSYUJUXSOPD-UHFFFAOYSA-N 0 0 257.293 2.953 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000293402189 382110856 /nfs/dbraw/zinc/11/08/56/382110856.db2.gz MMXSPTJVPOMTDB-QMMMGPOBSA-N 0 0 273.235 2.929 20 5 CFBDRN Cc1ccnc(Oc2cccc([N+](=O)[O-])c2)c1[N+](=O)[O-] ZINC000301264981 382128559 /nfs/dbraw/zinc/12/85/59/382128559.db2.gz GKLLKBZDGGKUIR-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN Cc1nn(C)c(Nc2ccc(C)c(F)c2)c1[N+](=O)[O-] ZINC000301247516 382120027 /nfs/dbraw/zinc/12/00/27/382120027.db2.gz CAVGQLDMRFKGTG-UHFFFAOYSA-N 0 0 264.260 2.828 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(Oc2ccc3nccnc3n2)c1 ZINC000301257510 382124698 /nfs/dbraw/zinc/12/46/98/382124698.db2.gz UHAMIDVCKABLHE-UHFFFAOYSA-N 0 0 296.242 2.538 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCOCC2(CCC2)C1 ZINC000375197129 382071957 /nfs/dbraw/zinc/07/19/57/382071957.db2.gz KCJKGUWNQNPVTL-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN COC1(c2ccccc2)CN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000376234985 382126319 /nfs/dbraw/zinc/12/63/19/382126319.db2.gz NBGVTPPPXPWHQM-UHFFFAOYSA-N 0 0 299.330 2.660 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1ccc(F)cc1[N+](=O)[O-] ZINC000301072694 382074578 /nfs/dbraw/zinc/07/45/78/382074578.db2.gz HMDRHOHHACEXJF-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN C[C@@H](c1nc(Cc2ccc([N+](=O)[O-])cn2)no1)C(C)(F)F ZINC000293315344 382076398 /nfs/dbraw/zinc/07/63/98/382076398.db2.gz XPIJFUXGLNLHFX-ZETCQYMHSA-N 0 0 298.249 2.722 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2c1cc(F)ccc1[N+](=O)[O-] ZINC000376206525 382126418 /nfs/dbraw/zinc/12/64/18/382126418.db2.gz JMUHMNUARRXLNQ-IOJWWUGISA-N 0 0 292.310 2.594 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2C)O1 ZINC000362463010 382083211 /nfs/dbraw/zinc/08/32/11/382083211.db2.gz CZCVHTXXPBPSBT-GWCFXTLKSA-N 0 0 278.308 2.799 20 5 CFBDRN CN(C)c1cccc(CNc2ncccc2[N+](=O)[O-])c1 ZINC000301104984 382083241 /nfs/dbraw/zinc/08/32/41/382083241.db2.gz LHPBDFXMGXRJPI-UHFFFAOYSA-N 0 0 272.308 2.668 20 5 CFBDRN Cc1nnsc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000293354711 382092259 /nfs/dbraw/zinc/09/22/59/382092259.db2.gz HCWUQXSMLMGZMA-MRVPVSSYSA-N 0 0 278.337 2.606 20 5 CFBDRN Cc1cc(C)n([C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000357294063 382092355 /nfs/dbraw/zinc/09/23/55/382092355.db2.gz IDBQLHPXHTYCHT-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN CCCc1ccccc1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000301150425 382092501 /nfs/dbraw/zinc/09/25/01/382092501.db2.gz JQCSZRFCYKXCSH-UHFFFAOYSA-N 0 0 273.296 2.663 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])c1ccoc1Cl ZINC000344051255 382093626 /nfs/dbraw/zinc/09/36/26/382093626.db2.gz RRKTTXFCRIGQJE-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1ccc([N+](=O)[O-])c(OC)c1 ZINC000351970640 382099493 /nfs/dbraw/zinc/09/94/93/382099493.db2.gz GOOYAMXHKCKSCR-WCQYABFASA-N 0 0 294.351 2.603 20 5 CFBDRN C[C@@H]1CC[C@H](c2ccccc2)N(C(=O)[C@H]2C[C@H]2[N+](=O)[O-])C1 ZINC000376409110 382134313 /nfs/dbraw/zinc/13/43/13/382134313.db2.gz RZUAXOIDNSGUOH-FAAHXZRKSA-N 0 0 288.347 2.651 20 5 CFBDRN COc1cc(CSCc2nc(C)no2)ccc1[N+](=O)[O-] ZINC000352021464 382134361 /nfs/dbraw/zinc/13/43/61/382134361.db2.gz PKLBIYJLJAMAGM-UHFFFAOYSA-N 0 0 295.320 2.728 20 5 CFBDRN Cc1nn(C)c(Oc2cc(F)cc(F)c2)c1[N+](=O)[O-] ZINC000301283539 382134999 /nfs/dbraw/zinc/13/49/99/382134999.db2.gz NKOKUFYKQNZBOV-UHFFFAOYSA-N 0 0 269.207 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCOCC2(CCC2)C1 ZINC000376431355 382136046 /nfs/dbraw/zinc/13/60/46/382136046.db2.gz AADWGWWZVYRACO-UHFFFAOYSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2cccnc2[N+](=O)[O-])c(Cl)c1 ZINC000301289590 382138490 /nfs/dbraw/zinc/13/84/90/382138490.db2.gz SQIVEMCOLSAZFK-UHFFFAOYSA-N 0 0 296.626 2.739 20 5 CFBDRN CCCc1cccc(C[NH2+]CCn2cc([N+](=O)[O-])cn2)c1 ZINC000187308810 382151699 /nfs/dbraw/zinc/15/16/99/382151699.db2.gz QIWCYOSBSLIPMG-UHFFFAOYSA-N 0 0 288.351 2.534 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N[C@@H](CCO)C1CC1 ZINC000301346576 382154224 /nfs/dbraw/zinc/15/42/24/382154224.db2.gz JUUVUVTXJMCYIK-JTQLQIEISA-N 0 0 270.716 2.821 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)C12CCC2 ZINC000301354931 382157955 /nfs/dbraw/zinc/15/79/55/382157955.db2.gz NZSZVYIQMCVRQY-NWDGAFQWSA-N 0 0 277.324 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(N(C[C@H]2CCCO2)C2CCCC2)nc1 ZINC000301361128 382159257 /nfs/dbraw/zinc/15/92/57/382159257.db2.gz OASVXIJBKMPEMS-CQSZACIVSA-N 0 0 291.351 2.918 20 5 CFBDRN COc1ccc(NC(=O)[C@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000293533593 382162457 /nfs/dbraw/zinc/16/24/57/382162457.db2.gz RIVCUBFTWWONQF-ZETCQYMHSA-N 0 0 288.250 2.833 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cnn(CC[C@H]3CCOC3)c2)cc1 ZINC000418871419 382185093 /nfs/dbraw/zinc/18/50/93/382185093.db2.gz QSYSJLWVTLORFS-LBPRGKRZSA-N 0 0 287.319 2.885 20 5 CFBDRN CC(C)c1ccc(C(=O)NCC2CC2)cc1[N+](=O)[O-] ZINC000187383256 382169258 /nfs/dbraw/zinc/16/92/58/382169258.db2.gz KRMCKEXUFJVENR-UHFFFAOYSA-N 0 0 262.309 2.858 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC[C@@H](O)C(C)C ZINC000301408696 382169911 /nfs/dbraw/zinc/16/99/11/382169911.db2.gz IQNGRDMJUZUTEH-GFCCVEGCSA-N 0 0 252.314 2.722 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@@H]1CC(=O)N(C(C)(C)C)C1 ZINC000301452620 382172219 /nfs/dbraw/zinc/17/22/19/382172219.db2.gz ANLCBKCNTRQTLO-LLVKDONJSA-N 0 0 291.351 2.715 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2cnccc2C)n1 ZINC000418931912 382196094 /nfs/dbraw/zinc/19/60/94/382196094.db2.gz FJSSXRNHVUSTFL-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN Cc1nc(C2CCC2)nn1Cc1ccc([N+](=O)[O-])cc1F ZINC000418929217 382196205 /nfs/dbraw/zinc/19/62/05/382196205.db2.gz ZEPRSTMYHKXYPF-UHFFFAOYSA-N 0 0 290.298 2.950 20 5 CFBDRN CC(C)(C)Oc1cc(N2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000301863576 382197241 /nfs/dbraw/zinc/19/72/41/382197241.db2.gz GOXOKVAGUDPQGU-GFCCVEGCSA-N 0 0 294.351 2.733 20 5 CFBDRN COC1(COc2cc(C)c([N+](=O)[O-])cc2F)CCC1 ZINC000418959607 382201780 /nfs/dbraw/zinc/20/17/80/382201780.db2.gz HPSNOONJNSLYNJ-UHFFFAOYSA-N 0 0 269.272 2.990 20 5 CFBDRN CC[C@@]1(C)CCCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301966032 382202291 /nfs/dbraw/zinc/20/22/91/382202291.db2.gz KKZHRZRJEIFQPJ-AWEZNQCLSA-N 0 0 289.339 2.654 20 5 CFBDRN CC1(CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CC1 ZINC000357578384 382236769 /nfs/dbraw/zinc/23/67/69/382236769.db2.gz QNMRBWSSVSCJEJ-UHFFFAOYSA-N 0 0 268.700 2.778 20 5 CFBDRN CC[C@H](O)CCCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000357523098 382205862 /nfs/dbraw/zinc/20/58/62/382205862.db2.gz GUDJZKXRZGZANR-ZDUSSCGKSA-N 0 0 289.335 2.528 20 5 CFBDRN CCOC(=O)c1cc(NC[C@@H]2CC23CC3)ccc1[N+](=O)[O-] ZINC000418992652 382209534 /nfs/dbraw/zinc/20/95/34/382209534.db2.gz OGLMSQCTDRBMQL-JTQLQIEISA-N 0 0 290.319 2.984 20 5 CFBDRN CC[C@@H]1C[C@@H]1NC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000344228036 382214289 /nfs/dbraw/zinc/21/42/89/382214289.db2.gz VPQJRQPMEYRISZ-NEPJUHHUSA-N 0 0 292.335 2.587 20 5 CFBDRN CC(C)c1cc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)n[nH]1 ZINC000352191012 382216788 /nfs/dbraw/zinc/21/67/88/382216788.db2.gz RPKRYQWQIAESFB-UHFFFAOYSA-N 0 0 292.270 2.833 20 5 CFBDRN CCc1cc(Nc2ncc([N+](=O)[O-])cn2)ccc1C ZINC000302220096 382218561 /nfs/dbraw/zinc/21/85/61/382218561.db2.gz LVUJSKKJBWMBGM-UHFFFAOYSA-N 0 0 258.281 2.999 20 5 CFBDRN CCC[C@@]1(CO)CCN(Cc2c(F)cccc2[N+](=O)[O-])C1 ZINC000344240552 382224669 /nfs/dbraw/zinc/22/46/69/382224669.db2.gz CZYHESOCTRPLGG-OAHLLOKOSA-N 0 0 296.342 2.718 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000377157366 382227448 /nfs/dbraw/zinc/22/74/48/382227448.db2.gz FRJIMFJIJDFLTG-CQSZACIVSA-N 0 0 264.325 2.584 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COc3ccccc3[C@H]2O)cc1 ZINC000377180799 382232403 /nfs/dbraw/zinc/23/24/03/382232403.db2.gz XUMIFABNFYMVRF-DZGCQCFKSA-N 0 0 286.287 2.501 20 5 CFBDRN C[C@@H]1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])c2ccccc2S1 ZINC000363462392 382232916 /nfs/dbraw/zinc/23/29/16/382232916.db2.gz HHCNENIHOWAMMH-CKYFFXLPSA-N 0 0 292.360 2.569 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCCO[C@H]2CCC[C@H]21 ZINC000363414970 382233281 /nfs/dbraw/zinc/23/32/81/382233281.db2.gz BWJZBXYTAGYNGL-YPMHNXCESA-N 0 0 280.299 2.882 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000302267244 382233376 /nfs/dbraw/zinc/23/33/76/382233376.db2.gz RCFOZCBGLSHQNY-UFUPEUMYSA-N 0 0 272.251 2.612 20 5 CFBDRN C[C@@H]1Oc2ccccc2[C@@H]1Nc1ncccc1[N+](=O)[O-] ZINC000377194893 382234030 /nfs/dbraw/zinc/23/40/30/382234030.db2.gz SXCYLGFWQMMEBL-TVQRCGJNSA-N 0 0 271.276 2.924 20 5 CFBDRN CC[C@@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)C[C@@H](C)O1 ZINC000301811259 382193570 /nfs/dbraw/zinc/19/35/70/382193570.db2.gz XZRXKPMGGYJVKC-PSASIEDQSA-N 0 0 286.278 2.877 20 5 CFBDRN CS(=O)(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1C=CCCC1 ZINC000302616199 382278044 /nfs/dbraw/zinc/27/80/44/382278044.db2.gz FZDIPYDLWOJJHG-JTQLQIEISA-N 0 0 296.348 2.519 20 5 CFBDRN CC[C@]1(C)CN(c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000302641171 382282194 /nfs/dbraw/zinc/28/21/94/382282194.db2.gz IFAXKLSCRDNQBN-CQSZACIVSA-N 0 0 264.325 2.909 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])cn1)[C@@H]1CC[C@H](C)C1 ZINC000302660615 382285377 /nfs/dbraw/zinc/28/53/77/382285377.db2.gz DYLDANHYEJMBIP-NWDGAFQWSA-N 0 0 279.340 2.631 20 5 CFBDRN CN(Cc1cnccn1)c1nc2ccccc2cc1[N+](=O)[O-] ZINC000352354673 382285848 /nfs/dbraw/zinc/28/58/48/382285848.db2.gz CUZHSHHTRFUETH-UHFFFAOYSA-N 0 0 295.302 2.569 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@H]1CCCCO1 ZINC000352360423 382288721 /nfs/dbraw/zinc/28/87/21/382288721.db2.gz NKARGODSLNXVSR-GFCCVEGCSA-N 0 0 287.319 2.546 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000363677543 382241734 /nfs/dbraw/zinc/24/17/34/382241734.db2.gz YQBPEZJQKSURSJ-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1nnc(Sc2ccc([N+](=O)[O-])c(C)n2)n1C(C)C ZINC000302373674 382243226 /nfs/dbraw/zinc/24/32/26/382243226.db2.gz FLWLSKKHBUIJOA-UHFFFAOYSA-N 0 0 293.352 2.930 20 5 CFBDRN Cc1cc(NC[C@H]2CCC(C)(C)O2)ncc1[N+](=O)[O-] ZINC000302382483 382245332 /nfs/dbraw/zinc/24/53/32/382245332.db2.gz GXWROZQNZHVRNL-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN CC(C)C[C@H](CO)N(C)c1ccc(F)cc1[N+](=O)[O-] ZINC000302406202 382246913 /nfs/dbraw/zinc/24/69/13/382246913.db2.gz LRXQWDSVOQJBLI-LLVKDONJSA-N 0 0 270.304 2.577 20 5 CFBDRN Cc1nn(C)c(Nc2cnn([C@@H](C)C3CC3)c2)c1[N+](=O)[O-] ZINC000302410891 382247978 /nfs/dbraw/zinc/24/79/78/382247978.db2.gz LKDJRUSOMOLCAA-VIFPVBQESA-N 0 0 290.327 2.548 20 5 CFBDRN COc1cccc(N[C@H]2CCC[C@H]2CCO)c1[N+](=O)[O-] ZINC000302417140 382248447 /nfs/dbraw/zinc/24/84/47/382248447.db2.gz HZMDHAKGXOFSMK-QWRGUYRKSA-N 0 0 280.324 2.566 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@]2(CO)C[C@@H]2c2ccccc2)cn1 ZINC000357603986 382248419 /nfs/dbraw/zinc/24/84/19/382248419.db2.gz RJIBVTMITZXELG-GDBMZVCRSA-N 0 0 299.330 2.568 20 5 CFBDRN C[C@H](C1CC1)n1cc(Nc2ncccc2[N+](=O)[O-])cn1 ZINC000302431639 382249317 /nfs/dbraw/zinc/24/93/17/382249317.db2.gz OSMBVRZZWYRTSY-SECBINFHSA-N 0 0 273.296 2.901 20 5 CFBDRN COc1cc(NC[C@H]2CCC(C)(C)O2)ccc1[N+](=O)[O-] ZINC000302485952 382256831 /nfs/dbraw/zinc/25/68/31/382256831.db2.gz YLNGVURQODNXCB-LLVKDONJSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cccc(N2CC[C@H](Oc3ccncc3)C2)c1[N+](=O)[O-] ZINC000302523792 382262876 /nfs/dbraw/zinc/26/28/76/382262876.db2.gz YXARQDOTLGEDCQ-AWEZNQCLSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1cccc(N[C@@H]2C[C@H]3OCCC[C@H]23)c1[N+](=O)[O-] ZINC000302543214 382265449 /nfs/dbraw/zinc/26/54/49/382265449.db2.gz ICRGYDMHRXLWFI-RAIGVLPGSA-N 0 0 262.309 2.883 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@H]2C[C@@]23CCOC3)c([N+](=O)[O-])c1 ZINC000364130183 382269681 /nfs/dbraw/zinc/26/96/81/382269681.db2.gz RRVDZBQQSHGYPJ-IAQYHMDHSA-N 0 0 291.303 2.544 20 5 CFBDRN CC[C@H](C)CONC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000293848809 382304629 /nfs/dbraw/zinc/30/46/29/382304629.db2.gz XAGVITARYKZOQD-VIFPVBQESA-N 0 0 266.297 2.611 20 5 CFBDRN CSc1cccc(-c2nc(C)no2)c1[N+](=O)[O-] ZINC000345524992 382305107 /nfs/dbraw/zinc/30/51/07/382305107.db2.gz IQFWRTKYLHUEJR-UHFFFAOYSA-N 0 0 251.267 2.675 20 5 CFBDRN COCCN(c1ccc([N+](=O)[O-])c(C)n1)[C@@H]1CC[C@@H](C)C1 ZINC000302734958 382305655 /nfs/dbraw/zinc/30/56/55/382305655.db2.gz WCNNSWVVEYPJDS-DGCLKSJQSA-N 0 0 293.367 2.940 20 5 CFBDRN C[C@@H]1COCC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000345571367 382306589 /nfs/dbraw/zinc/30/65/89/382306589.db2.gz OSSUEIKZCJHDNW-SKDRFNHKSA-N 0 0 289.291 2.785 20 5 CFBDRN COc1cc(N(C)CC[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000302736322 382307444 /nfs/dbraw/zinc/30/74/44/382307444.db2.gz ZOUNOCMASIJXGX-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN CC(C)OC(=O)[C@@H]1CCCN1c1ccccc1[N+](=O)[O-] ZINC000302748954 382310181 /nfs/dbraw/zinc/31/01/81/382310181.db2.gz UOFPMZIVBXMBJV-ZDUSSCGKSA-N 0 0 278.308 2.515 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])c(C)n2)CCCCC1 ZINC000357775197 382314569 /nfs/dbraw/zinc/31/45/69/382314569.db2.gz OMFATQWKUHWPLK-UHFFFAOYSA-N 0 0 293.367 2.729 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000302781095 382316077 /nfs/dbraw/zinc/31/60/77/382316077.db2.gz RSANIIWBCZZUER-RKDXNWHRSA-N 0 0 299.758 2.963 20 5 CFBDRN CCOCCN(C)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000188103768 382344799 /nfs/dbraw/zinc/34/47/99/382344799.db2.gz OKEYMIXTLGKSTP-UHFFFAOYSA-N 0 0 294.351 2.827 20 5 CFBDRN COc1cc(N[C@H]2CC[C@H](OC)C2)c([N+](=O)[O-])cc1F ZINC000302784907 382318051 /nfs/dbraw/zinc/31/80/51/382318051.db2.gz UYYWRHGPDLCNOW-IUCAKERBSA-N 0 0 284.287 2.722 20 5 CFBDRN CC(C)[C@@H](CNc1ccc([N+](=O)[O-])cn1)c1ccnn1C ZINC000302792830 382320846 /nfs/dbraw/zinc/32/08/46/382320846.db2.gz ZJCLLWJJYQLVPG-GFCCVEGCSA-N 0 0 289.339 2.575 20 5 CFBDRN COc1cc(CN(C)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000352424846 382325973 /nfs/dbraw/zinc/32/59/73/382325973.db2.gz ILTIBZNGYGXVOA-UHFFFAOYSA-N 0 0 278.230 2.598 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC[C@@H]1CC1(C)C ZINC000352431501 382330324 /nfs/dbraw/zinc/33/03/24/382330324.db2.gz NJVHMMSFWNTFLS-LBPRGKRZSA-N 0 0 291.351 2.782 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000357818276 382337915 /nfs/dbraw/zinc/33/79/15/382337915.db2.gz RWHBSHUNRXJUEC-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN Cn1cccc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000293827087 382294932 /nfs/dbraw/zinc/29/49/32/382294932.db2.gz BCHZWXXMBKXYQS-UHFFFAOYSA-N 0 0 287.319 2.598 20 5 CFBDRN O=C1O[C@H](COc2ccc([N+](=O)[O-])c(F)c2)CC12CCC2 ZINC000187920512 382297582 /nfs/dbraw/zinc/29/75/82/382297582.db2.gz WTBYVQSADRHFTH-JTQLQIEISA-N 0 0 295.266 2.599 20 5 CFBDRN CN(CC[C@@H]1CCCO1)c1ncc([N+](=O)[O-])cc1Cl ZINC000302705884 382298817 /nfs/dbraw/zinc/29/88/17/382298817.db2.gz JQBBFYUHYYSJKC-JTQLQIEISA-N 0 0 285.731 2.649 20 5 CFBDRN CN1CCc2ccc(C(=O)Nc3cccc([N+](=O)[O-])c3)cc21 ZINC000346523007 382396583 /nfs/dbraw/zinc/39/65/83/382396583.db2.gz DSYKRPUWNMDKHW-UHFFFAOYSA-N 0 0 297.314 2.839 20 5 CFBDRN Nc1ccc(C(=O)N2C[C@H]3CCCCC[C@H]32)cc1[N+](=O)[O-] ZINC000378094724 382398744 /nfs/dbraw/zinc/39/87/44/382398744.db2.gz YKTGYNIDEWTGDJ-DGCLKSJQSA-N 0 0 289.335 2.582 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2OC[C@H]3CCC[C@H]23)cccc1[N+](=O)[O-] ZINC000377891935 382366881 /nfs/dbraw/zinc/36/68/81/382366881.db2.gz CKHFXCKWOOKSSJ-UHIISALHSA-N 0 0 290.319 2.657 20 5 CFBDRN CC(C)(C)CN(CCCO)Cc1c(F)cccc1[N+](=O)[O-] ZINC000303998787 382368172 /nfs/dbraw/zinc/36/81/72/382368172.db2.gz QGWUOOWWFMLMSR-UHFFFAOYSA-N 0 0 298.358 2.964 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H](O)C(F)(F)F)c(Cl)c1 ZINC000357908678 382369958 /nfs/dbraw/zinc/36/99/58/382369958.db2.gz IORGMOFKJJHNNS-VIFPVBQESA-N 0 0 298.648 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CC[C@@](O)(C3CC3)C2)c1 ZINC000365342901 382379494 /nfs/dbraw/zinc/37/94/94/382379494.db2.gz JJUJUYWNEKEXKJ-AWEZNQCLSA-N 0 0 296.754 2.595 20 5 CFBDRN O=C(NCCc1ccc[nH]1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000357938540 382381680 /nfs/dbraw/zinc/38/16/80/382381680.db2.gz WNSOMMVKTFYMRO-UHFFFAOYSA-N 0 0 299.286 2.642 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OC[C@H]2CC[C@@H](C)O2)n1 ZINC000352562239 382396081 /nfs/dbraw/zinc/39/60/81/382396081.db2.gz IDCFEKAIUHXSKK-GHMZBOCLSA-N 0 0 266.297 2.553 20 5 CFBDRN O=C(Nc1ccc2[nH]cnc2c1)c1ccc([N+](=O)[O-])s1 ZINC000352630672 382423284 /nfs/dbraw/zinc/42/32/84/382423284.db2.gz PVLWURVFZLXBFY-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN CC(C)(NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000294129477 382426141 /nfs/dbraw/zinc/42/61/41/382426141.db2.gz OLKLEWZXBGOANA-YABSGUDNSA-N 0 0 288.347 2.992 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NCC12CCC(CC1)C2 ZINC000378266349 382426996 /nfs/dbraw/zinc/42/69/96/382426996.db2.gz AMNSAXMCWJBRIR-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN CC(C)(C)CCCCNc1ncc([N+](=O)[O-])cc1C(N)=O ZINC000352641022 382428656 /nfs/dbraw/zinc/42/86/56/382428656.db2.gz WCKOZJGRKUHRKY-UHFFFAOYSA-N 0 0 294.355 2.717 20 5 CFBDRN Cc1ccnc(N2CC[C@H](C3CCOCC3)C2)c1[N+](=O)[O-] ZINC000378286265 382430005 /nfs/dbraw/zinc/43/00/05/382430005.db2.gz SIQBXFSBCHIKEI-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC)c2)C[C@H](CC)O1 ZINC000420625476 382432674 /nfs/dbraw/zinc/43/26/74/382432674.db2.gz LHODLOLVUHVSCO-BETUJISGSA-N 0 0 294.351 2.997 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H]2CCCC[C@H]21 ZINC000365764421 382439781 /nfs/dbraw/zinc/43/97/81/382439781.db2.gz QCFFYTRWLCYXJM-ZWNOBZJWSA-N 0 0 290.319 2.618 20 5 CFBDRN CCCN1C[C@@H](CNc2c(C)cccc2[N+](=O)[O-])CC1=O ZINC000420649527 382445668 /nfs/dbraw/zinc/44/56/68/382445668.db2.gz GGPHUILAOQVSCX-GFCCVEGCSA-N 0 0 291.351 2.574 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@@H]1CC12CCSCC2 ZINC000420653499 382446678 /nfs/dbraw/zinc/44/66/78/382446678.db2.gz OHPBOKMPIAYGMK-JTQLQIEISA-N 0 0 279.365 2.935 20 5 CFBDRN CCc1cnccc1CNC(=O)c1csc([N+](=O)[O-])c1 ZINC000352598065 382409725 /nfs/dbraw/zinc/40/97/25/382409725.db2.gz NMBOWWLUJBFYKH-UHFFFAOYSA-N 0 0 291.332 2.544 20 5 CFBDRN O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@@H]1CF ZINC000294098620 382413906 /nfs/dbraw/zinc/41/39/06/382413906.db2.gz JEHDBSLULVFYOS-SNVBAGLBSA-N 0 0 291.282 2.650 20 5 CFBDRN CC[C@@]1(C)CCCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420603359 382420198 /nfs/dbraw/zinc/42/01/98/382420198.db2.gz QBAIZMAAKVWPLV-HNNXBMFYSA-N 0 0 291.351 2.710 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC[C@@H](O)CC1CCCC1 ZINC000188647650 382448862 /nfs/dbraw/zinc/44/88/62/382448862.db2.gz SJOALMAKWAQEJA-ZDUSSCGKSA-N 0 0 295.335 2.923 20 5 CFBDRN Cc1[nH]nc(C(=O)Nc2ccc(C)c(Cl)c2)c1[N+](=O)[O-] ZINC000352712912 382455904 /nfs/dbraw/zinc/45/59/04/382455904.db2.gz MCGALKXBCGFSGQ-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCc2cc(Cl)ccc21 ZINC000352725625 382461798 /nfs/dbraw/zinc/46/17/98/382461798.db2.gz QUXDBLNHHVZZOE-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN CC(C)CCNC(=O)CCC(=O)c1cccc([N+](=O)[O-])c1 ZINC000294215080 382463209 /nfs/dbraw/zinc/46/32/09/382463209.db2.gz JROCOHKOFSFGSA-UHFFFAOYSA-N 0 0 292.335 2.720 20 5 CFBDRN COc1cc(CN2CCC=C(F)C2)ccc1[N+](=O)[O-] ZINC000352783351 382472270 /nfs/dbraw/zinc/47/22/70/382472270.db2.gz JNPXGHKYRAXFHU-UHFFFAOYSA-N 0 0 266.272 2.663 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000358144773 382468077 /nfs/dbraw/zinc/46/80/77/382468077.db2.gz GFGCNAULBNPCRL-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN CO[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)CC1 ZINC000378629954 382493887 /nfs/dbraw/zinc/49/38/87/382493887.db2.gz FCTAKWQGEDIVLA-JTQLQIEISA-N 0 0 298.364 2.606 20 5 CFBDRN CC[C@H](C)[C@@H](CNc1ccc([N+](=O)[O-])c(C)n1)OC ZINC000294245408 382475743 /nfs/dbraw/zinc/47/57/43/382475743.db2.gz POAHASXBFFZZCH-JOYOIKCWSA-N 0 0 267.329 2.771 20 5 CFBDRN CCC(CC)NC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000294265965 382485537 /nfs/dbraw/zinc/48/55/37/382485537.db2.gz GNEYQYQCRMDFNR-UHFFFAOYSA-N 0 0 284.287 2.661 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCc1coc2ccccc12 ZINC000358190709 382496839 /nfs/dbraw/zinc/49/68/39/382496839.db2.gz JJFHEQFRMQDHBO-UHFFFAOYSA-N 0 0 269.260 2.770 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC[C@H]1C(F)F ZINC000353196717 382524917 /nfs/dbraw/zinc/52/49/17/382524917.db2.gz UEJGUCLXZRGDKH-NSHDSACASA-N 0 0 256.252 2.824 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@H](C)C1CC1 ZINC000421418207 382529368 /nfs/dbraw/zinc/52/93/68/382529368.db2.gz HFDPXMGNHSCDIC-SNVBAGLBSA-N 0 0 262.309 2.740 20 5 CFBDRN CC[C@@H](C)N(CCO)c1cc(C)ccc1[N+](=O)[O-] ZINC000310845094 382498625 /nfs/dbraw/zinc/49/86/25/382498625.db2.gz CAGRDQBZCIEOGQ-LLVKDONJSA-N 0 0 252.314 2.500 20 5 CFBDRN C[C@@H]1COCC[C@@H]1[NH2+]Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000311511287 382505966 /nfs/dbraw/zinc/50/59/66/382505966.db2.gz SJWXVRBVFWTKLV-SKDRFNHKSA-N 0 0 284.743 2.763 20 5 CFBDRN CN(CCCc1ccccc1)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294314185 382507071 /nfs/dbraw/zinc/50/70/71/382507071.db2.gz CWPUECOBCPFSIX-UHFFFAOYSA-N 0 0 292.379 2.913 20 5 CFBDRN COc1cc(C(=O)NCCC(C)C)c([N+](=O)[O-])cc1F ZINC000294317781 382508098 /nfs/dbraw/zinc/50/80/98/382508098.db2.gz ZCRBEJWHIZPAGU-UHFFFAOYSA-N 0 0 284.287 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC[C@@H]1CCCO1 ZINC000188963877 382513852 /nfs/dbraw/zinc/51/38/52/382513852.db2.gz YVPZQAUAIODIRN-LBPRGKRZSA-N 0 0 251.282 2.851 20 5 CFBDRN Cc1nnc(NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)s1 ZINC000421451967 382541847 /nfs/dbraw/zinc/54/18/47/382541847.db2.gz LXKNNHADQDKSSI-UHFFFAOYSA-N 0 0 292.320 2.624 20 5 CFBDRN CC(C)OCCCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000383325973 382543506 /nfs/dbraw/zinc/54/35/06/382543506.db2.gz TYHXPKATIJWIFR-UHFFFAOYSA-N 0 0 289.335 2.792 20 5 CFBDRN CC[C@@H](C)CC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000189104092 382544167 /nfs/dbraw/zinc/54/41/67/382544167.db2.gz ZJRVFBVMYIJWDT-SNVBAGLBSA-N 0 0 250.298 2.647 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCC[C@H](O)C(C)C ZINC000353451959 382546546 /nfs/dbraw/zinc/54/65/46/382546546.db2.gz UFWWHRPZJNFYSH-AWEZNQCLSA-N 0 0 282.340 2.560 20 5 CFBDRN O=C(N1CCc2c1cccc2[N+](=O)[O-])[C@@]12C[C@@H]1CCC2 ZINC000421465695 382547587 /nfs/dbraw/zinc/54/75/87/382547587.db2.gz CMBQZMLCQZSOMX-BONVTDFDSA-N 0 0 272.304 2.674 20 5 CFBDRN CCO[C@H](CCNc1c([N+](=O)[O-])ncn1C)C1CCCC1 ZINC000353486205 382551645 /nfs/dbraw/zinc/55/16/45/382551645.db2.gz GMGBENUQUDCWEG-GFCCVEGCSA-N 0 0 296.371 2.726 20 5 CFBDRN C[C@H](CCCO)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000384615641 382556018 /nfs/dbraw/zinc/55/60/18/382556018.db2.gz SWGXLHCVTGWKPY-LLVKDONJSA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H](CCO)CCNc1ccc(F)cc1[N+](=O)[O-] ZINC000294967833 382556423 /nfs/dbraw/zinc/55/64/23/382556423.db2.gz OCMIAUDUJWKONS-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])n[nH]1)[C@H](C)C1CCCCC1 ZINC000353570080 382560900 /nfs/dbraw/zinc/56/09/00/382560900.db2.gz WRZJMCGZWFYTDX-VHSXEESVSA-N 0 0 294.355 2.653 20 5 CFBDRN CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)C(F)(F)F ZINC000346900162 382564111 /nfs/dbraw/zinc/56/41/11/382564111.db2.gz WGHSNAAGQLAULG-ZCFIWIBFSA-N 0 0 282.243 2.727 20 5 CFBDRN CC(C)[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000346898235 382564673 /nfs/dbraw/zinc/56/46/73/382564673.db2.gz UDXSRMAZTGBXLU-SNVBAGLBSA-N 0 0 290.241 2.912 20 5 CFBDRN Cc1ccn(C)c1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000189062248 382533943 /nfs/dbraw/zinc/53/39/43/382533943.db2.gz LTUOSZLCWFHGET-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN COC[C@]1(C)CCN(c2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000294874145 382537036 /nfs/dbraw/zinc/53/70/36/382537036.db2.gz DNBNXSXKCAWNNL-CQSZACIVSA-N 0 0 298.314 2.605 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000421573032 382585190 /nfs/dbraw/zinc/58/51/90/382585190.db2.gz GVOKICDUGOVDKO-UFBFGSQYSA-N 0 0 284.262 2.817 20 5 CFBDRN CC[C@H](C)CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000421578614 382589107 /nfs/dbraw/zinc/58/91/07/382589107.db2.gz IRALRRXOOZRHGF-VIFPVBQESA-N 0 0 250.298 2.679 20 5 CFBDRN CC[C@H](C)CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000421579647 382590366 /nfs/dbraw/zinc/59/03/66/382590366.db2.gz LFUFJYCCONUHOM-VIFPVBQESA-N 0 0 250.298 2.679 20 5 CFBDRN CC[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000346967244 382590821 /nfs/dbraw/zinc/59/08/21/382590821.db2.gz ZANNZXTYBGRIFQ-SNVBAGLBSA-N 0 0 290.241 2.594 20 5 CFBDRN CCOc1cccc(N[C@@H]2CC23CCOCC3)c1[N+](=O)[O-] ZINC000387139475 382596537 /nfs/dbraw/zinc/59/65/37/382596537.db2.gz NKWSNCZOQHOJSU-CYBMUJFWSA-N 0 0 292.335 2.975 20 5 CFBDRN COc1cc(N[C@@H]2CC23CCOCC3)c(F)cc1[N+](=O)[O-] ZINC000387221195 382597402 /nfs/dbraw/zinc/59/74/02/382597402.db2.gz ZQFYRTQBLPAVRH-CYBMUJFWSA-N 0 0 296.298 2.724 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NC[C@H]2CCCS2)s1 ZINC000388141279 382603333 /nfs/dbraw/zinc/60/33/33/382603333.db2.gz OHEGSOLBBJVFQV-SSDOTTSWSA-N 0 0 296.377 2.907 20 5 CFBDRN Cc1nn([C@H](C)c2ccccc2[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000295277012 382605572 /nfs/dbraw/zinc/60/55/72/382605572.db2.gz IFGJWHGAHHHGSO-SECBINFHSA-N 0 0 276.252 2.617 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCc1ccc(O)cc1 ZINC000388472209 382607391 /nfs/dbraw/zinc/60/73/91/382607391.db2.gz ZKCLKQNCGYNKSJ-UHFFFAOYSA-N 0 0 295.298 2.883 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CCO[C@H](C)C1)c1ccc([N+](=O)[O-])cc1 ZINC000295290879 382608934 /nfs/dbraw/zinc/60/89/34/382608934.db2.gz IPYCSPHTFHBERO-MDZLAQPJSA-N 0 0 292.335 2.587 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2N)CC1 ZINC000189471394 382613537 /nfs/dbraw/zinc/61/35/37/382613537.db2.gz MNNNXOYJHOGIEI-WDEREUQCSA-N 0 0 291.351 2.876 20 5 CFBDRN Cc1nn(CC(=O)CCC2CCCC2)cc1[N+](=O)[O-] ZINC000295329256 382618235 /nfs/dbraw/zinc/61/82/35/382618235.db2.gz MFHKORGDLKMOIH-UHFFFAOYSA-N 0 0 265.313 2.639 20 5 CFBDRN COc1ccc(OC[C@@H](O)CC2CCCC2)cc1[N+](=O)[O-] ZINC000189572262 382625712 /nfs/dbraw/zinc/62/57/12/382625712.db2.gz COZRQMNZSPHJHT-LBPRGKRZSA-N 0 0 295.335 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(OCC[NH+]2CCC(CF)CC2)cc1 ZINC000295198141 382580634 /nfs/dbraw/zinc/58/06/34/382580634.db2.gz UVFAQMOMTGTGJX-UHFFFAOYSA-N 0 0 282.315 2.655 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H]1CC[C@H](C)O1 ZINC000421562891 382581734 /nfs/dbraw/zinc/58/17/34/382581734.db2.gz YIIVSSSSDAONOV-NWDGAFQWSA-N 0 0 292.335 2.509 20 5 CFBDRN CC[C@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1[O-] ZINC000189795391 382641092 /nfs/dbraw/zinc/64/10/92/382641092.db2.gz PZEZNWCJUJZILB-QMMMGPOBSA-N 0 0 252.270 2.675 20 5 CFBDRN CC[C@H](C)CC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000189942587 382646377 /nfs/dbraw/zinc/64/63/77/382646377.db2.gz XTJCWOVJUXQLTL-NSHDSACASA-N 0 0 264.325 2.690 20 5 CFBDRN C[C@@H]1CC[C@H](CSc2ccc([N+](=O)[O-])cn2)O1 ZINC000190026653 382650963 /nfs/dbraw/zinc/65/09/63/382650963.db2.gz VBQMAWKPKIDEQQ-PSASIEDQSA-N 0 0 254.311 2.649 20 5 CFBDRN CCCCCCC(=O)Cn1nc(C)c([N+](=O)[O-])c1C ZINC000190208066 382657038 /nfs/dbraw/zinc/65/70/38/382657038.db2.gz WMKSCHCRFFYAFJ-UHFFFAOYSA-N 0 0 267.329 2.948 20 5 CFBDRN Cc1c(NC(=O)CCOCC(F)F)cccc1[N+](=O)[O-] ZINC000190427396 382667537 /nfs/dbraw/zinc/66/75/37/382667537.db2.gz NLXMMFRHAAGEEI-UHFFFAOYSA-N 0 0 288.250 2.514 20 5 CFBDRN CCOc1cc(OCC(O)(CC)CC)ccc1[N+](=O)[O-] ZINC000190691681 382677898 /nfs/dbraw/zinc/67/78/98/382677898.db2.gz UKPUAQJODWPMRG-UHFFFAOYSA-N 0 0 283.324 2.923 20 5 CFBDRN COc1ccc(OC(=O)[C@H]2C[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000191019835 382688556 /nfs/dbraw/zinc/68/85/56/382688556.db2.gz RYERGHZINQCNMZ-MNOVXSKESA-N 0 0 279.292 2.801 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000191019849 382688608 /nfs/dbraw/zinc/68/86/08/382688608.db2.gz RYERGHZINQCNMZ-WDEREUQCSA-N 0 0 279.292 2.801 20 5 CFBDRN CCOC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1C)C(C)C ZINC000190951537 382685975 /nfs/dbraw/zinc/68/59/75/382685975.db2.gz ZVYYXRZYUKIYBY-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN CCOC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000190954550 382686157 /nfs/dbraw/zinc/68/61/57/382686157.db2.gz HUXXEQJYOILXPN-AWEZNQCLSA-N 0 0 294.351 2.694 20 5 CFBDRN Cc1csc([C@H](C)Nc2ncc([N+](=O)[O-])s2)n1 ZINC000189687408 382635589 /nfs/dbraw/zinc/63/55/89/382635589.db2.gz XWRWYECGVWJHPE-LURJTMIESA-N 0 0 270.339 2.989 20 5 CFBDRN CCOC(C)(C)CNC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000189713204 382636852 /nfs/dbraw/zinc/63/68/52/382636852.db2.gz SMUGRLVCVPXVCB-UHFFFAOYSA-N 0 0 286.353 2.510 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)CCS1 ZINC000191358642 382699069 /nfs/dbraw/zinc/69/90/69/382699069.db2.gz RZLKYZSKIOCNTM-MRVPVSSYSA-N 0 0 286.378 2.624 20 5 CFBDRN Cc1ccc(C(=O)N2CCS[C@@H](C)CC2)cc1[N+](=O)[O-] ZINC000191382738 382699489 /nfs/dbraw/zinc/69/94/89/382699489.db2.gz RLJVQWGKODHUBX-NSHDSACASA-N 0 0 294.376 2.871 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C)c(F)c2)n1 ZINC000191453260 382700639 /nfs/dbraw/zinc/70/06/39/382700639.db2.gz IQABLJSTKSNGDY-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN CC(C)n1ccc(NCc2cccc([N+](=O)[O-])c2)n1 ZINC000279970800 194649299 /nfs/dbraw/zinc/64/92/99/194649299.db2.gz DJGXAOVSFCEOKQ-UHFFFAOYSA-N 0 0 260.297 2.984 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H](CCO)c2ccccc2)s1 ZINC000192462242 382709164 /nfs/dbraw/zinc/70/91/64/382709164.db2.gz KOVIUPZWXMTUOD-LLVKDONJSA-N 0 0 293.348 2.629 20 5 CFBDRN CCc1cnc(CN(C)c2ncc([N+](=O)[O-])s2)s1 ZINC000192475876 382709292 /nfs/dbraw/zinc/70/92/92/382709292.db2.gz XUEXJZBKZAGHHI-UHFFFAOYSA-N 0 0 284.366 2.707 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])s1)C(C)(C)C ZINC000192473219 382709300 /nfs/dbraw/zinc/70/93/00/382709300.db2.gz QHGGSDRVLTVSGG-SSDOTTSWSA-N 0 0 259.331 2.524 20 5 CFBDRN CCn1nc(C)c(Nc2ncc([N+](=O)[O-])s2)c1C ZINC000192467340 382709301 /nfs/dbraw/zinc/70/93/01/382709301.db2.gz RRGIGGSHTHLZNQ-UHFFFAOYSA-N 0 0 267.314 2.628 20 5 CFBDRN C[C@H](Cc1ccc(O)cc1)N(C)c1ncc([N+](=O)[O-])s1 ZINC000192485918 382709450 /nfs/dbraw/zinc/70/94/50/382709450.db2.gz DTQXCANVQDHNCS-SECBINFHSA-N 0 0 293.348 2.824 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCSC(F)(F)F ZINC000192502895 382709551 /nfs/dbraw/zinc/70/95/51/382709551.db2.gz CGWHOBCLTXBIOW-UHFFFAOYSA-N 0 0 298.290 2.785 20 5 CFBDRN CC(C)N(CC1CCC1)C(=O)c1cccc([N+](=O)[O-])c1N ZINC000193009522 382714658 /nfs/dbraw/zinc/71/46/58/382714658.db2.gz NKDRMVMSEAUVKA-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN CS[C@H](C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000193114763 382715949 /nfs/dbraw/zinc/71/59/49/382715949.db2.gz WWNXBKFBFKETCN-SECBINFHSA-N 0 0 268.338 2.902 20 5 CFBDRN CC(C)CCOCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000193397437 382719514 /nfs/dbraw/zinc/71/95/14/382719514.db2.gz PSHRVEFXTBLPPF-UHFFFAOYSA-N 0 0 267.329 2.652 20 5 CFBDRN Cc1nccn1CCCCNc1cc(N)ccc1[N+](=O)[O-] ZINC000193454760 382719992 /nfs/dbraw/zinc/71/99/92/382719992.db2.gz CQZHSBOEVFZCLX-UHFFFAOYSA-N 0 0 289.339 2.574 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC[C@H]2CCCCO2)c1 ZINC000193496920 382720366 /nfs/dbraw/zinc/72/03/66/382720366.db2.gz UVSDCAVARLLBEO-LLVKDONJSA-N 0 0 265.313 2.548 20 5 CFBDRN C[C@@](O)(CNc1cc(N)ccc1[N+](=O)[O-])C1CCCCC1 ZINC000193526596 382720817 /nfs/dbraw/zinc/72/08/17/382720817.db2.gz MIXHTVMGRJWPKW-OAHLLOKOSA-N 0 0 293.367 2.920 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NCCC1(C)CC1 ZINC000279993101 194659730 /nfs/dbraw/zinc/65/97/30/194659730.db2.gz KOFSNERVMCRJOG-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN CC1CCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000193596704 382721358 /nfs/dbraw/zinc/72/13/58/382721358.db2.gz MUKBDDVZACMMGT-UHFFFAOYSA-N 0 0 279.296 2.735 20 5 CFBDRN Cc1cc(NC(=O)N[C@H](C)[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000193709160 382722788 /nfs/dbraw/zinc/72/27/88/382722788.db2.gz FNZKVKNDTXHMQQ-MFKMUULPSA-N 0 0 293.323 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)cn1 ZINC000575005080 382723642 /nfs/dbraw/zinc/72/36/42/382723642.db2.gz AEPNQABLGKEYSZ-MRVWCRGKSA-N 0 0 289.335 2.749 20 5 CFBDRN CC(C)(CNC(=O)c1c[nH]nc1[N+](=O)[O-])C1CCCCC1 ZINC000575074275 382726092 /nfs/dbraw/zinc/72/60/92/382726092.db2.gz NUVMHWODQOMZBU-UHFFFAOYSA-N 0 0 294.355 2.654 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1c1ccccc1)c1cc([O-])ccc1[N+](=O)[O-] ZINC000336864876 382736566 /nfs/dbraw/zinc/73/65/66/382736566.db2.gz SBZLFTCNPBSPTH-TZMCWYRMSA-N 0 0 298.298 2.586 20 5 CFBDRN CCc1cnc(CNc2ncc([N+](=O)[O-])s2)s1 ZINC000193854290 382727052 /nfs/dbraw/zinc/72/70/52/382727052.db2.gz ULTAHZALSKZFMT-UHFFFAOYSA-N 0 0 270.339 2.682 20 5 CFBDRN COC(=O)[C@]1(C)CN(c2c(C)cccc2[N+](=O)[O-])C[C@@H]1C ZINC000336882444 382736640 /nfs/dbraw/zinc/73/66/40/382736640.db2.gz BZAPMGBTMVGRSK-XHDPSFHLSA-N 0 0 292.335 2.539 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCC[C@@H]2OC(F)F)nc1 ZINC000336880737 382736666 /nfs/dbraw/zinc/73/66/66/382736666.db2.gz AEPMTTIRVYMOEY-UWVGGRQHSA-N 0 0 287.266 2.952 20 5 CFBDRN O=[N+]([O-])c1c(NCCC2CCOCC2)nc2sccn21 ZINC000336844353 382733074 /nfs/dbraw/zinc/73/30/74/382733074.db2.gz ZFJZMHSBAAUVIU-UHFFFAOYSA-N 0 0 296.352 2.533 20 5 CFBDRN COc1cc(C(=O)N(C)C2CCCC2)ccc1[N+](=O)[O-] ZINC000195245694 382765126 /nfs/dbraw/zinc/76/51/26/382765126.db2.gz URIBQJOICGVUAM-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)CC1CCCC1 ZINC000195273940 382765424 /nfs/dbraw/zinc/76/54/24/382765424.db2.gz DUQNCONASIRJLG-UHFFFAOYSA-N 0 0 277.324 2.560 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1Cc1cnc2ccccc2c1 ZINC000337201501 382775833 /nfs/dbraw/zinc/77/58/33/382775833.db2.gz CZMGHSKPBVPXIY-UHFFFAOYSA-N 0 0 295.298 2.661 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)Nc1cncc(F)c1 ZINC000337247311 382785208 /nfs/dbraw/zinc/78/52/08/382785208.db2.gz KDKNTTZOXNUGRN-UHFFFAOYSA-N 0 0 276.227 2.773 20 5 CFBDRN COCC[C@@H](C)Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000218852328 382790940 /nfs/dbraw/zinc/79/09/40/382790940.db2.gz XHYSPBAASGICJE-MRVPVSSYSA-N 0 0 272.276 2.579 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCc2cccc3[nH]ccc32)nc1 ZINC000336893239 382738334 /nfs/dbraw/zinc/73/83/34/382738334.db2.gz KZOJFNAUOYHEQJ-UHFFFAOYSA-N 0 0 282.303 2.548 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC(C1CC1)C1CC1 ZINC000194382609 382742633 /nfs/dbraw/zinc/74/26/33/382742633.db2.gz JJDWWZMJAYAJJM-UHFFFAOYSA-N 0 0 274.320 2.822 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@H](F)C(F)(F)F ZINC000336977595 382748042 /nfs/dbraw/zinc/74/80/42/382748042.db2.gz YQNCJNXWXDCQSF-LURJTMIESA-N 0 0 270.207 2.982 20 5 CFBDRN CSC1(CNC(=O)c2c(C)cccc2[N+](=O)[O-])CCC1 ZINC000194609079 382749904 /nfs/dbraw/zinc/74/99/04/382749904.db2.gz WTVWJZJVIBRFKV-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN CC1(NC(=O)CNc2ccccc2[N+](=O)[O-])CCCCC1 ZINC000194929250 382757753 /nfs/dbraw/zinc/75/77/53/382757753.db2.gz QZKRWRNMJNYHKJ-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN Cc1cc(NCC[C@H](C)O)c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000228485181 382846905 /nfs/dbraw/zinc/84/69/05/382846905.db2.gz KATNPFGDKNHRMV-JTQLQIEISA-N 0 0 275.308 2.634 20 5 CFBDRN Cc1cc(N[C@@H](C)CCO)c2cc([N+](=O)[O-])ccc2[nH+]1 ZINC000228485378 382847054 /nfs/dbraw/zinc/84/70/54/382847054.db2.gz LTHZWRAJJGBBLN-VIFPVBQESA-N 0 0 275.308 2.634 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])Nc1ccncc1 ZINC000221765713 382799525 /nfs/dbraw/zinc/79/95/25/382799525.db2.gz FXPJIANTFWZAFW-RQOWECAXSA-N 0 0 287.250 2.781 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CCC[C@@H]1CF)CCCC2 ZINC000576032946 382808231 /nfs/dbraw/zinc/80/82/31/382808231.db2.gz AXMJHPPPSVPCMR-LLVKDONJSA-N 0 0 279.315 2.807 20 5 CFBDRN CO[C@@H](C)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000224349104 382810579 /nfs/dbraw/zinc/81/05/79/382810579.db2.gz DSGSSOWGMXCYMS-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN COc1cccc(CNc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000225082233 382812645 /nfs/dbraw/zinc/81/26/45/382812645.db2.gz KJLASPBXAXMMMV-UHFFFAOYSA-N 0 0 277.255 2.750 20 5 CFBDRN COCCC1(CNc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000225364279 382814692 /nfs/dbraw/zinc/81/46/92/382814692.db2.gz PZUHFFAVKSEZHO-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3CCCC[C@@H]32)s1 ZINC000576275701 382816718 /nfs/dbraw/zinc/81/67/18/382816718.db2.gz KFDUAUGHCHTTPQ-IUCAKERBSA-N 0 0 253.327 2.820 20 5 CFBDRN CC(C)C[C@@H](C(=O)[O-])N(C)Cc1csc([N+](=O)[O-])c1 ZINC000576285370 382817413 /nfs/dbraw/zinc/81/74/13/382817413.db2.gz FCJPRSCCQZPQAQ-JTQLQIEISA-N 0 0 286.353 2.587 20 5 CFBDRN Cc1cc(N2CC3(CCC3)[C@@H]3COC[C@H]32)ccc1[N+](=O)[O-] ZINC000576366034 382820278 /nfs/dbraw/zinc/82/02/78/382820278.db2.gz GWGCWZCEIPESNS-UKRRQHHQSA-N 0 0 288.347 2.909 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000576366140 382820394 /nfs/dbraw/zinc/82/03/94/382820394.db2.gz FEUNAFJOYKHYOZ-GWCFXTLKSA-N 0 0 297.330 2.992 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000576366145 382820458 /nfs/dbraw/zinc/82/04/58/382820458.db2.gz FEUNAFJOYKHYOZ-MFKMUULPSA-N 0 0 297.330 2.992 20 5 CFBDRN C[C@@H](O)C1CCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000225741480 382821023 /nfs/dbraw/zinc/82/10/23/382821023.db2.gz FHOMENISRGKTRV-SECBINFHSA-N 0 0 284.743 2.845 20 5 CFBDRN CCC(CC)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1F ZINC000225748093 382821155 /nfs/dbraw/zinc/82/11/55/382821155.db2.gz SGGDWTIDBOITQK-ZDUSSCGKSA-N 0 0 270.304 2.943 20 5 CFBDRN CC[C@H]1C[C@@H]1Nc1ncc([N+](=O)[O-])cc1Br ZINC000226357578 382827283 /nfs/dbraw/zinc/82/72/83/382827283.db2.gz MHHRAWBFNLNBNX-RCOVLWMOSA-N 0 0 286.129 2.963 20 5 CFBDRN Cc1cc([C@@H](C)NCc2cccc([N+](=O)[O-])c2C)nn1C ZINC000337483051 382829003 /nfs/dbraw/zinc/82/90/03/382829003.db2.gz RLDAPAWVMFJHDC-GFCCVEGCSA-N 0 0 288.351 2.796 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000227012732 382832157 /nfs/dbraw/zinc/83/21/57/382832157.db2.gz LNSJCSVJARUVQQ-GMSGAONNSA-N 0 0 251.242 2.713 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H](O)CC(C)(C)C ZINC000227357622 382836100 /nfs/dbraw/zinc/83/61/00/382836100.db2.gz CXXUJYZHOINYGS-LLVKDONJSA-N 0 0 267.329 2.507 20 5 CFBDRN CCc1nn(C)c(NCCSC(F)(F)F)c1[N+](=O)[O-] ZINC000227535073 382837708 /nfs/dbraw/zinc/83/77/08/382837708.db2.gz NHXFKTLDOPCOBS-UHFFFAOYSA-N 0 0 298.290 2.556 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCc2ccccn2)c(F)c1 ZINC000227887349 382842069 /nfs/dbraw/zinc/84/20/69/382842069.db2.gz LQDHUYAESQFCMV-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN CCC(CC)(CO)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000227891263 382842111 /nfs/dbraw/zinc/84/21/11/382842111.db2.gz LUYUPHDFYBCHIQ-UHFFFAOYSA-N 0 0 274.267 2.836 20 5 CFBDRN CSC1(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000227991091 382843255 /nfs/dbraw/zinc/84/32/55/382843255.db2.gz SJGAXYMVGWLFHV-UHFFFAOYSA-N 0 0 283.309 2.811 20 5 CFBDRN C[C@]1(O)CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 ZINC000229869124 382853292 /nfs/dbraw/zinc/85/32/92/382853292.db2.gz GHGJMUQDLCEEQW-NSHDSACASA-N 0 0 290.241 2.575 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCc2sc(Cl)cc2C1 ZINC000577276808 382853764 /nfs/dbraw/zinc/85/37/64/382853764.db2.gz DUBQRWVWAODXCC-UHFFFAOYSA-N 0 0 298.755 2.606 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC[C@H](C)CO)c1 ZINC000230146974 382856417 /nfs/dbraw/zinc/85/64/17/382856417.db2.gz AGMVSKHDZGFTAL-NSHDSACASA-N 0 0 252.314 2.724 20 5 CFBDRN O=[N+]([O-])c1cncc(Br)c1NCc1ccco1 ZINC000230175722 382857095 /nfs/dbraw/zinc/85/70/95/382857095.db2.gz KBIAFWQSTALNPR-UHFFFAOYSA-N 0 0 298.096 2.957 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1ncc(Br)cc1[N+](=O)[O-] ZINC000230215178 382857521 /nfs/dbraw/zinc/85/75/21/382857521.db2.gz XBYOSXNWVDYUPK-HTRCEHHLSA-N 0 0 286.129 2.963 20 5 CFBDRN C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])C1CCOCC1 ZINC000230217378 382857595 /nfs/dbraw/zinc/85/75/95/382857595.db2.gz DEKPQMWAGSVMAK-VIFPVBQESA-N 0 0 268.288 2.961 20 5 CFBDRN CC[C@@H](C)NC(=O)[C@H](C)Sc1cccc([N+](=O)[O-])c1 ZINC000337765485 382863221 /nfs/dbraw/zinc/86/32/21/382863221.db2.gz SHOYIXQUCFJJFA-ZJUUUORDSA-N 0 0 282.365 2.990 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@H](O)C2)c(F)c1 ZINC000230909158 382863332 /nfs/dbraw/zinc/86/33/32/382863332.db2.gz JFJNUJGOWSELDA-ONGXEEELSA-N 0 0 268.288 2.697 20 5 CFBDRN Cc1c(NC(=O)[C@@H]2C[C@@H]2C(F)F)cccc1[N+](=O)[O-] ZINC000337771129 382863522 /nfs/dbraw/zinc/86/35/22/382863522.db2.gz VKGALEGVLMPQCQ-JGVFFNPUSA-N 0 0 270.235 2.743 20 5 CFBDRN CCC[C@H](CCO)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000231149824 382864456 /nfs/dbraw/zinc/86/44/56/382864456.db2.gz KYOUXGLDKOMKPW-LLVKDONJSA-N 0 0 282.340 2.814 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NCCCOC(F)F ZINC000337805414 382867028 /nfs/dbraw/zinc/86/70/28/382867028.db2.gz KIGUBSULHZFLRH-UHFFFAOYSA-N 0 0 297.261 2.606 20 5 CFBDRN Cc1cc(NC(=O)[C@@H]2C[C@H]2C(F)F)ccc1[N+](=O)[O-] ZINC000337818544 382868549 /nfs/dbraw/zinc/86/85/49/382868549.db2.gz OBFWRWXZCMJHKL-RKDXNWHRSA-N 0 0 270.235 2.743 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(SCCC(C)C)n1 ZINC000234553433 382874614 /nfs/dbraw/zinc/87/46/14/382874614.db2.gz KCROOOVQVRTHEI-UHFFFAOYSA-N 0 0 284.337 2.915 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(S[C@H](C)C(C)C)n1 ZINC000234553652 382874722 /nfs/dbraw/zinc/87/47/22/382874722.db2.gz BNBAHRGBEJGKTI-MRVPVSSYSA-N 0 0 284.337 2.913 20 5 CFBDRN COc1c(C(=O)NC[C@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000533742881 382910763 /nfs/dbraw/zinc/91/07/63/382910763.db2.gz MRFXKESUQPRZMR-WDEREUQCSA-N 0 0 292.335 2.769 20 5 CFBDRN CC[C@H](C)CNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000421597316 382882712 /nfs/dbraw/zinc/88/27/12/382882712.db2.gz UVRNBIXQPKIPCI-JTQLQIEISA-N 0 0 282.365 2.849 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC[C@H]1CC12CC2 ZINC000493730438 382887051 /nfs/dbraw/zinc/88/70/51/382887051.db2.gz XTDHXXVFINBOJC-BTDICHCPSA-N 0 0 272.304 2.524 20 5 CFBDRN C[C@@H](c1ccco1)N(Cc1c([N+](=O)[O-])ncn1C)C1CC1 ZINC000440905136 382887783 /nfs/dbraw/zinc/88/77/83/382887783.db2.gz OWRTVXCITZYMFR-JTQLQIEISA-N 0 0 290.323 2.647 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N[C@H]1CCC[C@H]1F ZINC000493735502 382888369 /nfs/dbraw/zinc/88/83/69/382888369.db2.gz BWTWHALBIXSONN-BAEOLTKYSA-N 0 0 296.273 2.754 20 5 CFBDRN CCC1(NC(=O)/C=C\c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000493743026 382889981 /nfs/dbraw/zinc/88/99/81/382889981.db2.gz UVTPAMBULHUOQB-XQRVVYSFSA-N 0 0 278.283 2.806 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1ccccn1)c1cccc([N+](=O)[O-])c1 ZINC000578109846 382892096 /nfs/dbraw/zinc/89/20/96/382892096.db2.gz XMGJVZDDGPIUFT-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)[C@H]1C[C@H]1C(F)F ZINC000337993186 382892245 /nfs/dbraw/zinc/89/22/45/382892245.db2.gz DCEZQDLGCAZOHS-BDAKNGLRSA-N 0 0 274.198 2.574 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCc1cccc(F)c1 ZINC000338000924 382896041 /nfs/dbraw/zinc/89/60/41/382896041.db2.gz RKZCDQAAJVNKKF-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CCc1nc(C(C)(C)NCc2csc([N+](=O)[O-])c2)no1 ZINC000441220109 382900938 /nfs/dbraw/zinc/90/09/38/382900938.db2.gz AYBVPWHDEUVRPR-UHFFFAOYSA-N 0 0 296.352 2.627 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCC[C@@H](C)F ZINC000455354352 382901728 /nfs/dbraw/zinc/90/17/28/382901728.db2.gz FHZSDEJAGQLCOX-SECBINFHSA-N 0 0 299.302 2.863 20 5 CFBDRN CSC1(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000495130037 382963805 /nfs/dbraw/zinc/96/38/05/382963805.db2.gz RGYMQPKCKHQJFB-UHFFFAOYSA-N 0 0 294.376 2.539 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NC[C@H]1C[C@@H]1C ZINC000421748457 382913769 /nfs/dbraw/zinc/91/37/69/382913769.db2.gz FKSGAECFHSYKPP-GZMMTYOYSA-N 0 0 262.309 2.597 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1C[C@@H]1C[C@H]1c1ccccc1 ZINC000578358060 382914140 /nfs/dbraw/zinc/91/41/40/382914140.db2.gz QKJFFFPUTAAPMX-AAEUAGOBSA-N 0 0 288.278 2.699 20 5 CFBDRN CCOc1cc(N2CC[C@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000534301306 382922881 /nfs/dbraw/zinc/92/28/81/382922881.db2.gz PRTLRIHJXWGHFV-ZDUSSCGKSA-N 0 0 268.288 2.932 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCC(C)(C)O1 ZINC000578510714 382927159 /nfs/dbraw/zinc/92/71/59/382927159.db2.gz YUZAHXAISLIOOJ-GFCCVEGCSA-N 0 0 293.323 2.533 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CCc2ccncc21 ZINC000421798958 382927712 /nfs/dbraw/zinc/92/77/12/382927712.db2.gz CREPBZWOHZBBFQ-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCOC(C)(C)C ZINC000578522175 382929354 /nfs/dbraw/zinc/92/93/54/382929354.db2.gz DEFVNIMHLHJZGX-UHFFFAOYSA-N 0 0 268.313 2.830 20 5 CFBDRN O=c1oc2ccc(OCc3cccc([N+](=O)[O-])c3)cc2o1 ZINC000442084358 382929564 /nfs/dbraw/zinc/92/95/64/382929564.db2.gz GCNXSGVXSMETGO-UHFFFAOYSA-N 0 0 287.227 2.873 20 5 CFBDRN Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cs1 ZINC000338132791 382929842 /nfs/dbraw/zinc/92/98/42/382929842.db2.gz OFIQZROGNQXTGF-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN COc1ccc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)nc1 ZINC000338288881 382978656 /nfs/dbraw/zinc/97/86/56/382978656.db2.gz RFTIDDHNNHYQBS-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])c(C)c2)nc1 ZINC000457217306 382993923 /nfs/dbraw/zinc/99/39/23/382993923.db2.gz XWBMDIQHVVUYBQ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CC[C@@]1(C(C)C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000457226726 382997178 /nfs/dbraw/zinc/99/71/78/382997178.db2.gz DCXQWOGWXJWEIF-GWCFXTLKSA-N 0 0 266.297 2.742 20 5 CFBDRN O=C(N[C@@H](C1CC1)C1CCC1)c1csc([N+](=O)[O-])c1 ZINC000457228684 382997796 /nfs/dbraw/zinc/99/77/96/382997796.db2.gz XTRXZMGMDPDCOU-GFCCVEGCSA-N 0 0 280.349 2.965 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@H](C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000457233976 382998618 /nfs/dbraw/zinc/99/86/18/382998618.db2.gz SSAXJAFBOWAONU-SECBINFHSA-N 0 0 296.371 2.825 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCC2CCOCC2)cc1CO ZINC000447789050 383005582 /nfs/dbraw/zinc/00/55/82/383005582.db2.gz QNWPTLGDZXFUSH-UHFFFAOYSA-N 0 0 295.335 2.673 20 5 CFBDRN CC[C@H]1CN(Cc2ccc(C)c([N+](=O)[O-])c2)C[C@@H](C)O1 ZINC000245562755 383008394 /nfs/dbraw/zinc/00/83/94/383008394.db2.gz PIBLEOVYBIGYGH-OCCSQVGLSA-N 0 0 278.352 2.903 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCCCC1CCOCC1 ZINC000447924924 383030350 /nfs/dbraw/zinc/03/03/50/383030350.db2.gz HUSPQKRZLXNDEP-UHFFFAOYSA-N 0 0 299.396 2.768 20 5 CFBDRN C[C@@H]1CC[C@@H](CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)O1 ZINC000457349210 383030804 /nfs/dbraw/zinc/03/08/04/383030804.db2.gz ATCFNJYXDWLBAT-PELKAZGASA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@H]1CC[C@H](CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])O1 ZINC000457351535 383031012 /nfs/dbraw/zinc/03/10/12/383031012.db2.gz DMHLIFQFEBNHQA-DTWKUNHWSA-N 0 0 298.726 2.546 20 5 CFBDRN COC1(CCNc2nc(C)cc(C)c2[N+](=O)[O-])CCC1 ZINC000338730853 383031674 /nfs/dbraw/zinc/03/16/74/383031674.db2.gz FJJPZNMLEFKCCC-UHFFFAOYSA-N 0 0 279.340 2.978 20 5 CFBDRN CC1(C)CCC[C@@]1(O)CNc1ccc([N+](=O)[O-])cc1 ZINC000338734420 383032486 /nfs/dbraw/zinc/03/24/86/383032486.db2.gz PEPVZBSYEMRGTH-CQSZACIVSA-N 0 0 264.325 2.948 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCCC1(COC)CCC1 ZINC000338745229 383036766 /nfs/dbraw/zinc/03/67/66/383036766.db2.gz WFRSKVVGRKPCHP-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN CCOC(=O)c1cc(NCC[C@@H](C)F)ccc1[N+](=O)[O-] ZINC000338750385 383038211 /nfs/dbraw/zinc/03/82/11/383038211.db2.gz LXOLGRQQXJCDIW-SECBINFHSA-N 0 0 284.287 2.932 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000246495553 383078521 /nfs/dbraw/zinc/07/85/21/383078521.db2.gz RMCNZNNSZKBZIF-JFGNBEQYSA-N 0 0 262.309 2.736 20 5 CFBDRN COC(=O)c1cc(NCC[C@@H](C)F)cc(C)c1[N+](=O)[O-] ZINC000338759359 383041186 /nfs/dbraw/zinc/04/11/86/383041186.db2.gz XPHDKJNRCUHQJB-SECBINFHSA-N 0 0 284.287 2.850 20 5 CFBDRN CCOC(=O)CNc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 ZINC000551358935 383041271 /nfs/dbraw/zinc/04/12/71/383041271.db2.gz XHONUZVTUWPTLJ-UHFFFAOYSA-N 0 0 280.324 2.867 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCC[C@H](C)F)c1 ZINC000338757591 383041587 /nfs/dbraw/zinc/04/15/87/383041587.db2.gz UYBGKXCIBTUBAK-QMMMGPOBSA-N 0 0 270.260 2.541 20 5 CFBDRN Cc1cnc(NC[C@H](CO)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000338769596 383045368 /nfs/dbraw/zinc/04/53/68/383045368.db2.gz WAWXFZFSELZDIG-CYBMUJFWSA-N 0 0 293.367 2.899 20 5 CFBDRN C[C@@H](C(=O)NCCc1ccccc1[N+](=O)[O-])C1CCC1 ZINC000457602194 383047408 /nfs/dbraw/zinc/04/74/08/383047408.db2.gz FBUUGCZKXFOXPZ-LLVKDONJSA-N 0 0 276.336 2.690 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)ccn1 ZINC000448344419 383054952 /nfs/dbraw/zinc/05/49/52/383054952.db2.gz FNONXDIRDKTWGW-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000579846571 383060432 /nfs/dbraw/zinc/06/04/32/383060432.db2.gz VVDLQHOYEKKUIK-NXEZZACHSA-N 0 0 298.289 2.798 20 5 CFBDRN CN(Cc1ccoc1)C(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000338868454 383071561 /nfs/dbraw/zinc/07/15/61/383071561.db2.gz RKSGMJIIWYZUOX-UHFFFAOYSA-N 0 0 299.286 2.941 20 5 CFBDRN CCCNC(=O)c1cc(C(F)(F)F)ccc1[N+](=O)[O-] ZINC000448566700 383073014 /nfs/dbraw/zinc/07/30/14/383073014.db2.gz TYNZEPVDUYHJIC-UHFFFAOYSA-N 0 0 276.214 2.753 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000457326262 383023796 /nfs/dbraw/zinc/02/37/96/383023796.db2.gz AGENASFVFBSUPW-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN C[C@@H]1SCC[C@@H]1Nc1ncnc2cccc([N+](=O)[O-])c21 ZINC000592004509 383122221 /nfs/dbraw/zinc/12/22/21/383122221.db2.gz WFHKSYSSXJMYFS-IUCAKERBSA-N 0 0 290.348 2.844 20 5 CFBDRN CC(C)(C)OCCCNc1ncccc1[N+](=O)[O-] ZINC000596460924 383127032 /nfs/dbraw/zinc/12/70/32/383127032.db2.gz AOOPJUPBJKQHBO-UHFFFAOYSA-N 0 0 253.302 2.607 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@@H]1COc2ccccc21 ZINC000339058002 383135124 /nfs/dbraw/zinc/13/51/24/383135124.db2.gz KNVBNJKYLBFUQO-MFKMUULPSA-N 0 0 285.303 2.966 20 5 CFBDRN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1NC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000505295750 383141767 /nfs/dbraw/zinc/14/17/67/383141767.db2.gz MHOZQPZKRCIVAJ-MXWKQRLJSA-N 0 0 294.355 2.509 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000458251311 383142452 /nfs/dbraw/zinc/14/24/52/383142452.db2.gz AWHOUKDOAMSNTM-WOPDTQHZSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1cnc(CN2CCc3c(cccc3[N+](=O)[O-])C2)s1 ZINC000552823148 383092170 /nfs/dbraw/zinc/09/21/70/383092170.db2.gz VOEOZZJSQBCYAR-UHFFFAOYSA-N 0 0 289.360 2.918 20 5 CFBDRN COCC1(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CCCC1 ZINC000457773429 383095195 /nfs/dbraw/zinc/09/51/95/383095195.db2.gz KNMPJMMSEDNYAP-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN Nc1nc(N[C@@H]2CC[C@H]3CCCC[C@@H]3C2)ncc1[N+](=O)[O-] ZINC000338968049 383103808 /nfs/dbraw/zinc/10/38/08/383103808.db2.gz WIKKVSGQEGOVHZ-GMTAPVOTSA-N 0 0 291.355 2.568 20 5 CFBDRN CNc1ccc(C(=O)N[C@@]2(C)CC=CCC2)cc1[N+](=O)[O-] ZINC000584514438 383109606 /nfs/dbraw/zinc/10/96/06/383109606.db2.gz BOJYESVGYWGCKA-HNNXBMFYSA-N 0 0 289.335 2.865 20 5 CFBDRN C[C@@H]1[C@H](C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])C1(F)F ZINC000553713490 383115759 /nfs/dbraw/zinc/11/57/59/383115759.db2.gz AUBPTTDOJTUETC-LDYMZIIASA-N 0 0 298.289 2.847 20 5 CFBDRN CN(CC(C)(C)C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000339170381 383174025 /nfs/dbraw/zinc/17/40/25/383174025.db2.gz NDMFWMOTEXRMKV-UHFFFAOYSA-N 0 0 294.351 2.868 20 5 CFBDRN CCc1noc(C)c1CNCc1ccc([N+](=O)[O-])cc1F ZINC000339436192 383196663 /nfs/dbraw/zinc/19/66/63/383196663.db2.gz FURDFZMROWOEGK-UHFFFAOYSA-N 0 0 293.298 2.883 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000458270353 383147885 /nfs/dbraw/zinc/14/78/85/383147885.db2.gz DUAIULHOUXORSL-KGYLQXTDSA-N 0 0 274.320 2.822 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H](C)C[C@H]1C ZINC000247161887 383148469 /nfs/dbraw/zinc/14/84/69/383148469.db2.gz ZMYAJKCZLUWXSJ-IMSIIYSGSA-N 0 0 291.351 2.853 20 5 CFBDRN C[C@H](C1CCCCC1)N(C)c1ncc([N+](=O)[O-])cn1 ZINC000509478478 383148453 /nfs/dbraw/zinc/14/84/53/383148453.db2.gz VUFLOPKATUYIAQ-SNVBAGLBSA-N 0 0 264.329 2.790 20 5 CFBDRN Cc1sc(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)cc1[N+](=O)[O-] ZINC000458271463 383148887 /nfs/dbraw/zinc/14/88/87/383148887.db2.gz FTSIBQOVGKSKAF-KXUCPTDWSA-N 0 0 280.349 2.883 20 5 CFBDRN CCOC(=O)[C@@H]1CC[C@H](Nc2cccc(F)c2[N+](=O)[O-])C1 ZINC000280500868 194861580 /nfs/dbraw/zinc/86/15/80/194861580.db2.gz CAFHTUIDLKRTDF-ZJUUUORDSA-N 0 0 296.298 2.878 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)c1[N+](=O)[O-] ZINC000458301671 383157399 /nfs/dbraw/zinc/15/73/99/383157399.db2.gz PNROZRRFGVOAIY-USWWRNFRSA-N 0 0 290.319 2.522 20 5 CFBDRN CCC[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCC1 ZINC000458304895 383158340 /nfs/dbraw/zinc/15/83/40/383158340.db2.gz KSUAWTXIEAQFJQ-NSHDSACASA-N 0 0 265.313 2.622 20 5 CFBDRN Cc1ccc(C[NH2+][C@@H](C)[C@@H](C(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000424618134 383211570 /nfs/dbraw/zinc/21/15/70/383211570.db2.gz JLCRRZTYZSWCNT-FZMZJTMJSA-N 0 0 294.351 2.738 20 5 CFBDRN CC/C=C/CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000520971988 383281168 /nfs/dbraw/zinc/28/11/68/383281168.db2.gz CNUPGVXUGBZYOC-ZWNMCFTASA-N 0 0 262.309 2.781 20 5 CFBDRN COc1cc(CN[C@@H]2CCC[C@H]2F)c([N+](=O)[O-])cc1OC ZINC000339587715 383254598 /nfs/dbraw/zinc/25/45/98/383254598.db2.gz SDSNHNVQPQDRTF-GHMZBOCLSA-N 0 0 298.314 2.592 20 5 CFBDRN C[C@@]1(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)C[C@H]1F ZINC000424776077 383258237 /nfs/dbraw/zinc/25/82/37/383258237.db2.gz IISWGUVALNLJAQ-ZYHUDNBSSA-N 0 0 286.690 2.613 20 5 CFBDRN COc1cc(COc2ccc([N+](=O)[O-])cc2F)ccn1 ZINC000339608851 383260826 /nfs/dbraw/zinc/26/08/26/383260826.db2.gz SDIHVFJRJGZTEO-UHFFFAOYSA-N 0 0 278.239 2.717 20 5 CFBDRN CCc1nocc1CN(C)Cc1cccc([N+](=O)[O-])c1 ZINC000339608899 383261023 /nfs/dbraw/zinc/26/10/23/383261023.db2.gz TYFFGVLFGNFHHO-UHFFFAOYSA-N 0 0 275.308 2.777 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC(C(F)(F)F)C1 ZINC000567311762 383261460 /nfs/dbraw/zinc/26/14/60/383261460.db2.gz RVDRGQZQWGDWDU-UHFFFAOYSA-N 0 0 260.215 2.589 20 5 CFBDRN COc1cc(COc2ccc([N+](=O)[O-])cc2)ccn1 ZINC000339619385 383263433 /nfs/dbraw/zinc/26/34/33/383263433.db2.gz RCTPQKVZBQGCFZ-UHFFFAOYSA-N 0 0 260.249 2.577 20 5 CFBDRN C[C@@H](F)CCNC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000458900496 383273922 /nfs/dbraw/zinc/27/39/22/383273922.db2.gz XQZGKWBRHWDFGJ-SNVBAGLBSA-N 0 0 297.330 2.877 20 5 CFBDRN C[C@@H]1CCC[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000339501561 383219878 /nfs/dbraw/zinc/21/98/78/383219878.db2.gz HHWAUVXDYZEDDS-PWSUYJOCSA-N 0 0 262.309 2.761 20 5 CFBDRN Cc1noc([C@@H](C)N(C)Cc2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000519540719 383223366 /nfs/dbraw/zinc/22/33/66/383223366.db2.gz CEKQTGAHGPKPJQ-SNVBAGLBSA-N 0 0 290.323 2.788 20 5 CFBDRN C[C@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1cccc([N+](=O)[O-])c1 ZINC000248342301 383225703 /nfs/dbraw/zinc/22/57/03/383225703.db2.gz JLYGFVMPAGVDHI-OLRMPSLUSA-N 0 0 274.320 2.818 20 5 CFBDRN CCn1nc(C)c(CNc2nccc(C)c2[N+](=O)[O-])c1C ZINC000522408168 383337214 /nfs/dbraw/zinc/33/72/14/383337214.db2.gz MXMCFQLQXLTBHD-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN CCCCOCCOc1cc([N+](=O)[O-])ccc1OC ZINC000339975614 383345718 /nfs/dbraw/zinc/34/57/18/383345718.db2.gz OGIBRFSLGSZINA-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN COc1cc(CN2CC[C@H]2C2CC2)c([N+](=O)[O-])cc1OC ZINC000449428542 383287596 /nfs/dbraw/zinc/28/75/96/383287596.db2.gz VBEREVZGAVZSBJ-LBPRGKRZSA-N 0 0 292.335 2.596 20 5 CFBDRN C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC12CC2 ZINC000424988935 383305409 /nfs/dbraw/zinc/30/54/09/383305409.db2.gz PSVPYAAZMQZFQL-JOYOIKCWSA-N 0 0 292.360 2.744 20 5 CFBDRN CCN(C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1)C1CCC1 ZINC000523096347 383347975 /nfs/dbraw/zinc/34/79/75/383347975.db2.gz GYVALEPSJIBIPK-UHFFFAOYSA-N 0 0 292.335 2.547 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1[C@@H](C)C1(F)F ZINC000464716605 383313354 /nfs/dbraw/zinc/31/33/54/383313354.db2.gz VOMKBXACZYVUCP-HQJQHLMTSA-N 0 0 284.262 2.997 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H](C)[C@@H]1C ZINC000567783660 383316034 /nfs/dbraw/zinc/31/60/34/383316034.db2.gz JEPQMHDNKBVSJF-UWVGGRQHSA-N 0 0 266.345 2.744 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000522005246 383320325 /nfs/dbraw/zinc/32/03/25/383320325.db2.gz WYKUAJSOWNKWFM-TVQRCGJNSA-N 0 0 295.339 2.636 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)[C@H]1C ZINC000251957474 383401433 /nfs/dbraw/zinc/40/14/33/383401433.db2.gz XHPKWBZKBGDALX-KXUCPTDWSA-N 0 0 266.297 2.742 20 5 CFBDRN C[C@@H](NC(=O)c1cncs1)c1cccc([N+](=O)[O-])c1 ZINC000340302283 383410033 /nfs/dbraw/zinc/41/00/33/383410033.db2.gz QNLQNDXPQDJSKL-MRVPVSSYSA-N 0 0 277.305 2.542 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCOC(C)(C)[C@H]2C)n1 ZINC000408172042 291825656 /nfs/dbraw/zinc/82/56/56/291825656.db2.gz QYVNDFRUNZYFHB-LLVKDONJSA-N 0 0 279.340 2.610 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]2C2CCC2)c(F)c1 ZINC000425275355 383357074 /nfs/dbraw/zinc/35/70/74/383357074.db2.gz TZOAUHVEVNEITQ-GXSJLCMTSA-N 0 0 251.261 2.729 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@@H]1CC12CC2 ZINC000425277053 383357175 /nfs/dbraw/zinc/35/71/75/383357175.db2.gz WWVIKZPYAOWBQW-GFCCVEGCSA-N 0 0 276.292 2.654 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@H]1CCCC[C@H]1C ZINC000340129466 383361014 /nfs/dbraw/zinc/36/10/14/383361014.db2.gz DWJNWGUUKWBTKQ-PWSUYJOCSA-N 0 0 279.340 2.964 20 5 CFBDRN C[C@@H](CCC1CCCCC1)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000523753072 383361197 /nfs/dbraw/zinc/36/11/97/383361197.db2.gz ICRKBSFDKUYWQC-JTQLQIEISA-N 0 0 293.371 2.958 20 5 CFBDRN Cc1ccc2c(c1)CC[C@@H]2Nc1ccncc1[N+](=O)[O-] ZINC000340156414 383364253 /nfs/dbraw/zinc/36/42/53/383364253.db2.gz FDBPESYWLTVWMY-ZDUSSCGKSA-N 0 0 269.304 2.820 20 5 CFBDRN CC[C@H]1CCCN1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000524341626 383370578 /nfs/dbraw/zinc/37/05/78/383370578.db2.gz HTYMSMOPXWGLFM-LBPRGKRZSA-N 0 0 277.324 2.679 20 5 CFBDRN Cc1cc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])ncn1 ZINC000524655936 383378449 /nfs/dbraw/zinc/37/84/49/383378449.db2.gz QEVFGCDHYWOECX-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CO[C@@H](C)CNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450470410 383424837 /nfs/dbraw/zinc/42/48/37/383424837.db2.gz RZGSLNUDQJESFO-VIFPVBQESA-N 0 0 261.281 2.590 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@@H]1CC[C@@H](O)C1 ZINC000450477399 383427439 /nfs/dbraw/zinc/42/74/39/383427439.db2.gz WMJZEINWNYRGIS-GHMZBOCLSA-N 0 0 287.319 2.716 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000568751308 383433959 /nfs/dbraw/zinc/43/39/59/383433959.db2.gz GHGBDPPTAGQZGS-QWRGUYRKSA-N 0 0 295.314 2.647 20 5 CFBDRN COC1CCC(C)(CNc2ncc([N+](=O)[O-])c(C)n2)CC1 ZINC000450490161 383435345 /nfs/dbraw/zinc/43/53/45/383435345.db2.gz KBNWYBYGRPBFNZ-UHFFFAOYSA-N 0 0 294.355 2.700 20 5 CFBDRN Cc1nc(NCC2CC(c3ccccc3)C2)ncc1[N+](=O)[O-] ZINC000450509262 383442955 /nfs/dbraw/zinc/44/29/55/383442955.db2.gz IXBSVOWAZKVOIS-UHFFFAOYSA-N 0 0 298.346 2.721 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CC12CCCC2 ZINC000428298347 383445491 /nfs/dbraw/zinc/44/54/91/383445491.db2.gz HZHUKBDBZHIWCL-CYBMUJFWSA-N 0 0 289.335 2.727 20 5 CFBDRN CN(c1nccc2c1cccc2[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000450526247 383447536 /nfs/dbraw/zinc/44/75/36/383447536.db2.gz HNGSIJQGMFZVDU-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN COCC1(CNc2ncc([N+](=O)[O-])c(C)n2)CCCCC1 ZINC000450539180 383451870 /nfs/dbraw/zinc/45/18/70/383451870.db2.gz JZGYLMNYILAEHS-UHFFFAOYSA-N 0 0 294.355 2.702 20 5 CFBDRN CO[C@H](CNc1nccc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000450541037 383453550 /nfs/dbraw/zinc/45/35/50/383453550.db2.gz BULYJVWEMRUOSB-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000568994828 383458327 /nfs/dbraw/zinc/45/83/27/383458327.db2.gz OCWAEHFEEXTDOA-NEPJUHHUSA-N 0 0 291.351 2.848 20 5 CFBDRN CO[C@@H](Cc1ccccc1)Cn1nc(C)c([N+](=O)[O-])c1C ZINC000450567373 383464908 /nfs/dbraw/zinc/46/49/08/383464908.db2.gz QWWIYXDDSPZDKX-AWEZNQCLSA-N 0 0 289.335 2.666 20 5 CFBDRN CCN(C)C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000253610802 383465329 /nfs/dbraw/zinc/46/53/29/383465329.db2.gz BEJVAZMCYFBYNI-SECBINFHSA-N 0 0 268.338 2.554 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCC[C@@H]1CCc2ccccc21 ZINC000450595315 383474439 /nfs/dbraw/zinc/47/44/39/383474439.db2.gz KJDYZDCLNDLTAU-LBPRGKRZSA-N 0 0 286.335 2.860 20 5 CFBDRN CCOc1cc(NC(CF)CF)ccc1[N+](=O)[O-] ZINC000450596816 383475529 /nfs/dbraw/zinc/47/55/29/383475529.db2.gz XVWDXOYUCQMECS-UHFFFAOYSA-N 0 0 260.240 2.713 20 5 CFBDRN CCOc1cc(N2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000450602275 383476325 /nfs/dbraw/zinc/47/63/25/383476325.db2.gz CXWQFPBCZVFTQL-SECBINFHSA-N 0 0 254.261 2.542 20 5 CFBDRN C[C@H](CC(F)(F)F)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000569195125 383476309 /nfs/dbraw/zinc/47/63/09/383476309.db2.gz VMBYLNTUAOJXPQ-MRVPVSSYSA-N 0 0 290.241 2.800 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CC[C@@H](F)C1 ZINC000450605320 383477880 /nfs/dbraw/zinc/47/78/80/383477880.db2.gz OJURAEBBRRAIRP-ZCFIWIBFSA-N 0 0 279.193 2.557 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H]1[C@H]1CCC[C@H]1O ZINC000450711501 383483667 /nfs/dbraw/zinc/48/36/67/383483667.db2.gz UYRPZGYRENEEKD-LALPHHSUSA-N 0 0 276.336 2.725 20 5 CFBDRN C[C@H]1CN(c2nccc3c2cccc3[N+](=O)[O-])CCC1=O ZINC000450460241 383420312 /nfs/dbraw/zinc/42/03/12/383420312.db2.gz ZVNIZCRBXZAYLF-JTQLQIEISA-N 0 0 285.303 2.558 20 5 CFBDRN CC(C)Cn1nccc1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000568629688 383421615 /nfs/dbraw/zinc/42/16/15/383421615.db2.gz IHONOAOKTVBHKC-UHFFFAOYSA-N 0 0 288.307 2.700 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCOCC2CCC2)n1 ZINC000569286564 383483918 /nfs/dbraw/zinc/48/39/18/383483918.db2.gz SPUDGEXRIKVNGV-UHFFFAOYSA-N 0 0 265.313 2.527 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000340641127 383490355 /nfs/dbraw/zinc/49/03/55/383490355.db2.gz FDGTWLPBGLCJJU-SNVBAGLBSA-N 0 0 273.292 2.722 20 5 CFBDRN CC(=O)c1cc(N[C@@H]2CC23CCOCC3)ccc1[N+](=O)[O-] ZINC000450792453 383493198 /nfs/dbraw/zinc/49/31/98/383493198.db2.gz KOGHIRJBAZBDST-CQSZACIVSA-N 0 0 290.319 2.778 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000450801813 383496285 /nfs/dbraw/zinc/49/62/85/383496285.db2.gz XHLGPPAVKZVXJR-STQMWFEESA-N 0 0 290.367 2.744 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000450809847 383497661 /nfs/dbraw/zinc/49/76/61/383497661.db2.gz XKCOKQOWMTYHSN-JHJVBQTASA-N 0 0 276.336 2.582 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000340910851 383500633 /nfs/dbraw/zinc/50/06/33/383500633.db2.gz SXNHULKVDDCZDL-VWYCJHECSA-N 0 0 280.372 2.990 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC1(Cc2ccc(F)cc2)CC1 ZINC000450819704 383502186 /nfs/dbraw/zinc/50/21/86/383502186.db2.gz LSTOKBZSXPFBNX-UHFFFAOYSA-N 0 0 290.298 2.655 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@H](O)C1CCC1 ZINC000450920669 383550529 /nfs/dbraw/zinc/55/05/29/383550529.db2.gz ZRLTYOBLQBOAMA-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@@H](C)n3ccnc32)ccc1[N+](=O)[O-] ZINC000450831724 383510641 /nfs/dbraw/zinc/51/06/41/383510641.db2.gz PVRIHSXDAISEIW-PSASIEDQSA-N 0 0 273.296 2.613 20 5 CFBDRN O=[N+]([O-])c1ccccc1NCc1nccc(C(F)F)n1 ZINC000340958886 383510943 /nfs/dbraw/zinc/51/09/43/383510943.db2.gz GHLIUQGYHABGQW-UHFFFAOYSA-N 0 0 280.234 2.935 20 5 CFBDRN Cc1cc(COc2ccc(C)c([N+](=O)[O-])c2)ncn1 ZINC000488284484 383511543 /nfs/dbraw/zinc/51/15/43/383511543.db2.gz NCPCZTUAMVNGOO-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CC(C)n1nccc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000429367142 383524132 /nfs/dbraw/zinc/52/41/32/383524132.db2.gz BCRVBALMZJMGAX-UHFFFAOYSA-N 0 0 292.270 2.764 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-])C1CC1 ZINC000450859186 383525750 /nfs/dbraw/zinc/52/57/50/383525750.db2.gz MOUYBZYHTCWPAB-GWCFXTLKSA-N 0 0 279.340 2.832 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCCC[C@H]1CCOC1 ZINC000450891198 383537831 /nfs/dbraw/zinc/53/78/31/383537831.db2.gz YRSLAXYDOSXPAO-LBPRGKRZSA-N 0 0 294.351 2.970 20 5 CFBDRN CC(C)(C(=O)NCC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000429892623 383575971 /nfs/dbraw/zinc/57/59/71/383575971.db2.gz WJCGVDQHXSWVJH-UHFFFAOYSA-N 0 0 276.336 2.789 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1Cc1cc2ccccc2o1 ZINC000488810557 383579696 /nfs/dbraw/zinc/57/96/96/383579696.db2.gz QHXZZHNSCAWIOT-UHFFFAOYSA-N 0 0 270.244 2.551 20 5 CFBDRN COC(=O)c1cc(N[C@H]2CC[C@H]2C)cc(C)c1[N+](=O)[O-] ZINC000570233813 383585700 /nfs/dbraw/zinc/58/57/00/383585700.db2.gz PARJYLBDQDIERN-PELKAZGASA-N 0 0 278.308 2.900 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCS[C@@H](C)[C@@H]1C ZINC000430214468 383590768 /nfs/dbraw/zinc/59/07/68/383590768.db2.gz ZJVHOBNQSQXMNP-QWRGUYRKSA-N 0 0 296.392 2.929 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCS[C@@H](C)[C@H]1C ZINC000430214467 383591121 /nfs/dbraw/zinc/59/11/21/383591121.db2.gz ZJVHOBNQSQXMNP-MNOVXSKESA-N 0 0 296.392 2.929 20 5 CFBDRN Cc1nn(C)c(N(C)Cc2ccc(C)c(C)c2)c1[N+](=O)[O-] ZINC000450927380 383553283 /nfs/dbraw/zinc/55/32/83/383553283.db2.gz CMBGGBLNHDUFHQ-UHFFFAOYSA-N 0 0 288.351 2.890 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000450932322 383556234 /nfs/dbraw/zinc/55/62/34/383556234.db2.gz CLOMVMNROAPZNN-ZYHUDNBSSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1cccc([C@H](C)Nc2c([N+](=O)[O-])ncn2C)c1 ZINC000450940062 383558866 /nfs/dbraw/zinc/55/88/66/383558866.db2.gz CVKYYSQPTNXCOZ-JTQLQIEISA-N 0 0 260.297 2.810 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@H]1CC1(C)C ZINC000488636307 383565772 /nfs/dbraw/zinc/56/57/72/383565772.db2.gz YMPHIWZRQVSSDO-LBPRGKRZSA-N 0 0 293.323 2.866 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2CCn3ccnc3C2)c1 ZINC000489910927 383642117 /nfs/dbraw/zinc/64/21/17/383642117.db2.gz JBIQFFQXNBWEQP-NSHDSACASA-N 0 0 272.308 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCn3ccnc3C2)c(Cl)c1 ZINC000489923052 383644364 /nfs/dbraw/zinc/64/43/64/383644364.db2.gz NEEWXSYCWYCWAK-VIFPVBQESA-N 0 0 292.726 2.872 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])cc2c1OCOC2)[C@H]1CC1(C)C ZINC000489450315 383620922 /nfs/dbraw/zinc/62/09/22/383620922.db2.gz WBFVXYDGSZDOAM-ZDUSSCGKSA-N 0 0 292.335 2.692 20 5 CFBDRN CC(C)(NC(=O)NCC1(C)CC1)c1ccccc1[N+](=O)[O-] ZINC000489469960 383622006 /nfs/dbraw/zinc/62/20/06/383622006.db2.gz LNLQEIUZENBBLI-UHFFFAOYSA-N 0 0 291.351 2.929 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc(C2CC(C)(O)C2)n1 ZINC000570671757 383624157 /nfs/dbraw/zinc/62/41/57/383624157.db2.gz UOYBLAOZHRTBCO-UHFFFAOYSA-N 0 0 289.291 2.582 20 5 CFBDRN CN(Cc1ccc([N+](=O)[O-])cc1F)[C@H]1CC1(C)C ZINC000489503294 383625053 /nfs/dbraw/zinc/62/50/53/383625053.db2.gz XSOXQKFBZYFDMQ-LBPRGKRZSA-N 0 0 252.289 2.964 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000451513297 383632931 /nfs/dbraw/zinc/63/29/31/383632931.db2.gz AFCGPKWNBIXBRQ-TZMCWYRMSA-N 0 0 276.336 2.642 20 5 CFBDRN CC(C)[C@@H](CO)[NH2+][C@@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21 ZINC000433287796 383757482 /nfs/dbraw/zinc/75/74/82/383757482.db2.gz PURCKGYJCRMRNO-HUUCEWRRSA-N 0 0 292.379 2.924 20 5 CFBDRN COc1ccc(-c2nc(C3CC3)no2)cc1[N+](=O)[O-] ZINC000264621217 383763422 /nfs/dbraw/zinc/76/34/22/383763422.db2.gz QOZKLJZSMOYBLL-UHFFFAOYSA-N 0 0 261.237 2.531 20 5 CFBDRN CCCc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000264627802 383764341 /nfs/dbraw/zinc/76/43/41/383764341.db2.gz XJTLRENNLWRNQQ-NXEZZACHSA-N 0 0 294.355 2.579 20 5 CFBDRN O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC1 ZINC000435824705 383780058 /nfs/dbraw/zinc/78/00/58/383780058.db2.gz IIHBOAVPOFLTLY-UHFFFAOYSA-N 0 0 278.311 2.527 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1c(C)nnn1CC ZINC000453128740 383777046 /nfs/dbraw/zinc/77/70/46/383777046.db2.gz QRKNCRDGKZHNLU-UHFFFAOYSA-N 0 0 289.339 2.689 20 5 CFBDRN CCC(=O)c1ccc(NC2(CCO)CC2)c([N+](=O)[O-])c1 ZINC000431473687 383674694 /nfs/dbraw/zinc/67/46/94/383674694.db2.gz SGILAMSGNNQJKH-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN COc1ccc([C@@H](C)N(C)c2c([N+](=O)[O-])ncn2C)cc1 ZINC000264937058 383804118 /nfs/dbraw/zinc/80/41/18/383804118.db2.gz RWLUFOCNOCXGBO-SNVBAGLBSA-N 0 0 290.323 2.534 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccncn1)c1ccc([N+](=O)[O-])cc1 ZINC000453225376 383808287 /nfs/dbraw/zinc/80/82/87/383808287.db2.gz OBRUPDPGDQQJNF-QWRGUYRKSA-N 0 0 272.308 2.797 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N(CC1CC1)c1ccccc1 ZINC000530978067 383808454 /nfs/dbraw/zinc/80/84/54/383808454.db2.gz BCFLXOKGTJVTKJ-UHFFFAOYSA-N 0 0 299.330 2.990 20 5 CFBDRN CCCCCNc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000280962625 195048442 /nfs/dbraw/zinc/04/84/42/195048442.db2.gz LCXDUPGSJFWWBE-UHFFFAOYSA-N 0 0 276.296 2.846 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2C2CC2)c1 ZINC000431170374 533010323 /nfs/dbraw/zinc/01/03/23/533010323.db2.gz VXSBXNQUTIEFGD-CMPLNLGQSA-N 0 0 292.360 2.845 20 5 CFBDRN Cc1ncsc1CCOc1cccc([N+](=O)[O-])c1 ZINC000265043462 383821666 /nfs/dbraw/zinc/82/16/66/383821666.db2.gz WZDSIKNAMQQUTL-UHFFFAOYSA-N 0 0 264.306 2.981 20 5 CFBDRN C[C@@H]1CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C[C@H]1C ZINC000436189040 383786687 /nfs/dbraw/zinc/78/66/87/383786687.db2.gz PSVZHXLKBOCHHT-GHMZBOCLSA-N 0 0 294.376 2.801 20 5 CFBDRN CC[C@@H](C)[C@@H](O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000264849607 383790644 /nfs/dbraw/zinc/79/06/44/383790644.db2.gz ZRSSCVZGLCODEB-YGRLFVJLSA-N 0 0 289.335 2.962 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000264849605 383791140 /nfs/dbraw/zinc/79/11/40/383791140.db2.gz ZRSSCVZGLCODEB-QMTHXVAHSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Cn1cccc([N+](=O)[O-])c1=O ZINC000572986212 383855132 /nfs/dbraw/zinc/85/51/32/383855132.db2.gz BKHSEAPDXQNZEP-MNOVXSKESA-N 0 0 250.298 2.583 20 5 CFBDRN CCc1nc(CCNc2nccc(C)c2[N+](=O)[O-])cs1 ZINC000265199420 383859300 /nfs/dbraw/zinc/85/93/00/383859300.db2.gz MODNBNFPFYTRBS-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN CCOC(=O)C1(CNc2c(F)cccc2[N+](=O)[O-])CCC1 ZINC000573077340 383865960 /nfs/dbraw/zinc/86/59/60/383865960.db2.gz JNMXQPWLHRQLMT-UHFFFAOYSA-N 0 0 296.298 2.879 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@@H](C)c1cccnc1 ZINC000265235535 383869751 /nfs/dbraw/zinc/86/97/51/383869751.db2.gz NPAMWHGDQFDCMJ-JTQLQIEISA-N 0 0 288.307 2.541 20 5 CFBDRN Cc1cc(-c2noc([C@H]3CCCOC3)n2)cc([N+](=O)[O-])c1 ZINC000453280616 383833308 /nfs/dbraw/zinc/83/33/08/383833308.db2.gz CMHFARSRZJOMNY-JTQLQIEISA-N 0 0 289.291 2.847 20 5 CFBDRN CC(C)(C)[C@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000265402975 383908010 /nfs/dbraw/zinc/90/80/10/383908010.db2.gz JEXRATZWWUKBMU-NSHDSACASA-N 0 0 256.277 2.553 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC(F)(F)C(F)(F)C1 ZINC000573337901 383913411 /nfs/dbraw/zinc/91/34/11/383913411.db2.gz JRCNBDFEHZXQBY-UHFFFAOYSA-N 0 0 299.611 2.734 20 5 CFBDRN O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@H]1CC=CCC1 ZINC000265428016 383914154 /nfs/dbraw/zinc/91/41/54/383914154.db2.gz DRGUPQBDGQLSCN-ZDUSSCGKSA-N 0 0 299.330 2.774 20 5 CFBDRN CC[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)C(F)F ZINC000454150726 383917004 /nfs/dbraw/zinc/91/70/04/383917004.db2.gz REMWDSZFDVXPBO-VIFPVBQESA-N 0 0 273.239 2.760 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@@H]2CC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000454157831 383921310 /nfs/dbraw/zinc/92/13/10/383921310.db2.gz ZBHDXWBGHQHQNQ-PWSUYJOCSA-N 0 0 293.323 2.592 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cc1Cl)Cn1ccnc1 ZINC000265476978 383927960 /nfs/dbraw/zinc/92/79/60/383927960.db2.gz BGXJIOGYKMUMBV-SECBINFHSA-N 0 0 295.730 2.588 20 5 CFBDRN C[C@@H]1CCCC[C@H]1[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330673684 296357009 /nfs/dbraw/zinc/35/70/09/296357009.db2.gz YSOYDUXBUQUDBH-ZWNOBZJWSA-N 0 0 264.325 2.969 20 5 CFBDRN Cc1cccc(NCc2c([N+](=O)[O-])ncn2C)c1Cl ZINC000531842298 383931761 /nfs/dbraw/zinc/93/17/61/383931761.db2.gz KUVZTVDOUJKNBK-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C1CC1 ZINC000265292984 383888076 /nfs/dbraw/zinc/88/80/76/383888076.db2.gz CRFKICBLHOQYHO-UHFFFAOYSA-N 0 0 282.727 2.802 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)[C@@H](C)c1ccccc1 ZINC000531633551 383901885 /nfs/dbraw/zinc/90/18/85/383901885.db2.gz UHPUNEDSMLUHAY-NEPJUHHUSA-N 0 0 299.330 2.912 20 5 CFBDRN Cc1ccc(CCNc2nc(C)ccc2[N+](=O)[O-])o1 ZINC000531694078 383906626 /nfs/dbraw/zinc/90/66/26/383906626.db2.gz HZZKKZOGNCMCHN-UHFFFAOYSA-N 0 0 261.281 2.854 20 5 CFBDRN CC(C)=CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000454288247 383977991 /nfs/dbraw/zinc/97/79/91/383977991.db2.gz PSVNLHILMRXMNW-JTQLQIEISA-N 0 0 265.313 2.788 20 5 CFBDRN CCC[C@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)C(C)(C)C ZINC000454289108 383978092 /nfs/dbraw/zinc/97/80/92/383978092.db2.gz FDTAUTVXRJZJTO-NSHDSACASA-N 0 0 267.329 2.868 20 5 CFBDRN Cc1cc(OC[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000532132361 383979248 /nfs/dbraw/zinc/97/92/48/383979248.db2.gz RKPQLEVWUWLVDR-LLVKDONJSA-N 0 0 251.282 2.709 20 5 CFBDRN O=C(NCC1CCCCCC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000454295390 383980312 /nfs/dbraw/zinc/98/03/12/383980312.db2.gz AXYNCGYIMJXICN-UHFFFAOYSA-N 0 0 265.313 2.623 20 5 CFBDRN C[C@@H](NC(=O)NC1(C2CC2)CC1)c1cccc([N+](=O)[O-])c1 ZINC000532134728 383981375 /nfs/dbraw/zinc/98/13/75/383981375.db2.gz AJRQVWLKACVIDI-SNVBAGLBSA-N 0 0 289.335 2.898 20 5 CFBDRN CN(CC1CCCCC1)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532144009 383983569 /nfs/dbraw/zinc/98/35/69/383983569.db2.gz NGXHHHIZFYNVEW-UHFFFAOYSA-N 0 0 277.324 2.642 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 ZINC000573768237 383984954 /nfs/dbraw/zinc/98/49/54/383984954.db2.gz VSPGWSQLLZXQDD-JSGCOSHPSA-N 0 0 299.330 2.668 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000265763908 383993895 /nfs/dbraw/zinc/99/38/95/383993895.db2.gz HBKOBXMBYYAXLG-GHMZBOCLSA-N 0 0 276.336 2.645 20 5 CFBDRN CCCN(C(=O)c1ccc([N+](=O)[O-])cn1)C(C)(C)C ZINC000531890231 383939383 /nfs/dbraw/zinc/93/93/83/383939383.db2.gz GQUVLFUYQKMAKP-UHFFFAOYSA-N 0 0 265.313 2.641 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000438085466 383943191 /nfs/dbraw/zinc/94/31/91/383943191.db2.gz OMUBRHGUCFFISK-PSASIEDQSA-N 0 0 273.292 2.604 20 5 CFBDRN C[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000265555639 383945352 /nfs/dbraw/zinc/94/53/52/383945352.db2.gz ICDYXYILSCCFCE-QMMMGPOBSA-N 0 0 284.337 2.812 20 5 CFBDRN CC[C@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(F)F ZINC000573556192 383948497 /nfs/dbraw/zinc/94/84/97/383948497.db2.gz PZJOZDXEHMYIQW-KCJUWKMLSA-N 0 0 286.278 2.858 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000454273332 383973776 /nfs/dbraw/zinc/97/37/76/383973776.db2.gz IKNWVQBNBUXYRJ-STQMWFEESA-N 0 0 291.351 2.686 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CCC[C@@H]1F)c1ccccc1[N+](=O)[O-] ZINC000454753283 384020986 /nfs/dbraw/zinc/02/09/86/384020986.db2.gz FDXRCTYIMZBGAI-ZMLRMANQSA-N 0 0 295.314 2.846 20 5 CFBDRN COC[C@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000265869815 384021736 /nfs/dbraw/zinc/02/17/36/384021736.db2.gz ZAMBVVXKCBJDTH-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN CC(C)c1cnc(NC(=O)c2ccc([N+](=O)[O-])cn2)s1 ZINC000532392653 384023097 /nfs/dbraw/zinc/02/30/97/384023097.db2.gz UKFDBVMMFCPABJ-UHFFFAOYSA-N 0 0 292.320 2.822 20 5 CFBDRN CC[C@H](Cc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532392630 384023312 /nfs/dbraw/zinc/02/33/12/384023312.db2.gz AZNYSSCVSFOSMH-CYBMUJFWSA-N 0 0 299.330 2.741 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@]2(CCOC2)C1 ZINC000573980648 384023807 /nfs/dbraw/zinc/02/38/07/384023807.db2.gz BVYUCBWTPALOSI-HNNXBMFYSA-N 0 0 292.335 2.610 20 5 CFBDRN Cc1nn(C)c(N2CC3(CC3)c3ccccc32)c1[N+](=O)[O-] ZINC000265805289 384004624 /nfs/dbraw/zinc/00/46/24/384004624.db2.gz KUGPRYOOHOJGTD-UHFFFAOYSA-N 0 0 284.319 2.820 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@H](CCO)c2ccccc2)cs1 ZINC000265833777 384011192 /nfs/dbraw/zinc/01/11/92/384011192.db2.gz DLSNMLKCVAERRS-CYBMUJFWSA-N 0 0 292.360 2.870 20 5 CFBDRN CCC(C)(C)OCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000454374146 384011386 /nfs/dbraw/zinc/01/13/86/384011386.db2.gz JQZJHGCWLQENJH-UHFFFAOYSA-N 0 0 295.339 2.922 20 5 CFBDRN CC(C)C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cn2C)C1 ZINC000532346416 384013715 /nfs/dbraw/zinc/01/37/15/384013715.db2.gz HTWYVELLBVCWBD-GFCCVEGCSA-N 0 0 293.367 2.832 20 5 CFBDRN C[C@H](CO)N(CC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000439138297 384032674 /nfs/dbraw/zinc/03/26/74/384032674.db2.gz UYRVIIYGJTUVIQ-LLVKDONJSA-N 0 0 264.325 2.582 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)CC1CC1 ZINC000266017811 384055720 /nfs/dbraw/zinc/05/57/20/384055720.db2.gz PBUDNTSAVDVOHL-UHFFFAOYSA-N 0 0 250.298 2.587 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CC[C@@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000454953829 384090238 /nfs/dbraw/zinc/09/02/38/384090238.db2.gz HTPXVUAHTLKLIO-OUJBWJOFSA-N 0 0 277.324 2.754 20 5 CFBDRN C[C@@H](C(=O)NCCc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000266050541 384063813 /nfs/dbraw/zinc/06/38/13/384063813.db2.gz NQVUNPWBPZQTEF-NSHDSACASA-N 0 0 278.352 2.936 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1NC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000266078421 384072951 /nfs/dbraw/zinc/07/29/51/384072951.db2.gz LZTKQYHNHDNVML-TZMCWYRMSA-N 0 0 292.335 2.591 20 5 CFBDRN CCN(C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000574452726 384098019 /nfs/dbraw/zinc/09/80/19/384098019.db2.gz MPTGOFUPHQKVPT-LLVKDONJSA-N 0 0 264.325 2.955 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)CCc2ccccn2)c1 ZINC000266164010 384100674 /nfs/dbraw/zinc/10/06/74/384100674.db2.gz OQYGWOBYGNRYOV-UHFFFAOYSA-N 0 0 287.319 2.677 20 5 CFBDRN COC(=O)CCCCCCNc1ncc(C)cc1[N+](=O)[O-] ZINC000266191081 384110671 /nfs/dbraw/zinc/11/06/71/384110671.db2.gz GBMBIKPKWNCCKV-UHFFFAOYSA-N 0 0 295.339 2.834 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCC1(C2CCC2)CCC1 ZINC000574528621 384111095 /nfs/dbraw/zinc/11/10/95/384111095.db2.gz MESVMBPDPVTHKN-UHFFFAOYSA-N 0 0 291.351 2.634 20 5 CFBDRN CO[C@@H]1CCCC[C@H]1Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000266196431 384112126 /nfs/dbraw/zinc/11/21/26/384112126.db2.gz CKUKZFSCYBBJML-LDYMZIIASA-N 0 0 292.295 2.501 20 5 CFBDRN CN(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CCC1 ZINC000266204774 384114527 /nfs/dbraw/zinc/11/45/27/384114527.db2.gz WSABFXDBIAYNCB-UHFFFAOYSA-N 0 0 273.292 2.701 20 5 CFBDRN CCN(C[C@H](C)OC)c1cc(C)ccc1[N+](=O)[O-] ZINC000360225409 285076282 /nfs/dbraw/zinc/07/62/82/285076282.db2.gz PPXBEUGRBXUJBF-NSHDSACASA-N 0 0 252.314 2.764 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NC1CC(F)(F)C1 ZINC000487731932 285080315 /nfs/dbraw/zinc/08/03/15/285080315.db2.gz NYAGUHWLKDMKJZ-UHFFFAOYSA-N 0 0 279.246 2.775 20 5 CFBDRN CCOC1(C)CN(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000455078650 384133950 /nfs/dbraw/zinc/13/39/50/384133950.db2.gz BDRCPJALRBCIEI-UHFFFAOYSA-N 0 0 293.323 2.546 20 5 CFBDRN C[C@@H](NC(=O)/C=C/C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000266280238 384135040 /nfs/dbraw/zinc/13/50/40/384135040.db2.gz UITHYTJINMAPAK-QROSGCPLSA-N 0 0 260.293 2.738 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CCC[C@@H]2F)ccc1[N+](=O)[O-] ZINC000455082787 384135505 /nfs/dbraw/zinc/13/55/05/384135505.db2.gz KRZVXLLSZKZBNN-WDEREUQCSA-N 0 0 281.287 2.915 20 5 CFBDRN CCC[C@@H](NC(=O)Nc1ccncc1[N+](=O)[O-])C(C)(C)C ZINC000455048618 384124482 /nfs/dbraw/zinc/12/44/82/384124482.db2.gz VLSWGEPTKJJYNN-GFCCVEGCSA-N 0 0 294.355 2.748 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCCOC1CCOCC1 ZINC000266237924 384124897 /nfs/dbraw/zinc/12/48/97/384124897.db2.gz KVXKXPQQLTYIGJ-UHFFFAOYSA-N 0 0 294.351 2.901 20 5 CFBDRN CCC1(O)CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000266247366 384127259 /nfs/dbraw/zinc/12/72/59/384127259.db2.gz MLOZVQZTQJQOPO-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN COc1cc(NC[C@@H]2CC[C@@H](C)O2)c([N+](=O)[O-])cc1F ZINC000413380737 533035884 /nfs/dbraw/zinc/03/58/84/533035884.db2.gz VEQKRSUETJYINI-BDAKNGLRSA-N 0 0 284.287 2.722 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@](=O)CCC(C)C ZINC000266294483 384138490 /nfs/dbraw/zinc/13/84/90/384138490.db2.gz BFARQWYMIDJDJO-LJQANCHMSA-N 0 0 285.365 2.898 20 5 CFBDRN CCC[C@@H]1[C@@H](C)CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000266294068 384139154 /nfs/dbraw/zinc/13/91/54/384139154.db2.gz BFTGIYCSEAHMNH-CMPLNLGQSA-N 0 0 279.340 2.964 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1CCC[C@@H]1F)c1ccc([N+](=O)[O-])cc1 ZINC000455093280 384139243 /nfs/dbraw/zinc/13/92/43/384139243.db2.gz QEBHFOOLKYQXTO-TVYUQYBPSA-N 0 0 295.314 2.846 20 5 CFBDRN COc1cc(N[C@@H](C)c2ccn(C)n2)ccc1[N+](=O)[O-] ZINC000413463189 533037238 /nfs/dbraw/zinc/03/72/38/533037238.db2.gz RETLJPJICIFPAC-VIFPVBQESA-N 0 0 276.296 2.510 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CCSC2)cccc1[N+](=O)[O-] ZINC000266436626 384175291 /nfs/dbraw/zinc/17/52/91/384175291.db2.gz ZEICXHRCNPRXEU-VIFPVBQESA-N 0 0 281.337 2.530 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])ncn2C)[C@@H](c2ccco2)C1 ZINC000266438816 384175376 /nfs/dbraw/zinc/17/53/76/384175376.db2.gz GGAQINPBFLBQQQ-GHMZBOCLSA-N 0 0 290.323 2.899 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1NCCF ZINC000280700169 285085125 /nfs/dbraw/zinc/08/51/25/285085125.db2.gz GQKMBLHYAAERKJ-UHFFFAOYSA-N 0 0 297.164 2.903 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCC[C@@H](F)C1 ZINC000574882792 384159336 /nfs/dbraw/zinc/15/93/36/384159336.db2.gz WFIYFJQMYKAOIX-GFCCVEGCSA-N 0 0 282.315 2.927 20 5 CFBDRN O=C([C@@H]1C[C@H]1C1CCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000574919574 384164730 /nfs/dbraw/zinc/16/47/30/384164730.db2.gz FEQSRAWSWRTJPL-UONOGXRCSA-N 0 0 286.331 2.920 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@H]1[C@@H]2COC[C@@H]21 ZINC000360085609 285086517 /nfs/dbraw/zinc/08/65/17/285086517.db2.gz BYBHOSGAEARIOO-VROVMSAKSA-N 0 0 288.225 2.670 20 5 CFBDRN CNC(=O)c1ccc(N2C[C@@H](C)CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000266485824 384184725 /nfs/dbraw/zinc/18/47/25/384184725.db2.gz RFGOQMGMYQWUKW-QWRGUYRKSA-N 0 0 291.351 2.579 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266452361 384177382 /nfs/dbraw/zinc/17/73/82/384177382.db2.gz BGFASXOBMSBFRY-DGCLKSJQSA-N 0 0 291.351 2.625 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N(C)Cc1ccon1 ZINC000266741610 384231176 /nfs/dbraw/zinc/23/11/76/384231176.db2.gz NTPPQXZMQXMTNJ-SNVBAGLBSA-N 0 0 261.281 2.776 20 5 CFBDRN O=C(CC1CCC1)Nc1ccc([N+](=O)[O-])cc1F ZINC000266622934 384210864 /nfs/dbraw/zinc/21/08/64/384210864.db2.gz GMUDRWJLTXGYOJ-UHFFFAOYSA-N 0 0 252.245 2.863 20 5 CFBDRN O=[N+]([O-])c1cccc(C[N@@H+]2CCC[C@@H](c3ncc[nH]3)C2)c1 ZINC000266887258 384253818 /nfs/dbraw/zinc/25/38/18/384253818.db2.gz BSIOIYAEVUALTQ-CYBMUJFWSA-N 0 0 286.335 2.698 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC(C)(F)F ZINC000277292991 384258371 /nfs/dbraw/zinc/25/83/71/384258371.db2.gz UXJOPYIRFMEWEM-UHFFFAOYSA-N 0 0 258.224 2.887 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CC[C@H]3CCC[C@H]32)s1 ZINC000408107986 285099618 /nfs/dbraw/zinc/09/96/18/285099618.db2.gz QLLDTCYIVSRSIJ-PSASIEDQSA-N 0 0 290.348 2.978 20 5 CFBDRN Cc1ccc(N[C@@H]2c3ccccc3C[C@@H]2O)c([N+](=O)[O-])c1 ZINC000266940373 384266325 /nfs/dbraw/zinc/26/63/25/384266325.db2.gz AVWYQVDUDYUOAE-JKSUJKDBSA-N 0 0 284.315 2.973 20 5 CFBDRN CC(C)(C)[C@H](C[NH2+]Cc1ccc([N+](=O)[O-])cc1)CC(=O)[O-] ZINC000277326386 384269430 /nfs/dbraw/zinc/26/94/30/384269430.db2.gz ODJMPLUKBAEDAD-LBPRGKRZSA-N 0 0 294.351 2.821 20 5 CFBDRN COC[C@@H]1CC[N@H+](Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000266962056 384270534 /nfs/dbraw/zinc/27/05/34/384270534.db2.gz XQXAXAWXDLJOJV-SNVBAGLBSA-N 0 0 284.743 2.717 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N(C)Cc1cscn1 ZINC000266979562 384272800 /nfs/dbraw/zinc/27/28/00/384272800.db2.gz PGMJMMJQUVPUDV-UHFFFAOYSA-N 0 0 293.348 2.834 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H](C)[C@@H]1C ZINC000277357573 384278386 /nfs/dbraw/zinc/27/83/86/384278386.db2.gz CBDHVBMORAXINU-MNOVXSKESA-N 0 0 292.335 2.864 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@H]2OCC[C@H]2C1 ZINC000369696940 285102012 /nfs/dbraw/zinc/10/20/12/285102012.db2.gz RXGTZDMEHNSIND-SWLSCSKDSA-N 0 0 299.330 2.758 20 5 CFBDRN COc1cc(NC[C@]2(C)CCCC[C@@H]2O)ccc1[N+](=O)[O-] ZINC000267069486 384292642 /nfs/dbraw/zinc/29/26/42/384292642.db2.gz IMBRQPJXPXPZTL-GJZGRUSLSA-N 0 0 294.351 2.957 20 5 CFBDRN O=C(NC1CC(F)(F)C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000277596835 384349516 /nfs/dbraw/zinc/34/95/16/384349516.db2.gz PPENPNJKUAPNAE-UHFFFAOYSA-N 0 0 295.245 2.604 20 5 CFBDRN CCS[C@H]1CCCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000267381802 384375450 /nfs/dbraw/zinc/37/54/50/384375450.db2.gz IHJAOWBSAFSUEH-KOLCDFICSA-N 0 0 297.380 2.717 20 5 CFBDRN Cc1c(NC(=O)NC2CC(C)C2)cccc1[N+](=O)[O-] ZINC000267721014 384451416 /nfs/dbraw/zinc/45/14/16/384451416.db2.gz LBOCESVOABOAMB-UHFFFAOYSA-N 0 0 263.297 2.823 20 5 CFBDRN C[C@H]1CCCN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000267754618 384457741 /nfs/dbraw/zinc/45/77/41/384457741.db2.gz MWCNCEYQPGTRML-NSHDSACASA-N 0 0 276.336 2.883 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cn[nH]c2)nc1-c1ccc(F)cc1 ZINC000362348421 285109747 /nfs/dbraw/zinc/10/97/47/285109747.db2.gz IQRDECVCDHFZHU-UHFFFAOYSA-N 0 0 299.265 2.685 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCS[C@@H]3CCCC[C@@H]32)nc1 ZINC000267746028 384456240 /nfs/dbraw/zinc/45/62/40/384456240.db2.gz GVLVHLBIGFCWNI-NWDGAFQWSA-N 0 0 279.365 2.854 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1nc2sccn2c1[N+](=O)[O-] ZINC000267633617 384429203 /nfs/dbraw/zinc/42/92/03/384429203.db2.gz JYOVSOHAPMLSBC-JGVFFNPUSA-N 0 0 266.326 2.539 20 5 CFBDRN C[C@H]1CCCN1C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000267643331 384433326 /nfs/dbraw/zinc/43/33/26/384433326.db2.gz BBOCJMRDGIYVTC-NSHDSACASA-N 0 0 287.319 2.560 20 5 CFBDRN CNC(=O)c1ccc(N(C)[C@H](C)C2(C)CC2)c([N+](=O)[O-])c1 ZINC000267817543 384472800 /nfs/dbraw/zinc/47/28/00/384472800.db2.gz WDXDTHNYWBXODR-SNVBAGLBSA-N 0 0 291.351 2.579 20 5 CFBDRN CCC(C)(C)C(=O)COc1ncc(C)cc1[N+](=O)[O-] ZINC000289413636 197334807 /nfs/dbraw/zinc/33/48/07/197334807.db2.gz VFFJRIJORMNDFM-UHFFFAOYSA-N 0 0 266.297 2.682 20 5 CFBDRN CCN(Cc1nc(-c2ccc([N+](=O)[O-])s2)no1)C1CC1 ZINC000278228020 384504255 /nfs/dbraw/zinc/50/42/55/384504255.db2.gz RLUARFVGCLHSHZ-UHFFFAOYSA-N 0 0 294.336 2.691 20 5 CFBDRN Cc1ccc(-c2cnc(Cn3cc([N+](=O)[O-])cn3)o2)cc1 ZINC000352289889 220301274 /nfs/dbraw/zinc/30/12/74/220301274.db2.gz MMUVEADLQFCTEP-UHFFFAOYSA-N 0 0 284.275 2.803 20 5 CFBDRN CC1(C)CC[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)O1 ZINC000278180296 384492594 /nfs/dbraw/zinc/49/25/94/384492594.db2.gz DZWYNBBLQDVURS-LBPRGKRZSA-N 0 0 279.292 2.596 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NCCc1ccc(O)cc1)CCN2 ZINC000278343225 384535518 /nfs/dbraw/zinc/53/55/18/384535518.db2.gz JGEZARBURRHJFD-UHFFFAOYSA-N 0 0 299.330 2.923 20 5 CFBDRN C[C@]1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CCCO1 ZINC000278283530 384516543 /nfs/dbraw/zinc/51/65/43/384516543.db2.gz WIRUURQTCPCTPF-LLVKDONJSA-N 0 0 281.293 2.732 20 5 CFBDRN COCCC(C)(C)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000353279023 220305056 /nfs/dbraw/zinc/30/50/56/220305056.db2.gz XUOHSBADCAGDJB-UHFFFAOYSA-N 0 0 284.341 2.531 20 5 CFBDRN O=C(Nc1nc(C2CC2)c[nH]1)c1ccc([N+](=O)[O-])s1 ZINC000289355770 197309051 /nfs/dbraw/zinc/30/90/51/197309051.db2.gz LZFQCBAYKYWRMQ-UHFFFAOYSA-N 0 0 278.293 2.509 20 5 CFBDRN Cc1cnc(N(C)CCC2CCOCC2)c([N+](=O)[O-])c1 ZINC000268195751 384561112 /nfs/dbraw/zinc/56/11/12/384561112.db2.gz WONFREUPWFXYGK-UHFFFAOYSA-N 0 0 279.340 2.551 20 5 CFBDRN C[C@@H](C[C@H](O)c1ccccc1)Nc1ccc([N+](=O)[O-])cn1 ZINC000268132931 384539451 /nfs/dbraw/zinc/53/94/51/384539451.db2.gz AXHHKRXYBAIBAG-FZMZJTMJSA-N 0 0 287.319 2.914 20 5 CFBDRN C[C@H]1CCN(c2ccccc2[N+](=O)[O-])C[C@H]1n1ccnc1 ZINC000268216497 384569619 /nfs/dbraw/zinc/56/96/19/384569619.db2.gz BIBIAUQFFCGOSD-SWLSCSKDSA-N 0 0 286.335 2.879 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2[C@@H]2CCCO2)cc1 ZINC000286322975 285125022 /nfs/dbraw/zinc/12/50/22/285125022.db2.gz FVMNXXIJRJGOFL-KBPBESRZSA-N 0 0 262.309 2.743 20 5 CFBDRN CC(C)C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000268253449 384581433 /nfs/dbraw/zinc/58/14/33/384581433.db2.gz KNRNBZBUAHIJCX-NSHDSACASA-N 0 0 279.340 2.821 20 5 CFBDRN CC[C@H]1CC[C@@H](C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000268263591 384583727 /nfs/dbraw/zinc/58/37/27/384583727.db2.gz FNDUWPOMUFOZQD-AAEUAGOBSA-N 0 0 278.308 2.799 20 5 CFBDRN CC(C)(C)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000268273996 384588047 /nfs/dbraw/zinc/58/80/47/384588047.db2.gz UTKSYUPNHOQQQS-UHFFFAOYSA-N 0 0 270.716 2.911 20 5 CFBDRN C[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000279240350 384610564 /nfs/dbraw/zinc/61/05/64/384610564.db2.gz FXFZWMILTDIIIZ-IAQYHMDHSA-N 0 0 276.336 2.594 20 5 CFBDRN C[C@H]1CN(Cc2csc([N+](=O)[O-])c2)C[C@@H](C2CC2)O1 ZINC000279368342 384616339 /nfs/dbraw/zinc/61/63/39/384616339.db2.gz RNQDVSWCGXKPCF-CABZTGNLSA-N 0 0 282.365 2.656 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)C[C@@H](C2CC2)O1 ZINC000279382832 384619894 /nfs/dbraw/zinc/61/98/94/384619894.db2.gz SXBVOTRRNDVWMF-BMIGLBTASA-N 0 0 294.326 2.733 20 5 CFBDRN COc1cc(N2CCC=C(F)C2)c(F)cc1[N+](=O)[O-] ZINC000278795416 384596311 /nfs/dbraw/zinc/59/63/11/384596311.db2.gz QQFPNNQKIBXNAC-UHFFFAOYSA-N 0 0 270.235 2.806 20 5 CFBDRN O=[N+]([O-])c1cccc(CN2C[C@@H](O)Cc3ccccc32)c1 ZINC000278837671 384599682 /nfs/dbraw/zinc/59/96/82/384599682.db2.gz IXVGDKAUUNQVPR-HNNXBMFYSA-N 0 0 284.315 2.518 20 5 CFBDRN CO[C@]1(C)CCCN(C(=O)c2cccc([N+](=O)[O-])c2C)C1 ZINC000279544888 384673479 /nfs/dbraw/zinc/67/34/79/384673479.db2.gz SQIDEVFYHFAHAG-OAHLLOKOSA-N 0 0 292.335 2.544 20 5 CFBDRN CO[C@@]1(C)CCCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000279556380 384676991 /nfs/dbraw/zinc/67/69/91/384676991.db2.gz UCZONBMNPCPIBC-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN CC1(CCNC(=O)NCCc2ccccc2[N+](=O)[O-])CC1 ZINC000279611818 384694765 /nfs/dbraw/zinc/69/47/65/384694765.db2.gz TYUXWKCVVDQCRF-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCOC2(CCCC2)C1 ZINC000268954998 384700198 /nfs/dbraw/zinc/70/01/98/384700198.db2.gz JKTWZEYNEGCOPU-LLVKDONJSA-N 0 0 277.324 2.894 20 5 CFBDRN Cc1ccc(C[N@@H+]2CCC[C@@](C)(O)CC2)cc1[N+](=O)[O-] ZINC000279643086 384705534 /nfs/dbraw/zinc/70/55/34/384705534.db2.gz JNXYLFPZWQLMFY-OAHLLOKOSA-N 0 0 278.352 2.640 20 5 CFBDRN CCCc1nc(C(=O)OCc2ccc([N+](=O)[O-])cc2)co1 ZINC000279661075 384710611 /nfs/dbraw/zinc/71/06/11/384710611.db2.gz DFOADWSSHFAYCR-UHFFFAOYSA-N 0 0 290.275 2.892 20 5 CFBDRN COCC[C@@H](COC)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000311966288 285136058 /nfs/dbraw/zinc/13/60/58/285136058.db2.gz OHIVUTBHBFJFFB-VIFPVBQESA-N 0 0 288.731 2.712 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2CCCc3c[nH]nc32)c(F)c1 ZINC000268884688 285136101 /nfs/dbraw/zinc/13/61/01/285136101.db2.gz WYYNDSPMKHYXMW-LBPRGKRZSA-N 0 0 276.271 2.947 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000269498969 384769080 /nfs/dbraw/zinc/76/90/80/384769080.db2.gz YXQFLOJQLSNBRE-JTQLQIEISA-N 0 0 292.339 2.527 20 5 CFBDRN CCN(C[C@@H](O)c1cccc([N+](=O)[O-])c1)CC(F)(F)F ZINC000269649178 384819066 /nfs/dbraw/zinc/81/90/66/384819066.db2.gz LWHSBNDWWZKFHO-LLVKDONJSA-N 0 0 292.257 2.512 20 5 CFBDRN CC[C@@H](C)C[C@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269555127 384788234 /nfs/dbraw/zinc/78/82/34/384788234.db2.gz YXQNAHXEEOTJLA-ZJUUUORDSA-N 0 0 296.371 2.996 20 5 CFBDRN COc1cc(OCC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000279866798 384793235 /nfs/dbraw/zinc/79/32/35/384793235.db2.gz GBISOGDGFOPXSG-JTQLQIEISA-N 0 0 267.281 2.551 20 5 CFBDRN CCN(Cc1cnn(C)c1)c1c(F)cc([N+](=O)[O-])cc1F ZINC000269629786 384810439 /nfs/dbraw/zinc/81/04/39/384810439.db2.gz KWKBNNIVXPXWHN-UHFFFAOYSA-N 0 0 296.277 2.633 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N[C@H]3CCCc4c[nH]nc43)nc2c1 ZINC000297631557 285141445 /nfs/dbraw/zinc/14/14/45/285141445.db2.gz XCZRGIZHQPMYBH-NSHDSACASA-N 0 0 298.306 2.684 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(CC1CC(F)(F)C1)CCO2 ZINC000451053022 285141898 /nfs/dbraw/zinc/14/18/98/285141898.db2.gz YREOZZJNQAEJTM-UHFFFAOYSA-N 0 0 298.289 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN(Cc1cncc(F)c1)CC2 ZINC000347028838 285142586 /nfs/dbraw/zinc/14/25/86/285142586.db2.gz HAOAODSAKHEJIY-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(CCC)CC(C)C)c1[N+](=O)[O-] ZINC000269732784 384855124 /nfs/dbraw/zinc/85/51/24/384855124.db2.gz ZCIUXNLKYFLZEX-UHFFFAOYSA-N 0 0 296.371 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)CN([C@H]1C=CCCC1)CCO2 ZINC000352622311 285143138 /nfs/dbraw/zinc/14/31/38/285143138.db2.gz ZUWDZRUATUCEGC-ZDUSSCGKSA-N 0 0 274.320 2.898 20 5 CFBDRN COc1ccc(OC[C@H](O)CCC(C)C)cc1[N+](=O)[O-] ZINC000280224321 384933851 /nfs/dbraw/zinc/93/38/51/384933851.db2.gz GQJCDVNYEYXKCJ-LLVKDONJSA-N 0 0 283.324 2.779 20 5 CFBDRN CN(C(=O)COC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000269995156 384935370 /nfs/dbraw/zinc/93/53/70/384935370.db2.gz KOFSPTJYQKFFBM-UHFFFAOYSA-N 0 0 278.308 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N2CCSCC2)c1 ZINC000295566491 285147399 /nfs/dbraw/zinc/14/73/99/285147399.db2.gz QSSSVJQBVPQDBM-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN CC(C)CSCCNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000270182327 385005556 /nfs/dbraw/zinc/00/55/56/385005556.db2.gz XENYBVPSJLIPOL-UHFFFAOYSA-N 0 0 296.392 2.643 20 5 CFBDRN O=[N+]([O-])c1c(SC2CCOCC2)nc2sccn21 ZINC000270210076 385018040 /nfs/dbraw/zinc/01/80/40/385018040.db2.gz RXFXBPUTLFGDSU-UHFFFAOYSA-N 0 0 285.350 2.575 20 5 CFBDRN CCOc1cccc(N2CCC[C@](C)(OC)C2)c1[N+](=O)[O-] ZINC000280543896 385054591 /nfs/dbraw/zinc/05/45/91/385054591.db2.gz SROJNLHKQAVUPH-HNNXBMFYSA-N 0 0 294.351 2.999 20 5 CFBDRN Cc1cc(C(=O)Nc2ccn(C(C)C)n2)ccc1[N+](=O)[O-] ZINC000280501855 385037617 /nfs/dbraw/zinc/03/76/17/385037617.db2.gz PRRUVYRCVDBACB-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN C[C@@](O)(CNc1ccc([N+](=O)[O-])cc1Cl)C(F)(F)F ZINC000270472660 385115447 /nfs/dbraw/zinc/11/54/47/385115447.db2.gz NNWVRTAPUKEYSI-SECBINFHSA-N 0 0 298.648 2.973 20 5 CFBDRN C[C@H](SCCN1CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000270487835 385121327 /nfs/dbraw/zinc/12/13/27/385121327.db2.gz CGTXCVOWSLFPLT-LBPRGKRZSA-N 0 0 296.392 2.721 20 5 CFBDRN O=C(NC[C@@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000280711809 385124229 /nfs/dbraw/zinc/12/42/29/385124229.db2.gz MJYQGBBHFVQLRS-SECBINFHSA-N 0 0 284.262 2.760 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000280725019 385128315 /nfs/dbraw/zinc/12/83/15/385128315.db2.gz YKNHXYCFWPDACE-MLGOLLRUSA-N 0 0 290.363 2.903 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281578325 385197973 /nfs/dbraw/zinc/19/79/73/385197973.db2.gz FQMSLZCJRPQEBR-GXSJLCMTSA-N 0 0 295.295 2.507 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1C[C@H]2CC[C@@H](C1)O2 ZINC000368643000 285155207 /nfs/dbraw/zinc/15/52/07/285155207.db2.gz MLGCGLBCGAZFGY-DTORHVGOSA-N 0 0 268.700 2.616 20 5 CFBDRN CCCc1nc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)co1 ZINC000282126225 385220866 /nfs/dbraw/zinc/22/08/66/385220866.db2.gz BXIZUYIJLCVEQI-UHFFFAOYSA-N 0 0 289.291 2.812 20 5 CFBDRN CC[C@@H](Nc1ccccc1[N+](=O)[O-])[C@@H](O)C(F)(F)F ZINC000270843620 385245128 /nfs/dbraw/zinc/24/51/28/385245128.db2.gz XDCZUZNIKPOBHR-GMSGAONNSA-N 0 0 278.230 2.709 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000413260844 533110518 /nfs/dbraw/zinc/11/05/18/533110518.db2.gz RNCFQSCOFZZAIQ-VHSXEESVSA-N 0 0 275.308 2.978 20 5 CFBDRN COc1cc(NC[C@H](C)C[C@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000271058890 385324317 /nfs/dbraw/zinc/32/43/17/385324317.db2.gz BNXQFLZGBQGRSK-BDAKNGLRSA-N 0 0 286.303 2.561 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CCO[C@@H](C)C1 ZINC000282468590 385319251 /nfs/dbraw/zinc/31/92/51/385319251.db2.gz WSXHDSTXVIGRGU-WPRPVWTQSA-N 0 0 296.298 2.796 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000282283581 385269981 /nfs/dbraw/zinc/26/99/81/385269981.db2.gz BKFSJPLDSZNWBY-VYUIOLGVSA-N 0 0 296.298 2.794 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2O[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000282283574 385270377 /nfs/dbraw/zinc/27/03/77/385270377.db2.gz BKFSJPLDSZNWBY-JRKPZEMJSA-N 0 0 296.298 2.794 20 5 CFBDRN CC[C@](C)(OC)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282283560 385270639 /nfs/dbraw/zinc/27/06/39/385270639.db2.gz BKCYQXAYQIEINW-ZDUSSCGKSA-N 0 0 284.287 2.796 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000289064257 197225016 /nfs/dbraw/zinc/22/50/16/197225016.db2.gz NALIINHQNWXBAS-OAHLLOKOSA-N 0 0 294.351 2.592 20 5 CFBDRN COc1cc(N[C@H](C)c2cnn(C)c2)c(F)cc1[N+](=O)[O-] ZINC000271056844 385322860 /nfs/dbraw/zinc/32/28/60/385322860.db2.gz ATOJOEXEJPLTTI-MRVPVSSYSA-N 0 0 294.286 2.649 20 5 CFBDRN CO[C@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CC[C@H]1C ZINC000282526126 385334852 /nfs/dbraw/zinc/33/48/52/385334852.db2.gz QACIZNYBUPCENM-YGRLFVJLSA-N 0 0 282.315 2.591 20 5 CFBDRN CO[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CC[C@H]1C ZINC000282526124 385334959 /nfs/dbraw/zinc/33/49/59/385334959.db2.gz QACIZNYBUPCENM-QMTHXVAHSA-N 0 0 282.315 2.591 20 5 CFBDRN CC(C)=CC(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282531574 385335871 /nfs/dbraw/zinc/33/58/71/385335871.db2.gz VLMXOKOQYZXVJJ-UHFFFAOYSA-N 0 0 252.245 2.947 20 5 CFBDRN CCSCCCNC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000282565599 385343311 /nfs/dbraw/zinc/34/33/11/385343311.db2.gz FWBATGOLDTXVOW-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN Cc1ccc(CN2CCO[C@@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000271131048 385345357 /nfs/dbraw/zinc/34/53/57/385345357.db2.gz PVETTZNMOWZHJH-UKRRQHHQSA-N 0 0 276.336 2.657 20 5 CFBDRN Cc1cccnc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000289021072 197215699 /nfs/dbraw/zinc/21/56/99/197215699.db2.gz KETPRRPJEUFIIH-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000282630255 385357716 /nfs/dbraw/zinc/35/77/16/385357716.db2.gz KLIIITIRDDCIMZ-NXEZZACHSA-N 0 0 299.710 2.973 20 5 CFBDRN CO[C@H]1CC[C@@H](OC(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000282689361 385371499 /nfs/dbraw/zinc/37/14/99/385371499.db2.gz PEAMHSHUYOETNZ-WDEREUQCSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1O[C@H](C)C[C@H]1C ZINC000282723662 385376874 /nfs/dbraw/zinc/37/68/74/385376874.db2.gz ZFNXEDVZQRUGOE-GPCCPHFNSA-N 0 0 292.335 2.964 20 5 CFBDRN CCSCCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000282750256 385382296 /nfs/dbraw/zinc/38/22/96/385382296.db2.gz UPBQSJXNCXPFJA-UHFFFAOYSA-N 0 0 274.367 2.529 20 5 CFBDRN COc1cc(N2CCO[C@H]3CCC[C@H]32)c(F)cc1[N+](=O)[O-] ZINC000271612657 385386543 /nfs/dbraw/zinc/38/65/43/385386543.db2.gz KNCAEMRVFLGLPT-MFKMUULPSA-N 0 0 296.298 2.500 20 5 CFBDRN CSCC(C)(C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000282500162 385327378 /nfs/dbraw/zinc/32/73/78/385327378.db2.gz JPTMENGWLOXNRH-UHFFFAOYSA-N 0 0 268.338 2.923 20 5 CFBDRN CC[C@@H]1[C@@H](C)CCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000283174188 385443475 /nfs/dbraw/zinc/44/34/75/385443475.db2.gz PNLSGRFEQBNYMV-QPUJVOFHSA-N 0 0 295.314 2.577 20 5 CFBDRN CC(C)CCNC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272110275 385450365 /nfs/dbraw/zinc/45/03/65/385450365.db2.gz ONJLHSYNFNISKS-UHFFFAOYSA-N 0 0 286.278 2.849 20 5 CFBDRN Cc1nc(N[C@@H](C)[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000272028382 385430066 /nfs/dbraw/zinc/43/00/66/385430066.db2.gz REGRICWIAZJWMM-JQWIXIFHSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2ccc([N+](=O)[O-])c(F)c2)s1 ZINC000283082163 385433657 /nfs/dbraw/zinc/43/36/57/385433657.db2.gz KSQMPLHJFHMVIM-SSDOTTSWSA-N 0 0 296.327 2.745 20 5 CFBDRN CCC[C@H](C)N(C)C(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000272194514 385470839 /nfs/dbraw/zinc/47/08/39/385470839.db2.gz FTBLTFQMMFORGP-VIFPVBQESA-N 0 0 294.307 2.584 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](C)C2)c1 ZINC000283621265 385481370 /nfs/dbraw/zinc/48/13/70/385481370.db2.gz OTVOSYLJJSIMIN-LLVKDONJSA-N 0 0 292.335 2.866 20 5 CFBDRN CC(C)Cc1noc(-c2cc3c(c([N+](=O)[O-])c2)OCO3)n1 ZINC000272243630 385485851 /nfs/dbraw/zinc/48/58/51/385485851.db2.gz UAVKXPSLNRHXQS-UHFFFAOYSA-N 0 0 291.263 2.572 20 5 CFBDRN Cc1nc(COc2ccc(N)c([N+](=O)[O-])c2)sc1C ZINC000283897762 385511743 /nfs/dbraw/zinc/51/17/43/385511743.db2.gz WACIWWBOYZXBHF-UHFFFAOYSA-N 0 0 279.321 2.829 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](C3CC3)C2)c(F)c1 ZINC000413306302 285173064 /nfs/dbraw/zinc/17/30/64/285173064.db2.gz XMLXXILGVCHJMT-JTQLQIEISA-N 0 0 265.288 2.755 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCc3cc(F)ccc3C2)s1 ZINC000192518791 285178377 /nfs/dbraw/zinc/17/83/77/285178377.db2.gz WKMJETRVTOTUOC-UHFFFAOYSA-N 0 0 279.296 2.753 20 5 CFBDRN C[C@@H](NC(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CCCC1 ZINC000284161273 385542332 /nfs/dbraw/zinc/54/23/32/385542332.db2.gz XFAOKHMZERWIBL-MRVPVSSYSA-N 0 0 295.314 2.625 20 5 CFBDRN CN(C(=O)C1C(C)(C)C1(C)C)c1ccc([N+](=O)[O-])nc1 ZINC000288834044 197175119 /nfs/dbraw/zinc/17/51/19/197175119.db2.gz ODHNFJGFMBBFOY-UHFFFAOYSA-N 0 0 277.324 2.635 20 5 CFBDRN CCC[C@]1(CO)CCN(c2ccc([N+](=O)[O-])c(OC)c2)C1 ZINC000288790204 197166835 /nfs/dbraw/zinc/16/68/35/197166835.db2.gz FHDBOWQYRFNCRB-HNNXBMFYSA-N 0 0 294.351 2.592 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCOCC2)c(Cl)c1 ZINC000182992837 285182471 /nfs/dbraw/zinc/18/24/71/285182471.db2.gz VWCCEXPXEWWNSI-QMMMGPOBSA-N 0 0 271.704 2.624 20 5 CFBDRN C[N@@H+](Cc1ccc([N+](=O)[O-])cc1F)C[C@@H]1CCCC[C@H]1O ZINC000272537183 385560653 /nfs/dbraw/zinc/56/06/53/385560653.db2.gz NDTFEWJQFVZWEU-SWLSCSKDSA-N 0 0 296.342 2.717 20 5 CFBDRN Cc1cccc(CCCNc2cc(N)ccc2[N+](=O)[O-])n1 ZINC000286119954 385561005 /nfs/dbraw/zinc/56/10/05/385561005.db2.gz AGYNLWZMHPBDAD-UHFFFAOYSA-N 0 0 286.335 2.925 20 5 CFBDRN CCn1cc(CNc2cccc(C)c2[N+](=O)[O-])cn1 ZINC000286244378 385576882 /nfs/dbraw/zinc/57/68/82/385576882.db2.gz DNAOIYWQBGKOGO-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN Cc1cnc(NCCSC(C)C)c([N+](=O)[O-])c1 ZINC000286352482 385596341 /nfs/dbraw/zinc/59/63/41/385596341.db2.gz IZQNAWMXFCRCQT-UHFFFAOYSA-N 0 0 255.343 2.852 20 5 CFBDRN CCC[C@H](C)N(C)c1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000286261794 385579626 /nfs/dbraw/zinc/57/96/26/385579626.db2.gz VWHJQZXAZCHUCR-VIFPVBQESA-N 0 0 290.323 2.868 20 5 CFBDRN CC(C)(C)SCCNC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000272636592 385581228 /nfs/dbraw/zinc/58/12/28/385581228.db2.gz BKZHHTRZJOWYGS-UHFFFAOYSA-N 0 0 298.368 2.643 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1Nc1cc2n(n1)CCCC2 ZINC000286287425 385583196 /nfs/dbraw/zinc/58/31/96/385583196.db2.gz GPCLGHRHOKUHDX-UHFFFAOYSA-N 0 0 273.296 2.575 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@H]2CC(F)F)cs1 ZINC000286328801 385590701 /nfs/dbraw/zinc/59/07/01/385590701.db2.gz FWNUVTXISNVCKR-SECBINFHSA-N 0 0 292.307 2.512 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CCOC2(CCC2)C1 ZINC000291805011 285186587 /nfs/dbraw/zinc/18/65/87/285186587.db2.gz DYBOFVIGIRKGNP-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCCN1c1ccc([N+](=O)[O-])cn1 ZINC000286330596 385592191 /nfs/dbraw/zinc/59/21/91/385592191.db2.gz LMHDMTFILGTCLQ-RYUDHWBXSA-N 0 0 279.340 2.510 20 5 CFBDRN CO[C@@H]1[C@H]2C[C@H]3CN(c4ccc(C)cc4[N+](=O)[O-])[C@H]1[C@H]3C2 ZINC000286446903 385622448 /nfs/dbraw/zinc/62/24/48/385622448.db2.gz SZGDPEWKAKVQHD-PVIIJVKYSA-N 0 0 288.347 2.763 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000286445520 385622899 /nfs/dbraw/zinc/62/28/99/385622899.db2.gz RPJAMVRQDAJRHW-ZYHUDNBSSA-N 0 0 292.335 2.882 20 5 CFBDRN COc1ccc(NC(=O)N2CC[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000272854452 385634545 /nfs/dbraw/zinc/63/45/45/385634545.db2.gz QUPDCAQRWPKQDV-ZJUUUORDSA-N 0 0 293.323 2.866 20 5 CFBDRN CCn1cc(CNc2cccc(F)c2[N+](=O)[O-])cn1 ZINC000286512556 385638500 /nfs/dbraw/zinc/63/85/00/385638500.db2.gz XGCIFTIAODIEDM-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN CO[C@@H](C)CN(C)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000286603758 385663456 /nfs/dbraw/zinc/66/34/56/385663456.db2.gz STPBNWAMYZZGKP-NSHDSACASA-N 0 0 294.351 2.825 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COc1cccnc1C ZINC000429786507 533136969 /nfs/dbraw/zinc/13/69/69/533136969.db2.gz UNEUAIFIKLWNKR-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN CO[C@@H](C)[C@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000286730608 385697090 /nfs/dbraw/zinc/69/70/90/385697090.db2.gz QCPMCOREERLOOV-WPRPVWTQSA-N 0 0 266.297 2.633 20 5 CFBDRN CC(C)[C@H](C)Cc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000274021763 385700946 /nfs/dbraw/zinc/70/09/46/385700946.db2.gz SWFHZYPVCLYFDQ-SNVBAGLBSA-N 0 0 290.323 2.798 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CCC[C@@H]2CCO)ccc1[N+](=O)[O-] ZINC000286743615 385701443 /nfs/dbraw/zinc/70/14/43/385701443.db2.gz RAUODRXSYWQTKY-RISCZKNCSA-N 0 0 292.335 2.760 20 5 CFBDRN C[C@@H]1CC[C@H](CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)C1 ZINC000288669462 197140955 /nfs/dbraw/zinc/14/09/55/197140955.db2.gz CBWIHNJTFOMURD-MNOVXSKESA-N 0 0 277.324 2.779 20 5 CFBDRN CCOc1cc(OCCOC2CCC2)ccc1[N+](=O)[O-] ZINC000286614322 385666393 /nfs/dbraw/zinc/66/63/93/385666393.db2.gz UNMMEVKUIOMJTL-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN Cc1noc([C@@H]2CCCN2c2ccc([N+](=O)[O-])cc2)n1 ZINC000286625371 385669325 /nfs/dbraw/zinc/66/93/25/385669325.db2.gz UVDVTMIHLYSGBI-LBPRGKRZSA-N 0 0 274.280 2.628 20 5 CFBDRN CCc1ccc(C(=O)N[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000288633802 197132885 /nfs/dbraw/zinc/13/28/85/197132885.db2.gz PRFGQPYZGUPWBV-ZETCQYMHSA-N 0 0 290.241 2.838 20 5 CFBDRN CC/C=C/CCn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000274302809 385760810 /nfs/dbraw/zinc/76/08/10/385760810.db2.gz FWVRHXYJKHHXKP-ONEGZZNKSA-N 0 0 256.689 2.766 20 5 CFBDRN CC1(C)CC[C@@H]1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000287082259 385776132 /nfs/dbraw/zinc/77/61/32/385776132.db2.gz YDHMCQKVSQUZIJ-ZDUSSCGKSA-N 0 0 291.351 2.625 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCOC(C)C ZINC000287107558 385782419 /nfs/dbraw/zinc/78/24/19/385782419.db2.gz ZLPJGMGIIXRRDZ-UHFFFAOYSA-N 0 0 266.297 2.634 20 5 CFBDRN Cc1cc(OCC(=O)N[C@H]2CCC2(C)C)ccc1[N+](=O)[O-] ZINC000286835887 385719814 /nfs/dbraw/zinc/71/98/14/385719814.db2.gz WOOPKHONDIUTMM-ZDUSSCGKSA-N 0 0 292.335 2.587 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC=C(F)C1 ZINC000274582760 385828234 /nfs/dbraw/zinc/82/82/34/385828234.db2.gz HZOHEJBJCQPCMO-UHFFFAOYSA-N 0 0 295.270 2.694 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@@H]2CCO)c([N+](=O)[O-])cc1F ZINC000275000156 385908837 /nfs/dbraw/zinc/90/88/37/385908837.db2.gz GKYXEZNSLOLHBM-MWLCHTKSSA-N 0 0 298.314 2.706 20 5 CFBDRN O=C(C[C@@H]1C=CCCC1)NCCc1ccccc1[N+](=O)[O-] ZINC000275026071 385915269 /nfs/dbraw/zinc/91/52/69/385915269.db2.gz OEDZMUOQXVFBFW-CYBMUJFWSA-N 0 0 288.347 3.000 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2c(C)cccc2[N+](=O)[O-])[C@H]1OC ZINC000275041061 385919121 /nfs/dbraw/zinc/91/91/21/385919121.db2.gz YINLHUQNMQNMEP-MPKXVKKWSA-N 0 0 280.324 2.508 20 5 CFBDRN CO[C@@H]1CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000275420281 385977031 /nfs/dbraw/zinc/97/70/31/385977031.db2.gz HHLWXZAQPYXNQL-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN CO[C@@H]1CN(c2ccc(F)cc2[N+](=O)[O-])CC[C@H]1C ZINC000276057134 385981349 /nfs/dbraw/zinc/98/13/49/385981349.db2.gz RFRRYZPILNBVMW-NOZJJQNGSA-N 0 0 268.288 2.595 20 5 CFBDRN C[C@@H]1CN(c2ccc(Cl)cc2[N+](=O)[O-])CCC1=O ZINC000276491711 385989679 /nfs/dbraw/zinc/98/96/79/385989679.db2.gz AUASLLZFQDHACL-MRVPVSSYSA-N 0 0 268.700 2.664 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCC(=O)[C@H](C)C1 ZINC000276537585 385989695 /nfs/dbraw/zinc/98/96/95/385989695.db2.gz ILOSYEANIUFTCW-VXGBXAGGSA-N 0 0 276.336 2.875 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NC[C@H]1CCC(F)(F)C1 ZINC000288541408 197107493 /nfs/dbraw/zinc/10/74/93/197107493.db2.gz XWDZLGISKSOVNU-VIFPVBQESA-N 0 0 288.298 2.967 20 5 CFBDRN COC1(CC(=O)Nc2cccc([N+](=O)[O-])c2C)CCC1 ZINC000276658998 386006268 /nfs/dbraw/zinc/00/62/68/386006268.db2.gz HDBUBVGWKFXSLE-UHFFFAOYSA-N 0 0 278.308 2.801 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCCO1 ZINC000281205216 195148961 /nfs/dbraw/zinc/14/89/61/195148961.db2.gz CKFUWKXIIRWUTQ-CYBMUJFWSA-N 0 0 294.307 2.501 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1NC[C@@H]1CC[C@H](O)C1 ZINC000277027388 386111007 /nfs/dbraw/zinc/11/10/07/386111007.db2.gz ZLRXNFMDOZYNMP-PWSUYJOCSA-N 0 0 287.319 2.716 20 5 CFBDRN CCOc1cc(Cn2c(C)csc2=O)ccc1[N+](=O)[O-] ZINC000276856102 386060194 /nfs/dbraw/zinc/06/01/94/386060194.db2.gz FPPHBSMPKRFOCW-UHFFFAOYSA-N 0 0 294.332 2.573 20 5 CFBDRN CC(F)(F)CC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000277072207 386122165 /nfs/dbraw/zinc/12/21/65/386122165.db2.gz SNRGOXWZXIMJTL-UHFFFAOYSA-N 0 0 292.669 2.910 20 5 CFBDRN Cc1cnc(NCc2ccccc2N(C)C)c([N+](=O)[O-])c1 ZINC000276947039 386087981 /nfs/dbraw/zinc/08/79/81/386087981.db2.gz SZHZRXDMAIVXEY-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN CCOc1cc(Cn2cc(Cl)cn2)ccc1[N+](=O)[O-] ZINC000276975975 386097622 /nfs/dbraw/zinc/09/76/22/386097622.db2.gz XNLOPJVEGCASDQ-UHFFFAOYSA-N 0 0 281.699 2.892 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NC[C@H]1CCCCO1 ZINC000288401672 197069089 /nfs/dbraw/zinc/06/90/89/197069089.db2.gz LEVYCTYNVNWMCR-SECBINFHSA-N 0 0 254.261 2.715 20 5 CFBDRN CC(C)CCOCCNc1ccc(N)cc1[N+](=O)[O-] ZINC000288365227 197059558 /nfs/dbraw/zinc/05/95/58/197059558.db2.gz JMSMAAKVHRTCDT-UHFFFAOYSA-N 0 0 267.329 2.652 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000281208856 195149917 /nfs/dbraw/zinc/14/99/17/195149917.db2.gz YQNVXGNAVCSWGN-PSASIEDQSA-N 0 0 279.296 2.523 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(COc2ccccc2)C1 ZINC000288239396 197034456 /nfs/dbraw/zinc/03/44/56/197034456.db2.gz OCVCMZFXDCWCHX-UHFFFAOYSA-N 0 0 299.330 2.813 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCc1ccccc1OC ZINC000288172201 197018795 /nfs/dbraw/zinc/01/87/95/197018795.db2.gz QJCHVXQDFFSLGI-UHFFFAOYSA-N 0 0 290.323 2.740 20 5 CFBDRN CCc1nn(C)c(Sc2nc(C)cs2)c1[N+](=O)[O-] ZINC000288218332 197029117 /nfs/dbraw/zinc/02/91/17/197029117.db2.gz VFRFGKBLIWMKSI-UHFFFAOYSA-N 0 0 284.366 2.807 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Sc1ccc(O)cc1 ZINC000288187427 197023063 /nfs/dbraw/zinc/02/30/63/197023063.db2.gz RNCZNNIZQPZMPE-UHFFFAOYSA-N 0 0 279.321 2.976 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2noc3c2CCCC3)n1 ZINC000288093642 197003030 /nfs/dbraw/zinc/00/30/30/197003030.db2.gz AFRKELOMGAAAAE-UHFFFAOYSA-N 0 0 288.307 2.777 20 5 CFBDRN C[C@@H](CF)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000288113413 197006837 /nfs/dbraw/zinc/00/68/37/197006837.db2.gz BZGKHKUEQOOTSN-LURJTMIESA-N 0 0 264.203 2.966 20 5 CFBDRN COC[C@@H](NCc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000184552825 285238986 /nfs/dbraw/zinc/23/89/86/285238986.db2.gz VWOXQSNCCVELNY-GFCCVEGCSA-N 0 0 276.292 2.665 20 5 CFBDRN COCCC1(CNc2ccc(N)cc2[N+](=O)[O-])CCC1 ZINC000287782906 196940056 /nfs/dbraw/zinc/94/00/56/196940056.db2.gz IQUXKFDPUIXBAJ-UHFFFAOYSA-N 0 0 279.340 2.796 20 5 CFBDRN C[C@@H](NC(=O)OC[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000287704143 196921839 /nfs/dbraw/zinc/92/18/39/196921839.db2.gz FYLVIWIMRQKJMG-MNOVXSKESA-N 0 0 294.307 2.561 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1c(F)cccc1[N+](=O)[O-] ZINC000287602065 196896844 /nfs/dbraw/zinc/89/68/44/196896844.db2.gz FRDIXCUIRWLACB-SCZZXKLOSA-N 0 0 254.261 2.571 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCCOCC1CC1 ZINC000287449793 196860709 /nfs/dbraw/zinc/86/07/09/196860709.db2.gz ROQNXGUYLYGWCF-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN Cc1cnc(N[C@@H]2CCC[C@@H](F)C2)c([N+](=O)[O-])c1 ZINC000575177035 322721057 /nfs/dbraw/zinc/72/10/57/322721057.db2.gz OLFSYJSMEDHGTR-NXEZZACHSA-N 0 0 253.277 2.991 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CC(F)(F)F ZINC000281378930 195217679 /nfs/dbraw/zinc/21/76/79/195217679.db2.gz NPVIMRFFJAOSSD-UHFFFAOYSA-N 0 0 292.213 2.884 20 5 CFBDRN CC(C)(C)CSCCn1cc([N+](=O)[O-])ccc1=O ZINC000281484823 195260047 /nfs/dbraw/zinc/26/00/47/195260047.db2.gz PNIBYYOTFSLSGC-UHFFFAOYSA-N 0 0 270.354 2.536 20 5 CFBDRN O=C(c1cc(C2CC2)no1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000281495867 195264599 /nfs/dbraw/zinc/26/45/99/195264599.db2.gz QWOFSZUSJPYZIE-UHFFFAOYSA-N 0 0 299.286 2.663 20 5 CFBDRN CC1(C)CCN(C(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)C1 ZINC000081353197 177791613 /nfs/dbraw/zinc/79/16/13/177791613.db2.gz QEUUWDFDMBAWBH-UHFFFAOYSA-N 0 0 287.319 2.948 20 5 CFBDRN CCOc1cccc(NC[C@](C)(O)C(C)C)c1[N+](=O)[O-] ZINC000184115342 262269566 /nfs/dbraw/zinc/26/95/66/262269566.db2.gz UCZHLVSXYOKXAP-AWEZNQCLSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1cc(C(=O)N2C3CCC2CC3)cc([N+](=O)[O-])c1 ZINC000519941900 260025936 /nfs/dbraw/zinc/02/59/36/260025936.db2.gz GVJTWBVRCARBGJ-UHFFFAOYSA-N 0 0 260.293 2.670 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]12C[C@@H]1CCC2 ZINC000421432473 533227378 /nfs/dbraw/zinc/22/73/78/533227378.db2.gz ULFOLHQBGSRIKC-XPTSAGLGSA-N 0 0 276.292 2.732 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](CC(C)C)OC ZINC000414720084 533228386 /nfs/dbraw/zinc/22/83/86/533228386.db2.gz ATRHMEHESZERQZ-ZDUSSCGKSA-N 0 0 296.323 2.603 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CC[C@@H](SC)C2)c1[N+](=O)[O-] ZINC000094169574 184759188 /nfs/dbraw/zinc/75/91/88/184759188.db2.gz BLUXTTSCRXSMCE-DTWKUNHWSA-N 0 0 284.385 2.587 20 5 CFBDRN CCOc1cc(NC[C@@]2(C)CCCO2)ccc1[N+](=O)[O-] ZINC000094804736 184869195 /nfs/dbraw/zinc/86/91/95/184869195.db2.gz QRJCKPQXRWEUED-CQSZACIVSA-N 0 0 280.324 2.975 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)CCOCC1CC1 ZINC000094989797 184911526 /nfs/dbraw/zinc/91/15/26/184911526.db2.gz RWGQCIKMSGTIOZ-UHFFFAOYSA-N 0 0 292.335 2.660 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc(C(=O)CC)cc1[N+](=O)[O-] ZINC000281535624 195279561 /nfs/dbraw/zinc/27/95/61/195279561.db2.gz RNLOJIAVYRDAAJ-OGOUPESXSA-N 0 0 292.335 2.927 20 5 CFBDRN CC[C@@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)CCCO1 ZINC000281546724 195283577 /nfs/dbraw/zinc/28/35/77/195283577.db2.gz OFVHJYTXHMIUDM-CQSZACIVSA-N 0 0 292.335 2.544 20 5 CFBDRN CCc1occc1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000281622349 195311485 /nfs/dbraw/zinc/31/14/85/195311485.db2.gz LHWGLIKSBCPWGS-UHFFFAOYSA-N 0 0 288.303 2.723 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)CC ZINC000281947297 195445593 /nfs/dbraw/zinc/44/55/93/195445593.db2.gz LXPHOQRYLWTWLQ-SECBINFHSA-N 0 0 281.312 2.914 20 5 CFBDRN CC[C@H]1CCN(C(=O)COc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000281799341 195386158 /nfs/dbraw/zinc/38/61/58/195386158.db2.gz VGNOUGLLAZFJMJ-LBPRGKRZSA-N 0 0 292.335 2.541 20 5 CFBDRN COCC(C)(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1F ZINC000282273035 195558745 /nfs/dbraw/zinc/55/87/45/195558745.db2.gz ARYHRSNOPOCHRM-UHFFFAOYSA-N 0 0 284.287 2.653 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@H]2CCCO[C@@H]2C)cc1[N+](=O)[O-] ZINC000282285705 195563273 /nfs/dbraw/zinc/56/32/73/195563273.db2.gz BQIKQZZGCOWMIU-ZJUUUORDSA-N 0 0 296.298 2.796 20 5 CFBDRN CCOC1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CCCC1 ZINC000283820964 195925837 /nfs/dbraw/zinc/92/58/37/195925837.db2.gz DLRJVYUEBSWMSQ-UHFFFAOYSA-N 0 0 297.282 2.989 20 5 CFBDRN COCCN(c1ncc([N+](=O)[O-])cc1F)[C@H]1CC[C@@H](C)C1 ZINC000413272832 533338939 /nfs/dbraw/zinc/33/89/39/533338939.db2.gz YMZDNBPDBFFGNL-MNOVXSKESA-N 0 0 297.330 2.770 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1cc2n(n1)CCC2 ZINC000414068355 533450711 /nfs/dbraw/zinc/45/07/11/533450711.db2.gz AYZDLIOYTKLLMT-LLVKDONJSA-N 0 0 286.335 2.588 20 5 CFBDRN COc1cccc(C(=O)NC[C@H](C)C(C)C)c1[N+](=O)[O-] ZINC000194435377 533409374 /nfs/dbraw/zinc/40/93/74/533409374.db2.gz BOVFQSMAWFWJHW-JTQLQIEISA-N 0 0 280.324 2.625 20 5 CFBDRN CO[C@@H]1CC[C@@H](NC(=O)c2cc([N+](=O)[O-])c(C)cc2C)C1 ZINC000421509642 533429183 /nfs/dbraw/zinc/42/91/83/533429183.db2.gz SEURHQSBUPIKOQ-VXGBXAGGSA-N 0 0 292.335 2.509 20 5 CFBDRN C[C@@H](NCc1nc(C(F)F)no1)c1cccc([N+](=O)[O-])c1 ZINC000425458464 533469499 /nfs/dbraw/zinc/46/94/99/533469499.db2.gz MGQGURBDXWRSQB-SSDOTTSWSA-N 0 0 298.249 2.766 20 5 CFBDRN CC/C=C\CCn1nc(-c2ccc([N+](=O)[O-])cc2)oc1=O ZINC000341643988 129957394 /nfs/dbraw/zinc/95/73/94/129957394.db2.gz QWCBSHDMWBOFOR-ARJAWSKDSA-N 0 0 289.291 2.768 20 5 CFBDRN CCC1(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000341772759 130009217 /nfs/dbraw/zinc/00/92/17/130009217.db2.gz OWGPLEKFNATPHN-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN C[C@H]1CCC[C@@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000341740807 130014663 /nfs/dbraw/zinc/01/46/63/130014663.db2.gz IFCVANCTYDWXLT-VHSXEESVSA-N 0 0 277.324 2.684 20 5 CFBDRN Cc1ccc(NC(=O)CC2(O)CCCC2)cc1[N+](=O)[O-] ZINC000304247908 130050858 /nfs/dbraw/zinc/05/08/58/130050858.db2.gz OYXLONWDVXZQMI-UHFFFAOYSA-N 0 0 278.308 2.537 20 5 CFBDRN C[C@@H](CO)CNc1c(Cl)cc(Cl)cc1[N+](=O)[O-] ZINC000341834634 130065470 /nfs/dbraw/zinc/06/54/70/130065470.db2.gz AQFZFAQWKCMZIO-ZCFIWIBFSA-N 0 0 279.123 2.942 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N(CC(F)F)CC1CCC1 ZINC000341864352 130079914 /nfs/dbraw/zinc/07/99/14/130079914.db2.gz VWTZBUAOEJRYKA-UHFFFAOYSA-N 0 0 288.250 2.695 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1ccc(CO)c(F)c1 ZINC000341847470 130090935 /nfs/dbraw/zinc/09/09/35/130090935.db2.gz XLTNRSJTDAOCFK-UHFFFAOYSA-N 0 0 294.257 2.977 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)CCC1=O ZINC000341914319 130127298 /nfs/dbraw/zinc/12/72/98/130127298.db2.gz IIHKVQXTVZIHJO-RKDXNWHRSA-N 0 0 294.282 2.678 20 5 CFBDRN CC(=O)c1ccc(N[C@@H](C)c2ccon2)c([N+](=O)[O-])c1 ZINC000341927969 130157548 /nfs/dbraw/zinc/15/75/48/130157548.db2.gz MFNSEGILHLYONC-QMMMGPOBSA-N 0 0 275.264 2.959 20 5 CFBDRN C[C@]1(CNc2ccc([N+](=O)[O-])nc2)CCO[C@H]1C1CC1 ZINC000341958055 130173161 /nfs/dbraw/zinc/17/31/61/130173161.db2.gz JTPUBLTUHAGWHB-UONOGXRCSA-N 0 0 277.324 2.607 20 5 CFBDRN COc1c(C(=O)N(C)CC2CCCC2)cccc1[N+](=O)[O-] ZINC000355382681 130296732 /nfs/dbraw/zinc/29/67/32/130296732.db2.gz QTYMYCCIIHLMCO-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1sc(C(=O)N[C@H](C)[C@@H]2CCCOC2)cc1[N+](=O)[O-] ZINC000355578170 130317157 /nfs/dbraw/zinc/31/71/57/130317157.db2.gz QCJVIQISEBFGHU-PSASIEDQSA-N 0 0 298.364 2.510 20 5 CFBDRN CC[C@H](C)CC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000191933495 130331882 /nfs/dbraw/zinc/33/18/82/130331882.db2.gz CRAACVYJVNZSHT-JTQLQIEISA-N 0 0 250.298 2.994 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000191936865 130333877 /nfs/dbraw/zinc/33/38/77/130333877.db2.gz IUMJTAMSYOQQSI-VIFPVBQESA-N 0 0 298.339 2.702 20 5 CFBDRN Cc1ncsc1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000355959774 130361144 /nfs/dbraw/zinc/36/11/44/130361144.db2.gz PCSJNQTYPRVMSO-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN CCc1ccc(C(=O)NCC[C@H](C)F)cc1[N+](=O)[O-] ZINC000356691847 130398999 /nfs/dbraw/zinc/39/89/99/130398999.db2.gz FPJSFDFAYDYBIT-VIFPVBQESA-N 0 0 268.288 2.635 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cc(-c2nc(-c3ccon3)no2)c1 ZINC000357364441 130452969 /nfs/dbraw/zinc/45/29/69/130452969.db2.gz ATDBVLMNSRUWDI-UHFFFAOYSA-N 0 0 292.638 2.953 20 5 CFBDRN COc1cccc(NCc2ccn3ccnc3c2)c1[N+](=O)[O-] ZINC000357437041 130469929 /nfs/dbraw/zinc/46/99/29/130469929.db2.gz KGCRCTLHRZKYET-UHFFFAOYSA-N 0 0 298.302 2.863 20 5 CFBDRN COCC1(COc2nc(C)cc(C)c2[N+](=O)[O-])CCC1 ZINC000359393976 130512963 /nfs/dbraw/zinc/51/29/63/130512963.db2.gz PQVMQALLJSJECN-UHFFFAOYSA-N 0 0 280.324 2.802 20 5 CFBDRN CC(C)=CCNC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000359455864 130515751 /nfs/dbraw/zinc/51/57/51/130515751.db2.gz FSTPJZDXYZKGBO-UHFFFAOYSA-N 0 0 277.324 2.669 20 5 CFBDRN C=Cc1ccc(CCNC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 ZINC000359514291 130518048 /nfs/dbraw/zinc/51/80/48/130518048.db2.gz XKEVIVSJAWUPGW-UHFFFAOYSA-N 0 0 285.303 2.538 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCc2nccn2C(F)F)c1 ZINC000359614541 130525656 /nfs/dbraw/zinc/52/56/56/130525656.db2.gz BDAJOWIFMCIIBP-UHFFFAOYSA-N 0 0 286.213 2.938 20 5 CFBDRN CNc1cccnc1CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000359809663 130547108 /nfs/dbraw/zinc/54/71/08/130547108.db2.gz DREGRZHXVMMIDQ-UHFFFAOYSA-N 0 0 294.261 2.922 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1OCCO[C@H]1CCCCO1 ZINC000192833861 130552617 /nfs/dbraw/zinc/55/26/17/130552617.db2.gz XGXSMJFLCPOUTK-ZDUSSCGKSA-N 0 0 285.271 2.656 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H]1CCC[C@H]1C ZINC000359929796 130563535 /nfs/dbraw/zinc/56/35/35/130563535.db2.gz MPRFSHBVELTWQX-GHMZBOCLSA-N 0 0 291.351 2.803 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCCc1ccccn1 ZINC000194926566 130721270 /nfs/dbraw/zinc/72/12/70/130721270.db2.gz CEFNKHGSBKPVHL-UHFFFAOYSA-N 0 0 299.330 2.661 20 5 CFBDRN Cc1ccc(OCCN(C)c2ncc([N+](=O)[O-])s2)cc1 ZINC000195506701 130745222 /nfs/dbraw/zinc/74/52/22/130745222.db2.gz ARGBPTVOTCLFAT-UHFFFAOYSA-N 0 0 293.348 2.875 20 5 CFBDRN COc1ccc2c(c1)CCN2c1ncc([N+](=O)[O-])s1 ZINC000195511710 130745361 /nfs/dbraw/zinc/74/53/61/130745361.db2.gz LVGLAVDPLIHPKW-UHFFFAOYSA-N 0 0 277.305 2.754 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CCCCO2)c([N+](=O)[O-])c1 ZINC000227316208 130840135 /nfs/dbraw/zinc/84/01/35/130840135.db2.gz IWSPXPLZUBXXPI-LLVKDONJSA-N 0 0 295.295 2.874 20 5 CFBDRN Cc1n[nH]cc1CCCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000227887891 130855782 /nfs/dbraw/zinc/85/57/82/130855782.db2.gz ZSRZHHJCPFQUPZ-UHFFFAOYSA-N 0 0 296.277 2.949 20 5 CFBDRN CC(C)OCCCCNc1ccc([N+](=O)[O-])c2nonc21 ZINC000228562455 130869457 /nfs/dbraw/zinc/86/94/57/130869457.db2.gz BENHKONNMNSQDD-UHFFFAOYSA-N 0 0 294.311 2.748 20 5 CFBDRN CCC(=O)COc1cc([N+](=O)[O-])ccc1Br ZINC000230402297 130904328 /nfs/dbraw/zinc/90/43/28/130904328.db2.gz YGJYLRBXSPJGOI-UHFFFAOYSA-N 0 0 288.097 2.715 20 5 CFBDRN COCCCCNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000234594855 130936619 /nfs/dbraw/zinc/93/66/19/130936619.db2.gz LNYFZWCXQJCSKB-UHFFFAOYSA-N 0 0 293.245 2.847 20 5 CFBDRN CCO[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])c(C)c2)[C@H]1OC ZINC000252761770 131137944 /nfs/dbraw/zinc/13/79/44/131137944.db2.gz DKYJGBVIQCPLTE-IACUBPJLSA-N 0 0 280.324 2.508 20 5 CFBDRN CO[C@H](C)CCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000263780561 131329382 /nfs/dbraw/zinc/32/93/82/131329382.db2.gz IHIACAFLMQCMEV-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN Cc1csc(CCCCNc2c([N+](=O)[O-])ncn2C)n1 ZINC000264081795 131391716 /nfs/dbraw/zinc/39/17/16/131391716.db2.gz MKAFQNPGPJVNPQ-UHFFFAOYSA-N 0 0 295.368 2.528 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@H]2C)ccc1[N+](=O)[O-] ZINC000264338997 131435001 /nfs/dbraw/zinc/43/50/01/131435001.db2.gz YEPBLKBQHGQRCM-SKDRFNHKSA-N 0 0 262.309 2.822 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N(Cc1ccccc1F)C1CC1 ZINC000264870169 131514835 /nfs/dbraw/zinc/51/48/35/131514835.db2.gz APBYGDPQFAMPCH-UHFFFAOYSA-N 0 0 290.298 2.636 20 5 CFBDRN COC(=O)C[C@@H](C)SCc1ccc([N+](=O)[O-])cc1F ZINC000267027549 131811042 /nfs/dbraw/zinc/81/10/42/131811042.db2.gz KTNKPJNFWMPNPP-MRVPVSSYSA-N 0 0 287.312 2.919 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000267051426 131814004 /nfs/dbraw/zinc/81/40/04/131814004.db2.gz FIHUPEXAIGASMB-GFCCVEGCSA-N 0 0 264.325 2.766 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCO[C@H]1c1ccccc1 ZINC000267057154 131814501 /nfs/dbraw/zinc/81/45/01/131814501.db2.gz OOQWEONLKMGRFZ-OCCSQVGLSA-N 0 0 285.303 2.932 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OCCc1scnc1C ZINC000267075994 131817289 /nfs/dbraw/zinc/81/72/89/131817289.db2.gz JKZGXYGXIGVCJD-UHFFFAOYSA-N 0 0 294.332 2.990 20 5 CFBDRN CCC(CC)(CO)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000267184794 131831547 /nfs/dbraw/zinc/83/15/47/131831547.db2.gz LAQZQPVPQWNEDH-UHFFFAOYSA-N 0 0 295.339 2.576 20 5 CFBDRN CC(C)[C@@H](CO)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000267364863 131859890 /nfs/dbraw/zinc/85/98/90/131859890.db2.gz IVOFKOGHBCOZGY-SECBINFHSA-N 0 0 290.266 2.625 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](C)[C@H](C)C1 ZINC000267800540 131918891 /nfs/dbraw/zinc/91/88/91/131918891.db2.gz XIEUNOAFTMLOJR-AOOOYVTPSA-N 0 0 293.323 2.723 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)O1 ZINC000268300283 131991815 /nfs/dbraw/zinc/99/18/15/131991815.db2.gz WSLDOYZLDUVXEH-BXKDBHETSA-N 0 0 282.271 2.630 20 5 CFBDRN Cc1nc(Nc2cnn(CC(F)F)c2)ccc1[N+](=O)[O-] ZINC000268445183 132009582 /nfs/dbraw/zinc/00/95/82/132009582.db2.gz DSHIIYNHGLOBPI-UHFFFAOYSA-N 0 0 283.238 2.503 20 5 CFBDRN Cc1nc(NCCOCc2ccccc2)ccc1[N+](=O)[O-] ZINC000268441741 132010302 /nfs/dbraw/zinc/01/03/02/132010302.db2.gz DBFPKVGRPOALHA-UHFFFAOYSA-N 0 0 287.319 2.927 20 5 CFBDRN Cc1nc(N2CC[C@@H](OCC(C)C)C2)ccc1[N+](=O)[O-] ZINC000268494476 132016783 /nfs/dbraw/zinc/01/67/83/132016783.db2.gz ORPPXSKMPIOGPY-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1nc(C)c(Cn2cc([N+](=O)[O-])cc(Cl)c2=O)s1 ZINC000268495417 132017419 /nfs/dbraw/zinc/01/74/19/132017419.db2.gz QCQVKSBGDUWAJA-UHFFFAOYSA-N 0 0 299.739 2.532 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])c(C)n1)c1ccc(C)o1 ZINC000268515334 132019981 /nfs/dbraw/zinc/01/99/81/132019981.db2.gz TUYIURURDIMDMD-LLVKDONJSA-N 0 0 291.307 2.999 20 5 CFBDRN Cc1nc(NC[C@@H]2CCO[C@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000268533742 132023478 /nfs/dbraw/zinc/02/34/78/132023478.db2.gz XWUXDBMWFJJPKL-FZMZJTMJSA-N 0 0 279.340 2.771 20 5 CFBDRN CCC(=O)N1CC[C@H](Nc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000268577047 132029576 /nfs/dbraw/zinc/02/95/76/132029576.db2.gz RIYKDPSTGTXOKV-VIFPVBQESA-N 0 0 297.742 2.671 20 5 CFBDRN Cc1n[nH]c(C(=O)N(CC(C)C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000268675657 132047240 /nfs/dbraw/zinc/04/72/40/132047240.db2.gz FMNIIXJUKUPWFP-UHFFFAOYSA-N 0 0 296.371 2.771 20 5 CFBDRN C[C@H]1CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C[C@@H](C)C1 ZINC000181252145 413054885 /nfs/dbraw/zinc/05/48/85/413054885.db2.gz HEONETRJZBPZEI-QWRGUYRKSA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1cccc([C@H](O)CNc2cc(C)c([N+](=O)[O-])cn2)c1 ZINC000268936518 132090994 /nfs/dbraw/zinc/09/09/94/132090994.db2.gz DNTUQPWMXINHDL-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN Cc1cccc([C@H](O)CNc2ncc([N+](=O)[O-])cc2C)c1 ZINC000268963438 132094035 /nfs/dbraw/zinc/09/40/35/132094035.db2.gz LUUOTAFHSUQIFZ-CQSZACIVSA-N 0 0 287.319 2.752 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc([C@H]3CCCCO3)n2)c1 ZINC000269344331 132157947 /nfs/dbraw/zinc/15/79/47/132157947.db2.gz CWXCQENHMFVMQB-LLVKDONJSA-N 0 0 275.264 2.886 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)OC(C)(C)C ZINC000269419509 132167851 /nfs/dbraw/zinc/16/78/51/132167851.db2.gz LKOKTLIHQJWANT-MRVPVSSYSA-N 0 0 284.337 2.812 20 5 CFBDRN Cc1c([C@@H]2CCCN2c2ccccc2[N+](=O)[O-])cnn1C ZINC000301877590 393481099 /nfs/dbraw/zinc/48/10/99/393481099.db2.gz JBXLLKLUKATQAJ-ZDUSSCGKSA-N 0 0 286.335 2.978 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2cnn(Cc3ccccn3)c2)cc1 ZINC000180433962 393481799 /nfs/dbraw/zinc/48/17/99/393481799.db2.gz UJQHOKACUPBMFT-UHFFFAOYSA-N 0 0 295.302 2.978 20 5 CFBDRN Cc1cc(N2CCOC3(CCC3)C2)ccc1[N+](=O)[O-] ZINC000301903002 393482252 /nfs/dbraw/zinc/48/22/52/393482252.db2.gz WXNAUXIQCMFPFV-UHFFFAOYSA-N 0 0 262.309 2.663 20 5 CFBDRN Cc1nc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c(C)s1 ZINC000179174422 393455194 /nfs/dbraw/zinc/45/51/94/393455194.db2.gz QAHNGRNKVRLDTE-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCSc1cccc(NC(=O)c2n[nH]cc2[N+](=O)[O-])c1 ZINC000179328279 393463593 /nfs/dbraw/zinc/46/35/93/393463593.db2.gz MWIFLGIHGGSRSZ-UHFFFAOYSA-N 0 0 292.320 2.682 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(C2CCCC2)C1 ZINC000373678392 393469953 /nfs/dbraw/zinc/46/99/53/393469953.db2.gz DJTLBXPQKKUPMY-UHFFFAOYSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)c1O ZINC000179769206 393470810 /nfs/dbraw/zinc/47/08/10/393470810.db2.gz OXCJKWOHFGCTNW-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1cnc(N2C[C@@H]3CC=CC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000301461673 393471644 /nfs/dbraw/zinc/47/16/44/393471644.db2.gz UVXHAWOTEMWQPD-TXEJJXNPSA-N 0 0 259.309 2.701 20 5 CFBDRN CN(CC1CCCCC1)c1ccc2ncc([N+](=O)[O-])n2n1 ZINC000179986635 393475226 /nfs/dbraw/zinc/47/52/26/393475226.db2.gz JDBZXZLGOSJNNY-UHFFFAOYSA-N 0 0 289.339 2.654 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)[C@H]1C ZINC000301949900 393484013 /nfs/dbraw/zinc/48/40/13/393484013.db2.gz ZIGBRVDXROAFHH-OUAUKWLOSA-N 0 0 289.339 2.874 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C)C2)c2cccc([N+](=O)[O-])c2n1 ZINC000302004742 393484950 /nfs/dbraw/zinc/48/49/50/393484950.db2.gz KLMAATAYTGZRQK-NSHDSACASA-N 0 0 287.319 2.677 20 5 CFBDRN Cc1cc(N[C@H]2Cc3ccccc3[C@H]2O)ccc1[N+](=O)[O-] ZINC000181068137 393488598 /nfs/dbraw/zinc/48/85/98/393488598.db2.gz PFIRIWRFOKPVBS-GOEBONIOSA-N 0 0 284.315 2.973 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)c1cncs1 ZINC000309853410 393516681 /nfs/dbraw/zinc/51/66/81/393516681.db2.gz JQPHQPHFLOINRR-YFKPBYRVSA-N 0 0 256.312 2.681 20 5 CFBDRN C[C@@H](C(=O)NCCC1CC1)c1ccc([N+](=O)[O-])cc1F ZINC000184313095 393528001 /nfs/dbraw/zinc/52/80/01/393528001.db2.gz ASKFNODDSRENRT-SECBINFHSA-N 0 0 280.299 2.754 20 5 CFBDRN CNC(=O)c1ccc(NCc2csc([N+](=O)[O-])c2)cc1 ZINC000037562805 393532639 /nfs/dbraw/zinc/53/26/39/393532639.db2.gz RELAVWBHSLEBBR-UHFFFAOYSA-N 0 0 291.332 2.628 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC2(CC2)C1 ZINC000185407235 393534126 /nfs/dbraw/zinc/53/41/26/393534126.db2.gz NJJFXXFCXNTTAP-UHFFFAOYSA-N 0 0 274.320 2.919 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1cccc([N+](=O)[O-])c1C ZINC000330461079 393553167 /nfs/dbraw/zinc/55/31/67/393553167.db2.gz WMWAXYXWRXTFBK-BXUZGUMPSA-N 0 0 278.352 2.903 20 5 CFBDRN CCC(C)(C)[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000332668875 393562341 /nfs/dbraw/zinc/56/23/41/393562341.db2.gz HSPZDRYLAZRWNR-GFCCVEGCSA-N 0 0 279.340 2.964 20 5 CFBDRN CC(C)(C)CCNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000045625278 393573596 /nfs/dbraw/zinc/57/35/96/393573596.db2.gz JGGMJMPRFCWQEW-UHFFFAOYSA-N 0 0 279.340 2.830 20 5 CFBDRN CC(C)Oc1ncccc1CNc1ccncc1[N+](=O)[O-] ZINC000048760737 393600107 /nfs/dbraw/zinc/60/01/07/393600107.db2.gz BRBCKCVOUUJBTJ-UHFFFAOYSA-N 0 0 288.307 2.784 20 5 CFBDRN CCNc1ccc(C(=O)NCC(C)(C)C)cc1[N+](=O)[O-] ZINC000048866397 393605664 /nfs/dbraw/zinc/60/56/64/393605664.db2.gz RBKZAOVFJQXHRK-UHFFFAOYSA-N 0 0 279.340 2.803 20 5 CFBDRN CCc1nc(CNCc2ccccc2[N+](=O)[O-])cs1 ZINC000049591298 393612199 /nfs/dbraw/zinc/61/21/99/393612199.db2.gz IIFOMSXVEVPWEM-UHFFFAOYSA-N 0 0 277.349 2.904 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@H]2c2ccccc2)cn1 ZINC000049776422 393615300 /nfs/dbraw/zinc/61/53/00/393615300.db2.gz GCEKCWZBQGYNLU-STQMWFEESA-N 0 0 255.277 2.958 20 5 CFBDRN Cc1cc(N2CCN(c3ccccn3)CC2)ccc1[N+](=O)[O-] ZINC000051151168 393616435 /nfs/dbraw/zinc/61/64/35/393616435.db2.gz NSMUZYQXMGOJDC-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@H]1O ZINC000408150853 393624458 /nfs/dbraw/zinc/62/44/58/393624458.db2.gz SGMTUBPXLKUIGZ-BXUZGUMPSA-N 0 0 296.342 2.967 20 5 CFBDRN CCC(CC)NC(=O)Cc1c(F)c(OC)ccc1[N+](=O)[O-] ZINC000411179077 393681597 /nfs/dbraw/zinc/68/15/97/393681597.db2.gz ZHRZCTGXNNTJMV-UHFFFAOYSA-N 0 0 298.314 2.590 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)N[C@@H](C)C(C)C ZINC000192572213 393625620 /nfs/dbraw/zinc/62/56/20/393625620.db2.gz QGRNLKQHRVDXLJ-LBPRGKRZSA-N 0 0 293.367 2.784 20 5 CFBDRN C[C@H]1CC[C@H](CN2CCc3ccc([N+](=O)[O-])cc3C2)O1 ZINC000408311259 393625982 /nfs/dbraw/zinc/62/59/82/393625982.db2.gz YJYCWXRORPYFQL-XHDPSFHLSA-N 0 0 276.336 2.520 20 5 CFBDRN Cc1nc(NC(=O)[C@@H](C)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000410553893 393630511 /nfs/dbraw/zinc/63/05/11/393630511.db2.gz OLNWJARCLGKSRL-LURJTMIESA-N 0 0 291.229 2.825 20 5 CFBDRN CSCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000192729683 393631132 /nfs/dbraw/zinc/63/11/32/393631132.db2.gz ZABZPIHEOPVDMW-UHFFFAOYSA-N 0 0 254.311 2.513 20 5 CFBDRN COc1cccc(C(=O)NC(C)(C)C2CCC2)c1[N+](=O)[O-] ZINC000411045587 393652772 /nfs/dbraw/zinc/65/27/72/393652772.db2.gz RYKIVXYMKOZGMA-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@H]1CC[C@H](CCC(=O)N(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000074238251 393654550 /nfs/dbraw/zinc/65/45/50/393654550.db2.gz OYHDSKTZKGTJLU-SMDDNHRTSA-N 0 0 292.335 2.905 20 5 CFBDRN O=C(Nc1ccc2c(c1)CCO2)c1ccccc1[N+](=O)[O-] ZINC000074307277 393656314 /nfs/dbraw/zinc/65/63/14/393656314.db2.gz RUXMGOVRDHSQAI-UHFFFAOYSA-N 0 0 284.271 2.782 20 5 CFBDRN COc1cccc(NCCCOC(F)F)c1[N+](=O)[O-] ZINC000193615193 393658419 /nfs/dbraw/zinc/65/84/19/393658419.db2.gz ACKWKJIBMLBDFD-UHFFFAOYSA-N 0 0 276.239 2.645 20 5 CFBDRN Cc1sc(C(=O)N(C(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000074466977 393658636 /nfs/dbraw/zinc/65/86/36/393658636.db2.gz SPHGHYHGIKJYLN-UHFFFAOYSA-N 0 0 268.338 2.978 20 5 CFBDRN O=C(NC[C@@H]1Cc2ccccc21)c1ccc([N+](=O)[O-])cc1 ZINC000194044528 393673643 /nfs/dbraw/zinc/67/36/43/393673643.db2.gz PRIWCSZTOINFHO-ZDUSSCGKSA-N 0 0 282.299 2.665 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NCCc1ccccc1 ZINC000086962891 393755919 /nfs/dbraw/zinc/75/59/19/393755919.db2.gz GXVDWNIDLVUKSJ-UHFFFAOYSA-N 0 0 298.342 2.886 20 5 CFBDRN CC[C@H](C)N(C)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087135855 393758239 /nfs/dbraw/zinc/75/82/39/393758239.db2.gz BMWISXYBSFGKBJ-NSHDSACASA-N 0 0 264.325 2.784 20 5 CFBDRN O=C(NCCCC1CC1)Nc1cccc([N+](=O)[O-])c1 ZINC000076777175 393691848 /nfs/dbraw/zinc/69/18/48/393691848.db2.gz VVHHJFVPTKBCHG-UHFFFAOYSA-N 0 0 263.297 2.907 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2Cc3ccccc32)cc([N+](=O)[O-])c1 ZINC000194424693 393694962 /nfs/dbraw/zinc/69/49/62/393694962.db2.gz IEICUQKGOYRPIU-AWEZNQCLSA-N 0 0 296.326 2.973 20 5 CFBDRN CC1=C[C@H](C)CN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000335784038 393700278 /nfs/dbraw/zinc/70/02/78/393700278.db2.gz UNCSCOKVLHNGBU-VIFPVBQESA-N 0 0 278.283 2.772 20 5 CFBDRN CN(Cc1ccncc1)Cc1ccc([N+](=O)[O-])cc1 ZINC000077871513 393702768 /nfs/dbraw/zinc/70/27/68/393702768.db2.gz IPLWIHMQBCBKAT-UHFFFAOYSA-N 0 0 257.293 2.622 20 5 CFBDRN CCc1ccc(CN2CCc3ncc([N+](=O)[O-])cc3C2)nc1 ZINC000411478075 393716578 /nfs/dbraw/zinc/71/65/78/393716578.db2.gz JUINOTNHOSFIIC-UHFFFAOYSA-N 0 0 298.346 2.506 20 5 CFBDRN CN(Cc1cccc(O)c1)Cc1ccccc1[N+](=O)[O-] ZINC000080924397 393729161 /nfs/dbraw/zinc/72/91/61/393729161.db2.gz FRTZSSAYUVSWKR-UHFFFAOYSA-N 0 0 272.304 2.932 20 5 CFBDRN CNc1ccc(C(=O)N2C[C@H](C)CC[C@@H]2C)cc1[N+](=O)[O-] ZINC000088713358 393763687 /nfs/dbraw/zinc/76/36/87/393763687.db2.gz ILUPSOZABCGCCP-MNOVXSKESA-N 0 0 291.351 2.897 20 5 CFBDRN C[C@H]1C[C@H]1N(C(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000415891981 393834882 /nfs/dbraw/zinc/83/48/82/393834882.db2.gz NDENKCZWGFKFRG-SMDDNHRTSA-N 0 0 299.330 2.989 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])[N@@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000226421407 393770437 /nfs/dbraw/zinc/77/04/37/393770437.db2.gz UOGKWBOKMSKDAE-NEPJUHHUSA-N 0 0 292.335 2.843 20 5 CFBDRN Cc1cc(=O)n(Cc2cccc(Cl)c2)cc1[N+](=O)[O-] ZINC000096548070 393839574 /nfs/dbraw/zinc/83/95/74/393839574.db2.gz ZDHPYIBXCRMHQJ-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN COc1cccc(N[C@@H]2C[C@@H](OC)C2(C)C)c1[N+](=O)[O-] ZINC000228855629 393776070 /nfs/dbraw/zinc/77/60/70/393776070.db2.gz PEWKCWLRQYATTF-VXGBXAGGSA-N 0 0 280.324 2.829 20 5 CFBDRN CNc1ccc(C(=O)NC[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000230546888 393779060 /nfs/dbraw/zinc/77/90/60/393779060.db2.gz OTQMXGFNEXCCRK-GHMZBOCLSA-N 0 0 291.351 2.803 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCN1c1ncc([N+](=O)[O-])cc1F ZINC000413264045 393781007 /nfs/dbraw/zinc/78/10/07/393781007.db2.gz IYFQVHVXNHDBRB-XPUUQOCRSA-N 0 0 293.220 2.906 20 5 CFBDRN CCc1cnc(CNc2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000234260374 393783577 /nfs/dbraw/zinc/78/35/77/393783577.db2.gz GXOSZIADXIRPOH-UHFFFAOYSA-N 0 0 265.244 2.896 20 5 CFBDRN CCc1nn(C)c(N2CC[C@@H](CC3CC3)C2)c1[N+](=O)[O-] ZINC000338806454 393800648 /nfs/dbraw/zinc/80/06/48/393800648.db2.gz ASYDFXBCFUVKSW-NSHDSACASA-N 0 0 278.356 2.517 20 5 CFBDRN Cn1ccnc1[C@@H](N[C@@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000424320032 393826590 /nfs/dbraw/zinc/82/65/90/393826590.db2.gz IPASNMYXAWDFMY-KGLIPLIRSA-N 0 0 298.346 2.560 20 5 CFBDRN CN(C(=O)c1c(Cl)cccc1[N+](=O)[O-])c1nccs1 ZINC000110211022 393881134 /nfs/dbraw/zinc/88/11/34/393881134.db2.gz LTCDTGWOUUPUNH-UHFFFAOYSA-N 0 0 297.723 2.981 20 5 CFBDRN CCOc1cc(N2CCC[C@@]23CCOC3)ccc1[N+](=O)[O-] ZINC000343695173 393889345 /nfs/dbraw/zinc/88/93/45/393889345.db2.gz BWWMMMWOSDBBII-HNNXBMFYSA-N 0 0 292.335 2.753 20 5 CFBDRN Cc1cnccc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000471760365 393913221 /nfs/dbraw/zinc/91/32/21/393913221.db2.gz QQZRTBPEUIIRSL-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN CC(C)[C@H](C)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000470697879 393900944 /nfs/dbraw/zinc/90/09/44/393900944.db2.gz BKWOBBMTJRPGIQ-QMMMGPOBSA-N 0 0 254.261 2.965 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000458100216 393846812 /nfs/dbraw/zinc/84/68/12/393846812.db2.gz KPSWHHSSYRZXEC-DZGCQCFKSA-N 0 0 276.336 2.688 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2C[C@H]2C(C)C)cc([N+](=O)[O-])c1C ZINC000458108687 393848348 /nfs/dbraw/zinc/84/83/48/393848348.db2.gz DOFAEZGKKHLCJT-NWDGAFQWSA-N 0 0 292.335 2.686 20 5 CFBDRN CC(C)[C@@]1(C)C[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000458117095 393850869 /nfs/dbraw/zinc/85/08/69/393850869.db2.gz SYJBDRJUXCSWJL-TZMCWYRMSA-N 0 0 280.299 2.898 20 5 CFBDRN CCc1ccc(C(=O)NC[C@@H]2CC23CC3)cc1[N+](=O)[O-] ZINC000416059997 393858428 /nfs/dbraw/zinc/85/84/28/393858428.db2.gz CDAGSMUFXNUMJS-LBPRGKRZSA-N 0 0 274.320 2.687 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@H]1CCC[C@H](F)C1 ZINC000424592091 393860349 /nfs/dbraw/zinc/86/03/49/393860349.db2.gz AWQSWPTUHFQMTO-PWSUYJOCSA-N 0 0 280.299 2.739 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN(CCCCO)C1CC1 ZINC000119220654 393915963 /nfs/dbraw/zinc/91/59/63/393915963.db2.gz KEXDEBQCIZAQBC-UHFFFAOYSA-N 0 0 298.770 2.985 20 5 CFBDRN COC(=O)c1cc(N[C@@H]2CCSC2)cc(C)c1[N+](=O)[O-] ZINC000474783190 393946226 /nfs/dbraw/zinc/94/62/26/393946226.db2.gz JUIFDHXOKCMUII-SECBINFHSA-N 0 0 296.348 2.607 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](CO)c2ccccc2)c(F)c1 ZINC000487691378 394018685 /nfs/dbraw/zinc/01/86/85/394018685.db2.gz QXTNQFKVFASCON-GFCCVEGCSA-N 0 0 290.294 2.922 20 5 CFBDRN CCCCOC(=O)[C@@H](C)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271701889 394021540 /nfs/dbraw/zinc/02/15/40/394021540.db2.gz HPPQPNYPMJUHET-NWDGAFQWSA-N 0 0 294.351 2.977 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N[C@@H]1C[C@H]1C1CC1 ZINC000487744641 394022050 /nfs/dbraw/zinc/02/20/50/394022050.db2.gz YFLUWDWXDMZENW-WCQYABFASA-N 0 0 269.304 2.775 20 5 CFBDRN CC1(C)CN(c2ccc([N+](=O)[O-])cc2Cl)CC[C@@H]1O ZINC000275475659 134467596 /nfs/dbraw/zinc/46/75/96/134467596.db2.gz OWDAJYIYNNLJFY-LBPRGKRZSA-N 0 0 284.743 2.845 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000430195644 393966188 /nfs/dbraw/zinc/96/61/88/393966188.db2.gz OUTGKIXJIPVJOG-SDDRHHMPSA-N 0 0 294.351 2.601 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCC=C(F)C1 ZINC000430211007 393968702 /nfs/dbraw/zinc/96/87/02/393968702.db2.gz GTEUMKNAZSFRBV-UHFFFAOYSA-N 0 0 266.272 2.663 20 5 CFBDRN CCc1occc1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000430397749 393984555 /nfs/dbraw/zinc/98/45/55/393984555.db2.gz HDFDTZBVLKUBJR-UHFFFAOYSA-N 0 0 274.276 2.680 20 5 CFBDRN O=[N+]([O-])c1c(N2CC[C@H](C3CC3)C2)nc2sccn21 ZINC000362396257 296496741 /nfs/dbraw/zinc/49/67/41/296496741.db2.gz NFIRAKYQCHPWJA-VIFPVBQESA-N 0 0 278.337 2.540 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(C)[C@H]2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000430475118 393989347 /nfs/dbraw/zinc/98/93/47/393989347.db2.gz YSWBAESLWHEMNI-ZJUUUORDSA-N 0 0 294.355 2.531 20 5 CFBDRN CCC(CC)CNC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000430544974 393992049 /nfs/dbraw/zinc/99/20/49/393992049.db2.gz ARDYHYHDPNNXKC-UHFFFAOYSA-N 0 0 294.351 2.834 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000268780577 393994349 /nfs/dbraw/zinc/99/43/49/393994349.db2.gz BZMJKNIMUAFVGW-MNOVXSKESA-N 0 0 250.298 2.517 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1cccc(F)c1[N+](=O)[O-] ZINC000272264631 394026251 /nfs/dbraw/zinc/02/62/51/394026251.db2.gz VOAWGEHOVRFWHU-GXFFZTMASA-N 0 0 282.315 2.721 20 5 CFBDRN CO[C@H]1C[C@@H](N(C)c2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000432136547 394010515 /nfs/dbraw/zinc/01/05/15/394010515.db2.gz AMUGCWWGZAFECX-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432134835 394010633 /nfs/dbraw/zinc/01/06/33/394010633.db2.gz WHBAGRNHLGFPRK-KXMPLOMGSA-N 0 0 287.319 2.882 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCC(=O)N1CCCCCC1 ZINC000125758914 394013540 /nfs/dbraw/zinc/01/35/40/394013540.db2.gz FZGRQYBARWNZSP-UHFFFAOYSA-N 0 0 292.335 2.685 20 5 CFBDRN CC[C@@H]1Cc2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000274340784 394041212 /nfs/dbraw/zinc/04/12/12/394041212.db2.gz PTFYVHWBNOFNLF-CYBMUJFWSA-N 0 0 299.330 2.900 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2cc(OC)ccc2[N+](=O)[O-])C1 ZINC000275071593 394046066 /nfs/dbraw/zinc/04/60/66/394046066.db2.gz ZTWCXXZYPPLBNF-OAHLLOKOSA-N 0 0 294.351 2.592 20 5 CFBDRN CC[C@@]1(C)CN(c2ccccc2[N+](=O)[O-])CCO1 ZINC000276054562 394048233 /nfs/dbraw/zinc/04/82/33/394048233.db2.gz PNEZRNYJJJZCBS-ZDUSSCGKSA-N 0 0 250.298 2.600 20 5 CFBDRN Cc1c(CSc2cccnn2)cccc1[N+](=O)[O-] ZINC000358274664 394101040 /nfs/dbraw/zinc/10/10/40/394101040.db2.gz VARRBVVPMDKWFY-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN C[C@H](SC[C@@H]1COCCO1)c1cccc([N+](=O)[O-])c1 ZINC000419555733 394053624 /nfs/dbraw/zinc/05/36/24/394053624.db2.gz HSSVMBDRPWWFRJ-GWCFXTLKSA-N 0 0 283.349 2.804 20 5 CFBDRN C[C@]1(CNC(=O)c2ccc([N+](=O)[O-])[nH]2)C[C@H]1c1ccccc1 ZINC000355008812 394054839 /nfs/dbraw/zinc/05/48/39/394054839.db2.gz ZEAHVGACMBHGSE-BLLLJJGKSA-N 0 0 299.330 2.847 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000277301509 394055253 /nfs/dbraw/zinc/05/52/53/394055253.db2.gz AUMFWJYGDTVJQN-GXFFZTMASA-N 0 0 287.319 2.980 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC1CCC2(CC2)CC1 ZINC000277413231 394056403 /nfs/dbraw/zinc/05/64/03/394056403.db2.gz KZQQZMUEKVLFJK-UHFFFAOYSA-N 0 0 288.347 2.976 20 5 CFBDRN O=[N+]([O-])c1ccnn1CCc1ccccc1Cl ZINC000127982180 394060496 /nfs/dbraw/zinc/06/04/96/394060496.db2.gz TUHYJPXKSIFUMA-UHFFFAOYSA-N 0 0 251.673 2.687 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N(C)CC1CCC1 ZINC000439160039 394103202 /nfs/dbraw/zinc/10/32/02/394103202.db2.gz SSSIQXONINXLJG-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN CCOc1cccc(N[C@H]2C[C@H](O)C2(C)C)c1[N+](=O)[O-] ZINC000128929296 394078350 /nfs/dbraw/zinc/07/83/50/394078350.db2.gz ORKHIRNEQJKUGC-RYUDHWBXSA-N 0 0 280.324 2.565 20 5 CFBDRN CC(C)[C@@H](CC(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000438601690 394079242 /nfs/dbraw/zinc/07/92/42/394079242.db2.gz VHAWLOWJMJQAGX-MRVPVSSYSA-N 0 0 293.245 2.630 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)COC(C)(C)C ZINC000281833118 394080940 /nfs/dbraw/zinc/08/09/40/394080940.db2.gz ADCYJFTYMOSGPS-UHFFFAOYSA-N 0 0 284.287 2.796 20 5 CFBDRN COc1ncccc1OCc1cccc([N+](=O)[O-])c1C ZINC000357316647 394086077 /nfs/dbraw/zinc/08/60/77/394086077.db2.gz WUCXBCSKSQZYCU-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN O=C(Nc1cccc(O)c1F)c1ccc([N+](=O)[O-])cc1 ZINC000129632384 394091235 /nfs/dbraw/zinc/09/12/35/394091235.db2.gz XFWYIKSVKCEJMV-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN CC[C@@H]1CCN1C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000492897389 394092145 /nfs/dbraw/zinc/09/21/45/394092145.db2.gz RYWPWPYBZSXSJJ-QDZRJHCZSA-N 0 0 260.293 2.619 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1C(F)F ZINC000492903366 394094631 /nfs/dbraw/zinc/09/46/31/394094631.db2.gz ZSXDPZKWIOXMRC-ZHRWSRJISA-N 0 0 296.273 2.864 20 5 CFBDRN CO[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)[C@H](C)C1 ZINC000281925135 394095608 /nfs/dbraw/zinc/09/56/08/394095608.db2.gz SVJKNTIFMJFFDS-MFKMUULPSA-N 0 0 293.323 2.626 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)Cc1ccncc1 ZINC000487883966 394028314 /nfs/dbraw/zinc/02/83/14/394028314.db2.gz TUQKHLZTMREPQC-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN O=C(C[C@@H]1CC[C@H]2C[C@H]21)NCc1ccc([N+](=O)[O-])cc1 ZINC000448744552 394178420 /nfs/dbraw/zinc/17/84/20/394178420.db2.gz ARNORNCFFWOYIM-SGMGOOAPSA-N 0 0 274.320 2.647 20 5 CFBDRN Cc1cc(OCC(=O)NCC2CCCC2)ccc1[N+](=O)[O-] ZINC000282023441 394112039 /nfs/dbraw/zinc/11/20/39/394112039.db2.gz QQPBAAVTOLSRMP-UHFFFAOYSA-N 0 0 292.335 2.588 20 5 CFBDRN Cc1nnc(COc2ccc([N+](=O)[O-])c(C)c2)n1C1CC1 ZINC000130561500 394113831 /nfs/dbraw/zinc/11/38/31/394113831.db2.gz LFPDSWIAWGQVGT-UHFFFAOYSA-N 0 0 288.307 2.717 20 5 CFBDRN Cc1conc1NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492988219 394117627 /nfs/dbraw/zinc/11/76/27/394117627.db2.gz NELCCIVXCUNHES-WAYWQWQTSA-N 0 0 273.248 2.543 20 5 CFBDRN CC[C@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])CCCO1 ZINC000439481335 394118679 /nfs/dbraw/zinc/11/86/79/394118679.db2.gz HTMNLZVQLBMJQK-NSHDSACASA-N 0 0 282.315 2.735 20 5 CFBDRN CSCCCN(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000439479842 394119088 /nfs/dbraw/zinc/11/90/88/394119088.db2.gz WCTVDLAHFNKIBI-UHFFFAOYSA-N 0 0 272.345 2.919 20 5 CFBDRN CCC[C@H]1CCCN(c2c(C(N)=O)cccc2[N+](=O)[O-])C1 ZINC000420599272 394120763 /nfs/dbraw/zinc/12/07/63/394120763.db2.gz KXQJWLZXDPCQDQ-NSHDSACASA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ncccc2F)cc1[N+](=O)[O-] ZINC000131088888 394125743 /nfs/dbraw/zinc/12/57/43/394125743.db2.gz SXDVAXAOYMOZKN-UHFFFAOYSA-N 0 0 289.266 2.714 20 5 CFBDRN CC[C@@H](C[C@H](C)CO)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000439713704 394128283 /nfs/dbraw/zinc/12/82/83/394128283.db2.gz JHICPUOFJYSISD-QWRGUYRKSA-N 0 0 267.329 2.507 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC[C@H]1CC12CC2 ZINC000493215517 394181680 /nfs/dbraw/zinc/18/16/80/394181680.db2.gz CQCPZIIGJABNPG-MJRJWQSSSA-N 0 0 272.304 2.524 20 5 CFBDRN CC[C@@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000421036675 394167275 /nfs/dbraw/zinc/16/72/75/394167275.db2.gz OCDHRZGYHFOXTL-DGCLKSJQSA-N 0 0 293.323 2.674 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])NCCCCF ZINC000493306978 394205826 /nfs/dbraw/zinc/20/58/26/394205826.db2.gz VXSOGVGQUMHLEL-GQCTYLIASA-N 0 0 284.262 2.613 20 5 CFBDRN Cc1cc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)oc1C ZINC000135147852 394212008 /nfs/dbraw/zinc/21/20/08/394212008.db2.gz ITUJYGATLMGIMZ-UHFFFAOYSA-N 0 0 288.303 2.777 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CC2(C1)CCCC2 ZINC000364444942 394213482 /nfs/dbraw/zinc/21/34/82/394213482.db2.gz CYIUXMNWINEVDI-UHFFFAOYSA-N 0 0 290.319 2.620 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1CF ZINC000493389025 394228817 /nfs/dbraw/zinc/22/88/17/394228817.db2.gz PIODPTVRIPVCBO-FMFIFOJESA-N 0 0 278.283 2.569 20 5 CFBDRN Cc1cc(N2CC(C)(C)[C@@H]2C2CC2)ncc1[N+](=O)[O-] ZINC000290779088 394234031 /nfs/dbraw/zinc/23/40/31/394234031.db2.gz WFFXRVYSHSCUNJ-ZDUSSCGKSA-N 0 0 261.325 2.923 20 5 CFBDRN Cc1cc(N2CCOC[C@@H]2C2CC2)c(F)cc1[N+](=O)[O-] ZINC000290876313 394235063 /nfs/dbraw/zinc/23/50/63/394235063.db2.gz ADCDGZSDGDZGPF-CQSZACIVSA-N 0 0 280.299 2.658 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000493417916 394237044 /nfs/dbraw/zinc/23/70/44/394237044.db2.gz JZZJEHNSHOVKGX-NFXKFNAJSA-N 0 0 288.225 2.675 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493430153 394241409 /nfs/dbraw/zinc/24/14/09/394241409.db2.gz JMCLJABVGNRIAZ-AXIGGGFBSA-N 0 0 260.293 2.523 20 5 CFBDRN CCO/C=C\C(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000493430526 394241442 /nfs/dbraw/zinc/24/14/42/394241442.db2.gz YROBPAKDJHPIHO-SREVYHEPSA-N 0 0 268.244 2.531 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000291553866 394244246 /nfs/dbraw/zinc/24/42/46/394244246.db2.gz UNJKKVAHBCWEEW-NSHDSACASA-N 0 0 295.339 2.792 20 5 CFBDRN CO[C@@](C)(C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000291641745 394251931 /nfs/dbraw/zinc/25/19/31/394251931.db2.gz GHANCSDXITZLLU-CQSZACIVSA-N 0 0 278.308 2.657 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000150796566 394252845 /nfs/dbraw/zinc/25/28/45/394252845.db2.gz CCRFTZQBSBEYFX-DGCLKSJQSA-N 0 0 293.323 2.592 20 5 CFBDRN Cn1nccc1[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000362473828 394186076 /nfs/dbraw/zinc/18/60/76/394186076.db2.gz PENLIDXWLJBVIQ-GFCCVEGCSA-N 0 0 286.335 2.712 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC1CCOCC1 ZINC000286072695 394188482 /nfs/dbraw/zinc/18/84/82/394188482.db2.gz DABGLJXENCKMKI-UHFFFAOYSA-N 0 0 278.308 2.636 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CCO[C@@H]3CCC[C@H]32)c(F)c1 ZINC000286090807 394190333 /nfs/dbraw/zinc/19/03/33/394190333.db2.gz UDEWDMXGVAFLQS-ZIAGYGMSSA-N 0 0 298.289 2.626 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000493503560 394261803 /nfs/dbraw/zinc/26/18/03/394261803.db2.gz YDHBSYLJAKNXLD-ASQYTDNPSA-N 0 0 278.283 2.662 20 5 CFBDRN C[C@@H]1CN(c2c3ccccc3ncc2[N+](=O)[O-])CCC1=O ZINC000291863410 394268939 /nfs/dbraw/zinc/26/89/39/394268939.db2.gz HZRKPMZJQHFMMJ-SNVBAGLBSA-N 0 0 285.303 2.558 20 5 CFBDRN CCO[C@H]1CCN(c2cccc(OC)c2[N+](=O)[O-])C[C@H]1C ZINC000450746341 394333637 /nfs/dbraw/zinc/33/36/37/394333637.db2.gz RBCDBWDWAIDMCT-YPMHNXCESA-N 0 0 294.351 2.855 20 5 CFBDRN COC[C@]1(C)CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)C1 ZINC000450612699 394298922 /nfs/dbraw/zinc/29/89/22/394298922.db2.gz KONIGYOJAXYSIL-OAHLLOKOSA-N 0 0 292.335 2.660 20 5 CFBDRN CC(C)CCC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000154686633 394299718 /nfs/dbraw/zinc/29/97/18/394299718.db2.gz ZJJBJUIISINNJV-UHFFFAOYSA-N 0 0 251.282 2.979 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@@H]1C[C@H]1C1CC1 ZINC000493650247 394300182 /nfs/dbraw/zinc/30/01/82/394300182.db2.gz CIGIAPDNIJFISQ-AHYBDNRGSA-N 0 0 272.304 2.523 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000493781270 394315231 /nfs/dbraw/zinc/31/52/31/394315231.db2.gz DZUBWHLGGZIJSL-PIRSRPNTSA-N 0 0 286.331 2.913 20 5 CFBDRN CCN(C)C(=O)[C@@H](Nc1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000450678055 394316197 /nfs/dbraw/zinc/31/61/97/394316197.db2.gz SFRYZLSMNGZAIZ-AWEZNQCLSA-N 0 0 293.367 2.818 20 5 CFBDRN Cc1cnc(OCC(=O)CCC(C)C)c([N+](=O)[O-])c1 ZINC000155678655 394321628 /nfs/dbraw/zinc/32/16/28/394321628.db2.gz IPVVZZPEZRROHG-UHFFFAOYSA-N 0 0 266.297 2.682 20 5 CFBDRN CCC[C@@H](Nc1c([N+](=O)[O-])ncn1C)C(C)(C)C ZINC000450705218 394321796 /nfs/dbraw/zinc/32/17/96/394321796.db2.gz NEGRFNAIBSJOGO-SECBINFHSA-N 0 0 254.334 2.955 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N1CCC[C@H]1CF ZINC000493817925 394322439 /nfs/dbraw/zinc/32/24/39/394322439.db2.gz IFWZXKGRWDCWAG-KRZKBDHCSA-N 0 0 278.283 2.569 20 5 CFBDRN CS[C@@H]1CCN(C(=O)/C=C/c2cccc([N+](=O)[O-])c2)C1 ZINC000493827548 394325196 /nfs/dbraw/zinc/32/51/96/394325196.db2.gz TULRLTOMWLTQIP-URWSZGRFSA-N 0 0 292.360 2.572 20 5 CFBDRN CC[C@H](NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000493842261 394328008 /nfs/dbraw/zinc/32/80/08/394328008.db2.gz YXIYFGKRUFHGDS-BWNDKRECSA-N 0 0 284.262 2.768 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]([C@@H](CO)C1CC1)C1CC1 ZINC000450768399 394337998 /nfs/dbraw/zinc/33/79/98/394337998.db2.gz LPHCNPYJYKQMEC-FZMZJTMJSA-N 0 0 294.326 2.943 20 5 CFBDRN Cc1cc(N[C@H]2C[C@H](C)n3ccnc32)ncc1[N+](=O)[O-] ZINC000450828092 394340763 /nfs/dbraw/zinc/34/07/63/394340763.db2.gz JRDKKSZTQANHAB-UWVGGRQHSA-N 0 0 273.296 2.613 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1C[C@H](C)n2ccnc21 ZINC000450825918 394340803 /nfs/dbraw/zinc/34/08/03/394340803.db2.gz FXXFPZSNBSFYGV-GXSJLCMTSA-N 0 0 273.296 2.613 20 5 CFBDRN CC(C)[C@H]1CCN1c1ncnc2sc([N+](=O)[O-])cc21 ZINC000450815862 394340875 /nfs/dbraw/zinc/34/08/75/394340875.db2.gz UKURLNZDEIJAOT-SECBINFHSA-N 0 0 278.337 2.834 20 5 CFBDRN Cc1cccc(N2CC[C@@H]3C[C@@H](O)CC[C@H]3C2)c1[N+](=O)[O-] ZINC000450812156 394340942 /nfs/dbraw/zinc/34/09/42/394340942.db2.gz MRWHONZGWVWRGZ-RDBSUJKOSA-N 0 0 290.363 2.891 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2C[C@H](C)n3ccnc32)n1 ZINC000450831757 394341423 /nfs/dbraw/zinc/34/14/23/394341423.db2.gz QAVJJDZCORRGKH-WDEREUQCSA-N 0 0 287.323 2.921 20 5 CFBDRN CC[C@@H](O)[C@@H](CC)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000450853538 394341655 /nfs/dbraw/zinc/34/16/55/394341655.db2.gz BIKCBXNRQQDEJD-TZMCWYRMSA-N 0 0 280.324 2.759 20 5 CFBDRN Cc1cc(N2CCC[C@@]3(CCOC3)C2)ccc1[N+](=O)[O-] ZINC000450875155 394342917 /nfs/dbraw/zinc/34/29/17/394342917.db2.gz DTDYDJPHSVBISB-OAHLLOKOSA-N 0 0 276.336 2.910 20 5 CFBDRN O=C(CSC1CCC1)Nc1ccccc1[N+](=O)[O-] ZINC000450993639 394344384 /nfs/dbraw/zinc/34/43/84/394344384.db2.gz WGEOLLUYYKJYGN-UHFFFAOYSA-N 0 0 266.322 2.819 20 5 CFBDRN O=C(CCNc1ccccc1[N+](=O)[O-])N[C@H]1C=CCCC1 ZINC000157652308 394348534 /nfs/dbraw/zinc/34/85/34/394348534.db2.gz JUJRERNJYBXXEE-LBPRGKRZSA-N 0 0 289.335 2.622 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000157872640 394351434 /nfs/dbraw/zinc/35/14/34/394351434.db2.gz IEPJMGIVJYVPPQ-ZDUSSCGKSA-N 0 0 274.320 2.996 20 5 CFBDRN Cc1cncc(CNC(=O)/C=C/c2ccccc2[N+](=O)[O-])c1 ZINC000158204533 394355799 /nfs/dbraw/zinc/35/57/99/394355799.db2.gz PHZYXCDBGOVCAB-VOTSOKGWSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1ccc(C[N@@H+]2CCC[C@@H](CC(=O)[O-])C2)cc1[N+](=O)[O-] ZINC000519631065 394372817 /nfs/dbraw/zinc/37/28/17/394372817.db2.gz ATNJOCXEDFTSHY-LBPRGKRZSA-N 0 0 292.335 2.590 20 5 CFBDRN C[C@H]1CSCCN1Cc1ccccc1[N+](=O)[O-] ZINC000172592712 394373713 /nfs/dbraw/zinc/37/37/13/394373713.db2.gz MWHIENIYPRNHEU-JTQLQIEISA-N 0 0 252.339 2.532 20 5 CFBDRN CCC1(NS(=O)(=O)c2ccc([N+](=O)[O-])cc2)CCCC1 ZINC000451715873 394377711 /nfs/dbraw/zinc/37/77/11/394377711.db2.gz XRECMJBRAZPREN-UHFFFAOYSA-N 0 0 298.364 2.596 20 5 CFBDRN Cc1nc(NC(=O)c2cc(Cl)ccn2)ccc1[N+](=O)[O-] ZINC000175675176 394381015 /nfs/dbraw/zinc/38/10/15/394381015.db2.gz KKCOOTCAISOOPH-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@@H]1C1CCCCC1 ZINC000525590609 394382376 /nfs/dbraw/zinc/38/23/76/394382376.db2.gz XEJIHTGLVJRWDL-OLZOCXBDSA-N 0 0 291.351 2.632 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@H](C)[C@@H]1C ZINC000177014894 394382719 /nfs/dbraw/zinc/38/27/19/394382719.db2.gz SEAOWVMTEPYGJF-ONGXEEELSA-N 0 0 262.309 2.774 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2cccc(O)c2)c1 ZINC000527289101 394384721 /nfs/dbraw/zinc/38/47/21/394384721.db2.gz LXXSTXGANOXKAA-UHFFFAOYSA-N 0 0 288.303 2.945 20 5 CFBDRN CC1(c2noc(-c3ccc(F)cc3[N+](=O)[O-])n2)CC1 ZINC000453322518 394385658 /nfs/dbraw/zinc/38/56/58/394385658.db2.gz RDIPGTHGJKRNFJ-UHFFFAOYSA-N 0 0 263.228 2.835 20 5 CFBDRN C[C@@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000178401044 394387673 /nfs/dbraw/zinc/38/76/73/394387673.db2.gz RCZJYUSHZLORLZ-SECBINFHSA-N 0 0 260.293 2.530 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000275046088 135102419 /nfs/dbraw/zinc/10/24/19/135102419.db2.gz RPHDTLQXAXHGCU-CQSZACIVSA-N 0 0 264.325 2.584 20 5 CFBDRN CC[C@]1(C)CN(c2ccc([N+](=O)[O-])cc2COC)CCO1 ZINC000275972247 135115866 /nfs/dbraw/zinc/11/58/66/135115866.db2.gz BMAFYALDBGTWRZ-OAHLLOKOSA-N 0 0 294.351 2.747 20 5 CFBDRN CC(C)(C)C1CCN(C(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000530362661 394508726 /nfs/dbraw/zinc/50/87/26/394508726.db2.gz ZMXJLZMMDUHKKQ-UHFFFAOYSA-N 0 0 291.351 2.888 20 5 CFBDRN CN(C#N)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 ZINC000530465160 394519449 /nfs/dbraw/zinc/51/94/49/394519449.db2.gz ZFKFWRNGVRLXGI-UHFFFAOYSA-N 0 0 297.270 2.940 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cc1nnsc1Cl ZINC000536049310 394551742 /nfs/dbraw/zinc/55/17/42/394551742.db2.gz FKTQKFJIIBFMTL-UHFFFAOYSA-N 0 0 296.739 2.662 20 5 CFBDRN C[C@@H](NC(=O)NC1(C2CC2)CC1)c1ccccc1[N+](=O)[O-] ZINC000538195266 394599438 /nfs/dbraw/zinc/59/94/38/394599438.db2.gz OWYMSCODBNGHAL-SNVBAGLBSA-N 0 0 289.335 2.898 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[N@H+](CC[C@H]1CCCCO1)C2 ZINC000543444322 394730134 /nfs/dbraw/zinc/73/01/34/394730134.db2.gz VSUAZXVDBZKDRU-CQSZACIVSA-N 0 0 290.363 2.912 20 5 CFBDRN C[C@@H](C(=O)NC1(C2CC2)CC1)c1ccc([N+](=O)[O-])cc1F ZINC000541598178 394678606 /nfs/dbraw/zinc/67/86/06/394678606.db2.gz CQKYJOUGUXQPMR-SECBINFHSA-N 0 0 292.310 2.896 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](CO)C2CCCCC2)n1 ZINC000542865684 394717167 /nfs/dbraw/zinc/71/71/67/394717167.db2.gz YIMOONQEXRXWML-CYBMUJFWSA-N 0 0 293.367 2.960 20 5 CFBDRN CC(C)C[C@H](C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000546615796 394832491 /nfs/dbraw/zinc/83/24/91/394832491.db2.gz CZPXWUYEIXTYTP-LBPRGKRZSA-N 0 0 294.351 2.772 20 5 CFBDRN Cc1ccncc1N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000546630114 394834957 /nfs/dbraw/zinc/83/49/57/394834957.db2.gz SYWFMDCZOVWEGW-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN CCCCN(CCCC)c1ncc([N+](=O)[O-])cn1 ZINC000546881785 394848301 /nfs/dbraw/zinc/84/83/01/394848301.db2.gz LTZIBTKIFYOHTO-UHFFFAOYSA-N 0 0 252.318 2.791 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCCCc2ccccc2)nc1 ZINC000546882663 394848470 /nfs/dbraw/zinc/84/84/70/394848470.db2.gz MCNFMMDEUOLYRM-UHFFFAOYSA-N 0 0 286.335 2.632 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc(-c2ccccc2F)no1 ZINC000547001038 394850448 /nfs/dbraw/zinc/85/04/48/394850448.db2.gz BUJMSFVPDAEPBB-UHFFFAOYSA-N 0 0 288.238 2.789 20 5 CFBDRN CCS[C@@H](C)c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000547196760 394854998 /nfs/dbraw/zinc/85/49/98/394854998.db2.gz SIGKNSBHOPVZKK-ZETCQYMHSA-N 0 0 280.309 2.854 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc([C@H]2CCCCS2)no1 ZINC000547225912 394855623 /nfs/dbraw/zinc/85/56/23/394855623.db2.gz PIXSNDYMDOMULQ-SNVBAGLBSA-N 0 0 294.336 2.942 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1-c1nc([C@@H]2CCCCS2)no1 ZINC000547225911 394855679 /nfs/dbraw/zinc/85/56/79/394855679.db2.gz PIXSNDYMDOMULQ-JTQLQIEISA-N 0 0 294.336 2.942 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])cn2C)cc(C)c1O ZINC000547813988 394892455 /nfs/dbraw/zinc/89/24/55/394892455.db2.gz UZJKVRVNQRGURE-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN Cc1cnc(NC[C@@H]2CCC(C)(C)O2)c([N+](=O)[O-])c1 ZINC000547960405 394899876 /nfs/dbraw/zinc/89/98/76/394899876.db2.gz DVAMJXLDNFXNSG-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH2+][C@@H]1C[C@@H](O)C1(C)C ZINC000547979660 394900532 /nfs/dbraw/zinc/90/05/32/394900532.db2.gz TZSXLKKSIAAXRF-ZLKJLUDKSA-N 0 0 278.352 2.713 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cn2)cc(C)c1O ZINC000548141721 394914355 /nfs/dbraw/zinc/91/43/55/394914355.db2.gz ZGDCLNDSLWDJIA-UHFFFAOYSA-N 0 0 287.275 2.565 20 5 CFBDRN Cc1cc(OC[C@@H]2CCCOC2)c(F)cc1[N+](=O)[O-] ZINC000548149399 394915112 /nfs/dbraw/zinc/91/51/12/394915112.db2.gz SCQYVQKNCAVTIK-SNVBAGLBSA-N 0 0 269.272 2.848 20 5 CFBDRN CC1(C)CC(CNC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000548377656 394925858 /nfs/dbraw/zinc/92/58/58/394925858.db2.gz FVHIVZRALQECRP-UHFFFAOYSA-N 0 0 291.351 2.830 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000548753768 394950768 /nfs/dbraw/zinc/95/07/68/394950768.db2.gz LMBUYJSRYBOCRI-NXEZZACHSA-N 0 0 288.307 2.628 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H](OC(F)F)C1 ZINC000554937144 394962758 /nfs/dbraw/zinc/96/27/58/394962758.db2.gz YYNCCXACGBGVDY-VIFPVBQESA-N 0 0 272.251 2.721 20 5 CFBDRN CCOC1CC([N@H+](C)Cc2ccc([N+](=O)[O-])cc2C)C1 ZINC000558423628 395060084 /nfs/dbraw/zinc/06/00/84/395060084.db2.gz MOTVUFOKNLCWLW-UHFFFAOYSA-N 0 0 278.352 2.903 20 5 CFBDRN CCc1cnc(CN(C)CCc2cccc([N+](=O)[O-])c2)o1 ZINC000558739996 395074656 /nfs/dbraw/zinc/07/46/56/395074656.db2.gz RTBUGKNISHMPPB-UHFFFAOYSA-N 0 0 289.335 2.820 20 5 CFBDRN COc1cc(C(=O)N2CCC2(C)C)cc([N+](=O)[O-])c1C ZINC000558756473 395075368 /nfs/dbraw/zinc/07/53/68/395075368.db2.gz PZOMTEDEOMKOCN-UHFFFAOYSA-N 0 0 278.308 2.536 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCC[C@@H](F)C2)c1[N+](=O)[O-] ZINC000567768765 395083469 /nfs/dbraw/zinc/08/34/69/395083469.db2.gz XUHJNPFVHCACHU-NXEZZACHSA-N 0 0 253.277 2.991 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H]3CCC[C@H]32)c2cccnc21 ZINC000413177334 296506838 /nfs/dbraw/zinc/50/68/38/296506838.db2.gz UHBIWWWLXPOVBF-UKRRQHHQSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1CCC(CF)CC1)CCN2 ZINC000294981770 296509619 /nfs/dbraw/zinc/50/96/19/296509619.db2.gz MCCKBUCWNRVLIF-UHFFFAOYSA-N 0 0 279.315 2.749 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCN(C2CC2)CC1 ZINC000292446023 296510905 /nfs/dbraw/zinc/51/09/05/296510905.db2.gz IFNODCGSIWXMEH-UHFFFAOYSA-N 0 0 281.743 2.533 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCOc2cccc(F)c2C1 ZINC000377111874 296517662 /nfs/dbraw/zinc/51/76/62/296517662.db2.gz CPSJEISHZSDHFH-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(C3CCCC3)C2)s1 ZINC000367101682 296519435 /nfs/dbraw/zinc/51/94/35/296519435.db2.gz TTWLRHKZRRMHJJ-UHFFFAOYSA-N 0 0 253.327 2.678 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@@]2(CCSC2)C1 ZINC000450906871 296521673 /nfs/dbraw/zinc/52/16/73/296521673.db2.gz RYKQHFCIDGOWSE-CYBMUJFWSA-N 0 0 279.365 2.713 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000450784091 285683696 /nfs/dbraw/zinc/68/36/96/285683696.db2.gz HAQAKQJPYKXILK-GHMZBOCLSA-N 0 0 265.313 2.586 20 5 CFBDRN CCc1cc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)n[nH]1 ZINC000355975636 155134777 /nfs/dbraw/zinc/13/47/77/155134777.db2.gz XUKYZJWVTUCSFX-UHFFFAOYSA-N 0 0 299.290 2.906 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCc1ccnc(C)n1 ZINC000355973997 155134850 /nfs/dbraw/zinc/13/48/50/155134850.db2.gz YCCBVVZFPCTVSJ-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CNc1nnc(Sc2ccccc2[N+](=O)[O-])s1 ZINC000106904881 397597200 /nfs/dbraw/zinc/59/72/00/397597200.db2.gz ICSZLRXMDOYFLZ-UHFFFAOYSA-N 0 0 268.323 2.639 20 5 CFBDRN Cc1nc(NCCc2cn3ccccc3n2)ccc1[N+](=O)[O-] ZINC000301664157 397638909 /nfs/dbraw/zinc/63/89/09/397638909.db2.gz VVNSPWDMTOASHN-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN CCOc1cccc(N[C@@H]2C[C@@H]3OCCC[C@H]23)c1[N+](=O)[O-] ZINC000302588538 397639718 /nfs/dbraw/zinc/63/97/18/397639718.db2.gz VUVWHEPAYCIWHD-QKCSRTOESA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1ccc(F)cc1Oc1c([N+](=O)[O-])ncn1C ZINC000336404937 292123164 /nfs/dbraw/zinc/12/31/64/292123164.db2.gz XQNFUELSPMEGIQ-UHFFFAOYSA-N 0 0 251.217 2.568 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000450800308 304811203 /nfs/dbraw/zinc/81/12/03/304811203.db2.gz UXWWOYIJLZBRCN-WCQYABFASA-N 0 0 259.309 2.615 20 5 CFBDRN Cc1cnc(N2CCCc3occc3C2)c([N+](=O)[O-])c1 ZINC000288787732 292907923 /nfs/dbraw/zinc/90/79/23/292907923.db2.gz FAQKMFLSDRBANS-UHFFFAOYSA-N 0 0 273.292 2.844 20 5 CFBDRN Cc1ccc(N2CCOCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000302680664 292135810 /nfs/dbraw/zinc/13/58/10/292135810.db2.gz RTQSNCZOZKWCKY-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)[C@H]1Cc2ccccc21 ZINC000356039567 155146754 /nfs/dbraw/zinc/14/67/54/155146754.db2.gz MMXGSNYMTVFEHM-HNNXBMFYSA-N 0 0 283.283 2.883 20 5 CFBDRN CCOC1CC2(C1)CCN(c1ncc(C)cc1[N+](=O)[O-])C2 ZINC000286326786 286018766 /nfs/dbraw/zinc/01/87/66/286018766.db2.gz KBPXGVVMTMJWOM-UHFFFAOYSA-N 0 0 291.351 2.694 20 5 CFBDRN CO[C@H]1CCN(c2ccccc2[N+](=O)[O-])[C@H](C)C1 ZINC000281607788 286063304 /nfs/dbraw/zinc/06/33/04/286063304.db2.gz IVPMDXDNDCVIQX-MNOVXSKESA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1c(C(=O)NC[C@H](C)C(F)(F)F)cccc1[N+](=O)[O-] ZINC000356391218 155249999 /nfs/dbraw/zinc/24/99/99/155249999.db2.gz KFUQMXHCQVEGMM-ZETCQYMHSA-N 0 0 290.241 2.831 20 5 CFBDRN CO[C@](C)(CNc1nc(C)ccc1[N+](=O)[O-])C1CC1 ZINC000292416686 286147166 /nfs/dbraw/zinc/14/71/66/286147166.db2.gz TULMYZUIFZOARI-CYBMUJFWSA-N 0 0 265.313 2.525 20 5 CFBDRN CCOC[C@@H]1CCCCN1c1ncc(C)cc1[N+](=O)[O-] ZINC000413427037 286148399 /nfs/dbraw/zinc/14/83/99/286148399.db2.gz LMWXPTMGXZJAGF-LBPRGKRZSA-N 0 0 279.340 2.694 20 5 CFBDRN Cc1cc(Cl)ccc1NC(=O)c1cc([N+](=O)[O-])nn1C ZINC000356512689 155287710 /nfs/dbraw/zinc/28/77/10/155287710.db2.gz WZOUJMNWBJYQHW-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN Cc1cc(C)cc(-c2noc(-c3c([N+](=O)[O-])cnn3C)n2)c1 ZINC000356561033 155302364 /nfs/dbraw/zinc/30/23/64/155302364.db2.gz IIXDORSYAVLDDI-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN CC(C)N(Cc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000356743525 155349107 /nfs/dbraw/zinc/34/91/07/155349107.db2.gz VLLATPYPUSWQKA-UHFFFAOYSA-N 0 0 274.324 2.743 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(Cc3cccnc3)CC2)cn1 ZINC000356802239 155373103 /nfs/dbraw/zinc/37/31/03/155373103.db2.gz ZLKADYPZJBFIRS-UHFFFAOYSA-N 0 0 298.346 2.844 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H]1CCC(F)(F)C1 ZINC000334968600 292281893 /nfs/dbraw/zinc/28/18/93/292281893.db2.gz SWYRERSLRXQISQ-VIFPVBQESA-N 0 0 272.251 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CS[C@@H](C)C2)c1 ZINC000335220900 292283667 /nfs/dbraw/zinc/28/36/67/292283667.db2.gz FMULQXVSFHLYAY-VHSXEESVSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCOC(C)(C)C2)c1 ZINC000155611098 292283685 /nfs/dbraw/zinc/28/36/85/292283685.db2.gz INZSUCUHDRUJDW-LLVKDONJSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(CCC3(F)F)C2)n1 ZINC000357570600 292284596 /nfs/dbraw/zinc/28/45/96/292284596.db2.gz QIAYAQPCAMYJEC-UHFFFAOYSA-N 0 0 269.251 2.534 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](CO)CC2)c1 ZINC000294037762 292286423 /nfs/dbraw/zinc/28/64/23/292286423.db2.gz LPSWOCJPHNEIRB-LBPRGKRZSA-N 0 0 264.325 2.502 20 5 CFBDRN Cc1nsc(Oc2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000356849005 155392864 /nfs/dbraw/zinc/39/28/64/155392864.db2.gz DSWQQCGZVODUPT-UHFFFAOYSA-N 0 0 255.230 2.686 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@@H](C)C2)c1 ZINC000220082415 286383452 /nfs/dbraw/zinc/38/34/52/286383452.db2.gz XYWPTONTIPQOKX-VHSXEESVSA-N 0 0 266.297 2.583 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](O)C(C)(C)C2)c1 ZINC000275465039 292288469 /nfs/dbraw/zinc/28/84/69/292288469.db2.gz NGLBLXYHCNTFSI-ZDUSSCGKSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C(F)F ZINC000334943787 292292384 /nfs/dbraw/zinc/29/23/84/292292384.db2.gz FCCTYTJLLOSVHN-LLVKDONJSA-N 0 0 284.262 2.773 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H]2CCC[C@@H]2C1 ZINC000152412809 292292874 /nfs/dbraw/zinc/29/28/74/292292874.db2.gz INAOSHGTICOZEW-VXGBXAGGSA-N 0 0 274.320 2.775 20 5 CFBDRN COc1ccc2c(c1)CN(c1ncccc1[N+](=O)[O-])CC2 ZINC000299178677 286399896 /nfs/dbraw/zinc/39/98/96/286399896.db2.gz VYAQLWYSTOYYSU-UHFFFAOYSA-N 0 0 285.303 2.561 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cc(C)nc(C)n2)c1 ZINC000343159955 154129648 /nfs/dbraw/zinc/12/96/48/154129648.db2.gz KBTNRDUGJBMYNX-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1ccoc1 ZINC000343269084 154162688 /nfs/dbraw/zinc/16/26/88/154162688.db2.gz HOWBQYZIHMDBRI-UHFFFAOYSA-N 0 0 290.275 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(C(F)(F)F)CC2)cn1 ZINC000343367922 154186545 /nfs/dbraw/zinc/18/65/45/154186545.db2.gz ITPCRJNJBWPMGC-UHFFFAOYSA-N 0 0 261.203 2.744 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1C(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000345761647 154261250 /nfs/dbraw/zinc/26/12/50/154261250.db2.gz WBQWCFFCKHTIHG-RKDXNWHRSA-N 0 0 296.229 2.724 20 5 CFBDRN O=C(NCCC1(F)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000345775995 154264913 /nfs/dbraw/zinc/26/49/13/154264913.db2.gz KXWGCNGVIGDSQP-UHFFFAOYSA-N 0 0 266.272 2.607 20 5 CFBDRN CC[C@@H](CO)N(C)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000345825819 154275329 /nfs/dbraw/zinc/27/53/29/154275329.db2.gz FDWJJXSLAMDXHI-VIFPVBQESA-N 0 0 292.257 2.821 20 5 CFBDRN Cc1noc(C)c1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348002699 154284615 /nfs/dbraw/zinc/28/46/15/154284615.db2.gz JNNMDUKEHLWREH-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN C[C@H](C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1cccnc1 ZINC000348006569 154286250 /nfs/dbraw/zinc/28/62/50/154286250.db2.gz KZZKCOHIQATMMG-VIFPVBQESA-N 0 0 289.266 2.871 20 5 CFBDRN CCc1ccnc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c1 ZINC000348010518 154286477 /nfs/dbraw/zinc/28/64/77/154286477.db2.gz NAQDGAIQJCVROZ-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN CSCCCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000348027666 154292465 /nfs/dbraw/zinc/29/24/65/154292465.db2.gz MIBAAKTWDRVSKW-UHFFFAOYSA-N 0 0 279.321 2.940 20 5 CFBDRN C[C@H](C(=O)NC[C@@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000348036262 154293988 /nfs/dbraw/zinc/29/39/88/154293988.db2.gz JEQYUEDTLMXFEW-UTLUCORTSA-N 0 0 280.299 2.610 20 5 CFBDRN Cc1noc([C@@H](C)NCc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000348098106 154318153 /nfs/dbraw/zinc/31/81/53/154318153.db2.gz SDSSAVDAJMSWQH-SSDOTTSWSA-N 0 0 296.714 2.790 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCC[C@H]2c2cc[nH]n2)cs1 ZINC000348160448 154344701 /nfs/dbraw/zinc/34/47/01/154344701.db2.gz PKXAWXDJUMLNOO-NSHDSACASA-N 0 0 278.337 2.717 20 5 CFBDRN CCOC(=O)C[C@@H](C)Sc1cc(C)c([N+](=O)[O-])cn1 ZINC000348180780 154350477 /nfs/dbraw/zinc/35/04/77/154350477.db2.gz LUNBQAGKUHOSER-SECBINFHSA-N 0 0 284.337 2.732 20 5 CFBDRN CC1CCC(O)(CN2CCc3ccc([N+](=O)[O-])cc32)CC1 ZINC000348218371 154366349 /nfs/dbraw/zinc/36/63/49/154366349.db2.gz INYQHUYDMBKJAZ-UHFFFAOYSA-N 0 0 290.363 2.899 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](CCO)c1ccccc1 ZINC000354310885 154671269 /nfs/dbraw/zinc/67/12/69/154671269.db2.gz ILUBWPMRCARGHO-LBPRGKRZSA-N 0 0 273.292 2.525 20 5 CFBDRN CS[C@H](CNc1ncc([N+](=O)[O-])cn1)C(C)(C)C ZINC000354567043 154749618 /nfs/dbraw/zinc/74/96/18/154749618.db2.gz MDWPWKVEVXSPGP-SECBINFHSA-N 0 0 270.358 2.574 20 5 CFBDRN COc1cccc(N2CC[C@H](SC)C2)c1[N+](=O)[O-] ZINC000295023874 286458200 /nfs/dbraw/zinc/45/82/00/286458200.db2.gz QWYNUEXLQYLXNN-VIFPVBQESA-N 0 0 268.338 2.545 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000354712409 154793362 /nfs/dbraw/zinc/79/33/62/154793362.db2.gz QMIZKSGMQKVYQP-MJVIPROJSA-N 0 0 294.351 2.833 20 5 CFBDRN Cc1ccc(C)c(N(C)Cc2c([N+](=O)[O-])ncn2C)c1 ZINC000355558514 155040550 /nfs/dbraw/zinc/04/05/50/155040550.db2.gz VIDXUXCMUFGOFN-UHFFFAOYSA-N 0 0 274.324 2.582 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)CC(F)F ZINC000355584735 155045850 /nfs/dbraw/zinc/04/58/50/155045850.db2.gz OHTCJYVHYXBPAG-UHFFFAOYSA-N 0 0 287.266 2.940 20 5 CFBDRN Cc1cccc(C(=O)NCC2CC(F)(F)C2)c1[N+](=O)[O-] ZINC000355652597 155055270 /nfs/dbraw/zinc/05/52/70/155055270.db2.gz MHYTVMDJJKSHAQ-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN CSCCCc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355691713 155063937 /nfs/dbraw/zinc/06/39/37/155063937.db2.gz VPDZTLFESUCBJU-UHFFFAOYSA-N 0 0 293.348 2.864 20 5 CFBDRN Cc1cncc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)c1 ZINC000355689914 155064213 /nfs/dbraw/zinc/06/42/13/155064213.db2.gz NNRHJDAPBJWKMF-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)cc(C)c1Cl ZINC000355839835 155099562 /nfs/dbraw/zinc/09/95/62/155099562.db2.gz UUNZBKOZTPCRTP-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000355863471 155103299 /nfs/dbraw/zinc/10/32/99/155103299.db2.gz LBFXLVRRMRYVAT-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1cc(NC(=O)CC2CCC(=O)CC2)ccc1[N+](=O)[O-] ZINC000355868349 155104219 /nfs/dbraw/zinc/10/42/19/155104219.db2.gz NZLUTATWQFDESV-UHFFFAOYSA-N 0 0 290.319 2.991 20 5 CFBDRN CCC1(CC)CN(C(=O)c2cc([N+](=O)[O-])cnc2C)C1 ZINC000355869400 155104729 /nfs/dbraw/zinc/10/47/29/155104729.db2.gz YFBDTAOXGHOAEJ-UHFFFAOYSA-N 0 0 277.324 2.560 20 5 CFBDRN Cn1ccnc1-c1noc(/C=C/c2cccc([N+](=O)[O-])c2)n1 ZINC000356995742 155459565 /nfs/dbraw/zinc/45/95/65/155459565.db2.gz ROEFZCLCBCJJSW-AATRIKPKSA-N 0 0 297.274 2.549 20 5 CFBDRN COc1ccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])cn1 ZINC000357946608 155585349 /nfs/dbraw/zinc/58/53/49/155585349.db2.gz VXZUDHIXSYYNNO-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2cscn2)c1 ZINC000358334379 155725219 /nfs/dbraw/zinc/72/52/19/155725219.db2.gz XCJYRZSVAMWZKW-UHFFFAOYSA-N 0 0 291.332 2.632 20 5 CFBDRN CC(C)c1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2)cn1 ZINC000358436375 155765130 /nfs/dbraw/zinc/76/51/30/155765130.db2.gz CFOUOOXYVRRLDH-UHFFFAOYSA-N 0 0 286.291 2.761 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000358713361 155851357 /nfs/dbraw/zinc/85/13/57/155851357.db2.gz JULUMQMAGRWZCL-GFCCVEGCSA-N 0 0 277.324 2.501 20 5 CFBDRN CC(C)NC(=O)c1ccc(NCC2(C)CC2)c([N+](=O)[O-])c1 ZINC000359033562 155960135 /nfs/dbraw/zinc/96/01/35/155960135.db2.gz VOSLYEJSYFFQSN-UHFFFAOYSA-N 0 0 291.351 2.945 20 5 CFBDRN CCCC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000359710235 156025469 /nfs/dbraw/zinc/02/54/69/156025469.db2.gz WVYFXVINYVOTKJ-JTQLQIEISA-N 0 0 267.329 2.584 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCC2(F)CCC2)c1 ZINC000360227234 156058956 /nfs/dbraw/zinc/05/89/56/156058956.db2.gz STQZEXDNDWXMNM-UHFFFAOYSA-N 0 0 280.299 2.915 20 5 CFBDRN CCC[C@H]1C[C@@H]1c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000361254408 156071394 /nfs/dbraw/zinc/07/13/94/156071394.db2.gz YBYSPHKPWGKIJT-IUCAKERBSA-N 0 0 291.311 2.590 20 5 CFBDRN Cc1c(CC(=O)N2CCC[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000363164983 156156454 /nfs/dbraw/zinc/15/64/54/156156454.db2.gz XBQUMEIRLUHZFP-HNNXBMFYSA-N 0 0 288.347 2.847 20 5 CFBDRN C[C@H]1CCCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000457269888 299780007 /nfs/dbraw/zinc/78/00/07/299780007.db2.gz SNCXWRHYHPUQHG-TVQRCGJNSA-N 0 0 266.297 2.886 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](c2ccco2)C1 ZINC000368679686 156524445 /nfs/dbraw/zinc/52/44/45/156524445.db2.gz FLMFBWYXBCIFHZ-NSHDSACASA-N 0 0 273.292 2.885 20 5 CFBDRN CCOc1cccc(N2CCC[C@H](OC)C2)c1[N+](=O)[O-] ZINC000273877722 286573082 /nfs/dbraw/zinc/57/30/82/286573082.db2.gz QBIFJJVDDMQTMM-NSHDSACASA-N 0 0 280.324 2.609 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000371743253 156815968 /nfs/dbraw/zinc/81/59/68/156815968.db2.gz IAADVGWMTOLIHY-LLVKDONJSA-N 0 0 268.288 2.739 20 5 CFBDRN O=C(NC12CCC(CC1)C2)c1ccc([N+](=O)[O-])s1 ZINC000371755586 156818984 /nfs/dbraw/zinc/81/89/84/156818984.db2.gz YVVZBLYGDNPMRN-UHFFFAOYSA-N 0 0 266.322 2.719 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2(CO)Cc3ccccc3C2)cc1 ZINC000373749605 157027630 /nfs/dbraw/zinc/02/76/30/157027630.db2.gz BOFJUDJSHICIPM-UHFFFAOYSA-N 0 0 284.315 2.537 20 5 CFBDRN COC[C@@H]1CCCC[N@H+](Cc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000374930530 157173923 /nfs/dbraw/zinc/17/39/23/157173923.db2.gz PBLQGHVJDCXRSR-CYBMUJFWSA-N 0 0 296.342 2.982 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCC[C@@H]1c1ncc[nH]1 ZINC000375005104 157181699 /nfs/dbraw/zinc/18/16/99/157181699.db2.gz PTMMEJMBQHTYPI-CYBMUJFWSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1nc([C@@H]2CCCN(c3ccccc3[N+](=O)[O-])C2)no1 ZINC000375753704 157279754 /nfs/dbraw/zinc/27/97/54/157279754.db2.gz AGINCRMSFCAZBU-LLVKDONJSA-N 0 0 288.307 2.670 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N1CCCO[C@H]2CCC[C@@H]21 ZINC000376444924 157355744 /nfs/dbraw/zinc/35/57/44/157355744.db2.gz XWLSYAZAARWCIG-JSGCOSHPSA-N 0 0 280.299 2.882 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1C(C)(C)C ZINC000408078054 157377409 /nfs/dbraw/zinc/37/74/09/157377409.db2.gz ACIWXNJXYFWEAW-ZDUSSCGKSA-N 0 0 291.351 2.949 20 5 CFBDRN Cc1n[nH]c(C(=O)N2CCC[C@@H](C(C)C)CC2)c1[N+](=O)[O-] ZINC000408170007 157383234 /nfs/dbraw/zinc/38/32/34/157383234.db2.gz RGKFXOSXNNZMLN-LLVKDONJSA-N 0 0 294.355 2.525 20 5 CFBDRN CSC[C@@H](C)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000121066370 286674329 /nfs/dbraw/zinc/67/43/29/286674329.db2.gz XMLSYFTXEZHRJQ-SNVBAGLBSA-N 0 0 294.376 2.873 20 5 CFBDRN C[C@@H]1CO[C@@H](C)CN1Cc1cc([N+](=O)[O-])ccc1Cl ZINC000035784482 297156971 /nfs/dbraw/zinc/15/69/71/297156971.db2.gz WIMFOWDNCXKSAM-ZJUUUORDSA-N 0 0 284.743 2.857 20 5 CFBDRN CCCC(C)(C)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000065040931 161503679 /nfs/dbraw/zinc/50/36/79/161503679.db2.gz OYTUBFJXXBNEFW-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@H](C)OC(C)(C)C2)cc1[N+](=O)[O-] ZINC000065607977 161504390 /nfs/dbraw/zinc/50/43/90/161504390.db2.gz YZRKHPZYDHAJHE-NSHDSACASA-N 0 0 292.335 2.543 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CS[C@H](C)C1 ZINC000335628035 161557524 /nfs/dbraw/zinc/55/75/24/161557524.db2.gz JQRSITCKGCBHES-ZJUUUORDSA-N 0 0 295.364 2.919 20 5 CFBDRN CC1(C)CCCN(c2cccc(C(N)=O)c2[N+](=O)[O-])CC1 ZINC000342346759 161616726 /nfs/dbraw/zinc/61/67/26/161616726.db2.gz IPGIJJRYIPREIU-UHFFFAOYSA-N 0 0 291.351 2.710 20 5 CFBDRN Cc1c(C(=O)N(C)CC[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000342461533 161633768 /nfs/dbraw/zinc/63/37/68/161633768.db2.gz HAFULMJVPJCRSI-LBPRGKRZSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1cccc(C(=O)N(C)CC[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000342469715 161636147 /nfs/dbraw/zinc/63/61/47/161636147.db2.gz MHPSEQZATKIASE-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN CC1(C)CC[C@H](Nc2cccc(C(N)=O)c2[N+](=O)[O-])C1 ZINC000342533796 161649599 /nfs/dbraw/zinc/64/95/99/161649599.db2.gz CFSQDFKVBADICF-VIFPVBQESA-N 0 0 277.324 2.684 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000342942890 161743909 /nfs/dbraw/zinc/74/39/09/161743909.db2.gz CXAVEJWKDVBQDY-XRNSZHNASA-N 0 0 266.272 2.713 20 5 CFBDRN CS[C@H](C)CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000343436361 161767586 /nfs/dbraw/zinc/76/75/86/161767586.db2.gz CGWDAPMUVNEMBZ-SNVBAGLBSA-N 0 0 296.392 2.740 20 5 CFBDRN CS[C@@H](CNc1c([N+](=O)[O-])c(C)nn1C)C(C)(C)C ZINC000343482022 161781809 /nfs/dbraw/zinc/78/18/09/161781809.db2.gz ZFEQFORRRUGWEW-VIFPVBQESA-N 0 0 286.401 2.826 20 5 CFBDRN Cc1ccoc1CNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000343577406 161807029 /nfs/dbraw/zinc/80/70/29/161807029.db2.gz BYLPFRSPMKUKGO-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN Cc1cnc(NCc2cnn3ccccc23)c([N+](=O)[O-])c1 ZINC000343616915 161820769 /nfs/dbraw/zinc/82/07/69/161820769.db2.gz AXBXSEDUVQDHDB-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000343808057 161867295 /nfs/dbraw/zinc/86/72/95/161867295.db2.gz MAWRWPQQFWZROU-JOYOIKCWSA-N 0 0 289.335 2.697 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 ZINC000343985373 161909225 /nfs/dbraw/zinc/90/92/25/161909225.db2.gz NSGHRTMKABYTEX-RISCZKNCSA-N 0 0 291.351 2.702 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000344213478 161974420 /nfs/dbraw/zinc/97/44/20/161974420.db2.gz QHPAWVFIZGAYSK-PWSUYJOCSA-N 0 0 275.308 2.555 20 5 CFBDRN Cc1nc(C)c(CCNc2ccc([N+](=O)[O-])nc2)s1 ZINC000344430636 162042390 /nfs/dbraw/zinc/04/23/90/162042390.db2.gz DQDINEYPUFYNHN-UHFFFAOYSA-N 0 0 278.337 2.718 20 5 CFBDRN COc1ccc2c(c1)CCN2c1ccc([N+](=O)[O-])nc1 ZINC000344443098 162044257 /nfs/dbraw/zinc/04/42/57/162044257.db2.gz XKMUJKRZSDTMOS-UHFFFAOYSA-N 0 0 271.276 2.693 20 5 CFBDRN CCc1ccccc1NC(=O)c1ccc([N+](=O)[O-])n1C ZINC000344707037 162102715 /nfs/dbraw/zinc/10/27/15/162102715.db2.gz SUFZVLVBBDDAKP-UHFFFAOYSA-N 0 0 273.292 2.748 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1c(F)cccc1F ZINC000344956243 162152841 /nfs/dbraw/zinc/15/28/41/162152841.db2.gz ZSIQTYSAFCIMSH-ZETCQYMHSA-N 0 0 296.229 2.957 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1c(F)cccc1F ZINC000344956242 162153180 /nfs/dbraw/zinc/15/31/80/162153180.db2.gz ZSIQTYSAFCIMSH-SSDOTTSWSA-N 0 0 296.229 2.957 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(Cc3cccnc3)n2)c1 ZINC000345040464 162168079 /nfs/dbraw/zinc/16/80/79/162168079.db2.gz SPMLHWJSVOUIEA-UHFFFAOYSA-N 0 0 282.259 2.631 20 5 CFBDRN Cc1csc(N(C)C(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000345240028 162208086 /nfs/dbraw/zinc/20/80/86/162208086.db2.gz KIIUKLVRAQWCIS-UHFFFAOYSA-N 0 0 291.332 2.945 20 5 CFBDRN CCC(CC)(CC)CNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000345330133 162229140 /nfs/dbraw/zinc/22/91/40/162229140.db2.gz PVKANKUWQTWPEN-UHFFFAOYSA-N 0 0 267.329 2.869 20 5 CFBDRN C[C@@H]1C[C@@H](NCc2cccc([N+](=O)[O-])c2)c2nccn21 ZINC000345350562 162233991 /nfs/dbraw/zinc/23/39/91/162233991.db2.gz HVLUYBYGFXLPMH-ZWNOBZJWSA-N 0 0 272.308 2.587 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2N)CC(C)(C)C1 ZINC000345438023 162247673 /nfs/dbraw/zinc/24/76/73/162247673.db2.gz ZCMSABNHEFGYFI-JTQLQIEISA-N 0 0 291.351 2.685 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccccn1 ZINC000345503782 162258887 /nfs/dbraw/zinc/25/88/87/162258887.db2.gz LCVCVSWJAWWIJI-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000345872568 162268611 /nfs/dbraw/zinc/26/86/11/162268611.db2.gz CLWJXLRDAXWEKE-UHFFFAOYSA-N 0 0 273.292 2.600 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C\c2ccn(C(F)F)n2)nc1 ZINC000346087138 162315015 /nfs/dbraw/zinc/31/50/15/162315015.db2.gz WDQLFNUFSZXBAU-UPHRSURJSA-N 0 0 266.207 2.752 20 5 CFBDRN Cc1c(CNC(=O)N[C@H]2CC[C@@H](F)C2)cccc1[N+](=O)[O-] ZINC000346109919 162321920 /nfs/dbraw/zinc/32/19/20/162321920.db2.gz VNHMTDLWEIGVEB-NEPJUHHUSA-N 0 0 295.314 2.593 20 5 CFBDRN COc1nc(C)ccc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000346233693 162360759 /nfs/dbraw/zinc/36/07/59/162360759.db2.gz LICVCUAHPLUHLU-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cn1nc([N+](=O)[O-])cc1-c1nc(CC2CCCCC2)no1 ZINC000346247008 162362239 /nfs/dbraw/zinc/36/22/39/162362239.db2.gz GDHFGNRFBGYZOR-UHFFFAOYSA-N 0 0 291.311 2.501 20 5 CFBDRN C[C@@H]1CCC[C@H](c2noc(-c3cc([N+](=O)[O-])nn3C)n2)C1 ZINC000346281476 162370898 /nfs/dbraw/zinc/37/08/98/162370898.db2.gz VDVSMNBSTXYPID-BDAKNGLRSA-N 0 0 291.311 2.672 20 5 CFBDRN O=C(Nc1cc(C2CCCC2)[nH]n1)c1cc([N+](=O)[O-])c[nH]1 ZINC000346339341 162385207 /nfs/dbraw/zinc/38/52/07/162385207.db2.gz SDCVJRAOSLMBGM-UHFFFAOYSA-N 0 0 289.295 2.556 20 5 CFBDRN C[C@@H](CCC1CC1)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000346521912 162434446 /nfs/dbraw/zinc/43/44/46/162434446.db2.gz JTXISBTYCOBRNC-NSHDSACASA-N 0 0 276.336 2.832 20 5 CFBDRN COc1c(-c2nc(CCC3CC3)no2)cccc1[N+](=O)[O-] ZINC000346768695 162509500 /nfs/dbraw/zinc/50/95/00/162509500.db2.gz PPJIFRDIVWSJIM-UHFFFAOYSA-N 0 0 289.291 2.996 20 5 CFBDRN CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F ZINC000346900263 162551615 /nfs/dbraw/zinc/55/16/15/162551615.db2.gz WLJWYJWDYKOSIU-VIFPVBQESA-N 0 0 276.214 2.666 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000346905057 162553979 /nfs/dbraw/zinc/55/39/79/162553979.db2.gz BMUDJHORCFHTEK-YPMHNXCESA-N 0 0 276.336 2.784 20 5 CFBDRN CO[C@@H](CNC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(C)C ZINC000347286115 162647237 /nfs/dbraw/zinc/64/72/37/162647237.db2.gz OEILHRFXZIWJPL-LBPRGKRZSA-N 0 0 299.302 2.526 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])n[nH]2)c(Cl)c1 ZINC000348496287 162861984 /nfs/dbraw/zinc/86/19/84/162861984.db2.gz QOBBGGIWEOXDII-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CCCC1(C(=O)NCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000348544441 162868665 /nfs/dbraw/zinc/86/86/65/162868665.db2.gz POOOYSRZSOXSDC-UHFFFAOYSA-N 0 0 262.309 2.791 20 5 CFBDRN Cc1cccnc1N(C)C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000348811151 162904003 /nfs/dbraw/zinc/90/40/03/162904003.db2.gz BQMWUADZYVQBJN-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN Cc1cc(N)nc(SCc2c(F)cccc2[N+](=O)[O-])n1 ZINC000349009319 162930127 /nfs/dbraw/zinc/93/01/27/162930127.db2.gz RDBQWOZIXQOHKH-UHFFFAOYSA-N 0 0 294.311 2.537 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1cnc(C)nc1 ZINC000349730209 163009675 /nfs/dbraw/zinc/00/96/75/163009675.db2.gz PKMIMLGSVTZWPS-UHFFFAOYSA-N 0 0 272.308 2.922 20 5 CFBDRN CC(C)(c1nc(-c2ncc[nH]2)no1)c1ccc([N+](=O)[O-])cc1 ZINC000349757099 163010970 /nfs/dbraw/zinc/01/09/70/163010970.db2.gz KMGIDIYQKRSXTP-UHFFFAOYSA-N 0 0 299.290 2.694 20 5 CFBDRN Cc1cc(OCCn2cccn2)c(Cl)cc1[N+](=O)[O-] ZINC000349832211 163021603 /nfs/dbraw/zinc/02/16/03/163021603.db2.gz NLOHACYVKHLHFU-UHFFFAOYSA-N 0 0 281.699 2.832 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1Cc1ccc([N+](=O)[O-])cc1C ZINC000349836287 163023696 /nfs/dbraw/zinc/02/36/96/163023696.db2.gz RMGWEBMPJBRUDH-TZMCWYRMSA-N 0 0 278.352 2.903 20 5 CFBDRN Cc1cc(OC[C@@H]2CCOC2)c(Cl)cc1[N+](=O)[O-] ZINC000349839044 163024424 /nfs/dbraw/zinc/02/44/24/163024424.db2.gz JRQARFVBLIOZDR-SECBINFHSA-N 0 0 271.700 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc(Cc3ccccc3)no2)[nH]1 ZINC000349863927 163028050 /nfs/dbraw/zinc/02/80/50/163028050.db2.gz OWZAQGGMXALRAB-UHFFFAOYSA-N 0 0 270.248 2.564 20 5 CFBDRN COCCCCCOc1c(Cl)cncc1[N+](=O)[O-] ZINC000349908015 163035661 /nfs/dbraw/zinc/03/56/61/163035661.db2.gz AYPLBDFSUBEOQG-UHFFFAOYSA-N 0 0 274.704 2.839 20 5 CFBDRN CCOCC(=O)COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000349919549 163037191 /nfs/dbraw/zinc/03/71/91/163037191.db2.gz GHJCYZQTTALPKR-UHFFFAOYSA-N 0 0 287.699 2.541 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCC(C(C)(C)C)CC1 ZINC000349920980 163037921 /nfs/dbraw/zinc/03/79/21/163037921.db2.gz LHRYMDCQOOYUJM-UHFFFAOYSA-N 0 0 280.372 2.586 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H](CCO)c2ccccc2)s1 ZINC000350511361 163090452 /nfs/dbraw/zinc/09/04/52/163090452.db2.gz KATQOZOLZVBBLN-JTQLQIEISA-N 0 0 279.321 2.587 20 5 CFBDRN Cn1cnc2cccc(C(=O)Nc3cccc([N+](=O)[O-])c3)c21 ZINC000350748510 163104398 /nfs/dbraw/zinc/10/43/98/163104398.db2.gz PPSDDKCZLZQDJR-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC(C)(C)[C@H]1C(C)C ZINC000350747280 163104793 /nfs/dbraw/zinc/10/47/93/163104793.db2.gz JQBLEYFPITYMDX-CYBMUJFWSA-N 0 0 291.351 2.805 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000350787690 163106776 /nfs/dbraw/zinc/10/67/76/163106776.db2.gz QMSBPOBAPSBSQK-GZMMTYOYSA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1ccc(NC(=O)C[C@H]2CCCC[C@H]2O)cc1[N+](=O)[O-] ZINC000350768467 163106815 /nfs/dbraw/zinc/10/68/15/163106815.db2.gz YCAGPGFTJRPPNH-BXUZGUMPSA-N 0 0 292.335 2.783 20 5 CFBDRN CCc1[nH]nc(-c2nc(-c3ccc(C)cc3)no2)c1[N+](=O)[O-] ZINC000351004477 163114388 /nfs/dbraw/zinc/11/43/88/163114388.db2.gz ZYBOIPYQYDHJEU-UHFFFAOYSA-N 0 0 299.290 2.906 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN[C@H](C)c1ccon1 ZINC000351579472 163151368 /nfs/dbraw/zinc/15/13/68/163151368.db2.gz JQYRSBARKTZGEI-SNVBAGLBSA-N 0 0 261.281 2.742 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000351585071 163151829 /nfs/dbraw/zinc/15/18/29/163151829.db2.gz KLNUDICJALLVTF-KTLBGCOUSA-N 0 0 280.324 2.733 20 5 CFBDRN CC1(CNC(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000351611065 163156028 /nfs/dbraw/zinc/15/60/28/163156028.db2.gz PVVYJKSKRZCFAC-UHFFFAOYSA-N 0 0 267.260 2.656 20 5 CFBDRN CN(Cc1ccco1)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351647486 163165613 /nfs/dbraw/zinc/16/56/13/163165613.db2.gz CLIPGYDCRZNPDA-UHFFFAOYSA-N 0 0 293.254 2.991 20 5 CFBDRN CC(C)(C(=O)N1CCCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000351693516 163177050 /nfs/dbraw/zinc/17/70/50/163177050.db2.gz QFFULISFIKFGIC-UHFFFAOYSA-N 0 0 292.335 2.817 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N2CCCCCO2)c1 ZINC000351693531 163177147 /nfs/dbraw/zinc/17/71/47/163177147.db2.gz QKLLOASZCUVLPI-UHFFFAOYSA-N 0 0 296.348 2.874 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CC2CCC1CC2 ZINC000351696589 163177390 /nfs/dbraw/zinc/17/73/90/163177390.db2.gz WYURTVOUBLZSTK-ABIUDSBCSA-N 0 0 288.347 2.832 20 5 CFBDRN C[C@]1(F)CCN(Cc2c(F)cc([N+](=O)[O-])cc2F)C1 ZINC000351737101 163185063 /nfs/dbraw/zinc/18/50/63/163185063.db2.gz SSPIURSWMFTHJD-LBPRGKRZSA-N 0 0 274.242 2.807 20 5 CFBDRN CC(=O)c1ccc(Nc2cnn(C)c2C)c([N+](=O)[O-])c1 ZINC000351859116 163205562 /nfs/dbraw/zinc/20/55/62/163205562.db2.gz ZXKAOKDULQUGDH-UHFFFAOYSA-N 0 0 274.280 2.583 20 5 CFBDRN CCc1cnccc1CNc1ncc(C)cc1[N+](=O)[O-] ZINC000351874426 163207344 /nfs/dbraw/zinc/20/73/44/163207344.db2.gz GCRCPEFYWRQFDM-UHFFFAOYSA-N 0 0 272.308 2.868 20 5 CFBDRN CN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@@H]1CCC[C@@H]1O ZINC000352689931 163222816 /nfs/dbraw/zinc/22/28/16/163222816.db2.gz DUPHLWYCKFJWRB-OLZOCXBDSA-N 0 0 284.743 2.593 20 5 CFBDRN COCCC(C)(C)NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000352903678 163266235 /nfs/dbraw/zinc/26/62/35/163266235.db2.gz TUSUFWWTAJXNHO-UHFFFAOYSA-N 0 0 295.339 2.840 20 5 CFBDRN C[C@H]1OCC[C@@H]1SCc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000352901508 163266739 /nfs/dbraw/zinc/26/67/39/163266739.db2.gz MDIUSGIRFRQXMK-PELKAZGASA-N 0 0 293.348 2.882 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CS[C@H]3CCCOC3)nc2c1 ZINC000352917901 163270475 /nfs/dbraw/zinc/27/04/75/163270475.db2.gz WDRLLTYMZJVZNP-JTQLQIEISA-N 0 0 293.348 2.883 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)CCc2cccc([N+](=O)[O-])c2)C1 ZINC000353410258 163359706 /nfs/dbraw/zinc/35/97/06/163359706.db2.gz BWJSOENANGTYPD-WCQYABFASA-N 0 0 276.336 2.832 20 5 CFBDRN CC(C)c1ccc(C(=O)NCCC(C)(C)O)cc1[N+](=O)[O-] ZINC000353516059 163382991 /nfs/dbraw/zinc/38/29/91/163382991.db2.gz QOUUFRMIUHZVMA-UHFFFAOYSA-N 0 0 294.351 2.609 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000136515973 287099675 /nfs/dbraw/zinc/09/96/75/287099675.db2.gz HNHYZLVGHXZRJR-NXEZZACHSA-N 0 0 277.324 2.580 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCN1c1ccc([N+](=O)[O-])cc1 ZINC000279360734 287105819 /nfs/dbraw/zinc/10/58/19/287105819.db2.gz GCKBPOYJGKSFIU-BXUZGUMPSA-N 0 0 264.325 2.725 20 5 CFBDRN C[C@@H](O)[C@H](C)SCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000284194793 196000381 /nfs/dbraw/zinc/00/03/81/196000381.db2.gz KUNJECDKWSOTIC-SFYZADRCSA-N 0 0 286.309 2.506 20 5 CFBDRN O=C(Nc1cccnc1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000005936565 170158294 /nfs/dbraw/zinc/15/82/94/170158294.db2.gz QNMOPUFUOJJVOG-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN Cc1ccccc1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000006061486 170173160 /nfs/dbraw/zinc/17/31/60/170173160.db2.gz LYUKEHCECHLEHM-UHFFFAOYSA-N 0 0 286.287 2.921 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)Nc2cccnc2)cc1 ZINC000007526208 170288828 /nfs/dbraw/zinc/28/88/28/170288828.db2.gz OEPCZKSGAXPKNW-UHFFFAOYSA-N 0 0 274.305 2.799 20 5 CFBDRN O=C(NCCc1ccco1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000007798681 170303579 /nfs/dbraw/zinc/30/35/79/170303579.db2.gz IUIQGAHFGGVYDX-UHFFFAOYSA-N 0 0 294.694 2.814 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N1CCCCCC1 ZINC000007912500 170307303 /nfs/dbraw/zinc/30/73/03/170307303.db2.gz QYEGWVIGZFEAMS-UHFFFAOYSA-N 0 0 291.351 2.677 20 5 CFBDRN Cc1nn([C@@H](C)c2cccc([N+](=O)[O-])c2)c(C)c1[N+](=O)[O-] ZINC000008221841 170325311 /nfs/dbraw/zinc/32/53/11/170325311.db2.gz YORQMTXOEABLOP-VIFPVBQESA-N 0 0 290.279 2.926 20 5 CFBDRN Cc1nn([C@H](C)c2cccc([N+](=O)[O-])c2)c(C)c1[N+](=O)[O-] ZINC000008221843 170325318 /nfs/dbraw/zinc/32/53/18/170325318.db2.gz YORQMTXOEABLOP-SECBINFHSA-N 0 0 290.279 2.926 20 5 CFBDRN O=C(COc1ccccc1F)Nc1ccc([N+](=O)[O-])cc1 ZINC000008267420 170327687 /nfs/dbraw/zinc/32/76/87/170327687.db2.gz DGBUKEMBYIRAJK-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN CC[C@@H](C)NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 ZINC000008479485 170337502 /nfs/dbraw/zinc/33/75/02/170337502.db2.gz OIVAGUFYJPXDOH-MRVPVSSYSA-N 0 0 268.338 2.845 20 5 CFBDRN Nc1ccc(C(=O)Nc2cc(F)cc(F)c2)cc1[N+](=O)[O-] ZINC000009856413 170386833 /nfs/dbraw/zinc/38/68/33/170386833.db2.gz CFLCPLQHBRSBDH-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN O=C(OCC(F)(F)F)N1CCc2c1cccc2[N+](=O)[O-] ZINC000284408050 196048194 /nfs/dbraw/zinc/04/81/94/196048194.db2.gz UIOCFHZCCNORQS-UHFFFAOYSA-N 0 0 290.197 2.656 20 5 CFBDRN CC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000019508855 170819376 /nfs/dbraw/zinc/81/93/76/170819376.db2.gz QPKZSQIEXAVAHK-UHFFFAOYSA-N 0 0 274.232 2.643 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)cc1F ZINC000020448663 171207696 /nfs/dbraw/zinc/20/76/96/171207696.db2.gz SYFLUBHLGXASBP-UHFFFAOYSA-N 0 0 264.212 2.888 20 5 CFBDRN Cc1ccc(O)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2)c1 ZINC000020983732 171282683 /nfs/dbraw/zinc/28/26/83/171282683.db2.gz NFTNRTIGTSGWCX-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN CCc1nc(SCc2ccccc2[N+](=O)[O-])n[nH]1 ZINC000022542991 171390532 /nfs/dbraw/zinc/39/05/32/171390532.db2.gz PXDLUCNTIRGETK-UHFFFAOYSA-N 0 0 264.310 2.568 20 5 CFBDRN CCCCC[C@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000025346623 171455086 /nfs/dbraw/zinc/45/50/86/171455086.db2.gz FUWKADZALPCKQP-JTQLQIEISA-N 0 0 279.340 2.876 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)C1CC1 ZINC000025771772 171466007 /nfs/dbraw/zinc/46/60/07/171466007.db2.gz OYNUNPUNOVTWDC-VHSXEESVSA-N 0 0 294.376 2.990 20 5 CFBDRN Cc1cccc(N2CC[C@@H](COC(F)F)C2)c1[N+](=O)[O-] ZINC000338724587 292560622 /nfs/dbraw/zinc/56/06/22/292560622.db2.gz PDFDTPCEGJNRRB-SNVBAGLBSA-N 0 0 286.278 2.969 20 5 CFBDRN COC(=O)c1cc(NCCCCF)c(C)c([N+](=O)[O-])c1 ZINC000284997821 196173673 /nfs/dbraw/zinc/17/36/73/196173673.db2.gz BWSIITADJKAWGM-UHFFFAOYSA-N 0 0 284.287 2.851 20 5 CFBDRN CC(C)CCN(C(=O)c1cc([N+](=O)[O-])n[nH]1)C1CCCC1 ZINC000285040347 196184348 /nfs/dbraw/zinc/18/43/48/196184348.db2.gz CBLUUYPRIHXCIJ-UHFFFAOYSA-N 0 0 294.355 2.749 20 5 CFBDRN CCC[C@@H](C)Nc1ccc([N+](=O)[O-])c2nonc21 ZINC000035206216 172050256 /nfs/dbraw/zinc/05/02/56/172050256.db2.gz IRRKQYWJZYPTGJ-SSDOTTSWSA-N 0 0 250.258 2.732 20 5 CFBDRN O=[N+]([O-])c1c(NCC2CCCC2)nc2sccn21 ZINC000035233322 172073292 /nfs/dbraw/zinc/07/32/92/172073292.db2.gz WFSJEBCFJVOKSY-UHFFFAOYSA-N 0 0 266.326 2.906 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)c2ccccc2)c(F)c1 ZINC000035234234 172073900 /nfs/dbraw/zinc/07/39/00/172073900.db2.gz YDMNMKYRQPIXQQ-AWEZNQCLSA-N 0 0 276.267 2.879 20 5 CFBDRN CCOC1CC(COc2ccc([N+](=O)[O-])c(F)c2)C1 ZINC000285142188 196206023 /nfs/dbraw/zinc/20/60/23/196206023.db2.gz LLMIHLGTKQCICW-UHFFFAOYSA-N 0 0 269.272 2.928 20 5 CFBDRN CC(C)CN(C(=O)c1cc([N+](=O)[O-])n[nH]1)c1ccccc1 ZINC000285172981 196212844 /nfs/dbraw/zinc/21/28/44/196212844.db2.gz MKFCTSDRHWNCIK-UHFFFAOYSA-N 0 0 288.307 2.621 20 5 CFBDRN CC(C)(O)COc1cc(F)c([N+](=O)[O-])cc1Cl ZINC000285187075 196216510 /nfs/dbraw/zinc/21/65/10/196216510.db2.gz QRALNQSXVIFICU-UHFFFAOYSA-N 0 0 263.652 2.537 20 5 CFBDRN C[C@@H](SCc1c(F)cc([N+](=O)[O-])cc1F)[C@H](C)O ZINC000285267458 196236523 /nfs/dbraw/zinc/23/65/23/196236523.db2.gz SSHSTUZDEJGXLI-NKWVEPMBSA-N 0 0 277.292 2.876 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])n[nH]1)N(CC1CC1)C1CCCCC1 ZINC000285366139 196261927 /nfs/dbraw/zinc/26/19/27/196261927.db2.gz QPSRFJCYYIKGMM-UHFFFAOYSA-N 0 0 292.339 2.503 20 5 CFBDRN CCC(CC)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000036136645 172459855 /nfs/dbraw/zinc/45/98/55/172459855.db2.gz XBDQGCBLNJXOTC-UHFFFAOYSA-N 0 0 264.325 2.690 20 5 CFBDRN CC(C)CC[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000285691896 196368210 /nfs/dbraw/zinc/36/82/10/196368210.db2.gz OGNLHNHFSYZURQ-LLVKDONJSA-N 0 0 294.355 2.749 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@H]2c2cc[nH]n2)c(F)c1 ZINC000286001738 196467382 /nfs/dbraw/zinc/46/73/82/196467382.db2.gz GJYPKLNMPQBIOP-AWEZNQCLSA-N 0 0 290.298 2.794 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(NCC[C@@H]2CCCO2)c1 ZINC000038097435 174111110 /nfs/dbraw/zinc/11/11/10/174111110.db2.gz WNPCTIOYXKOVDC-LBPRGKRZSA-N 0 0 254.261 2.715 20 5 CFBDRN O=C(CCc1ccco1)Nc1ccc([N+](=O)[O-])cc1 ZINC000039884170 174174206 /nfs/dbraw/zinc/17/42/06/174174206.db2.gz PRNJGSJMKHGGNV-UHFFFAOYSA-N 0 0 260.249 2.759 20 5 CFBDRN O=[N+]([O-])c1cc(CNc2ccc(CCO)cc2)cs1 ZINC000040738950 174389849 /nfs/dbraw/zinc/38/98/49/174389849.db2.gz SCLAKPPNHBTXMB-UHFFFAOYSA-N 0 0 278.333 2.803 20 5 CFBDRN CCc1cc(NCc2ccc([N+](=O)[O-])cc2)ncn1 ZINC000040765151 174397908 /nfs/dbraw/zinc/39/79/08/174397908.db2.gz HDBZYMZTOZDQLY-UHFFFAOYSA-N 0 0 258.281 2.559 20 5 CFBDRN CCCCN(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000043127187 174978373 /nfs/dbraw/zinc/97/83/73/174978373.db2.gz WKCCHHWXVPGBPA-UHFFFAOYSA-N 0 0 281.312 2.867 20 5 CFBDRN Cc1c(NC(=O)N[C@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000043346704 175017557 /nfs/dbraw/zinc/01/75/57/175017557.db2.gz YZJMFJQMHIXZAB-SECBINFHSA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1c(NC(=O)NCC(C)C)cccc1[N+](=O)[O-] ZINC000043348245 175018661 /nfs/dbraw/zinc/01/86/61/175018661.db2.gz PJUZZNJIJAVLIO-UHFFFAOYSA-N 0 0 251.286 2.681 20 5 CFBDRN CC(C)OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 ZINC000043446974 175048216 /nfs/dbraw/zinc/04/82/16/175048216.db2.gz VVIKVBWDNIAERQ-UHFFFAOYSA-N 0 0 262.265 2.501 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cscn2)c(F)c1 ZINC000043474217 175054038 /nfs/dbraw/zinc/05/40/38/175054038.db2.gz GVRZQRMYSNJLHB-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN COC[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Br ZINC000043596432 175076870 /nfs/dbraw/zinc/07/68/70/175076870.db2.gz PCTIREDFZYDMKW-SSDOTTSWSA-N 0 0 289.129 2.804 20 5 CFBDRN Nc1c([N+](=O)[O-])cnn1Cc1ccc(Cl)c(Cl)c1 ZINC000043669402 175086901 /nfs/dbraw/zinc/08/69/01/175086901.db2.gz BCCJRFGTPQFDCJ-UHFFFAOYSA-N 0 0 287.106 2.729 20 5 CFBDRN O=C([O-])CC[C@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000044428299 175175758 /nfs/dbraw/zinc/17/57/58/175175758.db2.gz VSOUUKDJXWWQQZ-GFCCVEGCSA-N 0 0 292.335 2.672 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1C[C@@H]1c1ccccc1 ZINC000044677825 175227464 /nfs/dbraw/zinc/22/74/64/175227464.db2.gz OOPVAGDXZSVUEW-YPMHNXCESA-N 0 0 255.277 2.958 20 5 CFBDRN CNc1ccc(C(=O)NCCC2CCCC2)cc1[N+](=O)[O-] ZINC000044711469 175235821 /nfs/dbraw/zinc/23/58/21/175235821.db2.gz UHJFSYVQDPMJHM-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN Cc1noc(C)c1CCC(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000044998316 175287301 /nfs/dbraw/zinc/28/73/01/175287301.db2.gz PBTMHEHLZVZPAT-UHFFFAOYSA-N 0 0 290.275 2.738 20 5 CFBDRN CC[C@@H]1C[C@H](C)C[N@@H+]1Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000330456459 287300670 /nfs/dbraw/zinc/30/06/70/287300670.db2.gz PDMCQCPKCKCWAM-CMPLNLGQSA-N 0 0 264.325 2.921 20 5 CFBDRN C[C@@H]1CCCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000457269886 287304187 /nfs/dbraw/zinc/30/41/87/287304187.db2.gz SNCXWRHYHPUQHG-NOZJJQNGSA-N 0 0 266.297 2.886 20 5 CFBDRN Cc1cc(CNc2c(F)cc([N+](=O)[O-])cc2F)n(C)n1 ZINC000286402856 196592824 /nfs/dbraw/zinc/59/28/24/196592824.db2.gz JUWMWKUGKDZDPK-UHFFFAOYSA-N 0 0 282.250 2.527 20 5 CFBDRN CCn1cc(CNc2cc(OC)c(F)cc2[N+](=O)[O-])cn1 ZINC000286430788 196600861 /nfs/dbraw/zinc/60/08/61/196600861.db2.gz QNVJXXDITIPHAE-UHFFFAOYSA-N 0 0 294.286 2.571 20 5 CFBDRN CO[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1C)C(C)(C)C ZINC000073220062 176462652 /nfs/dbraw/zinc/46/26/52/176462652.db2.gz AZJAKSBRHUYSPC-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN CS[C@@H]1CC[C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)C1 ZINC000074767635 176612131 /nfs/dbraw/zinc/61/21/31/176612131.db2.gz QXUWRXVPQXIRBP-NXEZZACHSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1nn(Cc2ncc(C(C)(C)C)o2)c(C)c1[N+](=O)[O-] ZINC000076012005 176872138 /nfs/dbraw/zinc/87/21/38/176872138.db2.gz MNXLMTQTPYNGKO-UHFFFAOYSA-N 0 0 278.312 2.742 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2C)C1 ZINC000076500140 177029889 /nfs/dbraw/zinc/02/98/89/177029889.db2.gz DCHDQTZKAWEAAR-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@@H]1CCCC[C@H]1CO ZINC000077209952 177235464 /nfs/dbraw/zinc/23/54/64/177235464.db2.gz NLBSGHCTDMZBDK-GXSJLCMTSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCCC[C@H]2CO)c(Cl)c1 ZINC000077209981 177235631 /nfs/dbraw/zinc/23/56/31/177235631.db2.gz OAMTWKMACVEFDY-KWQFWETISA-N 0 0 285.731 2.606 20 5 CFBDRN C[C@H](CO)[C@H](C)Nc1ccc(Cl)cc1[N+](=O)[O-] ZINC000077227758 177236274 /nfs/dbraw/zinc/23/62/74/177236274.db2.gz RWMDWQVNZOWELI-SFYZADRCSA-N 0 0 258.705 2.677 20 5 CFBDRN O=[N+]([O-])c1cccc(OCCSCc2ccon2)c1 ZINC000077411696 177264515 /nfs/dbraw/zinc/26/45/15/177264515.db2.gz QEIAZQOCVGYSEP-UHFFFAOYSA-N 0 0 280.305 2.895 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCC(=O)N2CCCCCC2)c1 ZINC000078342649 177334104 /nfs/dbraw/zinc/33/41/04/177334104.db2.gz HRFHXZNTOUVCBJ-UHFFFAOYSA-N 0 0 292.335 2.685 20 5 CFBDRN CC(C)C[C@H](CO)Nc1ccc(F)cc1[N+](=O)[O-] ZINC000078571829 177355723 /nfs/dbraw/zinc/35/57/23/177355723.db2.gz YZKBNDAXVIFPBG-SNVBAGLBSA-N 0 0 256.277 2.553 20 5 CFBDRN C[C@@H](Nc1ncccc1[N+](=O)[O-])[C@H](O)c1ccc(F)cc1 ZINC000078620032 177361042 /nfs/dbraw/zinc/36/10/42/177361042.db2.gz KTHODQXDYZCZRB-RNCFNFMXSA-N 0 0 291.282 2.663 20 5 CFBDRN COC(=O)[C@@H](C)CSCc1cccc([N+](=O)[O-])c1C ZINC000078820277 177393285 /nfs/dbraw/zinc/39/32/85/177393285.db2.gz HHTCJMFYHOROCV-VIFPVBQESA-N 0 0 283.349 2.946 20 5 CFBDRN CCc1ccc(OCC(=O)C(C)C)c([N+](=O)[O-])c1 ZINC000078830729 177395178 /nfs/dbraw/zinc/39/51/78/177395178.db2.gz ZHSZLIOEUJSJDT-UHFFFAOYSA-N 0 0 251.282 2.761 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCSC(C)C ZINC000286628599 196661394 /nfs/dbraw/zinc/66/13/94/196661394.db2.gz HABCMOSBLPWEHO-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])c(C2CC2)n1)[C@H]1Cc2ccccc21 ZINC000355949292 228062892 /nfs/dbraw/zinc/06/28/92/228062892.db2.gz YNTMBYJUKKNHKA-ZDUSSCGKSA-N 0 0 297.314 2.578 20 5 CFBDRN C[C@@H]1CCN(c2ccc(C(N)=O)cc2[N+](=O)[O-])CC1(C)C ZINC000273476261 287602906 /nfs/dbraw/zinc/60/29/06/287602906.db2.gz JJINZSMDQLIOQT-SNVBAGLBSA-N 0 0 291.351 2.566 20 5 CFBDRN Cc1cc(NC(=O)NCc2ccccc2[N+](=O)[O-])ccn1 ZINC000179802344 260071908 /nfs/dbraw/zinc/07/19/08/260071908.db2.gz UBFMICQOXUVEMD-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN CCOc1cc(N[C@@H]2CCO[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000220091089 260097256 /nfs/dbraw/zinc/09/72/56/260097256.db2.gz NEEYXIMJTZOAKN-CMPLNLGQSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cc(N2CC[S@](=O)C(C)(C)CC2)ccc1[N+](=O)[O-] ZINC000516848328 260184689 /nfs/dbraw/zinc/18/46/89/260184689.db2.gz VINYAXJGVVCEMI-FQEVSTJZSA-N 0 0 296.392 2.641 20 5 CFBDRN CC1(C)COC[C@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000313019492 260235676 /nfs/dbraw/zinc/23/56/76/260235676.db2.gz DXELPVMPWBIEJF-CQSZACIVSA-N 0 0 287.319 2.980 20 5 CFBDRN CC1(C)COC[C@@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000313041509 260240811 /nfs/dbraw/zinc/24/08/11/260240811.db2.gz RZISMILSQLCZNV-JTQLQIEISA-N 0 0 272.251 2.710 20 5 CFBDRN COc1cc(N[C@@H]2COCC2(C)C)c(F)cc1[N+](=O)[O-] ZINC000313054934 260241863 /nfs/dbraw/zinc/24/18/63/260241863.db2.gz IJOFXZBALMPODV-GFCCVEGCSA-N 0 0 284.287 2.579 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1ccc([N+](=O)[O-])c(OC)c1 ZINC000313094236 260246657 /nfs/dbraw/zinc/24/66/57/260246657.db2.gz VLZWYXDDLXISIR-CMPLNLGQSA-N 0 0 268.313 2.565 20 5 CFBDRN CC[C@H](O)[C@H](CC)Nc1cc(OC)c([N+](=O)[O-])cc1F ZINC000313106668 260248487 /nfs/dbraw/zinc/24/84/87/260248487.db2.gz JQKYBYNDUNIAQP-CABZTGNLSA-N 0 0 286.303 2.704 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(C)c1)[C@@H](O)CC ZINC000313124770 260251520 /nfs/dbraw/zinc/25/15/20/260251520.db2.gz XBXROHPXMHKMOE-YPMHNXCESA-N 0 0 252.314 2.865 20 5 CFBDRN CC[C@@H](O)[C@H](CC)Nc1ncc([N+](=O)[O-])cc1Cl ZINC000313176061 260256289 /nfs/dbraw/zinc/25/62/89/260256289.db2.gz ZCKUNVFGTPADPE-VHSXEESVSA-N 0 0 273.720 2.605 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Sc1cc(F)ccc1F ZINC000008374594 260347483 /nfs/dbraw/zinc/34/74/83/260347483.db2.gz PVOOEBAZZCKLPU-UHFFFAOYSA-N 0 0 271.248 2.758 20 5 CFBDRN Cc1c(NC(=O)c2ccccc2O)cccc1[N+](=O)[O-] ZINC000084831046 260368666 /nfs/dbraw/zinc/36/86/66/260368666.db2.gz JDJGNKDTCSJFTQ-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN COc1ccc(OC[C@H]2CCO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000518474815 260435012 /nfs/dbraw/zinc/43/50/12/260435012.db2.gz AKNQLPTVIBOQSS-MNOVXSKESA-N 0 0 281.308 2.797 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCSC[C@H](C)C1 ZINC000516663724 261673145 /nfs/dbraw/zinc/67/31/45/261673145.db2.gz YRMVUGHOAKPNOJ-SNVBAGLBSA-N 0 0 282.365 2.793 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1C1CCC1 ZINC000191037412 262188345 /nfs/dbraw/zinc/18/83/45/262188345.db2.gz SIFGDOVZGKVTPX-AWEZNQCLSA-N 0 0 289.335 2.582 20 5 CFBDRN NC(=O)c1ccc(NC[C@H]2CCCC2(F)F)c([N+](=O)[O-])c1 ZINC000390868006 262356178 /nfs/dbraw/zinc/35/61/78/262356178.db2.gz ONYFXADKXXRIFC-SECBINFHSA-N 0 0 299.277 2.541 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2c(N)cccc2[N+](=O)[O-])[C@H](C)C1 ZINC000521440025 262374682 /nfs/dbraw/zinc/37/46/82/262374682.db2.gz QKGVVNYGAIOSGU-FOGDFJRCSA-N 0 0 291.351 2.732 20 5 CFBDRN CC[C@H](N[C@H](C)c1ncn(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000391884401 265024722 /nfs/dbraw/zinc/02/47/22/265024722.db2.gz XNVJMIGJFCSAFC-MFKMUULPSA-N 0 0 289.339 2.525 20 5 CFBDRN Cc1cc(C(=O)NCCOCCC(C)C)ccc1[N+](=O)[O-] ZINC000195104038 270250136 /nfs/dbraw/zinc/25/01/36/270250136.db2.gz XWIZEBWZLZFTJL-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1cc(C)ccc1[N+](=O)[O-] ZINC000094659611 265248765 /nfs/dbraw/zinc/24/87/65/265248765.db2.gz BEAIOBFNHFRXRB-ZWNOBZJWSA-N 0 0 250.298 2.883 20 5 CFBDRN C[C@H]1CCN(CC[NH2+][C@@H]2CCc3c2cccc3[N+](=O)[O-])C1 ZINC000518999644 265291620 /nfs/dbraw/zinc/29/16/20/265291620.db2.gz IQGLWTBOSSWHIJ-SWLSCSKDSA-N 0 0 289.379 2.514 20 5 CFBDRN O=[N+]([O-])c1cn(CCSc2ccc(F)cc2)cn1 ZINC000193257704 270236876 /nfs/dbraw/zinc/23/68/76/270236876.db2.gz NEVYLPTYZMFWDD-UHFFFAOYSA-N 0 0 267.285 2.723 20 5 CFBDRN CCN(C(=O)/C=C/c1cccc([N+](=O)[O-])c1)C(C)C ZINC000193545490 270239011 /nfs/dbraw/zinc/23/90/11/270239011.db2.gz CYPOLSDCUWSOOW-CMDGGOBGSA-N 0 0 262.309 2.865 20 5 CFBDRN CC1(NS(=O)(=O)c2cccc([N+](=O)[O-])c2)CCCCC1 ZINC000193824460 270240254 /nfs/dbraw/zinc/24/02/54/270240254.db2.gz GTVYHMQBNIFUNV-UHFFFAOYSA-N 0 0 298.364 2.596 20 5 CFBDRN CCC[C@@H](CC)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000194901773 270248183 /nfs/dbraw/zinc/24/81/83/270248183.db2.gz ANDIAFYPEJFWFK-LLVKDONJSA-N 0 0 280.324 2.669 20 5 CFBDRN Cc1cccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1O ZINC000194948167 270248782 /nfs/dbraw/zinc/24/87/82/270248782.db2.gz HIAVLXDYGHIDNR-UHFFFAOYSA-N 0 0 286.287 2.539 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccccc1 ZINC000195519455 270254097 /nfs/dbraw/zinc/25/40/97/270254097.db2.gz QBHVPHGNZYKDRG-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN COc1cc(N[C@H]2C[C@@H](OC)C2(C)C)ccc1[N+](=O)[O-] ZINC000227821204 270257906 /nfs/dbraw/zinc/25/79/06/270257906.db2.gz BRYTZHXMZIPKSP-QWHCGFSZSA-N 0 0 280.324 2.829 20 5 CFBDRN CO[C@H]1C[C@H](Nc2ccc(F)cc2[N+](=O)[O-])C1(C)C ZINC000227822315 270258223 /nfs/dbraw/zinc/25/82/23/270258223.db2.gz PDCJGIIULASHLN-RYUDHWBXSA-N 0 0 268.288 2.959 20 5 CFBDRN CC1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000229551900 270258684 /nfs/dbraw/zinc/25/86/84/270258684.db2.gz BYHGHNNSGNCOEA-UHFFFAOYSA-N 0 0 281.287 2.998 20 5 CFBDRN CC(C)N(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21)C(C)C ZINC000291515428 270284152 /nfs/dbraw/zinc/28/41/52/270284152.db2.gz QYSGJNJKSBSCKP-UHFFFAOYSA-N 0 0 290.323 2.730 20 5 CFBDRN CC(C)(C)OCCNc1c(F)cccc1[N+](=O)[O-] ZINC000308530814 270288679 /nfs/dbraw/zinc/28/86/79/270288679.db2.gz PSNDPSWFGWCJFH-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H](O)C2CCC2)c(Cl)c1 ZINC000315528922 270292394 /nfs/dbraw/zinc/29/23/94/270292394.db2.gz AKTREXMIRSTGLG-LBPRGKRZSA-N 0 0 270.716 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@@H](O)C3CCC3)ccc2c1 ZINC000315661299 270293259 /nfs/dbraw/zinc/29/32/59/270293259.db2.gz JFIPCFBBWUSFPM-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@](C)(CNc1ncc(C)cc1[N+](=O)[O-])OC ZINC000322657954 270297094 /nfs/dbraw/zinc/29/70/94/270297094.db2.gz ZJTMEDVSOZMFQU-GFCCVEGCSA-N 0 0 253.302 2.525 20 5 CFBDRN CC[C@](C)(CNc1ncnc2sc([N+](=O)[O-])cc21)OC ZINC000322928146 270297857 /nfs/dbraw/zinc/29/78/57/270297857.db2.gz MVBHTSWHHQTCLD-GFCCVEGCSA-N 0 0 296.352 2.827 20 5 CFBDRN CC(C)(C)[C@H](O)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000324501810 270298575 /nfs/dbraw/zinc/29/85/75/270298575.db2.gz KZHADZDPKMPNIF-CYBMUJFWSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1nocc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000339183968 270301339 /nfs/dbraw/zinc/30/13/39/270301339.db2.gz GFYYRDGXXUSALT-SECBINFHSA-N 0 0 261.281 2.742 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC[C@@H]1CCCCC1(F)F ZINC000390842915 270305894 /nfs/dbraw/zinc/30/58/94/270305894.db2.gz DSXIHGWQWUKHSS-VIFPVBQESA-N 0 0 271.267 2.649 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCCC1(CO)CC1 ZINC000395918291 270314179 /nfs/dbraw/zinc/31/41/79/270314179.db2.gz WAGCNMMSASFDJZ-UHFFFAOYSA-N 0 0 270.716 2.823 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccncn1)c1cccc([N+](=O)[O-])c1 ZINC000396022166 270314388 /nfs/dbraw/zinc/31/43/88/270314388.db2.gz LFYGGDJEPGIKBB-QWRGUYRKSA-N 0 0 272.308 2.797 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCC3=CCOCC3)ccnc21 ZINC000397873148 270320037 /nfs/dbraw/zinc/32/00/37/270320037.db2.gz JSIWOYBPYPMKEY-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN CCOc1cc(NCC2=CCOCC2)ccc1[N+](=O)[O-] ZINC000397905458 270320382 /nfs/dbraw/zinc/32/03/82/270320382.db2.gz ZNEBMCFBMQILOS-UHFFFAOYSA-N 0 0 278.308 2.752 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000397885836 270320591 /nfs/dbraw/zinc/32/05/91/270320591.db2.gz PRYCRRLTVADFPS-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN CCOc1cccc(NCC2=CCOCC2)c1[N+](=O)[O-] ZINC000397883384 270320631 /nfs/dbraw/zinc/32/06/31/270320631.db2.gz OXUGKFUOFUWEPV-UHFFFAOYSA-N 0 0 278.308 2.752 20 5 CFBDRN C[C@H]1CC[C@@H](CNc2ccc3cc([N+](=O)[O-])ccc3n2)O1 ZINC000397927156 270320829 /nfs/dbraw/zinc/32/08/29/270320829.db2.gz RSLSNBKFMQXXFI-GWCFXTLKSA-N 0 0 287.319 2.544 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1csnn1 ZINC000398366695 270321932 /nfs/dbraw/zinc/32/19/32/270321932.db2.gz GDQNMUPPUPHZHZ-IUCAKERBSA-N 0 0 278.337 2.858 20 5 CFBDRN C[C@@H]1CC[C@H](O)CN1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000399240154 270327510 /nfs/dbraw/zinc/32/75/10/270327510.db2.gz MGDIPSHUEWQEKP-SKDRFNHKSA-N 0 0 284.743 2.593 20 5 CFBDRN Cc1c(CNC(=O)[C@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000481490767 270340686 /nfs/dbraw/zinc/34/06/86/270340686.db2.gz JIRLRBBPZLTMJC-SNVBAGLBSA-N 0 0 264.325 2.812 20 5 CFBDRN Cn1nc(NCc2cccc([N+](=O)[O-])c2)cc1OC(F)F ZINC000488215211 270342919 /nfs/dbraw/zinc/34/29/19/270342919.db2.gz HBYQKWNJUUDHSY-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN CC(C)CC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000489349295 270343429 /nfs/dbraw/zinc/34/34/29/270343429.db2.gz AXQZYBFGJOCZRO-LBPRGKRZSA-N 0 0 293.367 2.974 20 5 CFBDRN COc1cc(C(=O)N[C@H](C)C(C)(C)C)c([N+](=O)[O-])cc1F ZINC000516654726 270386309 /nfs/dbraw/zinc/38/63/09/270386309.db2.gz JULIWWVCSKFZSR-MRVPVSSYSA-N 0 0 298.314 2.907 20 5 CFBDRN C[C@@H](C(=O)N(C)Cc1cccnc1)c1cccc([N+](=O)[O-])c1 ZINC000516839240 270388579 /nfs/dbraw/zinc/38/85/79/270388579.db2.gz KQQADGHJTPRXBL-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN CC1(CNC(=O)c2ccc([N+](=O)[O-])cn2)CCCCC1 ZINC000516898543 270390232 /nfs/dbraw/zinc/39/02/32/270390232.db2.gz WQUOIGDGKSVYIK-UHFFFAOYSA-N 0 0 277.324 2.690 20 5 CFBDRN CCc1ncc(CN[C@@H]2CCc3c2cccc3[N+](=O)[O-])o1 ZINC000517171987 270399027 /nfs/dbraw/zinc/39/90/27/270399027.db2.gz CRZGGHPCEPMUSX-CYBMUJFWSA-N 0 0 287.319 2.922 20 5 CFBDRN CC[C@H]1CCCCN1c1ncc([N+](=O)[O-])cc1F ZINC000517222538 270399830 /nfs/dbraw/zinc/39/98/30/270399830.db2.gz NMOHAKHALQZNCY-VIFPVBQESA-N 0 0 253.277 2.898 20 5 CFBDRN COc1c(C(=O)N(CC2CC2)C(C)C)cccc1[N+](=O)[O-] ZINC000525989045 270479024 /nfs/dbraw/zinc/47/90/24/270479024.db2.gz RYZDREDMFADULC-UHFFFAOYSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1nc(N(C)Cc2nc3ccccc3[nH]2)ccc1[N+](=O)[O-] ZINC000531858666 270845006 /nfs/dbraw/zinc/84/50/06/270845006.db2.gz UIRMENAFDGQAIS-UHFFFAOYSA-N 0 0 297.318 2.811 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NC1(C2CC2)CC1 ZINC000532371937 270859117 /nfs/dbraw/zinc/85/91/17/270859117.db2.gz UEKVZPSMKFLEPD-UHFFFAOYSA-N 0 0 294.738 2.850 20 5 CFBDRN Cc1cc(N[C@@H]2COCc3ccccc32)ncc1[N+](=O)[O-] ZINC000418993166 274719590 /nfs/dbraw/zinc/71/95/90/274719590.db2.gz KKHGWTDHZAQYLM-CYBMUJFWSA-N 0 0 285.303 2.982 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCO1 ZINC000279512645 275529379 /nfs/dbraw/zinc/52/93/79/275529379.db2.gz QGEXMTBRLVOGBR-NSHDSACASA-N 0 0 299.758 2.895 20 5 CFBDRN CC(C)[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000271747640 275687703 /nfs/dbraw/zinc/68/77/03/275687703.db2.gz YLXAFGTZOJARER-ZJUUUORDSA-N 0 0 298.364 2.590 20 5 CFBDRN CCC1(C)CN(c2cc(C(=O)OC)ccc2[N+](=O)[O-])C1 ZINC000322777777 275961023 /nfs/dbraw/zinc/96/10/23/275961023.db2.gz LOANNALEFFHWRJ-UHFFFAOYSA-N 0 0 278.308 2.618 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000335979224 276028363 /nfs/dbraw/zinc/02/83/63/276028363.db2.gz ZRYJPDWJKOIRRH-MRVPVSSYSA-N 0 0 254.311 2.527 20 5 CFBDRN CC(C)[C@H]1N(C(=O)c2ccc([N+](=O)[O-])o2)CC12CCC2 ZINC000292534002 276034911 /nfs/dbraw/zinc/03/49/11/276034911.db2.gz VDHYTFSLPPYSAB-GFCCVEGCSA-N 0 0 278.308 2.839 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2CCC[C@@H](C)CC2)c1[N+](=O)[O-] ZINC000269319861 276040498 /nfs/dbraw/zinc/04/04/98/276040498.db2.gz AXMJWLZDGPUSCP-SNVBAGLBSA-N 0 0 294.355 2.704 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CCC(=O)CC2)cc1[N+](=O)[O-] ZINC000275744593 276048463 /nfs/dbraw/zinc/04/84/63/276048463.db2.gz CHCHXWPNUWQZEE-UHFFFAOYSA-N 0 0 290.319 2.523 20 5 CFBDRN CC1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000336113998 276112890 /nfs/dbraw/zinc/11/28/90/276112890.db2.gz ZWVDLYMIGHZLDQ-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN CC1(C)C[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])CCO1 ZINC000160598945 276143636 /nfs/dbraw/zinc/14/36/36/276143636.db2.gz JWPUHATZRQETOY-QMMMGPOBSA-N 0 0 296.352 2.674 20 5 CFBDRN CCC1(CO)CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000270673237 276219810 /nfs/dbraw/zinc/21/98/10/276219810.db2.gz AUGITCXAPOQBDH-UHFFFAOYSA-N 0 0 282.315 2.723 20 5 CFBDRN CCOC1CN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000338826861 276312796 /nfs/dbraw/zinc/31/27/96/276312796.db2.gz CIMJIQNCNFUYBV-UHFFFAOYSA-N 0 0 290.241 2.839 20 5 CFBDRN CCN(CC(F)F)C(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000412245235 276316003 /nfs/dbraw/zinc/31/60/03/276316003.db2.gz DIKRAFQAPZNMLU-NWDGAFQWSA-N 0 0 298.289 2.812 20 5 CFBDRN CC[C@H](C)C(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000162021112 276551031 /nfs/dbraw/zinc/55/10/31/276551031.db2.gz HOZGGEYISJVKMG-JTQLQIEISA-N 0 0 262.309 2.920 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000298677175 276583587 /nfs/dbraw/zinc/58/35/87/276583587.db2.gz LWJUUAPWFPVPMM-WCQYABFASA-N 0 0 292.335 2.543 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000091562061 276705988 /nfs/dbraw/zinc/70/59/88/276705988.db2.gz VYXRTKORRYLDTG-ONGXEEELSA-N 0 0 266.345 2.881 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H](C)c1ccncc1F ZINC000337796805 276718450 /nfs/dbraw/zinc/71/84/50/276718450.db2.gz GJUOEFYIYHQPLU-QMMMGPOBSA-N 0 0 293.302 2.827 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(-c2ccccc2)n1)[C@@H]1CCOC1 ZINC000119042634 277002761 /nfs/dbraw/zinc/00/27/61/277002761.db2.gz LDSWDEAOTDHGNV-CYBMUJFWSA-N 0 0 299.330 2.882 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)CC(C)C ZINC000061569725 277106646 /nfs/dbraw/zinc/10/66/46/277106646.db2.gz VHVPPLFGGRERSC-UHFFFAOYSA-N 0 0 250.298 2.890 20 5 CFBDRN CC(=O)c1ccc(N2CCC(CF)CC2)c([N+](=O)[O-])c1 ZINC000294951537 277110461 /nfs/dbraw/zinc/11/04/61/277110461.db2.gz JABWLAIRIVMIDD-UHFFFAOYSA-N 0 0 280.299 2.983 20 5 CFBDRN COC(=O)[C@@]1(C)CCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000268277679 277114428 /nfs/dbraw/zinc/11/44/28/277114428.db2.gz AGWNNYTVDIJEPK-ZDUSSCGKSA-N 0 0 298.726 2.638 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CCCC3(CC3)C2)c1 ZINC000302261900 277161873 /nfs/dbraw/zinc/16/18/73/277161873.db2.gz PTVFAUDYUMPJQX-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N2CC[C@H](C3CC3)C2)c1 ZINC000360145162 277161929 /nfs/dbraw/zinc/16/19/29/277161929.db2.gz SNOXXLPRNOPXFZ-LBPRGKRZSA-N 0 0 290.319 2.618 20 5 CFBDRN COC(=O)c1cnc(N[C@@H]2CCCC[C@H]2C)c([N+](=O)[O-])c1 ZINC000302783245 277168815 /nfs/dbraw/zinc/16/88/15/277168815.db2.gz TYEPHOGVHIQTBP-MWLCHTKSSA-N 0 0 293.323 2.767 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000336406277 277231685 /nfs/dbraw/zinc/23/16/85/277231685.db2.gz FDFMNNBVGABFGD-NSHDSACASA-N 0 0 265.313 2.574 20 5 CFBDRN CO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2C)C1 ZINC000266979390 277285731 /nfs/dbraw/zinc/28/57/31/277285731.db2.gz PCOOKUHUPWZJOU-GFCCVEGCSA-N 0 0 250.298 2.518 20 5 CFBDRN CO[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)[C@H](C)C1 ZINC000281675529 277293656 /nfs/dbraw/zinc/29/36/56/277293656.db2.gz QDMPKIWUORZUOK-ZWNOBZJWSA-N 0 0 250.298 2.599 20 5 CFBDRN CNc1c(C(=O)Nc2cncc(C)c2)cccc1[N+](=O)[O-] ZINC000231524291 277301538 /nfs/dbraw/zinc/30/15/38/277301538.db2.gz XCHHUDCCQAGHSW-UHFFFAOYSA-N 0 0 286.291 2.592 20 5 CFBDRN CO[C@@](C)(CNc1c2c(ccc1[N+](=O)[O-])NCC2)C1CC1 ZINC000292475944 277317408 /nfs/dbraw/zinc/31/74/08/277317408.db2.gz ZDDRYEJYYQVUAV-HNNXBMFYSA-N 0 0 291.351 2.790 20 5 CFBDRN CO[C@@](C)([C@@H](C)Nc1ccc([N+](=O)[O-])nc1)C1CC1 ZINC000413516992 277317630 /nfs/dbraw/zinc/31/76/30/277317630.db2.gz LUVJMFTYULJYIB-RNCFNFMXSA-N 0 0 265.313 2.605 20 5 CFBDRN CO[C@H]1CCCN(c2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000371725799 277337470 /nfs/dbraw/zinc/33/74/70/277337470.db2.gz FFZPBNHPHAFCKU-LBPRGKRZSA-N 0 0 264.325 2.909 20 5 CFBDRN CO[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])CC[C@H]1C ZINC000276043625 277344661 /nfs/dbraw/zinc/34/46/61/277344661.db2.gz PTKNLFBWYIYXFS-KCJUWKMLSA-N 0 0 285.731 2.504 20 5 CFBDRN COc1cc(N2CC3CCC2CC3)ccc1[N+](=O)[O-] ZINC000378410884 277381050 /nfs/dbraw/zinc/38/10/50/277381050.db2.gz JBTRLUODIGFVJF-UHFFFAOYSA-N 0 0 262.309 2.982 20 5 CFBDRN COc1cc(N2CCC=C(F)C2)ccc1[N+](=O)[O-] ZINC000278834814 277381329 /nfs/dbraw/zinc/38/13/29/277381329.db2.gz VMRSPPLQDRWROG-UHFFFAOYSA-N 0 0 252.245 2.667 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](C3CC3)C2)c1 ZINC000338691305 277431642 /nfs/dbraw/zinc/43/16/42/277431642.db2.gz GMDMUTCEXVZUOT-LLVKDONJSA-N 0 0 262.309 2.840 20 5 CFBDRN C[C@@H]1CCC[C@@H](Nc2ccc3ncc([N+](=O)[O-])n3n2)[C@@H]1C ZINC000301949898 277711191 /nfs/dbraw/zinc/71/11/91/277711191.db2.gz ZIGBRVDXROAFHH-GMTAPVOTSA-N 0 0 289.339 2.874 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N1CC[C@@H](C)C1 ZINC000450300233 277994932 /nfs/dbraw/zinc/99/49/32/277994932.db2.gz QJYWFJWRFLEBRF-SNVBAGLBSA-N 0 0 287.319 2.998 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@@H](C)CC[C@@H]2C)c1[N+](=O)[O-] ZINC000269332187 278001017 /nfs/dbraw/zinc/00/10/17/278001017.db2.gz APESMSBSICHFNL-UWVGGRQHSA-N 0 0 294.355 2.702 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N2C[C@@H](C)C[C@H](C)C2)c1[N+](=O)[O-] ZINC000269353315 278001058 /nfs/dbraw/zinc/00/10/58/278001058.db2.gz SMLYQPHKPKFVQA-UWVGGRQHSA-N 0 0 294.355 2.559 20 5 CFBDRN C[C@@H]1C[C@H](CNc2ccccc2[N+](=O)[O-])[C@H](C)O1 ZINC000413532720 278013517 /nfs/dbraw/zinc/01/35/17/278013517.db2.gz NEWABMUHRYALTK-OUAUKWLOSA-N 0 0 250.298 2.820 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@H]1CC1(F)F ZINC000334512099 278038448 /nfs/dbraw/zinc/03/84/48/278038448.db2.gz RADZQQYOLQEVSC-GMSGAONNSA-N 0 0 282.246 2.528 20 5 CFBDRN CC(=O)c1ccc(N2CCC[C@H]2C[C@H](C)O)c([N+](=O)[O-])c1 ZINC000301776619 278247153 /nfs/dbraw/zinc/24/71/53/278247153.db2.gz OMYZWYDHDKUBHK-GWCFXTLKSA-N 0 0 292.335 2.537 20 5 CFBDRN CC1(C)CC[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)O1 ZINC000447345923 278275707 /nfs/dbraw/zinc/27/57/07/278275707.db2.gz AJIACZIHZQPOPA-LLVKDONJSA-N 0 0 282.271 2.630 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cc2Br)C1 ZINC000267215944 278371075 /nfs/dbraw/zinc/37/10/75/278371075.db2.gz IFHRILZGJMNHDJ-ZETCQYMHSA-N 0 0 286.129 2.599 20 5 CFBDRN C[C@H]1CCSCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000276921206 278376700 /nfs/dbraw/zinc/37/67/00/278376700.db2.gz YSGXDFHKCDHOMF-QMMMGPOBSA-N 0 0 287.772 2.975 20 5 CFBDRN C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2)CC[C@@H]1C ZINC000131475767 278414364 /nfs/dbraw/zinc/41/43/64/278414364.db2.gz SPUXPWXEGDEJHY-QWRGUYRKSA-N 0 0 262.309 2.713 20 5 CFBDRN CC1=C[C@H](C)CN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000362376589 278507654 /nfs/dbraw/zinc/50/76/54/278507654.db2.gz SEHPIBITGSBWTL-QMMMGPOBSA-N 0 0 278.337 2.706 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000120015900 278541575 /nfs/dbraw/zinc/54/15/75/278541575.db2.gz BGKWCEUDIFWNSH-MNOVXSKESA-N 0 0 262.309 2.855 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000267629580 278641838 /nfs/dbraw/zinc/64/18/38/278641838.db2.gz JKGLJTDIHGRKLH-GHMZBOCLSA-N 0 0 262.309 2.631 20 5 CFBDRN CCCCN(C)c1ncnc2cccc([N+](=O)[O-])c21 ZINC000357326106 278690310 /nfs/dbraw/zinc/69/03/10/278690310.db2.gz WRVBYOFWIPWPMF-UHFFFAOYSA-N 0 0 260.297 2.774 20 5 CFBDRN Cc1cc(N2CCC[C@@H]2c2cc[nH]n2)ccc1[N+](=O)[O-] ZINC000278799555 278709729 /nfs/dbraw/zinc/70/97/29/278709729.db2.gz XZFCDCBPGYSDCD-CQSZACIVSA-N 0 0 272.308 2.968 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC([C@H](C)O)CC2)c1 ZINC000088722236 278863947 /nfs/dbraw/zinc/86/39/47/278863947.db2.gz XJNNMTFXWKFEFS-NSHDSACASA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC1CCSCC1 ZINC000194513439 278931661 /nfs/dbraw/zinc/93/16/61/278931661.db2.gz YTWGBZHISHBXKW-UHFFFAOYSA-N 0 0 280.349 2.529 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCCSC2)c1[N+](=O)[O-] ZINC000135658693 278987765 /nfs/dbraw/zinc/98/77/65/278987765.db2.gz SQLKWXRWMCLEMV-SECBINFHSA-N 0 0 253.327 2.606 20 5 CFBDRN C[C@@H]1C[C@H](c2ccccc2)CN1c1ncc([N+](=O)[O-])cn1 ZINC000273559853 279005144 /nfs/dbraw/zinc/00/51/44/279005144.db2.gz BKCXABBKLGBYSJ-YPMHNXCESA-N 0 0 284.319 2.767 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)CC1CC1 ZINC000335983615 279012784 /nfs/dbraw/zinc/01/27/84/279012784.db2.gz CBEOUCSUDCNYKK-SECBINFHSA-N 0 0 260.293 2.673 20 5 CFBDRN C[C@@H]1OCC[C@H]1Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000269891567 279053348 /nfs/dbraw/zinc/05/33/48/279053348.db2.gz CLUIJOSCLHKQDP-IMTBSYHQSA-N 0 0 274.729 2.913 20 5 CFBDRN Cc1nn(C)c(N2CC[C@]3(CC[C@@H](C)C3)C2)c1[N+](=O)[O-] ZINC000302073382 279199275 /nfs/dbraw/zinc/19/92/75/279199275.db2.gz ZXVBMXNRKGVLCG-YGRLFVJLSA-N 0 0 278.356 2.653 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCCC[C@@H]2C)c1[N+](=O)[O-] ZINC000036749283 279201383 /nfs/dbraw/zinc/20/13/83/279201383.db2.gz XGJVRCAQIKVMTO-WPRPVWTQSA-N 0 0 252.318 2.627 20 5 CFBDRN Cc1sc(C(=O)N2CC[C@H]3CCC[C@H]32)cc1[N+](=O)[O-] ZINC000336540720 279282658 /nfs/dbraw/zinc/28/26/58/279282658.db2.gz BYNCXURNRAVVRH-NXEZZACHSA-N 0 0 280.349 2.979 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000335212444 279283389 /nfs/dbraw/zinc/28/33/89/279283389.db2.gz DYOMJGFTXDUOOK-HTRCEHHLSA-N 0 0 286.378 2.589 20 5 CFBDRN Cn1ccnc1[C@@H](NCc1ccccc1[N+](=O)[O-])C1CC1 ZINC000122788990 279376173 /nfs/dbraw/zinc/37/61/73/279376173.db2.gz BGHLPFYNNFKKPZ-AWEZNQCLSA-N 0 0 286.335 2.569 20 5 CFBDRN Nc1c(C(=O)N2CCC[C@@H]3CCC[C@H]32)cccc1[N+](=O)[O-] ZINC000157351845 279692776 /nfs/dbraw/zinc/69/27/76/279692776.db2.gz VRWLRDPUTFPTRE-CMPLNLGQSA-N 0 0 289.335 2.582 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000274704333 279694283 /nfs/dbraw/zinc/69/42/83/279694283.db2.gz VUHUYVCCPLVUCP-LNLATYFQSA-N 0 0 295.314 2.686 20 5 CFBDRN Nc1ccc(C(=O)N2C[C@@H]3CCCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000378094721 279697813 /nfs/dbraw/zinc/69/78/13/279697813.db2.gz YKTGYNIDEWTGDJ-AAEUAGOBSA-N 0 0 289.335 2.582 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)[C@H]1C ZINC000293951697 279815258 /nfs/dbraw/zinc/81/52/58/279815258.db2.gz FSBSAJUORAWINM-IUCAKERBSA-N 0 0 274.276 2.821 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000277598045 279920066 /nfs/dbraw/zinc/92/00/66/279920066.db2.gz KWHUOLCLOUQIQE-GXFFZTMASA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1C[C@H](C)CN(c2ncc([N+](=O)[O-])cc2F)C1 ZINC000408468823 279988370 /nfs/dbraw/zinc/98/83/70/279988370.db2.gz OGJNCVTUNRTERJ-IUCAKERBSA-N 0 0 253.277 2.611 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1CC1(F)F ZINC000334512102 280022904 /nfs/dbraw/zinc/02/29/04/280022904.db2.gz RADZQQYOLQEVSC-XVKPBYJWSA-N 0 0 282.246 2.528 20 5 CFBDRN C[C@H]1OCCN(c2ccc([N+](=O)[O-])c3cnccc32)[C@@H]1C ZINC000268808473 280056551 /nfs/dbraw/zinc/05/65/51/280056551.db2.gz LOBHPLMFIBGXKK-GHMZBOCLSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@H]1OCCN(c2nccc3cc([N+](=O)[O-])ccc32)[C@H]1C ZINC000297494693 280056926 /nfs/dbraw/zinc/05/69/26/280056926.db2.gz MRGVFKHEUAOXNG-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN O=C([C@@H]1CC[C@H]2C[C@H]2C1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000421434530 280098907 /nfs/dbraw/zinc/09/89/07/280098907.db2.gz RBAYIXYELYWFJH-XQQFMLRXSA-N 0 0 286.331 2.920 20 5 CFBDRN O=C([C@@H]1C[C@H]1[N+](=O)[O-])N1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000363109527 280112675 /nfs/dbraw/zinc/11/26/75/280112675.db2.gz YECYTASDHZNNMN-JHJVBQTASA-N 0 0 294.738 2.669 20 5 CFBDRN CC(C)[C@@]1(C)CC(=O)N(Cc2ccccc2[N+](=O)[O-])C1=O ZINC000116875220 280120570 /nfs/dbraw/zinc/12/05/70/280120570.db2.gz JJMWXYVNVYGIHI-OAHLLOKOSA-N 0 0 290.319 2.516 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])[C@@H](C)[C@H](C)O1 ZINC000446061117 288208530 /nfs/dbraw/zinc/20/85/30/288208530.db2.gz KNNSEKBKBVQKKV-VWYCJHECSA-N 0 0 282.315 2.732 20 5 CFBDRN C[C@]1(F)CCCN(c2ccc([N+](=O)[O-])c(N)c2F)C1 ZINC000294983992 280162235 /nfs/dbraw/zinc/16/22/35/280162235.db2.gz PTPBRRQFERMPJE-LBPRGKRZSA-N 0 0 271.267 2.645 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCCC2(CC2)C1 ZINC000185397764 280190089 /nfs/dbraw/zinc/19/00/89/280190089.db2.gz PFCZXTLVTFZPJB-UHFFFAOYSA-N 0 0 260.293 2.611 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1F)N1CC(C2CCCC2)C1 ZINC000335692440 280191047 /nfs/dbraw/zinc/19/10/47/280191047.db2.gz JHNHRPCIBTUYSU-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCS[C@H]2CCCC[C@@H]21 ZINC000175444011 280191981 /nfs/dbraw/zinc/19/19/81/280191981.db2.gz KNEIAELVZMRILX-ONGXEEELSA-N 0 0 296.348 2.688 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC[C@H]2CCC[C@H]21 ZINC000335333213 280192437 /nfs/dbraw/zinc/19/24/37/280192437.db2.gz SOPJVCZEYHDDGX-RKDXNWHRSA-N 0 0 266.322 2.671 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H](C)c1ccccc1 ZINC000413001196 280259161 /nfs/dbraw/zinc/25/91/61/280259161.db2.gz KFYFJIMRNQZDRC-LLVKDONJSA-N 0 0 272.304 2.869 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1C ZINC000334247007 280283578 /nfs/dbraw/zinc/28/35/78/280283578.db2.gz VEIGURFKDMRIRW-LLVKDONJSA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1cc(-c2nc(-c3ccn(C)c3)no2)cc([N+](=O)[O-])c1 ZINC000347344196 280286930 /nfs/dbraw/zinc/28/69/30/280286930.db2.gz KWBMNVSAEZZKCU-UHFFFAOYSA-N 0 0 284.275 2.959 20 5 CFBDRN CC[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1C ZINC000334460630 288221303 /nfs/dbraw/zinc/22/13/03/288221303.db2.gz NPAITTSDQBXRRB-NSHDSACASA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1cc(C(=O)N2C[C@@H](C)S[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000335677214 280340343 /nfs/dbraw/zinc/34/03/43/280340343.db2.gz PBBJQVPYVXOSFU-PHIMTYICSA-N 0 0 294.376 2.869 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC[C@@]12CCOC2 ZINC000421030106 280358125 /nfs/dbraw/zinc/35/81/25/280358125.db2.gz YHFIVULLGPOYAW-ZDUSSCGKSA-N 0 0 282.727 2.613 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCCC[C@H]2O)c(F)c1 ZINC000227889512 280359835 /nfs/dbraw/zinc/35/98/35/280359835.db2.gz RPOCMUWRCCJZIG-WDEREUQCSA-N 0 0 272.251 2.588 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CCO[C@@H]2CCC[C@@H]21 ZINC000276584883 280361848 /nfs/dbraw/zinc/36/18/48/280361848.db2.gz IXRJUXQRMDOJFV-DZGCQCFKSA-N 0 0 299.330 2.901 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@@H]1CCCC[C@H]1O ZINC000276724937 280362195 /nfs/dbraw/zinc/36/21/95/280362195.db2.gz ZRUZDPXBUBBEPG-TZMCWYRMSA-N 0 0 287.319 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC[C@@]2(CCOC2)C1 ZINC000370494024 280364977 /nfs/dbraw/zinc/36/49/77/280364977.db2.gz YGDAMNGOTWLZKE-CYBMUJFWSA-N 0 0 282.727 2.865 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC=C(C(F)(F)F)CC2)nc1 ZINC000270245052 280365685 /nfs/dbraw/zinc/36/56/85/280365685.db2.gz QZUSTJHGPNSHAB-UHFFFAOYSA-N 0 0 273.214 2.689 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2cc[nH]n2)c(F)c1 ZINC000278699467 280366920 /nfs/dbraw/zinc/36/69/20/280366920.db2.gz MQOPTCNZCPLGPB-ZDUSSCGKSA-N 0 0 276.271 2.799 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H](C3CC3)[C@@H]2C2CC2)cn1 ZINC000450791846 280368342 /nfs/dbraw/zinc/36/83/42/280368342.db2.gz JKGWBAKMUHCQKJ-OCCSQVGLSA-N 0 0 259.309 2.615 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N[C@H]1c2ccccc2C[C@H]1O ZINC000341540889 280373124 /nfs/dbraw/zinc/37/31/24/280373124.db2.gz CCMZCTYUFQMVQW-KGLIPLIRSA-N 0 0 288.278 2.804 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@]1(O)CCc2ccccc21 ZINC000133727077 280376024 /nfs/dbraw/zinc/37/60/24/280376024.db2.gz IWHXQCXDBUYABW-INIZCTEOSA-N 0 0 284.315 2.841 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC=C(c2ccncc2)C1 ZINC000344012171 280376435 /nfs/dbraw/zinc/37/64/35/280376435.db2.gz XJYPVNDJWJNZEF-UHFFFAOYSA-N 0 0 282.303 2.679 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC(F)(F)C1 ZINC000436328764 280377798 /nfs/dbraw/zinc/37/77/98/280377798.db2.gz JPTUOMDHOKOXDP-UHFFFAOYSA-N 0 0 297.183 2.854 20 5 CFBDRN O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCCCCO1 ZINC000436374686 280377914 /nfs/dbraw/zinc/37/79/14/280377914.db2.gz XNYXCNZGJMOFCZ-UHFFFAOYSA-N 0 0 291.229 2.931 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC3(CCCC3)C2)s1 ZINC000192470755 280378818 /nfs/dbraw/zinc/37/88/18/280378818.db2.gz FIXRHMPHWFAKND-UHFFFAOYSA-N 0 0 253.327 2.822 20 5 CFBDRN O=[N+]([O-])c1cnn(CCN2CCC=Cc3ccccc32)c1 ZINC000191295206 280383911 /nfs/dbraw/zinc/38/39/11/280383911.db2.gz JXDFTWIYZDDTSX-UHFFFAOYSA-N 0 0 284.319 2.715 20 5 CFBDRN O=c1sccn1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000135275274 280397579 /nfs/dbraw/zinc/39/75/79/280397579.db2.gz RBCBDBYHQLUUKX-UHFFFAOYSA-N 0 0 270.697 2.520 20 5 CFBDRN O=[N+]([O-])c1ncn(CCC23CC4CC(CC(C4)C2)C3)n1 ZINC000053835305 280446955 /nfs/dbraw/zinc/44/69/55/280446955.db2.gz ULOMFLVHYDLYOS-UHFFFAOYSA-N 0 0 276.340 2.793 20 5 CFBDRN C[C@H]1CCCC[C@H]1NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067726019 280472717 /nfs/dbraw/zinc/47/27/17/280472717.db2.gz PCDWXMQMNFDRCJ-SMDDNHRTSA-N 0 0 291.351 2.973 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)CC[C@H]2C)c1 ZINC000072543185 280489038 /nfs/dbraw/zinc/48/90/38/280489038.db2.gz DJCDDSHRNNOYIL-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN CCN(C)C(=O)/C=C/c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000075504496 280512315 /nfs/dbraw/zinc/51/23/15/280512315.db2.gz KKXKOEWNKXKWAN-FNORWQNLSA-N 0 0 268.700 2.740 20 5 CFBDRN CCCC[C@H](COC)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000076777292 280520715 /nfs/dbraw/zinc/52/07/15/280520715.db2.gz UHMJWAIHENLCEG-GFCCVEGCSA-N 0 0 295.339 2.922 20 5 CFBDRN CCCN(CCC)C(=O)COc1cc([N+](=O)[O-])ccc1C ZINC000078755537 280531205 /nfs/dbraw/zinc/53/12/05/280531205.db2.gz GOZJRAQTCQSIFZ-UHFFFAOYSA-N 0 0 294.351 2.931 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1CCc1ccccc1 ZINC000078921434 280531978 /nfs/dbraw/zinc/53/19/78/280531978.db2.gz HKBGNMKYMXJJFM-UHFFFAOYSA-N 0 0 295.298 2.547 20 5 CFBDRN CCOCCCC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079625039 280535780 /nfs/dbraw/zinc/53/57/80/280535780.db2.gz ONYLXBJYFMVSPC-UHFFFAOYSA-N 0 0 294.351 2.763 20 5 CFBDRN C[C@@H](NC(=O)N(C)CC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000080046190 280537799 /nfs/dbraw/zinc/53/77/99/280537799.db2.gz OACQZNWGPBJXSF-SNVBAGLBSA-N 0 0 277.324 2.707 20 5 CFBDRN CCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2OC)CCC1 ZINC000084252301 280549894 /nfs/dbraw/zinc/54/98/94/280549894.db2.gz JACTWMHYVJIKEW-UHFFFAOYSA-N 0 0 292.335 2.914 20 5 CFBDRN O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1ccncc1 ZINC000084776114 280552258 /nfs/dbraw/zinc/55/22/58/280552258.db2.gz XJQASRSPYLTQJN-UHFFFAOYSA-N 0 0 294.270 2.790 20 5 CFBDRN CCCSCC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088231397 280559124 /nfs/dbraw/zinc/55/91/24/280559124.db2.gz ILFDBRUKJHDIQY-UHFFFAOYSA-N 0 0 294.376 2.623 20 5 CFBDRN CC[C@H]1CC[C@H](C)N1c1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000271423068 288245899 /nfs/dbraw/zinc/24/58/99/288245899.db2.gz LVVHVKDYQQKBCC-JQWIXIFHSA-N 0 0 291.351 2.722 20 5 CFBDRN CCc1onc(C)c1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000089393624 280562288 /nfs/dbraw/zinc/56/22/88/280562288.db2.gz UYZPFWMVCKVNID-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN Cc1cc(N2CCO[C@@H]3CCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000271313704 280564179 /nfs/dbraw/zinc/56/41/79/280564179.db2.gz JDOKIYOYZYMCDO-UONOGXRCSA-N 0 0 262.309 2.661 20 5 CFBDRN Cc1cc(N2CCO[C@@H]3CCC[C@@H]32)c(F)cc1[N+](=O)[O-] ZINC000292534597 280564231 /nfs/dbraw/zinc/56/42/31/280564231.db2.gz HWYGCNWSVVLZER-SMDDNHRTSA-N 0 0 280.299 2.800 20 5 CFBDRN CC[C@@H]1Cc2ccccc2CN1c1c([N+](=O)[O-])ncn1C ZINC000274222689 280566190 /nfs/dbraw/zinc/56/61/90/280566190.db2.gz BXURCXYTXDVUPS-CYBMUJFWSA-N 0 0 286.335 2.670 20 5 CFBDRN CC(C)c1ccc(C(=O)N2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC000275886575 280594494 /nfs/dbraw/zinc/59/44/94/280594494.db2.gz LGXYITONNQRSPE-NSHDSACASA-N 0 0 292.335 2.579 20 5 CFBDRN COc1ccc(C(=O)N2CC[C@@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000120439245 280614146 /nfs/dbraw/zinc/61/41/46/280614146.db2.gz BFYNQCIKUSSJKT-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN C[S@](=O)c1cccc(NCc2cccc([N+](=O)[O-])c2)c1 ZINC000121778247 280623380 /nfs/dbraw/zinc/62/33/80/280623380.db2.gz VCPKQVVKJJTEED-HXUWFJFHSA-N 0 0 290.344 2.944 20 5 CFBDRN CO[C@@H]1CCC[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000124693875 280640376 /nfs/dbraw/zinc/64/03/76/280640376.db2.gz CCGDEKDLTDREEN-ZYHUDNBSSA-N 0 0 254.261 2.713 20 5 CFBDRN CC(C)OC(=O)[C@H](C)N(C)Cc1c(F)cccc1[N+](=O)[O-] ZINC000129634829 280693880 /nfs/dbraw/zinc/69/38/80/280693880.db2.gz TZPFNEMPKIWTPL-JTQLQIEISA-N 0 0 298.314 2.506 20 5 CFBDRN Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C)sn1 ZINC000130165514 280700484 /nfs/dbraw/zinc/70/04/84/280700484.db2.gz XIEWVEFQIANFOD-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cn1cccc1C(=O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000130746596 280708208 /nfs/dbraw/zinc/70/82/08/280708208.db2.gz XURAFGBXVXLCIT-UHFFFAOYSA-N 0 0 294.694 2.848 20 5 CFBDRN CSCCCCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000130959851 280710783 /nfs/dbraw/zinc/71/07/83/280710783.db2.gz CMQIRRHIZPOGRA-UHFFFAOYSA-N 0 0 283.353 2.860 20 5 CFBDRN O=C(CSCc1ccc([N+](=O)[O-])cc1)NCC1CCC1 ZINC000131323026 280715876 /nfs/dbraw/zinc/71/58/76/280715876.db2.gz MVZRJRXBMWPJLC-UHFFFAOYSA-N 0 0 294.376 2.744 20 5 CFBDRN Cc1ccc(OCC(=O)c2cccn2C)cc1[N+](=O)[O-] ZINC000131594525 280720501 /nfs/dbraw/zinc/72/05/01/280720501.db2.gz PZVWEUYEOBFLPC-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccncc1F ZINC000132044176 280725985 /nfs/dbraw/zinc/72/59/85/280725985.db2.gz OUNCHNSLEFRLQI-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN COc1cc(C(=O)Nc2ccccc2F)ccc1[N+](=O)[O-] ZINC000132810163 280736177 /nfs/dbraw/zinc/73/61/77/280736177.db2.gz UMMLRQMSNHCUTA-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1c(CC(=O)N(C)CCC2CC2)cccc1[N+](=O)[O-] ZINC000134107588 280752998 /nfs/dbraw/zinc/75/29/98/280752998.db2.gz YTVNPMUNDQFFFI-UHFFFAOYSA-N 0 0 276.336 2.704 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])c3ncccc23)CCCO1 ZINC000413112273 288262951 /nfs/dbraw/zinc/26/29/51/288262951.db2.gz BGNPKXMEYGWSEX-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CCSc1ccc([N+](=O)[O-])cc1C(=O)NCC1CC1 ZINC000134305341 280756389 /nfs/dbraw/zinc/75/63/89/280756389.db2.gz ZFHVYVAVMXAQQX-UHFFFAOYSA-N 0 0 280.349 2.847 20 5 CFBDRN Cc1[nH]nc(NC(=O)C=Cc2cccc([N+](=O)[O-])c2)c1C ZINC000134559347 280760542 /nfs/dbraw/zinc/76/05/42/280760542.db2.gz QTJORUFGMQFTGP-VOTSOKGWSA-N 0 0 286.291 2.587 20 5 CFBDRN Cc1cc(O)ccc1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000134800051 280763597 /nfs/dbraw/zinc/76/35/97/280763597.db2.gz ZBAVABBRSKQWNV-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OCc1nccs1 ZINC000141700628 280791730 /nfs/dbraw/zinc/79/17/30/280791730.db2.gz TXHSUYRNKOTLSL-UHFFFAOYSA-N 0 0 250.279 2.939 20 5 CFBDRN C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC(C)(C)O1 ZINC000140311054 280792159 /nfs/dbraw/zinc/79/21/59/280792159.db2.gz FNEZGZKYYMWEQJ-SNVBAGLBSA-N 0 0 293.323 2.626 20 5 CFBDRN CSCCC(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186325400 280845651 /nfs/dbraw/zinc/84/56/51/280845651.db2.gz XUSPEEQYTDRGEB-UHFFFAOYSA-N 0 0 288.756 2.618 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCC[C@](F)(CO)C1 ZINC000286730799 281021356 /nfs/dbraw/zinc/02/13/56/281021356.db2.gz CXSCVQQAHCZOKY-SWLSCSKDSA-N 0 0 296.342 2.761 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1Cl)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000248762387 281034117 /nfs/dbraw/zinc/03/41/17/281034117.db2.gz WIMIOORLJLPDNO-PJXYFTJBSA-N 0 0 294.738 2.911 20 5 CFBDRN Nc1c(C(=O)NC/C=C\c2ccccc2)cccc1[N+](=O)[O-] ZINC000254935840 281081668 /nfs/dbraw/zinc/08/16/68/281081668.db2.gz KDUOPCIGHPZBLF-YVMONPNESA-N 0 0 297.314 2.620 20 5 CFBDRN CCN(CC1CC1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000255047258 281085258 /nfs/dbraw/zinc/08/52/58/281085258.db2.gz INELAMURJIXCTN-YFHOEESVSA-N 0 0 274.320 2.867 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])CCS1 ZINC000255415903 281097264 /nfs/dbraw/zinc/09/72/64/281097264.db2.gz YSJSIGQYKFCUBR-JMEBYUIHSA-N 0 0 292.360 2.572 20 5 CFBDRN C[C@@H]1CN(C(=O)/C=C\c2cccc([N+](=O)[O-])c2)CCS1 ZINC000255620652 281103894 /nfs/dbraw/zinc/10/38/94/281103894.db2.gz OJKIZKZFTVYTDJ-ISALQUGTSA-N 0 0 292.360 2.572 20 5 CFBDRN CN(C(=O)/C=C\c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000255636134 281104425 /nfs/dbraw/zinc/10/44/25/281104425.db2.gz BLPBIFWKJWLCOK-FPLPWBNLSA-N 0 0 283.287 2.666 20 5 CFBDRN Cc1cccc(N[C@@H](C)CCCCO)c1[N+](=O)[O-] ZINC000296230522 281142227 /nfs/dbraw/zinc/14/22/27/281142227.db2.gz HLQBTFDDNYMLSX-NSHDSACASA-N 0 0 252.314 2.866 20 5 CFBDRN CCOc1ccc(CNc2c([N+](=O)[O-])c(C)nn2C)cc1 ZINC000299234356 281146762 /nfs/dbraw/zinc/14/67/62/281146762.db2.gz LUNRNLJFADQTQL-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC(C)(C)C2CCCC2)c1[N+](=O)[O-] ZINC000338104122 281165665 /nfs/dbraw/zinc/16/56/65/281165665.db2.gz KCMNICNDBJMYHV-UHFFFAOYSA-N 0 0 294.355 2.573 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)C[C@@H]1CCCCO1 ZINC000360958616 281184603 /nfs/dbraw/zinc/18/46/03/281184603.db2.gz CCMDLCWREYMVFD-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=NCC3(C4CC4)CCC3)[nH]c2c1 ZINC000393322122 281192741 /nfs/dbraw/zinc/19/27/41/281192741.db2.gz NCIXORPKSIELQS-UHFFFAOYSA-N 0 0 286.335 2.885 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1ccc([N+](=O)[O-])c2ncccc12 ZINC000397739389 281201711 /nfs/dbraw/zinc/20/17/11/281201711.db2.gz JLUVWWUUTCSGCL-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CS[C@H](C)C2)c1 ZINC000397793413 281202694 /nfs/dbraw/zinc/20/26/94/281202694.db2.gz SONZVAQDXUVVFP-RKDXNWHRSA-N 0 0 268.338 2.909 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc3cc([N+](=O)[O-])ccc3n2)CS1 ZINC000397975514 281203160 /nfs/dbraw/zinc/20/31/60/281203160.db2.gz JBEZDNHCUFZDFL-ONGXEEELSA-N 0 0 289.360 2.871 20 5 CFBDRN CCOc1cc(NC[C@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000397963873 281203597 /nfs/dbraw/zinc/20/35/97/281203597.db2.gz WOVDLEKFECFONB-CMPLNLGQSA-N 0 0 280.324 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CS[C@H](C)C1 ZINC000397975478 281203604 /nfs/dbraw/zinc/20/36/04/281203604.db2.gz ONTGSUZIORDKHC-BDAKNGLRSA-N 0 0 253.327 2.604 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc(F)cc2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000399709208 281211262 /nfs/dbraw/zinc/21/12/62/281211262.db2.gz YESXHEWLNGZQJX-UTLUCORTSA-N 0 0 280.299 2.850 20 5 CFBDRN CCC1(CNc2cc(C)ccc2[N+](=O)[O-])COC1 ZINC000450846917 281245183 /nfs/dbraw/zinc/24/51/83/281245183.db2.gz QHRYOKZPRJWEMC-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN Cc1cccc([C@H]2CCN(c3ncc([N+](=O)[O-])cn3)C2)c1 ZINC000273991742 281256256 /nfs/dbraw/zinc/25/62/56/281256256.db2.gz RPSGOPDYEQLFQH-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN CC1(C)CN(c2cc(Cl)ccc2[N+](=O)[O-])CC[C@H]1O ZINC000286814374 281266250 /nfs/dbraw/zinc/26/62/50/281266250.db2.gz XNSIJYGITDWCEM-GFCCVEGCSA-N 0 0 284.743 2.845 20 5 CFBDRN CC[C@@H]1OCC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000459480759 281267063 /nfs/dbraw/zinc/26/70/63/281267063.db2.gz KFOHVXPTRAXAMU-YPMHNXCESA-N 0 0 293.323 2.592 20 5 CFBDRN CC(C)CO[C@H](C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000515602438 281295853 /nfs/dbraw/zinc/29/58/53/281295853.db2.gz CMPFUTIWMYSXAF-LLVKDONJSA-N 0 0 292.335 2.545 20 5 CFBDRN CCO[C@H](CC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000516692817 281305081 /nfs/dbraw/zinc/30/50/81/281305081.db2.gz BODYVDPUKMFPKJ-GFCCVEGCSA-N 0 0 266.297 2.657 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](C)C[C@H]1C ZINC000525411021 281317468 /nfs/dbraw/zinc/31/74/68/281317468.db2.gz NLZBBGJTOVFFAE-NXEZZACHSA-N 0 0 293.323 2.866 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCCC2(CCCC2)C1 ZINC000526127220 281320914 /nfs/dbraw/zinc/32/09/14/281320914.db2.gz IHAOGEOLPNJPJA-UHFFFAOYSA-N 0 0 289.335 2.786 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000527199479 281325828 /nfs/dbraw/zinc/32/58/28/281325828.db2.gz XNRKWNGDOUQEGP-WDEREUQCSA-N 0 0 277.324 2.688 20 5 CFBDRN CCOc1ccc(C(=O)N2[C@H](C)C[C@H]2C)cc1[N+](=O)[O-] ZINC000527474158 281333064 /nfs/dbraw/zinc/33/30/64/281333064.db2.gz CAHNMUHZBITPDT-NXEZZACHSA-N 0 0 278.308 2.616 20 5 CFBDRN Cc1ccccc1[C@@H]1CCN(c2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000295460135 281368933 /nfs/dbraw/zinc/36/89/33/281368933.db2.gz HTUOFOPLNZZFMF-CYBMUJFWSA-N 0 0 298.346 2.996 20 5 CFBDRN Cc1cc(N2CCC(OC(C)C)CC2)ncc1[N+](=O)[O-] ZINC000530675953 281428406 /nfs/dbraw/zinc/42/84/06/281428406.db2.gz GSWGVUDOKAMVOJ-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN CCc1ccc([C@H]2CCCN2c2c([N+](=O)[O-])ncn2C)o1 ZINC000265439474 281428573 /nfs/dbraw/zinc/42/85/73/281428573.db2.gz HZGKCFNVBDLPGC-LLVKDONJSA-N 0 0 290.323 2.825 20 5 CFBDRN CCc1ccc(C(=O)N(C)CC(C)(C)OC)cc1[N+](=O)[O-] ZINC000531573938 281433452 /nfs/dbraw/zinc/43/34/52/281433452.db2.gz GMPAAXOVMMDIMK-UHFFFAOYSA-N 0 0 294.351 2.654 20 5 CFBDRN O=C(NCc1ccc(Cl)s1)c1ccc([N+](=O)[O-])cn1 ZINC000531624319 281435907 /nfs/dbraw/zinc/43/59/07/281435907.db2.gz YQFSNZFYRSXIJG-UHFFFAOYSA-N 0 0 297.723 2.635 20 5 CFBDRN Cc1cc(C)cc(N(C)C(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000531623704 281435923 /nfs/dbraw/zinc/43/59/23/281435923.db2.gz GQJCWLMSAAUYNX-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCC1CCC(CNC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000532391899 281453448 /nfs/dbraw/zinc/45/34/48/281453448.db2.gz SKRHDQAFTBWLLM-UHFFFAOYSA-N 0 0 291.351 2.936 20 5 CFBDRN Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cn2)ccc1F ZINC000532392213 281453452 /nfs/dbraw/zinc/45/34/52/281453452.db2.gz OAQMVLCMIUZOPI-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CCCc1csc(NC(=O)c2ccc([N+](=O)[O-])cn2)n1 ZINC000534317336 281483270 /nfs/dbraw/zinc/48/32/70/281483270.db2.gz YNFXFXDKHHYHJQ-UHFFFAOYSA-N 0 0 292.320 2.651 20 5 CFBDRN C[C@@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])[C@@H](C)CO1 ZINC000535008638 281493094 /nfs/dbraw/zinc/49/30/94/281493094.db2.gz LEPWQDFZVFYYHN-WDEREUQCSA-N 0 0 287.319 2.757 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCC[C@H]1C ZINC000535185827 281499997 /nfs/dbraw/zinc/49/99/97/281499997.db2.gz MZESGVVBIHFNSS-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)Cc2cccc(O)c2)n1 ZINC000535795728 281510577 /nfs/dbraw/zinc/51/05/77/281510577.db2.gz GMQAPGRAQPPFFI-UHFFFAOYSA-N 0 0 273.292 2.640 20 5 CFBDRN O=C([C@H]1[C@@H]2CCC[C@@H]21)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000536064993 281515799 /nfs/dbraw/zinc/51/57/99/281515799.db2.gz LDAYRJOGRUSHHU-NHAGDIPZSA-N 0 0 286.331 2.526 20 5 CFBDRN CC(C)(NC(=O)CCNc1ccccc1[N+](=O)[O-])C1CC1 ZINC000536565842 281522379 /nfs/dbraw/zinc/52/23/79/281522379.db2.gz JAZIHRLCOVUOKK-UHFFFAOYSA-N 0 0 291.351 2.702 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC(C)(C)C1CC1 ZINC000536566258 281522426 /nfs/dbraw/zinc/52/24/26/281522426.db2.gz CSBRIVRXDXLIJS-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN CC1([C@H]2CCCN(c3ccccc3[N+](=O)[O-])C2)OCCO1 ZINC000273194984 281609185 /nfs/dbraw/zinc/60/91/85/281609185.db2.gz RQFJQRLOBSJPOR-LBPRGKRZSA-N 0 0 292.335 2.574 20 5 CFBDRN CC1=C(Br)CN(c2ncccc2[N+](=O)[O-])CC1 ZINC000282030013 281614489 /nfs/dbraw/zinc/61/44/89/281614489.db2.gz RHXMHFRUOBAMEO-UHFFFAOYSA-N 0 0 298.140 2.869 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000544004868 281659010 /nfs/dbraw/zinc/65/90/10/281659010.db2.gz JOAIDUPAWYGUCS-AAEUAGOBSA-N 0 0 291.351 2.830 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@@](C)(CC)C1 ZINC000359536582 281668615 /nfs/dbraw/zinc/66/86/15/281668615.db2.gz XLGZECADRXSUCG-CYBMUJFWSA-N 0 0 266.345 2.746 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCCC[C@H]1F ZINC000413531146 281669530 /nfs/dbraw/zinc/66/95/30/281669530.db2.gz LKMBBROBTMQQGF-NXEZZACHSA-N 0 0 270.308 2.812 20 5 CFBDRN CCOCCCc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000544790462 281674152 /nfs/dbraw/zinc/67/41/52/281674152.db2.gz JWZSQQRWUIVIFM-UHFFFAOYSA-N 0 0 291.307 2.922 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(CN(C)c2ccccc2[N+](=O)[O-])n1 ZINC000545061878 281678151 /nfs/dbraw/zinc/67/81/51/281678151.db2.gz BBNAHMQTUVTKHF-VHSXEESVSA-N 0 0 288.307 2.738 20 5 CFBDRN C[C@@H]1COCCN1c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000278028386 288349079 /nfs/dbraw/zinc/34/90/79/288349079.db2.gz PMHXOERWJRNJIT-MRVPVSSYSA-N 0 0 290.241 2.839 20 5 CFBDRN CO[C@H](C)CCc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000545692954 281691544 /nfs/dbraw/zinc/69/15/44/281691544.db2.gz IHUFBPGTGYETAM-SNVBAGLBSA-N 0 0 291.307 2.921 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2cccc(Cl)c2Cl)nc1 ZINC000546188048 281706479 /nfs/dbraw/zinc/70/64/79/281706479.db2.gz JWFPPIZDTBBJTA-UHFFFAOYSA-N 0 0 299.117 2.726 20 5 CFBDRN Nc1c(F)c(N2C[C@@H]3CCCC[C@H]3C2)ccc1[N+](=O)[O-] ZINC000548121770 281770346 /nfs/dbraw/zinc/77/03/46/281770346.db2.gz XQJSOEUOABSYBJ-UWVGGRQHSA-N 0 0 279.315 2.943 20 5 CFBDRN Cc1ccc(CCNc2ccc([N+](=O)[O-])c(N)c2F)cn1 ZINC000548449178 281785533 /nfs/dbraw/zinc/78/55/33/281785533.db2.gz ZEOGAQQSZXIJLW-UHFFFAOYSA-N 0 0 290.298 2.674 20 5 CFBDRN CC(=O)c1cccc(CNC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000552503401 281863790 /nfs/dbraw/zinc/86/37/90/281863790.db2.gz XKFQVWUSHCIVPN-UHFFFAOYSA-N 0 0 298.298 2.727 20 5 CFBDRN C[C@H](C1CC1)N(C)c1ncnc2sc([N+](=O)[O-])cc21 ZINC000553272912 281874490 /nfs/dbraw/zinc/87/44/90/281874490.db2.gz TUENXHDLNJEJON-SSDOTTSWSA-N 0 0 278.337 2.834 20 5 CFBDRN CCC[C@@H](C)N(C)c1nc(C)cc(C(=O)OC)c1[N+](=O)[O-] ZINC000553276957 281874687 /nfs/dbraw/zinc/87/46/87/281874687.db2.gz UUKBLDVXOJAJJD-SNVBAGLBSA-N 0 0 295.339 2.710 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CCC2(CCO2)CC1 ZINC000554520038 281895522 /nfs/dbraw/zinc/89/55/22/281895522.db2.gz SCAFMLHSYOZQAU-UHFFFAOYSA-N 0 0 299.330 2.902 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)NCCCF ZINC000555011604 281906912 /nfs/dbraw/zinc/90/69/12/281906912.db2.gz KGIYUOAYCUOEDQ-UHFFFAOYSA-N 0 0 269.276 2.638 20 5 CFBDRN CCc1coc(NC(=O)c2ccc(CC)c([N+](=O)[O-])c2)n1 ZINC000555602018 281918171 /nfs/dbraw/zinc/91/81/71/281918171.db2.gz GSWGEBHCASHNGD-UHFFFAOYSA-N 0 0 289.291 2.960 20 5 CFBDRN Cc1nc(N2CCC=C(Br)C2)ccc1[N+](=O)[O-] ZINC000281611515 281922939 /nfs/dbraw/zinc/92/29/39/281922939.db2.gz JDODSCGBLQCHGX-UHFFFAOYSA-N 0 0 298.140 2.787 20 5 CFBDRN Cc1nc(N2CC[C@H]2c2ccccc2)ncc1[N+](=O)[O-] ZINC000450265018 281939401 /nfs/dbraw/zinc/93/94/01/281939401.db2.gz WEZLOPLCPOYMOH-LBPRGKRZSA-N 0 0 270.292 2.645 20 5 CFBDRN CCOc1cc(N[C@@H]2C[C@@H]2OCC)ccc1[N+](=O)[O-] ZINC000559815626 282010839 /nfs/dbraw/zinc/01/08/39/282010839.db2.gz CTIJGBKNGVRHKP-PWSUYJOCSA-N 0 0 266.297 2.583 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@@H](c2ccncc2)C1 ZINC000560615311 282037619 /nfs/dbraw/zinc/03/76/19/282037619.db2.gz LYPJRBLIELAORX-CQSZACIVSA-N 0 0 297.314 2.620 20 5 CFBDRN CCCN(C)c1cc(C)c([N+](=O)[O-])cc1C(=O)OC ZINC000561159190 282048619 /nfs/dbraw/zinc/04/86/19/282048619.db2.gz NPBKQLQMIAAFTA-UHFFFAOYSA-N 0 0 266.297 2.536 20 5 CFBDRN CC(C)CC[C@H]1CCC[C@H]1Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000561162252 282049065 /nfs/dbraw/zinc/04/90/65/282049065.db2.gz TXUDJGCAMCHQJK-GHMZBOCLSA-N 0 0 293.371 2.814 20 5 CFBDRN CC(=Cc1cccc([N+](=O)[O-])c1)CNc1c[nH]cn1 ZINC000561411817 282054515 /nfs/dbraw/zinc/05/45/15/282054515.db2.gz QKUATYAVHFXELW-YHYXMXQVSA-N 0 0 258.281 2.833 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C1(OC)CCCC1 ZINC000562333369 282074799 /nfs/dbraw/zinc/07/47/99/282074799.db2.gz SFHQRONCQNQOFE-UHFFFAOYSA-N 0 0 294.307 2.501 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H]1[C@@H](C)[C@@H](OC)C1(C)C ZINC000361042815 288677498 /nfs/dbraw/zinc/67/74/98/288677498.db2.gz MLVCGLVQLNILJM-FBIMIBRVSA-N 0 0 296.371 2.591 20 5 CFBDRN C[C@@]1(CNc2ccc(N)cc2[N+](=O)[O-])CCCC[C@H]1O ZINC000288536090 289175800 /nfs/dbraw/zinc/17/58/00/289175800.db2.gz UVOYYKLALKDPPH-KGLIPLIRSA-N 0 0 279.340 2.530 20 5 CFBDRN Cc1c(C(=O)N2C[C@H]3CCC[C@@H]3C2)cccc1[N+](=O)[O-] ZINC000152555717 289849746 /nfs/dbraw/zinc/84/97/46/289849746.db2.gz WBQPEGGFQVCYLW-VXGBXAGGSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cscc1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000154280789 289890058 /nfs/dbraw/zinc/89/00/58/289890058.db2.gz ZWKSIEUYMOEFDV-UHFFFAOYSA-N 0 0 292.316 2.982 20 5 CFBDRN CC1(C)CC(=O)N(Cc2c(Cl)cccc2[N+](=O)[O-])C1=O ZINC000155338726 289916617 /nfs/dbraw/zinc/91/66/17/289916617.db2.gz DEPIGCFXDLESMJ-UHFFFAOYSA-N 0 0 296.710 2.533 20 5 CFBDRN Cc1ccc(CO)cc1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000156404870 289937899 /nfs/dbraw/zinc/93/78/99/289937899.db2.gz GBPRWHHEGOEXFD-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN C[C@@H](N(C)C(=O)c1cccc([N+](=O)[O-])c1N)C(C)(C)C ZINC000157309717 289953189 /nfs/dbraw/zinc/95/31/89/289953189.db2.gz FLFHLZMHSYNDHY-SECBINFHSA-N 0 0 279.340 2.684 20 5 CFBDRN Cc1cnc(NCCOC(C)(C)C)c([N+](=O)[O-])c1 ZINC000308527712 290012382 /nfs/dbraw/zinc/01/23/82/290012382.db2.gz JTFSLTUDGRTCKY-UHFFFAOYSA-N 0 0 253.302 2.525 20 5 CFBDRN C[C@@H]1C[C@@H](COc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000447299081 290031745 /nfs/dbraw/zinc/03/17/45/290031745.db2.gz NPYNSAXXRBAURZ-ZJUUUORDSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CO1 ZINC000563046768 290097773 /nfs/dbraw/zinc/09/77/73/290097773.db2.gz HOCPYFNNCZPLIZ-SFYZADRCSA-N 0 0 299.714 2.547 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCOC[C@@H]1C1CCC1 ZINC000563083373 290101606 /nfs/dbraw/zinc/10/16/06/290101606.db2.gz JELAXKOQNGFGLV-OAHLLOKOSA-N 0 0 276.336 2.596 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CCC(=O)C2)n1 ZINC000563122415 290105608 /nfs/dbraw/zinc/10/56/08/290105608.db2.gz NIAQLTIKIJREGI-SECBINFHSA-N 0 0 287.275 2.790 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[NH+]1CCC(C(=O)[O-])CC1 ZINC000563126131 290106028 /nfs/dbraw/zinc/10/60/28/290106028.db2.gz RTDVRGDYMGWHCS-NSHDSACASA-N 0 0 292.335 2.761 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)NC1(CF)CCC1 ZINC000563143268 290108204 /nfs/dbraw/zinc/10/82/04/290108204.db2.gz WRYFXRNPKMZVPH-UHFFFAOYSA-N 0 0 285.250 2.748 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCC[C@H]1OC ZINC000563427694 290149809 /nfs/dbraw/zinc/14/98/09/290149809.db2.gz NQSLCCRDPAZNIU-ZYHUDNBSSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCC[C@@H]1OC ZINC000563427692 290149957 /nfs/dbraw/zinc/14/99/57/290149957.db2.gz NQSLCCRDPAZNIU-PWSUYJOCSA-N 0 0 280.324 2.830 20 5 CFBDRN CCOc1cccc(N(C)C2CCOCC2)c1[N+](=O)[O-] ZINC000564598092 290217618 /nfs/dbraw/zinc/21/76/18/290217618.db2.gz DVWIZYVCAIUWNM-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cc(N2CCC[C@H]([C@@H](C)O)C2)c(F)cc1[N+](=O)[O-] ZINC000564637900 290219426 /nfs/dbraw/zinc/21/94/26/290219426.db2.gz FKUMYFZDVJVREH-MNOVXSKESA-N 0 0 282.315 2.640 20 5 CFBDRN O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)C1=CCCC1 ZINC000564768887 290230699 /nfs/dbraw/zinc/23/06/99/290230699.db2.gz LEINXYNIWGHUTR-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN CC/C=C/CCn1nc(-c2ccccc2[N+](=O)[O-])oc1=O ZINC000564953066 290251989 /nfs/dbraw/zinc/25/19/89/290251989.db2.gz FSPVGBSRWBMHPE-ONEGZZNKSA-N 0 0 289.291 2.768 20 5 CFBDRN O=C(NC1(CF)CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000565482546 290303819 /nfs/dbraw/zinc/30/38/19/290303819.db2.gz MTQWBLZPWCXDHH-UHFFFAOYSA-N 0 0 291.282 2.698 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOC[C@H]1C1CCC1 ZINC000565536297 290307966 /nfs/dbraw/zinc/30/79/66/290307966.db2.gz CFIGTBPJLVNYJR-AWEZNQCLSA-N 0 0 280.299 2.739 20 5 CFBDRN Cc1nc(N[C@H]2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000565713557 290319694 /nfs/dbraw/zinc/31/96/94/290319694.db2.gz HTQAAAPTYYESNV-UWVGGRQHSA-N 0 0 253.277 2.991 20 5 CFBDRN COCC1(CCNc2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000566006536 290340203 /nfs/dbraw/zinc/34/02/03/290340203.db2.gz HNEGPIJHRLPDSG-UHFFFAOYSA-N 0 0 280.324 2.832 20 5 CFBDRN CCc1ccc(OC[C@@H](OC)C2CC2)c([N+](=O)[O-])c1 ZINC000566210533 290358037 /nfs/dbraw/zinc/35/80/37/290358037.db2.gz XDSQSTXTYVKJFQ-CQSZACIVSA-N 0 0 265.309 2.961 20 5 CFBDRN Cn1nc(C2CC2)nc1CSc1cccc([N+](=O)[O-])c1 ZINC000567165558 290443849 /nfs/dbraw/zinc/44/38/49/290443849.db2.gz JZNOEQZMDGVNLJ-UHFFFAOYSA-N 0 0 290.348 2.893 20 5 CFBDRN C[C@H]1CCCN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000408163684 290455946 /nfs/dbraw/zinc/45/59/46/290455946.db2.gz DHZZESHQLIFYAJ-JTQLQIEISA-N 0 0 272.308 2.774 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000567750035 290491205 /nfs/dbraw/zinc/49/12/05/290491205.db2.gz FHVHIPJSJOCTPK-CMPLNLGQSA-N 0 0 291.351 2.706 20 5 CFBDRN CC[C@@H](C)C[C@H](Nc1ncc([N+](=O)[O-])cc1F)C(=O)OC ZINC000568387899 290551180 /nfs/dbraw/zinc/55/11/80/290551180.db2.gz VMPKYXMOIZHSNL-KCJUWKMLSA-N 0 0 299.302 2.519 20 5 CFBDRN Cc1cnc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)nc1 ZINC000340720956 292892498 /nfs/dbraw/zinc/89/24/98/292892498.db2.gz QTBBLTBBGWIZHZ-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN Cc1cnc(N(C)C2Cc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000361262009 292900870 /nfs/dbraw/zinc/90/08/70/292900870.db2.gz KXMUJEMFURHMNP-UHFFFAOYSA-N 0 0 283.331 2.902 20 5 CFBDRN Cc1cnccc1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000274587075 292974777 /nfs/dbraw/zinc/97/47/77/292974777.db2.gz MGLKSWRRMDTUKU-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3cccc(C)c3[N+](=O)[O-])C2)c1 ZINC000377977400 293007273 /nfs/dbraw/zinc/00/72/73/293007273.db2.gz MQBBHCKOVHCLGZ-ZDUSSCGKSA-N 0 0 286.335 2.860 20 5 CFBDRN CO[C@H](C)C[N@H+](Cc1cc([O-])ccc1[N+](=O)[O-])C(C)C ZINC000339003208 294269162 /nfs/dbraw/zinc/26/91/62/294269162.db2.gz GJNCMLAJXPZYDW-LLVKDONJSA-N 0 0 282.340 2.546 20 5 CFBDRN C[C@@H]1CN(c2ccc(C(N)=O)cc2[N+](=O)[O-])CC(C)(C)C1 ZINC000343431083 297078337 /nfs/dbraw/zinc/07/83/37/297078337.db2.gz JNDWPIVMUKQQRE-JTQLQIEISA-N 0 0 291.351 2.566 20 5 CFBDRN Nc1nc(N[C@@H]2CCCCC23CCCC3)ncc1[N+](=O)[O-] ZINC000359969716 295026288 /nfs/dbraw/zinc/02/62/88/295026288.db2.gz WLQYVLRUDBARIR-LLVKDONJSA-N 0 0 291.355 2.712 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N(C(C)C)C(C)C)c1 ZINC000110295154 295253064 /nfs/dbraw/zinc/25/30/64/295253064.db2.gz PLLHZUOIMWOCDY-UHFFFAOYSA-N 0 0 280.324 2.862 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@@](C)(OC)C2)c1 ZINC000280541943 295254008 /nfs/dbraw/zinc/25/40/08/295254008.db2.gz SJTNTVGGDFSEPI-CQSZACIVSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CCC[C@](C)(OC)CC2)c1 ZINC000280326488 295254115 /nfs/dbraw/zinc/25/41/15/295254115.db2.gz UJHOBGBDXRSFNA-HNNXBMFYSA-N 0 0 294.351 2.999 20 5 CFBDRN COc1cccc(N2CCSC[C@H](C)C2)c1[N+](=O)[O-] ZINC000277501991 295305525 /nfs/dbraw/zinc/30/55/25/295305525.db2.gz VLUZBDKYLKJFST-SNVBAGLBSA-N 0 0 282.365 2.793 20 5 CFBDRN COc1cccc(N2CC[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC000249280351 295306015 /nfs/dbraw/zinc/30/60/15/295306015.db2.gz GAXKBOWYASBXEH-UWVGGRQHSA-N 0 0 250.298 2.838 20 5 CFBDRN Nc1c(F)c(N2CCCc3occc3C2)ccc1[N+](=O)[O-] ZINC000341129445 295346440 /nfs/dbraw/zinc/34/64/40/295346440.db2.gz SXBUICBMRNFKAH-UHFFFAOYSA-N 0 0 291.282 2.862 20 5 CFBDRN Nc1ccc(N2CCC(C3CC3)CC2)c([N+](=O)[O-])c1 ZINC000368025406 295352991 /nfs/dbraw/zinc/35/29/91/295352991.db2.gz NCKYHZZPFQRRFK-UHFFFAOYSA-N 0 0 261.325 2.803 20 5 CFBDRN Nc1ccc(N[C@@H]2CCC[C@H]3OCC[C@H]32)c([N+](=O)[O-])c1 ZINC000283939108 295354519 /nfs/dbraw/zinc/35/45/19/295354519.db2.gz XXLKRRNUVHWRNF-MISXGVKJSA-N 0 0 277.324 2.547 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CC[C@H](Oc3ccccc3)C2)c1 ZINC000369216071 295356680 /nfs/dbraw/zinc/35/66/80/295356680.db2.gz SLFRMVKALGECNJ-AWEZNQCLSA-N 0 0 299.330 2.835 20 5 CFBDRN O=C(C1CCSCC1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000334404016 295453445 /nfs/dbraw/zinc/45/34/45/295453445.db2.gz PEWKYYKSQDNIPZ-UHFFFAOYSA-N 0 0 292.360 2.627 20 5 CFBDRN O=C(NC1CC1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] ZINC000350900728 295607253 /nfs/dbraw/zinc/60/72/53/295607253.db2.gz XXPSTOUJHZZLPT-UHFFFAOYSA-N 0 0 283.287 2.549 20 5 CFBDRN CS[C@@H](CO)[C@@H](C)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000228324540 295635461 /nfs/dbraw/zinc/63/54/61/295635461.db2.gz JZQPSUFRSJMSSJ-XCBNKYQSSA-N 0 0 290.772 2.773 20 5 CFBDRN C[C@@H]1C[C@H](C)N(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000334231572 297366476 /nfs/dbraw/zinc/36/64/76/297366476.db2.gz LNPQWYOXRLWIOL-ZJUUUORDSA-N 0 0 287.319 2.947 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000335914532 297688193 /nfs/dbraw/zinc/68/81/93/297688193.db2.gz FMFOASMWOZYYRP-VHSXEESVSA-N 0 0 287.319 2.947 20 5 CFBDRN O=C(c1ccncc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129642749 304580826 /nfs/dbraw/zinc/58/08/26/304580826.db2.gz KLKCMQSLYMNZPT-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN C[C@H]1COC(C)(C)CN1c1ccccc1[N+](=O)[O-] ZINC000408354672 300335678 /nfs/dbraw/zinc/33/56/78/300335678.db2.gz MFMAMRBHHHWTFZ-JTQLQIEISA-N 0 0 250.298 2.599 20 5 CFBDRN C[C@H]1COCCN(c2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000378263118 300340495 /nfs/dbraw/zinc/34/04/95/300340495.db2.gz YGXBMTMPHRLEAP-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@]1(CCCO)CCCN1c1ccccc1[N+](=O)[O-] ZINC000271155503 300793596 /nfs/dbraw/zinc/79/35/96/300793596.db2.gz MYMVALXMAGCZCM-CQSZACIVSA-N 0 0 264.325 2.726 20 5 CFBDRN Cc1conc1NC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000934997506 649863749 /nfs/dbraw/zinc/86/37/49/649863749.db2.gz RCQYNWVJFHPNQX-NWDGAFQWSA-N 0 0 287.275 2.633 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCC(=O)OC(C)(C)C)n1 ZINC000360885933 301856317 /nfs/dbraw/zinc/85/63/17/301856317.db2.gz TYQJGDBKTXGASN-UHFFFAOYSA-N 0 0 295.339 2.832 20 5 CFBDRN Cc1cccc(N(C)[C@@H]2CCCOC2)c1[N+](=O)[O-] ZINC000338719902 301994037 /nfs/dbraw/zinc/99/40/37/301994037.db2.gz AXBYZEUVRHXWQV-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC(C)(C)C1 ZINC000194773002 302037478 /nfs/dbraw/zinc/03/74/78/302037478.db2.gz VIFCLIBDHAEUQK-UHFFFAOYSA-N 0 0 262.309 2.775 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@H]1C ZINC000277993348 302039840 /nfs/dbraw/zinc/03/98/40/302039840.db2.gz NJDPXABDPFEOBK-KOLCDFICSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccnc(N2CCCO[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000293879979 302270302 /nfs/dbraw/zinc/27/03/02/302270302.db2.gz BIOSWNHJOLSXAL-LBPRGKRZSA-N 0 0 279.340 2.550 20 5 CFBDRN Cc1cnc(N2CCCCC[C@@H]2C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000286302933 302348198 /nfs/dbraw/zinc/34/81/98/302348198.db2.gz JPVJENBCUVZISD-CHWSQXEVSA-N 0 0 293.367 2.818 20 5 CFBDRN Cc1cnc(N2CCC[C@@H](C3CC3)C2)c([N+](=O)[O-])c1 ZINC000288343027 302349047 /nfs/dbraw/zinc/34/90/47/302349047.db2.gz WLHXBZFWYQUUAO-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cnc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])s1 ZINC000152050343 302362583 /nfs/dbraw/zinc/36/25/83/302362583.db2.gz HCLWXGVYIJOXCU-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cn1nccc1[C@H]1CCCN(c2ccccc2[N+](=O)[O-])C1 ZINC000368461755 303089923 /nfs/dbraw/zinc/08/99/23/303089923.db2.gz LFHDUCITDNEAHB-LBPRGKRZSA-N 0 0 286.335 2.712 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCCC2(CCOCC2)C1 ZINC000266217548 304807671 /nfs/dbraw/zinc/80/76/71/304807671.db2.gz HDIXZOLPEIGRHU-UHFFFAOYSA-N 0 0 276.336 2.992 20 5 CFBDRN O=[N+]([O-])c1cc[n+]([O-])c(NCCC2=CCCCC2)c1F ZINC001168770810 782086825 /nfs/dbraw/zinc/08/68/25/782086825.db2.gz LLJCKYXXFWVSGU-UHFFFAOYSA-N 0 0 281.287 2.670 20 5 CFBDRN COc1cc(C(=O)NCCCC(C)C)ccc1[N+](=O)[O-] ZINC000153918626 322321151 /nfs/dbraw/zinc/32/11/51/322321151.db2.gz XHPDHXPORWACNL-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CCC(CC)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cn1 ZINC000159621440 322325325 /nfs/dbraw/zinc/32/53/25/322325325.db2.gz PXLOFAOLKUVYGO-SECBINFHSA-N 0 0 297.380 2.775 20 5 CFBDRN CC(C)OCCCSc1ccc([N+](=O)[O-])cn1 ZINC000159747399 322327507 /nfs/dbraw/zinc/32/75/07/322327507.db2.gz XYBIDWOXNQTIKU-UHFFFAOYSA-N 0 0 256.327 2.897 20 5 CFBDRN CCCc1nc(CSc2ccc([N+](=O)[O-])cn2)no1 ZINC000160311395 322336449 /nfs/dbraw/zinc/33/64/49/322336449.db2.gz PRXAQULOVGPZLB-UHFFFAOYSA-N 0 0 280.309 2.618 20 5 CFBDRN O=C(c1cccs1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161290436 322349919 /nfs/dbraw/zinc/34/99/19/322349919.db2.gz AOOMSCSXXPWMND-UHFFFAOYSA-N 0 0 288.328 2.855 20 5 CFBDRN CCC(CC)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161350194 322350485 /nfs/dbraw/zinc/35/04/85/322350485.db2.gz OFDKAGAXXLCILF-UHFFFAOYSA-N 0 0 276.336 2.916 20 5 CFBDRN O=C(CCC1CC1)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161389229 322350841 /nfs/dbraw/zinc/35/08/41/322350841.db2.gz FDZLKJODGSZUTR-UHFFFAOYSA-N 0 0 274.320 2.670 20 5 CFBDRN CNc1ccc(C(=O)N2CC[C@@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000161949139 322358831 /nfs/dbraw/zinc/35/88/31/322358831.db2.gz YNWLCYROZATZIE-GHMZBOCLSA-N 0 0 291.351 2.755 20 5 CFBDRN Cc1ccc(NC(=O)N2CCS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000170902493 322395942 /nfs/dbraw/zinc/39/59/42/322395942.db2.gz XWNTYYITAVRLOY-SNVBAGLBSA-N 0 0 295.364 2.872 20 5 CFBDRN Cc1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000171296439 322403919 /nfs/dbraw/zinc/40/39/19/322403919.db2.gz OIQRBNYATAUNAJ-UHFFFAOYSA-N 0 0 260.249 2.773 20 5 CFBDRN CCc1ccc(Oc2ncnc3c2cnn3C)c([N+](=O)[O-])c1 ZINC000172281806 322422421 /nfs/dbraw/zinc/42/24/21/322422421.db2.gz KSAUVZCJVNQOEV-UHFFFAOYSA-N 0 0 299.290 2.626 20 5 CFBDRN CC(C)[C@@H](C)CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000172444595 322425125 /nfs/dbraw/zinc/42/51/25/322425125.db2.gz XPKMYELMXDSAIL-JTQLQIEISA-N 0 0 250.298 2.617 20 5 CFBDRN CO[C@H](C)[C@H](C)Nc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432169555 322455440 /nfs/dbraw/zinc/45/54/40/322455440.db2.gz LOEPKHRVJQNAQO-VHSXEESVSA-N 0 0 275.308 2.978 20 5 CFBDRN C[C@H]1C[C@H]1CC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000569535968 322479284 /nfs/dbraw/zinc/47/92/84/322479284.db2.gz YVUMHYLXKOMYFL-JQWIXIFHSA-N 0 0 274.320 2.526 20 5 CFBDRN CCOC1(C)CCN(c2c(OC)cccc2[N+](=O)[O-])CC1 ZINC000569744266 322494004 /nfs/dbraw/zinc/49/40/04/322494004.db2.gz LVSBSGWCFBPWGT-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN COC(=O)c1cc(N[C@H]2CC[C@@H]2C)cc(C)c1[N+](=O)[O-] ZINC000570233819 322521838 /nfs/dbraw/zinc/52/18/38/322521838.db2.gz PARJYLBDQDIERN-UFBFGSQYSA-N 0 0 278.308 2.900 20 5 CFBDRN COCc1cc(Oc2cc(C)c([N+](=O)[O-])cc2F)ncn1 ZINC000571108720 322569924 /nfs/dbraw/zinc/56/99/24/322569924.db2.gz WQJWQJUNLGVXTD-UHFFFAOYSA-N 0 0 293.254 2.771 20 5 CFBDRN Cc1cc(COc2cccc(C)c2[N+](=O)[O-])n(C)n1 ZINC000571939481 322601637 /nfs/dbraw/zinc/60/16/37/322601637.db2.gz NNRNSEVNNZHAHJ-UHFFFAOYSA-N 0 0 261.281 2.524 20 5 CFBDRN CCCC[C@H](CCC)CNC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000571942547 322601540 /nfs/dbraw/zinc/60/15/40/322601540.db2.gz LTDUKMOCPGTQBF-JTQLQIEISA-N 0 0 282.344 2.654 20 5 CFBDRN Cc1nnsc1COc1ccc(C)cc1[N+](=O)[O-] ZINC000572800478 322632439 /nfs/dbraw/zinc/63/24/39/322632439.db2.gz MMRVLLFAPRFQFY-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@@H](C)[C@H]2C)ncc1[N+](=O)[O-] ZINC000572949243 322638023 /nfs/dbraw/zinc/63/80/23/322638023.db2.gz WYLMBUQGEXIVGD-FXPVBKGRSA-N 0 0 264.329 2.930 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H]1C(C)C ZINC000572963450 322639015 /nfs/dbraw/zinc/63/90/15/322639015.db2.gz CRVUOFIQFZTEGQ-MNOVXSKESA-N 0 0 277.324 2.867 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCC[C@H](F)C2)c1[N+](=O)[O-] ZINC000573440788 322656254 /nfs/dbraw/zinc/65/62/54/322656254.db2.gz ZVDWMBHYWVOHLW-IUCAKERBSA-N 0 0 270.308 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OCCOC(C)(C)C)c1 ZINC000573919397 322673933 /nfs/dbraw/zinc/67/39/33/322673933.db2.gz JUIQWZWFIZXOSO-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN Cc1nnsc1COc1ccc([N+](=O)[O-])c(C)c1 ZINC000574830905 322707865 /nfs/dbraw/zinc/70/78/65/322707865.db2.gz ZXTBCRVUDZVCPK-UHFFFAOYSA-N 0 0 265.294 2.642 20 5 CFBDRN Cc1noc([C@H](C)N(C)c2ncc([N+](=O)[O-])cc2Cl)n1 ZINC000575762257 322728750 /nfs/dbraw/zinc/72/87/50/322728750.db2.gz NDYZXNPZPFMYCV-LURJTMIESA-N 0 0 297.702 2.532 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000179247735 323738484 /nfs/dbraw/zinc/73/84/84/323738484.db2.gz NJXGMHXGVLIUSX-SNVBAGLBSA-N 0 0 289.335 2.876 20 5 CFBDRN Cc1cncc(CNC(=O)Nc2cccc([N+](=O)[O-])c2)c1 ZINC000179292418 323740797 /nfs/dbraw/zinc/74/07/97/323740797.db2.gz IFUZKEGIXSYAKV-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN O=C(CSc1ncccc1[N+](=O)[O-])Cc1ccccc1 ZINC000182004500 323837730 /nfs/dbraw/zinc/83/77/30/323837730.db2.gz WGFMXOHYZDYDFY-UHFFFAOYSA-N 0 0 288.328 2.894 20 5 CFBDRN O=c1ccn(CCSc2ccccc2F)cc1[N+](=O)[O-] ZINC000182891078 323887749 /nfs/dbraw/zinc/88/77/49/323887749.db2.gz FUHLDLIZDGBYQR-UHFFFAOYSA-N 0 0 294.307 2.688 20 5 CFBDRN Cc1ccnc(NC(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000184149047 323936635 /nfs/dbraw/zinc/93/66/35/323936635.db2.gz NIOLFETVZPADAC-UHFFFAOYSA-N 0 0 286.291 2.620 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000184204490 323938162 /nfs/dbraw/zinc/93/81/62/323938162.db2.gz LIHFJDCWIMBGCL-QWHCGFSZSA-N 0 0 262.309 2.503 20 5 CFBDRN Cc1cccc(N(C)CCOCC2CC2)c1[N+](=O)[O-] ZINC000188387108 324014279 /nfs/dbraw/zinc/01/42/79/324014279.db2.gz DGBSGRXLWIJJHK-UHFFFAOYSA-N 0 0 264.325 2.766 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(-c2nc(-c3ccsc3)no2)n1 ZINC000191677515 324052875 /nfs/dbraw/zinc/05/28/75/324052875.db2.gz KHPIWGUANLEUFG-UHFFFAOYSA-N 0 0 291.292 2.590 20 5 CFBDRN CN(Cc1ccccn1)Cc1ccccc1[N+](=O)[O-] ZINC000192639988 324062064 /nfs/dbraw/zinc/06/20/64/324062064.db2.gz FCHCBVGVRMFOLI-UHFFFAOYSA-N 0 0 257.293 2.622 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@H](O)Cc2ccccc2)c1 ZINC000192830487 324064906 /nfs/dbraw/zinc/06/49/06/324064906.db2.gz PURCRADEEHILMJ-CQSZACIVSA-N 0 0 273.288 2.577 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H]1CC=CCC1 ZINC000193580636 324074009 /nfs/dbraw/zinc/07/40/09/324074009.db2.gz NFVSITDGDNCWRX-NSHDSACASA-N 0 0 290.319 2.576 20 5 CFBDRN CN1C(=O)Cc2cc(NCc3ccc([N+](=O)[O-])cc3)ccc21 ZINC000193667494 324074727 /nfs/dbraw/zinc/07/47/27/324074727.db2.gz XHIWTZBGHFSEIC-UHFFFAOYSA-N 0 0 297.314 2.726 20 5 CFBDRN Cc1cnc(CNC(=O)c2c(C)cccc2[N+](=O)[O-])s1 ZINC000194763301 324094391 /nfs/dbraw/zinc/09/43/91/324094391.db2.gz ZCDMZMVZQCAQDF-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CCCc1ccc(Cn2cc([N+](=O)[O-])ccc2=O)cc1 ZINC000194775546 324095333 /nfs/dbraw/zinc/09/53/33/324095333.db2.gz VFSJTDDZEZBNBO-UHFFFAOYSA-N 0 0 272.304 2.757 20 5 CFBDRN COc1ccc(OCCOC(C)(C)C)c([N+](=O)[O-])c1 ZINC000195219931 324103755 /nfs/dbraw/zinc/10/37/55/324103755.db2.gz FIDWMUZTGLGVRR-UHFFFAOYSA-N 0 0 269.297 2.797 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(-c3cncs3)n2)c1 ZINC000350546314 324227636 /nfs/dbraw/zinc/22/76/36/324227636.db2.gz WSJCEKJKJPSZFN-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN CCc1cc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)n[nH]1 ZINC000350840626 324266201 /nfs/dbraw/zinc/26/62/01/324266201.db2.gz YJNRIPPJODCBSA-UHFFFAOYSA-N 0 0 291.292 2.659 20 5 CFBDRN CCc1ocnc1-c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000350839706 324266212 /nfs/dbraw/zinc/26/62/12/324266212.db2.gz RLWLPBNLLKDCAH-UHFFFAOYSA-N 0 0 292.276 2.924 20 5 CFBDRN C[C@@H](Cc1nc(-c2c([N+](=O)[O-])ncn2C)no1)C(C)(C)C ZINC000350898514 324279261 /nfs/dbraw/zinc/27/92/61/324279261.db2.gz IIRPKJMIBLJOSZ-QMMMGPOBSA-N 0 0 293.327 2.603 20 5 CFBDRN Cc1nc([N+](=O)[O-])c(-c2noc(-c3ccc(C)cc3)n2)n1C ZINC000350918956 324282456 /nfs/dbraw/zinc/28/24/56/324282456.db2.gz BTROARAODFLDDJ-UHFFFAOYSA-N 0 0 299.290 2.662 20 5 CFBDRN CCC(CC)c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 ZINC000350927270 324284250 /nfs/dbraw/zinc/28/42/50/324284250.db2.gz QQQBJGLXYMXSAD-UHFFFAOYSA-N 0 0 279.300 2.590 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C/[C@@H]3CCOC3)n2)cc1 ZINC000351080585 324306131 /nfs/dbraw/zinc/30/61/31/324306131.db2.gz PKXGITJKBUGHJA-OZNLJZBPSA-N 0 0 287.275 2.695 20 5 CFBDRN Cc1c(Cc2noc([C@H]3CC3(F)F)n2)cccc1[N+](=O)[O-] ZINC000351096513 324310431 /nfs/dbraw/zinc/31/04/31/324310431.db2.gz IFZXINLCUZHORE-SECBINFHSA-N 0 0 295.245 3.000 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCOCC3)n2)o1 ZINC000351139964 324324275 /nfs/dbraw/zinc/32/42/75/324324275.db2.gz AYMFAWLYUNCMDF-QMMMGPOBSA-N 0 0 279.252 2.522 20 5 CFBDRN Cc1c(-c2noc(C3CCOCC3)n2)cccc1[N+](=O)[O-] ZINC000351140760 324325243 /nfs/dbraw/zinc/32/52/43/324325243.db2.gz CCVRZQGIXMAEHI-UHFFFAOYSA-N 0 0 289.291 2.847 20 5 CFBDRN CCN(CC)Cc1nc(-c2cccc([N+](=O)[O-])c2C)no1 ZINC000351145343 324326512 /nfs/dbraw/zinc/32/65/12/324326512.db2.gz JVQUDKXZHGVQLA-UHFFFAOYSA-N 0 0 290.323 2.795 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCSC3)n2)o1 ZINC000351145688 324326691 /nfs/dbraw/zinc/32/66/91/324326691.db2.gz KCLKGSQKYHILGV-ZETCQYMHSA-N 0 0 281.293 2.848 20 5 CFBDRN Cc1cc(-c2noc([C@]3(C)CCCO3)n2)cc([N+](=O)[O-])c1 ZINC000351149997 324329050 /nfs/dbraw/zinc/32/90/50/324329050.db2.gz RVAXHNXIBLTNOM-AWEZNQCLSA-N 0 0 289.291 2.979 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(C[C@H]3C=CCC3)n2)o1 ZINC000351153414 324330976 /nfs/dbraw/zinc/33/09/76/324330976.db2.gz YJMLJGKDSUEGTP-QMMMGPOBSA-N 0 0 261.237 2.747 20 5 CFBDRN C[C@H](CC(F)F)c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000351192410 324343674 /nfs/dbraw/zinc/34/36/74/324343674.db2.gz QLGKWJRSZOEYAH-ZCFIWIBFSA-N 0 0 298.249 2.986 20 5 CFBDRN C[C@@H]1CCCC[C@H]1c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000351292840 324372972 /nfs/dbraw/zinc/37/29/72/324372972.db2.gz MZYIRCWFXRQUJG-RKDXNWHRSA-N 0 0 291.311 2.672 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1-c1nc([C@H]2CCOC2)no1 ZINC000351320829 324383253 /nfs/dbraw/zinc/38/32/53/324383253.db2.gz GNPYACBEDFMRNS-JTQLQIEISA-N 0 0 289.291 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CC34CC4)n2)s1 ZINC000351345921 324388863 /nfs/dbraw/zinc/38/88/63/324388863.db2.gz YJAAPTOONXRPTO-ZCFIWIBFSA-N 0 0 263.278 2.974 20 5 CFBDRN CNc1ccc(C(=O)NC2(C(C)C)CC2)cc1[N+](=O)[O-] ZINC000379450043 324403143 /nfs/dbraw/zinc/40/31/43/324403143.db2.gz KJFCJQXFJJSHEA-UHFFFAOYSA-N 0 0 277.324 2.555 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCC2(C)C)c1 ZINC000380360794 324409694 /nfs/dbraw/zinc/40/96/94/324409694.db2.gz NLNXCGDQUOQEML-LBPRGKRZSA-N 0 0 278.308 2.982 20 5 CFBDRN Cc1cc(N[C@@H](CO)C2CCCC2)ccc1[N+](=O)[O-] ZINC000386040131 324471990 /nfs/dbraw/zinc/47/19/90/324471990.db2.gz SOLSJJISXAOFPF-ZDUSSCGKSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1nnc(CNc2ccc([N+](=O)[O-])cc2C)s1 ZINC000391971091 324527406 /nfs/dbraw/zinc/52/74/06/324527406.db2.gz YHMQTUNCPXBOLB-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CNc1ccc(C(=O)N[C@H](C)CCC2CC2)cc1[N+](=O)[O-] ZINC000398143116 324549484 /nfs/dbraw/zinc/54/94/84/324549484.db2.gz PHTRAWKJQNXYRM-SNVBAGLBSA-N 0 0 291.351 2.945 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(CF)CCC1 ZINC000576281006 324598221 /nfs/dbraw/zinc/59/82/21/324598221.db2.gz PYXOLWOJLIZFBC-UHFFFAOYSA-N 0 0 280.299 2.693 20 5 CFBDRN COC(C)(C)CN(C)c1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000576513576 324624814 /nfs/dbraw/zinc/62/48/14/324624814.db2.gz KRMCLHLBWLWJSB-UHFFFAOYSA-N 0 0 293.367 2.730 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@@H](C2CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000578293055 324835475 /nfs/dbraw/zinc/83/54/75/324835475.db2.gz XBSJOWMWMNCMBY-BXUZGUMPSA-N 0 0 288.347 2.957 20 5 CFBDRN Cc1cccc(CNC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 ZINC000578480080 324855475 /nfs/dbraw/zinc/85/54/75/324855475.db2.gz SYHZOZHKVGHZLW-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1nn(Cc2ccc3ncccc3c2)cc1[N+](=O)[O-] ZINC000578477604 324855698 /nfs/dbraw/zinc/85/56/98/324855698.db2.gz QXANOLKCDAVHFW-UHFFFAOYSA-N 0 0 268.276 2.696 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1C1CCC1 ZINC000578536985 324863087 /nfs/dbraw/zinc/86/30/87/324863087.db2.gz SSQXOQIMLDVION-QWHCGFSZSA-N 0 0 260.293 2.970 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)CCC(C)(C)O)cc1[N+](=O)[O-] ZINC000578592993 324867596 /nfs/dbraw/zinc/86/75/96/324867596.db2.gz HPBYIYJWCFPKHS-LLVKDONJSA-N 0 0 294.351 2.632 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@@H]2OCC[C@@H]2C1 ZINC000578743905 324884535 /nfs/dbraw/zinc/88/45/35/324884535.db2.gz VFVARVVSNPEIGK-YPMHNXCESA-N 0 0 262.309 2.518 20 5 CFBDRN C[C@@H](CC(C)(C)O)Nc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000579347430 324942449 /nfs/dbraw/zinc/94/24/49/324942449.db2.gz MDPUOEFAKQZHFU-JTQLQIEISA-N 0 0 293.367 2.830 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](C)n1ccnc1 ZINC000579958121 324994325 /nfs/dbraw/zinc/99/43/25/324994325.db2.gz RVFFXKTXUWSIGM-LLVKDONJSA-N 0 0 288.307 2.690 20 5 CFBDRN C[C@@H](NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21)C(C)(C)C ZINC000580081931 325005320 /nfs/dbraw/zinc/00/53/20/325005320.db2.gz JAVIYHHMOBTREF-MRVPVSSYSA-N 0 0 290.323 2.636 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCOC[C@H]1C ZINC000580580422 325048298 /nfs/dbraw/zinc/04/82/98/325048298.db2.gz BJNHQLKMMYAHPH-GHMZBOCLSA-N 0 0 280.324 2.688 20 5 CFBDRN COC(=O)[C@@H](CNc1ccc(F)cc1[N+](=O)[O-])CC1CC1 ZINC000580719204 325058828 /nfs/dbraw/zinc/05/88/28/325058828.db2.gz RAFXZSZNYTUAHE-SNVBAGLBSA-N 0 0 296.298 2.735 20 5 CFBDRN Cc1cc(N[C@H]2CC[C@@H]2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000581029447 325084514 /nfs/dbraw/zinc/08/45/14/325084514.db2.gz YOYBCSLESXHAKQ-HZMBPMFUSA-N 0 0 298.346 2.993 20 5 CFBDRN CC(=O)c1cc(COc2ccc(C)cc2[N+](=O)[O-])on1 ZINC000581704896 325143655 /nfs/dbraw/zinc/14/36/55/325143655.db2.gz ZNUUKSMGZQAPRS-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN CC[C@H]1CN(c2c(OC)cccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000582013353 325169537 /nfs/dbraw/zinc/16/95/37/325169537.db2.gz IZHXSNDDXOWMLL-MNOVXSKESA-N 0 0 280.324 2.607 20 5 CFBDRN O=C(NCc1noc2ccccc12)c1cccc([N+](=O)[O-])c1 ZINC000582156046 325180360 /nfs/dbraw/zinc/18/03/60/325180360.db2.gz BRXJBXUSJBPGGX-UHFFFAOYSA-N 0 0 297.270 2.666 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H]2CCC[C@H]21 ZINC000582262703 325190265 /nfs/dbraw/zinc/19/02/65/325190265.db2.gz MPAFALTZMLWZCG-NWDGAFQWSA-N 0 0 278.356 2.889 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1CCCCCF)CCCC2 ZINC000582300479 325193949 /nfs/dbraw/zinc/19/39/49/325193949.db2.gz JNNIPHYCZDLEJX-UHFFFAOYSA-N 0 0 282.315 2.775 20 5 CFBDRN Cc1nc(COc2cc(C)c([N+](=O)[O-])cc2F)co1 ZINC000582496584 325209705 /nfs/dbraw/zinc/20/97/05/325209705.db2.gz GSAZKPSBXJBZEX-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN CCc1nocc1COc1c(F)cccc1[N+](=O)[O-] ZINC000582735964 325230518 /nfs/dbraw/zinc/23/05/18/325230518.db2.gz QRFOCKKMEVQZBY-UHFFFAOYSA-N 0 0 266.228 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3C4CCC3CC4)nc2c1 ZINC000583005325 325251763 /nfs/dbraw/zinc/25/17/63/325251763.db2.gz KBCVMWDYCLBTQO-UHFFFAOYSA-N 0 0 258.281 2.602 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc([C@H]3CCCO3)no2)c1 ZINC000583033803 325254914 /nfs/dbraw/zinc/25/49/14/325254914.db2.gz BTLPJVJMTMDTGS-LLVKDONJSA-N 0 0 291.263 2.505 20 5 CFBDRN C[C@H](C(=O)N[C@@H]1CS[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000583070858 325257346 /nfs/dbraw/zinc/25/73/46/325257346.db2.gz ZXRIRCJUCDMZGV-SCVCMEIPSA-N 0 0 294.376 2.709 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@H](F)C1)c1ccc([N+](=O)[O-])cc1 ZINC000584060330 325328004 /nfs/dbraw/zinc/32/80/04/325328004.db2.gz YJDIVPKCKZZVTE-LBPRGKRZSA-N 0 0 294.326 2.833 20 5 CFBDRN CN(C(=O)C1(CF)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000584173805 325335818 /nfs/dbraw/zinc/33/58/18/325335818.db2.gz RSYJKQVQRSDSSM-UHFFFAOYSA-N 0 0 266.272 2.697 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H]1C[C@@H]1C ZINC000584233597 325339862 /nfs/dbraw/zinc/33/98/62/325339862.db2.gz BBBDGEBOXDFHHO-IUCAKERBSA-N 0 0 263.297 2.621 20 5 CFBDRN CCn1cc(N[C@H]2CCc3c2cccc3[N+](=O)[O-])cn1 ZINC000584242072 325340841 /nfs/dbraw/zinc/34/08/41/325340841.db2.gz GEEPBAHPCBGKBC-ZDUSSCGKSA-N 0 0 272.308 2.911 20 5 CFBDRN CCOC[C@H]1CCCN(c2c(OC)cccc2[N+](=O)[O-])C1 ZINC000584242080 325341022 /nfs/dbraw/zinc/34/10/22/325341022.db2.gz GGPXYAWWGMHLJA-LBPRGKRZSA-N 0 0 294.351 2.856 20 5 CFBDRN O=c1[nH]c(Cc2ccncn2)nc2cc3ccccc3cc21 ZINC000352306684 328748164 /nfs/dbraw/zinc/74/81/64/328748164.db2.gz OTRBPNITMXNOCC-UHFFFAOYSA-N 0 0 288.310 2.869 20 5 CFBDRN C[C@@H](C(=O)N1c2ccc([N+](=O)[O-])cc2C[C@@H]1C)C1CC1 ZINC000178422191 335431283 /nfs/dbraw/zinc/43/12/83/335431283.db2.gz HGBPYYJHCOOHAK-VHSXEESVSA-N 0 0 274.320 2.919 20 5 CFBDRN CC(C)c1nc(CSc2ncccc2[N+](=O)[O-])no1 ZINC000049418420 519864945 /nfs/dbraw/zinc/86/49/45/519864945.db2.gz GKMFBAZVYKBZPP-UHFFFAOYSA-N 0 0 280.309 2.789 20 5 CFBDRN CC(C)CCOCCn1cc([N+](=O)[O-])c(C2CC2)n1 ZINC000436210874 500608594 /nfs/dbraw/zinc/60/85/94/500608594.db2.gz OTOQORHHAHTNGE-UHFFFAOYSA-N 0 0 267.329 2.731 20 5 CFBDRN CCOC(=O)c1cc(NCC(C)(F)F)ccc1[N+](=O)[O-] ZINC000549575629 500699214 /nfs/dbraw/zinc/69/92/14/500699214.db2.gz COHBHBOVDWWCAL-UHFFFAOYSA-N 0 0 288.250 2.839 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H]1CCOC1(C)C ZINC000596544779 500861798 /nfs/dbraw/zinc/86/17/98/500861798.db2.gz QOEMPIXVQXQJOF-JTQLQIEISA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1ccccc1NCc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000053781334 500986124 /nfs/dbraw/zinc/98/61/24/500986124.db2.gz VSICOWZOBMXRGU-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN C[C@H](CC(F)F)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000413480363 533748706 /nfs/dbraw/zinc/74/87/06/533748706.db2.gz LPNGNHJKQUQHSA-MRVPVSSYSA-N 0 0 284.262 2.775 20 5 CFBDRN C[C@H](CC(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000414724107 533749611 /nfs/dbraw/zinc/74/96/11/533749611.db2.gz DSFNXTZZFBUFHN-SSDOTTSWSA-N 0 0 258.224 2.825 20 5 CFBDRN COc1cccc([C@@H]2C[C@H]2CNc2ccncc2[N+](=O)[O-])c1 ZINC000413572012 533746548 /nfs/dbraw/zinc/74/65/48/533746548.db2.gz JHYKJZIFPDOYJD-JSGCOSHPSA-N 0 0 299.330 2.636 20 5 CFBDRN C[C@H](CC(F)F)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000413023457 533751890 /nfs/dbraw/zinc/75/18/90/533751890.db2.gz JBXLTDKVCJXUPJ-SSDOTTSWSA-N 0 0 258.224 2.825 20 5 CFBDRN C[C@@H](O)C[C@H]1CCCCCN1c1ncc([N+](=O)[O-])cc1F ZINC000413283094 533760451 /nfs/dbraw/zinc/76/04/51/533760451.db2.gz RMIARVWMYUUGCY-GHMZBOCLSA-N 0 0 297.330 2.649 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCC[C@@H]2C)cccc1[N+](=O)[O-] ZINC000104609872 533808077 /nfs/dbraw/zinc/80/80/77/533808077.db2.gz UDLJLKLFBKPICY-JOYOIKCWSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1ccc(CCNc2nccc(C)c2[N+](=O)[O-])nc1 ZINC000413359985 533809735 /nfs/dbraw/zinc/80/97/35/533809735.db2.gz YFOJJIPDXPMNTG-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN C[C@@H](CN1CCCC1=O)Nc1cc(Cl)ccc1[N+](=O)[O-] ZINC000279558887 533817021 /nfs/dbraw/zinc/81/70/21/533817021.db2.gz XHGSHRIQZQCTJM-VIFPVBQESA-N 0 0 297.742 2.671 20 5 CFBDRN COc1cccc2c1C[C@@H](Nc1ccc([N+](=O)[O-])cn1)C2 ZINC000413428921 533882453 /nfs/dbraw/zinc/88/24/53/533882453.db2.gz QVVRZZZVZQFKCP-NSHDSACASA-N 0 0 285.303 2.578 20 5 CFBDRN Cc1ccc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)nn1 ZINC000414369890 533902205 /nfs/dbraw/zinc/90/22/05/533902205.db2.gz KFDMKXCXRVJQRT-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN Cc1ccc(CN2CCO[C@@H](C3CCC3)C2)cc1[N+](=O)[O-] ZINC000414476664 533938553 /nfs/dbraw/zinc/93/85/53/533938553.db2.gz XZFKILGHAXMYEQ-MRXNPFEDSA-N 0 0 290.363 2.904 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1CC(=O)C1CCCCCC1 ZINC000413005673 533960416 /nfs/dbraw/zinc/96/04/16/533960416.db2.gz WSYXRBIXVIPVRW-UHFFFAOYSA-N 0 0 292.335 2.604 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1Cc1csc(C(C)C)n1 ZINC000413005952 533961047 /nfs/dbraw/zinc/96/10/47/533961047.db2.gz XPDYBFWAYVIOPO-UHFFFAOYSA-N 0 0 293.348 2.693 20 5 CFBDRN Cc1c[nH]cc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000430899826 533987367 /nfs/dbraw/zinc/98/73/67/533987367.db2.gz NPRUQHJSZSULFI-UHFFFAOYSA-N 0 0 287.319 2.896 20 5 CFBDRN Cc1cc(NCc2nnc(C3CC3)s2)ncc1[N+](=O)[O-] ZINC000413585635 534000309 /nfs/dbraw/zinc/00/03/09/534000309.db2.gz RUQALEXVINPCBJ-UHFFFAOYSA-N 0 0 291.336 2.639 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000429903182 534025025 /nfs/dbraw/zinc/02/50/25/534025025.db2.gz QDSRAWDXGAKOQE-MNOVXSKESA-N 0 0 276.336 2.645 20 5 CFBDRN C[C@@H]1C[C@H]1N(C(=O)c1ccc([N+](=O)[O-])n1C)c1ccccc1 ZINC000415891978 534032515 /nfs/dbraw/zinc/03/25/15/534032515.db2.gz NDENKCZWGFKFRG-BXUZGUMPSA-N 0 0 299.330 2.989 20 5 CFBDRN CS[C@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000130109136 534032587 /nfs/dbraw/zinc/03/25/87/534032587.db2.gz CRAMXTRFMWXZJK-SSDOTTSWSA-N 0 0 272.301 2.814 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)COC(C)C)ccc1[N+](=O)[O-] ZINC000414009599 534050006 /nfs/dbraw/zinc/05/00/06/534050006.db2.gz WXOMYMGNJBOXID-UHFFFAOYSA-N 0 0 294.351 2.837 20 5 CFBDRN Cc1ccc(CNC(C)(C)c2ccccc2[N+](=O)[O-])nn1 ZINC000414449598 534053784 /nfs/dbraw/zinc/05/37/84/534053784.db2.gz CMOZGYYKNGWHMA-UHFFFAOYSA-N 0 0 286.335 2.718 20 5 CFBDRN Cc1ccc(C[NH2+][C@H](C)[C@@H](C(=O)[O-])C(C)C)cc1[N+](=O)[O-] ZINC000424618135 534088313 /nfs/dbraw/zinc/08/83/13/534088313.db2.gz JLCRRZTYZSWCNT-RISCZKNCSA-N 0 0 294.351 2.738 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)COc2ccc([N+](=O)[O-])cc2)C1 ZINC000429258580 534171834 /nfs/dbraw/zinc/17/18/34/534171834.db2.gz HUXXUCUOYHDKGX-DGCLKSJQSA-N 0 0 292.335 2.621 20 5 CFBDRN CCc1cnc(CNc2ncc(Cl)cc2[N+](=O)[O-])o1 ZINC000228871587 536054964 /nfs/dbraw/zinc/05/49/64/536054964.db2.gz RVDKMLGRUCUKSV-UHFFFAOYSA-N 0 0 282.687 2.806 20 5 CFBDRN CC(=O)c1c[nH]c(C(=O)Nc2cc([N+](=O)[O-])ccc2C)c1 ZINC000170813114 518251096 /nfs/dbraw/zinc/25/10/96/518251096.db2.gz XBYCVQATMRXCNQ-UHFFFAOYSA-N 0 0 287.275 2.686 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N1CCCOC(C)(C)C1 ZINC000315147071 518291686 /nfs/dbraw/zinc/29/16/86/518291686.db2.gz GANIGJNVTKWGCW-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(=O)c1ccc(NC[C@](C)(O)C(C)C)c([N+](=O)[O-])c1 ZINC000078620412 518386305 /nfs/dbraw/zinc/38/63/05/518386305.db2.gz YOQWIJNTOZSZLX-AWEZNQCLSA-N 0 0 280.324 2.616 20 5 CFBDRN CC(=O)c1ccc(Sc2ncco2)c([N+](=O)[O-])c1 ZINC000232479418 518410537 /nfs/dbraw/zinc/41/05/37/518410537.db2.gz MLVWGASQSDDGEK-UHFFFAOYSA-N 0 0 264.262 2.937 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)C[C@H]1CCCOC1 ZINC000360910717 518411350 /nfs/dbraw/zinc/41/13/50/518411350.db2.gz YAMYSOBVKDAGTQ-GFCCVEGCSA-N 0 0 292.335 2.660 20 5 CFBDRN CC(C)(C(=O)N1CC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000334835789 518515148 /nfs/dbraw/zinc/51/51/48/518515148.db2.gz ANLKRTDLHNQTAO-SECBINFHSA-N 0 0 298.289 2.582 20 5 CFBDRN CC=Cc1ccc(NC(=O)c2cc([N+](=O)[O-])c[nH]c2=O)cc1 ZINC000360322575 518551921 /nfs/dbraw/zinc/55/19/21/518551921.db2.gz FBUKCPJYMSHFGZ-NSCUHMNNSA-N 0 0 299.286 2.981 20 5 CFBDRN CC(C)(C)CCn1c(=O)onc1-c1ccc([N+](=O)[O-])cc1 ZINC000340676151 518660168 /nfs/dbraw/zinc/66/01/68/518660168.db2.gz VQSYTJXZXXXTSA-UHFFFAOYSA-N 0 0 291.307 2.848 20 5 CFBDRN CC(C)(C)/C=C\C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000491865157 534405696 /nfs/dbraw/zinc/40/56/96/534405696.db2.gz IMCFTFRYYAXDRI-HJWRWDBZSA-N 0 0 292.335 2.692 20 5 CFBDRN CC(C)C[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000354547594 519267455 /nfs/dbraw/zinc/26/74/55/519267455.db2.gz GYFTYBNKEJAMKF-JTQLQIEISA-N 0 0 265.313 2.574 20 5 CFBDRN CC(=O)CCCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000194879926 519406163 /nfs/dbraw/zinc/40/61/63/519406163.db2.gz KKCAETHWEGDWHV-NSHDSACASA-N 0 0 278.308 2.531 20 5 CFBDRN CC(=O)CCCC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C ZINC000194372508 519407467 /nfs/dbraw/zinc/40/74/67/519407467.db2.gz GLBKAEDSIFXBCK-UHFFFAOYSA-N 0 0 278.308 2.909 20 5 CFBDRN CC(=O)CCCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000178461901 519410551 /nfs/dbraw/zinc/41/05/51/519410551.db2.gz HXRUUHPDQAKMRI-UHFFFAOYSA-N 0 0 264.281 2.601 20 5 CFBDRN CC(C)Cc1ccc(C(=O)Cn2nccc2[N+](=O)[O-])cc1 ZINC000057509709 519433637 /nfs/dbraw/zinc/43/36/37/519433637.db2.gz LVPRPQOLTCIYIB-UHFFFAOYSA-N 0 0 287.319 2.873 20 5 CFBDRN CC(C)N(C)C(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000337915591 519590513 /nfs/dbraw/zinc/59/05/13/519590513.db2.gz PDPJCKWMDYNYAL-UHFFFAOYSA-N 0 0 268.338 2.554 20 5 CFBDRN CC(=O)NC1CCC(Nc2c(C)cccc2[N+](=O)[O-])CC1 ZINC000338930709 519646107 /nfs/dbraw/zinc/64/61/07/519646107.db2.gz WVAYPNBERDKVLC-UHFFFAOYSA-N 0 0 291.351 2.762 20 5 CFBDRN CC(C)CCNC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000078595942 519658665 /nfs/dbraw/zinc/65/86/65/519658665.db2.gz AIJMQQHSLXJIQJ-LLVKDONJSA-N 0 0 280.324 2.524 20 5 CFBDRN CC(C)CC[C@H](O)COc1cccc([N+](=O)[O-])c1 ZINC000360686174 519693675 /nfs/dbraw/zinc/69/36/75/519693675.db2.gz QQECGKOWLYFXOQ-LBPRGKRZSA-N 0 0 253.298 2.771 20 5 CFBDRN CC(C)CN(C)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050701276 519708643 /nfs/dbraw/zinc/70/86/43/519708643.db2.gz UHYSDJMOOGNTNE-UHFFFAOYSA-N 0 0 285.731 2.558 20 5 CFBDRN CC(C)CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000338490166 519741390 /nfs/dbraw/zinc/74/13/90/519741390.db2.gz ONOYWBCUCCQDJH-UHFFFAOYSA-N 0 0 290.241 2.999 20 5 CFBDRN CC(C)OCCCCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000182369326 519760985 /nfs/dbraw/zinc/76/09/85/519760985.db2.gz BNVBXNVCBZYIEI-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN CC(C)O[C@@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000361621676 519777192 /nfs/dbraw/zinc/77/71/92/519777192.db2.gz MWJKTNNAZYTALO-NWDGAFQWSA-N 0 0 279.340 2.994 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000361621674 519777392 /nfs/dbraw/zinc/77/73/92/519777392.db2.gz MWJKTNNAZYTALO-NEPJUHHUSA-N 0 0 279.340 2.994 20 5 CFBDRN CC(C)O[C@H]1C[C@H](Nc2ccc([N+](=O)[O-])cn2)C1(C)C ZINC000361621677 519777524 /nfs/dbraw/zinc/77/75/24/519777524.db2.gz MWJKTNNAZYTALO-RYUDHWBXSA-N 0 0 279.340 2.994 20 5 CFBDRN CC(C)[C@@H](C)NC(=O)CSc1cccc([N+](=O)[O-])c1 ZINC000337915761 519791803 /nfs/dbraw/zinc/79/18/03/519791803.db2.gz PLECYBGEMCMPEL-SNVBAGLBSA-N 0 0 282.365 2.848 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000336176569 519802838 /nfs/dbraw/zinc/80/28/38/519802838.db2.gz GFJSRFRKUDRWNC-LLVKDONJSA-N 0 0 262.309 2.713 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000335717664 519802934 /nfs/dbraw/zinc/80/29/34/519802934.db2.gz RMCNPWWKIWLBPP-SNVBAGLBSA-N 0 0 280.299 2.852 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000334457905 519803115 /nfs/dbraw/zinc/80/31/15/519803115.db2.gz QDJGRUBBRRZKJW-LBPRGKRZSA-N 0 0 266.272 2.604 20 5 CFBDRN CC(C)[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000336429479 519825418 /nfs/dbraw/zinc/82/54/18/519825418.db2.gz SDCVHAIMHBLTBC-VIFPVBQESA-N 0 0 268.338 2.774 20 5 CFBDRN CC(C)[C@](C)(O)CNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000314392255 519829734 /nfs/dbraw/zinc/82/97/34/519829734.db2.gz SEVPZVVBMGFINH-OAHLLOKOSA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC[C@H]2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000340028885 519830552 /nfs/dbraw/zinc/83/05/52/519830552.db2.gz XFSQCVIYFDXUOE-NXEZZACHSA-N 0 0 294.355 2.607 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)c1ccncc1 ZINC000074019261 520090461 /nfs/dbraw/zinc/09/04/61/520090461.db2.gz DQLOGTKODKVABP-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN CC1(C)C(C(=O)NCc2ccccc2[N+](=O)[O-])C1(C)C ZINC000174008317 520247354 /nfs/dbraw/zinc/24/73/54/520247354.db2.gz FAAZFTUFKASGKV-UHFFFAOYSA-N 0 0 276.336 2.893 20 5 CFBDRN CCNc1ccc(C(=O)N(CC)CC)cc1[N+](=O)[O-] ZINC000049932003 520281773 /nfs/dbraw/zinc/28/17/73/520281773.db2.gz ODCMAVRUPLONHQ-UHFFFAOYSA-N 0 0 265.313 2.509 20 5 CFBDRN CCNc1ccc(C(=O)N[C@@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000315122918 520291676 /nfs/dbraw/zinc/29/16/76/520291676.db2.gz QGZMQVSVOLZEAI-CYBMUJFWSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1nc(NC(=O)c2cccc([N+](=O)[O-])c2)cc(C2CC2)n1 ZINC000159921707 534485096 /nfs/dbraw/zinc/48/50/96/534485096.db2.gz VOXMKAJFSUNVIF-UHFFFAOYSA-N 0 0 298.302 2.823 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000162632933 520392862 /nfs/dbraw/zinc/39/28/62/520392862.db2.gz RUXBGSOCXARPKT-LLVKDONJSA-N 0 0 262.309 2.903 20 5 CFBDRN CC1(C)CC[C@@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])C1 ZINC000162662815 520395067 /nfs/dbraw/zinc/39/50/67/520395067.db2.gz OGIXCMNRXCLLKD-SNVBAGLBSA-N 0 0 277.324 2.684 20 5 CFBDRN CCCOc1ccc(OCCn2cc([N+](=O)[O-])cn2)cc1 ZINC000074251678 520410191 /nfs/dbraw/zinc/41/01/91/520410191.db2.gz BLUPHBVXKKSJSC-UHFFFAOYSA-N 0 0 291.307 2.659 20 5 CFBDRN Cc1nc(N[C@@H]2C[C@H]2c2ccco2)ccc1[N+](=O)[O-] ZINC000413599667 534496132 /nfs/dbraw/zinc/49/61/32/534496132.db2.gz FKDPUQFKJVFCCS-NXEZZACHSA-N 0 0 259.265 2.859 20 5 CFBDRN CCCSCC(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182402628 520559757 /nfs/dbraw/zinc/55/97/57/520559757.db2.gz ZFMOOPJBUYSKLA-UHFFFAOYSA-N 0 0 268.338 2.985 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1N[C@@H](C1CC1)C1CCC1 ZINC000420641743 534502052 /nfs/dbraw/zinc/50/20/52/534502052.db2.gz UCHPASGSSHTCON-CYBMUJFWSA-N 0 0 289.335 2.684 20 5 CFBDRN CC1(F)CC(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)C1 ZINC000334960517 520752315 /nfs/dbraw/zinc/75/23/15/520752315.db2.gz HIDRUTJZXZVBSK-UHFFFAOYSA-N 0 0 285.250 2.746 20 5 CFBDRN CCCCOCCCNc1ccncc1[N+](=O)[O-] ZINC000066796359 520759882 /nfs/dbraw/zinc/75/98/82/520759882.db2.gz NWGFWFVJIKQEAL-UHFFFAOYSA-N 0 0 253.302 2.609 20 5 CFBDRN CCOc1cc(N[C@H]2CC[C@H](OC)C2)ccc1[N+](=O)[O-] ZINC000231683375 520765837 /nfs/dbraw/zinc/76/58/37/520765837.db2.gz DGZSWIKHQZEQTG-JQWIXIFHSA-N 0 0 280.324 2.973 20 5 CFBDRN CCOc1cc(SC[C@H](C)C(=O)OC)ccc1[N+](=O)[O-] ZINC000090104205 520771579 /nfs/dbraw/zinc/77/15/79/520771579.db2.gz WZFYIHNYMOGEQS-VIFPVBQESA-N 0 0 299.348 2.895 20 5 CFBDRN CCCCOCCNc1c(F)cccc1[N+](=O)[O-] ZINC000306118457 520785915 /nfs/dbraw/zinc/78/59/15/520785915.db2.gz XQYKAOKXZHPQOB-UHFFFAOYSA-N 0 0 256.277 2.963 20 5 CFBDRN O=C([O-])[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)c1ccsc1 ZINC000426793052 534516425 /nfs/dbraw/zinc/51/64/25/534516425.db2.gz OWHLFHSUQKIHQP-LBPRGKRZSA-N 0 0 292.316 2.572 20 5 CFBDRN CCOC(=O)CS[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000066863056 520809772 /nfs/dbraw/zinc/80/97/72/520809772.db2.gz QBVNGSCSGKTZIY-VIFPVBQESA-N 0 0 269.322 2.952 20 5 CFBDRN CCCCO[C@@H](C)C(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000360514218 520816144 /nfs/dbraw/zinc/81/61/44/520816144.db2.gz HDXGRBCJWOAMJS-LBPRGKRZSA-N 0 0 294.351 2.725 20 5 CFBDRN CCC[C@@H]1C[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000158432207 520865845 /nfs/dbraw/zinc/86/58/45/520865845.db2.gz YFQRHIXAMBNHII-MFKMUULPSA-N 0 0 280.299 2.581 20 5 CFBDRN CCOC(=O)C[C@H](C)CNc1cccc(C)c1[N+](=O)[O-] ZINC000338686350 520867753 /nfs/dbraw/zinc/86/77/53/520867753.db2.gz GJAJPCLTCPNWKW-JTQLQIEISA-N 0 0 280.324 2.904 20 5 CFBDRN CC1CCC(N(C)C(=O)c2cc([N+](=O)[O-])ccc2N)CC1 ZINC000050710440 520934831 /nfs/dbraw/zinc/93/48/31/520934831.db2.gz OCHCVKVCORCCPH-UHFFFAOYSA-N 0 0 291.351 2.828 20 5 CFBDRN CCC[C@H](C)NC(=O)[C@H](C)Oc1ccc([N+](=O)[O-])cc1 ZINC000124832496 521056045 /nfs/dbraw/zinc/05/60/45/521056045.db2.gz HSGKWFCJXPZLRB-QWRGUYRKSA-N 0 0 280.324 2.667 20 5 CFBDRN CCC[C@H](CC)NC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000050164740 521081670 /nfs/dbraw/zinc/08/16/70/521081670.db2.gz PPSYBAAHPCRGLA-NSHDSACASA-N 0 0 279.340 2.945 20 5 CFBDRN CCC[C@](C)(CO)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000304753804 521271097 /nfs/dbraw/zinc/27/10/97/521271097.db2.gz YOMDDVIAGONUPR-CQSZACIVSA-N 0 0 295.339 2.576 20 5 CFBDRN Cc1nnc([C@@H](C)Sc2cccc([N+](=O)[O-])c2)[nH]1 ZINC000413003636 534549434 /nfs/dbraw/zinc/54/94/34/534549434.db2.gz ICWFZCRXHCOSBJ-SSDOTTSWSA-N 0 0 264.310 2.875 20 5 CFBDRN CCCCc1nc(COc2cccc([N+](=O)[O-])c2)no1 ZINC000067096132 521306724 /nfs/dbraw/zinc/30/67/24/521306724.db2.gz JPKZOTORJLZMGY-UHFFFAOYSA-N 0 0 277.280 2.899 20 5 CFBDRN CCOc1cccc([C@@H]2C[C@H]2Nc2ccncc2[N+](=O)[O-])c1 ZINC000340913053 521415892 /nfs/dbraw/zinc/41/58/92/521415892.db2.gz VMFMLPWDDBFJHY-DZGCQCFKSA-N 0 0 299.330 2.778 20 5 CFBDRN CCCN(CC)C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000050701090 521603839 /nfs/dbraw/zinc/60/38/39/521603839.db2.gz FTSINQRITSNXKQ-UHFFFAOYSA-N 0 0 285.731 2.703 20 5 CFBDRN CCC(CC)[C@H](O)CNc1cc(OC)ccc1[N+](=O)[O-] ZINC000230905014 521622557 /nfs/dbraw/zinc/62/25/57/521622557.db2.gz VBHXILOLFQVQFE-CQSZACIVSA-N 0 0 282.340 2.812 20 5 CFBDRN CCOC(C)(C)CNc1ccc(F)cc1[N+](=O)[O-] ZINC000159525336 521627251 /nfs/dbraw/zinc/62/72/51/521627251.db2.gz UDVWTCXKPBNMIT-UHFFFAOYSA-N 0 0 256.277 2.961 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000057301176 521816894 /nfs/dbraw/zinc/81/68/94/521816894.db2.gz HTYYKLDYJLNSCV-VHSXEESVSA-N 0 0 280.324 2.768 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000361792744 521999231 /nfs/dbraw/zinc/99/92/31/521999231.db2.gz QHMDTXFBLVPGKI-GWCFXTLKSA-N 0 0 280.324 2.619 20 5 CFBDRN CC[C@@H](C)CSc1nc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000233862852 522012378 /nfs/dbraw/zinc/01/23/78/522012378.db2.gz VKCBYRAUOPVTRH-MRVPVSSYSA-N 0 0 284.337 2.915 20 5 CFBDRN CC[C@@H](C)C[C@@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000088298436 522021312 /nfs/dbraw/zinc/02/13/12/522021312.db2.gz WWADILABFMRYJB-NXEZZACHSA-N 0 0 279.340 2.930 20 5 CFBDRN CCc1cnc(CNc2nc3cc([N+](=O)[O-])ccc3[nH]2)o1 ZINC000234230568 522041409 /nfs/dbraw/zinc/04/14/09/522041409.db2.gz OHNIVRFHNCNNQP-UHFFFAOYSA-N 0 0 287.279 2.634 20 5 CFBDRN CC[C@H](CCO)Nc1ccc([N+](=O)[O-])cc1OC(F)F ZINC000354564036 522168039 /nfs/dbraw/zinc/16/80/39/522168039.db2.gz IYYWVMNBPVHXMF-MRVPVSSYSA-N 0 0 290.266 2.769 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NCCCCF)c1 ZINC000311670815 522176084 /nfs/dbraw/zinc/17/60/84/522176084.db2.gz HIHGDHZEGJKHAA-UHFFFAOYSA-N 0 0 270.260 2.543 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2C(C)(C)C2(C)C)n1 ZINC000166804474 522176860 /nfs/dbraw/zinc/17/68/60/522176860.db2.gz AUEHBUDGMFGXFQ-UHFFFAOYSA-N 0 0 293.323 2.623 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(NC2CCSCC2)c1 ZINC000167325926 522177139 /nfs/dbraw/zinc/17/71/39/522177139.db2.gz VMPVAHVDQNMMIU-UHFFFAOYSA-N 0 0 296.348 2.689 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2CCC(C)(C)C2)n1 ZINC000133004486 522178984 /nfs/dbraw/zinc/17/89/84/522178984.db2.gz JORRXKKGUDGQEX-VIFPVBQESA-N 0 0 293.323 2.767 20 5 CFBDRN CC[C@H](CSC)N(C)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000180967643 522270508 /nfs/dbraw/zinc/27/05/08/522270508.db2.gz YBFCJGCFDDZNDK-MRVPVSSYSA-N 0 0 288.394 2.870 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000057301060 522294096 /nfs/dbraw/zinc/29/40/96/522294096.db2.gz MKDKZQWUHJQJLX-NXEZZACHSA-N 0 0 250.298 2.759 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])c(CO)c1)C1CCCCC1 ZINC000428083446 534626700 /nfs/dbraw/zinc/62/67/00/534626700.db2.gz JOWWUCIYXYRQSZ-UHFFFAOYSA-N 0 0 293.319 2.615 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000353312994 522329341 /nfs/dbraw/zinc/32/93/41/522329341.db2.gz LGKRILLYZSZRKF-NOZJJQNGSA-N 0 0 280.324 2.903 20 5 CFBDRN CCc1nc(CNc2ccc([N+](=O)[O-])nc2)cs1 ZINC000328295638 522360459 /nfs/dbraw/zinc/36/04/59/522360459.db2.gz SSKHUYWNKILMHU-UHFFFAOYSA-N 0 0 264.310 2.621 20 5 CFBDRN CC[C@@H](C)[C@H](O)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000360064505 522395904 /nfs/dbraw/zinc/39/59/04/522395904.db2.gz CVYSWKXFACCYTK-AMIZOPFISA-N 0 0 270.304 2.861 20 5 CFBDRN CN(CC(=O)N(C)c1ccccc1)c1ccccc1[N+](=O)[O-] ZINC000173014870 522422543 /nfs/dbraw/zinc/42/25/43/522422543.db2.gz SAXOYKSZWALRJD-UHFFFAOYSA-N 0 0 299.330 2.694 20 5 CFBDRN COC(C)(C)CCOc1ccc([N+](=O)[O-])cc1F ZINC000340674218 522476453 /nfs/dbraw/zinc/47/64/53/522476453.db2.gz HIFKYZQUKHGTPN-UHFFFAOYSA-N 0 0 257.261 2.928 20 5 CFBDRN COc1cc(CNc2cccc(C)c2[N+](=O)[O-])ccn1 ZINC000218831358 522492248 /nfs/dbraw/zinc/49/22/48/522492248.db2.gz KPJXAAXVKNWSSD-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN COC(C)(C)C[C@@H](C)NC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000173815406 522504886 /nfs/dbraw/zinc/50/48/86/522504886.db2.gz UTNATISQPQAHPO-LLVKDONJSA-N 0 0 294.351 2.837 20 5 CFBDRN CNc1c(C(=O)N(C)CC2CCCC2)cccc1[N+](=O)[O-] ZINC000161485642 522535794 /nfs/dbraw/zinc/53/57/94/522535794.db2.gz YDFKWDVCOWAKFR-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN CNc1c(C(=O)N2CC[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000360945199 522536598 /nfs/dbraw/zinc/53/65/98/522536598.db2.gz QPVHVGLBRSTKMT-NSHDSACASA-N 0 0 277.324 2.507 20 5 CFBDRN CNc1c(C(=O)NCCSC(C)C)cccc1[N+](=O)[O-] ZINC000312384363 522537771 /nfs/dbraw/zinc/53/77/71/522537771.db2.gz FJAAKYQRTZAFPL-UHFFFAOYSA-N 0 0 297.380 2.508 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000050770842 522584926 /nfs/dbraw/zinc/58/49/26/522584926.db2.gz JENYFRYAHNYCCX-GHMZBOCLSA-N 0 0 291.351 2.897 20 5 CFBDRN COC1(CCOc2nc(C)cc(C)c2[N+](=O)[O-])CCC1 ZINC000338562300 522597692 /nfs/dbraw/zinc/59/76/92/522597692.db2.gz JRJZKANSKHVYKD-UHFFFAOYSA-N 0 0 280.324 2.945 20 5 CFBDRN COC1(CCOc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000338518048 522598445 /nfs/dbraw/zinc/59/84/45/522598445.db2.gz VBAPJOJQHNEHBH-UHFFFAOYSA-N 0 0 251.282 2.933 20 5 CFBDRN CN(CC1CCC1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000171892234 522640528 /nfs/dbraw/zinc/64/05/28/522640528.db2.gz XYNMKPMJUAFFHI-UHFFFAOYSA-N 0 0 254.311 2.528 20 5 CFBDRN CCc1nn(C)c(N(C)Cc2cccc(C)c2)c1[N+](=O)[O-] ZINC000354582253 522667207 /nfs/dbraw/zinc/66/72/07/522667207.db2.gz QZTQJLBTEVQGHQ-UHFFFAOYSA-N 0 0 288.351 2.836 20 5 CFBDRN COc1cc(NC[C@@H]2C[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000227536933 522744231 /nfs/dbraw/zinc/74/42/31/522744231.db2.gz ZZJSPNLSPHBIID-YUMQZZPRSA-N 0 0 254.261 2.810 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@H]2OC)c([N+](=O)[O-])cc1F ZINC000340998103 522745985 /nfs/dbraw/zinc/74/59/85/522745985.db2.gz SDFIISPLLVBROX-TVQRCGJNSA-N 0 0 298.314 2.970 20 5 CFBDRN COC(=O)C(C)(C)CNc1cc(C)c([N+](=O)[O-])cc1F ZINC000312868809 522792108 /nfs/dbraw/zinc/79/21/08/522792108.db2.gz YMQUVPSOMFEEOX-UHFFFAOYSA-N 0 0 284.287 2.653 20 5 CFBDRN CN(CCOC(C)(C)C)Cc1ccccc1[N+](=O)[O-] ZINC000195178062 522888082 /nfs/dbraw/zinc/88/80/82/522888082.db2.gz HMLUTDPMKYMVCB-UHFFFAOYSA-N 0 0 266.341 2.842 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1CC[C@@H](C)C1 ZINC000334070249 522975021 /nfs/dbraw/zinc/97/50/21/522975021.db2.gz FCWSUNKYAYPIDN-MNOVXSKESA-N 0 0 292.335 2.769 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccccc1 ZINC000164845805 522977358 /nfs/dbraw/zinc/97/73/58/522977358.db2.gz XXLZHJGBGVMCKI-UHFFFAOYSA-N 0 0 272.260 2.856 20 5 CFBDRN O=C(NCc1ccccc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000158264011 534678721 /nfs/dbraw/zinc/67/87/21/534678721.db2.gz YNKLJFWFSPKQEO-UHFFFAOYSA-N 0 0 274.251 2.664 20 5 CFBDRN COCCCCCNc1nc2sccn2c1[N+](=O)[O-] ZINC000058554293 523134297 /nfs/dbraw/zinc/13/42/97/523134297.db2.gz DANUKCDATSBVPM-UHFFFAOYSA-N 0 0 284.341 2.533 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H]1C(C)C ZINC000360952775 523141291 /nfs/dbraw/zinc/14/12/91/523141291.db2.gz FBTOTWWAWASDKF-LLVKDONJSA-N 0 0 266.345 2.744 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(CCOC)CCC1 ZINC000361055894 523144561 /nfs/dbraw/zinc/14/45/61/523144561.db2.gz FYQIVAWWOAFEPJ-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000050710213 523196336 /nfs/dbraw/zinc/19/63/36/523196336.db2.gz HEVLJKCWOWCBRG-YGRLFVJLSA-N 0 0 291.351 2.876 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2NC)C1 ZINC000161442039 523242784 /nfs/dbraw/zinc/24/27/84/523242784.db2.gz ASFXMUXZGANRAA-LLVKDONJSA-N 0 0 291.351 2.899 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2NC)C1 ZINC000162174714 523329282 /nfs/dbraw/zinc/32/92/82/523329282.db2.gz RJCGVZDZGVFSFZ-SNVBAGLBSA-N 0 0 277.324 2.509 20 5 CFBDRN COC(=O)C[C@H]1CCCCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000050085122 523344689 /nfs/dbraw/zinc/34/46/89/523344689.db2.gz DWTGYDDVPVSVST-GFCCVEGCSA-N 0 0 292.335 2.825 20 5 CFBDRN CC[C@H]1C[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000182577921 523367486 /nfs/dbraw/zinc/36/74/86/523367486.db2.gz LAJKJTOOWCWEHV-GWCFXTLKSA-N 0 0 278.308 2.739 20 5 CFBDRN COCCC[C@@H](C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000182452619 523445155 /nfs/dbraw/zinc/44/51/55/523445155.db2.gz OPZAYMSPLMINGS-GFCCVEGCSA-N 0 0 294.351 2.616 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C)cc2F)n1 ZINC000191454035 523485906 /nfs/dbraw/zinc/48/59/06/523485906.db2.gz BHHYVMJPMSTTKN-UHFFFAOYSA-N 0 0 292.270 2.511 20 5 CFBDRN CCn1ccnc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000051923695 523539384 /nfs/dbraw/zinc/53/93/84/523539384.db2.gz SMWJMDYNCSTUMO-NSHDSACASA-N 0 0 274.324 2.662 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1csc([N+](=O)[O-])c1 ZINC000336433064 523568794 /nfs/dbraw/zinc/56/87/94/523568794.db2.gz XWRFCNDMPBKMRL-WCBMZHEXSA-N 0 0 268.338 2.917 20 5 CFBDRN COc1ccc(C(=O)N2CCC[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000370768487 523637418 /nfs/dbraw/zinc/63/74/18/523637418.db2.gz UABJZWYPLYJNFD-GFCCVEGCSA-N 0 0 290.319 2.618 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H]1CC1(C)C ZINC000234209749 523668127 /nfs/dbraw/zinc/66/81/27/523668127.db2.gz VBWLOOSOPZSFLT-SECBINFHSA-N 0 0 252.318 2.578 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC[C@@H]1CCCCO1 ZINC000192769638 523668157 /nfs/dbraw/zinc/66/81/57/523668157.db2.gz DSZSUFFDKLLNNU-LBPRGKRZSA-N 0 0 296.371 2.881 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCC(CC)(CC)CCO ZINC000192640628 523669482 /nfs/dbraw/zinc/66/94/82/523669482.db2.gz YVQVFIRYZXOGML-UHFFFAOYSA-N 0 0 298.387 2.720 20 5 CFBDRN CN(C(=O)CC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000060848061 523794333 /nfs/dbraw/zinc/79/43/33/523794333.db2.gz MCCUGFNDFLPHMQ-UHFFFAOYSA-N 0 0 250.298 2.994 20 5 CFBDRN CO[C@H](C)c1nsc(Oc2cccc([N+](=O)[O-])c2)n1 ZINC000337912822 523928303 /nfs/dbraw/zinc/92/83/03/523928303.db2.gz KWLMTCHQMADTQH-SSDOTTSWSA-N 0 0 281.293 2.946 20 5 CFBDRN COCCOC[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000360089572 524021290 /nfs/dbraw/zinc/02/12/90/524021290.db2.gz MJZISUAFPDEODX-SNVBAGLBSA-N 0 0 286.303 2.506 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@@]1(C)CCOC1 ZINC000362346177 524057178 /nfs/dbraw/zinc/05/71/78/524057178.db2.gz TYOQGAAFNUARBK-AWEZNQCLSA-N 0 0 278.308 2.522 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCSC1 ZINC000362346961 524057281 /nfs/dbraw/zinc/05/72/81/524057281.db2.gz ZFQRSIFSXSGHHK-JTQLQIEISA-N 0 0 280.349 2.849 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCc1cn(C)nc1C ZINC000312553183 524057819 /nfs/dbraw/zinc/05/78/19/524057819.db2.gz KLZTUJQRPHBAAW-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000334409222 524134003 /nfs/dbraw/zinc/13/40/03/524134003.db2.gz LWUZIPWJOGEEEI-GHMZBOCLSA-N 0 0 266.272 2.524 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@@](C)(F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000336472814 524137483 /nfs/dbraw/zinc/13/74/83/524137483.db2.gz FBEKVICPRBNJIX-YMTOWFKASA-N 0 0 298.289 2.798 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000157094323 524154953 /nfs/dbraw/zinc/15/49/53/524154953.db2.gz VMBPXHHBNNUFTP-CABZTGNLSA-N 0 0 262.309 2.822 20 5 CFBDRN Cc1cc(C(=O)Nc2ncccc2C)cc([N+](=O)[O-])c1 ZINC000049158733 524186110 /nfs/dbraw/zinc/18/61/10/524186110.db2.gz JAQVKGGPDSCIQP-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000157249670 524207983 /nfs/dbraw/zinc/20/79/83/524207983.db2.gz JBLZDOBSSJEZTK-GXSJLCMTSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000340034623 524216161 /nfs/dbraw/zinc/21/61/61/524216161.db2.gz WLZKMPCINQJZPJ-AAEUAGOBSA-N 0 0 276.336 2.690 20 5 CFBDRN C[C@H]1CCC[C@H]1CNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000340034694 524218450 /nfs/dbraw/zinc/21/84/50/524218450.db2.gz ZPWGCNACGMGCGX-JQWIXIFHSA-N 0 0 262.309 2.761 20 5 CFBDRN COc1ccc(Cl)cc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000340428589 524242038 /nfs/dbraw/zinc/24/20/38/524242038.db2.gz WKNNNMMUYKLPCY-UHFFFAOYSA-N 0 0 295.682 2.837 20 5 CFBDRN Cc1cccc(C)c1CCNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000360523573 524259375 /nfs/dbraw/zinc/25/93/75/524259375.db2.gz ALJQUUSQDFKOIF-UHFFFAOYSA-N 0 0 287.319 2.512 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000339190354 524275364 /nfs/dbraw/zinc/27/53/64/524275364.db2.gz YNXYTJMUCQZBQN-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN Cc1ccc(CC[C@@H](C)Nc2c([N+](=O)[O-])ncn2C)o1 ZINC000361283115 524347621 /nfs/dbraw/zinc/34/76/21/524347621.db2.gz XFRXIDJLDNWNNI-SECBINFHSA-N 0 0 278.312 2.663 20 5 CFBDRN Cc1ccc(CNC(=O)NCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000056343039 524529642 /nfs/dbraw/zinc/52/96/42/524529642.db2.gz WUMJVZHYMVCUAG-UHFFFAOYSA-N 0 0 299.330 2.903 20 5 CFBDRN COc1ccc(NC(=O)N[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] ZINC000334108635 524577513 /nfs/dbraw/zinc/57/75/13/524577513.db2.gz GSNOLSLLVDWZRY-UWVGGRQHSA-N 0 0 293.323 2.914 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)c2cccc([N+](=O)[O-])c2)C1 ZINC000336225520 524600060 /nfs/dbraw/zinc/60/00/60/524600060.db2.gz NPNCVWVBNVIVCU-ZYHUDNBSSA-N 0 0 262.309 2.855 20 5 CFBDRN C[C@@H]1CC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2N)[C@H](C)C1 ZINC000157341641 524612475 /nfs/dbraw/zinc/61/24/75/524612475.db2.gz MOCJWYBIRHWNHW-CKYFFXLPSA-N 0 0 291.351 2.732 20 5 CFBDRN Cc1cccc(NCC[C@@H](O)C(F)(F)F)c1[N+](=O)[O-] ZINC000338667014 524677310 /nfs/dbraw/zinc/67/73/10/524677310.db2.gz DNUORCHZILGLAP-SECBINFHSA-N 0 0 278.230 2.628 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] ZINC000336630255 524712568 /nfs/dbraw/zinc/71/25/68/524712568.db2.gz HHDHBLGCSMARMT-VXNVDRBHSA-N 0 0 268.700 2.777 20 5 CFBDRN Cc1cccc(OCCN(C)c2ccc([N+](=O)[O-])nc2)c1 ZINC000339036725 524738920 /nfs/dbraw/zinc/73/89/20/524738920.db2.gz RBEPZFRYZZVKAH-UHFFFAOYSA-N 0 0 287.319 2.813 20 5 CFBDRN Cc1ccc(Cn2cnc([N+](=O)[O-])c2)cc1Br ZINC000336179570 524775053 /nfs/dbraw/zinc/77/50/53/524775053.db2.gz WHCXKTOBWYVFOL-UHFFFAOYSA-N 0 0 296.124 2.911 20 5 CFBDRN Cc1ccc(F)c(C(=O)NCc2ccccc2[N+](=O)[O-])c1 ZINC000053009894 524794319 /nfs/dbraw/zinc/79/43/19/524794319.db2.gz JMSGFALACIXTFR-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN Cc1cc(CO)oc1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000339228168 524796025 /nfs/dbraw/zinc/79/60/25/524796025.db2.gz BXAAMSGLKVRRBE-UHFFFAOYSA-N 0 0 290.275 2.549 20 5 CFBDRN COc1ccnc(Oc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000049320640 524854751 /nfs/dbraw/zinc/85/47/51/524854751.db2.gz UVYFDJYSRCZOQM-UHFFFAOYSA-N 0 0 281.655 2.839 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CC[C@@H](C)C1 ZINC000156894837 524904406 /nfs/dbraw/zinc/90/44/06/524904406.db2.gz DEKMLIBBBATHNT-MWLCHTKSSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)CS1 ZINC000334823239 524916570 /nfs/dbraw/zinc/91/65/70/524916570.db2.gz VLHINODQTWPWBM-WPRPVWTQSA-N 0 0 281.337 2.610 20 5 CFBDRN C[C@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000334170254 524941565 /nfs/dbraw/zinc/94/15/65/524941565.db2.gz BGJLORDXMBEUHD-AAEUAGOBSA-N 0 0 299.330 2.941 20 5 CFBDRN COc1ccc(OCCOC2CCCC2)c([N+](=O)[O-])c1 ZINC000360391252 524962570 /nfs/dbraw/zinc/96/25/70/524962570.db2.gz VFUXAMMVBWIVSB-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN C[C@@H](F)CCNC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000356678304 524973847 /nfs/dbraw/zinc/97/38/47/524973847.db2.gz HYBWZTMHNPZCNF-SECBINFHSA-N 0 0 286.328 2.551 20 5 CFBDRN Cc1cc(N(C)C[C@@H]2CCC[C@@H]2O)c(F)cc1[N+](=O)[O-] ZINC000360090074 525027623 /nfs/dbraw/zinc/02/76/23/525027623.db2.gz NUAHVJQTFJZXKY-HZMBPMFUSA-N 0 0 282.315 2.640 20 5 CFBDRN Cc1cc(N2CCC(C(F)F)CC2)ncc1[N+](=O)[O-] ZINC000360762679 525054284 /nfs/dbraw/zinc/05/42/84/525054284.db2.gz HCGFDTXPLCVNOV-UHFFFAOYSA-N 0 0 271.267 2.780 20 5 CFBDRN Cc1cc(N2CCO[C@H](C(C)C)C2)c(F)cc1[N+](=O)[O-] ZINC000360081826 525087977 /nfs/dbraw/zinc/08/79/77/525087977.db2.gz HQVGWUQHVQGHNI-AWEZNQCLSA-N 0 0 282.315 2.904 20 5 CFBDRN Cc1cc(NC(=O)CC[C@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000182448835 525130895 /nfs/dbraw/zinc/13/08/95/525130895.db2.gz ARJPQPMGBMKPDQ-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1ccccc1CNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000067705289 525155308 /nfs/dbraw/zinc/15/53/08/525155308.db2.gz IIUOFCUTCFGCFU-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN Cc1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)ccc1O ZINC000148515568 525163893 /nfs/dbraw/zinc/16/38/93/525163893.db2.gz OLMPJZYWLMLLBT-UHFFFAOYSA-N 0 0 286.287 2.790 20 5 CFBDRN Cc1ccccc1C[C@H](C)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000055555632 525196190 /nfs/dbraw/zinc/19/61/90/525196190.db2.gz AULXVXNXMHOGSS-NSHDSACASA-N 0 0 288.303 2.857 20 5 CFBDRN C[C@]1(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H]2C[C@H]2C1 ZINC000338463786 525224044 /nfs/dbraw/zinc/22/40/44/525224044.db2.gz YBUQQTXXSNEDEA-MSRIBSCDSA-N 0 0 260.293 2.970 20 5 CFBDRN C[C@]1(C(=O)Nc2cccc([N+](=O)[O-])c2)CC1(F)F ZINC000334996446 525224317 /nfs/dbraw/zinc/22/43/17/525224317.db2.gz ZLPLUYRVORVHRG-SNVBAGLBSA-N 0 0 256.208 2.579 20 5 CFBDRN Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1O ZINC000070332664 525244571 /nfs/dbraw/zinc/24/45/71/525244571.db2.gz YRQXRRKJUKZNGL-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CSC1(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000188355756 525305448 /nfs/dbraw/zinc/30/54/48/525305448.db2.gz GNJHALAZTRIIJQ-UHFFFAOYSA-N 0 0 266.322 2.737 20 5 CFBDRN C[C@@H]1C[C@@H]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000336031343 525308424 /nfs/dbraw/zinc/30/84/24/525308424.db2.gz RWSMPTKJYVPRRN-MWLCHTKSSA-N 0 0 260.293 2.530 20 5 CFBDRN Cc1ccc(N[C@H]2CCCC[C@H]2O)c([N+](=O)[O-])c1 ZINC000052007405 525318614 /nfs/dbraw/zinc/31/86/14/525318614.db2.gz IKNZKKFRAKBYFN-WCQYABFASA-N 0 0 250.298 2.619 20 5 CFBDRN Cc1cc(OCC(C)(C)O)c(Cl)cc1[N+](=O)[O-] ZINC000310207801 525368039 /nfs/dbraw/zinc/36/80/39/525368039.db2.gz JJTUDILAKFASDK-UHFFFAOYSA-N 0 0 259.689 2.706 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000336184271 525389072 /nfs/dbraw/zinc/38/90/72/525389072.db2.gz PBDMFYYVUYMRSE-DTWKUNHWSA-N 0 0 266.272 2.604 20 5 CFBDRN CSCCCC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000071530280 525393164 /nfs/dbraw/zinc/39/31/64/525393164.db2.gz BCPRFINCZLURLT-UHFFFAOYSA-N 0 0 254.311 2.677 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H](C)Cn2cccn2)c1 ZINC000338647342 525450477 /nfs/dbraw/zinc/45/04/77/525450477.db2.gz FSFCHPXOAARQHO-LLVKDONJSA-N 0 0 290.323 2.548 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CC[C@@H](F)C2)c1 ZINC000338722573 525452556 /nfs/dbraw/zinc/45/25/56/525452556.db2.gz CWTAFXLWYOVGSS-RKDXNWHRSA-N 0 0 254.261 2.906 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)CCC(C)C ZINC000068846173 525466454 /nfs/dbraw/zinc/46/64/54/525466454.db2.gz FZEUUXXTMADKMG-UHFFFAOYSA-N 0 0 266.297 2.978 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1C[C@H]1C ZINC000361334681 525467747 /nfs/dbraw/zinc/46/77/47/525467747.db2.gz HEDPFKWDVIFDKW-RKDXNWHRSA-N 0 0 264.281 2.588 20 5 CFBDRN Cc1cc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)c(C)o1 ZINC000049380430 525513760 /nfs/dbraw/zinc/51/37/60/525513760.db2.gz ZYUYMTHDRVUVGY-QMMMGPOBSA-N 0 0 278.264 2.889 20 5 CFBDRN Cc1c(Br)c(N[C@@H]2C[C@H]2C)ncc1[N+](=O)[O-] ZINC000167053712 525523530 /nfs/dbraw/zinc/52/35/30/525523530.db2.gz WDJRHPLCGOLQNA-IYSWYEEDSA-N 0 0 286.129 2.881 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C/C=C\c2ccccc2)c1=O ZINC000254952394 525572269 /nfs/dbraw/zinc/57/22/69/525572269.db2.gz LQCKLLJSAVQDSC-YVMONPNESA-N 0 0 270.288 2.778 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CCCC2(F)F)c1=O ZINC000334622759 525574599 /nfs/dbraw/zinc/57/45/99/525574599.db2.gz NWCKDKHBYQONBF-SECBINFHSA-N 0 0 272.251 2.500 20 5 CFBDRN C[C@@H]1[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2F)C1(F)F ZINC000336633899 525629362 /nfs/dbraw/zinc/62/93/62/525629362.db2.gz HEKUMSQNULBWAD-ANLVUFKYSA-N 0 0 274.198 2.574 20 5 CFBDRN C[C@@H]1[C@@H](C)CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000172663373 525633609 /nfs/dbraw/zinc/63/36/09/525633609.db2.gz IOCLKHDHROUZPU-NWDGAFQWSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1Cl)C(=O)NC(C)(C)C ZINC000068937854 525662640 /nfs/dbraw/zinc/66/26/40/525662640.db2.gz RYTGSIWPPBBNSO-QMMMGPOBSA-N 0 0 299.758 2.963 20 5 CFBDRN Cc1c(CNC(=O)CCc2ccoc2)cccc1[N+](=O)[O-] ZINC000360517665 525711110 /nfs/dbraw/zinc/71/11/10/525711110.db2.gz ZRDWCHPEDKVGNS-UHFFFAOYSA-N 0 0 288.303 2.745 20 5 CFBDRN Cc1c(CNC(=O)[C@H](C)c2cccnc2)cccc1[N+](=O)[O-] ZINC000360526375 525720529 /nfs/dbraw/zinc/72/05/29/525720529.db2.gz STWFNEJPDWEHND-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1c(CNc2ccccc2C(N)=O)cccc1[N+](=O)[O-] ZINC000172858001 525731449 /nfs/dbraw/zinc/73/14/49/525731449.db2.gz OEXRGJHPMUJHDJ-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2nccs2)nc1 ZINC000162317052 534882817 /nfs/dbraw/zinc/88/28/17/534882817.db2.gz ZOVZGYPBXIRZAR-UHFFFAOYSA-N 0 0 253.308 2.739 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NCCCCF)c2c1 ZINC000413308803 534884379 /nfs/dbraw/zinc/88/43/79/534884379.db2.gz KMZISJZXLWTPGB-UHFFFAOYSA-N 0 0 263.272 2.727 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(NC[C@@H]3CC[C@H](O)C3)c2c1 ZINC000413260136 534884711 /nfs/dbraw/zinc/88/47/11/534884711.db2.gz IXPDTPGNNDOOAA-MFKMUULPSA-N 0 0 287.319 2.716 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000228128938 525836672 /nfs/dbraw/zinc/83/66/72/525836672.db2.gz FDSPEMVWBAPZEG-VHSXEESVSA-N 0 0 275.308 2.714 20 5 CFBDRN COc1cccc(C2=CCN(c3ccncc3[N+](=O)[O-])C2)c1 ZINC000361075921 525857289 /nfs/dbraw/zinc/85/72/89/525857289.db2.gz VFVYJIHPPYTPPL-UHFFFAOYSA-N 0 0 297.314 2.902 20 5 CFBDRN CSc1ccc(C(=O)Nc2n[nH]cc2C)cc1[N+](=O)[O-] ZINC000134671752 525971051 /nfs/dbraw/zinc/97/10/51/525971051.db2.gz ZSUVQHBUCSBPAD-UHFFFAOYSA-N 0 0 292.320 2.601 20 5 CFBDRN C[C@H](C(=O)N1CC[C@H](C)C1)c1ccc([N+](=O)[O-])cc1F ZINC000335999854 525994458 /nfs/dbraw/zinc/99/44/58/525994458.db2.gz NSUURFBGXPWLDU-UWVGGRQHSA-N 0 0 280.299 2.706 20 5 CFBDRN C[C@H](Sc1nc[nH]n1)c1ccccc1[N+](=O)[O-] ZINC000361251062 526004259 /nfs/dbraw/zinc/00/42/59/526004259.db2.gz LHRKRCQFSQQJDI-ZETCQYMHSA-N 0 0 250.283 2.566 20 5 CFBDRN Cc1ccc(C(=O)COc2ncc(C)cc2[N+](=O)[O-])s1 ZINC000175137107 526049695 /nfs/dbraw/zinc/04/96/95/526049695.db2.gz WWIMWSJKTIIKJB-UHFFFAOYSA-N 0 0 292.316 2.930 20 5 CFBDRN Cc1cnc(COc2ccc(Cl)cc2[N+](=O)[O-])nc1 ZINC000361072819 526056790 /nfs/dbraw/zinc/05/67/90/526056790.db2.gz KARFBDYFOWGSKE-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC(C)C ZINC000079828688 526058712 /nfs/dbraw/zinc/05/87/12/526058712.db2.gz BXSMRRJWRXJGFU-UHFFFAOYSA-N 0 0 265.313 2.989 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCc2ccc(O)cc2)n1 ZINC000162722346 526060074 /nfs/dbraw/zinc/06/00/74/526060074.db2.gz PNXNFBIIGSJFKF-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2(CCO)CCCC2)n1 ZINC000360870316 526060923 /nfs/dbraw/zinc/06/09/23/526060923.db2.gz HLRUXEWPIAXBPK-UHFFFAOYSA-N 0 0 279.340 2.653 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H](C)c2ccccn2)n1 ZINC000162596548 526061977 /nfs/dbraw/zinc/06/19/77/526061977.db2.gz IHJNYPRGSIRXOB-JTQLQIEISA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1cnc(Cn2ccc3c2cccc3[N+](=O)[O-])o1 ZINC000360831921 526064661 /nfs/dbraw/zinc/06/46/61/526064661.db2.gz JKOKXZHHYOTIAU-UHFFFAOYSA-N 0 0 257.249 2.894 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC(F)(F)F ZINC000361999724 526074811 /nfs/dbraw/zinc/07/48/11/526074811.db2.gz BFRWSESWFIQYSB-MRVPVSSYSA-N 0 0 290.241 2.974 20 5 CFBDRN Cc1cnc(N2CC[C@H](CC3CC3)C2)c([N+](=O)[O-])c1 ZINC000338814905 526075208 /nfs/dbraw/zinc/07/52/08/526075208.db2.gz XUEITQXHOIBTJG-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CS[C@H](C)C1 ZINC000334824588 526080549 /nfs/dbraw/zinc/08/05/49/526080549.db2.gz ZDQCBHUUDUWWBW-ZJUUUORDSA-N 0 0 295.364 2.919 20 5 CFBDRN CSc1cccc(C(=O)N[C@@H]2CCC[C@@H]2F)c1[N+](=O)[O-] ZINC000340856198 526088695 /nfs/dbraw/zinc/08/86/95/526088695.db2.gz UVXNDCZTVHQNIM-VHSXEESVSA-N 0 0 298.339 2.937 20 5 CFBDRN Cc1ccc(C(=O)N2CCC23CCC3)cc1[N+](=O)[O-] ZINC000336409814 526089134 /nfs/dbraw/zinc/08/91/34/526089134.db2.gz DKLCWJVNELGLNJ-UHFFFAOYSA-N 0 0 260.293 2.672 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@H](F)C2)c1[N+](=O)[O-] ZINC000334837377 526090112 /nfs/dbraw/zinc/09/01/12/526090112.db2.gz LESKYYNBMSGLLM-QMMMGPOBSA-N 0 0 284.312 2.501 20 5 CFBDRN CCC(CC)(CC)NC(=O)c1ccc([N+](=O)[O-])c(NC)n1 ZINC000455763150 534907429 /nfs/dbraw/zinc/90/74/29/534907429.db2.gz QDSHIZDIGMSMJA-UHFFFAOYSA-N 0 0 294.355 2.730 20 5 CFBDRN Cc1ccc2c(c1)CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)C2 ZINC000336416916 526159021 /nfs/dbraw/zinc/15/90/21/526159021.db2.gz SXWQFLFXZUHHEN-UHFFFAOYSA-N 0 0 296.326 2.988 20 5 CFBDRN Cc1cnc([C@H](Nc2c([N+](=O)[O-])ncn2C)C2CC2)s1 ZINC000360237994 526165290 /nfs/dbraw/zinc/16/52/90/526165290.db2.gz LJCMZTKJJFHUQL-SECBINFHSA-N 0 0 293.352 2.656 20 5 CFBDRN Cc1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])s1 ZINC000046136836 526190049 /nfs/dbraw/zinc/19/00/49/526190049.db2.gz NMJCQKXMNAFANJ-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN C[C@H](C1CCC1)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000356588660 526215340 /nfs/dbraw/zinc/21/53/40/526215340.db2.gz JTGYSRAIONZOIP-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN Cc1cc(C(=O)N(C)c2cccnc2)cc([N+](=O)[O-])c1 ZINC000172187400 526230020 /nfs/dbraw/zinc/23/00/20/526230020.db2.gz UXUAMQFLRJNDJQ-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN C[C@H]1CC2(CCC2)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000336319519 526234314 /nfs/dbraw/zinc/23/43/14/526234314.db2.gz YSPKSFGCYVERIA-NSHDSACASA-N 0 0 274.320 3.000 20 5 CFBDRN Cc1cc(C(=O)N2CCCC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000049157256 526243477 /nfs/dbraw/zinc/24/34/77/526243477.db2.gz OZAPBVNAFHHKKX-NSHDSACASA-N 0 0 262.309 2.918 20 5 CFBDRN Cc1cc(C(=O)N2CC[C@@H](C3CC3)C2)cc([N+](=O)[O-])c1 ZINC000334246653 526257727 /nfs/dbraw/zinc/25/77/27/526257727.db2.gz UUNCSYSLPLPIFD-GFCCVEGCSA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1cc(C(=O)NCCC(C)C)cc([N+](=O)[O-])c1 ZINC000049156040 526265993 /nfs/dbraw/zinc/26/59/93/526265993.db2.gz VPANJCNBRULEIZ-UHFFFAOYSA-N 0 0 250.298 2.679 20 5 CFBDRN Cc1coc(SCC(=O)c2ccc([N+](=O)[O-])cc2)n1 ZINC000235931964 526290486 /nfs/dbraw/zinc/29/04/86/526290486.db2.gz CTOOWKMFISKRMD-UHFFFAOYSA-N 0 0 278.289 2.866 20 5 CFBDRN O=C(OC[C@H]1CCCCO1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000070166383 526422579 /nfs/dbraw/zinc/42/25/79/526422579.db2.gz QPTSXHVLUGHBOH-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)[C@H]1C[C@H]1c1ccco1 ZINC000049416964 526446365 /nfs/dbraw/zinc/44/63/65/526446365.db2.gz PGIYYMXNIMPKAT-NEPJUHHUSA-N 0 0 273.244 2.897 20 5 CFBDRN O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@@H]1C1CC1 ZINC000334890744 526581067 /nfs/dbraw/zinc/58/10/67/526581067.db2.gz XEBCTVUKRJJCHC-CQSZACIVSA-N 0 0 285.303 2.701 20 5 CFBDRN O=C(NC[C@H]1CC=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000049278454 526586675 /nfs/dbraw/zinc/58/66/75/526586675.db2.gz KYRQPINVLIDPLH-NSHDSACASA-N 0 0 260.293 2.681 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCC[C@H]1F ZINC000340799821 526604328 /nfs/dbraw/zinc/60/43/28/526604328.db2.gz PDXDAQZRRAVEFE-CHWSQXEVSA-N 0 0 280.299 2.534 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)Nc1nccs1 ZINC000078709500 526604422 /nfs/dbraw/zinc/60/44/22/526604422.db2.gz NUULKPFDJAQDEZ-UHFFFAOYSA-N 0 0 277.305 2.623 20 5 CFBDRN O=C(NCc1ccc(Cl)s1)c1ccc([N+](=O)[O-])[nH]1 ZINC000340676103 526666035 /nfs/dbraw/zinc/66/60/35/526666035.db2.gz NGYJWYXYNGDJQZ-UHFFFAOYSA-N 0 0 285.712 2.568 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC(C(F)F)CC1 ZINC000336426417 526714775 /nfs/dbraw/zinc/71/47/75/526714775.db2.gz QCOATBBKMRSVRR-UHFFFAOYSA-N 0 0 284.262 2.712 20 5 CFBDRN CC(C)(C)[C@H]1CCC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000413996314 526725781 /nfs/dbraw/zinc/72/57/81/526725781.db2.gz UVNATRIATACESN-UWVGGRQHSA-N 0 0 279.340 2.868 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1sccc1F ZINC000338974726 526761274 /nfs/dbraw/zinc/76/12/74/526761274.db2.gz SKHQVRWKHNPBGY-UHFFFAOYSA-N 0 0 280.280 2.725 20 5 CFBDRN O=C(NCc1cccs1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000050037428 526783775 /nfs/dbraw/zinc/78/37/75/526783775.db2.gz XCHMWHJVESXWAB-UHFFFAOYSA-N 0 0 298.270 2.865 20 5 CFBDRN O=C(NCc1ccsc1)NCc1ccccc1[N+](=O)[O-] ZINC000045623056 526800848 /nfs/dbraw/zinc/80/08/48/526800848.db2.gz DLYCASDHMQBTNH-UHFFFAOYSA-N 0 0 291.332 2.656 20 5 CFBDRN O=C(N[C@@H]1CC12CCCC2)c1ccc([N+](=O)[O-])s1 ZINC000336117419 526879048 /nfs/dbraw/zinc/87/90/48/526879048.db2.gz JMFRAIRBWKCKTA-SECBINFHSA-N 0 0 266.322 2.719 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000336239685 526882501 /nfs/dbraw/zinc/88/25/01/526882501.db2.gz GQBRIKNFPCENEM-SNVBAGLBSA-N 0 0 285.303 2.915 20 5 CFBDRN O=C(CNc1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2C1 ZINC000360103791 526894703 /nfs/dbraw/zinc/89/47/03/526894703.db2.gz XHTGXOYUODPPED-UHFFFAOYSA-N 0 0 297.314 2.549 20 5 CFBDRN O=C(CNc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000073623142 526898794 /nfs/dbraw/zinc/89/87/94/526898794.db2.gz KYPFNYKCDBYMKG-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H](F)C1)c1ccc(F)cc1[N+](=O)[O-] ZINC000335406904 526902256 /nfs/dbraw/zinc/90/22/56/526902256.db2.gz FFJITPBHBDPYLG-PSASIEDQSA-N 0 0 284.262 2.744 20 5 CFBDRN O=C(N[C@@H]1CSc2ccccc21)c1ccc([N+](=O)[O-])o1 ZINC000334266699 526964191 /nfs/dbraw/zinc/96/41/91/526964191.db2.gz NWJDGPYMLYYGKN-SECBINFHSA-N 0 0 290.300 2.765 20 5 CFBDRN O=C(COc1cccnc1[N+](=O)[O-])c1cccc(Cl)c1 ZINC000048403061 526998109 /nfs/dbraw/zinc/99/81/09/526998109.db2.gz MXHAGDOCJDMGAP-UHFFFAOYSA-N 0 0 292.678 2.905 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@H]1CCC[C@H]1F ZINC000340810563 527044963 /nfs/dbraw/zinc/04/49/63/527044963.db2.gz ZPNOBGLVYDPRLK-ZJUUUORDSA-N 0 0 298.339 2.694 20 5 CFBDRN CC(C)(CF)NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000413715559 527066060 /nfs/dbraw/zinc/06/60/60/527066060.db2.gz RRLURDOGIKKJGP-NWDGAFQWSA-N 0 0 280.299 2.563 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC(F)(F)C1 ZINC000336053721 527122916 /nfs/dbraw/zinc/12/29/16/527122916.db2.gz IQHRJQVVGUVPBN-UHFFFAOYSA-N 0 0 289.213 2.607 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CC[C@@H]1C1CC1 ZINC000335242124 527123509 /nfs/dbraw/zinc/12/35/09/527123509.db2.gz WWBMHEOSCYSJIK-GFCCVEGCSA-N 0 0 279.271 2.750 20 5 CFBDRN O=C(Nc1cc[nH]n1)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000049449982 527134128 /nfs/dbraw/zinc/13/41/28/527134128.db2.gz XAHWHTUEZBPNLE-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1cscn1 ZINC000062659808 527178034 /nfs/dbraw/zinc/17/80/34/527178034.db2.gz GZGCTRFJNSEXAB-UHFFFAOYSA-N 0 0 283.696 2.957 20 5 CFBDRN CC(C)(CNc1ccc([N+](=O)[O-])c(N)c1F)OCC1CC1 ZINC000413507314 527182465 /nfs/dbraw/zinc/18/24/65/527182465.db2.gz DZUKVONUPSUIFL-UHFFFAOYSA-N 0 0 297.330 2.933 20 5 CFBDRN CC(C)(CNc1ncc([N+](=O)[O-])cc1F)c1ccccn1 ZINC000413294258 527184949 /nfs/dbraw/zinc/18/49/49/527184949.db2.gz ALHWTBMNKFOKIJ-UHFFFAOYSA-N 0 0 290.298 2.914 20 5 CFBDRN CC(C)(CO)CCCNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000174177657 527194463 /nfs/dbraw/zinc/19/44/63/527194463.db2.gz JIMBPYTWTPLZEK-UHFFFAOYSA-N 0 0 295.339 2.515 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC12CCC2 ZINC000336464196 527245214 /nfs/dbraw/zinc/24/52/14/527245214.db2.gz WXYZQWTVROXKBL-UHFFFAOYSA-N 0 0 294.738 2.946 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1C1CC1 ZINC000370765617 527245227 /nfs/dbraw/zinc/24/52/27/527245227.db2.gz FSWRHKHIIWYPBQ-AWEZNQCLSA-N 0 0 274.320 2.538 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccsc1 ZINC000173057436 527272115 /nfs/dbraw/zinc/27/21/15/527272115.db2.gz BJLQGOUXXREQIU-UHFFFAOYSA-N 0 0 262.290 2.838 20 5 CFBDRN Cn1cc(NCc2ccc([N+](=O)[O-])cc2F)c(C2CC2)n1 ZINC000361055951 527274426 /nfs/dbraw/zinc/27/44/26/527274426.db2.gz XXPVYVXGBFJMDO-UHFFFAOYSA-N 0 0 290.298 2.957 20 5 CFBDRN Cc1ncc(NC(=O)c2csc([N+](=O)[O-])c2)s1 ZINC000337275644 527302789 /nfs/dbraw/zinc/30/27/89/527302789.db2.gz DYEWNBQVYAIIRV-UHFFFAOYSA-N 0 0 269.307 2.674 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1C[C@@H](C)C[C@H](C)C1 ZINC000172477646 527313804 /nfs/dbraw/zinc/31/38/04/527313804.db2.gz MMANARBHYRGKTH-UWVGGRQHSA-N 0 0 291.351 2.853 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NC[C@@H]1CCC[C@H](O)C1 ZINC000078303856 527320601 /nfs/dbraw/zinc/32/06/01/527320601.db2.gz QZOIFKFOIXNTNL-ZJUUUORDSA-N 0 0 268.288 2.697 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@@H]1[C@@H]2CCc3ccccc3[C@@H]21 ZINC000361043957 527325003 /nfs/dbraw/zinc/32/50/03/527325003.db2.gz QHVFDQSQOUQJAY-UHOFOFEASA-N 0 0 281.315 2.552 20 5 CFBDRN O=[N+]([O-])c1cc(Br)cnc1NCCC1CC1 ZINC000083911175 527327958 /nfs/dbraw/zinc/32/79/58/527327958.db2.gz PNOKWCBXGBJAQZ-UHFFFAOYSA-N 0 0 286.129 2.964 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@@H]1CCO[C@H]1C1CC1 ZINC000230309182 527335938 /nfs/dbraw/zinc/33/59/38/527335938.db2.gz GSXUSDOQVNJVAU-KOLCDFICSA-N 0 0 283.715 2.623 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCC[C@@H]2CCOC2)c(F)c1 ZINC000230104996 527336388 /nfs/dbraw/zinc/33/63/88/527336388.db2.gz ACOLGJLXQFGPLD-MRVPVSSYSA-N 0 0 272.251 2.712 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCCC1CCOCC1 ZINC000338552210 527338801 /nfs/dbraw/zinc/33/88/01/527338801.db2.gz UWFMVOAMUKPZON-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN Cc1nccc(CNc2c(F)cc([N+](=O)[O-])cc2F)n1 ZINC000227888541 527340251 /nfs/dbraw/zinc/34/02/51/527340251.db2.gz MYRXPUYHOHUVJX-UHFFFAOYSA-N 0 0 280.234 2.584 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1N[C@H]1CCOC1 ZINC000087788204 527347177 /nfs/dbraw/zinc/34/71/77/527347177.db2.gz YNCYIWBWZJAMMI-QMMMGPOBSA-N 0 0 287.113 2.558 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccccc2CCO)c(F)c1 ZINC000313828933 527353546 /nfs/dbraw/zinc/35/35/46/527353546.db2.gz NEIRSUMSVMMMGS-UHFFFAOYSA-N 0 0 291.278 2.848 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CN2CCO[C@H](C3CC3)C2)c1 ZINC000368800871 527355052 /nfs/dbraw/zinc/35/50/52/527355052.db2.gz SULNOGCRYIITIY-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H](CCO)C2)c(Cl)c1 ZINC000051895015 527362443 /nfs/dbraw/zinc/36/24/43/527362443.db2.gz QGQUMRCPKIQOLJ-SNVBAGLBSA-N 0 0 284.743 2.847 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@@H]2CCCC[C@H]2O)nc1 ZINC000154488841 527363834 /nfs/dbraw/zinc/36/38/34/527363834.db2.gz KQZLSYGBPTWVLO-WCQYABFASA-N 0 0 279.340 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCCS2)nc1 ZINC000158355038 527365473 /nfs/dbraw/zinc/36/54/73/527365473.db2.gz BFDXHSHRGDLPAW-JTQLQIEISA-N 0 0 253.327 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CCC[C@@H](CO)C2)cc1 ZINC000311899308 527365889 /nfs/dbraw/zinc/36/58/89/527365889.db2.gz ADWCDJPCSNPOEC-NWDGAFQWSA-N 0 0 264.325 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccncn2)c(Cl)c1 ZINC000054789116 527366993 /nfs/dbraw/zinc/36/69/93/527366993.db2.gz KBILNSDYQMALGT-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2nccn2-c2ccccc2)cn1 ZINC000340900470 527367200 /nfs/dbraw/zinc/36/72/00/527367200.db2.gz ITABDEFLZZASPV-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@@H]1c1ccco1 ZINC000049412321 527374670 /nfs/dbraw/zinc/37/46/70/527374670.db2.gz ZEUQRTXYARCWKB-NWDGAFQWSA-N 0 0 272.260 2.930 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@@H]3CC[C@H](F)C3)ccc2c1 ZINC000340844014 527379434 /nfs/dbraw/zinc/37/94/34/527379434.db2.gz CDXHKHIIFVPPHT-WDEREUQCSA-N 0 0 275.283 2.867 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCC1CCOCC1 ZINC000156406629 527389040 /nfs/dbraw/zinc/38/90/40/527389040.db2.gz OBXDNJQHHSZMJV-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCCc1cscn1 ZINC000310774020 527389295 /nfs/dbraw/zinc/38/92/95/527389295.db2.gz VDOQXTIAUGFJSJ-UHFFFAOYSA-N 0 0 267.285 2.845 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1nccs1 ZINC000156433987 527389858 /nfs/dbraw/zinc/38/98/58/527389858.db2.gz OQUVPEMQCJHKAL-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2ccc3c(c2)OCCO3)c1 ZINC000065845004 527392501 /nfs/dbraw/zinc/39/25/01/527392501.db2.gz BJOSQTBGRNCDIC-UHFFFAOYSA-N 0 0 287.271 2.945 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3CC[C@@H](F)C3)c21 ZINC000340942256 527395839 /nfs/dbraw/zinc/39/58/39/527395839.db2.gz DHEFMQGHSHTLOR-RKDXNWHRSA-N 0 0 276.271 2.841 20 5 CFBDRN O=[N+]([O-])c1cccc2c(NCCOCC3CC3)ccnc21 ZINC000236409560 527395957 /nfs/dbraw/zinc/39/59/57/527395957.db2.gz HTXDRYOMZNOBPD-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3CCC[C@@H]3F)c21 ZINC000338845895 527396685 /nfs/dbraw/zinc/39/66/85/527396685.db2.gz VOEOLEPHTXLALU-DTWKUNHWSA-N 0 0 276.271 2.841 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000193846772 527402459 /nfs/dbraw/zinc/40/24/59/527402459.db2.gz XGYCSLDYOKYDID-LLVKDONJSA-N 0 0 272.308 2.702 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCC[C@H](c2cc[nH]n2)C1 ZINC000193846755 527403177 /nfs/dbraw/zinc/40/31/77/527403177.db2.gz XGYCSLDYOKYDID-NSHDSACASA-N 0 0 272.308 2.702 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1cnn(C[C@@H]2CCCO2)c1 ZINC000052428878 527404021 /nfs/dbraw/zinc/40/40/21/527404021.db2.gz GFOAUWBFROOIGT-LBPRGKRZSA-N 0 0 288.307 2.714 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2cccnc2)c(Cl)c1 ZINC000227648771 527409761 /nfs/dbraw/zinc/40/97/61/527409761.db2.gz RUMNTZAAFLOIML-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)s1 ZINC000249270707 527411159 /nfs/dbraw/zinc/41/11/59/527411159.db2.gz JEPLRSCFAYXKCO-FBIMIBRVSA-N 0 0 295.364 2.811 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1NCCOCC1CC1 ZINC000236411752 527413188 /nfs/dbraw/zinc/41/31/88/527413188.db2.gz UNKTVWRJFDWRLX-UHFFFAOYSA-N 0 0 287.319 2.982 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@@H](O)Cc2ccc3ccccc3c2)c1 ZINC000360685694 527415532 /nfs/dbraw/zinc/41/55/32/527415532.db2.gz NIHLKXINGXKHEO-INIZCTEOSA-N 0 0 297.314 2.548 20 5 CFBDRN O=[N+]([O-])c1cnn(Cc2ccc(Br)s2)c1 ZINC000073529058 527415785 /nfs/dbraw/zinc/41/57/85/527415785.db2.gz MQRDGWWTDBRJGD-UHFFFAOYSA-N 0 0 288.126 2.664 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@H](O)Cc2ccc3ccccc3c2)c1 ZINC000360685696 527415972 /nfs/dbraw/zinc/41/59/72/527415972.db2.gz NIHLKXINGXKHEO-MRXNPFEDSA-N 0 0 297.314 2.548 20 5 CFBDRN Nc1c(F)c(N[C@H]2CC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000340884368 527433342 /nfs/dbraw/zinc/43/33/42/527433342.db2.gz VBGILXGCGNUHTA-RQJHMYQMSA-N 0 0 257.240 2.619 20 5 CFBDRN Cc1nccnc1CCNc1c(F)cc([N+](=O)[O-])cc1F ZINC000338785247 527451195 /nfs/dbraw/zinc/45/11/95/527451195.db2.gz KTFIDIDKFBVDGG-UHFFFAOYSA-N 0 0 294.261 2.626 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1Cc1ccccc1F ZINC000335991021 527452055 /nfs/dbraw/zinc/45/20/55/527452055.db2.gz DXSJZGWVGRWVNT-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCc1ccccc1Cl ZINC000049390251 527490033 /nfs/dbraw/zinc/49/00/33/527490033.db2.gz JUIJOCRMMUTAQM-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN O=c1oc(-c2ccc([N+](=O)[O-])cc2)nn1CCCCCF ZINC000340703404 527497954 /nfs/dbraw/zinc/49/79/54/527497954.db2.gz KRSWWHMTLHRSFG-UHFFFAOYSA-N 0 0 295.270 2.551 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@@H](C3CC3)C2)c1 ZINC000193500476 527501620 /nfs/dbraw/zinc/50/16/20/527501620.db2.gz ONHIKYXGONISLY-SMDDNHRTSA-N 0 0 277.324 2.547 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCC2(CCCC2)C1 ZINC000187915247 527502556 /nfs/dbraw/zinc/50/25/56/527502556.db2.gz KMRHINPMUBULBV-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN O=C(NCC1CC(c2ccccc2)C1)c1cc([N+](=O)[O-])c[nH]1 ZINC000338674927 527589473 /nfs/dbraw/zinc/58/94/73/527589473.db2.gz RCZYJGMNVAJDEH-UHFFFAOYSA-N 0 0 299.330 2.847 20 5 CFBDRN O=C([O-])CC[C@@H]1CCCC[N@@H+]1Cc1ccc([N+](=O)[O-])cc1 ZINC000050925111 527595779 /nfs/dbraw/zinc/59/57/79/527595779.db2.gz JAOQPAGAOYDZBD-ZDUSSCGKSA-N 0 0 292.335 2.814 20 5 CFBDRN Cc1nn(C)c(C)c1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000182419496 527613467 /nfs/dbraw/zinc/61/34/67/527613467.db2.gz RURZGGPUBDJKNW-UHFFFAOYSA-N 0 0 288.307 2.506 20 5 CFBDRN Cc1nn(C)c(NCC2CCC(C)CC2)c1[N+](=O)[O-] ZINC000054982510 527678018 /nfs/dbraw/zinc/67/80/18/527678018.db2.gz ZDGSIEOXPAPCTC-UHFFFAOYSA-N 0 0 266.345 2.875 20 5 CFBDRN CC(C)(C)CC[C@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C(=O)[O-] ZINC000426755867 527678179 /nfs/dbraw/zinc/67/81/79/527678179.db2.gz GMFXBWYEUCDBFD-ZDUSSCGKSA-N 0 0 294.351 2.964 20 5 CFBDRN CC(C)(C)CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000177236993 527688472 /nfs/dbraw/zinc/68/84/72/527688472.db2.gz ITZHKXOSSITSFU-UHFFFAOYSA-N 0 0 254.261 2.510 20 5 CFBDRN CC(C)CCC(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158489190 527695820 /nfs/dbraw/zinc/69/58/20/527695820.db2.gz HTVREZMWYDZMQY-UHFFFAOYSA-N 0 0 250.298 2.994 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@H]2CC2(C)C)c([N+](=O)[O-])c1 ZINC000413511136 527727047 /nfs/dbraw/zinc/72/70/47/527727047.db2.gz WNLJUKOEZIYVEC-ZDUSSCGKSA-N 0 0 291.351 2.943 20 5 CFBDRN CC(C)Oc1cc(C(=O)N[C@H]2CC2(C)C)ccc1[N+](=O)[O-] ZINC000411582622 528103741 /nfs/dbraw/zinc/10/37/41/528103741.db2.gz HGDLHOBOOGECMN-ZDUSSCGKSA-N 0 0 292.335 2.910 20 5 CFBDRN CCO[C@H]1C[C@@H](Nc2c(F)cccc2[N+](=O)[O-])C1 ZINC000413388095 528104655 /nfs/dbraw/zinc/10/46/55/528104655.db2.gz POHQTWVUAFMRSD-DTORHVGOSA-N 0 0 254.261 2.713 20 5 CFBDRN CC(C)c1ccccc1N(C)C(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000426177523 528190001 /nfs/dbraw/zinc/19/00/01/528190001.db2.gz GIQCQZBSXNKYOL-UHFFFAOYSA-N 0 0 288.307 2.718 20 5 CFBDRN CCOc1cc(N2CCC[C@H](CO)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000413596311 528310138 /nfs/dbraw/zinc/31/01/38/528310138.db2.gz QWXHLEOIDJPQCK-NWDGAFQWSA-N 0 0 294.351 2.591 20 5 CFBDRN CCC(C)(C)CNC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000429005628 528520689 /nfs/dbraw/zinc/52/06/89/528520689.db2.gz MPRFVRFCZRILIY-UHFFFAOYSA-N 0 0 293.367 2.873 20 5 CFBDRN CCOC(=O)C[C@@H](C)CNc1ccc([N+](=O)[O-])cc1C ZINC000192161067 528859541 /nfs/dbraw/zinc/85/95/41/528859541.db2.gz GKILNWXALZAHGY-SNVBAGLBSA-N 0 0 280.324 2.904 20 5 CFBDRN CCC(O)(CC)COc1cc(C)c([N+](=O)[O-])cc1F ZINC000160143018 528977185 /nfs/dbraw/zinc/97/71/85/528977185.db2.gz XYLMBUPEVGXLCB-UHFFFAOYSA-N 0 0 271.288 2.972 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151300467 529198941 /nfs/dbraw/zinc/19/89/41/529198941.db2.gz ZCAUDUPXJFBIJI-ZDUSSCGKSA-N 0 0 276.336 2.928 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1F)[C@@H](O)C(C)C ZINC000413550698 529210175 /nfs/dbraw/zinc/21/01/75/529210175.db2.gz XDRIGFXZTQSBIN-YPMHNXCESA-N 0 0 270.304 2.941 20 5 CFBDRN CC[C@@H](C)COc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC000413018740 529329929 /nfs/dbraw/zinc/32/99/29/529329929.db2.gz RYNFRSPXPHYJLE-SECBINFHSA-N 0 0 267.281 2.806 20 5 CFBDRN CC(C)(C(=O)N1CCC[C@@H]1CF)c1ccccc1[N+](=O)[O-] ZINC000359533458 535017082 /nfs/dbraw/zinc/01/70/82/535017082.db2.gz ATOSIPZSULEWSS-LLVKDONJSA-N 0 0 294.326 2.833 20 5 CFBDRN CC1=C(C)CN(C(=O)CNc2ccccc2[N+](=O)[O-])CC1 ZINC000435933076 535229568 /nfs/dbraw/zinc/22/95/68/535229568.db2.gz BERLHTPJTDVLNR-UHFFFAOYSA-N 0 0 289.335 2.575 20 5 CFBDRN CC(C)(NC(=O)OCCCF)c1ccccc1[N+](=O)[O-] ZINC000496337710 535231030 /nfs/dbraw/zinc/23/10/30/535231030.db2.gz DKBLOVUXTNBBNT-UHFFFAOYSA-N 0 0 284.287 2.916 20 5 CFBDRN CC/C=C/CCn1nc(-c2ccc([N+](=O)[O-])cc2)oc1=O ZINC000341643989 535235912 /nfs/dbraw/zinc/23/59/12/535235912.db2.gz QWCBSHDMWBOFOR-ONEGZZNKSA-N 0 0 289.291 2.768 20 5 CFBDRN CC[C@@H](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000191851038 535478732 /nfs/dbraw/zinc/47/87/32/535478732.db2.gz MTVOVTRTXAHGAE-SECBINFHSA-N 0 0 266.297 2.978 20 5 CFBDRN CCC[C@H](C)C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359642608 535589370 /nfs/dbraw/zinc/58/93/70/535589370.db2.gz WTODYDVQFRDKDV-NSHDSACASA-N 0 0 292.335 2.752 20 5 CFBDRN CCOC(=O)[C@H](C)CNc1ccc([N+](=O)[O-])c(OCC)c1 ZINC000446857992 535611359 /nfs/dbraw/zinc/61/13/59/535611359.db2.gz PNOWJNFRDCONHI-SNVBAGLBSA-N 0 0 296.323 2.605 20 5 CFBDRN CC[C@@H](CNc1ncc([N+](=O)[O-])c(C)n1)c1ccccc1 ZINC000450223734 535746938 /nfs/dbraw/zinc/74/69/38/535746938.db2.gz PCKBATABTJUUPD-LBPRGKRZSA-N 0 0 286.335 2.721 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000456039256 535822619 /nfs/dbraw/zinc/82/26/19/535822619.db2.gz GBWAJDNMRBCLLA-MNOVXSKESA-N 0 0 291.351 2.733 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])cnc1C)C1CCCC1 ZINC000456685487 535868172 /nfs/dbraw/zinc/86/81/72/535868172.db2.gz IJNNAZQHQQUTND-AWEZNQCLSA-N 0 0 291.351 2.997 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000450070316 535905212 /nfs/dbraw/zinc/90/52/12/535905212.db2.gz AARWLIGYXJJWPL-BXKDBHETSA-N 0 0 285.303 2.550 20 5 CFBDRN CC[C@]1(CO)CCCN(c2ccc([N+](=O)[O-])cc2)C1 ZINC000275046086 535950886 /nfs/dbraw/zinc/95/08/86/535950886.db2.gz RPHDTLQXAXHGCU-AWEZNQCLSA-N 0 0 264.325 2.584 20 5 CFBDRN CC[C@H](O)[C@H](CC)NC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000454136706 536004894 /nfs/dbraw/zinc/00/48/94/536004894.db2.gz AFTQRQAKWUVYQN-AAEUAGOBSA-N 0 0 295.339 2.574 20 5 CFBDRN CN(C(=O)NCCc1ccc([N+](=O)[O-])cc1)[C@H]1CC1(C)C ZINC000494434772 536034493 /nfs/dbraw/zinc/03/44/93/536034493.db2.gz ZOAJUMSWHLATEO-ZDUSSCGKSA-N 0 0 291.351 2.577 20 5 CFBDRN CC[C@H](C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000191744075 536115511 /nfs/dbraw/zinc/11/55/11/536115511.db2.gz DMZBGCLGYHYDOE-JTQLQIEISA-N 0 0 262.309 2.920 20 5 CFBDRN CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1C[C@@H]1C ZINC000462909237 536134726 /nfs/dbraw/zinc/13/47/26/536134726.db2.gz DOHPLAPYMYNYMA-YUTCNCBUSA-N 0 0 290.363 2.936 20 5 CFBDRN CC[C@H](C)NC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233987197 536168331 /nfs/dbraw/zinc/16/83/31/536168331.db2.gz BGZCADSDOCEJHA-QMMMGPOBSA-N 0 0 254.261 2.571 20 5 CFBDRN CCc1nn(C)c(NCC2(COC)CCCC2)c1[N+](=O)[O-] ZINC000450918300 536181404 /nfs/dbraw/zinc/18/14/04/536181404.db2.gz IEJZHDMUGONVNO-UHFFFAOYSA-N 0 0 296.371 2.509 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1CC12CCOCC2 ZINC000450788897 536738369 /nfs/dbraw/zinc/73/83/69/536738369.db2.gz DUJONMNPXKPRKC-AWEZNQCLSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H](C)C(C)(C)C ZINC000265302116 536954981 /nfs/dbraw/zinc/95/49/81/536954981.db2.gz RSWJVARINOJWEE-SNVBAGLBSA-N 0 0 294.351 2.902 20 5 CFBDRN CC(C)C1CN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)C1 ZINC000935063356 649870594 /nfs/dbraw/zinc/87/05/94/649870594.db2.gz CABMLUCSJJFQOD-LSDHHAIUSA-N 0 0 288.347 2.813 20 5 CFBDRN C[C@@]1(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)C=CCC1 ZINC000935101317 649875384 /nfs/dbraw/zinc/87/53/84/649875384.db2.gz SQMDKRMUEZXMCE-MRXNPFEDSA-N 0 0 286.331 2.836 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)c1ccc[nH]1 ZINC000935128400 649878020 /nfs/dbraw/zinc/87/80/20/649878020.db2.gz HJIROATULLTSCY-ZLKJLUDKSA-N 0 0 299.330 2.904 20 5 CFBDRN CCN(CC(F)F)C(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000935370532 649902222 /nfs/dbraw/zinc/90/22/22/649902222.db2.gz PQGMSPQEAVJMJK-NWDGAFQWSA-N 0 0 298.289 2.812 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)C(F)(F)F ZINC000744026488 574049979 /nfs/dbraw/zinc/04/99/79/574049979.db2.gz OKUYYVNZTFKZGH-LURJTMIESA-N 0 0 293.197 2.707 20 5 CFBDRN COC1(C(=O)OCCc2ccccc2[N+](=O)[O-])CCCC1 ZINC000753989771 574061641 /nfs/dbraw/zinc/06/16/41/574061641.db2.gz IRBTVDWBEASBAZ-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1)OCc1ccsc1 ZINC000744238931 574061999 /nfs/dbraw/zinc/06/19/99/574061999.db2.gz KDHBEPRXJDKCLJ-UHFFFAOYSA-N 0 0 293.300 2.779 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)[C@H]1CCCOC1 ZINC000727711070 574062466 /nfs/dbraw/zinc/06/24/66/574062466.db2.gz FBTBFIMXICKTLQ-QMMMGPOBSA-N 0 0 284.699 2.613 20 5 CFBDRN CO[C@H](C)CCOC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000744308545 574065535 /nfs/dbraw/zinc/06/55/35/574065535.db2.gz YOEMRBSXDVAFDA-SECBINFHSA-N 0 0 292.291 2.658 20 5 CFBDRN Cc1[nH]ccc1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000750527810 574066475 /nfs/dbraw/zinc/06/64/75/574066475.db2.gz VZHYBBCNFHWHGD-UHFFFAOYSA-N 0 0 260.249 2.588 20 5 CFBDRN CCC(C)(C)COC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000744329800 574068258 /nfs/dbraw/zinc/06/82/58/574068258.db2.gz HTQPJIZUZHVLRD-UHFFFAOYSA-N 0 0 283.328 2.506 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@H]1CCCS1 ZINC000744362796 574071135 /nfs/dbraw/zinc/07/11/35/574071135.db2.gz MINZHIJXEVIXNV-LLVKDONJSA-N 0 0 285.296 2.673 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C[C@H]1CCCOC1)CC2 ZINC000754108441 574073575 /nfs/dbraw/zinc/07/35/75/574073575.db2.gz ZCCNWFKSYXKULS-GFCCVEGCSA-N 0 0 276.336 2.692 20 5 CFBDRN CCOC(=O)CCCN1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000754115141 574074310 /nfs/dbraw/zinc/07/43/10/574074310.db2.gz RHYHQJPDJSRUOG-UHFFFAOYSA-N 0 0 292.335 2.609 20 5 CFBDRN CCSCCOC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000744531149 574079421 /nfs/dbraw/zinc/07/94/21/574079421.db2.gz ZGEQNFOCJBFJOR-UHFFFAOYSA-N 0 0 269.322 2.813 20 5 CFBDRN CS[C@H](C)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000728167844 574083459 /nfs/dbraw/zinc/08/34/59/574083459.db2.gz VRTYFWHHCMUWCD-DTWKUNHWSA-N 0 0 269.322 2.951 20 5 CFBDRN CCc1noc([C@H](C)OC(=O)c2csc([N+](=O)[O-])c2)n1 ZINC000744795405 574088246 /nfs/dbraw/zinc/08/82/46/574088246.db2.gz DYCOTEFEDCOZTA-LURJTMIESA-N 0 0 297.292 2.520 20 5 CFBDRN CCCC(=O)COC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000738640863 574090032 /nfs/dbraw/zinc/09/00/32/574090032.db2.gz JUHBDPNHBDYCNX-UHFFFAOYSA-N 0 0 290.275 2.602 20 5 CFBDRN CSCCCOC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000744878626 574091638 /nfs/dbraw/zinc/09/16/38/574091638.db2.gz PHQIPKSQVURWBO-UHFFFAOYSA-N 0 0 273.285 2.644 20 5 CFBDRN C[C@@H]1COCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000754385255 574092839 /nfs/dbraw/zinc/09/28/39/574092839.db2.gz BUTDBNQRQALVHI-JTQLQIEISA-N 0 0 284.743 2.717 20 5 CFBDRN CCc1cnc(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000744999876 574096552 /nfs/dbraw/zinc/09/65/52/574096552.db2.gz QJRSHFCKQMZXQA-UHFFFAOYSA-N 0 0 294.238 2.641 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](n3ccnc3)C2)c(F)c1 ZINC000728566475 574100252 /nfs/dbraw/zinc/10/02/52/574100252.db2.gz GLNJNHKPWSFWPI-GFCCVEGCSA-N 0 0 290.298 2.772 20 5 CFBDRN CC[C@@H](CCOC)OC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000754591356 574106485 /nfs/dbraw/zinc/10/64/85/574106485.db2.gz RKGOACBVMCCANL-LBPRGKRZSA-N 0 0 281.308 2.875 20 5 CFBDRN COCCCCOC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000728767456 574107188 /nfs/dbraw/zinc/10/71/88/574107188.db2.gz JXQNBNNUUVEZCO-UHFFFAOYSA-N 0 0 292.291 2.660 20 5 CFBDRN CCc1ccc(C(=O)O[C@H](C)CCOC)cc1[N+](=O)[O-] ZINC000754612687 574109155 /nfs/dbraw/zinc/10/91/55/574109155.db2.gz XZPZBDCMWGVIDE-SNVBAGLBSA-N 0 0 281.308 2.739 20 5 CFBDRN C[C@H](CC(C)(C)C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000384703079 574120264 /nfs/dbraw/zinc/12/02/64/574120264.db2.gz ZQZJUSRQZXXDRC-SECBINFHSA-N 0 0 279.340 2.732 20 5 CFBDRN CCCCOCCOC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000731547279 574123049 /nfs/dbraw/zinc/12/30/49/574123049.db2.gz LMESYWUMSCXSQI-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN COc1ccc(COC(=O)[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000751735031 574123213 /nfs/dbraw/zinc/12/32/13/574123213.db2.gz LHTUWUHXZRDWKJ-NWDGAFQWSA-N 0 0 291.303 2.693 20 5 CFBDRN CCCCN(CCOC)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000731581552 574124543 /nfs/dbraw/zinc/12/45/43/574124543.db2.gz NTYCVVWELDSSKG-UHFFFAOYSA-N 0 0 298.314 2.623 20 5 CFBDRN Cc1c(COC(=O)[C@H]2C[C@H]2C2CC2)cccc1[N+](=O)[O-] ZINC000751749300 574127188 /nfs/dbraw/zinc/12/71/88/574127188.db2.gz ZCXDAHAWQJVLGQ-STQMWFEESA-N 0 0 275.304 2.993 20 5 CFBDRN CCCCCOC(=O)COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000731736228 574128163 /nfs/dbraw/zinc/12/81/63/574128163.db2.gz YOWFOUDQZAVKDV-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN O=C(OC[C@H]1CCCS1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000745963710 574129777 /nfs/dbraw/zinc/12/97/77/574129777.db2.gz LXNAWGVBGSUYPI-LLVKDONJSA-N 0 0 285.296 2.786 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)Cc2ccccc21 ZINC000754965485 574129945 /nfs/dbraw/zinc/12/99/45/574129945.db2.gz OWRYZWGEMMAVKU-NSHDSACASA-N 0 0 299.330 2.693 20 5 CFBDRN CCC(=O)COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F ZINC000754987075 574131080 /nfs/dbraw/zinc/13/10/80/574131080.db2.gz HZBBVXHUJMEBSZ-UHFFFAOYSA-N 0 0 289.646 2.523 20 5 CFBDRN CCCN(Cc1ccccc1F)Cn1cc([N+](=O)[O-])cn1 ZINC000746037017 574131621 /nfs/dbraw/zinc/13/16/21/574131621.db2.gz BHVGVZAJAIRAIX-UHFFFAOYSA-N 0 0 292.314 2.800 20 5 CFBDRN CCN(Cc1ccc(C)cc1)Cn1nccc1[N+](=O)[O-] ZINC000746028237 574131669 /nfs/dbraw/zinc/13/16/69/574131669.db2.gz HBRIJZCPMUYUAP-UHFFFAOYSA-N 0 0 274.324 2.579 20 5 CFBDRN CCN(Cc1ccc(C)cc1)Cn1cc([N+](=O)[O-])cn1 ZINC000746028206 574131853 /nfs/dbraw/zinc/13/18/53/574131853.db2.gz GZCCGNVQJBIARD-UHFFFAOYSA-N 0 0 274.324 2.579 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])C1(O)CCCC1 ZINC000746064074 574132050 /nfs/dbraw/zinc/13/20/50/574132050.db2.gz CLSBGSPSCDKONI-UHFFFAOYSA-N 0 0 299.710 2.597 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)OCCCF ZINC000755011724 574132140 /nfs/dbraw/zinc/13/21/40/574132140.db2.gz MBELCNWWDBNIRB-KTKRTIGZSA-N 0 0 267.256 2.901 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000746067933 574132749 /nfs/dbraw/zinc/13/27/49/574132749.db2.gz AURSHWQQYVTIMY-ZYHUDNBSSA-N 0 0 299.710 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C1=COCCC1 ZINC000755025792 574133031 /nfs/dbraw/zinc/13/30/31/574133031.db2.gz JGXKEFISGSAHJW-UHFFFAOYSA-N 0 0 276.292 2.844 20 5 CFBDRN CCC(CC)OC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 ZINC000754812485 574134285 /nfs/dbraw/zinc/13/42/85/574134285.db2.gz ZNITVYVELOTNQF-UHFFFAOYSA-N 0 0 295.291 2.711 20 5 CFBDRN COc1cccc([C@H](O)CNc2ccsc2[N+](=O)[O-])c1 ZINC000732050814 574141000 /nfs/dbraw/zinc/14/10/00/574141000.db2.gz UYMOSQAXFIATGQ-GFCCVEGCSA-N 0 0 294.332 2.810 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](C)C1 ZINC000755215174 574147285 /nfs/dbraw/zinc/14/72/85/574147285.db2.gz WZZZTLKPODSHFW-LWMMSDEHSA-N 0 0 274.320 2.867 20 5 CFBDRN Cc1cnc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)o1 ZINC000746438452 574149005 /nfs/dbraw/zinc/14/90/05/574149005.db2.gz FRAKRBKRFVGJQQ-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN CC[C@@H]1CC[C@H](C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)O1 ZINC000755248814 574149485 /nfs/dbraw/zinc/14/94/85/574149485.db2.gz JRPIDQUHWBQYIX-ZWNOBZJWSA-N 0 0 279.292 2.766 20 5 CFBDRN O=C(Nc1cc[nH]n1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000755246792 574149684 /nfs/dbraw/zinc/14/96/84/574149684.db2.gz NQMAIRPIBYWDEJ-UHFFFAOYSA-N 0 0 281.659 2.615 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H]2CCSC2)cc1[N+](=O)[O-] ZINC000755249668 574149825 /nfs/dbraw/zinc/14/98/25/574149825.db2.gz CDXOWMUIYSGIIU-SECBINFHSA-N 0 0 267.306 2.562 20 5 CFBDRN COCCCCC(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000729000353 574155405 /nfs/dbraw/zinc/15/54/05/574155405.db2.gz JRFJGFFLSHAMFN-UHFFFAOYSA-N 0 0 285.271 2.594 20 5 CFBDRN CCCCNc1c(C(=O)OC)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000746715347 574163935 /nfs/dbraw/zinc/16/39/35/574163935.db2.gz MBROSXMVKUWOEJ-UHFFFAOYSA-N 0 0 297.267 2.502 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1ccnc(C2CC2)n1 ZINC000732637221 574164692 /nfs/dbraw/zinc/16/46/92/574164692.db2.gz VGXAPSFKAJVIRA-UHFFFAOYSA-N 0 0 299.286 2.619 20 5 CFBDRN CCOc1cc(COC(=O)C(C)C)ccc1[N+](=O)[O-] ZINC000755504888 574166497 /nfs/dbraw/zinc/16/64/97/574166497.db2.gz CBCRBOLXUSUWIM-UHFFFAOYSA-N 0 0 267.281 2.693 20 5 CFBDRN O=C(NCCC1CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000755515816 574166994 /nfs/dbraw/zinc/16/69/94/574166994.db2.gz KCHJCXXJHYFYAZ-UHFFFAOYSA-N 0 0 287.319 2.996 20 5 CFBDRN O=C(CN1CCc2ccccc21)Oc1cccc([N+](=O)[O-])c1 ZINC000746818803 574167463 /nfs/dbraw/zinc/16/74/63/574167463.db2.gz IHJNJBDUWQNZBA-UHFFFAOYSA-N 0 0 298.298 2.563 20 5 CFBDRN Cc1ncoc1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000755629977 574173075 /nfs/dbraw/zinc/17/30/75/574173075.db2.gz PXSPGFXHRDMXID-SECBINFHSA-N 0 0 276.248 2.809 20 5 CFBDRN CCCC(=O)COC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000738600116 574175095 /nfs/dbraw/zinc/17/50/95/574175095.db2.gz DRIJXHGPYKBXKV-UHFFFAOYSA-N 0 0 297.332 2.599 20 5 CFBDRN CCCC(=O)COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000738572761 574176880 /nfs/dbraw/zinc/17/68/80/574176880.db2.gz GRFYXZMKHKUECX-RMKNXTFCSA-N 0 0 277.276 2.520 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H]1C=CCCC1 ZINC000755675260 574177605 /nfs/dbraw/zinc/17/76/05/574177605.db2.gz BXRWQIYLNUIXRJ-LLVKDONJSA-N 0 0 277.276 2.869 20 5 CFBDRN CCc1ccc(C(=O)OC[C@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000747114048 574180356 /nfs/dbraw/zinc/18/03/56/574180356.db2.gz QBNHIGRNCHVSBT-BXKDBHETSA-N 0 0 263.293 2.970 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@H](n2ccnc2)C1 ZINC000747216633 574187377 /nfs/dbraw/zinc/18/73/77/574187377.db2.gz YFNBVIDMPOZLIL-AWEZNQCLSA-N 0 0 286.335 2.941 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@@H]2C[C@@]2(C)C(C)C)n1 ZINC000755855920 574192533 /nfs/dbraw/zinc/19/25/33/574192533.db2.gz JDOYKOAXJARLMB-HZMBPMFUSA-N 0 0 295.339 2.650 20 5 CFBDRN C[C@@H]1C[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000756064087 574207128 /nfs/dbraw/zinc/20/71/28/574207128.db2.gz XGKCNBJOFLKDAM-YFKFIEJBSA-N 0 0 297.282 2.847 20 5 CFBDRN CC[C@@H]1CCCCN1c1ccc([N+](=O)[O-])c2nonc21 ZINC000733185228 574207189 /nfs/dbraw/zinc/20/71/89/574207189.db2.gz QLAGTONPPVJQQM-SECBINFHSA-N 0 0 276.296 2.900 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)OCCC[C@@H]1CCCO1 ZINC000756068209 574207460 /nfs/dbraw/zinc/20/74/60/574207460.db2.gz WVTQPOZBQZXSBS-AWEZNQCLSA-N 0 0 293.319 2.640 20 5 CFBDRN O=[N+]([O-])c1ccn(-c2ccc(C(F)(F)F)c(Cl)n2)n1 ZINC000747802598 574213381 /nfs/dbraw/zinc/21/33/81/574213381.db2.gz CGZRCTSLHFMEJG-UHFFFAOYSA-N 0 0 292.604 2.848 20 5 CFBDRN CC(C)NC(=S)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000739436864 574213820 /nfs/dbraw/zinc/21/38/20/574213820.db2.gz GXMVAGOMISLNPA-UHFFFAOYSA-N 0 0 295.408 2.790 20 5 CFBDRN Cc1cc(C)c(OC(=O)C[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000739485265 574215036 /nfs/dbraw/zinc/21/50/36/574215036.db2.gz OQMIPMHLLYTXAH-LLVKDONJSA-N 0 0 279.292 2.544 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])NCCC1CCC1 ZINC000755175481 574215298 /nfs/dbraw/zinc/21/52/98/574215298.db2.gz SYFFZNSKXWTITJ-UHFFFAOYSA-N 0 0 291.351 2.627 20 5 CFBDRN COc1cc(NCc2cccc(F)n2)c([N+](=O)[O-])cc1F ZINC000747845295 574215676 /nfs/dbraw/zinc/21/56/76/574215676.db2.gz HEKHGPROLVZFDF-UHFFFAOYSA-N 0 0 295.245 2.889 20 5 CFBDRN CO[C@H](CNc1ccc([N+](=O)[O-])cc1F)C(F)(F)F ZINC000733304456 574216705 /nfs/dbraw/zinc/21/67/05/574216705.db2.gz YYGJUDUYAVSXFB-SECBINFHSA-N 0 0 282.193 2.723 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000729447837 574216806 /nfs/dbraw/zinc/21/68/06/574216806.db2.gz GDKJQENTDSSOTJ-GDEHEKBSSA-N 0 0 274.320 2.913 20 5 CFBDRN Cc1ccc(C)c(OC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000756213623 574217000 /nfs/dbraw/zinc/21/70/00/574217000.db2.gz ZLIPREUESYBFND-UHFFFAOYSA-N 0 0 274.276 2.769 20 5 CFBDRN CN(CCOC(=O)C=C1CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000756259760 574219604 /nfs/dbraw/zinc/21/96/04/574219604.db2.gz XOIUSZBGZODDRP-UHFFFAOYSA-N 0 0 290.319 2.685 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)C[C@H]1CCOC1 ZINC000739577135 574219638 /nfs/dbraw/zinc/21/96/38/574219638.db2.gz ODPMVYWEELWQJW-SECBINFHSA-N 0 0 299.710 2.889 20 5 CFBDRN CC[C@H](C)C(=O)COC(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000756342880 574227007 /nfs/dbraw/zinc/22/70/07/574227007.db2.gz GICJYKCIZUJMMF-VIFPVBQESA-N 0 0 279.292 2.675 20 5 CFBDRN C[C@H]1CCC[C@@H](CC(=O)OCCn2ccc([N+](=O)[O-])n2)C1 ZINC000748120048 574230127 /nfs/dbraw/zinc/23/01/27/574230127.db2.gz IMMFPKPJZMMVIN-NWDGAFQWSA-N 0 0 295.339 2.551 20 5 CFBDRN O=C(OCC[C@@H]1CCCC1=O)c1ccc([N+](=O)[O-])s1 ZINC000748159461 574233523 /nfs/dbraw/zinc/23/35/23/574233523.db2.gz FBRCYDBSJXCBJC-QMMMGPOBSA-N 0 0 283.305 2.572 20 5 CFBDRN CCSCCOC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000729890739 574235497 /nfs/dbraw/zinc/23/54/97/574235497.db2.gz DIAIBSXQZDXMIA-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN O=C(OCC(F)F)c1c(Cl)cccc1[N+](=O)[O-] ZINC000733491549 574241967 /nfs/dbraw/zinc/24/19/67/574241967.db2.gz LECQTXJBAZLLMN-UHFFFAOYSA-N 0 0 265.599 2.670 20 5 CFBDRN Cc1cc(C(=O)O[C@@H]2C=CCCC2)c(N)c([N+](=O)[O-])c1 ZINC000733483270 574243151 /nfs/dbraw/zinc/24/31/51/574243151.db2.gz FHRCRPCWEOROAB-SNVBAGLBSA-N 0 0 276.292 2.751 20 5 CFBDRN CC[C@@H](C)C(=O)COC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000756538242 574244205 /nfs/dbraw/zinc/24/42/05/574244205.db2.gz WNWDLVCGUGACRD-LLVKDONJSA-N 0 0 293.319 2.686 20 5 CFBDRN Cc1c(CC(=O)OCC(F)(F)F)cccc1[N+](=O)[O-] ZINC000748477355 574254390 /nfs/dbraw/zinc/25/43/90/574254390.db2.gz OCXWIAMBNLOVPV-UHFFFAOYSA-N 0 0 277.198 2.551 20 5 CFBDRN COc1ccc(COC(=O)C=C2CCC2)cc1[N+](=O)[O-] ZINC000756721682 574258612 /nfs/dbraw/zinc/25/86/12/574258612.db2.gz HEZWRRTXXKAKTP-UHFFFAOYSA-N 0 0 277.276 2.757 20 5 CFBDRN O=C(C=C1CCC1)OCCOc1ccccc1[N+](=O)[O-] ZINC000756731635 574259487 /nfs/dbraw/zinc/25/94/87/574259487.db2.gz CVROLAABQWLCNC-UHFFFAOYSA-N 0 0 277.276 2.627 20 5 CFBDRN Cc1ncc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)s1 ZINC000748611062 574261057 /nfs/dbraw/zinc/26/10/57/574261057.db2.gz WZRUXLDQZBEXBN-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN CC[C@@H](OC)C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000740675945 574261490 /nfs/dbraw/zinc/26/14/90/574261490.db2.gz XKJSMPGKPXTMBQ-LLVKDONJSA-N 0 0 287.699 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC[C@H]2CCCS2)cc1 ZINC000756785701 574262824 /nfs/dbraw/zinc/26/28/24/574262824.db2.gz KVGPCHWDUGRWSK-LLVKDONJSA-N 0 0 297.405 2.777 20 5 CFBDRN CC(C)(C)C(=O)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000733930783 574263538 /nfs/dbraw/zinc/26/35/38/574263538.db2.gz HLGKRNUNSCMDKW-UHFFFAOYSA-N 0 0 284.699 2.802 20 5 CFBDRN Cc1csc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)n1 ZINC000748801364 574267613 /nfs/dbraw/zinc/26/76/13/574267613.db2.gz LMOSOZHCUXTZGV-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN CC[C@H](C)NC(=S)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000756853255 574268989 /nfs/dbraw/zinc/26/89/89/574268989.db2.gz MMMSGSWEWOCCDW-JTQLQIEISA-N 0 0 293.392 2.626 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000740871275 574271757 /nfs/dbraw/zinc/27/17/57/574271757.db2.gz QBHFXDPRFKNULN-RKDXNWHRSA-N 0 0 265.269 2.738 20 5 CFBDRN COc1ccc(CC(=O)OC[Si](C)(C)C)cc1[N+](=O)[O-] ZINC000740959188 574276026 /nfs/dbraw/zinc/27/60/26/574276026.db2.gz ZEYCQVYLIQQKRD-UHFFFAOYSA-N 0 0 297.383 2.567 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])nn1C ZINC000749058189 574278650 /nfs/dbraw/zinc/27/86/50/574278650.db2.gz XAPXWLNREFZXDV-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN C[C@H](CNC(=O)COc1ccccc1[N+](=O)[O-])C(C)(C)C ZINC000734335241 574282362 /nfs/dbraw/zinc/28/23/62/574282362.db2.gz DJNBODVZLZMDPG-LLVKDONJSA-N 0 0 294.351 2.772 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@H](C)C(C)(C)C ZINC000734340995 574283227 /nfs/dbraw/zinc/28/32/27/574283227.db2.gz RLPOZXLITDAQMF-VIFPVBQESA-N 0 0 279.340 2.710 20 5 CFBDRN Cc1sc(C(=O)OCC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000749200290 574284144 /nfs/dbraw/zinc/28/41/44/574284144.db2.gz DDVRFOFXWXKAFT-SECBINFHSA-N 0 0 285.321 2.691 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N[C@H](CCO)C3CC3)sc2c1 ZINC000741242193 574284396 /nfs/dbraw/zinc/28/43/96/574284396.db2.gz NUYWTAFWELYEJP-SNVBAGLBSA-N 0 0 293.348 2.777 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@@H](O)c1ccccc1F ZINC000749268389 574287423 /nfs/dbraw/zinc/28/74/23/574287423.db2.gz HFRFEUKOEZSQHN-LLVKDONJSA-N 0 0 282.296 2.941 20 5 CFBDRN O=C(OC/C=C/Cl)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000749314195 574289173 /nfs/dbraw/zinc/28/91/73/574289173.db2.gz ALKSJRSBUUWCHX-DAFODLJHSA-N 0 0 280.667 2.985 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000741445356 574290285 /nfs/dbraw/zinc/29/02/85/574290285.db2.gz KZHUIMWKYLSCCL-BXKDBHETSA-N 0 0 299.710 2.716 20 5 CFBDRN O=C(CCC1CC1)OCc1csc([N+](=O)[O-])c1 ZINC000734612122 574295627 /nfs/dbraw/zinc/29/56/27/574295627.db2.gz UJQFPEDDWBSAQQ-UHFFFAOYSA-N 0 0 255.295 2.890 20 5 CFBDRN O=C(CCC1CC1)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000734611731 574295679 /nfs/dbraw/zinc/29/56/79/574295679.db2.gz BWHVUUGVROAAAD-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN Cc1[nH]nc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])c1C ZINC000734707826 574299700 /nfs/dbraw/zinc/29/97/00/574299700.db2.gz NCMVUPDDKVUTFX-SNVBAGLBSA-N 0 0 289.291 2.853 20 5 CFBDRN CC[C@H](OC)C(=O)OCc1cc([N+](=O)[O-])ccc1Cl ZINC000734779301 574302737 /nfs/dbraw/zinc/30/27/37/574302737.db2.gz DZXARTWYOBZPSN-NSHDSACASA-N 0 0 287.699 2.716 20 5 CFBDRN O=[N+]([O-])c1ccnn1CN(CC1CCCCC1)C1CC1 ZINC000725772630 574305967 /nfs/dbraw/zinc/30/59/67/574305967.db2.gz ZINIQAXJKSWDOD-UHFFFAOYSA-N 0 0 278.356 2.794 20 5 CFBDRN CCO[C@H](C)C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000734890599 574306621 /nfs/dbraw/zinc/30/66/21/574306621.db2.gz FLDWJABDHDAAFS-MRVPVSSYSA-N 0 0 287.699 2.716 20 5 CFBDRN Cc1ccncc1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000741885414 574309058 /nfs/dbraw/zinc/30/90/58/574309058.db2.gz QSVGPOVNADLMKY-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN Cc1ccncc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000741911198 574310023 /nfs/dbraw/zinc/31/00/23/574310023.db2.gz HZMWEWPTJQBTHB-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN CC(=O)c1ccc(OCc2cscn2)c([N+](=O)[O-])c1 ZINC000749818689 574311057 /nfs/dbraw/zinc/31/10/57/574311057.db2.gz DOUPXQQZOUNKSM-UHFFFAOYSA-N 0 0 278.289 2.833 20 5 CFBDRN COCCCCCOC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000735134792 574313237 /nfs/dbraw/zinc/31/32/37/574313237.db2.gz STYSXEDNHHUOKE-UHFFFAOYSA-N 0 0 285.271 2.707 20 5 CFBDRN CC(C)n1ccc(COC(=O)c2ccc([N+](=O)[O-])s2)n1 ZINC000735124037 574313876 /nfs/dbraw/zinc/31/38/76/574313876.db2.gz PZBDEGRDNFYONA-UHFFFAOYSA-N 0 0 295.320 2.791 20 5 CFBDRN COCCCCCOC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000735128339 574314086 /nfs/dbraw/zinc/31/40/86/574314086.db2.gz RZSDWPWAUFFXNX-UHFFFAOYSA-N 0 0 281.308 2.877 20 5 CFBDRN Nc1ccc(C(=O)N2C3CCCC2CCC3)cc1[N+](=O)[O-] ZINC000742119195 574315822 /nfs/dbraw/zinc/31/58/22/574315822.db2.gz ITTTWWKDVRYIKX-UHFFFAOYSA-N 0 0 289.335 2.724 20 5 CFBDRN O=C(Nc1ccc[nH]c1=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000749937985 574316648 /nfs/dbraw/zinc/31/66/48/574316648.db2.gz FIXJIESJPYWYQA-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@@H]3CCCC[C@@H]3C2)nc2ccccn21 ZINC000750031207 574321652 /nfs/dbraw/zinc/32/16/52/574321652.db2.gz LYIBPDJWVFPGOT-TXEJJXNPSA-N 0 0 286.335 2.869 20 5 CFBDRN COC(=O)Nc1ccc(Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000735487430 574326617 /nfs/dbraw/zinc/32/66/17/574326617.db2.gz YJGUIFNPDAPIED-UHFFFAOYSA-N 0 0 288.263 2.912 20 5 CFBDRN COC(=O)CCCCCCNc1ccc([N+](=O)[O-])nc1 ZINC000735486639 574326802 /nfs/dbraw/zinc/32/68/02/574326802.db2.gz FNXDOWIOIMJKPO-UHFFFAOYSA-N 0 0 281.312 2.525 20 5 CFBDRN Cc1nccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])n1 ZINC000735637255 574331831 /nfs/dbraw/zinc/33/18/31/574331831.db2.gz MTDMOYFVUGLSDM-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccon1 ZINC000755769071 574333779 /nfs/dbraw/zinc/33/37/79/574333779.db2.gz IQZNTDNZEFKURW-HJWRWDBZSA-N 0 0 273.248 2.625 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)C1 ZINC000742742994 574342432 /nfs/dbraw/zinc/34/24/32/574342432.db2.gz JZSJMSPYVXJBEJ-PSASIEDQSA-N 0 0 298.339 2.748 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)OC[Si](C)(C)C ZINC000735968565 574344726 /nfs/dbraw/zinc/34/47/26/574344726.db2.gz IMLUOTYNLOWACH-UHFFFAOYSA-N 0 0 296.399 2.695 20 5 CFBDRN CC1(C(=O)OCCOc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000750528345 574345681 /nfs/dbraw/zinc/34/56/81/574345681.db2.gz RUPFQPYKMFJAAM-UHFFFAOYSA-N 0 0 279.292 2.707 20 5 CFBDRN CCOCCCOC(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000750535651 574346603 /nfs/dbraw/zinc/34/66/03/574346603.db2.gz PNFWKAROSBZJTE-UHFFFAOYSA-N 0 0 287.699 2.832 20 5 CFBDRN Cc1ccoc1/C=C1/N=C(c2cccc([N+](=O)[O-])c2)OC1=O ZINC000743281031 574357178 /nfs/dbraw/zinc/35/71/78/574357178.db2.gz KUNKUQIXGRLIBA-XYOKQWHBSA-N 0 0 298.254 2.841 20 5 CFBDRN COc1ccc(COC(=O)[C@H]2CCCS2)cc1[N+](=O)[O-] ZINC000750888270 574359295 /nfs/dbraw/zinc/35/92/95/574359295.db2.gz AJJBCIRZTMEIPB-GFCCVEGCSA-N 0 0 297.332 2.542 20 5 CFBDRN CO[C@H](CNc1ncc([N+](=O)[O-])cc1Cl)C(F)(F)F ZINC000736288463 574359807 /nfs/dbraw/zinc/35/98/07/574359807.db2.gz RRTZURBMBZUPQR-SSDOTTSWSA-N 0 0 299.636 2.632 20 5 CFBDRN Cc1ccnc(NCCNc2ccccc2)c1[N+](=O)[O-] ZINC000736294760 574360180 /nfs/dbraw/zinc/36/01/80/574360180.db2.gz LVEUITUBGLDNLV-UHFFFAOYSA-N 0 0 272.308 2.822 20 5 CFBDRN CC(C)=C(C)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000750942229 574364363 /nfs/dbraw/zinc/36/43/63/574364363.db2.gz UBOGQNHDGVHZMN-UHFFFAOYSA-N 0 0 279.292 2.873 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)OCC(=O)c1ccccc1 ZINC000725988430 574376967 /nfs/dbraw/zinc/37/69/67/574376967.db2.gz UAOUYQJKAMYIIC-UHFFFAOYSA-N 0 0 299.282 2.943 20 5 CFBDRN CC(C)CC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000751646070 574380499 /nfs/dbraw/zinc/38/04/99/574380499.db2.gz FBWXECODCREPSX-UHFFFAOYSA-N 0 0 283.255 2.506 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)[C@H]1C[C@H]1C1CC1 ZINC000751735834 574381639 /nfs/dbraw/zinc/38/16/39/574381639.db2.gz IDWXDYWIYHXTIN-STQMWFEESA-N 0 0 291.303 2.693 20 5 CFBDRN O=C(OCCOc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1C1CC1 ZINC000751734266 574381673 /nfs/dbraw/zinc/38/16/73/574381673.db2.gz ANLBMZZPBDQDFI-KGLIPLIRSA-N 0 0 291.303 2.563 20 5 CFBDRN CC(C)CSCCCOC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000751750191 574382304 /nfs/dbraw/zinc/38/23/04/574382304.db2.gz OAGDCMWANJJIKR-UHFFFAOYSA-N 0 0 298.364 2.926 20 5 CFBDRN CC[C@H](C)OCC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000751873636 574384021 /nfs/dbraw/zinc/38/40/21/574384021.db2.gz FJKPVEDGJYAJGG-QMMMGPOBSA-N 0 0 273.310 2.515 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])[C@@H](O)c1cccc(F)c1 ZINC000751964253 574385892 /nfs/dbraw/zinc/38/58/92/574385892.db2.gz CBWPTXOGLUTVRL-TVQRCGJNSA-N 0 0 291.282 2.663 20 5 CFBDRN CCCC(=O)COC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000752346969 574390442 /nfs/dbraw/zinc/39/04/42/574390442.db2.gz FECBEJIJSVISBN-PKNBQFBNSA-N 0 0 291.303 2.911 20 5 CFBDRN CN(CCOC(=O)[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000752488216 574391899 /nfs/dbraw/zinc/39/18/99/574391899.db2.gz RFOJIBFWAZDSIU-CYBMUJFWSA-N 0 0 292.335 2.620 20 5 CFBDRN Cc1cc(COC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])no1 ZINC000752558263 574392724 /nfs/dbraw/zinc/39/27/24/574392724.db2.gz NOJBRDGRJIUAFS-UHFFFAOYSA-N 0 0 294.238 2.696 20 5 CFBDRN Cc1cc(COC(=O)c2c(F)ccc([N+](=O)[O-])c2F)on1 ZINC000752568596 574392801 /nfs/dbraw/zinc/39/28/01/574392801.db2.gz QWHTWDPDEHREBE-UHFFFAOYSA-N 0 0 298.201 2.526 20 5 CFBDRN Cn1nccc1COc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC000752913920 574396292 /nfs/dbraw/zinc/39/62/92/574396292.db2.gz XQVWAHDUZKJMRZ-UHFFFAOYSA-N 0 0 285.662 2.700 20 5 CFBDRN CN(C[C@@H]1CC=CCC1)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000753109918 574398733 /nfs/dbraw/zinc/39/87/33/574398733.db2.gz ZEGXBEANRWXLBS-LLVKDONJSA-N 0 0 289.335 2.605 20 5 CFBDRN Cc1cccc(CN(C)c2nc3ccccn3c2[N+](=O)[O-])n1 ZINC000753116164 574398807 /nfs/dbraw/zinc/39/88/07/574398807.db2.gz QJIRPILKBPFSLM-UHFFFAOYSA-N 0 0 297.318 2.582 20 5 CFBDRN CCCCCCOC(=O)c1cc([N+](=O)[O-])c(N)cc1OC ZINC000753447439 574402600 /nfs/dbraw/zinc/40/26/00/574402600.db2.gz HMFPPSSKUWXNGJ-UHFFFAOYSA-N 0 0 296.323 2.923 20 5 CFBDRN CCc1nc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)co1 ZINC000753627359 574407091 /nfs/dbraw/zinc/40/70/91/574407091.db2.gz WOYHIEJGTZFMPV-UHFFFAOYSA-N 0 0 279.227 2.537 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(CC(F)C3)C2)c(F)c1 ZINC000753816722 574410833 /nfs/dbraw/zinc/41/08/33/574410833.db2.gz WZKHPWGDDAUCLD-UHFFFAOYSA-N 0 0 254.236 2.672 20 5 CFBDRN O=[N+]([O-])c1cn(CC[C@@H](O)c2ccccc2)nc1C1CC1 ZINC000795230371 629748683 /nfs/dbraw/zinc/74/86/83/629748683.db2.gz KTGGAJMIBJTWLZ-CQSZACIVSA-N 0 0 287.319 2.792 20 5 CFBDRN C[C@]1(C(=O)[O-])CC[N@H+](Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000828028898 601931455 /nfs/dbraw/zinc/93/14/55/601931455.db2.gz HHFCGVDEYKKHNL-ZDUSSCGKSA-N 0 0 298.726 2.545 20 5 CFBDRN C[C@]1([NH2+]Cc2ccccc2[N+](=O)[O-])CCCC[C@@H]1C(=O)[O-] ZINC000833569440 604813647 /nfs/dbraw/zinc/81/36/47/604813647.db2.gz KALLUBBEXYJTFZ-DOMZBBRYSA-N 0 0 292.335 2.718 20 5 CFBDRN O=[N+]([O-])c1cccc(CSCCO[C@H]2CCOC2)c1 ZINC000901732753 620910372 /nfs/dbraw/zinc/91/03/72/620910372.db2.gz IVMROKLNYMKPJU-ZDUSSCGKSA-N 0 0 283.349 2.634 20 5 CFBDRN CC(C)C[C@@H](C)Nc1ccc(-c2nn[nH]n2)cc1[N+](=O)[O-] ZINC000824230052 607731098 /nfs/dbraw/zinc/73/10/98/607731098.db2.gz YSVHMSXJMMNSNS-SECBINFHSA-N 0 0 290.327 2.621 20 5 CFBDRN CC(C)C[C@@H](C)Nc1ccc([N+](=O)[O-])cc1-c1nn[nH]n1 ZINC000824229872 607731109 /nfs/dbraw/zinc/73/11/09/607731109.db2.gz WQDUDUXHOJZFAQ-SECBINFHSA-N 0 0 290.327 2.621 20 5 CFBDRN Cc1ccc([C@@H](C)[NH2+]CC(C)(C)NC(=O)[O-])cc1[N+](=O)[O-] ZINC000830257992 605107132 /nfs/dbraw/zinc/10/71/32/605107132.db2.gz YUQAZVLOTVSRJR-SNVBAGLBSA-N 0 0 295.339 2.600 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Cn1cc(C2CCC2)nn1 ZINC000900527472 620686602 /nfs/dbraw/zinc/68/66/02/620686602.db2.gz PNLVCIZPABUGOP-UHFFFAOYSA-N 0 0 276.271 2.641 20 5 CFBDRN CC1(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000254944667 617693182 /nfs/dbraw/zinc/69/31/82/617693182.db2.gz RIRQUBUMXFZIIF-YVMONPNESA-N 0 0 274.320 2.914 20 5 CFBDRN CCC(C)(C)NC(=S)NNc1ccc([N+](=O)[O-])cc1C ZINC000806590560 617841087 /nfs/dbraw/zinc/84/10/87/617841087.db2.gz RKIBHHSLCMWDBI-UHFFFAOYSA-N 0 0 296.396 2.883 20 5 CFBDRN C/C=C\COC(=O)c1ccc(OCC)c([N+](=O)[O-])c1 ZINC000255114873 617965535 /nfs/dbraw/zinc/96/55/35/617965535.db2.gz CFHLOMKXACDYMT-HYXAFXHYSA-N 0 0 265.265 2.726 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H]2CC[C@@H]2C)c1[N+](=O)[O-] ZINC000804854033 618046312 /nfs/dbraw/zinc/04/63/12/618046312.db2.gz DYSPUNWIVYWIPT-CPCISQLKSA-N 0 0 266.272 2.571 20 5 CFBDRN C[C@@H]1CC[C@H]1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000804854774 618052060 /nfs/dbraw/zinc/05/20/60/618052060.db2.gz KTNCYKLOPYIAOQ-LDYMZIIASA-N 0 0 284.262 2.601 20 5 CFBDRN CCC[C@@H](C)S(=O)(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000845904723 618080033 /nfs/dbraw/zinc/08/00/33/618080033.db2.gz YEHGQQKYRYFWOX-SNVBAGLBSA-N 0 0 287.337 2.800 20 5 CFBDRN CCn1nccc1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000120423216 617690646 /nfs/dbraw/zinc/69/06/46/617690646.db2.gz FZRZXQHJYORMHR-UHFFFAOYSA-N 0 0 294.698 2.717 20 5 CFBDRN C[C@@H]1C[C@@H]1COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000859541666 617720474 /nfs/dbraw/zinc/72/04/74/617720474.db2.gz KRMMHRXBJNUSBR-VXNVDRBHSA-N 0 0 253.229 2.547 20 5 CFBDRN CC(C)CC(=O)OCCc1ccc([N+](=O)[O-])cc1 ZINC000060771327 617767829 /nfs/dbraw/zinc/76/78/29/617767829.db2.gz JZPWTBRNIMNIDN-UHFFFAOYSA-N 0 0 251.282 2.727 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccnc(F)c1F ZINC000097865023 617791204 /nfs/dbraw/zinc/79/12/04/617791204.db2.gz SYVUQEZNQCWTEV-UHFFFAOYSA-N 0 0 279.202 2.520 20 5 CFBDRN CCCCCCn1cnc2cc([N+](=O)[O-])ccc2c1=O ZINC000060816886 617791323 /nfs/dbraw/zinc/79/13/23/617791323.db2.gz KAKHFHBJSXURQR-UHFFFAOYSA-N 0 0 275.308 2.885 20 5 CFBDRN CC[C@H](O)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000234034911 617803845 /nfs/dbraw/zinc/80/38/45/617803845.db2.gz WOXAGEAUSLLFRT-LURJTMIESA-N 0 0 262.668 2.570 20 5 CFBDRN CC1=C[C@H](C)CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000804682530 617805365 /nfs/dbraw/zinc/80/53/65/617805365.db2.gz KUTVUJBYFXGVBX-VIFPVBQESA-N 0 0 277.280 2.905 20 5 CFBDRN CC[C@H]1CN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCCO1 ZINC000804686144 617810916 /nfs/dbraw/zinc/81/09/16/617810916.db2.gz FYKJAVPCAIVRPE-JTQLQIEISA-N 0 0 295.295 2.508 20 5 CFBDRN C[C@H](O)[C@@H]1CCCN(Cc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000120820455 617824930 /nfs/dbraw/zinc/82/49/30/617824930.db2.gz WYPWJCUEKXGLSY-WDEREUQCSA-N 0 0 298.770 2.841 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000891954385 617854784 /nfs/dbraw/zinc/85/47/84/617854784.db2.gz BTRMQBAFFULFJB-ZDUSSCGKSA-N 0 0 295.295 2.508 20 5 CFBDRN Cc1ccc([C@@H](O)[C@H](C)Nc2ccc([N+](=O)[O-])nc2)cc1 ZINC000804811304 617894770 /nfs/dbraw/zinc/89/47/70/617894770.db2.gz SCSYUWXTXCELBL-NHYWBVRUSA-N 0 0 287.319 2.832 20 5 CFBDRN O=C(OCSc1ccc([N+](=O)[O-])cn1)c1ccccc1 ZINC000160284760 617907554 /nfs/dbraw/zinc/90/75/54/617907554.db2.gz FIBWGUGGDPFWPB-UHFFFAOYSA-N 0 0 290.300 2.896 20 5 CFBDRN Cc1cnc(COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)o1 ZINC000255441745 617921891 /nfs/dbraw/zinc/92/18/91/617921891.db2.gz ZSHQZCSDKGXBMO-DAXSKMNVSA-N 0 0 288.259 2.648 20 5 CFBDRN CSCC(C)(C)NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000890876377 617933389 /nfs/dbraw/zinc/93/33/89/617933389.db2.gz OFJBSWQBPCXTLM-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)OC[C@H](C)[N+](=O)[O-] ZINC000813110726 617937023 /nfs/dbraw/zinc/93/70/23/617937023.db2.gz ICVWSXDREMDDSH-XQHKEYJVSA-N 0 0 287.356 2.569 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN[C@H](C)c1cncs1 ZINC000934192982 617942711 /nfs/dbraw/zinc/94/27/11/617942711.db2.gz AZMUSAANKZGGOY-SECBINFHSA-N 0 0 293.348 2.911 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)c1ocnc1C1CC1 ZINC000807918531 617984483 /nfs/dbraw/zinc/98/44/83/617984483.db2.gz VMUVAVGKWPSLHE-UHFFFAOYSA-N 0 0 274.232 2.679 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC(C)(F)F)c1C ZINC000891180338 618024050 /nfs/dbraw/zinc/02/40/50/618024050.db2.gz HQSKZYJEJWEBKO-UHFFFAOYSA-N 0 0 272.251 2.597 20 5 CFBDRN COC(=O)CCCN(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000050086309 618054199 /nfs/dbraw/zinc/05/41/99/618054199.db2.gz JLLIERAKNUIMRN-UHFFFAOYSA-N 0 0 286.715 2.638 20 5 CFBDRN COCC(C)(C)COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000813995799 618068238 /nfs/dbraw/zinc/06/82/38/618068238.db2.gz XEWAWESFRVKIDY-UHFFFAOYSA-N 0 0 281.308 2.733 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CC[C@@H](SC)C1 ZINC000234212587 618068811 /nfs/dbraw/zinc/06/88/11/618068811.db2.gz QQICANKQXGKMCF-VHSXEESVSA-N 0 0 284.385 2.816 20 5 CFBDRN CCC[C@H](CNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-])OC ZINC000891263082 618087199 /nfs/dbraw/zinc/08/71/99/618087199.db2.gz YQWPNFUERRKNHA-GFCCVEGCSA-N 0 0 294.351 2.757 20 5 CFBDRN CO[C@@H]1CCC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000050373126 618093858 /nfs/dbraw/zinc/09/38/58/618093858.db2.gz HMBIUKPOKSNLQP-VXGBXAGGSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H](C[S@@](C)=O)Nc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000235134566 618095357 /nfs/dbraw/zinc/09/53/57/618095357.db2.gz DQHAKRHQZDYJCT-AHGSNSTDSA-N 0 0 294.735 2.566 20 5 CFBDRN C[C@H](C(=O)Oc1cccc([N+](=O)[O-])c1)C1CCOCC1 ZINC000160502490 618099937 /nfs/dbraw/zinc/09/99/37/618099937.db2.gz AFDLOYDZFVFOCY-JTQLQIEISA-N 0 0 279.292 2.563 20 5 CFBDRN CCC[C@@H](C)OC(=O)c1cc(OC)ccc1[N+](=O)[O-] ZINC000177773959 618104678 /nfs/dbraw/zinc/10/46/78/618104678.db2.gz SBKQTWOIJUFPAW-SECBINFHSA-N 0 0 267.281 2.949 20 5 CFBDRN COc1cc(C(=O)O[C@H]2CC[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000779260642 618117987 /nfs/dbraw/zinc/11/79/87/618117987.db2.gz VMTXEAMDMBQAOU-ONGXEEELSA-N 0 0 279.292 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCc2nccs2)c1 ZINC000106403925 618118978 /nfs/dbraw/zinc/11/89/78/618118978.db2.gz RKOFKPBMJWYTRR-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)Cc1ccccn1 ZINC000061569772 618126585 /nfs/dbraw/zinc/12/65/85/618126585.db2.gz FTLVBPOORIMAKK-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N(C)Cc1ccncc1 ZINC000061569770 618126764 /nfs/dbraw/zinc/12/67/64/618126764.db2.gz NWQYPMLUBJUBRR-UHFFFAOYSA-N 0 0 285.303 2.829 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)[C@H](F)CC1CCCCC1 ZINC000891346196 618141727 /nfs/dbraw/zinc/14/17/27/618141727.db2.gz VUYJDRNYOPOUFL-SNVBAGLBSA-N 0 0 298.318 2.575 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC(=O)CCCC1CCCC1 ZINC000891350090 618143099 /nfs/dbraw/zinc/14/30/99/618143099.db2.gz ZJOVQAIJJKBYBZ-UHFFFAOYSA-N 0 0 280.328 2.627 20 5 CFBDRN CC(C)C[C@@H](C)C[C@H](C)OC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000781486481 618150037 /nfs/dbraw/zinc/15/00/37/618150037.db2.gz WLCYYJIBELAHJP-MNOVXSKESA-N 0 0 297.355 2.946 20 5 CFBDRN O=C(C=C1CCC1)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849740929 618154901 /nfs/dbraw/zinc/15/49/01/618154901.db2.gz DEKNBHKBUNHNIP-UHFFFAOYSA-N 0 0 265.240 2.887 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000161690509 618155587 /nfs/dbraw/zinc/15/55/87/618155587.db2.gz WXCYDSMIOKPUIM-DTORHVGOSA-N 0 0 293.279 2.516 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCOC[C@H]1CC1CC1 ZINC000933216757 618171156 /nfs/dbraw/zinc/17/11/56/618171156.db2.gz ZFZYKOADSLEPNU-CQSZACIVSA-N 0 0 276.336 2.596 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCCc2ccco2)c1[N+](=O)[O-] ZINC000121319232 618173439 /nfs/dbraw/zinc/17/34/39/618173439.db2.gz XXJVVAQNJFYCSW-UHFFFAOYSA-N 0 0 292.266 2.608 20 5 CFBDRN C[C@@H](O)[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000168296877 618188445 /nfs/dbraw/zinc/18/84/45/618188445.db2.gz RKVSHMIODKRFED-RKDXNWHRSA-N 0 0 286.278 2.740 20 5 CFBDRN CC[C@@H](C)NC(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000891407546 618189108 /nfs/dbraw/zinc/18/91/08/618189108.db2.gz ACRKBRFDEAEDII-WDEREUQCSA-N 0 0 279.340 2.796 20 5 CFBDRN O=[N+]([O-])c1c(NCCc2cccs2)nc2ccccn21 ZINC000052713086 618190754 /nfs/dbraw/zinc/19/07/54/618190754.db2.gz BSAHZNOXFHRQLR-UHFFFAOYSA-N 0 0 288.332 2.959 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCc1ccc(Cl)cc1 ZINC000891429173 618196769 /nfs/dbraw/zinc/19/67/69/618196769.db2.gz LHWGOLFOSWVSOK-UHFFFAOYSA-N 0 0 280.715 2.636 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1CCC(=O)OCC1CCCCC1 ZINC000065659029 618208444 /nfs/dbraw/zinc/20/84/44/618208444.db2.gz BEEAKWYAMBGONA-UHFFFAOYSA-N 0 0 295.339 2.613 20 5 CFBDRN O=C([O-])C[C@H]1CCC[N@@H+]1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000093633509 618208876 /nfs/dbraw/zinc/20/88/76/618208876.db2.gz PSICKLIDMPTXQP-LLVKDONJSA-N 0 0 298.726 2.687 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCC(=O)NCc1ccccc1 ZINC000309630620 618222433 /nfs/dbraw/zinc/22/24/33/618222433.db2.gz UOABFDYUSWYAKO-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@H](C)C2CC2)c1[N+](=O)[O-] ZINC000176896671 618223199 /nfs/dbraw/zinc/22/31/99/618223199.db2.gz LPHQJXYVZNMNKZ-MRVPVSSYSA-N 0 0 266.272 2.571 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NCCCc1c[nH]c2ccccc12 ZINC000892408547 618230769 /nfs/dbraw/zinc/23/07/69/618230769.db2.gz IHZCNYPPZSAXCT-UHFFFAOYSA-N 0 0 299.334 2.854 20 5 CFBDRN CN(Cc1cccnc1)Cc1cc(F)ccc1[N+](=O)[O-] ZINC000178944694 618249927 /nfs/dbraw/zinc/24/99/27/618249927.db2.gz WOFAXLIUVCOZCJ-UHFFFAOYSA-N 0 0 275.283 2.761 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](F)C2)c1C ZINC000892424977 618255098 /nfs/dbraw/zinc/25/50/98/618255098.db2.gz VABQGWVRQNWXFX-LLVKDONJSA-N 0 0 280.299 2.786 20 5 CFBDRN CCCCCCOC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000102509274 618260204 /nfs/dbraw/zinc/26/02/04/618260204.db2.gz PLBXWHQFQNNGKR-UHFFFAOYSA-N 0 0 283.328 2.650 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1CCCc2c(F)cccc21 ZINC000894006732 618266559 /nfs/dbraw/zinc/26/65/59/618266559.db2.gz XDPBSCQKZJJMSD-LBPRGKRZSA-N 0 0 290.298 2.957 20 5 CFBDRN C[C@H](NC(=O)NC/C=C\Cl)c1ccccc1[N+](=O)[O-] ZINC000894015617 618275109 /nfs/dbraw/zinc/27/51/09/618275109.db2.gz RSKRWTPVFJJQKH-WYDVEAGSSA-N 0 0 283.715 2.708 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)C(F)F)c1ccc([N+](=O)[O-])cc1F ZINC000894042131 618285380 /nfs/dbraw/zinc/28/53/80/618285380.db2.gz IROQYBPOYZKZKX-RQJHMYQMSA-N 0 0 290.241 2.607 20 5 CFBDRN CCN1[C@H](C)CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H]1C ZINC000895102468 618295033 /nfs/dbraw/zinc/29/50/33/618295033.db2.gz ZJSXALUZZHWGNA-AOOOYVTPSA-N 0 0 298.774 2.562 20 5 CFBDRN Cc1sc(C(=O)N(C)Cc2ccns2)cc1[N+](=O)[O-] ZINC000894072426 618310914 /nfs/dbraw/zinc/31/09/14/618310914.db2.gz QPEYLYPORLQKFU-UHFFFAOYSA-N 0 0 297.361 2.693 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@H]2CC2(C)C)c1F ZINC000312555686 618311951 /nfs/dbraw/zinc/31/19/51/618311951.db2.gz MMDZHWAXWHTBIB-QMMMGPOBSA-N 0 0 284.262 2.710 20 5 CFBDRN Cc1cnc(OCCCOC(F)(F)F)c([N+](=O)[O-])c1 ZINC000882134923 618322007 /nfs/dbraw/zinc/32/20/07/618322007.db2.gz UHYJZVSWGVMIRP-UHFFFAOYSA-N 0 0 280.202 2.604 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1CC1(Cl)Cl ZINC000023243656 618328131 /nfs/dbraw/zinc/32/81/31/618328131.db2.gz QUCVYTHVJHJMTC-MRVPVSSYSA-N 0 0 275.091 2.727 20 5 CFBDRN CC(C)CN(C(=O)[C@@H]1CC1[N+](=O)[O-])c1ccccc1Cl ZINC000841253353 618331279 /nfs/dbraw/zinc/33/12/79/618331279.db2.gz OXBIPRZCGYLYKG-ZWNOBZJWSA-N 0 0 296.754 2.994 20 5 CFBDRN O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)C(F)F ZINC000236141167 618342047 /nfs/dbraw/zinc/34/20/47/618342047.db2.gz UUZOPWSMLBHTPJ-UHFFFAOYSA-N 0 0 295.039 2.561 20 5 CFBDRN Cc1cccc(C(=O)OC[C@]2(C)CCCO2)c1[N+](=O)[O-] ZINC000177231862 618377881 /nfs/dbraw/zinc/37/78/81/618377881.db2.gz ADYFZYVHMPERPR-AWEZNQCLSA-N 0 0 279.292 2.629 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OC[C@]1(C)CCCO1 ZINC000177242912 618381896 /nfs/dbraw/zinc/38/18/96/618381896.db2.gz VASQPBBLFLOXOZ-AWEZNQCLSA-N 0 0 279.292 2.629 20 5 CFBDRN COc1ccc(OC(=O)[C@@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000178380358 618405923 /nfs/dbraw/zinc/40/59/23/618405923.db2.gz SRGNMQJXVXJAMP-QMMMGPOBSA-N 0 0 265.265 2.555 20 5 CFBDRN COC/C(C)=C\COC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000909492227 618417561 /nfs/dbraw/zinc/41/75/61/618417561.db2.gz JVQCGSNGGHNFSB-YFHOEESVSA-N 0 0 293.319 2.961 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCCC(C)(C)C1 ZINC000892507199 618418280 /nfs/dbraw/zinc/41/82/80/618418280.db2.gz VMGRKMNHZADZNZ-UHFFFAOYSA-N 0 0 265.313 2.625 20 5 CFBDRN CC(C)[C@H](O)Cc1cc(-c2ccccc2[N+](=O)[O-])no1 ZINC000901396068 620804741 /nfs/dbraw/zinc/80/47/41/620804741.db2.gz PJPYQQXDBWRYFA-CQSZACIVSA-N 0 0 276.292 2.809 20 5 CFBDRN Cc1nc(C(C)(C)C)sc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814036262 618435827 /nfs/dbraw/zinc/43/58/27/618435827.db2.gz UWRYPXMSMLMGFX-SSDOTTSWSA-N 0 0 286.353 2.571 20 5 CFBDRN CCC(C)(C)OCCNc1ccnc(F)c1[N+](=O)[O-] ZINC000882438367 618440474 /nfs/dbraw/zinc/44/04/74/618440474.db2.gz DOLZMQXEELEYBG-UHFFFAOYSA-N 0 0 271.292 2.746 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N[C@@H]1CCCC[C@H]1F ZINC000882462172 618442923 /nfs/dbraw/zinc/44/29/23/618442923.db2.gz ZRTKVIMMMTYMCO-HTQZYQBOSA-N 0 0 257.240 2.822 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1C[NH2+]CCC1(CO)CCC1 ZINC000895179518 618443176 /nfs/dbraw/zinc/44/31/76/618443176.db2.gz CXRUMLGHFWIAEG-UHFFFAOYSA-N 0 0 298.770 2.891 20 5 CFBDRN CCc1ccc(C(=O)OC[C@@H]2C[C@H]2C)cc1[N+](=O)[O-] ZINC000747114059 618443147 /nfs/dbraw/zinc/44/31/47/618443147.db2.gz QBNHIGRNCHVSBT-SKDRFNHKSA-N 0 0 263.293 2.970 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000842115473 618443854 /nfs/dbraw/zinc/44/38/54/618443854.db2.gz QODDQJNNRNXCSD-CYBMUJFWSA-N 0 0 299.298 2.838 20 5 CFBDRN C[C@H](Nc1c2ccccc2n(C)c(=O)c1[N+](=O)[O-])C(F)F ZINC000895180511 618443876 /nfs/dbraw/zinc/44/38/76/618443876.db2.gz XNSYIAARFFLHBV-ZETCQYMHSA-N 0 0 297.261 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N(C)CC2CC2)c1F ZINC000312693082 618445508 /nfs/dbraw/zinc/44/55/08/618445508.db2.gz FKBAIMXKSRGGLE-UHFFFAOYSA-N 0 0 284.262 2.663 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NCc2ccccc2)c1F ZINC000235882463 618456362 /nfs/dbraw/zinc/45/63/62/618456362.db2.gz BXGPLTOLBSMBSI-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN CC1(C)C(NC(=O)c2cc(F)ccc2[N+](=O)[O-])C1(C)C ZINC000092817417 618462291 /nfs/dbraw/zinc/46/22/91/618462291.db2.gz VXONHOHIYHLVPH-UHFFFAOYSA-N 0 0 280.299 2.898 20 5 CFBDRN O=C(OCc1ccncc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000726385298 618470170 /nfs/dbraw/zinc/47/01/70/618470170.db2.gz BDXGRQOIWGKLMX-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(NC[C@H]2CCCC[C@@H]2O)c1 ZINC000747224024 618471349 /nfs/dbraw/zinc/47/13/49/618471349.db2.gz UIALLVALGXMBEE-RNCFNFMXSA-N 0 0 298.314 2.706 20 5 CFBDRN CCCN(C(=O)Cc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000750118817 618491967 /nfs/dbraw/zinc/49/19/67/618491967.db2.gz MOKXAMQBSXCBNQ-UHFFFAOYSA-N 0 0 299.330 2.976 20 5 CFBDRN CN(CCCF)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000895292768 618515595 /nfs/dbraw/zinc/51/55/95/618515595.db2.gz DFAMXSMLUWAGGT-UHFFFAOYSA-N 0 0 288.706 2.609 20 5 CFBDRN C[C@H](C(=O)N(C)CCCF)c1ccc([N+](=O)[O-])cc1F ZINC000895293940 618516852 /nfs/dbraw/zinc/51/68/52/618516852.db2.gz LJULIJGEFBTCSF-VIFPVBQESA-N 0 0 286.278 2.655 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC1(C2CC2)CCC1 ZINC000895365979 618552121 /nfs/dbraw/zinc/55/21/21/618552121.db2.gz QZOGWSHLRLZRAK-UHFFFAOYSA-N 0 0 290.319 2.666 20 5 CFBDRN O=C(N[C@H]1CCc2cccc3cccc1c32)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000895383033 618560237 /nfs/dbraw/zinc/56/02/37/618560237.db2.gz PFJXQXZXEBVNFL-QLFBSQMISA-N 0 0 296.326 2.609 20 5 CFBDRN Cc1nc([C@@H]2CCN(c3ccc([N+](=O)[O-])c(C)c3)C2)no1 ZINC000895404875 618570260 /nfs/dbraw/zinc/57/02/60/618570260.db2.gz XKAYABYFZGTLCD-LLVKDONJSA-N 0 0 288.307 2.589 20 5 CFBDRN Cc1nc([C@H]2CCN(c3ccc([N+](=O)[O-])cc3C)C2)no1 ZINC000895404462 618574669 /nfs/dbraw/zinc/57/46/69/618574669.db2.gz KHNOOUYNPUPBEP-NSHDSACASA-N 0 0 288.307 2.589 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCc1nccc2c1CCCC2 ZINC000895451372 618594366 /nfs/dbraw/zinc/59/43/66/618594366.db2.gz XDHPZABUAJRDPM-UHFFFAOYSA-N 0 0 284.319 2.876 20 5 CFBDRN CCC[C@H](C)OC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000177772454 618605556 /nfs/dbraw/zinc/60/55/56/618605556.db2.gz QDGBYLZCASNWAB-JTQLQIEISA-N 0 0 266.297 2.739 20 5 CFBDRN Cc1cc(C(=O)Nc2ccccc2F)c(N)c([N+](=O)[O-])c1 ZINC000178145593 618627499 /nfs/dbraw/zinc/62/74/99/618627499.db2.gz DNVJUQSCTFDBSS-UHFFFAOYSA-N 0 0 289.266 2.877 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@H](O)c2ccccc2F)n1 ZINC000178168248 618629512 /nfs/dbraw/zinc/62/95/12/618629512.db2.gz RUMCKCWEZYPLDZ-ZDUSSCGKSA-N 0 0 291.282 2.583 20 5 CFBDRN CC(C)(NC(=O)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1)C(F)F ZINC000935744432 618636994 /nfs/dbraw/zinc/63/69/94/618636994.db2.gz QRFBLWUMVPMVNE-WDEREUQCSA-N 0 0 298.289 2.858 20 5 CFBDRN CC(C)(NC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)C(F)F ZINC000935744430 618637011 /nfs/dbraw/zinc/63/70/11/618637011.db2.gz QRFBLWUMVPMVNE-MNOVXSKESA-N 0 0 298.289 2.858 20 5 CFBDRN Cc1nonc1COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000310790975 618639186 /nfs/dbraw/zinc/63/91/86/618639186.db2.gz BCEUAEDWMFBKGU-UHFFFAOYSA-N 0 0 269.644 2.519 20 5 CFBDRN CC[C@@H](OC)C(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892642670 618669376 /nfs/dbraw/zinc/66/93/76/618669376.db2.gz BPIWEEIBFRSINN-GFCCVEGCSA-N 0 0 289.291 2.507 20 5 CFBDRN COCCCC(=O)Nc1nccc2ccc([N+](=O)[O-])cc21 ZINC000892642717 618669505 /nfs/dbraw/zinc/66/95/05/618669505.db2.gz DAPONKRIVNLJKC-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NC[C@@](C)(O)CCC(C)C ZINC000892650385 618674190 /nfs/dbraw/zinc/67/41/90/618674190.db2.gz HRHJRNUCNJACLJ-AWEZNQCLSA-N 0 0 297.355 2.598 20 5 CFBDRN COc1cc(C(=O)OCC(C)(C)[N+](=O)[O-])ccc1Cl ZINC000851126787 618688636 /nfs/dbraw/zinc/68/86/36/618688636.db2.gz IGOQUEHORDSVFK-UHFFFAOYSA-N 0 0 287.699 2.561 20 5 CFBDRN CCC(CC)(CNc1ccc(N)c([N+](=O)[O-])n1)SC ZINC000311619228 618717517 /nfs/dbraw/zinc/71/75/17/618717517.db2.gz HAOBCINCLKODLF-UHFFFAOYSA-N 0 0 284.385 2.906 20 5 CFBDRN CCC(F)(F)COC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000851488989 618719487 /nfs/dbraw/zinc/71/94/87/618719487.db2.gz MWTCRHHMSNYTIP-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN CCOc1cc(NC(=O)C2(SC)CC2)ccc1[N+](=O)[O-] ZINC000892745210 618737986 /nfs/dbraw/zinc/73/79/86/618737986.db2.gz SVWGNDSJGUAROG-UHFFFAOYSA-N 0 0 296.348 2.828 20 5 CFBDRN CCOc1cc(NC(=O)[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000892747695 618738771 /nfs/dbraw/zinc/73/87/71/618738771.db2.gz XBCJNODTGXCPTF-SECBINFHSA-N 0 0 296.348 2.685 20 5 CFBDRN CCCN(CC)c1c2ccccc2n(C)c(=O)c1[N+](=O)[O-] ZINC000892786705 618746576 /nfs/dbraw/zinc/74/65/76/618746576.db2.gz GOKCYDBASSBVJK-UHFFFAOYSA-N 0 0 289.335 2.683 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1NCC1CCC(OC)CC1 ZINC000892842372 618756383 /nfs/dbraw/zinc/75/63/83/618756383.db2.gz QBHAFGJRBGMYOO-UHFFFAOYSA-N 0 0 295.339 2.616 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892852914 618757853 /nfs/dbraw/zinc/75/78/53/618757853.db2.gz FXUYCMLZLRHCNJ-GWCFXTLKSA-N 0 0 274.320 2.920 20 5 CFBDRN CCCOCC(=O)N1CCCCc2cc([N+](=O)[O-])ccc21 ZINC000892858655 618759548 /nfs/dbraw/zinc/75/95/48/618759548.db2.gz NEKCZHTXHNQGMF-UHFFFAOYSA-N 0 0 292.335 2.691 20 5 CFBDRN C=COCCOC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000892911451 618767436 /nfs/dbraw/zinc/76/74/36/618767436.db2.gz MDPDBBBIPSNOBK-UHFFFAOYSA-N 0 0 265.265 2.529 20 5 CFBDRN CSC(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000072547975 618774234 /nfs/dbraw/zinc/77/42/34/618774234.db2.gz UPKDFJFJZWZWCX-UHFFFAOYSA-N 0 0 286.328 2.605 20 5 CFBDRN CN(CC(F)F)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 ZINC000103458443 618777272 /nfs/dbraw/zinc/77/72/72/618777272.db2.gz NOPGMCKERZWKQJ-UHFFFAOYSA-N 0 0 296.277 2.613 20 5 CFBDRN COc1c(O)ccc(C[NH2+][C@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000893003033 618798179 /nfs/dbraw/zinc/79/81/79/618798179.db2.gz DVRLGXACISDAGV-NSHDSACASA-N 0 0 278.308 2.507 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CC(C)(C)CC(F)(F)F ZINC000893075974 618822654 /nfs/dbraw/zinc/82/26/54/618822654.db2.gz HCAAUQBBEJXEIW-SSDOTTSWSA-N 0 0 271.235 2.564 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)[C@@H]1C[C@@H](C)CO1 ZINC000893157038 618851188 /nfs/dbraw/zinc/85/11/88/618851188.db2.gz ITHZPRRQJJHNQK-OTYXRUKQSA-N 0 0 293.319 2.680 20 5 CFBDRN COc1ccc(OC(=O)/C=C/c2ccco2)c([N+](=O)[O-])c1 ZINC000014785504 618867456 /nfs/dbraw/zinc/86/74/56/618867456.db2.gz GEYRJZFSVFLVFE-FNORWQNLSA-N 0 0 289.243 2.815 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1C[C@@H](C)CO1)c1ccccc1[N+](=O)[O-] ZINC000893221950 618892471 /nfs/dbraw/zinc/89/24/71/618892471.db2.gz BHDQRRFYBYGOGS-GIPNMCIBSA-N 0 0 279.292 2.624 20 5 CFBDRN CC1(C)C[C@@]1(C)CNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000893384065 618956613 /nfs/dbraw/zinc/95/66/13/618956613.db2.gz NYBGHZAVOBVCDB-HNNXBMFYSA-N 0 0 276.336 2.690 20 5 CFBDRN C=C/C=C\CCNC(=O)c1c(OCC)cccc1[N+](=O)[O-] ZINC000893392541 618959339 /nfs/dbraw/zinc/95/93/39/618959339.db2.gz FXGVKCAEUHWEIW-WAYWQWQTSA-N 0 0 290.319 2.856 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@H](C)C2CC2)c1F ZINC000313042238 618973555 /nfs/dbraw/zinc/97/35/55/618973555.db2.gz GHSYFMXOPQFTMC-SSDOTTSWSA-N 0 0 284.262 2.710 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCCC(C)C)c1F ZINC000313119587 618974926 /nfs/dbraw/zinc/97/49/26/618974926.db2.gz OYVHMNKUFUODDZ-UHFFFAOYSA-N 0 0 286.278 2.957 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC(F)CC1 ZINC000893420913 618985509 /nfs/dbraw/zinc/98/55/09/618985509.db2.gz ASTMMCNHTZBGKH-UTCJRWHESA-N 0 0 278.283 2.569 20 5 CFBDRN CCOC(=O)/C=C/CSc1cccc([N+](=O)[O-])c1 ZINC000313467770 618990182 /nfs/dbraw/zinc/99/01/82/618990182.db2.gz BOZSEPKELZJQNA-QPJJXVBHSA-N 0 0 267.306 2.806 20 5 CFBDRN C[C@H]1CCN(c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)CCS1 ZINC000893481402 619014648 /nfs/dbraw/zinc/01/46/48/619014648.db2.gz WKFKDPBJJMZDEC-VIFPVBQESA-N 0 0 297.336 2.835 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CC2(CCC2)C[C@@H]1C ZINC000893496998 619020944 /nfs/dbraw/zinc/02/09/44/619020944.db2.gz GDFJXGQCVIBMNN-JTQLQIEISA-N 0 0 277.324 2.767 20 5 CFBDRN CCCNc1ccc(CNc2c([N+](=O)[O-])cnn2C)cc1 ZINC000893509735 619026975 /nfs/dbraw/zinc/02/69/75/619026975.db2.gz VUTMEUVNFJVCLP-UHFFFAOYSA-N 0 0 289.339 2.762 20 5 CFBDRN C[C@@]1(C(=O)[O-])CCC[N@@H+]1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000093633552 619041600 /nfs/dbraw/zinc/04/16/00/619041600.db2.gz FFUAACHXXONMGV-ZDUSSCGKSA-N 0 0 298.726 2.687 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@@H](O)c1cccc(F)c1 ZINC000049281653 619049506 /nfs/dbraw/zinc/04/95/06/619049506.db2.gz HBJOWZFKMKVAKF-CQSZACIVSA-N 0 0 276.267 2.879 20 5 CFBDRN CCCCOC(=O)c1ccc(NC)c([N+](=O)[O-])c1 ZINC000105218147 619082087 /nfs/dbraw/zinc/08/20/87/619082087.db2.gz BMBOQOYFGLPTPQ-UHFFFAOYSA-N 0 0 252.270 2.593 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@H]1C1CCCC1 ZINC000889392367 619083018 /nfs/dbraw/zinc/08/30/18/619083018.db2.gz LSJKXDDFRDLKFS-AWEZNQCLSA-N 0 0 274.320 3.000 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1CCCc2ccc(F)cc21 ZINC000893616254 619101440 /nfs/dbraw/zinc/10/14/40/619101440.db2.gz FSOPHQSLONHGCI-LBPRGKRZSA-N 0 0 290.298 2.957 20 5 CFBDRN CCOC1CC(CNc2cc([N+](=O)[O-])ccc2NC)C1 ZINC000843300097 619109996 /nfs/dbraw/zinc/10/99/96/619109996.db2.gz FCAWCTFXVNQFFD-UHFFFAOYSA-N 0 0 279.340 2.864 20 5 CFBDRN Cc1csc(COc2ccc(C=O)cc2[N+](=O)[O-])n1 ZINC000094966826 619141704 /nfs/dbraw/zinc/14/17/04/619141704.db2.gz VZIPCOREJPINCZ-UHFFFAOYSA-N 0 0 278.289 2.751 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000036169560 619142116 /nfs/dbraw/zinc/14/21/16/619142116.db2.gz ZWGNOCPDIBPKCY-SNVBAGLBSA-N 0 0 280.299 2.996 20 5 CFBDRN CC(C)(CCC(=O)O[C@@H]1CO[C@@H]1c1ccccc1)[N+](=O)[O-] ZINC000893650685 619145286 /nfs/dbraw/zinc/14/52/86/619145286.db2.gz VQRHCFZGGZCCKV-TZMCWYRMSA-N 0 0 293.319 2.505 20 5 CFBDRN C/C=C\C[C@@H](CO)[NH2+]Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000893661874 619148999 /nfs/dbraw/zinc/14/89/99/619148999.db2.gz TZXTZTFBIBYMLS-DLGQBQFBSA-N 0 0 284.743 2.665 20 5 CFBDRN COc1c(O)ccc(C[N@@H+]2CCCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000893679599 619154538 /nfs/dbraw/zinc/15/45/38/619154538.db2.gz UUGXIUDXPKZUBK-NSHDSACASA-N 0 0 294.351 2.931 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)OCCOC1CC1 ZINC000893678367 619154586 /nfs/dbraw/zinc/15/45/86/619154586.db2.gz ICFJKUCARZDTHM-ZHACJKMWSA-N 0 0 291.303 2.720 20 5 CFBDRN CC[S@@](C)(=O)=NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000925838843 619170899 /nfs/dbraw/zinc/17/08/99/619170899.db2.gz OKTACOCSZWHMKF-HXUWFJFHSA-N 0 0 298.364 2.517 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H]1C1CCCC1 ZINC000889405251 619178804 /nfs/dbraw/zinc/17/88/04/619178804.db2.gz MDPZLBPSYBZWLV-LBPRGKRZSA-N 0 0 289.335 2.582 20 5 CFBDRN C/C=C/COC(=O)Cc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000924243906 619182187 /nfs/dbraw/zinc/18/21/87/619182187.db2.gz TYUODMYXZVHWKL-NSCUHMNNSA-N 0 0 269.684 2.910 20 5 CFBDRN Cc1noc(C)c1COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000006052013 619186194 /nfs/dbraw/zinc/18/61/94/619186194.db2.gz YRTOCFQLRGZDAM-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CC[S@](C)(=O)=NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000925862406 619188546 /nfs/dbraw/zinc/18/85/46/619188546.db2.gz QIDHBCRKUHHLRJ-SFHVURJKSA-N 0 0 290.728 2.506 20 5 CFBDRN Cc1ccc(OS(=O)(=O)c2ccccc2)c([N+](=O)[O-])c1 ZINC000015328237 619195702 /nfs/dbraw/zinc/19/57/02/619195702.db2.gz IBGFBHMHGZJORN-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN CCOC(=O)[C@@H](CC)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000932179779 619203988 /nfs/dbraw/zinc/20/39/88/619203988.db2.gz MHDCTYWZLUQCRT-ZWNOBZJWSA-N 0 0 280.324 2.587 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N1CCC=C(c2ccccc2)C1 ZINC000893743585 619214398 /nfs/dbraw/zinc/21/43/98/619214398.db2.gz PYQAFBSWHDIZMM-UHFFFAOYSA-N 0 0 284.319 2.622 20 5 CFBDRN CC(C)(CCC(=O)OCC[C@@H]1CC[C@@H]2C[C@@H]21)[N+](=O)[O-] ZINC000893798427 619220262 /nfs/dbraw/zinc/22/02/62/619220262.db2.gz PFQQVBWLFCJBGT-QJPTWQEYSA-N 0 0 269.341 2.801 20 5 CFBDRN COc1cc([N+](=O)[O-])cnc1N1CCC2(CCC2)CC1 ZINC000893835958 619225520 /nfs/dbraw/zinc/22/55/20/619225520.db2.gz GKOPULZDIMGZIP-UHFFFAOYSA-N 0 0 277.324 2.769 20 5 CFBDRN O=C(COc1cccc(Cl)c1[N+](=O)[O-])NCC1CCC1 ZINC000814775617 619258344 /nfs/dbraw/zinc/25/83/44/619258344.db2.gz PEPCBDSWIQSOBL-UHFFFAOYSA-N 0 0 298.726 2.543 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Oc1c(C)cccc1[N+](=O)[O-] ZINC000841162363 619258922 /nfs/dbraw/zinc/25/89/22/619258922.db2.gz VPHQEPAPMIWBKS-GFCCVEGCSA-N 0 0 281.308 2.870 20 5 CFBDRN CN(Cc1ccc[nH]1)C(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000747666480 619259303 /nfs/dbraw/zinc/25/93/03/619259303.db2.gz LNBRISBTBAHPHC-UHFFFAOYSA-N 0 0 290.348 2.752 20 5 CFBDRN CN(CCNc1ccccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000726788141 619262219 /nfs/dbraw/zinc/26/22/19/619262219.db2.gz ZJNPYNZFVCYPSD-UHFFFAOYSA-N 0 0 295.339 2.874 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)Cc1cccc(C(N)=O)c1 ZINC000726787117 619262448 /nfs/dbraw/zinc/26/24/48/619262448.db2.gz MXIPQYDHIPUHDL-UHFFFAOYSA-N 0 0 299.330 2.639 20 5 CFBDRN Cc1ccc(OS(=O)(=O)c2ccccc2)cc1[N+](=O)[O-] ZINC000034058296 619321978 /nfs/dbraw/zinc/32/19/78/619321978.db2.gz QKPIJGLMLMDEOI-UHFFFAOYSA-N 0 0 293.300 2.671 20 5 CFBDRN COc1cc(C)c([C@@H](C)OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1C ZINC000841183625 619323412 /nfs/dbraw/zinc/32/34/12/619323412.db2.gz PLIHRYRSYNYLJU-RAIGVLPGSA-N 0 0 293.319 2.581 20 5 CFBDRN CO[C@@H](C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000791511900 619333896 /nfs/dbraw/zinc/33/38/96/619333896.db2.gz BBKRHWSFWPRUAT-TVQRCGJNSA-N 0 0 279.292 2.624 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CCCC(F)(F)C2)c1 ZINC000894384740 619361500 /nfs/dbraw/zinc/36/15/00/619361500.db2.gz UCFXAXIWBLIGKX-UHFFFAOYSA-N 0 0 287.222 2.739 20 5 CFBDRN CC[C@H](C)C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000023520647 619364975 /nfs/dbraw/zinc/36/49/75/619364975.db2.gz CDWRNDLSOJCDOP-VHSXEESVSA-N 0 0 294.307 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(OS(=O)(=O)c2ccccc2)c(F)c1 ZINC000048667350 619366802 /nfs/dbraw/zinc/36/68/02/619366802.db2.gz DWZUYWVRRGRKEM-UHFFFAOYSA-N 0 0 297.263 2.502 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])cc(N2CC[C@]3(CC3(F)F)C2)c1 ZINC000894406299 619372230 /nfs/dbraw/zinc/37/22/30/619372230.db2.gz FFWREXJRMBVRRC-NSHDSACASA-N 0 0 299.233 2.739 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1S[C@@H]1CCc2ccccc2C1 ZINC000894496426 619403097 /nfs/dbraw/zinc/40/30/97/619403097.db2.gz QAJCBFMGOLRWKW-GFCCVEGCSA-N 0 0 289.360 2.978 20 5 CFBDRN C[C@@]1(CNc2ccc3nonc3c2[N+](=O)[O-])CC1(F)F ZINC000894558447 619415950 /nfs/dbraw/zinc/41/59/50/619415950.db2.gz PDFVBNLICQXXTA-JTQLQIEISA-N 0 0 284.222 2.588 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN2Cn1ncsc1=S ZINC000178918265 619417481 /nfs/dbraw/zinc/41/74/81/619417481.db2.gz CXQITMKVZAPTIY-UHFFFAOYSA-N 0 0 294.361 2.602 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC1CCC2(CC2(F)F)CC1 ZINC000894582448 619423927 /nfs/dbraw/zinc/42/39/27/619423927.db2.gz WRIHJGGHTWEOFQ-UHFFFAOYSA-N 0 0 286.282 2.708 20 5 CFBDRN Cc1cccc(C(=O)OCc2cccnc2)c1[N+](=O)[O-] ZINC000048764543 619431335 /nfs/dbraw/zinc/43/13/35/619431335.db2.gz SRLABRWAORNMNO-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=[N+]([O-])c1cc(NCC[C@@H]2CC2(F)F)cc([N+](=O)[O-])c1 ZINC000894673832 619441623 /nfs/dbraw/zinc/44/16/23/619441623.db2.gz QHSJICSYGCJRAT-SSDOTTSWSA-N 0 0 287.222 2.960 20 5 CFBDRN Cn1c(=O)c([N+](=O)[O-])c(NCC2CC=CC2)c2ccccc21 ZINC000894716436 619447866 /nfs/dbraw/zinc/44/78/66/619447866.db2.gz YPPZKCKOXWIJTO-UHFFFAOYSA-N 0 0 299.330 2.825 20 5 CFBDRN CC(C)[C@H](O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000304217774 619477014 /nfs/dbraw/zinc/47/70/14/619477014.db2.gz RYDJRQOUQWKZCD-SNVBAGLBSA-N 0 0 259.689 2.644 20 5 CFBDRN C[C@H]1C[C@H](C)N1C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000792534248 619479191 /nfs/dbraw/zinc/47/91/91/619479191.db2.gz PVVGMQHUNFHEHW-YUMQZZPRSA-N 0 0 268.700 2.871 20 5 CFBDRN Cc1nc2c(s1)CN(c1cc(C)c([N+](=O)[O-])cn1)C2 ZINC000894906757 619510014 /nfs/dbraw/zinc/51/00/14/619510014.db2.gz BQOXRLYUCZYTJR-UHFFFAOYSA-N 0 0 276.321 2.583 20 5 CFBDRN Cc1ccc(Nc2cnn3c2NC[C@H](C)C3)c([N+](=O)[O-])c1 ZINC000894950794 619517338 /nfs/dbraw/zinc/51/73/38/619517338.db2.gz DETFXJISWJKJRI-JTQLQIEISA-N 0 0 287.323 2.905 20 5 CFBDRN C[C@H]1CCC[C@@H](C2CN(c3c([N+](=O)[O-])cnn3C)C2)C1 ZINC000895034989 619530025 /nfs/dbraw/zinc/53/00/25/619530025.db2.gz SOYIPAAWINYQOZ-WDEREUQCSA-N 0 0 278.356 2.591 20 5 CFBDRN COc1c(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)cccc1[N+](=O)[O-] ZINC000889604534 619536980 /nfs/dbraw/zinc/53/69/80/619536980.db2.gz DOMYLAYDEVYBOJ-JFGNBEQYSA-N 0 0 290.319 2.522 20 5 CFBDRN COC(=O)CC(C)(C)CNc1ccccc1[N+](=O)[O-] ZINC000895507700 619542895 /nfs/dbraw/zinc/54/28/95/619542895.db2.gz YLVXKZFAEPIWSV-UHFFFAOYSA-N 0 0 266.297 2.596 20 5 CFBDRN COC(=O)CC1CC(Nc2cccc(C)c2[N+](=O)[O-])C1 ZINC000895498958 619543758 /nfs/dbraw/zinc/54/37/58/619543758.db2.gz LGGMKFBSPWZNHF-UHFFFAOYSA-N 0 0 278.308 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc2nccc(N[C@@H]3CCO[C@H]3C3CC3)c2c1 ZINC000895544032 619551283 /nfs/dbraw/zinc/55/12/83/619551283.db2.gz ZYGVUNZNLWUXRZ-CVEARBPZSA-N 0 0 299.330 2.544 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CC2(C1)CCCO2 ZINC000895588316 619561919 /nfs/dbraw/zinc/56/19/19/619561919.db2.gz FFMUWTPXFUSXKN-UHFFFAOYSA-N 0 0 282.727 2.613 20 5 CFBDRN COC(=O)C[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])C[C@H]1C ZINC000895618448 619568638 /nfs/dbraw/zinc/56/86/38/619568638.db2.gz JZRSAJDUZWXHEJ-VXGBXAGGSA-N 0 0 292.335 2.539 20 5 CFBDRN COCc1cc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)ncn1 ZINC000895667764 619581405 /nfs/dbraw/zinc/58/14/05/619581405.db2.gz UYUNKWOQISUJIO-SNVBAGLBSA-N 0 0 288.307 2.704 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000895722020 619590941 /nfs/dbraw/zinc/59/09/41/619590941.db2.gz BDRVLKLIZXAWFG-ONGXEEELSA-N 0 0 299.330 2.716 20 5 CFBDRN C[C@@H]1CCN(c2ccc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000895753438 619599708 /nfs/dbraw/zinc/59/97/08/619599708.db2.gz HSEZQWYKZSMQOB-SECBINFHSA-N 0 0 270.716 2.863 20 5 CFBDRN Cc1cc(N2CCO[C@H](C)CC2)ccc1[N+](=O)[O-] ZINC000895758255 619600654 /nfs/dbraw/zinc/60/06/54/619600654.db2.gz DFYIAQQXDHBVBR-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN C[C@H]1CCN(c2nc3ccc([N+](=O)[O-])cc3s2)CCO1 ZINC000895758621 619601182 /nfs/dbraw/zinc/60/11/82/619601182.db2.gz VFSOQKBOPMSLOP-VIFPVBQESA-N 0 0 293.348 2.820 20 5 CFBDRN C[C@@H]1CCN(c2c3ccccc3ncc2[N+](=O)[O-])CCO1 ZINC000895762232 619601894 /nfs/dbraw/zinc/60/18/94/619601894.db2.gz QAZIIIFWPMGCRG-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN C[C@@H]1COC[C@@H]1Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000895905215 619630328 /nfs/dbraw/zinc/63/03/28/619630328.db2.gz JVYNSOBCNZLQAA-XCBNKYQSSA-N 0 0 279.321 2.651 20 5 CFBDRN C[C@H]1COC[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000895907135 619631855 /nfs/dbraw/zinc/63/18/55/619631855.db2.gz AQDWKJMEKFRFFS-JOYOIKCWSA-N 0 0 273.292 2.590 20 5 CFBDRN CCC(=O)c1ccc(N[C@H]2COC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000895907939 619632262 /nfs/dbraw/zinc/63/22/62/619632262.db2.gz FIMCIRAZFAGDGR-CABZTGNLSA-N 0 0 278.308 2.634 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H]2COC[C@H]2C)c([N+](=O)[O-])c1 ZINC000895907938 619632406 /nfs/dbraw/zinc/63/24/06/619632406.db2.gz FIMCIRAZFAGDGR-BXKDBHETSA-N 0 0 278.308 2.634 20 5 CFBDRN C[C@@H]1COC[C@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000895908933 619632750 /nfs/dbraw/zinc/63/27/50/619632750.db2.gz KDNLFLSHVNYRHC-NOZJJQNGSA-N 0 0 273.292 2.590 20 5 CFBDRN Cc1cc(N(C)C[C@@H](O)c2cccnc2)c([N+](=O)[O-])s1 ZINC000895935900 619639727 /nfs/dbraw/zinc/63/97/27/619639727.db2.gz LMODMBLICRBQAK-GFCCVEGCSA-N 0 0 293.348 2.530 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000928602696 619661065 /nfs/dbraw/zinc/66/10/65/619661065.db2.gz USERIPSUBRDFGD-NSHDSACASA-N 0 0 294.351 2.755 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCc1ncc(C)cc1C ZINC000896100944 619670555 /nfs/dbraw/zinc/67/05/55/619670555.db2.gz QTWPNTIUGYNHBL-UHFFFAOYSA-N 0 0 289.339 2.744 20 5 CFBDRN O=[N+]([O-])c1cccnc1NC[C@H]1CCCC2(CCC2)O1 ZINC000896171109 619686211 /nfs/dbraw/zinc/68/62/11/619686211.db2.gz RDCGWJXFCYHYNV-LLVKDONJSA-N 0 0 277.324 2.894 20 5 CFBDRN CCC[C@@H](O)CCNc1ccnc2ccc([N+](=O)[O-])cc21 ZINC000896183269 619687961 /nfs/dbraw/zinc/68/79/61/619687961.db2.gz NDLWKQCNILRVKM-GFCCVEGCSA-N 0 0 289.335 2.528 20 5 CFBDRN CCC[C@H](O)CCNc1cc(C)sc1[N+](=O)[O-] ZINC000896185328 619690190 /nfs/dbraw/zinc/69/01/90/619690190.db2.gz ADGJXRWXPUYBDK-VIFPVBQESA-N 0 0 258.343 2.928 20 5 CFBDRN CCC[C@H](O)CCNc1ccc([N+](=O)[O-])c(OC)c1 ZINC000896187966 619690457 /nfs/dbraw/zinc/69/04/57/619690457.db2.gz XEPYEQZJKGGOMJ-NSHDSACASA-N 0 0 268.313 2.566 20 5 CFBDRN CCC[C@H](O)CCNc1cccc(F)c1[N+](=O)[O-] ZINC000896191180 619690998 /nfs/dbraw/zinc/69/09/98/619690998.db2.gz JLOOQQJUHZEYFR-VIFPVBQESA-N 0 0 256.277 2.697 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCn3nc(C4CC4)cc3C2)c1 ZINC000896221620 619692999 /nfs/dbraw/zinc/69/29/99/619692999.db2.gz CAZVBWOXZOEJJN-UHFFFAOYSA-N 0 0 298.346 2.997 20 5 CFBDRN CSCC1CCN(c2ccc([N+](=O)[O-])c(C)n2)CC1 ZINC000896210923 619694733 /nfs/dbraw/zinc/69/47/33/619694733.db2.gz MNFZZZLNYIFVFH-UHFFFAOYSA-N 0 0 281.381 2.878 20 5 CFBDRN C[C@H]1C[C@@H](Nc2nc3ccccn3c2[N+](=O)[O-])CCS1 ZINC000896244203 619699477 /nfs/dbraw/zinc/69/94/77/619699477.db2.gz GDPUKXAWBBGCMQ-UWVGGRQHSA-N 0 0 292.364 2.939 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCSC2(CCC2)C1 ZINC000896255750 619703683 /nfs/dbraw/zinc/70/36/83/619703683.db2.gz NLASKHOYYFPYLD-SECBINFHSA-N 0 0 282.369 2.559 20 5 CFBDRN CCOC(=O)[C@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000896268576 619707800 /nfs/dbraw/zinc/70/78/00/619707800.db2.gz GDISREYSJLSJCY-VIFPVBQESA-N 0 0 298.726 2.638 20 5 CFBDRN CCOc1cc(N2CC(=O)CC[C@H]2C)ccc1[N+](=O)[O-] ZINC000896338161 619719129 /nfs/dbraw/zinc/71/91/29/619719129.db2.gz BKOXZTHSDXXXFD-SNVBAGLBSA-N 0 0 278.308 2.551 20 5 CFBDRN C[C@H]1CCC(=O)CN1c1nc2ccccc2cc1[N+](=O)[O-] ZINC000896339539 619719435 /nfs/dbraw/zinc/71/94/35/619719435.db2.gz NOPMNBKIMYZXJG-JTQLQIEISA-N 0 0 285.303 2.701 20 5 CFBDRN CCO[C@H]1CCN(c2ccc3cnccc3c2[N+](=O)[O-])C1 ZINC000896405315 619730244 /nfs/dbraw/zinc/73/02/44/619730244.db2.gz RLJBGRFVUNMDJP-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@@H](c1cnn(C)c1)C(C)C ZINC000896427364 619736212 /nfs/dbraw/zinc/73/62/12/619736212.db2.gz HJJUZLYRHFYICO-CYBMUJFWSA-N 0 0 289.339 2.841 20 5 CFBDRN CCOCCOCCNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000896484415 619747282 /nfs/dbraw/zinc/74/72/82/619747282.db2.gz IPPMSUOYMYHVNV-UHFFFAOYSA-N 0 0 288.731 2.713 20 5 CFBDRN Cc1nn(C)c(NCc2c(F)cc(C)cc2F)c1[N+](=O)[O-] ZINC000896501978 619750942 /nfs/dbraw/zinc/75/09/42/619750942.db2.gz UJVMVPRVMYGDGY-UHFFFAOYSA-N 0 0 296.277 2.835 20 5 CFBDRN COC[C@H](CNc1nc(C)ccc1[N+](=O)[O-])C(C)C ZINC000896506434 619753111 /nfs/dbraw/zinc/75/31/11/619753111.db2.gz VOBXAGLWCFVKOQ-NSHDSACASA-N 0 0 267.329 2.629 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cc(C2CC2)n(C)n1 ZINC000896535301 619756760 /nfs/dbraw/zinc/75/67/60/619756760.db2.gz KUZHCNPGGNRNDO-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1N[C@H]1C[C@H](CO)C1 ZINC000896691872 619791939 /nfs/dbraw/zinc/79/19/39/619791939.db2.gz QHBDABJPNPZPKX-LJGSYFOKSA-N 0 0 274.679 2.570 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(C3(O)CCC3)CC2)cc1 ZINC000896808552 619823014 /nfs/dbraw/zinc/82/30/14/619823014.db2.gz WYNLXGKCNHQJIW-UHFFFAOYSA-N 0 0 262.309 2.702 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2(C3(O)CCC3)CC2)s1 ZINC000896807509 619823229 /nfs/dbraw/zinc/82/32/29/619823229.db2.gz GLHDUCSPHNBMRQ-UHFFFAOYSA-N 0 0 268.338 2.763 20 5 CFBDRN COc1cccc(NCC2(C3(O)CCC3)CC2)c1[N+](=O)[O-] ZINC000896810899 619825915 /nfs/dbraw/zinc/82/59/15/619825915.db2.gz ZMIMCBBOMSTKCB-UHFFFAOYSA-N 0 0 292.335 2.711 20 5 CFBDRN Cc1cnc(NCC[C@@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000896815410 619827720 /nfs/dbraw/zinc/82/77/20/619827720.db2.gz BYBWBOOSCUBJIQ-AAEUAGOBSA-N 0 0 279.340 2.651 20 5 CFBDRN CNc1c(C(=O)NCCC2CCC2)cccc1[N+](=O)[O-] ZINC000163204014 619862183 /nfs/dbraw/zinc/86/21/83/619862183.db2.gz RULRSBPADYPLJU-UHFFFAOYSA-N 0 0 277.324 2.557 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1SCc1ccncc1 ZINC000896958407 619905084 /nfs/dbraw/zinc/90/50/84/619905084.db2.gz KZCCSQFGYDILHQ-UHFFFAOYSA-N 0 0 278.337 2.807 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2SC1COC1 ZINC000896961847 619905357 /nfs/dbraw/zinc/90/53/57/619905357.db2.gz FRIUKNAIOMMNNP-UHFFFAOYSA-N 0 0 262.290 2.634 20 5 CFBDRN CCOC(=O)c1cc([N+](=O)[O-])c(SC2COC2)cc1C ZINC000896961810 619905676 /nfs/dbraw/zinc/90/56/76/619905676.db2.gz DHMXUMULUQBLOH-UHFFFAOYSA-N 0 0 297.332 2.571 20 5 CFBDRN CCCCC(=O)COC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000869368809 619905876 /nfs/dbraw/zinc/90/58/76/619905876.db2.gz PFYCCACDWKHCQL-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1CCC[C@H]1CO ZINC000896965062 619906023 /nfs/dbraw/zinc/90/60/23/619906023.db2.gz CTISQDFKHBMDTB-AAEUAGOBSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cnn(CCF)c2)c1 ZINC000896991567 619909465 /nfs/dbraw/zinc/90/94/65/619909465.db2.gz BSDBPDOXYFEHLZ-UHFFFAOYSA-N 0 0 278.287 2.681 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1NCc1cnn(CCF)c1 ZINC000896993258 619909955 /nfs/dbraw/zinc/90/99/55/619909955.db2.gz MWOBEJYWZPBTRQ-UHFFFAOYSA-N 0 0 282.250 2.512 20 5 CFBDRN Cc1ccnc(NC2CC3(C2)CO[C@H](C)C3)c1[N+](=O)[O-] ZINC000897054088 619921263 /nfs/dbraw/zinc/92/12/63/619921263.db2.gz XZMFDBXRLJHYEI-CDWSIMAYSA-N 0 0 277.324 2.668 20 5 CFBDRN C[C@@H]1CC2(CC(Nc3ccc([N+](=O)[O-])cc3)C2)CO1 ZINC000897050774 619922533 /nfs/dbraw/zinc/92/25/33/619922533.db2.gz RARHMJLDJUSGSJ-PWQPVHBWSA-N 0 0 262.309 2.964 20 5 CFBDRN C[C@H](CNC(=O)N1CC(C)(C)C1)c1ccccc1[N+](=O)[O-] ZINC000897062543 619923777 /nfs/dbraw/zinc/92/37/77/619923777.db2.gz HFZWKUAJBITOEY-LLVKDONJSA-N 0 0 291.351 2.750 20 5 CFBDRN CCO[C@H]1CCN(Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000897069210 619924838 /nfs/dbraw/zinc/92/48/38/619924838.db2.gz SCECYVUCRDXOFF-NSHDSACASA-N 0 0 284.743 2.859 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)ccc2cnccc21 ZINC000897071365 619925776 /nfs/dbraw/zinc/92/57/76/619925776.db2.gz BPTIUAWMMSANPI-LWTNXATQSA-N 0 0 297.314 2.731 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Nc2cnn(C3CCC3)c2)n1 ZINC000897103491 619932905 /nfs/dbraw/zinc/93/29/05/619932905.db2.gz XLGOBKDUPVPHAM-UHFFFAOYSA-N 0 0 273.296 2.963 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1ccc([N+](=O)[O-])cc1Cl ZINC000897148937 619940203 /nfs/dbraw/zinc/94/02/03/619940203.db2.gz LNCWPCCUQWMJOD-VXGBXAGGSA-N 0 0 270.716 2.862 20 5 CFBDRN CO[C@@H]1CC[C@H]1N(C)c1cc(C)c([N+](=O)[O-])cc1F ZINC000897144322 619940629 /nfs/dbraw/zinc/94/06/29/619940629.db2.gz JPEABIUGTJZSFG-ZWNOBZJWSA-N 0 0 268.288 2.656 20 5 CFBDRN CO[C@H]1CC[C@@H]1N(C)c1cccc(C)c1[N+](=O)[O-] ZINC000897145861 619940812 /nfs/dbraw/zinc/94/08/12/619940812.db2.gz VKLZATDCIOEBDH-JQWIXIFHSA-N 0 0 250.298 2.517 20 5 CFBDRN CO[C@H]1CC[C@H]1N(C)c1nccc2cc([N+](=O)[O-])ccc21 ZINC000897144843 619940887 /nfs/dbraw/zinc/94/08/87/619940887.db2.gz NOFNVTVSEGBQAC-KGLIPLIRSA-N 0 0 287.319 2.757 20 5 CFBDRN CC1(C)CCC[C@H](CNc2ncccc2[N+](=O)[O-])O1 ZINC000897254057 619960328 /nfs/dbraw/zinc/96/03/28/619960328.db2.gz KSFRJTRMLMPWFQ-SNVBAGLBSA-N 0 0 265.313 2.749 20 5 CFBDRN CC[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1SC)C(C)=O ZINC000909590915 619966917 /nfs/dbraw/zinc/96/69/17/619966917.db2.gz HUNUVSLOEYTIRY-LLVKDONJSA-N 0 0 297.332 2.841 20 5 CFBDRN CS[C@@H](C)[C@H](C)OC(=O)c1cccc([N+](=O)[O-])c1 ZINC000797198354 619976162 /nfs/dbraw/zinc/97/61/62/619976162.db2.gz UAAJXYAXWCMWQN-IUCAKERBSA-N 0 0 269.322 2.892 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H]2CCOC23CCCC3)c(F)c1 ZINC000897320473 619987995 /nfs/dbraw/zinc/98/79/95/619987995.db2.gz YWFBRIOTXHJHOO-NSHDSACASA-N 0 0 281.287 2.643 20 5 CFBDRN CCn1ccnc1Sc1nc2sccn2c1[N+](=O)[O-] ZINC000022137058 620008820 /nfs/dbraw/zinc/00/88/20/620008820.db2.gz ULBACWSUQOHXTD-UHFFFAOYSA-N 0 0 295.349 2.672 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C)[C@H]1C ZINC000888111181 620102244 /nfs/dbraw/zinc/10/22/44/620102244.db2.gz FHCXRCBBPPOQTO-NXEZZACHSA-N 0 0 293.323 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](c2ccncc2)C2CC2)cn1 ZINC000897423694 620117306 /nfs/dbraw/zinc/11/73/06/620117306.db2.gz OKZVPDZNPLATRD-AWEZNQCLSA-N 0 0 270.292 2.948 20 5 CFBDRN Cc1cc(C(=O)NC[C@]2(C)CCCCO2)ccc1[N+](=O)[O-] ZINC000832519324 625397221 /nfs/dbraw/zinc/39/72/21/625397221.db2.gz IVSGGDBGMFQKGN-HNNXBMFYSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1ccc(C(=O)NC[C@@]2(C)CCCCO2)cc1[N+](=O)[O-] ZINC000832516455 625397806 /nfs/dbraw/zinc/39/78/06/625397806.db2.gz YNAPHEXKIMKCQD-OAHLLOKOSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1noc(C)c1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000008074973 620130044 /nfs/dbraw/zinc/13/00/44/620130044.db2.gz NEYFEZUIESQXAP-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN O=C(Cn1ccc([N+](=O)[O-])n1)Oc1cccc2ccccc21 ZINC000054442542 620167891 /nfs/dbraw/zinc/16/78/91/620167891.db2.gz ZYTCAUGFKYBXGA-UHFFFAOYSA-N 0 0 297.270 2.550 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2COC[C@H]2C2CC2)c2ccncc21 ZINC000897442318 620172631 /nfs/dbraw/zinc/17/26/31/620172631.db2.gz UFFZEKQULNLFAW-DZGCQCFKSA-N 0 0 299.330 2.980 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N[C@H]1COC[C@H]1C1CC1 ZINC000897446644 620174985 /nfs/dbraw/zinc/17/49/85/620174985.db2.gz XKCUPUBICOYMDS-JQWIXIFHSA-N 0 0 266.272 2.571 20 5 CFBDRN O=[N+]([O-])c1c(N[C@@H]2COC[C@@H]2C2CC2)ccc2ncccc21 ZINC000897448202 620175398 /nfs/dbraw/zinc/17/53/98/620175398.db2.gz UONCETCAEMJIJY-IUODEOHRSA-N 0 0 299.330 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H]1COC[C@H]1C1CC1 ZINC000897449585 620176023 /nfs/dbraw/zinc/17/60/23/620176023.db2.gz AQICOSJSENFUPJ-XJKSGUPXSA-N 0 0 299.330 2.980 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@@H]3CSC[C@]3(C)C2)c1 ZINC000897487974 620188054 /nfs/dbraw/zinc/18/80/54/620188054.db2.gz SGPIYNHBLFWZQZ-YGRLFVJLSA-N 0 0 294.376 2.793 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC/C=C/Cl ZINC000897505270 620195019 /nfs/dbraw/zinc/19/50/19/620195019.db2.gz JZCAJTRHJCNZQG-GORDUTHDSA-N 0 0 256.689 2.906 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)/C=C\C(C)C ZINC000785048511 620208414 /nfs/dbraw/zinc/20/84/14/620208414.db2.gz AFMQQGUXIDGPHB-DAXSKMNVSA-N 0 0 279.292 2.859 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1SC1CCC(F)(F)CC1 ZINC000897538887 620224745 /nfs/dbraw/zinc/22/47/45/620224745.db2.gz HQKCUSZINJMMMF-UHFFFAOYSA-N 0 0 277.296 2.998 20 5 CFBDRN Cc1cncc(COC(=O)c2cc([N+](=O)[O-])ccc2F)c1 ZINC000785667575 620226006 /nfs/dbraw/zinc/22/60/06/620226006.db2.gz UHELTAQOILWSLG-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN C[C@H]1OCC[C@@H]1COc1ccc([N+](=O)[O-])c(F)c1 ZINC000865352285 620240015 /nfs/dbraw/zinc/24/00/15/620240015.db2.gz FVKJUGMXWZOJDZ-RKDXNWHRSA-N 0 0 255.245 2.538 20 5 CFBDRN C[C@@H](NC(=O)N[C@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000923987533 620240743 /nfs/dbraw/zinc/24/07/43/620240743.db2.gz RELCEXXKTHPHNN-MFKMUULPSA-N 0 0 289.335 2.898 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1N[C@H]1Cc2ccc(Cl)cc2C1 ZINC000897557623 620246094 /nfs/dbraw/zinc/24/60/94/620246094.db2.gz PHUBLRVOOQACNW-NSHDSACASA-N 0 0 292.726 2.561 20 5 CFBDRN CC(C)[C@H]1C[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2)CCO1 ZINC000929133105 620246536 /nfs/dbraw/zinc/24/65/36/620246536.db2.gz KDUAGXHQPZOLGQ-SMDDNHRTSA-N 0 0 292.335 2.985 20 5 CFBDRN C[C@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)C(F)F ZINC000786507770 620247074 /nfs/dbraw/zinc/24/70/74/620247074.db2.gz AFXZGNWOHXUZSL-QMMMGPOBSA-N 0 0 273.235 2.724 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC[C@H]([C@H]3CCOC3)C2)cn1 ZINC000897561581 620247148 /nfs/dbraw/zinc/24/71/48/620247148.db2.gz HDIZCDPIVJZIAV-STQMWFEESA-N 0 0 291.351 2.633 20 5 CFBDRN C[C@@H]1C[C@H](C)N1C(=O)c1cc2ccccc2c([N+](=O)[O-])c1N ZINC000897613117 620259104 /nfs/dbraw/zinc/25/91/04/620259104.db2.gz LSYIORIKIVGBOY-AOOOYVTPSA-N 0 0 299.330 2.953 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCc1ccc(F)cn1 ZINC000786711191 620259634 /nfs/dbraw/zinc/25/96/34/620259634.db2.gz YFXDYXDRYRUNEE-UHFFFAOYSA-N 0 0 276.223 2.520 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H]3C[C@@H]32)c1C ZINC000897731288 620280638 /nfs/dbraw/zinc/28/06/38/620280638.db2.gz VRIZMMDFSHBLFZ-YPMHNXCESA-N 0 0 274.320 2.836 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1C[NH2+][C@@H]1COC[C@@H]1C1CC1 ZINC000898373985 620375702 /nfs/dbraw/zinc/37/57/02/620375702.db2.gz ICZPSLSEYAAXSO-CHWSQXEVSA-N 0 0 296.754 2.763 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3CSC[C@H]3C2)s1 ZINC000866204765 620382457 /nfs/dbraw/zinc/38/24/57/620382457.db2.gz ZNGIICVGELHHMF-DTWKUNHWSA-N 0 0 270.379 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H]3CSC[C@@H]3C2)s1 ZINC000866204766 620382555 /nfs/dbraw/zinc/38/25/55/620382555.db2.gz ZNGIICVGELHHMF-IUCAKERBSA-N 0 0 270.379 2.846 20 5 CFBDRN Cc1ccc(Br)c(OCC[N+](=O)[O-])c1F ZINC000899232706 620534166 /nfs/dbraw/zinc/53/41/66/620534166.db2.gz SSEUPNZEGNXDSH-UHFFFAOYSA-N 0 0 278.077 2.552 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2F)C(=O)C12CCC2 ZINC000899351127 620550540 /nfs/dbraw/zinc/55/05/40/620550540.db2.gz ACNLMTTYPXAQLZ-UHFFFAOYSA-N 0 0 277.255 2.627 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000899416288 620566528 /nfs/dbraw/zinc/56/65/28/620566528.db2.gz FEVRIVGLKOSRMU-OJDJGZDQSA-N 0 0 274.320 2.617 20 5 CFBDRN C[C@@H](CNC(=S)NC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000899621248 620586889 /nfs/dbraw/zinc/58/68/89/620586889.db2.gz JJZGNBBBSFDJFO-JTQLQIEISA-N 0 0 295.408 2.961 20 5 CFBDRN CC[C@H](O)COc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000899906111 620611689 /nfs/dbraw/zinc/61/16/89/620611689.db2.gz BFUQOODBMASUJQ-ZETCQYMHSA-N 0 0 279.214 2.763 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2CCN2C(C)(C)C)cc1[N+](=O)[O-] ZINC000899910552 620612002 /nfs/dbraw/zinc/61/20/02/620612002.db2.gz HLRKYGSFIDESCU-LBPRGKRZSA-N 0 0 291.351 2.715 20 5 CFBDRN O=C([O-])C1CC[NH+](Cc2ccc([N+](=O)[O-])c(Cl)c2)CC1 ZINC000901481050 620827190 /nfs/dbraw/zinc/82/71/90/620827190.db2.gz SCMGAXRFVMZABU-UHFFFAOYSA-N 0 0 298.726 2.545 20 5 CFBDRN COC/C(C)=C\COc1cccc([N+](=O)[O-])c1C ZINC000901472511 620832989 /nfs/dbraw/zinc/83/29/89/620832989.db2.gz GGVLHRMWWMSHDC-YFHOEESVSA-N 0 0 251.282 2.875 20 5 CFBDRN C[C@]1(C(=O)[O-])CC[N@H+](Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000901512320 620851913 /nfs/dbraw/zinc/85/19/13/620851913.db2.gz DBTZUBKRPHOUTA-ZDUSSCGKSA-N 0 0 298.726 2.545 20 5 CFBDRN Cc1nn(CC(=O)CCCc2ccccc2)cc1[N+](=O)[O-] ZINC000901547253 620860973 /nfs/dbraw/zinc/86/09/73/620860973.db2.gz GYBYBJUNFFLNML-UHFFFAOYSA-N 0 0 287.319 2.692 20 5 CFBDRN C/C(=C/c1cccc([N+](=O)[O-])c1)C[N@@H+](C)C[C@H](C)C(=O)[O-] ZINC000901597708 620870478 /nfs/dbraw/zinc/87/04/78/620870478.db2.gz PTBWOYOBEKCMKI-RDQDRAATSA-N 0 0 292.335 2.651 20 5 CFBDRN CCn1cc(CSCc2cccc([N+](=O)[O-])c2)nn1 ZINC000901732687 620910238 /nfs/dbraw/zinc/91/02/38/620910238.db2.gz HTOAACWRCMZKEU-UHFFFAOYSA-N 0 0 278.337 2.640 20 5 CFBDRN C=C/C=C/CCNc1cc([N+](=O)[O-])ccc1NCCO ZINC000901932317 620938736 /nfs/dbraw/zinc/93/87/36/620938736.db2.gz TZESDFYBKHYJGF-ONEGZZNKSA-N 0 0 277.324 2.543 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@H]2C[C@]23CCOC3)ccc1F ZINC000901924254 620942946 /nfs/dbraw/zinc/94/29/46/620942946.db2.gz GHAAOPNLVQHLKN-RNCFNFMXSA-N 0 0 266.272 2.572 20 5 CFBDRN CSc1cccc(C)c1NCc1cn(C)nc1[N+](=O)[O-] ZINC000902036752 620960933 /nfs/dbraw/zinc/96/09/33/620960933.db2.gz UOBMACJZRZURNO-UHFFFAOYSA-N 0 0 292.364 2.971 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NCCc1ccns1 ZINC000902087135 620975823 /nfs/dbraw/zinc/97/58/23/620975823.db2.gz AIEGQNMDNBOEBT-UHFFFAOYSA-N 0 0 278.337 2.748 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])cc(C)c1F)C1CC1 ZINC000323675459 620982039 /nfs/dbraw/zinc/98/20/39/620982039.db2.gz CXZBEAQMMOJNCB-LBPRGKRZSA-N 0 0 280.299 2.961 20 5 CFBDRN CC(F)(F)CCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 ZINC000902193053 620995639 /nfs/dbraw/zinc/99/56/39/620995639.db2.gz YXWPLIATHIUOLE-UHFFFAOYSA-N 0 0 286.234 2.938 20 5 CFBDRN Cc1nn(C[C@@H]2C[C@@]23CCOC3)c2ccc([N+](=O)[O-])cc12 ZINC000902295498 621024216 /nfs/dbraw/zinc/02/42/16/621024216.db2.gz HOGWFIYFVCIVEY-XHDPSFHLSA-N 0 0 287.319 2.680 20 5 CFBDRN Cc1nn(C[C@H](C)COCc2ccccc2)cc1[N+](=O)[O-] ZINC000902323959 621036111 /nfs/dbraw/zinc/03/61/11/621036111.db2.gz IYJQYVUMWKCGOK-LBPRGKRZSA-N 0 0 289.335 2.953 20 5 CFBDRN CC[C@@H](CSCc1cccnc1[N+](=O)[O-])OC ZINC000902411451 621057922 /nfs/dbraw/zinc/05/79/22/621057922.db2.gz VMUKVEBETNSIAO-JTQLQIEISA-N 0 0 256.327 2.648 20 5 CFBDRN Cc1oncc1CSCc1cccnc1[N+](=O)[O-] ZINC000902423243 621074068 /nfs/dbraw/zinc/07/40/68/621074068.db2.gz DHTCBQJBDPYLRQ-UHFFFAOYSA-N 0 0 265.294 2.720 20 5 CFBDRN Cc1noc(CSC[C@@H]2C[C@]23CCOC3)c1[N+](=O)[O-] ZINC000902543297 621114648 /nfs/dbraw/zinc/11/46/48/621114648.db2.gz SEBOFWLZJMQKSA-CABZTGNLSA-N 0 0 284.337 2.551 20 5 CFBDRN CCn1cnc(CNC(C)(C)c2ccccc2[N+](=O)[O-])c1 ZINC000902574868 621128257 /nfs/dbraw/zinc/12/82/57/621128257.db2.gz MVZDMHBBOJLUEL-UHFFFAOYSA-N 0 0 288.351 2.836 20 5 CFBDRN O=[N+]([O-])c1ncccc1CSCCc1ccns1 ZINC000902573435 621128345 /nfs/dbraw/zinc/12/83/45/621128345.db2.gz ZIQJLHHSTNOKOQ-UHFFFAOYSA-N 0 0 281.362 2.922 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)[C@H]1CCCO1 ZINC000902680020 621147363 /nfs/dbraw/zinc/14/73/63/621147363.db2.gz GGZLRXLFEHVAJG-ZYHUDNBSSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1noc(CCCOc2c(F)cc(F)cc2[N+](=O)[O-])n1 ZINC000902696977 621149282 /nfs/dbraw/zinc/14/92/82/621149282.db2.gz NWTLNUVAOKIRHI-UHFFFAOYSA-N 0 0 299.233 2.576 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1)c1ccccn1 ZINC000902693534 621152567 /nfs/dbraw/zinc/15/25/67/621152567.db2.gz HIKQUKPZNVBABR-ZIAGYGMSSA-N 0 0 297.314 2.756 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccn2Cc1noc2c1COCC2 ZINC000902714625 621154282 /nfs/dbraw/zinc/15/42/82/621154282.db2.gz PMRSSHVXTASIHP-UHFFFAOYSA-N 0 0 299.286 2.659 20 5 CFBDRN Cc1c(OC(=O)[C@]23C[C@H]2CCC3)cccc1[N+](=O)[O-] ZINC000902719852 621155347 /nfs/dbraw/zinc/15/53/47/621155347.db2.gz WUYDFBACOOCELQ-QMTHXVAHSA-N 0 0 261.277 2.999 20 5 CFBDRN COC/C(C)=C/COc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000902723265 621157820 /nfs/dbraw/zinc/15/78/20/621157820.db2.gz IDCPBIIZMZIKRM-UXBLZVDNSA-N 0 0 279.292 2.769 20 5 CFBDRN COC(C)(C)[C@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000902938872 621210242 /nfs/dbraw/zinc/21/02/42/621210242.db2.gz UZDBXVSVDKVHAZ-VIFPVBQESA-N 0 0 281.312 2.530 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCC[C@H](F)C1 ZINC000902992168 621219297 /nfs/dbraw/zinc/21/92/97/621219297.db2.gz HSUQCMVZVZCNTD-NSHDSACASA-N 0 0 268.288 2.537 20 5 CFBDRN C[C@@H](c1noc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H]1CCCO1 ZINC000903791848 621439018 /nfs/dbraw/zinc/43/90/18/621439018.db2.gz MEOKPOBRHONJMZ-BXKDBHETSA-N 0 0 289.291 2.927 20 5 CFBDRN Cc1nc(NC(=O)[C@@]2(C)C=CCC2)ccc1[N+](=O)[O-] ZINC000903817780 621443544 /nfs/dbraw/zinc/44/35/44/621443544.db2.gz IITBPKMYMXFICQ-ZDUSSCGKSA-N 0 0 261.281 2.593 20 5 CFBDRN O=C([O-])C[C@@H]([NH2+]Cc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000904041523 621510188 /nfs/dbraw/zinc/51/01/88/621510188.db2.gz RLYVEPYKSCTMKS-CQSZACIVSA-N 0 0 292.335 2.718 20 5 CFBDRN O=C(NCC[C@@H]1C[C@H]1C1CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000927417115 621587472 /nfs/dbraw/zinc/58/74/72/621587472.db2.gz PPJROCANNMQJDW-PWSUYJOCSA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1nn(CN(C)Cc2c(C)cccc2C)cc1[N+](=O)[O-] ZINC000904439289 621598097 /nfs/dbraw/zinc/59/80/97/621598097.db2.gz JWIWDVVSVRKSDX-UHFFFAOYSA-N 0 0 288.351 2.806 20 5 CFBDRN O=[N+]([O-])c1cc(-c2nc(CCCc3ccccc3)no2)[nH]n1 ZINC000904459357 621600213 /nfs/dbraw/zinc/60/02/13/621600213.db2.gz ATGKZLVEJZVVQV-UHFFFAOYSA-N 0 0 299.290 2.543 20 5 CFBDRN O=c1ccc(-c2ccc([N+](=O)[O-])cc2)cn1CC1CC1 ZINC000904797406 621649667 /nfs/dbraw/zinc/64/96/67/621649667.db2.gz VYPRSWABRLZWJD-UHFFFAOYSA-N 0 0 270.288 2.834 20 5 CFBDRN COc1ccc(OC(=O)[C@@]2(C)C=CCC2)cc1[N+](=O)[O-] ZINC000904850693 621659291 /nfs/dbraw/zinc/65/92/91/621659291.db2.gz CGPJNRWCYAMFKK-AWEZNQCLSA-N 0 0 277.276 2.865 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(-c2nc(-c3ccncn3)no2)c1C ZINC000904865426 621664768 /nfs/dbraw/zinc/66/47/68/621664768.db2.gz DWSGJNJCYDHELG-UHFFFAOYSA-N 0 0 297.274 2.719 20 5 CFBDRN Cc1c(NC(=O)[C@H](C)[C@@H]2CCCO2)cccc1[N+](=O)[O-] ZINC000905086808 621692840 /nfs/dbraw/zinc/69/28/40/621692840.db2.gz ZHJCCKOPRMJOPH-MFKMUULPSA-N 0 0 278.308 2.657 20 5 CFBDRN C[C@@H](NC(=O)NC(C)(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000905142364 621709404 /nfs/dbraw/zinc/70/94/04/621709404.db2.gz HYELOMUFEGGMPI-SECBINFHSA-N 0 0 265.313 2.754 20 5 CFBDRN CC[C@@H](C)NC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905143286 621709922 /nfs/dbraw/zinc/70/99/22/621709922.db2.gz YWVVMIFUTZGOBD-NXEZZACHSA-N 0 0 265.313 2.754 20 5 CFBDRN CCCCNC(=S)Nc1cccc([N+](=O)[O-])c1 ZINC000003231823 621718872 /nfs/dbraw/zinc/71/88/72/621718872.db2.gz NWTZQJWHTKQBEL-UHFFFAOYSA-N 0 0 253.327 2.681 20 5 CFBDRN CC1=NO[C@](C)(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000905233528 621730817 /nfs/dbraw/zinc/73/08/17/621730817.db2.gz XJFSHBYIXDYPQL-LBPRGKRZSA-N 0 0 297.698 2.742 20 5 CFBDRN CC1=NO[C@@](C)(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000905233526 621730979 /nfs/dbraw/zinc/73/09/79/621730979.db2.gz XJFSHBYIXDYPQL-GFCCVEGCSA-N 0 0 297.698 2.742 20 5 CFBDRN COCC(C)(C)CCNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000832771334 625510570 /nfs/dbraw/zinc/51/05/70/625510570.db2.gz LEIDKOLUXUBHEG-UHFFFAOYSA-N 0 0 294.351 2.696 20 5 CFBDRN CCOc1ccc(NCC[N+](=O)[O-])cc1Br ZINC000905336805 621750436 /nfs/dbraw/zinc/75/04/36/621750436.db2.gz XLAAXJGAQHCVJK-UHFFFAOYSA-N 0 0 289.129 2.536 20 5 CFBDRN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=S)Nc1ccc([N+](=O)[O-])cc1 ZINC000905628045 621801134 /nfs/dbraw/zinc/80/11/34/621801134.db2.gz DPSBWYNJSQHFCZ-WRSRJMLGSA-N 0 0 291.376 2.926 20 5 CFBDRN CCCCNC(=S)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905640736 621815850 /nfs/dbraw/zinc/81/58/50/621815850.db2.gz MVCDQKYBCXRWMH-SNVBAGLBSA-N 0 0 281.381 2.920 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)COC(F)(F)F ZINC000905710209 621825816 /nfs/dbraw/zinc/82/58/16/621825816.db2.gz VFVMQMFWJWIPEV-UHFFFAOYSA-N 0 0 292.213 2.632 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)CC1(C)COC1 ZINC000906109243 621931644 /nfs/dbraw/zinc/93/16/44/621931644.db2.gz PABIRRRTBQLLHJ-UHFFFAOYSA-N 0 0 293.319 2.681 20 5 CFBDRN C[C@H]1C[C@H]1CNC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000906165016 621941123 /nfs/dbraw/zinc/94/11/23/621941123.db2.gz YPKAFKIEQZXVMF-ONGXEEELSA-N 0 0 296.754 2.953 20 5 CFBDRN O=C(N[C@@H](c1ccccn1)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000906247272 621959542 /nfs/dbraw/zinc/95/95/42/621959542.db2.gz BRUQBBLWQIHHRY-OAHLLOKOSA-N 0 0 297.314 2.871 20 5 CFBDRN O=C(NCC(F)(F)F)c1cccc(Cl)c1[N+](=O)[O-] ZINC000149127913 621975110 /nfs/dbraw/zinc/97/51/10/621975110.db2.gz OWDNBYTUWIVILU-UHFFFAOYSA-N 0 0 282.605 2.540 20 5 CFBDRN CCC(CC)N(CC)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000832823305 625529136 /nfs/dbraw/zinc/52/91/36/625529136.db2.gz PPOSKBBHRLSSOC-UHFFFAOYSA-N 0 0 258.362 2.859 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])C1(C2CC2)CCC1 ZINC000906816490 622046919 /nfs/dbraw/zinc/04/69/19/622046919.db2.gz HERQFWQMEISMGG-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000833030314 625543799 /nfs/dbraw/zinc/54/37/99/625543799.db2.gz ZGSZOHLTYAKKBT-GXFFZTMASA-N 0 0 274.320 2.962 20 5 CFBDRN CCO[C@@H]1[C@H](C)[C@H]1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000908268880 622210352 /nfs/dbraw/zinc/21/03/52/622210352.db2.gz PRGFDJKMNNMFRB-MPKXVKKWSA-N 0 0 292.335 2.821 20 5 CFBDRN C[C@@H](CF)NC(=O)CCc1ccc([N+](=O)[O-])cc1Cl ZINC000908377957 622223280 /nfs/dbraw/zinc/22/32/80/622223280.db2.gz RNRXIHPCXGRBKU-QMMMGPOBSA-N 0 0 288.706 2.655 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1cc(Cl)c(F)c([N+](=O)[O-])c1 ZINC000908433279 622229443 /nfs/dbraw/zinc/22/94/43/622229443.db2.gz IKHSEIFKGFUDPG-NKWVEPMBSA-N 0 0 286.690 2.868 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)COC(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000908716476 622268086 /nfs/dbraw/zinc/26/80/86/622268086.db2.gz YIJWYFQQAZDRQS-CBAPKCEASA-N 0 0 297.694 2.630 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cc(C)ccc1[N+](=O)[O-] ZINC000909030656 622298304 /nfs/dbraw/zinc/29/83/04/622298304.db2.gz ACBIRGLMUHNRCO-WDZFZDKYSA-N 0 0 279.292 2.653 20 5 CFBDRN COC/C(C)=C/COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000909090951 622309616 /nfs/dbraw/zinc/30/96/16/622309616.db2.gz AEOLMTZLGLKJSE-YRNVUSSQSA-N 0 0 293.319 2.582 20 5 CFBDRN COC/C(C)=C\COC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000909222635 622328687 /nfs/dbraw/zinc/32/86/87/622328687.db2.gz JTSJCXQOTQCRIX-WTKPLQERSA-N 0 0 297.282 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)N2C3CCC2CC3)cc1 ZINC000909849582 622356949 /nfs/dbraw/zinc/35/69/49/622356949.db2.gz BDARCEFIMDYDCP-UHFFFAOYSA-N 0 0 277.349 2.918 20 5 CFBDRN CCOc1ccc(C(=O)OCC[C@@H](C)OC)cc1[N+](=O)[O-] ZINC000174818161 622381405 /nfs/dbraw/zinc/38/14/05/622381405.db2.gz XTVJJSSLEQMXDP-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN CSCCCOC(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000910029162 622388733 /nfs/dbraw/zinc/38/87/33/622388733.db2.gz XMUMLNDOSFJJPL-STQMWFEESA-N 0 0 295.360 2.995 20 5 CFBDRN CC(C)c1cc(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)no1 ZINC000819892674 622566971 /nfs/dbraw/zinc/56/69/71/622566971.db2.gz MJFWMUVZVNJNHT-UHFFFAOYSA-N 0 0 290.279 2.541 20 5 CFBDRN Nc1ccc(NC(=O)[C@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000819904828 622569167 /nfs/dbraw/zinc/56/91/67/622569167.db2.gz FKBJPMFNPRAJCB-QMMMGPOBSA-N 0 0 299.277 2.941 20 5 CFBDRN CCCc1occc1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000819909870 622570487 /nfs/dbraw/zinc/57/04/87/622570487.db2.gz KJPRKLSUMCNCJU-UHFFFAOYSA-N 0 0 289.291 2.975 20 5 CFBDRN CCn1nc(C)c(CNc2ccc(Cl)cc2[N+](=O)[O-])n1 ZINC000819936307 622577983 /nfs/dbraw/zinc/57/79/83/622577983.db2.gz YVAGMXHDYMRCJY-UHFFFAOYSA-N 0 0 295.730 2.780 20 5 CFBDRN C[C@H](OC(=O)/C=C/[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000819960801 622586117 /nfs/dbraw/zinc/58/61/17/622586117.db2.gz GOYDHGXNLHREBP-VDTGWRSZSA-N 0 0 291.303 2.934 20 5 CFBDRN CSCCCNC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000820110691 622611721 /nfs/dbraw/zinc/61/17/21/622611721.db2.gz BQXDMJDEZQDHJY-UHFFFAOYSA-N 0 0 282.365 2.695 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000820127395 622615001 /nfs/dbraw/zinc/61/50/01/622615001.db2.gz OFWUWBDGBBFCSW-LBPRGKRZSA-N 0 0 299.710 2.830 20 5 CFBDRN O=C(OCC[C@@H]1CC1(Cl)Cl)c1ccc([N+](=O)[O-])o1 ZINC000820127850 622615170 /nfs/dbraw/zinc/61/51/70/622615170.db2.gz UCJDRPLVDQGNIP-ZCFIWIBFSA-N 0 0 294.090 2.929 20 5 CFBDRN C=C=CCNC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] ZINC000820189498 622623526 /nfs/dbraw/zinc/62/35/26/622623526.db2.gz FZJXCQHPBBHFDE-UHFFFAOYSA-N 0 0 298.751 2.798 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NN2CCCC[C@H]2C)c1C ZINC000912158949 622639359 /nfs/dbraw/zinc/63/93/59/622639359.db2.gz ANKSWDDVMSJYLE-LLVKDONJSA-N 0 0 291.351 2.731 20 5 CFBDRN CO[C@@H](COC(=O)c1c(Cl)cccc1[N+](=O)[O-])C1CC1 ZINC000820357385 622655349 /nfs/dbraw/zinc/65/53/49/622655349.db2.gz NKMRWBTZQDLIQV-NSHDSACASA-N 0 0 299.710 2.830 20 5 CFBDRN CCCCOCCOC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000071996575 625585645 /nfs/dbraw/zinc/58/56/45/625585645.db2.gz UKHUKKJOHMHRGS-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN COC(=O)CC1(CNc2ncccc2[N+](=O)[O-])CCCC1 ZINC000912465635 622715252 /nfs/dbraw/zinc/71/52/52/622715252.db2.gz XNNHJPZGFOYWGE-UHFFFAOYSA-N 0 0 293.323 2.525 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nnn([C@H]2C=CCCC2)n1 ZINC000912458335 622719800 /nfs/dbraw/zinc/71/98/00/622719800.db2.gz HBMPREKVCZUHFN-JTQLQIEISA-N 0 0 271.280 2.530 20 5 CFBDRN C[C@H](F)CCNC(=O)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000820631496 622720071 /nfs/dbraw/zinc/72/00/71/622720071.db2.gz PXAQKLMNBLZJPV-BIMULSAOSA-N 0 0 280.299 2.563 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000820649566 622725535 /nfs/dbraw/zinc/72/55/35/622725535.db2.gz GQGRHEPRGDRPJH-VHSXEESVSA-N 0 0 280.299 2.533 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] ZINC000150751287 622737277 /nfs/dbraw/zinc/73/72/77/622737277.db2.gz PUUFQWFVYZHSKW-MRVPVSSYSA-N 0 0 286.328 2.524 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)n1cccn1 ZINC000912993244 622820165 /nfs/dbraw/zinc/82/01/65/622820165.db2.gz OUTQWODRYDOLNB-MRVPVSSYSA-N 0 0 294.698 2.644 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CC=CC12CCCC2 ZINC000913195013 622848673 /nfs/dbraw/zinc/84/86/73/622848673.db2.gz PMWGKJGITPFYRI-UHFFFAOYSA-N 0 0 262.265 2.513 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CC=CC12CCCC2 ZINC000913195402 622848697 /nfs/dbraw/zinc/84/86/97/622848697.db2.gz UFXAJYXOAQDGLQ-UHFFFAOYSA-N 0 0 278.333 2.981 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)c1ccc2n[nH]cc2c1 ZINC000913197447 622849623 /nfs/dbraw/zinc/84/96/23/622849623.db2.gz DJBVYSBKEHEBII-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OCCC(C)(C)C ZINC000913271255 622868800 /nfs/dbraw/zinc/86/88/00/622868800.db2.gz KWASXWKPPAJNHZ-UHFFFAOYSA-N 0 0 266.297 2.891 20 5 CFBDRN O=C(OCCc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000151266050 622877124 /nfs/dbraw/zinc/87/71/24/622877124.db2.gz DQBIZOGDMUPJOF-UHFFFAOYSA-N 0 0 271.272 2.994 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000151393754 622904270 /nfs/dbraw/zinc/90/42/70/622904270.db2.gz GUOHMWDLTCATJP-SSDOTTSWSA-N 0 0 272.251 2.744 20 5 CFBDRN COc1ccccc1OC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000151451858 622915610 /nfs/dbraw/zinc/91/56/10/622915610.db2.gz KPHCAJDAJKUTQO-UHFFFAOYSA-N 0 0 287.271 2.752 20 5 CFBDRN CC(C)CC(=O)OCc1c(F)cc([N+](=O)[O-])cc1F ZINC000803225210 622924804 /nfs/dbraw/zinc/92/48/04/622924804.db2.gz SVPQOFCVKOVSSC-UHFFFAOYSA-N 0 0 273.235 2.962 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C)[C@@H]1C ZINC000821507471 622936599 /nfs/dbraw/zinc/93/65/99/622936599.db2.gz BKSZNUVLLCHXTJ-ZJUUUORDSA-N 0 0 263.297 2.775 20 5 CFBDRN O=C(NC[C@H]1CCCCS1)c1cc([N+](=O)[O-])ccc1F ZINC000156386926 622938165 /nfs/dbraw/zinc/93/81/65/622938165.db2.gz UFLYKRASLSXOBT-SNVBAGLBSA-N 0 0 298.339 2.749 20 5 CFBDRN O=C(Cn1cc([N+](=O)[O-])cn1)Oc1ccc2ccccc2c1 ZINC000044667088 622940217 /nfs/dbraw/zinc/94/02/17/622940217.db2.gz YXNOTFNVLYIEMA-UHFFFAOYSA-N 0 0 297.270 2.550 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CCC=C(F)C1 ZINC000821540938 622941396 /nfs/dbraw/zinc/94/13/96/622941396.db2.gz TYCWYSQSDKQRDW-UHFFFAOYSA-N 0 0 278.283 2.911 20 5 CFBDRN C[C@H]1C[C@@H]1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 ZINC000156646126 622952573 /nfs/dbraw/zinc/95/25/73/622952573.db2.gz BKLWZOHBRCOZOC-XPUUQOCRSA-N 0 0 299.124 2.952 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(O)cc1 ZINC000164834407 622969169 /nfs/dbraw/zinc/96/91/69/622969169.db2.gz NRNKAUFMCFAGJG-UHFFFAOYSA-N 0 0 288.259 2.561 20 5 CFBDRN Cc1ccc(OC(=O)[C@@H](C)C2CCOCC2)c([N+](=O)[O-])c1 ZINC000165119098 622981620 /nfs/dbraw/zinc/98/16/20/622981620.db2.gz ZIFUEXDCLDGLIX-NSHDSACASA-N 0 0 293.319 2.871 20 5 CFBDRN Cc1nn(CN(C)Cc2ccc(F)cc2)c(C)c1[N+](=O)[O-] ZINC000056696174 622986615 /nfs/dbraw/zinc/98/66/15/622986615.db2.gz QCTBDEDAJMWJDT-UHFFFAOYSA-N 0 0 292.314 2.637 20 5 CFBDRN COc1ccc(CC(=O)O[C@H]2CCC2(C)C)cc1[N+](=O)[O-] ZINC000821841577 622990824 /nfs/dbraw/zinc/99/08/24/622990824.db2.gz KNSUDMBQLQDCTH-ZDUSSCGKSA-N 0 0 293.319 2.878 20 5 CFBDRN CC1(C)CC[C@@H]1OC(=O)COc1ccccc1[N+](=O)[O-] ZINC000821846777 622992362 /nfs/dbraw/zinc/99/23/62/622992362.db2.gz QEGLQEYYWMRAKQ-LBPRGKRZSA-N 0 0 279.292 2.705 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@]1(C)C[C@@]1(F)Cl)[N+](=O)[O-] ZINC000821914811 623007356 /nfs/dbraw/zinc/00/73/56/623007356.db2.gz NLKUUNDYFRIRAA-MNOVXSKESA-N 0 0 281.711 2.680 20 5 CFBDRN Nc1c(C(=O)NCCC[C@@H]2C=CCC2)cccc1[N+](=O)[O-] ZINC000834330469 625614069 /nfs/dbraw/zinc/61/40/69/625614069.db2.gz HZUYOARWFWOQOO-LLVKDONJSA-N 0 0 289.335 2.653 20 5 CFBDRN Cc1cc(C(=O)OC[C@]2(C)C[C@H]2F)cc([N+](=O)[O-])c1 ZINC000822098521 623035868 /nfs/dbraw/zinc/03/58/68/623035868.db2.gz HOVIWDSICAMUCF-YPMHNXCESA-N 0 0 267.256 2.808 20 5 CFBDRN C[C@@]1(COC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C[C@H]1F ZINC000822102212 623036434 /nfs/dbraw/zinc/03/64/34/623036434.db2.gz YBARAVFYURROKW-RISCZKNCSA-N 0 0 292.266 2.981 20 5 CFBDRN COc1cccc(C(=O)OC[C@]2(C)C[C@H]2F)c1[N+](=O)[O-] ZINC000822141831 623048841 /nfs/dbraw/zinc/04/88/41/623048841.db2.gz YWTDKLQXYMSZTO-MFKMUULPSA-N 0 0 283.255 2.508 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCCC[C@@H]1C=CCC1 ZINC000834331519 625616560 /nfs/dbraw/zinc/61/65/60/625616560.db2.gz WELLXNORBZWWHH-CYBMUJFWSA-N 0 0 288.347 3.000 20 5 CFBDRN C[C@@H](CNC(=O)CCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000822219029 623059365 /nfs/dbraw/zinc/05/93/65/623059365.db2.gz NJYGHHPTBCWLHC-LBPRGKRZSA-N 0 0 278.352 2.742 20 5 CFBDRN CC(C)COC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 ZINC000046498929 623085512 /nfs/dbraw/zinc/08/55/12/623085512.db2.gz CCJHQACUYVGIDT-UHFFFAOYSA-N 0 0 289.291 2.593 20 5 CFBDRN CS[C@@H](C)CC(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000157989428 623086782 /nfs/dbraw/zinc/08/67/82/623086782.db2.gz JIQVKLSBWNNANQ-JTQLQIEISA-N 0 0 283.349 2.822 20 5 CFBDRN C[C@H](Cc1ccco1)Nc1ncc([N+](=O)[O-])s1 ZINC000226770599 623089534 /nfs/dbraw/zinc/08/95/34/623089534.db2.gz HHAQLXLZTXYGQJ-SSDOTTSWSA-N 0 0 253.283 2.687 20 5 CFBDRN CC[C@H]1CCCC[C@@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822490936 623114629 /nfs/dbraw/zinc/11/46/29/623114629.db2.gz JPALSZLSXTWUIP-RYUDHWBXSA-N 0 0 270.373 2.907 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822490934 623114898 /nfs/dbraw/zinc/11/48/98/623114898.db2.gz JPALSZLSXTWUIP-NEPJUHHUSA-N 0 0 270.373 2.907 20 5 CFBDRN CCn1cc(OCc2ccc([N+](=O)[O-])c(F)c2)cn1 ZINC000228132968 623131718 /nfs/dbraw/zinc/13/17/18/623131718.db2.gz ZMYNXKXNYJSGEG-UHFFFAOYSA-N 0 0 265.244 2.529 20 5 CFBDRN CCCCC[C@@H](O)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000822609166 623134865 /nfs/dbraw/zinc/13/48/65/623134865.db2.gz RRZWAIVCCNERPT-BXUZGUMPSA-N 0 0 294.351 2.713 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)C(F)=C1CCC1 ZINC000822643943 623141764 /nfs/dbraw/zinc/14/17/64/623141764.db2.gz KKDGUPPBUKOLPE-UHFFFAOYSA-N 0 0 250.229 2.941 20 5 CFBDRN O=C(CCc1ccco1)OCCc1ccc([N+](=O)[O-])cc1 ZINC000060761852 623154207 /nfs/dbraw/zinc/15/42/07/623154207.db2.gz POHDCXWTHMGRBB-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN Cc1nc(Cl)ccc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000228677759 623156732 /nfs/dbraw/zinc/15/67/32/623156732.db2.gz VEUIRPBRBIOHKF-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN CCCC[C@@H](CC)C(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000060853782 623160883 /nfs/dbraw/zinc/16/08/83/623160883.db2.gz BRIDREMXSNYSNW-GFCCVEGCSA-N 0 0 297.355 2.859 20 5 CFBDRN CC(C)CN(CC(F)(F)F)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000822795862 623176559 /nfs/dbraw/zinc/17/65/59/623176559.db2.gz MOFVXVWJKYEUAL-UHFFFAOYSA-N 0 0 298.305 2.869 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])cc2C(C)=O)C1 ZINC000061569925 623220270 /nfs/dbraw/zinc/22/02/70/623220270.db2.gz IMHITBPXZHWLMP-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN C[C@H]1CCC[C@H](C)N1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823096375 623233807 /nfs/dbraw/zinc/23/38/07/623233807.db2.gz DDQGUMRERAWITP-QWRGUYRKSA-N 0 0 256.346 2.611 20 5 CFBDRN COC(C)(C)C(=O)O[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000171699696 623239072 /nfs/dbraw/zinc/23/90/72/623239072.db2.gz JDQBZNIXJILJJR-VIFPVBQESA-N 0 0 267.281 2.624 20 5 CFBDRN CC(C)(C)[C@H]1CCCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000823118061 623239801 /nfs/dbraw/zinc/23/98/01/623239801.db2.gz LGJCUTKOVGVVNP-LLVKDONJSA-N 0 0 270.373 2.859 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000823218372 623265745 /nfs/dbraw/zinc/26/57/45/623265745.db2.gz GDNDTNGXHKRKLL-GXFFZTMASA-N 0 0 294.351 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N1CC[C@H]1C(F)F ZINC000823218375 623265794 /nfs/dbraw/zinc/26/57/94/623265794.db2.gz GEBUGSKOXOZKQI-JTQLQIEISA-N 0 0 284.262 2.691 20 5 CFBDRN CC(C)C[C@@H]1COCCN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000172160824 623265781 /nfs/dbraw/zinc/26/57/81/623265781.db2.gz XXTYZHVXJNFPPU-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN C/C(=C\C(=O)N1CCc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000172421582 623281016 /nfs/dbraw/zinc/28/10/16/623281016.db2.gz XIPLIRLQGKBYPT-MDZDMXLPSA-N 0 0 272.304 2.840 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])[C@@H](C)C1 ZINC000823319244 623292131 /nfs/dbraw/zinc/29/21/31/623292131.db2.gz DISTZDDOMWPLSA-WOPDTQHZSA-N 0 0 270.373 2.763 20 5 CFBDRN CC1(C)CCCN1C(=O)c1cc(Cl)c(N)c([N+](=O)[O-])c1 ZINC000063074974 623317577 /nfs/dbraw/zinc/31/75/77/623317577.db2.gz ZEAMQILNYLAQGM-UHFFFAOYSA-N 0 0 297.742 2.845 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCc1cnc(Cl)cn1 ZINC000231289054 623337885 /nfs/dbraw/zinc/33/78/85/623337885.db2.gz IRCIWEODIMVDFJ-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cnc(Cl)cn2)c1 ZINC000231289435 623338005 /nfs/dbraw/zinc/33/80/05/623338005.db2.gz GLHMYIHGLATRHM-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CS[C@H](C)C1 ZINC000823582154 623353043 /nfs/dbraw/zinc/35/30/43/623353043.db2.gz QMSNKLBJNGIVFQ-KOLCDFICSA-N 0 0 294.376 2.835 20 5 CFBDRN CCC[C@]1(C)CCCN(Cn2nccc2[N+](=O)[O-])C1 ZINC000173537439 623354434 /nfs/dbraw/zinc/35/44/34/623354434.db2.gz XZXVVPRKIAIJGK-CYBMUJFWSA-N 0 0 266.345 2.651 20 5 CFBDRN CC(C)(C)OCCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000063895447 623400364 /nfs/dbraw/zinc/40/03/64/623400364.db2.gz KICREFASDAXVJY-UHFFFAOYSA-N 0 0 267.281 2.567 20 5 CFBDRN Nc1ccc(NC(=O)NCCCC2CCC2)cc1[N+](=O)[O-] ZINC000823920850 623418885 /nfs/dbraw/zinc/41/88/85/623418885.db2.gz FQJGVYWWVCYBQO-UHFFFAOYSA-N 0 0 292.339 2.879 20 5 CFBDRN Nc1ccc(NC(=O)N2CCCC3(CC3)C2)cc1[N+](=O)[O-] ZINC000823961264 623426372 /nfs/dbraw/zinc/42/63/72/623426372.db2.gz AUQMLWVEBUWGSC-UHFFFAOYSA-N 0 0 290.323 2.585 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823961283 623426527 /nfs/dbraw/zinc/42/65/27/623426527.db2.gz BJHNYVFLYDJMKR-SNVBAGLBSA-N 0 0 292.339 2.831 20 5 CFBDRN CC1(C)CCC[C@H]1NC(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823979950 623428287 /nfs/dbraw/zinc/42/82/87/623428287.db2.gz FIRLVYNQJNXLCK-GFCCVEGCSA-N 0 0 292.339 2.877 20 5 CFBDRN CC1(C)CC[C@@H](NC(=O)Nc2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000823972926 623428372 /nfs/dbraw/zinc/42/83/72/623428372.db2.gz BOEYILWYQKVDNX-SNVBAGLBSA-N 0 0 292.339 2.877 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823985543 623428887 /nfs/dbraw/zinc/42/88/87/623428887.db2.gz AHEHSOKKXZSHBC-ONGXEEELSA-N 0 0 292.339 2.829 20 5 CFBDRN CN(CC1CCCC1)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000823998389 623430746 /nfs/dbraw/zinc/43/07/46/623430746.db2.gz OGNYKEYBOHGASO-UHFFFAOYSA-N 0 0 292.339 2.831 20 5 CFBDRN CCC[C@@H](C)N(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000824003382 623431926 /nfs/dbraw/zinc/43/19/26/623431926.db2.gz ZFQDTDPNFFMPRJ-SECBINFHSA-N 0 0 280.328 2.829 20 5 CFBDRN CC[C@H](C)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000088312444 623450343 /nfs/dbraw/zinc/45/03/43/623450343.db2.gz ZDJLWLUVSTUSLX-UWVGGRQHSA-N 0 0 279.340 2.732 20 5 CFBDRN CC(C)CC[C@@H](C)NC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000824236194 623474446 /nfs/dbraw/zinc/47/44/46/623474446.db2.gz JEEWVFIJJXINCK-RISCZKNCSA-N 0 0 294.351 2.569 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(C2CC2)C2COC2)cc1Cl ZINC000824472138 623511235 /nfs/dbraw/zinc/51/12/35/623511235.db2.gz MHJCHRGRAHZWSW-UHFFFAOYSA-N 0 0 282.727 2.611 20 5 CFBDRN CC(C(=O)N1CCc2ccc([N+](=O)[O-])cc21)=C1CCC1 ZINC000824525517 623516648 /nfs/dbraw/zinc/51/66/48/623516648.db2.gz AVIPHKZRLDSGCY-UHFFFAOYSA-N 0 0 272.304 2.984 20 5 CFBDRN COc1cc(NC[C@H](O)CC(C)C)c([N+](=O)[O-])cc1C ZINC000312570437 623531699 /nfs/dbraw/zinc/53/16/99/623531699.db2.gz ZFHSDKPJRAOACE-LLVKDONJSA-N 0 0 282.340 2.731 20 5 CFBDRN CC(C)[C@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])C1CC1 ZINC000324119004 623573718 /nfs/dbraw/zinc/57/37/18/623573718.db2.gz NCTXIGFFXHQQAZ-ZDUSSCGKSA-N 0 0 280.299 2.898 20 5 CFBDRN O=C(N[C@@H]1C=CCC1)[C@H]1C[C@@H]1c1cccc([N+](=O)[O-])c1 ZINC000824957434 623594869 /nfs/dbraw/zinc/59/48/69/623594869.db2.gz NLRUHHWYIZLIRK-BNOWGMLFSA-N 0 0 272.304 2.533 20 5 CFBDRN CC(C)[C@H](C)NC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000913860348 623624801 /nfs/dbraw/zinc/62/48/01/623624801.db2.gz IXVCRZQHFTXLFG-WDEREUQCSA-N 0 0 279.340 3.000 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000825238043 623655677 /nfs/dbraw/zinc/65/56/77/623655677.db2.gz BHQJFRBJHVMZAW-ADEWGFFLSA-N 0 0 292.335 2.507 20 5 CFBDRN Cc1sc(C(=O)NN2[C@H](C)CCC[C@@H]2C)cc1[N+](=O)[O-] ZINC000913962363 623659349 /nfs/dbraw/zinc/65/93/49/623659349.db2.gz RISFBVOSIGEBFC-DTORHVGOSA-N 0 0 297.380 2.872 20 5 CFBDRN C[C@H]1CCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] ZINC000914158148 623716200 /nfs/dbraw/zinc/71/62/00/623716200.db2.gz JVIFOJCUFOLDNV-VIFPVBQESA-N 0 0 298.726 2.638 20 5 CFBDRN Cc1ccc(CNC(=O)C2CC3(CC3)C2)cc1[N+](=O)[O-] ZINC000825633363 623727637 /nfs/dbraw/zinc/72/76/37/623727637.db2.gz FZXIWHVHMCLVRW-UHFFFAOYSA-N 0 0 274.320 2.710 20 5 CFBDRN CC/C=C(/F)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825641082 623729308 /nfs/dbraw/zinc/72/93/08/623729308.db2.gz QFKYJOMIISPNOJ-NYYWCZLTSA-N 0 0 266.272 2.783 20 5 CFBDRN COCCC(C)(C)C(=O)NCc1ccc(C)c([N+](=O)[O-])c1 ZINC000825648337 623730238 /nfs/dbraw/zinc/73/02/38/623730238.db2.gz QBTPISLBDKQBQZ-UHFFFAOYSA-N 0 0 294.351 2.582 20 5 CFBDRN C[C@@H](NC(=O)NC[C@@H]1C[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000914216261 623737809 /nfs/dbraw/zinc/73/78/09/623737809.db2.gz FRKGNZZJCARYGM-FOGDFJRCSA-N 0 0 277.324 2.611 20 5 CFBDRN CSCCNC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000825683025 623739686 /nfs/dbraw/zinc/73/96/86/623739686.db2.gz HKAFNGSYUFNFRO-UHFFFAOYSA-N 0 0 288.756 2.649 20 5 CFBDRN CC[C@@H](NC(=O)O[C@@H]1CCOC1)c1cccc([N+](=O)[O-])c1 ZINC000914227198 623741939 /nfs/dbraw/zinc/74/19/39/623741939.db2.gz LFYMADNYAWYIEU-CHWSQXEVSA-N 0 0 294.307 2.561 20 5 CFBDRN CS[C@H]1CCC[C@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000825905042 623766750 /nfs/dbraw/zinc/76/67/50/623766750.db2.gz IWEVSFIUVQHICC-QWRGUYRKSA-N 0 0 288.413 2.612 20 5 CFBDRN CC[C@H]1CCC[C@@H](NC(=O)CCC(C)(C)[N+](=O)[O-])C1 ZINC000826026266 623783626 /nfs/dbraw/zinc/78/36/26/623783626.db2.gz ALBWZLAMZRQZSU-NWDGAFQWSA-N 0 0 270.373 2.907 20 5 CFBDRN CC(C)(CCC(=O)N[C@@H]1C[C@@H]1C1CCCCC1)[N+](=O)[O-] ZINC000826086215 623791797 /nfs/dbraw/zinc/79/17/97/623791797.db2.gz OWEVBAKEPVFELY-CHWSQXEVSA-N 0 0 282.384 2.907 20 5 CFBDRN O=[N+]([O-])c1ccc(CSC[C@@H](O)C2CC2)cc1 ZINC000840748561 623798383 /nfs/dbraw/zinc/79/83/83/623798383.db2.gz AZZCGSNSQFGAOY-GFCCVEGCSA-N 0 0 253.323 2.599 20 5 CFBDRN CC12CC(C1)CN2C(=O)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000826782665 623874436 /nfs/dbraw/zinc/87/44/36/623874436.db2.gz ABYKLBPSHJDAKQ-QRUJWNCHSA-N 0 0 286.331 2.709 20 5 CFBDRN C[C@H]1SCC[C@H]1Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000826804111 623881603 /nfs/dbraw/zinc/88/16/03/623881603.db2.gz ZIBDDYSPWAUXID-HTQZYQBOSA-N 0 0 283.309 2.809 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2Cl)[C@@H](C)CO1 ZINC000914571061 623901265 /nfs/dbraw/zinc/90/12/65/623901265.db2.gz IXDOIIAFABONBT-DTWKUNHWSA-N 0 0 270.716 2.862 20 5 CFBDRN CC(C)[C@H]1CCCN(Cn2nccc2[N+](=O)[O-])CC1 ZINC000153290790 623916852 /nfs/dbraw/zinc/91/68/52/623916852.db2.gz JMYNHMAUKXZOSA-LBPRGKRZSA-N 0 0 266.345 2.507 20 5 CFBDRN Cc1cc(C(=O)Oc2cccc([N+](=O)[O-])c2)c(C)[nH]1 ZINC000914753146 623932893 /nfs/dbraw/zinc/93/28/93/623932893.db2.gz HZKHEKSJPOZBNB-UHFFFAOYSA-N 0 0 260.249 2.759 20 5 CFBDRN CC(C)[C@H](C)OC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000763553709 623959864 /nfs/dbraw/zinc/95/98/64/623959864.db2.gz NIENIKXCDMMJAI-NSHDSACASA-N 0 0 280.324 2.985 20 5 CFBDRN COC(=O)Cc1ccc(N2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 ZINC000827262253 623977143 /nfs/dbraw/zinc/97/71/43/623977143.db2.gz SPIPJYCXAIWPQJ-LLVKDONJSA-N 0 0 292.335 2.547 20 5 CFBDRN COC(C)(C)COC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000835502678 623990919 /nfs/dbraw/zinc/99/09/19/623990919.db2.gz GKUTZXBACMASEI-UHFFFAOYSA-N 0 0 299.348 2.655 20 5 CFBDRN Cc1nn(C[C@@H]2CC[C@@H](C(F)(F)F)O2)c(C)c1[N+](=O)[O-] ZINC000915323210 624024298 /nfs/dbraw/zinc/02/42/98/624024298.db2.gz XZTIPHHVMVHGFU-IUCAKERBSA-N 0 0 293.245 2.518 20 5 CFBDRN C/C=C/C=C\C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000915370548 624032339 /nfs/dbraw/zinc/03/23/39/624032339.db2.gz OZTDLMJMICTVRI-HOJFPOIOSA-N 0 0 277.276 2.649 20 5 CFBDRN CSc1cc(NC(=O)CCC(C)(C)[N+](=O)[O-])ccn1 ZINC000827611957 624044710 /nfs/dbraw/zinc/04/47/10/624044710.db2.gz AMRWLQAKWMQFSB-UHFFFAOYSA-N 0 0 283.353 2.578 20 5 CFBDRN CC(F)(F)CCCN1C(=O)c2ccc([N+](=O)[O-])cc2C1=O ZINC000915662336 624091561 /nfs/dbraw/zinc/09/15/61/624091561.db2.gz IQHAGTZQKGDTDI-UHFFFAOYSA-N 0 0 298.245 2.626 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@@H]1CCC2(CCC2)CO1 ZINC000915871945 624135960 /nfs/dbraw/zinc/13/59/60/624135960.db2.gz ZIEZUINIGITSPW-NSHDSACASA-N 0 0 278.308 2.718 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC(=O)OCC2CC2)c1 ZINC000827953433 624137249 /nfs/dbraw/zinc/13/72/49/624137249.db2.gz MEXVTYXSHSWSKW-UHFFFAOYSA-N 0 0 267.237 2.529 20 5 CFBDRN CC[C@@H](SC)C(=O)OCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000767669763 625702821 /nfs/dbraw/zinc/70/28/21/625702821.db2.gz KCNJPZAGJXVYSC-GFCCVEGCSA-N 0 0 299.348 2.788 20 5 CFBDRN CC(C)(CCC(=O)N1CC(Cc2ccccc2)C1)[N+](=O)[O-] ZINC000828026231 624156505 /nfs/dbraw/zinc/15/65/05/624156505.db2.gz YMZZEHPBECYNEH-UHFFFAOYSA-N 0 0 290.363 2.523 20 5 CFBDRN CCCONC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 ZINC000828279060 624221354 /nfs/dbraw/zinc/22/13/54/624221354.db2.gz ZDOQZUATQNZLBB-UHFFFAOYSA-N 0 0 295.339 2.558 20 5 CFBDRN CCCONC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000828296957 624224179 /nfs/dbraw/zinc/22/41/79/624224179.db2.gz QKPNMSTZFOWBCD-UHFFFAOYSA-N 0 0 293.323 2.610 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C(F)F ZINC000828344663 624231546 /nfs/dbraw/zinc/23/15/46/624231546.db2.gz HEHAUFIBGDNVLP-SSDOTTSWSA-N 0 0 275.211 2.959 20 5 CFBDRN CCC[C@@H](CNc1cc(OC)cc(F)c1[N+](=O)[O-])OC ZINC000828379514 624236416 /nfs/dbraw/zinc/23/64/16/624236416.db2.gz JXDUGPODRBVWCR-VIFPVBQESA-N 0 0 286.303 2.970 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC(CF)CF)sc2c1 ZINC000828405026 624239327 /nfs/dbraw/zinc/23/93/27/624239327.db2.gz GOBZOQJXQVEQHE-UHFFFAOYSA-N 0 0 273.264 2.924 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cncs1 ZINC000916401637 624243728 /nfs/dbraw/zinc/24/37/28/624243728.db2.gz BVQABPJKMDSULY-UHFFFAOYSA-N 0 0 264.262 2.579 20 5 CFBDRN CC[C@@H](CC(F)(F)F)NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000828487458 624255075 /nfs/dbraw/zinc/25/50/75/624255075.db2.gz HQOUESBYQWJMOL-QMMMGPOBSA-N 0 0 284.278 2.669 20 5 CFBDRN CCCCC[C@H](O)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000828511501 624259290 /nfs/dbraw/zinc/25/92/90/624259290.db2.gz OICYKBVTSFIKBU-FZMZJTMJSA-N 0 0 294.351 2.713 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1ccc([N+](=O)[O-])c(C)c1)C(C)C ZINC000828532458 624263316 /nfs/dbraw/zinc/26/33/16/624263316.db2.gz VZOPDGHPEYVXJI-CYBMUJFWSA-N 0 0 279.292 2.674 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)OC/C=C\Cl ZINC000916616856 624265014 /nfs/dbraw/zinc/26/50/14/624265014.db2.gz IIKRVMYBRTYNRU-BHQIHCQQSA-N 0 0 287.724 2.983 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](O)c1ccccc1 ZINC000154114868 624270927 /nfs/dbraw/zinc/27/09/27/624270927.db2.gz MZQKBDFCKOSCOU-AWEZNQCLSA-N 0 0 286.287 2.575 20 5 CFBDRN CC/C=C(\C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000916676160 624273256 /nfs/dbraw/zinc/27/32/56/624273256.db2.gz BYQLHZHUSKGTKY-ONNFQVAWSA-N 0 0 260.293 2.840 20 5 CFBDRN O=C(OCCC[C@H]1CCOC1)c1csc([N+](=O)[O-])c1 ZINC000828750433 624295935 /nfs/dbraw/zinc/29/59/35/624295935.db2.gz MHOCNZWAIBAAFC-VIFPVBQESA-N 0 0 285.321 2.630 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@@H](F)[C@@H](F)C2)c(OC(F)F)c1 ZINC000828756274 624296047 /nfs/dbraw/zinc/29/60/47/624296047.db2.gz VLHQPKYDAJWSED-OCAPTIKFSA-N 0 0 294.204 2.692 20 5 CFBDRN O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1NC1CCCC1 ZINC000916972844 624296580 /nfs/dbraw/zinc/29/65/80/624296580.db2.gz BVZPGGWPJOFURU-UHFFFAOYSA-N 0 0 289.335 2.842 20 5 CFBDRN CC(=O)[C@H](OC(=O)c1cc(C)cc([N+](=O)[O-])c1)C(C)C ZINC000828786845 624301006 /nfs/dbraw/zinc/30/10/06/624301006.db2.gz PJOKJYYLNHBELT-CYBMUJFWSA-N 0 0 279.292 2.674 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC(F)CC1 ZINC000917032995 624302493 /nfs/dbraw/zinc/30/24/93/624302493.db2.gz ZBSUIKBZSMYZDT-UHFFFAOYSA-N 0 0 267.260 2.561 20 5 CFBDRN O=C(NC[C@@H]1CC1(Cl)Cl)c1csc([N+](=O)[O-])c1 ZINC000917088404 624309943 /nfs/dbraw/zinc/30/99/43/624309943.db2.gz HLJOPSMFZBJESK-LURJTMIESA-N 0 0 295.147 2.580 20 5 CFBDRN Cc1nn(CCCc2cccnc2)c2ncc([N+](=O)[O-])cc12 ZINC000917130675 624316413 /nfs/dbraw/zinc/31/64/13/624316413.db2.gz MJTMBDZEKVULOX-UHFFFAOYSA-N 0 0 297.318 2.676 20 5 CFBDRN CO[C@@H]1CCN(c2cccc(F)c2[N+](=O)[O-])C[C@@H]1C ZINC000828903318 624325765 /nfs/dbraw/zinc/32/57/65/624325765.db2.gz GQXAVWOZYDNJCB-JOYOIKCWSA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@H]1CCN(c2ccc(C)cc2[N+](=O)[O-])C[C@H]1C ZINC000828905009 624327047 /nfs/dbraw/zinc/32/70/47/624327047.db2.gz SDXCARCGWKHXFG-RISCZKNCSA-N 0 0 264.325 2.764 20 5 CFBDRN CCC1(CC(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])COC1 ZINC000828909489 624327747 /nfs/dbraw/zinc/32/77/47/624327747.db2.gz PQWVDOPAKPJBLA-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN CC(C)[C@H](O)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000917407092 624339741 /nfs/dbraw/zinc/33/97/41/624339741.db2.gz INOSOVLKSVZUDS-LLVKDONJSA-N 0 0 283.715 2.615 20 5 CFBDRN CC(C)[C@@H](O)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 ZINC000917407093 624339905 /nfs/dbraw/zinc/33/99/05/624339905.db2.gz INOSOVLKSVZUDS-NSHDSACASA-N 0 0 283.715 2.615 20 5 CFBDRN CCc1ncc(COc2nc(C)cc(C)c2[N+](=O)[O-])o1 ZINC000917441888 624343553 /nfs/dbraw/zinc/34/35/53/624343553.db2.gz KYLHUSBIPJPPOK-UHFFFAOYSA-N 0 0 277.280 2.736 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H]2CC[C@H](C3CC3)O2)nc1C1CC1 ZINC000917486171 624347239 /nfs/dbraw/zinc/34/72/39/624347239.db2.gz ZRKKGSIHDMLZHT-DGCLKSJQSA-N 0 0 277.324 2.626 20 5 CFBDRN CCN1C[C@@H](Nc2cc(C)c([N+](=O)[O-])cc2Cl)CC1=O ZINC000828978466 624347233 /nfs/dbraw/zinc/34/72/33/624347233.db2.gz DEADGEXVCDJJBL-VIFPVBQESA-N 0 0 297.742 2.589 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@H]2CC23CCOCC3)c1 ZINC000829019442 624356366 /nfs/dbraw/zinc/35/63/66/624356366.db2.gz HWWVAWLKHWTOCE-LBPRGKRZSA-N 0 0 296.298 2.724 20 5 CFBDRN CC(C)(CCC(=O)NC1(C)CCC(F)(F)CC1)[N+](=O)[O-] ZINC000829032667 624358501 /nfs/dbraw/zinc/35/85/01/624358501.db2.gz REMDRJFILYJVIO-UHFFFAOYSA-N 0 0 292.326 2.906 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@H](C3CC3)[C@@H]2C2CC2)nc2ccccn21 ZINC000829036783 624358598 /nfs/dbraw/zinc/35/85/98/624358598.db2.gz DCDYTHRGHIKJAM-OCCSQVGLSA-N 0 0 298.346 2.867 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@@H](C3CC3)[C@@H]2C2CC2)nc2ccccn21 ZINC000829036782 624358795 /nfs/dbraw/zinc/35/87/95/624358795.db2.gz DCDYTHRGHIKJAM-JSGCOSHPSA-N 0 0 298.346 2.867 20 5 CFBDRN CC(=O)[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C ZINC000829050691 624360403 /nfs/dbraw/zinc/36/04/03/624360403.db2.gz ABWSCWQBSGZQRW-YGRLFVJLSA-N 0 0 293.319 2.855 20 5 CFBDRN C[C@@H]1CCC[C@H](C2CN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)C1 ZINC000829139623 624384482 /nfs/dbraw/zinc/38/44/82/624384482.db2.gz REOUCCCFXVDPFZ-MNOVXSKESA-N 0 0 291.351 2.821 20 5 CFBDRN O=C(NC[C@@H]1C=CCC1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000829149769 624385750 /nfs/dbraw/zinc/38/57/50/624385750.db2.gz IFCJHLPWGDJKQX-UGFHNGPFSA-N 0 0 286.331 2.781 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCOC(=O)CC(C)C)c1C ZINC000917837973 624388096 /nfs/dbraw/zinc/38/80/96/624388096.db2.gz SESAITBDOCPZDH-UHFFFAOYSA-N 0 0 282.296 2.532 20 5 CFBDRN CCC1(CNc2cc(OC)cc(F)c2[N+](=O)[O-])COC1 ZINC000829202427 624399062 /nfs/dbraw/zinc/39/90/62/624399062.db2.gz CIKIQRQQUZBTMP-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN CC1(C)C[C@H](SCc2cccnc2[N+](=O)[O-])CO1 ZINC000918158901 624424318 /nfs/dbraw/zinc/42/43/18/624424318.db2.gz HPPZKDSHOLXYDU-JTQLQIEISA-N 0 0 268.338 2.791 20 5 CFBDRN O=C(Cn1ccnc1[N+](=O)[O-])c1ccc2c(c1)CCCC2 ZINC000918342089 624433150 /nfs/dbraw/zinc/43/31/50/624433150.db2.gz AUHXTQXZYOAORK-UHFFFAOYSA-N 0 0 285.303 2.553 20 5 CFBDRN O=[N+]([O-])c1nccn1C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000918396864 624434596 /nfs/dbraw/zinc/43/45/96/624434596.db2.gz OWAASRSRAFFXOY-GHMZBOCLSA-N 0 0 279.246 2.840 20 5 CFBDRN CC(C)O[C@@H](Cn1ccnc1[N+](=O)[O-])c1ccccc1 ZINC000918387979 624435522 /nfs/dbraw/zinc/43/55/22/624435522.db2.gz VLCPXLOYVIPMSV-ZDUSSCGKSA-N 0 0 275.308 2.958 20 5 CFBDRN O=C(OCc1cccnc1)c1cc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000918489760 624439521 /nfs/dbraw/zinc/43/95/21/624439521.db2.gz CFWAFBMJCNJWCL-UHFFFAOYSA-N 0 0 297.270 2.828 20 5 CFBDRN CC/C=C\CCOC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000918508199 624444633 /nfs/dbraw/zinc/44/46/33/624444633.db2.gz WVTJDAMYVGLALR-ARJAWSKDSA-N 0 0 293.275 2.837 20 5 CFBDRN O=[N+]([O-])c1c(Oc2cccc[n+]2[O-])ccc2ncccc21 ZINC000112072668 624444725 /nfs/dbraw/zinc/44/47/25/624444725.db2.gz ZLNJTAIDCGXSQS-UHFFFAOYSA-N 0 0 283.243 2.569 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)c(F)cc1OCCC1(O)CC1 ZINC000829572682 624457067 /nfs/dbraw/zinc/45/70/67/624457067.db2.gz SYPQAZHZRURLRP-UHFFFAOYSA-N 0 0 275.663 2.681 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSc1cc(C)ccn1 ZINC000918821610 624493518 /nfs/dbraw/zinc/49/35/18/624493518.db2.gz KRHYIKBBVYBSKV-UHFFFAOYSA-N 0 0 278.337 2.595 20 5 CFBDRN CCc1onc(C)c1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000918822155 624496390 /nfs/dbraw/zinc/49/63/90/624496390.db2.gz KNFYBIMZXFDWLH-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000918850155 624503044 /nfs/dbraw/zinc/50/30/44/624503044.db2.gz YHGMHVQTMNGLIE-ZETCQYMHSA-N 0 0 285.683 2.773 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000918862149 624504280 /nfs/dbraw/zinc/50/42/80/624504280.db2.gz YRVXVJBWHDBGMA-ABRRARGCSA-N 0 0 277.276 2.519 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000919039804 624519365 /nfs/dbraw/zinc/51/93/65/624519365.db2.gz UPEGWIZWEMDDCD-SSDOTTSWSA-N 0 0 285.683 2.773 20 5 CFBDRN CCCCCOC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 ZINC000919148540 624530013 /nfs/dbraw/zinc/53/00/13/624530013.db2.gz MNQFRNFPIVRRLS-UHFFFAOYSA-N 0 0 291.307 2.678 20 5 CFBDRN O=[N+]([O-])c1cc([N+](=O)[O-])n(C[C@@H]2CCCCC2(F)F)n1 ZINC000829833391 624561502 /nfs/dbraw/zinc/56/15/02/624561502.db2.gz CTLOPLSZVCRGPP-ZETCQYMHSA-N 0 0 290.226 2.525 20 5 CFBDRN CC1(C)CCC(Cn2nc([N+](=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000829837763 624562907 /nfs/dbraw/zinc/56/29/07/624562907.db2.gz LASSLYUBSXBDEP-UHFFFAOYSA-N 0 0 282.300 2.916 20 5 CFBDRN CCc1ccccc1CCn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000829837264 624562975 /nfs/dbraw/zinc/56/29/75/624562975.db2.gz AMWOJVGOAJZXHD-UHFFFAOYSA-N 0 0 290.279 2.505 20 5 CFBDRN O=C(COC1CCCCC1)OCc1cccc([N+](=O)[O-])c1 ZINC000919373125 624564035 /nfs/dbraw/zinc/56/40/35/624564035.db2.gz XPEVZILMHPVMKG-UHFFFAOYSA-N 0 0 293.319 2.987 20 5 CFBDRN CCO[C@@H]1C[C@H]1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000919425757 624573546 /nfs/dbraw/zinc/57/35/46/624573546.db2.gz GGAJEBCGXVNHKX-ZWKOPEQDSA-N 0 0 279.292 2.624 20 5 CFBDRN CCC(F)(F)COC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000851489293 634392823 /nfs/dbraw/zinc/39/28/23/634392823.db2.gz ARADVXXNYROXBZ-UHFFFAOYSA-N 0 0 289.234 2.562 20 5 CFBDRN COc1ccc(CNc2cncc(Cl)n2)cc1[N+](=O)[O-] ZINC000919721569 624606555 /nfs/dbraw/zinc/60/65/55/624606555.db2.gz DFNWCPRTUSWXPC-UHFFFAOYSA-N 0 0 294.698 2.659 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)OC1CCCC1 ZINC000830130116 624638185 /nfs/dbraw/zinc/63/81/85/624638185.db2.gz AIBCHAORJJMNIM-UHFFFAOYSA-N 0 0 294.307 2.772 20 5 CFBDRN Cc1noc([C@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000830161234 624651029 /nfs/dbraw/zinc/65/10/29/624651029.db2.gz OBKREYNRMPWVEV-IUCAKERBSA-N 0 0 276.296 2.698 20 5 CFBDRN CCOCn1nc(-c2cccc([N+](=O)[O-])c2)nc1Cl ZINC000920412464 624719486 /nfs/dbraw/zinc/71/94/86/624719486.db2.gz QWSSHODPOQAMIC-UHFFFAOYSA-N 0 0 282.687 2.501 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)O[C@@H]1CCCCC1=O ZINC000830360671 624722025 /nfs/dbraw/zinc/72/20/25/624722025.db2.gz QMODHUYRPUTPHZ-GFCCVEGCSA-N 0 0 295.266 2.711 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000830365588 624723186 /nfs/dbraw/zinc/72/31/86/624723186.db2.gz GHZXIVRBZNGEOG-QMMMGPOBSA-N 0 0 283.255 2.567 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCC1CCOCC1 ZINC000830365438 624723304 /nfs/dbraw/zinc/72/33/04/624723304.db2.gz AAIDQBLCLCDLDP-UHFFFAOYSA-N 0 0 297.282 2.626 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OCC1CCC1 ZINC000830365504 624723468 /nfs/dbraw/zinc/72/34/68/624723468.db2.gz BYMHAKKREJOAGI-UHFFFAOYSA-N 0 0 267.256 2.999 20 5 CFBDRN CC(=O)[C@@H](OC(=O)c1c(C)c(F)ccc1[N+](=O)[O-])C(C)C ZINC000830369215 624724058 /nfs/dbraw/zinc/72/40/58/624724058.db2.gz IBYUGCRZYWHRGB-ZDUSSCGKSA-N 0 0 297.282 2.813 20 5 CFBDRN CCC/C=C/C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000920741569 624757346 /nfs/dbraw/zinc/75/73/46/624757346.db2.gz MXWKFZBXUDASFC-AATRIKPKSA-N 0 0 278.308 2.576 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)Cc2ccco2)cc([N+](=O)[O-])c1 ZINC000920843412 624775516 /nfs/dbraw/zinc/77/55/16/624775516.db2.gz CXNKBWXXYUJECH-LLVKDONJSA-N 0 0 288.303 2.857 20 5 CFBDRN Cc1cc(C(=O)OC/C=C/Cl)cc([N+](=O)[O-])c1 ZINC000920900034 624776464 /nfs/dbraw/zinc/77/64/64/624776464.db2.gz LSKMPOHRJNDKBH-NSCUHMNNSA-N 0 0 255.657 2.813 20 5 CFBDRN Cc1cc(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000920900054 624776521 /nfs/dbraw/zinc/77/65/21/624776521.db2.gz NOQYCSHPAVGZPR-UHFFFAOYSA-N 0 0 276.248 2.557 20 5 CFBDRN CCn1ccc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])n1 ZINC000830746566 624837256 /nfs/dbraw/zinc/83/72/56/624837256.db2.gz ZMYNFNIZBAUJJO-JTQLQIEISA-N 0 0 289.291 2.729 20 5 CFBDRN CC(=O)C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000830872613 624908671 /nfs/dbraw/zinc/90/86/71/624908671.db2.gz ARINWMTYQCSGTM-MRVPVSSYSA-N 0 0 298.726 2.654 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000830897865 624924333 /nfs/dbraw/zinc/92/43/33/624924333.db2.gz SOMRLFBVNUMULW-BDAKNGLRSA-N 0 0 296.289 2.621 20 5 CFBDRN CC1(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000175068459 624925330 /nfs/dbraw/zinc/92/53/30/624925330.db2.gz RIRQUBUMXFZIIF-VMPITWQZSA-N 0 0 274.320 2.914 20 5 CFBDRN Cc1ncccc1[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000851542515 634420895 /nfs/dbraw/zinc/42/08/95/634420895.db2.gz SMMPVWMLGXXCFU-SNVBAGLBSA-N 0 0 289.291 2.555 20 5 CFBDRN CCC[C@H](O)CNc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC000233365782 624940516 /nfs/dbraw/zinc/94/05/16/624940516.db2.gz HVSWICFRCZJNEF-ZETCQYMHSA-N 0 0 276.695 2.960 20 5 CFBDRN C[C@@H]1[C@H](c2ccccc2)CC[N@@H+]1Cn1nccc1[N+](=O)[O-] ZINC000175265665 624942469 /nfs/dbraw/zinc/94/24/69/624942469.db2.gz YKSYFVCBESCUFQ-TZMCWYRMSA-N 0 0 286.335 2.627 20 5 CFBDRN Cc1csc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2N)n1 ZINC000068511537 625004768 /nfs/dbraw/zinc/00/47/68/625004768.db2.gz ONMXFQXAGZMWMY-UHFFFAOYSA-N 0 0 292.320 2.503 20 5 CFBDRN CCOC1(COC(=O)Cc2ccc([N+](=O)[O-])cc2)CCC1 ZINC000851552582 634427128 /nfs/dbraw/zinc/42/71/28/634427128.db2.gz JSYKWEVESFKECA-UHFFFAOYSA-N 0 0 293.319 2.640 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C(F)(F)F)c1ccc([N+](=O)[O-])s1 ZINC000831410635 625013229 /nfs/dbraw/zinc/01/32/29/625013229.db2.gz JZKBXKSPRFZIOT-NTSWFWBYSA-N 0 0 294.254 2.585 20 5 CFBDRN CCCCN(CCOC)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000068704229 625020786 /nfs/dbraw/zinc/02/07/86/625020786.db2.gz XYDWRDFCDWTIRC-UHFFFAOYSA-N 0 0 297.311 2.756 20 5 CFBDRN Cc1c(CC(=O)NCCC2CC=CC2)cccc1[N+](=O)[O-] ZINC000831442302 625022472 /nfs/dbraw/zinc/02/24/72/625022472.db2.gz PFMIFDIADVKBCT-UHFFFAOYSA-N 0 0 288.347 2.918 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)CO1 ZINC000831786524 625125467 /nfs/dbraw/zinc/12/54/67/625125467.db2.gz KBVIUGMLWWMOMQ-SFYZADRCSA-N 0 0 299.714 2.547 20 5 CFBDRN CC(C)(CCC(=O)N1CCC2(CCC2)CC1)[N+](=O)[O-] ZINC000831897031 625172414 /nfs/dbraw/zinc/17/24/14/625172414.db2.gz YPKRSKRICYMLBT-UHFFFAOYSA-N 0 0 268.357 2.615 20 5 CFBDRN Cc1c(C(=O)O[C@@H](C)c2nccn2C)cccc1[N+](=O)[O-] ZINC000851584078 634445512 /nfs/dbraw/zinc/44/55/12/634445512.db2.gz XHOJKARSSJLXFQ-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CCC(F)(C2CC2)CC1 ZINC000834591026 625729323 /nfs/dbraw/zinc/72/93/23/625729323.db2.gz IXWRPEQINXLNAC-UHFFFAOYSA-N 0 0 292.310 2.949 20 5 CFBDRN CC(C)OC1(CNC(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000834601532 625733475 /nfs/dbraw/zinc/73/34/75/625733475.db2.gz PIFVPNKRXWKVIB-UHFFFAOYSA-N 0 0 292.335 2.672 20 5 CFBDRN Cc1cc(C(=O)OCC(F)(F)F)ccc1[N+](=O)[O-] ZINC000073001693 625755431 /nfs/dbraw/zinc/75/54/31/625755431.db2.gz IWZJSWMBEDHQKQ-UHFFFAOYSA-N 0 0 263.171 2.622 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(F)c(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)c1F ZINC000834666320 625769718 /nfs/dbraw/zinc/76/97/18/625769718.db2.gz AAFSSPIXGYDUCN-BWPRHRIFSA-N 0 0 296.273 2.710 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2ccc(F)cc2[N+](=O)[O-])CCS1 ZINC000834666215 625769725 /nfs/dbraw/zinc/76/97/25/625769725.db2.gz QWSZUTJQKYVMSC-WPRPVWTQSA-N 0 0 298.339 2.748 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCS[C@H](C)C2)c1 ZINC000834669501 625772901 /nfs/dbraw/zinc/77/29/01/625772901.db2.gz AIFMSFBMLZFUCB-MNOVXSKESA-N 0 0 294.376 2.917 20 5 CFBDRN CCc1onc(C)c1C(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000185789393 625777992 /nfs/dbraw/zinc/77/79/92/625777992.db2.gz IUWAGZBPJOHTCH-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN Cc1cccc(C(=O)N[C@H]2CCS[C@@H](C)C2)c1[N+](=O)[O-] ZINC000834695839 625789314 /nfs/dbraw/zinc/78/93/14/625789314.db2.gz OFBFSJZZTRWFHA-QWRGUYRKSA-N 0 0 294.376 2.917 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000834704372 625793356 /nfs/dbraw/zinc/79/33/56/625793356.db2.gz BEKXYJRENJIUOT-ZYHUDNBSSA-N 0 0 294.376 2.917 20 5 CFBDRN C/C=C/COC(=O)c1cc(C(=O)OCC)cc([N+](=O)[O-])c1 ZINC000073184420 625797474 /nfs/dbraw/zinc/79/74/74/625797474.db2.gz RAMYRXNEFHPTDY-HWKANZROSA-N 0 0 293.275 2.504 20 5 CFBDRN Cc1occc1COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000073535079 626031791 /nfs/dbraw/zinc/03/17/91/626031791.db2.gz BLFLWXRBFKXLJU-UHFFFAOYSA-N 0 0 291.259 2.618 20 5 CFBDRN Cn1cc(CCOc2ccc([N+](=O)[O-])c(Cl)c2)cn1 ZINC000077491312 626197525 /nfs/dbraw/zinc/19/75/25/626197525.db2.gz RMQYIOUVCPMKTN-UHFFFAOYSA-N 0 0 281.699 2.603 20 5 CFBDRN COC[C@@H](COC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(C)C ZINC000922413491 626284670 /nfs/dbraw/zinc/28/46/70/626284670.db2.gz VMNIWKGUTILFHF-NSHDSACASA-N 0 0 299.298 2.809 20 5 CFBDRN C[C@@H](NC(=O)NOC/C=C/Cl)c1ccc([N+](=O)[O-])cc1 ZINC000922550258 626305869 /nfs/dbraw/zinc/30/58/69/626305869.db2.gz QOSRTPQWKGHLIR-WEMAUNJASA-N 0 0 299.714 2.639 20 5 CFBDRN O=[N+]([O-])c1cccc(CN[C@H](c2ncccn2)C2CC2)c1 ZINC000922580794 626316514 /nfs/dbraw/zinc/31/65/14/626316514.db2.gz FAYXPESAXPUZKO-AWEZNQCLSA-N 0 0 284.319 2.626 20 5 CFBDRN O=[N+]([O-])c1cc(CN[C@@H](c2ncccn2)C2CC2)cs1 ZINC000922580838 626316791 /nfs/dbraw/zinc/31/67/91/626316791.db2.gz QXAFNGSPDVHYNV-GFCCVEGCSA-N 0 0 290.348 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NCC1(O)CCC1 ZINC000157283496 626396110 /nfs/dbraw/zinc/39/61/10/626396110.db2.gz VDKWQYQSIXDXTN-UHFFFAOYSA-N 0 0 256.689 2.575 20 5 CFBDRN Cc1noc([C@@H](C)Oc2cc(F)ccc2[N+](=O)[O-])n1 ZINC000073904953 626399334 /nfs/dbraw/zinc/39/93/34/626399334.db2.gz WIZZTRQDRXMVMQ-ZCFIWIBFSA-N 0 0 267.216 2.565 20 5 CFBDRN C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1F)[C@@H]1CCCO1 ZINC000923055168 626446869 /nfs/dbraw/zinc/44/68/69/626446869.db2.gz HLVHVYXAQUUPJB-RNCFNFMXSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@H](C(=O)OCc1c(F)cccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000923055067 626446990 /nfs/dbraw/zinc/44/69/90/626446990.db2.gz VLWWJFLDNDFYTB-ZANVPECISA-N 0 0 297.282 2.592 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@@H](C)C2)c(N)c([N+](=O)[O-])c1 ZINC000075663800 626459530 /nfs/dbraw/zinc/45/95/30/626459530.db2.gz XRDPTAAAWFLWRV-KOLCDFICSA-N 0 0 291.351 2.794 20 5 CFBDRN O=[N+]([O-])c1cccc([C@H](O)CN2CCNc3ccccc32)c1 ZINC000923355614 626468716 /nfs/dbraw/zinc/46/87/16/626468716.db2.gz BMFVZUBTOYMIDS-MRXNPFEDSA-N 0 0 299.330 2.560 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1ccoc1 ZINC000756992031 626480544 /nfs/dbraw/zinc/48/05/44/626480544.db2.gz XVNGMZRAJNMAGI-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN Cc1c(CC(=O)OC2(C)CCC2)cccc1[N+](=O)[O-] ZINC000788086001 626483394 /nfs/dbraw/zinc/48/33/94/626483394.db2.gz LPNRBPQOONMIEH-UHFFFAOYSA-N 0 0 263.293 2.932 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2C[C@H]2C(C)C)c1[N+](=O)[O-] ZINC000838083243 626485654 /nfs/dbraw/zinc/48/56/54/626485654.db2.gz WLKYQSFYLMBRJA-CMPLNLGQSA-N 0 0 280.299 2.817 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)OCCF)cc1Cl ZINC000838345295 626492823 /nfs/dbraw/zinc/49/28/23/626492823.db2.gz MRMTYJVFVMDWIV-UHFFFAOYSA-N 0 0 261.636 2.683 20 5 CFBDRN O=C(OCc1ccccc1[N+](=O)[O-])[C@@H]1C[C@H]1c1cccnc1 ZINC000838323777 626493361 /nfs/dbraw/zinc/49/33/61/626493361.db2.gz OSLWPGCKESLOKH-UONOGXRCSA-N 0 0 298.298 2.837 20 5 CFBDRN C[C@@H](C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000838390531 626495198 /nfs/dbraw/zinc/49/51/98/626495198.db2.gz GHISRMAECIHGMU-SNVBAGLBSA-N 0 0 291.303 2.757 20 5 CFBDRN C[C@@]1(C(=O)OCCc2ccccc2[N+](=O)[O-])CCCCO1 ZINC000838818003 626507794 /nfs/dbraw/zinc/50/77/94/626507794.db2.gz SZFNQMJUHPTXCW-HNNXBMFYSA-N 0 0 293.319 2.640 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC000838971032 626517654 /nfs/dbraw/zinc/51/76/54/626517654.db2.gz MQQLTCJKQXFTOJ-CWSCBRNRSA-N 0 0 257.330 2.657 20 5 CFBDRN C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])c1cncc(F)c1 ZINC000839077921 626523097 /nfs/dbraw/zinc/52/30/97/626523097.db2.gz VMSFJXKACWMXOF-VIFPVBQESA-N 0 0 284.287 2.660 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000839108104 626525395 /nfs/dbraw/zinc/52/53/95/626525395.db2.gz NWHPCEWJHUOUOK-ZLKJLUDKSA-N 0 0 293.319 2.809 20 5 CFBDRN C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CC(O)(C(C)C)C1 ZINC000839132449 626527912 /nfs/dbraw/zinc/52/79/12/626527912.db2.gz ACJPVGLNDDIGQN-NTUHNPAUSA-N 0 0 290.363 2.701 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CC(C(F)F)C1 ZINC000839140944 626528613 /nfs/dbraw/zinc/52/86/13/626528613.db2.gz KSFAAFVJVWUTDI-UHFFFAOYSA-N 0 0 276.670 2.945 20 5 CFBDRN CCc1nc(C)c(COC(=O)c2ccc([N+](=O)[O-])s2)o1 ZINC000839362580 626534753 /nfs/dbraw/zinc/53/47/53/626534753.db2.gz HHTRDDMIWVXXKC-UHFFFAOYSA-N 0 0 296.304 2.872 20 5 CFBDRN Cc1ccc(COC(=O)C2SCCS2)cc1[N+](=O)[O-] ZINC000839396332 626535341 /nfs/dbraw/zinc/53/53/41/626535341.db2.gz LHRZIRUWAJXTNJ-UHFFFAOYSA-N 0 0 299.373 2.753 20 5 CFBDRN CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)C(F)F ZINC000839401413 626535432 /nfs/dbraw/zinc/53/54/32/626535432.db2.gz KFPOTDBXYPLDKX-VIFPVBQESA-N 0 0 276.214 2.507 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000839453338 626537334 /nfs/dbraw/zinc/53/73/34/626537334.db2.gz ZDVFPWRWVCCGLA-LWINAJNOSA-N 0 0 296.273 2.791 20 5 CFBDRN C/C=C(\CC)C(=O)OCCOc1cccc([N+](=O)[O-])c1 ZINC000789230539 626539369 /nfs/dbraw/zinc/53/93/69/626539369.db2.gz USKUNOCZOXHRLS-QDEBKDIKSA-N 0 0 279.292 2.873 20 5 CFBDRN CO[C@@H](C)CC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000750397522 626540873 /nfs/dbraw/zinc/54/08/73/626540873.db2.gz DOLYZNLYNICLCU-VHSXEESVSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CNc2cnccn2)c1 ZINC000756975807 626542902 /nfs/dbraw/zinc/54/29/02/626542902.db2.gz VHQHQHIFONPDRN-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1ccncc1 ZINC000756996336 626543225 /nfs/dbraw/zinc/54/32/25/626543225.db2.gz GLAACODPUTWKSA-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CCC[C@H](C)NC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000757006788 626543317 /nfs/dbraw/zinc/54/33/17/626543317.db2.gz YUEHPPWPEFGUGZ-NSHDSACASA-N 0 0 291.351 2.851 20 5 CFBDRN Cc1cccc([C@H]2CCCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1C ZINC000839688242 626551121 /nfs/dbraw/zinc/55/11/21/626551121.db2.gz BKAHEDBZQGBSHX-RBSFLKMASA-N 0 0 288.347 2.632 20 5 CFBDRN CCSCc1cccc(NC(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC000839689364 626551124 /nfs/dbraw/zinc/55/11/24/626551124.db2.gz NJHQOYGDUYMTBG-VXGBXAGGSA-N 0 0 280.349 2.543 20 5 CFBDRN O=C(N[C@@H](c1ccccc1)C1CCCC1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000839672639 626551885 /nfs/dbraw/zinc/55/18/85/626551885.db2.gz XQMAEZFJERLQNL-KFWWJZLASA-N 0 0 288.347 2.699 20 5 CFBDRN Cc1ccc(C)c([C@H]2CCCN2C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])c1 ZINC000839704133 626552456 /nfs/dbraw/zinc/55/24/56/626552456.db2.gz OKFWFCOTYYOSGT-RBSFLKMASA-N 0 0 288.347 2.632 20 5 CFBDRN CC[C@@H](CN(CC)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])c1ccccc1 ZINC000839730047 626553564 /nfs/dbraw/zinc/55/35/64/626553564.db2.gz YKYUSKFNOXKHRO-NWANDNLSSA-N 0 0 290.363 2.694 20 5 CFBDRN CON(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CCCC1 ZINC000757654940 626557375 /nfs/dbraw/zinc/55/73/75/626557375.db2.gz FLGGBRDKSWEBGB-DHZHZOJOSA-N 0 0 290.319 2.941 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(CSc2c[nH]nn2)c1 ZINC000757745507 626561740 /nfs/dbraw/zinc/56/17/40/626561740.db2.gz FIZWNHNFYTUPMQ-UHFFFAOYSA-N 0 0 270.701 2.659 20 5 CFBDRN CCc1noc(C)c1COC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000757980234 626571218 /nfs/dbraw/zinc/57/12/18/626571218.db2.gz DAEHMGPPAZTPSM-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2ccc(Cl)nc2)cc1CO ZINC000757995720 626571749 /nfs/dbraw/zinc/57/17/49/626571749.db2.gz VTTOMXYTPKORSS-UHFFFAOYSA-N 0 0 294.694 2.715 20 5 CFBDRN O=[N+]([O-])c1ccsc1Oc1ccc([N+](=O)[O-])c(CO)c1 ZINC000758172230 626580066 /nfs/dbraw/zinc/58/00/66/626580066.db2.gz FDFFRLWTNBQDRC-UHFFFAOYSA-N 0 0 296.260 2.849 20 5 CFBDRN O=[N+]([O-])c1cccc(CCNc2ccc([N+](=O)[O-])nc2)c1 ZINC000758264386 626586082 /nfs/dbraw/zinc/58/60/82/626586082.db2.gz ZDLBZWWGUWSGMX-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2CC[C@H](C)C2)c1[N+](=O)[O-] ZINC000758464319 626594701 /nfs/dbraw/zinc/59/47/01/626594701.db2.gz DPDLRIWSSDAOMV-QMMMGPOBSA-N 0 0 266.272 2.524 20 5 CFBDRN Cc1cc(F)cc(C(=O)N2C[C@H](C)[C@@H](C)C2)c1[N+](=O)[O-] ZINC000758502525 626596357 /nfs/dbraw/zinc/59/63/57/626596357.db2.gz CSWJHOKJMMNDKY-UWVGGRQHSA-N 0 0 280.299 2.770 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2N)C1 ZINC000758670633 626602064 /nfs/dbraw/zinc/60/20/64/626602064.db2.gz LFONUUHUAIFDKF-HNNXBMFYSA-N 0 0 291.351 2.748 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COC(=O)CC1(C)CC1 ZINC000758828912 626611380 /nfs/dbraw/zinc/61/13/80/626611380.db2.gz RFZZCAGNYOAOEQ-UHFFFAOYSA-N 0 0 279.292 2.837 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CC(C)(F)F)CC2 ZINC000759023714 626625306 /nfs/dbraw/zinc/62/53/06/626625306.db2.gz MFQINWJKAUGQGI-UHFFFAOYSA-N 0 0 284.262 2.838 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)c1cncc(F)c1 ZINC000759424316 626657081 /nfs/dbraw/zinc/65/70/81/626657081.db2.gz BHLRIFXMMINXCE-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN COCCC(C)(C)C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000759677944 626683042 /nfs/dbraw/zinc/68/30/42/626683042.db2.gz PLEHDBSFMUOORN-UHFFFAOYSA-N 0 0 287.337 2.762 20 5 CFBDRN C[C@@H](C[C@H]1CCOC1)Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000759699987 626684704 /nfs/dbraw/zinc/68/47/04/626684704.db2.gz IPJVTYXEYVOWBM-VHSXEESVSA-N 0 0 295.295 2.730 20 5 CFBDRN O=C(/C=C\c1ccco1)NCCc1cccc([N+](=O)[O-])c1 ZINC000759871426 626699504 /nfs/dbraw/zinc/69/95/04/626699504.db2.gz YNFPTDNFXLDMED-SREVYHEPSA-N 0 0 286.287 2.560 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)/C=C\C1CCOCC1 ZINC000760020480 626709711 /nfs/dbraw/zinc/70/97/11/626709711.db2.gz ZCORKPDZRWAXIV-WAYWQWQTSA-N 0 0 291.303 2.791 20 5 CFBDRN Cc1cncc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])c1 ZINC000760020511 626709781 /nfs/dbraw/zinc/70/97/81/626709781.db2.gz ZZHCHTSFNSCUPJ-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)/C=C/C1CCOCC1 ZINC000760020478 626709821 /nfs/dbraw/zinc/70/98/21/626709821.db2.gz ZCORKPDZRWAXIV-AATRIKPKSA-N 0 0 291.303 2.791 20 5 CFBDRN Cc1cccc(C(=O)Oc2c(C)cccc2[N+](=O)[O-])n1 ZINC000760022281 626710329 /nfs/dbraw/zinc/71/03/29/626710329.db2.gz LCZKMDXZICZNJV-UHFFFAOYSA-N 0 0 272.260 2.826 20 5 CFBDRN C[C@H]1CC[C@@H]1NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl ZINC000805195809 626713413 /nfs/dbraw/zinc/71/34/13/626713413.db2.gz CTKBEJIELBNOJE-UFBFGSQYSA-N 0 0 297.742 2.846 20 5 CFBDRN CCn1ccnc1Sc1ccc([N+](=O)[O-])c(C)n1 ZINC000760450264 626747217 /nfs/dbraw/zinc/74/72/17/626747217.db2.gz QFJQOKZXCPYHKN-UHFFFAOYSA-N 0 0 264.310 2.666 20 5 CFBDRN CC(C)CC1CCN(c2c([N+](=O)[O-])ncn2C)CC1 ZINC000760497110 626750997 /nfs/dbraw/zinc/75/09/97/626750997.db2.gz WCNJJMFGKREMOF-UHFFFAOYSA-N 0 0 266.345 2.591 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CC[C@@H](C(F)(F)F)C1 ZINC000761050399 626794640 /nfs/dbraw/zinc/79/46/40/626794640.db2.gz JNWYJPALULIVEA-SNVBAGLBSA-N 0 0 274.242 2.979 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000761472721 626838337 /nfs/dbraw/zinc/83/83/37/626838337.db2.gz YANAQLXHFZQQFL-ZYHUDNBSSA-N 0 0 291.303 2.643 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)CCC1=O ZINC000761472719 626838365 /nfs/dbraw/zinc/83/83/65/626838365.db2.gz YANAQLXHFZQQFL-JQWIXIFHSA-N 0 0 291.303 2.643 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)Cc2ccccc21 ZINC000761835626 626862278 /nfs/dbraw/zinc/86/22/78/626862278.db2.gz LYFPQNNLWDRLRD-LLVKDONJSA-N 0 0 297.314 2.749 20 5 CFBDRN CCC[C@@H](C)c1noc(-c2ccc([N+](=O)[O-])cn2)n1 ZINC000761851555 626864020 /nfs/dbraw/zinc/86/40/20/626864020.db2.gz GRKHVAKGYPCDRM-MRVPVSSYSA-N 0 0 262.269 2.943 20 5 CFBDRN CCC(CC)[C@H](C)C(=O)OCCn1c(C)ncc1[N+](=O)[O-] ZINC000762041983 626883649 /nfs/dbraw/zinc/88/36/49/626883649.db2.gz OLQQVDGEGLVUNW-JTQLQIEISA-N 0 0 297.355 2.715 20 5 CFBDRN Cc1nn(CN2CC3(CCC3)C[C@H]2C)c(C)c1[N+](=O)[O-] ZINC000762138807 626896223 /nfs/dbraw/zinc/89/62/23/626896223.db2.gz MRJWGKSWRKBYSF-SNVBAGLBSA-N 0 0 278.356 2.630 20 5 CFBDRN C[C@@H](C(=O)N[C@@H](C)c1ccc[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000762189215 626901914 /nfs/dbraw/zinc/90/19/14/626901914.db2.gz QLHQAEWHJZWNBC-MNOVXSKESA-N 0 0 287.319 2.904 20 5 CFBDRN Cc1nc(NC(=O)C[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000762336284 626910827 /nfs/dbraw/zinc/91/08/27/626910827.db2.gz AGROMJOYCMHJIX-QMMMGPOBSA-N 0 0 263.297 2.673 20 5 CFBDRN CCCCCC[C@H](O)Cn1nc(C)c([N+](=O)[O-])c1C ZINC000762421707 626914872 /nfs/dbraw/zinc/91/48/72/626914872.db2.gz DIIYLPQOBHRTQC-LBPRGKRZSA-N 0 0 269.345 2.739 20 5 CFBDRN C[C@H]1C[C@@H](C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)CCC1=O ZINC000762430080 626915760 /nfs/dbraw/zinc/91/57/60/626915760.db2.gz ARURPNZQHQXYJV-IUCAKERBSA-N 0 0 294.282 2.678 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nc(Cl)ccc1Cl ZINC000762473770 626916757 /nfs/dbraw/zinc/91/67/57/626916757.db2.gz IWVSULSXVINXBR-UHFFFAOYSA-N 0 0 287.106 2.850 20 5 CFBDRN Cc1ccc(OCc2ccnc(N(C)C)c2)cc1[N+](=O)[O-] ZINC000762476518 626918122 /nfs/dbraw/zinc/91/81/22/626918122.db2.gz DHQMROCWLUYBBC-UHFFFAOYSA-N 0 0 287.319 2.943 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CC[C@@H]2SCCS[C@@H]12 ZINC000762564606 626924305 /nfs/dbraw/zinc/92/43/05/626924305.db2.gz SDXWJMIOFPXXOP-MIMYLULJSA-N 0 0 297.405 2.781 20 5 CFBDRN CC(=O)CCCCOC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000762874335 626946527 /nfs/dbraw/zinc/94/65/27/626946527.db2.gz REIYPXTZHXSERG-UHFFFAOYSA-N 0 0 279.292 2.819 20 5 CFBDRN CCc1ccc(OC[C@H]2CC3(CC3)C(=O)O2)c([N+](=O)[O-])c1 ZINC000762963414 626953408 /nfs/dbraw/zinc/95/34/08/626953408.db2.gz SJRWBKCJDNWMOJ-LLVKDONJSA-N 0 0 291.303 2.632 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCCC3CC(O)C3)sc2c1 ZINC000763082083 626962866 /nfs/dbraw/zinc/96/28/66/626962866.db2.gz OOMLTROMKQKOJR-UHFFFAOYSA-N 0 0 293.348 2.777 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc[nH]1 ZINC000763115628 626965244 /nfs/dbraw/zinc/96/52/44/626965244.db2.gz CPRZJWMMYFMFKG-VIFPVBQESA-N 0 0 298.302 2.895 20 5 CFBDRN C[C@@H](C(=O)OCC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 ZINC000763394723 626985335 /nfs/dbraw/zinc/98/53/35/626985335.db2.gz SGZLYDQNYAPUHX-BXUZGUMPSA-N 0 0 293.319 2.811 20 5 CFBDRN C[C@H](C(=O)OCC(F)F)c1cccc([N+](=O)[O-])c1 ZINC000763404762 626985876 /nfs/dbraw/zinc/98/58/76/626985876.db2.gz PJJVHPHESDWDPB-ZETCQYMHSA-N 0 0 259.208 2.507 20 5 CFBDRN CCC(=O)[C@@H](C)OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763407437 626986355 /nfs/dbraw/zinc/98/63/55/626986355.db2.gz WLGIHTNUYUEVFH-NXEZZACHSA-N 0 0 279.292 2.609 20 5 CFBDRN C[C@H]1N(Cc2cc(F)ccc2[N+](=O)[O-])CCOC1(C)C ZINC000763415221 626987329 /nfs/dbraw/zinc/98/73/29/626987329.db2.gz GJAJZXLQYZRHQU-SNVBAGLBSA-N 0 0 282.315 2.733 20 5 CFBDRN COCCCCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000763946931 627024781 /nfs/dbraw/zinc/02/47/81/627024781.db2.gz KLZJTRVGPFJLRD-LLVKDONJSA-N 0 0 281.308 2.668 20 5 CFBDRN CO[C@@H](C)CCOC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764014089 627031961 /nfs/dbraw/zinc/03/19/61/627031961.db2.gz GCOGJVOWWLZWMY-WDEREUQCSA-N 0 0 281.308 2.667 20 5 CFBDRN C[C@H](C(=O)OCCOC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000764015094 627031985 /nfs/dbraw/zinc/03/19/85/627031985.db2.gz RSPOTACUAZQXMJ-NSHDSACASA-N 0 0 293.319 2.811 20 5 CFBDRN CCOC[C@@H](C)OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000764087538 627036845 /nfs/dbraw/zinc/03/68/45/627036845.db2.gz PLLVNCSUYHAVRQ-MNOVXSKESA-N 0 0 281.308 2.667 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OCC1(C)CCC1 ZINC000764128769 627041574 /nfs/dbraw/zinc/04/15/74/627041574.db2.gz JBWCYBGNELAIGN-UHFFFAOYSA-N 0 0 279.292 2.950 20 5 CFBDRN CN(CC(F)F)c1ccc2ncccc2c1[N+](=O)[O-] ZINC000764140131 627041701 /nfs/dbraw/zinc/04/17/01/627041701.db2.gz LQNSLJABUUNUCF-UHFFFAOYSA-N 0 0 267.235 2.844 20 5 CFBDRN CS/C=C/C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000764233459 627046920 /nfs/dbraw/zinc/04/69/20/627046920.db2.gz YFXOWVHIJARVHL-AATRIKPKSA-N 0 0 253.279 2.685 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCOCC1CCC1 ZINC000765071747 627117371 /nfs/dbraw/zinc/11/73/71/627117371.db2.gz RJFPAGFRSHLZCL-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN CCC(=O)CCCOC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000765522276 627144460 /nfs/dbraw/zinc/14/44/60/627144460.db2.gz MLJLYGBUJNIQQV-UHFFFAOYSA-N 0 0 294.307 2.553 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC(CC(F)(F)F)C2)n1 ZINC000765525340 627145088 /nfs/dbraw/zinc/14/50/88/627145088.db2.gz FFBBINXFIKBWTK-UHFFFAOYSA-N 0 0 275.230 2.687 20 5 CFBDRN CC[C@H](C)C(=O)OCc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000766173357 627182504 /nfs/dbraw/zinc/18/25/04/627182504.db2.gz RMWNZZSHJBHJOL-QMMMGPOBSA-N 0 0 285.271 2.832 20 5 CFBDRN COc1cc(COC(=O)/C=C\C2CC2)c([N+](=O)[O-])cc1F ZINC000766209655 627184256 /nfs/dbraw/zinc/18/42/56/627184256.db2.gz OIJBUYJKQBLGJB-PLNGDYQASA-N 0 0 295.266 2.752 20 5 CFBDRN COc1cc(COC(=O)C(C)(C)F)c([N+](=O)[O-])cc1F ZINC000766224359 627185924 /nfs/dbraw/zinc/18/59/24/627185924.db2.gz RAAACSCQJBXIIB-UHFFFAOYSA-N 0 0 289.234 2.534 20 5 CFBDRN COc1ccc(OC(=O)c2cc(C)sn2)c([N+](=O)[O-])c1 ZINC000766351260 627196940 /nfs/dbraw/zinc/19/69/40/627196940.db2.gz VMCKFXVLYZZFFZ-UHFFFAOYSA-N 0 0 294.288 2.588 20 5 CFBDRN C[C@@H](CC(F)(F)F)Nc1nc2ccccn2c1[N+](=O)[O-] ZINC000766444638 627203577 /nfs/dbraw/zinc/20/35/77/627203577.db2.gz BYKBZQFDXOCXND-ZETCQYMHSA-N 0 0 288.229 2.995 20 5 CFBDRN COCc1ccc(Nc2cccnc2[N+](=O)[O-])cc1 ZINC000767428633 627250668 /nfs/dbraw/zinc/25/06/68/627250668.db2.gz ISIKOGVUOKEYNV-UHFFFAOYSA-N 0 0 259.265 2.880 20 5 CFBDRN Cn1ccnc1[C@@H]1CCCN(c2ccsc2[N+](=O)[O-])C1 ZINC000767458419 627253332 /nfs/dbraw/zinc/25/33/32/627253332.db2.gz HKOHWLXFUXKPRB-SNVBAGLBSA-N 0 0 292.364 2.774 20 5 CFBDRN CC/C=C\CCOC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000767468370 627254306 /nfs/dbraw/zinc/25/43/06/627254306.db2.gz DJQZTRXZJSACJA-ARJAWSKDSA-N 0 0 264.281 2.690 20 5 CFBDRN CC[C@H](SC)C(=O)OCCOc1ccccc1[N+](=O)[O-] ZINC000767676635 627273763 /nfs/dbraw/zinc/27/37/63/627273763.db2.gz NBCQLEUTHGMHKJ-LBPRGKRZSA-N 0 0 299.348 2.658 20 5 CFBDRN CSC[C@@H]1CCCN1c1nc2ccccn2c1[N+](=O)[O-] ZINC000767819986 627288304 /nfs/dbraw/zinc/28/83/04/627288304.db2.gz RGSTUKKMYDKSSK-JTQLQIEISA-N 0 0 292.364 2.574 20 5 CFBDRN O=C(OCC[C@H]1CCCO1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000768551700 627353585 /nfs/dbraw/zinc/35/35/85/627353585.db2.gz JONHURYZHKABNH-SNVBAGLBSA-N 0 0 299.710 2.974 20 5 CFBDRN C[C@@H](OC(=O)C(C)(C)F)c1cccc([N+](=O)[O-])c1 ZINC000768783422 627372665 /nfs/dbraw/zinc/37/26/65/627372665.db2.gz UCIDSDOMBJQDEQ-MRVPVSSYSA-N 0 0 255.245 2.947 20 5 CFBDRN Cc1cc(Cl)ccc1Cn1cc([N+](=O)[O-])ccc1=O ZINC000768789367 627373616 /nfs/dbraw/zinc/37/36/16/627373616.db2.gz CUIUWEZOEFAKOI-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCC(=O)C(C)C ZINC000769887615 627485685 /nfs/dbraw/zinc/48/56/85/627485685.db2.gz KNRPHHPIXQTWCT-UHFFFAOYSA-N 0 0 293.319 2.902 20 5 CFBDRN Cn1ncc(Nc2ccccc2[N+](=O)[O-])c1C1CC1 ZINC000770126959 627504149 /nfs/dbraw/zinc/50/41/49/627504149.db2.gz JBYICCDJRXJCGA-UHFFFAOYSA-N 0 0 258.281 2.949 20 5 CFBDRN C/C=C\C[C@H](CO)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000770224369 627508567 /nfs/dbraw/zinc/50/85/67/627508567.db2.gz ZJQRDSWLKDMKTK-PKRMOACSSA-N 0 0 293.348 2.944 20 5 CFBDRN O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C1=CCC1 ZINC000770524182 627527046 /nfs/dbraw/zinc/52/70/46/627527046.db2.gz FVAYOGQJKLROKG-UHFFFAOYSA-N 0 0 252.657 2.907 20 5 CFBDRN Cc1ccc([C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2[O-])o1 ZINC000770856813 627551659 /nfs/dbraw/zinc/55/16/59/627551659.db2.gz NEEPFTGKHXGICH-VIFPVBQESA-N 0 0 290.275 2.944 20 5 CFBDRN O=C(OCc1cccc2nccn21)c1cccc([N+](=O)[O-])c1 ZINC000770921506 627558852 /nfs/dbraw/zinc/55/88/52/627558852.db2.gz CJPTWXOSJIXQBQ-UHFFFAOYSA-N 0 0 297.270 2.600 20 5 CFBDRN C[C@@H]1CCC[C@H](COC(=O)c2ccc([N+](=O)[O-])n2C)C1 ZINC000770925961 627559369 /nfs/dbraw/zinc/55/93/69/627559369.db2.gz GUYIAWUFYTVFKK-MNOVXSKESA-N 0 0 280.324 2.916 20 5 CFBDRN Cc1cnc([C@H](C)OC(=O)c2cc(F)cc([N+](=O)[O-])c2)o1 ZINC000770969808 627565125 /nfs/dbraw/zinc/56/51/25/627565125.db2.gz NFGWZBAVSNRDRX-QMMMGPOBSA-N 0 0 294.238 2.948 20 5 CFBDRN C[C@H]1[C@H](C)N(c2ccc([N+](=O)[O-])cc2Cl)CCN1C ZINC000771290220 627590701 /nfs/dbraw/zinc/59/07/01/627590701.db2.gz SUTWQZOALRVRLT-UWVGGRQHSA-N 0 0 283.759 2.777 20 5 CFBDRN COc1ccc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)cc1 ZINC000771486267 627608357 /nfs/dbraw/zinc/60/83/57/627608357.db2.gz IEUHDNCZVFHUFG-UHFFFAOYSA-N 0 0 291.234 2.962 20 5 CFBDRN Nc1ccc(OCc2ccc(Cl)cn2)cc1[N+](=O)[O-] ZINC000808256266 627610543 /nfs/dbraw/zinc/61/05/43/627610543.db2.gz FRQUOKNSHBJITP-UHFFFAOYSA-N 0 0 279.683 2.804 20 5 CFBDRN CCc1ccc(OC(=O)/C=C/SC)c([N+](=O)[O-])c1 ZINC000771613387 627618020 /nfs/dbraw/zinc/61/80/20/627618020.db2.gz QZUXPELTXHTXPJ-VOTSOKGWSA-N 0 0 267.306 2.939 20 5 CFBDRN Cc1cccnc1COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000771946327 627652729 /nfs/dbraw/zinc/65/27/29/627652729.db2.gz BHFLRVKYDBCVRD-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN Cc1cnc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)s1 ZINC000771951007 627653623 /nfs/dbraw/zinc/65/36/23/627653623.db2.gz QKBCPBJXHPIARM-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000772055718 627668880 /nfs/dbraw/zinc/66/88/80/627668880.db2.gz GGWHPJCBZDXRER-ZWNOBZJWSA-N 0 0 279.292 2.768 20 5 CFBDRN Cc1ccc(COC(=O)c2ccc([N+](=O)[O-])s2)nc1 ZINC000772247368 627691010 /nfs/dbraw/zinc/69/10/10/627691010.db2.gz XAJDTIPEKLZPMN-UHFFFAOYSA-N 0 0 278.289 2.717 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000772317308 627700906 /nfs/dbraw/zinc/70/09/06/627700906.db2.gz YOKIWSZVOSUKGG-LBPRGKRZSA-N 0 0 299.710 2.861 20 5 CFBDRN COc1cc(COC(=O)[C@H]2C[C@@H]2C(C)C)ccc1[N+](=O)[O-] ZINC000772488612 627713610 /nfs/dbraw/zinc/71/36/10/627713610.db2.gz IFCYLJZNNKGGNX-NEPJUHHUSA-N 0 0 293.319 2.939 20 5 CFBDRN COc1ccc(COC(=O)[C@H]2C[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000772497555 627714674 /nfs/dbraw/zinc/71/46/74/627714674.db2.gz ZYDRSRZGWWOVJC-NEPJUHHUSA-N 0 0 293.319 2.939 20 5 CFBDRN CCC(CC)CCOC(=O)c1cc([N+](=O)[O-])cn1C ZINC000772768545 627742982 /nfs/dbraw/zinc/74/29/82/627742982.db2.gz JWGADKPSFVKNBM-UHFFFAOYSA-N 0 0 268.313 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2COC3(CCCC3)O2)s1 ZINC000773235921 627797606 /nfs/dbraw/zinc/79/76/06/627797606.db2.gz AZTFEGBDBAZYIP-SECBINFHSA-N 0 0 284.337 2.754 20 5 CFBDRN O=C(NN1CCCC1)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000773389677 627812920 /nfs/dbraw/zinc/81/29/20/627812920.db2.gz NKWMCGNPBSDGJU-UHFFFAOYSA-N 0 0 291.332 2.550 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NN1[C@H](C)CCC[C@@H]1C ZINC000773395184 627813707 /nfs/dbraw/zinc/81/37/07/627813707.db2.gz YWXPSGVEEKTZRS-TXEJJXNPSA-N 0 0 291.351 2.811 20 5 CFBDRN O=C(NOc1ccccc1)c1ccc([N+](=O)[O-])cc1Cl ZINC000773466433 627823436 /nfs/dbraw/zinc/82/34/36/627823436.db2.gz JVCROGZEAUOYGH-UHFFFAOYSA-N 0 0 292.678 2.972 20 5 CFBDRN Cc1c(C(=O)NOc2ccccc2)cccc1[N+](=O)[O-] ZINC000773470098 627824667 /nfs/dbraw/zinc/82/46/67/627824667.db2.gz YJOIQQYSNUYQMQ-UHFFFAOYSA-N 0 0 272.260 2.627 20 5 CFBDRN CCCOC(=O)c1sc(Br)cc1[N+](=O)[O-] ZINC000773598942 627841486 /nfs/dbraw/zinc/84/14/86/627841486.db2.gz PTUULRBZIATAEV-UHFFFAOYSA-N 0 0 294.126 2.986 20 5 CFBDRN CS[C@@H](C)C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 ZINC000773984307 627876018 /nfs/dbraw/zinc/87/60/18/627876018.db2.gz DCVQWQCHWSJPEX-VIFPVBQESA-N 0 0 280.349 2.544 20 5 CFBDRN COc1ccc(OC(=O)C2(F)CCCC2)c([N+](=O)[O-])c1 ZINC000773982339 627876207 /nfs/dbraw/zinc/87/62/07/627876207.db2.gz GGSDKFSFTREKJW-UHFFFAOYSA-N 0 0 283.255 2.791 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H]1C[C@@H]1C1CC1)CC2 ZINC000773991321 627876789 /nfs/dbraw/zinc/87/67/89/627876789.db2.gz WEWNNFCULGYQPF-OLZOCXBDSA-N 0 0 286.331 2.838 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)[C@H]1CC13CCC3)CC2 ZINC000773991209 627876957 /nfs/dbraw/zinc/87/69/57/627876957.db2.gz SEHFILJPUGWMRR-GFCCVEGCSA-N 0 0 286.331 2.983 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CCCCN1C)c1ccccc1[N+](=O)[O-] ZINC000774012050 627878968 /nfs/dbraw/zinc/87/89/68/627878968.db2.gz AVJHOAWTHOHORX-BXUZGUMPSA-N 0 0 292.335 2.683 20 5 CFBDRN C[C@@H](NC(=O)N1CCCCC1)c1ccccc1[N+](=O)[O-] ZINC000774711101 627950634 /nfs/dbraw/zinc/95/06/34/627950634.db2.gz YYSHUWKCOBLJAG-LLVKDONJSA-N 0 0 277.324 2.851 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)C[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000774739626 627955224 /nfs/dbraw/zinc/95/52/24/627955224.db2.gz CVUPRMRBKVOQGV-RYUDHWBXSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc(C(=O)O[C@H](C)C[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000774739623 627955494 /nfs/dbraw/zinc/95/54/94/627955494.db2.gz CVUPRMRBKVOQGV-NEPJUHHUSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1ccc(C(=O)O[C@@H](C)C[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000774739624 627955525 /nfs/dbraw/zinc/95/55/25/627955525.db2.gz CVUPRMRBKVOQGV-NWDGAFQWSA-N 0 0 293.319 2.875 20 5 CFBDRN CSC(C)(C)COC(=O)c1ccccc1[N+](=O)[O-] ZINC000775319406 628009124 /nfs/dbraw/zinc/00/91/24/628009124.db2.gz UNNTZSRHSAZKJZ-UHFFFAOYSA-N 0 0 269.322 2.893 20 5 CFBDRN Cn1ccc(NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)n1 ZINC000775597031 628044498 /nfs/dbraw/zinc/04/44/98/628044498.db2.gz PJFUFNUHYGJZCV-UHFFFAOYSA-N 0 0 295.686 2.626 20 5 CFBDRN CC(C)(C)OC(=O)N1CC(Nc2sccc2[N+](=O)[O-])C1 ZINC000775676288 628053737 /nfs/dbraw/zinc/05/37/37/628053737.db2.gz CSOLJVKDEBUZTC-UHFFFAOYSA-N 0 0 299.352 2.688 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000775976617 628089457 /nfs/dbraw/zinc/08/94/57/628089457.db2.gz HZRQEAPWGUFQHS-LLVKDONJSA-N 0 0 266.272 2.604 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)OCC(C)C ZINC000776269270 628124518 /nfs/dbraw/zinc/12/45/18/628124518.db2.gz OFGSRAZIEKFYTR-UHFFFAOYSA-N 0 0 280.324 2.823 20 5 CFBDRN Cc1cnc(SC[C@@H](O)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000776274824 628124902 /nfs/dbraw/zinc/12/49/02/628124902.db2.gz BUKPJEBQMUEVRW-GFCCVEGCSA-N 0 0 291.332 2.519 20 5 CFBDRN COc1ccc(NC(=O)C2(F)CCCC2)c([N+](=O)[O-])c1 ZINC000776307183 628129299 /nfs/dbraw/zinc/12/92/99/628129299.db2.gz UCKBMMLTSFJYDQ-UHFFFAOYSA-N 0 0 282.271 2.824 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)C1(F)CCCC1 ZINC000776310741 628130124 /nfs/dbraw/zinc/13/01/24/628130124.db2.gz HOJLMITVPPSJQL-UHFFFAOYSA-N 0 0 270.235 2.955 20 5 CFBDRN Cc1ncsc1COC(=O)c1ccc([N+](=O)[O-])c(F)c1 ZINC000776380312 628138857 /nfs/dbraw/zinc/13/88/57/628138857.db2.gz LAZHKFDDJWPDBV-UHFFFAOYSA-N 0 0 296.279 2.856 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)CCO1 ZINC000776410489 628145686 /nfs/dbraw/zinc/14/56/86/628145686.db2.gz DKMOKRHPMWHSRF-SCZZXKLOSA-N 0 0 285.321 2.515 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1CN1CCO[C@@H](C2CC2)C1 ZINC000776798809 628178958 /nfs/dbraw/zinc/17/89/58/628178958.db2.gz ATDIMFZSNGYPGS-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)OCCc1ccoc1 ZINC000777384570 628220941 /nfs/dbraw/zinc/22/09/41/628220941.db2.gz JHKDPIMWMXEGPU-UHFFFAOYSA-N 0 0 289.287 2.906 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ccc([N+](=O)[O-])c3nonc32)O1 ZINC000777834417 628255157 /nfs/dbraw/zinc/25/51/57/628255157.db2.gz AEZXUYXKKIWRGB-QMMMGPOBSA-N 0 0 292.295 2.501 20 5 CFBDRN CC[C@]1(O)CCN(c2cc(C)c([N+](=O)[O-])cc2Cl)C1 ZINC000777840128 628255498 /nfs/dbraw/zinc/25/54/98/628255498.db2.gz OYXONMWHKGBSOH-ZDUSSCGKSA-N 0 0 284.743 2.908 20 5 CFBDRN C[C@](O)(CCNc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000777842582 628256729 /nfs/dbraw/zinc/25/67/29/628256729.db2.gz HTSKQTDFCYZEOR-HNNXBMFYSA-N 0 0 287.319 2.700 20 5 CFBDRN Cc1cccc(C(=O)NOC2CCCCC2)c1[N+](=O)[O-] ZINC000777960821 628267516 /nfs/dbraw/zinc/26/75/16/628267516.db2.gz ZQDXBRSQYCTWEE-UHFFFAOYSA-N 0 0 278.308 2.897 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NOC1CCCCC1 ZINC000777958470 628267664 /nfs/dbraw/zinc/26/76/64/628267664.db2.gz MJMWIFXYIDGVBD-UHFFFAOYSA-N 0 0 294.307 2.598 20 5 CFBDRN O=C(NC1CC=CC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778036834 628274359 /nfs/dbraw/zinc/27/43/59/628274359.db2.gz NGKAWWDVRGCIPH-UHFFFAOYSA-N 0 0 282.246 2.521 20 5 CFBDRN CC(C)(C)OCC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000778155269 628281352 /nfs/dbraw/zinc/28/13/52/628281352.db2.gz LBTMGMJAPQMVKN-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN O=C(NOC1CCCCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000778266741 628293885 /nfs/dbraw/zinc/29/38/85/628293885.db2.gz YPYAOFJSAOIPCY-UHFFFAOYSA-N 0 0 279.296 2.981 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778288847 628294849 /nfs/dbraw/zinc/29/48/49/628294849.db2.gz YYODKMOFJDDACP-VHSXEESVSA-N 0 0 298.289 2.944 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000778380280 628303135 /nfs/dbraw/zinc/30/31/35/628303135.db2.gz VWYOVBPQFSBYFI-GHMZBOCLSA-N 0 0 279.292 2.567 20 5 CFBDRN CC[C@@]1(O)CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000778397072 628304591 /nfs/dbraw/zinc/30/45/91/628304591.db2.gz OXOMVCWWFDMBQP-CYBMUJFWSA-N 0 0 284.743 2.595 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000778418385 628306107 /nfs/dbraw/zinc/30/61/07/628306107.db2.gz DPHMVKSINWROLP-LBPRGKRZSA-N 0 0 298.289 2.944 20 5 CFBDRN CCc1cnccc1CNc1nc2ccccn2c1[N+](=O)[O-] ZINC000778593125 628318978 /nfs/dbraw/zinc/31/89/78/628318978.db2.gz LYITZGAWJGJGIU-UHFFFAOYSA-N 0 0 297.318 2.812 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1cnccn1 ZINC000778743899 628329341 /nfs/dbraw/zinc/32/93/41/628329341.db2.gz ZJEXVEDPBSKXBR-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CCC[C@@H](C)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])n1C ZINC000778749181 628329728 /nfs/dbraw/zinc/32/97/28/628329728.db2.gz SNFUQXPSYLYIJQ-NXEZZACHSA-N 0 0 268.313 2.915 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H]1CCCO1 ZINC000778756737 628330564 /nfs/dbraw/zinc/33/05/64/628330564.db2.gz ICFLUCPIZYPVEU-GXFFZTMASA-N 0 0 279.292 2.686 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1cc[nH]n1 ZINC000778776491 628331248 /nfs/dbraw/zinc/33/12/48/628331248.db2.gz UHOUYUIBVICLKU-SECBINFHSA-N 0 0 275.264 2.544 20 5 CFBDRN CO[C@@H](C)C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778781834 628331685 /nfs/dbraw/zinc/33/16/85/628331685.db2.gz OXEVREBVHYLPDF-ZJUUUORDSA-N 0 0 267.281 2.542 20 5 CFBDRN CO[C@H](C)C(=O)O[C@H](C)c1cc([N+](=O)[O-])ccc1C ZINC000778781831 628331795 /nfs/dbraw/zinc/33/17/95/628331795.db2.gz OXEVREBVHYLPDF-NXEZZACHSA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1ncccn1 ZINC000778806344 628332752 /nfs/dbraw/zinc/33/27/52/628332752.db2.gz ATJCCIROTFDHTM-JTQLQIEISA-N 0 0 287.275 2.611 20 5 CFBDRN CC(C)C[C@@H](C)OC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2 ZINC000779193187 628359263 /nfs/dbraw/zinc/35/92/63/628359263.db2.gz ARBNCWPCNWGSRL-SECBINFHSA-N 0 0 295.291 2.915 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000779260333 628365173 /nfs/dbraw/zinc/36/51/73/628365173.db2.gz BNPPLGPZXPVGGY-ZWNOBZJWSA-N 0 0 279.292 2.705 20 5 CFBDRN COc1cc(C(=O)O[C@@H]2CC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000779260641 628365194 /nfs/dbraw/zinc/36/51/94/628365194.db2.gz VMTXEAMDMBQAOU-MWLCHTKSSA-N 0 0 279.292 2.949 20 5 CFBDRN C[C@@H]1CC[C@@H](OC(=O)Cc2ccc([N+](=O)[O-])cc2)C1 ZINC000779266992 628367062 /nfs/dbraw/zinc/36/70/62/628367062.db2.gz XGRALROTLOIYJA-ZWNOBZJWSA-N 0 0 263.293 2.869 20 5 CFBDRN CON(C)CCNc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC000779711549 628394800 /nfs/dbraw/zinc/39/48/00/628394800.db2.gz VTKDEEHIHLBIIL-UHFFFAOYSA-N 0 0 294.138 2.807 20 5 CFBDRN CC/C(C)=C(\C)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000779856152 628414369 /nfs/dbraw/zinc/41/43/69/628414369.db2.gz ZVSLELSVIOTEJR-ZHACJKMWSA-N 0 0 292.335 2.966 20 5 CFBDRN Cc1nn(C[N@@H+]2CCC[C@@H]2CC(C)(C)C)cc1[N+](=O)[O-] ZINC000779860121 628414984 /nfs/dbraw/zinc/41/49/84/628414984.db2.gz TVOJYWKKULCEAP-GFCCVEGCSA-N 0 0 280.372 2.958 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CCC[C@H]2/C=C\c2ccccc2)c1 ZINC000779888501 628416337 /nfs/dbraw/zinc/41/63/37/628416337.db2.gz MAGQGRMLYWODQG-CDNLZTBQSA-N 0 0 298.346 2.927 20 5 CFBDRN CC/C(C)=C(/C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000779965862 628422163 /nfs/dbraw/zinc/42/21/63/628422163.db2.gz YHYPRKDONDXIDO-QXMHVHEDSA-N 0 0 276.336 3.000 20 5 CFBDRN CCC[C@H](C)OC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780075309 628430453 /nfs/dbraw/zinc/43/04/53/628430453.db2.gz JVVLBDCTSQBJAL-QMMMGPOBSA-N 0 0 253.254 2.646 20 5 CFBDRN O=C(OC/C(Cl)=C/Cl)c1cc(O)cc([N+](=O)[O-])c1 ZINC000780076536 628431062 /nfs/dbraw/zinc/43/10/62/628431062.db2.gz RHQRTIZTMHWESS-DAXSKMNVSA-N 0 0 292.074 2.776 20 5 CFBDRN O=[N+]([O-])c1ccc(NC(=S)NC[C@@H]2CCC=CO2)cc1 ZINC000780219731 628440228 /nfs/dbraw/zinc/44/02/28/628440228.db2.gz QSVCWJWAQWSHFP-LBPRGKRZSA-N 0 0 293.348 2.574 20 5 CFBDRN C/C=C(\C)C(=O)OCCOc1ccc([N+](=O)[O-])cc1C ZINC000780635483 628483626 /nfs/dbraw/zinc/48/36/26/628483626.db2.gz LAYMQMMWFCVRJF-ONNFQVAWSA-N 0 0 279.292 2.791 20 5 CFBDRN Cc1cccc(OC(=O)c2ccc(N)c([N+](=O)[O-])c2)c1F ZINC000780665843 628487076 /nfs/dbraw/zinc/48/70/76/628487076.db2.gz SVWSZEROHGAPJZ-UHFFFAOYSA-N 0 0 290.250 2.844 20 5 CFBDRN O=C(NC[C@H]1CCC=CO1)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000781064585 628517317 /nfs/dbraw/zinc/51/73/17/628517317.db2.gz AKKNTTORSAFQTR-SNVBAGLBSA-N 0 0 296.710 2.671 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)OCCCC(C)(C)C ZINC000781153725 628526697 /nfs/dbraw/zinc/52/66/97/628526697.db2.gz WRMVECYJEYNSPW-UHFFFAOYSA-N 0 0 268.313 2.916 20 5 CFBDRN CC(C)(C)CCCOC(=O)CCn1cc([N+](=O)[O-])cn1 ZINC000781170244 628528738 /nfs/dbraw/zinc/52/87/38/628528738.db2.gz RTPRKSWNDMUPOA-UHFFFAOYSA-N 0 0 283.328 2.551 20 5 CFBDRN CS/C=C\C(=O)OCc1ccc([N+](=O)[O-])c(C)c1 ZINC000781173376 628529208 /nfs/dbraw/zinc/52/92/08/628529208.db2.gz SRFXKTVHBIKKPC-WAYWQWQTSA-N 0 0 267.306 2.823 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCC[C@H](OC(F)F)C1 ZINC000781395564 628545839 /nfs/dbraw/zinc/54/58/39/628545839.db2.gz CYEKCTJXCYHNDK-QMMMGPOBSA-N 0 0 290.241 2.942 20 5 CFBDRN CCCCCC[C@H](C)NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000781451699 628549539 /nfs/dbraw/zinc/54/95/39/628549539.db2.gz GHCZPSCZYALMJK-NSHDSACASA-N 0 0 294.355 2.892 20 5 CFBDRN CC(C)C[C@@H](C)C[C@H](C)OC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000781482748 628553129 /nfs/dbraw/zinc/55/31/29/628553129.db2.gz FNABGRVFMZOJQC-NEPJUHHUSA-N 0 0 297.355 2.795 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C1 ZINC000781612056 628565406 /nfs/dbraw/zinc/56/54/06/628565406.db2.gz GRCQZWKKPATRAK-GXSJLCMTSA-N 0 0 298.289 2.991 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC[S@](=O)C(C)(C)C)n1 ZINC000781661453 628570303 /nfs/dbraw/zinc/57/03/03/628570303.db2.gz ZZANJCOHUHBKGI-FQEVSTJZSA-N 0 0 299.396 2.566 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@](C)(O)c2ccco2)n1 ZINC000781661444 628570381 /nfs/dbraw/zinc/57/03/81/628570381.db2.gz ZXJVGBASCXOZAF-CQSZACIVSA-N 0 0 291.307 2.519 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000781670495 628572099 /nfs/dbraw/zinc/57/20/99/628572099.db2.gz XPYJVQVYDJKTIM-GFCCVEGCSA-N 0 0 272.308 2.968 20 5 CFBDRN CC(C)(C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000805702879 628578259 /nfs/dbraw/zinc/57/82/59/628578259.db2.gz CAEIGKGNYBACHL-UHFFFAOYSA-N 0 0 291.303 2.757 20 5 CFBDRN C[C@H]1C[C@@H](COC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000781922594 628591611 /nfs/dbraw/zinc/59/16/11/628591611.db2.gz JJHWPIQXOVDLRZ-IUCAKERBSA-N 0 0 285.321 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(NCCOC3CCC3)c2c1 ZINC000782148747 628608050 /nfs/dbraw/zinc/60/80/50/628608050.db2.gz RYEVJRGLEXVVTC-UHFFFAOYSA-N 0 0 288.307 2.519 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cc2F)[C@H](C)[C@H](C)O1 ZINC000782410038 628636262 /nfs/dbraw/zinc/63/62/62/628636262.db2.gz NKNGJBPUOKSJQT-BBBLOLIVSA-N 0 0 268.288 2.736 20 5 CFBDRN CCc1n[nH]cc1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000782797110 628658529 /nfs/dbraw/zinc/65/85/29/628658529.db2.gz HXFARLLAMQZYEP-SECBINFHSA-N 0 0 289.291 2.798 20 5 CFBDRN C[C@@H](O)C[C@H](C)Nc1nc2ccc([N+](=O)[O-])cc2s1 ZINC000782969190 628666946 /nfs/dbraw/zinc/66/69/46/628666946.db2.gz MWGOWDVQJDLIAZ-JGVFFNPUSA-N 0 0 281.337 2.776 20 5 CFBDRN C[C@@H]1C[C@H](COC(=O)c2cc(F)ccc2[N+](=O)[O-])CCO1 ZINC000783248768 628692942 /nfs/dbraw/zinc/69/29/42/628692942.db2.gz HNCPRPCHJIQDGZ-NXEZZACHSA-N 0 0 297.282 2.706 20 5 CFBDRN CNc1ccc(C(=O)OCCC2CCC2)cc1[N+](=O)[O-] ZINC000783443072 628708711 /nfs/dbraw/zinc/70/87/11/628708711.db2.gz RADOZFHDCOWKEW-UHFFFAOYSA-N 0 0 278.308 2.984 20 5 CFBDRN CC[C@H](C)NC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000783685739 628734526 /nfs/dbraw/zinc/73/45/26/628734526.db2.gz RFKSVAGBVIVPJK-JUDLJHIGSA-N 0 0 262.309 2.913 20 5 CFBDRN COCCCCNC(=O)/C=C(\C)c1ccc([N+](=O)[O-])cc1 ZINC000783907695 628754512 /nfs/dbraw/zinc/75/45/12/628754512.db2.gz UWOHOHRMHKAOMH-VAWYXSNFSA-N 0 0 292.335 2.541 20 5 CFBDRN CCOCCCNC(=O)/C=C(/C)c1ccc([N+](=O)[O-])cc1 ZINC000783922417 628755720 /nfs/dbraw/zinc/75/57/20/628755720.db2.gz QMEOPGVLRYKUBQ-QXMHVHEDSA-N 0 0 292.335 2.541 20 5 CFBDRN Cc1ccc([C@H]2CCN(Cn3cc([N+](=O)[O-])cn3)C2)cc1 ZINC000784027166 628765554 /nfs/dbraw/zinc/76/55/54/628765554.db2.gz VDEXDVXHNSBCGO-AWEZNQCLSA-N 0 0 286.335 2.547 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H](F)C(C)C)cc1[N+](=O)[O-] ZINC000784025102 628765599 /nfs/dbraw/zinc/76/55/99/628765599.db2.gz YCSLGWHJKRPCNP-NSHDSACASA-N 0 0 254.261 2.836 20 5 CFBDRN C/C=C(\C)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000784040102 628768072 /nfs/dbraw/zinc/76/80/72/628768072.db2.gz OXTRGSOUYUBDKX-FPYGCLRLSA-N 0 0 253.229 2.743 20 5 CFBDRN C[C@@H](OC(=O)[C@H]1CO[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000784051357 628769305 /nfs/dbraw/zinc/76/93/05/628769305.db2.gz LTJBQKZRMFZZAU-CKYFFXLPSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CO[C@H](C)C1)c1cccc([N+](=O)[O-])c1 ZINC000784051362 628769348 /nfs/dbraw/zinc/76/93/48/628769348.db2.gz LTJBQKZRMFZZAU-SCVCMEIPSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1C[C@@H]1C1CC1 ZINC000784054811 628769886 /nfs/dbraw/zinc/76/98/86/628769886.db2.gz NDPQKSQERPSTRE-MNOVXSKESA-N 0 0 279.267 2.823 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CO1 ZINC000784084851 628773913 /nfs/dbraw/zinc/77/39/13/628773913.db2.gz YGJLCDZFXVBIFL-SFYZADRCSA-N 0 0 284.699 2.612 20 5 CFBDRN CC(=CC(=O)Nc1ccnc(C)c1)c1ccc([N+](=O)[O-])cc1 ZINC000784097270 628776065 /nfs/dbraw/zinc/77/60/65/628776065.db2.gz HVFJUDFSDNQBFN-PKNBQFBNSA-N 0 0 297.314 2.762 20 5 CFBDRN C[C@@H]1C[C@H](C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)CO1 ZINC000784107791 628776886 /nfs/dbraw/zinc/77/68/86/628776886.db2.gz VPHAPRWADSAPLX-SCZZXKLOSA-N 0 0 299.710 2.716 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CO[C@@H](C)C1 ZINC000784108730 628777475 /nfs/dbraw/zinc/77/74/75/628777475.db2.gz KVVGKTKLDMKCGD-IUCAKERBSA-N 0 0 298.726 2.920 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@H]1CO[C@H](C)C1 ZINC000784114135 628778531 /nfs/dbraw/zinc/77/85/31/628778531.db2.gz WYDPZXDVERUBDM-RKDXNWHRSA-N 0 0 299.710 2.887 20 5 CFBDRN CC1([C@@H]2CCCN(c3ccsc3[N+](=O)[O-])C2)OCCO1 ZINC000784255866 628795644 /nfs/dbraw/zinc/79/56/44/628795644.db2.gz ZPRYEAULLWCDBJ-SNVBAGLBSA-N 0 0 298.364 2.636 20 5 CFBDRN COc1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])on1 ZINC000784725342 628839092 /nfs/dbraw/zinc/83/90/92/628839092.db2.gz YPBIVYXCPYTTGK-MRVPVSSYSA-N 0 0 292.247 2.509 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N[C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000784728790 628839408 /nfs/dbraw/zinc/83/94/08/628839408.db2.gz KRTFEYHRTZYYLJ-BZBKMWRSSA-N 0 0 266.272 2.953 20 5 CFBDRN C/C(=C/C(=O)OCCF)c1ccc([N+](=O)[O-])cc1 ZINC000784872939 628847296 /nfs/dbraw/zinc/84/72/96/628847296.db2.gz DAXCWTFQXKEUGC-HJWRWDBZSA-N 0 0 253.229 2.511 20 5 CFBDRN CCOCCC(=O)OCCSc1ccc([N+](=O)[O-])cc1 ZINC000784933892 628853409 /nfs/dbraw/zinc/85/34/09/628853409.db2.gz DQFSPOWDMPDTPY-UHFFFAOYSA-N 0 0 299.348 2.657 20 5 CFBDRN O=C(OCCSc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000784935556 628853528 /nfs/dbraw/zinc/85/35/28/628853528.db2.gz KZDMEVAJZRUBBP-UHFFFAOYSA-N 0 0 267.306 2.640 20 5 CFBDRN O=C(OCC[C@H]1CCCCO1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000784947060 628854477 /nfs/dbraw/zinc/85/44/77/628854477.db2.gz ATTVXZMPSTVGIK-CYBMUJFWSA-N 0 0 297.282 2.850 20 5 CFBDRN CC1(C)OC[C@@H](COc2ccc([N+](=O)[O-])cc2Cl)O1 ZINC000785011958 628859751 /nfs/dbraw/zinc/85/97/51/628859751.db2.gz HFXQYTASESQWJH-SECBINFHSA-N 0 0 287.699 2.779 20 5 CFBDRN Cc1[nH]ncc1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000785580250 628894906 /nfs/dbraw/zinc/89/49/06/628894906.db2.gz ZPZKXKJLIDMYEM-UHFFFAOYSA-N 0 0 295.682 2.637 20 5 CFBDRN Cc1cncc(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1 ZINC000785642260 628900441 /nfs/dbraw/zinc/90/04/41/628900441.db2.gz CLQIIOWMBVLYBJ-UHFFFAOYSA-N 0 0 286.287 2.964 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1CC(=O)OCc1csc([N+](=O)[O-])c1 ZINC000785727700 628908110 /nfs/dbraw/zinc/90/81/10/628908110.db2.gz GDKGNERDUCPCLC-AOOOYVTPSA-N 0 0 298.364 2.572 20 5 CFBDRN CC1(C)C[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)CCO1 ZINC000785906184 628920903 /nfs/dbraw/zinc/92/09/03/628920903.db2.gz SXZZROCIEFMGJO-GFCCVEGCSA-N 0 0 279.292 2.709 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)OCC(C)(C)F ZINC000785920112 628922866 /nfs/dbraw/zinc/92/28/66/628922866.db2.gz OCKHSHRECLDVPN-UHFFFAOYSA-N 0 0 255.245 2.808 20 5 CFBDRN Cc1cc(CC(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000785961442 628926892 /nfs/dbraw/zinc/92/68/92/628926892.db2.gz QDCCDMQMUXDBDO-JTQLQIEISA-N 0 0 290.275 2.738 20 5 CFBDRN COc1ccccc1CN(C)c1ccc(N)cc1[N+](=O)[O-] ZINC000786562184 628968258 /nfs/dbraw/zinc/96/82/58/628968258.db2.gz RHRJWTFLFPCGJW-UHFFFAOYSA-N 0 0 287.319 2.822 20 5 CFBDRN Cc1nc(C)c(CCNc2ccc(N)cc2[N+](=O)[O-])s1 ZINC000786804043 628980048 /nfs/dbraw/zinc/98/00/48/628980048.db2.gz FJETYZDCJYQCHP-UHFFFAOYSA-N 0 0 292.364 2.905 20 5 CFBDRN COC(C)(C)CC(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000787126063 628994872 /nfs/dbraw/zinc/99/48/72/628994872.db2.gz MGBOPBJXATXKEL-UHFFFAOYSA-N 0 0 285.271 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2nncn2C2CC2)cc1F ZINC000787491745 629015873 /nfs/dbraw/zinc/01/58/73/629015873.db2.gz RPYRJFBQEBDRAY-UHFFFAOYSA-N 0 0 294.311 2.953 20 5 CFBDRN COC(C)(C)CCOC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000787595928 629021201 /nfs/dbraw/zinc/02/12/01/629021201.db2.gz UJCXSXWEQQLKGA-UHFFFAOYSA-N 0 0 281.308 2.875 20 5 CFBDRN CCc1cccc(COC(=O)c2ccc([N+](=O)[O-])n2C)c1 ZINC000787618251 629022531 /nfs/dbraw/zinc/02/25/31/629022531.db2.gz KBCCSVNTUFGVJI-UHFFFAOYSA-N 0 0 288.303 2.853 20 5 CFBDRN O=C(OCc1ccc(F)cn1)c1cc(F)ccc1[N+](=O)[O-] ZINC000787674631 629024999 /nfs/dbraw/zinc/02/49/99/629024999.db2.gz ZBYIASOBGOCLKC-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN CC[C@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])C(F)(F)F ZINC000787698176 629027144 /nfs/dbraw/zinc/02/71/44/629027144.db2.gz VCGWQTKDCGUVRV-VIFPVBQESA-N 0 0 294.204 2.805 20 5 CFBDRN O=C(OCc1ccc(F)cn1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000787702762 629027847 /nfs/dbraw/zinc/02/78/47/629027847.db2.gz FQJKCXKIGUWGNY-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000787724925 629029735 /nfs/dbraw/zinc/02/97/35/629029735.db2.gz YEIQUIVVMIATCK-VZMHOAQHSA-N 0 0 286.331 2.913 20 5 CFBDRN Cc1cnc(Cl)c(NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000787984840 629046605 /nfs/dbraw/zinc/04/66/05/629046605.db2.gz UHLXBCZJXTXOCY-UHFFFAOYSA-N 0 0 292.682 2.599 20 5 CFBDRN CSC1(CNC(=S)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000788321503 629059175 /nfs/dbraw/zinc/05/91/75/629059175.db2.gz SHGQKLUSOGHYGO-UHFFFAOYSA-N 0 0 297.405 2.777 20 5 CFBDRN O=C(OCc1c(F)cccc1[N+](=O)[O-])c1cccnc1F ZINC000789009191 629116856 /nfs/dbraw/zinc/11/68/56/629116856.db2.gz ZLCGRYZGVVTNKM-UHFFFAOYSA-N 0 0 294.213 2.625 20 5 CFBDRN Cc1cc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])ccn1 ZINC000789031307 629118768 /nfs/dbraw/zinc/11/87/68/629118768.db2.gz YJPKNOBGUJTRAN-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN C[C@H]1CC[C@@H](COC(=O)c2cccc(Cl)c2[N+](=O)[O-])O1 ZINC000789064866 629122183 /nfs/dbraw/zinc/12/21/83/629122183.db2.gz MSUULYSSBWAFDM-IUCAKERBSA-N 0 0 299.710 2.973 20 5 CFBDRN CSC1(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000789399011 629153428 /nfs/dbraw/zinc/15/34/28/629153428.db2.gz HKCQIAAWBRVKRD-UHFFFAOYSA-N 0 0 271.269 2.535 20 5 CFBDRN CON(Cn1ncc2cc([N+](=O)[O-])ccc21)CC(C)(C)C ZINC000789514113 629163017 /nfs/dbraw/zinc/16/30/17/629163017.db2.gz BHHCWRDJMLJCTC-UHFFFAOYSA-N 0 0 292.339 2.812 20 5 CFBDRN CCn1cnnc1S/C=C\c1ccc([N+](=O)[O-])o1 ZINC000789892963 629194908 /nfs/dbraw/zinc/19/49/08/629194908.db2.gz MLNQZLWZMMLKCJ-WAYWQWQTSA-N 0 0 266.282 2.562 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2ncc[nH]2)c([N+](=O)[O-])c1 ZINC000789923337 629197028 /nfs/dbraw/zinc/19/70/28/629197028.db2.gz ZLQBBJDPOYZMAQ-UHFFFAOYSA-N 0 0 280.265 2.518 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cncc(Cl)n1 ZINC000790266504 629223948 /nfs/dbraw/zinc/22/39/48/629223948.db2.gz ZAVKANXFPIGNNZ-UHFFFAOYSA-N 0 0 293.666 2.566 20 5 CFBDRN Cc1c(CN(C)c2c(F)cc([N+](=O)[O-])cc2F)cnn1C ZINC000790296797 629226457 /nfs/dbraw/zinc/22/64/57/629226457.db2.gz GAXMFNSLTLRQBY-UHFFFAOYSA-N 0 0 296.277 2.551 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1-c1nc(-c2cnccn2)cs1 ZINC000790585809 629250699 /nfs/dbraw/zinc/25/06/99/629250699.db2.gz FIQDBILZGGZDEJ-UHFFFAOYSA-N 0 0 299.315 2.758 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccnc(C)c1 ZINC000790640361 629254390 /nfs/dbraw/zinc/25/43/90/629254390.db2.gz OAYGFBCHHFTUCK-UHFFFAOYSA-N 0 0 288.259 2.526 20 5 CFBDRN CCc1cncc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c1 ZINC000790653698 629255439 /nfs/dbraw/zinc/25/54/39/629255439.db2.gz OYWLVXMSWFLGAZ-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN O=[N+]([O-])CCNC1(c2ccc(F)cc2F)CCCC1 ZINC000791055814 629284761 /nfs/dbraw/zinc/28/47/61/629284761.db2.gz YVESQTYFXMWVTJ-UHFFFAOYSA-N 0 0 270.279 2.600 20 5 CFBDRN C/C(=C/C(=O)OCc1ncccn1)c1ccc([N+](=O)[O-])cc1 ZINC000791450294 629317707 /nfs/dbraw/zinc/31/77/07/629317707.db2.gz YCDVBIFBPQHBEH-LUAWRHEFSA-N 0 0 299.286 2.532 20 5 CFBDRN O=C(OCCCCCF)c1ccc([N+](=O)[O-])cc1 ZINC000791644105 629341053 /nfs/dbraw/zinc/34/10/53/629341053.db2.gz QGZXBQOMUUQOOB-UHFFFAOYSA-N 0 0 255.245 2.891 20 5 CFBDRN CC(C)[C@@H](C)C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000791897767 629373684 /nfs/dbraw/zinc/37/36/84/629373684.db2.gz DNXAHOUWZXPRPE-SECBINFHSA-N 0 0 296.279 2.838 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)c1ccnn1C ZINC000791927795 629378268 /nfs/dbraw/zinc/37/82/68/629378268.db2.gz ZEOVZOCILFOHDC-JTQLQIEISA-N 0 0 289.291 2.555 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccccc1F)[N+](=O)[O-] ZINC000835080938 629379217 /nfs/dbraw/zinc/37/92/17/629379217.db2.gz DSNMHUMGIGTMBL-UHFFFAOYSA-N 0 0 269.272 2.704 20 5 CFBDRN CC(C)(CCC(=O)OCc1ccc(F)cc1)[N+](=O)[O-] ZINC000835082571 629380271 /nfs/dbraw/zinc/38/02/71/629380271.db2.gz OVPIFOQCYGKEJG-UHFFFAOYSA-N 0 0 269.272 2.704 20 5 CFBDRN COc1ccccc1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835084908 629383044 /nfs/dbraw/zinc/38/30/44/629383044.db2.gz IELAIFYJRFEQTG-UHFFFAOYSA-N 0 0 281.308 2.574 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1 ZINC000852359259 634731973 /nfs/dbraw/zinc/73/19/73/634731973.db2.gz OKSOOLGMLJXKJA-CMPLNLGQSA-N 0 0 275.308 2.674 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)Cc2ccc([N+](=O)[O-])cc2)O1 ZINC000792028508 629390326 /nfs/dbraw/zinc/39/03/26/629390326.db2.gz PDLLAAYPQGFNFA-ZDUSSCGKSA-N 0 0 293.319 2.638 20 5 CFBDRN CC1(C)CC[C@@H](COC(=O)c2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000792029248 629390652 /nfs/dbraw/zinc/39/06/52/629390652.db2.gz QMUXBZSHWXJMOS-LBPRGKRZSA-N 0 0 297.282 2.848 20 5 CFBDRN CC/C=C\COC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000835090698 629391151 /nfs/dbraw/zinc/39/11/51/629391151.db2.gz KFUUHDZBPBAVEJ-PLNGDYQASA-N 0 0 283.255 2.866 20 5 CFBDRN COc1cc(C(=O)O[C@@H](C)C2CC2)c([N+](=O)[O-])cc1F ZINC000835102645 629407492 /nfs/dbraw/zinc/40/74/92/629407492.db2.gz GDZGJDLPOKSWBV-ZETCQYMHSA-N 0 0 283.255 2.698 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000835104341 629410285 /nfs/dbraw/zinc/41/02/85/629410285.db2.gz SZRSECCOKLEPPO-APPZFPTMSA-N 0 0 271.219 2.854 20 5 CFBDRN COc1cc(C(=O)OC[C@H]2C[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000835109343 629413926 /nfs/dbraw/zinc/41/39/26/629413926.db2.gz ONSLRMOBQYQYOR-JGVFFNPUSA-N 0 0 283.255 2.555 20 5 CFBDRN O=C(CCCC(=O)C1CC1)OCc1cccc([N+](=O)[O-])c1 ZINC000835114196 629418188 /nfs/dbraw/zinc/41/81/88/629418188.db2.gz MOURHRJUUVQLHL-UHFFFAOYSA-N 0 0 291.303 2.787 20 5 CFBDRN CC(=O)OC(C)(C)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000792356241 629422223 /nfs/dbraw/zinc/42/22/23/629422223.db2.gz ITEXVFITXIBHHQ-SECBINFHSA-N 0 0 295.291 2.541 20 5 CFBDRN C[C@H](Cc1ccccc1)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835120493 629426294 /nfs/dbraw/zinc/42/62/94/629426294.db2.gz XYSCHKHGJOAHCO-GFCCVEGCSA-N 0 0 279.336 2.996 20 5 CFBDRN CCc1ccc(OC(=O)/C=C/[C@@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000835167424 629482727 /nfs/dbraw/zinc/48/27/27/629482727.db2.gz AWDIYTMZIMEEHO-FVOPLDGLSA-N 0 0 291.303 2.655 20 5 CFBDRN CNc1c(C(=O)OC[C@H]2CCCS2)cccc1[N+](=O)[O-] ZINC000793161092 629494983 /nfs/dbraw/zinc/49/49/83/629494983.db2.gz HONKQIAWUYAGRQ-SECBINFHSA-N 0 0 296.348 2.689 20 5 CFBDRN CC(C)(CCC(=O)Oc1cccc2[nH]ccc21)[N+](=O)[O-] ZINC000835186989 629502016 /nfs/dbraw/zinc/50/20/16/629502016.db2.gz CVYHDMGUNYDPDP-UHFFFAOYSA-N 0 0 276.292 2.909 20 5 CFBDRN CNc1c(C(=O)O[C@@H](C)[C@@H](C)SC)cccc1[N+](=O)[O-] ZINC000793322939 629526357 /nfs/dbraw/zinc/52/63/57/629526357.db2.gz ZOLSNIJGUANAID-DTWKUNHWSA-N 0 0 298.364 2.933 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC(F)(F)CC1 ZINC000793352290 629529652 /nfs/dbraw/zinc/52/96/52/629529652.db2.gz KNVGGFNMDXZUSY-UHFFFAOYSA-N 0 0 256.252 2.826 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000793420337 629542213 /nfs/dbraw/zinc/54/22/13/629542213.db2.gz NBLWKTHICCBCHF-JAWJWVIGSA-N 0 0 291.303 2.576 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000793436062 629546633 /nfs/dbraw/zinc/54/66/33/629546633.db2.gz XTYVWKIWGJZXHW-DTWKUNHWSA-N 0 0 299.710 2.830 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1Cc1cc(F)ccc1[N+](=O)[O-] ZINC000852441126 634746799 /nfs/dbraw/zinc/74/67/99/634746799.db2.gz KOORKMOHFXIPMS-LLVKDONJSA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1cc(CNc2ccc(Cl)c([N+](=O)[O-])c2)ncn1 ZINC000793859187 629601563 /nfs/dbraw/zinc/60/15/63/629601563.db2.gz QLGSFJPMKNISBB-UHFFFAOYSA-N 0 0 278.699 2.959 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1COC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835320506 629625724 /nfs/dbraw/zinc/62/57/24/629625724.db2.gz VVSYWBLIOYRYOT-GHMZBOCLSA-N 0 0 257.330 2.657 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])ccc1N)[C@@H]1CCC(C)(C)C1 ZINC000794278000 629629642 /nfs/dbraw/zinc/62/96/42/629629642.db2.gz XRLJDQKYIRIEKM-LLVKDONJSA-N 0 0 291.351 2.828 20 5 CFBDRN C/C(=C\C(=O)NOC/C=C\Cl)c1ccc([N+](=O)[O-])cc1 ZINC000794288339 629631011 /nfs/dbraw/zinc/63/10/11/629631011.db2.gz GOPLUPWSKXYPAG-DYYJZLOQSA-N 0 0 296.710 2.798 20 5 CFBDRN C/C(=C\C(=O)N1CC(C2CC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000794490642 629650242 /nfs/dbraw/zinc/65/02/42/629650242.db2.gz ZJKUSBZMYRZLEO-DHZHZOJOSA-N 0 0 286.331 2.867 20 5 CFBDRN CSc1ccccc1CN(C)Cn1nccc1[N+](=O)[O-] ZINC000794658521 629671689 /nfs/dbraw/zinc/67/16/89/629671689.db2.gz ZJQHZDYLKCILIE-UHFFFAOYSA-N 0 0 292.364 2.603 20 5 CFBDRN CC[C@H](C)CONC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000794850961 629694707 /nfs/dbraw/zinc/69/47/07/629694707.db2.gz JZSBXIIXTCHJLH-UWVGGRQHSA-N 0 0 298.314 2.931 20 5 CFBDRN C[C@@H](CC1CCOCC1)OC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000835415555 629701727 /nfs/dbraw/zinc/70/17/27/629701727.db2.gz LIUSXFADSRQNHA-NSHDSACASA-N 0 0 287.356 2.570 20 5 CFBDRN COCCN(CCF)Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000852483201 634757442 /nfs/dbraw/zinc/75/74/42/634757442.db2.gz CRVDZDOJHDRYEK-UHFFFAOYSA-N 0 0 290.722 2.666 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)CC(C)(C)O ZINC000835424861 629710337 /nfs/dbraw/zinc/71/03/37/629710337.db2.gz ZWIIHKXOXFYTBF-SNVBAGLBSA-N 0 0 281.308 2.610 20 5 CFBDRN CC(C)(O)CCCCOC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000835427577 629712315 /nfs/dbraw/zinc/71/23/15/629712315.db2.gz ZWYPZTLYQRNDOZ-UHFFFAOYSA-N 0 0 295.335 2.622 20 5 CFBDRN CC(C)(CCC(=O)OC[C@@H]1CCCSC1)[N+](=O)[O-] ZINC000835435139 629720228 /nfs/dbraw/zinc/72/02/28/629720228.db2.gz PTUQZIQUBVEBIL-JTQLQIEISA-N 0 0 275.370 2.508 20 5 CFBDRN COCCN(CCF)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000852485578 634758473 /nfs/dbraw/zinc/75/84/73/634758473.db2.gz WMCQXFCOAUHCBH-LLVKDONJSA-N 0 0 270.304 2.574 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCNc1ccccc1 ZINC000795093929 629733306 /nfs/dbraw/zinc/73/33/06/629733306.db2.gz BDHWXKSHMKDOLY-UHFFFAOYSA-N 0 0 289.339 2.644 20 5 CFBDRN Cc1ccoc1C[N@H+](C)C[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000795160677 629740498 /nfs/dbraw/zinc/74/04/98/629740498.db2.gz ILLJADGADWZRRS-CQSZACIVSA-N 0 0 290.319 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2cccnc2F)c([N+](=O)[O-])c1 ZINC000795224828 629747964 /nfs/dbraw/zinc/74/79/64/629747964.db2.gz DXSOESWSKWBZJU-UHFFFAOYSA-N 0 0 292.226 2.649 20 5 CFBDRN Cc1ccc(C(=O)OC[C@@H]2CCC[C@@H](O)C2)cc1[N+](=O)[O-] ZINC000795233290 629749247 /nfs/dbraw/zinc/74/92/47/629749247.db2.gz LJJKZHBSZMLFGF-DGCLKSJQSA-N 0 0 293.319 2.611 20 5 CFBDRN Cc1ncsc1CCN1CCc2cc([N+](=O)[O-])ccc21 ZINC000795253154 629752507 /nfs/dbraw/zinc/75/25/07/629752507.db2.gz OBMKGGIKCSYBKP-UHFFFAOYSA-N 0 0 289.360 2.965 20 5 CFBDRN COCCC(=O)COc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000795261908 629753551 /nfs/dbraw/zinc/75/35/51/629753551.db2.gz NYRGGCKEBNDUQU-UHFFFAOYSA-N 0 0 287.699 2.541 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000835468097 629754962 /nfs/dbraw/zinc/75/49/62/629754962.db2.gz YNPQMODSRIOIAK-BNOWGMLFSA-N 0 0 277.320 2.779 20 5 CFBDRN CC(C)CC(CC(C)C)C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000835467705 629756046 /nfs/dbraw/zinc/75/60/46/629756046.db2.gz SMCKYRSMBJDDAU-LLVKDONJSA-N 0 0 259.346 2.903 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC(F)(F)CC2)n1 ZINC000795274432 629756420 /nfs/dbraw/zinc/75/64/20/629756420.db2.gz PQECJDVUFVXPIF-UHFFFAOYSA-N 0 0 257.240 2.534 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2CCC[C@@H](O)C2)cc1[N+](=O)[O-] ZINC000795309649 629759335 /nfs/dbraw/zinc/75/93/35/629759335.db2.gz SESJOTFAYYEPMV-NXEZZACHSA-N 0 0 299.348 2.673 20 5 CFBDRN C[C@@H](O)CCCOC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000795341305 629763493 /nfs/dbraw/zinc/76/34/93/629763493.db2.gz DHXWVZIHTGBPKR-MRVPVSSYSA-N 0 0 287.699 2.566 20 5 CFBDRN CO[C@H](C(=O)OCc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000835481455 629769226 /nfs/dbraw/zinc/76/92/26/629769226.db2.gz WORYOZLZPCNMHA-ZDUSSCGKSA-N 0 0 281.308 2.617 20 5 CFBDRN Cc1ccc(COC(=O)C[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000835483758 629775553 /nfs/dbraw/zinc/77/55/53/629775553.db2.gz OWAXEFYPKLQVIJ-GFCCVEGCSA-N 0 0 279.292 2.516 20 5 CFBDRN Cc1cc(C(=O)OCc2cncc(F)c2)cc([N+](=O)[O-])c1 ZINC000795468212 629783925 /nfs/dbraw/zinc/78/39/25/629783925.db2.gz NEGJDBLTFZLLMG-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN COC(C)(C)COC(=O)c1cccc(SC)c1[N+](=O)[O-] ZINC000835500201 629794641 /nfs/dbraw/zinc/79/46/41/629794641.db2.gz FHDHLFYXCIZNKG-UHFFFAOYSA-N 0 0 299.348 2.899 20 5 CFBDRN CC1(C(=O)COC(=O)c2cccc(Cl)c2[N+](=O)[O-])CC1 ZINC000795537983 629796281 /nfs/dbraw/zinc/79/62/81/629796281.db2.gz ODFINYPYFAMZIB-UHFFFAOYSA-N 0 0 297.694 2.774 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])Cn1cc(Cl)cn1 ZINC000795644851 629815770 /nfs/dbraw/zinc/81/57/70/629815770.db2.gz PODHTWDPSLSURL-UHFFFAOYSA-N 0 0 294.742 2.577 20 5 CFBDRN CCC[C@@H](COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)OC ZINC000835537965 629818748 /nfs/dbraw/zinc/81/87/48/629818748.db2.gz NWALCCAKNQRTAC-RNVIBTMRSA-N 0 0 293.319 2.966 20 5 CFBDRN CCC[C@@H](COC(=O)c1ccccc1[N+](=O)[O-])OC ZINC000835538896 629821883 /nfs/dbraw/zinc/82/18/83/629821883.db2.gz UKOPYOINRBIMFQ-JTQLQIEISA-N 0 0 267.281 2.567 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)CC ZINC000795713216 629824946 /nfs/dbraw/zinc/82/49/46/629824946.db2.gz WGCGJUFTWGQLOY-VIFPVBQESA-N 0 0 267.281 2.949 20 5 CFBDRN CCC[C@@H](COC(=O)c1cccc(C)c1[N+](=O)[O-])OC ZINC000835540866 629826606 /nfs/dbraw/zinc/82/66/06/629826606.db2.gz MCCHUQBAURLYPN-NSHDSACASA-N 0 0 281.308 2.875 20 5 CFBDRN CCC[C@H](COC(=O)c1ccc([N+](=O)[O-])cc1OC)OC ZINC000835546515 629833414 /nfs/dbraw/zinc/83/34/14/629833414.db2.gz UFWRKEZYERUTIN-LLVKDONJSA-N 0 0 297.307 2.575 20 5 CFBDRN CC(C)(C(=O)OCc1csc([N+](=O)[O-])c1)C(F)F ZINC000795857571 629842383 /nfs/dbraw/zinc/84/23/83/629842383.db2.gz VNERMPSYSRFXFK-UHFFFAOYSA-N 0 0 279.264 2.991 20 5 CFBDRN O=C(C[C@H]1CCCOC1)OCc1cc(F)ccc1[N+](=O)[O-] ZINC000795909770 629848772 /nfs/dbraw/zinc/84/87/72/629848772.db2.gz CPHNKOSDHVGRHD-SNVBAGLBSA-N 0 0 297.282 2.594 20 5 CFBDRN CC(F)(F)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000808466008 629855354 /nfs/dbraw/zinc/85/53/54/629855354.db2.gz OKCNEZNFDUTSKX-UHFFFAOYSA-N 0 0 292.669 2.562 20 5 CFBDRN CC[C@@H](OC(=O)c1cc([N+](=O)[O-])cn1C)[C@@H](C)CC ZINC000835588146 629859016 /nfs/dbraw/zinc/85/90/16/629859016.db2.gz KCGDTFYMFJYSIT-JOYOIKCWSA-N 0 0 268.313 2.915 20 5 CFBDRN CC[C@H](C)[C@H](OC)C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000795991070 629858965 /nfs/dbraw/zinc/85/89/65/629858965.db2.gz OQVGSZJKGUOMMD-GWCFXTLKSA-N 0 0 281.308 2.699 20 5 CFBDRN O=C(CCC(F)F)OCc1ccc([N+](=O)[O-])cc1F ZINC000796020265 629864444 /nfs/dbraw/zinc/86/44/44/629864444.db2.gz LCZRNDNHYZGPBO-UHFFFAOYSA-N 0 0 277.198 2.822 20 5 CFBDRN O=C(C[C@H]1CCCC[C@@H]1O)OCc1ccccc1[N+](=O)[O-] ZINC000796136800 629881623 /nfs/dbraw/zinc/88/16/23/629881623.db2.gz ICGBZCFCCQQOOE-RISCZKNCSA-N 0 0 293.319 2.579 20 5 CFBDRN CN(Cc1cc(Cl)ccc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000796202735 629889373 /nfs/dbraw/zinc/88/93/73/629889373.db2.gz LEEZOHSQHFNXDU-GFCCVEGCSA-N 0 0 284.743 2.859 20 5 CFBDRN COc1c(C(=O)OCC2CCC2)cccc1[N+](=O)[O-] ZINC000796313164 629902673 /nfs/dbraw/zinc/90/26/73/629902673.db2.gz UINGUJJOJPOJHB-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CC[C@@](C)(OC)C(=O)OCc1ccc([N+](=O)[O-])cc1F ZINC000796401468 629914025 /nfs/dbraw/zinc/91/40/25/629914025.db2.gz RVTGSVXJZGRSCN-CYBMUJFWSA-N 0 0 285.271 2.592 20 5 CFBDRN CS[C@H](C)CCOC(=O)c1cccc([N+](=O)[O-])c1 ZINC000835664083 629927183 /nfs/dbraw/zinc/92/71/83/629927183.db2.gz UVJSIVRFIYPWPA-SECBINFHSA-N 0 0 269.322 2.893 20 5 CFBDRN CSC[C@H](C)COC(=O)COc1ccccc1[N+](=O)[O-] ZINC000835668031 629930314 /nfs/dbraw/zinc/93/03/14/629930314.db2.gz OAXXHNWJONYTRC-SNVBAGLBSA-N 0 0 299.348 2.516 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)Oc1cc([N+](=O)[O-])ccc1OC ZINC000796535121 629931134 /nfs/dbraw/zinc/93/11/34/629931134.db2.gz HZXGHEJPZRKCHE-NOZJJQNGSA-N 0 0 297.307 2.570 20 5 CFBDRN COc1c(C(=O)OCC[C@H](C)SC)cccc1[N+](=O)[O-] ZINC000835670484 629934517 /nfs/dbraw/zinc/93/45/17/629934517.db2.gz OQFLSCYDORRWBG-VIFPVBQESA-N 0 0 299.348 2.902 20 5 CFBDRN CS[C@@H](C)COC(=O)c1ccccc1[N+](=O)[O-] ZINC000835676372 629940553 /nfs/dbraw/zinc/94/05/53/629940553.db2.gz JDVORVKIWDYDQI-QMMMGPOBSA-N 0 0 255.295 2.503 20 5 CFBDRN CS[C@H](C)COC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000835679048 629945270 /nfs/dbraw/zinc/94/52/70/629945270.db2.gz VRDWLFVORYKFRV-SECBINFHSA-N 0 0 269.322 2.812 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000796775477 629963854 /nfs/dbraw/zinc/96/38/54/629963854.db2.gz CTCXYFLFVUHDAT-QPUJVOFHSA-N 0 0 285.271 2.700 20 5 CFBDRN C[C@@H](CC(C)(C)C)OC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000835702870 629966799 /nfs/dbraw/zinc/96/67/99/629966799.db2.gz GSZGWTRIBLAMJV-JTQLQIEISA-N 0 0 297.355 2.894 20 5 CFBDRN COc1c(C(=O)OCCc2ccoc2)cccc1[N+](=O)[O-] ZINC000796860841 629981435 /nfs/dbraw/zinc/98/14/35/629981435.db2.gz WLARHVJBYFKQSZ-UHFFFAOYSA-N 0 0 291.259 2.596 20 5 CFBDRN COc1cc(C(=O)OC2CC3(CC3)C2)c([N+](=O)[O-])cc1F ZINC000835724309 629986278 /nfs/dbraw/zinc/98/62/78/629986278.db2.gz LEFOBAGYVSSDTH-UHFFFAOYSA-N 0 0 295.266 2.842 20 5 CFBDRN Cc1c(CC(=O)OC2CC3(CC3)C2)cccc1[N+](=O)[O-] ZINC000835726138 629991155 /nfs/dbraw/zinc/99/11/55/629991155.db2.gz CXZPHEWGNJCAPG-UHFFFAOYSA-N 0 0 275.304 2.932 20 5 CFBDRN CC(C)(C(=O)OC1CCC1)c1ccccc1[N+](=O)[O-] ZINC000796941721 629993094 /nfs/dbraw/zinc/99/30/94/629993094.db2.gz FBAMZJUXRAFYPS-UHFFFAOYSA-N 0 0 263.293 2.968 20 5 CFBDRN COC[C@@H](C)COC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000797090199 630015653 /nfs/dbraw/zinc/01/56/53/630015653.db2.gz CPNYRGSUAUAETA-LLVKDONJSA-N 0 0 295.335 2.698 20 5 CFBDRN COC[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1C)C(C)C ZINC000797112553 630020263 /nfs/dbraw/zinc/02/02/63/630020263.db2.gz QGPWKNSDZRNPEP-AWEZNQCLSA-N 0 0 295.335 2.660 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)[C@@H](C)SC)c1 ZINC000797200107 630036786 /nfs/dbraw/zinc/03/67/86/630036786.db2.gz XFEUZGRKPOPZCD-RKDXNWHRSA-N 0 0 299.348 2.900 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)[C@@H](C)SC)c1 ZINC000797200101 630037013 /nfs/dbraw/zinc/03/70/13/630037013.db2.gz XFEUZGRKPOPZCD-DTWKUNHWSA-N 0 0 299.348 2.900 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)[C@H](C)SC ZINC000797209735 630039013 /nfs/dbraw/zinc/03/90/13/630039013.db2.gz XGOMVVMXVKSRIY-BDAKNGLRSA-N 0 0 299.348 2.900 20 5 CFBDRN C[C@@H]1COCC[C@@H]1OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000835782000 630039198 /nfs/dbraw/zinc/03/91/98/630039198.db2.gz RMVQMGULKHKIAJ-PELKAZGASA-N 0 0 299.710 2.830 20 5 CFBDRN CC(C)CN(C)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000797442339 630066951 /nfs/dbraw/zinc/06/69/51/630066951.db2.gz XPYLTFJOTFIROO-UHFFFAOYSA-N 0 0 279.340 2.734 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1COC(=O)[C@H](C)C1CC1 ZINC000797475005 630071586 /nfs/dbraw/zinc/07/15/86/630071586.db2.gz UECCFSMZBOLVHM-SECBINFHSA-N 0 0 279.292 2.693 20 5 CFBDRN Cc1cc[nH]c1C(=O)OCc1ccccc1[N+](=O)[O-] ZINC000797906571 630121725 /nfs/dbraw/zinc/12/17/25/630121725.db2.gz GPWLILHTJZHUMR-UHFFFAOYSA-N 0 0 260.249 2.588 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000835908940 630146414 /nfs/dbraw/zinc/14/64/14/630146414.db2.gz FHJYDUWKSWLDEW-UHFFFAOYSA-N 0 0 293.319 2.604 20 5 CFBDRN CC(=O)C(C)(C)COC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000835914735 630155812 /nfs/dbraw/zinc/15/58/12/630155812.db2.gz FZSCEDNHKKSMLQ-UHFFFAOYSA-N 0 0 279.292 2.675 20 5 CFBDRN CC1CN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000798201217 630165306 /nfs/dbraw/zinc/16/53/06/630165306.db2.gz OPQJTKDJEGSMRH-UHFFFAOYSA-N 0 0 269.688 2.732 20 5 CFBDRN CN(C(=O)c1ccccc1[N+](=O)[O-])c1ccc(Cl)nc1 ZINC000798238219 630170448 /nfs/dbraw/zinc/17/04/48/630170448.db2.gz YAVKXFFWFLYIEZ-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN Cc1cc([C@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2)no1 ZINC000798239447 630171743 /nfs/dbraw/zinc/17/17/43/630171743.db2.gz ARQJWAVVIGORQC-VIFPVBQESA-N 0 0 276.248 2.809 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccc(F)cn1 ZINC000798243377 630172830 /nfs/dbraw/zinc/17/28/30/630172830.db2.gz MPSWEDOFKIPKBG-UHFFFAOYSA-N 0 0 289.266 2.619 20 5 CFBDRN CC[C@](C)(COC(=O)c1cc(F)cc([N+](=O)[O-])c1)OC ZINC000835963027 630185472 /nfs/dbraw/zinc/18/54/72/630185472.db2.gz WTPHMGUZXFWDLG-CYBMUJFWSA-N 0 0 285.271 2.706 20 5 CFBDRN Cc1ccncc1N1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000798413342 630188517 /nfs/dbraw/zinc/18/85/17/630188517.db2.gz UIRLWKAXLOZOOH-UHFFFAOYSA-N 0 0 298.346 2.625 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](c3ccccn3)C2)c1 ZINC000798414064 630188554 /nfs/dbraw/zinc/18/85/54/630188554.db2.gz DDPHVLVTXLGHFX-LBPRGKRZSA-N 0 0 299.330 2.992 20 5 CFBDRN Cc1cc(N2CC[C@H](c3ccccn3)C2)ncc1[N+](=O)[O-] ZINC000798415416 630189293 /nfs/dbraw/zinc/18/92/93/630189293.db2.gz IALBZRQLPGRFOH-LBPRGKRZSA-N 0 0 284.319 2.687 20 5 CFBDRN C/C=C/C(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000798542073 630203541 /nfs/dbraw/zinc/20/35/41/630203541.db2.gz FZIAWUVHWWWXTJ-HWKANZROSA-N 0 0 250.254 2.508 20 5 CFBDRN C[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(=O)C1CC1 ZINC000798566888 630207367 /nfs/dbraw/zinc/20/73/67/630207367.db2.gz GBXSSJLDARCKNM-FWAPLPHYSA-N 0 0 289.287 2.519 20 5 CFBDRN C[C@@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(=O)C1CC1 ZINC000798566890 630207584 /nfs/dbraw/zinc/20/75/84/630207584.db2.gz GBXSSJLDARCKNM-WXLQGSQKSA-N 0 0 289.287 2.519 20 5 CFBDRN CC(C)C[C@H](C)COC(=O)c1ccc([N+](=O)[O-])n1C ZINC000798585696 630210298 /nfs/dbraw/zinc/21/02/98/630210298.db2.gz AUUQXEQZTYRHAP-JTQLQIEISA-N 0 0 268.313 2.772 20 5 CFBDRN Cc1c(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])ccn1C ZINC000798725157 630235595 /nfs/dbraw/zinc/23/55/95/630235595.db2.gz MCDKMEPYSLHPEB-UHFFFAOYSA-N 0 0 292.266 2.738 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(C(=O)OCCC2CCCCCC2)n1 ZINC000798875804 630251631 /nfs/dbraw/zinc/25/16/31/630251631.db2.gz YYSUCJFJWSZJGB-UHFFFAOYSA-N 0 0 295.339 2.846 20 5 CFBDRN CCC(CC)COC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000798892372 630256142 /nfs/dbraw/zinc/25/61/42/630256142.db2.gz DEEZQLWRRBTPTH-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN Cc1cc(C(=O)N2CC(C)(C)C[C@H]2C)c(N)c([N+](=O)[O-])c1 ZINC000839878143 630292260 /nfs/dbraw/zinc/29/22/60/630292260.db2.gz ZGBSLEOCTYEHSY-SNVBAGLBSA-N 0 0 291.351 2.746 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H](O)c2ccc(F)cn2)c1 ZINC000799616187 630324301 /nfs/dbraw/zinc/32/43/01/630324301.db2.gz CPVBIXAVAQZLGY-CYBMUJFWSA-N 0 0 292.266 2.550 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)COc1ccsc1 ZINC000799900153 630353105 /nfs/dbraw/zinc/35/31/05/630353105.db2.gz GZGPBTYMVXOUQF-UHFFFAOYSA-N 0 0 293.300 2.949 20 5 CFBDRN Cc1ncc2c(n1)CCC[C@@H]2Nc1ncc([N+](=O)[O-])s1 ZINC000799937929 630355298 /nfs/dbraw/zinc/35/52/98/630355298.db2.gz LAETYECRPKNHDB-JTQLQIEISA-N 0 0 291.336 2.639 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H]2C(F)(F)F)c([N+](=O)[O-])c1 ZINC000799961768 630356912 /nfs/dbraw/zinc/35/69/12/630356912.db2.gz ZTPQFRXUEIECDF-HTRCEHHLSA-N 0 0 291.185 2.866 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2F)O1 ZINC000800300395 630368756 /nfs/dbraw/zinc/36/87/56/630368756.db2.gz PVSJIVULYWCPGY-RWEMILLDSA-N 0 0 297.282 2.591 20 5 CFBDRN C[C@@H]1C[C@H](C)[C@@H](C(=O)OCc2csc([N+](=O)[O-])c2)O1 ZINC000800303850 630369321 /nfs/dbraw/zinc/36/93/21/630369321.db2.gz CYJLNYZLRCITPM-RNSXUZJQSA-N 0 0 285.321 2.513 20 5 CFBDRN CO[C@@H]1CC[C@@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000800460826 630387943 /nfs/dbraw/zinc/38/79/43/630387943.db2.gz IOKNMZZSFXXGJZ-NXEZZACHSA-N 0 0 299.710 2.973 20 5 CFBDRN O=C1OCC[C@H]1CCSc1cccc([N+](=O)[O-])c1 ZINC000800838825 630410182 /nfs/dbraw/zinc/41/01/82/630410182.db2.gz MZRWNMLPOLKUOQ-VIFPVBQESA-N 0 0 267.306 2.640 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC[C@H]2c2ccccn2)c1 ZINC000800847924 630411023 /nfs/dbraw/zinc/41/10/23/630411023.db2.gz OVRZJXSISIGPLN-ZDUSSCGKSA-N 0 0 284.319 2.914 20 5 CFBDRN C[C@@H]1CN(c2cc(N)ccc2[N+](=O)[O-])C[C@@H](C)S1 ZINC000800856667 630411679 /nfs/dbraw/zinc/41/16/79/630411679.db2.gz RCDVYYPTRRQOFE-RKDXNWHRSA-N 0 0 267.354 2.507 20 5 CFBDRN CCn1ccc(CN(C)c2cc(N)ccc2[N+](=O)[O-])c1 ZINC000800869474 630413200 /nfs/dbraw/zinc/41/32/00/630413200.db2.gz RMTBCUVVDPVUPZ-UHFFFAOYSA-N 0 0 274.324 2.635 20 5 CFBDRN Cc1c(NC(=O)[C@H]2C[C@@H]2C(F)F)c(F)ccc1[N+](=O)[O-] ZINC000800974012 630419461 /nfs/dbraw/zinc/41/94/61/630419461.db2.gz DIFONPFWWUIFPA-BQBZGAKWSA-N 0 0 288.225 2.882 20 5 CFBDRN Cc1sc(C(=O)Nc2nccn2C2CC2)cc1[N+](=O)[O-] ZINC000839932276 630432355 /nfs/dbraw/zinc/43/23/55/630432355.db2.gz GFRZRJASADEDSP-UHFFFAOYSA-N 0 0 292.320 2.748 20 5 CFBDRN O=C(OCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1C(F)F ZINC000801479807 630449982 /nfs/dbraw/zinc/44/99/82/630449982.db2.gz ODUWXANDLTYRCO-QWRGUYRKSA-N 0 0 285.246 2.582 20 5 CFBDRN CCN(CCC1CC1)C(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000801949924 630494623 /nfs/dbraw/zinc/49/46/23/630494623.db2.gz QUFWNCVRFKMLHP-UHFFFAOYSA-N 0 0 295.314 2.578 20 5 CFBDRN CC(C)(C)c1nc(COC(=O)c2ccc([N+](=O)[O-])o2)co1 ZINC000802278640 630522384 /nfs/dbraw/zinc/52/23/84/630522384.db2.gz IPPCHZFWINRLFV-UHFFFAOYSA-N 0 0 294.263 2.830 20 5 CFBDRN CCO[C@H](C)C(=O)O[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000103675947 630525812 /nfs/dbraw/zinc/52/58/12/630525812.db2.gz MNIULBWNKHNYJZ-NXEZZACHSA-N 0 0 267.281 2.624 20 5 CFBDRN CCOC1CC(COC(=O)c2cc([N+](=O)[O-])ccc2C)C1 ZINC000802947040 630569719 /nfs/dbraw/zinc/56/97/19/630569719.db2.gz LTXZVEZXKYZQPD-UHFFFAOYSA-N 0 0 293.319 2.875 20 5 CFBDRN CCOC1CC(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 ZINC000802946600 630569791 /nfs/dbraw/zinc/56/97/91/630569791.db2.gz WDGQJQNPMKAMLQ-UHFFFAOYSA-N 0 0 293.319 2.875 20 5 CFBDRN O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)c1ccco1 ZINC000803226906 630591643 /nfs/dbraw/zinc/59/16/43/630591643.db2.gz CFLDPADBUILUCW-UHFFFAOYSA-N 0 0 283.186 2.823 20 5 CFBDRN O=C(OC[C@H]1Cc2ccccc21)c1ccc([N+](=O)[O-])o1 ZINC000803225340 630591739 /nfs/dbraw/zinc/59/17/39/630591739.db2.gz ALEFMBSZORCUHJ-SNVBAGLBSA-N 0 0 273.244 2.685 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)OC[C@@H]1Cc2ccccc21 ZINC000803263150 630596264 /nfs/dbraw/zinc/59/62/64/630596264.db2.gz GACPDPYPSPVYLA-LBPRGKRZSA-N 0 0 298.298 2.795 20 5 CFBDRN Cc1cc(C(=O)OCC2CCC(=O)CC2)cc([N+](=O)[O-])c1 ZINC000803320401 630601804 /nfs/dbraw/zinc/60/18/04/630601804.db2.gz UESBGEGZRCQQFH-UHFFFAOYSA-N 0 0 291.303 2.819 20 5 CFBDRN CC(C)[C@H](F)C(=O)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000803408681 630613905 /nfs/dbraw/zinc/61/39/05/630613905.db2.gz KZWMJTZEOHATOK-ZDUSSCGKSA-N 0 0 282.315 2.590 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C)C(=O)C2CC2)c1F ZINC000803461286 630620283 /nfs/dbraw/zinc/62/02/83/630620283.db2.gz RXQCYDHOJQDUKT-QMMMGPOBSA-N 0 0 295.266 2.567 20 5 CFBDRN CCC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000803461781 630620559 /nfs/dbraw/zinc/62/05/59/630620559.db2.gz GJNGKPXLOUHDLH-QMMMGPOBSA-N 0 0 283.255 2.567 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC[C@@H]1C ZINC000803634371 630632298 /nfs/dbraw/zinc/63/22/98/630632298.db2.gz COSMNMWIEOMAEP-HFXGUMCQSA-N 0 0 274.320 2.913 20 5 CFBDRN O=C(COC(F)F)OCc1cc(Cl)ccc1[N+](=O)[O-] ZINC000803732408 630636985 /nfs/dbraw/zinc/63/69/85/630636985.db2.gz LVWIQVOWIRBWTG-UHFFFAOYSA-N 0 0 295.625 2.531 20 5 CFBDRN CCc1nc(C(=O)OCc2ccccc2[N+](=O)[O-])c(C)o1 ZINC000803916973 630654095 /nfs/dbraw/zinc/65/40/95/630654095.db2.gz VOMUCAVVHHLYDB-UHFFFAOYSA-N 0 0 290.275 2.811 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1Nc1cccc(CO)c1 ZINC000804327672 630672799 /nfs/dbraw/zinc/67/27/99/630672799.db2.gz UJZIPVDHGOVVME-UHFFFAOYSA-N 0 0 262.240 2.970 20 5 CFBDRN CC[C@H](C)[C@@](C)(O)CNc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804451631 630682320 /nfs/dbraw/zinc/68/23/20/630682320.db2.gz QECPQDRYVLBRPZ-ZANVPECISA-N 0 0 297.311 2.712 20 5 CFBDRN CN(Cc1ncc[nH]1)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000804460486 630684156 /nfs/dbraw/zinc/68/41/56/630684156.db2.gz KOWFZBGIZCGEFQ-UHFFFAOYSA-N 0 0 266.688 2.608 20 5 CFBDRN C[C@@](O)(CNc1ccc(N)cc1[N+](=O)[O-])c1cccs1 ZINC000804490810 630687371 /nfs/dbraw/zinc/68/73/71/630687371.db2.gz KHORRWWURVNKMW-CYBMUJFWSA-N 0 0 293.348 2.558 20 5 CFBDRN Cc1cc(F)cc(C(=O)N[C@@H]2CSC[C@H]2C)c1[N+](=O)[O-] ZINC000804499666 630688328 /nfs/dbraw/zinc/68/83/28/630688328.db2.gz GKEYLHDDFDOJRN-LDYMZIIASA-N 0 0 298.339 2.524 20 5 CFBDRN C[C@@H]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])[C@@H]1C ZINC000804533191 630690219 /nfs/dbraw/zinc/69/02/19/630690219.db2.gz YPZJMAIITXSKQB-RKDXNWHRSA-N 0 0 265.269 2.738 20 5 CFBDRN O=[N+]([O-])c1cccc([N+](=O)[O-])c1N[C@@H]1CCCSC1 ZINC000804533544 630690443 /nfs/dbraw/zinc/69/04/43/630690443.db2.gz CMCDMOUOQWKQAC-MRVPVSSYSA-N 0 0 283.309 2.811 20 5 CFBDRN CC(=O)c1cc(NC2CCC(C)(O)CC2)ccc1[N+](=O)[O-] ZINC000804535414 630690836 /nfs/dbraw/zinc/69/08/36/630690836.db2.gz KFOCTHWHZJNTIE-UHFFFAOYSA-N 0 0 292.335 2.903 20 5 CFBDRN C[C@H](C[C@@H]1CCOC1)Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804548727 630692308 /nfs/dbraw/zinc/69/23/08/630692308.db2.gz NDTHEEWZCLDIMN-ZJUUUORDSA-N 0 0 295.295 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CC(C(F)F)C1 ZINC000804557248 630692785 /nfs/dbraw/zinc/69/27/85/630692785.db2.gz NAMRIYVBHXLGCA-UHFFFAOYSA-N 0 0 262.643 2.950 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC1(CCO)CCC1 ZINC000804560052 630693189 /nfs/dbraw/zinc/69/31/89/630693189.db2.gz QMFRLHLEIVMQPS-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN CN(CCOC(C)(C)C)c1c([N+](=O)[O-])cccc1[N+](=O)[O-] ZINC000804560187 630693292 /nfs/dbraw/zinc/69/32/92/630693292.db2.gz VNQLNEJIRGESJA-UHFFFAOYSA-N 0 0 297.311 2.754 20 5 CFBDRN CC(C)(C)SCCOC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000112764249 635419561 /nfs/dbraw/zinc/41/95/61/635419561.db2.gz SSRULYWGXZBURD-UHFFFAOYSA-N 0 0 298.364 2.866 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCc1cc(C)ncn1 ZINC000804579547 630758374 /nfs/dbraw/zinc/75/83/74/630758374.db2.gz QSFDGZFVRYSJEH-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN CC[C@]1(O)CCN(c2cc(Cl)ccc2[N+](=O)[O-])C1 ZINC000804626618 630767087 /nfs/dbraw/zinc/76/70/87/630767087.db2.gz YTJKYWIXPCGTTD-LBPRGKRZSA-N 0 0 270.716 2.599 20 5 CFBDRN O=[N+]([O-])c1cn(C[C@H](O)c2cccc(F)c2)nc1C1CC1 ZINC000806630647 630824748 /nfs/dbraw/zinc/82/47/48/630824748.db2.gz HSIVUPMPFMHMSP-ZDUSSCGKSA-N 0 0 291.282 2.541 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C(=O)NCC(F)F)cc1Cl ZINC000836735917 630874013 /nfs/dbraw/zinc/87/40/13/630874013.db2.gz SUCYRBGPNVOJKS-UHFFFAOYSA-N 0 0 278.642 2.552 20 5 CFBDRN CC(C)CCOC(=O)COc1ccccc1[N+](=O)[O-] ZINC000807475437 630889178 /nfs/dbraw/zinc/88/91/78/630889178.db2.gz CVNKAKXHUSJQHL-UHFFFAOYSA-N 0 0 267.281 2.563 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)OC/C=C/Cl ZINC000807616851 630900327 /nfs/dbraw/zinc/90/03/27/630900327.db2.gz YJPOGYULUMXZIK-GORDUTHDSA-N 0 0 271.656 2.513 20 5 CFBDRN CSCCOC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000807628092 630900752 /nfs/dbraw/zinc/90/07/52/630900752.db2.gz QGGZHNLKTOOFND-UHFFFAOYSA-N 0 0 280.305 2.596 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NC(=O)C(F)F ZINC000836853831 630900949 /nfs/dbraw/zinc/90/09/49/630900949.db2.gz DIKMWCWSBLDFIF-UHFFFAOYSA-N 0 0 273.239 2.619 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H]1CCCOC1 ZINC000807936482 630923629 /nfs/dbraw/zinc/92/36/29/630923629.db2.gz ZLMGREKLMLYPIB-NSHDSACASA-N 0 0 278.308 2.636 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1CCO[C@H](C)C1 ZINC000808011393 630942007 /nfs/dbraw/zinc/94/20/07/630942007.db2.gz NDQLMCQDJKQJQI-MWLCHTKSSA-N 0 0 278.308 2.777 20 5 CFBDRN COC(=O)CC1(COc2ccc(Cl)c([N+](=O)[O-])c2)CC1 ZINC000808056319 630945310 /nfs/dbraw/zinc/94/53/10/630945310.db2.gz NROQDBKPBIKERC-UHFFFAOYSA-N 0 0 299.710 2.970 20 5 CFBDRN Cc1nccc(COc2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000808057329 630945835 /nfs/dbraw/zinc/94/58/35/630945835.db2.gz WLVASTQWWPIUHT-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NC[C@@H]1CCSC1 ZINC000808054198 630945842 /nfs/dbraw/zinc/94/58/42/630945842.db2.gz JSZNZJVCZDGQSG-JTQLQIEISA-N 0 0 280.349 2.962 20 5 CFBDRN CCOC1CC(N(C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000808087171 630949105 /nfs/dbraw/zinc/94/91/05/630949105.db2.gz OEZDESRCGBCNJP-UHFFFAOYSA-N 0 0 250.298 2.599 20 5 CFBDRN Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CC1CSC1)CC2 ZINC000867210051 630950135 /nfs/dbraw/zinc/95/01/35/630950135.db2.gz HDIPHVDZBVZLGP-UHFFFAOYSA-N 0 0 292.360 2.545 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC000837230423 630983005 /nfs/dbraw/zinc/98/30/05/630983005.db2.gz MRKUWQYSCUAASQ-QMMMGPOBSA-N 0 0 297.330 2.871 20 5 CFBDRN CCC1CN(C(=O)/C=C(\C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000837259014 630988344 /nfs/dbraw/zinc/98/83/44/630988344.db2.gz QCQMXVBDZSBELT-DHZHZOJOSA-N 0 0 274.320 2.867 20 5 CFBDRN O=[N+]([O-])c1cnn(CN2CC3(CCC3)[C@H]2c2ccco2)c1 ZINC000840140056 630999981 /nfs/dbraw/zinc/99/99/81/630999981.db2.gz PPGNALGVWYCEHF-CYBMUJFWSA-N 0 0 288.307 2.569 20 5 CFBDRN O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)OCC1CCC1 ZINC000808563559 631014393 /nfs/dbraw/zinc/01/43/93/631014393.db2.gz QJRSALYDOYYWEI-UHFFFAOYSA-N 0 0 285.246 2.759 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1OC(=O)c1cccnc1F ZINC000808645275 631022110 /nfs/dbraw/zinc/02/21/10/631022110.db2.gz ZJTIYNLOZOCODI-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN C[C@@H](COC(=O)CCC(C)(C)[N+](=O)[O-])C(F)(F)F ZINC000837925855 631151704 /nfs/dbraw/zinc/15/17/04/631151704.db2.gz XTCXZIQDQYJXNA-ZETCQYMHSA-N 0 0 271.235 2.564 20 5 CFBDRN CC(C)(C)OCCCOC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000837923772 631151742 /nfs/dbraw/zinc/15/17/42/631151742.db2.gz CPQMWVKMFKQMTO-UHFFFAOYSA-N 0 0 275.345 2.570 20 5 CFBDRN CC[C@@H]1C[C@H]1C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000837979501 631157330 /nfs/dbraw/zinc/15/73/30/631157330.db2.gz NVDOAKNLDIBNNM-ZYHUDNBSSA-N 0 0 263.293 2.993 20 5 CFBDRN O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])[C@H]1CC1(F)F ZINC000837982581 631157932 /nfs/dbraw/zinc/15/79/32/631157932.db2.gz WYGKFIFWLAQADR-MRVPVSSYSA-N 0 0 291.637 2.947 20 5 CFBDRN CCO/C=C\C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000840587454 631167254 /nfs/dbraw/zinc/16/72/54/631167254.db2.gz JNHRWSZNGHIZNY-QROTZFDESA-N 0 0 265.265 2.749 20 5 CFBDRN CCSCCOC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000840656624 631173532 /nfs/dbraw/zinc/17/35/32/631173532.db2.gz WRBVLQWZRUAPRJ-QWHCGFSZSA-N 0 0 295.360 2.995 20 5 CFBDRN O=C(OCc1ccc2ccccc2c1)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000840671223 631174168 /nfs/dbraw/zinc/17/41/68/631174168.db2.gz QGXJYWRVLXZXTP-ZIAGYGMSSA-N 0 0 271.272 2.548 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1N1CCN(C2CC2)CC1 ZINC000809351349 631218091 /nfs/dbraw/zinc/21/80/91/631218091.db2.gz UNXVPNDWFOVCDT-UHFFFAOYSA-N 0 0 297.305 2.817 20 5 CFBDRN CO[C@@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 ZINC000809916119 631300311 /nfs/dbraw/zinc/30/03/11/631300311.db2.gz WVHATXBAEXJSAO-MRVPVSSYSA-N 0 0 299.714 2.501 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000841014916 631306808 /nfs/dbraw/zinc/30/68/08/631306808.db2.gz APGVPKGBHJSSCE-ZDUSSCGKSA-N 0 0 281.308 2.870 20 5 CFBDRN CN(C(=O)C1SCCCS1)c1ccc([N+](=O)[O-])cc1 ZINC000841020311 631307872 /nfs/dbraw/zinc/30/78/72/631307872.db2.gz WHZZVKQHPIJVHF-UHFFFAOYSA-N 0 0 298.389 2.754 20 5 CFBDRN CC(C)(C)CN(C(=O)[C@@H]1CC1[N+](=O)[O-])c1ccccc1 ZINC000841187457 631354996 /nfs/dbraw/zinc/35/49/96/631354996.db2.gz UNWOZMWLPTZKMJ-CHWSQXEVSA-N 0 0 276.336 2.731 20 5 CFBDRN CSc1ccccc1[C@H](C)OC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000841220222 631361712 /nfs/dbraw/zinc/36/17/12/631361712.db2.gz KRROJTOHSZUXHD-JMJZKYOTSA-N 0 0 281.333 2.678 20 5 CFBDRN CC(=O)c1ccc(OC(=O)OCCCF)c([N+](=O)[O-])c1 ZINC000841507662 631418768 /nfs/dbraw/zinc/41/87/68/631418768.db2.gz QJFBCSGVLXWTAO-UHFFFAOYSA-N 0 0 285.227 2.672 20 5 CFBDRN COC[C@H](C)OC(=O)Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000867275143 631474382 /nfs/dbraw/zinc/47/43/82/631474382.db2.gz OVAUOKPQVUXAFB-ZETCQYMHSA-N 0 0 289.671 2.799 20 5 CFBDRN CO[C@H](COc1ccc(N)c([N+](=O)[O-])c1)CC(C)C ZINC000842027116 631505058 /nfs/dbraw/zinc/50/50/58/631505058.db2.gz XTRLASJXCCNBIO-NSHDSACASA-N 0 0 268.313 2.617 20 5 CFBDRN COCC1(COC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCC1 ZINC000811058854 631507896 /nfs/dbraw/zinc/50/78/96/631507896.db2.gz FPMVHYGZFNQPSK-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN Cc1c(C(=O)OCCOCC2CCC2)cccc1[N+](=O)[O-] ZINC000842056270 631516366 /nfs/dbraw/zinc/51/63/66/631516366.db2.gz FFXUTOJEHNQSRZ-UHFFFAOYSA-N 0 0 293.319 2.877 20 5 CFBDRN CO[C@@H](COC(=O)c1ccc([N+](=O)[O-])cc1F)CC(C)C ZINC000842066630 631522611 /nfs/dbraw/zinc/52/26/11/631522611.db2.gz FPCHLGBLKRVOEY-LLVKDONJSA-N 0 0 299.298 2.952 20 5 CFBDRN COC1(COC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000811165880 631526368 /nfs/dbraw/zinc/52/63/68/631526368.db2.gz BMILOMVZSBBSPP-LLVKDONJSA-N 0 0 293.319 2.811 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)OC[C@@H](CC)OC ZINC000842095586 631537462 /nfs/dbraw/zinc/53/74/62/631537462.db2.gz VILLJNWJXAADOU-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN CCC(CC)(CO)C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000842110848 631545083 /nfs/dbraw/zinc/54/50/83/631545083.db2.gz PCQWEGVJMSHVEV-LLVKDONJSA-N 0 0 295.335 2.998 20 5 CFBDRN CC[C@@H](CN1CCc2cc(C)c([N+](=O)[O-])cc21)OC ZINC000842154627 631555178 /nfs/dbraw/zinc/55/51/78/631555178.db2.gz VBEGYQRNPYPELP-LBPRGKRZSA-N 0 0 264.325 2.691 20 5 CFBDRN CCCCOc1ccccc1COC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842294212 631581151 /nfs/dbraw/zinc/58/11/51/631581151.db2.gz FZNQCCIGAMIISC-CHWSQXEVSA-N 0 0 293.319 2.574 20 5 CFBDRN CO[C@@H](CC(C)C)C(=O)OCCc1ccccc1[N+](=O)[O-] ZINC000842320115 631591040 /nfs/dbraw/zinc/59/10/40/631591040.db2.gz FSWACXJEJGKYOJ-AWEZNQCLSA-N 0 0 295.335 2.742 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)C(F)F ZINC000842344187 631599007 /nfs/dbraw/zinc/59/90/07/631599007.db2.gz YYDRQNFAMCRVFA-BKDNQFJXSA-N 0 0 285.246 2.895 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)[C@H]1CC(C)(C)Oc2ccccc21 ZINC000842366990 631608392 /nfs/dbraw/zinc/60/83/92/631608392.db2.gz FWSVQZRVGVSVIE-JQWIXIFHSA-N 0 0 293.319 2.540 20 5 CFBDRN Cc1nn(CN2CCC(C)(C3CC3)CC2)cc1[N+](=O)[O-] ZINC000811785044 631623107 /nfs/dbraw/zinc/62/31/07/631623107.db2.gz TYVCQPOVAMNUPY-UHFFFAOYSA-N 0 0 278.356 2.569 20 5 CFBDRN C[C@H](NC(=O)NOC/C=C\Cl)c1cccc([N+](=O)[O-])c1 ZINC000811865035 631634017 /nfs/dbraw/zinc/63/40/17/631634017.db2.gz SEQQFGJDCUPHDN-RWIWAZIYSA-N 0 0 299.714 2.639 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(Cc2cccc3ccccc32)no1 ZINC000842486544 631641636 /nfs/dbraw/zinc/64/16/36/631641636.db2.gz BOVZJIRHIIANBQ-ZIAGYGMSSA-N 0 0 295.298 2.946 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(Cc2ccc(F)cc2Cl)no1 ZINC000842488034 631642896 /nfs/dbraw/zinc/64/28/96/631642896.db2.gz OAURPQNESOYHDF-PSASIEDQSA-N 0 0 297.673 2.586 20 5 CFBDRN O=[N+]([O-])C1C[C@H]1c1nc(-c2ccc(C(F)(F)F)cc2)no1 ZINC000842487063 631642938 /nfs/dbraw/zinc/64/29/38/631642938.db2.gz IXBVQOWXIGZNEB-RKDXNWHRSA-N 0 0 299.208 2.888 20 5 CFBDRN CCC[C@@H](C)c1noc(-c2n[nH]c(CC)c2[N+](=O)[O-])n1 ZINC000842490347 631643758 /nfs/dbraw/zinc/64/37/58/631643758.db2.gz LNALRAOGDNQZFP-SSDOTTSWSA-N 0 0 279.300 2.834 20 5 CFBDRN Cc1ccc(Cl)cc1-c1noc([C@@H]2CC2[N+](=O)[O-])n1 ZINC000842492929 631644606 /nfs/dbraw/zinc/64/46/06/631644606.db2.gz BBRNEBXWJPRDBO-NXEZZACHSA-N 0 0 279.683 2.831 20 5 CFBDRN Cc1cc(-c2noc([C@@](C)(O)C3CC3)n2)cc([N+](=O)[O-])c1 ZINC000842507385 631649756 /nfs/dbraw/zinc/64/97/56/631649756.db2.gz SFYBDLUBYRJOGN-AWEZNQCLSA-N 0 0 289.291 2.571 20 5 CFBDRN Cc1nn(C)c(C)c1OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000842647970 631690813 /nfs/dbraw/zinc/69/08/13/631690813.db2.gz JCUZRJCIRGAFNG-UHFFFAOYSA-N 0 0 279.271 2.663 20 5 CFBDRN C[C@H](O)CCCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842660414 631695113 /nfs/dbraw/zinc/69/51/13/631695113.db2.gz HSCHIPZRIYZNJW-YFKPBYRVSA-N 0 0 297.204 2.691 20 5 CFBDRN CCOCCCOc1c(F)c(F)c([N+](=O)[O-])c(F)c1F ZINC000842664656 631696543 /nfs/dbraw/zinc/69/65/43/631696543.db2.gz ZKPQAXZJIDPZLS-UHFFFAOYSA-N 0 0 297.204 2.957 20 5 CFBDRN O=C(NOC/C=C/Cl)c1cc([N+](=O)[O-])ccc1Cl ZINC000812407074 631705656 /nfs/dbraw/zinc/70/56/56/631705656.db2.gz JHXVUIZATKAMLT-DAFODLJHSA-N 0 0 291.090 2.662 20 5 CFBDRN Cc1cc(N2CCOCC[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000812462138 631721976 /nfs/dbraw/zinc/72/19/76/631721976.db2.gz OQHZBKAADQSNHF-JTQLQIEISA-N 0 0 268.288 2.658 20 5 CFBDRN COCC1(CC(=O)Oc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000842741613 631724534 /nfs/dbraw/zinc/72/45/34/631724534.db2.gz HTNQWPQTPWEGQZ-UHFFFAOYSA-N 0 0 279.292 2.625 20 5 CFBDRN CCC(=O)c1ccc(N(CC)[C@H]2CCOC2)c([N+](=O)[O-])c1 ZINC000812484716 631724896 /nfs/dbraw/zinc/72/48/96/631724896.db2.gz MQDHRUVNUDCCBQ-LBPRGKRZSA-N 0 0 292.335 2.803 20 5 CFBDRN CC(C)OCCON=c1[nH]c2ccccc2cc1[N+](=O)[O-] ZINC000812489208 631725986 /nfs/dbraw/zinc/72/59/86/631725986.db2.gz VCDPHQDQFCSZFK-UHFFFAOYSA-N 0 0 291.307 2.912 20 5 CFBDRN COCC1(COC(=O)c2cc(F)ccc2[N+](=O)[O-])CCC1 ZINC000812580990 631737519 /nfs/dbraw/zinc/73/75/19/631737519.db2.gz ALEBOCHGIDENLV-UHFFFAOYSA-N 0 0 297.282 2.707 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)OCc1c(F)cccc1[N+](=O)[O-] ZINC000812619212 631745289 /nfs/dbraw/zinc/74/52/89/631745289.db2.gz COWFGYXNHHENGS-UWVGGRQHSA-N 0 0 299.298 2.696 20 5 CFBDRN COC[C@@H](C)[C@@H](C)C(=O)OCc1cccc([N+](=O)[O-])c1C ZINC000812633123 631749083 /nfs/dbraw/zinc/74/90/83/631749083.db2.gz WGMVVHLUIJNYCE-GHMZBOCLSA-N 0 0 295.335 2.865 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CC(C2CC2)C1 ZINC000812652898 631752319 /nfs/dbraw/zinc/75/23/19/631752319.db2.gz IGXDHONLXZOLKI-UHFFFAOYSA-N 0 0 280.711 2.730 20 5 CFBDRN CO[C@](C)(C(=O)OCc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000812667731 631756585 /nfs/dbraw/zinc/75/65/85/631756585.db2.gz UDTGVZSELOCQED-HNNXBMFYSA-N 0 0 293.319 2.762 20 5 CFBDRN C[C@H](OC(=O)[C@@](C)(O)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000812677792 631757536 /nfs/dbraw/zinc/75/75/36/631757536.db2.gz XZRVMYSOIPVGPF-BONVTDFDSA-N 0 0 293.319 2.750 20 5 CFBDRN C[C@H](OC(=O)[C@@](C)(O)C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000812671536 631757590 /nfs/dbraw/zinc/75/75/90/631757590.db2.gz WHEJTRLTYCMUMB-BONVTDFDSA-N 0 0 293.319 2.750 20 5 CFBDRN CC[C@@H]1CC[C@@H](c2nc(CCC(C)(C)[N+](=O)[O-])no2)O1 ZINC000812814300 631789546 /nfs/dbraw/zinc/78/95/46/631789546.db2.gz DVLDPNGSJAXRBV-ZJUUUORDSA-N 0 0 283.328 2.688 20 5 CFBDRN C[C@H]1CC(=O)CC[C@H]1c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812814031 631789574 /nfs/dbraw/zinc/78/95/74/631789574.db2.gz UFEINXBMTVMUCB-GXSJLCMTSA-N 0 0 295.339 2.530 20 5 CFBDRN CCC[C@@H](C)c1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812813725 631789699 /nfs/dbraw/zinc/78/96/99/631789699.db2.gz BMQKYULIWCZNRG-SECBINFHSA-N 0 0 255.318 2.961 20 5 CFBDRN CC[C@H](C)Cc1nc(CCC(C)(C)[N+](=O)[O-])no1 ZINC000812814494 631789841 /nfs/dbraw/zinc/78/98/41/631789841.db2.gz YPKBJJCZZWTIKM-VIFPVBQESA-N 0 0 255.318 2.646 20 5 CFBDRN CC(C)(CCc1noc(-c2occ3c2CCC3)n1)[N+](=O)[O-] ZINC000812813583 631789862 /nfs/dbraw/zinc/78/98/62/631789862.db2.gz LIQARNHIKYNLKO-UHFFFAOYSA-N 0 0 291.307 2.806 20 5 CFBDRN CC(C)(CCc1noc([C@H]2CC23CCC3)n1)[N+](=O)[O-] ZINC000812816306 631790740 /nfs/dbraw/zinc/79/07/40/631790740.db2.gz PZXGQUAGHFWVEN-SECBINFHSA-N 0 0 265.313 2.715 20 5 CFBDRN CCC[C@@H]1C[C@H]1C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000812828742 631793008 /nfs/dbraw/zinc/79/30/08/631793008.db2.gz HPDADQZSAXFROS-MWLCHTKSSA-N 0 0 279.292 2.945 20 5 CFBDRN CCc1c(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)ccn1C ZINC000812834021 631794242 /nfs/dbraw/zinc/79/42/42/631794242.db2.gz HFQDHVPDNGJHSG-UHFFFAOYSA-N 0 0 291.282 2.887 20 5 CFBDRN Cc1nc(NC(=O)C2(F)CCCCC2)ccc1[N+](=O)[O-] ZINC000813045410 631821037 /nfs/dbraw/zinc/82/10/37/631821037.db2.gz QJDCDGXJSWNWGF-UHFFFAOYSA-N 0 0 281.287 2.909 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Oc1cc(F)cc([N+](=O)[O-])c1 ZINC000813074960 631826794 /nfs/dbraw/zinc/82/67/94/631826794.db2.gz QUPBBNWMAGRXCI-DTWKUNHWSA-N 0 0 285.271 2.558 20 5 CFBDRN C[C@@H](CSCc1ccccc1)C(=O)OC[C@@H](C)[N+](=O)[O-] ZINC000813102609 631838177 /nfs/dbraw/zinc/83/81/77/631838177.db2.gz SZDHUWNPZHKZFS-NWDGAFQWSA-N 0 0 297.376 2.764 20 5 CFBDRN C[C@H](COC(=O)c1nc2ccccc2cc1Cl)[N+](=O)[O-] ZINC000813102863 631838741 /nfs/dbraw/zinc/83/87/41/631838741.db2.gz KQWFIKMCAPIGEK-MRVPVSSYSA-N 0 0 294.694 2.710 20 5 CFBDRN CC(C)c1cccc(O[C@H](C)C(=O)OC[C@@H](C)[N+](=O)[O-])c1 ZINC000813104820 631839408 /nfs/dbraw/zinc/83/94/08/631839408.db2.gz RYCAKDBFXWPJSL-VXGBXAGGSA-N 0 0 295.335 2.786 20 5 CFBDRN C[C@@H](COC(=O)C1(c2cccc(F)c2)CCCC1)[N+](=O)[O-] ZINC000813107693 631842205 /nfs/dbraw/zinc/84/22/05/631842205.db2.gz UKJBYFTTYCBGJP-NSHDSACASA-N 0 0 295.310 2.846 20 5 CFBDRN C[C@@H](COC(=O)C1(Cc2cccc(F)c2)CCC1)[N+](=O)[O-] ZINC000813112629 631844403 /nfs/dbraw/zinc/84/44/03/631844403.db2.gz RVGHTFKHXYFTLG-NSHDSACASA-N 0 0 295.310 2.747 20 5 CFBDRN C[C@@H](COC(=O)[C@H](F)CC1CCCCC1)[N+](=O)[O-] ZINC000813112070 631844804 /nfs/dbraw/zinc/84/48/04/631844804.db2.gz ZFZODLWDUYODJS-GXSJLCMTSA-N 0 0 261.293 2.503 20 5 CFBDRN C[C@H](COC(=O)c1cncc(-c2ccccc2)c1)[N+](=O)[O-] ZINC000813112385 631845187 /nfs/dbraw/zinc/84/51/87/631845187.db2.gz GJCQYHDNKFUFRT-LLVKDONJSA-N 0 0 286.287 2.571 20 5 CFBDRN C[C@@H](COC(=O)c1cccc(OC2CCCC2)c1)[N+](=O)[O-] ZINC000813114127 631846349 /nfs/dbraw/zinc/84/63/49/631846349.db2.gz ZDADRCGOCVIHDO-NSHDSACASA-N 0 0 293.319 2.830 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCCCCC2CC2)n1 ZINC000813179663 631872719 /nfs/dbraw/zinc/87/27/19/631872719.db2.gz SLRATBDXRCFOSW-UHFFFAOYSA-N 0 0 281.312 2.548 20 5 CFBDRN CNc1c(C(=O)OC2CC(C)C2)cccc1[N+](=O)[O-] ZINC000813230603 631887190 /nfs/dbraw/zinc/88/71/90/631887190.db2.gz CYRGRSHRSIBENY-UHFFFAOYSA-N 0 0 264.281 2.592 20 5 CFBDRN C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1Cl)n1cccn1 ZINC000813242831 631890919 /nfs/dbraw/zinc/89/09/19/631890919.db2.gz WMRAUPTYUJXGEL-MRVPVSSYSA-N 0 0 294.698 2.644 20 5 CFBDRN C[C@@H]1COCC[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000813245544 631890950 /nfs/dbraw/zinc/89/09/50/631890950.db2.gz UZFZELWPPOGCMV-BDAKNGLRSA-N 0 0 298.726 2.859 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1(CC)COC1 ZINC000813291647 631895393 /nfs/dbraw/zinc/89/53/93/631895393.db2.gz XMKLLBPPLJMSOY-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN CCc1nnc(CNc2cc([N+](=O)[O-])ccc2NC)s1 ZINC000843301386 631921799 /nfs/dbraw/zinc/92/17/99/631921799.db2.gz WOYIRLYVEHKXHL-UHFFFAOYSA-N 0 0 293.352 2.663 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CC[C@H]2C[C@H]21 ZINC000813605848 631941060 /nfs/dbraw/zinc/94/10/60/631941060.db2.gz LLZFEHUMMWTADP-JOYOIKCWSA-N 0 0 255.277 2.742 20 5 CFBDRN CC[C@@H](C)CON=c1c2ccccc2[nH]cc1[N+](=O)[O-] ZINC000813621620 631941805 /nfs/dbraw/zinc/94/18/05/631941805.db2.gz CARGCDKMTCQIIY-SNVBAGLBSA-N 0 0 275.308 2.955 20 5 CFBDRN Cc1c(OC(=O)C2(C(F)F)CC2)cccc1[N+](=O)[O-] ZINC000843533789 631978706 /nfs/dbraw/zinc/97/87/06/631978706.db2.gz YZRIDYSXMJFKCM-UHFFFAOYSA-N 0 0 271.219 2.854 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)c1csc2c1CC[C@@H](C)C2 ZINC000814037174 631997686 /nfs/dbraw/zinc/99/76/86/631997686.db2.gz SSQTWSUWYVHVLX-RKDXNWHRSA-N 0 0 283.349 2.695 20 5 CFBDRN Cc1ccc(CCCC(=O)O[C@@H](C)C[N+](=O)[O-])s1 ZINC000814037702 631997799 /nfs/dbraw/zinc/99/77/99/631997799.db2.gz DWDCKTGFZKNMKV-VIFPVBQESA-N 0 0 271.338 2.588 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C[C@H](C)C1CCCCC1 ZINC000814036355 631997962 /nfs/dbraw/zinc/99/79/62/631997962.db2.gz WGAYHHQVQOCXFA-QWRGUYRKSA-N 0 0 257.330 2.801 20 5 CFBDRN CCSc1cccc(C(=O)O[C@H](C)C[N+](=O)[O-])c1 ZINC000814037301 631997995 /nfs/dbraw/zinc/99/79/95/631997995.db2.gz VPGIERMIRDAXEM-SECBINFHSA-N 0 0 269.322 2.621 20 5 CFBDRN CCCCOc1ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc1 ZINC000814040522 631998615 /nfs/dbraw/zinc/99/86/15/631998615.db2.gz IEMNKUWSGLIMAE-LLVKDONJSA-N 0 0 281.308 2.688 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC000814038899 631998633 /nfs/dbraw/zinc/99/86/33/631998633.db2.gz VALTXUGRLGYOEM-RQJHMYQMSA-N 0 0 277.729 2.713 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)C1(c2ccc(F)cc2F)CCC1 ZINC000814039651 631998921 /nfs/dbraw/zinc/99/89/21/631998921.db2.gz VFGDZYSMWCVHEI-VIFPVBQESA-N 0 0 299.273 2.595 20 5 CFBDRN Cc1cc(C(=O)O[C@H](C)C[N+](=O)[O-])c(C)n1C(C)C ZINC000814041165 631999298 /nfs/dbraw/zinc/99/92/98/631999298.db2.gz NYJHEQVXGWBQPQ-SNVBAGLBSA-N 0 0 268.313 2.508 20 5 CFBDRN CC[C@@H](C)Oc1ccc(C(=O)O[C@@H](C)C[N+](=O)[O-])cc1 ZINC000814041081 631999427 /nfs/dbraw/zinc/99/94/27/631999427.db2.gz NBQHLFKWOBVZGH-MNOVXSKESA-N 0 0 281.308 2.686 20 5 CFBDRN Cc1sc(-c2ccco2)nc1C(=O)O[C@H](C)C[N+](=O)[O-] ZINC000814041005 631999501 /nfs/dbraw/zinc/99/95/01/631999501.db2.gz NRIRUEVOFHHGHI-SSDOTTSWSA-N 0 0 296.304 2.534 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)C[N+](=O)[O-])c(C)n1C(C)C ZINC000814041161 631999537 /nfs/dbraw/zinc/99/95/37/631999537.db2.gz NYJHEQVXGWBQPQ-JTQLQIEISA-N 0 0 268.313 2.508 20 5 CFBDRN C[C@@H](C[N+](=O)[O-])OC(=O)CCSc1ccccc1F ZINC000814043791 632000277 /nfs/dbraw/zinc/00/02/77/632000277.db2.gz JMKQDXXEJXIOAC-VIFPVBQESA-N 0 0 287.312 2.516 20 5 CFBDRN C[C@H](C[N+](=O)[O-])OC(=O)CCSCc1ccccc1 ZINC000814047770 632000885 /nfs/dbraw/zinc/00/08/85/632000885.db2.gz FSAXCACYZCGPHE-LLVKDONJSA-N 0 0 283.349 2.518 20 5 CFBDRN C/C(=C/C(=O)O[C@H](C)C[N+](=O)[O-])c1ccccc1Cl ZINC000814045674 632001170 /nfs/dbraw/zinc/00/11/70/632001170.db2.gz XFWFTFLICHRAJQ-UJICNMFASA-N 0 0 283.711 2.952 20 5 CFBDRN CC(C)(CCC(=O)NC[C@H]1CC2CCC1CC2)[N+](=O)[O-] ZINC000843631933 632008721 /nfs/dbraw/zinc/00/87/21/632008721.db2.gz JNOMQOCFIIPAAY-WXRRBKDZSA-N 0 0 282.384 2.764 20 5 CFBDRN CCN(CCSC)C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000843747448 632048519 /nfs/dbraw/zinc/04/85/19/632048519.db2.gz JZHPOWHZIQDZSA-UHFFFAOYSA-N 0 0 286.328 2.559 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N(C)CC(C)C ZINC000843763216 632051018 /nfs/dbraw/zinc/05/10/18/632051018.db2.gz IVRZOCULDRPJEZ-UHFFFAOYSA-N 0 0 268.288 2.770 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1CCO[C@@H](C(F)F)C1 ZINC000814369509 632056647 /nfs/dbraw/zinc/05/66/47/632056647.db2.gz DFYIADCGKSESTH-SECBINFHSA-N 0 0 292.669 2.719 20 5 CFBDRN CSCCCNC(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843802319 632058599 /nfs/dbraw/zinc/05/85/99/632058599.db2.gz QBHBYHBIGRYMIH-UHFFFAOYSA-N 0 0 286.328 2.525 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)NCCC1CCC1 ZINC000843821236 632062323 /nfs/dbraw/zinc/06/23/23/632062323.db2.gz KGYUIGABALFUAD-UHFFFAOYSA-N 0 0 280.299 2.962 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC(C(C)C)C1 ZINC000843838924 632064578 /nfs/dbraw/zinc/06/45/78/632064578.db2.gz UADJXOYGTBWDCV-UHFFFAOYSA-N 0 0 280.299 2.770 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N(C)[C@H]1CCSC1 ZINC000843839382 632064713 /nfs/dbraw/zinc/06/47/13/632064713.db2.gz OMWYUJBXOQIVMM-VIFPVBQESA-N 0 0 298.339 2.620 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CC[C@H](C)[C@H](F)C1 ZINC000843848550 632067018 /nfs/dbraw/zinc/06/70/18/632067018.db2.gz GAKKAEFSVYIJSR-GZMMTYOYSA-N 0 0 298.289 2.862 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)c1c(C)c(F)ccc1[N+](=O)[O-] ZINC000843890205 632073160 /nfs/dbraw/zinc/07/31/60/632073160.db2.gz JFBBMRNTSTYMMY-SECBINFHSA-N 0 0 298.314 2.539 20 5 CFBDRN CN(C)c1cc(F)c(C(=O)OCC2CCC2)cc1[N+](=O)[O-] ZINC000814483358 632075168 /nfs/dbraw/zinc/07/51/68/632075168.db2.gz SGDXQEVEXXXZMV-UHFFFAOYSA-N 0 0 296.298 2.757 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N[C@@H]1CS[C@H](C)C1 ZINC000843906317 632076139 /nfs/dbraw/zinc/07/61/39/632076139.db2.gz OAXOSOHWZMAJMW-APPZFPTMSA-N 0 0 298.339 2.666 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](F)C(C)C ZINC000843965922 632085224 /nfs/dbraw/zinc/08/52/24/632085224.db2.gz HKCKRMQMRUTCPR-LLVKDONJSA-N 0 0 269.276 2.569 20 5 CFBDRN C/C=C(\CC)C(=O)Nc1cc([N+](=O)[O-])ccc1NC ZINC000843968895 632086192 /nfs/dbraw/zinc/08/61/92/632086192.db2.gz UZZQQPHJJBOCIF-RUDMXATFSA-N 0 0 263.297 2.931 20 5 CFBDRN CO[C@H]1CCC[C@H](C(=O)OCc2csc([N+](=O)[O-])c2)C1 ZINC000814567546 632094312 /nfs/dbraw/zinc/09/43/12/632094312.db2.gz ZIAIDTZQISVODV-QWRGUYRKSA-N 0 0 299.348 2.905 20 5 CFBDRN CN(CCC(C)(C)C)C(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000844012164 632096364 /nfs/dbraw/zinc/09/63/64/632096364.db2.gz GTSFFJDVOBVENR-CYBMUJFWSA-N 0 0 294.351 2.523 20 5 CFBDRN CCON(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(C)C ZINC000844079445 632110218 /nfs/dbraw/zinc/11/02/18/632110218.db2.gz IKRLOEZHZVWDKG-UHFFFAOYSA-N 0 0 291.307 2.878 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OCc1ccon1 ZINC000814774611 632121781 /nfs/dbraw/zinc/12/17/81/632121781.db2.gz WEPCJMUUDLRNSH-UHFFFAOYSA-N 0 0 254.629 2.815 20 5 CFBDRN CC(C)O[C@H]1C[C@@H](Nc2c([N+](=O)[O-])cccc2[N+](=O)[O-])C1 ZINC000840326270 632122959 /nfs/dbraw/zinc/12/29/59/632122959.db2.gz GEKGNZOZUDCJQW-AOOOYVTPSA-N 0 0 295.295 2.871 20 5 CFBDRN Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c(C)n1C ZINC000844179882 632131531 /nfs/dbraw/zinc/13/15/31/632131531.db2.gz JETDIKQNYALISW-UHFFFAOYSA-N 0 0 288.303 2.907 20 5 CFBDRN COC1(CCNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])CCC1 ZINC000814902081 632156069 /nfs/dbraw/zinc/15/60/69/632156069.db2.gz MEBZVDAEZVXOOP-UHFFFAOYSA-N 0 0 295.295 2.874 20 5 CFBDRN Cc1nnc(Oc2cccc(Cl)c2[N+](=O)[O-])nc1C ZINC000814902405 632156774 /nfs/dbraw/zinc/15/67/74/632156774.db2.gz BIFYBNGOJMYDOY-UHFFFAOYSA-N 0 0 280.671 2.842 20 5 CFBDRN Cc1ccc(OC(=O)C[C@H](C)n2ccnc2)c([N+](=O)[O-])c1 ZINC000844299725 632161267 /nfs/dbraw/zinc/16/12/67/632161267.db2.gz FJJMYBKHULBMRN-NSHDSACASA-N 0 0 289.291 2.656 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1NCC[C@H](C)F ZINC000814915087 632162571 /nfs/dbraw/zinc/16/25/71/632162571.db2.gz NYEXCGMDPGTGGO-QMMMGPOBSA-N 0 0 254.261 2.957 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC(=O)C[C@H](C)n1ccnc1 ZINC000844339367 632173794 /nfs/dbraw/zinc/17/37/94/632173794.db2.gz ZHRMQPUZPRNGTQ-NSHDSACASA-N 0 0 289.291 2.656 20 5 CFBDRN COC/C(C)=C\C(=O)Nc1cccc([N+](=O)[O-])c1Cl ZINC000844514488 632235654 /nfs/dbraw/zinc/23/56/54/632235654.db2.gz GJTYKCTTYHQPBH-VURMDHGXSA-N 0 0 284.699 2.779 20 5 CFBDRN CO[C@H]1CCCC[C@H]1C(=O)OCc1cccc([N+](=O)[O-])c1 ZINC000815381858 632262554 /nfs/dbraw/zinc/26/25/54/632262554.db2.gz VJKJPKKWTMTLEO-KGLIPLIRSA-N 0 0 293.319 2.843 20 5 CFBDRN CC(F)(F)CNC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000815644200 632303626 /nfs/dbraw/zinc/30/36/26/632303626.db2.gz UXMXTYIKDRGDPP-UHFFFAOYSA-N 0 0 299.321 2.808 20 5 CFBDRN CC(C)(C)C[C@@H]1C[C@H]1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000844789202 632308982 /nfs/dbraw/zinc/30/89/82/632308982.db2.gz HYKDMHXIJIYAJC-WDEREUQCSA-N 0 0 270.373 2.763 20 5 CFBDRN C[C@@H](c1nc(CCC(C)(C)[N+](=O)[O-])no1)C(C)(F)F ZINC000815697543 632312431 /nfs/dbraw/zinc/31/24/31/632312431.db2.gz FOJLQPKLVPPLLD-ZETCQYMHSA-N 0 0 277.271 2.816 20 5 CFBDRN COc1ccc(OC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)cc1[N+](=O)[O-] ZINC000816045877 632362239 /nfs/dbraw/zinc/36/22/39/632362239.db2.gz ZQDGKZBFRPCXKG-KEODLESXSA-N 0 0 291.303 2.945 20 5 CFBDRN COc1ccc2ccc(C(=O)O[C@H](C)C[N+](=O)[O-])cc2c1 ZINC000816096813 632371682 /nfs/dbraw/zinc/37/16/82/632371682.db2.gz MOOXETNRVJCMJB-SNVBAGLBSA-N 0 0 289.287 2.670 20 5 CFBDRN COc1cccc(C(=O)OCc2coc(C)c2)c1[N+](=O)[O-] ZINC000816243142 632407550 /nfs/dbraw/zinc/40/75/50/632407550.db2.gz IPCLYMXVTZFRLS-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN CO[C@H](COC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000816351185 632424588 /nfs/dbraw/zinc/42/45/88/632424588.db2.gz IZWKGWAQTPSRNQ-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN CO[C@@H](COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(C)C ZINC000816351944 632424599 /nfs/dbraw/zinc/42/45/99/632424599.db2.gz ZURLCMDAUNGMSK-MRZGDXHCSA-N 0 0 293.319 2.822 20 5 CFBDRN CO[C@H](COC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000816353638 632425177 /nfs/dbraw/zinc/42/51/77/632425177.db2.gz OXYAYELZSPDNAL-CYBMUJFWSA-N 0 0 281.308 2.731 20 5 CFBDRN CCc1ccc(OC(=O)/C=C(\C)COC)c([N+](=O)[O-])c1 ZINC000845472191 632442227 /nfs/dbraw/zinc/44/22/27/632442227.db2.gz MKHNQQWQXVAQIT-JXMROGBWSA-N 0 0 279.292 2.655 20 5 CFBDRN CC(C)C[C@H](O)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000845477118 632443186 /nfs/dbraw/zinc/44/31/86/632443186.db2.gz YXYKRFIGNFKMEX-LBPRGKRZSA-N 0 0 289.335 2.962 20 5 CFBDRN Cc1ccc(C(=O)OC[C@H]2CC2(F)F)cc1[N+](=O)[O-] ZINC000816416513 632448318 /nfs/dbraw/zinc/44/83/18/632448318.db2.gz UNNVZTGJHJBKDA-SECBINFHSA-N 0 0 271.219 2.715 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)OCc2ccc(C)o2)c1 ZINC000816432867 632456711 /nfs/dbraw/zinc/45/67/11/632456711.db2.gz PBYHNBUEGGKNJF-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN C[C@@H](C(=O)O[C@@H](C)C[N+](=O)[O-])c1ccc(Cl)cc1 ZINC000845578403 632468177 /nfs/dbraw/zinc/46/81/77/632468177.db2.gz WYSUMXYQCYIELK-DTWKUNHWSA-N 0 0 271.700 2.652 20 5 CFBDRN C[C@@H](COC(=O)C1(c2ccccc2Cl)CCC1)[N+](=O)[O-] ZINC000845577367 632468262 /nfs/dbraw/zinc/46/82/62/632468262.db2.gz UNUHTUNTKXZIJU-JTQLQIEISA-N 0 0 297.738 2.970 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](OC(C)C)C2)n1 ZINC000845638766 632499012 /nfs/dbraw/zinc/49/90/12/632499012.db2.gz NUZMZVGYYLXCDQ-GFCCVEGCSA-N 0 0 279.340 2.692 20 5 CFBDRN CC(C)O[C@@H]1CCCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000845638782 632499024 /nfs/dbraw/zinc/49/90/24/632499024.db2.gz OEJOUSAHRMLCJK-GFCCVEGCSA-N 0 0 279.340 2.571 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])nc1)[C@](C)(O)c1ccccc1 ZINC000845657835 632508283 /nfs/dbraw/zinc/50/82/83/632508283.db2.gz VTBDCIAAYHFJKF-NHYWBVRUSA-N 0 0 287.319 2.698 20 5 CFBDRN C[C@H](Nc1ncc([N+](=O)[O-])s1)[C@@](C)(O)c1ccccc1 ZINC000845657040 632508535 /nfs/dbraw/zinc/50/85/35/632508535.db2.gz QKVOWMBMAIBXEU-TVQRCGJNSA-N 0 0 293.348 2.759 20 5 CFBDRN CC[C@H]1CN(c2c(F)cc([N+](=O)[O-])cc2F)[C@H](C)CO1 ZINC000845662593 632510004 /nfs/dbraw/zinc/51/00/04/632510004.db2.gz XYLYNVNCTNWBRS-SCZZXKLOSA-N 0 0 286.278 2.877 20 5 CFBDRN C=C=CCNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000816700753 632513734 /nfs/dbraw/zinc/51/37/34/632513734.db2.gz RJESKMQKDNYVPR-UHFFFAOYSA-N 0 0 274.301 2.880 20 5 CFBDRN C=C=CCNC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000816701372 632514206 /nfs/dbraw/zinc/51/42/06/632514206.db2.gz RQSZFCWUXZDXEY-SNVBAGLBSA-N 0 0 278.333 2.533 20 5 CFBDRN C=C=CCNC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000816701371 632514353 /nfs/dbraw/zinc/51/43/53/632514353.db2.gz RQSZFCWUXZDXEY-JTQLQIEISA-N 0 0 278.333 2.533 20 5 CFBDRN CS/C=C\C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000817038985 632548185 /nfs/dbraw/zinc/54/81/85/632548185.db2.gz TVRQHEGUHBMKRS-HJWRWDBZSA-N 0 0 280.349 2.823 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@]2(CC2(F)F)C1 ZINC000845743799 632548925 /nfs/dbraw/zinc/54/89/25/632548925.db2.gz LPMGJWLFKUQUDT-NSHDSACASA-N 0 0 269.251 2.615 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCC[C@H]1CC1(Cl)Cl ZINC000845744800 632549543 /nfs/dbraw/zinc/54/95/43/632549543.db2.gz MXWMHWXVAOSTNI-QMMMGPOBSA-N 0 0 290.150 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H]3[C@@H](C2)C3(F)F)c2ccncc21 ZINC000845752752 632551945 /nfs/dbraw/zinc/55/19/45/632551945.db2.gz QYWQSFZVTXEEMH-PHIMTYICSA-N 0 0 291.257 2.844 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](CCO)CC2)c(F)c1 ZINC000817128889 632562635 /nfs/dbraw/zinc/56/26/35/632562635.db2.gz DUFISPXHAFQZAE-LLVKDONJSA-N 0 0 282.315 2.723 20 5 CFBDRN CON(C(=O)c1c(F)cccc1[N+](=O)[O-])C1CCCC1 ZINC000867396358 632566262 /nfs/dbraw/zinc/56/62/62/632566262.db2.gz XLVHZTBQINEOTK-UHFFFAOYSA-N 0 0 282.271 2.680 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1F)[C@@H]1CC12CC2 ZINC000817231553 632576226 /nfs/dbraw/zinc/57/62/26/632576226.db2.gz VDLUACFQCDAADJ-JTQLQIEISA-N 0 0 265.240 2.577 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CC12CC2)c1ccc([N+](=O)[O-])cc1 ZINC000817231672 632577064 /nfs/dbraw/zinc/57/70/64/632577064.db2.gz BNPNZVNOIBLKDO-SKDRFNHKSA-N 0 0 261.277 2.999 20 5 CFBDRN O=C(OCc1cc(F)ccc1[N+](=O)[O-])[C@@H]1CC12CC2 ZINC000817234717 632578548 /nfs/dbraw/zinc/57/85/48/632578548.db2.gz BZSRHYUKZKRMHK-JTQLQIEISA-N 0 0 265.240 2.577 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)OCCC(C)(C)O ZINC000817239905 632581468 /nfs/dbraw/zinc/58/14/68/632581468.db2.gz XISRIEXIGYCUIN-UHFFFAOYSA-N 0 0 281.308 2.529 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)C1CC1 ZINC000817239939 632581503 /nfs/dbraw/zinc/58/15/03/632581503.db2.gz YBRGRTSUEVNFFZ-SNVBAGLBSA-N 0 0 291.303 2.736 20 5 CFBDRN O=[N+]([O-])c1ccc2c(cnn2CN2Cc3ccccc3C2)c1 ZINC000106063280 632583304 /nfs/dbraw/zinc/58/33/04/632583304.db2.gz APBLNTVFFDUHCD-UHFFFAOYSA-N 0 0 294.314 2.918 20 5 CFBDRN C[C@H]([C@H](OC(=O)C1CCC1)c1ccccc1F)[N+](=O)[O-] ZINC000845871859 632589027 /nfs/dbraw/zinc/58/90/27/632589027.db2.gz AYUBRGHZBLCAKZ-RNCFNFMXSA-N 0 0 281.283 2.875 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OCCC(C)(C)C2CC2)n1 ZINC000845886912 632591831 /nfs/dbraw/zinc/59/18/31/632591831.db2.gz PRHBPGHWTSFGCA-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN C[C@@H](C(=O)NOCCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000817383404 632617862 /nfs/dbraw/zinc/61/78/62/632617862.db2.gz LRWLRBVBHJUMCE-SNVBAGLBSA-N 0 0 278.308 2.546 20 5 CFBDRN COc1ccc(OC(=O)[C@@]2(C)CC2(F)F)c([N+](=O)[O-])c1 ZINC000817424690 632629792 /nfs/dbraw/zinc/62/97/92/632629792.db2.gz BVLQNAXVXMQLMN-LLVKDONJSA-N 0 0 287.218 2.554 20 5 CFBDRN O=C(NOCCC1CC1)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000817425539 632630791 /nfs/dbraw/zinc/63/07/91/632630791.db2.gz HJGGCBIDIWHPGJ-UHFFFAOYSA-N 0 0 284.699 2.710 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCOC1CCC1 ZINC000817435273 632633705 /nfs/dbraw/zinc/63/37/05/632633705.db2.gz JVLQUSWFLVQVFV-UHFFFAOYSA-N 0 0 292.335 2.511 20 5 CFBDRN O=C(NOCCC1CC1)c1c[nH]c2cc([N+](=O)[O-])ccc21 ZINC000817441318 632635338 /nfs/dbraw/zinc/63/53/38/632635338.db2.gz DCOLKHXUIGOGGK-UHFFFAOYSA-N 0 0 289.291 2.538 20 5 CFBDRN O=C(NOCCC1CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000817445868 632637521 /nfs/dbraw/zinc/63/75/21/632637521.db2.gz AECPNBAHASJOMQ-UHFFFAOYSA-N 0 0 289.291 2.538 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1CC12CC2 ZINC000817449359 632638060 /nfs/dbraw/zinc/63/80/60/632638060.db2.gz HWXGVMLWWSLXJS-ZETCQYMHSA-N 0 0 266.684 2.987 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@@H]1CCCOC1 ZINC000817721541 632692975 /nfs/dbraw/zinc/69/29/75/632692975.db2.gz HMSUFFAQQNVCHF-LLVKDONJSA-N 0 0 279.292 2.547 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)O[C@@H](C)CC(C)(C)O ZINC000817735454 632697943 /nfs/dbraw/zinc/69/79/43/632697943.db2.gz LYGYOHCEKXXEOU-NSHDSACASA-N 0 0 295.335 2.918 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H](F)C1 ZINC000846627973 632761969 /nfs/dbraw/zinc/76/19/69/632761969.db2.gz AHKSBZLWKIEHCQ-SECBINFHSA-N 0 0 284.262 2.616 20 5 CFBDRN C/C(=C/C(=O)NCC[C@@H](C)F)c1ccc([N+](=O)[O-])cc1 ZINC000818297217 632824309 /nfs/dbraw/zinc/82/43/09/632824309.db2.gz HIYAGBRTHOOTGO-DWOQACPDSA-N 0 0 280.299 2.862 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCO[C@H](C3CCC3)C2)c(F)c1 ZINC000840359465 632841486 /nfs/dbraw/zinc/84/14/86/632841486.db2.gz OLPIKLVCXKAWOR-ZDUSSCGKSA-N 0 0 298.289 2.878 20 5 CFBDRN CC(C)(CCc1noc(C(F)=C2CCC2)n1)[N+](=O)[O-] ZINC000818425358 632842280 /nfs/dbraw/zinc/84/22/80/632842280.db2.gz FAWBWYCLSOXIQN-UHFFFAOYSA-N 0 0 269.276 2.922 20 5 CFBDRN C/C(=C/C(=O)N1C[C@H](C)[C@H]1C)c1ccc([N+](=O)[O-])cc1 ZINC000818468093 632848317 /nfs/dbraw/zinc/84/83/17/632848317.db2.gz XZKRLJFJSJYTCW-NVSPSJGQSA-N 0 0 274.320 2.865 20 5 CFBDRN CC(=O)C1CCN(Cc2c(F)cc([N+](=O)[O-])cc2F)CC1 ZINC000846945197 632854747 /nfs/dbraw/zinc/85/47/47/632854747.db2.gz ZUOKIVVRPOETNJ-UHFFFAOYSA-N 0 0 298.289 2.674 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)C2CC2)c1C ZINC000885424899 632879778 /nfs/dbraw/zinc/87/97/78/632879778.db2.gz ZBRSJAZFFKXWNR-SNVBAGLBSA-N 0 0 291.303 2.736 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)C2CC2)c1C ZINC000885424898 632880342 /nfs/dbraw/zinc/88/03/42/632880342.db2.gz ZBRSJAZFFKXWNR-JTQLQIEISA-N 0 0 291.303 2.736 20 5 CFBDRN CCCCOC(=O)C(C)(C)NCc1ccccc1[N+](=O)[O-] ZINC000847064570 632908940 /nfs/dbraw/zinc/90/89/40/632908940.db2.gz UNJCEQWTLQQQFF-UHFFFAOYSA-N 0 0 294.351 2.806 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@H]2CC2(Cl)Cl)cn1 ZINC000856783768 635859409 /nfs/dbraw/zinc/85/94/09/635859409.db2.gz GSCIFXOGNNZISA-ZETCQYMHSA-N 0 0 276.123 2.986 20 5 CFBDRN Nc1ccc(NCC[C@@H]2CC2(Cl)Cl)nc1[N+](=O)[O-] ZINC000856786070 635860318 /nfs/dbraw/zinc/86/03/18/635860318.db2.gz VVHFPXZCUDFNKE-ZCFIWIBFSA-N 0 0 291.138 2.568 20 5 CFBDRN O=C(Oc1cccc([N+](=O)[O-])c1)C(F)=C1CCC1 ZINC000819037841 632937984 /nfs/dbraw/zinc/93/79/84/632937984.db2.gz YFIDFMROWLZSMO-UHFFFAOYSA-N 0 0 251.213 2.908 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)C(F)=C1CCC1 ZINC000819137610 632981646 /nfs/dbraw/zinc/98/16/46/632981646.db2.gz FKBBJWQXUGCQIY-UHFFFAOYSA-N 0 0 264.256 2.619 20 5 CFBDRN Cn1cc(COC(=O)[C@@H]2CCCCC2(C)C)c([N+](=O)[O-])n1 ZINC000847785612 633076079 /nfs/dbraw/zinc/07/60/79/633076079.db2.gz UDHSNAGDDKMFFQ-NSHDSACASA-N 0 0 295.339 2.588 20 5 CFBDRN CCCCC[C@@H](CC)C(=O)OCc1cn(C)nc1[N+](=O)[O-] ZINC000847784517 633076453 /nfs/dbraw/zinc/07/64/53/633076453.db2.gz VVEXJJOFWNHBBJ-LLVKDONJSA-N 0 0 297.355 2.978 20 5 CFBDRN C[C@@H]1C[C@H](OC(=O)CCC(C)(C)[N+](=O)[O-])CC(C)(C)C1=O ZINC000847944739 633159182 /nfs/dbraw/zinc/15/91/82/633159182.db2.gz WZLPIBCQZWNGSM-MNOVXSKESA-N 0 0 299.367 2.759 20 5 CFBDRN O=C(OCc1cc(C2CC2)n[nH]1)c1cccc([N+](=O)[O-])c1 ZINC000848015160 633188340 /nfs/dbraw/zinc/18/83/40/633188340.db2.gz FJKCMGSJUCZCNV-UHFFFAOYSA-N 0 0 287.275 2.552 20 5 CFBDRN Cc1c(CNC(=O)C(F)=C2CCC2)cccc1[N+](=O)[O-] ZINC000819510707 633192199 /nfs/dbraw/zinc/19/21/99/633192199.db2.gz XXFGAXPDFAHQDO-UHFFFAOYSA-N 0 0 278.283 2.927 20 5 CFBDRN C[C@@H](C(=O)N1Cc2ccncc2C1)c1cccc([N+](=O)[O-])c1 ZINC000848382535 633246082 /nfs/dbraw/zinc/24/60/82/633246082.db2.gz UCFSHDHLQPGYKP-LLVKDONJSA-N 0 0 297.314 2.636 20 5 CFBDRN C[C@H]1C[C@@H]1C(N)=NOCc1ccc([N+](=O)[O-])cc1Cl ZINC000848518978 633273335 /nfs/dbraw/zinc/27/33/35/633273335.db2.gz KGKUPHGHZHGBAI-XVKPBYJWSA-N 0 0 283.715 2.903 20 5 CFBDRN C[C@@H]1C[C@@H]1C(N)=NOCc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000848521520 633274604 /nfs/dbraw/zinc/27/46/04/633274604.db2.gz UXSCNMQRNDOJHT-APPZFPTMSA-N 0 0 283.715 2.903 20 5 CFBDRN C[C@H](ON=C(N)[C@H]1C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000848521808 633275089 /nfs/dbraw/zinc/27/50/89/633275089.db2.gz UTISFZNVHASRIC-AUTRQRHGSA-N 0 0 263.297 2.810 20 5 CFBDRN Cc1c(CON=C(N)[C@H]2C[C@H]2C)cccc1[N+](=O)[O-] ZINC000848523119 633276254 /nfs/dbraw/zinc/27/62/54/633276254.db2.gz YNBQHFAPQPCKFU-KCJUWKMLSA-N 0 0 263.297 2.558 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@@H](OC)C(F)(F)F ZINC000848665288 633313220 /nfs/dbraw/zinc/31/32/20/633313220.db2.gz SCLHEPJSSDWTMI-SECBINFHSA-N 0 0 294.229 2.593 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H](O)c1ccc(C)o1 ZINC000848669245 633314111 /nfs/dbraw/zinc/31/41/11/633314111.db2.gz ZFFHPVNWOZWHAE-NSHDSACASA-N 0 0 292.291 2.650 20 5 CFBDRN CC(C)Oc1nc(NCCCCCCO)ccc1[N+](=O)[O-] ZINC000848674182 633315000 /nfs/dbraw/zinc/31/50/00/633315000.db2.gz NXNKVGIJXNDPQI-UHFFFAOYSA-N 0 0 297.355 2.742 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCc3ccccc3[C@@H]2CO)cc1 ZINC000848718930 633323578 /nfs/dbraw/zinc/32/35/78/633323578.db2.gz URNNSENHCHWOFN-INIZCTEOSA-N 0 0 284.315 2.691 20 5 CFBDRN Nc1nccc(C(=O)OCCC2CCCCC2)c1[N+](=O)[O-] ZINC000848788548 633343184 /nfs/dbraw/zinc/34/31/84/633343184.db2.gz ZLYRBJCNHURPDR-UHFFFAOYSA-N 0 0 293.323 2.699 20 5 CFBDRN Nc1ccc(C(=O)OC[C@H]2CC=CCC2)cc1[N+](=O)[O-] ZINC000849168677 633577603 /nfs/dbraw/zinc/57/76/03/633577603.db2.gz BUWCXJKIDQCYDA-JTQLQIEISA-N 0 0 276.292 2.690 20 5 CFBDRN CC(=O)[C@H](C)CCOC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000849616946 633641583 /nfs/dbraw/zinc/64/15/83/633641583.db2.gz CYOLUJAWEBZJJX-SECBINFHSA-N 0 0 279.292 2.675 20 5 CFBDRN CC(C)[C@@H](C)OC(=O)CCOc1cccc([N+](=O)[O-])c1 ZINC000849688108 633675236 /nfs/dbraw/zinc/67/52/36/633675236.db2.gz MGASPGRIYWYSBP-LLVKDONJSA-N 0 0 281.308 2.951 20 5 CFBDRN CO[C@H](COC(=O)c1cc(C)ccc1[N+](=O)[O-])C1CCC1 ZINC000849734590 633694431 /nfs/dbraw/zinc/69/44/31/633694431.db2.gz LSMVCCNMSKSUIC-CQSZACIVSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1cc(F)cc(C(=O)O[C@H]2COC(C)(C)C2)c1[N+](=O)[O-] ZINC000849740851 633697484 /nfs/dbraw/zinc/69/74/84/633697484.db2.gz VKSDHLYQOQSWRP-SNVBAGLBSA-N 0 0 297.282 2.767 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc(F)cc2[N+](=O)[O-])CCCCO1 ZINC000849803506 633727453 /nfs/dbraw/zinc/72/74/53/633727453.db2.gz HBWVJXDBGNVERU-AWEZNQCLSA-N 0 0 297.282 2.736 20 5 CFBDRN CCC(CC)(CO)C(=O)OCc1ccc(F)cc1[N+](=O)[O-] ZINC000849805567 633728547 /nfs/dbraw/zinc/72/85/47/633728547.db2.gz ARQHAADBEHIYEQ-UHFFFAOYSA-N 0 0 299.298 2.576 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(OCc2cnc(Cl)cn2)c1C ZINC000849909264 633760075 /nfs/dbraw/zinc/76/00/75/633760075.db2.gz XRZRMCMQRGBCGH-UHFFFAOYSA-N 0 0 294.698 2.629 20 5 CFBDRN CO[C@@H](COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CCC1 ZINC000849957532 633775785 /nfs/dbraw/zinc/77/57/85/633775785.db2.gz FEGWJNNTVQFNKJ-ZDUSSCGKSA-N 0 0 296.279 2.697 20 5 CFBDRN C[C@@H](CC(=O)OCc1ccc([N+](=O)[O-])cc1)[C@H]1CCCO1 ZINC000850080574 633827624 /nfs/dbraw/zinc/82/76/24/633827624.db2.gz SGNWWERIJQBVOG-SMDDNHRTSA-N 0 0 293.319 2.843 20 5 CFBDRN CO[C@@H](C(=O)OCc1csc([N+](=O)[O-])c1)C1CCC1 ZINC000850174847 633872156 /nfs/dbraw/zinc/87/21/56/633872156.db2.gz HMVOUQDJBVFHJU-LLVKDONJSA-N 0 0 285.321 2.515 20 5 CFBDRN CO[C@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C1CCC1 ZINC000850177322 633873185 /nfs/dbraw/zinc/87/31/85/633873185.db2.gz IBKSLQSWZMQQQN-ZDUSSCGKSA-N 0 0 297.282 2.592 20 5 CFBDRN C[C@H](OC(=O)CCCF)c1ccc([N+](=O)[O-])cc1 ZINC000850194506 633883520 /nfs/dbraw/zinc/88/35/20/633883520.db2.gz MXVBFJUZGRFPNX-VIFPVBQESA-N 0 0 255.245 2.949 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])c(F)c1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850264741 633917424 /nfs/dbraw/zinc/91/74/24/633917424.db2.gz MSRFWQZQDCQFMJ-URLYPYJESA-N 0 0 279.267 2.823 20 5 CFBDRN C[C@@H]1CO[C@@H](C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)C1 ZINC000885575175 633918300 /nfs/dbraw/zinc/91/83/00/633918300.db2.gz WOZVXDLNHYHCID-QPUJVOFHSA-N 0 0 299.710 2.716 20 5 CFBDRN C[C@@H](NCc1cc(Cl)ccc1[N+](=O)[O-])c1nccn1C ZINC000850410213 633982039 /nfs/dbraw/zinc/98/20/39/633982039.db2.gz PSDOXWSLVODOHA-SECBINFHSA-N 0 0 294.742 2.833 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1[C@H](C)[NH2+]CCOC1CCC1 ZINC000850452314 633999038 /nfs/dbraw/zinc/99/90/38/633999038.db2.gz OJXQSRPODFQGGS-NSHDSACASA-N 0 0 294.351 2.823 20 5 CFBDRN C[C@@H]1CO[C@@H](C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000885576810 634051237 /nfs/dbraw/zinc/05/12/37/634051237.db2.gz RUGKTQBTJZLCLC-QPUJVOFHSA-N 0 0 299.710 2.716 20 5 CFBDRN COc1ccc(OC(=O)C2CC3(CC3)C2)c([N+](=O)[O-])c1 ZINC000850765302 634082660 /nfs/dbraw/zinc/08/26/60/634082660.db2.gz VYJBIMIDBAHFIB-UHFFFAOYSA-N 0 0 277.276 2.699 20 5 CFBDRN CO[C@H](C(=O)Oc1cc(F)cc([N+](=O)[O-])c1)C1CCCC1 ZINC000850901534 634130039 /nfs/dbraw/zinc/13/00/39/634130039.db2.gz QWOLQGYPUXKCGN-ZDUSSCGKSA-N 0 0 297.282 2.845 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Oc2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000850908933 634134516 /nfs/dbraw/zinc/13/45/16/634134516.db2.gz FTTDKZYSZXHMTH-GHMZBOCLSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc(COC(=O)CCCF)ccc1[N+](=O)[O-] ZINC000850934025 634143909 /nfs/dbraw/zinc/14/39/09/634143909.db2.gz AAZUHQYTTFDDBA-UHFFFAOYSA-N 0 0 255.245 2.696 20 5 CFBDRN C[C@H](COC(=O)c1ccn(C)c1-c1ccccc1)[N+](=O)[O-] ZINC000851037212 634190538 /nfs/dbraw/zinc/19/05/38/634190538.db2.gz SJCBCGJMSBNEHF-LLVKDONJSA-N 0 0 288.303 2.514 20 5 CFBDRN Cc1cc(C(=O)O[C@@H](C)C[N+](=O)[O-])c(-n2cccc2)s1 ZINC000851045545 634194358 /nfs/dbraw/zinc/19/43/58/634194358.db2.gz LHVNOSDPTIFHQC-VIFPVBQESA-N 0 0 294.332 2.669 20 5 CFBDRN CCC1(C(=O)O[C@H](C)C[N+](=O)[O-])CCC(F)(F)CC1 ZINC000851047156 634195379 /nfs/dbraw/zinc/19/53/79/634195379.db2.gz VHZASPGMIRWQOR-SECBINFHSA-N 0 0 279.283 2.801 20 5 CFBDRN C[C@H](CC(=O)OCC(C)(C)[N+](=O)[O-])c1ccccc1 ZINC000851122440 634233341 /nfs/dbraw/zinc/23/33/41/634233341.db2.gz DHKGOEVPERVDHI-LLVKDONJSA-N 0 0 265.309 2.779 20 5 CFBDRN CC(C)=C[C@@H]1[C@H](C(=O)OCC(C)(C)[N+](=O)[O-])C1(C)C ZINC000851122432 634233712 /nfs/dbraw/zinc/23/37/12/634233712.db2.gz CYAGCKJPEIAKET-GHMZBOCLSA-N 0 0 269.341 2.823 20 5 CFBDRN Cc1ccc(C(=O)CCC(=O)OCC(C)(C)[N+](=O)[O-])cc1 ZINC000851122617 634234394 /nfs/dbraw/zinc/23/43/94/634234394.db2.gz GVFCTTSYIBCUNR-UHFFFAOYSA-N 0 0 293.319 2.556 20 5 CFBDRN CC(C)(Oc1ccc(F)cc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851124018 634234455 /nfs/dbraw/zinc/23/44/55/634234455.db2.gz LTPLOYMGTHETBN-UHFFFAOYSA-N 0 0 299.298 2.582 20 5 CFBDRN Cc1[nH]c2ccccc2c1CC(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851124789 634235745 /nfs/dbraw/zinc/23/57/45/634235745.db2.gz LIEWJNRYFCYSDX-UHFFFAOYSA-N 0 0 290.319 2.617 20 5 CFBDRN C/C(=C\c1ccc(C)cc1)C(=O)OCC(C)(C)[N+](=O)[O-] ZINC000851126699 634236778 /nfs/dbraw/zinc/23/67/78/634236778.db2.gz GVRCOAPOURMBIH-FMIVXFBMSA-N 0 0 277.320 2.997 20 5 CFBDRN CC(C)(COC(=O)c1coc(C2CCCCC2)n1)[N+](=O)[O-] ZINC000851128013 634237448 /nfs/dbraw/zinc/23/74/48/634237448.db2.gz DVCRFBFPLJSOGV-UHFFFAOYSA-N 0 0 296.323 2.934 20 5 CFBDRN COc1ccc(C(=O)OCC(C)(C)[N+](=O)[O-])cc1C1CC1 ZINC000851127910 634237711 /nfs/dbraw/zinc/23/77/11/634237711.db2.gz AOFYPLNTGWLZRG-UHFFFAOYSA-N 0 0 293.319 2.785 20 5 CFBDRN CC(C)(COC(=O)C1(Cc2ccc(F)cc2)CC1)[N+](=O)[O-] ZINC000851128375 634237728 /nfs/dbraw/zinc/23/77/28/634237728.db2.gz TUHMYGXEHKLQNF-UHFFFAOYSA-N 0 0 295.310 2.747 20 5 CFBDRN O=C(OC[C@@H]1CCC1(F)F)c1ccccc1[N+](=O)[O-] ZINC000851312962 634318784 /nfs/dbraw/zinc/31/87/84/634318784.db2.gz JDXQZTXJSBQYCQ-QMMMGPOBSA-N 0 0 271.219 2.797 20 5 CFBDRN CSCCCC(=O)OCCOc1ccc([N+](=O)[O-])cc1 ZINC000851355882 634339763 /nfs/dbraw/zinc/33/97/63/634339763.db2.gz DIGQYVNWMWWEOG-UHFFFAOYSA-N 0 0 299.348 2.660 20 5 CFBDRN O=C(OCC[C@@H]1CC1(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000851375747 634346608 /nfs/dbraw/zinc/34/66/08/634346608.db2.gz PGPMJEKEMZTFDR-SECBINFHSA-N 0 0 271.219 2.797 20 5 CFBDRN O=C(OCC[C@H]1CC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000851377140 634347291 /nfs/dbraw/zinc/34/72/91/634347291.db2.gz PBVPBMDLNPSYBB-VIFPVBQESA-N 0 0 271.219 2.797 20 5 CFBDRN CC1CC(COC(=O)Cc2cccc([N+](=O)[O-])c2)C1 ZINC000851464194 634377105 /nfs/dbraw/zinc/37/71/05/634377105.db2.gz RKMWXCCPLBXZQG-UHFFFAOYSA-N 0 0 263.293 2.727 20 5 CFBDRN Cc1noc(CSc2nccn2C2CC2)c1[N+](=O)[O-] ZINC000853015386 634875965 /nfs/dbraw/zinc/87/59/65/634875965.db2.gz ZLNBRIUTOQPJLI-UHFFFAOYSA-N 0 0 280.309 2.715 20 5 CFBDRN Cc1cc(OCCOC(F)F)c(F)cc1[N+](=O)[O-] ZINC000853020183 634877592 /nfs/dbraw/zinc/87/75/92/634877592.db2.gz ZFRFSSALLDRDPH-UHFFFAOYSA-N 0 0 265.187 2.660 20 5 CFBDRN Cc1cc(C)c(OC[C@H](O)c2ccccn2)c([N+](=O)[O-])c1 ZINC000853063279 634894087 /nfs/dbraw/zinc/89/40/87/634894087.db2.gz CALYWQYSOFSUPU-AWEZNQCLSA-N 0 0 288.303 2.719 20 5 CFBDRN Cc1cc(N2CCC(C3OCCO3)CC2)c([N+](=O)[O-])s1 ZINC000853253195 634952325 /nfs/dbraw/zinc/95/23/25/634952325.db2.gz XYSCGUHUVIPMJK-UHFFFAOYSA-N 0 0 298.364 2.554 20 5 CFBDRN COc1cc(N2CCC[C@H]([C@H](C)O)C2)c([N+](=O)[O-])cc1C ZINC000853454946 635003828 /nfs/dbraw/zinc/00/38/28/635003828.db2.gz MMTYINODJHVWJN-RYUDHWBXSA-N 0 0 294.351 2.509 20 5 CFBDRN COc1cc(N2CCC[C@H]([C@@H](C)O)C2)c([N+](=O)[O-])cc1C ZINC000853454944 635004040 /nfs/dbraw/zinc/00/40/40/635004040.db2.gz MMTYINODJHVWJN-NEPJUHHUSA-N 0 0 294.351 2.509 20 5 CFBDRN Cc1cc(NC[C@@H](O)c2cccnc2)c([N+](=O)[O-])s1 ZINC000853452098 635004061 /nfs/dbraw/zinc/00/40/61/635004061.db2.gz HEXCDAOCOCNUCH-LLVKDONJSA-N 0 0 279.321 2.505 20 5 CFBDRN COc1cc(N(C)CC2CCOCC2)c([N+](=O)[O-])cc1C ZINC000853465854 635007497 /nfs/dbraw/zinc/00/74/97/635007497.db2.gz MWONYDJGDIXBPK-UHFFFAOYSA-N 0 0 294.351 2.775 20 5 CFBDRN Cc1cc(NC[C@H](O)c2ccccn2)c([N+](=O)[O-])s1 ZINC000853476505 635010410 /nfs/dbraw/zinc/01/04/10/635010410.db2.gz DACSMEUZOCOIKB-NSHDSACASA-N 0 0 279.321 2.505 20 5 CFBDRN Cc1cc(NC2COC(C)(C)OC2)c([N+](=O)[O-])s1 ZINC000853477160 635010626 /nfs/dbraw/zinc/01/06/26/635010626.db2.gz BAWSXPZACHTGDI-UHFFFAOYSA-N 0 0 272.326 2.528 20 5 CFBDRN CN(CC1(O)CCC1)c1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000853479155 635011495 /nfs/dbraw/zinc/01/14/95/635011495.db2.gz VVRAGILHDJMVLL-UHFFFAOYSA-N 0 0 286.278 2.884 20 5 CFBDRN CCC1(CC)CCCN(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000853563329 635036837 /nfs/dbraw/zinc/03/68/37/635036837.db2.gz RLQAQCGNPXTTBU-UHFFFAOYSA-N 0 0 266.345 2.651 20 5 CFBDRN COc1cc(N2CC[C@H]3C[C@H]32)c(Cl)cc1[N+](=O)[O-] ZINC000853569307 635038060 /nfs/dbraw/zinc/03/80/60/635038060.db2.gz OWZGVKSOLURAAP-IONNQARKSA-N 0 0 268.700 2.855 20 5 CFBDRN COc1cc(N2CCC[C@H]3C[C@H]32)c([N+](=O)[O-])cc1C ZINC000853612349 635049574 /nfs/dbraw/zinc/04/95/74/635049574.db2.gz HMERCNSINLNEOC-WDEREUQCSA-N 0 0 262.309 2.901 20 5 CFBDRN Cc1cc(N2CCC3(CC2)OCCCO3)c([N+](=O)[O-])s1 ZINC000853646954 635059563 /nfs/dbraw/zinc/05/95/63/635059563.db2.gz HXEVJEXPSKOYDD-UHFFFAOYSA-N 0 0 298.364 2.698 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@@H]2C(F)F)c1 ZINC000853650484 635060560 /nfs/dbraw/zinc/06/05/60/635060560.db2.gz ROZANDFTVXJESP-MRVPVSSYSA-N 0 0 276.214 2.586 20 5 CFBDRN CC1=NO[C@@H](CNc2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000853661373 635065931 /nfs/dbraw/zinc/06/59/31/635065931.db2.gz UPUSJHNPQBYDOX-SNVBAGLBSA-N 0 0 286.291 2.720 20 5 CFBDRN COC(OC)[C@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000853681618 635073311 /nfs/dbraw/zinc/07/33/11/635073311.db2.gz TZQWTBCJNYVWSD-VIFPVBQESA-N 0 0 291.307 2.562 20 5 CFBDRN O=[N+]([O-])c1cc(Cn2cc3c(n2)CCOC3)ccc1Cl ZINC000853708365 635081661 /nfs/dbraw/zinc/08/16/61/635081661.db2.gz NVDAXIBQIRRNAB-UHFFFAOYSA-N 0 0 293.710 2.566 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@]2(C)COC[C@]2(C)C1 ZINC000853812907 635114275 /nfs/dbraw/zinc/11/42/75/635114275.db2.gz QIXSWAILACXPSV-GASCZTMLSA-N 0 0 276.336 2.766 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2COC3(CCC3)C2)s1 ZINC000853826918 635120057 /nfs/dbraw/zinc/12/00/57/635120057.db2.gz FBVBUMZYZNANPM-MRVPVSSYSA-N 0 0 254.311 2.780 20 5 CFBDRN O=[N+]([O-])c1ccsc1N[C@@H]1COC2(CCC2)C1 ZINC000853829826 635121047 /nfs/dbraw/zinc/12/10/47/635121047.db2.gz XNZALBSADZTHRW-QMMMGPOBSA-N 0 0 254.311 2.780 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@H]1COC2(CCC2)C1 ZINC000853829347 635121283 /nfs/dbraw/zinc/12/12/83/635121283.db2.gz UYPDWZDRDHYNJJ-LLVKDONJSA-N 0 0 290.319 2.921 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1C[C@H](O)c2ccccc21 ZINC000853836315 635123324 /nfs/dbraw/zinc/12/33/24/635123324.db2.gz BGJGGLVPUKCWAD-KBPBESRZSA-N 0 0 285.303 2.889 20 5 CFBDRN Cc1cnc(N[C@@H]2C[C@@H](O)c3ccccc32)c([N+](=O)[O-])c1 ZINC000853840527 635126396 /nfs/dbraw/zinc/12/63/96/635126396.db2.gz UENKVZMCBSIEOS-TZMCWYRMSA-N 0 0 285.303 2.889 20 5 CFBDRN C[C@H]1CCC[C@H](CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])O1 ZINC000853848635 635131392 /nfs/dbraw/zinc/13/13/92/635131392.db2.gz ABAGVLXOGIVJNT-GXSJLCMTSA-N 0 0 295.295 2.873 20 5 CFBDRN C[C@]1(CNc2ccc(N)cc2[N+](=O)[O-])CC1(F)F ZINC000853848914 635131787 /nfs/dbraw/zinc/13/17/87/635131787.db2.gz DWZMVWLLAXSCRM-SNVBAGLBSA-N 0 0 257.240 2.634 20 5 CFBDRN C[C@@]1(CNc2nc3ccccn3c2[N+](=O)[O-])CC1(F)F ZINC000853850573 635131942 /nfs/dbraw/zinc/13/19/42/635131942.db2.gz UWVODICFTVZFBJ-NSHDSACASA-N 0 0 282.250 2.700 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@H](CCF)C2)n1 ZINC000853853855 635132956 /nfs/dbraw/zinc/13/29/56/635132956.db2.gz AAMPCWKERWNZPT-LLVKDONJSA-N 0 0 267.304 2.874 20 5 CFBDRN CCCCCC(=O)OCc1onc(C)c1[N+](=O)[O-] ZINC000853882866 635145215 /nfs/dbraw/zinc/14/52/15/635145215.db2.gz WVECQKSHJBNEIS-UHFFFAOYSA-N 0 0 256.258 2.515 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NCC1CCC(O)CC1 ZINC000853905144 635154860 /nfs/dbraw/zinc/15/48/60/635154860.db2.gz MWKAUVFOMBPURY-UHFFFAOYSA-N 0 0 292.335 2.760 20 5 CFBDRN CCC(=CC(=O)OCc1onc(C)c1[N+](=O)[O-])CC ZINC000853904608 635155033 /nfs/dbraw/zinc/15/50/33/635155033.db2.gz UFSNKLFEQVFLOO-UHFFFAOYSA-N 0 0 268.269 2.681 20 5 CFBDRN Cc1noc(COC(=O)C(C)(C)C2CCC2)c1[N+](=O)[O-] ZINC000853919686 635163674 /nfs/dbraw/zinc/16/36/74/635163674.db2.gz HRRJWBRNDNXPJC-UHFFFAOYSA-N 0 0 282.296 2.761 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCC1SCCS1 ZINC000853938053 635170840 /nfs/dbraw/zinc/17/08/40/635170840.db2.gz FXZNBMFICAUHOU-UHFFFAOYSA-N 0 0 286.378 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@]34COC[C@@]3(C2)CCCC4)cc1 ZINC000853988625 635186289 /nfs/dbraw/zinc/18/62/89/635186289.db2.gz CTUTUPASRLENII-IYBDPMFKSA-N 0 0 288.347 2.992 20 5 CFBDRN CCn1nccc1CNc1cccc(F)c1[N+](=O)[O-] ZINC000853998614 635187114 /nfs/dbraw/zinc/18/71/14/635187114.db2.gz ATQWMVUPANLKIT-UHFFFAOYSA-N 0 0 264.260 2.562 20 5 CFBDRN Cc1ncc(-c2cnn([C@H]3CCCCO3)c2)cc1[N+](=O)[O-] ZINC000854208992 635254730 /nfs/dbraw/zinc/25/47/30/635254730.db2.gz CMYNVIVVMCZDTF-CQSZACIVSA-N 0 0 288.307 2.861 20 5 CFBDRN C/C(=C/c1ccc([N+](=O)[O-])cc1)C(=O)N1COCC1(C)C ZINC000854327254 635291112 /nfs/dbraw/zinc/29/11/12/635291112.db2.gz KEEKKQHUVSGJGR-FLIBITNWSA-N 0 0 290.319 2.593 20 5 CFBDRN Nc1ccc(NC(=O)[C@@H]2C[C@H]2C2CCCC2)cc1[N+](=O)[O-] ZINC000854496015 635317864 /nfs/dbraw/zinc/31/78/64/635317864.db2.gz ASFFYYPJHOKPJH-NWDGAFQWSA-N 0 0 289.335 2.942 20 5 CFBDRN C[C@H](C(=O)Nc1ccc(N)c([N+](=O)[O-])c1)C(C)(C)C ZINC000854497753 635318263 /nfs/dbraw/zinc/31/82/63/635318263.db2.gz XLVCLEZMDLHUKH-MRVPVSSYSA-N 0 0 265.313 2.798 20 5 CFBDRN CC(=O)CCC(C)(C)C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 ZINC000854497696 635318423 /nfs/dbraw/zinc/31/84/23/635318423.db2.gz VJELLFVQWJDPBW-UHFFFAOYSA-N 0 0 293.323 2.511 20 5 CFBDRN O=C(OCc1cccc([N+](=O)[O-])c1)[C@@H]1COc2ccccc21 ZINC000113038462 635462172 /nfs/dbraw/zinc/46/21/72/635462172.db2.gz XLLDRVGSOPUADV-CQSZACIVSA-N 0 0 299.282 2.814 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000855388014 635527550 /nfs/dbraw/zinc/52/75/50/635527550.db2.gz MORVTZJMUAKACZ-LLVKDONJSA-N 0 0 293.367 2.827 20 5 CFBDRN O=C(N[C@H]1C=CCC1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000855418731 635535266 /nfs/dbraw/zinc/53/52/66/635535266.db2.gz HCYIJJLRHGQMTA-NSHDSACASA-N 0 0 287.319 2.618 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N[C@H]1C=CCC1 ZINC000855419932 635536426 /nfs/dbraw/zinc/53/64/26/635536426.db2.gz UWGLNUIUHVJMSS-JTQLQIEISA-N 0 0 278.333 2.522 20 5 CFBDRN CC(C)(C(=O)N[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000855431175 635543559 /nfs/dbraw/zinc/54/35/59/635543559.db2.gz SSBMBIRMVRURRA-SNVBAGLBSA-N 0 0 292.310 2.846 20 5 CFBDRN CC(C)(C)OCCCNC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000855705425 635620913 /nfs/dbraw/zinc/62/09/13/635620913.db2.gz NYVHIDXTHKQQMH-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN CCCCCCNC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000045316871 650210891 /nfs/dbraw/zinc/21/08/91/650210891.db2.gz RSBLHCPHULYCLD-UHFFFAOYSA-N 0 0 290.323 2.781 20 5 CFBDRN CC12CC(C1)CN2C(=O)c1cccc(Cl)c1[N+](=O)[O-] ZINC000856511423 635829677 /nfs/dbraw/zinc/82/96/77/635829677.db2.gz YRQFXWPXDVPEHG-UHFFFAOYSA-N 0 0 280.711 2.873 20 5 CFBDRN Nc1ccc(NCC[C@H]2CC2(F)F)c([N+](=O)[O-])c1 ZINC000856779168 635858475 /nfs/dbraw/zinc/85/84/75/635858475.db2.gz UZGJMHFADVZCNT-ZETCQYMHSA-N 0 0 257.240 2.634 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC[C@@H]2CC2(Cl)Cl)cn1 ZINC000856783767 635858829 /nfs/dbraw/zinc/85/88/29/635858829.db2.gz GSCIFXOGNNZISA-SSDOTTSWSA-N 0 0 276.123 2.986 20 5 CFBDRN CCc1ccc(C)nc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000857306345 635962848 /nfs/dbraw/zinc/96/28/48/635962848.db2.gz AWMWQUNATDXETD-UHFFFAOYSA-N 0 0 279.340 2.726 20 5 CFBDRN CO[C@@H]1CC[C@@H](C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC000857573004 635985527 /nfs/dbraw/zinc/98/55/27/635985527.db2.gz NQVVZOMHMBKQDA-VXGBXAGGSA-N 0 0 293.319 2.932 20 5 CFBDRN CO[C@@H](C(=O)Oc1c(C)cccc1[N+](=O)[O-])C1CCC1 ZINC000857600185 635991826 /nfs/dbraw/zinc/99/18/26/635991826.db2.gz MNJTYLPFLHJPJD-CYBMUJFWSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)Oc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 ZINC000857637355 635999815 /nfs/dbraw/zinc/99/98/15/635999815.db2.gz ZXFHWFKTKULPFY-NWDGAFQWSA-N 0 0 294.263 2.701 20 5 CFBDRN CO[C@H](C)CC(=O)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000857664362 636005447 /nfs/dbraw/zinc/00/54/47/636005447.db2.gz BNUYGAMZYUYWPP-LLVKDONJSA-N 0 0 292.335 2.689 20 5 CFBDRN Cn1cc2c(n1)CCC[C@H]2Nc1sccc1[N+](=O)[O-] ZINC000857898170 636054129 /nfs/dbraw/zinc/05/41/29/636054129.db2.gz GMTHCCXDQNGQEJ-SECBINFHSA-N 0 0 278.337 2.879 20 5 CFBDRN Cc1cc(F)cc2c1N(Cn1nccc1[N+](=O)[O-])CCC2 ZINC000158612341 650231810 /nfs/dbraw/zinc/23/18/10/650231810.db2.gz IHHPNPLXXRKSOT-UHFFFAOYSA-N 0 0 290.298 2.649 20 5 CFBDRN Cc1nc(CC(=O)Oc2cccc([N+](=O)[O-])c2)c(C)s1 ZINC000158632086 650232652 /nfs/dbraw/zinc/23/26/52/650232652.db2.gz RAGFTZFCGCSVAB-UHFFFAOYSA-N 0 0 292.316 2.816 20 5 CFBDRN CCc1ccc(OC(=O)[C@H](C)OCC2CC2)c([N+](=O)[O-])c1 ZINC000115926432 636152498 /nfs/dbraw/zinc/15/24/98/636152498.db2.gz DPXUNWMCYRRBFX-JTQLQIEISA-N 0 0 293.319 2.878 20 5 CFBDRN CC(C)C[C@@H](O)CNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000858373366 636211951 /nfs/dbraw/zinc/21/19/51/636211951.db2.gz CYWIKNPVEMFZKI-GFCCVEGCSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H]1CN(c2ccc(N)cc2[N+](=O)[O-])C[C@@H](C)C1(F)F ZINC000858664088 636318976 /nfs/dbraw/zinc/31/89/76/636318976.db2.gz FBFDWTAOMXEBNH-RKDXNWHRSA-N 0 0 285.294 2.905 20 5 CFBDRN O=[N+]([O-])c1ccc(NCC2CC=CC2)c2nonc21 ZINC000858680853 636323450 /nfs/dbraw/zinc/32/34/50/636323450.db2.gz AGSSKNSPDKGERW-UHFFFAOYSA-N 0 0 260.253 2.509 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000858729966 636334232 /nfs/dbraw/zinc/33/42/32/636334232.db2.gz QYDZUCGDVSGPFP-KGLIPLIRSA-N 0 0 296.323 2.591 20 5 CFBDRN CCOC(=O)C1=CC[C@@H](Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000858733581 636335400 /nfs/dbraw/zinc/33/54/00/636335400.db2.gz HMBALZKATOGKGJ-CYBMUJFWSA-N 0 0 290.319 2.967 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H]1CO[C@H](C2CC2)C1 ZINC000858808500 636348938 /nfs/dbraw/zinc/34/89/38/636348938.db2.gz HPHMKWCVLZKOFU-NHYWBVRUSA-N 0 0 290.319 2.777 20 5 CFBDRN CCCOCCOC(=O)Oc1ccc(C)cc1[N+](=O)[O-] ZINC000858884056 636370655 /nfs/dbraw/zinc/37/06/55/636370655.db2.gz CIORDCRVZXFIOP-UHFFFAOYSA-N 0 0 283.280 2.845 20 5 CFBDRN CC(C)CCOC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000858905432 636378743 /nfs/dbraw/zinc/37/87/43/636378743.db2.gz XROHEYMSSBAYEC-UHFFFAOYSA-N 0 0 266.297 2.867 20 5 CFBDRN COc1ccc(CNC(=O)OCCC(C)C)cc1[N+](=O)[O-] ZINC000858914397 636382381 /nfs/dbraw/zinc/38/23/81/636382381.db2.gz SNDYAKSWTHRBEY-UHFFFAOYSA-N 0 0 296.323 2.876 20 5 CFBDRN Cc1cc(C)c2c(c1)CCCN2Cn1nccc1[N+](=O)[O-] ZINC000159425086 650260589 /nfs/dbraw/zinc/26/05/89/650260589.db2.gz YOWHWXBDOXVMDG-UHFFFAOYSA-N 0 0 286.335 2.818 20 5 CFBDRN Cn1nc(CN2CCc3c2cccc3[N+](=O)[O-])cc1Cl ZINC000859206456 636503429 /nfs/dbraw/zinc/50/34/29/636503429.db2.gz GSYGPIQHJAKVDS-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN CCO[C@@H](COC(=O)c1cccc(C)c1[N+](=O)[O-])C1CC1 ZINC000859443209 636565316 /nfs/dbraw/zinc/56/53/16/636565316.db2.gz ZQKPEDVWSVDDII-ZDUSSCGKSA-N 0 0 293.319 2.875 20 5 CFBDRN Cc1c([N+](=O)[O-])cc(C(=O)OC[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000859506261 636583754 /nfs/dbraw/zinc/58/37/54/636583754.db2.gz IGFMVUDXBGFYNO-XVKPBYJWSA-N 0 0 294.263 2.624 20 5 CFBDRN C[C@H](C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000859578775 636608083 /nfs/dbraw/zinc/60/80/83/636608083.db2.gz BGZVEYKTNCVUSU-QMMMGPOBSA-N 0 0 295.266 2.506 20 5 CFBDRN C[C@H]1C[C@H]1COC(=O)c1c(F)ccc([N+](=O)[O-])c1F ZINC000859584363 636609702 /nfs/dbraw/zinc/60/97/02/636609702.db2.gz QOCBVIXJXNUACK-BQBZGAKWSA-N 0 0 271.219 2.686 20 5 CFBDRN Cc1cc(F)cc(C(=O)OC[C@@H]2C[C@@H]2C)c1[N+](=O)[O-] ZINC000859583497 636610487 /nfs/dbraw/zinc/61/04/87/636610487.db2.gz GAQRJYFEMXKZRK-CBAPKCEASA-N 0 0 267.256 2.855 20 5 CFBDRN CC(C)/C=C\C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000859659915 636642229 /nfs/dbraw/zinc/64/22/29/636642229.db2.gz FUGLPCGLEJRQJH-UTCJRWHESA-N 0 0 295.266 2.672 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000859767894 636686354 /nfs/dbraw/zinc/68/63/54/636686354.db2.gz ZMXYOROUJKJXJT-GXFFZTMASA-N 0 0 295.335 2.996 20 5 CFBDRN CO[C@H](C)COC(=O)c1cc([N+](=O)[O-])cc2ccccc21 ZINC000859811975 636703426 /nfs/dbraw/zinc/70/34/26/636703426.db2.gz YIQAOTURBQXMAQ-SNVBAGLBSA-N 0 0 289.287 2.940 20 5 CFBDRN COCCCCCOC(=O)c1ccc(OC)c([N+](=O)[O-])c1 ZINC000116989888 636713623 /nfs/dbraw/zinc/71/36/23/636713623.db2.gz HMCJRMVFUYBXQE-UHFFFAOYSA-N 0 0 297.307 2.577 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2ccc([N+](=O)[O-])nc2)cc1 ZINC000048738702 650277349 /nfs/dbraw/zinc/27/73/49/650277349.db2.gz MPHWGQLFTPQSMZ-UHFFFAOYSA-N 0 0 288.263 2.553 20 5 CFBDRN CCC[C@H](OCC)C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 ZINC000859837342 636718219 /nfs/dbraw/zinc/71/82/19/636718219.db2.gz CJLGLBILDHAXFC-ZDUSSCGKSA-N 0 0 299.298 2.982 20 5 CFBDRN COC1CC(C(=O)O[C@H](C)c2ccc([N+](=O)[O-])cc2)C1 ZINC000859846963 636722729 /nfs/dbraw/zinc/72/27/29/636722729.db2.gz OBIXHFJCQUWARO-CBZQXFMTSA-N 0 0 279.292 2.624 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)C12CC(C1)C2 ZINC000860001121 636758459 /nfs/dbraw/zinc/75/84/59/636758459.db2.gz WCSGEOAEEMZEIG-UHFFFAOYSA-N 0 0 280.711 2.665 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)OCc1csc([N+](=O)[O-])c1 ZINC000860140040 636800728 /nfs/dbraw/zinc/80/07/28/636800728.db2.gz BYWBHKYZOYYASD-JXVAYASWSA-N 0 0 299.348 2.759 20 5 CFBDRN C[C@@H](OC(=O)C12CC(C1)C2)c1ccccc1[N+](=O)[O-] ZINC000860271559 636844872 /nfs/dbraw/zinc/84/48/72/636844872.db2.gz MGALYNCTXHSONZ-NAUIOFCNSA-N 0 0 261.277 2.999 20 5 CFBDRN O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)C12CC(C1)C2 ZINC000860270418 636845115 /nfs/dbraw/zinc/84/51/15/636845115.db2.gz JYSDSPJSAWYMMF-UHFFFAOYSA-N 0 0 288.259 2.579 20 5 CFBDRN CCO[C@@H](C(=O)OCc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000860363790 636867053 /nfs/dbraw/zinc/86/70/53/636867053.db2.gz FKAQIJWBRGTEGX-CYBMUJFWSA-N 0 0 299.298 2.838 20 5 CFBDRN CCO[C@H](C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)C(C)C ZINC000860368632 636867883 /nfs/dbraw/zinc/86/78/83/636867883.db2.gz FZVLTJHCUFTMPM-ZDUSSCGKSA-N 0 0 299.298 2.838 20 5 CFBDRN CCO[C@H](C(=O)OCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000860369469 636868813 /nfs/dbraw/zinc/86/88/13/636868813.db2.gz CVASLKSOGAAJQE-ZDUSSCGKSA-N 0 0 281.308 2.699 20 5 CFBDRN C[C@H](COC(=O)Cc1cc(Cl)cc(Cl)c1)[N+](=O)[O-] ZINC000860776316 636969114 /nfs/dbraw/zinc/96/91/14/636969114.db2.gz DPZBOBATJLOSKA-SSDOTTSWSA-N 0 0 292.118 2.744 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000860911685 637014703 /nfs/dbraw/zinc/01/47/03/637014703.db2.gz SYXQWTXXIDGQGV-UHFFFAOYSA-N 0 0 278.091 2.817 20 5 CFBDRN O=C(/C=C\c1ccc(Cl)cc1)OCCC[N+](=O)[O-] ZINC000860911515 637014707 /nfs/dbraw/zinc/01/47/07/637014707.db2.gz NQARYXVBQZHTPD-DAXSKMNVSA-N 0 0 269.684 2.563 20 5 CFBDRN Cc1ccc(SCC(=O)OCCC[N+](=O)[O-])c(C)c1 ZINC000860914227 637015031 /nfs/dbraw/zinc/01/50/31/637015031.db2.gz RKVQMXXVEXDKCR-UHFFFAOYSA-N 0 0 283.349 2.606 20 5 CFBDRN Cc1cc(OCC(=O)OCCC[N+](=O)[O-])ccc1C(C)C ZINC000860912584 637015042 /nfs/dbraw/zinc/01/50/42/637015042.db2.gz SZNGIIFTPBDIAF-UHFFFAOYSA-N 0 0 295.335 2.707 20 5 CFBDRN O=C(CCCC1CCCCC1)OCCC[N+](=O)[O-] ZINC000860913014 637015411 /nfs/dbraw/zinc/01/54/11/637015411.db2.gz LLTGVLRGXCEGRP-UHFFFAOYSA-N 0 0 257.330 2.947 20 5 CFBDRN CC[C@H](C)c1ccccc1OCC(=O)OCCC[N+](=O)[O-] ZINC000860914260 637015661 /nfs/dbraw/zinc/01/56/61/637015661.db2.gz SLOPFSHMODBYHG-LBPRGKRZSA-N 0 0 295.335 2.789 20 5 CFBDRN C/C(=C/c1ccc(Cl)cc1)C(=O)OCCC[N+](=O)[O-] ZINC000860916918 637015983 /nfs/dbraw/zinc/01/59/83/637015983.db2.gz BWICCGZYMADGPR-KTKRTIGZSA-N 0 0 283.711 2.953 20 5 CFBDRN Cc1nc(C(C)(C)C)sc1C(=O)OCCC[N+](=O)[O-] ZINC000860916230 637016106 /nfs/dbraw/zinc/01/61/06/637016106.db2.gz ORDHDRBQGKBZNO-UHFFFAOYSA-N 0 0 286.353 2.573 20 5 CFBDRN CC1(C)CCC(CC(=O)OCCC[N+](=O)[O-])CC1 ZINC000860917688 637016158 /nfs/dbraw/zinc/01/61/58/637016158.db2.gz QKEVQWUGKUJPRL-UHFFFAOYSA-N 0 0 257.330 2.803 20 5 CFBDRN C/C(=C\C(=O)OCCC[N+](=O)[O-])c1ccccc1Cl ZINC000860915506 637016236 /nfs/dbraw/zinc/01/62/36/637016236.db2.gz GVCQNBVTCXLWFB-MDZDMXLPSA-N 0 0 283.711 2.953 20 5 CFBDRN C/C(=C/c1cccc(Cl)c1)C(=O)OCCC[N+](=O)[O-] ZINC000860917559 637016501 /nfs/dbraw/zinc/01/65/01/637016501.db2.gz NJZPADNOLOGBJA-NTMALXAHSA-N 0 0 283.711 2.953 20 5 CFBDRN CC[C@H](CC(=O)OCCC[N+](=O)[O-])c1ccc(OC)cc1 ZINC000860917731 637017036 /nfs/dbraw/zinc/01/70/36/637017036.db2.gz SKGRLIFIUHPGSO-GFCCVEGCSA-N 0 0 295.335 2.789 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])C1(Cc2cccc(Cl)c2)CC1 ZINC000860918658 637017363 /nfs/dbraw/zinc/01/73/63/637017363.db2.gz CBAZVLXLAQGNHL-UHFFFAOYSA-N 0 0 297.738 2.873 20 5 CFBDRN O=C(C[C@H]1OCc2cc(Cl)ccc21)OCCC[N+](=O)[O-] ZINC000860922186 637017948 /nfs/dbraw/zinc/01/79/48/637017948.db2.gz KZNOFSCEKCSBCB-GFCCVEGCSA-N 0 0 299.710 2.511 20 5 CFBDRN Cc1oc2ccccc2c1C(=O)OCCC[N+](=O)[O-] ZINC000860920958 637018123 /nfs/dbraw/zinc/01/81/23/637018123.db2.gz KNQQTXMCRXGINS-UHFFFAOYSA-N 0 0 263.249 2.565 20 5 CFBDRN O=C(OCCC[N+](=O)[O-])[C@@H]1CC[C@@H]1c1ccc(F)cc1 ZINC000860922468 637018371 /nfs/dbraw/zinc/01/83/71/637018371.db2.gz ABGPCHHDJIIJMP-CHWSQXEVSA-N 0 0 281.283 2.529 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)OC[C@H]2C[C@@H]2C(C)(C)C)n1 ZINC000861115704 637094562 /nfs/dbraw/zinc/09/45/62/637094562.db2.gz PFEVMQGEIVANLU-ZJUUUORDSA-N 0 0 295.339 2.650 20 5 CFBDRN COc1cc(C(=O)OCC(C)(F)F)c([N+](=O)[O-])cc1F ZINC000861221789 637120966 /nfs/dbraw/zinc/12/09/66/637120966.db2.gz NUZUIVPHEBCSKE-UHFFFAOYSA-N 0 0 293.197 2.555 20 5 CFBDRN Cn1c(C(=O)O[C@@H]2CCC=CCCC2)ccc1[N+](=O)[O-] ZINC000861229656 637126038 /nfs/dbraw/zinc/12/60/38/637126038.db2.gz COXHKQJQBQURIG-LLVKDONJSA-N 0 0 278.308 2.979 20 5 CFBDRN CCOCCCOC(=O)c1cc(SC)ccc1[N+](=O)[O-] ZINC000118151792 637140929 /nfs/dbraw/zinc/14/09/29/637140929.db2.gz GKOCGTRIPPQQSF-UHFFFAOYSA-N 0 0 299.348 2.900 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC[C@H]1C1CC1 ZINC000861875832 637248335 /nfs/dbraw/zinc/24/83/35/637248335.db2.gz USCBQZWAFWUCQY-JTQLQIEISA-N 0 0 280.711 2.873 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2Cl)C1 ZINC000862177240 637284357 /nfs/dbraw/zinc/28/43/57/637284357.db2.gz RHXJMDGINAFYSP-KYZUINATSA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCC1CC=CC1 ZINC000862628119 637455332 /nfs/dbraw/zinc/45/53/32/637455332.db2.gz VMGVBSPTRNGLFR-JXMROGBWSA-N 0 0 272.304 2.690 20 5 CFBDRN COC[C@@H](CNC(=O)c1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000885817020 637459552 /nfs/dbraw/zinc/45/95/52/637459552.db2.gz GNHWNHBAAKMLQF-CYBMUJFWSA-N 0 0 294.351 2.552 20 5 CFBDRN O=[N+]([O-])c1cnn(CN(Cc2ccc(F)cc2)C2CC2)c1 ZINC000075581620 650337772 /nfs/dbraw/zinc/33/77/72/650337772.db2.gz NGAQTZDCDRAFFZ-UHFFFAOYSA-N 0 0 290.298 2.553 20 5 CFBDRN C/C=C\CNC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl ZINC000863113312 637560249 /nfs/dbraw/zinc/56/02/49/637560249.db2.gz UEGPXOSVDKNMPL-ARJAWSKDSA-N 0 0 268.700 2.863 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2cc(Cl)n(C)n2)c1 ZINC000863264323 637609673 /nfs/dbraw/zinc/60/96/73/637609673.db2.gz FVDNATDDSHXXDC-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(OCc2cc(Cl)n(C)n2)n1 ZINC000863319879 637625629 /nfs/dbraw/zinc/62/56/29/637625629.db2.gz VDVQXEZVDOXBDA-UHFFFAOYSA-N 0 0 296.714 2.573 20 5 CFBDRN O=[N+]([O-])c1cc(NCc2noc(C3CCC3)n2)ccc1F ZINC000863343852 637632525 /nfs/dbraw/zinc/63/25/25/637632525.db2.gz QLJZMZOUJSTXEA-UHFFFAOYSA-N 0 0 292.270 2.997 20 5 CFBDRN CCO[C@H](COc1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CC1 ZINC000863347449 637634712 /nfs/dbraw/zinc/63/47/12/637634712.db2.gz OPHSCNLFJHPTSF-CYBMUJFWSA-N 0 0 296.279 2.697 20 5 CFBDRN O=[N+]([O-])c1cnn(CCCCc2cccc(Cl)c2)n1 ZINC000863370424 637644094 /nfs/dbraw/zinc/64/40/94/637644094.db2.gz BZHJEUKCWUWZIH-UHFFFAOYSA-N 0 0 280.715 2.863 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2ccnc2C2CC2)c([N+](=O)[O-])c1 ZINC000863387242 637647366 /nfs/dbraw/zinc/64/73/66/637647366.db2.gz ZWHNTCIIIFYBIA-UHFFFAOYSA-N 0 0 288.263 2.625 20 5 CFBDRN CCOC(COc1c(F)cc(F)cc1[N+](=O)[O-])OCC ZINC000075914396 650354435 /nfs/dbraw/zinc/35/44/35/650354435.db2.gz MKLHCYKYPCSNEH-UHFFFAOYSA-N 0 0 291.250 2.651 20 5 CFBDRN CC(C)(C)OC(=O)NOCc1c(F)cccc1[N+](=O)[O-] ZINC000863461280 637666837 /nfs/dbraw/zinc/66/68/37/637666837.db2.gz BCPXQDQHPLVLNY-UHFFFAOYSA-N 0 0 286.259 2.690 20 5 CFBDRN Cc1ccc(CNc2cccc3c2C(=O)NC3)cc1[N+](=O)[O-] ZINC000863996123 637833813 /nfs/dbraw/zinc/83/38/13/637833813.db2.gz OSHAWUQCYNBVMP-UHFFFAOYSA-N 0 0 297.314 2.759 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc3nonc3c2)c(F)c1 ZINC000864007354 637834521 /nfs/dbraw/zinc/83/45/21/637834521.db2.gz HKIJIPWLZUENSE-UHFFFAOYSA-N 0 0 288.238 2.882 20 5 CFBDRN CC(C)(C)OC(=O)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000864344914 637945408 /nfs/dbraw/zinc/94/54/08/637945408.db2.gz JYOHGLYKBJIJPY-UHFFFAOYSA-N 0 0 291.259 2.865 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000864406137 637965020 /nfs/dbraw/zinc/96/50/20/637965020.db2.gz WZJVGGWAYYJCGO-JTQLQIEISA-N 0 0 280.299 2.535 20 5 CFBDRN CC1CCN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])CC1 ZINC000864437457 637979310 /nfs/dbraw/zinc/97/93/10/637979310.db2.gz JMLUNMDTJMHKLI-UHFFFAOYSA-N 0 0 280.299 2.535 20 5 CFBDRN O=C([O-])[C@@H]1CCC[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1Cl ZINC000864526105 638011849 /nfs/dbraw/zinc/01/18/49/638011849.db2.gz SLRYPGKEJBNNIN-PWSUYJOCSA-N 0 0 298.726 2.591 20 5 CFBDRN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000864728017 638078210 /nfs/dbraw/zinc/07/82/10/638078210.db2.gz HKJGUILRGHJVGS-GJQVQUKXSA-N 0 0 286.331 2.776 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NCCC1CCCC1 ZINC000864765856 638084237 /nfs/dbraw/zinc/08/42/37/638084237.db2.gz GKMXCWPWJICIKE-UHFFFAOYSA-N 0 0 294.326 2.973 20 5 CFBDRN C[C@H]1CCC[C@@H](NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000864788625 638092882 /nfs/dbraw/zinc/09/28/82/638092882.db2.gz OSFKSNAOWSFMBZ-CMPLNLGQSA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@@H]1CCC[C@@H](NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000864788628 638092919 /nfs/dbraw/zinc/09/29/19/638092919.db2.gz OSFKSNAOWSFMBZ-ZYHUDNBSSA-N 0 0 294.326 2.971 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])[C@@]12C[C@@H]1CCCC2 ZINC000864906497 638120186 /nfs/dbraw/zinc/12/01/86/638120186.db2.gz PRIOPUYWNQAFDX-WFASDCNBSA-N 0 0 274.320 2.791 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@]12C[C@H]1CCCC2 ZINC000864979946 638145718 /nfs/dbraw/zinc/14/57/18/638145718.db2.gz WKKPDCLTSBMUFF-CZUORRHYSA-N 0 0 288.347 2.834 20 5 CFBDRN COC/C=C/C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000864991502 638149914 /nfs/dbraw/zinc/14/99/14/638149914.db2.gz CAKIMRVIDJTJJT-SNAWJCMRSA-N 0 0 292.335 2.534 20 5 CFBDRN CC[C@H]1CCCN1C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000865139958 638201876 /nfs/dbraw/zinc/20/18/76/638201876.db2.gz AGISTXULUBIKPK-NSHDSACASA-N 0 0 280.299 2.677 20 5 CFBDRN Cc1ccc(CNC(=S)NCC(C)C)cc1[N+](=O)[O-] ZINC000865264354 638247837 /nfs/dbraw/zinc/24/78/37/638247837.db2.gz URTYRZAONMIQCX-UHFFFAOYSA-N 0 0 281.381 2.523 20 5 CFBDRN Cc1ccc(CNC(=S)NC(C)(C)C)cc1[N+](=O)[O-] ZINC000865264355 638248432 /nfs/dbraw/zinc/24/84/32/638248432.db2.gz UTVVKSJPNKHCPO-UHFFFAOYSA-N 0 0 281.381 2.666 20 5 CFBDRN Cc1ccc(CSc2nnnn2C(C)C)cc1[N+](=O)[O-] ZINC000865350286 638277264 /nfs/dbraw/zinc/27/72/64/638277264.db2.gz FESWGCPFTSOIIW-UHFFFAOYSA-N 0 0 293.352 2.763 20 5 CFBDRN CC(C)(C)SCCCn1ccc(=O)c([N+](=O)[O-])c1 ZINC000865357509 638281981 /nfs/dbraw/zinc/28/19/81/638281981.db2.gz IURDYLASDSDEHO-UHFFFAOYSA-N 0 0 270.354 2.678 20 5 CFBDRN O=c1oc(-c2ccc([N+](=O)[O-])cc2)nn1CCCC1CC1 ZINC000865360867 638282885 /nfs/dbraw/zinc/28/28/85/638282885.db2.gz GGKNOQPTYHFEEW-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN CCc1nc(C)cn1CC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000865394349 638306218 /nfs/dbraw/zinc/30/62/18/638306218.db2.gz DMGGKOFQPSAWAY-UHFFFAOYSA-N 0 0 291.282 2.684 20 5 CFBDRN C[C@H]1C[C@H](C)CN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865399383 638310100 /nfs/dbraw/zinc/31/01/00/638310100.db2.gz NZKKIRLTDMQYHD-UWVGGRQHSA-N 0 0 282.315 2.709 20 5 CFBDRN COC(=O)CSc1ccc([N+](=O)[O-])cc1C(F)F ZINC000865405812 638315303 /nfs/dbraw/zinc/31/53/03/638315303.db2.gz XACKNDDYQHWPAQ-UHFFFAOYSA-N 0 0 277.248 2.798 20 5 CFBDRN CN(Cc1ncc[nH]1)c1ccc([N+](=O)[O-])cc1C(F)F ZINC000865541280 638409449 /nfs/dbraw/zinc/40/94/49/638409449.db2.gz GKDGLAQNRGAWLA-UHFFFAOYSA-N 0 0 282.250 2.892 20 5 CFBDRN C[C@@H]1CCCCN(c2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865684192 638510121 /nfs/dbraw/zinc/51/01/21/638510121.db2.gz QBRMWFYFSFKDTE-SNVBAGLBSA-N 0 0 282.315 2.853 20 5 CFBDRN CC(C)C[C@H]1CCCN(Cn2cc([N+](=O)[O-])cn2)C1 ZINC000120694453 638525119 /nfs/dbraw/zinc/52/51/19/638525119.db2.gz GWBHQNWNSNTMFW-GFCCVEGCSA-N 0 0 266.345 2.507 20 5 CFBDRN C[C@H]1CC[C@H](Nc2cc(F)c([N+](=O)[O-])cc2CO)C1 ZINC000865709066 638528007 /nfs/dbraw/zinc/52/80/07/638528007.db2.gz MZINOLCZTKDIHE-WPRPVWTQSA-N 0 0 268.288 2.827 20 5 CFBDRN CC(C)=CCNc1cc(F)c([N+](=O)[O-])cc1CO ZINC000865721800 638534992 /nfs/dbraw/zinc/53/49/92/638534992.db2.gz GJFYTGRNLGELBF-UHFFFAOYSA-N 0 0 254.261 2.604 20 5 CFBDRN O=[N+]([O-])c1cc(CO)c(N2C[C@@H]3CCCC[C@@H]3C2)cc1F ZINC000865852381 638614558 /nfs/dbraw/zinc/61/45/58/638614558.db2.gz ANZIOLHKDQMLCZ-PHIMTYICSA-N 0 0 294.326 2.853 20 5 CFBDRN CSC1CCN(c2ccc(N)cc2[N+](=O)[O-])CC1 ZINC000865987544 638688711 /nfs/dbraw/zinc/68/87/11/638688711.db2.gz FEPUMEMUPQOBJP-UHFFFAOYSA-N 0 0 267.354 2.509 20 5 CFBDRN COc1cc(NCC(C)(C)F)c([N+](=O)[O-])cc1OC ZINC000865987181 638688842 /nfs/dbraw/zinc/68/88/42/638688842.db2.gz UXHHMDKGNLUNRM-UHFFFAOYSA-N 0 0 272.276 2.772 20 5 CFBDRN Cc1ccc(N2CCC[C@H]3[C@@H]2C(C)(C)[C@H]3O)c([N+](=O)[O-])c1 ZINC000866000099 638692189 /nfs/dbraw/zinc/69/21/89/638692189.db2.gz PVZJJCQNGDUYAQ-GLQYFDAESA-N 0 0 290.363 2.889 20 5 CFBDRN CCC[C@@H](CCO)Nc1cc(OC)c(OC)cc1[N+](=O)[O-] ZINC000866003471 638693083 /nfs/dbraw/zinc/69/30/83/638693083.db2.gz SUIRTOWYVHTHNQ-JTQLQIEISA-N 0 0 298.339 2.575 20 5 CFBDRN CC(C)[C@H](C)CNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866008732 638695521 /nfs/dbraw/zinc/69/55/21/638695521.db2.gz LBWBLNKKABISPD-SNVBAGLBSA-N 0 0 282.315 2.685 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000866040890 638707503 /nfs/dbraw/zinc/70/75/03/638707503.db2.gz KUCVBQLGEFHBQN-ZDSQKVDBSA-N 0 0 278.308 2.775 20 5 CFBDRN Cc1cccc(N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)c1[N+](=O)[O-] ZINC000866043290 638708346 /nfs/dbraw/zinc/70/83/46/638708346.db2.gz JUNVOEVBHFZUOE-AQUXLQGKSA-N 0 0 274.320 2.739 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)c1 ZINC000866045238 638709825 /nfs/dbraw/zinc/70/98/25/638709825.db2.gz MAUDDWGAVIGPHK-LYEQHPFYSA-N 0 0 274.320 2.739 20 5 CFBDRN Cc1ccc(N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)c([N+](=O)[O-])c1 ZINC000866046520 638711069 /nfs/dbraw/zinc/71/10/69/638711069.db2.gz RZPFNNJUYQEDIT-YMYJPDDMSA-N 0 0 274.320 2.739 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000866072602 638724741 /nfs/dbraw/zinc/72/47/41/638724741.db2.gz UPTFASIBKWITNQ-MWLCHTKSSA-N 0 0 268.288 2.738 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000866083106 638728901 /nfs/dbraw/zinc/72/89/01/638728901.db2.gz KYXFYHHZORXQFA-MFKMUULPSA-N 0 0 293.323 2.626 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000866094633 638734243 /nfs/dbraw/zinc/73/42/43/638734243.db2.gz DAUZWZSDIVXPDI-HOMQSWHASA-N 0 0 281.337 2.610 20 5 CFBDRN CC/C=C(/F)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000866113660 638744154 /nfs/dbraw/zinc/74/41/54/638744154.db2.gz RAVWFWGDNBYCIO-VZUCSPMQSA-N 0 0 266.272 2.517 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](O)[C@H]3CCCC[C@@H]32)cc1 ZINC000866117453 638745271 /nfs/dbraw/zinc/74/52/71/638745271.db2.gz OAUISCAVGIKFOU-KKUMJFAQSA-N 0 0 276.336 2.725 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@H](C2CCC2)[C@H]2CCOC2)c(F)c1 ZINC000866140758 638755276 /nfs/dbraw/zinc/75/52/76/638755276.db2.gz FJAQLBMZRFZCQQ-GXFFZTMASA-N 0 0 295.314 2.746 20 5 CFBDRN Cc1cc(N[C@H](C2CCC2)[C@H]2CCOC2)ncc1[N+](=O)[O-] ZINC000866143987 638756495 /nfs/dbraw/zinc/75/64/95/638756495.db2.gz SRGPDBNDNVVFIQ-SWLSCSKDSA-N 0 0 291.351 2.915 20 5 CFBDRN COC(=O)[C@]12C[C@H]1C[C@H](Nc1ccc(C)cc1[N+](=O)[O-])C2 ZINC000866170201 638763055 /nfs/dbraw/zinc/76/30/55/638763055.db2.gz NMVQSNBEUGUFDO-ZETOZRRWSA-N 0 0 290.319 2.657 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@@H]2CCC23CCOCC3)c(F)c1 ZINC000866173544 638763479 /nfs/dbraw/zinc/76/34/79/638763479.db2.gz UZAMYMGPRSFQBE-JTQLQIEISA-N 0 0 295.314 2.748 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCC23CCOCC3)nc1 ZINC000866173708 638763772 /nfs/dbraw/zinc/76/37/72/638763772.db2.gz ZRXYCMRXMYQELE-NSHDSACASA-N 0 0 277.324 2.609 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCC12CCOCC2 ZINC000866174357 638763824 /nfs/dbraw/zinc/76/38/24/638763824.db2.gz JCUULHOWZUZWHN-GFCCVEGCSA-N 0 0 291.351 2.917 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1c2ccccc2CN1C ZINC000866195286 638767684 /nfs/dbraw/zinc/76/76/84/638767684.db2.gz QVMZFLVBBJCZJI-OAHLLOKOSA-N 0 0 298.346 2.897 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@@H]2CSC[C@H]2C1 ZINC000866202439 638769106 /nfs/dbraw/zinc/76/91/06/638769106.db2.gz USSIIUGUHJZPEX-NXEZZACHSA-N 0 0 282.340 2.923 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H]3CSC[C@H]3C2)cc1 ZINC000866205184 638769970 /nfs/dbraw/zinc/76/99/70/638769970.db2.gz BQLNDTSYXKVQRK-GHMZBOCLSA-N 0 0 264.350 2.784 20 5 CFBDRN Cc1cc(N2C[C@@H](O)CC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000866225797 638773916 /nfs/dbraw/zinc/77/39/16/638773916.db2.gz CPRCXNWTCXWGAZ-LBPRGKRZSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1C[C@@H](O)CC(C)(C)C1 ZINC000866227046 638774460 /nfs/dbraw/zinc/77/44/60/638774460.db2.gz RADPGLYWACPOJX-LBPRGKRZSA-N 0 0 264.325 2.500 20 5 CFBDRN CC(C)(O)C1CCN(c2ccc([N+](=O)[O-])s2)CC1 ZINC000866232334 638775818 /nfs/dbraw/zinc/77/58/18/638775818.db2.gz JWOMVBBQOBZLDM-UHFFFAOYSA-N 0 0 270.354 2.644 20 5 CFBDRN CC[C@H](C[C@@H](C)O)Nc1ccc([N+](=O)[O-])cc1COC ZINC000866300191 638791699 /nfs/dbraw/zinc/79/16/99/638791699.db2.gz SIXFMSYTPYDDHP-ZYHUDNBSSA-N 0 0 282.340 2.703 20 5 CFBDRN Cc1cnc(NCC[C@@H](O)C2CCCC2)c([N+](=O)[O-])c1 ZINC000866303202 638792599 /nfs/dbraw/zinc/79/25/99/638792599.db2.gz JMYGCFDNFPKRRU-CYBMUJFWSA-N 0 0 279.340 2.651 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCC[C@@H](O)C1CCCC1 ZINC000866304379 638792980 /nfs/dbraw/zinc/79/29/80/638792980.db2.gz WWJAAXGQCZQNQA-CYBMUJFWSA-N 0 0 279.340 2.651 20 5 CFBDRN CCON(CC)c1ccc(/C=C/C(=O)OC)cc1[N+](=O)[O-] ZINC000866307257 638793949 /nfs/dbraw/zinc/79/39/49/638793949.db2.gz VWIDGUIHGXYAPJ-VQHVLOKHSA-N 0 0 294.307 2.559 20 5 CFBDRN CC1(C)CCC[C@@H]1NC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000866397482 638814466 /nfs/dbraw/zinc/81/44/66/638814466.db2.gz HSPKJMRINBYXPN-LBPRGKRZSA-N 0 0 294.326 2.971 20 5 CFBDRN CC1(CNC(=O)Cc2cccc(F)c2[N+](=O)[O-])CCC1 ZINC000866398702 638815003 /nfs/dbraw/zinc/81/50/03/638815003.db2.gz MQFOYAYCSNDPRV-UHFFFAOYSA-N 0 0 280.299 2.583 20 5 CFBDRN Cc1cc(F)cc(C(=O)NCCCOC(C)C)c1[N+](=O)[O-] ZINC000121108029 638834973 /nfs/dbraw/zinc/83/49/73/638834973.db2.gz KNRYWVDYAPVXEM-UHFFFAOYSA-N 0 0 298.314 2.587 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867647913 638889132 /nfs/dbraw/zinc/88/91/32/638889132.db2.gz VIKIYVIZWSCCHW-GXSJLCMTSA-N 0 0 280.299 2.581 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000867733931 638895194 /nfs/dbraw/zinc/89/51/94/638895194.db2.gz QEFWSJBMJABAIK-KQURDKLPSA-N 0 0 288.347 2.812 20 5 CFBDRN CC1=C[C@@H](C)CN(C(=O)Cc2cccc(F)c2[N+](=O)[O-])C1 ZINC000867983323 638910931 /nfs/dbraw/zinc/91/09/31/638910931.db2.gz CVLCDEAVRBCWFW-SNVBAGLBSA-N 0 0 292.310 2.701 20 5 CFBDRN CC(C)(C)NC(=O)CCNc1cc(Cl)ccc1[N+](=O)[O-] ZINC000122130378 638941731 /nfs/dbraw/zinc/94/17/31/638941731.db2.gz FQJVUWGMAUEQMF-UHFFFAOYSA-N 0 0 299.758 2.965 20 5 CFBDRN O=C(N1CC2(CC2)C1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000868620277 638962840 /nfs/dbraw/zinc/96/28/40/638962840.db2.gz RSXKKQKCZPVAFH-UHFFFAOYSA-N 0 0 286.331 2.639 20 5 CFBDRN Cc1nc2c(cccc2NC(=O)CCC(C)(C)[N+](=O)[O-])o1 ZINC000868765375 638976738 /nfs/dbraw/zinc/97/67/38/638976738.db2.gz SGZOZLVJBHTVFV-UHFFFAOYSA-N 0 0 291.307 2.910 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])NC1(C2CCC2)CC1 ZINC000869147878 639046537 /nfs/dbraw/zinc/04/65/37/639046537.db2.gz ZVGFWZCEQUIECV-UHFFFAOYSA-N 0 0 292.310 2.725 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000869223984 639085821 /nfs/dbraw/zinc/08/58/21/639085821.db2.gz UZWLYCQJYVOYIY-JTQLQIEISA-N 0 0 282.315 2.923 20 5 CFBDRN O=C(N[C@H]1C=CCC1)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000869241618 639099279 /nfs/dbraw/zinc/09/92/79/639099279.db2.gz BHYIETWQKIHXLM-ZDUSSCGKSA-N 0 0 286.331 2.851 20 5 CFBDRN CC1(C)CO[C@@H](COC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000869314172 639165716 /nfs/dbraw/zinc/16/57/16/639165716.db2.gz JHOBRLQRPMRWES-SNVBAGLBSA-N 0 0 279.292 2.567 20 5 CFBDRN C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)C(C)(C)C ZINC000869338337 639183816 /nfs/dbraw/zinc/18/38/16/639183816.db2.gz IAOPRTNTRZSNML-SECBINFHSA-N 0 0 279.292 2.755 20 5 CFBDRN C[C@@H](OC(=O)c1ccc(F)cc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC000869433917 639228370 /nfs/dbraw/zinc/22/83/70/639228370.db2.gz QQMSSSBLESJKRY-MRVPVSSYSA-N 0 0 297.282 2.894 20 5 CFBDRN C[C@@H]1OCC[C@@H]1COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000869456465 639239547 /nfs/dbraw/zinc/23/95/47/639239547.db2.gz GPKGUJQQPCYXTE-WCBMZHEXSA-N 0 0 299.710 2.830 20 5 CFBDRN CCCCC(=O)COC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000869487888 639256897 /nfs/dbraw/zinc/25/68/97/639256897.db2.gz POBJPCPWFNFDKJ-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CCC[C@H](C)C(=O)Oc1ccc(OC)cc1[N+](=O)[O-] ZINC000078232531 650482814 /nfs/dbraw/zinc/48/28/14/650482814.db2.gz JSFQKLANDFMXSW-VIFPVBQESA-N 0 0 267.281 2.945 20 5 CFBDRN Cc1c(F)ccc([N+](=O)[O-])c1C(=O)OC[C@@H]1CCO[C@H]1C ZINC000869545251 639289685 /nfs/dbraw/zinc/28/96/85/639289685.db2.gz WHZUOUXHFYPVHF-UWVGGRQHSA-N 0 0 297.282 2.624 20 5 CFBDRN O=C(NCC[C@H]1CCSC1)c1csc([N+](=O)[O-])c1 ZINC000869608190 639320570 /nfs/dbraw/zinc/32/05/70/639320570.db2.gz VHQXSNJMLXHIDQ-QMMMGPOBSA-N 0 0 286.378 2.529 20 5 CFBDRN Cc1ccc(C(=O)NCC[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000869612733 639323603 /nfs/dbraw/zinc/32/36/03/639323603.db2.gz LTCCKNZPGLHHLL-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN Cc1ccc(COC(=O)[C@@H]2C[C@H](C)O[C@H]2C)cc1[N+](=O)[O-] ZINC000869649951 639340146 /nfs/dbraw/zinc/34/01/46/639340146.db2.gz KCWQOELDTGDJFB-GMXVVIOVSA-N 0 0 293.319 2.760 20 5 CFBDRN Cc1noc(COC(=O)[C@@]23C[C@@H]2CCCC3)c1[N+](=O)[O-] ZINC000869701098 639356015 /nfs/dbraw/zinc/35/60/15/639356015.db2.gz BQYLWZQQFODZNF-ZANVPECISA-N 0 0 280.280 2.515 20 5 CFBDRN C[C@@H](CNC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CC1 ZINC000122761112 639401944 /nfs/dbraw/zinc/40/19/44/639401944.db2.gz JKOQCJAYVIMTNN-ZETCQYMHSA-N 0 0 284.262 2.649 20 5 CFBDRN CC(C)=CCOC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000869896993 639459153 /nfs/dbraw/zinc/45/91/53/639459153.db2.gz KIYSOIIUBAUCLL-UHFFFAOYSA-N 0 0 253.229 2.857 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])[C@@H](C)O1 ZINC000870174327 639533904 /nfs/dbraw/zinc/53/39/04/639533904.db2.gz OZBKUIDELBRVJU-NQMVMOMDSA-N 0 0 299.710 2.967 20 5 CFBDRN COC/C=C\C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000870207711 639544729 /nfs/dbraw/zinc/54/47/29/639544729.db2.gz NTJRFUFSUIWQSH-ARJAWSKDSA-N 0 0 285.683 2.665 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CSc1cccc[n+]1[O-] ZINC000078490288 650509250 /nfs/dbraw/zinc/50/92/50/650509250.db2.gz KKWOIJORPHFWMX-UHFFFAOYSA-N 0 0 280.280 2.660 20 5 CFBDRN C[C@H](COC(=O)[C@@H]1CCCC[C@@H]1C(F)(F)F)[N+](=O)[O-] ZINC000870376750 639616397 /nfs/dbraw/zinc/61/63/97/639616397.db2.gz MEBIXBYMJMNLBX-HLTSFMKQSA-N 0 0 283.246 2.564 20 5 CFBDRN Cc1cc(C(=O)OCc2cccnc2)ccc1[N+](=O)[O-] ZINC000018230418 639697006 /nfs/dbraw/zinc/69/70/06/639697006.db2.gz BGADQGWHONKQKR-UHFFFAOYSA-N 0 0 272.260 2.655 20 5 CFBDRN O=C(Cc1cccc(F)c1[N+](=O)[O-])N[C@]12C[C@H]1CCCC2 ZINC000870534959 639708648 /nfs/dbraw/zinc/70/86/48/639708648.db2.gz JGWRLEZVOAIGQZ-IAQYHMDHSA-N 0 0 292.310 2.725 20 5 CFBDRN CS[C@H]1C[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])C1 ZINC000870576078 639730296 /nfs/dbraw/zinc/73/02/96/639730296.db2.gz ARGVSYLNNZNQIT-DTORHVGOSA-N 0 0 267.306 2.646 20 5 CFBDRN CSCC[C@H](C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000078665319 650521920 /nfs/dbraw/zinc/52/19/20/650521920.db2.gz VXNJUBCDGGAHSW-VIFPVBQESA-N 0 0 255.343 2.852 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1COC(=O)C[C@H]1CCCO1 ZINC000870700564 639777789 /nfs/dbraw/zinc/77/77/89/639777789.db2.gz XVHQLFJPBHYLQR-GFCCVEGCSA-N 0 0 293.319 2.824 20 5 CFBDRN CCC1(C(=O)OCc2c(C)ccc([N+](=O)[O-])c2C)COC1 ZINC000870701990 639779017 /nfs/dbraw/zinc/77/90/17/639779017.db2.gz YKRFSEYTYDAZLG-UHFFFAOYSA-N 0 0 293.319 2.681 20 5 CFBDRN CO[C@H]1CC[C@@H](C)N(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 ZINC000870708914 639783675 /nfs/dbraw/zinc/78/36/75/639783675.db2.gz JCLCYFLUDKXEKT-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN CO[C@@H]1CC[C@@H](C)N(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 ZINC000870718025 639788344 /nfs/dbraw/zinc/78/83/44/639788344.db2.gz WKEOWIIYNZCTFI-VXGBXAGGSA-N 0 0 292.335 2.543 20 5 CFBDRN CS[C@@H](C)C(=O)Oc1cc([N+](=O)[O-])ccc1C ZINC000079209420 650573584 /nfs/dbraw/zinc/57/35/84/650573584.db2.gz CSDVUGSIYICWJC-QMMMGPOBSA-N 0 0 255.295 2.560 20 5 CFBDRN O=C(NCC1CCC2(CC2)CC1)c1ccc([N+](=O)[O-])cn1 ZINC000871017920 641153351 /nfs/dbraw/zinc/15/33/51/641153351.db2.gz VTBBHYYAPWWBJS-UHFFFAOYSA-N 0 0 289.335 2.690 20 5 CFBDRN Cc1ccc(C(=O)NCC[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000871024484 641158197 /nfs/dbraw/zinc/15/81/97/641158197.db2.gz RTTAPBFGHZZTFY-LBPRGKRZSA-N 0 0 294.376 2.919 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2C[C@H](SC)C2)cc1[N+](=O)[O-] ZINC000871093508 641199841 /nfs/dbraw/zinc/19/98/41/641199841.db2.gz UXIONTSOJTUWLF-HAQNSBGRSA-N 0 0 294.376 2.781 20 5 CFBDRN O=C(NOCCCC(F)(F)F)c1csc([N+](=O)[O-])c1 ZINC000871211580 641248425 /nfs/dbraw/zinc/24/84/25/641248425.db2.gz NPTYKGUTOJBRLH-UHFFFAOYSA-N 0 0 298.242 2.660 20 5 CFBDRN Cc1cccc(C(=O)N2C[C@@H]3CC=CC[C@@H]3C2)c1[N+](=O)[O-] ZINC000871517598 641337784 /nfs/dbraw/zinc/33/77/84/641337784.db2.gz NVZOJMMQVDACBC-BETUJISGSA-N 0 0 286.331 2.941 20 5 CFBDRN CCCCC[C@H](Nc1ccc([N+](=O)[O-])cn1)C(=O)OC ZINC000080233016 650652055 /nfs/dbraw/zinc/65/20/55/650652055.db2.gz AHHIVBIJBCIRIL-NSHDSACASA-N 0 0 281.312 2.524 20 5 CFBDRN O=[N+]([O-])c1cccc(OCc2nccn2CC(F)F)c1 ZINC000871630652 641374679 /nfs/dbraw/zinc/37/46/79/641374679.db2.gz MZJVDRNVRODEHB-UHFFFAOYSA-N 0 0 283.234 2.635 20 5 CFBDRN CO[C@H]1C[C@@H](COc2ccc(C)cc2[N+](=O)[O-])C1 ZINC000871639846 641377694 /nfs/dbraw/zinc/37/76/94/641377694.db2.gz AQKDFBWFFQUREA-PHIMTYICSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCc2nccn2CC(F)F)c1 ZINC000871641642 641377804 /nfs/dbraw/zinc/37/78/04/641377804.db2.gz XUYZFGCNWVBGNC-UHFFFAOYSA-N 0 0 297.261 2.944 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1OC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000871651019 641382415 /nfs/dbraw/zinc/38/24/15/641382415.db2.gz OFWLAAQNXMXLRE-WCFLWFBJSA-N 0 0 293.319 2.940 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1 ZINC000871651381 641382919 /nfs/dbraw/zinc/38/29/19/641382919.db2.gz DKSSHZGSKHOUTM-SGMGOOAPSA-N 0 0 291.303 2.744 20 5 CFBDRN COc1cc(OC[C@@H]2C[C@H]3CCC[C@H]3O2)ccc1[N+](=O)[O-] ZINC000871667829 641392085 /nfs/dbraw/zinc/39/20/85/641392085.db2.gz DPCISUKQLFGJMH-SCDSUCTJSA-N 0 0 293.319 2.940 20 5 CFBDRN CC(C)(C)SCn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000871668147 641392912 /nfs/dbraw/zinc/39/29/12/641392912.db2.gz JOMYPQMITZJOHU-UHFFFAOYSA-N 0 0 282.321 2.992 20 5 CFBDRN O=[N+]([O-])c1nc(Br)ccc1OCC1CC1 ZINC000871694668 641409898 /nfs/dbraw/zinc/40/98/98/641409898.db2.gz QXPUSZQAVBMGSN-UHFFFAOYSA-N 0 0 273.086 2.541 20 5 CFBDRN Cc1cnc(CSCCn2c(C)ncc2[N+](=O)[O-])s1 ZINC000871699354 641411358 /nfs/dbraw/zinc/41/13/58/641411358.db2.gz DLFSLHOTLGAYQF-UHFFFAOYSA-N 0 0 298.393 2.798 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COc1c(C)c(C)nn1C ZINC000871749835 641438975 /nfs/dbraw/zinc/43/89/75/641438975.db2.gz PFIFCROCYLXPRX-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNCC(F)(F)F ZINC000871865260 641489022 /nfs/dbraw/zinc/48/90/22/641489022.db2.gz RUZRSQBYWMJCFZ-UHFFFAOYSA-N 0 0 262.231 2.864 20 5 CFBDRN CCCC[C@@H]([NH2+]Cc1c(C)ccc([N+](=O)[O-])c1C)C(=O)[O-] ZINC000871879066 641495857 /nfs/dbraw/zinc/49/58/57/641495857.db2.gz YAEQRWPIDLKATC-CYBMUJFWSA-N 0 0 294.351 2.945 20 5 CFBDRN Cc1ccc(C[N@H+](CCC(=O)[O-])CC2CC2)cc1[N+](=O)[O-] ZINC000872159850 641680065 /nfs/dbraw/zinc/68/00/65/641680065.db2.gz QVQLMQQHIVVYGG-UHFFFAOYSA-N 0 0 292.335 2.590 20 5 CFBDRN Cc1ccc(C[N@H+]2CC[C@@H](C)C[C@@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000872160865 641681950 /nfs/dbraw/zinc/68/19/50/641681950.db2.gz NSCPHYBMWFPMDP-QMTHXVAHSA-N 0 0 292.335 2.588 20 5 CFBDRN Cc1ccc(C[N@H+]2CC[C@H](C)C[C@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000872160863 641682548 /nfs/dbraw/zinc/68/25/48/641682548.db2.gz NSCPHYBMWFPMDP-HZMBPMFUSA-N 0 0 292.335 2.588 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CCCCc2cccnc21 ZINC000872301347 641748965 /nfs/dbraw/zinc/74/89/65/641748965.db2.gz SYBVJHTVVZRAOY-UHFFFAOYSA-N 0 0 297.314 2.973 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC(C(C)=O)CC1 ZINC000872667579 641890394 /nfs/dbraw/zinc/89/03/94/641890394.db2.gz GMZOMLFGZPZUEX-UHFFFAOYSA-N 0 0 290.319 2.603 20 5 CFBDRN CC1(C)CO[C@H](CNc2sccc2[N+](=O)[O-])C1 ZINC000872687060 641896970 /nfs/dbraw/zinc/89/69/70/641896970.db2.gz RXGQTORZKRYHAS-QMMMGPOBSA-N 0 0 256.327 2.883 20 5 CFBDRN CC1(F)CCN(Cn2ncc3cc([N+](=O)[O-])ccc32)CC1 ZINC000872690282 641897311 /nfs/dbraw/zinc/89/73/11/641897311.db2.gz JLBCUNXULKONMG-UHFFFAOYSA-N 0 0 292.314 2.726 20 5 CFBDRN O=[N+]([O-])c1cnc2ccc(NCCC[C@H]3C=CCC3)nn21 ZINC000872693457 641898133 /nfs/dbraw/zinc/89/81/33/641898133.db2.gz IWLNLAHOHZMCLZ-NSHDSACASA-N 0 0 287.323 2.796 20 5 CFBDRN Cc1cnc(NC[C@@H]2C[C@@H]3CCC[C@H]3O2)c([N+](=O)[O-])c1 ZINC000872697818 641901872 /nfs/dbraw/zinc/90/18/72/641901872.db2.gz AHSXDXXVKAWVEF-GMXVVIOVSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cccc(NCCC[C@@H]2CCOC2=O)c1[N+](=O)[O-] ZINC000872702483 641904943 /nfs/dbraw/zinc/90/49/43/641904943.db2.gz IQNUPHLEVLFDJP-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NCCC[C@H]1CCOC1=O ZINC000872703404 641904984 /nfs/dbraw/zinc/90/49/84/641904984.db2.gz FFDKJTYVAPWLCL-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN Cc1ccc(NCCC[C@H]2CCOC2=O)c([N+](=O)[O-])c1 ZINC000872703621 641905040 /nfs/dbraw/zinc/90/50/40/641905040.db2.gz FWSPPRJOKVBGEB-NSHDSACASA-N 0 0 278.308 2.658 20 5 CFBDRN O=[N+]([O-])c1cc(NC[C@H]2C[C@H](O)C2)ccc1C(F)(F)F ZINC000872719871 641911255 /nfs/dbraw/zinc/91/12/55/641911255.db2.gz JAOZLFKOBZWATI-XWEPSHTISA-N 0 0 290.241 2.796 20 5 CFBDRN C[C@]1(C(F)(F)F)CCN(c2cccnc2[N+](=O)[O-])C1 ZINC000872728338 641914506 /nfs/dbraw/zinc/91/45/06/641914506.db2.gz HDVZAJYMNMQCTI-JTQLQIEISA-N 0 0 275.230 2.769 20 5 CFBDRN COc1cc(N[C@H](C)c2nccnc2C)ccc1[N+](=O)[O-] ZINC000872769560 641926737 /nfs/dbraw/zinc/92/67/37/641926737.db2.gz XLIWOCIPRYKVIA-SNVBAGLBSA-N 0 0 288.307 2.875 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1CNc1cnn(C)c1 ZINC000873039611 641992030 /nfs/dbraw/zinc/99/20/30/641992030.db2.gz ONKSXIGBNXRZAD-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN CS[C@@H]1CC[C@@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])C1 ZINC000080852295 650711982 /nfs/dbraw/zinc/71/19/82/650711982.db2.gz LTKOLUPALAEGFJ-NXEZZACHSA-N 0 0 298.339 2.748 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccccn1 ZINC000019813886 642025474 /nfs/dbraw/zinc/02/54/74/642025474.db2.gz JXAWZCAHKYWKMA-UHFFFAOYSA-N 0 0 291.694 2.920 20 5 CFBDRN O=C(OC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1cccc([N+](=O)[O-])c1 ZINC000873317130 642133482 /nfs/dbraw/zinc/13/34/82/642133482.db2.gz FVPZKZLOLQOYCK-LEWSCRJBSA-N 0 0 291.303 2.709 20 5 CFBDRN O=C(OC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1ccccc1[N+](=O)[O-] ZINC000873317303 642133978 /nfs/dbraw/zinc/13/39/78/642133978.db2.gz KGXJFZNQTHWZCK-COPLHBTASA-N 0 0 291.303 2.709 20 5 CFBDRN CC[C@@H](OC(=O)c1cccc(SC)c1[N+](=O)[O-])C(C)=O ZINC000873382215 642170009 /nfs/dbraw/zinc/17/00/09/642170009.db2.gz XSORVOUWOCOWLP-SNVBAGLBSA-N 0 0 297.332 2.841 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)OC[C@H]1CCCOC1 ZINC000873438414 642205047 /nfs/dbraw/zinc/20/50/47/642205047.db2.gz LWDHAFFWZTXHLQ-LBPRGKRZSA-N 0 0 293.319 2.795 20 5 CFBDRN CC(C)OC1(C(=O)OCc2cccc([N+](=O)[O-])c2)CCC1 ZINC000873444198 642208335 /nfs/dbraw/zinc/20/83/35/642208335.db2.gz MMBJFOIORNYBHY-UHFFFAOYSA-N 0 0 293.319 2.986 20 5 CFBDRN CC(C)(COC(=O)c1sccc1C(F)F)[N+](=O)[O-] ZINC000873542895 642241457 /nfs/dbraw/zinc/24/14/57/642241457.db2.gz DTLBMPZFRFOVLO-UHFFFAOYSA-N 0 0 279.264 2.898 20 5 CFBDRN CO[C@@H]1CCC[C@H]1OC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000873541950 642241467 /nfs/dbraw/zinc/24/14/67/642241467.db2.gz SQUYTYGXIPTOOC-CHWSQXEVSA-N 0 0 293.319 2.936 20 5 CFBDRN COc1c(C(=O)OC2CCSCC2)cccc1[N+](=O)[O-] ZINC000873556347 642247814 /nfs/dbraw/zinc/24/78/14/642247814.db2.gz DDYYJONDAOPJGZ-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC2CCSCC2)c1 ZINC000873558926 642250161 /nfs/dbraw/zinc/25/01/61/642250161.db2.gz RQFLYPKTSFZGSK-UHFFFAOYSA-N 0 0 281.333 2.956 20 5 CFBDRN CSCC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000873560424 642251163 /nfs/dbraw/zinc/25/11/63/642251163.db2.gz JZQJSSJJRNSDSH-QMMMGPOBSA-N 0 0 255.295 2.562 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1CO[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000873560453 642251168 /nfs/dbraw/zinc/25/11/68/642251168.db2.gz SWWVOXSFEAKAKS-DCAQKATOSA-N 0 0 279.292 2.624 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1ccc2c(c1)OCO2 ZINC000020056447 642293527 /nfs/dbraw/zinc/29/35/27/642293527.db2.gz ACLPZCILLDTCLS-UHFFFAOYSA-N 0 0 272.260 2.936 20 5 CFBDRN O=C([O-])[C@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])c(Cl)c2)C1 ZINC000874044528 642325827 /nfs/dbraw/zinc/32/58/27/642325827.db2.gz DRQZPKVFAXDFKH-JTQLQIEISA-N 0 0 298.726 2.545 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1CCO[C@@H](C)C1)c1ccccc1[N+](=O)[O-] ZINC000874625440 642427971 /nfs/dbraw/zinc/42/79/71/642427971.db2.gz LCWNZRNRMRRLFK-SDDRHHMPSA-N 0 0 292.335 2.587 20 5 CFBDRN O=C(N[C@@H]1CC12CCC2)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000874784755 642486331 /nfs/dbraw/zinc/48/63/31/642486331.db2.gz LSRHERXFDVCONG-LLVKDONJSA-N 0 0 296.273 2.745 20 5 CFBDRN O=C(N[C@H]1CC12CCC2)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000874785580 642486924 /nfs/dbraw/zinc/48/69/24/642486924.db2.gz DXHWWQBPZVGGSI-LBPRGKRZSA-N 0 0 285.303 2.749 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CC23CCC3)c1[N+](=O)[O-] ZINC000874790170 642490848 /nfs/dbraw/zinc/49/08/48/642490848.db2.gz ZDIXGMVVDMZZKJ-LLVKDONJSA-N 0 0 260.293 2.576 20 5 CFBDRN Cc1c(C(=O)NC2(C)CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000874858690 642530930 /nfs/dbraw/zinc/53/09/30/642530930.db2.gz JPFBRNILLLBVJC-UHFFFAOYSA-N 0 0 284.262 2.821 20 5 CFBDRN C[C@]1(Br)C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000875054205 642581175 /nfs/dbraw/zinc/58/11/75/642581175.db2.gz JZENTEGXULFZDX-ONGXEEELSA-N 0 0 299.124 2.707 20 5 CFBDRN CCC[C@@H](OCC)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 ZINC000875053969 642581422 /nfs/dbraw/zinc/58/14/22/642581422.db2.gz CZFDEKHTMNYARC-GFCCVEGCSA-N 0 0 284.287 2.878 20 5 CFBDRN COC1CC(C(=O)Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC000875060501 642582252 /nfs/dbraw/zinc/58/22/52/642582252.db2.gz DDMPBADLBYKEJQ-UHFFFAOYSA-N 0 0 279.292 2.542 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1OC[C@H]1CCOC1 ZINC000125329830 642598796 /nfs/dbraw/zinc/59/87/96/642598796.db2.gz LPDDCJHCSYRTJU-QMMMGPOBSA-N 0 0 257.673 2.664 20 5 CFBDRN Cc1nc(NC(=O)C2(OC(C)C)CCC2)ccc1[N+](=O)[O-] ZINC000875424782 642621128 /nfs/dbraw/zinc/62/11/28/642621128.db2.gz ZMGYQKGKONRJSD-UHFFFAOYSA-N 0 0 293.323 2.584 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1C[C@H](C)O[C@@H]1C ZINC000875675629 642663782 /nfs/dbraw/zinc/66/37/82/642663782.db2.gz WKNYLDHKXDCCHQ-FXBDTBDDSA-N 0 0 296.298 2.794 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)C12CC(C1)C2 ZINC000875679239 642664623 /nfs/dbraw/zinc/66/46/23/642664623.db2.gz JMQGADABFQSSQX-UHFFFAOYSA-N 0 0 266.684 2.987 20 5 CFBDRN C[C@H]1CC(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C[C@H](C)C1 ZINC000084438651 650774293 /nfs/dbraw/zinc/77/42/93/650774293.db2.gz SVFIOQQLNZLGJH-NXEZZACHSA-N 0 0 291.351 2.732 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C[C@@H]1C ZINC000085067212 650786724 /nfs/dbraw/zinc/78/67/24/650786724.db2.gz ORRAPGMAHSCOJQ-SCVCMEIPSA-N 0 0 291.351 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc(F)c(NCc2nccn2C(F)F)c1 ZINC000085273507 650787821 /nfs/dbraw/zinc/78/78/21/650787821.db2.gz WVKPRIYRWMZEIQ-UHFFFAOYSA-N 0 0 286.213 2.938 20 5 CFBDRN CCC1(COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])COC1 ZINC000084851573 650783492 /nfs/dbraw/zinc/78/34/92/650783492.db2.gz ZJMMGGDIRUAKTN-UHFFFAOYSA-N 0 0 299.710 2.832 20 5 CFBDRN C[C@H](OC(=O)[C@]1(C)CCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000125929288 642862077 /nfs/dbraw/zinc/86/20/77/642862077.db2.gz ZSOAJGGDZZMQAC-HZMBPMFUSA-N 0 0 279.292 2.768 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCO[C@@H]1C)c1ccccc1[N+](=O)[O-] ZINC000876468043 642882940 /nfs/dbraw/zinc/88/29/40/642882940.db2.gz CGDXSQZLEWVOIS-CKYFFXLPSA-N 0 0 279.292 2.624 20 5 CFBDRN C[C@@H](OC(=O)[C@@H]1CCO[C@H]1C)c1ccccc1[N+](=O)[O-] ZINC000876468045 642883461 /nfs/dbraw/zinc/88/34/61/642883461.db2.gz CGDXSQZLEWVOIS-HOSYDEDBSA-N 0 0 279.292 2.624 20 5 CFBDRN CC[C@@H]1CN(Cc2c(C)ccc([N+](=O)[O-])c2C)CCO1 ZINC000876560820 642923026 /nfs/dbraw/zinc/92/30/26/642923026.db2.gz NUOIKFQWKWNTOE-CYBMUJFWSA-N 0 0 278.352 2.822 20 5 CFBDRN Cc1ccc(Cl)cc1NCc1cn(C)nc1[N+](=O)[O-] ZINC000876817343 643023423 /nfs/dbraw/zinc/02/34/23/643023423.db2.gz FKTKDEJPWLCILU-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN O=C(COC(=O)c1ccccc1[N+](=O)[O-])C1CCCCC1 ZINC000877022630 643108335 /nfs/dbraw/zinc/10/83/35/643108335.db2.gz ZYOXOPWSAQYIOW-UHFFFAOYSA-N 0 0 291.303 2.901 20 5 CFBDRN CN(CC(=O)OC1(C2CC2)CC1)c1ccccc1[N+](=O)[O-] ZINC000089238837 650831190 /nfs/dbraw/zinc/83/11/90/650831190.db2.gz VLOHXAISTHYLPQ-UHFFFAOYSA-N 0 0 290.319 2.517 20 5 CFBDRN O=[N+]([O-])c1cnn(C2CC(Nc3cc(C4CC4)ccn3)C2)c1 ZINC000877541404 643343976 /nfs/dbraw/zinc/34/39/76/643343976.db2.gz OBJCLAXIQSUYCI-UHFFFAOYSA-N 0 0 299.334 2.879 20 5 CFBDRN COc1cc(CNCc2cc([N+](=O)[O-])ccc2Cl)on1 ZINC000877635363 643418629 /nfs/dbraw/zinc/41/86/29/643418629.db2.gz OKZDLOGRPGFZEC-UHFFFAOYSA-N 0 0 297.698 2.535 20 5 CFBDRN COc1cc(CNCc2cc(Cl)ccc2[N+](=O)[O-])on1 ZINC000877635535 643419009 /nfs/dbraw/zinc/41/90/09/643419009.db2.gz VGWUNDRVRCXEBV-UHFFFAOYSA-N 0 0 297.698 2.535 20 5 CFBDRN Cc1ccc2c(c1)N[C@H](c1ccc([N+](=O)[O-])cc1)NC2=O ZINC000877865068 643613625 /nfs/dbraw/zinc/61/36/25/643613625.db2.gz WZDKEAOAYYRPCR-AWEZNQCLSA-N 0 0 283.287 2.757 20 5 CFBDRN COC[C@H](COc1ccc(OC)cc1[N+](=O)[O-])C(C)C ZINC000877873145 643614186 /nfs/dbraw/zinc/61/41/86/643614186.db2.gz FNYUFJJMWGKLAV-LLVKDONJSA-N 0 0 283.324 2.901 20 5 CFBDRN COC[C@H](COc1cc([N+](=O)[O-])ccc1OC)C(C)C ZINC000877874733 643615480 /nfs/dbraw/zinc/61/54/80/643615480.db2.gz NZQODGADRZATFD-LLVKDONJSA-N 0 0 283.324 2.901 20 5 CFBDRN Cc1ccc(OCc2cc(C)nnc2C)c([N+](=O)[O-])c1 ZINC000877887988 643625314 /nfs/dbraw/zinc/62/53/14/643625314.db2.gz CTVHZIYWPPMZOI-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](CF)C1 ZINC000877903350 643633315 /nfs/dbraw/zinc/63/33/15/643633315.db2.gz QDUSSWXBSILYOQ-JTQLQIEISA-N 0 0 281.287 2.727 20 5 CFBDRN Cc1ccc(CN2CC(C(F)(F)F)C2)cc1[N+](=O)[O-] ZINC000878279987 643819353 /nfs/dbraw/zinc/81/93/53/643819353.db2.gz LTUTTWBPRZLUOZ-UHFFFAOYSA-N 0 0 274.242 2.897 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(C)C)c1 ZINC000127164454 643928647 /nfs/dbraw/zinc/92/86/47/643928647.db2.gz ZDSSIQXNRGUYMN-SECBINFHSA-N 0 0 267.281 2.805 20 5 CFBDRN Cc1c(NC(=O)c2cccc(F)n2)cccc1[N+](=O)[O-] ZINC000127329396 644024955 /nfs/dbraw/zinc/02/49/55/644024955.db2.gz HGVORVQQVQXFNW-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc(N)c([N+](=O)[O-])c2)C[C@H]1C ZINC000246947664 650890020 /nfs/dbraw/zinc/89/00/20/650890020.db2.gz ORRAPGMAHSCOJQ-FOGDFJRCSA-N 0 0 291.351 2.732 20 5 CFBDRN COc1cc(CN2CCC=C(Cl)C2)ccc1[N+](=O)[O-] ZINC000878791349 644027652 /nfs/dbraw/zinc/02/76/52/644027652.db2.gz MAJZAOCRVVREIO-UHFFFAOYSA-N 0 0 282.727 2.932 20 5 CFBDRN CS[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000879229556 644182002 /nfs/dbraw/zinc/18/20/02/644182002.db2.gz YLOOCCPTDOWANV-HOMQSWHASA-N 0 0 295.364 2.919 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1C=CCC1 ZINC000879231082 644183457 /nfs/dbraw/zinc/18/34/57/644183457.db2.gz SONSLKDSFJOZFR-JTQLQIEISA-N 0 0 291.307 2.691 20 5 CFBDRN O=[N+]([O-])c1ncccc1OCc1cccc(Cl)n1 ZINC000091436750 650900586 /nfs/dbraw/zinc/90/05/86/650900586.db2.gz OSBMPCWSOYSYDC-UHFFFAOYSA-N 0 0 265.656 2.617 20 5 CFBDRN CO[C@H]1CC[C@H](C)N(Cc2csc([N+](=O)[O-])c2)C1 ZINC000879320675 644226324 /nfs/dbraw/zinc/22/63/24/644226324.db2.gz KSUHUPCFWKTVLP-ONGXEEELSA-N 0 0 270.354 2.656 20 5 CFBDRN CO[C@@H]1CC[C@H](C)N(Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000879325227 644233259 /nfs/dbraw/zinc/23/32/59/644233259.db2.gz JKYSRRPPRNFVPN-GXFFZTMASA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@H]1CN(Cc2cc(F)ccc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000247726332 650914911 /nfs/dbraw/zinc/91/49/11/650914911.db2.gz SQBBMBPFOCHFKF-MFKMUULPSA-N 0 0 282.315 2.733 20 5 CFBDRN COc1ccc(OC(=O)CC(C)(C)C)c([N+](=O)[O-])c1 ZINC000247904557 650918340 /nfs/dbraw/zinc/91/83/40/650918340.db2.gz HBOZTOFSYQFKCP-UHFFFAOYSA-N 0 0 267.281 2.945 20 5 CFBDRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)NCCCC1CC1 ZINC000128109582 644470281 /nfs/dbraw/zinc/47/02/81/644470281.db2.gz WXAHWWSGIJFNON-UHFFFAOYSA-N 0 0 291.351 2.581 20 5 CFBDRN O=[N+]([O-])c1cc(Br)ccc1SC1COC1 ZINC000092711541 650924244 /nfs/dbraw/zinc/92/42/44/650924244.db2.gz ZVYCHLKVTNLQGA-UHFFFAOYSA-N 0 0 290.138 2.848 20 5 CFBDRN O=C(NCCCC1CC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000128220777 644545715 /nfs/dbraw/zinc/54/57/15/644545715.db2.gz DJHPNMIMJBNPCV-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN O=C(NCCCC1CC1)c1cc(F)c(F)cc1[N+](=O)[O-] ZINC000128300471 644603560 /nfs/dbraw/zinc/60/35/60/644603560.db2.gz FIPNOBRUOJWCRE-UHFFFAOYSA-N 0 0 284.262 2.793 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)CCS1 ZINC000888814970 644700862 /nfs/dbraw/zinc/70/08/62/644700862.db2.gz KQQSQVBVWBFARZ-QMMMGPOBSA-N 0 0 284.337 2.592 20 5 CFBDRN CC(C)C[C@@H]1CCCN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000128463033 644737081 /nfs/dbraw/zinc/73/70/81/644737081.db2.gz SCHDQJSQFGVNNA-LBPRGKRZSA-N 0 0 291.351 2.828 20 5 CFBDRN CNC(=O)Nc1cc([N+](=O)[O-])ccc1C(C)(C)C ZINC000880706848 644811408 /nfs/dbraw/zinc/81/14/08/644811408.db2.gz VPNJICNQNKRMDI-UHFFFAOYSA-N 0 0 251.286 2.644 20 5 CFBDRN CCOc1cc(NC(=O)NC(C)(C)C)ccc1[N+](=O)[O-] ZINC000888832851 644816680 /nfs/dbraw/zinc/81/66/80/644816680.db2.gz PNFVSNSZMGHOMB-UHFFFAOYSA-N 0 0 281.312 2.914 20 5 CFBDRN CCOc1cc(NC(=O)N(C)C(C)C)ccc1[N+](=O)[O-] ZINC000888833219 644817806 /nfs/dbraw/zinc/81/78/06/644817806.db2.gz YPTVZJAFIWCMCR-UHFFFAOYSA-N 0 0 281.312 2.866 20 5 CFBDRN CCCC(=O)c1cn([C@H](C)c2cccc([N+](=O)[O-])c2)nn1 ZINC000881101657 644908953 /nfs/dbraw/zinc/90/89/53/644908953.db2.gz UFVHGOOXIGGCRQ-SNVBAGLBSA-N 0 0 288.307 2.778 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H]2C=CCC2)cc([N+](=O)[O-])c1 ZINC000881492650 644992219 /nfs/dbraw/zinc/99/22/19/644992219.db2.gz LBEWHXRYXHEXNG-LLVKDONJSA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000881493200 644993075 /nfs/dbraw/zinc/99/30/75/644993075.db2.gz SINNIKKLHLHECU-JTQLQIEISA-N 0 0 285.303 2.772 20 5 CFBDRN C[C@@H](C(=O)NC[C@H]1C=CCC1)c1cccc([N+](=O)[O-])c1 ZINC000881497917 644998034 /nfs/dbraw/zinc/99/80/34/644998034.db2.gz HSGMBAOCUAFQII-NEPJUHHUSA-N 0 0 274.320 2.781 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC1(CC2CC2)CC1 ZINC000881516683 645012253 /nfs/dbraw/zinc/01/22/53/645012253.db2.gz DAZZGBHOXZVCBW-UHFFFAOYSA-N 0 0 289.335 2.608 20 5 CFBDRN O=C(CSc1ccccc1[N+](=O)[O-])N1CC[C@@H](CF)C1 ZINC000881663909 645093201 /nfs/dbraw/zinc/09/32/01/645093201.db2.gz CFKZHGGYUIPAML-JTQLQIEISA-N 0 0 298.339 2.505 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@@H](CF)C1)c1cccc([N+](=O)[O-])c1 ZINC000881672456 645100075 /nfs/dbraw/zinc/10/00/75/645100075.db2.gz AGCOHIHDBJBFBA-MNOVXSKESA-N 0 0 280.299 2.516 20 5 CFBDRN CSCCNc1cccc([N+](=O)[O-])c1C(C)=O ZINC000881761632 645124276 /nfs/dbraw/zinc/12/42/76/645124276.db2.gz UULCNJWNTMGKAJ-UHFFFAOYSA-N 0 0 254.311 2.572 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1nnc(C(C)(C)C)o1 ZINC000881795404 645129930 /nfs/dbraw/zinc/12/99/30/645129930.db2.gz FWNLHTUZYFYGQK-UHFFFAOYSA-N 0 0 290.323 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OS(=O)(=O)C1CCC1 ZINC000881816427 645134082 /nfs/dbraw/zinc/13/40/82/645134082.db2.gz HXVQYDBCEYFMTP-UHFFFAOYSA-N 0 0 291.712 2.509 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@@H]1CC=CCC1 ZINC000881851155 645141198 /nfs/dbraw/zinc/14/11/98/645141198.db2.gz PALVHOOYKMMQMV-SECBINFHSA-N 0 0 251.261 2.897 20 5 CFBDRN CC1(C)CCN(c2ccnc(F)c2[N+](=O)[O-])CC1 ZINC000881908632 645151879 /nfs/dbraw/zinc/15/18/79/645151879.db2.gz UACVFIOHQAQVCW-UHFFFAOYSA-N 0 0 253.277 2.755 20 5 CFBDRN Cc1ncsc1CN(C)c1ccnc(F)c1[N+](=O)[O-] ZINC000881959296 645164106 /nfs/dbraw/zinc/16/41/06/645164106.db2.gz JBQTZDOVFHTRSJ-UHFFFAOYSA-N 0 0 282.300 2.530 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCCC(F)(F)F ZINC000882037391 645184325 /nfs/dbraw/zinc/18/43/25/645184325.db2.gz CKAZMUZBQVUGKP-UHFFFAOYSA-N 0 0 267.182 2.883 20 5 CFBDRN CO[C@@H](CNc1cccc([N+](=O)[O-])c1C(C)=O)C(C)C ZINC000882040794 645185918 /nfs/dbraw/zinc/18/59/18/645185918.db2.gz XMBGYXQGKMBNEV-ZDUSSCGKSA-N 0 0 280.324 2.880 20 5 CFBDRN CCO[C@@H](CCNc1ccnc(F)c1[N+](=O)[O-])C(C)C ZINC000882042453 645186057 /nfs/dbraw/zinc/18/60/57/645186057.db2.gz NXIXJGPSLKPGQK-NSHDSACASA-N 0 0 285.319 2.992 20 5 CFBDRN O=[N+]([O-])c1cnn(C[C@@H]2CC[C@@H](c3ccccc3)O2)c1 ZINC000882093667 645199169 /nfs/dbraw/zinc/19/91/69/645199169.db2.gz CFYRKBAHUJNKRH-KBPBESRZSA-N 0 0 273.292 2.712 20 5 CFBDRN Cc1ncnc(NCCc2cccc([N+](=O)[O-])c2)c1C ZINC000882137820 645216376 /nfs/dbraw/zinc/21/63/76/645216376.db2.gz VYJDPHZUFZAWDW-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CC(=O)c1c(N[C@H]2CCO[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000882154361 645221792 /nfs/dbraw/zinc/22/17/92/645221792.db2.gz YXDAQIBVBDLXQJ-ONGXEEELSA-N 0 0 278.308 2.777 20 5 CFBDRN CC(=O)c1c(N[C@H]2CCO[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000882154359 645221825 /nfs/dbraw/zinc/22/18/25/645221825.db2.gz YXDAQIBVBDLXQJ-KOLCDFICSA-N 0 0 278.308 2.777 20 5 CFBDRN CCOc1cc(OCC[C@H](O)C(C)C)ccc1[N+](=O)[O-] ZINC000882155536 645222471 /nfs/dbraw/zinc/22/24/71/645222471.db2.gz HFKWNJYRCOBFSC-ZDUSSCGKSA-N 0 0 283.324 2.779 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NC[C@H]1CCCCS1 ZINC000882173780 645229777 /nfs/dbraw/zinc/22/97/77/645229777.db2.gz JQXOJCQQEBNQIU-MRVPVSSYSA-N 0 0 271.317 2.827 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCCC[C@H]1CCCCO1 ZINC000882186942 645238191 /nfs/dbraw/zinc/23/81/91/645238191.db2.gz DZLRZHHWNHKPPM-SNVBAGLBSA-N 0 0 283.303 2.890 20 5 CFBDRN O=c1c(F)cc([N+](=O)[O-])cn1CC[C@@H]1CCCC1(F)F ZINC000882194477 645240721 /nfs/dbraw/zinc/24/07/21/645240721.db2.gz XVYLXKMKPLSLLT-QMMMGPOBSA-N 0 0 290.241 2.721 20 5 CFBDRN C[C@@]1(CNc2ccnc(F)c2[N+](=O)[O-])CCO[C@H]1C1CC1 ZINC000882197280 645241690 /nfs/dbraw/zinc/24/16/90/645241690.db2.gz QPQADOLTXHZLON-JSGCOSHPSA-N 0 0 295.314 2.746 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCOCC2(CCCC2)C1 ZINC000882206148 645243951 /nfs/dbraw/zinc/24/39/51/645243951.db2.gz KDSNOKBZNHBXTD-UHFFFAOYSA-N 0 0 295.314 2.526 20 5 CFBDRN CC[C@H](C)[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)OC ZINC000251777387 650993711 /nfs/dbraw/zinc/99/37/11/650993711.db2.gz IVCOGIMZOCNMNY-WDMOLILDSA-N 0 0 294.351 2.833 20 5 CFBDRN C[C@@](O)(CNc1ccnc(F)c1[N+](=O)[O-])C1CCCCC1 ZINC000882248393 645253081 /nfs/dbraw/zinc/25/30/81/645253081.db2.gz XTCLDRBTMKNLTK-CQSZACIVSA-N 0 0 297.330 2.872 20 5 CFBDRN CCn1cc(CNc2cccc([N+](=O)[O-])c2C(C)=O)cn1 ZINC000882352824 645289382 /nfs/dbraw/zinc/28/93/82/645289382.db2.gz FTKCHFSRAJOUTI-UHFFFAOYSA-N 0 0 288.307 2.626 20 5 CFBDRN CCOCCOc1cccc(Br)c1[N+](=O)[O-] ZINC000882360624 645290569 /nfs/dbraw/zinc/29/05/69/645290569.db2.gz SJMZRVVAFVAPNO-UHFFFAOYSA-N 0 0 290.113 2.773 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CCC[C@H](C2CC2)C1 ZINC000882378513 645294535 /nfs/dbraw/zinc/29/45/35/645294535.db2.gz BEZDNJYCGVEVNQ-JTQLQIEISA-N 0 0 265.288 2.755 20 5 CFBDRN CC(=O)c1c(NCC(C)(F)F)cccc1[N+](=O)[O-] ZINC000882401508 645297541 /nfs/dbraw/zinc/29/75/41/645297541.db2.gz XJOLOAIKJPHDQW-UHFFFAOYSA-N 0 0 258.224 2.865 20 5 CFBDRN CC(C)[C@H]1C[C@@H](CNc2ccnc(F)c2[N+](=O)[O-])CCO1 ZINC000882418820 645306142 /nfs/dbraw/zinc/30/61/42/645306142.db2.gz OKKGDCADCNMFCT-CMPLNLGQSA-N 0 0 297.330 2.992 20 5 CFBDRN CO[C@@H]1CCN(c2cccc([N+](=O)[O-])c2C(C)=O)C[C@@H]1C ZINC000882420010 645306233 /nfs/dbraw/zinc/30/62/33/645306233.db2.gz WQUBRWWSCZRDNY-IINYFYTJSA-N 0 0 292.335 2.659 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1CC[C@H](c2cccnc2)C1 ZINC000882513455 645351101 /nfs/dbraw/zinc/35/11/01/645351101.db2.gz NGZGTQHZLUQJCE-NSHDSACASA-N 0 0 288.282 2.518 20 5 CFBDRN CC(C)O[C@H]1CCCN(c2ccnc(F)c2[N+](=O)[O-])C1 ZINC000882524793 645352728 /nfs/dbraw/zinc/35/27/28/645352728.db2.gz CMFCSAMQCLCYFP-JTQLQIEISA-N 0 0 283.303 2.523 20 5 CFBDRN CC[C@H](C)[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000252405794 651003478 /nfs/dbraw/zinc/00/34/78/651003478.db2.gz FIYFGUPHIRAHOS-MISXGVKJSA-N 0 0 294.351 2.833 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1N1C[C@@H]2CCCC[C@@H]21 ZINC000882548396 645366621 /nfs/dbraw/zinc/36/66/21/645366621.db2.gz HOYNMZBLFDRJPM-IUCAKERBSA-N 0 0 251.261 2.508 20 5 CFBDRN CCC(=O)c1ccc(NC2CSC2)c([N+](=O)[O-])c1 ZINC000882625752 645394278 /nfs/dbraw/zinc/39/42/78/645394278.db2.gz NADRGVDWMBUPFL-UHFFFAOYSA-N 0 0 266.322 2.715 20 5 CFBDRN COc1cc(NC2CSC2)c(Cl)cc1[N+](=O)[O-] ZINC000882626232 645394735 /nfs/dbraw/zinc/39/47/35/645394735.db2.gz WGESXQSEXBLGPJ-UHFFFAOYSA-N 0 0 274.729 2.784 20 5 CFBDRN CCC[C@H](CNc1ccnc(F)c1[N+](=O)[O-])OCC ZINC000882648375 645400077 /nfs/dbraw/zinc/40/00/77/645400077.db2.gz GMNMYAUAXKWXLX-SECBINFHSA-N 0 0 271.292 2.746 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC3CSC3)sc2c1 ZINC000882679320 645407487 /nfs/dbraw/zinc/40/74/87/645407487.db2.gz KLPKEDTYJAVVRR-UHFFFAOYSA-N 0 0 281.362 2.979 20 5 CFBDRN CCC[C@H](CCO)Nc1cccc([N+](=O)[O-])c1C(C)=O ZINC000882677646 645407840 /nfs/dbraw/zinc/40/78/40/645407840.db2.gz ACEBOTWILPMXEX-LLVKDONJSA-N 0 0 280.324 2.760 20 5 CFBDRN O=[N+]([O-])c1c(F)nccc1NCC[C@@H]1CCSC1 ZINC000882675589 645408150 /nfs/dbraw/zinc/40/81/50/645408150.db2.gz TUBYQLUVNVSAAR-MRVPVSSYSA-N 0 0 271.317 2.684 20 5 CFBDRN C[C@H](O)CSc1ccc([N+](=O)[O-])c2cccnc12 ZINC000882738600 645437129 /nfs/dbraw/zinc/43/71/29/645437129.db2.gz AXZMKKUGOSDDCD-QMMMGPOBSA-N 0 0 264.306 2.616 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NC[C@H]3C=CCC3)cc2N1 ZINC000882758664 645444753 /nfs/dbraw/zinc/44/47/53/645444753.db2.gz IRGYGAMYRHNDKM-JTQLQIEISA-N 0 0 287.319 2.858 20 5 CFBDRN Cc1nn(C)c(NCC2(CC3CC3)CC2)c1[N+](=O)[O-] ZINC000882774654 645451972 /nfs/dbraw/zinc/45/19/72/645451972.db2.gz RUXXKLTUYXXSTR-UHFFFAOYSA-N 0 0 264.329 2.629 20 5 CFBDRN CO[C@H]1C[C@H](CNc2ccc3ncccc3c2[N+](=O)[O-])C1 ZINC000882797908 645459756 /nfs/dbraw/zinc/45/97/56/645459756.db2.gz GDBVRIYBONUBOU-XYPYZODXSA-N 0 0 287.319 2.980 20 5 CFBDRN CCc1nnc(Sc2cc(OC)cc(F)c2[N+](=O)[O-])o1 ZINC000882800613 645461933 /nfs/dbraw/zinc/46/19/33/645461933.db2.gz GSEALCOPPHHRFV-UHFFFAOYSA-N 0 0 299.283 2.839 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCC(F)CC1 ZINC000882806558 645465995 /nfs/dbraw/zinc/46/59/95/645465995.db2.gz GIGZMFIWZGZFCX-UHFFFAOYSA-N 0 0 268.288 2.680 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC[C@@H](CF)C1 ZINC000882839642 645475265 /nfs/dbraw/zinc/47/52/65/645475265.db2.gz XSXDEUGQWUCEIC-JTQLQIEISA-N 0 0 275.283 2.939 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](CF)C2)cc1OC(F)F ZINC000882841029 645476022 /nfs/dbraw/zinc/47/60/22/645476022.db2.gz KSBILLWAQMMFEX-QMMMGPOBSA-N 0 0 290.241 2.992 20 5 CFBDRN Cc1cc(N2CC(CC3CCOCC3)C2)ncc1[N+](=O)[O-] ZINC000882885793 645492075 /nfs/dbraw/zinc/49/20/75/645492075.db2.gz ONEVMKMMGSWLET-UHFFFAOYSA-N 0 0 291.351 2.551 20 5 CFBDRN CC[C@H](Nc1ccc(N)cc1[N+](=O)[O-])[C@@H]1CCCOC1 ZINC000882954385 645525407 /nfs/dbraw/zinc/52/54/07/645525407.db2.gz MXMMVSRSMJIUDE-PWSUYJOCSA-N 0 0 279.340 2.794 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@]23CCCO3)ccc1[N+](=O)[O-] ZINC000882982347 645540307 /nfs/dbraw/zinc/54/03/07/645540307.db2.gz JHZJZHXTBGFRHK-OCCSQVGLSA-N 0 0 277.324 2.812 20 5 CFBDRN Nc1c(F)c(N[C@@H]2CCC[C@]23CCCO3)ccc1[N+](=O)[O-] ZINC000882983820 645541864 /nfs/dbraw/zinc/54/18/64/645541864.db2.gz PTVJQQNTKGHIES-RISCZKNCSA-N 0 0 295.314 2.830 20 5 CFBDRN O=[N+]([O-])c1cnc(N2C[C@@H]3CC[C@H]2c2ccccc23)nc1 ZINC000882993932 645550796 /nfs/dbraw/zinc/55/07/96/645550796.db2.gz MFYFJNLCRQLJPO-HZMBPMFUSA-N 0 0 282.303 2.824 20 5 CFBDRN Cc1noc(C)c1[C@H](C)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000130777243 645569494 /nfs/dbraw/zinc/56/94/94/645569494.db2.gz SGNQRPVNNXUWCI-QMMMGPOBSA-N 0 0 290.275 2.909 20 5 CFBDRN C[C@H](NC(=O)N1CCC(F)CC1)c1cccc([N+](=O)[O-])c1 ZINC000883153969 645629428 /nfs/dbraw/zinc/62/94/28/645629428.db2.gz UBVPGSHZMXPFCT-JTQLQIEISA-N 0 0 295.314 2.799 20 5 CFBDRN CC(C)(C)OCCOC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000131274728 645681018 /nfs/dbraw/zinc/68/10/18/645681018.db2.gz KNEQCCMQLRCGTP-UHFFFAOYSA-N 0 0 285.271 2.706 20 5 CFBDRN CC(C)(C)[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000131338061 645704693 /nfs/dbraw/zinc/70/46/93/645704693.db2.gz FHOQMOVMKBNZRG-JTQLQIEISA-N 0 0 291.351 2.685 20 5 CFBDRN CSCC[C@@H](C)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000131516436 645739337 /nfs/dbraw/zinc/73/93/37/645739337.db2.gz VCTFWHDZOJYKHD-SNVBAGLBSA-N 0 0 297.380 2.536 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CCC1 ZINC000131619254 645765423 /nfs/dbraw/zinc/76/54/23/645765423.db2.gz QGFPKFGXNCMCHW-ZETCQYMHSA-N 0 0 284.262 2.791 20 5 CFBDRN COCCCCC(=O)Oc1ccc(C)c([N+](=O)[O-])c1 ZINC000131703719 645791299 /nfs/dbraw/zinc/79/12/99/645791299.db2.gz NDKDVULDDBWLOQ-UHFFFAOYSA-N 0 0 267.281 2.625 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccnc(F)c1F ZINC000097865309 651046481 /nfs/dbraw/zinc/04/64/81/651046481.db2.gz XLPUIFNPYDOHMA-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1cc(NC(=O)c2ccnc(F)c2F)ccc1[N+](=O)[O-] ZINC000097866132 651046502 /nfs/dbraw/zinc/04/65/02/651046502.db2.gz SDAREMBZJWPZRR-UHFFFAOYSA-N 0 0 293.229 2.829 20 5 CFBDRN Cc1ccc(OC(=O)CCc2cccnc2)cc1[N+](=O)[O-] ZINC000131820153 645830595 /nfs/dbraw/zinc/83/05/95/645830595.db2.gz IXVYNELUUGXLBW-UHFFFAOYSA-N 0 0 286.287 2.836 20 5 CFBDRN Cc1ccc(OC(=O)c2cncc(F)c2)cc1[N+](=O)[O-] ZINC000131858324 645838686 /nfs/dbraw/zinc/83/86/86/645838686.db2.gz QKISPSPYDKZCKT-UHFFFAOYSA-N 0 0 276.223 2.657 20 5 CFBDRN Cc1ccc(OC(=O)[C@H]2C[C@@H]2C2CC2)cc1[N+](=O)[O-] ZINC000131897291 645848063 /nfs/dbraw/zinc/84/80/63/645848063.db2.gz BMDZJVJWUCYHKH-NEPJUHHUSA-N 0 0 261.277 2.855 20 5 CFBDRN CC[C@@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000132154863 645884485 /nfs/dbraw/zinc/88/44/85/645884485.db2.gz YNMGQEYBFQSHLB-LLVKDONJSA-N 0 0 291.351 2.972 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCCC2)ccc1Br ZINC000098012817 651060065 /nfs/dbraw/zinc/06/00/65/651060065.db2.gz NUXIQNSLLSSNIR-UHFFFAOYSA-N 0 0 285.141 2.953 20 5 CFBDRN CCCN(CC(F)F)Cn1ncc2cc([N+](=O)[O-])ccc21 ZINC000133120082 646032795 /nfs/dbraw/zinc/03/27/95/646032795.db2.gz KWPUZYHFLFZYEC-UHFFFAOYSA-N 0 0 298.293 2.879 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)COC1CC1 ZINC000889053532 646049346 /nfs/dbraw/zinc/04/93/46/646049346.db2.gz PYQXFGKEDNEQLI-UHFFFAOYSA-N 0 0 285.683 2.641 20 5 CFBDRN O=C(Nc1ccc(O)cc1)c1ccc(F)cc1[N+](=O)[O-] ZINC000133309643 646073290 /nfs/dbraw/zinc/07/32/90/646073290.db2.gz JILDWRLOWJAGPN-UHFFFAOYSA-N 0 0 276.223 2.692 20 5 CFBDRN Cc1noc(C)c1CNc1ccc(F)c([N+](=O)[O-])c1 ZINC000029399013 646077323 /nfs/dbraw/zinc/07/73/23/646077323.db2.gz OOIHIZDYGFGGRD-UHFFFAOYSA-N 0 0 265.244 2.951 20 5 CFBDRN C[C@H](CCO)Nc1ccc([N+](=O)[O-])cc1C(F)F ZINC000133370464 646078325 /nfs/dbraw/zinc/07/83/25/646078325.db2.gz ZBXBFLMSIOZWIH-SSDOTTSWSA-N 0 0 260.240 2.715 20 5 CFBDRN COCCCCC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000800149485 646157988 /nfs/dbraw/zinc/15/79/88/646157988.db2.gz OFCSYKZAVFAMOE-UHFFFAOYSA-N 0 0 284.287 2.798 20 5 CFBDRN CCCn1ncnc1COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000133564692 646159099 /nfs/dbraw/zinc/15/90/99/646159099.db2.gz ITPQRJKFKZAUBX-UHFFFAOYSA-N 0 0 296.714 2.829 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)OC[C@H](F)C(F)(F)F)c1 ZINC000800175707 646170724 /nfs/dbraw/zinc/17/07/24/646170724.db2.gz RRNNWMQWTMAAGX-VIFPVBQESA-N 0 0 295.188 2.960 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)NC1CCC=CCC1 ZINC000884066677 646196029 /nfs/dbraw/zinc/19/60/29/646196029.db2.gz FUSPFQSRBMNUBR-UHFFFAOYSA-N 0 0 289.335 2.893 20 5 CFBDRN C[C@H]1COCC[C@@H]1Nc1ncc([N+](=O)[O-])c2ccccc21 ZINC000884486961 646393765 /nfs/dbraw/zinc/39/37/65/646393765.db2.gz JMMRBUCTNWOMHE-GWCFXTLKSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCCCO)c2ccccc21 ZINC000884490635 646395866 /nfs/dbraw/zinc/39/58/66/646395866.db2.gz BHSHKSYMEVWTCD-UHFFFAOYSA-N 0 0 275.308 2.718 20 5 CFBDRN CN(CCCCCO)c1ncc([N+](=O)[O-])c2ccccc21 ZINC000884489646 646396059 /nfs/dbraw/zinc/39/60/59/646396059.db2.gz CSONNOKBUOEPQU-UHFFFAOYSA-N 0 0 289.335 2.742 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@@H]3C[C@@H]32)c2ccccc21 ZINC000884497092 646400836 /nfs/dbraw/zinc/40/08/36/646400836.db2.gz OPKNOBXPHKWIMZ-SKDRFNHKSA-N 0 0 255.277 2.742 20 5 CFBDRN O=[N+]([O-])c1c(N2Cc3c[nH]nc3C2)cccc1C(F)(F)F ZINC000884513636 646412974 /nfs/dbraw/zinc/41/29/74/646412974.db2.gz NOXKISGVNFKONW-UHFFFAOYSA-N 0 0 298.224 2.857 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884535325 646427834 /nfs/dbraw/zinc/42/78/34/646427834.db2.gz ATZCMCYJLXOWIV-VXGBXAGGSA-N 0 0 278.308 2.715 20 5 CFBDRN C[C@H]1CCC[C@]1(C)C(=O)OCc1cccnc1[N+](=O)[O-] ZINC000884534936 646427882 /nfs/dbraw/zinc/42/78/82/646427882.db2.gz RSKZVXJPBPQSFO-HZMBPMFUSA-N 0 0 278.308 2.859 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC[C@H]3COC[C@@H]3C2)c2ccccc21 ZINC000884558916 646448758 /nfs/dbraw/zinc/44/87/58/646448758.db2.gz HWMVHMRBTMXNKF-RYUDHWBXSA-N 0 0 299.330 2.616 20 5 CFBDRN NC(=O)CCSc1cccc(C(F)(F)F)c1[N+](=O)[O-] ZINC000884567965 646458788 /nfs/dbraw/zinc/45/87/88/646458788.db2.gz XSOLJPDQSUYFEQ-UHFFFAOYSA-N 0 0 294.254 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3C[C@@H]4C[C@@H]4C3)sc2c1 ZINC000884589306 646473227 /nfs/dbraw/zinc/47/32/27/646473227.db2.gz XVQYLVZJFBYOOH-OCAPTIKFSA-N 0 0 261.306 2.661 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@]23C[C@H]2COC3)nc1-c1ccccc1 ZINC000884600661 646480885 /nfs/dbraw/zinc/48/08/85/646480885.db2.gz KHWXAWAZBQBVAA-LRDDRELGSA-N 0 0 297.314 2.858 20 5 CFBDRN Cc1c[nH]nc1COC(=O)c1c(C)ccc([N+](=O)[O-])c1C ZINC000884611314 646486660 /nfs/dbraw/zinc/48/66/60/646486660.db2.gz JFSDIXPMXQCJPQ-UHFFFAOYSA-N 0 0 289.291 2.600 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@@H]1c1ccccc1 ZINC000884641835 646502700 /nfs/dbraw/zinc/50/27/00/646502700.db2.gz UTAKNVJTQGKMLS-FZMZJTMJSA-N 0 0 284.319 2.972 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@]3(CO)CCC[C@@H]23)c(Cl)c1 ZINC000884665921 646519141 /nfs/dbraw/zinc/51/91/41/646519141.db2.gz MDWSQRAGRSZVFR-ZIAGYGMSSA-N 0 0 296.754 2.990 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC3(CCC3)CO2)c(F)c1 ZINC000884681951 646528242 /nfs/dbraw/zinc/52/82/42/646528242.db2.gz KUNYVJIWOZGXQN-LLVKDONJSA-N 0 0 295.314 2.890 20 5 CFBDRN Nc1ccc(NC[C@@H]2CCC3(CCC3)CO2)c([N+](=O)[O-])c1 ZINC000884683155 646529636 /nfs/dbraw/zinc/52/96/36/646529636.db2.gz WKPIGGDCKOHRRJ-LBPRGKRZSA-N 0 0 291.351 2.938 20 5 CFBDRN CC(C)OC(=O)C1CCN(c2ccsc2[N+](=O)[O-])CC1 ZINC000884719058 646540054 /nfs/dbraw/zinc/54/00/54/646540054.db2.gz XNIJWIZXWJUWCY-UHFFFAOYSA-N 0 0 298.364 2.824 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cocn1 ZINC000135493805 646540948 /nfs/dbraw/zinc/54/09/48/646540948.db2.gz VLBHEUHMINKORV-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H]([C@H]3CCCO3)C2)c1 ZINC000884732586 646546245 /nfs/dbraw/zinc/54/62/45/646546245.db2.gz QHDBEFKJKQARMS-IUODEOHRSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1cc(N2CC[C@@H]([C@@H]3CCCO3)C2)c([N+](=O)[O-])s1 ZINC000884739038 646546882 /nfs/dbraw/zinc/54/68/82/646546882.db2.gz HQHSUVCLZXXCGV-PWSUYJOCSA-N 0 0 282.365 2.970 20 5 CFBDRN COC[C@H](Nc1ccc([N+](=O)[O-])s1)[C@H]1CCCOC1 ZINC000884795226 646551783 /nfs/dbraw/zinc/55/17/83/646551783.db2.gz ABRRGQHBAULFPU-UWVGGRQHSA-N 0 0 286.353 2.510 20 5 CFBDRN COC[C@@H](Nc1ccc([N+](=O)[O-])s1)[C@H]1CCCOC1 ZINC000884795227 646552030 /nfs/dbraw/zinc/55/20/30/646552030.db2.gz ABRRGQHBAULFPU-VHSXEESVSA-N 0 0 286.353 2.510 20 5 CFBDRN CC[C@@H]1CCC[C@]1(C)C(=O)OCCn1ccc([N+](=O)[O-])n1 ZINC000885059125 646594668 /nfs/dbraw/zinc/59/46/68/646594668.db2.gz HYVGGRHQTIZZRM-RISCZKNCSA-N 0 0 295.339 2.551 20 5 CFBDRN Cc1ncc(CC(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)o1 ZINC000885347952 646668086 /nfs/dbraw/zinc/66/80/86/646668086.db2.gz WTKAQVWIEAREPM-SECBINFHSA-N 0 0 290.275 2.738 20 5 CFBDRN COC1(COC(=O)c2c(C)c(C)ccc2[N+](=O)[O-])CCC1 ZINC000885424344 646684987 /nfs/dbraw/zinc/68/49/87/646684987.db2.gz OLJSXXMJHOAPSY-UHFFFAOYSA-N 0 0 293.319 2.938 20 5 CFBDRN C[C@H](OC(=O)[C@@H]1C[C@H](C)CO1)c1cccc([N+](=O)[O-])c1 ZINC000885566588 646707883 /nfs/dbraw/zinc/70/78/83/646707883.db2.gz LSZUIVPMVZGTHN-KWBADKCTSA-N 0 0 279.292 2.624 20 5 CFBDRN COC[C@@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])C(C)C ZINC000885806033 646751432 /nfs/dbraw/zinc/75/14/32/646751432.db2.gz FEXLFFUJZUJEKC-GFCCVEGCSA-N 0 0 294.351 2.552 20 5 CFBDRN COC[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C)C(C)C ZINC000885810718 646752390 /nfs/dbraw/zinc/75/23/90/646752390.db2.gz IXCJMNSGEKKPQW-GFCCVEGCSA-N 0 0 294.351 2.552 20 5 CFBDRN COC[C@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])C(C)C ZINC000885814089 646753103 /nfs/dbraw/zinc/75/31/03/646753103.db2.gz OEMMBAVBWCEZDP-LBPRGKRZSA-N 0 0 294.351 2.552 20 5 CFBDRN Cc1ccc(CN(C)Cc2cccnc2[N+](=O)[O-])s1 ZINC000885983274 646796073 /nfs/dbraw/zinc/79/60/73/646796073.db2.gz BPMZLOLLWKHVGL-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)NC[C@]12C[C@H]1CCC2 ZINC000885997051 646803831 /nfs/dbraw/zinc/80/38/31/646803831.db2.gz MFOYVMHSCXFFPB-BMIGLBTASA-N 0 0 290.319 2.523 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000886004686 646809326 /nfs/dbraw/zinc/80/93/26/646809326.db2.gz LLDVEOWZFDLEQH-HWPZZCPQSA-N 0 0 299.330 2.996 20 5 CFBDRN O=C(NC[C@]12C[C@H]1CCC2)c1ccc([N+](=O)[O-])s1 ZINC000886009502 646812653 /nfs/dbraw/zinc/81/26/53/646812653.db2.gz QFFJMPIYUDYOBU-PELKAZGASA-N 0 0 266.322 2.576 20 5 CFBDRN COCCN(Cc1cccnc1[N+](=O)[O-])c1ccccc1 ZINC000886233916 646932809 /nfs/dbraw/zinc/93/28/09/646932809.db2.gz BDVGUJSCNWMMGO-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN CC(C)(CNC(=O)COc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000887382066 647296103 /nfs/dbraw/zinc/29/61/03/647296103.db2.gz RUJOGHRPEJTJTI-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN CSC(C)(C)C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887399487 647302208 /nfs/dbraw/zinc/30/22/08/647302208.db2.gz WEBVPZGVGPVBHQ-JTQLQIEISA-N 0 0 296.392 2.956 20 5 CFBDRN CC[C@@](C)(OC)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887401086 647303155 /nfs/dbraw/zinc/30/31/55/647303155.db2.gz PFFNJBDRTPTYGF-IAQYHMDHSA-N 0 0 294.351 2.630 20 5 CFBDRN CCC[C@H](OC)C(=O)NC[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000887400392 647303184 /nfs/dbraw/zinc/30/31/84/647303184.db2.gz ATSPRYJRBRTXGM-RISCZKNCSA-N 0 0 294.351 2.630 20 5 CFBDRN C/C=C/C=C/C(=O)NC[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000887404983 647305331 /nfs/dbraw/zinc/30/53/31/647305331.db2.gz QJPBCGKMBABRKP-MFPJJEKRSA-N 0 0 274.320 2.947 20 5 CFBDRN COc1ccc(OC(=O)C[C@@H]2C[C@H]2C2CC2)c([N+](=O)[O-])c1 ZINC000887660504 647383075 /nfs/dbraw/zinc/38/30/75/647383075.db2.gz NUXHXNJOTLVAIS-JQWIXIFHSA-N 0 0 291.303 2.945 20 5 CFBDRN Cc1cc(C)c(OC(=O)[C@@H]2C[C@H](C)CO2)c([N+](=O)[O-])c1 ZINC000887753680 647406224 /nfs/dbraw/zinc/40/62/24/647406224.db2.gz LHYZHPOBNJIWEM-CABZTGNLSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1C[C@@H](C)CO1 ZINC000887937111 647440911 /nfs/dbraw/zinc/44/09/11/647440911.db2.gz LQFZLRRIOZJHCG-PELKAZGASA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1C[C@@H](C)[C@@H]1C ZINC000887984397 647456915 /nfs/dbraw/zinc/45/69/15/647456915.db2.gz JKJXIQDAHUCULX-ZJUUUORDSA-N 0 0 263.297 2.775 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@H](C(F)(F)F)C1 ZINC000887998853 647459064 /nfs/dbraw/zinc/45/90/64/647459064.db2.gz QHEOZVRMGDMHRN-VIFPVBQESA-N 0 0 288.225 2.619 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC[C@H](C(F)(F)F)C1 ZINC000888005574 647461039 /nfs/dbraw/zinc/46/10/39/647461039.db2.gz APYXYVLQDYZLAN-ZETCQYMHSA-N 0 0 294.254 2.681 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCC2(C)CC2)c1C ZINC000889345089 647544991 /nfs/dbraw/zinc/54/49/91/647544991.db2.gz CMHUEZLOGVFDOI-UHFFFAOYSA-N 0 0 262.309 2.742 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1ccc([N+](=O)[O-])cc1 ZINC000889577815 647602431 /nfs/dbraw/zinc/60/24/31/647602431.db2.gz CHIFJBONJRGJMC-WCFLWFBJSA-N 0 0 260.293 2.513 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)Cc2cccnc2)cc1[N+](=O)[O-] ZINC000889652816 647631550 /nfs/dbraw/zinc/63/15/50/647631550.db2.gz HOYJBHSSXQYPHT-LBPRGKRZSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)Cc2cccnc2)cc([N+](=O)[O-])c1 ZINC000889658867 647634138 /nfs/dbraw/zinc/63/41/38/647634138.db2.gz CNJPSIAHQAGVRD-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCO[C@@H](C)C2)c1C ZINC000889838900 647679064 /nfs/dbraw/zinc/67/90/64/647679064.db2.gz JURDYPVKNSBJBO-JQWIXIFHSA-N 0 0 292.335 2.509 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCO[C@@H](C)C2)c1C ZINC000889838899 647679091 /nfs/dbraw/zinc/67/90/91/647679091.db2.gz JURDYPVKNSBJBO-CMPLNLGQSA-N 0 0 292.335 2.509 20 5 CFBDRN O=C(NCC[C@@H]1CCC(F)(F)C1)c1ccc([N+](=O)[O-])o1 ZINC000890004897 647724151 /nfs/dbraw/zinc/72/41/51/647724151.db2.gz SCWQBEXEPQCMCC-QMMMGPOBSA-N 0 0 288.250 2.743 20 5 CFBDRN CC(C)=CCNC(=O)c1c(C)c(C)ccc1[N+](=O)[O-] ZINC000890172914 647761930 /nfs/dbraw/zinc/76/19/30/647761930.db2.gz YFKMSEJHMWGJFQ-UHFFFAOYSA-N 0 0 262.309 2.908 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC2(C(F)F)CC2)c1C ZINC000890435449 647802378 /nfs/dbraw/zinc/80/23/78/647802378.db2.gz BBNCQRDVTDCGFQ-UHFFFAOYSA-N 0 0 284.262 2.739 20 5 CFBDRN C[C@@H](OC(=O)CC1(C)COC1)c1cccc([N+](=O)[O-])c1 ZINC000924113061 647925085 /nfs/dbraw/zinc/92/50/85/647925085.db2.gz OAOGOGYWUCHSPP-SNVBAGLBSA-N 0 0 279.292 2.626 20 5 CFBDRN Cc1c(COC(=O)C2(C(C)C)COC2)cccc1[N+](=O)[O-] ZINC000924206640 647941026 /nfs/dbraw/zinc/94/10/26/647941026.db2.gz OMQPOOHAROTTTD-UHFFFAOYSA-N 0 0 293.319 2.619 20 5 CFBDRN CCS(=O)(CC)=NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000925902842 648362135 /nfs/dbraw/zinc/36/21/35/648362135.db2.gz WTJXCCKMFVNCLJ-JXMROGBWSA-N 0 0 296.348 2.642 20 5 CFBDRN CC(C)[S@@](C)(=O)=NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000926073555 648399439 /nfs/dbraw/zinc/39/94/39/648399439.db2.gz NEBFBJFTSQLVKV-QKWWCAPFSA-N 0 0 296.348 2.641 20 5 CFBDRN Cc1nonc1[C@@H](C)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000926493620 648508568 /nfs/dbraw/zinc/50/85/68/648508568.db2.gz WLTNAJDXUVWPON-DTWKUNHWSA-N 0 0 276.296 2.698 20 5 CFBDRN CCC[C@@H](OC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928244630 648886940 /nfs/dbraw/zinc/88/69/40/648886940.db2.gz AKLCANQWMKEVEY-ZWNOBZJWSA-N 0 0 280.324 2.587 20 5 CFBDRN CC[C@@](C)(OC)C(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928245678 648887500 /nfs/dbraw/zinc/88/75/00/648887500.db2.gz UVNBKSFMOKSGSJ-QMTHXVAHSA-N 0 0 280.324 2.587 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1CCSC1)c1ccc([N+](=O)[O-])cc1 ZINC000928248749 648888251 /nfs/dbraw/zinc/88/82/51/648888251.db2.gz NZIZHKQTEKSPBD-KOLCDFICSA-N 0 0 280.349 2.525 20 5 CFBDRN Cc1ccc(C(=O)N[C@H](C)c2ccc([N+](=O)[O-])cc2)n1C ZINC000928247451 648888414 /nfs/dbraw/zinc/88/84/14/648888414.db2.gz VAIYPYQDJQIXGK-LLVKDONJSA-N 0 0 287.319 2.733 20 5 CFBDRN CS[C@H](C)CC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928248745 648888659 /nfs/dbraw/zinc/88/86/59/648888659.db2.gz NXOZCCQIAKLLOA-NXEZZACHSA-N 0 0 282.365 2.914 20 5 CFBDRN CCCCOCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000928248752 648888895 /nfs/dbraw/zinc/88/88/95/648888895.db2.gz OCDJIDGRFSAVSN-LLVKDONJSA-N 0 0 280.324 2.589 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1CC12CCC2)c1ccc([N+](=O)[O-])cc1 ZINC000928250188 648889288 /nfs/dbraw/zinc/88/92/88/648889288.db2.gz ZGSZOHLTYAKKBT-MFKMUULPSA-N 0 0 274.320 2.962 20 5 CFBDRN CCc1nnc(S/C=C/c2ccc([N+](=O)[O-])o2)[nH]1 ZINC000928345151 648898431 /nfs/dbraw/zinc/89/84/31/648898431.db2.gz ZMJBNURLVUVDLV-AATRIKPKSA-N 0 0 266.282 2.631 20 5 CFBDRN CCc1nnc(S/C=C\c2ccc([N+](=O)[O-])o2)[nH]1 ZINC000928345152 648898559 /nfs/dbraw/zinc/89/85/59/648898559.db2.gz ZMJBNURLVUVDLV-WAYWQWQTSA-N 0 0 266.282 2.631 20 5 CFBDRN O=C(NCc1ccco1)[C@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000929092528 648977008 /nfs/dbraw/zinc/97/70/08/648977008.db2.gz STUJKEUIMJSQMJ-KBPBESRZSA-N 0 0 286.287 2.608 20 5 CFBDRN CC1CCN(C(=O)[C@@H]2C[C@H]2c2cccc([N+](=O)[O-])c2)CC1 ZINC000929208754 649002700 /nfs/dbraw/zinc/00/27/00/649002700.db2.gz FJWQEINBPKRTNF-LSDHHAIUSA-N 0 0 288.347 2.957 20 5 CFBDRN O=[N+]([O-])CCN1CCC[C@@H]1c1ccc(Br)cc1 ZINC000929314748 649029395 /nfs/dbraw/zinc/02/93/95/649029395.db2.gz SEAHIMYYLFIQRL-GFCCVEGCSA-N 0 0 299.168 2.863 20 5 CFBDRN CCC[C@H](C)NC(=O)CCc1cc(F)ccc1[N+](=O)[O-] ZINC000929718080 649105488 /nfs/dbraw/zinc/10/54/88/649105488.db2.gz NOEGBUFGUMQVEW-JTQLQIEISA-N 0 0 282.315 2.971 20 5 CFBDRN Cc1cnc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cc1C ZINC000929803426 649126490 /nfs/dbraw/zinc/12/64/90/649126490.db2.gz WLMZYKZMSALLMR-UHFFFAOYSA-N 0 0 297.314 2.810 20 5 CFBDRN O=[N+]([O-])c1cccc(CN(Cc2nncs2)C2CC2)c1 ZINC000929853981 649140345 /nfs/dbraw/zinc/14/03/45/649140345.db2.gz QIDOMOAMEUJBGT-UHFFFAOYSA-N 0 0 290.348 2.611 20 5 CFBDRN Cc1cnc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1C ZINC000930074235 649178611 /nfs/dbraw/zinc/17/86/11/649178611.db2.gz OTMDNOQXCAHZAB-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CCc1ccc(C2=CCN(CC[N+](=O)[O-])CC2)cc1 ZINC000930175446 649199104 /nfs/dbraw/zinc/19/91/04/649199104.db2.gz SWMBXALRRXQWTJ-UHFFFAOYSA-N 0 0 260.337 2.615 20 5 CFBDRN C[C@H](c1ncccn1)N(C)CCc1ccccc1[N+](=O)[O-] ZINC000930442881 649248419 /nfs/dbraw/zinc/24/84/19/649248419.db2.gz ZJNWRFDCHVLEHM-GFCCVEGCSA-N 0 0 286.335 2.620 20 5 CFBDRN O=[N+]([O-])CCNC1(c2ccc(C(F)(F)F)cc2)CC1 ZINC000930862758 649339002 /nfs/dbraw/zinc/33/90/02/649339002.db2.gz DOKXPOBRPGMCGY-UHFFFAOYSA-N 0 0 274.242 2.561 20 5 CFBDRN C[C@@H](NCC[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl ZINC000931098508 649380244 /nfs/dbraw/zinc/38/02/44/649380244.db2.gz IRXGRRVQUHLCQV-ZCFIWIBFSA-N 0 0 297.664 2.681 20 5 CFBDRN C[C@@H]1CN(Cc2c(F)cccc2[N+](=O)[O-])Cc2c[nH]nc21 ZINC000931460352 649431679 /nfs/dbraw/zinc/43/16/79/649431679.db2.gz WZKDMLBOEGMXPC-SECBINFHSA-N 0 0 290.298 2.576 20 5 CFBDRN O=[N+]([O-])CCN[C@H](c1cccc(Cl)c1)C(F)F ZINC000931705619 649475821 /nfs/dbraw/zinc/47/58/21/649475821.db2.gz LSDDEXMLFBWODC-SECBINFHSA-N 0 0 264.659 2.513 20 5 CFBDRN Cc1nc([C@@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)no1 ZINC000932177304 649559608 /nfs/dbraw/zinc/55/96/08/649559608.db2.gz LOEQMVAKWDYEOU-RKDXNWHRSA-N 0 0 276.296 2.698 20 5 CFBDRN Cc1ccnc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)n1 ZINC000932177723 649559789 /nfs/dbraw/zinc/55/97/89/649559789.db2.gz PXZXQKFYQKGWHA-LLVKDONJSA-N 0 0 272.308 2.544 20 5 CFBDRN O=C(N[C@@H]1CC=CCC1)[C@@H]1C[C@H]1c1cccc([N+](=O)[O-])c1 ZINC000933177641 649677640 /nfs/dbraw/zinc/67/76/40/649677640.db2.gz ACJJVXVOEJPKQT-VHDGCEQUSA-N 0 0 286.331 2.923 20 5 CFBDRN Cc1[nH]nc2c1CCCN2Cc1c(F)cccc1[N+](=O)[O-] ZINC000934308125 649772148 /nfs/dbraw/zinc/77/21/48/649772148.db2.gz IBBIRACRXIHWCX-UHFFFAOYSA-N 0 0 290.298 2.718 20 5 CFBDRN Cc1ccccc1OS(=O)(=O)c1csc([N+](=O)[O-])c1 ZINC000119305729 651297080 /nfs/dbraw/zinc/29/70/80/651297080.db2.gz BHIPWRZQEGHPJP-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN Cc1cccc(OS(=O)(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000119305590 651297367 /nfs/dbraw/zinc/29/73/67/651297367.db2.gz OHYLPCLWLAZFEF-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN COc1nc(NC[C@H]2CCCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC001155072705 782199569 /nfs/dbraw/zinc/19/95/69/782199569.db2.gz MXAUVCVBOLVXKI-VHSXEESVSA-N 0 0 280.328 2.632 20 5 CFBDRN Cc1ncc([N+](=O)[O-])c(NC[C@H]2CCCC[C@H]2C)n1 ZINC001155073928 782199576 /nfs/dbraw/zinc/19/95/76/782199576.db2.gz VUHLVKKQNVLZSQ-MWLCHTKSSA-N 0 0 264.329 2.931 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1cc(N)c([N+](=O)[O-])cn1 ZINC001155078405 782203640 /nfs/dbraw/zinc/20/36/40/782203640.db2.gz RXIACMQTIDDNTK-ZJUUUORDSA-N 0 0 264.329 2.810 20 5 CFBDRN C[C@@H](Oc1ccnc(=S)[nH]1)c1ccccc1[N+](=O)[O-] ZINC001226939885 777702859 /nfs/dbraw/zinc/70/28/59/777702859.db2.gz JXRJEQPIASUMSD-MRVPVSSYSA-N 0 0 277.305 2.814 20 5 CFBDRN C[C@@H](Oc1ccnc(=S)[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001226939961 777703868 /nfs/dbraw/zinc/70/38/68/777703868.db2.gz LXWWPBLILWTMOH-MRVPVSSYSA-N 0 0 277.305 2.814 20 5 CFBDRN CC[C@@H](O)C(=O)Oc1cc(Cl)c(Cl)cc1[N+](=O)[O-] ZINC001227129700 777769300 /nfs/dbraw/zinc/76/93/00/777769300.db2.gz MAAPACUIWLMAFA-MRVPVSSYSA-N 0 0 294.090 2.578 20 5 CFBDRN CN(C[C@H]1CC1(C)C)c1nc(Cl)ncc1[N+](=O)[O-] ZINC001167090443 769969070 /nfs/dbraw/zinc/96/90/70/769969070.db2.gz ACAGGGPMBNFFRP-SSDOTTSWSA-N 0 0 270.720 2.521 20 5 CFBDRN CCC(CC)N(CC)c1cc(N)c([N+](=O)[O-])cn1 ZINC001167111272 770035935 /nfs/dbraw/zinc/03/59/35/770035935.db2.gz IXXITIKYTQCNEC-UHFFFAOYSA-N 0 0 252.318 2.587 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2ccnn2CCF)c1 ZINC001204449524 770107303 /nfs/dbraw/zinc/10/73/03/770107303.db2.gz AHHDDPPEWJLJCM-UHFFFAOYSA-N 0 0 250.233 2.504 20 5 CFBDRN CCN(CC(C)(C)O)c1nc(C)cc(Cl)c1[N+](=O)[O-] ZINC001167160409 770114551 /nfs/dbraw/zinc/11/45/51/770114551.db2.gz ULWICUREZVWTIA-UHFFFAOYSA-N 0 0 287.747 2.549 20 5 CFBDRN O=[N+]([O-])c1cccc(Nc2cn(CCF)cn2)c1 ZINC001204450941 770115595 /nfs/dbraw/zinc/11/55/95/770115595.db2.gz YHPQRDWCDFDNTK-UHFFFAOYSA-N 0 0 250.233 2.504 20 5 CFBDRN COc1nc(N2CC3(C2)CCCCC3)ccc1[N+](=O)[O-] ZINC001167180849 770127676 /nfs/dbraw/zinc/12/76/76/770127676.db2.gz DIWAFKILBIAOQW-UHFFFAOYSA-N 0 0 277.324 2.769 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@H]4OCC[C@@H]4C3)c2c1 ZINC001167217892 770152134 /nfs/dbraw/zinc/15/21/34/770152134.db2.gz WIHJSNRYLNGGMV-IUODEOHRSA-N 0 0 299.330 2.758 20 5 CFBDRN Nc1ccc(Nc2ccc3c(c2)CCO3)cc1[N+](=O)[O-] ZINC001209935275 770165880 /nfs/dbraw/zinc/16/58/80/770165880.db2.gz LRHHCRZIYQNYHN-UHFFFAOYSA-N 0 0 271.276 2.856 20 5 CFBDRN O=C1NCCc2c(Nc3cccc([N+](=O)[O-])c3)cccc21 ZINC001204476387 770168201 /nfs/dbraw/zinc/16/82/01/770168201.db2.gz LQLDVIWIBHNMFG-UHFFFAOYSA-N 0 0 283.287 2.624 20 5 CFBDRN CCCC(=O)NCCN(CC)c1cc(C)ccc1[N+](=O)[O-] ZINC001100436066 770170354 /nfs/dbraw/zinc/17/03/54/770170354.db2.gz AHCRLXRMMQERSE-UHFFFAOYSA-N 0 0 293.367 2.646 20 5 CFBDRN Cc1cc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])no1 ZINC001125345310 770175298 /nfs/dbraw/zinc/17/52/98/770175298.db2.gz TVLWZADVADAMTA-UHFFFAOYSA-N 0 0 281.655 2.797 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(Cl)cc1NCc1cncnc1 ZINC001167266256 770191044 /nfs/dbraw/zinc/19/10/44/770191044.db2.gz RXNFRACYVBITGS-ONEGZZNKSA-N 0 0 290.710 2.990 20 5 CFBDRN Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2F)oc1C ZINC001167296984 770236835 /nfs/dbraw/zinc/23/68/35/770236835.db2.gz DWYRJDIYJMQTEK-UHFFFAOYSA-N 0 0 279.227 2.591 20 5 CFBDRN C[C@@H](OC(=O)c1cccnc1)c1ccccc1[N+](=O)[O-] ZINC000200431849 770326615 /nfs/dbraw/zinc/32/66/15/770326615.db2.gz KSKRFHAGNOABAG-SNVBAGLBSA-N 0 0 272.260 2.908 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C1(C)CC1 ZINC000706563966 770421448 /nfs/dbraw/zinc/42/14/48/770421448.db2.gz AJWMLHXENTWIAK-VIFPVBQESA-N 0 0 277.324 2.555 20 5 CFBDRN CC(=O)c1cncc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)c1 ZINC001266633110 770462483 /nfs/dbraw/zinc/46/24/83/770462483.db2.gz BUKFEOBTCIVOSJ-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN CCC(=O)NCC1(Nc2ccc([N+](=O)[O-])cc2)CCCC1 ZINC001104358024 770583767 /nfs/dbraw/zinc/58/37/67/770583767.db2.gz QXQYNYCDMGFXAU-UHFFFAOYSA-N 0 0 291.351 2.846 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)cc1O[C@@H]1CCOC1 ZINC000707385930 770669008 /nfs/dbraw/zinc/66/90/08/770669008.db2.gz DVPDVCCIGKVRNU-MRVPVSSYSA-N 0 0 288.097 2.525 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@H](C1CC1)[C@H]1CCCOC1 ZINC000723846934 770683826 /nfs/dbraw/zinc/68/38/26/770683826.db2.gz QZBYCAAOJXSDDZ-WCQYABFASA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H](C1CC1)[C@H]1CCCOC1 ZINC000723847139 770684548 /nfs/dbraw/zinc/68/45/48/770684548.db2.gz YRWISPUOOYMRRQ-WCQYABFASA-N 0 0 277.324 2.607 20 5 CFBDRN CCOCCCOC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588445128 770705616 /nfs/dbraw/zinc/70/56/16/770705616.db2.gz KNRINTOXZZELNV-QXMHVHEDSA-N 0 0 293.319 2.968 20 5 CFBDRN CCCOCCOC(=O)/C(C)=C\c1ccc([N+](=O)[O-])cc1 ZINC000588447363 770712774 /nfs/dbraw/zinc/71/27/74/770712774.db2.gz OPGCPUFJPUJXIK-QXMHVHEDSA-N 0 0 293.319 2.968 20 5 CFBDRN Cc1cnc(CNc2ncc([N+](=O)[O-])s2)c(C)c1 ZINC000724073990 770774526 /nfs/dbraw/zinc/77/45/26/770774526.db2.gz TXYBIOUISWCRBG-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCc1cnc(Nc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC001216481653 770945036 /nfs/dbraw/zinc/94/50/36/770945036.db2.gz WLLQERCFSZUOEZ-UHFFFAOYSA-N 0 0 262.244 2.830 20 5 CFBDRN Cc1ccc(Nc2ccsc2C(N)=O)cc1[N+](=O)[O-] ZINC001213661817 773208829 /nfs/dbraw/zinc/20/88/29/773208829.db2.gz WBJHBXURNKFMPI-UHFFFAOYSA-N 0 0 277.305 2.807 20 5 CFBDRN CCOc1cc(NCc2nocc2C)ccc1[N+](=O)[O-] ZINC000708235057 773232472 /nfs/dbraw/zinc/23/24/72/773232472.db2.gz OLJBNXYKTKKKLE-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN O=[N+]([O-])c1ncccc1CN1CCOc2ccc(F)cc21 ZINC001167665235 773250236 /nfs/dbraw/zinc/25/02/36/773250236.db2.gz ZBDWEMMOVYDNNK-UHFFFAOYSA-N 0 0 289.266 2.528 20 5 CFBDRN C[C@H]1C[C@H](OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])CCO1 ZINC001224097141 775100674 /nfs/dbraw/zinc/10/06/74/775100674.db2.gz RZEXVLXDXDOHQI-JOYOIKCWSA-N 0 0 296.279 2.587 20 5 CFBDRN CCC(=O)[C@H](CC)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001224095949 775100878 /nfs/dbraw/zinc/10/08/78/775100878.db2.gz INQLBBIRYOXPEF-ZDUSSCGKSA-N 0 0 296.279 2.777 20 5 CFBDRN CO[C@@H](COCc1ccc([N+](=O)[O-])nc1)c1ccccc1 ZINC001224108301 775102390 /nfs/dbraw/zinc/10/23/90/775102390.db2.gz FWMAOKAVKJRFGL-AWEZNQCLSA-N 0 0 288.303 2.894 20 5 CFBDRN C[C@H](CON)OCc1ccc([N+](=O)[O-])c2ccccc12 ZINC001224205230 775128546 /nfs/dbraw/zinc/12/85/46/775128546.db2.gz AXDFHZRFYCFIDI-SNVBAGLBSA-N 0 0 276.292 2.543 20 5 CFBDRN C[C@@H]1CC[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2N)C1 ZINC000721530956 775128735 /nfs/dbraw/zinc/12/87/35/775128735.db2.gz OSKNVNPTPRWTFI-SCZZXKLOSA-N 0 0 264.281 2.522 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1cc([N+](=O)[O-])cc(C)n1 ZINC001125683649 775137623 /nfs/dbraw/zinc/13/76/23/775137623.db2.gz SVPQZWPMYKTVPK-UHFFFAOYSA-N 0 0 289.291 2.554 20 5 CFBDRN Cc1nn(C)cc1[C@@H](C)OCCc1ccc([N+](=O)[O-])cc1 ZINC001224328019 775157449 /nfs/dbraw/zinc/15/74/49/775157449.db2.gz JOHGDZSKDHWPTG-GFCCVEGCSA-N 0 0 289.335 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc(CCOC[C@@H]2CCC=CO2)cc1 ZINC001224330103 775159673 /nfs/dbraw/zinc/15/96/73/775159673.db2.gz CMLUNSVNJYMZET-AWEZNQCLSA-N 0 0 263.293 2.847 20 5 CFBDRN CCOc1ccccc1OCCO[C@H](C[N+](=O)[O-])C1CC1 ZINC001224492083 775202067 /nfs/dbraw/zinc/20/20/67/775202067.db2.gz PMGZBZLTCFTRHF-OAHLLOKOSA-N 0 0 295.335 2.536 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](OC[C@@H]1CCCOC1)C2 ZINC001224643069 775236397 /nfs/dbraw/zinc/23/63/97/775236397.db2.gz ACVVMVNGNPTKOL-IAQYHMDHSA-N 0 0 277.320 2.505 20 5 CFBDRN CC[C@H](C)CO[C@@H](C[N+](=O)[O-])c1ccc2c(c1)OCO2 ZINC001224668445 775242050 /nfs/dbraw/zinc/24/20/50/775242050.db2.gz SNSWDJFNTQNYDT-HZMBPMFUSA-N 0 0 281.308 2.796 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](OCCc1cccnc1)C2 ZINC001224965017 775321770 /nfs/dbraw/zinc/32/17/70/775321770.db2.gz AWZYSSMSISGVGH-INIZCTEOSA-N 0 0 284.315 2.716 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1ccccc1F ZINC001225200988 775364727 /nfs/dbraw/zinc/36/47/27/775364727.db2.gz YGXJFJRXUFEBFH-VIFPVBQESA-N 0 0 279.271 2.706 20 5 CFBDRN Cc1ccnc(O[C@H]2CCN(c3ccccc3[N+](=O)[O-])C2)c1 ZINC001225351499 775423194 /nfs/dbraw/zinc/42/31/94/775423194.db2.gz GXBNFDQXBAMPFD-ZDUSSCGKSA-N 0 0 299.330 2.956 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccccc1-c1cc[nH]n1)C1CC1 ZINC001225365484 775430847 /nfs/dbraw/zinc/43/08/47/775430847.db2.gz BRVKVIAZHWTSCN-CQSZACIVSA-N 0 0 273.292 2.511 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(O[C@H]2CCNCC2(F)F)c1 ZINC001218006577 775434519 /nfs/dbraw/zinc/43/45/19/775434519.db2.gz LXMJYGINNXTUAP-JTQLQIEISA-N 0 0 292.669 2.624 20 5 CFBDRN CO[C@H](C)CO[C@H](C)COc1ccc(F)c([N+](=O)[O-])c1 ZINC001225385951 775437977 /nfs/dbraw/zinc/43/79/77/775437977.db2.gz VHASMFGSFVISOS-NXEZZACHSA-N 0 0 287.287 2.553 20 5 CFBDRN Cc1ncc(O[C@@H]2Cc3ccc([N+](=O)[O-])cc3C2)c(C)n1 ZINC001225403627 775443421 /nfs/dbraw/zinc/44/34/21/775443421.db2.gz RZGDDOGVNFHRRO-CQSZACIVSA-N 0 0 285.303 2.548 20 5 CFBDRN C[C@H](Oc1c(Cl)nccc1[N+](=O)[O-])c1ncccn1 ZINC001225430532 775454141 /nfs/dbraw/zinc/45/41/41/775454141.db2.gz CGFKXVDTHNUEET-ZETCQYMHSA-N 0 0 280.671 2.573 20 5 CFBDRN COc1cc(O[C@H](C)C(F)(F)F)ccc1[N+](=O)[O-] ZINC001225436861 775455582 /nfs/dbraw/zinc/45/55/82/775455582.db2.gz ZKRUJMZMSVMOHL-ZCFIWIBFSA-N 0 0 265.187 2.933 20 5 CFBDRN CCC[C@@H](Oc1ccc([N+](=O)[O-])c(OC)c1)C(=O)OCC ZINC001225435574 775455718 /nfs/dbraw/zinc/45/57/18/775455718.db2.gz IVYCUUIGOWNBPN-GFCCVEGCSA-N 0 0 297.307 2.714 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1c(Cl)nccc1[N+](=O)[O-] ZINC001225434779 775456310 /nfs/dbraw/zinc/45/63/10/775456310.db2.gz KYPSYNDGGWCLFD-UWVGGRQHSA-N 0 0 286.715 2.980 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])cc1OC1CCSCC1 ZINC001225445135 775460136 /nfs/dbraw/zinc/46/01/36/775460136.db2.gz ABXPHYGAESPTDB-UHFFFAOYSA-N 0 0 297.332 2.656 20 5 CFBDRN C[C@H](Oc1ncccc1[N+](=O)[O-])c1cccnc1F ZINC001225595738 775517146 /nfs/dbraw/zinc/51/71/46/775517146.db2.gz KZNAYVZGYRMEAF-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CO[C@@H](C)CCOc1c([N+](=O)[O-])cc(C)cc1[N+](=O)[O-] ZINC001225602169 775518916 /nfs/dbraw/zinc/51/89/16/775518916.db2.gz VIGBZDPKSGMPFX-VIFPVBQESA-N 0 0 284.268 2.615 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(OCCOC(C)C)c([N+](=O)[O-])c1 ZINC001225602048 775519558 /nfs/dbraw/zinc/51/95/58/775519558.db2.gz SQHSVFXTLSMXJA-UHFFFAOYSA-N 0 0 284.268 2.615 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1O[C@H](C)c1cnn(C)c1C ZINC001225612113 775523523 /nfs/dbraw/zinc/52/35/23/775523523.db2.gz BPPHBOUKBGSKDF-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])ccc1OC ZINC001225613834 775523902 /nfs/dbraw/zinc/52/39/02/775523902.db2.gz WARMLYGQPCFWOQ-SNVBAGLBSA-N 0 0 297.307 2.714 20 5 CFBDRN C[C@H](COc1ccc([N+](=O)[O-])cn1)OCc1ccccc1 ZINC001225630814 775531927 /nfs/dbraw/zinc/53/19/27/775531927.db2.gz GACBXEARNQILAE-GFCCVEGCSA-N 0 0 288.303 2.974 20 5 CFBDRN Cc1cccc(O[C@@H](C)c2ncccn2)c1[N+](=O)[O-] ZINC001225630917 775532002 /nfs/dbraw/zinc/53/20/02/775532002.db2.gz BHHCTGYHQLSMCY-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC1CCC(F)(F)CC1 ZINC001225628461 775532019 /nfs/dbraw/zinc/53/20/19/775532019.db2.gz NCVIKYADLSAMSB-UHFFFAOYSA-N 0 0 258.224 2.947 20 5 CFBDRN COc1ccc(F)cc1O[C@@H](C[N+](=O)[O-])c1cccnc1 ZINC001225649280 775540693 /nfs/dbraw/zinc/54/06/93/775540693.db2.gz MZOLQAOAJAKYTF-AWEZNQCLSA-N 0 0 292.266 2.626 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1cccc(F)c1[N+](=O)[O-] ZINC001225663800 775546628 /nfs/dbraw/zinc/54/66/28/775546628.db2.gz GWEIGLLAYVVPAI-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN CC(C)C(Oc1[nH]ccc(=O)c1[N+](=O)[O-])C(C)C ZINC001225665984 775548445 /nfs/dbraw/zinc/54/84/45/775548445.db2.gz FCDXYWCNDBUNEF-UHFFFAOYSA-N 0 0 254.286 2.755 20 5 CFBDRN COC(=O)[C@H]1CCC[C@H](Oc2cccc(F)c2[N+](=O)[O-])C1 ZINC001225666390 775548976 /nfs/dbraw/zinc/54/89/76/775548976.db2.gz IKUHHJAODOEJKQ-UWVGGRQHSA-N 0 0 297.282 2.845 20 5 CFBDRN C[C@@H]1C[C@@H](Oc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC001225667389 775549002 /nfs/dbraw/zinc/54/90/02/775549002.db2.gz RDRFLFZTULETLH-BDAKNGLRSA-N 0 0 255.245 2.680 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H]2COc3cnccc32)c1 ZINC001225680669 775555476 /nfs/dbraw/zinc/55/54/76/775555476.db2.gz AUNPYWIPFQDGRL-AWEZNQCLSA-N 0 0 272.260 2.811 20 5 CFBDRN Cc1c(O[C@H](C)c2ncccn2)cccc1[N+](=O)[O-] ZINC001225690693 775559920 /nfs/dbraw/zinc/55/99/20/775559920.db2.gz BFROZKVFPMLWHO-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nc2ccccc2s1)C1CC1 ZINC001225692423 775561428 /nfs/dbraw/zinc/56/14/28/775561428.db2.gz DFNUPVCYBWQEFD-SNVBAGLBSA-N 0 0 264.306 2.730 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1O[C@H]1CCOC[C@@H]1F ZINC001225713839 775571315 /nfs/dbraw/zinc/57/13/15/775571315.db2.gz XLTSKBGHYANRNS-WPRPVWTQSA-N 0 0 275.663 2.754 20 5 CFBDRN CCOC[C@H](C)Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225777991 775590681 /nfs/dbraw/zinc/59/06/81/775590681.db2.gz FLVZOWLUMULVLP-ZETCQYMHSA-N 0 0 261.224 2.677 20 5 CFBDRN O=[N+]([O-])c1cccc(O[C@H]2CN3CCC2CC3)c1Cl ZINC001225786204 775593169 /nfs/dbraw/zinc/59/31/69/775593169.db2.gz QEWXHMIYMUJWTF-LBPRGKRZSA-N 0 0 282.727 2.721 20 5 CFBDRN CCOC(=O)[C@H](CC)Oc1cccc([N+](=O)[O-])c1Cl ZINC001225789750 775593446 /nfs/dbraw/zinc/59/34/46/775593446.db2.gz WLTKBOOSTWDSSE-VIFPVBQESA-N 0 0 287.699 2.969 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc(Cl)c(F)c1)c1cccnc1 ZINC001225788486 775593752 /nfs/dbraw/zinc/59/37/52/775593752.db2.gz AKMNELWGOOSHTL-LLVKDONJSA-N 0 0 297.673 2.666 20 5 CFBDRN O=[N+]([O-])c1cccc(OC[C@@H]2CCCCO2)c1F ZINC001225796313 775596465 /nfs/dbraw/zinc/59/64/65/775596465.db2.gz JTPODWQFTHVDKE-VIFPVBQESA-N 0 0 255.245 2.682 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(OC[C@@H]2CCO[C@@H]2C)c1 ZINC001225801633 775597120 /nfs/dbraw/zinc/59/71/20/775597120.db2.gz FKYQTPULXAIUHP-PWSUYJOCSA-N 0 0 279.292 2.601 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])c(OC[C@@H]2CCCCO2)c1 ZINC001225804360 775599082 /nfs/dbraw/zinc/59/90/82/775599082.db2.gz REJMWLPPHJETGA-LBPRGKRZSA-N 0 0 279.292 2.745 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccc(F)cc1Cl)C1CC1 ZINC001225886717 775623430 /nfs/dbraw/zinc/62/34/30/775623430.db2.gz FVZFFXFLEUWQAJ-LLVKDONJSA-N 0 0 259.664 2.913 20 5 CFBDRN C[C@H]1C[C@@H](Oc2ccc(F)cc2[N+](=O)[O-])CCO1 ZINC001225907552 775631312 /nfs/dbraw/zinc/63/13/12/775631312.db2.gz PRWIOYDNKNFCLN-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN CCOCC(COCC)Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225912608 775632235 /nfs/dbraw/zinc/63/22/35/775632235.db2.gz LLIQVBKAYKMLEU-UHFFFAOYSA-N 0 0 287.287 2.554 20 5 CFBDRN CCOC(=O)[C@@H]1CCC[C@H]1Oc1ccc([N+](=O)[O-])c(F)c1 ZINC001225912726 775632762 /nfs/dbraw/zinc/63/27/62/775632762.db2.gz MTHNRVZFMFLBTF-ZWNOBZJWSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1ccc(F)c(Cl)c1)C1CC1 ZINC001225918197 775635088 /nfs/dbraw/zinc/63/50/88/775635088.db2.gz ZODLAROWXHUUDJ-NSHDSACASA-N 0 0 259.664 2.913 20 5 CFBDRN CCCOC[C@H](C)Oc1ccc([N+](=O)[O-])cc1F ZINC001225942037 775642042 /nfs/dbraw/zinc/64/20/42/775642042.db2.gz MLAUILNPCXCDOZ-VIFPVBQESA-N 0 0 257.261 2.928 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1F)c1cncnc1 ZINC001225941239 775642259 /nfs/dbraw/zinc/64/22/59/775642259.db2.gz FFAMMOLQDDIXFO-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@H]2CCCC3(C2)OCCO3)c(F)c1 ZINC001225945397 775643627 /nfs/dbraw/zinc/64/36/27/775643627.db2.gz FCRTVVCKFSGVPV-NSHDSACASA-N 0 0 297.282 2.798 20 5 CFBDRN O=C1CCC(Oc2c(F)c(F)ccc2[N+](=O)[O-])CC1 ZINC001225961412 775649047 /nfs/dbraw/zinc/64/90/47/775649047.db2.gz VZAOPGDWGIQOBP-UHFFFAOYSA-N 0 0 271.219 2.764 20 5 CFBDRN O=C1C[C@H](Oc2ccc(-c3ccc([N+](=O)[O-])cc3)cc2)CO1 ZINC001225974575 775653653 /nfs/dbraw/zinc/65/36/53/775653653.db2.gz ZAWGGNVTYFZWMY-HNNXBMFYSA-N 0 0 299.282 2.956 20 5 CFBDRN CCOC(=O)[C@H]1CCC[C@H]1Oc1c(F)cccc1[N+](=O)[O-] ZINC001226007088 775664767 /nfs/dbraw/zinc/66/47/67/775664767.db2.gz KABNPMVHSHVGNS-JOYOIKCWSA-N 0 0 297.282 2.845 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OC[C@H]1CCC=CO1 ZINC001226007982 775666253 /nfs/dbraw/zinc/66/62/53/775666253.db2.gz WCMQNHDMWGQLPJ-SECBINFHSA-N 0 0 253.229 2.805 20 5 CFBDRN C[C@H]1C[C@@H](Oc2c(F)cccc2[N+](=O)[O-])CCO1 ZINC001226010563 775667124 /nfs/dbraw/zinc/66/71/24/775667124.db2.gz UIFQFSFIDOPWIA-IUCAKERBSA-N 0 0 255.245 2.680 20 5 CFBDRN Cc1ccnc(O[C@@H](C)c2ccncc2)c1[N+](=O)[O-] ZINC001226115548 775703175 /nfs/dbraw/zinc/70/31/75/775703175.db2.gz DGFBWZRXGICJSN-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN Cc1ccnc(O[C@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC001226117717 775705125 /nfs/dbraw/zinc/70/51/25/775705125.db2.gz FZUSJXHNRKFQDT-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN COC1=CC[C@@H](Oc2nccc(C)c2[N+](=O)[O-])CC1 ZINC001226121506 775707308 /nfs/dbraw/zinc/70/73/08/775707308.db2.gz PUZLLSQHHOXCTR-LLVKDONJSA-N 0 0 264.281 2.760 20 5 CFBDRN Cc1cn2c(n1)[C@H](Oc1nccc(C)c1[N+](=O)[O-])CCC2 ZINC001226120727 775707513 /nfs/dbraw/zinc/70/75/13/775707513.db2.gz VTADTRIIEYNQRK-LLVKDONJSA-N 0 0 288.307 2.717 20 5 CFBDRN CC1(C)C[C@@H](Oc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC001226132356 775711355 /nfs/dbraw/zinc/71/13/55/775711355.db2.gz YQCBSJDJIYYVQD-VIFPVBQESA-N 0 0 286.715 2.980 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1C(N)=O)c1ccccc1 ZINC001226164145 775722447 /nfs/dbraw/zinc/72/24/47/775722447.db2.gz HBCOORIMBCSRMN-JTQLQIEISA-N 0 0 286.287 2.834 20 5 CFBDRN C[C@@H]1CCCC[C@@H]1Oc1ccc([N+](=O)[O-])cc1C(N)=O ZINC001226168420 775723172 /nfs/dbraw/zinc/72/31/72/775723172.db2.gz RZDWRVIOKHTWQT-SKDRFNHKSA-N 0 0 278.308 2.651 20 5 CFBDRN C[C@H]1CCC[C@@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C1 ZINC001226166934 775723282 /nfs/dbraw/zinc/72/32/82/775723282.db2.gz APCIXJYRSXMVBW-GXSJLCMTSA-N 0 0 278.308 2.651 20 5 CFBDRN C[C@@H]1CC(Oc2ccc([N+](=O)[O-])cc2C(N)=O)C[C@@H](C)C1 ZINC001226167192 775723631 /nfs/dbraw/zinc/72/36/31/775723631.db2.gz GSFRSEWPARYCEX-UWVGGRQHSA-N 0 0 292.335 2.897 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2ccc([N+](=O)[O-])cc2C(N)=O)C[C@@H]1C ZINC001226167412 775723888 /nfs/dbraw/zinc/72/38/88/775723888.db2.gz IVTVWXBVISTSDN-JFGNBEQYSA-N 0 0 292.335 2.897 20 5 CFBDRN C[C@H](Oc1cc([N+](=O)[O-])c(F)cc1F)c1cnccn1 ZINC001226182276 775728207 /nfs/dbraw/zinc/72/82/07/775728207.db2.gz ZZFQTMHVHZJTDX-ZETCQYMHSA-N 0 0 281.218 2.803 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@H](Oc1cccnc1F)C2 ZINC001226185340 775729287 /nfs/dbraw/zinc/72/92/87/775729287.db2.gz RTECVPVCMQEKHO-GFCCVEGCSA-N 0 0 274.251 2.675 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc([N+](=O)[O-])c(F)cc1F ZINC001226191919 775732720 /nfs/dbraw/zinc/73/27/20/775732720.db2.gz UYLOTCUCWAGGGV-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN CC[C@H](O)C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1Cl ZINC001226204332 775737238 /nfs/dbraw/zinc/73/72/38/775737238.db2.gz NFTHOLSXJOQADV-QMMMGPOBSA-N 0 0 294.090 2.578 20 5 CFBDRN Cn1c(O[C@@H](C[N+](=O)[O-])C2CC2)cc2ccccc21 ZINC001226235037 775746262 /nfs/dbraw/zinc/74/62/62/775746262.db2.gz NXGRGYDFDPQEPM-ZDUSSCGKSA-N 0 0 260.293 2.612 20 5 CFBDRN O=C1CCC(Oc2ncc([N+](=O)[O-])cc2Cl)CC1 ZINC001226252006 775755422 /nfs/dbraw/zinc/75/54/22/775755422.db2.gz IXPLINHXNSVWDT-UHFFFAOYSA-N 0 0 270.672 2.534 20 5 CFBDRN O=[N+]([O-])c1cnc(OC[C@@H]2CCC=CO2)c(Cl)c1 ZINC001226255917 775757298 /nfs/dbraw/zinc/75/72/98/775757298.db2.gz QAYFQCZCBYXTDL-VIFPVBQESA-N 0 0 270.672 2.715 20 5 CFBDRN COC(=O)C1CCC(Oc2cc([N+](=O)[O-])ccc2F)CC1 ZINC001226264835 775762311 /nfs/dbraw/zinc/76/23/11/775762311.db2.gz BWVNJRMXFQHXLZ-UHFFFAOYSA-N 0 0 297.282 2.845 20 5 CFBDRN Cc1nn(C)cc1[C@H](C)Oc1cc([N+](=O)[O-])ccc1F ZINC001226265701 775762480 /nfs/dbraw/zinc/76/24/80/775762480.db2.gz KOVDSMMUUOATLF-VIFPVBQESA-N 0 0 279.271 2.916 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCOC(C)(C)C)n1 ZINC000695303706 775767141 /nfs/dbraw/zinc/76/71/41/775767141.db2.gz VJJKRBWKHVNIRY-UHFFFAOYSA-N 0 0 267.329 2.915 20 5 CFBDRN CC(=O)c1ccc(O[C@@H](C)C2CCOCC2)c([N+](=O)[O-])c1 ZINC001226287900 775768741 /nfs/dbraw/zinc/76/87/41/775768741.db2.gz JXIYYLATBOPOTR-NSHDSACASA-N 0 0 293.319 2.991 20 5 CFBDRN CO[C@@H](C)CCOc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC001226291403 775769813 /nfs/dbraw/zinc/76/98/13/775769813.db2.gz CSUGXLUGJYYJDK-VIFPVBQESA-N 0 0 267.281 2.601 20 5 CFBDRN COC(C[C@@H](C)Oc1ccc(C(C)=O)cc1[N+](=O)[O-])OC ZINC001226293024 775769899 /nfs/dbraw/zinc/76/98/99/775769899.db2.gz QVBMZIPCTWBDCR-SECBINFHSA-N 0 0 297.307 2.574 20 5 CFBDRN CCN1CCC[C@@H](Oc2ccc(C(C)=O)cc2[N+](=O)[O-])C1 ZINC001226293039 775770099 /nfs/dbraw/zinc/77/00/99/775770099.db2.gz RMPIEZYQXRVSSZ-CYBMUJFWSA-N 0 0 292.335 2.661 20 5 CFBDRN CC(=O)c1ccc(O[C@@H](C)c2cnccn2)c([N+](=O)[O-])c1 ZINC001226295238 775770845 /nfs/dbraw/zinc/77/08/45/775770845.db2.gz RLOAHJNYXFFNKF-JTQLQIEISA-N 0 0 287.275 2.727 20 5 CFBDRN CCCOC[C@@H](C)Oc1nc(Cl)ccc1[N+](=O)[O-] ZINC001226364251 775790412 /nfs/dbraw/zinc/79/04/12/775790412.db2.gz HWGVUBBPJBVBRQ-MRVPVSSYSA-N 0 0 274.704 2.837 20 5 CFBDRN COC(=O)c1cc(OC)c(O[C@@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC001226362389 775790903 /nfs/dbraw/zinc/79/09/03/775790903.db2.gz RILVSXSZLBPEQA-QMMMGPOBSA-N 0 0 295.291 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(O[C@@H]3C=CCC3)c2c1 ZINC001226437357 775822952 /nfs/dbraw/zinc/82/29/52/775822952.db2.gz WOYPMRSKLDFVCU-SNVBAGLBSA-N 0 0 257.249 2.635 20 5 CFBDRN CC(C)(C)c1ccc(O[C@@H]2CCCOC2=O)c([N+](=O)[O-])c1 ZINC001226445988 775825870 /nfs/dbraw/zinc/82/58/70/775825870.db2.gz IZCWQNMBFJILBO-CYBMUJFWSA-N 0 0 293.319 2.977 20 5 CFBDRN COC(=O)[C@@H](C)Oc1ccc(C(C)(C)C)cc1[N+](=O)[O-] ZINC001226446251 775825968 /nfs/dbraw/zinc/82/59/68/775825968.db2.gz MEMKWXOFKXLIPU-SECBINFHSA-N 0 0 281.308 2.833 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cncc(C(F)(F)F)c1)C1CC1 ZINC001226480207 775839929 /nfs/dbraw/zinc/83/99/29/775839929.db2.gz DPFHSUUNBPCZMT-SNVBAGLBSA-N 0 0 276.214 2.535 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc([N+](=O)[O-])cc1C(C)=O ZINC001226530435 775862260 /nfs/dbraw/zinc/86/22/60/775862260.db2.gz CHQRHBFZHMVROC-CYBMUJFWSA-N 0 0 279.292 2.934 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC1CN(C(C)(C)C)C1 ZINC001226530268 775862495 /nfs/dbraw/zinc/86/24/95/775862495.db2.gz ZSLSEXBOSGIAOS-UHFFFAOYSA-N 0 0 292.335 2.659 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1O[C@H]1CCO[C@H](C)C1 ZINC001226532251 775864397 /nfs/dbraw/zinc/86/43/97/775864397.db2.gz SDNKLUDRNUDVCZ-SKDRFNHKSA-N 0 0 279.292 2.744 20 5 CFBDRN COc1cc(O[C@H](C)COC(C)(C)C)ncc1[N+](=O)[O-] ZINC001226575310 775880025 /nfs/dbraw/zinc/88/00/25/775880025.db2.gz BFUUJFZORZTDED-SECBINFHSA-N 0 0 284.312 2.581 20 5 CFBDRN COc1cc(O[C@H](C)c2ncccc2F)ncc1[N+](=O)[O-] ZINC001226582432 775880824 /nfs/dbraw/zinc/88/08/24/775880824.db2.gz WVFGWWOLNVTGMJ-MRVPVSSYSA-N 0 0 293.254 2.673 20 5 CFBDRN O=[N+]([O-])c1ccc(Br)c(OC[C@H]2CCO2)c1 ZINC001226581836 775881494 /nfs/dbraw/zinc/88/14/94/775881494.db2.gz CUCSONHIOZEYGA-MRVPVSSYSA-N 0 0 288.097 2.525 20 5 CFBDRN CCC[C@@H](CC)Oc1cc(OC)c([N+](=O)[O-])cn1 ZINC001226582636 775881572 /nfs/dbraw/zinc/88/15/72/775881572.db2.gz YNEWDGZOFCHTAZ-SECBINFHSA-N 0 0 254.286 2.956 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)C[C@@H](Oc1ncc(Cl)cn1)C2 ZINC001226663535 775910546 /nfs/dbraw/zinc/91/05/46/775910546.db2.gz HVAIHHUDIIVBHD-LBPRGKRZSA-N 0 0 291.694 2.584 20 5 CFBDRN CC/C=C\CCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])nc1 ZINC001226709582 775931835 /nfs/dbraw/zinc/93/18/35/775931835.db2.gz JGVYTRSYKJVDAS-DOGVGXBMSA-N 0 0 294.307 2.657 20 5 CFBDRN CC(C)Oc1cncc(COc2ccc([N+](=O)[O-])nc2)c1 ZINC001226713118 775935800 /nfs/dbraw/zinc/93/58/00/775935800.db2.gz VQIMWCBWJUYSDO-UHFFFAOYSA-N 0 0 289.291 2.751 20 5 CFBDRN C[C@H]1C[C@H](Oc2ncc([N+](=O)[O-])cn2)C[C@@H](C)C1 ZINC001226729126 775940502 /nfs/dbraw/zinc/94/05/02/775940502.db2.gz ANDOMLFXANYILA-JZYVYDRUSA-N 0 0 251.286 2.588 20 5 CFBDRN CC1(C)CCC[C@H](Oc2ncc([N+](=O)[O-])cn2)C1 ZINC001226733273 775941166 /nfs/dbraw/zinc/94/11/66/775941166.db2.gz LJPCXWOYCDNYRI-JTQLQIEISA-N 0 0 251.286 2.732 20 5 CFBDRN Cc1cccc2c1[C@@H](Oc1ncc([N+](=O)[O-])cn1)CC2 ZINC001226732576 775941295 /nfs/dbraw/zinc/94/12/95/775941295.db2.gz WZFDFYZZZYNZAD-LBPRGKRZSA-N 0 0 271.276 2.760 20 5 CFBDRN C[C@@H](Oc1ncc([N+](=O)[O-])cn1)c1cccs1 ZINC001226731228 775941804 /nfs/dbraw/zinc/94/18/04/775941804.db2.gz MQZBUXAJSQCZBD-SSDOTTSWSA-N 0 0 251.267 2.586 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1O[C@@H]1C[C@@H]2CC[C@H]1C2 ZINC001226751195 775946752 /nfs/dbraw/zinc/94/67/52/775946752.db2.gz GJEZZVCNTBYEJM-VHSKPIJISA-N 0 0 252.245 2.696 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H](C)C(=O)OCCC(C)C ZINC001226763963 775952691 /nfs/dbraw/zinc/95/26/91/775952691.db2.gz DEACHWLUWATCBI-LLVKDONJSA-N 0 0 296.323 2.655 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1O[C@H](C)c1ncccc1F ZINC001226765345 775954917 /nfs/dbraw/zinc/95/49/17/775954917.db2.gz RGCGHSHYBQPDJY-SECBINFHSA-N 0 0 277.255 2.972 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1cc([N+](=O)[O-])ccc1Cl ZINC001226809614 775973271 /nfs/dbraw/zinc/97/32/71/775973271.db2.gz FJWZTOOWANGSIU-MRVPVSSYSA-N 0 0 287.699 2.969 20 5 CFBDRN CO[C@H](C)COc1cc(Cl)c(F)cc1[N+](=O)[O-] ZINC001226908980 776012929 /nfs/dbraw/zinc/01/29/29/776012929.db2.gz NOQAQEWIZCSTDP-ZCFIWIBFSA-N 0 0 263.652 2.801 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(Cl)cc1O[C@@H]1CCOC1 ZINC001226909338 776013456 /nfs/dbraw/zinc/01/34/56/776013456.db2.gz SOUIISXVNSFODO-ZCFIWIBFSA-N 0 0 261.636 2.555 20 5 CFBDRN C[C@@H](Oc1cc(Cl)c([N+](=O)[O-])cn1)c1ncccn1 ZINC001226918260 776016785 /nfs/dbraw/zinc/01/67/85/776016785.db2.gz QDLOIQHRMBOYTF-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN CCN1CC(Oc2ccc(C(F)(F)F)c([N+](=O)[O-])c2)C1 ZINC001226964038 776036573 /nfs/dbraw/zinc/03/65/73/776036573.db2.gz JXMNEIPOYKUKKH-UHFFFAOYSA-N 0 0 290.241 2.697 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(F)ccc1Cl)C1CC1 ZINC001226978978 776045012 /nfs/dbraw/zinc/04/50/12/776045012.db2.gz CJDSTVFJIVHARK-LLVKDONJSA-N 0 0 259.664 2.913 20 5 CFBDRN COc1cccc2sc(O[C@@H](C[N+](=O)[O-])C3CC3)nc21 ZINC001226985065 776047981 /nfs/dbraw/zinc/04/79/81/776047981.db2.gz FXLOJCASDZJQBH-JTQLQIEISA-N 0 0 294.332 2.739 20 5 CFBDRN CC[C@@H](CC(=O)OC)Oc1cc([N+](=O)[O-])ccc1C ZINC001226994746 776052948 /nfs/dbraw/zinc/05/29/48/776052948.db2.gz HGOXWQHBSKLETD-NSHDSACASA-N 0 0 267.281 2.624 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1O[C@@H]1CCOC[C@@H]1C ZINC001226992505 776053098 /nfs/dbraw/zinc/05/30/98/776053098.db2.gz LCSHJCYHEDYXCV-CMPLNLGQSA-N 0 0 251.282 2.707 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(O[C@H](C)c2nccs2)n1 ZINC001227063158 776079824 /nfs/dbraw/zinc/07/98/24/776079824.db2.gz BGELLIBKUFGJQK-MRVPVSSYSA-N 0 0 265.294 2.895 20 5 CFBDRN COC1=CC[C@H](Oc2nc(C)ccc2[N+](=O)[O-])CC1 ZINC001227063862 776080691 /nfs/dbraw/zinc/08/06/91/776080691.db2.gz ICFHCTJHOHRPAS-NSHDSACASA-N 0 0 264.281 2.760 20 5 CFBDRN CCC[C@H](CC(=O)OCC)Oc1nc(C)ccc1[N+](=O)[O-] ZINC001227062453 776080814 /nfs/dbraw/zinc/08/08/14/776080814.db2.gz NCLKPVQWWUTJRO-LLVKDONJSA-N 0 0 296.323 2.799 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1ccnc(C(F)(F)F)c1)C1CC1 ZINC001227070830 776083553 /nfs/dbraw/zinc/08/35/53/776083553.db2.gz GPRDSPDUXWWWBH-SECBINFHSA-N 0 0 276.214 2.535 20 5 CFBDRN CCC[C@H](CC)Oc1ncc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001227109233 776101232 /nfs/dbraw/zinc/10/12/32/776101232.db2.gz UDPQXHOFPIKBIE-VIFPVBQESA-N 0 0 269.257 2.856 20 5 CFBDRN COC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1CC[C@H](C)C1 ZINC001227122821 776106712 /nfs/dbraw/zinc/10/67/12/776106712.db2.gz VGRYTDFHTFBDRI-VHSXEESVSA-N 0 0 279.292 2.949 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1cc(F)c(Cl)cc1[N+](=O)[O-] ZINC001227154821 776117398 /nfs/dbraw/zinc/11/73/98/776117398.db2.gz UBYLBFVXJAZMNY-LURJTMIESA-N 0 0 291.662 2.718 20 5 CFBDRN CCC[C@H](CC(=O)OC)Oc1ccc([N+](=O)[O-])cc1OC ZINC001227185635 776129107 /nfs/dbraw/zinc/12/91/07/776129107.db2.gz WISFNJAXAHNXAP-LLVKDONJSA-N 0 0 297.307 2.714 20 5 CFBDRN O=[N+]([O-])c1ccc2ccccc2c1OC[C@@H]1COCCO1 ZINC001227213832 776140963 /nfs/dbraw/zinc/14/09/63/776140963.db2.gz BSJARGQELGPDMJ-LBPRGKRZSA-N 0 0 289.287 2.542 20 5 CFBDRN Cc1ccc(O[C@@H](C)c2ccccn2)c([N+](=O)[O-])n1 ZINC001227222944 776144098 /nfs/dbraw/zinc/14/40/98/776144098.db2.gz XWUIZZLUANZNGZ-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN CC[N@@H+]1CCC[C@H](Oc2nc3c(cccc3[N+](=O)[O-])o2)C1 ZINC001227235798 776149960 /nfs/dbraw/zinc/14/99/60/776149960.db2.gz KLFVBYUXGBAGPJ-JTQLQIEISA-N 0 0 291.307 2.599 20 5 CFBDRN CC(C)OCCOc1nc2c(cccc2[N+](=O)[O-])o1 ZINC001227236928 776150670 /nfs/dbraw/zinc/15/06/70/776150670.db2.gz CFNQVKVDDNLKHF-UHFFFAOYSA-N 0 0 266.253 2.540 20 5 CFBDRN Cc1cn2c(n1)[C@@H](Oc1ncc(C)cc1[N+](=O)[O-])CCC2 ZINC001227236947 776151261 /nfs/dbraw/zinc/15/12/61/776151261.db2.gz MTGCUYMZGXVINF-LBPRGKRZSA-N 0 0 288.307 2.717 20 5 CFBDRN O=[N+]([O-])c1ccc(C(F)(F)F)nc1O[C@H]1CCCOC1 ZINC001227244404 776154250 /nfs/dbraw/zinc/15/42/50/776154250.db2.gz ZENORPRPTHOBBF-ZETCQYMHSA-N 0 0 292.213 2.566 20 5 CFBDRN CCCCCCNC(=O)COc1cccc(C)c1[N+](=O)[O-] ZINC000123974035 776157541 /nfs/dbraw/zinc/15/75/41/776157541.db2.gz CNJJWIWWWOYDNH-UHFFFAOYSA-N 0 0 294.351 2.979 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1C[C@H](C)Oc1c(C)ccnc1C ZINC001227263114 776162930 /nfs/dbraw/zinc/16/29/30/776162930.db2.gz CDSGNGYJNWFRLB-JTQLQIEISA-N 0 0 290.323 2.579 20 5 CFBDRN Cc1cc(CNc2cc([N+](=O)[O-])ccc2Cl)nn1C ZINC001167765436 776169846 /nfs/dbraw/zinc/16/98/46/776169846.db2.gz JYBQOLRURIDLJB-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN COC(=O)c1ccc(OC(C2CC2)C2CC2)c([N+](=O)[O-])c1 ZINC001227294620 776172617 /nfs/dbraw/zinc/17/26/17/776172617.db2.gz FUEUOSDATBZBTO-UHFFFAOYSA-N 0 0 291.303 2.949 20 5 CFBDRN CCOC(=O)c1ccc(OCCOC(C)C)c([N+](=O)[O-])c1 ZINC001227296284 776173585 /nfs/dbraw/zinc/17/35/85/776173585.db2.gz BMSBBDCORUTGTL-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1nc(Cl)nc(O[C@H](C)c2ccccn2)c1[N+](=O)[O-] ZINC001227337955 776178408 /nfs/dbraw/zinc/17/84/08/776178408.db2.gz IGZPXWMNBHBCNV-MRVPVSSYSA-N 0 0 294.698 2.882 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(Cl)sn1)c1cccnc1 ZINC001227377074 776187677 /nfs/dbraw/zinc/18/76/77/776187677.db2.gz BBUQPEQEBUADIZ-MRVPVSSYSA-N 0 0 285.712 2.588 20 5 CFBDRN C[C@H](Oc1ccc2c(c1)oc1cc(=O)ccc-1[n+]2[O-])C1CC1 ZINC001227448915 776205900 /nfs/dbraw/zinc/20/59/00/776205900.db2.gz JYRXRYSOMAPIBI-JTQLQIEISA-N 0 0 297.310 2.709 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 ZINC001227464404 776208660 /nfs/dbraw/zinc/20/86/60/776208660.db2.gz XSONUBUJYXFSKQ-ZETCQYMHSA-N 0 0 252.226 2.680 20 5 CFBDRN O=[N+]([O-])c1ccc(O[C@@H]2C[C@H]3C[C@H]3C2)c([N+](=O)[O-])c1 ZINC001227466974 776209793 /nfs/dbraw/zinc/20/97/93/776209793.db2.gz QFUODHNBLSMROU-DIYOJNKTSA-N 0 0 264.237 2.680 20 5 CFBDRN COC(=O)C1CCC(Oc2ccccc2[N+](=O)[O-])CC1 ZINC001227479223 776210894 /nfs/dbraw/zinc/21/08/94/776210894.db2.gz FDLWSQLXBAAZGZ-UHFFFAOYSA-N 0 0 279.292 2.705 20 5 CFBDRN C[C@H]1C[C@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] ZINC000124794309 776216847 /nfs/dbraw/zinc/21/68/47/776216847.db2.gz RJQDOQHXYUSZSV-POYBYMJQSA-N 0 0 255.657 2.810 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])cc2)=C1C ZINC001227521329 776218847 /nfs/dbraw/zinc/21/88/47/776218847.db2.gz PVBAUPFBJVXWBU-LLVKDONJSA-N 0 0 263.249 2.583 20 5 CFBDRN COC(=O)c1sc([N+](=O)[O-])cc1OC1CC(F)(F)C1 ZINC001227541846 776224554 /nfs/dbraw/zinc/22/45/54/776224554.db2.gz PNTCGPDINCJEDM-UHFFFAOYSA-N 0 0 293.247 2.619 20 5 CFBDRN O=[N+]([O-])c1ccc([C@@H](OC2COC2)C(F)(F)F)cc1 ZINC001222687856 776235499 /nfs/dbraw/zinc/23/54/99/776235499.db2.gz RQUWIJBATDGVCU-SNVBAGLBSA-N 0 0 277.198 2.614 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1O[C@H](C)c1cnn(C)c1C ZINC001227697996 776260564 /nfs/dbraw/zinc/26/05/64/776260564.db2.gz UGUXDCKMRBOLNX-SNVBAGLBSA-N 0 0 291.307 2.785 20 5 CFBDRN CCC[C@@H](Oc1c(OC)cccc1[N+](=O)[O-])C(=O)OCC ZINC001227701978 776261993 /nfs/dbraw/zinc/26/19/93/776261993.db2.gz RJAXMZLLGISYPO-GFCCVEGCSA-N 0 0 297.307 2.714 20 5 CFBDRN C=Cc1cnc(=O)[nH]c1O[C@H](C)c1ccccc1[N+](=O)[O-] ZINC001227713504 776266715 /nfs/dbraw/zinc/26/67/15/776266715.db2.gz MHVXUBIVEXWLQP-SECBINFHSA-N 0 0 287.275 2.873 20 5 CFBDRN CCC(=O)[C@H](CC)OCc1ccccc1[N+](=O)[O-] ZINC001222760422 776294394 /nfs/dbraw/zinc/29/43/94/776294394.db2.gz OVKTUOBLCYBYJT-ZDUSSCGKSA-N 0 0 251.282 2.869 20 5 CFBDRN C[C@@H]1C[C@H](OCc2ccccc2[N+](=O)[O-])CCO1 ZINC001222761239 776295755 /nfs/dbraw/zinc/29/57/55/776295755.db2.gz KIVAMTISFLRKDB-ZYHUDNBSSA-N 0 0 251.282 2.679 20 5 CFBDRN CCOc1cc(F)c(O[C@@H](C[N+](=O)[O-])C2CC2)c(F)c1 ZINC001227849935 776308226 /nfs/dbraw/zinc/30/82/26/776308226.db2.gz JAVHDYHCEKPYGA-LBPRGKRZSA-N 0 0 287.262 2.798 20 5 CFBDRN COC(=O)c1cccc(O[C@@H](C)C(C)C)c1[N+](=O)[O-] ZINC001227895535 776320614 /nfs/dbraw/zinc/32/06/14/776320614.db2.gz ZESBFOAFVXYKSR-VIFPVBQESA-N 0 0 267.281 2.805 20 5 CFBDRN CCCOC[C@H](C)Oc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC001227894189 776320715 /nfs/dbraw/zinc/32/07/15/776320715.db2.gz KPKQGQHHTIXQDM-JTQLQIEISA-N 0 0 297.307 2.575 20 5 CFBDRN COc1cc(Cl)cc(O[C@H](C[N+](=O)[O-])C2CC2)c1 ZINC001227897593 776322116 /nfs/dbraw/zinc/32/21/16/776322116.db2.gz WLCFQKALDUYCRF-GFCCVEGCSA-N 0 0 271.700 2.783 20 5 CFBDRN C[C@@H](Oc1cncc([N+](=O)[O-])c1)c1ccncc1F ZINC001227990045 776352103 /nfs/dbraw/zinc/35/21/03/776352103.db2.gz DZFGJTVWWHBGOR-MRVPVSSYSA-N 0 0 263.228 2.664 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1OC1CCOCC1 ZINC001228003081 776358477 /nfs/dbraw/zinc/35/84/77/776358477.db2.gz ULQWODSMTRHELT-UHFFFAOYSA-N 0 0 257.673 2.806 20 5 CFBDRN CCCOC(=O)[C@H](C)Oc1cc([N+](=O)[O-])cc(F)c1F ZINC001228016241 776364715 /nfs/dbraw/zinc/36/47/15/776364715.db2.gz LFZRJJGLDPOWFH-ZETCQYMHSA-N 0 0 289.234 2.594 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc2[nH]ccc2cc1O)c1cccnc1 ZINC001228038260 776371853 /nfs/dbraw/zinc/37/18/53/776371853.db2.gz RSGNJRZLABCZHZ-OAHLLOKOSA-N 0 0 299.286 2.665 20 5 CFBDRN C[C@@H](Oc1ccnc(Cl)c1[N+](=O)[O-])c1cncnc1 ZINC001228056320 776379666 /nfs/dbraw/zinc/37/96/66/776379666.db2.gz BQUSLEWVCVNFAQ-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1c(Cl)nccc1O[C@@H]1COc2cnccc21 ZINC001228060052 776381734 /nfs/dbraw/zinc/38/17/34/776381734.db2.gz BMRXHRRGBQSWML-SNVBAGLBSA-N 0 0 293.666 2.551 20 5 CFBDRN CC(C)[C@H]1CC[C@H](Oc2nc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC001228140346 776407262 /nfs/dbraw/zinc/40/72/62/776407262.db2.gz IKVXDRZMESPKOZ-MGCOHNPYSA-N 0 0 281.312 2.684 20 5 CFBDRN Oc1ccc(O)c2c(OC3COC3)c3ccccc3c(O)c12 ZINC001228173968 776416573 /nfs/dbraw/zinc/41/65/73/776416573.db2.gz NNSYIXHQPZNUKG-UHFFFAOYSA-N 0 0 298.294 2.887 20 5 CFBDRN O=c1c2ccccc2oc2cc(OC[C@@H]3COCO3)ccc21 ZINC001228182141 776417344 /nfs/dbraw/zinc/41/73/44/776417344.db2.gz BMSXQHQSRLPPQL-LBPRGKRZSA-N 0 0 298.294 2.698 20 5 CFBDRN C[C@@H](O)CC(=O)Oc1ccc2c(c1)oc1ccccc1c2=O ZINC001228180972 776417736 /nfs/dbraw/zinc/41/77/36/776417736.db2.gz XFUDOCIHJHQOIZ-SNVBAGLBSA-N 0 0 298.294 2.623 20 5 CFBDRN CC[C@@H](Oc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)OC ZINC001228210658 776422554 /nfs/dbraw/zinc/42/25/54/776422554.db2.gz IHXAZYGMBVJVTE-SECBINFHSA-N 0 0 296.304 2.535 20 5 CFBDRN CC[N@@H+]1CC[C@@H](Oc2cc(Cl)cc([N+](=O)[O-])c2)C1 ZINC001228255291 776434806 /nfs/dbraw/zinc/43/48/06/776434806.db2.gz HGVSUOMDBSFLOK-LLVKDONJSA-N 0 0 270.716 2.721 20 5 CFBDRN CCOC(=O)[C@@H](CC)Oc1cc(Cl)cc([N+](=O)[O-])c1 ZINC001228258423 776435569 /nfs/dbraw/zinc/43/55/69/776435569.db2.gz XNFGELOQJBYBQR-LLVKDONJSA-N 0 0 287.699 2.969 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1nnc(Cl)c2ccccc21)C1CC1 ZINC001228278345 776442548 /nfs/dbraw/zinc/44/25/48/776442548.db2.gz SDYRVOXOKMDGEE-LLVKDONJSA-N 0 0 293.710 2.717 20 5 CFBDRN Cc1nc2ccc(O[C@H](C[N+](=O)[O-])c3cccnc3)cc2o1 ZINC001228339173 776465403 /nfs/dbraw/zinc/46/54/03/776465403.db2.gz RBCMRTGWQQMDPQ-OAHLLOKOSA-N 0 0 299.286 2.928 20 5 CFBDRN COc1cnnc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC001228372854 776475508 /nfs/dbraw/zinc/47/55/08/776475508.db2.gz CLOINCJPBMJKJV-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN CCc1cnc(=O)[nH]c1O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001228416773 776485856 /nfs/dbraw/zinc/48/58/56/776485856.db2.gz VKGFUSRZMIXMBE-VIFPVBQESA-N 0 0 289.291 2.793 20 5 CFBDRN O=C(CCc1cc(F)ccc1[N+](=O)[O-])NCc1ccoc1 ZINC001126246457 776486826 /nfs/dbraw/zinc/48/68/26/776486826.db2.gz OYJZQZNJAUEANP-UHFFFAOYSA-N 0 0 292.266 2.576 20 5 CFBDRN Cc1cc2cc(O[C@H](C[N+](=O)[O-])C3CC3)ccc2[nH]1 ZINC001228451124 776495550 /nfs/dbraw/zinc/49/55/50/776495550.db2.gz PLBVIPVBBPKIGE-CQSZACIVSA-N 0 0 260.293 2.910 20 5 CFBDRN Cc1c(NC2(CO)CCCC2)ccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001167805158 776504577 /nfs/dbraw/zinc/50/45/77/776504577.db2.gz RXOCFVIJLAUYBP-UHFFFAOYSA-N 0 0 295.295 2.528 20 5 CFBDRN COc1cc(C)c([N+](=O)[O-])cc1NC1(CO)CCCC1 ZINC001167806932 776511227 /nfs/dbraw/zinc/51/12/27/776511227.db2.gz OVXOPIURTDDCCO-UHFFFAOYSA-N 0 0 280.324 2.629 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(NC2(CO)CCCC2)c1F ZINC001167807431 776511517 /nfs/dbraw/zinc/51/15/17/776511517.db2.gz URFQIQBNGOTUHC-UHFFFAOYSA-N 0 0 268.288 2.759 20 5 CFBDRN Cc1ccc(CO[C@@H]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC001223221554 776523085 /nfs/dbraw/zinc/52/30/85/776523085.db2.gz FZJWHVIPBFLCRC-SMDDNHRTSA-N 0 0 265.309 2.845 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1c(C)cc([N+](=O)[O-])cc1C ZINC001228571661 776525533 /nfs/dbraw/zinc/52/55/33/776525533.db2.gz QMQWQOCKYMPTHX-NSHDSACASA-N 0 0 279.292 2.708 20 5 CFBDRN COC(=O)[C@H]1C[C@H](Oc2c(C)cc([N+](=O)[O-])cc2C)C1 ZINC001228572141 776525641 /nfs/dbraw/zinc/52/56/41/776525641.db2.gz XMHXFKFQZHWIJD-UMSPYCQHSA-N 0 0 279.292 2.542 20 5 CFBDRN Cc1nc(OC(C)C)c(Br)cc1[N+](=O)[O-] ZINC001228622193 776544469 /nfs/dbraw/zinc/54/44/69/776544469.db2.gz ZLSNPAUPHRLTMA-UHFFFAOYSA-N 0 0 275.102 2.848 20 5 CFBDRN CCc1ccc(O[C@H](C[N+](=O)[O-])C2CC2)c(C(C)=O)c1 ZINC001228641571 776549002 /nfs/dbraw/zinc/54/90/02/776549002.db2.gz IXKKXIMDLYLFAW-OAHLLOKOSA-N 0 0 277.320 2.886 20 5 CFBDRN CC(C)C[C@H](Oc1ncncc1[N+](=O)[O-])C(C)C ZINC001228654086 776553126 /nfs/dbraw/zinc/55/31/26/776553126.db2.gz GALOABNZOBKQKE-NSHDSACASA-N 0 0 253.302 2.834 20 5 CFBDRN CCC[C@H](Oc1ncncc1[N+](=O)[O-])C(C)(C)C ZINC001228654029 776553170 /nfs/dbraw/zinc/55/31/70/776553170.db2.gz DXTXFVJBVDDKRV-JTQLQIEISA-N 0 0 253.302 2.978 20 5 CFBDRN C[C@@H](Oc1ncncc1[N+](=O)[O-])c1cccs1 ZINC001228658178 776554660 /nfs/dbraw/zinc/55/46/60/776554660.db2.gz UIQJOBVLUXQMQR-SSDOTTSWSA-N 0 0 251.267 2.586 20 5 CFBDRN CC[C@H]1CCCC[C@H]1Oc1ncncc1[N+](=O)[O-] ZINC001228656490 776555241 /nfs/dbraw/zinc/55/52/41/776555241.db2.gz FKXJJLRTMXWAEC-GXSJLCMTSA-N 0 0 251.286 2.732 20 5 CFBDRN CCC[C@H](C)[C@@H](CC)Oc1ncncc1[N+](=O)[O-] ZINC001228659288 776556313 /nfs/dbraw/zinc/55/63/13/776556313.db2.gz IIHMTDKTCJIMFQ-GXSJLCMTSA-N 0 0 253.302 2.978 20 5 CFBDRN CCOC[C@@H](C)Oc1nc2cc([N+](=O)[O-])c(C)cc2[nH]1 ZINC001228675392 776564133 /nfs/dbraw/zinc/56/41/33/776564133.db2.gz PUJZWFRBSJSVJC-SECBINFHSA-N 0 0 279.296 2.583 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H]2CCC(=O)CC2(C)C)c1[N+](=O)[O-] ZINC001228685640 776567623 /nfs/dbraw/zinc/56/76/23/776567623.db2.gz JCXGSTZLEUUNAT-LLVKDONJSA-N 0 0 294.307 2.530 20 5 CFBDRN Cc1[nH]c(=O)cc(OC2Cc3ccccc3C2)c1[N+](=O)[O-] ZINC001228683900 776567954 /nfs/dbraw/zinc/56/79/54/776567954.db2.gz DJZXRBKLCPAJEK-UHFFFAOYSA-N 0 0 286.287 2.550 20 5 CFBDRN CCCC(=O)[C@@H](CCC)Oc1cc(=O)[nH]c(C)c1[N+](=O)[O-] ZINC001228685431 776568067 /nfs/dbraw/zinc/56/80/67/776568067.db2.gz HRQQRKQAYFZCTD-LLVKDONJSA-N 0 0 296.323 2.921 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@H](C)c2ccncc2F)c1[N+](=O)[O-] ZINC001228688654 776569435 /nfs/dbraw/zinc/56/94/35/776569435.db2.gz MEMFNTOVPXALJC-MRVPVSSYSA-N 0 0 293.254 2.678 20 5 CFBDRN Cc1[nH]c(=O)cc(O[C@@H](C)c2ncccc2F)c1[N+](=O)[O-] ZINC001228688621 776569921 /nfs/dbraw/zinc/56/99/21/776569921.db2.gz LJXKJVPBKOGPGA-QMMMGPOBSA-N 0 0 293.254 2.678 20 5 CFBDRN CCCOC(=O)[C@H](C)OCc1cccc([N+](=O)[O-])c1C ZINC001223330856 776583890 /nfs/dbraw/zinc/58/38/90/776583890.db2.gz CXGYEBUENKUMAN-NSHDSACASA-N 0 0 281.308 2.762 20 5 CFBDRN CCOc1ccc(C)c(O[C@@H](C[N+](=O)[O-])C2CC2)c1 ZINC001228726594 776585786 /nfs/dbraw/zinc/58/57/86/776585786.db2.gz GGBCMQREFOFMQC-AWEZNQCLSA-N 0 0 265.309 2.828 20 5 CFBDRN COC(=O)c1cc(O[C@@H](C)C(F)(F)F)cc([N+](=O)[O-])c1 ZINC001228769705 776603502 /nfs/dbraw/zinc/60/35/02/776603502.db2.gz YERJBSURGMWBJN-LURJTMIESA-N 0 0 293.197 2.711 20 5 CFBDRN COC(=O)c1cc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)cc([N+](=O)[O-])c1 ZINC001228772490 776604994 /nfs/dbraw/zinc/60/49/94/776604994.db2.gz XDTHFXDIZIABSZ-IMSIIYSGSA-N 0 0 289.287 2.725 20 5 CFBDRN O=c1oc2ccccc2c(O[C@@H]2C=CCC2)c1[N+](=O)[O-] ZINC001228807374 776619425 /nfs/dbraw/zinc/61/94/25/776619425.db2.gz SPNNSYZSKHNHRW-SECBINFHSA-N 0 0 273.244 2.799 20 5 CFBDRN Cc1cc(O[C@@H]2COc3cnccc32)cc([N+](=O)[O-])c1 ZINC001228837215 776627704 /nfs/dbraw/zinc/62/77/04/776627704.db2.gz GRBGMBORHLARJX-CQSZACIVSA-N 0 0 272.260 2.811 20 5 CFBDRN Cc1cc(O[C@@H]2CCOC[C@H]2C)cc([N+](=O)[O-])c1 ZINC001228838574 776629411 /nfs/dbraw/zinc/62/94/11/776629411.db2.gz ONBICJYWVGWPQG-ZWNOBZJWSA-N 0 0 251.282 2.707 20 5 CFBDRN CCOC(=O)C[C@@H](C)Oc1ccc([N+](=O)[O-])cc1C ZINC001228915462 776657167 /nfs/dbraw/zinc/65/71/67/776657167.db2.gz FEHGSPNVENKLRC-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN COC(=O)[C@@H]1CC[C@H](Oc2ccc([N+](=O)[O-])cc2C)C1 ZINC001228922274 776658677 /nfs/dbraw/zinc/65/86/77/776658677.db2.gz XURYBRSHXKALIH-PWSUYJOCSA-N 0 0 279.292 2.624 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@H]1CCOC[C@@H]1C ZINC001228922064 776659296 /nfs/dbraw/zinc/65/92/96/776659296.db2.gz UEWIGHCKXZGYAX-GWCFXTLKSA-N 0 0 251.282 2.707 20 5 CFBDRN CC[C@H]1OC(=O)C(Oc2ccc([N+](=O)[O-])c(Cl)n2)=C1C ZINC001228934484 776662111 /nfs/dbraw/zinc/66/21/11/776662111.db2.gz QJVNLVGDTZQYHQ-MRVPVSSYSA-N 0 0 298.682 2.631 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c(Cl)n1)c1cnccn1 ZINC001228938776 776662851 /nfs/dbraw/zinc/66/28/51/776662851.db2.gz RYIIKALRCAPNHS-SSDOTTSWSA-N 0 0 280.671 2.573 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOC2CCCC2)nc1Cl ZINC001228937788 776663278 /nfs/dbraw/zinc/66/32/78/776663278.db2.gz JMSMPCWNNFPCOP-UHFFFAOYSA-N 0 0 286.715 2.981 20 5 CFBDRN C[C@H](O[C@H]1COC[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC001223424309 776664684 /nfs/dbraw/zinc/66/46/84/776664684.db2.gz JPZMMOKKJPJFSI-KWBADKCTSA-N 0 0 251.282 2.707 20 5 CFBDRN CC(=O)c1cccc([N+](=O)[O-])c1O[C@@H]1CCCCC1=O ZINC001228977559 776675603 /nfs/dbraw/zinc/67/56/03/776675603.db2.gz NWSJRTVNZQLFTQ-CYBMUJFWSA-N 0 0 277.276 2.688 20 5 CFBDRN COc1cc(O[C@H](C[N+](=O)[O-])c2cccnc2)ccc1F ZINC001228982050 776676862 /nfs/dbraw/zinc/67/68/62/776676862.db2.gz JKPKPBGYDWQMEU-CQSZACIVSA-N 0 0 292.266 2.626 20 5 CFBDRN CCO[C@H](C)COc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229007425 776687656 /nfs/dbraw/zinc/68/76/56/776687656.db2.gz IHVSJKUGSJCERP-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN CO[C@H](C)CCOc1nccc2ccc([N+](=O)[O-])cc21 ZINC001229009882 776687829 /nfs/dbraw/zinc/68/78/29/776687829.db2.gz WZVDGKCNEDSZJZ-SNVBAGLBSA-N 0 0 276.292 2.947 20 5 CFBDRN COC(=O)c1c(O[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC001229046963 776700185 /nfs/dbraw/zinc/70/01/85/776700185.db2.gz VXOVDUITDKGQRQ-UWVGGRQHSA-N 0 0 279.292 2.949 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1CO[C@@H]1CCOC[C@@H]1C ZINC001223539323 776715656 /nfs/dbraw/zinc/71/56/56/776715656.db2.gz PIQARECENZVESK-SMDDNHRTSA-N 0 0 265.309 2.845 20 5 CFBDRN O=[N+]([O-])/C=C/c1ccc(OC[C@@H]2CCCCO2)cc1 ZINC001229114273 776722515 /nfs/dbraw/zinc/72/25/15/776722515.db2.gz IEFSBJDDVXOTGD-VFNNOXKTSA-N 0 0 263.293 2.882 20 5 CFBDRN CO[C@@H](C)CO[C@H](C)COc1ccc(/C=C/[N+](=O)[O-])cc1 ZINC001229114258 776722954 /nfs/dbraw/zinc/72/29/54/776722954.db2.gz HXUMLKFOECBZOE-AYSSICMYSA-N 0 0 295.335 2.753 20 5 CFBDRN CC(=O)c1cccc(O[C@H]2CC[N@@H+](C(C)C)C2)c1[N+](=O)[O-] ZINC001229136997 776729772 /nfs/dbraw/zinc/72/97/72/776729772.db2.gz AVYBIDXJHMPXGN-LBPRGKRZSA-N 0 0 292.335 2.659 20 5 CFBDRN COC(=O)[C@@H](O[C@H](C)C(C)C)c1ccccc1[N+](=O)[O-] ZINC001223642431 776743474 /nfs/dbraw/zinc/74/34/74/776743474.db2.gz IHYVCTSNEKTGCN-MFKMUULPSA-N 0 0 281.308 2.870 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OCC(=O)OC(C)C ZINC001229180311 776745194 /nfs/dbraw/zinc/74/51/94/776745194.db2.gz VGFODTVZIUINDV-UHFFFAOYSA-N 0 0 295.291 2.518 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H]1CCCO1 ZINC001229180160 776745473 /nfs/dbraw/zinc/74/54/73/776745473.db2.gz SMJVFGCAZFFSGR-NSHDSACASA-N 0 0 279.292 2.745 20 5 CFBDRN CCC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H](C)OC ZINC001229179426 776745622 /nfs/dbraw/zinc/74/56/22/776745622.db2.gz LFLIXMOAQRWAKF-SECBINFHSA-N 0 0 267.281 2.601 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1OC1CN(C(C)(C)C)C1 ZINC001229199069 776749768 /nfs/dbraw/zinc/74/97/68/776749768.db2.gz RRQOSEDQVJPGLG-UHFFFAOYSA-N 0 0 282.315 2.904 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@@H]1CCOC[C@H]1C ZINC001229201715 776750994 /nfs/dbraw/zinc/75/09/94/776750994.db2.gz QYUSIUFBGGHPPU-BXKDBHETSA-N 0 0 269.272 2.846 20 5 CFBDRN Cc1cc(F)cc([N+](=O)[O-])c1O[C@H]1CCc2nccn2C1 ZINC001229202477 776751428 /nfs/dbraw/zinc/75/14/28/776751428.db2.gz WWELKDMJQIHKNX-NSHDSACASA-N 0 0 291.282 2.633 20 5 CFBDRN C[C@@H](Oc1cc(N(C)C)cnn1)c1ccccc1[N+](=O)[O-] ZINC001229223897 776756747 /nfs/dbraw/zinc/75/67/47/776756747.db2.gz MRKRSGDRIZOOJN-SNVBAGLBSA-N 0 0 288.307 2.591 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cc(F)cc2scnc21)C1CC1 ZINC001229281395 776770053 /nfs/dbraw/zinc/77/00/53/776770053.db2.gz NDGONELYRWPVCI-SNVBAGLBSA-N 0 0 282.296 2.870 20 5 CFBDRN CO[C@H](C)COc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229344087 776784620 /nfs/dbraw/zinc/78/46/20/776784620.db2.gz PBRTVBKRSJGALG-SECBINFHSA-N 0 0 262.265 2.557 20 5 CFBDRN CCO[C@@H](C)COc1cc([N+](=O)[O-])cc2cccnc21 ZINC001229344595 776785708 /nfs/dbraw/zinc/78/57/08/776785708.db2.gz BNIQHPOEIIMUHJ-JTQLQIEISA-N 0 0 276.292 2.947 20 5 CFBDRN O=c1[nH]nc(O[C@@H]2C[C@H]3C=C[C@@H]2C3)c2cc([N+](=O)[O-])ccc12 ZINC001229363782 776791257 /nfs/dbraw/zinc/79/12/57/776791257.db2.gz GKPPCXFHDWAETG-IGJMFERPSA-N 0 0 299.286 2.587 20 5 CFBDRN C[C@@H]1CC[C@@H](Oc2n[nH]c(=O)c3cccc([N+](=O)[O-])c32)C1 ZINC001229366664 776792547 /nfs/dbraw/zinc/79/25/47/776792547.db2.gz ANBJYVYJFQDZLA-RKDXNWHRSA-N 0 0 289.291 2.811 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC001229375980 776794281 /nfs/dbraw/zinc/79/42/81/776794281.db2.gz CPNIJTYAKMEQDP-OTSSQURYSA-N 0 0 285.683 2.579 20 5 CFBDRN C=CC(=O)OC[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC001229376869 776794883 /nfs/dbraw/zinc/79/48/83/776794883.db2.gz MDXXYNUWUPEEHY-QMMMGPOBSA-N 0 0 285.683 2.745 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC001229381807 776796677 /nfs/dbraw/zinc/79/66/77/776796677.db2.gz XDPOSXBYOWEKLH-SWZMTVOYSA-N 0 0 299.710 2.969 20 5 CFBDRN C[C@H](COC(C)(C)C)Oc1cc([N+](=O)[O-])ccc1O ZINC001229398633 776799343 /nfs/dbraw/zinc/79/93/43/776799343.db2.gz WWOXIMSIQKLFAY-SECBINFHSA-N 0 0 269.297 2.883 20 5 CFBDRN CC(C)CCCOC(=O)COc1ccccc1[N+](=O)[O-] ZINC000126109290 776808359 /nfs/dbraw/zinc/80/83/59/776808359.db2.gz LHMJYZJLWVYZDX-UHFFFAOYSA-N 0 0 281.308 2.953 20 5 CFBDRN CCC(CC)[C@H](CC)Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229452676 776816898 /nfs/dbraw/zinc/81/68/98/776816898.db2.gz VVBDSVQQPGCOIR-LBPRGKRZSA-N 0 0 268.313 2.822 20 5 CFBDRN CCC[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])[C@H](C)CC ZINC001229451395 776817452 /nfs/dbraw/zinc/81/74/52/776817452.db2.gz FCMUESPAVNFILO-ZYHUDNBSSA-N 0 0 268.313 2.822 20 5 CFBDRN C[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])c1ccccc1F ZINC001229451234 776817545 /nfs/dbraw/zinc/81/75/45/776817545.db2.gz DGLPWRDBMLWWBY-SECBINFHSA-N 0 0 278.239 2.507 20 5 CFBDRN CCC[C@@H](Oc1cc[n+]([O-])cc1[N+](=O)[O-])[C@@H](C)CC ZINC001229451392 776817815 /nfs/dbraw/zinc/81/78/15/776817815.db2.gz FCMUESPAVNFILO-CMPLNLGQSA-N 0 0 268.313 2.822 20 5 CFBDRN Cc1cccc2c1CC[C@H]2Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229453463 776818581 /nfs/dbraw/zinc/81/85/81/776818581.db2.gz FFUGWQOYZLORBZ-CQSZACIVSA-N 0 0 286.287 2.603 20 5 CFBDRN Cc1cccc2c1CC[C@@H]2Oc1cc[n+]([O-])cc1[N+](=O)[O-] ZINC001229453462 776818964 /nfs/dbraw/zinc/81/89/64/776818964.db2.gz FFUGWQOYZLORBZ-AWEZNQCLSA-N 0 0 286.287 2.603 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cc(C)c([N+](=O)[O-])c(C)c2)C1 ZINC001229470318 776822150 /nfs/dbraw/zinc/82/21/50/776822150.db2.gz OUIPFWYAXVOPNV-UHFFFAOYSA-N 0 0 293.319 2.932 20 5 CFBDRN CC(=O)n1cc(O[C@@H](C[N+](=O)[O-])C2CC2)c2ccccc21 ZINC001229534730 776843031 /nfs/dbraw/zinc/84/30/31/776843031.db2.gz QYPMXLYDQLTTDT-AWEZNQCLSA-N 0 0 288.303 2.736 20 5 CFBDRN Cc1cc(O)c(O[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC001229751621 776887156 /nfs/dbraw/zinc/88/71/56/776887156.db2.gz WWUOGEUHGPAUKW-LURJTMIESA-N 0 0 265.187 2.938 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2COc3cnccc32)cc1F ZINC001229895700 776912763 /nfs/dbraw/zinc/91/27/63/776912763.db2.gz DWKASIOMTCYESB-CQSZACIVSA-N 0 0 290.250 2.950 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@H]2CCOC[C@H]2F)cc1F ZINC001229895744 776913703 /nfs/dbraw/zinc/91/37/03/776913703.db2.gz GBWBGYPMLMDJOM-KOLCDFICSA-N 0 0 273.235 2.548 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(O[C@@H]2CCOC[C@H]2F)cc1F ZINC001229895745 776914327 /nfs/dbraw/zinc/91/43/27/776914327.db2.gz GBWBGYPMLMDJOM-MWLCHTKSSA-N 0 0 273.235 2.548 20 5 CFBDRN COC(=O)C1(C)CC(Oc2cc(F)c(C)cc2[N+](=O)[O-])C1 ZINC001229896854 776914332 /nfs/dbraw/zinc/91/43/32/776914332.db2.gz YQEPXASSPVTODT-UHFFFAOYSA-N 0 0 297.282 2.763 20 5 CFBDRN C=CC(=O)OC[C@@H](C)Oc1ccc(Cl)c([N+](=O)[O-])c1 ZINC001229965172 776926093 /nfs/dbraw/zinc/92/60/93/776926093.db2.gz ZIMTXGFOJPNLRS-MRVPVSSYSA-N 0 0 285.683 2.745 20 5 CFBDRN CC(=O)[C@H](C)Oc1cc(C)c(Cl)cc1[N+](=O)[O-] ZINC001229976316 776929531 /nfs/dbraw/zinc/92/95/31/776929531.db2.gz XGMAAODGJYJYLD-QMMMGPOBSA-N 0 0 257.673 2.913 20 5 CFBDRN Cc1cc(OC[C@@H]2CCC(=O)O2)c([N+](=O)[O-])cc1Cl ZINC001229974927 776929584 /nfs/dbraw/zinc/92/95/84/776929584.db2.gz KFXNHACKGANGIF-QMMMGPOBSA-N 0 0 285.683 2.641 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1cc(C)c(Cl)cc1[N+](=O)[O-] ZINC001229979199 776930802 /nfs/dbraw/zinc/93/08/02/776930802.db2.gz QWETXQRPDFYYMD-QMMMGPOBSA-N 0 0 287.699 2.887 20 5 CFBDRN Cc1ncnc(O[C@@H](C)c2cccc([N+](=O)[O-])c2)c1F ZINC001230019963 776941226 /nfs/dbraw/zinc/94/12/26/776941226.db2.gz RKALUMMBTZYISQ-VIFPVBQESA-N 0 0 277.255 2.972 20 5 CFBDRN COc1cc(O[C@H](C)c2cccc([N+](=O)[O-])c2)ncn1 ZINC001230025806 776943587 /nfs/dbraw/zinc/94/35/87/776943587.db2.gz UFSSDIOHRUXNRF-SECBINFHSA-N 0 0 275.264 2.533 20 5 CFBDRN COC(C[C@H](C)Oc1ccc(C)cc1[N+](=O)[O-])OC ZINC001230146359 776955711 /nfs/dbraw/zinc/95/57/11/776955711.db2.gz NZGPCFWBEZVGMY-JTQLQIEISA-N 0 0 269.297 2.680 20 5 CFBDRN COC(=O)[C@@H]1CCC[C@@H]1Oc1ccc(C)cc1[N+](=O)[O-] ZINC001230146657 776955716 /nfs/dbraw/zinc/95/57/16/776955716.db2.gz SWGQMZPDYHKEPG-PWSUYJOCSA-N 0 0 279.292 2.624 20 5 CFBDRN CC(=O)Nc1ccc([N+](=O)[O-])cc1O[C@H](C)C1CC1 ZINC001230247363 776965668 /nfs/dbraw/zinc/96/56/68/776965668.db2.gz LPXVMJWDGKEESU-MRVPVSSYSA-N 0 0 264.281 2.731 20 5 CFBDRN CCOC(=O)[C@H](C)Oc1cc(C)c(C)c([N+](=O)[O-])c1 ZINC001230471302 776995916 /nfs/dbraw/zinc/99/59/16/776995916.db2.gz ASMWKVVNDXNWER-JTQLQIEISA-N 0 0 267.281 2.542 20 5 CFBDRN Cc1cc(O[C@H](C[N+](=O)[O-])C2CC2)cc([N+](=O)[O-])c1C ZINC001230477684 776997633 /nfs/dbraw/zinc/99/76/33/776997633.db2.gz OUWWLZOWOVYGOB-CYBMUJFWSA-N 0 0 280.280 2.646 20 5 CFBDRN Cc1cc(OC(=O)CCC[C@@H](C)O)cc([N+](=O)[O-])c1C ZINC001230478341 776997653 /nfs/dbraw/zinc/99/76/53/776997653.db2.gz XBZKPTJCPKNDQZ-SNVBAGLBSA-N 0 0 281.308 2.668 20 5 CFBDRN C[C@H](Oc1cc2n[nH]cc2cc1[N+](=O)[O-])C(F)(F)F ZINC001230596356 777021520 /nfs/dbraw/zinc/02/15/20/777021520.db2.gz QALRXPDCXUMTEM-YFKPBYRVSA-N 0 0 275.186 2.801 20 5 CFBDRN CC1(C)CC[C@@H](COc2cc3n[nH]cc3cc2[N+](=O)[O-])O1 ZINC001230595147 777021926 /nfs/dbraw/zinc/02/19/26/777021926.db2.gz JPQLJSYRGCKVIO-JTQLQIEISA-N 0 0 291.307 2.808 20 5 CFBDRN O=[N+]([O-])c1cc2c[nH]nc2cc1O[C@H]1CCc2cccnc21 ZINC001230601855 777023309 /nfs/dbraw/zinc/02/33/09/777023309.db2.gz RMCWCPSWKFSQSQ-ZDUSSCGKSA-N 0 0 296.286 2.932 20 5 CFBDRN CCCOC[C@@H](C)Oc1cc2n[nH]cc2cc1[N+](=O)[O-] ZINC001230601835 777023834 /nfs/dbraw/zinc/02/38/34/777023834.db2.gz REAIAUUVRARVLQ-SECBINFHSA-N 0 0 279.296 2.665 20 5 CFBDRN COC(=O)[C@@]1(C)C[C@@H](Oc2cccc(Cl)c2[N+](=O)[O-])C1 ZINC001230915117 777092843 /nfs/dbraw/zinc/09/28/43/777092843.db2.gz MTNYNBGCJBXTEH-KTLJEJPDSA-N 0 0 299.710 2.969 20 5 CFBDRN O=[N+]([O-])c1c(Cl)cccc1O[C@@H]1CCc2nccn2C1 ZINC001230915199 777093085 /nfs/dbraw/zinc/09/30/85/777093085.db2.gz OGTJLJYNXSPWIH-SECBINFHSA-N 0 0 293.710 2.839 20 5 CFBDRN CC(=O)c1cc(Cl)cc([N+](=O)[O-])c1O[C@H]1CCN(C)C1 ZINC001230928947 777095386 /nfs/dbraw/zinc/09/53/86/777095386.db2.gz PEGSVQWAMSLNRN-JTQLQIEISA-N 0 0 298.726 2.534 20 5 CFBDRN C[C@@H](CON)Oc1cccc(-c2cccc([N+](=O)[O-])c2)c1 ZINC001230938605 777098127 /nfs/dbraw/zinc/09/81/27/777098127.db2.gz AIBVVDJNWLTLDT-NSHDSACASA-N 0 0 288.303 2.919 20 5 CFBDRN COc1cccc2c(O[C@@H](C[N+](=O)[O-])C3CC3)ccnc12 ZINC001231053639 777126155 /nfs/dbraw/zinc/12/61/55/777126155.db2.gz FKYUFDVLTPTWSZ-AWEZNQCLSA-N 0 0 288.303 2.678 20 5 CFBDRN CC(C)[C@H](C)Oc1ncnc2cccc([N+](=O)[O-])c21 ZINC001231052952 777126388 /nfs/dbraw/zinc/12/63/88/777126388.db2.gz HFDZDESXJJBBHO-VIFPVBQESA-N 0 0 261.281 2.961 20 5 CFBDRN CCOC(=O)[C@H]1C[C@@H](Oc2c(C)ccc(C)c2[N+](=O)[O-])C1 ZINC001231057909 777128742 /nfs/dbraw/zinc/12/87/42/777128742.db2.gz SUAOAQKJWKZWRQ-TXEJJXNPSA-N 0 0 293.319 2.932 20 5 CFBDRN C[C@H](Oc1[nH]ccc(=O)c1[N+](=O)[O-])c1ccc(F)cc1 ZINC001225660380 777151395 /nfs/dbraw/zinc/15/13/95/777151395.db2.gz CUPPDRHIKWEMOL-QMMMGPOBSA-N 0 0 278.239 2.975 20 5 CFBDRN O=[N+]([O-])c1cccc2cc(OC[C@@H]3CCCO3)cnc21 ZINC001231157061 777159692 /nfs/dbraw/zinc/15/96/92/777159692.db2.gz YRQMXUOPLGURGP-NSHDSACASA-N 0 0 274.276 2.701 20 5 CFBDRN Cc1c(O[C@@H](C)c2cnccn2)cccc1[N+](=O)[O-] ZINC001225689377 777188033 /nfs/dbraw/zinc/18/80/33/777188033.db2.gz GNEDARCGKYJUNA-JTQLQIEISA-N 0 0 259.265 2.833 20 5 CFBDRN O=c1ccc2nc3ccc(OC[C@H]4CCCO4)cc3oc-2c1 ZINC001231331135 777193636 /nfs/dbraw/zinc/19/36/36/777193636.db2.gz GHEDVDAYVFRQOS-CYBMUJFWSA-N 0 0 297.310 2.851 20 5 CFBDRN CO[C@@H](C)CO[C@@H](C)COc1cccc([N+](=O)[O-])c1C ZINC001225693119 777196703 /nfs/dbraw/zinc/19/67/03/777196703.db2.gz OHHMFOMEMRQGFH-QWRGUYRKSA-N 0 0 283.324 2.722 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1cc(F)c(F)cc1[N+](=O)[O-] ZINC001225780282 777273719 /nfs/dbraw/zinc/27/37/19/777273719.db2.gz XLHAMFGZQCYDRJ-SSDOTTSWSA-N 0 0 289.234 2.594 20 5 CFBDRN C[C@H](Oc1cccc([N+](=O)[O-])c1F)c1ncccn1 ZINC001225794439 777282066 /nfs/dbraw/zinc/28/20/66/777282066.db2.gz QVQZUGVSSNQXQT-QMMMGPOBSA-N 0 0 263.228 2.664 20 5 CFBDRN CCCCOC(=O)[C@@H](C)Oc1ccc(F)cc1[N+](=O)[O-] ZINC001225905453 777329833 /nfs/dbraw/zinc/32/98/33/777329833.db2.gz UCMFTOBURKJAIL-SECBINFHSA-N 0 0 285.271 2.845 20 5 CFBDRN C[C@H]1CC[C@@H](COc2ccc(F)cc2[N+](=O)[O-])O1 ZINC001225905263 777330387 /nfs/dbraw/zinc/33/03/87/777330387.db2.gz ORWVVOPWZBICHJ-WPRPVWTQSA-N 0 0 255.245 2.680 20 5 CFBDRN C[C@H](Oc1ccc([N+](=O)[O-])c(F)c1)C1CCOCC1 ZINC001225912405 777334436 /nfs/dbraw/zinc/33/44/36/777334436.db2.gz JHGWLXPUWVLYGK-VIFPVBQESA-N 0 0 269.272 2.928 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(NCC(C)(C)C)cc1OC ZINC001161641654 777359690 /nfs/dbraw/zinc/35/96/90/777359690.db2.gz MFNWVFONOPACLD-UHFFFAOYSA-N 0 0 296.323 2.848 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](C)c2ccsc2)n1 ZINC001270430888 777382407 /nfs/dbraw/zinc/38/24/07/777382407.db2.gz FVJFHKLHQUPLEJ-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN O=C(Cc1ccc(Cl)cn1)Nc1cccc([N+](=O)[O-])c1 ZINC000339889185 777415139 /nfs/dbraw/zinc/41/51/39/777415139.db2.gz HHIYNVCAKPWQMV-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN CCC[C@H](C)Oc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC001226215588 777481519 /nfs/dbraw/zinc/48/15/19/777481519.db2.gz ZRQPINGFPDJZLX-JTQLQIEISA-N 0 0 281.308 2.878 20 5 CFBDRN CCC[C@@H](C)Oc1ccc(CC(=O)OC)cc1[N+](=O)[O-] ZINC001226215589 777481528 /nfs/dbraw/zinc/48/15/28/777481528.db2.gz ZRQPINGFPDJZLX-SNVBAGLBSA-N 0 0 281.308 2.878 20 5 CFBDRN COC[C@@H](C)OC[C@H](C)Oc1cc([N+](=O)[O-])ccc1F ZINC001226269503 777491156 /nfs/dbraw/zinc/49/11/56/777491156.db2.gz GUAFAHACODBZMC-ZJUUUORDSA-N 0 0 287.287 2.553 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H]2C[C@@H]2c2ccccc2)n1 ZINC001270812244 777496539 /nfs/dbraw/zinc/49/65/39/777496539.db2.gz JZYIJEKVIXXCDP-ZIAGYGMSSA-N 0 0 297.314 2.584 20 5 CFBDRN Cc1cnnc(O[C@H](C)c2cccc([N+](=O)[O-])c2)c1 ZINC001226718214 777618946 /nfs/dbraw/zinc/61/89/46/777618946.db2.gz MOQUDERYDBOHII-SNVBAGLBSA-N 0 0 259.265 2.833 20 5 CFBDRN CC1=C(Oc2ccc([N+](=O)[O-])c(C)c2)C(=O)O[C@H]1C ZINC001226840434 777669263 /nfs/dbraw/zinc/66/92/63/777669263.db2.gz FCMNNBMXYUPRSW-VIFPVBQESA-N 0 0 263.249 2.501 20 5 CFBDRN CC1=C(Oc2ccc([N+](=O)[O-])c(C)c2)C(=O)O[C@@H]1C ZINC001226840433 777669733 /nfs/dbraw/zinc/66/97/33/777669733.db2.gz FCMNNBMXYUPRSW-SECBINFHSA-N 0 0 263.249 2.501 20 5 CFBDRN CCCOC(=O)[C@@H](C)Oc1ccc([N+](=O)[O-])c(C)c1 ZINC001226841899 777670545 /nfs/dbraw/zinc/67/05/45/777670545.db2.gz PTTXPOHLYNNNLM-SNVBAGLBSA-N 0 0 267.281 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NCCOC2CCC2)c(F)c1 ZINC000690959045 777744375 /nfs/dbraw/zinc/74/43/75/777744375.db2.gz BWZSXPCZIUAYBX-UHFFFAOYSA-N 0 0 272.251 2.854 20 5 CFBDRN CN(Cc1ccco1)C(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000714054122 777897426 /nfs/dbraw/zinc/89/74/26/777897426.db2.gz JQGGZGWTRBBDQT-UHFFFAOYSA-N 0 0 278.239 2.599 20 5 CFBDRN CCCSCC(=O)OCc1ccc([N+](=O)[O-])cc1 ZINC000127166288 777900156 /nfs/dbraw/zinc/90/01/56/777900156.db2.gz IYDAHQVTWTZOBL-UHFFFAOYSA-N 0 0 269.322 2.781 20 5 CFBDRN CCOc1ccc(O[C@@H](C[N+](=O)[O-])C2CC2)c(F)c1F ZINC001227878324 777950197 /nfs/dbraw/zinc/95/01/97/777950197.db2.gz AMSZBUNCIUZLOV-NSHDSACASA-N 0 0 287.262 2.798 20 5 CFBDRN CC[C@@H](COc1nc2cc([N+](=O)[O-])c(Cl)cc2[nH]1)OC ZINC001233505899 777974367 /nfs/dbraw/zinc/97/43/67/777974367.db2.gz JOQFOHXEWBKEAL-ZETCQYMHSA-N 0 0 299.714 2.928 20 5 CFBDRN O=[N+]([O-])C[C@@H](Oc1cnc(F)c(Cl)c1)c1cccnc1 ZINC001227965737 777975545 /nfs/dbraw/zinc/97/55/45/777975545.db2.gz RURNPLSFVJRGHS-LLVKDONJSA-N 0 0 297.673 2.666 20 5 CFBDRN COC1CCC(Oc2c(C)ccnc2[N+](=O)[O-])CC1 ZINC001233555173 777989170 /nfs/dbraw/zinc/98/91/70/777989170.db2.gz FAUNQVIFIPKBPL-UHFFFAOYSA-N 0 0 266.297 2.635 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@@H]1CCc2cccnc21 ZINC001233556840 777990308 /nfs/dbraw/zinc/99/03/08/777990308.db2.gz PLHAQNJCQJJUPA-LLVKDONJSA-N 0 0 271.276 2.760 20 5 CFBDRN Cc1ccnc([N+](=O)[O-])c1O[C@H]1CCCC[C@H]1F ZINC001233557300 777990562 /nfs/dbraw/zinc/99/05/62/777990562.db2.gz SEMVNDGLZQBCSW-ZJUUUORDSA-N 0 0 254.261 2.958 20 5 CFBDRN CC[C@@H](Oc1nc[nH]c(=O)c1[N+](=O)[O-])C1CCCCC1 ZINC001228141962 778016409 /nfs/dbraw/zinc/01/64/09/778016409.db2.gz XLZMVJQQLZNMLL-SNVBAGLBSA-N 0 0 281.312 2.828 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2ncc(F)cc21)C1CC1 ZINC001233637449 778020080 /nfs/dbraw/zinc/02/00/80/778020080.db2.gz UWZCDSSJSBMXCC-AWEZNQCLSA-N 0 0 276.267 2.808 20 5 CFBDRN COC(=O)[C@H]1C[C@@H](Oc2c(C)cc(C)cc2[N+](=O)[O-])C1 ZINC001228230548 778026625 /nfs/dbraw/zinc/02/66/25/778026625.db2.gz RTCZSUZLAFKFCG-PHIMTYICSA-N 0 0 279.292 2.542 20 5 CFBDRN C[C@@H](Oc1c(F)cc(F)cc1[N+](=O)[O-])c1cnccn1 ZINC001233699885 778047716 /nfs/dbraw/zinc/04/77/16/778047716.db2.gz WKMNAGMTCLHAOQ-SSDOTTSWSA-N 0 0 281.218 2.803 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])c([N+](=O)[O-])c1)C1CCOCC1 ZINC001233704651 778049303 /nfs/dbraw/zinc/04/93/03/778049303.db2.gz OSBCBZPAVVJJRW-SECBINFHSA-N 0 0 296.279 2.697 20 5 CFBDRN CC1(C)CC[C@@H](COc2ccc([N+](=O)[O-])c([N+](=O)[O-])c2)O1 ZINC001233704958 778049950 /nfs/dbraw/zinc/04/99/50/778049950.db2.gz SQSYSYHEJAAWSP-JTQLQIEISA-N 0 0 296.279 2.839 20 5 CFBDRN C[C@@H]1COCC[C@H]1Oc1ccc([N+](=O)[O-])c(F)c1F ZINC001233770051 778070939 /nfs/dbraw/zinc/07/09/39/778070939.db2.gz KUSDVIFNQLTWRJ-VXNVDRBHSA-N 0 0 273.235 2.677 20 5 CFBDRN O=[N+]([O-])c1cccc2ccc(O[C@H]3CCOC[C@@H]3F)nc21 ZINC001233840155 778091755 /nfs/dbraw/zinc/09/17/55/778091755.db2.gz BWWITSUGIZRIIK-JQWIXIFHSA-N 0 0 292.266 2.649 20 5 CFBDRN CCC[C@H](Oc1ncc(C(=O)OC)cc1[N+](=O)[O-])C(C)C ZINC001233862733 778098230 /nfs/dbraw/zinc/09/82/30/778098230.db2.gz SHFFEIVHPLZPPY-LBPRGKRZSA-N 0 0 296.323 2.980 20 5 CFBDRN COC(=O)c1cnc(O[C@@H]2CCC[C@H](C)C2)c([N+](=O)[O-])c1 ZINC001233860541 778098415 /nfs/dbraw/zinc/09/84/15/778098415.db2.gz AZQWMVLJRUKTKF-GXSJLCMTSA-N 0 0 294.307 2.734 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NCC1(F)CCOCC1 ZINC001168126148 778109771 /nfs/dbraw/zinc/10/97/71/778109771.db2.gz OERAYZHIYGCZQV-UHFFFAOYSA-N 0 0 298.314 2.924 20 5 CFBDRN COC(=O)c1cc(O[C@H](C[N+](=O)[O-])C2CC2)ccc1Cl ZINC001233970790 778126839 /nfs/dbraw/zinc/12/68/39/778126839.db2.gz SABPRVSOBZZIHO-GFCCVEGCSA-N 0 0 299.710 2.561 20 5 CFBDRN COc1cc(CC[N+](=O)[O-])ccc1O[C@H](C)C1CC1 ZINC001233983770 778130679 /nfs/dbraw/zinc/13/06/79/778130679.db2.gz ZSPBQXHOSJYUHT-SNVBAGLBSA-N 0 0 265.309 2.692 20 5 CFBDRN CCC(=O)[C@@H](CC)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC001234050024 778153277 /nfs/dbraw/zinc/15/32/77/778153277.db2.gz GDQRVTLCIAZISE-CYBMUJFWSA-N 0 0 295.291 2.518 20 5 CFBDRN CCCOC[C@@H](C)Oc1ccc(C(=O)OC)c([N+](=O)[O-])c1 ZINC001234053147 778154217 /nfs/dbraw/zinc/15/42/17/778154217.db2.gz XQKYHLANWBNTBU-SNVBAGLBSA-N 0 0 297.307 2.575 20 5 CFBDRN Cc1cc(F)c(O[C@@H]2CCn3ccnc32)cc1[N+](=O)[O-] ZINC001234057199 778155387 /nfs/dbraw/zinc/15/53/87/778155387.db2.gz RFPKPPXCYJBABE-LLVKDONJSA-N 0 0 277.255 2.763 20 5 CFBDRN c1cnc2c(c1)ccc1c(OC[C@@H]3CCO3)ccnc12 ZINC001234088423 778162143 /nfs/dbraw/zinc/16/21/43/778162143.db2.gz XGVYZLDULDGSJJ-LBPRGKRZSA-N 0 0 266.300 2.951 20 5 CFBDRN c1cnc2c(c1)ccc1c(OC3COC3)ccnc12 ZINC001234088670 778162604 /nfs/dbraw/zinc/16/26/04/778162604.db2.gz YARPBSVGEGMLMT-UHFFFAOYSA-N 0 0 252.273 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NCC[C@H](O)C2CC2)c1 ZINC000897384037 778171068 /nfs/dbraw/zinc/17/10/68/778171068.db2.gz GCANEVJPYIMRRT-AWEZNQCLSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@@H](C(C)(C)O)C1 ZINC000721845282 778175766 /nfs/dbraw/zinc/17/57/66/778175766.db2.gz HFKNLAYKGJVUIT-LLVKDONJSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1cc(O[C@@H](C[N+](=O)[O-])C2CC2)cnc1OC(C)C ZINC001234277945 778199529 /nfs/dbraw/zinc/19/95/29/778199529.db2.gz ONXIMKPPFRDQJU-ZDUSSCGKSA-N 0 0 280.324 2.611 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1O[C@H]1CCO[C@H](C)C1 ZINC001228921940 778207960 /nfs/dbraw/zinc/20/79/60/778207960.db2.gz ROHDZSQPPNIQDA-PWSUYJOCSA-N 0 0 251.282 2.850 20 5 CFBDRN CN(CCNc1ccc([N+](=O)[O-])cc1)C(=O)C1=CCCC1 ZINC001101548059 778213762 /nfs/dbraw/zinc/21/37/62/778213762.db2.gz BCINCSRFABMWEL-UHFFFAOYSA-N 0 0 289.335 2.575 20 5 CFBDRN C[C@H](Oc1cc(N2CCOCC2)ccc1[N+](=O)[O-])C1CC1 ZINC001234363194 778214917 /nfs/dbraw/zinc/21/49/17/778214917.db2.gz YDRYSHCRRGPVGI-NSHDSACASA-N 0 0 292.335 2.609 20 5 CFBDRN COc1ccnnc1O[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC001234415933 778220103 /nfs/dbraw/zinc/22/01/03/778220103.db2.gz JIQFSIJHYGRNAE-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=[N+]([O-])C[C@H](Oc1cccc2ncsc21)C1CC1 ZINC001234474020 778233361 /nfs/dbraw/zinc/23/33/61/778233361.db2.gz LBZCQLKHAKUVSF-NSHDSACASA-N 0 0 264.306 2.730 20 5 CFBDRN CSc1nc(Nc2ccc(C)cc2[N+](=O)[O-])cc(=O)[nH]1 ZINC001214167645 778295255 /nfs/dbraw/zinc/29/52/55/778295255.db2.gz QVOABQIVJYOCMT-UHFFFAOYSA-N 0 0 292.320 2.864 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC2CCC(F)(F)CC2)n1 ZINC001234879281 778319869 /nfs/dbraw/zinc/31/98/69/778319869.db2.gz NKBYIWBIRVARIN-UHFFFAOYSA-N 0 0 288.250 2.955 20 5 CFBDRN CCC[C@@H](CC(=O)OCC)Oc1c(C)nccc1[N+](=O)[O-] ZINC001234901279 778324941 /nfs/dbraw/zinc/32/49/41/778324941.db2.gz ODTYFKUHSILQDL-NSHDSACASA-N 0 0 296.323 2.799 20 5 CFBDRN COC(C)(C)C[C@@H](C)Oc1c(C)nccc1[N+](=O)[O-] ZINC001234904553 778325182 /nfs/dbraw/zinc/32/51/82/778325182.db2.gz GDABAANSPRISAS-SECBINFHSA-N 0 0 268.313 2.881 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Oc1c(C)nccc1[N+](=O)[O-] ZINC001234904520 778325467 /nfs/dbraw/zinc/32/54/67/778325467.db2.gz FDAUCQKLJXKJRG-RYUDHWBXSA-N 0 0 266.297 2.635 20 5 CFBDRN C[C@@H](O[C@H](C[N+](=O)[O-])C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC001224027756 778398883 /nfs/dbraw/zinc/39/88/83/778398883.db2.gz XKNQOORMAUVVQH-NOZJJQNGSA-N 0 0 280.280 2.728 20 5 CFBDRN CCC1(Nc2cc([N+](=O)[O-])cc(F)c2C)COC1 ZINC001168325434 778432655 /nfs/dbraw/zinc/43/26/55/778432655.db2.gz OVTGEPVUJCYPOT-UHFFFAOYSA-N 0 0 254.261 2.633 20 5 CFBDRN C[C@H](OC[C@@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC001224478585 778532125 /nfs/dbraw/zinc/53/21/25/778532125.db2.gz CTKILLMSEQATFS-WDEREUQCSA-N 0 0 251.282 2.709 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOCCOC2CCCC2)cc1 ZINC001224778908 778681963 /nfs/dbraw/zinc/68/19/63/778681963.db2.gz OMUZDBBSVGFKQP-UHFFFAOYSA-N 0 0 295.335 2.949 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)Oc2ccc(F)c(C)c2)n1 ZINC001127040481 778712327 /nfs/dbraw/zinc/71/23/27/778712327.db2.gz AIWPGLSCKCZEAJ-UHFFFAOYSA-N 0 0 290.250 2.965 20 5 CFBDRN CCOc1cc([N+](=O)[O-])ccc1NCc1cnc(C)nc1 ZINC001168386955 778763555 /nfs/dbraw/zinc/76/35/55/778763555.db2.gz KZKJFCCNOKEWBO-UHFFFAOYSA-N 0 0 288.307 2.704 20 5 CFBDRN CSCCOC1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC001225037282 778823715 /nfs/dbraw/zinc/82/37/15/778823715.db2.gz OSUXCXZBALALKT-UHFFFAOYSA-N 0 0 296.392 2.943 20 5 CFBDRN CSCCO[C@H]1CCN(c2ccccc2[N+](=O)[O-])C1 ZINC001225037030 778824121 /nfs/dbraw/zinc/82/41/21/778824121.db2.gz CPKYXUCWIONZPP-NSHDSACASA-N 0 0 282.365 2.553 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@H](OCCCF)C1 ZINC001225157506 778852846 /nfs/dbraw/zinc/85/28/46/778852846.db2.gz KZBGKBZGGDFJIW-NSHDSACASA-N 0 0 268.288 2.550 20 5 CFBDRN Cc1ncc(CC(=O)Nc2cccc([N+](=O)[O-])c2Cl)o1 ZINC001272357467 779063699 /nfs/dbraw/zinc/06/36/99/779063699.db2.gz DWLBFUNKWVUYBC-UHFFFAOYSA-N 0 0 295.682 2.726 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCO[C@H](C(F)(F)F)C1 ZINC000698870683 779073281 /nfs/dbraw/zinc/07/32/81/779073281.db2.gz CEJBPLWNKFNFAR-VIFPVBQESA-N 0 0 294.204 2.501 20 5 CFBDRN CC(C)[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1F ZINC000088836881 779074346 /nfs/dbraw/zinc/07/43/46/779074346.db2.gz PSYIQIJZSIFUDY-ZDUSSCGKSA-N 0 0 280.299 2.995 20 5 CFBDRN CCCOCCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000715011305 779092927 /nfs/dbraw/zinc/09/29/27/779092927.db2.gz YARMSYIULQQJEO-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN COC[C@H](COc1ccc(C=O)cc1[N+](=O)[O-])C(C)C ZINC000721971195 779148588 /nfs/dbraw/zinc/14/85/88/779148588.db2.gz GWCBJJFEQUEYBF-GFCCVEGCSA-N 0 0 281.308 2.705 20 5 CFBDRN CN(CC(=O)OC1(C)CCC1)c1ccccc1[N+](=O)[O-] ZINC000089240168 779156353 /nfs/dbraw/zinc/15/63/53/779156353.db2.gz WBFRWXHVEMSPLK-UHFFFAOYSA-N 0 0 278.308 2.517 20 5 CFBDRN Cc1cc(O[C@@H]2CCCOC2=O)c(C)c(C)c1[N+](=O)[O-] ZINC001234871718 779276869 /nfs/dbraw/zinc/27/68/69/779276869.db2.gz MCKSUMGYFRACFZ-LLVKDONJSA-N 0 0 279.292 2.604 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(O[C@@H](C)c2ccccn2)n1 ZINC001234879650 779279128 /nfs/dbraw/zinc/27/91/28/779279128.db2.gz RBAYEQZRGGUASE-VIFPVBQESA-N 0 0 275.264 2.533 20 5 CFBDRN O=Cc1ccc([N+](=O)[O-])c(OCC[C@H]2CCCCO2)c1 ZINC000699658771 779324719 /nfs/dbraw/zinc/32/47/19/779324719.db2.gz RLFXAPQDEFHXRO-GFCCVEGCSA-N 0 0 279.292 2.745 20 5 CFBDRN Cc1ccc(S(=O)(=O)Oc2cccc([N+](=O)[O-])c2)s1 ZINC000032551879 779355372 /nfs/dbraw/zinc/35/53/72/779355372.db2.gz VDEWINRWUQZDIQ-UHFFFAOYSA-N 0 0 299.329 2.732 20 5 CFBDRN CCN(CCNc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C ZINC001106753053 779364838 /nfs/dbraw/zinc/36/48/38/779364838.db2.gz BTZWLMAASLYYHI-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN CCC(CC)n1nc(C(=O)O[C@H](CC)C[N+](=O)[O-])cc1C ZINC001123354925 779392515 /nfs/dbraw/zinc/39/25/15/779392515.db2.gz HMNIZBJZUFJVRF-GFCCVEGCSA-N 0 0 297.355 2.765 20 5 CFBDRN Cc1c(NC2(c3cccnn3)CC2)cc(F)cc1[N+](=O)[O-] ZINC001168515102 779426921 /nfs/dbraw/zinc/42/69/21/779426921.db2.gz YRZREKMXZVJLOJ-UHFFFAOYSA-N 0 0 288.282 2.934 20 5 CFBDRN O=[N+]([O-])c1cc(NC2(c3cccnn3)CC2)cc2cc[nH]c21 ZINC001168514708 779428389 /nfs/dbraw/zinc/42/83/89/779428389.db2.gz TXMCJVKVNBEXSV-UHFFFAOYSA-N 0 0 295.302 2.967 20 5 CFBDRN O=[N+]([O-])c1cc2cc[nH]c2c(NC2(c3cccnn3)CC2)c1 ZINC001168514644 779428635 /nfs/dbraw/zinc/42/86/35/779428635.db2.gz RXJMXMVAGGTEOO-UHFFFAOYSA-N 0 0 295.302 2.967 20 5 CFBDRN CC(C)CC(=O)NC/C=C/CNc1ccc([N+](=O)[O-])cc1 ZINC001107007249 779737937 /nfs/dbraw/zinc/73/79/37/779737937.db2.gz HWQGPTDBWXVGLL-ONEGZZNKSA-N 0 0 291.351 2.725 20 5 CFBDRN CC(C)OCCCNc1cnc(Cl)cc1[N+](=O)[O-] ZINC001168677906 780285362 /nfs/dbraw/zinc/28/53/62/780285362.db2.gz ZFAXWCPAVKXBSP-UHFFFAOYSA-N 0 0 273.720 2.870 20 5 CFBDRN C/C=C/CO[C@H](C)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC001205042911 780287925 /nfs/dbraw/zinc/28/79/25/780287925.db2.gz FASJSLZEFALRHD-CDAZIORVSA-N 0 0 265.265 2.977 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1cc([N+](=O)[O-])c(=O)n(C)c1 ZINC001168692090 780345962 /nfs/dbraw/zinc/34/59/62/780345962.db2.gz XHPKLXKILQFBSO-UWVGGRQHSA-N 0 0 267.329 2.530 20 5 CFBDRN Cc1ccc([C@@H]2CCC[C@H]2OC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cc1 ZINC001128322265 780395562 /nfs/dbraw/zinc/39/55/62/780395562.db2.gz VLPPERPDQIYWRH-GBJTYRQASA-N 0 0 289.331 2.840 20 5 CFBDRN Cc1cc(NC(=O)N2CCCCC2)ccc1[N+](=O)[O-] ZINC000132176137 780398583 /nfs/dbraw/zinc/39/85/83/780398583.db2.gz AOIRQDOBTKEJKU-UHFFFAOYSA-N 0 0 263.297 2.921 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cncc(C(F)(F)F)c1 ZINC000359162090 780410821 /nfs/dbraw/zinc/41/08/21/780410821.db2.gz MZBSSPQYFSUKFE-UHFFFAOYSA-N 0 0 286.213 2.562 20 5 CFBDRN Cc1c(NC2CC(F)C2)ccc([N+](=O)[O-])c1[N+](=O)[O-] ZINC001162212543 780617535 /nfs/dbraw/zinc/61/75/35/780617535.db2.gz PMRMTMIINQVRNB-UHFFFAOYSA-N 0 0 269.232 2.724 20 5 CFBDRN C[C@@H]1CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])C[C@H]1CO ZINC000700426672 780688680 /nfs/dbraw/zinc/68/86/80/780688680.db2.gz OATKVTSPEQDTFP-PWSUYJOCSA-N 0 0 298.770 2.699 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000702334251 780915223 /nfs/dbraw/zinc/91/52/23/780915223.db2.gz VAFGMCWOSYHOMY-ZDUSSCGKSA-N 0 0 284.262 2.791 20 5 CFBDRN CC1(C)C[C@]1(C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000702328073 780915431 /nfs/dbraw/zinc/91/54/31/780915431.db2.gz SYCPDMUSUJPFTC-ZDUSSCGKSA-N 0 0 266.272 2.652 20 5 CFBDRN CCc1coc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])n1 ZINC001128891297 780927938 /nfs/dbraw/zinc/92/79/38/780927938.db2.gz UTHQXIOWABJUIH-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN COc1cc(NCC[C@H](C)F)c([N+](=O)[O-])cc1OC ZINC000702591305 780935757 /nfs/dbraw/zinc/93/57/57/780935757.db2.gz ZFXRRYDGXLRROJ-QMMMGPOBSA-N 0 0 272.276 2.772 20 5 CFBDRN CC1(O)CCC(Nc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000702999245 780975080 /nfs/dbraw/zinc/97/50/80/780975080.db2.gz ZVEFDTWAGHFXEQ-UHFFFAOYSA-N 0 0 268.288 2.839 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNc1nccnc1[N+](=O)[O-] ZINC001162523723 781031851 /nfs/dbraw/zinc/03/18/51/781031851.db2.gz HCPQXZMHKWYGAE-ZJUUUORDSA-N 0 0 250.302 2.623 20 5 CFBDRN C[C@H](O)CCOc1cc(Br)ccc1[N+](=O)[O-] ZINC000703833957 781067186 /nfs/dbraw/zinc/06/71/86/781067186.db2.gz OWBUJQKDQGSILC-ZETCQYMHSA-N 0 0 290.113 2.507 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCC[C@H]1CCSC1 ZINC000704800123 781119941 /nfs/dbraw/zinc/11/99/41/781119941.db2.gz VIHHIGYUZGKAER-VIFPVBQESA-N 0 0 253.327 2.545 20 5 CFBDRN Cc1ccc(COC(=O)c2cccnc2F)cc1[N+](=O)[O-] ZINC001129135522 781134222 /nfs/dbraw/zinc/13/42/22/781134222.db2.gz PEXFQKMJPRQNPC-UHFFFAOYSA-N 0 0 290.250 2.794 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC(C(F)F)C1 ZINC000706477976 781178558 /nfs/dbraw/zinc/17/85/58/781178558.db2.gz VCBZNVVIIZRJTC-UHFFFAOYSA-N 0 0 290.653 2.585 20 5 CFBDRN COC(=O)CCCCOc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000707515146 781253672 /nfs/dbraw/zinc/25/36/72/781253672.db2.gz WHZUZHBRWRDERY-UHFFFAOYSA-N 0 0 287.699 2.970 20 5 CFBDRN C[C@H](Nc1c([N+](=O)[O-])ncn1C)[C@]12C[C@H]1CCCC2 ZINC000708869675 781283043 /nfs/dbraw/zinc/28/30/43/781283043.db2.gz RSBPQEQAIBKAHK-CWSCBRNRSA-N 0 0 264.329 2.709 20 5 CFBDRN CO[C@H]1C[C@@H](CNc2cccc(F)c2[N+](=O)[O-])C1 ZINC000708939011 781285390 /nfs/dbraw/zinc/28/53/90/781285390.db2.gz MEACHGMKZPXRQX-DTORHVGOSA-N 0 0 254.261 2.571 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)c1cn(C)cn1 ZINC000710153479 781329043 /nfs/dbraw/zinc/32/90/43/781329043.db2.gz KKPGUAHCEMWCCD-QWRGUYRKSA-N 0 0 274.324 2.740 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ccc([N+](=O)[O-])nc2)OC1 ZINC000710714169 781335516 /nfs/dbraw/zinc/33/55/16/781335516.db2.gz QJBHQJLDHVLIAM-NSHDSACASA-N 0 0 265.313 2.607 20 5 CFBDRN CC1(C)CC[C@@H](CNc2ncccc2[N+](=O)[O-])OC1 ZINC000710714618 781336179 /nfs/dbraw/zinc/33/61/79/781336179.db2.gz VPDZDBGHTGXOFX-JTQLQIEISA-N 0 0 265.313 2.607 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC[C@@H](CF)C1 ZINC000711511216 781450513 /nfs/dbraw/zinc/45/05/13/781450513.db2.gz XUKQQCKJTNPWBD-QMMMGPOBSA-N 0 0 286.690 2.680 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1Cl)N1CC[C@H](CF)C1 ZINC000711511213 781450715 /nfs/dbraw/zinc/45/07/15/781450715.db2.gz XUKQQCKJTNPWBD-MRVPVSSYSA-N 0 0 286.690 2.680 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(C(=O)NC[C@H]2C=CCC2)c1F ZINC000711717646 781465863 /nfs/dbraw/zinc/46/58/63/781465863.db2.gz WCXSGZPRWSOHQN-JTQLQIEISA-N 0 0 278.283 2.738 20 5 CFBDRN O=C(NC[C@H]1C=CCC1)c1ccc([N+](=O)[O-])c(Cl)c1 ZINC000711783051 781471850 /nfs/dbraw/zinc/47/18/50/781471850.db2.gz BKHWVKRGBAYRBG-VIFPVBQESA-N 0 0 280.711 2.944 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H](C1CCC1)[C@@H]1CCOC1 ZINC000711839641 781473047 /nfs/dbraw/zinc/47/30/47/781473047.db2.gz DBMODPBBSFYBNU-DGCLKSJQSA-N 0 0 277.324 2.607 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H](C1CCC1)[C@@H]1CCOC1 ZINC000711851259 781481736 /nfs/dbraw/zinc/48/17/36/781481736.db2.gz RWBGORVGXYTFSA-YPMHNXCESA-N 0 0 277.324 2.607 20 5 CFBDRN Nc1cc(N[C@H]2CCCC23CCC3)ncc1[N+](=O)[O-] ZINC001163517609 781493603 /nfs/dbraw/zinc/49/36/03/781493603.db2.gz MBATXGOEMWQIEH-NSHDSACASA-N 0 0 262.313 2.707 20 5 CFBDRN Cc1cccnc1-c1noc(-c2ccc([N+](=O)[O-])o2)n1 ZINC001212570193 781495774 /nfs/dbraw/zinc/49/57/74/781495774.db2.gz RPWIRIZQSQGVPE-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN Cc1cc(-c2noc(-c3ccc([N+](=O)[O-])o3)n2)cc(C)n1 ZINC001212570249 781504161 /nfs/dbraw/zinc/50/41/61/781504161.db2.gz VJSJCLBCRTWPDN-UHFFFAOYSA-N 0 0 286.247 2.917 20 5 CFBDRN C[C@@H]1c2sccc2CCN1c1ccc(N)c([N+](=O)[O-])n1 ZINC000712264429 781558379 /nfs/dbraw/zinc/55/83/79/781558379.db2.gz KTKPXVCIIRHHDD-MRVPVSSYSA-N 0 0 290.348 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc2ccc(N3CCCCO3)nc2c1 ZINC001163927192 781610289 /nfs/dbraw/zinc/61/02/89/781610289.db2.gz KKRZPCZLTMFWHU-UHFFFAOYSA-N 0 0 259.265 2.675 20 5 CFBDRN C[C@@H]1CCCC[C@H]1Cn1nc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001118416989 781626134 /nfs/dbraw/zinc/62/61/34/781626134.db2.gz RHDNSCIQIDGFKU-BDAKNGLRSA-N 0 0 268.273 2.526 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2CC[C@H]3[C@@H](C2)C3(F)F)c1[N+](=O)[O-] ZINC001118535893 781671744 /nfs/dbraw/zinc/67/17/44/781671744.db2.gz GUXQUDVBNUAHLM-GJMOJQLCSA-N 0 0 285.250 2.541 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2CC[C@@H]3[C@H](C2)C3(F)F)c1[N+](=O)[O-] ZINC001118535899 781671793 /nfs/dbraw/zinc/67/17/93/781671793.db2.gz GUXQUDVBNUAHLM-PRJMDXOYSA-N 0 0 285.250 2.541 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]2C[C@@H]3[C@H](C2)C3(F)F)c([N+](=O)[O-])c1 ZINC001118598274 781686439 /nfs/dbraw/zinc/68/64/39/781686439.db2.gz UHXUDQGJMIANIR-ZFRGLUTLSA-N 0 0 299.233 2.959 20 5 CFBDRN COc1cc(C)nc(N2CCC[C@H](C)[C@@H]2C)c1[N+](=O)[O-] ZINC001166608893 781765207 /nfs/dbraw/zinc/76/52/07/781765207.db2.gz CDZQALQICRBJIN-ONGXEEELSA-N 0 0 279.340 2.932 20 5 CFBDRN Cc1ccc(C(=O)NCCCC(F)F)cc1[N+](=O)[O-] ZINC001119637282 781845166 /nfs/dbraw/zinc/84/51/66/781845166.db2.gz PIDZQBJMAHRQIY-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN O=C([O-])C[N@H+](Cc1ccc(Cl)cc1[N+](=O)[O-])C1CCC1 ZINC000594527276 349442147 /nfs/dbraw/zinc/44/21/47/349442147.db2.gz JRWQXIIUNSYYHF-UHFFFAOYSA-N 0 0 298.726 2.687 20 5 CFBDRN CCOC1CCN(c2c(OC)cccc2[N+](=O)[O-])CC1 ZINC000594548734 349445066 /nfs/dbraw/zinc/44/50/66/349445066.db2.gz QECUYYNUCXFPJH-UHFFFAOYSA-N 0 0 280.324 2.609 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@H]1CCC[C@H](O)C1 ZINC000594551573 349445681 /nfs/dbraw/zinc/44/56/81/349445681.db2.gz JYXIUUXSRONDNY-QWRGUYRKSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NC[C@]1(C)CCCC[C@@H]1O ZINC000594553322 349446130 /nfs/dbraw/zinc/44/61/30/349446130.db2.gz FACRGWWYHYDRQE-ZFWWWQNUSA-N 0 0 294.351 2.957 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H](C)C[C@@H]1CCOC1 ZINC000594563083 349448568 /nfs/dbraw/zinc/44/85/68/349448568.db2.gz NGQVSOXBZGXFSK-QWRGUYRKSA-N 0 0 280.324 2.830 20 5 CFBDRN CC(C)Oc1nc(N2CCC=C(F)C2)ccc1[N+](=O)[O-] ZINC000594577376 349451140 /nfs/dbraw/zinc/45/11/40/349451140.db2.gz OBHWEAYYHZKTIB-UHFFFAOYSA-N 0 0 281.287 2.841 20 5 CFBDRN C[C@H](CNc1ccccc1[N+](=O)[O-])N1C[C@@H](C)O[C@@H](C)C1 ZINC000594592565 349454013 /nfs/dbraw/zinc/45/40/13/349454013.db2.gz RSRKMJNYQMMBQN-UPJWGTAASA-N 0 0 293.367 2.504 20 5 CFBDRN COCC1(CNc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000594592685 349454781 /nfs/dbraw/zinc/45/47/81/349454781.db2.gz SENHFOXHTHPINP-UHFFFAOYSA-N 0 0 254.261 2.572 20 5 CFBDRN Cc1ccnc(N2CCC[C@@H](OC3CCC3)C2)c1[N+](=O)[O-] ZINC000594595634 349455312 /nfs/dbraw/zinc/45/53/12/349455312.db2.gz ZRNLMQFBYRJPKB-CYBMUJFWSA-N 0 0 291.351 2.836 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C ZINC000594597228 349455952 /nfs/dbraw/zinc/45/59/52/349455952.db2.gz ZUKHBGASQFZULG-JTQLQIEISA-N 0 0 280.324 2.985 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])nc1)[C@H]1CCCCO1 ZINC000594597675 349456244 /nfs/dbraw/zinc/45/62/44/349456244.db2.gz DQXXFSFGSFXUCO-VXGBXAGGSA-N 0 0 265.313 2.749 20 5 CFBDRN C[C@@H](O)CN(c1ccc(Cl)cc1[N+](=O)[O-])C1CC1 ZINC000594599774 349456943 /nfs/dbraw/zinc/45/69/43/349456943.db2.gz MXQKTQPPXVZVDK-MRVPVSSYSA-N 0 0 270.716 2.598 20 5 CFBDRN CC(C)OC(=O)C[C@H](Nc1ccc([N+](=O)[O-])cn1)C(C)C ZINC000594602858 349458904 /nfs/dbraw/zinc/45/89/04/349458904.db2.gz NAUAJQKUIBUOJT-LBPRGKRZSA-N 0 0 295.339 2.768 20 5 CFBDRN Cc1noc(C)c1CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000067542846 346809078 /nfs/dbraw/zinc/80/90/78/346809078.db2.gz WRKMHHWJVCBDKW-UHFFFAOYSA-N 0 0 290.279 2.521 20 5 CFBDRN CC(C)(C)Cn1cc(COc2ccccc2[N+](=O)[O-])nn1 ZINC000594649894 349467372 /nfs/dbraw/zinc/46/73/72/349467372.db2.gz QFJDWRPWZUGRSC-UHFFFAOYSA-N 0 0 290.323 2.811 20 5 CFBDRN CC(C)(C)n1cc(COc2ccc([N+](=O)[O-])cc2)nn1 ZINC000594878522 349491486 /nfs/dbraw/zinc/49/14/86/349491486.db2.gz CLBCVJJICXRMMZ-UHFFFAOYSA-N 0 0 276.296 2.520 20 5 CFBDRN CC(C)O[C@]1(COc2ccccc2[N+](=O)[O-])CCOC1 ZINC000594878567 349491673 /nfs/dbraw/zinc/49/16/73/349491673.db2.gz FGRPCTMXJNASTP-CQSZACIVSA-N 0 0 281.308 2.558 20 5 CFBDRN Cc1cccc(OCC2(O)CCSCC2)c1[N+](=O)[O-] ZINC000594714609 349479018 /nfs/dbraw/zinc/47/90/18/349479018.db2.gz QMFLHOUOQBBFLQ-UHFFFAOYSA-N 0 0 283.349 2.540 20 5 CFBDRN Cc1cc(C)c(OCC2(O)CCSCC2)c([N+](=O)[O-])c1 ZINC000594715025 349479136 /nfs/dbraw/zinc/47/91/36/349479136.db2.gz XESJIWIGBVSQNJ-UHFFFAOYSA-N 0 0 297.376 2.849 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(-c2nc(C3CC3)no2)c1 ZINC000594731280 349481981 /nfs/dbraw/zinc/48/19/81/349481981.db2.gz CAYRNNJLYCPNKI-UHFFFAOYSA-N 0 0 261.237 2.531 20 5 CFBDRN CC1(C)C[C@@H](Sc2ccncc2[N+](=O)[O-])CO1 ZINC000594888512 349494462 /nfs/dbraw/zinc/49/44/62/349494462.db2.gz ZOMDASLIWJOJNI-MRVPVSSYSA-N 0 0 254.311 2.649 20 5 CFBDRN COc1ccc([C@H](C)Cn2ccc(=O)c([N+](=O)[O-])c2)cc1 ZINC000594889530 349494534 /nfs/dbraw/zinc/49/45/34/349494534.db2.gz IESLDIMIANFSCF-LLVKDONJSA-N 0 0 288.303 2.569 20 5 CFBDRN O=c1c([N+](=O)[O-])cccn1CC[C@@H]1CCc2ccccc21 ZINC000594887659 349494567 /nfs/dbraw/zinc/49/45/67/349494567.db2.gz OPLUDBBPOOTNQX-ZDUSSCGKSA-N 0 0 284.315 2.877 20 5 CFBDRN O=c1ccn(CC[C@@H]2CCc3ccccc32)cc1[N+](=O)[O-] ZINC000594891590 349495288 /nfs/dbraw/zinc/49/52/88/349495288.db2.gz ZMIHRHJHNTXQKR-ZDUSSCGKSA-N 0 0 284.315 2.877 20 5 CFBDRN Cc1cccc(OC[C@@]2(OC(C)C)CCOC2)c1[N+](=O)[O-] ZINC000594893483 349496066 /nfs/dbraw/zinc/49/60/66/349496066.db2.gz CEPNVAZNXGOHOP-OAHLLOKOSA-N 0 0 295.335 2.866 20 5 CFBDRN Cc1ccc(OC[C@]2(OC(C)C)CCOC2)cc1[N+](=O)[O-] ZINC000594895243 349497004 /nfs/dbraw/zinc/49/70/04/349497004.db2.gz ZRPJYAQAODWNOL-HNNXBMFYSA-N 0 0 295.335 2.866 20 5 CFBDRN O=[N+]([O-])c1cn(Cc2ccc3c[nH]nc3c2)nc1C1CC1 ZINC000594896385 349497650 /nfs/dbraw/zinc/49/76/50/349497650.db2.gz WRJAMEIEXFFSSX-UHFFFAOYSA-N 0 0 283.291 2.593 20 5 CFBDRN CO[C@@H](COc1ncc(C)cc1[N+](=O)[O-])C1CCCC1 ZINC000594896598 349497723 /nfs/dbraw/zinc/49/77/23/349497723.db2.gz WNMZFYLISLUHEP-ZDUSSCGKSA-N 0 0 280.324 2.882 20 5 CFBDRN CN(C(=O)CNc1ccccc1[N+](=O)[O-])C1CCCCC1 ZINC000067707654 346815641 /nfs/dbraw/zinc/81/56/41/346815641.db2.gz BMZPVDLWPCHTHB-UHFFFAOYSA-N 0 0 291.351 2.798 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(=O)n1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000594896940 349498606 /nfs/dbraw/zinc/49/86/06/349498606.db2.gz CHHTVXOUYVMUQV-UTUOFQBUSA-N 0 0 262.309 2.501 20 5 CFBDRN O=c1oc(-c2ccccc2[N+](=O)[O-])nn1CCC1CCC1 ZINC000594899497 349499128 /nfs/dbraw/zinc/49/91/28/349499128.db2.gz JITOLHFBWNCFTK-UHFFFAOYSA-N 0 0 289.291 2.602 20 5 CFBDRN CO[C@H](Cn1nc(C)c([N+](=O)[O-])c1C)C1CCCC1 ZINC000594903073 349500021 /nfs/dbraw/zinc/50/00/21/349500021.db2.gz YRHAHCWGANDICI-GFCCVEGCSA-N 0 0 267.329 2.613 20 5 CFBDRN CCSCCn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000594907655 349501179 /nfs/dbraw/zinc/50/11/79/349501179.db2.gz ZJEZPEAOPPBJIF-UHFFFAOYSA-N 0 0 278.337 2.606 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] ZINC000067710909 346816066 /nfs/dbraw/zinc/81/60/66/346816066.db2.gz IMFXPLZRRZOYEE-NSHDSACASA-N 0 0 250.298 2.600 20 5 CFBDRN CC(C)CCN(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000067722722 346816830 /nfs/dbraw/zinc/81/68/30/346816830.db2.gz REDKAQYHNZTBOU-UHFFFAOYSA-N 0 0 279.340 2.782 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)Nc1ccncc1[N+](=O)[O-] ZINC000124649674 187147055 /nfs/dbraw/zinc/14/70/55/187147055.db2.gz FGHCNLLYOFUMDN-NXEZZACHSA-N 0 0 278.312 2.690 20 5 CFBDRN C[C@@H](NCc1cnn2c1CCC2)c1ccccc1[N+](=O)[O-] ZINC000594935474 349517626 /nfs/dbraw/zinc/51/76/26/349517626.db2.gz PUOPTAWTYOVUAB-LLVKDONJSA-N 0 0 286.335 2.588 20 5 CFBDRN Cc1ccc(-c2noc(C[C@@H]3CCCO3)n2)cc1[N+](=O)[O-] ZINC000273835376 192327796 /nfs/dbraw/zinc/32/77/96/192327796.db2.gz PCVDFUVLHQPMOX-NSHDSACASA-N 0 0 289.291 2.675 20 5 CFBDRN COC(C)(C)Cc1nc(-c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000290028893 197567590 /nfs/dbraw/zinc/56/75/90/197567590.db2.gz HKOVUNDAVONJJJ-UHFFFAOYSA-N 0 0 291.307 2.921 20 5 CFBDRN CCn1ccc(NCc2ccc(C(C)C)c([N+](=O)[O-])c2)n1 ZINC000594969865 349535105 /nfs/dbraw/zinc/53/51/05/349535105.db2.gz RKILMIWGBBJSPD-UHFFFAOYSA-N 0 0 288.351 2.969 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000125137301 187171205 /nfs/dbraw/zinc/17/12/05/187171205.db2.gz GMIQEMODXKSVBH-NSHDSACASA-N 0 0 258.705 2.677 20 5 CFBDRN COc1ccc(CC(=O)NCCC(C)(C)C)cc1[N+](=O)[O-] ZINC000067812098 346820324 /nfs/dbraw/zinc/82/03/24/346820324.db2.gz LFTJYFLXVBNTDZ-UHFFFAOYSA-N 0 0 294.351 2.698 20 5 CFBDRN CC[C@H](OC)C(=O)Oc1c(C)cc(C)cc1[N+](=O)[O-] ZINC000067824117 346821646 /nfs/dbraw/zinc/82/16/46/346821646.db2.gz MVTVITQSHNDWSI-NSHDSACASA-N 0 0 267.281 2.542 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1F ZINC000067903368 346825884 /nfs/dbraw/zinc/82/58/84/346825884.db2.gz RQJGVSMIEWRNOQ-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN C[C@@H]1CN(c2ccc([N+](=O)[O-])cn2)C[C@H](c2ccccc2)O1 ZINC000067905035 346826814 /nfs/dbraw/zinc/82/68/14/346826814.db2.gz ZVZZNMOZDJRQCY-IUODEOHRSA-N 0 0 299.330 2.956 20 5 CFBDRN CC[C@@H](SC)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000125324289 187179463 /nfs/dbraw/zinc/17/94/63/187179463.db2.gz TYMDDNKYHOJNHD-LLVKDONJSA-N 0 0 268.338 2.983 20 5 CFBDRN CC[C@@H]1CCCCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000068199819 346840017 /nfs/dbraw/zinc/84/00/17/346840017.db2.gz SVXOGMUWIOCVBM-GFCCVEGCSA-N 0 0 291.351 2.798 20 5 CFBDRN O=C(CNc1ccccc1[N+](=O)[O-])NCC1CCCCC1 ZINC000068199917 346840284 /nfs/dbraw/zinc/84/02/84/346840284.db2.gz KNRCKYYDTRWEGZ-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN O=C(NCCOc1ccc([N+](=O)[O-])cc1)C1CCCCC1 ZINC000068184195 346840321 /nfs/dbraw/zinc/84/03/21/346840321.db2.gz FQTDSGNTPRMPLD-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN CSCCCNC(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000083402698 178413369 /nfs/dbraw/zinc/41/33/69/178413369.db2.gz LIZPDQIJPKYHGX-UHFFFAOYSA-N 0 0 288.756 2.731 20 5 CFBDRN CC[C@@H]1CO[C@@H](C)CN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595291352 349592512 /nfs/dbraw/zinc/59/25/12/349592512.db2.gz REFJKPWKMWSFPO-GXFFZTMASA-N 0 0 282.315 2.733 20 5 CFBDRN CC[C@](C)(O)CNc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413116728 529378962 /nfs/dbraw/zinc/37/89/62/529378962.db2.gz WSNCURPDPZVRPU-JTQLQIEISA-N 0 0 293.245 2.582 20 5 CFBDRN Cc1noc(CN2CCc3cccc(C)c32)c1[N+](=O)[O-] ZINC000595355896 349609677 /nfs/dbraw/zinc/60/96/77/349609677.db2.gz IZTNGFZPCWNVCS-UHFFFAOYSA-N 0 0 273.292 2.762 20 5 CFBDRN Cc1noc(CN2CCS[C@@H]3CCCC[C@@H]32)c1[N+](=O)[O-] ZINC000595339964 349605443 /nfs/dbraw/zinc/60/54/43/349605443.db2.gz SEELDXDBEOWSJL-CMPLNLGQSA-N 0 0 297.380 2.751 20 5 CFBDRN Cc1noc(CN[C@H](C)c2ccccc2)c1[N+](=O)[O-] ZINC000595414272 349618762 /nfs/dbraw/zinc/61/87/62/349618762.db2.gz FZFKOHKCCHSNAV-SECBINFHSA-N 0 0 261.281 2.742 20 5 CFBDRN CCc1nocc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000595417060 349618847 /nfs/dbraw/zinc/61/88/47/349618847.db2.gz XTNCPPUUPYZKFH-SNVBAGLBSA-N 0 0 275.308 2.996 20 5 CFBDRN CC[C@]1(C(C)C)C[C@H]1Nc1cccc(C(N)=O)c1[N+](=O)[O-] ZINC000413386363 529391343 /nfs/dbraw/zinc/39/13/43/529391343.db2.gz TXVJWVKOCAFOQE-IUODEOHRSA-N 0 0 291.351 2.930 20 5 CFBDRN O=C1[C@@H](Nc2ccc([N+](=O)[O-])cc2)CCN1c1ccccc1 ZINC000068770019 346868339 /nfs/dbraw/zinc/86/83/39/346868339.db2.gz QLFQHEJULMXARO-HNNXBMFYSA-N 0 0 297.314 2.812 20 5 CFBDRN COCCN(Cc1onc(C)c1[N+](=O)[O-])[C@H]1CC[C@H](C)C1 ZINC000595462436 349634710 /nfs/dbraw/zinc/63/47/10/349634710.db2.gz UBMKDBZHPHGKSX-JQWIXIFHSA-N 0 0 297.355 2.528 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1C[C@H](C)C[C@@H](C)C1 ZINC000172477651 282168100 /nfs/dbraw/zinc/16/81/00/282168100.db2.gz MMANARBHYRGKTH-NXEZZACHSA-N 0 0 291.351 2.853 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@H]2C(F)(F)F)cs1 ZINC000595495447 349642553 /nfs/dbraw/zinc/64/25/53/349642553.db2.gz QRBLZGBEQLLTED-ZETCQYMHSA-N 0 0 266.244 2.793 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCCOC2CCCCC2)nc1 ZINC000273139562 192054475 /nfs/dbraw/zinc/05/44/75/192054475.db2.gz DNGNYLQVPHJLPK-UHFFFAOYSA-N 0 0 280.328 2.536 20 5 CFBDRN CCCc1[nH]nc(C(=O)Nc2ccc(F)cc2)c1[N+](=O)[O-] ZINC000069212061 346885477 /nfs/dbraw/zinc/88/54/77/346885477.db2.gz PPIAPHXUABEIDP-UHFFFAOYSA-N 0 0 292.270 2.662 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N(C)Cc1c[nH]cn1 ZINC000595593656 349667886 /nfs/dbraw/zinc/66/78/86/349667886.db2.gz YBVDOBMPQKRQTP-LLVKDONJSA-N 0 0 274.324 2.819 20 5 CFBDRN CC[C@@H](CNC(=O)c1cc([N+](=O)[O-])c[nH]1)CC(F)(F)F ZINC000413997212 529487731 /nfs/dbraw/zinc/48/77/31/529487731.db2.gz BNJVDITULSMOQC-SSDOTTSWSA-N 0 0 293.245 2.631 20 5 CFBDRN C[C@H]1CCc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])n1C ZINC000335950274 290647987 /nfs/dbraw/zinc/64/79/87/290647987.db2.gz GNWRUIBQYMWEAD-NSHDSACASA-N 0 0 299.330 2.915 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CC[C@H](O)CC2)c(F)c1 ZINC000269837144 190557432 /nfs/dbraw/zinc/55/74/32/190557432.db2.gz BCDJUASLEZGPGU-XWEPSHTISA-N 0 0 272.251 2.588 20 5 CFBDRN C[C@H]1COC2(CCC2)CN1Cc1ccc(F)cc1[N+](=O)[O-] ZINC000595683128 349699700 /nfs/dbraw/zinc/69/97/00/349699700.db2.gz UFAQENHGDFHSMP-NSHDSACASA-N 0 0 294.326 2.877 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000126000425 187209503 /nfs/dbraw/zinc/20/95/03/187209503.db2.gz RIIZIJOVYZBRKX-FZMZJTMJSA-N 0 0 292.335 2.650 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2Cl)C[C@@H](C)C1O ZINC000595737444 349720753 /nfs/dbraw/zinc/72/07/53/349720753.db2.gz BXGHFFBRLPRFGZ-NXEZZACHSA-N 0 0 298.770 2.697 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCCC(C)(C)F ZINC000595763610 349726307 /nfs/dbraw/zinc/72/63/07/349726307.db2.gz ROLFMPMSSYWSTB-UHFFFAOYSA-N 0 0 297.330 2.874 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN(C)CC1=CCSC1 ZINC000595890169 349763470 /nfs/dbraw/zinc/76/34/70/349763470.db2.gz YYWSFEUNMLWSLE-UHFFFAOYSA-N 0 0 294.376 2.708 20 5 CFBDRN CCc1ccc(C(=O)N2CCCCC2)cc1[N+](=O)[O-] ZINC000173994465 529595471 /nfs/dbraw/zinc/59/54/71/529595471.db2.gz NFHWNELIQYIOHJ-UHFFFAOYSA-N 0 0 262.309 2.783 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H](C)c1nccs1 ZINC000192767291 529602026 /nfs/dbraw/zinc/60/20/26/529602026.db2.gz KDIFSZLHDMLOCO-QMMMGPOBSA-N 0 0 295.368 2.792 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1C[C@@H]2CCCC[C@H]2C1 ZINC000192668921 529602038 /nfs/dbraw/zinc/60/20/38/529602038.db2.gz IOBGIKIVVHRCMW-RYUDHWBXSA-N 0 0 278.356 2.746 20 5 CFBDRN Cc1cc(N2CCCc3c(cnn3C)C2)c([N+](=O)[O-])s1 ZINC000596002221 349775757 /nfs/dbraw/zinc/77/57/57/349775757.db2.gz FPBBMMNAFFNDCP-UHFFFAOYSA-N 0 0 292.364 2.651 20 5 CFBDRN O=c1c([N+](=O)[O-])cc2c(n1C[C@@H]1C[C@H]3C[C@H]3C1)CCCC2 ZINC000596018976 349776455 /nfs/dbraw/zinc/77/64/55/349776455.db2.gz CAQIXXSTCUUAFM-LMKPVCQUSA-N 0 0 288.347 2.681 20 5 CFBDRN CC(C)n1ccc(NCc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000596032053 349778693 /nfs/dbraw/zinc/77/86/93/349778693.db2.gz JAGYYDVCKMDOCV-UHFFFAOYSA-N 0 0 278.287 2.545 20 5 CFBDRN Cc1nc(N[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)ccc1[N+](=O)[O-] ZINC000269974205 190578380 /nfs/dbraw/zinc/57/83/80/190578380.db2.gz YIQKZHRHJAUGIX-GDLCADMTSA-N 0 0 289.335 2.668 20 5 CFBDRN Cc1noc(C)c1[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000070953923 346918053 /nfs/dbraw/zinc/91/80/53/346918053.db2.gz MLEWEBRLANGXDW-QMMMGPOBSA-N 0 0 289.291 2.691 20 5 CFBDRN O=c1[nH]ccc(NC2CCCCCC2)c1[N+](=O)[O-] ZINC000596055805 349782622 /nfs/dbraw/zinc/78/26/22/349782622.db2.gz OESXSKNBYXWKPW-UHFFFAOYSA-N 0 0 251.286 2.830 20 5 CFBDRN O=c1[nH]ccc(NCCC2=CCCCC2)c1[N+](=O)[O-] ZINC000596055385 349782706 /nfs/dbraw/zinc/78/27/06/349782706.db2.gz HWAINBRSLCLIDC-UHFFFAOYSA-N 0 0 263.297 2.998 20 5 CFBDRN C[C@@H](CO)CNc1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596089509 349792305 /nfs/dbraw/zinc/79/23/05/349792305.db2.gz NZTMKSLPBNESKY-SSDOTTSWSA-N 0 0 278.230 2.654 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCOC2)c([N+](=O)[O-])s1 ZINC000596085402 349790604 /nfs/dbraw/zinc/79/06/04/349790604.db2.gz WNGSIWQQLVWIFG-VIFPVBQESA-N 0 0 256.327 2.803 20 5 CFBDRN C[C@H]1CCC[C@@H](CNc2cc[nH]c(=O)c2[N+](=O)[O-])C1 ZINC000596086077 349790649 /nfs/dbraw/zinc/79/06/49/349790649.db2.gz UUDUZZQZWADARB-VHSXEESVSA-N 0 0 265.313 2.934 20 5 CFBDRN COc1cc(N2CC[C@H]2C)c(Cl)cc1[N+](=O)[O-] ZINC000596089767 349792066 /nfs/dbraw/zinc/79/20/66/349792066.db2.gz WIDSMZIWMQUEIN-SSDOTTSWSA-N 0 0 256.689 2.855 20 5 CFBDRN COc1cc(N2CC[C@@H]2C)c(Cl)cc1[N+](=O)[O-] ZINC000596089768 349792133 /nfs/dbraw/zinc/79/21/33/349792133.db2.gz WIDSMZIWMQUEIN-ZETCQYMHSA-N 0 0 256.689 2.855 20 5 CFBDRN CC[C@H](C)CNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000421912931 529666339 /nfs/dbraw/zinc/66/63/39/529666339.db2.gz KNCJUDISQXWQNG-VIFPVBQESA-N 0 0 275.308 2.852 20 5 CFBDRN CC1(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)CCC1 ZINC000270042739 190590127 /nfs/dbraw/zinc/59/01/27/190590127.db2.gz DHJAEBOLUSDABN-UHFFFAOYSA-N 0 0 273.292 2.749 20 5 CFBDRN CC[C@H](C)C[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000157352775 529678531 /nfs/dbraw/zinc/67/85/31/529678531.db2.gz WASAUEDZUUHXOP-VHSXEESVSA-N 0 0 279.340 2.732 20 5 CFBDRN CCN(Cc1cnn(C)c1)c1cc(C)sc1[N+](=O)[O-] ZINC000596099060 349794687 /nfs/dbraw/zinc/79/46/87/349794687.db2.gz PXPSAEGGOJSXTO-UHFFFAOYSA-N 0 0 280.353 2.725 20 5 CFBDRN CC[C@H](Nc1ncc(C)cc1[N+](=O)[O-])[C@@H](O)C(C)C ZINC000413549116 529680966 /nfs/dbraw/zinc/68/09/66/529680966.db2.gz VMUGHRWWIWNBOR-JQWIXIFHSA-N 0 0 267.329 2.506 20 5 CFBDRN O=C(OCc1ccc([N+](=O)[O-])cc1)C1(CCF)CC1 ZINC000290373639 197687940 /nfs/dbraw/zinc/68/79/40/197687940.db2.gz BCUZXDZGLKEAFY-UHFFFAOYSA-N 0 0 267.256 2.778 20 5 CFBDRN C[C@H]1CN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])C[C@H]1C ZINC000072539850 346928102 /nfs/dbraw/zinc/92/81/02/346928102.db2.gz DYMUCRLWPUVDCE-DTORHVGOSA-N 0 0 282.727 2.976 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@]1(C)CCCS1 ZINC000126397853 187236289 /nfs/dbraw/zinc/23/62/89/187236289.db2.gz JTFKIFMSBVUGPD-LBPRGKRZSA-N 0 0 267.354 2.996 20 5 CFBDRN Cc1cc(NCCOCC2CC2)c([N+](=O)[O-])s1 ZINC000596119239 349798642 /nfs/dbraw/zinc/79/86/42/349798642.db2.gz MCZOFEYKTJDDFO-UHFFFAOYSA-N 0 0 256.327 2.803 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@]1(C)CCCS1 ZINC000126398085 187237812 /nfs/dbraw/zinc/23/78/12/187237812.db2.gz JTFKIFMSBVUGPD-GFCCVEGCSA-N 0 0 267.354 2.996 20 5 CFBDRN COCC1CCN(c2c(Cl)cncc2[N+](=O)[O-])CC1 ZINC000596122405 349799834 /nfs/dbraw/zinc/79/98/34/349799834.db2.gz IVPNAJYZTPQRCO-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN Cc1cc(N[C@@H]2CCc3n[nH]cc3C2)c([N+](=O)[O-])s1 ZINC000596125518 349800295 /nfs/dbraw/zinc/80/02/95/349800295.db2.gz ANVLDKSFIUXPIF-SECBINFHSA-N 0 0 278.337 2.657 20 5 CFBDRN Cc1cc(N[C@H]2CCc3n[nH]cc3C2)c([N+](=O)[O-])s1 ZINC000596125519 349800386 /nfs/dbraw/zinc/80/03/86/349800386.db2.gz ANVLDKSFIUXPIF-VIFPVBQESA-N 0 0 278.337 2.657 20 5 CFBDRN COC(=O)[C@H](C)CSc1cc(C)sc1[N+](=O)[O-] ZINC000596130041 349802370 /nfs/dbraw/zinc/80/23/70/349802370.db2.gz XLECBBBFBONMMU-ZCFIWIBFSA-N 0 0 275.351 2.866 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCCOCC(F)(F)F)cc1 ZINC000126587418 187249195 /nfs/dbraw/zinc/24/91/95/187249195.db2.gz VYDOMJZRPHJRNE-UHFFFAOYSA-N 0 0 279.214 2.943 20 5 CFBDRN COc1cc(N[C@@H](C)[C@@H](C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000596135531 349804065 /nfs/dbraw/zinc/80/40/65/349804065.db2.gz JDXACVKXZRUWIT-YUMQZZPRSA-N 0 0 288.731 2.686 20 5 CFBDRN CCCNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F ZINC000273910138 192358987 /nfs/dbraw/zinc/35/89/87/192358987.db2.gz PIFLZJVWNMMMGC-UHFFFAOYSA-N 0 0 268.288 2.538 20 5 CFBDRN COc1cc(S[C@H](C)CO)c(Cl)cc1[N+](=O)[O-] ZINC000596144801 349807121 /nfs/dbraw/zinc/80/71/21/349807121.db2.gz ODVABUCLEXWEDR-ZCFIWIBFSA-N 0 0 277.729 2.730 20 5 CFBDRN Cc1cc(N[C@H](C)CC[S@@](C)=O)c([N+](=O)[O-])s1 ZINC000596143111 349807140 /nfs/dbraw/zinc/80/71/40/349807140.db2.gz XAAYTYHPCMMIDU-IWEMQMMOSA-N 0 0 276.383 2.534 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C1=CCCCC1 ZINC000126766002 187260669 /nfs/dbraw/zinc/26/06/69/187260669.db2.gz VPTXMBLXWREVJW-UHFFFAOYSA-N 0 0 274.320 2.754 20 5 CFBDRN Cc1nn(C)c(C)c1CNc1ccc(C)cc1[N+](=O)[O-] ZINC000037059648 282262717 /nfs/dbraw/zinc/26/27/17/282262717.db2.gz DFJQLWLSYXVPOU-UHFFFAOYSA-N 0 0 274.324 2.866 20 5 CFBDRN C[C@H]1CCC[C@H](C)N(c2ccc([N+](=O)[O-])c(C(N)=O)c2)C1 ZINC000596147990 349808685 /nfs/dbraw/zinc/80/86/85/349808685.db2.gz XSLFFEJUDGRJIX-QWRGUYRKSA-N 0 0 291.351 2.709 20 5 CFBDRN O=c1[nH]ccc(N[C@@H]2C[C@H]2Cc2ccccc2)c1[N+](=O)[O-] ZINC000596147083 349808969 /nfs/dbraw/zinc/80/89/69/349808969.db2.gz RYUDEDQWWLPJQA-DGCLKSJQSA-N 0 0 285.303 2.739 20 5 CFBDRN O=[N+]([O-])c1cc(NCC2(CO)CC2)ccc1C(F)(F)F ZINC000596149695 349809824 /nfs/dbraw/zinc/80/98/24/349809824.db2.gz ZIIKHYMNKIBPBZ-UHFFFAOYSA-N 0 0 290.241 2.798 20 5 CFBDRN CCC1(CNc2cc[nH]c(=O)c2[N+](=O)[O-])CCC1 ZINC000596154672 349811115 /nfs/dbraw/zinc/81/11/15/349811115.db2.gz LSVVOMZMJVFODB-UHFFFAOYSA-N 0 0 251.286 2.688 20 5 CFBDRN Cc1cn(CCN2CCCc3ccccc32)nc1[N+](=O)[O-] ZINC000331983826 136383227 /nfs/dbraw/zinc/38/32/27/136383227.db2.gz TVKLOVGGPSFKSF-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN CC(C)[C@@H]1OCCC[C@H]1CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596152951 349810157 /nfs/dbraw/zinc/81/01/57/349810157.db2.gz UYXWSIHXHAZDGG-GWCFXTLKSA-N 0 0 295.339 2.559 20 5 CFBDRN NC(=O)c1cc(N[C@H]2CC3CCC2CC3)ccc1[N+](=O)[O-] ZINC000596165415 349814494 /nfs/dbraw/zinc/81/44/94/349814494.db2.gz KIEBMLZCXYYIDE-ZPPKWKGLSA-N 0 0 289.335 2.684 20 5 CFBDRN CCC[C@@H]1CCCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596161890 349813899 /nfs/dbraw/zinc/81/38/99/349813899.db2.gz MTTQUPGRPBOYEI-SNVBAGLBSA-N 0 0 264.329 2.589 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCOC2(CCCC2)C1 ZINC000596168992 349815378 /nfs/dbraw/zinc/81/53/78/349815378.db2.gz PTRKAYQXHSGMCN-UHFFFAOYSA-N 0 0 297.742 2.793 20 5 CFBDRN C[C@]1(c2ccccc2)CCN(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000596166362 349815587 /nfs/dbraw/zinc/81/55/87/349815587.db2.gz XBFQHFBSIYWGGL-INIZCTEOSA-N 0 0 298.346 2.740 20 5 CFBDRN Cc1noc(C)c1[C@H](C)CNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596175033 349817969 /nfs/dbraw/zinc/81/79/69/349817969.db2.gz OSHBLJLXKNWSNZ-SSDOTTSWSA-N 0 0 292.295 2.516 20 5 CFBDRN CCc1nn(C)c(NCC(C)(C)OCC2CC2)c1[N+](=O)[O-] ZINC000413512689 529767572 /nfs/dbraw/zinc/76/75/72/529767572.db2.gz KMWSOXHCGZZCFW-UHFFFAOYSA-N 0 0 296.371 2.508 20 5 CFBDRN COC(=O)c1c(Cl)c(N2CC=CCC2)ccc1[N+](=O)[O-] ZINC000596188555 349821462 /nfs/dbraw/zinc/82/14/62/349821462.db2.gz XYXVVKLFDBIHOB-UHFFFAOYSA-N 0 0 296.710 2.801 20 5 CFBDRN Cc1cc(N2CCOCC3(CC3)C2)c([N+](=O)[O-])s1 ZINC000596190240 349821997 /nfs/dbraw/zinc/82/19/97/349821997.db2.gz PQBANVXGCLMXQN-UHFFFAOYSA-N 0 0 268.338 2.582 20 5 CFBDRN C[C@H]1C[C@@H](CCNc2c(Cl)cncc2[N+](=O)[O-])CCO1 ZINC000596193261 349822987 /nfs/dbraw/zinc/82/29/87/349822987.db2.gz MAWUFUHAHDFKIO-UWVGGRQHSA-N 0 0 299.758 2.682 20 5 CFBDRN Cc1cccc([C@@H]2CCN(c3ccc(N)c([N+](=O)[O-])n3)C2)c1 ZINC000596193209 349823461 /nfs/dbraw/zinc/82/34/61/349823461.db2.gz KUZPULARCHGOPV-CYBMUJFWSA-N 0 0 298.346 2.874 20 5 CFBDRN CCC1(CC)CN(c2cc[nH]c(=O)c2[N+](=O)[O-])CCS1 ZINC000596194062 349824231 /nfs/dbraw/zinc/82/42/31/349824231.db2.gz DVDXMHMMNDQSIJ-UHFFFAOYSA-N 0 0 297.380 2.807 20 5 CFBDRN O=c1[nH]ccc(N2Cc3ccccc3C3(CC3)C2)c1[N+](=O)[O-] ZINC000596196406 349824954 /nfs/dbraw/zinc/82/49/54/349824954.db2.gz RSLUMEQZTDCKJD-UHFFFAOYSA-N 0 0 297.314 2.747 20 5 CFBDRN Cc1cc(N2CCO[C@@H](C3CC3)C2)c([N+](=O)[O-])s1 ZINC000596201267 349825730 /nfs/dbraw/zinc/82/57/30/349825730.db2.gz WXMPBKLIBHRNPK-LLVKDONJSA-N 0 0 268.338 2.580 20 5 CFBDRN C[C@@H](CNc1ccncc1[N+](=O)[O-])Oc1ccccc1 ZINC000072945736 346951871 /nfs/dbraw/zinc/95/18/71/346951871.db2.gz OODUUJJBWJVABO-NSHDSACASA-N 0 0 273.292 2.869 20 5 CFBDRN CC(C)CC1(NC(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000596209616 349827823 /nfs/dbraw/zinc/82/78/23/349827823.db2.gz AIORNYDXGDANAY-UHFFFAOYSA-N 0 0 291.351 2.973 20 5 CFBDRN Cc1cc(N(C)Cc2cnn(C)c2C)c([N+](=O)[O-])s1 ZINC000596217900 349830189 /nfs/dbraw/zinc/83/01/89/349830189.db2.gz ZKTQWCYRGPESJP-UHFFFAOYSA-N 0 0 280.353 2.643 20 5 CFBDRN C[C@H]1CCC[C@H]1CNc1ccc([N+](=O)[O-])c(C(N)=O)c1 ZINC000596221566 349832125 /nfs/dbraw/zinc/83/21/25/349832125.db2.gz YXIYIPUELNMEIY-UWVGGRQHSA-N 0 0 277.324 2.542 20 5 CFBDRN CC(F)(F)CCCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000596256097 349842679 /nfs/dbraw/zinc/84/26/79/349842679.db2.gz ZAUGSDXKBTWEPL-UHFFFAOYSA-N 0 0 261.228 2.543 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H](C)c2ncc[nH]2)n1 ZINC000596239702 349837251 /nfs/dbraw/zinc/83/72/51/349837251.db2.gz KBKNSULWPYQHEA-SECBINFHSA-N 0 0 261.285 2.503 20 5 CFBDRN CC(C)Oc1nc(N2C[C@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000596245869 349838787 /nfs/dbraw/zinc/83/87/87/349838787.db2.gz BJXMPRLRVGVPKD-VHSXEESVSA-N 0 0 265.313 2.622 20 5 CFBDRN CC(C)[C@@H]1CN(c2c(Cl)cncc2[N+](=O)[O-])CCCO1 ZINC000596245817 349838814 /nfs/dbraw/zinc/83/88/14/349838814.db2.gz ANFNMXCVYSISMJ-LBPRGKRZSA-N 0 0 299.758 2.895 20 5 CFBDRN C[C@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])[C@H]1C ZINC000596245801 349838904 /nfs/dbraw/zinc/83/89/04/349838904.db2.gz ALPYVCPLBLSVRR-UWVGGRQHSA-N 0 0 257.293 2.988 20 5 CFBDRN C[C@@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)[C@H]1C ZINC000596245807 349838916 /nfs/dbraw/zinc/83/89/16/349838916.db2.gz ALVVXLVZKHOOTI-ZJUUUORDSA-N 0 0 257.293 2.988 20 5 CFBDRN C[C@H](O)[C@H]1CCCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000301750086 136438400 /nfs/dbraw/zinc/43/84/00/136438400.db2.gz AMFDVMXCWXOKES-UWVGGRQHSA-N 0 0 284.743 2.845 20 5 CFBDRN COC[C@@]1(C)CCN(c2c(Cl)cncc2[N+](=O)[O-])C1 ZINC000596249251 349840246 /nfs/dbraw/zinc/84/02/46/349840246.db2.gz FDWOFWJGKHRRTL-LBPRGKRZSA-N 0 0 285.731 2.506 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1C[C@H](C)[C@@H]1C ZINC000596249471 349840570 /nfs/dbraw/zinc/84/05/70/349840570.db2.gz QBLAUVCZROKFRJ-UWVGGRQHSA-N 0 0 278.308 2.534 20 5 CFBDRN CCn1ccc(CNc2cc(C)sc2[N+](=O)[O-])n1 ZINC000596270464 349848650 /nfs/dbraw/zinc/84/86/50/349848650.db2.gz NFBKSRMMCHMJQK-UHFFFAOYSA-N 0 0 266.326 2.793 20 5 CFBDRN COc1ccc(OCc2cc(C)nc(C)n2)cc1[N+](=O)[O-] ZINC000596277984 349850764 /nfs/dbraw/zinc/85/07/64/349850764.db2.gz KVLCTMRNCDXAQY-UHFFFAOYSA-N 0 0 289.291 2.589 20 5 CFBDRN COCCCCN(C)c1c(Cl)cncc1[N+](=O)[O-] ZINC000596279223 349851368 /nfs/dbraw/zinc/85/13/68/349851368.db2.gz UYJLKHDKQGXEOP-UHFFFAOYSA-N 0 0 273.720 2.506 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N[C@@H]1C[C@H]1c1ccco1 ZINC000596280539 349851428 /nfs/dbraw/zinc/85/14/28/349851428.db2.gz YMGYWDYSJGQTDN-VXNVDRBHSA-N 0 0 279.683 2.626 20 5 CFBDRN Cc1ccc(CN(C)CC(=O)OC(C)(C)C)cc1[N+](=O)[O-] ZINC000126922826 187271116 /nfs/dbraw/zinc/27/11/16/187271116.db2.gz JIXNTVWZOUIPIS-UHFFFAOYSA-N 0 0 294.351 2.677 20 5 CFBDRN Cc1c(CC(=O)N2CC[C@@H](C)[C@H]2C)cccc1[N+](=O)[O-] ZINC000128023434 187347016 /nfs/dbraw/zinc/34/70/16/187347016.db2.gz ZJYOUAKNAQJLTO-ZYHUDNBSSA-N 0 0 276.336 2.703 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1OCCO[C@@H]1CC1(F)F ZINC000596268269 349848137 /nfs/dbraw/zinc/84/81/37/349848137.db2.gz IWARTTGTECLIOO-SECBINFHSA-N 0 0 277.198 2.537 20 5 CFBDRN Cc1noc(Cn2ncc(Cl)c2C2CC2)c1[N+](=O)[O-] ZINC000596310632 349859880 /nfs/dbraw/zinc/85/98/80/349859880.db2.gz BYVAOEIOQLDCHX-UHFFFAOYSA-N 0 0 282.687 2.667 20 5 CFBDRN Cc1cc(OCCc2cncn2C)c(Cl)cc1[N+](=O)[O-] ZINC000596281063 349852097 /nfs/dbraw/zinc/85/20/97/349852097.db2.gz LRSJJTASFMCYMT-UHFFFAOYSA-N 0 0 295.726 2.912 20 5 CFBDRN O=c1[nH]ccc(NC[C@H]2CC3CCC2CC3)c1[N+](=O)[O-] ZINC000596286964 349853667 /nfs/dbraw/zinc/85/36/67/349853667.db2.gz QRYFLROVAIZOJR-VQXHTEKXSA-N 0 0 277.324 2.934 20 5 CFBDRN COc1cc(N2C[C@@H]3CCCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000596294216 349854655 /nfs/dbraw/zinc/85/46/55/349854655.db2.gz HFZGOHPULJHBIL-JQWIXIFHSA-N 0 0 262.309 2.982 20 5 CFBDRN O=c1c2ccc([N+](=O)[O-])cc2ccn1C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000596293791 349854711 /nfs/dbraw/zinc/85/47/11/349854711.db2.gz XOEXASDZNSQJGP-LMKPVCQUSA-N 0 0 284.315 2.956 20 5 CFBDRN C[C@H](CCO)N(C)c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596294031 349854821 /nfs/dbraw/zinc/85/48/21/349854821.db2.gz GZRKJYKILCOHNH-MRVPVSSYSA-N 0 0 292.257 2.821 20 5 CFBDRN C[C@@H](CCO)N(C)c1ccc(C(F)(F)F)c([N+](=O)[O-])c1 ZINC000596294034 349854898 /nfs/dbraw/zinc/85/48/98/349854898.db2.gz GZRKJYKILCOHNH-QMMMGPOBSA-N 0 0 292.257 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cc(C3CC3)nn2)c(Cl)c1 ZINC000596306757 349858092 /nfs/dbraw/zinc/85/80/92/349858092.db2.gz FOQWTGOHSJXHMH-UHFFFAOYSA-N 0 0 278.699 2.765 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000073331775 346971208 /nfs/dbraw/zinc/97/12/08/346971208.db2.gz CUELRTQTJYOORY-RKDXNWHRSA-N 0 0 268.338 2.917 20 5 CFBDRN CC[C@@H]1C[C@@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1 ZINC000073333570 346971297 /nfs/dbraw/zinc/97/12/97/346971297.db2.gz VDNQGIUNTBEFFX-ZYHUDNBSSA-N 0 0 262.309 2.855 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000073336109 346971724 /nfs/dbraw/zinc/97/17/24/346971724.db2.gz IOTJUYWKDCICNZ-JQWIXIFHSA-N 0 0 262.309 2.855 20 5 CFBDRN CN(Cc1cc([N+](=O)[O-])ccc1Cl)c1ncccn1 ZINC000073349809 346973809 /nfs/dbraw/zinc/97/38/09/346973809.db2.gz SZKWIQQYYVSZGP-UHFFFAOYSA-N 0 0 278.699 2.675 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](CO)C2CCC2)c([N+](=O)[O-])c1 ZINC000596324310 349863338 /nfs/dbraw/zinc/86/33/38/349863338.db2.gz WDQMGPMBLTUFJU-ZDUSSCGKSA-N 0 0 292.335 2.760 20 5 CFBDRN CO[C@H](CSCc1cccc([N+](=O)[O-])c1)[C@@H]1CCOC1 ZINC000596325521 349863800 /nfs/dbraw/zinc/86/38/00/349863800.db2.gz WUIBDORSXJZNHI-TZMCWYRMSA-N 0 0 297.376 2.880 20 5 CFBDRN COC[C@H](Nc1cc(C)ccc1[N+](=O)[O-])C1CCOCC1 ZINC000596328315 349865163 /nfs/dbraw/zinc/86/51/63/349865163.db2.gz AKRJHVIEWYOLLG-AWEZNQCLSA-N 0 0 294.351 2.757 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@@](C)(C3CC3)C2)c1 ZINC000596333970 349867625 /nfs/dbraw/zinc/86/76/25/349867625.db2.gz GFLONDCFDGNCKC-OAHLLOKOSA-N 0 0 276.336 2.909 20 5 CFBDRN Cc1noc(CSCc2noc(C(C)C)n2)c1[N+](=O)[O-] ZINC000596340947 349870286 /nfs/dbraw/zinc/87/02/86/349870286.db2.gz WXOLKCIPNJNNLB-UHFFFAOYSA-N 0 0 298.324 2.831 20 5 CFBDRN CC(F)(F)CCNC(=O)c1c[nH]c2ccc([N+](=O)[O-])cc21 ZINC000295497166 199535389 /nfs/dbraw/zinc/53/53/89/199535389.db2.gz JUCWJDOFVMQWEM-UHFFFAOYSA-N 0 0 297.261 2.851 20 5 CFBDRN CNc1ccc(-c2nc(C(C)(C)C)no2)cc1[N+](=O)[O-] ZINC000074122087 346997359 /nfs/dbraw/zinc/99/73/59/346997359.db2.gz LTSSLHQETRVZHJ-UHFFFAOYSA-N 0 0 276.296 2.984 20 5 CFBDRN CCC(O)(CC)C(C)(C)CNc1ncccc1[N+](=O)[O-] ZINC000596362907 349877675 /nfs/dbraw/zinc/87/76/75/349877675.db2.gz FBRGAAQSZJURHE-UHFFFAOYSA-N 0 0 281.356 2.979 20 5 CFBDRN C[S@](=O)[C@@H]1CCC[C@H](Nc2ccccc2[N+](=O)[O-])C1 ZINC000596375004 349882867 /nfs/dbraw/zinc/88/28/67/349882867.db2.gz OLPBXZFJFOOMAG-QQKBFRNYSA-N 0 0 282.365 2.696 20 5 CFBDRN Cc1csc([C@@H](C)CNc2c([N+](=O)[O-])c(C)nn2C)n1 ZINC000273177044 192066889 /nfs/dbraw/zinc/06/68/89/192066889.db2.gz AMWMBGNGCTWERZ-ZETCQYMHSA-N 0 0 295.368 2.617 20 5 CFBDRN COc1ccc(C(=O)N(C(C)C)C2CC2)cc1[N+](=O)[O-] ZINC000074233702 347003846 /nfs/dbraw/zinc/00/38/46/347003846.db2.gz FVUILGSYQKZVMG-UHFFFAOYSA-N 0 0 278.308 2.616 20 5 CFBDRN CCCC[C@@H](CC)CNc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC000290569969 197752314 /nfs/dbraw/zinc/75/23/14/197752314.db2.gz UBFWVQHZRMPRHO-SNVBAGLBSA-N 0 0 294.355 2.717 20 5 CFBDRN CC(=O)c1cc(N[C@@H](C)CC(C)(C)O)ccc1[N+](=O)[O-] ZINC000295086870 199337361 /nfs/dbraw/zinc/33/73/61/199337361.db2.gz YOSAROLEMZEMDS-VIFPVBQESA-N 0 0 280.324 2.759 20 5 CFBDRN O=C(CCOCC(F)(F)F)Nc1cccc([N+](=O)[O-])c1 ZINC000074439610 347015120 /nfs/dbraw/zinc/01/51/20/347015120.db2.gz AEMNRICYFSXQTR-UHFFFAOYSA-N 0 0 292.213 2.502 20 5 CFBDRN CC[C@@H](C)CCNc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000596414594 349895456 /nfs/dbraw/zinc/89/54/56/349895456.db2.gz BLPFEBFZDAQGGH-SECBINFHSA-N 0 0 290.323 2.514 20 5 CFBDRN CC[C@H](C)CCNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000596414564 349895646 /nfs/dbraw/zinc/89/56/46/349895646.db2.gz AYFYOVODUSYXFT-VIFPVBQESA-N 0 0 267.329 2.517 20 5 CFBDRN CC[C@@H](CNc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1)OC ZINC000290593167 197760468 /nfs/dbraw/zinc/76/04/68/197760468.db2.gz DCGVEDUCDQQLAR-NSHDSACASA-N 0 0 296.323 2.527 20 5 CFBDRN O=[N+]([O-])c1ccc(NC/C=C/CO)c(C(F)(F)F)c1 ZINC000596422632 349899077 /nfs/dbraw/zinc/89/90/77/349899077.db2.gz UHTMLVSABAHOBM-OWOJBTEDSA-N 0 0 276.214 2.574 20 5 CFBDRN CCc1nc(CNc2cc([N+](=O)[O-])ccc2CC)no1 ZINC000290616323 197766380 /nfs/dbraw/zinc/76/63/80/197766380.db2.gz KXDYQMFFRPCEHX-UHFFFAOYSA-N 0 0 276.296 2.715 20 5 CFBDRN CCCC[C@H](COC)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000074764541 347031393 /nfs/dbraw/zinc/03/13/93/347031393.db2.gz BWLCVBGAXFRKPW-LLVKDONJSA-N 0 0 267.329 2.915 20 5 CFBDRN COC(=O)[C@@H](CNc1cc(C)c([N+](=O)[O-])cn1)CC(C)C ZINC000074766327 347031432 /nfs/dbraw/zinc/03/14/32/347031432.db2.gz NMVWMGXJHVCHFS-LLVKDONJSA-N 0 0 295.339 2.545 20 5 CFBDRN Cc1cc(NC[C@](C)(O)c2ccsc2)ncc1[N+](=O)[O-] ZINC000074765572 347031451 /nfs/dbraw/zinc/03/14/51/347031451.db2.gz DSTVJXQDQWGAEK-ZDUSSCGKSA-N 0 0 293.348 2.679 20 5 CFBDRN C[C@@H]1COC2(CCC2)CN1c1ncc([N+](=O)[O-])cc1Cl ZINC000596436992 349902550 /nfs/dbraw/zinc/90/25/50/349902550.db2.gz UIDDQDDIDGXEDW-SECBINFHSA-N 0 0 297.742 2.791 20 5 CFBDRN Cc1cc(N[C@H](CCO)c2cccs2)ncc1[N+](=O)[O-] ZINC000074765565 347031547 /nfs/dbraw/zinc/03/15/47/347031547.db2.gz IFENTZYDNCRKQC-SNVBAGLBSA-N 0 0 293.348 2.895 20 5 CFBDRN O=C(N[C@@H]1CCCC(F)(F)C1)c1csc([N+](=O)[O-])c1 ZINC000335335433 295717064 /nfs/dbraw/zinc/71/70/64/295717064.db2.gz CWZGVFXRVTVRJJ-MRVPVSSYSA-N 0 0 290.291 2.964 20 5 CFBDRN CCO[C@H](CCNc1ncccc1[N+](=O)[O-])C(C)C ZINC000074771948 347032231 /nfs/dbraw/zinc/03/22/31/347032231.db2.gz DRCZBPXUWRBPKN-GFCCVEGCSA-N 0 0 267.329 2.853 20 5 CFBDRN CN(Cc1nccs1)c1ccc([N+](=O)[O-])cc1F ZINC000074778399 347033039 /nfs/dbraw/zinc/03/30/39/347033039.db2.gz MKUZMZIZLFGBQD-UHFFFAOYSA-N 0 0 267.285 2.827 20 5 CFBDRN CCO[C@H]1C[C@H](Nc2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000596442782 349904528 /nfs/dbraw/zinc/90/45/28/349904528.db2.gz RBLRZXWPHNWQEK-AULYBMBSSA-N 0 0 287.319 2.544 20 5 CFBDRN CC(C)(F)CCNC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000596470633 349909988 /nfs/dbraw/zinc/90/99/88/349909988.db2.gz FOKUIWKIDPMAOT-UHFFFAOYSA-N 0 0 269.276 2.855 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])cn1)c1ccc(OC)cc1 ZINC000273193279 192070817 /nfs/dbraw/zinc/07/08/17/192070817.db2.gz QDQLGCMFEFATFY-ZDUSSCGKSA-N 0 0 288.307 2.957 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000596474849 349911552 /nfs/dbraw/zinc/91/15/52/349911552.db2.gz PLCQZJSANPTPSW-JQWIXIFHSA-N 0 0 280.324 2.973 20 5 CFBDRN CO[C@H](CNc1cccc(F)c1[N+](=O)[O-])C(C)C ZINC000075062641 347052868 /nfs/dbraw/zinc/05/28/68/347052868.db2.gz FEDNDGCCPDPCBE-LLVKDONJSA-N 0 0 256.277 2.817 20 5 CFBDRN CC[C@H]1C[C@H](C)CN1c1nc2sccn2c1[N+](=O)[O-] ZINC000075065875 347053474 /nfs/dbraw/zinc/05/34/74/347053474.db2.gz KMTXXEDCGQPIDA-IUCAKERBSA-N 0 0 280.353 2.929 20 5 CFBDRN CCC(CC)(CCO)CNc1ccncc1[N+](=O)[O-] ZINC000075065648 347053482 /nfs/dbraw/zinc/05/34/82/347053482.db2.gz WICSLOXHUMHHQY-UHFFFAOYSA-N 0 0 267.329 2.591 20 5 CFBDRN Cc1cnc(NC[C@]2(C)CC2(F)F)c([N+](=O)[O-])c1 ZINC000596475841 349912626 /nfs/dbraw/zinc/91/26/26/349912626.db2.gz FHMILQTXZBDLHI-JTQLQIEISA-N 0 0 257.240 2.755 20 5 CFBDRN CC[C@H](O)CCNc1ccc([N+](=O)[O-])cc1Cl ZINC000127235103 187291460 /nfs/dbraw/zinc/29/14/60/187291460.db2.gz FAUGQPANMMVYDA-VIFPVBQESA-N 0 0 258.705 2.821 20 5 CFBDRN Cn1ncc(C2CC2)c1CNc1ccsc1[N+](=O)[O-] ZINC000596474601 349911975 /nfs/dbraw/zinc/91/19/75/349911975.db2.gz MQYSTSFNVGTAPR-UHFFFAOYSA-N 0 0 278.337 2.879 20 5 CFBDRN NC(=O)c1cc(NC[C@@H]2CCC[C@H]3C[C@H]32)ccc1[N+](=O)[O-] ZINC000596475637 349912286 /nfs/dbraw/zinc/91/22/86/349912286.db2.gz ZYDGFEXZAXAQDG-JBLDHEPKSA-N 0 0 289.335 2.542 20 5 CFBDRN CC(C)(CCC(=O)Nc1cccc(C2CC2)n1)[N+](=O)[O-] ZINC000295538569 199553931 /nfs/dbraw/zinc/55/39/31/199553931.db2.gz HTFWOGVCFOAXFD-UHFFFAOYSA-N 0 0 277.324 2.733 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1ccc([N+](=O)[O-])c3cnccc31)C2 ZINC000596483463 349915911 /nfs/dbraw/zinc/91/59/11/349915911.db2.gz OTRVNNOTKYGSJU-ZBEGNZNMSA-N 0 0 299.330 2.616 20 5 CFBDRN CCOC(=O)c1ccnc(N[C@H](CC)C2CC2)c1[N+](=O)[O-] ZINC000596485741 349916592 /nfs/dbraw/zinc/91/65/92/349916592.db2.gz PFKDGPUGRDJUQD-LLVKDONJSA-N 0 0 293.323 2.767 20 5 CFBDRN C[C@H](CNC(=O)c1cc([N+](=O)[O-])c[nH]1)C1CCCCC1 ZINC000596489743 349918017 /nfs/dbraw/zinc/91/80/17/349918017.db2.gz YWUCWBSYMUMDOP-SNVBAGLBSA-N 0 0 279.340 2.869 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H](CO)CC2CC2)c1 ZINC000596493622 349919493 /nfs/dbraw/zinc/91/94/93/349919493.db2.gz YGGVDXCMCLQZTQ-LBPRGKRZSA-N 0 0 264.325 2.724 20 5 CFBDRN COc1ccccc1[C@@H]1C[C@H]1Nc1ncccc1[N+](=O)[O-] ZINC000596496238 349919511 /nfs/dbraw/zinc/91/95/11/349919511.db2.gz ARXZWZYKNCOTNP-NWDGAFQWSA-N 0 0 285.303 2.966 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@@H](C)O[C@@H](C)C2)c1 ZINC000596495919 349919644 /nfs/dbraw/zinc/91/96/44/349919644.db2.gz YTDJGIFOWXMUDC-MNOVXSKESA-N 0 0 280.324 2.607 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCSC1 ZINC000273223404 192080001 /nfs/dbraw/zinc/08/00/01/192080001.db2.gz BHCHSJHDXVBJSD-ZJNQMXKESA-N 0 0 292.360 2.620 20 5 CFBDRN CC12CC(C1)CN2C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000596500430 349921684 /nfs/dbraw/zinc/92/16/84/349921684.db2.gz CAJURTFWJDPRDI-UHFFFAOYSA-N 0 0 261.281 2.611 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2N[C@@H]1C[C@@H](O)C12CCC2 ZINC000596502774 349922457 /nfs/dbraw/zinc/92/24/57/349922457.db2.gz GFFRDSJFYYDHBJ-HUUCEWRRSA-N 0 0 299.330 2.858 20 5 CFBDRN Cc1cnc(NCC[C@H]2CCO[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000273242978 192085069 /nfs/dbraw/zinc/08/50/69/192085069.db2.gz XPQNFKYIWVSGMG-NEPJUHHUSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@@H](O)C23CCC3)c(F)cc1[N+](=O)[O-] ZINC000596502723 349922757 /nfs/dbraw/zinc/92/27/57/349922757.db2.gz FUROBLQZXKENGJ-CHWSQXEVSA-N 0 0 280.299 2.758 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H]([C@@H]3CCCCO3)C2)cn1 ZINC000596506757 349923480 /nfs/dbraw/zinc/92/34/80/349923480.db2.gz SYGZEDYPXCOJGX-OCCSQVGLSA-N 0 0 291.351 2.775 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC[C@H]3C[C@H]3C1)CCCC2 ZINC000596511078 349925016 /nfs/dbraw/zinc/92/50/16/349925016.db2.gz OLXUZJUNOCCOQP-JQWIXIFHSA-N 0 0 273.336 2.715 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000596507381 349923822 /nfs/dbraw/zinc/92/38/22/349923822.db2.gz XNLPTXXSDNHEHA-GXTWGEPZSA-N 0 0 291.351 2.775 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC[C@@H]2C[C@@H]2C1 ZINC000596508936 349923909 /nfs/dbraw/zinc/92/39/09/349923909.db2.gz GDHGCVQDVKNNGU-GHMZBOCLSA-N 0 0 290.319 2.536 20 5 CFBDRN CCSC1(CNc2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000596511954 349925453 /nfs/dbraw/zinc/92/54/53/349925453.db2.gz WUPOONVZAVZSBR-UHFFFAOYSA-N 0 0 267.354 2.996 20 5 CFBDRN C[C@H]1COC2(CCCC2)CN1c1ccc([N+](=O)[O-])cn1 ZINC000596514461 349926732 /nfs/dbraw/zinc/92/67/32/349926732.db2.gz HRCHESUZXCMLFW-NSHDSACASA-N 0 0 277.324 2.528 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCOC(C)(C)C1 ZINC000075589085 347086212 /nfs/dbraw/zinc/08/62/12/347086212.db2.gz CJPAMCYIJSYGPF-NSHDSACASA-N 0 0 264.325 2.767 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC[C@H]4C[C@H]4C3)c2c1 ZINC000596512383 349926014 /nfs/dbraw/zinc/92/60/14/349926014.db2.gz UZHOCRMEJFBZAH-RYUDHWBXSA-N 0 0 269.304 2.989 20 5 CFBDRN CC(=O)c1cc(N[C@H]2C[C@@H](O)C23CCC3)ccc1[N+](=O)[O-] ZINC000596513900 349926730 /nfs/dbraw/zinc/92/67/30/349926730.db2.gz XAQFPFLKFDMASA-UONOGXRCSA-N 0 0 290.319 2.513 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@H]4C[C@H]4C3)ccc2c1 ZINC000596513062 349926166 /nfs/dbraw/zinc/92/61/66/349926166.db2.gz YLVSXWDRRVTFKD-JQWIXIFHSA-N 0 0 269.304 2.989 20 5 CFBDRN Nc1c(F)c(NCC2=CCCC2)ccc1[N+](=O)[O-] ZINC000596518731 349928252 /nfs/dbraw/zinc/92/82/52/349928252.db2.gz CSGDPPFMIMENFV-UHFFFAOYSA-N 0 0 251.261 2.838 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NCC(F)F)ccc2c1 ZINC000098000864 232183026 /nfs/dbraw/zinc/18/30/26/232183026.db2.gz KEKWVMPSWHGCJH-UHFFFAOYSA-N 0 0 253.208 2.820 20 5 CFBDRN CN(c1ccccc1)[C@@H]1CCN(c2cccnc2[N+](=O)[O-])C1 ZINC000596518343 349928041 /nfs/dbraw/zinc/92/80/41/349928041.db2.gz UYZDHLXWUZONFZ-CQSZACIVSA-N 0 0 298.346 2.705 20 5 CFBDRN CC1(C)C[C@@]1(C)Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O ZINC000596523468 349929922 /nfs/dbraw/zinc/92/99/22/349929922.db2.gz JKTVTRWEEPCLPS-CYBMUJFWSA-N 0 0 298.364 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CC[C@@H]4COC[C@@H]4C3)ccc2c1 ZINC000596528209 349931411 /nfs/dbraw/zinc/93/14/11/349931411.db2.gz NANRGNXUIQBNSQ-OLZOCXBDSA-N 0 0 299.330 2.616 20 5 CFBDRN Cc1cc(N(C)CC2(O)CCCC2)ccc1[N+](=O)[O-] ZINC000127442732 187306589 /nfs/dbraw/zinc/30/65/89/187306589.db2.gz KDCLXUAAMSOKCF-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN CO[C@@H](CNc1ncccc1[N+](=O)[O-])C1CCCC1 ZINC000596526572 349930456 /nfs/dbraw/zinc/93/04/56/349930456.db2.gz QIIIBUNTTKWWPV-LBPRGKRZSA-N 0 0 265.313 2.607 20 5 CFBDRN COCc1ccc(CNCc2ccc([N+](=O)[O-])cc2)o1 ZINC000125874964 232202102 /nfs/dbraw/zinc/20/21/02/232202102.db2.gz UVJPCRZZXILXLC-UHFFFAOYSA-N 0 0 276.292 2.624 20 5 CFBDRN CO[C@@]1(C)C[C@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000596541029 349935872 /nfs/dbraw/zinc/93/58/72/349935872.db2.gz WYFNAGROZBMNNL-OCCSQVGLSA-N 0 0 273.292 2.732 20 5 CFBDRN COc1cccc(NC[C@@H]2CCOC2(C)C)c1[N+](=O)[O-] ZINC000596543137 349936588 /nfs/dbraw/zinc/93/65/88/349936588.db2.gz KQEINNGEHKXAOL-JTQLQIEISA-N 0 0 280.324 2.830 20 5 CFBDRN C[C@H](c1ccccc1)[C@H](O)CNc1ccc([N+](=O)[O-])cn1 ZINC000596547357 349938154 /nfs/dbraw/zinc/93/81/54/349938154.db2.gz CVKNEVFSZCZWLP-BXUZGUMPSA-N 0 0 287.319 2.566 20 5 CFBDRN O=C(NCCC1=CCCC1)c1ccc([N+](=O)[O-])cc1[O-] ZINC000158273642 232240849 /nfs/dbraw/zinc/24/08/49/232240849.db2.gz AYVBTEXVNQQTHH-UHFFFAOYSA-N 0 0 276.292 2.531 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1nccs1 ZINC000162848624 232256029 /nfs/dbraw/zinc/25/60/29/232256029.db2.gz AFXCDVXYVRCTEZ-UHFFFAOYSA-N 0 0 292.320 2.681 20 5 CFBDRN Cc1ccc([C@H](C)OC(=O)[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000084809882 178927739 /nfs/dbraw/zinc/92/77/39/178927739.db2.gz BYUJHAJLOCNUTR-CMPLNLGQSA-N 0 0 279.292 2.544 20 5 CFBDRN C[C@H](c1ccccc1)[C@@H](O)CNc1ncccc1[N+](=O)[O-] ZINC000596547717 349938967 /nfs/dbraw/zinc/93/89/67/349938967.db2.gz JLZASAOSLYOOAM-RISCZKNCSA-N 0 0 287.319 2.566 20 5 CFBDRN COc1cccc(CNc2ncc([N+](=O)[O-])cc2C)c1C ZINC000596551999 349939281 /nfs/dbraw/zinc/93/92/81/349939281.db2.gz HXSWTXZNOAYBMB-UHFFFAOYSA-N 0 0 287.319 2.649 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1nccs1 ZINC000161310359 232251192 /nfs/dbraw/zinc/25/11/92/232251192.db2.gz JBBSHTJCTHEHRZ-UHFFFAOYSA-N 0 0 254.242 2.769 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NCc1ccnn1C ZINC000166995840 232260450 /nfs/dbraw/zinc/26/04/50/232260450.db2.gz VRTWBPMLHSNGTE-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN Cc1ncccc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000170988994 232264895 /nfs/dbraw/zinc/26/48/95/232264895.db2.gz QECRAXGSOUMXCO-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)C[C@@H](C)C1 ZINC000163416138 232258253 /nfs/dbraw/zinc/25/82/53/232258253.db2.gz KWNAIKLTIWFSGG-WDEREUQCSA-N 0 0 291.351 2.806 20 5 CFBDRN CCC[C@@](C)(O)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000127508637 187312016 /nfs/dbraw/zinc/31/20/16/187312016.db2.gz BHLSAABIEZXNFV-IAQYHMDHSA-N 0 0 294.351 2.632 20 5 CFBDRN COC(=O)C1CCN([C@H](C)c2ccccc2[N+](=O)[O-])CC1 ZINC000075618074 347088410 /nfs/dbraw/zinc/08/84/10/347088410.db2.gz FDJOONDHNWZTBY-LLVKDONJSA-N 0 0 292.335 2.541 20 5 CFBDRN O=c1ccn(CCc2ccccc2Cl)cc1[N+](=O)[O-] ZINC000174098151 232288345 /nfs/dbraw/zinc/28/83/45/232288345.db2.gz QQJVEOYUOYHCIW-UHFFFAOYSA-N 0 0 278.695 2.653 20 5 CFBDRN C[C@@H](CCO)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000097442677 185606070 /nfs/dbraw/zinc/60/60/70/185606070.db2.gz RCMLQIWMAHGNTA-JTQLQIEISA-N 0 0 275.308 2.573 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCO[C@@H](CC(F)(F)F)C1 ZINC000596558656 349941399 /nfs/dbraw/zinc/94/13/99/349941399.db2.gz SSOJWMZBQMICJK-ZETCQYMHSA-N 0 0 296.270 2.814 20 5 CFBDRN CN(C(=O)CCc1ccoc1)c1ccc([N+](=O)[O-])cc1 ZINC000177088372 232348921 /nfs/dbraw/zinc/34/89/21/232348921.db2.gz ASXJVPOAZSPQPW-UHFFFAOYSA-N 0 0 274.276 2.783 20 5 CFBDRN CN(C)C(=O)c1ccc(NCc2ccccc2[N+](=O)[O-])cc1 ZINC000176868346 232343774 /nfs/dbraw/zinc/34/37/74/232343774.db2.gz MNZDLDNEZWZNPP-UHFFFAOYSA-N 0 0 299.330 2.909 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)c2cc[nH]c2)cc1[N+](=O)[O-] ZINC000177855354 232371944 /nfs/dbraw/zinc/37/19/44/232371944.db2.gz FHCSZRAHURBBCI-JTQLQIEISA-N 0 0 273.292 2.722 20 5 CFBDRN COc1cccc(NC(=O)c2csc([N+](=O)[O-])c2)c1 ZINC000006019059 346213536 /nfs/dbraw/zinc/21/35/36/346213536.db2.gz CDXQGIWEWRPRRL-UHFFFAOYSA-N 0 0 278.289 2.917 20 5 CFBDRN Cc1nc(N[C@@H](c2ccccc2)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000596563973 349942435 /nfs/dbraw/zinc/94/24/35/349942435.db2.gz AGWYXHRACIBYFD-IAQYHMDHSA-N 0 0 287.319 2.832 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCc2sccc2C1 ZINC000010885970 346228171 /nfs/dbraw/zinc/22/81/71/346228171.db2.gz SGOSGFMSJXQFQQ-UHFFFAOYSA-N 0 0 294.357 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc(Oc2ccc(-c3nnco3)cc2)nc1 ZINC000015114288 346235039 /nfs/dbraw/zinc/23/50/39/346235039.db2.gz OUYHHERXQVMJCD-UHFFFAOYSA-N 0 0 284.231 2.832 20 5 CFBDRN Cc1cc(Oc2ncccc2[N+](=O)[O-])ccc1[N+](=O)[O-] ZINC000007187858 346217548 /nfs/dbraw/zinc/21/75/48/346217548.db2.gz YBHOTJGMSWXTCU-UHFFFAOYSA-N 0 0 275.220 2.999 20 5 CFBDRN Cc1cccc(C(=O)NCCNc2ccccc2[N+](=O)[O-])c1 ZINC000008145741 346221998 /nfs/dbraw/zinc/22/19/98/346221998.db2.gz DHCUEDOAQZTBLE-UHFFFAOYSA-N 0 0 299.330 2.745 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Sc1ccc(F)c(F)c1 ZINC000008374786 346223990 /nfs/dbraw/zinc/22/39/90/346223990.db2.gz YDXPUZHBLASOSY-UHFFFAOYSA-N 0 0 271.248 2.758 20 5 CFBDRN COc1ccc(C)cc1[C@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000008338253 346224179 /nfs/dbraw/zinc/22/41/79/346224179.db2.gz HMQONNTZBPXTFY-JTQLQIEISA-N 0 0 290.323 2.818 20 5 CFBDRN COc1ccc(C)cc1[C@@H](C)Nc1c([N+](=O)[O-])ncn1C ZINC000008338248 346224247 /nfs/dbraw/zinc/22/42/47/346224247.db2.gz HMQONNTZBPXTFY-SNVBAGLBSA-N 0 0 290.323 2.818 20 5 CFBDRN Cc1cccc(N2CCO[C@](C)(C(F)F)C2)c1[N+](=O)[O-] ZINC000596572524 349945556 /nfs/dbraw/zinc/94/55/56/349945556.db2.gz FHVIHSWRPPWBPU-ZDUSSCGKSA-N 0 0 286.278 2.764 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)c2cccnc2)ccc1[N+](=O)[O-] ZINC000017801627 346240564 /nfs/dbraw/zinc/24/05/64/346240564.db2.gz DEVRHAITXRERCA-LLVKDONJSA-N 0 0 285.303 2.789 20 5 CFBDRN Cc1nc2ccccc2n1CCNc1ccc([N+](=O)[O-])cn1 ZINC000018061647 346241187 /nfs/dbraw/zinc/24/11/87/346241187.db2.gz GZIHERSSSCNGIJ-UHFFFAOYSA-N 0 0 297.318 2.760 20 5 CFBDRN CCC(C)(C)NC(=O)CNc1cccc([N+](=O)[O-])c1C ZINC000025604222 346260051 /nfs/dbraw/zinc/26/00/51/346260051.db2.gz YFFVXKZZCYWCOM-UHFFFAOYSA-N 0 0 279.340 2.620 20 5 CFBDRN O=[N+]([O-])c1cnc(NCc2ncccc2F)c(Cl)c1 ZINC000127578572 187317340 /nfs/dbraw/zinc/31/73/40/187317340.db2.gz CFACALXNFQTUFY-UHFFFAOYSA-N 0 0 282.662 2.789 20 5 CFBDRN C[C@@H]1CN(c2ccccc2[N+](=O)[O-])C[C@@](C)(C(F)F)O1 ZINC000596575984 349946300 /nfs/dbraw/zinc/94/63/00/349946300.db2.gz XQFORBFBZTVJEN-RNCFNFMXSA-N 0 0 286.278 2.844 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)Nc1nc(C2CC2)cs1 ZINC000026051746 346262660 /nfs/dbraw/zinc/26/26/60/346262660.db2.gz RZEITGBWJSHLJA-UHFFFAOYSA-N 0 0 292.320 2.520 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CCC[C@H]1Cc1ccccc1 ZINC000026141706 346263193 /nfs/dbraw/zinc/26/31/93/346263193.db2.gz XVYCVFUUWMOYQI-ZDUSSCGKSA-N 0 0 286.335 2.540 20 5 CFBDRN O=C(Nc1cccc2c[nH]nc21)c1cccc([N+](=O)[O-])c1 ZINC000026870396 346266978 /nfs/dbraw/zinc/26/69/78/346266978.db2.gz MTBVDXRBBRJYTJ-UHFFFAOYSA-N 0 0 282.259 2.723 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)cn1 ZINC000027643520 346271322 /nfs/dbraw/zinc/27/13/22/346271322.db2.gz NRDXPLXVDIHCHN-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cc1ccc([C@@H]2CCCN2c2c([N+](=O)[O-])ncn2C)cc1 ZINC000030676467 346289584 /nfs/dbraw/zinc/28/95/84/346289584.db2.gz SSTNOFHETWJJAD-ZDUSSCGKSA-N 0 0 286.335 2.978 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1cccnc1 ZINC000033238361 346310818 /nfs/dbraw/zinc/31/08/18/346310818.db2.gz KSVLQKSBYXTSEP-HNNXBMFYSA-N 0 0 297.314 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ccccc2)c1 ZINC000034438320 346316991 /nfs/dbraw/zinc/31/69/91/346316991.db2.gz PGRNNPSKEZBZQN-UHFFFAOYSA-N 0 0 270.288 2.833 20 5 CFBDRN Cn1ncc2c1CCC[C@H]2Nc1ccc([N+](=O)[O-])cc1F ZINC000042098463 346360090 /nfs/dbraw/zinc/36/00/90/346360090.db2.gz WVPJWPFLZKCULS-GFCCVEGCSA-N 0 0 290.298 2.957 20 5 CFBDRN Cn1ccc(COc2ccc([N+](=O)[O-])cc2Cl)cc1=O ZINC000042089852 346360236 /nfs/dbraw/zinc/36/02/36/346360236.db2.gz SSZLHHBRUOHCEN-UHFFFAOYSA-N 0 0 294.694 2.526 20 5 CFBDRN C[C@@H](Cn1cccn1)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000042106216 346360732 /nfs/dbraw/zinc/36/07/32/346360732.db2.gz LLJWHYSMIRSEAO-NSHDSACASA-N 0 0 297.318 2.840 20 5 CFBDRN COc1cccc(C(=O)N(C(C)C)C(C)C)c1[N+](=O)[O-] ZINC000039154387 346341716 /nfs/dbraw/zinc/34/17/16/346341716.db2.gz HDLBILWYKZMHKR-UHFFFAOYSA-N 0 0 280.324 2.862 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1ccc(F)cc1F ZINC000042671649 346369728 /nfs/dbraw/zinc/36/97/28/346369728.db2.gz GBTFLTFKHLBMTF-SSDOTTSWSA-N 0 0 296.229 2.957 20 5 CFBDRN CSCC1(Cc2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)CC1 ZINC000596615768 349956601 /nfs/dbraw/zinc/95/66/01/349956601.db2.gz JYWNUDFQLYQVBY-UHFFFAOYSA-N 0 0 294.336 2.659 20 5 CFBDRN CC(C)(C)O[C@@H]1C[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000596618890 349957520 /nfs/dbraw/zinc/95/75/20/349957520.db2.gz DQVALIKKESZODU-VXGBXAGGSA-N 0 0 278.308 2.737 20 5 CFBDRN CN(CCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000045366315 346407263 /nfs/dbraw/zinc/40/72/63/346407263.db2.gz RLCVZUFATOMMCI-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccccc3)C2)cn1 ZINC000075667532 347091002 /nfs/dbraw/zinc/09/10/02/347091002.db2.gz BFCRCYKEWMZLRL-AWEZNQCLSA-N 0 0 285.303 2.568 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)NCCC(C)(C)F ZINC000596623992 349960240 /nfs/dbraw/zinc/96/02/40/349960240.db2.gz CKVNWRWFCCPTPY-UHFFFAOYSA-N 0 0 299.302 2.863 20 5 CFBDRN C[C@H](CNc1ncccc1[N+](=O)[O-])N1CCc2ccccc21 ZINC000046479394 346427472 /nfs/dbraw/zinc/42/74/72/346427472.db2.gz CYUFWSIIIGNCIK-GFCCVEGCSA-N 0 0 298.346 2.853 20 5 CFBDRN O=C([C@@H]1C[C@@H]1[N+](=O)[O-])N1CCC[C@H]1c1cccc(Cl)c1 ZINC000046799085 346434363 /nfs/dbraw/zinc/43/43/63/346434363.db2.gz ASKJNNGHTWTWIU-AGIUHOORSA-N 0 0 294.738 2.669 20 5 CFBDRN Cc1ccc(NC(=O)N2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000596627218 349961045 /nfs/dbraw/zinc/96/10/45/349961045.db2.gz WTWJWDOXIUUCNS-GHMZBOCLSA-N 0 0 275.308 2.777 20 5 CFBDRN COCCOc1ccc(-c2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000596639064 349965479 /nfs/dbraw/zinc/96/54/79/349965479.db2.gz IJWNXUNVZDNVNT-UHFFFAOYSA-N 0 0 288.303 2.990 20 5 CFBDRN CCC[C@@H](C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000048298368 346471075 /nfs/dbraw/zinc/47/10/75/346471075.db2.gz ILAOLODQVGWPRF-SECBINFHSA-N 0 0 275.308 2.995 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000596634359 349963599 /nfs/dbraw/zinc/96/35/99/349963599.db2.gz FBKMISCPKYTNMU-UMSPYCQHSA-N 0 0 293.323 2.592 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2cccnc2OCC2CC2)cn1 ZINC000596637287 349964494 /nfs/dbraw/zinc/96/44/94/349964494.db2.gz SGPCUZBGFXGTNI-UHFFFAOYSA-N 0 0 271.276 2.841 20 5 CFBDRN COC(=O)c1ccoc1CSc1ncccc1[N+](=O)[O-] ZINC000049418535 346483319 /nfs/dbraw/zinc/48/33/19/346483319.db2.gz URPFPKVMNJFZCT-UHFFFAOYSA-N 0 0 294.288 2.662 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO)c(-c2cccc3c2OCC3)c1 ZINC000596647409 349967699 /nfs/dbraw/zinc/96/76/99/349967699.db2.gz JIAVIWYHVUGRCJ-UHFFFAOYSA-N 0 0 285.299 2.732 20 5 CFBDRN CCCC(C)(C)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 ZINC000050496936 346495315 /nfs/dbraw/zinc/49/53/15/346495315.db2.gz YFNOTBMBUDIPHA-UHFFFAOYSA-N 0 0 298.314 2.808 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N(Cc1ccncc1)C1CC1 ZINC000050821909 346498330 /nfs/dbraw/zinc/49/83/30/346498330.db2.gz UQCBGUUWHBTWHR-UHFFFAOYSA-N 0 0 297.314 2.795 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC=CCC1 ZINC000053217117 346540996 /nfs/dbraw/zinc/54/09/96/346540996.db2.gz QPEOVWQPJCRSCY-CYBMUJFWSA-N 0 0 274.320 2.610 20 5 CFBDRN CNC(=O)c1ccc(N(C)C2CCCCC2)c([N+](=O)[O-])c1 ZINC000053548229 346549604 /nfs/dbraw/zinc/54/96/04/346549604.db2.gz QVZUTIOXZHXVDL-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN Cc1nn(C)c(N[C@@H](C)Cc2ccccc2F)c1[N+](=O)[O-] ZINC000053577900 346550203 /nfs/dbraw/zinc/55/02/03/346550203.db2.gz DNMFRGHQXSZNKO-VIFPVBQESA-N 0 0 292.314 2.819 20 5 CFBDRN CCOCCCCNC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000055497377 346591314 /nfs/dbraw/zinc/59/13/14/346591314.db2.gz HPBIQHZWYIRGGZ-HJWRWDBZSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C1 ZINC000055528790 346592127 /nfs/dbraw/zinc/59/21/27/346592127.db2.gz HRPNLEZEJPZRNQ-JTQLQIEISA-N 0 0 287.319 2.948 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H]1c1ccccn1 ZINC000056275377 346605099 /nfs/dbraw/zinc/60/50/99/346605099.db2.gz VAJUGUCMEIKLIV-NSHDSACASA-N 0 0 287.275 2.560 20 5 CFBDRN CC[C@H](C)[C@@H](C)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000057071321 346618631 /nfs/dbraw/zinc/61/86/31/346618631.db2.gz UHQZKDCKYFYZLD-WDEREUQCSA-N 0 0 280.324 2.524 20 5 CFBDRN O=C(CNc1ccc(F)cc1[N+](=O)[O-])NC1CCCCC1 ZINC000058543940 346646241 /nfs/dbraw/zinc/64/62/41/346646241.db2.gz QDSUOHZACBRRBT-UHFFFAOYSA-N 0 0 295.314 2.595 20 5 CFBDRN CCOc1ccccc1Cn1nc(C)c([N+](=O)[O-])c1C ZINC000058857994 346651936 /nfs/dbraw/zinc/65/19/36/346651936.db2.gz XSYSTSCZDSCZOR-UHFFFAOYSA-N 0 0 275.308 2.855 20 5 CFBDRN O=C(COc1ccc([N+](=O)[O-])cc1F)Nc1ccccc1 ZINC000059012285 346655344 /nfs/dbraw/zinc/65/53/44/346655344.db2.gz KCXVPGYUBXCKMH-UHFFFAOYSA-N 0 0 290.250 2.751 20 5 CFBDRN CN(CC1CCCC1)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000060211744 346661248 /nfs/dbraw/zinc/66/12/48/346661248.db2.gz SJRLPNOSIFLKCE-UHFFFAOYSA-N 0 0 262.309 2.857 20 5 CFBDRN Cc1c(OCC(=O)N(C(C)C)C(C)C)cccc1[N+](=O)[O-] ZINC000060810066 346671767 /nfs/dbraw/zinc/67/17/67/346671767.db2.gz VOAFNFMZQOXWNZ-UHFFFAOYSA-N 0 0 294.351 2.927 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc2nccn2c1 ZINC000057778212 346636796 /nfs/dbraw/zinc/63/67/96/346636796.db2.gz NNOAGAQYFWOHDF-UHFFFAOYSA-N 0 0 296.286 2.803 20 5 CFBDRN CC(C)CCC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000057836438 346638032 /nfs/dbraw/zinc/63/80/32/346638032.db2.gz XEKUJPPOVDWYTO-UHFFFAOYSA-N 0 0 262.309 2.920 20 5 CFBDRN Cc1c(C(=O)OC[C@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000057967460 346641269 /nfs/dbraw/zinc/64/12/69/346641269.db2.gz UEBONUWAHAHXDI-LLVKDONJSA-N 0 0 279.292 2.629 20 5 CFBDRN CCCN(C)C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 ZINC000063602602 346704841 /nfs/dbraw/zinc/70/48/41/346704841.db2.gz SHCHTWDCNRWIRO-SNVBAGLBSA-N 0 0 282.365 2.944 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1nc(-c2cccc(C)c2)no1 ZINC000064332358 346717643 /nfs/dbraw/zinc/71/76/43/346717643.db2.gz FVGURWPNHYXICR-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1Cc1cc(F)cc2cccnc21 ZINC000064332352 346717828 /nfs/dbraw/zinc/71/78/28/346717828.db2.gz NFOVJACUTIEBPD-UHFFFAOYSA-N 0 0 286.266 2.835 20 5 CFBDRN CC(C)n1cc(NCc2cccc([N+](=O)[O-])c2)cn1 ZINC000061682780 346681639 /nfs/dbraw/zinc/68/16/39/346681639.db2.gz QKEJWUWNCBCAQA-UHFFFAOYSA-N 0 0 260.297 2.984 20 5 CFBDRN CN(Cc1cscn1)c1ccc(F)cc1[N+](=O)[O-] ZINC000063255365 346698383 /nfs/dbraw/zinc/69/83/83/346698383.db2.gz PJNRBFSQXVIACG-UHFFFAOYSA-N 0 0 267.285 2.827 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CCCn1cccn1 ZINC000063473543 346700767 /nfs/dbraw/zinc/70/07/67/346700767.db2.gz CCZDAEHGLVRLHC-UHFFFAOYSA-N 0 0 288.307 2.519 20 5 CFBDRN CC(C)n1cc(NC(=O)c2ccc([N+](=O)[O-])s2)cn1 ZINC000066389877 346757610 /nfs/dbraw/zinc/75/76/10/346757610.db2.gz FELRXPNINFROFC-UHFFFAOYSA-N 0 0 280.309 2.686 20 5 CFBDRN COc1cc(CNc2ccncc2[N+](=O)[O-])ccc1C ZINC000066810335 346780401 /nfs/dbraw/zinc/78/04/01/346780401.db2.gz GKBGNHDARBTUQH-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN C[C@@H]1CCCCN1C(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000066781429 346778856 /nfs/dbraw/zinc/77/88/56/346778856.db2.gz PWMARMBERNLONY-GFCCVEGCSA-N 0 0 291.351 2.798 20 5 CFBDRN CC[C@H]1CCCCN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000065857464 346742781 /nfs/dbraw/zinc/74/27/81/346742781.db2.gz SVXOGMUWIOCVBM-LBPRGKRZSA-N 0 0 291.351 2.798 20 5 CFBDRN CC(C)(C)c1ccc(=O)n(Cc2csc([N+](=O)[O-])c2)n1 ZINC000066263636 346752082 /nfs/dbraw/zinc/75/20/82/346752082.db2.gz GFBGBBNYJHJBNI-UHFFFAOYSA-N 0 0 293.348 2.559 20 5 CFBDRN COc1ccc(CN2C[C@@H](C)S[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000075895277 347107374 /nfs/dbraw/zinc/10/73/74/347107374.db2.gz PCHOXWGBHSJKDZ-PHIMTYICSA-N 0 0 296.392 2.929 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2F)c1 ZINC000067295462 346797808 /nfs/dbraw/zinc/79/78/08/346797808.db2.gz NGPNIYRZGPLEKY-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN Cc1nn(C)c(NCc2nccc3ccccc32)c1[N+](=O)[O-] ZINC000076575746 347143950 /nfs/dbraw/zinc/14/39/50/347143950.db2.gz BYWLGWSRETWSRG-UHFFFAOYSA-N 0 0 297.318 2.797 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCc2ccccc2C1 ZINC000076663878 347147330 /nfs/dbraw/zinc/14/73/30/347147330.db2.gz YBAWYSWGFNZBAS-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCCc1c(F)cccc1F ZINC000076844464 347157070 /nfs/dbraw/zinc/15/70/70/347157070.db2.gz DHWSESLUPPBNRD-UHFFFAOYSA-N 0 0 279.246 2.923 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(Oc2ncnc3c2CCC3)c1 ZINC000076920096 347160074 /nfs/dbraw/zinc/16/00/74/347160074.db2.gz CNUZQXRYBUWNMU-UHFFFAOYSA-N 0 0 271.276 2.974 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000077584194 347201927 /nfs/dbraw/zinc/20/19/27/347201927.db2.gz CBSNJTJNPZZUOB-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H](C)C[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000077228747 347177950 /nfs/dbraw/zinc/17/79/50/347177950.db2.gz ROKKFVOMMVPHJX-VHSXEESVSA-N 0 0 280.324 2.616 20 5 CFBDRN C[C@@H]1CCN(c2nc3sccn3c2[N+](=O)[O-])[C@H](C)C1 ZINC000077226978 347177974 /nfs/dbraw/zinc/17/79/74/347177974.db2.gz GOKNQWGLBDHVOK-RKDXNWHRSA-N 0 0 280.353 2.929 20 5 CFBDRN C[C@@H](CNc1ccc([N+](=O)[O-])c2cnccc12)C[C@H](C)O ZINC000077228882 347178435 /nfs/dbraw/zinc/17/84/35/347178435.db2.gz ACWDPJJAQNKFQJ-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN CC(C)CC[C@@](C)(O)CNc1ccc([N+](=O)[O-])cn1 ZINC000077235269 347179383 /nfs/dbraw/zinc/17/93/83/347179383.db2.gz KPWWBABPEWFKOL-CYBMUJFWSA-N 0 0 267.329 2.589 20 5 CFBDRN CC(=O)c1ccc(NC[C@@H]2CCCC[C@@H]2O)c([N+](=O)[O-])c1 ZINC000077237701 347180141 /nfs/dbraw/zinc/18/01/41/347180141.db2.gz AJTALGPVMCPILV-WFASDCNBSA-N 0 0 292.335 2.760 20 5 CFBDRN Cc1nn(C)c(N2CCC(C(C)C)CC2)c1[N+](=O)[O-] ZINC000078424352 347251730 /nfs/dbraw/zinc/25/17/30/347251730.db2.gz MKBHWFQFIBEGGA-UHFFFAOYSA-N 0 0 266.345 2.509 20 5 CFBDRN COC(=O)c1ccc(COc2cc([N+](=O)[O-])ccc2C)o1 ZINC000077910252 347220061 /nfs/dbraw/zinc/22/00/61/347220061.db2.gz BEQQDKWCRDOTHM-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN COc1ccccc1[C@H]1CCN(c2ncccc2[N+](=O)[O-])C1 ZINC000078021395 347224847 /nfs/dbraw/zinc/22/48/47/347224847.db2.gz MAGUJYOVSHPYRB-LBPRGKRZSA-N 0 0 299.330 2.992 20 5 CFBDRN CC(C)(CO)CCCNc1ncc([N+](=O)[O-])cc1Cl ZINC000078303530 347239285 /nfs/dbraw/zinc/23/92/85/347239285.db2.gz FHKFPMCSAWZSHL-UHFFFAOYSA-N 0 0 287.747 2.854 20 5 CFBDRN CC(=O)c1ccc(NC[C@H]2CCC[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC000078304607 347239358 /nfs/dbraw/zinc/23/93/58/347239358.db2.gz BDYJMVAGIZFIIU-WCQYABFASA-N 0 0 292.335 2.760 20 5 CFBDRN CNC(=O)c1ccc(N2CCC[C@H](C)[C@H]2C)c([N+](=O)[O-])c1 ZINC000078335225 347242866 /nfs/dbraw/zinc/24/28/66/347242866.db2.gz KXUFCUJDPFGNOA-WDEREUQCSA-N 0 0 291.351 2.579 20 5 CFBDRN C[C@@H](O)CC(C)(C)CNc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000078339858 347243457 /nfs/dbraw/zinc/24/34/57/347243457.db2.gz KACSFTCKTFDXSM-SECBINFHSA-N 0 0 297.311 2.712 20 5 CFBDRN Cc1ccnc(NCC(C)(C)C[C@H](C)O)c1[N+](=O)[O-] ZINC000078339480 347243477 /nfs/dbraw/zinc/24/34/77/347243477.db2.gz YISCNLOBDIWINO-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN COC[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000078358737 347245872 /nfs/dbraw/zinc/24/58/72/347245872.db2.gz KWFMVRMSRUTJJU-LLVKDONJSA-N 0 0 256.277 2.817 20 5 CFBDRN CC[C@@H](C)Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-] ZINC000078359655 347246186 /nfs/dbraw/zinc/24/61/86/347246186.db2.gz RWWKZCOHEWEMEF-SECBINFHSA-N 0 0 277.324 2.697 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CCO1 ZINC000078367154 347246697 /nfs/dbraw/zinc/24/66/97/347246697.db2.gz SRAYBSGQKZXQSD-QMMMGPOBSA-N 0 0 290.241 2.839 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1C[C@H](C)S[C@@H](C)C1 ZINC000078480272 347256360 /nfs/dbraw/zinc/25/63/60/347256360.db2.gz IJPMBYHRXXVZJG-UWVGGRQHSA-N 0 0 267.354 2.628 20 5 CFBDRN CC[C@]1(C)CCCN(c2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000078559282 347260748 /nfs/dbraw/zinc/26/07/48/347260748.db2.gz NUYQICOBNISRPS-CYBMUJFWSA-N 0 0 266.345 2.653 20 5 CFBDRN Cc1cnc(N2CCOc3ccccc3C2)c([N+](=O)[O-])c1 ZINC000078653523 347267187 /nfs/dbraw/zinc/26/71/87/347267187.db2.gz CTGFZQPSYGZYED-UHFFFAOYSA-N 0 0 285.303 2.697 20 5 CFBDRN Cc1cnc(Nc2cnn(CC(F)F)c2)c([N+](=O)[O-])c1 ZINC000078664644 347269030 /nfs/dbraw/zinc/26/90/30/347269030.db2.gz CTRAMGJCXUQHCB-UHFFFAOYSA-N 0 0 283.238 2.503 20 5 CFBDRN O=[N+]([O-])c1ccccc1Nc1cnn(CC2CC2)c1 ZINC000078675821 347269623 /nfs/dbraw/zinc/26/96/23/347269623.db2.gz NOVMKRLOHWWHOT-UHFFFAOYSA-N 0 0 258.281 2.945 20 5 CFBDRN C[C@@H](Sc1nncn1C)c1ccccc1[N+](=O)[O-] ZINC000078928056 347279471 /nfs/dbraw/zinc/27/94/71/347279471.db2.gz QADRYEXOPPRRGW-MRVPVSSYSA-N 0 0 264.310 2.577 20 5 CFBDRN CC1(C)CN(c2ccc3cc([N+](=O)[O-])ccc3n2)CCO1 ZINC000079886805 347330554 /nfs/dbraw/zinc/33/05/54/347330554.db2.gz MGHMVKIUNFIYSY-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN CNC(=O)c1ccc(CNc2ccc([N+](=O)[O-])cc2C)cc1 ZINC000080139425 347345805 /nfs/dbraw/zinc/34/58/05/347345805.db2.gz AUZHEFAQONVZBR-UHFFFAOYSA-N 0 0 299.330 2.875 20 5 CFBDRN CC(C)COC1CCN(c2ccc([N+](=O)[O-])cn2)CC1 ZINC000080155777 347346593 /nfs/dbraw/zinc/34/65/93/347346593.db2.gz NWEHWXHQLCLYFS-UHFFFAOYSA-N 0 0 279.340 2.631 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000080189254 347348477 /nfs/dbraw/zinc/34/84/77/347348477.db2.gz XGCMAJPDNCUYIB-LBPRGKRZSA-N 0 0 293.367 2.536 20 5 CFBDRN C[C@]1(C(=O)[O-])CCC[N@@H+]1Cc1ccc(Cl)cc1[N+](=O)[O-] ZINC000079488616 347306437 /nfs/dbraw/zinc/30/64/37/347306437.db2.gz MZFBIAZKVMNZPK-CYBMUJFWSA-N 0 0 298.726 2.687 20 5 CFBDRN CN(CC(=O)NCCC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000079531922 347310301 /nfs/dbraw/zinc/31/03/01/347310301.db2.gz VZNKDZPPNUONPT-UHFFFAOYSA-N 0 0 293.367 2.583 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)Nc1ccc([N+](=O)[O-])nc1 ZINC000079617895 347317275 /nfs/dbraw/zinc/31/72/75/347317275.db2.gz LOBJIWVJACCNIC-SSDOTTSWSA-N 0 0 262.269 2.768 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079631116 347317970 /nfs/dbraw/zinc/31/79/70/347317970.db2.gz UNEQHTMORGBANJ-BXKDBHETSA-N 0 0 262.309 2.602 20 5 CFBDRN Cc1cncc(NC(=O)c2ccc([N+](=O)[O-])cc2)c1 ZINC000079687180 347320174 /nfs/dbraw/zinc/32/01/74/347320174.db2.gz FEVBYNIYCKKGTG-UHFFFAOYSA-N 0 0 257.249 2.551 20 5 CFBDRN Cc1ccc(NCC(=O)NCc2ccccc2)c([N+](=O)[O-])c1 ZINC000079694110 347321074 /nfs/dbraw/zinc/32/10/74/347321074.db2.gz VZRVIKFEOZSKLC-UHFFFAOYSA-N 0 0 299.330 2.632 20 5 CFBDRN CCC[C@@H]1[C@H](C)CCCN1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000081180322 347406585 /nfs/dbraw/zinc/40/65/85/347406585.db2.gz VZHCECLVGCELFD-ZWNOBZJWSA-N 0 0 279.340 2.964 20 5 CFBDRN CC(=O)CSCCCOc1ccc([N+](=O)[O-])cc1 ZINC000081273581 347410728 /nfs/dbraw/zinc/41/07/28/347410728.db2.gz FKXQOSZSWIYOAX-UHFFFAOYSA-N 0 0 269.322 2.686 20 5 CFBDRN O=C(NCCc1ccccc1[N+](=O)[O-])NC1CCCCC1 ZINC000080598334 347368813 /nfs/dbraw/zinc/36/88/13/347368813.db2.gz RNDAJBPUSVHINE-UHFFFAOYSA-N 0 0 291.351 2.769 20 5 CFBDRN CC[C@H](Nc1cc(C)c([N+](=O)[O-])cn1)C1CCOCC1 ZINC000080606427 347369590 /nfs/dbraw/zinc/36/95/90/347369590.db2.gz AZMRXZUXYRMHQH-LBPRGKRZSA-N 0 0 279.340 2.915 20 5 CFBDRN Cc1cc(NCC2(O)CCC2)c(Cl)cc1[N+](=O)[O-] ZINC000080635311 347371062 /nfs/dbraw/zinc/37/10/62/347371062.db2.gz WNACGGAVYKFCHJ-UHFFFAOYSA-N 0 0 270.716 2.884 20 5 CFBDRN CC[C@H](C)[C@H](O)CNc1ccc([N+](=O)[O-])cc1COC ZINC000080638627 347371897 /nfs/dbraw/zinc/37/18/97/347371897.db2.gz OHEGAHPMUUZTQE-IINYFYTJSA-N 0 0 282.340 2.560 20 5 CFBDRN CCOC(=O)[C@H](CC)Sc1nccc(C)c1[N+](=O)[O-] ZINC000080678452 347374159 /nfs/dbraw/zinc/37/41/59/347374159.db2.gz FUHVZCWQUFAANV-VIFPVBQESA-N 0 0 284.337 2.732 20 5 CFBDRN Cc1ccnc(NCCOCc2ccccc2)c1[N+](=O)[O-] ZINC000080686389 347375048 /nfs/dbraw/zinc/37/50/48/347375048.db2.gz CQDNNNGKBGDTQZ-UHFFFAOYSA-N 0 0 287.319 2.927 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2nccc(C)c2[N+](=O)[O-])C1(C)C ZINC000080699131 347376903 /nfs/dbraw/zinc/37/69/03/347376903.db2.gz IGCHIGISXAMOEG-HZMBPMFUSA-N 0 0 279.340 2.914 20 5 CFBDRN CO[C@]1(C)C[C@@H](N(C)c2ncccc2[N+](=O)[O-])C1(C)C ZINC000080760962 347378561 /nfs/dbraw/zinc/37/85/61/347378561.db2.gz JIUFIGOXFFYFKE-BXUZGUMPSA-N 0 0 279.340 2.630 20 5 CFBDRN Cc1nc2[nH]ccc2c(N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000080946899 347386483 /nfs/dbraw/zinc/38/64/83/347386483.db2.gz QYXRAGDXNHUXFT-UHFFFAOYSA-N 0 0 297.318 2.811 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)S[C@@H](C)C2)c1 ZINC000080949550 347387175 /nfs/dbraw/zinc/38/71/75/347387175.db2.gz XIWOXYYSWRELNX-PHIMTYICSA-N 0 0 294.376 2.869 20 5 CFBDRN Cc1sc(C(=O)NC[C@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000352289258 232664858 /nfs/dbraw/zinc/66/48/58/232664858.db2.gz HNVJSVXYMXZZCM-MRVPVSSYSA-N 0 0 268.338 2.741 20 5 CFBDRN Cc1ccc(CCNc2ncc([N+](=O)[O-])cc2C)cn1 ZINC000080981921 347393766 /nfs/dbraw/zinc/39/37/66/347393766.db2.gz JQRXLUNKYGZVNC-UHFFFAOYSA-N 0 0 272.308 2.656 20 5 CFBDRN CCc1ccc(C(=O)N2CCC23CCC3)cc1[N+](=O)[O-] ZINC000081416879 347417597 /nfs/dbraw/zinc/41/75/97/347417597.db2.gz WFHZAWJLYMTMTN-UHFFFAOYSA-N 0 0 274.320 2.926 20 5 CFBDRN CCC(CC)(CO)Nc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000081589877 347428140 /nfs/dbraw/zinc/42/81/40/347428140.db2.gz TVCWYIJGJMEZGO-UHFFFAOYSA-N 0 0 290.323 2.501 20 5 CFBDRN C[C@H](Nc1ncnc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000081589191 347428464 /nfs/dbraw/zinc/42/84/64/347428464.db2.gz JMPCEKQRQIKOOG-QMMMGPOBSA-N 0 0 258.281 2.748 20 5 CFBDRN Cc1noc(C)c1N(C)Cc1ccc([N+](=O)[O-])cc1 ZINC000081601785 347428991 /nfs/dbraw/zinc/42/89/91/347428991.db2.gz MQLIEEXLGVCTJG-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN Cc1c(NC(=O)c2nccs2)cccc1[N+](=O)[O-] ZINC000082269504 347442853 /nfs/dbraw/zinc/44/28/53/347442853.db2.gz NTUPNYVEPGRHIZ-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN COc1ccc(CS[C@@H](C)C(C)=O)cc1[N+](=O)[O-] ZINC000083124614 347448700 /nfs/dbraw/zinc/44/87/00/347448700.db2.gz TUWXVQGKQIHDMU-VIFPVBQESA-N 0 0 269.322 2.814 20 5 CFBDRN COC[C@@H](Nc1ncnc2ccc([N+](=O)[O-])cc21)C(C)C ZINC000086702541 347488264 /nfs/dbraw/zinc/48/82/64/347488264.db2.gz KWWBTCMAPHMAMK-CYBMUJFWSA-N 0 0 290.323 2.621 20 5 CFBDRN CCOCCCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000086167435 179242131 /nfs/dbraw/zinc/24/21/31/179242131.db2.gz GCSXVWWOQHTFID-UHFFFAOYSA-N 0 0 280.324 2.967 20 5 CFBDRN CCc1noc(CNc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000085289033 347478499 /nfs/dbraw/zinc/47/84/99/347478499.db2.gz GCYLQSQRHZMNOU-UHFFFAOYSA-N 0 0 276.296 2.769 20 5 CFBDRN O=C(c1cc2ccccc2c2cccnc12)N1CC[C@H](O)C1 ZINC000085380962 347479823 /nfs/dbraw/zinc/47/98/23/347479823.db2.gz PIBAYQLJVQVQEC-ZDUSSCGKSA-N 0 0 292.338 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCc3nc(N)sc3C2)c1 ZINC000088700765 347507057 /nfs/dbraw/zinc/50/70/57/347507057.db2.gz AVMAYHNLDVPEDJ-UHFFFAOYSA-N 0 0 290.348 2.505 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCCSCC2)c1 ZINC000088701256 347507210 /nfs/dbraw/zinc/50/72/10/347507210.db2.gz LEVFXWBVIDHMHA-UHFFFAOYSA-N 0 0 252.339 2.847 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCC(=O)OC(C)C)c1 ZINC000088698966 347507230 /nfs/dbraw/zinc/50/72/30/347507230.db2.gz QEFLEXJBLMSSON-UHFFFAOYSA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2cccnc2N(C)C)c1 ZINC000088700862 347507431 /nfs/dbraw/zinc/50/74/31/347507431.db2.gz XLXSNVPASVEHNG-UHFFFAOYSA-N 0 0 286.335 2.976 20 5 CFBDRN COC[C@@H]1CCCN1c1cc(C)ccc1[N+](=O)[O-] ZINC000088727304 347508448 /nfs/dbraw/zinc/50/84/48/347508448.db2.gz RMQHNSSRNULGTB-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CCc1nsc(N2CCc3ccc([N+](=O)[O-])cc3C2)n1 ZINC000088763275 347509926 /nfs/dbraw/zinc/50/99/26/347509926.db2.gz FJFDYLTXRMQYKR-UHFFFAOYSA-N 0 0 290.348 2.571 20 5 CFBDRN Cc1cnc(N(C)Cc2ccc(O)cc2)c([N+](=O)[O-])c1 ZINC000089635076 347524347 /nfs/dbraw/zinc/52/43/47/347524347.db2.gz QRGVNBYEEZGUEM-UHFFFAOYSA-N 0 0 273.292 2.640 20 5 CFBDRN CC[C@H](C)C(=O)CSc1ccc([N+](=O)[O-])cn1 ZINC000089692508 347526534 /nfs/dbraw/zinc/52/65/34/347526534.db2.gz REVSQBPOJZUDJY-QMMMGPOBSA-N 0 0 254.311 2.697 20 5 CFBDRN O=C(Nc1cncc2ccccc21)c1ccc([N+](=O)[O-])o1 ZINC000089762638 347527782 /nfs/dbraw/zinc/52/77/82/347527782.db2.gz PWLUUPQRXNMUAN-UHFFFAOYSA-N 0 0 283.243 2.988 20 5 CFBDRN O=[N+]([O-])c1cccc(CCc2nc(C3CC3)no2)c1 ZINC000088336117 347501870 /nfs/dbraw/zinc/50/18/70/347501870.db2.gz YGMIHHGIVUZALQ-UHFFFAOYSA-N 0 0 259.265 2.640 20 5 CFBDRN Cc1cnc(NCCOC2CCCC2)c([N+](=O)[O-])c1 ZINC000090692370 347554673 /nfs/dbraw/zinc/55/46/73/347554673.db2.gz UKJIMQZEEYQMEI-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN Cc1nc(CNc2ncc(C)cc2[N+](=O)[O-])cs1 ZINC000090692125 347554676 /nfs/dbraw/zinc/55/46/76/347554676.db2.gz SLSMPSWAWGFLFR-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCc2cc(C)on2)c1 ZINC000090794575 347557647 /nfs/dbraw/zinc/55/76/47/347557647.db2.gz DYHKQKMGGGGVRU-UHFFFAOYSA-N 0 0 263.253 2.512 20 5 CFBDRN CCn1ccnc(Oc2ccc([N+](=O)[O-])c(Cl)c2)c1=O ZINC000091008498 347565229 /nfs/dbraw/zinc/56/52/29/347565229.db2.gz GWRNQNLUCQPHAH-UHFFFAOYSA-N 0 0 295.682 2.617 20 5 CFBDRN CCOc1cc(S[C@H](C)CCO)ccc1[N+](=O)[O-] ZINC000091263833 347580662 /nfs/dbraw/zinc/58/06/62/347580662.db2.gz XWHGASYNJSZETD-SECBINFHSA-N 0 0 271.338 2.857 20 5 CFBDRN COc1cc(NCC2(O)CCCCC2)c([N+](=O)[O-])cc1F ZINC000091477577 347588468 /nfs/dbraw/zinc/58/84/68/347588468.db2.gz MHHLXQMKCGPDAE-UHFFFAOYSA-N 0 0 298.314 2.850 20 5 CFBDRN CCc1nn(C)c(N2CCC3(CCCC3)C2)c1[N+](=O)[O-] ZINC000091728312 347596375 /nfs/dbraw/zinc/59/63/75/347596375.db2.gz YZJRAYDPQCTVGK-UHFFFAOYSA-N 0 0 278.356 2.661 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCO[C@H]1C ZINC000092309092 347618265 /nfs/dbraw/zinc/61/82/65/347618265.db2.gz XQLNUEUEHZTWHA-WDEREUQCSA-N 0 0 278.308 2.575 20 5 CFBDRN C[C@@H](CO)CSc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092658014 347637619 /nfs/dbraw/zinc/63/76/19/347637619.db2.gz RVRJAZOEDYAZFV-ZETCQYMHSA-N 0 0 293.291 2.917 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000092656687 347637734 /nfs/dbraw/zinc/63/77/34/347637734.db2.gz XSMGHIFLCNITRR-JTQLQIEISA-N 0 0 290.266 2.625 20 5 CFBDRN COC[C@H]1CCCN1c1ccc([N+](=O)[O-])c(C)c1 ZINC000092665650 347638634 /nfs/dbraw/zinc/63/86/34/347638634.db2.gz HBTNOMXWRBTQQV-GFCCVEGCSA-N 0 0 250.298 2.518 20 5 CFBDRN CCOC(=O)C[C@H](C)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000092684227 347640559 /nfs/dbraw/zinc/64/05/59/347640559.db2.gz CVZBXGKGQDLTGM-JTQLQIEISA-N 0 0 266.297 2.657 20 5 CFBDRN Cc1cc(N[C@H]2CCCCC[C@@H]2C(N)=O)ccc1[N+](=O)[O-] ZINC000092686783 347641026 /nfs/dbraw/zinc/64/10/26/347641026.db2.gz NIWSVTLKXZTOJF-STQMWFEESA-N 0 0 291.351 2.749 20 5 CFBDRN Cc1cnc(N[C@H](c2nccc(C)n2)C2CC2)c([N+](=O)[O-])c1 ZINC000092692604 347641721 /nfs/dbraw/zinc/64/17/21/347641721.db2.gz GXSSZFRQTSSABZ-ZDUSSCGKSA-N 0 0 299.334 2.960 20 5 CFBDRN CCc1nn(C)c(N[C@H]2CCc3ccccc32)c1[N+](=O)[O-] ZINC000092827594 347648438 /nfs/dbraw/zinc/64/84/38/347648438.db2.gz ZOTTVMQPQVZDCH-ZDUSSCGKSA-N 0 0 286.335 2.990 20 5 CFBDRN CCOC[C@H]1CCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000093418872 347674427 /nfs/dbraw/zinc/67/44/27/347674427.db2.gz AESQCKAJTAEKIL-LBPRGKRZSA-N 0 0 294.351 2.549 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CC=CCC2)c1[N+](=O)[O-] ZINC000094150658 347692710 /nfs/dbraw/zinc/69/27/10/347692710.db2.gz CLFKYAONZZIEJM-SNVBAGLBSA-N 0 0 264.329 2.659 20 5 CFBDRN C[C@]1(CNc2ncnc3ccc([N+](=O)[O-])cc32)CCCO1 ZINC000094804865 347696860 /nfs/dbraw/zinc/69/68/60/347696860.db2.gz ILOSDMNPQUIGDH-CQSZACIVSA-N 0 0 288.307 2.519 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@]2(C)CCCO2)c1 ZINC000095015777 347697601 /nfs/dbraw/zinc/69/76/01/347697601.db2.gz KKCWZVAISAXEPM-ZDUSSCGKSA-N 0 0 266.297 2.584 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC[C@H](CCO)C1 ZINC000096832855 347705945 /nfs/dbraw/zinc/70/59/45/347705945.db2.gz WNUFDYWFDFHBLC-GFCCVEGCSA-N 0 0 264.325 2.502 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000101998435 347716240 /nfs/dbraw/zinc/71/62/40/347716240.db2.gz ORMPAQYGYXPHMP-GHMZBOCLSA-N 0 0 279.340 2.829 20 5 CFBDRN CNC(=O)c1ccc(NCC2CCCCC2)c([N+](=O)[O-])c1 ZINC000108876665 347743737 /nfs/dbraw/zinc/74/37/37/347743737.db2.gz RPNBEFTVMXFHNY-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccccc2CO)cc1 ZINC000112075665 347758836 /nfs/dbraw/zinc/75/88/36/347758836.db2.gz YCVGTDVEYMFZCE-UHFFFAOYSA-N 0 0 258.277 2.699 20 5 CFBDRN CCOC(=O)CCCCOc1ccc([N+](=O)[O-])cc1C=O ZINC000128642756 187381507 /nfs/dbraw/zinc/38/15/07/187381507.db2.gz PAUWJIIJYKPFCN-UHFFFAOYSA-N 0 0 295.291 2.520 20 5 CFBDRN CCNc1ccc(C(=O)NCCCC2CC2)cc1[N+](=O)[O-] ZINC000128288686 347849578 /nfs/dbraw/zinc/84/95/78/347849578.db2.gz XFCVJTQXFUYXFO-UHFFFAOYSA-N 0 0 291.351 2.947 20 5 CFBDRN C[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])C1CCC1 ZINC000129676839 347863749 /nfs/dbraw/zinc/86/37/49/347863749.db2.gz LXDYHHRBXDTWMK-QMMMGPOBSA-N 0 0 266.272 2.652 20 5 CFBDRN Cn1c(C(=O)N[C@@H]2C[C@H]2C2CCCCC2)ccc1[N+](=O)[O-] ZINC000345492758 282570050 /nfs/dbraw/zinc/57/00/50/282570050.db2.gz MOXHMTAZOWLGCU-NWDGAFQWSA-N 0 0 291.351 2.632 20 5 CFBDRN COc1cc(-c2nc(C(C)C)no2)ccc1[N+](=O)[O-] ZINC000130541769 347871884 /nfs/dbraw/zinc/87/18/84/347871884.db2.gz JLYWVPSRCXDKGL-UHFFFAOYSA-N 0 0 263.253 2.777 20 5 CFBDRN CSCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000134398894 347897253 /nfs/dbraw/zinc/89/72/53/347897253.db2.gz LBLDMEYCIWNHJF-VIFPVBQESA-N 0 0 297.380 2.512 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(NC3CCCC3)cc2N1 ZINC000131962816 347881896 /nfs/dbraw/zinc/88/18/96/347881896.db2.gz JOMILMLQJHKGME-UHFFFAOYSA-N 0 0 275.308 2.834 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2nc(CCC3CC3)no2)cc1 ZINC000273941399 192371794 /nfs/dbraw/zinc/37/17/94/192371794.db2.gz IFQDPONKKPHSCE-UHFFFAOYSA-N 0 0 289.291 2.899 20 5 CFBDRN CCc1noc(Cn2ccc3c2cccc3[N+](=O)[O-])n1 ZINC000135120966 347904394 /nfs/dbraw/zinc/90/43/94/347904394.db2.gz HHSHVGFZQSUTQU-UHFFFAOYSA-N 0 0 272.264 2.543 20 5 CFBDRN Cc1cc(C(=O)N(C)c2cccc(C)n2)cc([N+](=O)[O-])c1 ZINC000135228703 347904811 /nfs/dbraw/zinc/90/48/11/347904811.db2.gz VEDXHVNNOHWUEN-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CCc1cc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)n[nH]1 ZINC000138774701 347917347 /nfs/dbraw/zinc/91/73/47/347917347.db2.gz NIFOXDBAIPCUOG-UHFFFAOYSA-N 0 0 299.290 2.614 20 5 CFBDRN C[C@H]1CC[C@@H](CCC(=O)N(C)c2cccc([N+](=O)[O-])c2)O1 ZINC000158274017 348006108 /nfs/dbraw/zinc/00/61/08/348006108.db2.gz OGLLOVCHCWJLLI-FZMZJTMJSA-N 0 0 292.335 2.905 20 5 CFBDRN CN(C(=O)[C@@H]1C[C@@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000158274916 348006182 /nfs/dbraw/zinc/00/61/82/348006182.db2.gz MRRKQEFHNXHADR-CHWSQXEVSA-N 0 0 260.293 2.604 20 5 CFBDRN CCn1nccc1CNc1cc(C)ccc1[N+](=O)[O-] ZINC000160197083 348016066 /nfs/dbraw/zinc/01/60/66/348016066.db2.gz RAENAVNDPDLLIC-UHFFFAOYSA-N 0 0 260.297 2.732 20 5 CFBDRN CCC(C)(C)C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000171159688 348070190 /nfs/dbraw/zinc/07/01/90/348070190.db2.gz LPBMWPRFPXQJRB-UHFFFAOYSA-N 0 0 250.298 2.647 20 5 CFBDRN CCNc1ccc(C(=O)NC[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000162786369 348032011 /nfs/dbraw/zinc/03/20/11/348032011.db2.gz MYZXKDZNNGDPRR-JTQLQIEISA-N 0 0 291.351 2.803 20 5 CFBDRN O=C([O-])CC[C@@H]1CCC[N@H+](Cc2csc([N+](=O)[O-])c2)C1 ZINC000086809242 179340681 /nfs/dbraw/zinc/34/06/81/179340681.db2.gz KLQQBMIDMPICRV-JTQLQIEISA-N 0 0 298.364 2.733 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccccc2-c2nc[nH]n2)cc1 ZINC000165350588 348038779 /nfs/dbraw/zinc/03/87/79/348038779.db2.gz HHVLHZSLVBQEBF-UHFFFAOYSA-N 0 0 296.286 2.959 20 5 CFBDRN C[C@@H]1C[C@@H](C)N(C(=O)[C@@H]2C[C@H]2c2ccc([N+](=O)[O-])cc2)C1 ZINC000412121471 232849174 /nfs/dbraw/zinc/84/91/74/232849174.db2.gz ITGFYJSLOCZPIH-HKCMKHECSA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1cc(=O)n(CCOC2CCCCCC2)cc1[N+](=O)[O-] ZINC000128717117 187386195 /nfs/dbraw/zinc/38/61/95/187386195.db2.gz ZJFGAJZOHLSAIH-UHFFFAOYSA-N 0 0 294.351 2.804 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000225426803 348177413 /nfs/dbraw/zinc/17/74/13/348177413.db2.gz LFRJLYIMNULYGV-VIFPVBQESA-N 0 0 276.214 2.666 20 5 CFBDRN Cc1ccc(N[C@@H]2CCCOCC2)c([N+](=O)[O-])c1 ZINC000086871765 179363962 /nfs/dbraw/zinc/36/39/62/179363962.db2.gz XGBCIHNRGXWVEM-LLVKDONJSA-N 0 0 250.298 2.884 20 5 CFBDRN COc1ccc(NCc2cccc([N+](=O)[O-])c2)nc1 ZINC000225894925 348180539 /nfs/dbraw/zinc/18/05/39/348180539.db2.gz XBBFKWSFDJIVFQ-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC2CCC(O)CC2)c1 ZINC000227704849 348190337 /nfs/dbraw/zinc/19/03/37/348190337.db2.gz DSFIVVSMSDOAQF-UHFFFAOYSA-N 0 0 264.325 2.866 20 5 CFBDRN CCC[C@@](C)(O)CNc1ncnc2ccc([N+](=O)[O-])cc21 ZINC000227865458 348190965 /nfs/dbraw/zinc/19/09/65/348190965.db2.gz WNYAIDNPIOFCNE-CQSZACIVSA-N 0 0 290.323 2.501 20 5 CFBDRN CO[C@@H]1C[C@@H](Nc2ccccc2[N+](=O)[O-])C1(C)C ZINC000227822344 348191068 /nfs/dbraw/zinc/19/10/68/348191068.db2.gz PWWBYYRVBHPONO-VXGBXAGGSA-N 0 0 250.298 2.820 20 5 CFBDRN CO[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000227821149 348191151 /nfs/dbraw/zinc/19/11/51/348191151.db2.gz BBYFKRKJWHPSKX-NEPJUHHUSA-N 0 0 250.298 2.820 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000227867286 348191646 /nfs/dbraw/zinc/19/16/46/348191646.db2.gz SJQRRXKLVWDUIG-UWVGGRQHSA-N 0 0 288.307 2.517 20 5 CFBDRN CCO[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C(C)=O)c2)C1 ZINC000228258777 348194780 /nfs/dbraw/zinc/19/47/80/348194780.db2.gz WLYCTAXTSFOFIG-CYBMUJFWSA-N 0 0 292.335 2.803 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H](O)CC2CCCC2)c1 ZINC000232147939 348210356 /nfs/dbraw/zinc/21/03/56/348210356.db2.gz HABPWMADUHDYCA-GFCCVEGCSA-N 0 0 295.335 2.923 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)NC(C1CC1)C1CC1 ZINC000087048099 179388339 /nfs/dbraw/zinc/38/83/39/179388339.db2.gz LFHUEFJZZMREQM-UHFFFAOYSA-N 0 0 288.347 2.832 20 5 CFBDRN CC1=CCN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)CC1 ZINC000270925373 190870978 /nfs/dbraw/zinc/87/09/78/190870978.db2.gz VACVLIDRHJZQAY-UHFFFAOYSA-N 0 0 297.314 2.935 20 5 CFBDRN C[C@@H]1CCC[C@H](Nc2c([N+](=O)[O-])ncn2C)[C@H]1C ZINC000252222791 348295501 /nfs/dbraw/zinc/29/55/01/348295501.db2.gz ZMTDVDXAAAVGPC-UTLUCORTSA-N 0 0 252.318 2.565 20 5 CFBDRN CS[C@@H](C)CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 ZINC000128845950 187393849 /nfs/dbraw/zinc/39/38/49/187393849.db2.gz MLHRXPIBUGAXBG-QMMMGPOBSA-N 0 0 295.364 2.643 20 5 CFBDRN CN(C(=O)C[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000087069762 179391310 /nfs/dbraw/zinc/39/13/10/179391310.db2.gz DQRWBZOCGXSCEZ-CYBMUJFWSA-N 0 0 278.308 2.517 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2C[C@H](C)O[C@@H]2C)cc1[N+](=O)[O-] ZINC000412687667 232942915 /nfs/dbraw/zinc/94/29/15/232942915.db2.gz OBNPHPFCZAUVPD-XKSSXDPKSA-N 0 0 298.364 2.508 20 5 CFBDRN O=C(NCCC1CC1)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000266479079 348324531 /nfs/dbraw/zinc/32/45/31/348324531.db2.gz NRPSPWOSSPJLHU-UHFFFAOYSA-N 0 0 274.276 2.871 20 5 CFBDRN C[C@H]1CC(C)(C)CN1C(=O)COc1cccc([N+](=O)[O-])c1 ZINC000412786069 232960278 /nfs/dbraw/zinc/96/02/78/232960278.db2.gz UUQRXCXKYXMIFE-NSHDSACASA-N 0 0 292.335 2.621 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCCC1CC1 ZINC000282785779 348343970 /nfs/dbraw/zinc/34/39/70/348343970.db2.gz PFLACFOWMIUAQN-UHFFFAOYSA-N 0 0 291.351 2.579 20 5 CFBDRN COC1(CNc2c(Cl)cncc2[N+](=O)[O-])CCC1 ZINC000302520898 348373927 /nfs/dbraw/zinc/37/39/27/348373927.db2.gz DRNFVYCNOHAVPG-UHFFFAOYSA-N 0 0 271.704 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H]1CF ZINC000292809907 348359174 /nfs/dbraw/zinc/35/91/74/348359174.db2.gz OAOJKNKCQUUOOA-QMMMGPOBSA-N 0 0 259.668 2.582 20 5 CFBDRN COc1cc(NC[C@@](C)(O)C(C)C)c([N+](=O)[O-])cc1C ZINC000312957543 348420841 /nfs/dbraw/zinc/42/08/41/348420841.db2.gz IWKCUBNWEBZVMZ-CQSZACIVSA-N 0 0 282.340 2.731 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCCCOC(F)F ZINC000310030445 348408667 /nfs/dbraw/zinc/40/86/67/348408667.db2.gz QWSFLIFEGBHSCS-UHFFFAOYSA-N 0 0 252.242 2.698 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCS[C@H]2C)c1[N+](=O)[O-] ZINC000310453035 348409490 /nfs/dbraw/zinc/40/94/90/348409490.db2.gz CBHQWHLKADOEGQ-DTWKUNHWSA-N 0 0 253.327 2.604 20 5 CFBDRN COc1cc(N[C@@H]2CCS[C@H]2C)ccc1[N+](=O)[O-] ZINC000311120624 348411453 /nfs/dbraw/zinc/41/14/53/348411453.db2.gz ZAYNBLBCGWXCDZ-WCBMZHEXSA-N 0 0 268.338 2.909 20 5 CFBDRN C[C@H](Nc1ccc(N)c([N+](=O)[O-])n1)C1CCCC1 ZINC000311024408 348411843 /nfs/dbraw/zinc/41/18/43/348411843.db2.gz ZTWZIFPSDJKSEK-QMMMGPOBSA-N 0 0 250.302 2.563 20 5 CFBDRN CCS[C@@H]1CCC[C@@H]1Nc1ccc(N)c([N+](=O)[O-])n1 ZINC000311619735 348413882 /nfs/dbraw/zinc/41/38/82/348413882.db2.gz HARPQEFSWBHXIL-VHSXEESVSA-N 0 0 282.369 2.658 20 5 CFBDRN CN(Cc1ccc(Cl)s1)c1ccc(N)c([N+](=O)[O-])n1 ZINC000311762746 348414848 /nfs/dbraw/zinc/41/48/48/348414848.db2.gz FEYYPVJPRQWZDB-UHFFFAOYSA-N 0 0 298.755 2.923 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCC[C@H]2CCCO2)s1 ZINC000312277990 348417055 /nfs/dbraw/zinc/41/70/55/348417055.db2.gz NOYCQQVTSJNCTA-MRVPVSSYSA-N 0 0 294.336 2.581 20 5 CFBDRN CC[C@@H]1CC[C@H](C)N(c2ccc(N)c([N+](=O)[O-])n2)C1 ZINC000312871158 348421304 /nfs/dbraw/zinc/42/13/04/348421304.db2.gz CWYDRCXGRVUEGB-VHSXEESVSA-N 0 0 264.329 2.587 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)F)ccc1NCCn1ccnc1 ZINC000312457072 348418212 /nfs/dbraw/zinc/41/82/12/348418212.db2.gz YBTLSEBQYUACHR-UHFFFAOYSA-N 0 0 282.250 2.841 20 5 CFBDRN Cc1ccnc(CNc2ncnc3ccc([N+](=O)[O-])cc32)c1 ZINC000328064659 348440783 /nfs/dbraw/zinc/44/07/83/348440783.db2.gz CFLBXPDMCJWBCF-UHFFFAOYSA-N 0 0 295.302 2.854 20 5 CFBDRN CC[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273378548 192131515 /nfs/dbraw/zinc/13/15/15/192131515.db2.gz HZUVFOCDGPACDV-ZETCQYMHSA-N 0 0 255.249 2.654 20 5 CFBDRN CC[C@@H](Nc1c([N+](=O)[O-])nc(C)n1CC)C1CC1 ZINC000323882161 348438591 /nfs/dbraw/zinc/43/85/91/348438591.db2.gz NNJXIBAYEDFFFZ-SNVBAGLBSA-N 0 0 252.318 2.720 20 5 CFBDRN Cc1nn(C)c(NCCCC(C)(C)C)c1[N+](=O)[O-] ZINC000313447900 348422707 /nfs/dbraw/zinc/42/27/07/348422707.db2.gz UNEPMZWWAPVKNX-UHFFFAOYSA-N 0 0 254.334 2.875 20 5 CFBDRN CC(C)N(C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273367627 192127974 /nfs/dbraw/zinc/12/79/74/192127974.db2.gz GFSMVXXQZCGAHP-UHFFFAOYSA-N 0 0 255.249 2.606 20 5 CFBDRN COc1cc(NC[C@H]2CCC[C@@H](O)C2)c([N+](=O)[O-])cc1C ZINC000314715328 348427221 /nfs/dbraw/zinc/42/72/21/348427221.db2.gz IKWRPACOSCPEOH-NWDGAFQWSA-N 0 0 294.351 2.875 20 5 CFBDRN CC1(C)C[C@H](c2noc(-c3cc([N+](=O)[O-])c[nH]3)n2)CCO1 ZINC000351171642 348486579 /nfs/dbraw/zinc/48/65/79/348486579.db2.gz CBYRCZARRCLDSS-MRVPVSSYSA-N 0 0 292.295 2.646 20 5 CFBDRN CCO[C@H]1C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C1 ZINC000596819580 349993192 /nfs/dbraw/zinc/99/31/92/349993192.db2.gz BLLKTSJHJJUVAB-HAQNSBGRSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2COC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000596820914 349993209 /nfs/dbraw/zinc/99/32/09/349993209.db2.gz OAUCAUNUGYOERZ-LLVKDONJSA-N 0 0 293.323 2.592 20 5 CFBDRN COC[C@H]1CCN(c2ccc([N+](=O)[O-])c3ncccc23)C1 ZINC000413151988 233025044 /nfs/dbraw/zinc/02/50/44/233025044.db2.gz HCNUQZBWIFFZTK-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(/C=C/[C@@H]3CCCO3)n2)cc1 ZINC000351296713 348491712 /nfs/dbraw/zinc/49/17/12/348491712.db2.gz NRNYIRYOLUTKRD-GUOLPTJISA-N 0 0 287.275 2.837 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1NC(=O)NC1CC(C)(C)C1 ZINC000596845498 349996668 /nfs/dbraw/zinc/99/66/68/349996668.db2.gz WEWNEPSCHFDQGN-UHFFFAOYSA-N 0 0 293.323 2.914 20 5 CFBDRN CCOC1(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CCC1 ZINC000596846268 349996720 /nfs/dbraw/zinc/99/67/20/349996720.db2.gz LWJRZXYNJWVCAA-LLVKDONJSA-N 0 0 292.335 2.731 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc([C@@H]2C[C@@H]2C)no1 ZINC000350167417 348472768 /nfs/dbraw/zinc/47/27/68/348472768.db2.gz YZEYYDCPHYHNQN-OIBJUYFYSA-N 0 0 275.264 2.777 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1-c1nc([C@H]2C[C@@H]2C)no1 ZINC000350167419 348472771 /nfs/dbraw/zinc/47/27/71/348472771.db2.gz YZEYYDCPHYHNQN-XVKPBYJWSA-N 0 0 275.264 2.777 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000596775491 349988649 /nfs/dbraw/zinc/98/86/49/349988649.db2.gz COPALCZQWNFJOV-BNOWGMLFSA-N 0 0 288.347 2.989 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CCC1(C)C ZINC000596775772 349988924 /nfs/dbraw/zinc/98/89/24/349988924.db2.gz FDUBPUOHOHFOMB-LBPRGKRZSA-N 0 0 276.336 2.989 20 5 CFBDRN C[C@H]1C[C@H]1c1noc(Cc2ccc(F)cc2[N+](=O)[O-])n1 ZINC000351427356 348496119 /nfs/dbraw/zinc/49/61/19/348496119.db2.gz QSIILALWNSXKRL-OIBJUYFYSA-N 0 0 277.255 2.831 20 5 CFBDRN COC/C(C)=C/c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000351427936 348496212 /nfs/dbraw/zinc/49/62/12/348496212.db2.gz ZFAFTQVAMJWBNZ-JXMROGBWSA-N 0 0 289.291 2.618 20 5 CFBDRN COC[C@@H](C)Cc1noc(-c2cccc([N+](=O)[O-])c2C)n1 ZINC000351454603 348497224 /nfs/dbraw/zinc/49/72/24/348497224.db2.gz YKIIVDVPNXOCFG-VIFPVBQESA-N 0 0 291.307 2.778 20 5 CFBDRN COc1cc(NC[C@]2(C)CCCO2)c([N+](=O)[O-])cc1F ZINC000128917295 187398579 /nfs/dbraw/zinc/39/85/79/187398579.db2.gz HHUDUNVEURLCQH-ZDUSSCGKSA-N 0 0 284.287 2.724 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000596868850 349999682 /nfs/dbraw/zinc/99/96/82/349999682.db2.gz NMFTUQBMXNCXGK-IACUBPJLSA-N 0 0 274.320 2.647 20 5 CFBDRN CCCCOCCCNc1ncc(F)cc1[N+](=O)[O-] ZINC000401150815 348577121 /nfs/dbraw/zinc/57/71/21/348577121.db2.gz FHQZKBMQSKUADZ-UHFFFAOYSA-N 0 0 271.292 2.748 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@@H]1CCCCS1 ZINC000401122507 348577240 /nfs/dbraw/zinc/57/72/40/348577240.db2.gz JQFXKIRRKDDBCO-VIFPVBQESA-N 0 0 271.317 2.827 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OCCC[C@H]1CCOC1 ZINC000401123028 348577292 /nfs/dbraw/zinc/57/72/92/348577292.db2.gz KGYGVDQMFFLENU-LBPRGKRZSA-N 0 0 293.319 2.993 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCC[C@H]1CCCCO1 ZINC000401167054 348577834 /nfs/dbraw/zinc/57/78/34/348577834.db2.gz PKLMYWVKKXRLMH-SNVBAGLBSA-N 0 0 269.276 2.500 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NC[C@@H]1CCCSC1 ZINC000401191974 348577907 /nfs/dbraw/zinc/57/79/07/348577907.db2.gz SBYCDYZMAJAAQG-QMMMGPOBSA-N 0 0 271.317 2.684 20 5 CFBDRN COC1(CNc2ncc(F)cc2[N+](=O)[O-])CCCC1 ZINC000401173777 348578168 /nfs/dbraw/zinc/57/81/68/348578168.db2.gz VLBPOPADXJZIAK-UHFFFAOYSA-N 0 0 269.276 2.500 20 5 CFBDRN COC1(CCNc2ncc(F)cc2[N+](=O)[O-])CCC1 ZINC000401165128 348578253 /nfs/dbraw/zinc/57/82/53/348578253.db2.gz NWNFLWBYQCDEPF-UHFFFAOYSA-N 0 0 269.276 2.500 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c(Cl)cccc2[N+](=O)[O-])CO1 ZINC000402392112 348581683 /nfs/dbraw/zinc/58/16/83/348581683.db2.gz VCHGAMKYPCJEGB-HTQZYQBOSA-N 0 0 256.689 2.838 20 5 CFBDRN C[C@@H]1C[C@H](Nc2ccnc3c2cccc3[N+](=O)[O-])CO1 ZINC000402241219 348581771 /nfs/dbraw/zinc/58/17/71/348581771.db2.gz XXWOJHCCKLMMOR-ZJUUUORDSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1nc(NC[C@@H]2CC[C@@H]3C[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000403479245 348585250 /nfs/dbraw/zinc/58/52/50/348585250.db2.gz RFNHDAIAYQIWMD-UTUOFQBUSA-N 0 0 261.325 2.568 20 5 CFBDRN CCc1nn(C)c(NC[C@@H]2CC[C@H]3C[C@H]3C2)c1[N+](=O)[O-] ZINC000403576424 348585873 /nfs/dbraw/zinc/58/58/73/348585873.db2.gz YVORPMCKLMSXNJ-OUAUKWLOSA-N 0 0 278.356 2.739 20 5 CFBDRN C[C@H](NCc1ccns1)c1ccccc1[N+](=O)[O-] ZINC000404391267 348591188 /nfs/dbraw/zinc/59/11/88/348591188.db2.gz PPLGVDKHDAKFBF-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N(CCCO)C1CC1 ZINC000404334340 348591422 /nfs/dbraw/zinc/59/14/22/348591422.db2.gz DZHWBTSHUGZLIY-UHFFFAOYSA-N 0 0 270.716 2.599 20 5 CFBDRN O=[N+]([O-])c1ccc(CN(CCCO)C2CC2)c(Cl)c1 ZINC000404333842 348591551 /nfs/dbraw/zinc/59/15/51/348591551.db2.gz DTOSNCLBYDBBPD-UHFFFAOYSA-N 0 0 284.743 2.595 20 5 CFBDRN C[C@H](C[C@H](C)O)Nc1ncc([N+](=O)[O-])cc1C(F)(F)F ZINC000413246842 233040974 /nfs/dbraw/zinc/04/09/74/233040974.db2.gz PGBNPPXJXIDFOQ-RQJHMYQMSA-N 0 0 293.245 2.580 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(C)(C)C[C@@H]1C ZINC000584576981 348679571 /nfs/dbraw/zinc/67/95/71/348679571.db2.gz UQKRJUSVGCTWDJ-VIFPVBQESA-N 0 0 266.345 2.744 20 5 CFBDRN CCOC(=O)[C@@H](Oc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000584602806 348686514 /nfs/dbraw/zinc/68/65/14/348686514.db2.gz AZHPRSGHMPCRCZ-ZDUSSCGKSA-N 0 0 279.292 2.624 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CCC2(CCOC2)CC1 ZINC000588040038 348768103 /nfs/dbraw/zinc/76/81/03/348768103.db2.gz FQODVHCFPCTKFX-UHFFFAOYSA-N 0 0 297.742 2.650 20 5 CFBDRN O=[N+]([O-])c1ncccc1NCCc1ccc2c[nH]nc2c1 ZINC000588048300 348768630 /nfs/dbraw/zinc/76/86/30/348768630.db2.gz BOPMXGHCLHQRJW-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H]1CCCC1(F)F ZINC000588180157 348778185 /nfs/dbraw/zinc/77/81/85/348778185.db2.gz KNKDQSZRKONNHH-LBPRGKRZSA-N 0 0 298.289 2.831 20 5 CFBDRN COC(=O)c1ccc(OCC2CCC2)cc1[N+](=O)[O-] ZINC000613110162 539663523 /nfs/dbraw/zinc/66/35/23/539663523.db2.gz UTFJVZWZJQXJER-UHFFFAOYSA-N 0 0 265.265 2.560 20 5 CFBDRN CCOC1CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC1 ZINC000588813830 348797068 /nfs/dbraw/zinc/79/70/68/348797068.db2.gz UDYCZVVJNPYLRD-UHFFFAOYSA-N 0 0 292.335 2.803 20 5 CFBDRN CCc1cc(Nc2ccncc2[N+](=O)[O-])ccc1F ZINC000588840231 348797516 /nfs/dbraw/zinc/79/75/16/348797516.db2.gz ULTOFNVLVNMJFO-UHFFFAOYSA-N 0 0 261.256 2.857 20 5 CFBDRN CO[C@@H]1C[C@H](Nc2cc(C)c([N+](=O)[O-])cn2)C12CCC2 ZINC000413439507 233072908 /nfs/dbraw/zinc/07/29/08/233072908.db2.gz KBUGMCLWPKXCDB-NWDGAFQWSA-N 0 0 277.324 2.668 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC1CCC(C(F)F)CC1 ZINC000413435165 233072939 /nfs/dbraw/zinc/07/29/39/233072939.db2.gz FDTIDYVZWKTSNQ-UHFFFAOYSA-N 0 0 274.271 2.564 20 5 CFBDRN C[C@H](CCO)SCc1c(F)cc([N+](=O)[O-])cc1F ZINC000586172092 348747110 /nfs/dbraw/zinc/74/71/10/348747110.db2.gz WKGFBODHANYLTE-SSDOTTSWSA-N 0 0 277.292 2.877 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)c1occ2c1CCC2 ZINC000586756747 348748351 /nfs/dbraw/zinc/74/83/51/348748351.db2.gz FPJGNIGXFAPDSI-UHFFFAOYSA-N 0 0 286.287 2.607 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CC[C@H](C(F)F)C2)c(F)c1 ZINC000586768405 348749523 /nfs/dbraw/zinc/74/95/23/348749523.db2.gz HMRJJRKRIFWHOM-ZETCQYMHSA-N 0 0 292.232 2.960 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(CN2CC=CCC2)c(F)c1 ZINC000586769048 348749886 /nfs/dbraw/zinc/74/98/86/348749886.db2.gz PVZXHINIJCYKLE-UHFFFAOYSA-N 0 0 254.236 2.635 20 5 CFBDRN Cc1cc(C(=O)N2CCC[C@@H]3C[C@@H]32)cc([N+](=O)[O-])c1 ZINC000586898234 348759909 /nfs/dbraw/zinc/75/99/09/348759909.db2.gz LHTFRJGPVOLSLJ-MFKMUULPSA-N 0 0 260.293 2.528 20 5 CFBDRN Nc1cc(NCC2CCCCC2)cc(CO)c1[N+](=O)[O-] ZINC000586932454 348760341 /nfs/dbraw/zinc/76/03/41/348760341.db2.gz JOJREMFFYFIZLX-UHFFFAOYSA-N 0 0 279.340 2.662 20 5 CFBDRN Cc1ccc(CNc2cc(N)c([N+](=O)[O-])c(CO)c2)s1 ZINC000587295838 348761975 /nfs/dbraw/zinc/76/19/75/348761975.db2.gz UQBHFHTUTOHQTC-UHFFFAOYSA-N 0 0 293.348 2.651 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1S[C@H](C)CCO ZINC000587987469 348763882 /nfs/dbraw/zinc/76/38/82/348763882.db2.gz YYNNUFSCILVPBS-SECBINFHSA-N 0 0 299.348 2.553 20 5 CFBDRN C[C@H]1CCN(c2cc(N)c([N+](=O)[O-])c(CO)c2)C[C@@H](C)C1 ZINC000588005591 348765623 /nfs/dbraw/zinc/76/56/23/348765623.db2.gz YQGCIEOJIBYTBD-QWRGUYRKSA-N 0 0 293.367 2.542 20 5 CFBDRN COc1cc(N2CC(F)(F)C(F)(F)C2)ccc1[N+](=O)[O-] ZINC000413405330 233068350 /nfs/dbraw/zinc/06/83/50/233068350.db2.gz QXXRQCCEVLYJBM-UHFFFAOYSA-N 0 0 294.204 2.694 20 5 CFBDRN C[C@@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@@H]1F ZINC000588013065 348766793 /nfs/dbraw/zinc/76/67/93/348766793.db2.gz VNJWJWBMIWYYAP-SCZZXKLOSA-N 0 0 256.252 2.918 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)cs1 ZINC000588984946 348807010 /nfs/dbraw/zinc/80/70/10/348807010.db2.gz XKGPELKLBHSQTL-UHFFFAOYSA-N 0 0 277.305 2.636 20 5 CFBDRN COC1([C@H](C)Nc2ccc([N+](=O)[O-])c(C)n2)CCC1 ZINC000413568366 233096622 /nfs/dbraw/zinc/09/66/22/233096622.db2.gz ZAGXCNUPWDADGU-JTQLQIEISA-N 0 0 265.313 2.668 20 5 CFBDRN O=[N+]([O-])c1cncc(Cl)c1N1CCCSCC1 ZINC000589108519 348810735 /nfs/dbraw/zinc/81/07/35/348810735.db2.gz CKPFZZDRQVHFSB-UHFFFAOYSA-N 0 0 273.745 2.587 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC1(C(F)F)CCCC1 ZINC000589117736 348811520 /nfs/dbraw/zinc/81/15/20/348811520.db2.gz MICLFNLPEOOFQV-UHFFFAOYSA-N 0 0 299.277 2.606 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000589113648 348811595 /nfs/dbraw/zinc/81/15/95/348811595.db2.gz XKICGOCKLHKSMQ-NQBHXWOUSA-N 0 0 292.335 2.973 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2c3c(ccc2[N+](=O)[O-])NCC3)CS1 ZINC000413507258 233086535 /nfs/dbraw/zinc/08/65/35/233086535.db2.gz CADIFAAMCDGJNS-RKDXNWHRSA-N 0 0 279.365 2.869 20 5 CFBDRN C[C@H]1C[C@@H](Nc2ncnc3cccc([N+](=O)[O-])c32)CS1 ZINC000413517118 233087525 /nfs/dbraw/zinc/08/75/25/233087525.db2.gz MDSCLUXXAVPKRY-DTWKUNHWSA-N 0 0 290.348 2.844 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@@H]2C[C@H](C)O[C@@H]2C)n1 ZINC000413526789 233089116 /nfs/dbraw/zinc/08/91/16/233089116.db2.gz CPOFYYAHGTVOSP-TUAOUCFPSA-N 0 0 279.340 2.832 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NC[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000413529911 233090083 /nfs/dbraw/zinc/09/00/83/233090083.db2.gz JDCHERGRZAQJOC-VWYCJHECSA-N 0 0 280.324 2.829 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccccc2[N+](=O)[O-])[C@H](C)O1 ZINC000413532721 233091468 /nfs/dbraw/zinc/09/14/68/233091468.db2.gz NEWABMUHRYALTK-VWYCJHECSA-N 0 0 250.298 2.820 20 5 CFBDRN Cc1ccnc(NC[C@@H]2C[C@H](C)O[C@@H]2C)c1[N+](=O)[O-] ZINC000413533244 233091765 /nfs/dbraw/zinc/09/17/65/233091765.db2.gz NYMRIFZTRGPPQY-AXFHLTTASA-N 0 0 265.313 2.524 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2ccc([N+](=O)[O-])cc2)[C@H](C)O1 ZINC000413541098 233092223 /nfs/dbraw/zinc/09/22/23/233092223.db2.gz VPAFBFPJBBEHAR-VWYCJHECSA-N 0 0 250.298 2.820 20 5 CFBDRN Cc1cnc(NC[C@@H]2C[C@@H](C)O[C@@H]2C)c([N+](=O)[O-])c1 ZINC000413541767 233092841 /nfs/dbraw/zinc/09/28/41/233092841.db2.gz WCSTYZFFRLHTAP-MXWKQRLJSA-N 0 0 265.313 2.524 20 5 CFBDRN COC1([C@@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])CCC1 ZINC000413556990 233095119 /nfs/dbraw/zinc/09/51/19/233095119.db2.gz FPUOMIYUZWSNBW-LLVKDONJSA-N 0 0 279.340 2.976 20 5 CFBDRN Cc1cc(N2CC(CO)(c3ccccc3)C2)ccc1[N+](=O)[O-] ZINC000413557614 233095695 /nfs/dbraw/zinc/09/56/95/233095695.db2.gz VGXZYBWHXRBXID-UHFFFAOYSA-N 0 0 298.342 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccn3ccnc3c2)s1 ZINC000589583404 348839327 /nfs/dbraw/zinc/83/93/27/348839327.db2.gz DBTAFHFNOMUOAF-UHFFFAOYSA-N 0 0 274.305 2.916 20 5 CFBDRN C[C@H](C[C@H]1CCOC1)Nc1ccsc1[N+](=O)[O-] ZINC000589585478 348840222 /nfs/dbraw/zinc/84/02/22/348840222.db2.gz YKGQQFJFASFMPG-RKDXNWHRSA-N 0 0 256.327 2.883 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H](C)C[C@H]2CCOC2)c1 ZINC000589584440 348840284 /nfs/dbraw/zinc/84/02/84/348840284.db2.gz LAZMPTHSHLIWNS-WDEREUQCSA-N 0 0 280.324 2.830 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2CC[C@H](C(F)F)C2)c1 ZINC000589599734 348841009 /nfs/dbraw/zinc/84/10/09/348841009.db2.gz HMFOBAZMTJYYOL-QMMMGPOBSA-N 0 0 272.251 2.695 20 5 CFBDRN C[C@H]1CN(c2ccc([N+](=O)[O-])c(N)c2F)CCC1(F)F ZINC000589601326 348841830 /nfs/dbraw/zinc/84/18/30/348841830.db2.gz MIROBXDMORWIIK-ZETCQYMHSA-N 0 0 289.257 2.798 20 5 CFBDRN COc1cccc(N2CC[C@H](C(F)F)C2)c1[N+](=O)[O-] ZINC000589600165 348841864 /nfs/dbraw/zinc/84/18/64/348841864.db2.gz RVASSUCTYCLFJH-QMMMGPOBSA-N 0 0 272.251 2.695 20 5 CFBDRN COc1cc(N2CC[C@H](C(F)F)C2)ccc1[N+](=O)[O-] ZINC000589600114 348841943 /nfs/dbraw/zinc/84/19/43/348841943.db2.gz PPQMOPNVFUHQGE-QMMMGPOBSA-N 0 0 272.251 2.695 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)C2CCCC2)s1 ZINC000589607932 348842880 /nfs/dbraw/zinc/84/28/80/348842880.db2.gz JSBCHRLTMGPRKC-VIFPVBQESA-N 0 0 256.327 2.619 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCc1cnn(C)c1 ZINC000588921514 348803161 /nfs/dbraw/zinc/80/31/61/348803161.db2.gz SBSMGHPKDDTZQI-UHFFFAOYSA-N 0 0 293.348 2.770 20 5 CFBDRN COC1(CNc2cc(C)c([N+](=O)[O-])cc2F)CCOCC1 ZINC000589690027 348850832 /nfs/dbraw/zinc/85/08/32/348850832.db2.gz XNAITCQRTBQRIF-UHFFFAOYSA-N 0 0 298.314 2.650 20 5 CFBDRN Cc1cc(N2CCC[C@H](CO)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000413598860 233102127 /nfs/dbraw/zinc/10/21/27/233102127.db2.gz WIJOTPWUMRQOQF-NWDGAFQWSA-N 0 0 264.325 2.500 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC(C2CC2)C1 ZINC000589695788 348851918 /nfs/dbraw/zinc/85/19/18/348851918.db2.gz WGRVYPIGFHLQRQ-UHFFFAOYSA-N 0 0 269.304 2.989 20 5 CFBDRN O=[N+]([O-])c1ccc(Cc2noc(CCCC3CC3)n2)nc1 ZINC000589739019 348854337 /nfs/dbraw/zinc/85/43/37/348854337.db2.gz FNWMLFGBTSKYLX-UHFFFAOYSA-N 0 0 288.307 2.696 20 5 CFBDRN CCN(C[C@H](C)OC)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000589736852 348854765 /nfs/dbraw/zinc/85/47/65/348854765.db2.gz SVTCQJXGUUAZJF-NWDGAFQWSA-N 0 0 294.351 2.582 20 5 CFBDRN C[C@H](NC(=O)N1CC(C2CC2)C1)c1cccc([N+](=O)[O-])c1 ZINC000589782340 348856103 /nfs/dbraw/zinc/85/61/03/348856103.db2.gz FSTNHYGWWHVGEN-JTQLQIEISA-N 0 0 289.335 2.707 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CC(C2CC2)C1 ZINC000589990559 348868074 /nfs/dbraw/zinc/86/80/74/348868074.db2.gz BLVJJQRJULBXMU-UHFFFAOYSA-N 0 0 294.738 2.659 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CC=CCC3)ccnc21 ZINC000590083118 348872973 /nfs/dbraw/zinc/87/29/73/348872973.db2.gz RIWMOOOGKUYGOT-UHFFFAOYSA-N 0 0 255.277 2.909 20 5 CFBDRN Cc1nsc(C)c1C(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000590119727 348875640 /nfs/dbraw/zinc/87/56/40/348875640.db2.gz XSNXGMIVGIXGLY-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CS[C@H](C)C2)cc1[N+](=O)[O-] ZINC000413699805 233117477 /nfs/dbraw/zinc/11/74/77/233117477.db2.gz CSOBZQMCCGPGFL-KOLCDFICSA-N 0 0 295.364 2.919 20 5 CFBDRN Cc1ccc(NC(=O)N[C@@H]2CS[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000413699811 233117801 /nfs/dbraw/zinc/11/78/01/233117801.db2.gz CSOBZQMCCGPGFL-ONGXEEELSA-N 0 0 295.364 2.919 20 5 CFBDRN COC[C@@]1(C)CCN(c2ccsc2[N+](=O)[O-])C1 ZINC000590707915 348932413 /nfs/dbraw/zinc/93/24/13/348932413.db2.gz QCGCEAHRBHQQOZ-NSHDSACASA-N 0 0 256.327 2.519 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000590711970 348933638 /nfs/dbraw/zinc/93/36/38/348933638.db2.gz VEHWJQLPINXLQP-MNOVXSKESA-N 0 0 293.367 2.908 20 5 CFBDRN CC[C@@H]1CN(CC)CCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000590723783 348937014 /nfs/dbraw/zinc/93/70/14/348937014.db2.gz PNXXQMRZCGNQJD-SNVBAGLBSA-N 0 0 298.774 2.564 20 5 CFBDRN CC(C)[C@@H]1CCN1c1ncnc2ccc([N+](=O)[O-])cc21 ZINC000590723594 348937163 /nfs/dbraw/zinc/93/71/63/348937163.db2.gz NWUOWRHTTNEDCZ-ZDUSSCGKSA-N 0 0 272.308 2.773 20 5 CFBDRN CCc1ncc(CNc2sccc2[N+](=O)[O-])o1 ZINC000590725349 348937189 /nfs/dbraw/zinc/93/71/89/348937189.db2.gz AJKLTWDINGOJRB-UHFFFAOYSA-N 0 0 253.283 2.819 20 5 CFBDRN C[C@]12CN(c3cccnc3[N+](=O)[O-])C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000590737393 348939615 /nfs/dbraw/zinc/93/96/15/348939615.db2.gz ORHLXQLFWCUNPB-NWJSVONSSA-N 0 0 273.336 2.862 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC/C=C\c1cccnc1 ZINC000590397107 348897855 /nfs/dbraw/zinc/89/78/55/348897855.db2.gz NCFSGBOZRHGOII-HYXAFXHYSA-N 0 0 297.314 2.741 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC/C=C/c1cccnc1 ZINC000590397106 348898236 /nfs/dbraw/zinc/89/82/36/348898236.db2.gz NCFSGBOZRHGOII-HWKANZROSA-N 0 0 297.314 2.741 20 5 CFBDRN CCSCC[C@H](C)N(C)c1ccc(N)c([N+](=O)[O-])n1 ZINC000590584933 348911241 /nfs/dbraw/zinc/91/12/41/348911241.db2.gz PEVAMQSUPZMPQH-VIFPVBQESA-N 0 0 284.385 2.540 20 5 CFBDRN COc1cc(C(=O)NC[C@H](C)C2CC2)c([N+](=O)[O-])cc1F ZINC000590624745 348916292 /nfs/dbraw/zinc/91/62/92/348916292.db2.gz XZLXAIHLNSLKKS-QMMMGPOBSA-N 0 0 296.298 2.518 20 5 CFBDRN Cc1nc(N(C)[C@H]2CCC[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000590685505 348927669 /nfs/dbraw/zinc/92/76/69/348927669.db2.gz GMQRWQOYRWAHEE-KOLCDFICSA-N 0 0 264.329 2.708 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(Cc3ccccc3)C2)cn1 ZINC000590697053 348930191 /nfs/dbraw/zinc/93/01/91/348930191.db2.gz DNQJJEYSNXDCOD-UHFFFAOYSA-N 0 0 269.304 2.669 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N1CC=CCC1 ZINC000590696614 348930308 /nfs/dbraw/zinc/93/03/08/348930308.db2.gz NDVTWEWOTIHEEM-UHFFFAOYSA-N 0 0 285.303 2.918 20 5 CFBDRN C[C@@H]1C[C@H]1C(=O)N1CCC[C@H](c2ccc([N+](=O)[O-])cc2)C1 ZINC000590774802 348947397 /nfs/dbraw/zinc/94/73/97/348947397.db2.gz IPAGEHXEEYDIJX-OSAQELSMSA-N 0 0 288.347 2.957 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC4(C3)CC(F)(F)C4)nc2c1 ZINC000590701037 348930830 /nfs/dbraw/zinc/93/08/30/348930830.db2.gz SUBUZOKLHKQERL-UHFFFAOYSA-N 0 0 294.261 2.707 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(SC[C@@H]3CCCO3)nc2c1 ZINC000590699534 348931119 /nfs/dbraw/zinc/93/11/19/348931119.db2.gz WCYBEUNUTRXLDC-VIFPVBQESA-N 0 0 279.321 2.742 20 5 CFBDRN O=C(c1cccc([N+](=O)[O-])c1)N1CC[C@@H](C2CCC2)C1 ZINC000591125505 348989802 /nfs/dbraw/zinc/98/98/02/348989802.db2.gz AEXNDLAHXONHDI-CYBMUJFWSA-N 0 0 274.320 2.857 20 5 CFBDRN Cc1noc(C)c1[C@@H](C)Nc1ncc([N+](=O)[O-])cc1F ZINC000591147209 348992837 /nfs/dbraw/zinc/99/28/37/348992837.db2.gz QFJAPOGATBOLOH-ZCFIWIBFSA-N 0 0 280.259 2.907 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CC(CC(F)(F)F)C2)c(F)c1 ZINC000591149882 348993020 /nfs/dbraw/zinc/99/30/20/348993020.db2.gz UCKGCTQYCVECGL-UHFFFAOYSA-N 0 0 279.193 2.518 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC[C@@H]2CCCCO2)c(F)c1 ZINC000591155143 348994027 /nfs/dbraw/zinc/99/40/27/348994027.db2.gz SHKAVMHVVUQTIX-NSHDSACASA-N 0 0 283.303 2.890 20 5 CFBDRN O=[N+]([O-])c1ccc2ccnc(N3CC(C4CC4)C3)c2c1 ZINC000591162979 348995358 /nfs/dbraw/zinc/99/53/58/348995358.db2.gz LNTOWQGRKITWFT-UHFFFAOYSA-N 0 0 269.304 2.989 20 5 CFBDRN C[C@@H](CC(C)(C)C)Nc1cc(N)c([N+](=O)[O-])c(CO)c1 ZINC000591166767 348996102 /nfs/dbraw/zinc/99/61/02/348996102.db2.gz HESZCMOKPKYBGQ-VIFPVBQESA-N 0 0 281.356 2.906 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC3(CCC3)[C@@H]3COC[C@@H]32)c1 ZINC000591173916 348996570 /nfs/dbraw/zinc/99/65/70/348996570.db2.gz JVNCNXRQOIRIPR-DOMZBBRYSA-N 0 0 288.347 2.909 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C3CCC3)C2)s1 ZINC000591186054 348997787 /nfs/dbraw/zinc/99/77/87/348997787.db2.gz KOZTYTMAHYYQBU-SNVBAGLBSA-N 0 0 268.338 2.662 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2sccc2[N+](=O)[O-])[C@H](C)O1 ZINC000591192640 348999110 /nfs/dbraw/zinc/99/91/10/348999110.db2.gz AKAOZZCVZGUOQM-VGMNWLOBSA-N 0 0 256.327 2.882 20 5 CFBDRN Cc1cnc(N2CC[C@@H](C3CCC3)C2)c([N+](=O)[O-])c1 ZINC000591204292 348999751 /nfs/dbraw/zinc/99/97/51/348999751.db2.gz PWVWXUNRXOKDJI-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](C2CCC2)C1 ZINC000591204455 349000050 /nfs/dbraw/zinc/00/00/50/349000050.db2.gz UIVTXAUTLUOFEJ-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN C[C@@H]1CC(C)(C)CN1C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000413968341 233144790 /nfs/dbraw/zinc/14/47/90/233144790.db2.gz BAWWTSGGGLTKGS-LLVKDONJSA-N 0 0 291.351 2.654 20 5 CFBDRN C[C@@H]1[C@@H](C)[C@H](C)CN1c1nc2sccn2c1[N+](=O)[O-] ZINC000591368994 349013113 /nfs/dbraw/zinc/01/31/13/349013113.db2.gz HDRMPRKMYRPOCC-HRDYMLBCSA-N 0 0 280.353 2.785 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])ccc2ncn1C[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC000591589551 349032968 /nfs/dbraw/zinc/03/29/68/349032968.db2.gz SYXRGMQFEKPCCO-TUAOUCFPSA-N 0 0 297.314 2.517 20 5 CFBDRN CC[C@@H](C)C[C@H](CO)Nc1ccc([N+](=O)[O-])s1 ZINC000591984110 349069684 /nfs/dbraw/zinc/06/96/84/349069684.db2.gz XKMZFEPZNRDWHP-RKDXNWHRSA-N 0 0 258.343 2.865 20 5 CFBDRN CC(C)(C)CC(=O)Cn1c(=O)oc2cccc([N+](=O)[O-])c21 ZINC000592348636 349106787 /nfs/dbraw/zinc/10/67/87/349106787.db2.gz KRXLTSGKUASOEF-UHFFFAOYSA-N 0 0 292.291 2.508 20 5 CFBDRN C[C@@H]1CC2(CN1c1ncc([N+](=O)[O-])cc1F)CCOCC2 ZINC000591992653 349072015 /nfs/dbraw/zinc/07/20/15/349072015.db2.gz RAJOIDODTBRQKC-SNVBAGLBSA-N 0 0 295.314 2.524 20 5 CFBDRN Nc1cc(NCC2CCC(F)CC2)cc(CO)c1[N+](=O)[O-] ZINC000591995448 349072574 /nfs/dbraw/zinc/07/25/74/349072574.db2.gz VMTJKHGZJVCIHC-UHFFFAOYSA-N 0 0 297.330 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCC[C@@H]2CCOC2)nc1 ZINC000592348557 349106857 /nfs/dbraw/zinc/10/68/57/349106857.db2.gz OVBVRVOJNOMXAN-SNVBAGLBSA-N 0 0 268.338 2.899 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N1CC(C(F)F)C1)CCCC2 ZINC000591924334 349061055 /nfs/dbraw/zinc/06/10/55/349061055.db2.gz VNJPZXQPTLUFHL-UHFFFAOYSA-N 0 0 283.278 2.570 20 5 CFBDRN CC1(C)CN(c2nc3c(cc2[N+](=O)[O-])CCCC3)C1 ZINC000591935190 349062378 /nfs/dbraw/zinc/06/23/78/349062378.db2.gz KHGSRVASCJCAIT-UHFFFAOYSA-N 0 0 261.325 2.715 20 5 CFBDRN O=c1c(Cl)cc([N+](=O)[O-])cn1CC1=CCCC1 ZINC000592343983 349106358 /nfs/dbraw/zinc/10/63/58/349106358.db2.gz DVBIGYCTOMXIQE-UHFFFAOYSA-N 0 0 254.673 2.520 20 5 CFBDRN O=[N+]([O-])c1cc(F)cc(OCCC[C@H]2CCOC2)c1 ZINC000592347675 349106385 /nfs/dbraw/zinc/10/63/85/349106385.db2.gz SHZVTKURMLUTKB-JTQLQIEISA-N 0 0 269.272 2.929 20 5 CFBDRN Cc1cc(N2CCO[C@@]3(CCSC3)C2)ccc1[N+](=O)[O-] ZINC000591964917 349066100 /nfs/dbraw/zinc/06/61/00/349066100.db2.gz YHQDFNZYRWPSFO-AWEZNQCLSA-N 0 0 294.376 2.616 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(C)(CC(F)F)C1 ZINC000591970954 349066931 /nfs/dbraw/zinc/06/69/31/349066931.db2.gz DKXMVTJAJZLOJR-UHFFFAOYSA-N 0 0 288.298 2.601 20 5 CFBDRN COC(=O)[C@H](CC(C)(C)C)Nc1ncc(C)cc1[N+](=O)[O-] ZINC000591971923 349067136 /nfs/dbraw/zinc/06/71/36/349067136.db2.gz NCSOEPDMWPZDMC-JTQLQIEISA-N 0 0 295.339 2.688 20 5 CFBDRN Cc1cc(C(=O)NC[C@@H](C)CC(F)F)cc([N+](=O)[O-])c1 ZINC000592673193 349149238 /nfs/dbraw/zinc/14/92/38/349149238.db2.gz SGRBIKSUMYXGCO-VIFPVBQESA-N 0 0 286.278 2.924 20 5 CFBDRN Nc1ccc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cn1 ZINC000592430810 349118686 /nfs/dbraw/zinc/11/86/86/349118686.db2.gz GPINJMBIRUFXOW-UHFFFAOYSA-N 0 0 298.346 2.567 20 5 CFBDRN C[C@H]1SCC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000592465256 349125878 /nfs/dbraw/zinc/12/58/78/349125878.db2.gz UMWPFHPKXAPSAR-XCBNKYQSSA-N 0 0 299.327 2.749 20 5 CFBDRN O=C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000592903015 349176758 /nfs/dbraw/zinc/17/67/58/349176758.db2.gz MOHCDBSVTZXIDV-GARJFASQSA-N 0 0 299.330 2.995 20 5 CFBDRN O=C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000592903882 349176842 /nfs/dbraw/zinc/17/68/42/349176842.db2.gz SSHSTYRZDAZJNM-YUSALJHKSA-N 0 0 299.330 2.995 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H]2CCS[C@@H]2C)c1[N+](=O)[O-] ZINC000592732492 349157978 /nfs/dbraw/zinc/15/79/78/349157978.db2.gz AWDAKZNKWCOSPF-MWLCHTKSSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H]2CCS[C@H]2C)cc1[N+](=O)[O-] ZINC000592733235 349158592 /nfs/dbraw/zinc/15/85/92/349158592.db2.gz FJJIVGTVHGWBRG-GXSJLCMTSA-N 0 0 280.349 2.527 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2CCS[C@H]2C)cccc1[N+](=O)[O-] ZINC000592735689 349159625 /nfs/dbraw/zinc/15/96/25/349159625.db2.gz PNQOMRDSFVEAAY-GXSJLCMTSA-N 0 0 280.349 2.527 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CCS[C@H]2C)cc1[N+](=O)[O-] ZINC000592735963 349159804 /nfs/dbraw/zinc/15/98/04/349159804.db2.gz OOUXKCLHNCMDQX-CABZTGNLSA-N 0 0 294.376 2.781 20 5 CFBDRN Cc1sc(C(=O)N[C@H]2CCS[C@@H]2C)cc1[N+](=O)[O-] ZINC000592736870 349160414 /nfs/dbraw/zinc/16/04/14/349160414.db2.gz VBKHJPSOIRUJIG-SVRRBLITSA-N 0 0 286.378 2.589 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCS[C@H]2C)ccc1[N+](=O)[O-] ZINC000592737382 349160491 /nfs/dbraw/zinc/16/04/91/349160491.db2.gz WJRRVFKIVSXXGZ-ONGXEEELSA-N 0 0 280.349 2.527 20 5 CFBDRN COC1(c2noc(-c3ccc([N+](=O)[O-])cc3C)n2)CCC1 ZINC000273990473 192393626 /nfs/dbraw/zinc/39/36/26/192393626.db2.gz LNPNXDCHXKZWNP-UHFFFAOYSA-N 0 0 289.291 2.979 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C[S@@](=O)CC(C)(C)C ZINC000414252131 233206425 /nfs/dbraw/zinc/20/64/25/233206425.db2.gz CEFNVCTUAVJRNX-LJQANCHMSA-N 0 0 285.365 2.898 20 5 CFBDRN O=C(Cc1ccc(F)cc1[N+](=O)[O-])NCC1(C2CC2)CC1 ZINC000593106100 349210655 /nfs/dbraw/zinc/21/06/55/349210655.db2.gz XFBCCUIDRVPAFF-UHFFFAOYSA-N 0 0 292.310 2.583 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@@]3(CC3(F)F)C2)cs1 ZINC000593115418 349212120 /nfs/dbraw/zinc/21/21/20/349212120.db2.gz JESWCSWHVKINEL-SNVBAGLBSA-N 0 0 274.292 2.887 20 5 CFBDRN CC(C)[C@@H](C)Cc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000274021758 192405617 /nfs/dbraw/zinc/40/56/17/192405617.db2.gz SWFHZYPVCLYFDQ-JTQLQIEISA-N 0 0 290.323 2.798 20 5 CFBDRN CC1(C)CC(CNC(=O)Cc2ccc(F)cc2[N+](=O)[O-])C1 ZINC000593135310 349217637 /nfs/dbraw/zinc/21/76/37/349217637.db2.gz IPWHASOMFRSCLU-UHFFFAOYSA-N 0 0 294.326 2.829 20 5 CFBDRN C[C@H](COCC1CC1)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000593182519 349224942 /nfs/dbraw/zinc/22/49/42/349224942.db2.gz RKLMHTRPYUKGEM-SNVBAGLBSA-N 0 0 293.323 2.531 20 5 CFBDRN COc1cc(Cn2cc(C3CC3)cn2)ccc1[N+](=O)[O-] ZINC000593236800 349233243 /nfs/dbraw/zinc/23/32/43/349233243.db2.gz CGJHSHPJRMMZAW-UHFFFAOYSA-N 0 0 273.292 2.726 20 5 CFBDRN C[C@@H]1CCC[C@H]1NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593047680 349193193 /nfs/dbraw/zinc/19/31/93/349193193.db2.gz CCBWQMGNSVMHLE-BXKDBHETSA-N 0 0 280.299 2.581 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCN2CCCC(F)(F)C2)cc1 ZINC000593049107 349194218 /nfs/dbraw/zinc/19/42/18/349194218.db2.gz QRJZUKCIKLPAGD-UHFFFAOYSA-N 0 0 286.278 2.705 20 5 CFBDRN O=[N+]([O-])c1ccccc1OCCN1CCCC(F)(F)C1 ZINC000593048920 349194430 /nfs/dbraw/zinc/19/44/30/349194430.db2.gz NMOJTHOJXTXVDD-UHFFFAOYSA-N 0 0 286.278 2.705 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCC2(CO)CCC2)c(Cl)c1 ZINC000593511902 349287869 /nfs/dbraw/zinc/28/78/69/349287869.db2.gz FXYOHXBOCROBNZ-UHFFFAOYSA-N 0 0 285.731 2.608 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(=NC3CC4(CCC4)C3)[nH]c2c1 ZINC000593515894 349288675 /nfs/dbraw/zinc/28/86/75/349288675.db2.gz LGWLCEVHPIPUAB-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)[C@H](C)CO1 ZINC000593284594 349241750 /nfs/dbraw/zinc/24/17/50/349241750.db2.gz WOTVMGZMVLBOQL-RISCZKNCSA-N 0 0 292.335 2.543 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1C)[C@@H]1CCCOC1 ZINC000593295015 349242320 /nfs/dbraw/zinc/24/23/20/349242320.db2.gz LTXMSFHXPLRTEA-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN CCN(C(=O)c1cc([N+](=O)[O-])c(C)s1)[C@H]1CCCOC1 ZINC000593296796 349243341 /nfs/dbraw/zinc/24/33/41/349243341.db2.gz UEWOQEIKJLABJI-JTQLQIEISA-N 0 0 298.364 2.606 20 5 CFBDRN O=C(NC1(C2CCC2)CC1)c1csc([N+](=O)[O-])c1 ZINC000593304172 349244083 /nfs/dbraw/zinc/24/40/83/349244083.db2.gz ITJOEANCWRVLOQ-UHFFFAOYSA-N 0 0 266.322 2.719 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC1(C2CCC2)CC1 ZINC000593304497 349244341 /nfs/dbraw/zinc/24/43/41/349244341.db2.gz OQGPSOPDELEIAI-UHFFFAOYSA-N 0 0 274.320 2.966 20 5 CFBDRN C[C@@H]1CN(c2ccnc3cc([N+](=O)[O-])ccc32)C[C@H](C)O1 ZINC000593456588 349274732 /nfs/dbraw/zinc/27/47/32/349274732.db2.gz APGUPHGMQABWNB-PHIMTYICSA-N 0 0 287.319 2.757 20 5 CFBDRN C[C@@H](O)C[C@H](C)CNc1ccnc2cc([N+](=O)[O-])ccc21 ZINC000593461826 349275295 /nfs/dbraw/zinc/27/52/95/349275295.db2.gz PGRJKPKRDIZBDX-WDEREUQCSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@H](Nc1ccnc2cc([N+](=O)[O-])ccc21)[C@H]1CCOC1 ZINC000593464175 349275932 /nfs/dbraw/zinc/27/59/32/349275932.db2.gz DSRHHNQAHFSSFO-QWRGUYRKSA-N 0 0 287.319 2.980 20 5 CFBDRN CO[C@H](CNc1ccnc2cc([N+](=O)[O-])ccc21)C1CC1 ZINC000593472902 349277246 /nfs/dbraw/zinc/27/72/46/349277246.db2.gz KSGUIZFDLGSAQO-OAHLLOKOSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NC[C@@H](O)C1CCC1 ZINC000593481607 349279379 /nfs/dbraw/zinc/27/93/79/349279379.db2.gz JXKOKYFCEJTUDC-OAHLLOKOSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@H]1CN(c2ccc(F)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000593490676 349283119 /nfs/dbraw/zinc/28/31/19/349283119.db2.gz DMROJIIAEZXNSU-KOLCDFICSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)[C@H](C)CO1 ZINC000593492532 349283646 /nfs/dbraw/zinc/28/36/46/349283646.db2.gz OQHFNZZIOBTQJU-YPMHNXCESA-N 0 0 264.325 2.907 20 5 CFBDRN CC[C@@H]1CN(c2ccccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000593494052 349284294 /nfs/dbraw/zinc/28/42/94/349284294.db2.gz YGHPLQXYEKGOKY-WDEREUQCSA-N 0 0 250.298 2.599 20 5 CFBDRN CCOc1cc(N(CC)[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000593495330 349284341 /nfs/dbraw/zinc/28/43/41/349284341.db2.gz DAUNIQNXFQIPEM-CYBMUJFWSA-N 0 0 294.351 2.999 20 5 CFBDRN CC(C)c1csc(Sc2ncc([N+](=O)[O-])c(N)n2)n1 ZINC000593494919 349284492 /nfs/dbraw/zinc/28/44/92/349284492.db2.gz BMBLXLWCJPULST-UHFFFAOYSA-N 0 0 297.365 2.528 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC([C@H](C)CO)CC1 ZINC000593503580 349285683 /nfs/dbraw/zinc/28/56/83/349285683.db2.gz CQTFEWUYVWCFOY-GFCCVEGCSA-N 0 0 278.352 2.748 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)NC2(C3CCC3)CC2)c1[N+](=O)[O-] ZINC000593834221 349330829 /nfs/dbraw/zinc/33/08/29/349330829.db2.gz HCLYLFJSWHDYAG-UHFFFAOYSA-N 0 0 292.339 2.504 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC1CC2(CCC2)C1 ZINC000593884367 349332584 /nfs/dbraw/zinc/33/25/84/349332584.db2.gz IENIZKVPOBBDEE-UHFFFAOYSA-N 0 0 274.320 2.586 20 5 CFBDRN O=C(NC1CC2(CCC2)C1)c1ccc([N+](=O)[O-])cc1 ZINC000593884369 349332785 /nfs/dbraw/zinc/33/27/85/349332785.db2.gz IGCMJVYZWURBJX-UHFFFAOYSA-N 0 0 260.293 2.657 20 5 CFBDRN CC(C)(C)n1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000593949077 349338548 /nfs/dbraw/zinc/33/85/48/349338548.db2.gz TZPVSLHLFABWRR-UHFFFAOYSA-N 0 0 288.307 2.799 20 5 CFBDRN CC[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2C)[C@H](C)CO1 ZINC000593665428 349307079 /nfs/dbraw/zinc/30/70/79/349307079.db2.gz CIDUEMVIZHUWOV-IUODEOHRSA-N 0 0 278.352 2.903 20 5 CFBDRN CC(C)[N@@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])CC1CC1 ZINC000593734171 349316045 /nfs/dbraw/zinc/31/60/45/349316045.db2.gz AAJXAZNGAKEZQF-UHFFFAOYSA-N 0 0 292.335 2.913 20 5 CFBDRN CC[C@H]1CCC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593734379 349316415 /nfs/dbraw/zinc/31/64/15/349316415.db2.gz GUPTWKGHSHNIDT-NSHDSACASA-N 0 0 292.335 2.915 20 5 CFBDRN CC[C@H](C)[N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC000593740139 349317896 /nfs/dbraw/zinc/31/78/96/349317896.db2.gz HXUFZGVGBMYSGZ-VIFPVBQESA-N 0 0 266.297 2.523 20 5 CFBDRN CC[C@@]1(C)CC[N@H+](Cc2cc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000593740584 349318056 /nfs/dbraw/zinc/31/80/56/349318056.db2.gz GRVHTQXYCSHKHA-HNNXBMFYSA-N 0 0 292.335 2.915 20 5 CFBDRN COc1ccc(C(=O)N2CCC23CCCC3)cc1[N+](=O)[O-] ZINC000593759746 349322024 /nfs/dbraw/zinc/32/20/24/349322024.db2.gz NZKVVYQOEDAQGL-UHFFFAOYSA-N 0 0 290.319 2.762 20 5 CFBDRN CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)[C@H]1CCCCO1 ZINC000594178427 349390831 /nfs/dbraw/zinc/39/08/31/349390831.db2.gz BFMWGIQOJXJBOW-UONOGXRCSA-N 0 0 292.335 2.672 20 5 CFBDRN CC1(NC(=O)NCCc2cccc([N+](=O)[O-])c2)CC=CC1 ZINC000594233017 349397568 /nfs/dbraw/zinc/39/75/68/349397568.db2.gz JMTQTAYFUHXPLZ-UHFFFAOYSA-N 0 0 289.335 2.545 20 5 CFBDRN Cc1ccc(CN(C)c2ccc([N+](=O)[O-])c(C)n2)nc1 ZINC000302626712 539737011 /nfs/dbraw/zinc/73/70/11/539737011.db2.gz BCIDCGFCIQMIOD-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN Cc1c(NC(=O)N2CC3(CC3(F)F)C2)cccc1[N+](=O)[O-] ZINC000594057361 349366390 /nfs/dbraw/zinc/36/63/90/349366390.db2.gz YYIXUJSTIVOPFX-UHFFFAOYSA-N 0 0 297.261 2.776 20 5 CFBDRN CC(C)(NC(=O)c1ccc([N+](=O)[O-])s1)C(C)(F)F ZINC000594063278 349367580 /nfs/dbraw/zinc/36/75/80/349367580.db2.gz RSBZSBWZMXYLPC-UHFFFAOYSA-N 0 0 278.280 2.820 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCc3cccnc32)c1 ZINC000594068637 349368421 /nfs/dbraw/zinc/36/84/21/349368421.db2.gz MMNOVRMGNDCOOM-UHFFFAOYSA-N 0 0 297.314 2.891 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCCc2cccnc21 ZINC000594068832 349368656 /nfs/dbraw/zinc/36/86/56/349368656.db2.gz AJXMAWQMSBSQQB-UHFFFAOYSA-N 0 0 297.314 2.512 20 5 CFBDRN CCNc1ccc(C(=O)NC2(C)CC=CC2)cc1[N+](=O)[O-] ZINC000594074103 349369192 /nfs/dbraw/zinc/36/91/92/349369192.db2.gz UDRFVBMSZTXRJW-UHFFFAOYSA-N 0 0 289.335 2.865 20 5 CFBDRN COC(=O)[C@H](C)CSc1ccc(C)cc1[N+](=O)[O-] ZINC000090104246 179915436 /nfs/dbraw/zinc/91/54/36/179915436.db2.gz XLKWSNNEBCWJKA-SECBINFHSA-N 0 0 269.322 2.804 20 5 CFBDRN Cc1cnc(CCNC(=O)c2cccc([N+](=O)[O-])c2)c(C)c1 ZINC000594105597 349379543 /nfs/dbraw/zinc/37/95/43/349379543.db2.gz OICOTXKMFTWWCM-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN COc1ccc(C(C)C)cc1Cn1nccc1[N+](=O)[O-] ZINC000594453190 349426001 /nfs/dbraw/zinc/42/60/01/349426001.db2.gz LTDBVCLTUNVMFK-UHFFFAOYSA-N 0 0 275.308 2.972 20 5 CFBDRN CCCCCSCCn1cc([N+](=O)[O-])ccc1=O ZINC000090201442 179931133 /nfs/dbraw/zinc/93/11/33/179931133.db2.gz YCIDSHXWNIKDAB-UHFFFAOYSA-N 0 0 270.354 2.680 20 5 CFBDRN C[C@H](C(=O)N1CC2(CC2(F)F)C1)c1cccc([N+](=O)[O-])c1 ZINC000594389937 349413900 /nfs/dbraw/zinc/41/39/00/349413900.db2.gz XQSRAECEBAPWJA-VIFPVBQESA-N 0 0 296.273 2.566 20 5 CFBDRN O=C(c1n[nH]cc1[N+](=O)[O-])N1CCC[C@H]1CC1CCCC1 ZINC000594418544 349415900 /nfs/dbraw/zinc/41/59/00/349415900.db2.gz PAABTIGOZPJXGC-NSHDSACASA-N 0 0 292.339 2.503 20 5 CFBDRN C[C@H](O)[C@H](NCc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000597249657 350072507 /nfs/dbraw/zinc/07/25/07/350072507.db2.gz XKOKYXVTAJARMP-LRDDRELGSA-N 0 0 286.331 2.807 20 5 CFBDRN O=[N+]([O-])c1ccccc1/C=C/CN1CCCO[C@H](CF)C1 ZINC000414473603 233263241 /nfs/dbraw/zinc/26/32/41/233263241.db2.gz BELQRSWOBFHLMG-BOTMBNHJSA-N 0 0 294.326 2.668 20 5 CFBDRN CC1(C)CC[C@@H]1C(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000597201220 350054040 /nfs/dbraw/zinc/05/40/40/350054040.db2.gz WPIHYRUJBJLZIJ-CYBMUJFWSA-N 0 0 292.335 2.526 20 5 CFBDRN Cc1cnc(NC[C@@H]2Cc3ccccc3O2)c([N+](=O)[O-])c1 ZINC000090692061 180024921 /nfs/dbraw/zinc/02/49/21/180024921.db2.gz VLMPXHQGMCWVAF-LBPRGKRZSA-N 0 0 285.303 2.714 20 5 CFBDRN CC(C)SCCNC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000492804337 535104804 /nfs/dbraw/zinc/10/48/04/535104804.db2.gz XDNFUFCBGWPSRQ-VOTSOKGWSA-N 0 0 294.376 2.866 20 5 CFBDRN O=C(Nc1cncc2c1CCCC2)c1ccc([N+](=O)[O-])o1 ZINC000597873637 350147469 /nfs/dbraw/zinc/14/74/69/350147469.db2.gz KRMNFKVJVUXQEH-UHFFFAOYSA-N 0 0 287.275 2.714 20 5 CFBDRN O=C(N[C@]12C[C@H]1CCC2)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000597885573 350148587 /nfs/dbraw/zinc/14/85/87/350148587.db2.gz AVRUNKXKJGWKQI-RFAUZJTJSA-N 0 0 285.303 2.749 20 5 CFBDRN CCOc1ccc(C(=O)N[C@]23C[C@H]2CCC3)cc1[N+](=O)[O-] ZINC000597885715 350148594 /nfs/dbraw/zinc/14/85/94/350148594.db2.gz RVBQWDODXVEVRR-IAQYHMDHSA-N 0 0 290.319 2.666 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C1CC2(CC2)C1 ZINC000597807193 350138407 /nfs/dbraw/zinc/13/84/07/350138407.db2.gz MOEZMJQOZPFHHP-UHFFFAOYSA-N 0 0 275.308 2.765 20 5 CFBDRN COc1cc(C(=O)N2C[C@@H]3CCCC[C@@H]32)ccc1[N+](=O)[O-] ZINC000597829559 350141550 /nfs/dbraw/zinc/14/15/50/350141550.db2.gz ORGSDZSYCMWLKW-RYUDHWBXSA-N 0 0 290.319 2.618 20 5 CFBDRN Cc1sc(C(=O)N2C[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] ZINC000597830792 350141800 /nfs/dbraw/zinc/14/18/00/350141800.db2.gz RROLIWBZYUSSLS-UWVGGRQHSA-N 0 0 280.349 2.979 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000597855092 350143047 /nfs/dbraw/zinc/14/30/47/350143047.db2.gz HVKVTZDMUHRBAM-VIFPVBQESA-N 0 0 268.288 2.995 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000597855142 350143222 /nfs/dbraw/zinc/14/32/22/350143222.db2.gz ISMXLOXTDWIVMJ-VIFPVBQESA-N 0 0 282.344 2.702 20 5 CFBDRN CC[C@@H](C)N(CC)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000597855075 350143229 /nfs/dbraw/zinc/14/32/29/350143229.db2.gz HMELBEFIFLRYKB-LLVKDONJSA-N 0 0 264.325 2.784 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000597853573 350143244 /nfs/dbraw/zinc/14/32/44/350143244.db2.gz CLDUOEUWMNZSDU-QMMMGPOBSA-N 0 0 256.327 2.917 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000597855554 350143963 /nfs/dbraw/zinc/14/39/63/350143963.db2.gz SQZDQFLSVWATHD-JTQLQIEISA-N 0 0 250.298 2.855 20 5 CFBDRN CC[C@H](CSC)N(C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000091220737 180112756 /nfs/dbraw/zinc/11/27/56/180112756.db2.gz XYNJBTCOIGLIJG-SNVBAGLBSA-N 0 0 282.365 2.808 20 5 CFBDRN CCC(C)(C)[C@@H](C)NC(=O)c1cc([O-])cc([N+](=O)[O-])c1 ZINC000597950650 350161252 /nfs/dbraw/zinc/16/12/52/350161252.db2.gz CEHULRNSXXRLAO-SECBINFHSA-N 0 0 280.324 2.855 20 5 CFBDRN Cc1nccn1Cc1cc([N+](=O)[O-])ccc1OC(C)C ZINC000104666140 186012656 /nfs/dbraw/zinc/01/26/56/186012656.db2.gz MXPIPRYRVXLIPY-UHFFFAOYSA-N 0 0 275.308 2.935 20 5 CFBDRN CC[C@@H](C)CCNC(=O)c1cccc([N+](=O)[O-])c1OC ZINC000597978322 350171186 /nfs/dbraw/zinc/17/11/86/350171186.db2.gz QDVXXQMOQNLMPG-SNVBAGLBSA-N 0 0 280.324 2.769 20 5 CFBDRN Cc1sc(C(=O)NC[C@@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000271284552 191050612 /nfs/dbraw/zinc/05/06/12/191050612.db2.gz AUIULPZOEMIGIZ-WCBMZHEXSA-N 0 0 298.364 2.510 20 5 CFBDRN Cc1sc(C(=O)NC[C@H]2CCO[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000271284553 191051056 /nfs/dbraw/zinc/05/10/56/191051056.db2.gz AUIULPZOEMIGIZ-WPRPVWTQSA-N 0 0 298.364 2.510 20 5 CFBDRN Cc1cc(NC[C@H]2CCO[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000271296018 191057053 /nfs/dbraw/zinc/05/70/53/191057053.db2.gz FRJUPRTZOFASLN-QWRGUYRKSA-N 0 0 265.313 2.525 20 5 CFBDRN CC[C@@H](C)CCNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000597976962 350169444 /nfs/dbraw/zinc/16/94/44/350169444.db2.gz YUPQYRGIMVSKGA-GFCCVEGCSA-N 0 0 293.367 2.949 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCCC[C@@H]2CO)cc1 ZINC000091484260 180167511 /nfs/dbraw/zinc/16/75/11/180167511.db2.gz JDJKDCTYLGFKBM-ZWNOBZJWSA-N 0 0 250.298 2.558 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCOc1ccccc1 ZINC000091555620 180190506 /nfs/dbraw/zinc/19/05/06/180190506.db2.gz LIYRUKWJTBYYLY-UHFFFAOYSA-N 0 0 290.323 2.611 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CC=CCC1 ZINC000091557191 180190704 /nfs/dbraw/zinc/19/07/04/180190704.db2.gz AHVILJRCRWNYEY-JTQLQIEISA-N 0 0 250.302 2.640 20 5 CFBDRN CC(C)(C)OCCCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000598191406 350211806 /nfs/dbraw/zinc/21/18/06/350211806.db2.gz QXIWFCWBNFYSOI-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN CC(C)(C)OCCCNC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000598192332 350211945 /nfs/dbraw/zinc/21/19/45/350211945.db2.gz ZBFDFXVSTWNXHV-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN Cc1cc(OCC(=O)NCCC(C)(C)F)ccc1[N+](=O)[O-] ZINC000598198851 350212533 /nfs/dbraw/zinc/21/25/33/350212533.db2.gz MRXGWQABAUIOKD-UHFFFAOYSA-N 0 0 298.314 2.536 20 5 CFBDRN O=C(NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000598209783 350214348 /nfs/dbraw/zinc/21/43/48/350214348.db2.gz WOKYUYLMAKACFM-NRUUGDAUSA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NC[C@H]1C(C)(C)C1(F)F ZINC000598341337 350240818 /nfs/dbraw/zinc/24/08/18/350240818.db2.gz TXUDFWFJSRCYIV-NSHDSACASA-N 0 0 298.289 2.924 20 5 CFBDRN CC[C@@](C)(NC(=O)Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000598248390 350223947 /nfs/dbraw/zinc/22/39/47/350223947.db2.gz BMBWGLWUXCVZDM-OAHLLOKOSA-N 0 0 276.336 2.832 20 5 CFBDRN CC[C@](C)(NC(=O)COc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598249689 350224477 /nfs/dbraw/zinc/22/44/77/350224477.db2.gz IKHYZYGGXXYVCI-HNNXBMFYSA-N 0 0 292.335 2.669 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cn1)N1CCC[C@H](C2CCC2)C1 ZINC000598401187 350257111 /nfs/dbraw/zinc/25/71/11/350257111.db2.gz RGUAIHNHYDJMHS-LBPRGKRZSA-N 0 0 289.335 2.642 20 5 CFBDRN C[C@H]1C[C@@H](CNc2ncc(Cl)cc2[N+](=O)[O-])CCO1 ZINC000271347790 191086532 /nfs/dbraw/zinc/08/65/32/191086532.db2.gz NJAZBIOGEUSHSX-IUCAKERBSA-N 0 0 285.731 2.870 20 5 CFBDRN CCc1ccc(OCc2nccnc2C)c([N+](=O)[O-])c1 ZINC000601077156 539779903 /nfs/dbraw/zinc/77/99/03/539779903.db2.gz NRUOFNKEGJDPOC-UHFFFAOYSA-N 0 0 273.292 2.835 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cn1)C1CCC(F)CC1 ZINC000598364805 350250948 /nfs/dbraw/zinc/25/09/48/350250948.db2.gz AWZQAJKEKFIGJB-KPPDAEKUSA-N 0 0 295.314 2.636 20 5 CFBDRN O=C(NCC1=CCCC1)c1ccc([N+](=O)[O-])cc1F ZINC000598376513 350251624 /nfs/dbraw/zinc/25/16/24/350251624.db2.gz MTJOMUWXWAFOSJ-UHFFFAOYSA-N 0 0 264.256 2.574 20 5 CFBDRN CCOc1ccc(C(=O)NCC2=CCCC2)cc1[N+](=O)[O-] ZINC000598379490 350252073 /nfs/dbraw/zinc/25/20/73/350252073.db2.gz VCELCURNGDJFOS-UHFFFAOYSA-N 0 0 290.319 2.834 20 5 CFBDRN CC(C)[C@H](NC(=O)COc1ccccc1[N+](=O)[O-])C1CC1 ZINC000598381707 350253848 /nfs/dbraw/zinc/25/38/48/350253848.db2.gz RSRWTXDQAWEVJD-HNNXBMFYSA-N 0 0 292.335 2.524 20 5 CFBDRN CCOc1ccc(C(=O)N2CC3CC2(C)C3)cc1[N+](=O)[O-] ZINC000598568553 350284281 /nfs/dbraw/zinc/28/42/81/350284281.db2.gz YMRAZACXXFKOCL-UHFFFAOYSA-N 0 0 290.319 2.618 20 5 CFBDRN O=C(c1c[nH]nc1[N+](=O)[O-])N1CCCC[C@H]1CC1CCC1 ZINC000598458910 350271200 /nfs/dbraw/zinc/27/12/00/350271200.db2.gz BDESDLKIJYJLOK-NSHDSACASA-N 0 0 292.339 2.503 20 5 CFBDRN CC[C@@H]1CCC[C@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000598460324 350271561 /nfs/dbraw/zinc/27/15/61/350271561.db2.gz BWSJUCQZEKZRKF-WDEREUQCSA-N 0 0 291.351 2.970 20 5 CFBDRN CCCCC[C@@H]1CCCCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000598459428 350271586 /nfs/dbraw/zinc/27/15/86/350271586.db2.gz FIMAWNVSVLRBOW-LLVKDONJSA-N 0 0 294.355 2.893 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])n[nH]1)N1CCCC[C@H]1CC1CCC1 ZINC000598461586 350272277 /nfs/dbraw/zinc/27/22/77/350272277.db2.gz UADDDLMIUBQMNJ-NSHDSACASA-N 0 0 292.339 2.503 20 5 CFBDRN COc1cc(C(=O)NC2CC(C)(C)C2)cc([N+](=O)[O-])c1C ZINC000598505043 350277605 /nfs/dbraw/zinc/27/76/05/350277605.db2.gz PVFCCLZBUZNQDS-UHFFFAOYSA-N 0 0 292.335 2.830 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C)CC1=CCSC1 ZINC000598514181 350279473 /nfs/dbraw/zinc/27/94/73/350279473.db2.gz JZHJRCHEHXBCRV-UHFFFAOYSA-N 0 0 292.360 2.648 20 5 CFBDRN Cc1ccnc([C@@H](C)Nc2ncc([N+](=O)[O-])cc2C)n1 ZINC000598641742 350299730 /nfs/dbraw/zinc/29/97/30/350299730.db2.gz WFAZLMAOAIVAAK-SNVBAGLBSA-N 0 0 273.296 2.570 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC(CC4CC4)C3)nc2c1 ZINC000598643707 350300565 /nfs/dbraw/zinc/30/05/65/350300565.db2.gz GGCRKQWQTBLZHA-UHFFFAOYSA-N 0 0 272.308 2.707 20 5 CFBDRN O=[N+]([O-])c1ncccc1N1CC(Cc2ccsc2)C1 ZINC000598643190 350300857 /nfs/dbraw/zinc/30/08/57/350300857.db2.gz KQKLMUBMVJYXOK-UHFFFAOYSA-N 0 0 275.333 2.730 20 5 CFBDRN C[C@@H]1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])C[C@H]1CO ZINC000598648327 350301670 /nfs/dbraw/zinc/30/16/70/350301670.db2.gz GEEBVHIFKVWFBG-PWSUYJOCSA-N 0 0 298.770 2.699 20 5 CFBDRN CSc1ccc([N+](=O)[O-])c(C(=O)N[C@]23C[C@H]2CCC3)c1 ZINC000598854824 350340829 /nfs/dbraw/zinc/34/08/29/350340829.db2.gz HHTCWGIQHPBXKA-YMTOWFKASA-N 0 0 292.360 2.989 20 5 CFBDRN O=C(c1cocn1)N1CCCCc2ccc([N+](=O)[O-])cc21 ZINC000598884114 350345014 /nfs/dbraw/zinc/34/50/14/350345014.db2.gz RFYWWEYNVVJCIM-UHFFFAOYSA-N 0 0 287.275 2.566 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] ZINC000598954023 350348158 /nfs/dbraw/zinc/34/81/58/350348158.db2.gz JYSWPKGZCUEWIP-ZHPDPMBESA-N 0 0 292.335 2.901 20 5 CFBDRN CCO[C@H](C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000598954283 350348865 /nfs/dbraw/zinc/34/88/65/350348865.db2.gz RDAZQFXVFKGEEI-ZDUSSCGKSA-N 0 0 278.308 2.657 20 5 CFBDRN CCO[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000598958694 350349095 /nfs/dbraw/zinc/34/90/95/350349095.db2.gz USKUAVNVASTPLW-CYBMUJFWSA-N 0 0 278.308 2.657 20 5 CFBDRN CC(C)OCCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599064411 350368053 /nfs/dbraw/zinc/36/80/53/350368053.db2.gz CNDWUQMXMPOLIB-UHFFFAOYSA-N 0 0 275.308 2.980 20 5 CFBDRN CCC[C@@H](OCC)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] ZINC000599042135 350363533 /nfs/dbraw/zinc/36/35/33/350363533.db2.gz KCVNCRPDLCPLCU-CQSZACIVSA-N 0 0 294.351 2.758 20 5 CFBDRN Cc1ccc(CN2C[C@H](C)OC[C@@H]2C)cc1[N+](=O)[O-] ZINC000092017535 180307481 /nfs/dbraw/zinc/30/74/81/180307481.db2.gz CCCMEZDRNBPSRH-RYUDHWBXSA-N 0 0 264.325 2.512 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@H]1CC1(F)F ZINC000598782707 350329676 /nfs/dbraw/zinc/32/96/76/350329676.db2.gz OHHIKKIREGQGQM-VIFPVBQESA-N 0 0 284.262 2.678 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000598801987 350330367 /nfs/dbraw/zinc/33/03/67/350330367.db2.gz AMJKGQYIZYOTHG-WQAKAFBOSA-N 0 0 260.293 2.970 20 5 CFBDRN CN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])cc1 ZINC000598804530 350331029 /nfs/dbraw/zinc/33/10/29/350331029.db2.gz WIRMDSVGQKKVNO-URLYPYJESA-N 0 0 260.293 2.604 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CCC(F)(F)C1 ZINC000599066830 350369254 /nfs/dbraw/zinc/36/92/54/350369254.db2.gz WEVSISVDQHORBM-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN O=[N+]([O-])c1c2ccncc2ccc1N1CCO[C@@H](C2CC2)C1 ZINC000599069199 350369564 /nfs/dbraw/zinc/36/95/64/350369564.db2.gz CZEJOYJZRYRJDR-OAHLLOKOSA-N 0 0 299.330 2.758 20 5 CFBDRN CC(C)(O)CCNc1ccc2cnccc2c1[N+](=O)[O-] ZINC000599072144 350370900 /nfs/dbraw/zinc/37/09/00/350370900.db2.gz ZLDDNVKXTBWRRE-UHFFFAOYSA-N 0 0 275.308 2.716 20 5 CFBDRN O=c1[nH]cccc1C[NH2+][C@H]1CCCc2ccc([N+](=O)[O-])cc21 ZINC000599087200 350374270 /nfs/dbraw/zinc/37/42/70/350374270.db2.gz FPWWWVOIQBTAKI-HNNXBMFYSA-N 0 0 299.330 2.863 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccc3cnccc3c2[N+](=O)[O-])CO1 ZINC000599092953 350375389 /nfs/dbraw/zinc/37/53/89/350375389.db2.gz LDVCTXBXAIUOQU-ONGXEEELSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](c2ccncc2)C1 ZINC000599101029 350376005 /nfs/dbraw/zinc/37/60/05/350376005.db2.gz KFIIPGAKYWYNTK-ZDUSSCGKSA-N 0 0 284.319 2.687 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](c2ccncc2)C1 ZINC000599101026 350376045 /nfs/dbraw/zinc/37/60/45/350376045.db2.gz KFIIPGAKYWYNTK-CYBMUJFWSA-N 0 0 284.319 2.687 20 5 CFBDRN C[C@]12COC[C@@H]1CN(c1ccc3cnccc3c1[N+](=O)[O-])C2 ZINC000599107615 350376700 /nfs/dbraw/zinc/37/67/00/350376700.db2.gz OWUZVEOZXWSFKG-LRDDRELGSA-N 0 0 299.330 2.616 20 5 CFBDRN C[C@@]12COC[C@@H]1CN(c1ccc3cnccc3c1[N+](=O)[O-])C2 ZINC000599107614 350376754 /nfs/dbraw/zinc/37/67/54/350376754.db2.gz OWUZVEOZXWSFKG-BLLLJJGKSA-N 0 0 299.330 2.616 20 5 CFBDRN O=[N+]([O-])c1sccc1NCC[C@@H]1CCCOC1 ZINC000599116495 350378097 /nfs/dbraw/zinc/37/80/97/350378097.db2.gz JESGAAWSJJFCIS-VIFPVBQESA-N 0 0 256.327 2.885 20 5 CFBDRN Nc1c(F)c(NCC2CC=CC2)ccc1[N+](=O)[O-] ZINC000599125676 350380942 /nfs/dbraw/zinc/38/09/42/350380942.db2.gz AEEPIMKNQSNZMG-UHFFFAOYSA-N 0 0 251.261 2.694 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCC(F)(F)CC2)cn1 ZINC000599133234 350381591 /nfs/dbraw/zinc/38/15/91/350381591.db2.gz GEPAOYVYDDFUQH-UHFFFAOYSA-N 0 0 257.240 2.615 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@]23C[C@H]2COC3(C)C)n1 ZINC000599142987 350383671 /nfs/dbraw/zinc/38/36/71/350383671.db2.gz MOYOFJILMVBDLY-IINYFYTJSA-N 0 0 277.324 2.586 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@]23C[C@@H]2COC3(C)C)c1 ZINC000599142713 350383788 /nfs/dbraw/zinc/38/37/88/350383788.db2.gz JQOVJDPAGCORAC-YGRLFVJLSA-N 0 0 262.309 2.883 20 5 CFBDRN CN(CC1(C)COC1)c1ccc2cnccc2c1[N+](=O)[O-] ZINC000599145034 350384140 /nfs/dbraw/zinc/38/41/40/350384140.db2.gz MPJNKYOQOCZPMZ-UHFFFAOYSA-N 0 0 287.319 2.616 20 5 CFBDRN CC1(C)OC[C@@H]2C[C@@]21Nc1ccc([N+](=O)[O-])cc1F ZINC000599143892 350384197 /nfs/dbraw/zinc/38/41/97/350384197.db2.gz YLNARSOLLREXAV-ISVAXAHUSA-N 0 0 266.272 2.713 20 5 CFBDRN Cc1cc(N2CC3(C2)CCCO3)c2cccc([N+](=O)[O-])c2n1 ZINC000599144880 350384470 /nfs/dbraw/zinc/38/44/70/350384470.db2.gz SEDGXLPZPVHLFY-UHFFFAOYSA-N 0 0 299.330 2.821 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC3(C2)CCCO3)c2ccncc21 ZINC000599145456 350384695 /nfs/dbraw/zinc/38/46/95/350384695.db2.gz ULKFUCOYTGLWCG-UHFFFAOYSA-N 0 0 285.303 2.512 20 5 CFBDRN CCC(F)(F)CNc1c(Cl)cncc1[N+](=O)[O-] ZINC000599147804 350384811 /nfs/dbraw/zinc/38/48/11/350384811.db2.gz DJGOFBRMCXISOW-UHFFFAOYSA-N 0 0 265.647 2.522 20 5 CFBDRN CCO[C@@]1(C)C[C@H]1Nc1ccc(F)cc1[N+](=O)[O-] ZINC000599147397 350384885 /nfs/dbraw/zinc/38/48/85/350384885.db2.gz NHOAEKRUDSIJGD-NEPJUHHUSA-N 0 0 254.261 2.713 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1c(F)cccc1[N+](=O)[O-] ZINC000599162881 350386911 /nfs/dbraw/zinc/38/69/11/350386911.db2.gz AXIXMGZDBSXLMI-APOZVJGGSA-N 0 0 268.288 2.958 20 5 CFBDRN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1Nc1sccc1[N+](=O)[O-] ZINC000599162959 350387021 /nfs/dbraw/zinc/38/70/21/350387021.db2.gz BVPNJNWDFWDGRI-QHOPCYEYSA-N 0 0 256.327 2.880 20 5 CFBDRN Cc1ccnc(N[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000599165492 350387552 /nfs/dbraw/zinc/38/75/52/350387552.db2.gz RUZUZFYUFKCXKB-RCWTZXSCSA-N 0 0 265.313 2.522 20 5 CFBDRN C/C=C\CNc1ccc([N+](=O)[O-])c(C(=O)OCC)c1 ZINC000599172701 350388823 /nfs/dbraw/zinc/38/88/23/350388823.db2.gz RKBZOASPFLTKBN-HYXAFXHYSA-N 0 0 264.281 2.760 20 5 CFBDRN C[C@@H]1CC[C@@H](c2noc(Cc3cccc([N+](=O)[O-])c3)n2)O1 ZINC000599177144 350389899 /nfs/dbraw/zinc/38/98/99/350389899.db2.gz KLSMJBSUXLGFPS-SKDRFNHKSA-N 0 0 289.291 2.809 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000599179761 350390172 /nfs/dbraw/zinc/39/01/72/350390172.db2.gz HMQRLSRXRPDNDD-QMTHXVAHSA-N 0 0 278.308 2.583 20 5 CFBDRN Cc1nc(N2CCc3c(O)cccc3C2)ccc1[N+](=O)[O-] ZINC000599179867 350390244 /nfs/dbraw/zinc/39/02/44/350390244.db2.gz APZUNYQZVNCPKR-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN C[C@H]1CC[C@H](c2noc(-c3ccccc3[N+](=O)[O-])n2)O1 ZINC000599178065 350390372 /nfs/dbraw/zinc/39/03/72/350390372.db2.gz XZGXQKBLLVWCIW-GZMMTYOYSA-N 0 0 275.264 2.885 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2CO[C@H](C3CC3)C2)c(Cl)c1 ZINC000599182177 350391451 /nfs/dbraw/zinc/39/14/51/350391451.db2.gz WCPGCKRYWMTNBY-KWQFWETISA-N 0 0 283.715 2.623 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H]2CO[C@@H](C3CC3)C2)n1 ZINC000599181735 350391600 /nfs/dbraw/zinc/39/16/00/350391600.db2.gz SWBFNKNKLBVMHA-NWDGAFQWSA-N 0 0 277.324 2.586 20 5 CFBDRN CCC(O)(CC)CN(C)c1ncc([N+](=O)[O-])cc1Cl ZINC000599190226 350392596 /nfs/dbraw/zinc/39/25/96/350392596.db2.gz FCTXHIDWVQTXIO-UHFFFAOYSA-N 0 0 287.747 2.631 20 5 CFBDRN Cc1nn(C)c(-c2nc(C3(C)CCCC3)no2)c1[N+](=O)[O-] ZINC000599197463 350394379 /nfs/dbraw/zinc/39/43/79/350394379.db2.gz HMNSISKQPUIKNQ-UHFFFAOYSA-N 0 0 291.311 2.518 20 5 CFBDRN NC(=O)c1cccc([N+](=O)[O-])c1NC[C@H]1CCCC12CC2 ZINC000599199015 350395320 /nfs/dbraw/zinc/39/53/20/350395320.db2.gz YAWWQOTUGPMWBV-SNVBAGLBSA-N 0 0 289.335 2.686 20 5 CFBDRN NC(=O)c1ccc(NC[C@@H]2CCCC23CC3)c([N+](=O)[O-])c1 ZINC000599207637 350396333 /nfs/dbraw/zinc/39/63/33/350396333.db2.gz XZDQVTGHEUITKB-NSHDSACASA-N 0 0 289.335 2.686 20 5 CFBDRN CCOc1cc(NC[C@H]2C[C@@H](OC)C2)ccc1[N+](=O)[O-] ZINC000599206281 350396601 /nfs/dbraw/zinc/39/66/01/350396601.db2.gz PZXVXWPEJDYVNZ-KLPPZKSPSA-N 0 0 280.324 2.830 20 5 CFBDRN CCO[C@H](CN1CCc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000599358628 350422224 /nfs/dbraw/zinc/42/22/24/350422224.db2.gz KJIHESYCWANFQB-OAHLLOKOSA-N 0 0 276.336 2.772 20 5 CFBDRN CCO[C@@H](CN1CCc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000599358627 350422568 /nfs/dbraw/zinc/42/25/68/350422568.db2.gz KJIHESYCWANFQB-HNNXBMFYSA-N 0 0 276.336 2.772 20 5 CFBDRN COCCC1CCN(Cc2c(F)cccc2[N+](=O)[O-])CC1 ZINC000599507229 350447749 /nfs/dbraw/zinc/44/77/49/350447749.db2.gz SVDCXMIZOKZWSG-UHFFFAOYSA-N 0 0 296.342 2.982 20 5 CFBDRN Cc1ccnc(N[C@@H]2CCO[C@@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000271374331 191104897 /nfs/dbraw/zinc/10/48/97/191104897.db2.gz DXDVCDFOFSHHCM-VXGBXAGGSA-N 0 0 279.340 2.914 20 5 CFBDRN CC(C)(C)OC(=O)C(C)(C)NCc1ccc([N+](=O)[O-])cc1 ZINC000599661763 350476436 /nfs/dbraw/zinc/47/64/36/350476436.db2.gz UOWKEQTYQPQNGY-UHFFFAOYSA-N 0 0 294.351 2.805 20 5 CFBDRN O=[N+]([O-])c1ccc(C[N@H+]2CC[C@@H]3CC[C@@H](O)[C@@H]32)c(Cl)c1 ZINC000599685439 350482260 /nfs/dbraw/zinc/48/22/60/350482260.db2.gz WWLRUKNYLGVYBJ-CUOATXAZSA-N 0 0 296.754 2.593 20 5 CFBDRN COc1ccc(NC(=O)C2(CCF)CC2)c([N+](=O)[O-])c1 ZINC000291042054 197898230 /nfs/dbraw/zinc/89/82/30/197898230.db2.gz BAGLYNVIMGHERI-UHFFFAOYSA-N 0 0 282.271 2.682 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)[C@H]1CCCC12CC2 ZINC000599717188 350488344 /nfs/dbraw/zinc/48/83/44/350488344.db2.gz FNVRUKHGQWHWTL-CQSZACIVSA-N 0 0 288.347 2.834 20 5 CFBDRN CC1CN(C(=O)Nc2ccc([N+](=O)[O-])c3ccncc23)C1 ZINC000599834842 350495515 /nfs/dbraw/zinc/49/55/15/350495515.db2.gz JFQUBDYJWSEXBH-UHFFFAOYSA-N 0 0 286.291 2.627 20 5 CFBDRN COC1CC(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)C1 ZINC000599960043 350501443 /nfs/dbraw/zinc/50/14/43/350501443.db2.gz XGDBRCPJLSOHIB-UHFFFAOYSA-N 0 0 278.308 2.575 20 5 CFBDRN CCOCCN(CC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000107802342 186191105 /nfs/dbraw/zinc/19/11/05/186191105.db2.gz PEFHQJXMQHPTTI-UHFFFAOYSA-N 0 0 295.339 2.794 20 5 CFBDRN O=C(NC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccc([N+](=O)[O-])s1 ZINC000600162564 350525315 /nfs/dbraw/zinc/52/53/15/350525315.db2.gz UKIFAVNMIGQMMA-KXUCPTDWSA-N 0 0 280.349 2.822 20 5 CFBDRN CC(C)c1ccc(C(=O)N2C[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000600220850 350542775 /nfs/dbraw/zinc/54/27/75/350542775.db2.gz RNCWVMHBQFWXTM-TXEJJXNPSA-N 0 0 274.320 2.810 20 5 CFBDRN C[C@H](C(=O)NCC1CC=CC1)c1cccc([N+](=O)[O-])c1 ZINC000600223758 350542821 /nfs/dbraw/zinc/54/28/21/350542821.db2.gz AQYABUIWBBQWLE-NSHDSACASA-N 0 0 274.320 2.781 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCc1cn2ccccc2n1 ZINC000108349471 186213180 /nfs/dbraw/zinc/21/31/80/186213180.db2.gz HAGAVCORVUKACH-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cn1c(C(=O)N2CC(C)(C)CCC2(C)C)ccc1[N+](=O)[O-] ZINC000600225797 350543388 /nfs/dbraw/zinc/54/33/88/350543388.db2.gz ASHTVSRBGLMIQD-UHFFFAOYSA-N 0 0 293.367 2.974 20 5 CFBDRN CC(C)(C(=O)NCC1CC=CC1)c1ccccc1[N+](=O)[O-] ZINC000600224647 350543477 /nfs/dbraw/zinc/54/34/77/350543477.db2.gz OHBFKEFMDQRCKV-UHFFFAOYSA-N 0 0 288.347 2.955 20 5 CFBDRN Cc1c(C(=O)NCC2CC=CC2)cccc1[N+](=O)[O-] ZINC000600228819 350543881 /nfs/dbraw/zinc/54/38/81/350543881.db2.gz GFHXMRGCJBGFJH-UHFFFAOYSA-N 0 0 260.293 2.599 20 5 CFBDRN O=C(c1ccccc1[N+](=O)[O-])N1CC[C@H]2CC[C@@H](C1)S2 ZINC000600277850 350546713 /nfs/dbraw/zinc/54/67/13/350546713.db2.gz DCJCMUGRBYEDGR-MNOVXSKESA-N 0 0 292.360 2.705 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N(C1CC1)C1CC1 ZINC000600360683 350550476 /nfs/dbraw/zinc/55/04/76/350550476.db2.gz QZDKXPNPSHGCCP-UHFFFAOYSA-N 0 0 299.330 2.772 20 5 CFBDRN CCc1ccc(C(=O)NCc2cccnc2C)cc1[N+](=O)[O-] ZINC000600390071 350552399 /nfs/dbraw/zinc/55/23/99/350552399.db2.gz OBSFDNDKYZPHTG-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)NCC[C@@H]1CC=CCC1 ZINC000600392605 350553087 /nfs/dbraw/zinc/55/30/87/350553087.db2.gz ILHRENGMDKIKTK-CYBMUJFWSA-N 0 0 288.347 3.000 20 5 CFBDRN Cc1ncccc1CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000600391469 350553233 /nfs/dbraw/zinc/55/32/33/350553233.db2.gz VEDHZRCCWYVLMR-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN C[C@H]1CC(F)(F)CCN1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000600450609 350557048 /nfs/dbraw/zinc/55/70/48/350557048.db2.gz XEEMORSNBHVOEK-JTQLQIEISA-N 0 0 298.289 2.784 20 5 CFBDRN C/C=C\CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000600494014 350559246 /nfs/dbraw/zinc/55/92/46/350559246.db2.gz WAJJDBOYSRABAY-IHWYPQMZSA-N 0 0 288.225 2.920 20 5 CFBDRN C/C=C/CNC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] ZINC000600495665 350559604 /nfs/dbraw/zinc/55/96/04/350559604.db2.gz WPEISOKKDKNQER-CDAZIORVSA-N 0 0 298.726 2.708 20 5 CFBDRN CC1(C)CC(Cn2cc(Cl)cc([N+](=O)[O-])c2=O)C1 ZINC000600533299 350566522 /nfs/dbraw/zinc/56/65/22/350566522.db2.gz CKZYSOUVNADAJV-UHFFFAOYSA-N 0 0 270.716 2.846 20 5 CFBDRN CC(C)SCn1cnc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000600537923 350567522 /nfs/dbraw/zinc/56/75/22/350567522.db2.gz QIZNOBOZXBPUHK-UHFFFAOYSA-N 0 0 278.337 2.952 20 5 CFBDRN CCO[C@H](COc1ccc(C(C)=O)cc1[N+](=O)[O-])C1CC1 ZINC000600538267 350567674 /nfs/dbraw/zinc/56/76/74/350567674.db2.gz VFZPVQSSLPGJNP-OAHLLOKOSA-N 0 0 293.319 2.991 20 5 CFBDRN COc1cc(Cn2ccnc2C2CC2)ccc1[N+](=O)[O-] ZINC000600612267 350580194 /nfs/dbraw/zinc/58/01/94/350580194.db2.gz ZZYNUXIIIQAIMQ-UHFFFAOYSA-N 0 0 273.292 2.726 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1CSC1 ZINC000600893883 350624098 /nfs/dbraw/zinc/62/40/98/350624098.db2.gz BEEHCUVTFIEAGM-UHFFFAOYSA-N 0 0 266.322 2.513 20 5 CFBDRN O=[N+]([O-])c1ccccc1CS(=O)(=O)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000600892588 350624212 /nfs/dbraw/zinc/62/42/12/350624212.db2.gz VGNNLNOMMIDLDI-LMKPVCQUSA-N 0 0 295.360 2.556 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000600894247 350624443 /nfs/dbraw/zinc/62/44/43/350624443.db2.gz PREXJBJIXAVXGO-IJLUTSLNSA-N 0 0 292.335 2.964 20 5 CFBDRN CCC(O)(CC)CN(C)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000600766915 350607827 /nfs/dbraw/zinc/60/78/27/350607827.db2.gz NHEJGISPGMOBBM-UHFFFAOYSA-N 0 0 294.351 2.526 20 5 CFBDRN O=C(NCC1CCCC1)c1c(F)cccc1[N+](=O)[O-] ZINC000600995212 350643315 /nfs/dbraw/zinc/64/33/15/350643315.db2.gz OAIXMQMVRUNXTG-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN O=C(NCc1ccncc1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000110157514 186287087 /nfs/dbraw/zinc/28/70/87/186287087.db2.gz UOCFLDQCXZRLTG-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H](C)O[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000601003205 350644243 /nfs/dbraw/zinc/64/42/43/350644243.db2.gz JDNZQGKPGATKBK-PUHVVEEASA-N 0 0 292.335 2.586 20 5 CFBDRN CN(C(=O)CC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 ZINC000110186688 186288205 /nfs/dbraw/zinc/28/82/05/186288205.db2.gz PLFIBJUUKKREPC-CQSZACIVSA-N 0 0 292.335 2.907 20 5 CFBDRN C[C@@H]1C[C@H]1NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601035415 350648558 /nfs/dbraw/zinc/64/85/58/350648558.db2.gz UZCKRFNIDAKWLR-ZWNOBZJWSA-N 0 0 274.320 2.541 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000110332306 186292340 /nfs/dbraw/zinc/29/23/40/186292340.db2.gz CAAMMGNOFBUVFN-JTQLQIEISA-N 0 0 250.298 2.713 20 5 CFBDRN CCc1nc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])o2)cs1 ZINC000110503639 186300247 /nfs/dbraw/zinc/30/02/47/186300247.db2.gz QFVVWVGFYARVPA-ZETCQYMHSA-N 0 0 295.320 2.698 20 5 CFBDRN CCOC(=O)C[C@H](C)Sc1ncc(F)cc1[N+](=O)[O-] ZINC000601118950 350673160 /nfs/dbraw/zinc/67/31/60/350673160.db2.gz RQVJGYAAHGPVMU-ZETCQYMHSA-N 0 0 288.300 2.563 20 5 CFBDRN CC1(C)CO[C@@H](COc2ccc([N+](=O)[O-])cc2F)C1 ZINC000601075245 350658871 /nfs/dbraw/zinc/65/88/71/350658871.db2.gz NFQWKVFMGZBERG-SNVBAGLBSA-N 0 0 269.272 2.928 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000601079541 350660801 /nfs/dbraw/zinc/66/08/01/350660801.db2.gz AMWRVIWKOGHNDA-WCQYABFASA-N 0 0 287.319 2.883 20 5 CFBDRN C[C@H]1OCC[C@H]1Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000601079542 350661213 /nfs/dbraw/zinc/66/12/13/350661213.db2.gz AMWRVIWKOGHNDA-YPMHNXCESA-N 0 0 287.319 2.883 20 5 CFBDRN O=[N+]([O-])c1cn(COC2CCCCC2)nc1C1CC1 ZINC000601080236 350661726 /nfs/dbraw/zinc/66/17/26/350661726.db2.gz ACWWOHZQVPLEOS-UHFFFAOYSA-N 0 0 265.313 2.976 20 5 CFBDRN CC1(C)CO[C@@H](CSc2ccc([N+](=O)[O-])cn2)C1 ZINC000601081295 350662266 /nfs/dbraw/zinc/66/22/66/350662266.db2.gz UWIXFUFCPSZGCM-SNVBAGLBSA-N 0 0 268.338 2.897 20 5 CFBDRN COc1cc(OC[C@@H]2CC(C)(C)CO2)ccc1[N+](=O)[O-] ZINC000601081516 350662525 /nfs/dbraw/zinc/66/25/25/350662525.db2.gz PKXYNVUYYVXAPN-NSHDSACASA-N 0 0 281.308 2.797 20 5 CFBDRN CCc1cnc(CCNc2ncc(F)cc2[N+](=O)[O-])s1 ZINC000601121334 350674798 /nfs/dbraw/zinc/67/47/98/350674798.db2.gz RKPRFFLTYOMPSP-UHFFFAOYSA-N 0 0 296.327 2.802 20 5 CFBDRN COCC1(C2CC2)CN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000601224890 350702888 /nfs/dbraw/zinc/70/28/88/350702888.db2.gz CKXSJOONKNCTBR-UHFFFAOYSA-N 0 0 297.742 2.506 20 5 CFBDRN Cc1ccnc(Nc2cnn3c2CCCC3)c1[N+](=O)[O-] ZINC000601232372 350704721 /nfs/dbraw/zinc/70/47/21/350704721.db2.gz QKUMNCVKTGUFSI-UHFFFAOYSA-N 0 0 273.296 2.575 20 5 CFBDRN CCOc1cc(N[C@H](C)Cn2cccn2)ccc1[N+](=O)[O-] ZINC000111048001 186348714 /nfs/dbraw/zinc/34/87/14/186348714.db2.gz FRYJNNDVUXABRY-LLVKDONJSA-N 0 0 290.323 2.691 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc(C)c2[N+](=O)[O-])C[C@@H](C)O1 ZINC000111003664 186341805 /nfs/dbraw/zinc/34/18/05/186341805.db2.gz ONYLNASFKXHUOZ-NEPJUHHUSA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000111004871 186342150 /nfs/dbraw/zinc/34/21/50/186342150.db2.gz MPYYKVMZOYYSCX-FZMZJTMJSA-N 0 0 292.335 2.543 20 5 CFBDRN CC(C)(C)OC1CCN(c2ncc(F)cc2[N+](=O)[O-])CC1 ZINC000601129784 350676977 /nfs/dbraw/zinc/67/69/77/350676977.db2.gz LTFZIIIDWZAWKH-UHFFFAOYSA-N 0 0 297.330 2.913 20 5 CFBDRN C[C@H]1C[C@H](Nc2ccnc3ccc([N+](=O)[O-])cc32)CCO1 ZINC000601136316 350679218 /nfs/dbraw/zinc/67/92/18/350679218.db2.gz CPJWGXSVOVJAMY-WDEREUQCSA-N 0 0 287.319 2.544 20 5 CFBDRN CC[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2C)C[C@@H](C)O1 ZINC000111010854 186343031 /nfs/dbraw/zinc/34/30/31/186343031.db2.gz BVQBTRIFFLAAGJ-PWSUYJOCSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1NCCC[C@H]1CCCCO1 ZINC000601142003 350680485 /nfs/dbraw/zinc/68/04/85/350680485.db2.gz KLFOZDJLANKWED-LLVKDONJSA-N 0 0 283.303 2.890 20 5 CFBDRN COC1(CNc2ncc(F)cc2[N+](=O)[O-])CCCCC1 ZINC000601145186 350681125 /nfs/dbraw/zinc/68/11/25/350681125.db2.gz RKJGBRLXUDCIBP-UHFFFAOYSA-N 0 0 283.303 2.890 20 5 CFBDRN CN(CC1(O)CCCCCC1)c1ncc(F)cc1[N+](=O)[O-] ZINC000601149672 350682266 /nfs/dbraw/zinc/68/22/66/350682266.db2.gz OYXHKAFFSXWDNL-UHFFFAOYSA-N 0 0 297.330 2.650 20 5 CFBDRN O=[N+]([O-])c1ccccc1CNc1cnn2c1CCCC2 ZINC000601150778 350682868 /nfs/dbraw/zinc/68/28/68/350682868.db2.gz CRLMKLZIQOKIKG-UHFFFAOYSA-N 0 0 272.308 2.740 20 5 CFBDRN O=[N+]([O-])c1cc(CNc2cnn3c2CCCC3)cs1 ZINC000601151178 350682920 /nfs/dbraw/zinc/68/29/20/350682920.db2.gz QWNDPSMMOGJHJF-UHFFFAOYSA-N 0 0 278.337 2.801 20 5 CFBDRN C[C@@H]1[C@@H](C(F)(F)F)CCN1c1ncc(F)cc1[N+](=O)[O-] ZINC000601158084 350684401 /nfs/dbraw/zinc/68/44/01/350684401.db2.gz MIFFGCDKTOUNOA-SVRRBLITSA-N 0 0 293.220 2.906 20 5 CFBDRN Cc1n[nH]cc1CN(C)c1ccc([N+](=O)[O-])c(C)c1 ZINC000601182596 350690871 /nfs/dbraw/zinc/69/08/71/350690871.db2.gz VRBMDHNIAVPXPG-UHFFFAOYSA-N 0 0 260.297 2.571 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N[C@H](C1CC1)[C@H]1CCCCO1 ZINC000601186831 350691413 /nfs/dbraw/zinc/69/14/13/350691413.db2.gz CDRXPFOGUONVHK-CHWSQXEVSA-N 0 0 295.314 2.889 20 5 CFBDRN O=[N+]([O-])c1cc(F)cnc1N1CCC[C@H](OC2CCC2)C1 ZINC000601186925 350691530 /nfs/dbraw/zinc/69/15/30/350691530.db2.gz GWDGFQSOZVTOID-LBPRGKRZSA-N 0 0 295.314 2.667 20 5 CFBDRN C[C@@H](CNc1ncc(F)cc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000601187113 350691602 /nfs/dbraw/zinc/69/16/02/350691602.db2.gz OHDYARIEHCGCAH-QMMMGPOBSA-N 0 0 299.302 2.519 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N1CC[C@@H]1C(F)(F)F ZINC000601188458 350692365 /nfs/dbraw/zinc/69/23/65/350692365.db2.gz GXOFERJVATTYJA-SSDOTTSWSA-N 0 0 281.621 2.784 20 5 CFBDRN Cc1cc(N[C@@H](C)c2cnccn2)c([N+](=O)[O-])s1 ZINC000601192257 350692816 /nfs/dbraw/zinc/69/28/16/350692816.db2.gz SGRZQUQPKYRQBY-QMMMGPOBSA-N 0 0 264.310 2.928 20 5 CFBDRN COc1cccc(N2CCC(SC)CC2)c1[N+](=O)[O-] ZINC000601207009 350697405 /nfs/dbraw/zinc/69/74/05/350697405.db2.gz RGTDJKUYVVKZFT-UHFFFAOYSA-N 0 0 282.365 2.935 20 5 CFBDRN CSC1CCN(c2cc(C)c([N+](=O)[O-])cn2)CC1 ZINC000601207357 350697594 /nfs/dbraw/zinc/69/75/94/350697594.db2.gz IDGLZBLWQOECPW-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1cnc(N2CC(c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000601210345 350698263 /nfs/dbraw/zinc/69/82/63/350698263.db2.gz NVGOEFRMPPVADL-UHFFFAOYSA-N 0 0 269.304 2.902 20 5 CFBDRN CCC(C)(C)CCNc1cc[nH]c(=O)c1[N+](=O)[O-] ZINC000601217493 350700123 /nfs/dbraw/zinc/70/01/23/350700123.db2.gz HVGUOOKRMUJOMQ-UHFFFAOYSA-N 0 0 253.302 2.934 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC(CCF)CC2)c(F)c1 ZINC000601218512 350700980 /nfs/dbraw/zinc/70/09/80/350700980.db2.gz XEPFWRUFJLXOJK-UHFFFAOYSA-N 0 0 271.267 2.705 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1NCC[C@@H](O)C(F)F ZINC000601222462 350701474 /nfs/dbraw/zinc/70/14/74/350701474.db2.gz LJSLYQPEERSDFP-SECBINFHSA-N 0 0 280.658 2.676 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1Sc1nc(C(C)(C)C)c[nH]1 ZINC000601221267 350701534 /nfs/dbraw/zinc/70/15/34/350701534.db2.gz JMKZQHJBYCOLMJ-UHFFFAOYSA-N 0 0 281.341 2.500 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)nccc2NCC[C@@H](O)C(F)F ZINC000601221914 350701536 /nfs/dbraw/zinc/70/15/36/350701536.db2.gz DNRWPOSGDQUSPZ-GFCCVEGCSA-N 0 0 297.261 2.571 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1CC[C@H]1C(F)F ZINC000601600944 350745136 /nfs/dbraw/zinc/74/51/36/350745136.db2.gz GMLYNKDQEAFUIG-JTQLQIEISA-N 0 0 284.262 2.691 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCC12CC2 ZINC000601701805 350764349 /nfs/dbraw/zinc/76/43/49/350764349.db2.gz ISSPCOGQHXCGJF-CYBMUJFWSA-N 0 0 274.320 2.586 20 5 CFBDRN CCc1ccc(C(=O)N(CC(C)=O)C(C)C)cc1[N+](=O)[O-] ZINC000601606734 350746755 /nfs/dbraw/zinc/74/67/55/350746755.db2.gz MQLLLYSAZYLFQW-UHFFFAOYSA-N 0 0 292.335 2.597 20 5 CFBDRN CC[C@H](C)[C@@H](CNC(=O)c1c(F)cccc1[N+](=O)[O-])OC ZINC000601610810 350747554 /nfs/dbraw/zinc/74/75/54/350747554.db2.gz FOHXLJNPKNCLPN-JOYOIKCWSA-N 0 0 298.314 2.525 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])[C@H](C)C1 ZINC000601647875 350752793 /nfs/dbraw/zinc/75/27/93/350752793.db2.gz OEVLHSWULADYBR-NXEZZACHSA-N 0 0 280.299 2.995 20 5 CFBDRN CC(C)(C)OCCCNC(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000601669414 350755900 /nfs/dbraw/zinc/75/59/00/350755900.db2.gz GSZWJSOAAJAMGI-UHFFFAOYSA-N 0 0 298.314 2.669 20 5 CFBDRN O=C(NC[C@@H]1CC[C@H]2C[C@H]2C1)c1c(F)cccc1[N+](=O)[O-] ZINC000601679011 350758166 /nfs/dbraw/zinc/75/81/66/350758166.db2.gz GOUOWGLLLSLVLL-OUAUKWLOSA-N 0 0 292.310 2.900 20 5 CFBDRN CC(C)(F)CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000601687450 350759478 /nfs/dbraw/zinc/75/94/78/350759478.db2.gz BXAJJAABPBUATJ-UHFFFAOYSA-N 0 0 274.679 2.726 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCC(C)(C)F)c1 ZINC000601689762 350760686 /nfs/dbraw/zinc/76/06/86/350760686.db2.gz XSJUOUYTRLAWMK-UHFFFAOYSA-N 0 0 298.314 2.536 20 5 CFBDRN CC(C)[C@@H]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000601304880 350719710 /nfs/dbraw/zinc/71/97/10/350719710.db2.gz SSUSMSIVKCOQKV-SNVBAGLBSA-N 0 0 280.299 2.852 20 5 CFBDRN COCc1noc(C2(c3ccc([N+](=O)[O-])cc3)CCC2)n1 ZINC000601354980 350725886 /nfs/dbraw/zinc/72/58/86/350725886.db2.gz YYWKFCCNXWTVEJ-UHFFFAOYSA-N 0 0 289.291 2.594 20 5 CFBDRN O=C(NC[C@H]1CCCCS1)c1c(F)cccc1[N+](=O)[O-] ZINC000601429414 350732421 /nfs/dbraw/zinc/73/24/21/350732421.db2.gz PKYBGMPNSXSWQA-SECBINFHSA-N 0 0 298.339 2.749 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])[nH]2)[C@H]1c1ccco1 ZINC000601698902 350763735 /nfs/dbraw/zinc/76/37/35/350763735.db2.gz KUWLHEYISFATQS-LBPRGKRZSA-N 0 0 289.291 2.739 20 5 CFBDRN C[C@@H](CC1CCC1)NC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC000601567103 350741036 /nfs/dbraw/zinc/74/10/36/350741036.db2.gz QJKNYTRGLINFIW-NSHDSACASA-N 0 0 291.351 2.973 20 5 CFBDRN C[C@@H]1CC[C@@H]1NC(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000601584913 350742884 /nfs/dbraw/zinc/74/28/84/350742884.db2.gz ZUKIMYRZXKEHSJ-RISCZKNCSA-N 0 0 288.347 2.931 20 5 CFBDRN O=C(c1c(F)cccc1[N+](=O)[O-])N1CCC(C2CC2)CC1 ZINC000601591646 350743733 /nfs/dbraw/zinc/74/37/33/350743733.db2.gz ORZMQWLKYCYLTM-UHFFFAOYSA-N 0 0 292.310 2.996 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1ccc([N+](=O)[O-])s1 ZINC000601706262 350765519 /nfs/dbraw/zinc/76/55/19/350765519.db2.gz VYMNKWKBQFORNJ-VIFPVBQESA-N 0 0 266.322 2.719 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CCCC23CC3)ccc1[N+](=O)[O-] ZINC000601706274 350765539 /nfs/dbraw/zinc/76/55/39/350765539.db2.gz WIUHKBGBUSBVMI-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCC12CC2 ZINC000601706399 350765700 /nfs/dbraw/zinc/76/57/00/350765700.db2.gz UBTAPVUBKZYZQQ-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN O=C(N[C@H]1CCCC12CC2)c1cc(F)cc([N+](=O)[O-])c1 ZINC000601707217 350765707 /nfs/dbraw/zinc/76/57/07/350765707.db2.gz ZMJIRXPTPRJDJN-LBPRGKRZSA-N 0 0 278.283 2.796 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])[C@@H](C)O1 ZINC000601762197 350772877 /nfs/dbraw/zinc/77/28/77/350772877.db2.gz RRISUVVUDWRSFD-XLDPMVHQSA-N 0 0 298.726 2.544 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C)(F)CC1 ZINC000601866946 350794438 /nfs/dbraw/zinc/79/44/38/350794438.db2.gz FSDTUEXTBDIANM-UHFFFAOYSA-N 0 0 296.298 2.568 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2C[C@H]2C(F)(F)F)cccc1[N+](=O)[O-] ZINC000601894952 350799260 /nfs/dbraw/zinc/79/92/60/350799260.db2.gz TVACYIBVLPPTHN-RKDXNWHRSA-N 0 0 288.225 2.584 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCOc1cccc(Cl)c1F ZINC000601912963 350800570 /nfs/dbraw/zinc/80/05/70/350800570.db2.gz OBEBCNWWXOSZNN-UHFFFAOYSA-N 0 0 299.689 2.971 20 5 CFBDRN Cc1noc(C)c1CSCCn1c(C)ncc1[N+](=O)[O-] ZINC000601917309 350801556 /nfs/dbraw/zinc/80/15/56/350801556.db2.gz IHASELQLVMCXQP-UHFFFAOYSA-N 0 0 296.352 2.638 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000601918383 350802313 /nfs/dbraw/zinc/80/23/13/350802313.db2.gz STMLJWKBGCCIAB-ZSBIGDGJSA-N 0 0 281.381 2.879 20 5 CFBDRN C[C@@H]1OCC[C@H]1COc1ccc([N+](=O)[O-])c2ncccc12 ZINC000601929233 350804505 /nfs/dbraw/zinc/80/45/05/350804505.db2.gz ZJSVQJUZGGVLNB-QWRGUYRKSA-N 0 0 288.303 2.947 20 5 CFBDRN CCC[C@@H]1C(=O)N(c2ccc([N+](=O)[O-])cc2)N=C1C ZINC000601935103 350807601 /nfs/dbraw/zinc/80/76/01/350807601.db2.gz PMEDOBMTWWOYMK-LBPRGKRZSA-N 0 0 261.281 2.734 20 5 CFBDRN CC1=NN(c2ccc([N+](=O)[O-])cc2Cl)C(=O)[C@@H](C)C1 ZINC000601938843 350810040 /nfs/dbraw/zinc/81/00/40/350810040.db2.gz KOGYGPUZISQLHW-ZETCQYMHSA-N 0 0 281.699 2.997 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)[C@H]1CCN1C1CCCC1 ZINC000602027462 350835169 /nfs/dbraw/zinc/83/51/69/350835169.db2.gz SRGDRGVPSZCXQR-CQSZACIVSA-N 0 0 289.335 2.550 20 5 CFBDRN CCC(C)(C)[C@H](O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000602028067 350836074 /nfs/dbraw/zinc/83/60/74/350836074.db2.gz YKFIGBHRDUSANE-GFCCVEGCSA-N 0 0 280.324 2.639 20 5 CFBDRN CCO[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC)C(C)C ZINC000602233442 350855056 /nfs/dbraw/zinc/85/50/56/350855056.db2.gz ZYUDRFKJTPFVNH-CYBMUJFWSA-N 0 0 296.323 2.603 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NC(C)(C)CC(C)(C)C ZINC000602235419 350855139 /nfs/dbraw/zinc/85/51/39/350855139.db2.gz IAKZJRMLKKFJDS-UHFFFAOYSA-N 0 0 281.356 2.878 20 5 CFBDRN Cc1nc(NC(=O)C2(C(F)F)CCC2)ccc1[N+](=O)[O-] ZINC000602284599 350858792 /nfs/dbraw/zinc/85/87/92/350858792.db2.gz FHYMYZIDWDUPCE-UHFFFAOYSA-N 0 0 285.250 2.672 20 5 CFBDRN CCO[C@H](C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C1CC1 ZINC000602300539 350861094 /nfs/dbraw/zinc/86/10/94/350861094.db2.gz AGORNTSUCUEDDA-ZDUSSCGKSA-N 0 0 278.308 2.657 20 5 CFBDRN CCCN(C(=O)c1nocc1C)c1cccc([N+](=O)[O-])c1 ZINC000602325387 350863678 /nfs/dbraw/zinc/86/36/78/350863678.db2.gz LIMPTYKSCXLLJE-UHFFFAOYSA-N 0 0 289.291 2.948 20 5 CFBDRN CC(C)c1coc(NC(=O)Cc2cccc([N+](=O)[O-])c2)n1 ZINC000602398318 350874051 /nfs/dbraw/zinc/87/40/51/350874051.db2.gz LSPILFCIRWUSDR-UHFFFAOYSA-N 0 0 289.291 2.887 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)N1c2ccccc2CC1(C)C ZINC000602401210 350874959 /nfs/dbraw/zinc/87/49/59/350874959.db2.gz KDPRDOBIMNIDMA-UHFFFAOYSA-N 0 0 299.330 2.915 20 5 CFBDRN CCN(CCOc1ccc([N+](=O)[O-])cc1)CC(F)(F)F ZINC000112343364 186487256 /nfs/dbraw/zinc/48/72/56/186487256.db2.gz FXWNEHRFGGMYJG-UHFFFAOYSA-N 0 0 292.257 2.858 20 5 CFBDRN CCN(CCOc1ccccc1[N+](=O)[O-])CC(F)(F)F ZINC000112344849 186487278 /nfs/dbraw/zinc/48/72/78/186487278.db2.gz GRQDEXAMQVYSAZ-UHFFFAOYSA-N 0 0 292.257 2.858 20 5 CFBDRN O=[N+]([O-])c1ccc2oc(CN3CC[C@@H]3C3CC3)nc2c1 ZINC000602681947 350976940 /nfs/dbraw/zinc/97/69/40/350976940.db2.gz XQNTWCLRMYDZHX-GFCCVEGCSA-N 0 0 273.292 2.720 20 5 CFBDRN Cc1ccc(C)c(CN(C)Cc2cn(C)nc2[N+](=O)[O-])c1 ZINC000602634842 350955021 /nfs/dbraw/zinc/95/50/21/350955021.db2.gz BLTFMQDYCPOWAO-UHFFFAOYSA-N 0 0 288.351 2.577 20 5 CFBDRN CCN(C#N)CCN(Cc1ccc([N+](=O)[O-])cc1)C(C)C ZINC000602764224 351016782 /nfs/dbraw/zinc/01/67/82/351016782.db2.gz NOOJSYSTLRPJTD-UHFFFAOYSA-N 0 0 290.367 2.608 20 5 CFBDRN O=C(NC/C=C/c1ccccc1)c1ccc([N+](=O)[O-])o1 ZINC000112583897 186506233 /nfs/dbraw/zinc/50/62/33/186506233.db2.gz AOBHVBGLWFYFFI-QPJJXVBHSA-N 0 0 272.260 2.631 20 5 CFBDRN Cn1cc(CN2CCCCc3sccc32)c([N+](=O)[O-])n1 ZINC000602825162 351037697 /nfs/dbraw/zinc/03/76/97/351037697.db2.gz JVMGGWLZORPYMD-UHFFFAOYSA-N 0 0 292.364 2.733 20 5 CFBDRN Cc1c(CN(C)Cc2ccns2)cccc1[N+](=O)[O-] ZINC000602881969 351057411 /nfs/dbraw/zinc/05/74/11/351057411.db2.gz ILKBSTBBMHDNES-UHFFFAOYSA-N 0 0 277.349 2.992 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCC[C@H]1c1ncccn1 ZINC000602901130 351061491 /nfs/dbraw/zinc/06/14/91/351061491.db2.gz WZUFNLGCMOLLFD-AWEZNQCLSA-N 0 0 284.319 2.722 20 5 CFBDRN CC1(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)COC1 ZINC000602741841 351010897 /nfs/dbraw/zinc/01/08/97/351010897.db2.gz VZCOTESNQAHAMZ-UHFFFAOYSA-N 0 0 288.303 2.733 20 5 CFBDRN Cc1ccc(NC(=O)N[C@H](C)C(F)F)cc1[N+](=O)[O-] ZINC000602756729 351014695 /nfs/dbraw/zinc/01/46/95/351014695.db2.gz OJGQIGXLZRGJNT-SSDOTTSWSA-N 0 0 273.239 2.678 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)n1cc(C2CC2)nn1 ZINC000603185317 351115708 /nfs/dbraw/zinc/11/57/08/351115708.db2.gz FVISFAZNWPIXTP-SECBINFHSA-N 0 0 258.281 2.673 20 5 CFBDRN CCC(C)(C)c1cn(Cc2csc([N+](=O)[O-])c2)nn1 ZINC000603206651 351118232 /nfs/dbraw/zinc/11/82/32/351118232.db2.gz SRUHCGZZQVOWSM-UHFFFAOYSA-N 0 0 280.353 2.984 20 5 CFBDRN C[C@@H](NC(=O)N[C@@H](C)C(F)F)c1ccccc1[N+](=O)[O-] ZINC000603213914 351118852 /nfs/dbraw/zinc/11/88/52/351118852.db2.gz BXEUTVYKWVJLHC-SFYZADRCSA-N 0 0 287.266 2.609 20 5 CFBDRN Cc1cncc([N+](=O)[O-])c1NC(=O)N1[C@@H](C)CCC1(C)C ZINC000602959759 351072735 /nfs/dbraw/zinc/07/27/35/351072735.db2.gz FVTWMQCGCHEWCA-JTQLQIEISA-N 0 0 292.339 2.515 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H](C)C(F)F ZINC000602988203 351086059 /nfs/dbraw/zinc/08/60/59/351086059.db2.gz BUEIFDDEVZXDNO-QMMMGPOBSA-N 0 0 287.266 2.987 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)n1cc(C2CC2)nn1 ZINC000603251619 351124998 /nfs/dbraw/zinc/12/49/98/351124998.db2.gz IKNTUDRCMZUBOF-JTQLQIEISA-N 0 0 272.308 2.981 20 5 CFBDRN O=[N+]([O-])c1ccc(CCN2CCCCCO2)cc1 ZINC000603009203 351090091 /nfs/dbraw/zinc/09/00/91/351090091.db2.gz DEZRGVRQSFKINJ-UHFFFAOYSA-N 0 0 250.298 2.555 20 5 CFBDRN Cc1cc(N(C)Cc2cccc([N+](=O)[O-])c2C)n[nH]1 ZINC000603012128 351091204 /nfs/dbraw/zinc/09/12/04/351091204.db2.gz CHYZDNZYKAZTRJ-UHFFFAOYSA-N 0 0 260.297 2.571 20 5 CFBDRN Cc1cc(Cl)ccc1OCc1cn(C)nc1[N+](=O)[O-] ZINC000603419705 351161151 /nfs/dbraw/zinc/16/11/51/351161151.db2.gz FWKJHQNNEKEKAZ-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN COc1cc(C)c(OCc2cn(C)nc2[N+](=O)[O-])c(C)c1 ZINC000603423853 351161671 /nfs/dbraw/zinc/16/16/71/351161671.db2.gz RPVPBKCUXNMZII-UHFFFAOYSA-N 0 0 291.307 2.533 20 5 CFBDRN COCc1cc(CN[C@H](C)c2ccccc2[N+](=O)[O-])no1 ZINC000603428518 351162561 /nfs/dbraw/zinc/16/25/61/351162561.db2.gz UWOPWBGEFUCHHW-SNVBAGLBSA-N 0 0 291.307 2.580 20 5 CFBDRN Cn1c(C(=O)N(C2CC2)C2CCCCC2)ccc1[N+](=O)[O-] ZINC000603526517 351172677 /nfs/dbraw/zinc/17/26/77/351172677.db2.gz YRJLKOLFECVQNF-UHFFFAOYSA-N 0 0 291.351 2.871 20 5 CFBDRN Cc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)c(C)[nH]1 ZINC000603616614 351175667 /nfs/dbraw/zinc/17/56/67/351175667.db2.gz MQGGWSOAKNGYOE-UHFFFAOYSA-N 0 0 273.292 2.816 20 5 CFBDRN CCc1nc(NC(=O)c2cc([N+](=O)[O-])cn2C)sc1C ZINC000603771938 351180614 /nfs/dbraw/zinc/18/06/14/351180614.db2.gz UQPVGQFKDMFPSZ-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN C[C@@H]1CCN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 ZINC000603267070 351127515 /nfs/dbraw/zinc/12/75/15/351127515.db2.gz PJBHUFSGJHKURX-SSDOTTSWSA-N 0 0 299.124 2.592 20 5 CFBDRN CC(C)COc1cccc(Cn2cnc([N+](=O)[O-])c2)c1 ZINC000603315885 351137520 /nfs/dbraw/zinc/13/75/20/351137520.db2.gz WCOAQVGHKMEOPP-UHFFFAOYSA-N 0 0 275.308 2.874 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN(c1cc(CO)ccn1)C1CC1 ZINC000603317451 351138136 /nfs/dbraw/zinc/13/81/36/351138136.db2.gz PHMIWAAEOJRAFN-UHFFFAOYSA-N 0 0 299.330 2.651 20 5 CFBDRN CCC(F)(F)COc1cccc(C(=O)OC)c1[N+](=O)[O-] ZINC000603334782 351141854 /nfs/dbraw/zinc/14/18/54/351141854.db2.gz OYFIRBGHORYKMP-UHFFFAOYSA-N 0 0 289.234 2.806 20 5 CFBDRN Cc1occc1CNc1nc2sccn2c1[N+](=O)[O-] ZINC000604304450 351205306 /nfs/dbraw/zinc/20/53/06/351205306.db2.gz FTQQJXKWMCICGQ-UHFFFAOYSA-N 0 0 278.293 2.818 20 5 CFBDRN C[C@H](CNC(=O)CSc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000604395920 351210864 /nfs/dbraw/zinc/21/08/64/351210864.db2.gz JKSOOTHKSGFYPJ-SNVBAGLBSA-N 0 0 294.376 2.849 20 5 CFBDRN COc1ccc2c(c1)CCN2c1cccnc1[N+](=O)[O-] ZINC000604502005 351215478 /nfs/dbraw/zinc/21/54/78/351215478.db2.gz KMSUATXFYWGONZ-UHFFFAOYSA-N 0 0 271.276 2.693 20 5 CFBDRN O=C(Nc1ccc[nH]c1=O)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000604526941 351217128 /nfs/dbraw/zinc/21/71/28/351217128.db2.gz XCCVFLLOMLUQAN-UHFFFAOYSA-N 0 0 293.666 2.601 20 5 CFBDRN Cc1nc(Sc2ccncc2[N+](=O)[O-])oc1C ZINC000604560567 351219733 /nfs/dbraw/zinc/21/97/33/351219733.db2.gz SPWUHUCUMRXWGF-UHFFFAOYSA-N 0 0 251.267 2.746 20 5 CFBDRN CC[C@@H]1CC[C@@H](C)N1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000271431124 191134359 /nfs/dbraw/zinc/13/43/59/191134359.db2.gz MYUDWQDFGSCJMW-VXGBXAGGSA-N 0 0 292.335 2.763 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)COCC1CC1 ZINC000118296350 186769028 /nfs/dbraw/zinc/76/90/28/186769028.db2.gz MYFABSRREMCDJM-UHFFFAOYSA-N 0 0 299.710 2.889 20 5 CFBDRN CCC[C@H]1SCCN1C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000118650464 186779353 /nfs/dbraw/zinc/77/93/53/186779353.db2.gz ILJZXYUVUOYPEM-CQSZACIVSA-N 0 0 294.376 2.839 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N(C(C)C)[C@@H]1CCOC1 ZINC000291375707 198003466 /nfs/dbraw/zinc/00/34/66/198003466.db2.gz BWVKOSZARAFIKN-CYBMUJFWSA-N 0 0 292.335 2.543 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1c(Cl)cccc1[N+](=O)[O-] ZINC000428083476 295824552 /nfs/dbraw/zinc/82/45/52/295824552.db2.gz CSOKFOVQGJQWRE-IJBDUVHLSA-N 0 0 280.711 2.777 20 5 CFBDRN Cc1ccc2c(c1)[C@H](Nc1c([N+](=O)[O-])ncn1C)CC2 ZINC000609288212 351494740 /nfs/dbraw/zinc/49/47/40/351494740.db2.gz HPIOOUFBGMZNER-GFCCVEGCSA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1ccc2c(c1)[C@H](NC(=O)c1ccc([N+](=O)[O-])n1C)CC2 ZINC000609303705 351496281 /nfs/dbraw/zinc/49/62/81/351496281.db2.gz AYCPALKCSLWBIQ-CYBMUJFWSA-N 0 0 299.330 2.659 20 5 CFBDRN CCCOc1ccc(CNc2cccnc2[N+](=O)[O-])cn1 ZINC000609350731 351497013 /nfs/dbraw/zinc/49/70/13/351497013.db2.gz MCSHGPUTSUUIJU-UHFFFAOYSA-N 0 0 288.307 2.786 20 5 CFBDRN Cn1ccc(CSCc2ccccc2[N+](=O)[O-])cc1=O ZINC000609390783 351501185 /nfs/dbraw/zinc/50/11/85/351501185.db2.gz UBNKVCYKUYKGAL-UHFFFAOYSA-N 0 0 290.344 2.727 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC2(CC2)CC1 ZINC000609477363 351503589 /nfs/dbraw/zinc/50/35/89/351503589.db2.gz UPLWSUHCSZQJMJ-UHFFFAOYSA-N 0 0 278.283 2.750 20 5 CFBDRN CC[C@@H]1CN(c2ccsc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000609513171 351505231 /nfs/dbraw/zinc/50/52/31/351505231.db2.gz HHJIGYIFPKJWRV-RKDXNWHRSA-N 0 0 256.327 2.660 20 5 CFBDRN CN(C)C(=O)C1(CNc2ccc([N+](=O)[O-])s2)CCCC1 ZINC000609595726 351510959 /nfs/dbraw/zinc/51/09/59/351510959.db2.gz YGMCCBDYCPQRDQ-UHFFFAOYSA-N 0 0 297.380 2.717 20 5 CFBDRN CC(=O)NC1CCC(Nc2ccc([N+](=O)[O-])s2)CC1 ZINC000608779253 351470430 /nfs/dbraw/zinc/47/04/30/351470430.db2.gz ITRHECPZBUCMIM-UHFFFAOYSA-N 0 0 283.353 2.516 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@H](C)OC ZINC000291426680 198017811 /nfs/dbraw/zinc/01/78/11/198017811.db2.gz LHGPRDWICBFZID-JTQLQIEISA-N 0 0 280.324 2.911 20 5 CFBDRN CCN(Cc1ccoc1)C(=O)c1csc([N+](=O)[O-])c1 ZINC000608808449 351474043 /nfs/dbraw/zinc/47/40/43/351474043.db2.gz YBNAAHWWSDNPPX-UHFFFAOYSA-N 0 0 280.305 2.912 20 5 CFBDRN C[C@H]1CCN1c1ccc(Br)cc1[N+](=O)[O-] ZINC000608856043 351480066 /nfs/dbraw/zinc/48/00/66/351480066.db2.gz TWRKLIWQBHPTLR-ZETCQYMHSA-N 0 0 271.114 2.956 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCSC1CCCC1 ZINC000608895364 351481910 /nfs/dbraw/zinc/48/19/10/351481910.db2.gz OPCHHMICJHAMKI-UHFFFAOYSA-N 0 0 255.343 2.776 20 5 CFBDRN COc1ccc(CC(=O)N(C)[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000609044432 351483713 /nfs/dbraw/zinc/48/37/13/351483713.db2.gz MXQJQGVXWZJUCY-NSHDSACASA-N 0 0 294.351 2.649 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1C2CCC1CC2 ZINC000609144012 351487865 /nfs/dbraw/zinc/48/78/65/351487865.db2.gz SFBDLGWIXLKCEZ-UHFFFAOYSA-N 0 0 250.273 2.861 20 5 CFBDRN CC(C)N(C(=O)c1cc([N+](=O)[O-])cn1C)C1CCCC1 ZINC000609140760 351487896 /nfs/dbraw/zinc/48/78/96/351487896.db2.gz RLBZWPZRZXBCQR-UHFFFAOYSA-N 0 0 279.340 2.727 20 5 CFBDRN Cc1cccc2c1CC[C@H]2NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000609189886 351490372 /nfs/dbraw/zinc/49/03/72/351490372.db2.gz PQHKWYUGNUCWBA-GFCCVEGCSA-N 0 0 286.287 2.914 20 5 CFBDRN O=[N+]([O-])c1ncccc1NC[C@H]1CCc2ccccc2N1 ZINC000609192655 351490556 /nfs/dbraw/zinc/49/05/56/351490556.db2.gz ZSFAKJAHFSIHTD-GFCCVEGCSA-N 0 0 284.319 2.829 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCO[C@H](c3ccccc3)C2)cn1 ZINC000609797157 351520003 /nfs/dbraw/zinc/52/00/03/351520003.db2.gz CZPSQZOUNGFDTE-HNNXBMFYSA-N 0 0 299.330 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H](CO)Cc2ccccc2)s1 ZINC000610076416 351533584 /nfs/dbraw/zinc/53/35/84/351533584.db2.gz YLSWCPYUMNYLAM-LLVKDONJSA-N 0 0 278.333 2.672 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCO[C@H](C(F)(F)F)C1 ZINC000610077598 351533638 /nfs/dbraw/zinc/53/36/38/351533638.db2.gz ZYCZRATVLZNBLJ-JTQLQIEISA-N 0 0 290.241 2.671 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)c1ccco1 ZINC000610168537 351536884 /nfs/dbraw/zinc/53/68/84/351536884.db2.gz GOMCUZIHOPDKOF-VIFPVBQESA-N 0 0 290.275 2.939 20 5 CFBDRN C[C@@H](CCO)SCc1c(F)cccc1[N+](=O)[O-] ZINC000610207074 351539371 /nfs/dbraw/zinc/53/93/71/351539371.db2.gz FHXPLBGMTVFLHE-QMMMGPOBSA-N 0 0 259.302 2.738 20 5 CFBDRN Cc1cnc(CNC(=O)c2cc(C)ccc2[N+](=O)[O-])s1 ZINC000610243999 351543162 /nfs/dbraw/zinc/54/31/62/351543162.db2.gz ILSBLBDQANSHMM-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN COc1ccc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)nc1 ZINC000610244802 351543485 /nfs/dbraw/zinc/54/34/85/351543485.db2.gz YZMMXVUCHBPIMR-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2C)nc1 ZINC000610244441 351543696 /nfs/dbraw/zinc/54/36/96/351543696.db2.gz LDHZKXOVFUPORL-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1ccccc1[C@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000610278389 351547671 /nfs/dbraw/zinc/54/76/71/351547671.db2.gz DETRSCXHHZQSAL-AWEZNQCLSA-N 0 0 288.303 2.749 20 5 CFBDRN Cc1cnc(N[C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)c([N+](=O)[O-])c1 ZINC000610284263 351549012 /nfs/dbraw/zinc/54/90/12/351549012.db2.gz JTXBGXVURZFELK-CYZMBNFOSA-N 0 0 291.351 2.914 20 5 CFBDRN O=[N+]([O-])c1ccccc1N(CCO)CCc1ccccc1 ZINC000610281200 351549389 /nfs/dbraw/zinc/54/93/89/351549389.db2.gz UVAFHXTVQHSDAG-UHFFFAOYSA-N 0 0 286.331 2.636 20 5 CFBDRN COC(=O)CS[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000610285647 351549946 /nfs/dbraw/zinc/54/99/46/351549946.db2.gz VTPNPCBJFWDMQG-QMMMGPOBSA-N 0 0 255.295 2.562 20 5 CFBDRN CC[C@@H]1CCCN(c2c([N+](=O)[O-])c(C)nn2C)CC1 ZINC000609764952 351518649 /nfs/dbraw/zinc/51/86/49/351518649.db2.gz DZVDBRUWRXCQST-LLVKDONJSA-N 0 0 266.345 2.653 20 5 CFBDRN CC[C@H]1CCCN(c2c([N+](=O)[O-])c(C)nn2C)CC1 ZINC000609764953 351518924 /nfs/dbraw/zinc/51/89/24/351518924.db2.gz DZVDBRUWRXCQST-NSHDSACASA-N 0 0 266.345 2.653 20 5 CFBDRN CCO[C@H](CCNc1cccnc1[N+](=O)[O-])C(C)C ZINC000610431542 351565705 /nfs/dbraw/zinc/56/57/05/351565705.db2.gz GLYFEPCMQVXWCA-GFCCVEGCSA-N 0 0 267.329 2.853 20 5 CFBDRN Cc1nccn1CCCN(C)c1ccc([N+](=O)[O-])cc1C ZINC000120176910 186880826 /nfs/dbraw/zinc/88/08/26/186880826.db2.gz PRZNCFGDBVOHSM-UHFFFAOYSA-N 0 0 288.351 2.935 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H](CO)c1ccccc1 ZINC000610516848 351575256 /nfs/dbraw/zinc/57/52/56/351575256.db2.gz DXOHMGXSTNBHMU-LLVKDONJSA-N 0 0 278.333 2.844 20 5 CFBDRN Cc1cnc(NC[C@H](C)c2ccncc2)c([N+](=O)[O-])c1 ZINC000610516865 351575433 /nfs/dbraw/zinc/57/54/33/351575433.db2.gz FXLWGOXNAYYWEJ-NSHDSACASA-N 0 0 272.308 2.909 20 5 CFBDRN C[C@H](CNc1ncccc1[N+](=O)[O-])c1ccncc1 ZINC000610516829 351575444 /nfs/dbraw/zinc/57/54/44/351575444.db2.gz BOLKLUHSWDUWKD-SNVBAGLBSA-N 0 0 258.281 2.600 20 5 CFBDRN O=[N+]([O-])c1sccc1NC[C@H](CO)Cc1ccccc1 ZINC000610514791 351575597 /nfs/dbraw/zinc/57/55/97/351575597.db2.gz QDAFMHNAGPGACU-GFCCVEGCSA-N 0 0 292.360 2.919 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccncc2[N+](=O)[O-])C1(CC)CC ZINC000610516953 351576545 /nfs/dbraw/zinc/57/65/45/351576545.db2.gz MLUFFJZQXLSBJW-ZIAGYGMSSA-N 0 0 293.367 2.807 20 5 CFBDRN C[C@@H](CNC(=O)c1csc([N+](=O)[O-])c1)c1ccncc1 ZINC000610526284 351579105 /nfs/dbraw/zinc/57/91/05/351579105.db2.gz MFEVYPSQCMLRJW-VIFPVBQESA-N 0 0 291.332 2.585 20 5 CFBDRN C[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1)c1ccncc1 ZINC000610526331 351579323 /nfs/dbraw/zinc/57/93/23/351579323.db2.gz KOSGJFFNPSKKCH-LLVKDONJSA-N 0 0 285.303 2.523 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@H]1C[C@@H](OC)C1(C)C ZINC000610651859 351588414 /nfs/dbraw/zinc/58/84/14/351588414.db2.gz BJYLRTIYYAVGMR-UONOGXRCSA-N 0 0 294.351 2.967 20 5 CFBDRN Cc1ncc([N+](=O)[O-])n1CCN1c2ccccc2C[C@@H]1C ZINC000610652355 351588443 /nfs/dbraw/zinc/58/84/43/351588443.db2.gz JWXRLZWVCDANEO-NSHDSACASA-N 0 0 286.335 2.551 20 5 CFBDRN COC(=O)[C@H](Nc1ccc([N+](=O)[O-])cc1F)C(C)(C)C ZINC000610661649 351589195 /nfs/dbraw/zinc/58/91/95/351589195.db2.gz VLEZKFIOOXGHTQ-NSHDSACASA-N 0 0 284.287 2.734 20 5 CFBDRN Cc1cnc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000610661750 351589395 /nfs/dbraw/zinc/58/93/95/351589395.db2.gz JUPXELDJZHBYKS-UHFFFAOYSA-N 0 0 295.682 2.726 20 5 CFBDRN Cc1c(C(=O)NC2(C(F)(F)F)CC2)cccc1[N+](=O)[O-] ZINC000610704408 351594555 /nfs/dbraw/zinc/59/45/55/351594555.db2.gz WZSBNDICVGYBSS-UHFFFAOYSA-N 0 0 288.225 2.728 20 5 CFBDRN O=C(NCc1ccc(Cl)o1)c1ccc(F)cc1[N+](=O)[O-] ZINC000610712116 351595180 /nfs/dbraw/zinc/59/51/80/351595180.db2.gz XXHPSFMYMLDDCO-UHFFFAOYSA-N 0 0 298.657 2.910 20 5 CFBDRN C[C@@H]1CCN(c2ccc([N+](=O)[O-])s2)C[C@@H]1n1ccnc1 ZINC000610726400 351598676 /nfs/dbraw/zinc/59/86/76/351598676.db2.gz BIORGLMFKYYEJS-MNOVXSKESA-N 0 0 292.364 2.940 20 5 CFBDRN Cc1cnc(N2CC(CC(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000610735093 351600545 /nfs/dbraw/zinc/60/05/45/351600545.db2.gz BBAASMMSXHYUQM-UHFFFAOYSA-N 0 0 275.230 2.687 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)O1 ZINC000120009574 186868625 /nfs/dbraw/zinc/86/86/25/186868625.db2.gz UCHRQYQYDUXIGR-MFKMUULPSA-N 0 0 292.335 2.514 20 5 CFBDRN Cc1cncc(C)c1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000610753909 351604011 /nfs/dbraw/zinc/60/40/11/351604011.db2.gz BPBGRWHRWMZECI-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN COCCC1(CNc2cccnc2[N+](=O)[O-])CCC1 ZINC000610755737 351604617 /nfs/dbraw/zinc/60/46/17/351604617.db2.gz ZAAVONJNQXANBU-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)C1(COC)CCC1 ZINC000291456823 198027209 /nfs/dbraw/zinc/02/72/09/198027209.db2.gz OORBRMZLLJOPRC-UHFFFAOYSA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000611002029 351632880 /nfs/dbraw/zinc/63/28/80/351632880.db2.gz YUEVZCVAYBOCLE-VHSXEESVSA-N 0 0 268.338 2.519 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC(C2CCOCC2)CC1 ZINC000611031987 351635339 /nfs/dbraw/zinc/63/53/39/351635339.db2.gz XZMASASHPWTPOX-UHFFFAOYSA-N 0 0 291.351 2.633 20 5 CFBDRN Cc1ccc(CC(=O)Nc2ccc([N+](=O)[O-])cc2)o1 ZINC000611091055 351640334 /nfs/dbraw/zinc/64/03/34/351640334.db2.gz OPGWFHPROLQTDU-UHFFFAOYSA-N 0 0 260.249 2.677 20 5 CFBDRN C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CCC1 ZINC000611122200 351642551 /nfs/dbraw/zinc/64/25/51/351642551.db2.gz DPQYZTKLALRSIK-QMMMGPOBSA-N 0 0 266.272 2.652 20 5 CFBDRN C[C@H](NC(=O)NCc1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000611121785 351642575 /nfs/dbraw/zinc/64/25/75/351642575.db2.gz RSVOBEURZWQEFL-JTQLQIEISA-N 0 0 277.324 2.583 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C2CCC2)c1 ZINC000611122222 351642674 /nfs/dbraw/zinc/64/26/74/351642674.db2.gz HBNVVBQXIFRUPC-SNVBAGLBSA-N 0 0 262.309 2.822 20 5 CFBDRN C[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CCC1 ZINC000611121866 351642840 /nfs/dbraw/zinc/64/28/40/351642840.db2.gz BGEXURFLPLBWAA-VIFPVBQESA-N 0 0 287.319 2.995 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@@H](c1cccnc1)C1CC1 ZINC000611144041 351645021 /nfs/dbraw/zinc/64/50/21/351645021.db2.gz RUZAPGZSXJGIGK-CYBMUJFWSA-N 0 0 270.292 2.948 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC[C@H](C(F)F)C2)cs1 ZINC000611179709 351648429 /nfs/dbraw/zinc/64/84/29/351648429.db2.gz HWMLPLVPWDGJSD-QMMMGPOBSA-N 0 0 262.281 2.743 20 5 CFBDRN CC(C)C1CN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)C1 ZINC000611197277 351651597 /nfs/dbraw/zinc/65/15/97/351651597.db2.gz MXJVNZCLRRMMGG-UHFFFAOYSA-N 0 0 287.319 2.804 20 5 CFBDRN COc1cc(N2C[C@H](C)C[C@@H]2C)c(F)cc1[N+](=O)[O-] ZINC000611255849 351659311 /nfs/dbraw/zinc/65/93/11/351659311.db2.gz OCTRDFCVFIBSNX-BDAKNGLRSA-N 0 0 268.288 2.977 20 5 CFBDRN O=[N+]([O-])c1ncccc1N[C@H]1CCOC2(CCC2)C1 ZINC000610856583 351614620 /nfs/dbraw/zinc/61/46/20/351614620.db2.gz GJTJWFBBQAICLS-JTQLQIEISA-N 0 0 263.297 2.503 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCOC(C)(C)C ZINC000610862483 351616973 /nfs/dbraw/zinc/61/69/73/351616973.db2.gz KPTSBQNPRWIVTK-UHFFFAOYSA-N 0 0 294.351 2.790 20 5 CFBDRN COCCC1(CNc2ccc([N+](=O)[O-])s2)CC1 ZINC000610864618 351617420 /nfs/dbraw/zinc/61/74/20/351617420.db2.gz DRYAEGYWKZKQMI-UHFFFAOYSA-N 0 0 256.327 2.885 20 5 CFBDRN CC(C)C(NC(=O)COc1ccccc1[N+](=O)[O-])C(C)C ZINC000610911928 351620447 /nfs/dbraw/zinc/62/04/47/351620447.db2.gz DTQOVAIQGQSGIT-UHFFFAOYSA-N 0 0 294.351 2.770 20 5 CFBDRN C[C@@H](C[C@@H]1CCOC1)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000610930053 351622257 /nfs/dbraw/zinc/62/22/57/351622257.db2.gz BPVKSHKQQXVSGS-IUCAKERBSA-N 0 0 285.731 2.870 20 5 CFBDRN CO[C@H]1CCC[C@H]1CNc1ccccc1[N+](=O)[O-] ZINC000611373632 351677047 /nfs/dbraw/zinc/67/70/47/351677047.db2.gz LDJUZIGDNYLQFT-GWCFXTLKSA-N 0 0 250.298 2.822 20 5 CFBDRN CO[C@@H]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])cc1 ZINC000611373678 351677202 /nfs/dbraw/zinc/67/72/02/351677202.db2.gz PTAJPFDNXJRICF-ZWNOBZJWSA-N 0 0 250.298 2.822 20 5 CFBDRN CO[C@H]1CCC[C@@H]1CNc1nccc(C)c1[N+](=O)[O-] ZINC000611373706 351677461 /nfs/dbraw/zinc/67/74/61/351677461.db2.gz UVNGZBHBFMSDBR-MNOVXSKESA-N 0 0 265.313 2.525 20 5 CFBDRN C[C@H](NC(=O)C1(C)CCOCC1)c1ccccc1[N+](=O)[O-] ZINC000611385351 351678478 /nfs/dbraw/zinc/67/84/78/351678478.db2.gz OGXWDMIPLXCIKR-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN CC(C)C1CN(C(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])C1 ZINC000611397414 351682600 /nfs/dbraw/zinc/68/26/00/351682600.db2.gz JGXTWGCQTKMVTP-NSHDSACASA-N 0 0 291.351 2.953 20 5 CFBDRN CC[C@@H](C)CONC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000291612404 198050394 /nfs/dbraw/zinc/05/03/94/198050394.db2.gz JQDQWGJEYASYLX-MNOVXSKESA-N 0 0 295.339 2.933 20 5 CFBDRN O=[N+]([O-])c1ccsc1NC1CCN(CC(F)F)CC1 ZINC000611412260 351686009 /nfs/dbraw/zinc/68/60/09/351686009.db2.gz HYXALGBBVQNHAS-UHFFFAOYSA-N 0 0 291.323 2.798 20 5 CFBDRN O=[N+]([O-])c1ccsc1NCC1(CCO)CCC1 ZINC000611413713 351686590 /nfs/dbraw/zinc/68/65/90/351686590.db2.gz OODOCZREXPPPSP-UHFFFAOYSA-N 0 0 256.327 2.621 20 5 CFBDRN O=[N+]([O-])c1ccsc1N1CCO[C@H](c2ccco2)C1 ZINC000611413442 351686703 /nfs/dbraw/zinc/68/67/03/351686703.db2.gz SEUHLBOTYXPLTL-NSHDSACASA-N 0 0 280.305 2.827 20 5 CFBDRN CC[C@@H](C)n1nc(Nc2cccnc2[N+](=O)[O-])cc1C ZINC000611415506 351687321 /nfs/dbraw/zinc/68/73/21/351687321.db2.gz ZBGQPJFUEWZWBQ-SECBINFHSA-N 0 0 275.312 2.631 20 5 CFBDRN Cc1nn(C)c(N[C@H]2CCO[C@@H](CC(C)C)C2)c1[N+](=O)[O-] ZINC000611467884 351693419 /nfs/dbraw/zinc/69/34/19/351693419.db2.gz NFVAVRDKFWUHSJ-RYUDHWBXSA-N 0 0 296.371 2.642 20 5 CFBDRN COc1cc(N2CC[C@H](C)[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000611494633 351697072 /nfs/dbraw/zinc/69/70/72/351697072.db2.gz WLTWLTBBGMUCJP-GXSJLCMTSA-N 0 0 268.288 2.788 20 5 CFBDRN C[C@@H]1C[C@@H]1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] ZINC000611495369 351697596 /nfs/dbraw/zinc/69/75/96/351697596.db2.gz SVEAEYYBHGGCBW-KCJUWKMLSA-N 0 0 282.727 2.563 20 5 CFBDRN C[C@@H]1CCN(Cc2c(F)cccc2[N+](=O)[O-])C[C@H]1F ZINC000611502201 351699318 /nfs/dbraw/zinc/69/93/18/351699318.db2.gz PHJJZLGGSCVZSV-BXKDBHETSA-N 0 0 270.279 2.914 20 5 CFBDRN COc1ccc(CN2CC[C@@H](C)[C@@H](F)C2)cc1[N+](=O)[O-] ZINC000611502424 351699648 /nfs/dbraw/zinc/69/96/48/351699648.db2.gz SDMJIRCLNJTFBT-PWSUYJOCSA-N 0 0 282.315 2.783 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])C[C@H]1F ZINC000611507205 351701129 /nfs/dbraw/zinc/70/11/29/351701129.db2.gz YXCLWFNOQJKQAX-GZMMTYOYSA-N 0 0 284.262 2.554 20 5 CFBDRN Cc1ccncc1[C@@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000611300821 351666195 /nfs/dbraw/zinc/66/61/95/351666195.db2.gz ZRAIOBFDBQSZJX-GFCCVEGCSA-N 0 0 299.330 2.718 20 5 CFBDRN Cc1ccncc1[C@@H](C)Nc1ncccc1[N+](=O)[O-] ZINC000611299023 351666453 /nfs/dbraw/zinc/66/64/53/351666453.db2.gz INDZWMRCDXJKBT-SNVBAGLBSA-N 0 0 258.281 2.866 20 5 CFBDRN Cc1ccncc1[C@H](C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000611299671 351666466 /nfs/dbraw/zinc/66/64/66/351666466.db2.gz DMBBZLNVQFWVBJ-LBPRGKRZSA-N 0 0 299.330 2.718 20 5 CFBDRN O=[N+]([O-])c1cnccc1N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000611339151 351670839 /nfs/dbraw/zinc/67/08/39/351670839.db2.gz NMDNGBOMHGHHJO-OLZOCXBDSA-N 0 0 291.351 2.633 20 5 CFBDRN O=[N+]([O-])c1sccc1N[C@@H]1c2ccccc2C[C@H]1O ZINC000611369980 351675519 /nfs/dbraw/zinc/67/55/19/351675519.db2.gz FVMCVNKBYHKZKD-VXGBXAGGSA-N 0 0 276.317 2.727 20 5 CFBDRN C[C@@H]1C[C@H]1CNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000291613460 198051294 /nfs/dbraw/zinc/05/12/94/198051294.db2.gz JTRVRADLGLAFIZ-MNOVXSKESA-N 0 0 291.351 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC3(CC3)C2)c2ncccc12 ZINC000611765351 351738599 /nfs/dbraw/zinc/73/85/99/351738599.db2.gz YEQVGQHYXVBCGI-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC23CCC3)cc1[N+](=O)[O-] ZINC000611775599 351739636 /nfs/dbraw/zinc/73/96/36/351739636.db2.gz XWPIZKHQWCBXOM-JTQLQIEISA-N 0 0 276.292 2.732 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCOCC2(CC2)C1 ZINC000611813153 351742808 /nfs/dbraw/zinc/74/28/08/351742808.db2.gz JMEXYCYBPKXFAL-UHFFFAOYSA-N 0 0 296.754 2.861 20 5 CFBDRN COc1ccc(NC(=O)NC[C@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000611823460 351744043 /nfs/dbraw/zinc/74/40/43/351744043.db2.gz MICDILSOTHXJJU-VIFPVBQESA-N 0 0 293.323 2.771 20 5 CFBDRN COc1ccc(NC(=O)N[C@H](C)C2CCC2)cc1[N+](=O)[O-] ZINC000611828763 351744561 /nfs/dbraw/zinc/74/45/61/351744561.db2.gz QTNOEWHLWWLUEM-SECBINFHSA-N 0 0 293.323 2.914 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@H](C)C2CC2)c([N+](=O)[O-])c1 ZINC000291666517 198055910 /nfs/dbraw/zinc/05/59/10/198055910.db2.gz QUDAVSRJYWJKOY-SNVBAGLBSA-N 0 0 291.351 2.943 20 5 CFBDRN Cc1c([C@H](C)Nc2nc(C)cc(C)c2[N+](=O)[O-])cnn1C ZINC000611615956 351714638 /nfs/dbraw/zinc/71/46/38/351714638.db2.gz NSINDNBTPNWPKC-JTQLQIEISA-N 0 0 289.339 2.822 20 5 CFBDRN Cc1nn(C)c(C)c1Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611615638 351714682 /nfs/dbraw/zinc/71/46/82/351714682.db2.gz QOEMXKNQEFGGJA-UHFFFAOYSA-N 0 0 275.312 2.701 20 5 CFBDRN CSC[C@H](C)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000611616776 351715723 /nfs/dbraw/zinc/71/57/23/351715723.db2.gz FTPDYZAHNRJATC-VIFPVBQESA-N 0 0 255.343 2.770 20 5 CFBDRN COC[C@H](Nc1nc(C)cc(C)c1[N+](=O)[O-])C(C)C ZINC000611616924 351715731 /nfs/dbraw/zinc/71/57/31/351715731.db2.gz VGQQDFNVIFEWKM-NSHDSACASA-N 0 0 267.329 2.690 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@@H](C)C[C@H]2CCOC2)n1 ZINC000611617314 351715770 /nfs/dbraw/zinc/71/57/70/351715770.db2.gz WTZQKOSXNAQZEP-NWDGAFQWSA-N 0 0 279.340 2.834 20 5 CFBDRN CC(C)(C)OC(=O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000121301134 186963716 /nfs/dbraw/zinc/96/37/16/186963716.db2.gz NGEUCAJKPKCFHL-UHFFFAOYSA-N 0 0 287.699 2.969 20 5 CFBDRN Cc1c(Br)c(N2CC[C@H]2C)ncc1[N+](=O)[O-] ZINC000612440035 351821842 /nfs/dbraw/zinc/82/18/42/351821842.db2.gz IBGRWHMVPDWOPA-ZCFIWIBFSA-N 0 0 286.129 2.659 20 5 CFBDRN CC(C)c1nnc(CNc2ccc([N+](=O)[O-])s2)n1C ZINC000612449907 351822822 /nfs/dbraw/zinc/82/28/22/351822822.db2.gz IIOOXPDOPNEEBI-UHFFFAOYSA-N 0 0 281.341 2.520 20 5 CFBDRN C[C@@H]1C[C@H](c2cccnc2)N(c2cccnc2[N+](=O)[O-])C1 ZINC000612453168 351822877 /nfs/dbraw/zinc/82/28/77/351822877.db2.gz GLKLVVMXUPASFR-BXUZGUMPSA-N 0 0 284.319 2.972 20 5 CFBDRN C[C@@H]1OCC[C@@H]1Sc1ncnc2cccc([N+](=O)[O-])c21 ZINC000612451742 351823139 /nfs/dbraw/zinc/82/31/39/351823139.db2.gz FBICCYUTBZIISW-KWQFWETISA-N 0 0 291.332 2.808 20 5 CFBDRN CN(Cc1ccnn1C)Cc1cc([N+](=O)[O-])ccc1Cl ZINC000121875626 187002284 /nfs/dbraw/zinc/00/22/84/187002284.db2.gz OZYSHBWSVRSYSE-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN CSc1ccccc1NC(=O)c1cc([N+](=O)[O-])cn1C ZINC000612516768 351830569 /nfs/dbraw/zinc/83/05/69/351830569.db2.gz GKCVSMYXNCMIEM-UHFFFAOYSA-N 0 0 291.332 2.908 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCS2)c([N+](=O)[O-])c1 ZINC000122094007 187014717 /nfs/dbraw/zinc/01/47/17/187014717.db2.gz UNQZQEGSZJTKSO-VIFPVBQESA-N 0 0 283.309 2.811 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCCCCSC ZINC000123099366 187070763 /nfs/dbraw/zinc/07/07/63/187070763.db2.gz OXQDTFNUQNNMFF-UHFFFAOYSA-N 0 0 297.380 2.510 20 5 CFBDRN CCc1ccc(OCc2cc(C)ncn2)c([N+](=O)[O-])c1 ZINC000291770276 198087400 /nfs/dbraw/zinc/08/74/00/198087400.db2.gz VOLVTVXSGRJBCF-UHFFFAOYSA-N 0 0 273.292 2.835 20 5 CFBDRN C[C@@H]1CCN(CC(=O)Nc2ccccc2[N+](=O)[O-])C[C@@H]1C ZINC000122667627 187045176 /nfs/dbraw/zinc/04/51/76/187045176.db2.gz AHOZCJJWIADQKT-NEPJUHHUSA-N 0 0 291.351 2.511 20 5 CFBDRN C[C@H](CCO)Nc1cc(Br)ccc1[N+](=O)[O-] ZINC000122758774 187049975 /nfs/dbraw/zinc/04/99/75/187049975.db2.gz ZETFYNOYXZYVBL-SSDOTTSWSA-N 0 0 289.129 2.540 20 5 CFBDRN O=[N+]([O-])c1sccc1N1CCOCC2(CCC2)C1 ZINC000612665566 351849463 /nfs/dbraw/zinc/84/94/63/351849463.db2.gz BBBSRJRSZZABMN-UHFFFAOYSA-N 0 0 268.338 2.663 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@H]3CCCS3)no2)nc1 ZINC000612948992 351881022 /nfs/dbraw/zinc/88/10/22/351881022.db2.gz JTSOCQYCLRGISS-SECBINFHSA-N 0 0 278.293 2.608 20 5 CFBDRN COCC1(CNc2cccc(C)c2[N+](=O)[O-])CCOCC1 ZINC000291885664 198095804 /nfs/dbraw/zinc/09/58/04/198095804.db2.gz ORQJENCSPKIQBS-UHFFFAOYSA-N 0 0 294.351 2.758 20 5 CFBDRN Cn1ccnc1COc1ccc([N+](=O)[O-])cc1Cl ZINC000123433716 187091515 /nfs/dbraw/zinc/09/15/15/187091515.db2.gz LLSCWNFRKGCYDY-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN O=C(NC1CC1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000123508294 187095954 /nfs/dbraw/zinc/09/59/54/187095954.db2.gz LULDPNYRJLRYJU-UHFFFAOYSA-N 0 0 274.198 2.506 20 5 CFBDRN CC(C)CNC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 ZINC000123737287 187106566 /nfs/dbraw/zinc/10/65/66/187106566.db2.gz BAPZASKDRPTQOY-UHFFFAOYSA-N 0 0 290.241 2.999 20 5 CFBDRN CC[C@@H](SC)C(=O)Oc1cccc([N+](=O)[O-])c1 ZINC000123863919 187111907 /nfs/dbraw/zinc/11/19/07/187111907.db2.gz OARPARRQPQLUHQ-SNVBAGLBSA-N 0 0 255.295 2.642 20 5 CFBDRN CCCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1N ZINC000291959361 198117792 /nfs/dbraw/zinc/11/77/92/198117792.db2.gz GKBZRPPBYWJSJO-SNVBAGLBSA-N 0 0 279.340 2.828 20 5 CFBDRN O=[N+]([O-])c1cnccc1NC1CC(OCc2ccccc2)C1 ZINC000613285288 351933149 /nfs/dbraw/zinc/93/31/49/351933149.db2.gz PSGBVVQMTCHHBL-UHFFFAOYSA-N 0 0 299.330 2.571 20 5 CFBDRN CC(C)(C(=O)N1CC=CCC1)c1ccc([N+](=O)[O-])cc1F ZINC000612998563 351886057 /nfs/dbraw/zinc/88/60/57/351886057.db2.gz YQQXRAURWCRPTD-UHFFFAOYSA-N 0 0 292.310 2.800 20 5 CFBDRN Cc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])cn2C)cc1O ZINC000613032501 351891724 /nfs/dbraw/zinc/89/17/24/351891724.db2.gz OZQMTMASCVMMDW-UHFFFAOYSA-N 0 0 289.291 2.508 20 5 CFBDRN COC(=O)c1ccc(OCCCOC(C)C)cc1[N+](=O)[O-] ZINC000613109466 351898562 /nfs/dbraw/zinc/89/85/62/351898562.db2.gz KTIOYLCAVKBWMZ-UHFFFAOYSA-N 0 0 297.307 2.575 20 5 CFBDRN COC(=O)c1ccc(O[C@H]2C=CCCC2)cc1[N+](=O)[O-] ZINC000613109835 351898637 /nfs/dbraw/zinc/89/86/37/351898637.db2.gz BMRJYILEBKNTGZ-JTQLQIEISA-N 0 0 277.276 2.869 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1OCC(=O)N1CCCC[C@H]1C ZINC000131510491 187569388 /nfs/dbraw/zinc/56/93/88/187569388.db2.gz DUNRSYJTMCPUOA-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(N3CCCC4(CC4)C3)c2c1 ZINC000613705398 352000272 /nfs/dbraw/zinc/00/02/72/352000272.db2.gz ZBCGLTIZRUGYRU-UHFFFAOYSA-N 0 0 284.319 2.918 20 5 CFBDRN CCc1noc(COc2cc(C)c([N+](=O)[O-])cc2F)n1 ZINC000614071710 352042709 /nfs/dbraw/zinc/04/27/09/352042709.db2.gz WZRDWEYDKPNVTM-UHFFFAOYSA-N 0 0 281.243 2.567 20 5 CFBDRN C[C@H]1[C@@H](C(F)(F)F)CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000614150159 352053039 /nfs/dbraw/zinc/05/30/39/352053039.db2.gz FIEUXSXOBJZPHY-CBAPKCEASA-N 0 0 290.245 2.552 20 5 CFBDRN COc1cccc(N2CC[C@H](C)[C@@H](F)C2)c1[N+](=O)[O-] ZINC000613890054 352022370 /nfs/dbraw/zinc/02/23/70/352022370.db2.gz ZMUNQOQKVUROBK-UWVGGRQHSA-N 0 0 268.288 2.788 20 5 CFBDRN CSc1cccc(C(=O)NC2CC=CC2)c1[N+](=O)[O-] ZINC000614417799 352092105 /nfs/dbraw/zinc/09/21/05/352092105.db2.gz TYYOGJNLRUVKOD-UHFFFAOYSA-N 0 0 278.333 2.765 20 5 CFBDRN CC[C@]1(C)CN(c2ccc([N+](=O)[O-])s2)CCO1 ZINC000615496975 352199218 /nfs/dbraw/zinc/19/92/18/352199218.db2.gz NMJMBXIWFSHZEW-LLVKDONJSA-N 0 0 256.327 2.662 20 5 CFBDRN CCN(C[C@H]1CCOC1)c1cc(OC)c([N+](=O)[O-])cc1F ZINC000615494954 352199274 /nfs/dbraw/zinc/19/92/74/352199274.db2.gz WRRCVENVDQXJOM-SNVBAGLBSA-N 0 0 298.314 2.605 20 5 CFBDRN Cc1cccc(N2CCOCC3(CC3)C2)c1[N+](=O)[O-] ZINC000614977033 352138360 /nfs/dbraw/zinc/13/83/60/352138360.db2.gz QFMSXFXEARXUMN-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN CC(C)[C@@H](CNc1ccc([N+](=O)[O-])nc1)c1ccnn1C ZINC000615944108 352265386 /nfs/dbraw/zinc/26/53/86/352265386.db2.gz LPVDEKOVARAZGV-GFCCVEGCSA-N 0 0 289.339 2.575 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@H]1C ZINC000132774967 187647021 /nfs/dbraw/zinc/64/70/21/187647021.db2.gz RASGHRATTYXBJW-DTWKUNHWSA-N 0 0 268.338 2.774 20 5 CFBDRN C=C/C(C)=C/CC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000616030163 352275926 /nfs/dbraw/zinc/27/59/26/352275926.db2.gz RDYPWICTCLQXKQ-XYOKQWHBSA-N 0 0 289.335 2.645 20 5 CFBDRN Cc1c(CNC(=O)N[C@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000616258004 352315697 /nfs/dbraw/zinc/31/56/97/352315697.db2.gz LJTGYHWJSSQXOY-LLVKDONJSA-N 0 0 291.351 2.891 20 5 CFBDRN Cc1ccc(CN(C)c2nc(C)cc(C)c2[N+](=O)[O-])nc1 ZINC000616064698 352280930 /nfs/dbraw/zinc/28/09/30/352280930.db2.gz NJDGEVFVGPFSJH-UHFFFAOYSA-N 0 0 286.335 2.946 20 5 CFBDRN CCN(C[C@@H](C)OC)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000292024715 198137427 /nfs/dbraw/zinc/13/74/27/198137427.db2.gz WYABNYOIZNZBHL-SECBINFHSA-N 0 0 299.302 2.623 20 5 CFBDRN CSCCNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000616119105 352287258 /nfs/dbraw/zinc/28/72/58/352287258.db2.gz YXACHMUTPLSQOR-UHFFFAOYSA-N 0 0 283.353 2.696 20 5 CFBDRN COc1cc(C(=O)N2CCC23CCC3)cc([N+](=O)[O-])c1C ZINC000616355424 352333886 /nfs/dbraw/zinc/33/38/86/352333886.db2.gz DYEASLNJJKKMLL-UHFFFAOYSA-N 0 0 290.319 2.680 20 5 CFBDRN COc1cc(C(=O)N2CC(C(C)C)C2)cc([N+](=O)[O-])c1C ZINC000616403044 352342182 /nfs/dbraw/zinc/34/21/82/352342182.db2.gz WIMXDIOFCHSRRY-UHFFFAOYSA-N 0 0 292.335 2.640 20 5 CFBDRN Cc1c(CNC(=O)[C@@H]2CC23CCC3)cccc1[N+](=O)[O-] ZINC000616491215 352359041 /nfs/dbraw/zinc/35/90/41/352359041.db2.gz QMWPHVKJFQPXRM-LBPRGKRZSA-N 0 0 274.320 2.710 20 5 CFBDRN Cc1c(CNC(=O)[C@H](C)c2ccco2)cccc1[N+](=O)[O-] ZINC000616491170 352359194 /nfs/dbraw/zinc/35/91/94/352359194.db2.gz FUHQMHRBFYMXJG-LLVKDONJSA-N 0 0 288.303 2.916 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1)[C@@H]1CCOC1 ZINC000133734444 187703477 /nfs/dbraw/zinc/70/34/77/187703477.db2.gz JLHPJSMERKJWQS-GFCCVEGCSA-N 0 0 294.351 2.997 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1S[C@H]1CCOC1 ZINC000617000662 352458991 /nfs/dbraw/zinc/45/89/91/352458991.db2.gz GLZBSZTUIGVLJC-ZETCQYMHSA-N 0 0 260.702 2.524 20 5 CFBDRN Cc1nc(NC(=O)CC[C@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000134021407 187720725 /nfs/dbraw/zinc/72/07/25/187720725.db2.gz UJXCNJYNBWWLHB-GXSJLCMTSA-N 0 0 293.323 2.584 20 5 CFBDRN COCC1(COc2ccc([N+](=O)[O-])cc2F)CCC1 ZINC000292056357 198148066 /nfs/dbraw/zinc/14/80/66/198148066.db2.gz ZNPZTSFEVBAQHW-UHFFFAOYSA-N 0 0 269.272 2.929 20 5 CFBDRN CCCCOC(=O)CNC(C)(C)c1ccccc1[N+](=O)[O-] ZINC000292110188 198166004 /nfs/dbraw/zinc/16/60/04/198166004.db2.gz IZWMEUVHAVAPCH-UHFFFAOYSA-N 0 0 294.351 2.763 20 5 CFBDRN CCOc1cc(CN2CC=CCC2)ccc1[N+](=O)[O-] ZINC000617219543 352519837 /nfs/dbraw/zinc/51/98/37/352519837.db2.gz OZUKKSQJTAGWMT-UHFFFAOYSA-N 0 0 262.309 2.755 20 5 CFBDRN O=[N+]([O-])c1ccc2ncnc(SC[C@H]3CCCO3)c2c1 ZINC000617221865 352520287 /nfs/dbraw/zinc/52/02/87/352520287.db2.gz QPFQETBUZBDXMC-SNVBAGLBSA-N 0 0 291.332 2.809 20 5 CFBDRN CN(C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C1CCC1 ZINC000135044572 187791488 /nfs/dbraw/zinc/79/14/88/187791488.db2.gz QYUARUBYNMVXJO-UHFFFAOYSA-N 0 0 268.700 2.873 20 5 CFBDRN C[C@H](NC(=O)NCC(F)(F)F)c1ccccc1[N+](=O)[O-] ZINC000271582908 191225578 /nfs/dbraw/zinc/22/55/78/191225578.db2.gz CSRRUEMAJHNAGY-ZETCQYMHSA-N 0 0 291.229 2.517 20 5 CFBDRN C[C@@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000617690067 352629299 /nfs/dbraw/zinc/62/92/99/352629299.db2.gz PLWJQLKYPVXSMT-NWDGAFQWSA-N 0 0 299.330 2.971 20 5 CFBDRN Cc1cc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)ncn1 ZINC000271575218 191221733 /nfs/dbraw/zinc/22/17/33/191221733.db2.gz WGNOPGGBERIGLY-LBPRGKRZSA-N 0 0 286.335 2.852 20 5 CFBDRN CC[C@H](CCO)Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000134965495 187785526 /nfs/dbraw/zinc/78/55/26/187785526.db2.gz CIERNNZRTGYQKW-LLVKDONJSA-N 0 0 275.308 2.716 20 5 CFBDRN Cn1ncc2c1CCC[C@H]2Nc1ccc(F)cc1[N+](=O)[O-] ZINC000025567347 282838460 /nfs/dbraw/zinc/83/84/60/282838460.db2.gz IQRZUYGXCJLJIN-LLVKDONJSA-N 0 0 290.298 2.957 20 5 CFBDRN COCCCCCOc1cc(F)cc([N+](=O)[O-])c1 ZINC000135344753 187822051 /nfs/dbraw/zinc/82/20/51/187822051.db2.gz LLPXKLMQKGYBCM-UHFFFAOYSA-N 0 0 257.261 2.929 20 5 CFBDRN Cc1cc(CN[C@H]2CCCc3ccc([N+](=O)[O-])cc32)ncn1 ZINC000618572322 352814700 /nfs/dbraw/zinc/81/47/00/352814700.db2.gz TYINSGSZPBPMAG-INIZCTEOSA-N 0 0 298.346 2.860 20 5 CFBDRN CCCN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273498064 192177302 /nfs/dbraw/zinc/17/73/02/192177302.db2.gz URAWFDMDXBGNGO-UHFFFAOYSA-N 0 0 255.249 2.608 20 5 CFBDRN Cc1cccc(N2CC[C@@H](n3cccn3)C2)c1[N+](=O)[O-] ZINC000292200785 198199566 /nfs/dbraw/zinc/19/95/66/198199566.db2.gz CKWJMAHRNDCAOP-GFCCVEGCSA-N 0 0 272.308 2.551 20 5 CFBDRN O=c1oc2ccc(F)cc2n1Cc1cccc([N+](=O)[O-])c1 ZINC000618900480 352908329 /nfs/dbraw/zinc/90/83/29/352908329.db2.gz QMEFBASCJUOBRE-UHFFFAOYSA-N 0 0 288.234 2.690 20 5 CFBDRN CC1(C)CN(c2nc3ccccc3cc2[N+](=O)[O-])C1 ZINC000618983953 352926845 /nfs/dbraw/zinc/92/68/45/352926845.db2.gz GZWBHMSTCBNWFV-UHFFFAOYSA-N 0 0 257.293 2.989 20 5 CFBDRN CC[C@@H](C)CONC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000292196696 198198834 /nfs/dbraw/zinc/19/88/34/198198834.db2.gz XCZSBGXRDMASMV-SECBINFHSA-N 0 0 266.297 2.611 20 5 CFBDRN COC1(C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000271648650 191258202 /nfs/dbraw/zinc/25/82/02/191258202.db2.gz HQZIENZZQIGQFR-UHFFFAOYSA-N 0 0 292.335 2.544 20 5 CFBDRN C[C@H]1C[C@H](C)N1Cc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000619285290 352989972 /nfs/dbraw/zinc/98/99/72/352989972.db2.gz XNGCZBSHUOKEQJ-IUCAKERBSA-N 0 0 261.281 2.719 20 5 CFBDRN C[C@H](NC(=O)N1CC(C)(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000619029294 352937237 /nfs/dbraw/zinc/93/72/37/352937237.db2.gz RBRSQJKSWVLBIU-JTQLQIEISA-N 0 0 277.324 2.707 20 5 CFBDRN C[C@@H](C(=O)N1CC(C)(C)C1)c1cccc([N+](=O)[O-])c1 ZINC000619146870 352965762 /nfs/dbraw/zinc/96/57/62/352965762.db2.gz KDXBCEVGFMLSFN-SNVBAGLBSA-N 0 0 262.309 2.567 20 5 CFBDRN CC1(C)CN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000619146591 352966075 /nfs/dbraw/zinc/96/60/75/352966075.db2.gz CEDRVENRWDUXCV-UHFFFAOYSA-N 0 0 268.700 2.730 20 5 CFBDRN Cc1ccc(NC(=O)CCC(F)F)cc1[N+](=O)[O-] ZINC000619423718 353020355 /nfs/dbraw/zinc/02/03/55/353020355.db2.gz ORSXEOBKQWHYDD-UHFFFAOYSA-N 0 0 258.224 2.887 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@@H]1c1cccnc1 ZINC000619567581 353036849 /nfs/dbraw/zinc/03/68/49/353036849.db2.gz WBBJHOGVPKEZNO-CABCVRRESA-N 0 0 297.314 2.584 20 5 CFBDRN CCC(=O)c1ccc(NCc2cc(C)n[nH]2)c([N+](=O)[O-])c1 ZINC000619481516 353028349 /nfs/dbraw/zinc/02/83/49/353028349.db2.gz AZDZTHGVLSKRHC-UHFFFAOYSA-N 0 0 288.307 2.831 20 5 CFBDRN CC1(F)CN(c2ccc([N+](=O)[O-])c(OC(F)F)c2)C1 ZINC000619500498 353029501 /nfs/dbraw/zinc/02/95/01/353029501.db2.gz FNGMDYFHCUMFTO-UHFFFAOYSA-N 0 0 276.214 2.744 20 5 CFBDRN Cc1cccc(N2C[C@@H](C3CC3)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000619499906 353029624 /nfs/dbraw/zinc/02/96/24/353029624.db2.gz GSJXQOHGBZDJOB-FZMZJTMJSA-N 0 0 276.336 2.907 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000619499884 353029628 /nfs/dbraw/zinc/02/96/28/353029628.db2.gz CIYVXGULKUDFCY-SMDDNHRTSA-N 0 0 276.336 2.907 20 5 CFBDRN CC(C)(Nc1nc2sccn2c1[N+](=O)[O-])C(F)F ZINC000619501503 353029797 /nfs/dbraw/zinc/02/97/97/353029797.db2.gz VDTFVPFSHRBOQA-UHFFFAOYSA-N 0 0 276.268 2.760 20 5 CFBDRN CCC(CC)(CC)CNC(=O)c1c([N+](=O)[O-])c(C)nn1C ZINC000150903760 187932659 /nfs/dbraw/zinc/93/26/59/187932659.db2.gz FTGHLTNNWOXEJI-UHFFFAOYSA-N 0 0 296.371 2.583 20 5 CFBDRN CC1=CCCN(Cc2cc3c(cc2[N+](=O)[O-])OCCO3)C1 ZINC000619561656 353036319 /nfs/dbraw/zinc/03/63/19/353036319.db2.gz UTGRTDUILXFOEJ-UHFFFAOYSA-N 0 0 290.319 2.518 20 5 CFBDRN CSc1ccc(Cn2cc(C)c([N+](=O)[O-])n2)cc1 ZINC000619745405 353062770 /nfs/dbraw/zinc/06/27/70/353062770.db2.gz BSDRMQVEBNOOIO-UHFFFAOYSA-N 0 0 263.322 2.870 20 5 CFBDRN CCC(C)(C)N(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000619591324 353038730 /nfs/dbraw/zinc/03/87/30/353038730.db2.gz TZQSFZIULZKAPJ-UHFFFAOYSA-N 0 0 279.340 2.925 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CCC(F)F ZINC000619607641 353039585 /nfs/dbraw/zinc/03/95/85/353039585.db2.gz KYPYTSXTIZUNNT-UHFFFAOYSA-N 0 0 288.250 2.977 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(C)(C)C1 ZINC000619638983 353041887 /nfs/dbraw/zinc/04/18/87/353041887.db2.gz OZDNGGWFKKNFCI-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CCN(CCC(F)(F)F)c1ncc([N+](=O)[O-])s1 ZINC000619695225 353051850 /nfs/dbraw/zinc/05/18/50/353051850.db2.gz YSNZYWQGNIEVIB-UHFFFAOYSA-N 0 0 269.248 2.830 20 5 CFBDRN C[C@H](NCC(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000151761182 187978133 /nfs/dbraw/zinc/97/81/33/187978133.db2.gz RGMCQNHGKYJKHO-JTQLQIEISA-N 0 0 280.324 2.587 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CCOC[C@@H](C3CCC3)C2)cs1 ZINC000292272439 198226058 /nfs/dbraw/zinc/22/60/58/198226058.db2.gz ZRGUCDVASCJEFB-ZDUSSCGKSA-N 0 0 296.392 2.905 20 5 CFBDRN CC(C)(C)CCNC(=O)CNc1cccc([N+](=O)[O-])c1 ZINC000152299425 188008309 /nfs/dbraw/zinc/00/83/09/188008309.db2.gz SEDZYBGNTIGHOU-UHFFFAOYSA-N 0 0 279.340 2.559 20 5 CFBDRN Cc1ccc(SCCn2cc([N+](=O)[O-])cn2)cc1 ZINC000153661332 188096181 /nfs/dbraw/zinc/09/61/81/188096181.db2.gz RPOBAZOGSTYYPH-UHFFFAOYSA-N 0 0 263.322 2.892 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1N[C@H]1CCCC[C@@H]1CO ZINC000153814207 188105060 /nfs/dbraw/zinc/10/50/60/188105060.db2.gz XGMBQDQTXXOGTC-SCZZXKLOSA-N 0 0 285.731 2.606 20 5 CFBDRN Cc1ccoc1CN(C)C(=O)c1csc([N+](=O)[O-])c1 ZINC000292334082 198248265 /nfs/dbraw/zinc/24/82/65/198248265.db2.gz UJRDHMVQYGWZFK-UHFFFAOYSA-N 0 0 280.305 2.830 20 5 CFBDRN CCOC[C@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000153896096 188110845 /nfs/dbraw/zinc/11/08/45/188110845.db2.gz GGIMEPSGCSXUDN-VIFPVBQESA-N 0 0 285.731 2.506 20 5 CFBDRN COC(=O)CCCSc1ncc(Cl)cc1[N+](=O)[O-] ZINC000153938399 188112463 /nfs/dbraw/zinc/11/24/63/188112463.db2.gz WAVKKDMVXHXUER-UHFFFAOYSA-N 0 0 290.728 2.689 20 5 CFBDRN CCc1nc(C)c(CNc2ncc([N+](=O)[O-])s2)o1 ZINC000292383692 198267295 /nfs/dbraw/zinc/26/72/95/198267295.db2.gz SVTNYNHVGWHSGD-UHFFFAOYSA-N 0 0 268.298 2.522 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@@H](n3cccn3)C2)c1 ZINC000292385048 198268313 /nfs/dbraw/zinc/26/83/13/198268313.db2.gz QKGLEZXKDIESCU-GFCCVEGCSA-N 0 0 272.308 2.551 20 5 CFBDRN Cc1cc(N2CCC[C@H]2C[C@@H](C)O)c(F)cc1[N+](=O)[O-] ZINC000292350429 198255124 /nfs/dbraw/zinc/25/51/24/198255124.db2.gz BXIBBVHXKOMYHL-MNOVXSKESA-N 0 0 282.315 2.782 20 5 CFBDRN Cc1c(CC(=O)OC[C@@H]2CCCCO2)cccc1[N+](=O)[O-] ZINC000154381685 188138608 /nfs/dbraw/zinc/13/86/08/188138608.db2.gz ASJKHFKGCVQTML-ZDUSSCGKSA-N 0 0 293.319 2.558 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1cncnc1 ZINC000154461508 188143785 /nfs/dbraw/zinc/14/37/85/188143785.db2.gz RYDPAIJUVLPOMU-UHFFFAOYSA-N 0 0 286.335 2.795 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(CCC)CCC)c1[N+](=O)[O-] ZINC000154660698 188156054 /nfs/dbraw/zinc/15/60/54/188156054.db2.gz HTXYHYCBISSVHC-UHFFFAOYSA-N 0 0 282.344 2.533 20 5 CFBDRN CCc1noc(C)c1COc1ccc(C=O)cc1[N+](=O)[O-] ZINC000154957121 188172898 /nfs/dbraw/zinc/17/28/98/188172898.db2.gz VFFRVBYLQHXRIP-UHFFFAOYSA-N 0 0 290.275 2.845 20 5 CFBDRN C[C@@H]1CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000154979271 188174571 /nfs/dbraw/zinc/17/45/71/188174571.db2.gz CCIGNAOULDHTJV-NEPJUHHUSA-N 0 0 276.336 2.784 20 5 CFBDRN Cc1cc(NCCO[C@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000292443506 198289923 /nfs/dbraw/zinc/28/99/23/198289923.db2.gz WEHZZLXQMSMMKY-AWEZNQCLSA-N 0 0 280.324 2.858 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCSC1 ZINC000155050612 188179779 /nfs/dbraw/zinc/17/97/79/188179779.db2.gz HZZQGZWKNYRXTG-GFCCVEGCSA-N 0 0 294.376 2.539 20 5 CFBDRN O=C(N[C@@H]1C[C@@H]1C1CCCCC1)c1ccc([N+](=O)[O-])o1 ZINC000155942711 188235997 /nfs/dbraw/zinc/23/59/97/188235997.db2.gz SZTZUFCTUNYCAL-GHMZBOCLSA-N 0 0 278.308 2.886 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000155979417 188237793 /nfs/dbraw/zinc/23/77/93/188237793.db2.gz PXIOCIILUGDRBD-ZYHUDNBSSA-N 0 0 292.335 2.912 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1CCCOC1 ZINC000292506622 198312982 /nfs/dbraw/zinc/31/29/82/198312982.db2.gz ZQXNKUXTYNUZAR-MRVPVSSYSA-N 0 0 284.699 2.613 20 5 CFBDRN CN(CCC1CC1)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000156291050 188253103 /nfs/dbraw/zinc/25/31/03/188253103.db2.gz IJSHRCZTYJVKRQ-UHFFFAOYSA-N 0 0 294.376 2.945 20 5 CFBDRN CO[C@@](C)(C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000292515226 198316586 /nfs/dbraw/zinc/31/65/86/198316586.db2.gz GQHKNWODFRHCBJ-ZUZCIYMTSA-N 0 0 292.335 2.587 20 5 CFBDRN CC(C)[C@@H](O)CNc1ccc([N+](=O)[O-])cc1Cl ZINC000156662650 188276017 /nfs/dbraw/zinc/27/60/17/188276017.db2.gz BSWRZHWMMFDRRK-NSHDSACASA-N 0 0 258.705 2.677 20 5 CFBDRN Cc1nnc([C@H](C)Nc2cc(C)c([N+](=O)[O-])cc2F)[nH]1 ZINC000292540631 198326166 /nfs/dbraw/zinc/32/61/66/198326166.db2.gz IHDVFKPVAVRWDR-ZETCQYMHSA-N 0 0 279.275 2.642 20 5 CFBDRN Cc1cc(N(C)C[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000292593065 198344166 /nfs/dbraw/zinc/34/41/66/198344166.db2.gz LSYMHZNVPHBGLN-SNVBAGLBSA-N 0 0 268.288 2.515 20 5 CFBDRN CC[C@H]1CCC[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000157641075 188329365 /nfs/dbraw/zinc/32/93/65/188329365.db2.gz OJHDUJHUUXWXGV-GXTWGEPZSA-N 0 0 291.351 2.973 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)NC1CCCC1 ZINC000158051672 188354854 /nfs/dbraw/zinc/35/48/54/188354854.db2.gz NAVFWISKVAAURQ-UHFFFAOYSA-N 0 0 278.308 2.666 20 5 CFBDRN CC(C)CONC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000158244262 188365240 /nfs/dbraw/zinc/36/52/40/188365240.db2.gz RHVBJKWILJSRMD-UHFFFAOYSA-N 0 0 287.703 2.957 20 5 CFBDRN CN(C(=O)CC(C)(C)C)c1cccc([N+](=O)[O-])c1 ZINC000158234490 188365367 /nfs/dbraw/zinc/36/53/67/188365367.db2.gz FHKRAABNCKHMDK-UHFFFAOYSA-N 0 0 250.298 2.994 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1OC[C@H](O)C(F)(F)F ZINC000158459399 188376779 /nfs/dbraw/zinc/37/67/79/188376779.db2.gz RZGSFQWXYCZTMK-QMMMGPOBSA-N 0 0 285.605 2.550 20 5 CFBDRN C[C@H](CC[S@@](C)=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000158673392 188388130 /nfs/dbraw/zinc/38/81/30/188388130.db2.gz KUWYWGLDGBSLNO-CVJBHZAOSA-N 0 0 290.772 2.817 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C(C)(C)F)cc1[N+](=O)[O-] ZINC000158808930 188395500 /nfs/dbraw/zinc/39/55/00/188395500.db2.gz NUGCIBAEORAMHI-SECBINFHSA-N 0 0 268.288 2.829 20 5 CFBDRN Cc1c(CC(=O)N2CCC(C)CC2)cccc1[N+](=O)[O-] ZINC000158959093 188401549 /nfs/dbraw/zinc/40/15/49/188401549.db2.gz QIEZWKOBQNRTQQ-UHFFFAOYSA-N 0 0 276.336 2.704 20 5 CFBDRN COCC1(CCNc2ccc([N+](=O)[O-])c(N)c2F)CCC1 ZINC000292751975 198399656 /nfs/dbraw/zinc/39/96/56/198399656.db2.gz JTAQPTJCZVZXAU-UHFFFAOYSA-N 0 0 297.330 2.935 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)CCC1(F)F ZINC000292716510 198387170 /nfs/dbraw/zinc/38/71/70/198387170.db2.gz OQNOJBJNUUFBFT-JTQLQIEISA-N 0 0 298.289 2.641 20 5 CFBDRN Cc1nc(C(C)C)ccc1Cn1ccc(=O)c([N+](=O)[O-])c1 ZINC000292721140 198389348 /nfs/dbraw/zinc/38/93/48/198389348.db2.gz SCNCIUDMOWJEET-UHFFFAOYSA-N 0 0 287.319 2.632 20 5 CFBDRN CCCc1n[nH]c(C(=O)NCC2(CCC)CC2)c1[N+](=O)[O-] ZINC000160618034 188483696 /nfs/dbraw/zinc/48/36/96/188483696.db2.gz YOXZZURNABDCKE-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN CCOCC1(C(=O)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000295217808 199406221 /nfs/dbraw/zinc/40/62/21/199406221.db2.gz AFCCDVATORKURM-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN CC(C)(CCc1noc(-c2ncoc2C2CC2)n1)[N+](=O)[O-] ZINC000293391697 198622503 /nfs/dbraw/zinc/62/25/03/198622503.db2.gz QZVSCXJHUFGNFB-UHFFFAOYSA-N 0 0 292.295 2.590 20 5 CFBDRN CC[C@@H](SC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000271735850 191298839 /nfs/dbraw/zinc/29/88/39/191298839.db2.gz HNSJYAMRTKOFNN-JOYOIKCWSA-N 0 0 282.365 2.914 20 5 CFBDRN CC[C@H](CSC)Nc1nc2sccn2c1[N+](=O)[O-] ZINC000163799675 188615645 /nfs/dbraw/zinc/61/56/45/188615645.db2.gz WQGKVLNKPPCXCK-SSDOTTSWSA-N 0 0 286.382 2.858 20 5 CFBDRN CC[C@@H](CSC)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000163801223 188616145 /nfs/dbraw/zinc/61/61/45/188616145.db2.gz WSIXWXAAVWNVKD-VIFPVBQESA-N 0 0 255.343 2.852 20 5 CFBDRN C[C@H]1CCCC[C@@]1(C)NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000457263136 290407674 /nfs/dbraw/zinc/40/76/74/290407674.db2.gz PJQVFPSDNGHBQI-TVQRCGJNSA-N 0 0 265.313 2.622 20 5 CFBDRN C[C@H]1CSC[C@H]1Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000343620372 291031452 /nfs/dbraw/zinc/03/14/52/291031452.db2.gz YEWBYWGPJGVPQB-POYBYMJQSA-N 0 0 273.745 2.807 20 5 CFBDRN C[C@@H](NC(=O)N(C)CC1CC1)c1ccccc1[N+](=O)[O-] ZINC000271754572 191306478 /nfs/dbraw/zinc/30/64/78/191306478.db2.gz ZMKORUDFQRQUQW-SNVBAGLBSA-N 0 0 277.324 2.707 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)[C@@H]1C ZINC000295702716 199627819 /nfs/dbraw/zinc/62/78/19/199627819.db2.gz XUSZVTBVRNLUNW-HTQZYQBOSA-N 0 0 268.700 2.729 20 5 CFBDRN C[C@@H](NC(=O)COc1ccccc1[N+](=O)[O-])C1CCCC1 ZINC000172105533 188781548 /nfs/dbraw/zinc/78/15/48/188781548.db2.gz GWIVTKPGZIMMLJ-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN CN(C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)C1CCC1 ZINC000172697164 188821768 /nfs/dbraw/zinc/82/17/68/188821768.db2.gz OGPLRSABKWTZCM-UHFFFAOYSA-N 0 0 289.335 2.794 20 5 CFBDRN C[C@H]1CCCN(C(=O)Cc2cccc([N+](=O)[O-])c2)[C@H]1C ZINC000173241714 188847452 /nfs/dbraw/zinc/84/74/52/188847452.db2.gz SBDRZLMGDUXOBO-RYUDHWBXSA-N 0 0 276.336 2.784 20 5 CFBDRN C[C@@H](NC(=O)CC[C@@H]1CCOC1)c1ccccc1[N+](=O)[O-] ZINC000271807445 191339072 /nfs/dbraw/zinc/33/90/72/191339072.db2.gz NUPKUOGMGHXBNW-VXGBXAGGSA-N 0 0 292.335 2.589 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)C1CC1)c1ccccc1[N+](=O)[O-] ZINC000271829469 191353664 /nfs/dbraw/zinc/35/36/64/191353664.db2.gz RRDPBWNIWYEPGY-NXEZZACHSA-N 0 0 262.309 2.818 20 5 CFBDRN Cc1cc(NCc2ccc([C@H](C)O)cc2)ncc1[N+](=O)[O-] ZINC000173914471 188874740 /nfs/dbraw/zinc/87/47/40/188874740.db2.gz CMWLHUUGHZICGS-NSHDSACASA-N 0 0 287.319 2.964 20 5 CFBDRN Cc1ccccc1OCCCn1ccc(=O)c([N+](=O)[O-])c1 ZINC000174100424 188882127 /nfs/dbraw/zinc/88/21/27/188882127.db2.gz DMIAMKDSJUKGAF-UHFFFAOYSA-N 0 0 288.303 2.534 20 5 CFBDRN C[C@@H](NC(=O)C1(C)CCC1)c1ccccc1[N+](=O)[O-] ZINC000271852564 191368705 /nfs/dbraw/zinc/36/87/05/191368705.db2.gz WZOUCEDZTTVVEX-SNVBAGLBSA-N 0 0 262.309 2.962 20 5 CFBDRN C[C@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@@H]1CCCCO1 ZINC000271922088 191411538 /nfs/dbraw/zinc/41/15/38/191411538.db2.gz FBJOSTXHQPAMSU-IUCAKERBSA-N 0 0 296.352 2.674 20 5 CFBDRN C[C@@](O)(CNc1ccc(Cl)cc1[N+](=O)[O-])C(F)(F)F ZINC000272050795 191487257 /nfs/dbraw/zinc/48/72/57/191487257.db2.gz XFWICSYWYSCLIW-SECBINFHSA-N 0 0 298.648 2.973 20 5 CFBDRN CC(C)CN(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272081586 191503226 /nfs/dbraw/zinc/50/32/26/191503226.db2.gz FZGFYCOYOBCWSF-UHFFFAOYSA-N 0 0 286.278 2.801 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC=C(F)C1 ZINC000272130139 191530520 /nfs/dbraw/zinc/53/05/20/191530520.db2.gz RYACSHPDMTYREM-UHFFFAOYSA-N 0 0 284.674 2.948 20 5 CFBDRN CCc1noc(C(F)(F)c2ccc([N+](=O)[O-])cc2)n1 ZINC000272134901 191533841 /nfs/dbraw/zinc/53/38/41/191533841.db2.gz XVGAVBHSKFYYLU-UHFFFAOYSA-N 0 0 269.207 2.680 20 5 CFBDRN COc1ccc(NC(=O)[C@@H](C)C(C)(F)F)cc1[N+](=O)[O-] ZINC000293533592 198671525 /nfs/dbraw/zinc/67/15/25/198671525.db2.gz RIVCUBFTWWONQF-SSDOTTSWSA-N 0 0 288.250 2.833 20 5 CFBDRN C[C@@]1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CCCOC1 ZINC000272614676 191823107 /nfs/dbraw/zinc/82/31/07/191823107.db2.gz IIRWIFKUHHEPHF-CQSZACIVSA-N 0 0 289.291 2.713 20 5 CFBDRN CCCN(CC(C)(C)O)c1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000268629143 283143226 /nfs/dbraw/zinc/14/32/26/283143226.db2.gz OBJSAHYCWCEQNG-UHFFFAOYSA-N 0 0 294.351 2.785 20 5 CFBDRN CN(Cc1nccn1C)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000273027440 192024950 /nfs/dbraw/zinc/02/49/50/192024950.db2.gz TXDQEKWKLNNCPL-UHFFFAOYSA-N 0 0 294.742 2.614 20 5 CFBDRN O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@@H]1CCCCS1 ZINC000273003318 192014863 /nfs/dbraw/zinc/01/48/63/192014863.db2.gz VWJRFXPCMJHSHZ-NSHDSACASA-N 0 0 284.312 2.958 20 5 CFBDRN CCO[C@@H]1C[C@@H](Nc2ccc([N+](=O)[O-])cc2)[C@]12CCCO2 ZINC000273537829 192193068 /nfs/dbraw/zinc/19/30/68/192193068.db2.gz WBXHBACZSCDMKH-RBSFLKMASA-N 0 0 292.335 2.733 20 5 CFBDRN CC(C)CN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000273513692 192182943 /nfs/dbraw/zinc/18/29/43/192182943.db2.gz XLLZIDWDPYAIDF-UHFFFAOYSA-N 0 0 269.276 2.854 20 5 CFBDRN C[C@@H]1C[C@@H](CCNC(=O)c2ccc([N+](=O)[O-])s2)CCO1 ZINC000273556562 192201209 /nfs/dbraw/zinc/20/12/09/192201209.db2.gz MSQAPVQOFMPKIE-ZJUUUORDSA-N 0 0 298.364 2.591 20 5 CFBDRN CN(CCCCc1ccccc1)c1ncc([N+](=O)[O-])cn1 ZINC000273572948 192210619 /nfs/dbraw/zinc/21/06/19/192210619.db2.gz DNGRKWADORXBQA-UHFFFAOYSA-N 0 0 286.335 2.844 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](C)[C@H]1C ZINC000175048873 188930827 /nfs/dbraw/zinc/93/08/27/188930827.db2.gz ZHTCXYPRXWDEDP-NXEZZACHSA-N 0 0 293.323 2.866 20 5 CFBDRN CCC[C@@H](CNc1nc2sccn2c1[N+](=O)[O-])OC ZINC000293658238 198720727 /nfs/dbraw/zinc/72/07/27/198720727.db2.gz LAGIRWKRIBSGPR-QMMMGPOBSA-N 0 0 284.341 2.531 20 5 CFBDRN Cc1ccc2c(c1C)OC[C@H]2Nc1ncc([N+](=O)[O-])cn1 ZINC000273597053 192223034 /nfs/dbraw/zinc/22/30/34/192223034.db2.gz IOWFBGBSBZFGRI-GFCCVEGCSA-N 0 0 286.291 2.547 20 5 CFBDRN Cc1nnc([C@@H](C)NCc2cccc([N+](=O)[O-])c2C)s1 ZINC000273633535 192240029 /nfs/dbraw/zinc/24/00/29/192240029.db2.gz SQLPMOJCZHMTNN-SECBINFHSA-N 0 0 292.364 2.914 20 5 CFBDRN CC[C@@H](Cc1ccccc1)Nc1ncc([N+](=O)[O-])cn1 ZINC000273616837 192231714 /nfs/dbraw/zinc/23/17/14/192231714.db2.gz NNPGQVCUFRITPQ-LBPRGKRZSA-N 0 0 272.308 2.818 20 5 CFBDRN Cc1ccccc1C[C@H](C)Nc1ncc([N+](=O)[O-])cn1 ZINC000273620250 192232620 /nfs/dbraw/zinc/23/26/20/192232620.db2.gz OJHAFDFPNYMJMC-NSHDSACASA-N 0 0 272.308 2.736 20 5 CFBDRN Cc1ccccc1C1(CNc2ncc([N+](=O)[O-])cn2)CC1 ZINC000273678365 192258532 /nfs/dbraw/zinc/25/85/32/192258532.db2.gz YTHCHFPNSWDZFO-UHFFFAOYSA-N 0 0 284.319 2.837 20 5 CFBDRN CSC(C)(C)CNC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000175267935 188943099 /nfs/dbraw/zinc/94/30/99/188943099.db2.gz MCDHJTWCROMUCD-UHFFFAOYSA-N 0 0 297.380 2.536 20 5 CFBDRN CO[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1(C)C ZINC000296004839 199749440 /nfs/dbraw/zinc/74/94/40/199749440.db2.gz KWEWLVRDNYGKIG-SNVBAGLBSA-N 0 0 298.364 2.543 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCC(C)(F)F ZINC000296022377 199756438 /nfs/dbraw/zinc/75/64/38/199756438.db2.gz UXZLOJUFSTZKTN-UHFFFAOYSA-N 0 0 272.251 2.678 20 5 CFBDRN COC1(CCNC(=O)c2c(C)cccc2[N+](=O)[O-])CCC1 ZINC000293792034 198773777 /nfs/dbraw/zinc/77/37/77/198773777.db2.gz CTYRVVXOZGRCLW-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN C/C=C/C[C@H](CO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000274445164 192596702 /nfs/dbraw/zinc/59/67/02/192596702.db2.gz HMXYDUDKXLLEFN-KXMPLOMGSA-N 0 0 287.319 2.882 20 5 CFBDRN C/C=C/C[C@@H](CO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000274445167 192597307 /nfs/dbraw/zinc/59/73/07/192597307.db2.gz HMXYDUDKXLLEFN-VUDBWIFFSA-N 0 0 287.319 2.882 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC[C@@H](F)C2)ccc1[N+](=O)[O-] ZINC000568091254 290523494 /nfs/dbraw/zinc/52/34/94/290523494.db2.gz PTVPYXLWXACLGX-GHMZBOCLSA-N 0 0 296.298 2.614 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000568091257 290523515 /nfs/dbraw/zinc/52/35/15/290523515.db2.gz PTVPYXLWXACLGX-WDEREUQCSA-N 0 0 296.298 2.614 20 5 CFBDRN COc1ccc(CNC(=O)[C@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000274563488 192653223 /nfs/dbraw/zinc/65/32/23/192653223.db2.gz BSGYCKNBXWMCEH-RYUDHWBXSA-N 0 0 292.335 2.512 20 5 CFBDRN CC[C@@H]1CCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000293972161 198851987 /nfs/dbraw/zinc/85/19/87/198851987.db2.gz KLXWNFCDIZAMOY-FZKGZDJFSA-N 0 0 260.293 2.619 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)[C@H]1C ZINC000294156632 198931609 /nfs/dbraw/zinc/93/16/09/198931609.db2.gz OXUJKAMMVAFMLQ-SFYZADRCSA-N 0 0 268.700 2.729 20 5 CFBDRN CCOC(=O)c1cc(NC[C@@H]2C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000569163916 290589365 /nfs/dbraw/zinc/58/93/65/290589365.db2.gz QZFFJVVKNGEXPO-UWVGGRQHSA-N 0 0 278.308 2.839 20 5 CFBDRN CC(C)(CCC(=O)Oc1cccc(F)c1)[N+](=O)[O-] ZINC000294341144 199005127 /nfs/dbraw/zinc/00/51/27/199005127.db2.gz IPONMKQVVHXLKV-UHFFFAOYSA-N 0 0 255.245 2.567 20 5 CFBDRN Cc1ccccc1CN(C)C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294387415 199020951 /nfs/dbraw/zinc/02/09/51/199020951.db2.gz OJKRWUDIFQIFOR-UHFFFAOYSA-N 0 0 278.352 2.789 20 5 CFBDRN Cc1ccc(N(C)C(=O)CCC(C)(C)[N+](=O)[O-])cc1 ZINC000294415103 199031317 /nfs/dbraw/zinc/03/13/17/199031317.db2.gz DTCSNXPKWVPGPP-UHFFFAOYSA-N 0 0 264.325 2.793 20 5 CFBDRN C[C@@H](C(=O)NCCc1ccc([N+](=O)[O-])cc1)C(C)(F)F ZINC000294444958 199042244 /nfs/dbraw/zinc/04/22/44/199042244.db2.gz FNGVUMPGVOFPQA-VIFPVBQESA-N 0 0 286.278 2.545 20 5 CFBDRN CC(C)(CCC(=O)N[C@H]1CCc2ccccc21)[N+](=O)[O-] ZINC000294585409 199096685 /nfs/dbraw/zinc/09/66/85/199096685.db2.gz YFQSOTSPRKOTGK-ZDUSSCGKSA-N 0 0 276.336 2.626 20 5 CFBDRN C[C@H]1c2ccccc2CCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294570760 199090638 /nfs/dbraw/zinc/09/06/38/199090638.db2.gz JZHFSSNKYLBXKV-LBPRGKRZSA-N 0 0 290.363 2.968 20 5 CFBDRN C[C@@H]1c2ccccc2CCN1C(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294570756 199090835 /nfs/dbraw/zinc/09/08/35/199090835.db2.gz JZHFSSNKYLBXKV-GFCCVEGCSA-N 0 0 290.363 2.968 20 5 CFBDRN Cc1ccc(NC(=O)N2CCC[C@H]2CF)cc1[N+](=O)[O-] ZINC000296170420 199805284 /nfs/dbraw/zinc/80/52/84/199805284.db2.gz LEBFKCILJCSNMJ-NSHDSACASA-N 0 0 281.287 2.869 20 5 CFBDRN CC(C)(CCC(=O)Nc1c(F)cccc1F)[N+](=O)[O-] ZINC000294638894 199118437 /nfs/dbraw/zinc/11/84/37/199118437.db2.gz ZDMHCJSLOAFPKE-UHFFFAOYSA-N 0 0 272.251 2.739 20 5 CFBDRN Cn1ccc2ccc(NC(=O)CCC(C)(C)[N+](=O)[O-])cc21 ZINC000294644461 199120732 /nfs/dbraw/zinc/12/07/32/199120732.db2.gz VFMMRPDNYRVYJR-UHFFFAOYSA-N 0 0 289.335 2.952 20 5 CFBDRN COc1cc(C(=O)NCC(C)(C)C)c([N+](=O)[O-])cc1F ZINC000294680862 199131019 /nfs/dbraw/zinc/13/10/19/199131019.db2.gz XUDQOKRNYYBYTJ-UHFFFAOYSA-N 0 0 284.287 2.518 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CC23CC3)c(Br)c1 ZINC000418991723 236421785 /nfs/dbraw/zinc/42/17/85/236421785.db2.gz MYZHPFNFOWKOSC-SSDOTTSWSA-N 0 0 298.140 2.964 20 5 CFBDRN Cc1cc(Cl)c(C(=O)NC[C@@H]2C[C@@H]2C)cc1[N+](=O)[O-] ZINC000294742476 199160965 /nfs/dbraw/zinc/16/09/65/199160965.db2.gz CKVJTRBPLQXIGL-CBAPKCEASA-N 0 0 282.727 2.942 20 5 CFBDRN O=C([O-])C[C@@H]1CCCC[C@@H]1[NH2+]Cc1ccc([N+](=O)[O-])cc1 ZINC000417672697 304075048 /nfs/dbraw/zinc/07/50/48/304075048.db2.gz UCGSVCJAIWGFIR-JSGCOSHPSA-N 0 0 292.335 2.718 20 5 CFBDRN CCn1cc(N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)cn1 ZINC000294860595 199218281 /nfs/dbraw/zinc/21/82/81/199218281.db2.gz SYTXRHNQJVRTPL-SECBINFHSA-N 0 0 275.312 2.567 20 5 CFBDRN Cc1nn(C)c(NC[C@@H]2CCCCC2(F)F)c1[N+](=O)[O-] ZINC000294853797 199214368 /nfs/dbraw/zinc/21/43/68/199214368.db2.gz CJPGMROBYZMKRA-VIFPVBQESA-N 0 0 288.298 2.874 20 5 CFBDRN COC1(CNc2cc(C)c([N+](=O)[O-])cn2)CCCC1 ZINC000294863722 199219977 /nfs/dbraw/zinc/21/99/77/199219977.db2.gz DJULUKIAKRCYDJ-UHFFFAOYSA-N 0 0 265.313 2.669 20 5 CFBDRN Cc1nn([C@@H](C)C(=O)C2(c3ccccc3)CC2)cc1[N+](=O)[O-] ZINC000294887228 199232485 /nfs/dbraw/zinc/23/24/85/199232485.db2.gz ARSVNJVWXKGMGE-LBPRGKRZSA-N 0 0 299.330 2.962 20 5 CFBDRN CC[C@@H](C)[C@@H](CNc1cc(N)ccc1[N+](=O)[O-])OC ZINC000294942125 199262821 /nfs/dbraw/zinc/26/28/21/199262821.db2.gz LJILLQOBHDEYLJ-NOZJJQNGSA-N 0 0 267.329 2.650 20 5 CFBDRN CC(C)c1nnc(CNc2ccc([N+](=O)[O-])cc2F)[nH]1 ZINC000294944991 199263569 /nfs/dbraw/zinc/26/35/69/199263569.db2.gz LPDWKRYLNJRVGD-UHFFFAOYSA-N 0 0 279.275 2.588 20 5 CFBDRN C[C@@H](CCCO)CNc1nccc2cc([N+](=O)[O-])ccc21 ZINC000294934035 199258404 /nfs/dbraw/zinc/25/84/04/199258404.db2.gz KMNLBGSQFAJBCB-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN Cn1cc(Cl)c(CN2CCc3ccc([N+](=O)[O-])cc32)n1 ZINC000420951767 236713577 /nfs/dbraw/zinc/71/35/77/236713577.db2.gz UBHKIRFEKXTLMU-UHFFFAOYSA-N 0 0 292.726 2.544 20 5 CFBDRN CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C(C)C ZINC000421514355 236830621 /nfs/dbraw/zinc/83/06/21/236830621.db2.gz VVSPCQQJZPLWLT-AWEZNQCLSA-N 0 0 294.351 2.612 20 5 CFBDRN C[C@H]1N(c2cc(N)ccc2[N+](=O)[O-])CCC12CCOCC2 ZINC000373864221 291180686 /nfs/dbraw/zinc/18/06/86/291180686.db2.gz FEUYCPYPMKOQID-LLVKDONJSA-N 0 0 291.351 2.572 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000274890469 192828867 /nfs/dbraw/zinc/82/88/67/192828867.db2.gz LTANRZSLSMGHPO-ZJUUUORDSA-N 0 0 266.272 2.965 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2C[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000274945950 192853615 /nfs/dbraw/zinc/85/36/15/192853615.db2.gz QSEYXSWLTXTEOM-GHMZBOCLSA-N 0 0 278.308 2.834 20 5 CFBDRN CCC(C)(C)CNC(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000296875395 200022398 /nfs/dbraw/zinc/02/23/98/200022398.db2.gz UVFZIDVSMIUJBH-UHFFFAOYSA-N 0 0 298.314 2.909 20 5 CFBDRN CCc1ccnc(-c2noc(CCC(C)(C)[N+](=O)[O-])n2)c1 ZINC000296942705 200041362 /nfs/dbraw/zinc/04/13/62/200041362.db2.gz QMZBDLZMCKCPPG-UHFFFAOYSA-N 0 0 290.323 2.682 20 5 CFBDRN Cc1cccnc1CCNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000297051780 200072657 /nfs/dbraw/zinc/07/26/57/200072657.db2.gz OWSYWWPUAAUSPK-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN COc1ccc(NC(=O)NC2(C(C)C)CC2)cc1[N+](=O)[O-] ZINC000297013905 200061560 /nfs/dbraw/zinc/06/15/60/200061560.db2.gz ZTXIMFSFZTZVEW-UHFFFAOYSA-N 0 0 293.323 2.914 20 5 CFBDRN Cc1nc(N[C@H]2CC[C@H](C)CC2)ncc1[N+](=O)[O-] ZINC000297018231 200063534 /nfs/dbraw/zinc/06/35/34/200063534.db2.gz GTXNFKCYLJDNKZ-CZMCAQCFSA-N 0 0 250.302 2.684 20 5 CFBDRN Cc1nc(N(C)[C@H]2CCc3ccccc3C2)ncc1[N+](=O)[O-] ZINC000297020862 200063828 /nfs/dbraw/zinc/06/38/28/200063828.db2.gz GWUAKZNJLMPNBH-AWEZNQCLSA-N 0 0 298.346 2.687 20 5 CFBDRN CS[C@@H]1CCC[C@H](Nc2ncc([N+](=O)[O-])c(C)n2)C1 ZINC000297094112 200083642 /nfs/dbraw/zinc/08/36/42/200083642.db2.gz KSQPYNAPSIXFKY-VHSXEESVSA-N 0 0 282.369 2.779 20 5 CFBDRN O=C([C@H]1CC1(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000297126013 200091324 /nfs/dbraw/zinc/09/13/24/200091324.db2.gz MTYDJMSJXMSICV-GFCCVEGCSA-N 0 0 296.273 2.741 20 5 CFBDRN C[C@@H](CNc1nccc2cc([N+](=O)[O-])ccc21)C[C@H](C)O ZINC000297147090 200097450 /nfs/dbraw/zinc/09/74/50/200097450.db2.gz NZIQCXIXFGASCB-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN CN(C)c1cccc(COC(=O)CCC(C)(C)[N+](=O)[O-])c1 ZINC000297262239 200128727 /nfs/dbraw/zinc/12/87/27/200128727.db2.gz FQCRKHHCNYCQCN-UHFFFAOYSA-N 0 0 294.351 2.631 20 5 CFBDRN CC[C@H](Nc1ncc([N+](=O)[O-])c(C)n1)c1ccncc1 ZINC000297187487 200108727 /nfs/dbraw/zinc/10/87/27/200108727.db2.gz QKLMJFAYKZQIOC-NSHDSACASA-N 0 0 273.296 2.651 20 5 CFBDRN CC[C@@H]1CCC[C@H]1NC(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000435819052 240358014 /nfs/dbraw/zinc/35/80/14/240358014.db2.gz RTHXQSXEQBMNEK-ZYHUDNBSSA-N 0 0 292.335 2.912 20 5 CFBDRN Cc1c(NC(=O)N[C@H](C)CCCCO)cccc1[N+](=O)[O-] ZINC000297558492 200227064 /nfs/dbraw/zinc/22/70/64/200227064.db2.gz VUVDUIGSWWEYHO-SNVBAGLBSA-N 0 0 295.339 2.576 20 5 CFBDRN CC1=C(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)SCCO1 ZINC000297608190 200240236 /nfs/dbraw/zinc/24/02/36/200240236.db2.gz DISILMVHISQTSX-UHFFFAOYSA-N 0 0 299.279 2.634 20 5 CFBDRN CC(=O)c1ccsc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000297636686 200248312 /nfs/dbraw/zinc/24/83/12/200248312.db2.gz ALGYWIRCCNEMQY-UHFFFAOYSA-N 0 0 284.337 2.725 20 5 CFBDRN CC[C@@H]1CCN1C(=O)c1ccc([N+](=O)[O-])c2cccnc12 ZINC000297811834 200285980 /nfs/dbraw/zinc/28/59/80/200285980.db2.gz LODCSWABFXSDRE-SNVBAGLBSA-N 0 0 285.303 2.768 20 5 CFBDRN CCC1(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)CC1 ZINC000297957986 200309322 /nfs/dbraw/zinc/30/93/22/200309322.db2.gz MXPORRZCXGOBQH-UHFFFAOYSA-N 0 0 297.742 2.990 20 5 CFBDRN CCc1nc(COc2cccnc2[N+](=O)[O-])cs1 ZINC000298051175 200323220 /nfs/dbraw/zinc/32/32/20/200323220.db2.gz ZRTHCKMMVQJBEV-UHFFFAOYSA-N 0 0 265.294 2.588 20 5 CFBDRN CN(C(=O)CSC(F)F)c1ccc([N+](=O)[O-])cc1 ZINC000298143230 200344159 /nfs/dbraw/zinc/34/41/59/200344159.db2.gz QYLKYZWFAYDSFK-UHFFFAOYSA-N 0 0 276.264 2.513 20 5 CFBDRN Cc1c(CC(=O)NCC2CC(F)(F)C2)cccc1[N+](=O)[O-] ZINC000298231437 200366687 /nfs/dbraw/zinc/36/66/87/200366687.db2.gz HLUWIQAZJJHHCE-UHFFFAOYSA-N 0 0 298.289 2.607 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H](C)n1cccn1 ZINC000298235489 200367316 /nfs/dbraw/zinc/36/73/16/200367316.db2.gz GODUEZQJMXNHSY-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN CCC[C@H](O)CSCc1ccc([N+](=O)[O-])cc1 ZINC000298200074 200358087 /nfs/dbraw/zinc/35/80/87/200358087.db2.gz FXONRZGPSNQAIB-LBPRGKRZSA-N 0 0 255.339 2.989 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)Cn2cc([N+](=O)[O-])cn2)cc1 ZINC000298210366 200361177 /nfs/dbraw/zinc/36/11/77/200361177.db2.gz TUZHAUKMIOXTPN-UHFFFAOYSA-N 0 0 287.319 2.972 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC1CCCC1 ZINC000298362617 200406988 /nfs/dbraw/zinc/40/69/88/200406988.db2.gz QXFRVYCTHLUCAE-UHFFFAOYSA-N 0 0 262.309 2.823 20 5 CFBDRN Cc1cnc(OCCCOCC(F)(F)F)c([N+](=O)[O-])c1 ZINC000176703735 189005560 /nfs/dbraw/zinc/00/55/60/189005560.db2.gz PVRCTQNKPMTGHY-UHFFFAOYSA-N 0 0 294.229 2.646 20 5 CFBDRN CCN(C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000176737214 189006229 /nfs/dbraw/zinc/00/62/29/189006229.db2.gz FYKOOVPSOHCCCE-SNVBAGLBSA-N 0 0 265.313 2.626 20 5 CFBDRN CCC[C@@H]1CCCN(C(=O)c2[nH]nc(CC)c2[N+](=O)[O-])C1 ZINC000176812087 189010214 /nfs/dbraw/zinc/01/02/14/189010214.db2.gz RUIQTIHUYKUVRZ-SNVBAGLBSA-N 0 0 294.355 2.533 20 5 CFBDRN O=[N+]([O-])c1ccc(CSCCn2cccn2)cc1 ZINC000176864095 189012218 /nfs/dbraw/zinc/01/22/18/189012218.db2.gz OQDHNTNGNDQUEH-UHFFFAOYSA-N 0 0 263.322 2.725 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)[C@H]1C ZINC000176976557 189018306 /nfs/dbraw/zinc/01/83/06/189018306.db2.gz GUJDAHMBPUUJFE-YUMQZZPRSA-N 0 0 254.311 2.527 20 5 CFBDRN CCCC[C@H](CCC)CNC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000177294476 189042054 /nfs/dbraw/zinc/04/20/54/189042054.db2.gz SOTYTYLYMJRTRV-LBPRGKRZSA-N 0 0 296.371 2.514 20 5 CFBDRN CC(C)C1(CNC(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000177392608 189046880 /nfs/dbraw/zinc/04/68/80/189046880.db2.gz ILAPLUYTZDALSM-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN O=C1OCC[C@H]1CCOc1cc([N+](=O)[O-])ccc1Cl ZINC000177590023 189059056 /nfs/dbraw/zinc/05/90/56/189059056.db2.gz RPBDOIFAXKBXEI-MRVPVSSYSA-N 0 0 285.683 2.580 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000177674677 189062833 /nfs/dbraw/zinc/06/28/33/189062833.db2.gz CDXWNDXNKSQCHT-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)C2CCC2)cc1[N+](=O)[O-] ZINC000177832568 189073182 /nfs/dbraw/zinc/07/31/82/189073182.db2.gz PRNGGBFWFGCRII-SNVBAGLBSA-N 0 0 262.309 2.881 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000177864843 189075076 /nfs/dbraw/zinc/07/50/76/189075076.db2.gz JYRIWQYZGQLMLF-JTQLQIEISA-N 0 0 289.291 2.691 20 5 CFBDRN CC[C@@H](C)NC(=O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000177989539 189083617 /nfs/dbraw/zinc/08/36/17/189083617.db2.gz MFNQKUQTEZBNAE-MRVPVSSYSA-N 0 0 286.715 2.542 20 5 CFBDRN COc1ccc(CNC(=O)CCC(C)C)cc1[N+](=O)[O-] ZINC000298849080 200547479 /nfs/dbraw/zinc/54/74/79/200547479.db2.gz KRORPMUDGQBFCJ-UHFFFAOYSA-N 0 0 280.324 2.656 20 5 CFBDRN CCC1(CNC(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000178199502 189096961 /nfs/dbraw/zinc/09/69/61/189096961.db2.gz OXWGEONBKABRFS-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN C[C@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000178420899 189115046 /nfs/dbraw/zinc/11/50/46/189115046.db2.gz JZFZNCYDDSDGTP-JTQLQIEISA-N 0 0 262.309 2.599 20 5 CFBDRN O=C1CCC[C@@H]1CCSc1ncccc1[N+](=O)[O-] ZINC000178506221 189122280 /nfs/dbraw/zinc/12/22/80/189122280.db2.gz PAUQWNYFCDCTQC-SECBINFHSA-N 0 0 266.322 2.841 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CC1(C)C ZINC000299059878 200595354 /nfs/dbraw/zinc/59/53/54/200595354.db2.gz RJRINSMMWCMUSM-GFCCVEGCSA-N 0 0 277.324 2.535 20 5 CFBDRN C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)C1CC1 ZINC000178391674 189112718 /nfs/dbraw/zinc/11/27/18/189112718.db2.gz SQNORCSQTSIQIT-SSDOTTSWSA-N 0 0 252.245 2.719 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1C ZINC000178617843 189131735 /nfs/dbraw/zinc/13/17/35/189131735.db2.gz NNFMNANTZBQCJQ-ZYHUDNBSSA-N 0 0 278.352 2.997 20 5 CFBDRN CCC1CCN(C(=O)c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000299212029 200639852 /nfs/dbraw/zinc/63/98/52/200639852.db2.gz HGIGJUCPSRMXPB-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCC1CC1 ZINC000178751131 189143207 /nfs/dbraw/zinc/14/32/07/189143207.db2.gz XZOYVYLNEJYJGF-UHFFFAOYSA-N 0 0 293.323 2.867 20 5 CFBDRN CC(C)(C)C[C@@H](CO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000178799652 189147006 /nfs/dbraw/zinc/14/70/06/189147006.db2.gz CEGZKIDEOOWNQC-NSHDSACASA-N 0 0 295.339 2.513 20 5 CFBDRN Cc1csc(=O)n1CCOc1ccc(C)c([N+](=O)[O-])c1 ZINC000178991226 189159937 /nfs/dbraw/zinc/15/99/37/189159937.db2.gz QOJVOHUTPBIUTL-UHFFFAOYSA-N 0 0 294.332 2.514 20 5 CFBDRN Cc1nc(N2CCc3ccccc32)ccc1[N+](=O)[O-] ZINC000301618769 540918762 /nfs/dbraw/zinc/91/87/62/540918762.db2.gz DDPQGMMGJBZGML-UHFFFAOYSA-N 0 0 255.277 2.992 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000179788819 189219940 /nfs/dbraw/zinc/21/99/40/189219940.db2.gz SWKFDIROEAWJCD-YGRLFVJLSA-N 0 0 290.319 2.563 20 5 CFBDRN O=C(N[C@H]1CCCOCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000180185669 189241998 /nfs/dbraw/zinc/24/19/98/189241998.db2.gz VLCMRZJHIHCPJS-VIFPVBQESA-N 0 0 298.726 2.547 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1NCCCCCCO ZINC000180221013 189244750 /nfs/dbraw/zinc/24/47/50/189244750.db2.gz HQEYUBBMZGCUMK-UHFFFAOYSA-N 0 0 256.277 2.699 20 5 CFBDRN CC[C@@]1(CO)CCCN(c2cccc(C)c2[N+](=O)[O-])C1 ZINC000275520107 540974958 /nfs/dbraw/zinc/97/49/58/540974958.db2.gz WODBTVYKSOOCMO-OAHLLOKOSA-N 0 0 278.352 2.892 20 5 CFBDRN CCCOC(=O)[C@H](C)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180416766 189254129 /nfs/dbraw/zinc/25/41/29/189254129.db2.gz HYKHHEJDMZLYIC-MNOVXSKESA-N 0 0 280.324 2.587 20 5 CFBDRN CCOC(=O)[C@@H](CC)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000180454870 189256426 /nfs/dbraw/zinc/25/64/26/189256426.db2.gz ZHXARZZOWIYCHT-GXFFZTMASA-N 0 0 280.324 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(CSc2ncnc3n[nH]cc32)cc1 ZINC000301084005 200910492 /nfs/dbraw/zinc/91/04/92/200910492.db2.gz PHHBNMVOLONOLB-UHFFFAOYSA-N 0 0 287.304 2.553 20 5 CFBDRN C[C@H](CNc1ccc([N+](=O)[O-])cc1)Cn1cccn1 ZINC000301108375 200918315 /nfs/dbraw/zinc/91/83/15/200918315.db2.gz QRIOBKPWRQXLAC-LLVKDONJSA-N 0 0 260.297 2.540 20 5 CFBDRN C[C@@H]1Cc2ccccc2N1CCNc1ncccc1[N+](=O)[O-] ZINC000301108622 200918456 /nfs/dbraw/zinc/91/84/56/200918456.db2.gz QOHNEFOSDMWJIT-GFCCVEGCSA-N 0 0 298.346 2.853 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1nc2sccn2c1[N+](=O)[O-] ZINC000301279026 200961102 /nfs/dbraw/zinc/96/11/02/200961102.db2.gz ZOURKBPFCPXCOH-PRHODGIISA-N 0 0 298.368 2.513 20 5 CFBDRN Cc1cccc([C@H](C)Nc2ncc([N+](=O)[O-])c(N)n2)c1C ZINC000301310455 200972209 /nfs/dbraw/zinc/97/22/09/200972209.db2.gz ZPESKCKYVOAKGB-JTQLQIEISA-N 0 0 287.323 2.757 20 5 CFBDRN CC(=O)N(C)c1cccc(Nc2ccc([N+](=O)[O-])cn2)c1 ZINC000301414496 201001350 /nfs/dbraw/zinc/00/13/50/201001350.db2.gz DLIPKQKIZRAZCL-UHFFFAOYSA-N 0 0 286.291 2.716 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c2cnccc21)C1CCOCC1 ZINC000301424000 201003022 /nfs/dbraw/zinc/00/30/22/201003022.db2.gz GHZRBVAVHQDKLZ-UHFFFAOYSA-N 0 0 287.319 2.758 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NCCc1cccc(O)c1 ZINC000301446130 201011699 /nfs/dbraw/zinc/01/16/99/201011699.db2.gz ZJIVGHFRULQDII-UHFFFAOYSA-N 0 0 273.292 2.658 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CC(=O)N(C(C)(C)C)C1 ZINC000301452623 201015369 /nfs/dbraw/zinc/01/53/69/201015369.db2.gz ANLCBKCNTRQTLO-NSHDSACASA-N 0 0 291.351 2.715 20 5 CFBDRN Cc1cnc(NCc2cn3ccccc3n2)c([N+](=O)[O-])c1 ZINC000301462673 201017985 /nfs/dbraw/zinc/01/79/85/201017985.db2.gz VDPDCYUWKLYIEW-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN C[C@@]1(CNc2ccc([N+](=O)[O-])cc2)CCCC[C@@H]1O ZINC000301491436 201027883 /nfs/dbraw/zinc/02/78/83/201027883.db2.gz NMBJZBSUZLKEAE-KBPBESRZSA-N 0 0 264.325 2.948 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1ncc(Cl)cn1 ZINC000301493500 201028722 /nfs/dbraw/zinc/02/87/22/201028722.db2.gz QQZYYMDLJAVFDW-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN Cc1noc(C)c1C[C@@H](C)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000301439416 201008188 /nfs/dbraw/zinc/00/81/88/201008188.db2.gz NYVJJHZXQVLWSA-SECBINFHSA-N 0 0 290.323 2.946 20 5 CFBDRN CCOc1cc(N(C)C[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000301528586 201049169 /nfs/dbraw/zinc/04/91/69/201049169.db2.gz OJKCBHGZOOPZRC-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN Cc1cc(NC(=O)[C@@H](C)C2CCOCC2)ccc1[N+](=O)[O-] ZINC000182418224 189323107 /nfs/dbraw/zinc/32/31/07/189323107.db2.gz FRMRBVIFWBHHLP-NSHDSACASA-N 0 0 292.335 2.904 20 5 CFBDRN CCCC[C@H](Nc1ncc([N+](=O)[O-])c(N)n1)C1CCC1 ZINC000301592371 201086320 /nfs/dbraw/zinc/08/63/20/201086320.db2.gz DKSDSJCBRTWSLI-JTQLQIEISA-N 0 0 279.344 2.738 20 5 CFBDRN CC(=O)c1ccc(NCCn2cccc2)c([N+](=O)[O-])c1 ZINC000301603406 201091405 /nfs/dbraw/zinc/09/14/05/201091405.db2.gz XBAZLHQLONKGSY-UHFFFAOYSA-N 0 0 273.292 2.711 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)N(CCCCCCO)CC2 ZINC000182785145 189334526 /nfs/dbraw/zinc/33/45/26/189334526.db2.gz WDQNRSKQSAGHOR-UHFFFAOYSA-N 0 0 264.325 2.510 20 5 CFBDRN CCCCN(C)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000301630152 201105353 /nfs/dbraw/zinc/10/53/53/201105353.db2.gz SJMFJSQELPRQKE-UHFFFAOYSA-N 0 0 256.277 2.979 20 5 CFBDRN COc1cc(N[C@H]2CCCOCC2)ccc1[N+](=O)[O-] ZINC000182981415 189341353 /nfs/dbraw/zinc/34/13/53/189341353.db2.gz OQYNQFNQSALWIS-JTQLQIEISA-N 0 0 266.297 2.584 20 5 CFBDRN CC1(C)C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2)C(C)(C)O1 ZINC000183039825 189344022 /nfs/dbraw/zinc/34/40/22/189344022.db2.gz RFGJPKHXKIIWRW-GFCCVEGCSA-N 0 0 292.335 2.671 20 5 CFBDRN CCC[C@@H]1CCCN(c2c([N+](=O)[O-])c(C)nn2C)C1 ZINC000301612029 201094727 /nfs/dbraw/zinc/09/47/27/201094727.db2.gz FKUJQNBPXKHPTL-LLVKDONJSA-N 0 0 266.345 2.653 20 5 CFBDRN CCC(CC)(CC)CNc1ncc([N+](=O)[O-])c(N)n1 ZINC000301617746 201100307 /nfs/dbraw/zinc/10/03/07/201100307.db2.gz AZGFSEZSHPCIEB-UHFFFAOYSA-N 0 0 267.333 2.595 20 5 CFBDRN CC1(C)C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2)C(C)(C)O1 ZINC000183063395 189345072 /nfs/dbraw/zinc/34/50/72/189345072.db2.gz JSYLRMXBXZTMOZ-LBPRGKRZSA-N 0 0 292.335 2.671 20 5 CFBDRN CCCN(C)c1cc(Cl)c(C(=O)OC)cc1[N+](=O)[O-] ZINC000301666762 201124978 /nfs/dbraw/zinc/12/49/78/201124978.db2.gz LXXQKRYYGCVGFK-UHFFFAOYSA-N 0 0 286.715 2.881 20 5 CFBDRN O=C(NCCC[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000183421421 189358478 /nfs/dbraw/zinc/35/84/78/189358478.db2.gz JTLLEPGPOBZODX-AWEZNQCLSA-N 0 0 292.335 2.674 20 5 CFBDRN O=C(NCCC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])s1 ZINC000183419156 189358851 /nfs/dbraw/zinc/35/88/51/189358851.db2.gz MTFCGRNJTFZDNH-SNVBAGLBSA-N 0 0 298.364 2.735 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H]1CCC[C@H](F)C1 ZINC000424613104 238805209 /nfs/dbraw/zinc/80/52/09/238805209.db2.gz UOFHMVJWUJRIMA-STQMWFEESA-N 0 0 294.326 2.782 20 5 CFBDRN COC(=O)c1cc(NCC2CC2)cc(C)c1[N+](=O)[O-] ZINC000301694087 201142855 /nfs/dbraw/zinc/14/28/55/201142855.db2.gz OVBHGNOSBLCHTK-UHFFFAOYSA-N 0 0 264.281 2.512 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)NCc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000416700348 291274127 /nfs/dbraw/zinc/27/41/27/291274127.db2.gz YYHXUDCXINNATQ-IJLUTSLNSA-N 0 0 291.351 2.781 20 5 CFBDRN C[C@H](C1CC1)N(C(=O)Nc1ccncc1[N+](=O)[O-])C1CC1 ZINC000183608368 189364309 /nfs/dbraw/zinc/36/43/09/189364309.db2.gz DDXPAMDLWDZXDP-SECBINFHSA-N 0 0 290.323 2.785 20 5 CFBDRN CC[C@H](C)Nc1cc(C)c([N+](=O)[O-])c(C(=O)OC)c1 ZINC000301709212 201153305 /nfs/dbraw/zinc/15/33/05/201153305.db2.gz WUJWOKOFKWZWGX-VIFPVBQESA-N 0 0 266.297 2.900 20 5 CFBDRN O=[N+]([O-])c1ccccc1CCNc1nnc(C2CC2)s1 ZINC000301756677 201179882 /nfs/dbraw/zinc/17/98/82/201179882.db2.gz LATCCUVFQIHCFI-UHFFFAOYSA-N 0 0 290.348 2.978 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(NC[C@H](O)c2ccco2)c(F)c1 ZINC000301759670 201180542 /nfs/dbraw/zinc/18/05/42/201180542.db2.gz AVNQHZBPSZYUTE-JTQLQIEISA-N 0 0 284.218 2.612 20 5 CFBDRN CCN(Cc1ccc(C)c([N+](=O)[O-])c1)CC(F)F ZINC000184091091 189376244 /nfs/dbraw/zinc/37/62/44/189376244.db2.gz UNJMODJWIDCDHS-UHFFFAOYSA-N 0 0 258.268 2.990 20 5 CFBDRN CC[C@@H](COC)NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000184110393 189376576 /nfs/dbraw/zinc/37/65/76/189376576.db2.gz YCMDCLIRHWBSHX-STRFDMGBSA-N 0 0 292.335 2.539 20 5 CFBDRN COc1cc(CSC[C@H](C)CO)c([N+](=O)[O-])cc1F ZINC000183859570 189369976 /nfs/dbraw/zinc/36/99/76/189369976.db2.gz KJLWKEQQAMLEDO-MRVPVSSYSA-N 0 0 289.328 2.604 20 5 CFBDRN Cc1nc(C(F)(F)F)c(Cn2nccc2[N+](=O)[O-])s1 ZINC000183874436 189370356 /nfs/dbraw/zinc/37/03/56/189370356.db2.gz OGQPLUYMLNEVTJ-UHFFFAOYSA-N 0 0 292.242 2.623 20 5 CFBDRN CNc1nc(CNc2ncc(Cl)cc2[N+](=O)[O-])cs1 ZINC000301749274 201174679 /nfs/dbraw/zinc/17/46/79/201174679.db2.gz SYNRTMFXRMHCDM-UHFFFAOYSA-N 0 0 299.743 2.754 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1)[C@H](O)Cc1ccccc1 ZINC000301801444 201206390 /nfs/dbraw/zinc/20/63/90/201206390.db2.gz WNHDYTJJDFMWLI-BLLLJJGKSA-N 0 0 286.331 2.999 20 5 CFBDRN C[C@]1(CO)CCC[C@H]1Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000301780634 201192866 /nfs/dbraw/zinc/19/28/66/201192866.db2.gz JEVORTPMHLNQIH-DGCLKSJQSA-N 0 0 286.278 2.836 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1C1CCC1 ZINC000424983964 238916899 /nfs/dbraw/zinc/91/68/99/238916899.db2.gz RXOKOBSTXCTHBP-WCQYABFASA-N 0 0 290.319 2.522 20 5 CFBDRN Cc1cc(NC(=O)N2CCCO[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000184612054 189390958 /nfs/dbraw/zinc/39/09/58/189390958.db2.gz LUWTVNYGSFPEID-LLVKDONJSA-N 0 0 293.323 2.546 20 5 CFBDRN CNc1c(C(=O)N2CC[C@H](C)[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000163207192 283686371 /nfs/dbraw/zinc/68/63/71/283686371.db2.gz HSNCXHUOMFLVNP-QWRGUYRKSA-N 0 0 291.351 2.755 20 5 CFBDRN CC[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000301871079 201241344 /nfs/dbraw/zinc/24/13/44/201241344.db2.gz WRFKTQJPPCTQLE-BDAKNGLRSA-N 0 0 296.352 2.674 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCNc2nc3ccccc3[nH]2)cc1 ZINC000301871623 201242097 /nfs/dbraw/zinc/24/20/97/201242097.db2.gz IWUSTPRYKQVMEA-UHFFFAOYSA-N 0 0 297.318 2.995 20 5 CFBDRN C[C@H](Nc1ncccc1[N+](=O)[O-])[C@@H]1COc2ccccc21 ZINC000301860162 201236590 /nfs/dbraw/zinc/23/65/90/201236590.db2.gz SVRRLBUIGLEMSM-JQWIXIFHSA-N 0 0 285.303 2.966 20 5 CFBDRN CC(C)CN(C)c1c(Br)cncc1[N+](=O)[O-] ZINC000301890603 201250341 /nfs/dbraw/zinc/25/03/41/201250341.db2.gz NXAFEGAOPQEIIY-UHFFFAOYSA-N 0 0 288.145 2.845 20 5 CFBDRN C[C@H](CO)CNc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 ZINC000301898995 201252996 /nfs/dbraw/zinc/25/29/96/201252996.db2.gz XNSLBXTVFWUDAV-JTQLQIEISA-N 0 0 282.340 2.812 20 5 CFBDRN CN(CC1CCOCC1)c1ncc(Cl)cc1[N+](=O)[O-] ZINC000301910476 201258035 /nfs/dbraw/zinc/25/80/35/201258035.db2.gz BHYHWXZXMZHMSV-UHFFFAOYSA-N 0 0 285.731 2.506 20 5 CFBDRN CCOc1cc(N(C)CC2CCOCC2)ccc1[N+](=O)[O-] ZINC000301919000 201264009 /nfs/dbraw/zinc/26/40/09/201264009.db2.gz FEGOGOFEABZDOO-UHFFFAOYSA-N 0 0 294.351 2.856 20 5 CFBDRN O=[N+]([O-])c1ccsc1Sc1n[nH]c(C2CC2)n1 ZINC000301936911 201267888 /nfs/dbraw/zinc/26/78/88/201267888.db2.gz QOPULFWEHALLEZ-UHFFFAOYSA-N 0 0 268.323 2.803 20 5 CFBDRN Cc1cc(NC2C[C@H](C)O[C@@H](C)C2)ncc1[N+](=O)[O-] ZINC000301961575 201279870 /nfs/dbraw/zinc/27/98/70/201279870.db2.gz MSKYEGIWHURNTA-UWVGGRQHSA-N 0 0 265.313 2.666 20 5 CFBDRN COc1cc(N(C)Cc2cccnc2)c(F)cc1[N+](=O)[O-] ZINC000301986335 201288328 /nfs/dbraw/zinc/28/83/28/201288328.db2.gz ZJNGAGBWQJAOLQ-UHFFFAOYSA-N 0 0 291.282 2.774 20 5 CFBDRN CCCN(CCO)c1cc(C)nc2c1cccc2[N+](=O)[O-] ZINC000301987753 201289133 /nfs/dbraw/zinc/28/91/33/201289133.db2.gz DNLUDMSFLNBLSE-UHFFFAOYSA-N 0 0 289.335 2.660 20 5 CFBDRN Cc1nc(CNc2sccc2[N+](=O)[O-])oc1C ZINC000302008063 201297468 /nfs/dbraw/zinc/29/74/68/201297468.db2.gz WSIUHXNGDYGQEL-UHFFFAOYSA-N 0 0 253.283 2.873 20 5 CFBDRN CN(C[C@@H]1CCCC[C@H]1O)c1ccc([N+](=O)[O-])cc1 ZINC000302014429 201298946 /nfs/dbraw/zinc/29/89/46/201298946.db2.gz BOLXNRPRCOBYOA-SMDDNHRTSA-N 0 0 264.325 2.582 20 5 CFBDRN Cc1nc(Nc2cccc(CCCO)c2)ccc1[N+](=O)[O-] ZINC000302031362 201308701 /nfs/dbraw/zinc/30/87/01/201308701.db2.gz CCYSRSBAXXTVEV-UHFFFAOYSA-N 0 0 287.319 2.967 20 5 CFBDRN COc1cccc([C@@H](O)CNc2sccc2[N+](=O)[O-])c1 ZINC000302034286 201309840 /nfs/dbraw/zinc/30/98/40/201309840.db2.gz UCFXXOAKNOKXOR-LBPRGKRZSA-N 0 0 294.332 2.810 20 5 CFBDRN CC(C)[C@H](Nc1ncccc1[N+](=O)[O-])C(=O)OC(C)(C)C ZINC000302006270 201295643 /nfs/dbraw/zinc/29/56/43/201295643.db2.gz VOVIZUXEHJAPTR-NSHDSACASA-N 0 0 295.339 2.768 20 5 CFBDRN CCCN(c1nc2sccn2c1[N+](=O)[O-])C1CC1 ZINC000302044503 201317045 /nfs/dbraw/zinc/31/70/45/201317045.db2.gz SWEYLFPWHIPRKM-UHFFFAOYSA-N 0 0 266.326 2.683 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1nnc(C2CC2)o1 ZINC000185851842 189423794 /nfs/dbraw/zinc/42/37/94/189423794.db2.gz DFWCCKJIGVCSDC-UHFFFAOYSA-N 0 0 274.280 2.776 20 5 CFBDRN Cc1cc2cc(Nc3ncc([N+](=O)[O-])cn3)ccc2[nH]1 ZINC000302080235 201335730 /nfs/dbraw/zinc/33/57/30/201335730.db2.gz BORGVDFDMOQTOD-UHFFFAOYSA-N 0 0 269.264 2.918 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N(C)C[C@@H]1CCCC[C@@H]1O ZINC000302084555 201337879 /nfs/dbraw/zinc/33/78/79/201337879.db2.gz YWSWPCHZYDNDGE-WFASDCNBSA-N 0 0 278.352 2.891 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCOc2cccc(Cl)c2)nc1 ZINC000302142174 201363882 /nfs/dbraw/zinc/36/38/82/201363882.db2.gz FMTSWQSYXKMTQN-UHFFFAOYSA-N 0 0 294.698 2.529 20 5 CFBDRN CSCc1ccc(Nc2ncc([N+](=O)[O-])cn2)cc1 ZINC000302148591 201367337 /nfs/dbraw/zinc/36/73/37/201367337.db2.gz JGZUHIUUQCPOIK-UHFFFAOYSA-N 0 0 276.321 2.991 20 5 CFBDRN CCCCO[C@@H]1C[C@H](Nc2ncc([N+](=O)[O-])cn2)C1(C)C ZINC000302161670 201374130 /nfs/dbraw/zinc/37/41/30/201374130.db2.gz PODQWOLXUDENCQ-NWDGAFQWSA-N 0 0 294.355 2.781 20 5 CFBDRN CCOC(=O)[C@H](C)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1F ZINC000302171485 201378479 /nfs/dbraw/zinc/37/84/79/201378479.db2.gz UNDCZQQPZZMPQX-RKDXNWHRSA-N 0 0 284.287 2.734 20 5 CFBDRN O=[N+]([O-])c1cnc(Oc2ccc3ncccc3c2)nc1 ZINC000302173483 201381961 /nfs/dbraw/zinc/38/19/61/201381961.db2.gz VWZOWTPEDNINGQ-UHFFFAOYSA-N 0 0 268.232 2.725 20 5 CFBDRN Cc1cc2c(NCCc3ccc([N+](=O)[O-])cc3)nccn2n1 ZINC000302177961 201383939 /nfs/dbraw/zinc/38/39/39/201383939.db2.gz PAPDVMUTZKPTNE-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN O=[N+]([O-])c1cnc(NCCc2cc3ccccc3[nH]2)nc1 ZINC000302205215 201395929 /nfs/dbraw/zinc/39/59/29/201395929.db2.gz CVFRPBYTCYJVSH-UHFFFAOYSA-N 0 0 283.291 2.521 20 5 CFBDRN CC[C@@H]1CCCC[C@@H]1N(C)c1ncc([N+](=O)[O-])cn1 ZINC000302205532 201396643 /nfs/dbraw/zinc/39/66/43/201396643.db2.gz DCCJWWIUMNOOCV-PWSUYJOCSA-N 0 0 264.329 2.790 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ncccc1Cl ZINC000428016078 239363089 /nfs/dbraw/zinc/36/30/89/239363089.db2.gz VMMDKIJHFORIRK-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc(OCc2cccc(F)c2F)cc1CO ZINC000428083741 239373846 /nfs/dbraw/zinc/37/38/46/239373846.db2.gz KXZQZBUFKQEJOV-UHFFFAOYSA-N 0 0 295.241 2.944 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000428105570 239383858 /nfs/dbraw/zinc/38/38/58/239383858.db2.gz FTQRXAJERTZZTN-DRXBFXEBSA-N 0 0 299.330 2.533 20 5 CFBDRN CCOc1cc(OC[C@@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000188612112 189507692 /nfs/dbraw/zinc/50/76/92/189507692.db2.gz KQQQHHXFMRCJPX-JQWIXIFHSA-N 0 0 281.308 2.940 20 5 CFBDRN Cc1cccc(N[C@H]2CCCOCC2)c1[N+](=O)[O-] ZINC000188395199 189500882 /nfs/dbraw/zinc/50/08/82/189500882.db2.gz LXCLMJLHBZOYAL-NSHDSACASA-N 0 0 250.298 2.884 20 5 CFBDRN Cc1nc(NC(=O)C[C@@H]2C=CCCC2)ccc1[N+](=O)[O-] ZINC000188489168 189504149 /nfs/dbraw/zinc/50/41/49/189504149.db2.gz UZBFJVXAWIAXHQ-LLVKDONJSA-N 0 0 275.308 2.983 20 5 CFBDRN Cc1cc(OCC[C@H]2CCCO2)c(F)cc1[N+](=O)[O-] ZINC000189140063 189524452 /nfs/dbraw/zinc/52/44/52/189524452.db2.gz FWWKUKMARYSYAB-SNVBAGLBSA-N 0 0 269.272 2.990 20 5 CFBDRN Cc1ccc(OC[C@@H](O)CC(F)(F)F)c([N+](=O)[O-])c1 ZINC000189410851 189533418 /nfs/dbraw/zinc/53/34/18/189533418.db2.gz YVGNPGMZNVCFRT-QMMMGPOBSA-N 0 0 279.214 2.595 20 5 CFBDRN CC[C@@H](C)CC(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000189816954 189546468 /nfs/dbraw/zinc/54/64/68/189546468.db2.gz NUFVTJVDSVSPAS-MRVPVSSYSA-N 0 0 276.296 2.846 20 5 CFBDRN CC(=O)C[C@@H](C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000190258287 189559236 /nfs/dbraw/zinc/55/92/36/189559236.db2.gz CXDJLKYZRFYWDP-SNVBAGLBSA-N 0 0 292.335 2.816 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1OC[C@@H]1CC[C@H](C)O1 ZINC000191090510 189582145 /nfs/dbraw/zinc/58/21/45/189582145.db2.gz CUNQATLSGSDZIL-JQWIXIFHSA-N 0 0 251.282 2.850 20 5 CFBDRN Cc1nc([C@H](C)OC(=O)c2ccc(C)c([N+](=O)[O-])c2)no1 ZINC000191290837 189590257 /nfs/dbraw/zinc/59/02/57/189590257.db2.gz ZREYFHZQKNMYRW-QMMMGPOBSA-N 0 0 291.263 2.513 20 5 CFBDRN COc1cc(C(=O)N2CCCC2(C)C)cc([N+](=O)[O-])c1C ZINC000191205272 189586858 /nfs/dbraw/zinc/58/68/58/189586858.db2.gz UZKONABZOGYKIL-UHFFFAOYSA-N 0 0 292.335 2.926 20 5 CFBDRN CCOCCN(C)C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191360905 189593102 /nfs/dbraw/zinc/59/31/02/189593102.db2.gz AFLCBORLCUOLPY-UHFFFAOYSA-N 0 0 295.339 2.712 20 5 CFBDRN CO[C@H]1CC[C@H](NC(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 ZINC000191640435 189601754 /nfs/dbraw/zinc/60/17/54/189601754.db2.gz RZKFVBSAGORKFS-IUCAKERBSA-N 0 0 298.726 2.546 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccoc1Cl ZINC000192393602 189621067 /nfs/dbraw/zinc/62/10/67/189621067.db2.gz XZIKWDCMDNWSEV-UHFFFAOYSA-N 0 0 280.667 2.771 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1ccnc2c1cccc2[N+](=O)[O-] ZINC000432338033 239989651 /nfs/dbraw/zinc/98/96/51/239989651.db2.gz VVFRPNUCOFLOBE-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN CC(C)[C@H](O)CCNc1ccnc2c1cccc2[N+](=O)[O-] ZINC000432342777 239989844 /nfs/dbraw/zinc/98/98/44/239989844.db2.gz NOEFGLWKSAABAX-CQSZACIVSA-N 0 0 289.335 2.962 20 5 CFBDRN COc1ccc(NC(=O)N[C@@H]2C[C@H]2C2CC2)cc1[N+](=O)[O-] ZINC000432430501 240006446 /nfs/dbraw/zinc/00/64/46/240006446.db2.gz LPTCHDDUQPYWAV-WDEREUQCSA-N 0 0 291.307 2.523 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1CCOCC1 ZINC000193540119 189644783 /nfs/dbraw/zinc/64/47/83/189644783.db2.gz WMHSDBVHAPFJNP-UHFFFAOYSA-N 0 0 278.308 2.577 20 5 CFBDRN C[C@H]1C[C@H]1c1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)n[nH]1 ZINC000194589959 189664402 /nfs/dbraw/zinc/66/44/02/189664402.db2.gz PSYIBELSLLEMIY-GZMMTYOYSA-N 0 0 286.291 2.694 20 5 CFBDRN C[C@H]1CC[C@@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000435920988 240370852 /nfs/dbraw/zinc/37/08/52/240370852.db2.gz BJBLORNLODJLNX-CMPLNLGQSA-N 0 0 277.324 2.583 20 5 CFBDRN COc1cccc(C(=O)N(C)[C@@H](C)C(C)C)c1[N+](=O)[O-] ZINC000435543351 240319874 /nfs/dbraw/zinc/31/98/74/240319874.db2.gz VRGIQOCTYYDVBO-JTQLQIEISA-N 0 0 280.324 2.720 20 5 CFBDRN Cc1cccc(N(C)C[C@H]2CCCO2)c1[N+](=O)[O-] ZINC000275405807 193026092 /nfs/dbraw/zinc/02/60/92/193026092.db2.gz FLUXKYQYDILJBN-LLVKDONJSA-N 0 0 250.298 2.518 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000438083927 240627055 /nfs/dbraw/zinc/62/70/55/240627055.db2.gz XCFYIHCOYREYRL-LDYMZIIASA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1C[C@H]1C ZINC000275528067 193067779 /nfs/dbraw/zinc/06/77/79/193067779.db2.gz MCFPZFKXSMMJCC-PSASIEDQSA-N 0 0 263.297 2.681 20 5 CFBDRN Cn1cnc2cccc(C(=O)Nc3ccc([N+](=O)[O-])cc3)c21 ZINC000439258153 240753778 /nfs/dbraw/zinc/75/37/78/240753778.db2.gz MORSOHMPSFSQHX-UHFFFAOYSA-N 0 0 296.286 2.734 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CC1(C)C ZINC000275752958 193120487 /nfs/dbraw/zinc/12/04/87/193120487.db2.gz LGOMQQVPKQPCDA-LLVKDONJSA-N 0 0 263.297 2.823 20 5 CFBDRN CC(C)C[C@@H](CO)N(C)c1ccc([N+](=O)[O-])cc1F ZINC000302430742 201450452 /nfs/dbraw/zinc/45/04/52/201450452.db2.gz POVNWYSYCWFHHU-NSHDSACASA-N 0 0 270.304 2.577 20 5 CFBDRN CC(C)[C@H](C)[N@H+](C)Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000444588157 241149522 /nfs/dbraw/zinc/14/95/22/241149522.db2.gz DKTSIELMMOBGDJ-JTQLQIEISA-N 0 0 276.340 2.947 20 5 CFBDRN Cc1ccccc1C[C@H](C)N(C)c1c([N+](=O)[O-])ncn1C ZINC000444863958 241199174 /nfs/dbraw/zinc/19/91/74/241199174.db2.gz GVKRXWPVJHLIJB-LBPRGKRZSA-N 0 0 288.351 2.704 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(Sc3ncco3)cc2N1 ZINC000444880166 241201119 /nfs/dbraw/zinc/20/11/19/241201119.db2.gz YQEBJQQKANLIIE-UHFFFAOYSA-N 0 0 291.288 2.619 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(C2CC2)cn1 ZINC000445813155 241241827 /nfs/dbraw/zinc/24/18/27/241241827.db2.gz DPFJBOOTMYEJPS-UHFFFAOYSA-N 0 0 297.314 2.797 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@H](C)[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000263563628 383681370 /nfs/dbraw/zinc/68/13/70/383681370.db2.gz DLNLGTSRLTWPKD-RYUDHWBXSA-N 0 0 292.335 2.761 20 5 CFBDRN Cc1ncc(CNC(=O)c2c(C)cccc2[N+](=O)[O-])s1 ZINC000446108807 241280369 /nfs/dbraw/zinc/28/03/69/241280369.db2.gz PXTFPZQNJYYDHP-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN COc1cc(N[C@@H]2C[C@@H]3OCCC[C@H]23)c(F)cc1[N+](=O)[O-] ZINC000302514216 201467440 /nfs/dbraw/zinc/46/74/40/201467440.db2.gz ADXWYVWUYAWXRH-JQEORGNBSA-N 0 0 296.298 2.722 20 5 CFBDRN CC(C)C(C)(C)CNc1ccc2ncc([N+](=O)[O-])n2n1 ZINC000302517677 201470521 /nfs/dbraw/zinc/47/05/21/201470521.db2.gz WBBMULKXZIKIPN-UHFFFAOYSA-N 0 0 277.328 2.732 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H]1CCO[C@H](C)C1 ZINC000447326877 241369517 /nfs/dbraw/zinc/36/95/17/241369517.db2.gz QWNSZKBBHFDLPT-ZYHUDNBSSA-N 0 0 293.319 2.991 20 5 CFBDRN CCOc1cc(N(CCCCO)C2CC2)ccc1[N+](=O)[O-] ZINC000446859791 241334555 /nfs/dbraw/zinc/33/45/55/241334555.db2.gz CUVODLBDEWFGAO-UHFFFAOYSA-N 0 0 294.351 2.735 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCC(=O)c2cccn21 ZINC000448133399 241449456 /nfs/dbraw/zinc/44/94/56/241449456.db2.gz AYWDTBCWMHUEMU-CYBMUJFWSA-N 0 0 299.286 2.553 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C)CC2CC2)c([N+](=O)[O-])cc1F ZINC000448964413 241613699 /nfs/dbraw/zinc/61/36/99/241613699.db2.gz FAFQEXGUGLEODI-QMMMGPOBSA-N 0 0 296.298 2.661 20 5 CFBDRN Cc1nc(N(C)[C@H](C)c2ccccc2)ncc1[N+](=O)[O-] ZINC000450223184 242314185 /nfs/dbraw/zinc/31/41/85/242314185.db2.gz JXWVJLRCYGEVAJ-LLVKDONJSA-N 0 0 272.308 2.891 20 5 CFBDRN CC(C)[C@H](O)CCNc1nccc2c1cccc2[N+](=O)[O-] ZINC000450346399 242369952 /nfs/dbraw/zinc/36/99/52/242369952.db2.gz DWRUHLGVGBDFLR-CQSZACIVSA-N 0 0 289.335 2.962 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2csc(NCC3CC3)n2)cc1 ZINC000450247850 242323421 /nfs/dbraw/zinc/32/34/21/242323421.db2.gz ZQLINNKYAYZOHB-UHFFFAOYSA-N 0 0 275.333 2.962 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NC[C@@H]1CC[C@H](O)C1 ZINC000450477400 242442508 /nfs/dbraw/zinc/44/25/08/242442508.db2.gz WMJZEINWNYRGIS-MNOVXSKESA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@H](C)C[C@H](C)Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000450359053 242377343 /nfs/dbraw/zinc/37/73/43/242377343.db2.gz UWHFTDHPPOVAMM-IUCAKERBSA-N 0 0 252.318 2.930 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2NCC1=CCOCC1 ZINC000450620512 242506274 /nfs/dbraw/zinc/50/62/74/242506274.db2.gz HFTBVDRQPSZTSP-UHFFFAOYSA-N 0 0 285.303 2.902 20 5 CFBDRN O=[N+]([O-])c1cnc(NC[C@H]2CCC[C@H]2c2ccccc2)nc1 ZINC000450618406 242506525 /nfs/dbraw/zinc/50/65/25/242506525.db2.gz VMWFHWJYKKIUQQ-HIFRSBDPSA-N 0 0 298.346 2.803 20 5 CFBDRN Cc1nc(N2CCC(C)(C3CC3)CC2)ncc1[N+](=O)[O-] ZINC000450530317 242465004 /nfs/dbraw/zinc/46/50/04/242465004.db2.gz CVVWXXGPIQLTHX-UHFFFAOYSA-N 0 0 276.340 2.710 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC(COc3ccccc3)C2)cn1 ZINC000450527489 242465632 /nfs/dbraw/zinc/46/56/32/242465632.db2.gz LCCDEXJJCJALDJ-UHFFFAOYSA-N 0 0 285.303 2.505 20 5 CFBDRN C[C@@H]1CCC(=O)[C@H](Sc2ncccc2[N+](=O)[O-])C1 ZINC000450814716 242618104 /nfs/dbraw/zinc/61/81/04/242618104.db2.gz ZKCWRWJBATZPOY-LDYMZIIASA-N 0 0 266.322 2.840 20 5 CFBDRN C[C@@H]1C[C@H](Nc2nc3cc([N+](=O)[O-])ccc3[nH]2)c2nccn21 ZINC000450822939 242620894 /nfs/dbraw/zinc/62/08/94/242620894.db2.gz BMYYXLSUXZEYPY-PELKAZGASA-N 0 0 298.306 2.786 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N[C@@H](C)[C@@H](C)CO ZINC000302799786 201558659 /nfs/dbraw/zinc/55/86/59/201558659.db2.gz BSMBXYFZVRHUPA-IUCAKERBSA-N 0 0 272.732 2.985 20 5 CFBDRN Cc1cccc(CNc2c3c(ccc2[N+](=O)[O-])NCC3)n1 ZINC000302866142 201582282 /nfs/dbraw/zinc/58/22/82/201582282.db2.gz YLYCSRBUPUFQIU-UHFFFAOYSA-N 0 0 284.319 2.878 20 5 CFBDRN COC(=O)c1cc(N[C@@H](C)[C@@H](C)OC)cc(C)c1[N+](=O)[O-] ZINC000275981915 193180050 /nfs/dbraw/zinc/18/00/50/193180050.db2.gz BLOIALOWOLPEDC-VHSXEESVSA-N 0 0 296.323 2.525 20 5 CFBDRN C[C@H](NC(=O)[C@@H]1C[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000452182386 243078112 /nfs/dbraw/zinc/07/81/12/243078112.db2.gz LMRBUDRUTLFJAU-CUOATXAZSA-N 0 0 274.320 2.818 20 5 CFBDRN CC[C@H](NC(=O)Cc1cccc([N+](=O)[O-])c1C)C(F)F ZINC000452246493 243097473 /nfs/dbraw/zinc/09/74/73/243097473.db2.gz OJGBWBNQYUUNHO-JTQLQIEISA-N 0 0 286.278 2.606 20 5 CFBDRN C[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c21)C(C)(F)F ZINC000452460810 243181072 /nfs/dbraw/zinc/18/10/72/243181072.db2.gz SCUJFQQORHDLHJ-ZETCQYMHSA-N 0 0 297.261 2.850 20 5 CFBDRN O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@@H]1C1CC1 ZINC000452494529 243195168 /nfs/dbraw/zinc/19/51/68/243195168.db2.gz BLYGSCAVMMTOLX-OAHLLOKOSA-N 0 0 299.330 2.630 20 5 CFBDRN CC/C=C\CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000452609062 243244534 /nfs/dbraw/zinc/24/45/34/243244534.db2.gz CFBUUSXQZCGDRV-WAYWQWQTSA-N 0 0 276.336 2.955 20 5 CFBDRN CC/C=C/CNC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000452618069 243247993 /nfs/dbraw/zinc/24/79/93/243247993.db2.gz YLDSKTKRUIZPCZ-ONEGZZNKSA-N 0 0 268.700 2.944 20 5 CFBDRN CC(C)(C(=O)N1CC[C@@H]1C1CC1)c1ccc([N+](=O)[O-])cc1 ZINC000452986557 243356411 /nfs/dbraw/zinc/35/64/11/243356411.db2.gz IVYBTXTUEFUWIB-CQSZACIVSA-N 0 0 288.347 2.883 20 5 CFBDRN O=C(NC[C@@H]1CCCC1(F)F)c1ccc([N+](=O)[O-])s1 ZINC000452848492 243321263 /nfs/dbraw/zinc/32/12/63/243321263.db2.gz PGZVGIOAQMIYHR-ZETCQYMHSA-N 0 0 290.291 2.822 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)C(C)(F)F)c1[N+](=O)[O-] ZINC000452875814 243326589 /nfs/dbraw/zinc/32/65/89/243326589.db2.gz STIGASGSDAWZFN-QMMMGPOBSA-N 0 0 272.251 2.677 20 5 CFBDRN CCO[C@@H]1CCN(C(=O)c2csc([N+](=O)[O-])c2)C[C@@H]1C ZINC000452935346 243340997 /nfs/dbraw/zinc/34/09/97/243340997.db2.gz UGDXNBXEVQFQSH-GXSJLCMTSA-N 0 0 298.364 2.543 20 5 CFBDRN CCC1(NC(=O)c2cc([N+](=O)[O-])cnc2C)CCCC1 ZINC000452959819 243348479 /nfs/dbraw/zinc/34/84/79/243348479.db2.gz QYPMEKQIQQOQDX-UHFFFAOYSA-N 0 0 277.324 2.751 20 5 CFBDRN CC(C)Nc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCO1 ZINC000456183700 244097510 /nfs/dbraw/zinc/09/75/10/244097510.db2.gz IVJWYEUNKWAYOO-ZDUSSCGKSA-N 0 0 293.323 2.533 20 5 CFBDRN CCc1ccc(C(=O)NCc2cnccc2C)cc1[N+](=O)[O-] ZINC000456147319 244088798 /nfs/dbraw/zinc/08/87/98/244088798.db2.gz BBTNURXUFFPWIM-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)NC[C@@H]1CCCC1(F)F ZINC000456156971 244091377 /nfs/dbraw/zinc/09/13/77/244091377.db2.gz TUTFPUUNAUEZHI-NSHDSACASA-N 0 0 298.289 2.689 20 5 CFBDRN COC[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1NC(C)C ZINC000456175822 244096075 /nfs/dbraw/zinc/09/60/75/244096075.db2.gz IJWQFFYAPWMFDL-JTQLQIEISA-N 0 0 295.339 2.636 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000456291244 244127868 /nfs/dbraw/zinc/12/78/68/244127868.db2.gz CXAJIOZRDBEECK-CABZTGNLSA-N 0 0 280.299 2.756 20 5 CFBDRN COc1ccc(C(=O)NC[C@@H]2C[C@H]2C(C)C)cc1[N+](=O)[O-] ZINC000456325551 244140111 /nfs/dbraw/zinc/14/01/11/244140111.db2.gz HOKPLIYBUKMAMX-RYUDHWBXSA-N 0 0 292.335 2.625 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1C[C@H]1C(C)C ZINC000456334387 244143468 /nfs/dbraw/zinc/14/34/68/244143468.db2.gz RPHQUOCYLWFZHN-JQWIXIFHSA-N 0 0 292.335 2.625 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2cnn(CCOCC(F)F)c2)c1 ZINC000276064096 193202817 /nfs/dbraw/zinc/20/28/17/193202817.db2.gz LDQXWFWHADOSHK-UHFFFAOYSA-N 0 0 297.261 2.740 20 5 CFBDRN O=C(NC[C@@H]1C[C@H]1C1CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000456889945 244320676 /nfs/dbraw/zinc/32/06/76/244320676.db2.gz XONGCQBUGRKZLU-QWRGUYRKSA-N 0 0 299.330 2.852 20 5 CFBDRN Cc1n[nH]c(C(=O)NCC[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000330801733 203252759 /nfs/dbraw/zinc/25/27/59/203252759.db2.gz JJCBSZSUTXODEP-ONGXEEELSA-N 0 0 294.355 2.573 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)[C@H]1CCC[C@H](C)C1 ZINC000457016679 244361151 /nfs/dbraw/zinc/36/11/51/244361151.db2.gz VUSWIZYOGUJOMP-DCAQKATOSA-N 0 0 279.340 2.868 20 5 CFBDRN Cc1n[nH]c(C(=O)N(C(C)C)C2CC(C)(C)C2)c1[N+](=O)[O-] ZINC000457068727 244377002 /nfs/dbraw/zinc/37/70/02/244377002.db2.gz GSTAQXHPDWJJHN-UHFFFAOYSA-N 0 0 294.355 2.666 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H](C)[C@@H]2CCC[C@H](C)C2)c1[N+](=O)[O-] ZINC000457011974 244357952 /nfs/dbraw/zinc/35/79/52/244357952.db2.gz SMZBMBINLKCAGX-ATZCPNFKSA-N 0 0 294.355 2.571 20 5 CFBDRN Cc1n[nH]c(C(=O)N[C@@H]2CCC(C)(C)C[C@@H]2C)c1[N+](=O)[O-] ZINC000408344966 291469168 /nfs/dbraw/zinc/46/91/68/291469168.db2.gz CTTTZHVKCNVTLR-WCBMZHEXSA-N 0 0 294.355 2.571 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000330870216 203333806 /nfs/dbraw/zinc/33/38/06/203333806.db2.gz QMKHTNHNLSDYSW-WDEREUQCSA-N 0 0 294.376 2.944 20 5 CFBDRN O=[N+]([O-])c1c(NOC[C@H]2CCOC2)ccc2ncccc21 ZINC000276224191 193248423 /nfs/dbraw/zinc/24/84/23/193248423.db2.gz MHGJCBQCSACFOZ-JTQLQIEISA-N 0 0 289.291 2.523 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])c(OC)c1 ZINC000330933637 203399346 /nfs/dbraw/zinc/39/93/46/203399346.db2.gz DURBTTWSQHBANC-CMPLNLGQSA-N 0 0 292.335 2.864 20 5 CFBDRN COCCCCCOc1cc([N+](=O)[O-])ccc1OC ZINC000116610009 376197756 /nfs/dbraw/zinc/19/77/56/376197756.db2.gz GJVLCQGWFOFUNW-UHFFFAOYSA-N 0 0 269.297 2.799 20 5 CFBDRN CC(C)[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ncc[nH]1 ZINC000276590759 193392553 /nfs/dbraw/zinc/39/25/53/193392553.db2.gz KIFBWGKJXFSBKE-JTQLQIEISA-N 0 0 294.336 2.507 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H](F)C1)c1ccc([N+](=O)[O-])cc1F ZINC000335415833 284181074 /nfs/dbraw/zinc/18/10/74/284181074.db2.gz DYNLOUJTPDLLIY-BDAKNGLRSA-N 0 0 284.262 2.744 20 5 CFBDRN CC(C)=CCCNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000276606269 193397571 /nfs/dbraw/zinc/39/75/71/193397571.db2.gz ITRPSFBHLNAOPR-UHFFFAOYSA-N 0 0 277.324 2.750 20 5 CFBDRN O=C(N[C@H]1CCC[C@H]1F)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000336378904 284182671 /nfs/dbraw/zinc/18/26/71/284182671.db2.gz CDQISZZXZDYMLB-MNOVXSKESA-N 0 0 292.266 2.961 20 5 CFBDRN Cc1c(C(=O)N[C@@H]2C[C@H]2C(C)C)cccc1[N+](=O)[O-] ZINC000458130987 244774681 /nfs/dbraw/zinc/77/46/81/244774681.db2.gz QPNZHUVVGYGTOP-NWDGAFQWSA-N 0 0 262.309 2.678 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000458263940 244819450 /nfs/dbraw/zinc/81/94/50/244819450.db2.gz UJUJWJKVRXSGFN-VPJDZLOFSA-N 0 0 299.330 2.995 20 5 CFBDRN CCOC1CC(CCNc2ncc([N+](=O)[O-])cc2C)C1 ZINC000277011558 193530888 /nfs/dbraw/zinc/53/08/88/193530888.db2.gz YAAAZIKNKZFOOH-UHFFFAOYSA-N 0 0 279.340 2.915 20 5 CFBDRN CCCCCNC(=O)c1ccc([N+](=O)[O-])cc1OC ZINC000277127799 193561464 /nfs/dbraw/zinc/56/14/64/193561464.db2.gz SRLHQRJNFLVSGN-UHFFFAOYSA-N 0 0 266.297 2.523 20 5 CFBDRN CC(C)(C)[C@@H](C[NH2+]Cc1ccc([N+](=O)[O-])cc1)CC(=O)[O-] ZINC000277326374 193622342 /nfs/dbraw/zinc/62/23/42/193622342.db2.gz ODJMPLUKBAEDAD-GFCCVEGCSA-N 0 0 294.351 2.821 20 5 CFBDRN CC(C)[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000331643674 204038241 /nfs/dbraw/zinc/03/82/41/204038241.db2.gz NKWMVWQXQPYORY-NSHDSACASA-N 0 0 279.340 2.821 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)N2CCc3cc([N+](=O)[O-])ccc32)C1 ZINC000331645535 204040337 /nfs/dbraw/zinc/04/03/37/204040337.db2.gz ULXOSYYUROZFCZ-ZYHUDNBSSA-N 0 0 274.320 2.920 20 5 CFBDRN O=C(N[C@H]1C[C@H](c2ccccc2)C1)c1cc([N+](=O)[O-])c[nH]1 ZINC000435730059 284262217 /nfs/dbraw/zinc/26/22/17/284262217.db2.gz WIJALJQJSGQFBZ-HAQNSBGRSA-N 0 0 285.303 2.599 20 5 CFBDRN O=C(Nc1cccc([N+](=O)[O-])c1)c1ccccc1[N+](=O)[O-] ZINC000003190723 371779876 /nfs/dbraw/zinc/77/98/76/371779876.db2.gz DQQMDAIKBXYBRC-UHFFFAOYSA-N 0 0 287.231 2.755 20 5 CFBDRN CCCCCNC(=O)COc1ccc([N+](=O)[O-])c(C)c1 ZINC000002609893 371741508 /nfs/dbraw/zinc/74/15/08/371741508.db2.gz JDCISJXJFDBDTG-UHFFFAOYSA-N 0 0 280.324 2.588 20 5 CFBDRN COc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cc1 ZINC000011654642 371922996 /nfs/dbraw/zinc/92/29/96/371922996.db2.gz VJVJTQKWCNCPKB-UHFFFAOYSA-N 0 0 298.298 2.806 20 5 CFBDRN C[C@H](Oc1cccnc1[N+](=O)[O-])C(=O)c1ccccc1 ZINC000011645700 371923056 /nfs/dbraw/zinc/92/30/56/371923056.db2.gz GHOBOXWVHPGWJP-JTQLQIEISA-N 0 0 272.260 2.640 20 5 CFBDRN CSc1ccc(C(=O)N[C@@H](C)C2CC2)cc1[N+](=O)[O-] ZINC000017804733 372136412 /nfs/dbraw/zinc/13/64/12/372136412.db2.gz JBGHOIMAJSARAC-QMMMGPOBSA-N 0 0 280.349 2.845 20 5 CFBDRN C[C@@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000025771774 372308991 /nfs/dbraw/zinc/30/89/91/372308991.db2.gz OYNUNPUNOVTWDC-ZJUUUORDSA-N 0 0 294.376 2.990 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)NCCC(C)(C)C ZINC000027363649 372339344 /nfs/dbraw/zinc/33/93/44/372339344.db2.gz JVFKOKWINXHABO-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN CC(C)(C)n1nnnc1SCc1ccccc1[N+](=O)[O-] ZINC000027808770 372348598 /nfs/dbraw/zinc/34/85/98/372348598.db2.gz OPLAYKOYFJGHHB-UHFFFAOYSA-N 0 0 293.352 2.629 20 5 CFBDRN Cc1cc(C)c(C)c(C(=O)Cn2cnc([N+](=O)[O-])c2)c1C ZINC000029749569 372385864 /nfs/dbraw/zinc/38/58/64/372385864.db2.gz TWVWNMBJMGWKSK-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN CCC(C)(C)NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000029864866 372387588 /nfs/dbraw/zinc/38/75/88/372387588.db2.gz NGFBVCHVILJEMU-UHFFFAOYSA-N 0 0 256.327 2.883 20 5 CFBDRN Cc1c([N+](=O)[O-])cccc1S(=O)(=O)Nc1ccccc1 ZINC000030529753 372394278 /nfs/dbraw/zinc/39/42/78/372394278.db2.gz LKZLNLRYFRJIBT-UHFFFAOYSA-N 0 0 292.316 2.704 20 5 CFBDRN Cn1nccc1CNc1ccc([N+](=O)[O-])cc1Cl ZINC000030587354 372394632 /nfs/dbraw/zinc/39/46/32/372394632.db2.gz XURPHAANPZOCDC-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN CN(CC1CCCCC1)C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000030791033 372397886 /nfs/dbraw/zinc/39/78/86/372397886.db2.gz HESSCUINZYGJGT-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccccc1 ZINC000031662314 372413681 /nfs/dbraw/zinc/41/36/81/372413681.db2.gz XGEADHDULIHWFE-UHFFFAOYSA-N 0 0 299.330 2.936 20 5 CFBDRN CC(C)(C)CCNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000031758291 372417117 /nfs/dbraw/zinc/41/71/17/372417117.db2.gz PHXVFLBHAZZAMF-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1F)[C@H]1CCCO1 ZINC000035056189 372535207 /nfs/dbraw/zinc/53/52/07/372535207.db2.gz QIZNNNXCOGMZOB-QPUJVOFHSA-N 0 0 254.261 2.713 20 5 CFBDRN O=C(/C=C\c1cccc([N+](=O)[O-])c1)NCc1cccs1 ZINC000033280160 372488212 /nfs/dbraw/zinc/48/82/12/372488212.db2.gz GSEHWQCVIZXDIR-SREVYHEPSA-N 0 0 288.328 2.986 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC1CCCC1 ZINC000033824942 372500191 /nfs/dbraw/zinc/50/01/91/372500191.db2.gz RPJXZXKKMKFNMU-YFHOEESVSA-N 0 0 260.293 2.667 20 5 CFBDRN COCCNc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000034456818 372508027 /nfs/dbraw/zinc/50/80/27/372508027.db2.gz HJXBYQVZIVHPRB-UHFFFAOYSA-N 0 0 264.203 2.672 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccccc2)cc1 ZINC000034565288 372510576 /nfs/dbraw/zinc/51/05/76/372510576.db2.gz GQWAGTYGWKOUIB-CQSZACIVSA-N 0 0 258.277 2.740 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000036749340 372588853 /nfs/dbraw/zinc/58/88/53/372588853.db2.gz GTLMBSRFTYEPEM-PSASIEDQSA-N 0 0 252.318 2.627 20 5 CFBDRN CC[C@@H](C)[N@H+](CC(=O)[O-])Cc1cccc([N+](=O)[O-])c1C ZINC000037598611 372670407 /nfs/dbraw/zinc/67/04/07/372670407.db2.gz AUYDBFMXZOKCAC-SNVBAGLBSA-N 0 0 280.324 2.588 20 5 CFBDRN CCN(C(=O)c1cc(F)ccc1[N+](=O)[O-])C(C)C ZINC000037669637 372689287 /nfs/dbraw/zinc/68/92/87/372689287.db2.gz NNXIFXZBJQEITH-UHFFFAOYSA-N 0 0 254.261 2.604 20 5 CFBDRN O=C(COc1ccccc1[N+](=O)[O-])c1ccccc1F ZINC000037974487 372742152 /nfs/dbraw/zinc/74/21/52/372742152.db2.gz OUBGQKRNYIMMSE-UHFFFAOYSA-N 0 0 275.235 2.996 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(CO)c1 ZINC000037980275 372748271 /nfs/dbraw/zinc/74/82/71/372748271.db2.gz GDJKUIBGVKDHRZ-UHFFFAOYSA-N 0 0 286.287 2.648 20 5 CFBDRN CC[C@H](C)NC(=O)CCNc1ccc([N+](=O)[O-])c(C)c1 ZINC000037999135 372759044 /nfs/dbraw/zinc/75/90/44/372759044.db2.gz GLAGXUXLRUVAAR-NSHDSACASA-N 0 0 279.340 2.620 20 5 CFBDRN Cc1n[nH]cc1CNCc1cc([N+](=O)[O-])ccc1Cl ZINC000038011333 372762688 /nfs/dbraw/zinc/76/26/88/372762688.db2.gz GEHDVDIWHAJJJX-UHFFFAOYSA-N 0 0 280.715 2.570 20 5 CFBDRN Cc1cc(N[C@H](CO)CC(C)C)ccc1[N+](=O)[O-] ZINC000040779495 372788675 /nfs/dbraw/zinc/78/86/75/372788675.db2.gz PSNCTFGNOGAJPK-LBPRGKRZSA-N 0 0 252.314 2.722 20 5 CFBDRN CC(C)C[C@H](CO)Nc1ccc([N+](=O)[O-])c2ncccc12 ZINC000040779520 372788857 /nfs/dbraw/zinc/78/88/57/372788857.db2.gz JBMCVSUTWORAHQ-LLVKDONJSA-N 0 0 289.335 2.962 20 5 CFBDRN NC(=O)c1cccc(Oc2ccc(F)cc2[N+](=O)[O-])c1 ZINC000042099352 372871995 /nfs/dbraw/zinc/87/19/95/372871995.db2.gz XMSTXFGPSWWUKM-UHFFFAOYSA-N 0 0 276.223 2.625 20 5 CFBDRN CC(C)(C)CCNc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000042103771 372872273 /nfs/dbraw/zinc/87/22/73/372872273.db2.gz JGBGDJQFGYZPPT-UHFFFAOYSA-N 0 0 265.313 2.542 20 5 CFBDRN CCCCN(CC)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000041781880 372861579 /nfs/dbraw/zinc/86/15/79/372861579.db2.gz FUWJYKYLVNRNMJ-UHFFFAOYSA-N 0 0 264.325 2.786 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCn2cccn2)c(Cl)c1 ZINC000042351533 372911503 /nfs/dbraw/zinc/91/15/03/372911503.db2.gz UEWCBRDIHBGFIA-UHFFFAOYSA-N 0 0 266.688 2.557 20 5 CFBDRN CC(C)c1noc(CNc2cccc([N+](=O)[O-])c2)n1 ZINC000042386377 372917717 /nfs/dbraw/zinc/91/77/17/372917717.db2.gz PWGLJISQAYNMJO-UHFFFAOYSA-N 0 0 262.269 2.713 20 5 CFBDRN Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)cc1 ZINC000046634561 373058893 /nfs/dbraw/zinc/05/88/93/373058893.db2.gz VTYHWSARJPLEGR-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN Cc1cc(CNc2ncccc2[N+](=O)[O-])cc(C)c1O ZINC000047538096 373100899 /nfs/dbraw/zinc/10/08/99/373100899.db2.gz DCMPQSRRDHPRTQ-UHFFFAOYSA-N 0 0 273.292 2.924 20 5 CFBDRN O=C(NCc1cccs1)NCc1ccccc1[N+](=O)[O-] ZINC000047719572 373115872 /nfs/dbraw/zinc/11/58/72/373115872.db2.gz AHDJMXNISHODIR-UHFFFAOYSA-N 0 0 291.332 2.656 20 5 CFBDRN Cc1cc(N(CCO)Cc2ccccc2)ccc1[N+](=O)[O-] ZINC000048331108 373162946 /nfs/dbraw/zinc/16/29/46/373162946.db2.gz VJNFUNHBQBYVHP-UHFFFAOYSA-N 0 0 286.331 2.902 20 5 CFBDRN Cc1cc(N[C@@H](C)Cn2cccn2)ccc1[N+](=O)[O-] ZINC000048336315 373165248 /nfs/dbraw/zinc/16/52/48/373165248.db2.gz SNKBKUDHICIRHJ-NSHDSACASA-N 0 0 260.297 2.600 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cc1Cl)c1nncn1C ZINC000047991075 373139045 /nfs/dbraw/zinc/13/90/45/373139045.db2.gz BRESECAVZWPQIQ-SSDOTTSWSA-N 0 0 281.703 2.550 20 5 CFBDRN CN(CCc1ccccn1)c1cccc(F)c1[N+](=O)[O-] ZINC000048802019 373222720 /nfs/dbraw/zinc/22/27/20/373222720.db2.gz KWYVJFGIUGCNOY-UHFFFAOYSA-N 0 0 275.283 2.808 20 5 CFBDRN C[C@@H](NC(=O)NCC1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000049242037 373279584 /nfs/dbraw/zinc/27/95/84/373279584.db2.gz ZDFUPANNMWGBHW-SNVBAGLBSA-N 0 0 277.324 2.755 20 5 CFBDRN Cc1cnc(NC(=O)c2ccc([N+](=O)[O-])cc2F)s1 ZINC000048939427 373233294 /nfs/dbraw/zinc/23/32/94/373233294.db2.gz TZMAMROEEFGCNZ-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN C[C@@H](Cn1cccn1)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000050352136 373372506 /nfs/dbraw/zinc/37/25/06/373372506.db2.gz CJRYDLZATALPTJ-VIFPVBQESA-N 0 0 280.715 2.945 20 5 CFBDRN COC(C)(C)CCn1cc([N+](=O)[O-])c(=O)c2ccccc21 ZINC000487928306 245793417 /nfs/dbraw/zinc/79/34/17/245793417.db2.gz IBVQJIPZXDQCIF-UHFFFAOYSA-N 0 0 290.319 2.725 20 5 CFBDRN CC(C)(C(=O)Nc1nccs1)c1ccccc1[N+](=O)[O-] ZINC000431674200 383689878 /nfs/dbraw/zinc/68/98/78/383689878.db2.gz RLMFUOSKIJDSIW-UHFFFAOYSA-N 0 0 291.332 2.968 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1Cc2ccccc2O1 ZINC000052812446 373450469 /nfs/dbraw/zinc/45/04/69/373450469.db2.gz CIGBMJHTBHUMFV-ZDUSSCGKSA-N 0 0 285.303 2.714 20 5 CFBDRN CNC(=O)c1ccc(N[C@@H]2CCCC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000053548905 373481260 /nfs/dbraw/zinc/48/12/60/373481260.db2.gz CTZWZTITKBSTMX-CMPLNLGQSA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@H](NC(=O)COC1CCCC1)c1cccc([N+](=O)[O-])c1 ZINC000055467600 373554795 /nfs/dbraw/zinc/55/47/95/373554795.db2.gz QFGCQDDPGOUWOP-NSHDSACASA-N 0 0 292.335 2.731 20 5 CFBDRN CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H]1CCCO1 ZINC000056988189 373576052 /nfs/dbraw/zinc/57/60/52/373576052.db2.gz JQZAZCIXVMICNH-AWEZNQCLSA-N 0 0 292.335 2.511 20 5 CFBDRN C[C@@H]1CCCC[C@H]1NC(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000057005983 373577674 /nfs/dbraw/zinc/57/76/74/373577674.db2.gz HAPJHDOUVKUHPZ-BXUZGUMPSA-N 0 0 291.351 2.973 20 5 CFBDRN COCCCCCNC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000058605918 373663834 /nfs/dbraw/zinc/66/38/34/373663834.db2.gz MZLVJTQJQVWYMP-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN COCCCCCNC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000058601168 373663922 /nfs/dbraw/zinc/66/39/22/373663922.db2.gz OUDJFVKFWWCQDO-UHFFFAOYSA-N 0 0 295.339 2.841 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000060249713 373690318 /nfs/dbraw/zinc/69/03/18/373690318.db2.gz PUEOVJZZXPVWGP-JTQLQIEISA-N 0 0 250.298 2.759 20 5 CFBDRN CCCCOc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000060805950 373703335 /nfs/dbraw/zinc/70/33/35/373703335.db2.gz VLGXDZCLZPGAHT-UHFFFAOYSA-N 0 0 253.254 2.560 20 5 CFBDRN C[C@H]1CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CCCO1 ZINC000061918219 373738849 /nfs/dbraw/zinc/73/88/49/373738849.db2.gz GNDFAAOSXKYZKD-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN C[C@H]1CN(Cc2cc([N+](=O)[O-])ccc2Cl)CCCO1 ZINC000061918223 373739336 /nfs/dbraw/zinc/73/93/36/373739336.db2.gz DBSOUVNIERAMMN-JTQLQIEISA-N 0 0 284.743 2.859 20 5 CFBDRN Cc1c(C)c(C)c(Cn2cnc([N+](=O)[O-])n2)c(C)c1C ZINC000488792075 245903937 /nfs/dbraw/zinc/90/39/37/245903937.db2.gz ZJDVXMWDJZQIPM-UHFFFAOYSA-N 0 0 274.324 2.777 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN(C)Cc1cscn1 ZINC000063590455 373809094 /nfs/dbraw/zinc/80/90/94/373809094.db2.gz KUCGJCWGIAAMBR-UHFFFAOYSA-N 0 0 293.348 2.692 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1cc([N+](=O)[O-])c(C)s1 ZINC000063838171 373815060 /nfs/dbraw/zinc/81/50/60/373815060.db2.gz MKSPAUGVWALFKV-UHFFFAOYSA-N 0 0 280.309 2.557 20 5 CFBDRN O=[N+]([O-])c1cccnc1SCCc1ccncc1 ZINC000064040192 373819331 /nfs/dbraw/zinc/81/93/31/373819331.db2.gz DFFWCJCXFTXXLL-UHFFFAOYSA-N 0 0 261.306 2.720 20 5 CFBDRN CC(C)(C)n1cc(NC(=O)c2ccc([N+](=O)[O-])s2)cn1 ZINC000065921796 373901844 /nfs/dbraw/zinc/90/18/44/373901844.db2.gz YVCTXJBDOZQZQL-UHFFFAOYSA-N 0 0 294.336 2.860 20 5 CFBDRN CSC[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000066756203 373949196 /nfs/dbraw/zinc/94/91/96/373949196.db2.gz BLWMEVLGMCDUPA-VIFPVBQESA-N 0 0 268.338 2.841 20 5 CFBDRN C[C@H]1C[C@H](C)CN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000067704175 373995099 /nfs/dbraw/zinc/99/50/99/373995099.db2.gz UFJBRCWIZUFSNX-RYUDHWBXSA-N 0 0 291.351 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)OC(C)(C)C1 ZINC000067237158 373975444 /nfs/dbraw/zinc/97/54/44/373975444.db2.gz OQEDKQWFXYMXHI-LLVKDONJSA-N 0 0 292.335 2.543 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1nccs1 ZINC000070160718 374132219 /nfs/dbraw/zinc/13/22/19/374132219.db2.gz BFMBQZOTQRLVOU-UHFFFAOYSA-N 0 0 253.258 2.803 20 5 CFBDRN C[C@@H](C1CC1)N(C)C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000490669812 246019951 /nfs/dbraw/zinc/01/99/51/246019951.db2.gz XLLNTPDATDQFOV-JUDLJHIGSA-N 0 0 274.320 2.865 20 5 CFBDRN O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCCCCO1 ZINC000408293564 296210191 /nfs/dbraw/zinc/21/01/91/296210191.db2.gz HGXNIDUSZJMWFZ-UHFFFAOYSA-N 0 0 284.699 2.806 20 5 CFBDRN C[C@H](NCc1csc([N+](=O)[O-])c1)c1nccs1 ZINC000070942434 374206496 /nfs/dbraw/zinc/20/64/96/374206496.db2.gz HYNQLMLUYMJOBW-ZETCQYMHSA-N 0 0 269.351 2.964 20 5 CFBDRN CCCN(CC1CC1)C(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000072644665 374272580 /nfs/dbraw/zinc/27/25/80/374272580.db2.gz RUOWNJFKZJXWLZ-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN C[C@@H]1CCC[C@@H](C)N1C(=O)COc1ccccc1[N+](=O)[O-] ZINC000072644795 374272747 /nfs/dbraw/zinc/27/27/47/374272747.db2.gz UQNAPLZFANAIPW-VXGBXAGGSA-N 0 0 292.335 2.763 20 5 CFBDRN CCOC[C@@H]1CCCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000072975878 374310353 /nfs/dbraw/zinc/31/03/53/374310353.db2.gz DJZMPCWCYANMMC-LLVKDONJSA-N 0 0 284.381 2.905 20 5 CFBDRN CNC(=O)c1ccccc1NCc1cccc([N+](=O)[O-])c1 ZINC000072980834 374313013 /nfs/dbraw/zinc/31/30/13/374313013.db2.gz JRWAULGHTCIGTR-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN CC1(C)CCN1C(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000491924768 246124701 /nfs/dbraw/zinc/12/47/01/246124701.db2.gz KMVOTJGXNREINS-FPLPWBNLSA-N 0 0 260.293 2.619 20 5 CFBDRN Cc1sc(C(=O)OC[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000074361356 374418793 /nfs/dbraw/zinc/41/87/93/374418793.db2.gz VYUVGYQAMGVWFP-VIFPVBQESA-N 0 0 285.321 2.691 20 5 CFBDRN CC[C@H](C)N(C)C(=O)c1ccc([N+](=O)[O-])c(C)c1 ZINC000075505856 374485466 /nfs/dbraw/zinc/48/54/66/374485466.db2.gz AUDSKKFKELECGR-JTQLQIEISA-N 0 0 250.298 2.774 20 5 CFBDRN C[C@@H]1CC[C@H](C)CN1C(=O)c1ccc([N+](=O)[O-])s1 ZINC000075278581 374473884 /nfs/dbraw/zinc/47/38/84/374473884.db2.gz LQBLJMNUASSOPB-DTWKUNHWSA-N 0 0 268.338 2.917 20 5 CFBDRN CSC(C)(C)CNC(=O)c1ccc([N+](=O)[O-])cc1C ZINC000075364272 374478347 /nfs/dbraw/zinc/47/83/47/374478347.db2.gz KWASKHAEGWECEH-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN CC[C@H](Cc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000075682095 374499676 /nfs/dbraw/zinc/49/96/76/374499676.db2.gz ZWPACEKVZBDABF-GFCCVEGCSA-N 0 0 288.303 2.939 20 5 CFBDRN O=C(CC[C@@H]1CCCO1)OCc1ccc([N+](=O)[O-])cc1 ZINC000075719863 374501431 /nfs/dbraw/zinc/50/14/31/374501431.db2.gz UZPIOPWNDDDFEG-ZDUSSCGKSA-N 0 0 279.292 2.597 20 5 CFBDRN C[C@]1(F)CCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000492297251 246250331 /nfs/dbraw/zinc/25/03/31/246250331.db2.gz RGSBYQHENDJHAW-UZYOAWRESA-N 0 0 278.283 2.569 20 5 CFBDRN CC[C@H](CSC)NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492326724 246259472 /nfs/dbraw/zinc/25/94/72/246259472.db2.gz XKOUNNNFJJMVMT-QDZRJHCZSA-N 0 0 294.376 2.866 20 5 CFBDRN CC[C@@H](CSC)NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000492326723 246259503 /nfs/dbraw/zinc/25/95/03/246259503.db2.gz XKOUNNNFJJMVMT-KRZKBDHCSA-N 0 0 294.376 2.866 20 5 CFBDRN Cc1ccc(/C=C\C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)nc1 ZINC000492416353 246286075 /nfs/dbraw/zinc/28/60/75/246286075.db2.gz MZDHZJDPNBHCRD-YVMONPNESA-N 0 0 298.302 2.654 20 5 CFBDRN CSCCCOc1ccc(C=O)cc1[N+](=O)[O-] ZINC000078935314 374575135 /nfs/dbraw/zinc/57/51/35/374575135.db2.gz LRXNOULGDZQNGD-UHFFFAOYSA-N 0 0 255.295 2.539 20 5 CFBDRN C/C=C/C=C\C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000492562597 246328147 /nfs/dbraw/zinc/32/81/47/246328147.db2.gz HHOYGRUUSNFBJH-IAROGAJJSA-N 0 0 280.711 2.997 20 5 CFBDRN Cc1cc(N(C)C(=O)/C=C/c2cccc([N+](=O)[O-])c2)ccn1 ZINC000492594898 246338021 /nfs/dbraw/zinc/33/80/21/246338021.db2.gz UEBGNCZWTXMUQE-VOTSOKGWSA-N 0 0 297.314 2.974 20 5 CFBDRN CCOc1cc(CN(C)CC(F)F)ccc1[N+](=O)[O-] ZINC000492742919 246372483 /nfs/dbraw/zinc/37/24/83/246372483.db2.gz FOYRMVHRVFCREH-UHFFFAOYSA-N 0 0 274.267 2.690 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CC[C@H](F)C1 ZINC000492799590 246389799 /nfs/dbraw/zinc/38/97/99/246389799.db2.gz MUZUIAMOBZROQE-SHLDSEAOSA-N 0 0 296.273 2.754 20 5 CFBDRN Cc1nc(CSCCOc2cccc([N+](=O)[O-])c2)no1 ZINC000079349292 374606001 /nfs/dbraw/zinc/60/60/01/374606001.db2.gz PLIVUHYPSFQMEH-UHFFFAOYSA-N 0 0 295.320 2.598 20 5 CFBDRN CC1(C)CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 ZINC000081353308 374696297 /nfs/dbraw/zinc/69/62/97/374696297.db2.gz VSNYWKBGRCOAHC-VMPITWQZSA-N 0 0 274.320 2.867 20 5 CFBDRN CCOCCCNc1ccc([N+](=O)[O-])cc1COC ZINC000080531025 374660891 /nfs/dbraw/zinc/66/08/91/374660891.db2.gz GHEFPBUDMSTFSC-UHFFFAOYSA-N 0 0 268.313 2.580 20 5 CFBDRN Cc1ccc(N[C@H](CCO)C(C)C)c([N+](=O)[O-])c1 ZINC000080651378 374666932 /nfs/dbraw/zinc/66/69/32/374666932.db2.gz OSMGQCGIXRPZCO-LLVKDONJSA-N 0 0 252.314 2.722 20 5 CFBDRN CC(C)N1CC[C@H](Nc2ccc(Cl)c([N+](=O)[O-])c2)C1=O ZINC000081083077 374683967 /nfs/dbraw/zinc/68/39/67/374683967.db2.gz MBIAIJGKEDNSOU-NSHDSACASA-N 0 0 297.742 2.669 20 5 CFBDRN O=C(NCc1ccc2c(c1)COC2)c1cccc([N+](=O)[O-])c1 ZINC000431884271 383703315 /nfs/dbraw/zinc/70/33/15/383703315.db2.gz TYFBSEIYSOYWPG-UHFFFAOYSA-N 0 0 298.298 2.555 20 5 CFBDRN CC[C@H](C)Nc1ncc([N+](=O)[O-])cc1Br ZINC000081940865 374724313 /nfs/dbraw/zinc/72/43/13/374724313.db2.gz RETPGBVTMXABIJ-LURJTMIESA-N 0 0 274.118 2.963 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]2CCC[C@@H]21 ZINC000087784464 374831324 /nfs/dbraw/zinc/83/13/24/374831324.db2.gz KWOVIAJMKYROBG-YGRLFVJLSA-N 0 0 289.335 2.582 20 5 CFBDRN COC(C)(C)c1noc(-c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000085236955 374800091 /nfs/dbraw/zinc/80/00/91/374800091.db2.gz DMLHPBSABQSQHS-UHFFFAOYSA-N 0 0 277.280 2.835 20 5 CFBDRN Cc1cccnc1CNC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000087304841 374812918 /nfs/dbraw/zinc/81/29/18/374812918.db2.gz LAKKAVYSAJUQDP-UHFFFAOYSA-N 0 0 299.330 2.547 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC[C@@H]1CC12CC2 ZINC000493215521 246523622 /nfs/dbraw/zinc/52/36/22/246523622.db2.gz CQCPZIIGJABNPG-UXONFWTHSA-N 0 0 272.304 2.524 20 5 CFBDRN CC1(C)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2N)CC1 ZINC000087660723 374827824 /nfs/dbraw/zinc/82/78/24/374827824.db2.gz PSLMMABYGNAEGF-UHFFFAOYSA-N 0 0 291.351 2.829 20 5 CFBDRN CCN(Cc1ccccn1)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000084205027 374768048 /nfs/dbraw/zinc/76/80/48/374768048.db2.gz XRKRXHUVJAVGAB-UHFFFAOYSA-N 0 0 299.330 2.961 20 5 CFBDRN CCC1(CNC(=O)COc2cccc([N+](=O)[O-])c2)CCC1 ZINC000084255310 374772150 /nfs/dbraw/zinc/77/21/50/374772150.db2.gz JDLAZXCCBAIACB-UHFFFAOYSA-N 0 0 292.335 2.670 20 5 CFBDRN C[C@@H]1C[C@H](NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)CS1 ZINC000493110617 246490276 /nfs/dbraw/zinc/49/02/76/246490276.db2.gz BDKKIOJWXYTUNF-STBQQDIQSA-N 0 0 292.360 2.618 20 5 CFBDRN CCO/C=C\C(=O)Nc1cc([N+](=O)[O-])ccc1CC ZINC000493488186 246603096 /nfs/dbraw/zinc/60/30/96/246603096.db2.gz SUTUJJBPHPKHRD-FPLPWBNLSA-N 0 0 264.281 2.646 20 5 CFBDRN O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc21)C1CCCCC1 ZINC000089420553 374903351 /nfs/dbraw/zinc/90/33/51/374903351.db2.gz WKALTVRJBQINQT-UHFFFAOYSA-N 0 0 288.307 2.990 20 5 CFBDRN COC(=O)C[C@@H](C)Sc1ccc(C)cc1[N+](=O)[O-] ZINC000090100613 374990919 /nfs/dbraw/zinc/99/09/19/374990919.db2.gz DEAHMGKIQIJKFC-SECBINFHSA-N 0 0 269.322 2.947 20 5 CFBDRN COC(=O)C[C@H](C)Sc1ccc(C)cc1[N+](=O)[O-] ZINC000090100611 374991143 /nfs/dbraw/zinc/99/11/43/374991143.db2.gz DEAHMGKIQIJKFC-VIFPVBQESA-N 0 0 269.322 2.947 20 5 CFBDRN Cc1conc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493657968 246658120 /nfs/dbraw/zinc/65/81/20/246658120.db2.gz JREVPLHMDPJNAB-DAXSKMNVSA-N 0 0 273.248 2.543 20 5 CFBDRN CS[C@@H]1CCN(C(=O)/C=C\c2ccccc2[N+](=O)[O-])C1 ZINC000493557599 246625517 /nfs/dbraw/zinc/62/55/17/246625517.db2.gz PXGJHJTXCNUAFD-ZHRWSRJISA-N 0 0 292.360 2.572 20 5 CFBDRN CC(C)[C@H](CO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000092401518 375108322 /nfs/dbraw/zinc/10/83/22/375108322.db2.gz VMZMGODZVUYTJI-ZDUSSCGKSA-N 0 0 275.308 2.572 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1ccncc1Cl ZINC000091456024 375051197 /nfs/dbraw/zinc/05/11/97/375051197.db2.gz BYZYOOWMOBDKTD-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NC[C@H](C)C(C)C ZINC000091556185 375054021 /nfs/dbraw/zinc/05/40/21/375054021.db2.gz XQVYXJHZQCYHAI-VIFPVBQESA-N 0 0 254.334 2.824 20 5 CFBDRN CCOc1cc(S[C@H](C)[C@H](C)O)ccc1[N+](=O)[O-] ZINC000092658802 375134643 /nfs/dbraw/zinc/13/46/43/375134643.db2.gz PZRNINVCVXGRHV-DTWKUNHWSA-N 0 0 271.338 2.855 20 5 CFBDRN O=C(/C=C/c1ccc(F)cc1[N+](=O)[O-])N1CCC[C@@H](F)C1 ZINC000493852220 246724891 /nfs/dbraw/zinc/72/48/91/246724891.db2.gz VHZIDTKWYRVRGZ-FVOPLDGLSA-N 0 0 296.273 2.708 20 5 CFBDRN CCc1nn(C)cc1CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000092959527 375169561 /nfs/dbraw/zinc/16/95/61/375169561.db2.gz OFNJEPVZBFKGCN-UHFFFAOYSA-N 0 0 274.324 2.811 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCCCCO1 ZINC000332203054 296226647 /nfs/dbraw/zinc/22/66/47/296226647.db2.gz CXGVDNADVBTDRU-UHFFFAOYSA-N 0 0 284.699 2.806 20 5 CFBDRN C[C@@H]1OCCN(C/C=C/c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000093417823 375230884 /nfs/dbraw/zinc/23/08/84/375230884.db2.gz CWJWZZUOVJMMCJ-YTDWTQRBSA-N 0 0 276.336 2.717 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCS[C@@H]2CCCC[C@H]21 ZINC000175444065 296227855 /nfs/dbraw/zinc/22/78/55/296227855.db2.gz KNEIAELVZMRILX-MWLCHTKSSA-N 0 0 296.348 2.688 20 5 CFBDRN Cc1c(CNc2ccc([N+](=O)[O-])cc2C)cnn1C ZINC000093649710 375286971 /nfs/dbraw/zinc/28/69/71/375286971.db2.gz UYYXUFZUHWPFSV-UHFFFAOYSA-N 0 0 260.297 2.557 20 5 CFBDRN O=[N+]([O-])c1ccc(CNc2ncc(Cl)cn2)cc1 ZINC000093537193 375265578 /nfs/dbraw/zinc/26/55/78/375265578.db2.gz CWIILBQXRUIWJJ-UHFFFAOYSA-N 0 0 264.672 2.650 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1cccnc1 ZINC000093900637 375329936 /nfs/dbraw/zinc/32/99/36/375329936.db2.gz NXMCZGPALPBCOO-UHFFFAOYSA-N 0 0 299.330 2.845 20 5 CFBDRN Cc1nn(Cc2cnc(Cl)s2)c(C)c1[N+](=O)[O-] ZINC000094194976 375344757 /nfs/dbraw/zinc/34/47/57/375344757.db2.gz XAIGNMIMJNXIFW-UHFFFAOYSA-N 0 0 272.717 2.566 20 5 CFBDRN CC[C@H](CCO)CNc1ccc([N+](=O)[O-])cc1C ZINC000094957586 375398367 /nfs/dbraw/zinc/39/83/67/375398367.db2.gz LTNUKLAXAYLMNZ-LLVKDONJSA-N 0 0 252.314 2.724 20 5 CFBDRN CC(C)(C)c1nc(COc2ccccc2[N+](=O)[O-])no1 ZINC000097335957 375550253 /nfs/dbraw/zinc/55/02/53/375550253.db2.gz PLZJGGIYNMNWIF-UHFFFAOYSA-N 0 0 277.280 2.854 20 5 CFBDRN C[C@H](CCO)CNc1c(Cl)cccc1[N+](=O)[O-] ZINC000097443066 375561503 /nfs/dbraw/zinc/56/15/03/375561503.db2.gz VFSPBFOVCFPGGV-MRVPVSSYSA-N 0 0 258.705 2.679 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CSCC(=O)OC(C)C ZINC000097599610 375571556 /nfs/dbraw/zinc/57/15/56/375571556.db2.gz YUMPRLGQTQOSSP-UHFFFAOYSA-N 0 0 299.348 2.788 20 5 CFBDRN Cc1c(NC(=O)NC(C)(C)C)cccc1[N+](=O)[O-] ZINC000098068358 375598645 /nfs/dbraw/zinc/59/86/45/375598645.db2.gz TZQWEWANZJAXDH-UHFFFAOYSA-N 0 0 251.286 2.823 20 5 CFBDRN Cc1nn(C)c(C(=O)NC(C)(C)CC(C)(C)C)c1[N+](=O)[O-] ZINC000098097626 375601976 /nfs/dbraw/zinc/60/19/76/375601976.db2.gz PUHQWZALBSIPHO-UHFFFAOYSA-N 0 0 296.371 2.581 20 5 CFBDRN O=C([C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1)N1CCCCCO1 ZINC000412274051 284524965 /nfs/dbraw/zinc/52/49/65/284524965.db2.gz BLWUFEFIUONUNR-UONOGXRCSA-N 0 0 290.319 2.642 20 5 CFBDRN CCCCN(CCCC)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000498211369 247078917 /nfs/dbraw/zinc/07/89/17/247078917.db2.gz GVNIDSYLMYHDKD-UHFFFAOYSA-N 0 0 281.356 2.976 20 5 CFBDRN CCCC[C@@H](C)C(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000499938766 247091861 /nfs/dbraw/zinc/09/18/61/247091861.db2.gz HYHQNZPCYDJUQT-GFCCVEGCSA-N 0 0 293.367 2.949 20 5 CFBDRN CCN(C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000101604125 375644616 /nfs/dbraw/zinc/64/46/16/375644616.db2.gz AVPLAJUYKALQDU-UHFFFAOYSA-N 0 0 257.677 2.732 20 5 CFBDRN O=C(COc1ccccc1)COc1ccccc1[N+](=O)[O-] ZINC000505456097 247138742 /nfs/dbraw/zinc/13/87/42/247138742.db2.gz VRNFBFIYOPVOBS-UHFFFAOYSA-N 0 0 287.271 2.622 20 5 CFBDRN COC(=O)c1cc(OCC[C@@H](C)F)ccc1[N+](=O)[O-] ZINC000505514444 247141307 /nfs/dbraw/zinc/14/13/07/247141307.db2.gz RFSKDSWGMDNDKH-MRVPVSSYSA-N 0 0 271.244 2.508 20 5 CFBDRN CCc1ccc(OCc2cnc(C)nc2)c([N+](=O)[O-])c1 ZINC000505541541 247141725 /nfs/dbraw/zinc/14/17/25/247141725.db2.gz ZGDVBBOKMYDPQL-UHFFFAOYSA-N 0 0 273.292 2.835 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1OCc1nccn1C(F)F ZINC000102599751 375682292 /nfs/dbraw/zinc/68/22/92/375682292.db2.gz CJOBXTBTQXYUIF-UHFFFAOYSA-N 0 0 287.197 2.905 20 5 CFBDRN CC[C@@H](C)CCC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000505393761 247136833 /nfs/dbraw/zinc/13/68/33/247136833.db2.gz DNBGHTWVKNBBOB-GFCCVEGCSA-N 0 0 293.367 2.949 20 5 CFBDRN Cc1ncc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)cn1 ZINC000507166121 247166035 /nfs/dbraw/zinc/16/60/35/247166035.db2.gz CQFYGWJOXPNDDW-UHFFFAOYSA-N 0 0 298.346 2.858 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ncon1 ZINC000366667659 296234478 /nfs/dbraw/zinc/23/44/78/296234478.db2.gz VQPFFJHWZHYROC-GXFFZTMASA-N 0 0 288.307 2.876 20 5 CFBDRN Cc1cc(CN(C)c2c(C)cccc2[N+](=O)[O-])no1 ZINC000112073982 375880891 /nfs/dbraw/zinc/88/08/91/375880891.db2.gz CMJUOXJEYGDKIK-UHFFFAOYSA-N 0 0 261.281 2.836 20 5 CFBDRN CCN(CC1CC1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000112182517 375888425 /nfs/dbraw/zinc/88/84/25/375888425.db2.gz CJTZYZCKPATXLK-UHFFFAOYSA-N 0 0 254.311 2.528 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)CC1CC1 ZINC000112732547 375957227 /nfs/dbraw/zinc/95/72/27/375957227.db2.gz RNKCZUOOAOVLKX-VIFPVBQESA-N 0 0 293.323 2.914 20 5 CFBDRN CCC[C@H](O)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000112615085 375937226 /nfs/dbraw/zinc/93/72/26/375937226.db2.gz KKBZEZRVDVPVLX-VIFPVBQESA-N 0 0 258.705 2.821 20 5 CFBDRN CC1(C)CCCN(C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000112685521 375944479 /nfs/dbraw/zinc/94/44/79/375944479.db2.gz ABLVOAMMCMBQIX-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CCc1ccccc1CNc1c([N+](=O)[O-])ncn1C ZINC000113508206 376020081 /nfs/dbraw/zinc/02/00/81/376020081.db2.gz WRRWBNQAOXHKCQ-UHFFFAOYSA-N 0 0 260.297 2.503 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)[C@@H]1COc2ccccc21 ZINC000113016037 375987767 /nfs/dbraw/zinc/98/77/67/375987767.db2.gz QRBJEMKBNNIKOR-CYBMUJFWSA-N 0 0 284.271 2.710 20 5 CFBDRN CC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])cnc2C)CC1 ZINC000117153515 376226779 /nfs/dbraw/zinc/22/67/79/376226779.db2.gz LTMPCRVAVKXLEF-GFCCVEGCSA-N 0 0 291.351 2.951 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000118663205 376309019 /nfs/dbraw/zinc/30/90/19/376309019.db2.gz FRZNCXYXFMFZTQ-VWYCJHECSA-N 0 0 278.308 2.981 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@]2(C)CCCO2)cc1[N+](=O)[O-] ZINC000118974743 376329378 /nfs/dbraw/zinc/32/93/78/376329378.db2.gz ZISUQNORANHEPN-NHYWBVRUSA-N 0 0 292.335 2.650 20 5 CFBDRN Cc1c(CN(C)Cc2cccnc2)cccc1[N+](=O)[O-] ZINC000119026997 376338307 /nfs/dbraw/zinc/33/83/07/376338307.db2.gz CSKGVIUDAIZIQA-UHFFFAOYSA-N 0 0 271.320 2.930 20 5 CFBDRN C[C@H]1CCC[C@@H]1NC(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000119037764 376339878 /nfs/dbraw/zinc/33/98/78/376339878.db2.gz UWONACVGTATWOR-FZMZJTMJSA-N 0 0 291.351 2.625 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@H]1CCCO1 ZINC000118296100 376286074 /nfs/dbraw/zinc/28/60/74/376286074.db2.gz AFHWAQJULHBXBC-SNVBAGLBSA-N 0 0 285.683 2.641 20 5 CFBDRN Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@@H]1CCCOC1 ZINC000118296581 376286266 /nfs/dbraw/zinc/28/62/66/376286266.db2.gz QREQJDFYQGFBKR-SECBINFHSA-N 0 0 299.710 2.889 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CN1CCS[C@@H](C)[C@@H]1C ZINC000118415431 376295057 /nfs/dbraw/zinc/29/50/57/376295057.db2.gz XHVNYGDVJSNQFP-QWRGUYRKSA-N 0 0 296.392 2.929 20 5 CFBDRN C[C@@H]1CCC[C@@H]1NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000264233962 383713957 /nfs/dbraw/zinc/71/39/57/383713957.db2.gz ISTAPUXWLLSWFN-NEPJUHHUSA-N 0 0 292.335 2.669 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 ZINC000120283380 376585710 /nfs/dbraw/zinc/58/57/10/376585710.db2.gz MUBBCWYFZCSTDN-UHFFFAOYSA-N 0 0 285.303 2.567 20 5 CFBDRN C[C@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1cnn(C)c1 ZINC000120450380 376618449 /nfs/dbraw/zinc/61/84/49/376618449.db2.gz WOYVEPHOMBLEOL-VIFPVBQESA-N 0 0 294.742 2.833 20 5 CFBDRN CCCN(C(=O)Cc1cccc([N+](=O)[O-])c1C)C1CC1 ZINC000120559335 376640833 /nfs/dbraw/zinc/64/08/33/376640833.db2.gz YSTMXGBYUYCAOX-UHFFFAOYSA-N 0 0 276.336 2.847 20 5 CFBDRN CC(=O)c1ccc(OCCCOC(C)C)c([N+](=O)[O-])c1 ZINC000120903304 376720467 /nfs/dbraw/zinc/72/04/67/376720467.db2.gz FBJOPXLRUAMPHT-UHFFFAOYSA-N 0 0 281.308 2.991 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1CCSCc1ccccc1 ZINC000121829115 376915311 /nfs/dbraw/zinc/91/53/11/376915311.db2.gz KMAGBUNVWIDYSB-UHFFFAOYSA-N 0 0 290.344 2.690 20 5 CFBDRN Cc1ccc(OCCOc2cccc([N+](=O)[O-])c2)nc1 ZINC000123577234 376957626 /nfs/dbraw/zinc/95/76/26/376957626.db2.gz XODWEIBIOAABMN-UHFFFAOYSA-N 0 0 274.276 2.756 20 5 CFBDRN Cn1ccnc1COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000123790403 376979543 /nfs/dbraw/zinc/97/95/43/376979543.db2.gz FMLVVABJPVFRCI-UHFFFAOYSA-N 0 0 267.672 2.561 20 5 CFBDRN O=[N+]([O-])c1ccc(CCSc2nnc(C3CC3)[nH]2)cc1 ZINC000125573996 377173743 /nfs/dbraw/zinc/17/37/43/377173743.db2.gz QLMYBQNVIFPBHZ-UHFFFAOYSA-N 0 0 290.348 2.925 20 5 CFBDRN O=C(N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000125662661 377181651 /nfs/dbraw/zinc/18/16/51/377181651.db2.gz RRZDKQMOSUJGMU-VNQPRFMTSA-N 0 0 294.310 2.663 20 5 CFBDRN CCC(C)(C)NC(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000127329876 377274766 /nfs/dbraw/zinc/27/47/66/377274766.db2.gz SBONQCMXEIDMIN-UHFFFAOYSA-N 0 0 268.288 2.581 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NCC1(O)CCC1 ZINC000127549578 377288108 /nfs/dbraw/zinc/28/81/08/377288108.db2.gz CSJBWIKDHJYMNV-UHFFFAOYSA-N 0 0 256.689 2.575 20 5 CFBDRN CCCC[C@@H](COC)NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000128966674 377365954 /nfs/dbraw/zinc/36/59/54/377365954.db2.gz WHPKFHQXXUQRAQ-NSHDSACASA-N 0 0 298.314 2.669 20 5 CFBDRN CC1(C)[C@H](Nc2ccc3ncccc3c2[N+](=O)[O-])C[C@@H]1O ZINC000129108588 377385859 /nfs/dbraw/zinc/38/58/59/377385859.db2.gz LSSNBGXKGZSCCC-OLZOCXBDSA-N 0 0 287.319 2.714 20 5 CFBDRN O=[N+]([O-])c1c2cccnc2ccc1N1CC[C@@]2(CCOC2)C1 ZINC000129164764 377393586 /nfs/dbraw/zinc/39/35/86/377393586.db2.gz KQXVBTGMPZVMCF-MRXNPFEDSA-N 0 0 299.330 2.760 20 5 CFBDRN COC[C@@H](Nc1ccc(C(C)=O)cc1[N+](=O)[O-])C1CC1 ZINC000129555421 377445965 /nfs/dbraw/zinc/44/59/65/377445965.db2.gz LPFUUQPHZNXNQX-CYBMUJFWSA-N 0 0 278.308 2.634 20 5 CFBDRN O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)C1CC=CC1 ZINC000129275498 377407546 /nfs/dbraw/zinc/40/75/46/377407546.db2.gz JIZBEHKTCSQGRM-UHFFFAOYSA-N 0 0 298.302 2.890 20 5 CFBDRN CNc1c(C(=O)N[C@H]2CC[C@H](C)C2)cccc1[N+](=O)[O-] ZINC000129335839 377413756 /nfs/dbraw/zinc/41/37/56/377413756.db2.gz DVOSBLAYZROLGC-UWVGGRQHSA-N 0 0 277.324 2.555 20 5 CFBDRN CCCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129705087 377463234 /nfs/dbraw/zinc/46/32/34/377463234.db2.gz PRKTZJCXUXELFX-UHFFFAOYSA-N 0 0 262.309 2.886 20 5 CFBDRN CC(C)OCC[N@H+](Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000130080370 377507816 /nfs/dbraw/zinc/50/78/16/377507816.db2.gz NLOOCKVTGCJIEO-UHFFFAOYSA-N 0 0 278.352 2.984 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC1([C@H](O)c2ccccc2)CC1 ZINC000129893146 377485552 /nfs/dbraw/zinc/48/55/52/377485552.db2.gz QRZIZFQWZLUJAK-CQSZACIVSA-N 0 0 299.330 2.916 20 5 CFBDRN COC1CCC(Nc2ccccc2[N+](=O)[O-])CC1 ZINC000129931303 377489695 /nfs/dbraw/zinc/48/96/95/377489695.db2.gz XUSUMHUXEOUODG-UHFFFAOYSA-N 0 0 250.298 2.964 20 5 CFBDRN C[C@@H](CCNc1ccc([N+](=O)[O-])cc1Cl)[S@@](C)=O ZINC000130320473 377537123 /nfs/dbraw/zinc/53/71/23/377537123.db2.gz LZSJFYSLTBACPT-ASCAZGACSA-N 0 0 290.772 2.817 20 5 CFBDRN COc1cc(OCc2nc(C(C)C)no2)ccc1[N+](=O)[O-] ZINC000130468089 377564075 /nfs/dbraw/zinc/56/40/75/377564075.db2.gz VATQWEMTMNVXBD-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN COCCC1(CNC(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000130538624 377572793 /nfs/dbraw/zinc/57/27/93/377572793.db2.gz NHMCAVLZKDDETK-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN CC[C@@H]1CCN(C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])C1 ZINC000130496772 377568063 /nfs/dbraw/zinc/56/80/63/377568063.db2.gz FBBRSAVPWDFXGE-MRVPVSSYSA-N 0 0 284.262 2.745 20 5 CFBDRN CCOc1cccc(N[C@H](C)C[C@H](C)O)c1[N+](=O)[O-] ZINC000130653907 377590534 /nfs/dbraw/zinc/59/05/34/377590534.db2.gz CKPVGDFHAJEPTK-ZJUUUORDSA-N 0 0 268.313 2.565 20 5 CFBDRN O=c1ccn(CCCC2CCCC2)cc1[N+](=O)[O-] ZINC000130800077 377613030 /nfs/dbraw/zinc/61/30/30/377613030.db2.gz MVFOYMLSVHDBHT-UHFFFAOYSA-N 0 0 250.298 2.727 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1Cc2ccccc2C2(CC2)C1 ZINC000184611175 284670610 /nfs/dbraw/zinc/67/06/10/284670610.db2.gz TVDLPFMWXYBJFP-UHFFFAOYSA-N 0 0 298.298 2.875 20 5 CFBDRN Cc1cccc(OCc2noc(C3CC3)n2)c1[N+](=O)[O-] ZINC000131294519 377689498 /nfs/dbraw/zinc/68/94/98/377689498.db2.gz UZWNLZRRHFEYOR-UHFFFAOYSA-N 0 0 275.264 2.743 20 5 CFBDRN C[C@@H]([C@H](C)NC(=O)c1[nH]ncc1[N+](=O)[O-])C1CCCCC1 ZINC000131235979 377679402 /nfs/dbraw/zinc/67/94/02/377679402.db2.gz SLAILDBKPOWGHO-UWVGGRQHSA-N 0 0 294.355 2.653 20 5 CFBDRN CC[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2C)C1 ZINC000131389346 377704776 /nfs/dbraw/zinc/70/47/76/377704776.db2.gz DILISDOMFYULSZ-NSHDSACASA-N 0 0 262.309 2.775 20 5 CFBDRN CCC[C@@H]1CN(CCc2ccc([N+](=O)[O-])cc2)CCO1 ZINC000131577585 377731722 /nfs/dbraw/zinc/73/17/22/377731722.db2.gz PMHYEHVJLOKCPN-OAHLLOKOSA-N 0 0 278.352 2.638 20 5 CFBDRN CC(C)[C@H](C)N(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F ZINC000132184990 377812403 /nfs/dbraw/zinc/81/24/03/377812403.db2.gz XNVOSIMPSRFICV-QMMMGPOBSA-N 0 0 286.278 2.990 20 5 CFBDRN CCC[C@@H]1CN(Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000132454864 377841646 /nfs/dbraw/zinc/84/16/46/377841646.db2.gz UZLZMSMRPRVJEQ-CYBMUJFWSA-N 0 0 264.325 2.596 20 5 CFBDRN Cc1[nH]nc(C(=O)N(C)c2ccc(C)c(C)c2)c1[N+](=O)[O-] ZINC000264299637 383722798 /nfs/dbraw/zinc/72/27/98/383722798.db2.gz YOZWZXHWQVEKTO-UHFFFAOYSA-N 0 0 288.307 2.520 20 5 CFBDRN C[C@@H]1CC[C@H](Nc2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])C1 ZINC000133266661 377917838 /nfs/dbraw/zinc/91/78/38/377917838.db2.gz IZDQEHZJRKGKSC-ZJUUUORDSA-N 0 0 298.364 2.599 20 5 CFBDRN CSC[C@H]1CCCN1c1ccccc1[N+](=O)[O-] ZINC000133326484 377921377 /nfs/dbraw/zinc/92/13/77/377921377.db2.gz KPSBLTJOOUICQP-SNVBAGLBSA-N 0 0 252.339 2.927 20 5 CFBDRN C[C@H]1CC[C@H](Nc2cccc(S(C)(=O)=O)c2[N+](=O)[O-])C1 ZINC000133395238 377926750 /nfs/dbraw/zinc/92/67/50/377926750.db2.gz BVPVLZXZSCDMJH-UWVGGRQHSA-N 0 0 298.364 2.599 20 5 CFBDRN Cc1cc(C[C@H](C)Nc2ccccc2[N+](=O)[O-])n[nH]1 ZINC000133527328 377937049 /nfs/dbraw/zinc/93/70/49/377937049.db2.gz FEZRXXCTWJRAAN-VIFPVBQESA-N 0 0 260.297 2.669 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCN1c1c(F)cc([N+](=O)[O-])cc1F ZINC000133164744 377911044 /nfs/dbraw/zinc/91/10/44/377911044.db2.gz KLDCZRSECAWLJJ-IUCAKERBSA-N 0 0 286.278 2.613 20 5 CFBDRN Cc1ccc(NC(=O)[C@H]2CCC(=O)C[C@H]2C)cc1[N+](=O)[O-] ZINC000134368138 378006113 /nfs/dbraw/zinc/00/61/13/378006113.db2.gz YIQZFSBRPJKNRR-MFKMUULPSA-N 0 0 290.319 2.847 20 5 CFBDRN C[C@@H]1CC(=O)CC[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000134368025 378006542 /nfs/dbraw/zinc/00/65/42/378006542.db2.gz CETNHCGQMFWRPK-NOZJJQNGSA-N 0 0 276.292 2.539 20 5 CFBDRN CSCC[C@H](C)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000134401270 378010583 /nfs/dbraw/zinc/01/05/83/378010583.db2.gz QJJRKONMNBOPQE-JTQLQIEISA-N 0 0 282.365 2.808 20 5 CFBDRN Cc1nc(NC(=O)Cc2ccsc2)ccc1[N+](=O)[O-] ZINC000133835107 377962567 /nfs/dbraw/zinc/96/25/67/377962567.db2.gz ODDZNCDADPUYHH-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN Cc1cccc(C(=O)N(C)C[C@H]2CCCCO2)c1[N+](=O)[O-] ZINC000133907253 377967293 /nfs/dbraw/zinc/96/72/93/377967293.db2.gz IXBGVOHNOZBNAT-GFCCVEGCSA-N 0 0 292.335 2.544 20 5 CFBDRN COc1cccc(NCCc2ccncc2)c1[N+](=O)[O-] ZINC000134906573 378061616 /nfs/dbraw/zinc/06/16/16/378061616.db2.gz PZSJFTWMLKCXAV-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN CCOc1cccc(NC[C@@H]2CCCC[C@H]2O)c1[N+](=O)[O-] ZINC000134923101 378064014 /nfs/dbraw/zinc/06/40/14/378064014.db2.gz SGEIGWOSUCPZJC-WCQYABFASA-N 0 0 294.351 2.957 20 5 CFBDRN COc1ccc(OCC(=O)C2CCCC2)c([N+](=O)[O-])c1 ZINC000135028032 378075122 /nfs/dbraw/zinc/07/51/22/378075122.db2.gz QIOXJTDSXDWKBU-UHFFFAOYSA-N 0 0 279.292 2.742 20 5 CFBDRN CC[C@@]1(C)CN(Cc2ccc([N+](=O)[O-])cc2F)CCO1 ZINC000135647659 378153222 /nfs/dbraw/zinc/15/32/22/378153222.db2.gz OURKEAIXLCTPDO-AWEZNQCLSA-N 0 0 282.315 2.735 20 5 CFBDRN O=C(COc1cc(F)cc([N+](=O)[O-])c1)N1CCCCCC1 ZINC000135341155 378120557 /nfs/dbraw/zinc/12/05/57/378120557.db2.gz MNWSLCWFNCHMNP-UHFFFAOYSA-N 0 0 296.298 2.515 20 5 CFBDRN CC(C)N(CCOc1cccc([N+](=O)[O-])c1)CC(F)F ZINC000135405308 378127966 /nfs/dbraw/zinc/12/79/66/378127966.db2.gz VXFVCNAZEBALIS-UHFFFAOYSA-N 0 0 288.294 2.949 20 5 CFBDRN COc1cc(N[C@@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000135660607 378154903 /nfs/dbraw/zinc/15/49/03/378154903.db2.gz WZQBKBTZIBHKNA-SNVBAGLBSA-N 0 0 268.338 2.911 20 5 CFBDRN CC[C@@]1(C)CN(Cc2ccccc2[N+](=O)[O-])CCO1 ZINC000135615992 378149760 /nfs/dbraw/zinc/14/97/60/378149760.db2.gz IABHMWMTSXQNQW-AWEZNQCLSA-N 0 0 264.325 2.596 20 5 CFBDRN CCC[C@H](C)[C@@H](CO)Nc1ncc(Cl)cc1[N+](=O)[O-] ZINC000571768400 383725358 /nfs/dbraw/zinc/72/53/58/383725358.db2.gz WIQCTMABXHFFCU-WCBMZHEXSA-N 0 0 287.747 2.852 20 5 CFBDRN CC(C)c1cccc(N(C)C(=O)c2n[nH]cc2[N+](=O)[O-])c1 ZINC000136656130 378205917 /nfs/dbraw/zinc/20/59/17/378205917.db2.gz FNIWXIOXPQKCFD-UHFFFAOYSA-N 0 0 288.307 2.718 20 5 CFBDRN C[C@H](O)C[C@@H]1CCCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000279535774 299497352 /nfs/dbraw/zinc/49/73/52/299497352.db2.gz RROZLWGRQWFSBU-ONGXEEELSA-N 0 0 299.758 2.773 20 5 CFBDRN CCOc1cc(Oc2cc(C)ccc2[N+](=O)[O-])ncn1 ZINC000135998314 378175434 /nfs/dbraw/zinc/17/54/34/378175434.db2.gz OEYIXPULEHBABD-UHFFFAOYSA-N 0 0 275.264 2.884 20 5 CFBDRN C[C@H](CCO)Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000149394817 378263774 /nfs/dbraw/zinc/26/37/74/378263774.db2.gz BDCCHBUTODGUMN-ZCFIWIBFSA-N 0 0 262.718 2.506 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])[C@H]1C ZINC000150743969 378270358 /nfs/dbraw/zinc/27/03/58/378270358.db2.gz YEEXDWPDBIINJO-BDAKNGLRSA-N 0 0 266.272 2.604 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NCC1(O)CCCCC1 ZINC000148544280 378257793 /nfs/dbraw/zinc/25/77/93/378257793.db2.gz ZXKNYEXEDBWXEH-UHFFFAOYSA-N 0 0 285.731 2.750 20 5 CFBDRN COCCC1(CNC(=O)c2ccc([N+](=O)[O-])s2)CCC1 ZINC000151750605 378355458 /nfs/dbraw/zinc/35/54/58/378355458.db2.gz QAEVURBDEITRTQ-UHFFFAOYSA-N 0 0 298.364 2.593 20 5 CFBDRN CC(C)(C)Cc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)n[nH]1 ZINC000151760318 378357454 /nfs/dbraw/zinc/35/74/54/378357454.db2.gz OKWJHKLYXBFMSB-UHFFFAOYSA-N 0 0 292.295 2.752 20 5 CFBDRN O=C(c1ccc[nH]1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000152220157 378403959 /nfs/dbraw/zinc/40/39/59/378403959.db2.gz ZOBIPLKAINACIG-UHFFFAOYSA-N 0 0 285.303 2.728 20 5 CFBDRN C[C@@H]1CC[C@@H](C)N1C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000152932443 378460334 /nfs/dbraw/zinc/46/03/34/378460334.db2.gz PFPBAJXHJMBFMG-NXEZZACHSA-N 0 0 263.297 3.000 20 5 CFBDRN CC(C)c1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)no1 ZINC000152582731 378431558 /nfs/dbraw/zinc/43/15/58/378431558.db2.gz LSEXODYLSVBYEY-UHFFFAOYSA-N 0 0 275.264 2.959 20 5 CFBDRN CS[C@H](C)CNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000153971038 378527958 /nfs/dbraw/zinc/52/79/58/378527958.db2.gz OELDMDMURZLMEE-NXEZZACHSA-N 0 0 297.380 2.707 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)cnc1NC[C@H]1CCC[C@@H](O)C1 ZINC000153827831 378515186 /nfs/dbraw/zinc/51/51/86/378515186.db2.gz LRSRSTRNSGTQKJ-WCBMZHEXSA-N 0 0 285.731 2.606 20 5 CFBDRN CSC[C@@H]1CCCN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000154180064 378546261 /nfs/dbraw/zinc/54/62/61/378546261.db2.gz QNGGYXGWEPNKPH-VIFPVBQESA-N 0 0 287.772 2.975 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)C[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000330445054 378557579 /nfs/dbraw/zinc/55/75/79/378557579.db2.gz QTPHTSKIDOOWKB-YPMHNXCESA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C(C)C ZINC000330455765 378560569 /nfs/dbraw/zinc/56/05/69/378560569.db2.gz NFSWRYVSMRQWNC-CYBMUJFWSA-N 0 0 277.324 2.559 20 5 CFBDRN O=C(Cc1c[nH]c2ncccc12)Nc1cccc([N+](=O)[O-])c1 ZINC000154523177 378575989 /nfs/dbraw/zinc/57/59/89/378575989.db2.gz OBPCTOQCKKKJTM-UHFFFAOYSA-N 0 0 296.286 2.652 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)C[C@@H]2CCOC2)cc1[N+](=O)[O-] ZINC000331119255 378635999 /nfs/dbraw/zinc/63/59/99/378635999.db2.gz OTJVLGMMTJSCEI-RYUDHWBXSA-N 0 0 292.335 2.507 20 5 CFBDRN CC[C@H](C)N(C(=O)c1ccc([N+](=O)[O-])n1C)[C@@H](C)CC ZINC000330958274 378604437 /nfs/dbraw/zinc/60/44/37/378604437.db2.gz WMASPSIPWPFOOJ-QWRGUYRKSA-N 0 0 281.356 2.973 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)C(=O)N1CCc2c1cccc2[N+](=O)[O-] ZINC000331052342 378622667 /nfs/dbraw/zinc/62/26/67/378622667.db2.gz IZVDSMUDSBLWAZ-QMTHXVAHSA-N 0 0 292.335 2.545 20 5 CFBDRN COc1c(C(=O)N2C[C@@H](C)CC2(C)C)cccc1[N+](=O)[O-] ZINC000331062688 378623734 /nfs/dbraw/zinc/62/37/34/378623734.db2.gz OKQJEXDHEIZTSV-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CO[C@@H](C)C1 ZINC000331257713 378659214 /nfs/dbraw/zinc/65/92/14/378659214.db2.gz DIBCXLXDNUJVCH-QWRGUYRKSA-N 0 0 278.308 2.575 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)[C@@H]2CO[C@H](C)C2)cc1[N+](=O)[O-] ZINC000331273220 378661086 /nfs/dbraw/zinc/66/10/86/378661086.db2.gz VWVZEJUSHFCILN-MDZLAQPJSA-N 0 0 292.335 2.505 20 5 CFBDRN CCC(C)(C)NC(=O)[C@H](C(C)C)n1cc([N+](=O)[O-])c(C)n1 ZINC000331336445 378671276 /nfs/dbraw/zinc/67/12/76/378671276.db2.gz QKWWKUYDSBTEHO-LBPRGKRZSA-N 0 0 296.371 2.602 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@@H]1CCCC[C@@H]1O ZINC000331359541 378678222 /nfs/dbraw/zinc/67/82/22/378678222.db2.gz WPZBKILFHOEGMC-ONGXEEELSA-N 0 0 268.338 2.633 20 5 CFBDRN O=[N+]([O-])c1cccnc1SC[C@H]1CCCC[C@H]1O ZINC000331359540 378678462 /nfs/dbraw/zinc/67/84/62/378678462.db2.gz WPZBKILFHOEGMC-MWLCHTKSSA-N 0 0 268.338 2.633 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000159074038 378683421 /nfs/dbraw/zinc/68/34/21/378683421.db2.gz CHTWHBCLCWKIQB-VXNVDRBHSA-N 0 0 268.700 2.634 20 5 CFBDRN CC(C)[C@@H](C)N(C(=O)c1cc([N+](=O)[O-])c[nH]1)C1CC1 ZINC000331494802 378695374 /nfs/dbraw/zinc/69/53/74/378695374.db2.gz NNYSKOWYWDRQKR-SECBINFHSA-N 0 0 265.313 2.572 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC[C@H]1C ZINC000331212870 378649900 /nfs/dbraw/zinc/64/99/00/378649900.db2.gz SRGWEUOVVAKZDC-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN Cc1cc(OCC(=O)N2CCC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000331212142 378650821 /nfs/dbraw/zinc/65/08/21/378650821.db2.gz ZKLPNSUEESQCJT-UHFFFAOYSA-N 0 0 292.335 2.541 20 5 CFBDRN C[C@@]1(Nc2ccc([N+](=O)[O-])c3cnccc23)CCOC1 ZINC000160005943 378742015 /nfs/dbraw/zinc/74/20/15/378742015.db2.gz OQOAMVCKRFBGDJ-CQSZACIVSA-N 0 0 273.292 2.734 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NCC1(C2CC2)CC1 ZINC000160074408 378747307 /nfs/dbraw/zinc/74/73/07/378747307.db2.gz WFCHKUOXMBLHQB-UHFFFAOYSA-N 0 0 274.320 2.823 20 5 CFBDRN Cc1cc(NCCC(=O)N2CCCCC2)ccc1[N+](=O)[O-] ZINC000159341644 378704111 /nfs/dbraw/zinc/70/41/11/378704111.db2.gz XZNXYAWJIIKTBT-UHFFFAOYSA-N 0 0 291.351 2.718 20 5 CFBDRN Cc1cc(OCc2noc(C(C)C)n2)ccc1[N+](=O)[O-] ZINC000159407443 378707636 /nfs/dbraw/zinc/70/76/36/378707636.db2.gz XHDHMNOZWYVCEJ-UHFFFAOYSA-N 0 0 277.280 2.989 20 5 CFBDRN CC[C@@H](O)c1nccn1Cc1cccc([N+](=O)[O-])c1C ZINC000278481981 194005158 /nfs/dbraw/zinc/00/51/58/194005158.db2.gz FTFBSFBQPSVING-CYBMUJFWSA-N 0 0 275.308 2.591 20 5 CFBDRN CCCN(CCC)C(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000160887121 378807466 /nfs/dbraw/zinc/80/74/66/378807466.db2.gz MIWXVRZBVWMOAU-UHFFFAOYSA-N 0 0 268.288 2.996 20 5 CFBDRN CC(C)(O)CONc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000278492589 194009661 /nfs/dbraw/zinc/00/96/61/194009661.db2.gz HNQSWMHMWKKNNP-UHFFFAOYSA-N 0 0 294.229 2.728 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2nnc(C3CC3)o2)cc1 ZINC000278471858 194001631 /nfs/dbraw/zinc/00/16/31/194001631.db2.gz UOTQXEGHRZDIBA-UHFFFAOYSA-N 0 0 274.280 2.510 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cn1nc(C2CC2)nc1C1CC1 ZINC000161440643 378848035 /nfs/dbraw/zinc/84/80/35/378848035.db2.gz RIQRQGRNRMKPHR-UHFFFAOYSA-N 0 0 284.319 2.989 20 5 CFBDRN Cc1nc(N[C@H](CO)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000161443515 378848433 /nfs/dbraw/zinc/84/84/33/378848433.db2.gz JMEKZCMOKJRTID-JTQLQIEISA-N 0 0 267.329 2.507 20 5 CFBDRN CC[C@@H](C)OCC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000161611780 378860906 /nfs/dbraw/zinc/86/09/06/378860906.db2.gz KMNMOCWMAPZHFD-VXGBXAGGSA-N 0 0 294.351 2.896 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)NCCCCCCO ZINC000162641398 378923050 /nfs/dbraw/zinc/92/30/50/378923050.db2.gz JGRDUMMLMYPMAG-UHFFFAOYSA-N 0 0 295.339 2.577 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNCc1cnccc1C ZINC000162750413 378930883 /nfs/dbraw/zinc/93/08/83/378930883.db2.gz KYOROFLZYOFMAB-UHFFFAOYSA-N 0 0 287.319 2.597 20 5 CFBDRN O=C(N[C@@H]1CCC[C@@H]1F)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000334219136 378941443 /nfs/dbraw/zinc/94/14/43/378941443.db2.gz FMXLOOHUWPFORD-VHSXEESVSA-N 0 0 286.690 2.869 20 5 CFBDRN CC(C)[C@@H]1N(C(=O)c2ccc([N+](=O)[O-])n2C)CC12CCC2 ZINC000334224812 378948391 /nfs/dbraw/zinc/94/83/91/378948391.db2.gz HLXINHHOAMHABL-ZDUSSCGKSA-N 0 0 291.351 2.584 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CCC(C)(C)O1 ZINC000278586918 194057210 /nfs/dbraw/zinc/05/72/10/194057210.db2.gz SRAOAAFIRHAUSQ-CYBMUJFWSA-N 0 0 292.335 2.511 20 5 CFBDRN C[C@H]1C[C@H](C)N(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000335265322 378988808 /nfs/dbraw/zinc/98/88/08/378988808.db2.gz UWJIAOOPQWJNJY-IUCAKERBSA-N 0 0 281.287 2.996 20 5 CFBDRN Cc1nnc([C@H](C)N(C)Cc2ccccc2[N+](=O)[O-])s1 ZINC000278614547 194069056 /nfs/dbraw/zinc/06/90/56/194069056.db2.gz MTXZYAINPPZJJO-VIFPVBQESA-N 0 0 292.364 2.948 20 5 CFBDRN C[C@H](NCc1cncs1)c1ccccc1[N+](=O)[O-] ZINC000163889248 378995215 /nfs/dbraw/zinc/99/52/15/378995215.db2.gz SQGWGCXHNBCGOW-VIFPVBQESA-N 0 0 263.322 2.902 20 5 CFBDRN Cn1c(C(=O)N2CCC[C@H]3CCCC[C@H]32)ccc1[N+](=O)[O-] ZINC000334262334 378997764 /nfs/dbraw/zinc/99/77/64/378997764.db2.gz ZJOYIORJQXGIJS-VXGBXAGGSA-N 0 0 291.351 2.728 20 5 CFBDRN C[C@@H](NCC(=O)OC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000164065492 379004146 /nfs/dbraw/zinc/00/41/46/379004146.db2.gz WNHNFFSSHYIDHQ-SNVBAGLBSA-N 0 0 280.324 2.587 20 5 CFBDRN O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC(F)(F)C1 ZINC000334279451 379024761 /nfs/dbraw/zinc/02/47/61/379024761.db2.gz FZWJJMMNQLYYRG-UHFFFAOYSA-N 0 0 290.653 2.729 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC[C@@H](F)C2)cc([N+](=O)[O-])c1 ZINC000334288397 379038499 /nfs/dbraw/zinc/03/84/99/379038499.db2.gz ZBGYOJHBTGKKPD-GHMZBOCLSA-N 0 0 266.272 2.524 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCCCCC1 ZINC000164847065 379026825 /nfs/dbraw/zinc/02/68/25/379026825.db2.gz VRQOGQQCJCZSPR-UHFFFAOYSA-N 0 0 278.308 2.620 20 5 CFBDRN Cc1cc(NC(=O)[C@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000334301048 379059054 /nfs/dbraw/zinc/05/90/54/379059054.db2.gz WKJMCYNJPQDGQL-VIFPVBQESA-N 0 0 266.322 2.595 20 5 CFBDRN Cc1cnc(CCN(C)c2nccc(C)c2[N+](=O)[O-])s1 ZINC000165983456 379045924 /nfs/dbraw/zinc/04/59/24/379045924.db2.gz MJDUQIJMSFWGKN-UHFFFAOYSA-N 0 0 292.364 2.742 20 5 CFBDRN CC(=O)c1cc(N2CC=C(C)CC2)ccc1[N+](=O)[O-] ZINC000167751818 379077762 /nfs/dbraw/zinc/07/77/62/379077762.db2.gz CMDYVZBWSRIIJG-UHFFFAOYSA-N 0 0 260.293 2.954 20 5 CFBDRN CCOc1cc(N2CCC[C@H](CO)CC2)ccc1[N+](=O)[O-] ZINC000338826641 220257478 /nfs/dbraw/zinc/25/74/78/220257478.db2.gz CJLNZVCHQKAWHN-LBPRGKRZSA-N 0 0 294.351 2.592 20 5 CFBDRN C[C@H](CNc1ncc([N+](=O)[O-])cc1F)[N@@H+]1CCC[C@H](C)C1 ZINC000571861374 383733901 /nfs/dbraw/zinc/73/39/01/383733901.db2.gz TYSAIISPUMUPAC-WDEREUQCSA-N 0 0 296.346 2.661 20 5 CFBDRN CCc1onc(C)c1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000339183552 220262157 /nfs/dbraw/zinc/26/21/57/220262157.db2.gz APAGPXJOTAHHDC-UHFFFAOYSA-N 0 0 293.254 2.845 20 5 CFBDRN O=C(c1csc([N+](=O)[O-])c1)N1CC2(CCC2(F)F)C1 ZINC000335342467 379126148 /nfs/dbraw/zinc/12/61/48/379126148.db2.gz XRQNKIMEVQOLFI-UHFFFAOYSA-N 0 0 288.275 2.528 20 5 CFBDRN CN(C(=O)[C@H]1CCC(C)(C)O1)c1cccc([N+](=O)[O-])c1 ZINC000278770683 194148228 /nfs/dbraw/zinc/14/82/28/194148228.db2.gz OKAWMQOVNUHFFN-GFCCVEGCSA-N 0 0 278.308 2.515 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1C(F)F ZINC000335366086 379168845 /nfs/dbraw/zinc/16/88/45/379168845.db2.gz RQSPKIWEUMRBEA-SNVBAGLBSA-N 0 0 285.250 2.856 20 5 CFBDRN CCN(C(=O)NCc1cccc([N+](=O)[O-])c1)C(C)C ZINC000171107584 379233203 /nfs/dbraw/zinc/23/32/03/379233203.db2.gz GBPFTBNQOJNJPJ-UHFFFAOYSA-N 0 0 265.313 2.535 20 5 CFBDRN CC(C)[C@@H]1CCN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000334398780 379228110 /nfs/dbraw/zinc/22/81/10/379228110.db2.gz DKWPNGGBWMSRLP-LBPRGKRZSA-N 0 0 287.319 2.947 20 5 CFBDRN O=C(N[C@H]1CCC[C@@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000335431759 379285045 /nfs/dbraw/zinc/28/50/45/379285045.db2.gz YMSLEWNWULQQBD-ZJUUUORDSA-N 0 0 266.272 2.605 20 5 CFBDRN CCc1[nH]nc(C(=O)Nc2cccc(C)c2C)c1[N+](=O)[O-] ZINC000171366772 379290833 /nfs/dbraw/zinc/29/08/33/379290833.db2.gz WSLLHFUMYZMXAI-UHFFFAOYSA-N 0 0 288.307 2.749 20 5 CFBDRN Cc1ccccc1Cn1cc([N+](=O)[O-])cc(Cl)c1=O ZINC000335411901 379245665 /nfs/dbraw/zinc/24/56/65/379245665.db2.gz GJPSEIQRFKLGJK-UHFFFAOYSA-N 0 0 278.695 2.767 20 5 CFBDRN CCN(C(=O)NCc1ccccc1[N+](=O)[O-])C(C)C ZINC000171250404 379263687 /nfs/dbraw/zinc/26/36/87/379263687.db2.gz DHOMHKDUNFBKOG-UHFFFAOYSA-N 0 0 265.313 2.535 20 5 CFBDRN CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C(C)(C)C ZINC000335430266 379283714 /nfs/dbraw/zinc/28/37/14/379283714.db2.gz NAYRZQPQGBYSNL-UHFFFAOYSA-N 0 0 254.261 2.604 20 5 CFBDRN CCCN(C(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000171431127 379308294 /nfs/dbraw/zinc/30/82/94/379308294.db2.gz YKXMYWNPTFAEIH-UHFFFAOYSA-N 0 0 291.351 2.721 20 5 CFBDRN C[C@@H]1CN(Cc2cccc([N+](=O)[O-])c2)CCS1 ZINC000171690673 379373663 /nfs/dbraw/zinc/37/36/63/379373663.db2.gz HRTCGKYNISSLDC-SNVBAGLBSA-N 0 0 252.339 2.532 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@@H](F)C1 ZINC000334510287 379422649 /nfs/dbraw/zinc/42/26/49/379422649.db2.gz OIPFTBNJGWUWOT-SSDOTTSWSA-N 0 0 287.678 2.824 20 5 CFBDRN CC1(C)CCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000171869631 379425998 /nfs/dbraw/zinc/42/59/98/379425998.db2.gz MPDBFRZLPBCAQI-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc([N+](=O)[O-])ccc1N(C)C ZINC000171875214 379427624 /nfs/dbraw/zinc/42/76/24/379427624.db2.gz MZBNYBMVTNGRFN-LLVKDONJSA-N 0 0 293.367 2.779 20 5 CFBDRN CCOC[C@@H]1CCCN(C(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000172081880 379488506 /nfs/dbraw/zinc/48/85/06/379488506.db2.gz BCVXZPUQRAKUDJ-SNVBAGLBSA-N 0 0 298.364 2.545 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)C2CCCC2)c1 ZINC000172090629 379490564 /nfs/dbraw/zinc/49/05/64/379490564.db2.gz NHQGCMXUYYUWOA-SNVBAGLBSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)C1CCCC1 ZINC000172098026 379492689 /nfs/dbraw/zinc/49/26/89/379492689.db2.gz NAXUBDPQDMFPLQ-MRVPVSSYSA-N 0 0 268.338 2.965 20 5 CFBDRN C[C@H](NC(=O)COc1cccc([N+](=O)[O-])c1)C1CCCC1 ZINC000172100369 379494932 /nfs/dbraw/zinc/49/49/32/379494932.db2.gz IHAZKSUSFWAILO-NSHDSACASA-N 0 0 292.335 2.669 20 5 CFBDRN CC(C)(C)C[C@H](O)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000172274627 379529626 /nfs/dbraw/zinc/52/96/26/379529626.db2.gz VVACCHWSFHJSGA-LBPRGKRZSA-N 0 0 295.339 2.513 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)C1CC=CC1 ZINC000334569298 379547683 /nfs/dbraw/zinc/54/76/83/379547683.db2.gz HIVGNLPYPZGIFU-UHFFFAOYSA-N 0 0 250.229 2.639 20 5 CFBDRN CCc1n[nH]c(C(=O)N[C@@H]2CCC[C@H](CC)C2)c1[N+](=O)[O-] ZINC000172717984 379631188 /nfs/dbraw/zinc/63/11/88/379631188.db2.gz GBOFLOKUFPBIOQ-VHSXEESVSA-N 0 0 294.355 2.579 20 5 CFBDRN O=C(c1cc([N+](=O)[O-])c[nH]1)N1CC[C@@H](C2CCCC2)C1 ZINC000335624042 379617644 /nfs/dbraw/zinc/61/76/44/379617644.db2.gz NZLJMJIDGCXGOD-LLVKDONJSA-N 0 0 277.324 2.575 20 5 CFBDRN C[C@@H]1CCCN(C(=O)COc2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000172659891 379617480 /nfs/dbraw/zinc/61/74/80/379617480.db2.gz FOJGHVVYLGUAFD-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@H](c1ccncc1)N(C)C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000172901596 379667705 /nfs/dbraw/zinc/66/77/05/379667705.db2.gz VJRIEKMJDLCSHA-GFCCVEGCSA-N 0 0 299.330 2.752 20 5 CFBDRN CCOCCN(CC)C(=O)c1ccc(CC)c([N+](=O)[O-])c1 ZINC000173395819 379735029 /nfs/dbraw/zinc/73/50/29/379735029.db2.gz CSUOUNXMYXPZAY-UHFFFAOYSA-N 0 0 294.351 2.656 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H]1C1CC1 ZINC000334668966 379739058 /nfs/dbraw/zinc/73/90/58/379739058.db2.gz IWDNIRWZIYLNQS-CYBMUJFWSA-N 0 0 260.293 2.528 20 5 CFBDRN COC[C@@H](C)SCc1cc([N+](=O)[O-])ccc1OC ZINC000173892507 379795269 /nfs/dbraw/zinc/79/52/69/379795269.db2.gz SVQMYCIPTBLKQP-SECBINFHSA-N 0 0 271.338 2.872 20 5 CFBDRN O=[N+]([O-])c1cnn(CCSCCOc2ccccc2)c1 ZINC000173922544 379798866 /nfs/dbraw/zinc/79/88/66/379798866.db2.gz AGIBDOQVYHLGIF-UHFFFAOYSA-N 0 0 293.348 2.604 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CCC[C@H]2F)ccc1[N+](=O)[O-] ZINC000335716773 379774947 /nfs/dbraw/zinc/77/49/47/379774947.db2.gz WWAHWEUPIISORE-MNOVXSKESA-N 0 0 266.272 2.524 20 5 CFBDRN CC1(C)[C@@H]2CN(C(=O)c3c(Cl)cccc3[N+](=O)[O-])C[C@@H]21 ZINC000174016285 379814780 /nfs/dbraw/zinc/81/47/80/379814780.db2.gz CVTFVCATNARYDB-DTORHVGOSA-N 0 0 294.738 2.976 20 5 CFBDRN C[C@@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)c1cccnc1 ZINC000174027852 379815541 /nfs/dbraw/zinc/81/55/41/379815541.db2.gz MTRGCVMZHKOGAA-LLVKDONJSA-N 0 0 297.314 2.683 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000174066749 379822444 /nfs/dbraw/zinc/82/24/44/379822444.db2.gz HCJQQPJJUORNSD-BETUJISGSA-N 0 0 274.320 2.631 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])[nH]1)N1CCc2ccc(Cl)cc21 ZINC000334769935 379917587 /nfs/dbraw/zinc/91/75/87/379917587.db2.gz LWCZRZVCEKWQGA-UHFFFAOYSA-N 0 0 291.694 2.779 20 5 CFBDRN CSc1cccc(C(=O)N2CC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000335784265 379881181 /nfs/dbraw/zinc/88/11/81/379881181.db2.gz PPPHSKJYQFQTJC-SECBINFHSA-N 0 0 280.349 2.799 20 5 CFBDRN C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1(F)F ZINC000334760226 379898724 /nfs/dbraw/zinc/89/87/24/379898724.db2.gz GCPQCGWOHHATHQ-ZETCQYMHSA-N 0 0 290.291 2.774 20 5 CFBDRN Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2)c1O ZINC000174947541 379966832 /nfs/dbraw/zinc/96/68/32/379966832.db2.gz WFTPXYMXOQVGAK-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2)c1O ZINC000174962847 379969995 /nfs/dbraw/zinc/96/99/95/379969995.db2.gz BJLSPNRVLICRKR-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN CO[C@@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)C(C)(C)C ZINC000174773725 379937377 /nfs/dbraw/zinc/93/73/77/379937377.db2.gz WUZISCHSXQBKPI-ZDUSSCGKSA-N 0 0 294.351 2.694 20 5 CFBDRN CO[C@H](CNC(=O)c1cccc([N+](=O)[O-])c1C)C(C)(C)C ZINC000174773738 379937760 /nfs/dbraw/zinc/93/77/60/379937760.db2.gz WUZISCHSXQBKPI-CYBMUJFWSA-N 0 0 294.351 2.694 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1ccc(F)c(F)c1 ZINC000174830603 379948249 /nfs/dbraw/zinc/94/82/49/379948249.db2.gz BUXIYCIETHLQGJ-UHFFFAOYSA-N 0 0 296.277 2.596 20 5 CFBDRN CCC[C@@]1(C)CCC[N@H+](CCn2cc([N+](=O)[O-])cn2)C1 ZINC000174882175 379955251 /nfs/dbraw/zinc/95/52/51/379955251.db2.gz VHUBQDZJHVISKI-AWEZNQCLSA-N 0 0 280.372 2.694 20 5 CFBDRN CCOc1cc(N2CCS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000174914343 379961553 /nfs/dbraw/zinc/96/15/53/379961553.db2.gz LHWJFWVNQDXJSU-SNVBAGLBSA-N 0 0 282.365 2.935 20 5 CFBDRN CN(Cc1nccs1)Cc1ccccc1[N+](=O)[O-] ZINC000175073571 379990029 /nfs/dbraw/zinc/99/00/29/379990029.db2.gz CQTBUDZPOSODCZ-UHFFFAOYSA-N 0 0 263.322 2.683 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N1CCS[C@H](C)C1 ZINC000175092103 379993577 /nfs/dbraw/zinc/99/35/77/379993577.db2.gz COSDSDCWDXHOBJ-SNVBAGLBSA-N 0 0 282.365 2.683 20 5 CFBDRN Cc1cc(C(=O)N[C@H]2CS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000335202523 380000446 /nfs/dbraw/zinc/00/04/46/380000446.db2.gz FYDRZOLAEXVCKC-MWLCHTKSSA-N 0 0 280.349 2.527 20 5 CFBDRN C[C@@H]1CCCCCN1C(=O)c1cccc([N+](=O)[O-])c1N ZINC000157342712 296304412 /nfs/dbraw/zinc/30/44/12/296304412.db2.gz CKZURGBXIMXPKA-SNVBAGLBSA-N 0 0 277.324 2.582 20 5 CFBDRN C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C(C)(F)F ZINC000335899872 380074451 /nfs/dbraw/zinc/07/44/51/380074451.db2.gz PXTZOFKCRFHFGE-VIFPVBQESA-N 0 0 298.289 2.771 20 5 CFBDRN Cc1c(CNC(=O)N2CC[C@](C)(F)C2)cccc1[N+](=O)[O-] ZINC000335903484 380080073 /nfs/dbraw/zinc/08/00/73/380080073.db2.gz KFJUWYVLGAHRJE-AWEZNQCLSA-N 0 0 295.314 2.547 20 5 CFBDRN C[C@@H](N(C)C(=O)c1ccc([N+](=O)[O-])cc1F)C1(C)CC1 ZINC000175626073 380102282 /nfs/dbraw/zinc/10/22/82/380102282.db2.gz MFZKINAWDARVCM-SECBINFHSA-N 0 0 280.299 2.995 20 5 CFBDRN O=C([C@H]1C[C@@H]1C(F)F)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000335922702 380112653 /nfs/dbraw/zinc/11/26/53/380112653.db2.gz GPWUMBSDLKHUOJ-QWRGUYRKSA-N 0 0 296.273 2.775 20 5 CFBDRN C[C@H](c1ccccc1[N+](=O)[O-])N1CCC(F)(F)C1 ZINC000335957907 380160777 /nfs/dbraw/zinc/16/07/77/380160777.db2.gz MPAAUWUBDVUQLN-SECBINFHSA-N 0 0 256.252 2.997 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000335964436 380169274 /nfs/dbraw/zinc/16/92/74/380169274.db2.gz AVKFDXYEDBIXAQ-GXSJLCMTSA-N 0 0 281.287 2.915 20 5 CFBDRN Cc1ccc2c(c1)N(CCn1ccc([N+](=O)[O-])n1)CCC2 ZINC000176239216 380199964 /nfs/dbraw/zinc/19/99/64/380199964.db2.gz GWHBCMAOHMVHAJ-UHFFFAOYSA-N 0 0 286.335 2.553 20 5 CFBDRN Cc1ccc(NC(=O)N2CCC(F)(F)C2)cc1[N+](=O)[O-] ZINC000335953929 380154567 /nfs/dbraw/zinc/15/45/67/380154567.db2.gz IWPZNLAWADNRRB-UHFFFAOYSA-N 0 0 285.250 2.776 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CC[C@@H]1CCOC1 ZINC000176548746 380253728 /nfs/dbraw/zinc/25/37/28/380253728.db2.gz DHJFIKPUUBCIQK-GFCCVEGCSA-N 0 0 292.335 2.967 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@@H]1CCOC1 ZINC000176547871 380253863 /nfs/dbraw/zinc/25/38/63/380253863.db2.gz LRUACLFFXKIXLN-LLVKDONJSA-N 0 0 278.308 2.658 20 5 CFBDRN COC(=O)[C@H](C)SCc1c(F)cccc1[N+](=O)[O-] ZINC000177237805 380320667 /nfs/dbraw/zinc/32/06/67/380320667.db2.gz MWNMQSOFNDLQKL-ZETCQYMHSA-N 0 0 273.285 2.529 20 5 CFBDRN O=[N+]([O-])c1ccc(CS(=O)(=O)c2cccs2)cc1 ZINC000176896862 380281525 /nfs/dbraw/zinc/28/15/25/380281525.db2.gz KUBMCSCWEGFDEY-UHFFFAOYSA-N 0 0 283.330 2.630 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2C[C@H]2c2ccc(F)cc2)c1[N+](=O)[O-] ZINC000279052049 194265293 /nfs/dbraw/zinc/26/52/93/194265293.db2.gz KERGGHVKWGSNTA-NWDGAFQWSA-N 0 0 290.298 2.744 20 5 CFBDRN CO[C@H](C)c1noc(COc2cc(C)ccc2[N+](=O)[O-])n1 ZINC000177208163 380315157 /nfs/dbraw/zinc/31/51/57/380315157.db2.gz DYXULJBJPUOKAS-SECBINFHSA-N 0 0 293.279 2.573 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)co1 ZINC000336502207 380375090 /nfs/dbraw/zinc/37/50/90/380375090.db2.gz DZCWDDDBJCQMLG-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N1CC2(C1)CCCC2 ZINC000336516955 380396520 /nfs/dbraw/zinc/39/65/20/380396520.db2.gz UGNZHFVHHKAGRT-UHFFFAOYSA-N 0 0 274.320 2.540 20 5 CFBDRN COCC1(COc2ccccc2[N+](=O)[O-])CCC1 ZINC000292013049 284826787 /nfs/dbraw/zinc/82/67/87/284826787.db2.gz VYWJGPMULZEUGT-UHFFFAOYSA-N 0 0 251.282 2.790 20 5 CFBDRN O=C(N[C@H]1CC12CCCC2)c1csc([N+](=O)[O-])c1 ZINC000336543025 380442671 /nfs/dbraw/zinc/44/26/71/380442671.db2.gz PWJVGIHLQOEINW-VIFPVBQESA-N 0 0 266.322 2.719 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CC[C@@H]2CCC[C@H]21 ZINC000336548230 380450080 /nfs/dbraw/zinc/45/00/80/380450080.db2.gz UAHNQHWRTXLLQQ-WCQYABFASA-N 0 0 289.335 2.679 20 5 CFBDRN CC(C)c1noc(OCc2ccc([N+](=O)[O-])cc2)n1 ZINC000264487812 383746471 /nfs/dbraw/zinc/74/64/71/383746471.db2.gz CFCRNKLRCWOGPO-UHFFFAOYSA-N 0 0 263.253 2.680 20 5 CFBDRN Cc1c(CC(=O)N2C[C@H](C)C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000336530391 380422182 /nfs/dbraw/zinc/42/21/82/380422182.db2.gz PPZLDOKYFLJYDB-MNOVXSKESA-N 0 0 276.336 2.703 20 5 CFBDRN CC[C@@]1(C)CCN(C(=O)c2ccc([N+](=O)[O-])s2)C1 ZINC000336575074 380489349 /nfs/dbraw/zinc/48/93/49/380489349.db2.gz AZNVABLHAWUUDX-LBPRGKRZSA-N 0 0 268.338 2.919 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1CCCC(F)(F)C1 ZINC000336576379 380492458 /nfs/dbraw/zinc/49/24/58/380492458.db2.gz AOMNGMAANQYWCH-SNVBAGLBSA-N 0 0 286.278 2.890 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@](C)(F)C1 ZINC000336581822 380501607 /nfs/dbraw/zinc/50/16/07/380501607.db2.gz DSEHDXSZUQVFEO-ZDUSSCGKSA-N 0 0 281.287 2.869 20 5 CFBDRN Cc1ccc(C(=O)N2CC(C(F)(F)F)C2)cc1[N+](=O)[O-] ZINC000336588210 380509862 /nfs/dbraw/zinc/50/98/62/380509862.db2.gz XQDQUSUGVSLXIJ-UHFFFAOYSA-N 0 0 288.225 2.538 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)CC(C)C ZINC000336786847 380632728 /nfs/dbraw/zinc/63/27/28/380632728.db2.gz CSWWXXQZEMCRHN-VIFPVBQESA-N 0 0 254.334 2.966 20 5 CFBDRN COCC(C)(C)CNc1cccc(C)c1[N+](=O)[O-] ZINC000336792646 380639032 /nfs/dbraw/zinc/63/90/32/380639032.db2.gz SWPXFQWRVJNPLV-UHFFFAOYSA-N 0 0 252.314 2.988 20 5 CFBDRN COCC(C)(C)CNc1ccc([N+](=O)[O-])cc1C ZINC000336792063 380639953 /nfs/dbraw/zinc/63/99/53/380639953.db2.gz QHQFXXLULYVHGJ-UHFFFAOYSA-N 0 0 252.314 2.988 20 5 CFBDRN Cc1c(N[C@H](C)c2cccc([N+](=O)[O-])c2)cnn1C ZINC000179867068 380589733 /nfs/dbraw/zinc/58/97/33/380589733.db2.gz VUKVHWLNGROIAP-SECBINFHSA-N 0 0 260.297 2.810 20 5 CFBDRN C[C@H]1CC[C@H]1Nc1nc2sccn2c1[N+](=O)[O-] ZINC000336716433 380608504 /nfs/dbraw/zinc/60/85/04/380608504.db2.gz TTWGISVXRIGWFW-NKWVEPMBSA-N 0 0 252.299 2.514 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2CCOC3(CCCC3)C2)nc1 ZINC000268961275 190456238 /nfs/dbraw/zinc/45/62/38/190456238.db2.gz LDRGZTBZDBCXRB-LLVKDONJSA-N 0 0 277.324 2.894 20 5 CFBDRN Cc1ccc([C@@H](C)NC(=O)c2c(N)cccc2[N+](=O)[O-])cc1 ZINC000180911913 380711814 /nfs/dbraw/zinc/71/18/14/380711814.db2.gz RIAYSDYBMYRWHY-LLVKDONJSA-N 0 0 299.330 2.976 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CNC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000180977728 380719656 /nfs/dbraw/zinc/71/96/56/380719656.db2.gz UGQYWMYGGDWMNB-MNOVXSKESA-N 0 0 291.351 2.733 20 5 CFBDRN Cc1csc(=O)n1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000180987140 380720839 /nfs/dbraw/zinc/72/08/39/380720839.db2.gz GHOVCHCATFYLJW-UHFFFAOYSA-N 0 0 284.724 2.828 20 5 CFBDRN CO[C@@H](C)CCC(=O)N1c2ccc([N+](=O)[O-])cc2C[C@H]1C ZINC000181024233 380726027 /nfs/dbraw/zinc/72/60/27/380726027.db2.gz JNQUPGAPDXPZDP-MNOVXSKESA-N 0 0 292.335 2.688 20 5 CFBDRN Cc1nc(C)c(C(=O)OCc2ccc([N+](=O)[O-])c(C)c2)o1 ZINC000181308007 380773209 /nfs/dbraw/zinc/77/32/09/380773209.db2.gz SPSVRKWWPYCDFB-UHFFFAOYSA-N 0 0 290.275 2.865 20 5 CFBDRN CC(=O)c1cc(N[C@H](C)[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000287305351 380799237 /nfs/dbraw/zinc/79/92/37/380799237.db2.gz MYGYWQFSJGTQPI-MWLCHTKSSA-N 0 0 278.308 2.634 20 5 CFBDRN C[C@H](O)C[C@H](C)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287330617 380806784 /nfs/dbraw/zinc/80/67/84/380806784.db2.gz OIPCFGUPCXPVOP-IUCAKERBSA-N 0 0 256.277 2.553 20 5 CFBDRN CC(=O)c1cc(N[C@H](CO)CC2CCC2)ccc1[N+](=O)[O-] ZINC000287563095 380869359 /nfs/dbraw/zinc/86/93/59/380869359.db2.gz CSFHAMCUWBMMHU-ZDUSSCGKSA-N 0 0 292.335 2.760 20 5 CFBDRN CC(=O)c1ccc(OCCOCC2CC2)c([N+](=O)[O-])c1 ZINC000181722876 380877717 /nfs/dbraw/zinc/87/77/17/380877717.db2.gz MTLVVNAMHAUGIL-UHFFFAOYSA-N 0 0 279.292 2.603 20 5 CFBDRN CC(C)CN(C)C(=O)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000341200413 380828381 /nfs/dbraw/zinc/82/83/81/380828381.db2.gz ZHIRPXYOXZGHPZ-UHFFFAOYSA-N 0 0 286.278 2.530 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1Cc2ccccc21 ZINC000358426641 380839943 /nfs/dbraw/zinc/83/99/43/380839943.db2.gz UFBUWQPWTIEXEQ-MRXNPFEDSA-N 0 0 296.326 2.593 20 5 CFBDRN C[C@@H]1CC[C@H](N(C)C(=O)COc2ccccc2[N+](=O)[O-])C1 ZINC000346979937 380841094 /nfs/dbraw/zinc/84/10/94/380841094.db2.gz OJYAWCAIZUGZJW-NEPJUHHUSA-N 0 0 292.335 2.621 20 5 CFBDRN CCC[C@](C)(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000287494052 380847016 /nfs/dbraw/zinc/84/70/16/380847016.db2.gz UIUNUVGGGQHEKJ-LBPRGKRZSA-N 0 0 256.277 2.697 20 5 CFBDRN CCOC(=O)[C@H](NCc1ccccc1[N+](=O)[O-])[C@H](C)CC ZINC000181648340 380853812 /nfs/dbraw/zinc/85/38/12/380853812.db2.gz MYWATFZJYZLJIZ-BXUZGUMPSA-N 0 0 294.351 2.662 20 5 CFBDRN CC(=O)c1cc(NC[C@@H]2CCSC2)ccc1[N+](=O)[O-] ZINC000287745266 380927935 /nfs/dbraw/zinc/92/79/35/380927935.db2.gz MKDPBPCPBJVJDT-JTQLQIEISA-N 0 0 280.349 2.962 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCC[C@@H](O)C(F)(F)F)c1 ZINC000358494270 380881953 /nfs/dbraw/zinc/88/19/53/380881953.db2.gz HNSPSPMMRHJUEG-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN CC1(C)C[C@H](O)CCN(c2cccc(F)c2[N+](=O)[O-])C1 ZINC000295484034 380887649 /nfs/dbraw/zinc/88/76/49/380887649.db2.gz ACQCULHQPBVYGF-SNVBAGLBSA-N 0 0 282.315 2.721 20 5 CFBDRN CC[C@H](CNc1ncc([N+](=O)[O-])s1)CC(F)(F)F ZINC000402626483 380889222 /nfs/dbraw/zinc/88/92/22/380889222.db2.gz BIENIBGZZIXKLK-LURJTMIESA-N 0 0 283.275 2.864 20 5 CFBDRN C[C@H]1CN(Cc2ccccc2[N+](=O)[O-])C[C@H](C2CC2)O1 ZINC000279240352 194347513 /nfs/dbraw/zinc/34/75/13/194347513.db2.gz FXFZWMILTDIIIZ-XHDPSFHLSA-N 0 0 276.336 2.594 20 5 CFBDRN Cc1nn(CC(=O)c2c(C)cc(C)cc2C)cc1[N+](=O)[O-] ZINC000295498849 380898133 /nfs/dbraw/zinc/89/81/33/380898133.db2.gz ZVRYRCRLKRSAQI-UHFFFAOYSA-N 0 0 287.319 2.908 20 5 CFBDRN CC/C=C/CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000295503241 380899337 /nfs/dbraw/zinc/89/93/37/380899337.db2.gz CFBUUSXQZCGDRV-AATRIKPKSA-N 0 0 276.336 2.955 20 5 CFBDRN Cc1nn([C@@H](C)C(=O)Nc2ccccc2C)cc1[N+](=O)[O-] ZINC000181810855 380902601 /nfs/dbraw/zinc/90/26/01/380902601.db2.gz JRHYTRGODMVKOW-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN CN(CCOCC1CC1)c1c(F)cccc1[N+](=O)[O-] ZINC000287668736 380904452 /nfs/dbraw/zinc/90/44/52/380904452.db2.gz BUVNRPQMKJCYRV-UHFFFAOYSA-N 0 0 268.288 2.597 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CCSC1 ZINC000287685980 380908730 /nfs/dbraw/zinc/90/87/30/380908730.db2.gz JQYMNVWUBBGSOT-JTQLQIEISA-N 0 0 280.349 2.962 20 5 CFBDRN Cc1cnc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)n1C ZINC000341347147 380955390 /nfs/dbraw/zinc/95/53/90/380955390.db2.gz KAFDGBHFETZLMW-LBPRGKRZSA-N 0 0 288.351 2.796 20 5 CFBDRN CC(C)(CCC(=O)Nc1cc(F)cc(F)c1F)[N+](=O)[O-] ZINC000295585018 380957370 /nfs/dbraw/zinc/95/73/70/380957370.db2.gz VZHNWSAWOCFMPY-UHFFFAOYSA-N 0 0 290.241 2.878 20 5 CFBDRN CC(C)C[C@H](C)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347135280 380963023 /nfs/dbraw/zinc/96/30/23/380963023.db2.gz NBOAJNAVVUGXBU-QMMMGPOBSA-N 0 0 279.300 2.528 20 5 CFBDRN COc1ccc2c(c1)ncc([N+](=O)[O-])c2N1CCCC1 ZINC000295595652 380965243 /nfs/dbraw/zinc/96/52/43/380965243.db2.gz XTTWMOPEKLQNLX-UHFFFAOYSA-N 0 0 273.292 2.752 20 5 CFBDRN CSC1(CNc2ccc(N)cc2[N+](=O)[O-])CCC1 ZINC000287892634 380965664 /nfs/dbraw/zinc/96/56/64/380965664.db2.gz UZQRZHYUQLNWDK-UHFFFAOYSA-N 0 0 267.354 2.875 20 5 CFBDRN CCCC(C)(C)Cc1nc(-c2c([N+](=O)[O-])ncn2C)no1 ZINC000347145267 380970316 /nfs/dbraw/zinc/97/03/16/380970316.db2.gz YQKDRCSNUOEOKD-UHFFFAOYSA-N 0 0 293.327 2.747 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NOC[C@H]1CCOC1 ZINC000287914363 380971432 /nfs/dbraw/zinc/97/14/32/380971432.db2.gz WFMMRDWGJGFOTJ-QMMMGPOBSA-N 0 0 272.688 2.628 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1C[C@@H]1C(F)F ZINC000353936791 380972460 /nfs/dbraw/zinc/97/24/60/380972460.db2.gz BRWFWMSSRDBKRS-ONGXEEELSA-N 0 0 299.277 2.932 20 5 CFBDRN CC(C)CN(C)C(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F ZINC000279287808 194369396 /nfs/dbraw/zinc/36/93/96/194369396.db2.gz YHKZXNIEMBRXJS-UHFFFAOYSA-N 0 0 297.330 2.528 20 5 CFBDRN CC1(C)CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])[nH]2)C1 ZINC000353946869 380979084 /nfs/dbraw/zinc/97/90/84/380979084.db2.gz WMSPHOIBEYTOIZ-VIFPVBQESA-N 0 0 265.313 2.622 20 5 CFBDRN COc1cc(C(=O)N[C@@H](C)CC(C)C)c([N+](=O)[O-])cc1F ZINC000295621821 380980609 /nfs/dbraw/zinc/98/06/09/380980609.db2.gz ZWPVCGYKBONXFJ-VIFPVBQESA-N 0 0 298.314 2.907 20 5 CFBDRN CO[C@@H]1CCN(c2ncc([N+](=O)[O-])cc2C)CC1(C)C ZINC000295678586 381011947 /nfs/dbraw/zinc/01/19/47/381011947.db2.gz GVVIZHLWDBNJPU-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN C[C@@H]1CC=CC[C@@H]1C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000341439067 381016084 /nfs/dbraw/zinc/01/60/84/381016084.db2.gz XBUCCIMQDDSAPR-DOMZBBRYSA-N 0 0 288.347 2.856 20 5 CFBDRN Cn1ccnc1[C@@H]1CCCN1Cc1cccc([N+](=O)[O-])c1 ZINC000368429028 381021480 /nfs/dbraw/zinc/02/14/80/381021480.db2.gz KOUWKPJDDSNGHF-AWEZNQCLSA-N 0 0 286.335 2.665 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CNc1cc(C)on1 ZINC000182218963 381027312 /nfs/dbraw/zinc/02/73/12/381027312.db2.gz GMLJLVZXVSBKOT-UHFFFAOYSA-N 0 0 277.280 2.902 20 5 CFBDRN Cc1ccncc1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000347181079 380987093 /nfs/dbraw/zinc/98/70/93/380987093.db2.gz JVJXSIXOQSIDOC-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CO[C@H](CNc1ncnc2sc([N+](=O)[O-])cc21)C(C)C ZINC000287987154 380988035 /nfs/dbraw/zinc/98/80/35/380988035.db2.gz ASYZCMDAHNJMOV-SECBINFHSA-N 0 0 296.352 2.682 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cn1)Cc1ccccc1 ZINC000182100071 380992040 /nfs/dbraw/zinc/99/20/40/380992040.db2.gz KGRZOCRQHLZFFN-UHFFFAOYSA-N 0 0 288.328 2.894 20 5 CFBDRN COc1cccc(N2CC[C@@H](OC)C(C)(C)C2)c1[N+](=O)[O-] ZINC000295643103 380993507 /nfs/dbraw/zinc/99/35/07/380993507.db2.gz CHXIMAOSSVXXTB-CYBMUJFWSA-N 0 0 294.351 2.855 20 5 CFBDRN Cc1ccc(C(=O)N[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] ZINC000288602052 197124811 /nfs/dbraw/zinc/12/48/11/197124811.db2.gz MOXUXAJUSDWFRD-ZETCQYMHSA-N 0 0 276.214 2.584 20 5 CFBDRN O=C(N[C@H](C1CC1)C(F)(F)F)c1ccc([N+](=O)[O-])s1 ZINC000288031287 380995987 /nfs/dbraw/zinc/99/59/87/380995987.db2.gz AMFHHVGXCYDQJX-MRVPVSSYSA-N 0 0 294.254 2.727 20 5 CFBDRN CC(C)OCCCCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000182353736 381072316 /nfs/dbraw/zinc/07/23/16/381072316.db2.gz WITJYFMSGIEGNX-UHFFFAOYSA-N 0 0 286.353 2.591 20 5 CFBDRN C[C@H]1OCCN(Cc2ccc([N+](=O)[O-])cc2Cl)[C@H]1C ZINC000182236704 381034263 /nfs/dbraw/zinc/03/42/63/381034263.db2.gz LKSUNXJULSVKDQ-VHSXEESVSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)CC[C@@H]2CCCO2)n1 ZINC000341474370 381034614 /nfs/dbraw/zinc/03/46/14/381034614.db2.gz CYPINMZXPUVTHF-LBPRGKRZSA-N 0 0 279.340 2.612 20 5 CFBDRN CC1(C)CN(C(=O)Nc2ccncc2[N+](=O)[O-])[C@H]1C1CC1 ZINC000289491628 381036536 /nfs/dbraw/zinc/03/65/36/381036536.db2.gz ABJUVBMATNJWCJ-LBPRGKRZSA-N 0 0 290.323 2.642 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCO[C@@H](C3CCC3)C2)c(F)c1 ZINC000411869857 381040569 /nfs/dbraw/zinc/04/05/69/381040569.db2.gz FQRSMKHAPJOKEP-OAHLLOKOSA-N 0 0 294.326 2.735 20 5 CFBDRN Cc1cccc(CSc2c([N+](=O)[O-])ncn2C)c1 ZINC000295804507 381086120 /nfs/dbraw/zinc/08/61/20/381086120.db2.gz STNUGGZAMXJKHI-UHFFFAOYSA-N 0 0 263.322 2.929 20 5 CFBDRN CC(C)[C@@H](C)S(=O)(=O)Cc1ccccc1[N+](=O)[O-] ZINC000295812355 381090385 /nfs/dbraw/zinc/09/03/85/381090385.db2.gz FULSYCBTTITMCL-SNVBAGLBSA-N 0 0 271.338 2.554 20 5 CFBDRN Cc1ncc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c(C)n1 ZINC000182484582 381096911 /nfs/dbraw/zinc/09/69/11/381096911.db2.gz OHNWZGSRDNPKDZ-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cc1ccc(F)c(CN(C)C(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000347362777 381099429 /nfs/dbraw/zinc/09/94/29/381099429.db2.gz KVOCEZSOLQCIDV-UHFFFAOYSA-N 0 0 292.266 2.908 20 5 CFBDRN CC(C)N(C)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000182503004 381100809 /nfs/dbraw/zinc/10/08/09/381100809.db2.gz ZSFTWCSAYDYIRI-SECBINFHSA-N 0 0 268.288 2.704 20 5 CFBDRN O=C(NCC1CC(F)(F)C1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000358821621 381104548 /nfs/dbraw/zinc/10/45/48/381104548.db2.gz WHEPGLVFSXCRCL-UHFFFAOYSA-N 0 0 288.225 2.509 20 5 CFBDRN CCOCC(C)(C)CNC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000289712667 381117657 /nfs/dbraw/zinc/11/76/57/381117657.db2.gz IYRVHGPLHDIDQC-UHFFFAOYSA-N 0 0 295.339 2.779 20 5 CFBDRN CCn1ccnc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1C ZINC000295854003 381118925 /nfs/dbraw/zinc/11/89/25/381118925.db2.gz BCTJRHOXQMOBBP-LBPRGKRZSA-N 0 0 288.351 2.970 20 5 CFBDRN COCCC1(CNc2c([N+](=O)[O-])c(C)nn2C)CCCC1 ZINC000301677573 284865095 /nfs/dbraw/zinc/86/50/95/284865095.db2.gz SYYISYMRCLSUSE-UHFFFAOYSA-N 0 0 296.371 2.646 20 5 CFBDRN CCC[C@@H](C)CC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000354220758 381148320 /nfs/dbraw/zinc/14/83/20/381148320.db2.gz RCDBVVAYAFZHKN-GFCCVEGCSA-N 0 0 293.367 2.949 20 5 CFBDRN CC(C)c1noc(CN2CCc3ccc([N+](=O)[O-])cc32)n1 ZINC000182745133 381149862 /nfs/dbraw/zinc/14/98/62/381149862.db2.gz QKUUPSCAASJQNW-UHFFFAOYSA-N 0 0 288.307 2.664 20 5 CFBDRN CCC(=O)c1ccc(NC[C@H]2CC[C@H](O)C2)c([N+](=O)[O-])c1 ZINC000358914958 381152148 /nfs/dbraw/zinc/15/21/48/381152148.db2.gz MCGNZMWABUIRQU-JQWIXIFHSA-N 0 0 292.335 2.760 20 5 CFBDRN CCC1CCN(c2c([N+](=O)[O-])c(C)nn2CC)CC1 ZINC000358924668 381159078 /nfs/dbraw/zinc/15/90/78/381159078.db2.gz DCPYXROHUIIYSP-UHFFFAOYSA-N 0 0 266.345 2.746 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)C1(C)CC1 ZINC000341623389 381132731 /nfs/dbraw/zinc/13/27/31/381132731.db2.gz XVSIVTQHSSPXKY-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN CC(F)(F)CCNC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000295961120 381182754 /nfs/dbraw/zinc/18/27/54/381182754.db2.gz NBWAZLUOYCJCGU-QPJJXVBHSA-N 0 0 284.262 2.770 20 5 CFBDRN CCn1cc([C@@H](C)Nc2ccc([N+](=O)[O-])c(C)n2)cn1 ZINC000295989024 381201239 /nfs/dbraw/zinc/20/12/39/381201239.db2.gz LUSRFQWEBIUHBT-SECBINFHSA-N 0 0 275.312 2.688 20 5 CFBDRN COCCN(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000289889798 381204115 /nfs/dbraw/zinc/20/41/15/381204115.db2.gz MHWNZKGIKYPZBU-UHFFFAOYSA-N 0 0 293.150 2.980 20 5 CFBDRN CC(C)[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)C(C)(C)O ZINC000359080388 381251907 /nfs/dbraw/zinc/25/19/07/381251907.db2.gz ITVMIUCGOBOLGW-LBPRGKRZSA-N 0 0 295.339 2.512 20 5 CFBDRN CCC[C@H](CCO)CNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359081176 381251925 /nfs/dbraw/zinc/25/19/25/381251925.db2.gz IVCILXQUMIEVBH-GFCCVEGCSA-N 0 0 281.356 2.817 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N(C)CCc2nccs2)n1 ZINC000359080648 381252169 /nfs/dbraw/zinc/25/21/69/381252169.db2.gz GMGFDHCHABENSE-UHFFFAOYSA-N 0 0 292.364 2.742 20 5 CFBDRN Cc1ncc(CNc2nc(C)cc(C)c2[N+](=O)[O-])s1 ZINC000359083294 381253674 /nfs/dbraw/zinc/25/36/74/381253674.db2.gz NWOLSSZURJRSAJ-UHFFFAOYSA-N 0 0 278.337 2.984 20 5 CFBDRN C[C@H](C(=O)NC(C)(C)CF)c1ccc([N+](=O)[O-])cc1F ZINC000354419117 381254926 /nfs/dbraw/zinc/25/49/26/381254926.db2.gz UEBYFXUGUMAKMT-QMMMGPOBSA-N 0 0 286.278 2.702 20 5 CFBDRN Cc1ccc(NCc2nnc(C3CC3)n2C)c([N+](=O)[O-])c1 ZINC000359083437 381255230 /nfs/dbraw/zinc/25/52/30/381255230.db2.gz OLNBFGBFKXJOHO-UHFFFAOYSA-N 0 0 287.323 2.521 20 5 CFBDRN Cc1n[nH]cc1CCCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359084954 381255320 /nfs/dbraw/zinc/25/53/20/381255320.db2.gz RTSXRDYAETYBGE-UHFFFAOYSA-N 0 0 289.339 2.683 20 5 CFBDRN CCN(CC(C)C)C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000354431793 381259870 /nfs/dbraw/zinc/25/98/70/381259870.db2.gz SHPDVLLMSNWUQP-UHFFFAOYSA-N 0 0 280.324 2.722 20 5 CFBDRN C[C@H]1CC/C(=C\C(=O)N(C)c2ccc([N+](=O)[O-])nc2)C1 ZINC000288726009 197153110 /nfs/dbraw/zinc/15/31/10/197153110.db2.gz GGZAIUXPHHBQFU-NGPGYTDTSA-N 0 0 275.308 2.699 20 5 CFBDRN Cc1oc(C(C)C)cc1C(=O)Nc1c([N+](=O)[O-])cnn1C ZINC000290021274 381264947 /nfs/dbraw/zinc/26/49/47/381264947.db2.gz STKDXQFPYGSVTI-UHFFFAOYSA-N 0 0 292.295 2.605 20 5 CFBDRN CSCCCNc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359100764 381265732 /nfs/dbraw/zinc/26/57/32/381265732.db2.gz PTRGEJZOVATVRH-UHFFFAOYSA-N 0 0 255.343 2.772 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H](O)c3ccccc3C2)n1 ZINC000369285317 381226340 /nfs/dbraw/zinc/22/63/40/381226340.db2.gz VZTUYHNLZPOKMF-HNNXBMFYSA-N 0 0 299.330 2.742 20 5 CFBDRN CC[C@@H](C)[C@@H](C)C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000183180394 381229417 /nfs/dbraw/zinc/22/94/17/381229417.db2.gz FYTCFALJCUJPAI-GHMZBOCLSA-N 0 0 264.325 2.893 20 5 CFBDRN COC(=O)c1cc(N[C@@H]2CC2(C)C)cc(C)c1[N+](=O)[O-] ZINC000354384461 381238101 /nfs/dbraw/zinc/23/81/01/381238101.db2.gz JIKPBEJBYVOIFO-LLVKDONJSA-N 0 0 278.308 2.900 20 5 CFBDRN CCC1(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CC1 ZINC000296066168 381240157 /nfs/dbraw/zinc/24/01/57/381240157.db2.gz GGVCBJOLGWFNRH-UHFFFAOYSA-N 0 0 268.700 2.921 20 5 CFBDRN COc1cc(C)cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1C ZINC000347592757 381242587 /nfs/dbraw/zinc/24/25/87/381242587.db2.gz RIAVCZGALFRSQE-UHFFFAOYSA-N 0 0 289.291 2.801 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)Nc2ccncc2[N+](=O)[O-])[C@H](C)C1 ZINC000183594041 381291378 /nfs/dbraw/zinc/29/13/78/381291378.db2.gz LSVHZIJWNQCNGX-MXWKQRLJSA-N 0 0 292.339 2.936 20 5 CFBDRN CC(C)[C@@H](O)CCNc1c(F)cccc1[N+](=O)[O-] ZINC000342353634 381292995 /nfs/dbraw/zinc/29/29/95/381292995.db2.gz WVXHKXHLIPEHDC-NSHDSACASA-N 0 0 256.277 2.553 20 5 CFBDRN CCC[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1)OC ZINC000296197427 381296203 /nfs/dbraw/zinc/29/62/03/381296203.db2.gz NFIIUNPNAIONPW-GFCCVEGCSA-N 0 0 281.312 2.531 20 5 CFBDRN O=[N+]([O-])c1ccc(CCO[C@@H]2CCCc3c[nH]nc32)cc1 ZINC000288772063 197162010 /nfs/dbraw/zinc/16/20/10/197162010.db2.gz ZXTNTICELSCHOY-CQSZACIVSA-N 0 0 287.319 2.955 20 5 CFBDRN CC[NH+]1C[C@@H](C)N(CCc2ccc([N+](=O)[O-])cc2)[C@H](C)C1 ZINC000347702604 381304469 /nfs/dbraw/zinc/30/44/69/381304469.db2.gz JBCZGYDRTOWOCN-ZIAGYGMSSA-N 0 0 291.395 2.552 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccc(F)c(Cl)c1 ZINC000347732514 381321108 /nfs/dbraw/zinc/32/11/08/381321108.db2.gz GITURPIFOSCBFI-UHFFFAOYSA-N 0 0 284.678 2.733 20 5 CFBDRN CC[C@@H](C)CCC(=O)N1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000354530659 381323365 /nfs/dbraw/zinc/32/33/65/381323365.db2.gz VRGQYBKLLHSEFL-LLVKDONJSA-N 0 0 291.351 2.701 20 5 CFBDRN Cc1ccnc(N2CCc3ccc([N+](=O)[O-])cc3C2)c1 ZINC000347746599 381328790 /nfs/dbraw/zinc/32/87/90/381328790.db2.gz XJQPKQVRSYOUOV-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN Cc1c(CNC(=O)N[C@@H]2CC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000359294209 381332954 /nfs/dbraw/zinc/33/29/54/381332954.db2.gz BGFPRJMTZOKWKK-ZWNOBZJWSA-N 0 0 291.351 2.891 20 5 CFBDRN CCC1(Nc2ncnc3sc([N+](=O)[O-])cc32)CC1 ZINC000296289848 381333526 /nfs/dbraw/zinc/33/35/26/381333526.db2.gz LSHIFPQPVNHVDC-UHFFFAOYSA-N 0 0 264.310 2.954 20 5 CFBDRN CC(C)[C@H]1CCC[C@@H](NC(=O)c2[nH]ncc2[N+](=O)[O-])CC1 ZINC000183889055 381336073 /nfs/dbraw/zinc/33/60/73/381336073.db2.gz UZMKZFKHXACAEM-WDEREUQCSA-N 0 0 294.355 2.653 20 5 CFBDRN CC[N@@H+]1CCC[C@@H]1CNc1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000296226603 381309666 /nfs/dbraw/zinc/30/96/66/381309666.db2.gz DRGKOGJLLBLAGF-CYBMUJFWSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1ccc(NC(=O)Cc2ccco2)cc1[N+](=O)[O-] ZINC000359267072 381318783 /nfs/dbraw/zinc/31/87/83/381318783.db2.gz GEINQIUNPKIVGV-UHFFFAOYSA-N 0 0 260.249 2.677 20 5 CFBDRN O=C(NCCc1ccc([N+](=O)[O-])cc1)C12CCC(CC1)C2 ZINC000342499937 381362652 /nfs/dbraw/zinc/36/26/52/381362652.db2.gz PJMDRURBTLVGED-UHFFFAOYSA-N 0 0 288.347 2.834 20 5 CFBDRN CO[C@@](C)([C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000412613336 381367350 /nfs/dbraw/zinc/36/73/50/381367350.db2.gz PNMMGJOWAIEIMM-BONVTDFDSA-N 0 0 292.335 2.528 20 5 CFBDRN CC(C)(C)c1cc(COc2cccnc2[N+](=O)[O-])no1 ZINC000296376010 381368185 /nfs/dbraw/zinc/36/81/85/381368185.db2.gz SYTRQQPMQNHKES-UHFFFAOYSA-N 0 0 277.280 2.854 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCCC[C@H](C)C1 ZINC000184432713 381415526 /nfs/dbraw/zinc/41/55/26/381415526.db2.gz QHCSJISILQTJLU-JTQLQIEISA-N 0 0 277.324 2.560 20 5 CFBDRN CC(C)(CCc1noc(-c2cncc(Cl)c2)n1)[N+](=O)[O-] ZINC000296418317 381387525 /nfs/dbraw/zinc/38/75/25/381387525.db2.gz LGSOKNPEYVFBPB-UHFFFAOYSA-N 0 0 296.714 2.773 20 5 CFBDRN Cc1csc([C@H](C)CNc2ccc([N+](=O)[O-])nc2)n1 ZINC000347882823 381396679 /nfs/dbraw/zinc/39/66/79/381396679.db2.gz UVUOZMGQLNUZQE-MRVPVSSYSA-N 0 0 278.337 2.970 20 5 CFBDRN CCc1ncnc(Oc2ccccc2[N+](=O)[O-])c1F ZINC000347933720 381421815 /nfs/dbraw/zinc/42/18/15/381421815.db2.gz VDHKFDGAWXZNPE-UHFFFAOYSA-N 0 0 263.228 2.879 20 5 CFBDRN C[C@@H](C(=O)NC[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000347935503 381421890 /nfs/dbraw/zinc/42/18/90/381421890.db2.gz GWQMXJHQDLCZRN-NXEZZACHSA-N 0 0 294.326 3.000 20 5 CFBDRN CC[C@@H](C)[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1)OC ZINC000296505293 381424385 /nfs/dbraw/zinc/42/43/85/381424385.db2.gz YFKACVBHARWWKS-ZWNOBZJWSA-N 0 0 295.339 2.777 20 5 CFBDRN CC[C@@H]1CCCN1C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000359521245 381450278 /nfs/dbraw/zinc/45/02/78/381450278.db2.gz GLDWNDBOBBBPPT-GFCCVEGCSA-N 0 0 292.335 2.926 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H](C)CCCCO)ccc1[N+](=O)[O-] ZINC000296509512 381426437 /nfs/dbraw/zinc/42/64/37/381426437.db2.gz CGQQPBIPTCLUFW-NSHDSACASA-N 0 0 295.339 2.576 20 5 CFBDRN CCCCCOCC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347944183 381426863 /nfs/dbraw/zinc/42/68/63/381426863.db2.gz DPYOXBOGRPFRGZ-UHFFFAOYSA-N 0 0 284.287 2.879 20 5 CFBDRN CC(C)OCCCC(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347945736 381426907 /nfs/dbraw/zinc/42/69/07/381426907.db2.gz DRJHIIGOBRAJFT-UHFFFAOYSA-N 0 0 284.287 2.878 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCO[C@H](C)C1 ZINC000354739320 381431469 /nfs/dbraw/zinc/43/14/69/381431469.db2.gz WLGNUVZNOITQMY-GHMZBOCLSA-N 0 0 293.323 2.592 20 5 CFBDRN CCCc1n[nH]c(C(=O)N2CC(C)(C)C[C@H]2C)c1[N+](=O)[O-] ZINC000412777823 381438014 /nfs/dbraw/zinc/43/80/14/381438014.db2.gz IEMVOLHJHGVRPT-SECBINFHSA-N 0 0 294.355 2.531 20 5 CFBDRN O=C(CC[C@H]1CCCO1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347964372 381438064 /nfs/dbraw/zinc/43/80/64/381438064.db2.gz OXGDJUMTWIHQPB-GFCCVEGCSA-N 0 0 282.271 2.632 20 5 CFBDRN O=C(Cc1ccoc1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347980905 381442504 /nfs/dbraw/zinc/44/25/04/381442504.db2.gz NFPMWISQJJXFQP-UHFFFAOYSA-N 0 0 264.212 2.508 20 5 CFBDRN COc1ccc(C(=O)N2CC(C)(C)C[C@@H]2C)cc1[N+](=O)[O-] ZINC000412788265 381443382 /nfs/dbraw/zinc/44/33/82/381443382.db2.gz YUTWFOWNZRJNBT-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1sc(C(=O)NCc2cccs2)cc1[N+](=O)[O-] ZINC000359511323 381443617 /nfs/dbraw/zinc/44/36/17/381443617.db2.gz WHXQFAJLBVDQQB-UHFFFAOYSA-N 0 0 282.346 2.956 20 5 CFBDRN Cc1nc(NCCO[C@@H]2CCCC[C@@H]2C)ncc1[N+](=O)[O-] ZINC000296552445 381445135 /nfs/dbraw/zinc/44/51/35/381445135.db2.gz OXTCYTJEJULKFX-GXFFZTMASA-N 0 0 294.355 2.700 20 5 CFBDRN CC(C)(C(=O)N[C@H]1CC[C@H](F)C1)c1ccccc1[N+](=O)[O-] ZINC000354771876 381445803 /nfs/dbraw/zinc/44/58/03/381445803.db2.gz PUOUUDNQQWVSIH-QWRGUYRKSA-N 0 0 294.326 2.879 20 5 CFBDRN CCn1cc(CCOc2ccc([N+](=O)[O-])cc2C)cn1 ZINC000347926613 381418152 /nfs/dbraw/zinc/41/81/52/381418152.db2.gz PDNJEFTVXQJCHZ-UHFFFAOYSA-N 0 0 275.308 2.741 20 5 CFBDRN C[N@H+](CC[C@H]1CCCO1)Cc1cccc([N+](=O)[O-])c1 ZINC000342590800 381418650 /nfs/dbraw/zinc/41/86/50/381418650.db2.gz NKSVNRBUQLWHFI-CQSZACIVSA-N 0 0 264.325 2.596 20 5 CFBDRN CC[C@@H](CNc1ccc([N+](=O)[O-])cc1COC)OC ZINC000290563449 381481636 /nfs/dbraw/zinc/48/16/36/381481636.db2.gz AOPSHRAJUADEIQ-LBPRGKRZSA-N 0 0 268.313 2.578 20 5 CFBDRN CCCn1ncnc1CNc1cccc([N+](=O)[O-])c1C ZINC000290569819 381482466 /nfs/dbraw/zinc/48/24/66/381482466.db2.gz TYYKXVDWFRBAJF-UHFFFAOYSA-N 0 0 275.312 2.517 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCCS1 ZINC000342644260 381453288 /nfs/dbraw/zinc/45/32/88/381453288.db2.gz UKOQWCHKMVCGFK-GFCCVEGCSA-N 0 0 294.376 2.919 20 5 CFBDRN C[C@@H](CCC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])cnc1N ZINC000184699929 381462584 /nfs/dbraw/zinc/46/25/84/381462584.db2.gz FTYDYYLGYZTDFX-VIFPVBQESA-N 0 0 294.355 2.517 20 5 CFBDRN CCC(=O)c1ccc(SCCOC)c([N+](=O)[O-])c1 ZINC000359547668 381462583 /nfs/dbraw/zinc/46/25/83/381462583.db2.gz ZWJFQNOSZQAORU-UHFFFAOYSA-N 0 0 269.322 2.926 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1C[C@H]2CCCCCC[C@@H]21 ZINC000370548404 381465336 /nfs/dbraw/zinc/46/53/36/381465336.db2.gz KIARDHICVVXECD-MNOVXSKESA-N 0 0 278.308 2.983 20 5 CFBDRN O=C(CC1CCC1)N1CCOc2ccc([N+](=O)[O-])cc2C1 ZINC000359628104 381519268 /nfs/dbraw/zinc/51/92/68/381519268.db2.gz AIPLWHJXMHCOAD-UHFFFAOYSA-N 0 0 290.319 2.506 20 5 CFBDRN CCN(CCC1CC1)C(=O)COc1ccccc1[N+](=O)[O-] ZINC000354849198 381486402 /nfs/dbraw/zinc/48/64/02/381486402.db2.gz MHZAVZLJRXJIKG-UHFFFAOYSA-N 0 0 292.335 2.622 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCCF ZINC000184876836 381490790 /nfs/dbraw/zinc/49/07/90/381490790.db2.gz KUVRTLGWVQOHLU-LBPRGKRZSA-N 0 0 282.315 2.639 20 5 CFBDRN CCC[N@H+](CC(=O)[O-])Cc1cc([N+](=O)[O-])ccc1Cl ZINC000184919345 381496970 /nfs/dbraw/zinc/49/69/70/381496970.db2.gz OZFQANVVOIIFDV-UHFFFAOYSA-N 0 0 286.715 2.545 20 5 CFBDRN Cc1cc(F)c(NC(=O)NOC(C)C)cc1[N+](=O)[O-] ZINC000296663542 381497990 /nfs/dbraw/zinc/49/79/90/381497990.db2.gz GTBYJHBHHPWNPM-UHFFFAOYSA-N 0 0 271.248 2.504 20 5 CFBDRN CCC1CN(c2cc(C(F)(F)F)ncc2[N+](=O)[O-])C1 ZINC000413366876 381540897 /nfs/dbraw/zinc/54/08/97/381540897.db2.gz QMYPHYKFICCQFD-UHFFFAOYSA-N 0 0 275.230 2.855 20 5 CFBDRN Cc1cccnc1/C=C/C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000185129140 381542021 /nfs/dbraw/zinc/54/20/21/381542021.db2.gz VUOYCYOREBZWPR-VMPITWQZSA-N 0 0 298.302 2.654 20 5 CFBDRN CCOCc1nc([C@H](C)Nc2ccc([N+](=O)[O-])cc2)no1 ZINC000290770635 381544991 /nfs/dbraw/zinc/54/49/91/381544991.db2.gz VHRPJBFKGLMVEH-VIFPVBQESA-N 0 0 292.295 2.687 20 5 CFBDRN Cc1nnc([C@@H]2CCCCN2c2ccccc2[N+](=O)[O-])[nH]1 ZINC000371105285 381549546 /nfs/dbraw/zinc/54/95/46/381549546.db2.gz BHDAKNNUTVTSEP-ZDUSSCGKSA-N 0 0 287.323 2.753 20 5 CFBDRN CC[C@@H](CNc1cc(OC)c(F)cc1[N+](=O)[O-])OC ZINC000290782235 381550473 /nfs/dbraw/zinc/55/04/73/381550473.db2.gz WNPASWGDLLAIPI-QMMMGPOBSA-N 0 0 272.276 2.579 20 5 CFBDRN CC(C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F ZINC000185168675 381551236 /nfs/dbraw/zinc/55/12/36/381551236.db2.gz JJOQJOUHDMHDSB-QMMMGPOBSA-N 0 0 255.245 2.789 20 5 CFBDRN CCn1nncc1CSCc1ccc([N+](=O)[O-])cc1 ZINC000413002616 381531877 /nfs/dbraw/zinc/53/18/77/381531877.db2.gz RWMYBHIKCCDFNV-UHFFFAOYSA-N 0 0 278.337 2.640 20 5 CFBDRN Cc1cc(NC(=O)N[C@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000296765407 381533885 /nfs/dbraw/zinc/53/38/85/381533885.db2.gz JEUKZUHEDKOZAY-LBPRGKRZSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H]2CCOC2(C)C)ccc1[N+](=O)[O-] ZINC000296765402 381534286 /nfs/dbraw/zinc/53/42/86/381534286.db2.gz JEUKZUHEDKOZAY-GFCCVEGCSA-N 0 0 293.323 2.592 20 5 CFBDRN Cc1ccncc1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000355004064 381561295 /nfs/dbraw/zinc/56/12/95/381561295.db2.gz UOTVDRAKBDXDGH-UHFFFAOYSA-N 0 0 263.278 2.612 20 5 CFBDRN Cc1nc(N2CC=C(C(C)(C)C)CC2)ncc1[N+](=O)[O-] ZINC000297569453 381567683 /nfs/dbraw/zinc/56/76/83/381567683.db2.gz RYLDNXQEAZSEEC-UHFFFAOYSA-N 0 0 276.340 2.876 20 5 CFBDRN CC(C)CCCNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000298348732 381587297 /nfs/dbraw/zinc/58/72/97/381587297.db2.gz OJUZHAHUEHSDHG-UHFFFAOYSA-N 0 0 280.324 2.526 20 5 CFBDRN Cc1sc(C(=O)NC2CCCC2)cc1[N+](=O)[O-] ZINC000298353039 381588390 /nfs/dbraw/zinc/58/83/90/381588390.db2.gz HLHIXCMVDWSRJH-UHFFFAOYSA-N 0 0 254.311 2.637 20 5 CFBDRN CSCCCN(C)c1ccc([N+](=O)[O-])c(C(C)=O)c1 ZINC000290895268 381588805 /nfs/dbraw/zinc/58/88/05/381588805.db2.gz DGSDSMAEWSWZPS-UHFFFAOYSA-N 0 0 282.365 2.987 20 5 CFBDRN Cc1cnn([C@@H]2CCN(c3ccc([N+](=O)[O-])cc3)C2)c1 ZINC000290900647 381590447 /nfs/dbraw/zinc/59/04/47/381590447.db2.gz VCLDISFOXCEUMX-CQSZACIVSA-N 0 0 272.308 2.551 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342872728 381597232 /nfs/dbraw/zinc/59/72/32/381597232.db2.gz PPHPPZAPVZFGEQ-NSHDSACASA-N 0 0 273.292 2.604 20 5 CFBDRN Cc1c(CC(=O)N2CC3CCC2CC3)cccc1[N+](=O)[O-] ZINC000371387420 381610595 /nfs/dbraw/zinc/61/05/95/381610595.db2.gz IMYRAQLJRMPGGY-UHFFFAOYSA-N 0 0 288.347 2.847 20 5 CFBDRN CCOc1ccccc1Cn1c(C)c([N+](=O)[O-])ccc1=O ZINC000298405950 381611606 /nfs/dbraw/zinc/61/16/06/381611606.db2.gz WBOVLJVTKRLULK-UHFFFAOYSA-N 0 0 288.303 2.512 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cc2c(cn1)CCC2 ZINC000359813860 381623145 /nfs/dbraw/zinc/62/31/45/381623145.db2.gz XOTUCYBRLODAFK-UHFFFAOYSA-N 0 0 297.314 2.660 20 5 CFBDRN Cc1c(CN2CCC[C@H]2c2ncc[nH]2)cccc1[N+](=O)[O-] ZINC000371429621 381624933 /nfs/dbraw/zinc/62/49/33/381624933.db2.gz FRVZYOIBCFBKOX-AWEZNQCLSA-N 0 0 286.335 2.963 20 5 CFBDRN Cn1nc(Nc2ccc([N+](=O)[O-])cc2)cc1C1CC1 ZINC000291048695 381647071 /nfs/dbraw/zinc/64/70/71/381647071.db2.gz DJWVBIXMUZCFKM-UHFFFAOYSA-N 0 0 258.281 2.949 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N[C@@H]2C[C@@H]2C2CC2)s1 ZINC000343033626 381655857 /nfs/dbraw/zinc/65/58/57/381655857.db2.gz JYUGRMSGOSHHFP-VXNVDRBHSA-N 0 0 276.321 2.810 20 5 CFBDRN C[C@@H]1CCC[C@H](Cn2ccc(=O)c([N+](=O)[O-])c2)C1 ZINC000185532768 381659778 /nfs/dbraw/zinc/65/97/78/381659778.db2.gz WCBXCTZPCQZYGA-MNOVXSKESA-N 0 0 250.298 2.583 20 5 CFBDRN Cc1nc(Nc2cc(C3CC3)n(C)n2)ccc1[N+](=O)[O-] ZINC000291086324 381660717 /nfs/dbraw/zinc/66/07/17/381660717.db2.gz IRUMLSVUNMUIKK-UHFFFAOYSA-N 0 0 273.296 2.653 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCCC[C@@H]2CCO)c(Cl)c1 ZINC000343079887 381662086 /nfs/dbraw/zinc/66/20/86/381662086.db2.gz QUTQZHWJCZRBBJ-SNVBAGLBSA-N 0 0 299.758 2.775 20 5 CFBDRN CC(C)N(C)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000185550847 381663338 /nfs/dbraw/zinc/66/33/38/381663338.db2.gz IFGRTFZCTGYERQ-UHFFFAOYSA-N 0 0 285.731 2.798 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ncccc2O)c(Cl)c1 ZINC000359895128 381663784 /nfs/dbraw/zinc/66/37/84/381663784.db2.gz DLZJFDAMOJQBAI-UHFFFAOYSA-N 0 0 279.683 2.961 20 5 CFBDRN Cc1cnc(N[C@@H](C)CCC(=O)OC(C)C)c([N+](=O)[O-])c1 ZINC000359897164 381665381 /nfs/dbraw/zinc/66/53/81/381665381.db2.gz IPYGLZSETZUMMY-NSHDSACASA-N 0 0 295.339 2.830 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCc1ncccc1C ZINC000355193078 381667067 /nfs/dbraw/zinc/66/70/67/381667067.db2.gz DKEOIOVIIWRQBK-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN CC[C@@H]1C[N@H+](Cc2ccc(C(C)C)c([N+](=O)[O-])c2)C[C@H]1O ZINC000355193809 381668726 /nfs/dbraw/zinc/66/87/26/381668726.db2.gz FIQMGLSOIOLJMF-CZUORRHYSA-N 0 0 292.379 2.921 20 5 CFBDRN Cc1cccnc1CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 ZINC000355195336 381669011 /nfs/dbraw/zinc/66/90/11/381669011.db2.gz JUDBEEZXVPSHRB-UHFFFAOYSA-N 0 0 285.303 2.537 20 5 CFBDRN Cc1ccc(NCc2ncccc2O)c([N+](=O)[O-])c1 ZINC000359904477 381669957 /nfs/dbraw/zinc/66/99/57/381669957.db2.gz SQZLHWCONRRFKQ-UHFFFAOYSA-N 0 0 259.265 2.616 20 5 CFBDRN Cc1c(CN2C[C@@H](C)OC[C@H]2C)cccc1[N+](=O)[O-] ZINC000298688809 381712101 /nfs/dbraw/zinc/71/21/01/381712101.db2.gz CPHOTZXVKRHHPK-GHMZBOCLSA-N 0 0 264.325 2.512 20 5 CFBDRN O=C(NC1Cc2ccccc2C1)c1csc([N+](=O)[O-])c1 ZINC000185715827 381713399 /nfs/dbraw/zinc/71/33/99/381713399.db2.gz VVVSMOIFHRNPSY-UHFFFAOYSA-N 0 0 288.328 2.554 20 5 CFBDRN O=C(N[C@@H](c1cccs1)C1CC1)c1cc([N+](=O)[O-])c[nH]1 ZINC000348793457 381715211 /nfs/dbraw/zinc/71/52/11/381715211.db2.gz PXGJHNLJUAJZHL-GFCCVEGCSA-N 0 0 291.332 2.866 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000185726598 381716305 /nfs/dbraw/zinc/71/63/05/381716305.db2.gz ACNFPNVVAZGQEI-VHSXEESVSA-N 0 0 288.307 2.702 20 5 CFBDRN CCCCNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000298596887 381683367 /nfs/dbraw/zinc/68/33/67/381683367.db2.gz KOXCLYJLKQKILT-UHFFFAOYSA-N 0 0 262.265 2.871 20 5 CFBDRN Cc1cnc(N[C@H](c2ncc[nH]2)C(C)C)c([N+](=O)[O-])c1 ZINC000291148299 381685527 /nfs/dbraw/zinc/68/55/27/381685527.db2.gz OTWFBNGHOUHWSR-NSHDSACASA-N 0 0 275.312 2.831 20 5 CFBDRN Nc1c(F)c(NCc2ccccc2)ccc1[N+](=O)[O-] ZINC000348721948 381685844 /nfs/dbraw/zinc/68/58/44/381685844.db2.gz QMBUKESDANXHMJ-UHFFFAOYSA-N 0 0 261.256 2.928 20 5 CFBDRN C[C@H](CC(=O)NCc1ccccc1[N+](=O)[O-])c1cccnc1 ZINC000359931296 381686070 /nfs/dbraw/zinc/68/60/70/381686070.db2.gz XZIGEWBBELPHFF-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN C/C(=C/c1nc(CCC(C)(C)[N+](=O)[O-])no1)C1CC1 ZINC000291153154 381686758 /nfs/dbraw/zinc/68/67/58/381686758.db2.gz ZVGYWPFHJMQFFY-HJWRWDBZSA-N 0 0 265.313 2.871 20 5 CFBDRN CN(Cc1ccco1)c1ccc([N+](=O)[O-])c(N)c1F ZINC000348728568 381687455 /nfs/dbraw/zinc/68/74/55/381687455.db2.gz MXNWZAAOWZRDFI-UHFFFAOYSA-N 0 0 265.244 2.546 20 5 CFBDRN O=C(NC12CCC(CC1)C2)c1cc([N+](=O)[O-])cc(F)c1F ZINC000371746894 381691451 /nfs/dbraw/zinc/69/14/51/381691451.db2.gz MULFUBJIOVGYAS-UHFFFAOYSA-N 0 0 296.273 2.936 20 5 CFBDRN Cc1cc(OCC(=O)OC(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000185659607 381696498 /nfs/dbraw/zinc/69/64/98/381696498.db2.gz WOCJFBLAGSEPFF-UHFFFAOYSA-N 0 0 285.271 2.763 20 5 CFBDRN O=C(NC1CC1)c1ccc(NCCCCF)c([N+](=O)[O-])c1 ZINC000359954196 381698725 /nfs/dbraw/zinc/69/87/25/381698725.db2.gz KTLPZCAOEVGJPG-UHFFFAOYSA-N 0 0 295.314 2.649 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCc1noc(C2CC2)n1 ZINC000343420993 381698977 /nfs/dbraw/zinc/69/89/77/381698977.db2.gz BYQSZVMFNYWPKP-UHFFFAOYSA-N 0 0 278.243 2.606 20 5 CFBDRN O=C(NCC1(C(F)F)CC1)c1cc(F)cc([N+](=O)[O-])c1 ZINC000348764865 381701402 /nfs/dbraw/zinc/70/14/02/381701402.db2.gz QCCDKECPRRKIFE-UHFFFAOYSA-N 0 0 288.225 2.509 20 5 CFBDRN Cc1cnc(COc2cc(C)c([N+](=O)[O-])cc2F)o1 ZINC000185678537 381704116 /nfs/dbraw/zinc/70/41/16/381704116.db2.gz CWSKKVJDVXARPW-UHFFFAOYSA-N 0 0 266.228 2.918 20 5 CFBDRN Cc1ccc(C[N@H+](C)CC[C@H]2CCCO2)cc1[N+](=O)[O-] ZINC000343458131 381727314 /nfs/dbraw/zinc/72/73/14/381727314.db2.gz BQDXYHKXBOSBRX-CQSZACIVSA-N 0 0 278.352 2.904 20 5 CFBDRN CC(C)CNC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000298756796 381739284 /nfs/dbraw/zinc/73/92/84/381739284.db2.gz YUALUFNQAVMVQB-JTQLQIEISA-N 0 0 265.313 2.611 20 5 CFBDRN CCC[C@H]1C[C@@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000360038024 381743344 /nfs/dbraw/zinc/74/33/44/381743344.db2.gz OXEGMMBTDBSBCH-JQWIXIFHSA-N 0 0 262.309 2.647 20 5 CFBDRN Cc1ncccc1Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000348865434 381744609 /nfs/dbraw/zinc/74/46/09/381744609.db2.gz IETHBACJNXYLSK-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cc1ccccc1OCCCNc1c([N+](=O)[O-])ncn1C ZINC000355279734 381722316 /nfs/dbraw/zinc/72/23/16/381722316.db2.gz NQDPOCHEJBJRGE-UHFFFAOYSA-N 0 0 290.323 2.518 20 5 CFBDRN CC(C)CCCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000298717122 381722993 /nfs/dbraw/zinc/72/29/93/381722993.db2.gz FPJCIEZSMFQJMS-UHFFFAOYSA-N 0 0 256.327 2.822 20 5 CFBDRN Cn1nncc1-c1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000348993858 381785147 /nfs/dbraw/zinc/78/51/47/381785147.db2.gz IAUZLJYMNSVTQT-UHFFFAOYSA-N 0 0 287.304 2.514 20 5 CFBDRN C[C@]12CCN(c3ccc(N)cc3[N+](=O)[O-])C[C@H]1C2(F)F ZINC000291991022 381786481 /nfs/dbraw/zinc/78/64/81/381786481.db2.gz QNGNIGZALGKQGZ-NEPJUHHUSA-N 0 0 283.278 2.659 20 5 CFBDRN CCc1ccc(C(=O)NCC(C)(C)COC)cc1[N+](=O)[O-] ZINC000343555542 381794248 /nfs/dbraw/zinc/79/42/48/381794248.db2.gz FCOZPTZUYQGPTI-UHFFFAOYSA-N 0 0 294.351 2.560 20 5 CFBDRN C[C@H](c1cnn(C)c1)N(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000348925708 381768110 /nfs/dbraw/zinc/76/81/10/381768110.db2.gz DTSJSSMEAFUHKS-SNVBAGLBSA-N 0 0 292.314 2.660 20 5 CFBDRN Cc1ccc(C(=O)NCCc2cccc([N+](=O)[O-])c2)nc1C ZINC000298864994 381770071 /nfs/dbraw/zinc/77/00/71/381770071.db2.gz GFXKKIKNUMHCIR-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CSC[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2C)C1 ZINC000292326203 381799498 /nfs/dbraw/zinc/79/94/98/381799498.db2.gz PCDOVQHFBYJBCT-NSHDSACASA-N 0 0 281.381 2.878 20 5 CFBDRN CCOC(=O)C[C@H](C)N(C)Cc1ccc([N+](=O)[O-])cc1F ZINC000343598594 381827521 /nfs/dbraw/zinc/82/75/21/381827521.db2.gz DHMWEWAKSWDGFJ-JTQLQIEISA-N 0 0 298.314 2.507 20 5 CFBDRN Cc1ccoc1CNC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000343606744 381836608 /nfs/dbraw/zinc/83/66/08/381836608.db2.gz JZTADJVGXREDQS-UHFFFAOYSA-N 0 0 274.276 2.735 20 5 CFBDRN C[C@@H]1CSC[C@@H]1Nc1ncnc2sc([N+](=O)[O-])cc21 ZINC000343610015 381838761 /nfs/dbraw/zinc/83/87/61/381838761.db2.gz MQIRZZCMYWMWSK-SVRRBLITSA-N 0 0 296.377 2.763 20 5 CFBDRN COCC[C@H](Cc1ccco1)Nc1ccc([N+](=O)[O-])nc1 ZINC000343612425 381840336 /nfs/dbraw/zinc/84/03/36/381840336.db2.gz WHAXYYUTRPWCMK-LLVKDONJSA-N 0 0 291.307 2.643 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1C[C@H](CO)c2ccccc21 ZINC000292802525 381841834 /nfs/dbraw/zinc/84/18/34/381841834.db2.gz WMCHRNDCQIVSNN-CYBMUJFWSA-N 0 0 284.315 2.691 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CC1(C)C ZINC000299066923 381811644 /nfs/dbraw/zinc/81/16/44/381811644.db2.gz MPFHFGUODYUZAA-LLVKDONJSA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1c(NC(=O)N[C@H]2CC2(C)C)cccc1[N+](=O)[O-] ZINC000299066981 381812263 /nfs/dbraw/zinc/81/22/63/381812263.db2.gz MTUFKJFYHQTHCR-NSHDSACASA-N 0 0 263.297 2.823 20 5 CFBDRN Cc1nc([N+](=O)[O-])cn1Cc1nc([C@H](C)C(C)(C)C)no1 ZINC000356877182 381879230 /nfs/dbraw/zinc/87/92/30/381879230.db2.gz GMZXSKQPJBQHBI-QMMMGPOBSA-N 0 0 293.327 2.681 20 5 CFBDRN CS[C@H](C)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl ZINC000186319736 381882164 /nfs/dbraw/zinc/88/21/64/381882164.db2.gz IQDYOISEZFXFIW-SSDOTTSWSA-N 0 0 288.756 2.616 20 5 CFBDRN Cc1cc(C(=O)NC[C@H](C)C(F)(F)F)cc([N+](=O)[O-])c1 ZINC000356421172 381850279 /nfs/dbraw/zinc/85/02/79/381850279.db2.gz GHCREMBEJBOJOC-QMMMGPOBSA-N 0 0 290.241 2.831 20 5 CFBDRN Nc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H]2CCCCC[C@@H]21 ZINC000372738945 381859609 /nfs/dbraw/zinc/85/96/09/381859609.db2.gz KMLQFOXCBSTCHG-HZMBPMFUSA-N 0 0 289.335 2.582 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC(OCC(F)F)C1 ZINC000349262349 381870962 /nfs/dbraw/zinc/87/09/62/381870962.db2.gz BIPHRUHDZGYMSQ-UHFFFAOYSA-N 0 0 292.669 2.719 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCC2CCC2)c1 ZINC000299245555 381870961 /nfs/dbraw/zinc/87/09/61/381870961.db2.gz XGBUNMNXZWVMMX-UHFFFAOYSA-N 0 0 292.335 2.588 20 5 CFBDRN C[C@@H]1CN(C(=O)NCc2ccccc2[N+](=O)[O-])[C@@H](C)[C@H]1C ZINC000416700350 381894922 /nfs/dbraw/zinc/89/49/22/381894922.db2.gz YYHXUDCXINNATQ-WOPDTQHZSA-N 0 0 291.351 2.781 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])c([O-])c1)N1CCC12CCCCC2 ZINC000373069713 381922117 /nfs/dbraw/zinc/92/21/17/381922117.db2.gz KWVDSVNKONYRJZ-UHFFFAOYSA-N 0 0 290.319 2.849 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)c1ccccc1[N+](=O)[O-] ZINC000362193920 381956479 /nfs/dbraw/zinc/95/64/79/381956479.db2.gz YCJDDABSPKSHPH-SSDOTTSWSA-N 0 0 276.214 2.666 20 5 CFBDRN CC(C)[C@H]1C[C@@H]1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000186647286 381973762 /nfs/dbraw/zinc/97/37/62/381973762.db2.gz VEXOCIGLWGOKNW-OLZOCXBDSA-N 0 0 262.309 2.850 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@@H]1CCCOc2ccccc21 ZINC000299529308 381970734 /nfs/dbraw/zinc/97/07/34/381970734.db2.gz BNYYSIKHMBPHJP-LLVKDONJSA-N 0 0 288.307 2.654 20 5 CFBDRN Cc1n[nH]c(C(=O)NCCCCCCC(C)C)c1[N+](=O)[O-] ZINC000299437870 381941083 /nfs/dbraw/zinc/94/10/83/381941083.db2.gz IOAXYFADPHUHEQ-UHFFFAOYSA-N 0 0 296.371 2.963 20 5 CFBDRN C[C@H](CC(F)(F)F)NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000362187922 381950863 /nfs/dbraw/zinc/95/08/63/381950863.db2.gz MGFRZDLCOSFRHC-MRVPVSSYSA-N 0 0 290.241 2.594 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)CC(F)(F)F)c1[N+](=O)[O-] ZINC000362187642 381951215 /nfs/dbraw/zinc/95/12/15/381951215.db2.gz LSLBHGFSMJLTHE-QMMMGPOBSA-N 0 0 290.241 2.974 20 5 CFBDRN O=[N+]([O-])c1cccc2ncnc(N[C@@H]3C[C@@H]3C(F)F)c21 ZINC000343806742 381954056 /nfs/dbraw/zinc/95/40/56/381954056.db2.gz KWPHTGCNSORZFC-POYBYMJQSA-N 0 0 280.234 2.604 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCCC2(CCC2)C1 ZINC000373407678 381998585 /nfs/dbraw/zinc/99/85/85/381998585.db2.gz ZLXIJMFYEZLOTN-UHFFFAOYSA-N 0 0 288.347 2.930 20 5 CFBDRN C[C@H]1CCN(CC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000299623177 382007725 /nfs/dbraw/zinc/00/77/25/382007725.db2.gz JTUXSLDNUCFKFF-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN COc1c(C(=O)N[C@H]2CC23CCCC3)cccc1[N+](=O)[O-] ZINC000357109511 382012195 /nfs/dbraw/zinc/01/21/95/382012195.db2.gz ORKFDMKOYRUQSC-LBPRGKRZSA-N 0 0 290.319 2.666 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2C[C@H]2C(F)F)c(Cl)c1 ZINC000343847016 381979033 /nfs/dbraw/zinc/97/90/33/381979033.db2.gz ILVJTUGCDWCWNO-IYSWYEEDSA-N 0 0 263.631 2.709 20 5 CFBDRN CCCNC(=O)CCCNc1cc([N+](=O)[O-])ccc1C ZINC000416982771 381980112 /nfs/dbraw/zinc/98/01/12/381980112.db2.gz FCNZCUHNXKLARV-UHFFFAOYSA-N 0 0 279.340 2.622 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1-c1nc([C@H]2CCCS2)no1 ZINC000357066068 381993822 /nfs/dbraw/zinc/99/38/22/381993822.db2.gz BNHIMJNNCLBZSH-SNVBAGLBSA-N 0 0 292.320 2.916 20 5 CFBDRN O=[N+]([O-])c1ccc(CN2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000375004659 382063342 /nfs/dbraw/zinc/06/33/42/382063342.db2.gz OCBXECJNOMDVPD-CYBMUJFWSA-N 0 0 272.308 2.655 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cncc(F)c1 ZINC000362346963 382018780 /nfs/dbraw/zinc/01/87/80/382018780.db2.gz ZGMMVDOKBSLQQZ-UHFFFAOYSA-N 0 0 289.266 2.944 20 5 CFBDRN O=C(Cc1ccoc1)N1CCCc2ccc([N+](=O)[O-])cc21 ZINC000186812275 382023120 /nfs/dbraw/zinc/02/31/20/382023120.db2.gz RWQWSWTXRAYKJZ-UHFFFAOYSA-N 0 0 286.287 2.710 20 5 CFBDRN C[C@H]1C[C@@H](c2ccccc2)N(c2c([N+](=O)[O-])ncn2C)C1 ZINC000343928172 382024757 /nfs/dbraw/zinc/02/47/57/382024757.db2.gz DPCWFGUBPNQARY-AAEUAGOBSA-N 0 0 286.335 2.916 20 5 CFBDRN O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3C[C@H]3C3CC3)cc2N1 ZINC000362365101 382025228 /nfs/dbraw/zinc/02/52/28/382025228.db2.gz MSHPXORCSQVYPH-JQWIXIFHSA-N 0 0 287.319 2.690 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](C)C1 ZINC000299683939 382026770 /nfs/dbraw/zinc/02/67/70/382026770.db2.gz XDLFESYFKHXVSB-SECBINFHSA-N 0 0 263.297 2.777 20 5 CFBDRN CCCC[C@@H](CC)CNC(=O)c1c[nH]c(=O)c([N+](=O)[O-])c1 ZINC000186866495 382033210 /nfs/dbraw/zinc/03/32/10/382033210.db2.gz FNITUVMYKSBYIO-SNVBAGLBSA-N 0 0 295.339 2.642 20 5 CFBDRN CCOc1cc(N2CCO[C@@H]3CCC[C@H]32)ccc1[N+](=O)[O-] ZINC000362376974 382035624 /nfs/dbraw/zinc/03/56/24/382035624.db2.gz WBGFQMUOMXIGDO-TZMCWYRMSA-N 0 0 292.335 2.751 20 5 CFBDRN C[S@](=O)C1(CNc2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000293228033 382038100 /nfs/dbraw/zinc/03/81/00/382038100.db2.gz VQXBHBTYPWZBSH-SFHVURJKSA-N 0 0 288.756 2.571 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)Cc1cccnc1 ZINC000301195768 382103130 /nfs/dbraw/zinc/10/31/30/382103130.db2.gz UFQKVYAVUWYSMI-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN CCC(C)(C)Cc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357332637 382113814 /nfs/dbraw/zinc/11/38/14/382113814.db2.gz VXMNUWMXCOVTQX-UHFFFAOYSA-N 0 0 290.323 2.942 20 5 CFBDRN CC[C@]1(C)C[C@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000357333415 382114691 /nfs/dbraw/zinc/11/46/91/382114691.db2.gz MULYZLQDQUQRDX-SMDDNHRTSA-N 0 0 288.307 2.867 20 5 CFBDRN C[C@@H](C(=O)NCCc1cccc([N+](=O)[O-])c1)C(C)(F)F ZINC000293420298 382115944 /nfs/dbraw/zinc/11/59/44/382115944.db2.gz KXPDVRJSJBSDRF-VIFPVBQESA-N 0 0 286.278 2.545 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1Nc1ccc(OCCO)cc1 ZINC000301244967 382118228 /nfs/dbraw/zinc/11/82/28/382118228.db2.gz KWIFQIGLHVXXMU-UHFFFAOYSA-N 0 0 292.266 2.849 20 5 CFBDRN CCCn1ncnc1CNc1cc([N+](=O)[O-])ccc1C ZINC000357345185 382122561 /nfs/dbraw/zinc/12/25/61/382122561.db2.gz QRCVHEZQXSVRSK-UHFFFAOYSA-N 0 0 275.312 2.517 20 5 CFBDRN CC[C@@H](CNc1ncc([N+](=O)[O-])c(N)n1)c1ccccc1 ZINC000301069300 382072448 /nfs/dbraw/zinc/07/24/48/382072448.db2.gz FAORUPHYTJPDRD-JTQLQIEISA-N 0 0 287.323 2.573 20 5 CFBDRN C[C@H]1Cc2ccccc2N1CCNc1ccc([N+](=O)[O-])cn1 ZINC000301078932 382075299 /nfs/dbraw/zinc/07/52/99/382075299.db2.gz HOYFVZOJEPNYOF-LBPRGKRZSA-N 0 0 298.346 2.853 20 5 CFBDRN CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCC[C@@H](C)CC1 ZINC000301080611 382075309 /nfs/dbraw/zinc/07/53/09/382075309.db2.gz HWKOHGMEUXXFLD-LLVKDONJSA-N 0 0 291.351 2.581 20 5 CFBDRN CN(C[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1F ZINC000301086890 382077247 /nfs/dbraw/zinc/07/72/47/382077247.db2.gz MKORKBAJDUBDLD-NSHDSACASA-N 0 0 268.288 2.739 20 5 CFBDRN Cc1nn(C)c(Sc2cccs2)c1[N+](=O)[O-] ZINC000301262472 382126456 /nfs/dbraw/zinc/12/64/56/382126456.db2.gz HGZLXENRUXLJLV-UHFFFAOYSA-N 0 0 255.324 2.849 20 5 CFBDRN Cc1cc(N(C)Cc2cccnc2)ccc1[N+](=O)[O-] ZINC000301099111 382081079 /nfs/dbraw/zinc/08/10/79/382081079.db2.gz ORSMQXZASXGUBP-UHFFFAOYSA-N 0 0 257.293 2.935 20 5 CFBDRN Cn1ccc(CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1 ZINC000357263403 382081891 /nfs/dbraw/zinc/08/18/91/382081891.db2.gz LUUKHXYSDZGFPI-UHFFFAOYSA-N 0 0 293.710 2.517 20 5 CFBDRN Cc1cc(N[C@@H](C(N)=O)c2ccccc2)ccc1[N+](=O)[O-] ZINC000301105864 382082838 /nfs/dbraw/zinc/08/28/38/382082838.db2.gz NBZJDEOHWQJYAL-CQSZACIVSA-N 0 0 285.303 2.542 20 5 CFBDRN O=c1[nH]c(NCc2cccc([N+](=O)[O-])c2)nc2ccccc21 ZINC000301131982 382089379 /nfs/dbraw/zinc/08/93/79/382089379.db2.gz SNASVXFHLYMNGH-UHFFFAOYSA-N 0 0 296.286 2.856 20 5 CFBDRN CCN(C[C@@H]1CCCO1)c1ccc([N+](=O)[O-])c(C)c1 ZINC000301132693 382089540 /nfs/dbraw/zinc/08/95/40/382089540.db2.gz XEGKOCKSHAKKOX-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN CCN(C[C@H]1CCCO1)c1ccc([N+](=O)[O-])c(C)c1 ZINC000301132692 382089564 /nfs/dbraw/zinc/08/95/64/382089564.db2.gz XEGKOCKSHAKKOX-CYBMUJFWSA-N 0 0 264.325 2.909 20 5 CFBDRN O=C1C[C@@H](Nc2ccccc2[N+](=O)[O-])CN1c1ccccc1 ZINC000301134087 382089925 /nfs/dbraw/zinc/08/99/25/382089925.db2.gz ZVAPDCOUUKOOHZ-GFCCVEGCSA-N 0 0 297.314 2.812 20 5 CFBDRN CCc1nc(CCNc2ccc([N+](=O)[O-])cn2)sc1C ZINC000301142769 382090935 /nfs/dbraw/zinc/09/09/35/382090935.db2.gz JFMJVUNEUJXHDG-UHFFFAOYSA-N 0 0 292.364 2.972 20 5 CFBDRN C[C@@H]1CCCCN(C(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000187091194 382096505 /nfs/dbraw/zinc/09/65/05/382096505.db2.gz ANZZXCOEHLVTPT-GFCCVEGCSA-N 0 0 292.335 2.622 20 5 CFBDRN O=[N+]([O-])c1c(N2C[C@H]3CCCC[C@@H]3C2)nc2sccn21 ZINC000301555101 382175134 /nfs/dbraw/zinc/17/51/34/382175134.db2.gz NDLDVOQBQBTHLO-NXEZZACHSA-N 0 0 292.364 2.930 20 5 CFBDRN COC[C@@H](Nc1ccccc1[N+](=O)[O-])c1ccco1 ZINC000301562178 382176533 /nfs/dbraw/zinc/17/65/33/382176533.db2.gz OYNINROBQFONJH-LLVKDONJSA-N 0 0 262.265 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccco3)C2)cc1 ZINC000301569076 382178751 /nfs/dbraw/zinc/17/87/51/382178751.db2.gz NGQLFUNQVQSABA-CQSZACIVSA-N 0 0 274.276 2.766 20 5 CFBDRN CCCCC[C@H]1CCCN1C(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000344177482 382181711 /nfs/dbraw/zinc/18/17/11/382181711.db2.gz VALIKSBGWYCELJ-JTQLQIEISA-N 0 0 280.328 2.503 20 5 CFBDRN C[C@@H](NCc1ccc(F)cn1)c1ccc([N+](=O)[O-])cc1 ZINC000357464763 382182614 /nfs/dbraw/zinc/18/26/14/382182614.db2.gz ARYNOMBKQHWMFL-SNVBAGLBSA-N 0 0 275.283 2.980 20 5 CFBDRN Cc1nn(C)c(Oc2ccc([N+](=O)[O-])c(C)c2)c1[N+](=O)[O-] ZINC000301269411 382130491 /nfs/dbraw/zinc/13/04/91/382130491.db2.gz JECGXWPNEASEHG-UHFFFAOYSA-N 0 0 292.251 2.646 20 5 CFBDRN CCc1nc(CNc2nccc(C)c2[N+](=O)[O-])cs1 ZINC000301288176 382138803 /nfs/dbraw/zinc/13/88/03/382138803.db2.gz MJQMDVDCMDELDG-UHFFFAOYSA-N 0 0 278.337 2.929 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC[C@@]2(C1)CCCOC2 ZINC000376481611 382139126 /nfs/dbraw/zinc/13/91/26/382139126.db2.gz XFUYMUUDMLIQOF-CQSZACIVSA-N 0 0 262.309 2.602 20 5 CFBDRN Cc1ccnc(NCCc2cn3ccccc3n2)c1[N+](=O)[O-] ZINC000301303627 382143391 /nfs/dbraw/zinc/14/33/91/382143391.db2.gz SKDAGLWEASBQRH-UHFFFAOYSA-N 0 0 297.318 2.601 20 5 CFBDRN CN(CCC(F)(F)F)c1nc2sccn2c1[N+](=O)[O-] ZINC000301301481 382144131 /nfs/dbraw/zinc/14/41/31/382144131.db2.gz XVURXJDPEHBDCH-UHFFFAOYSA-N 0 0 294.258 2.693 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H](CCO)C2CC2)c2ccncc21 ZINC000301366011 382159713 /nfs/dbraw/zinc/15/97/13/382159713.db2.gz QYRQFYKQOXKPCB-CYBMUJFWSA-N 0 0 287.319 2.716 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CCC[C@H](n2cccn2)C1 ZINC000301425505 382171135 /nfs/dbraw/zinc/17/11/35/382171135.db2.gz CCTWEVPCHWBPJH-AWEZNQCLSA-N 0 0 286.335 2.941 20 5 CFBDRN Cc1cc(NC[C@H](O)C(C)C)c2cccc([N+](=O)[O-])c2n1 ZINC000357499397 382196355 /nfs/dbraw/zinc/19/63/55/382196355.db2.gz DVMKQDAWJQSZQP-AWEZNQCLSA-N 0 0 289.335 2.880 20 5 CFBDRN CCC[C@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)CCO1 ZINC000301853556 382197521 /nfs/dbraw/zinc/19/75/21/382197521.db2.gz XXUDXWJKGUDFJX-ZDUSSCGKSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1ccc(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1 ZINC000344207238 382198192 /nfs/dbraw/zinc/19/81/92/382198192.db2.gz GDJBLKRIRHRYBU-UHFFFAOYSA-N 0 0 288.278 2.972 20 5 CFBDRN C[C@@H](CC1CCCCC1)Nc1ncc([N+](=O)[O-])c(N)n1 ZINC000362682079 382198856 /nfs/dbraw/zinc/19/88/56/382198856.db2.gz WFABOVUGPNYYCG-VIFPVBQESA-N 0 0 279.344 2.568 20 5 CFBDRN CC[C@]1(C)CCCN(c2ccc3ncc([N+](=O)[O-])n3n2)C1 ZINC000301966033 382202300 /nfs/dbraw/zinc/20/23/00/382202300.db2.gz KKZHRZRJEIFQPJ-CQSZACIVSA-N 0 0 289.339 2.654 20 5 CFBDRN COc1ccc(OCCC2(OC)CCC2)cc1[N+](=O)[O-] ZINC000418992753 382208728 /nfs/dbraw/zinc/20/87/28/382208728.db2.gz NAWCVZRRBWDYFR-UHFFFAOYSA-N 0 0 281.308 2.941 20 5 CFBDRN C[C@H]1CCN(c2ncc([N+](=O)[O-])cn2)[C@@H](c2ccco2)C1 ZINC000302118559 382208868 /nfs/dbraw/zinc/20/88/68/382208868.db2.gz SOXCYRXLQZSLGW-CMPLNLGQSA-N 0 0 288.307 2.955 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@@H](O)C(C)C ZINC000357528662 382209685 /nfs/dbraw/zinc/20/96/85/382209685.db2.gz LTYPZPWWKCOVBN-LLVKDONJSA-N 0 0 272.732 2.985 20 5 CFBDRN O=C(Cc1cccc(O)c1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000352183512 382211640 /nfs/dbraw/zinc/21/16/40/382211640.db2.gz FDDPPDVQHLGZAT-UHFFFAOYSA-N 0 0 290.250 2.621 20 5 CFBDRN Cc1cnc(NCc2ccc3cc[nH]c3n2)c([N+](=O)[O-])c1 ZINC000357544030 382217305 /nfs/dbraw/zinc/21/73/05/382217305.db2.gz FMQHTWUUBODRDR-UHFFFAOYSA-N 0 0 283.291 2.787 20 5 CFBDRN C[C@H]1CCC[C@H](C(=O)N(C)c2ccc([N+](=O)[O-])nc2)C1 ZINC000344232567 382217631 /nfs/dbraw/zinc/21/76/31/382217631.db2.gz ZHEBNIJBVOMGBQ-QWRGUYRKSA-N 0 0 277.324 2.779 20 5 CFBDRN CCc1ccc(C(=O)NCC2(C)CC2)cc1[N+](=O)[O-] ZINC000357562006 382226931 /nfs/dbraw/zinc/22/69/31/382226931.db2.gz REZCFKSAMPJONW-UHFFFAOYSA-N 0 0 262.309 2.687 20 5 CFBDRN CCC1(CC)[C@H](Nc2ncc([N+](=O)[O-])cn2)[C@@H](C)[C@H]1OC ZINC000302245465 382230611 /nfs/dbraw/zinc/23/06/11/382230611.db2.gz ZWJYIWQRGDTOOL-YUSALJHKSA-N 0 0 294.355 2.636 20 5 CFBDRN CC(=O)c1ccc(NCC2(CO)CCCC2)c([N+](=O)[O-])c1 ZINC000302263177 382232274 /nfs/dbraw/zinc/23/22/74/382232274.db2.gz QEQMZSHWYNDLPS-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN COCC(C)(C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000187639143 382234205 /nfs/dbraw/zinc/23/42/05/382234205.db2.gz HMSFVVPACQTKFN-UHFFFAOYSA-N 0 0 294.351 2.873 20 5 CFBDRN Cc1cc(N2CCC[C@H]([C@H](C)O)C2)ccc1[N+](=O)[O-] ZINC000301791940 382193095 /nfs/dbraw/zinc/19/30/95/382193095.db2.gz RKOSMYSDOWZDFC-RYUDHWBXSA-N 0 0 264.325 2.500 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000302598904 382275563 /nfs/dbraw/zinc/27/55/63/382275563.db2.gz YCILGOQYOPPNFL-CFVMTHIKSA-N 0 0 292.335 2.721 20 5 CFBDRN C[C@@H]1CCSCCN1c1ncc([N+](=O)[O-])cc1Cl ZINC000302686386 382291877 /nfs/dbraw/zinc/29/18/77/382291877.db2.gz BFVTVWJIPFRRRC-MRVPVSSYSA-N 0 0 287.772 2.975 20 5 CFBDRN Cc1cccc(C[C@@H](CO)Nc2ccccc2[N+](=O)[O-])c1 ZINC000302615141 382278165 /nfs/dbraw/zinc/27/81/65/382278165.db2.gz CRTOQRTVZABHDM-AWEZNQCLSA-N 0 0 286.331 2.919 20 5 CFBDRN Cc1ccc(CN(C)c2cc(C)c([N+](=O)[O-])cn2)nc1 ZINC000302623157 382279585 /nfs/dbraw/zinc/27/95/85/382279585.db2.gz AJCZLRCEACEQQH-UHFFFAOYSA-N 0 0 272.308 2.638 20 5 CFBDRN CC(C)C1(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])CC1 ZINC000293790614 382280409 /nfs/dbraw/zinc/28/04/09/382280409.db2.gz AJPUZTIBCVQQLN-BQYQJAHWSA-N 0 0 274.320 2.913 20 5 CFBDRN CCOc1cc(N2CCO[C@@](C)(CC)C2)ccc1[N+](=O)[O-] ZINC000302641214 382282096 /nfs/dbraw/zinc/28/20/96/382282096.db2.gz IHCWJDDDWTVPCZ-HNNXBMFYSA-N 0 0 294.351 2.999 20 5 CFBDRN CCn1nccc1CN(C)Cc1csc([N+](=O)[O-])c1 ZINC000352358385 382288817 /nfs/dbraw/zinc/28/88/17/382288817.db2.gz ULGZRZINLMLXLA-UHFFFAOYSA-N 0 0 280.353 2.505 20 5 CFBDRN CC[C@@]1(C)CN(c2c(C)cccc2[N+](=O)[O-])CCO1 ZINC000302677209 382289166 /nfs/dbraw/zinc/28/91/66/382289166.db2.gz QIKLBRMLIYKJBM-AWEZNQCLSA-N 0 0 264.325 2.909 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H]1c1nccs1 ZINC000364243470 382289377 /nfs/dbraw/zinc/28/93/77/382289377.db2.gz XIAGMMWTSBCPHR-QMMMGPOBSA-N 0 0 293.304 2.622 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H]1c1nccs1 ZINC000364243469 382289391 /nfs/dbraw/zinc/28/93/91/382289391.db2.gz XIAGMMWTSBCPHR-MRVPVSSYSA-N 0 0 293.304 2.622 20 5 CFBDRN CC1(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)CCCC1 ZINC000344267940 382239901 /nfs/dbraw/zinc/23/99/01/382239901.db2.gz YKORTHGJMRRUGJ-UHFFFAOYSA-N 0 0 266.272 2.796 20 5 CFBDRN O=C(c1cc(F)cc([N+](=O)[O-])c1)N(CC(F)F)C1CC1 ZINC000344269092 382240227 /nfs/dbraw/zinc/24/02/27/382240227.db2.gz STKUBYFXVZAOMQ-UHFFFAOYSA-N 0 0 288.225 2.604 20 5 CFBDRN Cc1cccc(N2CC[C@H]3OCCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000302488911 382256786 /nfs/dbraw/zinc/25/67/86/382256786.db2.gz JJUMJXSXODWGJY-TZMCWYRMSA-N 0 0 276.336 2.909 20 5 CFBDRN COCC[C@@H]1CCCCN(c2nc(C)ccc2[N+](=O)[O-])C1 ZINC000364123029 382266736 /nfs/dbraw/zinc/26/67/36/382266736.db2.gz WZYFGZPJOYNQNA-ZDUSSCGKSA-N 0 0 293.367 2.941 20 5 CFBDRN COc1cc(N[C@@H]2C[C@H]3OCCC[C@@H]23)ccc1[N+](=O)[O-] ZINC000302596895 382273756 /nfs/dbraw/zinc/27/37/56/382273756.db2.gz XRFBFRXNTBVEBW-DMDPSCGWSA-N 0 0 278.308 2.583 20 5 CFBDRN COCCCCN(C)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000419382834 382309307 /nfs/dbraw/zinc/30/93/07/382309307.db2.gz IOCVJFJSMSNGKC-UHFFFAOYSA-N 0 0 288.294 2.731 20 5 CFBDRN Cn1ncnc1Sc1ccc(Cl)cc1[N+](=O)[O-] ZINC000302782254 382316219 /nfs/dbraw/zinc/31/62/19/382316219.db2.gz KFDLCNHHPMLULR-UHFFFAOYSA-N 0 0 270.701 2.528 20 5 CFBDRN COC(=O)C(C)(C)CNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000302781559 382316786 /nfs/dbraw/zinc/31/67/86/382316786.db2.gz RXRPVLAMMCLXEA-UHFFFAOYSA-N 0 0 286.715 2.859 20 5 CFBDRN COC1(CCSCc2c([N+](=O)[O-])ncn2C)CCC1 ZINC000419495311 382318395 /nfs/dbraw/zinc/31/83/95/382318395.db2.gz RGKKWPALEUOIJD-UHFFFAOYSA-N 0 0 285.369 2.521 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1NC[C@H](CO)C1CC1 ZINC000357788156 382322017 /nfs/dbraw/zinc/32/20/17/382322017.db2.gz WBKJLBGKNZRJIT-SNVBAGLBSA-N 0 0 284.743 2.987 20 5 CFBDRN COc1ccc(NC(=O)c2coc(C)c2)c([N+](=O)[O-])c1 ZINC000188008044 382323195 /nfs/dbraw/zinc/32/31/95/382323195.db2.gz INCHADGZIWFMOE-UHFFFAOYSA-N 0 0 276.248 2.757 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CN1CCO[C@H](C2CC2)C1 ZINC000364532188 382325405 /nfs/dbraw/zinc/32/54/05/382325405.db2.gz WLKLXZYAMPMNOR-HNNXBMFYSA-N 0 0 276.336 2.514 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1N[C@H]1c2ccccc2C[C@@H]1O ZINC000302822296 382326364 /nfs/dbraw/zinc/32/63/64/382326364.db2.gz SGEIPYASMXDROX-GJZGRUSLSA-N 0 0 288.278 2.804 20 5 CFBDRN Cc1ccc(N(C)[C@H](C)C(=O)NC(C)(C)C)c([N+](=O)[O-])c1 ZINC000302827428 382329865 /nfs/dbraw/zinc/32/98/65/382329865.db2.gz BJWQGDIKAVVYRO-LLVKDONJSA-N 0 0 293.367 2.643 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCCO[C@H]3CCC[C@H]32)c(Cl)c1 ZINC000377759945 382337424 /nfs/dbraw/zinc/33/74/24/382337424.db2.gz JNKXBNKXNCOTML-NEPJUHHUSA-N 0 0 297.742 2.791 20 5 CFBDRN C[C@H](CCO)Nc1ccc(C(F)F)cc1[N+](=O)[O-] ZINC000302694304 382293612 /nfs/dbraw/zinc/29/36/12/382293612.db2.gz UCMRTBNTTGNXAS-SSDOTTSWSA-N 0 0 260.240 2.715 20 5 CFBDRN Cc1nc(N[C@H]2C[C@H](CO)c3ccccc32)ccc1[N+](=O)[O-] ZINC000364282203 382297499 /nfs/dbraw/zinc/29/74/99/382297499.db2.gz MSPWFZZOKNXZGM-RISCZKNCSA-N 0 0 299.330 2.931 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 ZINC000293935366 382343771 /nfs/dbraw/zinc/34/37/71/382343771.db2.gz DUUYPCKCABKKOU-DTWKUNHWSA-N 0 0 273.292 2.557 20 5 CFBDRN Cc1ccncc1CN(C)C(=O)c1cccc([N+](=O)[O-])c1C ZINC000357855690 382352010 /nfs/dbraw/zinc/35/20/10/382352010.db2.gz RNOQNJSOPBMZMN-UHFFFAOYSA-N 0 0 299.330 2.879 20 5 CFBDRN CCC(CC)c1noc(-c2nn(CC)cc2[N+](=O)[O-])n1 ZINC000346431084 382358371 /nfs/dbraw/zinc/35/83/71/382358371.db2.gz ZWJYPOJEWBHFOP-UHFFFAOYSA-N 0 0 279.300 2.765 20 5 CFBDRN O=C(Cc1ccccc1[N+](=O)[O-])N1C[C@H]2CCCCC[C@H]21 ZINC000378092173 382397163 /nfs/dbraw/zinc/39/71/63/382397163.db2.gz WECBGMBAJGYYRO-ZIAGYGMSSA-N 0 0 288.347 2.928 20 5 CFBDRN CCc1ccc(C(=O)N2CC[C@H]2CC)cc1[N+](=O)[O-] ZINC000293998758 382373545 /nfs/dbraw/zinc/37/35/45/382373545.db2.gz MAZIYLHHGKFPLI-GFCCVEGCSA-N 0 0 262.309 2.782 20 5 CFBDRN CC[C@H]1C[C@@H](Nc2ccc([N+](=O)[O-])c(N)c2F)CCO1 ZINC000420615397 382427116 /nfs/dbraw/zinc/42/71/16/382427116.db2.gz DDXJXJZPQMEZNN-IUCAKERBSA-N 0 0 283.303 2.686 20 5 CFBDRN Cc1nn(CC(=O)c2ccc3c(c2)CCCC3)cc1[N+](=O)[O-] ZINC000294132224 382427860 /nfs/dbraw/zinc/42/78/60/382427860.db2.gz FPTLINXUIPQZPE-UHFFFAOYSA-N 0 0 299.330 2.861 20 5 CFBDRN CC[C@@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000420617780 382428398 /nfs/dbraw/zinc/42/83/98/382428398.db2.gz BTKFJKDOKPADCT-TXEJJXNPSA-N 0 0 294.351 2.997 20 5 CFBDRN CC[C@@H]1C[C@@H](Nc2cc(OC)ccc2[N+](=O)[O-])CCO1 ZINC000420620933 382429811 /nfs/dbraw/zinc/42/98/11/382429811.db2.gz REKNFOBWYWUYLQ-WDEREUQCSA-N 0 0 280.324 2.973 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N1CC[C@]12CCOC2 ZINC000420642018 382441161 /nfs/dbraw/zinc/44/11/61/382441161.db2.gz ODRPMICQNSAPJC-OAHLLOKOSA-N 0 0 285.303 2.512 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCCC1 ZINC000188593845 382441469 /nfs/dbraw/zinc/44/14/69/382441469.db2.gz WORXOQPXSPWBLZ-UHFFFAOYSA-N 0 0 263.297 2.839 20 5 CFBDRN COC1([C@@H](C)Nc2ccc([N+](=O)[O-])c(C)c2)CCOCC1 ZINC000420648253 382443603 /nfs/dbraw/zinc/44/36/03/382443603.db2.gz YIJDISDYDBCOKR-GFCCVEGCSA-N 0 0 294.351 2.899 20 5 CFBDRN CC(C)CC(CC(C)C)NC(=O)c1cc([N+](=O)[O-])nn1C ZINC000357997826 382402703 /nfs/dbraw/zinc/40/27/03/382402703.db2.gz ZANFCCZHQJEHMT-UHFFFAOYSA-N 0 0 296.371 2.519 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCOC(F)(F)F ZINC000352583688 382405346 /nfs/dbraw/zinc/40/53/46/382405346.db2.gz NCPWJFSKTRQMBW-UHFFFAOYSA-N 0 0 268.166 2.682 20 5 CFBDRN CCc1[nH]nc(-c2nc(C(CC)CC)no2)c1[N+](=O)[O-] ZINC000420503192 382407353 /nfs/dbraw/zinc/40/73/53/382407353.db2.gz LFPCGUYDKVRMSD-UHFFFAOYSA-N 0 0 279.300 2.834 20 5 CFBDRN CC(C)n1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)cn1 ZINC000188414372 382409832 /nfs/dbraw/zinc/40/98/32/382409832.db2.gz VGUNUDHYMYLLQV-UHFFFAOYSA-N 0 0 274.280 2.625 20 5 CFBDRN CCc1cnccc1CNC(=O)c1cc([N+](=O)[O-])ccc1C ZINC000352599319 382411021 /nfs/dbraw/zinc/41/10/21/382411021.db2.gz YRKQKPMBNRYJIE-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN O=[N+]([O-])c1ccc(COc2ccc(CO)cc2)c(F)c1 ZINC000304615471 382413573 /nfs/dbraw/zinc/41/35/73/382413573.db2.gz KQFJCJSGSWRUAX-UHFFFAOYSA-N 0 0 277.251 2.805 20 5 CFBDRN O=[N+]([O-])c1cc(CN2CC([C@H]3CCOC3)C2)ccc1Cl ZINC000365558287 382414332 /nfs/dbraw/zinc/41/43/32/382414332.db2.gz COKGXIRNQJZAHU-NSHDSACASA-N 0 0 296.754 2.717 20 5 CFBDRN CC(=O)c1ccccc1NC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000294195447 382454450 /nfs/dbraw/zinc/45/44/50/382454450.db2.gz BLSUFPMCGVHLJL-UHFFFAOYSA-N 0 0 278.308 2.663 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2csc([N+](=O)[O-])c2)CCCO1 ZINC000294196809 382455533 /nfs/dbraw/zinc/45/55/33/382455533.db2.gz CAQJUOVINVSDKQ-NSHDSACASA-N 0 0 298.364 2.543 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1OCC[C@H]1CCCO1 ZINC000188726747 382464714 /nfs/dbraw/zinc/46/47/14/382464714.db2.gz WJPPHDGTRCDGLD-LLVKDONJSA-N 0 0 267.281 2.551 20 5 CFBDRN CCN(CC1CCC1)C(=O)c1cccc(OC)c1[N+](=O)[O-] ZINC000358153925 382473764 /nfs/dbraw/zinc/47/37/64/382473764.db2.gz KBWIHLQCGJQOHC-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@H](C)CNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000420855771 382478661 /nfs/dbraw/zinc/47/86/61/382478661.db2.gz XANUANQOBZYWTF-LBPRGKRZSA-N 0 0 293.367 2.825 20 5 CFBDRN Cc1c(Nc2ncccc2[N+](=O)[O-])cnn1CC(C)C ZINC000346695403 382479673 /nfs/dbraw/zinc/47/96/73/382479673.db2.gz AVTUHIFBQGVZPD-UHFFFAOYSA-N 0 0 275.312 2.894 20 5 CFBDRN Nc1ccc(C(=O)N2CCC3(CCC3)CC2)cc1[N+](=O)[O-] ZINC000308703958 382484993 /nfs/dbraw/zinc/48/49/93/382484993.db2.gz HRDNQEHDJRDFPN-UHFFFAOYSA-N 0 0 289.335 2.583 20 5 CFBDRN CC(=O)c1cc(N2CCC(F)(F)C2)ccc1[N+](=O)[O-] ZINC000313297741 382520347 /nfs/dbraw/zinc/52/03/47/382520347.db2.gz GENKWLSWBYRHDF-UHFFFAOYSA-N 0 0 270.235 2.643 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1CCC[C@@H]1C(F)F ZINC000353193426 382525336 /nfs/dbraw/zinc/52/53/36/382525336.db2.gz NKGIHHPVUPKRNK-LLVKDONJSA-N 0 0 286.278 2.833 20 5 CFBDRN COc1ccc(NC(=O)[C@@]23C[C@@H]2CCC3)c([N+](=O)[O-])c1 ZINC000421418672 382529372 /nfs/dbraw/zinc/52/93/72/382529372.db2.gz IEOIJKVLFRJALO-XPTSAGLGSA-N 0 0 276.292 2.732 20 5 CFBDRN CO[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000378637808 382497341 /nfs/dbraw/zinc/49/73/41/382497341.db2.gz OOONJWVXZOSLKC-CYBMUJFWSA-N 0 0 292.335 2.544 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)CSC1CC1 ZINC000294295309 382498884 /nfs/dbraw/zinc/49/88/84/382498884.db2.gz VVUBMARRUXLRBE-UHFFFAOYSA-N 0 0 266.322 2.737 20 5 CFBDRN C[C@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)Cc1cccnc1 ZINC000346762261 382502910 /nfs/dbraw/zinc/50/29/10/382502910.db2.gz IWWUYKIOMVIJRO-NSHDSACASA-N 0 0 297.314 2.510 20 5 CFBDRN O=[N+]([O-])c1cccc(CO[C@@H]2CCCc3c[nH]nc32)c1 ZINC000188924187 382505428 /nfs/dbraw/zinc/50/54/28/382505428.db2.gz MZGKSLKJXLTNJP-CYBMUJFWSA-N 0 0 273.292 2.912 20 5 CFBDRN C[C@H](NC(=O)[C@H]1CC12CC2)c1cccc([N+](=O)[O-])c1 ZINC000421443881 382539027 /nfs/dbraw/zinc/53/90/27/382539027.db2.gz GZTRTFOWQJNNDM-JOYOIKCWSA-N 0 0 260.293 2.572 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C[N@@H+]1CCCC2(CC2)C1 ZINC000366651649 382539387 /nfs/dbraw/zinc/53/93/87/382539387.db2.gz VSGPAZOGLRJLKZ-UHFFFAOYSA-N 0 0 276.336 2.979 20 5 CFBDRN CC1(C)C(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)C1(C)C ZINC000358286247 382547675 /nfs/dbraw/zinc/54/76/75/382547675.db2.gz GMMJEUPGPYDZPY-UHFFFAOYSA-N 0 0 290.363 2.936 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)NCCCOC(C)C ZINC000421474056 382549185 /nfs/dbraw/zinc/54/91/85/382549185.db2.gz PSCKHTWYKSWLBG-UHFFFAOYSA-N 0 0 294.351 2.757 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2NC[C@@H]2CC[C@@H](O)C2)c1 ZINC000294968090 382556442 /nfs/dbraw/zinc/55/64/42/382556442.db2.gz LMUYZFNFHVGIGT-ZWNOBZJWSA-N 0 0 287.319 2.716 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(CF)CC2)c(F)c1 ZINC000294996634 382559581 /nfs/dbraw/zinc/55/95/81/382559581.db2.gz NSBXPXYXNWBSIE-UHFFFAOYSA-N 0 0 256.252 2.920 20 5 CFBDRN Cc1cc(NC(=O)[C@@]2(C)CC2(F)F)ccc1[N+](=O)[O-] ZINC000421500842 382560485 /nfs/dbraw/zinc/56/04/85/382560485.db2.gz CHVRVUCRQGBHHJ-LLVKDONJSA-N 0 0 270.235 2.887 20 5 CFBDRN C[C@H](CC(C)(C)O)Nc1c(F)cc([N+](=O)[O-])cc1F ZINC000295009027 382562474 /nfs/dbraw/zinc/56/24/74/382562474.db2.gz PBLIXLPWSPWYSY-SSDOTTSWSA-N 0 0 274.267 2.834 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1C[C@H]1CC(C)C ZINC000385123845 382565340 /nfs/dbraw/zinc/56/53/40/382565340.db2.gz FLNPYWWZUGHFBF-GHMZBOCLSA-N 0 0 266.345 2.966 20 5 CFBDRN COc1ccc(NC(=O)[C@]2(C)CC2(F)F)cc1[N+](=O)[O-] ZINC000421515158 382566211 /nfs/dbraw/zinc/56/62/11/382566211.db2.gz RPVLPALTXVGEGZ-NSHDSACASA-N 0 0 286.234 2.587 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)[C@H](C)C1 ZINC000421518115 382566707 /nfs/dbraw/zinc/56/67/07/382566707.db2.gz YNHIDCGQHBPPCO-VXGBXAGGSA-N 0 0 276.336 2.940 20 5 CFBDRN COc1ccc(NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1[N+](=O)[O-] ZINC000421520750 382567688 /nfs/dbraw/zinc/56/76/88/382567688.db2.gz XUIIDTCYIHYZSA-GMTAPVOTSA-N 0 0 290.319 2.978 20 5 CFBDRN O=C(N1CCc2ccc([N+](=O)[O-])cc2C1)[C@@]12C[C@@H]1CCC2 ZINC000421523231 382568558 /nfs/dbraw/zinc/56/85/58/382568558.db2.gz NOKXFGZNHOIKAX-BBRMVZONSA-N 0 0 286.331 2.670 20 5 CFBDRN CCOc1cc(NCc2nccn2CC)ccc1[N+](=O)[O-] ZINC000385428144 382569597 /nfs/dbraw/zinc/56/95/97/382569597.db2.gz DEPYGGHOWKKCJZ-UHFFFAOYSA-N 0 0 290.323 2.822 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000421429586 382533479 /nfs/dbraw/zinc/53/34/79/382533479.db2.gz RIRZJYGTBDSAQS-GMTAPVOTSA-N 0 0 290.319 2.978 20 5 CFBDRN CC(C)(CCC(=O)N1CCc2c1cccc2F)[N+](=O)[O-] ZINC000294870974 382535485 /nfs/dbraw/zinc/53/54/85/382535485.db2.gz DDFCDFPZCQDEBT-UHFFFAOYSA-N 0 0 280.299 2.550 20 5 CFBDRN CC[C@H](C)[C@@H](OC)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000353306116 382535780 /nfs/dbraw/zinc/53/57/80/382535780.db2.gz PUEXIZLSRKLGSH-TVQRCGJNSA-N 0 0 280.324 2.903 20 5 CFBDRN CC(C)C(C)(C)CNC(=O)c1cccc([N+](=O)[O-])c1N ZINC000189295029 382584230 /nfs/dbraw/zinc/58/42/30/382584230.db2.gz JJWMVGIELDWDKF-UHFFFAOYSA-N 0 0 279.340 2.589 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N[C@H]1CC12CCOCC2 ZINC000386946914 382594599 /nfs/dbraw/zinc/59/45/99/382594599.db2.gz VRGXVCVGNXHTLE-LBPRGKRZSA-N 0 0 262.309 2.884 20 5 CFBDRN CO[C@@H]([C@H](C)Nc1ccc([N+](=O)[O-])cc1F)C1CC1 ZINC000388221839 382603501 /nfs/dbraw/zinc/60/35/01/382603501.db2.gz IPRDXKQRSQQZDK-SDBXPKJASA-N 0 0 268.288 2.959 20 5 CFBDRN O=C(NCCC1CCOCC1)Nc1cccc([N+](=O)[O-])c1 ZINC000358409785 382603926 /nfs/dbraw/zinc/60/39/26/382603926.db2.gz MGKAKGHMXJQNMY-UHFFFAOYSA-N 0 0 293.323 2.533 20 5 CFBDRN Cc1ccc(OC[C@H](O)CC(F)(F)F)cc1[N+](=O)[O-] ZINC000189413392 382605276 /nfs/dbraw/zinc/60/52/76/382605276.db2.gz DASDUABIIKXUNY-MRVPVSSYSA-N 0 0 279.214 2.595 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OC[C@@H](O)CC(F)(F)F)c1 ZINC000189410672 382605440 /nfs/dbraw/zinc/60/54/40/382605440.db2.gz ZNCVYPLSMFUYRN-QMMMGPOBSA-N 0 0 279.214 2.595 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)c(OC[C@H](O)CC(F)(F)F)c1 ZINC000189413589 382605721 /nfs/dbraw/zinc/60/57/21/382605721.db2.gz PHANLZNPFSBLNH-SSDOTTSWSA-N 0 0 299.632 2.940 20 5 CFBDRN CC[C@@H]1CCC[C@@H]1Nc1ccc(C(=O)NC)cc1[N+](=O)[O-] ZINC000388641447 382608090 /nfs/dbraw/zinc/60/80/90/382608090.db2.gz OSEDIENRPFOCQB-PWSUYJOCSA-N 0 0 291.351 2.945 20 5 CFBDRN Cc1c(NCCC2(O)CCC2)cccc1[N+](=O)[O-] ZINC000397700526 382633968 /nfs/dbraw/zinc/63/39/68/382633968.db2.gz LLDCZSSNMFVAFY-UHFFFAOYSA-N 0 0 250.298 2.620 20 5 CFBDRN Cc1nnc(CNc2c(F)cccc2[N+](=O)[O-])s1 ZINC000391993572 382621641 /nfs/dbraw/zinc/62/16/41/382621641.db2.gz UTEVJLUXJMNQSR-UHFFFAOYSA-N 0 0 268.273 2.506 20 5 CFBDRN COc1cnccc1[C@H](C)NCc1ccc([N+](=O)[O-])cc1 ZINC000189545752 382622531 /nfs/dbraw/zinc/62/25/31/382622531.db2.gz LFDBCZSRNOTKMD-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN COc1cnccc1[C@@H](C)NCc1ccc([N+](=O)[O-])cc1 ZINC000189545771 382622654 /nfs/dbraw/zinc/62/26/54/382622654.db2.gz LFDBCZSRNOTKMD-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN CCOc1cccc(NC[C@H](CO)C(C)C)c1[N+](=O)[O-] ZINC000394037900 382625628 /nfs/dbraw/zinc/62/56/28/382625628.db2.gz IJQPHFJNRRGJQT-LLVKDONJSA-N 0 0 282.340 2.670 20 5 CFBDRN CC[C@H](NC(=O)Cc1ccccc1[N+](=O)[O-])C(F)(F)F ZINC000346949983 382582941 /nfs/dbraw/zinc/58/29/41/382582941.db2.gz NFCVDIFZTXFCRR-JTQLQIEISA-N 0 0 290.241 2.594 20 5 CFBDRN CCOC1CC(CCNC(=O)c2csc([N+](=O)[O-])c2)C1 ZINC000190008360 382650006 /nfs/dbraw/zinc/65/00/06/382650006.db2.gz TWJOTZYFLQMDCL-UHFFFAOYSA-N 0 0 298.364 2.591 20 5 CFBDRN C[C@H]1CCSCCN1C(=O)c1ccc([N+](=O)[O-])cc1 ZINC000190085794 382652932 /nfs/dbraw/zinc/65/29/32/382652932.db2.gz BJSBSWRDKUCEOO-JTQLQIEISA-N 0 0 280.349 2.562 20 5 CFBDRN COc1ccc(OCc2nc(C(C)C)no2)cc1[N+](=O)[O-] ZINC000190188062 382656366 /nfs/dbraw/zinc/65/63/66/382656366.db2.gz WUBMBZSVIONYTK-UHFFFAOYSA-N 0 0 293.279 2.689 20 5 CFBDRN CCOC(=O)[C@@H](C)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000190814928 382681329 /nfs/dbraw/zinc/68/13/29/382681329.db2.gz UNMSTNHCLOABSW-LLVKDONJSA-N 0 0 292.335 2.511 20 5 CFBDRN Cc1cc(N2C[C@@H]3CC[C@H](O)C[C@H]3C2)ccc1[N+](=O)[O-] ZINC000398843347 382636772 /nfs/dbraw/zinc/63/67/72/382636772.db2.gz POWBHSFMOPUWGO-OBJOEFQTSA-N 0 0 276.336 2.500 20 5 CFBDRN Cc1c(C(=O)N2CCSC[C@@H](C)C2)cccc1[N+](=O)[O-] ZINC000191284999 382697657 /nfs/dbraw/zinc/69/76/57/382697657.db2.gz YZZMSTJVOUEZKR-JTQLQIEISA-N 0 0 294.376 2.728 20 5 CFBDRN CC[C@@H](COC)NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C ZINC000191320294 382698353 /nfs/dbraw/zinc/69/83/53/382698353.db2.gz PPMFOHGOWYIPJI-NSHDSACASA-N 0 0 295.339 2.758 20 5 CFBDRN Cc1cnc(COC(=O)[C@H]2C[C@H]2C(C)C)c(C)c1[N+](=O)[O-] ZINC000191368570 382699200 /nfs/dbraw/zinc/69/92/00/382699200.db2.gz CMJUFIUARNMQMY-RYUDHWBXSA-N 0 0 292.335 2.942 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCC[C@H](O)C3)n2)cc1 ZINC000336850874 382735269 /nfs/dbraw/zinc/73/52/69/382735269.db2.gz ZWAQSCAWHRMSJX-PWSUYJOCSA-N 0 0 289.291 2.663 20 5 CFBDRN CN(CCN(C)c1ccccc1)c1ncc([N+](=O)[O-])s1 ZINC000192452249 382709013 /nfs/dbraw/zinc/70/90/13/382709013.db2.gz RQJWORJBSCEXEW-UHFFFAOYSA-N 0 0 292.364 2.624 20 5 CFBDRN CCCN(C(=O)C1CCOCC1)c1cccc([N+](=O)[O-])c1 ZINC000194123382 382735652 /nfs/dbraw/zinc/73/56/52/382735652.db2.gz SGWVNHULLLBFEV-UHFFFAOYSA-N 0 0 292.335 2.764 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N(C)Cc1ccoc1C ZINC000192524619 382709753 /nfs/dbraw/zinc/70/97/53/382709753.db2.gz FTIZFCTYSMUWOB-UHFFFAOYSA-N 0 0 278.312 2.657 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H]1CCC(C)(C)O1 ZINC000192586455 382710447 /nfs/dbraw/zinc/71/04/47/382710447.db2.gz WQTSMULVJLRXBN-NSHDSACASA-N 0 0 292.335 2.591 20 5 CFBDRN CCCc1n[nH]c(C(=O)N(C)C2CCCCC2)c1[N+](=O)[O-] ZINC000193329013 382718730 /nfs/dbraw/zinc/71/87/30/382718730.db2.gz CJXKUCDJDDDSIO-UHFFFAOYSA-N 0 0 294.355 2.675 20 5 CFBDRN C[C@@H](O)COc1ccc(Cc2ccccc2)cc1[N+](=O)[O-] ZINC000193422117 382719613 /nfs/dbraw/zinc/71/96/13/382719613.db2.gz BNFUJIIUYZZWFL-GFCCVEGCSA-N 0 0 287.315 2.945 20 5 CFBDRN O=[N+]([O-])c1ccc(SCCO[C@H]2CCCCO2)nc1 ZINC000193431803 382719842 /nfs/dbraw/zinc/71/98/42/382719842.db2.gz IZJIDTWJMKTNAL-LBPRGKRZSA-N 0 0 284.337 2.625 20 5 CFBDRN CS[C@H]1CCCCN(c2cc(N)ccc2[N+](=O)[O-])C1 ZINC000193483338 382720174 /nfs/dbraw/zinc/72/01/74/382720174.db2.gz JHGXQHUGYRVNOW-NSHDSACASA-N 0 0 281.381 2.899 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCCCO2)c1 ZINC000193496907 382720406 /nfs/dbraw/zinc/72/04/06/382720406.db2.gz UVSDCAVARLLBEO-NSHDSACASA-N 0 0 265.313 2.548 20 5 CFBDRN C[C@](O)(CNc1cc(N)ccc1[N+](=O)[O-])C1CCCCC1 ZINC000193526608 382720785 /nfs/dbraw/zinc/72/07/85/382720785.db2.gz MIXHTVMGRJWPKW-HNNXBMFYSA-N 0 0 293.367 2.920 20 5 CFBDRN Cc1cc(NC(=O)N[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000193551885 382720915 /nfs/dbraw/zinc/72/09/15/382720915.db2.gz MIKKBEIZUBURNH-VIFPVBQESA-N 0 0 263.297 2.823 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1Sc1ccc(CO)cc1 ZINC000289613513 197421611 /nfs/dbraw/zinc/42/16/11/197421611.db2.gz LAJGSDGBBAZBHS-UHFFFAOYSA-N 0 0 293.348 2.763 20 5 CFBDRN CC(=O)c1ccc(OCc2cccnc2)c([N+](=O)[O-])c1 ZINC000193687744 382722533 /nfs/dbraw/zinc/72/25/33/382722533.db2.gz LRJJWPPHIFOYNI-UHFFFAOYSA-N 0 0 272.260 2.771 20 5 CFBDRN C[C@H](N[C@H]1CCc2c1cccc2[N+](=O)[O-])c1ccn(C)n1 ZINC000575072396 382726245 /nfs/dbraw/zinc/72/62/45/382726245.db2.gz ZSQIEWOCYHCABK-HZMBPMFUSA-N 0 0 286.335 2.666 20 5 CFBDRN CCOC[C@@H](O)COc1ccc([N+](=O)[O-])c2ccccc12 ZINC000193825793 382726340 /nfs/dbraw/zinc/72/63/40/382726340.db2.gz LZCJPYNDVWUTHB-LLVKDONJSA-N 0 0 291.303 2.524 20 5 CFBDRN CN(Cc1ccco1)Cc1c(F)cccc1[N+](=O)[O-] ZINC000171614320 322409949 /nfs/dbraw/zinc/40/99/49/322409949.db2.gz CYEFVTHJGMOKOV-UHFFFAOYSA-N 0 0 264.256 2.959 20 5 CFBDRN CC[C@H](CNc1ccc([N+](=O)[O-])c2ncccc12)OC ZINC000336832679 382727015 /nfs/dbraw/zinc/72/70/15/382727015.db2.gz MPWULYSMQGGUII-SNVBAGLBSA-N 0 0 275.308 2.980 20 5 CFBDRN CC1(C)CN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC[C@@H]1O ZINC000336840741 382731589 /nfs/dbraw/zinc/73/15/89/382731589.db2.gz QONZJRAQHZQCLC-ZDUSSCGKSA-N 0 0 298.770 2.841 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000575242103 382732451 /nfs/dbraw/zinc/73/24/51/382732451.db2.gz XPARAIOBKOJTKU-JHJVBQTASA-N 0 0 274.320 2.647 20 5 CFBDRN C[C@H]1CCC[C@@H]1CNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000336844350 382733368 /nfs/dbraw/zinc/73/33/68/382733368.db2.gz ZEVXEIZDPULKIR-VHSXEESVSA-N 0 0 280.299 2.900 20 5 CFBDRN Cc1c(OC[C@@H]2CC[C@@H](C)O2)cccc1[N+](=O)[O-] ZINC000191096603 382690824 /nfs/dbraw/zinc/69/08/24/382690824.db2.gz XCTHOJRFGVEDDK-KOLCDFICSA-N 0 0 251.282 2.850 20 5 CFBDRN CN(CC(C)(C)O)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC000092970100 184639535 /nfs/dbraw/zinc/63/95/35/184639535.db2.gz XMQNXDAIFFFRNY-UHFFFAOYSA-N 0 0 292.257 2.821 20 5 CFBDRN C[C@@H](NC(=O)/C=C\c1cccc([N+](=O)[O-])c1)C1CC1 ZINC000208017684 382774223 /nfs/dbraw/zinc/77/42/23/382774223.db2.gz HZOIJDGKILWVHV-DAGBOUIGSA-N 0 0 260.293 2.523 20 5 CFBDRN COc1cc(N[C@@H]2CCO[C@@H](C)C2)c(F)cc1[N+](=O)[O-] ZINC000220086120 382794905 /nfs/dbraw/zinc/79/49/05/382794905.db2.gz ZPYZKUIZRIHAOF-DTWKUNHWSA-N 0 0 284.287 2.722 20 5 CFBDRN C[C@H]1C[C@H](Nc2cccc(F)c2[N+](=O)[O-])CCO1 ZINC000220097478 382795049 /nfs/dbraw/zinc/79/50/49/382795049.db2.gz CPEASUXEXKRPHX-DTWKUNHWSA-N 0 0 254.261 2.713 20 5 CFBDRN COC(C)(C)CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000176220464 532955301 /nfs/dbraw/zinc/95/53/01/532955301.db2.gz ZGBICCCIMXFQKP-UHFFFAOYSA-N 0 0 295.339 2.758 20 5 CFBDRN COc1cc(NCC2CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000218851600 382790897 /nfs/dbraw/zinc/79/08/97/382790897.db2.gz CVVYUOJJLOCHQT-UHFFFAOYSA-N 0 0 284.287 2.581 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@]2(O)CCC[C@H]2C)c1 ZINC000336898468 382739109 /nfs/dbraw/zinc/73/91/09/382739109.db2.gz QIWLJYFWATTXEG-RISCZKNCSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1ccnc(CNc2nc(C)ccc2[N+](=O)[O-])c1 ZINC000336900531 382739294 /nfs/dbraw/zinc/73/92/94/382739294.db2.gz RZDVBGGCTGAABK-UHFFFAOYSA-N 0 0 258.281 2.614 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])c(N)c2F)CCC1 ZINC000336923775 382742768 /nfs/dbraw/zinc/74/27/68/382742768.db2.gz JIZNDFSTTVZMKX-UHFFFAOYSA-N 0 0 283.303 2.545 20 5 CFBDRN CN(Cc1cc(C(C)(C)C)n[nH]1)c1ncc([N+](=O)[O-])s1 ZINC000336930459 382743213 /nfs/dbraw/zinc/74/32/13/382743213.db2.gz PBHDBQHLUDQMMS-UHFFFAOYSA-N 0 0 295.368 2.708 20 5 CFBDRN CSC1(CNC(=O)c2ccc(C)c([N+](=O)[O-])c2)CCC1 ZINC000194475198 382745240 /nfs/dbraw/zinc/74/52/40/382745240.db2.gz OSVGKKDIXWCZID-UHFFFAOYSA-N 0 0 294.376 2.919 20 5 CFBDRN CCc1ccc([C@@H](CO)Nc2ncc([N+](=O)[O-])s2)cc1 ZINC000336987168 382749034 /nfs/dbraw/zinc/74/90/34/382749034.db2.gz VTYZNWIPHVQMEO-LLVKDONJSA-N 0 0 293.348 2.759 20 5 CFBDRN CC(C)OC(=O)CC[C@H](C)Nc1ncc([N+](=O)[O-])s1 ZINC000337000516 382750628 /nfs/dbraw/zinc/75/06/28/382750628.db2.gz SWRZUSIJKQAFHP-QMMMGPOBSA-N 0 0 287.341 2.584 20 5 CFBDRN CC[C@H](CSC)NC(=O)c1c(C)cccc1[N+](=O)[O-] ZINC000195064824 382760596 /nfs/dbraw/zinc/76/05/96/382760596.db2.gz WKEBBMGAZJQCQV-SNVBAGLBSA-N 0 0 282.365 2.775 20 5 CFBDRN CS[C@H](CO)[C@H](C)Nc1c(Cl)cccc1[N+](=O)[O-] ZINC000228324564 382846682 /nfs/dbraw/zinc/84/66/82/382846682.db2.gz JZQPSUFRSJMSSJ-OIBJUYFYSA-N 0 0 290.772 2.773 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CC[C@@H](C)C1 ZINC000577132069 382849271 /nfs/dbraw/zinc/84/92/71/382849271.db2.gz ASXKBLWNSUJGIO-SECBINFHSA-N 0 0 278.308 2.536 20 5 CFBDRN CC(C)=CCNC(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000229047082 382850128 /nfs/dbraw/zinc/85/01/28/382850128.db2.gz IHQUCZGJMDAHGB-UHFFFAOYSA-N 0 0 266.272 2.738 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CCC[C@@H](O)C1 ZINC000223247639 382804635 /nfs/dbraw/zinc/80/46/35/382804635.db2.gz RRCIQORLENKCLK-WCQYABFASA-N 0 0 264.325 2.866 20 5 CFBDRN CO[C@H](C)CNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000224317934 382810168 /nfs/dbraw/zinc/81/01/68/382810168.db2.gz IQKAHVSIUNINHQ-SECBINFHSA-N 0 0 261.281 2.590 20 5 CFBDRN CC(C)[C@H]1OCCC[C@@H]1CNc1ncc([N+](=O)[O-])cc1F ZINC000576366147 382820348 /nfs/dbraw/zinc/82/03/48/382820348.db2.gz FEUNAFJOYKHYOZ-ZWNOBZJWSA-N 0 0 297.330 2.992 20 5 CFBDRN Cc1nn(C)c(SCCC(F)(F)F)c1[N+](=O)[O-] ZINC000280097734 194701657 /nfs/dbraw/zinc/70/16/57/194701657.db2.gz APSYDRVCVQIXEC-UHFFFAOYSA-N 0 0 269.248 2.681 20 5 CFBDRN C[C@@H](CO)[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000226146620 382824875 /nfs/dbraw/zinc/82/48/75/382824875.db2.gz YYSGFJGVLPUBAE-JGVFFNPUSA-N 0 0 258.705 2.677 20 5 CFBDRN CCC[C@](C)(O)CNc1ccc([N+](=O)[O-])c(C)c1 ZINC000226234553 382825194 /nfs/dbraw/zinc/82/51/94/382825194.db2.gz IXCWSBAXMHGVGR-ZDUSSCGKSA-N 0 0 252.314 2.866 20 5 CFBDRN Cc1ccc(NC(=O)NC2(C(F)F)CC2)cc1[N+](=O)[O-] ZINC000337470788 382826395 /nfs/dbraw/zinc/82/63/95/382826395.db2.gz VZNWMRJFZUKQOK-UHFFFAOYSA-N 0 0 285.250 2.822 20 5 CFBDRN CC[C@@H]1C[C@H]1Nc1ncc([N+](=O)[O-])cc1Br ZINC000226357581 382827164 /nfs/dbraw/zinc/82/71/64/382827164.db2.gz MHHRAWBFNLNBNX-HZGVNTEJSA-N 0 0 286.129 2.963 20 5 CFBDRN O=[N+]([O-])c1ccc(OCCOc2ccccc2)cc1CO ZINC000337474957 382827148 /nfs/dbraw/zinc/82/71/48/382827148.db2.gz WMEWWUVZPFXXPI-UHFFFAOYSA-N 0 0 289.287 2.545 20 5 CFBDRN CCc1cccnc1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000337643516 382851580 /nfs/dbraw/zinc/85/15/80/382851580.db2.gz WIHHFPFGLMIUAO-UHFFFAOYSA-N 0 0 271.276 2.805 20 5 CFBDRN CCOc1cccc(N(C)CCCCCO)c1[N+](=O)[O-] ZINC000227108285 382833471 /nfs/dbraw/zinc/83/34/71/382833471.db2.gz PHURBKLVNSBDFU-UHFFFAOYSA-N 0 0 282.340 2.592 20 5 CFBDRN COc1cc(NCC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] ZINC000227316106 382835411 /nfs/dbraw/zinc/83/54/11/382835411.db2.gz HNIRCZZZQUAOJU-LBPRGKRZSA-N 0 0 280.324 2.975 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC[C@@H]2CCCCO2)c1 ZINC000227317168 382835815 /nfs/dbraw/zinc/83/58/15/382835815.db2.gz YHXISZMRPHXGAP-NSHDSACASA-N 0 0 280.324 2.975 20 5 CFBDRN COCCCCC[NH2+]Cc1ccccc1[N+](=O)[O-] ZINC000227442577 382837216 /nfs/dbraw/zinc/83/72/16/382837216.db2.gz ZWZXCCLUXBQYNY-UHFFFAOYSA-N 0 0 252.314 2.501 20 5 CFBDRN Cn1cc([N+](=O)[O-])c(-c2nc(C3CCCCCC3)no2)n1 ZINC000577221276 382852106 /nfs/dbraw/zinc/85/21/06/382852106.db2.gz LAWYBSFAHUYYGY-UHFFFAOYSA-N 0 0 291.311 2.816 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@H]1CC[C@H](O)C1 ZINC000227661389 382839013 /nfs/dbraw/zinc/83/90/13/382839013.db2.gz WGPTWCHCZJSHEU-WPRPVWTQSA-N 0 0 270.716 2.821 20 5 CFBDRN CSC1(CNc2ncc([N+](=O)[O-])cc2C)CCC1 ZINC000227988714 382842801 /nfs/dbraw/zinc/84/28/01/382842801.db2.gz HQAWATPUKRHAPF-UHFFFAOYSA-N 0 0 267.354 2.996 20 5 CFBDRN CSC1(CNc2cc(C)c([N+](=O)[O-])cn2)CCC1 ZINC000227987477 382842884 /nfs/dbraw/zinc/84/28/84/382842884.db2.gz PABKDJPEYQYEEK-UHFFFAOYSA-N 0 0 267.354 2.996 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(S[C@@H]2CCO[C@H]2C)c1 ZINC000227967121 382843105 /nfs/dbraw/zinc/84/31/05/382843105.db2.gz SYSOVQQQPSHHPW-GZMMTYOYSA-N 0 0 297.332 2.651 20 5 CFBDRN Cc1noc2ncc(NC(=O)c3ccccc3[N+](=O)[O-])cc12 ZINC000337567667 382843444 /nfs/dbraw/zinc/84/34/44/382843444.db2.gz AADPGORFLGVHHV-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1cc(C)c([N+](=O)[O-])cn1 ZINC000578241030 382904696 /nfs/dbraw/zinc/90/46/96/382904696.db2.gz QIBKLZQIFYTQFO-KOLCDFICSA-N 0 0 267.329 2.507 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@H]1CCC[C@H]1CCO ZINC000577232305 382852923 /nfs/dbraw/zinc/85/29/23/382852923.db2.gz ZHDRBUOECCUWSI-QWRGUYRKSA-N 0 0 280.324 2.566 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1CCC[C@@H]1CCO ZINC000577232303 382853035 /nfs/dbraw/zinc/85/30/35/382853035.db2.gz ZHDRBUOECCUWSI-GHMZBOCLSA-N 0 0 280.324 2.566 20 5 CFBDRN C[C@H](CO)CCCNc1ccc([N+](=O)[O-])c2cccnc12 ZINC000230147866 382856349 /nfs/dbraw/zinc/85/63/49/382856349.db2.gz ZPDKAMZINHNBEQ-NSHDSACASA-N 0 0 289.335 2.964 20 5 CFBDRN C[C@H]1CCCC[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000230170183 382856707 /nfs/dbraw/zinc/85/67/07/382856707.db2.gz WFASBSGUUWUZFH-KWQFWETISA-N 0 0 295.314 2.625 20 5 CFBDRN CCC[C@H](CCO)CNc1ccc([N+](=O)[O-])c(C)n1 ZINC000230185834 382857148 /nfs/dbraw/zinc/85/71/48/382857148.db2.gz WZDNEUZZLQOWEW-LLVKDONJSA-N 0 0 267.329 2.509 20 5 CFBDRN CC(C)Oc1nc(N[C@@H]2CCCSC2)ccc1[N+](=O)[O-] ZINC000577510074 382861901 /nfs/dbraw/zinc/86/19/01/382861901.db2.gz JTVGSHJCRVWFKV-SNVBAGLBSA-N 0 0 297.380 2.506 20 5 CFBDRN O=[N+]([O-])c1ccccc1NC[C@H]1CCC[C@H](O)C1 ZINC000230908786 382863331 /nfs/dbraw/zinc/86/33/31/382863331.db2.gz CWFFWYDHEZGYJZ-QWRGUYRKSA-N 0 0 250.298 2.558 20 5 CFBDRN Cc1cc(NC[C@@H]2CCC[C@@H](O)C2)ccc1[N+](=O)[O-] ZINC000230908427 382863368 /nfs/dbraw/zinc/86/33/68/382863368.db2.gz WMBAZMPBBBCGPS-DGCLKSJQSA-N 0 0 264.325 2.866 20 5 CFBDRN Cc1cc(NC[C@H]2CCC[C@H](O)C2)ccc1[N+](=O)[O-] ZINC000230908440 382863514 /nfs/dbraw/zinc/86/35/14/382863514.db2.gz WMBAZMPBBBCGPS-AAEUAGOBSA-N 0 0 264.325 2.866 20 5 CFBDRN CC(=O)c1ccc(S[C@@H](C)[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000230921768 382863850 /nfs/dbraw/zinc/86/38/50/382863850.db2.gz IZRZRWNPQBFXSP-APPZFPTMSA-N 0 0 269.322 2.659 20 5 CFBDRN CS[C@H]1CC[C@H](Nc2nccc(C)c2[N+](=O)[O-])C1 ZINC000230917797 382863870 /nfs/dbraw/zinc/86/38/70/382863870.db2.gz CEBVPVJQTYIBRQ-UWVGGRQHSA-N 0 0 267.354 2.994 20 5 CFBDRN Cc1ccc(NC(=O)[C@@H]2C[C@H]2C(F)F)cc1[N+](=O)[O-] ZINC000337778793 382864194 /nfs/dbraw/zinc/86/41/94/382864194.db2.gz ZQAJMIOAQAPEPG-RKDXNWHRSA-N 0 0 270.235 2.743 20 5 CFBDRN Cc1cccc(NCCOCC(F)F)c1[N+](=O)[O-] ZINC000231678424 382866372 /nfs/dbraw/zinc/86/63/72/382866372.db2.gz OLEYHRCSOYJODH-UHFFFAOYSA-N 0 0 260.240 2.597 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC1CCC1 ZINC000232073590 382868076 /nfs/dbraw/zinc/86/80/76/382868076.db2.gz DTPCKJGELQCVGG-UHFFFAOYSA-N 0 0 263.297 2.886 20 5 CFBDRN C[C@@H](Nc1ccc([N+](=O)[O-])cn1)c1ccncc1F ZINC000337830640 382869843 /nfs/dbraw/zinc/86/98/43/382869843.db2.gz VSUFWMXPAHIZIW-MRVPVSSYSA-N 0 0 262.244 2.697 20 5 CFBDRN Nc1c(F)c(N[C@@H](CO)CC2CCCC2)ccc1[N+](=O)[O-] ZINC000337837715 382870435 /nfs/dbraw/zinc/87/04/35/382870435.db2.gz YDNOBAVTVCTECQ-SNVBAGLBSA-N 0 0 297.330 2.669 20 5 CFBDRN CCN(CC)C(=O)c1cc([N+](=O)[O-])cc(C)c1F ZINC000233450573 382871223 /nfs/dbraw/zinc/87/12/23/382871223.db2.gz MBYRHPVRCTUGSR-UHFFFAOYSA-N 0 0 254.261 2.524 20 5 CFBDRN Cc1cn([C@@H](C)c2nc(-c3ccccc3)no2)nc1[N+](=O)[O-] ZINC000337941072 382875111 /nfs/dbraw/zinc/87/51/11/382875111.db2.gz GGLQMGDNZBRYTO-JTQLQIEISA-N 0 0 299.290 2.759 20 5 CFBDRN CCO[C@@H](C)c1nc(Cn2cc(C)c([N+](=O)[O-])n2)cs1 ZINC000337943314 382875552 /nfs/dbraw/zinc/87/55/52/382875552.db2.gz YANITQZSGGYPIQ-VIFPVBQESA-N 0 0 296.352 2.702 20 5 CFBDRN CCO/C=C\C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 ZINC000493705711 382879257 /nfs/dbraw/zinc/87/92/57/382879257.db2.gz KNAFGENYVBJSOF-TVRMLOFPSA-N 0 0 278.308 2.631 20 5 CFBDRN CC[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])c(C)cc1C ZINC000421595117 382880633 /nfs/dbraw/zinc/88/06/33/382880633.db2.gz MLDDCQMXODVHET-SECBINFHSA-N 0 0 264.325 2.988 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H](CC)C1 ZINC000280143480 194721802 /nfs/dbraw/zinc/72/18/02/194721802.db2.gz REFHKZOVIWJEQS-NSHDSACASA-N 0 0 292.335 2.866 20 5 CFBDRN CC[C@@](C)(OC)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000280153515 194725935 /nfs/dbraw/zinc/72/59/35/194725935.db2.gz CNQNKXTZINBSMG-IINYFYTJSA-N 0 0 280.324 2.587 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@H]2CC[C@H](O)C2)c(Cl)c1 ZINC000236580489 382895775 /nfs/dbraw/zinc/89/57/75/382895775.db2.gz BELMWMDWVAMOGD-WPRPVWTQSA-N 0 0 270.716 2.821 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)[NH2+][C@@H](CO)CC(F)F ZINC000578201526 382899226 /nfs/dbraw/zinc/89/92/26/382899226.db2.gz SAZSGPSDPDYODV-NXEZZACHSA-N 0 0 288.294 2.570 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCc2ccn(C(C)C)n2)n1 ZINC000578615239 382936859 /nfs/dbraw/zinc/93/68/59/382936859.db2.gz AKVVCCYWZFQZOM-UHFFFAOYSA-N 0 0 275.312 2.688 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)c2ccc([N+](=O)[O-])cc2OC)C1 ZINC000494700678 382920124 /nfs/dbraw/zinc/92/01/24/382920124.db2.gz BIHICIRPPJFJAY-OAHLLOKOSA-N 0 0 292.335 2.866 20 5 CFBDRN COc1cc(CN2C3CCC2CC3)c([N+](=O)[O-])cc1OC ZINC000534331301 382926432 /nfs/dbraw/zinc/92/64/32/382926432.db2.gz IDKHMQSZSVMFSH-UHFFFAOYSA-N 0 0 292.335 2.739 20 5 CFBDRN Cc1nc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cs1 ZINC000338132226 382928709 /nfs/dbraw/zinc/92/87/09/382928709.db2.gz DGONFTONWBWOPF-UHFFFAOYSA-N 0 0 277.305 2.920 20 5 CFBDRN Cc1nc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)cs1 ZINC000338131086 382928837 /nfs/dbraw/zinc/92/88/37/382928837.db2.gz FMULJMMCQYVCHD-UHFFFAOYSA-N 0 0 277.305 2.541 20 5 CFBDRN C[C@@H](SCc1ccc(F)cc1[N+](=O)[O-])[C@H](C)O ZINC000578523590 382928981 /nfs/dbraw/zinc/92/89/81/382928981.db2.gz IBLAUQFXOAYAPR-JGVFFNPUSA-N 0 0 259.302 2.736 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccoc1 ZINC000338142481 382932220 /nfs/dbraw/zinc/93/22/20/382932220.db2.gz KWAAQGGAZUTSEM-UHFFFAOYSA-N 0 0 274.276 2.503 20 5 CFBDRN Cc1cc(NCC[C@@H](O)C(F)(F)F)ccc1[N+](=O)[O-] ZINC000338293001 382979568 /nfs/dbraw/zinc/97/95/68/382979568.db2.gz FEMFAQRAURFBSL-SNVBAGLBSA-N 0 0 278.230 2.628 20 5 CFBDRN Cc1ccc(CCNC(=O)c2cccc(C)c2[N+](=O)[O-])nc1 ZINC000457207557 382990985 /nfs/dbraw/zinc/99/09/85/382990985.db2.gz ONVCIBDAZSZVLZ-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN O=C(Nc1cccc(-c2ncco2)c1)c1cc([N+](=O)[O-])c[nH]1 ZINC000338347258 382997498 /nfs/dbraw/zinc/99/74/98/382997498.db2.gz HJHSNIXCQVNPET-UHFFFAOYSA-N 0 0 298.258 2.830 20 5 CFBDRN C[C@@H](CC(C)(C)C)NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000457247373 383002638 /nfs/dbraw/zinc/00/26/38/383002638.db2.gz ZRABCQZLKKARCE-NSHDSACASA-N 0 0 294.351 2.915 20 5 CFBDRN C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1ccncc1F ZINC000338368984 383007913 /nfs/dbraw/zinc/00/79/13/383007913.db2.gz DAGVDEFHRDBGKM-SECBINFHSA-N 0 0 289.266 2.620 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCSC1 ZINC000447802030 383007831 /nfs/dbraw/zinc/00/78/31/383007831.db2.gz GRPKIPSKQLTUGE-JTQLQIEISA-N 0 0 282.365 2.906 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NC[C@H]2CCSC2)n1 ZINC000447818754 383012227 /nfs/dbraw/zinc/01/22/27/383012227.db2.gz YQBKBBOGKATLLC-SNVBAGLBSA-N 0 0 267.354 2.772 20 5 CFBDRN C[C@@H]1C[C@@H](C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000245783879 383035632 /nfs/dbraw/zinc/03/56/32/383035632.db2.gz UVWDDGHQTPXFFW-JGPRNRPPSA-N 0 0 278.308 2.737 20 5 CFBDRN C[C@@H](F)CCNc1c2ccccc2ncc1[N+](=O)[O-] ZINC000338753788 383039192 /nfs/dbraw/zinc/03/91/92/383039192.db2.gz JSHBRPYGGQMUKU-SECBINFHSA-N 0 0 263.272 2.725 20 5 CFBDRN C[C@@H](F)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000338757514 383040610 /nfs/dbraw/zinc/04/06/10/383040610.db2.gz UIXNJJDUHQVCPC-SECBINFHSA-N 0 0 263.272 2.725 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC2(CCC2)[C@H]1C1CC1 ZINC000579821494 383054823 /nfs/dbraw/zinc/05/48/23/383054823.db2.gz XUGRDRHKPLOFAG-GFCCVEGCSA-N 0 0 290.367 2.889 20 5 CFBDRN CC[C@@](C)(CNc1c(OC)cccc1[N+](=O)[O-])OC ZINC000579853160 383060299 /nfs/dbraw/zinc/06/02/99/383060299.db2.gz FAHAUVVRUVTJLA-ZDUSSCGKSA-N 0 0 268.313 2.830 20 5 CFBDRN CCC(C)(C)OCC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] ZINC000457711171 383080056 /nfs/dbraw/zinc/08/00/56/383080056.db2.gz PPDRIKHQIHQEQI-LLVKDONJSA-N 0 0 294.351 2.977 20 5 CFBDRN CCC(C)(C)OCC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000457692650 383074215 /nfs/dbraw/zinc/07/42/15/383074215.db2.gz GUPHHMQPHNPJTH-UHFFFAOYSA-N 0 0 296.323 2.747 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@@]2(C)CCCC[C@H]2C)n1 ZINC000457309876 383019025 /nfs/dbraw/zinc/01/90/25/383019025.db2.gz YBKXCSONFDAAHW-YGRLFVJLSA-N 0 0 294.355 2.510 20 5 CFBDRN CCC(C)(C)OCCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000457328300 383023941 /nfs/dbraw/zinc/02/39/41/383023941.db2.gz GPTMSUBYYREBPP-UHFFFAOYSA-N 0 0 280.324 2.530 20 5 CFBDRN Cc1ccc(C(=O)Nc2ccn(C(C)C)n2)cc1[N+](=O)[O-] ZINC000280398540 194829584 /nfs/dbraw/zinc/82/95/84/194829584.db2.gz HAZVQGVLPDLENY-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1Nc1c[nH]cn1 ZINC000550342062 383024983 /nfs/dbraw/zinc/02/49/83/383024983.db2.gz QVAFUIMOAOMHRS-UHFFFAOYSA-N 0 0 255.237 2.610 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N(C)Cc2ccoc2)c1 ZINC000338651584 383025989 /nfs/dbraw/zinc/02/59/89/383025989.db2.gz CELWNHXXOLMRPA-UHFFFAOYSA-N 0 0 262.265 2.833 20 5 CFBDRN CC(C)(C)C1CN(C(=O)CNc2ccccc2[N+](=O)[O-])C1 ZINC000457336271 383026322 /nfs/dbraw/zinc/02/63/22/383026322.db2.gz CFXSUJUNDCBORT-UHFFFAOYSA-N 0 0 291.351 2.511 20 5 CFBDRN CN(CCCCc1ccccc1)c1c([N+](=O)[O-])ncn1C ZINC000339010884 383119619 /nfs/dbraw/zinc/11/96/19/383119619.db2.gz MNZZODIHHGWUDW-UHFFFAOYSA-N 0 0 288.351 2.787 20 5 CFBDRN CC(C)(C)OCCCN=c1[nH]c2ccc([N+](=O)[O-])cc2[nH]1 ZINC000596464223 383127240 /nfs/dbraw/zinc/12/72/40/383127240.db2.gz IHJHKEOWQRAEPC-UHFFFAOYSA-N 0 0 292.339 2.510 20 5 CFBDRN Cc1cc(C(=O)N[C@@H](C)c2cncs2)cc([N+](=O)[O-])c1 ZINC000338907806 383082021 /nfs/dbraw/zinc/08/20/21/383082021.db2.gz UOYHRPWJRMGXOX-VIFPVBQESA-N 0 0 291.332 2.851 20 5 CFBDRN CC[C@H](C)[C@H](C)[NH2+]Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000339083974 383143466 /nfs/dbraw/zinc/14/34/66/383143466.db2.gz OOKHTZCYMHMVSA-UWVGGRQHSA-N 0 0 252.314 2.825 20 5 CFBDRN Cc1c(CNC(=O)[C@@H](C)C2CCC2)cccc1[N+](=O)[O-] ZINC000457762262 383092625 /nfs/dbraw/zinc/09/26/25/383092625.db2.gz YEDOPPSQBMGAOI-NSHDSACASA-N 0 0 276.336 2.956 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1C[C@H](C)[C@@H](C)C1 ZINC000553156070 383099286 /nfs/dbraw/zinc/09/92/86/383099286.db2.gz PFILIRYBLUGIGH-QWRGUYRKSA-N 0 0 292.335 2.782 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC[C@@H]3C[C@@H]32)ccc1[N+](=O)[O-] ZINC000458261459 383145023 /nfs/dbraw/zinc/14/50/23/383145023.db2.gz QTWGRPHVAUFFQM-ADEWGFFLSA-N 0 0 290.319 2.522 20 5 CFBDRN CC[C@@H](C)CN(C)C(=O)c1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000448949485 383113559 /nfs/dbraw/zinc/11/35/59/383113559.db2.gz ISLYZQWSMSRXGI-SECBINFHSA-N 0 0 298.314 2.861 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000457853189 383118874 /nfs/dbraw/zinc/11/88/74/383118874.db2.gz PEEIEQJTCIWNBE-LEWSCRJBSA-N 0 0 291.351 3.000 20 5 CFBDRN CC(F)(F)CN[C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000517246037 383173789 /nfs/dbraw/zinc/17/37/89/383173789.db2.gz IWBGWZAUOVTOTI-SNVBAGLBSA-N 0 0 256.252 2.827 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2ccncc2C)c1 ZINC000339170845 383175373 /nfs/dbraw/zinc/17/53/73/383175373.db2.gz RBGHSBOFUBFIEH-UHFFFAOYSA-N 0 0 299.330 2.579 20 5 CFBDRN CO[C@H](Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)C1CC1 ZINC000424091655 383178891 /nfs/dbraw/zinc/17/88/91/383178891.db2.gz NAKOFSXTTAYLTQ-OAHLLOKOSA-N 0 0 287.319 2.883 20 5 CFBDRN CO[C@@H](COc1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000424096604 383179443 /nfs/dbraw/zinc/17/94/43/383179443.db2.gz ZYWVWHMALDTYLP-ZDUSSCGKSA-N 0 0 251.282 2.707 20 5 CFBDRN CC1=CCN(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 ZINC000339220988 383184914 /nfs/dbraw/zinc/18/49/14/383184914.db2.gz WNNMPBGNAJZPCR-UHFFFAOYSA-N 0 0 286.291 2.801 20 5 CFBDRN CCCCOCCOc1c(C)c(C)ncc1[N+](=O)[O-] ZINC000566807748 383214414 /nfs/dbraw/zinc/21/44/14/383214414.db2.gz HFPJMYOHKLDYAT-UHFFFAOYSA-N 0 0 268.313 2.802 20 5 CFBDRN O=[N+]([O-])c1ccccc1N[C@@H]1CCO[C@@H]1c1cccnc1 ZINC000339095751 383148101 /nfs/dbraw/zinc/14/81/01/383148101.db2.gz RYWQFUUQCVDLTA-UKRRQHHQSA-N 0 0 285.303 2.932 20 5 CFBDRN COC[C@@H]1CCCC[N@H+](Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000339099566 383149829 /nfs/dbraw/zinc/14/98/29/383149829.db2.gz XVDMQERTNUESAO-GFCCVEGCSA-N 0 0 294.351 2.549 20 5 CFBDRN C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)c1cncs1 ZINC000339105443 383150435 /nfs/dbraw/zinc/15/04/35/383150435.db2.gz DFNWFKGJFBDXAK-QMMMGPOBSA-N 0 0 292.320 2.934 20 5 CFBDRN C[C@@H]1CCC[C@H](C)[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000248535105 383255170 /nfs/dbraw/zinc/25/51/70/383255170.db2.gz XJJXNVILHRJUAR-GDGBQDQQSA-N 0 0 266.297 2.742 20 5 CFBDRN COc1ccc(Cl)c(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1 ZINC000520414722 383255459 /nfs/dbraw/zinc/25/54/59/383255459.db2.gz ONFUQKCLJNTIRJ-UHFFFAOYSA-N 0 0 295.682 2.837 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CN2CC[C@@H](F)C2)c1 ZINC000449390021 383258646 /nfs/dbraw/zinc/25/86/46/383258646.db2.gz UWEJSTPOKIWWMW-SECBINFHSA-N 0 0 290.241 2.740 20 5 CFBDRN CC1(C)CCC(C)(CNC(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000520534322 383261434 /nfs/dbraw/zinc/26/14/34/383261434.db2.gz VGBPUJOPWGTGQU-UHFFFAOYSA-N 0 0 294.355 2.654 20 5 CFBDRN CCC[C@H](C)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000248244954 383220315 /nfs/dbraw/zinc/22/03/15/383220315.db2.gz GOKYZKMMDZLHCG-JTQLQIEISA-N 0 0 262.309 2.920 20 5 CFBDRN CCC[C@H](C[NH2+][C@H]1CCc2c1cccc2[N+](=O)[O-])OC ZINC000566923875 383225735 /nfs/dbraw/zinc/22/57/35/383225735.db2.gz JZOBCPREJUBLOE-RISCZKNCSA-N 0 0 278.352 2.987 20 5 CFBDRN C[C@H]1CN(c2nccc3ccc([N+](=O)[O-])cc32)CCCO1 ZINC000519807890 383237676 /nfs/dbraw/zinc/23/76/76/383237676.db2.gz GJNWRWJETQXQSL-NSHDSACASA-N 0 0 287.319 2.758 20 5 CFBDRN O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000425146499 383332143 /nfs/dbraw/zinc/33/21/43/383332143.db2.gz VSVNWXDRKVJHPQ-JGPRNRPPSA-N 0 0 292.360 2.602 20 5 CFBDRN CCO[C@H]1CCN(Cc2csc([N+](=O)[O-])c2)C[C@@H]1C ZINC000449494443 383332394 /nfs/dbraw/zinc/33/23/94/383332394.db2.gz NBCBGCYHGRLHFT-JQWIXIFHSA-N 0 0 284.381 2.903 20 5 CFBDRN CCc1cccc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])n1 ZINC000449496573 383334606 /nfs/dbraw/zinc/33/46/06/383334606.db2.gz HHWFQMYQGMLSTO-UHFFFAOYSA-N 0 0 299.330 2.824 20 5 CFBDRN CCc1ccc(C(=O)NCc2ccc(C)cn2)cc1[N+](=O)[O-] ZINC000567962916 383336582 /nfs/dbraw/zinc/33/65/82/383336582.db2.gz FVQVZJPMNLOHEK-UHFFFAOYSA-N 0 0 299.330 2.791 20 5 CFBDRN COc1cc(CN2CC[C@@H]2C2CC2)c([N+](=O)[O-])cc1OC ZINC000449428541 383288019 /nfs/dbraw/zinc/28/80/19/383288019.db2.gz VBEREVZGAVZSBJ-GFCCVEGCSA-N 0 0 292.335 2.596 20 5 CFBDRN CC[C@H](C)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000339984394 383346541 /nfs/dbraw/zinc/34/65/41/383346541.db2.gz LDEWUKFSKYAGGJ-VIFPVBQESA-N 0 0 268.338 2.602 20 5 CFBDRN CNc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H]1C=CCC1 ZINC000567591130 383289978 /nfs/dbraw/zinc/28/99/78/383289978.db2.gz MLUWMIIJIOCWQZ-JTQLQIEISA-N 0 0 275.308 2.931 20 5 CFBDRN Cc1cc(N[C@H](CO)CC(F)(F)F)ccc1[N+](=O)[O-] ZINC000339697644 383290149 /nfs/dbraw/zinc/29/01/49/383290149.db2.gz LOPNHVDVHRNZTP-VIFPVBQESA-N 0 0 278.230 2.628 20 5 CFBDRN Cc1nn(C)c(NCC23CCC(CC2)C3)c1[N+](=O)[O-] ZINC000339703579 383292830 /nfs/dbraw/zinc/29/28/30/383292830.db2.gz YFQCNDMOADNZOB-UHFFFAOYSA-N 0 0 264.329 2.629 20 5 CFBDRN CC(C)[C@H](CO)CNc1nc2c(cc1[N+](=O)[O-])CCCC2 ZINC000567746925 383310130 /nfs/dbraw/zinc/31/01/30/383310130.db2.gz NYHORZGWCQVJMI-LBPRGKRZSA-N 0 0 293.367 2.545 20 5 CFBDRN O=[N+]([O-])c1ccccc1CN1CCOC[C@H]1CC1CCC1 ZINC000339770804 383311045 /nfs/dbraw/zinc/31/10/45/383311045.db2.gz GVCLGQNYOCJVFE-OAHLLOKOSA-N 0 0 290.363 2.986 20 5 CFBDRN Cc1cc(N[C@@H]2CCC[C@@H](F)C2)ncc1[N+](=O)[O-] ZINC000567767813 383314641 /nfs/dbraw/zinc/31/46/41/383314641.db2.gz XYLWLCCCIPFMTP-NXEZZACHSA-N 0 0 253.277 2.991 20 5 CFBDRN CC(C)C1CCC(NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000478286491 383394545 /nfs/dbraw/zinc/39/45/45/383394545.db2.gz YRFAIBIMPMRMHQ-UHFFFAOYSA-N 0 0 279.340 2.868 20 5 CFBDRN COC[C@@H](NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C(C)C ZINC000525225770 383399866 /nfs/dbraw/zinc/39/98/66/383399866.db2.gz FZCZOJXHKHMYEQ-GFCCVEGCSA-N 0 0 295.339 2.696 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCC[C@H](C(C)C)C1 ZINC000480315761 383416375 /nfs/dbraw/zinc/41/63/75/383416375.db2.gz RRDXGNJVZKMRMF-LBPRGKRZSA-N 0 0 280.372 2.992 20 5 CFBDRN CC[C@H](CC(F)(F)F)Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000450440782 383412485 /nfs/dbraw/zinc/41/24/85/383412485.db2.gz RAGIGFZJYKFKGU-SSDOTTSWSA-N 0 0 278.234 2.836 20 5 CFBDRN CN1CC[C@H](CNc2c(Cl)cccc2[N+](=O)[O-])CC1=O ZINC000425267320 383354174 /nfs/dbraw/zinc/35/41/74/383354174.db2.gz KWJPLVUJEYPMMS-VIFPVBQESA-N 0 0 297.742 2.529 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCC[C@@H](CCO)CC2)n1 ZINC000420638510 291824995 /nfs/dbraw/zinc/82/49/95/291824995.db2.gz JXRKKQKWEFGIBQ-CYBMUJFWSA-N 0 0 293.367 2.596 20 5 CFBDRN CN1CC[C@@H](CNc2ccc(Cl)cc2[N+](=O)[O-])CC1=O ZINC000425270635 383355007 /nfs/dbraw/zinc/35/50/07/383355007.db2.gz WMUUUGLIGQPKRE-SECBINFHSA-N 0 0 297.742 2.529 20 5 CFBDRN Nc1c(F)c(NCCOCC2CCC2)ccc1[N+](=O)[O-] ZINC000568082425 383356371 /nfs/dbraw/zinc/35/63/71/383356371.db2.gz FEHMJKIWIUDWKR-UHFFFAOYSA-N 0 0 283.303 2.545 20 5 CFBDRN COC(=O)c1cc(N[C@@H]2CC23CC3)cc(C)c1[N+](=O)[O-] ZINC000425272060 383356416 /nfs/dbraw/zinc/35/64/16/383356416.db2.gz JVHDQVPVHWXXQU-LLVKDONJSA-N 0 0 276.292 2.654 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N[C@H]1CC12CC2 ZINC000425277057 383357404 /nfs/dbraw/zinc/35/74/04/383357404.db2.gz WWVIKZPYAOWBQW-LBPRGKRZSA-N 0 0 276.292 2.654 20 5 CFBDRN O=[N+]([O-])c1cnccc1N[C@H]1CCc2cc(F)ccc21 ZINC000340156861 383364413 /nfs/dbraw/zinc/36/44/13/383364413.db2.gz OYNJUMBDAMOYMI-LBPRGKRZSA-N 0 0 273.267 2.650 20 5 CFBDRN CC1(C)CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)CC1 ZINC000524590904 383375709 /nfs/dbraw/zinc/37/57/09/383375709.db2.gz VQLWEPJDKNGXKN-UHFFFAOYSA-N 0 0 291.351 2.926 20 5 CFBDRN Cn1c(C(=O)Nc2cc(Cl)ccc2O)ccc1[N+](=O)[O-] ZINC000340235650 383387714 /nfs/dbraw/zinc/38/77/14/383387714.db2.gz JOEOPZBYYDZIQH-UHFFFAOYSA-N 0 0 295.682 2.545 20 5 CFBDRN CO[C@H]1CC[C@@H](Nc2nccc3cc([N+](=O)[O-])ccc32)C1 ZINC000450476475 383427839 /nfs/dbraw/zinc/42/78/39/383427839.db2.gz PXKSAMXALXQPHX-YPMHNXCESA-N 0 0 287.319 2.544 20 5 CFBDRN Cc1nc(N[C@@H]2CCC23CCCC3)ncc1[N+](=O)[O-] ZINC000450482891 383430124 /nfs/dbraw/zinc/43/01/24/383430124.db2.gz DJVHCSZMAIEPJA-LLVKDONJSA-N 0 0 262.313 2.828 20 5 CFBDRN C[C@@H]1CCN(CC(=O)Nc2ccccc2[N+](=O)[O-])C[C@H]1C ZINC000252799247 383435655 /nfs/dbraw/zinc/43/56/55/383435655.db2.gz AHOZCJJWIADQKT-VXGBXAGGSA-N 0 0 291.351 2.511 20 5 CFBDRN CC(C)OC(=O)CC[C@@H](C)Nc1ccc([N+](=O)[O-])nc1 ZINC000450494561 383436856 /nfs/dbraw/zinc/43/68/56/383436856.db2.gz MVDIOMSPDBLLLD-SNVBAGLBSA-N 0 0 281.312 2.522 20 5 CFBDRN C[C@@H]1CSC[C@@H]1Nc1nccc2cc([N+](=O)[O-])ccc21 ZINC000450524431 383446337 /nfs/dbraw/zinc/44/63/37/383446337.db2.gz CAGJPNXDTWLWPK-RNCFNFMXSA-N 0 0 289.360 2.728 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N[C@H]2CCCOCC2)n1 ZINC000408172415 291827220 /nfs/dbraw/zinc/82/72/20/291827220.db2.gz VZQNQCVHEXHBBF-NSHDSACASA-N 0 0 265.313 2.588 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CCO[C@H](C2CC2)C1 ZINC000487752004 383481829 /nfs/dbraw/zinc/48/18/29/383481829.db2.gz OVHYTGRRSGRQOI-HNNXBMFYSA-N 0 0 299.330 2.758 20 5 CFBDRN CN(c1nccc2cc([N+](=O)[O-])ccc21)[C@H]1CCCOC1 ZINC000450531237 383448861 /nfs/dbraw/zinc/44/88/61/383448861.db2.gz SGTJTCKKDXPMIE-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN COC(=O)Cc1ccc(N[C@@H]2CC[C@H]2C)c([N+](=O)[O-])c1 ZINC000450534247 383449973 /nfs/dbraw/zinc/44/99/73/383449973.db2.gz CXZJSGKIYHIJCQ-MWLCHTKSSA-N 0 0 278.308 2.521 20 5 CFBDRN O=[N+]([O-])c1ccc2c(ccnc2N[C@@H]2CC[C@H](F)C2)c1 ZINC000450534299 383449992 /nfs/dbraw/zinc/44/99/92/383449992.db2.gz DPKBQEVWCAQOEN-WDEREUQCSA-N 0 0 275.283 2.867 20 5 CFBDRN CC(=O)c1cc(COc2cc(C)ccc2[N+](=O)[O-])on1 ZINC000568950498 383451423 /nfs/dbraw/zinc/45/14/23/383451423.db2.gz KBYGLURZZUOAIL-UHFFFAOYSA-N 0 0 276.248 2.673 20 5 CFBDRN Cn1ccc2ccc(NC(=O)c3cc([N+](=O)[O-])cn3C)cc21 ZINC000527191490 383461789 /nfs/dbraw/zinc/46/17/89/383461789.db2.gz SVRNGDPCZLYKNM-UHFFFAOYSA-N 0 0 298.302 2.677 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@@H]3CCC[C@@H]32)n1 ZINC000408363189 291826046 /nfs/dbraw/zinc/82/60/46/291826046.db2.gz VAXINHIGJRIBCC-RYUDHWBXSA-N 0 0 261.325 2.985 20 5 CFBDRN CN(Cc1cccnc1)Cc1c(F)cc([N+](=O)[O-])cc1F ZINC000340562166 383471485 /nfs/dbraw/zinc/47/14/85/383471485.db2.gz WAQGEQCSJKZPQC-UHFFFAOYSA-N 0 0 293.273 2.900 20 5 CFBDRN CCCN(C(=O)CNc1ccccc1[N+](=O)[O-])C(C)C ZINC000569138647 383472195 /nfs/dbraw/zinc/47/21/95/383472195.db2.gz POGJTCMMLYNDCQ-UHFFFAOYSA-N 0 0 279.340 2.654 20 5 CFBDRN O=[N+]([O-])c1cnc(N[C@@H]2[C@@H]3CCC[C@@H]32)c(Cl)c1 ZINC000486482576 383472682 /nfs/dbraw/zinc/47/26/82/383472682.db2.gz NNZBABYIYVECNE-DIYOJNKTSA-N 0 0 253.689 2.854 20 5 CFBDRN COc1cc(NCc2cncc(C)c2)ccc1[N+](=O)[O-] ZINC000340573494 383475734 /nfs/dbraw/zinc/47/57/34/383475734.db2.gz MCBWBMZPTYWSGV-UHFFFAOYSA-N 0 0 273.292 2.919 20 5 CFBDRN Cc1ccnc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)n1 ZINC000341051808 383545247 /nfs/dbraw/zinc/54/52/47/383545247.db2.gz QRURTQZPRPPEPX-UHFFFAOYSA-N 0 0 298.258 2.692 20 5 CFBDRN CO[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H]1C ZINC000450773549 383487714 /nfs/dbraw/zinc/48/77/14/383487714.db2.gz MXGJPHPFAXESRV-TVQRCGJNSA-N 0 0 268.288 2.595 20 5 CFBDRN CCC(=O)c1ccc(N[C@@H](CC)[C@@H](C)O)c([N+](=O)[O-])c1 ZINC000450793624 383493347 /nfs/dbraw/zinc/49/33/47/383493347.db2.gz IYLSAERLMUMXNK-KOLCDFICSA-N 0 0 280.324 2.759 20 5 CFBDRN CC[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@@H](C)O ZINC000450796462 383494020 /nfs/dbraw/zinc/49/40/20/383494020.db2.gz MKWFYQOBXAOLHG-APPZFPTMSA-N 0 0 290.266 2.768 20 5 CFBDRN CC[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@H](C)O ZINC000450796465 383494399 /nfs/dbraw/zinc/49/43/99/383494399.db2.gz MKWFYQOBXAOLHG-IONNQARKSA-N 0 0 290.266 2.768 20 5 CFBDRN CSCCN(C)c1c2ccccc2ncc1[N+](=O)[O-] ZINC000488076847 383494630 /nfs/dbraw/zinc/49/46/30/383494630.db2.gz NPJMAJCGJNZBCG-UHFFFAOYSA-N 0 0 277.349 2.942 20 5 CFBDRN Cc1cc(N2CC[C@@H]3C[C@@H](O)CC[C@@H]3C2)ccc1[N+](=O)[O-] ZINC000450810146 383499199 /nfs/dbraw/zinc/49/91/99/383499199.db2.gz KJJKDBDOMDEEDZ-NFAWXSAZSA-N 0 0 290.363 2.891 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(COC)CCCC1 ZINC000450920284 383550376 /nfs/dbraw/zinc/55/03/76/383550376.db2.gz VNLWWSBWZZLLLK-UHFFFAOYSA-N 0 0 296.371 2.738 20 5 CFBDRN Cc1cc(COc2cc([N+](=O)[O-])ccc2C)ncn1 ZINC000488232328 383507233 /nfs/dbraw/zinc/50/72/33/383507233.db2.gz ONMXUGYDPJUTAG-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@@H]2OC)ccc1[N+](=O)[O-] ZINC000340952581 383508357 /nfs/dbraw/zinc/50/83/57/383508357.db2.gz KLDPGZGJFXHAMD-GWCFXTLKSA-N 0 0 280.324 2.830 20 5 CFBDRN CCn1ccnc1[C@H](C)Nc1ccc([N+](=O)[O-])cc1 ZINC000450830432 383509213 /nfs/dbraw/zinc/50/92/13/383509213.db2.gz NWFCSPITFZIYHP-JTQLQIEISA-N 0 0 260.297 2.984 20 5 CFBDRN COCC1(CNc2ccc([N+](=O)[O-])cn2)CCCC1 ZINC000450920665 383550751 /nfs/dbraw/zinc/55/07/51/383550751.db2.gz ZOZVMJKJUDRXLX-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CC(C)c1nnc(CSc2ccc([N+](=O)[O-])cn2)[nH]1 ZINC000488252797 383510152 /nfs/dbraw/zinc/51/01/52/383510152.db2.gz RJCDDBDIOWZXLR-UHFFFAOYSA-N 0 0 279.325 2.524 20 5 CFBDRN COCC1(CNc2nccc(C)c2[N+](=O)[O-])CCCC1 ZINC000450919746 383550835 /nfs/dbraw/zinc/55/08/35/383550835.db2.gz PYUDGWGBQFWGBN-UHFFFAOYSA-N 0 0 279.340 2.917 20 5 CFBDRN CCC(=O)c1ccc(NCCC2(CO)CC2)c([N+](=O)[O-])c1 ZINC000450834774 383511730 /nfs/dbraw/zinc/51/17/30/383511730.db2.gz MMLMVOZTQKYCTR-UHFFFAOYSA-N 0 0 292.335 2.762 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1O[C@H]1C[C@H](C)CCC1=O ZINC000450835599 383513034 /nfs/dbraw/zinc/51/30/34/383513034.db2.gz XHITZGJBDFBMOK-RNCFNFMXSA-N 0 0 279.292 2.740 20 5 CFBDRN Cc1cc(COc2ccc([N+](=O)[O-])cc2C)ncn1 ZINC000488292166 383513188 /nfs/dbraw/zinc/51/31/88/383513188.db2.gz XDVWODVCYLXJDI-UHFFFAOYSA-N 0 0 259.265 2.581 20 5 CFBDRN CCC1(CNc2ccc([N+](=O)[O-])c(C)c2)COC1 ZINC000450840798 383515782 /nfs/dbraw/zinc/51/57/82/383515782.db2.gz AJTVVPRQOKPMEG-UHFFFAOYSA-N 0 0 250.298 2.742 20 5 CFBDRN C[C@@H](Cc1cnn(C)c1)Nc1ccc([N+](=O)[O-])cc1F ZINC000450840613 383516804 /nfs/dbraw/zinc/51/68/04/383516804.db2.gz JQNLXCCSWHLYNT-VIFPVBQESA-N 0 0 278.287 2.511 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCC[C@H](CO)C2)n1 ZINC000340983669 383518653 /nfs/dbraw/zinc/51/86/53/383518653.db2.gz RCQFFSRDOROEFZ-NEPJUHHUSA-N 0 0 279.340 2.509 20 5 CFBDRN CC(C)[C@H]1CN(c2ncc([N+](=O)[O-])cc2F)CCS1 ZINC000569617204 383519412 /nfs/dbraw/zinc/51/94/12/383519412.db2.gz RZALDOCIKFKAPU-LLVKDONJSA-N 0 0 285.344 2.707 20 5 CFBDRN CC(=O)c1ccc(N[C@@H]2COCC2(C)C)c([N+](=O)[O-])c1 ZINC000450851810 383520131 /nfs/dbraw/zinc/52/01/31/383520131.db2.gz CKAGNSGNAYCKMI-CYBMUJFWSA-N 0 0 278.308 2.634 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N1C[C@@H]2C[C@H]1CS2 ZINC000335543924 291828147 /nfs/dbraw/zinc/82/81/47/291828147.db2.gz MLBOSTODHBFSET-QWRGUYRKSA-N 0 0 292.360 2.542 20 5 CFBDRN COc1cc(NC[C@@H]2CCC[C@@H]2OC)c([N+](=O)[O-])cc1F ZINC000340998105 383523047 /nfs/dbraw/zinc/52/30/47/383523047.db2.gz SDFIISPLLVBROX-ZANVPECISA-N 0 0 298.314 2.970 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C(F)(F)F ZINC000134354125 291828328 /nfs/dbraw/zinc/82/83/28/291828328.db2.gz YPVYOIYLIUMHBT-UHFFFAOYSA-N 0 0 262.187 2.712 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000113789446 291828330 /nfs/dbraw/zinc/82/83/30/291828330.db2.gz ZXCAMQHURNGGRO-GYSYKLTISA-N 0 0 290.319 2.718 20 5 CFBDRN COc1cc(NCCC[C@H]2CCOC2)c([N+](=O)[O-])cc1F ZINC000450888551 383536659 /nfs/dbraw/zinc/53/66/59/383536659.db2.gz NGIOZJYOEDFDPR-JTQLQIEISA-N 0 0 298.314 2.971 20 5 CFBDRN Cc1cc(N2CC[C@@H](CCCO)C2)c(F)cc1[N+](=O)[O-] ZINC000450968744 383572242 /nfs/dbraw/zinc/57/22/42/383572242.db2.gz YZIANXGZSMXAPG-LLVKDONJSA-N 0 0 282.315 2.641 20 5 CFBDRN O=C(CSC1CCC1)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000450992239 383578995 /nfs/dbraw/zinc/57/89/95/383578995.db2.gz MBKGVJDERQVTTQ-UHFFFAOYSA-N 0 0 292.360 2.770 20 5 CFBDRN COc1cc(CN2CC(C(F)(F)F)C2)ccc1[N+](=O)[O-] ZINC000570520664 383611599 /nfs/dbraw/zinc/61/15/99/383611599.db2.gz NNDDWVWHETVTFC-UHFFFAOYSA-N 0 0 290.241 2.598 20 5 CFBDRN CCC(=O)c1ccc(N(C)Cc2ccon2)c([N+](=O)[O-])c1 ZINC000280834134 194993485 /nfs/dbraw/zinc/99/34/85/194993485.db2.gz ZJMQYODADPJNMO-UHFFFAOYSA-N 0 0 289.291 2.812 20 5 CFBDRN CCNc1ccc(C(=O)N(C)[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000489347189 383609207 /nfs/dbraw/zinc/60/92/07/383609207.db2.gz JRZUDYLFPBNLTN-CYBMUJFWSA-N 0 0 291.351 2.897 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1ccc([N+](=O)[O-])cc1 ZINC000569978368 383557054 /nfs/dbraw/zinc/55/70/54/383557054.db2.gz FNXIAPZEPGGUIX-MFKMUULPSA-N 0 0 252.314 2.804 20 5 CFBDRN CCO[C@@H]1CCC[C@H]1Nc1ccccc1[N+](=O)[O-] ZINC000450940454 383560793 /nfs/dbraw/zinc/56/07/93/383560793.db2.gz NQEUFKYQRVSLNQ-DGCLKSJQSA-N 0 0 250.298 2.964 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1CN1CCC[C@H]1c1cc[nH]n1 ZINC000429716424 383562216 /nfs/dbraw/zinc/56/22/16/383562216.db2.gz OPNQXEYFUXRDNR-AWEZNQCLSA-N 0 0 290.298 2.794 20 5 CFBDRN Cc1nn(C)c(N2CC[C@@H](CC(C)(C)C)C2)c1[N+](=O)[O-] ZINC000450946889 383563126 /nfs/dbraw/zinc/56/31/26/383563126.db2.gz MUCPLRPXKPTTNE-NSHDSACASA-N 0 0 280.372 2.899 20 5 CFBDRN COC[C@H]1CCCN(c2ncc(C)cc2[N+](=O)[O-])CC1 ZINC000450951077 383564770 /nfs/dbraw/zinc/56/47/70/383564770.db2.gz XBTYOZNRXJQQCO-LBPRGKRZSA-N 0 0 279.340 2.551 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@H](CCCO)C1 ZINC000450954192 383566518 /nfs/dbraw/zinc/56/65/18/383566518.db2.gz MRGJSLUORTYVPT-JTQLQIEISA-N 0 0 284.743 2.847 20 5 CFBDRN CCOC(=O)C(C)(C)CSc1ccc([N+](=O)[O-])cn1 ZINC000570098267 383571411 /nfs/dbraw/zinc/57/14/11/383571411.db2.gz PNKANYZVBTUSIV-UHFFFAOYSA-N 0 0 284.337 2.671 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC2(C1)CCOCC2 ZINC000570816810 383638115 /nfs/dbraw/zinc/63/81/15/383638115.db2.gz WEMLRPSAXQKPBK-UHFFFAOYSA-N 0 0 292.335 2.610 20 5 CFBDRN CCc1ccc(OCC(=O)C(C)(C)OC)c([N+](=O)[O-])c1 ZINC000280901836 195021569 /nfs/dbraw/zinc/02/15/69/195021569.db2.gz DUMGZGYTQCODGW-UHFFFAOYSA-N 0 0 281.308 2.530 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@@H]1CF ZINC000570644453 383621203 /nfs/dbraw/zinc/62/12/03/383621203.db2.gz GBQJZBYWCLYFSM-SECBINFHSA-N 0 0 254.261 2.542 20 5 CFBDRN CSC1(CNc2ccc([N+](=O)[O-])c(OC(C)C)n2)CC1 ZINC000570697046 383627300 /nfs/dbraw/zinc/62/73/00/383627300.db2.gz ZVLQPRUVBSELJU-UHFFFAOYSA-N 0 0 297.380 2.506 20 5 CFBDRN CC[C@H](C)CN(C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000264745892 383778849 /nfs/dbraw/zinc/77/88/49/383778849.db2.gz KKYJCQOUPMUVLP-NSHDSACASA-N 0 0 279.340 2.511 20 5 CFBDRN COCC1(C)CN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000432942102 383751405 /nfs/dbraw/zinc/75/14/05/383751405.db2.gz BZMOCRWAMTUPDV-UHFFFAOYSA-N 0 0 270.716 2.721 20 5 CFBDRN CCCc1n[nH]c(C(=O)NCCC2CCCC2)c1[N+](=O)[O-] ZINC000264587709 383759676 /nfs/dbraw/zinc/75/96/76/383759676.db2.gz CIDORXNTECUJGS-UHFFFAOYSA-N 0 0 294.355 2.581 20 5 CFBDRN CCc1ccc([N+](=O)[O-])cc1NCC[C@@H]1CCOC1 ZINC000453114396 383771624 /nfs/dbraw/zinc/77/16/24/383771624.db2.gz FKVVTPROVPEEAK-LLVKDONJSA-N 0 0 264.325 2.996 20 5 CFBDRN CCCC[C@H]([NH2+]C/C=C/c1ccccc1[N+](=O)[O-])C(=O)[O-] ZINC000263390392 383662443 /nfs/dbraw/zinc/66/24/43/383662443.db2.gz DZTMTIIBWMBJNI-CFNZNRNTSA-N 0 0 292.335 2.841 20 5 CFBDRN CC(C)CCNc1nc2ccc([N+](=O)[O-])cc2c(=O)[nH]1 ZINC000280947558 195041267 /nfs/dbraw/zinc/04/12/67/195041267.db2.gz JIJMQOPJFTVIQG-UHFFFAOYSA-N 0 0 276.296 2.702 20 5 CFBDRN CCOC(C)(C)CNc1ccc([N+](=O)[O-])c(N)c1F ZINC000436466902 383818906 /nfs/dbraw/zinc/81/89/06/383818906.db2.gz WPPCSLDKTGCHST-UHFFFAOYSA-N 0 0 271.292 2.543 20 5 CFBDRN CCc1nn(C)c(N2CCC[C@H](C(C)C)C2)c1[N+](=O)[O-] ZINC000436469813 383820115 /nfs/dbraw/zinc/82/01/15/383820115.db2.gz OBOJWVTYNQUBCJ-NSHDSACASA-N 0 0 280.372 2.763 20 5 CFBDRN CC[C@H](N[C@H]1CCn2ccnc21)c1cccc([N+](=O)[O-])c1 ZINC000453141828 383781996 /nfs/dbraw/zinc/78/19/96/383781996.db2.gz UBLIOECQMYPXHT-KBPBESRZSA-N 0 0 286.335 2.977 20 5 CFBDRN CNc1nc(-c2nc([C@@H](C)C3CC3)no2)ccc1[N+](=O)[O-] ZINC000453142608 383782974 /nfs/dbraw/zinc/78/29/74/383782974.db2.gz MEWYALWNXADTBY-ZETCQYMHSA-N 0 0 289.295 2.595 20 5 CFBDRN CC(C)C(C)(C)NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000572468218 383784014 /nfs/dbraw/zinc/78/40/14/383784014.db2.gz DZPASCRZBVULJI-UHFFFAOYSA-N 0 0 264.325 2.688 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1nc(-c2ccccc2)cs1 ZINC000436209049 383788548 /nfs/dbraw/zinc/78/85/48/383788548.db2.gz LAHMFNWTUWQBIE-UHFFFAOYSA-N 0 0 286.316 2.963 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)C1CCSCC1 ZINC000264836099 383788564 /nfs/dbraw/zinc/78/85/64/383788564.db2.gz IMHJPYAPDSCKRN-UHFFFAOYSA-N 0 0 284.312 2.816 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)ccn1 ZINC000436276877 383798238 /nfs/dbraw/zinc/79/82/38/383798238.db2.gz VSMQPVASFNOCGL-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CC(C)SCC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000531511708 383879803 /nfs/dbraw/zinc/87/98/03/383879803.db2.gz JIJNGUAIULLDFP-UHFFFAOYSA-N 0 0 294.376 2.621 20 5 CFBDRN C[C@@H]1C[C@H](c2ccccc2)CN1c1c([N+](=O)[O-])ncn1C ZINC000265204963 383859209 /nfs/dbraw/zinc/85/92/09/383859209.db2.gz KIQIHZWPOVFSPM-YPMHNXCESA-N 0 0 286.335 2.711 20 5 CFBDRN Cn1c2ccc([N+](=O)[O-])cc2nc1-c1ccc(O)cc1 ZINC000436655654 383865760 /nfs/dbraw/zinc/86/57/60/383865760.db2.gz JSRGAWMCXXYGDV-UHFFFAOYSA-N 0 0 269.260 2.854 20 5 CFBDRN Cc1cc(NCC[S@@](=O)C(C)(C)C)ccc1[N+](=O)[O-] ZINC000265225465 383868035 /nfs/dbraw/zinc/86/80/35/383868035.db2.gz AHEKJMZBLYFYPD-LJQANCHMSA-N 0 0 284.381 2.862 20 5 CFBDRN CC[C@@H](OC)C(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C ZINC000265428737 383913900 /nfs/dbraw/zinc/91/39/00/383913900.db2.gz QYLWPXKJMZHLEU-SNVBAGLBSA-N 0 0 287.699 2.887 20 5 CFBDRN Cc1ccc(NC(=O)NC[C@H]2CC[C@@H](C)O2)cc1[N+](=O)[O-] ZINC000454157832 383920785 /nfs/dbraw/zinc/92/07/85/383920785.db2.gz ZBHDXWBGHQHQNQ-ZYHUDNBSSA-N 0 0 293.323 2.592 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(OC[C@H](O)CCC(C)C)c1 ZINC000573445066 383930856 /nfs/dbraw/zinc/93/08/56/383930856.db2.gz OCSWOPPXKJAYRI-LLVKDONJSA-N 0 0 283.324 2.779 20 5 CFBDRN CC[C@]1(C)CCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000531566010 383887564 /nfs/dbraw/zinc/88/75/64/383887564.db2.gz XJIGUBFOQYYKOE-OAHLLOKOSA-N 0 0 291.351 2.926 20 5 CFBDRN O=C(N[C@H](Cc1ccccc1)C1CC1)c1ccc([N+](=O)[O-])[nH]1 ZINC000265493795 383933481 /nfs/dbraw/zinc/93/34/81/383933481.db2.gz CYXVWFNWDPDPLV-CQSZACIVSA-N 0 0 299.330 2.674 20 5 CFBDRN CSc1cccc(NC(=O)c2ccc([N+](=O)[O-])cn2)c1 ZINC000531632617 383900036 /nfs/dbraw/zinc/90/00/36/383900036.db2.gz KMMVHIYSASIEHT-UHFFFAOYSA-N 0 0 289.316 2.964 20 5 CFBDRN CCc1ccc(CCn2cc([N+](=O)[O-])c(C)n2)cc1 ZINC000438319397 383979732 /nfs/dbraw/zinc/97/97/32/383979732.db2.gz NSRJJRDQDSZTHW-UHFFFAOYSA-N 0 0 259.309 2.905 20 5 CFBDRN Cc1sc(C(=O)N2C[C@H](C)O[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000438426399 384002023 /nfs/dbraw/zinc/00/20/23/384002023.db2.gz LTOWHDUKJRTFKS-XHNCKOQMSA-N 0 0 298.364 2.603 20 5 CFBDRN Cc1sc(C(=O)N2C[C@H](C)O[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] ZINC000438426397 384002060 /nfs/dbraw/zinc/00/20/60/384002060.db2.gz LTOWHDUKJRTFKS-CIUDSAMLSA-N 0 0 298.364 2.603 20 5 CFBDRN Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cn2)cc1F ZINC000532136750 383982077 /nfs/dbraw/zinc/98/20/77/383982077.db2.gz GLKWMVJKJQDUKI-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC[C@H]1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])cn2)C1 ZINC000532138082 383982618 /nfs/dbraw/zinc/98/26/18/383982618.db2.gz IBJJHAXRBUYIQW-QWRGUYRKSA-N 0 0 277.324 2.688 20 5 CFBDRN CC(C)(C)CCCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000532144178 383983726 /nfs/dbraw/zinc/98/37/26/383983726.db2.gz CCDBGSMIUMYNQA-UHFFFAOYSA-N 0 0 265.313 2.546 20 5 CFBDRN CC(C)[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c[nH]2)[C@H]1C(C)C ZINC000454305897 383985915 /nfs/dbraw/zinc/98/59/15/383985915.db2.gz BMIGXDCKNKIIJU-AAEUAGOBSA-N 0 0 279.340 2.676 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)C1CC=CC1 ZINC000265754011 383991954 /nfs/dbraw/zinc/99/19/54/383991954.db2.gz DJLJKDCRHRGJNM-UHFFFAOYSA-N 0 0 250.229 2.639 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)[C@@H](C)[C@@H](C)O1 ZINC000438364403 383995798 /nfs/dbraw/zinc/99/57/98/383995798.db2.gz NVJMUTZHAQVUNY-OUAUKWLOSA-N 0 0 282.315 2.732 20 5 CFBDRN Cc1nnsc1CN[C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000573815338 383996472 /nfs/dbraw/zinc/99/64/72/383996472.db2.gz OULISOLKHNIQAZ-NSHDSACASA-N 0 0 290.348 2.532 20 5 CFBDRN CC(C)OCCCN(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000265779020 383998018 /nfs/dbraw/zinc/99/80/18/383998018.db2.gz KZAFLVXYLOVENE-UHFFFAOYSA-N 0 0 298.314 2.621 20 5 CFBDRN O=C(Nc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cn1 ZINC000531881892 383936899 /nfs/dbraw/zinc/93/68/99/383936899.db2.gz QEVNGGZNXFKCKF-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN COC[C@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000265544483 383943561 /nfs/dbraw/zinc/94/35/61/383943561.db2.gz PKBKKUCBZVFVQI-VIFPVBQESA-N 0 0 285.731 2.506 20 5 CFBDRN COc1cc(NC(=O)c2ccc([N+](=O)[O-])s2)ccc1O ZINC000438083613 383943652 /nfs/dbraw/zinc/94/36/52/383943652.db2.gz YDPNUIDGEZPNKN-UHFFFAOYSA-N 0 0 294.288 2.623 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000438104213 383950451 /nfs/dbraw/zinc/95/04/51/383950451.db2.gz KMHWDQKMGMTGSC-YMTOWFKASA-N 0 0 287.319 2.533 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000265607481 383957477 /nfs/dbraw/zinc/95/74/77/383957477.db2.gz MOIRBYRDBJWRLK-JFGNBEQYSA-N 0 0 277.324 2.716 20 5 CFBDRN CC1(C)C[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000265612429 383959104 /nfs/dbraw/zinc/95/91/04/383959104.db2.gz XNCZCLXDDSWPJJ-NSHDSACASA-N 0 0 282.727 2.705 20 5 CFBDRN CSCCCNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000265623621 383960398 /nfs/dbraw/zinc/96/03/98/383960398.db2.gz RBDXOCKBTNFXJN-UHFFFAOYSA-N 0 0 293.348 2.559 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)cc1C ZINC000454352865 384004989 /nfs/dbraw/zinc/00/49/89/384004989.db2.gz TXPNREBEBMUCOA-UHFFFAOYSA-N 0 0 287.319 2.812 20 5 CFBDRN COC[C@H]1CCN(c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000265814393 384006617 /nfs/dbraw/zinc/00/66/17/384006617.db2.gz MSOUMGIVWFKZEH-VIFPVBQESA-N 0 0 270.716 2.721 20 5 CFBDRN C[C@@H](F)CCNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000454374737 384012201 /nfs/dbraw/zinc/01/22/01/384012201.db2.gz VHLDALHPTDAHIG-NXEZZACHSA-N 0 0 283.303 2.703 20 5 CFBDRN C[C@@H](CNc1ccc2cc([N+](=O)[O-])ccc2n1)C[C@H](C)O ZINC000265853428 384015495 /nfs/dbraw/zinc/01/54/95/384015495.db2.gz QKZHQOWKVOAULW-MNOVXSKESA-N 0 0 289.335 2.962 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCC1CCC1 ZINC000439888404 384049160 /nfs/dbraw/zinc/04/91/60/384049160.db2.gz KYSDMNZQUPNYGM-UHFFFAOYSA-N 0 0 293.323 2.915 20 5 CFBDRN COc1cc(NCCC[C@H]2CCOC2)c(F)cc1[N+](=O)[O-] ZINC000402377520 533029479 /nfs/dbraw/zinc/02/94/79/533029479.db2.gz KEBWANKDCTUATH-JTQLQIEISA-N 0 0 298.314 2.971 20 5 CFBDRN CC1(C(=O)NCCc2ccccc2[N+](=O)[O-])CCCC1 ZINC000266024639 384057274 /nfs/dbraw/zinc/05/72/74/384057274.db2.gz HELOXQQKRCQLJF-UHFFFAOYSA-N 0 0 276.336 2.834 20 5 CFBDRN CC/C=C/CNC(=O)NCc1cccc([N+](=O)[O-])c1C ZINC000454862702 384059537 /nfs/dbraw/zinc/05/95/37/384059537.db2.gz YGISRIMCXUSGOO-SNAWJCMRSA-N 0 0 277.324 2.669 20 5 CFBDRN CC/C=C(\C)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266069193 384068981 /nfs/dbraw/zinc/06/89/81/384068981.db2.gz SNGIURMSFUTYIW-IZZDOVSWSA-N 0 0 262.309 2.610 20 5 CFBDRN O=C1OCCN1c1cc([N+](=O)[O-])ccc1NC1CCCC1 ZINC000266103587 384079347 /nfs/dbraw/zinc/07/93/47/384079347.db2.gz IFNOAMDQYVMYNR-UHFFFAOYSA-N 0 0 291.307 2.906 20 5 CFBDRN CCOCCNc1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000266152301 384095631 /nfs/dbraw/zinc/09/56/31/384095631.db2.gz UBFASRJENQCOTM-UHFFFAOYSA-N 0 0 276.239 2.645 20 5 CFBDRN CC[C@H](F)Cn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O ZINC000440594883 384110913 /nfs/dbraw/zinc/11/09/13/384110913.db2.gz CSTPSGPVYOKOFE-NSHDSACASA-N 0 0 291.282 2.567 20 5 CFBDRN CCN(CCn1cc([N+](=O)[O-])cn1)c1ccccc1Cl ZINC000440617569 384113870 /nfs/dbraw/zinc/11/38/70/384113870.db2.gz UGIKRRZVIRDVLO-UHFFFAOYSA-N 0 0 294.742 2.971 20 5 CFBDRN CC[C@H]1CC[C@H](NC(=O)Nc2ccncc2[N+](=O)[O-])CC1 ZINC000455033100 384117715 /nfs/dbraw/zinc/11/77/15/384117715.db2.gz CWKSBPZSVWCZFV-XYPYZODXSA-N 0 0 292.339 2.502 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(NCC(C)(C)C[C@H](C)O)c1 ZINC000266252057 384129352 /nfs/dbraw/zinc/12/93/52/384129352.db2.gz NGSGIHJXBLLHGG-JTQLQIEISA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1nccn1CCCCNc1ccccc1[N+](=O)[O-] ZINC000266276475 384132993 /nfs/dbraw/zinc/13/29/93/384132993.db2.gz SJEBZNXVNCGXCR-UHFFFAOYSA-N 0 0 274.324 2.992 20 5 CFBDRN Cc1cc(NC(=O)NC[C@H]2CC[C@H](C)O2)ccc1[N+](=O)[O-] ZINC000455088836 384138103 /nfs/dbraw/zinc/13/81/03/384138103.db2.gz LMLUMBJDIWQVFU-CMPLNLGQSA-N 0 0 293.323 2.592 20 5 CFBDRN CC[C@@H]1C[C@H]1CNC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000455099345 384140367 /nfs/dbraw/zinc/14/03/67/384140367.db2.gz KAIKWFHYMGNZET-ZJUUUORDSA-N 0 0 293.323 2.771 20 5 CFBDRN COCCOC1CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000302512953 285085340 /nfs/dbraw/zinc/08/53/40/285085340.db2.gz UBSUDFHOLGUOMY-UHFFFAOYSA-N 0 0 294.351 2.535 20 5 CFBDRN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1cc(Cl)ccc1[N+](=O)[O-] ZINC000277126816 384208285 /nfs/dbraw/zinc/20/82/85/384208285.db2.gz RWKSAUYAPOKFES-DDFAGTSDSA-N 0 0 298.770 2.982 20 5 CFBDRN O=C(NCCCC(F)(F)F)c1csc([N+](=O)[O-])c1 ZINC000266544265 384195494 /nfs/dbraw/zinc/19/54/94/384195494.db2.gz NCIQVJVFNMUPFF-UHFFFAOYSA-N 0 0 282.243 2.729 20 5 CFBDRN CCCN(CC)C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000266770209 384235856 /nfs/dbraw/zinc/23/58/56/384235856.db2.gz JNVWFHGVYQLSII-UHFFFAOYSA-N 0 0 279.340 2.579 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NC[C@H]1C[C@H]1C ZINC000277191373 384226705 /nfs/dbraw/zinc/22/67/05/384226705.db2.gz WRJSVSKDEOPUJG-NXEZZACHSA-N 0 0 250.298 2.809 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2N2CCCC3(CC3)C2)s1 ZINC000286724702 285099026 /nfs/dbraw/zinc/09/90/26/285099026.db2.gz FGROHSGWZWWXQN-UHFFFAOYSA-N 0 0 290.348 2.980 20 5 CFBDRN CC(C)(C)[C@H](C[NH2+]Cc1cccc([N+](=O)[O-])c1)CC(=O)[O-] ZINC000277332920 384271146 /nfs/dbraw/zinc/27/11/46/384271146.db2.gz OKAZRNZJDONVFG-LBPRGKRZSA-N 0 0 294.351 2.821 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H](C)[C@H]1C ZINC000277357605 384278229 /nfs/dbraw/zinc/27/82/29/384278229.db2.gz CBDHVBMORAXINU-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc(N2CCSC[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000277489319 384318096 /nfs/dbraw/zinc/31/80/96/384318096.db2.gz TYVLJZQZBDLJRO-JTQLQIEISA-N 0 0 282.365 2.793 20 5 CFBDRN CCc1cnc(CN(C)c2nccc(C)c2[N+](=O)[O-])s1 ZINC000267018103 384280067 /nfs/dbraw/zinc/28/00/67/384280067.db2.gz IHCXCOGGMCFMPH-UHFFFAOYSA-N 0 0 292.364 2.954 20 5 CFBDRN C[C@@H]1CN(Cc2ccc([N+](=O)[O-])cc2F)CCS1 ZINC000267052605 384287416 /nfs/dbraw/zinc/28/74/16/384287416.db2.gz QWYKRIRITAAYAD-SECBINFHSA-N 0 0 270.329 2.671 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC1CC(F)(F)C1 ZINC000277389269 384288698 /nfs/dbraw/zinc/28/86/98/384288698.db2.gz DNENHHAJXJXVLN-UHFFFAOYSA-N 0 0 299.277 2.534 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@]2(O)CCSC2)c(Cl)c1 ZINC000267067329 384291132 /nfs/dbraw/zinc/29/11/32/384291132.db2.gz QFHHIUUUGPACBW-NSHDSACASA-N 0 0 288.756 2.528 20 5 CFBDRN CC[C@@H](O)[C@H]1CCCC[N@@H+]1Cc1ccc([N+](=O)[O-])cc1F ZINC000267063486 384291416 /nfs/dbraw/zinc/29/14/16/384291416.db2.gz UGERMZIOVZDIHQ-HUUCEWRRSA-N 0 0 296.342 2.859 20 5 CFBDRN C[C@@H](SCc1ccc([N+](=O)[O-])cc1F)[C@H](C)O ZINC000267080838 384295817 /nfs/dbraw/zinc/29/58/17/384295817.db2.gz VENLQGPOXDGRMS-JGVFFNPUSA-N 0 0 259.302 2.736 20 5 CFBDRN CCC1(C(=O)Nc2cc(C(=O)OC)cc([N+](=O)[O-])c2)CC1 ZINC000267258396 384338702 /nfs/dbraw/zinc/33/87/02/384338702.db2.gz NIGVOJBCVWKIJW-UHFFFAOYSA-N 0 0 292.291 2.510 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N1CC[C@@H](C)C[C@H]1C ZINC000277581183 384345906 /nfs/dbraw/zinc/34/59/06/384345906.db2.gz MICSRUBTCUFPDJ-GHMZBOCLSA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)C1CCCC1 ZINC000277615467 384353992 /nfs/dbraw/zinc/35/39/92/384353992.db2.gz QFVZOCNHSNTUIV-JTQLQIEISA-N 0 0 292.335 2.912 20 5 CFBDRN CN(C[C@@H]1CCOC1)c1ccc([N+](=O)[O-])c2cnccc21 ZINC000267319822 384356965 /nfs/dbraw/zinc/35/69/65/384356965.db2.gz YUHDVYSNQLFWJY-NSHDSACASA-N 0 0 287.319 2.616 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000277769070 384400049 /nfs/dbraw/zinc/40/00/49/384400049.db2.gz VYTLUUVNNISWLY-PWSUYJOCSA-N 0 0 287.319 2.980 20 5 CFBDRN CCc1ccc(C(=O)N2C[C@@H](C)[C@H](C)C2)cc1[N+](=O)[O-] ZINC000267573032 384416934 /nfs/dbraw/zinc/41/69/34/384416934.db2.gz AKNUKGNAHFLUTI-GHMZBOCLSA-N 0 0 276.336 2.885 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCC[C@H]2C)c1 ZINC000267600794 384422470 /nfs/dbraw/zinc/42/24/70/384422470.db2.gz IIKCGGCMZRZIDE-GFCCVEGCSA-N 0 0 292.335 2.683 20 5 CFBDRN CCS[C@@H]1CCCC[C@@H]1Nc1c([N+](=O)[O-])ncn1C ZINC000267388930 384377610 /nfs/dbraw/zinc/37/76/10/384377610.db2.gz NFWHALGYEUHYDC-VHSXEESVSA-N 0 0 284.385 2.805 20 5 CFBDRN C[C@H](NC(=O)C1(C)CCC1)c1cccc([N+](=O)[O-])c1 ZINC000267401189 384380931 /nfs/dbraw/zinc/38/09/31/384380931.db2.gz XMKILINBTFOQDB-JTQLQIEISA-N 0 0 262.309 2.962 20 5 CFBDRN CCc1nsc(NCc2cc([N+](=O)[O-])ccc2OC)n1 ZINC000267418421 384385116 /nfs/dbraw/zinc/38/51/16/384385116.db2.gz UBWDFQKSHLGCDO-UHFFFAOYSA-N 0 0 294.336 2.629 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CC[C@@H]([C@H](C)O)C1 ZINC000277925368 384441327 /nfs/dbraw/zinc/44/13/27/384441327.db2.gz ZYMAEMWEMRKBAU-VHSXEESVSA-N 0 0 284.743 2.764 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1nc(C2CC2)c[nH]1 ZINC000289419989 197338553 /nfs/dbraw/zinc/33/85/53/197338553.db2.gz RZUWJRQDYJYLII-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN O=[N+]([O-])c1cccnc1NCC1(CCO)CCCCC1 ZINC000267827468 384473508 /nfs/dbraw/zinc/47/35/08/384473508.db2.gz UKXFJPGTOGPUDG-UHFFFAOYSA-N 0 0 279.340 2.735 20 5 CFBDRN Cc1cc(N[C@@H](C)CO[C@@H]2CCOC2)ccc1[N+](=O)[O-] ZINC000267870170 384480800 /nfs/dbraw/zinc/48/08/00/384480800.db2.gz LLSZTHGMGYEUAF-WCQYABFASA-N 0 0 280.324 2.509 20 5 CFBDRN Cn1ncc([N+](=O)[O-])c1NC(=O)[C@H](F)CC1CCCCC1 ZINC000281139419 195119786 /nfs/dbraw/zinc/11/97/86/195119786.db2.gz WVXVNGCFTWOESI-SNVBAGLBSA-N 0 0 298.318 2.575 20 5 CFBDRN O=C(COc1ccc(Cl)cc1[N+](=O)[O-])C1CCC1 ZINC000278176516 384492476 /nfs/dbraw/zinc/49/24/76/384492476.db2.gz QJKGWQDRXRHEPF-UHFFFAOYSA-N 0 0 269.684 2.996 20 5 CFBDRN CC[C@H](C)[C@@H](NC(=O)c1ccc([N+](=O)[O-])[nH]1)C(F)(F)F ZINC000278283283 384516375 /nfs/dbraw/zinc/51/63/75/384516375.db2.gz ZWORPKJJHPPZRQ-IMTBSYHQSA-N 0 0 293.245 2.630 20 5 CFBDRN COC(=O)CCCSc1ccc([N+](=O)[O-])cc1F ZINC000268060529 384520568 /nfs/dbraw/zinc/52/05/68/384520568.db2.gz YFQPTRTYPVSQPT-UHFFFAOYSA-N 0 0 273.285 2.779 20 5 CFBDRN O=[N+]([O-])c1ccc(F)cc1CN1CCc2ncccc2C1 ZINC000366321711 285118416 /nfs/dbraw/zinc/11/84/16/285118416.db2.gz GIVPLYJPUYVJQX-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCCCO2)c2ccncc21 ZINC000276091149 285122680 /nfs/dbraw/zinc/12/26/80/285122680.db2.gz WARSJQSVVJSLLI-UHFFFAOYSA-N 0 0 259.265 2.675 20 5 CFBDRN Cc1cc(C(=O)Nc2nc(C3CC3)c[nH]2)cc([N+](=O)[O-])c1 ZINC000289349544 197306519 /nfs/dbraw/zinc/30/65/19/197306519.db2.gz LIDAQDPPCZIUKG-UHFFFAOYSA-N 0 0 286.291 2.756 20 5 CFBDRN CC(C)(C)c1nnc(CNc2ncccc2[N+](=O)[O-])s1 ZINC000268169937 384552226 /nfs/dbraw/zinc/55/22/26/384552226.db2.gz ISMNFSHPMJJHBZ-UHFFFAOYSA-N 0 0 293.352 2.751 20 5 CFBDRN COC1CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000268132141 384539426 /nfs/dbraw/zinc/53/94/26/384539426.db2.gz PBVTZGMMXSXVIG-UHFFFAOYSA-N 0 0 250.298 2.518 20 5 CFBDRN COc1cc(C)ccc1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000268134582 384541486 /nfs/dbraw/zinc/54/14/86/384541486.db2.gz IZMMCIJHSQKDHJ-UHFFFAOYSA-N 0 0 290.323 2.566 20 5 CFBDRN CO[C@H]1CCCC[C@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278439935 384575548 /nfs/dbraw/zinc/57/55/48/384575548.db2.gz KGQXJFAXATZLAH-YPMHNXCESA-N 0 0 290.323 2.841 20 5 CFBDRN COCC(C)(C)CC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 ZINC000413684936 533077087 /nfs/dbraw/zinc/07/70/87/533077087.db2.gz IIGZYNBOZGKAGE-UHFFFAOYSA-N 0 0 296.323 2.605 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccsc3)C2)nc1 ZINC000268242276 384577527 /nfs/dbraw/zinc/57/75/27/384577527.db2.gz XLIGMPINZHJRAF-GFCCVEGCSA-N 0 0 291.332 2.629 20 5 CFBDRN CN(CCOCc1ccccc1)c1ccc([N+](=O)[O-])cn1 ZINC000268258563 384584283 /nfs/dbraw/zinc/58/42/83/384584283.db2.gz QUWBJQMYDTZNNZ-UHFFFAOYSA-N 0 0 287.319 2.643 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCO[C@H]2CCOC2)c1 ZINC000268265249 384585283 /nfs/dbraw/zinc/58/52/83/384585283.db2.gz SHQKLCWNPSPQOX-LBPRGKRZSA-N 0 0 280.324 2.511 20 5 CFBDRN COc1cc(N[C@@H]2CCSC2)c([N+](=O)[O-])cc1F ZINC000268213730 384566754 /nfs/dbraw/zinc/56/67/54/384566754.db2.gz RBDKSVYEMYUMLZ-SSDOTTSWSA-N 0 0 272.301 2.660 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC1 ZINC000279285897 384610240 /nfs/dbraw/zinc/61/02/40/384610240.db2.gz XLDHEUIIFZKRNG-VIFPVBQESA-N 0 0 295.314 2.578 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1NC[C@H]1CCSC1)CCN2 ZINC000279393135 384623825 /nfs/dbraw/zinc/62/38/25/384623825.db2.gz IBZVFLFEWXTOEO-SECBINFHSA-N 0 0 279.365 2.728 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N2CCC[C@H]2c2cc[nH]n2)c(F)c1 ZINC000278775823 384596836 /nfs/dbraw/zinc/59/68/36/384596836.db2.gz VFBJIWNUPHVFGF-LBPRGKRZSA-N 0 0 294.261 2.938 20 5 CFBDRN C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ccnn1C ZINC000278789580 384597529 /nfs/dbraw/zinc/59/75/29/384597529.db2.gz QHGLYHNVUMKPHY-QWRGUYRKSA-N 0 0 274.324 2.740 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1CCC[C@H]1CF ZINC000570644478 322542988 /nfs/dbraw/zinc/54/29/88/322542988.db2.gz GBQJZBYWCLYFSM-VIFPVBQESA-N 0 0 254.261 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(N2C[C@H](C3CC3)[C@H]2C2CC2)nc1 ZINC000450802172 285133569 /nfs/dbraw/zinc/13/35/69/285133569.db2.gz YHBMSRVHVUCGAU-TZMCWYRMSA-N 0 0 259.309 2.615 20 5 CFBDRN CO[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)C1CC1 ZINC000279679551 384720940 /nfs/dbraw/zinc/72/09/40/384720940.db2.gz GQVUJBQTFADLQH-NSHDSACASA-N 0 0 284.699 2.612 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1c(F)cc([N+](=O)[O-])cc1F ZINC000269643577 384818269 /nfs/dbraw/zinc/81/82/69/384818269.db2.gz OTNBPANVHPXVDQ-HTQZYQBOSA-N 0 0 274.267 2.692 20 5 CFBDRN Cc1c(CC(=O)N(C)[C@@H](C)C(C)C)cccc1[N+](=O)[O-] ZINC000269653911 384821887 /nfs/dbraw/zinc/82/18/87/384821887.db2.gz UUUHEBHBIDUJOD-LBPRGKRZSA-N 0 0 278.352 2.949 20 5 CFBDRN C[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)c1cnn(C)c1 ZINC000269606878 384802086 /nfs/dbraw/zinc/80/20/86/384802086.db2.gz CMYIWNIQCWBRRX-ZETCQYMHSA-N 0 0 282.250 2.780 20 5 CFBDRN O=[N+]([O-])c1cccc([C@H](O)CN2CCc3ccccc32)c1 ZINC000269629322 384810199 /nfs/dbraw/zinc/81/01/99/384810199.db2.gz DPVKIUCSPIFBCD-MRXNPFEDSA-N 0 0 284.315 2.691 20 5 CFBDRN Cc1nc(C)c(C(=O)COc2ccc([N+](=O)[O-])cc2C)o1 ZINC000270033538 384950229 /nfs/dbraw/zinc/95/02/29/384950229.db2.gz BQKVWPPMNJRFOO-UHFFFAOYSA-N 0 0 290.275 2.770 20 5 CFBDRN CCc1ccc(C(=O)NC[C@H]2CCSC2)cc1[N+](=O)[O-] ZINC000280166944 384913478 /nfs/dbraw/zinc/91/34/78/384913478.db2.gz NSIDRKVXEYPRGL-SNVBAGLBSA-N 0 0 294.376 2.640 20 5 CFBDRN Cc1cc(C[C@@H](C)Nc2ccc(C)cc2[N+](=O)[O-])n[nH]1 ZINC000269956083 384919036 /nfs/dbraw/zinc/91/90/36/384919036.db2.gz SWCUXFAHXLIUII-SNVBAGLBSA-N 0 0 274.324 2.978 20 5 CFBDRN Cn1cncc1CSCc1ccc([N+](=O)[O-])cc1 ZINC000269987304 384930948 /nfs/dbraw/zinc/93/09/48/384930948.db2.gz FHXRKYADRYXQFF-UHFFFAOYSA-N 0 0 263.322 2.762 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)C[C@H]2CCC[C@@H]2O)c1 ZINC000269987525 384931400 /nfs/dbraw/zinc/93/14/00/384931400.db2.gz OIUPRWMSSUYZMW-RISCZKNCSA-N 0 0 264.325 2.500 20 5 CFBDRN COCC[C@@H]1CCCCN(c2ccc(N)cc2[N+](=O)[O-])C1 ZINC000376395394 285145235 /nfs/dbraw/zinc/14/52/35/285145235.db2.gz NIJSWFTUECMDMF-LBPRGKRZSA-N 0 0 293.367 2.820 20 5 CFBDRN CC1=C(C)CN(C(=O)COc2cccc([N+](=O)[O-])c2)CC1 ZINC000280306403 384968811 /nfs/dbraw/zinc/96/88/11/384968811.db2.gz WMWGKLOLQJQNPY-UHFFFAOYSA-N 0 0 290.319 2.542 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H](O)CCC(C)C ZINC000280331482 384976739 /nfs/dbraw/zinc/97/67/39/384976739.db2.gz QJGLLJDGCCLKGR-CYBMUJFWSA-N 0 0 295.335 2.973 20 5 CFBDRN CC1=CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)CC1 ZINC000270117686 384983973 /nfs/dbraw/zinc/98/39/73/384983973.db2.gz NWDBYVJSWINDSS-UHFFFAOYSA-N 0 0 274.320 2.706 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CC[C@H](C4CC4)C3)nc2c1 ZINC000447676790 285150410 /nfs/dbraw/zinc/15/04/10/285150410.db2.gz RNUQTUNGJNVHHA-JTQLQIEISA-N 0 0 272.308 2.707 20 5 CFBDRN Cc1c(CC(=O)N2CCC=C(C)C2)cccc1[N+](=O)[O-] ZINC000280592610 385074895 /nfs/dbraw/zinc/07/48/95/385074895.db2.gz BNFGSNOQFLTUFK-UHFFFAOYSA-N 0 0 274.320 2.624 20 5 CFBDRN CC[C@](C)(OC)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000280601921 385078548 /nfs/dbraw/zinc/07/85/48/385078548.db2.gz VGFHQEIFJMKWNG-ZDUSSCGKSA-N 0 0 266.297 2.657 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(N3CCO[C@H]4CCC[C@H]43)ccc2c1 ZINC000271635445 285149907 /nfs/dbraw/zinc/14/99/07/285149907.db2.gz OTEXQGJDCHVJGZ-CABCVRRESA-N 0 0 299.330 2.901 20 5 CFBDRN CO[C@@H](C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)C1CC1 ZINC000280504622 385039008 /nfs/dbraw/zinc/03/90/08/385039008.db2.gz RAXWRNYXSQYRMQ-IINYFYTJSA-N 0 0 292.335 2.505 20 5 CFBDRN Cc1ccc(NC[C@](C)(O)C(F)(F)F)c([N+](=O)[O-])c1 ZINC000270476958 385116689 /nfs/dbraw/zinc/11/66/89/385116689.db2.gz ONXZDXHJKUYKMC-JTQLQIEISA-N 0 0 278.230 2.628 20 5 CFBDRN O=c1[nH]c(N[C@H]2CC=CCC2)nc2ccc([N+](=O)[O-])cc21 ZINC000286027660 285151728 /nfs/dbraw/zinc/15/17/28/285151728.db2.gz AVBKDKKUZWVKEU-VIFPVBQESA-N 0 0 286.291 2.764 20 5 CFBDRN CCC(=O)c1ccc(NC2(CO)CCC2)c([N+](=O)[O-])c1 ZINC000280703450 385121037 /nfs/dbraw/zinc/12/10/37/385121037.db2.gz KMBSNEDQZSGLGM-UHFFFAOYSA-N 0 0 278.308 2.514 20 5 CFBDRN CCC[C@]1(CO)CCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000289197076 197260359 /nfs/dbraw/zinc/26/03/59/197260359.db2.gz XEFRTWZQCCBEOQ-HNNXBMFYSA-N 0 0 294.351 2.592 20 5 CFBDRN COC(C)(C)c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000289197362 197259950 /nfs/dbraw/zinc/25/99/50/197259950.db2.gz XVWDFNYBVGYUQN-UHFFFAOYSA-N 0 0 269.282 2.588 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000280741133 385135959 /nfs/dbraw/zinc/13/59/59/385135959.db2.gz SDKXLNWOOLKSRS-BXKDBHETSA-N 0 0 263.297 2.823 20 5 CFBDRN CC1=CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC000280624900 385089728 /nfs/dbraw/zinc/08/97/28/385089728.db2.gz LMAGIWMJJVHVFH-UHFFFAOYSA-N 0 0 261.281 2.779 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H](C)CC(C)C ZINC000281185905 385183581 /nfs/dbraw/zinc/18/35/81/385183581.db2.gz BFTKQKSYJJPCIR-SNVBAGLBSA-N 0 0 252.314 2.747 20 5 CFBDRN CCC(=O)c1ccc(N2CCC(=O)[C@H](C)C2)c([N+](=O)[O-])c1 ZINC000281340624 385189460 /nfs/dbraw/zinc/18/94/60/385189460.db2.gz BNTBRSIDHYFCBW-SNVBAGLBSA-N 0 0 290.319 2.603 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N(C)CC(=O)OC(C)(C)C ZINC000270689254 385192015 /nfs/dbraw/zinc/19/20/15/385192015.db2.gz BRTDRDBETRXPQF-NSHDSACASA-N 0 0 294.351 2.929 20 5 CFBDRN O=C(NC1CCC1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000270698117 385196570 /nfs/dbraw/zinc/19/65/70/385196570.db2.gz BGRSMZKNOKMOKW-UHFFFAOYSA-N 0 0 289.335 2.821 20 5 CFBDRN C[C@H]1CC(CNC(=O)c2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000281422811 385196614 /nfs/dbraw/zinc/19/66/14/385196614.db2.gz XVJRSUIUHQKWER-QWRGUYRKSA-N 0 0 292.335 2.528 20 5 CFBDRN CCC(C)(C)NC(=O)CCOc1cc(C)ccc1[N+](=O)[O-] ZINC000281174814 195135867 /nfs/dbraw/zinc/13/58/67/195135867.db2.gz JCVKCIGBRLJQKH-UHFFFAOYSA-N 0 0 294.351 2.977 20 5 CFBDRN C[C@@H](NC(=O)[C@@H]1O[C@H](C)C[C@@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000282194969 385243108 /nfs/dbraw/zinc/24/31/08/385243108.db2.gz PMFQVWOFSXOFSW-ICUOPCATSA-N 0 0 292.335 2.586 20 5 CFBDRN CCC[C@@]1(CO)CCN(c2ncc(Cl)cc2[N+](=O)[O-])C1 ZINC000289102401 197235349 /nfs/dbraw/zinc/23/53/49/197235349.db2.gz PPOYWAFVJDLRNQ-CYBMUJFWSA-N 0 0 299.758 2.632 20 5 CFBDRN O=[N+]([O-])c1cccc2c1ccnc2N1CC2(CC2)C1 ZINC000450587337 285157388 /nfs/dbraw/zinc/15/73/88/285157388.db2.gz ZHBRKKZNFVWYRT-UHFFFAOYSA-N 0 0 255.277 2.743 20 5 CFBDRN CCOCC(C)(C)CNc1cc(C)c([N+](=O)[O-])cn1 ZINC000289082075 197230114 /nfs/dbraw/zinc/23/01/14/197230114.db2.gz ODPYXPZUCVDGQO-UHFFFAOYSA-N 0 0 267.329 2.773 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)CSC ZINC000282425679 385308073 /nfs/dbraw/zinc/30/80/73/385308073.db2.gz FDAZQEQJFOFOEX-UHFFFAOYSA-N 0 0 298.364 2.931 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2CCC(C)(C)O2)cc1[N+](=O)[O-] ZINC000282437005 385310873 /nfs/dbraw/zinc/31/08/73/385310873.db2.gz MTVNQIYNKHKZKE-LBPRGKRZSA-N 0 0 296.298 2.938 20 5 CFBDRN CC[C@H](C)N(OC)C(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000270892006 385261076 /nfs/dbraw/zinc/26/10/76/385261076.db2.gz QLFLXRBWPPHGAS-NSHDSACASA-N 0 0 280.324 2.716 20 5 CFBDRN Cc1cc(F)c(NC(=O)COC2CCCC2)cc1[N+](=O)[O-] ZINC000282272931 385267339 /nfs/dbraw/zinc/26/73/39/385267339.db2.gz ARFBCVCJISDZTJ-UHFFFAOYSA-N 0 0 296.298 2.940 20 5 CFBDRN CCOCC1(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CC1 ZINC000289067965 197226492 /nfs/dbraw/zinc/22/64/92/197226492.db2.gz MCAQETWKJIBYFO-UHFFFAOYSA-N 0 0 295.320 2.774 20 5 CFBDRN CCc1ncnc(N(C)Cc2ccccc2[N+](=O)[O-])c1F ZINC000270979727 385289882 /nfs/dbraw/zinc/28/98/82/385289882.db2.gz VGXRPYCNEHOZHB-UHFFFAOYSA-N 0 0 290.298 2.723 20 5 CFBDRN Cn1cc2c(n1)CCC[C@@H]2Nc1ccc([N+](=O)[O-])cc1F ZINC000282365995 385293861 /nfs/dbraw/zinc/29/38/61/385293861.db2.gz XTXYSDBLCROFOE-LBPRGKRZSA-N 0 0 290.298 2.957 20 5 CFBDRN Cc1cc(F)c(NC(=O)CC2CCOCC2)cc1[N+](=O)[O-] ZINC000282518506 385331212 /nfs/dbraw/zinc/33/12/12/385331212.db2.gz UIDXWAZUXLUFIH-UHFFFAOYSA-N 0 0 296.298 2.798 20 5 CFBDRN COc1cc(N(C)Cc2cscn2)c(F)cc1[N+](=O)[O-] ZINC000271103567 385336765 /nfs/dbraw/zinc/33/67/65/385336765.db2.gz QIMCQPHFNZSMDC-UHFFFAOYSA-N 0 0 297.311 2.835 20 5 CFBDRN CO[C@H]1CC[C@H](OC(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 ZINC000282551113 385339403 /nfs/dbraw/zinc/33/94/03/385339403.db2.gz DYGDFBXYSZBBMY-RYUDHWBXSA-N 0 0 279.292 2.628 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1O[C@H](C)C[C@H]1C ZINC000282735161 385379411 /nfs/dbraw/zinc/37/94/11/385379411.db2.gz ZZSIUQPWVBNUKO-GIPNMCIBSA-N 0 0 278.308 2.655 20 5 CFBDRN C[C@@H](c1ccccc1[N+](=O)[O-])N1CCC(=O)[C@@H](C)C1 ZINC000283019062 385424912 /nfs/dbraw/zinc/42/49/12/385424912.db2.gz HRNSHRACQAFBJZ-QWRGUYRKSA-N 0 0 262.309 2.567 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CCN(c2cccc(O)c2)CC1 ZINC000266514514 285164128 /nfs/dbraw/zinc/16/41/28/285164128.db2.gz HUYRKQDMLGIFSS-UHFFFAOYSA-N 0 0 299.330 2.627 20 5 CFBDRN O=C(NC[C@@H]1CCCSC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000288992217 197208699 /nfs/dbraw/zinc/20/86/99/197208699.db2.gz NIUVCWOPLJDKHV-VIFPVBQESA-N 0 0 298.339 2.607 20 5 CFBDRN CCC[C@@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000283270427 385452164 /nfs/dbraw/zinc/45/21/64/385452164.db2.gz WPIZHLDFGBVAPP-GFCCVEGCSA-N 0 0 282.315 2.735 20 5 CFBDRN CS[C@@H](C)CC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283042067 385428023 /nfs/dbraw/zinc/42/80/23/385428023.db2.gz BFRLYNJXEWDNLY-NSHDSACASA-N 0 0 296.392 2.737 20 5 CFBDRN CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC=CCC1 ZINC000288980045 197205591 /nfs/dbraw/zinc/20/55/91/197205591.db2.gz GHWNGFUBBWUDDY-CQSZACIVSA-N 0 0 288.347 2.952 20 5 CFBDRN CCC(CC)(CCO)NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000283069594 385431703 /nfs/dbraw/zinc/43/17/03/385431703.db2.gz URRFWQAOIBXZMA-UHFFFAOYSA-N 0 0 295.339 2.658 20 5 CFBDRN Cc1ncccc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 ZINC000283082077 385433751 /nfs/dbraw/zinc/43/37/51/385433751.db2.gz DRSOXGKXLZQKCF-UHFFFAOYSA-N 0 0 299.330 2.613 20 5 CFBDRN O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC=C(F)C1 ZINC000272166925 385464613 /nfs/dbraw/zinc/46/46/13/385464613.db2.gz YBHJLTGSPQZFPJ-UHFFFAOYSA-N 0 0 278.283 2.613 20 5 CFBDRN CN(CC(=O)OC(C)(C)C)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000283422234 385464832 /nfs/dbraw/zinc/46/48/32/385464832.db2.gz FXRYSVVLGVSABK-UHFFFAOYSA-N 0 0 298.314 2.507 20 5 CFBDRN COc1cc(N(C)C[C@H]2CCCC[C@H]2O)ccc1[N+](=O)[O-] ZINC000272169821 385465925 /nfs/dbraw/zinc/46/59/25/385465925.db2.gz GVTCPQFRMVKGLC-BXUZGUMPSA-N 0 0 294.351 2.591 20 5 CFBDRN CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1CC=CC1 ZINC000283562621 385476544 /nfs/dbraw/zinc/47/65/44/385476544.db2.gz JVESZVSJVZARMH-UHFFFAOYSA-N 0 0 274.320 2.562 20 5 CFBDRN CN(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1CCOC1 ZINC000283624650 385481457 /nfs/dbraw/zinc/48/14/57/385481457.db2.gz AWXFCUOZAHNMFN-QMMMGPOBSA-N 0 0 299.714 2.501 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C)(C)C2)c1 ZINC000283648857 385483928 /nfs/dbraw/zinc/48/39/28/385483928.db2.gz CTZPFOJWPXUIPM-UHFFFAOYSA-N 0 0 292.335 2.866 20 5 CFBDRN Cc1cccc(C(=O)N[C@@H](C)[C@@H]2CCCCO2)c1[N+](=O)[O-] ZINC000272242330 385485928 /nfs/dbraw/zinc/48/59/28/385485928.db2.gz YPSMMTALBSIMNB-AAEUAGOBSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1ccc(NCc2ncnn2C(C)(C)C)c([N+](=O)[O-])c1 ZINC000283674429 385487281 /nfs/dbraw/zinc/48/72/81/385487281.db2.gz YMIWPDUPQXWWTJ-UHFFFAOYSA-N 0 0 289.339 2.862 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H](COC)c1ccco1 ZINC000288928927 197194326 /nfs/dbraw/zinc/19/43/26/197194326.db2.gz QJHBIEUEGWHGQA-SNVBAGLBSA-N 0 0 294.311 2.512 20 5 CFBDRN Cc1cc(F)c(NC(=O)N2CCSCC2)cc1[N+](=O)[O-] ZINC000283885440 385510639 /nfs/dbraw/zinc/51/06/39/385510639.db2.gz IWDGYDAWPMIBKE-UHFFFAOYSA-N 0 0 299.327 2.623 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC=C(Br)C2)s1 ZINC000282035772 285172789 /nfs/dbraw/zinc/17/27/89/285172789.db2.gz WXCNXSPEBVZMGX-UHFFFAOYSA-N 0 0 290.142 2.540 20 5 CFBDRN CCC(CC)N(CC)C(=O)c1ccc([N+](=O)[O-])o1 ZINC000288884749 197184934 /nfs/dbraw/zinc/18/49/34/197184934.db2.gz GXJIVEGJGBAQQR-UHFFFAOYSA-N 0 0 254.286 2.839 20 5 CFBDRN Cc1nn(CCCCC(F)(F)F)cc1[N+](=O)[O-] ZINC000272406767 385530146 /nfs/dbraw/zinc/53/01/46/385530146.db2.gz YCISWXMKJRJGQR-UHFFFAOYSA-N 0 0 251.208 2.832 20 5 CFBDRN Cc1cc(S[C@@H]2CCCOC2)ncc1[N+](=O)[O-] ZINC000272451656 385540488 /nfs/dbraw/zinc/54/04/88/385540488.db2.gz JVZWTCDQFCDPAH-SECBINFHSA-N 0 0 254.311 2.569 20 5 CFBDRN CC[C@H](C)[C@@H](C)C(=O)NCCc1cccc([N+](=O)[O-])c1 ZINC000272369784 385520855 /nfs/dbraw/zinc/52/08/55/385520855.db2.gz DMFVYPXNHDHSCV-NWDGAFQWSA-N 0 0 278.352 2.936 20 5 CFBDRN O=[N+]([O-])c1cc2c(ncnc2NCC2CCC2)s1 ZINC000286227167 385574802 /nfs/dbraw/zinc/57/48/02/385574802.db2.gz TZCKASYJVNHKHX-UHFFFAOYSA-N 0 0 264.310 2.812 20 5 CFBDRN CO[C@@H]1[C@H]2C[C@H]3CN(c4cccc(F)c4[N+](=O)[O-])[C@H]1[C@H]3C2 ZINC000286236173 385575270 /nfs/dbraw/zinc/57/52/70/385575270.db2.gz FABYYVWIANUCMI-VKZZYBESSA-N 0 0 292.310 2.594 20 5 CFBDRN CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C)c2)C[C@H](C)[S@]1=O ZINC000286234115 385575280 /nfs/dbraw/zinc/57/52/80/385575280.db2.gz DWVICLDMJWAXTN-SZZPBAKOSA-N 0 0 296.392 2.639 20 5 CFBDRN CC(=O)c1ccc(N2CCC=C(F)C2)c([N+](=O)[O-])c1 ZINC000272539475 385560318 /nfs/dbraw/zinc/56/03/18/385560318.db2.gz PUPDHAMRYDKODD-UHFFFAOYSA-N 0 0 264.256 2.861 20 5 CFBDRN CC[C@@H](C)CN(C)c1c([N+](=O)[O-])nc(C)n1CC ZINC000288785252 197165591 /nfs/dbraw/zinc/16/55/91/197165591.db2.gz FLKPUTKUFPNBJR-SECBINFHSA-N 0 0 254.334 2.602 20 5 CFBDRN Cc1ccnc(NC[C@@H]2COc3ccccc32)c1[N+](=O)[O-] ZINC000288781799 197165110 /nfs/dbraw/zinc/16/51/10/197165110.db2.gz DNYYLCKLIPFNNK-LLVKDONJSA-N 0 0 285.303 2.886 20 5 CFBDRN Cc1cc(NC[C@@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000286312610 385587831 /nfs/dbraw/zinc/58/78/31/385587831.db2.gz JBQXPNDPBGIJIV-NEPJUHHUSA-N 0 0 264.325 2.988 20 5 CFBDRN C[C@H](Nc1ncnc2sc([N+](=O)[O-])cc21)[C@H]1CCCO1 ZINC000286315264 385589074 /nfs/dbraw/zinc/58/90/74/385589074.db2.gz ZJXIDPCIIDTEGR-IONNQARKSA-N 0 0 294.336 2.579 20 5 CFBDRN Cn1ccc(CNc2cc([N+](=O)[O-])ccc2OC(F)F)n1 ZINC000272693199 385589334 /nfs/dbraw/zinc/58/93/34/385589334.db2.gz DTOGLELQZRXJBR-UHFFFAOYSA-N 0 0 298.249 2.542 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@](F)(CO)C2)c(Cl)c1 ZINC000286322580 385590032 /nfs/dbraw/zinc/59/00/32/385590032.db2.gz HJBUMIXVFPSDFK-GFCCVEGCSA-N 0 0 288.706 2.549 20 5 CFBDRN O=[N+]([O-])c1cnc2ccccc2c1N1CCC(F)(F)C1 ZINC000488116417 285186642 /nfs/dbraw/zinc/18/66/42/285186642.db2.gz OHTITEAZXYZIAD-UHFFFAOYSA-N 0 0 279.246 2.988 20 5 CFBDRN COc1cc(NC[C@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000286331885 385592380 /nfs/dbraw/zinc/59/23/80/385592380.db2.gz KJACJJBHBGZFMT-GHMZBOCLSA-N 0 0 280.324 2.688 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1Cn1cnc(C(C)(C)C)n1 ZINC000419029013 533136404 /nfs/dbraw/zinc/13/64/04/533136404.db2.gz FNKUJZRAALEARH-UHFFFAOYSA-N 0 0 290.323 2.541 20 5 CFBDRN CC(=O)c1cc(NC[C@H]2CCOC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000286445505 385622152 /nfs/dbraw/zinc/62/21/52/385622152.db2.gz RPJAMVRQDAJRHW-CMPLNLGQSA-N 0 0 292.335 2.882 20 5 CFBDRN O=[N+]([O-])c1cnn(-c2ncnc3sc4ccccc4c32)c1 ZINC000440649735 285189182 /nfs/dbraw/zinc/18/91/82/285189182.db2.gz LYQWHYXIGBDIGK-UHFFFAOYSA-N 0 0 297.299 2.938 20 5 CFBDRN COc1cccc(NC[C@H]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC000286460146 385627281 /nfs/dbraw/zinc/62/72/81/385627281.db2.gz SRJANECBZVGUBG-WDEREUQCSA-N 0 0 280.324 2.688 20 5 CFBDRN CCOc1cccc(NCc2cc(C)nn2C)c1[N+](=O)[O-] ZINC000286484466 385632998 /nfs/dbraw/zinc/63/29/98/385632998.db2.gz PFMYDOJADJPYPW-UHFFFAOYSA-N 0 0 290.323 2.648 20 5 CFBDRN Cc1nc(CN(C)c2ccc(Cl)cc2[N+](=O)[O-])no1 ZINC000272893651 385644004 /nfs/dbraw/zinc/64/40/04/385644004.db2.gz KJJUNSNOGFFEII-UHFFFAOYSA-N 0 0 282.687 2.576 20 5 CFBDRN COC1(CNc2ccc([N+](=O)[O-])cc2C(C)=O)CCC1 ZINC000286552283 385648326 /nfs/dbraw/zinc/64/83/26/385648326.db2.gz QELARTNNQMLUFK-UHFFFAOYSA-N 0 0 278.308 2.778 20 5 CFBDRN C[C@@H](NC(=O)[C@]1(C)CCCOC1)c1ccccc1[N+](=O)[O-] ZINC000272908474 385649492 /nfs/dbraw/zinc/64/94/92/385649492.db2.gz DOEMBXMSNNLXOW-IAQYHMDHSA-N 0 0 292.335 2.589 20 5 CFBDRN CC[C@H](C)CCC(=O)N(C)c1ccc([N+](=O)[O-])nc1 ZINC000288696650 197146836 /nfs/dbraw/zinc/14/68/36/197146836.db2.gz DNXKVVONGRTBAD-JTQLQIEISA-N 0 0 265.313 2.779 20 5 CFBDRN C[C@H](Nc1c(F)cc([N+](=O)[O-])cc1F)C1=CC[N@H+](C)CC1 ZINC000272932209 385657333 /nfs/dbraw/zinc/65/73/33/385657333.db2.gz LFHCRCZCIQNWMQ-VIFPVBQESA-N 0 0 297.305 2.935 20 5 CFBDRN CCC[C@@]1(CO)CCN(Cc2csc([N+](=O)[O-])c2)C1 ZINC000288682851 197143772 /nfs/dbraw/zinc/14/37/72/197143772.db2.gz CTINCBGNMBPAMB-CYBMUJFWSA-N 0 0 284.381 2.641 20 5 CFBDRN CO[C@H](C)CN(C)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000286603747 385663151 /nfs/dbraw/zinc/66/31/51/385663151.db2.gz STPBNWAMYZZGKP-LLVKDONJSA-N 0 0 294.351 2.825 20 5 CFBDRN CC(C)SCCNC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000286643943 385675187 /nfs/dbraw/zinc/67/51/87/385675187.db2.gz WLGKQZZEBWXHRP-UHFFFAOYSA-N 0 0 297.380 2.536 20 5 CFBDRN C/C(=C\c1ccc([N+](=O)[O-])cc1)C(=O)NC1CC(C)C1 ZINC000273479295 385685100 /nfs/dbraw/zinc/68/51/00/385685100.db2.gz ZHNNQRZYVTVAOM-PKNBQFBNSA-N 0 0 274.320 2.913 20 5 CFBDRN CO[C@@H](C)CN(C)c1ccc([N+](=O)[O-])c(OC(F)F)c1 ZINC000286692845 385687779 /nfs/dbraw/zinc/68/77/79/385687779.db2.gz ZDPXHQMMJBHUOA-QMMMGPOBSA-N 0 0 290.266 2.667 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCOC2CCC2)c1 ZINC000286722800 385695719 /nfs/dbraw/zinc/69/57/19/385695719.db2.gz VHVNYVSQOLUGBJ-UHFFFAOYSA-N 0 0 251.282 2.851 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCC[C@H]2CCCCO2)nc1 ZINC000274042554 385706586 /nfs/dbraw/zinc/70/65/86/385706586.db2.gz XWVKFPGGHPTCDP-GFCCVEGCSA-N 0 0 265.313 2.751 20 5 CFBDRN Cc1c(Cc2nc([C@H]3CCCO3)no2)cccc1[N+](=O)[O-] ZINC000274056005 385710884 /nfs/dbraw/zinc/71/08/84/385710884.db2.gz DMYXYLQOHCCTRS-GFCCVEGCSA-N 0 0 289.291 2.729 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@H]2CCO[C@@H](C3CC3)C2)c1 ZINC000274186561 385735270 /nfs/dbraw/zinc/73/52/70/385735270.db2.gz PXBVNPAHYHXQNW-XHDPSFHLSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2nc([C@H]3CCCO3)no2)cc1 ZINC000274183649 385735648 /nfs/dbraw/zinc/73/56/48/385735648.db2.gz PWHXBPFPDDMOGB-FZKGZDJFSA-N 0 0 287.275 3.000 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCO[C@@H](C3CC3)C2)c1 ZINC000274186553 385735718 /nfs/dbraw/zinc/73/57/18/385735718.db2.gz PXBVNPAHYHXQNW-IAQYHMDHSA-N 0 0 292.335 2.973 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)NCCSC(C)C ZINC000286964848 385751136 /nfs/dbraw/zinc/75/11/36/385751136.db2.gz IOGUONDRWBCULF-UHFFFAOYSA-N 0 0 282.365 2.775 20 5 CFBDRN CSCCCNc1ccc([N+](=O)[O-])cc1C(C)=O ZINC000287128285 385786806 /nfs/dbraw/zinc/78/68/06/385786806.db2.gz DBXWASVGGOELBW-UHFFFAOYSA-N 0 0 268.338 2.962 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1C(=O)NCCc1ccccc1[N+](=O)[O-] ZINC000274675606 385848017 /nfs/dbraw/zinc/84/80/17/385848017.db2.gz IPVHYPGAGDTSEW-CJNGLKHVSA-N 0 0 290.363 2.936 20 5 CFBDRN CC(C)OCCCNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000287145008 385789202 /nfs/dbraw/zinc/78/92/02/385789202.db2.gz XPFNNJXXBNMRKA-UHFFFAOYSA-N 0 0 296.352 2.827 20 5 CFBDRN O=c1c(Br)cc([N+](=O)[O-])cn1[C@@H]1C=CCCC1 ZINC000268650070 285205766 /nfs/dbraw/zinc/20/57/66/285205766.db2.gz VKWNBNYYEISJDG-MRVPVSSYSA-N 0 0 299.124 2.800 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@@H]2CCO)c(F)cc1[N+](=O)[O-] ZINC000275044744 385921175 /nfs/dbraw/zinc/92/11/75/385921175.db2.gz RISOEHSRWTWENV-MWLCHTKSSA-N 0 0 298.314 2.706 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CCc2ccccc2C1 ZINC000288540643 197107271 /nfs/dbraw/zinc/10/72/71/197107271.db2.gz JFBFPRAHZPNCMI-UHFFFAOYSA-N 0 0 286.335 2.682 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NC[C@H]1C[C@@H]1C ZINC000276617968 385994381 /nfs/dbraw/zinc/99/43/81/385994381.db2.gz QKDHCFXDKNLBHP-IONNQARKSA-N 0 0 282.727 2.942 20 5 CFBDRN CC(C)C(=O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000288501475 197096391 /nfs/dbraw/zinc/09/63/91/197096391.db2.gz UJTUONUFOUPHMC-UHFFFAOYSA-N 0 0 257.673 2.852 20 5 CFBDRN COC1(CC(=O)Nc2cc([N+](=O)[O-])ccc2F)CCC1 ZINC000276724478 386025770 /nfs/dbraw/zinc/02/57/70/386025770.db2.gz HKUPCVCZJPJOJQ-UHFFFAOYSA-N 0 0 282.271 2.632 20 5 CFBDRN COc1ccc(OC(=O)[C@@H]2CC2(C)C)cc1[N+](=O)[O-] ZINC000276823561 386053430 /nfs/dbraw/zinc/05/34/30/386053430.db2.gz ZXERQVCUEAYQMS-VIFPVBQESA-N 0 0 265.265 2.555 20 5 CFBDRN Cc1cnc(SC[C@@H]2CCCO2)c([N+](=O)[O-])c1 ZINC000276882830 386069016 /nfs/dbraw/zinc/06/90/16/386069016.db2.gz IYIDJKXBGYUJHY-VIFPVBQESA-N 0 0 254.311 2.569 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(NCCCO[C@H]2CCCCO2)n1 ZINC000288444895 197080407 /nfs/dbraw/zinc/08/04/07/197080407.db2.gz OOMVRFZBCJRDNU-ZDUSSCGKSA-N 0 0 295.339 2.643 20 5 CFBDRN O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)NC1CCCC1 ZINC000288430796 197076707 /nfs/dbraw/zinc/07/67/07/197076707.db2.gz NFMWLRQDBXACSK-UHFFFAOYSA-N 0 0 298.726 2.686 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1CCSC1 ZINC000288351215 197056842 /nfs/dbraw/zinc/05/68/42/197056842.db2.gz KEXLICCOOJWUDK-VIFPVBQESA-N 0 0 266.322 2.715 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1NCC1(SC)CCC1 ZINC000288281725 197043795 /nfs/dbraw/zinc/04/37/95/197043795.db2.gz ARRNPLQELJHUTE-UHFFFAOYSA-N 0 0 284.385 2.817 20 5 CFBDRN C[C@H](CF)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000288266960 197040113 /nfs/dbraw/zinc/04/01/13/197040113.db2.gz PRUHHTQVNOTVRL-ZCFIWIBFSA-N 0 0 294.204 2.701 20 5 CFBDRN Nc1ccc(NCc2noc3c2CCCC3)c([N+](=O)[O-])c1 ZINC000288165079 197017824 /nfs/dbraw/zinc/01/78/24/197017824.db2.gz HYQOGJMVXUBNON-UHFFFAOYSA-N 0 0 288.307 2.656 20 5 CFBDRN CO[C@@]1(C)C[C@H](Nc2ccc(N)cc2[N+](=O)[O-])C1(C)C ZINC000288177613 197020972 /nfs/dbraw/zinc/02/09/72/197020972.db2.gz BHHYTUZWQGIQCX-JSGCOSHPSA-N 0 0 279.340 2.793 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCCSC2)c1 ZINC000288074121 196998840 /nfs/dbraw/zinc/99/88/40/196998840.db2.gz OWHSVUUWENVRIV-NSHDSACASA-N 0 0 294.376 2.776 20 5 CFBDRN CC1(CNc2nc3ccc([N+](=O)[O-])cc3c(=O)[nH]2)CCC1 ZINC000287656574 196911095 /nfs/dbraw/zinc/91/10/95/196911095.db2.gz BHXHGPCLGSGZBK-UHFFFAOYSA-N 0 0 288.307 2.846 20 5 CFBDRN COC[C@@H]1CCCCN(c2cccc(OC)c2[N+](=O)[O-])C1 ZINC000375377964 285260841 /nfs/dbraw/zinc/26/08/41/285260841.db2.gz OFUPJRDCNMQZQY-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN CC(=O)c1cc(N[C@@H](CO)CC2CCC2)ccc1[N+](=O)[O-] ZINC000287563091 196886370 /nfs/dbraw/zinc/88/63/70/196886370.db2.gz CSFHAMCUWBMMHU-CYBMUJFWSA-N 0 0 292.335 2.760 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1NC(=O)CC1CC1 ZINC000281238020 195162492 /nfs/dbraw/zinc/16/24/92/195162492.db2.gz FKCCVEVDSTWXGL-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN CSCCONC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C ZINC000281300049 195187036 /nfs/dbraw/zinc/18/70/36/195187036.db2.gz HUNNIIOUFFICGG-UHFFFAOYSA-N 0 0 299.352 2.628 20 5 CFBDRN COC[C@@H]1CCCN(c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000450949479 285273765 /nfs/dbraw/zinc/27/37/65/285273765.db2.gz SPJBKVBGALRHRL-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1NCCC1(C)CC1 ZINC000281380279 195217674 /nfs/dbraw/zinc/21/76/74/195217674.db2.gz FLNRMUFSWOACDD-UHFFFAOYSA-N 0 0 252.318 2.722 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cn(C(C)C)cn1 ZINC000281462646 195250254 /nfs/dbraw/zinc/25/02/54/195250254.db2.gz FVPVOMSILMIVJA-UHFFFAOYSA-N 0 0 288.307 2.933 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H](C)C[C@H](C)O ZINC000287232951 196810736 /nfs/dbraw/zinc/81/07/36/196810736.db2.gz JJRVHPPRTFNTST-ZJUUUORDSA-N 0 0 280.324 2.616 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@]1(C)CC=CCC1 ZINC000411107402 533219356 /nfs/dbraw/zinc/21/93/56/533219356.db2.gz AGOWLVFARLYVMN-OAHLLOKOSA-N 0 0 290.319 2.832 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)CC(F)F ZINC000414719970 533226991 /nfs/dbraw/zinc/22/69/91/533226991.db2.gz AQJDVGNMBYAIJJ-SSDOTTSWSA-N 0 0 288.250 2.833 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NCc1nn(C)cc1Cl ZINC000421190871 533229660 /nfs/dbraw/zinc/22/96/60/533229660.db2.gz RUWKZLRUUIGTHF-UHFFFAOYSA-N 0 0 296.714 2.602 20 5 CFBDRN Cc1c(CNc2ccc(Cl)cc2[N+](=O)[O-])cnn1C ZINC000093649555 184701835 /nfs/dbraw/zinc/70/18/35/184701835.db2.gz LJSKXFWCXBEBDP-UHFFFAOYSA-N 0 0 280.715 2.902 20 5 CFBDRN C[C@H](CO)CSCc1ccc([N+](=O)[O-])c(F)c1 ZINC000094775046 184860891 /nfs/dbraw/zinc/86/08/91/184860891.db2.gz ASFOOWKCBIWEFZ-MRVPVSSYSA-N 0 0 259.302 2.596 20 5 CFBDRN CC[C@@H](CCO)CNc1ccc([N+](=O)[O-])cc1F ZINC000094957235 184903291 /nfs/dbraw/zinc/90/32/91/184903291.db2.gz DGCLZFFWWZXPSC-VIFPVBQESA-N 0 0 256.277 2.554 20 5 CFBDRN CCC(=O)c1ccc(NCCC[C@H](C)O)c([N+](=O)[O-])c1 ZINC000281531606 195277435 /nfs/dbraw/zinc/27/74/35/195277435.db2.gz RBYMSNCGYIBARH-JTQLQIEISA-N 0 0 280.324 2.760 20 5 CFBDRN C/C=C(\C)C(=O)Nc1cc([N+](=O)[O-])ccc1OCC ZINC000281548370 195283281 /nfs/dbraw/zinc/28/32/81/195283281.db2.gz YUQGSJBSHLTIQS-RUDMXATFSA-N 0 0 264.281 2.898 20 5 CFBDRN CC[C@@H]1C[C@H]1NC(=O)/C(C)=C/c1ccc([N+](=O)[O-])cc1 ZINC000281717716 195349485 /nfs/dbraw/zinc/34/94/85/195349485.db2.gz DWWLGAUOCHTBRF-VHYLPNJESA-N 0 0 274.320 2.913 20 5 CFBDRN CO[C@@H]1[C@@H](C)[C@H](Nc2ncc([N+](=O)[O-])s2)C1(C)C ZINC000281785064 195380349 /nfs/dbraw/zinc/38/03/49/195380349.db2.gz FALFZAXDLVXPHK-CNUIFLNQSA-N 0 0 271.342 2.523 20 5 CFBDRN CCCN(C(=O)COC(C)C)c1cccc([N+](=O)[O-])c1 ZINC000281820821 195395869 /nfs/dbraw/zinc/39/58/69/195395869.db2.gz MXJQXNNOZNTDPN-UHFFFAOYSA-N 0 0 280.324 2.763 20 5 CFBDRN CSCC(C)(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 ZINC000281827871 195398765 /nfs/dbraw/zinc/39/87/65/195398765.db2.gz HCSKWLKDNCZWBW-UHFFFAOYSA-N 0 0 296.392 2.643 20 5 CFBDRN Cc1cc(OCC(=O)N2CC[C@@H](C)[C@@H]2C)ccc1[N+](=O)[O-] ZINC000281875335 195417023 /nfs/dbraw/zinc/41/70/23/195417023.db2.gz ZNZKJMPEROANHI-PWSUYJOCSA-N 0 0 292.335 2.539 20 5 CFBDRN CCOCCCC(=O)Nc1c(C)c([N+](=O)[O-])ccc1F ZINC000281877583 195417237 /nfs/dbraw/zinc/41/72/37/195417237.db2.gz CZBIRMVPIHHAQQ-UHFFFAOYSA-N 0 0 284.287 2.798 20 5 CFBDRN Cc1cc(OCC(=O)N(C)[C@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000281974413 195458153 /nfs/dbraw/zinc/45/81/53/195458153.db2.gz MAULOUVDCXIVDQ-LLVKDONJSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1cn(CCCSCC(C)C)nc1[N+](=O)[O-] ZINC000282164797 195525423 /nfs/dbraw/zinc/52/54/23/195525423.db2.gz DJHZJMPIIAZNCA-UHFFFAOYSA-N 0 0 257.359 2.879 20 5 CFBDRN Cc1cn([C@@H](C)C(=O)Nc2ccccc2C)nc1[N+](=O)[O-] ZINC000282272632 195558862 /nfs/dbraw/zinc/55/88/62/195558862.db2.gz OWQNTUZBOADERH-NSHDSACASA-N 0 0 288.307 2.608 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2CCCS2)cc1[N+](=O)[O-] ZINC000282489766 195625637 /nfs/dbraw/zinc/62/56/37/195625637.db2.gz QXEDJIJBFKPWSK-NSHDSACASA-N 0 0 284.312 2.876 20 5 CFBDRN Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1O[C@@H](C)C[C@H]1C ZINC000282504825 195629926 /nfs/dbraw/zinc/62/99/26/195629926.db2.gz ZDYZHTIAHZWONW-BFHDXFOESA-N 0 0 296.298 2.794 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])c(-c2nc(CC3CC3)no2)c1 ZINC000283040178 195776785 /nfs/dbraw/zinc/77/67/85/195776785.db2.gz QGXNQSKXHNZYEX-UHFFFAOYSA-N 0 0 289.291 2.996 20 5 CFBDRN CN(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1CCOC1 ZINC000283624648 195889670 /nfs/dbraw/zinc/88/96/70/195889670.db2.gz AWXFCUOZAHNMFN-MRVPVSSYSA-N 0 0 299.714 2.501 20 5 CFBDRN COC(=O)[C@H](CC1CC1)Nc1cc(C)c([N+](=O)[O-])cc1F ZINC000413500966 533259348 /nfs/dbraw/zinc/25/93/48/533259348.db2.gz OYWXXLIICYDNTC-LBPRGKRZSA-N 0 0 296.298 2.796 20 5 CFBDRN C[C@@H](C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccc([N+](=O)[O-])cc1F ZINC000428095095 533303067 /nfs/dbraw/zinc/30/30/67/533303067.db2.gz XKMQZSGTXOMOQA-PKINLEFWSA-N 0 0 292.310 2.752 20 5 CFBDRN CON1CCC(N(C)c2cc(C)c([N+](=O)[O-])cc2F)CC1 ZINC000413359169 533309313 /nfs/dbraw/zinc/30/93/13/533309313.db2.gz GVYSRWCSUTXFQE-UHFFFAOYSA-N 0 0 297.330 2.504 20 5 CFBDRN CO[C@H]1c2ccccc2C[C@H]1Nc1ccc([N+](=O)[O-])nc1 ZINC000413503299 533319357 /nfs/dbraw/zinc/31/93/57/533319357.db2.gz RULJNPVGNXBNCD-HIFRSBDPSA-N 0 0 285.303 2.714 20 5 CFBDRN CO[C@](C)([C@@H](C)Nc1ncc([N+](=O)[O-])cc1F)C1CC1 ZINC000413520499 533347939 /nfs/dbraw/zinc/34/79/39/533347939.db2.gz PVLXOQBCFRAOLA-AMIZOPFISA-N 0 0 283.303 2.744 20 5 CFBDRN CO[C@@H]1CC[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000191634258 533428826 /nfs/dbraw/zinc/42/88/26/533428826.db2.gz KSYPJTGFHLZDQZ-PSASIEDQSA-N 0 0 298.726 2.546 20 5 CFBDRN C[C@@H](CCO)C1(CNc2ncc([N+](=O)[O-])cc2F)CCC1 ZINC000413220729 533615507 /nfs/dbraw/zinc/61/55/07/533615507.db2.gz HFOOIXLFBBONER-JTQLQIEISA-N 0 0 297.330 2.730 20 5 CFBDRN COC[C@H]1CCCCN(c2c(F)cccc2[N+](=O)[O-])C1 ZINC000342888379 285436790 /nfs/dbraw/zinc/43/67/90/285436790.db2.gz CRPUNFILTSWHRX-NSHDSACASA-N 0 0 282.315 2.987 20 5 CFBDRN C[C@H](NC(=O)CCOCC1CC1)c1ccccc1[N+](=O)[O-] ZINC000341773453 130010645 /nfs/dbraw/zinc/01/06/45/130010645.db2.gz DSOSLZIHPAJYIT-NSHDSACASA-N 0 0 292.335 2.589 20 5 CFBDRN O=C(Nc1ncccc1O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000341882611 130108750 /nfs/dbraw/zinc/10/87/50/130108750.db2.gz JFWPMTUYYUQISX-UHFFFAOYSA-N 0 0 299.242 2.694 20 5 CFBDRN C[C@H]1CCSCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000191498510 130245802 /nfs/dbraw/zinc/24/58/02/130245802.db2.gz GYNLZWQXCYXZGJ-JTQLQIEISA-N 0 0 295.364 2.954 20 5 CFBDRN CCN(CC1CC1)C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 ZINC000191629365 130266448 /nfs/dbraw/zinc/26/64/48/130266448.db2.gz UWIZURKCTBOUTK-UHFFFAOYSA-N 0 0 292.335 2.784 20 5 CFBDRN Cc1ccc(CNCC(F)(F)C(F)F)cc1[N+](=O)[O-] ZINC000191766419 130297408 /nfs/dbraw/zinc/29/74/08/130297408.db2.gz BREQJHSURWXQPH-UHFFFAOYSA-N 0 0 280.221 2.893 20 5 CFBDRN Nc1ccc(-c2noc(C3CC=CC3)n2)cc1[N+](=O)[O-] ZINC000355432967 130305305 /nfs/dbraw/zinc/30/53/05/130305305.db2.gz YKKOBTWVANWOPX-UHFFFAOYSA-N 0 0 272.264 2.661 20 5 CFBDRN Cc1cccc(Cl)c1NC(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000355626091 130323452 /nfs/dbraw/zinc/32/34/52/130323452.db2.gz PAYMHHWXGUXOFU-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC[C@@H](C)[C@](C)(O)CNc1c(F)cccc1[N+](=O)[O-] ZINC000342154798 130347208 /nfs/dbraw/zinc/34/72/08/130347208.db2.gz IXRSVYZSRJDSQH-NOZJJQNGSA-N 0 0 270.304 2.943 20 5 CFBDRN CCc1ccc(C(=O)Oc2cnn(CC)c2)cc1[N+](=O)[O-] ZINC000192023102 130352648 /nfs/dbraw/zinc/35/26/48/130352648.db2.gz MIJZRNZACHHMNC-UHFFFAOYSA-N 0 0 289.291 2.593 20 5 CFBDRN C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCOC1 ZINC000355957364 130358818 /nfs/dbraw/zinc/35/88/18/130358818.db2.gz QSFPTKQHCFWDJV-WDEREUQCSA-N 0 0 293.323 2.531 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](NC(=O)c1cc([N+](=O)[O-])c[nH]1)[C@H](C)C2 ZINC000355955580 130358980 /nfs/dbraw/zinc/35/89/80/130358980.db2.gz MQRVGEWXUMOVCR-BMIGLBTASA-N 0 0 299.330 2.895 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1C(=O)Nc1scnc1C ZINC000355958753 130362035 /nfs/dbraw/zinc/36/20/35/130362035.db2.gz MTXLWWKAEGWDCM-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN C[C@@]1(C(=O)OCc2ccc([N+](=O)[O-])cc2)C[C@H]2CC[C@@H]1O2 ZINC000192110435 130373797 /nfs/dbraw/zinc/37/37/97/130373797.db2.gz MWFPNVVLARVNFD-VNHYZAJKSA-N 0 0 291.303 2.596 20 5 CFBDRN CCc1cc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)n[nH]1 ZINC000356073340 130373845 /nfs/dbraw/zinc/37/38/45/130373845.db2.gz DITBRWRIGOZCEU-UHFFFAOYSA-N 0 0 285.263 2.597 20 5 CFBDRN Cc1ccc(Cn2cc([N+](=O)[O-])c(C)cc2=O)cc1C ZINC000192137267 130380765 /nfs/dbraw/zinc/38/07/65/130380765.db2.gz NOXZDXBSJCREMX-UHFFFAOYSA-N 0 0 272.304 2.730 20 5 CFBDRN CCCN1C(=O)N(c2ccc([N+](=O)[O-])cc2)C(=O)[C@H]1CC ZINC000192414744 130445331 /nfs/dbraw/zinc/44/53/31/130445331.db2.gz LKGYKIQGGBPXQG-GFCCVEGCSA-N 0 0 291.307 2.552 20 5 CFBDRN CN(CCc1cccs1)c1ncc([N+](=O)[O-])s1 ZINC000192469912 130452536 /nfs/dbraw/zinc/45/25/36/130452536.db2.gz JNYZNTOLFQOZQZ-UHFFFAOYSA-N 0 0 269.351 2.792 20 5 CFBDRN COC[C@H](Nc1ncc([N+](=O)[O-])s1)c1ccc(C)o1 ZINC000192475529 130455078 /nfs/dbraw/zinc/45/50/78/130455078.db2.gz VVHFDLRJHWOVBN-QMMMGPOBSA-N 0 0 283.309 2.752 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1Oc1ccc(C)nc1 ZINC000192530989 130464988 /nfs/dbraw/zinc/46/49/88/130464988.db2.gz BPOPYMJCVVRPCZ-UHFFFAOYSA-N 0 0 262.269 2.615 20 5 CFBDRN Cc1cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)sn1 ZINC000359561845 130521731 /nfs/dbraw/zinc/52/17/31/130521731.db2.gz DYCOAZGUIDBQPD-UHFFFAOYSA-N 0 0 281.268 2.751 20 5 CFBDRN Cc1cc(NCCCO)c(C(F)(F)F)cc1[N+](=O)[O-] ZINC000359834343 130550250 /nfs/dbraw/zinc/55/02/50/130550250.db2.gz BZLGHFVKIRXLSB-UHFFFAOYSA-N 0 0 278.230 2.716 20 5 CFBDRN COc1ccc(NC(=O)c2ncccc2C)cc1[N+](=O)[O-] ZINC000359971786 130569544 /nfs/dbraw/zinc/56/95/44/130569544.db2.gz VBVKMNANPFCXMY-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@@H](CNc1ncc([N+](=O)[O-])s1)c1nccs1 ZINC000193854118 130655769 /nfs/dbraw/zinc/65/57/69/130655769.db2.gz ASGTUCPHKOELGI-LURJTMIESA-N 0 0 270.339 2.723 20 5 CFBDRN O=[N+]([O-])c1cnc(N(Cc2ccccn2)C2CC2)s1 ZINC000195506491 130745051 /nfs/dbraw/zinc/74/50/51/130745051.db2.gz KEEMILKYRQNGJJ-UHFFFAOYSA-N 0 0 276.321 2.615 20 5 CFBDRN COc1cc(NCC2(C)CCOCC2)c(F)cc1[N+](=O)[O-] ZINC000218869069 130771598 /nfs/dbraw/zinc/77/15/98/130771598.db2.gz FWPBFTUZFJDJOI-UHFFFAOYSA-N 0 0 298.314 2.971 20 5 CFBDRN C[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CCO1 ZINC000220155438 130779449 /nfs/dbraw/zinc/77/94/49/130779449.db2.gz ANWDKDKNMSXUBW-WPRPVWTQSA-N 0 0 298.726 2.546 20 5 CFBDRN CC(C)(CCCO)CNc1cccc(F)c1[N+](=O)[O-] ZINC000221196216 130782373 /nfs/dbraw/zinc/78/23/73/130782373.db2.gz FTTLFKQPWNQMAQ-UHFFFAOYSA-N 0 0 270.304 2.945 20 5 CFBDRN CC(C)(CCCO)CNc1ncc([N+](=O)[O-])cc1Cl ZINC000221193891 130782460 /nfs/dbraw/zinc/78/24/60/130782460.db2.gz WAQJFKCXHKDLCB-UHFFFAOYSA-N 0 0 287.747 2.854 20 5 CFBDRN Cc1cc(NCC(C)(C)CCCO)ncc1[N+](=O)[O-] ZINC000221186609 130783000 /nfs/dbraw/zinc/78/30/00/130783000.db2.gz QAHBJGHOEIGXJO-UHFFFAOYSA-N 0 0 267.329 2.509 20 5 CFBDRN C[C@@H](O)[C@@H](C)Sc1ncc(Cl)cc1[N+](=O)[O-] ZINC000227286235 130839101 /nfs/dbraw/zinc/83/91/01/130839101.db2.gz DOPWDFAZFVKWJZ-PHDIDXHHSA-N 0 0 262.718 2.505 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1NC[C@H]1CC[C@@H](O)C1 ZINC000227669431 130848658 /nfs/dbraw/zinc/84/86/58/130848658.db2.gz SNIWSCPUXGEIQL-DTWKUNHWSA-N 0 0 270.716 2.821 20 5 CFBDRN C[C@H]1CN(C(=O)c2cc(F)c([N+](=O)[O-])cc2F)C[C@H]1C ZINC000228626569 130871547 /nfs/dbraw/zinc/87/15/47/130871547.db2.gz AOWSAEMLJQQKJW-OCAPTIKFSA-N 0 0 284.262 2.601 20 5 CFBDRN Cc1nc(NC[C@H](O)c2ccsc2)ccc1[N+](=O)[O-] ZINC000230185270 130894624 /nfs/dbraw/zinc/89/46/24/130894624.db2.gz JVOJZGCKXXCMJT-NSHDSACASA-N 0 0 279.321 2.505 20 5 CFBDRN C[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CCOCC1 ZINC000230217070 130895345 /nfs/dbraw/zinc/89/53/45/130895345.db2.gz OKCBVYXUHIKGNS-VIFPVBQESA-N 0 0 295.295 2.730 20 5 CFBDRN CO[C@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CC[C@@H]1C ZINC000230236346 130896648 /nfs/dbraw/zinc/89/66/48/130896648.db2.gz QACIZNYBUPCENM-HZMBPMFUSA-N 0 0 282.315 2.591 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1NCCOCC(F)F ZINC000231678198 130917799 /nfs/dbraw/zinc/91/77/99/130917799.db2.gz QKLVHTMLTFIXHD-UHFFFAOYSA-N 0 0 260.240 2.597 20 5 CFBDRN CC(C)CONC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000232590131 130926081 /nfs/dbraw/zinc/92/60/81/130926081.db2.gz KTNYZNQQJPPQQR-UHFFFAOYSA-N 0 0 272.688 2.566 20 5 CFBDRN COC[C@]1(C)CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000294959011 285482360 /nfs/dbraw/zinc/48/23/60/285482360.db2.gz MVZMHRJWVGRHBB-CYBMUJFWSA-N 0 0 268.288 2.597 20 5 CFBDRN Cc1c(C(=O)N(C)[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000248006584 131109697 /nfs/dbraw/zinc/10/96/97/131109697.db2.gz WAQBXAGKEIDPSD-JTQLQIEISA-N 0 0 262.309 2.774 20 5 CFBDRN C[C@H](c1ccco1)N(C)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000253605801 131144848 /nfs/dbraw/zinc/14/48/48/131144848.db2.gz BZNRBTGVLLGERP-LLVKDONJSA-N 0 0 288.303 2.950 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)NC1CCCCC1 ZINC000030287897 291997402 /nfs/dbraw/zinc/99/74/02/291997402.db2.gz QKYUBTQDYIDHIE-UHFFFAOYSA-N 0 0 298.364 2.514 20 5 CFBDRN CC(=O)NC1CCC(Nc2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000263655127 131301845 /nfs/dbraw/zinc/30/18/45/131301845.db2.gz CMUCFRCFWBLMTB-UHFFFAOYSA-N 0 0 295.314 2.593 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CC[C@H](C)C2)c1 ZINC000263669258 131305439 /nfs/dbraw/zinc/30/54/39/131305439.db2.gz IQWBFWKAQNDWFH-LBPRGKRZSA-N 0 0 292.335 2.541 20 5 CFBDRN CC[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(N)=O ZINC000263752455 131322330 /nfs/dbraw/zinc/32/23/30/131322330.db2.gz IQVSBSXFCMLJNQ-QMMMGPOBSA-N 0 0 297.361 2.561 20 5 CFBDRN CCCCO[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000263757710 131323886 /nfs/dbraw/zinc/32/38/86/131323886.db2.gz JORLSBCEAPPFMA-VIFPVBQESA-N 0 0 284.287 2.878 20 5 CFBDRN C[C@@H](c1cccc([N+](=O)[O-])c1)N(C)CC(=O)OC(C)(C)C ZINC000263874199 131351423 /nfs/dbraw/zinc/35/14/23/131351423.db2.gz JNYIUTFQPFWAFW-NSHDSACASA-N 0 0 294.351 2.929 20 5 CFBDRN COc1ccc(CNC(=O)C[C@@H](C)C(C)C)cc1[N+](=O)[O-] ZINC000263900939 131356326 /nfs/dbraw/zinc/35/63/26/131356326.db2.gz WTYLQINJJHNXEC-LLVKDONJSA-N 0 0 294.351 2.902 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NC1CC(c2ccccc2)C1 ZINC000263993721 131375272 /nfs/dbraw/zinc/37/52/72/131375272.db2.gz KZYJNDBWQDREQI-UHFFFAOYSA-N 0 0 272.308 2.686 20 5 CFBDRN C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1CCC1 ZINC000264516321 131464219 /nfs/dbraw/zinc/46/42/19/131464219.db2.gz LFXWHIRLEOVFET-SECBINFHSA-N 0 0 280.349 2.744 20 5 CFBDRN COC(=O)C[C@H](C)Sc1ccc(C(C)=O)cc1[N+](=O)[O-] ZINC000265173883 131558041 /nfs/dbraw/zinc/55/80/41/131558041.db2.gz CCZKZPCLRNLRGT-QMMMGPOBSA-N 0 0 297.332 2.841 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCC1CC1 ZINC000265169846 131558130 /nfs/dbraw/zinc/55/81/30/131558130.db2.gz IOTHUHKCEBSRKT-UHFFFAOYSA-N 0 0 262.309 2.743 20 5 CFBDRN CC(C)(C)[S@](=O)CCNc1ccc([N+](=O)[O-])cc1 ZINC000265232612 131565458 /nfs/dbraw/zinc/56/54/58/131565458.db2.gz CKDDOALIJMBTQT-GOSISDBHSA-N 0 0 270.354 2.554 20 5 CFBDRN CCCCOCc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000265465169 131592930 /nfs/dbraw/zinc/59/29/30/131592930.db2.gz QPNCATQROFMQER-UHFFFAOYSA-N 0 0 277.280 2.962 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000265572866 131605164 /nfs/dbraw/zinc/60/51/64/131605164.db2.gz VGTJKCLMPDNIOF-SNVBAGLBSA-N 0 0 299.758 2.896 20 5 CFBDRN Cn1cc(CCSCc2ccc([N+](=O)[O-])cc2)cn1 ZINC000265875991 131650631 /nfs/dbraw/zinc/65/06/31/131650631.db2.gz NEXVRZWZVVUKNH-UHFFFAOYSA-N 0 0 277.349 2.804 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000266024199 131672754 /nfs/dbraw/zinc/67/27/54/131672754.db2.gz BBDXGZDJMUYIJH-KBPBESRZSA-N 0 0 292.335 2.591 20 5 CFBDRN C[C@@H]1CN(c2c([N+](=O)[O-])ncn2C)[C@@H]1c1ccccc1 ZINC000266186112 131697259 /nfs/dbraw/zinc/69/72/59/131697259.db2.gz FDYYRAUWFYRVAL-PWSUYJOCSA-N 0 0 272.308 2.526 20 5 CFBDRN O=[N+]([O-])c1ccccc1-c1nc(C2CCOCC2)no1 ZINC000266513539 131743398 /nfs/dbraw/zinc/74/33/98/131743398.db2.gz BTASRMSVJPSMTA-UHFFFAOYSA-N 0 0 275.264 2.539 20 5 CFBDRN CN(Cc1nc(CC2CC2)no1)c1ccccc1[N+](=O)[O-] ZINC000266558841 131751473 /nfs/dbraw/zinc/75/14/73/131751473.db2.gz JEAYLZCZPOXYEE-UHFFFAOYSA-N 0 0 288.307 2.567 20 5 CFBDRN Cc1ccc([C@H](C)NC(=O)CC2CC2)cc1[N+](=O)[O-] ZINC000267649619 131896813 /nfs/dbraw/zinc/89/68/13/131896813.db2.gz KSUJMWYGYSOCCQ-JTQLQIEISA-N 0 0 262.309 2.881 20 5 CFBDRN O=C(NCc1cc([N+](=O)[O-])ccc1Cl)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000268265286 131987363 /nfs/dbraw/zinc/98/73/63/131987363.db2.gz SILPXQQJSILDEB-PJXYFTJBSA-N 0 0 294.738 2.911 20 5 CFBDRN CC[C@H]1CC[C@H](C(=O)OCc2ccc([N+](=O)[O-])cc2)O1 ZINC000268409611 132005576 /nfs/dbraw/zinc/00/55/76/132005576.db2.gz ULYFRPWSNQPEJS-QWHCGFSZSA-N 0 0 279.292 2.596 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H]3OCC[C@@H]32)c1 ZINC000268417482 132006308 /nfs/dbraw/zinc/00/63/08/132006308.db2.gz VVYVUXMOWNYJIT-LALPHHSUSA-N 0 0 292.335 2.973 20 5 CFBDRN CCNc1nnc(Sc2ccc([N+](=O)[O-])c(C)n2)s1 ZINC000268439396 132008879 /nfs/dbraw/zinc/00/88/79/132008879.db2.gz CUIXEZGQYPVZGD-UHFFFAOYSA-N 0 0 297.365 2.733 20 5 CFBDRN COCCC1(CNc2ccc([N+](=O)[O-])cn2)CCC1 ZINC000268512126 132019771 /nfs/dbraw/zinc/01/97/71/132019771.db2.gz SBYQTNWDBBAKDI-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CCOC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C)n2)C1 ZINC000268520047 132021554 /nfs/dbraw/zinc/02/15/54/132021554.db2.gz UTMUTEJWZSBOKW-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN Cc1nn(Cc2ccn(C3CCCC3)n2)c(C)c1[N+](=O)[O-] ZINC000268555416 132026686 /nfs/dbraw/zinc/02/66/86/132026686.db2.gz DEESZOZRHDFHML-UHFFFAOYSA-N 0 0 289.339 2.768 20 5 CFBDRN CC[C@@](C)(CCO)NC(=O)Nc1ccc(C)c([N+](=O)[O-])c1 ZINC000268565788 132028178 /nfs/dbraw/zinc/02/81/78/132028178.db2.gz QCMOEIXSNMBBDI-AWEZNQCLSA-N 0 0 295.339 2.576 20 5 CFBDRN CC[C@@H]1CC[C@@H](C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)O1 ZINC000269321515 132154648 /nfs/dbraw/zinc/15/46/48/132154648.db2.gz BMHRSXUCXJTSPL-PWSUYJOCSA-N 0 0 283.255 2.597 20 5 CFBDRN Cc1nc(NCCCc2ccccn2)ccc1[N+](=O)[O-] ZINC000269353181 132159429 /nfs/dbraw/zinc/15/94/29/132159429.db2.gz GVMAKKROMCYRTL-UHFFFAOYSA-N 0 0 272.308 2.738 20 5 CFBDRN Cc1cc(N2C[C@H](C)OC[C@@H]2C)ccc1[N+](=O)[O-] ZINC000298741171 393457941 /nfs/dbraw/zinc/45/79/41/393457941.db2.gz YEXRTQQWFUGTAC-QWRGUYRKSA-N 0 0 250.298 2.517 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])[nH]1)[C@H]1CCc2ccccc21 ZINC000298847328 393458760 /nfs/dbraw/zinc/45/87/60/393458760.db2.gz DILYQBMBEOGKKD-ZDUSSCGKSA-N 0 0 285.303 2.682 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CCC[C@@H](C)C2)c1=O ZINC000180839335 393485716 /nfs/dbraw/zinc/48/57/16/393485716.db2.gz KPXUXTGDKVQEBD-PWSUYJOCSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1cc(N2CCC([C@H]3CCOC3)CC2)ncc1[N+](=O)[O-] ZINC000374649854 393500784 /nfs/dbraw/zinc/50/07/84/393500784.db2.gz UWOKPYHEAXMCPS-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1cc(N[C@H]2CCOC[C@H]2C)c(F)cc1[N+](=O)[O-] ZINC000311922400 393520953 /nfs/dbraw/zinc/52/09/53/393520953.db2.gz FDXPXVSLBUYSDN-KOLCDFICSA-N 0 0 268.288 2.879 20 5 CFBDRN CC[C@@H](C)[C@@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)OC ZINC000037313053 393529896 /nfs/dbraw/zinc/52/98/96/393529896.db2.gz SXXKHSQGEYLVER-QMTHXVAHSA-N 0 0 294.351 2.581 20 5 CFBDRN CCCNC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1F ZINC000185154502 393531591 /nfs/dbraw/zinc/53/15/91/393531591.db2.gz SSDDDAQCMFOPKG-MRVPVSSYSA-N 0 0 286.328 2.741 20 5 CFBDRN CC(C)CNC(=O)CSc1ccc([N+](=O)[O-])cc1F ZINC000185157048 393531828 /nfs/dbraw/zinc/53/18/28/393531828.db2.gz USHXKISSJFENFS-UHFFFAOYSA-N 0 0 286.328 2.598 20 5 CFBDRN C[C@@H](NCc1csc([N+](=O)[O-])c1)c1cccnc1 ZINC000037562588 393532656 /nfs/dbraw/zinc/53/26/56/393532656.db2.gz SMDQBLLYNXHBMT-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN CC[C@@]1(C)COCCN1C(=O)c1cc([N+](=O)[O-])ccc1C ZINC000330642414 393554295 /nfs/dbraw/zinc/55/42/95/393554295.db2.gz XOCODAXQXBPQHC-HNNXBMFYSA-N 0 0 292.335 2.544 20 5 CFBDRN CCOC1CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000188366487 393563935 /nfs/dbraw/zinc/56/39/35/393563935.db2.gz XLQWEVITALHHTE-UHFFFAOYSA-N 0 0 264.325 2.909 20 5 CFBDRN Cc1cncc(CSc2ncccc2[N+](=O)[O-])c1 ZINC000189838388 393575766 /nfs/dbraw/zinc/57/57/66/393575766.db2.gz WZBVNXSCRGVJPG-UHFFFAOYSA-N 0 0 261.306 2.986 20 5 CFBDRN O=[N+]([O-])c1ccc(CCNc2cncc(Cl)n2)cc1 ZINC000048893831 393607808 /nfs/dbraw/zinc/60/78/08/393607808.db2.gz MFCOODAVSMCXOL-UHFFFAOYSA-N 0 0 278.699 2.693 20 5 CFBDRN C[C@@H](NC(=O)c1ccco1)c1cccc([N+](=O)[O-])c1 ZINC000048797640 393601059 /nfs/dbraw/zinc/60/10/59/393601059.db2.gz LHUAACUHLLZUNL-SECBINFHSA-N 0 0 260.249 2.679 20 5 CFBDRN O=[N+]([O-])c1ccnn1Cc1cccc(Cl)c1F ZINC000191823812 393608281 /nfs/dbraw/zinc/60/82/81/393608281.db2.gz IUMRIIDOBYLYMB-UHFFFAOYSA-N 0 0 255.636 2.632 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(-c2nc(C3CCCCC3)no2)n1 ZINC000191679799 393602326 /nfs/dbraw/zinc/60/23/26/393602326.db2.gz CDJGTMMWMZSMAL-UHFFFAOYSA-N 0 0 291.311 2.909 20 5 CFBDRN CN(Cc1cccnc1)c1cccc(F)c1[N+](=O)[O-] ZINC000048802072 393602426 /nfs/dbraw/zinc/60/24/26/393602426.db2.gz DYPCIAGVIZTVHA-UHFFFAOYSA-N 0 0 261.256 2.765 20 5 CFBDRN CC(C)c1ccc(C[N@@H+]2CC[C@H](C)[C@H]2CO)cc1[N+](=O)[O-] ZINC000191178220 393589622 /nfs/dbraw/zinc/58/96/22/393589622.db2.gz CEAHWSNMTAOQKG-BLLLJJGKSA-N 0 0 292.379 2.921 20 5 CFBDRN CCc1cc(NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)n[nH]1 ZINC000192196074 393617778 /nfs/dbraw/zinc/61/77/78/393617778.db2.gz HNDUEAABUNKJMD-UHFFFAOYSA-N 0 0 289.295 2.833 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CCc2ccc(F)cc21 ZINC000192543936 393625730 /nfs/dbraw/zinc/62/57/30/393625730.db2.gz RHNOBKOOZORZRP-UHFFFAOYSA-N 0 0 290.298 2.953 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CC[C@H]3CCC[C@H]32)n1 ZINC000408363190 393626628 /nfs/dbraw/zinc/62/66/28/393626628.db2.gz VAXINHIGJRIBCC-VXGBXAGGSA-N 0 0 261.325 2.985 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC[C@H](C)C[C@H](C)C1 ZINC000192714554 393631702 /nfs/dbraw/zinc/63/17/02/393631702.db2.gz VXBDCYLKMWLSCI-QWRGUYRKSA-N 0 0 280.372 2.992 20 5 CFBDRN C[C@@H](C(=O)N(C)c1cccc([N+](=O)[O-])c1)C1CCC1 ZINC000410614590 393632827 /nfs/dbraw/zinc/63/28/27/393632827.db2.gz KQOLNERCVNSVBI-SNVBAGLBSA-N 0 0 262.309 2.994 20 5 CFBDRN CC[C@H]1CCN1C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] ZINC000410937550 393646393 /nfs/dbraw/zinc/64/63/93/393646393.db2.gz LPIHTZUJGWTWHS-NSHDSACASA-N 0 0 276.336 2.883 20 5 CFBDRN CCCCCNC(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000193401734 393649713 /nfs/dbraw/zinc/64/97/13/393649713.db2.gz UJLSHUHLOKCVDA-UHFFFAOYSA-N 0 0 295.339 2.593 20 5 CFBDRN CCC1(NC(=O)c2cccc(SC)c2[N+](=O)[O-])CC1 ZINC000411032448 393650830 /nfs/dbraw/zinc/65/08/30/393650830.db2.gz UPGLFOGZGUHJCT-UHFFFAOYSA-N 0 0 280.349 2.989 20 5 CFBDRN COc1cccc(C(=O)Nc2ccccc2F)c1[N+](=O)[O-] ZINC000193770925 393663175 /nfs/dbraw/zinc/66/31/75/393663175.db2.gz XKFIWEPQAFOKMQ-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN COc1cccc(C(=O)NCCCC(C)C)c1[N+](=O)[O-] ZINC000193978152 393669601 /nfs/dbraw/zinc/66/96/01/393669601.db2.gz ZQZVKUFBXDHIGE-UHFFFAOYSA-N 0 0 280.324 2.769 20 5 CFBDRN COc1cccc(C(=O)N[C@H]2CCC[C@@H](C)C2)c1[N+](=O)[O-] ZINC000193969855 393669640 /nfs/dbraw/zinc/66/96/40/393669640.db2.gz XUVMNVSAXZSVAT-MNOVXSKESA-N 0 0 292.335 2.912 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCNc2ccccn2)cc1 ZINC000194023093 393672541 /nfs/dbraw/zinc/67/25/41/393672541.db2.gz WLAOGIHREWSHFX-UHFFFAOYSA-N 0 0 272.308 2.904 20 5 CFBDRN CC[C@@H](C)N(C)C(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000075506332 393674980 /nfs/dbraw/zinc/67/49/80/393674980.db2.gz CKMNGGUUJVRSJF-SNVBAGLBSA-N 0 0 282.365 2.944 20 5 CFBDRN C[N@H+](CCc1cncs1)CCc1cccc([N+](=O)[O-])c1 ZINC000411693312 393739226 /nfs/dbraw/zinc/73/92/26/393739226.db2.gz MDOGXEBGTNOGCH-UHFFFAOYSA-N 0 0 291.376 2.768 20 5 CFBDRN Cc1ccncc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000209829382 393752992 /nfs/dbraw/zinc/75/29/92/393752992.db2.gz NTFHUCZBDHHBFA-DAXSKMNVSA-N 0 0 283.287 2.950 20 5 CFBDRN CCN(C(=O)CCc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000087236921 393757949 /nfs/dbraw/zinc/75/79/49/393757949.db2.gz OGZVQCZKRQYYSL-UHFFFAOYSA-N 0 0 299.330 2.976 20 5 CFBDRN CC[C@@H](C)[C@@H](C)NC(=O)NCc1ccccc1[N+](=O)[O-] ZINC000194469803 393698176 /nfs/dbraw/zinc/69/81/76/393698176.db2.gz GVNYXBMUPHOQTA-GHMZBOCLSA-N 0 0 279.340 2.829 20 5 CFBDRN CCCN(CC)C(=O)Cc1c(F)c(OC)ccc1[N+](=O)[O-] ZINC000411360890 393703947 /nfs/dbraw/zinc/70/39/47/393703947.db2.gz GLVZXURMDLEAPD-UHFFFAOYSA-N 0 0 298.314 2.544 20 5 CFBDRN CS[C@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000218902902 393759483 /nfs/dbraw/zinc/75/94/83/393759483.db2.gz RICQVUPOHYUJOH-WRWORJQWSA-N 0 0 290.772 2.773 20 5 CFBDRN Cc1csc(CNC(=O)c2ccc([N+](=O)[O-])cc2C)n1 ZINC000194800740 393717637 /nfs/dbraw/zinc/71/76/37/393717637.db2.gz CHPFORHVDZXNJU-UHFFFAOYSA-N 0 0 291.332 2.598 20 5 CFBDRN CC(C)(NC(=O)c1ccoc1)c1ccc([N+](=O)[O-])cc1 ZINC000079630745 393720059 /nfs/dbraw/zinc/72/00/59/393720059.db2.gz KHMMPFVYYIBFFY-UHFFFAOYSA-N 0 0 274.276 2.853 20 5 CFBDRN Cc1cncc(NC(=O)c2cc([N+](=O)[O-])ccc2C)c1 ZINC000079578625 393720131 /nfs/dbraw/zinc/72/01/31/393720131.db2.gz HVYYSXIDJACZJQ-UHFFFAOYSA-N 0 0 271.276 2.859 20 5 CFBDRN CCCc1[nH]nc(-c2nc(-c3ccccc3)no2)c1[N+](=O)[O-] ZINC000194864982 393720622 /nfs/dbraw/zinc/72/06/22/393720622.db2.gz QHUIOGBDSMWRLX-UHFFFAOYSA-N 0 0 299.290 2.987 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@@H](C(F)(F)F)C2)nc1 ZINC000194903847 393722628 /nfs/dbraw/zinc/72/26/28/393722628.db2.gz SDVPRTAOVXAWSO-MRVPVSSYSA-N 0 0 275.230 2.769 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1CNc1nc2ccccn2n1 ZINC000195014929 393727452 /nfs/dbraw/zinc/72/74/52/393727452.db2.gz VJUVAARDVNWUGX-UHFFFAOYSA-N 0 0 283.291 2.558 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@@H](OC(C)C)C1 ZINC000457866834 393792235 /nfs/dbraw/zinc/79/22/35/393792235.db2.gz CEDKNHXNLKFQAW-BJHJDKERSA-N 0 0 292.335 2.589 20 5 CFBDRN Cc1c(CS(=O)(=O)CC(C)(C)C)cccc1[N+](=O)[O-] ZINC000424204160 393796577 /nfs/dbraw/zinc/79/65/77/393796577.db2.gz KJQFCHURYKOECL-UHFFFAOYSA-N 0 0 285.365 2.864 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2C[C@@H](C)O[C@@H](C)C2)cc([N+](=O)[O-])c1 ZINC000245720820 393824829 /nfs/dbraw/zinc/82/48/29/393824829.db2.gz USVHJBCEAFEOIU-PTEHBNRSSA-N 0 0 292.335 2.589 20 5 CFBDRN COc1cc(N[C@@H]2CCC[C@H]2OC)ccc1[N+](=O)[O-] ZINC000107039956 393869009 /nfs/dbraw/zinc/86/90/09/393869009.db2.gz AVBNIBTURVLJNC-ZYHUDNBSSA-N 0 0 266.297 2.583 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccncc1C ZINC000471747313 393911816 /nfs/dbraw/zinc/91/18/16/393911816.db2.gz NOOLTVMLOLAMFE-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN C[C@H]1CSC[C@H]1Nc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000343589182 393887850 /nfs/dbraw/zinc/88/78/50/393887850.db2.gz KJRFWUHYJDHBKK-WRWORJQWSA-N 0 0 278.337 2.635 20 5 CFBDRN O=C(NC[C@H]1CC12CC2)c1ccc(Cl)cc1[N+](=O)[O-] ZINC000416266458 393892008 /nfs/dbraw/zinc/89/20/08/393892008.db2.gz ZMMADNVXZVJHSI-MRVPVSSYSA-N 0 0 280.711 2.778 20 5 CFBDRN CCN(CC(C)(C)C)C(=O)CNc1ccccc1[N+](=O)[O-] ZINC000428662945 393903437 /nfs/dbraw/zinc/90/34/37/393903437.db2.gz HXSFXGWDAPEWKB-UHFFFAOYSA-N 0 0 293.367 2.901 20 5 CFBDRN Cc1ccc(C[N@H+]2CCC[C@H](C)[C@@H]2C(=O)[O-])cc1[N+](=O)[O-] ZINC000424416695 393844940 /nfs/dbraw/zinc/84/49/40/393844940.db2.gz KXALCXUAKSAUHK-SMDDNHRTSA-N 0 0 292.335 2.588 20 5 CFBDRN CC(C)[C@@]1(C)C[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000458103329 393847322 /nfs/dbraw/zinc/84/73/22/393847322.db2.gz LYAOFQDYIOZZPR-GXTWGEPZSA-N 0 0 280.299 2.898 20 5 CFBDRN CC(C)[C@]1(C)C[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000458109939 393849001 /nfs/dbraw/zinc/84/90/01/393849001.db2.gz OJDGQTNVPYIUDU-CABZTGNLSA-N 0 0 268.338 2.821 20 5 CFBDRN CO[C@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1)CC(C)C ZINC000416045620 393853623 /nfs/dbraw/zinc/85/36/23/393853623.db2.gz QUAPRAOOIABKET-ZDUSSCGKSA-N 0 0 298.314 2.525 20 5 CFBDRN C[C@H](NC(=O)[C@@]1(C)C[C@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000424593490 393861507 /nfs/dbraw/zinc/86/15/07/393861507.db2.gz CPEXAKPAAIHZLJ-KDDOJWQBSA-N 0 0 266.272 2.520 20 5 CFBDRN C[C@H](NC(=O)[C@]1(C)C[C@H]1F)c1cccc([N+](=O)[O-])c1 ZINC000424593496 393861603 /nfs/dbraw/zinc/86/16/03/393861603.db2.gz CPEXAKPAAIHZLJ-RLCGTCKNSA-N 0 0 266.272 2.520 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)[C@H]1CCC[C@@H](F)C1 ZINC000424596097 393861641 /nfs/dbraw/zinc/86/16/41/393861641.db2.gz ODVAPTSCZZPVOY-NWDGAFQWSA-N 0 0 280.299 2.739 20 5 CFBDRN CC(C)(C)[C@@H]1CCN(C(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000345726291 393915068 /nfs/dbraw/zinc/91/50/68/393915068.db2.gz UMYGMFWIQDYWAC-SNVBAGLBSA-N 0 0 291.351 2.685 20 5 CFBDRN O=[N+]([O-])c1ccc(OC[C@@H]2CCOC2)c2cccnc21 ZINC000471873198 393923263 /nfs/dbraw/zinc/92/32/63/393923263.db2.gz SDOZNTWWYSXHFR-SNVBAGLBSA-N 0 0 274.276 2.558 20 5 CFBDRN C[C@H]1CCC[C@H](c2noc(-c3cc([N+](=O)[O-])nn3C)n2)C1 ZINC000346281478 393925650 /nfs/dbraw/zinc/92/56/50/393925650.db2.gz VDVSMNBSTXYPID-IUCAKERBSA-N 0 0 291.311 2.672 20 5 CFBDRN COc1ccc(C(=O)N(C)[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000429231000 393946258 /nfs/dbraw/zinc/94/62/58/393946258.db2.gz NLNJUFPVAKWUGR-PWSUYJOCSA-N 0 0 292.335 2.864 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CC[C@@H](C)C1 ZINC000429229346 393946419 /nfs/dbraw/zinc/94/64/19/393946419.db2.gz VOOKARJJEAGMPQ-MWLCHTKSSA-N 0 0 277.324 2.559 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CCOC[C@@H]1C1CC1 ZINC000119581295 393921236 /nfs/dbraw/zinc/92/12/36/393921236.db2.gz GKPAZWGZPKHWTJ-CQSZACIVSA-N 0 0 296.754 2.859 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ncc(F)cc1F ZINC000428912180 393922079 /nfs/dbraw/zinc/92/20/79/393922079.db2.gz MLCCAUSZBGWRLF-UHFFFAOYSA-N 0 0 297.192 2.659 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NC[C@H](CO)c1ccccc1 ZINC000487669037 394017866 /nfs/dbraw/zinc/01/78/66/394017866.db2.gz TWOXDGJYQOGPPO-GFCCVEGCSA-N 0 0 290.294 2.922 20 5 CFBDRN CCCC[C@@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000271619536 394021915 /nfs/dbraw/zinc/02/19/15/394021915.db2.gz MKYDTXMPWCKXDW-WCQYABFASA-N 0 0 294.351 2.977 20 5 CFBDRN CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCCCCO1 ZINC000348503880 393954029 /nfs/dbraw/zinc/95/40/29/393954029.db2.gz ANBUWOCOKYGWHH-UHFFFAOYSA-N 0 0 294.307 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC(C)C ZINC000121754207 393959366 /nfs/dbraw/zinc/95/93/66/393959366.db2.gz NYSPFAIOMTZRQR-SNVBAGLBSA-N 0 0 280.324 2.768 20 5 CFBDRN COc1c(C(=O)N2CC[C@@H](C(C)C)C2)cccc1[N+](=O)[O-] ZINC000476302833 393959745 /nfs/dbraw/zinc/95/97/45/393959745.db2.gz JEYTZGQXSRJLQL-LLVKDONJSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1cc([N+](=O)[O-])ccc1CN1C[C@H](C)O[C@@H](C)[C@@H]1C ZINC000430195645 393965955 /nfs/dbraw/zinc/96/59/55/393965955.db2.gz OUTGKIXJIPVJOG-SRVKXCTJSA-N 0 0 294.351 2.601 20 5 CFBDRN CCOC[C@@H]1CCN(c2ccc([N+](=O)[O-])c(OCC)c2)C1 ZINC000486954135 393974614 /nfs/dbraw/zinc/97/46/14/393974614.db2.gz HOKUWAJYILJUCR-GFCCVEGCSA-N 0 0 294.351 2.856 20 5 CFBDRN CCOc1cc(N2CCC(O)(CC)CC2)ccc1[N+](=O)[O-] ZINC000486980677 393977825 /nfs/dbraw/zinc/97/78/25/393977825.db2.gz KMEHHGFEJGXYDZ-UHFFFAOYSA-N 0 0 294.351 2.735 20 5 CFBDRN C[C@@H]1CC[C@@H](C)[NH+]1Cc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000123208238 393977850 /nfs/dbraw/zinc/97/78/50/393977850.db2.gz AZOYHASEPAAGPW-GHMZBOCLSA-N 0 0 292.335 2.834 20 5 CFBDRN C[C@H]1CC[C@H](C)[NH+]1Cc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000123208023 393977985 /nfs/dbraw/zinc/97/79/85/393977985.db2.gz AZOYHASEPAAGPW-QWRGUYRKSA-N 0 0 292.335 2.834 20 5 CFBDRN CC(C)C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])o2)C1 ZINC000266759564 393983375 /nfs/dbraw/zinc/98/33/75/393983375.db2.gz ZEZIKADIYMXIJP-JTQLQIEISA-N 0 0 266.297 2.696 20 5 CFBDRN C[C@H]1CO[C@H](c2ccccc2)CN1c1ccc([N+](=O)[O-])cn1 ZINC000267236246 393986146 /nfs/dbraw/zinc/98/61/46/393986146.db2.gz GNAGWABFCPGRGV-WFASDCNBSA-N 0 0 299.330 2.956 20 5 CFBDRN Cc1cc(C(=O)N[C@H](C)Cc2ccncc2)ccc1[N+](=O)[O-] ZINC000487844641 394025094 /nfs/dbraw/zinc/02/50/94/394025094.db2.gz WHMOBJBAAPNYLP-GFCCVEGCSA-N 0 0 299.330 2.659 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@@H](C)C(C)C)c1[N+](=O)[O-] ZINC000269355859 394003790 /nfs/dbraw/zinc/00/37/90/394003790.db2.gz HVSUSLNIVHOFAE-VIFPVBQESA-N 0 0 282.344 2.558 20 5 CFBDRN CC(C)c1n[nH]c(C(=O)N(C)[C@H](C)C(C)C)c1[N+](=O)[O-] ZINC000269355857 394003852 /nfs/dbraw/zinc/00/38/52/394003852.db2.gz HVSUSLNIVHOFAE-SECBINFHSA-N 0 0 282.344 2.558 20 5 CFBDRN Nc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(F)c1F ZINC000354371917 394045616 /nfs/dbraw/zinc/04/56/16/394045616.db2.gz KIDXLCUWMLZQIJ-UHFFFAOYSA-N 0 0 293.229 2.708 20 5 CFBDRN CCc1nn(C)c(N2CC[C@](C)(CC)C2)c1[N+](=O)[O-] ZINC000354882317 394051842 /nfs/dbraw/zinc/05/18/42/394051842.db2.gz IILDKCIXRSMHLR-ZDUSSCGKSA-N 0 0 266.345 2.517 20 5 CFBDRN Cc1c(CSC[C@@H]2COCCO2)cccc1[N+](=O)[O-] ZINC000419541870 394052002 /nfs/dbraw/zinc/05/20/02/394052002.db2.gz CWAZQFMRHIRUNG-LBPRGKRZSA-N 0 0 283.349 2.552 20 5 CFBDRN CCCSCC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000127611840 394052783 /nfs/dbraw/zinc/05/27/83/394052783.db2.gz DCVFTISWHGLSGY-UHFFFAOYSA-N 0 0 284.337 2.685 20 5 CFBDRN COC[C@@H](C)SCc1ccc(OC)c([N+](=O)[O-])c1 ZINC000437454326 394057426 /nfs/dbraw/zinc/05/74/26/394057426.db2.gz ODMPWMGBAZZLPQ-SECBINFHSA-N 0 0 271.338 2.872 20 5 CFBDRN CCc1ncc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cn1 ZINC000437531021 394058141 /nfs/dbraw/zinc/05/81/41/394058141.db2.gz ZESBBNGROQEFEW-UHFFFAOYSA-N 0 0 286.291 2.508 20 5 CFBDRN Cc1noc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c1C ZINC000491903501 394060570 /nfs/dbraw/zinc/06/05/70/394060570.db2.gz INZWBYVRKSVQHS-YVMONPNESA-N 0 0 287.275 2.852 20 5 CFBDRN CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCF ZINC000437684959 394068500 /nfs/dbraw/zinc/06/85/00/394068500.db2.gz CBBCWJFPFXVIGS-UHFFFAOYSA-N 0 0 286.328 2.796 20 5 CFBDRN Cc1cc(=O)n(C[C@@H](C)c2ccccc2)cc1[N+](=O)[O-] ZINC000128449726 394069583 /nfs/dbraw/zinc/06/95/83/394069583.db2.gz CGXBINXDOIHPJA-GFCCVEGCSA-N 0 0 272.304 2.869 20 5 CFBDRN Cc1cc(OCC(=O)N(C)[C@@H](C)C2CC2)ccc1[N+](=O)[O-] ZINC000281974418 394103083 /nfs/dbraw/zinc/10/30/83/394103083.db2.gz MAULOUVDCXIVDQ-NSHDSACASA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1ccc(CN2C[C@H](C)O[C@@H](C3CC3)C2)cc1[N+](=O)[O-] ZINC000280628167 394073423 /nfs/dbraw/zinc/07/34/23/394073423.db2.gz QERMHLBEDKZTPV-BLLLJJGKSA-N 0 0 290.363 2.903 20 5 CFBDRN O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H]1CCCSC1 ZINC000492798298 394076776 /nfs/dbraw/zinc/07/67/76/394076776.db2.gz SUGNJMUGZBJLGS-JECSTDCCSA-N 0 0 292.360 2.620 20 5 CFBDRN Cc1cc(OCC(=O)N2CC[C@@H](C)[C@H]2C)ccc1[N+](=O)[O-] ZINC000281875338 394087140 /nfs/dbraw/zinc/08/71/40/394087140.db2.gz ZNZKJMPEROANHI-ZYHUDNBSSA-N 0 0 292.335 2.539 20 5 CFBDRN CCSCCCNc1cc(C)c([N+](=O)[O-])cn1 ZINC000281977097 394104532 /nfs/dbraw/zinc/10/45/32/394104532.db2.gz RWMNLKXTVJHMAG-UHFFFAOYSA-N 0 0 255.343 2.853 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])N[C@@H]1CC[C@H](F)C1 ZINC000492892432 394090906 /nfs/dbraw/zinc/09/09/06/394090906.db2.gz LSQSSKRAPURAHZ-HJLXKUKWSA-N 0 0 278.283 2.615 20 5 CFBDRN CC1=CCCN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)C1 ZINC000281906287 394091508 /nfs/dbraw/zinc/09/15/08/394091508.db2.gz XTZZMEXLJLXPGQ-UHFFFAOYSA-N 0 0 297.314 2.935 20 5 CFBDRN Cc1ccn(CCCc2ccccc2)c(=O)c1[N+](=O)[O-] ZINC000129706257 394093424 /nfs/dbraw/zinc/09/34/24/394093424.db2.gz NNSROFPNECIDGQ-UHFFFAOYSA-N 0 0 272.304 2.698 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CSCCc1ccccc1 ZINC000439030476 394095816 /nfs/dbraw/zinc/09/58/16/394095816.db2.gz UUDBDHFYWRBTLE-UHFFFAOYSA-N 0 0 277.349 2.804 20 5 CFBDRN Cc1cnc(N2CCC[C@@H]([C@@H]3CCOC3)C2)c([N+](=O)[O-])c1 ZINC000439083240 394097241 /nfs/dbraw/zinc/09/72/41/394097241.db2.gz GQZYHAOWNNINGS-CHWSQXEVSA-N 0 0 291.351 2.551 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NCCCC(C)(C)C ZINC000126701689 394034177 /nfs/dbraw/zinc/03/41/77/394034177.db2.gz PZEGPUZBLLIOAR-UHFFFAOYSA-N 0 0 279.340 2.854 20 5 CFBDRN C[C@@]1(C(=O)NCc2ccc([N+](=O)[O-])cc2)CC=CCC1 ZINC000448745960 394178541 /nfs/dbraw/zinc/17/85/41/394178541.db2.gz GBAATJANTGNYME-OAHLLOKOSA-N 0 0 274.320 2.957 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1C(=O)NCc1ccc([N+](=O)[O-])cc1 ZINC000448746900 394178685 /nfs/dbraw/zinc/17/86/85/394178685.db2.gz IOBWBMJICBPKMU-TZMCWYRMSA-N 0 0 276.336 2.893 20 5 CFBDRN CCN(C(=O)c1ccc([N+](=O)[O-])cc1)c1cccnc1C ZINC000439241895 394106449 /nfs/dbraw/zinc/10/64/49/394106449.db2.gz YQKYTHBXCVZKLC-UHFFFAOYSA-N 0 0 285.303 2.965 20 5 CFBDRN C[C@H](O)CCOc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000439278217 394109090 /nfs/dbraw/zinc/10/90/90/394109090.db2.gz PJVJRHMUVHTJRC-ZETCQYMHSA-N 0 0 279.214 2.763 20 5 CFBDRN Cc1ccc(C(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1C ZINC000439284368 394109914 /nfs/dbraw/zinc/10/99/14/394109914.db2.gz AADJDHMPWJEVSN-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CO[C@H]1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)[C@H](C)C1 ZINC000282041727 394114698 /nfs/dbraw/zinc/11/46/98/394114698.db2.gz ZWDYBOPPOQAKBY-MFKMUULPSA-N 0 0 293.323 2.626 20 5 CFBDRN C[C@@H](Oc1ccc([N+](=O)[O-])cc1)c1nc(C2CC2)no1 ZINC000130613070 394115335 /nfs/dbraw/zinc/11/53/35/394115335.db2.gz JCJMVSPLMHFYAR-MRVPVSSYSA-N 0 0 275.264 2.995 20 5 CFBDRN CC(C)[C@H]1C[C@H](Nc2ncc([N+](=O)[O-])s2)CCO1 ZINC000282053194 394116812 /nfs/dbraw/zinc/11/68/12/394116812.db2.gz XYJGDCYDKGPGIH-RKDXNWHRSA-N 0 0 271.342 2.667 20 5 CFBDRN CC[C@@H]1C[C@H](Nc2nc(C)ccc2[N+](=O)[O-])CCO1 ZINC000420615890 394120529 /nfs/dbraw/zinc/12/05/29/394120529.db2.gz FRWXGJGEXLSUTN-GHMZBOCLSA-N 0 0 265.313 2.668 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2ccncc2)c1 ZINC000359046698 394122179 /nfs/dbraw/zinc/12/21/79/394122179.db2.gz UTEWDNXLCMJSIN-UHFFFAOYSA-N 0 0 285.303 2.570 20 5 CFBDRN CC[C@@H]1CO[C@H](C)CN1c1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359107169 394124147 /nfs/dbraw/zinc/12/41/47/394124147.db2.gz YZEANZBBBAXWHT-VXGBXAGGSA-N 0 0 279.340 2.610 20 5 CFBDRN CC(C)(C)[C@H]1CN(Cc2ccc([N+](=O)[O-])c(F)c2)CCO1 ZINC000282599602 394125029 /nfs/dbraw/zinc/12/50/29/394125029.db2.gz XUUZBEJDWYIYJX-CQSZACIVSA-N 0 0 296.342 2.981 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)N1CC[C@H]1C1CC1 ZINC000493214278 394180568 /nfs/dbraw/zinc/18/05/68/394180568.db2.gz FUEOZDGUJFZWLA-GBXSZLQWSA-N 0 0 272.304 2.619 20 5 CFBDRN COc1ccc(COc2ccc([N+](=O)[O-])cc2C)cn1 ZINC000131390126 394131020 /nfs/dbraw/zinc/13/10/20/394131020.db2.gz WIQUZCOJPNCDHK-UHFFFAOYSA-N 0 0 274.276 2.886 20 5 CFBDRN COC(=O)c1occc1COc1ccc([N+](=O)[O-])cc1C ZINC000131532178 394135495 /nfs/dbraw/zinc/13/54/95/394135495.db2.gz HVWQTAZNMDMBQW-UHFFFAOYSA-N 0 0 291.259 2.862 20 5 CFBDRN O=C(/C=C\[C@@H]1CCOC1)Nc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000493056544 394135814 /nfs/dbraw/zinc/13/58/14/394135814.db2.gz ULYHLLVZKUNXGK-RZXPJHQTSA-N 0 0 296.710 2.779 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(C2CCCC2)C1 ZINC000360121287 394146385 /nfs/dbraw/zinc/14/63/85/394146385.db2.gz GUKAHHFTSWFUCK-UHFFFAOYSA-N 0 0 278.356 2.746 20 5 CFBDRN Cc1nc(N2CCO[C@@H](C(C)(C)C)C2)ccc1[N+](=O)[O-] ZINC000447811400 394152758 /nfs/dbraw/zinc/15/27/58/394152758.db2.gz NNDCZJFUAVGTHZ-GFCCVEGCSA-N 0 0 279.340 2.550 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CC(C2CCC2)C1 ZINC000493130779 394157512 /nfs/dbraw/zinc/15/75/12/394157512.db2.gz OMHIQJZAUYXZGJ-RMKNXTFCSA-N 0 0 286.331 2.867 20 5 CFBDRN CC[C@@]1(C)COCCN1Cc1ccc([N+](=O)[O-])cc1C ZINC000361165793 394167872 /nfs/dbraw/zinc/16/78/72/394167872.db2.gz KHTKIOFALHMRHU-HNNXBMFYSA-N 0 0 278.352 2.904 20 5 CFBDRN CC(C)C[C@@H]1C[C@H]1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000448725905 394175642 /nfs/dbraw/zinc/17/56/42/394175642.db2.gz QWIHUPCWLPBHPI-CHWSQXEVSA-N 0 0 276.336 2.893 20 5 CFBDRN CC1=C[C@H](C)CN(c2ncnc3cccc([N+](=O)[O-])c32)C1 ZINC000362375226 394184073 /nfs/dbraw/zinc/18/40/73/394184073.db2.gz GWVBSANCIOQBBE-JTQLQIEISA-N 0 0 284.319 2.940 20 5 CFBDRN Cc1noc([C@H]2CCCN2c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000286520578 394197642 /nfs/dbraw/zinc/19/76/42/394197642.db2.gz UGVOIWZRCPAZAZ-LLVKDONJSA-N 0 0 292.270 2.767 20 5 CFBDRN Cc1nc(Nc2cc3n(n2)CCCC3)ccc1[N+](=O)[O-] ZINC000286675381 394198187 /nfs/dbraw/zinc/19/81/87/394198187.db2.gz XZWXIRHAEZBTNE-UHFFFAOYSA-N 0 0 273.296 2.575 20 5 CFBDRN CC1CCC2(CCN(c3ncc([N+](=O)[O-])cn3)C2)CC1 ZINC000363908463 394202087 /nfs/dbraw/zinc/20/20/87/394202087.db2.gz QTVFELJWBDYHHV-UHFFFAOYSA-N 0 0 276.340 2.791 20 5 CFBDRN COc1cc(C(=O)N[C@@H]2CCC[C@@H]2C)c([N+](=O)[O-])cc1F ZINC000448918056 394210343 /nfs/dbraw/zinc/21/03/43/394210343.db2.gz OUXHXTLUHTVNJH-GZMMTYOYSA-N 0 0 296.298 2.661 20 5 CFBDRN CCCc1nc(Cn2ccc3c2cccc3[N+](=O)[O-])no1 ZINC000135120600 394210995 /nfs/dbraw/zinc/21/09/95/394210995.db2.gz JVZXCOPWYKWYHO-UHFFFAOYSA-N 0 0 286.291 2.933 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CCC[C@H](C2CC2)C1 ZINC000288312796 394211654 /nfs/dbraw/zinc/21/16/54/394211654.db2.gz UAYXUALZGSAREZ-LBPRGKRZSA-N 0 0 261.325 2.925 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@@H](c3ccco3)C2)nc1 ZINC000365217768 394228056 /nfs/dbraw/zinc/22/80/56/394228056.db2.gz SCKSSPWHQGJTNM-SNVBAGLBSA-N 0 0 259.265 2.577 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@H]2C2CC2)ccc1[N+](=O)[O-] ZINC000290777562 394234597 /nfs/dbraw/zinc/23/45/97/394234597.db2.gz WBBOYUWXAOLKNO-CYBMUJFWSA-N 0 0 261.325 2.923 20 5 CFBDRN Cc1cnn([C@H]2CCN(c3ccc([N+](=O)[O-])cc3)C2)c1 ZINC000290900643 394235555 /nfs/dbraw/zinc/23/55/55/394235555.db2.gz VCLDISFOXCEUMX-AWEZNQCLSA-N 0 0 272.308 2.551 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000366710776 394247323 /nfs/dbraw/zinc/24/73/23/394247323.db2.gz OZHMICFAJGQJAB-QWHCGFSZSA-N 0 0 276.336 2.766 20 5 CFBDRN Cc1sc(C(=O)N(C(C)C)[C@H]2CCOC2)cc1[N+](=O)[O-] ZINC000291641132 394251717 /nfs/dbraw/zinc/25/17/17/394251717.db2.gz KUHLCMPRVWLTRB-JTQLQIEISA-N 0 0 298.364 2.604 20 5 CFBDRN C[C@@H]1COCC[C@@H]1CNc1ncc(Cl)cc1[N+](=O)[O-] ZINC000286493947 394196813 /nfs/dbraw/zinc/19/68/13/394196813.db2.gz VXSNLLSAODARKH-RKDXNWHRSA-N 0 0 285.731 2.728 20 5 CFBDRN CC(C)[C@H]1C[C@H](CNc2ccc([N+](=O)[O-])nc2)CCO1 ZINC000450739592 394330955 /nfs/dbraw/zinc/33/09/55/394330955.db2.gz RFMFSBNGTMLGOR-DGCLKSJQSA-N 0 0 279.340 2.853 20 5 CFBDRN CC[C@@H](C)CONc1nc2sccn2c1[N+](=O)[O-] ZINC000291818240 394265887 /nfs/dbraw/zinc/26/58/87/394265887.db2.gz KPTJFPXPPSWXHI-SSDOTTSWSA-N 0 0 270.314 2.694 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N1CC[C@H]1C1CC1 ZINC000493531435 394271113 /nfs/dbraw/zinc/27/11/13/394271113.db2.gz TXKXUJFHSDLCDP-GWJCSSMESA-N 0 0 272.304 2.619 20 5 CFBDRN CC[C@H](CO)[N@H+](C)Cc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000449377220 394274459 /nfs/dbraw/zinc/27/44/59/394274459.db2.gz RZMUJAKIWUNVQZ-CYBMUJFWSA-N 0 0 280.368 2.921 20 5 CFBDRN Cc1cc(N2CCC[C@@]3(CCCCO3)C2)ncc1[N+](=O)[O-] ZINC000369361243 394279278 /nfs/dbraw/zinc/27/92/78/394279278.db2.gz BDHSCDXSLIVLHV-HNNXBMFYSA-N 0 0 291.351 2.838 20 5 CFBDRN C[C@@H]1CCN(c2nccc3c2cccc3[N+](=O)[O-])C1 ZINC000450297402 394289488 /nfs/dbraw/zinc/28/94/88/394289488.db2.gz JFNRYNITHIPJSV-SNVBAGLBSA-N 0 0 257.293 2.989 20 5 CFBDRN O=C(/C=C\c1ccc(F)cc1[N+](=O)[O-])N[C@@H]1CCC[C@@H]1F ZINC000493611343 394290145 /nfs/dbraw/zinc/29/01/45/394290145.db2.gz BWTWHALBIXSONN-ZADDAZQUSA-N 0 0 296.273 2.754 20 5 CFBDRN Cc1nc(N[C@@H]2CCc3c2cccc3F)ncc1[N+](=O)[O-] ZINC000294795182 394294717 /nfs/dbraw/zinc/29/47/17/394294717.db2.gz BUAYCHNPTGHQAJ-GFCCVEGCSA-N 0 0 288.282 2.932 20 5 CFBDRN CCO[C@@H]1CCN(c2ccc(F)cc2[N+](=O)[O-])C[C@@H]1C ZINC000450747484 394334144 /nfs/dbraw/zinc/33/41/44/394334144.db2.gz UGVIXIHZYAPKNJ-IINYFYTJSA-N 0 0 282.315 2.985 20 5 CFBDRN Cc1nc(N2CC(C)(C)[C@@H]2C(C)C)ncc1[N+](=O)[O-] ZINC000295665282 394302131 /nfs/dbraw/zinc/30/21/31/394302131.db2.gz ISZQBKFSXLWQCZ-NSHDSACASA-N 0 0 264.329 2.564 20 5 CFBDRN C[C@H](NC(=O)N[C@H]1CC1(C)C)c1ccc([N+](=O)[O-])cc1 ZINC000296502792 394309952 /nfs/dbraw/zinc/30/99/52/394309952.db2.gz YVOXVJHERCVGFK-CABZTGNLSA-N 0 0 277.324 2.754 20 5 CFBDRN Cc1cc(Nc2ncc([N+](=O)[O-])c(C)n2)ccc1N(C)C ZINC000296502011 394310073 /nfs/dbraw/zinc/31/00/73/394310073.db2.gz LQCJQNDPQXEPGI-UHFFFAOYSA-N 0 0 287.323 2.811 20 5 CFBDRN CC[C@@H]1CCC[C@H]1CNc1c([N+](=O)[O-])c(C)nn1C ZINC000450664628 394313703 /nfs/dbraw/zinc/31/37/03/394313703.db2.gz UWJCOELWNZBBIC-MNOVXSKESA-N 0 0 266.345 2.875 20 5 CFBDRN CCN(C)C(=O)[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])C(C)C ZINC000450675632 394315727 /nfs/dbraw/zinc/31/57/27/394315727.db2.gz PEMZUPJYMMQOGB-ZDUSSCGKSA-N 0 0 297.330 2.649 20 5 CFBDRN O=[N+]([O-])c1ncccc1OC[C@H]1CCCC1(F)F ZINC000450678471 394315954 /nfs/dbraw/zinc/31/59/54/394315954.db2.gz TYYAZGVDIQASNU-MRVPVSSYSA-N 0 0 258.224 2.804 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])NC[C@@H]1C[C@H]1C1CC1 ZINC000493792225 394317174 /nfs/dbraw/zinc/31/71/74/394317174.db2.gz PNQBNBJOUVPKND-SWICKSTGSA-N 0 0 286.331 2.770 20 5 CFBDRN O=C(/C=C\c1ccccc1[N+](=O)[O-])NC1CC(F)(F)C1 ZINC000493812418 394321148 /nfs/dbraw/zinc/32/11/48/394321148.db2.gz MGUGMIWOVFZYBL-WAYWQWQTSA-N 0 0 282.246 2.522 20 5 CFBDRN O=C(/C=C/c1ccccc1[N+](=O)[O-])N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000493846429 394328661 /nfs/dbraw/zinc/32/86/61/394328661.db2.gz BQLBKMFIWBEGQE-PTFQOJSSSA-N 0 0 272.304 2.523 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(NCC2(CF)CCOCC2)n1 ZINC000450733010 394329566 /nfs/dbraw/zinc/32/95/66/394329566.db2.gz MGXQEFWMYQKRTJ-UHFFFAOYSA-N 0 0 297.330 2.785 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@H]([C@H](CO)C2CC2)C2CC2)cc1 ZINC000450776952 394340095 /nfs/dbraw/zinc/34/00/95/394340095.db2.gz LWJTYERJWAOOGN-CABCVRRESA-N 0 0 276.336 2.804 20 5 CFBDRN Cc1cc(N[C@@H]2C[C@H](C)n3ccnc32)ncc1[N+](=O)[O-] ZINC000450828093 394340793 /nfs/dbraw/zinc/34/07/93/394340793.db2.gz JRDKKSZTQANHAB-VHSXEESVSA-N 0 0 273.296 2.613 20 5 CFBDRN COC[C@@H]1CCCN(c2nc(C)ccc2[N+](=O)[O-])CC1 ZINC000450944752 394343938 /nfs/dbraw/zinc/34/39/38/394343938.db2.gz JSNMTXMCFIKDOU-GFCCVEGCSA-N 0 0 279.340 2.551 20 5 CFBDRN C[C@H]1CC(NC(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H](C)O1 ZINC000158242664 394356395 /nfs/dbraw/zinc/35/63/95/394356395.db2.gz WAQUFGVRGDNRGV-UWVGGRQHSA-N 0 0 293.323 2.672 20 5 CFBDRN CC[C@@]1(C)C[C@H]1C(=O)N(C)c1cccc([N+](=O)[O-])c1 ZINC000158390133 394357050 /nfs/dbraw/zinc/35/70/50/394357050.db2.gz XWGMKRANEFXUBW-JSGCOSHPSA-N 0 0 262.309 2.994 20 5 CFBDRN CC(C)N(Cc1c(Cl)cccc1[N+](=O)[O-])C1COC1 ZINC000451120943 394360318 /nfs/dbraw/zinc/36/03/18/394360318.db2.gz OIYYTDPBKLSGLT-UHFFFAOYSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1ccsc1[C@H](CO)NCc1ccccc1[N+](=O)[O-] ZINC000494634801 394361289 /nfs/dbraw/zinc/36/12/89/394361289.db2.gz IJZPAGQTWJIQNO-LBPRGKRZSA-N 0 0 292.360 2.788 20 5 CFBDRN CC[C@H](C)[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1NC ZINC000161454159 394367223 /nfs/dbraw/zinc/36/72/23/394367223.db2.gz JPBIQAUEUCEBBV-UWVGGRQHSA-N 0 0 279.340 2.801 20 5 CFBDRN Cc1cccc(N2CC3(CCC3)[C@@H]3COC[C@@H]32)c1[N+](=O)[O-] ZINC000518723162 394371391 /nfs/dbraw/zinc/37/13/91/394371391.db2.gz PRIRFGXWBFLCKC-OCCSQVGLSA-N 0 0 288.347 2.909 20 5 CFBDRN CC[C@@H](NS(=O)(=O)C1(C)CC1)c1cccc([N+](=O)[O-])c1 ZINC000451283978 394372944 /nfs/dbraw/zinc/37/29/44/394372944.db2.gz HKDLUBKGUFGLCO-GFCCVEGCSA-N 0 0 298.364 2.518 20 5 CFBDRN CCOc1cc(N(C)[C@H]2C[C@@H](OC)C2)ccc1[N+](=O)[O-] ZINC000519728580 394376818 /nfs/dbraw/zinc/37/68/18/394376818.db2.gz OHQVDVUNRZRXFP-TXEJJXNPSA-N 0 0 280.324 2.607 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CC[C@H](O)C(C)(C)C1 ZINC000275535325 135109406 /nfs/dbraw/zinc/10/94/06/135109406.db2.gz ZDQDYYQWRHYIOM-LBPRGKRZSA-N 0 0 264.325 2.500 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC([C@H]3CCCO3)CC2)cc1 ZINC000276014400 135117680 /nfs/dbraw/zinc/11/76/80/135117680.db2.gz MOGCKSQKVRRNHJ-OAHLLOKOSA-N 0 0 276.336 2.990 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])c1[nH]ccc1C1CC1 ZINC000457467134 394462827 /nfs/dbraw/zinc/46/28/27/394462827.db2.gz UMLXXVZQKGXDTH-UHFFFAOYSA-N 0 0 285.303 2.730 20 5 CFBDRN CCOc1cc(N2CCCCCO2)ccc1[N+](=O)[O-] ZINC000530358965 394508223 /nfs/dbraw/zinc/50/82/23/394508223.db2.gz IMKHGTNWJFKSNQ-UHFFFAOYSA-N 0 0 266.297 2.915 20 5 CFBDRN COc1nn(C)cc1Nc1ccc2ncccc2c1[N+](=O)[O-] ZINC000530384712 394510488 /nfs/dbraw/zinc/51/04/88/394510488.db2.gz WJAOZZCJHUIVMC-UHFFFAOYSA-N 0 0 299.290 2.629 20 5 CFBDRN Cc1cc(C(=O)NC(C)(C)C2CC2)cc([N+](=O)[O-])c1 ZINC000536564867 394560287 /nfs/dbraw/zinc/56/02/87/394560287.db2.gz NKDUKRYCTQPXRD-UHFFFAOYSA-N 0 0 262.309 2.822 20 5 CFBDRN COc1ccc(C(=O)NC(C)(C)C2CC2)cc1[N+](=O)[O-] ZINC000536566456 394560839 /nfs/dbraw/zinc/56/08/39/394560839.db2.gz BCYYRYAGXPYQKB-UHFFFAOYSA-N 0 0 278.308 2.522 20 5 CFBDRN C[C@H]1CC[C@H](CN2CCc3c(cccc3[N+](=O)[O-])C2)O1 ZINC000530442292 394517545 /nfs/dbraw/zinc/51/75/45/394517545.db2.gz OFURCDCXFVGUNK-WCQYABFASA-N 0 0 276.336 2.520 20 5 CFBDRN C[C@@H]1C[C@@H](C)N1c1cc(C(F)(F)F)ncc1[N+](=O)[O-] ZINC000530484766 394521193 /nfs/dbraw/zinc/52/11/93/394521193.db2.gz WRMGZTDCSKDQSL-RNFRBKRXSA-N 0 0 275.230 2.996 20 5 CFBDRN CCOc1cc(N2[C@H](C)C[C@H]2C)ccc1[N+](=O)[O-] ZINC000530484218 394521213 /nfs/dbraw/zinc/52/12/13/394521213.db2.gz SQKHQFWLAKYNBJ-NXEZZACHSA-N 0 0 250.298 2.981 20 5 CFBDRN CCOc1cc(N2[C@H](C)C[C@@H]2C)ccc1[N+](=O)[O-] ZINC000530484217 394521377 /nfs/dbraw/zinc/52/13/77/394521377.db2.gz SQKHQFWLAKYNBJ-AOOOYVTPSA-N 0 0 250.298 2.981 20 5 CFBDRN C[C@H](c1ccccc1)N(C)C(=O)c1ccc([N+](=O)[O-])cn1 ZINC000531887674 394530696 /nfs/dbraw/zinc/53/06/96/394530696.db2.gz DMYLQSGWZGROQR-LLVKDONJSA-N 0 0 285.303 2.823 20 5 CFBDRN C[C@@H](NC(=O)N1CCC1(C)C)c1ccccc1[N+](=O)[O-] ZINC000538191882 394599128 /nfs/dbraw/zinc/59/91/28/394599128.db2.gz SPDICQDDWRAADH-SNVBAGLBSA-N 0 0 277.324 2.850 20 5 CFBDRN Cc1cc(CNC/C=C\c2ccccc2[N+](=O)[O-])no1 ZINC000538374253 394601923 /nfs/dbraw/zinc/60/19/23/394601923.db2.gz QSSLGFOVSJOOGO-XQRVVYSFSA-N 0 0 273.292 2.694 20 5 CFBDRN Cc1ccc(CC(=O)N[C@@H](C)c2ccccc2[N+](=O)[O-])o1 ZINC000539339282 394624845 /nfs/dbraw/zinc/62/48/45/394624845.db2.gz QGTPBLJDZNFAKF-NSHDSACASA-N 0 0 288.303 2.916 20 5 CFBDRN C[C@@H](NCc1nnc(C2CC2)o1)c1cccc([N+](=O)[O-])c1 ZINC000540379333 394647025 /nfs/dbraw/zinc/64/70/25/394647025.db2.gz NMXJCSRZPOZYRF-SECBINFHSA-N 0 0 288.307 2.706 20 5 CFBDRN C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@H]2CCCC[C@@H]12 ZINC000542060002 394695111 /nfs/dbraw/zinc/69/51/11/394695111.db2.gz KVQNGYXDWGFNOA-OSMZGAPFSA-N 0 0 289.335 2.641 20 5 CFBDRN COCc1cc([N+](=O)[O-])ccc1NCc1nnc(C)s1 ZINC000542798348 394713722 /nfs/dbraw/zinc/71/37/22/394713722.db2.gz DBYYRHYHZIBQAM-UHFFFAOYSA-N 0 0 294.336 2.513 20 5 CFBDRN Cc1cc(N2CCOCC3(CC3)C2)ccc1[N+](=O)[O-] ZINC000542967990 394719629 /nfs/dbraw/zinc/71/96/29/394719629.db2.gz SEKSETNYSISVQL-UHFFFAOYSA-N 0 0 262.309 2.520 20 5 CFBDRN Cc1ccc([C@H]2CCN(C(=O)c3ccc([N+](=O)[O-])[nH]3)C2)cc1 ZINC000543366471 394728329 /nfs/dbraw/zinc/72/83/29/394728329.db2.gz NIKNUCUKFKANCX-ZDUSSCGKSA-N 0 0 299.330 2.861 20 5 CFBDRN Cc1ccccc1C[C@@H]1CCCN1c1ncc([N+](=O)[O-])cn1 ZINC000544842773 394772272 /nfs/dbraw/zinc/77/22/72/394772272.db2.gz PZPQJEBZTVIRCY-AWEZNQCLSA-N 0 0 298.346 2.905 20 5 CFBDRN C[C@@H]1C[C@@H]1c1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 ZINC000545768737 394802322 /nfs/dbraw/zinc/80/23/22/394802322.db2.gz HZBPOJHABAZPJS-LDWIPMOCSA-N 0 0 263.228 2.907 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCCC1=CCCCCC1 ZINC000545923830 394808259 /nfs/dbraw/zinc/80/82/59/394808259.db2.gz ATNMCRKWDBQEKP-UHFFFAOYSA-N 0 0 291.351 2.944 20 5 CFBDRN COC[C@H](C)Cc1nc(-c2ccc([N+](=O)[O-])cc2C)no1 ZINC000547107594 394852467 /nfs/dbraw/zinc/85/24/67/394852467.db2.gz YWBOBPPIFDVZSF-SECBINFHSA-N 0 0 291.307 2.778 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1[C@@H](C)SCCOCCO ZINC000547302484 394857207 /nfs/dbraw/zinc/85/72/07/394857207.db2.gz RNZNSZPSSBLUIO-LLVKDONJSA-N 0 0 285.365 2.706 20 5 CFBDRN Cc1cc(C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)co1 ZINC000547722427 394884327 /nfs/dbraw/zinc/88/43/27/394884327.db2.gz NNFVKJYQQXJTAJ-UHFFFAOYSA-N 0 0 286.287 2.695 20 5 CFBDRN Cc1cc(Cl)ccc1NC(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000547751986 394886990 /nfs/dbraw/zinc/88/69/90/394886990.db2.gz KMHWXUHPMDECHE-UHFFFAOYSA-N 0 0 280.671 2.532 20 5 CFBDRN Cc1cccc(NC(=O)c2cc([N+](=O)[O-])nn2C)c1Cl ZINC000548191804 394919051 /nfs/dbraw/zinc/91/90/51/394919051.db2.gz OEZPWHGTTRIGFX-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN C[C@@H](NC(=O)N1CCCCCO1)c1cccc([N+](=O)[O-])c1 ZINC000556683830 395008702 /nfs/dbraw/zinc/00/87/02/395008702.db2.gz LXUVTPONGPKEIF-LLVKDONJSA-N 0 0 293.323 2.783 20 5 CFBDRN CC[C@@H]1CCN(c2cc(C)c([N+](=O)[O-])cc2C(=O)OC)C1 ZINC000557602135 395041164 /nfs/dbraw/zinc/04/11/64/395041164.db2.gz ABNMSDZFVQWQBH-LLVKDONJSA-N 0 0 292.335 2.926 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1N1CCOC[C@H]1CC(F)F ZINC000286261717 304800752 /nfs/dbraw/zinc/80/07/52/304800752.db2.gz FCJXZWIBJWKCMP-SECBINFHSA-N 0 0 290.241 2.594 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1CN1CC[C@H](F)C1 ZINC000336262850 296510772 /nfs/dbraw/zinc/51/07/72/296510772.db2.gz FIOOBNWGTJMZFC-QMMMGPOBSA-N 0 0 258.680 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N[C@H]1CCC[C@@H]1F)CCN2 ZINC000338841769 296510329 /nfs/dbraw/zinc/51/03/29/296510329.db2.gz GYUCKMBCBRTMAT-ONGXEEELSA-N 0 0 265.288 2.865 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@]2(C1)CCCOC2 ZINC000343137598 296511825 /nfs/dbraw/zinc/51/18/25/296511825.db2.gz FNZLCPNHEAJNLP-AWEZNQCLSA-N 0 0 280.299 2.741 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@@H]1c1ccncc1 ZINC000270911818 296517105 /nfs/dbraw/zinc/51/71/05/296517105.db2.gz HIWLERCKDZGICM-GFCCVEGCSA-N 0 0 270.292 2.726 20 5 CFBDRN Cc1ccc(C(=O)N2C[C@@H]3CCC[C@H]3C2)cc1[N+](=O)[O-] ZINC000152266871 292068011 /nfs/dbraw/zinc/06/80/11/292068011.db2.gz CPHYDHCGTZIOSH-STQMWFEESA-N 0 0 274.320 2.775 20 5 CFBDRN Cc1nc2ccccn2c1COc1cccnc1[N+](=O)[O-] ZINC000133577618 397603739 /nfs/dbraw/zinc/60/37/39/397603739.db2.gz HARCOHCRTPNYHH-UHFFFAOYSA-N 0 0 284.275 2.525 20 5 CFBDRN COC[C@@]1(C)CCN(c2ccc(F)cc2[N+](=O)[O-])C1 ZINC000294959013 397633618 /nfs/dbraw/zinc/63/36/18/397633618.db2.gz MVZMHRJWVGRHBB-ZDUSSCGKSA-N 0 0 268.288 2.597 20 5 CFBDRN CC(C)(C)C1CC(NC(=O)c2c(N)cccc2[N+](=O)[O-])C1 ZINC000356030486 155142421 /nfs/dbraw/zinc/14/24/21/155142421.db2.gz CNOWUSDBVBPESZ-UHFFFAOYSA-N 0 0 291.351 2.732 20 5 CFBDRN O=[N+]([O-])c1cccc(-c2noc(/C=C\c3cnccn3)n2)c1 ZINC000356078957 155156630 /nfs/dbraw/zinc/15/66/30/155156630.db2.gz XOSDWTXZQAOWEB-PLNGDYQASA-N 0 0 295.258 2.605 20 5 CFBDRN CCOC1(C)CCN(c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000291774307 286004464 /nfs/dbraw/zinc/00/44/64/286004464.db2.gz HRTYIIJEECTSPG-UHFFFAOYSA-N 0 0 294.351 2.999 20 5 CFBDRN CCc1ccc(C(=O)N[C@H]2CSC[C@@H]2C)cc1[N+](=O)[O-] ZINC000356216161 155184865 /nfs/dbraw/zinc/18/48/65/155184865.db2.gz STJZASDINYSKDF-CABZTGNLSA-N 0 0 294.376 2.639 20 5 CFBDRN CO[C@H]1CCCC[C@@H]1Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000359098222 286034348 /nfs/dbraw/zinc/03/43/48/286034348.db2.gz NGJBWTLGOJEHTI-RYUDHWBXSA-N 0 0 279.340 2.976 20 5 CFBDRN CO[C@H]1CCCN(c2c3c(ccc2[N+](=O)[O-])NCC3)CC1 ZINC000365532923 286042660 /nfs/dbraw/zinc/04/26/60/286042660.db2.gz KKPSUZHFRWWLHY-NSHDSACASA-N 0 0 291.351 2.568 20 5 CFBDRN CO[C@H]1CCN(c2c(F)cccc2[N+](=O)[O-])C[C@H]1C ZINC000450751537 286061860 /nfs/dbraw/zinc/06/18/60/286061860.db2.gz DULWFCCWQHNUOB-SKDRFNHKSA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@H]1CCN(c2ccccc2[N+](=O)[O-])CC1(C)C ZINC000295798896 286063363 /nfs/dbraw/zinc/06/33/63/286063363.db2.gz SGSVPSINRYHHBV-ZDUSSCGKSA-N 0 0 264.325 2.846 20 5 CFBDRN COCCCCc1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000356337022 155231499 /nfs/dbraw/zinc/23/14/99/155231499.db2.gz LRHJZCVUIOBHRP-UHFFFAOYSA-N 0 0 277.280 2.614 20 5 CFBDRN CCC[C@H](CNc1ccc(F)cc1[N+](=O)[O-])OC ZINC000356418064 155259413 /nfs/dbraw/zinc/25/94/13/155259413.db2.gz RIEGBWHWTFRNQG-SNVBAGLBSA-N 0 0 256.277 2.961 20 5 CFBDRN COc1c(C(=O)Nc2ccc(C)nc2)cccc1[N+](=O)[O-] ZINC000356451515 155271111 /nfs/dbraw/zinc/27/11/11/155271111.db2.gz ACAWBLUAMXPILJ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN COc1cc(C(=O)N2CC[C@H](C)[C@H]2C)c([N+](=O)[O-])cc1F ZINC000294870425 286197840 /nfs/dbraw/zinc/19/78/40/286197840.db2.gz GUYSTRRCERNBFK-DTWKUNHWSA-N 0 0 296.298 2.613 20 5 CFBDRN COc1cc(C(=O)N2[C@H](C)CC[C@H]2C)c([N+](=O)[O-])cc1F ZINC000410564145 286200586 /nfs/dbraw/zinc/20/05/86/286200586.db2.gz UWBGOMPHWQNQAD-RKDXNWHRSA-N 0 0 296.298 2.756 20 5 CFBDRN CCO[C@@H]1CCCC[C@H]1Nc1c([N+](=O)[O-])nc(C)n1CC ZINC000450931362 286206529 /nfs/dbraw/zinc/20/65/29/286206529.db2.gz GOUKJWFPTFQHKP-VXGBXAGGSA-N 0 0 296.371 2.879 20 5 CFBDRN COc1cc(F)c([N+](=O)[O-])c(N2CC[C@H](C)[C@@H]2C)c1 ZINC000301499715 286225858 /nfs/dbraw/zinc/22/58/58/286225858.db2.gz WJBAZSWLZDHUMI-IUCAKERBSA-N 0 0 268.288 2.977 20 5 CFBDRN COC[C@H](C)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000361328955 522651838 /nfs/dbraw/zinc/65/18/38/522651838.db2.gz TYOHLUPGUDBGNG-WDEREUQCSA-N 0 0 280.324 2.760 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1-c1nc(Cc2ccon2)no1 ZINC000356758343 155356301 /nfs/dbraw/zinc/35/63/01/155356301.db2.gz BSPBWMNCKOBQBQ-UHFFFAOYSA-N 0 0 286.247 2.532 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1Cc1ccccc1)c1ccc([N+](=O)[O-])cc1 ZINC000356800757 155373319 /nfs/dbraw/zinc/37/33/19/155373319.db2.gz JUERRAUUIIDFOU-GDBMZVCRSA-N 0 0 296.326 2.956 20 5 CFBDRN C[C@H]1C[C@@H](CNc2cccc([N+](=O)[O-])c2)CCO1 ZINC000356799405 155373372 /nfs/dbraw/zinc/37/33/72/155373372.db2.gz SLTYVAZYZAEVFG-QWRGUYRKSA-N 0 0 250.298 2.822 20 5 CFBDRN C[C@@H]1C[C@@H](CNc2cccc([N+](=O)[O-])c2)CCO1 ZINC000356799404 155373564 /nfs/dbraw/zinc/37/35/64/155373564.db2.gz SLTYVAZYZAEVFG-MNOVXSKESA-N 0 0 250.298 2.822 20 5 CFBDRN O=C(N[C@@H]1C[C@H]1Cc1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000356807803 155374961 /nfs/dbraw/zinc/37/49/61/155374961.db2.gz MJDKRBJFVAUBIY-GDBMZVCRSA-N 0 0 296.326 2.956 20 5 CFBDRN O=C(N[C@H]1C[C@@H]1Cc1ccccc1)c1cccc([N+](=O)[O-])c1 ZINC000356807805 155375369 /nfs/dbraw/zinc/37/53/69/155375369.db2.gz MJDKRBJFVAUBIY-HOCLYGCPSA-N 0 0 296.326 2.956 20 5 CFBDRN CCc1cccnc1-c1noc(-c2ccc([N+](=O)[O-])n2C)n1 ZINC000356815604 155378953 /nfs/dbraw/zinc/37/89/53/155378953.db2.gz NYWMZYAXIVEEDO-UHFFFAOYSA-N 0 0 299.290 2.608 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@@H]1CCCC1(F)F ZINC000334965369 292281984 /nfs/dbraw/zinc/28/19/84/292281984.db2.gz AQCJKDXRQPZGOU-VIFPVBQESA-N 0 0 272.251 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(=O)n1C[C@H]1CCCC1(F)F ZINC000334965368 292282036 /nfs/dbraw/zinc/28/20/36/292282036.db2.gz AQCJKDXRQPZGOU-SECBINFHSA-N 0 0 272.251 2.500 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC([C@H]3CCCO3)CC2)n1 ZINC000190362339 292284731 /nfs/dbraw/zinc/28/47/31/292284731.db2.gz VJNHTHFLXLDTAN-CQSZACIVSA-N 0 0 291.351 2.694 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC([C@H]3CCOC3)CC2)n1 ZINC000368345851 292284766 /nfs/dbraw/zinc/28/47/66/292284766.db2.gz CXNRGPDBWDCQCJ-ZDUSSCGKSA-N 0 0 291.351 2.551 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(N2C[C@H]3CCC[C@@H]3C2)c1 ZINC000268198355 286383460 /nfs/dbraw/zinc/38/34/60/286383460.db2.gz GDILOQUBWZYISF-GHMZBOCLSA-N 0 0 262.309 2.840 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CC[C@H]([C@@H]3CCOC3)C2)c1 ZINC000408425993 292288914 /nfs/dbraw/zinc/28/89/14/292288914.db2.gz QBXOMGKRQTUWGH-QWHCGFSZSA-N 0 0 276.336 2.766 20 5 CFBDRN O=C(Nc1ccc2ncsc2c1)c1ccc([N+](=O)[O-])[nH]1 ZINC000343072729 154103909 /nfs/dbraw/zinc/10/39/09/154103909.db2.gz QIJHHVWGBCAVIZ-UHFFFAOYSA-N 0 0 288.288 2.785 20 5 CFBDRN CCC(CC)CN(CC)C(=O)c1ccc([N+](=O)[O-])n1C ZINC000343125159 154121454 /nfs/dbraw/zinc/12/14/54/154121454.db2.gz LDRJKUBVBJOSMI-UHFFFAOYSA-N 0 0 281.356 2.832 20 5 CFBDRN O=C(NCc1ccc([N+](=O)[O-])cc1)N1CC[C@@H](C2CC2)C1 ZINC000343124879 154122017 /nfs/dbraw/zinc/12/20/17/154122017.db2.gz ZVIKWMSMISYTLH-CYBMUJFWSA-N 0 0 289.335 2.536 20 5 CFBDRN CN(CC(F)F)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000343259491 154157439 /nfs/dbraw/zinc/15/74/39/154157439.db2.gz MOFKRDWQRYHYND-UHFFFAOYSA-N 0 0 286.278 2.596 20 5 CFBDRN CN(c1ccc([N+](=O)[O-])c2cccnc21)[C@@H]1CCCOC1 ZINC000343269174 154162817 /nfs/dbraw/zinc/16/28/17/154162817.db2.gz JQFVUHUHORTGDQ-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CN(c1nc2ccccc2cc1[N+](=O)[O-])[C@H]1CCCOC1 ZINC000343269110 154163415 /nfs/dbraw/zinc/16/34/15/154163415.db2.gz HTUKCSDEYXLHLK-LBPRGKRZSA-N 0 0 287.319 2.758 20 5 CFBDRN CC(C)[C@H]1CCN1C(=O)Cc1ccc([N+](=O)[O-])cc1F ZINC000343296606 154169833 /nfs/dbraw/zinc/16/98/33/154169833.db2.gz DUIKKEAXWGZSQQ-CYBMUJFWSA-N 0 0 280.299 2.533 20 5 CFBDRN CC[C@](C)(OC)c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000345539145 154200321 /nfs/dbraw/zinc/20/03/21/154200321.db2.gz LAAGJACXMQBSBM-AWEZNQCLSA-N 0 0 291.307 2.840 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(-c2nc(-c3ccccc3C)no2)n1 ZINC000345572734 154208832 /nfs/dbraw/zinc/20/88/32/154208832.db2.gz OKSMACMUANSQFH-UHFFFAOYSA-N 0 0 299.290 2.837 20 5 CFBDRN CCNc1ccc(C(=O)N[C@H]2CC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000345577313 154210004 /nfs/dbraw/zinc/21/00/04/154210004.db2.gz AVQAHXBPVWIYFN-QWRGUYRKSA-N 0 0 295.314 2.647 20 5 CFBDRN C[C@@H]1[C@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)C1(F)F ZINC000345580043 154211403 /nfs/dbraw/zinc/21/14/03/154211403.db2.gz XNLIBUWNHGCYPM-RDDDGLTNSA-N 0 0 295.245 2.937 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCCC1(F)CCC1 ZINC000345593196 154214270 /nfs/dbraw/zinc/21/42/70/154214270.db2.gz RQROGHHNQFNFFH-UHFFFAOYSA-N 0 0 280.299 2.915 20 5 CFBDRN COCc1noc(-c2cccc(SC)c2[N+](=O)[O-])n1 ZINC000345712569 154248471 /nfs/dbraw/zinc/24/84/71/154248471.db2.gz LYCOSKQXNLCNNU-UHFFFAOYSA-N 0 0 281.293 2.513 20 5 CFBDRN O=C(NCCC1(F)CCC1)c1ccc([N+](=O)[O-])s1 ZINC000345749148 154258359 /nfs/dbraw/zinc/25/83/59/154258359.db2.gz OJPUDOFNOIOBDK-UHFFFAOYSA-N 0 0 272.301 2.668 20 5 CFBDRN Cc1cc(C(=O)NCCC2(F)CCC2)ccc1[N+](=O)[O-] ZINC000345781134 154266145 /nfs/dbraw/zinc/26/61/45/154266145.db2.gz PFLQLVJTQQJAQV-UHFFFAOYSA-N 0 0 280.299 2.915 20 5 CFBDRN CCOc1ccc([N+](=O)[O-])cc1CN1CCC(F)(F)C1 ZINC000345813730 154272576 /nfs/dbraw/zinc/27/25/76/154272576.db2.gz FLGLYBJXWRMYEP-UHFFFAOYSA-N 0 0 286.278 2.835 20 5 CFBDRN CCCn1cc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)cn1 ZINC000348012121 154287556 /nfs/dbraw/zinc/28/75/56/154287556.db2.gz NVURXHQDZJZBNR-UHFFFAOYSA-N 0 0 292.270 2.593 20 5 CFBDRN Cc1cccnc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348012159 154287743 /nfs/dbraw/zinc/28/77/43/154287743.db2.gz OAWPBUNBADFRDV-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN O=C(Cc1cccs1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000348022228 154290377 /nfs/dbraw/zinc/29/03/77/154290377.db2.gz VGZLMYDRDCOANB-UHFFFAOYSA-N 0 0 280.280 2.977 20 5 CFBDRN CC[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000348061613 154302271 /nfs/dbraw/zinc/30/22/71/154302271.db2.gz CCOQISLTEJDHJK-JTQLQIEISA-N 0 0 290.241 2.594 20 5 CFBDRN Cc1c(NC(=O)N(C)[C@H]2CCCOC2)cccc1[N+](=O)[O-] ZINC000348061819 154302345 /nfs/dbraw/zinc/30/23/45/154302345.db2.gz XBSKKBWMNXXXPK-NSHDSACASA-N 0 0 293.323 2.546 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)C(F)F ZINC000348080472 154310222 /nfs/dbraw/zinc/31/02/22/154310222.db2.gz IHSQIUNGSXXIGF-UHFFFAOYSA-N 0 0 286.278 2.845 20 5 CFBDRN Cc1ccnc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000348088477 154313976 /nfs/dbraw/zinc/31/39/76/154313976.db2.gz HPSJHNWADSNHQI-UHFFFAOYSA-N 0 0 286.291 2.562 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CNc1ccccc1Cl ZINC000348131780 154333417 /nfs/dbraw/zinc/33/34/17/154333417.db2.gz FVENROYSQOBYKC-UHFFFAOYSA-N 0 0 266.688 2.594 20 5 CFBDRN Cc1cc(NC[C@H]2CCc3ccccc32)ncc1[N+](=O)[O-] ZINC000348171900 154347132 /nfs/dbraw/zinc/34/71/32/154347132.db2.gz WBNUNSAYKAGZME-CYBMUJFWSA-N 0 0 283.331 2.862 20 5 CFBDRN CCOc1cccc(CN2CCc3ccc([N+](=O)[O-])cc32)n1 ZINC000348252394 154382961 /nfs/dbraw/zinc/38/29/61/154382961.db2.gz AECKGRGDPBKNMG-UHFFFAOYSA-N 0 0 299.330 2.951 20 5 CFBDRN O=[N+]([O-])c1ccc(CNCc2ncccc2O)c(Cl)c1 ZINC000348262512 154386219 /nfs/dbraw/zinc/38/62/19/154386219.db2.gz OREILWHEDWRHRI-UHFFFAOYSA-N 0 0 293.710 2.639 20 5 CFBDRN COc1cccc(C(=O)N2CCCC[C@H]2C)c1[N+](=O)[O-] ZINC000193802502 286440308 /nfs/dbraw/zinc/44/03/08/286440308.db2.gz DXDAFQBXXUPTTP-SNVBAGLBSA-N 0 0 278.308 2.618 20 5 CFBDRN CO[C@H]1CCC[C@@H](C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000352103041 154470481 /nfs/dbraw/zinc/47/04/81/154470481.db2.gz XLJOWQZJNRBNEV-RNCFNFMXSA-N 0 0 296.298 2.878 20 5 CFBDRN C[C@H](C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)C(C)(F)F ZINC000352102669 154470574 /nfs/dbraw/zinc/47/05/74/154470574.db2.gz PWFATIJHQJAGNI-ZCFIWIBFSA-N 0 0 276.214 2.964 20 5 CFBDRN COc1cccc(N2C[C@@H]3CCC[C@@H]3C2)c1[N+](=O)[O-] ZINC000185551777 286458554 /nfs/dbraw/zinc/45/85/54/286458554.db2.gz JURGSPMREJYJPZ-PHIMTYICSA-N 0 0 262.309 2.840 20 5 CFBDRN Cc1nn([C@H](C)C(=O)Nc2cccc(C)c2)cc1[N+](=O)[O-] ZINC000354923978 154860279 /nfs/dbraw/zinc/86/02/79/154860279.db2.gz VTYWTXMFANKBHQ-LLVKDONJSA-N 0 0 288.307 2.608 20 5 CFBDRN CCC[C@H](NC/C=C/c1ccccc1[N+](=O)[O-])C(=O)OC ZINC000354933330 154862665 /nfs/dbraw/zinc/86/26/65/154862665.db2.gz VJCQNJLERWPIOF-PPGNKHEKSA-N 0 0 292.335 2.539 20 5 CFBDRN Cc1nn(Cc2nccs2)c2ccc([N+](=O)[O-])cc12 ZINC000354932331 154862901 /nfs/dbraw/zinc/86/29/01/154862901.db2.gz VLMYBFZRWZMYPD-UHFFFAOYSA-N 0 0 274.305 2.758 20 5 CFBDRN CCC(CC)CNC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000355213200 154955163 /nfs/dbraw/zinc/95/51/63/154955163.db2.gz PVFGNROXHGRUMF-UHFFFAOYSA-N 0 0 293.367 2.949 20 5 CFBDRN COc1cccc(-c2noc(-c3ccc([N+](=O)[O-])[nH]3)n2)c1 ZINC000355531168 155033818 /nfs/dbraw/zinc/03/38/18/155033818.db2.gz MYZVFFJVRZTWBI-UHFFFAOYSA-N 0 0 286.247 2.649 20 5 CFBDRN C[C@]1(c2nc(Cc3ccccc3[N+](=O)[O-])no2)CCCO1 ZINC000355691958 155063830 /nfs/dbraw/zinc/06/38/30/155063830.db2.gz WBRNJUPZWQDQQA-CQSZACIVSA-N 0 0 289.291 2.594 20 5 CFBDRN Cc1ncccc1-c1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000355688836 155063925 /nfs/dbraw/zinc/06/39/25/155063925.db2.gz JOKSVFWWMCPZFZ-UHFFFAOYSA-N 0 0 296.286 2.939 20 5 CFBDRN Cn1nc(CCNc2ccc([N+](=O)[O-])nc2)c2ccccc21 ZINC000355787258 155086905 /nfs/dbraw/zinc/08/69/05/155086905.db2.gz UZTVGDWPLORYBB-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(C)[C@H](CO)CC(C)C)c1 ZINC000355790945 155088085 /nfs/dbraw/zinc/08/80/85/155088085.db2.gz YUNXYUBRVBVWHI-LBPRGKRZSA-N 0 0 266.341 2.746 20 5 CFBDRN COCCC1(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)CCC1 ZINC000357744616 155521134 /nfs/dbraw/zinc/52/11/34/155521134.db2.gz IOMFBPXRPICBBD-UHFFFAOYSA-N 0 0 292.335 2.592 20 5 CFBDRN Cc1ccc2c(c1)CN(C(=O)c1c(N)cccc1[N+](=O)[O-])C2 ZINC000435950017 292324761 /nfs/dbraw/zinc/32/47/61/292324761.db2.gz OTONJEZDCLRWOD-UHFFFAOYSA-N 0 0 297.314 2.641 20 5 CFBDRN C[C@@H]1CCCC[C@H]1CCNC(=O)c1ccc([N+](=O)[O-])n1C ZINC000357869934 155561670 /nfs/dbraw/zinc/56/16/70/155561670.db2.gz YFWGJVFRIDRVQV-NEPJUHHUSA-N 0 0 293.367 2.880 20 5 CFBDRN C[C@H]1CN(C(=O)Cc2ccc([N+](=O)[O-])cc2F)C(C)(C)C1 ZINC000358417892 155757971 /nfs/dbraw/zinc/75/79/71/155757971.db2.gz FYAUGNVXUMZETE-SNVBAGLBSA-N 0 0 294.326 2.923 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1CCOc2ccccc21 ZINC000358456089 155770552 /nfs/dbraw/zinc/77/05/52/155770552.db2.gz AGSYJCKYHVOFSJ-UHFFFAOYSA-N 0 0 290.300 2.696 20 5 CFBDRN CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])C1CCC1 ZINC000358568299 155803206 /nfs/dbraw/zinc/80/32/06/155803206.db2.gz MUNYCHMYOYCZJH-UHFFFAOYSA-N 0 0 262.309 2.918 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCCc2nc3ccccc3[nH]2)cn1 ZINC000358775186 155873472 /nfs/dbraw/zinc/87/34/72/155873472.db2.gz XITWYSLEBWMVEE-UHFFFAOYSA-N 0 0 297.318 2.911 20 5 CFBDRN CCCc1nc(C(=O)Nc2ccc([N+](=O)[O-])cc2)co1 ZINC000358870126 155902480 /nfs/dbraw/zinc/90/24/80/155902480.db2.gz JDFAJYHTKCFXBZ-UHFFFAOYSA-N 0 0 275.264 2.788 20 5 CFBDRN O=c1ccn(C[C@H]2C[C@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359172075 156012529 /nfs/dbraw/zinc/01/25/29/156012529.db2.gz XCBOOIMEEDONSS-OLZOCXBDSA-N 0 0 270.288 2.560 20 5 CFBDRN O=c1ccn(C[C@@H]2C[C@H]2c2ccccc2)cc1[N+](=O)[O-] ZINC000359172083 156012666 /nfs/dbraw/zinc/01/26/66/156012666.db2.gz XCBOOIMEEDONSS-STQMWFEESA-N 0 0 270.288 2.560 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2C[C@@H]2c2ccccc2)c1=O ZINC000359181303 156015569 /nfs/dbraw/zinc/01/55/69/156015569.db2.gz YDHRDYUHDKRJLN-UKRRQHHQSA-N 0 0 284.315 2.869 20 5 CFBDRN CCC[C@H]1C[C@@H]1c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 ZINC000361259043 156072374 /nfs/dbraw/zinc/07/23/74/156072374.db2.gz AIFADRXKLBBTHB-CABZTGNLSA-N 0 0 288.307 2.867 20 5 CFBDRN COC(C)(C)CC(=O)N1CCCc2c([N+](=O)[O-])cccc21 ZINC000362825245 156093251 /nfs/dbraw/zinc/09/32/51/156093251.db2.gz GCZCICOBXFIZMF-UHFFFAOYSA-N 0 0 292.335 2.689 20 5 CFBDRN Cc1cscc1CNC(=O)c1ccccc1[N+](=O)[O-] ZINC000362921536 156114687 /nfs/dbraw/zinc/11/46/87/156114687.db2.gz FXLATGMYBRHVQS-UHFFFAOYSA-N 0 0 276.317 2.895 20 5 CFBDRN O=C(CCC1CCC1)NCCNc1ccc([N+](=O)[O-])cc1 ZINC000362956919 156127441 /nfs/dbraw/zinc/12/74/41/156127441.db2.gz FTEKZTSRZBEBML-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN O=[N+]([O-])c1c(F)cccc1N1CCCO[C@@H]2CCC[C@H]21 ZINC000363414967 156175490 /nfs/dbraw/zinc/17/54/90/156175490.db2.gz BWJZBXYTAGYNGL-DGCLKSJQSA-N 0 0 280.299 2.882 20 5 CFBDRN Cc1cc(F)c(NC(=O)[C@@H]2CCCOCC2)cc1[N+](=O)[O-] ZINC000366979026 156261882 /nfs/dbraw/zinc/26/18/82/156261882.db2.gz ABZQYIOVVULGPJ-SNVBAGLBSA-N 0 0 296.298 2.798 20 5 CFBDRN CO[C@@H]1[C@H](C)[C@H](Nc2ncc([N+](=O)[O-])s2)C12CCC2 ZINC000367154220 156289232 /nfs/dbraw/zinc/28/92/32/156289232.db2.gz RZRRFMHDFMTGKC-FKTZTGRPSA-N 0 0 283.353 2.667 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N[C@H]1C[C@@H]1c1cccnc1 ZINC000367162943 156290747 /nfs/dbraw/zinc/29/07/47/156290747.db2.gz HODPMKHLAYAYTB-OLZOCXBDSA-N 0 0 270.292 2.661 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1NC[C@@H]1[C@H]2COC[C@H]21 ZINC000367734659 156382797 /nfs/dbraw/zinc/38/27/97/156382797.db2.gz QYZUBYXDLFJLFO-OWUUHHOZSA-N 0 0 268.700 2.553 20 5 CFBDRN CCOc1cccc(N2C[C@@H](CC)O[C@@H](C)C2)c1[N+](=O)[O-] ZINC000302128397 286573797 /nfs/dbraw/zinc/57/37/97/286573797.db2.gz BGHUYGABXCTLLO-NWDGAFQWSA-N 0 0 294.351 2.997 20 5 CFBDRN CCOc1cccc(N2CCC[C@H](OCC)C2)c1[N+](=O)[O-] ZINC000302099698 286573006 /nfs/dbraw/zinc/57/30/06/286573006.db2.gz KXZAYHSNUBFPSL-LBPRGKRZSA-N 0 0 294.351 2.999 20 5 CFBDRN C[C@@H]1CC2(CCC2)CN1C(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000372890296 156931245 /nfs/dbraw/zinc/93/12/45/156931245.db2.gz XAAZGOMSCFCEPX-SNVBAGLBSA-N 0 0 289.335 2.582 20 5 CFBDRN CO[C@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000373278919 156974680 /nfs/dbraw/zinc/97/46/80/156974680.db2.gz BRUOOKPQCRZNTQ-ZDUSSCGKSA-N 0 0 293.323 2.628 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(c3cn[nH]c3)CC2)c(F)c1 ZINC000373994770 157056285 /nfs/dbraw/zinc/05/62/85/157056285.db2.gz XMOFDYCHAJFJGQ-UHFFFAOYSA-N 0 0 290.298 2.841 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCO[C@]2(CCOC2)C1 ZINC000374500440 157122595 /nfs/dbraw/zinc/12/25/95/157122595.db2.gz BOIAACIOUVPDPO-IUODEOHRSA-N 0 0 292.335 2.653 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])o1)N1CCCC12CCCC2 ZINC000376437021 157354845 /nfs/dbraw/zinc/35/48/45/157354845.db2.gz YRXLVFHIHLTPII-UHFFFAOYSA-N 0 0 264.281 2.737 20 5 CFBDRN C[C@H](c1cccc([N+](=O)[O-])c1)[N@@H+]1CC[C@H]2OCC[C@H]2C1 ZINC000376478069 157360963 /nfs/dbraw/zinc/36/09/63/157360963.db2.gz NMWQUTMZRXEMJG-OSAQELSMSA-N 0 0 276.336 2.767 20 5 CFBDRN CC1(C)[C@H](Nc2ncccc2[N+](=O)[O-])[C@@H]2CCCO[C@H]21 ZINC000376491420 157363174 /nfs/dbraw/zinc/36/31/74/157363174.db2.gz KYFFNZZBRJEENU-MVWJERBFSA-N 0 0 277.324 2.605 20 5 CFBDRN C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000408191259 157384971 /nfs/dbraw/zinc/38/49/71/157384971.db2.gz GGIVOWFAPBPIKQ-GBIKHYSHSA-N 0 0 277.324 2.716 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1C[C@H]1C(F)F ZINC000342439618 161630390 /nfs/dbraw/zinc/63/03/90/161630390.db2.gz IDHIRKWOMPOHCT-MUWHJKNJSA-N 0 0 289.213 2.509 20 5 CFBDRN Cc1nn(CCc2cccc(F)c2F)cc1[N+](=O)[O-] ZINC000342508586 161643324 /nfs/dbraw/zinc/64/33/24/161643324.db2.gz KYTGRJNOVBFVJD-UHFFFAOYSA-N 0 0 267.235 2.621 20 5 CFBDRN CN(C[C@H]1CCCC[C@H]1O)c1c(F)cccc1[N+](=O)[O-] ZINC000342936693 161740646 /nfs/dbraw/zinc/74/06/46/161740646.db2.gz DQHFTPKQSPAXGQ-ZWNOBZJWSA-N 0 0 282.315 2.721 20 5 CFBDRN CC(=O)c1cc(N(C)CC[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000342960359 161745416 /nfs/dbraw/zinc/74/54/16/161745416.db2.gz NFTZFFLVPMYENS-ZDUSSCGKSA-N 0 0 292.335 2.803 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N(CC2CCC2)[C@@H](C)CO)c1 ZINC000343032449 161751644 /nfs/dbraw/zinc/75/16/44/161751644.db2.gz GKUCKTIFLMOYEI-LBPRGKRZSA-N 0 0 278.352 2.891 20 5 CFBDRN C[C@](CO)(CNc1ccccc1[N+](=O)[O-])c1ccccc1 ZINC000343793208 161863208 /nfs/dbraw/zinc/86/32/08/161863208.db2.gz OFIJKMDOUQCILX-MRXNPFEDSA-N 0 0 286.331 2.957 20 5 CFBDRN CC(C)NC(=O)c1ccc(N[C@@H]2CC[C@@H]2C)c([N+](=O)[O-])c1 ZINC000343805763 161864706 /nfs/dbraw/zinc/86/47/06/161864706.db2.gz JOLDZTCIVJFAPV-CMPLNLGQSA-N 0 0 291.351 2.943 20 5 CFBDRN CSc1cccc(C(=O)N[C@H]2CC[C@@H]2C)c1[N+](=O)[O-] ZINC000343982562 161906580 /nfs/dbraw/zinc/90/65/80/161906580.db2.gz KMLAWRVRDNLVET-WPRPVWTQSA-N 0 0 280.349 2.845 20 5 CFBDRN CCc1ccc(CC(=O)N(C)c2ccc([N+](=O)[O-])nc2)cc1 ZINC000344198483 161970403 /nfs/dbraw/zinc/97/04/03/161970403.db2.gz CDCCNZROZYLLOH-UHFFFAOYSA-N 0 0 299.330 2.758 20 5 CFBDRN CC(C)CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)C1CC1 ZINC000344220736 161977708 /nfs/dbraw/zinc/97/77/08/161977708.db2.gz WADUXXOYJZASQO-UHFFFAOYSA-N 0 0 280.299 2.995 20 5 CFBDRN O=C(NCc1ccccc1[N+](=O)[O-])N1CCC[C@H]1C(F)F ZINC000344359755 162023865 /nfs/dbraw/zinc/02/38/65/162023865.db2.gz YTXUYDSQORAXQE-NSHDSACASA-N 0 0 299.277 2.534 20 5 CFBDRN C[C@H](CC(=O)OC(C)(C)C)Nc1ccc([N+](=O)[O-])nc1 ZINC000344412026 162036585 /nfs/dbraw/zinc/03/65/85/162036585.db2.gz DKRPOJXMQCMWRQ-SECBINFHSA-N 0 0 281.312 2.522 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC(OC3CCCC3)CC2)cn1 ZINC000344421120 162039345 /nfs/dbraw/zinc/03/93/45/162039345.db2.gz AQCAGKMSFRUZDA-UHFFFAOYSA-N 0 0 291.351 2.918 20 5 CFBDRN O=[N+]([O-])c1sc(NCC2CCC2)nc1NCC1CCC1 ZINC000344430386 162042173 /nfs/dbraw/zinc/04/21/73/162042173.db2.gz JZHCNMHCTBMEKJ-UHFFFAOYSA-N 0 0 296.396 2.897 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCC[C@H]2c2cccnc2)cn1 ZINC000344428962 162042337 /nfs/dbraw/zinc/04/23/37/162042337.db2.gz IBFNELLVKPHXKR-ZDUSSCGKSA-N 0 0 270.292 2.726 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(-n3cccn3)cc2)cn1 ZINC000344437974 162043024 /nfs/dbraw/zinc/04/30/24/162043024.db2.gz RXFURHDZJZKZHA-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccn(-c3ccccc3)n2)cn1 ZINC000344438012 162044668 /nfs/dbraw/zinc/04/46/68/162044668.db2.gz SETYLOQHQONQGM-UHFFFAOYSA-N 0 0 295.302 2.788 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1NCCc1ccc2ccccc2n1 ZINC000344477530 162053054 /nfs/dbraw/zinc/05/30/54/162053054.db2.gz HBAMWHUBFUVOIO-UHFFFAOYSA-N 0 0 297.318 2.531 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)NCCNc2ccccc2[N+](=O)[O-])C1 ZINC000345061515 162171954 /nfs/dbraw/zinc/17/19/54/162171954.db2.gz UWNCAPJWSUUKRT-VXGBXAGGSA-N 0 0 291.351 2.559 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)N(C)Cc2ccccc2[N+](=O)[O-])C1 ZINC000345066081 162172094 /nfs/dbraw/zinc/17/20/94/162172094.db2.gz DATAUTXXINZDSN-NWDGAFQWSA-N 0 0 276.336 2.989 20 5 CFBDRN Cc1cc(NC[C@@H](O)C2CC2)c2cccc([N+](=O)[O-])c2n1 ZINC000345077113 162176309 /nfs/dbraw/zinc/17/63/09/162176309.db2.gz SBUFYNFDVQGXGQ-CQSZACIVSA-N 0 0 287.319 2.634 20 5 CFBDRN CNC(=O)[C@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000345159324 162190513 /nfs/dbraw/zinc/19/05/13/162190513.db2.gz GRZZDKLHHAIRRR-GXSJLCMTSA-N 0 0 297.742 2.575 20 5 CFBDRN CNC(=O)[C@@H]1CCC[C@H]1Nc1ccc([N+](=O)[O-])cc1Cl ZINC000345159329 162190587 /nfs/dbraw/zinc/19/05/87/162190587.db2.gz GRZZDKLHHAIRRR-MWLCHTKSSA-N 0 0 297.742 2.575 20 5 CFBDRN Cc1ccc(C(=O)Nc2cnn(C(F)F)c2)cc1[N+](=O)[O-] ZINC000345202871 162201314 /nfs/dbraw/zinc/20/13/14/162201314.db2.gz KUONHFVUXWJJGK-UHFFFAOYSA-N 0 0 296.233 2.747 20 5 CFBDRN Cc1ncc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)c1ccccc1 ZINC000345206451 162201318 /nfs/dbraw/zinc/20/13/18/162201318.db2.gz XARWJAJPPSWPBL-LLVKDONJSA-N 0 0 299.330 2.832 20 5 CFBDRN C[C@@H]1CC[C@@H](C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)C1 ZINC000345249013 162209420 /nfs/dbraw/zinc/20/94/20/162209420.db2.gz CGFRROMAQJZSQV-ZYHUDNBSSA-N 0 0 299.330 2.940 20 5 CFBDRN C/C=C\c1ccc(NCc2c([N+](=O)[O-])ncn2C)cc1 ZINC000345362627 162235616 /nfs/dbraw/zinc/23/56/16/162235616.db2.gz PNUXSACAGKAMCD-ARJAWSKDSA-N 0 0 272.308 2.974 20 5 CFBDRN CCc1noc(/C=C/c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000345465446 162251513 /nfs/dbraw/zinc/25/15/13/162251513.db2.gz FHLGJXYCVCOQOU-GQCTYLIASA-N 0 0 263.228 2.850 20 5 CFBDRN CCc1noc(/C=C\c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000345465447 162252576 /nfs/dbraw/zinc/25/25/76/162252576.db2.gz FHLGJXYCVCOQOU-XQRVVYSFSA-N 0 0 263.228 2.850 20 5 CFBDRN Cn1c(C(=O)N[C@H]2C[C@H]2C2CCCCC2)ccc1[N+](=O)[O-] ZINC000345492759 162257694 /nfs/dbraw/zinc/25/76/94/162257694.db2.gz MOXHMTAZOWLGCU-RYUDHWBXSA-N 0 0 291.351 2.632 20 5 CFBDRN Cc1ccc(-c2noc([C@@]3(F)CCOC3)n2)cc1[N+](=O)[O-] ZINC000345955558 162284677 /nfs/dbraw/zinc/28/46/77/162284677.db2.gz MZJVDTFULABFFO-CYBMUJFWSA-N 0 0 293.254 2.538 20 5 CFBDRN CSCCCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000345958219 162285072 /nfs/dbraw/zinc/28/50/72/162285072.db2.gz MBXBGZMUGVZKAV-UHFFFAOYSA-N 0 0 268.338 2.985 20 5 CFBDRN COc1cccc(NC[C@@H]2CCC[C@H]2OC)c1[N+](=O)[O-] ZINC000346111982 162320379 /nfs/dbraw/zinc/32/03/79/162320379.db2.gz VGEGJPAMLAILGW-CMPLNLGQSA-N 0 0 280.324 2.830 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2)nc1 ZINC000346152002 162332180 /nfs/dbraw/zinc/33/21/80/162332180.db2.gz OGJVPHZCAOFJTD-UHFFFAOYSA-N 0 0 271.276 2.575 20 5 CFBDRN Cc1ccc(N(C)C(=O)c2cc(C)cc([N+](=O)[O-])c2)nc1 ZINC000346197292 162348562 /nfs/dbraw/zinc/34/85/62/162348562.db2.gz FATYUJXGCTXAMN-UHFFFAOYSA-N 0 0 285.303 2.883 20 5 CFBDRN CC(C)CN(Cc1ccccc1)c1c([N+](=O)[O-])ncn1C ZINC000346363313 162395169 /nfs/dbraw/zinc/39/51/69/162395169.db2.gz CALXQSXRQXNGGB-UHFFFAOYSA-N 0 0 288.351 2.991 20 5 CFBDRN CSC[C@@H](C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 ZINC000346465439 162423332 /nfs/dbraw/zinc/42/33/32/162423332.db2.gz ZUZMPRSNRHGYPS-MRVPVSSYSA-N 0 0 293.348 2.557 20 5 CFBDRN C[C@H](CCC1CC1)NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000346597369 162457183 /nfs/dbraw/zinc/45/71/83/162457183.db2.gz PHVZZPHLMCDLFB-LLVKDONJSA-N 0 0 292.335 2.669 20 5 CFBDRN Cc1c(CNC(=O)CC2(C)CC2)cccc1[N+](=O)[O-] ZINC000346685996 162487266 /nfs/dbraw/zinc/48/72/66/162487266.db2.gz RJCJJEYEMFYPLN-UHFFFAOYSA-N 0 0 262.309 2.710 20 5 CFBDRN C[C@@H]1COCC[C@@H]1c1nc(-c2ccc([N+](=O)[O-])s2)no1 ZINC000347105492 162602022 /nfs/dbraw/zinc/60/20/22/162602022.db2.gz HFRXRBONNSSGSH-SFYZADRCSA-N 0 0 295.320 2.846 20 5 CFBDRN O=C(NCc1nccs1)Nc1cc(F)cc([N+](=O)[O-])c1 ZINC000347321457 162654134 /nfs/dbraw/zinc/65/41/34/162654134.db2.gz YMFVWOUHSPPMHO-UHFFFAOYSA-N 0 0 296.283 2.512 20 5 CFBDRN CCCc1ccccc1NCc1c([N+](=O)[O-])ncn1C ZINC000347736640 162767404 /nfs/dbraw/zinc/76/74/04/162767404.db2.gz RPTHRILOFVGKLJ-UHFFFAOYSA-N 0 0 274.324 2.893 20 5 CFBDRN CN(C(=O)NCCc1ccccc1[N+](=O)[O-])[C@@H]1CC1(C)C ZINC000347829504 162782307 /nfs/dbraw/zinc/78/23/07/162782307.db2.gz MINIAGLZJLSHPI-CYBMUJFWSA-N 0 0 291.351 2.577 20 5 CFBDRN Cc1ncccc1CC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000348494096 162860212 /nfs/dbraw/zinc/86/02/12/162860212.db2.gz LKQYXVAJZWYPQO-UHFFFAOYSA-N 0 0 285.303 2.788 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)NCC1(C(F)F)CC1 ZINC000348764832 162899269 /nfs/dbraw/zinc/89/92/69/162899269.db2.gz PSPDHTWFHYSPFD-UHFFFAOYSA-N 0 0 284.262 2.678 20 5 CFBDRN CC[C@@H](C)N(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1C ZINC000349717354 163006655 /nfs/dbraw/zinc/00/66/55/163006655.db2.gz GNWKSFWAXZFDPB-SNVBAGLBSA-N 0 0 289.339 2.625 20 5 CFBDRN CCc1cc(NCc2c([N+](=O)[O-])ncn2C)ccc1F ZINC000349731115 163009759 /nfs/dbraw/zinc/00/97/59/163009759.db2.gz VZXBTTPNVNNQSR-UHFFFAOYSA-N 0 0 278.287 2.642 20 5 CFBDRN CCOCCOc1cc(C)c([N+](=O)[O-])cc1Cl ZINC000349834746 163023536 /nfs/dbraw/zinc/02/35/36/163023536.db2.gz YZNOIZNTNJTQAD-UHFFFAOYSA-N 0 0 259.689 2.972 20 5 CFBDRN Cc1cc(OCc2ncccn2)c(Cl)cc1[N+](=O)[O-] ZINC000349852654 163025430 /nfs/dbraw/zinc/02/54/30/163025430.db2.gz FPEPODGRKMKZMK-UHFFFAOYSA-N 0 0 279.683 2.926 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1C[C@H]1C(F)F ZINC000349867530 163029173 /nfs/dbraw/zinc/02/91/73/163029173.db2.gz JKTFAXOVPXBMLQ-BDAKNGLRSA-N 0 0 299.277 2.987 20 5 CFBDRN CCc1ocnc1C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000351292315 163123809 /nfs/dbraw/zinc/12/38/09/163123809.db2.gz UQMIIPNMESEDBV-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN COc1cc(N(C)Cc2ccoc2)c(F)cc1[N+](=O)[O-] ZINC000351450233 163129944 /nfs/dbraw/zinc/12/99/44/163129944.db2.gz JGVUISRWWJBXKP-UHFFFAOYSA-N 0 0 280.255 2.972 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)Cc2ccccc2[N+](=O)[O-])C1 ZINC000351525665 163141653 /nfs/dbraw/zinc/14/16/53/163141653.db2.gz UCPFPSAZUNVXLO-LBPRGKRZSA-N 0 0 276.336 2.832 20 5 CFBDRN Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1C[C@H]1C(F)F ZINC000351539082 163142816 /nfs/dbraw/zinc/14/28/16/163142816.db2.gz PDICCLKKWUAMJK-PSASIEDQSA-N 0 0 299.277 2.987 20 5 CFBDRN C[C@@H]1C[C@@H]1CNC(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351655543 163167710 /nfs/dbraw/zinc/16/77/10/163167710.db2.gz NAHVAYVWXPPVGY-HTQZYQBOSA-N 0 0 267.260 2.511 20 5 CFBDRN COc1ccc(C(=O)Nc2scnc2C)cc1[N+](=O)[O-] ZINC000351677367 163170885 /nfs/dbraw/zinc/17/08/85/163170885.db2.gz FBMXRJMMGQZUAI-UHFFFAOYSA-N 0 0 293.304 2.621 20 5 CFBDRN CCNc1ccc(C(=O)N2CCCCCO2)cc1[N+](=O)[O-] ZINC000351681530 163173020 /nfs/dbraw/zinc/17/30/20/163173020.db2.gz YORRBFSNDOFWOI-UHFFFAOYSA-N 0 0 293.323 2.584 20 5 CFBDRN O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CC2CCC1CC2 ZINC000351696364 163177383 /nfs/dbraw/zinc/17/73/83/163177383.db2.gz XMZNXVCWJCWUIG-ABIUDSBCSA-N 0 0 288.347 2.832 20 5 CFBDRN O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@@H]1C[C@@H]1C(F)F ZINC000351727116 163183256 /nfs/dbraw/zinc/18/32/56/163183256.db2.gz ZBZHFERCFWTKTQ-DTWKUNHWSA-N 0 0 289.213 2.509 20 5 CFBDRN CCc1ocnc1C(=O)Nc1ccc([N+](=O)[O-])cc1F ZINC000351748098 163187666 /nfs/dbraw/zinc/18/76/66/163187666.db2.gz FXVYFKCMUUCGKY-UHFFFAOYSA-N 0 0 279.227 2.537 20 5 CFBDRN CC(C)[C@@H]1CCN1Cc1ccc([N+](=O)[O-])cc1F ZINC000352685295 163223705 /nfs/dbraw/zinc/22/37/05/163223705.db2.gz TULOIMVNEHYPMF-ZDUSSCGKSA-N 0 0 252.289 2.964 20 5 CFBDRN CC1(C)CC[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000352813537 163241202 /nfs/dbraw/zinc/24/12/02/163241202.db2.gz DGKAATBRPYAZEE-NSHDSACASA-N 0 0 294.326 2.971 20 5 CFBDRN C[C@@H](NC(=O)NC(C)(C)CF)c1cccc([N+](=O)[O-])c1 ZINC000353055946 163298015 /nfs/dbraw/zinc/29/80/15/163298015.db2.gz PDZIHAPLYHZTLC-SECBINFHSA-N 0 0 283.303 2.703 20 5 CFBDRN CCO[C@H]1COCC[C@H]1Nc1ccc([N+](=O)[O-])c(C)c1 ZINC000353267123 163332956 /nfs/dbraw/zinc/33/29/56/163332956.db2.gz OSWYCNIDVCWIHJ-OCCSQVGLSA-N 0 0 280.324 2.509 20 5 CFBDRN COc1c(C(=O)Nc2ccccc2F)cccc1[N+](=O)[O-] ZINC000353340435 163348913 /nfs/dbraw/zinc/34/89/13/163348913.db2.gz ZISMJTXCINLDTR-UHFFFAOYSA-N 0 0 290.250 2.995 20 5 CFBDRN CCOc1ccc(C(=O)N[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000353377447 163353171 /nfs/dbraw/zinc/35/31/71/163353171.db2.gz DIFJDWSEYNWXBF-PWSUYJOCSA-N 0 0 292.335 2.912 20 5 CFBDRN CCOc1ccc(C(=O)N[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000353377449 163353930 /nfs/dbraw/zinc/35/39/30/163353930.db2.gz DIFJDWSEYNWXBF-ZYHUDNBSSA-N 0 0 292.335 2.912 20 5 CFBDRN C[C@@H](O)C1CCN(c2cc(Cl)ccc2[N+](=O)[O-])CC1 ZINC000235338378 287076971 /nfs/dbraw/zinc/07/69/71/287076971.db2.gz IMHRAGFACQKYHH-SECBINFHSA-N 0 0 284.743 2.845 20 5 CFBDRN O=[N+]([O-])c1ccc(Cn2cnc3ccccc32)cc1 ZINC000005190761 170075412 /nfs/dbraw/zinc/07/54/12/170075412.db2.gz YUMZRVLGTWKNCA-UHFFFAOYSA-N 0 0 253.261 2.993 20 5 CFBDRN CCN(CC)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] ZINC000005470819 170102519 /nfs/dbraw/zinc/10/25/19/170102519.db2.gz GIYBQVRJRQBKBG-UHFFFAOYSA-N 0 0 256.689 2.730 20 5 CFBDRN CCCCCCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000005502106 170104935 /nfs/dbraw/zinc/10/49/35/170104935.db2.gz CAJPOXFTWCMJAX-UHFFFAOYSA-N 0 0 264.325 2.834 20 5 CFBDRN O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cl)cn1 ZINC000005673536 170126649 /nfs/dbraw/zinc/12/66/49/170126649.db2.gz JPQNXJYTTWMBAM-UHFFFAOYSA-N 0 0 291.694 2.824 20 5 CFBDRN Cc1ccc(C(=O)COc2cccnc2[N+](=O)[O-])c(C)c1 ZINC000005971228 170164223 /nfs/dbraw/zinc/16/42/23/170164223.db2.gz XZUZWPFEWRROMS-UHFFFAOYSA-N 0 0 286.287 2.868 20 5 CFBDRN COc1ccc(NC(=O)C2CCCC2)c([N+](=O)[O-])c1 ZINC000006127455 170178691 /nfs/dbraw/zinc/17/86/91/170178691.db2.gz CVLKBVFZFXPWAD-UHFFFAOYSA-N 0 0 264.281 2.732 20 5 CFBDRN O=C(NCC(F)(F)F)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000006236827 170184283 /nfs/dbraw/zinc/18/42/83/170184283.db2.gz AANHZIOOCRJJGL-UHFFFAOYSA-N 0 0 282.605 2.540 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2ncn(CCCCCF)n2)cc1 ZINC000284306450 196026535 /nfs/dbraw/zinc/02/65/35/196026535.db2.gz SBBNIBRALSMISY-UHFFFAOYSA-N 0 0 278.287 2.993 20 5 CFBDRN CC1CCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])CC1 ZINC000006308965 170189717 /nfs/dbraw/zinc/18/97/17/170189717.db2.gz GMBDLXWBFRRMMU-VOTSOKGWSA-N 0 0 274.320 2.867 20 5 CFBDRN CCCCCNC(=O)c1cccc(C)c1[N+](=O)[O-] ZINC000006310112 170190303 /nfs/dbraw/zinc/19/03/03/170190303.db2.gz LHGMYVQAMVSNJV-UHFFFAOYSA-N 0 0 250.298 2.823 20 5 CFBDRN C[C@@H]1CCCN(C(=O)/C=C/c2ccccc2[N+](=O)[O-])C1 ZINC000006470988 170204076 /nfs/dbraw/zinc/20/40/76/170204076.db2.gz XHSVFFQLWFPQOB-IDVQTMNDSA-N 0 0 274.320 2.867 20 5 CFBDRN O=C(Nc1cccnc1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 ZINC000006789315 170244071 /nfs/dbraw/zinc/24/40/71/170244071.db2.gz FPRGMXUBEIMLRC-UHFFFAOYSA-N 0 0 298.302 2.816 20 5 CFBDRN C[C@@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1CCC[C@H](C)C1 ZINC000007384257 170281831 /nfs/dbraw/zinc/28/18/31/170281831.db2.gz MSRUMTVZHYJLEN-RYUDHWBXSA-N 0 0 291.351 2.654 20 5 CFBDRN O=C(CN1CCc2ccccc21)Nc1cccc([N+](=O)[O-])c1 ZINC000007600884 170292311 /nfs/dbraw/zinc/29/23/11/170292311.db2.gz ZIVFTYILGXTPEO-UHFFFAOYSA-N 0 0 297.314 2.596 20 5 CFBDRN CC(=O)c1c[nH]c(C(=O)Nc2cccc([N+](=O)[O-])c2C)c1 ZINC000007956637 170310153 /nfs/dbraw/zinc/31/01/53/170310153.db2.gz GMROFMYAVDSPRM-UHFFFAOYSA-N 0 0 287.275 2.686 20 5 CFBDRN O=C(OCC(F)(F)C(F)F)c1ccccc1[N+](=O)[O-] ZINC000008515355 170338809 /nfs/dbraw/zinc/33/88/09/170338809.db2.gz LZJGHQMEZZFEHS-UHFFFAOYSA-N 0 0 281.161 2.652 20 5 CFBDRN O=[N+]([O-])c1ccc(NCc2ccc(F)cc2)c2nonc21 ZINC000015210118 170658934 /nfs/dbraw/zinc/65/89/34/170658934.db2.gz RDXDHYYYJLUMQE-UHFFFAOYSA-N 0 0 288.238 2.882 20 5 CFBDRN C[C@@H](NCc1ccccc1[N+](=O)[O-])c1ccccn1 ZINC000020123812 171005489 /nfs/dbraw/zinc/00/54/89/171005489.db2.gz IUTCQFVPHJMGNU-LLVKDONJSA-N 0 0 257.293 2.841 20 5 CFBDRN O=[N+]([O-])c1ccc(NCCO)c(NCCCCCF)c1 ZINC000284572633 196087883 /nfs/dbraw/zinc/08/78/83/196087883.db2.gz XAHHPFRDRSUJKW-UHFFFAOYSA-N 0 0 285.319 2.551 20 5 CFBDRN Cc1cccc(C(=O)Nc2ccc(O)cc2)c1[N+](=O)[O-] ZINC000020211410 171074864 /nfs/dbraw/zinc/07/48/64/171074864.db2.gz NLGKEZIAONLALZ-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN Cc1cc(C(=O)Nc2ccc(O)cc2)ccc1[N+](=O)[O-] ZINC000020211354 171075031 /nfs/dbraw/zinc/07/50/31/171075031.db2.gz PIJKVXZLCSZPCF-UHFFFAOYSA-N 0 0 272.260 2.861 20 5 CFBDRN COc1ccc(COc2cccc(CO)c2)cc1[N+](=O)[O-] ZINC000020552627 171247877 /nfs/dbraw/zinc/24/78/77/171247877.db2.gz MIQAXGRMIBSZRG-UHFFFAOYSA-N 0 0 289.287 2.675 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H]2CCCO2)c2cccnc21 ZINC000035084567 171971900 /nfs/dbraw/zinc/97/19/00/171971900.db2.gz SILDJARKCJIBQA-JTQLQIEISA-N 0 0 273.292 2.734 20 5 CFBDRN O=Cc1cc([N+](=O)[O-])ccc1OCc1nccn1C(F)F ZINC000035099641 171977258 /nfs/dbraw/zinc/97/72/58/171977258.db2.gz DEPBFXJTKJYZNC-UHFFFAOYSA-N 0 0 297.217 2.578 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000035134419 172000813 /nfs/dbraw/zinc/00/08/13/172000813.db2.gz ISZJDJUKWWBQTJ-UHFFFAOYSA-N 0 0 294.698 2.840 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000035134480 172001062 /nfs/dbraw/zinc/00/10/62/172001062.db2.gz HAIJXEPNSHWYED-VOTSOKGWSA-N 0 0 286.291 2.587 20 5 CFBDRN O=C(COc1cc(F)c([N+](=O)[O-])cc1Cl)C1CC1 ZINC000285256630 196234413 /nfs/dbraw/zinc/23/44/13/196234413.db2.gz SADUWBQYYRKLRJ-UHFFFAOYSA-N 0 0 273.647 2.745 20 5 CFBDRN COc1ccc(CN[C@@H](C)c2ccccn2)cc1[N+](=O)[O-] ZINC000035694775 172363825 /nfs/dbraw/zinc/36/38/25/172363825.db2.gz NOCZWYLHMOIGAD-NSHDSACASA-N 0 0 287.319 2.849 20 5 CFBDRN Cc1nc(CN(C)CCc2ccccc2[N+](=O)[O-])oc1C ZINC000285429813 196282815 /nfs/dbraw/zinc/28/28/15/196282815.db2.gz WOESDMJASIENEB-UHFFFAOYSA-N 0 0 289.335 2.874 20 5 CFBDRN COCC1(C(=O)N[C@H](C)c2ccccc2[N+](=O)[O-])CCC1 ZINC000285486260 196300086 /nfs/dbraw/zinc/30/00/86/196300086.db2.gz DIGHWPCQCUMKQY-LLVKDONJSA-N 0 0 292.335 2.589 20 5 CFBDRN O=C(Nc1ccc([N+](=O)[O-])cc1)c1cc(Cl)ccn1 ZINC000036804045 172720557 /nfs/dbraw/zinc/72/05/57/172720557.db2.gz JEKOCYRVWXBAQP-UHFFFAOYSA-N 0 0 277.667 2.896 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1COc1ccccc1C=O ZINC000037011461 172869075 /nfs/dbraw/zinc/86/90/75/172869075.db2.gz GSJXPJRKMWQQGF-UHFFFAOYSA-N 0 0 287.271 2.995 20 5 CFBDRN C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2F)C1 ZINC000037285954 173092475 /nfs/dbraw/zinc/09/24/75/173092475.db2.gz JBRWZGWJJMOJAD-VIFPVBQESA-N 0 0 266.272 2.606 20 5 CFBDRN CCC[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286085 173092658 /nfs/dbraw/zinc/09/26/58/173092658.db2.gz YOMAKIKTISCCPK-QMMMGPOBSA-N 0 0 254.261 2.652 20 5 CFBDRN CC(C)C[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F ZINC000037286249 173092676 /nfs/dbraw/zinc/09/26/76/173092676.db2.gz GSPXLKOGCJUSBK-VIFPVBQESA-N 0 0 268.288 2.898 20 5 CFBDRN CN(C(=O)c1cc([N+](=O)[O-])ccc1F)C1CCCC1 ZINC000037286188 173092759 /nfs/dbraw/zinc/09/27/59/173092759.db2.gz NQDGGHUSIVTNIZ-UHFFFAOYSA-N 0 0 266.272 2.749 20 5 CFBDRN Cc1nn(C)c(Cl)c1COc1ccc([N+](=O)[O-])cc1 ZINC000037408752 173185223 /nfs/dbraw/zinc/18/52/23/173185223.db2.gz JXHOWEFQECSDLG-UHFFFAOYSA-N 0 0 281.699 2.869 20 5 CFBDRN COc1ncccc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000037650292 173601781 /nfs/dbraw/zinc/60/17/81/173601781.db2.gz GMJOXKAGKBTOES-LLVKDONJSA-N 0 0 287.319 2.849 20 5 CFBDRN CC[C@H](C)N(CC)C(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669655 173610944 /nfs/dbraw/zinc/61/09/44/173610944.db2.gz QHRVBBZRFUEKTQ-VIFPVBQESA-N 0 0 268.288 2.995 20 5 CFBDRN O=C(NCC1CCCC1)c1cc(F)ccc1[N+](=O)[O-] ZINC000037669673 173611077 /nfs/dbraw/zinc/61/10/77/173611077.db2.gz OLTJIXXNPVPYDG-UHFFFAOYSA-N 0 0 266.272 2.654 20 5 CFBDRN Cc1c(C[N@@H+]2CCCC[C@H]2CC(=O)[O-])cccc1[N+](=O)[O-] ZINC000038027954 174015812 /nfs/dbraw/zinc/01/58/12/174015812.db2.gz GIPNLDLGDPPGQA-ZDUSSCGKSA-N 0 0 292.335 2.732 20 5 CFBDRN O=[N+]([O-])c1ccc2nc(NC[C@H]3CCCOC3)ccc2c1 ZINC000038046357 174054682 /nfs/dbraw/zinc/05/46/82/174054682.db2.gz ZSFVJFQZCKYWEC-LLVKDONJSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1cc(NC[C@@H]2CCCOC2)ccc1[N+](=O)[O-] ZINC000038046460 174054942 /nfs/dbraw/zinc/05/49/42/174054942.db2.gz XQLJMPCIQREVGQ-NSHDSACASA-N 0 0 250.298 2.742 20 5 CFBDRN CC(=O)c1cc(N[C@H]2CC[C@H](O)CC2)ccc1[N+](=O)[O-] ZINC000286065928 196486660 /nfs/dbraw/zinc/48/66/60/196486660.db2.gz BYSYIBBCAFHVBJ-UMSPYCQHSA-N 0 0 278.308 2.513 20 5 CFBDRN COc1ccccc1C(=O)NCc1ccccc1[N+](=O)[O-] ZINC000040379117 174250472 /nfs/dbraw/zinc/25/04/72/174250472.db2.gz UDULSDRECPNEKD-UHFFFAOYSA-N 0 0 286.287 2.533 20 5 CFBDRN Cc1nc(CNCc2cccc([N+](=O)[O-])c2)cs1 ZINC000040443853 174264330 /nfs/dbraw/zinc/26/43/30/174264330.db2.gz YZXZLUARSNQLIO-UHFFFAOYSA-N 0 0 263.322 2.650 20 5 CFBDRN C[C@@H]1C[C@H]1CNc1ncnc2sc([N+](=O)[O-])cc21 ZINC000286186718 196520196 /nfs/dbraw/zinc/52/01/96/196520196.db2.gz QQKAXAVJDHNWQI-RQJHMYQMSA-N 0 0 264.310 2.667 20 5 CFBDRN CNc1ccccc1C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000041636350 174589212 /nfs/dbraw/zinc/58/92/12/174589212.db2.gz PYGLDNDDKGBAIQ-UHFFFAOYSA-N 0 0 271.276 2.889 20 5 CFBDRN CS[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000042847793 174891507 /nfs/dbraw/zinc/89/15/07/174891507.db2.gz SIMSFWCBGSAVNE-QMMMGPOBSA-N 0 0 254.311 2.593 20 5 CFBDRN Cc1c(NC(=O)NC[C@H]2C[C@@H]2C)cccc1[N+](=O)[O-] ZINC000043346547 175017535 /nfs/dbraw/zinc/01/75/35/175017535.db2.gz FIQHNVHHXHSDLH-WCBMZHEXSA-N 0 0 263.297 2.681 20 5 CFBDRN CC(C)[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000043465613 175052813 /nfs/dbraw/zinc/05/28/13/175052813.db2.gz PYJWUEFBPPNMFZ-VIFPVBQESA-N 0 0 251.286 2.761 20 5 CFBDRN Cc1ccc(CN(C)C(=O)c2csc([N+](=O)[O-])c2)o1 ZINC000044308999 175162154 /nfs/dbraw/zinc/16/21/54/175162154.db2.gz XYHFRWUZDAMDHM-UHFFFAOYSA-N 0 0 280.305 2.830 20 5 CFBDRN COCCCCNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000044349185 175165924 /nfs/dbraw/zinc/16/59/24/175165924.db2.gz SRICJBPEJZJYEA-UHFFFAOYSA-N 0 0 275.308 2.982 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1C[C@@H]1c1ccccc1 ZINC000044416577 175172993 /nfs/dbraw/zinc/17/29/93/175172993.db2.gz CRHHHCSWJQGGEC-VXGBXAGGSA-N 0 0 255.277 2.958 20 5 CFBDRN O=[N+]([O-])c1ccc(N[C@@H]2C[C@H]2c2ccccc2)nc1 ZINC000044416673 175173370 /nfs/dbraw/zinc/17/33/70/175173370.db2.gz PTWOYGQVKOLRFT-QWHCGFSZSA-N 0 0 255.277 2.958 20 5 CFBDRN O=C(NCCC1CCCC1)c1csc([N+](=O)[O-])c1 ZINC000044477214 175178419 /nfs/dbraw/zinc/17/84/19/175178419.db2.gz KHFNBYYPZAEYQZ-UHFFFAOYSA-N 0 0 268.338 2.966 20 5 CFBDRN CC[C@@H]1C[C@H](C)CN1C(=O)c1cccc([N+](=O)[O-])c1OC ZINC000331066113 287300281 /nfs/dbraw/zinc/30/02/81/287300281.db2.gz PYNPKGWCRCNXEW-WDEREUQCSA-N 0 0 292.335 2.864 20 5 CFBDRN O=C(c1c(Cl)cccc1[N+](=O)[O-])N1C[C@@H]2C[C@H]1CS2 ZINC000335181493 304475408 /nfs/dbraw/zinc/47/54/08/304475408.db2.gz XBWPITYPIQBFQM-YUMQZZPRSA-N 0 0 298.751 2.578 20 5 CFBDRN Cc1nnc([C@H](C)Nc2ccc(Cl)cc2[N+](=O)[O-])[nH]1 ZINC000286395263 196590748 /nfs/dbraw/zinc/59/07/48/196590748.db2.gz MUKWFWGJIHUUBF-LURJTMIESA-N 0 0 281.703 2.848 20 5 CFBDRN Cc1ccc(NCc2cccc(C(N)=O)c2)c([N+](=O)[O-])c1 ZINC000071204972 176079241 /nfs/dbraw/zinc/07/92/41/176079241.db2.gz MJYZDXNAJXXYSQ-UHFFFAOYSA-N 0 0 285.303 2.614 20 5 CFBDRN C[C@H]1CCC[C@H]1Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] ZINC000071208447 176081193 /nfs/dbraw/zinc/08/11/93/176081193.db2.gz JWUGLDRCTGNREK-GXSJLCMTSA-N 0 0 298.364 2.599 20 5 CFBDRN CC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H]1CCOC[C@@H]1C ZINC000286432695 196602336 /nfs/dbraw/zinc/60/23/36/196602336.db2.gz QRGIYVWTXRJBSP-JQWIXIFHSA-N 0 0 292.335 2.882 20 5 CFBDRN CC(C)C[C@@H]1CCN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C1 ZINC000073187384 176459535 /nfs/dbraw/zinc/45/95/35/176459535.db2.gz CKJNVPRGBTVIQB-NSHDSACASA-N 0 0 291.351 2.685 20 5 CFBDRN C[C@@H](NC(=O)N(C)C1CCC1)c1cccc([N+](=O)[O-])c1 ZINC000073758034 176491236 /nfs/dbraw/zinc/49/12/36/176491236.db2.gz LQFOLLRAKCNOBM-SNVBAGLBSA-N 0 0 277.324 2.850 20 5 CFBDRN C[C@H](O)CCNc1ccc([N+](=O)[O-])cc1Br ZINC000077208464 177234831 /nfs/dbraw/zinc/23/48/31/177234831.db2.gz WUCZUKXTFYVMJU-ZETCQYMHSA-N 0 0 289.129 2.540 20 5 CFBDRN C[C@@H](O)C[C@@H](C)CNc1ccc2ncccc2c1[N+](=O)[O-] ZINC000077228404 177236522 /nfs/dbraw/zinc/23/65/22/177236522.db2.gz DNCDOLJPPGZRAO-GHMZBOCLSA-N 0 0 289.335 2.962 20 5 CFBDRN C[C@@H](CO)[C@H](C)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000077227869 177236535 /nfs/dbraw/zinc/23/65/35/177236535.db2.gz XLRKCBXVRJSKRX-UWVGGRQHSA-N 0 0 275.308 2.572 20 5 CFBDRN CC[C@H](CCO)Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000077232497 177236961 /nfs/dbraw/zinc/23/69/61/177236961.db2.gz VWFLGZNMAPYGKM-SNVBAGLBSA-N 0 0 275.308 2.716 20 5 CFBDRN CC(C)N(C1CCC1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000077397373 177263427 /nfs/dbraw/zinc/26/34/27/177263427.db2.gz FPMVLRQLSKETFP-UHFFFAOYSA-N 0 0 298.364 2.546 20 5 CFBDRN C[C@H]1CCN(C(=O)COc2ccc([N+](=O)[O-])cc2)[C@@H](C)C1 ZINC000078002570 177316007 /nfs/dbraw/zinc/31/60/07/177316007.db2.gz BANLCFXCIHGKJQ-RYUDHWBXSA-N 0 0 292.335 2.621 20 5 CFBDRN C[C@@H](O)CCNc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC000078771562 177384969 /nfs/dbraw/zinc/38/49/69/177384969.db2.gz CLOGEKFGPONZPX-SSDOTTSWSA-N 0 0 278.230 2.796 20 5 CFBDRN COC(=O)C[C@H](C)SCc1cc(F)ccc1[N+](=O)[O-] ZINC000078818916 177392949 /nfs/dbraw/zinc/39/29/49/177392949.db2.gz BVSFITHJWHNFHJ-QMMMGPOBSA-N 0 0 287.312 2.919 20 5 CFBDRN CC(C)Oc1ccc([N+](=O)[O-])cc1CS[C@H](C)CO ZINC000079089250 177431092 /nfs/dbraw/zinc/43/10/92/177431092.db2.gz BZDFOCQQEIPPQO-SNVBAGLBSA-N 0 0 285.365 2.996 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC(C)(CO)CC1 ZINC000132302021 292584603 /nfs/dbraw/zinc/58/46/03/292584603.db2.gz FXYXFWQYRCUVBB-UHFFFAOYSA-N 0 0 264.325 2.502 20 5 CFBDRN C[C@@H]1CCCN(c2nc3sccn3c2[N+](=O)[O-])C1 ZINC000008308484 287361113 /nfs/dbraw/zinc/36/11/13/287361113.db2.gz RLQHNEWKIQNIKA-MRVPVSSYSA-N 0 0 266.326 2.540 20 5 CFBDRN CCn1cc([N+](=O)[O-])c(C(=O)N[C@H](C)C2CCCCC2)n1 ZINC000191633523 227082650 /nfs/dbraw/zinc/08/26/50/227082650.db2.gz CLQDKCRYUFNXSM-SNVBAGLBSA-N 0 0 294.355 2.510 20 5 CFBDRN O=[N+]([O-])c1ccc(OC(F)F)c(CNc2cccnn2)c1 ZINC000191838755 227192929 /nfs/dbraw/zinc/19/29/29/227192929.db2.gz DCUSGQDKOKBWBA-UHFFFAOYSA-N 0 0 296.233 2.598 20 5 CFBDRN C[C@H](NC(=O)N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccccc1[N+](=O)[O-] ZINC000426256905 533682775 /nfs/dbraw/zinc/68/27/75/533682775.db2.gz QCHOPYBFWSHPJP-ZKCLLBTKSA-N 0 0 289.335 2.754 20 5 CFBDRN CO[C@H](C[NH2+]Cc1csc([N+](=O)[O-])c1)CC(C)C ZINC000418097061 228034279 /nfs/dbraw/zinc/03/42/79/228034279.db2.gz KTALHVFVIZTEFR-NSHDSACASA-N 0 0 272.370 2.807 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1)[C@@H]([NH2+]CCN1CCCC1)CCC2 ZINC000192608923 228172381 /nfs/dbraw/zinc/17/23/81/228172381.db2.gz SRDLGZWHUDGUEM-INIZCTEOSA-N 0 0 289.379 2.658 20 5 CFBDRN C[C@@H]1CCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)[C@H]1C ZINC000278513408 287606512 /nfs/dbraw/zinc/60/65/12/287606512.db2.gz RQOXAQUVHMSOLF-BDAKNGLRSA-N 0 0 260.297 2.706 20 5 CFBDRN C[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@H]1C ZINC000269337470 287607382 /nfs/dbraw/zinc/60/73/82/287607382.db2.gz BEGSFPBCQGAHIE-SFYZADRCSA-N 0 0 255.705 2.878 20 5 CFBDRN C[C@H]1CC[C@H](CNc2c(F)cc([N+](=O)[O-])cc2F)O1 ZINC000397928822 270320271 /nfs/dbraw/zinc/32/02/71/270320271.db2.gz TVADPHQUKKRERP-IONNQARKSA-N 0 0 272.251 2.852 20 5 CFBDRN COc1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2C)cc1 ZINC000195519493 270254468 /nfs/dbraw/zinc/25/44/68/270254468.db2.gz YHXJUQWRLFBSIH-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN Cn1ccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)n1 ZINC000179898376 260079418 /nfs/dbraw/zinc/07/94/18/260079418.db2.gz JSYSUCDAHYPKNH-UHFFFAOYSA-N 0 0 299.290 2.595 20 5 CFBDRN CC1(C)COC[C@H]1Nc1ccc2cc([N+](=O)[O-])ccc2n1 ZINC000313032864 260239626 /nfs/dbraw/zinc/23/96/26/260239626.db2.gz JQXVEYRJYWOOAU-CYBMUJFWSA-N 0 0 287.319 2.980 20 5 CFBDRN O=[N+]([O-])c1cnccc1NCCOCC1CCCC1 ZINC000313465890 260296414 /nfs/dbraw/zinc/29/64/14/260296414.db2.gz DVZMMXGNGFFLTJ-UHFFFAOYSA-N 0 0 265.313 2.609 20 5 CFBDRN CCC1(CNC(=O)CNc2ccccc2[N+](=O)[O-])CCC1 ZINC000084381734 260362577 /nfs/dbraw/zinc/36/25/77/260362577.db2.gz UUCZZEFORBWIQG-UHFFFAOYSA-N 0 0 291.351 2.703 20 5 CFBDRN CC1(C)C[C@@H]1Nc1ncc([N+](=O)[O-])cc1Br ZINC000086482041 260393280 /nfs/dbraw/zinc/39/32/80/260393280.db2.gz OZFSMWJVJHBKEO-QMMMGPOBSA-N 0 0 286.129 2.963 20 5 CFBDRN C[C@@H](NC(=O)[C@H]1C[C@@H]1C1CC1)c1ccccc1[N+](=O)[O-] ZINC000497821111 262213237 /nfs/dbraw/zinc/21/32/37/262213237.db2.gz IVWKDOPTFVVMDQ-WQAKAFBOSA-N 0 0 274.320 2.818 20 5 CFBDRN CCOc1cccc(NC[C@@](C)(O)C(C)C)c1[N+](=O)[O-] ZINC000184115360 262269562 /nfs/dbraw/zinc/26/95/62/262269562.db2.gz UCZHLVSXYOKXAP-CQSZACIVSA-N 0 0 282.340 2.812 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1NC[C@H]1CCCC1(F)F ZINC000390891352 262359121 /nfs/dbraw/zinc/35/91/21/262359121.db2.gz ZGFJIZDUJNACFV-SECBINFHSA-N 0 0 271.267 2.567 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(CN3CCC34CCC4)nc2c1 ZINC000518891700 265199634 /nfs/dbraw/zinc/19/96/34/265199634.db2.gz YHJCZGXZMNRDHY-UHFFFAOYSA-N 0 0 272.308 2.600 20 5 CFBDRN C[C@@H](N(C)C(=O)COc1ccc([N+](=O)[O-])cc1)C(C)(C)C ZINC000518972363 265264270 /nfs/dbraw/zinc/26/42/70/265264270.db2.gz AUIMKPZMUSBKJD-LLVKDONJSA-N 0 0 294.351 2.867 20 5 CFBDRN C[C@@H]1CCCC[C@]1(C)NC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000519583605 265488345 /nfs/dbraw/zinc/48/83/45/265488345.db2.gz DKVLSPYQNWNNTL-YGRLFVJLSA-N 0 0 277.324 2.688 20 5 CFBDRN Cc1sc(C(=O)NCCOC(C)(C)C)cc1[N+](=O)[O-] ZINC000520188345 265675013 /nfs/dbraw/zinc/67/50/13/265675013.db2.gz UQGUMUMCATXWFV-UHFFFAOYSA-N 0 0 286.353 2.510 20 5 CFBDRN CC1(CNC(=O)CSc2ccc([N+](=O)[O-])cc2)CC1 ZINC000357505425 266310567 /nfs/dbraw/zinc/31/05/67/266310567.db2.gz GGHMXWBPIOTRPM-UHFFFAOYSA-N 0 0 280.349 2.603 20 5 CFBDRN C[C@H](C(=O)NN1CCCC[C@H]1C)c1cccc([N+](=O)[O-])c1 ZINC000827647350 782039867 /nfs/dbraw/zinc/03/98/67/782039867.db2.gz DBYHKONHWLCIJE-NEPJUHHUSA-N 0 0 291.351 2.604 20 5 CFBDRN C[C@H](NC(=O)OCC1CC1)c1cccc([N+](=O)[O-])c1 ZINC000192861651 270234958 /nfs/dbraw/zinc/23/49/58/270234958.db2.gz NSHCDXALIDCDEJ-VIFPVBQESA-N 0 0 264.281 2.792 20 5 CFBDRN CCn1nc(C)c([N+](=O)[O-])c1N1CC(C)(C)OC(C)(C)C1 ZINC000192863672 270235234 /nfs/dbraw/zinc/23/52/34/270235234.db2.gz VICQLPDAMBNOHT-UHFFFAOYSA-N 0 0 296.371 2.513 20 5 CFBDRN Cc1cnc(SCCOc2cccc([N+](=O)[O-])c2)nc1 ZINC000193864950 270240819 /nfs/dbraw/zinc/24/08/19/270240819.db2.gz CXCLCCRFASKAEN-UHFFFAOYSA-N 0 0 291.332 2.864 20 5 CFBDRN CCC[C@H](CC)NS(=O)(=O)c1ccc([N+](=O)[O-])s1 ZINC000195211624 270251182 /nfs/dbraw/zinc/25/11/82/270251182.db2.gz ZSDQBPQVCKYRSK-QMMMGPOBSA-N 0 0 292.382 2.513 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC1CCC1 ZINC000274291735 270280390 /nfs/dbraw/zinc/28/03/90/270280390.db2.gz RBEBKXLTTMNFTP-UHFFFAOYSA-N 0 0 263.297 2.886 20 5 CFBDRN COc1ccccc1[C@H](C)Nc1ncc([N+](=O)[O-])c(C)n1 ZINC000296633375 270285198 /nfs/dbraw/zinc/28/51/98/270285198.db2.gz VVLKPYJODJYURG-VIFPVBQESA-N 0 0 288.307 2.875 20 5 CFBDRN O=[N+]([O-])c1ccc(NC[C@@H](O)C2CCC2)c2cccnc21 ZINC000315644502 270292388 /nfs/dbraw/zinc/29/23/88/270292388.db2.gz HBMIXIGFZGIFPF-CQSZACIVSA-N 0 0 287.319 2.716 20 5 CFBDRN CC[C@@](C)(CNc1ncc([N+](=O)[O-])cc1Cl)OC ZINC000322944071 270297825 /nfs/dbraw/zinc/29/78/25/270297825.db2.gz QCZANPVQZVTRBH-NSHDSACASA-N 0 0 273.720 2.870 20 5 CFBDRN CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1N)C1CC(C)(C)C1 ZINC000395414924 270313044 /nfs/dbraw/zinc/31/30/44/270313044.db2.gz SKPAKBKCPHELPP-UHFFFAOYSA-N 0 0 295.314 2.577 20 5 CFBDRN COC(=O)c1ccc([N+](=O)[O-])c(N[C@H]2C[C@@]2(C)C(C)C)n1 ZINC000397178582 270316169 /nfs/dbraw/zinc/31/61/69/270316169.db2.gz HPULITAHFIIBAY-FZMZJTMJSA-N 0 0 293.323 2.623 20 5 CFBDRN C[C@@]1(CNc2ccnc3c2cccc3[N+](=O)[O-])CCOC1 ZINC000397204706 270316804 /nfs/dbraw/zinc/31/68/04/270316804.db2.gz YKSLGEKTJROXSP-HNNXBMFYSA-N 0 0 287.319 2.982 20 5 CFBDRN Cc1cccc(NC[C@@]2(C)CCOC2)c1[N+](=O)[O-] ZINC000397213101 270316854 /nfs/dbraw/zinc/31/68/54/270316854.db2.gz ZNJCMMZMWGZFIL-CYBMUJFWSA-N 0 0 250.298 2.742 20 5 CFBDRN C[C@@H]1C[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])CS1 ZINC000397781311 270319119 /nfs/dbraw/zinc/31/91/19/270319119.db2.gz PBQZLESYMTURGM-RNFRBKRXSA-N 0 0 284.366 2.610 20 5 CFBDRN C[C@@H]1CC[C@H](CNc2ncc([N+](=O)[O-])cc2Cl)O1 ZINC000397858222 270319980 /nfs/dbraw/zinc/31/99/80/270319980.db2.gz CGWMWDOIXXLWLA-VXNVDRBHSA-N 0 0 271.704 2.623 20 5 CFBDRN COc1ccc(CN2CC3(C2)CCCC3)cc1[N+](=O)[O-] ZINC000459555108 270339211 /nfs/dbraw/zinc/33/92/11/270339211.db2.gz BFBWMSKQDLCROA-UHFFFAOYSA-N 0 0 276.336 2.979 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1cccc(C)n1 ZINC000514615368 270347796 /nfs/dbraw/zinc/34/77/96/270347796.db2.gz VEOQDMYACSWDBL-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H]2[C@H](C1)C2(C)C ZINC000516996285 270394273 /nfs/dbraw/zinc/39/42/73/270394273.db2.gz NPMKWXVHJAXGCP-AOOOYVTPSA-N 0 0 262.309 2.696 20 5 CFBDRN Cc1cnc(C)nc1N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000521463044 270428834 /nfs/dbraw/zinc/42/88/34/270428834.db2.gz WMIJKTNEPKRKBE-UHFFFAOYSA-N 0 0 284.319 2.564 20 5 CFBDRN C[C@H](CC(=O)NCc1cccc([N+](=O)[O-])c1)c1cccnc1 ZINC000524542117 270463127 /nfs/dbraw/zinc/46/31/27/270463127.db2.gz CFLKTRSOAUKNDX-GFCCVEGCSA-N 0 0 299.330 2.800 20 5 CFBDRN CCc1cnc(COc2ccc([N+](=O)[O-])c(OC)c2)o1 ZINC000530284996 270783420 /nfs/dbraw/zinc/78/34/20/270783420.db2.gz CTZSLHNYTVDOHI-UHFFFAOYSA-N 0 0 278.264 2.733 20 5 CFBDRN C[C@@H]1C[C@H](C)N1c1ncc(Br)cc1[N+](=O)[O-] ZINC000530537258 270799583 /nfs/dbraw/zinc/79/95/83/270799583.db2.gz OWGQXNBBAMIUOX-KNVOCYPGSA-N 0 0 286.129 2.739 20 5 CFBDRN O=C(NCc1ccccc1Cl)c1ccc([N+](=O)[O-])cn1 ZINC000532137176 270853928 /nfs/dbraw/zinc/85/39/28/270853928.db2.gz OQPJBPVNKHLMSL-UHFFFAOYSA-N 0 0 291.694 2.573 20 5 CFBDRN CCCN1CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 ZINC000432338592 273614197 /nfs/dbraw/zinc/61/41/97/273614197.db2.gz FRGRNRIGHDKDCR-UHFFFAOYSA-N 0 0 283.759 2.780 20 5 CFBDRN C[C@@H]1CC[C@H](NC(=O)c2ccc([N+](=O)[O-])o2)[C@H](C)C1 ZINC000112836146 287786034 /nfs/dbraw/zinc/78/60/34/287786034.db2.gz ZMPRAHNWZOMYIC-BBBLOLIVSA-N 0 0 266.297 2.742 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1N1CC[C@H](n2cccn2)C1 ZINC000292267574 275033602 /nfs/dbraw/zinc/03/36/02/275033602.db2.gz INGSQEZTRRUZEW-NSHDSACASA-N 0 0 292.726 2.896 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CC[C@H](N3CC=CC3)C2)c(Cl)c1 ZINC000266231256 275036764 /nfs/dbraw/zinc/03/67/64/275036764.db2.gz JMVKKJIDFSJZDI-LBPRGKRZSA-N 0 0 293.754 2.699 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@H](c3ccccc3)C2)nc1 ZINC000431493911 275040913 /nfs/dbraw/zinc/04/09/13/275040913.db2.gz KIBJSYKKLFCYHQ-ZDUSSCGKSA-N 0 0 284.319 2.769 20 5 CFBDRN CC(C)NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 ZINC000050042908 275656293 /nfs/dbraw/zinc/65/62/93/275656293.db2.gz UHNQHASGTRLUEH-UHFFFAOYSA-N 0 0 291.351 2.723 20 5 CFBDRN CC1(C)CCN(c2ncnc3sc([N+](=O)[O-])cc32)C1 ZINC000288216683 275824469 /nfs/dbraw/zinc/82/44/69/275824469.db2.gz DLBQMYCUHJSYJD-UHFFFAOYSA-N 0 0 278.337 2.836 20 5 CFBDRN CC1(C)OCC[C@@H]1Nc1ncc([N+](=O)[O-])cc1Cl ZINC000328364301 275881550 /nfs/dbraw/zinc/88/15/50/275881550.db2.gz GMAYOFKAJKLVOK-VIFPVBQESA-N 0 0 271.704 2.623 20 5 CFBDRN CC(C)C[C@@H](C)NC(=O)c1[nH]nc(C(C)C)c1[N+](=O)[O-] ZINC000269816357 275899841 /nfs/dbraw/zinc/89/98/41/275899841.db2.gz QLEUKNWNXVUALJ-SECBINFHSA-N 0 0 282.344 2.606 20 5 CFBDRN CCC(=O)c1ccc(N2CCC[C@@](C)(O)C2)c([N+](=O)[O-])c1 ZINC000280664159 275949398 /nfs/dbraw/zinc/94/93/98/275949398.db2.gz GYUBRACCGGDBOZ-OAHLLOKOSA-N 0 0 292.335 2.539 20 5 CFBDRN CC1(C(=O)N2CCc3c2cccc3[N+](=O)[O-])CCC1 ZINC000267527353 276097488 /nfs/dbraw/zinc/09/74/88/276097488.db2.gz ONVHVCDFNWDTKG-UHFFFAOYSA-N 0 0 260.293 2.674 20 5 CFBDRN CC1(C)C[C@@H]1Nc1c(Br)cncc1[N+](=O)[O-] ZINC000231629080 276144921 /nfs/dbraw/zinc/14/49/21/276144921.db2.gz RCTNYJOLGASOPL-QMMMGPOBSA-N 0 0 286.129 2.963 20 5 CFBDRN CCC1(C)CN(c2ncc([N+](=O)[O-])cc2Cl)C1 ZINC000292345145 276216014 /nfs/dbraw/zinc/21/60/14/276216014.db2.gz NVXRCRNXGPKDBJ-UHFFFAOYSA-N 0 0 255.705 2.880 20 5 CFBDRN CCNc1c2cc(Br)ccc2ncc1[N+](=O)[O-] ZINC000355163786 276255528 /nfs/dbraw/zinc/25/55/28/276255528.db2.gz NMWYKGQQJPUINJ-UHFFFAOYSA-N 0 0 296.124 2.759 20 5 CFBDRN CCOC[C@@H]1CCN(c2cc(OC)c(F)cc2[N+](=O)[O-])C1 ZINC000268141984 276331392 /nfs/dbraw/zinc/33/13/92/276331392.db2.gz CLYVJXRPCMYIEA-SNVBAGLBSA-N 0 0 298.314 2.605 20 5 CFBDRN CCO[C@H]1CCN(c2cc(C)c([N+](=O)[O-])cn2)C[C@H]1C ZINC000450745682 276359567 /nfs/dbraw/zinc/35/95/67/276359567.db2.gz PTJQYIVDIIDATQ-YPMHNXCESA-N 0 0 279.340 2.550 20 5 CFBDRN CCO[C@H]1C[C@@H](N(C)c2cc(C)c([N+](=O)[O-])cn2)C1(C)C ZINC000301418638 276487606 /nfs/dbraw/zinc/48/76/06/276487606.db2.gz DCYCQTPJGUUVOY-OLZOCXBDSA-N 0 0 293.367 2.938 20 5 CFBDRN CC[C@@H]1CN(c2ccnc3c2cccc3[N+](=O)[O-])CCO1 ZINC000432332089 276498965 /nfs/dbraw/zinc/49/89/65/276498965.db2.gz TZTFIJCTAMYPQR-LLVKDONJSA-N 0 0 287.319 2.758 20 5 CFBDRN CC[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)CCS1 ZINC000266235771 276629755 /nfs/dbraw/zinc/62/97/55/276629755.db2.gz VQFGXHPMXCNQDS-VIFPVBQESA-N 0 0 287.772 2.975 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CC12CCCC2 ZINC000340902892 276710847 /nfs/dbraw/zinc/71/08/47/276710847.db2.gz KIZLXDCSLKDQGB-JTQLQIEISA-N 0 0 264.329 2.864 20 5 CFBDRN CN(c1c(F)cc([N+](=O)[O-])cc1F)[C@@H]1CCSC1 ZINC000270156100 276869643 /nfs/dbraw/zinc/86/96/43/276869643.db2.gz IRIYGHKDJZRLMY-SSDOTTSWSA-N 0 0 274.292 2.815 20 5 CFBDRN CN(c1nccc2ccc([N+](=O)[O-])cc21)[C@H]1CCCOC1 ZINC000413286625 277004667 /nfs/dbraw/zinc/00/46/67/277004667.db2.gz ZIYAKIAZPCDEBZ-ZDUSSCGKSA-N 0 0 287.319 2.758 20 5 CFBDRN CN1C(=O)c2ccccc2N[C@@H]1c1csc([N+](=O)[O-])c1 ZINC000048619346 277022044 /nfs/dbraw/zinc/02/20/44/277022044.db2.gz GFJHYTTWOLTCIM-LBPRGKRZSA-N 0 0 289.316 2.853 20 5 CFBDRN CC(C)(C)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000336613806 277231673 /nfs/dbraw/zinc/23/16/73/277231673.db2.gz LDVSCUFIWJQESG-JTQLQIEISA-N 0 0 265.313 2.574 20 5 CFBDRN CC(C)(C)c1ccc(C(=O)N2CCC2)cc1[N+](=O)[O-] ZINC000298054565 277265960 /nfs/dbraw/zinc/26/59/60/277265960.db2.gz BESXMYHKQZKLEJ-UHFFFAOYSA-N 0 0 262.309 2.738 20 5 CFBDRN CO[C@@H]1CCN(c2ncc(Cl)cc2[N+](=O)[O-])[C@@H](C)C1 ZINC000281682478 277294284 /nfs/dbraw/zinc/29/42/84/277294284.db2.gz QYUKGNLBRHVYTL-WCBMZHEXSA-N 0 0 285.731 2.647 20 5 CFBDRN CO[C@H](CC(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000414727477 277326676 /nfs/dbraw/zinc/32/66/76/277326676.db2.gz MSOGXHAPFWGTRU-GFCCVEGCSA-N 0 0 266.297 2.594 20 5 CFBDRN CC(C)CC1(CNc2cccc(C(N)=O)c2[N+](=O)[O-])CC1 ZINC000342540471 277349063 /nfs/dbraw/zinc/34/90/63/277349063.db2.gz CWMZUICIDNLSPV-UHFFFAOYSA-N 0 0 291.351 2.932 20 5 CFBDRN CO[C@](C)(CNc1ccc(F)cc1[N+](=O)[O-])C1CC1 ZINC000311601666 277357532 /nfs/dbraw/zinc/35/75/32/277357532.db2.gz TXIBQKBAGDEZIR-CYBMUJFWSA-N 0 0 268.288 2.961 20 5 CFBDRN CC(C)CN(C)c1ncc(Br)cc1[N+](=O)[O-] ZINC000042376814 277375593 /nfs/dbraw/zinc/37/55/93/277375593.db2.gz ZGCVEEGWRYSLDC-UHFFFAOYSA-N 0 0 288.145 2.845 20 5 CFBDRN COc1cc(N2CC[C@H](SC)C2)ccc1[N+](=O)[O-] ZINC000294953471 277382260 /nfs/dbraw/zinc/38/22/60/277382260.db2.gz JDVWHNHEMAVYSC-JTQLQIEISA-N 0 0 268.338 2.545 20 5 CFBDRN COc1cc(NC[C@@](C)(OC)C2CC2)c([N+](=O)[O-])cc1F ZINC000359982393 277385507 /nfs/dbraw/zinc/38/55/07/277385507.db2.gz WIDZMCBPLHOOHB-CQSZACIVSA-N 0 0 298.314 2.970 20 5 CFBDRN CC(C)C[C@H]1COCCN1c1ccc([N+](=O)[O-])cc1F ZINC000301599375 277503570 /nfs/dbraw/zinc/50/35/70/277503570.db2.gz UJEWTFMIOAPWQB-LBPRGKRZSA-N 0 0 282.315 2.985 20 5 CFBDRN C[C@@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])C1CC1 ZINC000178505005 277545908 /nfs/dbraw/zinc/54/59/08/277545908.db2.gz UXQHWFPWQCRUOR-SECBINFHSA-N 0 0 260.293 2.530 20 5 CFBDRN COC[C@@H]1CCCN(c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000450942413 277576501 /nfs/dbraw/zinc/57/65/01/277576501.db2.gz HBEBKLFQUWPYPL-LLVKDONJSA-N 0 0 282.315 2.987 20 5 CFBDRN COC[C@@H]1CCN(c2ccc([N+](=O)[O-])c3cnccc32)C1 ZINC000265777161 277577301 /nfs/dbraw/zinc/57/73/01/277577301.db2.gz FBKPTEARMYSZBC-LLVKDONJSA-N 0 0 287.319 2.616 20 5 CFBDRN CON(CC(C)(C)C)c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000295725465 277617800 /nfs/dbraw/zinc/61/78/00/277617800.db2.gz UYCYCZRHLRQPRH-UHFFFAOYSA-N 0 0 278.312 2.885 20 5 CFBDRN C[C@@H]1C[C@H](C)CN1c1ncc(Cl)cc1[N+](=O)[O-] ZINC000408402291 278004498 /nfs/dbraw/zinc/00/44/98/278004498.db2.gz LLMVFBXYFPTUOE-JGVFFNPUSA-N 0 0 255.705 2.878 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)c1cscn1 ZINC000103374912 278038514 /nfs/dbraw/zinc/03/85/14/278038514.db2.gz RITRQPASCULMAD-MRVPVSSYSA-N 0 0 289.316 2.643 20 5 CFBDRN CS[C@H]1CCN(c2ccc([N+](=O)[O-])cc2F)C1 ZINC000295076005 278162864 /nfs/dbraw/zinc/16/28/64/278162864.db2.gz XBCNFDANWLEILJ-VIFPVBQESA-N 0 0 256.302 2.676 20 5 CFBDRN C[C@@H](C(=O)N1CCCc2c([N+](=O)[O-])cccc21)C1CC1 ZINC000161921377 278191604 /nfs/dbraw/zinc/19/16/04/278191604.db2.gz NDOXEURVDMQWCZ-SNVBAGLBSA-N 0 0 274.320 2.920 20 5 CFBDRN CC1(C)CC[C@@H](Oc2c(F)cccc2[N+](=O)[O-])C1=O ZINC000412998768 278269352 /nfs/dbraw/zinc/26/93/52/278269352.db2.gz JWVYRJKYRGUEGL-SNVBAGLBSA-N 0 0 267.256 2.870 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])s2)CCS1 ZINC000081927139 278323100 /nfs/dbraw/zinc/32/31/00/278323100.db2.gz BOPXBTOTFYJGQV-UHFFFAOYSA-N 0 0 286.378 2.624 20 5 CFBDRN C[C@H]1CCC(=O)[C@H](Sc2ccc([N+](=O)[O-])cn2)C1 ZINC000450892599 278328580 /nfs/dbraw/zinc/32/85/80/278328580.db2.gz PBMNQFHPRXWNGE-GZMMTYOYSA-N 0 0 266.322 2.840 20 5 CFBDRN C[C@@H](N[C@@H](C)C(=O)NC(C)(C)C)c1ccccc1[N+](=O)[O-] ZINC000134824058 278361754 /nfs/dbraw/zinc/36/17/54/278361754.db2.gz GTZOIMVGTYOPLJ-MNOVXSKESA-N 0 0 293.367 2.549 20 5 CFBDRN C[C@H]1CCN(c2ccnc3c2cccc3[N+](=O)[O-])C1 ZINC000432317925 278370501 /nfs/dbraw/zinc/37/05/01/278370501.db2.gz NGVBQXBZNNJDIY-JTQLQIEISA-N 0 0 257.293 2.989 20 5 CFBDRN C[C@H]1CC[C@@]2(CCN(C(=O)c3cc([N+](=O)[O-])c[nH]3)C2)C1 ZINC000336507328 278379674 /nfs/dbraw/zinc/37/96/74/278379674.db2.gz KSIHPCDYRNIAAB-IINYFYTJSA-N 0 0 277.324 2.575 20 5 CFBDRN CC(C)(C)[C@@H]1CN(c2ccccc2[N+](=O)[O-])CCO1 ZINC000447805867 278512556 /nfs/dbraw/zinc/51/25/56/278512556.db2.gz KPWVNDGKVFNZDN-ZDUSSCGKSA-N 0 0 264.325 2.846 20 5 CFBDRN C[C@@H]1COCC[C@@H]1Nc1ccc([N+](=O)[O-])c2cnccc12 ZINC000277598047 278852650 /nfs/dbraw/zinc/85/26/50/278852650.db2.gz KWHUOLCLOUQIQE-MFKMUULPSA-N 0 0 287.319 2.980 20 5 CFBDRN CCC[C@@H]1CN(c2cc(OC)ccc2[N+](=O)[O-])CCO1 ZINC000270292576 278891129 /nfs/dbraw/zinc/89/11/29/278891129.db2.gz VATIEQPFEFYGHE-GFCCVEGCSA-N 0 0 280.324 2.609 20 5 CFBDRN CCC[C@@H]1CN(c2ccc(C)cc2[N+](=O)[O-])CCO1 ZINC000301832065 278891192 /nfs/dbraw/zinc/89/11/92/278891192.db2.gz IVHGGPKNQXCLML-GFCCVEGCSA-N 0 0 264.325 2.909 20 5 CFBDRN C[C@@H]1Cc2cc([N+](=O)[O-])ccc2N1C(=O)[C@@H]1CCSC1 ZINC000336537938 279012923 /nfs/dbraw/zinc/01/29/23/279012923.db2.gz RPIVTJTVHPZOLI-NXEZZACHSA-N 0 0 292.360 2.626 20 5 CFBDRN Cc1cnc(N[C@@H]2CCOC(C)(C)C2)c([N+](=O)[O-])c1 ZINC000160698350 279020307 /nfs/dbraw/zinc/02/03/07/279020307.db2.gz BOYWZBPDBNXNHY-SNVBAGLBSA-N 0 0 265.313 2.668 20 5 CFBDRN C[C@@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000348008317 279021018 /nfs/dbraw/zinc/02/10/18/279021018.db2.gz VLJMHOAVFXHSIM-YMTOWFKASA-N 0 0 285.303 2.586 20 5 CFBDRN C[C@@H]1[C@@H](C)CCN1c1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000278513409 279080296 /nfs/dbraw/zinc/08/02/96/279080296.db2.gz RQOXAQUVHMSOLF-DTWKUNHWSA-N 0 0 260.297 2.706 20 5 CFBDRN Cc1nc(N2CCC[C@@](C)(F)C2)ccc1[N+](=O)[O-] ZINC000294965877 279090681 /nfs/dbraw/zinc/09/06/81/279090681.db2.gz NVLUANFWUQQIEE-GFCCVEGCSA-N 0 0 253.277 2.627 20 5 CFBDRN Cc1nc(N2CCSC(C)(C)C2)ccc1[N+](=O)[O-] ZINC000268437447 279092684 /nfs/dbraw/zinc/09/26/84/279092684.db2.gz CGVCAFZGCYZGKT-UHFFFAOYSA-N 0 0 267.354 2.630 20 5 CFBDRN Cc1nc(N[C@H]2CCC23CCCC3)ncc1[N+](=O)[O-] ZINC000450482893 279102613 /nfs/dbraw/zinc/10/26/13/279102613.db2.gz DJVHCSZMAIEPJA-NSHDSACASA-N 0 0 262.313 2.828 20 5 CFBDRN CCN(CCOC)Cc1c(Cl)cccc1[N+](=O)[O-] ZINC000347337252 279210603 /nfs/dbraw/zinc/21/06/03/279210603.db2.gz ARTDMEZIAFLJAM-UHFFFAOYSA-N 0 0 272.732 2.717 20 5 CFBDRN Cc1sc2nc(C)nc(-n3cc([N+](=O)[O-])cn3)c2c1C ZINC000439599125 279285620 /nfs/dbraw/zinc/28/56/20/279285620.db2.gz WFXZLSRTKGSJQC-UHFFFAOYSA-N 0 0 289.320 2.710 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N[C@H]1CCc2cc(F)ccc21 ZINC000048962665 279385802 /nfs/dbraw/zinc/38/58/02/279385802.db2.gz CTNYIDDBZLGRAE-NSHDSACASA-N 0 0 276.271 2.567 20 5 CFBDRN Nc1c(C(=O)NC2CCC3(CC3)CC2)cccc1[N+](=O)[O-] ZINC000277696361 279693388 /nfs/dbraw/zinc/69/33/88/279693388.db2.gz VUFDTJHRUCAUTA-UHFFFAOYSA-N 0 0 289.335 2.630 20 5 CFBDRN C[C@H]1CC[C@@H](C(=O)N2CCc3c2cccc3[N+](=O)[O-])C1 ZINC000331578462 279743746 /nfs/dbraw/zinc/74/37/46/279743746.db2.gz LHJVOWKIJFSPGF-WDEREUQCSA-N 0 0 274.320 2.920 20 5 CFBDRN C[C@H]1CN(Cc2ccc(Cl)cc2[N+](=O)[O-])CCC1=O ZINC000168905768 279866181 /nfs/dbraw/zinc/86/61/81/279866181.db2.gz IONUYOVKOAZDSU-VIFPVBQESA-N 0 0 282.727 2.659 20 5 CFBDRN C[C@H]1COCC[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000277301551 279920355 /nfs/dbraw/zinc/92/03/55/279920355.db2.gz AVEBLXJOQXILSS-CMPLNLGQSA-N 0 0 287.319 2.980 20 5 CFBDRN C[C@H]1OCC[C@H]1Sc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC000297380217 280069051 /nfs/dbraw/zinc/06/90/51/280069051.db2.gz DINWVGVSOIEREP-RDDDGLTNSA-N 0 0 279.321 2.741 20 5 CFBDRN C[C@]1(CNC(=O)c2cc([N+](=O)[O-])c[nH]2)C[C@@H]1c1ccccc1 ZINC000355005274 280156528 /nfs/dbraw/zinc/15/65/28/280156528.db2.gz USNUMHKMBOWMBO-CZUORRHYSA-N 0 0 299.330 2.847 20 5 CFBDRN O=C(c1ccc([N+](=O)[O-])s1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000152757275 280192277 /nfs/dbraw/zinc/19/22/77/280192277.db2.gz HPXILDBMOHWZQJ-DTORHVGOSA-N 0 0 266.322 2.528 20 5 CFBDRN Cc1cc(C(=O)N2CCc3ccncc32)ccc1[N+](=O)[O-] ZINC000354143170 280339126 /nfs/dbraw/zinc/33/91/26/280339126.db2.gz LRFAGDQPPJIVAE-UHFFFAOYSA-N 0 0 283.287 2.501 20 5 CFBDRN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N[C@H]1C[C@@H](O)C1 ZINC000295149934 280356969 /nfs/dbraw/zinc/35/69/69/280356969.db2.gz FVLPNRLDCVNBHJ-OCAPTIKFSA-N 0 0 276.214 2.549 20 5 CFBDRN O=[N+]([O-])c1cc(Cl)ccc1CN1CCOC[C@H]1C1CC1 ZINC000132240949 280357418 /nfs/dbraw/zinc/35/74/18/280357418.db2.gz GATSKXCVCCACEJ-AWEZNQCLSA-N 0 0 296.754 2.859 20 5 CFBDRN O=[N+]([O-])c1cc(F)c(N[C@H]2CCSC2)c(F)c1 ZINC000227891064 280360015 /nfs/dbraw/zinc/36/00/15/280360015.db2.gz OHHLXCWVVZJMEP-LURJTMIESA-N 0 0 260.265 2.790 20 5 CFBDRN O=[N+]([O-])c1cc2ccccc2nc1N[C@H]1CC[C@H](F)C1 ZINC000340985304 280361312 /nfs/dbraw/zinc/36/13/12/280361312.db2.gz YNHQBANJYBKXPP-QWRGUYRKSA-N 0 0 275.283 2.867 20 5 CFBDRN O=[N+]([O-])c1ccc(NC2CC2)nc1-c1ccc(F)cc1 ZINC000362348425 280362457 /nfs/dbraw/zinc/36/24/57/280362457.db2.gz ISCDIJFUFSCCAZ-UHFFFAOYSA-N 0 0 273.267 2.792 20 5 CFBDRN O=[N+]([O-])c1ccc(Cl)cc1N1CCC[C@](F)(CO)C1 ZINC000286384856 280364972 /nfs/dbraw/zinc/36/49/72/280364972.db2.gz KSNUXWWHPDUYBQ-GFCCVEGCSA-N 0 0 288.706 2.549 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCOCC3(CC3)C2)c2cccnc21 ZINC000413248776 280367048 /nfs/dbraw/zinc/36/70/48/280367048.db2.gz SHKGRBWDDUJRRT-UHFFFAOYSA-N 0 0 299.330 2.760 20 5 CFBDRN O=[N+]([O-])c1ccc2[nH]c(N3CCC[C@@H]3C(F)F)nc2c1 ZINC000450535344 280371245 /nfs/dbraw/zinc/37/12/45/280371245.db2.gz MIOXKZPQIWZBAI-SNVBAGLBSA-N 0 0 282.250 2.705 20 5 CFBDRN O=[N+]([O-])c1cccc2c(N3CCSCC3)ccnc21 ZINC000432330057 280373481 /nfs/dbraw/zinc/37/34/81/280373481.db2.gz XCQQZINDIYKCGQ-UHFFFAOYSA-N 0 0 275.333 2.696 20 5 CFBDRN O=[N+]([O-])c1cccnc1N[C@@H]1CCCC(F)(F)C1 ZINC000362992191 280376842 /nfs/dbraw/zinc/37/68/42/280376842.db2.gz HVZVSIHDZKIBRP-MRVPVSSYSA-N 0 0 257.240 2.980 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2cccs2)nc1 ZINC000273619239 280379090 /nfs/dbraw/zinc/37/90/90/280379090.db2.gz OHFDXZKAQUWCDF-SNVBAGLBSA-N 0 0 276.321 2.788 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCOC3(C2)CCCCCC3)s1 ZINC000192492791 280380019 /nfs/dbraw/zinc/38/00/19/280380019.db2.gz NPESVVXSBDPTGN-UHFFFAOYSA-N 0 0 297.380 2.981 20 5 CFBDRN CCC1CCN(C(=O)c2ccc(NC)c([N+](=O)[O-])c2)CC1 ZINC000048411046 280430479 /nfs/dbraw/zinc/43/04/79/280430479.db2.gz AEKCMBMAYFETRM-UHFFFAOYSA-N 0 0 291.351 2.899 20 5 CFBDRN C[C@@H](NC(=O)c1ccccc1O)c1cccc([N+](=O)[O-])c1 ZINC000055465117 280449912 /nfs/dbraw/zinc/44/99/12/280449912.db2.gz BDFSZYXJOWXKDB-SNVBAGLBSA-N 0 0 286.287 2.791 20 5 CFBDRN CC(C)NC(=O)/C=C/c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000070705517 280484254 /nfs/dbraw/zinc/48/42/54/280484254.db2.gz VAWGFEKMYHSOMN-GQCTYLIASA-N 0 0 268.700 2.786 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(OCCOCC(F)(F)F)c1 ZINC000077541635 280524556 /nfs/dbraw/zinc/52/45/56/280524556.db2.gz QVCUZCXCBZBHSC-UHFFFAOYSA-N 0 0 279.214 2.861 20 5 CFBDRN CN(CCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])s1 ZINC000079142729 280533051 /nfs/dbraw/zinc/53/30/51/280533051.db2.gz KZYPXLCPNCOAKF-UHFFFAOYSA-N 0 0 290.344 2.971 20 5 CFBDRN Cc1[nH]ccc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000079630017 280535558 /nfs/dbraw/zinc/53/55/58/280535558.db2.gz UWIGAAQKXAOIPZ-UHFFFAOYSA-N 0 0 287.319 2.896 20 5 CFBDRN Cc1cncc(NC(=O)/C=C/c2ccccc2[N+](=O)[O-])c1 ZINC000079687328 280535775 /nfs/dbraw/zinc/53/57/75/280535775.db2.gz KGIGUCUKDKLCKI-VOTSOKGWSA-N 0 0 283.287 2.950 20 5 CFBDRN CCc1onc(C)c1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000089397537 280562180 /nfs/dbraw/zinc/56/21/80/280562180.db2.gz SYDGYZJFCXABKP-UHFFFAOYSA-N 0 0 275.264 2.706 20 5 CFBDRN O=C(NCCc1cccc([N+](=O)[O-])c1)c1cccs1 ZINC000089767518 280564483 /nfs/dbraw/zinc/56/44/83/280564483.db2.gz QKVYLFGGZREKEG-UHFFFAOYSA-N 0 0 276.317 2.629 20 5 CFBDRN Cc1cc(N2CCc3nc(N)sc3C2)ccc1[N+](=O)[O-] ZINC000301119532 280581130 /nfs/dbraw/zinc/58/11/30/280581130.db2.gz RQPLLUNKZXIEMQ-UHFFFAOYSA-N 0 0 290.348 2.505 20 5 CFBDRN CNc1ccc(C(=O)N2CCC[C@@H]2C(C)C)cc1[N+](=O)[O-] ZINC000109477432 280590935 /nfs/dbraw/zinc/59/09/35/280590935.db2.gz IMMUNAWJTQXTCZ-CYBMUJFWSA-N 0 0 291.351 2.897 20 5 CFBDRN CCC(C)(CC)NC(=O)c1cc([N+](=O)[O-])ccc1OC ZINC000112828145 280597684 /nfs/dbraw/zinc/59/76/84/280597684.db2.gz RKXQWMJDDPZDCF-UHFFFAOYSA-N 0 0 280.324 2.912 20 5 CFBDRN Cc1cc([N+](=O)[O-])cc(Cl)c1N1CCC[C@@H](O)CC1 ZINC000293945255 280656293 /nfs/dbraw/zinc/65/62/93/280656293.db2.gz GERJWZPQMBNODL-LLVKDONJSA-N 0 0 284.743 2.908 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCn2cccc2[C@@H]1C ZINC000195467403 280658383 /nfs/dbraw/zinc/65/83/83/280658383.db2.gz JNSYXDVXTADSRN-LBPRGKRZSA-N 0 0 299.330 2.922 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)NCCCC2CC2)c1 ZINC000128068079 280672720 /nfs/dbraw/zinc/67/27/20/280672720.db2.gz VTHNTFABAMVZTH-UHFFFAOYSA-N 0 0 278.308 2.523 20 5 CFBDRN Cc1cc(NC(=O)c2ccncc2F)ccc1[N+](=O)[O-] ZINC000128720102 280682560 /nfs/dbraw/zinc/68/25/60/280682560.db2.gz QWJSSOVODLVHCJ-UHFFFAOYSA-N 0 0 275.239 2.690 20 5 CFBDRN CC(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2C)nc1 ZINC000130903256 280709883 /nfs/dbraw/zinc/70/98/83/280709883.db2.gz OVENFYHCWPWCOQ-UHFFFAOYSA-N 0 0 299.286 2.753 20 5 CFBDRN CSCCCCNC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000131186499 280713922 /nfs/dbraw/zinc/71/39/22/280713922.db2.gz FCEZUNRBWKILFV-UHFFFAOYSA-N 0 0 286.328 2.607 20 5 CFBDRN COc1ccncc1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000131491199 280718949 /nfs/dbraw/zinc/71/89/49/280718949.db2.gz VUSZFPUEAPOOOQ-UHFFFAOYSA-N 0 0 287.275 2.559 20 5 CFBDRN CCC[C@H](O)CNc1cccc(OCC)c1[N+](=O)[O-] ZINC000131512576 280719072 /nfs/dbraw/zinc/71/90/72/280719072.db2.gz ZNQPJEHZRSFVRV-JTQLQIEISA-N 0 0 268.313 2.566 20 5 CFBDRN O=C(/C=C/c1cccc([N+](=O)[O-])c1)Nc1ccncc1F ZINC000132074274 280726146 /nfs/dbraw/zinc/72/61/46/280726146.db2.gz OITVRWDNMJXOAT-SNAWJCMRSA-N 0 0 287.250 2.781 20 5 CFBDRN Cc1[nH]nc(NC(=O)C=Cc2ccccc2[N+](=O)[O-])c1C ZINC000134560142 280760525 /nfs/dbraw/zinc/76/05/25/280760525.db2.gz XLLVTRFMEHPIFX-BQYQJAHWSA-N 0 0 286.291 2.587 20 5 CFBDRN CC[C@]1(C)C[C@@H]1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000151071723 280799339 /nfs/dbraw/zinc/79/93/39/280799339.db2.gz MAWGJKUYBSPBKX-GDBMZVCRSA-N 0 0 288.347 2.916 20 5 CFBDRN CCC[C@H](CC)NC(=O)CN(C)c1ccccc1[N+](=O)[O-] ZINC000172899909 280810699 /nfs/dbraw/zinc/81/06/99/280810699.db2.gz QUQGLHLMMKVWRB-LBPRGKRZSA-N 0 0 293.367 2.726 20 5 CFBDRN CC(F)(F)CCNC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493486293 280821824 /nfs/dbraw/zinc/82/18/24/280821824.db2.gz NBWAZLUOYCJCGU-DAXSKMNVSA-N 0 0 284.262 2.770 20 5 CFBDRN CN(CCn1cc([N+](=O)[O-])c(C2CC2)n1)c1ccccc1 ZINC000185169800 280833936 /nfs/dbraw/zinc/83/39/36/280833936.db2.gz XGDCIEWOFWJXIE-UHFFFAOYSA-N 0 0 286.335 2.805 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCC(C)(C)O1 ZINC000187369917 280855424 /nfs/dbraw/zinc/85/54/24/280855424.db2.gz YADMUYYUOFAZGQ-GFCCVEGCSA-N 0 0 292.335 2.591 20 5 CFBDRN Cc1c(NC(=O)/C=C/c2ccc[nH]2)cccc1[N+](=O)[O-] ZINC000189533603 280876726 /nfs/dbraw/zinc/87/67/26/280876726.db2.gz ZRVPUFQQNGDTEF-BQYQJAHWSA-N 0 0 271.276 2.883 20 5 CFBDRN CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCO1 ZINC000190056188 280884342 /nfs/dbraw/zinc/88/43/42/280884342.db2.gz ADZYAZGKSSTGNV-ZDUSSCGKSA-N 0 0 292.335 2.718 20 5 CFBDRN CC[C@H]1CN(C(=O)c2ccccc2[N+](=O)[O-])C[C@H](CC)O1 ZINC000421952655 288275374 /nfs/dbraw/zinc/27/53/74/288275374.db2.gz MIQOAMKNMMJGEZ-RYUDHWBXSA-N 0 0 292.335 2.624 20 5 CFBDRN CCN(CC(C)C)C(=O)c1ccccc1[N+](=O)[O-] ZINC000192626358 280910225 /nfs/dbraw/zinc/91/02/25/280910225.db2.gz CTMVXIJTJZWQCT-UHFFFAOYSA-N 0 0 250.298 2.713 20 5 CFBDRN C[C@@H](c1ccncc1)N(C)C(=O)c1cccc([N+](=O)[O-])c1 ZINC000194634836 280924779 /nfs/dbraw/zinc/92/47/79/280924779.db2.gz SHTCNFDZVGSRQT-NSHDSACASA-N 0 0 285.303 2.823 20 5 CFBDRN Cc1ccc([C@]2(C)CCN(c3ncc([N+](=O)[O-])cn3)C2)cc1 ZINC000369561096 281008018 /nfs/dbraw/zinc/00/80/18/281008018.db2.gz MPNZXFWQNQRCCA-MRXNPFEDSA-N 0 0 298.346 2.861 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCS[C@@H](C)CC2)n1 ZINC000191843758 281012967 /nfs/dbraw/zinc/01/29/67/281012967.db2.gz YRZFISLOHKWTJO-JTQLQIEISA-N 0 0 267.354 2.630 20 5 CFBDRN COc1ccc([N+](=O)[O-])cc1CNC(=O)/C=C\C(C)(C)C ZINC000255238849 281091136 /nfs/dbraw/zinc/09/11/36/281091136.db2.gz UNYOGMXCEJONRD-FPLPWBNLSA-N 0 0 292.335 2.822 20 5 CFBDRN Cc1cncc(/C=C\C(=O)NCc2cccc([N+](=O)[O-])c2)c1 ZINC000255424781 281097984 /nfs/dbraw/zinc/09/79/84/281097984.db2.gz IMOCAOSYRGTKLG-WAYWQWQTSA-N 0 0 297.314 2.628 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1ccc([N+](=O)[O-])cn1)CO2 ZINC000301667667 281098261 /nfs/dbraw/zinc/09/82/61/281098261.db2.gz MTYVLMQPKQLCRX-LBPRGKRZSA-N 0 0 271.276 2.844 20 5 CFBDRN CC1(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])CCC1 ZINC000255776103 281107623 /nfs/dbraw/zinc/10/76/23/281107623.db2.gz UAWULMFPEPBUDY-FPLPWBNLSA-N 0 0 260.293 2.667 20 5 CFBDRN Cc1nc(NC(=O)/C=C\c2ccccc2)ccc1[N+](=O)[O-] ZINC000255832402 281107846 /nfs/dbraw/zinc/10/78/46/281107846.db2.gz WGRWGZVAPIXHJE-YFHOEESVSA-N 0 0 283.287 2.950 20 5 CFBDRN Cc1cc(F)c(NC(=O)C[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000282384897 281131358 /nfs/dbraw/zinc/13/13/58/281131358.db2.gz JGCULMXKVNJBRU-JTQLQIEISA-N 0 0 296.298 2.940 20 5 CFBDRN CC(C)c1nnc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)[nH]1 ZINC000296231128 281142685 /nfs/dbraw/zinc/14/26/85/281142685.db2.gz CBDZUDGIIDSFMS-JTQLQIEISA-N 0 0 289.339 2.687 20 5 CFBDRN C[C@@H]1CCN(C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)C1 ZINC000299674406 281146662 /nfs/dbraw/zinc/14/66/62/281146662.db2.gz RHMDSCAMHDDRHJ-SNVBAGLBSA-N 0 0 289.335 2.651 20 5 CFBDRN CCOc1cc(N[C@H]2CCOC[C@H]2C)ccc1[N+](=O)[O-] ZINC000312860460 281155307 /nfs/dbraw/zinc/15/53/07/281155307.db2.gz XMZAVHMUQKDBCE-PWSUYJOCSA-N 0 0 280.324 2.830 20 5 CFBDRN CC[C@H]1CN(c2ccc(C)cc2[N+](=O)[O-])CCO1 ZINC000080544337 281176964 /nfs/dbraw/zinc/17/69/64/281176964.db2.gz HTAJAIZEJRRCPM-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN CC[C@H]1CN(c2cccc(C)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000302490997 281177934 /nfs/dbraw/zinc/17/79/34/281177934.db2.gz JZNJRUMZEYWYMT-RYUDHWBXSA-N 0 0 264.325 2.907 20 5 CFBDRN CC(=O)c1ccc([N+](=O)[O-])cc1N(C)CCc1ccncc1 ZINC000360959777 281185344 /nfs/dbraw/zinc/18/53/44/281185344.db2.gz INBHUFLEAFZSMJ-UHFFFAOYSA-N 0 0 299.330 2.871 20 5 CFBDRN O=[N+]([O-])c1ccc2c(c1N1C[C@H]3CCCC[C@H]31)CCN2 ZINC000368185830 281189065 /nfs/dbraw/zinc/18/90/65/281189065.db2.gz WPELECMGWQYDFH-ZWNOBZJWSA-N 0 0 273.336 2.942 20 5 CFBDRN Cc1cc(N[C@H](CO)C(C)(C)C)c(F)cc1[N+](=O)[O-] ZINC000393938923 281193834 /nfs/dbraw/zinc/19/38/34/281193834.db2.gz ZXAZWOUSAKCOCL-GFCCVEGCSA-N 0 0 270.304 2.861 20 5 CFBDRN Cc1ccc(OCCC2(O)CCC2)cc1[N+](=O)[O-] ZINC000396755544 281196945 /nfs/dbraw/zinc/19/69/45/281196945.db2.gz BHERRTSHSWHDBP-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN Cc1cc(OCCC2(O)CCC2)ccc1[N+](=O)[O-] ZINC000396758558 281197022 /nfs/dbraw/zinc/19/70/22/281197022.db2.gz GAIHENVCRQPKCO-UHFFFAOYSA-N 0 0 251.282 2.587 20 5 CFBDRN Cc1nn(C)c(NC[C@H](C)CC(F)(F)F)c1[N+](=O)[O-] ZINC000396923956 281199278 /nfs/dbraw/zinc/19/92/78/281199278.db2.gz IJNMAYQFTQXVGY-ZCFIWIBFSA-N 0 0 280.250 2.637 20 5 CFBDRN Cc1nc(N[C@@H]2CS[C@H](C)C2)ccc1[N+](=O)[O-] ZINC000397990055 281203412 /nfs/dbraw/zinc/20/34/12/281203412.db2.gz WMKWLWPCFIRAOG-APPZFPTMSA-N 0 0 253.327 2.604 20 5 CFBDRN COc1cc(N[C@H](C)CCCCO)c(F)cc1[N+](=O)[O-] ZINC000398589990 281207219 /nfs/dbraw/zinc/20/72/19/281207219.db2.gz OVGDFEDKARAIQL-SECBINFHSA-N 0 0 286.303 2.706 20 5 CFBDRN C[C@@H]1C[C@@H](CO)CN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000398805748 281209378 /nfs/dbraw/zinc/20/93/78/281209378.db2.gz PLEJCLLWHMIIBP-NXEZZACHSA-N 0 0 284.743 2.703 20 5 CFBDRN C[C@H]1C[C@H](CO)CN(c2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000398805750 281209452 /nfs/dbraw/zinc/20/94/52/281209452.db2.gz PLEJCLLWHMIIBP-UWVGGRQHSA-N 0 0 284.743 2.703 20 5 CFBDRN Cc1cc(C(=O)N[C@@H]2CC23CCCC3)ccc1[N+](=O)[O-] ZINC000430237947 281232546 /nfs/dbraw/zinc/23/25/46/281232546.db2.gz FZYKMNMECIDERK-CYBMUJFWSA-N 0 0 274.320 2.966 20 5 CFBDRN Cc1c(C(=O)N[C@H]2CC23CCCC3)cccc1[N+](=O)[O-] ZINC000430237303 281232653 /nfs/dbraw/zinc/23/26/53/281232653.db2.gz DRHSUBDACMGAAJ-ZDUSSCGKSA-N 0 0 274.320 2.966 20 5 CFBDRN O=[N+]([O-])c1ccc(/C=C/c2ccc3c(c2)OCCO3)nc1 ZINC000439533842 281238926 /nfs/dbraw/zinc/23/89/26/281238926.db2.gz ZKASTKGHWSYHFN-HNQUOIGGSA-N 0 0 284.271 2.931 20 5 CFBDRN CC(C)[C@@H]1C[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000459281195 281255909 /nfs/dbraw/zinc/25/59/09/281255909.db2.gz NZIBEHOGWJFTKJ-NWDGAFQWSA-N 0 0 263.297 2.761 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N1CCC(n2cccn2)CC1 ZINC000301178841 281258996 /nfs/dbraw/zinc/25/89/96/281258996.db2.gz MGLCZNZMCVHGAR-UHFFFAOYSA-N 0 0 286.335 2.941 20 5 CFBDRN CC1(C)CN(c2c(Cl)cccc2[N+](=O)[O-])CC[C@H]1O ZINC000293182571 281265825 /nfs/dbraw/zinc/26/58/25/281265825.db2.gz TYMCUXDMYRWVJO-LLVKDONJSA-N 0 0 284.743 2.845 20 5 CFBDRN CC1(C)CN(c2ncccc2[N+](=O)[O-])CC2(CCCC2)O1 ZINC000302637561 281272374 /nfs/dbraw/zinc/27/23/74/281272374.db2.gz HQEZZHFCDLPKFK-UHFFFAOYSA-N 0 0 291.351 2.918 20 5 CFBDRN Cc1cccc2c1CN(c1ccc([N+](=O)[O-])cn1)CC2 ZINC000277197833 281275682 /nfs/dbraw/zinc/27/56/82/281275682.db2.gz PGMUUJOACUQWTJ-UHFFFAOYSA-N 0 0 269.304 2.861 20 5 CFBDRN CC(C)CO[C@@H](C)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000515602439 281296190 /nfs/dbraw/zinc/29/61/90/281296190.db2.gz CMPFUTIWMYSXAF-NSHDSACASA-N 0 0 292.335 2.545 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N1C[C@@H]2CCC[C@@H]2C1 ZINC000515707380 281296730 /nfs/dbraw/zinc/29/67/30/281296730.db2.gz GLZNTGOQGLZFPR-PHIMTYICSA-N 0 0 262.309 2.840 20 5 CFBDRN Cc1noc(C)c1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000515728724 281296814 /nfs/dbraw/zinc/29/68/14/281296814.db2.gz OHKCITITVDZXEF-MRVPVSSYSA-N 0 0 289.291 2.942 20 5 CFBDRN Cc1cc([N+](=O)[O-])cn(C[C@H]2CCCC[C@@H]2C)c1=O ZINC000516194257 281300341 /nfs/dbraw/zinc/30/03/41/281300341.db2.gz BATYINFWUTZHIQ-CMPLNLGQSA-N 0 0 264.325 2.891 20 5 CFBDRN Cc1ccn(C[C@H]2CCc3ccccc32)c(=O)c1[N+](=O)[O-] ZINC000335002123 281430137 /nfs/dbraw/zinc/43/01/37/281430137.db2.gz LCJZUZBIWROKJG-CYBMUJFWSA-N 0 0 284.315 2.795 20 5 CFBDRN CN(C(=O)c1ccc([N+](=O)[O-])cn1)c1cc(F)ccc1F ZINC000532391663 281453078 /nfs/dbraw/zinc/45/30/78/281453078.db2.gz PRSWUOOYEVDUGM-UHFFFAOYSA-N 0 0 293.229 2.545 20 5 CFBDRN CN(Cc1ccccc1[N+](=O)[O-])c1cccc(O)c1 ZINC000533061806 281461686 /nfs/dbraw/zinc/46/16/86/281461686.db2.gz XXJQTTLHIMGTKK-UHFFFAOYSA-N 0 0 258.277 2.937 20 5 CFBDRN CCC[C@@H](C)[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000533184623 281463487 /nfs/dbraw/zinc/46/34/87/281463487.db2.gz MCNFBPXXBNQYKQ-DGCLKSJQSA-N 0 0 293.367 2.974 20 5 CFBDRN CCc1cnc(COc2ccc(C(C)=O)cc2[N+](=O)[O-])o1 ZINC000533528193 281469397 /nfs/dbraw/zinc/46/93/97/281469397.db2.gz XLDTWBCWWRCQBJ-UHFFFAOYSA-N 0 0 290.275 2.927 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 ZINC000330653982 288331032 /nfs/dbraw/zinc/33/10/32/288331032.db2.gz OETCRNVZLLRTKN-YPMHNXCESA-N 0 0 292.335 2.543 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1ccc(F)cc1[N+](=O)[O-] ZINC000268062059 288335804 /nfs/dbraw/zinc/33/58/04/288335804.db2.gz YYVVEWSLFCEDIK-KOLCDFICSA-N 0 0 268.288 2.738 20 5 CFBDRN CC[C@H]1CO[C@H](C)CN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000268050847 288335852 /nfs/dbraw/zinc/33/58/52/288335852.db2.gz SMVIHIPWBWUPKC-ZJUUUORDSA-N 0 0 295.295 2.507 20 5 CFBDRN C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cn2C)[C@@H]2CCCC[C@H]21 ZINC000543916154 281658761 /nfs/dbraw/zinc/65/87/61/281658761.db2.gz FKXFJGZVKZJNPM-KGYLQXTDSA-N 0 0 291.351 2.584 20 5 CFBDRN C[C@H]1C[C@@H]1c1noc(-c2ccc([N+](=O)[O-])s2)n1 ZINC000546476291 281710140 /nfs/dbraw/zinc/71/01/40/281710140.db2.gz YKXOADTZIOOLEC-WDSKDSINSA-N 0 0 251.267 2.830 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(-c2n[nH]c3ccc([N+](=O)[O-])cc32)n1 ZINC000546471841 281710171 /nfs/dbraw/zinc/71/01/71/281710171.db2.gz ABHMPKYEOFWDMV-HTRCEHHLSA-N 0 0 285.263 2.645 20 5 CFBDRN C[C@@H](c1cnn(C)c1)c1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000546938951 281723396 /nfs/dbraw/zinc/72/33/96/281723396.db2.gz JPROCVDWEVOCDQ-VIFPVBQESA-N 0 0 299.290 2.530 20 5 CFBDRN C[C@@H]1C[C@H]1c1noc(COc2cccc([N+](=O)[O-])c2)n1 ZINC000547181270 281728072 /nfs/dbraw/zinc/72/80/72/281728072.db2.gz OFXIMTJUEWLEIH-LDYMZIIASA-N 0 0 275.264 2.680 20 5 CFBDRN CC[C@H](C)N(C(=O)c1cc([N+](=O)[O-])cn1C)[C@@H](C)CC ZINC000547349513 281734007 /nfs/dbraw/zinc/73/40/07/281734007.db2.gz URLIRQBHBZMBTG-QWRGUYRKSA-N 0 0 281.356 2.973 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCO[C@H](COC(C)C)C2)c1 ZINC000547439431 281738971 /nfs/dbraw/zinc/73/89/71/281738971.db2.gz RVGAEXPNQOPBJZ-ZDUSSCGKSA-N 0 0 294.351 2.533 20 5 CFBDRN CNc1ccc(C(=O)N2CCCC[C@@H](C)C2)cc1[N+](=O)[O-] ZINC000548148680 281771927 /nfs/dbraw/zinc/77/19/27/281771927.db2.gz ZHVNNUYGXKJMLA-LLVKDONJSA-N 0 0 291.351 2.899 20 5 CFBDRN CC1(C)CC(CNC(=O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000548711385 281796724 /nfs/dbraw/zinc/79/67/24/281796724.db2.gz GUSGKHOPGBPDFQ-UHFFFAOYSA-N 0 0 292.335 2.526 20 5 CFBDRN CC(C)(C)[C@H]1CCCN(C(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000549175039 281813515 /nfs/dbraw/zinc/81/35/15/281813515.db2.gz YZOWSAQXMZUWAS-JTQLQIEISA-N 0 0 294.355 2.606 20 5 CFBDRN COC(=O)c1cc(NCC(C)(F)F)cc(C)c1[N+](=O)[O-] ZINC000549192722 281813535 /nfs/dbraw/zinc/81/35/35/281813535.db2.gz BKYFTIOBIGBTFR-UHFFFAOYSA-N 0 0 288.250 2.757 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CC[C@H](OC(F)F)C1 ZINC000553249972 281873509 /nfs/dbraw/zinc/87/35/09/281873509.db2.gz INCTWNYDMRYYPY-ZETCQYMHSA-N 0 0 276.214 2.552 20 5 CFBDRN Cn1cc([N+](=O)[O-])cc1C(=O)NCc1coc2ccccc12 ZINC000554410996 281892416 /nfs/dbraw/zinc/89/24/16/281892416.db2.gz AMSWUSMAIXIABZ-UHFFFAOYSA-N 0 0 299.286 2.610 20 5 CFBDRN O=[N+]([O-])c1ccc(Nc2c[nH]cn2)nc1-c1ccc(F)cc1 ZINC000555899456 281923048 /nfs/dbraw/zinc/92/30/48/281923048.db2.gz RZPSEFDTENKKQM-UHFFFAOYSA-N 0 0 299.265 2.685 20 5 CFBDRN Cc1nc(N2CCCCC(C)(C)C2)ncc1[N+](=O)[O-] ZINC000450475403 281923844 /nfs/dbraw/zinc/92/38/44/281923844.db2.gz XMQWRHXBEDDOHU-UHFFFAOYSA-N 0 0 264.329 2.710 20 5 CFBDRN Cc1nc(N2CCOC3(CCCCC3)C2)ccc1[N+](=O)[O-] ZINC000268484772 281932027 /nfs/dbraw/zinc/93/20/27/281932027.db2.gz MESNTSCIPCKUIA-UHFFFAOYSA-N 0 0 291.351 2.838 20 5 CFBDRN Cc1nc(N2CC[C@@]3(C)[C@@H](C2)C3(F)F)ccc1[N+](=O)[O-] ZINC000291894381 281937547 /nfs/dbraw/zinc/93/75/47/281937547.db2.gz JSWMJXDTHAPIIE-PWSUYJOCSA-N 0 0 283.278 2.780 20 5 CFBDRN C[C@H](NC(=O)c1ccc([N+](=O)[O-])cn1)[C@H]1CCC[C@@H](C)C1 ZINC000556693465 281941334 /nfs/dbraw/zinc/94/13/34/281941334.db2.gz ANLNRLKLOIMBAI-WOPDTQHZSA-N 0 0 291.351 2.934 20 5 CFBDRN Cc1nc(N2C[C@H](C)S[C@@H](C)C2)ccc1[N+](=O)[O-] ZINC000301672823 281946534 /nfs/dbraw/zinc/94/65/34/281946534.db2.gz RFAWFLXOIWDVLN-IUCAKERBSA-N 0 0 267.354 2.628 20 5 CFBDRN C[C@@H]1COC[C@@H](C)N1Cc1ccc([N+](=O)[O-])cc1Cl ZINC000182187081 288375445 /nfs/dbraw/zinc/37/54/45/288375445.db2.gz GPXWKIYCSYOOII-NXEZZACHSA-N 0 0 284.743 2.857 20 5 CFBDRN Cc1nc(N[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)ccc1[N+](=O)[O-] ZINC000269974208 281978835 /nfs/dbraw/zinc/97/88/35/281978835.db2.gz YIQKZHRHJAUGIX-HONMWMINSA-N 0 0 289.335 2.668 20 5 CFBDRN CS[C@@H](C)CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000558693368 281991586 /nfs/dbraw/zinc/99/15/86/281991586.db2.gz LLTMZOXATPETLG-VHSXEESVSA-N 0 0 282.365 2.566 20 5 CFBDRN CC(C)CCC1CCN(C(=O)c2c[nH]nc2[N+](=O)[O-])CC1 ZINC000558764275 281996119 /nfs/dbraw/zinc/99/61/19/281996119.db2.gz JCRVRSXTBYJGOL-UHFFFAOYSA-N 0 0 294.355 2.606 20 5 CFBDRN C[C@@H](c1nc(-c2ncc[nH]2)no1)c1cccc([N+](=O)[O-])c1 ZINC000560455305 282027650 /nfs/dbraw/zinc/02/76/50/282027650.db2.gz ZHJUUEJLRNIPRT-MRVPVSSYSA-N 0 0 285.263 2.520 20 5 CFBDRN O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2ccccn2)c(F)c1 ZINC000560814626 282042118 /nfs/dbraw/zinc/04/21/18/282042118.db2.gz BKXCMOGRIBAJFU-CYBMUJFWSA-N 0 0 288.282 2.865 20 5 CFBDRN COC(=O)c1cc([N+](=O)[O-])c(C)cc1N1CCC=C(C)C1 ZINC000561318602 282052755 /nfs/dbraw/zinc/05/27/55/282052755.db2.gz OFELFFONUZJSNE-UHFFFAOYSA-N 0 0 290.319 2.846 20 5 CFBDRN C[C@@H]1SCCN(c2ccc([N+](=O)[O-])cc2)[C@H]1C ZINC000301262122 288947415 /nfs/dbraw/zinc/94/74/15/288947415.db2.gz DDJDAIGVLIPCJO-UWVGGRQHSA-N 0 0 252.339 2.925 20 5 CFBDRN O=C(NCC(C1CC1)C1CC1)c1ccc(F)cc1[N+](=O)[O-] ZINC000313937606 290018182 /nfs/dbraw/zinc/01/81/82/290018182.db2.gz NUCUOAIJZMJADN-UHFFFAOYSA-N 0 0 292.310 2.900 20 5 CFBDRN Cc1ccnc(N2Cc3ccccc3CO2)c1[N+](=O)[O-] ZINC000367934278 292782818 /nfs/dbraw/zinc/78/28/18/292782818.db2.gz GRFFUQKIIAXBBL-UHFFFAOYSA-N 0 0 271.276 2.750 20 5 CFBDRN C[C@@H](c1nc([C@@H]2CCOC2)no1)c1cccc([N+](=O)[O-])c1 ZINC000562413637 290041530 /nfs/dbraw/zinc/04/15/30/290041530.db2.gz WFUBCGPENWSIQY-MWLCHTKSSA-N 0 0 289.291 2.634 20 5 CFBDRN Cc1c(CN(C)c2ccc(Cl)cc2[N+](=O)[O-])cnn1C ZINC000562503179 290048390 /nfs/dbraw/zinc/04/83/90/290048390.db2.gz LTTNLQJOSOEBAD-UHFFFAOYSA-N 0 0 294.742 2.927 20 5 CFBDRN CCO[C@@H]1C[C@@H]1Nc1nccc2c1cccc2[N+](=O)[O-] ZINC000562532279 290052004 /nfs/dbraw/zinc/05/20/04/290052004.db2.gz RKYZAJALMOFMQY-WCQYABFASA-N 0 0 273.292 2.732 20 5 CFBDRN CC(C)[C@@H]1[C@@H](C(C)C)CN1C(=O)c1cc([N+](=O)[O-])cn1C ZINC000562580967 290057397 /nfs/dbraw/zinc/05/73/97/290057397.db2.gz ROBZQAKLVIBJOU-TZMCWYRMSA-N 0 0 293.367 2.686 20 5 CFBDRN Cc1c(CC(=O)N[C@@H]2CCC[C@H](F)C2)cccc1[N+](=O)[O-] ZINC000563171768 290112548 /nfs/dbraw/zinc/11/25/48/290112548.db2.gz CBCBPOKIBPBCEI-QWHCGFSZSA-N 0 0 294.326 2.843 20 5 CFBDRN C[C@H](O)CCN[C@H](c1ccc([N+](=O)[O-])cc1)C(F)(F)F ZINC000563264233 290125827 /nfs/dbraw/zinc/12/58/27/290125827.db2.gz OXFNEEWICHZHSE-GZMMTYOYSA-N 0 0 292.257 2.559 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CCN(Cc1ccncc1F)C2 ZINC000563859914 290178898 /nfs/dbraw/zinc/17/88/98/290178898.db2.gz CRAMCDFFBJAMOX-UHFFFAOYSA-N 0 0 287.294 2.687 20 5 CFBDRN C[C@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1c[nH]nc1[N+](=O)[O-] ZINC000564030852 290189475 /nfs/dbraw/zinc/18/94/75/290189475.db2.gz YNLRWZHHBQBKMY-UWVGGRQHSA-N 0 0 294.355 2.605 20 5 CFBDRN CCO[C@H]1C[C@@H]1Nc1ccc([N+](=O)[O-])c2cccnc12 ZINC000564036259 290189504 /nfs/dbraw/zinc/18/95/04/290189504.db2.gz NGJIFHRUHUIKGD-AAEUAGOBSA-N 0 0 273.292 2.732 20 5 CFBDRN Cc1c(CNC(=O)N(C)[C@@H](C)C2CC2)cccc1[N+](=O)[O-] ZINC000564388946 290206907 /nfs/dbraw/zinc/20/69/07/290206907.db2.gz YLQTVWWOADXSOJ-NSHDSACASA-N 0 0 291.351 2.843 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCOCC1CCC1 ZINC000564649980 290220827 /nfs/dbraw/zinc/22/08/27/290220827.db2.gz DIKAIKIJLOQPTL-UHFFFAOYSA-N 0 0 268.288 2.963 20 5 CFBDRN CC[C@H](F)Cn1ccc2c(cccc2[N+](=O)[O-])c1=O ZINC000564971195 290253783 /nfs/dbraw/zinc/25/37/83/290253783.db2.gz SUDYLLADQRJTEX-VIFPVBQESA-N 0 0 264.256 2.658 20 5 CFBDRN CO[C@H](Cn1cc(-c2ccccc2[N+](=O)[O-])cn1)C1CC1 ZINC000565215375 290282738 /nfs/dbraw/zinc/28/27/38/290282738.db2.gz CDDNYKZDSRMQJR-OAHLLOKOSA-N 0 0 287.319 2.883 20 5 CFBDRN CC(C)Oc1nc(Sc2ncc[nH]2)ccc1[N+](=O)[O-] ZINC000565490344 290305273 /nfs/dbraw/zinc/30/52/73/290305273.db2.gz HICBWDNNRSQYTG-UHFFFAOYSA-N 0 0 280.309 2.651 20 5 CFBDRN Cc1nc(N[C@@H]2CCC[C@H](F)C2)ccc1[N+](=O)[O-] ZINC000565713558 290319458 /nfs/dbraw/zinc/31/94/58/290319458.db2.gz HTQAAAPTYYESNV-VHSXEESVSA-N 0 0 253.277 2.991 20 5 CFBDRN C[C@@H](c1nc([C@H]2CCCO2)no1)c1cccc([N+](=O)[O-])c1 ZINC000565918225 290334292 /nfs/dbraw/zinc/33/42/92/290334292.db2.gz NWJPOINDFIAJKI-BXKDBHETSA-N 0 0 289.291 2.981 20 5 CFBDRN O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1C1CCC1 ZINC000566308784 290370082 /nfs/dbraw/zinc/37/00/82/290370082.db2.gz UKQIELHWFXMLRW-UONOGXRCSA-N 0 0 274.320 2.647 20 5 CFBDRN C[C@@H](O)[C@H](Nc1ncc([N+](=O)[O-])s1)c1ccccc1F ZINC000566329883 290371613 /nfs/dbraw/zinc/37/16/13/290371613.db2.gz ODTUZGKZUPDBQS-HQJQHLMTSA-N 0 0 297.311 2.724 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N(C)Cc1nccs1 ZINC000566480135 290392504 /nfs/dbraw/zinc/39/25/04/290392504.db2.gz ZCEKLJFEQOEWGI-UHFFFAOYSA-N 0 0 279.321 2.696 20 5 CFBDRN CC(C)OC(=O)CSCc1ccc(F)cc1[N+](=O)[O-] ZINC000566498241 290395479 /nfs/dbraw/zinc/39/54/79/290395479.db2.gz XGDBYGGOVSKSPK-UHFFFAOYSA-N 0 0 287.312 2.919 20 5 CFBDRN CCn1nc(C)c(CNc2ncc([N+](=O)[O-])cc2F)c1C ZINC000567469409 290465570 /nfs/dbraw/zinc/46/55/70/290465570.db2.gz ZYWXWUKPVUPOKX-UHFFFAOYSA-N 0 0 293.302 2.574 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N[C@H]1CCC[C@@H](F)C1 ZINC000567767702 290493494 /nfs/dbraw/zinc/49/34/94/290493494.db2.gz VFMFQJVHCQAKSB-ZJUUUORDSA-N 0 0 270.308 2.812 20 5 CFBDRN CCc1cnccc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000568347723 290548257 /nfs/dbraw/zinc/54/82/57/290548257.db2.gz QTULKUGYUHDYPY-LLVKDONJSA-N 0 0 299.330 2.716 20 5 CFBDRN COC(=O)c1cc(C)nc(NC(C)(C)C(C)C)c1[N+](=O)[O-] ZINC000568402248 290551859 /nfs/dbraw/zinc/55/18/59/290551859.db2.gz XLFGYTDILQTXRY-UHFFFAOYSA-N 0 0 295.339 2.931 20 5 CFBDRN Cc1cnc(N2CCC[C@H]2C(F)F)c([N+](=O)[O-])c1 ZINC000343944626 292907268 /nfs/dbraw/zinc/90/72/68/292907268.db2.gz KNVSVYWYKRMUKN-QMMMGPOBSA-N 0 0 257.240 2.532 20 5 CFBDRN COC[C@H](C)[C@H](C)C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] ZINC000360729003 293087152 /nfs/dbraw/zinc/08/71/52/293087152.db2.gz MKZXNOGPCBQQAJ-SRVKXCTJSA-N 0 0 294.351 2.691 20 5 CFBDRN Cc1n[nH]c(C)c1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 ZINC000342919373 293103628 /nfs/dbraw/zinc/10/36/28/293103628.db2.gz AFBYDODCCRDXLZ-UHFFFAOYSA-N 0 0 299.290 2.668 20 5 CFBDRN Cc1nc(CN(C)[C@@H](C)c2cc([N+](=O)[O-])ccc2C)no1 ZINC000183723553 293258746 /nfs/dbraw/zinc/25/87/46/293258746.db2.gz MIKDBUSHICOKCQ-JTQLQIEISA-N 0 0 290.323 2.788 20 5 CFBDRN Cc1nn(C)c(N[C@@H]2CCC[C@H](C)[C@H]2C)c1[N+](=O)[O-] ZINC000218168829 293744935 /nfs/dbraw/zinc/74/49/35/293744935.db2.gz BGGDVJPBMCTZDA-IQJOONFLSA-N 0 0 266.345 2.873 20 5 CFBDRN Cc1nn(C)cc1[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1 ZINC000375874372 293791245 /nfs/dbraw/zinc/79/12/45/293791245.db2.gz CUKXJNZQRORULX-HNNXBMFYSA-N 0 0 286.335 2.978 20 5 CFBDRN C[C@@H]1CN(c2cc(N)ccc2[N+](=O)[O-])Cc2ccccc2O1 ZINC000292236268 297077706 /nfs/dbraw/zinc/07/77/06/297077706.db2.gz JDLGRQBPEXENAS-LLVKDONJSA-N 0 0 299.330 2.965 20 5 CFBDRN Cn1cccc1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000129840400 294376416 /nfs/dbraw/zinc/37/64/16/294376416.db2.gz IDEQPHVIYIFVON-UHFFFAOYSA-N 0 0 299.330 2.738 20 5 CFBDRN CO[C@H]1CN(c2cccc(F)c2[N+](=O)[O-])CC[C@@H]1C ZINC000221022854 294578662 /nfs/dbraw/zinc/57/86/62/294578662.db2.gz JUYMYCQUBLBPJD-CABZTGNLSA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@H]1CN(c2c(F)cccc2[N+](=O)[O-])CC[C@@H]1C ZINC000286708014 294579061 /nfs/dbraw/zinc/57/90/61/294579061.db2.gz OUIPOOYRVKQRQP-CABZTGNLSA-N 0 0 268.288 2.595 20 5 CFBDRN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2c1ccc(C)cc1[N+](=O)[O-] ZINC000286446906 294698680 /nfs/dbraw/zinc/69/86/80/294698680.db2.gz SZGDPEWKAKVQHD-SCYJSFNGSA-N 0 0 288.347 2.763 20 5 CFBDRN COc1ccc([N+](=O)[O-])c(C(=O)N2CC(C)(C)C[C@@H]2C)c1 ZINC000412785641 295253240 /nfs/dbraw/zinc/25/32/40/295253240.db2.gz TXOIUEAQQYHPER-JTQLQIEISA-N 0 0 292.335 2.864 20 5 CFBDRN COc1cccc(C(=O)N2CC[C@@H](C)[C@H](C)C2)c1[N+](=O)[O-] ZINC000192837746 295286230 /nfs/dbraw/zinc/28/62/30/295286230.db2.gz XHPVSBHWUDHMRG-GHMZBOCLSA-N 0 0 292.335 2.722 20 5 CFBDRN COc1cccc(N2CCC=C(F)C2)c1[N+](=O)[O-] ZINC000278843651 295304530 /nfs/dbraw/zinc/30/45/30/295304530.db2.gz WMAVXSOMOCUZLY-UHFFFAOYSA-N 0 0 252.245 2.667 20 5 CFBDRN Nc1c(F)c(N2CCC[C@H](C3CC3)C2)ccc1[N+](=O)[O-] ZINC000341045446 295346598 /nfs/dbraw/zinc/34/65/98/295346598.db2.gz MLXNPHYNALBOOT-JTQLQIEISA-N 0 0 279.315 2.943 20 5 CFBDRN Nc1ccc(N2CCOC3(CCCCC3)C2)c([N+](=O)[O-])c1 ZINC000287472388 295353931 /nfs/dbraw/zinc/35/39/31/295353931.db2.gz WYVJYXGJUNWLBO-UHFFFAOYSA-N 0 0 291.351 2.717 20 5 CFBDRN Nc1ccc([N+](=O)[O-])c(N2CCC(C(F)(F)F)CC2)c1 ZINC000193458333 295355807 /nfs/dbraw/zinc/35/58/07/295355807.db2.gz COJGTQLUYJOELJ-UHFFFAOYSA-N 0 0 289.257 2.956 20 5 CFBDRN C[C@@H]1SCCN(c2ccccc2[N+](=O)[O-])[C@H]1C ZINC000301254638 297668871 /nfs/dbraw/zinc/66/88/71/297668871.db2.gz BAXMPHAFFAZYHQ-UWVGGRQHSA-N 0 0 252.339 2.925 20 5 CFBDRN C[C@@H]1[C@@H](C)CN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 ZINC000294214834 297689546 /nfs/dbraw/zinc/68/95/46/297689546.db2.gz RAWBJJDUBCPBNT-WDEREUQCSA-N 0 0 276.336 2.739 20 5 CFBDRN Cc1cc(C)c([N+](=O)[O-])c(N2CCO[C@@H](C3CCC3)C2)n1 ZINC000413480179 301204499 /nfs/dbraw/zinc/20/44/99/301204499.db2.gz RBLZWTZYRPGHGE-CYBMUJFWSA-N 0 0 291.351 2.612 20 5 CFBDRN Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1nncs1 ZINC000358877767 301287489 /nfs/dbraw/zinc/28/74/89/301287489.db2.gz DUPOKYOWHUYKET-UHFFFAOYSA-N 0 0 298.711 2.660 20 5 CFBDRN Cc1cc([C@@H]2CCCN2c2ccc([N+](=O)[O-])c(C)n2)no1 ZINC000366183136 301412999 /nfs/dbraw/zinc/41/29/99/301412999.db2.gz YYWOLOOOTHLJNG-ZDUSSCGKSA-N 0 0 288.307 2.936 20 5 CFBDRN Cc1cc([N+](=O)[O-])cnc1N1CC(C)(C)OC(C)(C)C1 ZINC000276074651 301433242 /nfs/dbraw/zinc/43/32/42/301433242.db2.gz TVCHKQCWBYOYFP-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H](C3CC3)C2)n1 ZINC000288105530 301851976 /nfs/dbraw/zinc/85/19/76/301851976.db2.gz BGHAOILKIHSGGE-GFCCVEGCSA-N 0 0 261.325 2.925 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H]3OCC[C@H]32)n1 ZINC000247051688 301856357 /nfs/dbraw/zinc/85/63/57/301856357.db2.gz OXPRCALEAHKZQB-DMDPSCGWSA-N 0 0 277.324 2.668 20 5 CFBDRN Cc1cccc(N(C)CC2(O)CCCC2)c1[N+](=O)[O-] ZINC000218870784 301994079 /nfs/dbraw/zinc/99/40/79/301994079.db2.gz NFFSAXSXDGAJHG-UHFFFAOYSA-N 0 0 264.325 2.645 20 5 CFBDRN Cc1cccc(N2CCCO[C@@H](C)C2)c1[N+](=O)[O-] ZINC000188375021 301997809 /nfs/dbraw/zinc/99/78/09/301997809.db2.gz NCYIAKZZQUZSGZ-NSHDSACASA-N 0 0 250.298 2.518 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1N(C)C[C@@H]1CCC[C@@H]1O ZINC000166879645 302039827 /nfs/dbraw/zinc/03/98/27/302039827.db2.gz GGIFHIQXWDABRK-AAEUAGOBSA-N 0 0 264.325 2.500 20 5 CFBDRN Cc1ccnc(N2CC(C)(C)OC(C)(C)C2)c1[N+](=O)[O-] ZINC000276069651 302269516 /nfs/dbraw/zinc/26/95/16/302269516.db2.gz SGMXEIXPNYNTSN-UHFFFAOYSA-N 0 0 279.340 2.692 20 5 CFBDRN Cc1cnc(N2CCC[C@](CO)(C(C)C)C2)c([N+](=O)[O-])c1 ZINC000293896110 302350892 /nfs/dbraw/zinc/35/08/92/302350892.db2.gz CDYGHLJJKMRAOC-HNNXBMFYSA-N 0 0 293.367 2.533 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1CN1CCC[C@@H]1c1ccsc1 ZINC000370055521 303019007 /nfs/dbraw/zinc/01/90/07/303019007.db2.gz KCEKKOWBGANKOM-LLVKDONJSA-N 0 0 292.364 2.727 20 5 CFBDRN Cn1cnc([N+](=O)[O-])c1N1CC(C)(C)[C@@H]1c1cccs1 ZINC000288295035 303019867 /nfs/dbraw/zinc/01/98/67/303019867.db2.gz SCFVYAVHOUSSDM-JTQLQIEISA-N 0 0 292.364 2.977 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CC2(CCC2)[C@@H]1C1CC1 ZINC000290667729 304810477 /nfs/dbraw/zinc/81/04/77/304810477.db2.gz KDKDLGFJKRIJLS-LBPRGKRZSA-N 0 0 259.309 2.759 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCC[C@]2(CCCCO2)C1 ZINC000375933190 304810963 /nfs/dbraw/zinc/81/09/63/304810963.db2.gz QGTLGTURFKUPKX-CQSZACIVSA-N 0 0 277.324 2.529 20 5 CFBDRN O=[N+]([O-])c1cccnc1N1CCCc2ccccc2C1 ZINC000264430838 304811027 /nfs/dbraw/zinc/81/10/27/304811027.db2.gz UMUDUQQZFGPHLO-UHFFFAOYSA-N 0 0 269.304 2.943 20 5 CFBDRN O=[N+]([O-])c1ccc(SCc2nnsc2Cl)nc1 ZINC000159743781 322327230 /nfs/dbraw/zinc/32/72/30/322327230.db2.gz NAVZZHGVUQNVIK-UHFFFAOYSA-N 0 0 288.741 2.787 20 5 CFBDRN CC(C)(C)OCCSc1ccc([N+](=O)[O-])cn1 ZINC000159746978 322327363 /nfs/dbraw/zinc/32/73/63/322327363.db2.gz KJQZEIGRHHBZBI-UHFFFAOYSA-N 0 0 256.327 2.897 20 5 CFBDRN Cc1ccc(NC(=O)c2c([N+](=O)[O-])cnn2C)cc1Cl ZINC000159951408 322330801 /nfs/dbraw/zinc/33/08/01/322330801.db2.gz BRYFQJCQROHFMX-UHFFFAOYSA-N 0 0 294.698 2.542 20 5 CFBDRN CC[C@@H](C)CSCCn1cc([N+](=O)[O-])ccc1=O ZINC000160168799 322333505 /nfs/dbraw/zinc/33/35/05/322333505.db2.gz ALNLGSMDYVUBLD-SNVBAGLBSA-N 0 0 270.354 2.536 20 5 CFBDRN Cc1cc(=O)[nH]c([C@H](C)Sc2ccc([N+](=O)[O-])cn2)n1 ZINC000160347521 322336819 /nfs/dbraw/zinc/33/68/19/322336819.db2.gz JFXAWPNHNZYOEK-QMMMGPOBSA-N 0 0 292.320 2.647 20 5 CFBDRN CSCCCC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161282016 322349880 /nfs/dbraw/zinc/34/98/80/322349880.db2.gz CXIMHQNJNUPNGN-UHFFFAOYSA-N 0 0 294.376 2.623 20 5 CFBDRN CCC(C)(C)C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161384729 322350782 /nfs/dbraw/zinc/35/07/82/322350782.db2.gz JYCDHFGNGQXAGT-UHFFFAOYSA-N 0 0 276.336 2.916 20 5 CFBDRN C[C@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@H]1CCOC1 ZINC000161414082 322351487 /nfs/dbraw/zinc/35/14/87/322351487.db2.gz KKWFLRAPMYBCQJ-QWRGUYRKSA-N 0 0 287.319 2.980 20 5 CFBDRN CC1(C)C[C@@H]1C(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000161418797 322351556 /nfs/dbraw/zinc/35/15/56/322351556.db2.gz BZNVBMRCJWVOGC-GFCCVEGCSA-N 0 0 274.320 2.526 20 5 CFBDRN O=C(NC(C1CC1)C1CC1)c1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000161635212 322354901 /nfs/dbraw/zinc/35/49/01/322354901.db2.gz KHJRXVKYLABRAF-UHFFFAOYSA-N 0 0 299.330 2.995 20 5 CFBDRN CC(C)N(CC(F)F)C(=O)Cc1ccccc1[N+](=O)[O-] ZINC000161824161 322357192 /nfs/dbraw/zinc/35/71/92/322357192.db2.gz FFJGAGCVAAALSV-UHFFFAOYSA-N 0 0 286.278 2.639 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1F ZINC000162168052 322361631 /nfs/dbraw/zinc/36/16/31/322361631.db2.gz ITOFEQCANWECGZ-DTORHVGOSA-N 0 0 266.272 2.747 20 5 CFBDRN O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(F)n1 ZINC000162251063 322362167 /nfs/dbraw/zinc/36/21/67/322362167.db2.gz AMXBQFZIKKWABU-RMKNXTFCSA-N 0 0 287.250 2.781 20 5 CFBDRN C[C@@H](NCc1cccc([N+](=O)[O-])c1)c1cscn1 ZINC000162397818 322364420 /nfs/dbraw/zinc/36/44/20/322364420.db2.gz LXDFIHUAZRHMPQ-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN C[C@@H]1CC[C@H](C)N1C(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000162429820 322365034 /nfs/dbraw/zinc/36/50/34/322365034.db2.gz JNDONAQEVCCNTR-PHIMTYICSA-N 0 0 262.309 2.537 20 5 CFBDRN CNc1ccc(C(=O)N[C@H]2CCC(C)(C)C2)cc1[N+](=O)[O-] ZINC000162622385 322366999 /nfs/dbraw/zinc/36/69/99/322366999.db2.gz WYJODPCGQISRMH-NSHDSACASA-N 0 0 291.351 2.945 20 5 CFBDRN C[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1cscn1 ZINC000163251694 322374824 /nfs/dbraw/zinc/37/48/24/322374824.db2.gz PDXAXMZDZVKDQU-SECBINFHSA-N 0 0 263.322 2.902 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1NCCCCn1ccnc1 ZINC000164117873 322380386 /nfs/dbraw/zinc/38/03/86/322380386.db2.gz UVWRAHOSUFQQCG-UHFFFAOYSA-N 0 0 278.287 2.823 20 5 CFBDRN Cn1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000170685563 322392250 /nfs/dbraw/zinc/39/22/50/322392250.db2.gz NZVUZAGGNGIRPP-UHFFFAOYSA-N 0 0 295.686 2.626 20 5 CFBDRN Cc1ncn(Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1C ZINC000172194382 322421197 /nfs/dbraw/zinc/42/11/97/322421197.db2.gz NQSWSBFCGRQHNF-UHFFFAOYSA-N 0 0 299.290 2.506 20 5 CFBDRN C[C@@H]1Cc2ccccc2CN1C(=O)c1ccc([N+](=O)[O-])o1 ZINC000172792275 322429570 /nfs/dbraw/zinc/42/95/70/322429570.db2.gz HLVBKFZSWNUJNE-SNVBAGLBSA-N 0 0 286.287 2.775 20 5 CFBDRN COCCC(C)(C)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000549726050 322457677 /nfs/dbraw/zinc/45/76/77/322457677.db2.gz PHQPHFQXAHMLHI-LLVKDONJSA-N 0 0 294.351 2.630 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1ccc(C)cn1 ZINC000569941152 322506121 /nfs/dbraw/zinc/50/61/21/322506121.db2.gz LBLOWLATEFFOIZ-UHFFFAOYSA-N 0 0 287.319 2.961 20 5 CFBDRN CSc1ccc(C(=O)NC2(CF)CCC2)cc1[N+](=O)[O-] ZINC000570282166 322524336 /nfs/dbraw/zinc/52/43/36/322524336.db2.gz FOELFVBXMFIHOS-UHFFFAOYSA-N 0 0 298.339 2.939 20 5 CFBDRN CNc1ccc(C(=O)Nc2scnc2C)cc1[N+](=O)[O-] ZINC000570891137 322556941 /nfs/dbraw/zinc/55/69/41/322556941.db2.gz BNKJUECGCOZIBP-UHFFFAOYSA-N 0 0 292.320 2.654 20 5 CFBDRN CCO[C@H]1C[C@H]1Nc1nc2ccccc2cc1[N+](=O)[O-] ZINC000570894057 322557053 /nfs/dbraw/zinc/55/70/53/322557053.db2.gz MVJXOFWNZUSSBH-YPMHNXCESA-N 0 0 273.292 2.732 20 5 CFBDRN O=[N+]([O-])c1cccc2c1CC[C@@H]2NC1(C(F)F)CC1 ZINC000570942567 322561193 /nfs/dbraw/zinc/56/11/93/322561193.db2.gz YHDFIRFWCKIYFE-JTQLQIEISA-N 0 0 268.263 2.969 20 5 CFBDRN CNC(=O)c1ccc(NC(C)(C)C(C)C)c([N+](=O)[O-])c1 ZINC000571450277 322583639 /nfs/dbraw/zinc/58/36/39/322583639.db2.gz BYSJFRHVEUDEHD-UHFFFAOYSA-N 0 0 279.340 2.801 20 5 CFBDRN CC(C)Oc1nc(S[C@H](C)[C@@H](C)O)ccc1[N+](=O)[O-] ZINC000572303728 322614389 /nfs/dbraw/zinc/61/43/89/322614389.db2.gz VPEJTNIVVIBIAU-RKDXNWHRSA-N 0 0 286.353 2.638 20 5 CFBDRN CC1(C2(NC(=O)NCc3cccc([N+](=O)[O-])c3)CC2)CC1 ZINC000573448127 322656547 /nfs/dbraw/zinc/65/65/47/322656547.db2.gz NPHJLNCSPYGNJK-UHFFFAOYSA-N 0 0 289.335 2.727 20 5 CFBDRN CCCCC(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 ZINC000574236886 322685778 /nfs/dbraw/zinc/68/57/78/322685778.db2.gz OSGJWVWSNYPITR-UHFFFAOYSA-N 0 0 289.335 2.925 20 5 CFBDRN Cc1nc(N2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)ncc1[N+](=O)[O-] ZINC000574248346 322686110 /nfs/dbraw/zinc/68/61/10/322686110.db2.gz MYAUBUVFHOLQNP-NWJSVONSSA-N 0 0 288.351 2.566 20 5 CFBDRN C[C@@H]1CC[C@@H](N(C)C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000574348387 322690062 /nfs/dbraw/zinc/69/00/62/322690062.db2.gz JFHVVFPFESDVMP-DGCLKSJQSA-N 0 0 291.351 2.925 20 5 CFBDRN Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H](F)C1 ZINC000574766753 322705246 /nfs/dbraw/zinc/70/52/46/322705246.db2.gz QKVAPKZXLPVMPN-SNVBAGLBSA-N 0 0 281.287 2.869 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCc1cccnc1 ZINC000574793997 322705943 /nfs/dbraw/zinc/70/59/43/322705943.db2.gz VQASUXDIDPCUMW-UHFFFAOYSA-N 0 0 259.265 2.611 20 5 CFBDRN O=c1c2cc([N+](=O)[O-])sc2ncn1[C@H]1C=CCCC1 ZINC000574809266 322706549 /nfs/dbraw/zinc/70/65/49/322706549.db2.gz KDARUNCEYPERLZ-QMMMGPOBSA-N 0 0 277.305 2.647 20 5 CFBDRN CC(C)(CCNC(=O)c1ccc([N+](=O)[O-])cn1)C1CC1 ZINC000575087325 322717885 /nfs/dbraw/zinc/71/78/85/322717885.db2.gz ULNAMPYACHMGMV-UHFFFAOYSA-N 0 0 277.324 2.546 20 5 CFBDRN Cc1sc(C(=O)N2CCC[C@H](F)C2)cc1[N+](=O)[O-] ZINC000575416859 322725674 /nfs/dbraw/zinc/72/56/74/322725674.db2.gz ZAKKNVBLZPKFFR-QMMMGPOBSA-N 0 0 272.301 2.539 20 5 CFBDRN C[C@@H]1CC[C@H](CCC(=O)NCc2cccc([N+](=O)[O-])c2)O1 ZINC000175749259 323668026 /nfs/dbraw/zinc/66/80/26/323668026.db2.gz OQMTZOFWADPFFK-BXUZGUMPSA-N 0 0 292.335 2.559 20 5 CFBDRN CS[C@@H](C)CC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000179247900 323738633 /nfs/dbraw/zinc/73/86/33/323738633.db2.gz MCXUQLXPXAWSTD-VHSXEESVSA-N 0 0 282.365 2.914 20 5 CFBDRN CCc1ccc(O)c(NC(=O)c2ccc([N+](=O)[O-])o2)c1 ZINC000179817172 323759155 /nfs/dbraw/zinc/75/91/55/323759155.db2.gz QKJYWPMHDLWSLP-UHFFFAOYSA-N 0 0 276.248 2.708 20 5 CFBDRN C[C@@H](C(=O)Nc1ccncc1)c1ccc([N+](=O)[O-])cc1F ZINC000183025704 323895053 /nfs/dbraw/zinc/89/50/53/323895053.db2.gz APZIGOBHDKPQMB-SECBINFHSA-N 0 0 289.266 2.871 20 5 CFBDRN C[C@H](C(=O)NCC(C)(C)C)c1ccc([N+](=O)[O-])cc1F ZINC000183207778 323907247 /nfs/dbraw/zinc/90/72/47/323907247.db2.gz DRHUWTUDRJNRDF-VIFPVBQESA-N 0 0 282.315 3.000 20 5 CFBDRN C[C@@H](C(=O)N1CCC[C@H]1C)c1ccc([N+](=O)[O-])cc1F ZINC000184330531 323941810 /nfs/dbraw/zinc/94/18/10/323941810.db2.gz UCDKVGQLOAEWOR-NXEZZACHSA-N 0 0 280.299 2.848 20 5 CFBDRN CSc1cccc(C(=O)N2CCC[C@H]2C)c1[N+](=O)[O-] ZINC000186626692 323983899 /nfs/dbraw/zinc/98/38/99/323983899.db2.gz JTVFHVJOTBICKA-SECBINFHSA-N 0 0 280.349 2.941 20 5 CFBDRN C[C@H]1CCCCN(C(=O)NCc2cccc([N+](=O)[O-])c2)C1 ZINC000188537249 324016648 /nfs/dbraw/zinc/01/66/48/324016648.db2.gz RNVPZCMKKBAECQ-LBPRGKRZSA-N 0 0 291.351 2.926 20 5 CFBDRN O=c1ccc([N+](=O)[O-])cn1Cc1cccc(Cl)c1F ZINC000191803780 324054626 /nfs/dbraw/zinc/05/46/26/324054626.db2.gz LJGJFJVPTUTQPH-UHFFFAOYSA-N 0 0 282.658 2.597 20 5 CFBDRN CCC(CC)C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000193585393 324074296 /nfs/dbraw/zinc/07/42/96/324074296.db2.gz GWBAZHQPBVQQTN-UHFFFAOYSA-N 0 0 280.324 2.656 20 5 CFBDRN C=Cn1cc(CNCc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000194420155 324088987 /nfs/dbraw/zinc/08/89/87/324088987.db2.gz BDYVQVAHWASXTB-UHFFFAOYSA-N 0 0 292.726 2.835 20 5 CFBDRN C[C@@H]1C[C@H]1Cc1nc(Cc2ccccc2[N+](=O)[O-])no1 ZINC000350398209 324213630 /nfs/dbraw/zinc/21/36/30/324213630.db2.gz LFODINSZDMOETL-KOLCDFICSA-N 0 0 273.292 2.767 20 5 CFBDRN Cc1occc1-c1noc(-c2cc([N+](=O)[O-])cn2C)n1 ZINC000350426816 324215460 /nfs/dbraw/zinc/21/54/60/324215460.db2.gz CIYCNHVUIIDVDS-UHFFFAOYSA-N 0 0 274.236 2.552 20 5 CFBDRN COc1cc(-c2nc(-c3ccoc3)no2)ccc1[N+](=O)[O-] ZINC000350816247 324262302 /nfs/dbraw/zinc/26/23/02/324262302.db2.gz IFSVMXSXVXBKKR-UHFFFAOYSA-N 0 0 287.231 2.913 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(-c3cccnc3)n2)s1 ZINC000350832805 324265125 /nfs/dbraw/zinc/26/51/25/324265125.db2.gz FRMJKNNKUBJKLK-UHFFFAOYSA-N 0 0 274.261 2.768 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCCOC3)no2)s1 ZINC000350857361 324270913 /nfs/dbraw/zinc/27/09/13/324270913.db2.gz YNTMLTGZIGRKIW-SSDOTTSWSA-N 0 0 281.293 2.600 20 5 CFBDRN O=[N+]([O-])c1cc(F)ccc1-c1nc([C@H]2CCCOC2)no1 ZINC000350864991 324271583 /nfs/dbraw/zinc/27/15/83/324271583.db2.gz NCHKAIIFIRBLJX-QMMMGPOBSA-N 0 0 293.254 2.678 20 5 CFBDRN Cc1ccc([N+](=O)[O-])cc1-c1nc(-c2cocn2)no1 ZINC000350882592 324276877 /nfs/dbraw/zinc/27/68/77/324276877.db2.gz YFGGSKXXQRSYJU-UHFFFAOYSA-N 0 0 272.220 2.608 20 5 CFBDRN CCCC1(c2nc(-c3c([N+](=O)[O-])ncn3C)no2)CCC1 ZINC000350912987 324282056 /nfs/dbraw/zinc/28/20/56/324282056.db2.gz YZLOCWIHAYGPBH-UHFFFAOYSA-N 0 0 291.311 2.600 20 5 CFBDRN COc1cnccc1-c1noc(-c2ccccc2[N+](=O)[O-])n1 ZINC000350945489 324287645 /nfs/dbraw/zinc/28/76/45/324287645.db2.gz XZXXPMBGUVRMHX-UHFFFAOYSA-N 0 0 298.258 2.715 20 5 CFBDRN COc1cc(-c2nc(C(C)C)no2)c([N+](=O)[O-])cc1F ZINC000351061518 324301824 /nfs/dbraw/zinc/30/18/24/324301824.db2.gz ZXCXMCCHIQNBJY-UHFFFAOYSA-N 0 0 281.243 2.916 20 5 CFBDRN Cc1c(-c2noc(C[C@@H]3CCCO3)n2)cccc1[N+](=O)[O-] ZINC000351142767 324325988 /nfs/dbraw/zinc/32/59/88/324325988.db2.gz FNGMYFBWHMOVHW-JTQLQIEISA-N 0 0 289.291 2.675 20 5 CFBDRN COC(C)(C)c1nc(-c2cc(C)cc([N+](=O)[O-])c2)no1 ZINC000351145732 324326689 /nfs/dbraw/zinc/32/66/89/324326689.db2.gz KJEXGZMPTLYGKK-UHFFFAOYSA-N 0 0 277.280 2.835 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc([C@H]3CC34CCOCC4)n2)o1 ZINC000351145699 324326843 /nfs/dbraw/zinc/32/68/43/324326843.db2.gz KDCLOFYXSFNETJ-MRVPVSSYSA-N 0 0 291.263 2.522 20 5 CFBDRN COCCC1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCC1 ZINC000351146037 324327572 /nfs/dbraw/zinc/32/75/72/324327572.db2.gz LJIVKNCGIXKLLU-UHFFFAOYSA-N 0 0 293.279 2.696 20 5 CFBDRN CC[C@@H](C)[C@@H](OC)c1nc(-c2ccc([N+](=O)[O-])o2)no1 ZINC000351148356 324328477 /nfs/dbraw/zinc/32/84/77/324328477.db2.gz PSJFKMGDLHZENS-GMSGAONNSA-N 0 0 281.268 2.971 20 5 CFBDRN CC1(c2nc(-c3ccc([N+](=O)[O-])o3)no2)CCC(=O)CC1 ZINC000351151816 324329972 /nfs/dbraw/zinc/32/99/72/324329972.db2.gz VQTKZOXLDWKUSC-UHFFFAOYSA-N 0 0 291.263 2.639 20 5 CFBDRN O=[N+]([O-])c1ccc(-c2noc(COC3CCCC3)n2)o1 ZINC000351153350 324330678 /nfs/dbraw/zinc/33/06/78/324330678.db2.gz YBRXOTLJZIYPCE-UHFFFAOYSA-N 0 0 279.252 2.697 20 5 CFBDRN O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2CC23CC3)n1 ZINC000351285292 324370791 /nfs/dbraw/zinc/37/07/91/324370791.db2.gz JFEULGZAYRLMPL-JTQLQIEISA-N 0 0 271.276 2.836 20 5 CFBDRN C[C@@]1(c2nc(-c3cccc([N+](=O)[O-])c3)no2)C[C@@H]1F ZINC000351287261 324371538 /nfs/dbraw/zinc/37/15/38/324371538.db2.gz HFOFQQMJIVRSHW-JOYOIKCWSA-N 0 0 263.228 2.644 20 5 CFBDRN Cc1c(-c2noc([C@H]3CCC(=O)C3)n2)cccc1[N+](=O)[O-] ZINC000351375065 324393048 /nfs/dbraw/zinc/39/30/48/324393048.db2.gz JEGCYVBNBUQSNA-VIFPVBQESA-N 0 0 287.275 2.790 20 5 CFBDRN CO[C@@H]([C@@H](C)Nc1ccc([N+](=O)[O-])c(C)n1)C1CC1 ZINC000388367337 324505812 /nfs/dbraw/zinc/50/58/12/324505812.db2.gz VZYMTTQBSJDKPT-RNCFNFMXSA-N 0 0 265.313 2.524 20 5 CFBDRN Cc1nnc(CNc2cccc(C)c2[N+](=O)[O-])s1 ZINC000391946447 324527491 /nfs/dbraw/zinc/52/74/91/324527491.db2.gz UGFHIWVWLJZOHY-UHFFFAOYSA-N 0 0 264.310 2.675 20 5 CFBDRN CCOc1cc(N[C@H](CC)C(C)(C)O)ccc1[N+](=O)[O-] ZINC000393995504 324532304 /nfs/dbraw/zinc/53/23/04/324532304.db2.gz QTAKMEVXMXCMFS-CYBMUJFWSA-N 0 0 282.340 2.955 20 5 CFBDRN Cc1ccc([N+](=O)[O-])c(N2C[C@@H]3CC[C@@H](O)C[C@@H]3C2)c1 ZINC000398842215 324552410 /nfs/dbraw/zinc/55/24/10/324552410.db2.gz PEJIMFJQCIFXCL-YNEHKIRRSA-N 0 0 276.336 2.500 20 5 CFBDRN O=[N+]([O-])c1cccc(Cl)c1N1C[C@@H]2CC[C@@H](O)C[C@@H]2C1 ZINC000398916778 324552877 /nfs/dbraw/zinc/55/28/77/324552877.db2.gz POZKFUCNCOOVCG-HBNTYKKESA-N 0 0 296.754 2.845 20 5 CFBDRN Cc1ccc2c(c1)[C@@H](Nc1ccc([N+](=O)[O-])nc1)CO2 ZINC000576558164 324629268 /nfs/dbraw/zinc/62/92/68/324629268.db2.gz AJLABQLJQINIRR-LBPRGKRZSA-N 0 0 271.276 2.844 20 5 CFBDRN COC[C@H](NC(=O)c1cc([N+](=O)[O-])c(C)cc1C)C(C)C ZINC000576973530 324681986 /nfs/dbraw/zinc/68/19/86/324681986.db2.gz UOYZHRHIRCIGDE-ZDUSSCGKSA-N 0 0 294.351 2.612 20 5 CFBDRN Cc1cccc(COc2c(C)c(C)ncc2[N+](=O)[O-])n1 ZINC000577412622 324735112 /nfs/dbraw/zinc/73/51/12/324735112.db2.gz SWYAOCNAKDWYIA-UHFFFAOYSA-N 0 0 273.292 2.889 20 5 CFBDRN Cc1cc(C(=O)N2c3ccc([N+](=O)[O-])cc3C[C@H]2C)ccn1 ZINC000578833427 324892928 /nfs/dbraw/zinc/89/29/28/324892928.db2.gz MQNOUBGKPMZEDE-LLVKDONJSA-N 0 0 297.314 2.890 20 5 CFBDRN CCC[C@@H](C)[C@H](CO)Nc1nc(C)cc(C)c1[N+](=O)[O-] ZINC000579361956 324944532 /nfs/dbraw/zinc/94/45/32/324944532.db2.gz KQSHXQPCNHMVAO-SKDRFNHKSA-N 0 0 281.356 2.816 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1C[C@@H](C)CC[C@@H]1C ZINC000579516089 324957218 /nfs/dbraw/zinc/95/72/18/324957218.db2.gz VAAYVRAVFAVBKF-UWVGGRQHSA-N 0 0 266.345 2.744 20 5 CFBDRN COc1cc(C(=O)N(C)C(C)(C)C)c([N+](=O)[O-])cc1F ZINC000579714444 324974119 /nfs/dbraw/zinc/97/41/19/324974119.db2.gz UUEXRDJVEYTYHV-UHFFFAOYSA-N 0 0 284.287 2.613 20 5 CFBDRN CCn1c(C)nc([N+](=O)[O-])c1N1CC2(CCC2)[C@@H]1C1CC1 ZINC000579821495 324982077 /nfs/dbraw/zinc/98/20/77/324982077.db2.gz XUGRDRHKPLOFAG-LBPRGKRZSA-N 0 0 290.367 2.889 20 5 CFBDRN C[C@H]1[C@@H](C)N(C(=O)NCc2cccc([N+](=O)[O-])c2)C[C@H]1C ZINC000580299430 325023571 /nfs/dbraw/zinc/02/35/71/325023571.db2.gz HVNPCJIJUQHDDJ-IJLUTSLNSA-N 0 0 291.351 2.781 20 5 CFBDRN CCc1csc(=O)n1Cc1cccc([N+](=O)[O-])c1C ZINC000580444844 325037585 /nfs/dbraw/zinc/03/75/85/325037585.db2.gz WWGUACXDITXSMR-UHFFFAOYSA-N 0 0 278.333 2.737 20 5 CFBDRN CCc1nn(C)c(N2Cc3ccc(C)cc3C2)c1[N+](=O)[O-] ZINC000580987007 325079946 /nfs/dbraw/zinc/07/99/46/325079946.db2.gz YRQSPJAEUSWGEM-UHFFFAOYSA-N 0 0 286.335 2.719 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1N[C@@H]1C[C@@H](OC)C12CCC2 ZINC000581022055 325084283 /nfs/dbraw/zinc/08/42/83/325084283.db2.gz JUMZSDDVAREJTM-CHWSQXEVSA-N 0 0 292.335 2.973 20 5 CFBDRN O=[N+]([O-])c1cccc(F)c1N1CCC(c2nnco2)CC1 ZINC000581196626 325099543 /nfs/dbraw/zinc/09/95/43/325099543.db2.gz ZPLBSHQWDVNFBA-UHFFFAOYSA-N 0 0 292.270 2.501 20 5 CFBDRN O=[N+]([O-])c1cc2c(nc1N[C@H]1C[C@@H]1C(F)F)CCCC2 ZINC000581485452 325125655 /nfs/dbraw/zinc/12/56/55/325125655.db2.gz VUPCOEUBHCZMFR-WPRPVWTQSA-N 0 0 283.278 2.934 20 5 CFBDRN O=c1c2cccc([N+](=O)[O-])c2ccn1CCC1CC1 ZINC000581884436 325156466 /nfs/dbraw/zinc/15/64/66/325156466.db2.gz JQFYVONDTAJRLT-UHFFFAOYSA-N 0 0 258.277 2.710 20 5 CFBDRN O=[N+]([O-])c1ccccc1N1CC2(CCC2)[C@H]2COC[C@@H]21 ZINC000581989902 325166410 /nfs/dbraw/zinc/16/64/10/325166410.db2.gz DSPFGZUXGPODFW-FZMZJTMJSA-N 0 0 274.320 2.600 20 5 CFBDRN C[C@H]1C[C@@H]1Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000582033150 325170644 /nfs/dbraw/zinc/17/06/44/325170644.db2.gz RKKSKLWRQOHECN-WCBMZHEXSA-N 0 0 259.265 2.843 20 5 CFBDRN C[C@@H]1C[C@H]1Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000582033149 325170760 /nfs/dbraw/zinc/17/07/60/325170760.db2.gz RKKSKLWRQOHECN-SCZZXKLOSA-N 0 0 259.265 2.843 20 5 CFBDRN CC(C)N(C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000582218221 325186642 /nfs/dbraw/zinc/18/66/42/325186642.db2.gz LLUOIBYIGFHOKW-JTQLQIEISA-N 0 0 250.298 2.565 20 5 CFBDRN CC[C@H](C)CC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC000582521614 325211154 /nfs/dbraw/zinc/21/11/54/325211154.db2.gz QOPLNZFWCBVPKC-NSHDSACASA-N 0 0 280.324 2.526 20 5 CFBDRN COc1cccc([N+](=O)[O-])c1NCCc1cccnc1 ZINC000582517113 325211255 /nfs/dbraw/zinc/21/12/55/325211255.db2.gz QXOUSYJZEJCMEA-UHFFFAOYSA-N 0 0 273.292 2.653 20 5 CFBDRN C[C@@H](C(=O)N1CC[C@H]1C1CC1)c1cccc([N+](=O)[O-])c1 ZINC000583066207 325257421 /nfs/dbraw/zinc/25/74/21/325257421.db2.gz BZLGOKNLFKGIPF-YGRLFVJLSA-N 0 0 274.320 2.709 20 5 CFBDRN CCCC[C@H](CCC)CNC(=O)c1cc([N+](=O)[O-])n[nH]1 ZINC000583210371 325269129 /nfs/dbraw/zinc/26/91/29/325269129.db2.gz SUURTRQSQBMERA-JTQLQIEISA-N 0 0 282.344 2.654 20 5 CFBDRN O=[N+]([O-])c1ccc(N2CCO[C@@H](C3CCCCC3)C2)cn1 ZINC000583348421 325280019 /nfs/dbraw/zinc/28/00/19/325280019.db2.gz OACPDHNQKBFPRO-CQSZACIVSA-N 0 0 291.351 2.775 20 5 CFBDRN Cc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](OC(C)C)C1 ZINC000583813980 325312619 /nfs/dbraw/zinc/31/26/19/325312619.db2.gz LRPDXIXAEYOVRT-GFCCVEGCSA-N 0 0 292.335 2.543 20 5 CFBDRN CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cn2)[C@H]2CCC[C@H]21 ZINC000583833012 325313493 /nfs/dbraw/zinc/31/34/93/325313493.db2.gz XEGDXCMNAMTFKN-YPMHNXCESA-N 0 0 289.335 2.641 20 5 CFBDRN C[C@H](F)CCn1ccc2cc([N+](=O)[O-])ccc2c1=O ZINC000583872321 325315862 /nfs/dbraw/zinc/31/58/62/325315862.db2.gz ZHLDEXCGJZQJGY-VIFPVBQESA-N 0 0 264.256 2.658 20 5 CFBDRN Cc1nc2cc(Nc3ncc([N+](=O)[O-])s3)ccc2n1C ZINC000584270915 325342460 /nfs/dbraw/zinc/34/24/60/325342460.db2.gz NFOSKAFCOBINEA-UHFFFAOYSA-N 0 0 289.320 2.990 20 5 CFBDRN